>EOY11914 pep chromosome:Theobroma_cacao_20110822:7:339716:341573:-1 gene:TCM_030568 transcript:EOY11914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance-like protein MADAAIAAAIFLIEKVSSFPDKEVKFKKRVQDDIRDVRNWLRTIQAYLKDTDGKDGSALQKDRAKQLRDIAYDIEDVLDEFRLRVPHHFSRNKLKQKAHHYAHFPTRRVALYKLSSKIKDIRRKKDNLKGFDPRLYPDEGSSSSSRLEDRATYQIPEEDEIVGFEGQKATLIRMLQEGEARRMTLSVAGIAGSGKSTLVRSVYDDKSVLSRYDCHAWIDLSRSFKTDEVLRGMLQQFCEGWEEKLFPPGMTVQEKLKRHLEQRRYLLVLDDLRSQEDWVSIVNDLPSGDKRSRIIVTTTNSNLASFCVQSSELHVLKIGALSSPDAWYLFCKKAFGSDGKCPEELVEYYQSILTKCEGLPFAIVAVVWKSIAAKLQGSVRHKQSKELFYVF >EOY13193 pep chromosome:Theobroma_cacao_20110822:7:6472701:6473450:1 gene:TCM_031716 transcript:EOY13193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTIDFYEKTIKESRLLRIPKDWAKPPQVPWLLQGMDRAIGEQMPSIIAADDPTTTEEYSALGLLASFPTSQPRSSRCLIVIF >EOY12143 pep chromosome:Theobroma_cacao_20110822:7:1118761:1121651:1 gene:TCM_030733 transcript:EOY12143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like transcription factor PAT1 isoform 3 MQTSEKHKISGKYFDQPVQELESHCWPPNRSLDHYQSCSDDGGNGLQYSVQNLEQYCTLESSSSMQNSSSTASFSPSGSPVSQPNSQSYLSDVHHSPDNTCSSPVSGSCVTDNEHDLRHMIRQLETAMLGTDSDNFDIHAINASGGATQISIEEERWKYMMEMIARGDLKELLCACAKAIDNNDMHMADWLMTQLRQMVSVSGEPIQRLGAYMLEGLVARLASSGSSIYKALRCKEPASTELLSYMHILYEICPYFKFGYMSANGAIAEAMKDESRVHIIDFQIAQGAQWLTLIHALAARPGGPPSIRITGIDDPTSAYARGGGLEIVGQRLLKLAESCKVPFEFHSAAISGTEVQLENLG >EOY12140 pep chromosome:Theobroma_cacao_20110822:7:1118761:1121651:1 gene:TCM_030733 transcript:EOY12140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like transcription factor PAT1 isoform 3 MQTSEKHKISGKYFDQPVQELESHCWPPNRSLDHYQSCSDDGGNGLQYSVQNLEQYCTLESSSSMQNSSSTASFSPSGSPVSQPNSQSYLSDVHHSPDNTCSSPVSGSCVTDNEHDLRHMIRQLETAMLGTDSDNFDIHAINASGGATQISIEEERWKYMMEMIARGDLKELLCACAKAIDNNDMHMADWLMTQLRQMVSVSGEPIQRLGAYMLEGLVARLASSGSSIYKALRCKEPASTELLSYMHILYEICPYFKFGYMSANGAIAEAMKDESRVHIIDFQIAQGAQWLTLIHALAARPGGPPSIRITGIDDPTSAYARGGGLEIVGQRLLKLAESCKVPFEFHSAAISGTEVQLENLG >EOY12141 pep chromosome:Theobroma_cacao_20110822:7:1118636:1122430:1 gene:TCM_030733 transcript:EOY12141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like transcription factor PAT1 isoform 3 MQTSEKHKISGKYFDQPVQELESHCWPPNRSLDHYQSCSDDGGNGLQYSVQNLEQYCTLESSSSMQNSSSTASFSPSGSPVSQPNSQSYLSDVHHSPDNTCSSPVSGSCVTDNEHDLRHMIRQLETAMLGTDSDNFDIHAINASGGATQISIEEERWKYMMEMIARGDLKELLCACAKAIDNNDMHMADWLMTQLRQMVSVSGEPIQRLGAYMLEGLVARLASSGSSIYKALRCKEPASTELLSYMHILYEICPYFKFGYMSANGAIAEAMKDESRVHIIDFQIAQGAQWLTLIHALAARPGGPPSIRITGIDDPTSAYARGGGLEIVGQRLLKLAESCKVPFEFHSAAISGTEVQLENLGIQPGEAIAVNFAMTLHHMPDESVGTQNHRDRLLRLAKSLSPKVVTLVEQEANTNTAPFFPRFYETMNHYLAIFESIDGTLPREHKERINVEQHCLAREIVNIIACEGSERVERHELLGKWRSRFIMAGFTPSPLSSFVNATIKTLLQSYCDKYTLEEKDGVLYLGWMNRAIITSCAWRC >EOY12142 pep chromosome:Theobroma_cacao_20110822:7:1118761:1121651:1 gene:TCM_030733 transcript:EOY12142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like transcription factor PAT1 isoform 3 MQTSEKHKISGKYFDQPVQELESHCWPPNRSLDHYQSCSDDGGNGLQYSVQNLEQYCTLESSSSMQNSSSTASFSPSGSPVSQPNSQSYLSDVHHSPDNTCSSPVSGSCVTDNEHDLRHMIRQLETAMLGTDSDNFDIHAINASGGATQISIEEERWKYMMEMIARGDLKELLCACAKAIDNNDMHMADWLMTQLRQMVSVSGEPIQRLGAYMLEGLVARLASSGSSIYKALRCKEPASTELLSYMHILYEICPYFKFGYMSANGAIAEAMKDESRVHIIDFQIAQGAQWLTLIHALAARPGGPPSIRITGIDDPTSAYARGGGLEIVGQRLLKLAESCKVPFEFHSAAISGTEVQLENLG >EOY12139 pep chromosome:Theobroma_cacao_20110822:7:1118654:1122909:1 gene:TCM_030733 transcript:EOY12139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like transcription factor PAT1 isoform 3 MQTSEKHKISGKYFDQPVQELESHCWPPNRSLDHYQSCSDDGGNGLQYSVQNLEQYCTLESSSSMQNSSSTASFSPSGSPVSQPNSQSYLSDVHHSPDNTCSSPVSGSCVTDNEHDLRHMIRQLETAMLGTDSDNFDIHAINASGGATQISIEEERWKYMMEMIARGDLKELLCACAKAIDNNDMHMADWLMTQLRQMVSVSGEPIQRLGAYMLEGLVARLASSGSSIYKALRCKEPASTELLSYMHILYEICPYFKFGYMSANGAIAEAMKDESRVHIIDFQIAQGAQWLTLIHALAARPGGPPSIRITGIDDPTSAYARGGGLEIVGQRLLKLAESCKVPFEFHSAAISGTEVQLENLGIQPGEAIAVNFAMTLHHMPDESVGTQNHRDRLLRLAKSLSPKVVTLVEQEANTNTAPFFPRFYETMNHYLAIFESIDGTLPREHKERINVEQHCLAREIVNIIACEGSERVERHELLGKWRSRFIMAGFTPSPLSSFVNATIKTLLQSYCDKYTLEEKDGVLYLGWMNRAIITSCAWRC >EOY12138 pep chromosome:Theobroma_cacao_20110822:7:1118638:1122422:1 gene:TCM_030733 transcript:EOY12138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like transcription factor PAT1 isoform 3 MQTSEKHKISGKYFDQPVQELESHCWPPNRSLDHYQSCSDDGGNGLQYSVQNLEQYCTLESSSSMQNSSSTASFSPSGSPVSQPNSQSYLSDVHHSPDNTCSSPVSGSCVTDNEHDLRHMIRQLETAMLGTDSDNFDIHAINASGGATQISIEEERWKYMMEMIARGDLKELLCACAKAIDNNDMHMADWLMTQLRQMVSVSGEPIQRLGAYMLEGLVARLASSGSSIYKALRCKEPASTELLSYMHILYEICPYFKFGYMSANGAIAEAMKDESRVHIIDFQIAQGAQWLTLIHALAARPGGPPSIRITGIDDPTSAYARGGGLEIVGQRLLKLAESCKVPFEFHSAAISGTEVQLENLGIQPGEAIAVNFAMTLHHMPDESVGTQNHRDRLLRLAKSLSPKVVTLVEQEANTNTAPFFPRFYETMNHYLAIFESIDGTLPREHKERINVEQHCLAREIVNIIACEGSERVERHELLGKWRSRFIMAGFTPSPLSSFVNATIKTLLQSYCDKYTLEEKDGVLYLGWMNRAIITSCAWRC >EOY12565 pep chromosome:Theobroma_cacao_20110822:7:2816514:2822944:-1 gene:TCM_031084 transcript:EOY12565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug/pheromone exporter, MDR family, ABC transporter family MAAKDGMFQYADGLDKLLMFLGTLGSIGDGLQYPLTMFVLSKVINEYGNTNITLSNDTVDKFALRLLYVAIGVGLSAFVEGICWTRTAERQTSRIRTEYLKSVLRQEVGFFDTQEAGSSTTFQVVSTISADANAIQVAICEKIPDCLTFLSTFFFCLVVSFILSWKLTLAALPFTLLFIVPGLVFGKLMMDVIMKMIESYGIAGGIAEQAISSIRTVYSYVAENQTLDKFSRALEKTLELGVKQGLAKGLLMGSMGSIYLGWAFQAWVGTYLVTEKGEKGGSVFVAGINVIMGGLSVLGALPNLTGITEATVAATRIFEMINRVPTIDTEDRKGKALSYVRGEIEFKGIYFSYPSRPDTPVLQGLNLRIPAGKSVGLVGGSGSGKSTTIALLQRFYDPIEGEVFLDGYKIRRLQLKWLRSQMGLVSQEPVLFATSIKENILFGKEGASMEDVINAAKAANAHDFIVKLPEGYETHVGQFGFQMSGGQKQRIAIARALIRDPKILLLDEATSALDAQAERIVQEAIDKASVGRTSIIIAHRLSTIRNANLIVVLQAGRVIESGSHDELMQMNGGEGGEYYRMVELQKMALQNEASDDSNYQTERRYHHRMHAAQSPMSYRSSAPSTPALNPFSPALSVGTPYSYTIQYDPDDDSYDENLKQLAYPAPSQWRLLKMNAPEWGRALIGSLAAVGSGAVQPINAYCVGLLISIYFRTDKSEIKSKSSTLSFIFIGIAALNFTSSLLQHYNFSVMGEKLTKRVREMFLQKLMTFEVSWFDEEENTSAAICTRLATEANMVRSLVGDRMSLLVQAIFGSIFAYAVALKLSWRLSLVMIAVQPLVVGSFFSRSVLMKSVAVKAQKAQKEGSQLASEAVVNHRTITAFSSQKRMLGLFKDTLKGPKDESVRHSWLSGLGLFSSQFFNTASTALAYWYGGRLLTQELITSEHLFQAFLVLLFTAYVIAEAGSMTNDLSKGSSAIRSVFSILDRKSEIDPDNTCGLDIKKAIKGRIELKNVFFAYPARPDQLIFKGLNLQIEAGRTVALVGQSGSGKSTIIGLIERFYDPIKGSVAIDGEDIKNYNLRLLRSHIALVSQEPTLFAGTIRENIAYGKQKAKESEIRKAAKLANAHEFISGMKDGYDTNCGERGVQLSGGQKQRIALARAIVKNPSILLLDEATSALDSVSESLVQEALEKMMVGRTCVTVAHRLSTIQKADTIAVIKNGKVVEQGSHNELTSLGRKGAYYSLIKLQGGNSPYR >EOY12326 pep chromosome:Theobroma_cacao_20110822:7:1706055:1707209:-1 gene:TCM_030863 transcript:EOY12326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin cytoskeleton-regulatory complex protein end3, putative isoform 1 MEDRYTFPRQGSGVWRALKDGDFEEEDVWAVLKERKDCTSKFGQSIESYAPVRRHLPSAARMIPRAFSDINNSSNIDSSSSSSSHEINGVKQQSAPVNIPDWSKISKNKSKKASSRNYPWHDDEGDDDGDDGYGHDNNGVVNGFGESDDEEDDDEEYNSKVPPHEFLARRLARSQISSFSVFEGVGRKLKGRDLRKVRNAVLTNTGFLE >EOY12329 pep chromosome:Theobroma_cacao_20110822:7:1705853:1707620:-1 gene:TCM_030863 transcript:EOY12329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin cytoskeleton-regulatory complex protein end3, putative isoform 1 MEDRYTFPRQGSGVWRALKDGDFEEEDVWAVLKERKDCTSKFGQSIESYAPVRRHLPSAARMIPRAFSDINNSSNIDSSSSSSSHEINGVKQQSAPVNIPDWSKISKNKSKKASSRNYPWHDDEGDDDGDDGYGHDNNGVVNGFGESDDEEDDDEEYNSKVPPHEFLARRLARSQISSFSVFEGVGRKLKGRDLRKVRNAVLTNTGFLE >EOY12322 pep chromosome:Theobroma_cacao_20110822:7:1705831:1707249:-1 gene:TCM_030863 transcript:EOY12322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin cytoskeleton-regulatory complex protein end3, putative isoform 1 MEDRYTFPRQGSGVWRALKDGDFEEEDVWAVLKERKDCTSKFGQSIESYAPVRRHLPSAARMIPRAFSDINNSSNIDSSSSSSSHEINGVKQQSAPVNIPDWSKISKNKSKKASSRNYPWHDDEGDDDGDDGYGHDNNGVVNGFGESDDEEDDDEEYNSKVPPHEFLARRLARSQISSFSVFEGVGRKLKGRDLRKVRNAVLTNTGFLE >EOY12327 pep chromosome:Theobroma_cacao_20110822:7:1705767:1707170:-1 gene:TCM_030863 transcript:EOY12327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin cytoskeleton-regulatory complex protein end3, putative isoform 1 MEDRYTFPRQGSGVWRALKDGDFEEEDVWAVLKERKDCTSKFGQSIESYAPVRRHLPSAARMIPRAFSDINNSSNIDSSSSSSSHEINGVKQQSAPVNIPDWSKISKNKSKKASSRNYPWHDDEGDDDGDDGYGHDNNGVVNGFGESDDEEDDDEEYNSKVPPHEFLARRLARSQISSFSVFEGVGRKLKGRDLRKVRNAVLTNTGFLE >EOY12330 pep chromosome:Theobroma_cacao_20110822:7:1705767:1707046:-1 gene:TCM_030863 transcript:EOY12330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin cytoskeleton-regulatory complex protein end3, putative isoform 1 MHDITSLESWESCGFWRFSIQGKAMEDRYTFPRQGSGVWRALKDGDFEEEDVWAVLKERKDCTSKFGQSIESYAPVRRHLPSAARMIPRAFSDINNSSNIDSSSSSSSHEINGVKQQSAPVNIPDWSKISKNKSKKASSRNYPWHDDEGDDDGDDGYGHDNNGVVNGFGESDDEEDDDEEYNSKVPPHEFLARRLARSQISSFSVFEGVGRKLKGRDLRKVRNAVLTNTGFLE >EOY12324 pep chromosome:Theobroma_cacao_20110822:7:1706067:1706696:-1 gene:TCM_030863 transcript:EOY12324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin cytoskeleton-regulatory complex protein end3, putative isoform 1 MEDRYTFPRQGSGVWRALKDGDFEEEDVWAVLKERKDCTSKFGQSIESYAPVRRHLPSAARMIPRAFSDINNSSNIDSSSSSSSHEINGVKQQSAPVNIPDWSKISKNKSKKASSRNYPWHDDEGDDDGDDGYGHDNNGVVNGFGESDDEEDDDEEYNSKVPPHEFLARRLARSQISSFSVFEGVGRKLKGRDLRKVRNAVLTNTGFLE >EOY12328 pep chromosome:Theobroma_cacao_20110822:7:1705217:1707225:-1 gene:TCM_030863 transcript:EOY12328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin cytoskeleton-regulatory complex protein end3, putative isoform 1 RKRFVKTKSKVCLIPWLFCLLPFVFLFAYLSPSAKPAISERYNLFRILGKLWLLEVLNPGERNSAMEDRYTFPRQGSGVWRALKDGDFEEEDVWAVLKERKDCTSKFGQSIESYAPVRRHLPSAARMIPRAFSDINNSSNIDSSSSSSSHEINGVKQQSAPVNIPDWSKISKNKSKKASSRNYPWHDDEGDDDGDDGYGHDNNGVVNGFGESDDEEDDDEEYNSKVPPHEFLARRLARSQISSFSVFEGVGRKLKGRDLRKVRNAVLTNTGFLE >EOY12325 pep chromosome:Theobroma_cacao_20110822:7:1705767:1707529:-1 gene:TCM_030863 transcript:EOY12325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin cytoskeleton-regulatory complex protein end3, putative isoform 1 MEDRYTFPRQGSGVWRALKDGDFEEEDVWAVLKERKDCTSKFGQSIESYAPVRRHLPSAARMIPRAFSDINNSSNIDSSSSSSSHEINGVKQQSAPVNIPDWSKISKNKSKKASSRNYPWHDDEGDDDGDDGYGHDNNGVVNGFGESDDEEDDDEEYNSKVPPHEFLARRLARSQISSFSVFEGVGRKLKGRDLRKVRNAVLTNTGFLE >EOY12323 pep chromosome:Theobroma_cacao_20110822:7:1706067:1706696:-1 gene:TCM_030863 transcript:EOY12323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin cytoskeleton-regulatory complex protein end3, putative isoform 1 MEDRYTFPRQGSGVWRALKDGDFEEEDVWAVLKERKDCTSKFGQSIESYAPVRRHLPSAARMIPRAFSDINNSSNIDSSSSSSSHEINGVKQQSAPVNIPDWSKISKNKSKKASSRNYPWHDDEGDDDGDDGYGHDNNGVVNGFGESDDEEDDDEEYNSKVPPHEFLARRLARSQISSFSVFEGVGRKLKGRDLRKVRNAVLTNTGFLE >EOY12851 pep chromosome:Theobroma_cacao_20110822:7:4406567:4408945:-1 gene:TCM_031362 transcript:EOY12851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLTTPEKQTTEGLDIPAKASTAGDATEENQGRQKSYMSSSSSSPRPRPRCTCSNHPGSVPCSRHGYMVPRQNMRRNSANKEILRRALTPPNRKMTLRWWNFRPTPSRLSNMSMA >EOY11935 pep chromosome:Theobroma_cacao_20110822:7:404617:407128:1 gene:TCM_030580 transcript:EOY11935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like 3 isoform 2 IDLYNQSRGLDDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPTDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >EOY11932 pep chromosome:Theobroma_cacao_20110822:7:404355:406602:1 gene:TCM_030580 transcript:EOY11932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like 3 isoform 2 MLQCIDGLKHLFASVLQCCDIDLYNQSRGLDDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPTDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >EOY11933 pep chromosome:Theobroma_cacao_20110822:7:403614:407128:1 gene:TCM_030580 transcript:EOY11933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like 3 isoform 2 MLQCIDGLKHLFASVLQCCDIDLYNQSRVSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPTDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >EOY11934 pep chromosome:Theobroma_cacao_20110822:7:402592:407103:1 gene:TCM_030580 transcript:EOY11934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like 3 isoform 2 MLQCIDGLKHLFASVLQCCDIDLYNQSRGLDDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPTDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >EOY12668 pep chromosome:Theobroma_cacao_20110822:7:3309784:3312324:-1 gene:TCM_031176 transcript:EOY12668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein, putative isoform 1 MADAIIEVVAGKLIDALKEHSGRVLEFRSQFMELKTQLDLMKSFLADANKLKRKEETVKTTLSMIRELTYDAEDILTDCLLRAEYQEEVFHCNNFLHRKMIFQHRTGKKLKEINGRIEKMQKILKTYFKTIGQQSVHDDGGSIARRWTSPAFDESSIVGLAEDTQKIIGWILPTKKLLHQVGIVGMGGLGKTTITQKIYNNDMILERFEERIWVSISQTVNEEEIMKTILKQLGEDTYGLDMGQMLPKIKNALEGKDYLIVMDDVWSAHGWWERLLAGLPKRQGQSSAIIITTRKESVATEMGVEKTRIHQPRTLNDEESWALFCRIAFSSEKEAKQHYELEELGKDIVKKCSGLPLAIKTVGGLLKSKTLSTDVWRRIYNNFHEELATREGESSVMASLQLSYDELPTRLKQCLLCFSIYPEDSVISAEQLVHWWVGEGFVQGKDTRTAIELAFDYLSELIGRCLVEVVKQRGFDGRVYSCKMHDLVRDLTIKIAREEAFCSFDEHGKQIPTVRSRRLGVTGEEDMKSLNRKSKLRAFLMMSSSSVDFDRTIPLFRVKSLRVLDFSQNKLDNIPIQKLLRWITSLERLVYLNLRGVAALKELPQLIGELRNLQLLVLTGCNNLQKLPSSITNLQKLNVLDIGYCPIQYLPQGLGRLSNLQELSGFTVPSAADRNGCRLGELQGLSQLKVLRVNISEESDIAEQELTVLSRLKQLKVLSINTEGCEKEEIFRKLDALSPPPHLEELYLRYYRGVTTPMWINPESLRQLQYLCIENGDLQFMHPSFEGGKTVTWKVEGLCLKFLARLQVEWDLLQNVMPRIRYVEVSHCYMLKSFPCNIEKLGVWRK >EOY12669 pep chromosome:Theobroma_cacao_20110822:7:3309078:3314459:-1 gene:TCM_031176 transcript:EOY12669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein, putative isoform 1 MADAIIEVVAGKLIDALKEHSGRVLEFRSQFMELKTQLDLMKSFLADANKLKRKEETVKTTLSMIRELTYDAEDILTDCLLRAEYQEEVFHCNNFLHRKMIFQHRTGKKLKEINGRIEKMQKILKTYFKTIGQQSVHDDGGSIARRWTSPAFDESSIVGLAEDTQKIIGWILPTKKLLHQVGIVGMGGLGKTTITQKIYNNDMILERFEERIWVSISQTVNEEEIMKTILKQLGEDTYGLDMGQMLPKIKNALEGKDYLIVMDDVWSAHGWWERLLAGLPKRQGQSSAIIITTRKESVATEMGVEKTRIHQPRTLNDEESWALFCRIAFSSEKEAKQHYELEELGKDIVKKCSGLPLAIKTVGGLLKSKTLSTDVWRRIYNNFHEELATREGESSVMASLQLSYDELPTRLKQCLLCFSIYPEDSVISAEQLVHWWVGEGFVQGKDTRTAIELAFDYLSELIGRCLVEVVKQRGFDGRVYSCKMHDLVRDLTIKIAREEAFCSFDEHGKQIPTVRSRRLGVTGEEDMKSLNRKSKLRAFLMMSSSSVDFDRTIPLFRVKSLRVLDFSQNKLDNIPIQKLLRWITSLERLVYLNLRGVAALKELPQLIGELRNLQLLVLTGCNNLQKLPSSITNLQKLNVLDIGYCPIQYLPQGLGRLSNLQELSGFTVPSAADRNGCRLGELQGLSQLKVLRVNISEESDIAEQELTVLSRLKQLKVLSINTEGCEKEEIFRKLDALSPPPHLEELYLRYYRGVTTPMWINPESLRQLQYLCIENGDLQFMHPSFEGGKTVTWKVEGLCLKFLARLQVEWDLLQNVMPRIRYVEVSHCYMLKSFPCNIEKLGVWRK >EOY12666 pep chromosome:Theobroma_cacao_20110822:7:3309220:3314454:-1 gene:TCM_031176 transcript:EOY12666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein, putative isoform 1 MADAIIEVVAGKLIDALKEHSGRVLEFRSQFMELKTQLDLMKSFLADANKLKRKEETVKTTLSMIRELTYDAEDILTDCLLRAEYQEEVFHCNNFLHRKMIFQHRTGKKLKEINGRIEKMQKILKTYFKTIGQQSVHDDGGSIARRWTSPAFDESSIVGLAEDTQKIIGWILPTKKLLHQVGIVGMGGLGKTTITQKIYNNDMILERFEERIWVSISQTVNEEEIMKTILKQLGEDTYGLDMGQMLPKIKNALEGKDYLIVMDDVWSAHGWWERLLAGLPKRQGQSSAIIITTRKESVATEMGVEKTRIHQPRTLNDEESWALFCRIAFSSEKEAKQHYELEELGKDIVKKCSGLPLAIKTVGGLLKSKTLSTDVWRRIYNNFHEELATREGESSVMASLQLSYDELPTRLKQCLLCFSIYPEDSVISAEQLVHWWVGEGFVQGKDTRTAIELAFDYLSELIGRCLVEVVKQRGFDGRVYSCKMHDLVRDLTIKIAREEAFCSFDEHGKQIPTVRSRRLGVTGEEDMKSLNRKSKLRAFLMMSSSSVDFDRTIPLFRVKSLRVLDFSQNKLDNIPIQKLLRWITSLERLVYLNLRGVAALKELPQLIGELRNLQLLVLTGCNNLQKLPSSITNLQKLNVLDIGYCPIQYLPQGLGRLSNLQELSGFTVPSAADRNGCRLGELQGLSQLKVLRVNISEESDIAEQELTVLSRLKQLKVLSINTEGCEKEEIFRKLDALSPPPHLEELYLRYYRGVTTPMWINPESLRQLQYLCIENGDLQFMHPSFEGGKTVTWKVEGLCLKFLARLQVEWDLLQNVMPRIRYVEVSHCYMLKSFPCNIEKLGVWRK >EOY12667 pep chromosome:Theobroma_cacao_20110822:7:3308543:3314454:-1 gene:TCM_031176 transcript:EOY12667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein, putative isoform 1 MADAIIEVVAGKLIDALKEHSGRVLEFRSQFMELKTQLDLMKSFLADANKLKRKEETVKTTLSMIRELTYDAEDILTDCLLRAEYQEEVFHCNNFLHRKMIFQHRTGKKLKEINGRIEKMQKILKTYFKTIGQQSVHDDGGSIARRWTSPAFDESSIVGLAEDTQKIIGWILPTKKLLHQVGIVGMGGLGKTTITQKIYNNDMILERFEERIWVSISQTVNEEEIMKTILKQLGEDTYGLDMGQMLPKIKNALEGKDYLIVMDDVWSAHGWWERLLAGLPKRQGQSSAIIITTRKESVATEMGVEKTRIHQPRTLNDEESWALFCRIAFSSEKEAKQHYELEELGKDIVKKCSGLPLAIKTVGGLLKSKTLSTDVWRRIYNNFHEELATREGESSVMASLQLSYDELPTRLKQCLLCFSIYPEDSVISAEQLVHWWVGEGFVQGKDTRTAIELAFDYLSELIGRCLVEVVKQRGFDGRVYSCKMHDLVRDLTIKIAREEAFCSFDEHGKQIPTVRSRRLGVTGEEDMKSLNRKSKLRAFLMMSSSSVDFDRTIPLFRVKSLRVLDFSQNKLDNIPIQKLLRWITSLERLVYLNLRGVAALKELPQLIGELRNLQLLVLTGCNNLQKLPSSITNLQKLNVLDIGYCPIQYLPQGLGRLSNLQELSGFTVPSAADRNGCRLGELQGLSQLKVLRVNISEESDIAEQELTVLSRLKQLKVLSINTEGCEKEEIFRKLDALSPPPHLEELYLRYYRGVTTPMWINPESLRQLQYLCIENGDLQFMHPSFEGGKTVTWKVEGLCLKFLARLQVEWDLLQNVMPRIRYVEVSHCYMLKSFPCNIEKLGVWRK >EOY12025 pep chromosome:Theobroma_cacao_20110822:7:677706:681494:-1 gene:TCM_030643 transcript:EOY12025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast MLLFNPLSSPPTPLSLSQKTHYTTSISSLKKHPSFFTITNFPNQKNNPTRRKLFIAQAKGDDSADGPDRLISAICYFYPFFDGVQYGKYVITQFTPIQVLIQPLFPAIKVFKSFPLNGFLVFLTLYFVVVRNPKFSRYVRFNTMQAIVLDVLLIFPDLLERTFNPRDGLGLDLVMSLDSTVFLFLLVCLIYGSSSCLFGQLPRLPIVAEAADRQVL >EOY12078 pep chromosome:Theobroma_cacao_20110822:7:817675:820007:1 gene:TCM_030679 transcript:EOY12078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 71D10, putative MITLSFMELGCPYFITLLLTFFLLFLVVQLVQAQKPKKSFTKLPPGPWKLPLIGNLHQLVSTLPHYTLRDLAKKYGPLMWLKLGEVPTLVVSSLEMAKEVMRTHDVTFCQRPYLLAASIMGYNFQDIIFSPYGNYWRQMKKICMTELLSTNRVQSFQSIREQEVSALMEIISSNARSPVNLSEKIYSMTYGITARAAFGKKSGDEEEFISIAIEVSKLAGGFCLADMYPSNEMLKLISGIRLKLEKLHKASDRILEKIIDQHKERRNGMANTKTGNKKDEEDLVDVLLKLQQQGDLDFPLLKDNIKAVIQDIFGAGSEASSNTVEWAMSEMVRNPKLMKEAQAEVRRVFHGKGKVDEVGLEELKFLKLIVKETLRLYPAGPLLIPRECSEDCVIGGYEIPAKTKVIVNAWAIGRDPSYWKEAEKFQPERFIDKRIDFRGTNFEYIPFGAGRRMCPGISFALPNIELPLAKLLYHFDWKLPNEMKCDDLDMTESFGLTVRRKNDLFLIPIPYRSSIQGS >EOY12644 pep chromosome:Theobroma_cacao_20110822:7:3125161:3125647:1 gene:TCM_031139 transcript:EOY12644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-cadinene synthase isozyme A MKQYEVPEQEAYKEFDKQIKNAWKDINEEFFMPTVVPEQALDRILNLTRVLDLFYKDEDAYTNVGEAAKTSITSLLIDPIPI >EOY12956 pep chromosome:Theobroma_cacao_20110822:7:5025514:5026286:1 gene:TCM_031461 transcript:EOY12956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQISIIKHPVSKLMELLNENGITCNDGKASTTPNNNQSKPSLINSTFSGIVSNHLCFNTSNYMPYCFNHISNIMIKQDSWIIDSGSSDHICHSLDRFAIAHPVSNHYVHLPNNGKALVTHIGPAIMDSDWGC >EOY14231 pep chromosome:Theobroma_cacao_20110822:7:22349968:22376295:1 gene:TCM_033521 transcript:EOY14231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein MESTTQSGINVEDFNTELRPSKDEPSEAEASSSWRLSLDKFRLPQQGPSSGAHRHPGPRISPLICTPKKQRKVSEYYKKQERLLAGFNEVEAMNEMDCLPGSLTEDEMKQLARSERMAVHASNIANVVLFAAKVYASVESKSLAVIASTLDSLLDLLSGFILWFTANAMKTPNRYHYPIGKKRMQPVGIIVFASVMATLGLQILLESVRELVAKSHPEINHKQEKWMIGIMVFVTVVKFVLMVYCRRFKNGIVRAYAQDHLFDVITNSVGLATAVLAIHFHWWIDPTGAIIIALYTMTTWARTVIENVWSLIGRTAPPDFLAKLTYLIWNHHEEIQHIDTVRAYTFGSHYFVEVDIVLPEDMFLGRAHNIGEKLQEKLEQLPEVERAFVHIDFEFTHRPEHKTMV >EOY11863 pep chromosome:Theobroma_cacao_20110822:7:139750:154845:1 gene:TCM_030528 transcript:EOY11863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome activating protein 200 isoform 8 MHLYNAWLPPPVAEETKKEKESFSRVVSSVKNLYRPDDPDSVYSTLKWISVIDLFIKAKSDISLEDVDSVVEIGLELFHKSQSKLYAQVRWGNILVRLLNKYRKKLSLKVQWRPLYDTLIHTHFTRNTGPEGWRLRQRHFETVTSLVRSCRRFFPAGSASEIWFEFRSLLENPWHNATFEGAGFVRLFLPTNSDNQDFFSDNWIRECMELWDSIPNCQFWNGQWTAVMARVVKNYKFINWECFLPTLFTRFLNMFEVPVASGSGSYPFSVDVPRNTRFLFSNKTVTPAKAIAKSVVYLLKPGSMAQEHFEKLVNLLEQYYHPSNGGRWTYSLERFLLYLVITFQKRLQHEQQNTDNDSQAELYLGKLERSAFVNVLLRLIDRGQYSKNEHLSETVAAATSILSYVEPSLVLPFLASRFHMALETMTATHQLKTAVMSVAFAGRSLFFTSLSNGSVNPVDLGGGDDTFIDLLMISLSNALLGMDANDPPKTLATMQLIGSIFSNMAMLDDNIDELSFMPMIRFSEWLDEFFCRLFSLLLHLEPSSVLNEGLHSSATSGTFLVEDGPYYFCMLEILLGRLSKQLYNQALKKISKFVWTNILPGAIAEVGLLCCACVHSNPEEAVVHLVEPILSSVLSSLNGTPVTGFGGRGILDPSVSTKAKPTLSPALETAIDYQLKILSVAISYGGSALLHYKDQFKEAIVSAFDSPSWKVNGAGDHLLRSLLGSLVLYYPMDQYKCILNHPAAAALEEWISTKDYSNDGALKAPKWHIPSDEEVQFANELLILHFQSALDDLLRICQTKIHSDPGNEKEHLKVTLLRIDSSLQGVLSCLPDFRPSSRNGTIEDSSYPSFLIAGATGSRVGSTQLREKAAEVIHTACKYLLEEKSDDSILLILIIRIMDALGNYGSLEYDEWSNHRQAWKLESAAIVEPPINFIASSHSKGKRRPRWALIDKAYMHSTWRSSQSSYHLFRTNGNFLPPDHVILLMDDLLNLSLHNYESVRMLAGKSLLKIMKRWPSLISKCVLSLCENLRKPNSPDHAVLGSCAVLSTQTVLKHLTTDPQAFGSFLLAILLSSHHESLKAQKAINELFVKYNIYFAGVSKNIFKTVDNHIDTPDFADLVSQIGSMSFDSTGLHWRYNLMANRVLLLLAVSCRHDPNFSPKILGETAGHFLKNLKSQLPQTRILAISALNTLLKDSPYKMSADDRPLFSGNSQENAESSLEGALREIFQEEGFFNETLNSLSHVHIITDTESASSRGNHGNSSFQSLADKSITRFYFDFSATWPRTPSWISLLGSDTFYSNFARIFKRLIQECGMPVLLALKSTLEEFVNAKERSKQCVAAEAFAGVLHSDVNGLLEEWDSWMMVQLQNIILAQSVESIPEWAACIRYAVTGKGKHGTRVPLLRQQILNCLLTPLPPTVTTTVVAKRYAFISAALIELSPQKMPVPEIQMHNKLLDELLGNMCHSSAQVREAIGVTLSVLCSNIRLHASSSQDHSNDRGKTNINNQLKEENWVQLLTERASELVVNIQNSSLSDVIDTSTDISTKNGYQNGDSQDDVKWMETLFHFIISTLKSGRSSYLLDVIVGLLEIFWPLEGNVKQRFVNVSKGSI >EOY11858 pep chromosome:Theobroma_cacao_20110822:7:139771:154845:1 gene:TCM_030528 transcript:EOY11858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome activating protein 200 isoform 8 MHLYNAWLPPPVAEETKKEKESFSRVVSSVKNLYRPDDPDSVYSTLKWISVIDLFIKAKSDISLEDVDSVVEIGLELFHKSQSKLYAQVRWGNILVRLLNKYRKKLSLKVQWRPLYDTLIHTHFTRNTGPEGWRLRQRHFETVTSLVRSCRRFFPAGSASEIWFEFRSLLENPWHNATFEGAGFVRLFLPTNSDNQDFFSDNWIRECMELWDSIPNCQFWNGQWTAVMARVVKNYKFINWECFLPTLFTRFLNMFEVPVASGSGSYPFSVDVPRNTRFLFSNKTVTPAKAIAKSVVYLLKPGSMAQEHFEKLVNLLEQYYHPSNGGRWTYSLERFLLYLVITFQKRLQHEQQNTDNDSQAELYLGKLERSAFVNVLLRLIDRGQYSKNEHLSETVAAATSILSYVEPSLVLPFLASRFHMALETMTATHQLKTAVMSVAFAGRSLFFTSLSNGSVNPVDLGGGDDTFIDLLMISLSNALLGMDANDPPKTLATMQLIGSIFSNMAMLDDNIDELSFMPMIRFSEWLDEFFCRLFSLLLHLEPSSVLNEGLHSSATSGTFLVEDGPYYFCMLEILLGRLSKQLYNQALKKISKFVWTNILPGAIAEVGLLCCACVHSNPEEAVVHLVEPILSSVLSSLNGTPVTGFGGRGILDPSVSTKAKPTLSPALETAIDYQLKILSVAISYGGSALLHYKDQFKEAIVSAFDSPSWKVNGAGDHLLRSLLGSLVLYYPMDQYKCILNHPAAAALEEWISTKDYSNDGALKAPKWHIPSDEEVQFANELLILHFQSALDDLLRICQTKIHSDPGNEKEHLKVTLLRIDSSLQGVLSCLPDFRPSSRNGTIEDSSYPSFLIAGATGSRVGSTQLREKAAEVIHTACKYLLEEKSDDSILLILIIRIMDALGNYGSLEYDEWSNHRQAWKLESAAIVEPPINFIASSHSKGKRRPRWALIDKAYMHSTWRSSQSSYHLFRTNGNFLPPDHVILLMDDLLNLSLHNYESVRMLAGKSLLKIMKRWPSLISKCVLSLCENLRKPNSPDHAVLGSCAVLSTQTVLKHLTTDPQAFGSFLLAILLSSHHESLKAQKAINELFVKYNIYFAGVSKNIFKTVDNHIDTPDFADLVSQIGSMSFDSTGLHWRYNLMANRVLLLLAVSCRHDPNFSPKILGETAGHFLKNLKSQLPQTRILAISALNTLLKDSPYKMSADDRPLFSGNSQENAESSLEGALREIFQEEGFFNETLNSLSHVHIITDTESASSRGNHGNSSFQSLADKSITRFYFDFSATWPRTPSWISLLGSDTFYSNFARIFKRLIQECGMPVLLALKSTLEEFVNAKERSKQCVAAEAFAGVLHSDVNGLLEEWDSWMMVQLQNIILAQSVESIPEWAACIRYAVTGKGKHGTRVPLLRQQILNCLLTPLPPTVTTTVVAKRYAFISAALIELSPQKMPVPEIQMHNKLLDELLGNMCHSSAQVREAIGVTLSVLCSNIRLHASSSQDHSNDRGKTNINNQLKEENWVQLLTERASELVVNIQNSSLSDVIDTSTDISTKNGYQNGDSQDDVKWMETLFHFIISTLKSGRSSYLLDVIVGLLYPVISLQETSNKDLSTLAKAAFELLKWRIILEPHLQKAVSVILSSAKDPNWRTRSATLTYLRTFMFRHTFILLKGDKQKIWKTVEKLLQDNQVEVDFW >EOY11857 pep chromosome:Theobroma_cacao_20110822:7:139771:154845:1 gene:TCM_030528 transcript:EOY11857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome activating protein 200 isoform 8 MHLYNAWLPPPVAEETKKEKESFSRVVSSVKNLYRPDDPDSVYSTLKWISVIDLFIKAKSDISLEDVDSVVEIGLELFHKSQSKLYAQVRWGNILVRLLNKYRKKLSLKVQWRPLYDTLIHTHFTRNTGPEGWRLRQRHFETVTSLVRSCRRFFPAGSASEIWFEFRSLLENPWHNATFEGAGFVRLFLPTNSDNQDFFSDNWIRECMELWDSIPNCQFWNGQWTAVMARVVKNYKFINWECFLPTLFTRFLNMFEVPVASGSGSYPFSVDVPRNTRFLFSNKTVTPAKAIAKSVVYLLKPGSMAQEHFEKLVNLLEQYYHPSNGGRWTYSLERFLLYLVITFQKRLQHEQQNTDNDSQAELYLGKLERSAFVNVLLRLIDRGQYSKNEHLSETVAAATSILSYVEPSLVLPFLASRFHMALETMTATHQLKTAVMSVAFAGRSLFFTSLSNGSVNPVDLGGGDDTFIDLLMISLSNALLGMDANDPPKTLATMQLIGSIFSNMAMLDDNIDELSFMPMIRFSEWLDEFFCRLFSLLLHLEPSSVLNEGLHSSATSGTFLVEDGPYYFCMLEILLGRLSKQLYNQALKKISKFVWTNILPGAIAEVGLLCCACVHSNPEEAVVHLVEPILSSVLSSLNGTPVTGFGGRGILDPSVSTKAKPTLSPALETAIDYQLKILSVAISYGGSALLHYKDQFKEAIVSAFDSPSWKVNGAGDHLLRSLLGSLVLYYPMDQYKCILNHPAAAALEEWISTKDYSNDGALKAPKWHIPSDEEVQFANELLILHFQSALDDLLRICQTKIHSDPGNEKEHLKVTLLRIDSSLQGVLSCLPDFRPSSRNGTIEDSSYPSFLIAGATGSRVGSTQLREKAAEVIHTACKYLLEEKSDDSILLILIIRIMDALGNYGSLEYDEWSNHRQAWKLESAAIVEPPINFIASSHSKGKRRPRWALIDKAYMHSTWRSSQSSYHLFRTNGNFLPPDHVILLMDDLLNLSLHNYESVRMLAGKSLLKIMKRWPSLISKCVLSLCENLRKPNSPDHAVLGSCAVLSTQTVLKHLTTDPQAFGSFLLAILLSSHHESLKAQKAINELFVKYNIYFAGVSKNIFKTVDNHIDTPDFADLVSQIGSMSFDSTGLHWRYNLMANRVLLLLAVSCRHDPNFSPKILGETAGHFLKNLKSQLPQTRILAISALNTLLKDSPYKMSADDRPLFSGNSQENAESSLEGALREIFQEEGFFNETLNSLSHVHIITDTESASSRGNHGNSSFQSLADKSITRFYFDFSATWPRTPSWISLLGSDTFYSNFARIFKRLIQECGMPVLLALKSTLEEFVNAKERSKQCVAAEAFAGVLHSDVNGLLEEWDSWMMVQLQNIILAQSVESIPEWAACIRYAVTGKGKHGTRVPLLRQQILNCLLTPLPPTVTTTVVAKRYAFISAALIELSPQKMPVPEIQMHNKLLDELLGNMCHSSAQVREAIGVTLSVLCSNIRLHASSSQDHSNDRGKTNINNQLKEENWVQLLTERASELVVNIQNSSLSDVIDTSTDISTKNGYQNGDSQDDVKWMETLFHFIISTLKSGRSSYLLDVIVGLLYPVISLQETSNKDLSTLAKAAFELLKWRIILEPHLQKAVSVILSSAKDPNWRTRSATLTYLRTFMFRHTFILLKGDKQKIWKTVEKLLQDNQVEVDFW >EOY11860 pep chromosome:Theobroma_cacao_20110822:7:141516:154845:1 gene:TCM_030528 transcript:EOY11860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome activating protein 200 isoform 8 MELWDSIPNCQFWNGQWTAVMARVVKNYKFINWECFLPTLFTRFLNMFEVPVASGSGSYPFSVDVPRNTRFLFSNKTVTPAKAIAKSVVYLLKPGSMAQEHFEKLVNLLEQYYHPSNGGRWTYSLERFLLYLVITFQKRLQHEQQNTDNDSQAELYLGKLERSAFVNVLLRLIDRGQYSKNEHLSETVAAATSILSYVEPSLVLPFLASRFHMALETMTATHQLKTAVMSVAFAGRSLFFTSLSNGSVNPVDLGGGDDTFIDLLMISLSNALLGMDANDPPKTLATMQLIGSIFSNMAMLDDNIDELSFMPMIRFSEWLDEFFCRLFSLLLHLEPSSVLNEGLHSSATSGTFLVEDGPYYFCMLEILLGRLSKQLYNQALKKISKFVWTNILPGAIAEVGLLCCACVHSNPEEAVVHLVEPILSSVLSSLNGTPVTGFGGRGILDPSVSTKAKPTLSPALETAIDYQLKILSVAISYGGSALLHYKDQFKEAIVSAFDSPSWKVNGAGDHLLRSLLGSLVLYYPMDQYKCILNHPAAAALEEWISTKDYSNDGALKAPKWHIPSDEEVQFANELLILHFQSALDDLLRICQTKIHSDPGNEKEHLKVTLLRIDSSLQGVLSCLPDFRPSSRNGTIEDSSYPSFLIAGATGSRVGSTQLREKAAEVIHTACKYLLEEKSDDSILLILIIRIMDALGNYGSLEYDEWSNHRQAWKLESAAIVEPPINFIASSHSKGKRRPRWALIDKAYMHSTWRSSQSSYHLFRTNGNFLPPDHVILLMDDLLNLSLHNYESVRMLAGKSLLKIMKRWPSLISKCVLSLCENLRKPNSPDHAVLGSCAVLSTQTVLKHLTTDPQAFGSFLLAILLSSHHESLKAQKAINELFVKYNIYFAGVSKNIFKTVDNHIDTPDFADLVSQIGSMSFDSTGLHWRYNLMANRVLLLLAVSCRHDPNFSPKILGETAGHFLKNLKSQLPQTRILAISALNTLLKDSPYKMSADDRPLFSGNSQENAESSLEGALREIFQEEGFFNETLNSLSHVHIITDTESASSRGNHGNSSFQSLADKSITRFYFDFSATWPRTPSWISLLGSDTFYSNFARIFKRLIQECGMPVLLALKSTLEEFVNAKERSKQCVAAEAFAGVLHSDVNGLLEEWDSWMMVQLQNIILAQSVESIPEWAACIRYAVTGKGKHGTRVPLLRQQILNCLLTPLPPTVTTTVVAKRYAFISAALIELSPQKMPVPEIQMHNKLLDELLGNMCHSSAQVREAIGVTLSVLCSNIRLHASSSQDHSNDRGKTNINNQLKEENWVQLLTERASELVVNIQNSSLSDVIDTSTDISTKNGYQNGDSQDDVKWMETLFHFIISTLKSGRSSYLLDVIVGLLYPVISLQETSNKDLSTLAKAAFELLKWRIILEPHLQKAVSVILSSAKDPNWRTRSATLTYLRTFMFRHTFILLKGDKQKIWKTVEKLLQDNQVGKRACCRGASWPNEGWG >EOY11865 pep chromosome:Theobroma_cacao_20110822:7:144467:154222:1 gene:TCM_030528 transcript:EOY11865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome activating protein 200 isoform 8 MISLSNALLGMDANDPPKTLATMQLIGSIFSNMAMLDDNIDELSFMPMIRFSEWLDEFFCRLFSLLLHLEPSSVLNEGLHSSATSGTFLVEDGPYYFCMLEILLGRLSKQLYNQALKKISKFVWTNILPGAIAEVGLLCCACVHSNPEEAVVHLVEPILSSVLSSLNGTPVTGFGGRGILDPSVSTKAKPTLSPALETAIDYQLKILSVAISYGGSALLHYKDQFKEAIVSAFDSPSWKVNGAGDHLLRSLLGSLVLYYPMDQYKCILNHPAAAALEEWISTKDYSNDGALKAPKWHIPSDEEVQFANELLILHFQSALDDLLRICQTKIHSDPGNEKEHLKVTLLRIDSSLQGVLSCLPDFRPSSRNGTIEDSSYPSFLIAGATGSRVGSTQLREKAAEVIHTACKYLLEEKSDDSILLILIIRIMDALGNYGSLEYDEWSNHRQAWKLESAAIVEPPINFIASSHSKGKRRPRWALIDKAYMHSTWRSSQSSYHLFRTNGNFLPPDHVILLMDDLLNLSLHNYESVRMLAGKSLLKIMKRWPSLISKCVLSLCENLRKPNSPDHAVLGSCAVLSTQTVLKHLTTDPQAFGSFLLAILLSSHHESLKAQKAINELFVKYNIYFAGVSKNIFKTVDNHIDTPDFADLVSQIGSMSFDSTGLHWRYNLMANRVLLLLAVSCRHDPNFSPKILGETAGHFLKNLKSQLPQTRILAISALNTLLKDSPYKMSADDRPLFSGNSQENAESSLEGALREIFQEEGFFNETLNSLSHVHIITDTESASSRGNHGNSSFQSLADKSITRFYFDFSATWPRTPSWISLLGSDTFYSNFARIFKRLIQECGMPVLLALKSTLEEFVNAKERSKQCVAAEAFAGVLHSDVNGLLEEWDSWMMVQLQNIILAQSVESIPEWAACIRYAVTGKGKHGTRVPLLRQQILNCLLTPLPPTVTTTVVAKRYAFISAALIELSPQKMPVPEIQMHNKLLDELLGNMCHSSAQVREAIGVTLSVLCSNIRLHASSSQDHSNDRGKTNINNQLKEENWVQLLTERASELVVNIQNSSLSDVIDTSTDISTKNGYQNGDSQDDVKWMETLFHFIISTLKSGRSSYLLDVIVGLLYPVISLQETSNKDLSTLAKAAFELLKWRIILEPHLQKAVSVILSSAKDPNWRTRSATLTYLRTFMFRHTFILLKGDKQKIWKTVEKLLQDNQVEVREHAAGVLAGLMKGGDEDLAGDFRDRAYIEANSIQRRRKTRNANSGHSVASVHGAVLALAASVLSVPYDMPRWLPDHVTLLARFSGEPSPVKLTVTKAVAEFRRTHADTWNVQKDSFNEEQLEVLADTSSSSSYFA >EOY11861 pep chromosome:Theobroma_cacao_20110822:7:141516:154845:1 gene:TCM_030528 transcript:EOY11861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome activating protein 200 isoform 8 MLRWGNILVRLLNKYRKKLSLKVQWRPLYDTLIHTHFTRNTGPEGWRLRQRHFETVTSLVRSCRRFFPAGSASEIWFEFRSLLENPWHNATFEGAGFVRLFLPTNSDNQDFFSDNWIRECMELWDSIPNCQFWNGQWTAVMARVVKNYKFINWECFLPTLFTRFLNMFEVPVASGSGSYPFSVDVPRNTRFLFSNKTVTPAKAIAKSVVYLLKPGSMAQEHFEKLVNLLEQYYHPSNGGRWTYSLERFLLYLVITFQKRLQHEQQNTDNDSQAELYLGKLERSAFVNVLLRLIDRGQYSKNEHLSETVAAATSILSYVEPSLVLPFLASRFHMALETMTATHQLKTAVMSVAFAGRSLFFTSLSNGSVNPVDLGGGDDTFIDLLMISLSNALLGMDANDPPKTLATMQLIGSIFSNMAMLDDNIDELSFMPMIRFSEWLDEFFCRLFSLLLHLEPSSVLNEGLHSSATSGTFLVEDGPYYFCMLEILLGRLSKQLYNQALKKISKFVWTNILPGAIAEVGLLCCACVHSNPEEAVVHLVEPILSSVLSSLNGTPVTGFGGRGILDPSVSTKAKPTLSPALETAIDYQLKILSVAISYGGSALLHYKDQFKEAIVSAFDSPSWKVNGAGDHLLRSLLGSLVLYYPMDQYKCILNHPAAAALEEWISTKDYSNDGALKAPKWHIPSDEEVQFANELLILHFQSALDDLLRICQTKIHSDPGNEKEHLKVTLLRIDSSLQGVLSCLPDFRPSSRNGTIEDSSYPSFLIAGATGSRVGSTQLREKAAEVIHTACKYLLEEKSDDSILLILIIRIMDALGNYGSLEYDEWSNHRQAWKLESAAIVEPPINFIASSHSKGKRRPRWALIDKAYMHSTWRSSQSSYHLFRTNGNFLPPDHVILLMDDLLNLSLHNYESVRMLAGKSLLKIMKRWPSLISKCVLSLCENLRKPNSPDHAVLGSCAVLSTQTVLKHLTTDPQAFGSFLLAILLSSHHESLKAQKAINELFVKYNIYFAGVSKNIFKTVDNHIDTPDFADLVSQIGSMSFDSTGLHWRYNLMANRVLLLLAVSCRHDPNFSPKILGETAGHFLKNLKSQLPQTRILAISALNTLLKDSPYKMSADDRPLFSGNSQENAESSLEGALREIFQEEGFFNETLNSLSHVHIITDTESASSRGNHGNSSFQSLADKSITRFYFDFSATWPRTPSWISLLGSDTFYSNFARIFKRLIQECGMPVLLALKSTLEEFVNAKERSKQCVAAEAFAGVLHSDVNGLLEEWDSWMMVQLQNIILAQSVESIPEWAACIRYAVTGKGKHGTRVPLLRQQILNCLLTPLPPTVTTTVVAKRYAFISAALIELSPQKMPVPEIQMHNKLLDELLGNMCHSSAQVREAIGVTLSVLCSNIRLHASSSQDHSNDRGKTNINNQLKEENWVQLLTERASELVVNIQNSSLSDVIDTSTDISTKNGYQNGDSQDDVKWMETLFHFIISTLKSGRSSYLLDVIVGLLYPVISLQETSNKDLSTLAKAAFELLKWRIILEPHLQKAVSVILSSAKDPNWRTRSATLTYLRTFMFRHTFILLKGDKQKIWKTVEKLLQDNQVGKRACCRGASWPNEGWG >EOY11864 pep chromosome:Theobroma_cacao_20110822:7:144467:154222:1 gene:TCM_030528 transcript:EOY11864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome activating protein 200 isoform 8 MISLSNALLGMDANDPPKTLATMQLIGSIFSNMAMLDDNIDELSFMPMIRFSEWLDEFFCRLFSLLLHLEPSSVLNEGLHSSATSGTFLVEDGPYYFCMLEILLGRLSKQLYNQALKKISKFVWTNILPGAIAEVGLLCCACVHSNPEEAVVHLVEPILSSVLSSLNGTPVTGFGGRGILDPSVSTKAKPTLSPALETAIDYQLKILSVAISYGGSALLHYKDQFKEAIVSAFDSPSWKVNGAGDHLLRSLLGSLVLYYPMDQYKCILNHPAAAALEEWISTKDYSNDGALKAPKWHIPSDEEVQFANELLILHFQSALDDLLRICQTKIHSDPGNEKEHLKVTLLRIDSSLQGVLSCLPDFRPSSRNGTIEDSSYPSFLIAGATGSRVGSTQLREKAAEVIHTACKYLLEEKSDDSILLILIIRIMDALGNYGSLEYDEWSNHRQAWKLESAAIVEPPINFIASSHSKGKRRPRWALIDKAYMHSTWRSSQSSYHLFRTNGNFLPPDHVILLMDDLLNLSLHNYESVRMLAGKSLLKIMKRWPSLISKCVLSLCENLRKPNSPDHAVLGSCAVLSTQTVLKHLTTDPQAFGSFLLAILLSSHHESLKAQKAINELFVKYNIYFAGVSKNIFKTVDNHIDTPDFADLVSQIGSMSFDSTGLHWRYNLMANRVLLLLAVSCRHDPNFSPKILGETAGHFLKNLKSQLPQTRILAISALNTLLKDSPYKMSADDRPLFSGNSQENAESSLEGALREIFQEEGFFNETLNSLSHVHIITDTESASSRGNHGNSSFQSLADKSITRFYFDFSATWPRTPSWISLLGSDTFYSNFARIFKRLIQECGMPVLLALKSTLEEFVNAKERSKQCVAAEAFAGVLHSDVNGLLEEWDSWMMVQLQNIILAQSVESIPEWAACIRYAVTGKGKHGTRVPLLRQQILNCLLTPLPPTVTTTVVAKRYAFISAALIELSPQKMPVPEIQMHNKLLDELLGNMCHSSAQVREAIGVTLSVLCSNIRLHASSSQDHSNDRGKTNINNQLKEENWVQLLTERASELVVNIQNSSLSDVIDTSTDISTKNGYQNGDSQDDVKWMETLFHFIISTLKSGRSSYLLDVIVGLLYPVISLQETSNKDLSTLAKAAFELLKWRIILEPHLQKAVSVILSSAKDPNWRTRSATLTYLRTFMFRHTFILLKGDKQKIWKTVEKLLQDNQVER >EOY11859 pep chromosome:Theobroma_cacao_20110822:7:141512:154845:1 gene:TCM_030528 transcript:EOY11859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome activating protein 200 isoform 8 MLRWGNILVRLLNKYRKKLSLKVQWRPLYDTLIHTHFTRNTGPEGWRLRQRHFETVTSLVRSCRRFFPAGSASEIWFEFRSLLENPWHNATFEGAGFVRLFLPTNSDNQDFFSDNWIRECMELWDSIPNCQFWNGQWTAVMARVVKNYKFINWECFLPTLFTRFLNMFEVPVASGSGSYPFSVDVPRNTRFLFSNKTVTPAKAIAKSVVYLLKPGSMAQEHFEKLVNLLEQYYHPSNGGRWTYSLERFLLYLVITFQKRLQHEQQNTDNDSQAELYLGKLERSAFVNVLLRLIDRGQYSKNEHLSETVAAATSILSYVEPSLVLPFLASRFHMALETMTATHQLKTAVMSVAFAGRSLFFTSLSNGSVNPVDLGGGDDTFIDLLMISLSNALLGMDANDPPKTLATMQLIGSIFSNMAMLDDNIDELSFMPMIRFSEWLDEFFCRLFSLLLHLEPSSVLNEGLHSSATSGTFLVEDGPYYFCMLEILLGRLSKQLYNQALKKISKFVWTNILPGAIAEVGLLCCACVHSNPEEAVVHLVEPILSSVLSSLNGTPVTGFGGRGILDPSVSTKAKPTLSPALETAIDYQLKILSVAISYGGSALLHYKDQFKEAIVSAFDSPSWKVNGAGDHLLRSLLGSLVLYYPMDQYKCILNHPAAAALEEWISTKDYSNDGALKAPKWHIPSDEEVQFANELLILHFQSALDDLLRICQTKIHSDPGNEKEHLKVTLLRIDSSLQGVLSCLPDFRPSSRNGTIEDSSYPSFLIAGATGSRVGSTQLREKAAEVIHTACKYLLEEKSDDSILLILIIRIMDALGNYGSLEYDEWSNHRQAWKLESAAIVEPPINFIASSHSKGKRRPRWALIDKAYMHSTWRSSQSSYHLFRTNGNFLPPDHVILLMDDLLNLSLHNYESVRMLAGKSLLKIMKRWPSLISKCVLSLCENLRKPNSPDHAVLGSCAVLSTQTVLKHLTTDPQAFGSFLLAILLSSHHESLKAQKAINELFVKYNIYFAGVSKNIFKTVDNHIDTPDFADLVSQIGSMSFDSTGLHWRYNLMANRVLLLLAVSCRHDPNFSPKILGETAGHFLKNLKSQLPQTRILAISALNTLLKDSPYKMSADDRPLFSGNSQENAESSLEGALREIFQEEGFFNETLNSLSHVHIITDTESASSRGNHGNSSFQSLADKSITRFYFDFSATWPRTPSWISLLGSDTFYSNFARIFKRLIQECGMPVLLALKSTLEEFVNAKERSKQCVAAEAFAGVLHSDVNGLLEEWDSWMMVQLQNIILAQSVESIPEWAACIRYAVTGKGKHGTRVPLLRQQILNCLLTPLPPTVTTTVVAKRYAFISAALIELSPQKMPVPEIQMHNKLLDELLGNMCHSSAQVREAIGVTLSVLCSNIRLHASSSQDHSNDRGKTNINNQLKEENWVQLLTERASELVVNIQNSSLSDVIDTSTDISTKNGYQNGDSQDDVKWMETLFHFIISTLKSGRSSYLLDVIVGLLYPVISLQETSNKDLSTLAKAAFELLKWRIILEPHLQKAVSVILSSAKDPNWRTRSATLTYLRTFMFRHTFILLKGDKQKIWKTVEKLLQDNQVEVDFW >EOY11866 pep chromosome:Theobroma_cacao_20110822:7:144566:152292:1 gene:TCM_030528 transcript:EOY11866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome activating protein 200 isoform 8 MISLSNALLGMDANDPPKTLATMQLIGSIFSNMAMLDDNIDELSFMPMIRFSEWLDEFFCRLFSLLLHLEPSSVLNEGLHSSATSGTFLVEDGPYYFCMLEILLGRLSKQLYNQALKKISKFVWTNILPGAIAEVGLLCCACVHSNPEEAVVHLVEPILSSVLSSLNGTPVTGFGGRGILDPSVSTKAKPTLSPALETAIDYQLKILSVAISYGGSALLHYKDQFKEAIVSAFDSPSWKVNGAGDHLLRSLLGSLVLYYPMDQYKCILNHPAAAALEEWISTKDYSNDGALKAPKWHIPSDEEVQFANELLILHFQSALDDLLRICQTKIHSDPGNEKEHLKVTLLRIDSSLQGVLSCLPDFRPSSRNGTIEDSSYPSFLIAGATGSRVGSTQLREKAAEVIHTACKYLLEEKSDDSILLILIIRIMDALGNYGSLEYDEWSNHRQAWKLESAAIVEPPINFIASSHSKGKRRPRWALIDKAYMHSTWRSSQSSYHLFRTNGNFLPPDHVILLMDDLLNLSLHNYESVRMLAGKSLLKIMKRWPSLISKCVLSLCENLRKPNSPDHAVLGSCAVLSTQTVLKHLTTDPQAFGSFLLAILLSSHHESLKAQKAINELFVKYNIYFAGVSKNIFKTVDNHIDTPDFADLVSQIGSMSFDSTGLHWRYNLMANRVLLLLAVSCRHDPNFSPKILGETAGHFLKNLKSQLPQTRILAISALNTLLKDSPYKMSADDRPLFSGNSQENAESSLEGALREIFQEEGFFNETLNSLSHVHIITDTESASSRGNHGNSSFQSLADKSITRFYFDFSATWPRTPSWISLLGSDTFYSNFARIFKRLIQECGMPVLLALKSTLEEFVNAKERSKQCVAAEAFAGVLHSDVNGLLEEWDSWMMVQLQNIILAQSVESIPEWAACIRYAVTGKGKHGTRVPLLRQQILNCLLTPLPPTVTTTVVAKRYAFISAALIELSPQKMPVPEIQMHNKLLDELLGNMCHSSAQVREAIGVTLSVLCSNIRLHASSSQDHSNDRGKTNINNQLKEENWVQLLTERASELVVNIQNSSLSDVIDTSTDISTKNGYQNGDSQDDVKWMETLFHFIISTLKSGRSSYLLDVIVGLLYPVISLQETSNKDLSTLAKAAFELLKWRIILEPHLQKAVSVILSSAKDPNWRTRSATLTYLRTFMFRHTFILLKGDKQKIWKTVEKLLQDNQVEVVREHAAGVLAGLMKGGDEDLAGDFRDRAYIEANSIQRRRKTRECKFWTLCGICTWCSTCSGSFGVISPI >EOY11862 pep chromosome:Theobroma_cacao_20110822:7:141516:154845:1 gene:TCM_030528 transcript:EOY11862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome activating protein 200 isoform 8 MELWDSIPNCQFWNGQWTAVMARVVKNYKFINWECFLPTLFTRFLNMFEVPVASGSGSYPFSVDVPRNTRFLFSNKTVTPAKAIAKSVVYLLKPGSMAQEHFEKLVNLLEQYYHPSNGGRWTYSLERFLLYLVITFQKRLQHEQQNTDNDSQAELYLGKLERSAFVNVLLRLIDRGQYSKNEHLSETVAAATSILSYVEPSLVLPFLASRFHMALETMTATHQLKTAVMSVAFAGRSLFFTSLSNGSVNPVDLGGGDDTFIDLLMISLSNALLGMDANDPPKTLATMQLIGSIFSNMAMLDDNIDELSFMPMIRFSEWLDEFFCRLFSLLLHLEPSSVLNEGLHSSATSGTFLVEDGPYYFCMLEILLGRLSKQLYNQALKKISKFVWTNILPGAIAEVGLLCCACVHSNPEEAVVHLVEPILSSVLSSLNGTPVTGFGGRGILDPSVSTKAKPTLSPALETAIDYQLKILSVAISYGGSALLHYKDQFKEAIVSAFDSPSWKVNGAGDHLLRSLLGSLVLYYPMDQYKCILNHPAAAALEEWISTKDYSNDGALKAPKWHIPSDEEVQFANELLILHFQSALDDLLRICQTKIHSDPGNEKEHLKVTLLRIDSSLQGVLSCLPDFRPSSRNGTIEDSSYPSFLIAGATGSRVGSTQLREKAAEVIHTACKYLLEEKSDDSILLILIIRIMDALGNYGSLEYDEWSNHRQAWKLESAAIVEPPINFIASSHSKGKRRPRWALIDKAYMHSTWRSSQSSYHLFRTNGNFLPPDHVILLMDDLLNLSLHNYESVRMLAGKSLLKIMKRWPSLISKCVLSLCENLRKPNSPDHAVLGSCAVLSTQTVLKHLTTDPQAFGSFLLAILLSSHHESLKAQKAINELFVKYNIYFAGVSKNIFKTVDNHIDTPDFADLVSQIGSMSFDSTGLHWRYNLMANRVLLLLAVSCRHDPNFSPKILGETAGHFLKNLKSQLPQTRILAISALNTLLKDSPYKMSADDRPLFSGNSQENAESSLEGALREIFQEEGFFNETLNSLSHVHIITDTESASSRGNHGNSSFQSLADKSITRFYFDFSATWPRTPSWISLLGSDTFYSNFARIFKRLIQECGMPVLLALKSTLEEFVNAKERSKQCVAAEAFAGVLHSDVNGLLEEWDSWMMVQLQNIILAQSVESIPEWAACIRYAVTGKGKHGTRVPLLRQQILNCLLTPLPPTVTTTVVAKRYAFISAALIELSPQKMPVPEIQMHNKLLDELLGNMCHSSAQVREAIGVTLSVLCSNIRLHASSSQDHSNDRGKTNINNQLKEENWVQLLTERASELVVNIQNSSLSDVIDTSTDISTKNGYQNGDSQDDVKWMETLFHFIISTLKSGRSSYLLDVIVGLLYPVISLQETSNKDLSTLAKAAFELLKWRIILEPHLQKAVSVILSSAKDPNWRTRSATLTYLRTFMFRHTFILLKGDKQKIWKTVEKLLQDNQVGKRACCRGASWPNEGWG >EOY13161 pep chromosome:Theobroma_cacao_20110822:7:6246746:6250989:1 gene:TCM_031685 transcript:EOY13161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase isoform 2 EDLEYQSGFGNHFSSEAIAGALPRGQNSPLICPFGLYAEQISGTSFTSPRKLNQRSWLYRIKPSVTHEPFWPRDSSHKKLVSEFDGSNTVANPTQLRWKPVDIPDTPTDFIDGLFTICGAGSSFLRHGYAIHMYTANKSMDNCAFCNADGDFLVVPQQGRLWITTECGRLQVSPGEIAVLPQGFRFVVDLPDGPSRGYVAEVFGANGLAASRDFLAPTAWFEEHPRPGFTIVQKFGGELFNARQDFSPFNVVAWHGNYVPYKYDLSKFCPYNTVLVDHGDPSINTVLTAPTDKPGVALLDFVIFPSRWLVAEHTFRPPYYHRNCMSEFMGLIYGGYEAKADGFLPGGASLHSCMTPHGPDTKTYEATIARGYEAGPHKITDTMAFMFESFLMPRTCPWVLESPFRDHDYYQCWVGLKSHFS >EOY13160 pep chromosome:Theobroma_cacao_20110822:7:6246570:6251144:1 gene:TCM_031685 transcript:EOY13160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase isoform 2 MEKPAAETTKGNGLGVFPEDLEYQSGFGNHFSSEAIAGALPRGQNSPLICPFGLYAEQISGTSFTSPRKLNQRSWLYRIKPSVTHEPFWPRDSSHKKLVSEFDGSNTVANPTQLRWKPVDIPDTPTDFIDGLFTICGAGSSFLRHGYAIHMYTANKSMDNCAFCNADGDFLVVPQQGRLWITTECGRLQVSPGEIAVLPQGFRFVVDLPDGPSRGYVAEVFGTHFQLPDLGPIGANGLAASRDFLAPTAWFEEHPRPGFTIVQKFGGELFNARQDFSPFNVVAWHGNYVPYKYDLSKFCPYNTVLVDHGDPSINTVLTAPTDKPGVALLDFVIFPSRWLVAEHTFRPPYYHRNCMSEFMGLIYGGYEAKADGFLPGGASLHSCMTPHGPDTKTYEATIARGYEAGPHKITDTMAFMFESFLMPRTCPWVLESPFRDHDYYQCWVGLKSHFS >EOY12187 pep chromosome:Theobroma_cacao_20110822:7:1246240:1248613:1 gene:TCM_030762 transcript:EOY12187 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT family protein MEGELKNFISVIIMGFISMYYCYYIAAKIPQGLPRLISLLPVITLFSVLPLNIHSFHIGTPVVFILTWITNFKLLLLAFEQGPLSPPPPGAHLFILTACSPFRIKQSQPKTKRTTGPNVVSEAANKAALLALLFHCYNYRQCFPRQVLLILYFFHTYLTIQLFLALAAIPALILLGVELEPQFNAPLLATSLQDFWGRRWNLRVSDTLRPTVYSPIRSVSTRIIGPRWASLPAVFATFLTSGLMHELIYYHITREFPTWEVTWFFVLQGIFVDIEIVMKKKLLFRLHRSVSGPLALANLAVTAGWLSYRQLLRNGVDEKVINEFNAFLEFLKRFSMI >EOY12806 pep chromosome:Theobroma_cacao_20110822:7:4230688:4236241:1 gene:TCM_031327 transcript:EOY12806 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MELVGPILEVIKCFGGPTCTYLDNHRKLEENMSDLRRRVDGLCIRKQDLKLRKDAELRRGKVVRKEVERWFEDVERIIFDMHTIEQKLCDVSYFSRARLGKLVCRKIEEVKEIHHQGSFLDGVAIDAPPTGGVILQTTDLEGEINVKEQILEYLMGDEVAMIGVCGMGGIGKTTIMKHINNQLLKEARFDKVIWVTVSKELNVVKLQEDIASACDMKDCLPKNELKRATKLMDILKTERYVLILDDVWKRFSLSQVGIPEPTHDGRKLVITSRSIDVCLSMGCKVFKVQHLSKKESMNLFLKHVGHAVLQHPTLKEIVKLIVDQCGGLPLAIVTIAGSMKGVDDVHEWRNALNELCERVKSVRGLDAETFECLMFSYDHLGDSKIQKCFLYCSLYPEDCTIARSMLIENWIDEGLIDECGCRQAMHDRGHSILNKLEKNCLLEKGDNGVGVKMHDVLRDMALSLKNAYPRFMVKAGMELKELPRKHEWTEDLEKVSLMDNSISEIPLGISPKCYSLSTLLLQENHEMQRISESFFEHMHGLKVLNLSSTDIRYLPNSISYLENLEALVLRFCFKLRHVPSLGKLTALRKLDLYHTGIEEVPHGMEMLVNLTYLALHSNNLKELPMGILPKLSHLQYLLTTSYVRGEEATKLTKLEIFSGSFTEPQDFQNYAESMAGPRPTNYLLLVGSHGLKFYEFYRHQNVFLFWLQFEQLEIHKKVYFFNCRIRGDQDPVLLPNDLEALHVEECHDLLSLSNTFLFHDQANNLKHCYIWQCRGIQCLLDLSFSSCNLLQRIETLHLKRLQNLRQLVIVGVAAESTSQAPMLPSVFSSLKYFYLDSCSSMKKLFSFESVQSLQNLEELKVVFCKEMEEIIASEEEEGEWMDPNTTTFILPKLRKLHLEYLPELKSICGSGVAIRVDSLEYRISDCLKLKPASCRAFISGEEVFI >EOY14119 pep chromosome:Theobroma_cacao_20110822:7:21087969:21091315:-1 gene:TCM_033385 transcript:EOY14119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein, putative MGSSTSDNLEDSHMDKTVQTDDQKEELPKDEKEKTNETEKLEGGGENGEGKIEYEKKTDEESMNAEEKKEDLNKLDVGMKNDEGKEGDVKEINEVKNDGARKEDVKKINEIDNSDAEKEVEEVKDGEAKKKDEENEGKAVEEKHTSEGVKERVVKIDQNPTFVEENGELNKNEKADAEDVSSCNVNVSSLSFKEESNLFSDLKQNEKKALVELRSKVEEAINGNQLFKVKESDVQERNEEKSSENSGEKEMEKPKENEGKEDVGDEGSEANSSMEENKDGMQEGPEKERVVAEAEEKKVQDQAMDGKGDYIDKDIKLWGVPLLPSKGGKATDAVLLKFLRAREFKVIDAFEMLRNTLQWRKENNIDSILDEDLGNEFRSTAYINGSDRQGHPVCYNVFGVLGGDEMFSKTLGTEENRDKFVRWRVQLMEEGIKKLDFNGVSCLLQIIDLKNTPGPSKKEVRLIMKQVISLLQDNYPEFVVKNIFINVPFWYYAFAAFFLPFLTQRTKSKFVYARPAKVIETLLKYIDAEEIPVCYGGLKRDNDSDFSAEDHAEEVLVKASSTETIEIPAPEAGSVLIWDLVVLGWEVNYKEEFVPDDDKSYTIIVQKERRMGIQEGPIRNSFKNNEPGKIVLVIGNAPFKNKKRAFYRYKIKEC >EOY13674 pep chromosome:Theobroma_cacao_20110822:7:10051990:10055719:1 gene:TCM_032315 transcript:EOY13674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sensitivity to red light reduced 1 MVIYALGSLEEGKSSKYQLAIALLLQQDFFNWITSIEVFDPVLSPTDIIVLEKLGCTVLTVNEDCERRVERPTLFFMPYVPKNLIGNLLEANWSPSNIHQVILLTNKMSTSLEHYQDLLQEGERYSDRISFKERMEYLEAIQKCIQEIKINGNFVDIFLDDLEDVTDNALVHLNFAYLPIMTQMQYLEAIQKYTEEIGIRSLGESKDNLLYNFAFHFFNVAPEIDMQTLLQVVVPRIDQIGFSHDVGDEEIQLKFDRQFDKLWKKEEEQMVAIIEELIIEAWNDQMAEIENAL >EOY12313 pep chromosome:Theobroma_cacao_20110822:7:1662467:1664181:-1 gene:TCM_030854 transcript:EOY12313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSSDFSASGMDLMSVFSSLIGILSLLGNWVLLKYQDGSPFKDGNSINISVSIVALIVCFIAILVIYNGLHVGYHKTIINITILSGSLAIISILKILFPNLGWFFMAIWLGWFACLALDSCKELFQFFAVAAKGVSDLFNTFLGRDQVNEGNNDQSSATDHAQA >EOY13318 pep chromosome:Theobroma_cacao_20110822:7:7523034:7527240:-1 gene:TCM_031865 transcript:EOY13318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby like protein 7 isoform 3 MSSSSSLRKPSFLSRRFSRSLKSSTKTASLGTVATTASQPESSSSSSPPSAEEGGESWSTMLPELLGEIMERVEASEDRWPQRQNVVACACVCKKWREVTREIVRASPPSSGKITFPSCLKQPGPRDFPNQCIIKRNKKNSTFYLYLALTPSRRYRHGAHIEYIISLDADDLSQGSNAYVGKLSSDFLGTNFTIYDSQPPHSGAKPSSSRASRRFASKQISPQVPAGNFEVGQVSYKFNLLKSRGPRRMVCSIKCPLLEERADDKHLDDSKMKMPEYAASGETILRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATVDQSQPGGKGDEETVLLQFGKVGDDTFTMDYRQPLSAFLAFAICLTSFGTKLACE >EOY13317 pep chromosome:Theobroma_cacao_20110822:7:7522819:7527240:-1 gene:TCM_031865 transcript:EOY13317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby like protein 7 isoform 3 MSSSSSLRKPSFLSRRFSRSLKSSTKTASLGTVATTASQPESSSSSSPPSAEEGGESWSTMLPELLGEIMERVEASEDRWPQRQNVVACACVCKKWREVTREIVRASPPSSGKITFPSCLKQPGPRDFPNQCIIKRNKKNSTFYLYLALTPSFTDKGKFLLAARRYRHGAHIEYIISLDADDLSQGSNAYVGKLSLCSSDFLGTNFTIYDSQPPHSGAKPSSSRASRRFASKQISPQVPAGNFEVGQVSYKFNLLKSRGPRRMVCSIKCPLLEERADDKHLDDSKMKMPEYAASGETILRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATVDQSQPGGKGDEETVLLQFGKVGDDTFTMDYRQPLSAFLAFAICLTSFGTKLACE >EOY13316 pep chromosome:Theobroma_cacao_20110822:7:7521756:7527405:-1 gene:TCM_031865 transcript:EOY13316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby like protein 7 isoform 3 MSSSSSLRKPSFLSRRFSRSLKSSTKTASLGTVATTASQPESSSSSSPPSAEEGGESWSTMLPELLGEIMERVEASEDRWPQRQNVVACACVCKKWREVTREIVRASPPSSGKITFPSCLKQPGPRDFPNQCIIKRNKKNSTFYLYLALTPSFTDKGKFLLAARRYRHGAHIEYIISLDADDLSQGSNAYVGKLSSDFLGTNFTIYDSQPPHSGAKPSSSRASRRFASKQISPQVPAGNFEVGQVSYKFNLLKSRGPRRMVCSIKCPLLEERADDKHLDDSKMKMPEYAASGETILRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATVDQSQPGGKGDEETVLLQFGKVGDDTFTMDYRQPLSAFLAFAICLTSFGTKLACE >EOY13420 pep chromosome:Theobroma_cacao_20110822:7:8209465:8210041:1 gene:TCM_031993 transcript:EOY13420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAHFKRIIFITFLVLLVCHTPSFQARKAFSKDVNAPVKVLAPLSSSSKHGPAMAVNGGHITMKIVVHDSSVPSPGAGN >EOY12131 pep chromosome:Theobroma_cacao_20110822:7:1103870:1107026:1 gene:TCM_030730 transcript:EOY12131 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein, putative isoform 2 MEFSDCTRVLFNRIWKLEPENAAKVIGILLLQGYGDQEMVQLAMCPDMVIQEVIYKAKAELQQLPLKSGSPPISPSMNSAPGSEFPSQFTSFSPPVSSRPFLSPRSFQVTSPYWEQQLAAKHNSDFMPSAYLDSASELQSQSQLLSLEEQIESLNLGNGGFSNDYCYSDAVLGNLGVRTERRHQNLPDFPVKTCHYFNKGYCKHGSNCRYFHGQVSDNFPHMFGNNSMDAVNEDPFISLENLELEIVELLKSRRGEPVSIASLPLLYYEKYGKVLQADGYLTESQRHGKAGYSLTKLLARLKNSIRVIDRPHGQHSVILAEDAPKYMENRNDRSDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFSNYGQVEDVRIPCQQKRMFGFVTFVSADTVKMILAKGNPHYVCGARVLVKPYREKSKLVDRKYQDRIESPMYYSPHYIDMEPELHSIPRGYAMSRLFRKQFIEDPEQIFELERRRPLEMHMTQKSQAHQSYFGYSMDGLKVS >EOY12129 pep chromosome:Theobroma_cacao_20110822:7:1103537:1107777:1 gene:TCM_030730 transcript:EOY12129 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein, putative isoform 2 MEFSDCTRVLFNRIWKLEPENAAKVIGILLLQGYGDQEMVQLAMCPDMVIQEVIYKAKAELQQLPLKSGSPPISPSMNSAPGSEFPSQFTSFSPPVSSRPFLSPRSFQVTSPYWEQQLAAKHNSDFMPSAYLDSASELQSQSQLLSLEEQIESLNLGNGGFSNDYCYSDAVLGNLGVRTERRHQNLPDFPVKTCHYFNKGYCKHGSNCRYFHGQVSDNFPHMFGNNSMDAVNEDPFISLENLELEIVELLKSRRGEPVSIASLPLLYYEKYGKVLQADGYLTESQRHGKAGYSLTKLLARLKNSIRVIDRPHGQHSVILAEDAPKYMENRNDRSDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFSNYGQVEDVRIPCQQKRMFGFVTFVSADTVKMILAKGNPHYVCGARVLVKPYREKSKLVDRKYQDRIESPMYYSPHYIDMEPELHSIPRGYAMSRLFRKQFIEDPEQIFELERRRPLEMHMTQKSQAHQSYFGYSMDGLKVSEDQLNFPSTEFNYLMEAFNTSAASDEKIKRLETNTDQESEGLNLPDSPFASPIASGISTVI >EOY12130 pep chromosome:Theobroma_cacao_20110822:7:1103576:1107919:1 gene:TCM_030730 transcript:EOY12130 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein, putative isoform 2 MEFSDCTRVLFNRIWKLEPENAAKVIGILLLQGYGDQEMVQLAMCPDMVIQEVIYKAKAELQQLPLKSGSPPISPSMNSAPGSEFPSQFTSFSPPVSSRPFLSPRSFQVTSPYWEQQLAAKHNSDFMPSAYLDSASELQSQSQLLSLEEQIESLNLGNGGFSNDYCYSDAVLGNLGVRTERRHQNLPDFPVKTCHYFNKGYCKHGSNCRYFHGQVSDNFPHMFGNNSMDAVNEDPFISLENLELEIVELLKSRRGEPVSIASLPLLYYEKYGKVLQADGYLTESQRHGKAGYSLTKLLARLKNSIRVIDRPHGQHSVILAEDAPKYMENRNDRSDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFSNYGQVEDVRIPCQQKRMFGFVTFVSADTVKMILAKGNPHYVCGARVLVKPYREKSKLVDSRKYQDRIESPMYYSPHYIDMEPELHSIPRGYAMSRLFRKQFIEDPEQIFELERRRPLEMHMTQKSQAHQSYFGYSMDGLKVSEDQLNFPSTEFNYLMEAFNTSAASDEKIKRLETNTDQESEGLNLPDSPFASPIASGISTVI >EOY13738 pep chromosome:Theobroma_cacao_20110822:7:10514228:10515904:-1 gene:TCM_032383 transcript:EOY13738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVILYLEIIYSTKGTIHKLWMTICGVVFGPCGWHEMTLFSTTRFRMVMNSLFLLKCTLCFGFMHAKELMLLMLLVFKIIIMLNSWLLLTL >EOY13190 pep chromosome:Theobroma_cacao_20110822:7:6464449:6469297:1 gene:TCM_031714 transcript:EOY13190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group E4, putative isoform 4 MATGKIEGEESKHNKVYTRKNHKKHKNPAFVPQQSSQQTLATTTTTTDDNNSSQQLPIQTLDVVVSDDSSSHNRVQKGLQNATTGVATSGYVKYDNLVKISLNVLSKNEVRVLKRKLASELEQIRDLVKRFEAKESRFSAGYANSRVSGNENVDRGGGSLVRVNSDVGSVGLPSSMPFHGLSVSVAEQDHSNHGGGGGSEFVEKEKRTPKANQYYKNSEFVLGKEKLKPAESNKKMKPSVGKSNGGQMGGGIAMEKFSNQMFKSCSNLLGKLMKHKFGWVFNRPVDVKGLGLHDYYSIIKHPMDLGTVKTRLNKNWYKSPREFAEDVRLTFRNAMLYNPKGQDVHFMADTLSGIFEEKWAAIESDYNLNRRFERSHDYSLPTPTSRRVPASVPALAPVQAHGPPTPVPAPSPLPLEARTLERSESMTMPIDPKSRAVNLTPSGRIAVPKKPKAKDSDKRDMTYEEKQRLSVNLQNLPSEKLDSLVQIIKKRNPALFVQDDEIEVDIDSVDPETLWELDRFVTNYKKGLSKNKKKAELTLQASAENDHDIQEINLEPSAEEVAKVNEAVERIVPTSPPIHGERQQNNESGSGSSSSSSTDSGSSSSGIIKVSHFVMLL >EOY13189 pep chromosome:Theobroma_cacao_20110822:7:6464018:6468626:1 gene:TCM_031714 transcript:EOY13189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group E4, putative isoform 4 MATGKIEGEESKHNKVYTRKNHKKHKNPAFVPQQSSQQTLATTTTTTDDNNSSQQLPIQTLDVVVSDDSSSHNRVQKGLQNATTGVATSGYVKYDNLVKISLNVLSKNEVRVLKRKLASELEQIRDLVKRFEAKESRFSAGYANSRVSGNENVDRGGGSLVRVNSDVGSVGLPSSMPFHGLSVSVAEQDHSNHGGGGGSEFVEKEKRTPKANQYYKNSEFVLGKEKLKPAESNKKMKPSVGKSNGGQMGGGIAMEKFSNQMFKSCSNLLGKLMKHKFGWVFNRPVDVKGLGLHDYYSIIKHPMDLGTVKTRLNKNWYKSPREFAEDVRLTFRNAMLYNPKGQDVHFMADTLSGIFEEKWAAIESDYNLNRRFERSHDYSLPTPTSRRVPASVPALAPVQAHGPPTPVPAPSPLPLEARTLERSESMTMPIDPKSRAVNLTPSGRIAVPKKPKAKDSDKRDMTYEEKQRLSVNLQNLPSEKLDSLVQIIKKRNPALFVQDDEIEVDIDSVDPETLWELDRFVTNYKKGLSKNKKKAELTLQASAENDHDIQEINLEPSAEEVAKVNEAVERIVPTSPPIHGERQQNNESGSGSSSSSSTDSGSSSSDSDSDSSSG >EOY13187 pep chromosome:Theobroma_cacao_20110822:7:6464449:6468884:1 gene:TCM_031714 transcript:EOY13187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group E4, putative isoform 4 MATGKIEGEESKHNKVYTRKNHKKHKNPAFVPQQSSQQTLATTTTTTDDNNSSQQLPIQTLDVVVSDDSSSHNRVQKGLQNATTGVATSGYVKYDNLVKISLNVLSKNEVRVLKRKLASELEQIRDLVKRFEAKESRFSAGYANSRVSGNENVDRGGGSLVRVNSDVGSVGLPSSMPFHGLSVSVAEQDHSNHGGGGGSEFVEKEKRTPKANQYYKNSEFVLGKEKLKPAESNKKMKPSVGKSNGGQMGGGIAMEKFSNQMFKSCSNLLGKLMKHKFGWVFNRPVDVKGLGLHDYYSIIKHPMDLGTVKTRLNKNWYKSPREFAEDVRLTFRNAMLYNPKGQDVHFMADTLSGIFEEKWAAIESDYNLNRRFERSHDYSLPTPTSRRVPASVPALAPVQAHGPPTPVPAPSPLPLEARTLERSESMTMPIDPKSRAVNLTPSGRIAVPKKPKAKDSDKRDMTYEEKQRLSVNLQNLPSEKLDSLVQIIKKRNPALFVQDDEIEVDIDSVDPETLWELDRFVTNYKKGLSKNKKKAELTLQASAENDHDIQEINLEPSAEEVAKVNEAVERIVPTSPPIHGERQQNNESGSGSSSSSSTDSGSSSSDSDSDSSSG >EOY13188 pep chromosome:Theobroma_cacao_20110822:7:6464449:6467403:1 gene:TCM_031714 transcript:EOY13188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group E4, putative isoform 4 MATGKIEGEESKHNKVYTRKNHKKHKNPAFVPQQSSQQTLATTTTTTDDNNSSQQLPIQTLDVVVSDDSSSHNRVQKGLQNATTGVATSGYVKYDNLVKISLNVLSKNEVRVLKRKLASELEQIRDLVKRFEAKESRFSAGYANSRVSGNENVDRGGGSLVRVNSDVGSVGLPSSMPFHGLSVSVAEQDHSNHGGGGGSEFVEKEKRTPKANQYYKNSEFVLGKEKLKPAESNKKMKPSVGKSNGGQMGGGIAMEKFSNQMFKSCSNLLGKLMKHKFGWVFNRPVDVKGLGLHDYYSIIKHPMDLGTVKTRLNKNWYKSPREFAEDVRLTFRNAMLYNPKGQDVHFMADTLSGIFEEKWAAIESDYNLNRRFERSHDYSLPTPTSRRVPASVPALAPVQAHGPPTPVPAPSPLPLEARTLERSESMTMPIDPKSRAVNLTPSGRIAVPKKPKAKDSDKRDMTYEEKQRLSVNLQNLPSEKLDSLVQIIKKRNPALFVQDDEIEVDIDSVDPETLWELDRFVTNYKKGLSKNKKKAELTLQASAENDHDIQEINLEPSAEEVAKVNEAVERIVPTSPPIHGERQQNNESGSGSSSSSSTDSGSSSSDSDSDSSSG >EOY13191 pep chromosome:Theobroma_cacao_20110822:7:6464449:6466906:1 gene:TCM_031714 transcript:EOY13191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group E4, putative isoform 4 MATGKIEGEESKHNKVYTRKNHKKHKNPAFVPQQSSQQTLATTTTTTDDNNSSQQLPIQTLDVVVSDDSSSHNRVQKGLQNATTGVATSGYVKYDNLVKISLNVLSKNEVRVLKRKLASELEQIRDLVKRFEAKESRFSAGYANSRVSGNENVDRGGGSLVRVNSDVGSVGLPSSMPFHGLSVSVAEQDHSNHGGGGGSEFVEKEKRTPKANQYYKNSEFVLGKEKLKPAESNKKMKPSVGKSNGGQMGGGIAMEKFSNQMFKSCSNLLGKLMKHKFGWVFNRPVDVKGLGLHDYYSIIKHPMDLGTVKTRLNKNWYKSPREFAEDVRLTFRNAMLYNPKGQDVHFMADTLSGIFEEKWAAIESDYNLNRRFERSHDYSLPTPTSRRVPASVPALAPVQAHGPPTPVPAPSPLPLEARTLERSESMTMPIDPKSRAVNLTPSGRIAVPKKPKAKDSDKRDMTYEEKQRLSVNLQNLPSEKLDSLVQIIKKRNPALFVQDDEIEVDIDSVDPETLWELDRFVTNYKKGLSKNKKKAELTLQASAENDHDIQEINLEPSAEEVAKVNEAVERIVPTSPPIHGERQQNNESGSDSDSDSSS >EOY12038 pep chromosome:Theobroma_cacao_20110822:7:711443:712455:1 gene:TCM_030652 transcript:EOY12038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLCFPPSLSNLKLLEVRLVQSLHLVSVYAADFMWLQLFCCLDITMAPFLLLVHNEVDAIPSSLSG >EOY11946 pep chromosome:Theobroma_cacao_20110822:7:418294:420716:-1 gene:TCM_030584 transcript:EOY11946 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box domain-containing protein isoform 1 MNTRYSFQPDSLCNSSTAVSYSSNPPGGEDRMAAGARNRSPRAPPSSLLIRMAMRISRARWFTFLRRVFHYQNGSRSDLGSNPFNSSTWMMLEFLALVIQISITTFTLAISKKERPVWPMRIWIVGYDIGCLLSLLLLYGRYHHLYITQGDGFGLSDVEQQRANEESSMFRSSHLMNKCRTSLELFFAIWFVMGNVWVFDSRFGSFHRAPNLHVLCISLLAWNALSYSFPFLLFLLLCCCVPLISSLLGYNMNMGSNDRGASDDQISRLPSWRYKEINTNLELDHDSDCNASLANEDPECCICLAKYKDKEEVRQLPCSHIFHLKCVDQWLRIISCCPLCKQELER >EOY11947 pep chromosome:Theobroma_cacao_20110822:7:418659:421261:-1 gene:TCM_030584 transcript:EOY11947 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box domain-containing protein isoform 1 MNTRYSFQPDSLCNSSTAVSYSSNPPGGEDRMAAGARNRSPRAPPSSLLIRMAMRISRARWFTFLRRVFHYQNGSRSDLGSNPFNSSTWMMLEFLALVIQISITTFTLAISKKERPVWPMRIWIVGYDIGCLLSLLLLYGRYHHLYITQGDGFGLSDVEQQRANEESRSSHLMNKCRTSLELFFAIWFVMGNVWVFDSRFGSFHRAPNLHVLCISLLAWNALSYSFPFLLFLLLCCCVPLISSLLGYNMNMGSNDRGASDDQISRLPSWRYKEINTNLELDHDSDCNASLANEDPECCICLAKYKDKEEVRQLPCSHIFHLKCVDQWLRIISCCPLCKQELER >EOY13177 pep chromosome:Theobroma_cacao_20110822:7:6385675:6389818:1 gene:TCM_031705 transcript:EOY13177 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 10 MGSGNWFRSIICRKKSKSARSKHAKVHSANEKPNGEGSHDAPEEARSPATTAGSQSSPGLPGMPVEEIAAVRIQKAFRAYRARKAVRRLRDAGRFNILIQGHTVKKQTTSTLSYLHSWCNVQSQIRARRICMVTEGRLKQKKMENQLKLEAKLHELEVEWCGGSETMEEILSRIQQREEAAVKRERAMAYAFSHQWRANASQYLGQASYGLGKENWGWSWMERWIAARPWEVRVHFQPIHPRKTHARQASKSEKEIKAPVSVKPALPNGKAAPKVKKVAPTDG >EOY12071 pep chromosome:Theobroma_cacao_20110822:7:773835:776493:1 gene:TCM_030671 transcript:EOY12071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S10p/S20e family protein isoform 2 SLTIPNSPSPKPKFNLLSPSSPISFNPLKLSNNTLKLSLSPTKVFAAPEALEETPEVGGSEVPGSSSVSAEADKTAPKQKIRIKLRSYWVPLIEDSCKQIMDAARTTNAKTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRLIDILYPTAQTIDSLMQLDLPAGVDVEVKL >EOY12070 pep chromosome:Theobroma_cacao_20110822:7:773549:776533:1 gene:TCM_030671 transcript:EOY12070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S10p/S20e family protein isoform 2 MRRKSLLWAYQRAQLKKTSYQKAGGGKGENFWIELSVSGVSDRRKVSEISLLHSKTRKRLFNFAMAVSSVSSTLIPSLTIPNSPSPKPKFNLLSPSSPISFNPLKLSNNTLKLSLSPTKVFAAPEALEETPEVGGSEVPGSSSVSAEADKTAPKQKIRIKLRSYWVPLIEDSCKQIMDAARTTNAKTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRLIDILYPTAQTIDSLMQLDLPAGVDVEVKL >EOY12076 pep chromosome:Theobroma_cacao_20110822:7:797745:803611:1 gene:TCM_030676 transcript:EOY12076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 71D10, putative MELGFSSTLLLTFFFLFIIARILKGKEAKNTTVKLPPGPWKLPLIGNLHQIISPLPHKKLRDLANKYGPLMWLKMGEAPTIIVSSPEIAKEVLKTHGAKVSQRPLLFARIRAYDFTGIVFAPHGNYWKQIRKICMMELLSTSRVRSFQPIREQEVSALIRTMFMNEGSSVNVSEKIFSLTYGITARAAFGKKNKDEKEFIRIVSEMSKLVSGFFLFELYPSNKFFNLLSVSKYKHEKLHQASNKILENIVKEHKERRRLQTSNTGNEHVEEDLVDVLLKLQQISNLDFPLTNDNIKAIIQDIFAAGGETSSTTVEWAMSEMIKNPRVMKKAQDEARRVFNERGRVDESGIHELEYLSLVVKETLRLHPSGPLLPREMHWKEAEKFHPERFLDTSIDFRGLDFELIPFGAGRRICPGISFALPNILLPLAQLLYHFDWELPNGMKHRDLDMIEEFGMTVKRRNDLFLIPNSRYHHPF >EOY13137 pep chromosome:Theobroma_cacao_20110822:7:6131160:6142201:-1 gene:TCM_031662 transcript:EOY13137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase isoform 4 MGSDVKKNPKQFQLNPNWAQLQQKLKSAETSRHPKIPQSETPNSILGKRKERPTPESDDAKPNPLIPTNDDSSLTDVLAMDCEMVGVGQGNKSALGRVSLVNKWGNVIYDEFVRPIERVVDFRTQISGIRPRDLKKAKDFRAVQKKVAELIKGRILVGHALHNDLKALLLTHPKKDLRDTSEYQPFLKEGRRKALRHLAAEVLGVEIQNGEHCPIDDARAAMLLYKKNRKEWEKSVKDQIRLKEKQKKRKHRKKPKSDSNHAPTAS >EOY13138 pep chromosome:Theobroma_cacao_20110822:7:6138244:6142162:-1 gene:TCM_031662 transcript:EOY13138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase isoform 4 MGSDVKKNPKQFQLNPNWAQLQQKLKSAETSRHPKIPQSETPNSILGKRKERPTPESDDAKPNPLIPTNDDSSLTDVLAMDCEMVGVGQGNKSALGRVSLVNKWGNVIYDEFVRPIERVVDFRTQISGIRPRDLKKAKDFRAVQKKVAELIKGRILVGHALHNDLKALLLTHPKKDLRDTSEYQPFLKEGRRKALRHLAAEVLGVEIQNGEHCPAKVLRMLSIWHGDKTPLLCSIICNSSQEGSLLWKNIWGRKTMRVLRCFFTRKTERNGRRVLRIK >EOY13140 pep chromosome:Theobroma_cacao_20110822:7:6138488:6142236:-1 gene:TCM_031662 transcript:EOY13140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase isoform 4 MGSDVKKNPKQFQLNPNWAQLQQKLKSAETSRHPKIPQSETPNSILGKRKERPTPESDDAKPNPLIPTNDDSSLTDVLAMDCEMVGVGQGNKSALGRVSLVNKWGNVIYDEFVRPIERVVDFRTQISGIRPRDLKKAKDFRAVQKKVAELIKGRILVGHALHNDLKDDARAAMLLYKKNRKEWEKSVKDQIRLKEKQKKRKHRKKPKSDSNHAPTAS >EOY13139 pep chromosome:Theobroma_cacao_20110822:7:6138488:6142236:-1 gene:TCM_031662 transcript:EOY13139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase isoform 4 MGSDVKKNPKQFQLNPNWAQLQQKLKSAETSRHPKIPQSETPNSILGKRKERPTPESDDAKPNPLIPTNDDSSLTDVLAMDCEMVGVGQGNKSALGRVSLVNKWGNVIYDEFVRPIERVVDFRTQISGIRPRDLKKAKDFRAVQKKVAELIKGRILVGHALHNDLKALLLTHPKKDLRDTSEYQPFLKYQSLLP >EOY13561 pep chromosome:Theobroma_cacao_20110822:7:9232394:9238569:-1 gene:TCM_032159 transcript:EOY13561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-tRNA synthetase, class Ib, bacterial/mitochondrial isoform 3 MASRTFLCFHHGKLILPFTTTKFIPSSKRPLKNLSFSSISCSLNTPQPTLEPKSQLGSPPNVIKILEERGLLESVTNENLRLACSDQTAGPLKVYCGFDPTAESLHLGNLLGLIVLSWFQRCGHKAVALIGGATGRIGDPSGKSQERPELDLKSLEKNIVGIMDTINKILSKNANLGSQENSNFVILNNYDWWKEVRLLDFLKQVGRYARVGSMMSKESVKKRLESEQGMSYTEFTYQLLQGYDFLYLFKNESVSVQIGGSDQWGNITAGTELIRKILQAEGAYGLTFPLLLKSDGTKFGKSEDGAIWLSPSMLSPYKFYQYFFSVPDADVVRFLKILTFLSMEEINELESGMTRPGYVPNTAQQRLAEEITRFVHGEDGLNEALKATEALRPGSETKLDWKTIEGIAEDVPSCTLPYNQVVNLSIVDLSVCSGLFESKSAARRLLKQGGLYLNNNRVDNEIKRIEAEDIVDGKVLLLSAGKKNKVVVRIS >EOY13562 pep chromosome:Theobroma_cacao_20110822:7:9232466:9238525:-1 gene:TCM_032159 transcript:EOY13562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-tRNA synthetase, class Ib, bacterial/mitochondrial isoform 3 MASRTFLCFHHGKLILPFTTTKFIPSSKRPLKNLSFSSISCSLNTPQPTLEPKSQLGSPPNVIKILEERGLLESVTNENLRLACSDQTAGPLKVYCGFDPTAESLHLGNLLGLIVLSWFQRCGHKAVALIGGATGRIGDPSGKSQERPELDLKSLEKNIVGIMDTINKILSKNANLGSQENSNFVILNNYDWWKEVRLLDFLKQVGRYARVGSMMSKESVKKRLESEQGMSYTEFTYQLLQGYDFLYLFKNESVSVQIGGSDQWGNITAGTELIRKILQAEGAYGLTFPLLLKSDGTKFGKSEDGAIWLSPSMLSPYKFYQYFFSVPDADVVRFLKILTFLSMEEINELESGMTRPGYVPNTAQQRLAEEITRFVHGEDGLNEALKATEALRPGSETKLDWKTIEGIAEDVPSCTLPYNQVVNLSIVDLSVCSGLFESKSAARRLLKQGGLYLNNNRVDNEIKRIEAEDIVDGKVLLLSAGKKNKVVVRIS >EOY13560 pep chromosome:Theobroma_cacao_20110822:7:9231128:9238569:-1 gene:TCM_032159 transcript:EOY13560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-tRNA synthetase, class Ib, bacterial/mitochondrial isoform 3 MASRTFLCFHHGKLILPFTTTKFIPSSKRPLKNLSFSSISCSLNTPQPTLEPKSQLGSPPNVIKILEERGLLESVTNENLRLACSDQTAGPLKVYCGFDPTAESLHLGNLLGLIVLSWFQRCGHKAVALIGGATGRIGDPSGKSQERPELDLKSLEKNIVGIMDTINKILSKNANLGSQENSNFVILNNYDWWKEVRLLDFLKQVGRYARVGSMMSKESVKKRLESEQGMSYTEFTYQLLQGYDFLYLFKNESVSVQIGGSDQWGNITAGTELIRKILQAEGAYGLTFPLLLKSDGTKFGKSEDGAIWLSPSMLSPYKFYQYFFSVPDADVVRFLKILTFLSMEEINELESGMTRPGYVPNTAQQRLAEEITRFVHGEDGLNEALKATEALRPGSETKLDWKTIEGIAEDVPSCTLPYNQVVNLSIVDLSVCSGLFESKSAARRLLKQGGLYLNNNRVDNEIKRIEAEDIVDGKVLLLSAGKKNKA >EOY13559 pep chromosome:Theobroma_cacao_20110822:7:9230606:9234593:-1 gene:TCM_032159 transcript:EOY13559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-tRNA synthetase, class Ib, bacterial/mitochondrial isoform 3 MLDKKRKKNMKLYVYASSFHLILFQNQPAYPSLPHPIFLLAALRLRGKPQPLRAEFMASRTFLCFHHGKLILPFTTTKFIPSSKRPLKNLSFSSISCSLNTPQPTLEPKSQLGSPPNVIKILEERGLLESVTNENLRLACSDQTAGPLKVYCGFDPTAESLHLGNLLGLIVLSWFQRCGHKAVALIGGATGRIGDPSGKSQERPELDLKSLEKNIVGIMDTINKILSKNANLGSQENSNFVILNNYDWWKEVRLLDFLKQVGRYARVGSMMSKESVKKRLESEQGMSYTEFTYQLLQGYDFLYLFKNESVSVQIGGSDQWGNITAGTELIRKILQAEGAYGLTFPLLLKSDGTKFGKSEDGAIWLSPSMLSPYKFYQYFFSVPDADVVRFLKILTFLSMEEINELESGMTRPGYVPNTAQQRLAEEITRFVHGEDGLNEALKATEALRPGSETKLDWKTIEGIAEDVPSCTLPYNQVVNLSIVDLSVCSGLFESKSAARRLLKQGGLYLNNNRVDNEIKRIEAEDIVDGKVLLLSAGKKNKA >EOY12866 pep chromosome:Theobroma_cacao_20110822:7:4511492:4519870:1 gene:TCM_031373 transcript:EOY12866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MSKNPIGSNNNQDDDDSTQEEEVVEVDEEEEEEEYFYESLDRIASSNSCSCSNSTSPSSDSDSDPITRSNNAHHPFPVPKFPMAVSKFDIWISEPASVSERRTRLLREMGLSRDRGLSRTRPGSETELGTGRDMGGGCGGGGGGGGFGRRSVSSDRLVKKELEEQDRGGERGSSSAGIVRSKSDGDASRNGDCNDVAVSSSPCLSVCSNSSSSGLSVGLCFVNNNNDSNNSECDHINAAAANLRSCESNGSICNKPPTGRSSKSLNGELGFKSFGGGEVVVEDELDCSEQVCTIKNLDNGKEFVVNEIREDGMWNKLKEVGTGRQLTMEEFEMCVGHSPIVQELMRRQNVEEGNKDNADLNVNGGGVGVSKLKKKGSWFKSMKSVASSVKGQKERRSSDERDTSSEKGGRRSSSATDDSQDVSFHGPERVRVKQYGKSCKELTALYKSQEIQAHNGSIWSIKFSLDGKYLASAGEDCVILVWKVVESERKGELLMEKPEDGNLNFLLVANGSPEPTLLSPSADHHPEKKKRGRSSISRKSLSLDHIVVPETVFALSDKPVCSFHGHLNDVLDLSWSKSQQLLSSSMDKTVRLWDLNSKTCSRIFSHSDYVTCIQFNPVDDRYFISGSLDAKVRIWSIPDHQVVDWNDLHEMVTAACYTPDGQGALVGSYKGSCRLYDTSENKLQPKSQINLQNKKKKSHQKKITGFQFSPGSSSEVLITSADSRIRVVDGADLIHKFKGFRNTNSQISASVTANGKYVVSASEDSYVYVWKHEAESRPSRNKGVSVTCSYEHFHCKDVSVAIPWPGMGDAWGLRDTQLNDESGFDDNIDEVSTANHPPTPVEEYSGNEGSLSASGCTNSPLHGTISSATNSYFFDRISATWPEEKLLLATRTRSPRRSVDYSSGVNPSMSAWGTVIVTAGLRGEIRTFQNFGLPVRI >EOY12041 pep chromosome:Theobroma_cacao_20110822:7:720292:722130:-1 gene:TCM_030655 transcript:EOY12041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-activated outward-rectifying potassium channel 1 isoform 1 MMGHFNSIIAMASNAAKKAKLPIAAGSPTLKNDENAPEKTSSQSCKTTPAAANCTPPITFRTDCPDLKWLGIYFGIYIGVGTTSFYALKDHIRGKKTNDFIDSLYLCVVTMTTVGYGDLVPHSFVSQLICSIFITVGMCLVGIVVKIAASYLVVKQQMVLVNALHLSKKLGPIEALKEIENLKIDYTKCLISLIAMAVHFVIGIFVLVTVEGMDFTDAIYCACTTMTTVGFGDESFSSEFGRTFGIVWIATGTSCLGQLLLYIAEVYTDIETKKLVKSVLTSDIIAKKDLEAADNPENDQVYGAADFILYKLKDMGKIKQEDISVAMKDIDTGIKDLDVDHQSDSSQKK >EOY12042 pep chromosome:Theobroma_cacao_20110822:7:720519:726842:-1 gene:TCM_030655 transcript:EOY12042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-activated outward-rectifying potassium channel 1 isoform 1 MLGHFNSIIAMASNAAKKAKLPIAAGSPTLKNDENAPEKTSSQSCKTTPAAANCTPPITFRTDCPDLKWLGIYFGIYIGVGTTSFYALKDHIRGKKTNDFIDSLYLCVVTMTTVGYGDLVPHSFVSQLICSIFITVGMCLVGIVVKIAASYLVVKQQMVLVNALHLSKKLGPIEALKEIENLKIDYTKCLISLIAMAVHFVIGIFVLVTVEGMDFTDAIYCACTTMTTVGFGDESFSSEFGRTFGIVWIATGTSCLGQLLLYIAEVYTDIETKKLVKSVLTSDIIAKKDLEAADNPENDQVYGAADFILYKLKDMGKIKQEDISVAMKDIDTGIKDLDVDHQSDSSQKK >EOY12984 pep chromosome:Theobroma_cacao_20110822:7:5161825:5167026:-1 gene:TCM_031492 transcript:EOY12984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 3 MEPQTPTSSQPILYETLTPISLPSSPAAQSPPFNEPPFEPYTVFRNEISLLAENSISLDSAAPDYFSLDVNDPAEPVIVQASVSAWDEPEPKTPGVVDEPRLENEWWFRGNSRFKSPMLQLHKEIVDFCDFLSPTPEEQAARDAAVDSVFDVIKYIWPACRPEVFGSFRTGLYLPTSDIDVVILGSGIKNPQTGLHALSRALSQKGIAKKMQVIAKARVPIVKFVEKKSAVAFDISFDVDNGPKAADFIKEAVLKWPQLRPLCLILKVFLQQRDLNEVYSGGIGSYALLAMLMAMLQQSLHESQAYQEHNLGILLVHFFDFYGRKLNTADVGVSCNGRGGTFFLKSSRGFSNKGRPFLISIEDPQAPDNDIGKNSFNFIQIRSAFGMALSTLTNPKAILSLGPNRSILGTIIRPDPVLLERKGGSSGGVTFSSLLPGAGEPLQPLYGEQQDILCNWQLDDEEPLPRGDGIDVDVSAQSSGRKRKSASKERSKKKKVKENGDARKVWHEETVFKKEKSTRKKGYHNDANGFGRHGGSSSWSR >EOY12986 pep chromosome:Theobroma_cacao_20110822:7:5161612:5167028:-1 gene:TCM_031492 transcript:EOY12986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 3 MEPQTPTSSQPILYETLTPISLPSSPAAQSPPFNEPPFEPYTVFRNEISLLAENSISLDSAAPDYFSLDVNDPAEPVIVQASVSAWDEPEPKTPGVVDEPRLENEWWFRGNSRFKSPMLQLHKEIVDFCDFLSPTPEEQAARDAAVDSVFDVIKYIWPACRPEVFGSFRTGLYLPTSDIDVVILGSGIKNPQTGLHALSRALSQKGIAKKMQVIAKARVPIVKFVEKKSAVAFDISFDVDNGPKAADFIKEAVLKWPQLRPLCLILKVFLQQRDLNEVYSGGIGSYALLAMLMAMLQSLHESQAYQEHNLGILLVHFFDFYGRKLNTADVGVSCNGRGGTFFLKSSRGFSNKGRPFLISIEDPQIRSAFGMALSTLTNPKAILSLGPNRSILGTIIRPDPVLLERKGGSSGGVTFSSLLPGAGEPLQPLYGEQQDILCNWQLDDEEPLPRGDGIDVDVSAQSSGRKRKSASKERSKKKKVKENGDARKVWHEETVFKKEKSTRKKGYHNDANGFGRHGGSSSWSR >EOY12985 pep chromosome:Theobroma_cacao_20110822:7:5161870:5167006:-1 gene:TCM_031492 transcript:EOY12985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 3 MEPQTPTSSQPILYETLTPISLPSSPAAQSPPFNEPPFEPYTVFRNEISLLAENSISLDSAAPDYFSLDVNDPAEPVIVQASVSAWDEPEPKTPGVVDEPRLENEWWFRGNSRFKSPMLQLHKEIVDFCDFLSPTPEEQAARDAAVDSVFDVIKYIWPACRPEVFGSFRTGLYLPTSDIDVVILGSGIKNPQTGLHALSRALSQKGIAKKMQVIAKARVPIVKFVEKKSAVAFDISFDVDNGPKAADFIKEAVLKWPQLRPLCLILKVFLQQRDLNEVYSGGIGSYALLAMLMAMLQSLHESQAYQEHNLGILLVHFFDFYGRKLNTADVGVSCNGRGGTFFLKSSRGSAFGMALSTLTNPKAILSLGPNRSILGTIIRPDPVLLERKGGSSGGVTFSSLLPGAGEPLQPLYGEQQDILCNWQLDDEEPLPRGDGIDVDVSAQSSGRKRKSASKERSKKKKVKENGDARKVWHEETVFKKEKSTRKKGYHNDANGFGRHGGSSSWSR >EOY12987 pep chromosome:Theobroma_cacao_20110822:7:5161765:5165802:-1 gene:TCM_031492 transcript:EOY12987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 3 EIVDFCDFLSPTPEEQAARDAAVDSVFDVIKYIWPACRPEVFGSFRTGLYLPTSDIDVVILGSGIKNPQTGLHALSRALSQKGIAKKMQVIAKARVPIVKFVEKKSAVAFDISFDVDNGPKAADFIKEAVLKWPQLRPLCLILKVFLQQRDLNEVYSGGIGSYALLAMLMAMLQSLHESQAYQEHNLGILLVHFFDFYGRKLNTADVGVSCNGRGGTFFLKSSRGFSNKGRPFLISIEDPSAFGMALSTLTNPKAILSLGPNRSILGTIIRPDPVLLERKGGSSGGVTFSSLLPGAGEPLQPLYGEQQDILCNWQLDDEEPLPRGDGIDVDVSAQSSGRKRKSASKERSKKKKVKENGDARKVWHEETVFKKEKSTRKKGYHNDANGFGRHGGSSSWSR >EOY12983 pep chromosome:Theobroma_cacao_20110822:7:5162309:5166951:-1 gene:TCM_031492 transcript:EOY12983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 3 MEPQTPTSSQPILYETLTPISLPSSPAAQSPPFNEPPFEPYTVFRNEISLLAENSISLDSAAPDYFSLDVNDPAEPVIVQASVSAWDEPEPKTPGVVDEPRLENEWWFRGNSRFKSPMLQLHKEIVDFCDFLSPTPEEQAARDAAVDSVFDVIKYIWPACRPEVFGSFRTGLYLPTSDIDVVILGSGIKNPQTGLHALSRALSQKGIAKKMQVIAKARVPIVKFVEKKSAVAFDISFDVDNGPKAADFIKEAVLKWPQLRPLCLILKVFLQQRDLNEVYSGGIGSYALLAMLMAMLQSLHESQAYQEHNLGILLVHFFDFYGRKLNTADVGVSCNGRGGTFFLKSSRGFSNKGRPFLISIEDPQAPDNDIGKNSFNFIQIRSAFGMALSTLTNPKAILSLGPNRSILGTIIRPDPVLLERKGGSSGGVTFSSLLPGAGEPLQPLYGEQQDILCNWQLDDEEPLPRGDGIDVDVSAQSSGRKRKSASKERSKKKKVKENGDARKVWHEETVFKKEKSTRKKGYHNDANGFGRHGGSSSWSR >EOY12132 pep chromosome:Theobroma_cacao_20110822:7:1109888:1113352:-1 gene:TCM_030731 transcript:EOY12132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein isoform 1 MAAVSLHNLNHFSSILFPSSPSPSPSPSSSLNSGFALSSGGSLKRGKFLVLLSTHSNPKILKSNKKSRYGQTINPYDTEEDEEGEEDEEFDEDDDMAGDDWLMNDDFAETHEFNVNGKKFKSQKGSGKEGNQRPHEQRQGSKGLKLRQGLIVAEDRIDVRNGNNVLKKSSVGNFYYASNQMKEVGSFCKDGGGKLVLKTSRENRYQRLADEIDFDEKWFPLLNYLRTFGLKESHFIQMYERHMPSLQINVCSAQERLDYLLSVGVKQRDIRRILLRQPQILEYTVENNLKSHVAFLMGLGIPNSRIGQIIASAPSLFSYSVENSLKPTVRYLIEEVGIKENDLGKVVQLSPQILVQRIDISWNTRYMFLSKELGAPRDSIVKMVRKHPQLLHYSIDGGLLPRINFLRSIGMRNSDILKVLTSLTQVLSLSLEDNLKPKYMYLVNELNNEVHSLTKYPMYLSLSLDQRIRPRHRFLVSLKKAPKGPFPLGSLVPTDECFCQQWAGTSLDKYLAFRQRLLLKEFAKKYEKH >EOY12133 pep chromosome:Theobroma_cacao_20110822:7:1109888:1113352:-1 gene:TCM_030731 transcript:EOY12133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein isoform 1 MAAVSLHNLNHFSSILFPSSPSPSPSPSSSLNSGFALSSGGSLKRGKFLVLLSTHSNPKILKSNKKSRYGQTINPYDTEEDEEGEEDEEFDEDDDMAGDDWLMNDDFAETHEFNVNGKKFKSQKGSGKEGNQRPHEQRQGSKGLKLRQGLIVAEDRIDVRNGNNVLKKSSVGNFYYASNQMKEVGSFCKDGGGKLVLKTSRENRYQRLADEIDFDEKWFPLLNYLRTFGLKESHFIQMYERHMPSLQINVCSAQERLDYLLSVGVKQRDIRRILLRQPQILEYTVENNLKSHVAFLMGLGIPNSRIGQIIASAPSLFSYSVENSLKPTVRYLIEEVGIKENDLGKVVQLSPQILVQRIDISWNTRYMFLSKELGAPRDSIVKMVRKHPQLLHYSIDGGLLPRINFLRSIGMRNSDILKVLTSLTQVLSLSLEDNLKPKYMYLVNELNNEVHSLTKYPMYLSLSLDQRIRPRHRFLVSLKKAPKGPFPLGSLVPTDECFCQQWAGTSLDKYLAFRQRLLLKEFAKKYEKH >EOY12134 pep chromosome:Theobroma_cacao_20110822:7:1111535:1113322:-1 gene:TCM_030731 transcript:EOY12134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein isoform 1 MAAVSLHNLNHFSSILFPSSPSPSPSPSSSLNSGFALSSGGSLKRGKFLVLLSTHSNPKILKSNKKSRYGQTINPYDTEEDEEGEEDEEFDEDDDMAGDDWLMNDDFAETHEFNVNGKKFKSQKGSGKEGNQRPHEQRQGSKGLKLRQGLIVAEDRIDVRNGNNVLKKSSVGNFYYASNQMKEVGSFCKDGGGKLVLKTSRENRYQRLADEIDFDEKWFPLLNYLRTFGLKESHFIQMYERHMPSLQINVCSAQERLDYLLSVGVKQRDIRRILLRQPQILEYTVENNLKSHVAFLMGLGIPNSRIGQIIASAPSLFSYSVENSLKPTVRYLIEEVGIKENDLGKVVQLSPQILVQRIDISWNTRYMFLSKELGAPRDSIVKMVRKHPQLLHYSIDGGLLPRINFLRSIGMRNSDILKVLTSLTQVSSLSCKCMKTQKILFSLTS >EOY13829 pep chromosome:Theobroma_cacao_20110822:7:11228870:11236558:1 gene:TCM_032483 transcript:EOY13829 gene_biotype:protein_coding transcript_biotype:protein_coding description:RecA DNA recombination family protein isoform 2 FGVKLETSIYPRAQQELKTASSIFQLLLAMNCIRMDSSLFFPFKLKLHHRYLPLQNHFRQRPPLTSSHLPLAAVKKRLRKVHCEFEPRVNGALSPNSDSRFLDRQKALEAAMNDINSSFGKGSVTRLGSAGGALVETFPSGCLTLDLALGGGLPKGRIVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDVENLIVCQPDNGEMALEIADRMCRSGAIDLICVDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASVRLEIRPSGKIKSVKGDEDIGLRVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCILDCAEMMDVVVKKGSWYSYGEHSMYQPKLLILGTLIGNIRLVDSAIV >EOY13828 pep chromosome:Theobroma_cacao_20110822:7:11227064:11238238:1 gene:TCM_032483 transcript:EOY13828 gene_biotype:protein_coding transcript_biotype:protein_coding description:RecA DNA recombination family protein isoform 2 MKNLFSFYKNSYPLSYFNLLIPLSPKKIYRDFGVKLETSIYPRAQQELKTASSIFQLLLAMNCIRMDSSLFFPFKLKLHHRYLPLQNHFRQRPPLTSSHLPLAAVKKRLRKVHCEFEPRVNGALSPNSDSRFLDRQKALEAAMNDINSSFGKGSVTRLGSAGGALVETFPSGCLTLDLALGGGLPKGRIVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDVENLIVCQPDNGEMALEIADRMCRSGAIDLICVDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASVRLEIRPSGKIKSVKGDEDIGLRVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCILDCAEMMDVVVKKGSWYSYGEHRLGQGRDKALQYLRDNPVLHGEIEKIVRSMMADGTLHMSSAPVKSLSLPPQDENNYEEI >EOY12384 pep chromosome:Theobroma_cacao_20110822:7:1881578:1884301:1 gene:TCM_030901 transcript:EOY12384 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase MWILGNPIKIEGTEFSDERAIYISNHASPIDIFLIMWLTPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSSPSTAIQSMKEAIQAVIKHNLSLIIFPEGTRSKNGRLLPFKKGFVHLALQSHLPIVPIVLAGTHLAWRKGSLHVRPAPISVKYLPPISTGSWKDDKIDDYIKMVHDIYVESLPEPQKPIVSEDTTNSS >EOY12110 pep chromosome:Theobroma_cacao_20110822:7:999676:1007229:1 gene:TCM_030710 transcript:EOY12110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSTISISSVENRWRGKQRHVTLSIPYIAVKKETGTVSSSIFHLLNIEIINSSSNQNSRGIPGEMVSSTSLRYLNLRNNNFTGPIPNCSVSLEVLDLSNNLLSGKIPSEIGVCSSLKTPNLALNYLVGKITISISNITDLQFLSLSGNVLIGQIPPEIGLCLNLKTLDLGANYLLGKIPSSISNISGLQFLTLASNNLIGQILSEIGPLKSLKWIYIGNNNFSGKIPEELAGSRDKIKELGVKQFLSYETVISLVRNHRRVDDLELLLSFKSSMNDPSGFLSNWNCFTPLCLWHGITCNNFSKVKVIDLTEKNISGTISSSIFHLSKIETTNLSNNDLSGEIPCDIVSFISLQYLNLSQTI >EOY14081 pep chromosome:Theobroma_cacao_20110822:7:20179867:20180477:-1 gene:TCM_033297 transcript:EOY14081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEGEGTATQGGSRTGKTKISMRKLKSEMAMICEEQKRLREGQREVQQKFKQVQAKISSLRQEGDSAGHPAFLFKYQ >EOY14082 pep chromosome:Theobroma_cacao_20110822:7:20179781:20180392:-1 gene:TCM_033297 transcript:EOY14082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEGEGTATQVKTKQMGGSRTGKTKISMRKLKSEMAMICEEQKRLREGQREVQQKFKQVQAKISSLRQEGDSAGHPAFLFKYQ >EOY13538 pep chromosome:Theobroma_cacao_20110822:7:9132342:9136273:1 gene:TCM_032142 transcript:EOY13538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein isoform 2 MFVSILTNTFTVCRDAAGIAGNIFAFGLFVSPIPTFRRIIRNQSTEQFSGLPYVYALLNCMICTWYGTPLISHNNVPVMTVNSIGAVFQLAYIILFIVYADKEKKMRMLGLLLGVFGLLAIIVAGSMQIVDREARWIFVGLLSCGSLISMFASPLFIINLVIQTKSVEYMPFYLSLSTFLMSTSFFLYGIFNFDAFIYVPNGIGTILGIVQLALYFYYKRKSVEDSREPLIVSHA >EOY13537 pep chromosome:Theobroma_cacao_20110822:7:9131964:9135219:1 gene:TCM_032142 transcript:EOY13537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein isoform 2 MFVSILTNTFTVCRDAAGIAGNIFAFGLFVSPIPTFRRIIRNQSTEQFSGLPYVYALLNCMICTWYGTPLISHNNVPVMTVNSIGAVFQLAYIILFIVYADKEKKMRMLGLLLGVFGLLAIIVAGSMQIVDREARWIFVGLLSCGSLISMFASPLFIINLVIQTKSVEYMPFYLSLSTFLMSTSFFLYGIFNFDAFIYVPNGIGTILGIVQLALYFYYKRKSVEDSREPLIVSHAQKVLMLRVYSDGNADTGHQGLSAYANELKSDCSLRLTGLEIATPFAPNYFSA >EOY14188 pep chromosome:Theobroma_cacao_20110822:7:21928813:21929647:1 gene:TCM_033461 transcript:EOY14188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHVVPFDVLNSNCENFQSPNLNQGELCFDEPLPIMEQPSTSENNQISHDSPATLEENGSWSIVPLPTKYHIVGCKWVYKTKLNAKGEVERYNYKGILLFFFGN >EOY13626 pep chromosome:Theobroma_cacao_20110822:7:9623996:9626218:-1 gene:TCM_032248 transcript:EOY13626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNPYNYRPDIIHEVLCRITDSLLCKSGRIQAIYVKTNEGVLITVEPNTHIPRTPQRFRNMMAELLQKFSVKAANKHGKLLRLVENPVT >EOY13223 pep chromosome:Theobroma_cacao_20110822:7:6580433:6600966:1 gene:TCM_031741 transcript:EOY13223 gene_biotype:protein_coding transcript_biotype:protein_coding description:3R-linalool synthase MLSDWSNSVLNLKLTEFSQTTRNVPQRRNAAPLPSIWDPQFIMSFTAPYAYEDEHATRLEVLKEDAKSLIAATSMEDPRDLLKLIDTIQRLGVAYHFEKEIKGLFILFMLIFPLIFTIQLCNFDFYVRMVFPSAQAAHLGIPGENVLEEAKSFSRNHLNLLTGKLESNIAEQVQQSLDVPLHWRMARSEARNFIEAYQRDKAKSSVLLELAKLDYNVLQSIYLKELKELAEWWEDMNFKEKLPLSRDRLMESYVVAVGSISNPQFPKGRKNIAKFCATATCVDDVYDNYGSIDELEKFTEALGRWDIKAMEELPEYLKVCYLALYNSVDDVVQDASEHLDLEVLPYVKDKVSGKPSDKGKDIAPEDQEYECCKFPSKNKVYDLFYNSIMFLKM >EOY13216 pep chromosome:Theobroma_cacao_20110822:7:6546412:6550979:-1 gene:TCM_031735 transcript:EOY13216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MTCFSFLFSRKKAAFSAEQTVEIDEEVAGIQNTKLFTYKELKMATGNFHYSNKIGEGGFGVVYKGTFRDGTVGAIKVLSADSKQGVREFLTEINVIADIEHENLVKLYGCCVEGNHRILVYGYLENNSLAQTLLGGGHSSMQFSWQARRNICIGVAKGLAFLHEEVRPHIVHRDIKASNILLDKNLMPKISDFGLAKLFPEYVTHISTRVAGTTGYLAPEYAIRGQLTRKADIYSFGVLLLEIVSGRCNTNRRLPLSEQYLLERVQSAIRNQPCQMIWIQCFRSISASLIH >EOY13217 pep chromosome:Theobroma_cacao_20110822:7:6545302:6550979:-1 gene:TCM_031735 transcript:EOY13217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MTCFSFLFSRKKAAFSAEQTVEIDEGIQNTKLFTYKELKMATGNFHYSNKIGEGGFGVVYKGTFRDGTVGAIKVLSADSKQGVREFLTEINVIADIEHENLVKLYGCCVEGNHRILVYGYLENNSLAQTLLGGGHSSMQFSWQARRNICIGVAKGLAFLHEEVRPHIVHRDIKASNILLDKNLMPKISDFGLAKLFPEYVTHISTRVAGTTGYLAPEYAIRGQLTRKADIYSFGVLLLEIVSGRCNTNRRLPLSEQYLLERAWEMYEQGQLVELVDTSLNGDFNDEEAQRFLKIALLCTQDMPKLRPTMSEVVKMLMGEAAVNDQNISRPGLLSEFMSLRGHKDKSDLTSEGTGKAGNSSSSSVNITTSYATMTFNSIYDRSN >EOY13214 pep chromosome:Theobroma_cacao_20110822:7:6545302:6550946:-1 gene:TCM_031735 transcript:EOY13214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MTCFSFLFSRKKAAFSAEQTVEIDEEVAGIQNTKLFTYKELKMATGNFHYSNKIGEGGFGVVYKGTFRDGTVGAIKVLSADSKQGVREFLTEINVIADIEHENLVKLYGCCVEGNHRILVYGYLENNSLAQTLLGGGHSSMQFSWQARRNICIGVAKGLAFLHEEVRPHIVHRDIKASNILLDKNLMPKISDFGLAKLFPEYVTHISTRVAGTTGYLAPEYAIRGQLTRKADIYSFGVLLLEIVSGRCNTNRRLPLSEQYLLERAWEMYEQGQLVELVDTSLNGDFNDEEAQRFLKIALLCTQDMPKLRPTMSEVVKMLMGEAAVNDQNISRPGLLSEFMSLRGHKDKSDLTSEGTGKAGNSSSSSVNITTSYATMTFNSIYDRSN >EOY13215 pep chromosome:Theobroma_cacao_20110822:7:6546095:6550990:-1 gene:TCM_031735 transcript:EOY13215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MTCFSFLFSRKKAAFSAEQTVEIDEEVAGIQNTKLFTYKELKMATGNFHYSNKIGEGGFGVVYKGTFRDGTVGAIKVLSADSKQGVREFLTEINVIADIEHENLVKLYGCCVEGNHRILVYGYLENNSLAQTLLGGGHSSMQFSWQARRNICIGVAKGLAFLHEEVRPHIVHRDIKASNILLDKNLMPKISDFGLAKLFPEYVTHISTRVAGTTGYLAPEYAIRGQLTRKADIYSFGVLLLEIVSGRCNTNRRLPLSEQYLLERVQSAIRNQPCQMIWIQCFRSISASLIH >EOY12497 pep chromosome:Theobroma_cacao_20110822:7:2367172:2369330:1 gene:TCM_030986 transcript:EOY12497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase nepenthesin-1 precursor, putative MAAIPFFSKAMLFLCLIAFFQVSFATSKPTGLSMKLIRRDSLYPGNLTKVERIKRLLQLSEFRAQYLDSVLRPNATADLDTVRVPIGRVPDNHLYVVELKIGSRLHPVKLLMDTGSGLIWTQCRPCKKCFRQQLPMYDSRTSTSYHKLPCTHPLCQGDYKRYKCYNNECVYSVRYGVDSRPNPPTTKGVASFESFQFPVDNIHTRVIDDMVFGCSKDNQNFDFSNGEISGILGLSLAPDSLATQLASKGITSYRFSYCLVPFSDELVRPSVLRFGDDIPPPVGNLQTTQILPNGFYHYHLELLDISVGWYRLGFQEQPGIFRVREDGSGGCLIDSGSLISTIDQNTIGRNAYKAVMRAFQAYYDSNNFKRIGKVVESLPLCYRSKPGFNDYMTMTLHFNGADYDIDGKYMHYFSEEDGYFCVALRPASRTILGSWQQQNMRIIINMDVAGLQWVTETCADDIP >EOY14318 pep chromosome:Theobroma_cacao_20110822:7:23977036:23980940:1 gene:TCM_033693 transcript:EOY14318 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC kinase 1.5 MSSKTNRMLDSSDSELSDISFGGAPPDYRITDSQSKKNSNLTCNPPPPDPKKVDRVAKIGANSPHGPAYTSPIPKVNENVPSNQQYDPKIMDPVNKPSQIPSPRMPPNAEIQNTLTVMPANKKTNDARNTSAMHNNIAHVQGSGNNSRNDSMESSTAPLRPHTGGDVRWDAVNTVSAKGPIGLSNFRLLKRLGYGDIGSVYLVELRGTNAHFAMKVMDKASLASRNKILRAQTEREILGLLDHPFLPTLYSYFETDKFYCLVMEFCSGGNLHSLRQKQPNKHFTEEAARFYASEVLLALEYLHMLGIVYRDLKPENVLVRDEGHIMLSDFDLSLRCSVSPTLVKSSSTHQTSNGGGSGGILDSEFAVHGCMQPSTFFPRILPGKKNRKSKSDFGLFVGGAMPELMAEPTNVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGTTPFKGQGNRATLFNVVGQPLRFPETPHVSFVARDLIRGLLVKEPHKRIACKRGATEIKQHPFFEGVNWALVRSAMPPHIPDPVDFSHFQSKEASPAADKKNLSDLGGDQNITTSAGYIEFEYF >EOY13247 pep chromosome:Theobroma_cacao_20110822:7:6754037:6758908:-1 gene:TCM_031769 transcript:EOY13247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 36 isoform 1 MQKTHSGNKRPFLFSVFCSPKFFSLFPFLSQFSKTLNFFGFPFCFINRSSSMANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETGMDPFICKWCMMTGANDCPLEITFYAM >EOY13246 pep chromosome:Theobroma_cacao_20110822:7:6754101:6758908:-1 gene:TCM_031769 transcript:EOY13246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 36 isoform 1 MQKTHSGNKRPFLFSVFCSPKFFSLFPFLSQFSKTLNFFGFPFCFINRSSSMANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETAKEWTRLYASGA >EOY11965 pep chromosome:Theobroma_cacao_20110822:7:466918:471225:-1 gene:TCM_030598 transcript:EOY11965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 5 MTMEVEGKTPQSQFPDKVQPAKNVEEEEVDDNPIEEVRLTVPITDDPTESALTFRTWVLGLISCCILAFVNQFFAYRQNQLSISSISAQIVVLPIGKLMAATLPKKVIRVPFTKWSFSLNPGPFTLKEHALITIFANCGAGGVYAVNIITIVKAFYHRSLHPVAAMLLAQTTQLLGYGWAGLFRKFLVDSPYMWWPANLVQVSLFRALHEKEKRTKGGHTRLQFFIIIFISSFAYYIVPGYLFQSISAVSFVCWIWKDSIVVQQIGSGLNGFGIGSFGLDWSTVAAFLGSPLATPLFAIINILFGFILFLYVLTPIAYWSNSFQARRFPLISSHTFDAAGQTYNITRILNQKTFDINLPEYNNYSKLYLSVTFAFLYGLSFATLMATISHVALFEGKTIWKLWKKTTYAAKDNLTDVHTRLMKKNYEAVPQWWFHIILISIMVLSIIACEGFGKQLQLPWWGVLLACAIALFFTLPIGIIQATTNMQPGLNVITELVIGYMYPGKPLANVAFKTYGYISMVQALNLVGDFKLGHYMKIPPKSMFIVQLVGTVVASSCYFGTAWWLLSSIEHICDPALLPEGSPWTCPGDDVFYNASIIWGVVGPLRMFSKYGNYPQMNWFFLIGLLAPVPVWWLSRKFPEKKWIRLIHMPMILGATQGMPPAKAVHYICWGTVGIFFNWYVYNRYKRWWGKHTYILSAALDAGVAFMGVLLYFTLQSHDIVGPEWWGLDATDHCPLARCPTAKGIMSKGCPVL >EOY14110 pep chromosome:Theobroma_cacao_20110822:7:20927176:20928652:-1 gene:TCM_033366 transcript:EOY14110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFRPLMRPCFLCLHTHSTVITIQSYKINNARNHTKFNYELFDLNFFLHCLKRRDVFSLNCRIYSRCLLGTFPVDNTAI >EOY12241 pep chromosome:Theobroma_cacao_20110822:7:1448264:1449811:-1 gene:TCM_030804 transcript:EOY12241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene responsive element binding factor 3, putative MRRGRGAAAAAAAAATAVATKPALEPNGSIKEPRYRGVRKRPWGRFAAEIRDPWKKTRVWLGTFDSAEEAARAYDAAARSLRGSKAKTNFPINSSNIPAFPFETHHHNNEGFIDQQRLYPMGDFQDPEVNPQRPTRSSMSSTVESFSGPRPAQPPQKSTDFAVVSTRKYHPRTPPVVPEDCHSDCDSSSSVVDDGDIASSSCRKTLPFDLNFPPLDDVDDLQCTALCL >EOY13313 pep chromosome:Theobroma_cacao_20110822:7:7505512:7508115:1 gene:TCM_031862 transcript:EOY13313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQPQNLSFSKTLNPSFLVFPPHKPLLSPSLPRRCFPFSRQKPPILSVHHRDVRAFAGRSKKKPGGQSSGRLEGSAEIRRVAKRNARRKSKKLAESLFYRLKNPGKPNHADNFTEEELEAIGLGYDRMVRFMEKDDPNLKHPFDWYKYGEFGPYSWRGVVVGDPIRGRFADERVTMIGEVKNHEEWEKIEQFEMASEFGKRLEMMDKNVGFRYFWVFVRHPKWRLNELPWEQWTVVCEVVVEARKNERLDKWSLMGRLGNKARSLITQCAAWMRPDIIYVKKPVYQCRFEGQDDFFKALIPFLDPKTEGEYLFEVRKEDGSVELCSYFEGLCKIVKVSQKAFVDDVVKGFEKLSEEGKSRCLEFLLSNHPVPLLHPYTKEWKAKLEEMELGCDAPDDDEDDRGRDSGETQFTDWIEDDGGGDEEVEDQEDVVLDMEEGGHEEFRTEGEESEEEEDEKFWEEEFQKAVSSSERMEKLAKRSVEMTTEFYKKQLGVMEADKKKKIMEDGDETALRGKRATVRPEEWKYAGIGPWRRRIKKSKIPPELFLRAAVRPFTYRNLVKEIVLTRHAILEGEIGRKE >EOY14137 pep chromosome:Theobroma_cacao_20110822:7:21440826:21443150:1 gene:TCM_033422 transcript:EOY14137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNFYDNPFIDGKLPISIGNLSISLEELDASHCNIRGNIPREIGNLINLINLYIANNALIGTIPTTIKRLEKFQRLSLEDNTLEGSIQFELCHLKNLGYLYLIGSFGSVYQGTFSDGLNIVIKVFNLEVKGSFKSFGVECDFLRNICHGSLVTIISNCCNVDFKALILEFMPNGSLEKWLYSHNYFPDIFLRLNIMIDVASPFDYFYHGDFGIAKLLGEKDSKLQTITLATIGYMASEYETQGVVSIKSDAYSFGILLIETLTRKKPTDEMFVGEMSLKHWVIESLPSALTQVVNANFLISTKEQEHFAIKDCALSSLQLALECSKKLPEEGIDMKNVIAKLKKIKIKFLKDLNKQA >EOY12588 pep chromosome:Theobroma_cacao_20110822:7:2921812:2925085:1 gene:TCM_031104 transcript:EOY12588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALKVQNALESLWRRVVVEKSVLNHRSTMPSLGNLLKASDIWKNITKPFHGNSDYSHTLTNNMDLSIGCGNQIHLWDDDWAGDFNLQNAFLRIYVLALKKSSYVNDFDGSLRGCPGDSSVGGVLRNEVSDVLAMFSEDIGVTDSTTAELLTIKEVVTINLCSIQRLRALVIQTWSILNKINRWCIIHIPRSSNDMVDLLAKKGALRPSAFLWINGSVQDEISSSNTNHVNLPHLLHDNN >EOY13567 pep chromosome:Theobroma_cacao_20110822:7:9264056:9268865:-1 gene:TCM_032165 transcript:EOY13567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase 2A, putative MDDKTASKNISSEGDKVMEELIQTLPQEKDWVGSTLYQYQGFWYSLVPAKAVISFQNHFKAHDTDIFLITAPKSGTTWLKALIFSIVNRKQFPHTQSPLLATSPHDLVPIIEFSIYSKNQTLDLGNGNFPSPRIFATHTPYGTLPSSILKSNCRIVYLCRNPLDQFISDWHFIVNNFPRKEDFKPFSIEEGFDRFCKGIHAFGPFWEHVLGYWKMSLEHPEKVLFLKYEDLKKDITSNLMKLADFLGYPFSEEEIRQGVVEEISKLCSFETLKTVEVNMTGERPDGLKNSAFFRNAKPGRVVGSVIPYENGKNVKDGYNAKIFYRNAVLPPQNVSPHCFLRTSTTNQEKPGIQAGRDPQAKLQPSMAAKPPPGMVIDINSNPYYQPRAKAEQLNDRLPIDTKLMQAQSQFGAVGAAVVAVAAHRNLGTVQYGLS >EOY12672 pep chromosome:Theobroma_cacao_20110822:7:3322882:3325470:1 gene:TCM_031178 transcript:EOY12672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGGVIRAAAKVAGLGVVNTGLRAGFQVSPSSTEHSVMRVASRPVSSAMAVSSGGVSSVADAAASVNQKVGWETFDDWEFAGGVEEEVAMVGSTASGGGEPMARVLFGGVPSHEEAKEATADLKDALDKVYLSSPNSADTAQACPLTLLSYPEETKDCVACNVKATSVPKPAIQAFKLFNESPQVQTSRRVSFLDSCALGNVRCVFAIISCPPVGFLHIVSVVTSLAADQNVWNAVLNNSAYMEFVQSQKTNDELEDHGSPRSSESSVKLEEYVDASHPKDSGNQFSNFLLKVKTTVIELVNKATDFLQSLFTLPSAENAEGNAGSTFSSFDKTIGASLMGLAVMVIMVVLVKRA >EOY12590 pep chromosome:Theobroma_cacao_20110822:7:2927663:2933605:-1 gene:TCM_031106 transcript:EOY12590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-cadinene synthase isozyme A MASQVSPVLASTQKAISSYMQNRPKVDFHPNIWGDVFLNCPLKDIDTTTELRYEELKEEVRRMVVAPMDNLNLKLLLVDAVQRLGFNYHFEKETENILEQIYHDSNDANDLYTTALRFRILREHGFDVSCDVFNKFKDDKGNFKSSLTSDVRGLLELYEASYLPVHGEDILDEAISFATTYLILAAPTLDHPLSEQVAHALKQSIRRGLPRVEARRYISLYQNYESHNKALLEFAKIDLKLLQLLHRKELSEICRWWKDLDFTGKLPFARDRVVEGYFWIMGVYFEPQYSLGRRMLTKVIAMASKTRNFSNLFSSKGNRKEVYKNRWDIKCMDQLPDYMKISYKALLDVYEEMEQLLEQGKQYRVEYAKKEARRLAQAYLLEAKWMHENYKPTFEQYKANALLTSGYGMLAITAFVGMGDVVTQESFNWAVNDPKIIRASTIICRFMDDIAEHKIGGRLLLEIECYMKQHGFSEEKVCNGLNKQIENAWKDINQELARPPAGVPMPALTWILNLARVMDFLY >EOY11850 pep chromosome:Theobroma_cacao_20110822:7:119346:121900:-1 gene:TCM_030521 transcript:EOY11850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 28 isoform 1 MASKRINKELKDLQKDPPASCSAGPVADDMFHWQATIMGPADSPFAGGVFLVAIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRA >EOY11849 pep chromosome:Theobroma_cacao_20110822:7:119114:121741:-1 gene:TCM_030521 transcript:EOY11849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 28 isoform 1 MASKRINKELKDLQKDPPASCSAGPVADDMFHWQATIMGPADSPFAGGVFLVAIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMN >EOY13778 pep chromosome:Theobroma_cacao_20110822:7:10754617:10758628:-1 gene:TCM_032425 transcript:EOY13778 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 1 MAGNGLPSLGRVKLTDLIPSEGLPSDSYKLSVSTLSQSFAQYCAAIIQFPASDGALLRSGLDSARLYFQQRAAYPSADMIHANDSREWCKTSGYYADPQLWQETYDYRPGLTPTEPSNGMEFPPGGLPDIFALLGKAARDILDAISYYLNLRSSPFTEILDNIPLRSREISSSVLSVCCHARPSFQGAQHHNLTAQDDGQLIMYPDHEHQVDKCLISVVKSDKAGLHVRDFHGRWILVDGDLGPQEAVVYPGLALYQATAGYVNPALHRTEINNMPGNLYGRCSLAFKLMPKSMTSLSCSEMRAAGHGVEAQFQLPVPVDDFMQRSHPTDHLFNRNTFQSFNFPTAQDGSMKPLMRRRKNNTRCKPLPPSKRLRLEAQRVLKERVQEIADKKGIKLRFCNLKECESHIHALDSPCANIRMEIGWPHGVPFVHPHDLPNKAKIGFLEAYEPGWTATHDMELSLTEPGQASQQSANCN >EOY13779 pep chromosome:Theobroma_cacao_20110822:7:10755687:10769144:-1 gene:TCM_032425 transcript:EOY13779 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 1 MAGNGLPSLGRVKLTDLIPSEGLPSDSYKLSVSTLSQSFAQYCAAIIQFPASDGALLRSGLDSARLYFQQRAAYPSADMIHANDSREWCKTSGYYADPQLWQETYDYRPGLTPTEPSNGMEFPPGGLPDIFALLGKAARDILDAISYYLNLRSSPFTEILDNIPLRSREISSSVLSVCCHARPSFQGAQHHNLTAQDDGQLIMYPDHEHQVDKCLISVVKSDKAGLHVRDFHGRWILVDGDLGPQEAVVYPGLALYQATAGYVNPALHRTEINNMPGNLYGRCSLAFKLMPKSMTSLSCSEMRAAGHGVEAQFQLPVPVDDFMQRSHPTDHLFNRNTFQSFNFPTAQDGSMKPLMRRRKNNTRCKPLPPSKRLRLEAQRVLKERVQEIADKKGIKLRFCNLKECESHIHALDSPCANIRMEIGWPHGVPFVHPHDLPNKAKIGFLEAYEPGWTATHDMELSLTEPGQASQQSANCNCKSLVHFLSCTSIDQMQFSFFFLPVITHSTLNTEKFAGLSRVF >EOY13781 pep chromosome:Theobroma_cacao_20110822:7:10754523:10759685:-1 gene:TCM_032425 transcript:EOY13781 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 1 MAGNGLPSLGRVKLTDLIPSEGLPSDSYKLSVSTLSQSFAQYCAAIIQFPASDGALLRSGLDSARLYFQQRAAYPSADMIHANDSREWCKTSGYYADPQLWQETYDYRPGLTPTEPSNGMEFPPGGLPDIFALLGKAARDILDAISYYLNLRSSPFTEILDNIPLRSREISSSVLSVCCHARPSFQGAQHHNLTAQDDGQLIMYPDHEHQVDKCLISVVKSDKAGLHVRDFHGRWILVDGDLGPQEAVVYPGLALYQATAGYVNPALHRTEINNMPGNLYGRCSLAFKLMPKSMTSLSCSEMRAAGHGVEAQFQLPVPVDDFMQRSHPTDHLFNRNTFQSFNFPTAQDGSMKPLMRRRKNNTRCKPLPPSKRLRLEAQRVLKERVQEIADKKGIKLRFCNLKECESHIHALDSPCANIRMEIGWPHGVPFVHPHDLPNKAKIGFLEAYEPGWTATHDMELSLTEPGQASQQSANCN >EOY13782 pep chromosome:Theobroma_cacao_20110822:7:10756377:10767836:-1 gene:TCM_032425 transcript:EOY13782 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 1 MAGNGLPSLGRVKLTDLIPSEGLPSDSYKLSVSTLSQSFAQYCAAIIQFPASDGALLRSGLDSARLYFQQRAAYPSADMIHANDSREWCKTSGYYADPQLWQETYDYRPGLTPTEPSNGMEFPPGGLPDIFALLGKAARDILDAISYYLNLRSSPFTEILDNIPLRSREISSSVLSVCCHARPSFQGAQHHNLTAQDDGQLIMYPDHEHQVDKCLISVVKSDKAGLHVRDFHGRWILVDGDLGPQEAVVYPGLALYQATAGYVNPALHRTEINNMPGNLYGRCSLAFKLMPKSMTSLSCSEMRAAGHGVEAQFQLPVPVDDFMQRSHPTDHLFNRNTFQSFNFPTAQDGSMKPLMRRRKNNTRCKPLPPSKRLRLEAQRVLKERVQEIADKKGIKLRFCNLKECESHIHALDSPCANIRMEIGWPHGVPFVHPHDLPNKAKIGFLEAYEPGWTATHDMELSLTEPGQASQQSANCN >EOY13780 pep chromosome:Theobroma_cacao_20110822:7:10754536:10769144:-1 gene:TCM_032425 transcript:EOY13780 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 1 MAGNGLPSLGRVKLTDLIPSEGLPSDSYKLSVSTLSQSFAQYCAAIIQFPASDGALLRSGLDSARLYFQQRAAYPSADMIHANDSREWCKTSGYYADPQLWQETYDYRPGLTPTEPSNGMEFPPGGLPDIFALLGKAARDILDAISYYLNLRSSPFTEILDNIPLRSREISSSVLSVCCHARPSFQGAQHHNLTAQDDGQLIMYPDHEHQVDKCLISVVKSDKAGLHVRDFHGRWILVDGDLGPQEAVVYPGLALYQATAGYVNPALHRTEINNMPGNLYGRCSLAFKLMPKSMTSLSCSEMRAAGHGVEAQFQLPVPVDDFMQRSHPTDHLFNRNTFQSFNFPTAQDGSMKPLMRRRKNNTRCKPLPPSKRLRLEAQRVLKERVQEIADKKGIKLRFCNLKECESHIHALDSPCANIRMEIGWPHGVPFVHPHDLPNKAKIGFLEAYEPGWTATHDMELSLTEPGQASQQSANCN >EOY13548 pep chromosome:Theobroma_cacao_20110822:7:9156104:9158366:1 gene:TCM_032150 transcript:EOY13548 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative MDIRFQALPPVKRFRLMQQQNQEEEEFNSSKASSFCLPAKKRKESRDPPVAETTTYCLPAKKRIWALQPDFLSGKPLFSFDLNVEYEKEVEIEENKRNPISKSPKKCIFDAQKEILKESKKNLLEKGPKKCIKTRQEEEENKENEIPLVGDSKKNPLAKSPKKIIFDSKKENLEENKKIPLEKGSLKCMKARREEEYEENEIPALEESSNEEEEDGILCDICKSTDGDPTDPIVFCDGCDLMVHSTCYGNPLIKGIPEGDWFCDLCLASQSEKNKGDKQFSCCLCPTKGGALKPTTVDGKWAHLVCAVLVPEVFFEDPEGRERIDCSKVLEKRWKGKCYICKMRSGCVLECSEPKCGLEFHVTCGLKEDLCIEYKEGKKGAVVAGFCKCHTELWKKQQQTGKFKIVARDEHRK >EOY13727 pep chromosome:Theobroma_cacao_20110822:7:10400457:10403161:1 gene:TCM_032366 transcript:EOY13727 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein isoform 5 MEKGTEKGNGVGGGAAASRSPMALMGSSRYENEEANTGMRTTETMLRLVPMALCIAALVVMLKNSQSNEFGSVSYSDLGAFRYLVHANGICAGYSLLSAIIAAMPRPSTMPRAWTLFLLDQILTYIILAAGAVSTEVLYLTNKGDAAVTWSAACGTFASFCHKATTSAFQQV >EOY13730 pep chromosome:Theobroma_cacao_20110822:7:10400331:10401904:1 gene:TCM_032366 transcript:EOY13730 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein isoform 5 MEKGTEKGNGVGGGAAASRSPMALMGSSRYENEEANTGMRTTETMLRLVPMALCIAALVVMLKNSQSNEFGSVSYSDLGAFSRYLVHANGICAGYSLLSAIIAAMPRPSTMPRAWTLFLLDQILTYIILAAGAVSTEVLYLTNKGDAAVTWSAACGTFASFCHKATTSVIITFVVVACYVVLSLISSYRLFSKYDAPVN >EOY13729 pep chromosome:Theobroma_cacao_20110822:7:10400518:10401786:1 gene:TCM_032366 transcript:EOY13729 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein isoform 5 MEKGTEKGNGVGGGAAASRSPMALMGSSRYENEEANTGMRTTETMLRLVPMALCIAALVVMLKNSQSNEFGSVSYSDLGAFRSDMTLQVSGACKWHMCRLFPSFSYHCSHASSFHNASSLDTLPPRSDSNIHNFGCRCCVNGGALPDKQRRCSCHLECSLWDICQFLS >EOY13728 pep chromosome:Theobroma_cacao_20110822:7:10400446:10403161:1 gene:TCM_032366 transcript:EOY13728 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein isoform 5 MEKGTEKGNGVGGGAAASRSPMALMGSSRYENEEANTGMRTTETMLRLVPMALCIAALVVMLKNSQSNEFGSVSYSDLGAFRYLVHANGICAGYSLLSAIIAAMPRPSTMPRAWTLFLLDQILTYIILAAGAVSTEVLYLTNKGDAAVTWSAACGTFASFCHKATTSVIITFVVVACYVVLSLISSYRLFSKYDAPVN >EOY13726 pep chromosome:Theobroma_cacao_20110822:7:10400363:10402061:1 gene:TCM_032366 transcript:EOY13726 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein isoform 5 MEKGTEKGNGVGGGAAASRSPMALMGSSRYENEEANTGMRTTETMLRLVPMALCIAALVVMLKNSQSNEFGSVSYSDLGAFRYLVHANGICAGYSLLSAIIAAMPRPSTMPRAWTLFLLDQILTYIILAAGAVSTEVLYLTNKGDAAVTWSAACGTFASFCHKATTSVIITFVVVACYVVLSLISSYRLFSKYDAPVN >EOY12000 pep chromosome:Theobroma_cacao_20110822:7:572018:597681:1 gene:TCM_030622 transcript:EOY12000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDIWWCCLRFIIKGFKIFFNLSLPFSDVFFSLLIKFLLSSSFWVQNHAVSYVLETRYQISFTFHDLLEQEQLRIRKVTIVGSEV >EOY12196 pep chromosome:Theobroma_cacao_20110822:7:1266240:1269123:1 gene:TCM_030767 transcript:EOY12196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 51 isoform 1 MASSSDPWVKEYNEAVKIADDINGMISDRSSLPASGPETQRHASAIRRKITILGTRLDGLQSLLSRPTGRPLTEKEMNRRKDMVANLRSKANQMASAFNMSNFASRDSLLGPEIKPDAMSRTVGLDNSGLVGLQRQIMKEQDEGLEKLEGTVVSTKHIALAVNEELDLHTSLIDDLDRHVDVTDSRLARVQKNLAILNKRTKGGCSCMCMLLAVIGIVILVVAIYLLIKYL >EOY12197 pep chromosome:Theobroma_cacao_20110822:7:1266230:1269211:1 gene:TCM_030767 transcript:EOY12197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 51 isoform 1 MISDRSSLPASGPETQRHASAIRRKITILGTRLDGLQSLLSRPTGRPLTEKEMNRRKDMVANLRSKANQMASAFNMSNFASRDSLLGPEIKPDAMSRTVGLDNSGLVGLQRQIMKEQDEGLEKLEGTVVSTKHIALAVNEELDLHTSLIDDLDRHVDVTDSRLARVQKNLAILNKRTKGGCSCMCMLLAVIGIVILVVAIYLLIKYL >EOY12199 pep chromosome:Theobroma_cacao_20110822:7:1266091:1269128:1 gene:TCM_030767 transcript:EOY12199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 51 isoform 1 MISDRSSLPASGPETQRHASAIRRKITILGTRLDGLQSLLSRPTGRPLTEKEMNRRKDMVANLRSKANQMASAFNMSNFASRDSLLGPEIKPDAMSRTVGLDNSGLVGLQRQIMKEQDEGLEKLEGTVVSTKHIALAVNEELDLHTSLIDDLDRHVDVTDSRLARVQKNLAILNKRTKGGCSCMCMLLAVIGIVILVVAIYLLIKYL >EOY12198 pep chromosome:Theobroma_cacao_20110822:7:1266090:1269123:1 gene:TCM_030767 transcript:EOY12198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 51 isoform 1 MASSSDPWVKEYNEAVKIADDINGMISDRSSLPASGPETQRHASAIRRKITILGTRLDGLQSLLSRPTGRPLTEKEMNRRKDMVANLRSKANQMASAFNMSNFASRDSLLGPEIKPDAMSRTVGLDNSGLVGLQRQIMKEQDEGLEKLEGTVVSTKHIALAVNEELDLHTSLIDDLDRHVDVTDSRLARVQKNLAILNKRTKGGCSCMCMLLAVIGIVILVVAIYLLIKYL >EOY13611 pep chromosome:Theobroma_cacao_20110822:7:9518897:9522216:-1 gene:TCM_032233 transcript:EOY13611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein isoform 1 MGGLGSISIPMCIPSQLLNPNRRNNFLGKLSHPCLLFHKSHSLSLSSHFSPQNNKVTETHFTLKASASSSSVGAADYTEEPATKVKFQTSLSLPGCSSSLSLLGTVPLEKSLQIVLVRDVDGKTFWDALDEAISPRIKAPTPVDQSALSTFRSIFQGRPLNKGTFIFLTWPDPSKMLVAVSSDGMPASTDATIESANVASALFDVFFGDAPVSPSLKTSVANGLASILK >EOY13610 pep chromosome:Theobroma_cacao_20110822:7:9518724:9522219:-1 gene:TCM_032233 transcript:EOY13610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein isoform 1 MGGLGSISIPMCIPSQLLNPNRRNNFLGKLSHPCLLFHKSHSLSLSSHFSPQNNKVTETHFTLKASASSSSVGAADYTEEPATKVKFQTSLSLPGCSSSLSLLGTGYREKVFAIIGVKVYAAGLYVNQSILSKLDAWKGWLASQIQDDLSLFKSIFEVPLEKSLQIVLVRDVDGKTFWDALDEAISPRIKAPTPVDQSALSTFRSIFQGRPLNKGTFIFLTWPDPSKMLVAVSSDGMPASTDATIESANVASALFDVFFGDAPVSPSLKTSVANGLASILK >EOY13612 pep chromosome:Theobroma_cacao_20110822:7:9519008:9520486:-1 gene:TCM_032233 transcript:EOY13612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein isoform 1 VGAADYTEEPATKVKFQTSLSLPGCSSSLSLLGTGYREKVFAIIGVKVYAAGLYVNQSILSKLDAWKGWLASQIQDDLSLFKSIFEVPLEKSLQIVLVRDVDGKTFWDALDEAISPRIKAPTPVDQSALSTFRSIFQGRPLNKGTFIFLTWPDPSKMLVAVSSDGMPASTDATIESANVASALFDVFFGDAPVSPSLKTSVANGLASILK >EOY13330 pep chromosome:Theobroma_cacao_20110822:7:7568054:7569964:1 gene:TCM_031875 transcript:EOY13330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related family protein isoform 3 MASVPGRDKYRSFLHDDADSVQWRHGGPPTYDAVNQLFEEGRTKEWPEGSLEETVQNAIKTWEMEISHKVRLQDIKSINPEKFKLIVNGREGLTGEETLKLGTYNALLKNSLPKEFQYYKVDEETFESSHEVFRSAFPRGFAWEVISVYSGPPLIAFKFRHWGIFQGPFKGHAPTGEKVDESLKVED >EOY13328 pep chromosome:Theobroma_cacao_20110822:7:7564381:7570338:1 gene:TCM_031875 transcript:EOY13328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related family protein isoform 3 MASVPGRDKYRSFLHDDADSVQWRHGGPPTYDAVNQLFEEGRTKEWPEGSLEETVQNAIKTWEMEISHKVRLQDIKSINPEKFKLIVNGREGLTGEETLKLGTYNALLKNSLPKEFQYYKVDEETFESSHEVFRSAFPRGFAWEVISVYSGPPLIAFKFRHWGIFQGPFKGHAPTGEKVEFFGLGTLKVDESLKVEDVEIYYDPGELFAGLLKGPPISESQTGDEDIAQCSAKTHGCPFQK >EOY13329 pep chromosome:Theobroma_cacao_20110822:7:7568054:7570357:1 gene:TCM_031875 transcript:EOY13329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related family protein isoform 3 MASVPGRDKYRSFLHDDADSVQWRHGGPPTYDAVNQLFEEGRTKEWPEGSLEETVQNAIKTWEMEISHKVRLQDIKSINPEKFKLIVNGREGLTGEETLKLGTYNALLKNSLPKEFQYYKVDEETFESSHEVFRSAFPRGFAWEVISVYSGPPLIAFKFRHWGIFQGPFKGHAPTGEKVEFFGLGTLKVTCSSLLYFSLEKQNKRRKKPWIVFLVDESLKVEDVEIYYDPGELFAGLLKGPPISESQTGDEDIAQCSAKTHGCPFQK >EOY12909 pep chromosome:Theobroma_cacao_20110822:7:4807312:4816578:1 gene:TCM_031415 transcript:EOY12909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein isoform 2 MEESVDNEKEMRSLALTPTWSVATVLTIFVAVSLIVERSIHRLSHWLRKTNRKPLLAAVEKMKEELMLLGFISLLLTATSSTIANICIPSKFYDSTFAPCTRSEIDEELEDGSSKERKLTMVSGFHLFRRMLNGMNQNTCKEGHEPFVSYEGLEQLHRFIFVMAITHVSYSCLTMLLAIVKIHSWRAWEDEAQMDRHDLLNERARESILRRQTTFVKNHTSNPLTKNSFLIWVICFFRQFGRSVVRADYLTLRKGFIMNHNLISSYDFHSYMIRSMEEEFQKIVGVSGPLWGFVVAFMLFNVKGSNLYFWIAIIPITLVLLVGAKLQHVIATLALENASITGFYTGAKLRPRDELFWFNKPEWLLSLIHFILFQNAFELASFFWFWWQFGYNSCFIRNHTLVYIRLILGFAGQFLCSYITLPLYALVTQMGTNYKAALIPQRIRETIHGWGKAARRRRRHGLFPDDSTIHTDTSTVMSLEEEEHQFIDINEAGNGTHTEIELQPPANVTASPSPVANETSSRVGTPLLRPSATISSSTMSSLQKEDFLRSSSMPVRRE >EOY12910 pep chromosome:Theobroma_cacao_20110822:7:4807620:4816677:1 gene:TCM_031415 transcript:EOY12910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein isoform 2 MEESVDNEKEMRSLALTPTWSVATVLTIFVAVSLIVERSIHRLSHWLRKTNRKPLLAAVEKMKEELMLLGFISLLLTATSSTIANICIPSKFYDSTFAPCTRRMLNGMNQNTCKEGHEPFVSYEGLEQLHRFIFVMAITHVSYSCLTMLLAIVKIHSWRAWEDEAQMDRHDLLNERARESILRRQTTFVKNHTSNPLTKNSFLIWVICFFRQFGRSVVRADYLTLRKGFIMNHNLISSYDFHSYMIRSMEEEFQKIVGVSGPLWGFVVAFMLFNVKGSNLYFWIAIIPITLVLLVGAKLQHVIATLALENASITGFYTGAKLRPRDELFWFNKPEWLLSLIHFILFQNAFELASFFWFWWQFGYNSCFIRNHTLVYIRLILGFAGQFLCSYITLPLYALVTQMGTNYKAALIPQRIRETIHGWGKAARRRRRHGLFPDDSTIHTDTSTVMSLEEEEHQFIDINEAGNGTHTEIELQPPANVTASPSPVANETSSRVGTPLLRPSATISSSTMSSLQKEDFLRSSSMPVRRE >EOY12911 pep chromosome:Theobroma_cacao_20110822:7:4808057:4816677:1 gene:TCM_031415 transcript:EOY12911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein isoform 2 MEESVDNEKEMRSLALTPTWSVATVLTIFVAVSLIVERSIHRLSHWLRKTNRKPLLAAVEKMKEELMLLGFISLLLTATSSTIANICIPSKFYDSTFAPCTRSEIDEELEDGSSKERKLTMVSGFHLFRRMLNGMNQNTCKEGHEPFVSYEGLEQLHRFIFVMAITHVSYSCLTMLLAIVKIHSWRAWEDEAQMDRHDLLNERARESILRRQTTFVKNHTSNPLTKNSFLIWVICFFRQFGRSVVRADYLTLRKGFIMNHNLISSYDFHSYMIRSMEEEFQKIVGVSGPLWGFVVAFMLFNVKGSNLYFWIAIIPITLVLLVGAKLQHVIATLALENASITGFYTGAKLRPRDELFWFNKPEWLLSLIHFILFQQNAFELASFFWFWWQFGYNSCFIRNHTLVYIRLILGCLLGSFSVATSLCHSMLWLLRWEQTTRQH >EOY14329 pep chromosome:Theobroma_cacao_20110822:7:24073173:24082425:-1 gene:TCM_033706 transcript:EOY14329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein isoform 2 MDTRLDTRAHHLPPLSPPLSSVIWTPRPRLIRICFTTSSPGLQVPTSLPPPGPSNAPLKVPLATTSESLPERAGEPDCPYFLKTQRCKFGSKCKFNHPKDKLAISGAFENIQVAVLPERPSEPSCSFYVKTGKCKFGATCKFHHPKDIQIASSGQDNARGEQPEIVTKTGGATADVKPALFHNSKGLPIRPDEVDCPFYLKTGSCKYGSSCRYNHPDRNAFNPPAAVLGHSLVASASNLNIGVVTPAASIYQTIDPRLGQSTLLAQPTFPTLGVAPTVYPQRPGQTECEYYMKTGDCKFGDRCKFHHPIDRSVSKTKQTSEQAVKLTLAGLPRREGGVHCPYYMKTGTCKYGATCKFDHPPPGEVMGIATAQGTSTTVGGEEKGEMEEAETVETNTAMRSC >EOY14326 pep chromosome:Theobroma_cacao_20110822:7:24073880:24082082:-1 gene:TCM_033706 transcript:EOY14326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein isoform 2 MANQLYGYTPRYSSPSPTASLSSTLQRYLDSETTPHSHLLHHLQPWPPGADVPSSAGPIKRPSEVLYHQNVLDAHNTIGQTEAWYSTSSLVKRPRLESASNLPIYPQRPGEKDCAHYMLTRTCKFGDSCKFDHPIWVPEGGIPDWKEVPLATTSESLPERAGEPDCPYFLKTQRCKFGSKCKFNHPKDKLAISGAFENIQVAVLPERPSEPSCSFYVKTGKCKFGATCKFHHPKDIQIASSGQDNARGEQPEIVTKTGGATADVKPALFHNSKGLPIRPDEVDCPFYLKTGSCKYGSSCRYNHPDRNAFNPPAAVLGHSLVASASNLNIGVVTPAASIYQTIDPRLGQSTLLAQPTFPTLGVAPTVYPQRPGQTECEYYMKTGDCKFGDRCKFHHPIDRSVSKTKQTSEQAVKLTLAGLPRREVIDSWDYNEGRCSLSILHEDWNMQVWCNMQI >EOY14327 pep chromosome:Theobroma_cacao_20110822:7:24073173:24082425:-1 gene:TCM_033706 transcript:EOY14327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein isoform 2 MANQLYGYTPRYSSPSPTASLSSTLQRYLDSETTPHSHLLHHLQPWPPGADVPSSAGPIKRPSEVLYHQNVLDAHNTIGQTEAWYSTSSLVKRPRLESASNLPIYPQRPGEKDCAHYMLTRTCKFGDSCKFDHPIWVPEGGIPDWKEVPLATTSESLPERAGEPDCPYFLKTQRCKFGSKCKFNHPKDKLAISGAFENIQVAVLPERPSEPSCSFYVKTGKCKFGATCKFHHPKDIQIASSGQDNARGEQPEIVTKTGGATADVKPALFHNSKGLPIRPDEVDCPFYLKTGSCKYGSSCRYNHPDRNAFNPPAAVLGHSLVASASNLNIGVVTPAASIYQTIDPRLAGSGSNCVSSETWTD >EOY14325 pep chromosome:Theobroma_cacao_20110822:7:24073747:24082082:-1 gene:TCM_033706 transcript:EOY14325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein isoform 2 MANQLYGYTPRYSSPSPTASLSSTLQRYLDSETTPHSHLLHHLQPWPPGADVPSSAGPIKRPSEVLYHQNVLDAHNTIGQTEAWYSTSSLVKRPRLESASNLPIYPQRPGEKDCAHYMLTRTCKFGDSCKFDHPIWVPEGGIPDWKEVPLATTSESLPERAGEPDCPYFLKTQRCKFGSKCKFNHPKDKLAISGAFENIQVAVLPERPSEPSCSFYVKTGKCKFGATCKFHHPKDIQIASSGQDNARGEQPEIVTKTGGATADVKPALFHNSKGLPIRPDEVDCPFYLKTGSCKYGSSCRYNHPDRNAFNPPAAVLGHSLVASASNLNIGVVTPAASIYQTIDPRLGQSTLLAQPTFPTLGVAPTVYPQRPGQTECEYYMKTGDCKFGDRCKFHHPIDRSVSKTKQTSEQAVKLTLAGLPRREGGVHCPYYMKTGTCKYGATCKFDHPPPGEVMGIATAQGTSTTVGGEEKGEMEEAETVETNTAMRSC >EOY14328 pep chromosome:Theobroma_cacao_20110822:7:24073880:24082066:-1 gene:TCM_033706 transcript:EOY14328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein isoform 2 MDTRLDTRAHHLPPLSPPLSSVIWTPRPRLIRICFTTSSPGLQVPTSLPPPGPSNAPLKVPLATTSESLPERAGEPDCPYFLKTQRCKFGSKCKFNHPKDKLAISGAFENIQVAVLPERPSEPSCSFYVKTGKCKFGATCKFHHPKDIQIASSGQDNARGEQPEIVTKTGGATADVKPALFHNSKGLPIRPDEVDCPFYLKTGSCKYGSSCRYNHPDRNAFNPPAAVLGHSLVASASNLNIGVVTPAASIYQTIDPRLGQSTLLAQPTFPTLGVAPTVYPQRPGQTECEYYMKTGDCKFGDRCKFHHPIDRSVSKTKQTSEQAVKLTLAGLPRREVIDSWDYNEGRCSLSILHEDWNMQVWCNMQI >EOY12465 pep chromosome:Theobroma_cacao_20110822:7:2300416:2302715:1 gene:TCM_030971 transcript:EOY12465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein isoform 1 MSTGPGLESLVDQTISVITNDGRNIVGILKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGELDEELDSALDLSNLRAHPLKPVIH >EOY12463 pep chromosome:Theobroma_cacao_20110822:7:2300467:2302708:1 gene:TCM_030971 transcript:EOY12463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein isoform 1 MSTGPGLESLVDQTISVITNDGRNIVGILKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGELDEELDSALDLSNLRAHPLKPVIH >EOY12464 pep chromosome:Theobroma_cacao_20110822:7:2300092:2302925:1 gene:TCM_030971 transcript:EOY12464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein isoform 1 MSTGPGLESLVDQTISVITNDGRNIVGILKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGELDEELDSALDLSNLRAHPLKPVIH >EOY13238 pep chromosome:Theobroma_cacao_20110822:7:6740420:6745062:1 gene:TCM_031764 transcript:EOY13238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopetide-repeat thioredoxin-like 1 isoform 3 MSHLGKPVTELGRLDKLADQLRDSLSYDVNKPDFRELDLGSPVSPLRTRQPGITMTTTTTTTSSSSSSSGSVSGKNGSNTLARRSESGPNNHSGELSVSSETSPTASTRNIKLGQTRSESNTTTTSLIYSGQSSVNSPPLNVLPTGNICPSGKILKTGMAVNRSSRSDVLGSGSGNYGHGSIMRGGGVGAGPVTASKGSVFEPCNTVGSRGNGSDLTRKAMGSLDPEEVKRVGNEMYKKGHFLEALSLYDKAIALSPGNAAYRSNRAAALTALGRVGEAVKECEEAVRLDPNYGRAHQRLASLMLRVGQVENARKHLCFLGQPQDPTELQKLQAVEKHISKCTDARRIRDWKSALRESDAAIATGADFSPQLFMCRVEALLKLHQLDDAESSLSFVPKLEPCTNSCSQTKFFGMLSEAYLFFVRAQIEMALGRFENAVTAAERAGQIDPRNVEVAVLLNNVRLVARARARGNDLFKSERFTEACSAYGDGLRLDPSISVLYCNRAACWFKLGRWERSVEDCDQALSIQPNYIKALLRRAASNSKLERWAEAVRDYEVLRRELPDDNEVAESLFHAQVALKKSRGEEVYNMKFGGEVEEVSGLEQFRAAISLPGISVVHFKMASNMQCKQISPLVDALCGRYPSINFLKVDIDESPAVANAENVRIVPTFKIYKNGSRVKEIVCPSREMLEHSVRHYSF >EOY13240 pep chromosome:Theobroma_cacao_20110822:7:6740024:6744089:1 gene:TCM_031764 transcript:EOY13240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopetide-repeat thioredoxin-like 1 isoform 3 MSHLGKPVTELGRLDKLADQLRDSLSYDVNKPDFRELDLGSPVSPLRTRQPGITMTTTTTTTSSSSSSSGSVSGKNGSNTLARRSESGPNNHSGELSVSSETSPTASTRNIKLGQTRSESNTTTTSLIYSGQSSVNSPPLNVLPTGNICPSGKILKTGMAVNRSSRSDVLGSGSGNYGHGSIMRGGGVGAGPVTASKGSVFEPCNTVGSRGNGSDLTRKAMGSLDPEEVKRVGNEMYKKGHFLEALSLYDKAIALSPGNAAYRSNRAAALTALGRVGEAVKECEEAVRLDPNYGRAHQRLASLMLRVGQVENARKHLCFLGQPQDPTELQKLQAVEKHISKCTDARRIRDWKSALRESDAAIATGADFSPQLFMCRVEALLKLHQLDDAESSLSFVPKLEPCTNSCSQTKFFGMLSEAYLFFVRAQIEMALGRFENAVTAAERAGQIDPRNVEVAVLLNNVRLVARARARGNDLFKSERFTEACSAYGDGLRLDPSISVLYCNRAACWFKLGRWERSVEDCDQALSIQPNYIKALLRRAASNSKLERWAEAVRDYEVLRRELPDDNEVAESLFHAQVALKKSRGEEVYNMKFGGEVEEVSGLEQFRAAISLPGKQCSYILNILNYLQLTPHFCPRSLPFYCHAILLSYTFEPQASL >EOY13239 pep chromosome:Theobroma_cacao_20110822:7:6739993:6744934:1 gene:TCM_031764 transcript:EOY13239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopetide-repeat thioredoxin-like 1 isoform 3 MSHLGKPVTELGRLDKLADQLRDSLSYDVNKPDFRELDLGSPVSPLRTRQPGITMTTTTTTTSSSSSSSGSVSGKNGSNTLARRSESGPNNHSGELSVSSETSPTASTRNIKLGQTRSESNTTTTSLIYSGQSSVNSPPLNVLPTGNICPSGKILKTGMAVNRSSRSDVLGSGSGNYGHGSIMRGGGVGAGPVTASKGSVFEPCNTVGSRGNGSDLTRKAMGSLDPEEVKRVGNEMYKKGHFLEALSLYDKAIALSPGNAAYRSNRAAALTALGRVGEAVKECEEAVRLDPNYGRAHQRLASLMLRVGQVENARKHLCFLGQPQDPTELQKLQAVEKHISKCTDARRIRDWKSALRESDAAIATGADFSPQLFMCRVEALLKLHQLDDAESSLSFVPKLEPCTNSCSQTKFFGMLSEAYLFFVRAQIEMALGRFENAVTAAERAGQIDPRNVEVAVLLNNVRLVARARARGNDLFKSERFTEACSAYGDGLRLDPSISVLYCNRAACWFKLGRWERSVEDCDQALSIQPNYIKALLRRAASNSKLERWAEAVRDYEVLRRELPDDNEVAESLFHAQVALKKSRGEEVYNMKFGGEVEEVSGLEQFRAAISLPGISVVHFKMASNMQCKQISPLVDALCGRYPSINFLKVDIDESPAVANAENVRIVPTFKIYKNGSRVKEIVCPSREMLEHSFYQT >EOY13241 pep chromosome:Theobroma_cacao_20110822:7:6740024:6744089:1 gene:TCM_031764 transcript:EOY13241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopetide-repeat thioredoxin-like 1 isoform 3 MSHLGKPVTELGRLDKLADQLRDSLSYDVNKPDFRELDLGSPVSPLRTRQPGITMTTTTTTTSSSSSSSGSVSGKNGSNTLARRSESGPNNHSGELSVSSETSPTASTRNIKLGQTRSESNTTTTSLIYSGQSSVNSPPLNVLPTGNICPSGKILKTGMAVNRSSRSDVLGSGSGNYGHGSIMRGGGVGAGPVTASKGSVFEPCNTVGSRGNGSDLTRKAMGSLDPEEVKRVGNEMYKKGHFLEALSLYDKAIALSPGNAAYRSNRAAALTALGRVGEAVKECEEAVRLDPNYGRAHQRLASLMLRVGQVENARKHLCFLGQPQDPTELQKLQAVEKHISKCTDARRIRDWKSALRESDAAIATGADFSPQLEPCTNSCSQTKFFGMLSEAYLFFVRAQIEMALGRFENAVTAAERAGQIDPRNVEVAVLLNNVRLVARARARGNDLFKSERFTEACSAYGDGLRLDPSISVLYCNRAACWFKLGRWERSVEDCDQALSIQPNYIKALLRRAASNSKLERWAEAVRDYEVLRRELPDDNEVAESLFHAQVALKKSRGEEVYNMKFGGEVEEVSGLEQFRAAISLPGISVVHFKMASNMQCKQISPLVDALCGRYPSINFLKVDIDESP >EOY12366 pep chromosome:Theobroma_cacao_20110822:7:1829347:1832474:1 gene:TCM_030890 transcript:EOY12366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGICYHLLAIQEEWCYCSGRVLDSFHVADLETDNGLNYDEARIDERANSLPGNVRLGVHGLFFLIVKMGVAKPSAACLSPLGLKGRAPQSCGSMEFWVTLMAVSSELISLPPLDLKPDQRITTGSLLSPPGNPGSMVLEFEEIVKSFIFCELGDKKWTEIPAEEMDVKMQIMDNEPSARNRLLCSSPVNYKGKWYVPMSRKIKVIDQVKPKHMVLRSLNCMISNRSWYSYCEDRYLVESCGELCVIEVTWGGVNACQVLNIEISRLDFSTMEWSQVRSAKDRAFFISNFSVYAISCPANESGIEGGFVYYTVGTDRCLYSFNIEDKSISVSLPWVNLPKSWSTPFWVMPDLREYMHLFIEPSARMLACLLQISYDFIDSPLFDSPKPEDNQILGNSRTLHNFMDPKPGGRYLMNIPEPIIDFDIRYSKEGWLLMSSRDRGMFVDNLGERVFEFDYSSRAWHKVRDLGNYMFFVSTPSSFSMVAKTPGMENKIYFPKIKGEEIVYYCLRTGKFRTFGSKQFAANFYNTTEYLFSTWIQQRWL >EOY13131 pep chromosome:Theobroma_cacao_20110822:7:6102108:6108566:1 gene:TCM_031657 transcript:EOY13131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbamoyl phosphate synthetase B MSCCRNLSSSPSHFCSFSKPFLPKSLSFPFFFSSSPNPKTGPRNAFHLRSWPPQRSFSLPATKRVPIQANSAATADAKAPKLGKRMDLKKIMILGAGPIVIGQACEFDYSGTQACKALREEGYEVVLINSNPATIMTDPDMADRTYVTPLTPELVEQVLEKERPDALLPTMGGQTALNLAVALAESGVLEKYGVELIGAKLDAIKKAEDRDLFKQAMKNIGIKTPPSGIGNTLDECIEIANEIGEFPLIIRPAFTLGGTGGGIAYNKEEFEAICKAGLAASLTSQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPVDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYSLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSQPILTTQMKSVGESMALGRTFQESFQKAVRSLECGYSGWGCAKVKELDWDWDQLKYSLRVPSPDRIHAIYAAMKKGMKVDEIYELSLIDKWFLTQFKELVDVEQYLLSCNLSDLTKDEFYEVKKRGFSDKQIAFATKSSEKEVRAKRISLGITPAYKRVDTCAAEFEANTPYMYSSYDFECESAPTEKKKVLILGGGPNRIGQGIEFDYCCCHTSFALQKAGFETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVLNVIDLERPDGIIVQFGGQTPLKLALPIQHYLDEHQPLCASGVGHVRIWGTSPDSIDAAEDRERFNAILYELKIEQPKGGIAKSEGDALAIATDIGYPVVVRPSYVLGGRAMEIVYSDDKLVTYLENAVEVDPERPVLIDKYLSDAIEIDVDALADSHGNVVIGGIMEHIEQAGIHSGDSACSIPTQTIPSACLDTIRSWTTKLAKRLNVCGLMNCQYAITASGDVFLLEANPRASRTVPFVSKAIGHPLAKYAALVMSGKSLNDLGFTKEVTPKHVSVKEAVLPFEKFQGCDVLLGPEMKSTGEVMGIDFEFAIAFAKAQIAAGQKLPLSGTVFLSLNDLTKPYLERIAKAFLGLGFQIVSTSGTAHFLELKGIPVERVLKMHEGRPHAGDMIANGQIQLMLITSSGDALDQIDGRRLRRMALAYKVPIITTVDGALASAEAIRSLKSCAINMIALQDFFDTEYVFHCPCLGQNFFEVAVFFPDFLLSHLYSCYLIDDDEF >EOY13482 pep chromosome:Theobroma_cacao_20110822:7:8732009:8734829:-1 gene:TCM_032082 transcript:EOY13482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEHGNGSITKHKSRDVIFLKEDFPSKGEIHNEIYLYEIEGLDIGPPSSLIENVKEIPQTFGDRESHLPLSSSITIDKDPQVDSSLNLIDYNDVD >EOY12126 pep chromosome:Theobroma_cacao_20110822:7:1078358:1083611:1 gene:TCM_030726 transcript:EOY12126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 53, putative MGNLTSLEWLDFSSNKLNGQIPQKLTDLTFLAFLTLSHNQLVGPIPNGKQFSTFENASYEGNLGLCGFPLSKGCSKDKRQQPPSPILEEADELETESSFSWKGVLIGYGCGLIFGVVIGYIALKTGNADDFGKALAAFASVCLLPDVFSPRSSIHGHGFIITGDELQLLLSFKSSINDPSGFLSSWNSSTPLCMWHGITCNNFSRIKVIELTEKNISGTISSSIFHLSEIETINLSTNQLCGQIPNDLASSVSLRYLNLSYNNLTGTIPNCSISLEILDLSRNMLFGKIPPQIGQCSNLKELDLGGNNLAGRIPSSISNISNLLMLSLDRNELIGKIPRALGKLKSLKGIYFGNNYLSGKIPQEFVDLVSLIFLDLANNNFSGQIPSSLGNLTNLQYLSLFNNNLNRQIPSSFGNLTNIKNLYLHNNNLNGQIPSSLGNLTNLQYLYLYRNMLTGLLPKSLFGLKKLIHLDLSENHLFGEIPELIIELQSLEGLQLFSNDFTGKIPNALTSLPYLRRIAIYSNNLIGEISSLICNLSSIEVIDLGDNSLNGTIPPCLGNFSKGLSILDLHMNSFHGTIPETFGKDCGLRYLNFKENKLEGSLPRSMANCRKLELMDFGGNKLNGTFPYWLDTLPELQVLVLQSNKLCGVLQSSKTIHPFPKLRILDLANNEFAGPLPEGIIKNMKAMMNLNEQQSSLQYMQGEYYNYYVNLIVKGFSVVYFHISKTFASIDLSNNNFHGEITSVIGKLSSLRGLNLSYNSLSGHIPTSMGNLTNLEWLDLSSNKLTGQIPNELKDMTFLACLNLSHNRLIGPIPQGKQFSTFENGSYEGNMALCGFPLSKACNDDGRKRSSPSFLKEADDSETKISFGWNVVLMGYGCGLIFGVIIGYVTFRNGEPKWFVTLYGVKYHRKGRRCSRN >EOY12352 pep chromosome:Theobroma_cacao_20110822:7:1788859:1791192:-1 gene:TCM_030881 transcript:EOY12352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIISISGSTSESESKSDPDEPSAFTASSSFSLLSSLTQKVLALNPKVPNTPTLLASTTIPISIGTIDPGNLQKAFVLILGIRVRARLGETPGSMSSWHHRSCQVRVDHSQSHSAI >EOY13084 pep chromosome:Theobroma_cacao_20110822:7:5835539:5836009:1 gene:TCM_031607 transcript:EOY13084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLVNTEFLPPLKLDPITHLIPASHFPPSIPLLKNTITKCAHTLLTSQPLDLKPPMESDPIDGFDL >EOY13704 pep chromosome:Theobroma_cacao_20110822:7:10263578:10264820:1 gene:TCM_032342 transcript:EOY13704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMFLRNFHKKNIKEKDDKLTSLELGKAIEGSFEDSFLHLHDTRFFFCHNQNFPRHKTYSAVGLAERAALAFDCSDQSVTESKTRRQTRVEPCLVDHRRTDTYQV >EOY11997 pep chromosome:Theobroma_cacao_20110822:7:560638:565627:-1 gene:TCM_030619 transcript:EOY11997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 2 MFSITTHVLVRARMYDYAKSKLGQLCKMGVGPRSVFGALMDTYPLCNSNPSVFDLLIRVYLRNGMIDDALETFNLMCSRKFKPSVYTCNMMLGSMVKDRRVGSVWSFFKEMLGWRICPNTATFNILINVLCVEGKLKKAGYLLRKMEDNGYVPTIVTYNTLLNWFCKKGRYKLAFELIDQMQSKGIEADVCTYNMLIDDLCRNNRSAKAYLLLKKIRKRSISPNEVTYNTLINGFVKEGKIGIATCVFDEMSNFNLSPNLATYNALIEGHSHEGNFEEALRLIDMMEQVGSQPNEVSYGALLIGLCKHAKFDLARRIFERMRTNGMGFSCILYTEMIDGLCKNGFLNEAIQMLHKMFEEGVAPDIITFSVLINGFYGAGKIKNGKEVICKMFRAGFTPNNVIYSTLIYKFCKMRHITEALKIYRIMNCSGHAADHFTCNILVASLCRDGKAREAEDFMRHMSRIGPNPNSITFDCVISGYGNLGDGLKAFSLFDEMVVLGNSPSFFTYGSLLKGLCKSGNLVEAKKFLSKLHYIPSAVDNVVYNTILAESCKSGTMWESVVLFDEMVQYGILPDNYTYTSLINGLCRKGKIAAALLFLGKLMEKGAFSPNLVTYTCLVDGLFKAGQSRAAFYFYEEMEQKGPYLDVLALNVAIDGTSRMGKMGKANNLFSNMRSKGLCPSLPTYNILLHGYSKKKDIQMCSVLFKLMISSGLLPDRLTSHCLILGFCKSGMLDVGIEILKTMISRGIEVDRFTFNMLISKCSESGETGKAFNLVNIMNFLGIIPDIETYNAIINVLNRNLALQESRIILHELAQNGFLPKGKQYITLINAMCRVGNMRTAFDLKDEMASLDITSCDVAESAIVRGLALCGKVEEAMLVLDNMLRMRLVPTVATFTTVMHMFCKGSKIAEALKLRNKMELCGLKLDVVAYNVIISGLCADGDVAAAFKHYQEMKQYRLWPNATTYTVLVNALLTEWSSPFASDVLLKDLKGRGIISCDWDGSTEQIHKALMIAMKRLKYLQQNKRK >EOY11995 pep chromosome:Theobroma_cacao_20110822:7:560554:566148:-1 gene:TCM_030619 transcript:EOY11995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 2 MSCSTAKPLSRVHHEFSKFRPFPLLGSFHFSSKGSRLDDTSKNQAQFSELEKSIYTILTIDRWESLNHMDYKLASLRQVHGRLALKFLNFVVKQPGLELNHLTHMFSITTHVLVRARMYDYAKSKLGQLCKMGVGPRSVFGALMDTYPLCNSNPSVFDLLIRVYLRNGMIDDALETFNLMCSRKFKPSVYTCNMMLGSMVKDRRVGSVWSFFKEMLGWRICPNTATFNILINVLCVEGKLKKAGYLLRKMEDNGYVPTIVTYNTLLNWFCKKGRYKLAFELIDQMQSKGIEADVCTYNMLIDDLCRNNRSAKAYLLLKKIRKRSISPNEVTYNTLINGFVKEGKIGIATCVFDEMSNFNLSPNLATYNALIEGHSHEGNFEEALRLIDMMEQVGSQPNEVSYGALLIGLCKHAKFDLARRIFERMRTNGMGFSCILYTEMIDGLCKNGFLNEAIQMLHKMFEEGVAPDIITFSVLINGFYGAGKIKNGKEVICKMFRAGFTPNNVIYSTLIYKFCKMRHITEALKIYRIMNCSGHAADHFTCNILVASLCRDGKAREAEDFMRHMSRIGPNPNSITFDCVISGYGNLGDGLKAFSLFDEMVVLGNSPSFFTYGSLLKGLCKSGNLVEAKKFLSKLHYIPSAVDNVVYNTILAESCKSGTMWESVVLFDEMVQYGILPDNYTYTSLINGLCRKGKIAAALLFLGKLMEKGAFSPNLVTYTCLVDGLFKAGQSRAAFYFYEEMEQKGPYLDVLALNVAIDGTSRMGKMGKANNLFSNMRSKGLCPSLPTYNILLHGYSKKKDIQMCSVLFKLMISSGLLPDRLTSHCLILGFCKSGMLDVGIEILKTMISRGIEVDRFTFNMLISKCSESGETGKAFNLVNIMNFLGIIPDIETYNAIINVLNRNLALQESRIILHELAQNGFLPKGKQYITLINAMCRVGNMRTAFDLKDEMASLDITSCDVAESAIVRGLALCGKVEEAMLVLDNMLRMRLVPTVATFTTVMHMFCKGSKIAEALKLRNKMELCGLKLDVVAYNVIISGLCADGDVAAAFKHYQEMKQYRLWPNATTYTVLVNALLTEWSSPFASDVLLKDLKGRGIISCDWDGSPIHFPSFLDIMEPQSMVFRVIPSIANMSCCVTVCKGYGARTTVKEAKNKFSAAGSDLSQGPNQSNRVY >EOY11996 pep chromosome:Theobroma_cacao_20110822:7:561758:565627:-1 gene:TCM_030619 transcript:EOY11996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 2 MFSITTHVLVRARMYDYAKSKLGQLCKMGVGPRSVFGALMDTYPLCNSNPSVFDLLIRVYLRNGMIDDALETFNLMCSRKFKPSVYTCNMMLGSMVKDRRVGSVWSFFKEMLGWRICPNTATFNILINVLCVEGKLKKAGYLLRKMEDNGYVPTIVTYNTLLNWFCKKGRYKLAFELIDQMQSKGIEADVCTYNMLIDDLCRNNRSAKAYLLLKKIRKRSISPNEVTYNTLINGFVKEGKIGIATCVFDEMSNFNLSPNLATYNALIEGHSHEGNFEEALRLIDMMEQVGSQPNEVSYGALLIGLCKHAKFDLARRIFERMRTNGMGFSCILYTEMIDGLCKNGFLNEAIQMLHKMFEEGVAPDIITFSVLINGFYGAGKIKNGKEVICKMFRAGFTPNNVIYSTLIYKFCKMRHITEALKIYRIMNCSGHAADHFTCNILVASLCRDGKAREAEDFMRHMSRIGPNPNSITFDCVISGYGNLGDGLKAFSLFDEMVVLGNSPSFFTYGSLLKGLCKSGNLVEAKKFLSKLHYIPSAVDNVVYNTILAESCKSGTMWESVVLFDEMVQYGILPDNYTYTSLINGLCRKGKIAAALLFLGKLMEKGAFSPNLVTYTCLVDGLFKAGQSRAAFYFYEEMEQKGPYLDVLALNVAIDGTSRMGKMGKANNLFSNMRSKGLCPSLPTYNILLHGYSKKKDIQMCSVLFKLMISSGLLPDRLTSHCLILGFCKSGMLDVGIEILKTMISRGIEVDRFTFNMLISKCSESGETGKAFNLVNIMNFLGIIPDIETYNAIINVLNRNLALQESRIILHELAQNGFLPKGKQYITLINAMCRVGNMRTAFDLKDEMASLDITSCDVAESAIVRGLALCGKVEEAMLVLDNMLRMRLVPTVATFTTVMHMFCKGSKIAEALKLRNKMELCGLKLDVVAYNVIISGLCADGDVAAAFKHYQEMKQYRLWPNATTYTVLVNALLTEWSSPFASDVLLKDLKGRGIISCDWDGSTEQIHKALMIAMKRLKYLQQNKRK >EOY11998 pep chromosome:Theobroma_cacao_20110822:7:562443:565520:-1 gene:TCM_030619 transcript:EOY11998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 2 MFSITTHVLVRARMYDYAKSKLGQLCKMGVGPRSVFGALMDTYPLCNSNPSVFDLLIRVYLRNGMIDDALETFNLMCSRKFKPSVYTCNMMLGSMVKDRRVGSVWSFFKEMLGWRICPNTATFNILINVLCVEGKLKKAGYLLRKMEDNGYVPTIVTYNTLLNWFCKKGRYKLAFELIDQMQSKGIEADVCTYNMLIDDLCRNNRSAKAYLLLKKIRKRSISPNEVTYNTLINGFVKEGKIGIATCVFDEMSNFNLSPNLATYNALIEGHSHEGNFEEALRLIDMMEQVGSQPNEVSYGALLIGLCKHAKFDLARRIFERMRTNGMGFSCILYTEMIDGLCKNGFLNEAIQMLHKMFEEGVAPDIITFSVLINGFYGAGKIKNGKEVICKMFRAGFTPNNVIYSTLIYKFCKMRHITEALKIYRIMNCSGHAADHFTCNILVASLCRDGKAREAEDFMRHMSRIGPNPNSITFDCVISGYGNLGDGLKAFSLFDEMVVLGNSPSFFTYGSLLKGLCKSGNLVEAKKFLSKLHYIPSAVDNVVYNTILAESCKSGTMWESVVLFDEMVQYGILPDNYTYTSLINGLCRKGKIAAALLFLGKLMEKGAFSPNLVTYTCLVDGLFKAGQSRAAFYFYEEMEQKGPYLDVLALNVAIDGTSRMGKMGKANNLFSNMRSKGLCPSLPTYNILLHGYSKKKDIQMCSVLFKLMISSGLLPDRLTSHCLILGFCKSGMLDVGIEILKTMISRGIEVDRFTFNMLISKCSESGETGKAFNLVNIMNFLGIIPDIETYNAIINVLNRNLALQESRIILHELAQNGFLPKGKQYITLINAMCRVGNMRTAFDLKDEMASLDITSCDVAESAIVRGLALCGKVEEAMLVLDNMLRMRLVPTVATFTTVMHMFCKGSKIAEALKLRNKMELCGLKLDVVAYNVIISGLCADGDVAAAFKHYQEMKQYRLWPNATTYTVLVNALLTEWSSPFASDVLLKDLKGRGIISCDWDGSTEQIHKALMIAMKRLKYLQQNKRK >EOY14278 pep chromosome:Theobroma_cacao_20110822:7:22921071:22923931:1 gene:TCM_033591 transcript:EOY14278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGYGVAYLWVLVAIFLSPFAKSEIVKINDQLCIIRFHYDGAFVGGGKNLKFVNSLMEGVTFDLDKVSYFELLSICADTSYENIKEIYYLKPRCIVTNGLRAIVKDDNALNMIGYMLDHGVIEIYIKHAIDEPVFVGDEDEGIYKEYHDSDEFEDIASNKEDVVDNATKRIGRFLVYNPSSHTFYIELGMLFENSKQFKHVVSLMSMRSNREIVWVKNKTNFVKVR >EOY12637 pep chromosome:Theobroma_cacao_20110822:7:3065508:3069085:1 gene:TCM_031132 transcript:EOY12637 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor isoform 2 MDAHQLFSYGVTGASLSYSTSYSTVPSIPNRLFSSLKSDIGNSPNSPFSSQFDSPFSTQFDCDTNTTLSDSQEQHSSTENLSGLSPSCNSSFESNTYCHKLSPSLDCKREILPLCSGGTSYIQDANSSHKIIYTLQELETALMAPDADEEVTTPNVSCGESSRPQTTGQRSRAWSQEHQGSLVLQPQTSFVSRHRQSTEVSHAEKRQKAIGDLSLQDIPPGNLKQLLIVCAQALSENNMDDFDKLIAKARNAVSICGEPIQRLGAYMVEALVARKEASGSNIYRARRCREPEGKDLLSYMQILYEICPYLKFGYMAANGAIAEACRTEDRIHIIDFHIGQGTQWVTLLQALAARPGGAPHVRITGIDDPLSKYARGGGLEAVGRRLAALSEKFNIPVEFHGVPVFAPDITRGMLDVRPGEALAVNFPLQLHHTPDESVDVNNPRDGLLRMVKSLSPKVTTLVEQESNTNTAPFLPRFIETLDYYLAMFESIDETLPRDRKERINVEQHCLARDIVNVIACEGKERVERHELFGKWKSRLTMAGFRQYPLSSYVNSVIRSLLRCYSKHYKLVEKDGAMLLGWKDRNLISASAWHCDS >EOY12636 pep chromosome:Theobroma_cacao_20110822:7:3065508:3069085:1 gene:TCM_031132 transcript:EOY12636 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor isoform 2 MAPDADEEVTTPNVSCGESSRPQTTGQRSRAWSQEHQGSLVLQPQTSFVSRHRQSTEVSHAEKRQKAIGDLSLQDIPPGNLKQLLIVCAQALSENNMDDFDKLIAKARNAVSICGEPIQRLGAYMVEALVARKEASGSNIYRARRCREPEGKDLLSYMQILYEICPYLKFGYMAANGAIAEACRTEDRIHIIDFHIGQGTQWVTLLQALAARPGGAPHVRITGIDDPLSKYARGGGLEAVGRRLAALSEKFNIPVEFHGVPVFAPDITRGMLDVRPGEALAVNFPLQLHHTPDESVDVNNPRDGLLRMVKSLSPKVTTLVEQESNTNTAPFLPRFIETLDYYLAMFESIDETLPRDRKERINVEQHCLARDIVNVIACEGKERVERHELFGKWKSRLTMAGFRQYPLSSYVNSVIRSLLRCYSKHYKLVEKDGAMLLGWKDRNLISASAWHCDS >EOY12638 pep chromosome:Theobroma_cacao_20110822:7:3066894:3069816:1 gene:TCM_031132 transcript:EOY12638 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor isoform 2 QLFSYGVTGASLSYSTSYSTVPSIPNRLFSSLKSDIGNSPNSPFSSQFDSPFSTQFDCDTNTTLSDSQEQHSSTENLSGLSPSCNSSFESNTYCHKLSPSLDCKREILPLCSGGTSYIQDANSSHKIIYTLQELETALMAPDADEEVTTPNVSCGESSRPQTTGQRSRAWSQEHQGSLVLQPQTSFVSRHRQSTEVSHAEKRQKAIGDLSLQDIPPGNLKQLLIVCAQALSENNMDDFDKLIAKARNAVSICGEPIQRLGAYMVEALVARKEASGSNIYRARRCREPEGKDLLSYMQILYEICPYLKFGYMAANGAIAEACRTEDRIHIIDFHIGQGTQWVTLLQALAARPGGAPHVRITGIDDPLSKYARGGGLEAVGRRLAALSEKFNIPVEFHGVPVFAPDITRGMLDVRPGEALAVNFPLQLHHTPDESVDVNNPRDGLLRMVKSLSPKVTTLVEQESNTNTAPFLPRFIETLDYYLAMFESIDETLPRDRKERINVEQHCLARDIVNVIACEGKERVERHELFGKWKSRLTMAGFRQYPLSSYVNSVIRSLLRCYSKHYKLVEKDGAMLLGWKDRNLISASAWHCDS >EOY12635 pep chromosome:Theobroma_cacao_20110822:7:3065436:3069239:1 gene:TCM_031132 transcript:EOY12635 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor isoform 2 MDAHQLFSYGVTGASLSYSTSYSTVPSIPNRLFSSLKSDIGNSPNSPFSSQFDSPFSTQFDCDTNTTLSDSQEQHSSTENLSGLSPSCNSSFESNTYCHKLSPSLDCKREILPLCSGGTSYIQDANSSHKIIYTLQELETALMAPDADEEVTTPNVSCGESSRPQTTGQRSRAWSQEHQGSLVLQPQTSFVSRHRQSTEVSHAEKRQKAIGDLSLQDIPPGNLKQLLIVCAQALSENNMDDFDKLIAKARNAVSICGEPIQRLGAYMVEALVARKEASGSNIYRARRCREPEGKDLLSYMQILYEICPYLKFGYMAANGAIAEACRTEDRIHIIDFHIGQGTQWVTLLQALAARPGGAPHVRITGIDDPLSKYARGGGLEAVGRRLAALSEKFNIPVEFHGVPVFAPDITRGMLDVRPGEALAVNFPLQLHHTPDESVDVNNPRDGLLRMVKSLSPKVTTLVEQESNTNTAPFLPRFIETLDYYLAMFESIDETLPRDRKERINVEQHCLARDIVNVIACEGKERVERHELFGKWKSRLTMAGFRQYPLSSYVNSVIRSLLRCYSKHYKLVEKDGAMLLGWKDRNLISASAWHCDS >EOY13665 pep chromosome:Theobroma_cacao_20110822:7:9982119:9985806:-1 gene:TCM_032302 transcript:EOY13665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MHISRLSKQHKGHNSTHQTFHQTLDGHDKFLDLFDSNPTNFNHQYLQSAELSSPEHVQSNHEPETSNTYDLNQLTFDTASDPATGSTDETELDPVIEPQMPIRKSTRPKHIPKYLEAYQLDSPHQTNANTSHPITKYLSCHQLSPAHRSFTISLSTIFEPNSYQKAVAHSHWKEAMDIELKALEDNGTWSIVQLPSNYHAVGCKWVYKVKLNADGKVERYKARLVAKGYNQIEGFDYQETFSPVARQTIVRMFFALAAAQNWRLSQLDINNAFLNGELTEEVYMELPQGYTFKREYPTSSRLVCKLHKSLYGLKQASRAWNSKLTTSVLKYGFKQSNSDYSLFTMKTHNGDFIALLVYVDDILIASSSIQAKNDVKGYLSSEFKLKDLGKVKYFLGLEIARSPEGISICQRKYALYLLEEHGLLGTKPASTPIDYNHKLVKSSDEDKLIDATSYRQLIGKLLYLTFSKPDITYAVQVLSQLMDKPGLKHLAAAHRVLSDWAGCPDTRRSSVEAEYRSMAVACCEIMWLKSLMTDFHIDHPEAVNLYTDSQSTIYISKNPVLHERTKHIEMDCHFIREKVMAGLINPLYISTQSQLSDIFTKGLQPRQFHFLMSKMNVHDIHSSS >EOY13664 pep chromosome:Theobroma_cacao_20110822:7:9979537:9992356:-1 gene:TCM_032301 transcript:EOY13664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor B-4b, putative MALMVQERSAFDGFIEETEAPKIDGALLISLLEESPCEEFNDEQLQSVMQSLEEEISVTTTANHHCAMQPEFVSEVNRDCGNLEYNFGYFEWNDMEMVPSSPSDDMNWYIEHHIEAMDEMMEFIGESYSQNYYAVNVPLEEHGYTSLWQETYDTVM >EOY12912 pep chromosome:Theobroma_cacao_20110822:7:4816752:4817741:-1 gene:TCM_031416 transcript:EOY12912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASTLKSACIVLSVFLSLSFSLAHRFLLDQATDDYKTVDTLHYGAVKNPNVEGLGVGYGSGSGSKGGSGAGSGSGSVYGSYGVGATSGSGGGSSGIGSGSGTGVGSEGTGYGSGDGVGTGSDIGIPCDPCCDPRCNNGTVKCPHVIYPGCGHKIPKGSKNGAAKETQEAINIVSEPYPPDVANSSKP >EOY14314 pep chromosome:Theobroma_cacao_20110822:7:23945344:23951963:-1 gene:TCM_033690 transcript:EOY14314 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase family protein MKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVINKERKGDYLGKTVQVVPHVTDAIQEWIERAAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKALDDNVKGKLSQFCHVPAENIVTLYDVPNIWHIPLLLRDQKAHEAILKGLNLLGIAREPDLTEWTARTKVYEMLHDPVKIAMVGKYIGLKDSYLSVLKALLHASVGCRKKLVVEWVEAGHLEDITAKEDPDAYKAAWNRLKGADGILVPGGFGDRGVQGKILAAKYARERKVPFLGICLGMQIAVIEYARSVLGLHNANSTEFDPETSNPCVIFMPEGSKTHMGGTMRLGSRRTYFKVPDCKSAKLYGNANFVDERHRHRYEVNPDMISQFEAAGLSFVGRDESGRRMEIVELPSHPYFIGVQFHPEFKSRPGKPSAVFLGLISASCGQLDSLLHNFGQLSKPMANGISNGKATIKAQQNGNYFKSSNGSLNGVYSNGNGVHH >EOY14117 pep chromosome:Theobroma_cacao_20110822:7:21048099:21049408:1 gene:TCM_033379 transcript:EOY14117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDFSLPQLVSDLIDKDHGLSNLEGVEGKMHANVMQAIRGTPICQQGGKDKLVRQRTSDGSYTAKSTEDKDRLHYLKNFSTSDSIDEFFWNDIWKFPAQTKINNFF >EOY14010 pep chromosome:Theobroma_cacao_20110822:7:17100089:17111713:1 gene:TCM_033022 transcript:EOY14010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase G isoform 4 MSGAPKRSHEEVGGGHSSSASKYPHEDPGTYPKIPSSLISTEYHHPVPYEMGQDARMARIPRAEPRDSDRRSPLQHSMYRMPSTTVSNDSHLDSHQGGSESRMELRDSKENREARVESREPRVEAREFYGEAVKREYQSGKGEKDGRFENRGDESKELKCDREIHSDPKGDVKVEKDVYGGASSHSSWKDSRDYHRGKRYSEPPGGNIDPWHIQRGNSQGPVEVGKEGSATEERDYAEAHEAVGESKFDSKGEDRFKDKDRKRKDGKHRDWGDRDKERSDRRSSIQVGNSSGEVKESAREERESERWERERKDLKEKERPKEREKDHIKRESLNGAEKEGSNNEKELGDGSVRIQEQENQASEQKKQKEMDSWKNVDREARDRRRERDGDTEGDRPEKRSRCYDKESDDGCADGEGATEREREVFNYGVQQRKRMLRPRGSPQLVNRDARFRPRGQDNEGSQGKPEVSCVVYKVGECMQELIKLWKEFEASQADKNGESSQNGPTLEIRIPAEHVTATNRQVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPAIQELRATIRVLPPQDCYTSKLRNNVRSRAWGAGIGCSYRVERCCIVKKGGGTIDLEPCLTHSSTVEPTLAPVAVERTMTTRAAASVFPAFFFHLIS >EOY14008 pep chromosome:Theobroma_cacao_20110822:7:17086953:17112329:1 gene:TCM_033022 transcript:EOY14008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase G isoform 4 MSGAPKRSHEEVGGGHSSSASKYPHEDPGTYPKIPSSLISTEYHHPVPYEMGQDARMARIPRAEPRDSDRRSPLQHSMYRMPSTTVSNDSHLDSHQGGSESRMELRDSKENREARVESREPRVEAREFYGEAVKREYQSGKGEKDGRFENRGDESKELKCDREIHSDPKGDVKVEKDVYGGASSHSSWKDSRDYHRGKRYSEPPGGNIDPWHIQRGNSQGPVEVGKEGSATEERDYAEAHEAVGESKFDSKGEDRFKDKDRKRKDGKHRDWGDRDKERSDRRSSIQVGNSSGEVKESAREERESERWERERKDLKEKERPKEREKDHIKRESLNGAEKEGSNNEKELGDGSVRIQEQENQASEQKKQKEMDSWKNVDREARDRRRERDGDTEGDRPEKRSRCYDKESDDGCADGEGATEREREVFNYGVQQRKRMLRPRGSPQLVNRDARFRPRGQDNEGSQGKPEVSCVVYKVGECMQELIKLWKEFEASQADKNGESSQNGPTLEIRIPAEHVTATNRQVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPAIQELRATIRVLPPQDCYTSKLRNNVRSRAWGAGIGCSYRVERCCIVKKGGGTIDLEPCLTHSSTVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKKPLYTSARLKKGEVLYLETHSCRYELCFTGEKMVKATPASQAYETDTEKSQNHHSHSSNGEKNDSDNIMIDVFRWSRCKKPLPQKIMRSIGIPLPLEHVEVLEENIDWEDVQWSQTGVWIAGKEYTLARVHFLSSN >EOY14009 pep chromosome:Theobroma_cacao_20110822:7:17100089:17111713:1 gene:TCM_033022 transcript:EOY14009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase G isoform 4 MSGAPKRSHEEVGGGHSSSASKYPHEDPGTYPKIPSSLISTEYHHPVPYEMGQDARMARIPRAEPRDSDRRSPLQHSMYRMPSTTVSNDSHLDSHQGGSESRMELRDSKENREARVESREPRVEAREFYGEAVKREYQSGKGEKDGRFENRGDESKELKCDREIHSDPKGDVKVEKDVYGGASSHSSWKDSRDYHRGKRYSEPPGGNIDPWHIQRGNSQGPVEVGKEGSATEERDYAEAHEAVGESKFDSKGEDRFKDKDRKRKDGKHRDWGDRDKERSDRRSSIQVGNSSGEVKESAREERESERWERERKDLKEKERPKEREKDHIKRESLNGAEKEGSNNEKELGDGSVRIQEQENQASEQKKQKEMDSWKNVDREARDRRRERDGDTEGDRPEKRSRCYDKESDDGCADGEGATEREREVFNYGVQQRKRMLRPRGSPQLVNRDARFRPRGQDNEGSQGKPEVSCVVYKVGECMQELIKLWKEFEASQADKNGESSQNGPTLEIRIPAEHVTATNRQVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPAIQELRATIRVLPPQD >EOY14012 pep chromosome:Theobroma_cacao_20110822:7:17100089:17111744:1 gene:TCM_033022 transcript:EOY14012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase G isoform 4 MSGAPKRSHEEVGGGHSSSASKYPHEDPGTYPKIPSSLISTEYHHPVPYEMGQDARMARIPRAEPRDSDRRSPLQHSMYRMPSTTVSNDSHLDSHQGGSESRMELRDSKENREARVESREPRVEAREFYGEAVKREYQSGKGEKDGRFENRGDESKELKCDREIHSDPKGDVKVEKDVYGGASSHSSWKDSRDYHRGKRYSEPPGGNIDPWHIQRGNSQGPVEVGKEGSATEERDYAEAHEAVGESKFDSKGEDRFKDKDRKRKDGKHRDWGDRDKERSDRRSSIQVGNSSGEVKESAREERESERWERERKDLKEKERPKEREKDHIKRESLNGAEKEGSNNEKELGDGSVRIQEQENQASEQKKQKEMDSWKNVDREARDRRRERDGDTEGDRPEKRSRCYDKESDDGCADGEGATEREREVFNYGVQQRKRMLRPRGSPQLVNRDARFRPRGQDNEGSQGKPEVSCVVYKVGECMQELIKLWKEFEASQADKNGESSQNGPTLEIRIPAEHVTATNRQVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPAIQELRATIRVLPPQDCYTSKLRNNVRSRAWGAGIGCSYRVERCCIVKKGGGTIDLEPCLTHSSTVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWGIGGEH >EOY14011 pep chromosome:Theobroma_cacao_20110822:7:17099978:17111621:1 gene:TCM_033022 transcript:EOY14011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase G isoform 4 MSGAPKRSHEEVGGGHSSSASKYPHEDPGTYPKIPSSLISTEYHHPVPYEMGQDARMARIPRAEPRDSDRRSPLQHSMYRMPSTTVSNDSHLDSHQGGSESRMELRDSKENREARVESREPRVEAREFYGEAVKREYQSGKGEKDGRFENRGDESKELKCDREIHSDPKGDVKVEKDVYGGASSHSSWKDSRDYHRGKRYSEPPGGNIDPWHIQRGNSQGPVEVGKEGSATEERDYAEAHEAVGESKFDSKGEDRFKDKDRKRKDGKHRDWGDRDKERSDRRSSIQVGNSSGEVKESAREERESERWERERKDLKEKERPKEREKDHIKRESLNGAEKEGSNNEKELGDGSVRIQEQENQASEQKKQKEMDSWKNVDREARDRRRERDGDTEGDRPEKRSRCYDKESDDGCADGEGATEREREVFNYGVQQRKRMLRPRGSPQLVNRDARFRPRGQDNEGSQGKPEVSCVVYKVGECMQELIKLWKEFEASQADKNGESSQNGPTLEIRIPAEHVTATNRQQVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPAIQELRATIRVLPPQDCYTSKLRNNVRSRAWGAGIGCSYRVERCCIVKKGGGTIDLEPCLTHSSTVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKKPLYTSARLKKGEVLYLETHSCRYELCFTGEKMVKATPASQAYETDTEKSQNHHSHSSNGEKNDSDNIMIDVFRWSRCKKPLPQKIMRSIGIPLPLEHVEVLEENIDWEDVQWSQTGVWIAGKEYTLARVHFLSSN >EOY12524 pep chromosome:Theobroma_cacao_20110822:7:2604314:2607989:1 gene:TCM_031038 transcript:EOY12524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDAESNKNVNYWNDLGLIFGLKKELEKLQGTLSTINAVLLDAEEKQESSHAVKNWISRLEDVVYDADDLLDEFDYAILRQKVLARRQVRKFFSSSNPLAFGLKIGPRIKEITERLDAVAADISKYNLSARVTADLKAKNTERETASKVRSTIIGREKNKEHIIQSLLQEQIDHHGDIISIVAIVGFGGLGKTTLAQLVYNDAKVKNFFNSRIWVCVPEEFDVRIIFKKILESLGGSKVDDLDLDIYLRKLEENLEGKRYLLVLDDMWNESNSRWDDFSKHLVFGAPGSKILVTTRSKNVASTMGVNVTHFLKVLNEDQSWALFELVAFEGQGQMDQNLKIIGQDVAQKCKGVPLTIKCLGGLMRQNPNEKYWLSIKENEIWKLRKEDDDVFPFLRLSYIHLPSHLKQCFAFCSIFPKDFKISKDLLIQSWRAQGYIQLRGNENIQDIGDEYFNDLLSRFFFEEEEKDVYGNIIYCKMHDLIHDLALSTAKSSFYWMKDVKEKIPSRVRHVSLEEIPKEEISKKVVSTLLKAKGIRTVYFESYHIKNLFIRNVTFSSFNCLRMLNLSYMNIVVLPNSIGKLKHLKYLDLSDNRMEVLPNAIAKLHSLQTLLLCHCSNLKELPKDIRQLINLEYLNIDLCNNLKCLPKGLGELTSLQRLSRFIVNSVEKNFSIAATLNELRDLNDLGKYLCIENINKVRNVELESMEAILKEKKRLQSLRLEWNCYARGDKEKDELLLDNLKPHQNLKGLMVYGYGGARFSTWLSSLSNLVELHIDRCRNCQHLPPLDHLSSLKSFTLQGFHVLKHLPPLDHMLSLKSLTLQGFHVLEHLPSLDHLSSLESLTLQGFHVLEHLPPLDHLSSLKSLSLHGFRVLEHLPPLDHLSSLKSLALQGFHVLKHLPPLDHLSSLKSLFLRGFRVLEHLPPLDHLSSLKFLSLQGFRVLEHLPPLDHLSSLKSLSLNGFRVLEHLPPLDHLSSLESLILQEFHVLEHVEDSFPYPCSTPRTFFPSLKKLLIRKCLNLQGWWRIKKENKGSN >EOY12529 pep chromosome:Theobroma_cacao_20110822:7:2591673:2618275:1 gene:TCM_031038 transcript:EOY12529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAESILFGALANILAKLTWLAGQKIGLIFWQRSELDELRKTLDIVKAVILDAEEKQESNLVMTTIAQHATPSPFLPKKKIRGLMTCSPTPFQGILEMQLSVNEGKQHLPSSGLSDWENSLELSWLENPLASFKINFNSSILAILGEDFWDTVLGFAICYIWVLQSVKEFSIKERSQLRERCKKQTRIQWPHIDLILTIYIDGQQFNDDHSI >EOY14086 pep chromosome:Theobroma_cacao_20110822:7:20183237:20186174:1 gene:TCM_033298 transcript:EOY14086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEVLLQHMDQDQFNQIDVTSSGETHHQATKEYCSLPQGSIVSKNGHSQRLASNSDLEKAEKKRKIDQAYRERCKKTKTQMMRDLKTFGDENECLRVENKTLKEQNASMTQTLQSQTKELHQHKNRLDQLKFESEKQNALVQVLSDLVVNSNLCHENQKLKDENAQLRQMVKLSGI >EOY14084 pep chromosome:Theobroma_cacao_20110822:7:20183237:20186174:1 gene:TCM_033298 transcript:EOY14084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEVLLQHMDQDQFNQIDVTSSGETHHQATKEYCSLPQGSIVSKNGHSQRLASNSDLEKAEKKRKIDQAYRERCKKTKTQMMRDLKTFGDENECLRVENKTLKEQNASMTQTLQSQTKELHQHKNRLDQLKFESEKQNALVQVLSDLVVNSNLCHENQKLKDENAQLRQMVKLSGEPLKLVQENGKLKHENMLLKVQIDALCGKIVDDNRKNCGH >EOY14083 pep chromosome:Theobroma_cacao_20110822:7:20183380:20186521:1 gene:TCM_033298 transcript:EOY14083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MENFGKTNFYGGCAGGDAGEDILDSLQGGSSNYQGSMEVLLQHMDQDQFNQIDVTSSGETHHQATKEYCSLPQGSIVSKNGHSQRLASNSDLEKAEKKRKIDQAYRERCKKTKTQMMRDLKTFGDENECLRVENKTLKEQNASMTQTLQSQTKELHQHKNRLDQLKFESEKQNALVQVLSDLVVNSNLCHENQKLKDENAQLRQMVKLSGEPLKLVQENGKLKHENMLLKVQIDALCGKIVDDNRKNCGH >EOY14087 pep chromosome:Theobroma_cacao_20110822:7:20184210:20186169:1 gene:TCM_033298 transcript:EOY14087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAKIEMLSPEMLISLDQGSMEVLLQHMDQDQFNQIDVTSSGETHHQATKEYCSLPQGSIVSKNGHSQRLASNSDLEKAEKKRKIDQAYRERCKKTKTQMMRDLKTFGDENECLRVENKTLKEQNASMTQTLQSQTKELHQHKNRLDQLKFESEKQNALVQVLSDLVVNSNLCHENQKLKDENAQLRQMVKLSGEPLKLVQENGKLKHENMLLKVQIDALCGKIVDDNRKNCGH >EOY14085 pep chromosome:Theobroma_cacao_20110822:7:20183325:20186599:1 gene:TCM_033298 transcript:EOY14085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MENFGKTNFYGGCAGGDAGEDILDSLQGGSSNYQGSMEVLLQHMDQDQFNQIDVTSSGETHHQATKEYCSLPQGSIVSKNGHSQRLASNSDLEKAEKKRKIDQAYRERCKKTKTQMMRDLKTFGDENECLRVENKTLKEQNASMTQTLQSQTKELHQHKNRLDQLKFESEKQNALVQVLSDLVVNSNLCHENQKLKDENAQLRQMVKLSGI >EOY13898 pep chromosome:Theobroma_cacao_20110822:7:12847234:12873328:-1 gene:TCM_032632 transcript:EOY13898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLWINIYTTSETMEQTGDNGNKADIVSSRTRFLSNPAEEEGGHAVIQSSQSHLSSSVEDQAQVKPQLRPPLEESNMEQVTKASLPLPPSALPAIVQVTESIWLPLPTSAIPPAIQESPGPGLNDKAYLLKVPHQLRQVNESAYEPQLISIGPYYHGANKPHFKEMQVYKTRCLERILERNGQQSKDRFVEAMNVERARKWYSPFLSNLLEDPDNYKIFEENMLLDGCFIVELLSGKVPGDDPFFKLKWVLNALYHDLLLFENQLPFFVLVGLYHVIKDPTDGKDFACHAFSVLSDFLPGPKKWNKNPPTIKDTDNIKHLLSLVHDNWSPSPQGIRRHQHYQRTKKEKEKIGEEARKEGGLEKWKFTLCALEKPKEKKFQGDEESGVTKGTNHNFFKWKLICCAREKENLRKGLVEWQSLRCATELEEAGIQFMNGSEESGVKSLFDISFTDATMKIPTFVVEDYTERLFRNLIAYELYEEGSTYVIDYVTLMDNLINSAKDVQLLRFHGIIENMLGNDEAVAQMLNKLRDHVMFCGDTFYYEEIFVDVKTHCARRWNTWKAKLKKDYFNSPWASVSFFAAFLIILLAMGQFITGVIPLKG >EOY13512 pep chromosome:Theobroma_cacao_20110822:7:8982163:8987102:-1 gene:TCM_032114 transcript:EOY13512 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(H) kinase 3 isoform 2 MRQVVEGLQARHYVEWALGLGLQSSPWTPLKRGGRSTFQLKFPVFQSSTTLSSFLLGHRRKRKTMAIRKLLLLLKPFDVYHATQSNPASLFTNPRVVHYLNNRSKVHKEAIDLCQKILQQKSVDWQPIFRNDLSQPIRNVDLVVTVGGDGTLLQASHFMDDTVPVIGVNSDPTQAEEVEEFSNEFDANRSTGYLCAATVKNFEQVLDSFLEGQTVPSKLSRISVSVNSKALPAYALNDILIAHPCPATLSRFSFKIKRDDNSCSPLVNCRSSGLRVSTAAGSTAAMLSAGGFAMPILSQDLQYMVREPIASGGAISGLMHGLIKSDQSIDAAWFSKEGSIYVDGSYVFFTIQSGDTIEISSKAPVLQVVLAPHLSS >EOY13513 pep chromosome:Theobroma_cacao_20110822:7:8982198:8986439:-1 gene:TCM_032114 transcript:EOY13513 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(H) kinase 3 isoform 2 MAIRKLLLLLKPFDVYHATQSNPASLFTNPRVVHYLNNRSKVHKEAIDLCQKILQQKSVDWQPIFRNDLSQPIRNVDLVVTVGGDGTLLQASHFMDDTVPVIGVNSDPTQAEEVEEFSNEFDANRSTGYLCAATVKNFEQVVLDSFLEGQTVPSKLSRISVSVNSKALPAYALNDILIAHPCPATLSRFSFKSSGLRVSTAAGSTAAMLSAGGFAMPILSQDLQYMVREPIASGGAISGLMHGLIKSDQSIDAAWFSKEGSIYVDGSYVFFTIQSGDTIEISSKAPVLQVVLAPHLSS >EOY11896 pep chromosome:Theobroma_cacao_20110822:7:278059:283331:-1 gene:TCM_030550 transcript:EOY11896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative isoform 3 MLCFISPHLGDTLLFSVSLEGRVFVWKISEDPVEEDKPQITGKIVIGVQILGDEEYVHPRICWHRHKQEVLVAGIGKRILRIDTMKVGKSEVFSVDAPSPLQCPIDKLVDGIQLVGKHDGEITDLSMCQWMITRLVSASKDGTIKIWDDRKAVPLAVLRPHDGQPVYSATFLNAPHRPDHIILITGGPLNREIKIWTSASEEGWLLPSNTETWTCTQTLDLKSSAEPQIEEAFFNQVVVLSQAGLFLLANAKRNAIYAVHVEYGSCPAATCMDYIAEFTVTMPILSFTGTSDPPDEHIVKIYCVQTQAIQQYALELCQCIPPPLDNTGLEKSESSVSCDATNTEGFDALDPPGNKPSELSFYGSVPKPSTQVCSSENSIAARYPSSPPSIEAKTAETFNTLNIDSKCPPAALASTASDADIVCVASPPPLPPSPRLSRRPSGFHSPSNGFEPTSQLGDHGGNQLVADYSVDRQMETVRANLSDVHSSEDVLRNDEKKIVADEKSNACNPPIIFKHPTHLVTPSEILMAASSSETTNITEGKSEGEVNIQDVVVNNDVRNAEVEVKVVGEARSSQNNEFASHGDSQNRNLENRERLFCSQASDLGIQMARECCAISRDAYIVDESQQADGVAASGSLVQPNVGEEEIHDSRKDLPGKVFESAMPSTFPQSPAPGTKGKKQKGKSSQASGQSSPSSSTFNSADSSTEPGGNSNLPSAGAAFPQIAAMQEMLNQLITTQKEMQKQMSNIVNLPVTKEGRRVEAALGRNIEKAIKANTDALWARFQEENAKNEKLSRERAQQMMSLITNFINKDLAVMLDKAVKKELTAVGPAVIRTITPAIEKTVTSVITESFQRGVGDKAVNQLEKSVNSKLEAIVARQIQAQFQTSGRQALMEALKSSVEALVIPAFEMSCKAMFEQVDAAFQKGMVEHTNAAQQHFESASSSLAIALRDAINSASSLAQTLSGEFADGHRKLLTFAAAGANSNAASPLTSQLSNGPLSALYDKLTTLETKDFMSMPQVEVPMDPTKELSKLLSERKYDEAFTAALQRSDLSIVAWLCSQVDLRSILSTAPFPLSQGVLLSLLQQLACDINKDTPRKLTWMVDVATAINPGDQMIAVHVRPIFQEVYKRVHDISSSPLLTGAEHASIRALFYVINFVLMTCK >EOY11895 pep chromosome:Theobroma_cacao_20110822:7:277717:285192:-1 gene:TCM_030550 transcript:EOY11895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative isoform 3 MASTGNPNQTIPFDMQKFFKPTISNPSAAPTNPQQQNPSAPYPTPSSYPPPSPPFFHPQYHQFYMPPSSTAHPNYQSAPQDAKSLSFPSPPLGPYNAGTQILALINSSPQNPDFPPQNQLPQQQQPPPAEFLGSEGPNVGPLRVPSCKLPKGRRLSGAQVAYDIDTRLAGEVQPQLEVTPITKYGSDPQLVVGRQIAVNKSYICYGLKGGNIRILNINTALRSLFRGHTQRVTDMAFFAEDVHLLASVSLEGRVFVWKISEDPVEEDKPQITGKIVIGVQILGDEEYVHPRICWHRHKQEVLVAGIGKRILRIDTMKVGKSEVFSVDAPSPLQCPIDKLVDGIQLVGKHDGEITDLSMCQWMITRLVSASKDGTIKIWDDRKAVPLAVLRPHDGQPVYSATFLNAPHRPDHIILITGGPLNREIKIWTSASEEGWLLPSNTETWTCTQTLDLKSSAEPQIEEAFFNQVVVLSQAGLFLLANAKRNAIYAVHVEYGSCPAATCMDYIAEFTVTMPILSFTGTSDPPDEHIVKIYCVQTQAIQQYALELCQCIPPPLDNTGLEKSESSVSCDATNTEGFDALDPPGNKPSELSFYGSVPKPSTQVCSSENSIAARYPSSPPSIEAKTAETFNTLNIDSKCPPAALASTASDADIVCVASPPPLPPSPRLSRRPSGFHSPSNGFEPTSQLGDHGGNQLVADYSVDRQMETVRANLSDVHSSEDVLRNDEKKIVADEKSNACNPPIIFKHPTHLVTPSEILMAASSSETTNITEGKSEGEVNIQDVVVNNDVRNAEVEVKVVGEARSSQNNEFASHGDSQNRNLENRERLFCSQASDLGIQMARECCAISRDAYIVDESQQADGVAASGSLVQPNVGEEEIHDSRKDLPGKVFESAMPSTFPQSPAPGTKGKKQKGKSSQASGQSSPSSSTFNSADSSTEPGGNSNLPSAGAAFPQIAAMQEMLNQLITTQKEMQKQMSNIVNLPVTKEGRRVEAALGRNIEKAIKANTDALWARFQEENAKNEKLSRERAQQMMSLITNFINKDLAVMLDKAVKKELTAVGPAVIRTITPAIEKTVTSVITESFQRGVGDKAVNQLEKSVNSKLEAIVARQIQAQFQTSGRQALMEALKSSVEALVIPAFEMSCKAMFEQVDAAFQKGMVEHTNAAQQHFESASSSLAIALRDAINSASSLAQTLSGEFADGHRKLLTFAAAGANSNAASPLTSQLSNGPLSALYDKVEVPMDPTKELSKLLSERKYDEAFTAALQRSDLSIVAWLCSQVDLRSILSTAPFPLSQGVLLSLLQQLACDINKDTPRKLTWMVDVATAINPGDQMIAVHVRPIFQEVYKRVHDISSSPLLTGAEHASIRALFYVINFVLMTCK >EOY11894 pep chromosome:Theobroma_cacao_20110822:7:275677:284918:-1 gene:TCM_030550 transcript:EOY11894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative isoform 3 MASTGNPNQTIPFDMQKFFKPTISNPSAAPTNPQQQNPSAPYPTPSSYPPPSPPFFHPQYHQFYMPPSSTAHPNYQSAPQDAKSLSFPSPPLGPYNAGTQILALINSSPQNPDFPPQNQLPQQQQPPPAEFLGSEGPNVGPLRVPSCKLPKGRRLSGAQVAYDIDTRLAGEVQPQLEVTPITKYGSDPQLVVGRQIAVNKSYICYGLKGGNIRILNINTALRSLFRGHTQRVTDMAFFAEDVHLLASVSLEGRVFVWKISEDPVEEDKPQITGKIVIGVQILGDEEYVHPRICWHRHKQEVLVAGIGKRILRIDTMKVGKSEVFSVDAPSPLQCPIDKLVDGIQLVGKHDGEITDLSMCQWMITRLVSASKDGTIKIWDDRKAVPLAVLRPHDGQPVYSATFLNAPHRPDHIILITGGPLNREIKIWTSASEEGWLLPSNTETWTCTQTLDLKSSAEPQIEEAFFNQVVVLSQAGLFLLANAKRNAIYAVHVEYGSCPAATCMDYIAEFTVTMPILSFTGTSDPPDEHIVKIYCVQTQAIQQYALELCQCIPPPLDNTGLEKSESSVSCDATNTEGFDALDPPGNKPSELSFYGSVPKPSTQVCSSENSIAARYPSSPPSIEAKTAETFNTLNIDSKCPPAALASTASDADIVCVASPPPLPPSPRLSRRPSGFHSPSNGFEPTSQLGDHGGNQLVADYSVDRQMETVRANLSDVHSSEDVLRNDEKKIVADEKSNACNPPIIFKHPTHLVTPSEILMAASSSETTNITEGKSEGEVNIQDVVVNNDVRNAEVEVKVVGEARSSQNNEFASHGDSQNRNLENRERLFCSQASDLGIQMARECCAISRDAYIVDESQQADGVAASGSLVQPNVGEEEIHDSRKDLPGKVFESAMPSTFPQSPAPGTKGKKQKGKSSQASGQSSPSSSTFNSADSSTEPGGNSNLPSAGAAFPQIAAMQEMLNQLITTQKEMQKQMSNIVNLPVTKEGRRVEAALGRNIEKAIKANTDALWARFQEENAKNEKLSRERAQQMMSLITNFINKDLAVMLDKAVKKELTAVGPAVIRTITPAIEKTVTSVITESFQRGVGDKAVNQLEKSVNSKLEAIVARQIQAQFQTSGRQALMEALKSSVEALVIPAFEMSCKAMFEQVDAAFQKGMVEHTNAAQQHFESASSSLAIALRDAINSASSLAQTLSGEFADGHRKLLTFAAAGANSNAASPLTSQLSNGPLSALYDKLTTLETKDFMSMPQVEVPMDPTKELSKLLSERKYDEAFTAALQRSDLSIVAWLCSQVDLRSILSTAPFPLSQGVLLSLLQQLACDINKDTPRKLTWMVDVATAINPGDQMIAVHVRPIFQEVYKRVHDISSSPLLTGAEHASIRALFYVINFVLMT >EOY14151 pep chromosome:Theobroma_cacao_20110822:7:21637835:21641773:-1 gene:TCM_033437 transcript:EOY14151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-2 isoform 1 MDQSEQTQQQHQHQQQHQQHQQQPVMGVVPGAGQMGYSTGPYHTASMVASGTPAVAVPSPTQPPTTFSSSPHQLAYQQAQHFHHQQQQQQQQQLQMFWANQMQEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAISRTDVFDFLVDIIPRDELKEEGLGVTKATIPLVGSPADIPYYYVPQHPVGPTGMIMGKPVDQATLYPGQQARPPMAFMPWPQGQPQQQQSQQQQSDS >EOY14149 pep chromosome:Theobroma_cacao_20110822:7:21638317:21639144:-1 gene:TCM_033437 transcript:EOY14149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-2 isoform 1 MDQSEQTQQQHQHQQQHQQHQQQPVMGVVPGAGQMGYSTGPYHTASMVASGTPAVAVPSPTQPPTTFSSSPHQLAYQQAQHFHHQQQQQQQQQLQMFWANQMQEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAISRTDVFDFLVDIIPRDELKEEGLGVTKATIPLVGSPADIPYYYVPQHPVGPTGMIMGKPVDQATLYPGQQARPPMAFMPWPQGQPQQQQSQQQQSDS >EOY14148 pep chromosome:Theobroma_cacao_20110822:7:21638317:21639144:-1 gene:TCM_033437 transcript:EOY14148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-2 isoform 1 MDQSEQTQQQHQHQQQHQQHQQQPVMGVVPGAGQMGYSTGPYHTASMVASGTPAVAVPSPTQPPTTFSSSPHQLAYQQAQHFHHQQQQQQQQQLQMFWANQMQEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAISRTDVFDFLVDIIPRDELKEEGLGVTKATIPLVGSPADIPYYYVPQHPVGPTGMIMGKPVDQATLYPGQQARPPMAFMPWPQGQPQQQQSQQQQSDS >EOY14147 pep chromosome:Theobroma_cacao_20110822:7:21637835:21641719:-1 gene:TCM_033437 transcript:EOY14147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-2 isoform 1 MDQSEQTQQQHQHQQQHQQHQQQPVMGVVPGAGQMGYSTGPYHTASMVASGTPAVAVPSPTQPPTTFSSSPHQLAYQQAQHFHHQQQQQQQQQLQMFWANQMQEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAISRTDVFDFLVDIIPRDELKEEGLGVTKATIPLVGSPADIPYYYVPQHPVGPTGMIMGKPVDQATLYPGQQARPPMAFMPWPQGQPQQQQSQQQQSDS >EOY14150 pep chromosome:Theobroma_cacao_20110822:7:21638317:21639144:-1 gene:TCM_033437 transcript:EOY14150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-2 isoform 1 MDQSEQTQQQHQHQQQHQQHQQQPVMGVVPGAGQMGYSTGPYHTASMVASGTPAVAVPSPTQPPTTFSSSPHQLAYQQAQHFHHQQQQQQQQQLQMFWANQMQEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAISRTDVFDFLVDIIPRDELKEEGLGVTKATIPLVGSPADIPYYYVPQHPVGPTGMIMGKPVDQATLYPGQQARPPMAFMPWPQGQPQQQQSQQQQSDS >EOY12182 pep chromosome:Theobroma_cacao_20110822:7:1224653:1225258:-1 gene:TCM_030757 transcript:EOY12182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative MAMAGERLLVHCLAVMLLIALVEGTTNEPTVCNIALTKLNLCRPAVTGKYPPPPTKECCGLMKQANLTCLCKFKEALPAFEIDPARAFALPKKCNLRTPPQCKV >EOY12805 pep chromosome:Theobroma_cacao_20110822:7:4225868:4230641:1 gene:TCM_031326 transcript:EOY12805 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MELVGPILEVIKCFGGPTCRYLDNHRKLEENMSDLRRRVDGLNIRKKDIELRKDAELRSGKVAKKEVERWFEDVERINIEMQTIEQKLCDVSYFSRGRLGKLVCRKIKGVKEVHQQGKFLDGVAVDAPPTRGVILQTTDLEGEINVKEQIWEYLMGDEVAMIGVCGMGGIGKTTIMKHINNQLLKEAPFDKVIWVTVSKELNVVKLQEDIASACDMKHLLPKNELERATKLMDILKTKRYVLILDDVWKQFSLLQMGIPEPRHDGSKLVITSRSIDVCLSMGCKVLKVQPLSKEESLNLFLNHVGHGVLEDPALKEIVKLVVDQCSGLPLAIVTIAGSMKGVDDVREWRNALYELCERVKSVRGLDTEIFKCLMFSYDRLGDSKIQNCFLYCSLYPEDYTIERSMLIEKWIDEGLVDECGCRQAMQDRGHSILNKLENNCLLEKGVHSRGVKMHDVLRDMALSLKKANPRFMVKAGMKLKELPCEHEWTADLEKVSLMHNSISEIPPGISPKCESLSTLLVQGNHKMERISEPFFKHMPGLKVLDLSYTDIRYLPNSISYLENLEALVLRSCLKLRHVPSLAKLRSLRKLDLYYTAIEEVPHGMEMLTNLTYLALDSENVKELPMGILPKLSNLQYLVTTSYVRGEEMAKLRKLEIFSGLFTEPQEFRKYIKSVAGPRPTNYSLLVGSYGIFEFFQHRESYLVWQFEQLEIHKIVYFFKCSLRGDQDPVVLPIDLEALHLEECHDLLSLSYSFLFHDQANDLKHCYIWQCKGIQCLLDLSYSSCNLLQSIETLHLRRLQTLRRLVRVGVPAVSTSQAPTLPAIFSSLKVFYLESCSSMKKLFSIELVQGLQNLEELEVVCCEKMEEIITSEDEEEEEGEEGNHIGERMVPETTTFILPKLKKLHLLYLPELKSICSSGVTIHVDFLDYKIDHCQKLKPFPCCFCISGEKVFV >EOY13668 pep chromosome:Theobroma_cacao_20110822:7:10001742:10016399:-1 gene:TCM_032306 transcript:EOY13668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-tRNA ligase / glutaminyl-tRNA synthetas, putative isoform 1 MVVKDENSDKTLELFVKIGLDERTARNTIANNKVTANLTAVIHEAALTEGCDRTIGNLLYTVATKYPANALVHRPDLLEYVVSSKIKTPAQLEAAFLFLSNIASEDFKRSEFEEACGVGVEVSVEEIAHTVNEIFEENKAVIIERRYRTNVGELLGSVRKRHPWADPKIAKQLVDVKMFELLGERTAADDEKPSKKKEKKEKPAKVEKKAVVDDIPAQPSEEELNPFSIFPAPEDNVKVHTEVFFSNGSVLRCCNTREMLDKHLKLTGGRVFTRFPPEPNGYLHIGHAKAMFVDFGLAKERGGCCYLRYDDTNPEAEKKEYINHIEEIVKWMGWEPFKITYTSDYFQDLYELAVELIRRGHAYVDHQTPEEIKEYREKKMNSPWRERPIAESLKLFDDMKRGLIEEGKATLRMKQDMQSDNFNMYDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCIVDSLENITHSLCTLEFETRRASYYWLLHALDLYQPYVWEYSRLNVTNTVMSKRKLNYIVTNKYVDGWDDPRLMTLAGLRRRGVTSTAINAFVRGIGITRSDCSMIRLDRLEYHIREELNKTAPRVLVVLHPLKVVITNLESGSVMDLDAKKWPDAQADDTSAFYKVPFSNVVYIERSDFRMKDSKDYYGLALGKSVLLRYAFPIKCTDVILADDNETVLEIRAEYDPSKKSKPKGVLHWVAEPSPGADPLKVEVRLFDKLFNSENPAELDNWLADLNPDSKVVVPAAYAVPSLRDAAVGDTFQFERLGYFTVDKDSTAEKLVFNRTVTLKDTYGKGGK >EOY13669 pep chromosome:Theobroma_cacao_20110822:7:10001703:10016143:-1 gene:TCM_032306 transcript:EOY13669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-tRNA ligase / glutaminyl-tRNA synthetas, putative isoform 1 MVVKDENSDKTLELFVKIGLDERTARNTIANNKVTANLTAVIHEAALTEGCDRTIGNLLYTVATKYPANALVHRPDLLEYVVSSKIKTPAQLEAAFLFLSNIASEDFKRSEFEEACGVGVEVSVEEIAHTVNEIFEENKAVIIERRYRTNVGELLGSVRKRHPWADPKIAKQLVDVKMFELLGERTAADDEKPSKKKEKKEKPAKVEKKAVVDDIPAQPSEEELNPFSIFPAPEDNVKVHTEVFFSNGSVLRCCNTREMLDKHLKLTGGRVFTRFPPEPNGYLHIGHAKAMFVDFGLAKERGGCCYLRYDDTNPEAEKKEYINHIEEIVKWMGWEPFKITYTSDYFQDLYELAVELIRRGHAYVDHQTPEEIKEYREKKMNSPWRERPIAESLKLFDDMKRGLIEEGKATLRMKQDMQSDNFNMYDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCIVDSLENITHSLCTLEFETRRASYYWLLHALDLYQPYVWEYSRLNVTNTVMSKRKLNYIVTNKYVDGWDDPRLMTLAGLRRRGVTSTAINAFVRGIGITRSDCSMIRLDRLEYHIREELNKTAPRVLVVLHPLKVVITNLESGSVMDLDAKKWPDAQADDTSAFYKVPFSNVVYIERSDFRMKDSKDYYGLALGKSVLLRYAFPIKCTDVILADDNETVLEIRAEYDPSKKSKPKGVLHWVAEPSPGADPLKVEVRLFDKLFNSENSQNPAELDNWLADLNPDSKVVVPAAYAVPSLRDAAVGDTFQFERLGYFTVDKDSTAEKLVFNRTVTLKDTYGKGGK >EOY13670 pep chromosome:Theobroma_cacao_20110822:7:10001992:10015897:-1 gene:TCM_032306 transcript:EOY13670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-tRNA ligase / glutaminyl-tRNA synthetas, putative isoform 1 MFELLGERTAADDEKPSKKKEKKEKPAKVEKKAVVDDIPAQPSEEELNPFSIFPAPEDNVKVHTEVFFSNGSVLRCCNTREMLDKHLKLTGGRVFTRFPPEPNGYLHIGHAKAMFVDFGLAKERGGCCYLRYDDTNPEAEKKEYINHIEEIVKWMGWEPFKITYTSDYFQDLYELAVELIRRGHAYVDHQTPEEIKEYREKKMNSPWRERPIAESLKLFDDMKRGLIEEGKATLRMKQDMQSDNFNMYDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCIVDSLENITHSLCTLEFETRRASYYWLLHALDLYQPYVWEYSRLNVTNTVMSKRKLNYIVTNKYVDGWDDPRLMTLAGLRRRGVTSTAINAFVRGIGITRSDCSMIRLDRLEYHIREELNKTAPRVLVVLHPLKVVITNLESGSVMDLDAKKWPDAQADDTSAFYKVPFSNVVYIERSDFRMKDSKDYYGLALGKSVLLRYAFPIKCTDVILADDNETVLEIRAEYDPSKKSKPKGVLHWVAEPSPGADPLKVEVRLFDKLFNSENSQNPAELDNWLADLNPDSKVVVPAAYAVPSLRDAAVGDTFQFERLGYFTVDKDSTAEKLVFNRTVTLKDTYGKGGK >EOY12681 pep chromosome:Theobroma_cacao_20110822:7:3344345:3344826:1 gene:TCM_031184 transcript:EOY12681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRKDKIGDSHVEGITQVAKMLGFQMIHMVLKWWEHRWRAGCKFPSVQTLICGQFSSFPYFMRTYAVIAYSCHSLTISCSHSTCMA >EOY13983 pep chromosome:Theobroma_cacao_20110822:7:16401479:16403201:1 gene:TCM_032952 transcript:EOY13983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAADVSSLHRVLSGYKDDLTVGNESSGAKSTALITKDLLGVGGGGGSALNMKNNDQSQELDLDLQVPSGWEKRLDLKSGKVYLQRCNSSNSSSSSDGTKHQINQTVPKLQDLNFPPSPSKSLLNLFDESSLELKLVSSSPSSSSPSNYQSVCTLDKVKFALERAEKEPPVVKKRSSSLWKSSLSPSYSSSSSSLRDSQEGEGEDKLLASPVAAGCPGCLSYVLIMKNSPKCPRCNTLVPMPAAKKLRIDLNISI >EOY13230 pep chromosome:Theobroma_cacao_20110822:7:6631283:6635578:1 gene:TCM_031746 transcript:EOY13230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 1 MPSSPKFFNARTSSLATRPTRSTVLIFSALLVFAIVSFLLALSSFLSSGGSGYRCRSSDPRSVRVLWDRAGNGNNGVAGGDDDNGTKRHKVMGFVGIQTGFGSTGRRRSLRKTWMPSDRQGLQRLEEATGLAFRFIIGRTNDKSKMAELKKEVAEYDDFLLLDIEEEYSKLPYKTLAFFKAAYALFDSEFYVKADDDIYLRPDRLSLLLAKERSHSQTYLGCMKKGPVFTDPKLKWYEPLSYLLGKEYFLHAYGPIYALSADVVASLVALRNNSFRMFSNEDVTIGAWMLAMNVNHEDNRALCEPDCTPSSIAVWDIPKCSGLCNPETKLLELHQKDACSNSPTLPSDDD >EOY13231 pep chromosome:Theobroma_cacao_20110822:7:6631174:6635138:1 gene:TCM_031746 transcript:EOY13231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 1 MPSSPKFFNARTSSLATRPTRSTVLIFSALLVFAIVSFLLALSSFLSSGGSGYRCRSSDPRSVRVLWDRAGNGNNGVAGGDDDNGTKRHKVMGFVGIQTGFGSTGRRRSLRKTWMPSDRQGLQRLEEATGLAFRFIIGRTNDKSKMAELKKEVAEYDDFLLLDIEEEYSKLPYKTLAFFKAAYALFDSEFYVKADDDIYLRPDRLSLLLAKERSHSQTYLGCMKKGPVFTDPKLKWYEPLSYLLGKEYFLHAYGPIYALSADVVASLVALRNNSFRMFSNEDVTIGAWMLAMNVNHEDNRALCEPDCTPSSIAVWDIPKCSGWCPFEAYKLVHQ >EOY13767 pep chromosome:Theobroma_cacao_20110822:7:10683762:10706183:-1 gene:TCM_032411 transcript:EOY13767 gene_biotype:protein_coding transcript_biotype:protein_coding description:SBP family protein, putative MAVEARHLNLFRPQFLGNREMMNPIEANGNIFQTQMGYGVPLSGTTTAATEGLLPFYNSVVTDSIHQKPAAIKSESTLTYNNLPLPRKRSRDSINPILSFPSLQQQQQQPHNNKSSCSPLSFLGHDISFHIEQQELDIDHLISQHMEKVRMEIEERRKRQARKIMEAIEGGVMKKLRAKEEEIEKIGKLNWALEERVKSLCIENQIWRDLAQTNEATANALRTNLEQVLAAQLKDERTRGVGKEEAAAEEVDDAQSSCGSSWEVERRTLAVEGGERKLVKNDHNNNNNNGNNNNNNNNEESGTSSRLCKNCRKEEPCVLLLPCRHLCLCTACGSSLHICPICKSTKNASVHVNMS >EOY12175 pep chromosome:Theobroma_cacao_20110822:7:1203516:1205719:-1 gene:TCM_030753 transcript:EOY12175 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT family protein MAMASLLYCYLIAAKIPKGLLRLLSLIPVITLLSIIPFNLHSFHIGVPTWCYLAWLANFKLLLFAFEQGPLSSPSSLSPWGFLAFLLTAAFPFKIKQNLSKTNSKALPNSISEASNKAVLLALVLHCYSYKQYFHQQVLLTFYFLYSYFSIQLLLAVGAIPGQLILGLELEPQFNAPLLSTSLQDFWGHRWNLRVSDLLRAAVYDPVRHVSTRMIGPRWASLPAVFVTFFISGLVHELLYHYITRASPTWEVTLFFVLQGMWVDMEIVLKKKMVATNRFRLHRAVSGPLALAYIAVTAAWLSYTQILRHGIDEKITREFNLFVDFLEGTHSILRRNLVLH >EOY14129 pep chromosome:Theobroma_cacao_20110822:7:21212327:21217011:-1 gene:TCM_033399 transcript:EOY14129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter 2 MTRTLFSLPANLAPDEASPEWMNKGDNAWQLTAATLVGLQSIPGLLILYGGSVKKKWAVNSAFMVLYAFACVLVCWVLWGYRLSFGDKMIPFLGKPNGALDGEYLIQPAFLGSFPNATMVFFQGVFAAITLVLIAGALLGRMNFYAWMLFVPLWLTFSYTISAFSIWYPDGFLAKMGLIDYSGGYVIHLSSGVAGFTAAYWVGPRLTQDRERFPPNNILLMLAGAGLLWMGWTGFNGGDPYVVSTDASLAVLNTHVCTATSLLTWLALDLVFFKKPSVIGAVQGMITGLVCITPAAGVVQGWAAILMGLCSGSIPWFTMMVVHKRSELLQKVDDTMAVLHTHAIAGSLGGILSGLLAVPKLSALFYGAPLRYIGLFYGLAYGRAGAGLRQIGVQLLGILFVVALNIVSTSIICLLVQLIVPLRMSEEDMEIGDEAAHGEEAYAIWGDEEEKVDTKYRGSASKDKLPASRAAGQVEMT >EOY13385 pep chromosome:Theobroma_cacao_20110822:7:7943776:7947432:1 gene:TCM_031949 transcript:EOY13385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MFNISTLKDFVVMNNSVSGSLPHDLCHRLPNLEKVFFYDNKLDGNFPADIGNCTMLQQLRLGRNNFTGVIPQEIGNLKHLEILRLSINSLTGPIPPGIFNMSTLKEISLASNYFSGNLPSDIGTGLPNVEELLVGGNKLGGNIPDTISNASKLAFLELSENLFTGTIPKSLGNLESLLLLGLANNHFISDPSTPELSFITTLTKCRQLRTLAIAQNPLVGVFPPSIANLSTSLESFDASGCKIFGKIPGGFRNLSSLFSLSLSNNELTGPISNIFQNWTNLQRLYLSGNMFEGTIPDELCHLRNLGELFLRDNRFSGPIPRCFDNLTSLRIILLNSNNLSSNIPSTLLNLKGLLVLNLSSNSLSGSLAPEIGNLKVLTQIDLSKNTLVGHIPHNFGDLKDLTSLSLAHNNLQGSIPESFGHMVSMEFLDLSSNNLSGVIPKSLERLSFLKYLNVSFNTLEGEIPNGGPFGNFSARSFINNHALCGSPRLLVPLCNSSTFRRSRTTTMHVLRYVLPTISLIILITVLFIVFKRYQNKKTNPPAVTEDSLPLATWRRVSYYELLQATNGFSESNFIGSGSFGTVYRGTLQDEMTIAIKVFNLQLEGAFRSFDVECEVMRNIRHRNLVKIISSCCTIDFKALVLEFMPNGSLEKWLYSPEYFLDIMQRLNIMIEVASALEYLHFGNSTPVIHCDLKPSNVLLDEEMVAHVCDFGMAKLLGEGNSLTQTITLATMGYMAPEYGSTGIVSTRGDVYNYGILVMETFTGRKPTNEMFAGEMNLKSWVKESLPHAITEVADAALLGEKKESFMVKISCISSVLQLALDCCAESPRERKDMKDVVVTLTKIKEKFLKDIGRTQSLYL >EOY13206 pep chromosome:Theobroma_cacao_20110822:7:6513282:6516496:-1 gene:TCM_031728 transcript:EOY13206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase 44 MDNRVLFLGLFLFTLGGVNGFPMNDLIEKLPGQPNVTFRQFSGYIDVDENAGRSLFYYFVEAENDPMNRPLTIWLTGGPGCGSVGDGFLSVGPFITTANAHGLQRNLYSWIKASNLLFIDSPIGEGWSYSNTSSDHEVGDESTNKDLLTFILQWSEKYPNFKSRDLYLGGSSYAGHFIPNLANALLDYNKQSNNFKFNIKGLALGNPLLRNKLDTLAIYDFFWSRGMININLHQQILKECNGIDEDNYSNNATKWSKLCQEAMDKAELAAFVLSSINAAKTSHFDVLRASCNEKWEDLVLGKEVTKVSYEVDMCILFRADFYFNIPEVQKAFHGNRTNLGYQWKGCFEKSGLKYSDADKDIDMLPALKKILQQSIPITIFSGDQDAIVPTVGTLNHVNKLAKDMNLNLTKDEAWNHENKGGGWMYSYDNLLTLMTVKGANHHVTFSKPFEALFIFTNIVINRSH >EOY12004 pep chromosome:Theobroma_cacao_20110822:7:593355:597393:1 gene:TCM_030624 transcript:EOY12004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor family protein MHKLEVYPQPHCLSCHHECKMGGWIAGYFILLQVMDWPACKAMFLFLLQHPSRKQLFPFPHPTAEAERTQATTMILTASAPSSRAGQGRDCVMKKQSVVEGKKRERGKDDPEINAMFDAVKRRKKVEKSSEEISLFVEKVLAELTIVAEDDAQLNREGQPAISKLMKLPFLTDVFSKKSFQLQFLDHGILTLLKNWLEPLPDGSLPNANIRGAVLNILADFPIDLEQHYRREQFKRSGLGRAIMFLSKYEEETVSNRRVAKDLIDRWSRSIFNKSTRFSDLRNDDDIHVPVMKKPVSIPAMVEVKACDLDVSVAKEQKLSSRPSSLRQHVTRPEPASLVYTVRPQSKYNPEIARTSARQQRVQGDSRQRIEQRLKQLKSSRKKPLQAAKLSAEGRRMLLSV >EOY12247 pep chromosome:Theobroma_cacao_20110822:7:1476917:1478095:-1 gene:TCM_030811 transcript:EOY12247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30 family protein isoform 1 MSAFNKFKASVPIAWSPNLYITLVRGIPGTRRLHRRTLEALRLRKCNRTVMRWNTPTVRGMLQQVKRLVVVETEEMFKARKQNEANHQALRPPIVISHLPASATDSSK >EOY12248 pep chromosome:Theobroma_cacao_20110822:7:1476841:1477522:-1 gene:TCM_030811 transcript:EOY12248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30 family protein isoform 1 WSPNLYITLVRGIPGTRRLHRRTLEALRLRKCNRTVMRWNTPTVRGMLQQVKRLVVVETEEMFKARKQNEANHQALRPPIVISHLPASATDSSK >EOY12861 pep chromosome:Theobroma_cacao_20110822:7:4488611:4495954:1 gene:TCM_031370 transcript:EOY12861 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP1-interacting protein 7, putative isoform 1 MDFRTRLDYALFQLTPTRTRCDLVIFAGKETEKLASGLLEPFILHLKSAKDQISKGGYSITLRPVGSTPSWFTKGTLQRFVRFVSTPEVLERFVTVEREIEQIDNSIHSNEANAAGATEADGNESVISGNFQKSISSFKSKGELNGTADAAQEENSKARLQRVLETRKKVLCKEQAMAYARALVAGYEPDNIEDLISFADAFGASRLREACINFMDLCKRKNEDRLWMAELAAMQACPRPDLSYLGTSGIILAGEENDPNQNLMMNFSSGKQNGSADASDAGSGDINPDGSLPSADGKAQVQMPWPPHLPQYMHNFQGPGFQQMPPYQGYLFPGMHAASPYYPGNMHWPPNVEDSSLGRAWEPDDRRNHKSSSRSKKKSSRGKGDETSKQDESTEPSDSSSESEPEEQVHKKKHGKKSSRKVVIRNINYISSKRNGEKGSDSEEISDEDEFIDGDSLKQQVEEAVGSLGRHHKSTSRHHKKHDGSKHRNTVSYDEEEQEAKASNAKNPEGEKRNNPWDAFQNLLLQDKDLDSSEVDPQPIRLQEEYFASKGSEDGRSSAFNPNSERAAKQKSMSSDPFLATQMDRGHEGDTRESTMIKSRKEGEWFINNQLDKSANQDEIMGLKMFDGDHASSLARDRFNTETNKNDVFVDDSFMIQGPSVGDDQSDSQLRIGIGMVPEIEGAQYENGNSENAQKAASVSYEPDDLYMVLGRDSAEENAMTSWTPEIDYEMNVLSAEANGRHSDVETTGADDKGANGKNRGSSERKLSNKEVRSRVPNGSLVKSKSDIAAKTRKPPAGSRTTVRKTKFDQEEENRKKIEELRIQRQKRIAERSVASGANPVTSRRSSTENKTSTISMKSQPLTQDTKKSPKPVLRSSTIERLATARNTSKASSAESKASQPKKSTLKENGSSTTVSQKTAPVEDKKSSSNKVRASDKKSGPNKVLSSDSVAQGKDSKEVTVALPTEPAAPRETQPTDIVDDFKDIQELQSTSIEKTEEKEISQRNTSEDRSSNGNMLTEDKPVQLDHVKGDEELTKASTVVSEDKRAPEDFVEDIPEMTVHPLPPLPVKTVKFATVNIEGNGGMNEKFLSPRISEIEISTPPPNDGMNTEPVHSRKKWNDDETSPKAAKGFRKLLFFGRKNRNSPTY >EOY12860 pep chromosome:Theobroma_cacao_20110822:7:4488611:4495954:1 gene:TCM_031370 transcript:EOY12860 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP1-interacting protein 7, putative isoform 1 MDFRTRLDYALFQLTPTRTRCDLVIFAGKETEKLASGLLEPFILHLKSAKDQISKGGYSITLRPVGSTPSWFTKGTLQRFVRFVSTPEVLERFVTVEREIEQIDNSIHSNEANAAGATEADGNESVISGNFQKSISSFKSKGELNGTADAAQEENSKARLQRVLETRKKVLCKEQAMAYARALVAGYEPDNIEDLISFADAFGASRLREACINFMDLCKRKNEDRLWMAELAAMQACPRPDLSYLGTSGIILAGEENDPNQNLMMNFSSGKQNGSADASDAGSGDINPDGSLPSADGKAQVQMPWPPHLPQYMHNFQGPGFQQMPPYQGYLFPGMHAASPYYPGNMHWPPNVEDSSLGRAWEPDDRRNHKSSSRSKKKSSRGKGDETSKQDESTEPSDSSSESEPEEQVHKKKHGKKSSRKVVIRNINYISSKRNGEKGSDSEEISDEDEFIDGDSLKQQVEEAVGSLGRHHKSTSRHHKKHDGSKHRNTVSYDEEEQEAKASNAKNPEGEKRNNPWDAFQNLLLQDKDLDSSEVDPQPIRLQEEYFASKGSEDGRSSAFNPNSERAAKQKSMSSDPFLATQMDRGHEGDTRGRNFGTNEFGGSVFKRRESTNEELLILQGNDSGINSHAFISDYAAESTMIKSRKEGEWFINNQLDKSANQDEIMGLKMFDGDHASSLARDRFNTETNKNDVFVDDSFMIQGPSVGDDQSDSQLRIGIGMVPEIEGAQYENGNSENAQKAASVSYEPDDLYMVLGRDSAEENAMTSWTPEIDYEMNVLSAEANGRHSDVETTGADDKGANGKNRGSSERKLSNKEVRSRVPNGSLVKSKSDIAAKTRKPPAGSRTTVRKTKFDQEEENRKKIEELRIQRQKRIAERSVASGANPVTSRRSSTENKTSTISMKSQPLTQDTKKSPKPVLRSSTIERLATARNTSKASSAESKASQPKKSTLKENGSSTTVSQKTAPVEDKKSSSNKVRASDKKSGPNKVLSSDSVAQGKDSKEVTVALPTEPAAPRETQPTDIVDDFKDIQELQSTSIEKTEEKEISQRNTSEDRSSNGNMLTEDKPVQLDHVKGDEELTKASTVVSEDKRAPEDFVEDIPEMTVHPLPPLPVKTVKFATVNIEGNGGMNEKFLSPRISEIEISTPPPNDGMNTEPVHSRKKWNDDETSPKAAKGFRKLLFFGRKNRNSPTY >EOY12863 pep chromosome:Theobroma_cacao_20110822:7:4490461:4495954:1 gene:TCM_031370 transcript:EOY12863 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP1-interacting protein 7, putative isoform 1 MAYARALVAGYEPDNIEDLISFADAFGASRLREACINFMDLCKRKNEDRLWMAELAAMQACPRPDLSYLGTSGIILAGEENDPNQNLMMNFSSGKQNGSADASDAGSGDINPDGSLPSADGKAQVQMPWPPHLPQYMHNFQGPGFQQMPPYQGYLFPGMHAASPYYPGNMHWPPNVEDSSLGRAWEPDDRRNHKSSSRSKKKSSRGKGDETSKQDESTEPSDSSSESEPEEQVHKKKHGKKSSRKVVIRNINYISSKRNGEKGSDSEEISDEDEFIDGDSLKQQVEEAVGSLGRHHKSTSRHHKKHDGSKHRNTVSYDEEEQEAKASNAKNPEGEKRNNPWDAFQNLLLQDKDLDSSEVDPQPIRLQEEYFASKGSEDGRSSAFNPNSERAAKQKSMSSDPFLATQMDRGHEGDTRGRNFGTNEFGGSVFKRRESTNEELLILQGNDSGINSHAFISDYAAESTMIKSRKEGEWFINNQLDKSANQDEIMGLKMFDGDHASSLARDRFNTETNKNDVFVDDSFMIQGPSVGDDQSDSQLRIGIGMVPEIEGAQYENGNSENAQKAASVSYEPDDLYMVLGRDSAEENAMTSWTPEIDYEMNVLSAEANGRHSDVETTGADDKGANGKNRGSSERKLSNKEVRSRVPNGSLVKSKSDIAAKTRKPPAGSRTTVRKTKFDQEEENRKKIEELRIQRQKRIAERSVASGANPVTSRRSSTENKTSTISMKSQPLTQDTKKSPKPVLRSSTIERLATARNTSKASSAESKASQPKKSTLKENGSSTTVSQKTAPVEDKKSSSNKVRASDKKSGPNKVLSSDSVAQGKDSKEVTVALPTEPAAPRETQPTDIVDDFKDIQELQSTSIEKTEEKEISQRNTSEDRSSNGNMLTEDKPVQLDHVKGDEELTKASTVVSEDKRAPEDFVEDIPEMTVHPLPPLPVKTVKFATVNIEGNGGMNEKFLSPRISEIEISTPPPNDGMNTEPVHSRKKWNDDETSPKAAKGFRKLLFFGRKNRNSPTY >EOY12862 pep chromosome:Theobroma_cacao_20110822:7:4488800:4495635:1 gene:TCM_031370 transcript:EOY12862 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP1-interacting protein 7, putative isoform 1 MDFRTRLDYALFQLTPTRTRCDLVIFAGKETEKLASGLLEPFILHLKSAKDQISKGGYSITLRPVGSTPSWFTKGTLQRFVRFVSTPEVLERFVTVEREIEQIDNSIHSNEANAAGATEADGNESVISGNFQKSISSFKSKGELNGTADAAQEENSKARLQRVLETRKKVLCKEQAMAYARALVAGYEPDNIEDLISFADAFGASRLREACINFMDLCKRKNEDRLWMAELAAMQACPRPDLSYLGTSGIILAGEENDPNQNLMMNFSSGKQNGSADASDAGSGDINPDGSLPSADGKAQVQMPWPPHLPQYMHNFQGPGFQQMPPYQGYLFPGMHAASPYYPGNMHWPPNVEDSSLGRAWEPDDRRNHKSSSRSKKKSSRGKGDETSKQDESTEPSDSSSESEPEEQVHKKKHGKKSSRKVVIRNINYISSKRNGEKGSDSEEISDEDEFIDGDSLKQQVEEAVGSLGRHHKSTSRHHKKHDGSKHRNTVSYDEEEQEAKASNAKNPEGEKRNNPWDAFQNLLLQDKDLDSSEVDPQPIRLQEEYFASKGSEDGRSSAFNPNSERAAKQKSMSSDPFLATQMDRGHEGDTRGRNFGTNEFGGSVFKRRESTNEELLILQGNDSGINSHAFISDYAAESTMIKSRKEGEWFINNQLDKSANQDEIMGLKMFDGDHASSLARDRFNTETNKNDVFVDDSFMIQGPSVGDDQSDSQLRIGIGMVPEIEGAQYENGNSENAQKAASVSYEPDDLYMVLGRDSAEENAMTSWTPEIDYEMNVLSAEANGRHSDVETTGADDKGANGKNRGSSERKLSNKEVRSRVPNGSLVKSKSDIAAKTRKPPAGSRTTVRKTKFDQEEENRKKIEELRIQRQKRIAERSVASGANPVTSRRSSTENKTSTISMKSQPLTQDTKKSPKPVLRSSTIERLATARNTSKASSAESKASQPKKSTLKENGSSTTVSQKTAPVEDKKSSSNKVRASDKKSGPNKVLSSDSVAQGKDSKEVTVALPTEPAAPRETQPTDIVDDFKDIQELQSTSIEKTEEKEISQRNTSEDRSSNGNMLTEDKPVQLDHVKGDEELTKASTVVSEDKRAPEDFVEDIPEMTVHPLPPLPVKTVKFATVNIEGNGGMNEKFLSPRISEIEISTPPPNDGMNTEPVHSRKKWNDDETSPKAAKGFRKLLFFGRKNRNSPTY >EOY13297 pep chromosome:Theobroma_cacao_20110822:7:7354307:7355581:-1 gene:TCM_031839 transcript:EOY13297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 27 MSIDFSSNFFYGQIPKELGELISLQALNFSNNHLIGPIPTSFGNMVALESLDLSSNKLGGRIPSQLTNLTFLAVLNLSQNALAGPIPRGKQFDTFENDSYSGNLGLCGPPLSKQCGNDEEPKPPVPMPKEDEGSEIAFFWKVVMMGYGCGVVLGLSMGYIVFTTGRPWWFIRMVERDWQNNVTKWIRRNRGRRN >EOY12303 pep chromosome:Theobroma_cacao_20110822:7:1611919:1616976:-1 gene:TCM_030843 transcript:EOY12303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab3 GTPase-activating protein catalytic subunit isoform 5 MEAPSFVSKARTAFHSAAAKAERVFTDLKSDLDSDKLSPAKEFKNESLTNEGESKCIHEVKHSRWRPANLGTKQEWQERFKNIRIGRKGVEDTEKVENSTMAAPFCDENMYLLNMKNDAEAKALEAIPSVDVLNTVNTNNIPPTSVIKQLAIAVEAGKKFKLLKDLLASSGSSSPIRERTGLSFSAVKSLVLRDKEDKLASGFGDDERVLALIHSLFDAEGNFLRRQLVSDSNTCTTMISLPKDIHGAPPESFLVKLSEVIGSFRTLRKMALFWCRVVIELRRFWSEQRHLPGIPVDEIPDLNSCLLYQQLQVINCCLSRKRRRTIATESFDSEMREASSNVEGSDSSIGTVSSSSALYARLSTGELVLRLGANQPADNLTMLETGEPIYSPIAQEGPLLSEDLIRETEELVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTESEPSDEVNSLVTRGQLSSRMQKEGNLWRELWETAKPVPAIRQTPLFDEDLAV >EOY12300 pep chromosome:Theobroma_cacao_20110822:7:1611191:1617062:-1 gene:TCM_030843 transcript:EOY12300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab3 GTPase-activating protein catalytic subunit isoform 5 MEAPSFVSKARTAFHSAAAKAERVFTDLKSDLDSDKLSPAKEFKNESLTNEGESKCIHEVKHSRWRPANLGTKQEWQERFKNIRIGRKGVEDTEKVENSTMAAPFCDENMYLLNMKNDAEAKALEAIPSVDVLNTVNTNNIPPTSVIKQLAIAVEAGKKFKLLKDLLASSGSSSPIRERTGLSFSAVKSLVLRDKEDKLASGFGDDERVLALIHSLFDAEGNFLRRQLVSDSNTCTTMISLPKDIHGAPPESFLVKLSEVIGSFRTLRKMALFWCRVVIELRRFWSEQRHLPGIPVDEIPDLNSCLLYQQLQVINCCLSRKRRRTIATESFDSEMREASSNVEGSDSSIGTVSSSSALYARLSTGELVLRLGANQPADNLTMLETGEPIYSPIAQEGPLLSEDLIRETEELVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTESEPSDEVNSLVTRGQLSSRMQKEGNLWRELWETAKPVPAIRQTPLFDEDLAVEGILNFLEDIPTSELFEQLFVSLLGLGLVLAEDKLSANDNLSKLFYECKDYVVATCQSGVWNDKTDNLCQVYETVETMLLSPDDVIKTIKQEETPANENGSPAGELKRRFKRLGLNFGGKDKQRRKPPPKDQKNSDENPSRPFGSFFDSKSSLFLKRPPKPESLSLVDKPPSLDESDWTLV >EOY12301 pep chromosome:Theobroma_cacao_20110822:7:1611607:1616915:-1 gene:TCM_030843 transcript:EOY12301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab3 GTPase-activating protein catalytic subunit isoform 5 MEAPSFVSKARTAFHSAAAKAERVFTDLKSDLDSDKLSPAKEFKNESLTNEGESKCIHEVKHSRWRPANLGTKQEWQERFKNIRIGRKGVEDTEKVENSTMAAPFCDENMYLLNMKNDAEAKALEAIPSVDVLNTVNTNNIPPTSVIKQLAIAVEAGKKFKLLKDLLASSGSSSPIRERTGLSFSAVKSLVLRDKEDKLASGFGDDERVLALIHSLFDAEGNFLRRQLVSDSNTCTTMISLPKDIHGAPPESFLVKLSEVIGSFRTLRKMALFWCRVVIELRRFWSEQRHLPGIPVDEIPDLNSCLLYQQLQVINCCLSRKRRRTIATESFDSEMREASSNVEGSDSSIGTVSSSSALYARLSTGELVLRLGANQPADNLTMLETGEPIYSPIAQEGPLLSEDLIRETEELVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTESEPSDEVNSLVTRGQLSSRMQKEGNLWRELWETAKPVPAIRQTPLFDEDLAVEGILNFLEDIPTSELFEQLFVSLLGLGLVLAEDKLSANDNLSKLFYECKDYVVATCQSGVWNDKTDNLCQVYETVETMLLSPDDVIKTIKQEETPANENGSPAGELKRRFKRLGLNFGGKDKQRRKPPPKDQKNSDENPSRPFGSFFDSKSSLFLKRPPKPESLSLVDKPPSLDESDWTLV >EOY12302 pep chromosome:Theobroma_cacao_20110822:7:1610994:1617076:-1 gene:TCM_030843 transcript:EOY12302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab3 GTPase-activating protein catalytic subunit isoform 5 MEAPSFVSKARTAFHSAAAKAERVFTDLKSDLDSDKLSPAKEFKNESLTNEGESKCIHEVKHSRWRPANLGTKQEWQERFKNIRIGRKGVEDTEKVENSTMAAPFCDENMYLLNMKNDAEAKALEAIPSVDVLNTVNTNNIPPTSVIKQLAIAVEAGKKFKLLKDLLASSGSSSPIRERTGLSFSAVKSLVLRDKEDKLASGFGDDERVLALIHSLFDAEGNFLRRQLVSDSNTCTTMISLPKDIHGAPPESFLVKLSEVIGSFRTLRKMALFWCRVVIELRRFWSEQRHLPGIPVDEIPDLNSCLLYQQLQVINCCLSRKRRRTIATESFDSEMREASSNVEGSDSSIGTVSSSSALYARLSTGELVLRLGANQPADNLTMLETGEPIYSPIAQEGPLLSEDLIRETEELVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTESEPSDEVNSLVTRGQLSSRMQKEGNLWRELWETAKPVPAIRQTPLFDEDLAVEGILNFLEDIPTSELFEQLFVSLLGLGLVLAEDKLSANDNLSKLFYECKDYVVATCQSGVWNDKTDNLCQVYETVETMLLSPDDVIKTIKQEETPANENGSPAGELKRRFKRLGLNFGGKDKQRRKPPPKDQKNSDENPSRPFGSFFDSKSSLFLKRPPKPESLSLVDKPPSLDESDWTLV >EOY12304 pep chromosome:Theobroma_cacao_20110822:7:1611919:1616976:-1 gene:TCM_030843 transcript:EOY12304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab3 GTPase-activating protein catalytic subunit isoform 5 MEAPSFVSKARTAFHSAAAKAERVFTDLKSDLDSDKLSPAKEFKNESLTNEGESKCIHEVKHSRWRPANLGTKQEWQERFKNIRIGRKGVEDTEKVENSTMAAPFCDENMYLLNMKNDAEAKALEAIPSVDVLNTVNTNNIPPTSVIKQLAIAVEAGKKFKLLKDLLASSGSSSPIRERTGLSFSAVKSLVLRDKEDKLASGFGDDERVLALIHSLFDAEGNFLRRQLVSDSNTCTTMISLPKDIHGAPPESFLVKLSEVIGSFRTLRKMALFWCRVVIELRRFWSEQRHLPGIPVDEIPDLNSCLLYQQLQVINCCLSRKRRRTIATESFDSEMREASSNVEGSDSSIGTVSSSSALYARLSTGELVLRLGANQPADNLTMLETGEPIYSPIAQEGPLLSEDLIRETEELVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTESEPSDEVNSLVTRGQLSSRMQKEGFTQFPILSKVCYILVSY >EOY12299 pep chromosome:Theobroma_cacao_20110822:7:1611000:1616976:-1 gene:TCM_030843 transcript:EOY12299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab3 GTPase-activating protein catalytic subunit isoform 5 MEAPSFVSKARTAFHSAAAKAERVFTDLKSDLDSDKLSPAKEFKNESLTNEGESKCIHEVKHSRWRPANLGTKQEWQERFKNIRIGRKGVEDTEKVENSTMAAPFCDENMYLLNMKNDAEAKALEAIPSVDVLNTVNTNNIPPTSVIKQLAIAVEAGKKFKLLKDLLASSGSSSPIRERTGLSFSAVKSLVLRDKEDKLASGFGDDERVLALIHSLFDAEGNFLRRQLVSDSNTCTTMISLPKDIHGAPPESFLVKLSEVIGSFRTLRKMALFWCRVVIELRRFWSEQRHLPGIPVDEIPDLNSCLLYQQLQVINCCLSRKRRRTIATESFDSEMREASSNVEGSDSSIGTVSSSSALYARLSTGELVLRLGANQPADNLTMLETGEPIYSPIAQEGPLLSEDLIRETEELVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTESEPSDEVNSLVTRGQLSSRMQKEGNLWRELWETAKPVPAIRQTPLFDEDLAVEGILNFLEDIPTSELFEQLFVSLLGLGLVLAEDKLSANDNLSKLFYECKDYVVATCQSGVWNDKTDNLCQVYETVETMLLSPDDVIKTIKQEETPANENGSPAGELKRRFKRLGLNFGGKDKQRRKPPPKDQKNSDENPSRPFGSFFDSKSSLFLKRPPKPESLSLVDKPPSLDESDWTLV >EOY13199 pep chromosome:Theobroma_cacao_20110822:7:6486133:6491360:-1 gene:TCM_031720 transcript:EOY13199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKFVENQAQSVGKNMKRFYSDVIHDILPPLKHEGQGVALKKSATIDVYVKSKAAIEEDHIDTIGKLAHVEPVAVDPIEKQLDHASNDLCLSDQLSTPISVDAHEGAESHIISGQVSDDLKNTVNIEENFIMEKKSASEVLELISPSKEEPLGASLGNEVIDCSDKNSCGVVGEVSLTLSVHDLEFQSSQREGTVNNNVAVDVVNKQLDCAFSELCLVDQLGNPNSAESLLRTEYVTSERVAEVLKDTNPEVNREENDTLEKPSVSEVSELISPAEKESCGASLLSKLTDCNDKEPCWVEAEVSPSTSVHDVTKPSASDVLELISPVEEESFGASMGHEFVNCNGKNSCVVLAEVSPATLVCGGQDARIVKNDFADDSEFVSDASGGITSSKVTWSEKNMAEVGVDSSCGSVLKELHENSPVNFLAEALVNHDPVDVAGLVSHNVPSSSMLTPLLSNEKKLMGAVSISSSNDLSMESLENDGSRTVNGIKYLTGISGNKNVYFGGESTQLQALDSSDIGPINDSTDDVIISSMETIELSDEVKLEDSCVIIDSTALYAVSRIMRKHRSYKKRIQDALTSKTRLAKEYEQLAIWFGDADMGSNQDFLQTQRPSSSTTPSECKSLQTELVCDSEWELL >EOY13198 pep chromosome:Theobroma_cacao_20110822:7:6487683:6494687:-1 gene:TCM_031720 transcript:EOY13198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDFKFKGIGWVGGIYQKFETLCNEVDNIVSQDTVKYVENQAQSVGKNMKRFYSDVIHDILPPLKHEGQGVALKKSATIDVYVKSKAAIEEDHIDTIGKLAHVEPVAVDPIEKQLDHASNDLCLSDQLSTPISVDAHEGAESHIISGQVSDDLKNTVNIEENFIMEKKSASEVLELISPSKEEPLGASLGNEVIDCSDKNSCGVVGEVSLTLSVHDLEFQSSQREGTVNNNVAVDVVNKQLDCAFSELCLVDQLGNPNSAESLLRTEYVTSERVAEVLKDTNPEVNREENDTLEKPSVSEVSELISPAEKESCGASLLSKLTDCNDKEPCWVEAEVSPSTSVHDVTKPSASDVLELISPVEEESFGASMGHEFVNCNGKNSCVVLAEVSPATLVCGGQDARIVKNDFADDSEFVSDASGGITSSKVTWSEKNMAEVGVDSSCGSVLKELHENSPVNFLAEALVNHDPVDVAGLVSHNVPSSSMLTPLLSNEKKLMGAVSISSSNDLSMESLENDGSRTVNGIKYLTGISGNKNVYFGGESTQLQALDSSDIGPINDSTDDVIISSMETIELSDEVKLEDSCVIIDSTALYAVSRIMRKHRSYKKRIQDALTSKTRLAKEYEQLAIWFGDADMGSNQDFLQTQRPSSSTTPSECKSLQTELVCDSEWELL >EOY13197 pep chromosome:Theobroma_cacao_20110822:7:6486440:6492479:-1 gene:TCM_031720 transcript:EOY13197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDFKFKGIGWVGGIYQKFETLCNEVDNIVSQDTVKYVENQAQSVGKNMKRFYSDVIHDILPPLKHEGQGVALKKSATIDVYVKSKAAIEEDHIDTIGKLAHVEPVAVDPIEKQLDHASNDLCLSDQLSTPISVDAHEGAESHIISGQVSDDLKNTVNIEENFIMEKKSASEVLELISPSKEEPLGASLGNEVIDCSDKNSCGVVGEVSLTLSVHDLEFQSSQREGTVNNNVAVDVVNKQLDCAFSELCLVDQLGNPNSAESLLRTEYVTSERVAEVLKDTNPEVNREENDTLEKPSVSEVSELISPAEKESCGASLLSKLTDCNDKEPCWVEAEVSPSTSVHDVTKPSASDVLELISPVEEESFGASMGHEFVNCNGKNSCVVLAEVSPATLVCGGQDARIVKNDFADDSEFVSDASGGITSSKVTWSEKNMAEVGVDSSCGSVLKELHENSPVNFLAEALVNHDPVDVAGLVSHNVPSSSMLTPLLSNEKKLMGAVSISSSNDLSMESLENDGSRTVNGIKYLTGISGNKNVYFGGESTQLQALDSSDIGPINDSTDDVIISSMETIELSDEVKLEDSCVIIDSTALYAVSRIMRKHRSYKKRIQDALTSKTRLAKEYEQLAIWFGDADMGSNQDFLQTQRPSSSTTPSECKSLQTELVCDSEWELL >EOY13315 pep chromosome:Theobroma_cacao_20110822:7:7519708:7521626:1 gene:TCM_031864 transcript:EOY13315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiprotein bridging factor 1B MAGIGPLTQDWEPVVIRKKAPSAAAKKDEKVVNAARRAGAEIESIKKSNAGSNRAASSSTSLNTRKLDEETENLAHDRVPTELKKAIMQARMEKKLTQAQLAQMINEKPQIIQEYESGKAIPNQQIIGKLERALGTKLRGKK >EOY12567 pep chromosome:Theobroma_cacao_20110822:7:2824032:2829771:-1 gene:TCM_031085 transcript:EOY12567 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein-related, putative isoform 1 MISFLPRWFPYVNLRTVVAFLLDKEGEERLEMGETGDECAHCITDKWYEVEYAENVLANILSRLPVKSLLACKSVCKHWCQLISSQAFIDLQLLWSKNHSIYIVYPYMDMMMTLYLMKDGDEITKRITFPYCDNLSPLAIICSFDGLLCCINYTWKVDSGMIVEDVTDLEIRICNPVTRKALLLPKGSASEEKPSIGVAFGPQINEYKIFRFFCAKRISLAKRQSRANRRQCEVYSSSTGSWSVIGRVPYCPMQSSHSPLGSNHVFVNGKIYWFIASDEDHMTPGSILTVDIDENFGTIELPKEVTEHSYLVDLEGCLSLVAVYDEDEIVNIWILEDKDKPKWELKCSDPVPFSNDECVEFVVARENEIFFITSNHYYIYELDQRFWRELDLNDTSEKKFPVAVAYTESLLSCDGRMDPQTEDISNKRSI >EOY12566 pep chromosome:Theobroma_cacao_20110822:7:2824067:2829636:-1 gene:TCM_031085 transcript:EOY12566 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein-related, putative isoform 1 MGETGDECAHCITDKWYEVEYAENVLANILSRLPVKSLLACKSVCKHWCQLISSQAFIDLQLLWSKNHSIYIVYPYMDMMMTLYLMKDGDEITKRITFPYCDNLSPLAIICSFDGLLCCINYTWKVDSGMIVEDVTDLEIRICNPVTRKALLLPKGSASEEKPSIGVAFGPQINEYKIFRFFCAKRISLAKRQSRANRRQCEVYSSSTGSWSVIGRVPYCPMQSSHSPLGSNHVFVNGKIYWFIASDEDHMTPGSILTVDIDENFGTIELPKEVTEHSYLVDLEGCLSLVAVYDEDEIVNIWILEDKDKPKWELKCSDPVPFSNDECVEFVVARENEIFFITSNHYYIYELDQRFWRELDLNDTSEKKFPVAVAYTESLLSCDGRMDPQTEDISNKRSI >EOY12058 pep chromosome:Theobroma_cacao_20110822:7:743390:746892:1 gene:TCM_030663 transcript:EOY12058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein isoform 3 MSSIILRSRIISSSCSSNIINRRVFSSGVVSSSSSNPSKETIISSQSILSDQSPPPPPPPAPEASPQVSGRKGWSFLKYGLTAAVTGAIGYACYLSYSKCLFDKECSYEEVNEKAKALRAAASYTPSEDASAVDKYRGLLYSAAMTVPAKALESYLDLRRLVEEHVLEFTEPTSDKLLPDLHPAEQHVFTLVLDLNETLLYTDWKRERGWRTFKRPGVDSFLEHLAKFYEIIVYSDQMNMYVDPVCERLDPNHYIRFRLSRAATKYQDGKHYREANAGTEAARSFLAAVRRIVMYS >EOY12059 pep chromosome:Theobroma_cacao_20110822:7:743390:746892:1 gene:TCM_030663 transcript:EOY12059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein isoform 3 MSSIILRSRIISSSCSSNIINRRVFSSGVVSSSSSNPSKETIISSQSILSDQSPPPPPPPAPEASPQVSGRKGWSFLKYGLTAAVTGAIGYACYLSYKCSYEEVNEKAKALRAAASYTPSEDASAVDKYRGLLYSAAMTVPAKALESYLDLRRLVEEHVLEFTEPTSDKLLPDLHPAEQHVFTLVLDLNETLLYTDWKRERGWRTFKRPGVDSFLEHLAKFYEIIVYSDQMNMYVDPVCERLDPNHYIRFRLSRAATKYQDGKHYREANAGTEAARSFLAAVRRIVMYS >EOY12055 pep chromosome:Theobroma_cacao_20110822:7:743372:747320:1 gene:TCM_030663 transcript:EOY12055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein isoform 3 MSSIILRSRIISSSCSSNIINRRVFSSGVVSSSSSNPSKETIISSQSILSDQSPPPPPPPAPEASPQVSGRKGWSFLKYGLTAAVTGAIGYACYLSYKCSYEEVNEKAKALRAAASYTPSEDASAVDKYRGLLYSAAMTVPAKALESYLDLRRLVEEHVLEFTEPTSDKLLPDLHPAEQHVFTLVLDLNETLLYTDWKRERGWRTFKRPGVDSFLEHLAKFYEIIVYSDQMNMYVDPVCERLDPNHYIRFRLSRAATKYQDGKHYRDLSKLNRDPAKILYVSAHAFDSSLQPENCVPIKPYKLETDDTALLDLIPFLEYVARNSPADIRQVLQSYERKDIAKEFLERSKDYQRRMQEQRQQGRFWRRFVMLSWNLIDHHSMRVSLTLSCY >EOY12057 pep chromosome:Theobroma_cacao_20110822:7:743295:746794:1 gene:TCM_030663 transcript:EOY12057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein isoform 3 MSSIILRSRIISSSCSSNIINRRVFSSGVVSSSSSNPSKETIISSQSILSDQSPPPPPPPAPEASPQVSGRKGWSFLKYGLTAAVTGAIGYACYLSYKCSYEEVNEKAKALRAAASYTPSEDASAVDKYRGLLYSAAMTVPAKALESYLDLRRLVEEHVLEFTEPTSDKLLPDLHPAEQHVFTLVLDLNETLLYTDWKRERGWRTFKRPGVDSFLEHLAKFYEIIVYSDQMNMYVDPVCERLDPNHYIRFRLSRAATKYQDGKHYRVLSVRKIIRGECRNRGSKVVSGGGEENSYV >EOY12054 pep chromosome:Theobroma_cacao_20110822:7:743389:747110:1 gene:TCM_030663 transcript:EOY12054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein isoform 3 MSSIILRSRIISSSCSSNIINRRVFSSGVVSSSSSNPSKETIISSQSILSDQSPPPPPPPAPEASPQVSGRKGWSFLKYGLTAAVTGAIGYACYLSYKCSYEEVNEKAKALRAAASYTPSEDASAVDENDSCTHITAVHHCFPQKYRGLLYSAAMTVPAKALESYLDLRRLVEEHVLEFTEPTSDKLLPDLHPAEQHVFTLVLDLNETLLYTDWKRERGWRTFKRPGVDSFLEHLAKFYEIIVYSDQMNMYVDPVCERLDPNHYIRFRLSRAATKYQDGKHYRDLSKLNRDPAKILYVSAHAFDSSLQPENCVPIKPYKLETDDTALLDLIPFLEYVARNSPADIRQVLQSYERKDIAKEFLERSKDYQSPIHTNTLLNDILIGTHDDAGECRNRGSKVVSGGGEENSYV >EOY12056 pep chromosome:Theobroma_cacao_20110822:7:743389:746796:1 gene:TCM_030663 transcript:EOY12056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein isoform 3 MSSIILRSRIISSSCSSNIINRRVFSSGVVSSSSSNPSKETIISSQSILSDQSPPPPPPPAPEASPQVSGRKGWSFLKYGLTAAVTGAIGYACYLSYKCSYEEVNEKAKALRAAASYTPSEDASAVDKYRGLLYSAAMTVPAKALESYLDLRRLVEEHVLEFTEPTSDKLLPDLHPAEQHVFTLVLDLNETLLYTDWKRERGWRTFKRPGVDSFLEHLAKFYEIIVYSDQMNMYVDPVCERLDPNHYIRFRLSRAATKYQDGKHYRDLSKLNRDPAKILYVSAHAFDSSLQPENCVPIKPYKLETDDTALLDLIPFLEYVARNSPADIRQVLQSYERKDIAKEFLERSKDYQRRMQEQRQQGRFWRR >EOY13013 pep chromosome:Theobroma_cacao_20110822:7:5313684:5320833:1 gene:TCM_031518 transcript:EOY13013 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA1-related 3 isoform 1 MIQNDNGRSERVDKKPENFFISWMFKWRSSCNSRWITMEGSSESAWQKSASSRALNTSGVSDRDPRLFGAEQIDVSGDVSHDFGFRKEDGRDVLAHNDHLRSQVGVSGVCEDEAAVNPFVRTIEWGDVSLRQWLDKPERSIDVFECLHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGSDSVEDALNSQNMEVEDLSSTFPLDMHQQRGLMNEDVQTRTNAVSEASCMQSGSVCARNARLEESEENKILDRRNFEQVEERKQPFPMKQILLMETSWYTSPEEVADSTSTCASDIYRLGVLLFELFCPFSSREEKTRTMSSLRHRVLPPQLLLKSPKEASFCLWLLHPEPSSRPKMGELLQSEFLNEPRDNLEEREAAIELRERIEEQELLLEFLLLIQQRKQEVADRLQDTVSFLCSDIAEVTKQQTILKKKGSSYTEVGKDDNSTSNLPSINIIDTDDSSSLGSRKRIRPGLQIQNIEECGDNLDTRQKSDTLTENQESILLKSSRLMKNFKKLESAYFLTRCRPVKQSGKPLSRQTPLISDGRGSIVLTERSSVNNLTSKERYSESLESGWINPFLEGLCKYLSHSKLKVKADLKQGDLLNSSNLVCSLGFDRDAEFFATAGVNKKIKVFECNAIINENRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHEKRVWSIDFSSADPTILASGSDDCSVKLWSINQGVSICTIKTKANVCCVQFPLHSGRSLAFGSADHKIYYYDLRNSRIPLCTLVGHDKTVSYVKFVDSSTLVSASTDNTLKLWDLSMCTSRVIDTPLQSFTGHMNVKNFVGLSVSDGYIATGSETNEVVFIYHKAFPMPALTFKFNNMDPLSGHEMDDAAQFISSVCWRGQSSTLVAANSTGNIKILEMV >EOY13012 pep chromosome:Theobroma_cacao_20110822:7:5314135:5317610:1 gene:TCM_031518 transcript:EOY13012 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA1-related 3 isoform 1 MIQNDNGRSERVDKKPENFFISWMFKWRSSCNSRWITMEGSSESAWQKSASSRALNTSGVSDRDPRLFGAEQIDVSGDVSHDFGFRKEDGRDVLAHNDHLRSQVGVSGVCEDEAAVNPFVRTIEWGDVSLRQWLDKPERSIDVFECLHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGSDSVEDALNSQNMEVEDLSSTFPLDMHQQRGLMNEDVQTRTNAVSEASCMQSGSVCARNARLEESEENKILDRRNFEQVEERKQPFPMKQILLMETSWYTSPEEVADSTSTCASDIYRLGVLLFELFCPFSSREEKTRTMSSLRHRVLPPQLLLKSPKEASFCLWLLHPEPSSRPKMGELLQSEFLNEPRDNLEEREAAIELRERIEEQELLLEFLLLIQQRKQEVADRLQDTVSFLCSDIAEVTKQQTILKKKGSSYTEVGKDDNSTSNLPSINIIDTDDSSSLGSRKRIRPGLQIQNIEECGDNLDTRQKSDTLTENQESILLKSSRLMKNFKKLESAYFLTRCRPVKQSGKPLSRQTPLISDGRGSIVLTERSSVNNLTSKERYSESLESGWINPFLEGLCKYLSHSKLKVKADLKQGDLLNSSNLVCSLGFDRDAEFFATAGVNKKIKVFECNAIINENRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHEKRVWSIDFSSADPTILASGSDDCSVKLWSINQAILFLLLVDVSFETKRTAVI >EOY13011 pep chromosome:Theobroma_cacao_20110822:7:5312935:5321248:1 gene:TCM_031518 transcript:EOY13011 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA1-related 3 isoform 1 MIQNDNGRSERVDKKPENFFISWMFKWRSSCNSRWITMEGSSESAWQKSASSRALNTSGVSDRDPRLFGAEQIDVSGDVSHDFGFRKEDGRDVLAHNDHLRSQVGVSGVCEDEAAVNPFVRTIEWGDVSLRQWLDKPERSIDVFECLHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGSDSVEDALNSQNMEVEDLSSTFPLDMHQQRGLMNEDVQTRTNAVSEASCMQSGSVCARNARLEESEENKILDRRNFEQVEERKQPFPMKQILLMETSWYTSPEEVADSTSTCASDIYRLGVLLFELFCPFSSREEKTRTMSSLRHRVLPPQLLLKSPKEASFCLWLLHPEPSSRPKMGELLQSEFLNEPRDNLEEREAAIELRERIEEQELLLEFLLLIQQRKQEVADRLQDTVSFLCSDIAEVTKQQTILKKKGSSYTEVGKDDNSTSNLPSINIIDTDDSSSLGSRKRIRPGLQIQNIEECGDNLDTRQKSDTLTENQESILLKSSRLMKNFKKLESAYFLTRCRPVKQSGKPLSRQTPLISDGRGSIVLTERSSVNNLTSKERYSESLESGWINPFLEGLCKYLSHSKLKVKADLKQGDLLNSSNLVCSLGFDRDAEFFATAGVNKKIKVFECNAIINENRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHEKRVWSIDFSSADPTILASGSDDCSVKLWSINQGVSICTIKTKANVCCVQFPLHSGRSLAFGSADHKIYYYDLRNSRIPLCTLVGHDKTVSYVKFVDSSTLVSASTDNTLKLWDLSMCTSRVIDTPLQSFTGHMNVKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPALTFKFNNMDPLSGHEMDDAAQFISSVCWRGQSSTLVAANSTGNIKILEMV >EOY13536 pep chromosome:Theobroma_cacao_20110822:7:9128735:9130649:-1 gene:TCM_032141 transcript:EOY13536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRHVWFIANQKMRVFKWSPDFQSEKEFSLVPIWISFPGLHAHLFEKLALLMIAKTVGRLLFVDEATANGSRPSIAWVCVEYDCQKPPLDHIWIVTRDRQTGIVTGGFVQKVEFSKLPAYCTHCSHVGHDFAACIVLGNKLERMGAMRQKPLDSEKLVTGDDKGREKDETRDSEPNGNKRNNLMPSETAEKKREREEKRVTESWQTGTNTNASVEQIGDFDGVKWAMEAGHVTLRKAKKKKNRKLEDRLSLVAVHGDGLMLPEDEHYSESQKQQLEHEKKSLKGSLNMINVDPTKKLTPPYPMQPMQEMRNVRNAYRNRETPRTNHNKVENEQQVCKMVAVESFFM >EOY12376 pep chromosome:Theobroma_cacao_20110822:7:1852083:1856133:-1 gene:TCM_046857 transcript:EOY12376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family protein MSVVGFDIGNENCVISAVKQRGVDVLLNDESKRETPAVVCFGEKQRFLGSAGAASAMMHPKTMVSQVKRLIGRKFKDPDVQNELRLLPFETSEGQDGGILIQLKYLGETHRFTPLQIMAMLFAHLKYIAEANLGVSVLDCVIGIPSYFTDLQRRAYLDAAAIAGLKPLRLMHDCTATALGYGIYKTDLSNAGPTYVAFVDIGHCDTQVSIVSFEAGHMRILSHAFDCSLGGRNFDEILFSHFAAHFKEQYNIDVYSNVRACIRLRAACEKLKKVLSANAEAPLNIECLMDEKDVKGFIRREEFEKLASQLLERINIPCIKALADAGLTVEKIHAVELVGSGSRIPAITRQLASLFRREPGRTINASECVARGCALQCAMLSPVFRVRDYEVQDCIPFSIGFSSNESPISQGSDGVLFPRGQPIPSVKVLQLQRSSLFHLEAFYVNPNELPSGVSSKICCFTIGPFQSSHIERARVKVKVQLNLHGIVTVESAILIEEHVDDSITREDTHSEMSTKEAQHVTNSSEDSTTVRSKPSHASADGRPNDKATRRLEIPICENIYGAMTKAELIEAQDKELKLAQHDRTMEQTKERKNALESYVYEMRNKLFNSYRSFASDEEKEGISKSLQETEEWLYEDGEDETEGAYTSKLEDLKKLVDPVESRYKDEEARAQASSDLLKCIVDYRMSTKALPNEDRELIINECNKAEEWLREKTQQQDSLPKNIDPLLWSSAIKSRTEDLNMKYKHITHKASHPDSENKGWDQEV >EOY12416 pep chromosome:Theobroma_cacao_20110822:7:2131292:2169264:-1 gene:TCM_030938 transcript:EOY12416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGRHTSIANTAAVAAAATNVQYERALVSIEYVRVNNIDKRTPGHLYGTVKVKDFWGLHTVYDRSSSDYESKGPGEFATLTGPSDAISGYDVFIISVSLWHHDSLSPKDEIAQGDIVWEPRNENLTFANYDKRLEKVVFGQYGSVTVGYSVIRYALNATVKVVLINGDNKSPADVHGTIKASQVIGVSETSLTLFEKSSGEYVQVSPNQSIPLTRSVVVAPASSGLTIMADLWNYDTLSPDHQIAKGSAHFDAVVGTQIKSIYSQHGEVQVSVTCNAGLKDVTSPLNDVAREVTGECKGLPLAIVTLGRALKDETLDGGNVEYVRVNNIDKETPGHLYGTVKVKGFWGLHTVYDRSSSDYESKGGHASAAFNVDENDYQRFIEGLRSILSFSRSHSIGVLRPQTEPVSWLDIRLTSGDSTIFLRIDQRDLYVRGYSRDNGGTFWEFSDSSLISGSRRLAYSGSYVDGYNVVRAAGGDVTRGTLQLGYQNLRNAIANLARTEDPNSTQNNGLQNCARALLILTQMISESTRFPLITNHIVTNWHNSAPLTRQLVRLQQSFGTFSSAVQRADFPNWTANTPLPNIPEPNEANIWTVGQAIAAVGILINVPRTSSRMKRQADVDVGNARNADTAVVAAADTNVSYVRTLVSIEYVRVNNIDSEDPGQLYGTVKVKDFWGVHQVYDRSSSDYESKGPGGFATLTGPSTGISGDDVFGISVNLWDHDSLSPDDEIAEGDIVWEPRNENLTFANYDKRLEKDVAGKYGNVTVGYSVVRQALNATVKVLLINGDNESSAEVYGTIKASQVIGGSSTSLTLFEKSSGEYVPVKPYQSIPLTRSVVVAPVSSGLTITADLWDYDTLSHDDQIAKGSLHFDAWVGTQARSIYGQYGEVEVGTHNSLCTFCFGKFIEDFRNQSTKHELAIDKLQNEVNEARRQTELIEHDVEDWLTKAREEREDVKRLLDEIEVFQSVSCLGLEILLKYERLEFIPSKDFMPSESSNSAFKEIMEALNNGDVNMMGLHGMGRVDLRSLYLENCELENASALGNLKELEILVIHFSDIKKLPYELWELTTLRLLAIWDESRVLIIQNLQPRLERLDEIHLHPYIEWGITSISKLCSSPCLTSLSLMFSSKLIAKSFTFPPLESFIIIVNSEAPYDYKECGTSRRILTISGFSLNAFTKLFWNVEELTLYNSMDYKNVVPSADRGGLNELTSFHIEDCKDLEYLVDTTQEQGPNSTAFSNMVKLTLKNMICLKELCHVPGLQNLKQVGVEDCLGLQVAFQILRPLHANEENQTSLLSSLTKLELDSLPELKYIWKGPPHLVKLQSLEVIRIERCPKLISLFSAVIAQKCSNLKSLFPISVARFLSKLSVISIDGASKLEQVFGCQGVVDFGDEQIGKVLSDLHQLLLKELPSLKTFSPMGYRFRFPYLNSLRVLGCPRLSTGFSIDSKDVVHAITEVVEINEFFSVQDVCFKSSEEIPCFQLDGSSRGKSGLAGCDGVLSNEGSVIGLFFESLDTQESNFAELMGIKQASQIKICDNCNDPSLVATGVRDLREIPSSGYTPIAFNVDEDDYQTFVESFRSILSSSISHDVNVLMPQSQPLSWCRQSPYIFSRSYVTNSGRFLEKDYIRKLRPFLDWRFRPRSILAIIDLNIMILPPIDNNVDFHPASLASILFNTGFQDFNRLRLAPRGRPQCSGKFSSSAPYNLHPNIKYNTINTNSIRKALVEIYFTIRHHLETSKHASTINEILQFCPNQFICKTIRRDTSIAFNVDEDDYQTFIQNLRSILSSSMSHDIHVLMPQTEPVSWLDIRLTSGDSTIILRIDQRNLYVRGYSRDIEDEPFWEFSDSSLIPGSRHLAYGGSYVDGYNFIVAAGTTRLNVHLGLPNLRNAIAILATTEDPNSTQNNALQDCARALLVLTQMIAESARFQLITNHIVTNWFDSTRLTSQLVRMQQSFGTFSSAVQRADFPYWTPNTPLPNVPNPNTANIWTVGQAIAALGILLYVPRISSRMKRQVHVDVGNARNTDIAVDANVSHPRTLVSIEYVRVNDIDSENPGELYGTVKVKDFWGVHTVYDRSSSNYESKRPGEFATLTGPSTAISGDDVFVISVSLWDYDIISPDDEIAQGDIVWEPRTENITFANYDQRLEKVVYGEYGNVTVGFSVVRQALNATIDVLLINGDKESPADVYGTIKASQVIGGSSTSLTLFEKSSSEYVQVRPHHSIPLTRSVVVVPMTSGLTITTDLWDYDTISPDDPIAQGSEYFDAVVGTQTKSIYGKYGEVQIIEERLLNLLLQLPVPWGTWQQNTHHLISIAFFGFGKIVEHFKNQRNELELRQDWVKDDVDEAQRQTEVIEKDVEDWLTRAEKELREAQSLEDDIERNKCFKWCPSWGWRHCLGHQATLLGLEFISSKDFMASESSNSAFKEIMEALKKDDVNMIGLYGMGGVAKEVVGECKGLPLAIVTMGRALKDEILDGWEAVNPRLKDSRHKENQDVCGGIYSRLQISYDYLKGSNSRSCLLLCSLFLEGCEISIDQLTMYGIGQGMFHDVNLLEDARREMCVTIKNLQKSGLLLEISNERRAKMHDVKLPYNLWELTTLRLLAVRDTLSVLIPQNLQPRLERLEELHLDGDIELGITSISKLCSLPRLTSLSLWVSSTLIPKSLVFPPLQSFFISITSKALDVYNKYKDPYDGYGTSVRILEISKFSLNAFRKLLSNVEDLTVDNDMDYKNIVPSADEGGLKENVEDCKDLEDLIDATQDN >EOY13479 pep chromosome:Theobroma_cacao_20110822:7:8722697:8726810:-1 gene:TCM_032080 transcript:EOY13479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 family protein MAVLCASTSAIVSSSSSVSERHSFGSLRKTIPSNQSPFLGFFPVVALSKPSSVRTTTLSFSKRDFRVCCQDLSLVAENERWMFDESEAGGPDIWNNTWYPKAKDHVNTKKPWYIVDATDKILGRLASTIAVHIRGKNLPTYTPSVDMGAFVIVVNAEKVAVSGKKRTQKLYRRHSGRPGGMKVETFNQLQQRIPERIVEHAVRGMLPKGRLGRALFNHLKVYKGPDHPHEAQKPIELPIRDKRIQK >EOY13439 pep chromosome:Theobroma_cacao_20110822:7:8329812:8334120:1 gene:TCM_032011 transcript:EOY13439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin domain-containing protein MGCAGSTPAKGDGNVKKIRKPKPWKHPQPITKSQLVQMREEFWDTAPHYGGRKEIWDALRAAAEADLTLAQAIVDSAGVIVQNADLTICYDERGAKYELPKYVLSEPTNLIQDS >EOY12647 pep chromosome:Theobroma_cacao_20110822:7:3146009:3168282:1 gene:TCM_031142 transcript:EOY12647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-cadinene synthase isozyme A, putative MDFGEYKNVEVKQKEELIMVSSKEEEVKPDIPATTMTPKNEEEEDEQDIPKVIEAYLELQNSNRYDSWTYGKISGRDTTLIFSTSPSSKWSMDHSSKNRNTRSHNIKILYEMQPQKPIHHMPKNDQEDIIVTDGTSGKQDSLATGWNEWQTGFPRHVKEMSSQVCSKPASTSSDDHYAKSIENRPLASFPPSIWGDLFHTCPEMNMDATTKLHEELKQEVQRMLTTPMDKPSQKLLLIDAVQRLGVAYHFEKEIEDALDNIYGDCNGDGNDLYITSLRFRLLREHGFNIQCESFNKFKDEKGNFKASLISDVRGLLELYEAAHLRVHGENILEEALAFTTSHLRLVETVVEYPLSAEVASALKSPIRKNLPRLEARRYIPTYQACALHDETLLKFAKLDFNLLQYLHKKEISEIYRWWKDFEFSDKLPFIRDRVVEDYFWVLGVYFEPQYSLARRMMAKVIAMTSIIDDIYDAYGTFEELELFASAIARWDISCIDQLPDYMKLCYKALLDVYEEMEEVMTKQGKLFRVQHSKEEMKRLVQAYFAEAKWLHENYTPTMEEYMPIALKSCGYYMLLMTSLVGMGDIIPKEAFHWASNDPKIVRASSIINRLMNDTSAHKFEQERRHVPSAVECYMKQYGVFEEEAYDEFRKQVEDAWKDINEQFLKPTAVPEPVLIRALNLSRVIQLLYKDGSDTYTHVGEELKSRITSLLIDPLPI >EOY12201 pep chromosome:Theobroma_cacao_20110822:7:1273460:1278294:-1 gene:TCM_030769 transcript:EOY12201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial cytokinesis Z-ring protein FTSZ 1-1 isoform 1 MMAATRSKHCGERPGPFSLVDDLQACSYVDGEVSCAHPAQQERVAGAKSEPPKQFAMDISFQVTKPKDLLSTTSSSSVLSSRPSFSSKKPLKRGCLRRHRFGGISCSFAPMETAKIKVVGVGGGGNNAVNRMIGSGLQGVDFYAINTDSQALLQSAAENPLQIGELLTRGLGTGGNPLLGEQAAEESRDAISNALKGSDLVFITAGMGGGTGSGAAPVVAQIAKEAGYLTVGVVTYPFSFEGRKRTMQALDAIEKLQKNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSSKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPTANIIFGAVVDDRYNGEIHVTIIATGFSQSFQKTLLTDPKAAKQIDKLAAGQESKGIPLPLKSTSPSTVPSRSSPRRLFF >EOY12202 pep chromosome:Theobroma_cacao_20110822:7:1273506:1276188:-1 gene:TCM_030769 transcript:EOY12202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial cytokinesis Z-ring protein FTSZ 1-1 isoform 1 MATVQVTKPKDLLSTTSSSSVLSSRPSFSSKKPLKRGCLRRHRFGGISCSFAPMETAKIKVVGVGGGGNNAVNRMIGSGLQGVDFYAINTDSQALLQSAAENPLQIGELLTRGLGTGGNPLLGEQAAEESRDAISNALKGSDLVFITAGMGGGTGSGAAPVVAQIAKEAGYLTVGVVTYPFSFEGRKRTMQALDAIEKLQKNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSSKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVVTSLADPTANIIFGAVVDDRYNGEIHVTIIATGFSQSFQKTLLTDPKAAKQIDKLAAGQESKGIPLPLKSTSPSTVPSRSSPRRLFF >EOY13703 pep chromosome:Theobroma_cacao_20110822:7:10254326:10258660:-1 gene:TCM_032339 transcript:EOY13703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal transduction histidine kinase, hybrid-type, ethylene sensor isoform 1 MESCNCIEPQWPADELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTMHSRTVAVVMTTAKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVINQVFSSSRAVKISPNCPVARLRPVSGKYVPGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHGHQLELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSNLLATLINDVLDVSRLEDGSLQLDLGIFNLCAVFREVLNLIKPIASVKKLHVSLNLAPDLPEYAIGDEKRLMQTILNVVGNAVKFTKEGSISITAFVAKSESLRDSRAPEFFPVPSDNHFYLRVQVKDSGSGISTQDIPKLFTKFAQTQSTATRNSGGSGLGLAICKRYSFFLWTCHLSHGYSQLTTEAALDQPLYWYFEYLAVLMMFNPSYYVIRFVNLMEGHIWIESEGLGKGCTAIFTVKLGIPERLNESKLPLMPKVSSNHGQTSFSGLKVLVMDENGVSRMVTKGLLVHLGFEVTMVSSSDECLHVVSHEHKVVLMDVCVPGMDGYEIAVQIHEKFTKSHERPLIVALTGNTDKVTKENCMRAGMDGVILKPVSLDKMRSVLSDLLEHRVLFEAI >EOY13700 pep chromosome:Theobroma_cacao_20110822:7:10254228:10260128:-1 gene:TCM_032339 transcript:EOY13700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal transduction histidine kinase, hybrid-type, ethylene sensor isoform 1 MESCNCIEPQWPADELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTMHSRTVAVVMTTAKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVINQVFSSSRAVKISPNCPVARLRPVSGKYVPGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHGHQLELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSNLLATLINDVLDVSRLEDGSLQLDLGIFNLCAVFREVLNLIKPIASVKKLHVSLNLAPDLPEYAIGDEKRLMQTILNVVGNAVKFTKEGSISITAFVAKSESLRDSRAPEFFPVPSDNHFYLRVQVKDSGSGISTQDIPKLFTKFAQTQSTATRNSGGSGLGLAICKRFVNLMEGHIWIESEGLGKGCTAIFTVKLGIPERLNESKLPLMPKVSSNHGQTSFSGLKVLVMDENGVSRMVTKGLLVHLGFEVTMVSSSDECLHVVSHEHKVVLMDVCVPGMDGYEIAVQIHEKFTKSHERPLIVALTGNTDKVTKENCMRAGMDGVILKPVSLDKMRSVLSDLLEHRVLFEAI >EOY13699 pep chromosome:Theobroma_cacao_20110822:7:10254277:10260803:-1 gene:TCM_032339 transcript:EOY13699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal transduction histidine kinase, hybrid-type, ethylene sensor isoform 1 MESCNCIEPQWPADELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTMHSRTVAVVMTTAKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVINQVFSSSRAVKISPNCPVARLRPVSGKYVPGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHGHQLELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSNLLATLINDVLDVSRLEDGSLQLDLGIFNLCAVFREVLNLIKPIASVKKLHVSLNLAPDLPEYAIGDEKRLMQTILNVVGNAVKFTKEGSISITAFVAKSESLRDSRAPEFFPVPSDNHFYLRVQVKDSGSGISTQDIPKLFTKFAQTQSTATRNSGGSGLGLAICKRFVNLMEGHIWIESEGLGKGCTAIFTVKLGIPERLNESKLPLMPKVSSNHGQTSFSGLKVLVMDENGVSRMVTKGLLVHLGFEVTMVSSSDECLHVVSHEHKVVLMDVCVPGMDGYEIAVQIHEKFTKSHERPLIVALTGNTDKVTKENCMRAGMDGVILKPVSLDKMRSVLSDLLEHRVLFEAI >EOY13702 pep chromosome:Theobroma_cacao_20110822:7:10227625:10272450:-1 gene:TCM_032339 transcript:EOY13702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal transduction histidine kinase, hybrid-type, ethylene sensor isoform 1 MESCNCIEPQWPADELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTMHSRTVAVVMTTAKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVINQVFSSSRAVKISPNCPVARLRPVSGKYVPGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHGHQLELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSNLLATLINDVLDVSRLEDGSLQLDLGIFNLCAVFREVLNLIKPIASVKKLHVSLNLAPDLPEYAIGDEKRLMQTILNVVGNAVKFTKEGSISITAFVAKSESLRDSRAPEFFPVPSDNHFYLRVQVKDSGSGISTQDIPKLFTKFAQTQSTATRNSGGSGLGLAICKRFVNLMEGHIWIESEGLGKGCTAIFTVKLGIPERLNESKLPLMPKVSSNHGQTSFSGLKVLVMDENGVSRMVTKGLLVHLGFEVTMVSSSDECLHVVSHEHKVVLMDVCVPGMDGYEIAVQIHEKFTKSHERPLIVALTGNTDKVTKENCMRAGMDGVILKPVSLDKMRSVLSDLLEHRVLFEAI >EOY13701 pep chromosome:Theobroma_cacao_20110822:7:10253952:10260387:-1 gene:TCM_032339 transcript:EOY13701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal transduction histidine kinase, hybrid-type, ethylene sensor isoform 1 MESCNCIEPQWPADELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTMHSRTVAVVMTTAKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVINQVFSSSRAVKISPNCPVARLRPVSGKYVPGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHGHQLELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSNLLATLINDVLDVSRLEDGSLQLDLGIFNLCAVFREVLNLIKPIASVKKLHVSLNLAPDLPEYAIGDEKRLMQTILNVVGNAVKFTKEGSISITAFVAKSESLRDSRAPEFFPVPSDNHFYLRVQVKDSGSGISTQDIPKLFTKFAQTQSTATRNSGGSGLGLAICKRFVNLMEGHIWIESEGLGKGCTAIFTVKLGIPERLNESKLPLMPKVSSNHGQTSFSGLKVLVMDENGVSRMVTKGLLVHLGFEVTMVSSSDECLHVVSHEHKVVLMDVCVPGMDGYEIAVQIHEKFTKSHERPLIVALTGNTDKVTKENCMRAGMDGVILKPVSLDKMRSVLSDLLEHRVLFEAI >EOY13633 pep chromosome:Theobroma_cacao_20110822:7:9668597:9673408:-1 gene:TCM_032258 transcript:EOY13633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase II 3 MLTLRFIRPCLVSPPLYHSSFSFSPKQPIGFALRSRMGSYTTSSQSSPVLLFRQLFEKESSTYTYLLADFSHPDKPALLIDPVDKTVDRDLSLVRELGLKLIYAMNTHVHADHVTGTGLIKGKVPGVKSVISKASGSKADVFVEPGDKICFGNLFLEVRATPGHTMGCVTYVTGDGPDQPQPRMAFTGDALLIRGCGRTDFQGGSSQQLYKSVHSQIFTLPKETLLYPAHDYKGFTVTTVGEEMLYNPRLTKDEETFKGIMQNLNLPYPKMIDVAVPANMVCGLQDLGSKAS >EOY13607 pep chromosome:Theobroma_cacao_20110822:7:9509609:9510313:1 gene:TCM_032231 transcript:EOY13607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKGATSLGTCWLRLSVKKILWLYSSFVFWPNWCVRLMLLKYNTIFALEIFSEASWKGKKVLVVESDSTVVVPWVKKIEDCPWNLCYLQLD >EOY12446 pep chromosome:Theobroma_cacao_20110822:7:2250599:2254180:1 gene:TCM_030961 transcript:EOY12446 gene_biotype:protein_coding transcript_biotype:protein_coding description:C globular stage, putative MEKQSDTIFEAREELMVSPTGGYPTLRKAHFLKPAATSNNGNVSELPSDCFSPKPLTYDLKDLSEKMLFRGWKRPTEKWKRWVQNMHSKYQALWKQVGIYEAVMSSRYDMKQHKELVLGLAEKWCLDTNTFIFPWGEATITLEDVMVSGGYSVLGVSVLSPLKTKQLVEVKEKLIKGRKEAARARSLAASHKSWMDYFMGTGHDLEHEAFLSLWLSNFVLVNSVSFQRIGKHVFPIAIHLARGTRVALAPAVLSSIYRDLSLLKGWFFSSNVVQTNKLLHLYAPFQLVQLWVWERFPRLRPLPNSISLGEPRAARWHRLKMNAGDMKLAIDSAGTGFQWRPYAIADNNWSLPKFYGDKEQYILNDFHLDEEIQSFAMFLRESELVGLESIEQYLPHRVAMQFGMDQDLPGCIARCNENPEIAWRNYSRPIQDAVLYIPARLFESDITTQYSHWWKQSMLAQGDAIKAFVSRRRSPRKSPDASVRKNECYILFSPDHPLKCGEVSRGDSAMENEDRGVEVGGLVQEEDMEDQLTVTELLTHAKRDGEKTVMGGENESPLGTQRLLSSTKEIETLAKLEANMKSDQVSIQVSVKDQEDVILENIGEGDEIGCTLAVDVLSLSVRENQNVSTFIADKKYVKNIKSEGKQDAEVRRNESSIGESKGVVADDVNSKPSLKDGAEGSSTHDLATLAIELEARICRLEKLSAELKEKRLRKKLENQFKGISSEPQ >EOY14140 pep chromosome:Theobroma_cacao_20110822:7:21502816:21540390:1 gene:TCM_033428 transcript:EOY14140 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 12 isoform 2 MEVAIPIRLNPPIFSSIPITQTPQNLTFFQVPTRRRLKIRASSSANPGGSGSNGFSWFSLARSFRLGSERFWSKFGESVKKETGFNLDEANVRVDELVGRVKEGFRKGEGEFTRLWTELVPEFVSWNRWERWKDFKNWEPKRVTALILYIFVAIISCQKLYAAVRAPQLGRERKELTEAYMEALIPEPSPSNIRKFKKSLWRKTIPKGLKLKKFIEGPNGMLIHDSSYVGENAWDDDPEPSKEKVKQIIDSDARLNAEEKDELSKDLGISGEVPESMGTWRERLQAWKAILRKEKLSEQLDSINAKYVVEFDMKEVENSLRKDVVENVTETEGTRALWISKRWWRYRPKLPYAYFLQKLECSEVAAVVFTEDLKRLYVTMKEGFPLEYVVDIPLDPYLFEIISSSGVEVDLLQKRQIHYFLKVVIALVPGILVLWLIRESAMLLHVTSKRFLYKKYNQLFDMAYAENFILPVGDVGETKSMYKEVVLGGDVWDLLDELMIYMGNPMQYYEKGVQFVRGVLLSGPPGTGKTLFARTLAKESGLPFVFASGAEFTDSEKSGAARINEMFSIARRNAPAFVFVDEIDAIAGRHARKDPRRRATFEALIAQLDGEKEKTGVDRFSLRQAVIFICATNRPDELDLEFVRPGRIDRRLYIGLPDAKQRVQIFGVHSVGKQLAEDVNFEKLVFRTVGFSGADIRNLVNEAAIMSVRKGHSKIFQQDIIDVLDKQLLEGMGVLLTEEEQQKCEASVSFEKKRLLAVHEAGHIVLAHLFPRFDWHAFSQLLPGGKETAISVFYPREDMVDQGYTTFGYMKMQMVVAHGGRCAELLVFGDDISDGGRDDLEKITKIAREMVISPQNARLGLTQLTKRVGLLDRPDSPDGELIKYRWDDPHVIPANMTLEVSELFTRELTRYIEETEELAINALKDNRHILDMIAKELLEESRITGLEVEEKMKGLSPVMFEDFVKPFQINLDEEGPLPRNDHLRYQPVDIYPAPLHRC >EOY14141 pep chromosome:Theobroma_cacao_20110822:7:21510053:21540341:1 gene:TCM_033428 transcript:EOY14141 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 12 isoform 2 MLIHDSSYVGENAWDDDPEPSKEKVKQIIDSDARLNAEEKDELSKDLGISGEVPESMGTWRERLQAWKAILRKEKLSEQLDSINAKYVVEFDMKEVENSLRKDVVENVTETEGTRALWISKRWWRYRPKLPYAYFLQKLECSEVAAVVFTEDLKRLYVTMKEGFPLEYVVDIPLDPYLFEIISSSGVEVDLLQKRQIHYFLKVVIALVPGILVLWLIRESAMLLHVTSKRFLYKKYNQLFDMAYAENFILPVGDVGETKSMYKEVVLGGDVWDLLDELMIYMGNPMQYYEKGVQFVRGVLLSGPPGTGKTLFARTLAKESGLPFVFASGAEFTDSEKSGAARINEMFSIARRNAPAFVFVDEIDAIAGRHARKDPRRRATFEALIAQLDGEKEKTGVDRFSLRQAVIFICATNRPDELDLEFVRPGRIDRRLYIGLPDAKQRVQIFGVHSVGKQLAEDVNFEKLVFRTVGFSGADIRNLVNEAAIMSVRKGHSKIFQQDIIDVLDKQLLEGMGVLLTEEEQQKCEASVSFEKKRLLAVHEAGHIVLAHLFPRFDWHAFSQLLPGGKETAISVFYPREDMVDQGYTTFGYMKMQMVVAHGGRCAELLVFGDDISDGGRDDLEKITKIAREMVISPQNARLGLTQLTKRVGLLDRPDSPDGELIKYRWDDPHVIPANMTLEVSELFTRELTRYIEETEELAINALKDNRHILDMIAKELLEESRITGLEVEEKMKGLSPVMFEDFVKPFQINLDEEGPLPRNDHLRYQPVDIYPAPLHRC >EOY14142 pep chromosome:Theobroma_cacao_20110822:7:21516647:21540341:1 gene:TCM_033428 transcript:EOY14142 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 12 isoform 2 MLIHDSSYVGENAWDDDPEPSKEKVKQIIDSDARLNAEEKDELSKDLGISGEVPESMGTWRERLQAWKAILRKEKLSEQLDSINAKYVVEFDMKEVENSLRKDVVENVTETEGTRALWISKRWWRYRPKLPYAYFLQKLECSEVAAVVFTEDLKRLYVTMKEGFPLEYVVDIPLDPYLFEIISSSGVEVDLLQKRQIHYFLKVVIALVPGILVLWLIRESAMLLHVTSKRFLYKKYNQLFDMAYAENFILPVGDVGETKSMYKEVVLGGDVWDLLDELMIYMGNPMQYYEKGVQFVRGVLLSGPPGTGKTLFARTLAKESGLPFVFASGAEFTDSEKSGAARINEMFSIARRNAPAFVFVDEIDAIAGRHARKDPRRRATFEALIAQLDGEKEKTGVDRFSLRQAVIFICATNRPDELDLEFVRPGRIDRRLYIGLPDAKQRVQIFGVHSVGKQLAEDVNFEKLVFRTVGFSGADIRNLVNEAAIMSVRKGHSKIFQQDIIDVLDKQLLEGMGVLLTEEEQQKCEASVSFEKKRLLAVHEAGHIVLAHLFPRFDWHAFSQLLPGGKETAISVFYPREDMVDQGYTTFGYMKMQMVVAHGGRCAELLVFGDDISDGGRDDLEKITKIAREMVISPQNARLGLTQLTKRVGLLDRPDSPDGELIKYRWDDPHVIPANMTLEVSELFTRELTRYIEETEELAINALKDNRHILDMIAKELLEESRITGLEVEEKMKGLSPVMFEDFVKPFQINLDEEGPLPRNDHLRYQPVDIYPAPLHRC >EOY12045 pep chromosome:Theobroma_cacao_20110822:7:725369:726596:-1 gene:TCM_030658 transcript:EOY12045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-activated outward-rectifying potassium channel 1 isoform 1 MLGHFNSIIAMASNAAKKAKLPIAAGSPTLTNDENAPEKTSSQSCKTTPAAGNCTPPIIFRTDCPDLKRLGIYFGIYIGVGTTSFYALKNHIRGHKTNDFIDSLYLCVVTMTTVGYGDLVPHSFVSQLICSIFITVGMCLVGIVVKIAASYLVVKQQMVLVNALHLSKKLGPMEALKEIENLKIDYTKCLISLIAMAAHFVIGIFVLVTVEGMDFTDAVYCACTTMTTVGFGDESFSSEFGRTFGIVWIATGTSCLGQLLLYIAEVYTDIETKKLVKWVLTNNIIAKKDLEAADNPENDQVYGAADYILYKLKEMGKIKQEDISIAMKDIDIVIKDLDVDHQSDSSQKK >EOY12046 pep chromosome:Theobroma_cacao_20110822:7:720400:727384:-1 gene:TCM_030658 transcript:EOY12046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-activated outward-rectifying potassium channel 1 isoform 1 MLGHFNSIIAMASNAAKKAKLPIAAGSPTLTNDENAPEKTSSQSCKTTPAAGNCTPPIIFRTDCPDLKRLGIYFGIYIGVGTTSFYALKNHIRGHKTNDFIDSLYLCVVTMTTVGYGDLVPHSFVSQLICSIFITVGMCLVGIVVKIAASYLVVKQQMVLVNALHLSKKLGPMEALKEIENLKIDYTKCLISLIAMAAHFVIGIFVLVTVEGMDFTDAVYCACTTMTTVGFGDESFSSEFGRTFGIVWIATGTSCLGQLLLYIAEVYTDIETKKLVKWVLTNNIIAKKDLEAADNPENDQVYGAADYILYKLKEMGKIKQEDISIAMKDIDIVIKDLDVDHQSDSSQKK >EOY12043 pep chromosome:Theobroma_cacao_20110822:7:720400:727384:-1 gene:TCM_030658 transcript:EOY12043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-activated outward-rectifying potassium channel 1 isoform 1 MLGHFNSIIAMASNAAKKAKLPIAAGSPTLTNDENAPEKTSSQSCKTTPAAGNCTPPIIFRTDCPDLKRLGIYFGIYIGVGTTSFYALKNHIRGHKTNDFIDSLYLCVVTMTTVGYGDLVPHSFVSQLICSIFITVGMCLVGIVVKIAASYLVVKQQMVLVNALHLSKKLGPMEALKEIENLKIDYTKCLISLIAMAAHFVIGIFVLVTVEGMDFTDAVYCACTTMTTVGFGDESFSSEFGRTFGIVWIATGTSCLGQLLLYIAEVYTDIETKKLVKWVLTNNIIAKKDLEAADNPENDQVYGAADYILYKLKEMGKIKQEDISIAMKDIDIVIKDLDVDHQSDSSQKK >EOY12044 pep chromosome:Theobroma_cacao_20110822:7:725511:726596:-1 gene:TCM_030658 transcript:EOY12044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-activated outward-rectifying potassium channel 1 isoform 1 MLGHFNSIIAMASNAAKKAKLPIAAGSPTLTNDENAPEKTSSQSCKTTPAAGNCTPPIIFRTDCPDLKRLGIYFGIYIGVGTTSFYALKNHIRGHKTNDFIDSLYLCVVTMTTVGYGDLVPHSFVSQLICSIFITVGMCLVGIVVKIAASYLVVKQQMVLVNALHLSKKLGPMEALKEIENLKIDYTKCLISLIAMAAHFVIGIFVLVTVEGMDFTDAVYCACTTMTTVGFGDESFSSEFGRTFGIVWIATGTSCLGQLLLYIAEVYTDIETKKLVKWVLTNNIIAKKDLEAADNPENDQVYGIVNCTQRSKAIHKRQSSNSVRILCSSVFL >EOY12047 pep chromosome:Theobroma_cacao_20110822:7:724998:727310:-1 gene:TCM_030658 transcript:EOY12047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-activated outward-rectifying potassium channel 1 isoform 1 MLGHFNSIIAMASNAAKKAKLPIAAGSPTLTNDENAPEKTSSQSCKTTPAAGNCTPPIIFRTDCPDLKRLGIYFGIYIGVGTTSFYALKNHIRGHKTNDFIDSLYLCVVTMTTVGYGDLVPHSFVSQLICSIFITVGMCLVGIVVKIAASYLVVKQQMVLVNALHLSKKLGPMEALKEIENLKIDYTKCLISLIAMAAHFVIGIFVLVTVEGMDFTDAVYCACTTMTTVGFGDESFSSEFGRTFGIVWIATGTSCLGQLLLYIAEVYTDIETKKLVKWVLTNNIIAKKDLEAADNPENDQVYGAADYILYKLKEMGKIKQEDISIAMKDIDIVIKDLDVDHQSDSSQKK >EOY14355 pep chromosome:Theobroma_cacao_20110822:7:24361708:24370423:-1 gene:TCM_033747 transcript:EOY14355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMARYLLFEKGLPKTLRAKAVNKINYLLNLAPTKALTGKTPYEALLDVKPIADHFKVLGCICYAKDVVFNESMRWNWISDIVESLQNHKVVVDYLQELDVLANTDENTDEVPVR >EOY13862 pep chromosome:Theobroma_cacao_20110822:7:11970477:11998675:-1 gene:TCM_032554 transcript:EOY13862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 2, small GTP-binding protein isoform 1 MVILVGTMPSSSLASLVNLGTLNATFINYCSDPISSSYYSCIRRVSLSRRSFSRKCKCKYSVAATDFVAEANSASSSSYKDSDSDIVLKPAPKPVLKPQGVKNEKGLSWDGEESEREDEEEEENERSKVIESLGEVLEKAEKLETSNVNVNANVTVNKAKASGGAGGKKIKTLKSVWRKGDSVGTLQKVVKESPKVSNNNNNNIGGGAGGGEGKVESQGESGGAPLRPPQPPLRPQPKLQAKPSVAPPPSVKKPIILKDVGAARKSEVVDEADLDEKSKERKPILIDKFASKKRVVDPLIAQAVLAPTKPGKGPASGKFKDDYHKKNVSAGGPRRRVVNDDLEIPDEEASELNVSIPGAATARKGRKWSKARRKAARLQAAKEAAPVKVEILEVGEKGMLIEELAYNLAISEGEILGYLYSKGIKPDGVQTLDKDMVKMVCNEYEVEVIDADPVKVEEMAKKKEILDEGDLDKLQDRPPVLTIMGHVDHGKTTLLDVIRKSKVAASEAGGITQGIGAYKVVVPIDGKSQPCVFLDTPGHEAFGAMRARGARVTDIVVIVVAADDGIRPQTNEAIAHAKAAGVPIVIAINKIDKDGANPERVMQELSSIGLMPEDWGGDIPMVQISALKGQNIDDLLETVMLVAELQELKANPDRNAKGTVIEAGLHKSKGPVATFIVQNGTLKRGDVVVCGEAFGKVRALFDDSGNRVDEAGPSIPVQVIGLNNVLIAGDEFEVVASLDVARQKAEACAELLRNKRMSAKAGDGKVTLSSLASAASAGKLSGLDLHQLNIILKVDLQGSIEAARQALQVLPQDTVTLKFLLEAMGDVSSSDVDLAVASKALILGFNVKAPGSVKSYAENKGVEIRLYRVIYELIDDVRNAMEGLLEPVEEQAPIGSAEVRAVFSSGSGRVAGCMVTEGKVVKGCGIRVIRNDRTVHVGVLDSLRRVKELVKEVNAGLECGMGMDDYDEWQEGDILEAFNTVQKKRTLEEASASMAAALKGAHIPQCSVSAAALGIA >EOY13863 pep chromosome:Theobroma_cacao_20110822:7:11985636:11996565:-1 gene:TCM_032554 transcript:EOY13863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 2, small GTP-binding protein isoform 1 MVILVGTMPSSSLASLVNLGTLNATFINYCSDPISSSYYSCIRRVSLSRRSFSRKCKCKYSVAATDFVAEANSASSSSYKDSDSDIVLKPAPKPVLKPQGVKNEKGLSWDGEESEREDEEEEENERSKVIESLGEVLEKAEKLETSNVNVNANVTVNKAKASGGAGGKKIKTLKSVWRKGDSVGTLQKVVKESPKVSNNNNNNIGGGAGGGEGKVESQGESGGAPLRPPQPPLRPQPKLQAKPSVAPPPSVKKPIILKDVGAARKSEVVDEADLDEKSKERKPILIDKFASKKRVVDPLIAQAVLAPTKPGKGPASGKFKDDYHKKNVSAGGPRRRVVNDDLEIPDEEASELNVSIPGAATARKGRKWSKARRKAARLQAAKEAAPVKVEILEVGEKGMLIEELAYNLAISEGEILGYLYSKGIKPDGVQTLDKDMVKMVCNEYEVEVIDADPVKVEEMAKKKEILDEGDLDKLQDRPPVLTIMGHVDHGKTTLLDVIRKSKVAASEAGGITQGIGAYKVVVPIDGKSQPCVFLDTPGHEAFGAMRARGARVTDIVVIVVAADDGIRPQTNEAIAHAKAAGVPIVIAINKIDKDGANPERVMQELSSIGLMPEDWGGDIPMVQQISALKGQNIDDLLETVMLVAELQELKANPDRNAKGTVIEAGLHKSKGPVATFIVQNGTLKRGDVVVCGEAFGKVRALFDDSGNRVDEAGPSIPVQVS >EOY13864 pep chromosome:Theobroma_cacao_20110822:7:11989068:11996322:-1 gene:TCM_032554 transcript:EOY13864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 2, small GTP-binding protein isoform 1 RVSLSRRSFSRKCKCKYSVAATDFVAEANSASSSSYKDSDSDIVLKPAPKPVLKPQGVKNEKGLSWDGEESEREDEEEEENERSKVIESLGEVLEKAEKLETSNVNVNANVTVNKAKASGGAGGKKIKTLKSVWRKGDSVGTLQKVVKESPKVSNNNNNNIGGGAGGGEGKVESQGESGGAPLRPPQPPLRPQPKLQAKPSVAPPPSVKKPIILKDVGAARKSEVVDEADLDEKSKERKPILIDKFASKKRVVDPLIAQAVLAPTKPGKGPASGKFKDDYHKKNVSAGGPRRRVVNDDLEIPDEEASELNVSIPGAATARKGRKWSKARRKAARLQAAKEAAPVKVEILEVGEKGMLIEELAYNLAISEGEILGYLYSKGIKPDGVQTLDKDMVKMVCNEYEVEVIDADPVKVEEMAKKKEILDEGDLDKLQDRPPVLTIMGHVDHGKTTLLDVIRKSKVAASEAGGITQGIGAYKVVVPIDGKSQPCVFLDTPGHEAFGAMRARGARVTDIVVIVVAADDGIRPQTNEAIAHAKAAGVPIVIAINKIDKDGANPERVMQELSSIGLMPEDWGGDIPMVQISALKGQNIDDLLETVMLVAELQELKANPDRNAKGTVIEAGLHKSKGPVATFIVQNGTLKRGDVVVCGEAFGKVRALFDDSGNRVDEAGPSIPVQVIGLNNVLIAGDEFEVVASLDVARQKAEACAELLRNKRMSAKAGDGKVTLSSLASAASAGKLSGLDLHQLNIILKVDLQGSIEAARQALQVLPQDTVTLKFLLEAMGDVSSSDVDLAVASKALILGFNVKAPGSVKSYAENKGVEIRLYRVIYELIDDVRNAMEGLLEPVEVSLMFK >EOY12232 pep chromosome:Theobroma_cacao_20110822:7:1423636:1425765:1 gene:TCM_030795 transcript:EOY12232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative MACKVVRLFLLINLLLQIPETSAIVPAIIVFGDSTVDPGNNNQISTVLKSNFAPYGRDFLGGKPTGRFSNGRIATDFISEAFGIKPDIPAYLDPNYDIKDFATGVSFASAGTGYDNATSDVLSVIPFWKEMEYYKEFQSKLRGYLGDDIANHHLRESLHLISIGTNDFLENYYIFPTRSSKYSVDEYQNFLIQIASNFIRELYNLGARKIAIGGLPPMGCLPLERTTNIFFGSECIEEFNNVAVDFNKKLQGRVMGLKQELSGVQLVQTNAYDKLLEMIQNPSQFGFENAETACCGTGLFEMSYLCDKMNPFTCSDANNYIFWDSFHPTEKTNAILADHVVKNALAEFR >EOY12657 pep chromosome:Theobroma_cacao_20110822:7:3224479:3239532:1 gene:TCM_031161 transcript:EOY12657 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MLVYEYMPNKSLDFFIFEKTRSKLLDWPKRFHIIGGVARGLVYLHQDSRLRIIHRDLKASNVLLDNEMNPKISDFGMARSFGGDQFEGNTNRVVGTYGYMAPEYAIDGQFSVKSDVFSFGILVLEIISGKKNRGFYNPGNGLNLIGHAWDLWKEEKAVQLIDPLLKESCNLSEVARGIHIGLLCLQQHPEDRPNMSSVVLMLGSDTTLSKPKQPGFLMERKSPETDSTSSKLESSSTNDINPTCYNEVISKVDIRPNFSILLNFRCLKHGRKVENVIKIKAWRLWEDGRPVELVDEFLGVSCNLSHNEMEKSYSMKCASKALITASNKENDQENHGQDEDMDLTIFELATIARATHSFSMDKKQGKDGFGVVYKLTVASYY >EOY12306 pep chromosome:Theobroma_cacao_20110822:7:1629426:1632980:-1 gene:TCM_030845 transcript:EOY12306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative MDARAFLVNFFSFFFFFLLSLFALSFEVSKAQMVPAVFVFGDSQVDVGNNNYLPVSVAKANFPHNGIDFPTKKPTGRFCNGKNAADLIAEKLGLPTSPPYLSLSNKKDASYTNGVSFASGGAGIFNSTDQVFGQAIPLPKQVDNYLAVHKILMQQLGAGAEKHSAESLFAIVIGSNDLFDYFGSSDLRKKYTPQQFVDLMANNLKAQLKKLYAFGARKFFISGVGLIGCIPAERVKNKTQECNEERNFWSDKYNQGLKAMLNGLKSELQGINYSYFDTYGIMQSVIQKPSAYGFNEIKAACCGLGDLKAKVPCVPISTYCSNRKDHVFWDLYHPTEATARIFVDALFDGTTQYSVPMNVRQLVST >EOY12744 pep chromosome:Theobroma_cacao_20110822:7:3977236:3984147:1 gene:TCM_031279 transcript:EOY12744 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MTRSLASIFFPILMVLSIMEYSFAADMISLAQSVSDGERLVSSSQRFELGFFSPGNSTFRFLGIWYKNIPKAVVWVANRNRSIAGAHGVLTVNNDGNLVLLDGTNSTVWSSNVSRKAEVPVAQLLDSGNFVVRDNKTMQHDESYLWQSFDYPSDTLLPDMRLGKNLKTGKEWFLTSWRSADDPSPGNFTTRLSIQGLPSIVTYMISAKVYRSGPWDGSNFGGTPVSPNLVSLSTVEHREDEIYYKYQPYNNPIITRLTLNHSGSIQRLIWNERHTEWGIVYSAPYDQCGRYGQCGANGICSINKTPICECLKGFRPDSEGLDASNHSWSTRCVKKRPSDCQKGEGFLRLVWMKLPDLIDFKFNEIMNLKECEVECLQNCSCSAYASATLDGREKGCLMWYGDLIDMEDRSGKGRGPDIYVRVPSSELVGASKEKKRVKIIIVASIISGMIVLGLVSCFVLRKKWKRVSVSGYALNDKKRTKTTKLLSVIPGMNILAALFSYITKKTWKGGQESCKENVEVPLFDLVSIATATNSFSQENLIGIGGFGPVYKGILPTGKEIAVKRLSKNSGQGAEEFRNEVVLIAKLQHRNLVGLYGSCIQGEERVLIYEYMPNKSLDYFIFDHDRRVLLAWQKRFDIIMQIVRGLLYLHQDSKLQIIHRDLKASNILLDSDMNPKISDFGLARTFEGDDKVSETKRVIGTFGYMSPEYAVNGKFSAKSDVFSFGVLLLEIISGQKNRSFHHPDHHHSLSAHAWLLWNEGRAMELVDACLEDSIVESQVLRCIQVGLLCVQNFPKDRPKMSSVNFMLANEEAMLPHPKEPGFFTDTNSKANTPTRKEESDTVNVVTITMVGGR >EOY12586 pep chromosome:Theobroma_cacao_20110822:7:2915870:2917492:1 gene:TCM_031100 transcript:EOY12586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATSVPSIFTGDNYVFWPVTMKSYLKAFCLWDVVETGEDLVQRHVNPTLAQIRQFEEDKAKRYKALSCLQSVVADDIFSRIMHLDSPKEVWDHLKDGFFGSDRTRHVQILNLSRQFEMLRMEDDENIKEFSSKMMSLVNQLRLLGKNVTEERLVNKIL >EOY13976 pep chromosome:Theobroma_cacao_20110822:7:16184727:16186134:1 gene:TCM_032937 transcript:EOY13976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFEPYEIHLFSNKYDSMMHFLLINSTLVEYFKSEKRLRQRDSISPLLFILVVKHLSRGLNALYEHCPSFYFIQGTPCPLVT >EOY12683 pep chromosome:Theobroma_cacao_20110822:7:3349920:3351072:1 gene:TCM_031185 transcript:EOY12683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MREETGTLMPPKVAALTKKKRVRVGTPGVMAEEWKPSLNVISEDNVVAEKREKTPPQQPPQDKTTADRVVKRKSSSGSRSKVHVRSNSDDIGRNPMPAVISMFSPTPVSMPVVIP >EOY12682 pep chromosome:Theobroma_cacao_20110822:7:3350034:3351224:1 gene:TCM_031185 transcript:EOY12682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MRSFLEFVSCCVTSPQVSRNEGEEVVTAAVNPAMREETGTLMPPKVAALTKKKRVRVGTPGVMAEEWKPSLNVISEDNVVAEKREKTPPQQPPQDKTTADRVVKRKSSSGSRSKVHVRSNSDDIGRNPMPAVISMFSPTPVSMPVVIPTFSPTPFMF >EOY12572 pep chromosome:Theobroma_cacao_20110822:7:2843655:2845860:1 gene:TCM_031089 transcript:EOY12572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MHGPLYTYSNIMMPMSLVSKAAKHQLAELDLSFRHIWESSALSLITSLKLCLTPAAPPIYNIPTSIRFPRLKALYLICAKFQNEHSAQLLFSACPVLKELLVDKCSWNKINGVTISIFTLHTLTIYHHVASNYCLKIRCCSNLRFFKLWSDSLFEIEICSLPFPCYARVEIMPWGPLYKQVQLAHCTLKLLESLCPSAKFLRLSHGTLQPI >EOY12573 pep chromosome:Theobroma_cacao_20110822:7:2843471:2845649:1 gene:TCM_031089 transcript:EOY12573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MHGPLYTYSNIMMPMSLVSKAAKHQLAELDLSFRHIWESSALSLITSLKLCLTPAAPPIYNIPTSIRFPRLKALYLICAKFQNEHSAQLLFSACPVLKELLVDKCSWNKINGVTISIFTLHTLTIYHHVASNYCLKIRCCSNLRFFKLWSDSLFEIEICSLPFPCYARVEIMPWGPLYKQVQLAHCTLKLLESLCPSAKFLRLSHGTLQPI >EOY12574 pep chromosome:Theobroma_cacao_20110822:7:2843609:2845860:1 gene:TCM_031089 transcript:EOY12574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MHGPLYTYSNIMMPMSLVSKAAKHQLAELDLSFRHIWESSALSLITSLKLCLTPAAPPIYNIPTSIRFPRLKALYLICAKFQNEHSAQLLFSACPVLKELLVDKCSWNKINGVTISIFTLHTLTIYHHVASNYCLKIRCCSNLRFFKLWSDSLFEIEICSLPFPCYARVEIMPWGPLYKQVQLAHCTLKLLESLCPSAKFLRLSHGTLQPI >EOY12113 pep chromosome:Theobroma_cacao_20110822:7:1021355:1024100:1 gene:TCM_030714 transcript:EOY12113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 53, putative MIHLASSPTGTPPSRCACGMESLAIIFLGLSLSGKIPPQIGACSNLKVLILGYNYLVGRIPSSISNISSLLILSLDCNELIGQIPRAFSKLKSLVWINFGVNHLSGKIPQEFVDLVSLISLDLAYNNFSGQIPSSLGNLTNLEYLSLFNNNLNGQIPSSFGNLTNIKTLYLYNNNLNGQIPSSLGNLTNLQYLYLYRNKLTGLLPRSFFGLKKLIHLDLSENHLFGEIPELIIELQSLEGLQLFSNDFTGKIPNALASLPYLRRFSIYSNNLMGKISSLICNITSIEVLDLSYNNLSGTIPPCLGNFSKGLSILDLQMNSFRETIPETFGEDCGLRYLSFNGNKLEGSLPRSMANCRKLEVMDIGGNKLNGTFPHWLDTLQELQVLVLRSNKLRGVLQSSKTIYPFPKLRILDLANNEFTGPLPKVKGFYIELKILSTFTSIDLSNNNFDGLIPSAIGKLYSLIGLNLSHNSLSGHIPVSMGNLTNLEWLDLSSNKLTRQIPYQLKDMTFLAFLNLSYNQLTGPIPQGKQFSTFENGSYKGNLALCGFPLSKSCNNDGRKQSSPSFLKEADDSETKISFGWKVVLMGYGCGLIFGVVIGYVTFRNGEPKWFVTLYGVKYHRKGRCSRN >EOY13425 pep chromosome:Theobroma_cacao_20110822:7:8278927:8284002:-1 gene:TCM_032000 transcript:EOY13425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type MACLKWMVQYFFLIFCSTLNSVISQRFPSTRPPGIWKNNPSNRSWNFNDGTQVRPILAQYSYGRPNFGFGFFKNGSTNAFYLVVIKFRAGATLATSEYDPPPILVWFANRNDPVGENATLELTASGNMVLKESDGSRVWSTNTISKYSTSISLNGKGDLRLENSVQGFLWHSFANPTDAWLVGQHFRLPKQLSSGVSSTNFSIGMFHLAIGDGGLQAFFASDPPQMYRAMFPFQRDYLLYNFSCGFLNYTDGHVYYGSERGDFQYIRLESNGHLNVYLLVDGYKETLMANLLEDKQLGDCIFPTVCGRYGICSDGRCTCPGPSRENVSYFRPLDATHPSSGCAEINPPSCLDTKLHTFQELGNVTYFSFEPQLYHTDIDRCKKECLSDCHCKAAFFKYEVNMSDGNCSLLTELFSLMAVGKSASTDNNFAFIKVQSPSDRKKSFLVLTLASSLSSLLIFTVLFIGSYYRYAKWRNKFFFGRQREENFTDESSLDVSTVLKKYSFEDMISATKNFNLMLGKGGFGTVSQGTLENGEKVAVKQLGSNVRQGKKEFVAEVKTVGSIHHFNLVRLMGYCAERFNRLLVYEYMCNGSLDKWIFDQSKAQALTWEIRQKIIVGIAKGLEYLHHYCNKNIIHFDIKPQNILLDKDFNAKISDFGLARLFDKNQSHVSSLPRGTPGYIAPELIRGHDITAKIDVYSLGVVILEIICGRRNSDSPGDYLIDIVKMKVEQDRLADLVDDCNNDLQVHKEEAVKVMQIAISCLQTNLYRRPTALKVVKFLEGSMDIQPISDYSFLTIIHGGAPVAIVDRIDSSLPMASILSGPR >EOY13014 pep chromosome:Theobroma_cacao_20110822:7:5320973:5324947:-1 gene:TCM_031519 transcript:EOY13014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 1 MVSLNMERKWVFPLVISSLICMFLLVTSFNMGLVSSVYRINSLFSIFPAHISMNQRKPGYAESKINQGALPPPPGPTIPRFAYLVSGSKGDLEKLWRTLHALYHPRNQYVVHLDLEAPADERLALASRIKNHTIFSKVGNVYMITKANMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLVTQDDLLYAFSALNRNLNFIEHTSQLGWKADKRAMPLIIDPGLYMSTKSDVFLATPRRTLPTAFKLFTGSAWTVLSHSFVEFCVWGWDNLPRTLLMYYTNFVSSPEGYFQTVICNVPEFTNTVVNHDLHYISWDTPPKQHPHTLTLNDTDKMIRSNAAFARKFKQDDPVLDTIDKDLLGRASRSFTPGAWCSGKPKCSEVGDFNKIKPGPGAQRLRQLIAALAIKANLGQDQCK >EOY13015 pep chromosome:Theobroma_cacao_20110822:7:5321215:5324862:-1 gene:TCM_031519 transcript:EOY13015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 1 MVSLNMERKWVFPLVISSLICMFLLVTSFNMGLVSSVYRINSLFSIFPAHISMNQRKPGYAESKINQGALPPPPGPTIPRFAYLVSGSKGDLEKLWRTLHALYHPRNQYVVHLDLEAPADERLALASRIKNHTIFSKVGNVYMITKANMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLVTQDDLLYAFSALNRNLNFIEHTSQLGWKADKRAMPLIIDPGLYMSTKSDVFLATPRRTLPTAFKLFTGSAWTVLSHSFVEFCVWGWDNLPRTLLMYYTNFVSSPEDKMIRSNAAFARKFKQDDPVLDTIDKDLLGRASRSFTPGAWCSGKPKCSEVGDFNKIKPGPGAQRLRQLIAALAIKANLGQDQCK >EOY13441 pep chromosome:Theobroma_cacao_20110822:7:8340859:8347717:-1 gene:TCM_032014 transcript:EOY13441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyl hydrolase 1 isoform 3 MPSLFLSNPSSPSSSSDTPNDAVPSPSASSSSSSDMWNYLIVPILFYLSKDLSLAKAETSTPLLLPSQRRDNSSAETLSSASSCTTLDPKLYYRPVIGILSHPGDGASGRLNNDTNASYIAASYVKFVEAAGARVIPLIYNEPEEILFEKLELVNGVLFTGGWAKAGLYYEIAQKIFKKVLEKNDARDHFPLYAICLGFELLTMIISEDRNILESFSAADQASSLQFVKNINIEGTVFQRFPPDLLKKLGADCLVMQNHHYGISPERLRNNPNLSSFFKILTTSTDDNNEVYVSTVQAHGYPVTAFQWHPEKNAFEWGSTMIPHSEDAIQVTQHVANFLISEARKSLNRPPPRKVLDNLIYNYSPTYCGKAGKGFDEVYIFTQLQPRI >EOY13442 pep chromosome:Theobroma_cacao_20110822:7:8340839:8347731:-1 gene:TCM_032014 transcript:EOY13442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyl hydrolase 1 isoform 3 MPSLFLSNPSSPSSSSDTPNDAVPSPSASSSSSSDMWNYLIVPILFYLSKDLSLAKAETSTPLLLPSQRRDNSSAETLSSASSCTTLDPKLYYRPVIGILSHPGDGASGRLNNDTNASYIAASYVKFVEAAGARVIPLIYNEPEEILFEKLELVNGVLFTGGWAKAGLYYEIAQKIFKDRNILESFSAADQASSLQFVKNINIEGTVFQRFPPDLLKKLGADCLVMQNHHYGISPERLRNNPNLSSFFKILTTSTDDNNEVYVSTVQAHGYPVTAFQWHPEKNAFEWGSTMIPHSEDAIQVTQHVANFLISEARKSLNRPPPRKVLDNLIYNYSPTYCGKAGKGFDEVYIFTQLQPRI >EOY13443 pep chromosome:Theobroma_cacao_20110822:7:8341136:8347711:-1 gene:TCM_032014 transcript:EOY13443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyl hydrolase 1 isoform 3 MPSLFLSNPSSPSSSSDTPNDAVPSPSASSSSSSDMWNYLIVPILFYLSKDLSLAKAETSTPLLLPSQRRDNSSAETLSSASSCTTLDPKLYYRPVIGILSHPGDGASGRLNNDTNASYIAASYVKFVEAAGARVIPLIYNEPEEILFEKLELVNGVLFTGGWAKAGLYYEIAQKIFKKVLEKNDARDHFPLYAICLGFELLTMIISEDRNILESFSAADQASSLQFVKNINIEGTVFQRFPPDLLKKLGADCLVMQNHHYGISPERLRNNPNLSSFFKILTTSTDDNNEGG >EOY13444 pep chromosome:Theobroma_cacao_20110822:7:8343361:8347731:-1 gene:TCM_032014 transcript:EOY13444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyl hydrolase 1 isoform 3 MPSLFLSNPSSPSSSSDTPNDAVPSPSASSSSSSDMWNYLIVPILFYLSKDLSLAKAETSTPLLLPSQRRDNSSAETLSSASSCTTLDPKLYYRPVIGILSHPGDGASGRLNNDTNASYIAASYVKFVEAAGARVIPLIYNEPEEILFEKLELVNGVLFTGGWAKAGLYYEIAQKIFKKVLEKNDARDHFPLYAICLGFELLTMIISEDRNILESFSAADQASSLQFVKNINIEGTVFQRFPPDLLKKLGADCLVMQNHHYGISPERLRNNPNLSSFFKILTTSTDDNNEC >EOY12086 pep chromosome:Theobroma_cacao_20110822:7:882161:886305:1 gene:TCM_030689 transcript:EOY12086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISQIMAYGGDPNLTPQWALNALCKRVYAYMGIPLQSSNISSALATFRMLEEPIYNLPDTISTTVIRLKDP >EOY13830 pep chromosome:Theobroma_cacao_20110822:7:11238790:11240494:-1 gene:TCM_032486 transcript:EOY13830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II subunit R MVASVMASSVSLKPCFSVEKSGVRGVPSLARAPSSFKVEAKGGKIKTDKPYGINGGMSLRGGLDASGRKGKGKGVYQFVDKYGANVDGYSPIFDTRDWSPSGDVYVGGSTGLAIWAVTLAGILAGGALLVYNTSALVQ >EOY13525 pep chromosome:Theobroma_cacao_20110822:7:9057093:9062015:1 gene:TCM_032128 transcript:EOY13525 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain isoform 1 MEVANESAIKKPKRLTSVVWNHFERVRKADVCYAVCVHCNKKLSGSSNSGTTHLRNHLMRCLKRSNYDVSQLLAAKRRKKDNTLTIANISYDEGQRKEDYIKPTIVKYEQDQRKDEVFNLGSSRFDQERSRLDLARMIILHGYPLAMVEHVGFKVFVKNLQPLFDLVPNSTIELFCMEIYGKEKQKVYDMLSKLQGRINLAVEMWSSPENSNYLCLTAHYIDDDWKLQKKILNFVTLDSSHTEDLLSEVIMKCLMDWDIECKLFAMTFDDCSTNDDIVLRIKEQISENRPRLSNGQLLDVRSAAHILNSLVQDAVEALQVVIQKIRGSVRYVKSSQSIQGKFNEIAQQTGIISQKSLVLDCPIRWNSTYVMLETAVEYRNAFCHLPELDPDLALSDDEWEWASSVTGYLKLFIEIINVFSGNKCPTANIYFPEICHVHIQLIEWCKSPDNFLSSLAAKMKAKFDKYWSKCSLALAVAAILDPRFKMKLVEYYYSQIYGSTALERIKEVSDGIKELFNAYSICSTLIDEGTALPGSSLPSSSNDSRDRLKGFDKFLHETAQSQSAISDLEKYLEEAVFPRNCDFNILNWWRVHTPRYPILSMMARDVLGTPMSTVAQESAFNAGGRVLDSCRSSLTADTRQALICTRDWLWMQSDDPSPSSSHYALPLYVEAN >EOY13523 pep chromosome:Theobroma_cacao_20110822:7:9057093:9062015:1 gene:TCM_032128 transcript:EOY13523 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain isoform 1 MEVANESAIKKPKRLTSVVWNHFERVRKADVCYAVCVHCNKKLSGSSNSGTTHLRNHLMRCLKRSNYDVSQLLAAKRRKKDNTLTIANISYDEGQRKEDYIKPTIVKYEQDQRKDEVFNLGSSRFDQERSRLDLARMIILHGYPLAMVEHVGFKVFVKNLQPLFDLVPNSTIELFCMEIYGKEKQKVYDMLSKLQGRINLAVEMWSSPENSNYLCLTAHYIDDDWKLQKKILNFVTLDSSHTEDLLSEVIMKCLMDWDIECKLFAMTFDDCSTNDDIVLRIKEQISENRPRLSNGQLLDVRSAAHILNSLVQDAVEALQVVIQKIRGSVRYVKSSQSIQGKFNEIAQQTGIISQKSLVLDCPIRWNSTYVMLETAVEYRNAFCHLPELDPDLALSDDEWEWASSVTGYLKLFIEIINVFSGNKCPTANIYFPEICHVHIQLIEWCKSPDNFLSSLAAKMKAKFDKYWSKCSLALAVAAILDPRFKMKLVEYYYSQIYGSTALERIKEVSDGIKELFNAYSICSTLIDEGTALPGSSLPSSSNDSRDRLKGFDKFLHETAQSQSAISDLEKYLEEAVFPRNCDFNILNWWRVHTPRYPILSMMARDVLGTPMSTVAQESAFNAGGRVLDSCRSSLTADTRQALICTRDWLWMQSDDPSPSSSHYALPLYVEAN >EOY13526 pep chromosome:Theobroma_cacao_20110822:7:9057118:9062015:1 gene:TCM_032128 transcript:EOY13526 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain isoform 1 MEVANESAIKKPKRLTSVVWNHFERVRKADVCYAVCVHCNKKLSGSSNSGTTHLRNHLMRCLKRSNYDVSQLLAAKRRKKDNTLTIANISYDEGQRKEDYIKPTIVKYEQDQRKDEVFNLGSSRFDQERSRLDLARMIILHGYPLAMVEHVGFKVFVKNLQPLFDLVPNSTIELFCMEIYGKEKQKVYDMLSKLQGRINLAVEMWSSPENSNYLCLTAHYIDDDWKLQKKILNFVTLDSSHTEDLLSEVIMKCLMDWDIECKLFAMTFDDCSTNDDIVLRIKEQISENRPRLSNGQLLDVRSAAHILNSLVQDAVEALQVVIQKIRGSVRYVKSSQSIQGKFNEIAQQTGIISQKSLVLDCPIRWNSTYVMLETAVEYRNAFCHLPELDPDLALSDDEWEWASSVTGYLKLFIEIINVFSGNKCPTANIYFPEICHVHIQLIEWCKSPDNFLSSLAAKMKAKFDKYWSKCSLALAVAAILDPRFKMKLVEYYYSQIYGSTALERIKEVSDGIKELFNAYSICSTLIDEGTALPGSSLPSSSNDSRDRLKGFDKFLHETAQSQSAISDLEKYLEEAVFPRNCDFNILNWWRVHTPRYPILSMMARDVLGTPMSTVAQESAFNAGGRVLDSCRSSLTADTRQALICTRDWLWMQSDGACIIFDLFAQSFLIHYIYLTISYSYYCNRPQSIF >EOY13524 pep chromosome:Theobroma_cacao_20110822:7:9056862:9070839:1 gene:TCM_032128 transcript:EOY13524 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain isoform 1 MEVANESAIKKPKRLTSVVWNHFERVRKADVCYAVCVHCNKKLSGSSNSGTTHLRNHLMRCLKRSNYDVSQLLAAKRRKKDNTLTIANISYDEGQRKEDYIKPTIVKYEQDQRKDEVFNLGSSRFDQERSRLDLARMIILHGYPLAMVEHVGFKVFVKNLQPLFDLVPNSTIELFCMEIYGKEKQKVYDMLSKLQGRINLAVEMWSSPENSNYLCLTAHYIDDDWKLQKKILNFVTLDSSHTEDLLSEVIMKCLMDWDIECKLFAMTFDDCSTNDDIVLRIKEQISENRPRLSNGQLLDVRSAAHILNSLVQDAVEALQVVIQKIRGSVRYVKSSQSIQGKFNEIAQQTGIISQKSLVLDCPIRWNSTYVMLETAVEYRNAFCHLPELDPDLALSDDEWEWASSVTGYLKLFIEIINVFSGNKCPTANIYFPEICHVHIQLIEWCKSPDNFLSSLAAKMKAKFDKYWSKCSLALAVAAILDPRFKMKLVEYYYSQIYGSTALERIKEVSDGIKELFNAYSICSTLIDEGTALPGSSLPSSSNDSRDRLKGFDKFLHETAQSQSAISDLEKYLEEAVFPRNCDFNILNWWRVHTPRYPILSMMARDVLGTPMSTVAQESAFNAGGRVLDSCRSSLTADTRQALICTRDWLWMQSDDPSPSSSHYALPLYVEAN >EOY13527 pep chromosome:Theobroma_cacao_20110822:7:9057118:9062015:1 gene:TCM_032128 transcript:EOY13527 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain isoform 1 MEVANESAIKKPKRLTSVVWNHFERVRKADVCYAVCVHCNKKLSGSSNSGTTHLRNHLMRCLKRSNYDVSQLLAAKRRKKDNTLTIANISYDEGQRKEDYIKPTIVKYEQDQRKDEVFNLGSSRFDQERSRLDLARMIILHGYPLAMVEHVGFKVFVKNLQPLFDLVPNSTIELFCMEIYGKEKQKVYDMLSKLQGRINLAVEMWSSPENSNYLCLTAHYIDDDWKLQKKILNFVTLDSSHTEDLLSEVIMKCLMDWDIECKLFAMTFDDCSTNDDIVLRIKEQISENRPRLSNGQLLDVRSAAHILNSLVQDAVEALQVVIQKIRGSVRYVKSSQSIQGKFNEIAQQTGIISQKSLVLDCPIRWNSTYVMLETAVEYRNAFCHLPELDPDLALSDDEWEWASSVTGYLKLFIEIINVFSGNKCPTANIYFPEICHVHIQLIEWCKSPDNFLSSLAAKMKAKFDKYWSKCSLALAVAAILDPRFKMKLVEYYYSQIYGSTALERIKEVSDGIKELFNAYSICSTLIDEGTALPGSSLPSSSNDSRDRLKGFDKFLHETAQSQSAISDLEKYLEEAVFPRNCDFNILNWWRVHTPRYPILSMMARDVLGTPMSTVAQESAFNAGGRVLDSCRSLCICRCG >EOY13528 pep chromosome:Theobroma_cacao_20110822:7:9057093:9061619:1 gene:TCM_032128 transcript:EOY13528 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain isoform 1 MEVANESAIKKPKRLTSVVWNHFERVRKADVCYAVCVHCNKKLSGSSNSGTTHLRNHLMRCLKRSNYDVSQLLAAKRRKKDNTLTIANISYDEGQRKEDYIKPTIVKYEQDQRKDEVFNLGSSRFDQERSRLDLARMIILHGYPLAMVEHVGFKVFVKNLQPLFDLVPNSTIELFCMEIYGKEKQKVYDMLSKLQGRINLAVEMWSSPENSNYLCLTAHYIDDDWKLQKKILNFVTLDSSHTEDLLSEVIMKCLMDWDIECKLFAMTFDDCSTNDDIVLRIKEQISENRPRLSNGQLLDVRSAAHILNSLVQDAVEALQVVIQKIRGSVRYVKSSQSIQGKFNEIAQQTGIISQKSLVLDCPIRWNSTYVMLETAVEYRNAFCHLPELDPDLALSDDEWEWASSVTGYLKLFIEIINVFSGNKCPTANIYFPEICHVHIQLIEWCKSPDNFLSSLAAKMKAKFDKYWSKCSLALAVAAILDPRFKMKLVEYYYSQIYGSTALERIKEVSDGIKELFNAYSICSTLIDEGTALPGSSLPSSSNDSRDRLKGFDKFLHETAQSQSAISDLEKYLEEAVFPRNCDFNILNWWRVHTPRYPILSMMARDVLGTPMSTVAQESAFNAGGRVLDSCRSSLTADTRQALICTRDWLWMQSDGACIIFDLFAQSFLIHYIYLTISYSYYCNRPQSIF >EOY12429 pep chromosome:Theobroma_cacao_20110822:7:2213890:2216122:1 gene:TCM_030948 transcript:EOY12429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MNTIITIVLFLIPIFLLLTRRKRPSERLPPGSLGLPIIGQSLGLLRAMRTNTAEEWLQKRIRKYGPISKMSLFGKPSVFIYGQTANKFVFTSDSSTIVNQQVKSITAILGDRCILELIGEDHKRVRDALVSFLKPESLKEFVGKMDEEVRKHLEMHWHGKQQVKVLPLMKTLTFNIICSLLFGLERGTRRDKLVDDFQSMIEGMWSVPVNLPFTRYNRSLQASARAQKMLKGLIGEKRVDLEQKGASPRQDLITCLLSIRNERNEAVISEKEIIHNVMLIMVAGHDTSSVLLTFLVRLLANDPAVYAAVLQEQEEIAKSKPDGELLTWEDLAKMKYTWKVAMETLRLFPPIFGGFRKAVKDIEYGGYLIPKDWQIFWVTGITHMDDSIFLEPSKFDPTRFENPASIPPYCFIPFGGGPRICPGYEFARVETLVSVHYLVTRFTWTLLCSDNFFSRDPMPAPTKGLPVQLSPRKLL >EOY12136 pep chromosome:Theobroma_cacao_20110822:7:1113530:1114363:1 gene:TCM_030732 transcript:EOY12136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEASLCASLPLKLPLISTPTVTKYPNPTVKFTCNRTCKTLTGKNQWLMTTKVSAASIHDVSAVADPARVDITWQIVVGAIGIEFSKRIIAQRRCEECGGSGLVFRDNDYFKCPGCGGFLPWQSWK >EOY12137 pep chromosome:Theobroma_cacao_20110822:7:1113530:1114833:1 gene:TCM_030732 transcript:EOY12137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEASLCASLPLKLPLISTPTVTKYPNPTVKFTCNRTCKTLTGKNQWLMTTKVSAASIHDVSAVADPARVDITWQIVVGAMVGFFHGSHGKDSSLVKAPLNSSLSVTRLPIGYCSVFRHVVESVCKEVENLKAK >EOY12135 pep chromosome:Theobroma_cacao_20110822:7:1113545:1114686:1 gene:TCM_030732 transcript:EOY12135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEASLCASLPLKLPLISTPTVTKYPNPTVKFTCNRTCKTLTGKNQWLMTTKVSAASIHDVSAVADPARVDITWQIVVGAIAGVTPFIVAGIEFSKRIIAQRRCEECGGSGLVFRDNDYFKCPGCGGFLPWQSWKRFFTG >EOY12939 pep chromosome:Theobroma_cacao_20110822:7:4907319:4911866:-1 gene:TCM_031440 transcript:EOY12939 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MFNFGRLKLVNEMNQNKTQNTKVFLLSLTNKIYQEEQGAHNRLPKTIRLDSQITNRNQSHLAESPSKALSRLLETEKESFSRTEPTQHCKAGSSGTGLLRKGAANLRKPTGQNPSPIAGYANLYGEHCVRGNLFGVASINFIQGEAMAEMVETEERKCAMSWGGYVEELKKASRIAAPMVAVTVLQYLLQVVSVIMVGHLGQLSLASVAIATSITNVTGFSLLSGMAGGLETLCGQAYGSRQYKKLGVYTYSAVISLILVCPPICILWIFMDKLFPLVGQDTLISRKARQYSLWLIPALFASAILKPLTRYLQTQSLILPMLLTAFFILCFHVPVCWTLVFKLDLGDLGAAIAFSLSTWLNVILLGIYVKYSSSCEKTRSPLSKDAFLGVSEFFRLGVPAAIMVCLKWWSMELLTLLSGLLPNPKLETSVLSICLTLSTLHFTIPYGFGAATSTRVSNELGAGNPELARMAVKVGMSMATTEAVIVSTALFFSRHIIGYAYCKEKPVVHEVAVMAPLLCISLVTDSMQVVLSGVAKGCGWQHIGAYVNLGAFYLIGLPVGIMLGFVANLKGRGLWIGIVAGSIVQTILLSLFAIFTNWKKQVAKAKERIGRSSMGN >EOY12589 pep chromosome:Theobroma_cacao_20110822:7:2923928:2926590:1 gene:TCM_031105 transcript:EOY12589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein MPSSGKHLFHCLYSSWRRKKETNENSPAWKRLNQRFTLRELRTITNNFNIARRVDDFSSDDFSFSVYRGYINDSYKDLVAIKVFKQKASDHQRFLTEMELLSNLRHANIVSLIGYCCDGSDNIIVHEYTPHGTLEDHLLNTNNVNPPLSWKQRLQICIGVARGLEFLHAANPSIVHRDIKCKNILVNKYLVAKLSNFDLSKLISTSLSESDNHVTTALVGTWGYVDPEYMWAGRLTVKSDVYSFGVVLFEVLCAKTVLELVQREQISLAEHGRRCVEDGLVDQIIDPCLKGEIAPKSLKAYTNIAYNCLNERGNERPTMADVAKKLELTLLLQECTEADIPFSPSWLRSIPWPVEGRESPTESQDEEFSHYEQWRIYNEAFDGTN >EOY13182 pep chromosome:Theobroma_cacao_20110822:7:6420192:6424656:-1 gene:TCM_031709 transcript:EOY13182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLVIAKELLIANSHGFRMVGFVIGNFSPVIHPLQYRCCKKNSLQKLTENLSDAAAATIVPLGKPPRPPVREGSGPHINPLPPPPPPSAAIDSLHKPPKPPVRKGSGPLIYPLPLPPPPLPSVLM >EOY13637 pep chromosome:Theobroma_cacao_20110822:7:9677710:9678100:1 gene:TCM_032260 transcript:EOY13637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEFVPEKKSMVVADVIPVMTKITEHKLNGSNYLDLSKIVQVYLKSIDKDDHITNDPPTDNTRQTWMRKDARLFLQV >EOY14315 pep chromosome:Theobroma_cacao_20110822:7:23956553:23976941:-1 gene:TCM_033691 transcript:EOY14315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane ABC transporter family, PMP family isoform 2 MIAAAAQAVLYSHTHSSSSSSSISFPWKYSHTHLRRRAQPVLFISRRLNSLNVRCCASQSNTINKDDCERQQRKAPDLNTLFNRFWKVAAPYWFSDDKVQARLQLASVFALTLATTGISVGFNFLGRDFYNALANKDEEQFTKQLVYYLCGFAGGIPFFVLRDYAREILSLRWRSWMTSYYMQRYLSDRTFYKIQSQSIIDNPDQRIVDDLSSFTSTALAFSLTLFNAAVDLISFSNILYAIYPPLFVVLLLYSIGGTAISVFLGKGLVNLNFLQEKKEADFRYGLVRVRENAESIAFYGGEDNELQLLLQRFTSAFENLTQLLISSRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEFDDVLDSSRSNSPSDPMEQINLTYSYVKGSQVLDSNGSVPANTSLRLLDVENLTLKTPKNNSTLVKDLSLVINEKDHLLVMGPSGSGKTSLLRALAGLWSTGKGKITFYVEDGGDVQPPTSSDVASLEVNSANINKEFGRPINSNSRSVFFLPQRPYMVLGTLRQQLLYPTWAEQAILTSDDTKPGGSLPFLTRAPNSENVGANCSMPIPTTDDLTQILEDVRLGYILSRFKGLDSVYEWSSVLSLGEQQRLAFARLLLSKPKLVLLDESTSALDEINEAHLYEKINTAGITYISIGHRSTLYAYHGRVLRISTAGAESEGCNWNIESIHHDTLTSEHLQL >EOY14317 pep chromosome:Theobroma_cacao_20110822:7:23956798:23965222:-1 gene:TCM_033691 transcript:EOY14317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane ABC transporter family, PMP family isoform 2 MRLQFFVLRDYAREILSLRWRSWMTSYYMQRYLSDRTFYKIQSQSIIDNPDQRIVDDLSSFTSTALAFSLTLFNAAVDLISFSNILYAIYPPLFVVLLLYSIGGTAISVFLGKGLVNLNFLQEKKEADFRYGLVRVRENAESIAFYGGEDNELQLLLQRFTSAFENLTQLLISSRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEFDDVLDSSRSNSPSDPMEQINLTYSYVKGSQVLDSNGSVPANTSLRLLDVENLTLKTPKNNSTLVKDLSLVINEKDHLLVMGPSGSGKTSLLRALAGLWSTGKGKITFYVEDGGDVQPPTSSDVASLEVNSANINKEFGRPINSNSRSVFFLPQRPYMVLGTLRQQLLYPTWAEQAILTSDDTKPGGSLPFLTRAPNSENVGANCSMPIPTTDDLTQILEDVRLGYILSRFKGLDSVYEWSSVLSLGEQQRLAFARLLLSKPKLVLLDESTSALDEINEAHLYEKINTAGITYISIGHRSTLYAYHGRVLRISTAGAESEGCNWNIESIHHDTLTSEHLQL >EOY14316 pep chromosome:Theobroma_cacao_20110822:7:23956834:23964741:-1 gene:TCM_033691 transcript:EOY14316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane ABC transporter family, PMP family isoform 2 MTSYYMQRYLSDRTFYKIQSQSIIDNPDQRIVDDLSSFTSTALAFSLTLFNAAVDLISFSNILYAIYPPLFVVLLLYSIGGTAISVFLGKGLVNLNFLQEKKEADFRYGLVRVRENAESIAFYGGEDNELQLLLQRFTSAFENLTQLLISSRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEFDDVLDSSRSNSPSDPMEQINLTYSYVKGSQVLDSNGSVPANTSLRLLDVENLTLKTPKNNSTLVKDLSLVINEKDHLLVMGPSGSGKTSLLRALAGLWSTGKGKITFYVEDGGDVQPPTSSDVASLEVNSANINKEFGRPINSNSRSVFFLPQRPYMVLGTLRQQLLYPTWAEQAILTSDDTKPGGSLPFLTRAPNSENVGANCSMPIPTTDDLTQILEDVRLGYILSRFKGLDSVYEWSSVLSLGEQQRLAFARLLLSKPKLVLLDESTSALDEINEAHLYEKINTAGITYISIGHRSTLYAYHGRVLRISTAGAESEGCNWNIESIHHDTLTSEHLQL >EOY14118 pep chromosome:Theobroma_cacao_20110822:7:21057374:21060209:1 gene:TCM_033381 transcript:EOY14118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYHLRVGTEMPPKMRTASRGIRRFNTPNDVTEGPCASFSRSSGRGGPRGRIIWPQGSQSSSERRAGTSFGDTGSDYPKVPTATLEEIAAGFFEDSDYQPYEEIDRGNVMVTLGEFMKLKPPSFLGAKSTEDSQVFLDEMDKICTALDCSSCRAVELTGFRLTEAFMDRFLPESVKDTKAQEFETLMQTERERIKRKIEVGHTEIGVERERSKRNRGEESSRYKDHSRGKDVNIAGQQGRRDGNLLRGSTFSNPPNQRRNFQFRSPPRSSDFSGVNYIRAMSNGMTNSNPRQSGSGSFLHFL >EOY13964 pep chromosome:Theobroma_cacao_20110822:7:15672609:15687478:-1 gene:TCM_032895 transcript:EOY13964 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MDSTERGILRITGGSTEAQREEEEEISKLFLQLKPCCLELLELSQNPKNQSSAIPALLHLLRSSPPSSLQPFFDYTLFPLLLLLDAAVNCRSSSKKIESNNTYIRVSDKVAEGVVECLEELCKKCHLGSVDQMVVILKKLTYAALLSPSEASEEFREGVIKCFRALLLSLHRCSSQSCLCKQSLDLPMLLETRDMQTPTGTLKHGLEQGECLLAFLQSEAASPAVGHWLSLLLKAADTEATRGHRGSANLRIEAFLTLRVLVAKVGTADALAFFLPGVISQFSKVLHISKTIISGAAGSVEAIDQAIRGLAEYLMIVLQDDANLSGLDMYIDTSVGHNSRNCKSTTSFLEELRQLPSKAQSKTLVENINGEAVNIVSLKTESGEKGSPDLGKGMGSLHVDRTKEWIEKTSEHVNKLLCAIFPYICVHQAKKVRHGLLASIQGLLLKCNFTLEKSKVMFLECLFVLVVDESEEFSAAAQEFMEYLFSASGKHRIEHDVAVIFSRLIEKLPTMVLGSDELLAVSHAQQLLTVIYYSGPQFLLDHLQSPVTAARFLDVFALCLSQNSAFTGSLNKLVSTRPSSIGYLPSVAELRGLHVVGDCQVLHNAASSNSSKLMDIHEIGKQHTAEDKYFELPRMPPWFVYVGGQKLYQALAGILRLVGLSLMADYKNEGHLSVVADIPLGYLRKLVSEVRRKEYNKESWQSWYDRTGSGQLLRQASTAVCILNEMIFGLSDQALDVFRRIFQKSRIKRVESDEASAGGQTHKLKATLFDESVWEIAPQKGARTHFIDCIGKILHEYLCSEVWDLPVDHQTSLMQSDAEVKDITLYFFRDIAMLHQVIIDGIGIFALSLGSDFASSGFLHSSLYLLLENLICSNFEVRTGSDAVLHLLSTTSGHSTVAQLVLANADYIVDSICRQLRHLDLNPHVPNVLAAMLSYIGVGYKILPLLEEPMRSVSQELEILGRHKHPDLTVPFLKAVSEIVKASKREAFPLPSQAYRDLMHVKSKISEREKKVRPEFRQGSMSGFTDEIDGSLLESEQWENILFKLNDSKRYRQTVGSIAGSCLTAAAPLLASMSQAVCLVALDIVEDGVATLAKVEEAYRHEKETKEAIEELLESCSLYQLKDTMSAADDSTVENRLLPAMNKIWPLLVVCVQQRNTVVVRRCLSAVSSVVQICGGDFFSRRFHTDGAHFWKLLSTSPFQKKPNLKERTPLRLPYRSGSVSSEDSVAETSNLKVQVALLNMIADLSQNKASASALEVVMKKVSGLVVGIACSGVIRLHDASVNAIKGLASIDPDLIWLLLADVYYSLKKKDLPSPPTSDFPGISLTLPPPSSYKEFLYVQYGGQSYGFDLDYSSVETVFKKLQTLVFSDQIYS >EOY13963 pep chromosome:Theobroma_cacao_20110822:7:15672543:15687561:-1 gene:TCM_032895 transcript:EOY13963 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MDSTERGILRITGGSTEAQREEEEEISKLFLQLKPCCLELLELSQNPKNQSSAIPALLHLLRSSPPSSLQPFFDYTLFPLLLLLDAAVNCRSSSKKIESNNTYIRVSDKVAEGVVECLEELCKKCHLGSVDQMVVILKKLTYAALLSPSEASEEFREGVIKCFRALLLSLHRCSSQSCLCKQSLDLPMLLETRDMQTPTGTLKHGLEQGECLLAFLQSEAASPAVGHWLSLLLKAADTEATRGHRGSANLRIEAFLTLRVLVAKVGTADALAFFLPGVISQFSKVLHISKTIISGAAGSVEAIDQAIRGLAEYLMIVLQDDANLSGLDMYIDTSVGHNSRNCKSTTSFLEELRQLPSKAQSKTLVENINGEAVNIVSLKTESGEKGSPDLGKGMGSLHVDRTKEWIEKTSEHVNKLLCAIFPYICVHQAKKVRHGLLASIQGLLLKCNFTLEKSKVMFLECLFVLVVDESEEFSAAAQEFMEYLFSASGKHRIEHDVAVIFSRLIEKLPTMVLGSDELLAVSHAQQLLTVIYYSGPQFLLDHLQSPVTAARFLDVFALCLSQNSAFTGSLNKLVSTRPSSIGYLPSVAELRGLHVVGDCQVLHNAASSNSSKLMDIHEIGKQHTAEDKYFELPRMPPWFVYVGGQKLYQALAGILRLVGLSLMADYKNEGHLSVVADIPLGYLRKLVSEVRRKEYNKESWQSWYDRTGSGQLLRQASTAVCILNEMIFGLSDQALDVFRRIFQKSRIKRVESDEASAGGQTHKLKATLFDESVWEIAPQKGARTHFIDCIGKILHEYLCSEVWDLPVDHQTSLMQSDAEVIIDGIGIFALSLGSDFASSGFLHSSLYLLLENLICSNFEVRTGSDAVLHLLSTTSGHSTVAQLVLANADYIVDSICRQLRHLDLNPHVPNVLAAMLSYIGVGYKILPLLEEPMRSVSQELEILGRHKHPDLTVPFLKAVSEIVKASKREAFPLPSQAYRDLMHVKSKISEREKKVRPEFRQGSMSGFTDEIDGSLLESEQWENILFKLNDSKRYRQTVGSIAGSCLTAAAPLLASMSQAVCLVALDIVEDGVATLAKVEEAYRHEKETKEAIEELLESCSLYQLKDTMSAADDSTVENRLLPAMNKIWPLLVVCVQQRNTVVVRRCLSAVSSVVQICGGDFFSRRFHTDGAHFWKLLSTSPFQKKPNLKERTPLRLPYRSGSVSSEDSVAETSNLKVQVALLNMIADLSQNKASASALEVVMKKVSGLVVGIACSGVIRLHDASVNAIKGLASIDPDLIWLLLADVYYSLKKKDLPSPPTSDFPGISLTLPPPSSYKEFLYVQYGGQSYGFDLDYSSVETVFKKLQTLVFSDQIYS >EOY13540 pep chromosome:Theobroma_cacao_20110822:7:9139793:9149746:1 gene:TCM_032144 transcript:EOY13540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAD10662.1, putative isoform 1 MSGRNRGPPTLPMKGPPHGGLLPPVHEPPFARGLGPMPPHPALFEEIRETQFGLGPRGLPPHPAIFEERLAAQLQEIQGLLADNQRLAATHVALKQELEAAQHELQRMAHYVDSLRVEKDVQMREMYEKSVQLEVDLRGAEAMRAELVKVNADIKQLNAVRQDLTGQVQVMSQDLARFMTELQQAPALKAEIENVKQELQRARAAIEYEKKGYAENYEHGQVMEKKLISMARELEKLRAEIANAEKRTRAAGGSNPAVAGYNANYGNPEAGYTGNTYPVNYGMNPVQGGVDGYPQYGPAAGSWGAYDMQRAQGHR >EOY13544 pep chromosome:Theobroma_cacao_20110822:7:9139994:9142533:1 gene:TCM_032144 transcript:EOY13544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAD10662.1, putative isoform 1 MSGRNRGPPTLPMKGPPHGGLLPPVHEPPFARGLGPMPPHPALFEEIRETQFGLGPRGLPPHPAIFEERLAAQLQEIQGLLADNQRLAATHVALKQELEAAQHELQRMAHYVDSLRVEKDVQMREMYEKSVQLEVDLRGAEAMRAELVKVNADIKQLNAVRQDLTGQVQVMSQDLARFMTELQQAPALKAEIENVKQELQRARAAIEYEKKGYAENYEHGQVMEKKLISMARELEKLRAEIANAEKRTRAAGGSNPVAGYNANYGNPEAGYTGNTYPVNYGMNPVQGGVDGYPQYGPAAGSWGAYDMQRAQGHR >EOY13545 pep chromosome:Theobroma_cacao_20110822:7:9140142:9142553:1 gene:TCM_032144 transcript:EOY13545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAD10662.1, putative isoform 1 MSGRNRGPPTLPMKGPPHGGLLPPVHEPPFARGLGPMPPHPALFEEIRETQFGLGPRGLPPHPAIFEERLAAQLQEIQGLLADNQRLAATHVALKQELEAAQHELQRMAHYVDSLRVEKDVQMREMYEKSVQLEVDLRGAEAMRAELVKVNADIKQLNAVRQDLTGQVQVMSQDLARFMTELQQAPALKAEIENVKQELQRARAAIEYEKKGYAENYEHGQVMEKKLISMARELEKLRAEIANAEKRTRAAGGSNPAVAGYNANYGNPEAGYTGNTYPVNYGMNPSRWCGWLPSVWSCCRFLGCI >EOY13542 pep chromosome:Theobroma_cacao_20110822:7:9140640:9147437:1 gene:TCM_032144 transcript:EOY13542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAD10662.1, putative isoform 1 PALFEEIRETQFGLGPRGLPPHPAIFEERLAAQLQEIQGLLADNQRLAATHVALKQELEAAQHELQRMAHYVDSLRVEKDVQMREMYEKSVQLEVDLRGAEAMRAELVKVNADIKQLNAVRQDLTGQVQVMSQDLARFMTELQQAPALKAEIENVKQELQRARAAIEYEKKGYAENYEHGQVMEKKLISMARELEKLRAEIANAEKRTRAAGGSNPAVAGYNANYGNPEAGYTGNTYPVNYGMNPVQGGVDGYPQYGPAAGSWGAYDMQRAQGHR >EOY13543 pep chromosome:Theobroma_cacao_20110822:7:9140157:9147437:1 gene:TCM_032144 transcript:EOY13543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAD10662.1, putative isoform 1 MSGRNRGPPTLPMKGPPHGGLLPPVHEPPFARGLGPMPPHPALFEEIRETQFGLGPRGLPPHPAIFEERLAAQLQEIQGLLADNQRLAATHVALKQELEAAQHELQRMAHYVDSLRVEKDVQMREMYEKSVQLEVDLRGAEAMRAELVKVNADIKQLNAVRQDLTGQVQVMSQDLARFMTELQQAPALKAEIENVKQELQRARAAIEYEKKGYAENYEHGQVMEKKLISMARELEKLRAEIANAEKRTRAAGGSNPAVAGYNANYGNPEAGYTGNTYPVNYGMNPVQGGVDGYPQYGPAAGSWGAYDMQRAQGHR >EOY13541 pep chromosome:Theobroma_cacao_20110822:7:9139901:9142533:1 gene:TCM_032144 transcript:EOY13541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAD10662.1, putative isoform 1 MSGRNRGPPTLPMKGPPHGGLLPPVHEPPFARGLGPMPPHPALFEEIRETQFGLGPRGLPPHPAIFEERLAAQLQEIQGLLADNQRLAATHVALKQELEAAQHELQRMAHYVDSLRVEKDVQMREMYEKSVQLEVDLRGAEAMRAELVKVNADIKQLNAVRQDLTGQVQVMSQDLARFMTELQQAPALKAEIENVKQELQRARAAIEYEKKGYAENYEHGQVMEKKLISMARELEKLRAEIANAEKRTRAAGGSNPAVAGYNANYGNPEAGYTGNTYPVNYGMNPVQGGVDGYPQYGPAAGSWGAYDMQRAQGHR >EOY12498 pep chromosome:Theobroma_cacao_20110822:7:2369185:2377237:-1 gene:TCM_030987 transcript:EOY12498 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI superfamily protein MKSEIQKICEVHDDDSGKDNMESETRNRCEVQQDDDLGKDIISELPDELLHHILSCLPTKDIVRTSAFSTRWRWLWTFFTIVSVDFGAEDEDENDSASFLDLIQNKLLIPDTARIRKFQLLLDNCYWNTTYGITIAIATLQRLAIYHYYSSSNNSLKIRCPNLQFLNFWSCSFFNLVLHLPSLIKAHVEIMPRGNLYQQIRLARSTLNLLERTRSVKSLRLSSETLKDDHSTQSLLSACPVLKELYLDNCDWSKRTEITISISTLLALTTIFFDDNPPDISIGICTPNLLKFYSTSSLQVELIPCDLSSVIRAEIDVFGWLSYDQRFRVQAAHRTLKLLERIRGVKFLELSYETFQKRLENMDSIILAIKSLTLSTKSETQNRFQVQHDDFGEDIISQLPDELLLHILSFLPTKDIVSTSALSTRWRYLWTFFNIVSFDFDAYKEKKECSSFLDSVKRELLMIPDTARIQKFRLHSTFAVSSSQILASMSIVSKIVKHKVEELDLSIPCMESNLFSLPHSLCTSESLTSLTLHMTEAALNSFPTSICFPRLKSLNLDCIEFQDEHSAQLLLSSCPVLEELYLDNCDWIKRTEIKISIPTLLTLSISLEYNGPPDISIRICTPNLLNFFYTSFSQVELVTCDLSSVIRAEVDVFGWLTYDQRVQVAHRTLKLLEGIRGVKYLELSNRTLQAISFAENFQAVHLPTFYNLTHLNVSFVLSTRGGAALKHVLRKSPNLYSLHFFQGFDLDILGEENHLLDNLIPISFKNLKNVKEVPFLNIYSGMLKLWSGFQSMAQIICQRTWKRKSKM >EOY13835 pep chromosome:Theobroma_cacao_20110822:7:11253404:11255410:1 gene:TCM_032490 transcript:EOY13835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSYMSRNTNNPSSSSSSSSRFGGQDGVVEALHLLAWTASGFDWYVLNLENGVISQTLSRMPPKARRGSTAVACGNQIFVLGGACGRDPTCPDGKIHEIHLHDYVFYFDYQHPENGWREASSMLLQRMKPSAVTLDGKIYVFGGSAVGRFAEVLDIGQNSRALLRPPYASNIDPVSVSYPVLLDSSRSRILVHFACNNSLYAYNVNDKSWHCLNENFGKWSFAAVIVDDVLYGLVDSREDLYCFSETECSLRGYDVVENKRLPAKWLPEFQVCVPNHADLFHLGNGNLCLAWYSELEMHFYYIKFNVCKNSGEVHATGESNSVTCVEFQTSYCQISLLELKV >EOY13833 pep chromosome:Theobroma_cacao_20110822:7:11253404:11256392:1 gene:TCM_032490 transcript:EOY13833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSYMSRNTNNPSSSSSSSSRFGGQDGVVEALHLLAWTASGFDWYVLNLENGVISQTLSRMPPKARRGSTAVACGNQIFVLGGACGRDPTCPDGKIHEIHLHDYVFYFDYQHPENGWREASSMLLQRMKPSAVTLDGKIYVFGGSAVGRFAEVLDIGQNSRALLRPPYASNIDPVSVSYPVLLDSSRSRILVHFACNNSLYAYNVNDKSWHCLNENFGKWSFAAVIVDDVLYGLVDSREDLYCFSETECSLRGYDVVENKRLPAKWLPEFQVCVPNHADLFHLGNGNLCLAWYSELEMHFYYIKFNVCKNSGEVHATGESNSVTCVEFQTSYCQISLLELKGSMEKNLGV >EOY13834 pep chromosome:Theobroma_cacao_20110822:7:11253404:11256375:1 gene:TCM_032490 transcript:EOY13834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSYMSRNTNNPSSSSSSSSRFGGQDGVVEALHLLAWTASGFDWYVLNLENGVISQTLSRMPPKARRGSTAVACGNQIFVLGGACGRDPTCPDGKIHEIHLHDYVFYFDYQHPENGWREASSMLLQRMKPSAVTLDGKIYVFGGSAVGRFAEVLDIGQNSRALLRPPYASNIDPVSVSYPVLLDSSRSRILVHFACNNSLYAYNVNDKSWHCLNENFGKWSFAAVIVDDVLYGLVDSREDLYCFSETECSLRGYDVVENKRLPAKWLPEFQVCVPNHADLFHLGNGNLCLAWYSELEMHFYYIKFNVCKNSGEVHATGESNSVTCVEFQTSYCQISLLELKGSMEKNLGV >EOY13836 pep chromosome:Theobroma_cacao_20110822:7:11253404:11255410:1 gene:TCM_032490 transcript:EOY13836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSYMSRNTNNPSSSSSSSSRFGGQDGVVEALHLLAWTASGFDWYVLNLENGVISQTLSRMPPKARRGSTAVACGNQIFVLGGACGRDPTCPDGKIHEIHLHDYVFYFDYQHPENGWREASSMLLQRMKPSAVTLDGKIYVFGGSAVGRFAEVLDIGQNSRALLRPPYASNIDPVSVSYPVLLDSSRSRILVHFACNNSLYAYNVNDKSWHCLNENFGKWSFAAVIVDDVLYGLVDSREDLYCFSETECSLRGYDVVENKRLPAKWLPEFQVCVPNHADLFHLGNGNLCLAWYSELEMHFYYIKFNVCKNSGEVHATGESNSVTCVEFQTSYCQISLLELKV >EOY13052 pep chromosome:Theobroma_cacao_20110822:7:5647452:5649724:1 gene:TCM_031571 transcript:EOY13052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 10 protein, putative MSKYKEEFIHWDVSNEMLHFDFYEQRLGPDATLHFYETAHQSDPLATLFMNEFNVVETCSDVKSTVDTYIERIRDLKQGGMYMDGIGLGAIYLEQVLREASSHPSVNGIMLWTALHPKGEIKGKQMSMAHTAFMVS >EOY14349 pep chromosome:Theobroma_cacao_20110822:7:24335686:24338138:1 gene:TCM_033741 transcript:EOY14349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQLKQIYARTHSNLMRIGLEIWACAQSQVRQHQLMTSNIAEYVNSCLKHARQMPITILIEFIRDMFQRWFHDRYKEAIQFEVKDQKMDGLVNLSRKTCSCYEFQTDLLPCSHAVTAISKCKCEAIEFCADDYKITFVGEGYARSIHPVGHRSDCDIPLHLKQIVVLHHLGKAKREDLGGKGFHQLVKAINHKDVHNARGQSTPPPGRRLKACSTFRETSHTCNSYPIRRTMFENVGCLVDKGNLSA >EOY12482 pep chromosome:Theobroma_cacao_20110822:7:2321105:2324234:-1 gene:TCM_030975 transcript:EOY12482 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein isoform 7 MVIILLFCGIYLTCFSQNVQANLHWNRMGRNSSNHSESPVGRRGSPHRESPSNRERSPVRHKTSRRGSSPVRERSPSRRRSSRRDNSLEREKRSGHTKSPKHASSQSPISCSLSPRTKRLRRAQAEKEVEKVKEREYERNHSRGSEKSTHRDRASGKERNSEREMGVDRKERKSGRDDTNSKSARGRHDRSVSPSDRHHRSRHRSRSPASNSRARDEVNNSRGAEQSRDDEYDSVSKMKAAEEALEAKQKQKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKEQPDGTLSKQVRTIPLNLNV >EOY12479 pep chromosome:Theobroma_cacao_20110822:7:2320075:2324866:-1 gene:TCM_030975 transcript:EOY12479 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein isoform 7 MGRNSSNHSESPVGRRGSPHRESPSNRERSPVRHKTSRRGSSPVRERSPSRRRSSRRDNSLEREKRSGHTKSPKHASSQSPISCSLSPRTKRLRRAQAEKEVEKVKEREYERNHSRGSEKSTHRDRASGKERNSEREMGVDRKERKSGRDDTNSKSARGRHDRSVSPSDRHHRSRHRSRSPASNSRARDEVNNSRGAEQSRDDEYDSVSKMKAAEEALEAKQKQKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKEQPDGTLSKQPRVCSASREFFPVRRTDMPPLSYESVCPAGSGNGNIFVINLFITKNKECYCSSHFSSFEIEELSCRTRWLGLIQFTLVHTMFCTHHFFVLKVASTYTSFIIQSPSFCYGT >EOY12481 pep chromosome:Theobroma_cacao_20110822:7:2319920:2324234:-1 gene:TCM_030975 transcript:EOY12481 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein isoform 7 MVIILLFCGIYLTCFSQNVQANLHWNRMGRNSSNHSESPVGRRGSPHRESPSNRERSPVRHKTSRRGSSPVRERSPSRRRSSRRDNSLEREKRSGHTKSPKHASSQSPISCSLSPRTKRLRRAQAEKEVEKVKEREYERNHSRGSEKSTHRDRASGKERNSEREMGVDRKERKSGRDDTNSKSARGRHDRSVSPSDRHHRSRHRSRSPASNSRARDEVNNSRGAEQRDDEYDSVSKMKAAEEALEAKQKQKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKEQPDGTLSKQPRVCSASREFFPVRRTDMPPLSYESVCPAGSGNGNIFVINLFITKNKECYCSSHFSSFEIEELSCRTRWLGLIQFTLVHTMFCTHHFFVLKVASTYTSFIIQSPSFCYGT >EOY12474 pep chromosome:Theobroma_cacao_20110822:7:2320076:2324869:-1 gene:TCM_030975 transcript:EOY12474 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein isoform 7 MGRNSSNHSESPVGRRGSPHRESPSNRERSPVRHKTSRRGSSPVRERSPSRRRSSRRDNSLEREKRSGHTKSPKHASSQSPISCSLSPRTKRLRRAQAEKEVEKVKEREYERNHSRGSEKSTHRDRASGKERNSEREMGVDRKERKSGRDDTNSKSARGRHDRSVSPSDRHHRSRHRSRSPASNSRARDEVNNSRGAEQRDDEYDSVSKMKAAEEALEAKQKQKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKEQPDGTLSKQVRPYIMDLGSTNKTFINDNPIEPQRYYELFEKDTIKFGNSSREYVLLHENSSQ >EOY12473 pep chromosome:Theobroma_cacao_20110822:7:2321240:2324039:-1 gene:TCM_030975 transcript:EOY12473 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein isoform 7 MGRNSSNHSESPVGRRGSPHRESPSNRERSPVRHKTSRRGSSPVRERSPSRRRSSRRDNSLEREKRSGHTKSPKHASSQSPISCSLSPRTKRLRRAQAEKEVEKVKEREYERNHSRGSEKSTHRDRASGKERNSEREMGVDRKERKSGRDDTNSKSARGRHDRSVSPSDRHHRSRHRSRSPASNSRARDEVNNSRGAEQRDDEYDSVSKMKAAEEALEAKQKQKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKEQPDGTLSKQVRALYNGPWKH >EOY12472 pep chromosome:Theobroma_cacao_20110822:7:2320485:2324039:-1 gene:TCM_030975 transcript:EOY12472 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein isoform 7 MGRNSSNHSESPVGRRGSPHRESPSNRERSPVRHKTSRRGSSPVRERSPSRRRSSRRDNSLEREKRSGHTKSPKHASSQSPISCSLSPRTKRLRRAQAEKEVEKVKEREYERNHSRGSEKSTHRDRASGKERNSEREMGVDRKERKSGRDDTNSKSARGRHDRSVSPSDRHHRSRHRSRSPASNSRARDEVNNSRGAEQSRDDEYDSVSKMKAAEEALEAKQKQKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKEQPDGTLSKQVRPYIMDLGSTNKTFINDNPIEPQRYYELFEKDTIKFGNSSREYVLLHENSSQ >EOY12476 pep chromosome:Theobroma_cacao_20110822:7:2320485:2324120:-1 gene:TCM_030975 transcript:EOY12476 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein isoform 7 MVIILLFCGIYLTCFSQNVQANLHWNRMGRNSSNHSESPVGRRGSPHRESPSNRERSPVRHKTSRRGSSPVRERSPSRRRSSRRDNSLEREKRSGHTKSPKHASSQSPISCSLSPRTKRLRRAQAEKEVEKVKEREYERNHSRGSEKSTHRDRASGKERNSEREMGVDRKERKSGRDDTNSKSARGRHDRSVSPSDRHHRSRHRSRSPASNSRARDEVNNSRGAEQRDDEYDSVSKMKAAEEALEAKQKQKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKEQPDGTLSKQVRPYIMDLGSTNKTFINDNPIEPQRYYELFEKDTIKFGNSSREYVLLHENSSQ >EOY12483 pep chromosome:Theobroma_cacao_20110822:7:2321101:2324234:-1 gene:TCM_030975 transcript:EOY12483 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein isoform 7 MVIILLFCGIYLTCFSQNVQANLHWNRMGRNSSNHSESPVGRRGSPHRESPSNRERSPVRHKTSRRGSSPVRERSPSRRRSSRRDNSLEREKRSGHTKSPKHASSQSPISCSLSPRTKRLRRAQAEKEVEKVKEREYERNHSRGSEKSTHRDRASGKERNSEREMGVDRKERKSGRDDTNSKSARGRHDRSVSPSDRHHRSRHRSRSPASNSRARDEVNNSRGAEQRDDEYDSVSKMKAAEEALEAKQKQKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKEQPDGTLSKQVRTIPLNLNVI >EOY12484 pep chromosome:Theobroma_cacao_20110822:7:2320496:2324234:-1 gene:TCM_030975 transcript:EOY12484 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein isoform 7 MVIILLFCGIYLTCFSQNVQANLHWNRMGRNSSNHSESPVGRRGSPHRESPSNRERSPVRHKTSRRGSSPVRERSPSRRRSSRRDNSLEREKRSGHTKSPKHASSQSPISCSLSPRTKRLRRAQAEKEVEKVKEREYERNHSRGSEKSTHRDRASGKERNSEREMGVDRKERKSGRDDTNSKSARGRHDRSVSPSDRHHRSRHRSRSPASNSRARDEVNNSRGAEQRDDEYDSVSKMKAAEEALEAKQKQKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKEQPDAESMFCFTRI >EOY12478 pep chromosome:Theobroma_cacao_20110822:7:2320496:2324234:-1 gene:TCM_030975 transcript:EOY12478 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein isoform 7 MVIILLFCGIYLTCFSQNVQANLHWNRMGRNSSNHSESPVGRRGSPHRESPSNRERSPVRHKTSRRGSSPVRERSPSRRRSSRRDNSLEREKRSGHTKSPKHASSQSPISCSLSPRTKRLRRAQAEKEVEKVKEREYERNHSRGSEKSTHRDRASGKERNSEREMGVDRKERKSGRDDTNSKSARGRHDRSVSPSDRHHRSRHRSRSPASNSRARDEVNNSRGAEQRDDEYDSVSKMKAAEEALEAKQKQKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKEQPDGTLSKQVRPYIMDLGSTNKTFINDNPIEPQRYYELFEKDTIKFAESMFCFTRI >EOY12475 pep chromosome:Theobroma_cacao_20110822:7:2320485:2324120:-1 gene:TCM_030975 transcript:EOY12475 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein isoform 7 MVIILLFCGIYLTCFSQNVQANLHWNRMGRNSSNHSESPVGRRGSPHRESPSNRERSPVRHKTSRRGSSPVRERSPSRRRSSRRDNSLEREKRSGHTKSPKHASSQSPISCSLSPRTKRLRRAQAEKEVEKVKEREYERNHSRGSEKSTHRDRASGKERNSEREMGVDRKERKSGRDDTNSKSARGRHDRSVSPSDRHHRSRHRSRSPASNSRARDEVNNSRGAEQSRDDEYDSVSKMKAAEEALEAKQKQKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKEQPDGTLSKQVRPYIMDLGSTNKTFINDNPIEPQRYYELFEKDTIKFGNSSREYVLLHENSSQ >EOY12480 pep chromosome:Theobroma_cacao_20110822:7:2319920:2324234:-1 gene:TCM_030975 transcript:EOY12480 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein isoform 7 MVIILLFCGIYLTCFSQNVQANLHWNRMGRNSSNHSESPVGRRGSPHRESPSNRERSPVRHKTSRRGSSPVRERSPSRRRSSRRDNSLEREKRSGHTKSPKHASSQSPISCSLSPRTKRLRRAQAEKEVEKVKEREYERNHSRGSEKSTHRDRASGKERNSEREMGVDRKERKSGRDDTNSKSARGRHDRSVSPSDRHHRSRHRSRSPASNSRARDEVNNSRGAEQSRDDEYDSVSKMKAAEEALEAKQKQKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKEQPDGTLSKQPRVCSASREFFPVRRTDMPPLSYESVCPAGSGNGNIFVINLFITKNKECYCSSHFSSFEIEELSCRTRWLGLIQFTLVHTMFCTHHFFVLKVASTYTSFIIQSPSFCYGT >EOY12477 pep chromosome:Theobroma_cacao_20110822:7:2320475:2324120:-1 gene:TCM_030975 transcript:EOY12477 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein isoform 7 MVIILLFCGIYLTCFSQNVQANLHWNRMGRNSSNHSESPVGRRGSPHRESPSNRERSPVRHKTSRRGSSPVRERSPSRRRSSRRDNSLEREKRSGHTKSPKHASSQSPISCSLSPRTKRLRRAQAEKEVEKVKEREYERNHSRGSEKSTHRDRASGKERNSEREMGVDRKERKSGRDDTNSKSARGRHDRSVSPSDRHHRSRHRSRSPASNSRARDEVNNSRGAEQSRDDEYDSVSKMKAAEEALEAKQKQKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGEVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKEQPDGTLSKQVRPYIMDLGSTNKTFINDNPIEPQRYYELFEKDTIKFAESMFCFTRILPSETY >EOY12732 pep chromosome:Theobroma_cacao_20110822:7:3867172:3867765:1 gene:TCM_031258 transcript:EOY12732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPEGVLTLSCCQLEAAKSARRCKRWKQPWRLCLLFLLVLHFERRYARHLVWFFRCVMFVPSVNMASRIRKFLWQGMYSSQGIDSAVFLQAS >EOY12713 pep chromosome:Theobroma_cacao_20110822:7:3627446:3631666:1 gene:TCM_046861 transcript:EOY12713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine kinases,protein kinases,ATP binding,sugar binding,kinases,carbohydrate binding, putative MEGLAVLLLCSFMLSNLSVSIAEGTLNTTQLIRDGETIVSVGGKFELGFFSPGSSTHRYLGIWYRNIPVQTVVWVANREVPLKDLSGVLKLTDQGILVLLNFYRSTVWSSNSSRPARSPVAQLLNSGNLIVKEKNENNPESYLWQSFDYPCDTFLQGMKLGRNLITGLDRYLSSWKSPNDPSNGNFTYRYEVGGFPEFVLREGSVVRFRPGPWNGLRFSGTPELKPNSLFTFGVVFNEKEVYFSYKLRNDSILSRLVLTQDGFWQRKNWIERTQAWEVYVTVQMDICDNYALCGAYGSCNKSNSPECSCLKGFEPKLPEKWDTKIWLNGCVRKTPLNCSSDEFIKYSGVKLPDSRQSWFNYSMNLEECKNICKRNCSCTAYANLDIRRGGSGCLLWFVDLVDIREFTENGQEIYIRVAASELDQTESFKSNEKGKMRTAVISMVPIAALILGLALILYLWRKARVKKPGLLASVPESSSNGKTHKEDLELPLFDLATISCATNNFSTTNKLGEGGFGPVYKGIMKDGQEIAVKRLSKSSRQGLDEFKNEVIHIAKLQHRNLVKLLGCCIQGDEKMLIYEFMPNKSLDFFIFGMPFSSMGNVVQLLSLSAFAFQRFYIKTSMSNEMALICAKNSTDQSKSMSLDWHMRYHVINGIARGLLYLHQDSRQRIIHRDLKASNVLLDNEMNPKISDFGLARSFGEKETAANTKKVVGTYGYMAPEYAIDGLYSIKSDVFSFGVLVLEIVSGKRNRGFCHPDHQLNLLGHAWRLYSVGDSFELIASPIKETCNLSEVLRSIDVGLLCVQQSPKDRPSMCNVVQMLGSQGPLPQPKQPGFFTERDLVEFSSPSTKHKLFSSNDFTITQLEAR >EOY12519 pep chromosome:Theobroma_cacao_20110822:7:2521743:2529086:1 gene:TCM_031018 transcript:EOY12519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein MAETIPYRMVSNILSKLVWLAGQELGLIFGLKKDLEKLQETLSTIKAVLLDADEKQESDRALKNWILRLEDVVYDADDLLDEFDYEILRQKVLDRGQVSKFFSSSNPLAFGLKMRHRIKEIRERLNAVAADKSKFNLRERVVILDMKAKNTDRETASKVRPDLIIGREKDKEHIIESLLKEQNHGDSINIVAIVGFGGLGKTSLAQLVCADVKVKSYFDQEIWVRVSQEFDVSMIFKKILKSLIYNKVGDLDLDQVQTILDKKLRGKRYLLVLDDVWNENNLKWDDFSKYLVFGAPGSKILVTTRSKAVASTMGVCVPYLLEGLNEHQSWTLFNQVAFEGQGQIDLDFKVIGEDVARRCKGVPLTIKCLGALMRQKPNKNYWSFVQENGIWELLEKDDNVFPVLRLSYDHLPSHLKQCFAFCSLFSKDCIIYKDELIHSWRAHGYIQLREGNENIQQIGNEYFNDLLSRSFFQEEKKDDDGNICYTMHDLIHDFALSVAGPHFHWMKEEKEKISKGVRHVSLEKNSKNIVLTLLKTKRIRTMFFRTHIFDDLFIQNANFSSFNCLRMLNLSWMDIIILPNSIGELKHLRYLNLSGNKEMEVLPNAIAKLHNLQTLLLLDCWRLKELPRDIRQLISLEYLNIDSYNGLRCLPKGLRELTSLQRLDRFIVNSVSTAATLNELRDLNDLGNCLRIGELNLVRNVELECEEANLKKKKRLQSLQLYWGYSSEPRVASEKEESLLNILEPHPNLKELTVSDYGGARFASWLSSLTNLVKLDIDCCWNCQHLPPLDYLSTLKSLTLQFFNALEELPPLDHLPSLESLTLEKLDALEHVADSFPLPCSTPRKPFFPSLEKLKIRRCPNLKGWWRTKNENQGSIAELPCFPCLSELYIEKCPNLTSMPLFPSLDQDLTLEGTSMRPLQQTLKMKVTEASMTSEASSSSSSSSSLGSTCHSYSSTTLPLSNLKRLTLIDIKDLEALPEEFSQNLTSLARLILSDCPKCESLLPQKVSCLTSLQELGIENCPNLRVLPDWILNLTSLRTLHIEKCLELKSMLEGTHQLTSLQELYVLSCHNLSALPDWIPYLTSLKKLCICECIGLPYLQEGMHSLTSPLQLWIYNCPNLSSSLPFPKTLLVRGCPDLRSLPLKINPFPSLFPVPLKLRPFFPLQELNVQNCPNLRDLLDCIPKITHLKTLRICKSPDLQDLTGMDRLASLQLLSINECPQLSERCEKEIGILWPHIAHIPSIIIDGQQIQ >EOY11967 pep chromosome:Theobroma_cacao_20110822:7:479852:484636:1 gene:TCM_030602 transcript:EOY11967 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MQMNIKEESDEFRLPTKEELEAEEQRPPDLANLQMRIKEIVRVLSNFKDLRQEGTTRKDYINQLKMDLGSYYGYNEFLIGVLVDMFPVVELMELIEAFEKPRPTCIRTNTLRTRRRDLADVLSKRGVELDQLSKWSKVGLVVYNSHVPIGATPEYLAGFYYIQGASSFLPVMALAPQEKDRVVDMAAAPGGKTTYIAALMKNSGIIYANEIREARLKSLTANLHRMGVTNTIVCNYDGRELPKVLGQNSVDRVLLDAPCSGTGVITKDPSVKTSKSLEDIQKCAHLQKQLMLAAIDMVDANSKSGGYIVYSTCSMMVLENEAVIDYALKKRDVKVVPCGLDFGCPGYIRFREHRFHTSLENTRRFYPHVHNMDGFFVAKLKKMSNAKKNPVSSEDSETVEQTQGPEESRSEDNKKELEQHLKKPGTKGKKKSLKKNGSSQNGKVDSPPTSKKKEKTKNGKVESPATSKKRKRKFLSKEEISKAREEKRKALRENKKQARKEKA >EOY11968 pep chromosome:Theobroma_cacao_20110822:7:479584:484565:1 gene:TCM_030602 transcript:EOY11968 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MAANKKKGAKAKNPPPKKKQRNDLFTEKKRPTRKDEVFDDGSDSRSEEEEVEEAEDLLDGDEEVSDFDDASEISDDDDDAPLADDFLQGGDDNEDGGSGSSSGSGSDSDSDATDIEEKSRAIDEQRAREEEDAQAEMQMNIKEESDEFRLPTKEELEAEEQRPPDLANLQMRIKEIVRVLSNFKDLRQEGTTRKDYINQLKMDLGSYYGYNEFLIGVLVDMFPVVELMELIEAFEKPRPTCIRTNTLRTRRRDLADVLSKRGVELDQLSKWSKVGLVVYNSHVPIGATPEYLAGFYYIQGASSFLPVMALAPQEKDRVVDMAAAPGGKTTYIAALMKNSGIIYANEIREARLKSLTANLHRMGVTNTIVCNYDGRELPKVLGQNSVDRVLLDAPCSGTGVITKDPSVKTSKSLEDIQKCAHLQKQLMLAAIDMVDANSKSGGYIVYSTCSMMVLENEAVIDYALKKRDVKVVPCGLDFGCPGYIRFREHRFHTSLENTRRFYPHVHNMDGFFVAKLKKMSNAKKNPVSSEDSETVEQTQGPEESRSEDNKKELEQHLKKPGTKGKKKSLKKNGSSQNGKVDSPPTSKKKEKTKNGKVESPATSKKRKRKFLSKEEISKAREEKRKALRENKKQARKEKA >EOY11922 pep chromosome:Theobroma_cacao_20110822:7:364545:378732:1 gene:TCM_030573 transcript:EOY11922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein 1 isoform 1 MPQETKSNPIFTSPSKNLRGLKALVSNSVEASHTEDIFNDNELAQRKAEEAASRRYQAAEWLRQMDQGASESLPREPSEEEFCLALRNGLILCNVLNKVNPGAVLKVVENPIIPVQSTEGAAQSAIQYFENMRNFLVAVKDMQLLTFEASDVEKGGSMNKVVDCILCLKGYYEWKQSGGIGVWRYGGTVKITAFPKGSPPSLVGSESADDSLDGSESSQYEQLLEFLHLSNEVAIEESKTANALAFLFDRFGLWLLQAYLRESNGIEELPLNAMVIDTLISKIVKDFSALLVSQGTQLGLFLKKILKADINSLSKSDFIEAISLYLGQRTSLASNDFSKFCICGGKREVIRHNVSHSAAHAELIDLQQRELEDFKLDFQETRLQVRQIHSSWEEELKRLEHHIKGLEVASSSYHKVLEENRMLYNQVQDLKGTIRVYCRVRPFLQGQTNGQSSVDYIGENGNIMIVNPLKQGKDARKVFSFNKVFGPNVSQEQIYVDTQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPDLTNEQTWGVNYRALCDLFQISKERADVVKYEVGVQMIEIYNEQFTLTACTLDIRNNSQLNGLNVPDASWVPVSSTQDVLDWMRIGYKNRAVGATALNERSSRSHSVLTIHVYGKELVSGSILKGCLHLVDLAGSERVDKSEAVGDRLKEAQHINRSLSALGDVISALAQKSAHIPYRNSKLTQVLQDSLGGQAKTLMFVHISPEVNAIGETVSTLKFAERVASIELGAARSNKETGEIRELKEEISNLKLALEKKEAEVEQLKGGHVRSMAESQRGRAVSPFHIPRYGMSTSIKPETSQRPGDDSRISEARSSSSGKQRRSRFPSALTDKEVLPKMPILAEERLASAVKARSPSPPVRRSLSTDRGALIRSRIKADTVDNQPVSRVPFPARVPVNKSFATTTVIPSTENNNSRVHMSSQEPAKQDNTSDAFYNQLQKLSIKKVHSEHEDEQFRQALNIRQGGIRKSKAESKARIKHQLPARLQKTDVAMTLLSDMDAAGEKMEEPRKSDFSEPENEHSLVGSPVHSALKMKKVRQNFSRNSQNLEPRGLVQAVEPLLGGKIDRIPNGVIRQAKEGVMGYCICLHRWQPGLTLDELDFSRVARSVPSTKQRRSKIPSALTCKEMSPKMPTVPEERLVRAIVTYPS >EOY11923 pep chromosome:Theobroma_cacao_20110822:7:365684:372798:1 gene:TCM_030573 transcript:EOY11923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein 1 isoform 1 MPQETKSNPIFTSPSKNLRGLKALVSNSVEASHTEDIFNDNELAQRKAEEAASRRYQAAEWLRQMDQGASESLPREPSEEEFCLALRNGLILCNVLNKVNPGAVLKVVENPIIPVQSTEGAAQSAIQYFENMRNFLVAVKDMQLLTFEASDVEKGGSMNKVVDCILCLKGYYEWKQSGGIGVWRYGGTVKITAFPKGSPPSLVGSESADDSLDGSESSQYEQLLEFLHLSNEVAIEESKTANALAFLFDRFGLWLLQAYLRESNGIEELPLNAMVIDTLISKIVKDFSALLVSQGTQLGLFLKKILKADINSLSKSDFIEAISLYLGQRTSLASNDFSKFCICGGKREVIRHNVSHSAAHAELIDLQQRELEDFKLDFQETRLQVRQIHSSWEEELKRLEHHIKGLEVASSSYHKVLEENRMLYNQVQDLKGTIRVYCRVRPFLQGQTNGQSSVDYIGENGNIMIVNPLKQGKDARKVFSFNKVFGPNVSQEQIYVDTQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPDLTNEQTWGVNYRALCDLFQISKERADVVKYEVGVQMIEIYNEQVRDLLVMDGSNRRLDIRNNSQLNGLNVPDASWVPVSSTQDVLDWMRIGYKNRAVGATALNERSSRSHSVLTIHVYGKELVSGSILKGCLHLVDLAGSERVDKSEAVGDRLKEAQHINRSLSALGDVISALAQKSAHIPYRNSKLTQVLQDSLGGQAKTLMFVHISPEVNAIGETVSTLKFAERVASIELGAARSNKETGEIRELKEEISNLKLALEKKEAEVEQLKGGHVRSMAESQRGRAVSPFHIPRYGMSTSIKPETSQRPGDDSRISEARSSSSGKQRRSRFPSALTDKEVLPKMPILAEERLASAVKARSPSPPVRRSLSTDRGALIRSRIKADTVDNQPVSRVPFPARVPVNKSFATTTVIPSTENNNSRVHMSSQEPAKQDNTSDAFYNQLQKLSIKKVHSEHEDEQFRQALNIRQGGIRKSKAESKARIKHQLPARLQKTDVAMTLLSDMDAAGEKMEEPRKSDFSEPENEHSLVGSPVHSALKMKKVRQNFSRNSQNLEPRGLVQAVEPLLGGKIDRIPNGVIRQAKEGGNTLMPEFRRSRSSPRGKFLVLP >EOY13575 pep chromosome:Theobroma_cacao_20110822:7:9324581:9326863:1 gene:TCM_032176 transcript:EOY13575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKGNPSHSLTIHSKISFTDGTFRTPRPTFSKNKFMDSSFESGCTLTISQSIDIQTSTDLLVNTTLLPLLGVGLGIPTSKRENFFQTSSKINTYLLCPNCSINAATRLCSSSGKGTIFTAIAICSCNLVMLSSFETVTQITSSNRPLVSSDSLLHMCFMTVVFPIPPIPQTPIIPISSPIK >EOY12591 pep chromosome:Theobroma_cacao_20110822:7:2934850:2937366:-1 gene:TCM_031107 transcript:EOY12591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-cadinene synthase isozyme A, putative MIIMPSPMRIVTRSISLLAFGEIFSSHVRKAQLQEELKQEVQRMVTTPMDKPSQKLHLIDAVQRLGVAYHFEKEIEDALKTINGDCNSDGNDIYITSLRFRLLREHGFDVQCETFNKFKDDKGNFKVSLKSDVKGLLGLYEAAHIRMHGEHILEEALAFTTTHLEFAESSIDQYPLSALVSRARKRPIRKGLPRLEARRFISIYQEDGSHDKTLLKFAKLDFNLVQNLHKAELSKISRWWKDLDFKRKLPSARDRLVEGYFWILGVYFEPQYSLARQILTKAIVMASTIDDTYDAYGTFEEFQLFTNAIERWDINCMDRLPAHMKLLYKTLLDVYEEMEEMKQLSQAYFVEAKWYHENYVPTVEEYMTNGLVSSGYIMVAITSFVGMGDIVTKEIFDWASNNPKIVRASSMIARLMDDIVSHKFEQERGHVASAIECYMKQHGVSEEKACNEVNMQIENLGRI >EOY12698 pep chromosome:Theobroma_cacao_20110822:7:3448421:3485969:-1 gene:TCM_031214 transcript:EOY12698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNWKSFNTRLHMKCRKQAWMLFSFAPRDYCDRYGLCGSKGNCDGTQLPLFKGFKP >EOY12027 pep chromosome:Theobroma_cacao_20110822:7:681680:691252:-1 gene:TCM_030645 transcript:EOY12027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 4 MACKCGGRGGFYYTVNAEASFDRDSTKTPEFLLRNVSAIRIFRNGFFRSKWRSVAGNHRILSMDAREKSRSTILKSSKDKRVPIFVMMPVDTFAVDASGSLRIRKIKALTVSLKALKLAGVHGIGVEVWWGIVERFSPFDYNWSLYEELFKLISDSGLKLHVALSFHSKIHSSNGKGGVSLPLWILEIGDANKDIYYRDRHGLSNNDYLTLGVDEVPLLSGRTALQCYEDFMLSFVNKFESFIGSVIEEISIGLGPSGELRYPAHPFGDGRWKFPGIGEFQCYDKYMMEDLKLAACRERKPQWGDRGPQNAGCYNSLPSGVPFFEEGQESFLSDYGRFFLEWYSGRLICHADAILAKAAKILKKYQENEQTSVTLVAKIGGIYWWYQTVSHPAELTAGYYNTALRDGYDPVISVLSRHGAALHIPCLEMMDSETPPTYLCSPEGLLKQIQSVSKKRIINLIGRNTTERLDKTGLWKIHSNCYHSQAEVVRSFTYFRMNDSIFRVENWNNFVPFVRMMSTDL >EOY13907 pep chromosome:Theobroma_cacao_20110822:7:13239659:13240490:1 gene:TCM_032662 transcript:EOY13907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNNVAVKTLSDPSKTLPFCGSICNEGILHCCSASEINVVVAEMFVPNLGNVLAPPAWIYSQHIRDCLGIVYLQWVCYGCNNG >EOY12616 pep chromosome:Theobroma_cacao_20110822:7:3002675:3008811:-1 gene:TCM_031121 transcript:EOY12616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin 3 isoform 2 MGSKNQNKPPFQHNPSPTPTSTATPSPLKKNYIADEVSGEKGQRLGFDKMVGTANNGRLRLAFSLVNGSHDLGPNSAPASNAGSECGGIEFTREDVEALVSEKMKYKNKFNYKERCENMMEYIKRLRLCIKWFQELEGEYAFEQEKLRSALELTERRCSEMEVALKNKDEELNLIILELRKSLASLQEKLAKEESEKKAAVDSLAKEKEARINTERSQASLSEELDKVRGELDGANQRIASINDMYKLLQEYNSSLQLYNSKLQTDLDAAHETIKRGEKERSAIVENLHNLRGQHKSLRDQLTSSIASQDETMKQKDALVNEVACLRMELRQIRDDRDLYQQQVQTLTAEVSKYKELATNSSELEEKCLSQGNQIQILHDQLAVAERKLQMSDMSALETRFEFEGQKKLINELQNRLEDAEFKLTEGEKLRKKLHNTILELKGNIRVFCRVRPQLPDDCSSNQGKVVSYPTSMEYLGRGIDMTQNGQKHSFTFDKVFMPDASQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGQPEEKGLIPRSLEQIFQTRQALQPQGWRYEMQVSMLEIYNETIRDLLSTNRDVSRIENGVAGKQYTIKHDANGNTQVSDLTIVDVQSSREVSYLLDRAAQSRSVGKTQMNEQSSRSHFVFTMRITGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEPSSVGESLCSLRFAARVNACEIGTPRRQLNMRTSDSRLSYG >EOY12618 pep chromosome:Theobroma_cacao_20110822:7:3003209:3007492:-1 gene:TCM_031121 transcript:EOY12618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin 3 isoform 2 MYFLVEVALKNKDEELNLIILELRKSLASLQEKLAKEESEKKAAVDSLAKEKEARINTERSQASLSEELDKVRGELDGANQRIASINDMYKLLQEYNSSLQLYNSKLQTDLDAAHETIKRGEKERSAIVENLHNLRGQHKSLRDQLTSSIASQDETMKQKDALVNEVACLRMELRQIRDDRDLYQQQVQTLTAEVSKYKELATNSSELEEKCLSQGNQIQILHDQLAVAERKLQMSDMSALETRFEFEGQKKLINELQNRLEDAEFKLTEGEKLRKKLHNTILELKGNIRVFCRVRPQLPDDCSSNQGKVVSYPTSMEYLGRGIDMTQNGQKHSFTFDKVFMPDASQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGQPEEKGLIPRSLEQIFQTRQALQPQGWRYEMQVSMLEIYNETIRDLLSTNRDVSRIENGVAGKQYTIKHDANGNTQVSDLTIVDVQSSREVSYLLDRAAQSRSVGKTQMNEQSSRSHFVFTMRITGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLADVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEPSSVGESLCSLRFAARVNACEIGTPRRQLNMRTSDSRLSYG >EOY12617 pep chromosome:Theobroma_cacao_20110822:7:3002675:3008811:-1 gene:TCM_031121 transcript:EOY12617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin 3 isoform 2 MGSKNQNKPPFQHNPSPTPTSTATPSPLKKNYIADEVSGEKGQRLGFDKMVGTANNGRLRLAFSLVNGSHDLGPNSAPASNAGSECGGIEFTREDVEALVSEKMKYKNKFNYKERCENMMEYIKRLRLCIKWFQELEGEYAFEQEKLRSALELTERRCSEMEVALKNKDEELNLIILELRKSLASLQEKLAKEESEKKAAVDSLAKEKEARINTERSQASLSEELDKVRGELDGANQRIASINDMYKLLQEYNSSLQLYNSKLQTDLDAAHETIKRGEKERSAIVENLHNLRGQHKSLRDQLTSSIASQDETMKQKDALVNEVACLRMELRQIRDDRDLYQQQVQTLTAEVSKYKELATNSSELEEKCLSQGNQIQILHDQLAVAERKLQMSDMSALETRFEFEGQKKLINELQNRLEDAEFKLTEGEKLRKKLHNTILELKGNIRVFCRVRPQLPDDCSSNQGKVVSYPTSMEYLGRGIDMTQNGQKHSFTFDKVFMPDASQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGQPEEKGLIPRSLEQIFQTRQALQPQGWRYEMQVSMLEIYNETIRDLLSTNRDVSRIENGVAGKQYTIKHDANGNTQVSDLTIVDVQSSREVSYLLDRAAQSRSVGKTQMNEQSSRSHFVFTMRITGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLADVIFALAKKEDHVPFRNSKLTYLLQWVSPSAHCVSQPGLMLVR >EOY12619 pep chromosome:Theobroma_cacao_20110822:7:3004087:3008815:-1 gene:TCM_031121 transcript:EOY12619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin 3 isoform 2 MGSKNQNKPPFQHNPSPTPTSTATPSPLKKNYIADEVSGEKGQRLGFDKMVGTANNGRLRLAFSLVNGSHDLGPNSAPASNAGSECGGIEFTREDVEALVSEKMKYKNKFNYKERCENMMEYIKRLRLCIKWFQELEGEYAFEQEKLRSALELTERRCSEMEVALKNKDEELNLIILELRKSLASLQEKLAKEESEKKAAVDSLAKEKEARINTERSQASLSEELDKVRGELDGANQRIASINDMYKLLQEYNSSLQLYNSKLQTDLDAAHETIKRGEKERSAIVENLHNLRGQHKSLRDQLTSSIASQDETMKQKDALVNEVACLRMELRQIRDDRDLYQQQVQTLTAEVSKYKELATNSSELEEKCLSQGNQIQILHDQLAVAERKLQMSDMSALETRFEFEGQKKLINELQNRLEDAEFKLTEGEKLRKKLHNTILELKGNIRVFCRVRPQLPDDCSSNQGKVVSYPTSMEYLGRGIDMTQNGQKHSFTFDKVFMPDASQEEVFVEISQLVQSALDGYKARVKPTP >EOY12615 pep chromosome:Theobroma_cacao_20110822:7:3002666:3009095:-1 gene:TCM_031121 transcript:EOY12615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin 3 isoform 2 MGSKNQNKPPFQHNPSPTPTSTATPSPLKKNYIADEVSGEKGQRLGFDKMVGTANNGRLRLAFSLVNGSHDLGPNSAPASNAGSECGGIEFTREDVEALVSEKMKYKNKFNYKERCENMMEYIKRLRLCIKWFQELEGEYAFEQEKLRSALELTERRCSEMEVALKNKDEELNLIILELRKSLASLQEKLAKEESEKKAAVDSLAKEKEARINTERSQASLSEELDKVRGELDGANQRIASINDMYKLLQEYNSSLQLYNSKLQTDLDAAHETIKRGEKERSAIVENLHNLRGQHKSLRDQLTSSIASQDETMKQKDALVNEVACLRMELRQIRDDRDLYQQQVQTLTAEVSKYKELATNSSELEEKCLSQGNQIQILHDQLAVAERKLQMSDMSALETRFEFEGQKKLINELQNRLEDAEFKLTEGEKLRKKLHNTILELKGNIRVFCRVRPQLPDDCSSNQGKVVSYPTSMEYLGRGIDMTQNGQKHSFTFDKVFMPDASQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGQPEEKGLIPRSLEQIFQTRQALQPQGWRYEMQVSMLEIYNETIRDLLSTNRDVSRIENGVAGKQYTIKHDANGNTQVSDLTIVDVQSSREVSYLLDRAAQSRSVGKTQMNEQSSRSHFVFTMRITGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLADVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEPSSVGESLCSLRFAARVNACEIGTPRRQLNMRTSDSRLSYG >EOY12069 pep chromosome:Theobroma_cacao_20110822:7:769914:771823:-1 gene:TCM_030670 transcript:EOY12069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MGYSCFVFSAFVMLLVASSHGARVGLFNNDVYHGKNFISWDDMKVDEHNLARVSSRVDYNQSRVIVVDKNGGGDSVTVQGAIDMVSEGNKHRVKIYILPGIYREKVIVPRCKPYISFIGNEGQMSNTIISWNDKASDKDSNGCKLGTYKSASVTIESDYFCATDITFENTVVAAPGEYGMQAVALRISGDKAMFYKVKVVGSQDTLLDETGSHYFYECHIQGSVDFIFGRSRSLYQDCVLQSTAKRYGAIAAHHRDSPDDNTGFSFVNCVISGTGKIYLGRAWGNYSRAIYSNCYIDDIITPAGWSDWNYPGRQKTAVFGEFQCRGTGADTRARVPWSKKLSYQEAKPFLDMKFIDGEQWLRL >EOY12707 pep chromosome:Theobroma_cacao_20110822:7:3584620:3596312:-1 gene:TCM_031233 transcript:EOY12707 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein MDVLSLTFFRTSFLIFLFKCRIALDTISLSQSLRDGNTLVSGDGSFELGFFSPGDSKNRYVGIWYKKIRVRTVVWVANRQNPITDTSGLLMINSIGNLVLLSQNQSVVWSSNSTKEAQSPIVQLLDSGNLVLRDEKDGDSQSYLWQSFDYPTDTLLPGMKLGWDLKTGFDRHLSAWKNSDDPSPGDFSWGIELQDNPEAVIWRGSKKYYRSGPWNGLSFSGSPELRSNPLFQFSFVSNEEEVYYVYYLKDKSLISRVVLNQTIYLRQRFVWSEESQTWKVYASVPRDYCDSYGLCGAYGNCIISQSPVCQCLEGFKPKIPDKWNSMDWSGGCTRNKLLNCTKEDGFLKFEGLKLPDARHSWVYQSMNLRECRAKCLENCSCMAYANSDIRGGGSGCAMWFDNLIDIRQIASGGEELYIRISASELKARGEPKKRIAVIIGITALAIVAGMLMVLGFCRIRKNVQEKKEDIGEAEQNIEQSKEDMELPLFDLATIAKATNNFSFNKKLGEGGFGPVYKGLLADGQEIAVKRLSTKSGQGLNEFKNEVKLIAKLQHRNLVKLLGCCIEGDEKMLIYEFMPNKSLDFFIFDEITSKLLDWPKRFNIISGIARGLLYLHQDSRLRIIHRDLKASNVLLDHEMNPKISDFGMARTFGGDQSEGNTNRVVGTYGYMAPEYAIDGQFSVKSDVFSFGILMLEIISGKKNRGFYHQDKSVSLIGHAWKLWKEGRPLELADDAFLGESCALSEVVRCLHISILCVQQHPEDRPSMPSVVLMLGGQSALPQPNQPVVAVDPAMVGGMGGGGKTSHWSDFGFGMAGEKEGEGEEKLPGHRSVRLGRKSEAAKGDPTSGWLAPAKGESGLGKGSEMVEGDPTKGWLASAKGESDLVGMLEVAISSLCSLGSKSELRLHQYDGRVRQDPVFHREGANIHVDVVLTVNQAILGGTIQVPTLTGDVVLKACWKICMIDVRPGTQPGQNVVLKNKGIKTRNSYAFGDQYVHFIVRIPKSGTQRSDLVTGNIESGASRPDLVIGSGTPWPDLVARSIDMAP >EOY12455 pep chromosome:Theobroma_cacao_20110822:7:2271982:2276915:-1 gene:TCM_030965 transcript:EOY12455 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MSNSQAPKSAKPSKASTLPPSSNPPKPSSLSSHLAMVELKQRILTSLSKLSDRDTYQIAVEDLEKIIQSLSPDSLPMLLNCLFDSSNDPKPAVKKESLRLLSMLCNCHGELAASHLTKIIAHIVKRLKDADSGVKDACRDSIGALSGQYLKGESGGTLVGLFVKPLFEAMGEQNKGVQSGAALCMAKMVECAADPPLAAFQKLCPRICKLLNNQNFMAKASLLPLVASLSQVGAIAPPSLEALLQSIHECLGSTDWATRKAAADALTALALHSNNLIADRAASTITALEGCRFDRMKPVRDSMTEALQLWKQIAGKGEDGIADNQKALSHDGENPQPAELLEKNGLNIPNAGDKKTDPSAKDSSNNLPPTSDSVSKGKGGSIPDKAVVILKKKAPALTDKELNPEFFQKLETRGSGDLPVEVVVSRRYLNSSNLKNEEESETNDPDARRRSNYLGNSQADDFNASSSIRNRNIERGAAGVRDKWPEEKINGKDLRTRAFDADDRIDINQREPSGNRLGFSKVDGQSEGSFISNKGNWLAIQRQLLQLERQQAHLMNMLQDFMGGSHDSMITLENRVRGLERIVEDMARDLSISSGRRGANFTVGFEGASNRPLGKYNGFSDYSSKFSGRIPFGERFAQSDGIGPGVRGRGPSWRSEMADDWDFPAFGASRNGQVGSRRAPASGSLDGRSPKSEHESEQVGGRRAWEKGPGPVRLGEGPSARSVWQASKDEATLEAIRVAGEDGGASRTGRVPELTAEAVGDDNVGPERDPVWTSWSNAMHALQVGDMDSAYAEVLSTGDDLLLIKLMDRSGPMVDQLSNEIANEALHAIVQFLLEQDLFDICLSWIQQVCWSKWC >EOY12454 pep chromosome:Theobroma_cacao_20110822:7:2271922:2276591:-1 gene:TCM_030965 transcript:EOY12454 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MSNSQAPKSAKPSKASTLPPSSNPPKPSSLSSHLAMVELKQRILTSLSKLSDRDTYQIAVEDLEKIIQSLSPDSLPMLLNCLFDSSNDPKPAVKKESLRLLSMLCNCHGELAASHLTKIIAHIVKRLKDADSGVKDACRDSIGALSGQYLKGESGGTLVGLFVKPLFEAMGEQNKGVQSGAALCMAKMVECAADPPLAAFQKLCPRICKLLNNQNFMAKASLLPLVASLSQVGAIAPPSLEALLQSIHECLGSTDWATRKAAADALTALALHSNNLIADRAASTITALEGCRFDRMKPVRDSMTEALQLWKQIAGKGEDGIADNQKALSHDGENPQPAELLEKNGLNIPNAGDKKTDPSAKDSSNNLPPTSDSVSKGKGGSIPDKAVVILKKKAPALTDKELNPEFFQKLETRGSGDLPVEVVVSRRYLNSSNLKNEEESETNDPDARRRSNYLGNSQADDFNASSSIRNRNIERGAAGVRDKWPEEKINGKDLRTRAFDADDRIDINQREPSGNRLGFSKVDGQSEGSFISNKGNWLAIQRQLLQLERQQAHLMNMLQDFMGGSHDSMITLENRVRGLERIVEDMARDLSISSGRRGANFTVGFEGASNRPLGKYNGFSDYSSKFSGRIPFGERFAQSDGIGPGVRGRGPSWRSEMADDWDFPAFGASRNGQVGSRRAPASGSLDGRSPKSEHESEQVGGRRAWEKGPGPVRLGEGPSARSVWQASKDEATLEAIRVAGEDGGASRTGRVPELTAEAVGDDNVGPERDPVWTSWSNAMHALQVGDMDSAYAEVLSTGDDLLLIKLMDRSGPMVDQLSNEIANEALHAIVQFLLEQDLFDICLSWIQQLVEVVLESGPDALGIPMELKKELLLNLHEAASTMDPPEDWEGVAPDQLLLQLASAWGIELQQFDK >EOY11991 pep chromosome:Theobroma_cacao_20110822:7:550095:553236:1 gene:TCM_030615 transcript:EOY11991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like E1 isoform 3 MTTHRRRRDHDAILQILIDGNATDIKGSALPTLVYLAREKRPQHPHNFKAGAMNALIRVSSNISNGQIILNVDCDMYSNNSHAVLDALCFFLDEEKGQEIAFVQFPQIFENITKNDIYGNSLIVGREVEFHGLDGSGGPLYIGSGCFHRRDALCGKKFSEECKIQRKGGNNMMRREKSALELEENSRFLASCTYEENTQWGKEIGLKYGCPVEDVITGLSIQCQGWQSVYFNPPRNAFLGVAPTTLPQTLVQHKRWSEGDFQIFLSKYNPAWFAHGKISLGLQMGYCCYFLWAPNCLPTLYYSIVPSLCLLRGISLFPQCSTPWFIPYAYVIVSKYAYSLIEFLWSGGTILGWWNNQRMWLYKRTSSYLFGFTDTILKSLGFSDTAFVITAKVADQDVLERYQREIMEFGPSSSSPMFTLLAAIALLNLFSLLRVVQKLALNKDSISQCQAMALQILLCSLLVLINLPLYQGLFLRKDKGKIPSSIAVKSVVLALSAITCFTFMY >EOY11990 pep chromosome:Theobroma_cacao_20110822:7:549837:553300:1 gene:TCM_030615 transcript:EOY11990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like E1 isoform 3 MRNSKYAPLFGTRREKGMAIYRLFAVSIFIGIWSIWAYRVSHMPRKGEDGKWIWIGLFASELWFGFYWILSEAHRWNPSYRCTFKDRLLKRYGNDLPDVDIFVCTADPAIEPPVMVINTVLSVMAYDYPPEKLSVYLSDDAGSDITFYALLEASQFAKHWIPYCKEFDVEPRSPAAYFISVSDTNDTKQDKPLATIKKLYEDMENRIETAAKLGRLSKEICSKHRGFSQWNSYASRRDHDAILQILIDGNATDIKGSALPTLVYLAREKRPQHPHNFKAGAMNALIRVSSNISNGQIILNVDCDMYSNNSHAVLDALCFFLDEEKGQEIAFVQFPQIFENITKNDIYGNSLIVGREVEFHGLDGSGGPLYIGSGCFHRRDALCGKKFSEECKIQRKGGNNMMRREKSALELEENSRFLASCTYEENTQWGKEIGLKYGCPVEDVITGLSIQCQGWQSVYFNPPRNAFLGVAPTTLPQTLVQHKRWSEGDFQIFLSKYNPAWFAHGKISLGLQMGYCCYFLWAPNCLPTLYYSIVPSLCLLRGISLFPQCSTPWFIPYAYVIVSKYAYSLIEFLWSGGTILGWWNNQRMWLYKRTSSYLFGFTDTILKSLGFSDTAFVITAKVADQDVLERYQREIMEFGPSSSSPMFTLLAAIALLNLFSLLRVVQKLALNKDSISQCQAMALQILLCSLLVLINLPLYQGLFLRKDKGKIPSSIAVKSVVLALSAITCFTFMY >EOY11992 pep chromosome:Theobroma_cacao_20110822:7:550179:553225:1 gene:TCM_030615 transcript:EOY11992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like E1 isoform 3 MRNSKYAPLFGTRREKGMAIYRLFAVSIFIGIWSIWAYRVSHMPRKGEDGKWIWIGLFASELWFGFYWILSEAHRWNPSYRCTFKDRLLKRYGNDLPDVDIFVCTADPAIEPPVMVINTVLSVMAYDYPPEKLSVYLSDDAGSDITFYALLEASQFAKHWIPYCKEFDVEPRSPAAYFISVSDTNDTKQDKPLATIKKLYEDMENRIETAAKLGRLSKEICSKHRGFSQWNSYASRRDHDAILQILIDGNATDIKGSALPTLVYLAREKRPQHPHNFKAGAMNALVEFHGLDGSGGPLYIGSGCFHRRDALCGKKFSEECKIQRKGGNNMMRREKSALELEENSRFLASCTYEENTQWGKEIGLKYGCPVEDVITGLSIQCQGWQSVYFNPPRNAFLGVAPTTLPQTLVQHKRWSEGDFQIFLSKYNPAWFAHGKISLGLQMGYCCYFLWAPNCLPTLYYSIVPSLCLLRGISLFPQCSTPWFIPYAYVIVSKYAYSLIEFLWSGGTILGWWNNQRMWLYKRTSSYLFGFTDTILKSLGFSDTAFVITAKVADQDVLERYQREIMEFGPSSSSPMFTLLAAIALLNLFSLLRVVQKLALNKDSISQCQAMALQILLCSLLVLINLPLYQGLFLRKDKGKIPSSIAVKSVVLALSAITCFTFMY >EOY12966 pep chromosome:Theobroma_cacao_20110822:7:5072554:5073284:1 gene:TCM_031475 transcript:EOY12966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSGAVMARDVDPIKANNCETKMTTHCVIEVFASIFKTGTVSDDCCHELIGLGQLCHNALVKKTLQNPLFKNNDTSVILSRAAQVWKKCTPVGEDVSPTPSP >EOY12397 pep chromosome:Theobroma_cacao_20110822:7:1931243:1932987:1 gene:TCM_030911 transcript:EOY12397 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein, putative MDVSQHSELPQSAFPPYGRTFFHQPTGRFSDGRLVIDFIAEDFRLPFLPPYFGGENGRSNNFQKGVNLAVAGATALDDEILKERGITNPATNVTLGVELGFFRDVLSSLCSSSSDCRKLLSNSLIVMGEIGGNDYNFAFEEGKSNEETRELVPLVIDTIASAIHELIELGAVTFLVPGNLPFGCFPSCLTNFEGSDEEEYDPLTGCLTWLNQFSEYHNQLLQEELARIQEIHPHVNIVYADYYSAAIRFYLSPKQFGFRKETLTKACCGGGGPYNFNLSAICGYPLVTSCCDDPSSYVSWDGIHYTEAANRLLSRAILDGLHTNFPISNSLCQSFTVNNKLSNS >EOY13980 pep chromosome:Theobroma_cacao_20110822:7:16286327:16292032:-1 gene:TCM_032943 transcript:EOY13980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein, putative MKMGQSIWNTTENIDASLEDSEFELPMLNQSELENSSVSAADVLKTLFFILVRYNKTLLGDDLGKFPAALLMNTVHFRMQAVLSKAITWYWSHRYQPTVAMSWRDCLYRVVPTALSMALCHRQRFRALEEARGCCGVLAYGGALLGENPPFGCRR >EOY13233 pep chromosome:Theobroma_cacao_20110822:7:6663225:6663838:-1 gene:TCM_031755 transcript:EOY13233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQMAIPTDFMEHLPHYEGGWTTFYPVHDHKHVRAKSLKPGDKIIFRVEENAANSAPRYTIAAQRKIVLLGNAAWTGKI >EOY11942 pep chromosome:Theobroma_cacao_20110822:7:407367:414568:1 gene:TCM_030581 transcript:EOY11942 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein, putative isoform 3 MGKRKERRLAALSNAGRRVKLDLFAEPSEDLGGSSVHEEVDGEPKHGAGLPNSPSSSGQQPPNPLLLLGQYSDDELDDESDKRLEHGTLDGSLSDHDDQAKGPLSETCKDAEVDAGVRDTLKVNQQNTERDSTPNAIQNLVGVDNREGDNDDASESVKKNDSTEQISVAGTSEVQVIGDVGSGWRIVMHEESNQYYYWNVETGETSWEVPNVLAPINLSTSGQMALTVENMETAQVGTQDFKSTLSAQPTGGNLIPQNNEPRLDEQDGGCKSEALKDNNWTSDVNRSEFQSSSDAVDTHLTDGSLSGSGNYVQNLLANVENKSGIDLSTHLLKQGECLLERMKSLKVSEDDLQGQGWMSNCILEVEIRLSDIKSLLSYGSSLSPFWAHCERKLKQLEGIINDKIYQLAKSAIMEEGEETPASFGEKLKSEESTHNEVEADGNGYSPVSSTPDISHVSTDVDTLTVVNSDSKNQVPSSNAACMVKVPSFGSPTEHCESQAQIGQLVNEDTLSGEANSKTGGLGGEDVDMDVDMEVEEAIPASTMSLRDVSPTTLEQLNPPADYSAVPPPPDEEWIPPPPPDNEQVPPPPPDNEQVPPPPPDEPPEHSYPPPPSYAESVPLTYAEQYNLTYSDSSYQYYGHAVSEVPIGSFYGHADGSQVAAPQASIYYQAVPNTYSESAPVTVNPVEPVTFYDLQGGGATSVPIAVGTESTQLYSEAGTITYNTLASSQIRFDDELAVAGPGVRGNVPAGSDKTEVASAGISSTLATIEAPATISIKESFAAAAAAAASAAVAASSSGPKVSSKAARTKKRTVAATSSLRSNKKVSSLVDKREKVKRRRAQQKAKEAAETPSEVVPDGNEQPDLDELSRDLPSGWQAYWDETSKQVYYGNVNTSETTWIRPTK >EOY11939 pep chromosome:Theobroma_cacao_20110822:7:407367:413795:1 gene:TCM_030581 transcript:EOY11939 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein, putative isoform 3 MGKRKERRLAALSNAGRRVKLDLFAEPSEDLGGSSVHEEVDGEPKHGAGLPNSPSSSGQQPPNPLLLLGQYSDDELDDESDKRLEHGTLDGSLSDHDDQAKGPLSETCKDAEVDAGVRDTLKVNQQNTERDSTPNAIQNLVGVDNREGDNDDASESVKKNDSTEQISVAGTSEVQVIGDVGSGWRIVMHEESNQYYYWNVETGETSWEVPNVLAPINLSTSGQMALTVENMETAQVGTQDFKSTLSAQPTGGNLIPQNNEPRLDEQDGGCKSEALKDNNWTSDVNRSEFQSSSDAVDTHLTDGSLSGSGNYVQNLLANVENKSGIDLSTHLLKQGECLLERMKSLKVSEDDLQGQGWMSNCILEVEIRLSDIKSLLSYGSSLSPFWAHCERKLKQLEGIINDKIYQLAKSAIMEEGEETPASFGEKLKSEESTHNEVEADGNGYSPVSSTPDISHVSTDVDTLTVVNSDSKNQVPSSNAACMVKVPSFGSPTEHCESQAQIGQLVNEDTLSGEANSKTGGLGGEDVDMDVDMEVEEAIPASTMSLRDVSPTTLEQLNPPADYSAVPPPPDEEWIPPPPPDNEQVPPPPPDNEQVPPPPPDEPPEHSYPPPPSYAESVPLTYAEQYNLTYSDSSYQYYGHAVSEVPIGSFYGHADGSQVAAPQASIYYQAVPNTYSESAPVTVNPVEPVTFYDLQGGGATSVPIAVGTESTQLYSEAGTITYNTLASSQIRFDDELAVAGPGVRGNVPAGSDKTEVASAGISSTLATIEAPATISIKESFAAAAAAAASAAVAASSSGPKVSSKAARTKKRTVAATSSLRSNKKVSSLVDKA >EOY11943 pep chromosome:Theobroma_cacao_20110822:7:407367:414568:1 gene:TCM_030581 transcript:EOY11943 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein, putative isoform 3 MGKRKERRLAALSNAGRRVKLDLFAEPSEDLGGSSVHEEVDGEPKHGAGLPNSPSSSGQQPPNPLLLLGQYSDDELDDESDKRLEHGTLDGSLSDHDDQAKGPLSETCKDAEVDAGVRDTLKVNQQNTERDSTPNAIQNLVGVDNREGDNDDASESVKKNDSTEQISVAGTSEVQVIGDVGSGWRIVMHEESNQYYYWNVETGETSWEVPNVLAPINLSTSGQMALTVENMETAQVGTQDFKSTLSAQPTGGNLIPQNNEPRLDEQDGGCKSEALKDNNWTSDVNRSEFQSSSDAVDTHLTDGSLSGSGNYVQNLLANVENKSGIDLSTHLLKQGECLLERMKSLKVSEDDLQGQGWMSNCILEVEIRLSDIKSLLSYGSSLSPFWAHCERKLKQLEGIINDKIYQLAKSAIMEEGEETPASFGEKLKSEESTHNEVEADGNGYSPVSSTPDISHVSTDVDTLTVVNSDSKNQVPSSNAACMVKVPSFGSPTEHCESQAQIGQLVNEDTLSGEANSKTGGLGGEDVDMDVDMEVEEAIPASTMSLRDVSPTTLEQLNPPADYSAVPPPPDEEWIPPPPPDNEQVPPPPPDNEQVPPPPPDEPPEHSYPPPPSYAESVPLTYAEQYNLTYSDSSYQYYGHAVSEVPIGSFYGHADGSQVAAPQASIYYQAVPNTYSESAPVTVNPVEPVTFYDLQGGGATSVPIAVGTESTQLYSEAGTITYNTLASSQIRFDDELAVAGPGVRGNVPAGSDKTEVASAGISSTLATIEAPATISIKESFAAAAAAAASAAVAASSSGPKVSSKAARTKKRTVAATSSLRSNKKVSSLVDKCQEIFLLDGRLIGMKLRNRSIMGMSTPQRQLGLDQQNKFEMHHQFFLFSFIELNQCSISRIFSPSSFIRVLPKCISF >EOY11938 pep chromosome:Theobroma_cacao_20110822:7:408304:412498:1 gene:TCM_030581 transcript:EOY11938 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein, putative isoform 3 MLYFAASKRFADFFWKILSLGQQPPNPLLLLGQYSDDELDDESDKRLEHGTLDGSLSDHDDQAKGPLSETCKDAEVDAGVRDTLKVNQQNTERDSTPNAIQNLVGVDNREGDNDDASESVKKNDSTEQISVAGTSEVQVIGDVGSGWRIVMHEESNQYYYWNVETGETSWEVPNVLAPINLSTSGQMALTVENMETAQVGTQDFKSTLSAQPTGGNLIPQNNEPRLDEQDGGCKSEALKDNNWTSDVNRSEFQSSSDAVDTHLTDGSLSGSGNYVQNLLANVENKSGIDLSTHLLKQGECLLERMKSLKVSEDDLQGQGWMSNCILEVEIRLSDIKSLLSYGSSLSPFWAHCERKLKQLEGIINDKIYQLAKSAIMEEGEETPASFGEKLKSEESTHNEVEADGNGYSPVSSTPDISHVSTDVDTLTVVNSDSKNQVPSSNAACMVKVPSFGSPTEHCESQAQIGQLVNEDTLSGEANSKTGGLGGEDVDMDVDMEVEEAIPASTMSLRDVSPTTLEQLNPPADYSAVPPPPDEEWIPPPPPDNEQVPPPPPDNEQVPPPPPDEPPEHSYPPPPSYAESVPLTYAEQYNLTYSDSSYQYYGHAVSEVPIGSFYGHADGSQVAAPQASIYYQAVPNTYSESAPVTVNPVEPVTFYDLQGGGATSVPIAVGTESTQLYSEAGTITYNTLASSQIRFDDELAVAGPGVRGNVPAGSDKTEVASAGISSTLATIEAPATISIKESFAAAAAAAASAAVAASSSGPKVSSKAARTKKRTVAATSSLRSNKKVSSLVDKWKAAKEELHENAEDEPENPYAMLERKRQREIEEWRAQQIASGEAKDNANFQPLGGDWREKVKRRRAQQKAKEAAETPSEVVPDGNEQPDLDELSRDLPSGWQVYVALLSQLW >EOY11936 pep chromosome:Theobroma_cacao_20110822:7:407367:413656:1 gene:TCM_030581 transcript:EOY11936 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein, putative isoform 3 MLYFAASKRFADFFWKILSLGQQPPNPLLLLGQYSDDELDDESDKRLEHGTLDGSLSDHDDQAKGPLSETCKDAEVDAGVRDTLKVNQQNTERDSTPNAIQNLVGVDNREGDNDDASESVKKNDSTEQISVAGTSEVQVIGDVGSGWRIVMHEESNQYYYWNVETGETSWEVPNVLAPINLSTSGQMALTVENMETAQVGTQDFKSTLSAQPTGGNLIPQNNEPRLDEQDGGCKSEALKDNNWTSDVNRSEFQSSSDAVDTHLTDGSLSGSGNYVQNLLANVENKSGIDLSTHLLKQGECLLERMKSLKVSEDDLQGQGWMSNCILEVEIRLSDIKSLLSYGSSLSPFWAHCERKLKQLEGIINDKIYQLAKSAIMEEGEETPASFGEKLKSEESTHNEVEADGNGYSPVSSTPDISHVSTDVDTLTVVNSDSKNQVPSSNAACMVKVPSFGSPTEHCESQAQIGQLVNEDTLSGEANSKTGGLGGEDVDMDVDMEVEEAIPASTMSLRDVSPTTLEQLNPPADYSAVPPPPDEEWIPPPPPDNEQVPPPPPDNEQVPPPPPDEPPEHSYPPPPSYAESVPLTYAEQYNLTYSDSSYQYYGHAVSEVPIGSFYGHADGSQVAAPQASIYYQAVPNTYSESAPVTVNPVEPVTFYDLQGGGATSVPIAVGTESTQLYSEAGTITYNTLASSQIRFDDELAVAGPGVRGNVPAGSDKTEVASAGISSTLATIEAPATISIKESFAAAAAAAASAAVAASSSGPKVSSKAARTKKRTVAATSSLRSNKKVSSLVDKWKAAKEELHENAEDEPENPYAMLERKRQREIEEWRAQQIASGEAKDNANFQPLGGDWREKVKRRRAQQKAKEAAETPSEVVPDGNEQPDLDELSRDLPSGWQAYWDETSKQVYYGNVNTSETTWIRPTK >EOY11940 pep chromosome:Theobroma_cacao_20110822:7:407367:413795:1 gene:TCM_030581 transcript:EOY11940 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein, putative isoform 3 MLYFAASKRFADFFWKILSLGQQPPNPLLLLGQYSDDELDDESDKRLEHGTLDGSLSDHDDQAKGPLSETCKDAEVDAGVRDTLKVNQQNTERDSTPNAIQNLVGVDNREGDNDDASESVKKNDSTEQISVAGTSEVQVIGDVGSGWRIVMHEESNQYYYWNVETGETSWEVPNVLAPINLSTSGQMALTVENMETAQVGTQDFKSTLSAQPTGGNLIPQNNEPRLDEQDGGCKSEALKDNNWTSDVNRSEFQSSSDAVDTHLTDGSLSGSGNYVQNLLANVENKSGIDLSTHLLKQGECLLERMKSLKVSEDDLQGQGWMSNCILEVEIRLSDIKSLLSYGSSLSPFWAHCERKLKQLEGIINDKIYQLAKSAIMEEGEETPASFGEKLKSEESTHNEVEADGNGYSPVSSTPDISHVSTDVDTLTVVNSDSKNQVPSSNAACMVKVPSFGSPTEHCESQAQIGQLVNEDTLSGEANSKTGGLGGEDVDMDVDMEVEEAIPASTMSLRDVSPTTLEQLNPPADYSAVPPPPDEEWIPPPPPDNEQVPPPPPDNEQVPPPPPDEPPEHSYPPPPSYAESVPLTYAEQYNLTYSDSSYQYYGHAVSEVPIGSFYGHADGSQVAAPQASIYYQAVPNTYSESAPVTVNPVEPVTFYDLQGGGATSVPIAVGTESTQLYSEAGTITYNTLASSQIRFDDELAVAGPGVRGNVPAGSDKTEVASAGISSTLATIEAPATISIKESFAAAAAAAASAAVAASSSGPKVSSKAARTKKRTVAATSSLRSNKKVSSLVDKREKVKRRRAQQKAKEAAETPSEVVPDGNEQPDLDELSRDLPSGWQAYWDETSKQVYYGNVNTSETTWIRPTK >EOY11941 pep chromosome:Theobroma_cacao_20110822:7:407367:412082:1 gene:TCM_030581 transcript:EOY11941 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein, putative isoform 3 MGKRKERRLAALSNAGRRVKLDLFAEPSEDLGGSSVHEEVDGEPKHGAGLPNSPSSSGQQPPNPLLLLGQYSDDELDDESDKRLEHGTLDGSLSDHDDQAKGPLSETCKDAEVDAGVRDTLKVNQQNTERDSTPNAIQNLVGVDNREGDNDDASESVKKNDSTEQISVAGTSEVQVIGDVGSGWRIVMHEESNQYYYWNVETGETSWEVPNVLAPINLSTSGQMALTVENMETAQVGTQDFKSTLSAQPTGGNLIPQNNEPRLDEQDGGCKSEALKDNNWTSDVNRSEFQSSSDAVDTHLTDGSLSGSGNYVQNLLANVENKSGIDLSTHLLKQGECLLERMKSLKVSEDDLQGQGWMSNCILEVEIRLSDIKSLLSYGSSLSPFWAHCERKLKQLEGIINDKIYQLAKSAIMEEGEETPASFGEKLKSEESTHNEVEADGNGYSPVSSTPDISHVSTDVDTLTVVNSDSKNQVPSSNAACMVKVPSFGSPTEHCESQAQIGQLVNEDTLSGEANSKTGGLGGEDVDMDVDMEVEEAIPASTMSLRDVSPTTLEQLNPPADYSAVPPPPDEEWIPPPPPDNEQVPPPPPDNEQVPPPPPDEPPEHSYPPPPSYAESVPLTYAEQYNLTYSDSSYQYYGHAVSEVPIGSFYGHADGSQVAAPQASIYYQAVPNTYSESAPVTVNPVEPVTFYDLQGGGATSVPIAVGTESTQLYSEAGTITYNTLASSQIRFDDELAVAGPGVRGNVPAGSDKTEVASAGISSTLATIEAPATISIKESFAAAAAAAASAAVAASSSGPKVSSKAARTKKRTVAATSSLRSNKKVSSLVDKWKAAKEELHENAEDEPENPYAMLERKRQREIEEWRAQQIASGEAKDNANFQPLGGDW >EOY11937 pep chromosome:Theobroma_cacao_20110822:7:408304:412685:1 gene:TCM_030581 transcript:EOY11937 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein, putative isoform 3 MLYFAASKRFADFFWKILSLGQQPPNPLLLLGQYSDDELDDESDKRLEHGTLDGSLSDHDDQAKGPLSETCKDAEVDAGVRDTLKVNQQNTERDSTPNAIQNLVGVDNREGDNDDASESVKKNDSTEQISVAGTSEVQVIGDVGSGWRIVMHEESNQYYYWNVETGETSWEVPNVLAPINLSTSGQMALTVENMETAQVGTQDFKSTLSAQPTGGNLIPQNNEPRLDEQDGGCKSEALKDNNWTSDVNRSEFQSSSDAVDTHLTDGSLSGSGNYVQNLLANVENKSGIDLSTHLLKQGECLLERMKSLKVSEDDLQGQGWMSNCILEVEIRLSDIKSLLSYGSSLSPFWAHCERKLKQLEGIINDKIYQLAKSAIMEEGEETPASFGEKLKSEESTHNEVEADGNGYSPVSSTPDISHVSTDVDTLTVVNSDSKNQVPSSNAACMVKVPSFGSPTEHCESQAQIGQLVNEDTLSGEANSKTGGLGGEDVDMDVDMEVEEAIPASTMSLRDVSPTTLEQLNPPADYSAVPPPPDEEWIPPPPPDNEQVPPPPPDNEQVPPPPPDEPPEHSYPPPPSYAESVPLTYAEQYNLTYSDSSYQYYGHAVSEVPIGSFYGHADGSQVAAPQASIYYQAVPNTYSESAPVTVNPVEPVTFYDLQGGGATSVPIAVGTESTQLYSEAGTITYNTLASSQIRFDDELAVAGPGVRGNVPAGSDKTEVASAGISSTLATIEAPATISIKESFAAAAAAAASAAVAASSSGPKVSSKAARTKKRTVAATSSLRSNKKVSSLVDKWKAAKEELHENAEDEPENPYAMLERKRQREIEEWRAQQIASGEAKDNANFQPLGGDWREKVKRRRAQQKAKEAAETPSEVVPDGNEQPDLDELSRDLPSGWQAYWDETSKQVYYGNVNTSETTWIRPTK >EOY13867 pep chromosome:Theobroma_cacao_20110822:7:12076595:12078423:-1 gene:TCM_032561 transcript:EOY13867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Activator of spomin::LUC2, putative MASVPQFYSNYSFSNDFSQFQNPLLISQDNYIGSAGAISGAAWGDQEIGFPMFLDNGGGLDVPQQEPNIKSSVPAALFPELIGISSDLAVPTGFPDNNVAGFCGIGTIQNFGGRYQLQDVCEFGEECSGFVHQDFKPVDPTVGETWGIQGNRMQPAMEDSNLKVGRYSVEERKDRILRYLKKRNQRNFNKTIKYACRKTLADRRVRVRGRFARNTELCEEEMVMKKEDDNSPGEKNSYCWDSVQIKHDEDEWLQEAMANLMYLPYIAG >EOY13866 pep chromosome:Theobroma_cacao_20110822:7:12059110:12062492:1 gene:TCM_032558 transcript:EOY13866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Valyl-tRNA synthetase / valine--tRNA ligase (VALRS)-like protein MCTHDGGSISSVTFSLEQSSGDYPVFSSARDVLWICLKNGLRLLHPFMPFVTEELWQHLPGVKSYSRKESIKICQYPSPTKVSSSFIGLVLFWLGYPDIMITVETENLYNFNFCAITAISPFPLHRAGPTKEWDTKWALSSPLLPAFALCQNDEVAEIIRSCELEILTLLDKFVFRVPLSGLDTAPAACAFENENLKEAGVVEEDYECTWISIKGTIAY >EOY13488 pep chromosome:Theobroma_cacao_20110822:7:8766080:8766485:1 gene:TCM_032089 transcript:EOY13488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQFLHRPNAFPGHGAVSRAMDIIQSSPPTWQSAFLTNILIFVVGTALLVAGLSLSEIFASFLLGTLTWRAFGSSGFLLIASYFVIVSH >EOY14257 pep chromosome:Theobroma_cacao_20110822:7:22692909:22694063:-1 gene:TCM_033562 transcript:EOY14257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative METSSANSSQYWSFLQSQYEHGSSKTSGWENNLAFECLNKEVSSAEKKKDEGRVSRKDVEKLMGNLGLFCNQESEELNESFGSDELSRLFEEEPSLEEVKQAFNVFDVNKDGFIDAEELQKVLCILGLKEGLKLENCNKMIKTFDEDGDGRIEFQEFVKLMESSFC >EOY13009 pep chromosome:Theobroma_cacao_20110822:7:5286408:5292704:-1 gene:TCM_031516 transcript:EOY13009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inner membrane protein oxaA MTGGSLGLRTGSYGSLQSINSVVVGGGGLLQPKSLVSLRKNSTKMLSSSREKERSLPYIWCRYLGRRKVSMLLLVAFALLVFVLGSFVVNKESTSPNVDQRTGTLGMVPYVNGAPSNPEASSILGRKDKQKDEHYSVVNGLKGGDGNRFLVPASEGATVLPFNHPCSNFTFPPPPPPTLRRIGPRPCPVCYLPVDQAIASMPISPSASPVLHNLTYVHDENPIKSEPHGGSDFGGYPSLKQRNDSFDIKESMTVHCGFVKGRKPGHLTGFDFDEADLAELQQFHDIIVASAIFGNYDIMQQPRNISEEAKKNIPFYMFIDEETEAYMKNKSILDSSKRVGLWRIVVIHNVPYSDARRNGKVPKLLLHRIFPNVRYSIWIDGKLQLVVDPYQLLERFLWRQNANFAISRHYRRFDVFVEAEANKAAGKYDNSSIDEQVDFYKKEGLTPYSEAKLPLTSDVPEGCVLIKEHIPITNLFTCLWFNEVDRFTSRDQLSFAIVRDKIMAKVDWSINMFLDCERRNFVIQAYHRDLLEQMPPPVAAVTQLPPALPNINTRGRTPGKRIPRHGRDKRSGSRRHRKVAAGNRDSNSF >EOY12357 pep chromosome:Theobroma_cacao_20110822:7:1798488:1802256:1 gene:TCM_030884 transcript:EOY12357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-type peptidase, putative MEGIIVRRVIPSDNSCLFNAVGYVMDHVKEKAPELRQVIAATVASDPTKYSEAFLAKPNAEYCSWILDSDKWGGAIELSILADYYGREIAAYDIQTARCDLYGQDKNYSERVMLIYDGLHYDALAMSPFEGAPEEFDQTIFAVQRNRTVGPVEGLALNLVKDQQRKRSYTDTANFTLRCGVCQIGVVGQKEAMEHAQATGHVNFQEYR >EOY12359 pep chromosome:Theobroma_cacao_20110822:7:1806201:1810063:1 gene:TCM_030886 transcript:EOY12359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein, putative isoform 1 MARAPLSLTSKPPLPPPTITPLRHQRSPLTTVRVSATTVTTTAMPDHHPSLEISGGAVDRFLPAFKSLHLPYKPFPIVGWNRHIETIFAAFFRKVPDVRYRRECLRTKDDGTIALDWASDDHRSLPADAPILILLPGLTGGSQDSYVKHMLVKARSKGWRVVVFNSRGCGDSPVTTPQLQSASFPRDTCHVVDHVSSRYPKANIFAAGWSLGGNILVNYLGREANNCSLTGAVSLCNPFDLVIADENLRKGFNNIYDRALREALCRIFTTHTPLFEDIEDKFNVQVGLNPQTVREYDEAITRVALGFNSVDDYYSNSCSCHVIQHVRIPLLCIQAANDPIAPIEGTPREDIKGNPNCMLIVTPQGGHLGWVAGDEAPFGAPWTDNVVMDFLEHLQKAAPISKENSSNAEDVQQGSEDVHQAKV >EOY12362 pep chromosome:Theobroma_cacao_20110822:7:1806037:1809892:1 gene:TCM_030886 transcript:EOY12362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein, putative isoform 1 MARAPLSLTSKPPLPPPTITPLRHQRSPLTTVRVSATTVTTTAMPDHHPSLEISGGAVDRFLPAFKSLHLPYKPFPIVGWNRHIETIFAAFFRKVPDVRYRRECLRTKDDGTIALDWASDDHRSLPADAPILILLDLVIADENLHIEDKFNVQVGLNPQTVREYDEAITRVALGFNSVDDYYSNSCSCHVIQHVRIPLLCIQAANDPIAPIEGTPREDIKGNPNCMLIVTPQGGHLGWVAGDEAPFGAPWTDNVVMDFLEHLQKAAPISKENSSNAEDVQQGSEDVHQAKV >EOY12360 pep chromosome:Theobroma_cacao_20110822:7:1806037:1809892:1 gene:TCM_030886 transcript:EOY12360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein, putative isoform 1 MARAPLSLTSKPPLPPPTITPLRHQRSPLTTVRVSATTVTTTAMPDHHPSLEISGGAVDRFLPAFKSLHLPYKPFPIVGWNRHIETIFAAFFRKVPDVRYRRECLRTKDDGTIALDWASDDHRSLPADAPILILLDLVIADENLRKGFNNIYDRALREALCRIFTTHTPLFEDIEDKFNVQVGLNPQTVREYDEAITRVALGFNSVDDYYSNSCSCHVIQHVRIPLLCIQAANDPIAPIEGTPREDIKGNPNCMLIVTPQGGHLGWVAGDEAPFGAPWTDNVVMDFLEHLQKAAPISKENSSNAEDVQQGSEDVHQAKV >EOY12361 pep chromosome:Theobroma_cacao_20110822:7:1806037:1809924:1 gene:TCM_030886 transcript:EOY12361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein, putative isoform 1 MARAPLSLTSKPPLPPPTITPLRHQRSPLTTVRVSATTVTTTAMPDHHPSLEISGGAVDRFLPAFKSLHLPYKPFPIVGWNRHIETIFAAFFRKVPDVRYRRECLRTKDDGTIALDWASDDHRSLPADAPILILLPGLTGGSQDSYVKHMLVKARSKGWRVVVLHTPLFEDIEDKFNVQVGLNPQTVREYDEAITRVALGFNSVDDYYSNSCSCHVIQHVRIPLLCIQAANDPIAPIEGTPREDIKGNPNCMLIVTPQGGHLGWVAGDEAPFGAPWTDNVVMDFLEHLQKAAPISKENSSNAEDVQQGSEDVHQAKV >EOY12944 pep chromosome:Theobroma_cacao_20110822:7:4971122:4975304:1 gene:TCM_031449 transcript:EOY12944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein MCKESERQALLDFKQSLQLVNQSGFHDLSSWEGKDCCAWTGISCDGLYVERLDLHGKFLLAAGSISPSLLKLQYLSYLDLSENDFNGSRIPEFIGSLKNLTHLDLSHANFGGPIPSQLGNLSKLETLYLGDGVSDSLLDNNMNFHNKFPKLFSVGNLEWLSHLTSLKDLDLSFTNLSKASDWFQVVNQLPFLENLAMRECDLPSAISSSVSLVNSSTSLTDLYLSGNYLTASAIYPWLFNVNSNLEFLDLSRNHLKGPIPESFEICALGFIQNTSSCKSDSIQQLRLAEKQLTSSVVNEMANLSSLSVLDLGYNLLNGTISESVGQLSNLWTLRLGGNSLDNVVISEAHFSNLTKLIELDLSYSSLTLKFKSDWIPPFHLGILFLCSCKLGPRFPEWLQTQAGIVALDISDAKISDSLPIWFWDLFGRVRYFL >EOY14277 pep chromosome:Theobroma_cacao_20110822:7:22898520:22917710:1 gene:TCM_033589 transcript:EOY14277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMVRLLTMSRVGIFEMLRLLVFGYNSLYTVQNREIHHIDVFSDQRVFPLRVGRGLGGSRLEVLNAGSDRGAIVTDKETLHTQATNGKWC >EOY12063 pep chromosome:Theobroma_cacao_20110822:7:750660:752660:-1 gene:TCM_030666 transcript:EOY12063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTTVYFFRVSRKTLRGHFLGVAAAWLVQSGIEIYRCFSRITNSGQSDGVNITEQAKLLVKKISGITIRCGAPLIFASFGAGIRATLIRPSMGVANSLNSSNTKKVFISKAEVCC >EOY13335 pep chromosome:Theobroma_cacao_20110822:7:7571212:7580519:-1 gene:TCM_031876 transcript:EOY13335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase promoting complex 6 isoform 5 MREEEIEKLRGVVRDCVSKHLYSSAIFFADKVAAITNDPADVYMQAQALFLGRHFRRAFHLLNASKIVFRDLRFRYLAAKCLEELKEWDQCLLMLGDEKFDEHGNVYDAKDNSAMYLDKDGEDREINISSAIFFLRGKAYEALENRAQARQWYKAAIKADPLCYEALECLIENHMLTCEEETSLLSSLQFGAEDGWLSSFYSCLIKKYDKENVVEAKFKELEKESSKSNPSSQSLMCTLKDNTDLLACKAEYYHQCGEYQKCFELTSTLLEKDPFHLKCTLVHLAAAMELGHSNELYLMACNLVKDYPQKALSWFAVGCYYYCIKKYDQSRRYFSKATSLDGTFPPAWIGFGNAYAVREEGDQAMSAYRTAARLFPGCHLPTLYIGMEYMRTHSFKLAEQV >EOY13331 pep chromosome:Theobroma_cacao_20110822:7:7570472:7580524:-1 gene:TCM_031876 transcript:EOY13331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase promoting complex 6 isoform 5 MREEEIEKLRGVVRDCVSKHLYSSAIFFADKVAAITNDPADVYMQAQALFLGRHFRRAFHLLNASKIVFRDLRFRYLAAKCLEELKEWDQCLLMLGDEKFDEHGNVYDAKDNSAMYLDKDGEDREINISSAIFFLRGKAYEALENRAQARQWYKAAIKADPLCYEALECLIENHMLTCEEETSLLSSLQFGAEDGWLSSFYSCLIKKYDKENVVEAKFKELEKESSKSNPSSQSLMCTLKDNTDLLACKAEYYHQCGEYQKCFELTSTLLEKDPFHLKCTLVHLAAAMELGHSNELYLMACNLVKDYPQKALSWFAVGCYYYCIKKYDQSRRYFSKATSLDGTFPPAWIGFGNAYAVREEGDQAMSAYRTAARLFPGCHLPTLYIGMEYMRTHSFKLAEQFIMQAKAICPSDPLVYNELGVVAYHMKEYNKAVWWFEKTLALIPAPISEMWEPTVVNLAHAYRKLKMYHEAISFYEKALTLSTKSLSTYAGLAYTYHLQDNFTAAITYYHKALWLKPDDPFCTEMLNVALVDECRQGIDPKIDFC >EOY13334 pep chromosome:Theobroma_cacao_20110822:7:7570964:7580524:-1 gene:TCM_031876 transcript:EOY13334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase promoting complex 6 isoform 5 MLTCEEETSLLSSLQFGAEDGWLSSFYSCLIKKYDKENVVEAKFKELEKESSKSNPSSQSLMCTLKDNTDLLACKAEYYHQCGEYQKCFELTSTLLEKDPFHLKCTLVHLAAAMELGHSNELYLMACNLVKDYPQKALSWFAVGCYYYCIKKYDQSRRYFSKATSLDGTFPPAWIGFGNAYAVREEGDQAMSAYRTAARLFPGCHLPTLYIGMEYMRTHSFKLAEQFIMQAKAICPSDPLVYNELGVVAYHMKEYNKAVWWFEKTLALIPAPISEMWEPTVVNLAHAYRKLKMYHEAISFYEKALTLSTKSLSTYAGLAYTYHLQDNFTAAITYYHKALWLKPDDPFCTEMLNVALVDECRQGIDPKIDFC >EOY13332 pep chromosome:Theobroma_cacao_20110822:7:7575010:7580399:-1 gene:TCM_031876 transcript:EOY13332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase promoting complex 6 isoform 5 MREEEIEKLRGVVRDCVSKHLYSSAIFFADKVAAITNDPADVYMQAQALFLGRHFRRAFHLLNASKIVFRDLRFRYLAAKCLEELKEWDQCLLMLGDEKFDEHGNVYDAKDNSAMYLDKDGEDREINISSAIFFLRGKAYEALENRAQARQWYKAAIKADPLCYEALECLIENHMLTCEEETSLLSSLQFGAEDGWLSSFYSCLIKKYDKENVVEAKFKELEKESSKSNPSSQSLMCTLKDNTDLLACKAEYYHQCGEYQKCFELTSTLLEKDPFHLKCTLVHLAAAMELGHSNELYLMACNLVKDYPQKALSWFAVGCYYYCIKKYDQSRRYFSKATSLDGTFPPAWIGFGNAYAVREEGDQAMSAYRTAARLFPGLNLDILLFQVPFTNFIHWNGVHADSQLQAC >EOY13333 pep chromosome:Theobroma_cacao_20110822:7:7571234:7580399:-1 gene:TCM_031876 transcript:EOY13333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase promoting complex 6 isoform 5 MREEEIEKLRGVVRDCVSKHLYSSAIFFADKVAAITNDPADVYMQAQALFLGRHFRRAFHLLNASKIVFRDLRFRYLAAKCLEELKEWDQCLLMLGDEKFDEHGNVYDAKDNSAMYLDKDGEDREINISSAIFFLRGKAYEALENRAQARQWYKAAIKADPLCYEALECLIENHMLTCEEETSLLSSLQFGAEDGWLSSFYSCLIKKYDKENVVEAKFKELEKESSKSNPSSQSLMCTLKDNTDLLACKAEYYHQCGEYQKCFELTSTLLEKDPFHLKCTLVHLAAAMELGHSNELYLMACNLVKDYPQKALSWFAVGCYYYCIKKYDQSRRYFSKATSLDGTFPPAWIGFGNAYAVREEGDQAMSAYRTAARLFPGCHLPTLYIGMEYMRTHSFKLAEQFIMQAKAICPSDPLVYNELGVVAYHMKEYNKAVWWFEKTLALIPAPISEMWEPTVVNLAHAYRKLKMYHEAISFYEKALTLSTKSLSTYAGLAYTYHLQDNFTAAITYYHKL >EOY13671 pep chromosome:Theobroma_cacao_20110822:7:10005265:10010455:-1 gene:TCM_032307 transcript:EOY13671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVEEYTSEFNNLSIRVGLAESNEQITSRYLAGLNHSIRDEMGVVRLYNIEDARQYALSAEKRVLRYGARKPLYGTHWQNNSETRLWQWWLIVIIGQKCAEMWRVIPQAEFAYNNSVNRSIKKTPFEAAYGLKPQHVLDLVPLPQEARVSNEGELFADHIRKIHEEVKAALKASNAEYSFTANQHRRKQEFEEGDQVLVHLRQERFPKVTT >EOY14244 pep chromosome:Theobroma_cacao_20110822:7:22498459:22510833:-1 gene:TCM_033540 transcript:EOY14244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase 21, putative MLKASTADPMENVKFIDTLLRLGVSYHFENDIEMQLERIFNSQENLFHKNDYDLNSTSIAFRVSRLHGFKMSCGVFEKFKDGSVGKFEESLVDDIRGMLSLYEAAYLRVHGELLLEEAIAFTKANLKPLAAKSSRHLAKQIMNAVDNPLNKCPPRLEARNYISFYEEEESRNETLLTFAKLDFNRFIGLINNYQAEVKWCHDGYVPTFEEYMRVAMKTSTYDPIITTSFIGMGTIAGLEAFEWLQTEPRIMTAANMIGRIMDDIASHKFEQLREHCPSSVECYMKQHNLSEKDALHDLKKKLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHGDGYTDPDPYMKDDIRALFVDPVRI >EOY12353 pep chromosome:Theobroma_cacao_20110822:7:1792096:1794912:1 gene:TCM_030882 transcript:EOY12353 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 MLKEWLPGITEVAFRCGGAVSLWFFAAMRDLGIGFLIGVLKRTFFAAVTCIFALGGAIVGTVVGAMKGQTTETGFFRGAGIGAVAGAITAVQLLESLANGESLSKVALLVSLVNGKVFIEWVSPAVLKAYQWQMNSLESTYREISDIYDVNGAKGLSRSCIQKLPVHEFHPSEMIKSREESSCSICLQELKDGELARNLPRCGHIFHLNCIDEWLSRQGTCPMCREQVCNDAEEL >EOY12355 pep chromosome:Theobroma_cacao_20110822:7:1792847:1794856:1 gene:TCM_030882 transcript:EOY12355 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 MLKEWLPGITEVAFRCGGAVSLWFFAAMRDLGIGFLIGVLKRTFFAAVTCIFALGGAIVGTVVGAMKGQTTETGFFRGAGIGAVAGAITAVQLLESLANGESLSKVALLVSLVNGKVFIEWVSPAVLKAYQWQVSKVFSVGKQNYSFLSICVFCFSFHLTGNLKEMFQMNSLESTYREISDIYDVNGAKGLSRSCIQKLPVHEFHPSEMIKSREESSCSICLQELKDGELARNLPRCGHIFHLNCIDEWLSRQGTCPMCREQVCNDAEEL >EOY12354 pep chromosome:Theobroma_cacao_20110822:7:1792772:1794965:1 gene:TCM_030882 transcript:EOY12354 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 MLKEWLPGITEVAFRCGGAVSLWFFAAMRDLGIGFLIGVLKRTFFAAVTCIFALGGAIVGTVVGAMKGQTTETGFFRGAGIGAVAGAITAVQLLESLANGESLSKVALLVSLVNGKVFIEWVSPAVLKAYQWQEMFQMNSLESTYREISDIYDVNGAKGLSRSCIQKLPVHEFHPSEMIKSREESSCSICLQELKDGELARNLPRCGHIFHLNCIDEWLSRQGTCPMCREQVCNDAEEL >EOY12030 pep chromosome:Theobroma_cacao_20110822:7:691381:695258:1 gene:TCM_030647 transcript:EOY12030 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MDEGEGGDQMDQFHRNEAISAVADEGFLGEEDDDYEDLYNDVNVGEGFLQSLRKNQDSGFSNVETNSSANRNDDINNNNNGGGKVGGSPMGVTESGVSIPGVAGGGERGDSRVSYESQGFRGGGDVKGPSGSVSGGGGGLRIEFAQASSKLKDMPEEQSGNNNSSLGGVGGIGQQGHRVGNVGSVGNEGLTRQGGVGGGSVNGGGSGAGPIVGNGGGNVGGGGGGGGGVGVGASGGGSVGGTILFVGDLHWWTTDAELESELCKYGPVKEVKFFDEKASGKSKGYCQVEFYDPAAATACKEGMNGHMFNGRPCVVAFASPFTVKKMGEAQLHRNQQQASFSQARRGPNDAGVKTGASNIQTGGNYQGGDNNRGYGRGNWGRGNAQGMGNRGPVGPMRNRAGGMGGRGIMGNGGNGFGQGLGATPPLMHPQAMMGQGFDPAFGGPMGRMGGYGGFPGAPTPPFSGILSSFPPVGGVGLPGVAPHVNPAFFGRGMPMNGMGMMPTSGVDGPNMGMWSDPNMGGWGGDEHGGGRAGESSYGEEAASDHQYGEVSHDRGGWQNPTKEKDRASERDWSGSSERRYRDDREPGYDKDMPREKDMGLEHDWPERRQRDDRDIVRERDRERDRDRERSRDHVRDRDRERDRDRDKDRYREDRDRYADHHRYRDRDPEHDDHGRSSRTHNRSRLSQEEEHRSRSRDADYGKRRRLTSE >EOY12029 pep chromosome:Theobroma_cacao_20110822:7:691296:695194:1 gene:TCM_030647 transcript:EOY12029 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MDEGEGGDQMDQFHRNEAISAVADEGFLGEEDDDYEDLYNDVNVGEGFLQSLRKNQDSGFSNVETNSSANRNDDINNNNNGGGKVGGSPMGVTESGVSIPGVAGGGERGDSRVSYESQGFRGGGDVKGPSGSVSGGGGGLRIEFAQASSKLKDMPEEQSGNNNSSLGGVGGIGQQGHRVGNVGSVGNEGLTRQGGVGGGSVNGGGSGAGPIVGNGGGNVGGGGGGGGGVGVGASGGGSVGGTILFVGDLHWWTTDAELESELCKYGPVKEVKFFDEKASGKSKGYCQVEFYDPAAATACKEGMNGHMFNGRPCVVAFASPFTVKKMGEAQLHRNQQQASFSQARRGPNDAGVKTGASNIQTGGNYQGGDNNRGYGRGNWGRGNAQGMGNRGPVGPMRNRAGGMGGRGIMGNGGNGFGQGLGATPPLMHPQAMMGQGFDPAFGGPMGRMGGYGGFPGAPTPPFSGILSSFPPVGGVGLPGVAPHVNPAFFGRGMPMNGMGMMPTSGVDGPNMGMWSDPNMGGWGGDEHGGGRAGESSYGEEAASDHQYGEVSHDRGGWQNPTKEKDRASERDWSGSSERRYRDDREPGYDKDMPREKDMGLEHDWPERRQRDDRDIVRERDRERDRDRERSRDHVRDRDRERDRDRDKDRYREDRDRYADHHRYRDRDPEHDDHGRSSRTHNRSRLSQEEEHRSRSRDADYGKRRRLTSE >EOY12031 pep chromosome:Theobroma_cacao_20110822:7:691255:695258:1 gene:TCM_030647 transcript:EOY12031 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MDEGEGGDQMDQFHRNEAISAVADEGFLGEEDDDYEDLYNDVNVGEGFLQSLRKNQDSGFSNVETNSSANRNDDINNNNNGGGKVGGSPMGVTESGVSIPGVAGGGERGDSRVSYESQGFRGGGDVKGPSGSVSGGGGGLRIEFAQASSKLKDMPEEQSGNNNSSLGGVGGIGQQGHRVGNVGSVGNEGLTRQGGVGGGSVNGGGSGAGPIVGNGGGNVGGGGGGGGGVGVGASGGGSVGGTILFVGDLHWWTTDAELESELCKYGPVKEVKFFDEKASGKSKGYCQVEFYDPAAATACKEGMNGHMFNGRPCVVAFASPFTVKKMGEAQLHRNQQQASFSQARRGPNDAGVKTGASNIQTGGNYQGGDNNRGYGRGNWGRGNAQGMGNRGPVGPMRNRAGGMGGRGIMGNGGNGFGQGLGATPPLMHPQAMMGQGFDPAFGGPMGRMGGYGGFPGAPTPPFSGILSSFPPVGGVGLPGVAPHVNPAFFGRGMPMNGMGMMPTSGVDGPNMGMWSDPNMGGWGGDEHGGGRAGESSYGEEAASDHQYGEVSHDRGGWQNPTKEKDRASERDWSGSSERRYRDDREPGYDKDMPREKDMGLEHDWPERRQRDDRDIVRERDRERDRDRERSRDHVRDRDRERDRDRDKDRYREDRDRYADHHRYRDRDPEHDDHGRSSRTHNRSRLSQEEEHRSRSRDADYGKRRRLTSE >EOY12822 pep chromosome:Theobroma_cacao_20110822:7:4295101:4296715:-1 gene:TCM_031340 transcript:EOY12822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQLMTKSLKMQDLGVALRDLGASLRVDHIYIPLHTELEALPFGLEIGSLSKARPESDEASSLLAARSTKSLPANYYVLKKKNRTCVGGILGMFLPYGASFVKQVTNEIIFQKN >EOY13072 pep chromosome:Theobroma_cacao_20110822:7:5787206:5791893:1 gene:TCM_031598 transcript:EOY13072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIAGGGGIKEEREMDMDVDEMDSLFEGMVLFTPSQLAEDKEQEQKQDLDHLEHESQNSTQQHQVLEPLDENLFSDLVLQVPLDQPDPGPEPEPEPEAGPPSATAFSRQTSYPSRKKKRATGFRIGYGRDDQVNNNIDDHDESHSAAQPITSPHTSTTTSTTISLVSGSDSQSHQGQAQQQQQQQQQQRKSINDAEAQFEQIKAQVADKLSRARKLAASVSEARKDSIRRRRKAADDLNLATIRFGDLEKQLEDACEAEDFEAADRINESLAAADKDKQALLSALRDAEAQCNAIDSKMLEVLNCQIAVEEECASLLHHFSEDAMSNADLVFKKSEAQSSEEREKWLSSTEALELNKIELQIEAHLVDEARAVFNTSLDSLIEDDKREKEFLCNQKDILTDELHKLLALVKDKEKEIAENNSKIKEVDQRIADVVSGFQEMQSSIDSKYDNLQSHLSQMDIESETLAKKKEEIDKLLSEEEDRGIKLKELARISVGEAKMYLEVVGLRKSLLSSVLKSMEDKVTLAKTEEKLSEDVQMLQQDASTARASLQELSSTKSSIQQNIASLKQRIFFIDKMVPELEAEKKVAAASRNFKEAARIAAEAKSLTIEKESVQIEMDKAVLDLGKLEEEIKHTVDRLQEIEGLILSKEKEVAMARFQRLLLIAGAAKAERSAALELGDLEEANLLLAEADAADAEAKKLQPKYNLKEEEFEDLPKHFISLELVSNLGQKKLADLAALSTA >EOY13650 pep chromosome:Theobroma_cacao_20110822:7:9836603:9838209:1 gene:TCM_032277 transcript:EOY13650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKPKAQLPWFGLMAALALFLLPEACIAGRRNKDCGFTLCGDVNISYPFRLTSQPRECGVRRLELECDSNNRTTLVMKYGRFYVQNISYVYHTIQVIDANLGRNDCSLPRSSFISDSICKTPYRASYHARSFMYLVNCTTPIKSSLYVDASRCPDRSFLPPTYFYFLDQQTKPRDFDQFCTVEARVPVELENISNVSTLDIYEKLLLGFELSWRYFDDHLLYCAEDKLQVM >EOY13770 pep chromosome:Theobroma_cacao_20110822:7:10714437:10717038:-1 gene:TCM_032415 transcript:EOY13770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MQCELDAPGVNGTWIVMPLPANSHAIGYKWVYKVKLNSDIYSLFTKSTNNGGFTALIVYVDDIVIDSTSMQLRNERKCTLDILEEFGLLGAKPVSTPIDYKHKISKTEKKDHLLDSTVYRQLRGKLLYLTLTRPDISYVVHVLSQYMDKPSEANQKLGFRALKYLKGVPGQGILLSIESDLNLQAHNSNDWVGCLDTRRSVTSYGCCLVDHL >EOY13424 pep chromosome:Theobroma_cacao_20110822:7:8250135:8259472:1 gene:TCM_031998 transcript:EOY13424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASRDPRVDNGEKAASEEEEMPLREKLEIFQQEMHALIDKLMARTFELEADILSNNKILGEIYEIALRDLLLGIGRLGYTRGLPNVKLMITYDGHWVDDTYKGGETRVRGVRSDLSFLGPMKLVEEVVGVNSHNNEIELYASLSHAVGVSRAVIRDDEDVASILRDERVIVVFVMNGFVHLCQMESPVLWLLWMLALPGLFLHHNPCGYLGPFNNCTITSTLTISFMPSKSSATEIKDLNGKRAAPPDRRQGGSRRQEESIDMGLLTDRQPRKVRRREKQENRCQRGLRRQEVSVGVGPPTDRRARKPDRRSTVSNTGKGVAAPDRRQRGSRRQEESVDVGPSTDRRPRGVRRSEGEKSSGGRV >EOY13587 pep chromosome:Theobroma_cacao_20110822:7:9382055:9382892:-1 gene:TCM_032187 transcript:EOY13587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFERKSWGIITLFYLGIQKELIKRRGKNFPPFQNTSQRSRQSQSILEGIPANLKPGMPSQYSFDTKQPCLASNPAPDHKAKQNNRGNPESTPKGHFPAYK >EOY13361 pep chromosome:Theobroma_cacao_20110822:7:7735455:7736936:-1 gene:TCM_031907 transcript:EOY13361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MGRMGGTRSYSANPSDYQLLEEIGHGATATVYKAIYLPSKDVLAVKCLDLDRCSGTNLDDVRREAQTLRLIDHPNVLRAYSSFVVDRNLWVVMPFMSEGSCLHRMKTAYPDGFEEAAIASILKETLKALDYLHRQGHIHRDVKAGNILLDNKGTVKLADFGVSACMFDSGDRQRSRNTFVGTPCWMAPEVMQSGTGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPRLDQDCDKKFSKSFKDMVAMCLVKDQTKRPTAEKLLKHSFFKHAKPPELSVKKLFAPLEPLSNPAKPLQLKDAAQLALKNMPSADQEATSRSQYQRGVSAWNFDIEDLKAQASLVHDDDDDGDIHECKDDDRSTKSSLGDKTAASCISSSIVLSNDLCKSWVIIPA >EOY12504 pep chromosome:Theobroma_cacao_20110822:7:2412103:2415461:-1 gene:TCM_030993 transcript:EOY12504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein alpha isoform 3 MASRLLLKTLSKRHRISSTPFPFLSKSSGSRSASTLVLAEHEGGSIKAQSLSAVVAASSIGENNSVSMLLAGSGSSLLKAAESAATCHPSLSQVLVADSDKFEYPLAEPWSKLVQLVQQQGGYSHVIATSGSFGKNILPRAAALLDISPVTDVVEIIGSHQFVRPIYAGNALCTVRYTGASPCMLTVRSTSFPVPPVSGDLKSDRAPISQVDLSTLDEDSIGKSRYIKLLSEDTERPDLGSAHIVVTGGRALKSAENFKLIEKLAEKLGAAVGATRAAVDAGFVPNELQVGQTGKIVAPELYLAFGVSGAIQHLAGMKDSKVIVAVNKDADAPIFQVADYGLVGDLFEVIPDLLEKLPEKKSIMLEMG >EOY12506 pep chromosome:Theobroma_cacao_20110822:7:2412429:2415522:-1 gene:TCM_030993 transcript:EOY12506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein alpha isoform 3 MASRLLLKTLSKRHRISSTPFPFLSKSSGSRSASTLVLAEHEGGSIKAQSLSAVVAASSIGENNSVSMLLAGSGSSLLKAAESAATCHPSLSQVLVADSDKFEYPLAEPWSKLVQLVQQQGGYSHVIATSGSFGKNILPRAAALLDISPVTDVVEIIGSHQFVRPIYAGNALCTVRYTGASPCMLTVRSTSFPVPPVSGDLKSDRAPISQVDLSTLDEDSIGKSRYIKLLSEDTERPDLGSAHIVVTGGRALKSAENFKLIEKLAEKLGAAGWCYPCCC >EOY12505 pep chromosome:Theobroma_cacao_20110822:7:2411752:2415522:-1 gene:TCM_030993 transcript:EOY12505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein alpha isoform 3 MASRLLLKTLSKRHRISSTPFPFLSKSSGSRSASTLVLAEHEGGSIKAQSLSAVVAASSIGENNSVSMLLAGSGSSLLKAAESAATCHPSLSQVLVADSDKFEYPLAEPWSKLVQLVQQQGGYSHVIATSGSFGKNILPRAAALLDISPVTDVVEIIGSHQFVRPIYAGNALCTVRYTGASPCMLTVRSTSFPVPPVSGDLKSDRAPISQVDLSTLDEDSIGKSRYIKLLSEDTERPDLGSAHIVVTGGRALKSAENFKLIEKLAEKLGAAVGATRAAVDAGFVPNELQVGQTGKIVAPELYLAFGVSGAIQHLAGMKDSKVIVAVNKDADAPIFQVADYGLVGDLFEVIPDLLEKLPEKKMST >EOY14155 pep chromosome:Theobroma_cacao_20110822:7:21771475:21779537:-1 gene:TCM_033447 transcript:EOY14155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein with octicosapeptide/Phox/Bem1p domain, putative isoform 4 MNGENPNNIFMEQSRVHKQFNSVEYGNRELPQASQAIMLDPMSRLNMSIRPPELNGSEVKPVLNYSIQTGEEFALEFMQDRVNPRKPFIQNSLGESSYAAGYMDLKGILGISHTGSESGSGISMLNMVEELPKGFERKYPLHEDQSNYGSLQSVPQTSSGYGNSRGLLGMSLGASYRTSSKMKVLCSFGGKILPRPSDGKLRYVGGETRIIRIRKDISWQELKQKILAIYDQAHVIKYQLPGEDFDALVSVSSDEDLQNMMEECNELEDKEASQKLRMFLFSLSDLEDTQFGLGNTVGDSEIQYVVAVNGMDLGSTRSSTLNGWTSYSANNLAELDGKTIERETHRVARDSVVVSCSTFPGIMVSSSAFQSSQPVLPSFSNAYENHPQFYHGQTMQYPLQYGHNSSNYSYISEFSNSIPPNGFMNQHERLTEVQSCNGLQQNPQMLMTELKPKPEGSCNQDNDLERPHPLEKDHPVSSQPHDGKVIKHFPLEEVPVSVASSDVPFLTSKNEAKYQENENFVSSVDVVNPVMVPKPGNDDYHSMSSGTFGQGFADSDSNPTDLSYVEPPVPPHKVYYSERIPREKAELLNRLSKSDDSLGSQLLLSHPHSDVALRDAAAETVENVRDSNMVPHSEVSVAKPSNIDHQTIEDGLAQLQKYKEFADAISQMNSKLSEEALDAGLKQADSNLVDSTQTANKDRVQVDYMRDNLPDDQKILSFVEKRETGSGLPAVGESAFAMHHEAPQHNLPKPTHGNMTSKNPPGHFQAGLRTESSTNDDSTEHHDFGISRAEQGDILIDINDRFPRDFLSDIFSKAMLSEESSGVSLLQTDGAGLSLNMENHEPKHWSYFQKLAQDYGEKDGSLINQDIRSDQLTPAEVVPLTQADSNQNSGEDNQKDNQPQEKITESMQFDAMMENLRTPESEYEKGKSEKRNIGLPPLDPSLGDFDINTLQLIKNEDLEELKELGSGSFGTVYHGKWRGSDVAIKRIKKSFFTGQSSEQERLTIEFWREADILSKLHHPNVVAFYGVVQDGPGGTMATVTEYMVDGSLRHVLLRKDRYLDRRKKLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDPSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVSNTLRPTIPSFCDPEWRKLMEECWAPNPAARPSFSEIASQLRTMSAAANQTKVHGNKATK >EOY14158 pep chromosome:Theobroma_cacao_20110822:7:21771624:21783215:-1 gene:TCM_033447 transcript:EOY14158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein with octicosapeptide/Phox/Bem1p domain, putative isoform 4 MNGENPNNIFMEQSRVHKQFNSVEYGNRELPQASQAIMLDPMSRLNMSIRPPELNGSEVKPVLNYSIQTGEEFALEFMQDRVNPRKPFIQNSLGESSYAAGYMDLKGILGISHTGSESGSGISMLNMVEELPKGFERKYPLHEDQSNYGSLQSVPQTSSGYGNSRGLLGMSLGASYRTSSKMKVLCSFGGKILPRPSDGKLRYVGGETRIIRIRKDISWQELKQKILAIYDQAHVIKYQLPGEDFDALVSVSSDEDLQNMMEECNELEDKEASQKLRMFLFSLSDLEDTQFGLGNTVGDSEIQYVVAVNGMDLGSTRSSTLNGWTSYSANNLAELDGKTIERETHRVARDSVVVSCSTFPGIMVSSSAFQSSQPVLPSFSNAYENHPQFYHGQTMQYPLQYGHNSSNYSYISEFSNSIPPNGFMNQHERLTEVQSCNGLQQNPQMLMTELKPKPEGSCNQDNDLERPHPLEKDHPVSSQPHDGKVIKHFPLEEVPVSVASSDVPFLTSKNEAKYQENENFVSSVDVVNPVMVPKPGNDDYHSMSSGTFGQGFADSDSNPTDLSYVEPPVPPHKVYYSERIPREKAELLNRLSKSDDSLGSQLLLSHPHSDVALRDAAAETVENVRDSNMVPHSEVSVAKPSNIDHQTIEDGLAQLQKYKEFADAISQMNSKLSEEALDAGLKQADSNLVDSTQTANKDRVQVDYMRDNLPDDQKILSFVEKRETGSGLPAVGESAFAMHHEAPQHNLPKPTHGNMTSKNPPGHFQAGLRTESSTNDDSTEHHDFGISRAEQGDILIDINDRFPRDFLSDIFSKAMLSEESSGVSLLQTDGAGLSLNMENHEPKHWSYFQKLAQDYGEKDGSLINQDIRSDQLTPAEVVPLTQADSNQNSGEDNQKDNQPQEKITESMQFDAMMENLRTPESEYEKGKSEKRNIGLPPLDPSLGDFDINTLQLIKNEDLETFYQSFTIQMWWHFMVWCKMVLGEQWLLLQSTWLMVPLGMFYFGKIGILIVGRS >EOY14157 pep chromosome:Theobroma_cacao_20110822:7:21773296:21783181:-1 gene:TCM_033447 transcript:EOY14157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein with octicosapeptide/Phox/Bem1p domain, putative isoform 4 MNGENPNNIFMEQSRVHKQFNSVEYGNRELPQASQAIMLDPMSRLNMSIRPPELNGSEVKPVLNYSIQTGEEFALEFMQDRVNPRKPFIQNSLGESSYAAGYMDLKGILGISHTGSESGSGISMLNMVEELPKGFERKYPLHEDQSNYGSLQSVPQTSSGYGNSRGLLGMSLGASYRTSSKMKVLCSFGGKILPRPSDGKLRYVGGETRIIRIRKDISWQELKQKILAIYDQAHVIKYQLPGEDFDALVSVSSDEDLQNMMEECNELEDKEASQKLRMFLFSLSDLEDTQFGLGNTVGDSEIQYVVAVNGMDLGSTRSSTLNGWTSYSANNLAELDGKTIERETHRVARDSVVVSCSTFPGIMVSSSAFQSSQPVLPSFSNAYENHPQFYHGQTMQYPLQYGHNSSNYSYISEFSNSIPPNGFMNQHERLTEVQSCNGLQQNPQMLMTELKPKPEGSCNQDNDLERPHPLEKDHPVSSQPHDGKVIKHFPLEEVPVSVASSDVPFLTSKNEAKYQENENFVSSVDVVNPVMVPKPGNDDYHSMSSGTFGQGFADSDSNPTDLSYVEPPVPPHKVYYSERIPREKAELLNRLSKSDDSLGSQLLLSHPHSDVALRDAAAETVENVRDSNMVPHSEVSVAKPSNIDHQTIEDGLAQLQKYKEFADAISQMNSKLSEEALDAGLKQADSNLVDSTQTANKDRVQVDYMRDNLPDDQKILSFVEKRETGSGLPAVGESAFAMHHEAPQHNLPKPTHGNMTSKNPPGHFQAGLRTESSTNDDSTEHHDFGISRAEQGDILIDINDRFPRDFLSDIFSKAMLSEESSGVSLLQTDGAGLSLNMENHEPKHWSYFQKLAQDYGEKDGSLINQDIRSDQLTPAEVVPLTQADSNQNSGEDNQKDNQPQEKITESMQFDAMMENLRTPESEYEKGKSEKRNIGLPPLDPSLGDFDINTLQLIKNEDLEELKELGSGSFGTVYHGKWRGSDVAIKRIKKSFFTGQSSEQERLTIEFWREADILSKLHHPNVVAFYGVVQDGPGGTMATVTEYMVDGSLRHVLLRKDRYLDRRKKLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDPSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIV >EOY14156 pep chromosome:Theobroma_cacao_20110822:7:21771175:21783541:-1 gene:TCM_033447 transcript:EOY14156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein with octicosapeptide/Phox/Bem1p domain, putative isoform 4 MNGENPNNIFMEQSRVHKQFNSVEYGNRELPQASQAIMLDPMSRLNMSIRPPELNGSEVKPVLNYSIQTGEEFALEFMQDRVNPRKPFIQNSLGESSYAAGYMDLKGILGISHTGSESGSGISMLNMVEELPKGFERKYPLHEDQSNYGSLQSVPQTSSGYGNSRGLLGMSLGASYRTSSKMKVLCSFGGKILPRPSDGKLRYVGGETRIIRIRKDISWQELKQKILAIYDQAHVIKYQLPGEDFDALVSVSSDEDLQNMMEECNELEDKEASQKLRMFLFSLSDLEDTQFGLGNTVGDSEIQYVVAVNGMDLGSTRSSTLNGWTSYSANNLAELDGKTIERETHRVARDSVVVSCSTFPGIMVSSSAFQSSQPVLPSFSNAYENHPQFYHGQTMQYPLQYGHNSSNYSYISEFSNSIPPNGFMNQHERLTEVQSCNGLQQNPQMLMTELKPKPEGSCNQDNDLERPHPLEKDHPVSSQPHDGKVIKHFPLEEVPVSVASSDVPFLTSKNEAKYQENENFVSSVDVVNPVMVPKPGNDDYHSMSSGTFGQGFADSDSNPTDLSYVEPPVPPHKVYYSERIPREKAELLNRLSKSDDSLGSQLLLSHPHSDVALRDAAAETVENVRDSNMVPHSEVSVAKPSNIDHQTIEDGLAQLQKYKEFADAISQMNSKLSEEALDAGLKQADSNLVDSTQTANKDRVQVDYMRDNLPDDQKILSFVEKRETGSGLPAVGESAFAMHHEAPQHNLPKPTHGNMTSKNPPGHFQAGLRTESSTNDDSTEHHDFGISRAEQGDILIDINDRFPRDFLSDIFSKAMLSEESSGVSLLQTDGAGLSLNMENHEPKHWSYFQKLAQDYGEKDGSLINQDIRSDQLTPAEVVPLTQADSNQNSGEDNQKDNQPQEKITESMQFDAMMENLRTPESEYEGKSEKRNIGLPPLDPSLGDFDINTLQLIKNEDLEELKELGSGSFGTVYHGKWRGSDVAIKRIKKSFFTGQSSEQERLTIEFWREADILSKLHHPNVVAFYGVVQDGPGGTMATVTEYMVDGSLRHVLLRKDRYLDRRKKLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDPSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVSNTLRPTIPSFCDPEWRKLMEECWAPNPAARPSFSEIASQLRTMSAAANQTKVHGNKATK >EOY14159 pep chromosome:Theobroma_cacao_20110822:7:21775047:21783215:-1 gene:TCM_033447 transcript:EOY14159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein with octicosapeptide/Phox/Bem1p domain, putative isoform 4 MNGENPNNIFMEQSRVHKQFNSVEYGNRELPQASQAIMLDPMSRLNMSIRPPELNGSEVKPVLNYSIQTGEEFALEFMQDRVNPRKPFIQNSLGESSYAAGYMDLKGILGISHTGSESGSGISMLNMVEELPKGFERKYPLHEDQSNYGSLQSVPQTSSGYGNSRGLLGMSLGASYRTSSKMKVLCSFGGKILPRPSDGKLRYVGGETRIIRIRKDISWQELKQKILAIYDQAHVIKYQLPGEDFDALVSVSSDEDLQNMMEECNELEDKEASQKLRMFLFSLSDLEDTQFGLGNTVGDSEIQYVVAVNGMDLGSTRSSTLNGWTSYSANNLAELDGKTIERETHRVARDSVVVSCSTFPGIMVSSSAFQSSQPVLPSFSNAYENHPQFYHGQTMQYPLQYGHNSSNYSYISEFSNSIPPNGFMNQHERLTEVQSCNGLQQNPQMLMTELKPKPEGSCNQDNDLERPHPLEKDHPVSSQPHDGKVIKHFPLEEVPVSVASSDVPFLTSKNEAKYQENENFVSSVDVVNPVMVPKPGNDDYHSMSSGTFGQGFADSDSNPTDLSYVEPPVPPHKVYYSERIPREKAELLNRLSKSDDSLGSQLLLSHPHSDVALRDAAAETVENVRDSNMVPHSEVSVAKPSNIDHQTIEDGLAQLQKYKEFADAISQMNSKLSEEALDAGLKQADSNLVDSTQTANKDRVQVDYMRDNLPDDQKILSFVEKRETGSGLPAVGESAFAMHHEAPQHNLPKPTHGNMTSKNPPGHFQAGLRTESSTNDDSTEHHDFGISRAEQGDILIDINDRFPRDFLSDIFSKAMLSEESSGVSLLQTDGAGLSLNMENHEPKHWSYFQKLAQDYGEKDGSLINQDIRSDQLTPAEVVPLTQADSNQNSGEDNQKDNQPQEKITESMQFDAMMENLRTPESEYEKGKSEKRNIGLPPLDPSLGDFDINTLQLIKNEDLEELKELGSGSFGTVYHGKWRGSDVAIKRIKKSFFTDH >EOY14160 pep chromosome:Theobroma_cacao_20110822:7:21775043:21783219:-1 gene:TCM_033447 transcript:EOY14160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein with octicosapeptide/Phox/Bem1p domain, putative isoform 4 MNGENPNNIFMEQSRVHKQFNSVEYGNRELPQASQAIMLDPMSRLNMSIRPPELNGSEVKPVLNYSIQTGEEFALEFMQDRVNPRKPFIQNSLGESSYAAGYMDLKGILGISHTGSESGSGISMLNMVEELPKGFERKYPLHEDQSNYGSLQSVPQTSSGYGNSRGLLGMSLGASYRTSSKMKVLCSFGGKILPRPSDGKLRYVGGETRIIRIRKDISWQELKQKILAIYDQAHVIKYQLPGEDFDALVSVSSDEDLQNMMEECNELEDKEASQKLRMFLFSLSDLEDTQFGLGNTVGDSEIQYVVAVNGMDLGSTRSSTLNGWTSYSANNLAELDGKTIERETHRVARDSVVVSCSTFPGIMVSSSAFQSSQPVLPSFSNAYENHPQFYHGQTMQYPLQYGHNSSNYSYISEFSNSIPPNGFMNQHERLTEVQSCNGLQQNPQMLMTELKPKPEGSCNQDNDLERPHPLEKDHPVSSQPHDGKVIKHFPLEEVPVSVASSDVPFLTSKNEAKYQENENFVSSVDVVNPVMVPKPGNDDYHSMSSGTFGQGFADSDSNPTDLSYVEPPVPPHKVYYSERIPREKAELLNRLSKSDDSLGSQLLLSHPHSDVALRDAAAETVENVRDSNMVPHSEVSVAKPSNIDHQTIEDGLAQLQKYKEFADAISQMNSKLSEEALDAGLKQADSNLVDSTQTANKDRVQVDYMRDNLPDDQKILSFVEKRETGSGLPAVGESAFAMHHEAPQHNLPKPTHGNMTSKNPPGHFQAGLRTESSTNDDSTEHHDFGISRAEQGDILIDINDRFPRDFLSDIFSKAMLSEESSGVSLLQTDGAGLSLNMENHEPKHWSYFQKLAQDYGEKDGSLINQDIRSDQLTPAEVVPLTQADSNQNSGEDNQKDNQPQEKITESMQFDAMMENLRTPESEYEKGKSEKRNIGLPPLDPSLGDFDINTLQLIKNEDLEELKELGSGSFGTVYHGKWRGSDVAIKRIKKSFFTDH >EOY13141 pep chromosome:Theobroma_cacao_20110822:7:6144538:6149963:1 gene:TCM_031663 transcript:EOY13141 gene_biotype:protein_coding transcript_biotype:protein_coding description:28 kDa heat-and acid-stable phosphoprotein MGRGKFKGKPTGHRHFSTPEELLAGTSARPRTFKKEVAEVEEEEEEESEEEVEEESEKRKGTQGLIEIENPNLAKQKNVKARDVDVGKTTELSRREREEIEKQKAHERYMKLQEQGKTEQARKDLERLALIRQQRTEAAKKREEEKAVREQKKVEARK >EOY12022 pep chromosome:Theobroma_cacao_20110822:7:662597:667434:1 gene:TCM_030640 transcript:EOY12022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase MSISVDLLEVVESRLCENGVCHVELLPAINDDHGGVIVEMKEHMDSDTFVTRLRASMLKWKQQGKRGVWIKLPIGLVHLVETVVKEGFWYHHAEPSYLMLVHWIPKTPNTIPANATHRVGVGSIILNDKREVLTVQEKSGRFHGAGLWKIPTGVVDEGEDIFTAAVREVKEETGVDTEFVEVLGFRQSHKTFFGKSDLLFLCMMRPLSFDIETQELEIEAAQWMPFEEYAAQPSGQKNELFRYVNQVCLAKLGRGYGGFSPQPTISMFCDCAQPTYLYLNNKDLDKSRSAGNP >EOY13961 pep chromosome:Theobroma_cacao_20110822:7:15644188:15645979:1 gene:TCM_032890 transcript:EOY13961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEGNDHGNVSEQPLTEATIAPQPPTGLAQPHNANEPSIRIVLITFEDRIVDRILNGLKLQGCASSHHDGELHDNADDEQHDEPSANATIDASIEGERDLHLVEAKGDHVLEANAVVAAGGDKHLALVQAERDHVPQSTLEGNTSRLSSLELSDVHRPQALISDPIE >EOY14014 pep chromosome:Theobroma_cacao_20110822:7:17121452:17124551:-1 gene:TCM_033027 transcript:EOY14014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain superfamily protein MFLACLKKVSSNIRQRKASLVSNLSQKPKQSSIGEEENENDKATKQLRKQVTSPQIVVDHEDGTCNDDGPAAGLPGSSAIDEDKDDDGNYDDEYNVESSFPMRRTSRRSKKPVAENEKPLRKLKTADEKQAQKHEKTNEASVQPRPKKFSHSTRKKRRFVDKSLLHITEDEIDFAKVALKYIILFADYKEQLACSKTFHAENANNEENSLASEQDQGFTDDQVNSRAQSSSFCLNYQSYMDKEPTARWSNKTELFYRAIQQFGPDFLVIQQLFTGRSRHQIKLKFKNEERQYPLRLSEALASCADDHTYFEMVIEQLQQVS >EOY12963 pep chromosome:Theobroma_cacao_20110822:7:5063910:5072144:1 gene:TCM_031472 transcript:EOY12963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase 44 MPNSDVTTSLIAMWIFLLGLFLFAFGGVNGFPMNDLIEKLPGQPNVTFRQFSGYIDIDAKAGRSLFYYFVEAEKDPMNLPLTIWLTGGPGCGSVGDGFLSVGPFITTANAHGLQRNPYSWIKVTNLLFIDSPIGAGWSYSNTSRDYEVGDDSTNKDLLTFILQWFEKHPNFKSRDLYLGGSSYAGHFIPNFANSLLDYNNNQSNSSKFNIKGLALGNPLLRNKLDTLAVYDFFWSRGMININLHQQILKECNGIDEDNYSNNATKWSEPCQQAMDKAEMAAFIVSSTNVAKARRFDVLRDPCDEKWEDLVLGKEVTKVSFEVDMCIPFRADFYFNIPEVQKAFHGNRTNLGYQWKGCFEKSGLKYSDADKDIDMLPALKKILQQSIPITIFSGDQDAIVPTIGTLNHVNKLAKDMNLNLTKDEAWNHENKGGGWMYSYDNLLNFMTVKGANHHVSMSSKRCLLLMLMTRQQATFPISDTVCVESVDSSERQVAGNVYRRFLIPNPENPMNALESKV >EOY12674 pep chromosome:Theobroma_cacao_20110822:7:3325971:3330528:-1 gene:TCM_031179 transcript:EOY12674 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRNA capping enzyme family protein isoform 1 MKRGYPESPSSSFGPPQSRFKHNPEGDAQFLEDESTKIFARKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLIQLYARRGDAVLDLACGKGGDLIKWDKAKIGYYVGIDIADGSIEDCRTRYNGDADHHQRRKKFTFPARLICGDCYEVRLDRVLADDAPFDICSCQFAMHYSWSTEARARRALANVSALLRPGGTFIGTMPDANVIIKKLREAEGLAFGNSVYWIRFDEEFSDKKFKSSSPFGIKYKFHLEDAVDCPEWIVPFHLFKSLAEEYDLELVFVKNSHEFVHEYLKKPEYVELMRRLGALGDGNQDQSTLSPDEWEVAYLYLAFVLKKRGQPDRTQA >EOY12673 pep chromosome:Theobroma_cacao_20110822:7:3325557:3330398:-1 gene:TCM_031179 transcript:EOY12673 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRNA capping enzyme family protein isoform 1 MKRGYPESPSSSFGPPQSRFKHNPEGDAQFLEDESTKIFARKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLIQLYARRGDAVLDLACGKGGDLIKWDKAKIGYYVGIDIADGSIEDCRTRYNGDADHHQRRKKFTFPARLICGDCYEVRLDRVLADDAPFDICSCQFAMHYSWSTEARARRALANVSALLRPGGTFIGTMPDANVIIKKLREAEGLAFGNSVYWIRFDEEFSDKKFKSSSPFGIKYKFHLEDAVDCPEWIVPFHLFKSLAEEYDLELVFVKNSHEFVHEYLKKPEYVELMRRLGALGDGNQDQSTLSPDEWEVAYLYLAFVLKKRGQPDRTQANSRRDKGQMQIAKEDILYINSGV >EOY13003 pep chromosome:Theobroma_cacao_20110822:7:5269789:5274640:-1 gene:TCM_031512 transcript:EOY13003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 25, putative isoform 2 MDPEVIEIPPPIPRSFKSKQKQVIIHEVIDVDKEEDSADIMILDERVDARNKGKAVKSSSGGYSTIRAEDFVDKSLGSINKVEPSKHPTQGSQNIVNLDCDLSYDDEIFDNYYLNDIMDVDDYAMLQAHFDNVDIPAGVEASIPWFADFSESKKKTSHGNISSGVDGSDQSLSPWLSEPTHTNKKAALVNSSSFQTPGDPLSHSPGEASLSSPLLFPQGSQSKKKSATSQPRWSSQNLQFGHSLQSSQAGGSTNGSNVSHSDAMILPHAVTPAAYWDHINSAIQKQIGASSLFHSNFPTPLDALNNTLAVEPSVSWWPPMKSKFSFNKHNMYSSFPDPVDGAYITPQEVAEIRNQRIVNEEEILSKLQLFKQFDTVDDFSDHHYASSGASTKQPPKNWAKKIQEEWKILEKDLPDTIFVRVYESRMDLLRAVIIGAEGTPYHDGLFFFDVFFPASYPKVPPHVYYHSGGLRLNPNLYSCGKVCLSLLNTWSGNKNEKWIPGMSTMLQVLVSIQALILNQKPYFNEPGWAHHCGTPKGESLSRQYNEETFILSLKTMTYSMRRPPKHFEDFVVGHFYKRAHDILVACKAYMDGAQVGCLVKGGVQDVDEGDKSCSQKFKDSVAGCVNMLVKEFTVLGAKDCEKFLTVPKCQNNRVDNMQAAI >EOY13004 pep chromosome:Theobroma_cacao_20110822:7:5269781:5273402:-1 gene:TCM_031512 transcript:EOY13004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 25, putative isoform 2 MSWQVIIHEVIDVDKEEDSADIMILDERVDARNKGKAVKSSSGGYSTIRAEDFVDKSLGSINKVEPSKHPTQGSQNIVNLDCDLSYDDEIFDNYYLNDIMDVDDYAMLQAHFDNVDIPAGVEASIPWFADFSESKKKTSHGNISSGVDGSDQSLSPWLSEPTHTNKKAALVNSSSFQTPGDPLSHSPGEASLSSPLLFPQGSQSKKKSATSQPRWSSQNLQFGHSLQSSQAGGSTNGSNVSHSDAMILPHAVTPAAYWDHINSAIQKQIGASSLFHSNFPTPLDALNNTLAVEPSVSWWPPMKSKFSFNKHNMYSSFPDPVDGAYITPQEVAEIRNQRIVNEEEILSKLQLFKQFDTVDDFSDHHYASSGASTKQPPKNWAKKIQEEWKILEKDLPDTIFVRVYESRMDLLRAVIIGAEGTPYHDGLFFFDVFFPASYPKVPPHVYYHSGGLRLNPNLYSCGKVCLSLLNTWSGNKNEKWIPGMSTMLQVLVSIQALILNQKPYFNEPGWAHHCGTPKGESLSRQYNEETFILSLKTMTYSMRRPPSILRTLLWAISTNVLMIFSWRVKHIWMVLR >EOY12098 pep chromosome:Theobroma_cacao_20110822:7:941463:955326:1 gene:TCM_030700 transcript:EOY12098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 6, putative MWCLLKAFQLLHLLLFLLIFQANLSSSSSSITQLCSPEEAAALIQFKTSFSIDEYSSGECDESYPKTNSWKEGSDCCSWDGVTCDNIKGQVIGLDLSCSQLYGSIPFNSSLFHLPHLQKLNLAFNNFNYSEMSSKFGGFASLVYLNLSRSCFAGQVPSQISHLSKLVSLYLCGNYYQTIDKHTLEGLVENLTEVRQLFLGDINMSSINPNVLTNLSSSLRALNLEDCDLRGKFPRNIFLLSNLKSLYLGYNENLALYFPKVNRSINLQLLDLLGMSFSTDSIDSIGNLQSLKYLDLCGTSFPGGLPMTIMNLSSLEHLDASVSSFSGALPNSIGNLVSLEYLNLQSSNLSGSIPISLGNLSQLNHLDLSWNYFSGQIPSSLTNLRQLELLDISYNQLEGSIPDEVAAFPNLISLDFTFNSLNGTLPSWLYTASTLKYIAVRNNQLNGDVKEFQYKSLEEIFLENNKLTGPIPSSIFQFVNLTILDLSSNNLSGIVEFDMFSKLQNLQHLDLSYNSLSLSSNGTSANYTLPNLQSLHLSSCNVKEFPQFLKGSKSLQHLHLFNNKIYGKVPKWMLDMGKESLWTLNLSHNSLIDFEPLPWKKIHILDLSSNLIQGNVPIPPSTITFFFISNNSLSGEISSLICNVSSLRVLDLSHNNLSGIIPQCFGNLSKSLSVLKLEMNKFRGIIPPTFMKECDLSYLNLHGNQLEGPLTQSIINCRGLEVLDLGNNKINDTFPHWLGSLAQLQVLVLRSNKFHGSIHGARSSHSFSKIQIFDLSNNYFTGPLPVKYIKNFKAMANLTEDESVIGSYIGGHGSGGYSYSIGIRIKGVEIELVKIFIKLMIIDLSNNEFHGEIPEVIGELDSLKQLNLSHNNLNGCIPTSMGNLTALESLDLSSNKIVGKIPTQLTSLVSLEVLNLSQNQLVGPIPLGNQFNTFGNDSYANNLGLCGFPLSKSCDNIEAPIFHEEADPDSGFEWKVTFMGFGSGLVLGISAAYIMLTLGRPNWLVRMVEEASYKLKRYLRGRRNL >EOY13061 pep chromosome:Theobroma_cacao_20110822:7:5717621:5717975:-1 gene:TCM_031583 transcript:EOY13061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLNLTKDEAWNHENKDGGWMYSYDNLLTLITVKGANHHVTFSKPSEALFIFTNIVINRSH >EOY12200 pep chromosome:Theobroma_cacao_20110822:7:1269687:1273984:1 gene:TCM_030768 transcript:EOY12200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor tfiid, putative MSNVPKEAIEVVAQSIGITNLSPDVALALAPDVEYRVREIMQEAIKCMRHSRRTVLTADDVDNALNLRNVEPIYGFASRDPLRFKRAAGHKDLFYIDDKDVEFKEVIEAPLSKAPLETSVTSHWLAIESVQPAIPENASVEAPSDGKRAEHKEDGLSVDVKLPVKHVLSRDLQLYFEKIVELTLNRSVSVVFNRALLSLATDPGVHPLTPYFTYFITDEVARNLNNFRLLFALMRVAWSLVQNENIHIEPYLHQLMPSVITCLVAKRLGNKFTDNHWELRNFAAKLVASICKRFGHVYHNLQPRVTRTLLHAFLDPTKTLPQHYGALRGLAALGPSVVRLLILPNLEAYLQLLEPEMQPEKQKNEIKRHEAWCVYGALLRAAGLCMYDRLKMFPGLLAPPNRPVWKSSGKVVTRNKRKASTDNLMQQPPLKKMATDSASGMMPINSMQVDMQGAVGGFSATMGGSNIGVSSISRQLSNDNISGREASGRVLKTSTVLAQAWKEDTHAGNLLASLFELFGESMLSFTPKPELSIFL >EOY12409 pep chromosome:Theobroma_cacao_20110822:7:2004311:2006499:-1 gene:TCM_030924 transcript:EOY12409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 INLSCFCSKNFLIAAPSLEKLVVFNCLKLSNFTIQKEVNQQAQLKELYLSDLGNDKGCNTINSQSSWSLVNLEYITVGNCVKIFQMQAGQFFSRVENIQLEHLHQLQGPIQVASLQCLRWLHVSKCNRLKYLLSPMLVRNLPHLTHLEINHCEELEQIIEMDQTSASSSQAHLQPISFPSLEIIRIYKCSNLKSLFPLSITCSPSKVKIISIDGASKLERVFNLDVEDDQKGIVLPNLQGLLLKELPSLKSLSQGYHFRFPCMHYAEVKECPKLSTSFSIDSKRVVHAITEAPEQVENDRREGLITKEEIVDNQAACNDVFWLYGYQEDTLPLYMNVDEAEDN >EOY12410 pep chromosome:Theobroma_cacao_20110822:7:2003887:2006501:-1 gene:TCM_030924 transcript:EOY12410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 INLSCFCSKNFLIAAPSLEKLVVFNCLKLSNFTIQKEVNQQAQLKELYLSDLGNDKGCNTINSQSSWSLVNLEYITVGNCVKIFQMQAGQFFSRVENIQLEHLHQLQGPIQVASLQCLRWLHVSKCNRLKYLLSPMLVRNLPHLTHLEINHCEELEQIIEMDQTSASSSQAHLQPISFPSLEIIRIYKCSNLKSLFPLSITCSPSKVKIISIDGASKLERVFNLDVEDDQKGIVLPNLQGLLLKELPSLKSLSQGYHFRFPCMHYAEVKECPKLSTSFSIDSKRVVHAITEAPEQVENDRREGLITKEEIVDNQAACNDVFWLYGYQEDTLPLYMNVDEAEDN >EOY12408 pep chromosome:Theobroma_cacao_20110822:7:2001701:2005631:-1 gene:TCM_030924 transcript:EOY12408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MQAGQFFSRVENIQLEHLHQLQGPIQVASLQCLRWLHVSKCNRLKYLLSPMLVRNLPHLTHLEINHCEELEQIIEMDQTSASSSQAHLQPISFPSLEIIRIYKCSNLKSLFPLSITCSPSKVKIISIDGASKLERVFNLDVEDDQKGIVLPNLQGLLLKELPSLKSLSQGYHFRFPCMHYAEVKECPKLSTSFSIDSKRVVHAITEAPEQVENDRREGLITKEEIVDNQAACNDVFWLYGYQEDTLPLYMNVDEAEDN >EOY13722 pep chromosome:Theobroma_cacao_20110822:7:10362490:10367988:1 gene:TCM_032361 transcript:EOY13722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine sulfoxide reductase B 1 isoform 1 MMWHRETDAVKKNFAQHISTISTSLTVNLDRLSIMAINSLSIGTYIPWKTQFSFRAKHEAFGVLKKPRQVSFSVRSMGSFASSQRPDNVREPSAVDYVSVSDEEWKKMLTPEQFYITRQKGTERAFTGEYWNTKTPGTYHCICCDTPLFESSTKFDSGTGWPSYYQPIGNNVKSKLDLSIIFMPRQEVLCATCDAHLGHVFDDGPRPTRKRYCINSASLKLKPK >EOY13723 pep chromosome:Theobroma_cacao_20110822:7:10363268:10367905:1 gene:TCM_032361 transcript:EOY13723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine sulfoxide reductase B 1 isoform 1 MAINSLSIGTYIPWKTQFSFRAKHEAFGVLKKPRQVSFSVRSMGSFASSQRPDNVREPSAVDYVSVSDEEWKKMLTPEQFYITRQKGTERAFTGEYWNTKTPGTYHCICCDTPLFDGTGWPSYYQPIGNNVKSKLDLSIIFMPRQEVLCATCDAHLGHVFDDGPRPTRKRYCINSASLKLKPK >EOY12350 pep chromosome:Theobroma_cacao_20110822:7:1781215:1784653:-1 gene:TCM_030879 transcript:EOY12350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brassinosteroid insensitive 1-associated receptor kinase 1 precursor MVSPLSHCHPFLVILLGIHWIFATVQAQGNVSLGDFFSANDKNITWQSPSGDFAFGFHSIPDEKDQFLLAIWYVQILDRTIVWCANRQNPAERESKVELTSTGLVLKDPKGRELWRSKSLKNDAQASHAAMLDTGNFVIASRNSGNIWESFKYPTDTILPTQELDVDGSLSSALAEGSYQEGKYQLRFNNGSFILNQIDMFTGKPYNDYFILGNGSRLIFNKTGYIQIQNSNGSLLNLAPENAAPNPESNYYRATLNFNGVFTFYSYPRNPSGGGSWSAWWFRPRDICSRFVDSTARLGNGPCGYNSICEPINGRPNCTCPPGFSFLDEKNPYNGCKQDYTSYPQDCNPDGSTIEEDRFAFKSMQFADFPFSDYGILQPANEFECKQSCLRDCSCAVAILQDPTLSKDGNGTCWKKKLPLSNGWFNRDAVDRTALFKVLKSDASRKNPATPNPSDENQNQVILILGVLLGTSAVFNFFSLAAISLIFFCLYKRRLRDFNGIPSRRDLETNLRFFTYKDLEQATNRFKEELGRGAFGTVYKGELPSSFGNYVAVKKLDKFVQEGDREFKTEVKVIGQTHHKNLVRLIGYCDEGEHRLLVYEFMQNGSLSSFLFGVLRPRWQQRLQIALGIAKGLTYLHEECSIQIIHCDIKPHNVLLDDSFTAKISDFGLAKLLINNTRTMTGIRGTKGYVAPEWFKNTPLTVKVDVYSFGVMLLEIVTCRRCVEIEMEDAAILTEWAYECYSEGIAEKLVENDEEARSDLGKLEMLLKVAIWCVQDEPLLRPSMRTVSMMLEGAVQVPTPPCPFLANPLSRF >EOY13034 pep chromosome:Theobroma_cacao_20110822:7:5462715:5464141:1 gene:TCM_031541 transcript:EOY13034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASTSFNVVAPPVFNGDNYTIWAVKMKAYLRVFDLWEVVEVGGDPPVQRHANPTMAQLKQHSEEVAKRYKALSCIHSAISDSIFTRIMACENPKDAWDKLQEEFHGSDRTRQMQVLNLLREFEVLKMKDSETIRSILIK >EOY12748 pep chromosome:Theobroma_cacao_20110822:7:4004935:4016668:-1 gene:TCM_031282 transcript:EOY12748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine kinases,protein kinases,ATP binding,sugar binding,kinases,carbohydrate binding, putative isoform 2 MSGGETLVSSGQSFELGFFSPRNSKNKYLGICVVWSSNATKVAESPIAQLLDSGNFVVKDNAMVSSDSSESFLWQSFNYPSNTWLAGMKITDDFNKGLTSWKSLDDPSLGDYTCRIEHPELPQVVVGMGSIRKFQTGSWNGLQFSGLLPFSDPYFTLKLVFNKDEYMNQPETYLVNRRISLNNSGLLHYYVLNNATTEWAMIYTQPNDHQQNGNCLIGPVDAEAASTVSTPTQQHPILRKVQLYDIVLILRIEVIDYNDQGMECKKEDIEVPFFDLETLTAASDGFSPENLVGAGHFGSVFKGCLCAGQDIAVKRLSKNSKQGLEEFKNEVVLIAKLQHRNRVRLLGCCIQGEERMLVYEFMPNNSLDYFIFDQKRSALLPWKKRFGIIMGIAQGLLYLHQESRLQIIHRDLKTSNVLLDQNLNAVISDFGLARTFGGDEVQVRTNRVAGTYGYMSPEHAVDGEFLIKSGVFTFGVLILEILSSKKNKGFTHPDHHQNLLGYAWLLWKKERALELIDSCMENSCVPSEVLRCTQLGLLCVQKFPEDRPEMSCVVSMLVNEKDKLPEPKQPGFFIERRPNDGDAKLNREESVSNNATSLQIMSGKKNMAFTHPDHHHNLPGQSMSDGETLVSSGQSFKLGFFSPVNSKNRYLGIWYRQTPDTVTWVANRNNPITGSHGFLTVTKTGLVLSNQTNSVIWSSNTTKVAESPIAQLLDSGNFVVKDNAMVSSDSSESSLWQSFDYPSNTWLPGMKINDDFNKGLTSWKSLDDPSLGDYTCRIENPELPQVVVGMGSIRMFRTGFWNGLSFSGLLSVSDPYFTLKLVFNKDELEYMYQPETHLVNTRVSLNNSGLLHYYVLNNATTEWAMIYTQPNDVCDSYGKCGANSICGGQKDQLCECLMGFTPTAPAEWELLNWSSGCRRRKPLICQNGDGFLKLSRVKLPDLLEFQLNKTMSTKGCKKECLKNCSCTAYANSNITGKGHGCLMWFGNLVDIKGFNEENRGQDIYIRLPASELEWFSHSNTRKRLSVIIVVSVIAGILIVCLILWCITLKKRKNKRGMECKMEDIEVPFYDLETLSAATDGFSPEKLVGAGGFGSVYKGILCTGQDIAVKRLSKNSKQGLEEFKNEVFLIAKLQHRNLVRLLGYCIEGEERILVYEFMANSSLDYFIFDQKRSALLLWKKRFGIIMGIARGLLYLHQDSRLQIIHRDLKTSNVLLDQNLKAVLSDFGLARTFGGDEVQVRTNRVAGTYGYMSPEYAVDGEFSVKSDVFAFGVLILEILSGKKNRGFTHPDHHHNLLGHAWLLWKKDRGLELIDSCLENSCVPSQVLRCIQLGLLCVQKFPEDRPEMSCVVSMLVNEKDKLPDPKQPGFFIERRSNYGDTKSNREESVSNNELFNGTVAATDTLFQGQVMRDSESVRSAGNTFELGFFSPGSSTKRYVGIWMINVPSKEIVWVANRDHPFSGSSQPVLTINDDGYLVIVDSRITYRVSDDPSSQNVSATLLDSGNLVLRNENFDVLWQSFDYPTDTFLPGMKLGYSIKTGKVWSLTSWVDEEDPNIGDFEVRMDRSKSHEVFLMRGSETVWSTGAWEGVRFSSMPEMRLNYIFNYSIYSDENETYFSYALYNPSIITRFIVSVSGQLREFSWLNTSQEWVLFWAQPRALCDVFNSCGPFSSCSKHSGESCQCLRGFYSSERRIGQGQNGGCTRRMALNCGIGDKDRFFRMDGVRYPLSSTEQSKSSYSSPSGPEVSSTDAKACEVACLNNCSCTAYAYNKSGHCLRWFGDILNLQQLSEEDPNGKTIFIKLSASEFDSSGGAKKFWWIIVIAVALVVLLSACYIVFQWRKSLKNKGEADTSQDILLFDMEMSTTSSSEFSGSDKVGKGKRKDAALPLFSFVSISAATENFSLENKLGEGGFGPVYKGKLLNGQEIAVKRLSKRSGQGLEELKNETMLIAKLQHRNLVRLLGCCLEQGEKILIYEFMPNKSLDAFLFDPNNRRLLDWRTRIRIIEGIAQGILYLHQYSRLRIIHRDLKASNILLDSDMNPKISDFGLARMFGGDELQANTNRIVGT >EOY12747 pep chromosome:Theobroma_cacao_20110822:7:3991224:4018601:-1 gene:TCM_031282 transcript:EOY12747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine kinases,protein kinases,ATP binding,sugar binding,kinases,carbohydrate binding, putative isoform 2 MSGGETLVSSGQSFELGFFSPRNSKNKYLGICVVWSSNATKVAESPIAQLLDSGNFVVKDNAMVSSDSSESFLWQSFNYPSNTWLAGMKITDDFNKGLTSWKSLDDPSLGDYTCRIEHPELPQVVVGMGSIRKFQTGSWNGLQFSGLLPFSDPYFTLKLVFNKDEYMNQPETYLVNRRISLNNSGLLHYYVLNNATTEWAMIYTQPNDHQQNGNCLIGPVDAEAASTVSTPTQQHPILRKVQLYDIVLILRIEVIDYNDQGMECKKEDIEVPFFDLETLTAASDGFSPENLVGAGHFGSVFKGCLCAGQDIAVKRLSKNSKQGLEEFKNEVVLIAKLQHRNRVRLLGCCIQGEERMLVYEFMPNNSLDYFIFDQKRSALLPWKKRFGIIMGIAQGLLYLHQESRLQIIHRDLKTSNVLLDQNLNAVISDFGLARTFGGDEVQVRTNRVAGTYGYMSPEHAVDGEFLIKSGVFTFGVLILEILSSKKNKGFTHPDHHQNLLGYAWLLWKKERALELIDSCMENSCVPSEVLRCTQLGLLCVQKFPEDRPEMSCVVSMLVNEKDKLPEPKQPGFFIERRPNDGDAKLNREESVSNNATSLQIMSGKKNMAFTHPDHHHNLPGQSMSDGETLVSSGQSFKLGFFSPVNSKNRYLGIWYRQTPDTVTWVANRNNPITGSHGFLTVTKTGLVLSNQTNSVIWSSNTTKVAESPIAQLLDSGNFVVKDNAMVSSDSSESSLWQSFDYPSNTWLPGMKINDDFNKGLTSWKSLDDPSLGDYTCRIENPELPQVVVGMGSIRMFRTGFWNGLSFSGLLSVSDPYFTLKLVFNKDELEYMYQPETHLVNTRVSLNNSGLLHYYVLNNATTEWAMIYTQPNDVCDSYGKCGANSICGGQKDQLCECLMGFTPTAPAEWELLNWSSGCRRRKPLICQNGDGFLKLSRVKLPDLLEFQLNKTMSTKGCKKECLKNCSCTAYANSNITGKGHGCLMWFGNLVDIKGFNEENRGQDIYIRLPASELEWFSHSNTRKRLSVIIVVSVIAGILIVCLILWCITLKKRKNKRGMECKMEDIEVPFYDLETLSAATDGFSPEKLVGAGGFGSVYKGILCTGQDIAVKRLSKNSKQGLEEFKNEVFLIAKLQHRNLVRLLGYCIEGEERILVYEFMANSSLDYFIFDQKRSALLLWKKRFGIIMGIARGLLYLHQDSRLQIIHRDLKTSNVLLDQNLKAVLSDFGLARTFGGDEVQVRTNRVAGTYGYMSPEYAVDGEFSVKSDVFAFGVLILEILSGKKNRGFTHPDHHHNLLGHAWLLWKKDRGLELIDSCLENSCVPSQVLRCIQLGLLCVQKFPEDRPEMSCVVSMLVNEKDKLPDPKQPGFFIERRSNYGDTKSNREESVSNNELFNGTVAATDTLFQGQVMRDSESVRSAGNTFELGFFSPGSSTKRYVGIWMINVPSKEIVWVANRDHPFSGSSQPVLTINDDGYLVIVDSRITYRVSDDPSSQNVSATLLDSGNLVLRNENFDVLWQSFDYPTDTFLPGMKLGYSIKTGKVWSLTSWVDEEDPNIGDFEVRMDRSKSHEVFLMRGSETVWSTGAWEGVRFSSMPEMRLNYIFNYSIYSDENETYFSYALYNPSIITRFIVSVSGQLREFSWLNTSQEWVLFWAQPRALCDVFNSCGPFSSCSKHSGESCQCLRGFYSSERRIGQGQNGGCTRRMALNCGIGDKDRFFRMDGVRYPLSSTEQSKSSYSSPSGPEVSSTDAKACEVACLNNCSCTAYAYNKSGHCLRWFGDILNLQQLSEEDPNGKTIFIKLSASEFDSSGGAKKFWWIIVIAVALVVLLSACYIVFQWRKSLKNKGEADTSQDILLFDMEMSTTSSSEFSGSDKVGKGKRKDAALPLFSFVSISAATENFSLENKLGEGGFGPVYKGKLLNGQEIAVKRLSKRSGQGLEELKNETMLIAKLQHRNLVRLLGCCLEQGEKILIYEFMPNKSLDAFLFDPNNRRLLDWRTRIRIIEGIAQGILYLHQYSRLRIIHRDLKASNILLDSDMNPKISDFGLARMFGGDELQANTNRIVGTYGYMSPEYALEGLFSIKSDVFSFGVLLLEIVSGKKNTGFYHSNSLNLLGHAWELWKGGSALELMDPTLEEQVSYPVLLRYIHVALLCVQEIAADRPTMSEVVSMLTNELTVLNSPNEPAFSSARSAINNPNQHASRPELCSVNNVTVSLVEPR >EOY14213 pep chromosome:Theobroma_cacao_20110822:7:22223148:22224847:1 gene:TCM_033500 transcript:EOY14213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19e family protein isoform 2 MEAARTVKDVSPHDFVKAYAAHLKRSGKIELPPWTDIVKTGKLKELPPYDPDWYFIRAASMARKIYLRGGLGVGAFRRIYGGAKRNGSRPRHFCKSSGSVARHILQQLQNVNIIDIDPKGGRRITSNGQRDLDQVAGRIAVAH >EOY14214 pep chromosome:Theobroma_cacao_20110822:7:22223068:22223859:1 gene:TCM_033500 transcript:EOY14214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19e family protein isoform 2 MEAARTVKDVSPHDFVKAYAAHLKRSGKIELPPWTDIVKTGKLKELPPYDPDWYFIRAASMARKIYLRGGLGVGAFRRIYGGAKRNGSRPRHFCKSSGSVARHILQQLQNVNIIDIDPKG >EOY14146 pep chromosome:Theobroma_cacao_20110822:7:21634018:21634632:1 gene:TCM_033435 transcript:EOY14146 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-like protein 1A, putative MSMAAVETKKITLRTADNHEFEVEEAIAMEFSTIKTFFDENPDASEDTIPLPNVTSKCLSAIIEYCKSHLAFRARETSSAIDEQVRTYDEEFVKARDNESLKELILAANYLNIKALLDMLNQAVADRIKNKSVEYVRRFFGIENDYTPEEEAAIRAENEWAFEGVDPDDD >EOY12882 pep chromosome:Theobroma_cacao_20110822:7:4574033:4576899:1 gene:TCM_031385 transcript:EOY12882 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance-like protein MSKALKSFMDLTEQVGKIFKVANTIWSLIGEPWDFPGGFDQNLKHMKRKRDALNGQKEDTTSRIKAELHPRKKVKKEVELWVENAERINVEIQNLESEVEASSFLSRGFLGKNVRKKIEEVEELLKKDSFSGGLVVDDPSWTGQVFPTLSLVGETISLKKDEILGYLMNNEVVKIGVHGMPGVGKTSVMKLVNNELLNDADTFNIVLWVTVSGESSVVVLQNKIARAMGAVISEDEDETIRAGILSEILGQKGRYVLILDDVREHFSLEEVGIPEPSASNGSKLVLTTQSLDVCRRMDCQEIKMETLPEADAWRLFLEKVGQDLMNIADLVPVARSVAQHCAGLPLAIIAVASSMKAEYSLPIWRNAFNELNRNVQSVDGDVKDMVIQKLRFSYDRLNDPKIQKCFLICAAYREDSGIHKEHLIRNWIRGRLVDDMGDMQANLDRGQAILRKLVDNCLLEDVGNGRVKMHDLVRDMALRIQRQLYFS >EOY14260 pep chromosome:Theobroma_cacao_20110822:7:22714775:22722441:-1 gene:TCM_033565 transcript:EOY14260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin b3,1, putative isoform 3 MNDQSNKTKNSDRKFVVTTSRSTNSSLVPMRKSLPVLKKEKTTEPSRSMIKAKVCASAKQQANNAKSNLWRNRESDGFVIMPPKVQSNAAASILPRSFKPIVKTALRAPNAQRTSKSKCSSGFKKPVYVAAISSEKDLLCSHTENNATIISNEINRRDLPSNGNSDASTNMPDILSRKKTTRRRSYTSLLMTRSKLLEDYGGVKEEEKLPGIDNDGNPLEVAQYVDEIYQYYWITEAQNASLENYMSMQTDITPCMRGILVNWLIEVHLRFDLMQETLYLMVTLLDRFLSEVQIKKNDMQLVGLTTLLLASKYEDFWHPRVKDLISISAETYTSEQMLKMEKLILKKLKFRLNAPTPYVFMLRFIRAAQSDTKLEHLAFYLIELCLVEYEALKFKASLLCASAIYVARCTLQMAPSWTPLLCRYARYDVSEIRECAEMILRFQRAARSGQLKVTYEKYMSHDLSGVAAIKPLDKLPPT >EOY14259 pep chromosome:Theobroma_cacao_20110822:7:22714579:22729453:-1 gene:TCM_033565 transcript:EOY14259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin b3,1, putative isoform 3 MTSLKGKPGVSKAAAHGFTRRSGFKIYSQNEKPKNEASTMMKSAVGSKGAPLTTASDSKNMANSKAKRDTSANKIVQRKALADLSNRTSNVSATKVYDGSKPMKNERNAFLEGVSVAPAARTVNVFSTKSFKGKEKDHLDQGAIGVHTSKTEGIKNLKTSMNDQSNKTKNSDRKFVVTTSRSTNSSLVPMRKSLPVLKKEKTTEPSRSMIKAKVCASAKQQANNAKSNLWRNRESDGFVIMPPKVQSNAAASILPRSFKPIVKTALRAPNAQRTSKSKCSSGFKKPVYVAAISSEKDLLCSHTENNATIISNEINRRDLPSNGNSDASTNMPDILSRKKTTRRRSYTSLLMTRSKLLEDYGGVKEEEKLPGIDNDGNPLEVAQYVDEIYQYYWITEAQNASLENYMSMQTDITPCMRGILVNWLIEVHLRFDLMQETLYLMVTLLDRFLSEVQIKKNDMQLVGLTTLLLASKYEDFWHPRVKDLISISAETYTSEQMLKMEKLILKKLKFRLNAPTPYVFMLRFIRAAQSDTKLEHLAFYLIELCLVEYEALKFKASLLCASAIYVARCTLQMAPSWTPLLCRYARYDVSEIRECAEMILRFQRAARSGQLKVTYEKYMSHDLSGVAAIKPLDKLPPT >EOY14258 pep chromosome:Theobroma_cacao_20110822:7:22715226:22722346:-1 gene:TCM_033565 transcript:EOY14258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin b3,1, putative isoform 3 MTSLKGKPGVSKAAAHGFTRRSGFKIYSQNEKPKNEASTMMKSAVGSKGAPLTTASDSKGALKNMANSKAKRDTSANKIVQRKALADLSNRTSNVSATKVYDGSKPMKNERNAFLEGVSVAPAARTVNVFSTKSFKGKEKDHLDQGAIGVHTSKTEGIKNLKTSMNDQSNKTKNSDRKFVVTTSRSTNSSLVPMRKSLPVLKKEKTTEPSRSMIKAKVCASAKQQANNAKSNLWRNRESDGFVIMPPKVQSNAAASILPRSFKPIVKTALRAPNAQRTSKSKCSSGFKKPVYVAAISSEKDLLCSHTENNATIISNEINRRDLPSNGNSDASTNMPDILSRKKTTRRRSYTSLLMTRSKLLEDYGGVKEEEKLPGIDNDGNPLEVAQYVDEIYQYYWITEAQNASLENYMSMQTDITPCMRGILVNWLIEVHLRFDLMQETLYLMVTLLDRFLSEVQIKKNDMQLVGLTTLLLASKYEDFWHPRVKDLISISAETYTSEQMLKMEKLILKKLKFRLNAPTPYVFMLRFIRAAQSDTKLEHLAFYLIELCLVEYEALKFKASLLCASAIYVARCTLQMAPSWTPLLCRYARYDVSEIRECAEMILRFQRAARSGQLKVTYEKYMSHDLSGVAAIKPLDKLPPT >EOY13652 pep chromosome:Theobroma_cacao_20110822:7:9845356:9847336:1 gene:TCM_032279 transcript:EOY13652 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5-like receptor kinase MGAATSWQTDVAAHLSPYPFLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRGVILLRTLPGICCLIALVTYKWRRRHLSMDDTIEEFLQSQNNLMSIRYSYREIKKMTRSFRDKLGEGGYGSVFKGKLRSGHLVAIKLLGKSKANGQDFINEVATIGRIHHVNVAKLIGFCVEGSKQALVYDFMSNGSLDKIIFAEENKITLSWQKMFDIALGVAQGIEYLHQGCDMQILHFDIKPHNILLDDNFIPKVSDFGLAKLYSVDDSIVSLTAARGTIGYIAPELVYKNIGGISNKADVYSFGMLLMEMVGRRKNLNAFAEHLSQIYFPSWIYGQFHRGEDIELGEVTDGEKIIVRKMIITACWCIQVPPNDRPSMSKVLEMLETNVELLQMPPKPFQLPFEVSIEDRADENPSEDPTSSLLSSNEISLNIT >EOY13522 pep chromosome:Theobroma_cacao_20110822:7:9051937:9055242:1 gene:TCM_032127 transcript:EOY13522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.17 MEKIANGEPSDILLAEPLLELTLSSGTSGGKNKIMPMTAKEMDKRTIIRNTLPWSVINKFADGLEQGKGMYLFFVMPDIKTPSGLRARTILTSCLKNCNFEKLTSSLYTSPNATILCSDINQSMHCQLLCGLLQRDEILSVGASYPYVLVRAIKFLKDHWRELCTNIRTGQLSDWITDLGCRNALSLILNKPNPRLADSIEGTCSKKSWEGIIKELWPRTKFVDVILTGSMAQSIPTLEYYCGGLPLVSTYYSASEGYLGINLEPLCKLSNISYTLLPNMAFYEFIPIKENHTELADQPQHSEGIYYQDCVETQDKKEEIEPVELVDVKLGQCYEIVVTIFTGKLFTALVIILYKIGDVLMVTGFHNNAPQFAFMKRQGVLLSIDMESTREDGLSKAVTQAELLIEPLALILTDYTSYADTCSTPGHYVLFWELKMKGSDDLPEINPKIAEECSYIVEESLDYVYRALRKDNRIGPLEIRVVKHGTFDALMDFFVAKGTSVSQYKTPRGIKSEEALKVMDAGVVGRYFSQKAP >EOY12597 pep chromosome:Theobroma_cacao_20110822:7:2953600:2964174:-1 gene:TCM_031110 transcript:EOY12597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MESILARALEYTLKYWLKSFSRDQFKLQGRTVQLSNLDINGDALHASMGLPPALNVTTAKVGKLEIILPYVSNVQIEPIIVQIDRLDLVLEENPDADSSRSSSSTQSSTSSGKGSGYGFADKIADGMTLQVQTVNLLLETRGGARGKGGAAWASPMASITMRNILLYTTNENWQVVNLKEARDFSSNKKFIYVFKKLEWESLSIDLLPHPDMFSDANLARSQEGATHRDDDGAKRVFFGGERFLEGISGEAYITVQRTELNSPLGLEVQLHVTEAVCPALSEPGLRALLRFLTGFYVCLNRGDVDLKAQQGSIEAAGRSLVSVVVDHIFLCIKDPEFQLELLMQSLLFSRASVSDGENAHNLSKVMIGGLFLRDTFSRPPCTLVQPSMEAVSDSCLHIPDFGKNFCPPIYPLGEQQWQLTLGVPLICLHSLQVKPSPFPPSFASQTVIGCQPLMIHLQEESCLRISSFLADGIVVNPGAILPDSSVNSLVFTIKELDISVPLDTSKLDNPGGGENHIIQKSFAGARLHIEKLFFYESPSLKLKLLNLEKDPACFSLWEGQPIDASQKKWTAGASQLSLSLETASSLLGLQSSLGCSSGLWRCVELKDASIEVAMASADGNPLTVVPPPGGIVRIGVACQQFMSNTSVEQLFFVLDLYAYIGRVSEKIAVVGKNKRPKRNRDESLGGRLMEKVPSDTAVSLTVNVLQLSFLESSSFDIQGMPLVQFIGNALFLKVTHRTLGGAIAVSSTLCWESVQVDCLDTEGNLVHKNETLLDSVENGSLVTGNGFSPLRAVFWIHNKQKHQSNGKASLIPFLDISIVHVIPFDERDKECHSLSVSACISGVRLGGGMNYTEALLHRFGIIGPDGGPSVELSKGLENVSSGPLSKLLKPSAFIDNDLENGGTLGGVKDDIFLHLGMPDDVDVSIELQDWLFALEGVQEMAERWWFDKEVLGREQRCWHTTFQSLQVKAKSSPKDVPNGKGISHVMQRYPVELVTVISLAEAAVLEVLGYLLHQKRLMKTSAQLWLCSRRQFWIHRPSVLLFLLKCLIQNLLRRSLQI >EOY12595 pep chromosome:Theobroma_cacao_20110822:7:2953483:2963968:-1 gene:TCM_031110 transcript:EOY12595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MESILARALEYTLKYWLKSFSRDQFKLQGRTVQLSNLDINGDALHASMGLPPALNVTTAKVGKLEIILPYVSNVQIEPIIVQIDRLDLVLEENPDADSSRSSSSTQSSTSSGKGSGYGFADKIADGMTLQVQTVNLLLETRGGARGKGGAAWASPMASITMRNILLYTTNENWQVVNLKEARDFSSNKKFIYVFKKLEWESLSIDLLPHPDMFSDANLARSQEGATHRDDDGAKRVFFGGERFLEGISGEAYITVQRTELNSPLGLEVQLHVTEAVCPALSEPGLRALLRFLTGFYVCLNRGDVDLKAQQGSIEAAGRSLVSVVVDHIFLCIKDPEFQLELLMQSLLFSRASVSDGENAHNLSKVMIGGLFLRDTFSRPPCTLVQPSMEAVSDSCLHIPDFGKNFCPPIYPLGEQQWQLTLGVPLICLHSLQVKPSPFPPSFASQTVIGCQPLMIHLQEESCLRISSFLADGIVVNPGAILPDSSVNSLVFTIKELDISVPLDTSKLDNPGGGENHIIQKSFAGARLHIEKLFFYESPSLKLKLLNLEKDPACFSLWEGQPIDASQKKWTAGASQLSLSLETASSLLGLQSSLGCSSGLWRCVELKDASIEVAMASADGNPLTVVPPPGGIVRIGVACQQFMSNTSVEQLFFVLDLYAYIGRVSEKIAVVGKNKRPKRNRDESLGGRLMEKVPSDTAVSLTVNVLQLSFLESSSFDIQGMPLVQFIGNALFLKVTHRTLGGAIAVSSTLCWESVQVDCLDTEGNLVHKNETLLDSVENGSLVTGNGFSPLRAVFWIHNKQKHQSNGKASLIPFLDISIVHVIPFDERDKECHSLSVSACISGVRLGGGMNYTEALLHRFGIIGPDGGPSVELSKGLENVSSGPLSKLLKPSAFIDNDLENGGTLGGVKDDIFLHLGMPDDVDVSIELQDWLFALEGVQEMAERWWFDKEVLGREQRCWHTTFQSLQVKAKSSPKDVPNGKGISHVMQRYPVELVTVSVEGLQTLKPQAQRGILQDVSPTNGFKESFEAMGGINLEVRMVMSEDNVENEMVNWVVENLKFSVKQPIEAIVTKDELQHLAFLCKSEVDSMGRLAAGVLRLLKLEKSLGKEAIDKLSNLDKLGRGSSAGSIGLSPSSKEINEDQRSTVALLEEAVLDSQTKCAALLAEMSNSESSEKKLTNIEELKQKLDSMQSLLVQLRGQM >EOY12596 pep chromosome:Theobroma_cacao_20110822:7:2955774:2964174:-1 gene:TCM_031110 transcript:EOY12596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MESILARALEYTLKYWLKSFSRDQFKLQGRTVQLSNLDINGDALHASMGLPPALNVTTAKVGKLEIILPYVSNVQIEPIIVQIDRLDLVLEENPDADSSRSSSSTQSSTSSGKGSGYGFADKIADGMTLQVQTVNLLLETRGGARGKGGAAWASPMASITMRNILLYTTNENWQVVNLKEARDFSSNKKFIYVFKKLEWESLSIDLLPHPDMFSDANLARSQEGATHRDDDGAKRVFFGGERFLEGISGEAYITVQRTELNSPLGLEVQLHVTEAVCPALSEPGLRALLRFLTGFYVCLNRGDVDLKAQQGSIEAAGRSLVSVVVDHIFLCIKDPEFQLELLMQSLLFSRASVSDGENAHNLSKVMIGGLFLRDTFSRPPCTLVQPSMEAVSDSCLHIPDFGKNFCPPIYPLGEQQWQLTLGVPLICLHSLQVKPSPFPPSFASQTVIGCQPLMIHLQEESCLRISSFLADGIVVNPGAILPDSSVNSLVFTIKELDISVPLDTSKLDNPGGGENHIIQKSFAGARLHIEKLFFYESPSLKLKLLNLEKDPACFSLWEGQPIDASQKKWTAGASQLSLSLETASSLLGLQSSLGCSSGLWRCVELKDASIEVAMASADGNPLTVVPPPGGIVRIGVACQQFMSNTSVEQLFFVLDLYAYIGRVSEKIAVVGKNKRPKRNRDESLGGRLMEKVPSDTAVSLTVNVLQLSFLESSSFDIQGMPLVQFIGNALFLKVTHRTLGGAIAVSSTLCWESVQVDCLDTEGNLVHKNETLLDSVENGSLVTGNGFSPLRAVFWIHNKQKHQSNGKASLIPFLDISIVHVIPFDERDKECHSLSVSACISGVRLGGGMNYTEALLHRFGIIGPDGGPSVELSKGLENVSSGPLSKLLKPSAFIDNDLENGGTLGGVKDDIFLHLGMPDDVDVSIELQDWLFALEGVQEMAERWWFDKEVLGREQRCWHTTFQSLQVKAKSSPKDVPNGKGISHVMQRYPVELVTVRITLLLLRGPSNGQCGRLADLE >EOY12594 pep chromosome:Theobroma_cacao_20110822:7:2953399:2964315:-1 gene:TCM_031110 transcript:EOY12594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MESILARALEYTLKYWLKSFSRDQFKLQGRTVQLSNLDINGDALHASMGLPPALNVTTAKVGKLEIILPYVSNVQIEPIIVQIDRLDLVLEENPDADSSRSSSSTQSSTSSGKGSGYGFADKIADGMTLQVQTVNLLLETRGGARGKGGAAWASPMASITMRNILLYTTNENWQVVNLKEARDFSSNKKFIYVFKKLEWESLSIDLLPHPDMFSDANLARSQEGATHRDDDGAKRVFFGGERFLEGISGEAYITVQRTELNSPLGLEVQLHVTEAVCPALSEPGLRALLRFLTGFYVCLNRGDVDLKAQQGSIEAAGRSLVSVVVDHIFLCIKDPEFQLELLMQSLLFSRASVSDGENAHNLSKVMIGGLFLRDTFSRPPCTLVQPSMEAVSDSCLHIPDFGKNFCPPIYPLGEQQWQLTLGVPLICLHSLQVKPSPFPPSFASQTVIGCQPLMIHLQEESCLRISSFLADGIVVNPGAILPDSSVNSLVFTIKELDISVPLDTSKLDNPGGGENHIIQKSFAGARLHIEKLFFYESPSLKLKLLNLEKDPACFSLWEGQPIDASQKKWTAGASQLSLSLETASSLLGLQSSLGCSSGLWRCVELKDASIEVAMASADGNPLTVVPPPGGIVRIGVACQQFMSNTSVEQLFFVLDLYAYIGRVSEKIAVVGKNKRPKRNRDESLGGRLMEKVPSDTAVSLTVNVLQLSFLESSSFDIQGMPLVQFIGNALFLKVTHRTLGGAIAVSSTLCWESVQVDCLDTEGNLVHKNETLLDSVENGSLVTGNGFSPLRAVFWIHNKQKHQSNGKASLIPFLDISIVHVIPFDERDKECHSLSVSACISGVRLGGGMNYTEALLHRFGIIGPDGGPSVELSKGLENVSSGPLSKLLKPSAFIDNDLENGGTLGGVKDDIFLHLGMPDDVDVSIELQDWLFALEGVQEMAERWWFDKEVLGREQRCWHTTFQSLQVKAKSSPKDVPNGKGISHVMQRYPVELVTVSVEGLQTLKPQAQRGILQDVSPTNGFKESFEAMGGINLEVRMVMSEDNVENEMVNWVVENLKFSVKQPIEAIVTKDELQHLAFLCKSEVDSMGRLAAGVLRLLKLEKSLGKEAIDKLSNLGTEGFDKIFSSDKLGRGSSAGSIGLSPSSKEINEDQRSTVALLEEAVLDSQTKCAALLAEMSNSESSEKKLTNIEELKQKLDSMQSLLVQLRGQM >EOY12598 pep chromosome:Theobroma_cacao_20110822:7:2955769:2964174:-1 gene:TCM_031110 transcript:EOY12598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MESILARALEYTLKYWLKSFSRDQFKLQGRTVQLSNLDINGDALHASMGLPPALNVTTAKVGKLEIILPYVSNVQIEPIIVQIDRLDLVLEENPDADSSRSSSSTQSSTSSGKGSGYGFADKIADGMTLQVQTVNLLLETRGGARGKGGAAWASPMASITMRNILLYTTNENWQVVNLKEARDFSSNKKFIYVFKKLEWESLSIDLLPHPDMFSDANLARSQEGATHRDDDGAKRVFFGGERFLEGISGEAYITVQRTELNSPLGLEVQLHVTEAVCPALSEPGLRALLRFLTGFYVCLNRGDVDLKAQQGSIEAAGRSLVSVVVDHIFLCIKDPEFQLELLMQSLLFSRASVSDGENAHNLSKVMIGGLFLRDTFSRPPCTLVQPSMEAVSDSCLHIPDFGKNFCPPIYPLGEQQWQLTLGVPLICLHSLQVKPSPFPPSFASQTVIGCQPLMIHLQEESCLRISSFLADGIVVNPGAILPDSSVNSLVFTIKELDISVPLDTSKLDNPGGGENHIIQKSFAGARLHIEKLFFYESPSLKLKLLNLEKDPACFSLWEGQPIDASQKKWTAGASQLSLSLETASSLLGLQSSLGCSSGLWRCVELKDASIEVAMASADGNPLTVVPPPGGIVRIGVACQQFMSNTSVEQLFFVLDLYAYIGRVSEKIAVVGKNKRPKRNRDESLGGRLMEKVPSDTAVSLTVNVLQLSFLESSSFDIQGMPLVQFIGNALFLKVTHRTLGGAIAVSSTLCWESVQVDCLDTEGNLVHKNETLLDSVENGSLVTGNGFSPLRAVFWIHNKQKHQSNGKASLIPFLDISIVHVIPFDERDKECHSLSVSACISGVRLGGGMNYTEALLHRFGIIGPDGGPSVELSKGLENVSSGPLSKLLKPSAFIDNDLENGGTLGGVKDDIFLHLGMPDDVDVSIELQDWLFALEGVQEMAERWWFDKEVLGREQRCWHTTFQSLQVKAKSSPKDVPNGKGISHVMQRYPVELVTCGRLADLEAS >EOY13915 pep chromosome:Theobroma_cacao_20110822:7:13312580:13314463:1 gene:TCM_032673 transcript:EOY13915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMWRVDNGSENRVRDDCWVPYDTPRPVLVCEDSASGNMMASELIDQNYVRWNEEEIICVLWALWKARNLLVFKVQKMDPMAVVEMGCDICREFWSANKLSGINEEMITGIHDHWRRAVGNKLNTDAVIFVNNGVKIMEAGFVLRDAYGEMIWAG >EOY12877 pep chromosome:Theobroma_cacao_20110822:7:4562901:4569947:-1 gene:TCM_031382 transcript:EOY12877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein isoform 2 MADRSRLFSIEELPSHLILEILTSGERLSAVDLVSLELTSRTFGGSHGVYPTKFRSLVDLAAFQLCATNEVYSGMSWSSQREVFDRCDGNWKRVLRFLQSVEQSSDMVQTSAGNMQITTGRYHTLLISNSSVYSCGSSLCGVLGHGPETTQCVAFTRINFPPPANVMQVSASHNHAAFIMQSGEVFTCGDNSSFCCGHRDTSRPIFRPRLVEAMKGIPCKQVAAGLNFTVFLTRQGHLYTCGTNTHGQLGHGDTQDQPTPKMVEQLKGVGSVVQIAAGPSYVLAVTDNGAVYSFGSGSNFCLGHGEQHNEFQPRAIQTFKRKGIHILRVSAGDEHVVALDSSGYVYTWGKGYCGALGHGDEIDKTLPEHLISLKSQLAVQVCARKRKTFVLVDGGSVYGFGWMGFGSLGFPDRSLSDKVMRPRILDSLRGHHVAQISTGLYHTVVVTHKGRMFGFGDNERAQLGHDTLRGCLEPTEIFIQEMEEETTLLSESR >EOY12878 pep chromosome:Theobroma_cacao_20110822:7:4565008:4569594:-1 gene:TCM_031382 transcript:EOY12878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein isoform 2 MADRSRLFSIEELPSHLILEILTSGERLSAVDLVSLELTSRTFGGSHGVYPTKFRSLVDLAAFQLCATNEVYSGMSWSSQREVFDRCDGNWKRVLRFLQSVEQSSDMVQTSAGNMQITTGRYHTLLISNSSVYSCGSSLCGVLGHGPETTQCVAFTRINFPPPANVMQVSASHNHAAFIMQSGEVFTCGDNSSFCCGHRDTSRPIFRPRLVEAMKGIPCKQVAAGLNFTVFLTRQGHLYTCGTNTHGQLGHGDTQDQPTPKMVEQLKGVGSVVQIAAGPSYVLAVTDNGAVYSFGSGSNFCLGHGEQHNEFQPRAIQTFKRKGIHILRVSAGDEHVVALDSSGYVYTWGKGYCGALGHGDEIDKTLPEHLISLKSQLAVQVSLCKKEENICSG >EOY12879 pep chromosome:Theobroma_cacao_20110822:7:4564228:4570094:-1 gene:TCM_031382 transcript:EOY12879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein isoform 2 MADRSRLFSIEELPSHLILEILTSGERLSAVDLVSLELTSRTFGGSHGVYPTKFRSLVDLAAFQLCATNEVYSGMSWSSQREVFDRCDGNWKRVLRFLQSVEQSSDMVQTSAGNITTGRYHTLLISNSSVYSCGSSLCGVLGHGPETTQCVAFTRINFPPPANVMQVSASHNHAAFIMQSGEVFTCGDNSSFCCGHRDTSRPIFRPRLVEAMKGIPCKQVAAGLNFTVFLTRQGHLYTCGTNTHGQLGHGDTQDQPTPKMVEQLKGVGSVVQIAAGPSYVLAVTDNGAVYSFGSGSNFCLGHGEQHNEFQPRAIQTFKRKGIHILRVSAGDEHVVALDSSGYVYTWGKGYCGALGHGDEIDKTLPEHLISLKSQLAVQVCARKRK >EOY12563 pep chromosome:Theobroma_cacao_20110822:7:2805278:2811252:1 gene:TCM_031082 transcript:EOY12563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-oxidase 8, putative MFSNFLSISMAVEPPFFEKYKAILQSSAEMEKFPMVEVCEEIELPLIDLSGLNLGPFERQKCIEQMAEASSEWGFFQIVNHGIPEELLDRLKSEQMKVFHRPFDKKAGKNFLNLSAQSYRWGNPLATSLRNLSWSEALHISLKDVSRMDESNKLRLTIEEYATKANLLAQRLAECLAQNLGIKPNYFQENCSPSSSSLRMNRYPPCPYSSRMFGIIPHTDTDFLTILSQDQVGGLQLKRDGRWVSVKPNPNALVINIGDLFQALSNGMYESITHRVVANQEVERYSMAYFYCPTYETVIESCSKPALYRNFNFKEYREQIGKDVKATGDKMERENCHCQQYYFFLSWGFTFVNAAKSILTLGKVSTSWNRKYFIQVVNDNSLHMGEGQRVEGPRRSSLPNCQQAPGSFPHLTLLKTICWLVFALGYSGRKRRPEFSITSNHHLPIGHYFPCP >EOY13108 pep chromosome:Theobroma_cacao_20110822:7:5963017:5968043:1 gene:TCM_031634 transcript:EOY13108 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR class disease resistance protein, putative MAWSAVSSAVIRIGELLTQEATSLWGVEERVDRLQRELRWMQSFLADADARQGESERVRLWVAEIRDLAYDAEDIIETFALKIGSKRKGGFSNVVKRSACILKEGRVLHKTRSKIEKIISSISELTRQLQTYGIKESRNGEESSSSCERRELRRSYPHIIEDNIVGMDDEIQKLVSVLVDEESHSRVVSICGMGGLGKTTLAKKIYHHRKIRGHFSHWLGKRRDEELAEKLFNFLKDKNCLVVLDDIWSVDAWDSLKAALPTKETNSKILLTSRNKEVALHADTRSYLHELQCLNDEDSWELFQSISFPERTTPDYKVDSRRVELGKGMVKHCAGLPLAIIVLGGILTTKNSFDEWQMVSENVKSYLKRSKGQGTEHVLALSYDDLPPYLRPCFLYLSHFPEDYEIPVETLIQLWVAEGLVSSKEDEGNRGEFMEDVAVRYLIELVERCMIHVGERDATLKIKTCRMHDLMRDFCLSKAKQENFVYVIDHSNSYKSTKNFPPSITARRIAAHECTLVQCIKSAHLRSIFFFASPFHPDLVKEAFLNPKMLKYNEEYDGEFCNPLIWVLVVFLLCKVHGSWTCMFNHFKLLRVLYIEGQDILGGCKFPSAMGDLIHLRFLSLRELGFIWPKFPSSLGNLSCLQTLDLRVEERPSSIHVPNVIWKLEQLRHLYLPKECNRKTKLKLHTLAKLQTLVNFNTQNCFVGDLSNMLNLRKLEIFGPFNIEDFKEDLDKKLPIIQSKRIRSLFIHSRGEGIDPLHVAFLLSSCSTICELVLSEEIGMLPEHHHFSSNIAYIHLCGCHLAEDPMPTLEKLPNLRILILDSQAFSGKKMVCSAECFPKLDSLSLHELENLEEWKVDEGAMPALCHLDIVNCRKLKMLPAGLRFITTLQQLKIDRMPKAFKDKLVEGGEDFYKVQHVPSIIFLKLYEDDDI >EOY13396 pep chromosome:Theobroma_cacao_20110822:7:7974993:7975648:-1 gene:TCM_031958 transcript:EOY13396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALVGNFLPKPIMLSMQISMLQKPKTMIVCATPERPKTRSGSGGKINSTLRLSTRTIVGVKEVTPNTTLDVGESNQKRKANNADDDAATVNGAEATD >EOY12440 pep chromosome:Theobroma_cacao_20110822:7:2239880:2241654:1 gene:TCM_030956 transcript:EOY12440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNHSAKGSRYHQRGHSPSLFFSSSYYGMELHSEIYHQSDLLIIVIMAPVVVVLIAAMLLFFVIIRVVIPIFLAAITFITTITMTLPLFIIRIIILPPISRLPIPYFLFIRSLRIWFPLHRFNMMDFREYENLEASLVVPRDKWAFKVGITTHCKWSHLQYIKKTLLAIGEYNAVKATCFGMLLDVYPQGFFCAGLLQNIMQRRLTEPDAMEHEFWFAIGKIKARFSKREFWLVTGLKFGPMTDVFSRPYKLVPGGIHSRYWKGKNVKLLTVLKRCVTLWLLMLVEDIEAWNAFPWDHYVWRLTADYLLRGFEVPPVTSEKPKLFRYNLYGFVWAIQKPFLLSKT >EOY14251 pep chromosome:Theobroma_cacao_20110822:7:22616119:22618988:1 gene:TCM_033552 transcript:EOY14251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MKLAIQVLILKQLHASLILSFGNFLPTSWASRLISFYAHFNDLETAVLVVKSLKQADTITWNLIIKSHVDFGYIEKALFLYRKMRKEGVKHDRFTFPIINRAVRSINADAEFAKLIHCVAVKMGFGFDLYFGNTMVEIYGKCGCFSNAYKMFDEMFERDLVTWTSMISGCFYEGNVAEAFTLFKKMRLEMEPNAVTVIVLLQGCSRWGSFIGGKQTHGYVIKSGVLADGSVLNSVLKMYTTMGSVEEVETFFREIFQRDIVSWNTLISYYSLRGDVGEVADRFCKMQVEVKVSMETLTLVISAFAKSGNLSQGEILHCCALKLGLHDDVLQTSLLDFYAKCGLLKNSIQLFKGISSRNSIAWSAMLSGYIQNGFFKEAIVLFKEMQAAGLHPTPEILGNIVHACAHVGALEVGKEMHGYSIKNMFHSPKKEGTYLELETSILNMYIRNGSISSARACFNRMLVKDIVAWTSMIEGYGIHGLGSDALKLFDQMVEEGATPNCVTFLSLLSACSHSGLVSEGCYVFYSMKWRFSIEPDLDHYTCMVDLLGRAGKLKEALATIMKMLAFPDSRIWGALLAGSRVHGHKKVGEYAAQRLLELESDNVGYHTLLSNVQASTGQWAEVEEVRRAMFEKNLKKQPGWSYIAENKHIHCFVCGDKSHNQVEEIYEVLGCLIRQAQEFDSV >EOY12032 pep chromosome:Theobroma_cacao_20110822:7:695629:698912:-1 gene:TCM_030648 transcript:EOY12032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-3 MLSGRISVTARMKSTVYIPAMESAPFPSTLILYLLENISSFCWILRLLNPEDTIEERKKTPDFGTLLDVFSRHPEPYVAANKATSRTQRSKAMADSDTESGGAQNNGNNTASNATGNSSDLSSLKEQDRFLPIANVSRIMKKALPANAKISKEAKETVQECVSEFISFITGEASDKCQKEKRKTINGDDLLWAMTTLGFEDYVEPLKVYLQRFREMEGEKTAVARDKDAPLGGGVGGGGMYSMMVHQHQGHVYGSSGFHQMGSSGLGKGGPGNNLIGPR >EOY12629 pep chromosome:Theobroma_cacao_20110822:7:3040646:3043567:-1 gene:TCM_031128 transcript:EOY12629 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MEDNLGAPAKGKSVVAAPTYCVTGATGYIGSWLVKLLLEKGCKVHATARNPEKALHLLSLWSGSDRLRLFKADLQEEGSFDEAVKGCNGVFHVAASMEFGVNVNENIEGYVRSNIIDPAIKGTENLLKACSKSKSVKRVVFTSSISTITAKDSNGNWRPVVDESCQNTSDHVLNAKAGGWVYALSKLLTEEAAFTFANENGIDLVSLITTTVAGPFLTTTIPSSIQVLLSPFTGDPKYFSILSAVNARMGSVALVHIEDICGAHIFLMEQANAEGRYICCVCSSPLSELIDLLAQKHPCSNLQRLGAEGKGTKPPEISSKKLRDLGFTYKHNIEDIIHQTVTACVDYGFLPPTRR >EOY12224 pep chromosome:Theobroma_cacao_20110822:7:1396075:1400070:-1 gene:TCM_030789 transcript:EOY12224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated proteins 65-1 isoform 1 MAVTDVQNPLLGETTCGSLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAAKSRAQLLQALSDAKLELSTLVSALGDKNFGGIPEKASGTIKEQLAAIAPALEQLWKQKEERVKDFSDVQSQIQKICGEIAGNVSEQTGSPAVDESDLSLKKLDEYQAKFQELQKEKSDRLHKVLEFVSTVHDLCAVLGMDFFSTVTEVHPSLDDSTGVQSKSISNDTLLRLAKTVSALNQDKKQRLHKLQELATQLIDLWNLMDTPEEERKLFDHVTCNISASVDEVTVPGALALDLIEQAEVEVERLDQLKSSRMKEIAFKRQVELEEIFARAHIEIDPEAAREKIMSLIDSGNVEPAELLADMDNQIAKAKEEALSRKEILDRVEKWMSACEEESWLEDYNR >EOY12221 pep chromosome:Theobroma_cacao_20110822:7:1395372:1400553:-1 gene:TCM_030789 transcript:EOY12221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated proteins 65-1 isoform 1 MAVTDVQNPLLGETTCGSLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAAKSRAQLLQALSDAKLELSTLVSALGDKNFGGIPEKASGTIKEQLAAIAPALEQLWKQKEERVKDFSDVQSQIQKICGEIAGNVSEQTGSPAVDESDLSLKKLDEYQAKFQELQKEKSDRLHKVLEFVSTVHDLCAVLGMDFFSTVTEVHPSLDDSTGVQSKSISNDTLLRLAKTVSALNQDKKQRLHKLQELATQLIDLWNLMDTPEEERKLFDHVTCNISASVDEVTVPGALALDLIEQAEVEVERLDQLKSSRMKEIAFKRQVELEEIFARAHIEIDPEAAREKIMSLIDSGNVEPAELLADMDNQIAKAKEEALSRKEILDRVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGISFAYDGVPLLAMLDEYAMLRQEREEEKRRLRDQKKYHEQQNTEQEAIFGSRPSPARPANTKKVVGPRANGGANGTPSRRLSLNANQNGSRSGGKDGKRDSMRLVAPANYVAISKEDAASHVSGTDPVPASP >EOY12222 pep chromosome:Theobroma_cacao_20110822:7:1396077:1399128:-1 gene:TCM_030789 transcript:EOY12222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated proteins 65-1 isoform 1 MAVTDVQNPLLGETTCGSLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAAKSRAQLLQALSDAKLELSTLVSALGDKNFGGIPEKASGTIKEQLAAIAPALEQLWKQKEERVKDFSDVQSQIQKICGEIAGNVSEQTGSPAVDESDLSLKKLDEYQAKFQELQKEKSDRLHKVLEFVSTVHDLCAVLGMDFFSTVTEVHPSLDDSTGVQSKSISNDTLLRLAKTVSALNQDKKQRLHKLQELATQLIDLWNLMDTPEEERKLFDHVTCNISASVDEVTVPGALALDLIEQAEVEVERLDQLKSSRMKEIAFKRQVELEEIFARAHIEIDPEAAREKIMSLIDSGNVEPAELLADMDNQIAKAKEEALSRKEILDRVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGISFAYDGVPLLAMLDEYAMLRQEREEEKRRLRDQKKYHEQQNTEQEAIFGSRPSPARPANTKKVVGPRANGGANGTP >EOY12225 pep chromosome:Theobroma_cacao_20110822:7:1395909:1399128:-1 gene:TCM_030789 transcript:EOY12225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated proteins 65-1 isoform 1 MAVTDVQNPLLGETTCGSLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAAKSRAQLLQALSDAKLELSTLVSALGDKNFGGIPEKASGTIKEQLAAIAPALEQLWKQKEERVKDFSDVQSQIQKICGEIAGNVSEQTGSPAVDESDLSLKKLDEYQAKFQELQKEKSDRLHKVLEFVSTVHDLCAVLGMDFFSTVTEVHPSLDDSTGVQSKSISNDTLLRLAKTVSALNQDKKQRLHKLQELATQLIDLWNLMDTPEEERKLFDHVTCNISASVDEVTVPGALALDLIEQAEVEVERLDQLKSSRMKEIAFKRQVELEEIFARAHIEIDPEAAREKIMSLIDSGNVEPAELLADMDNQIAKAKEEALSRKEILDRVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGISFAYDGVPLLAMLDEYAMLRQEREEEKRRLRDQKKYHEQQNTEQEAIFGSRPSPARPANTKKVVGPRANGGANGTPSRRLSLNANQNGSRSGGKDGKRDSMRLVAPANYVAISKEDAASHVSGTDPVPASP >EOY12223 pep chromosome:Theobroma_cacao_20110822:7:1396075:1400528:-1 gene:TCM_030789 transcript:EOY12223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated proteins 65-1 isoform 1 MAVTDVQNPLLGETTCGSLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAAKSRAQLLQALSDAKLELSTLVSALGDKNFGGIPEKASGTIKEQLAAIAPALEQLWKQKEERVKDFSDVQSQIQKICGEIAGNVSEQTGSPAVDESDLSLKKLDEYQAKFQELQKEKSDRLHKVLEFVSTVHDLCAVLGMDFFSTVTEVHPSLDDSTGVQSKSISNDTLLRLAKTVSALNQDKKQRLHKLQELATQLIDLWNLMDTPEEERKLFDHVTCNISASVDEVTVPGALALDLIEQAEVEVERLDQLKSSRMKEIAFKRQVELEEIFARAHIEIDPEAAREKIMSLIDSGNVEPAELLADMDNQIAKAKEEALSRKEILDRVEKWMSACEEESWLEDYNRVNLYMGCTLKSQACRESSYSGQQNSRYGRHLSCQNSGLGRRSWDIICV >EOY13067 pep chromosome:Theobroma_cacao_20110822:7:5774166:5776060:-1 gene:TCM_031594 transcript:EOY13067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSPFKWASSSPVFSPCFIPYSTLSTSVFTRCESNISFDYHVYIIEFHYMHECKLTFNSMFYQDKTGGSLQKIELVTLTQHKVSHGHCTRYFARYII >EOY12420 pep chromosome:Theobroma_cacao_20110822:7:2188739:2199757:1 gene:TCM_030942 transcript:EOY12420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MAAEFVTTAAANAVGNLATEYASRYLSYFFRFGKIVEDFKIQRKKLELKKDLLKNDIDEAKQQTEVIEKDVEEWLTEAEKELGEAQSLEDEIERNKCFNCCPSWGWRYSLSIKVRKKTLCISTLLETCNFQRIGRRPPLQGIDFMPSESSTLAFNGIMKALKSDGVNMIGLYGMPGVGKTTLAVEAGKQALEQKLFDKVMIVTVSQNPDINIRERIAELFGLEFKSITEQGKAEELWWRLKGEKKILIILDDVWKKLKLQNIGIQFGREHEGCKILLTTRLQQVCSEMDCQEEFKLNIQSDDEAWALFKDKAGLNDGSRTFNVAKEVAHECEGLPLAIVTVANALKDENLNGWMVANQRLKDPRHSDNQDVFRDIYAILELSYDYLDQDDIKQCFLLCSLFPEDYEISIELLITFGIGQGLFNNNYVIEDSRKEICQALLKLQQSGLLVETDDENFVKMHKVVRKFAHWITSRGENMFMVKNGLRKWPLNASFSCYTAISLWNNKIVNFPENIEFSKLRTLLLAEKQSLQVSSKFFAGMKALQVLLLQDVIFSLEALQFLTKLRTLCLIDCKLENISSLRNMKNLENFALLNTNIHELPEELVELHGLKSLYFSCIGPSNVPPNLLSRLTSLQDLHVTNENNVNLLELNSVSRLTGLTLRVSTDQCFQENCVFPKRRDNIVVEFENMEGLPSGTLRISDLFCNVKSLTLIDINMKHKNSFSRCLAQSVMHAEQLRISTYSKLDQVFAFAKEMAEQEVPPLSNLTCLELKSLPELSCIWRGPTHFVSLRLLKTMKIQWCTKVVYLFSPILAQTLVHLEELDIQGCDSLNLIIEVENSDEVVSNMDSNLLWERLRSLRIARCKSLEYVFPITLAQGLPKLESLGVIDCPRLKHVFGMAKKKNGPEAKEMDMDEANETDRHDIELPQLKDLRLENLERLRSFCPKNYFVETYFWLFVPILLLINQQGQGFIGFIEIFSMMSRITIHTLREANTFADSLAKFGVERQDVFFAR >EOY12243 pep chromosome:Theobroma_cacao_20110822:7:1466827:1468130:-1 gene:TCM_030806 transcript:EOY12243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific Stig1 family protein, putative MMNRLANAFIAILLPLLVVEADAKPKWVQQNSTAGGSSPWLMRNVANPRPRPGGCRFRPWVCEQGKHPATARMRCCRDQCVDVASDVAHCGLCGIRCPFTRQCCRGICTNTNLSPFNCGRCGNRCPWRVRCLYGMCGYAEPPPPRPPPRPFPPHPPHPPHPPHPPHPPCPERPTRASP >EOY14205 pep chromosome:Theobroma_cacao_20110822:7:22095526:22097616:1 gene:TCM_033485 transcript:EOY14205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MDIHSKDGVLEIPPVTVNDLFIAILVNCVALEHCSNGCPKDLIAYACFMSRLIMFLDGAECLCLDGIIPRFSNDDVQVASFFRYLQSNIPNFGFDIIQDSYLYKTIMEANRYPFGDDGAKKANETLYRSIIGSLLYLAATRPDVMFATSFLSRFMQAPSIHHFTIAKRILRYVKGTASYGLRFLKSESYDLQGFTDSDWARSVDDSKSTGRYCFSFGSGVFTWSSRKQEVVVQSSAEEKGTLIRVDNQFAMAIARNPVQHSRTEHIRVKLHALRDTVRECEIQLEYYHTDDQVADIFTKGLSADRFEFLRDKLGVYPTGIKEVC >EOY12545 pep chromosome:Theobroma_cacao_20110822:7:2716596:2723093:1 gene:TCM_031065 transcript:EOY12545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein MWGATAEPADSYYEVRPECTDVPRTRFKIKPGKTLSARKWQAAFSPDGHLDIGKTLHRIQRGGIHPSIRGEVWEFLLGCYDPKSTFDEREQIRQHRRVQYARWKNECRDIFPVVGSGRYITAPVITEDGQPIQDPLVLLETNPGANANGSEMVKELTSRGPLDKKVIQWMLTLHQIGLDVIRTDRTLVFYEKQENLSKLWDILSVYAWIDTDVGYCQGMSDLCSPMIILLEDEADAFWCFERLMRRLRGNFRCTESSVGVEAQLSNLAAVTQVIDPKLHQHLETLGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFSLYEEPGTNVEKAEGSKGKTKSIRQCGKYERENMKIKSSDAPLPISVFLVASVLKDKSSKLQQEARGLDDVVKILNDITGNLDAKKACTGAMKLHKKYLKKAKKT >EOY14029 pep chromosome:Theobroma_cacao_20110822:7:18211868:18212388:1 gene:TCM_033102 transcript:EOY14029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYKYTIPSSWRFTQYIPRLYLQLPMRMRMKAFTPKMKSLKVRAQRIKSEMGKIREDQQCIREEQRNIGERFGDVKRQCDQLREETQVIMKQTACNRIRLILMLNILGAREEGDFDKAATLTRFLKLVA >EOY14166 pep chromosome:Theobroma_cacao_20110822:7:21846229:21855307:1 gene:TCM_033453 transcript:EOY14166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 7 MARPRKRQRPVRAPGIGDDLQTTTHEENAPGREEGIVEKTEEDEVNNKKKKSEKGKKMGTHKVDGKGKEEENSEKVERSSSFVANQVVETGNDQVVFEFTESLNKRLRSAVGGRRVNYCSQDQGFEEEGDEVFSRRKRKKGKRQKRKLSKLKAEEEEEEKEKEDDNDKEVIDKEKDGESDRKGWKRRNEPKNEEKEAMVETRRYPARASKAPKRMGEFVSDNTAKKKTLSKDSIMCHQCQRNDKGRVVNCKSCKRKRYCIPCITNWYPKMSEEEIADVCPVCRDNCNCKACLRMDGPVNKLKEALEMKFSGDEKLRHSKYLLRALLPHVKKFSEQQMMEKVMEARIQGSSPSEIKLKQAVCHPAERVYCNNCKTSIVDFHRTCPLCNYDLCLICCQEIREGHLQGGEKEVTVQYVNRGFEYLHGELDSSMLTEMVEPLDSPTKTNCKELEGVESRWKANGNGSIPCPHKEMGGCAEGLLELRCMFKENAVLKLVENAERIARDLNVEDMPETTNHQCPCYSSMAEVDLGDCKLRKAASRKDSNDNYLYCPSAKDIHNGDLNHFQRHWAKGEPVIISQVFENASGVSWEPMVMWRAFRQITNSKHGQHLDVTAIDCLDWCEAQINIHQFFKGYTDGRFDSKEWPQILKLKDWPPSNKFEERLPRHHAEFHYCLPFKEYTHSQSGLLNLATKLPEGSLKPDMGPKTYIAYGVAQELGRGDSVTKLHCDMSDAVNVLTHTAEVKLKPEKLAKIETLKQEHCSQDQKEIFGMAKVDQEIYMGNGGLHKICGNKFEELQANEAGAVWDIFRRQDVPKLKDYLKKHFKEFRHIYCCPVPQTLFLTLEHKKKLKEEYGIEPWTFIQKLGEAVFIPAGCPHQVRNIKSCIKVALDFVSPENTGECVRLAEEFRLLPQGHRAKEDKLEVRKMILHAMCETVNYLDPQAKNMLDDGSTSLWSHSWMQLG >EOY14164 pep chromosome:Theobroma_cacao_20110822:7:21846236:21855307:1 gene:TCM_033453 transcript:EOY14164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 7 MARPRKRQRPVRAPGIGDDLQTTTHEENAPGREEGIVEKTEEDEVNNKKKKSEKGKKMGTHKVDGKGKEEENSEKVERSSSFVANQVVETGNDQVVFEFTESLNKRLRSAVGGRRVNYCSQDQGFEEEGDEVFSRRKRKKGKRQKRKLSKLKAEEEEEEKEKEDDNDKEVIDKEKDGESDRKGWKRRNEPKNEEKEAMVETRRYPARASKAPKRMGEFVSDNTAKKKTLSKDSIMCHQCQRNDKGRVVNCKSCKRKRYCIPCITNWYPKMSEEEIADVCPVCRDNCNCKACLRMDGPVNKLKEALEMKFSGDEKLRHSKYLLRALLPHVKKFSEQQMMEKVMEARIQGSSPSEIKLKQAVCHPAERVYCNNCKTSIVDFHRTCPLCNYDLCLICCQEIREGHLQGGEKEVTVQYVNRGFEYLHGELDSSMLTEMVEPLDSPTKTNCKELEGVESRWKANGNGSIPCPHKEMGGCAEGLLELRCMFKENAVLKLVENAERIARDLNVEDMPETTNHQCPCYSSMAEVDLGDCKLRKAASRKDSNDNYLYCPSAKDIHNGDLNHFQRHWAKGEPVIISQVFENASGVSWEPMVMWRAFRQITNSKHGQHLDVTAIDCLDWCEAQINIHQFFKGYTDGRFDSKEWPQILKLKDWPPSNKFEERLPRHHAEFHYCLPFKEYTHSQSGLLNLATKLPEGSLKPDMGPKTYIAYGVAQELGRGDSVTKLHCDMSDAVNVLTHTAEVKLKPEKLAKIETLKQEHCSQDQKEIFGMAKVDQEIYMGNGGLHKICGNKFEELQANEAGAVWDIFRRQDVPKLKDYLKKHFKEFRHIYCCPVPQVVDPIHDQTLFLTLEHKKKLKEEYGIEPWTFIQKLGEAVFIPAGCPHQVRNIKSCIKVALDFVSPENTGECVRLAEEFRLLPQGHRAKEDKLEVRKMILHAMCETVNYLDPQAKIMLKLQKHA >EOY14168 pep chromosome:Theobroma_cacao_20110822:7:21846393:21855307:1 gene:TCM_033453 transcript:EOY14168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 7 MGTHKVDGKGKEEENSEKVERSSSFVANQVVETGNDQVVFEFTESLNKRLRSAVGGRRVNYCSQDQGFEEEGDEVFSRRKRKKGKRQKRKLSKLKAEEEEEEKEKEDDNDKEVIDKEKDGESDRKGWKRRNEPKNEEKEAMVETRRYPARASKAPKRMGEFVSDNTAKKKTLSKDSIMCHQCQRNDKGRVVNCKSCKRKRYCIPCITNWYPKMSEEEIADVCPVCRDNCNCKACLRMDGPVNKLKEALEMKFSGDEKLRHSKYLLRALLPHVKKFSEQQMMEKVMEARIQGSSPSEIKLKQAVCHPAERVYCNNCKTSIVDFHRTCPLCNYDLCLICCQEIREGHLQGGEKEVTVQYVNRGFEYLHGELDSSMLTEMVEPLDSPTKTNCKELEGVESRWKANGNGSIPCPHKEMGGCAEGLLELRCMFKENAVLKLVENAERIARDLNVEDMPETTNHQCPCYSSMAEVDLGDCKLRKAASRKDSNDNYLYCPSAKDIHNGDLNHFQRHWAKGEPVIISQVFENASGVSWEPMVMWRAFRQITNSKHGQHLDVTAIDCLDWCEAQINIHQFFKGYTDGRFDSKEWPQILKLKDWPPSNKFEERLPRHHAEFHYCLPFKEYTHSQSGLLNLATKLPEGSLKPDMGPKTYIAYGVAQELGRGDSVTKLHCDMSDAVNVLTHTAEVKLKPEKLAKIETLKQEHCSQDQKEIFGMAKVDQEIYMGNGGLHKICGNKFEELQANEAGAVWDIFRRQDVPKLKDYLKKHFKEFRHIYCCPVPQVVDPIHDQTLFLTLEHKKKLKEEYGIEPWTFIQKLGEAVFIPAGCPHQVRNIKVRKMILHAMCETVNYLDPQAKNMLDDGSTSLWSHSWMQLG >EOY14169 pep chromosome:Theobroma_cacao_20110822:7:21846393:21855307:1 gene:TCM_033453 transcript:EOY14169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 7 MGTHKVDGKGKEEENSEKVERSSSFVANQVVETGNDQVVFEFTESLNKRLRSAVGGRRVNYCSQDQGFEEEGDEVFSRRKRKKGKRQKRKLSKLKAEEEEEEKEKEDDNDKEVIDKEKDGESDRKGWKRRNEPKNEEKEAMVETRRYPARASKAPKRMGEFVSDNTAKKKTLSKDSIMCHQCQRNDKGRVVNCKSCKRKRYCIPCITNWYPKMSEEEIADVCPVCRDNCNCKACLRMDGPVNKLKEALEMKFSGDEKLRHSKYLLRALLPHVKKFSEQQMMEKVMEARIQGSSPSEIKLKQAVCHPAERVYCNNCKTSIVDFHRTCPLCNYDLCLICCQEIREGHLQGGEKEVTVQYVNRGFEYLHGELDSSMLTEMVEPLDSPTKTNCKELEGVESRWKANGNGSIPCPHKEMGGCAEGLLELRCMFKENAVLKLVENAERIARDLNVEDMPETTNHQCPCYSSMAEVDLGDCKLRKAASRKDSNDNYLYCPSAKDIHNGDLNHFQRHWAKGEPVIISQVFENASGVSWEPMVMWRAFRQITNSKHGQHLDVTAIDCLDWCEAQINIHQFFKGYTDGRFDSKEWPQILKLKDWPPSNKFEERLPRHHAEFHYCLPFKEYTHSQSGLLNLATKLPEGSLKPDMGPKTYIAYGVAQELGRGDSVTKLHCDMSDAVNVLTHTAEVKLKPEKLAKIETLKQEHCSQDQKEIFGMAKVDQEIYMGNGGLHKICGNKFEELQANEAGAVWDIFRRQDVPKLKDYLKKHFKEFRHIYCCPVPQTLFLTLEHKKKLKEEYGIEPWTFIQKLGEAVFIPAGCPHQVRNIKVRKMILHAMCETVNYLDPQAKIMLKLQKHA >EOY14170 pep chromosome:Theobroma_cacao_20110822:7:21846393:21855307:1 gene:TCM_033453 transcript:EOY14170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 7 MGTHKVDGKGKEEENSEKVERSSSFVANQVVETGNDQVVFEFTESLNKRLRSAVGGRRVNYCSQDQGFEEEGDEVFSRRKRKKGKRQKRKLSKLKAEEEEEEKEKEDDNDKEVIDKEKDGESDRKGWKRRNEPKNEEKEAMVETRRYPARASKAPKRMGEFVSDNTAKKKTLSKDSIMCHQCQRNDKGRVVNCKSCKRKRYCIPCITNWYPKMSEEEIADVCPVCRDNCNCKACLRMDGPVNKLKEALEMKFSGDEKLRHSKYLLRALLPHVKKFSEQQMMEKVMEARIQGSSPSEIKLKQAVCHPAERVYCNNCKTSIVDFHRTCPLCNYDLCLICCQEIREGHLQGGEKEVTVQYVNRGFEYLHGELDSSMLTEMVEPLDSPTKTNCKELEGVESRWKANGNGSIPCPHKEMGGCAEGLLELRCMFKENAVLKLVENAERIARDLNVEDMPETTNHQCPCYSSMAEVDLGDCKLRKAASRKDSNDNYLYCPSAKDIHNGDLNHFQRHWAKGEPVIISQVFENASGVSWEPMVMWRAFRQITNSKHGQHLDVTAIDCLDWCEAQINIHQFFKGYTDGRFDSKEWPQILKLKDWPPSNKFEERLPRHHAEFHYCLPFKEYTHSQSGLLNLATKLPEGSLKPDMGPKTYIAYGVAQELGRGDSVTKLHCDMSDAVNVLTHTAEVKLKPEKLAKIETLKQEHCSQDQKEIFGMAKVDQEIYMGNGGLHKICGNKFEELQANEAGAVWDIFRRQDVPKLKDYLKKHFKEFRHIYCCPVPQSHA >EOY14167 pep chromosome:Theobroma_cacao_20110822:7:21846553:21854950:1 gene:TCM_033453 transcript:EOY14167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 7 MGTHKVDGKGKEEENSEKVERSSSFVANQVVETGNDQVVFEFTESLNKRLRSAVGGRRVNYCSQDQGFEEEGDEVFSRRKRKKGKRQKRKLSKLKAEEEEEEKEKEDDNDKEVIDKEKDGESDRKGWKRRNEPKNEEKEAMVETRRYPARASKAPKRMGEFVSDNTAKKKTLSKDSIMCHQCQRNDKGRVVNCKSCKRKRYCIPCITNWYPKMSEEEIADVCPVCRDNCNCKACLRMDGPVNKLKEALEMKFSGDEKLRHSKYLLRALLPHVKKFSEQQMMEKVMEARIQGSSPSEIKLKQAVCHPAERVYCNNCKTSIVDFHRTCPLCNYDLCLICCQEIREGHLQGGEKEVTVQYVNRGFEYLHGELDSSMLTEMVEPLDSPTKTNCKELEGVESRWKANGNGSIPCPHKEMGGCAEGLLELRCMFKENAVLKLVENAERIARDLNVEDMPETTNHQCPCYSSMAEVDLGDCKLRKAASRKDSNDNYLYCPSAKDIHNGDLNHFQRHWAKGEPVIISQVFENASGVSWEPMVMWRAFRQITNSKHGQHLDVTAIDCLDWCEAQINIHQFFKGYTDGRFDSKEWPQILKLKDWPPSNKFEERLPRHHAEFHYCLPFKEYTHSQSGLLNLATKLPEGSLKPDMGPKTYIAYGVAQELGRGDSVTKLHCDMSDAVNVLTHTAEVKLKPEKLAKIETLKQEHCSQDQKEIFGMAKVDQEIYMGNGGLHKICGNKFEELQANEAGAVWDIFRRQDVPKLKDYLKKHFKEFRHIYCCPVPQVVDPIHDQTLFLTLEHKKKLKEEYGIEPWTFIQKLGEAVFIPAGCPHQVRNIKVRKMILHAMCETVNYLDPQAKIMLKLQKHA >EOY14171 pep chromosome:Theobroma_cacao_20110822:7:21846393:21855307:1 gene:TCM_033453 transcript:EOY14171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 7 MVETRRYPARASKAPKRMGEFVSDNTAKKKTLSKDSIMCHQCQRNDKGRVVNCKSCKRKRYCIPCITNWYPKMSEEEIADVCPVCRDNCNCKACLRMDGPVNKLKEALEMKFSGDEKLRHSKYLLRALLPHVKKFSEQQMMEKVMEARIQGSSPSEIKLKQAVCHPAERVYCNNCKTSIVDFHRTCPLCNYDLCLICCQEIREGHLQGGEKEVTVQYVNRGFEYLHGELDSSMLTEMVEPLDSPTKTNCKELEGVESRWKANGNGSIPCPHKEMGGCAEGLLELRCMFKENAVLKLVENAERIARDLNVEDMPETTNHQCPCYSSMAEVDLGDCKLRKAASRKDSNDNYLYCPSAKDIHNGDLNHFQRHWAKGEPVIISQVFENASGVSWEPMVMWRAFRQITNSKHGQHLDVTAIDCLDWCEAQINIHQFFKGYTDGRFDSKEWPQILKLKDWPPSNKFEERLPRHHAEFHYCLPFKEYTHSQSGLLNLATKLPEGSLKPDMGPKTYIAYGVAQELGRGDSVTKLHCDMSDAVNVLTHTAEVKLKPEKLAKIETLKQEHCSQDQKEIFGMAKVDQEIYMGNGGLHKICGNKFEELQANEAGAVWDIFRRQDVPKLKDYLKKHFKEFRHIYCCPVPQVVDPIHDQTLKHA >EOY14165 pep chromosome:Theobroma_cacao_20110822:7:21846229:21855307:1 gene:TCM_033453 transcript:EOY14165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 7 MARPRKRQRPVRAPGIGDDLQTTTHEENAPGREEGIVEKTEEDEVNNKKKKSEKGKKMGTHKVDGKGKEEENSEKVERSSSFVANQVVETGNDQVVFEFTESLNKRLRSAVGGRRVNYCSQDQGFEEEGDEVFSRRKRKKGKRQKRKLSKLKAEEEEEEKEKEDDNDKEVIDKEKDGESDRKGWKRRNEPKNEEKEAMVETRRYPARASKAPKRMGEFVSDNTAKKKTLSKDSIMCHQCQRNDKGRVVNCKSCKRKRYCIPCITNWYPKMSEEEIADVCPVCRDNCNCKACLRMDGPVNKLKEALEMKFSGDEKLRHSKYLLRALLPHVKKFSEQQMMEKVMEARIQGSSPSEIKLKQAVCHPAERVYCNNCKTSIVDFHRTCPLCNYDLCLICCQEIREGHLQGGEKEVTVQYVNRGFEYLHGELDSSMLTEMVEPLDSPTKTNCKELEGVESRWKANGNGSIPCPHKEMGGCAEGLLELRCMFKENAVLKLVENAERIARDLNVEDMPETTNHQCPCYSSMAEVDLGDCKLRKAASRKDSNDNYLYCPSAKDIHNGDLNHFQRHWAKGEPVIISQVFENASGVSWEPMVMWRAFRQITNSKHGQHLDVTAIDCLDWCEAQINIHQFFKGYTDGRFDSKEWPQILKLKDWPPSNKFEERLPRHHAEFHYCLPFKEYTHSQSGLLNLATKLPEGSLKPDMGPKTYIAYGVAQELGRGDSVTKLHCDMSDAVNVLTHTAEVKLKPEKLAKIETLKQEHCSQDQKEIFGMAKVDQEIYMGNGGLHKICGNKFEELQANEAGAVWDIFRRQDVPKLKDYLKKHFKEFRHIYCCPVPQVVDPIHDQTLFLTLEHKKKLKEEYGIEPWTFIQKLGEAVFIPAGCPHQVRNIKSCIKVALDFVSPENTGECVRLAEEFRLLPQGHRAKEDKLEVRKMILHAMCETVNYLDPQAKNMLDDGSTSLWSHSWMQLG >EOY14163 pep chromosome:Theobroma_cacao_20110822:7:21846382:21853840:1 gene:TCM_033453 transcript:EOY14163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 7 MARPRKRQRPVRAPGIGDDLQTTTHEENAPGREEGIVEKTEEDEVNNKKKKSEKGKKMGTHKVDGKGKEEENSEKVERSSSFVANQVVETGNDQVVFEFTESLNKRLRSAVGGRRVNYCSQDQGFEEEGDEVFSRRKRKKGKRQKRKLSKLKAEEEEEEKEKEDDNDKEVIDKEKDGESDRKGWKRRNEPKNEEKEAMVETRRYPARASKAPKRMGEFVSDNTAKKKTLSKDSIMCHQCQRNDKGRVVNCKSCKRKRYCIPCITNWYPKMSEEEIADVCPVCRDNCNCKACLRMDGPVNKLKEALEMKFSGDEKLRHSKYLLRALLPHVKKFSEQQMMEKVMEARIQGSSPSEIKLKQAVCHPAERVYCNNCKTSIVDFHRTCPLCNYDLCLICCQEIREGHLQGGEKEVTVQYVNRGFEYLHGELDSSMLTEMVEPLDSPTKTNCKELEGVESRWKANGNGSIPCPHKEMGGCAEGLLELRCMFKENAVLKLVENAERIARDLNVEDMPETTNHQCPCYSSMAEVDLGDCKLRKAASRKDSNDNYLYCPSAKDIHNGDLNHFQRHWAKGEPVIISQVFENASGVSWEPMVMWRAFRQITNSKHGQHLDVTAIDCLDWCEAQINIHQFFKGYTDGRFDSKEWPQILKLKDWPPSNKFEERLPRHHAEFHYCLPFKEYTHSQSGLLNLATKLPEGSLKPDMGPKTYIAYGVAQELGRGDSVTKLHCDMSDAVNVLTHTAEVKLKPEKLAKIETLKQEHCSQDQKEIFGMAKVDQEIYMGNGGLHKICGNKFEELQANEAGAVWDIFRRQDVPKLKDYLKKHFKEFRHIYCCPVPQVVDPIHDQTLFLTLEHKKKLKEEYGIEPWTFIQKLGEAVFIPAGCPHQVRNIKSCIKVALDFVSPENTGECVRLAEEFRLLPQGHRAKEDKLERGGEGCSF >EOY12876 pep chromosome:Theobroma_cacao_20110822:7:4560401:4561852:1 gene:TCM_031381 transcript:EOY12876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase family protein isoform 2 STDLLLNFNLLEAVDLILQGAQNLVVPIKTKLSNKRKQQQKPSPTVTIHKGREFCWLTQEDVIRFLLSSIGLFSPIPAFSIDSLGIINPDILTIEYHSPASAATGAISRALVDQTSVAVVDSEGTLIGEISPFTLACCDETVAAALKTLSSGDLMAYIDCGGPPEDLVRVVTARLKERNLNGMLEHFTMSMSSGGFSSASSSSDEESMTAPVSPLPRSGRHSRSMSYSARMVRRAEAIVCHPKSSLVAVMIQAIAHRVNYVWVIEDDCSLVGIVTFSDILKVFREHLDTMA >EOY12875 pep chromosome:Theobroma_cacao_20110822:7:4559672:4562097:1 gene:TCM_031381 transcript:EOY12875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase family protein isoform 2 MAVRLLSHELSDLCLGKPALRSLSITSTIADAVEVLKTSDENFVSVWSCNHKAKTASGFESAARFSDDDDDECRCVGKVCMVDVICYLCKDENLVSPSVALKEPVSVLLPKIPDLVMHVEPSCSLLEAVDLILQGAQNLVVPIKTKLSNKRKQQQKPSPTVTIHKGREFCWLTQEDVIRFLLSSIGLFSPIPAFSIDSLGIINPDILTIEYHSPASAATGAISRALVDQTSVAVVDSEGTLIGEISPFTLACCDETVAAALKTLSSGDLMAYIDCGGPPEDLVRVVTARLKERNLNGMLEHFTMSMSSGGFSSASSSSDEESMTAPVSPLPRSGRHSRSMSYSARMVRRAEAIVCHPKSSLVAVMIQAIAHRVNYVWVIEDDCSLVGIVTFSDILKVFREHLDTMA >EOY12411 pep chromosome:Theobroma_cacao_20110822:7:2009581:2023731:-1 gene:TCM_030925 transcript:EOY12411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance RPH8A-like protein MHDVVRDFAHWITSTGEKMFMVKNELTEWPNSENFDCYTAISLWNSKIDNFPERLEFSKLKTLILSGRDYQIVPSTFFEGMKALQILFLERHVFSMEAFQFLKNLRILRIVRCGLQEDISSLRNLKNLEFLEWNSVHFEELPEELLELRRLKSLYFSCFGDGPINVPPNLLSRLTSLQELHVPRDNNANLLELNSLSRLTTLTLIVSTDQCFQENFVFPKLQSYSIAVNAYIEFPEKRISRILTISDCSSLNAFKELFPNVQKLTLFKVMEHKTVVPNVDQWELNELTSLQLTSCDDLECLIDTTWEQSPTTAFSNLVNLDIGGKELECLIDTTLGHVSTNAFFNLVELVIEEMTGLKMLCNGQFPKGFLQKLEKLTTRNCMEMVSLSPALQNLKEVKVINCGQLQEVFQIDEFLHDTKENQGLLLSNLTLMELNSLPALENIWKEPTHLVSLQSLKDVKVDGCDKLKSIFSPCLTQSLLHLEQLKISACKKLEQVFAFAQDMAEFAVPLISPSFL >EOY13792 pep chromosome:Theobroma_cacao_20110822:7:10832257:10834242:-1 gene:TCM_032435 transcript:EOY13792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1303 MLTASVTAPSPPLLSPGSPLKAQIKHSGFCGASRISTQVRPIGLGLQLQPIVGSSRKQSSSVICAAAAALNATCSASGQTQTVTREAPTITQAPVHAKEKSPQLDDGDSGFPPRDDDGGGGGGGGGGGNWSGGFFLFGFLAFLGFLKDKEGEEDYRDSRRR >EOY13480 pep chromosome:Theobroma_cacao_20110822:7:8727403:8730738:1 gene:TCM_032081 transcript:EOY13480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLSAKLPLFGLLALSLSLFPDFCIARGEHCGSSFCGNINISYPFRLKTQPRSCGNRKLELECDDENNRTIFPMKYGNFYVQHISYSDGTIQLLDVSLGNDNCSIPHSSYPWYNPFAKKLYLYPTTPDLSIMFLVNCTTQINDSWVYINAFRCTNTPSPRPCYLYFLDGETANSDFHESCRIEAQVPIMLANITGLSTSDIYRKLLTGFQIAWFSYDDDNWILNRLLWLAILLPYALVTYIRGNLTFFLGGSSLSRFIGAPSKGMVFGVHQ >EOY13481 pep chromosome:Theobroma_cacao_20110822:7:8727999:8729270:1 gene:TCM_032081 transcript:EOY13481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 YPFRLKTQPRSCGNRKLELECDDENNRTIFPMKYGNFYVQHISYSDGTIQLLDVSLGNDNCSIPHSSYPWYNPFAKKLYLYPTTPDLSIMFLVNCTTQINDSWVYINAFRCTNTPSPRPCYLYFLDGETANSDFHESCRIEAQVPIMLANITGLSTSDIYRKLLTGFQIAWFSYDDDNWILNRLLWLAILLPYALVTYIRGNLTFFLGGSSLSRFIGAPSKGTQIICVAITGKAPPFTMKVY >EOY13871 pep chromosome:Theobroma_cacao_20110822:7:12091289:12095899:-1 gene:TCM_032564 transcript:EOY13871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MATASFNKIERAHQMYREGRYEEALGYYTEALAVAKTNPQKIALHSNRAACYLKLHHFKKAAEECTSVLELDHKHTGALMLRAQTLVTLKEYNSALFDVNQLIELNPSSEVYHNLQARLRTQVGKALAPIPESEAELEEEEEEEEEHRQPFTMENEEEQDDKKEDIVVPAFRKDPNSKLNENLVKAEIIALEKPDLKESPEQETDYKNVPKRNPGVTAPQAPNGKDSQGWQAIPKRKGQLDEKNVPQRTEAAAPLEQCIKDSKEWQANPKPKGQLDQKNVPQRTEAAAALEQGVKDSKGWQAIPKPKGHSALDYARWDRVEDDSSEDDDEEEEESQPQYRFRVRTVGMRPVR >EOY13870 pep chromosome:Theobroma_cacao_20110822:7:12091452:12095865:-1 gene:TCM_032564 transcript:EOY13870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MATASFNKIERAHQMYREGRYEEALGYYTEALAVAKTNPQKIALHSNRAACYLKLHHFKKAAEECTSVLELDHKHTGALMLRAQTLVTLKEYNSALFDVNQLIELNPSSEVYHNLQARLRTQVALAPIPESEAELEEEEEEEEEHRQPFTMENEEEQDDKKEDIVVPAFRKDPNSKLNENLVKAEIIALEKPDLKESPEQETDYKNVPKRNPGVTAPQAPNGKDSQGWQAIPKRKGQLDEKNVPQRTEAAAPLEQCIKDSKEWQANPKPKGQLDQKNVPQRTEAAAALEQGVKDSKGWQAIPKPKGHSALDYARWDRVEDDSSEDDDEEEEESQPQYRFRVRTVGMRPVR >EOY12712 pep chromosome:Theobroma_cacao_20110822:7:3617842:3622520:1 gene:TCM_046860 transcript:EOY12712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine kinases,protein kinases,ATP binding,sugar binding,kinases,carbohydrate binding MEGLGILLVCSFLLSHLTISAAVDTLNTTQLMRDGDTIVSAGGRFELGFFSPGASRKKYLAIWYKQIPVKTAVWVANRELPLNDSSGFLKLTKQGILVLLDRNRRTVWSSNSSRPARNPVAQLLDSGNLIVREENDSNPENLLWQSFDYPCDTLLQGMKLGRNLITGLDRYLSSWKSPDDPSHGNFTYRFEVGGFPELILREGSVVRFPPGPWNGLRFSGTPELRPNKFFTVSVVINETEVYDTYELHNSTILSRMVLSQNGLWERLTWTDRTQSWEVFVIVQMDNCDNYALCGAYGSCNASNTPECSCLKGFVPQFPKNWDAKNWSNGCARKTPLNCSTDGFLKFSGVKLPDSRKSWFNYSMTLEECKNLCTKNCSCTAYSNIDIRDGGSGCLLWFVDLVDIQQFTENGQEIYIRMAASELDQIESIKSKEKERVRVAFVCVLTAAVLIVGLSLVLYLWRKRYHEKPGLLTYVPESSSNVKNQNEDLELPSFDLAAIVFATDNFSMKNKLGEGGFGAVYKGILKDGLEIAVKRLSKSSGQGLDEFKNEVIHIAKLKHRNLVELLGCCIQGDEKMLIYEFMPNKSLDFLIFDETQSMSLDWPMRYNIINGIARGLLYLHQDSRQRIIHRDLKAANVLLDSEMNPKISDFGLARSFGDKETEANTRKVVGTYGYMAPEYAIDGLYSIKSDVFSFGVLVLEIVNGNCNRGFCHPDHQLNLLGHAWRLFAEGKSFELVASAIRDTGNASEVLRSIHVGLLCVQHSPEDRPNMSNVVMMLGSQGPLPQPRQPGFFNERDLVDQSSSSSASQKLLSSNDFTIGMSEAR >EOY14078 pep chromosome:Theobroma_cacao_20110822:7:20046230:20047034:-1 gene:TCM_033276 transcript:EOY14078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGENMMNFVGGEMETGPEVSRKKRRRARKPKFEVGKPKLVNDKKKKDKKVKQVVATQMAKLGLEAFPLIFAEIRESNCRLKDLNSKIDGYVLDKLAAWDAEDELRRLSI >EOY12660 pep chromosome:Theobroma_cacao_20110822:7:3260173:3265712:1 gene:TCM_031171 transcript:EOY12660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinone reductase family protein MATKVYIVYYSMYGHVEKLAEEIKKGAASVEGVEAKLWQVPETLSEEILGKMSAPPKSDVPIITPHDLSEADGFIFGFPTRFGMMSAQFKAFLDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEQVKGGSPYGAGTYAGDGTRMPSELELAQAFHQGKYIAGIAKKLKAAA >EOY12562 pep chromosome:Theobroma_cacao_20110822:7:2788093:2796057:1 gene:TCM_031081 transcript:EOY12562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-oxidase 8 MGVEPPFFEKYKAILQRSVEKEKFSTIEVFEEFELPLIDLSSLILGPFERQKCIEQMAEAASEWGSFQIVNHGIPGGLLDRLKYEQMKVFHLPFDKKTEKKFLNLSAHSYRWGNPLATSLRNLSWSEALHISLKDISRMDECNKLRSTIQEYARKANLLAQTLAEHLAQKLGIKPNYFQENCSPSSSYLRMNRYPPCPYSSRMFGIIPHTDTDFLTILSQDQVGGLQFNRDGRWFSVKPNPEALVINIGDLFQALSNGIYKSITHRVAANEEVERYSIAYFYCPTDEVVIQSCSEPALYRNFSFKEYREQIERDVKATGDKVGLSRFLG >EOY12648 pep chromosome:Theobroma_cacao_20110822:7:3159726:3173052:1 gene:TCM_031143 transcript:EOY12648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-cadinene synthase isozyme A MSSLASSSLPVSTNDSMSNENRRSTKFHPSIWGDLFLSFPSKVNVDTTTQLHYEELKQEVRRMLRVPTDNQLSQKLRLIETIKRLGVSYHFEREIEDVLQNIYDQDYKDDNLEVTSLRFRLLREHGFNVQCETFNKFKDDKGNFKVSLKSDVKGLLELYEAAHIRTHGEHILEEALAFTTTHLESAKTSLCEYPISVLVSHARKRPIRKGLQRLEARRFISIYQEDGSHDKTLLKFAKLDFSLVQNLHKEELSKISRWWKDLDFKRQLPFARDRLVEGYFWILGVYFEPQYSFARQILTKAIVMASTMDDIYDAYGTFEELQLFTKAIERWDTNCMDRLPAYMKLFYKALLDVYEEMEEVMTKQVKSYRVKYAKEAMKQLSQAYFVEAKWYHENYVPTVEEYMTNALVSSGYIMVTVTSFVGMGNVVTKETFQWASNNPRIVRASSIIARLMDDIVSHKFEQERGHVASAIECYMKQHGVSEEKACNELNKQIENAWKDINQELVRPPAGVPMPVLTRILNLARVMDFLYKEGDGYTHVGEAVKDGITSLMIDPIPI >EOY14306 pep chromosome:Theobroma_cacao_20110822:7:23641220:23641810:1 gene:TCM_033667 transcript:EOY14306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLADKTVAEVDIDIAKHEKKSSFLRPRHESPRVEKANPPSRHPMKKDDEGKPVMIELAHSRRHSETIVLSEGPSQDQELLERLQMSKFELQVVSSVQCHVGTA >EOY13367 pep chromosome:Theobroma_cacao_20110822:7:7794712:7819074:-1 gene:TCM_031920 transcript:EOY13367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 12 MVSSLKIIDVSHNNLSGKIPSCLPNFSKNLLVLDLRANNFHGTIPKSIVEPCSLENLNFHGNQMEGLLPRSLANCRNLKVLDLGKNKFTGTFPNWLETLPMLQVLVLSSNKFHGVVNNSRARLLFPKLRVLDLSNNDFVGPFPTCYIENLKAMANLTDSRSSSKYGVIPEVIGKLNSLIVFNLSHNNFFGHIPPSIGNLTNLEWLDFSLNKLIGKIPRELVDLTFLAILNLSKNQLVGPIPQAKQFNTFENSSYEGNVGLCGFPLLKACNEIEMQKPTIAMKESGIGYGWKVVLMGGMMNLSNVLRKSMEDFNLYYHFSVRVTVKGNNLQILNLNNNDFDGPFPKSLENCRDLQVLNLGNNKINDTFPHWLGTLPQLQVLVLRSNYFHGQIRPSENESHFAALRILDLSNNEFSGFLPTTYFKSFEGMMNLSNVQTKSMEDFNLYYNFSVRVRVKNLDVELKRILTLFTTIDMSSNKFQGKIPEIVGDLISLQVLNFSHNSLTGDIPSSLGNLTALESLDLSTNKLVGQIPMQLIGLIFLEVLNLSQNQLVGLIPQGNQFNTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIPTVATWDCADFQCQRGVARMRNKNHRNLFFMRKEFFLLH >EOY12490 pep chromosome:Theobroma_cacao_20110822:7:2337809:2338757:-1 gene:TCM_030979 transcript:EOY12490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFSVKQSASTTCMHISSIEIGIYVATWWALHVVFNIYKEKVLNDYPYPLLPSTLSLACILS >EOY12696 pep chromosome:Theobroma_cacao_20110822:7:3424663:3499238:-1 gene:TCM_031211 transcript:EOY12696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLLGLYYQLLHLQKLQKVERETKDNKENDQENQGQDEDMELPIFEPPTIARATDSFSMDIKLGEGGFGTVYK >EOY12695 pep chromosome:Theobroma_cacao_20110822:7:3426362:3499238:-1 gene:TCM_031211 transcript:EOY12695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLCFWGCTISYYIYRSCRKLKEKQKTIRKMIKKIKAKMKTWSFQYLSLLP >EOY13977 pep chromosome:Theobroma_cacao_20110822:7:16247510:16249305:-1 gene:TCM_032940 transcript:EOY13977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin 1 isoform 1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLGDDKTAREYNIEGGSVLHLVLALRGGNL >EOY13978 pep chromosome:Theobroma_cacao_20110822:7:16247476:16294299:-1 gene:TCM_032940 transcript:EOY13978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin 1 isoform 1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLGDDKTAREYNIEGGSVLHLVLALRGGNL >EOY13044 pep chromosome:Theobroma_cacao_20110822:7:5537741:5552092:-1 gene:TCM_031555 transcript:EOY13044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive GH3 family protein MKRKQRNTSYQNINQSMYCQLLVSFIQRDEVVRIGSMFASALLRAIKFIEDHWKELCSNIKTGYLSDWITDSGCRNALSLIMKHDPALADSIENICGCRSWEGIIRKLWPKAKYIGAITMGVMRQYTTTLDFYSGGLPLVSSFYACSEAICGINLEPLDKPADVSYTILPNMAYFEFLPVKTMTQEVQFNGVSEQESIEMKSNNEDIEAVDLVNVKLGQFYELVVTTFTGVIGEEHQRKDSVEGGKERHPIRREERKEKESVAHDNPATFESLYSPSVLNAIDLVIRLEEEVENLSKDLGLLDGVRASLSTALLLNVPCLNNVLASLMVCELAHLSASVVCLFCVAYLCVMLARGFFPDNTSPQADTLRHLKPPLTP >EOY13186 pep chromosome:Theobroma_cacao_20110822:7:6460856:6462935:-1 gene:TCM_031713 transcript:EOY13186 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MGVRHQNCRSSSSGCFCIRYSTILTLAILFASFYLFISPVRHVPEPFSWAAEQARFSGDLRDAKFPWNSLCFGQNYEKLKLAVFSKTWPIDAAPGGMERHAATLYKALAAREHEIHVFTVPSDRKPHLDIHEGNLHVYFAANDHGSVNCSLAFEIFNTINANGAFDYVHTESVSLPHWRAKMVPNVAVTWHGIWYEIMHSKLFEELLLNSQEVSPRPVGELQEAMPRLVDEIRFFPSYTQHICISNSAGEVLINIYQLPQRNVHVILNGVDETKFVHDPEAGIRFRKKHGVPENVSLVMGVAGRLVRDKGHPLLYEAFSTITKTYAGVYLLVAGSGPWGKRYSELGPHVKVLGALNPKELSEFYNAIDVFINPTLRPQGLDLTLIEAMHCGKPVLTPNYPSIVGTVVVNKSFGYTFSPNVESFVEALKSVIRDGPKVLQSKGMACREYALSMFTATIMASAYERFFLCMKNTRFCQYPLPTDC >EOY11926 pep chromosome:Theobroma_cacao_20110822:7:380545:385752:-1 gene:TCM_030576 transcript:EOY11926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein isoform 1 MPATLRKLLLSSNIFSFTLLLSINSLLFHHCYSIDEQGQALLTWKNSLNSTADSLKSWNSLDPTPCKWFGIHCNSNGEVVEISLKAIDLQGSLPSNFQSLKSLRTLILSSTNLTGTIPKEFGDYHELTFVDLSDNSLSREIPLEICRLSKLKSLSLNTNFLEGEIPSGIGNLSSLVYLTLYDNQLSGEIPKSIGELRKLEVFRAGGNKNLKGELPWEIGNCTNLVLLGLAETGISGNLPSSIGMLKRIQTIAIYTSLLSGPIPEEIGNCSELQNLYLYQNSISGPIPRQVGQLSKLQSLLLWQNSLVGTIPDELGSCTELTVVDFSENLLTGSIPRSIGNLLKLQELQLSVNKLSGTIPSEISNCTELTHLEIDNNAISSEIPVLIGNLKSLTLFFAWQNNLTGNIPDSLSQCHDLQAVDLSYNSLFGSIPKEIFGLRNLTKLLLLSNDLSGFIPPDIGNCTNLYRLRLNGNRLAGTIPSEIGNLKGLNFVDLSKNRFVGGIPPSISGCQNLEFLDLHSNGLTGSLPDTLPSSLQYVDISDNRLTGPLTHSIGSLTELTKLNLGKNKLSGRIPSEILSCSKLQLVNLGDNGFFGEIPKELGQLPALEISLNLSCNQFSGEIPSEFSGLSKLGALDLSHNKFNGKLDVLASLLNLVSLNVSFNDYSGELPKTPFFRKLPLSDLESNKGLYISNGVVTSADIGHERHARPAVKLALSILISASAVLVLLAIYMLVRAQFASNGLMEDDNWEVTLYQKLDFSIDDAVHNLTSANVIGTGSSGVVYRVMIPNGETLAVKKMWSSEESGAFTSEIQTLGSIRHRNIVRLLGWGSNRNLKLLFYNYLPNGSLSSLLHGGGKGAPDWEARYDVVLGVAHALAYLHHDCVPAILHGDVKAMNVLLGTGYEPYLADFGLARVVNNNDDDKLSKLNLRPHLAGSYGYMAPEHATMQRITEKSDVYSFGVVLLEVLTGRHPLDPTLPGGAYLVQWVRDHLASKRDPSDILDPKLRGRADPAMHEMLQTLAVSFLCVSTRPDDRPIMKDVVAMLKEIRHVETLRPEADISKGALSTPPLSSPPPRIVVSQGSSNCSFAFSDDSIQSSGGGFKL >EOY11927 pep chromosome:Theobroma_cacao_20110822:7:381191:390466:-1 gene:TCM_030576 transcript:EOY11927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein isoform 1 MPATLRKLLLSSNIFSFTLLLSINSLLFHHCYSIDEQGQALLTWKNSLNSTADSLKSWNSLDPTPCKWFGIHCNSNGEVVEISLKAIDLQGSLPSNFQSLKSLRTLILSSTNLTGTIPKEFGDYHELTFVDLSDNSLSREIPLEICRLSKLKSLSLNTNFLEGEIPSGIGNLSSLVYLTLYDNQLSGEIPKSIGELRKLEVFRAGGNKNLKGELPWEIGNCTNLVLLGLAETGISGNLPSSIGMLKRIQTIAIYTSLLSGPIPEEIGNCSELQNLYLYQNSISGPIPRQVGQLSKLQSLLLWQNSLVGTIPDELGSCTELTVVDFSENLLTGSIPRSIGNLLKLQELQLSVNKLSGTIPSEISNCTELTHLEIDNNAISSEIPVLIGNLKSLTLFFAWQNNLTGNIPDSLSQCHDLQAVDLSYNSLFGSIPKEIFGLRNLTKLLLLSNDLSGFIPPDIGNCTNLYRLRLNGNRLAGTIPSEIGNLKGLNFVDLSKNRFVGGIPPSISGCQNLEFLDLHSNGLTGSLPDTLPSSLQYVDISDNRLTGPLTHSIGSLTELTKLNLGKNKLSGRIPSEILSCSKLQLVNLGDNGFFGEIPKELGQLPALEISLNLSCNQFSGEIPSEFSGLSKLGALDLSHNKFNGKLDVLASLLNLVSLNVSFNDYSGELPKTPFFRKLPLSDLESNKGLYISNGVVTSADIGHERHARPAVKLALSILISASAVLVLLAIYMLVRAQFASNGLMEDDNWEVTLYQKLDFSIDDAVHNLTSANVIGTGSSGVVYRVMIPNGETLAVKKMWSSEESGAFTSEIQTLGSIRHRNIVRLLGWGSNRNLKLLFYNYLPNGSLSSLLHGGGKGAPDWEARYDVVLGVAHALAYLHHDCVPAILHGDVKAMNVLLGTGYEPYLADFGLARVVNNNDDDKLSKLNLRPHLAGSYGYMAPEHATMQRITEKSDVYSFGVVLLEVLTGRHPLDPTLPGGAYLVQWVRDHLASKRDPSDILDPKLRGRADPAMHEMLQTLAVSFLCVSTRPDDRPIMKDVVAMLKEIRHVETLRPEADISKGALSTPPLSSPPPRIVVSQGSSNCSFAFSDDSIQSSGGGFKL >EOY12741 pep chromosome:Theobroma_cacao_20110822:7:3946005:3955817:-1 gene:TCM_031277 transcript:EOY12741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase receptor, putative MKLDPALVVAFGLLIGNKMISKWQFIYPVLLLIFSCHILKYPHAATDTISPGQHLNSSETIVSAGKMFELGFFIPGNSGNYYVGIWYKNISEQTVVWLANRDYPLTDSAVLSISLDGNLVIRHRKIIYMVTDITSDANVSATLLDSGNLVVRNEKSNILWQSFDFPSHTFLPGMKLGYDREKGKSWSYVSWKSADDPSPGNFTLELDPREKRVQILSSGEIYWKAGPWTDDANVSDFTTESFLYNFTIVSELNMNYLTYYIYRKDIISRFAIDVTGQFKQFLWLENEWTLFNSQPRQLCDVYAYCGANASCTNVSLPYCSCLPGFQPISLEGWNKGDYSRGCSRKTDLQCGNDTNIKGAGDGFLKLFNVVLPKKQLTLEVQSIGECRSSCLSNCSCTGFSYTDQNCSIWTTALINLQQLPADDISGRDFFLKLAAADLETRKGTGNKRKRSIIISVTISVTIFTSALLIWQNPYVYTHASPICRQAGENLLLFELSVSPAPTKNEQSEVKGQGKQKKEVEIPLFSFSSISAATNNFSASNKLGEGGFGPVYKGRLLKGHEVAVKRLSRKSGQGWNELKNEAMLIAKLQHKNLVKLLGCCIEGDEKILVYEYLPNKSLDFFLFGNKKIFILAWGTRVRIIEGIAQGLLYLHEFSRVQIIHRDLKASNILLDEEMNPKISDFGMARIFEGSKPRATDRIVGTYGYMAPEYALEGVFSVKSDVFSFGVLMLEVLSGKKNTGFYQSNSFSLLGYAWDLWTSSWPLELMESVIQDSSFTTAAIRYINIALLCVQERAEDRPTMSDVVSMLSNELTVLPSPMKPAFSNVRKEADILTVEGSISDGETLVSSFQSFELGFFSPGKSENRYLGIWFKNSPGAVVWVANRKNPIADGKGVLTVSDRGNLVLLNQAKNVIWSSNVSGPVENPVAQLLDSGNLVLKDNKSMSQSYLWQSFDYPSDTLLAGMKIGWNLKTGQERYLTSWKSTDYPSPGLFTYRLDINGLPQLAIDRGSMKMYRTGPWNGIGFGGVPAVPNLVFKPTVVCNDNELYYSYEAVSNAITMRLWLNQSGFLQRFILNEGRSEWGILYSAPFDQCDSYGLCGANSICSIRRTDTCECLTGFIPKSQEERGTNKSLSLNCARESPLDCQNGQGFLRLVGVKLPDLLKVQLNKSMSLKKCEAECLKNCSCAAYANLNITGGGSCLMWFGDLIDIREVSEVYRGEEVYIRLPASSLGSTHDSSTKNRSKVILLVSIISSTIILGLVSCIIWKKSKKRDGLLHLTRAESGKEEAEVPLFDFSSIENAINNFCYANVIGGGGFGLVYKGNLPTGQEIAVKRLSKDSGQGIEQFSNEVGLIAKLQHRNLVGLLGCCIQGDERMLIYEFMSNSSLDHFIFDHRKKAQLSWQKRFDIVLGITRGLLYLHQDSKLQIIHRDLKASNILLDSNLIPKISDFGLARIFGDNDEETRTNRVVGTYGYMAPEYAIDGTFSVKSDVFGFGVLLLEIVSGKKNRGYSHPDHRHNLLGHAWLLWNEDRALELIDTSLEESCVRPEVVRCIQVGLLCVQEFPEDRPAMSSVLLMLTNESAATLPQPKPPGFFIQRKSSTNFSGTTTTKEESMTGNAVTITVLEAR >EOY12887 pep chromosome:Theobroma_cacao_20110822:7:4631555:4636029:-1 gene:TCM_031396 transcript:EOY12887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein MGLSVVPSEVWESGEITKVNLSRNSIQELPIEISSCLSLQLDNNPLRQIPSDGFQAISMLHILDLSGNAASLPENPAFSSLPHLKELYLKRMQLREVPSEIMSLCQLQILDFGQNSLQSIPGGLNSLTSLTELDLSDNSISALPPELGLLEPSLQVLRLDGNPLRSIRRAILDKGTKAVLKYLKDKILEQ >EOY12559 pep chromosome:Theobroma_cacao_20110822:7:2769624:2773175:-1 gene:TCM_031076 transcript:EOY12559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-oxidase 8 MGVEPPFFEKYKAILQSSTEKEKFSTIEVFEEFELPLIDLSGLNLGPFERQKCIEQMDKAAREWGFFQIVNHGIPGGLLDRLKYEQMKVFHLPFDKKAEKKFLNLSAHSYRWGNPLATSLRNLSWSEALHISLKDISRMDECNKLRSTIQEYARKANLLAQKLAEHLAQILGIKPNYFQENCSPSSSSLRMNRYPPCPFSSRMFGIIPHTDTDFLTILSQDQVGGLQFNTDGRWFSVKPNPKALVINIGDLFQALSNGIYKSITHRVAANEEVERYSIAYFYCPTDEVVIQSCSEPALYRNFSFKEYKQQIERDVKATGDKVGLSRFLW >EOY14219 pep chromosome:Theobroma_cacao_20110822:7:22263124:22263843:-1 gene:TCM_033509 transcript:EOY14219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAHKSTENLVTLGIRAVMANTRNEMGIEGVASTPNARKRHSSRVKSKYCSKDLLIMMETKLTRQKECVVELADHYKLSEARIDGFKEQESELREELQFALNEMLEKLT >EOY13421 pep chromosome:Theobroma_cacao_20110822:7:8214198:8216257:-1 gene:TCM_031994 transcript:EOY13421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein, putative MTLNFVLFFVFGILASQAMSRTMNEAAIAEHELWMAKYGRTYQNKTEKDRRFKIFKENLEYIQNFNNAGNRSYKLGINEFADMSHDEFVAARTGYKNPGNLATSSPFSYAEFTDVPTSLDWREKGAVTAVKDQGDCGCCWAFAAVAAVEGINQIKTGKLISLSEQQVLDCSTNGNNHGCGGGSKTDAFQYIMQNGGLTTEDNYPYQATQGACDKEKETSHVADISDYARVPANSEEELLKAVSNQPVTISIEASGMDFKFYESGIFSGDCGTNLNHAVTVVGFGTSVDGIDYWLVKNSWNQSWGENGYIKMQRNVDASEGLCGLAIRPAYPIA >EOY13787 pep chromosome:Theobroma_cacao_20110822:7:10798169:10799412:-1 gene:TCM_032432 transcript:EOY13787 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein MKKINLILRKCKTLSRQLARSSSYSSLRSKSAKEDHLSDHMGGDEHCETIYVGSTRKRYVISAKYLKHPLLNALIERSKQKHGGEDILVKCEVVLFDHLLWMLENSDPNLILGSLEELADLYVF >EOY11820 pep chromosome:Theobroma_cacao_20110822:7:20837:28657:-1 gene:TCM_030499 transcript:EOY11820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 5 isoform 1 MSMRELVTGGAACAVSGSSSSSSNPLGALANALIGSSSKTQERLKEIPNAAAATSHTQFYDDPVAALPGSELDHPFLQSNAQGSDFIRGFRTAHDNGLADAWDEIQRQPHLDRVYNAAPAPPIQPTLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHFFADKSEEFINSQVNALLSSLEIDSDSHAKGPMPGRFRELEDYWNESQGILKPGAHAADGWVTEFSQHRAQHGDPDAWAHSFEQQHGAGGWASEFEQEQAQLTLVDQMRGGNIVNLAAIEQTRMLAHTLSQNDDPKFQNSKFLQFVSKMSRGELIIDDNQVKPASGSWATEYQQQFNGGASWADEFVHDEVSHGPAQWVNEFATEREQEPVDDQWVNEFSKLHVDDWAEEFGRQVGEGALGDSSSDNWATAYDEFLNEQVASKERSDASTGVYVFSDMNPYVGHPNPLKEGQELFRKGLLSEAVLALEAEVMKNPENAEGWRLLGITHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQAAALKYLYGWLRHHPKYGTLALPELVDSLYYADVARLFNEAAQMSPEDADVHVVLGVLYNLSREYDKAIASFKTALKLQPNDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQLCFSK >EOY11822 pep chromosome:Theobroma_cacao_20110822:7:21868:28722:-1 gene:TCM_030499 transcript:EOY11822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 5 isoform 1 MSMRELVTGGAACAVSGSSSSSSNPLGALANALIGSSSKTQERLKEIPNAAAATSHTQFYDDPVAALPGSELDHPFLQSNAQGSDFIRGFRTAHDNGLADAWDEIQRQPHLDRVYNAAPAPPIQPTLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHFFADKSEEFINSQVNALLSSLEIDSDSHAKGPMPGRFRELEDYWNESQGILKPGAHAADGWVTEFSQHRAQHGDPDAWAHSFEQQHGAGGWASEFEQNSKFLQFVSKMSRGELIIDDNQVKPASGSWATEYQQQFNGGASWADEFVHDEVSHGPAQWVNEFATEREQEPVDDQWVNEFSKLHVDDWAEEFGRQVGEGALGDSSSDNWATAYDEFLNEQVASKERSDASTGVYVFSDMNPYVGHPNPLKEGQELFRKGLLSEAVLALEAEVMKNPENAEGWRLLGITHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQAAALKYLYGWLRHHPKYGTLALPELVDSLYYADVARLFNEAAQMSPEDADVHVVLGVLYNLSREYDKAIASFKTALKLQPNDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQLCFSK >EOY11821 pep chromosome:Theobroma_cacao_20110822:7:21968:28485:-1 gene:TCM_030499 transcript:EOY11821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 5 isoform 1 MSMRELVTGGAACAVSGSSSSSSNPLGALANALIGSSSKTQERLKEIPNAAAATSHTQFYDDPVAALPGSELDHPFLQSNAQGSDFIRGFRTAHDNGLADAWDEIQRQPHLDRVYNAAPAPPIQPTLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHFFADKSEEFINSQVNALLSSLEIDSDSHAKGPMPGRFRELEDYWNESQGILKPGAHAADGWVTEFSQHRAQHGDPDAWAHSFEQQHGAGGWASEFEQNSKFLQFVSKMSRGELIIDDNQVKPASGSWATEYQQQFNGGASWADEFVHDEVSHGPAQWVNEFATEREQEPVDDQWVNEFSKLHVDDWAEEFGRQVGEGALGDSSSDNWATAYDEFLNEQVASKERSDASTGVYVFSDMNPYVGHPNPLKEGQELFRKGLLSEAVLALEAEVMKNPENAEGWRLLGITHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQAAALKYLYGWLRHHPKYGTLALPELVDSLYYADVARLFNEAAQMSPEDADVHVVLGVLYNLSREYDKAIASFKTALKLQPNDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQGMYEDSIRYYVRALAMNPKADNAWQYLRISLR >EOY11818 pep chromosome:Theobroma_cacao_20110822:7:20830:28703:-1 gene:TCM_030499 transcript:EOY11818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 5 isoform 1 MSMRELVTGGAACAVSGSSSSSSNPLGALANALIGSSSKTQERLKEIPNAAAATSHTQFYDDPVAALPGSELDHPFLQSNAQGSDFIRGFRTAHDNGLADAWDEIQRQPHLDRVYNAAPAPPIQPTLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHFFADKSEEFINSQVNALLSSLEIDSDSHAKGPMPGRFRELEDYWNESQGILKPGAHAADGWVTEFSQHRAQHGDPDAWAHSFEQQHGAGGWASEFEQEQAQLTLVDQMRGGNIVNLAAIEQTRMLAHTLSQNDDPKFQNSKFLQFVSKMSRGELIIDDNQVKPASGSWATEYQQQFNGGASWADEFVHDEVSHGPAQWVNEFATEREQEPVDDQWVNEFSKLHVDDWAEEFGRQVGEGALGDSSSDNWATAYDEFLNEQVASKERSDASTGVYVFSDMNPYVGHPNPLKEGQELFRKGLLSEAVLALEAEVMKNPENAEGWRLLGITHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQAAALKYLYGWLRHHPKYGTLALPELVDSLYYADVARLFNEAAQMSPEDADVHVVLGVLYNLSREYDKAIASFKTALKLQPNDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQGMYEDSIRYYTIYGLIIMPTTTYISLFPSSCSCASRNDMLEACDSRNLELLQKEFPL >EOY11816 pep chromosome:Theobroma_cacao_20110822:7:20837:28551:-1 gene:TCM_030499 transcript:EOY11816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 5 isoform 1 MSMRELVTGGAACAVSGSSSSSSNPLGALANALIGSSSKTQERLKEIPNAAAATSHTQFYDDPVAALPGSELDHPFLQSNAQGSDFIRGFRTAHDNGLADAWDEIQRQPHLDRVYNAAPAPPIQPTLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHFFADKSEEFINSQVNALLSSLEIDSDSHAKGPMPGRFRELEDYWNESQGILKPGAHAADGWVTEFSQHRAQHGDPDAWAHSFEQQHGAGGWASEFEQEQAQLTLVDQMRGGNIVNLAAIEQTRMLAHTLSQNDDPKFQNSKFLQFVSKMSRGELIIDDNQVKPASGSWATEYQQQFNGGASWADEFVHDEVSHGPAQWVNEFATEREQEPVDDQWVNEFSKLHVDDWAEEFGRQVGEGALGDSSSDNWATAYDEFLNEQVASKERSDASTGVYVFSDMNPYVGHPNPLKEGQELFRKGLLSEAVLALEAEVMKNPENAEGWRLLGITHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQAAALKYLYGWLRHHPKYGTLALPELVDSLYYADVARLFNEAAQMSPEDADVHVVLGVLYNLSREYDKAIASFKTALKLQPNDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQGMYEDSIRYYVRALAMNPKADNAWQYLRISLSCASRNDMLEACDSRNLELLQKEFPL >EOY11819 pep chromosome:Theobroma_cacao_20110822:7:20830:28722:-1 gene:TCM_030499 transcript:EOY11819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 5 isoform 1 MSMRELVTGGAACAVSGSSSSSSNPLGALANALIGSSSKTQERLKEIPNAAAATSHTQFYDDPVAALPGSELDHPFLQSNAQGSDFIRGFRTAHDNGLADAWDEIQRQPHLDRVYNAAPAPPIQPTLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHFFADKSEEFINSQVNALLSSLEIDSDSHAKGPMPGRFRELEDYWNESQGILKPGAHAADGWVTEFSQHRAQHGDPDAWAHSFEQQHGAGGWASEFEQNSKFLQFVSKMSRGELIIDDNQVKPASGSWATEYQQQFNGGASWADEFVHDEVSHGPAQWVNEFATEREQEPVDDQWVNEFSKLHVDDWAEEFGRQVGEGALGDSSSDNWATAYDEFLNEQVASKERSDASTGVYVFSDMNPYVGHPNPLKEGQELFRKGLLSEAVLALEAEVMKNPENAEGWRLLGITHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQAAALKYLYGWLRHHPKYGTLALPELVDSLYYADVARLFNEAAQMSPEDADVHVVLGVLYNLSREYDKAIASFKTALKLQPNDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQGMYEDSIRYYVRALAMNPKADNAWQYLRISLSCASRNDMLEACDSRNLELLQKEFPL >EOY11817 pep chromosome:Theobroma_cacao_20110822:7:21056:28556:-1 gene:TCM_030499 transcript:EOY11817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 5 isoform 1 MSMRELVTGGAACAVSGSSSSSSNPLGALANALIGSSSKTQERLKEIPNAAAATSHTQFYDDPVAALPGSELDHPFLQSNAQGSDFIRGFRTAHDNGLADAWDEIQRQPHLDRVYNAAPAPPIQPTLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSQGDKQCIRDRSSIMARHFFADKSEEFINSQVNALLSSLEIDSDSHAKGPMPGRFRELEDYWNESQGILKPGAHAADGWVTEFSQHRAQHGDPDAWAHSFEQQHGAGGWASEFEQEQAQLTLVDQMRGGNIVNLAAIEQTRMLAHTLSQNDDPKFQNSKFLQFVSKMSRGELIIDDNQVKPASGSWATEYQQQFNGGASWADEFVHDEVSHGPAQWVNEFATEREQEPVDDQWVNEFSKLHVDDWAEEFGRQVGEGALGDSSSDNWATAYDEFLNEQVASKERSDASTGVYVFSDMNPYVGHPNPLKEGQELFRKGLLSEAVLALEAEVMKNPENAEGWRLLGITHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQAAALKYLYGWLRHHPKYGTLALPELVDSLYYADVARLFNEAAQMSPEDADVHVVLGVLYNLSREYDKAIASFKTALKLQPNDYSLWNKLGATQANSVQSADAILAYQQQALDLKPNYVRAWANMGISYANQGMYEDSIRYYVRALAMNPKADNAWQYLRISLSCASRNDMLEACDSRNLELLQKEFPL >EOY13002 pep chromosome:Theobroma_cacao_20110822:7:5261850:5269420:-1 gene:TCM_031511 transcript:EOY13002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILLVKRYQISVKNDKLKKTIVDIYLTLVNQGFICFIFFDITMAMMLWLCKLTFFFLFISFFIQPVTCTETEALSTDSAVSYSDYCSSSVPESTPYYHYSPAYSFFGPFRQYETGYYYSGGNRILNSNITRFSNSFIFRTRLVYRTYRDGLFKIESSMVFQSPYYVGNMAYGPGISSRSPLNLKLQGFWSESSGKLCMVGRGFVYSKEGKLLTPAAVLKLSNLKNINNITSLITGTLVSVSFSSDKDYFEPVSLLMIPQLHYNYTLVSEDFVDGFSGKSDNVQGLPYNVQPRRGFCSIISTAGNVFNLQYTSSCSPGKNCLPFDGVLGYLPSSISLRRLEYCSEVKRKVRLLIEFRNVNYVGFYHPFNPNSTLIGEGFWDDKKNRLCVFVCRILDTAESWSNARVGDCTTRLTLRFPGVLSLRKTSSIVGQFWTNKSVNDSGYFNTIVFQSAENQMEGVPGLKYEYTEMEKVKKSCPRKKPATRKVESYPTGHNSIDMKFDMFVKTSEGKTGWGFAVPFSVGGQLYKQALYLMGVPPSSRPVRTVLDGPVNISYEIGITIRPVPEVDGGGVLFNITKEKVDITAEGIYDADTGALCMVGCRKIRSKDQLSQNASVDCEILLIFQFPPLISNKYGGYIKGSIESTRKESDPLYFNRLHVSSAAYSVEQARQSTRTMDLEITMVLISNTLVCVFVGLQLYHVKKNLEVLSFISLVMLVILTFGYMIPLVLNFEALFSKQQGQITSLVHSTGWLELNEAIVRITTMVAFLLQFRLLQLALSARSENQTGLWFAEKMTLLVTVLLYAAGAFILMLVNWGKHSPKVVKQQKQVMLLPSHQVEYQQYSTWKDLKCYAGLVLDGFLLPQILLNNFSNTRENTLSCSFYVGTTFIRLLPHVYDLYNNHSYIQQKGMHLFASEDFFSNAWDLCIALGVLLFAAIIYLQQRFGGRCILPGRFRELKAYEKICTLTYFFLFISFSAVTVSSAEIVVEVSSESEPEVSYSDYCSSVVPESITNSKTDTESFGPFDTGYYIGGNRILDPKITRISNLLSFETRYVYQTNADGVSKITGSLTLYRSYYLRSSFNLKLHGFWSESSGKLCMVGIGSAYSKEGDPFPLSAVFKLSNLKNSSNITTLITGTLESLSSSDEVNYFEPISLIMFPRLNYEYTFDSRESLEEFSAESDTEQNFPFNAPPVRRFCSIISTIGSVFKLQYSSDCNSRKKNCLPLGALIGYLPRVLSIKNIRCSGVQKRIQVLVEFRNNSHVDVGNYISFNPNTTLIGEGTWDDKKNQLFVFVCQFLDTGESWSSARVGDCTTRLSLRFPAILSIRETSSVMGKIWTKKTVNDSGYFDRIVFQRTENHMEGVPGLKYEFTEFDRVKNLCLRKEQVRKTGEYPNGHSADMKFDMLVKSSGIKYGQGLAVPLAIGDQFYRQYLYPVAHRSSMFERAVPANWIQSRPINVSYEVSITLQTPINLNRRVYSSYPIEEKLEITAEGVYDSQTGNLCMVGCRKFRSDNEVFQNAFVDCEILLNFQLAPLELNKNGGYIKGSITSMRKKSDPLYFDRLDVSSAAYKTDQGRSLIWTMNLDIAMVLISNTLVCIFVGLQLYHVKKNPEVLSFISLVMLVILTLGHMIPLVLDFEALCPNKQDQDKVLFHISGWFKLNEVIVTVVMVVAFLLLLRLLQLTVSARFHDGNQKHLWFAEEMTSLVIALLYAAGAKITLLVAWEKYRPQLLLLHSSPVDYQHHPICNDLKSYAGLLLDGFLLPQILLNIVSNSKQNALSCSFYIGTTFVRLLPHAYDLYRNHSYVLYNILQFSVNLDKGFFSAACDVIIVLVLLLLAAIIYFQQQFVGHSILPHGFRGLEAYPEKGPLLSKSSRPVKPSA >EOY13916 pep chromosome:Theobroma_cacao_20110822:7:13317132:13319577:1 gene:TCM_032674 transcript:EOY13916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVYYDASRVGLECVLMQHGKVIAFASRQLKKHKKNYPTHDLEIDAIVFELKIRDITFMGRCVRSTQIIRVSNTSSSKGSQS >EOY13759 pep chromosome:Theobroma_cacao_20110822:7:10631442:10632117:1 gene:TCM_032399 transcript:EOY13759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKGWVVNGAAQPLIWVIWAAKTAHPCQWMLWVGVLGGGLAKLLEIYDSSPLQGIIDANAFCHAATILLTCILWSLLEMKDICFAGILSWLKLGTHLDAGIGFCNGS >EOY13758 pep chromosome:Theobroma_cacao_20110822:7:10630788:10632152:1 gene:TCM_032399 transcript:EOY13758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKGWVVNGAAQPLIWVIWAAKTAHPCQWMLWVGVLGGGLAKLLEIYDSSPLQGIIDANAFCHAATILLTCILWSLLEMKDICFAGILSWLKLGTHLDAGIGFCNGS >EOY14275 pep chromosome:Theobroma_cacao_20110822:7:22853177:22882170:-1 gene:TCM_033585 transcript:EOY14275 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 1 MAQPSIPQPPLTQQQPPGPAAAPAAPGVQVRCAGCRMILTVGPGVTEFVCPTCQLPQMLPPELMTRARTNNPPPNQSVPAHGIDPTKIQLPCANCKAILNVPHGLARFSCPQCGVDLAVDLNKMKQLFPPPQPRPPPPPEEVNEVAIEVEREEDEGGPVGETFTDYRPPKLSIGPPHPDPIVETSSLSAVQPPEPIYDLRIKDDMESSKALSCLQIETLVYACQRHHQHLPSSARAGFFIGDGAGVGKGRTIAGLIWENWHHGRRKALWISVGSDLKFDARRDLDDVGAACIEVHALNKLPYSKLDSKSVGIRQGVVFLTYSSLIASSEKGRSRLQQLVQWCGSGFDGLVIFDECHKAKNLVPEAGSQPTRTGEAVLEIQARLPEARVIYCSATGASEPRNMGYMVRLGLWGHGTCFPDFQRFLVALEKGGVGALELVAMDMKARGMYVCRTLSYKGVEFEVIEAPLEAEMEAMYKKAAELWAELRVELLSASAFHSNEKPNPSQLWRMYWSSHQRFFRHMCMSAKVPATVRLAKQALKEDKCVVIGLQSTGEARTEEAVTKYGLELDDFVSGPRELLLKFVEENYPLPEKPEPLQGDESVKELQRKRHSATPGVSLKGRVRKVAKWKPASDGESDEESDTDSGHESTESDDEFQICEICNSEEERKKLLQCSCCGKLVHPACLVPPITDLVPEKWSCYSCKEKTDEYMQARRVYIEELLKRYEQALQRKSKILDIIRSLDLPNNPLDDIIDQLGGPDKVAEMTGRRGMLVRASSGKGVTYQARNTKEVTMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRALNQKRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSSYGKKSLMVMYRGIMEQDNLPVVPPGCSAEKPDTIQDFITKAKAALVSVGIVRDTVLGNGKDNGKFSGRIVDSDMHDVGRFLNRLLGLPPDIQNRLFELFISILDVLVQNARIEGNLDSGIVDMKANIIELQGNPKTVHVDQMSGALTVLFTFTLDRGITWESASTMLDEKKKDGLGSASDGFYESRREWLGRRHFILAFESSASGMFKIVRPAVGESVREMPLAELKNKYRKISLLEKARSGWEDEYEVSSKQCMHGPNCKLGNFCTVGRRIQEVNVLGGLILPVWGTIEKALSKQARLSHRRLRVVRLETTADNQRIVGLLVPNAAVETVLQDKDEPAPMNSNTPSSSAGRAGSA >EOY14274 pep chromosome:Theobroma_cacao_20110822:7:22860541:22882208:-1 gene:TCM_033585 transcript:EOY14274 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 1 MAQPSIPQPPLTQQQPPGPAAAPAAPGVQVRCAGCRMILTVGPGVTEFVCPTCQLPQMLPPELMTRARTNNPPPNQSVPAHGIDPTKIQLPCANCKAILNVPHGLARFSCPQCGVDLAVDLNKMKQLFPPPQPRPPPPPEEVNEVAIEVEREEDEGGPVGETFTDYRPPKLSIGPPHPDPIVETSSLSAVQPPEPIYDLRIKDDMESSKALSCLQIETLVYACQRHHQHLPSSARAGFFIGDGAGVGKGRTIAGLIWENWHHGRRKALWISVGSDLKFDARRDLDDVGAACIEVHALNKLPYSKLDSKSVGIRQGVVFLTYSSLIASSEKGRSRLQQLVQWCGSGFDGLVIFDECHKAKNLVPEAGSQPTRTGEAVLEIQARLPEARVIYCSATGASEPRNMGYMVRLGLWGHGTCFPDFQRFLVALEKGGVGALELVAMDMKARGMYVCRTLSYKGVEFEVIEAPLEAEMEAMYKKAAELWAELRVELLSASAFHSNEKPNPSQLWRMYWSSHQRFFRHMCMSAKVPATVRLAKQALKEDKCVVIGLQSTGEARTEEAVTKYGLELDDFVSGPRELLLKFVEENYPLPEKPEPLQGDESVKELQRKRHSATPGVSLKGRVRKVAKWKPASDGESDEESDTDSGHESTESDDEFQICEICNSEEERKKLLQCSCCGKLVHPACLVPPITDLVPEKWSCYSCKEKTDEYMQARRVYIEELLKRYEQALQRKSKILDIIRSLDLPNNPLDDIIDQLGGPDKVAEMTGRRGMLVRASSGKGVTYQARNTKEVTMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRALNQKRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSSYGKKSLMVMYRGIMEQDNLPVVPPGCSAEKPDTIQDFITKAKAALVSVGIVRDTVLGNGKDNGKFSGRIVDSDMHDVGRFLNRLLGLPPDIQNRLFELFISILDVLVQNARIEGNLDSGIVDMKANIIELQGNPKTVHVDQMSGALTVLFTFTLDRGITWESASTMLDEKKKDGLGSASDGFYESRREWLGRRHFILAFESSASGMFKIVRPAVGESVREMPLAELKNKYRKISLLEKARSGWEDEYEVSSKQCMHGPNCKLGNFCTVGRRIQEVNVLGGLILPVWGTIEKALSKQARLSHRRLRVVRLETTADNQRIVGLLVPNAAVETVLQDLAWVQDIED >EOY12868 pep chromosome:Theobroma_cacao_20110822:7:4523377:4528806:-1 gene:TCM_031375 transcript:EOY12868 gene_biotype:protein_coding transcript_biotype:protein_coding description:G protein coupled receptor MADRFFPNEMPEFVAETTVTGGTTRDSLTKLLSLPYKTFSDKLKTSALDLKETVVRETWRLSGKRVQDYTLYTGALGTAYLVFNAYQVTKNENDLKLCSDIVKACDSASKDSGRVTFICGRAGVCALGAVIAKHSGDERLQDRYLRKFKEIRFPSDLPNELLYGRAGFLWACSFLNKHIGKDTISTIRMRAVVDEIIKSGKQLAGKGRCPLMYEWHGKKYWGAAHGLAGIMHVLMDMELKPDEVEDVKGTLRYMIKSRYPTGNYPSSEGSESDRLVHWCHGAPGVTLTLVKAAEVFGDKEFLQAAVDAGEVVWKRGLLKRVGICHGISGNAYVFLSLYRLTGKVEYLYRAKAFASFLSDRAQKLISERKMHGGDRPYSLFEGIGGMAYLFLDMGEPSEARFPAYEI >EOY13455 pep chromosome:Theobroma_cacao_20110822:7:8506125:8508213:-1 gene:TCM_032032 transcript:EOY13455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLIPRGAQFDTYDKYSYIGNLGLCGLPLSKICDNDDGLEPPSAISDGDDDIKRAFNWRFATLIGYGSGLVIGFFMGCIVFTTEKPWWFIRMIERVQQKYGRRIYTTQLMLLALTSCSKCSQVGCVPIKDQYSQVDNFSRVGLILFAYR >EOY12628 pep chromosome:Theobroma_cacao_20110822:7:3035676:3038670:1 gene:TCM_031127 transcript:EOY12628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MPTVWFSLKRSLHCKSEPSDVHDPKTRKQLSTILTRKAGRSGCSRSIANLKDVIHGSKRHLEKPPSCSPRSIGSSEFLNPITHEVILSNSRCELKITGFGGFQDGISNGGNNGANGGSGGGDGGGSTFVGTLRPGTPGPGGHPTMHYFNPSLRNSSATPPRKSPLLVSERDGPGYGGSGIFGGRNVHSSNRVSLETDPNGCSTVTCHKCGEQFSKWEAAETHHLSKHAVTELVEGDSSRKIVEIICRTSWLKSENHCGRIERVLKVHNMQKTLARFEEYREMVKIKASKLPKKHPRCLADGNELLRFYGTTVACSLGLNGSSSLCISEKCCVCRIIKNGFSAKKELKEGIGVFTTSTSGRAFESIEILEDDPFIRKALIVCRVIAGRVHRPLENIQEMAGQTGFDSLAGKVGLYSNIEELYLLNPRALLPCFVVICKP >EOY12219 pep chromosome:Theobroma_cacao_20110822:7:1386611:1391389:1 gene:TCM_030787 transcript:EOY12219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetate O-methyltransferase 1 MAPMGDNVVVSNVNLEKLLSMKGGKGEGSYANNSQAQLWGFGLSPMQALHARSMLHLLEESLDGVHLNSPEVPFVVVDLGCSCSNNSIYIVDVIIKHMIKRYESSDRWDQPPEFTAFFSDLPSNDFNTLFQLLPPLANYNGGSSMEECLASNGHRSYFAAGVPGSFYRRLFPARSIDVFHSAFSLHWLSQMPETVLDRRSTAYNKGRVFIHGASESTASAYRKQFQTDLAAFLRARSIEMKRGGSMFLVCLGRTSVDPSDQGGAGLLFGTHFQDAWDDLVQEGLISSEKRDNFNIPVYAPSLQDFKEVVEADGSFTINKLEVFKGGSPLVVSRPDDATEVGRALANSCRSISGVLVDAHIGDKLSEELFLRVERRATSHAKELLEQLQFFHIVASLCLSLEKFSLGLEEVCAFSPTKDGKTLLE >EOY14112 pep chromosome:Theobroma_cacao_20110822:7:20973598:20977327:1 gene:TCM_033372 transcript:EOY14112 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MASRFLMMFSLVFSSFCHGLKEGSSISVEKANDVLTSADGTFSAGFHPVGNNAYCFAIWFNKPSCGTNNCTVVWMANRDLPVNGKYSKLSLLKSGNLVLTDAGRVIAWMSNTVSNSKSSSYLELYDTGNLVLHNSDGSRLWQSFDSPTDTLLPLQPLNKNTKLVSSRSKSNYSSGFFTLYFDPDNVLSLVYESPEVSSVYWPDPWLLSWEAGRSTYNSSRIAALDSLGNFTSTDSVNFMSTDYGSKIQRMLKIDSDGNVRLYSLKEGEETWVVSWQAFSQPCRIHGICGPNSVCSYSPNLGRKCSCIPGYMMKNTTDWSFGCVPEFDLPCNQADQFGFLKLRHVEFYGYDYDLYPNYTIKMCEDLCLSMCGCKGFQFKYFKVHRPDGIYCYPKTQLLNGHRSKNFEGDLFLKLPKASLSSFSKTNQDYKLDCSIKFEVLDRKYPTSNQSDSLKLALWSAGAIGVIEIFSIFFVLWILMRTRRNSRSLRGYSLTTASVREFTYADLKKATNDFKEEIGRGGGGIVYKGKLSDGRIAAIKRLIDANHQGEADFLAEANTIGKLHHMNVIEMWGYCTDGKHRLLVYEYMEQGSLAKGLSFKAIDWRKRFEIAVGTAKGLAYLHEECLEWVLHCDIKPQNILIDSSYQPKVSDFGLSWLLNRGDVKYSGSLRIRGTRGYMAPEWVSNLPITSKVDVYSYGIVLLELVTGRNPAMKIHSSTDCEGGEDKGTLVTWVREQMKRATVAETWTGNSEIIDRALDGKYDISEMLILVTIALQCVQEDKDARPTMGQVVEMLIFRESNFAAKALAIAKRLSHGT >EOY14079 pep chromosome:Theobroma_cacao_20110822:7:20121274:20135413:-1 gene:TCM_033288 transcript:EOY14079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALHDTRYIKYEYACIGIVEGTLNTRTIIVTLFSNFTMALCDPNLLSTLKVQIQIVRAPQVPLAIIATLYYQMVYRVQDHAFNLSKLHNNLDDALVLTINTTQAPTCSFVPKRIPKK >EOY11966 pep chromosome:Theobroma_cacao_20110822:7:474822:478624:-1 gene:TCM_030600 transcript:EOY11966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 5 MEEEVLPQSRLPETHQHGITGKEDEEVNDCPIEEVRLTVPITDDPTEAALTFRTWFLGLLSLCILAFVNDFFSYRVNQLYVTSVSAQIVVLPLGKLMAATLPNTQISVPFTKWSFSLNPGPFSMKEHVLITILASCGSGSVYATHIITIVKALYHRGMHPVAALLLSQTTQLLGYGWAGLFRKYLVDSPYMWWPSNLVQVSLFRALNEKEARPKKGVTRLQFFIIVFICSFAYYIVPGYLVPSISAISFICWIWKDSITAQQIGSGLRGFGIGSFGLDWSTIAFLGSPLPTPLFAIVNTLVGFFLIFYVVVPIAYYTNAFQARRFPLLTSSTYDAAGNIYNITRILNDKTFDINLASYNDYSRLYMSVVFAMTYGLSFATLMASLSHVALFEGKTIWTMWRKTKSAVKDKFSDVHTRLMKKNYDEVPDWWFYVVLVSTMVLSIFVCEGFGKQLQLPWWGVLLACAIALFFTLPVGIIQATTNMQPGLNVITELIIGYMYPGKPLANVCFKTYGYISMAQALTFIQDLKLGHYMKIPPKSMFIVQLVGTIVASFCYFGTTWWLLSTVENICVPDLLPTGSPWVCPGDNVFYNASIIWGVIGPLRMFTSLGNYPQLNWFFVIGFLAPFPVWLLSLKFPEKKWIRFIHMPVILAGATGLPSAKPVHYICWGVVGIFFNWYIYKRYKGWWARHTYVLSAAMDAGVAIMGVLIFFILQSLDNTIGPDWWGLEASDHCPLASCPTAPGVVAKGCPVL >EOY13144 pep chromosome:Theobroma_cacao_20110822:7:6157969:6207853:-1 gene:TCM_031666 transcript:EOY13144 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MNVENASTEVLTQLYRVASRSKIASSFVSYIMLINITCFELSNTTHRLQAKMRNSNSSSLHVAMFPWFAFGHFIPYLHLSNKLAEKGHKVSFLLPKGAQPKLEQLNQYPNLLQFFPLVVSHVDGMPPGAETASDVPQPLHSLLAIAFDQTRDQVEAILRAIKADVVFHDMGHWIPALAHQIGIKSIYYAAVSAAANAHLAKEVSKEMTVEELIEVPPGYPSSKVRFKAEEAAIVTILPEIFGIGLSFQDRIITAMKDSDVIAFRTYREIEGPFCDYIAQHFGKPVMLTGPSLPETNATQLEDKWANWLSNFEPSSVVFCSFGSQIALQKGEFQELVLGFELSGQPFLVALTPPDGCITIEEALPEGFHERIQGRGLVYGGWVPQELLLSHSSVGCFVNHCGYGTMWESLLSDCQIVLIPRLGDQILNTRLMVEELEVAVEVQKGENREISKENLSKAIKMVMDKDNEIAGLLKRNHAKLKNILSNRDLQEEYINNFIEGLQDLVK >EOY12305 pep chromosome:Theobroma_cacao_20110822:7:1617170:1629607:1 gene:TCM_030844 transcript:EOY12305 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS MDEEMDETEAVPQVYMACIQHGHRIGISYYDSSIRQLNVLEVWDDGSSDFPMIELVKYQAKPVVIYTSTKAEESFLSALQGSDGMTEAPTVKLVKSSIFTYEQAWHRLIYLRVTGMDDGLNIKERICYLSSMMDMGSDVQVRVSGGLLAILENERIVDTLEQKECGNASITIDSVVEISLDKFLKLDAAAHEALQIFQVDKHPSHMGIGRAKEGFSVFGMMNKCVTPMGRRLLRNWFLRPILDLENLNNRLNAVSFYSLIIWGMIKISFFLSSEELMVSLRETLKSVKDIPHILKKFNSPNSMCTSSDWMAFLKSVCSLLHVNKIFEVGISENLREHMEYLNLDIVAKASSCITADLAFVYELVIGVIDVNRSKDKGYGTMVKEGFCDELDELRHIYEELPEFLEEVASLELAQLPHLRKEEFAPRIVYIHQIGYLMCFFEEKIDEITQEKLQDFEFAFSDSGGITKRFFYRTPKTRELDDLLGDIYHKILDMERAIIRDLVSHVSTFSTHLIKAVNFVAELDCFLSLAMVARQNNYVRPTLTMETFLDIQNGRHVLQEMTVDTFIPNDTKILDEGRIHIITGPNYSGKSIYIKQVALIVFLSHIGSFVPADAATVGLTDRIFCGMGSKLMTAEQSTFMIDLHQVGMMLRQATSRSLCLLDEFGKGTLTEDGIGLLGGTINHFVTSYVPPKVLVCTHLTELFNESCLPKSEKINFYTMSVLRPDDNATNVEDIIFLYRLVPGHAALSYGLHCALLAGVPKEVINRAALVLDAIENNKNVERLCDEKISAKDRQYKGAVDKMLAFDALKGDLSAFFRDI >EOY11952 pep chromosome:Theobroma_cacao_20110822:7:429216:432456:-1 gene:TCM_030587 transcript:EOY11952 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein METGKEGEIQDMPLSPPTMGSMQIAGSNGFGHNIEFMSQAYLRNRYSGIDIEDYTVYANKDHPLPIFLKFEDVEYKVTNGQAACINPVKAVVSKVASQLNLEQDKYKEILKGITGSTGPGEVLALMGPSGSGKTTLLKIIGGRLTDNVKGSITYNDIPYNPALKRRIGFVTQDDILLPQLTVEETLVFSAFLRLPSDMSLQQKYAKVEMIMKELGLERCRHTRIGGGLVKGISGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSANKLIQILQGVAKAGRTVITTIHQPSSRMFHMFDKLLLISEGYPVYCGKARESMEYFSSLRFIPEIAMNPAEFLLDLATGQVNDITLPEDLVASQGTADSDGAVIKYLQLKYKTLLEPKEKEESHQCTKAPEHLRLAVQVKKDWTMTWWEQFMIISKRTFRERRRDYFDKLRLVQSVGVAVLLGLLWWKSSAATEAQLRDQVGLLFYICIFWTSSSLFGAVYVFPFEKVYLVKERKADMYRLSVYYACSTLCDMVAHVFYPTFFMLILYFMAGFKRTVPCFFLTLFTILLIAVISQGAGELFGAAVLSIKRAGMIASLILMLFLLTGGYYVQHIPKFMQWMKYLSFMYYGFRLLLKVQYSGDQLYECQSKGGCKTLQNSPSFDTVNLDGGLQEVWVLLAMALGYRLCAYFCLHKRINGCHL >EOY13925 pep chromosome:Theobroma_cacao_20110822:7:13704722:13706720:1 gene:TCM_032711 transcript:EOY13925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENVARNQEADIENNASQEKLLKDFVEGIQSKYNIVMHYSQRPLIQDRIICCVNPLLREVNAAAYRPKFVIIGPLRCYGSILEHIEMQKRIYLASFLQRAEKKASLNDFYKLIKDSADQIHGCYEETYCRSWDFLSHEIRQDIVASNGRSELFIEMVLVDASFIIELFLRAFNKEGRAESDFIFDEPGKIHTIRRDLFLAHNQLPFFILKALYELAFAANPDHPSFLHLTCHFFGPYYNQNTSIQDITSSSDCHDKYRDKLGFAKHFTDLLRTLQLPYSFQTDCSQEKPLCCKLPQFKWIKTKVMYFLDLISSSLIPSSQKPEQSLEAGQVHGEYLYSAVLLREAGVKFKVSTSRCLLDIEFNQNNGELKIPPLRLDELTESFFRNLMAWEQRYYPHETLICDYIFLMEYLIKSTEDVDLLVRKRILINQLGSHKAVVTLFNNFCKHVTPMEKNHYSDIFTKLNAHNAVRRHSWIAILKLQYFSTLWRGVATIAAVVLLLLTLIQTICAVISL >EOY14127 pep chromosome:Theobroma_cacao_20110822:7:21200889:21209971:-1 gene:TCM_033396 transcript:EOY14127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative MFPNSHLLLKLRCIASASKCQFSTIAAARNTATYSTICENQAENHTEAAIEHPRDSTDLFRKWGCSENVLMKIFSRQPALRHAQVAPLLPKLKLLSGLGLTTSDIVKMINCRPCFFCSRINHCFDELLDFFQTLFGSQEMMRKTLVRNPSLLTYDFRNTIKPVIALTSFNDEKMEYIKKTGVSKGAKMYNYVVALIGFSRIETIQEKVTNLEKFGCSEDEVWSLLGRSPLILTLSVDRVQRNMTFVVGTTKLSPKVVLQYPFLLFCNLESVLKPRVLLAGKLKEMELHPQIKGAMMLRALRMKEC >EOY13997 pep chromosome:Theobroma_cacao_20110822:7:16877130:16880491:-1 gene:TCM_032999 transcript:EOY13997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat structural protein ORF147 MSLNHISNTHAMLNPNAITHTLSDPILKSSHAQCGGLFSETPYAWSPFKKRTRYSLEEKVSEFLNNNNFAESNACLNQETIDGYGSNGNESKDLIERTLYWESQEALLQEILERYALTGSKLRQEICRIIEVARETDFCSCTKPSIDGCSNCLRKRVINMLCDRGLNASLCVSKWKHTRKYLGGTHEYIEVIASTQGKKKQIPFVIELEFRDQFEIAKACDQYSKLVEQLPKCYVGKADYLNAMVGVMCDAVKRSMKEKNLHMGPWRKRSFMQLKWSNSSEPRSTE >EOY12193 pep chromosome:Theobroma_cacao_20110822:7:1254862:1261749:1 gene:TCM_030764 transcript:EOY12193 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase I alpha isoform 4 MAVEAPAKHVYDFDDDDDDGPVVFKRNNTSSSKQNQLNSEVKKASSQRSDGQSGRQVSDVRSPNGQSSNAPKNKSISSSKSPPVKSPILSPKASTSSTKALPVKSPVVNFKASTSLNDQSKQALKQNMTTAVKEGRSPIKGTTEPNSDDDDDDDSLPLSARLKGISNQGNKGASTSAPAQSRRLVPKTEVKVSAEDPDDEAPLSARFNVKSNTGTSSSRLHDCDEKKPLASKIQQNGSIMKGKQQNSSTLSGKRPLDKGNSSDHSSAKKPKTSDAPTTMKTKQVTVKAEKADEDDDHMPIAQRMKKSTASNSKLSSMKQKTTKVVSSSFKKINKKSKKEMKSSKYIKSTKVPPSSGDGQKKWSTLVHNGVIFPSPYTPHGVKMLYDGRPVDLTPEQEEVATMFAVMKDTDYMSKTQFKKNFWEDWSKLLGKNHTIKDLDRCDFTPIYEWHLQEKEKKKQMSSEEKKALKEEKLKQEEKFMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPSDITINIGKDAPIPECPIPGERWKDIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARMLKDYIKNIRAAYTKDFTTKDVMKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVGNVECIPPNKLKFDFLGKDSIQYVNTVEVELPVYKAIGQFQTGKSKSDDLFDKLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEMLNKETKDGDVAEKVVVYQRANKEKIDQTNAKIEKMERDMQTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPDFRF >EOY12190 pep chromosome:Theobroma_cacao_20110822:7:1254794:1262336:1 gene:TCM_030764 transcript:EOY12190 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase I alpha isoform 4 MAVEAPAKHVYDFDDDDDDGPVVFKRNNTSSSKQNQLNSEVKKASSQRSDGQSGRQVSDVRSPNGQSSNAPKNKSISSSKSPPVKSPILSPKASTSSTKALPVKSPVVNFKASTSLNDQSKQALKQNMTTAVKEGRSPIKGTTEPNSDDDDDDDSLPLSARLKGISNQGNKGASTSAPAQSRRLVPKTEVKVSAEDPDDEAPLSARFNVKSNTGTSSSRLHDCDEKKPLASKIQQNGSIMKGKQQNSSTLSGKRPLDKGNSSDHSSAKKPKTSDAPTTMKTKQVTVKAEKADEDDDHMPIAQRMKKSTASNSKLSSMKQKTTKVVSSSFKKINKKSKKEMKSSKYIKSTKVPPSSGDGQKKWSTLVHNGVIFPSPYTPHGVKMLYDGRPVDLTPEQEEVATMFAVMKDTDYMSKTQFKKNFWEDWSKLLGKNHTIKDLDRCDFTPIYEWHLQEKEKKKQMSSEEKKALKEEKLKQEEKFMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPSDITINIGKDAPIPECPIPGERWKDIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARMLKDYIKNIRAAYTKDFTTKDVMKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVGNVECIPPNKLKFDFLGKDSIQYVNTVEVELPVYKAIGQFQTGKSKSDDLFDKLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEMLNKETKDGDVAEKVVVYQRANKEVAIICNHQRSISKSHSAQMSRLTEKITELKGVLKELKIDLDRAKKGKPPLKDADGKQKRNLTPQAIQKKIDQTNAKIEKMERDMQTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPDFRF >EOY12192 pep chromosome:Theobroma_cacao_20110822:7:1254933:1261331:1 gene:TCM_030764 transcript:EOY12192 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase I alpha isoform 4 MTTAVKEGRSPIKGTTEPNSDDDDDDDSLPLSARLKGISNQGNKGASTSAPAQSRRLVPKTEVKVSAEDPDDEAPLSARFNVKSNTGTSSSRLHDCDEKKPLASKIQQNGSIMKGKQQNSSTLSGKRPLDKGNSSDHSSAKKPKTSDAPTTMKTKQVTVKAEKADEDDDHMPIAQRMKKSTASNSKLSSMKQKTTKVVSSSFKKINKKSKKEMKSSKYIKSTKVPPSSGDGQKKWSTLVHNGVIFPSPYTPHGVKMLYDGRPVDLTPEQEEVATMFAVMKDTDYMSKTQFKKNFWEDWSKLLGKNHTIKDLDRCDFTPIYEWHLQEKEKKKQMSSEEKKALKEEKLKQEEKFMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPSDITINIGKDAPIPECPIPGERWKDIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARMLKDYIKNIRAAYTKDFTTKDVMKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVGNVECIPPNKLKFDFLGKDSIQYVNTVEVELPVYKAIGQFQTGKSKSDDLFDKLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEMLNKETKDGDVAEKVVVYQRANKEVAIICNHQRSISKSHSAQMSRLTEKITELKGVLKELKIDLDRAKKGKPPLKDADGKQKRNLTPQAIQKKIDQTNAKIEKMERDMQTKEDLKTVALGTSK >EOY12191 pep chromosome:Theobroma_cacao_20110822:7:1254862:1261749:1 gene:TCM_030764 transcript:EOY12191 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase I alpha isoform 4 MAVEAPAKHVYDFDDDDDDGPVVFKRNNTSSSKQNQLNSEVKKASSQRSDGQSGRQVSDVRSPNGQSSNAPKNKSISSSKSPPVKSPILSPKASTSSTKALPVKSPVVNFKASTSLNDQSKQALKQNMTTAVKEGRSPIKGTTEPNSDDDDDDDSLPLSARLKGISNQGNKGASTSAPAQSRRLVPKTEVKVSAEDPDDEAPLSARFNVKSNTGTSSSRLHDCDEKKPLASKIQQNGSIMKGKQQNSSTLSGKRPLDKGNSSDHSSAKKPKTSDAPTTMKTKQVTVKAEKADEDDDHMPIAQRMKKSTASNSKLSSMKQKTTKVVSSSFKKINKKSKKEMKSSKYIKSTKVPPSSGDGQKKWSTLVHNGVIFPSPYTPHGVKMLYDGRPVDLTPEQEEVATMFAVMKDTDYMSKTQFKKNFWEDWSKLLGKNHTIKDLDRCDFTPIYEWHLQEKEKKKQMSSEEKKALKEEKLKQEEKFMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPSDITINIGKDAPIPECPIPGERWKDIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARMLKDYIKNIRAAYTKDFTTKDVMKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVGNVECIPPNKLKFDFLGKDSIQYVNTVEVELPVYKAIGQFQTGKSKSDDLFDKLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEMLNKETKDGDVAEKVVVYQRANKEKIDQTNAKIEKMERDMQTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPDFRF >EOY12189 pep chromosome:Theobroma_cacao_20110822:7:1254524:1262087:1 gene:TCM_030764 transcript:EOY12189 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase I alpha isoform 4 MAVEAPAKHVYDFDDDDDDGPVVFKRNNTSSSKQNQLNSEVKKASSQRSDGQSGRQVSDVRSPNGQSSNAPKNKSISSSKSPPVKSPILSPKASTSSTKALPVKSPVVNFKASTSLNDQSKQALKQNMTTAVKEGRSPIKGTTEPNSDDDDDDDSLPLSARLKGISNQGNKGASTSAPAQSRRLVPKTEVKVSAEDPDDEAPLSARFNVKSNTGTSSSRLHDCDEKKPLASKIQQNGSIMKGKQQNSSTLSGKRPLDKGNSSDHSSAKKPKTSDAPTTMKTKQVTVKAEKADEDDDHMPIAQRMKKSTASNSKLSSMKQKTTKVVSSSFKKINKKSKKEMKSSKYIKSTKVPPSSGDGQKKWSTLVHNGVIFPSPYTPHGVKMLYDGRPVDLTPEQEEVATMFAVMKDTDYMSKTQFKKNFWEDWSKLLGKNHTIKDLDRCDFTPIYEWHLQEKEKKKQMSSEEKKALKEEKLKQEEKFMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPSDITINIGKDAPIPECPIPGERWKDIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARMLKDYIKNIRAAYTKDFTTKDVMKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVGNVECIPPNKLKFDFLGKDSIQYVNTVEVELPVYKAIGQFQTGKSKSDDLFDKLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEMLNKETKDGDVAEKVVVYQRANKEVAIICNHQRSISKSHSAQMSRLTEKITELKGVLKELKIDLDRAKKGKPPLKDADGKQKRNLTPQAIQKKIDQTNAKIEKMERDMQTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPDFRF >EOY11985 pep chromosome:Theobroma_cacao_20110822:7:530817:533621:1 gene:TCM_030610 transcript:EOY11985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like E1 isoform 2 MDVHQDMKKRIEAVTDKGCVPEEIKNQHKGFSEWNADVTKQNHQPIVQFVIDGRDTDAVDSAGCRLPTLVYMAREKRPGWPHHFKAGAMNALIRVSSEISSGAIILNLDCDMYANNADSIKEALCFLMDEKRGHQIAYVQHPQNYNNITKNDLYGNSSPVLHKVELAGIGGFGTALYCGTGCFHRRTSLCGSKYSKDYKGLWNLETRKDDTRTVSELEEASKVLASCGYEQGTLWGKEMGLVYGCPVEDIVTGLTIQCRGWKSVYYNPDNKAFLGVAPPTLDIALVQFTRWSDGMFQIFLSKYCPFIYGHNKMKLGAQMGYSVYLLWAPFSLPNLYYAIALPLSLLHGIPLFPEVSSRWFIPFAYVFLSKNIYSIAEALICGSTFKAWWNLQRMWVIRRTTAFFFAFIDCIVRQLGLSQTTFSITAKVVTEDVSKRYQQEIMEFGSTSIMFTVISTLAMLNLFSLVGVLKMVFLGGSEYKNIEKLICQVILCVLMVMVNAPVYEALFFRKDRGSIPVSVMFKSIVVASLACLLPLN >EOY11984 pep chromosome:Theobroma_cacao_20110822:7:522289:534777:1 gene:TCM_030610 transcript:EOY11984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like E1 isoform 2 MGTYQTVQEKEKALALFETKEAKGRLAFRLFSSSIFIGICLIWLYRLMNVPAAEEGRARWGCWIGMFMAEFGFGLYWILTQAIRRNVVRYFPLNERLPRSSDDGLPGVDVFVCTADPTLEPPSLVINTILSVMSLNYPTEKLSVYLSDDGGSQLTFHALMEASHFAKHWIPFCKKFNVEPRSPEAYFTQDFDVRDTVNPEEWMFIKNQYEDMKKRIEAVTDKGCVPEEIKNQHKGFSEWNADVTKQNHQPIVQFVIDGRDTDAVDSAGCRLPTLVYMAREKRPGWPHHFKAGAMNALIRVSSEISSGAIILNLDCDMYANNADSIKEALCFLMDEKRGHQIAYVQHPQNYNNITKNDLYGNSSPVLHKVELAGIGGFGTALYCGTGCFHRRTSLCGSKYSKDYKGLWNLETRKDDTRTVSELEEASKVLASCGYEQGTLWGKEMGLVYGCPVEDIVTGLTIQCRGWKSVYYNPDNKAFLGVAPPTLDIALVQFTRWSDGMFQIFLSKYCPFIYGHNKMKLGAQMGYSVYLLWAPFSLPNLYYAIALPLSLLHGIPLFPEVSSRWFIPFAYVFLSKNIYSIAEALICGSTFKAWWNLQRMWVIRRTTAFFFAFIDCIVRQLGLSQTTFSITAKVVTEDVSKRYQQEIMEFGSTSIMFTVISTLAMLNLFSLVGVLKMVFLGGSEYKNIEKLICQVILCVLMVMVNAPVYEALFFRKDRGSIPVSVMFKSIVVASLACLLPLN >EOY12734 pep chromosome:Theobroma_cacao_20110822:7:3872735:3873484:-1 gene:TCM_031259 transcript:EOY12734 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus-specific glycoprotein S6, putative isoform 2 QTEDKTRRVVGTYFGVLILEKITGKKRRGYSEPDRNLLGHVWRLWKEERTVETIDTALGDSYIIAEVLRCINVALLCVQQHPDDRTNMSSVLSMLAGDSTLPQPNQPGYFIERKLHSTKSTSSKHESQSNEFTITLLELC >EOY12733 pep chromosome:Theobroma_cacao_20110822:7:3872642:3873502:-1 gene:TCM_031259 transcript:EOY12733 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus-specific glycoprotein S6, putative isoform 2 MSPEYAFHGRFLMKSDVFSFGVLILEKITGKKRRGYSEPDRNLLGHVWRLWKEERTVETIDTALGDSYIIAEVLRCINVALLCVQQHPDDRTNMSSVLSMLAGDSTLPQPNQPGYFIERKLHSTKSTSSKHESQSNEFTITLLELC >EOY12007 pep chromosome:Theobroma_cacao_20110822:7:607991:609113:-1 gene:TCM_030627 transcript:EOY12007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor family protein MISVMGDNRFSRKDCVMKKQSFVEGKKRERGKEDPEINAMFDAVKRRRKVEKSSEEISLFVEKVLAELTIVAEDDAQLNREGRTAISKLKKLPFLTEVLSKKSFQLQFLDHGVLTLLKNWLEPLPDGSLPNANIRGAVLNILADFPIDLEQHYQREQFKRSGLGRAIMFLSKYEEETVSNRRVAKDLIDRWSRSIFNKSTRFSDLRNDDDIHVPVMKKPVSKPAMVEVKACDLDVSVAKEHKLSRPSSLRQHVTRPEPASLVYTVRPQSKYNPEIARTSARQQEVHGDSHQRIEQRLKQLKATRKKPLQAAKLSAEGRRVLLSV >EOY13747 pep chromosome:Theobroma_cacao_20110822:7:10607948:10618689:1 gene:TCM_032395 transcript:EOY13747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Per1-like family protein isoform 2 MMIDRSSIALFLVLSFLVGVLDASAGDSDPRYRTCVAQCEKTGCVGERCFPHCKFPSDGVASDGPWYMQEPLYLKWKQWDCESDCRYNCMIDREKEREALGDGPVKYHGKWPFKRVFGIQEPASVAFSAFNLAMHFHGWLSFFILLYYNLPLKQDKKAYYEFASLWHIYGLLAMNSWFWSAVFHSRFRVSQLVMCQTCDVDLTEKLDYSSAVALLGYSLLLTILRSFNVRDEAARVMVAAPLLAFTTTHILFLNFYSLDYGWNMKVCVVMGVAQLLIWAIWAGRTHHPSRWKLWVVVFGGGLAMLLEIYDFPPYQGFFDAHALWHATTIPLTCIWWSFIRDDAKLRTSVLLKKAK >EOY13754 pep chromosome:Theobroma_cacao_20110822:7:10610061:10615116:1 gene:TCM_032395 transcript:EOY13754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Per1-like family protein isoform 2 MMIDRSSIALFLVLSFLVGVLDASAGDSDPRYRTCVAQCEKTGCVGERCFPHCKFPSDGVASDGPWYMQEPLYLKWKQWDCESDCRYNCMIDREKEREALGDGPVKYHGKWPFKRVFGIQEPASVAFSAFNLAMHFHGWLSFFILLYYNLPLKQDKKAYYEFASLWHIYGLLAMNSWFWSAVFHSRDVDLTEKLDYSSAVALLGYSLLLTILRSFNVRDEAARVMVAAPLLAFTTTHILFLNFYSLDYGWNMKVCVVMGVAQLLIWAIWAGRTHHPSRWKLWVVVFGGGLAMLLEIYDFPPYQGFFDAHALWHATTIPLTCIWWSFIRDDAKLRTSVLLKKAK >EOY13748 pep chromosome:Theobroma_cacao_20110822:7:10607953:10615230:1 gene:TCM_032395 transcript:EOY13748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Per1-like family protein isoform 2 MMIDRSSIALFLVLSFLVGVLDASAGDSDPRYRTCVAQCEKTGCVGERCFPHCKFPSDGVASDGPWYMQEPLYLKWKQWDCESDCRYNCMIDREKEREALGDGPVKYHGKWPFKRVFGIQEPASVAFSAFNLAMHFHGWLSFFILLYYNLPLKQDKKAYYEFASLWHIYGLLAMNSWFWSAVFHSRDVDLTEKLDYSSAVALLGYSLLLTILRSFNVRDEAARVMVAAPLLAFTTTHILFLNFYSLDYGWNMKVCVVMGVAQLLIWAIWAGRTHHPSRWKLWVVVFGGGLAMLLEIYDFPPYQGFFDAHALWHATTIPLTCIWWSFIRDDAKLRTSVLLKKAK >EOY13752 pep chromosome:Theobroma_cacao_20110822:7:10607953:10615230:1 gene:TCM_032395 transcript:EOY13752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Per1-like family protein isoform 2 MMIDRSSIALFLVLSFLVGVLDASAGDSDPRYRTCVAQCEKTGCVGERCFPHCKFPSDGVASDGPWYMQEPLYLKWKQWDCESDCRYNCMIDREKEREALGDGPVKYHGKWPFKRVFGIQEPASVAFSAFNLAMHFHGWLSFFILLYYNLPLKQDKKAYYEFASLWHIYGLLAMNSWFWSAVFHSRDVDLTEKLDYSSAVALLGYSLLLTILRSFNVRDEAARVMVAAPLLAFTTTHILFLNFYSLDYGWNMKVCVVMGVAQLLIWAIWAGRTHHPSRWKLWVVVFGGGLAMLLEIYDFPPYQGFFDAHALWHATTIPLTCIWWSFIRDDAKLRTSVLLKKAK >EOY13750 pep chromosome:Theobroma_cacao_20110822:7:10610409:10614754:1 gene:TCM_032395 transcript:EOY13750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Per1-like family protein isoform 2 MMIDRSSIALFLVLSFLVGVLDASAGDSDPRYRTCVAQCEKTGCVGERCFPHCKFPSDGVASDGPWYMQEPLYLKWKQWDCESDCRYNCMIDREKEREALGDGPVKYHGKWPFKRVFGIQEPASVAFSAFNLAMHFHGWLSFFILLYYNLPLKQDKKAYYEFASLWHIYGLLAMNSWFWSAVFHSRDVDLTEKLDYSSAVALLGYSLLLTILRSFNVRDEAARVMVAAPLLAFTTTHILFLNFYSLDYGWNMKVCVVMGVAQLLIWAIWAGRTHHPSRWKLWVVVFGGGLAMLLEIYDFPPYQGFFDAHALWHATTIPLTCIWWSFIRDDAKLRTSVLLKKAK >EOY13751 pep chromosome:Theobroma_cacao_20110822:7:10607948:10615230:1 gene:TCM_032395 transcript:EOY13751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Per1-like family protein isoform 2 MMIDRSSIALFLVLSFLVGVLDASAGDSDPRYRTCVAQCEKTGCVGERCFPHCKFPSDGVASDGPWYMQEPLYLKWKQWDCESDCRYNCMIDREKEREALGDGPVKYHGKWPFKRVFGIQEPASVAFSAFNLAMHFHGWLSFFILLYYNLPLKQDKKAYYEFASLWHIYGLLAMNSWFWSAVFHSRDVDLTEKLDYSSAVALLGYSLLLTILRSFNVRDEAARVMVAAPLLAFTTTHILFLNFYSLDYGWNMKVCVVMGVAQLLIWAIWAGRTHHPSRWKLWVVDSLMPMLYGMPQLFHLPAYGGVSLGTMPSFEHLSSLRRLSSSLNGGDCLVGIPCWLKLERSILLELDSETNIEVVTGN >EOY13749 pep chromosome:Theobroma_cacao_20110822:7:10610154:10615036:1 gene:TCM_032395 transcript:EOY13749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Per1-like family protein isoform 2 MMIDRSSIALFLVLSFLVGVLDASAGDSDPRYRTCVAQCEKTGCVGERCFPHCKFPSDGVASDGPWYMQEPLYLKWKQWDCESDCRYNCMIDREKEREALGDGPVKYHGKWPFKRVFGIQEPASVAFSAFNLAMHFHGWLSFFILLYYNLPLKQDKKAYYEFASLWHIYGLLAMNSWFWSAVFHSRDVDLTEKLDYSSAVALLGYSLLLTILRSFNVRDEAARVMVAAPLLAFTTTHILFLNFYSLDYGWNMKVCVVMGVAQLLIWAIWAGRTHHPSRWKLWVVVFGGGLAMLLEIYDFPPYQGFFDAHALWHATTIPLTCIWWSFIRDDAKLRTSVLLKKAK >EOY13753 pep chromosome:Theobroma_cacao_20110822:7:10610023:10615230:1 gene:TCM_032395 transcript:EOY13753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Per1-like family protein isoform 2 MMIDRSSIALFLVLSFLVGVLDASAGDSDPRYRTCVAQCEKTGCVGERCFPHCKFPSDGVASDGPWYMQEPLYLKWKQWDCESDCRYNCMIDREKEREALGDGPVKYHGKWPFKRVFGIQEPASVAFSAFNLAMHFHGWLSFFILLYYNLPLKQDKKAYYEFASLWHIYGLLAMNSWFWSAVFHSRDVDLTEKLDYSSAVALLGYSLLLTILRSFNVRDEAARVMVAAPLLAFTTTHILFLNFYSLDYGWNMKVCVVMGVAQLLIWAIWAGRTHHPSRWKLWVVDSLMPMLYGMPQLFHLPAYGGVSLGTMPSFEHLSSLRRLSSSL >EOY13908 pep chromosome:Theobroma_cacao_20110822:7:13241130:13243424:-1 gene:TCM_032663 transcript:EOY13908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCFLCFSLGVLTLENVDFHIRNRFVLQSSLNYMILMLCVELMDVVPGAMIDLDCSIAHNLVFKLFFLFDLFLSLCGVSGFLFFSLVAFVLLVNPVSLDWIVCIHGREKNIWRKFGILQEM >EOY13265 pep chromosome:Theobroma_cacao_20110822:7:6834536:6837192:-1 gene:TCM_031780 transcript:EOY13265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide-N4-(N-acetyl-beta-glucosaminyl)asparagine amidase A MASFLFTLHFFLLLFLYQPLFSMANLHKSKDLLRSNLHSQLTSTIPSNDNSPTLYFEVTKPIKRPNTKPCSYLVLQHDFGFTYGRPPVLVNYIPPHCYSQSFTKIVLEWKATCKGTQFDRIFGIWLGGVELLRSCTAEPTSNGIVWTVEKDITRYYSLLLKKGTQTLAVFLGNIVDRTYTGVYHVNLTFHFYPAENNLNDDKQILHNLASDSKADLILPISRDLPLNDGLWFEVQNSNDTKLKQFKIPQNVYRAVLEVYISFHENDEFWYGNFPNDYIAANNLSGTPGNGPFREVVVSLDGQVVGAVWPFTVIYTGGINPLFWSPITGISSFNLPSYDIEITPFLGNMLDGKFHTLGFSVTNALNVWFIDANLHLWLDSRSVKTEGKLLMCNNKVVGVSEESDFEGLNGKFLTSANRFISSTGWIKSSYGNITTHSIQEFSYSNSLQIGKDGNFQVVNQTIHFNDRVYAKMPFPYVHAEESFKHFPLHLYVDLSEEEKGTFLYVMNVTLGFNEKKYKNVGFKFFISSLQNMQNAQAVLAVKNHLIVNRLAGTRQVYEYHGSDFCYSRNISSSNSIIDYDEVSNLCNKGALLLSDFELKSPKGLQHFPTKAFLASDH >EOY14122 pep chromosome:Theobroma_cacao_20110822:7:21096902:21106895:-1 gene:TCM_033387 transcript:EOY14122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mercaptopyruvate sulfurtransferase 1 isoform 3 MASSLLSRTLLGHRLGQFSSSLTHKPLIFSSLFNTRQLHVQAEPTYTPYKTSGRPSRFMASAIDRRINFSTQSLSTNDTVVSVDWLHANLREPDMKVLDASWYMPDEQRNPIQEYQVAHIPGALFFDVDGIADRTTKLPHMLPSEEAFAAAVSALGIQNNDGLVVYDGKGIFSAARVWWMFRVFGHDRVWVLDGGLPRWRASGYDVESSASSDAILKASAASEAIEKVYQGQTAGPVTFQTKFQPHLVWTLDQVRRNVEEKTHQHIDARSKPRFDGAAPEPRKGIRSGHVPGSKCIPFPHMLDASQTLLPADELKKRYQEEGISLEGPVVTSCGTGVTACVLALGLHRLGKSDVAVYDGSWTEWGAQSDTPVDTSL >EOY14121 pep chromosome:Theobroma_cacao_20110822:7:21097033:21106884:-1 gene:TCM_033387 transcript:EOY14121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mercaptopyruvate sulfurtransferase 1 isoform 3 MASSLLSRTLLGHRLGQFSSSLTHKPLIFSSLFNLQTRQLHVQAEPTYTPYKTSGRPSRFMASAIDRRINFSTQSLSTNDTVVSVDWLHANLREPDMKVLDASWYMPDEQRNPIQEYQVAHIPGALFFDVDGIADRTTKLPHMLPSEEAFAAAVSALGIQNNDGLVVYDGKGIFSAARVWWMFRVFGHDRVWVLDGGLPRWRASGYDVESSASSDAILKASAASEAIEKVYQGQTAGPVTFQTKFQPHLVWTLDQVRRNVEEKTHQHIDARSKPRFDGAAPEPRKGIRSGHVPGSKCIPFPHMLDASQTLLPADELKKRYQEEGISLEGPVVTSCGTGVTACVLALGLHRLGKSDVAVYDGSWTEWGAQSDTPVDTSL >EOY14123 pep chromosome:Theobroma_cacao_20110822:7:21097033:21106627:-1 gene:TCM_033387 transcript:EOY14123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mercaptopyruvate sulfurtransferase 1 isoform 3 MASAIDRRINFSTQSLSTNDTVVSVDWLHANLREPDMKVLDASWYMPDEQRNPIQEYQVAHIPGALFFDVDGIADRTTKLPHMLPSEEAFAAAVSALGIQNNDGLVVYDGKGIFSAARVWWMFRVFGHDRVWVLDGGLPRWRASGYDVESSASSDAILKASAASEAIEKVYQGQTAGPVTFQTKFQPHLVWTLDQVRRNVEEKTHQHIDARSKPRFDGAAPEPRKGIRSGHVPGSKCIPFPHMLDASQTLLPADELKKRYQEEGISLEGPVVTSCGTGVTACVLALGLHRLGKSDVAVYDGSWTEWGAQSDTPVDTSL >EOY12929 pep chromosome:Theobroma_cacao_20110822:7:4867843:4869192:-1 gene:TCM_031430 transcript:EOY12929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNVYIAFGVLVIMTSGAVMARDVDPIKANNCETKMTTHCVIEVFASIFKTGIVSDNCCHQLIGLGQFCHNALVKKTLQNPLFKNNDTSVILSKAAQVWNKCTLVGEDVSPTPSP >EOY13805 pep chromosome:Theobroma_cacao_20110822:7:10948118:10951686:1 gene:TCM_032452 transcript:EOY13805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyesterase 20, putative MSTQTIPSSSISDPFKRLQITLNPDGTLTRLNIFGRTPAKPESSDNSTAVLCRDIPINYSNNTWARIFLPKQALDHSSTSAKKLPLLVHFHGGGFILFSPDENMSHEFCSNMASELSVIVVSASYRLAPEHRLPAAYDDAMEALSWIKTSHDNWLENYADFSQIFLMGGSAGGNIAYHLGLRAAEQVDSLSPLKIQGLILHQPFFGGVERTESEFRSINDPIFSPCDSDLMWELSLPIGANRDHEFCNPTVAHGSTALEKIKRLGWRVFVTGCDGDQLIDRQIELVKMIKKKEIRVVSRFVEGGFHGFELADPSKAKALYVALKNFIFSSI >EOY14216 pep chromosome:Theobroma_cacao_20110822:7:23499058:23499513:1 gene:TCM_033634 transcript:EOY14216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, putative MPPRTRATSRGIRGFNALDEAMEGPIASFSRSSGRGGPRGQIVGPQGNQSSSERRACTSFGDTGGDYPEVPIATLKEIAIGLRGLTQDFTEFKRQRVYQPNETMRSSFEDLDYQPYEEIDQGNVMVTLGEFMKLKSPSFSSAKSTKIHKYF >EOY14297 pep chromosome:Theobroma_cacao_20110822:7:23366299:23649365:1 gene:TCM_033634 transcript:EOY14297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, putative MDWLTAHRANVDCFRKEVVLRNSEGAEIVFVGERRVLPSCVISAIKASKLVQKGYPTYLAYVIDTSKGEPKLEDVPIVSEFPDVFPDDLPGIPPNRELEFPIDLLPGTAPISIPPYRMAPAELKELKAQLQDLVDKGFIRPSISPWGAPVLFVKKKDGTLRLCIDYRQLNRVTIKNKYPLPRIDDLFDQLRGAMVFSKIDLRSGYYQLRIKEQDVPKTAFRTRYGHYEFLVMPFAPMMGSFGDPAKAGISRKVP >EOY12445 pep chromosome:Theobroma_cacao_20110822:7:2249179:2250547:1 gene:TCM_030960 transcript:EOY12445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQFGYDQDLPAAFPVCSMPWENARFAVPPRSFEPCASVRYFNWWIRSKSARKAALRDVKRTQNRSPQSVLAKRNVEKSPPSIEFGLKKPKTLVSKLSTKTEGCCSSLATEYAAKTRTQMIWDYTDEHYYPMCSLRQKIEPHVLPPVWVERTEVNSKGLRTMCSLKSTNSFSQVSADNNIEESHASVNSELSSKIKRQAFKEYDDTERLKHISGTPNKRAGFHKASPCCQYRTTGSPTSIVAN >EOY13713 pep chromosome:Theobroma_cacao_20110822:7:10315303:10324805:1 gene:TCM_032348 transcript:EOY13713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 25, putative isoform 1 MGFVELQMTWQPSLLSEKRKKGPPLGLRNLGNSCYLNSVLQCLTYTPPLANFCLRSQHSSFCDASASKKPRDCPFCILEDWITRSLTLDLTLDAPSKIQSCIKIFAENFRCGRQEDAHEFLRYVIDACHNTCLRLKKLRRKGRDDEGGGEAMNGNTVVKQIFGGALQSQVKCLGCGGESNKIDEIMDISLDVLNSASLKEAMHKFFQPEILDGNNKYKCDNCKKLVAARKQLSIRQAPNILVIQLKRFEGILGGKIDRLISFEEVLVLSSFMCKASQDAQPEYNLFGTIVHSGYSPESGHYYAYIKDAMGKWYCCNDAFVSLSTLQNVLSEKVYILFFSRANQRPGSFSTTFSSNGVKPRDSYGSEASKIVKAIPLKPVQAKLGVEQSSPKDKVVKLSSSPRVKFNISEKPGSKKLPVTNNGKVDSYKTQNITVNGVSKDSIHMEKNEKDMFSLMNRNSIDKSRKVDAVGGEKSQPLALANGNSIKPDPFVANGTRSMAIRGQVNTALVDACDNSGRRKKSENSCDISGPKRKSEDSCDTTGPKQKSEDSCVISALKRKSDDSCNFSGPKRKSEPSCDISGPNRKSEDSFYKSGPMTKPTDTGVNSGSKRKSKDSSDFSQLMGNSCILLSQDAQSRAEVENMKEMLEKEASSVLRSCGWYDNVYSFMYSRKKLCALEIGSTLSGKDLEKKLIADAKPTFIPQIPESLKEEFIRRLQSFTQTKRETLGP >EOY13712 pep chromosome:Theobroma_cacao_20110822:7:10314960:10325156:1 gene:TCM_032348 transcript:EOY13712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 25, putative isoform 1 MGFVELQMTWQPSLLSEKRKKGPPLGLRNLGNSCYLNSVLQCLTYTPPLANFCLRSQHSSFCDASASKKPRDCPFCILEDWITRSLTLDLTLDAPSKIQSCIKIFAENFRCGRQEDAHEFLRYVIDACHNTCLRLKKLRRKGRDDEGGGEAMNGNTVVKQIFGGALQSQVKCLGCGGESNKIDEIMDISLDVLNSASLKEAMHKFFQPEILDGNNKYKCDNCKKLVAARKQLSIRQAPNILVIQLKRFEGILGGKIDRLISFEEVLVLSSFMCKASQDAQPEYNLFGTIVHSGYSPESGHYYAYIKDAMGKWYCCNDAFVSLSTLQNVLSEKVYILFFSRANQRPGSFSTTFSSNGVKPRDSYGSEASKIVKAIPLKPVQAKLGVEQSSPKDKVVKLSSSPRVKFNISEKPGSKKLPVTNNGKVDSYKTQNITVNGVSKDSIHMEKNEKDMFSLMNRNSIDKSRKVDAVGGEKSQPLALANGNSIKPDPFVANGTRSMAIRGQVNTALVDACDNSGRRKKSENSCDISGPKRKSEDSCDTTGPKQKSEDSCVISALKRKSDDSCNFSGPKRKSEPSCDISGPNRKSEDSFYKSGPMTKPTDTGVNSGSKRKSKDSSDFSQLMGNSCILLSQDAQSRAEVENMKEMLEKEASSVLRSCGWYDNVYSFMYSRKKLCALEIGSTLSGKDLEKKLIADAKPTFIPQIPESLKEDYSRFWSIMDETSWLISESISLGGCSRLIEQLPAMVEDCNMVMVNALLNDFKMKGLS >EOY13595 pep chromosome:Theobroma_cacao_20110822:7:9417514:9423130:1 gene:TCM_032195 transcript:EOY13595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNLWNLKGEISISGSEIVVKAQDAWEAKLIDAQASMSWKWIATFDNNARNKEVEEVPHWRLESYKVQFVYIALFKDQRSVSPPIECYFESLLLKSVYHYYIGESAYEYVVDNFPLVVLTMQPATFQTFLVTKKFLNLEYQHCKGFQSISLLKAFNTNLSMSIPVSLQSLQMTQKVSPEAKSVTSGSTNANIHHCNMSSPKEQSMSLTVKIFFSNTMNQLEGACLCHPCAKKERYNGRQ >EOY12380 pep chromosome:Theobroma_cacao_20110822:7:1864532:1866680:1 gene:TCM_030898 transcript:EOY12380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAETRMGSKRQKSETTYNEEMVQVQPNWGGMDDNLLQHIFRFLPTKDLIHSVTLVCHNWKLACWEFLFWKDHETLDISSLRTLLGPRKPLLKGLKYVMKLDTKEGCCPVRIRNIIFSTPLDMKFYHLAFVAKRSPKLRKLVLPGACDITMDLISTIIKKNWGELEELSLGPLAHDCSDRFFQRISNCKNLTKLHIFGSPLSSTASRSHFILDENNASIIAEHLLQLRVFNIDGTKLHKFGVETLLSECKNLAELNLRHCRGVVDPPISFLILTPKMKLLQKILTVKIRSGTVKNCTKAWFTCSSADSSLCTAEELVNQLWTGDVVEIVRFKLQIFTKLNLF >EOY12379 pep chromosome:Theobroma_cacao_20110822:7:1863300:1867567:1 gene:TCM_030898 transcript:EOY12379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAETRMGSKRQKSETTYNEEMVQVQPNWGGMDDNLLQHIFRFLPTKDLIHSVTLVCHNWKLACWEFLFWKDHETLDISSLRTLLGPRKPLLKGLKYVMKLDTKEGCCPVRIRNIIFSTPLDMKFYHLAFVAKRSPKLRKLVLPGACDITMDLISTIIKKNWGELEELSLGPLAHDCSDRFFQRISNCKNLTKLHIFGSPLSSTASRSHFILDENNASIIAEHLLQLRVFNIDGTKLHKFGVETLLSECKNLAELNLRHCRGVVDPPISFLILTPKMKLLQKILTVKIRSGTVKNCTKAWFTCSSADSSLCTAEELVNQLWTGDVVEIVRFKLQIFTKLNLF >EOY13042 pep chromosome:Theobroma_cacao_20110822:7:5527573:5532875:-1 gene:TCM_031553 transcript:EOY13042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.17 MATNEYEVDELKMMEELTINAHQVQEEVLGEILKRNAETEYLKGFLNGQSGKQLFKNNVPIVTYEDIKPYVDRIANGEPSDILLAEPVIEFNRSSGTSGGQPKLIPNTVELSKKRAAFHTLIASVLNKHFGDLNRAGKSMELMFVKPEIETPSGLKARSVTTSLFKDNGFRDILPMLYTSPVETILCLDINQSMYCQLLVGLIQRDEVVRIGSMFASAFLRAIKFIEDHWKELCSNIKTGYLSDWITDSGCKNALSSIMKPDPELADSIENICGCRSWEGIIRKLWPKAKYIGAITTGVMRQYTTALDFYSGGLPLVSSFYACSEAICGINLEPLDKPADVSYTILPNMAYFEFLPVKKDRVSMTQEVQFNGVSEQESIEMKSNNEDIEAVDLVNVKLGQFYELVVTTFTGLYRYRVGDILMVTGFHNNTPQFRFVERENVILSVDADKTSEADLLKAVTEAKTLLDPLGFILTAYTSYGDMSSTPGHYVLFWELKVKEDNDNKEFDPKMMVECCSRMEESLTYTYKIYRQQNAIAPLEIRVVKQGTFDALMDYYVSQGASMNQYKAPSCIKSKEALKILDSRVIGKFFSLKAPL >EOY12289 pep chromosome:Theobroma_cacao_20110822:7:1560838:1565635:1 gene:TCM_030834 transcript:EOY12289 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/surp domain-containing protein, putative isoform 2 MARTAMFVSRYGGQSEIVLRVKQGDNPTFGFLMPDHPLHAYFRFLVDHQELLSSNSVDEESKADSALDQAGRVRGGGALSLLGTVYGSGEDEEGSTANASEVKRKESVEAGVAINETSSNGPEQKQFSSSVNRKDETVTKDSAPLTKEKASLIKRNRSITTIKAGTTTGVKKESDASAAEKSRASSLPTTSKVELPVVEPPSDLKRVVDKIVEFIQKNGRQFEAVLVEQDVRHGRFPFLLQSNLYHPYYLKVLQKAEKSKLPGKGFISEKHDSSSLGVEKKAALSRESDSVPVGSDIPYDYDRKEKFKMVISKSKKDGQDPPSKATQPPIGVSVDAAAAAAILQAATRGIKNPNLEILSKTSLNGSSQARSSEGGHAPSLGGLLSSQPQSSNQKPGQKGEPSVSGPVANAIAKTAAIAAASEADSSEACLSKEEKLKAERLKRAKMFAAMIKSGAAPLKTEPLRGLSAEPPESGVSGSGVEGGSLLGKEREGSSVPLDANTSDKTENHEKIYSGSDHNERRSKRKYRSRSSRHEEDSRREEEEEEEEEKEKGRDHKHSGKKRHSHHSSHHSRDKHKHRRRHSSSKDRDSRHRHKHHRGTDDEQGHNSDSSDSDHHHSRHRRKQDNSDAERPRSRFAYEHNNSSEEDEHRHYRHHHKHDSSSEDEDRRSRHRHKHHRSSDDEHRHRRKRSHSGREGELEEGEICAKSDQSKLSEGNCVSRETSADISKPDAEGRRAPSLPAETTAVSDDLRAKIRAMLMATL >EOY12284 pep chromosome:Theobroma_cacao_20110822:7:1560648:1565484:1 gene:TCM_030834 transcript:EOY12284 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/surp domain-containing protein, putative isoform 2 MARTAMFVSRYGGQSEIVLRVKQGDNPTFGFLMPDHPLHAYFRFLVDHQELLSSNSVDEESKADSALDQAGRVRGGGALSLLGTVYGSGEDEEGSTANASEVKRKESVEAGVAINETSSNGPEQKQFSSSVNRKDETVTKDSAPLTKEKASLIKRNRSITTIKAGTTTGVKKESDASAAEKSRASSLPTTSKVELPVVEPPSDLKRVVDKIVEFIQKNGRQFEAVLVEQDVRHGRFPFLLQSNLYHPYYLKVLQKAEKSKLPGKGFISEKHDSSSLGVEKKAALSRESDSVPVGSDIPYDYDRKEKFKMVISKSKKDGQDPPSKATQPPIGVSVDAAAAAAILQAATRGIKNPNLEILSKTSLNGSSQARSSEGGHAPSLGGLLSSQPQSSNQKPGQKGEPSVSGPVANAIAKTAAIAAASEADSSEACLSKEEKLKAERLKRAKMFAAMIKSGAAPLKTEPLRGLSAEPPESGVSGSGVEGGSLLGKEREGSSVPLDANTSDKTENHEKIYSGSDHNERRSKRKYRSRSSRHEEDSRREEEEEEEEEKEKGRDHKHSGKKRHSHHSSHHSRDKHKHRRRHSSSKDRDSRHRHKHHRGTDDEQGHNSDSSDSDHHHSRHRRKQDNSDAERPRSRFAYEHNNSSEEDEHRHYRHHHKHDSSSEDEDRRSRHRHKHHRSSDDEHRHRRKRSHSGREGELEEGEICAKSDQSKLSEGNCVSRETSADISKPDAEGRRAPSLPAETTAVSDDLRAKIRAMLMATL >EOY12285 pep chromosome:Theobroma_cacao_20110822:7:1560834:1565635:1 gene:TCM_030834 transcript:EOY12285 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/surp domain-containing protein, putative isoform 2 MARTAMFVSRYGGQSEIVLRVKQGDNPTFGFLMPDHPLHAYFRFLVDHQELLSSNSVDEESKADSALDQAGRVRGGGALSLLGTVYGSGEDEEGSTANASEVKRKESVEAGVAINETSSNGPEQKQFSSSVNRKDETVTKDSAPLTKEKASLIKRNRSITTIKAGTTTGVKKESDASAAEKSRASSLPTTSKVELPVVEPPSDLKRVVDKIVEFIQKNGRQFEAVLVEQDVRHGRFPFLLQSNLYHPYYLKVLQKAEKSKLPGKGFISEKHDSSSLGVEKKAALSRESDSVPVGSDIPYDYDRKEKFKMVISKSKKDGQDPPSKATQPPIGVSVDAAAAAAILQAATRGIKNPNLEILSKTSLNGSSQARSSEGGHAPSLGGLLSSQPQSSNQKPGQKGEPSVSGPVANAIAKTAAIAAASEADSSEACLSKEEKLKAERLKRAKMFAAMIKSGAAPLKTEPLRGLSAEPPESGVSGSGVEGGSLLGKEREGSSVPLDANTSDKTENHEKIYSGSDHNERRSKRKYRSRSSRHEEDSRREEEEEEEEEKEKGRDHKHSGKKRHSHHSSHHSRDKHKHRRRHSSSKDRDSRHRHKHHRGTDDEQGHNSDSSDSDHHHSRHRRKQDNSDAERPRSRFAYEHNNSSEEDEHRHYRHHHKHDSSSEDEDRRSRHRHKHHRSSDDEHRHRRKRSHSGREGELEEGEICAKSDQSKLSEGNCVSRETSADISKPDAEGRRAPSLPAETTAVSDDLRAKIRAMLMATL >EOY12287 pep chromosome:Theobroma_cacao_20110822:7:1560675:1565484:1 gene:TCM_030834 transcript:EOY12287 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/surp domain-containing protein, putative isoform 2 MARTAMFVSRYGGQSEIVLRVKQGDNPTFGFLMPDHPLHAYFRFLVDHQELLSSNSVDEESKADSALDQAGRVRGGGALSLLGTVYGSGEDEEGSTANASEVKRKESVEAGVAINETSSNGPEQKQFSSSVNRKDETVTKDSAPLTKEKASLIKRNRSITTIKAGTTTGVKKESDASAAEKSRASSLPTTSKVELPVVEPPSDLKRVVDKIVEFIQKNGRQFEAVLVEQDVRHGRFPFLLQSNLYHPYYLKVLQKAEKSKLPGKGFISEKHDSSSLGVEKKAALSRESDSVPVGSDIPYDYDRKEKFKMVISKSKKDGQDPPSKATQPPIGVSVDAAAAAAILQAATRGIKNPNLEILSKTSLNGSSQARSSEGGHAPSLGGLLSSQPQSSNQKPGQKGEPSVSGPVANAIAKTAAIAAASEADSSEACLSKEEKLKAERLKRAKMFAAMIKSGAAPLKTEPLRGLSAEPPESGVSGSGVEGGSLLGKEREGSSVPLDANTSDKTENHEKIYSGSDHNERRSKRKYRSRSSRHEEDSRREEEEEEEEEKEKGRDHKHSGKKRHSHHSSHHSRDKHKHRRRHSSSKDRDSRHRHKHHRGTDDEQGHNSDSSDSDHHHSRHRRKQDNSDAERPRSRFAYEHNNSSEEDEHRHYRHHHKHDSSSEDEDRRSRHRHKHHRSSDDEHRHRRKRSHSGREGELEEGEICAKSDQSKLSEGNCVSRETSADISKPDAEGRRAPSLPAETTAVSDDLRAKIRAMLMATL >EOY12283 pep chromosome:Theobroma_cacao_20110822:7:1560838:1565635:1 gene:TCM_030834 transcript:EOY12283 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/surp domain-containing protein, putative isoform 2 MARTAMFVSRYGGQSEIVLRVKQGDNPTFGFLMPDHPLHAYFRFLVDHQELLSSNSVDEESKADSALDQAGRVRGGGALSLLGTVYGSGEDEEGSTANASEVKRKESVEAGVAINETSSNGPEQKQFSSSVNRKDETVTKDSAPLTKEKASLIKRNRSITTIKAGTTTGVKKESDASAAEKSRASSLPTTSKVELPVVEPPSDLKRVVDKIVEFIQKNGRQFEAVLVEQDVRHGRFPFLLQSNLYHPYYLKVLQKAEKSKLPGKGFISEKHDSSSLGVEKKAALSRESDSVPVGSDIPYDYDRKEKFKMVISKSKKDGQDPPSKATQPPIGVSVDAAAAAAILQAATRGIKNPNLEILSKTSLNGSSQARSSEGGHAPSLGGLLSSQPQSSNQKPGQKGEPSVSGPVANAIAKTAAIAAASEADSSEACLSKEEKLKAERLKRAKMFAAMIKSGAAPLKTEPLRGLSAEPPESGVSGSGVEGGSLLGKEREGSSVPLDANTSDKTENHEKIYSGSDHNERRSKRKYRSRSSRHEEDSRREEEEEEEEEKEKGRDHKHSGKKRHSHHSSHHSRDKHKHRRRHSSSKDRDSRHRHKHHRGTDDEQGHNSDSSDSDHHHSRHRRKQDNSDAERPRSRFAYEHNNSSEEDEHRHYRHHHKHDSSSEDEDRRSRHRHKHHRSSDDEHRHRRKRSHSGREGELEEGEICAKSDQSKLSEGNCVSRETSADISKPDAEGRRAPSLPAETTAVSDDLRAKIRAMLMATL >EOY12281 pep chromosome:Theobroma_cacao_20110822:7:1560116:1567457:1 gene:TCM_030834 transcript:EOY12281 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/surp domain-containing protein, putative isoform 2 MDLDVVGRHALLFDDDAMASFVNSAAALVDWNSLSIDRYDVRHLLSGPPPPRKKRRHPSPPTQTADDNLESELDRERYLDLPPSSPSPSDQQDGDNDEECATAGGVYNAVPFSYGNTGDFNEQKDTDAESSFRPSFPVPESLLQSLPSTEKVHQIMARTAMFVSRYGGQSEIVLRVKQGDNPTFGFLMPDHPLHAYFRFLVDHQELLSSNSVDEESKADSALDQAGRVRGGGALSLLGTVYGSGEDEEGSTANASEVKRKESVEAGVAINETSSNGPEQKQFSSSVNRKDETVTKDSAPLTKEKASLIKRNRSITTIKAGTTTGVKKESDASAAEKSRASSLPTTSKVELPVVEPPSDLKRVVDKIVEFIQKNGRQFEAVLVEQDVRHGRFPFLLQSNLYHPYYLKVLQKAEKSKLPGKGFISEKHDSSSLGVEKKAALSRESDSVPVGSDIPYDYDRKEKFKMVISKSKKDGQDPPSKATQPPIGVSVDAAAAAAILQAATRGIKNPNLEILSKTSLNGSSQARSSEGGHAPSLGGLLSSQPQSSNQKPGQKGEPSVSGPVANAIAKTAAIAAASEADSSEACLSKEEKLKAERLKRAKMFAAMIKSGAAPLKTEPLRGLSAEPPESGVSGSGVEGGSLLGKEREGSSVPLDANTSDKTENHEKIYSGSDHNERRSKRKYRSRSSRHEEDSRREEEEEEEEEKEKGRDHKHSGKKRHSHHSSHHSRDKHKHRRRHSSSKDRDSRHRHKHHRGTDDEQGHNSDSSDSDHHHSRHRRKQDNSDAERPRSRFAYEHNNSSEEDEHRHYRHHHKHDSSSEDEDRRSRHRHKHHRSSDDEHRHRRKRSHSGREGELEEGEICAKSDQSKLSEGNCVSRETSADISKPDAEGRRAPSLPAETTAVSDDLRAKIRAMLMATL >EOY12290 pep chromosome:Theobroma_cacao_20110822:7:1560351:1565635:1 gene:TCM_030834 transcript:EOY12290 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/surp domain-containing protein, putative isoform 2 MARTAMFVSRYGGQSEIVLRVKQGDNPTFGFLMPDHPLHAYFRFLVDHQELLSSNSVDEESKADSALDQAGRVRGGGALSLLGTVYGSGEDEEGSTANASEVKRKESVEAGVAINETSSNGPEQKQFSSSVNRKDETVTKDSAPLTKEKASLIKRNRSITTIKAGTTTGVKKESDASAAEKSRASSLPTTSKVELPVVEPPSDLKRVVDKIVEFIQKNGRQFEAVLVEQDVRHGRFPFLLQSNLYHPYYLKVLQKAEKSKLPGKGFISEKHDSSSLGVEKKAALSRESDSVPVGSDIPYDYDRKEKFKMVISKSKKDGQDPPSKATQPPIGVSVDAAAAAAILQAATRGIKNPNLEILSKTSLNGSSQARSSEGGHAPSLGGLLSSQPQSSNQKPGQKGEPSVSGPVANAIAKTAAIAAASEADSSEACLSKEEKLKAERLKRAKMFAAMIKSGAAPLKTEPLRGLSAEPPESGVSGSGVEGGSLLGKEREGSSVPLDANTSDKTENHEKIYSGSDHNERRSKRKYRSRSSRHEEDSRREEEEEEEEEKEKGRDHKHSGKKRHSHHSSHHSRDKHKHRRRHSSSKDRDSRHRHKHHRGTDDEQGHNSDSSDSDHHHSRHRRKQDNSDAERPRSRFAYEHNNSSEEDEHRHYRHHHKHDSSSEDEDRRSRHRHKHHRSSDDEHRHRRKRSHSGREGELEEGEICAKSDQSKLSEGNCVSRETSADISKPDAEGRRAPSLPAETTAVSDDLRAKIRAMLMATL >EOY12286 pep chromosome:Theobroma_cacao_20110822:7:1560351:1565635:1 gene:TCM_030834 transcript:EOY12286 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/surp domain-containing protein, putative isoform 2 MARTAMFVSRYGGQSEIVLRVKQGDNPTFGFLMPDHPLHAYFRFLVDHQELLSSNSVDEESKADSALDQAGRVRGGGALSLLGTVYGSGEDEEGSTANASEVKRKESVEAGVAINETSSNGPEQKQFSSSVNRKDETVTKDSAPLTKEKASLIKRNRSITTIKAGTTTGVKKESDASAAEKSRASSLPTTSKVELPVVEPPSDLKRVVDKIVEFIQKNGRQFEAVLVEQDVRHGRFPFLLQSNLYHPYYLKVLQKAEKSKLPGKGFISEKHDSSSLGVEKKAALSRESDSVPVGSDIPYDYDRKEKFKMVISKSKKDGQDPPSKATQPPIGVSVDAAAAAAILQAATRGIKNPNLEILSKTSLNGSSQARSSEGGHAPSLGGLLSSQPQSSNQKPGQKGEPSVSGPVANAIAKTAAIAAASEADSSEACLSKEEKLKAERLKRAKMFAAMIKSGAAPLKTEPLRGLSAEPPESGVSGSGVEGGSLLGKEREGSSVPLDANTSDKTENHEKIYSGSDHNERRSKRKYRSRSSRHEEDSRREEEEEEEEEKEKGRDHKHSGKKRHSHHSSHHSRDKHKHRRRHSSSKDRDSRHRHKHHRGTDDEQGHNSDSSDSDHHHSRHRRKQDNSDAERPRSRFAYEHNNSSEEDEHRHYRHHHKHDSSSEDEDRRSRHRHKHHRSSDDEHRHRRKRSHSGREGELEEGEICAKSDQSKLSEGNCVSRETSADISKPDAEGRRAPSLPAETTAVSDDLRAKIRAMLMATL >EOY12282 pep chromosome:Theobroma_cacao_20110822:7:1560352:1565635:1 gene:TCM_030834 transcript:EOY12282 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/surp domain-containing protein, putative isoform 2 MARTAMFVSRYGGQSEIVLRVKQGDNPTFGFLMPDHPLHAYFRFLVDHQELLSSNSVDEESKADSALDQAGRVRGGGALSLLGTVYGSGEDEEGSTANASEVKRKESVEAGVAINETSSNGPEQKQFSSSVNRKDETVTKDSAPLTKEKASLIKRNRSITTIKAGTTTGVKKESDASAAEKSRASSLPTTSKVELPVVEPPSDLKRVVDKIVEFIQKNGRQFEAVLVEQDVRHGRFPFLLQSNLYHPYYLKVLQKAEKSKLPGKGFISEKHDSSSLGVEKKAALSRESDSVPVGSDIPYDYDRKEKFKMVISKSKKDGQDPPSKATQPPIGVSVDAAAAAAILQAATRGIKNPNLEILSKTSLNGSSQARSSEGGHAPSLGGLLSSQPQSSNQKPGQKGEPSVSGPVANAIAKTAAIAAASEADSSEACLSKEEKLKAERLKRAKMFAAMIKSGAAPLKTEPLRGLSAEPPESGVSGSGVEGGSLLGKEREGSSVPLDANTSDKTENHEKIYSGSDHNERRSKRKYRSRSSRHEEDSRREEEEEEEEEKEKGRDHKHSGKKRHSHHSSHHSRDKHKHRRRHSSSKDRDSRHRHKHHRGTDDEQGHNSDSSDSDHHHSRHRRKQDNSDAERPRSRFAYEHNNSSEEDEHRHYRHHHKHDSSSEDEDRRSRHRHKHHRSSDDEHRHRRKRSHSGREGELEEGEICAKSDQSKLSEGNCVSRETSADISKPDAEGRRAPSLPAETTAVSDDLRAKIRAMLMATL >EOY12288 pep chromosome:Theobroma_cacao_20110822:7:1560834:1565635:1 gene:TCM_030834 transcript:EOY12288 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/surp domain-containing protein, putative isoform 2 MARTAMFVSRYGGQSEIVLRVKQGDNPTFGFLMPDHPLHAYFRFLVDHQELLSSNSVDEESKADSALDQAGRVRGGGALSLLGTVYGSGEDEEGSTANASEVKRKESVEAGVAINETSSNGPEQKQFSSSVNRKDETVTKDSAPLTKEKASLIKRNRSITTIKAGTTTGVKKESDASAAEKSRASSLPTTSKVELPVVEPPSDLKRVVDKIVEFIQKNGRQFEAVLVEQDVRHGRFPFLLQSNLYHPYYLKVLQKAEKSKLPGKGFISEKHDSSSLGVEKKAALSRESDSVPVGSDIPYDYDRKEKFKMVISKSKKDGQDPPSKATQPPIGVSVDAAAAAAILQAATRGIKNPNLEILSKTSLNGSSQARSSEGGHAPSLGGLLSSQPQSSNQKPGQKGEPSVSGPVANAIAKTAAIAAASEADSSEACLSKEEKLKAERLKRAKMFAAMIKSGAAPLKTEPLRGLSAEPPESGVSGSGVEGGSLLGKEREGSSVPLDANTSDKTENHEKIYSGSDHNERRSKRKYRSRSSRHEEDSRREEEEEEEEEKEKGRDHKHSGKKRHSHHSSHHSRDKHKHRRRHSSSKDRDSRHRHKHHRGTDDEQGHNSDSSDSDHHHSRHRRKQDNSDAERPRSRFAYEHNNSSEEDEHRHYRHHHKHDSSSEDEDRRSRHRHKHHRSSDDEHRHRRKRSHSGREGELEEGEICAKSDQSKLSEGNCVSRETSADISKPDAEGRRAPSLPAETTAVSDDLRAKIRAMLMATL >EOY13505 pep chromosome:Theobroma_cacao_20110822:7:8965953:8969973:-1 gene:TCM_032112 transcript:EOY13505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-regulated zinc finger protein 1, putative isoform 1 MKIQCNVCEAAEAKVLCCADEAALCWACDEKVHAANKLASKHQRVPLSSSSSHMPKCDICQETSGFFFCLQDRALLCRKCDLAIHTANTYVSGHQRFLLTGVKVGPETTDPGASSSNVQSPSNEKTSEAKSNSTSRRGTPMALTGGQNEVLLANAGVGNSVPTQVLYAGGSAAGSIQSWQMDDLFGLTDFNQSYGYMDNVSSKADSGRRGDSDSSSILRSAEEEVDDDECLGQVPESSCAVPQVPSPPTASGLYWPKDSHNQSDGVVFVPDICSSIVKNPFHSRCHGSRPKRQRQI >EOY13506 pep chromosome:Theobroma_cacao_20110822:7:8965951:8970125:-1 gene:TCM_032112 transcript:EOY13506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-regulated zinc finger protein 1, putative isoform 1 MKIQCNVCEAAEAKVLCCADEAALCWACDEKVHAANKLASKHQRVPLSSSSSHMPKCDICQETSGFFFCLQDRALLCRKCDLAIHTANTYVSGHQRFLLTGVKVGPETTDPGASSSNVQSPSNEKTSEAKSNSTSRRGTPMALTGGQNEVLLANAGVGNSVPTQVLYAGGSAAGSIQSWQMDDLFGLTDFNQSYGYMDNVSSKADSGRRGDSDSSSILRSAEEEVDDDECLGQVPESSCAVPQVPSPPTASGLYWPKDSHNQSDGVVFVPDICSSIVKNPFHSRCHGSRPKRQRQI >EOY13621 pep chromosome:Theobroma_cacao_20110822:7:9557326:9562098:-1 gene:TCM_032241 transcript:EOY13621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 72, subfamily A, polypeptide 15, putative MEVPPVIGISLSFLVVGILIWGWRIVDWVWVKPKRLERCLRQQGLTGNSYRFLSGDIKESFAMSRQARSQPMPLGDDITQYVGPFLHQTVKNYGKNSFSWVGPIPRVTIMEPEDIREIFTKFNDFQKPHTNPLVSLLVSGLVNLDGEEWAKHRKIINPAFHQDKLKNMLPAFYQSCIEMISKWEKLVFLEGSRELDVWPYLVKLTRDVISRAAFGSSYEEGRRIFQLLEDQTNLTMQVVQSAYIPGWRYLPTKTNRKMKVIAKDIKDSLKGMIKKREEATKASEVSNDDLLGILVESNIREIQEHGDHKNMGMSIEDVIEECKLFYFAGQETTSVLLVWTMILLARHPHWQTKAREEVTMILYEVLRLYPAGVALGRSVPKDTKLGKLLLPAGAEITLPVLLIHHDQELWGDDAHEFNPDRFSEGVSKATKSQVIYFPFGWGPRICIGQNFALMEAKMALAMILRQFWTMSLQCPLPSLLLP >EOY12870 pep chromosome:Theobroma_cacao_20110822:7:4531209:4532216:-1 gene:TCM_031377 transcript:EOY12870 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-norcoclaurine synthase 1 MLKQVSLIFLVLFACYMGVHSQKLKHLTNELEVKVPASKVWELYRHLGISKLAADQLKNVIQSIKVLKGHGGVGTVLKLTFVPGNSSYTEKFTVIDDKKRVKVARGLQGGCLAIGCSVQIVRFDIIEKSKTSSIIKSDISYAVKKVFEAKDPKPNIQLLAAAAQIAKKFLESRHNA >EOY12935 pep chromosome:Theobroma_cacao_20110822:7:4881306:4883321:1 gene:TCM_031436 transcript:EOY12935 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI/FBD-like domains-containing protein, putative MADSPDQTCTTRKRQRSSDRISVLPDDIIVRILSFVSMEEAVATSILSKRWRPLWTLVPIIDLPDFDFDSSDIQELFIEFVRNVLRFNKAVSLEKLRIQCNPDYASCAHTCICTATERDVQELDIYSFETDTFHLPRDFFNRIKTLKVLKLGDGVLVDVPSGVSFPNLKTLHLSSVKYTDDPSVANLFSGCVVLEELLIKRIYSNDGIHMNISISTLKTLSIEYDSGLRDHSFEINAPALERLNLKDVILRRNYWMFRPVMLVHSPENANKLLRVFSGVKSLSFTVLNWPNELYRDCNPLFVNLVRLELNVGLKNWNLLLLFLENSHILQFLELTLSEGTQNSFGSRYENTVTLPKHVPICFLSSLETVCLRAFEESEHELQLIEYILTNARVLKTMTISAYDSLLETFLVDEKLSTIPRCSKTCQLEFKCQPYVPTP >EOY14264 pep chromosome:Theobroma_cacao_20110822:7:22792488:22794594:-1 gene:TCM_033575 transcript:EOY14264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 1 precursor MYAGTMVGIQDCSIKILQISSLLILLLFPATVSSECTCEVEDLAQNKGEALKYKLGAIAAILVAGAVGVSLPLLGRRIPALRPENDIFFMIKAFAAGVILATGFVHILPDAFKNLTSPCLKESPWGNFPFSGFVAMMSAIGTLMIDTFATGYYKRQHFNKNKQVNADEEKAAEHAGHVHVHTHATHGHAHGSASPSEEFGLSELIRQRIISQVLEVGIVVHSVIIGISLGASESPDTIRPLLAALSFHQFFEGMGLGGCISQAQFKSLSVAIMGTFFSLTTPLGIAVGIGISCFYNDNSPTALIVGGVFNSASAGILIYMSLVDLLAADFMNPRLQSNVRLQVGANVSLLLGAACMSVLAKWA >EOY14063 pep chromosome:Theobroma_cacao_20110822:7:19683723:19717855:-1 gene:TCM_033235 transcript:EOY14063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHIFRSRESLRTILYVRKSCMLFKVKTTNTQKSKNIVKTSRNPLLLSQFLRPSVNTNMKKCFETGQSSTNETLSVKRKGKKPIAPRKESELRDNKHKKHTCSKGRFEKTMKKQQSLESKIADLKRQMDREELQEYQQKLGRLEQNLAEIRTQFSLAKEINDDNQIFLNSIIALLFP >EOY12973 pep chromosome:Theobroma_cacao_20110822:7:5087804:5090912:1 gene:TCM_031481 transcript:EOY12973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase 44 MDNRIFLLGLFLFAFGGVNGFPMNDLIEKLPGQPNVTFRQFSGYIDIDGKAGRSLFYYFVEAEKDPMNLPLTIWLTGGPGCGSVGDGFLSVGPFITTANAHGLQRNPYSWIKVTNLLFIDSPIGAGWSYSNTSRDYEVGDDSTNKDLLTFILQWFEKHPNFKSRDLYLGGSSYAGHFIPNFANSLLDYNNNQSNSSKFNIKGLALGNPLLRNKLDTLAVYDFFWSRGMININLHQQILKECNGIDEDNYSNNATKWSEPCQQAMDKAEMAAFIVSSTNVAKARRFDVLRDPCDEKWEDLVLGKEVTKVSFEVDMCIPFRADFYFNIPEVQKAFHGNRTNLGYQWKGCFEKSGLKYSDADKDIDMLPALKKILQQSIPITIFSGDQDAIVPNFGTLNHMNKLAKDMNLNLTKDEAWNHENKGGGWMYSYDNLLNFMTVKGANHHVTFSKPSEALFIFTNIVLNQSQ >EOY13221 pep chromosome:Theobroma_cacao_20110822:7:6577561:6578230:-1 gene:TCM_031739 transcript:EOY13221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESSISNQDAPTPSKIQDLMRMIQASQEKMQTLEDNNRRMIETISQLTSFIATTAQAQLVHLNESLPDGVTLLVTNTDGNEGNKENAANAVLAASSNPSNSTSIVTLATLLLQ >EOY13025 pep chromosome:Theobroma_cacao_20110822:7:5390635:5396789:1 gene:TCM_031531 transcript:EOY13025 gene_biotype:protein_coding transcript_biotype:protein_coding description:F8L10.3 protein MARRAATRREVLHADFLTPPITKESMLVLGKLADVKAVAQGGYAQAERCCISTGHSEVLTSDPDVVAALNITGNFGFQPCSHGDFLGAILGTCIAREKLGDIILQWAEHADDYSLGRSLSAKEGKEGCESHRLSDHRL >EOY12958 pep chromosome:Theobroma_cacao_20110822:7:5037570:5040379:-1 gene:TCM_031464 transcript:EOY12958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSFNVYVVFGLLTIMASGVVMARDVDPIKANNCETKMTLHCVIEVFTSIFKTGIVTDNCCIELIGLGQFCHDALIKKTLQNPLFKNNDTSVILSRGAQL >EOY13275 pep chromosome:Theobroma_cacao_20110822:7:6942020:6950145:-1 gene:TCM_031794 transcript:EOY13275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRLGRKAQWTNEVGLGRLRAAQITWAIILAQAREVQSYLQKEGIMVLVRQAGGLNVIVTFGNKGEMEVVLDQYYDIFSVWFENIKPYNMEKDERRYKVWVKIEELPTHLWNLKMFEAIGNCWGKFLKVDQETERRSRLDVAVIKVEIMSKKNVPVNQQIIVNGKAYIMRTSIIREESCDMERQACDEALCKAWACCEPRPIEQREEALQTMEVSRCLSLEFDAERNEVLKQMAEAEEGKKCGLGNIYAPNDERDRNEMLEELKQIVTGNDLCWVLGGDFNTVRIEDERIGRGDVRKAAA >EOY13897 pep chromosome:Theobroma_cacao_20110822:7:12813770:12818200:-1 gene:TCM_032631 transcript:EOY13897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEQMEDDGNKAHEISSSNTEEEAADYTSRQSSQFPLSQEEPFSVLCLAANPVNENAYELLPHQLRQLNESAYEPQLISIGPYHHGKQHLIEMEVYKKRCLQRILERNGDQNHHRYRDAIDFVRARKWYSPSLPNDIEAKFKAIMLVDGCFIVELLRQMETDEYDDPIFQKKWVQNSLLGDLVLFENQLPFFVLVGLYHVIEDPTDGKDFACRAFSVLSNFLPGPQTWKENLPTIKDTDNIKDLLSLLHDNWSPSPQGIRHHQDYYRTKDEKARAGQEAREKGGLENKWKFTLCAVEKPKEKKFQGDAESGVTITCCAREKENLRKGLLEWQSLRCATELKEAGIQFMNSTEESGVNSLFDISFTNATMKIPTFVVEDDTERLFRNLIAYELYEEGSTYVIDYVTLMDNLINSSKDVQLLRFSEIIENMLGDDEAVAIMFNKLRDHVILCGDTFYYEEIFVDVKRHCARRWNTWKAKLRHDYFNSPWALISFIAALLVILLTIGQFVTALIPLVK >EOY12549 pep chromosome:Theobroma_cacao_20110822:7:2734565:2737658:-1 gene:TCM_031069 transcript:EOY12549 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDRGK domain-containing protein 1 isoform 1 MEEIFALVLSMLLVLALVPLYLWKRRQGTRSTDEHVEERQAPRRENVVRGGATGGRSRMRRRPAGGGASTSSAAATAEETADGSDGEDEADGYYEAKASKKKEKKRQERDAQRRAEEAARESRLTKQDRYAEMRRRKDEEREAEERRLEEEAQARKANEEEAAALEFEKWKGEFSVDAEGTTENEVQDGSQDLLSNFVEYIKNHKCIPLEDLAAEFKLRTQECINRITSLESMGRLSGVMDDRGKYIYISLEEMKAVADYIKRQGRVSISHLASKSNQFIDLEPKVQLLEEMSIAEEINVA >EOY12550 pep chromosome:Theobroma_cacao_20110822:7:2734821:2737241:-1 gene:TCM_031069 transcript:EOY12550 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDRGK domain-containing protein 1 isoform 1 MEEIFALVLSMLLVLALVPLYLWKRRQGTRSTDEHVEERQAPRRENVVRGGATGGRSRMRRRPAGGGASTSSAAATAEETADGSDGEDEADGYYEAKASKKKEKKRQERDAQRRAEEAARESRLTKQDRYAEMRRRKDEEREAEERRLEEEAQARKANEEEAAALEFEKWKGEFSVDAEGTTENEVQDGSQDLLSNFVEYIKNHKCIPLEDLAAEFKLRTQECINRITSLESMGRLSGVMDDRGKYIYISLEEMKAVADYIKRQGRVSISHLASKSNQFIDLEPKVQLLEEMSIAEEINVA >EOY12491 pep chromosome:Theobroma_cacao_20110822:7:2339353:2340847:-1 gene:TCM_030980 transcript:EOY12491 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like/FBD-like domains MSPQARFEDGKDIHRLNSLPDGNYLSHHLISSSTRNDPDIYLITKVEIDGWLYYALRHGVQELELKLRKTYGLDLPGLPSAGCLFSCKMLVRLELKLGRNFILEVPPTVRLPKLKVLHLEKVEFSDGESVQRLFSQCSMLEELIVKYCVWEDVNKFTVSNPTLKRLTLRRLRSISHQELEINAPSLVYFEHFDFVAKNYSLLNLQSLVEALIDVEPEIFRFFYPTPSTDLLRGIKAGLLRGISNIKSLHLGCNFSNAYGGRRIPKLDFIGFPFPNLTFLEIDTSFS >EOY13532 pep chromosome:Theobroma_cacao_20110822:7:9088553:9092811:1 gene:TCM_032134 transcript:EOY13532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWSFLYFNPSLPIRGAGSWASPPPFIKQRNASIVSKSHSQASAYEVTISGGCTLQVVFTDRRLISKAMSDMYRVSQNSFSFRRWLHTLSRAGPSETLKRKVAEMEKMKKRRNLKKDQLFVEVPESRSFLDTATLPMVLAVAGIALFAKLLMMHDESKSQELIERKIKNAPPGQGTVRMLSREEWEEFREVRPRTPFESKLARPNAKVRTGEPLHMEDLKNWTIDVLTDALTRAEDCANRKIKLGPMNLLDL >EOY13763 pep chromosome:Theobroma_cacao_20110822:7:10643233:10644167:-1 gene:TCM_032404 transcript:EOY13763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSEYGYHGIPAVSHKENISLAVVQSHKKKRPVMVKSLVIRGNQKAKKSLIISQNNTFRNKNPKPEGKPGYDMKPEGEEKLNYETKQYNYKPKPEEKEKLLSVGVEGLYLCESGPKYILSSSRGLSRGNMSSCW >EOY13603 pep chromosome:Theobroma_cacao_20110822:7:9467083:9470084:1 gene:TCM_032214 transcript:EOY13603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSASSCNLLAIKSMQCGVNLQCLHMKQNVSLVAAPGNIHRCNMSPPKERLMSLTVKVFFSNTMNSW >EOY12522 pep chromosome:Theobroma_cacao_20110822:7:2532954:2533549:1 gene:TCM_031022 transcript:EOY12522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 RKLSSQWHHHYLCYSLMAILCNKLRLHNMQLFHRLFSKSEPWSKDLEFKKKKRC >EOY12521 pep chromosome:Theobroma_cacao_20110822:7:2532954:2533549:1 gene:TCM_031022 transcript:EOY12521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAILCNKLRLHNMQLFHRLFSKSEPWSKDLEFKKKKRC >EOY12765 pep chromosome:Theobroma_cacao_20110822:7:4068226:4073529:1 gene:TCM_031293 transcript:EOY12765 gene_biotype:protein_coding transcript_biotype:protein_coding description:AarF domain-containing kinase isoform 2 MVVEPAAFLAMSRFLGYVNVRRVARSFHSNWKTSFRKANNNGVHPKVEFPYSLYRLYSQYRHSFREDSPFVIYKVKERLSKSYQGQSFHALSPSYVFSDNAQVNWRRLFQVFSFGSPAVTPISRIARAVSLALSRSNLVAPGVMAFIIGELAWTQQTWAEAEGFSTKDTLFMQAQDGHLYLASFVFLVLEFVILLFRAIYLAILFSPSIAMAPFVDSLGLEFRKMWLHIVHHTLEKAGPAFIKWGQWAATRPDLFPKDLCAVLAELHTKAPSHSFAFTKKSVENAFGRKLTDIFTDFEEEPLASGSVAQVHRATLKYRYPGQQTKPIVVAVKVRHPGVGEAIRRDFMIINSVAKISRWKDVSFPKPLYPLVHPAVLVETFERGESVLHYVDELEGHERIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVKDINPSHKQFFSSRPHVILLDVGMTAELSKTDRMNLLEFFKAVALRDGRSAAVCTLRLSKQQNCPNPKAFIEDVEKSFNLWDSPDGEFIHPGECIQQLLEHVRRHKVNIDGNVCTVIVTTLVLEGWQRKLDPQLDVMRTLQTLLFKADWAESLYYTIEGLVAP >EOY12764 pep chromosome:Theobroma_cacao_20110822:7:4068226:4073529:1 gene:TCM_031293 transcript:EOY12764 gene_biotype:protein_coding transcript_biotype:protein_coding description:AarF domain-containing kinase isoform 2 MVVEPAAFLAMSRFLGYVNVRRVARSFHSNWKTSFRKANNNGVHPKVEFPYSLYRLYSQYRHSFREDSPFVIYKVKERLSKSYQGQSFHALSPSYVFSDNAQVNWRRLFQVFSFGSPAVTPISRIARAVSLALSRSNLVAPGVMAFIIGELAWTQQTWAEAEGFSTKDTLFMQAQDGHLYLASFVFLVLEFVILLFRAIYLAILFSPSIAMAPFVDSLGLEFRKMWLHIVHHTLEKAGPAFIKWGQWAATRPDLFPKDLCAVLAELHTKAPSHSFAFTKKSVENAFGRKLTDIFTDFEEEPLASGSVAQVHRATLKYRYPGQQTKPIVVAVKVRHPGVGEAIRRDFMIINSVAKISRFIPTLKWLRLDESLQQFAVYMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETFERGESVLHYVDELEGHERIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVKDINPSHKQFFSSRPHVILLDVGMTAELSKTDRMNLLEFFKAVALRDGRSAAVCTLRLSKQQNCPNPKAFIEDVEKSFNLWDSPDGEFIHPGECIQQLLEHVRRHKVNIDGNVCTVIVTTLVLEGWQRKLDPQLDVMRTLQTLLFKADWAESLYYTIEGLVAP >EOY13549 pep chromosome:Theobroma_cacao_20110822:7:9160674:9163823:1 gene:TCM_032151 transcript:EOY13549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-related gene 1 MESKVVLGNSLLVPCVQELAKETMTTLPPRYLRPDLEQTTVPADGLEIPVIDMKSLLCDESMDSELAKLDYACKEWGFFQLVNHGVSSSLMEKTKTEIQDFFNLPMEEKQKFWQYQGEVEGFGQSFVVSEEQKLDWGDLFFMTSLPPHFRKPHLFPNLPLPLRDTLDSYSLELKTLSMTLLTNMAKALDMRVEEMNEMFEGGMQSFRMNYYPPCPQPEQAIGLRPHSDAVGLTILLQLNQVEGLQIKKDGKWTPVKPLPDAFIVNVGDILEMVTNGAYRSIEHRATVNCERERLSIATFWSPRYDGEVGPAPSLISPEKPVLFKKLRVEEYFKGLFARELQGKSYLDTMRI >EOY12608 pep chromosome:Theobroma_cacao_20110822:7:2976165:2981628:1 gene:TCM_031114 transcript:EOY12608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 18 F isoform 5 MIKGEIGELSERILIIWVVSILGLLNWVFEIMRNSGDGQGQSKMQGGGVVSRSARSSFRAISSYLRIVSSGASNVARSAVSVASSIVDREDDSGCDQVHWAGFDKLEGEGDVIRQVLLLGYRSGFQVWDVEEADNVRDLVSRRDGPVSFMQMLPKPVASKRSGDKFVDSRPLLVVCADGFISGGNHSQDGPGNGSIRHNHDSGNGSLVPAIVQFYSLRSQSYVRKLKFRSVVYCIRCSSRIVAIVQAAQIHCYDATTLEMEYTLLTNPIVTGCPSSGGIGYGPLAVGPRWLAYSGSPVVASNCGRVSPQHLTPSASFSGFSSNGSLVAHYAKESSKQLAAGIVTLGDIGYKKLSRYLPDSYNSLQSGSPGSKANGIVNGHLPDAENIGMVIQDVSFSDDSNWIMISSSRGTSHLFAINPMGGSVNFQSGDAVFASKHNGLGVLTKPQVRWPPNLGVQAPTQTNLCASGPPLTLSVVSRIRNGSNGWRGTVSGAAAAATGRMGSLSGAIASSFHNCKGNNFLFAESSSLKTKYHLLVFSPSGCMIQYVLRISADRDSTPFVSGLSTAYEPTAESDGRLVVEAIQKWNICQKHIRREREDNVDIYGENGTSDNSKVYPEEIKEGRTYLEPTDIVDKANPNPEEKHNLYISEAELQMHQARMPLWAKPEIYFQSMVMDGIKMAEENAFGGEIEIERLPTRMIEARSKDLVPVFDYLQTPKFQQARIPTVDSNSN >EOY12606 pep chromosome:Theobroma_cacao_20110822:7:2976623:2983015:1 gene:TCM_031114 transcript:EOY12606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 18 F isoform 5 MQMLPKPVASKRSGDKFVDSRPLLVVCADGFISGGNHSQDGPGNGSIRHNHDSGNGSLVPAIVQFYSLRSQSYVRKLKFRSVVYCIRCSSRIVAIVQAAQIHCYDATTLEMEYTLLTNPIVTGCPSSGGIGYGPLAVGPRWLAYSGSPVVASNCGRVSPQHLTPSASFSGFSSNGSLVAHYAKESSKQLAAGIVTLGDIGYKKLSRYLPDSYNSLQSGSPGSKANGIVNGHLPDAENIGMVIVRDIVSKAVIAQFRAHKSPISALCFDPSGTLLVTASVQGHNINVFKIMPALQGSSSVCDASSSYAHLYRLQRGFTNAVIQDVSFSDDSNWIMISSSRGTSHLFAINPMGGSVNFQSGDAVFASKHNGLGVLTKPQVRWPPNLGVQAPTQTNLCASGPPLTLSVVSRIRNGSNGWRGTVSGAAAAATGRMGSLSGAIASSFHNCKGNNFLFAESSSLKTKYHLLVFSPSGCMIQYVLRISADRDSTPFVSGLSTAYEPTAESDGRLVVEAIQKWNICQKHIRREREDNVDIYGENGTSDNSKVYPEEIKEGRTYLEPTDIVDKANPNPEEKHNLYISEAELQMHQARMPLWAKPEIYFQSMVMDGIKMAEENAFGGEIEIERLPTRMIEARSKDLVPVFDYLQTPKFQQARFAECYLCPLILLFQRMHVNSVFICNLRSLDI >EOY12604 pep chromosome:Theobroma_cacao_20110822:7:2975780:2985143:1 gene:TCM_031114 transcript:EOY12604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 18 F isoform 5 MIKGEIGELSERILIIWVVSILGLLNWVFEIMRNSGDGQGQSKMQGGGVVSRSARSSFRAISSYLRIVSSGASNVARSAVSVASSIVDREDDSGCDQVHWAGFDKLEGEGDVIRQVLLLGYRSGFQVWDVEEADNVRDLVSRRDGPVSFMQMLPKPVASKRSGDKFVDSRPLLVVCADGFISGGNHSQDGPGNGSIRHNHDSGNGSLVPAIVQFYSLRSQSYVRKLKFRSVVYCIRCSSRIVAIVQAAQIHCYDATTLEMEYTLLTNPIVTGCPSSGGIGYGPLAVGPRWLAYSGSPVVASNCGRVSPQHLTPSASFSGFSSNGSLVAHYAKESSKQLAAGIVTLGDIGYKKLSRYLPDSYNSLQSGSPGSKANGIVNGHLPDAENIGMVIVRDIVSKAVIAQFRAHKSPISALCFDPSGTLLVTASVQGHNINVFKIMPALQGSSSVCDASSSYAHLYRLQRGFTNAVIQDVSFSDDSNWIMISSSRGTSHLFAINPMGGSVNFQSGDAVFASKHNGLGVLTKPQVRWPPNLGVQAPTQTNLCASGPPLTLSVVSRIRNGSNGWRGTVSGAAAAATGRMGSLSGAIASSFHNCKGNNFLFAESSSLKTKYHLLVFSPSGCMIQYVLRISADRDSTPFVSGLSTAYEPTAESDGRLVVEAIQKWNICQKHIRREREDNVDIYGENGTSDNSKVYPEEIKEGRTYLEPTDIVDKANPNPEEKHNLYISEAELQMHQARMPLWAKPEIYFQSMVMDGIKMAEENAFGGEIEIERLPTRMIEARSKDLVPVFDYLQTPKFQQARIPTVDSNSNGRLLHQRSGLSENGQVSRRGSSGSLDSMNEHGAAFTELLNGIEETSLNGPQMPIETKGFVNNSDSSKIKTRLEIVNNRESLKMEAQLKFVNSNSEGLKMENHFEDEGDMFD >EOY12605 pep chromosome:Theobroma_cacao_20110822:7:2976623:2983015:1 gene:TCM_031114 transcript:EOY12605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 18 F isoform 5 MQMLPKPVASKRSGDKFVDSRPLLVVCADGFISGGNHSQDGPGNGSIRHNHDSGNGSLVPAIVQFYSLRSQSYVRKLKFRSVVYCIRCSSRIVAIVQAAQIHCYDATTLEMEYTLLTNPIVTGCPSSGGIGYGPLAVGPRWLAYSGSPVVASNCGRVSPQHLTPSASFSGFSSNGSLVAHYAKESSKQLAAGIVTLGDIGYKKLSRYLPDSYNSLQSGSPGSKANGIVNGHLPDAENIGMVIVRDIVSKAVIAQFRAHKSPISALCFDPSGTLLVTASVQGHNINVFKIMPALQGSSSVCDASSSYAHLYRLQRGFTNAVIQDVSFSDDSNWIMISSSRGTSHLFAINPMGGSVNFQSGDAVFASKHNGLGVLTKPQVRWPPNLGVQAPTQTNLCASGPPLTLSVVSRIRNGSNGWRGTVSGAAAAATGRMGSLSGAIASSFHNCKGNNFLFAESSSLKTKYHLLVFSPSGCMIQYVLRISADRDSTPFVSGLSTAYEPTAESDGRLVVEAIQKWNICQKHIRREREDNVDIYGENGTSDNSKVYPEEIKEGRTYLEPTDIVDKANPNPEEKHNLYISEAELQMHQARMPLWAKPEIYFQSMVMDGIKMAEENAFGGEIEIERLPTRMIEARSKDLVPVFDYLQTPKFQQARIPTVDSNSNGRLLHQRSGLSENGQVSRRGSSGSLDSMNEHGAAFTELLNGIEETSLNGPQMPIETKGFVNNSDSSKIKTRLEIVNNRESLKMEAQLKFVNSNSEGLKMENHFEDEGDMFD >EOY12607 pep chromosome:Theobroma_cacao_20110822:7:2976623:2983015:1 gene:TCM_031114 transcript:EOY12607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 18 F isoform 5 MQMLPKPVASKRSGDKFVDSRPLLVVCADGFISGGNHSQDGPGNGSIRHNHDSGNGSLVPAIVQFYSLRSQSYVRKLKFRSVVYCIRCSSRIVAIVQAAQIHCYDATTLEMEYTLLTNPIVTGCPSSGGIGYGPLAVGPRWLAYSGSPVVASNCGRVSPQHLTPSASFSGFSSNGSLVAHYAKESSKQLAAGIVTLGDIGYKKLSRYLPDSYNSLQSGSPGSKANGIVNGHLPDAENIGMVIQDVSFSDDSNWIMISSSRGTSHLFAINPMGGSVNFQSGDAVFASKHNGLGVLTKPQVRWPPNLGVQAPTQTNLCASGPPLTLSVVSRIRNGSNGWRGTVSGAAAAATGRMGSLSGAIASSFHNCKGNNFLFAESSSLKTKYHLLVFSPSGCMIQYVLRISADRDSTPFVSGLSTAYEPTAESDGRLVVEAIQKWNICQKHIRREREDNVDIYGENGTSDNSKVYPEEIKEGRTYLEPTDIVDKANPNPEEKHNLYISEAELQMHQARMPLWAKPEIYFQSMVMDGIKMAEENAFGGEIEIERLPTRMIEARSKDLVPVFDYLQTPKFQQARIPTVDSNSNGRLLHQRSGLSENGQVSRRGSSGSLDSMNEHGAAFTELLNGIEETSLNGPQMPIETKGFVNNSDSSKIKTRLEIVNNRESLKMEAQLKFVNSNSEGLKMENHFEDEGDMFD >EOY12620 pep chromosome:Theobroma_cacao_20110822:7:3014540:3015725:-1 gene:TCM_031122 transcript:EOY12620 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein MSGCIKLEVQTEDQTPQKWCISLAEDVFKRFLSQGSPTVHKVFGEGSLFSPLLFGKYFDPSDAFPLWDFESDSLLSNLRNAGKSIVDWFQTDQAYVLKAELPGVGKTNVQIHVDNGKILEISGQLNQQRESKTKDWRSCNWWEYGYVRRLELPEDAYWRRIEAYLSNDVLLEIRIPRNPLHSDTPEDAVGKNSE >EOY13389 pep chromosome:Theobroma_cacao_20110822:7:7955826:7957019:1 gene:TCM_031953 transcript:EOY13389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFIFLFACFRSLDASDEHTLPMQKQNQSNEVMALFVFKQKSVDADHKGFLDNWSAASSSPCSWQGVSCSPRGQVRALNLTQAGVISNLHIDDPLVLGNLKHLHLSGNSFSGNLFHNKTSYPCIIQTLDLSFKNLSEPIS >EOY13827 pep chromosome:Theobroma_cacao_20110822:7:11218413:11226873:-1 gene:TCM_032482 transcript:EOY13827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSEQVQLSSIQISSITTIVIKDCVFRFLNKLNSSYATLRSQLLLFKTFPTLNKAYNLVLRDESQRSLLMQSQPLIEAFAIVAVSDNKKKATGNLVCGHCGKKCHTKDKCYMLVGFLENFKFSKSKGNFRKNVVAVNNVVINALEDQDDENVAGPVSQISLTKGQFQTLMAMIIAHEGQASENEPPTFSQHNNKPFFVNFTLIASLSQNDAVSKASEPPNPTSSKRRRFTPTCPRGSAPADSFHSQSNPSTSHISPRRSTRLKKPPKYLESYRCPSLNSLVTVYPIHHYLFANQLSFSHKAFTIALNHISKPFFLTSYLV >EOY12795 pep chromosome:Theobroma_cacao_20110822:7:4189417:4191087:-1 gene:TCM_031316 transcript:EOY12795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKPMLSLKTALISTGIISIAIFFKVSLPLVSELLTSGIPSTYSLVLSFLRPPYLYLLINCIIISIVASSKLQHKAETQQSPSPEIVLPAVKVSSEVYSSEYSYGSDTSARVVVAEDLSTVEESKEAVVVDGGDEEEEQVKVVMSLPPPPPARSESMELVMSLLNEKAGEKPPVSKRFGQRKAVKAASEGKALRVSKPKRHDTLESTWKTITEGRPMPLTRHLKKSDTWEQRAQKDPNAPPPPLPHTVKKSDTFNERPNGTSLTRSSGSGKLKKDPSLSQDDLNRRVEAFIKKFNEEMRLQRQESWNQYQEMIRRGAE >EOY13720 pep chromosome:Theobroma_cacao_20110822:7:10353965:10354690:1 gene:TCM_032359 transcript:EOY13720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGCGKVKRVEIRETKLSLGRLACGRICHFIKTLTLNHNGISVSKGSHSLKSSYVSLCITSRFLLHSLASNKMMK >EOY12650 pep chromosome:Theobroma_cacao_20110822:7:3173955:3225513:1 gene:TCM_031144 transcript:EOY12650 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein isoform 1 MDVLWAFFIINTIVLVFFSGFSHGVDMLTSSQSLTDGGNLVSKEGKFQLGFFSPGSSKNRYLGIWYKNIPGQTVVWVANRRNPINDGSGLLKINSTGNLVLLSQRKGVVWSSNSTKEARNPVVQLLDSGNLVLREAEQDGNSESYLWQSFDYPADTLLPEMKLGWDLRTGLDRRLSAWTSSDDPSPGDFTTGIALYNYPDPYGWKGSNKYFRAGPWNGLRYSGARKLRPSPNFQHNFQLVFQFNFVWNEEEVYNMFYLKNKSVIARYTLNQTNYQGQHYIWNEENSTWLLYLFTPRDFCDYYGHCGAYGSCDNSESPPCQCLKGFKPKSPLYWDSLDLTQGCERNKPLNCVKGDGFIKFGGLKLPDTTNSWVNKSMNLKECRAKCLQNCSCMAYTNTDIRGGGSGCAIWFGDLIDITQLKSGGQDLYIRMSASETGAEGETKIKIAVAIVIFIVICLLLVSYYLWRRHARLKGGKENHGVNDRSNEGAEKDSELQLFNLALIAKATNDFSTGNKLGEGGFGPVYRGTLEDGQEIAVKRLSRSSGQGSNEFKNEVALIAKLQHRNLVKLLGCCMQGEERMLVYEYMPNKSLDFFIFDKTRSKLLDWSKRYHIICGIARGLVYLHHDSRLRIIHRDLKTSNILLDSEMSPKISDFGLARTFGGDQTEGNTSRVVGT >EOY12649 pep chromosome:Theobroma_cacao_20110822:7:3173536:3177924:1 gene:TCM_031144 transcript:EOY12649 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein isoform 1 MDVLWAFFIINTIVLVFFSGFSHGVDMLTSSQSLTDGGNLVSKEGKFQLGFFSPGSSKNRYLGIWYKNIPGQTVVWVANRRNPINDGSGLLKINSTGNLVLLSQRKGVVWSSNSTKEARNPVVQLLDSGNLVLREAEQDGNSESYLWQSFDYPADTLLPEMKLGWDLRTGLDRRLSAWTSSDDPSPGDFTTGIALYNYPDPYGWKGSNKYFRAGPWNGLRYSGARKLRPSPNFQHNFQLVFQFNFVWNEEEVYNMFYLKNKSVIARYTLNQTNYQGQHYIWNEENSTWLLYLFTPRDFCDYYGHCGAYGSCDNSESPPCQCLKGFKPKSPLYWDSLDLTQGCERNKPLNCVKGDGFIKFGGLKLPDTTNSWVNKSMNLKECRAKCLQNCSCMAYTNTDIRGGGSGCAIWFGDLIDITQLKSGGQDLYIRMSASETGAEGETKIKIAVAIVIFIVICLLLVSYYLWRRHARLKGGKENHGVNDRSNEGAEKDSELQLFNLALIAKATNDFSTGNKLGEGGFGPVYRGTLEDGQEIAVKRLSRSSGQGSNEFKNEVALIAKLQHRNLVKLLGCCMQGEERMLVYEYMPNKSLDFFIFDKTRSKLLDWSKRYHIICGIARGLVYLHHDSRLRIIHRDLKTSNILLDSEMSPKISDFGLARTFGGDQTEGNTSRVVGTYGYMAPEYAFDGQFSVKSDVFSFGILVLEIISGMKNRGFSQTSQSLNLIGHAWRLWKEGRPLDLMDSFLQESSALSEVVRCIQIGLLCVQYYPEDRPNMSSVVVMLGSENALSQPKEPGFLMNKRSHDQTDSSSSMFGSSSTNDITISQLEAR >EOY12651 pep chromosome:Theobroma_cacao_20110822:7:3174100:3176965:1 gene:TCM_031144 transcript:EOY12651 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein isoform 1 MDVLWAFFIINTIVLVFFSGFSHGVDMLTSSQSLTDGGNLVSKEGKFQLGFFSPGSSKNRYLGIWYKNIPGQTVVWVANRRNPINDGSGLLKINSTGNLVLLSQRKGVVWSSNSTKEARNPVVQLLDSGNLVLREAEQDGNSESYLWQSFDYPADTLLPEMKLGWDLRTGLDRRLSAWTSSDDPSPGDFTTGIALYNYPDPYGWKGSNKYFRAGPWNGLRYSGARKLRPSPNFQHNFQLVFQFNFVWNEEEVYNMFYLKNKSVIARYTLNQTNYQGQHYIWNEENSTWLLYLFTPRDFCDYYGHCGAYGSCDNSESPPCQCLKGFKPKSPLYWDSLDLTQGCERNKPLNCVKGDGFIKFGGLKLPDTTNSWVNKSMNLKECRAKCLQNCSCMAYTNTDIRGGGSGCAIWFGDLIDITQLKSGGQDLYIRMSASETGAEGETKIKIAVAIVIFIVICLLLVSYYLWRRHARLKGGKENHGVNDRSNEGAEKDSELQLFNLALIAKATNDFSTGNKLGEGGFGPVYRGTLEDGQEIAVKRLSRSSGQGSNEFKNEVALIAKLQHRNLVKLLGCCMQGEERMLVYEYMPNKSLDFFIFDKTRSKLLDWSKRYHIICGIARGLVYLHHDSRLRIIHRDLKTSNILLDSEMSPKISDFGLARTFGGDQTEGNTSRVVGT >EOY12652 pep chromosome:Theobroma_cacao_20110822:7:3173536:3177924:1 gene:TCM_031144 transcript:EOY12652 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein isoform 1 MDVLWAFFIINTIVLVFFSGFSHGVDMLTSSQSLTDGGNLVSKEGKFQLGFFSPGSSKNRYLGIWYKNIPGQTVVWVANRRNPINDGSGLLKINSTGNLVLLSQRKGVVWSSNSTKEARNPVVQLLDSGNLVLREAEQDGNSESYLWQSFDYPADTLLPEMKLGWDLRTGLDRRLSAWTSSDDPSPGDFTTGIALYNYPDPYGWKGSNKYFRAGPWNGLRYSGARKLRPSPNFQHNFQLVFQFNFVWNEEEVYNMFYLKNKSVIARYTLNQTNYQGQHYIWNEENSTWLLYLFTPRDFCDYYGHCGAYGSCDNSESPPCQCLKGFKPKSPLYWDSLDLTQGCERNKPLNCVKGDGFIKFGGLKLPDTTNSWVNKSMNLKECRAKCLQNCSCMAYTNTDIRGGGSGCAIWFGDLIDITQLKSGGQDLYIRMSASETGGKENHGVNDRSNEGAEKDSELQLFNLALIAKATNDFSTGNKLGEGGFGPVYRGTLEDGQEIAVKRLSRSSGQGSNEFKNEVALIAKLQHRNLVKLLGCCMQGEERMLVYEYMPNKSLDFFIFDKTRSKLLDWSKRYHIICGIARGLVYLHHDSRLRIIHRDLKTSNILLDSEMSPKISDFGLARTFGGDQTEGNTSRVVGTYGYMAPEYAFDGQFSVKSDVFSFGILVLEIISGMKNRGFSQTSQSLNLIGHAWRLWKEGRPLDLMDSFLQESSALSEVVRCIQIGLLCVQYYPEDRPNMSSVVVMLGSENALSQPKEPGFLMNKRSHDQTDSSSSMFGSSSTNDITISQLEAR >EOY12601 pep chromosome:Theobroma_cacao_20110822:7:2966502:2969195:1 gene:TCM_031111 transcript:EOY12601 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNAse Z1 isoform 3 MDRRTQKSKNPESGKEENNEKKKEKGMQIEGYQVEGLSIGGHETCIIFPSLNLAFDIGKCPQRALSQDFLLISHGHMDHIGGLPMYVATRGLYGMKPPTIIVPTAIKEDVEKLFEVHRKMDHSELKHNLIGLDVGEEFFLRRDLKVRAFRTYHVIPSQGYVVYSVKQKLKQEYFGLSGNEIKNLKSSGVEITYTTTAPEVAFTGDTTSDFIVDKTNIDVLRARILVVER >EOY12602 pep chromosome:Theobroma_cacao_20110822:7:2966502:2970539:1 gene:TCM_031111 transcript:EOY12602 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNAse Z1 isoform 3 MDRRTQKSKNPESGKEENNEKKKEKGMQIEGYQVEGLSIGGHETCIIFPSLNLAFDIGKCPQRALSQDFLLISHGHMDHIGGLPMYVATRGLYGMKPPTIIVPTAIKEDVEKLFEVHRKMDHSELKHNLIGLDVGEEFFLRRDLKVRAFRTYHVIPSQGYVVYSVKQKLKQEYFGLSGNEIKNLKSSDYLHYYSTRSCFYWRYNV >EOY12600 pep chromosome:Theobroma_cacao_20110822:7:2966671:2969513:1 gene:TCM_031111 transcript:EOY12600 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNAse Z1 isoform 3 MDRRTQKSKNPESGKEENNEKKKEKGMQIEGYQVEGLSIGGHETCIIFPSLNLAFDIGKCPQRALSQDFLLISHGHMDHIGGLPMYVATRGLYGMKPPTIIVPTAIKEDVEKLFEVHRKMDHSELKHNLIGLDVGEEFFLRRDLKVRAFRTYHVIPSQGYVVYSVKQKLKQEYFGLSGNEIKNLKSSGVEITYTTTAPEVAFTGDTTSDFIVDKTNIDVLRARILVVEVLITGVRISHS >EOY12599 pep chromosome:Theobroma_cacao_20110822:7:2966502:2970609:1 gene:TCM_031111 transcript:EOY12599 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNAse Z1 isoform 3 MDRRTQKSKNPESGKEENNEKKKEKGMQIEGYQVEGLSIGGHETCIIFPSLNLAFDIGKCPQRALSQDFLLISHGHMDHIGGLPMYVATRGLYGMKPPTIIVPTAIKEDVEKLFEVHRKMDHSELKHNLIGLDVGEEFFLRRDLKVRAFRTYHVIPSQGYVVYSVKQKLKQEYFGLSGNEIKNLKSSGVEITYTTTAPEVAFTGDTTSDFIVDKTNIDVLRARILVVESTFIDNSVSVEHARDYGHIHLSEIINYADKFENKAILLIHFSARYALEKIEEAVSALPSPLAGRVFALTEGI >EOY13156 pep chromosome:Theobroma_cacao_20110822:7:6233680:6238143:1 gene:TCM_031681 transcript:EOY13156 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein MDILSFISIKIIMLSFFSRFSVGIDSFTSSESLSDGRTLVSRDGTFELGFFRPGSSMNRYLGIWYKKIPVRTVVWVANRRNPINDSYGMLLFNSKGNLMLFSRSNGVVWSTNSTKIARKPIVQLLDSGNLVIRDESGDGNSERTLSWQSFDYPTDTILPGMKLGWDLRTGLDRRLTAWKSSDDPSPGDYTAGVELNNYPDFVSWKGTKKYHRTGPWNGLGYSGTPLLKPSLLFQFEFVWNNDEVYFGFHLGNQSAIMRYVLNQTVYQGQGYFWIEGSRSWMLSTFPPTDFCDNFGLCGAYGICDSAEALPCQCLKGFKHKASRYWDSINWSQGCVRNKPLDCQKGDAFIKFGRLKLPDTEHSWVDKSIGLKECRAKCLQNCSCMAYTNTDIRGKGSGCAIWFGDLIDIKQFQDGGQELYIRMSTSEAGENENHGVNNRSNAGQKEDIELELFDLAVLAKATNGFSSDNKLGEGGFGPVYKGTMEDGQQIAVKRLSIRSRQGSDEFKNEVALIAKLQHRNLVKLLGCCIQGEEKMLVYEFMPNKSLNFFIFDRARHELLDWPKRFHIINGVARGLVYLHQDSRLRIIHRDLKTSNILLDSEMNPKISDFGLAKTFGGDQTEGNTNRVVGTYGYMAPEYAIAGQFSIKSDVFSFGIVVLEIISGKKNKGFYNPSHDLNLIGHAWALWKKEKPLELIDSFFQEPCSLSEVVRCIHIALLCVQQHPDDRPSMSSVVLMLGSETTLVEPKEPSFLMDNKSLETDSSSSNIKLSNNDVTISILDGR >EOY14018 pep chromosome:Theobroma_cacao_20110822:7:17140652:17165298:1 gene:TCM_033030 transcript:EOY14018 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein MAEEEKEEIKSFKDLRLCDELVEACDSLGWKNPTKIQVEAIPHALEGKDLIGLAQTGSGKTGAFALPILHALLECHSKQGYKSAPVFFALVLSPTRELAIQIAEQFEALGSGISLKCAVLVGGVDLMQQQIALGKRPHIIVGTPGRLVDHLTNTKGFSLRMLKYLVLDEADRLLNEDFEKALDDILNVIPRDRHTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYILTEMSGCTSMVFTRTCDATRLLSYILRNLNVRAIPISGQMTQSKRLGALNKFKSGECNVLVCTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYLQIEKLIGKKLPEYPAQEEEVLLLLESVTEAKRLSQMKLKEIGGTKKRRGGDDDDEDIERYLGHRGKSSKKLKKK >EOY12816 pep chromosome:Theobroma_cacao_20110822:7:4250750:4256303:-1 gene:TCM_031333 transcript:EOY12816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLVLSCATPVYTTHSLKSSIPKSTAIRSSFNCDHPFVPEVAKVGDSLYSEFRAVDNLVACNSSRVLKAFQNARVGSHHFAGCTGYGHDEAGGREALDQAFAEIVGAESAIVRSQFFSGTHAITCALFAFLRPGDELLAVAGAPYDTLEEVIGKRDSHGLGSLKDFGVMYRELPLAEDGGLDWDALAGALRPHTKCALIQRSCGYSWRRSLSVNEIGRAIKIIKMQNPNCLVMVDNCYGEFVESIEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGRKQWVDAAAARLSAPGLGVDCGSAPGDIMRAFFQGLFLAPQIVGEAIKGTFLVSEVMASEGYKVQPLPRVPRHDTVQAVQLGSRELLLAFCEAVQRSSPVGSFTKPVAGTTPGYASEVIFADGTFIDGSTSELSCDGPLREPFAVYCQGGTHWTQWGLVLGDDSCCLCLSMESKLGQSGPFEVYGSLSAGISNRSARGNREKFWMLHQEMDAGQTLNVSHESPWMFSYRKTMDVKIKFDRWY >EOY12817 pep chromosome:Theobroma_cacao_20110822:7:4251458:4255452:-1 gene:TCM_031333 transcript:EOY12817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLVLSCATPVYTTHSLKSSIPKSTAIRSSFNCDHPFVPEVAKVGDSLYSEFRAVDNLVACNSSRVLKAFQNARVGSHHFAGCTGYGHDEAGGREALDQAFAEIVGAESAIVRSQFFSGTHAITCALFAFLRPGDELLAVAGAPYDTLEEVIGKRDSHGLGSLKDFGVMYRELPLAEDGGLDWDALAGALRPHTKCALIQRSCGYSWRRSLSVNEIGRAIKIIKMQNPNCLVMVDNCYGEFVESIEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGRKQWVDAAAARLSAPGLGVDCGSAPGDIMRAFFQGLFLAPQIVGEAIKGTFLVSEVMASEGYKVQPLPRVPRHDTVQAVQLGSRELLLAFCEAVQRSSPVGSFTKPVAGTTPGYASEVIFADGTFIDGSTSELSCDGPLREPFAVYCQGGTHWTQWGLVLGDVLKSLRYEI >EOY11962 pep chromosome:Theobroma_cacao_20110822:7:459811:461199:1 gene:TCM_030595 transcript:EOY11962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor family protein MISVMGDNPFFRKDCVMKKQSVVEGKKRERGKEDPEINAVFDAVKRRRKVEKSSEEISLFVEKVLAELTIVPEDDAQLNREGQPAINKLKKLPFLTEPANANIRGAVLNILADFPIDLEQDYRREQFKRSGLGRAIMFLSKYDEETVSNRRVAKDLIDRWSRVIFNRSARYSDLRNDDDIHVPVMKKPVTKPPMVEVKACDLDVNVSKEQKLSSRPSSMRQHVTRPETASLVYTVRPQSKYNPEIARTFARQQRVQGDSRQRIEQRLKQLKASRKKPLQAAKLSAEGRRMLLSV >EOY13707 pep chromosome:Theobroma_cacao_20110822:7:10288958:10292588:-1 gene:TCM_032344 transcript:EOY13707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 1 MEITNVTEYDAIAKQKLPKMVYDYYASGAEDQWTLQENRNAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRHVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKADDSGLASYVAGQIDRTLSWKDVKWLQTITKLPILVKGVLTAEDTRIAIQSGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLSMLREEFELTMALSGCRSLKEITREHIVTEWDRPHPRPLPRL >EOY13709 pep chromosome:Theobroma_cacao_20110822:7:10288600:10293552:-1 gene:TCM_032344 transcript:EOY13709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 1 MEITNVTEYDAIAKQKLPKMVYDYYASGAEDQWTLQENRNAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRHVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKADDSGLASYVAGQIDRTLSWKDVKWLQTITKLPILVKGVLTAEDTRIAIQSGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLSMLREEFELTMALSGCRSLKEITREHIVTEWDRPHPRPLPRL >EOY13706 pep chromosome:Theobroma_cacao_20110822:7:10288818:10292609:-1 gene:TCM_032344 transcript:EOY13706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 1 MEITNVTEYDAIAKQKLPKMVYDYYASGAEDQWTLQENRNAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRHVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKADDSGLASYVAGQIDRTLSWKDVKWLQTITKLPILVKGVLTAEDTRIAIQSGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLSMLREEFELTMALSGCRSLKEITREHIVTEWDRPHPRPLPRL >EOY13708 pep chromosome:Theobroma_cacao_20110822:7:10288678:10293531:-1 gene:TCM_032344 transcript:EOY13708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 1 MEITNVTEYDAIAKQKLPKMVYDYYASGAEDQWTLQENRNAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRHVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKADDSGLASYVAGQIDRTLSWKDVKWLQTITKLPILVKGVLTAEDTRIAIQSGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLSMLREEFELTMALSGCRSLKEITREHIVTEWDRPHPRPLPRL >EOY14060 pep chromosome:Theobroma_cacao_20110822:7:19647967:19714005:-1 gene:TCM_033233 transcript:EOY14060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MKKQQSLECPPLLSQFLRPSVNTNMKCFETGQSSTNETLSVKRKGKKPMAPRKESELRDNKHKKHTSSKRRFEKTLKKQKSLESKIEDLKKEMNHEELQEYQEKLGRLEQNLAEIKMQFTLAKEINDDNKIFL >EOY14061 pep chromosome:Theobroma_cacao_20110822:7:19647965:19714146:-1 gene:TCM_033233 transcript:EOY14061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MKKQQSLECPPLLSQFLRPSVNTNMKCFETGQSSTNETLSVKRKGKKPMAPRKESELRDNKHKKHTSSKRRFEKQKSLESKIEDLKKEMNHEELQEYQEKLGRLEQNLAEIKMQFTLAKEINDDNKIFL >EOY14058 pep chromosome:Theobroma_cacao_20110822:7:19644124:19648611:-1 gene:TCM_033233 transcript:EOY14058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MKCFETGQSSTNETLSVKRKGKKPMAPRKESELRDNKHKKHTSSKRRFEKTLKKQKSLESKIEDLKKEMNHEELQEYQEKLGRLEQNLAEIKMQFTLAKEINDDNKIFLNSIIALLFP >EOY14059 pep chromosome:Theobroma_cacao_20110822:7:19644140:19714401:-1 gene:TCM_033233 transcript:EOY14059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MKKCFETGQSSTNETLSVKRKGKKPMAPRKESELRDNKHKKHTSSKRRFEKTLKKQKSLESKIEDLKKEMNHEELQEYQEKLGRLEQNLAEIKMQFTLAKEINDDNKIFLNSIIALLFP >EOY14062 pep chromosome:Theobroma_cacao_20110822:7:19647938:19648583:-1 gene:TCM_033233 transcript:EOY14062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 SEDLKKKPQCPPLLSQFLRPSVNTNMKCFETGQSSTNETLSVKRKGKKPMAPRKESELRDNKHKKHTSSKRRFEVSQNKKTLKKQKSLESKIEDLKKEMNHEELQEYQEKLGRLEQNLAEIKMQFTLAKEINDDNKIFLNSIIALLFP >EOY12208 pep chromosome:Theobroma_cacao_20110822:7:1326744:1340903:-1 gene:TCM_030777 transcript:EOY12208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLVLEKIISTRKDKEKLRISSKDGNLDLLPKGSGELRVQSENGELDLELIQVDGDSRIIRGKGWRDFIGNYHLGATLTIYIDDDGKYKIQVRNQ >EOY14152 pep chromosome:Theobroma_cacao_20110822:7:21680038:21691621:-1 gene:TCM_033439 transcript:EOY14152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLFPFSMLEERDQKVISRAGRNSRREKLKFLAVPLFSSLLGFFCCIFLPPSIWKKGSFYSCLHKCWGCGPL >EOY14111 pep chromosome:Theobroma_cacao_20110822:7:20929941:20935612:-1 gene:TCM_033367 transcript:EOY14111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor serine/threonine kinase MDNTQASKFFTSKPVIIMFSVAGGLFGFGLITRIISRILKNQKKKEKIENQDKETETAMELARTLRNLLAYDRTQPGPHVVAAMDEETGLEKQTVERFIEHMLQEKPARLSSQVLEIFTSNYSTKLGEGAYGAVYKGHFPNGGQVAVKVLNNQGIDKRIEEQFMAEVNTIGRTYHRNLVRLYGFCFEAKTKALVYEYMENGSLDKLLFEKKHNIEWDKLYEIAIGAARGLEYLHHFSHGRIIHYDIKPANVLLDSNFCPKIADFGLAKLYNRDSTNVTRSRVGGTPGYAAPEVWMPFPVSYKCDVYSFGVMLFEIVGRRRNFDVNLGESQEWFPKQVWEKFDKEELEEVFANCEIEEKDREKAKTMVTVALWCVQYLPEARPSMRDVVKILEGGAEAATPPNPFLHLISSPNVPSCMISNSISATDYDEDDRNDTTIVRQHEIQYATS >EOY13102 pep chromosome:Theobroma_cacao_20110822:7:5931328:5951120:1 gene:TCM_031626 transcript:EOY13102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein family isoform 1 MLNLRKLEIFFEDFKEDLDKKLPIIKSKRIRSLSIRRGERIDTPTLVFLLSSCFTICELSLSAEIGTLPEYHHFSSNIAYILLSGCKLEEDPLPTLEKLPNLRILKLDEEAFTGKKMVCSAECFPKLDSLSLLWLRNLEELKVDEGAMPTLRHLEIEYCSELKMLPDGLRFITTLRQLKIEWMPKAFKDKLVEGGEDFYKVQHVPSIIVENCHEVTPIILRLKL >EOY13103 pep chromosome:Theobroma_cacao_20110822:7:5931554:5933026:1 gene:TCM_031626 transcript:EOY13103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein family isoform 1 MLNLRKLEIFFEDFKEDLDKKLPIIKSKRIRSLSIRRGERIDTPTLVFLLSSCFTICELSLSAEIGTLPEYHHFSSNIAYILLSGCKLEEDPLPTLEKLPNLRILKLDEEAFTGKKMVCSAECFPKLDSLSLLWLRNLEELKVDEGAMPTLRHLEIEYCSELKMLPDGLRFITTLRQLKIEWMPKAFKDKLVEGGEDFYKVQHVPSIIVENCHEVTPIILRLKL >EOY13436 pep chromosome:Theobroma_cacao_20110822:7:8323917:8329461:1 gene:TCM_032010 transcript:EOY13436 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein isoform 1 MRESHLFFTLILLLVPYTLHFQAHAAPAGPLIKHFSSLLKWTRSSTKTPQSDGNVLQFENGYLVETVVEGNEIGVVPYKIRVSEDGELFAVDEVNSNIVKITPPLSQYSRGRLVAGSFQGYTGHVDGKPSEARFNHPKGVTMDDKGNVYVADTMNLAIRKIGDAGVTTIAGGKSNVAGYRDGPSEDAQFSNDFDVVYVQPTCSLLVIDRGNAALRQISLNQDDCDYQYSSVSPTDILMVVGAVLVGYATCLLQQGFGSSFFPRMQPTESEFKEQPSKQKPTPIVESVKEEPGWPSFGQLVMDLSKLALEAMATMFLYFVPSRFRSRGSKKGLTPMKDSLRMPEDEAPPPLVQRQTTPAPVSETRQTHTTNTSDKHSEMKPSKIIKSSSFKDPSLSSKHRSSKRQEFAEFYGSAEVPPYSRSKIQKERTRHRQRDKIGEVVFGTAGTDQKPVETKPVVYDNSKFDHYNMRSKYGSSDSFRF >EOY13437 pep chromosome:Theobroma_cacao_20110822:7:8323917:8329461:1 gene:TCM_032010 transcript:EOY13437 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein isoform 1 MRESHLFFTLILLLVPYTLHFQAHAAPAGPLIKHFSSLLKWTRSSTKTPQSDGNVLQFENGYLVETVVEGNEIGVVPYKIRVSEDGELFAVDEVNSNIVKITPPLSQYSRGRLVAGSFQGYTGHVDGKPSEARFNHPKGVTMDDKGNVYVADTMNLAIRKIGDAGVTTIAGGKSNVAGYRDGPSEDAQFSNDFDVVYVQPTCSLLVIDRGNAALRQISLNQDDCDYQYSSVSPTDILMVVGAVLVGYATCLLQQGFGSSFFPRMQQPTESEFKEQPSKQKPTPIVESVKEEPGWPSFGQLVMDLSKLALEAMATMFLYFVPSRFRSRGSKKGLTPMKDSLRMPEDEAPPPLVQRQTTPAPVSETRQTHTTNTSDKHSEMKPSKIIKSSSFKDPSLSSKHRSSKRQEFAEFYGSAEVPPYSRSKIQKERTRHRQRDKIGEVVFGTAGTDQKPVETKPVVYDNSKFDHYNMRSKYGSSDSFRF >EOY13438 pep chromosome:Theobroma_cacao_20110822:7:8323937:8327511:1 gene:TCM_032010 transcript:EOY13438 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein isoform 1 MIKEMCVTTIAGGKSNVAGYRDGPSEDAQFSNDFDVVYVQPTCSLLVIDRGNAALRQISLNQDDCDYQYSSVSPTDILMVVGAVLVGYATCLLQQGFGSSFFPRMQPTESEFKEQPSKQKPTPIVESVKEEPGWPSFGQLVMDLSKLALEAMATMFLYFVPSRFRSRGSKKGLTPMKDSLRMPEDEAPPPLVQRQTTPAPVSETRQTHTTNTSDKHSEMKPSKIIKSSSFKDPSLSSKHRSSKRQEFAEFYGSAEVPPYSRSKIQKERTRHRQRDKIGEVVFGTAGTDQKPVETKPVVYDNSKFDHYNMRSKYGSSDSFRF >EOY12544 pep chromosome:Theobroma_cacao_20110822:7:2713093:2714168:-1 gene:TCM_031064 transcript:EOY12544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 9, putative MANSIFGSDYQSKAFHVLGLFCFMLLIQNGYAREFTVNWGLHNGSYAENYNQWAERNRFQIGDSIVFVYQPNNDSVLQVTAEAYQNCSTEAPISDYKDGHTVFSLSRSGPYYFVSGNRDNCEKNEKLVVVVLADRSNRSSSTNETAPPSPPPSGSIDIMPSPAPSGESPPAGTVEINPTPAPSNEGNQKNAASSVFTSVAGSIGAFVASTLLLAF >EOY13435 pep chromosome:Theobroma_cacao_20110822:7:8316365:8317642:-1 gene:TCM_032009 transcript:EOY13435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 34, putative MIVVSQRFQGQISESVGNLKGLQLLNLPNNLLVGQIPPVIGSLSNLEALDLSCNKLVGRIPWQLTQLNFLAVFNVSDNNLRGRIPQGRQFDTFDNSSFDGNLGLCGNSLSKKCEDSDTPSLPSSKEEEDWVSIFHFGWKVVLIGYGFGMVVGLIIGNITITRKDDWFMKTFGKKRWRRPIKSLARW >EOY12391 pep chromosome:Theobroma_cacao_20110822:7:1901624:1907204:1 gene:TCM_030905 transcript:EOY12391 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative MLLKIISIVQHFTNRKSQALVCNFFLHFSMGFSSSSCSLLNQLLVISFIFSITTIVLHVNGCFTSIFSFGDSLTDTGNLLQLSLLESSKLSHFDFPPYGRTFFHSPTGRCSDGRLVIDFVAEGLGLPFLPPYFGVQNGRPKNFQKGVNFAVVGATALDDAFFKERGIKNPFTNVSLGVELGLFKEVLPSLCSSSSDCKELLRESLIVMGEIGGNDYNYAFEEGKNPEEIREFVPLVVHTISSAINELIELGAVTFLVPGNLPIGCSPAYLTYFQGSDKGEYDPLTGCLTWLNQFSEYHNELLQQELDQIRELHPHVNIIYADYYNIAMRFYHFPDQFGFTKTIVACCGKGVPYNYSSSMACGDPPLRTSCDDPSSYVSWDGVHFTEATYRWISKAVLKELFTIPYINSLCLPLTLFEQGKELLIHFAIDIHHACKRGATTPRNTRGVLFESSKLPQSAFPPYGRTFFHHPTGRCSDGRLVIDFIAEDFGLPFLPPYFGGENGRPNNFQKGVNFAVEGATALDDEILKERGITNPNTNVTLGVELGFFRDVLSSLCSSSSDCRKLLINSLIVMGEIGGNDYNFAFEEGKSNEETRELVPLVIDTIASAIHELIELGAVTFLVPGNLPIGCLPSYLTNYQGSDEEEYDPLTGCLTWLNQFSEYHNQLLQEELARIQEIHPHVNIFYADYYSAAIRFYLSPKQFGFRKETQRKACCGGGGPYNFNLSAVCGYPLVTSCCDDPSSYVSWDGIHYTEAANRLLSRAILDGLHTNFPISNSLFQSFAVHNRHSNS >EOY12883 pep chromosome:Theobroma_cacao_20110822:7:4577284:4580067:-1 gene:TCM_031386 transcript:EOY12883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTEGLFGVHWIKLVVNLGENQGHDCVGANHAHTTCCTLARSRKGTAIAITFNWQSDLKTCKQGERYTNQWSLNSTNGSNRDLALGWSHFTDTHHSHEDITICLKEGGGDGWPSSSSSSLILDSVSSFREFKPSNFPFRDPSEKVSIRRVDGNGSGRQGKICVRDGELVCEGWGCWSGFFVEEFVGWRCSEAVTEREGGKANGSGSRRQGMGNFWLGEGSCWRFFYIKEFSIGAGRGSHECPLFSLRPQRDFLLSRGFKGSEEEEGCSRRSMMDVVGCIRGRSICCGSKLKEQQVGKSCSIAFGAFESRVPRMISRSAIGSSEK >EOY14072 pep chromosome:Theobroma_cacao_20110822:7:19940041:19940955:-1 gene:TCM_033264 transcript:EOY14072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAPRKESEPNVSKKKHFKFLDRRVEKNGMKELKHLEAVLKALLKRQAKLQAKLDRPGERKPTMEQLQRVNDQLARFNAWTQQYIETKLADSAWDASNKGV >EOY14071 pep chromosome:Theobroma_cacao_20110822:7:19940025:19940833:-1 gene:TCM_033264 transcript:EOY14071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAPRKESEPNVSKKKHFKFLDRRVEKNGMKELKHLEAVLKALLKRQAKLQAKLDRPGERKPTMEQLQRVNDQLARFNAWTQQYIETKLADSAWDASNKGV >EOY11815 pep chromosome:Theobroma_cacao_20110822:7:17046:19450:1 gene:TCM_030498 transcript:EOY11815 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor MLGSLNSTSHDQEEEDPSAPDLQQQQQQPSHSTGFTSKSHSQQATHMRQLLISCAELVSQSDFPAANRLLSILSSNSCLYGDSTERLVHQFTKALSLRINRLHGPGSLLMMMNINYPIAAATATATAIANYDIDPSLQSCYLSLNQITPFIRFAHLTANQAILEAIQVGQQSIHILDFDIMHGVQWPPLMQALAERSANTLHPPPMLRITGTGHDLNILQRTGDRLFKFAQSLGLRFQFHPLLVLNNDPTSVALNLPSTLTILPDEALAVNCMFYLHRLLKDDSRDLRLFLHNIKAMNPAVVTIAEREANHNHPLFLQRFIEALDYYTAIFDSLEATLPPNSRERLAVEQIWFGREIVDIVAAEGENRRERHERLETWEVILRSTGFSNVPLSPFAHSQAKLLLRLHYPSDGYRLQILNNSFFLGWQNRALFSVSSWH >EOY14321 pep chromosome:Theobroma_cacao_20110822:7:24023834:24029911:1 gene:TCM_033701 transcript:EOY14321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase (NADP) isoform 2 MAFHKIKVMNPIVEMDGDEMTRVIWKSIKEKLIYPFLELDIKYFDLGVHNRDSTDDKVTIESTQATLKYNVAIKCATITPDEGRVKEFNLKKMWKSPNGTIRNILNGTVFREPIICKNIPRLVPSWTKPICIGRHAFGDQYRATDIVVQGSGKLKLIFVPDASDEKMELEVFNFQGDGGVALSMYNTDESIHAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYETRWKSKFETEGIWYEHRLIDDMVAYAQKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVYSLSLSLTHINSQTQTHHSYAQISKMWRLRSAQMERLLKQKQPMARLHATTVFIRKEVKPALTA >EOY14320 pep chromosome:Theobroma_cacao_20110822:7:24023457:24032417:1 gene:TCM_033701 transcript:EOY14320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase (NADP) isoform 2 MAFHKIKVMNPIVEMDGDEMTRVIWKSIKEKLIYPFLELDIKYFDLGVHNRDSTDDKVTIESTQATLKYNVAIKCATITPDEGRVKEFNLKKMWKSPNGTIRNILNGTVFREPIICKNIPRLVPSWTKPICIGRHAFGDQYRATDIVVQGSGKLKLIFVPDASDEKMELEVFNFQGDGGVALSMYNTDESIHAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYETRWKSKFETEGIWYEHRLIDDMVAYAQKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRARLDGNARLLDFTEKLEAACVGTVESGKMTKDLALLIHGPRVTRSQYLNTEEFIDAVAKELSKRLHVKANL >EOY12807 pep chromosome:Theobroma_cacao_20110822:7:4234471:4239555:1 gene:TCM_031328 transcript:EOY12807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSIIECLLELSSSTSSYSPFQKLEKLELVSLYNLHVHVKIKRAAAVPASRTPAAAVMIFCLKIFTLIHSTSINKLLPSGFLKDLQHLEEILVSSCKEMQEIIASEEEEENHKGEGTNATITMALPNPRQLPFFDSPKLERIWCKKGVMKVSTMADTIRTDVVDNVLNAKRRDTIGRFLTNLGKFAVDSAASVSLKGFTGGKKLYEILQERFKAQPTPPLLNCKRKSEDDKLMEKMQLAKMEDMNEVKQESKTAGKPVAGSEPMKKKKIPHDMKDLGLQNPDRKRIFIRSRL >EOY12808 pep chromosome:Theobroma_cacao_20110822:7:4235114:4238293:1 gene:TCM_031328 transcript:EOY12808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSIIECLLELSSSTSSYSPFQKLEKLELVSLYNLHVHVKIKRAAAVPASRTPAAAVMIFCLKIFTLIHSTSINKLLPSGFLKDLQHLEEILVSSCKEMQEIIASEEEEENHKGEGTNATITMALPNPRQLPFFDSPKLERIWCKKGVMKVSTMADTIRTDVVDNVLNAKRRDTIGRFLTNLGKFAVDSAASVSLKGFTGFSGASTAGGKKLYEILQERFKAQPTPPLLNCKRKSEDDKLMEKMQLAKMEDMNEVKQESKTAGKPVAGSEPMKKKKIPHDMKDLGLQNPDRKRIFIRSRL >EOY13509 pep chromosome:Theobroma_cacao_20110822:7:8977058:8980784:1 gene:TCM_032113 transcript:EOY13509 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 4 MRCNACWRELEGRAVSTTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDINPNDEWINMAMAGVSPQILMKSSYRSVMFYIGQKELEMQYKMNRIVAQCRQKCEAMQEKFSEKLEQVHTAYQKMAKRCQMMEQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESMKRSAIQPSNNFYVRNEADLFSNPATNMVDGRDPIRRDWSIFSPKTPGPREDVWPARQNSSNSGHFDISGGSPAKQAAIPVDVGNRRGGAHPAFGAGGVNPAMTLRNLIISPIKRPQLSRNRTQIFTL >EOY13508 pep chromosome:Theobroma_cacao_20110822:7:8977089:8980784:1 gene:TCM_032113 transcript:EOY13508 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 4 MRCNACWRELEGRAVSTTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDINPNDEWINMAMAGVSPQILMKSSYRSVMFYIGQKELEMQYKMNRIVAQCRQKCEAMQEKFSEKLEQVHTAYQKMAKRCQMMEQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESMKRSAIQPSNNFYVRNEADLFSNPATNMVDGRDPIRRDWSIFSPKTPGPREDVWPARQNSSNSGHFDISGGSPAKQAAIPVDVGNRRGGAHPAFGAGGVNPAMTLRNLIISPIKRPQLSRNRTQIFT >EOY13507 pep chromosome:Theobroma_cacao_20110822:7:8977077:8980711:1 gene:TCM_032113 transcript:EOY13507 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 4 MRCNACWRELEGRAVSTTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDINPNDEWINMAMAGVSPQILMKSSYRSVMFYIGQKELEMQYKMNRIVAQCRQKCEAMQEKFSEKLEQVHTAYQKMAKRCQMMEQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESMKRSAIQPSNNFYVRNEADLFSNPATNMVDGRDPIRRDWSIFSPKTPGPREDVWPARQNSSNSGHFDISGGSPAKQAAIPVDVGNRRGGAHPAFGAGGVNPAMTLRNLIISPIKRPQLSRNRTQIFT >EOY13511 pep chromosome:Theobroma_cacao_20110822:7:8977278:8980402:1 gene:TCM_032113 transcript:EOY13511 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 4 MRCNACWRELEGRAVSTTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDINPNDEWINMAMAGVSPQILMKSSYRSVMFYIGQKELEMQYKMNRIVAQCRQKCEAMQEKFSEKLEQVHTAYQKMAKRCQMMEQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESMKRSAIQPSNNFYVRNEADLFSNPATNMVDGRDPIRRGPREDVWPARQNSSNSGHFDISGGSPAKQAAIPVDVGNRRGGAHPAFGAGGVNPAMTLRNLIISPIKRPQLSRNRTQIFT >EOY13510 pep chromosome:Theobroma_cacao_20110822:7:8977058:8980784:1 gene:TCM_032113 transcript:EOY13510 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 4 MRCNACWRELEGRAVSTTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDINPNDEWINMAMAGVSPQILMKSSYRSVMFYIGQKELEMQYKMNRIVAQCRQKCEAMQEKFSEKLEQVHTAYQKMAKRCQMMEQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESMKRSAIQPSNNFYVRNEADLFSNPATNMVDGRDPIRRGPREDVWPARQNSSNSGHFDISGGSPAKQAAIPVDVGNRRGGAHPAFGAGGVNPAMTLRNLIISPIKRPQLSRNRTQIFTL >EOY12914 pep chromosome:Theobroma_cacao_20110822:7:4821506:4823791:-1 gene:TCM_031418 transcript:EOY12914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTRGVIGDKWSMRILWVCAIGSAIGLYMVAVERQKQNRDKMMAESLKAIESEGSGEQV >EOY12663 pep chromosome:Theobroma_cacao_20110822:7:3280575:3284759:1 gene:TCM_031173 transcript:EOY12663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MQKLLMLFIGHQLMFTAHLLASAGMDQTICIWNVWSRDQKKARVFSFHNAAVKDVKWSQQGLFVLSCGYDCSSRLIDVEKGIETQTFKDNQVVGVIKFHPDNSNLFLSGGSKGGLRLWDVRTGQVVHEYIRGLGPILDVEFTIDGKQFVSSSDVSGGNVSENSIIVWDISRQVPLSNQVYAEAYTCPCVRYHPFDPYFVAQSNGNYIAIFSSSPPFKLDKYKRYESHRVSGFPVKCNFSLDGEKLVSGSSDGSIYFYNSQSSVLVKKMKAYEQACIDVAFHPILSNVIASCSWNGDVSVFE >EOY12662 pep chromosome:Theobroma_cacao_20110822:7:3280229:3284847:1 gene:TCM_031173 transcript:EOY12662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MDLLCKAYSNISDDEPESEPEPKPVNYNYHPPRPSKRRKPEYPFPMVDLQKREEAPVPGRYISKRERALSGSVPRAAEPNLSQNDPHFIPQPGLGSISDLDLPHHILSSLQHKPKAHTQLSQIPEKLSVALCGHAKAVNAVHWSPTHAHLLASAGMDQTICIWNVWSRDQKKARVFSFHNAAVKDVKWSQQGLFVLSCGYDCSSRLIDVEKGIETQTFKDNQVVGVIKFHPDNSNLFLSGGSKGGLRLWDVRTGQVVHEYIRGLGPILDVEFTIDGKQFVSSSDVSGGNVSENSIIVWDISRQVPLSNQVYAEAYTCPCVRYHPFDPYFVAQSNGNYIAIFSSSPPFKLDKYKRYESHRVSGFPVKCNFSLDGEKLVSGSSDGSIYFYNSQSSVLVKKMKAYEQACIDVAFHPILSNVIASCSWNGDVSVFE >EOY14182 pep chromosome:Theobroma_cacao_20110822:7:21862497:21881493:1 gene:TCM_033455 transcript:EOY14182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylyltransferase superfamily protein, putative isoform 2 PTLKTPSKIASLLTFPSTSININMAEYEIQILRGHELRLMRCALRPPPSSPSPSSQSFASDDSPSPLHAFISNILTSIESGDYLGALSSDAARLVLASPDSDIFSNTPDRVYSDLLDRVESLINEPSIEDAEKACRVVLVVCVAVAALFWFTQCNLTGPVERLPKRPLPMKAWLEESEIVEWENWARNQLMAAGSDLLGKFSYLQYIIFAKMLLLKTRDLLFEASVVSTFGIKSISWWLFRILLIHQRILDERSSSLFDLLQVFMGETSSHFGSSEKVTSYWGSQLQDGEASTIASMVHLEAGVLEYIYARLDPCRLHLESAEVAAGLQLSVTGVLGLRTVHQVEPKAQMVLVANPRSESVNGDICTSIDPGIELSGPSIREASDIFMTPKLVEDGNDFGSNACGGACATLTAVQQAVVLAQCLLIEKSSPYDEMQGKMLQCFCDILRIRWESTRSRTKERALQMMDNLVESIHEPSVGVPLRLPFCYAVYIPTIPALRKQYGNILVSCGLIGEALKIFEDLELWDNLIYCYCQLEKKAAAVELIKVRLSKTPNDPRLWCSLGDITNSDACYEKALEISNNRSARAKRSLARNAYQRGDYETSKTLWESAMALNSLYPGGWFALGAAALKARDVEKALDGFTRAVQLDPENGEAWNNIACLHMFKNKSKESYIAFKEALKYKRDSWQMWENYSHVAFDVGNIGQIVRSESRAE >EOY14181 pep chromosome:Theobroma_cacao_20110822:7:21862568:21883813:1 gene:TCM_033455 transcript:EOY14181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylyltransferase superfamily protein, putative isoform 2 MAEYEIQILRGHELRLMRCALRPPPSSPSPSSQSFASDDSPSPLHAFISNILTSIESGDYLGALSSDAARLVLASPDSDIFSNTPDRVYSDLLDRVESLINEPSIEDAEKACRVVLVVCVAVAALFWFTQCNLTGPVERLPKRPLPMKAWLEESEIVEWENWARNQLMAAGSDLLGKFSYLQYIIFAKMLLLKTRDLLFEASVVSTFGIKSISWWLFRILLIHQRILDERSSSLFDLLQVFMGETSSHFGSSEKVTSYWGSQLQDGEASTIASMVHLEAGVLEYIYARLDPCRLHLESAEVAAGLQLSVTGVLGLRTVHQVEPKAQMVLVANPRSESVNGDICTSIDPGIELSGPSIREASDIFMTPKLVEDGNDFGSNACGGACATLTAVQQAVVLAQCLLIEKSSPYDEMQGWDMAPYIEAIDSQQSSYFILQCFCDILRIRWESTRSRTKERALQMMDNLVESIHEPSVGVPLRLPFCYAVYIPTIPALRKQYGNILVSCGLIGEALKIFEDLELWDNLIYCYCQLEKKAAAVELIKVRLSKTPNDPRLWCSLGDITNSDACYEKALEISNNRSARAKRSLARNAYQRGDYETSKTLWESAMALNSLYPGGWFALGAAALKARDVEKALDGFTRAVQLDPENGEAWNNIACLHMFKNKSKESYIAFKEALKYKRDSWQMWENYSHVAFDVGNIGQALEAIKMVLSMTNNKRIDVELLEGIMQYLEERASVRQSAVTSDDDFSNQTSPDSLVYSVNKSANTEQTAGKLGENEHLVEFLGKILQQIVRSESRAELWGLYARWHRIKGDLTMCCEALLKQVRSYQGSNLWKDRDSFKKFAQASLELCKVYIDISSSTGSRRELLTAEMHLKNILKQAGIFSDTEEFRNLEACLNEVKTKQQQQLESTPT >EOY14179 pep chromosome:Theobroma_cacao_20110822:7:21862497:21884009:1 gene:TCM_033455 transcript:EOY14179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylyltransferase superfamily protein, putative isoform 2 MAEYEIQILRGHELRLMRCALRPPPSSPSPSSQSFASDDSPSPLHAFISNILTSIESGDYLGALSSDAARLVLASPDSDIFSNTPDRVYSDLLDRVESLINEPSIEDAEKACRVVLVVCVAVAALFWFTQCNLTGPVERLPKRPLPMKAWLEESEIVEWENWARNQLMAAGSDLLGKFSYLQYIIFAKMLLLKTRDLLFEASVVSTFGIKSISWWLFRILLIHQRILDERSSSLFDLLQVFMGETSSHFGSSEKVTSYWGSQLQDGEASTIASMVHLEAGVLEYIYARLDPCRLHLESAEVAAGLQLSVTGVLGLRTVHQVEPKAQMVLVANPRSESVNGDICTSIDPGIELSGPSIREASDIFMTPKLVEDGNDFGSNACGGACATLTAVQQAVVLAQCLLIEKSSPYDEMQGWDMAPYIEAIDSQQSSYFILQCFCDILRIRWESTRSRTKERALQMMDNLVESIHEPSVGVPLRLPFCYAVYIPTIPALRKQYGNILVSCGLIGEALKIFEDLELWDNLIYCYCQLEKKAAAVELIKVRLSKTPNDPRLWCSLGDITNSDACYEKALEISNNRSARAKRSLARNAYQRGDYETSKTLWESAMALNSLYPGGWFALGAAALKARDVEKALDGFTRAVQLDPENGEAWNNIACLHMFKNKSKESYIAFKEALKYKRDSWQMWENYSHVAFDVGNIGQALEAIKMVLSMTNNKRIDVELLEGIMQYLEERASVRQSAVTSDDDFSNQTSPDSLVYSVNKSANTEQTAGKLGENEHLVEFLGKILQQIVRSESRAELWGLYARWHRIKGDLTMCCEALLKQVRSYQGSNLWKDRDSFKKFAQASLELCKVYIDISSSTGSRRELLTAEMHLKNILKQAGIFSDTEEFRNLEACLNEVKTKQQQQLESTPT >EOY14178 pep chromosome:Theobroma_cacao_20110822:7:21862253:21885181:1 gene:TCM_033455 transcript:EOY14178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylyltransferase superfamily protein, putative isoform 2 MAEYEIQILRGHELRLMRCALRPPPSSPSPSSQSFASDDSPSPLHAFISNILTSIESGDYLGALSSDAARLVLASPDSDIFSNTPDRVYSDLLDRVESLINEPSIEDAEKACRVVLVVCVAVAALFWFTQCNLTGPVERLPKRPLPMKAWLEESEIVEWENWARNQLMAAGSDLLGKFSYLQYIIFAKMLLLKTRDLLFEASVVSTFGIKSISWWLFRILLIHQRILDERSSSLFDLLQVFMGETSSHFGSSEKVTSYWGSQLQDGEASTIASMVHLEAGVLEYIYARLDPCRLHLESAEVAAGLQLSVTGVLGLRTVHQVEPKAQMVLVANPRSESVNGDICTSIDPGIELSGPSIREASDIFMTPKLVEDGNDFGSNACGGACATLTAVQQAVVLAQCLLIEKSSPYDEMQGWDMAPYIEAIDSQQSSYFILQCFCDILRIRWESTRSRTKERALQMMDNLVESIHEPSVGVPLRLPFCYAVYIPTIPALRKQYGNILVSCGLIGEALKIFEDLELWDNLIYCYCQLEKKAAAVELIKVRLSKTPNDPRLWCSLGDITNSDACYEKALEISNNRSARAKRSLARNAYQRGDYETSKTLWESAMALNSLYPGGWFALGAAALKARDVEKALDGFTRAVQLDPENGEAWNNIACLHMFKNKSKESYIAFKEALKYKRDSWQMWENYSHVAFDVGNIGQALEAIKMVLSMTNNKRIDVELLEGIMQYLEERASVRQSAVTSDDDFSNQTSPDSLVYSVNKSANTEQTAGKLGENEHLVEFLGKILQQIVRSESRAELWGLYARWHRIKGDLTMCCEALLKQVRSYQGSNLWKDRDSFKKFAQASLELCKVYIDISSSTGSRRELLTAEMHLKNILKQAGIFSDTEEFRNLEACLNEDLLSTSDQGLGLALFHLPVLEEKILVERTPLHHGSGCDVQVNLVIIFW >EOY14180 pep chromosome:Theobroma_cacao_20110822:7:21862535:21884720:1 gene:TCM_033455 transcript:EOY14180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylyltransferase superfamily protein, putative isoform 2 MAEYEIQILRGHELRLMRCALRPPPSSPSPSSQSFASDDSPSPLHAFISNILTSIESGDYLGALSSDAARLVLASPDSDIFSNTPDRVYSDLLDRVESLINEPSIEDAEKACRVVLVVCVAVAALFWFTQCNLTGPVERLPKRPLPMKAWLEESEIVEWENWARNQLMAAGSDLLGKFSYLQYIIFAKMLLLKTRDLLFEASVVSTFGIKSISWWLFRILLIHQRILDERSSSLFDLLQVFMGETSSHFGSSEKVTSYWGSQLQDGEASTIASMVHLEAGVLEYIYARLDPCRLHLESAEVAAGLQLSVTGVLGLRTVHQVEPKAQMVLVANPRSESVNGDICTSIDPGIELSGPSIREASDIFMTPKLVEDGNDFGSNACGGACATLTAVQQAVVLAQCLLIEKSSPYDEMQGWDMAPYIEAIDSQQSSYFILQCFCDILRIRWESTRSRTKERALQMMDNLVESIHEPSVGVPLRLPFCYAVYIPTIPALRKQYGNILVSCGLIGEALKIFEDLELWDNLIYCYCQLEKKAAAVELIKVRLSKTPNDPRLWCSLGDITNSDACYEKALEISNNRSARAKRSLARNAYQRGDYETSKTLWESAMALNSLYPGGWFALGAAALKARDVEKALDGFTRAVQLDPENGEAWNNIACLHMFKNKSKESYIAFKEALKYKRDSWQMWENYSHVAFDVGNIGQALEAIKMVLSMTNNKRIDVELLEGIMQYLEERASVRQSAVTSDDDFSNQTSPDSLVYSVNKSANTEQTAGKLGENEHLVEFLGKILQQIVRSESRAELWGLYARWHRIKGDLTMCCEALLKQVRSYQGSNLWKDRDSFKKFAQASLELCKVYIDISSSTGSRRELLTAEMHLKNILKQAGIFSDTEEFRNLEACLNEVKTKQQQQLESTPT >EOY13059 pep chromosome:Theobroma_cacao_20110822:7:5688780:5717234:-1 gene:TCM_031579 transcript:EOY13059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase 44 MCILGHQRINARVFLLGLFLLVVGGVNGFPMNDLIEKLPGQPNVTFRQFSDYIDIDEKAGRSLFYYFVEAEKDPMNLSLTIWLTGGPGCGSVGDGFLSVGPFITTANAHGLQRNPYSWIKVTNLLFIDSPIGAGWSYSNTSSDYEVGDDSTNKDLLTFILQWFEKYPNFKSRDLYLGGSSYAGHFIPNFVNTLLDYNKQSTSFKFNIKGLALGNPLLRNKLDTLAVYDFFWSRGMININLHQQILKECNGIDEDNYSNNATKWSESCQQAMDKAEMAAFIVSSINVAKARRFDVLRDPCDEKWEDLVLGKEVTKVSYEVDMCIPFRADFYFNIPEVQKAFHGNQTNLGYQWKGCFEKSGLKYSDVDKDIDMIPALKKILQQSIPITIFSGDQDAIVPTVGTLNHVNKLAKDMKLNLTKDEAWNHENKGGGWMYSYGNLLTYMTVKGANHHVTFSKPSEALFIFTNIVLNRSH >EOY13922 pep chromosome:Theobroma_cacao_20110822:7:13552178:13552601:1 gene:TCM_032692 transcript:EOY13922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSCMFFDKLQVKAFALEPSPCVEDPMKDGIIDLDGEVFTRGKGTYTCNQKVLMAYNKLQTTVDQGLATLFAFI >EOY12900 pep chromosome:Theobroma_cacao_20110822:7:4714908:4723027:-1 gene:TCM_031406 transcript:EOY12900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein isoform 4 MDRLLKAARTSGSLNLSNRSLREVPVELYRSLDAVGEGEKWWEAVELQKLILAHNNIESLKEDLRNLPLLTVLNVSHNKLTDLPAAIGQLSMLKLLDVSFNSIVAIPEEIGSATSLVKFDCSSNHVKELPCSLGKCSDLSDLKASNNLITSLPEDLKNCSKLTKLDVEGNKLTALSENFFASWTLLTELNASKNLLSGMPENIGCLSRLIRLDLHQNRILSIPPSIMGCSSLVEFYMGNNALSILPEELGSLSRLGTLDVHSNQLNKYPVGACKLCLSVLDLSNNSLTGLPAELGNMTTLRKLLLTGNPLRTLRSSLVNGPPPALLRYLRSRLSEGEDSEATTPAKEEVVTMAARLSLTSKELSLEGMGLSVVPSEVWESGEIIKVNLSRNSIQELPIELSSCLALQRQR >EOY12896 pep chromosome:Theobroma_cacao_20110822:7:4716325:4722725:-1 gene:TCM_031406 transcript:EOY12896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein isoform 4 MDRLLKAARTSGSLNLSNRSLREVPVELYRSLDAVGEGEKWWEAVELQKLILAHNNIESLKEDLRNLPLLTVLNVSHNKLTDLPAAIGQLSMLKLLDVSFNSIVAIPEEIGSATSLVKFDCSSNHVKELPCSLGKCSDLSDLKASNNLITSLPEDLKNCSKLTKLDVEGNKLTALSENFFASWTLLTELNASKNLLSGMPENIGCLSRLIRLDLHQNRILSIPPSIMGCSSLVEFYMGNNALSILPEELGSLSRLGTLDVHSNQLNKYPVGACKLCLSVLDLSNNSLTGLPAELGNMTTLRKLLLTGNPLRTLRSSLVNGPPPALLRYLRSRLSEGEDSEATTPAKEEVVTMAARLSLTSKELSLEGMGLSVVPSEVWESGEIIKVNLSRNSIQELPIELSSCLALQTLILSRNNIKEWPFAILKSLSNLSCLKLDDNPLRQIPSDGFQAISMLHILDLSGNAASLPENPAFSSLPHLKELYLRSVQRKQ >EOY12895 pep chromosome:Theobroma_cacao_20110822:7:4716325:4722725:-1 gene:TCM_031406 transcript:EOY12895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein isoform 4 MDRLLKAARTSGSLNLSNRSLREVPVELYRSLDAVGEGEKWWEAVELQKLILAHNNIESLKEDLRNLPLLTVLNVSHNKLTDLPAAIGQLSMLKLLDVSFNSIVAIPEEIGSATSLVKFDCSSNHVKELPCSLGKCSDLSDLKASNNLITSLPEDLKNCSKLTKLDVEGNKLTALSENFFASWTLLTELNASKNLLSGMPENIGCLSRLIRLDLHQNRILSIPPSIMGCSSLVEFYMGNNALSILPEELGSLSRLGTLDVHSNQLNKYPVGACKLCLSVLDLSNNSLTGLPAELGNMTTLRKLLLTGNPLRTLRSSLVNGPPPALLRYLRSRLSEGEDSEATTPAKEEVVTMAARLSLTSKELSLEGMGLSVVPSEVWESGEIIKVNLSRNSIQELPIELSSCLALQTLILSRNNIKEWPFAILKSLSNLSCLKLDDNPLRQIPSDGFQAISMLHILDLSGNAASLPENPAFSSLPHLKELYLRSVQRKQ >EOY12894 pep chromosome:Theobroma_cacao_20110822:7:4713431:4723169:-1 gene:TCM_031406 transcript:EOY12894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein isoform 4 MDRLLKAARTSGSLNLSNRSLREVPVELYRSLDAVGEGEKWWEAVELQKLILAHNNIESLKEDLRNLPLLTVLNVSHNKLTDLPAAIGQLSMLKLLDVSFNSIVAIPEEIGSATSLVKFDCSSNHVKELPCSLGKCSDLSDLKASNNLITSLPEDLKNCSKLTKLDVEGNKLTALSENFFASWTLLTELNASKNLLSGMPENIGCLSRLIRLDLHQNRILSIPPSIMGCSSLVEFYMGNNALSILPEELGSLSRLGTLDVHSNQLNKYPVGACKLCLSVLDLSNNSLTGLPAELGNMTTLRKLLLTGNPLRTLRSSLVNGPPPALLRYLRSRLSEGEDSEATTPAKEEVVTMAARLSLTSKELSLEGMGLSVVPSEVWESGEIIKVNLSRNSIQELPIELSSCLALQTLILSRNNIKEWPFAILKSLSNLSCLKLDDNPLRQIPSDGFQAISMLHILDLSGNAASLPENPAFSSLPHLKELYLRRMQLLVVPSEIMSLCQLQILDLGQNSLQSIPEGLNSLTSLTELDFSDNNISALPPELGLLEPSLQVLRLDGNPLRSIRRAILDKGTKAVLKYLKDKIPEQ >EOY12897 pep chromosome:Theobroma_cacao_20110822:7:4714908:4723027:-1 gene:TCM_031406 transcript:EOY12897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein isoform 4 MDRLLKAARTSGSLNLSNRSLREVPVELYRSLDAVGEGEKWWEAVELQKLILAHNNIESLKEDLRNLPLLTVLNVSHNKLTDLPAAIGQLSMLKLLDVSFNSIVAIPEEIGSATSLVKFDCSSNHVKELPCSLGKCSDLSDLKASNNLITSLPEDLKNCSKLTKLDVEGNKLTALSENFFASWTLLTELNASKNLLSGMPENIGCLSRLIRLDLHQNRILSIPPSIMGCSSLVEFYMGNNALSILPEELGSLSRLGTLDVHSNQLNKYPVGACKLCLSVLDLSNNSLTGLPAELGNMTTLRKLLLTGNPLRTLRSSLVNGPPPALLRYLRSRLSEGEDSEATTPAKEEVVTMAARLSLTSKELSLEGMGLSVVPSEVWESGEIIKVNLSRNSIQELPIELSSCLALQTLILSRNNIKEWPFAILKSLSNLSCLKLDDNPLRQIPSDGFQAISMLHILDLSGNAASLPENPAFSSLPHLKELYLRRMQLLVVPSEIMSLCQLQILDLGQNSLQSIPEGLNSLTSLTELDFSDNNISALPPELGLLEPSLQVLRLDGNPLRRKKSTSSKL >EOY12899 pep chromosome:Theobroma_cacao_20110822:7:4716172:4723027:-1 gene:TCM_031406 transcript:EOY12899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein isoform 4 MDRLLKAARTSGSLNLSNRSLREVPVELYRSLDAVGEGEKWWEAVELQKLILAHNNIESLKEDLRNLPLLTVLNVSHNKLTDLPAAIGQLSMLKLLDVSFNSIVAIPEEIGSATSLVKFDCSSNHVKELPCSLGKCSDLSDLKASNNLITSLPEDLKNCSKLTKLDVEGNKLTALSENFFASWTLLTELNASKNLLSGMPENIGCLSRLIRLDLHQNRILSIPPSIMGCSSLVEFYMGNNALSILPEELGSLSRLGTLDVHSNQLNKYPVGACKLCLSVLDLSNNSLTGLPAELGNMTTLRKLLLTGNPLRTLRSSLVNGPPPALLRYLRSRLSEGEDSEATTPAKEEVVTMAARLSLTSKELSLEGMGLSVVPSEVWESGEIIKVNLSRNSIQELPIELSSCLALQTLILSRNNIKEWPFAILKSLSNLSCLKLDDNPLRQIPSDGFQAISMLHILDLSGNAASLPENPAFSSLPHLKELYLSSMLT >EOY12898 pep chromosome:Theobroma_cacao_20110822:7:4716325:4722725:-1 gene:TCM_031406 transcript:EOY12898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein isoform 4 MDRLLKAARTSGSLNLSNRSLREVPVELYRSLDAVGEGEKWWEAVELQKLILAHNNIESLKEDLRNLPLLTVLNVSHNKLTDLPAAIGQLSMLKLLDVSFNSIVAIPEEIGSATSLVKFDCSSNHVKELPCSLGKCSDLSDLKASNNLITSLPEDLKNCSKLTKLDVEGNKLTALSENFFASWTLLTELNASKNLLSGMPENIGCLSRLIRLDLHQNRILSIPPSIMGCSSLVEFYMGNNALSILPEELGSLSRLGTLDVHSNQLNKYPVGACKLCLSVLDLSNNSLTGLPAELGNMTTLRKLLLTGNPLRTLRSSLVNGPPPALLRYLRSRLSEGEDSEATTPAKEEVVTMAARLSLTSKELSLEGMGLSVVPSEVWESGEIIKVNLSRNSIQELPIELSSCLALQTLILSRNNIKEWPFAILKSLSNLSCLKLDDNPLRQIPSDGFQAISMLHILDLSGNAASLPENPAFSSLPHLKELYLRSVQRKQ >EOY12745 pep chromosome:Theobroma_cacao_20110822:7:3984826:3988383:1 gene:TCM_031280 transcript:EOY12745 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MEAHQFFHFFCALISLSEIFRFSIALDTLLETQSMSDGETLVSSGQSFELGFFSPGNSKNRYLGIWYKQTPETVSWVANRNNPITGSHGFLTVTKVGLVLSNQTKSVVWSSNTTKVAESPIAQLLDSGNFVVKDNAMASSDSSESYLWQSFDYPSNAWLPGMRINDDFNKGLTSWKSLDDPSLGDYTCRIENPELPQLVVGMGSVRMFRTGYWNGLRFSGLLPFSDPYFTLKLVFNNDELEYMYQPEAHSVDRMISLDNSGLLHYYVFNNVTREWAIIYTEPNDVCDSYGRCGANSICTVQKEPTCECFRGFTPTKPAEWELLNWSSGCRRRMPLICQNGYGFLKISRVKSPDSLEFWLNKTMSTKDCEKECLKNCSCTAYANSNITGKGHGCLMWFGNLVDIKGFTEENRGQDIYIRLHASEIGMEFKKEDIEVPFFDLETLTAATDGFSPEKLVGAGGFGSVYKGSLCAGQDIAVKRLSKNSKQGLEEFKNEVVLIAKLQHRNLVRLLGYCIQGEERILVYEFMPNNSLDYFIFDPKRSALLPWTKRFDIIMGIARGLLYLHQDSRLQIIHRDLKTSNVLLDHNLNAVISDFGLARTFGGDEVQVKTNRVAGTYGYMSPEYAVDGEFSVKSDVFSFGVLMLEILSGKKNRGFTHPDHHHNLLGHAWLLWKTDRALELIDSCLENSCVPSQVLRCIQLSLLCVQKFPEDRPEMSCVVSMLVNEKDILPEPKQPGFFIERRPNDGDAKSKTVESASNNAITLTVQEGR >EOY14208 pep chromosome:Theobroma_cacao_20110822:7:22153020:22158246:-1 gene:TCM_033493 transcript:EOY14208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTSGSNLPEPVPEGRETRASRKGKSCSRDLISALHARMSRVEVAVGDMRDRLDVQEEHVEELNGRDEELKGEVQEMVREMLENVAERNSQLESVATGISTDDRRITVASMYLGDTALLWWRCRYDDRLGGAPVRTWIDFQTELRKQFYPEYAMDEARGKLRRLVQKGDVREYVREFSELALQVGDLGEREALFTFMDGLKPWAKQELQRRGVQDLTLAMAVAEGLIDYSRSDKDRTEPAKPKDKGKGWADKGKQSRDKERGDGKPPSKWKSKSTWKGKSSGSKEDKPKRLKTAGKRAKGLMYADLLVAGQQVEALVDTGASDLFVSEQAAIKLGIKTDRACGWVKTVNSKRVRTKGVAKGVDVQLRQWHGAEDIEVIPMDDYEAVVGVRFLEQIKAIPIPHSDCLCILDPKGQCVVPIRRGRVPPNKALSAIQLAKGVRKGEQTFAVVLSLEDTPGSVVEAPVEEGAAGRGAGHKPLGEPTLTAPGRQSVRGPVQPARPMP >EOY12737 pep chromosome:Theobroma_cacao_20110822:7:3917895:3921692:1 gene:TCM_031271 transcript:EOY12737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METETASNYNLWSKPNETIVPNGHILPSPTGKILMVDEIDFQMKGSRQEISLKPETSTPRLPPAESAKVRKGLSKKDQNHIRHWLQDVDPRHRNGHDLWPQYDAWYESQSTEPFFYWLDIGEGRKLNHGKSLKCIKYAGPIWKLFAVFEKDPLKVALQCWLKTVNSECSFMLINIILEILLVALEQCASPRKLHYSLIAMVVSLVAMFICIMELFDRGSKAKLVFKRQGMRCWFQPPSSTAKPLYSFIDMFALICAIVQYIFSVVAYSFHRQHRENPIKVSIVSVIFIICMAFIIIVEMV >EOY12091 pep chromosome:Theobroma_cacao_20110822:7:894846:899958:1 gene:TCM_030692 transcript:EOY12091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 3 isoform 2 MGPLIAAGNKKPLDLEDVPQLDSSDSVVGAFPNFRNRLESADSDGSGVTALKLVKALFFSAWKDILWTAFFTVTYTVASYVGPYLIDTFVQYLNGQREFKNEGYLLVIAFFVAKLVECLTQRLWFFKLQQVGIRLRAVLVAMIYNKGLTLSCHSKQSHTSGEIINFMTVDAERVGEFSWYMHDPWMVALQVALALVILYKNLGLASIAAFVATVFVMLANIPLGKMLEKFQDKLMESKDKRMKATSEILRNMRILKLQGWEMKFLSKIIELRNVEEGWLKRFVYTNAMTSFLFWVAPSFVSVATFGACIFLGVPLESGKILSALATFRVLQEPIYNLPDTISMIAQTKVSLDRIASFLRLDDLQPDVIEKLPRGSSDTAIEIIDGNFAWDFSSSTATLEDINLKVCHGMRVAVCGTVGSGKSSLLSCILGELPKISGTLKLCGTKAYVAQSPWIQSGKIEENILFGKEMDRERYDRVLEACTLKKDLEILSFGDQTVIGERGINLSGGQKQRVQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLGSLSSKTVIYVTHQVEFLPAADLILVMKDGRITQAGKFNDILNSGTDFMELVGAHKKALSALDTVDAGSVSEKNISEGDGTMGCANGEVQKEENQNNESGKVDDVGPKGQLVQEEEREKGKVGFSVYWKYITTAYGGALVPLILLAQILFQLFQIGSNYWMAWASPVSADVKSPVRSFTLIIVYLALAVASAFSVLARAMLLNTAGYKTATLFFKKMHSCIFRAPMSFFDSTPSGRILNRASTDQSAVDMSIPYQVGAFAFSVIQLLGIIAVMSQVAWQIFIIFIPVVATCIWYQQYYISSARELARLVGVCKAPVIQHFAETILGATTIRSFDQESRFQEANMILMDAFSRPKFHVAGAMEWLCFRLDMLSSITFAFSLFFLISIPEGIIDPAIAGLAVTYGLNLNILQAWVVWNICNMENKIISVERLLQYSSIPSEPALVIETNRPDRSWPSHGEVNIHDLQVRYAPHMPLVLRGMTCTLPGGLKTGIVGRTGSGKTTLIQTLFRIVEPAAGQIIIDGVNISSIGLHDLRSRLSIIPQDPTMFEGTIRSNLDPLEEHSDEQIWEALDKCQLGDGVRKKEGGLDSSAVTENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNLIQTTLREHFSDCTVITIAHRITSVLDSDLVLLLSHGTCRRIRFSR >EOY12090 pep chromosome:Theobroma_cacao_20110822:7:894846:907131:1 gene:TCM_030692 transcript:EOY12090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 3 isoform 2 MGPLIAAGNKKPLDLEDVPQLDSSDSVVGAFPNFRNRLESADSDGSGVTALKLVKALFFSAWKDILWTAFFTVTYTVASYVGPYLIDTFVQYLNGQREFKNEGYLLVIAFFVAKLVECLTQRLWFFKLQQVGIRLRAVLVAMIYNKGLTLSCHSKQSHTSGEIINFMTVDAERVGEFSWYMHDPWMVALQVALALVILYKNLGLASIAAFVATVFVMLANIPLGKMLEKFQDKLMESKDKRMKATSEILRNMRILKLQGWEMKFLSKIIELRNVEEGWLKRFVYTNAMTSFLFWVAPSFVSVATFGACIFLGVPLESGKILSALATFRVLQEPIYNLPDTISMIAQTKVSLDRIASFLRLDDLQPDVIEKLPRGSSDTAIEIIDGNFAWDFSSSTATLEDINLKVCHGMRVAVCGTVGSGKSSLLSCILGELPKISGTLKLCGTKAYVAQSPWIQSGKIEENILFGKEMDRERYDRVLEACTLKKDLEILSFGDQTVIGERGINLSGGQKQRVQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLGSLSSKTVIYVTHQVEFLPAADLILVMKDGRITQAGKFNDILNSGTDFMELVGAHKKALSALDTVDAGSVSEKNISEGDGTMGCANGEVQKEENQNNESGKVDDVGPKGQLVQEEEREKGKVGFSVYWKYITTAYGGALVPLILLAQILFQLFQIGSNYWMAWASPVSADVKSPVRSFTLIIVYLALAVASAFSVLARAMLLNTAGYKTATLFFKKMHSCIFRAPMSFFDSTPSGRILNRASTDQSAVDMSIPYQVGAFAFSVIQLLGIIAVMSQVAWQIFIIFIPVVATCIWYQQYYISSARELARLVGVCKAPVIQHFAETILGATTIRSFDQESRFQEANMILMDAFSRPKFHVAGAMEWLCFRLDMLSSITFAFSLFFLISIPEGIIDPAIAGLAVTYGLNLNILQAWVVWNICNMENKIISVERLLQYSSIPSEPALVIETNRPDRSWPSHGEVNIHDLQVRYAPHMPLVLRGMTCTFPGGLKTGIVGRTGSGKTTLIQTLFRIVEPAAGQIVIDGVNISTIGLHDLRLRLSIIPQDPTMFEGTIRSNLDPLEEYTDEQIWEALDKCQLGDGVRKKEGRLDSSVTENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNLIQTTLREHFSDCTVLTIAHRITSVLDSDMVLLLSHGLVEEYDSPARLLENKSSSFAQLVAEYTVRSNSSMEKFD >EOY12089 pep chromosome:Theobroma_cacao_20110822:7:894206:900757:1 gene:TCM_030692 transcript:EOY12089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 3 isoform 2 MKLFASESPLLMSSASSFDFLLKPMFLRWFSASLHLVLLLLLLVLWVVNRVKEAGGEGSKKRNGWSEDKLVSLSDYVVKTLAWGATCVYLHFSNSDVVLYNKHVSFPSQYLISDVFSVITGLFLCIVGFFGRNEGEDTLLGEPLLHGDSSVGNGVELSKRKGGDTVTPYSNAGIFSILTFSWMGPLIAAGNKKPLDLEDVPQLDSSDSVVGAFPNFRNRLESADSDGSGVTALKLVKALFFSAWKDILWTAFFTVTYTVASYVGPYLIDTFVQYLNGQREFKNEGYLLVIAFFVAKLVECLTQRLWFFKLQQVGIRLRAVLVAMIYNKGLTLSCHSKQSHTSGEIINFMTVDAERVGEFSWYMHDPWMVALQVALALVILYKNLGLASIAAFVATVFVMLANIPLGKMLEKFQDKLMESKDKRMKATSEILRNMRILKLQGWEMKFLSKIIELRNVEEGWLKRFVYTNAMTSFLFWVAPSFVSVATFGACIFLGVPLESGKILSALATFRVLQEPIYNLPDTISMIAQTKVSLDRIASFLRLDDLQPDVIEKLPRGSSDTAIEIIDGNFAWDFSSSTATLEDINLKVCHGMRVAVCGTVGSGKSSLLSCILGELPKISGTLKLCGTKAYVAQSPWIQSGKIEENILFGKEMDRERYDRVLEACTLKKDLEILSFGDQTVIGERGINLSGGQKQRVQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLGSLSSKTVIYVTHQVEFLPAADLILVMKDGRITQAGKFNDILNSGTDFMELVGAHKKALSALDTVDAGSVSEKNISEGDGTMGCANGEVQKEENQNNESGKVDDVGPKGQLVQEEEREKGKVGFSVYWKYITTAYGGALVPLILLAQILFQLFQIGSNYWMAWASPVSADVKSPVRSFTLIIVYLALAVASAFSVLARAMLLNTAGYKTATLFFKKMHSCIFRAPMSFFDSTPSGRILNRASTDQSAVDMSIPYQVGAFAFSVIQLLGIIAVMSQVAWQIFIIFIPVVATCIWYQQYYISSARELARLVGVCKAPVIQHFAETILGATTIRSFDQESRFQEANMILMDAFSRPKFHVAGAMEWLCFRLDMLSSITFAFSLFFLISIPEGIIDPAIAGLAVTYGLNLNILQAWVVWNICNMENKIISVERLLQYSSIPSEPALVIETNRPDRSWPSHGEVNIHDLQVRYAPHMPLVLRGMTCTLPGGLKTGIVGRTGSGKTTLIQTLFRIVEPAAGQIIIDGVNISSIGLHDLRSRLSIIPQDPTMFEGTIRSNLDPLEEHSDEQIWEALDKCQLGDGVRKKEGGLDSSVTENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNLIQTTLREHFSDCTVITIAHRITSVLDSDLVLLLSHGLVEEYDSPARLLENKSSAFAQLVAEYTVRSNSSLEKFD >EOY13580 pep chromosome:Theobroma_cacao_20110822:7:9357614:9370225:1 gene:TCM_032181 transcript:EOY13580 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH2 domain protein A, putative isoform 3 MGENNQIIEEKDYILLKDFKVEIEVEEGKGFILCFWVYMFNPNAFPATILKQVYSETNSSAPLLVLNEKTLMLLPLTCLHNEVPDPGNTALSTEVLKVSTQIEYPQYKWIHVAYEVSTDFVRLHINAEIAGELQLSSLLNKVSMPNDLRKTTVVGITGGNDLQGYIHDAKVLPSTLSIKNQYVQNPPLQLSIDESSASDIEEDNGFWNIVGGKASCRRIFSLDVVLLNAFGQPVNKELEVVASLLYAHNRSPVEKTNDEEAPLLASYDGIEFASSDRPSKLLNGRASFKLKISKLSSKSENRQFCIKFGISKFEGYRFLEDFSPSIRCVSRNRTPRTSTIIWKKTTAVHPLNGSQSFGLDDASLEPRHNTVDEAKLSPTSKRVRSGEAKISTIDQLGEECNSLAWTANQVENGYGSSMEARPENFEEVDNSLSDSESTGARDSALKSVSNTAHSVSDLTIFRYCLGGLTDRSLLLKEIATNASDEEISGFANQVSLYSGCSHHRHQIKITKRLIEEGTKAWNLLSQNNIQVQWESAVFEIEEQFMKIAHCSTRSLTQQDFELLRKIAGCRDYMAQENFEKMWCWLYPVAFTLSSDWINAMWNCTSPKWIEGFITKEEAELSLQGPRGLQEPGTFILRFPTSRSWPHPDAGSLIVTYVGSDYTLHHRLLSLDNPWSAGNECQSKTTSRYAISRT >EOY13583 pep chromosome:Theobroma_cacao_20110822:7:9357576:9367762:1 gene:TCM_032181 transcript:EOY13583 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH2 domain protein A, putative isoform 3 MGENNQIIEEKDYILLKDFKVEIEVEEGKGFILCFWVYMFNPNAFPATILKQVYSETNSSAPLLVLNEKTLMLLPLTCLHNEVPDPGNTALSTEVLKVSTQIEYPQYKWIHVAYEVSTDFVRLHINAEIAGELQLSSLLNKVSMPNDLRKTTVVGITGGNDLQGYIHDAKVLPSTLSIKNQYVQNPPLQLSIDESSASDIEEDNGFWNIVGGKASCRRIFSLDVVLLNAFGQPVNKELEVVASLLYAHNRSPVEKTNDEEAPLLASYDGIEFASSDRPSKLLNGRASFKLKISKLSSKSENRQFCIKFGISKFEGYRFLEDFSPSIRCVSRNRTPRTSTIIWKKTTAVHPLNGSQSFGLDDASLEPRHNTVDEAKLSPTSKRVRSGEAKISTIDQLGEECNSLAWTANQVENGYGSSMEARPENFEEVDNSLSDSESTGARDSALKSVSNTAHSVSDLTIFRYCLGGLTDRSLLLKEIATNASDEEISGFANQVSLYSGCSHHRHQIKITKRLIEEGTKAWNLLSQNNIQVQWESAVFEIEEQFMKIAHCSTRSLTQQDFELLRKIAGCRDYMAQENFEKMWCWLYPVAFTLSSDWINAMWNCTSPKWIEGFITKEEAELSLQGPRGLQEPGTFILRFPTSRSWPHPDAGSLIVTYVGSDYTLHHRLLSLDNVCRYILDFLLFFSLSNCIK >EOY13582 pep chromosome:Theobroma_cacao_20110822:7:9358566:9369728:1 gene:TCM_032181 transcript:EOY13582 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH2 domain protein A, putative isoform 3 MPNDLRKTTVVGITGGNDLQGYIHDAKVLPSTLSIKNQYVQNPPLQLSIDESSASDIEEDNGFWNIVGGKASCRRIFSLDVVLLNAFGQPVNKELEVVASLLYAHNRSPVEKTNDEEAPLLASYDGIEFASSDRPSKLLNGRASFKLKISKLSSKSENRQFCIKFGISKFEGYRFLEDFSPSIRCVSRNRTPRTSTIIWKKTTAVHPLNGSQSFGLDDASLEPRHNTVDEAKLSPTSKRVRSGEAKISTIDQLGEECNSLAWTANQVENGYGSSMEARPENFEEVDNSLSDSESTGARDSALKSVSNTAHSVSDLTIFRYCLGGLTDRSLLLKEIATNASDEEISGFANQVSLYSGCSHHRHQIKITKRLIEEGTKAWNLLSQNNIQVQWESAVFEIEEQFMKIAHCSTRSLTQQDFELLRKIAGCRDYMAQENFEKMWCWLYPVAFTLSSDWINAMWNCTSPKWIEGFITKEEAELSLQGPRGLQEPGTFILRFPTSRSWPHPDAGSLIVTYVGSDYTLHHRLLSLDNVCSPGVREMNAKVKPLQDMLLAEPELSRLGRCQIGEEKLFLTSIFLVRLITC >EOY13579 pep chromosome:Theobroma_cacao_20110822:7:9357614:9370183:1 gene:TCM_032181 transcript:EOY13579 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH2 domain protein A, putative isoform 3 MGENNQIIEEKDYILLKDFKVEIEVEEGKGFILCFWVYMFNPNAFPATILKQVYSETNSSAPLLVLNEKTLMLLPLTCLHNEVPDPGNTALSTEVLKVSTQIEYPQYKWIHVAYEVSTDFVRLHINAEIAGELQLSSLLNKVSMPNDLRKTTVVGITGGNDLQGYIHDAKVLPSTLSIKNQYVQNPPLQLSIDESSASDIEEDNGFWNIVGGKASCRRIFSLDVVLLNAFGQPVNKELEVVASLLYAHNRSPVEKTNDEEAPLLASYDGIEFASSDRPSKLLNGRASFKLKISKLSSKSENRQFCIKFGISKFEGYRFLEDFSPSIRCVSRNRTPRTSTIIWKKTTAVHPLNGSQSFGLDDASLEPRHNTVDEAKLSPTSKRVRSGEAKISTIDQLGEECNSLAWTANQVENGYGSSMEARPENFEEVDNSLSDSESTGARDSALKSVSNTAHSVSDLTIFRYCLGGLTDRSLLLKEIATNASDEEISGFANQVSLYSGCSHHRHQIKITKRLIEEGTKAWNLLSQNNIQVQWESAVFEIEEQFMKIAHCSTRSLTQQDFELLRKIAGCRDYMAQENFEKMWCWLYPVAFTLSSDWINAMWNCTSPKWIEGFITKEEAELSLQGPRGLQEPGTFILRFPTSRSWPHPDAGSLIVTYVGSDYTLHHRLLSLDNVCSPGVREMNAKVKPLQDMLLAEPELSRLGRIIRSH >EOY13581 pep chromosome:Theobroma_cacao_20110822:7:9357576:9369224:1 gene:TCM_032181 transcript:EOY13581 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH2 domain protein A, putative isoform 3 PTSIIEQPVRQNNCLPLLLLLYKETEKFSGFFFVQRKGKTMGENNQIIEEKDYILLKDFKVEIEVEEGKGFILCFWVYMFNPNAFPATILKQVYSETNSSAPLLVLNEKTLMLLPLTCLHNEVPDPGNTALSTEVLKVSTQIEYPQYKWIHVAYEVSTDFVRLHINAEIAGELQLSSLLNKVSMPNDLRKTTVVGITGGNDLQGYIHDAKVLPSTLSIKNQYVQNPPLQLSIDESSASDIEEDNGFWNIVGGKASCRRIFSLDVVLLNAFGQPVNKELEVVASLLYAHNRSPVEKTNDEEAPLLASYDGIEFASSDRPSKLLNGRASFKLKISKLSSKSENRQFCIKFGISKFEGYRFLEDFSPSIRCVSRNRTPRTSTIIWKKTTAVHPLNGSQSFGLDDASLEPRHNTVDEAKLSPTSKRVRSGEAKISTIDQLGEECNSLAWTANQVENGYGSSMEARPENFEEVDNSLSDSESTGARDSALKSVSNTAHSVSDLTIFRYCLGGLTDRSLLLKEIATNASDEEISGFANQVSLYSGCSHHRHQIKITKRLIEEGTKAWNLLSQNNIQVQWESAVFEIEEQFMKIAHCSTRSLTQQDFELLRKIAGCRDYMAQENFEKMWCWLYPVAFTLSSDWINAMWNCTSPKWIEGFITKEEAELSLQGPRGLQEPGTFILRFPTSRSWPHPDAGSLIVTYVGSDYTLHHRLLSLDNPWSAGNEC >EOY12171 pep chromosome:Theobroma_cacao_20110822:7:1189357:1190729:-1 gene:TCM_030749 transcript:EOY12171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLCYPSTPKKLAITVGCFLAGAGLFAIGTHLSYVYIAPQQARTKARDEFVKERLRKKYGKP >EOY13478 pep chromosome:Theobroma_cacao_20110822:7:8714500:8722615:1 gene:TCM_032078 transcript:EOY13478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 42 MAACLGFLGYHCLLVVSLSLVIVLVHGDIHSYNTGHEVVKENEVSSCDFFQGSWVLDNSFNPLYDSSSCPFIGGGFDCQKNGRPDKDYLKYRWQPNGCDLPRFNGNDFLEKYKGKKILFVGDSLSNNMWRSLICMLDAAVPNSNYTFGARGLLSTFSLPDFEVSVNWLKNGFLIDMAYEKIGKVLKLDSISTGNRWLGVDVLIFNSYHWWTHSGRLQTWDYFQFGNKTVKEMDHMEAYKIAMTTWANWVDKNIDPSKTSVFFQGVAAVHLDAKEWNDPNHKDCTEQTEPVKGSTYPGPGVPGEAILKSVLSNMAKPAYLLDITLLTQLRKDGHPSIYAGGGPRFTDCSHWCLAGVPDTWNQLLYAALLQK >EOY12945 pep chromosome:Theobroma_cacao_20110822:7:4975328:4982505:-1 gene:TCM_031450 transcript:EOY12945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNNNSLIKQGNVYTPNSVSERQALWEELQDIIKSTGVPWCLGGNFNVTMKREERIRRSYNVYDIDSFRKFIEDLGLIDMPMIGGEFTYRNFREDEAFSILDRFLVSGKFLNRFSRLVQRRLPSSLSDHNPKVVEEIGMDWGSKPFKFSNHWLDESTFLTMLKKAWEETKGDEGNIRGIWGILKDIKPAIKEWKHRELGNSQRKVEEIEMTIQEAEEALICGDNSVNWRDFVRTKRGELWKLHRDVEREWHQKSRVKWYVEGDRNTKFFHSIASSRRRSNFIPCLTIEDQTIEEPQLIKEKIMEYFQMIYREDKVVGVKEMRGNFKILERGRAEELEWEFTEVEVWEAIESCDRNKAPGPNESIKDYWPISLVGSLYKIIAKDREGGLFFKVDFEKAYDTVNCGFLDFVLDKMRFGGKWRSWIRTCISTARISVLVNRVPTRQFRMRRGLRQRCLLSSFLFNCVTEAFSVLMSEAISVGLCKGIEMGHRGLILSHLQFADDTAIMCKPKWESIKNVKRILRCFQLITSLQINFQKSSLFGVGLEERMVVDSVLRGGLGYILGRGDYLNFWSDEWIEGIILKQLCPRIFTLATNKLGKWENLMNIINVHLVVEGYEDTVMWKWSLSGKYSVSSFCRFLASRDRQVNNLWSKVWQGNVSMKVKVFSWCLLKGKVVVKAELVKRGLLKADSAICVLWDKRVWRLAFFAIVWTIWLMRNEIVFQGKNWDEDQCRDLVRVRVAWRAKAKWPVDFQQLEQNIRCPEVNRLHTRIRESRQMVEWEPPSRGFLKFNVNGAARGNLGQAAIGGVLRDEEGVVKILFSIPIGFFEANTAEVMAIKEAFKLFGASIWVKSHCLIVESDSKNAINVLYSGVGTLALEMINNSLGFFADFLYKLMGLCVLLLDGALTLPCSALQRASLLELCSLRCAGMAGSGDVFLEALALNL >EOY13684 pep chromosome:Theobroma_cacao_20110822:7:10112044:10116037:1 gene:TCM_032324 transcript:EOY13684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 8 MDEAQATSSTATRSYWRWSKKDFFPETSFQTMSSYKTALSQTCPRLTDRLLARSSSTNELVTLQKVSENPMQKCLTWWDLIWLSFGSVVGSGIFVITGQEAHVNAGPAIVLSYAISGLSALLSVFCYTEFAAEIPVAGGSFSYLRIELGDFIAFIAAGNILLEALVGAAGLGRSWSSYFASMINNDSDFLRIKVDSLPKGFNLLDPLAVLVLLVANGIAMSGTKRTSSLNWISSLVSGALIVFVIIFGFIHAKTSNLEPFFPFGAEGVIKAAAVVYWSYTGFDMVANMAEETKKPRRDIPIGLVGSMSGITVVYCLMALALVMMVKYTEIDVNAAYSAAFEQIGMKWAKYLVSICALKGMTTSLLVGSLGQARYTTQIARAHMIPPIFALVHPKTGTPVNATLLVTMISSIVAFFSSLDVLSSVLSFSTLFIFMLIATALLVRRYYVKDVTPKNELTKFLTCLFTIIGSSIGASALWNSHERGWIGYTVAGLLWFLGTLGMVFLSKHRVPKVWGVPLVPWLPSLSIVMNLFLIGSLGFVAFLRFIICSAVMLVYYLLVGLHATYDVAHQNEEVSKIEEILFDFILEKSCILGSNTSRGVSRVEGSRVFQAHKLPGVLQAQKRSGSLQARSFRGVPSTEAPKGVPSVEVPRSVPSAETPKNVPSSKTPRIVTSTKAPGAFQARNSQGCSKCRSV >EOY13045 pep chromosome:Theobroma_cacao_20110822:7:5550314:5556867:-1 gene:TCM_031557 transcript:EOY13045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.17 MATNEYEVDGLKMMEELTINAHQVQEQVLGEILKRNAETEYLKGFLNGQSGKQLFKNNVPVVTYEDIKPYVDRIANGEPSDILLAEPVIEFHRSSGTSGGQPKLIPATVELSKKMAVFHTLSASVLNKHFGDLNRAGKSMELMFVKPEIETPSGLKARSVTTSLFKDNGFRNILPMLYTSPIETILCLDINQSMYCQLLVGLIQRDEVVRIGSIFASTLLRAINFIEDHWKELCSNIKTGYLSDRITDSGCKNALSLIMKPDPELADSIENICGCRSWEGIIRKLWPKAKYIGAVTTGVMRQYTTALDFYSGGLPLVSSFYGCSEAICGINLEPLDKPADVSYTILPNMAYFEFLPVKKHRVSMTQEVQFNGVSEQESIEMNSNNEDIEPVDLVNVKLGQFYELVVTTFTGLYRYRVRDILMVTGFHNNTPQFRFVERENVILSVDADKTSEADLLKAVTEAKTLLEPLGFILTAYTSYGDMSSTPGHYVLFWELKVKEDNDNKELDPKMMAECCSRMEESLNYTYKIYRQQNAIAPLEIRVVKQGTFDALMDYYVSQGASMNQYKAPSCIKSKEALKILDSRVIGKFFSLKTPL >EOY12743 pep chromosome:Theobroma_cacao_20110822:7:3964148:3970091:-1 gene:TCM_046869 transcript:EOY12743 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MARKNISTSQFVYPVFLLILSCHILTFPRAAMDTISPGQYIRNPQIVISADQKFELGFFNLGNSSSYYLGIWYKEIREQTFVWVANRDYAVTASANLTINNDGNLVIRQGKVVYLVTDISSNGNVTATLLDSGNLVVRDENNNTLWQSFDFPTDTILPGMKLGYDKEAGKYWSYVSWKSADDPSFGNFVLDLDHGLLRRILITNGFRTYWTSDGIGDNNMYNFSCVSNGSMDYITYDVHDINVKSRFVMDISGQFKQFRWLERTKKWKRIWSQPRNQCDVYSYCGPFGSCNEKSAPVCSCLQGFEPDSIKNWNSLGFSGGCKRRNALQCVNNTTSKGAGDRFIPLSKVAPPSNPIALDVQSIDDCKSYCLNNCACSAYSYIQHGCSIWIGDLINLRVLSLDYISGKDIYLKLAAAEFSTGNKCRKKEDAENYFNSNHFSDITYCCPANLEVAEEKSQEKGYEFLIGKKWEDLLSFDFSICTSPTNYEQTEVKRLREDKNEVEIPLFSFSSVSAATNNFCAENKLGEGGFGPVYKGKLLKGHEVAVKRLSRRSGQGWNELKNEAMLIAKLQHKNLVKLLGCCIEGDEKILIYEYLPNKSLDFFLFDSTKRSVLDWRTRVSIIEGIAQGLLYLHQFSRLQIIHRDLKASNILLDEYMNPKISDFGMAKIFGGSEPRATNRIVGTYGYMAPEYALEGIFSVKSDVFSFGVLFLEILSGRKNTGFYQSNSLNLLGHVWDLWTNSRPLELMDPILQDSSSANSLIRYVNIALLCVQERAVDRPTMSDVVLMLSNELTFLSTPKQPAFSSVRSMVDNNSPITKPEICSVNEVTVSMMQAR >EOY13968 pep chromosome:Theobroma_cacao_20110822:7:15714454:15723949:-1 gene:TCM_032899 transcript:EOY13968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase PRP16 isoform 1 MFVGASPDARLVSPWMGDRTPRSAGTSSGASPWDYASPSPVPIRASGASIKSSSSRYGRTSHQVSFSRESSQSFEDEGDKTGPAEEQNYEITESMRLEMEYNSDRAWYDREEGNTMFDADSSSFFLGDEASFQKKEAELAKRLVRRDGTRMSLAQSKKLSQLTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRIVFTKQAEPIMPIKDPTSDMAIISRKGSSLVREIHEKQSMNKSRQRFWELAGSKLGDILGVEKTAEQIDADTAEVGEHGEIDFKEDAKFAQHMKKGEAVSEFAKSKSIAEQRQYLPIYSVRDELLQVIRENQVVVVVGETGSGKTTQLTQYLHEDGYTINGVVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPSTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLSTDVLFGILKKVVAQRRDFKLIVTSATLNAQKFSNFFGSVPIFQIPGRTFPVNILYSKTPCEDYVEAAVKQAMTIHITSPPGDILIFMTGQDEIEAACYALAERIEQLISSTRKGVPKLLILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPKMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTESAYLNEMLPAPVPEIQRTNLGNVVLLLKSLKIENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTDIGWKMVEFPLDPPLAKMLLMGEQLQCIDEVLTIVSMLSVPSVFFRPKDRVEESDAAREKFFVPESDHLTLLNVYQQWKANQYRGDWCNDHFLHVKGLRKAREVRSQLLDILRTLKIPLTSCGYDWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPEYVVYHELILTTKEYMQCVTAVEPQWLAELGPMFFSVKESDTTLLEHKKRQKEEKTAMEEEMENLRKAQAEAERESKEKERQKRAKQQQQVSMPGLRQGSSTYLRPKKFGL >EOY13967 pep chromosome:Theobroma_cacao_20110822:7:15713832:15723991:-1 gene:TCM_032899 transcript:EOY13967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase PRP16 isoform 1 MESFDVNKTMETLEPEVSNGRGGLFVPKDRPKYVAPIGKKSVLGLDVRANEKRGDSKVDDGFKVPRENIASIAASIDEDERAESFGVEETKSTVTNGTRSHTSRRYRDKAASATTNAESTVTVERRGSDDVFGTPRSSEHRSSDVPTSSSRSSRSVSSNRLRHERDERDRERRDFSDDSRSDSRNARKRHYYEDRRDTHGGYEEYYGRSGSRYESRKRTPGRSDWDDGKWEWEDTPHRDNYSGSNRRHQPSPSPMFVGASPDARLVSPWMGDRTPRSAGTSSGASPWDYASPSPVPIRASGASIKSSSSRYGRTSHQVSFSRESSQSFEDEGDKTGPAEEQNYEITESMRLEMEYNSDRAWYDREEGNTMFDADSSSFFLGDEASFQKKEAELAKRLVRRDGTRMSLAQSKKLSQLTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRIVFTKQAEPIMPIKDPTSDMAIISRKGSSLVREIHEKQSMNKSRQRFWELAGSKLGDILGVEKTAEQIDADTAEVGEHGEIDFKEDAKFAQHMKKGEAVSEFAKSKSIAEQRQYLPIYSVRDELLQVIRENQVVVVVGETGSGKTTQLTQYLHEDGYTINGVVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPSTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLSTDVLFGILKKVVAQRRDFKLIVTSATLNAQKFSNFFGSVPIFQIPGRTFPVNILYSKTPCEDYVEAAVKQAMTIHITSPPGDILIFMTGQDEIEAACYALAERIEQLISSTRKGVPKLLILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPKMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTESAYLNEMLPAPVPEIQRTNLGNVVLLLKSLKIENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTDIGWKMVEFPLDPPLAKMLLMGEQLQCIDEVLTIVSMLSVPSVFFRPKDRVEESDAAREKFFVPESDHLTLLNVYQQWKANQYRGDWCNDHFLHVKGLRKAREVRSQLLDILRTLKIPLTSCGYDWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPEYVVYHELILTTKEYMQCVTAVEPQWLAELGPMFFSVKESDTTLLEHKKRQKEEKTAMEEEMENLRKAQAEAERESKEKERQKRAKQQQQVSMPGLRQGSSTYLRPKKFGL >EOY13966 pep chromosome:Theobroma_cacao_20110822:7:15714703:15723401:-1 gene:TCM_032899 transcript:EOY13966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase PRP16 isoform 1 MESFDVNKTMETLEPEVSNGRGGLFVPKDRPKYVAPIGKKSVLGLDVRANEKRGDSKVDDGFKVPRENIASIAASIDEDERAESFGVEETKSTVTNGTRSHTSRRYRDKAASATTNAESTVTVERRGSDDVFGTPRSSEHRSSDVPTSSSRSSRSVSSNRLRHERDERDRERRDFSDDSRSDSRNARKRHYYEDRRDTHGGYEEYYGRSGSRYESRKRTPGRSDWDDGKWEWEDTPHRDNYSGSNRRHQPSPSPMFVGASPDARLVSPWMGDRTPRSAGTSSGASPWDYASPSPVPIRASGASIKSSSSRYGRTSHQVSFSRESSQSFEDEGDKTGPAEEQNYEITESMRLEMEYNSDRAWYDREEGNTMFDADSSSFFLGDEASFQKKEAELAKRLVRRDGTRMSLAQSKKLSQLTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRIVFTKQAEPIMPIKDPTSDMAIISRKGSSLVREIHEKQSMNKSRQRFWELAGSKLGDILGVEKTAEQIDADTAEVGEHGEIDFKEDAKFAQHMKKGEAVSEFAKSKSIAEQRQYLPIYSVRDELLQVIRENQVVVVVGETGSGKTTQLTQYLHEDGYTINGVVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPSTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLSTDVLFGILKKVVAQRRDFKLIVTSATLNAQKFSNFFGSVPIFQIPGRTFPVNILYSKTPCEDYVEAAVKQAMTIHITSPPGDILIFMTGQDEIEAACYALAERIEQLISSTRKGVPKLLILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPKMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTESAYLNEMLPAPVPEIQRTNLGNVVLLLKSLKIENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTDIGWKMVEFPLDPPLAKMLLMGEQLQCIDEVLTIVSMLSVPSVFFRPKDRVEESDAAREKFFVPESDHLTLLNVYQQWKANQYRGDWCNDHFLHVKGLRKAREVRSQLLDILRTLKIPLTSCGYDWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPEYVVYHELILTTKEYMQCVTAVEPQWLAELGPMFFSVKESDTTLLEHKKRQKEEKTAMEEEMENLRKAQAEAERESKEKERQKRAKQQQQVSMPGLRQGSSTYLRPKKFGL >EOY13515 pep chromosome:Theobroma_cacao_20110822:7:8991247:8999663:1 gene:TCM_032117 transcript:EOY13515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.17 MRDLITVAHRGDAEVDAKPCGVMSAYRDVAAVVTGSMGVPGRDTLSPVRLPLSLSKFATVLVHSHCCPPLLSSSSSLFFDLMAPNDGIIETGWKMIEDLTTNAYQIQQQLLEEILAQNVHTEYLDKFLNGSSDKKLFKEKVPIINYEDIKTYMEKIANGEPSDILLAEPLLELTLSSGTSGGKNKIMPMTAKELDKRTIIRNTLPWSVINKFADGLEQGKGMYLFFVMPDMRTPSGLRARPSLTSCLKSSYFQKQISSVYTSPYATILCLDINQSVYCQFLCGLLQRDEVLRVGASFANVLGRAIRFLKDYWRELCTNIRTGQLSHWITDPGCRNALSLILNKPNPQLADSIEGTCSKKSWEGIIKELWPRTKFVDVIITGSMAQCIPTLEFYCGGLPLVSSYYAASEGYLGINLEPLSKPSNISYTLLPNMAFYEFIPIKENHQELADQPQHLEGVYDDQDCKETLNKKEEIEPVELMDVKLGQCYEIVVTTFTGLYRYKIGDILMVSGFHNNAPQFRFMKRQGVRLSIDAERTGEDGLWKAVTQALLLIEPLGFILTDYTSYADTCSTPGHYVLFWELKMKGSDDLPEINPKITEECCYIAEESLDYLYRVLRKDNRIGPLEIRVVKHGTFDALMDFFIAKGTSVGQYKTPRCIKSEEALKVMDAGVVGGYFSQKAPKQG >EOY13556 pep chromosome:Theobroma_cacao_20110822:7:9178500:9194859:-1 gene:TCM_032154 transcript:EOY13556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRDAMRPEVAFNHGSENESSFVEEDSNPNASSFCSLLTNAEEPLWVGCTKHSTLSAVSQLLNVKAEYNWSESCFDRLLEIIKNMLPSDENLPINFYRMKKKVAKLGLGYIKIDACKNNCMFFYEQFATFEHCQVCGHPRYKQKNSSVRRQKKIPYKILRYLPLIPRLQRLYMSCKTVEHMTWHAQHHSDDGLLRHLVDGEEILTRLNSLPHLPFRTKCVIDVKGRTKDNIKAQQDLKVYYKRPKLELVENNGKLYKPKAAYTLNKEEIRNDLCATEIPIDHMETLQGKICKTICKLEKIFPPGFVDSMEHLSIHLPYEAKVFATVEEESKNRASVEGSICEAYVIEEVSSLCSWYFEPAVRTRVNRVPHNDDGGEVDYMGRLSIFTHPGRSFGSCDKSQFLDEDELYTADLSRSRGYYDKIFDEIVKGDVVKISEEELDKVAKHNEEIDQRIIEISYGPERMIRCYSGYFVSGFKFHTLDYGQNRKTMNSGVCIKGSFYNDRERDFYGILVDIIELEYFGIGNRVVLFKCHWFDTEKGIKVDRLHGLVDVNYNSILASNEPFVLAAQAHQVYYNSYPSRRRDRRDWWAVFKTKARSRFQIPISGDREIESDLNEGVYQEDLSNSITSTQLEEVDLTELVSGDYEKVNLSIEDEEDDIDKDEDEEDDMEGEDNEDDDEDEYEDEDDDEDEYEDEDDNEDEYEDEDEDEDHVKHNDCETYNDDRTMVKGKQSKPRPRSSYASASGNANEESSRSRGRGPSVGLQTLVDPSDRLRITLIGDSTFFKRGVTSTITRIIKNHFHGPWSTWRKVPNDIKELMFQKFQAIELKRDVTFLEVFNRTHKRSGGHGDFIDNKSKSTSEMYNSVLSQKYGDESSSQPEFNPHAWTKAIGGKETTRTHVYGFGTRVPITALLTGTQSNVATSESTCGPINSNFNSPTNALEEKVENLAQNLNKIRDKIRGEIREEMRNVMAEGMSEFMARMETMFMSNARSTLNDAGPSRLDK >EOY13820 pep chromosome:Theobroma_cacao_20110822:7:11185046:11209338:1 gene:TCM_032475 transcript:EOY13820 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative isoform 2 MEKQCKHSCDSAPETLEWIKAIVDFVKPYSFLINAHVVNFFKDRLWEAVDKEWIECLSKEAVENLLLIPSGVVQDHWPTSLKDFILTLKSLVFPREQADLGKVFPGFHTTSLTSVLAQGMNLKKKHEVEVLSAIVSSIASTMTADAVIDVGAGQGYLAQVLAFEYHHSVVAIDACSHHGKVTDARAKRIKKHYTAQMWKNGSGNKKLNVPQTITCRIMSLETLKALTTLLPHKGDVEQIEQDLEKFGLTGNKSSLVLAGLHACGDLSVTMLKTLLECEEVRAVISIGCCYNLLSEEGFENAGIQYGFPMSCGVKTVSFSLGKSSRDLACQSAERWKGLGKDAGLHNFELHAFRAAFQMVLHKYYPEVVIASPSIGRQGKALRRKQQRRRMESELHDEESTYSSPPQRPSNMGEACSIKQSGESGAGT >EOY13819 pep chromosome:Theobroma_cacao_20110822:7:11183039:11210874:1 gene:TCM_032475 transcript:EOY13819 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative isoform 2 MEKQCKHSCDSAPETLEWIKAIVDFVKPYSFLINAHVVNFFKDRLWEAVDKEWIECLSKEAVENLLLIPSGVVQDHWPTSLKDFILTLKSLVFPREQADLGKVFPGFHTTSLTSVLAQGMNLKKKHEVEVLSAIVSSIASTMTADAVIDVGAGQGYLAQVLAFEYHHSVVAIDACSHHGKVTDARAKRIKKHYTAQMWKNGSGNKKLNVPQTITCRIMSLETLKALTTLLPHKGDVEQIEQDLEKFGLTGNKSSLVLAGLHACGDLSVTMLKTLLECEEVRAVISIGCCYNLLSEEGFENAGIQYGFPMSCGVKTVSFSLGKSSRDLACQSAERWKGLGKDAGLHNFELHAFRAAFQMVLHKYYPEVVIASPSIGRQGKALRRKQQRRRMESELHDEESTYSSPPQRPSNMGEACSIKQSGESGAVDSSGIRLDVDALFNKMYLHTSSRCEETIPDDKYSLFEKFCQSGLCRLGLKPSDDINFRGIWKEVEPYVDLIGVYWSLRAAFGPLLETFILLDRLLFLQEQAGGLTISRHRFSF >EOY13423 pep chromosome:Theobroma_cacao_20110822:7:8247296:8247734:1 gene:TCM_031997 transcript:EOY13423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALFSKTIILIIAIVLLCHVPCFQARKALSKENMDGPVLEQSMIVSANPKVLIPPSIPAKSGHGTAVYEINITKHIALSGESVPSPGAGN >EOY12848 pep chromosome:Theobroma_cacao_20110822:7:4403605:4406565:1 gene:TCM_031361 transcript:EOY12848 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein, putative isoform 1 MSDYLPQEVILEILRRLPVKSLVKCRSVCKAWNSLIKSPSFISSHLQTALSKPNDHLLLLRLFENDKESYFLHFDNDDFDEYKQLHFPFKSNSPWFRLVGSCNGLVCLQDGFFPLDSVELILWNPSIQKYITLPKPGVTCLSGRAYNSTLGFGFDSRTNDYKLLNVVSMSVGEEAETLTEVYLFSLDGNSWKRVTAISPKYGIEGHEFSAFVNGAVHWLGYQRGKDGGFRNMVLGFDISTEKFNVIRLPESLVDLCPMDLSIMKYEESSIAVLKRDWEDGEQLDMWVMKEYGVNESWTKVLHLTDQSGESLPRVLGFRKNREVLLEVDGGELASLDLNCRQMENLGIEAEAGFLFVGSYVESMALLDKGIDTGSLNDANHVNDSSDSDASSEGESEMT >EOY12850 pep chromosome:Theobroma_cacao_20110822:7:4403668:4406842:1 gene:TCM_031361 transcript:EOY12850 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein, putative isoform 1 MSDYLPQEVILEILRRLPVKSLVKCRSVCKAWNSLIKSPSFISSHLQTALSKPNDHLLLLRLFENDKESYFLHFDNDDFDEYKQLHFPFKSNSPWFRLVGSCNGLVCLQDGFFPLDSVELILWNPSIQKYITLPKPGVTCLSGRAYNSTLGFGFDSRTNDYKLLNVVSMSVGEEAETLTEVYLFSLDGNSWKRVTAISPKYGIEGHEFSAFVNGAVHWLGYQRGKDGGFRNMVLGFDISTEKFNVIRLPESLVDLCPMDLSIMKYEESSIAVLKRDWEDGEQLDMWVMKEYGVNESWTKVLHLTDQSGESLPRVLGFRKNREVLLEVDGGELASLDLNCRQMENLGIEAEAGFLFVGSYVESMALLDKGIDTGSLNDANHVNDSSDSDASSEGESEMT >EOY12849 pep chromosome:Theobroma_cacao_20110822:7:4403668:4406842:1 gene:TCM_031361 transcript:EOY12849 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein, putative isoform 1 MSDYLPQEVILEILRRLPVKSLVKCRSVCKAWNSLIKSPSFISSHLQTALSKPNDHLLLLRLFENDKESYFLHFDNDDFDEYKQLHFPFKSNSPWFRLVGSCNGLVCLQDGFFPLDSVELILWNPSIQKYITLPKPGVTCLSGRAYNSTLGFGFDSRTNDYKLLNVVSMSVGEEAETLTEVYLFSLDGNSWKRVTAISPKYGIEGHEFSAFVNGAVHWLGYQRGKDGGFRNMVLGFDISTEKFNVIRLPESLVDLCPMDLSIMKYEESSIAVLKRDWEDGEQLDMWVMKEYGVNESWTKVLHLTDQSGESLPRVLGFRKNREVLLEVDGGELASLDLNCRQMENLGIEAEAGFLFVGSYVESMALLDKGIDTGSLNDANHVNDSSDSDASSEGESEMT >EOY12965 pep chromosome:Theobroma_cacao_20110822:7:5070892:5148834:-1 gene:TCM_031474 transcript:EOY12965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNSYVVFGVLVIMASGAVMARDVDPIKANNCETKMTLHCVNEVFASIFKTGIVTDHCCIELIGLGKFCHDALIKKTLENPLFKNNDTSVILSRGAQVWNKCTLVKKDVSPSPSPYKEG >EOY11843 pep chromosome:Theobroma_cacao_20110822:7:99476:103815:1 gene:TCM_030515 transcript:EOY11843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 8 MATLLRKVWESVSNRSCSNSDSATHRATRIADASSSTITTKSSSLGALFDEIPLDILVQIVRLVGPKDAVKLSCVNRAWRLLVSDNRLWMYFLQNHHHDPWDSVFFAELNLRSGYPLQTFPSQTGELSFMRIYGQRSQVPGSVIIDGGSGYCKFGWSKYACPSGRSATFLEFGNIESPMYSRLRHFFATIYSRMQVKPHTQPILLSLPICHYDDTESAKASRRQLKEAIHTVLFNMNVPAVCAVNQATLALYAARRTSGIVVNVGFQVTSVVPILHGKVMRKVGVEVIGLGALKLTGFLRELMQQNNINFESLYTVRTLKENLCYVAADYKTELSKDIQASLEVPAEGWFTLSKERFQTGEILFQPRIAGVHAMGLHHAVALCMEHCHTAELTGDDAWFKTIVLSGGTACLPGLAGRLEKELHEFLPPSLSNGIKVIPPPHGADTAWFGAKFISNLSTFPGSWCITKKQFRRKSRVTLIW >EOY14026 pep chromosome:Theobroma_cacao_20110822:7:17897243:17900708:1 gene:TCM_033091 transcript:EOY14026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEQKPSIDKKSPVKIDHNMMFCIGNHEVKPSIDTKRTFIVTHAFESLGKTDHNLMFASGNMKRKPSIDKKSPLIATHTF >EOY13673 pep chromosome:Theobroma_cacao_20110822:7:10046870:10047488:1 gene:TCM_032314 transcript:EOY13673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSWDFASGACDLLRMRVSSVVGATSMNCTLGPQLAFGCFERPLELIFYALMSVGALVFGSGFFCSDESPGSRTIVSLGQLSCHQESLVNGDLRLAVAG >EOY12721 pep chromosome:Theobroma_cacao_20110822:7:3700855:3734428:-1 gene:TCM_031246 transcript:EOY12721 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MTDDMGQDGQDLYIRLAASEIGLTRKNRAMDCDERENEEMELPLFNFGTIANATNKFSIENLLGRGGFGSVYKGTLAEGQHIAVKRLNILLDNAMNPKISDFGLAKTFWGDQTEANTNKVVGTYGYMSPEYAIDGVFSMKSDVFSFGVLVLEIVSGKKNRGFSHPDHDHNLLGHAWRLWTERRPMELIDETLRDFCLPSEVQRCIHVGLLCVQQRPEDRPNMSFVIVMLGSESALPQPKQPGFFTGRNLPEAESSTSNCKLSSANECTVTLLEPRYQRGGSGCPLLFSDLIDMRDVEQNGQDLYIRLAASEIEDESDLNSDEMVDEISMRGTRCLDDIYARCHLAFIEPSSYAEAFTDEHRKQDIKVEMIMIRINKTWLLVDKPKDNNVIGVKWIFRTKLNPNGSVNKYKARLVVKGFTHVYGVDYLETYAPVARHDTIRTATAVDTLSPSQSIKDGETLVSADGSFELGFFSPTVSSTSRFLGIWYKKVSKRTVVWVANRETAISDDKGVLLLSNHGSLSLLNSTNSTVWNENWEELSERFEWFLSSWKSTDDPAPGQYFVRINISGYPQLVIEKGSKIAYRTGSWNGLRFIGMRPNAIFSLKLEFELNENEVYYTTEVKNNSVVIRIMLNPSGFGQILLWSDKQRDWESLSTSNLDQCENYALCGTYAACNGNDSPTVCSCLEGFTPKFVGKWNSGIWYDGCVRRTPLVCNNGDSFLKRTGLKFPDTSHSKVNTTMNIKECRQLCLSDCSCTAYTNSDIRKGGSGCLLWFGDLSDMRDDMGQDGQDLYIRLAASEIANIVQKRQSWEKKRVAIIVGSVIIGMGMLMLPVLCIRWRKRNKKGLTRKNHSMDCDERENEELELPLFNFGTIANATNNFSIENLLGRGGFGSVYKGTLAEGQHIAVKRLSKNSGQGLKEFKNEVILIAKLQHRNLVKLLGCCIQGDEKLLIYEYMANKSLDYFIFDQTRSKLLDWSKRVNIIGGIARGLLYLHQDSRLRIIHRDLKSGNILLDNAMNPKISDFGLAKTFWGEQTEANTNKVVGTYGYMSPEYAIDGVFSMKSDVFGIGVLVLEIVSGKKNRGFSHPDHDHNLLGHAWKL >EOY14105 pep chromosome:Theobroma_cacao_20110822:7:20829169:20833806:1 gene:TCM_033353 transcript:EOY14105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase isoform 1 MATKKSVGALKEADLKGKRVFVRVDLNVPLDDNFNITDDTRIRAAVPTIKYLMGHGSKVILSSHLGRPKGVTPKYSLKPLVPRLSELLGVEVKMANDCIGAEVEKLVAEIPDGGVLLLENVRFYKEEEKNVPEFAKKLASLADVYVNDAFGTAHRPHASTEGVAKFLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILLLGGGMIFTFYKAQGYSVGSSLVEEDKLELATSLLEKAKAKGVSLLLPTDVVIADKFAPDANSKVVSASEIPDGWMGLDIGPDSINTFSEALDTTKTIIWNGPMGVFEFEKFAAGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >EOY14106 pep chromosome:Theobroma_cacao_20110822:7:20829220:20833805:1 gene:TCM_033353 transcript:EOY14106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase isoform 1 MATKKSVGALKEADLKGKRVFVRVDLNVPLDDNFNITDDTRIRAAVPTIKYLMGHGSKVILSSHLGRPKGVTPKYSLKPLVPRLSELLGVEVKMANDCIGAEVEKLVAEIPDGGVLLLENVRFYKEEEKNVPEFAKKLASLADVYVNDAFGTAHRPHASTEGVAKFLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILLLGGGMIFTFYKAQGYSVGSSLVEEDKLELATSLLEKAKAKGVSLLLPTDVVIADKFAPDANSKVVSASEIPDGWMGLDIGPDSINTFSEALDTTKTIIWNGPMGVFEFEKFAAGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >EOY14104 pep chromosome:Theobroma_cacao_20110822:7:20829143:20833926:1 gene:TCM_033353 transcript:EOY14104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase isoform 1 MATKKSVGALKEADLKGKRVFVRVDLNVPLDDNFNITDDTRIRAAVPTIKYLMGHGSKVILSSHLGRPKGVTPKYSLKPLVPRLSELLGVEVKMANDCIGAEVEKLVAEIPDGGVLLLENVRFYKEEEKNVPEFAKKLASLADVYVNDAFGTAHRPHASTEGVAKFLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILLLGGGMIFTFYKAQGYSVGSSLVEEDKLELATSLLEKAKAKGVSLLLPTDVVIADKFAPDANSKVVSASEIPDGWMGLDIGPDSINTFSEALDTTKTIIWNGPMGVFEFEKFAAGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >EOY12249 pep chromosome:Theobroma_cacao_20110822:7:1478611:1479496:-1 gene:TCM_030812 transcript:EOY12249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFCLCTICHMPYLIKCQRPYGITNLIKCHMPYGLTLLSKFVPTRIRLQSKVIRTHLKPEPGYRRYSHQANSKENHEDFLHLARNNDPVAEEKAGAAEGKFERVSKQKGRAEEEAKSGDQGVASQTLEKIHDGAAEALSSDYKVDPVKSRYKEHVPRNNYHKTGHLS >EOY14243 pep chromosome:Theobroma_cacao_20110822:7:22460868:22479042:-1 gene:TCM_033537 transcript:EOY14243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase 21, putative MSSKGECHVLRPVANFSKNIWRDQFPSLPSSDSVFDSLTKEIIPLKEKVKEMLKASTADPMENVKFIDTLLRLGVSYHFENDIEMQLERIFNSQENLFHKDDYDLNSTSIAFRVSRLHGFKMSCGVFEKFKDGSVGKFKESLVDDIRGMLSLYEAAYLRVHGELILEEAIAFTKANLKPLAAKSSPHLAKQIMNALDNPLNKCPPRLEARNYISFYEEEESRNETLLTFAKLDFNRVQVLHRQEISQIARFWEDYDFSSELSYARERYVEVYTWINSLYFEPRYARWRIILTKMLVLMSILDDTFDAYGTPQELQRFTDALKRWEISALDELQDYTKVICKAVLDLFDEIEDEARKEGRSYTVPYAKDAFIGLINNYQAEVKWCHDGYVPTFEEYMRVAMKTSTYDPIITTSFIGMGTIAGLEAFEWLQTEPRIMTAANMIGRIMDDIASHKFEQLREHCPSSVECYMKQHNLSEKDALHDLKKKLEDGWKDINEECMRPTAIPRDLLLRILNFARVTYLFYKHGDGYTDPDPYMKDDIRALFVDPVRI >EOY14024 pep chromosome:Theobroma_cacao_20110822:7:17620256:17622260:1 gene:TCM_033069 transcript:EOY14024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMAARMDDIQKVVEGRPTVQESPSSQGQAECQHLEVEKGHLEISLPDFLKLKPSSFSRSDASEKSQIFLNKMEEICKALGCSSVRLVELAAFRLEDVAQEWYSSLCRGRPTDAAPLTWSEFSTAILDQFLPLSVPNARAGEFEALVQTSSMTVSDYDIKFTQLSRTYSAAVDYAQWIKMRTNESRAVKDKAKRAKTKGYQSHRNFSSGISSSSHQGPQRESRLPERGSDVASANDSRQSSQVINPCSTCGRNFPMTHQSQGSARGTTQPVSSASSVVTLSDREASGSRGRGAVTSSQGRPSKSGRQSFAGRGQARVYALTP >EOY13494 pep chromosome:Theobroma_cacao_20110822:7:8834658:8848566:1 gene:TCM_032096 transcript:EOY13494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSWKILRHTSTFSRQCFFTQKQLVGMAWSTTLLHLQFKFPPVSSHQLRRSLPKKSPIFLNPNPVIPNSKPPKMQFLHRPNEFPGHGAVPRAMDIIQSSPPTWQSAFLSNFVIFVVGSPLLVAGLSLSGICAAFSLGTLTWRAFGSSGFLHVASYFVIVSPHKVYGFYLNYGI >EOY13426 pep chromosome:Theobroma_cacao_20110822:7:8284318:8289458:-1 gene:TCM_032001 transcript:EOY13426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEAVKPSAVTPSKSKWVRTLSKVLHLHAAGAGIVPDDGVQKEPKEADEWNDGKTTKRLSQKFDRLHNEELERRVASEALIAKIFATISAIKAGYAQLQHAQSPYDAEGIQTADRLIVSDLKKLSELKQCFLKKQYDPSPEHSMLLAEIQEQKSLSKTFEIMGKKLESQLRLKESEIIFLRESLDESNKQNKLLEKRLNQSGQLFVLDNLHLSGLGPSHFMTVLRQTVKSIRSFVKLMIDQMKSADWDINSAANSIERAVIYWKADDKCFAFESFICREMFKAFHHPYFFLLGDSVPEGKKHPQVFFERFMELKSTKAKEYLATKPKSTFAKFCRIKYLQVVHPKMESSFFGNLSIRDMVSSYQFPDTTFFTLFADMAKRVWLLHCLAFSFVPEASIFQISKGCRFSEVYMESVAEEAFLSSEIKPQSEPRVAFTVVPGFRIGKTIIQCQVYLSQLKTR >EOY13428 pep chromosome:Theobroma_cacao_20110822:7:8284874:8289142:-1 gene:TCM_032001 transcript:EOY13428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEAVKPSAVTPSKSKWVRTLSKVLHLHAAGAGIVPDDGVQKEPKEADEWNDGKTTKRLSQKFDRLHNEELERRVASEALIAKIFATISAIKAGYAQLQHAQSPYDAEGIQTADRLIVSDLKKLSELKQCFLKKQYDPSPEHSMLLAEIQEQKSLSKTFEIMGKKLESQLRLKESEIIFLRESLDESNKQNKLLEKRLNQSGQLFVLDNLHLSGLGPSHFMTVLRQTVKSIRSFVKLMIDQMKSADWDINSAANSIERAVIYWKADDKCFAFESFICREMFKAFHHPYFFLLGDSVPEGKKHPQVFFERFMELKSTKAKEYLATKPKSTFAKFCRIKYLQVVHPKMESSFFGNLSIRDMVSSYQFPDTTFFTLFADMAKRVWLLHCLAFSFVPEASIFQISKGCRFSEVYMESVAEEAF >EOY13429 pep chromosome:Theobroma_cacao_20110822:7:8284753:8286129:-1 gene:TCM_032001 transcript:EOY13429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEAVKPSAVTPSKSKWVRTLSKVLHLHAAGAGIVPDDGVQKEPKEADEWNDGKTTKRLSQKFDRLHNEELERRVASEALIAKIFATISAIKAGYAQLQHAQSPYDAEGIQTADRLIVSDLKKLSELKQCFLKKQYDPSPEHSMLLAEIQEQKSLSKTFEIMGKKLESQLRLKESEIIFLRESLDESNKQNKLLEKRLNQSGQLFVLDNLHLSGLGPSHFMTVLRQTVKSIRSFVKLMIDQMKSADWDINSAANSIERAVIYWKADDKCFAFESFICREMFKAFHHPYFFLLGDSVPEGKKHPQVFFERFMELKSTKAKEYLATKPKSTFAKFCRIKYLQVVHPKMESSFFGNLSIRDMVSSYQFPDTTFFTLFADMAKRVWLLHCLAFSFVPEASIFQISKGCRFSEVYMESVAEEAFLSSEIKPQSEPRVAFTVVPGFRIGKTIIQCQVYLSQLKTR >EOY13427 pep chromosome:Theobroma_cacao_20110822:7:8284753:8286129:-1 gene:TCM_032001 transcript:EOY13427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEAVKPSAVTPSKSKWVRTLSKVLHLHAAGAGIVPDDGVQKEPKEADEWNDGKTTKRLSQKFDRLHNEELERRVASEALIAKIFATISAIKAGYAQLQHAQSPYDAEGIQTADRLIVSDLKKLSELKQCFLKKQYDPSPEHSMLLAEIQEQKSLSKTFEIMGKKLESQLRLKESEIIFLRESLDESNKQNKLLEKRLNQSGQLFVLDNLHLSGLGPSHFMTVLRQTVKSIRSFVKLMIDQMKSADWDINSAANSIERAVIYWKADDKCFAFESFICREMFKAFHHPYFFLLGDSVPEGKKHPQVFFERFMELKSTKAKEYLATKPKSTFAKFCRIKYLQVVHPKMESSFFGNLSIRDMVSSYQFPDTTFFTLFADMAKRVWLLHCLAFSFVPEASIFQISKGCRFSEVYMESVAEEAFLSSEIKPQSEPRVAFTVVPGFRIGKTIIQCQVYLSQLKTR >EOY13614 pep chromosome:Theobroma_cacao_20110822:7:9530743:9534447:1 gene:TCM_032235 transcript:EOY13614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein MAKVAAFSLLLLLVSFTSGGTLMMVNGQKSWCVAKPSSDQATLLANINYACSQVDCRVLQRGCPCFTPDNLMNHASIAMNLYYQAKGRNRWNCDFRSSGLVVITDPSYANCIYE >EOY12496 pep chromosome:Theobroma_cacao_20110822:7:2361648:2367077:-1 gene:TCM_030985 transcript:EOY12496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKCVIIVNMATDSLPLSPIRQMSLETQDANKGEDRISNLPEDVLVHSLSFLPTKEAIRTSVLSTRWQTLWMSLPNLTFTDLLYLHSNQDRVPELRASFMKLVDRSIHHHNACIRKLSLSLQKEVDVFRLNSWLCAAIRHKTQSLDLFLSEQEYVILPHCLFTSESLISLVLGMECTLRAPTPVCFSSLKFLKLSHVKFNDVQTCQELFSGCLVLEELVLQECNWKNISEINIDIPPLKKFSLSNYTSRQVKRVNCKIKINTANLSHLSCQNFLRVQLVPYNPLPALESAQVDIFGVINREEDGRRAVQLLAGLKNTNSLYVTNDSLLVLDGAENPEADLPTFHYLTQLYVRPTCCLPDVGTVGAVMYLLQKAPNLEFLSIASEFQGQDLDWFFQTLPCFSSRLKSFCIMNFEGTAASIQLLNYLCQHAPVLKKISLFSSNELTEEIKDQCDIVNMATDASPRSPMREMSLERQDAGQGEDRISSLPDDVLVHILSFIPTKEAVRTSVLSTRWKNLWISLPNLTFEDPDPIEDIRASSLKVVDRSLHHRGACKRKLSPILQKEVDEFGLSPWPSAVMRLLIKPTYVTLPDCLFTSESLISLVLRTHCTLKVPTPVRFPSLKVLKLSGVRFKDEQSCQELFSGCLVLEELVLEQCDWNNIDEIYIDIPTLTKFSLSNYKAGLIKDGNCKIKVNAANLSHLSFRISLLVQLVPYNPILPVLDTAQGFKPQDQDRFFQTLPCFSSCLKSFAILCFEATAASIQLLKHLCQHAPVLKEISLFYICDLKDETQYQVLQVLGDSKRVEIGFYPVKLESSISII >EOY13302 pep chromosome:Theobroma_cacao_20110822:7:7395402:7399078:-1 gene:TCM_031844 transcript:EOY13302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MGKVIRMLYQILCLLLTLLHFQVHFSSSSPSSFLPSAHLCLPAQRAALLEFKNTISVYDDCRYYLWRNSWNDSTDCCSWGGVSCHAVTGHVIGIDLSQSCLYGTLPANSSLFHLQGLQQLNLAFNDFNGSISSELFNQLVSLTHLNLSYNSFSDLIPYEISLLSKLVSLDLSNNAYYIYSYLRFDSQGFDMLARNLTELRNLILDFVEMSDVALPSFMNLTSSLERWSLHDCQLDGELPSEVFSLPYLQHIDLGSNENVTGYFPKSNLSSGLKLLDLSFCSFRGSIPASIGNLSQIIHLDFSENDFGGHIPYIFENLNKLTFLSFSSCNFSGQLPTTMFNLTQLTHLDLSFNRLEGPLPNHVSELQLLETFWLSSNLVSGGLPSWLFTLPSLQTLDLSYNKLAGQIDQIQKPNSLEYIYLSSNDIHGSIPNSFFDLVSLETLDLSSNNLSGVIKSNMLAKLKYLRDLDLSNNSLLSLSASENDINYSFPQLVKVSFSSCSIRQFPSFFRTSNLKILDLSSNKIHGGISKWEAEGWDNLKSLNLSYNFLTTLGHFPGKNLDVLDLRSNLLQGPILSTCLNNQSPNPPQSLIAFYVSKNKLTGNIPLLICNWSSLLILDLLHGPMPNSIASSNFSALQIIDLSHNELNGPLPTKFSQNLRAMKDIPEQRPWEFTRFISRDVALSFNDYYSVNVTTKRLEIELVKTFAIYTFMDFSNNLFCGQIPEELGELISLQGLNLSHNNLTGLISPTIGNMIALESLDLSSNRLGGRIPSQLTNLTFLEVLNLSQNDLVGPIPHGKQFDTFENDSYGGNLGLCGLPLSKQCGDPEPKPLVPMVKEDEGSDIAFIWKVVMMGYGCGVVLGLSMGYIVFTTGRPWWFIRMVERDWQNNVTKWIRRNRGRRN >EOY14041 pep chromosome:Theobroma_cacao_20110822:7:19051943:19052371:-1 gene:TCM_033178 transcript:EOY14041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 SLHISPKPTSVSNHRKQSILLAESIFPLFLSVGISVELKIFLLSN >EOY12393 pep chromosome:Theobroma_cacao_20110822:7:1903373:1930477:1 gene:TCM_030910 transcript:EOY12393 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative isoform 1 FLVPGNLPIGCSPAYLTYFQGSDKGSDKGEYDPLTGCLTWLNQFSEYHNELLQQELDQIRELHPHVNIIYADYYNIAMRFYHFPDQFGFTKTIVACCGRGVPYNYSSSMACGDPPLRTSCDDPSSYVSWDGVHFTEATYRWISKAVLKELFTIPYINSLCLPLTVNNKFISS >EOY12392 pep chromosome:Theobroma_cacao_20110822:7:1928903:1930477:1 gene:TCM_030910 transcript:EOY12392 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative isoform 1 MGEIGGNDYNYAFEEGKNPEEIREFVPLVVHTISSAINELIELGAVTFLVPGNLPIGCSPAYLTYFQGSDKGEYDPLTGCLTWLNQFSEYHNELLQQELDQIRELHPHVNIIYADYYNIAMRFYHFPDQFGFTKTIVACCGRGVPYNYSSSMACGDPPLRTSCDDPSSYVSWDGVHFTEATYRWISKAVLKELFTIPYINSLCLPLTVNNKFISS >EOY13463 pep chromosome:Theobroma_cacao_20110822:7:8610613:8623403:1 gene:TCM_032047 transcript:EOY13463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase, putative MYRQLGSNNFSGELPTTFARLTTMKDFRIADSNFTGKIPDFIFKNWTKLEKLFIQASGLSGPIPDIALQNLTDLRISDLNGGEATFPQLDLPKLTRLILRSCNLIGQLPDSIGALTKVKILDLSFNRLSGDIPPALSALTDLDNLFLNGNMFTGPVPPWILETKQEMDLSYNNFSLTVPDCQQKGVNLFASTPRITNSGIVPCLRSFKCPAEPLHSLYINCGGRKVPVHGITYEDDSNRAGPSTFVLSSNYWAFSTTGVFLDDAGDRDNLILSNTQVSMSDLELYTTARLSPGSLTYYAFCLKNHSTYNVSLHFAEIQFTDGKTFSSLGRRVFDVYIQGKRELKDFNIKDEAGGVGKPILKNFTVNVTDGTLEIRLHWAGKGSTSVPTRGAYGPLISAISIVDPAYKPSPENGGGMSAGIVVGSVAGAAFAVFLIGGILWWYGFLRPKSTLERDLKGLELQTNSFTLRQIKAATNNFDAANKIGEGGFGPVYKGILADGTVIAVKQLSAKSRQGNREFVTEIGMISALQHPHLVKLYGCCIEGDQLLLIYEYLENNSLARALFGPEEFQLKLDWPTRRRICIGIARGLAHLHEESRLKIVHRDIKATNVLLDKNLNPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMHGHLTDKADVYSFGIVALEIVSGRCNTQNRSKQESFYLLDWAHVLKEKGSLLDLVDPRIGSDRNIEEVMVMIDVAFLCTNPTAAARPSMSSVVSMLEGNTVVQELITDSSISTKRLNAEAVNKLYQQMNENDEENSQTKSMFGDGPWTTSSSVVDLYPVSLTSDYWKNRDSTN >EOY12776 pep chromosome:Theobroma_cacao_20110822:7:4114856:4117599:-1 gene:TCM_031303 transcript:EOY12776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MARRLFACFGRGASSSSSRNEVHAAHGKTNGAVVEATTPPSSAEGPILVELFSSQGCATSPAAELLLSRLGRGDFQLAAPVIVLAYHVDYWDYMGWKDPYGSSQWTVRQKAYVESLRLDTMFTPQVVVQGRAQCVANDEDALLSTIAGAPRFLAPTFQANFQRPTSESLQVTLTGALRSKVDNNGVNIMVALYENGLVNDCPQGENKGKVLSNDFVVRKLEKLCTVKDISAKKTVSGTVTFTLWDGFNSNKCAIAVFVQNSSHQIFGSQNFQLPDDM >EOY12777 pep chromosome:Theobroma_cacao_20110822:7:4114631:4117024:-1 gene:TCM_031303 transcript:EOY12777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MARRLFACFGRGASSSSSRNEVHAAHGKTNGAVVEATTPPSSAEGPILVELFSSQGCATSPAAELLLSRLGRGDFQLAAPVIVLAYHVDYWDYMGWKDPYGSSQWTVRQKAYVESLRLDTMFTPQVVVQGRAQCVANDEDALLSTIAGAPRFLAPTFQANFQRPTSESLQVTLTGALRSKVDNNGVNIMVALYENGLVNDCPQGENKGKVLSNDFVVRKLEKLCTVKDISAKKTVSGTVTFTLWDGFNSNKCAIAVFVQNSSHQIFGSQNFQLPDDM >EOY13237 pep chromosome:Theobroma_cacao_20110822:7:6717017:6731190:-1 gene:TCM_031763 transcript:EOY13237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLQHLECLGLCNLIRECDPWRMKNEHNDDKSDKLFPIVIIECGIICVILLFISVTIGIVLHYEIEEKGGLGVDEEEEEIEIEAPAWFFPTILGTSCCIFALLAGILCWLTKNYVAGRAVKGQGNASDDVLGNCYIVINVVGFSFSVVLAIEFALLTTFMIRCPSVAAMDPIPDVKMPVDGQPDPRIASSESTERKKVNRFKIFNTNNGRIIQSSIAATKMTHKDSSPPTPAKVRTRNTSDACHFIERKEFIRRLSIVLHFQIVEKAGLDGDEEETEIEAPPWAVKGQSNEADDLSGYYYILPNVVGFSFLVVMAVELALLTTFMIMCPTVAATDPISDVEMPADDQPDPRIASDYLFQQPPNLSSSDSKDHNDSQRLVASNPCRGRRKLVWVVMKRKRMMKQKSKAPAWFFHTILGTACCLFAVLAGILYWQTRNYVAERAVKGQSTEADDLSGYYYIAQHVFGFSFLVVMAVEFTLLRIQDLICYFHDHVSECCSYGSDFGRRNAC >EOY12009 pep chromosome:Theobroma_cacao_20110822:7:613636:619103:1 gene:TCM_030630 transcript:EOY12009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-specific ribonuclease PARN isoform 1 MNTTKHWPKRALILSRVLSRAFFSNTSTSASPSPTFPLKHVTRSNFDSALVELRSHVRAADFVAIDLEMTGVTSAPWRESFEFDRFDIRYLKVKDSAEKFAVVQFGVCPFRWDSLKQSFIAHPHNFFVFPRQEIPLDGPSNEFLCQTTSMDFLAKYQFDFNACIHEGVSYLSRGQEDEARRRLKSLYKEQLTNSSHNLRETMDVPLVRVADVLFTERMKKRLSEWRDELLQNRSRGPQDQGASNDLNQQFQTVFFKMRPALSLNGFTSHQLSLIRMVTRKHFKDLVYVRFNGESSSQELVVFTDSESDKDRLVEEVKNEHYRRAEMRIHAAVGFRHVIDLLSSENKLIVGHNCILDIAHIHSKFLGPLPLTAEEFVSCVNKYFPNIIDTKILLNADNILQQRMKKSSTSLSSAFSLLCPELAHGFKSKVQSSQPCVKVEVHVDEMRSPDWNSGAKHEAGYDAFMTGCVFAQVCSHLGIDFQLYSTSENLVQNEKLQKHMNLLYLSWINGDVIDLRTGSRTAEFLGSNILRKRFPRILYENIVLIWGFPSKLKPSVIRECICKTLGPTSVISIYRMDQTAVFVQFSKAELVSDFLILKESLERSDDAISVLHPLSQLLEGGNTRAASYETYKDICESPVSELLFADQAEAVGIKWKTKLLPSDVVESQEDNHFHLENALDHTPETVEKSKIRKTEDVVNDSSNKQLTCNEIIDTLLKVEKIRRTSNL >EOY12010 pep chromosome:Theobroma_cacao_20110822:7:613636:619103:1 gene:TCM_030630 transcript:EOY12010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-specific ribonuclease PARN isoform 1 MNTTKHWPKRALILSRVLSRAFFSNTSTSASPSPTFPLKHVTRSNFDSALVELRSHVRAADFVAIDLEMTGVTSAPWRESFEFDRFDIRYLKVKDSAEKFAVVQFGVCPFRWDSLKQSFIAHPHNFFVFPRQEIPLDGPSNEFLCQTTSMDFLAKYQFDFNACIHEGVSYLSRGQEDEARRRLKSLYKEQLTNSSHNLRETMDVPLVRVADVLFTERMKKRLSEWRDELLQNRSRGPQDQGASNDLNQQFQTVFFKMRPALSLNGFTSHQLSLIRMVTRKHFKDLVYVRFNGESSSQELVVFTDSESDKDRLVEEVKNEHYRRAEMRIHAAVGFRHVIDLLSSENKLIVGHNCILDIAHIHSKFLGPLPLTAEEFVSCVNKYFPNIIDTKILLNADNILQQRMKKSSTSLSSAFSLLCPELAHGFKSKVQSSQPCVKVEVHVDEMRSPDWNSGAKHEAGYDAFMTGCVFAQVCSHLGIDFQLYSTSENLVQNEKLQKHMNLLYLSWINGDVIDLRTGSRTAEFLGSNILRKRFPRILYENIVLIWGFPSKLKPSVIRECICKTLGPTSVISIYRMDQTAVFVQFSKAELVSDFLILKESLERSDDAISVLHPLSQLLEGGNTRAASYETYKDICESPVSELLFADQAEAVGIKWKTKLLPSDVVESQEDNHFHLENALDHTPETVEKSKIRKTEDVVNDSSNKQLTCNEIIDTLLKVEKIRRTSNL >EOY13881 pep chromosome:Theobroma_cacao_20110822:7:12264099:12307267:-1 gene:TCM_032580 transcript:EOY13881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAWLDEEAYLSKVPHQLRQVNESAYEPQLISIGPYHHGKQHLIEMEVYKKRCLQRILERNDEQNDHRYRDAIDFVRARKWYSPSLPKDIEAKFDAIMLVDGCFIVELLRQMETGEYDDPIFQKKWVQNALLGDLLLFENQLPFFVLVGLYHVIKDPTDGKDFACQAFSVLSDFLPGPETWKENPPTINDTDNIKDLLSLLHDNWCPSPQGIRRHQDYYRTKDEKAKAGEEARKKGGLEKKWKFTLCAVEKPKEKKFQGDVESGVTITCCAREKENLRKGLLEWQSLRYVYGDISTLFRVTSTLYFLHIFNKVFYLPSEFRFQLVNIT >EOY13201 pep chromosome:Theobroma_cacao_20110822:7:6496262:6499456:-1 gene:TCM_031723 transcript:EOY13201 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein MQGMDPTKKRKLDENGIVSTQSEPDPITKLTPQDGRKLIERFSVDQLLDILQDAVSRHVDVLAAVRSVADQDPAQRKLFIRGLGWDTTTDGLRSLFSVYGELEEAVVILDKATGKSKGYGFVTFKHVDGALLALKEPSKKIDGRVTVTQLAAAGNSGTNNNPVDVHMRKIYVANVPYDMPADKLLGHFAQYGEIEEGPLGFDKQTGKSRGFALFVYKTADGAQAALVEPVKNIDGRQMNCKLAIEGKKGKPGQDGMMQSGGGAPGNAEMGIGGHGGGYGGPGGPGGLGGYGGYSGGLQGPPGPMGQPHHLNSSGVGVGALSGSGGGAGSGGYGSGLGGLYGGYGAPGSTGYGLSGAGAGSGLTGAGGGSSLYRLPPSSVGMPTGGYPESAHYSLSSSSAFPSQHHQGAGTSPVPRVPPGGMYPNGPPFY >EOY12709 pep chromosome:Theobroma_cacao_20110822:7:3605633:3610947:-1 gene:TCM_031237 transcript:EOY12709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASKIEGYSVMLLLLCFLHPFSKATDTISDGQFIRDGMTLVSSSGLFALGCFSPGKSNKRYVEMWYNKLPQQSGMKRGIDKRTGLNRGLTSWKSPDDPAPGEFVQLIAMTGQPQFYIYHQSRPYCRGGPWSGEGIAGVPATPKSPYFNFTFVNNENEISLVYTTYDPSALHHFVLDELGVFQRLTWIDRTKQWHMFWSIPRDRCDVYKQCGLNGMCSSDSLLSCKCLPGFEPKSPRDWILRDGSEGCVRKRTLECGKGEGFLKLEHVKIPDTSTAQVSIGLGLKECEKECLTNCSCTAYTVADINQLGIGCVVWYGDLKDIREYPDGGNDIYIHVDAVELAANSKKHSGIFSNSKRSIIVLVFGSTAAVFGILFGSSKRIKLIGNVKMKGNKEKFHEFLALNDMLDSNLLEDSEEHIGLLLFDLDKITAATNNFSNTNKLGEGGFGTVYKGQLTNGQDVAVKRLTTNSGQGIEEFKTEALLMSKLQHRNLVRLLDQAKRPLLDWRKRLHIIMRIARGILYFHRDSRFRIIHRDLKASNILLDAEMNPKISDFGIARTFEGSETNLKTNKWLYATSFGVLLLEIISGKKNSGYYYEDPSSNNLGQAWDFWREGKALELIDPLMERNSYPVDGILRCIQVGLLCVQGKASDRPTMATVVNLLGKEAAMPPPKAPAYIMTDHSSTTCSINNVTVTIVQARE >EOY14202 pep chromosome:Theobroma_cacao_20110822:7:22099195:22100790:-1 gene:TCM_033486 transcript:EOY14202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDPQGPDDILLHHIVARIEKNRQQYRQQRQDPGTYTICPFPDFLVGIDDRIQSPKLASFGPRHRGKDHLLPFEKHKDDFLSKFLSRTESLGRDSRFYAQKLIEIKDNTKTCYSAKIVMPPDDELVHMMLLDGSFMVELFREYEEEGRQVTWPWHVQTLIADLLKLENQLPFFLLEVLFNWSNIGQGASIRTLPELALRFLNQAFCWPSDMVNSQIQHPKHLLDLFRLSLLPSTKTDPPQQSKHHPLVHSIQSVKELRSTGITVRKNIAKSLLEIDFRKFQIPPLALKIPPVAIDEFTNTILVNCVALEQCLPDQSKHFTAYVCFMNCLMKQPEDVGFLRSVDIITRVSQDERYIIDLLNSLGRYVSFSVRDCYLWRQLWDIHSYYNSSWASIRRNLFSYNNIMLYCSILQVAIGILSWRLSRN >EOY14203 pep chromosome:Theobroma_cacao_20110822:7:22098519:22100879:-1 gene:TCM_033486 transcript:EOY14203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDPQGPDDILLHHIVARIEKNRQQYRQQRQDPGTYTICPFPDFLVGIDDRIQSPKLASFGPRHRGKDHLLPFEKHKDDFLSKFLSRTESLGRDSRFYAQKLIEIKDNTKTCYSAKIVMPPDDELVHMMLLDGSFMVELFREYEEEGRQVTWPWHVQTLIADLLKLENQLPFFLLEVLFNWSNIGQGASIRTLPELALRFLNQAFCWPSDMVNSQIQHPKHLLDLFRLSLLPSTKTDPPQQSKHHPLVHSIQSVKELRSTGITVRKNIAKSLLEIDFRKFQIPPLALKIPPVAIDEFTNTILVNCVALEQCLPDQSKHFTAYVCFMNCLMKQPEDVGFLRSVDIITRVSQDERYIIDLLNSLGRYVSFSVRDCYLWRQLWDIHSYYNSSWASIRRNLFSYNNIMLYCSILQVAIGILSWRLSRN >EOY14201 pep chromosome:Theobroma_cacao_20110822:7:22099077:22100790:-1 gene:TCM_033486 transcript:EOY14201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDPQGPDDILLHHIVARIEKNRQQYRQQRQDPGTYTICPFPDFLVGIDDRIQSPKLASFGPRHRGKDHLLPFEKHKDDFLSKFLSRTESLGRDSRFYAQKLIEIKDNTKTCYSAKIVMPPDDELVHMMLLDGSFMVELFREYEEEGRQVTWPWHVQTLIADLLKLENQLPFFLLEVLFNWSNIGQGASIRTLPELALRFLNQAFCWPSDMVNSQIQHPKHLLDLFRLSLLPSTKTDPPQQSKHHPLVHSIQSVKELRSTGITVRKNIAKSLLEIDFRKFQIPPLALKIPPVAIDEFTNTILVNCVALEQCLPDQSKHFTAYVCFMNCLMKQPEDVGFLRSVDIITRVSQDERYIIDLLNSLGRYVSFSVRDCYLWRQLWDIHSYYNSSWASIRRNLFSYNNIMLYCSILQVAIGILSWRLSRN >EOY14204 pep chromosome:Theobroma_cacao_20110822:7:22094467:22100790:-1 gene:TCM_033486 transcript:EOY14204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDPQGPDDILLHHIVARIEKNRQQYRQQRQDPGTYTICPFPDFLVGIDDRIQSPKLASFGPRHRGKDHLLPFEKHKDDFLSKFLSRTESLGRDSRFYAQKLIEIKDNTKTCYSAKIVMPPDDELVHMMLLDGSFMVELFREYEEEGRQVTWPWHVQTLIADLLKLENQLPFFLLEVLFNWSNIGQGASIRTLPELALRFLNQAFCWPSDMVNSQIQHPKHLLDLFRLSLLPSTKTDPPQQSKHHPLVHSIQSVKELRSTGITVRKNIAKSLLEIDFRKFQIPPLALKIPPVAIDEFTNTILVNCVALEQCLPDQSKHFTAYVCFMNCLMKQPEDVGFLRSVDIITRVSQDERYIIDLLNSLGRYVSFSVRDCYLWRQLWDIHSYYNSSWASIRRNLFSYNNIMLYCSILQVEFMFGSIRRYGVASVWLDLGEWVCESTQ >EOY13399 pep chromosome:Theobroma_cacao_20110822:7:7985579:7989159:-1 gene:TCM_031960 transcript:EOY13399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 1 MEDSLISLWKLLSPNRNSSLSLCLSMHLCISASSSALVFVIFILLSVQVPTFLCAGNDTYEVCSKPLRCGTFQNVTYPFWGGDRPEYCGNPGMELTCQGDEELQITIMSLSYKVIEINTDIQAFTVARTDYLTTLCPQHLANTTLDFNLLSYAWNLENVTLYYDCPSIANQSSGFPSQFNCTSNGTNYYVIASAFGNLSAEAKGGLGLCRSNVIVPAFFTAVQTIVNNPTPDTLVLPLRNGFGLKWDANIASKCAACSASGGVCGYNKTLSEFTCYCSDRTDPSTCLPPAGSSNGTSLKIKLIIGLGVAGAAIVVVCVVVFTLRHKRSSLLISSGILMNFQQGKKNSERIEAFIMKYGSDLAPKRYSFSDIRKITKSFKDRLGEGGFGSVYKGKLNDGRLVAVKVLSESKGDGEEFINEVASISRTSHVNVVTFLGFCYEWSVRALIYEFMPNGSLDKFIYREGSPDKSHLLEHKTLFEIAIGIARGLEYLHGGCNTRILHLDIKPHNILLDESFCPKISDFGLAKLCERKESILSMISARGTIGYIAPELFCRNFGGVSYKSDVYSYGMMVLEMVGAKENVHNGPSVTSEMNFPLWIYEHLQQEAYFNRQGITVEEEEITKKMIVVSLWCIQTNPADRPSMTKVLEMLQGSLQSLAIPPRPFLFSPPRSPQNSSQIALFSSATTMNSES >EOY13398 pep chromosome:Theobroma_cacao_20110822:7:7981865:7989410:-1 gene:TCM_031960 transcript:EOY13398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 1 MEDSLISLWKLLSPNRNSSLSLCLSMHLCISASSSALVFVIFILLSVQVPTFLCAGNDTYEVCSKPLRCGTFQNVTYPFWGGDRPEYCGNPGMELTCQGDEELQITIMSLSYKVIEINTDIQAFTVARTDYLTTLCPQHLANTTLDFNLLSYAWNLENVTLYYDCPSIANQSSGFPSQFNCTSNGTNYYVIASAFGNLSAEAKGGLGLCRSNVIVPAFFTAVQTIVNNPTPDTLVLPLRNGFGLKWDANIASKCAACSASGGVCGYNKTLSEFTCYCSDRTDPSTCLPPGSSNGTSLKIKLIIGLGVAGAAIVVVCVVVFTLRHKRSSLLISSGILMNFQQGKKNSERIEAFIMKYGSDLAPKRYSFSDIRKITKSFKDRLGEGGFGSVYKGKLNDGRLVAVKVLSESKGDGEEFINEVASISRTSHVNVVTFLGFCYEWSVRALIYEFMPNGSLDKFIYREGSPDKSHLLEHKTLFEIAIGIARGLEYLHGGCNTRILHLDIKPHNILLDESFCPKISDFGLAKLCERKESILSMISARGTIGYIAPELFCRNFGGVSYKSDVYSYGMMVLEMVGAKENVHNGPSVTSEMNFPLWIYEHLQQEAYFNRQGITVEEEEITKKMIVVSLWCIQTNPADRPSMTKVLEMLQGSLQSLAIPPRPFLFSPPRSPQNLFNTTGVLSTKHLKSCVNLRRCGVLALLCCGSLVSRVEKNLKQRQ >EOY12378 pep chromosome:Theobroma_cacao_20110822:7:1858754:1866703:1 gene:TCM_030897 transcript:EOY12378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEYWGDFVGVDKSTYTRERFDRDYMMVRVLSRASIPNKLLIEAEGKLFCILVRIEGTERSCDLECYSKGKEIVQVVQERNQGTIGHDRGHGKERESPIDKMGEKSKSMSCLGILTQGSEKLGVAANFKLKQIQRGLNYVMKWDTKEGCCPVRIRNIIFSTRMNMKYYHLAFVAERSPKLGKQELPEACDITVDRVSRIIQKNWGELEELSLGPLAHDCSDRFFQRISNCKNLTKLHIFASPLSSTASRLHFILDENNASIIAEHLLQLRVLNIDGTKLHKFGVETLLSECKNLAELNLRLCRGVVDPPISFLIITPTMKLLQKIMTVEIKSDRMKNCTKTWFTYSSADRSLYTAEELVNQLWTGDVEEIEMAYSLSIGQEDRHLQEALVRIAAGAIVSISSSAIHACLESTSCEPFKASWNNSLYWFARVASSVVADPGSEYGDNIGIKDHGDHEEFLSDGIDYFEAMTLNLTEITLEES >EOY12217 pep chromosome:Theobroma_cacao_20110822:7:1376988:1382640:1 gene:TCM_030786 transcript:EOY12217 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 2 MEAEVAVEQNAAFESSPGVGEKRVVENGAVSVSKKQRCGGGLKRVAEIVLVLSAMGKMRGGGRNPTAAETALMAEARETLAEMCGEMSPKDIVGGEAIGNVIEELGLARFSEQRLGFKATRMSISEKISFAKKKMEDPKKLLGPLVTYSSQSLQTNVGGSAETRGASHTVRILPSDRPIHATVSSGGFPVSSPPAYVTAAGSTPLPHQLSTSDVKMSTMSTGLPSGHLGRDSSSFAHPRVERPQTKLDGGSNVPSYVSQVPGNSSANHPLVNAPTWSIQAQPAALGKSGQENKGLNHNPTNIEGSASLTMPQMAPQAARDQNFRPFITQTATGTFPSMHQPVQGMNFGQAPPYMNNHNEIARIVQKLLQPRLPEHPTWTPPSREYMNKALTCQMCKLTINEVETVLLCDACEKGFHLKCLQSNNQKGIPRGEWHCTRCLSFCNGKPLPPKYGRVMRSINAPKLTSSTAEAQSSLEKKVGNQDPKVSPKKVTANGSSGLQTPAVAGTVDSNSVESASDSKIPKGTFTKPLEAVCDSLSVATVSERPEEHSQMTESSTHEDMKDHASISQPPDTSSNAISNKADHSQPSHISQDVQTWQQNCAEVPSNNCHDESSGAKDLEKGCLKGDIDCSRRVNQTEQDASQANPAGNSGASYEISRHAEVSSDGLHAVEWTSDVLQVADGRKFYQSCCINGITYKVQDHALVHSGQDKLIPSKLQAMWEDAKTGSKWVVVKRCYFPSDLPEAVGHPCAPESSEVYESNNDSTVMATSIQGPCEVLPTAKFKDEIERRSQLGIEGNEGLTAIFLCKWLYDEFKGSFQPVSS >EOY12218 pep chromosome:Theobroma_cacao_20110822:7:1377032:1382060:1 gene:TCM_030786 transcript:EOY12218 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 2 MEAEVAVEQNAAFESSPGVGEKRVVENGAVSVSKKQRCGGGLKRVAEIVLVLSAMGKMRGGGRNPTAAETALMAEARETLAEMCGEMSPKDIVGGEAIGNVIEELGLARFSEQRLGFKATRMSISEKISFAKKKMEDPKKLLGPLVTYSSQSLQTNVGGSAETRGASHTVRILPSDRPIHATVSSGGFPVSSPPAYVTAAGSTPLPHQLSTSDVKMSTMSTGLPSGHLGRDSSSFAHPRVERPQTKLDGGSNVPSYVSQVPGNSSANHPLVNAPTWSIQAQPAALGKSGQENKGLNHNPTNIEGSASLTMPQMAPQAARDQNFRPFITQTATGTFPSMHQPVQGMNFGQAPPYMNNHNEIARIVQKLLQPRLPEHPTWTPPSREYMNKALTCQMCKLTINEVETVLLCDACEKGFHLKCLQSNNQKGIPRGEWHCTRCLSFCNGKPLPPKYGRVMRSINAPKLTSSTAEAQSSLEKKVGNQDPKVSPKKVTANGSSGLQTPAVAGTVDSNSVESASDSKIPKGTFTKPLEAVCDSLSVATVSERPEEHSQMTESSTHEDMKDHASISQPPDTSSNAISNKADHSQPSHISQDVQTWQQNCAEVPSNNCHDESSGAKDLEKGCLKGDIDCSRRVNQTEQDASQANPAGNSGASYEISRHAEVSSDGLHAVEWTSDVLQVADGRKFYQSCCINGITYKVQDHALVHSGQDKLIPSKLQAMWEDAKTGSKWVVVKRCYFPSDLPEAVGHPCAPESSEV >EOY12730 pep chromosome:Theobroma_cacao_20110822:7:3855508:3859245:-1 gene:TCM_031256 transcript:EOY12730 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MEIVLCLCMFFFLIIESFTQDIIIPGQSIKDGETLRSAGGSFELGFFSPGSSKNRYVGIWYKKISPGTVVWVANRETPISDRSGVLNITSQGILTLLNSSNSLVWSSNTSKTAESPVAQLLDSGNLVVKERNDNNLENVLWESFDYPCDNLLSGMKLGRNFVTGFERYISSWKSTEDPAPGQYSLRIEPRGYPQLVLKKGSEILFRAGSWNGLYFSGKPGLEQNPVYSYDFVLNNIEVYYKYEHRNSSFVSRYSLNPLGVIQRFMWNERKNDWEIFSTAQADQCAIYAFCGAYATCTTNKSPPCTCLEGFVPRSTKSGDLNSVDWSDGCVRRTPSVCDGKDGFLKHTGLKLPDTSHSWADKSISLQECEKICLRNCSCTAYANLDILKGTGCLIWFNDLIDMTEFTEVGQDLHIRLAASELDRIQRLTIVVFVLYVRKKKLRKEDEKKEEMELPMIDFATIANATNNFSENNMLGRGGFGPVYKGILVEGQEIAIKRLSKNSGQGLEEFKNEVTLIAKLQHRNLVKLFGCCIKGEERLLIYEYMPNKSLDYFIFDKTRSRVLDWHRRMRIVDGIARGLLYLHHDSRLRIIHRDLKTSNILLDNSMNPKISDFGLARKFCGDQTEDKTKRVVGTYGYMSPEYAFGGCFSPKSDVFSFGVLVLEIVTGKRNRGFSGPDQDQTLLGHAWKLWMEERPLELVDNAIGDSYNVAEVLRCINVALLCVQQRPEDRPNMSLVVLMLCGESTLPHPKQPGFFLERNLPPAADSASSKQELYSLDGSTITALEPR >EOY12542 pep chromosome:Theobroma_cacao_20110822:7:2706079:2712833:1 gene:TCM_031063 transcript:EOY12542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin domain-containing protein, putative isoform 1 MISSSKIKSVDFYRKIPRDLTEASLSGAGLSIVAALAMMFLFGMELSNYLTVSTSTSVIVDKSSDGEFLRIDFNISFPALSCEFASVDVSDVLGTNRLNITKTIRKFSIDPHLRSTGAEFHAGPVPHFIKHGDEVDEETVEGSVPLNGVSFDKLSHLYPILVVNFYAPWCYWSNRLKPSWEKAAKIIKERYDPEMDGRILLAKVDCTEEVDLCRRHHIQGYPSIRIFRKGSDLREDHGHHDHESYYGDRDTESLVKTMEELVAPIPLESQKLALEDKSNITKRPAPKTGGCRIEGYVRVKKVPGNLIISARSAAHSFDASQMNMSHVISHLSFGKTISPRVLSDVKRLIPYIGRSHDRLNGRSFINHRELDANVTIEHYLQIVKTEVVTRRSSREHTLIEEYEYTAHSSLAQSIYIPVTKFHFELSPMQVLITENPKSFSHFITNVCAIIGGVFTVAGILDSILHNTIRLMKKVELGKNF >EOY12543 pep chromosome:Theobroma_cacao_20110822:7:2708056:2712811:1 gene:TCM_031063 transcript:EOY12543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin domain-containing protein, putative isoform 1 MSWELLNITKTIRKFSIDPHLRSTGAEFHAGPVPHFIKHGDEVDEETVEGSVPLNGVSFDKLSHLYPILVVNFYAPWCYWSNRLKPSWEKAAKIIKERYDPEMDGRILLAKVDCTEEVDLCRRHHIQGYPSIRIFRKGSDLREDHGHHDHESYYGDRDTESLVKTMEELVAPIPLESQKLALEDKSNITKRPAPKTGGCRIEGYVRVKKVPGNLIISARSAAHSFDASQMNMSHVISHLSFGKTISPRVLSDVKRLIPYIGRSHDRLNGRSFINHRELDANVTIEHYLQIVKTEVVTRRSSREHTLIEEYEYTAHSSLAQSIYIPVTKFHFELSPMQVLITENPKSFSHFITNVCAIIGGVFTVAGILDSILHNTIRLMKKVELGKNF >EOY12117 pep chromosome:Theobroma_cacao_20110822:7:1043387:1046829:1 gene:TCM_030718 transcript:EOY12117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 53, putative MLNKRAKAHSLLSMFMFLLLLSFSLSQVDELKLLLSFKSSINDPSGFLSNWNSSTPLCMWHGITCNNFSKVKVIKLIEKNISGTISSSIFHLSKVEIIDLSTNQLSGKIPYDLVSSLSLRYLNLSNNYLTGAIPNCSISLEILDLHDNLLLGKIPPQLGVCLNLKELKLGGNNLVGRIPSSISNISCLQILTLADNKLTGRIPHALSKMKSLEWIYIGYNNLSGEIPQELVDLGSLNLLDLVYNNLNGQIPSSIGNLSNLQYLYLFGNKLTGLLPRSISGLKKLIELDLSENHLFGEIPELISELQSLEVLHLFSNDFTSKIPNALASLPHLQVVNFFSNSLTGEIPSSICTISSIEVLDLSHNNLSGIIPPCLGNFSKYLTILDLHMNSFHGTIPEMFGEDCGLKNLNFHYNKLQGSLPRSLANCRNLEMMDIGGNKLNGTFPYWLETLPELQVLVLRSNSLCGVLQSSKTIHPFPKLRILDLANNDFSGPFPKGIIKNMKAVMNFSEEQNSLQYMRGRLYFYDVSLIVKGFFIKLSNIPTIFTSIDLSNNNFHGEIPNVIGKLNSLKGLNLSHNSFSGHIPISMGNLTNLEWLDLSSNKLTGQIPDQLKDMTFLACLNLSHNQLTGPIPQGKQFSTFENGSYEGNLALCGFPLSKSCNNDRRKQSSPSFLKVADDSETKINFGWKVVLMGYGCGLIFGVIIGYVTFRNGEPK >EOY12407 pep chromosome:Theobroma_cacao_20110822:7:1986947:1995529:-1 gene:TCM_030923 transcript:EOY12407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein MALDFVASAIANCVGNLATEYTSPYLTYFFRFGKIVEDFKNRRNELKSKRDEVKDAVDEALRQTEVIKKGVEEWLTKAEKELDEAQSLEEEIERNKCFNWCPSCGWRYCLSIKVAKKTLCISKLVETCNFQRVGQRPPLQGIELLLPKDFMRSESSTLAFNGIMKALKSDGVNMIGLYGMPGVGKTTLAEVVLKQATEQKLFDKVVSVTVSQTPNFNEIRERIAELFGLKFEARTENGKAEELWRKLKAEKKILIILDDVWKKLELQTIGIPFGGEHEGCKILLTTRLQQVCSQMDCQKEFKLNILSEDEAWALFEDKAALKDDSSTSNVAQEVAHECGGLPLAIVTVANALKGENLDGWIAANRRLKSSRHLDNQDVCGGIYGCLKLSYDYLKEENIQSCFLLCSLFPEDYQISVELLTVCGIGQGLFNNNYLMEDLRREIHLALSKLQKSGLLLEADDQEHVKMHDVIRDFAHWITSTGENIFMVKDGLMEWPMSERFGYYTAISLWNIEIKHLPNKVEFSKLKILFLKGKNSLRVSCAFFERMTTLRVLLLQDVVLTLKALQFLTNLRTLCIINCELENISSLRNLENLGIFALLETNIYELPEELVGLHKLKSLYFSYNEEPYCYFPPNLLSRLPSLQELHVTRTNNVNLLVLNSLSGLTALTLRVSTHQCSQENFVFPKLQSYNIAVNTYIEDLAGLSWRTLRIRDFSSSLNAFKELFCNMEKLTLSDVTMEHKNIVPDVDQRGLKELTSLELIYCENLECLIDTTREQSPTTAFSNLVNLDIEDMTSLKELCHGESPNSFLKKLEKLRIFNCEQLKSVFQMDGLLRNEESQMWTISNLRSLELTSLPALENIWKEPTHHVRLQSLKEVTIDGCDKLKSIFSPCLAQSMLHLETLKISECEKLEQVFAFDKEMADLEENQVQPLSNLRSLELQSLPALESIWKEPTHHTIPLSNLRRLELQSLPALESIWKWPTHRAVGLPCLQDFQLVNLINLSSENFLISSLSLEKLKVCNCPKLRNFTIQKEVNEQIQLEELYLSELGNSFQLLISANCNQEYIAVGSHEEVIDCKRLRYIFSPMTVRSLSQLDELYIEKCDELDQIIAEDQVCSSSDGDLQPISFPNLTVISVQYCKKLKRLFPLGSARCLPKLNRLLVRGNSKLEQVFELEDEAEATTEKEIKFDELYSLSLEELPSLVDFCPRGYHFVLSALDDLKVEGCPKMTTGFFIDTKQYVHAKTETPQPVKQDAKTIRRSKSLCELPPYKEEL >EOY12310 pep chromosome:Theobroma_cacao_20110822:7:1648156:1649071:-1 gene:TCM_030850 transcript:EOY12310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVNSYQPFPNLFFGAGSEFNQDRQNYHPVVKTNGDDGPNIRGTKRKTPEIHLLRSIQRVWWGLIKEGKWARVGPIVDDRNHDASLSSN >EOY13342 pep chromosome:Theobroma_cacao_20110822:7:7610627:7613259:1 gene:TCM_031883 transcript:EOY13342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MAPEVMQPETRYNSKADIWSFGITTLELAHGHAPFSKYPPMKVLLMTIQNAPPRLDQDCDKKFSKSFKDMVTMCLVKDQRKRSTAEKLLKHSFFKHAKPLELSVKKLFAPLPPLSNPVKPVQLKGSSLSIPCTKRAVNMTDSLQPAQNNPTIDDIIEESLESFGWAQAFQSILVAFASLFDAQNSFISVFTNAQPTFHCIDNTLCNSSSNICEIPKFAWALSDRASKTIISEWELQCSSSFIQAFSSNIWMYVIIRFFSGLGRGSLVTCTVVLLTERVGRNWQGRVGILDHLFFSYGTLFLPVLAFSTRRYSWRVLYLCTGIPDKGSLNSCKSFAPSLGFTLPMALYLFIPSSLSRPGTLHRARDNRREASTDIFHPECRSKPYKALVSAFALP >EOY12753 pep chromosome:Theobroma_cacao_20110822:7:4038027:4041321:-1 gene:TCM_031288 transcript:EOY12753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein isoform 1 MNPSDNSDHPQLPTIKIHHPSSPHHPTSTATPTAGARRKIGVAVDLSEESAFAVRWSVQNYIRPGDAVILLHVSPTSVLFGADWGPLPHTPQTPETPQSQKQLEDDFDAFTASKAADLARPLKESGFPFKIHIVKDHDMRERLCLEVERLGLSAVIMGSRGFGAEKRGSDGRLGSVSDYCVHHCVCPVVVVRYPEDKDGGNGEPVVTVKEAEVEEEGGKDA >EOY12754 pep chromosome:Theobroma_cacao_20110822:7:4038168:4041133:-1 gene:TCM_031288 transcript:EOY12754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein isoform 1 SVQNYIRPGDAVILLHVSPTSVLFGADWGPLPHTPQTPETPQSQKQLEDDFDAFTASKAADLARPLKESGFPFKIHIVKDHDMRERLCLEVERLGLSAVIMGSRGFGAEKRGSDGRLGSVSDYCVHHCVCPVVVVRYPEDKDGGNGEPVVTVKEAEVEEEGGKG >EOY14246 pep chromosome:Theobroma_cacao_20110822:7:22543585:22544670:1 gene:TCM_033542 transcript:EOY14246 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease 3-like protein MNGYISPSTGCTHPPIFRSPIKYTEDILANEVISCIYRLPKAQKHITRPLAAVIFPPKIVQFSDLKTEPVLWHEDSGRRAWENGRSHVQVLHLMERHNTPGAISGRRLVEASHRFIANSLQMKVDCNGFNNDMQAPPPSYAAAPCVPPVNSHVNYGFHNQGQHIMAPPGLDYFSAGYPISQTSPLRPHLAHGYNEPYGSPHQYQINNQAAANNFHYPLRDHQNGGSRHMPRPTTQLSMEIGLYPSRPGGYDGNRMYQAPGTGSHQEWCGGMGPQANQNVSGGYGLHQQGGVNRGLHSGHDHQQQRGNQMHNQRGGYHQRGSQLRRGNQQHGGIQQQRGNSYVALDRQRHRRPLAPPVENSH >EOY14245 pep chromosome:Theobroma_cacao_20110822:7:22541790:22548651:1 gene:TCM_033542 transcript:EOY14245 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease 3-like protein MSSKTLEVMIPILITHLPSHASYYTQKHPVLASLGSSQQELMSLGGYLTDGNKPNLTRVEHFIQAVGFYQDKIFQKRARLHQRQAERIKREKAQAKRDDSEPDSLLPVARFNGSRLALGPSLAPFQQKMESLGQPYKMRRLSSSTTIGAAIVEAEDSMHFNVHEYKEELKAKLKELIRDKSDIFNSENQEEDKIKLGEPGWKERYYVEKFSANTPEEMEAIRKDVVLRYTEGLCWVMHYYYEGVCSWWWFYPYHYAPFASDLKDLGQLDIQFVLGSSFKPFNQLLGVFPAASAHALPEQYRKLMSDPNSPIIDFYPTDFEIDMNGKRYSWQGIAKLPFIDEERLLAEVAKIEHTFEGVRSTEKQ >EOY12164 pep chromosome:Theobroma_cacao_20110822:7:1184184:1186488:-1 gene:TCM_030747 transcript:EOY12164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase family protein, putative isoform 3 MGPGHPHYSQTLELSREIANLLDCTTWTGAGPGLMDAAITGALQAKKPVGGFKIGREAGEWSTSNFHPYLPSETYLACRFFSARKHGLVDAAVRSSNFDKTAVVALPGGVGTLDEMFEILALIQLERIGSELPVPFIVMNYDSFYAKLLDFLNVCEDWGTVSKGEVSSLWKICDTNSEALAYLAEFYDLPFPDEGKQETELRTAHERVP >EOY12167 pep chromosome:Theobroma_cacao_20110822:7:1184184:1185522:-1 gene:TCM_030747 transcript:EOY12167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase family protein, putative isoform 3 MGPGHPHYSQTLELSREIANLLDCTTWTGAGPGLMDAAITGALQAKKPVGGFKIGREAGEWSTSNFHPYLPSETYLACRFFSARKHGLVDAAVRSSNFDKTAVVALPGGVGTLDEMFEILALIQLERIGSELPVPFIVMNYDSFYAKLLDFLNVCEDWGTVSKGEVSSLWKICDTNSEALAYLAEFYDLPFPDEGKQETELRTAHERVP >EOY12168 pep chromosome:Theobroma_cacao_20110822:7:1184184:1185745:-1 gene:TCM_030747 transcript:EOY12168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase family protein, putative isoform 3 MGPGHPHYSQTLELSREIANLLDCTTWTGAGPGLMDAAITGALQAKKPVGGFKIGREAGEWSTSNFHPYLPSETYLACRFFSARKHGLVDAAVRSSNFDKTAVVALPGGVGTLDEMFEILALIQLERIGSELPVPFIVMNYDSFYAKLLDFLNVCEDWGTVSKGEVSSLWKICDTNSEALAYLAEFYDLPFPDEGKQETELRTAHERVP >EOY12165 pep chromosome:Theobroma_cacao_20110822:7:1184310:1186516:-1 gene:TCM_030747 transcript:EOY12165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase family protein, putative isoform 3 MNLLTLKKEQAPMRKEIEQCYELIHRLGRGVVYLGSSRMGPGHPHYSQTLELSREIANLLDCTTWTGAGPGLMDAAITGALQAKKPVGGFKIGREAGEWSTSNFHPYLPSETYLACRFFSARKHGLVDAAVRSSNFDKTAVVALPGGVGTLDEMFEILALIQLERIGSELPVPFIVMNYDSFYAKLLDFLNVCEDWGTVSKGEVSSLWKICDTNSEALAYLAEFYDLPFPDEGKQETELRTAHERVP >EOY12166 pep chromosome:Theobroma_cacao_20110822:7:1184184:1186502:-1 gene:TCM_030747 transcript:EOY12166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase family protein, putative isoform 3 MGFALLGSLGSCVSLKDSHQWNVKFQSFNLKERFGVGFKLVTNFKACNLVRVSLCKNEFVDFEERTSPNEVRKEIEQCYELIHRLGRGVVYLGSSRMGPGHPHYSQTLELSREIANLLDCTTWTGAGPGLMDAAITGALQAKKPVGGFKIGREAGEWSTSNFHPYLPSETYLACRKHGLVDAAVRSSNFDKTAVVALPGGVGTLDEMFEILALIQLERIGSELPVPFIVMNYDSFYAKLLDFLNVCEDWGTVSKGEVSSLWKICDTNSEALAYLAEFYDLPFPDEGKQETELRTAHERVP >EOY12163 pep chromosome:Theobroma_cacao_20110822:7:1184316:1186516:-1 gene:TCM_030747 transcript:EOY12163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase family protein, putative isoform 3 MGFALLGSLGSCVSLKDSHQWNVKFQSFNLKERFGVGFKLVTNFKACNLVRVSLCKNEFVDFEERTSPNEVRKEIEQCYELIHRLGRGVVYLGSSRMGPGHPHYSQTLELSREIANLLDCTTWTGAGPGLMDAAITGALQAKKPVGGFKIGREAGEWSTSNFHPYLPSETYLACRFFSARKHGLVDAAVRSSNFDKTAVVALPGGVGTLDEMFEILALIQLERIGSELPVPFIVMNYDSFYAKLLDFLNVCEDWGTVSKGEVSSLWKICDTNSEALAYLAEFYDLPFPDEGKQETELRTAHERVP >EOY12961 pep chromosome:Theobroma_cacao_20110822:7:5046767:5047335:1 gene:TCM_031469 transcript:EOY12961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANFNVYFAFCVLVIMTSGAIMARDVDLIKPNNCETKMTMHCVIEVFTSIFKDGIVSDNCCHELIRLSQLCHNALVKNTLQNPLFKNNDTSVILSKAAQVWNKCALVGEDVSPTPSP >EOY13383 pep chromosome:Theobroma_cacao_20110822:7:7937114:7940782:-1 gene:TCM_031946 transcript:EOY13383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFFAMIWSLRLARNDIDFGGKTWDQAQIYELVKLRVATWAKAKWPREYGRTLETSNEPRLGAVFNCVKKTRLKVELMNPAEGSMKFNVDRAANGSLGEDRIGEILRNSQADILAKSGIGREIDLVSVWTEVLNSDSAENGNYGFVVEQRVVEAWLTGSTMGVRGGVAKNIKVDLVNVWEDTNSRRSEVGLGVN >EOY13155 pep chromosome:Theobroma_cacao_20110822:7:6229749:6233585:-1 gene:TCM_031680 transcript:EOY13155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFLPLSLMKERHLSSHSMSNLVMGLTFCQLCNQIVNSEGNNAAYTHDAVTSQYDSETSVMNDKRITPVATGKLHSEVPVQNDVNLPLANLQEEVQPLASYQNSSENEAGLYDDSGYTCDASVFSALESLESWLMPLKLPHSSENFVYSHRQILNNHYNDAVKCLQLALHSTPHLLAALLPLVQLVLIGGQVNEALSEVEKFCNNSRVPFPFRLRASVLECFYSNDSVMLSTCFAETLKKDPTCCHSLARLVSMHQNGDYSLESLVEMIALHLEATNPESNTWREFTSCFLKLYQHEEDRLSVCLNGNEDEQIPKLSVNYNKMPKFFTERKSRKVWRSRCKCWLKRHFAEKMLASEIASGFSELLTYKAACASHLYGQKFDYVVKVYSHLEEQNDRGILKFLKRHIENSIRLNANIQEKLNKI >EOY12184 pep chromosome:Theobroma_cacao_20110822:7:1234684:1243142:1 gene:TCM_030759 transcript:EOY12184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhanced downy mildew 2, putative MAFSDEEEEESLLRSVSNYYFDDEKDEAVSFSELPLQLGGKESLINGAIKKLLLRGTADDGLLTICKLVTAWKFDLSNFGKPEILVLSKENGWIKLQKPRKSFEPVIRSVLITVHCLHLLSWNPDLSRKSLWDQLAKAFSLYEVKPSQNDLVDHRELICEAVKSTASLAKSKFLHTFLEEKPIKRKLADEDVRATSISGFIVDDADDAVDGPEQDDSNDEDDELFDSVCAFCDNGGELLCCDGKCLRSFHATVEAGEESFCESLGFTQKQVEAMQTFSCKNCEYNKHQCFACGKLGSSDKSSGAEVFRCSNATCGHFYHPHCVAKLLHKGDKVAAEEHGQKISAGEFFTCPIHKCCVCQQGENKKVQDLQFALCRRCPTSYHRKCLPREIGFDDIDEEGIVTRAWDGLLVNRVLIYCLKHKINDEIGTPERDHIKFPIVEDRKIAFDERKKRKASDLPTSHEKVGLKKKSFALEDSSWERTAMKAEKQSSSIVKDGQTSKKSEKVTPGTNSHRKVKAPGSSIKPLKGKLNSVPMKVGKSSATDQNRTSLGDKLFAFMTQSEQVKPGRQDMLKGGNKTAVVKSTAKKMSSGMPSLDADSERRLFALMKEVESSITLEDIIAKHKVPSTHAYSSKSVVDRTITLGKIEGSVDAVRMALAKLEDGCNIEDAQAVCEPEVLNQIFKWQNKLRVYLAPFLNGMRYTSFGRHFTKVDKLKEIVDRLHWYVQDGDTIVDFCCGANDFSLLMKRKLEETGKKCSYKNYDIFQAKNDFNFEKRDWMTVRPKELPRGSQLIMGLNPPFGVKAGLANKFINKALEFNPKLLILIVPPETERLDKKKLLKSSYELVWEDNQFLSGKSFYLPGSVDTNDKQMDQWNVMAPPLYLWSRSDFSAMHKTVAEKHGHLPREPESSNQERNIDETHISEQPLEDDSHCNDASELKDHMQNHKVEERREETSVTVTPKECSPHQQCEREGQDNHGHVKKQSKEPLRKKKHRGRNRGRRTDGKSPLDKQSGVRTPISEMHRGIPHSSPSNVMGGRYSVEGVSKSHRTAPLTGIGEKVHRHHTPTMHGSQVQVGTLYGDTRTSVADDMGRRYSINNTDPYPVGSHNLGHGPYATEVEREANIRSKVRLYGQDPDVSTQRNYPAGLDSAYGPAVSLSTPSYVHLGATVDPSYRMNTSAMQRYAPRLDELNYTRFATPGPEPPMGNHTRMATPGPEPFMGNHTRMATPGPSRSRS >EOY13320 pep chromosome:Theobroma_cacao_20110822:7:7531945:7537329:-1 gene:TCM_031868 transcript:EOY13320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein, putative isoform 2 MSSSNNSAPEQLCYIPCNLCNIILAVNVPCSSLFETVTVRCGQCTNLCSVNLATSFPSRAGKDIQVPSYTSSEYRIDLGSSSRCKNKLPKRATTVNTTPERVVNRPPDKRHRAPSLYNQFIKEEIQRIKLNNPDITHREAFSTAAKNWARFPHIHFGLMLETDNQPKLNDDSTEHFQQLLK >EOY13321 pep chromosome:Theobroma_cacao_20110822:7:7531961:7535870:-1 gene:TCM_031868 transcript:EOY13321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein, putative isoform 2 MKTYTVNVPCSSLFETVTVRCGQCTNLCSVNLATSFPSRAGKDIQVPSYTSSEYRIDLGSSSRCKNKLPKRATTVNTTPERVVNRPPDKRHRAPSLYNQFIKEEIQRIKLNNPDITHREAFSTAAKNWARFPHIHFGLMLETDNQPKLNDDSTEHFQQLLK >EOY12678 pep chromosome:Theobroma_cacao_20110822:7:3338058:3338820:1 gene:TCM_031181 transcript:EOY12678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNLFPAMVPIALIPFLVFHQSFLVAGEEAGKALIAKTCNQTEYPEECISALESDASSLSANLTGLGRIAVEQSASKLNQTLSYVDSLVNNATDYATWASLVVCQYSYNASANQIQQGLQAFDQLKYDKTYQSVAAVNQAVIECNNQGLGILTQVDTALFRLTKDAMMIVDLLYYANSSYIFSL >EOY13280 pep chromosome:Theobroma_cacao_20110822:7:7008703:7010891:-1 gene:TCM_031803 transcript:EOY13280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSTATHQTTPIFDGSNYPVWAIRMIAFLRGVNLWNVVEIETRVPALRDNATPAQVKQHEEDIAKKYRALSFIHSVVTEFVFNQIMGCETAKQAWDKLEEEFLGSGRNKQIRLQNHRRQYELLRMKDSQTVQEFIDAVIKIVNQIQLLGETLSDAKVKDISQLTISDLVNILEVDEQKRAARKNEKTDLAFTARIKVAALLFTQLDTYYHSKVKIGNGMYLDAVGRGIVGIQTPSGQSKMTWIYFLKFKHKAFKVFIKFKAKVENETSLKLKCLRTDNGGQFTSSQFEKYLEAEGIHHQLTIPYSP >EOY13799 pep chromosome:Theobroma_cacao_20110822:7:10891784:10897528:-1 gene:TCM_032445 transcript:EOY13799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEHYSSSQQVVLLPSYPMLLFSLLITVLIEHCTTDERQNLQAEITTTQHYYDFSDETTGKDTVEIAPSGLLELGQSSCQTGTSEISNPLNSRAEVVQEVDGDEITAEPAMETLPRFDEAQVQNLRNGLKVASLVISVSTTGIFSFLAGFPTSQHPTMKSKLLFKAGILSMSASLFSALVLLQWGTIKGDIMRLMDCCFNSACIDGCINTSFITLVSKCSYLSSISVSKCSYLSSISDYWPICLVSSLCKIISKTLANRLRSVLGKVISKNQFALIRGRQLLDCSLLANKVIDLLKKDHDGGLFFKIDFKKAFDSVEWFFGLVNQV >EOY12828 pep chromosome:Theobroma_cacao_20110822:7:4315461:4319495:-1 gene:TCM_031346 transcript:EOY12828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein MKVGNFVALVLAVVLFEFLGCRTQASFTPADNYLIVCGSSQNVTFQGRTFVPDSGHSSLSLTSGSSFVASSNSSVPSSIYQSARIFSGIASYKFNIKQEGRHWVRLYFYPLPKSGQNLTSAPITVVTDGFVLLNNFTFKNYNGSFLFKEYAINVTSDTLTLTFISSNNSVSFVNAIEVVSIPDAILPDQALALNPSTPFSGLSEFAMETVYRLSMGGPLITAQNDTLGRTWENDVKYLHVNSSALNVSVNPGSIKYTTSVTPETAPNWVYATAEAMGDANVPSMNFNVTWVFPVDPNFRYFVRVHFCDILSQSLNTLVFNLYINDDIAVASLDLSTLTGGLNVPYYRDFISNSSAESDTLTVSIGPDTVADITNATMNGLEIMKISNDAGSLDGLSSVKNLLPKSSSKKNNIGIIIGCVVGATAAVALIGFCYCCLASRKSKTTHQGHPWLPLPLYGNSQTMTKMSTTSQKSGTASCISLASSNLGRFFSFQEILDATNKFDESLLLGVGGFGRVYKGTLEDGTKVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMVLVYEYMANGPLRSHLYGTNLPPLSWKQRLEICIGAARGLHYLHTGAAQSIIHRDVKTTNILLDENFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMSWQKKGMLDQIMDSNLVGKVNPASLKKYGETAEKCLAEHGIDRPSMGDVLWNLEYALQLEETSSALMEPDDNSTNHIPAIQLTPLEQFDNSVSMIDGGNSGTDDDAEDAATSAVFSQLVNPRGR >EOY12632 pep chromosome:Theobroma_cacao_20110822:7:3048724:3053501:-1 gene:TCM_031130 transcript:EOY12632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 4 MRGESSGLIIGISIGVVIGVLLAIFALFCIRYHRKRSQIGNSSSRRAATIPIRANGADSCNILSDSTIGPESPVKSGRNGMSVWLEGFKRSNVVSVSGIPEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGEIVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGSLASHLYSENHEPLSWNLRVYIALDVARGLEYLHDGAVPPVVHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAANIRGTFGYLDPEYISTRTFTKKSDVYSFGVLLFELIAGRNPLQGLMEYVELAAMSTEGKVGWEEIVDSRLDGKFDVQELNEVAALAYKCVNRAPKKRPSMRDIVQVLTRILKTRHSKKHQKSLSATADEFSVDLERGETKMTFAEHEHRRDESMDSAADTFEV >EOY12633 pep chromosome:Theobroma_cacao_20110822:7:3048888:3053510:-1 gene:TCM_031130 transcript:EOY12633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 4 MRGESSGLIIGISIGVVIGVLLAIFALFCIRYHRKRSQIGNSSSRRAATIPIRANGADSCNILSDSTIGPESPVKSGRNGMSVWLEGFKRSNVVSVSGIPEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGEIVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGSLASHLYSENHEPLSWNLRVYIALDVARGLEYLHDGAVPPVVHRDIKSSNILLDQSMRARVVADFGLSREEMVDKHAANIRGTFGYLDPEYISTRTFTKKSDVYSFGVLLFELIAGRNPLQGLMEYVELAAMSTEGKVGWEEIVDSRLDGKFDVQELNEVAALAYKCVNRAPKKRPSMRDIVQVLTRILKTRHSKKHQKSLSATADEFSVDLERGETKMTFAEHEHRRDESMDSAADTFEV >EOY12631 pep chromosome:Theobroma_cacao_20110822:7:3048724:3053510:-1 gene:TCM_031130 transcript:EOY12631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 4 MRGESSGLIIGISIGVVIGVLLAIFALFCIRYHRKRSQIGNSSSRRAATIPIRANGADSCNILSDSTIGPESPVKSGRNGMSVWLEGFKRSNVVSVSGIPEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGEIVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGSLASHLYSENHEPLSWNLRVYIALDVARGLEYLHDGAVPPVVHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAANIRGTFGYLDPEYISTRTFTKKSDVYSFGVLLFELIAGRNPLQGLMEYVELAAMSTEGKVGWEEIVDSRLDGKFDVQELNEVAALAYKCVNRAPKKRPSMRDIVQVLTRILKTRHSKKHQKSLSATADEFSVDLERGETKMTFAEHEHRRDESMDSAADTFEV >EOY12634 pep chromosome:Theobroma_cacao_20110822:7:3048949:3052634:-1 gene:TCM_031130 transcript:EOY12634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 4 MRGESSGLIIGISIGVVIGVLLAIFALFCIRYHRKRSQIGNSSSRRAATIPIRANGADSCNILSDSTIGPESPVKSGRNGMSVWLEGFKRSNVVSVSGIPEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGEIVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGSLASHLYRKVGWEEIVDSRLDGKFDVQELNEVAALAYKCVNRAPKKRPSMRDIVQVLTRILKTRHSKKHQKSLSATADEFSVDLERGETKMTFAEHEHRRDESMDSAADTFEV >EOY11884 pep chromosome:Theobroma_cacao_20110822:7:207560:221433:-1 gene:TCM_030540 transcript:EOY11884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, putative MRNIDFLLPLVVFVVVLHNSVATLSAESPNTTTDQSVLLALKAHVTHDPLNLLATNWTSATSVCNWIGVTCGSHHQRVTTLDLSNMSLIGTIPPHLGNLSFLSQLNIRFNHFHGSLPMELANLSSMEYINFGHNNFRGEIPSWFGSFAQLQSLFLYDNNFSGVIPSSLGSLSNLEKLILYDNDLKGPIAIGNLSNLKWLYLHNNRLSGQLSPALFDQIPMLQVLDLANNHLSGRIPTSLSKCQELELLVLSNNSLEGSVPQDVGNLTRLSYLDLDNNTLTGQIPSALFKCQELEYLYLSHNGLEGSVPQEIGNLTRLMGLHLNDNNLKGQLPSTLFECQELEILDVFNNSLEGGVLLEIKNLTRLRELRLFGNNLTSEIPSIIGSLPSLEILRLGDNNLIGDNNLNDTFPNWLRNLKLQVLILRNNRFYGHIGSSEGRFSFSCLRIIDLSHNDFNGHLPMKFFENLHAMRSGSESKSDSKYMTYALSNQDGLFYQPLYITTKGLEIHLERVLTILTIIDFSNNQFNGQIPIILGELHSLIVLNLAYNSLTGPIPSVLGNLSILESLDLSSNKLEGKIPAQLVNLIFLAVLNLSWNNLMGLIPQGKQFDTFTNDSYIGNSGLCGLPLSKNCNDEQNLEPQPTKFDEDGDAVNWKFSILMGYGCGLVFGISMGYIVFTTGKPWWLVKIIERGQQKYVIKGKIRRSGGRK >EOY12012 pep chromosome:Theobroma_cacao_20110822:7:620583:623541:1 gene:TCM_030632 transcript:EOY12012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDMINNEEKALAKQSKNSSLKPSRKMICLVLACSWQFDILVDSKRMVMFDDLLCYICLLQWTREKVNAKHPRRGGRQGGRQRRGHEWSCRRIWKAYNHGHYTPYQGHVTMKN >EOY12827 pep chromosome:Theobroma_cacao_20110822:7:4313048:4315926:1 gene:TCM_031345 transcript:EOY12827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) protein-related MKAFCNNSYSIILLLLILALVKIDAQNRPVTTTCFSPRSRCFRRTMRCPAQCPSTRPSNPGAKVCYFNCDSPICQAECKNRKPNCNAPGAACLDPRFIGGDGIVFYFHGKSNEHFSLVSDLNLQINARFIGLRPAGRTRDYTWIQALGVLFGTQTFSLEAKHTATWDEEFDHLKFSYNGKDIVIADGHLSSWQSPESDLKVERTSEKNSVLVTLPEIAEISVNVVPVTEEDDRIHKYQIPSNNAFAHLEVQFKFYGLSSKVEGVLGRTYQPDFVNPAKPGVAMPVVGGEDNYRTSSLLSAECRSCIFSPAGVLDQTDSLLMDFGTLDCTSGASSGSGIVCRR >EOY13959 pep chromosome:Theobroma_cacao_20110822:7:15612069:15615561:1 gene:TCM_032886 transcript:EOY13959 gene_biotype:protein_coding transcript_biotype:protein_coding description:3R-linalool synthase, putative MDSNVVQVNQRRSAGYLPTVWDPEFIKSFSLPYMYESDGTRLEELKQTAKRLFTAVNEPEEKLDLINTIQRLGVAKHFAKEIQEVLDHVHPYIVNDLYTVALQFRLLRQNGFSINSDVFNKFMDSDGKFKDSLGEDVAGLLCLYEASYLGLHGEDVLEEANKFITKHLELAMEKLGKELAEQVKESLQVPLYWRLPRMEARNFVNIYQRDSKRNLDLLQLAKLDFNLLLSVYVKELKELAEQDISLFKI >EOY13348 pep chromosome:Theobroma_cacao_20110822:7:7660120:7662178:-1 gene:TCM_031892 transcript:EOY13348 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO protein 1, chloroplastic isoform 2 MFENKWVQNGKALVSGTFIYACQSPRQYPAGKKQGVYPQNVDLPPVLPKKKKKPYPIPFKEIQRAARKDKKLAERGLEKPLQPPKNGILVPDLIPVAYDVLDAWKVLIKGLAQLLHVIPVYGCSECSEVHVAHTGHHIQDCKGPSSSKRHGLHSWVKGSINDVLIPIESYHLYDPFGRRIKHDTRFEYDRIPAVVELCIQAGVDIPEYPSRRRTKPIRMMGKKVIDRGGYVDEPKPWRSVDPSSSSIVDLDTCGACGRFPAPPLEDVPSIAQETMNAHEIVRSGVTKLMRKYTVKACGYCSEVHVGPWGHNAKLCGEFKHQWRDGKHGWQDATVAEVFPPNYVWHVQDPKGPPLRGALTRFYGKAPAVVEVCMQAGAQVPSRYKPMMRLDIIVPESDEASLVA >EOY13347 pep chromosome:Theobroma_cacao_20110822:7:7660210:7664754:-1 gene:TCM_031892 transcript:EOY13347 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO protein 1, chloroplastic isoform 2 MFQTLPIASFIWNPSQRGACLEIMEFKRSQLSSMSQCFKFENKWVQNGKALVSGTFIYACQSPRQYPAGKKQGVYPQNVDLPPVLPKKKKKPYPIPFKEIQRAARKDKKLAERGLEKPLQPPKNGILVPDLIPVAYDVLDAWKVLIKGLAQLLHVIPVYGCSECSEVHVAHTGHHIQDCKGPSSSKRHGLHSWVKGSINDVLIPIESYHLYDPFGRRIKHDTRFEYDRIPAVVELCIQAGVDIPEYPSRRRTKPIRMMGKKVIDRGGYVDEPKPWRSVDPSSSSIVDLDTCGACGRFPAPPLEDVPSIAQETMNAHEIVRSGVTKLMRKYTVKACGYCSEVHVGPWGHNAKLCGEFKHQWRDGKHGWQDATVAEVFPPNYVWHVQDPKGPPLRGALTRFYGKAPAVVEVCMQAGAQVPSRYKPMMRLDIIVPESDEASLVA >EOY13776 pep chromosome:Theobroma_cacao_20110822:7:10750953:10754497:1 gene:TCM_032424 transcript:EOY13776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lojap-related protein isoform 1 MSNILRAHLKLTRLKSIFTIPSHSYQKRRSTKMAVSTATLSIGGNMLSGQLRRWEPHESKLFQKPRKNFRWFCLNELHLLSYRTKTLNFNPRGGLLPPFHAYGKEADDSFLSNANEDTDEILDDLLKKYGKVVLRKNDQKSPSAELDDDAESLSFAVAMAKVASDVKAADIRVLFVKPLVYWTRFFIIATAFSRPQIDAIGSKIRDLAEKKYGKIPSGDTKPNSWTLLDFGDVVIHIFLPQQRAFYNLEEFYEHHGWRCLSPPGSVSTTCSSPQSLQGGWIRNCRGRSFITGLVDVTAASSYAVRLDQF >EOY13777 pep chromosome:Theobroma_cacao_20110822:7:10751046:10754214:1 gene:TCM_032424 transcript:EOY13777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lojap-related protein isoform 1 MAVSTATLSIGGNMLSGQLRRWEPHESKLFQKPRKNFRWFCLNELHLLSYRTKTLNFNPRGGLLPPFHAYGKEADDSFLSNANEDTDEILDDLLKKYGKVVLRKNDQKSPSAELDDDAESLSFAVAMAKVASDVKAADIRVLFVKPLVYWTRFFIIATAFSRPQIDAIGSKIRDLAEKKYGKIPSGDTKPNSWTLLDFGDVVIHIFLPQQRAFYNLEEFYGNATLIELPSENQQPFHS >EOY13902 pep chromosome:Theobroma_cacao_20110822:7:13123466:13213162:-1 gene:TCM_032650 transcript:EOY13902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKANAGEEGGQEKWKLICCAREKENVRKGLAEWQSLRCATKLEEAGIQFMINSIKKRDVMSLFDISFTDATMKIPTFVVEDCNTKRLFRNLIAYELYEEGSTYVIDYVTLMDSLINSAKDVQSLRFHGIMENIG >EOY13855 pep chromosome:Theobroma_cacao_20110822:7:11631767:11646011:-1 gene:TCM_032528 transcript:EOY13855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 27 MGEISGVCNMKFLEILDLSHNNFSGIIPQCIGSFSKSLSLLNLKKNKFHGIVPPTFARGCGLKNLNLNSNHLEGPLTRSISNCKDLEVLDLGNNKIKDTFPHWIVALSELQVLVLHSNKFQGSIGASKNPQSLPKLRIIDLSQNNFFGPLPTSYMKHFKGMMKLDEGKAVRYMGERNYSYDYSVAVVVKGLEIELVKILTIFTTIDLSGNNFEGEIPRVIGELSSLRGLNLSHNNLVGHVPPSLGNLSQLEWLDLSSNKLDGQIPRELVDLTFLSFFNVSNNQLVGPIPQGKQFNTFENDSYEGNKGLCGLPLSIACSSNEPRQPPPSMNSHNEDGSKFEFGWEVVLIGYGFGFIFGVSMGYVAFRARKPKWFVTLVEGCWDFLLFDVWKGLGRGDVMFLGRVLLACMLELFLYPGMVIGYMMTKVCYTPNRKFEKSLKDDIAPNGIIKSIKPRTSSNRNFKVKLRVSQFKDDSKWSTLEDPRVPSSNSSFGGLDYILFAILCYWNFYGSGGILNGHCSGGGFRGLPMRGARGDSDSDISQSVSEGPTDFTAESRWCLELNNLEGDPFRVPTNWAPSDLGTKVKIRESPSDSETDESVDKADAKPCGVSIGIRGNECLSGRRGGCHGLDGSSGS >EOY13785 pep chromosome:Theobroma_cacao_20110822:7:10792803:10796390:1 gene:TCM_032430 transcript:EOY13785 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase Rpb8 isoform 1 MSSIIFFEDIFVVDKLDPDGKKFDKVTRIEARSQNCDMFMHLDVNSEIYPMHVGDKFTMALAHTLNLDGTPDTGYYTPGRKSLADKYEYVMHGKLYKVSDDGSGKGLKAEIYVSYGGLLMMLRGEASHVSQFELDQRLFLLMRKL >EOY13786 pep chromosome:Theobroma_cacao_20110822:7:10792753:10795839:1 gene:TCM_032430 transcript:EOY13786 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase Rpb8 isoform 1 MSSIIFFEDIFVVDKLDPDGKKFDKDGFSMFALLCPITRIEARSQNCDMFMHLDVNSEIYPMHVGDKFTMALAHTLNLDGTPDTGYYTPGRKSLADKYEYVMHGKLYKVSDDGSGKGLKA >EOY13623 pep chromosome:Theobroma_cacao_20110822:7:9558611:9566165:-1 gene:TCM_032242 transcript:EOY13623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 72, subfamily A, polypeptide 15 isoform 2 MEVPPVIGISLSFLVVGILVWGWRILDWVWMRPKRLERCLRKQGLTGNSYRFLSGDIKESFAMSRQARSKPMPLSDDITQYVGPFLHQTVKNSGKSSFSWVGPIPRVTIMEPEEIREIFTKFNDFQKPHSNPLVSLLVSGLVNLDGEEWAKHRKIINPAFHQDKLKNMLPAFYQSCIEMISKWEKLVFLEGSSELDVWPYLVKLTRDVISRAAFGSSYEEGRRIFQLLEDQTSLTMQVVQSAYIPGWRYLPTKTNRKMKVIAKDIKDSLKGMIKKREEATKASEVSNDDLLGILVESNIREIQEQGDHKNMGMSIEDVIEECKLFYFAGQETTSVLLVWTMILLARHPHWQTKAREEVLEVFGDSKPDSDGLNRLKVVTMILYEVLRLYPAGVALGRSVPKDTKLGKLLLPAGAEITLPVLLIHHDQELWGDDAHEFNPDRFSEGVSKATKSQVIYFPFGWGPRICIGQNFALMEAKMALAMILRQFWFELSPSYAHSPCTFITLRPQHGAHIILHKL >EOY13622 pep chromosome:Theobroma_cacao_20110822:7:9562532:9567871:-1 gene:TCM_032242 transcript:EOY13622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 72, subfamily A, polypeptide 15 isoform 2 MEVPPVIGISLSFLVVGILVWGWRILDWVWMRPKRLERCLRKQGLTGNSYRFLSGDIKESFAMSRQARSKPMPLSDDITQYVGPFLHQTVKNSGKSSFSWVGPIPRVTIMEPEEIREIFTKFNDFQKPHSNPLVSLLVSGLVNLDGEEWAKHRKIINPAFHQDKLKNMLPAFYQSCIEMISKWEKLVFLEGSSELDVWPYLVKLTRDVISRAAFGSSYEEGRRIFQLLEDQTSLTMQVVQSAYIPGWRYLPTKTNRKMKVIAKDIKDSLKGMIKKREEATKASEVSNDDLLGILVESNIREIQEQGDHKNMGMSIEDVIEECKLFYFAGQETTSVLLVWTMILLARHPHWQTKAREEVLEVFGDSKPDSDGLNRLKVVTMILHEVLRLYPAVVALGRSVPKDTRLGKLLLPAGAEITLPVLLIHHDQELWGDDAHEFNPDRFSEGVSKATKSQVIYFPFGWGPRICIGQNFALMEAKMALAMILRQFWFELSPSYAHSPCTNITLRPQHGAHIILHKL >EOY13236 pep chromosome:Theobroma_cacao_20110822:7:6715781:6716970:-1 gene:TCM_031762 transcript:EOY13236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKNRWPSRVSLSSIYHLIQEGIHFIFQWWMCPDRCGRISDITSEVEKAIPSQFSRETGISLSLLRDSSPVIKSSFEWNEMLLVFHVIQLLHKRECTNSLVRLFGGRSSSYFYVYVWICFLVVVVIVVFLTKYMFVPSFVLL >EOY12074 pep chromosome:Theobroma_cacao_20110822:7:786509:791056:1 gene:TCM_030674 transcript:EOY12074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDHPFFSFPMLFTSIIFLFMLLKLGKRFRTNNLLPPGPWKLPVIGNMHQLAGSLPHHSLSDLAKKYGPLMHLQLGEVSNIIVSSPETAAEVMKTHDILFANRPYLLCANIVSYNATDIAFSPYGAYWRQLRKICTLEMLTSKRVQSFSPIREEEVSKLVRAISSKAGSPVNLSKMLYSLTYEIVSRTAFGGKCKDKGEFTLLFREAIKLGAGFTVSDLFPSVKLLQFLNGLRPKLERLHQKVDKILENVINEHKASKGMAKSGEGESDDLVDVLLTLQEHGNLEFPLTTDNIKAVLLDIFIAGSDTSFTAVEWAMSEMLKNPRVLQKAQAEVRQVFNRKGDVDGEGLHELEYLKLVINETLRLHPPIPLLLPRECSERCKINGYDIPVKSKVIINAWAIGRNPDYWTEAERFYPERFLNSSIDYKGAHFEFIPFGAGRRMCPGMLYGIANVELPLAQLLYHFDWELPGGRKIEDLDMDEVFGAVVRRKNDLCLVPIPYCSQTTE >EOY14348 pep chromosome:Theobroma_cacao_20110822:7:24296935:24298930:-1 gene:TCM_033739 transcript:EOY14348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEGRYWEINLPEMFSRAFWSTHAKFGTDVAYKGVLVAEVAMRHQYASDHVTFHITIMMMWHCHVVRNFEKWCSREEVYSHA >EOY11906 pep chromosome:Theobroma_cacao_20110822:7:316318:319131:1 gene:TCM_030561 transcript:EOY11906 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 6 precursor isoform 1 MVNFVSIVFWTTYFLVFLDGYDSLDPNGNITIKWDSLAWDSSTYDYRKSRSSTPTCCLPMSEFYNETIVPCPLRNWSCQAQANCVKSGAKPSLLQQLRDKQQRLPPVLRCSSHMCPIQVHWHVNHIQNYSNGNLVVFHPSLTCIFSFNYNPLNYDGDTAMILGFFGGFSIITTSCCNQVRNGMCRLNCYCKKIQEFSPLGRMDFPKKDLF >EOY11905 pep chromosome:Theobroma_cacao_20110822:7:316624:319134:1 gene:TCM_030561 transcript:EOY11905 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 6 precursor isoform 1 MTFQYRKSRSSTPTCCLPMSEFYNETIVPCPLRNWSCQAQANCVKSGAKPSLLQQLRDKQQRLPPVLRCSSHMCPIQVHWHVNHIQNYSNGNLVVFHPSLTCIFSFNYNPLNYDGDTAVSQTTFSLIIFTFNDTRIFWGIQYYNDKLLQSGKKWNVQTKLLLQKDPGIFTFREDGLSQEGFVLMEMNLSCPNQISSQYFYPTMIESDHFRSKDIRIILESDCFEFESFQG >EOY13284 pep chromosome:Theobroma_cacao_20110822:7:7072742:7079072:1 gene:TCM_031809 transcript:EOY13284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSYNRLDRFLLLIKIMEAYHIIQQLCLPRSISDHNPVALTMEEKNWGPKPFHLFNHWLDKDTFQPMFERAWKEATIVRGCRDKISVDLKQKFTILSWNSKIMETSEIWNSIINCDSSRAPGPGGFSMGFFKKQWKTLKGEIMKIVKDFYHTASLDSKIVSKPKINFQKSCLYGISLDHEITMDWASKIASKANQWPTTYLGLPLGTTTNSIKMWKSIVDKVEARLSSELRIQRHFLWGGTIERRKIHYVSWESTCLYHDLDQVLHRDFQDKLIWKHSTSGIYNSKEISDKTRAGSQRRYQIKGHVLPTVQNSGSHGEARIRGVLTDKKGSIFLLFSMSMGVMDSNTTELLALSKGFQVMATSKWANSHRVVFESDSSNLVSWVRSTHNIPWKHRRIIMKIEGLKVKLSMWNITKVPLYLYEMAVSLAKTGIRRQGDLMWIIGNVCEKQATEES >EOY13218 pep chromosome:Theobroma_cacao_20110822:7:6557425:6565379:1 gene:TCM_031736 transcript:EOY13218 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL23J11.4 protein isoform 1 MASPSNTDSESTLAGSEGRSQGQPLPSIPGFVSGISHQGNDYSAPVPSTDGDALLNNVKETDFSAAVPSDEDDEFEKWQREVGEAERLKNGSVSSSIGDGFGVDYQDRPLTPPEGEEEFTDDDGTRYKWDRSLRVWVPQDNSSSKNENYGVEEMTFLQEEEVFPTVSAAVAADVTDAADAFVREEVNGSGEQTEAGSNAKRKLSEKKVEKKEANKPPESWFELKVNTNVYVTGLPDDVTAEELVEVFSKCGIIKEDPETKKPRVKIYVDKETGRKKGDALVTYLKEPSVALAIQILDGTPFRLGGKIPMSVTQAKFEQKGEKFIAKQVDNRKKKKLKKVEDKILGWGGRDDAKVTIPATVVLRNMFTPAEMRADENLRSELEEDVKEECVKLGPVDSVKVCENNPQGVVLVKYKDRKDAQKCIELMNGRWFGGRQIHASEDDGVVNHALVRDLNEDAVRLEQFGAELEAD >EOY13220 pep chromosome:Theobroma_cacao_20110822:7:6557426:6561627:1 gene:TCM_031736 transcript:EOY13220 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL23J11.4 protein isoform 1 MASPSNTDSESTLAGSEGRSQGQPLPSIPGFVSGISHQGNDYSAPVPSTDGDALLNNVKETDFSAAVPSDEDDEFEKWQREVGEAERLKNGSVSSSIGDGFGVDYQDRPLTPPEGEEEFTDDDGTRYKWDRSLRVWVPQDNSSSKNENYGVEEMTFLQEEEVFPTVSAAVAADVTDAADAFVREEVNGSGEQTEAGSNAKRKLSEKKVEKKSLIGNMWQLVEVFSKCGIIKEDPETKKPRVKIYVDKETGRKKGDALVTYLKPSVALAIQILDGTPFRLGGKIPMSVTQAKFEQKGEKFIAKQVDNRKKKKLKKVEDKILG >EOY13219 pep chromosome:Theobroma_cacao_20110822:7:6557426:6561627:1 gene:TCM_031736 transcript:EOY13219 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL23J11.4 protein isoform 1 MASPSNTDSESTLAGSEGRSQGQPLPSIPGFVSGISHQGNDYSAPVPSTDGDALLNNVKETDFSAAVPSDEDDEFEKWQREVGEAERLKNGSVSSSIGDGFGVDYQDRPLTPPEGEEEFTDDDGTRYKWDRSLRVWVPQDNSSSKNENYGVEEMTFLQEEEVFPTVSAAVAADVTDAADAFVREEVNGSGEQTEAGSNAKRKLSEKKVEKKEANKPPESWFELKVNTNVYVTGLPDDVTAEELVEVFSKCGIIKEDPETKKPRVKIYVDKETGRKKGDALVTYLKPSVALAIQILDGTPFRLGGKIPMSVTQAKFEQKGEKFIAKQVDNRKKKKLKKVEDKILG >EOY14302 pep chromosome:Theobroma_cacao_20110822:7:23499906:23500564:1 gene:TCM_033651 transcript:EOY14302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEGITEVEVERERSKRNRGEGSSRCRDPSRGKNANVVGQPSLRDGNLLKGSSFFSAPNQRRNFQFCSPPRSSDFSGINYRRVIRSGMTNSNPRQSGQWGPFCTFCGQIHTGPCNQMTAFCYECGRIGHVKRDCPTYRHNQEMARGLIRPDFATAPTRNVRRDKG >EOY12659 pep chromosome:Theobroma_cacao_20110822:7:3251891:3256423:1 gene:TCM_031169 transcript:EOY12659 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MDILSFMFTNTILLILFSGIADGIDVFTSSQSVSDGRTLVSRDGIFELGFFSPGSSKNRYLGIWYKKIAVKTVIWVANRSNPINDTTGLLMINRKGNLVLLSRNNGVVWYTNIQKGVQSPVVQLLDSGNLVLRSENDNDSETFLWQSFDYPSDTLLPGMRLGWDLRTGLDRRLSAWKNSDDPSPGDFTAGVELYQYPDIVAWKGPNKYVRTGPWNGLRFSGAPMLRPNSIFENGFVWNEPEVYQVYTVKNKSLISRYMLNQNAYQGQHYIWNEKAGNWMMITYIPRDICDNYDRCGPYGSCVSTEVPPCQCLKGFKPKSSQNLYTMDFNPGCERNKPLYCQKGDGFIKYVGLKVPDTTNSWVNRSMSLKECRARCLQNCSCMAYTPTDIREGSGCALWFGDLIDIKLVQDGGQDLYIRMSASEVEPKGNDKVKIAVTIPIAIFIVAGVLLVSCYICSSRASSKGARENDVINDRNIEGQREDSEVQLFDLALISKATNDFSIDNKLGQGGFGPVYRGTLVDGQEIAVKRLSRSSGQGLTEFKNEVALIAKLQHRNLVKLLGCCIEGEQKMLVYEYMPNKSLDFFIFEKTRSKLLDWPKRFHIIGGVARGLVYLHQDSRLRIIHRDLKASNVLLDNEMNPKISDFGMARSFGGDQFEGNTNRVVGTYGYMAPEYAIDGQFSVKSDVFSFGILVLEIISGKKNRGFYNPGNGLNLIGHAWDLWKEEKAVQLIDPLLKESCNLSEVARGIHIGLLCLQQHPEDRPNMSSVVLMLGSDTTLSKPKQPGFLMERKSPETDSTSSKLESSSTNDISMSILEGR >EOY14036 pep chromosome:Theobroma_cacao_20110822:7:18766651:18775218:1 gene:TCM_033157 transcript:EOY14036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVNKVGEKKNEEILEKNDVNGARVSLFNKASMGFNGISRLPGLRFLLCGIVKLVSEPRFGEDSSDIREDLNKLLEGRYKEKTKEAIAKGDICPRKVSAVRHLPPRCGRGAAPIEEDSKEDSSMCSDQGDDDPNDT >EOY12035 pep chromosome:Theobroma_cacao_20110822:7:706817:708148:-1 gene:TCM_030650 transcript:EOY12035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNMVETKLFKSVLPFCKFCVALLVPSIVLTSFIGLGFCSILFTITILVSSTIFLVTLTNKKRRVVVLEQNPVSKDLSVGEAEEKVLQPVIETVLPESNAAAQEAGIGIVHDDFQVKSLGSTSDSESSDDSGSSSNLDLNWTIPGNNAEQNPEISDGSVSADEEEEEEEEEGLIEIAIPGNAESEPNVVPPPPPNLQSNLPPILPESIFKQQQDLVDFLAEINEVNEEENLIEIDISIGSIKCPRFEIEA >EOY14221 pep chromosome:Theobroma_cacao_20110822:7:22282020:22282771:1 gene:TCM_033512 transcript:EOY14221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFIVSLFTSRQTPLRIYLNRKSSTSVVHQSTIAHNVTFVVPTPLGSPSMTPMQILPSKVAKMSLEWVISMLDTTCENQPKRS >EOY14124 pep chromosome:Theobroma_cacao_20110822:7:21194343:21196712:-1 gene:TCM_033395 transcript:EOY14124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MRKYNVNIKQFVGHTIVLPQLSICLMVCSTPTRAANLEVMAGQTHWTWVSRTCSQQSDEGLWPNMTALHALTRSCCFSLHWVGLMPPLEVGTSEAPTTTTSTITTIPACHELAIIRSSLSLYSFLAFSAFVGVP >EOY14126 pep chromosome:Theobroma_cacao_20110822:7:21194412:21196622:-1 gene:TCM_033395 transcript:EOY14126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVCSTPTRAANLEVMAGQTHWTWVSRTCSQQSDEGLWPNMTALHALTRSCCFSLHWVGLMPPLEVGTSEAPTTTTSTITTIPACHELAIIRSSLSLYSFLAFSAFVGVP >EOY14125 pep chromosome:Theobroma_cacao_20110822:7:21194412:21200846:-1 gene:TCM_033395 transcript:EOY14125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVCSTPTRAANLEVMAGQTHWTWVSRTCSQQSDEGLWPNMTALHALTRSCCFSLHWVGLMPPLEVGTSEAPTTTTSTITTIPACHELAIIRSSLSLYSFLAFSAFVGVP >EOY13667 pep chromosome:Theobroma_cacao_20110822:7:9996977:10000466:-1 gene:TCM_032305 transcript:EOY13667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMLQASQEKLKPPHNSENAINGVNNMNGIGEIGESIANALATTTNPFIFGNFTIVTASTTTQSFVTKEKLQKLLDHKSKSINFSKLNLKLPYPVSVAIK >EOY13462 pep chromosome:Theobroma_cacao_20110822:7:8610314:8615072:-1 gene:TCM_032044 transcript:EOY13462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILHLKPTSRSSQKGVIRNTHHQVWNGDLTKNMSIQKSRPDEGAKQKNHTESGGTSNHQKQRSNRWPEPRNPEAENAH >EOY12011 pep chromosome:Theobroma_cacao_20110822:7:618032:619106:-1 gene:TCM_030631 transcript:EOY12011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 7, putative MGSFSLPKLCKNSALFSLVLVFVVMVAATSFEFKVGGERGWRKPTGNESETYNEWATRNRFHVGDSLHFKYNNDSVLVVNKTSYTKCSVSNPIFKFEDGDTVFQFDRYGFFYFISGERGHCKAGQKLIVRVMVHPAISSPQPAPSPNGDDGSDHDGGGWDSFWGPPPQNSTIKLTVASYFMTALGGMLVIMYLLM >EOY12084 pep chromosome:Theobroma_cacao_20110822:7:855310:881660:-1 gene:TCM_030685 transcript:EOY12084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid reductase 4 MNMELDHVVKFLQGKTILVTDATGFLAKIFVEKILRIQPNVNKLYLLLRAADAKSATKRLHSEIIDTELFTILRDNWGSEFDSFISTKVIAVPGDISSENLGVNESKLREQMLKEIEIVVHVAATTGFNERYDVALAINTFGAFNALNFAKKCDAIKLFLHTSTAYVCGEQVGIILEKPFSMDDTLLKTCKLDITEEKRIVEEKLDELRFHHAPNEVIKSEMMEFGLKRAKLYGWPNTYVFTKAMGEMLLGNFKGDLPLVIIRPTMIASPYKEPFPGWIEGVRTIDRVIVSYGKGKLTCFPGYPSYALDVIPADMVVNAMAVAMVVHTNYQSCPAIYHVSSSFKNPIKFGDLHKFVYHYFTKKPWINRDGQRVKVRKGTVLSTVNGFFLYMWIKYVFPLKVLYLVNALSGQYFRQVYMDLNRKVKLAMRLAEFYKPYTFFKGIFSDTNLDKLRMVAQGRGIDMGVFDFDSKSIDWEDYMMNIHIPGLLRHAM >EOY12153 pep chromosome:Theobroma_cacao_20110822:7:1147215:1151266:1 gene:TCM_030741 transcript:EOY12153 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MESDLGKLFIGGISWDTDEERLKEYFRKYGEVVEAMIMRDRVTGRARGFGFVVFADPAVAERVIMDKHMIDGRTVEAKKAVPRDDQHILNRNVSSITGSPGPGRTKKIFVGGLASTVTEGDFKKYFDQFGSITDVVVMYDHNTQRPRGFGFITYDSEDAVDRVLHKTFHELNGKLVEVKRAVPKELSPGPSRSPMIGYNYGLSRAANFLNSYAQGYNLSPLGGFGVRMDGRFNPLASGRTGFPPFSTTGYGIGMNMEPGMSASYGGSSNFGNGLGYGRIISPYYSGNSNRYNTPIGYGVGSGRNDSVLSSATRNVWGNGGLSNATNASSPGGYLGSGSGSFGSLGNSGVNWSPSPAQSGGNASGYTGSAGYGNADDNYGLGGVGYGRNGATVAAPTSSFAGSTGSFEGSYGDIYRSGSVYGDSTWRSATPDLDGSSTFSYGLGNISSDVAVRTSEDYVGSYSVASRQSNRGIAA >EOY12151 pep chromosome:Theobroma_cacao_20110822:7:1148065:1150445:1 gene:TCM_030741 transcript:EOY12151 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MESDLGKLFIGGISWDTDEERLKEYFRKYGEVVEAMIMRDRVTGRARGFGFVVFADPAVAERVIMDKHMIDGRTVEAKKAVPRDDQHILNRNVSSITGSPGPGRTKKIFVGGLASTVTEGDFKKYFDQFGSITDVVVMYDHNTQRPRGFGFITYDSEDAVDRVLHKTFHELNGKLVEVKRAVPKELSPGPSRSPMIGYNYGLSRAANFLNSYAQGYNLSPLGGFGVRMDGRFNPLASGRTGFPPFSTTGYGIGMNMEPGMSASYGGSSNFGNGLGYGRIISPYYSGNSNRYNTPIGYGVGSGRNDSVLSSATRNVWGNGGLSNATNASSPGGYLGSGSGSFGSLGNSGVNWSPSPAQSGGNASGYTGSAGYGNADDNYGLGGVGYGRNGATVAAPTSSFAGSTGSFEGSYGDIYRSGSVYGDSTWRSATPDLDGSSTFSYGLGNISSDVAVRTSEDYVGSYSVASRQSNRDT >EOY12150 pep chromosome:Theobroma_cacao_20110822:7:1147215:1151152:1 gene:TCM_030741 transcript:EOY12150 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MESDLGKLFIGGISWDTDEERLKEYFRKYGEVVEAMIMRDRVTGRARGFGFVVFADPAVAERVIMDKHMIDGRTVEAKKAVPRDDQHILNRNVSSITGSPGPGRTKKIFVGGLASTVTEGDFKKYFDQFGSITDVVVMYDHNTQRPRGFGFITYDSEDAVDRVLHKTFHELNGKLVEVKRAVPKELSPGPSRSPMIGYNYGLSRAANFLNSYAQGYNLSPLGGFGVRMDGRFNPLASGRTGFPPFSTTGYGIGMNMEPGMSASYGGSSNFGNGLGYGRIISPYYSGNSNRYNTPIGYGVGSGRNDSVLSSATRNVWGNGGLSNATNASSPGGYLGSGSGSFGSLGNSGVNWSPSPAQSGGNASGYTGSAGYGNADDNYGLGGVGYGRNGATVAAPTSSFAGSTGSFEGSYGDIYRSGSVYGDSTWRSATPDLDGSSTFSYGLGNISSDVAVRTSEDYVGSYSVASRQSNRGIAA >EOY12155 pep chromosome:Theobroma_cacao_20110822:7:1147254:1150733:1 gene:TCM_030741 transcript:EOY12155 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MMVIADKMESDLGKLFIGGISWDTDEERLKEYFRKYGEVVEAMIMRDRVTGRARGFGFVVFADPAVAERVIMDKHMIDGRTVEAKKAVPRDDQHILNRNVSSITGSPGPGRTKKIFVGGLASTVTEGDFKKYFDQFGSITDVVVMYDHNTQRPRGFGFITYDSEDAVDRVLHKTFHELNGKLVEVKRAVPKELSPGPSRSPMIGYNYGLSRAANFLNSYAQGYNLSPLGGFGVRMDGRFNPLASGRTGFPPFSTTGYGIGMNMEPGMSASYGGSSNFGNGLGYGRIISPYYSGNSNRYNTPIGYGVGSGRNDSVLSSATRNVWGNGGLSNATNASSPGGYLGSGSGSFGSLGNSGVNWSPSPAQSGGNASGYTGSAGYGNADDNYGLGGVGYGRNGATVAAPTSSFAGSTGSFEGSYGDIYRSGSVYGDSTWRSATPDLDGSSTFSYGLGNISSDVAVRTSEDYVGSYSVASRQSNRGIAA >EOY12152 pep chromosome:Theobroma_cacao_20110822:7:1147215:1151152:1 gene:TCM_030741 transcript:EOY12152 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MESDLGKLFIGGISWDTDEERLKEYFRKYGEVVEAMIMRDRVTGRARGFGFVVFADPAVAERVIMDKHMIDGRTVEAKKAVPRDDQHILNRNVSSITGSPGPGRTKKIFVGGLASTVTEGDFKKYFDQFGSITDVVVMYDHNTQRPRGFGFITYDSEDAVDRVLHKTFHELNGKLVEVKRAVPKELSPGPSRSPMIGYNYGLSRAANFLNSYAQGYNLSPLGGFGVRMDGRFNPLASGRTGFPPFSTTGYGIGMNMEPGMSASYGGSSNFGNGLGYGRIISPYYSGNSNRYNTPIGYGVGSGRNDSVLSSATRNVWGNGGLSNATNASSPGGYLGSGSGSFGSLGNSGVNWSPSPAQSGGNASGYTGSAGYGNADDNYGLGGVGYGRNGATVAAPTSSFAGSTGSFEGSYGDIYRSGSVYGDSTWRSATPDLDGSSTFSYGLGNISSDVAVRTSEDYVGSYSVASRQSNRGIAA >EOY12154 pep chromosome:Theobroma_cacao_20110822:7:1148065:1150445:1 gene:TCM_030741 transcript:EOY12154 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MESDLGKLFIGGISWDTDEERLKEYFRKYGEVVEAMIMRDRVTGRARGFGFVVFADPAVAERVIMDKHMIDGRTVEAKKAVPRDDQHILNRNVSSITGSPGPGRTKKIFVGGLASTVTEGDFKKYFDQFGSITDVVVMYDHNTQRPRGFGFITYDSEDAVDRVLHKTFHELNGKLVEVKRAVPKELSPGPSRSPMIGYNYGLSRAANFLNSYAQGYNLSPLGGFGVRMDGRFNPLASGRTGFPPFSTTGYGIGMNMEPGMSASYGGSSNFGNGLGYGRIISPYYSGNSNRYNTPIGYGVGSGRNDSVLSSATRNVWGNGGLSNATNASSPGGYLGSGSGSFGSLGNSGVNWSPSPAQSGGNASGYTGSAGYGNADDNYGLGGVGYGRNGATVAAPTSSFAGSTGSFEGSYGDIYRSGSVYGDSTWRSATPDLDGSSTFSYGLGNISSDVAVRTSEDYVGSYSVASRQSNRDT >EOY11988 pep chromosome:Theobroma_cacao_20110822:7:540991:548995:1 gene:TCM_030613 transcript:EOY11988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like E1 MGNEGYVPLFETKKAQGIALYRLFAASVFVGICFIWAYRVNHIPRNGEDGRWVWIGLFAAEVWFGFYWLLTQALRWNPIYRHTFKDRLSRRYENELPGVDIFVCTADPLIEPPMMVINTVLSVMAYDYPKEKLSVYLSDDAGSYLTFYALLEASQFAKHWIPFCKKFNVEPRSPAAYFKSVSGSHDSKEVKELATVKKLYKDMENRIEAAAKPGCLSEELRSKHEGFSRWDSYVSQRDHDTMLQILINGKDPIATDVEGCRLPTLVYLARQKRPQHFHNFKAGAMNALIRISSKISNGQTILNVDCDMYSNNSHSVRDALCFFMDEKKGPEIAYVQFPQNFDNITKNEVYSNSMRVINEVEFHGLDGYGGPLYIGTGCFHRRDTLCGRKFSQGSKNEFKIEKNAEREETIHELEENSKDLANCTYEENTDWGKEMGLKYGCPVEDVITGLSIQSRGWKSVYYNPARKAFLGVATTTLGQTLVQHKRWSEGDFQILLSKYSPAWYAKGKISLGLQLGYCCYCFWASNSLPVLYYSIVPSLSLLRGISLFPQLSTPWFIPFAYVIFSTYTYSLAEFLWSGGTVLGWWNDQRIWLYKRTSSYLFAFTDTIANSLGYSADSGFVITAKVSEHDVHNRYLKEIMEFGASSPMFTVLATSGLVNLLCLAGLLKKLFIAEDISNLYQMMVLQILLCSLLVLINWPLYQGLFLRKDNGKIPSSLAIKSIVFALLVIVYQSESDVCKLDTRVKETQRSKPWLLRALDSSLGGRMQNLADFIVKCESMDVRVSHLPREGEDGRWAWIGLLAAELWFGFYWFLTQAHRWNQVYRHTFKDRLSQRYENELPGVDIFVCTADPVIEPPMMVINTVLSVMAYDYPPEKLSVYLSDDAGSHLTFYALSEASQFAKHWIPFCKKFNVEPRSPAAFFDSISNSQDSKQAKELSIIKKLYEDMKDRIEIATKLGRLPEEVHLRHKGFSQWDSYSSRNDHNTILQILIDGKYPNAKDMDGCALPTLVYLAREKRPQYPHNFKAGAMNALIRVSSEISNGQIILNVDCDMYSNNSVAVRDALCFFMDEKKGHEIAYVQFPQNFDNITKNELYSSSMRVISQVEFHGLDGYGGPLYIGTGCFHRRDTLCGRKFSRETKNEFRITTDREREEKAHALEEKLKVLANCTYEENTEWGNEMGLKYGCPVEDVITGLSIQCRGWKSVYFNPERKAFLGVAPTTLAQTLVQHKRWSEGDFQILLSKYSPAWYANGKISLGLQLGYCCYCFWASNCLAGLYYSIVPSLYLLRGISLFPECSSPWFLPFAYVAISKFAYSLAEFLWSGGTVLGWWNDQRIWLYKRTSSYLLAFIDTIAKTLGLNSDSAFVITAKVSDQEVYNRYVKEIMEFGASSPMFTTLATIALINLVCLAGLMKKVAMEESIARIYETMLLQVVLCVILVLINWPLYQGLFFRKDNGKMPNSIAIKSIVLALSVCTCFTFLT >EOY14031 pep chromosome:Theobroma_cacao_20110822:7:18303900:18304825:-1 gene:TCM_033112 transcript:EOY14031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSLGRSKNMSPFTAALNSRLLPRERQKRGKLKKQGSSMMRRLTAQMEEVTSEQERRKEEQRQLKDKLKAIEAKCDQLRKETAIVIQQSASTQIWLALMFEILRARENRDFTKAAQLAQPLRELIMKQKA >EOY14143 pep chromosome:Theobroma_cacao_20110822:7:21554747:21556171:-1 gene:TCM_033431 transcript:EOY14143 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein MSRTWPTIQMVMEEMEKVTAKSHLKDYTSPKFKQFNGKAGDAREHYMKFVETFGVACLDDDLKLKEFLEFLIEKAYTWYVNLTPDLVDFWNQVYVQVNLPFISKPPTIEEKSNPRYCDYHRTVGHSLVKCRDLCKKFYKRVQAGEIMVGNNKINNNSFPAHS >EOY14116 pep chromosome:Theobroma_cacao_20110822:7:21038872:21044819:1 gene:TCM_033378 transcript:EOY14116 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 METHHLLSPEIVHFQGWRMSWWVIYWGRRKQQKWLICRVWRHVLHKGDIVIDATCGNGYDTLAMLKMVADESGSGRVYGIDIQIDALKNTSSLLDATVTQKEKELVKLFPICHSRMDEVVPENTAVRLVAFNLGYLPGGDKGIITISKTTLLALEAAKKMLISGGLISLVVYVGHPGGSEELDTVEAFASRLSVDSWICCEFQMLNRPLAPVLVFMFKR >EOY14115 pep chromosome:Theobroma_cacao_20110822:7:21038510:21045163:1 gene:TCM_033378 transcript:EOY14115 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MSALRFCLFLNPMLSNRFLTQTNLFSSSCAFSSSLKRTSKSLCCSSNSILHSNGDTSPSLSRNCPLSGLENELVGYILGKKKATEVAHLVWRHVLHKGDIVIDATCGNGYDTLAMLKMVADESGSGRVYGIDIQIDALKNTSSLLDATVTQKEKELVKLFPICHSRMDEVVPENTAVRLVAFNLGYLPGGDKGIITISKTTLLALEAAKKMLISGGLISLVVYVGHPGGSEELDTVEAFASRLSVDSWICCEFQMLNRPLAPVLVFMFKR >EOY12400 pep chromosome:Theobroma_cacao_20110822:7:1942836:1951672:-1 gene:TCM_030915 transcript:EOY12400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MDTKETSPAEFATSVFSNVAANITTNALKKLAGKFGKPIFGFDEIIEDFNAKREQLILAIDKVQNDVNEAERQTEVINHDVEEWLTKAREELKDVQNLSDKIESNKRSKWLSGMSWRSSLSKEVEKKTLDISKLLETCNFSRVGQRPPLQGIEFIVPKDFMRSESSILAFSGIMEALKSDGVNMIGLHGMPGVGKTTLAEVVGKQATEQKLFDKVVIVTVSQTPNFNKIQDRIAEILHFNFKASTEKGKAEELWRRLKSEKKILIILDDVWKELELQIIGIPFGGEHEGCKILLTTRDQQVCCKMDCEEEFKLNILSEHEAWVLFKDKAGLKDDSPTLNVAKEVARECGCLPLAIVTVAKALKGESLDAWIAANKRLKSSRHLDNQHVCGGIYTCLELSYDYLDQDNIKQCFLLCALFPEDYEISIELLTICGIGQGLFNNNYFMEDLRREIHLALSKLQKSGLLLEANDKEHIKMHDVVRDFAHWITSRGENIFMVKDWLTEWPMSERFGCYTAISLWNIEINHLPNKVKFSKLKTLFLTGNNSLRVSCAFFERMTTLQVLLLQDVVLTLEALQFLTNLRTLCIINCELENISSLRNLENLEIFALLETNIYELPEELVGLHKLKSLYFSYDEGPYCYFPTNLLPRLTSLQELHMTSDNNANLLELNSLTGLTGLALKVSTNQCSQENFVFPKLQSYNIAVSEYYEGIEVLEGLSLRTLRIRDFSSSLNAFKELFCNVEKLTLSKVTMEHKNIVPNVDQCGLKELTSLELVYCENLECLFDTTREQSPTTAFSNLVKLDITFMTSLKELCHGQSSISFLKRLETLHIVDCKQLQSVFQMNWLFGKEESPTRTISSLRSLELTSLPALENIWKEPTHHVRLQSLKEVTIHGCDKLKSIFSPCLAQSLLHLETLNISECEKLKQVFAFDKEMADLEAVPLSNLRSLKLRLLPALESIWKGPAHCAIGLPCLQDFQLVNLINLSSENFLISSPSLEKLKVCNCPKLRNFTIQKEVNEQIQLEELYLSELVNSFQLRISANCNQEYIAVGSHEQVFQVHGRIKELHLEDLSEEQIIWKDVAQVVTLENLTILEVIDCKRLRYIFSPTIARSLSRLVNLEIQNCDELDQIIAEDQVCSSSDDDLQPISFPNLTIISVKYCKKLKHLFPLGSARCLPKLEELKVEGNSKLEQVFELEDEAEATTEKEIKFDQLRELSLKELPSLVDFCPRGYHFVLSALHYFSVKGCPKMTTGFFIDSKTYVHAKTEVYTLKTLVLSILRHNLQSL >EOY13460 pep chromosome:Theobroma_cacao_20110822:7:8589039:8605412:1 gene:TCM_032041 transcript:EOY13460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase MFTPRLLLASILVTCCLTTSVFGATLPDDEVQYLRDIAKTLGKTNWNFSVDPCSGEEGWATANPVKGFENAVTCNCSFSNASVCHVVSIVLKAQNLPGTLPKELVKFPYLQEIDLSRNFINGTIPAEWGSMQLVNISLLGNRLSGSIPKELGNITTLTSISAEFNQLSGALPQELGKLPKIQRMLLSSNNFTGDIPETFAKLTTLKDFRISDNHFTGKIPDFIQNWTKLEKLAIQASGLIGPISSSIGALEKLTDLRISDLNGSDATFPPLSSMQKMKILILRSCNLIGQLPEYLGDMTTLKTLDLSFNKLSGEIPTSFSGLVDVDYLYFTRNLLSGSVPSWILEKGDVVDLSYNNFTAGSQGTLTCQQRSVNLFASTSRGNTSGTVYCLRSFQCPKSWYSLHINCGGRQVSLGGNTTYEEDTDGSGPSRFFQSRSNWAFSSTGHFLDDDRPTDTYIGTNASKLSMNDSQLYMNARISPISLTYYGFCLGNGNYTVNLHFAEIMFTNDNTYSSLGRRIFDIYLQGKLVQKDFNIEDEAGGVGKAVIKKFPVAVTNSTLEIRFHWAGKGTTGIPVRGVYGPLISAISVNPDFIPPSENTGASSGISVGAVIGIVAGAAFGILLIVVLLWWSGYLRQKSTLEQDLKGLDLKIGSFSLRQIKAATNNFDAANKIGEGGFGPVYKGLLADGTEIAVKQLSAKSKQGNREFVNELGMISALQHPHLVKLYGCCIEGNQLLLIYEYLENNSLARALFGPEDRQLKLDWPTRQKICIGIARGLAYLHEESRLKIVHRDIKATNVLLDKHLNPKISDFGLAKLDEEDNTHISTRVAGTFGYMAPEYAMRGYLTDKADVYSFGIVALEIVSGRSNISYRPKEECFHLIDWVLTLKEQGSLLDLVDPRMGSDYNKEEVMAMINVALLCTNATAAARPAMSSVVSMLEGRAAVQELVTDSSNFSDESKSQAMKRLYQHLEEKSAPESQRHSSMSTVGPWTSSSTSAADLYPVTLTSDYWQNRDSSTT >EOY12441 pep chromosome:Theobroma_cacao_20110822:7:2241670:2243569:1 gene:TCM_030957 transcript:EOY12441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPYWVDITVSLSKGHQYVPIWHLKDRVAWGVHLREKRRALKQKRASDGVKRRHIDISREDNQSCPHLVDEEDDEVDVTPAAQSQKHMPPPPQTHTASPTTSVARCRTSARLPPHRFVHIAQSSLRRGTVNDDLARMRDLRRAAGKLRRLWKKDMQSIEDRLRQEWKKDMQSLEGRLLHRLLNFSAVTKGGFTGPHDGTPNDDGKTHGVHGSGDGVDGDSGGNEQPDKVCDICGDVGFQELIITCSQCSIGHHKYCKQALAMDALEDWACEACLKAVPSHST >EOY12442 pep chromosome:Theobroma_cacao_20110822:7:2241709:2243256:1 gene:TCM_030957 transcript:EOY12442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPYWVDITVSLSKGHQYVPIWHLKDRVAWGVHLREKRRALKQKRAIARCRTSARLPPHRFVHIAQSSLRRGTVNDDLARMRDLRRAAGKLRRLWKKDMQSIEDRLRQEWKKDMQSLEGRLLHRLLNFSAVTDGTPNDDGKTHGVHGSGDGVDGDSGGNEQPDKVCDICGDVGFQELIITCSQCSIGHHKYCKQALAMDALEDWACEACLKAVPSHST >EOY13054 pep chromosome:Theobroma_cacao_20110822:7:5658941:5660456:1 gene:TCM_031573 transcript:EOY13054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTGPDFPLATPSVLSLRVPTGGDKQLMFFLWKILFLLPQRTVTLERHITVGMCPICHLPLHHREYQRRIISTICVALKFFPLKIISFQHSHKDHIVAKNNIFRAFFT >EOY13586 pep chromosome:Theobroma_cacao_20110822:7:9379497:9381961:1 gene:TCM_032186 transcript:EOY13586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYRQMKFNVDGAARGCPGPAGIGGILRDHRGYVKIIFSKAIGGADSNLAETMAVREALLTYSVSRWKENHKLLIESDSSNAVKWTNHPDTAPWRMRKLILQMGRLKREVEGWEIRHVRREANQRTDTLAKEGVQLQSAILRTFT >EOY11958 pep chromosome:Theobroma_cacao_20110822:7:445071:449668:-1 gene:TCM_030591 transcript:EOY11958 gene_biotype:protein_coding transcript_biotype:protein_coding description:GBF-interacting protein 1, putative isoform 1 MSSKGGGGGGGGGRGGEGSGVSIPDNAKKTIQSIREITGKQHSDEEIYAVLKECSMDPNESAQKLLYLDTFHEVKRKRVRKKEATNMMQTAGTQGRGGRGSRGKYYTSADAGGGRNASARRENGVNHTSDRGSMPLSVSQKAKSNAAPQTTKTPTAIPNGTTTLPNGSSSDGRGSQLSAGGASNETKDGFFANKLVTVSVQPAVLEPPAPIPAQSFGSLIKDQEKSTSNSNPSSTSATSTSVSGVCSSAADPVLAPTVSRHAGAVSTIKHEIGNPQEAAEVNHTQGNKHVSHDINVSKTEKAASEVPSSMPGKKALSKSKVAEQVQQPKTIEPSLLQVVTSEVAAVTVEDNSQLLPDSNVPNGQHVTFPTHFQVSEALKNGLTFGSFDASFGQGTKHDNSTSVEINSACPVETSHGSDETAGEPSSSSQGILSAVEGDNSDRPQSPSEFEKVPESDGNISSDADLKGNHSNQEMHLHPEVNQSVIPNVPSYGFGFIPASASHLAQFDGPDARAPDASRLINFASGNSPAPSGSSTPPVQSSVAAAPQAVHLFRQPFPPNYFP >EOY11957 pep chromosome:Theobroma_cacao_20110822:7:443522:449734:-1 gene:TCM_030591 transcript:EOY11957 gene_biotype:protein_coding transcript_biotype:protein_coding description:GBF-interacting protein 1, putative isoform 1 MSSKGGGGGGGGGRGGEGSGVSIPDNAKKTIQSIREITGKQHSDEEIYAVLKECSMDPNESAQKLLYLDTFHEVKRKRVRKKETANMMQTAGTQGRGGRGSRGKYYTSADAGGGRNASARRENGVNHTSDRGSMPLSVSQKAKSNAAPQTTKTPTAIPNGTTTLPNGSSSDGRGSQLSAGGASNETKDGFFANKLVTVSVQPAVLEPPAPIPAQSFGSLIKDQEKSTSNSNPSSTSATSTSVSGVCSSAADPVLAPTVSRHAGAVSTIKHEIGNPQEAAEVNHTQGNKHVSHDINVSKTEKAASEVPSSMPGKKALSKSKVAEQVQQPKTIEPSLLQVVTSEVAAVTVEDNSQLLPDSNVPNGQHVTFPTHFQVSEALKNGLTFGSFDASFGQGTKHDNSTSVEINSACPVETSHGSDETAGEPSSSSQGILSAVEGDNSDRPQSPSEFEKVPESDGNISSDADLKGNHSNQEMHLHPEVNQSVIPNVPSYGFGFIPASASHLAQFDGPDARAPDASRLINFASGNSPAPSGSSTPPVQSSVAAAPQAVHLFRQPFPPNYFPYPHYLPPFYMHPMQQYLNPSGLPQQPSTGNVYMPPGAAAPGVKFPLPQFKPGTNAGNPAHLTIPSGYGPLTSPPLGFNISVPSATSGSSGSKEDLAVLQLKENHIYTTGPLNEGSALWMPAPGQDLSNLQVNSLYNLSLHGQQVPFSPAQAGHGAFAGLYQSPQTMAAPSNVNTLLQQSQAMAAAVETVGPPTGAYQQPQHAQINWNTNY >EOY13911 pep chromosome:Theobroma_cacao_20110822:7:13252066:13253018:1 gene:TCM_032665 transcript:EOY13911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVKTYTKLILVSQLFALIRSTSFIAMTTPLVMYEQNHQDGTNVEVACHLIANMTFQRDLLSRKGSFIM >EOY12370 pep chromosome:Theobroma_cacao_20110822:7:1843148:1846278:1 gene:TCM_030894 transcript:EOY12370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MANFEAPSFSLGLDLDPDTEPRSPTGNHPGPILAPDSSASFDATEDGDDEFGPEQEVKDSDTPPEPPRVLKRLRRAGDKSSATKKESEKPLVWNDGDDEIEEFCSSQEKNADVDSSTQNHSVCGSSKISLKGLGVLTTQSSGQCSSRKKEQVSDAPATASLEARHGGLIFPKLNISPLRRFKLLDSDSDGSEGPSDCDDTSKGACKIDPPSKEQQSTISNKKRKASVVTPQNEDLWKDFTPINTSHIPTPAFDEVFKEYFQSVKDTNAAQKLENQKFEELLNLDDPLPPAHCYFFHDDPRIQKLVRSRLPFFSPLHMVKNGGNQQHNVSVIDYMSQFSNGESSKQRGSQKGGGKKCSMSRRKKSKNSKAEETASEGWVDLKSSAAIPKNAGKRRVHASDQPAGHWYTSPEGRKVYVSRSGQELSGQMAYRHYRKESGAGFRKSKKKRNAKKKK >EOY12371 pep chromosome:Theobroma_cacao_20110822:7:1843369:1846396:1 gene:TCM_030894 transcript:EOY12371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MANFEAPSFSLGLDLDPDTEPRSPTGNHPGPILAPDSSASFDATEDGDDEFGPEQEVKDSDTPPEPPRVLKRLRRAGDKSSATKKESEKPLVWNDGDDEIEEFCSSQEKNDVDSSTQNHSVCGSSKISLKGLGVLTTQSSGQCSSRKKEQVSDAPATASLEARHGGLIFPKLNISPLRRFKLLDSDSDGSEGPSDCDDTSKGACKIDPPSKEQQSTISNKKRKASVVTPQNEDLWKDFTPINTSHIPTPAFDEVFKEYFQSVKDTNAAQKLENQKFEELLNLDDPLPPAHCYFFHDDPRIQKLVRSRLPFFSPLHMVKNGGNQQHNVSVIDYMSQFSNGESSKQRGSQKGGGKKCSMSRRKKSKNSKAEETASEGWVDLKSSAAIPKNAGKRRVHASDQPAGHWYTSPEGRKVYVSRSGQELSGQMAYRHYRKKQCHWLRPIETLMI >EOY12372 pep chromosome:Theobroma_cacao_20110822:7:1843379:1845324:1 gene:TCM_030894 transcript:EOY12372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MANFEAPSFSLGLDLDPDTEPRSPTGNHPGPILAPDSSASFDATEDGDDEFGPEQEVKDSDTPPEPPRVLKRLRRAGDKSSATKKESEKPLVWNDGDDEIEEFCSSQEKNDVDSSTQNHSVCGSSKISLKGLGVLTTQSSGQCSSRKKEQVSDAPATASLEARHGGLIFPKLNISPLRRFKLLDSDSDGSEGPSDCDDTSKGACKIDPPSKEQQSTISNKKRKASVVTPQNEDLWKDFTPINTSHIPTPAFDEVFKEYFQSVKDTNAAQKLENQKFEELLNLDDPLPPAHCYFFHDDPRIQKLVRSRLPFFSPLHMVKNGGNQQHNVSVIDYIA >EOY12374 pep chromosome:Theobroma_cacao_20110822:7:1843148:1846336:1 gene:TCM_030894 transcript:EOY12374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MANFEAPSFSLGLDLDPDTEPRSPTGNHPGPILAPDSSASFDATEDGDDEFGPEQEVKDSDTPPEPPRVLKRLRRAGDKSSATKKESEKPLVWNDGDDEIEEFCSSQEKNDVDSSTQNHSVCGSSKISLKGLGVLTTQSSGQCSSRKKEQVSDAPATASLEARHGGLIFPKLNISPLRRFKLLDSDSDGSEGPSDCDDTSKGACKIDPPSKEQQSTISNKKRKASVVTPQNEDLWKDFTPINTSHIPTPAFDEVFKEYFQSVKDTNAAQKLENQKFEELLNLDDPLPPAHCYFFHDDPRIQKLVRSRLPFFSPLHMVKNGGNQQHNVSVIDYMSQFSNGESSKQRGSQKGGGKKCSMSRRKKSKNSKAEETASEGWVDLKSSAAIPKNAGKRRVHASDQPAGHWYTSPEGRKVCLCLKKWTGIIGSNGL >EOY12373 pep chromosome:Theobroma_cacao_20110822:7:1843148:1846336:1 gene:TCM_030894 transcript:EOY12373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MANFEAPSFSLGLDLDPDTEPRSPTGNHPGPILAPDSSASFDATEDGDDEFGPEQEVKDSDTPPEPPRVLKRLRRAGDKSSATKKESEKPLVWNDGDDEIEEFCSSQEKNDVDSSTQNHSVCGSSKISLKGLGVLTTQSSGQCSSRKKEQVSDAPATASLEARHGGLIFPKLNISPLRRFKLLDSDSDGSEGPSDCDDTSKGACKIDPPSKEQQSTISNKKRKASVVTPQNEDLWKDFTPINTSHIPTPAFDEVFKEYFQSVKDTNAAQKLENQKFEELLNLDDPLPPAHCYFFHDDPRIQKLVRSRLPFFSPLHMVKNGGNQQHNVSVIDYMSQFSNGESSKQRGSQKGGGKKCSMSRRKKSKNSKAEETASEGWVDLKSSAAIPKNAGKRRVHASDQPAGHWYTSPEGRKVYVSRSGQELSGQMAYRHYRKESGAGFRKSKKKRNAKKKK >EOY13993 pep chromosome:Theobroma_cacao_20110822:7:16767231:16774056:-1 gene:TCM_032988 transcript:EOY13993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 17 MGASLLSSPAFLVFFSFFTLGLLPGPVLGITRHYKFDIKLHNVTRLRNTKSIVSVNGQFPGPRIVAREGDQLLIKVVNHVSNNISIHWHGIRQLRSGWADGPAYVTQCPVQTGQSYVYNFTIVGQRGTLFWHAHISWLRATVYGPLVILPKRGVPYPFPKPYKEVPIIFGEWFNADPEAVISQALQTGGGPNVSDAYTINGLPGPLYNCSAKDTFKLKVKPGRTYLLRLINAALNDELFFSIANHTLTVVDVDAIYVKPFETETLLITPGQTTNVLLKTKPSYPSATFFMTARPYVTGQGTFDNSTVAGILEYESPSNSFHSSIKMLPLFKPILPALNDTSFATNFASKLRSLASAQYPANVPRKVDKHFFFTVGLGTSACQQNQTCQGPNGTKFAASVNNVSFTMPTTALLQSHFFGQSNGVYTPDFPINPIIPFNYTGTPPNNTMVSNGTKVVVLPFNTSVELIMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPNKDPANFNLVDPIERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWIVLDGKLPNQKLLPPPADLPKC >EOY12437 pep chromosome:Theobroma_cacao_20110822:7:2227020:2231323:-1 gene:TCM_030952 transcript:EOY12437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MSLVPWLILGVNATSRSSMWHLIILLTVQNLGSAMADVVVDAMVAEAVRCEKALFAGDLQSISWLAMAFGGICGSLLGGYALTNLEIDIIFLLFSVLPAIQLFSCGLVEENSVDSEVFSKFSNSSDSHLLNGKTNNLNEDGSLEKKSNVTVRRRKKNQKKSKKTQFMPRKGKSPGKGKSLAIQWFQSLKDATYSLCRAFKQPIILRPMAWFFLAHITVPNLSTVMFYYQTEFLKLEASFLGTARVIGWLGLMIGTFTYNQYLKKMKLRRILMLAHVGLAFLNLFDIVLVSRTNVAFGVSDKTMVLCGSALSDAINQLKFMPFLILSGQLCPPGIEGTLFALFMSINNFGSTVGSFVGAGLASILNISSGSFDNLLLGIAIQLFCTFIPIMLLFLIPKEATGIAS >EOY12436 pep chromosome:Theobroma_cacao_20110822:7:2227824:2231313:-1 gene:TCM_030952 transcript:EOY12436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MIQWIKQLKTAFGVAFLWLVCLIYFTQGFRSFVWTAVSYQLKDRIKLSPSASQFVFSIAFFPWSIKPIYGILSDCIPIKGKKRIPYLVIATVMSLVPWLILGVNATSRSSMWHLIILLTVQNLGSAMADVVVDAMVAEAVRCEKALFAGDLQSISWLAMAFGGICGSLLGGYALTNLEIDIIFLLFSVLPAIQLFSCGLVEENSVDSEVFSKFSNSSDSHLLNGKTNNLNEDGSLEKKSNVTVRRRKKNQKKSKKTQFMPRKGKSPGKGKSLAIQWFQSLKDATYSLCRAFKQPIILRPMAWFFLAHITVPNLSTVMFYYQTEFLKLEASFLGTARVIGWLGLMIGTFTYNQYLKKMKLRRILMLAHVGLAFLKCLTFSFDNSST >EOY12435 pep chromosome:Theobroma_cacao_20110822:7:2227592:2231185:-1 gene:TCM_030952 transcript:EOY12435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MIQWIKQLKTAFGVAFLWLVCLIYFTQGFRSFVWTAVSYQLKDRIKLSPSASQFVFSIAFFPWSIKPIYGILSDCIPIKGKKRIPYLVIATVMSLVPWLILGVNATSRSSMWHLIILLTVQNLGSAMADVVVDAMVAEAVRCEKALFAGDLQSISWLAMAFGGICGSLLGGYALTNLEIDIIFLLFSVLPAIQLFSCGLVEENSVDSEVFSKFSNSSDSHLLNGKTNNLNEDGSLEKKSNVTVRRRKKNQKKSKKTQFMPRKGKSPGKGKSLAIQWFQSLKDATYSLCRAFKQPIILRPMAWFFLAHITVPNLSTVMFYYQTEFLKLEASFLGTARVIGWLGLMIGTFTYNQYLKKMKLRRILMLAHVGLAFLNLFDIVLVSRTNVAFGVSDKTMVLCGSALSDAINQLKFMPFLILSGQLCPPGIEGTLFALFMSINNFGSTVGSFVGAGLASILNISSGSFDNLLLGIAIQLFCTFIPIMLLFLIPKEATGIAS >EOY12062 pep chromosome:Theobroma_cacao_20110822:7:750387:756041:1 gene:TCM_030665 transcript:EOY12062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 11 precursor MKVSRFLFFLLLSLSLFLSATSHGGSDDDDKGAGESNEPPHSLRSKSLVLVKIWCLILVFVGTFIGGVSPYFLKWNQGFLVLGTQFAGGVFLGTAMMHFLSDANETFEDLTSKEYPFAFMLACAGYLLTMVADCVVSYVYGKGKSSSNHGDLELQGPEQTKSNPHCHGNPPSGNGTDTACAQSSSLTTTEADAWKALWTISLHKIFAAIAMGIALLRMIPDRPLLSCVAYAFAFAISSPVGVAIGIIIDATTQGAVADWIFAISMGLACGVFIYVSINHLLSKGYTPQKTVSVDTPHHKFFAVLLGVGVIAVVMIWDT >EOY13831 pep chromosome:Theobroma_cacao_20110822:7:11241436:11247975:-1 gene:TCM_032487 transcript:EOY13831 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein isoform 2 MQDVVTKVAVVGSGISGAVCAATLARNGISVTLFDSARGPGGRMSQRREITEDGKELLFDHGAPYFTVTNPDVLSVVAAWESSGLVAEWKINLGSFDCFSNKFVNVEHQERQSKKYVGVPGMNSICKALCHEPGVESKFNVGVRKFEWLENENLWLLTGLDGQNLGHFKGVVASDKNIVSPRFTEITGQSPPLDLSLAPELVKLQKIPVNPCFALMLAFTDPLPMVPAQGFSFTNSKVLSWAFCESSKPGRSSTSERWVLHSTMEYAKDVIAQTGLQKPSRETLSKVGNEMLQEFLGTGLSIPQPFFKKAHRWGSAFPAASIAKEEKCLWDEKKRLAICGDFCVSPNVEGAILSGLAAASKLKGMLRSLF >EOY13832 pep chromosome:Theobroma_cacao_20110822:7:11241005:11248149:-1 gene:TCM_032487 transcript:EOY13832 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein isoform 2 MQDVVTKVAVVGSGISGAVCAATLARNGISVTLFDSARGPGGRMSQRREITEDGKELLFDHGAPYFTVTNPDVLSVVAAWESSGLVAEWKINLGSFDCFSNKFVNVEHQERQSKKYVGVPGMNSICKALCHEPGVESKFNVGVRKFEWLENENLWLLTGLDGQNLGHFKGVVASDKNIVSPRFTEITGQSPPLDLSLAPELVKLQKIPVNPCFALMLAFTDPLPMGFSFTNSKVLSWAFCESSKPGRSSTSERWVLHSTMEYAKDVIAQTGLQKPSRETLSKVGNEMLQEFLGTGLSIPQPFFKKAHRWGSAFPAASIAKEEKCLWDEKKRLAICGDFCVSPNVEGAILSGLAAASKLKGMLRSLF >EOY13192 pep chromosome:Theobroma_cacao_20110822:7:6468402:6472586:-1 gene:TCM_031715 transcript:EOY13192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactate/malate dehydrogenase family protein MFRSVARSAAGKHLLRRGYATDSVPDRKVAVLGAAGGIGQPLALLMKLNPLVSQLALYDIANTPGVAADVSHINTRSEVAGYVGEEQLGQALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKGLCAAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDERKLFGVTTLDVVRAKTFYAGKAKVPVADVNVPVVGGHAGITILPLFSQATPKANLSEEEITALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVVECSFVQSTVTELPFFASKVKLGKNGVEEVLGLGPLSDYEKQGLESLKPELKASIEKGIKFANQS >EOY13988 pep chromosome:Theobroma_cacao_20110822:7:16640565:16649961:1 gene:TCM_032975 transcript:EOY13988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar complex protein 3 isoform 1 MVEETFESKKCKLAELGMALLADPESSIKSLKEMLQFAKDGDHSIVKLGMLSLLAVFKDIIPGYRIRLPTEKELEMKVSKEVKKMRYYESTLLSAYKGYLQKLLALEKRPIFHHVVVRCICTLLDAVPHFNFCESLLGAVVRNIGSSDDVVRRLCCATIKSLFTNEGKHGGEATVEAVRLIADHVKAHDCQLHPDSVEVLMSLSFDENLGKPEVQEGNNKMKSKKYKKRKNIEETNQIQGNDRKKGKQEMMAKMKEEVNITTIAELLDVLFIFLVIIVTALMFEFFAQVAADYKAVAYTPDVEERKRMQSETLSAVFETYFRILRHTTQSSVASSEANGSTTPGASGAHPLLAPCLSGLGKFSHLIDLDYIGDLMNYLKRLASGGSNSDVSAQKVQNLTVSERLHCCIVAFKVMTSNLDALNVDLQDFFVQLYNLVLEYRPGRDQGGVLAEALKIMLCDDRQHDMQKAAAFAKRLATFSLCFGSAESMAALVTLKNLLQKNVKCRNLLENDAGGGSVSGSIAKYQPYASDPNLSGALASVLWELNLLSKHYHPTVSTLAASISCMNTAQNQVYLSITPQQAFINLSLEQESFDPKFSTQKSNNKRKRGTGPSTLASINPTSIDENEVSKKLGRHFMLLRDIKENERLRGELDRTRSSLQLYEEYKKQRKSLKHKTKKSKKPLPVN >EOY13986 pep chromosome:Theobroma_cacao_20110822:7:16639311:16650128:1 gene:TCM_032975 transcript:EOY13986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar complex protein 3 isoform 1 MGKSKGKQRKQVTLPPELPPEITEDEIEVSDEDLQFVDENTDYAGFVSRLDTHSITRQVTRVEGLSEDALEALYENRRRKALEQKENERSVVQVDPVDALPVKTLDGEVYYRTFSQIAEVAENEEGNEDKSIVKLTKAERRAKLKKSKKEAKKQGKELAKTEEVLPTQQEAILAEVKEDLMVEETFESKKCKLAELGMALLADPESSIKSLKEMLQFAKDGDHSIVKLGMLSLLAVFKDIIPGYRIRLPTEKELEMKVSKEVKKMRYYESTLLSAYKGYLQKLLALEKRPIFHHVVVRCICTLLDAVPHFNFCESLLGAVVRNIGSSDDVVRRLCCATIKSLFTNEGKHGGEATVEAVRLIADHVKAHDCQLHPDSVEVLMSLSFDENLGKPEVQEGNNKMKSKKYKKRKNIEETNQIQGNDRKKGKQEMMAKMKEEVAADYKAVAYTPDVEERKRMQSETLSAVFETYFRILRHTTQSSVASSEANGSTTPGASGAHPLLAPCLSGLGKFSHLIDLDYIGDLMNYLKRLASGGSNSDVSAQKVQNLTVSERLHCCIVAFKVMTSNLDALNVDLQDFFVQLYNLVLEYRPGRDQGGVLAEALKIMLCDDRQHDMQKAAAFAKRLATFSLCFGSAESMAALVTLKNLLQKNVKCRNLLENDAGGGSVSGSIAKYQPYASDPNLSGALASVLWELNLLSKHYHPTVSTLAASISCMNTAQNQVYLSITPQQAFINLSLEQESFDPKFSTQKSNNKRKRGTGPSTLASINPTSIDENEVSKKLGRHFMLLRDIKENERLRGELDRTRSSLQLYEEYKKQRKSLKHKTKKSKKPLPVN >EOY13987 pep chromosome:Theobroma_cacao_20110822:7:16639912:16649961:1 gene:TCM_032975 transcript:EOY13987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar complex protein 3 isoform 1 MVEETFESKKCKLAELGMALLADPESSIKSLKEMLQFAKDGDHSIVKLGMLSLLAVFKDIIPGYRIRLPTEKELEMKVSKEVKKMRYYESTLLSAYKGYLQKLLALEKRPIFHHVVVRCICTLLDAVPHFNFCESLLGAVVRNIGSSDDVVRRLCCATIKSLFTNEGKHGGEATVEAVRLIADHVKAHDCQLHPDSVEVLMSLSFDENLGKPEVQEGNNKMKSKKYKKRKNIEETNQIQGNDRKKGKQEMMAKMKEEVAADYKAVAYTPDVEERKRMQSETLSAVFETYFRILRHTTQSSVASSEANGSTTPGASGAHPLLAPCLSGLGKFSHLIDLDYIGDLMNYLKRLASGGSNSDVSAQKVQNLTVSERLHCCIVAFKVMTSNLDALNVDLQDFFVQLYNLVLEYRPGRDQGGVLAEALKIMLCDDRQHDMQKAAAFAKRLATFSLCFGSAESMAALVTLKNLLQKNVKCRNLLENDAGGGSVSGSIAKYQPYASDPNLSGALASVLWELNLLSKHYHPTVSTLAASISCMNTAQNQVYLSITPQQAFINLSLEQESFDPKFSTQKSNNKRKRGTGPSTLASINPTSIDENEVSKKLGRHFMLLRDIKENERLRGELDRTRSSLQLYEEYKKQRKSLKHKTKKSKKPLPVN >EOY12390 pep chromosome:Theobroma_cacao_20110822:7:1896528:1900230:1 gene:TCM_030904 transcript:EOY12390 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 1 MRRPKSRTVRKKHKENEFQEIEVLNEWIESQKPESGFNPLSLDPLQSKMPIGRIVDPQSGAVSFSRYAGARKFYELPISKRAKNGLEEGGFKKMTDIQVASLPHALCGRDILGAAKTGSGKTLAFVIPVLEKLYRERWGPEDGVGSIIISPTRELAGQLFDVVKTVGKYHNFSAGLLIGGRKGVDTEKERVNELNILVCTPGRLLQHMDETPNFDCSQLQVLVLDEADRILDIGFKKTLNAIVSQLPKCRQTMLFSATQTKSVQDLARLSLKDPEYLSVHEEAVTATPNRLQQTAMIVPLDQKLDMLWSFIKAHLRSKILVFLSSCKEVKFVFEAFKKLRPGIPLKCLHGRMNQEKRMGIYSQFCESHSVLFSTDVASRGLDFNKAVDWVVQVDCPEDVASYIHRVGRTARYLSGGRSVLFLMPSEMKMLEKLQAAKIPIQFIKANSKRLQPVSGLLSALLVKYPDMQHLAQRAFITYLRSIHIQKDKEVFDVMKLPIDEYSASLGLPMTPKVRFLNQKKKSKKESEKSSFLESEIFDEENESVMPKEELLVEDVKDKKVDKDFLLKDGTQDVGEQNASEIGDTMYAPHSCLT >EOY12388 pep chromosome:Theobroma_cacao_20110822:7:1892851:1901328:1 gene:TCM_030904 transcript:EOY12388 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 1 MMHTVANSKASFIEQFSSSALFLSPISVSLLHLVLLCFSVDSIGISLSVPKLATFCSSESGFNPLSLDPLQSKMPIGRIVDPQSGAVSFSRYAGARKFYELPISKRAKNGLEEGGFKKMTDIQVASLPHALCGRDILGAAKTGSGKTLAFVIPVLEKLYRERWGPEDGVGSIIISPTRELAGQLFDVVKTVGKYHNFSAGLLIGGRKGVDTEKERVNELNILVCTPGRLLQHMDETPNFDCSQLQVLVLDEADRILDIGFKKTLNAIVSQLPKCRQTMLFSATQTKSVQDLARLSLKDPEYLSVHEEAVTATPNRLQQTAMIVPLDQKLDMLWSFIKAHLRSKILVFLSSCKEVKFVFEAFKKLRPGIPLKCLHGRMNQEKRMGIYSQFCESHSVLFSTDVASRGLDFNKAVDWVVQVDCPEDVASYIHRVGRTARYLSGGRSVLFLMPSEMKMLEKLQAAKIPIQFIKANSKRLQPVSGLLSALLVKYPDMQHLAQRAFITYLRSIHIQKDKEVFDVMKLPIDEYSASLGLPMTPKVRFLNQKKKSKKESEKSSFLESEIFDEENESVMPKEELLVEDVKDKKVDKDFLLKDGTQDVGEQNASEIGDTMPVTRVLKKKKLRINVHRPLGTRVVFDEEGNTQTPFAMLGDKKSGNILLDQDKKDEYYKKMRAELKQVDKEDKLLERQRLREKRLKQKMKRKKGREEEEDDEEDEDDLSGSEGESDANRKHKRSKVYFHSDSDDGEREENKADTGFNADSVSLAEQEELALKLLNSMHS >EOY12387 pep chromosome:Theobroma_cacao_20110822:7:1896528:1901605:1 gene:TCM_030904 transcript:EOY12387 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 1 MRRPKSRTVRKKHKENEFQEIEVLNEWIESQKPESGFNPLSLDPLQSKMPIGRIVDPQSGAVSFSRYAGARKFYELPISKRAKNGLEEGGFKKMTDIQVASLPHALCGRDILGAAKTGSGKTLAFVIPVLEKLYRERWGPEDGVGSIIISPTRELAGQLFDVVKTVGKYHNFSAGLLIGGRKGVDTEKERVNELNILVCTPGRLLQHMDETPNFDCSQLQVLVLDEADRILDIGFKKTLNAIVSQLPKCRQTMLFSATQTKSVQDLARLSLKDPEYLSVHEEAVTATPNRLQQTAMIVPLDQKLDMLWSFIKAHLRSKILVFLSSCKEVKFVFEAFKKLRPGIPLKCLHGRMNQEKRMGIYSQFCESHSVLFSTDVASRGLDFNKAVDWVVQVDCPEDVASYIHRVGRTARYLSGGRSVLFLMPSEMKMLEKLQAAKIPIQFIKANSKRLQPVSGLLSALLVKYPDMQHLAQRAFITYLRSIHIQKDKEVFDVMKLPIDEYSASLGLPMTPKVRFLNQKKKSKKESEKSSFLESEIFDEENESVMPKEELLVEDVKDKKVDKDFLLKDGTQDVGEQNASEIGDTMPVTRVLKKKKLRINVHRPLGTRVVFDEEGNTQTPFAMLGDKKSGNILLDQDKKDEYYKKMRAELKQVDKEDKLLERQRLREKRLKQKMKRKKGREEEEDDEEDEDDLSGSEGESDANRKHKRSKVYFHSDSDDGEREENKADTGFNADSVSLAEQEELALKLLNSMHS >EOY12389 pep chromosome:Theobroma_cacao_20110822:7:1897901:1901328:1 gene:TCM_030904 transcript:EOY12389 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 1 MLFSATQTKSVQDLARLSLKDPEYLSVHEEAVTATPNRLQQTAMIVPLDQKLDMLWSFIKAHLRSKILVFLSSCKEVKFVFEAFKKLRPGIPLKCLHGRMNQEKRMGIYSQFCESHSVLFSTDVASRGLDFNKAVDWVVQVDCPEDVASYIHRVGRTARYLSGGRSVLFLMPSEMKMLEKLQAAKIPIQFIKANSKRLQPVSGLLSALLVKYPDMQHLAQRAFITYLRSIHIQKDKEVFDVMKLPIDEYSASLGLPMTPKVRFLNQKKKSKKESEKSSFLESEIFDEENESVMPKEELLVEDVKDKKVDKDFLLKDGTQDVGEQNASEIGDTMPVTRVLKKKKLRINVHRPLGTRVVFDEEGNTQTPFAMLGDKKSGNILLDQDKKDEYYKKMRAELKQVDKEDKLLERQRLREKRLKQKMKRKKGREEEEDDEEDEDDLSGSEGESDANRKHKRSKVYFHSDSDDGEREENKADTGFNADSVSLAEQEELALKLLNSMHS >EOY12256 pep chromosome:Theobroma_cacao_20110822:7:1499533:1500626:1 gene:TCM_030819 transcript:EOY12256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDEVMTAASASAWFRSASSPTSIVPSNVPLLSAVLAFALARFLNLFTTCIGASLFGLAPMEIGNIKHAGSRRRDGILEGCQTLDGILMFLFLCPVP >EOY13413 pep chromosome:Theobroma_cacao_20110822:7:8142406:8151711:1 gene:TCM_031979 transcript:EOY13413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MGNTRFCLPLIVVLLFRNFITSLSIESPNITSDQLALLALKSHVTFDPQNLLAFNWSSATSVCNWIGITCGSRHHRVTTLNLFGMGLVGTIPPHLGNLSFLSRLSMGNNSFHGSLPNQLANLRRLNFINFAHNNISGEIPSWFSSFTQLQNLFLQGSIPPSIFNISSLQIVDLGRNKLSGHLPSDMFGNLPELQVLNLGENQLSGKIPSSLFKCKELELLYLHNNHFEGNLPMEIGNLTMLNLLYFGSNILKGQIPWQIGYLQNLEILSLLENNLAGPIPSSIGNLTILKELDFSFNGLSGTLPPQIGNLENLEILYLAENNITGFIPPSIFNISTAKIIWLALNRLSGELPSSTGLRLPNLEGLYLGGNELSGPIPISISNASQLINLHLLNNSFSGFIPDNLGNLRYLKNLDLSHNNLSSNPSSPELSFLSSLTNCRELKKLTFDGNPLISGELPISVGNLSSSLAQFYSSLCNIRGNIPREIGNLSKLLWLGLDHNDLTGTIPTTIGRLRELQNVNLGFNKLEGSIPSELCHLEKLAYLTLTGNKLSGPIPSCLGDVVSLRNLFLGSNNFTSIPSTLTRLDGILFLELSSNSLSGSLPIDIGKWKSVTNLNLSENQFSGTIPSSIGDLTDLTHLSLSGNILHDSIPESVSELISLEFLDLSRNNLSGTIPKSLEQLSNLKYFNVSFNRLQGKIPNGGSFANYSIQSFMGNEALCGSPRLQVPPCKTNPSRRSKTGTELLKYILPVIGSTILILAMVIIFLRNRNRKAEVPTQENLLTLAEWRRISYHELHQATDGFSESNLLGVGSFGSVYQGTLSNDMSIAVKVFNVTLDRALKSFDVECEVLRNIRHRNLVKIFSSCSNVDFKALILEFMPHGNLEKWLYSHNYFLDISQRLNIMIDIASALEYLHHGHNPAVVHCDLKPNNVLLDKDMVAHLGDFGIAKLLGEEDLMKQTVTLATIGYMSPEYGSEGIVSTKGDVYSFGILLMETFTRKKPTDEMFVEEISLKGWVKESLPSAVVHVVDTNLLNTGERERLAAKDCVLSVLQLALGCSAELPEDRIDMKEVVASLKKIKVKLNQ >EOY13854 pep chromosome:Theobroma_cacao_20110822:7:11627271:11631532:1 gene:TCM_032525 transcript:EOY13854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSILLSFRRLNDYQIWFSNKYGEYTVKSGYWMLSFPMGNPGVGSSSSGIQGIWKKLWTLGVLRKLILFAWRLFKEALIQRRINVELCALCGVELEILFHCLGACQFARAVLFDKENVEEVVGILPVVWNARNALIFKQQNMDPTMVVEMGMDAAIFKVNGMKMVSACFMVESEAGTVQLAGVKKFRCGSLVVEAKLNALAWSLYVCCQENSAVKEVELDKSVAVGWLREKNYKGGARNIVEDCCLLMEQLRCIGASHCTRESNRSAHSVAQLGRKLQHENDQLIWTSLEALPEQILSVLRQDWIGLQEAEDG >EOY14332 pep chromosome:Theobroma_cacao_20110822:7:24129211:24133103:-1 gene:TCM_033712 transcript:EOY14332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMIGKRRKKNKECRFINVYTLSNDLARRNLWDELIMIIRENEVLWCLGGDFNIVKLENERIGRGNVSRLATPFREFIVEMALVDLPLIREKYMCCGFREGCVFSRLDCFLIDMERLQSEQKLILKCLLSF >EOY13298 pep chromosome:Theobroma_cacao_20110822:7:7370375:7377096:-1 gene:TCM_031840 transcript:EOY13298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVCQNAEPLSLDGSRFIAGEDLSEFGPGKVGWLAPDASTTFLCALDAHSLAIANRSIILILGWSDPDEPWSRSVPNCHLSRPSIMAIEWLVFDEIKAIAVGTSRWFILVYSLRGD >EOY13801 pep chromosome:Theobroma_cacao_20110822:7:10904167:10910330:-1 gene:TCM_032447 transcript:EOY13801 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein, putative isoform 2 MKSTKRGAAADSNRGSSSSGHLHTLHQRLKHALSLGITRLCDDKEKKWQCTNIEIQRHVIRSLAAFLDCISGDASHHRLLKDSLADIVGALVWILQCKSGAIVGMAANMVVKLIGTNSSMMQLYLADLINPLSSLLCSKNVEVSTSCATALNMILSNLSVKSEKEVWEIVKEAKTVIQIIRIMREFPGGTLSIEYFQEMASLLSMILWQWPPSRYSVWNDPIMMKVLEDSCTKSNVSTKVAVLKLYSALALCNIVAKKLLENGETILPMMVNSMGGSEPLSIRIEGFRLAQHLVADEHRCKIMTSLHSGPLVKAIIGGMRGWSLVSGKIANDQLSLLEEACRLARITRWPGEHHICFWEEGIDKVLLDLLLENFDKQASEHPLSREEQMSIAQEGLDANFLLALRPHIWEILGWLALHCAKDFRPSAHRNELYVDILITCACVSFVEAIRKGCQICENGDTYRIESSSRSVLMMMHSPSTYIASKVRLILSGVLEPKGNEYLKRLLHLLKYSSSTNNYGLPNIHKTVIELVGLICYSGLPQYQKNVIGGDGMKTLVTFIGRCLRNQVSIRSRSVAPHFHSAFYERACCWMTTEEWEGKDALLFYSLWGLAELVQHSSDINHTKSHLVETVQDVLHNVSASGPRWFAAYILSYFGVYGFPNKHDKGFGKALEDKEHTDVQLFFANGESVSAHGVILAVRCPSLLPLEQFPHHVKATDNFRVRDIPEKLCPKFRKEIRLSANVDQQALLKLLDYVYFGYLEAGEELARKLKTLAKCCNLQPLFLMLCRKIPKWGTPIPSSDLIHALGPVGFHFVDVILEAKATERRPWACSFCSLLVPHMHAHKVILQSSCNYLRALFQSGMQESHSQIIKVPISWEALIKLVQWFYSSELPNPPFGCLWDNMDIKERLYELKPYVELYWLAEFWILEDVRDACFRIVVCCLDSDRQLSIEVIKLAANFSLWKLVEVAAEYMAPLYHKLRDTGDLEELDELLVDLVRDASVRLSQDRGNLSG >EOY13802 pep chromosome:Theobroma_cacao_20110822:7:10904433:10908473:-1 gene:TCM_032447 transcript:EOY13802 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein, putative isoform 2 MRGWSLVSGKIANDQLSLLEEACRLARITRWPGEHHICFWEEGIDKVLLDLLLENFDKQASEHPLSREEQMSIAQEGLDANFLLALRPHIWEILGWLALHCAKDFRPSAHRNELYVDILITCACVSFVEAIRKGCQICENGDTYRIESSSRSVLMMMHSPSTYIASKVRLILSGVLEPKGNEYLKRLLHLLKYSSSTNNYGLPNIHKTVIELVGLICYSGLPQYQKNVIGGDGMKTLVTFIGRCLRNQVSIRSRSVAPHFHSAFYERACCWMTTEEWEGKDALLFYSLWGLAELVQHSSDINHTKSHLVETVQDVLHNVSASGPRWFAAYILSYFGVYGFPNKHDKGFGKALEDKEHTDVQLFFANGESVSAHGVILAVRCPSLLPLEQFPHHVKATDNFRVRDIPEKLCPKFRKEIRLSANVDQQALLKLLDYVYFGYLEAGEELARKLKTLAKCCNLQPLFLMLCRKIPKWGTPIPSSDLIHALGPVGFHFVDVILEAKATERRPWACSFCSLLVPHMHAHKVILQSSCNYLRALFQSGMQESHSQIIKVPISWEALIKLVQWFYSSELPNPPFGCLWDNMDIKERLYELKPYVELYWLAEFWILEDVRDACFRIVVCCLDSDRQLSIEVIKLAANFSLWKLVEVAAEYMAPLYHKLRDTGDLEELDELLVDLVRDASVRLSQDRGNLSG >EOY13205 pep chromosome:Theobroma_cacao_20110822:7:6509965:6512473:-1 gene:TCM_031727 transcript:EOY13205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 21, putative MSTMEFGPVAETEGFPFRHDTSIKWIKLKTLGRGSYGVVHLVKVMDHVSCVFAVKRSPPPCSSLWKEYRILQQFRGCPNIVQCFDMLTIIESEVPNYNLFLDYAPGGDLLNLIKKYGGKIPESHVKSYTRMLLEGLRDVHKRGYVHCDLKPGNILVHPSDQPDSLSTLRIADFGLAKEPEETDGPRTCPHKLTFRGTVRYMSPESVKNGNITAALDIWSLGCVVVEMMTGNPPWESVTTNRELAMQIALTDYIPDIPQDMSNLGKDFLMKCFAKDPRNRWTAAMLRSHPFIYLDGTFFAAKISFLQVPSGEASNPWGKQPVV >EOY13552 pep chromosome:Theobroma_cacao_20110822:7:9165975:9172779:-1 gene:TCM_032152 transcript:EOY13552 gene_biotype:protein_coding transcript_biotype:protein_coding description:U4/U6.U5 tri-snRNP-associated protein 1 isoform 5 MDKDRYDREDDVSRERWDGGAYSDELEQNDKHRSKDKKKSSLEEEKDHRSRDRERDRSKRSNDEILKEREKDFKDLEKDRVSSRERRKDDRDEHGKDRSRDSKVREKEKDYDRDKYREKEHEREREKDRKDRGKEKDRERGRDSEKERGKDKGRDRDREKEKERDKAKEREKKDREKEREGEKDRDRDREKGKERSKQKSREADLEKERSRDRDNAIKKNHEEDYEGSKDGELALDYGDSRDKDEAELNAGSNAGVAQASSSELEERIARMKEERLKKKSEGVSEVLEWVGNFRKLEEKRNAEKEKALQRSKIFEEQDDFVQGENEDEEAVRHAAHDLAGVKVLHGLDKVMDGGAVVLTLKDQSILANGDINEDVDMLENVEIGEQRRRDEAYKAAKKKTGVYDDKFNDEPGSEKKILPQYDNPVADEGVTLDERGRFTGEAEKKLQELRKRLQGVPTNNRVEDLNNAGKIASDYYTQEEMLKFKKPKKKKALRKKEKLDIDALEAEAISSGLGAGDLGSRNDARRQAIREEEARSEAEKRNSAYQSAYAKADEASKSLWLEQTLIVKPEEDENQVFADDDDDLYKSIERSRKLAFKKQEDEKSGPQAIALRATTAAISQTADDQTTTTGEAQENKLVITEMEEFVWGLQHDEEAHKPDSEDVFMDEDEVPGVSEHDGKSGENEVGGWTEVVDASTDENPSNEDKDDIVPDETIHEVAVGKGLSGALKLLKDRGTLKESIEWGGRNMDKKKSKLVGIVDDDRENDRFKDIRIERTDEFGRIITPKEAFRVLSHKFHGKGPGKMKQEKRQKQYQEELKLKQMKNSDTPSLSVERMREAQAQLKTPYLVLSGHVKPGQTSDPRSGF >EOY13550 pep chromosome:Theobroma_cacao_20110822:7:9164669:9173681:-1 gene:TCM_032152 transcript:EOY13550 gene_biotype:protein_coding transcript_biotype:protein_coding description:U4/U6.U5 tri-snRNP-associated protein 1 isoform 5 MDKDRYDREDDVSRERWDGGAYSDELEQNDKHRSKDKKKSSLEEEKDHRSRDRERDRSKRSNDEILKEREKDFKDLEKDRVSSRERRKDDRDEHGKDRSRDSKVREKEKDYDRDKYREKEHEREREKDRKDRGKEKDRERGRDSEKERGKDKGRDRDREKEKERDKAKEREKKDREKEREGEKDRDRDREKGKERSKQKSREADLEKERSRDRDNAIKKNHEEDYEGSKDGELALDYGDSRDKDEAELNAGSNAGVAQASSSELEERIARMKEERLKKKSEGVSEVLEWVGNFRKLEEKRNAEKEKALQRSKIFEEQDDFVQGENEDEEAVRHAAHDLAGVKVLHGLDKVMDGGAVVLTLKDQSILANGDINEDVDMLENVEIGEQRRRDEAYKAAKKKTGVYDDKFNDEPGSEKKILPQYDNPVADEGVTLDERGRFTGEAEKKLQELRKRLQGVPTNNRVEDLNNAGKIASDYYTQEEMLKFKKPKKKKALRKKEKLDIDALEAEAISSGLGAGDLGSRNDARRQAIREEEARSEAEKRNSAYQSAYAKADEASKSLWLEQTLIVKPEEDENQVFADDDDDLYKSIERSRKLAFKKQEDEKSGPQAIALRATTAAISQTADDQTTTTGEAQENKLVITEMEEFVWGLQHDEEAHKPDSEDVFMDEDEVPGVSEHDGKSGENEVGGWTEVVDASTDENPSNEDKDDIVPDETIHEVAVGKGLSGALKLLKDRGTLKESIEWGGRNMDKKKSKLVGIVDDDRENDRFKDIRIERTDEFGRIITPKEAFRVLSHKFHGKGPGKMKQEKRQKQYQEELKLKQMKNSDTPSLSVERMREAQAQLKTPYLVLSGHVKPGQTSDPRSGFATVEKDFPGGLTPMLGDRKVEHFLGIKRKAEPGNSSTPKKPKT >EOY13554 pep chromosome:Theobroma_cacao_20110822:7:9166301:9172284:-1 gene:TCM_032152 transcript:EOY13554 gene_biotype:protein_coding transcript_biotype:protein_coding description:U4/U6.U5 tri-snRNP-associated protein 1 isoform 5 KEKDYDRDKYREKEHEREREKDRKDRGKEKDRERGRDSEKERGKDKGRDRDREKEKERDKAKEREKKDREKEREGEKDRDRDREKGKERSKQKSREADLEKERSRDRDNAIKKNHEEDYEGSKDGELALDYGDSRDKDEAELNAGSNAGVAQASSSELEERIARMKEERLKKKSEGVSEVLEWVGNFRKLEEKRNAEKEKALQRSKIFEEQDDFVQGENEDEEAVRHAAHDLAGVKVLHGLDKVMDGGAVVLTLKDQSILANGDINEDVDMLENVEIGEQRRRDEAYKAAKKKTGVYDDKFNDEPGSEKKILPQYDNPVADEGVTLDERGRFTGEAEKKLQELRKRLQGVPTNNRVEDLNNAGKIASDYYTQEEMLKFKKPKKKKALRKKEKLDIDALEAEAISSGLGAGDLGSRNDARRQAIREEEARSEAEKRNSAYQSAYAKADEASKSLWLEQTLIVKPEEDENQVFADDDDDLYKSIERSRKLAFKKQEDEKSGPQAIALRATTAAISQTADDQTTTTGEAQENKLVITEMEEFVWGLQHDEEAHKPDSEDVFMDEDEVPGVSEHDGKSGENEVGGWTEVVDASTDENPSNEDKDDIVPDETIHEVAVGKGLSGALKLLKDRGTLKESIEWGGRNMDKKKSKLVGIVDDDRENDRFKDIRIERTDEFGRIITPKEAFRVLSHKFHGKGPGKMKQEKRQKQYQEELKLKQMKNSDTPSLSVERMREAQAQLKTPYLVLSGHVKPGYALPVAEPTPPPPPVQLLSAFHMQIGKVSSGIILSSMFCGVWCNRQFFRVLLFLFDDV >EOY13551 pep chromosome:Theobroma_cacao_20110822:7:9164591:9173681:-1 gene:TCM_032152 transcript:EOY13551 gene_biotype:protein_coding transcript_biotype:protein_coding description:U4/U6.U5 tri-snRNP-associated protein 1 isoform 5 MDKDRYDREDDVSRERWDGGAYSDELEQNDKHRSKDKKKSSLEEEKDHRSRDRERDRSKRSNDEILKEREKDFKDLEKDRVSSRERRKDDRDEHGKDRSRDSKVREKEKDYDRDKYREKEHEREREKDRKDRGKEKDRERGRDSEKERGKDKGRDRDREKEKERDKAKEREKKDREKEREGEKDRDRDREKGKERSKQKSREADLEKERSRDRDNAIKKNHEEDYEGSKDGELALDYGDSRDKDEAELNAGSNAGVAQASSSELEERIARMKEERLKKKSEGVSEVLEWVGNFRKLEEKRNAEKEKALQRSKIFEEQDDFVQGENEDEEAVRHAAHDLAGVKVLHGLDKVMDGGAVVLTLKDQSILANGDINEDVDMLENVEIGEQRRRDEAYKAAKKKTGVYDDKFNDEPGSEKKILPQYDNPVADEGVTLDERGRFTGEAEKKLQELRKRLQGVPTNNRVEDLNNAGKIASDYYTQEEMLKFKKPKKKKALRKKEKLDIDALEAEAISSGLGAGDLGSRNDARRQAIREEEARSEAEKRNSAYQSAYAKADEASKSLWLEQTLIVKPEEDENQVFADDDDDLYKSIERSRKLAFKKQEDEKSGPQAIALRATTAAISQTADDQTTTTGEAQENKLVITEMEEFVWGLQHDEEAHKPDSEDVFMDEDEVPGVSEHDGKSGENEVGGWTEVVDASTDENPSNEDKDDIVPDETIHEVAVGKGLSGALKLLKDRGTLKESIEWGGRNMDKKKSKLVGIVDDDRENDRFKDIRIERTDEFGRIITPKEAFRVLSHKFHGKGPGKMKQEKRQKQYQEELKLKQMKNSDTPSLSVERMREAQAQLKTPYLVLSGHVKPGQTSDPRSGFATVEKDFPGGLTPMLGDRKVEHFLGIKRKAEPGNSSTPKKPKT >EOY13553 pep chromosome:Theobroma_cacao_20110822:7:9164482:9172284:-1 gene:TCM_032152 transcript:EOY13553 gene_biotype:protein_coding transcript_biotype:protein_coding description:U4/U6.U5 tri-snRNP-associated protein 1 isoform 5 KEKDYDRDKYREKEHEREREKDRKDRGKEKDRERGRDSEKERGKDKGRDRDREKEKERDKAKEREKKDREKEREGEKDRDRDREKGKERSKQKSREADLEKERSRDRDNAIKKNHEEDYEGSKDGELALDYGDSRDKDEAELNAGSNAGVAQASSSELEERIARMKEERLKKKSEGVSEVLEWVGNFRKLEEKRNAEKEKALQRSKIFEEQDDFVQGENEDEEAVRHAAHDLAGVKVLHGLDKVMDGGAVVLTLKDQSILANGDINEDVDMLENVEIGEQRRRDEAYKAAKKKTGVYDDKFNDEPGSEKKILPQYDNPVADEGVTLDERGRFTGEAEKKLQELRKRLQGVPTNNRVEDLNNAGKIASDYYTQEEMLKFKKPKKKKALRKKEKLDIDALEAEAISSGLGAGDLGSRNDARRQAIREEEARSEAEKRNSAYQSAYAKADEASKSLWLEQTLIVKPEEDENQVFADDDDDLYKSIERSRKLAFKKQEDEKSGPQAIALRATTAAISQTADDQTTTTGEAQENKLVITEMEEFVWGLQHDEEAHKPDSEDVFMDEDEVPGVSEHDGKSGENEVGGWTEVVDASTDENPSNEDKDDIVPDETIHEVAVGKGLSGALKLLKDRGTLKESIEWGGRNMDKKKSKLVGIVDDDRENDRFKDIRIERTDEFGRI >EOY12250 pep chromosome:Theobroma_cacao_20110822:7:1480466:1484180:1 gene:TCM_030813 transcript:EOY12250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Co-factor for nitrate, reductase and xanthine dehydrogenase 5 MQPNGDDVARIRREIEALKDTKASIEHKIAVLEAQLQQHQSDDVCNGSCPPISAVDANLANGLSADSVYRYSRHLLLPSFGVEAQSNLLKSSILVVGAGGLGSPALLYLAACGVGRLGIVDHDMVELNNMHRQVIHTEAYIGQPKVKSAAAACCAINSTIQIVEHKEALRTSNALEILSQYDIIIDATDNAPSRYMISDCCVVLGKPLVSGAALGLEGQLTVYNYKGGPCYRCLFPTPPPTTACQRCSDSGVLGVVPGIIGCLQALEAIKIASAVGEPLSGRMLLFDALSARIRIVKIRGRSLQCEVCGENTTFNQQYFKDFDYEKFTQSPLSTSPPKLNLLAADSRITSKEYKERIANGEAHVLVDVRPEHHYRIVSIPNSLNIPLASLEARLPEVSSALKEEQEHNGTVSGANLYVICRRGNDSQRAVQCLHKMGFDLAKDIVGGLESWAHDVDPNFPMY >EOY13119 pep chromosome:Theobroma_cacao_20110822:7:6040668:6044146:1 gene:TCM_031646 transcript:EOY13119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein, putative MAFVNSTGIPVSRIFAFCSRSLSSPLTNPFFISTLPRVPSCKFSITAQFSPPSSSPSSSSSMNTQVGFSSSSSRWRPMCLYYTQGKCTKMDDPFHLEKFSHDCSRDLQVNATSIEKKCSQNKDFFLVLDLEGKVEILEFPVLLMDAKTLRVVDFFHRFVRPSEMSEQAINKYIEGKYGKFGVDRVWHDTAQPFKEVIQQFEAWLTQHQLWEKKGGGSFTQAAFVTCGNWDLKTKVPQQCKVSGIKLPPYFMEWINLKDVYLNFYGREARGMMSMMKQLEIPSFGSHHLGIDDTINIARVLQHMLADGAVMQITARRYPESQKVEFHFENRVR >EOY13434 pep chromosome:Theobroma_cacao_20110822:7:8313733:8322820:-1 gene:TCM_032008 transcript:EOY13434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 6 MWLFSAYVFLSLSQLTLSLLSARASLCHGDERLALLQFKESFITYKNVSADRCVLPKVDQWEFQGADCCSWEGIECDHITGHVISLNLSKSCLHGSINSSSGLFRLVHLQKLNLAFNNFSYSEIPSALGNLSRPDMKNLVQNLTCLTYLDLSLVDVYSPLPSVLANLSSSTSLSCHNCKLFGKFPAAIFQLPNLELLEVSKNTDLAGYLPEFYFSSQLKVLSIWNTSFSGEVPASIANLHSLEFLALSHCKFAGSVPPSLSNLTKLTHLGLENNSFTGSFSGCFQIWLTNLTQLRYLDLGFNELQGQLPSSISKLKNLQYFDRASNNLSGVVEMESLLGLKNLEILTLSFNNLSVLTKSSANATLPKLGKLRLASCNVTEFEDFLRNQEGLRTPDLSFSHIHGHIPEWLLNMSRKTLLLINLSHNFLTSFEESPLILPWTSLVLLDIRNNMLQGSLPIPPLSTIVYLVSNNLLTGEIPRVMQQSGLAFPKLHIVDLSYNEFTVVLPLNCLKTWNAMKSLSAEPLKRTSRVWSNILKPLSPSNDYFLQIKSNLQIVIGDGSNIRFLSDSWVEKGVLKDLFPIMYAFAKNKEGRVGEFGTWVNDRWSWDVELRMRPLGWEKLQWKERKATIEEFHLCKDLRDTVAWKGSATGAYSARSFCKQVMHERVAVKQELVKRNLMDQAGAVCGLCKSEPESIRHLFLHCLEV >EOY13285 pep chromosome:Theobroma_cacao_20110822:7:7102610:7108525:-1 gene:TCM_031811 transcript:EOY13285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MGNTRFILALMLVVLLPNFGVSFSIKSTTTNISTDQLALLALKARVNSDHLATNWSTATCICNWVGVTCGSRHHRVIALDLFGMNVSGTIPPDMGNLSFIAFLNIGNNSFYGSMPIELANLRRLRYLLLPNNNFNGEIPSWFGSFSKLQNLSLAGNNFLGDIPSSLCSLSKLEFLSLYNNNLQGRIPVEIGNLSSLRFLYLDSNQLSGSIPSSVFSISSLLEIRLSCNQLIGSIPSIPLNMSSLQKIALTFNNLTGHISSDMFDRLPKLKGLYVSFNLLSGLIPRSLFKCQELKILSLSDNHFEGTIPKEIGNSTMLELLYIAGNNLKGEIPQQIGNLTLLTKLALALNKLTGKIPLEIGNLAKLEILDLERNSIFGHIPPQIFNGSTLRAISLSSNHLSSRLPWSTGLWLPKLEWLAIDFNELNGTIPTSICNASKLMHLDLSYNSFSGYFPNDLGNLRDLQFLNLQNNNLAHSPSSPELSFLSSLAHCKDLRLLSFCFNPLIDAELPISIGNLSISIQTIAASHCNIGGNIPGEIGNLINLINLYIPNNELIGSIPTTIGRLEKLQGLFLHGNKLEGSIPSELCHLKSLGFLNLTGNQLAGSIPTCLGDIISLRKLFVNSNKLIGSIPSTFTRLVDILQLDLSSNFLSGDLPIDIGNWKVVTMIDFSENQLSSEIPSSIGALEDLTYLSLSGNRLNGSIPELLGGLIGLQFLDLSRNNFSGIIPKSLQKLLHLEFLNVSFNRLQGEIPNGGPFANYSIQSFMGNEALCGAPRLQLPPCTSNSAKHSRKAIKLIEFILLPVGSTLLILALIVVFLQSRKKHAKQKIDRENSIGLAKWRRISYQELYQATNGFCESKLLGVGSFGSVYQGTFSDGLNIAIKVFNLEFERSFKSFDVECEVLRNIRHRNLVKIISSCCNVDFKALVLEFMPNGSLEKWLYSHNYFLNILHRLNIMIDVASALEYLHHGQTSPVAHCDLKPNNVLLDEDMVAHLGDFGIAKLLSKEDSTVQTITLATIGYMAPEYGTQGVVSIKGDMYSFGILLIETLTRKKPTNEMFVGEMSLKHWVTESLPSALTQVIDANLLINSWEREHVAIKDCALSILQLALECSKELPEERIDMKNVVAKLKKIKIKFLKDSNRWT >EOY13224 pep chromosome:Theobroma_cacao_20110822:7:6604422:6609000:-1 gene:TCM_031744 transcript:EOY13224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein isoform 2 MALLQLRHLTPHSLPFTPKLRHFHFSALKFPRFSFSASASTAMAKKVLVPIANGTEPMEAVITIDVLRRSGADVTVASVEKQLPVDACHGVKIVADALVVDCTNTIFDLIALPGGMPGATNLKNCGALESVVKKQAADGRLYAAVCASPAVALGSWGLLKGLKATCYPSFMEQLTSCATAVESRVQQDGKVVTSRGPGTTMEFAVALVEQLYGKEKAHEVSGPLVMRPNHGDEYTITELNPMEWKCNNNPQILVPIADGSEEMEAVIIIDILRRAKANVVVASVGDNLEILASRKVKLVADMLLDEAAKLSYDLIVLPGGLGGAQAFANSDKLVNLLKKQMQSNKPYGAICASPALVLEPHGLLKGKKATAFPAMCNKLSDQSFIDNRVVVDGNLITSRGPGTAMEFALGIVEKFFGRQKALELAKVMLFVRQ >EOY13225 pep chromosome:Theobroma_cacao_20110822:7:6604422:6609000:-1 gene:TCM_031744 transcript:EOY13225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein isoform 2 MALLQLRHLTPHSLPFTPKLRHFHFSALKFPRFSFSASASTAMAKKVLVPIANGTEPMEAVITIDVLRRSGADVTVASVEKQLPVDACHGVKIVADALVVDCTNTIFDLIALPGGMPGATNLKNCGALESVVKKQAADGRLYAAVCASPAVALGSWGLLKGLKVIIIIDILRRAKANVVVASVGDNLEILASRKVKLVADMLLDEAAKLSYDLIVLPGGLGGAQAFANSDKLVNLLKKQMQSNKPYGAICASPALVLEPHGLLKGKKATAFPAMCNKLSDQSFIDNRVVVDGNLITSRGPGTAMEFALGIVEKFFGRQKALELAKVMLFVRQ >EOY12294 pep chromosome:Theobroma_cacao_20110822:7:1593170:1595181:1 gene:TCM_030838 transcript:EOY12294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 18, putative MEWIRGPAIGRGATATVSLATAVPSGEVFAVKSSELCHSMLLQRESYLLSKLSCPRIVKYIGCGVRNETSSNKPTYNLCMEYVAGGTLFEEIQRVGGRLGEEKVRLYTRQILQGLDYLHGNGVVHCDIKSQNILIGEEGAKIADLGCAKLMGKVGGDDRFDTSAFSGTPAFMAPEVARGKEQGYGADIWALGCTIIEMGTGNSPWPELNDPVSALYKIGFSEDVPEIPKWFCEKGKDFVSKCLRRDARERWTAKELLQHPFVEELDTHLKEVKDSTMDSPSSVLDQAFWDSLDVVESPKNLIPEGISSSSPANRIKKLLQATLSPASNASNWTWNEDWITVRSIDIEETKDCSGESNAILGDDSSFSTPSFLDLFQDELTIESSILDLGNLLDNSIETIIDFSRIRVRTDLILPFDSVTDDSFVSDNLNFQTVNENSCSIESLSNIPSFIYESYS >EOY12800 pep chromosome:Theobroma_cacao_20110822:7:4200331:4205456:1 gene:TCM_031319 transcript:EOY12800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSFEQFKGSGAVMDQSMEESGGTQPRVQWKPMGYEEKSAAVHEEMKRMNRLPATSTYVTHRLRVLNKILQLLSIQRTASQEEELELLFAGLSL >EOY13890 pep chromosome:Theobroma_cacao_20110822:7:12600346:12601175:1 gene:TCM_032610 transcript:EOY13890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIIMGCFCKIIAKTLALRMRKVIGEAIGVNQFVFIQGRQFLDCAFIASEMVDLMKKNRRGSLFLKVDFEKAFDSIAWDFLYHTMRLMGFRDKWCKWVMAYVSTTTISILVNGTLTREIHMGKGLRQGGPLSLLLFNLVVEVFSALMYKAINRKHFKAMSGAKDKFSKMLSLWARVGAKYGGGIGGQN >EOY14247 pep chromosome:Theobroma_cacao_20110822:7:22590121:22596197:1 gene:TCM_033549 transcript:EOY14247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEEDLQDAFIYRVLQEASLSQKRPGETCSHGVEDDWSTPFQPQQMELFHFPNTLWPPSNNQPGNIPIQPSVSTAQASQAPNNSNASLLNRTNSKLSEPDRDRKRKTDKKYRENCKKVKVEMESNLEVLRGENISLKKENERLKKDNAVMDQTLKDQAKEIDQLKNNLSQLKREYGKQNVLVQTLSGLLADPLRLENEKLRDENASLRKNANLNNNLSLLEDENARLRIENKVLKVQNDALCGKIISDNACSAWSILSALIWCVRCYVGIVGVAPLCYQWRWSSMNYSLCCCCLVATEMCLILITPSILIFVSI >EOY14248 pep chromosome:Theobroma_cacao_20110822:7:22590214:22596193:1 gene:TCM_033549 transcript:EOY14248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEEDLQDAFIYRVLQEASLSQKRPGETCSHGVEDDWSTPFQPQQMELFHFPNTLWPPSNNQPGNIPIQPSVSTAQASQAPNNSNASLLNRTNSKLSEPDRDRKRKTDKKYRENCKKVKVEMESNLEVLRGENISLKKENERLKKDNAVMDQTLKDQAKEIDQLKNNLSQLKREYGKQNVLVQTLSGLLADPLRLENEKLRDENASLRKNANLNNNLSLLEDENARLRIENKVLKVQNDALCGKIISDNGKKCEQEQEAKISLEDVPLL >EOY13076 pep chromosome:Theobroma_cacao_20110822:7:5792373:5794198:-1 gene:TCM_031600 transcript:EOY13076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase WNK-related isoform 4 MGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSNRNNTSSSTIPSKQSAIPTRPPPPLFNWSQRPLPPATPMLASPNFQNPARLGFPQAKDETHMFILPHSSETRMEDMMGEHENDIKWPNGLTFFNALTGRTEDAKLLFNPDSLGNKPDQNHHPLILEGKDSNQNSDAGANPNEYLSLDSHPENMRKMENKFKRSFTLPARMASSSSSTSVDHHQHQPVEYRNSEAGMYTDVMETFLE >EOY13074 pep chromosome:Theobroma_cacao_20110822:7:5792373:5794952:-1 gene:TCM_031600 transcript:EOY13074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase WNK-related isoform 4 MLMWEDGFCRGRVADCLEEIDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSNRNNTSSSTIPSKQSAIPTRPPPPLFNWSQRPLPPATPMLASPNFQNPARLGFPQAKDETHMFILPHSSETRMEDMMGEHENDIKWPNGLTFFNALTGRTEDAKLLFNPDSLGNKPDQNHHPLILEGKDSNQNSDAGANPNEYLSLDSHPENMRKMENKFKRSFTLPARMASSSSSTSVDHHQHQPVEYRNSEAGMYTDVMETFLE >EOY13073 pep chromosome:Theobroma_cacao_20110822:7:5792063:5795922:-1 gene:TCM_031600 transcript:EOY13073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase WNK-related isoform 4 MVGSGAADRSKEAVGMMALHEALRSVCLNSDWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCRGRVADCLEEIDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSNRNNTSSSTIPSKQSAIPTRPPPPLFNWSQRPLPPATPMLASPNFQNPARLGFPQAKDETHMFILPHSSETRMEDMMGEHENDIKWPNGLTFFNALTGRTEDAKLLFNPDSLGNKPDQNHHPLILEGKDSNQNSDAGANPNEYLSLDSHPENMRKMENKFKRSFTLPARMASSSSSTSVDHHQHQPVEYRNSEAGMYTDVMETFLE >EOY13075 pep chromosome:Theobroma_cacao_20110822:7:5792591:5794302:-1 gene:TCM_031600 transcript:EOY13075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase WNK-related isoform 4 MLMWEDGFCRGRVADCLEEIDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSNRNNTSSSTIPSKQSAIPTRPPPPLFNWSQRPLPPATPMLASPNFQNPARLGFPQAKDETHMFILPHSSETRMEDMMGEHENDIKWPNGLTFFNALTGRTEDAKLLFNPDSLGNKPDQNHHPLILEGKDSNQNSDAGANPNEYLSLDSHPENMRKMENKFKRSFTLPARMASSSSSTSVDHHQHQPVEYRNSEAGMYTDVMETFLE >EOY12569 pep chromosome:Theobroma_cacao_20110822:7:2835184:2845649:1 gene:TCM_031088 transcript:EOY12569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 2 MTVSKAKTLSSLFRAAKKATKNSSTSSSSSSSSLDARDKSLKEFVSSLDTSSLSSPASFSKRSPIAIKKPTDGSLFNLLRDSASLSEDSMNELTHEKEVSRERKQKWVFKTSQVIRFNRLIKMCGDKLGTKATMEVFDKLGRETGLKEYNALIELCLENARTSDDEDVALEHISEAFRTFKKMRERGFQVEEETIGPFLMYFIDRGMVEEFFFFCGPIKDGNPSLLPRLGYYEMLLWIGVNNEKKIQELCNYIAATDGIDDFELKENYLLALCESGRKEDLMQLLEIIDIKRISSVNKVANIFKSLGRLSLESFAEKFLLAFKSCDYGMENISRLILSYASSIPNLKVEDTFLKFKSFHKKFKIIPSSASYEMLITYCCDFHKVHVALDLVNEMCELGLSLPIETLHRILYASEESYEFHLVRQIYSVICLHNLKPNSETFRSMISLSVKMKDFEGAYAMLNDMKKMKVMPTASIYNAIMAGYFREKNSSAALKVLKKMECEDIKPDAQTFSYLIGNCDCEEDISKYYEEMKSAGIQVTKHVFMALINAYATCGQFEKAKQVLLDKGIPFKSLKEIKSALVSALASNGQMCDALNIYKEIKQVGDTLEPKAVISLIEHFNLEGELSTLLQLLEELHDPDYWVDACCRIVLHCVKIKDLRSTVDLLKRLKDKLHNDDLGIDFLCDEVFSLIAEGDAKELWFGLALLQAIKDEIGVIPSRKSLDFLLMACTNAKDLQNSLFIWKEYQLAGLSYNILSYLGMYQALLACGDLKSANIMRTKIPTDDPHIRLIIKAYQTTYVQSTSSKTKKKMQKRKGA >EOY12571 pep chromosome:Theobroma_cacao_20110822:7:2835184:2843246:1 gene:TCM_031088 transcript:EOY12571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 2 MTVSKAKTLSSLFRAAKKATKNSSTSSSSSSSSLDARDKSLKEFVSSLDTSSLSSPASFSKRSPIAIKKPTDGSLFNLLRDSASLSEDSMNELTHEVSSLLCDGSVNSSKDSGRALTIPWLSMSNNKTSLMQKEVSRERKQKWVFKTSQVIRFNRLIKMCGDKLGTKATMEVFDKLGRETGLKEYNALIELCLENARTSDDEDVALEHISEAFRTFKKMRERGFQVEEETIGPFLMYFIDRGMVEEFFFFCGPIKDGNPSLLPRLGYYEMLLWIGVNNEKKIQELCNYIAATDGIDDFELKENYLLALCESGRKEDLMQLLEIIDIKRISSVNKVANIFKSLGRLSLESFAEKFLLAFKSCDYGMENISRLILSYASSIPNLKVEDTFLKFKSFHKKFKIIPSSASYEMLITYCCDFHKVHVALDLVNEMCELGLSLPIETLHRILYASEESYEFHLVRQIYSVICLHNLKPNSETFRSMISLSVKMKDFEGAYAMLNDMKKMKVMPTASIYNAIMAGYFREKNSSAALKVLKKMECEDIKPDAQTFSYLIGNCDCEEDISKYYEEMKSAGIQVTKHVFMALINAYATCGQFEKAKQVLLDKGIPFKSLKEIKSALVSALASNGQMCDALNIYKEIKQVGDTLEPKAVISLIIYG >EOY12570 pep chromosome:Theobroma_cacao_20110822:7:2835184:2845649:1 gene:TCM_031088 transcript:EOY12570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 2 MTVSKAKTLSSLFRAAKKATKNSSTSSSSSSSSLDARDKSLKEFVSSLDTSSLSSPASFSKRSPIAIKKPTDGSLFNLLRDSASLSEDSMNELTHEVSSLLCDGSVNSSKDSGRALTIPWLSMSNNKTSLMQKEVSRERKQKWVFKTSQVIRFNRLIKMCGDKLGTKATMEVFDKLGRETGLKEYNALIELCLENARTSDDEDVALEHISEAFRTFKKMRERGFQVEEETIGPFLMYFIDRGMVEEFFFFCGPIKDGNPSLLPRLGYYEMLLWIGVNNEKKIQELCNYIAATDGIDDFELKENYLLALCESGRKEDLMQLLEIIDIKRISSVNKVANIFKSLGRLSLESFAEKFLLAFKSCDYGMENISRLILSYASSIPNLKVEDTFLKFKSFHKKFKIIPSSASYEMLITYCCDFHKVHVALDLVNEMCELGLSLPIETLHRILYASEESYEFHLVRQIYSVICLHNLKPNSETFRSMISLSVKMKDFEGAYAMLNDMKKMKVMPTASIYNAIMAGYFREKNSSAALKVLKKMECEDIKPDAQTFSYLIGNCDCEEDISKYYEEMKSAGIQVTKHVFMALINAYATCGQFEKAKQVLLDKGIPFKSLKEIKSALVSALASNGQMCDALNIYKEIKQVGDTLEPKAVISLIEHFNLEGELSTLLQLLEELHDPDYWVDACCRIVLHCVKIKDLRSTVDLLKRLKDKLHNDDLGIDFLCDEVFSLIAEGDAKELWFGLALLQAIKDEIGVIPSRKSLDFLLMACTNAKDLQNSLFIWKEYQLAGLSYNILSYLGMYQALLACGDLKSANIMRTKIPTDDPHIRLIIKAYQTTYVQSTSSKTKKKMQKRKGA >EOY14331 pep chromosome:Theobroma_cacao_20110822:7:24124818:24138307:-1 gene:TCM_033711 transcript:EOY14331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MKGWLIPLLFIVLPSIVQAQDSIKLELLHRHAPQLHARPKTQHERLKDLVHHDFIRHNRRQAWETPKTTTATASKTNAAIQMPLSAGRDFGIGQYVTTFKVGTPSQKFRLIVDTGSDLTWINCRYRCARGDNCTTQERGIKRGRVFRAHLSSSFRPIPCFSQMCKVELRNLFSLTICPTPLTPCAYDYRFNSLKLVLNRYIDGSDAMGVFAKESVTVGLTNSRMARLHDVLIGCSDSSQGRTVKNVDGVLGLANSKYSFVTKAAERWGGKFSYCLVDHLSHINASNYLIFGANNNQLTVLGNTRYTRLELNLVSFSYAVNVQGISIGGKMLDIPLQVWDTRKGGGTILDSGTSLSFLTDPAYQPVMAAIKMSVSKYPQVKLHGVPMEYCFNSTGFDETLVPKLIIHFADGARFEPHWRSYVISAADGVRCLGFLPARFPSVSVIGNIMQQNYLWEFDLEGNKLRFAPSSCTTR >EOY12346 pep chromosome:Theobroma_cacao_20110822:7:1764863:1768622:-1 gene:TCM_030876 transcript:EOY12346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-domain protein kinase 9 isoform 2 MGGCLTKSKEPKPKHNGYRSGATTTVAVHQQRYQEPVRPAPVQPQFHHIPEKPGAQTPWKPVAPAPSPKPAPRVDTILGKPYEDIRMRYTIGKELGKGQFGVTYLCTENSTGKQYACKTISKRKLVTKNDKEDMKREIQIMQHLSGQPNIVEFKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYSERAAASICRAIVNVVHACHFMGVMHRDLKPENFLLSSKDENALLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRRYGKEIDVWSAGVMLYILLSGVPPFWAETEKGIFDAILEGEIDFESQPWPSISDSAKELVCRMLTQDPKKRITSAQVLEHPWIREGGSASDKPLDSAVLSRMKQFRRMNKLKQLALKVGHCRKSFY >EOY12345 pep chromosome:Theobroma_cacao_20110822:7:1763829:1768646:-1 gene:TCM_030876 transcript:EOY12345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-domain protein kinase 9 isoform 2 MGGCLTKSKEPKPKHNGYRSGATTTVAVHQQRYQEPVRPAPVQPQFHHIPEKPGAQTPWKPVAPAPSPKPAPRVDTILGKPYEDIRMRYTIGKELGKGQFGVTYLCTENSTGKQYACKTISKRKLVTKNDKEDMKREIQIMQHLSGQPNIVEFKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYSERAAASICRAIVNVVHACHFMGVMHRDLKPENFLLSSKDENALLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRRYGKEIDVWSAGVMLYILLSGVPPFWAETEKGIFDAILEGEIDFESQPWPSISDSAKELVCRMLTQDPKKRITSAQVLEHPWIREGGSASDKPLDSAVLSRMKQFRRMNKLKQLALKVIAENLSTEEIQGLKQMFANIDTDNSGTITYDELKNGLARLGSKLTEAEVQQLMEAADVDGNGSIDYIEFITATMHRHRLERDEHLYKAFQHFDKDNSGYITRDELETAMKEYGMGDNDTIKEIISEVDTDNDGKINYEEFRDMMRSGTQQGQLF >EOY12347 pep chromosome:Theobroma_cacao_20110822:7:1764509:1768622:-1 gene:TCM_030876 transcript:EOY12347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-domain protein kinase 9 isoform 2 MGGCLTKSKEPKPKHNGYRSGATTTVAVHQQRYQEPVRPAPVQPQFHHIPEKPGAQTPWKPVAPAPSPKPAPRVDTILGKPYEDIRMRYTIGKELGKGQFGVTYLCTENSTGKQYACKTISKRKLVTKNDKEDMKREIQIMQHLSGQPNIVEFKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYSERAAASICRAIVNVVHACHFMGVMHRDLKPENFLLSSKDENALLKATDFGLSVFIEEGEHPWIREGGSASDKPLDSAVLSRMKQFRRMNKLKQLALKVIAENLSTEEIQGLKQMFANIDTDNSGTITYDELKNGLARLGSKLTEAEVQQLMEAADVDGNGSIDYIEFITATMHRHRLERDEHLYKAFQHFDKDNSG >EOY14242 pep chromosome:Theobroma_cacao_20110822:7:22436347:22443300:1 gene:TCM_033531 transcript:EOY14242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 2 MKEGQPVGVLSFRDLAAVPFEAPPDELRCARSGGGGWRCNRWRVHAQRYCEFHFLHEKHRRNNHRSVRPKPVETLPLSHHKENNGDRYSCCSSAVLTRSRRKRDHSQQQEKEEEMGVSIPDEGDGNDREVEPCSRRASSAKMATLKQGKKDGNGGKIECSSRKKRTPNVSAEKEVELKGKEEKEGYCHQCHRFKSRVMTCGKCQRKRYCDSCIKKWYPQFSEEAIAESCPFCRKNCNCRQCLQSNKLMEVELNIHKFFMGYLKGFSHSNSWPKLLQLKDWPPSNFFEELLPRHCAELVSALPFLEYTNPYSGILNVAAKLPANCLKPDLGPKTYIAYGFLDELGRGDSVTKLHYDMSDAVNVLMHTADVTLTSEQHADIEMLKKRHVGQDQIELHGTDEDSCLPPKEQVDVNFLLKAVEPLKRKSKTSTKEVKSCQSSHSKSKLLMKTSKLKNDEESKLEKKSNRRNDEAHTIDTSFSNIHSLNGTEKDSCLPLKEQVDVDVMVEAVKAPKRKSETRKKKVKSCRSSLSESKLFQNEEESKLDECDGRMDEAHSDESIVACSTNKACQQGSVGGASQYVRDAMEASGGGAVWDIFRRQDVPKLEEYLRKHHREFRHVYGSPVDQVVHPIHDQTFYLTMHHKRKLKEEFGVEPWTIVQKLGEAIFIPAGCPHQVRNLKSCIKVALDFVSPENIHECIRLTEEFRVLPHNHRAKEDKLEVKKMMLHALNYAVEELEKLTA >EOY14240 pep chromosome:Theobroma_cacao_20110822:7:22408607:22443630:1 gene:TCM_033531 transcript:EOY14240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 2 MKEGQPVGVLSFRDLAAVPFEAPPDELRCARSGGGGWRCNRWRVHAQRYCEFHFLHEKHRRNNHRSVRPKPVETLPLSHHKENNGDRYSCCSSAVLTRSRRKRDHSQQQEKEEEMGVSIPDEGDGNDREVEPCSRRASSAKMATLKQESGPSGKKDGNGGKIECSSRKKRTPNVSAEKEVELKGKEEKEGYCHQCHRFKSRVMTCGKCQRKRYCDSCIKKWYPQFSEEAIAESCPFCRKNCNCRQCLQSNKLMEDVKNSGMPSNKEEKINHLNYLISLLYPFLKQFYEEQKKEIVLEAKIKGLQPSEIEVLQAVCDDYERLYCNNCKTSIVDLHRVCPKCSYELCLTCCWEIRDKCLRGGDKMVQRYIDRGKAYLHGGEALPLPLDKKKNKTSSRKRIKLLSKWQAKGNGDIPCPIERLGGCGHECLVLKCVFPVSRVSMLIMKAKRLVKFHKLEDTLGTLRGNFSCLKFDNEIGSVNDALRLSASRKDCSDNYLYSPSAKDIQQGDLEQFRWHWIKGEPVIVRNVLELTSGLSWEPMVMWRAICDVSKKDSSNFNVRAIDCLDFCEVELNIHKFFMGYLKGFSHSNSWPKLLQLKDWPPSNFFEELLPRHCAELVSALPFLEYTNPYSGILNVAAKLPANCLKPDLGPKTYIAYGFLDELGRGDSVTKLHYDMSDAVNVLMHTADVTLTSEQHADIEMLKKRHVGQDQIELHGTDEDSCLPPKEQVDVNFLLKAVEPLKRKSKTSTKEVKSCQSSHSKSKLLMKTSKLKNDEESKLEKKSNRRNDEAHTIDTSFSNIHSLNGTEKDSCLPLKEQVDVDVMVEAVKAPKRKSETRKKKVKSCRSSLSESKLFQNEEESKLDECDGRMDEAHSDESIVACSTNKACQQGSVGGASQYVRDAMEASGGGAVWDIFRRQDVPKLEEYLRKHHREFRHVYGSPVDQVVHPIHDQTFYLTMHHKRKLKEEFGVEPWTIVQKLGEAIFIPAGCPHQVRNLKSCIKVALDFVSPENIHECIRLTEEFRVLPHNHRAKEDKLEVKKMMLHALNYAVEELEKLTA >EOY14241 pep chromosome:Theobroma_cacao_20110822:7:22436347:22443300:1 gene:TCM_033531 transcript:EOY14241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 2 MKEGQPVGVLSFRDLAAVPFEAPPDELRCARSGGGGWRCNRWRVHAQRYCEFHFLHEKHRRNNHRSVRPKPVETLPLSHHKENNGDRYSCCSSAVLTRSRRKRDHSQQQEKEEEMGVSIPDEGDGNDREVEPCSRRASSAKMATLKQGKKDGNGGKIECSSRKKRTPNVSAEKEVELKGKEEKEGYCHQCHRFKSRVMTCGKCQRKRYCDSCIKKWYPQFSEEAIAESCPFCRKNCNCRQCLQSNKLMEDVKNSGMPSNKEEKINHLNYLISLLYPFLKQFYEEQKKEIVLEAKIKGLQPSEIEVLQAVCDDYERLYCNNCKTSIVDLHRVCPKCSYELCLTCCWEIRDKCLRGGDKMVQRYIDRGKAYLHGGEALPLPLDKKKNKTSSRKRIKLLSKWQAKGNGDIPCPIERLGGCGHECLVLKCVFPVSRVSMLIMKAKRLVKFHKLEDTLGTLRGNFSCLKFDNEIGSVNDALRLSASRKDCSDNYLYSPSAKDIQQGDLEQFRWHWIKGEPVIVRNVLELTSGLSWEPMVMWRAICDVSKKDSSNFNVRAIDCLDFCEVELNIHKFFMGYLKGFSHSNSWPKLLQLKDWPPSNFFEELLPRHCAELVSALPFLEYTNPYSGILNVAAKLPANCLKPDLGPKTYIAYGFLDELGRGDSVTKLHYDMSDAVNVLMHTADVTLTSEQHADIEMLKKRHVGQDQIELHGTDEDSCLPPKEQVDVNFLLKAVEPLKRKSKTSTKEVKSCQSSHSKSKLLMKTSKLKNDEESKLEKKSNRRNDEAHTIDTSFSNIHSLNGTEKDSCLPLKEQVDVDVMVEAVKAPKRKSETRKKKVKSCRSSLSESKLFQNEEESKLDECDGRMDEAHSDESIVACSTNKACQQGSVGGASQYVRDAMEASGGGAVWDIFRRQDVPKLEEYLRKHHREFRHVYGSPVDQVVHPIHDQTFYLTMHHKRKLKEEFGVEPWTIVQKLGEAIFIPAGCPHQVRNLKSCIKVALDFVSPENIHECIRLTEEFRVLPHNHRAKEDKLEVKKMMLHALNYAVEELEKLTA >EOY13715 pep chromosome:Theobroma_cacao_20110822:7:10327875:10329509:1 gene:TCM_032351 transcript:EOY13715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TAU 20, putative MISNDSKNGRSSCSPEFSAWPLCHKSKRIALAEKGVEFETEEEDVFNSRSRLLLKMNPIHKKVPVLIHKERPICESLIIVEYIDKVWNHDAPLLPSDHYQRAHARFWANYIDQKIFPIGSKLWTSTSDEAKKAAKELVESFKTLEKELREKPSFGGDTFSFVDLDLIAFSSLFHSFEALGNFRMEAECPRLVAWANRCMERECLSVFI >EOY13647 pep chromosome:Theobroma_cacao_20110822:7:9758965:9786141:1 gene:TCM_032270 transcript:EOY13647 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5-like receptor kinase MPKPEAQLSWFGLVAALALFLLPETCIARSINKDCGFTMCGDVNIRFPFRLTSQPHNCGNHRYELECENNNRTTLVTKHGRFHVQNISYEMGKMQVIDANLDRDDCSLPRSSRNSFDAACELPYAMSYATSSNMYLVNCTTPMKSSLYVDASRCPNRSSHPPTYFYFLDQGTRPVDFNQFCTVGAQVPVGRENISNMSTVDIYKKLLLGFELSWVDSDDFLLQCRDDKLRVILDSLLYALSTYAASIVHFPFHSQDYYYEDKRTYILCLGVTVVTYKWRRRHLSVDDTIEEFLQSQNDLMPIRYSYREIKRMTRSFRDKLGEGGYGSVFKGKLRSGHFVAIKLLGTSKADGQDFINEVATMGRIHHVNVAKLIGFCVEGSKQALVYDFMPNGSLDKIIFAEENKTILSWQKMFDIALGVARGIEYLHQGCDMQILHFDIKPHNILLDENFIPKVSDFGLAKLYSVADSIVSLTAARGTIGYIAPELVYKNIGAISYKADVYSFGMLLMEMVGRRKNLNAFAEHLSQIYFPSWIYGRFHQGEDFDLGEVTDGEKVIVKKMIITACWCIQVTPNDRPSMGKVLQMLETDVELLQMPPKPFQLPFEVSTKDHVDDNPSKDPTSSLFSSNAISLNIA >EOY13268 pep chromosome:Theobroma_cacao_20110822:7:6861752:6869217:-1 gene:TCM_031784 transcript:EOY13268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MLHFKNFFRYAKAVNFLTVEGRGKRVEIGPITILAFSIYWKRNIIFPIYNSEIFLSQVPLRHNFMGNTKFILALMVVVLLPNFGVSFSMKSTTNISTDRLALLALKARVNSDLLATNWSTATTICNWVGVTCGSRHHRVIALDLFGMNLYGTIPPDMGNLSFVAFLGIGNNSFHGSLPIELANLRRLKSLLLRNNNFNGEIPSWFGFFSKLQNLSLAGNNFVGDISSSLCSLSKLELLSLYNNNLQGRIPVEIGNLSSLRFLYLGGNQLSGSIPSSVFSISSLQRIALSDNQLIGSIPLNMSFKCQKLEILSLSYNDLEGTILKEIGNLTMLKIIYLGWNNLKGDIPQQIGNLTLLEELAFGENNLTGNLPNLVLLNLGLNSISGHIPPGIFNSSTVTLIDLNSNRLSGCLTWSTGLWLPKLERLLLDINELNGTILTSISNPSKLTTLDLSVNSFSGYIPVDLGNLRDLECLKLHSNNLASTLSSPKLSFLSSVAYCKDLRVLDFSYNPLIDAELPISIGNLSIALQYFYSRGCNIGGNIPEQISNLSNLITLSIGNNQLIGSIPTTIGRLEKLQGLSLEGNKLEGFIPSDLCRLKSVGFLDLTENRLVGPIPACVGDLVSLRVGLSQIDIGKWKVVIHIDCSNNQLSSEIPSSISALEDLAYLSLSRNKLYGSIPELFGGLIGLEFLDLSRNNFSGIIPKSLQKLLYLKYLNVSFNRLHGEIPNEGPFANCSIQSFVGNEALCGAPRLQLPPCTSALSNGLNIAIKVFNLEVKGSFKSFDIECEVLRNIRHRNLVKIISSCCNVDFKALVLEFMPNGSLEKWLYSHNYFLDILHRLNIMIDVASTLEYLHHGQIIPVAHCDLKPNNVLLDEDMVAHLGDFGIAKLLAEEDSTVQTITLATIGYMAPEYGTQGVVSIKGDVYSFGILLIETLIRKKPTGEMFVGEMSLKYWVTKSLPSTLTQVVDANLLSSTNEQEHFAIKDCALSILQLALECSEELPEERIDMKNVVAQLKKIKIKFLKDSKRRA >EOY13636 pep chromosome:Theobroma_cacao_20110822:7:9674216:9684165:-1 gene:TCM_032259 transcript:EOY13636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein isoform 3 MQVLELGMNFLTADDMSAILAVKLRKRVGFGMWAKLHITGMHVEGKVLIGVKFLRQWPFLGRLRVCFAEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSIAFEQTLVEPNMLVVDVEKFAAPQPENWFSVDEKQPLAYAKVEVIEASDMKPSDLNGLADPYVKGQLGPYRFRTKTHKKTLSPKWYEEFKIPVCTWETPNVLMIEVRDKDHFVDDTLGKCTVDISDYRGGQRHDMWLPLQNIKMGRLHLAITVLEDNSKGRDDAVDGESLNKEDIQNSFATNTADKGPFSPASSKKSPNLSDHFEPINIEGQEETGIWVHHPGSEVSQTWEPRKGKARSLETRIHRVPNDSFGSTLSAASGSPKSDSSSADENPDAKDQVNRVKWGFRKISSVFQRSPKNEGHSGSLGEVVPSPHVNLSAVNDQEVLVKLVVDDNLSVPSSDKVSNEGSLSPVGSGPESPSRMKGMAKSFLKHAGKSARSIKHVLSRKGSRKSRDLSAVKEQEISIESDSSDDESSSSPGVKRISGVSNPMSSSSGIDDTHDTQEHAILTDSNNQVDPAINVEDQGEKMSVKGPNEIDDDEVSSLIKIRDGLAESPKCEKKVENSSKNEED >EOY13635 pep chromosome:Theobroma_cacao_20110822:7:9674145:9686044:-1 gene:TCM_032259 transcript:EOY13635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein isoform 3 MFIEMGLMEISMLHHVGIVLMFLWMLSHFDLCHPVTYFVSLIYLYLVHERYVMRLRRKLQFEEKRQSSRRRVLSDSESVRWLNHAVEKIWPICMEQIASQKILLPIIPWFLEKYKPWTAKKAMVQHLYLGRNPPLFTEMRVLRECSNDDHLVLIGVKFLRQWPFLGRLRVCFAEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSIAFEQTLVEPNMLVVDVEKFAAPQPENWFSVDEKQPLAYAKVEVIEASDMKPSDLNGLADPYVKGQLGPYRFRTKTHKKTLSPKWYEEFKIPVCTWETPNVLMIEVRDKDHFVDDTLGKCTVDISDYRGGQRHDMWLPLQNIKMGRLHLAITVLEDNSKGRDDAVDGESLNKEDIQNSFATNTADKGPFSPASSKKSPNLSDHFEPINIEGQEETGIWVHHPGSEVSQTWEPRKGKARSLETRIHRVPNDSFGSTLSAASGSPKSDSSSADENPDAKDQVNRVKWGFRKISSVFQRSPKNEGHSGSLGEVVPSPHVNLSAVNDQEVLVKLVVDDNLSVPSSDKVSNEGSLSPVGSGPESPSRMKGMAKSFLKHAGKSARSIKHVLSRKGSRKSRDLSAVKEQEISIESDSSDDESSSSPGVKRISGVSNPMSSSSGIDDTHDTQEHAILTDSNNQVDPAINVEDQGEKMSVKGPNEIDDDEVSSLIKIRDGLAESPKCEKKVENSSKNEED >EOY13634 pep chromosome:Theobroma_cacao_20110822:7:9674141:9687328:-1 gene:TCM_032259 transcript:EOY13634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein isoform 3 MFIEMGLMEISMLHHVGIVLMFLWMLSHFDLCHPVTYFVSLIYLYLVHERYVMRLRRKLQFEEKRQSSRRRVLSDSESVRWLNHAVEKIWPICMEQIASQKILLPIIPWFLEKYKPWTAKKAMVQHLYLGRNPPLFTEMRVLRECSNDDHLVLELGMNFLTADDMSAILAVKLRKRVGFGMWAKLHITGMHVEGKVLIGVKFLRQWPFLGRLRVCFAEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSIAFEQTLVEPNMLVVDVEKFAAPQPENWFSVDEKQPLAYAKVEVIEASDMKPSDLNGLADPYVKGQLGPYRFRTKTHKKTLSPKWYEEFKIPVCTWETPNVLMIEVRDKDHFVDDTLGKCTVDISDYRGGQRHDMWLPLQNIKMGRLHLAITVLEDNSKGRDDAVDGESLNKEDIQNSFATNTADKGPFSPASSKKSPNLSDHFEPINIEGQEETGIWVHHPGSEVSQTWEPRKGKARSLETRIHRVPNDSFGSTLSAASGSPKSDSSSADENPDAKDQVNRVKWGFRKISSVFQRSPKNEGHSGSLGEVVPSPHVNLSAVNDQEVLVKLVVDDNLSVPSSDKVSNEGSLSPVGSGPESPSRMKGMAKSFLKHAGKSARSIKHVLSRKGSRKSRDLSAVKEQEISIESDSSDDESSSSPGVKRISGVSNPMSSSSGIDDTHDTQEHAILTDSNNQVDPAINVEDQGEKMSVKGPNEIDDDEVSSLIKIRDGLAESPKCEKKVENSSKNEED >EOY11915 pep chromosome:Theobroma_cacao_20110822:7:342711:344908:-1 gene:TCM_030569 transcript:EOY11915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of Uncharacterized protein function, putative isoform 2 MGQILSFIRNRLLGQILSIKKCWFRPEEERDDSDEHCSLLISTPELFDPLNDTLNSTFKCHKNVTSTCIDIVEDPNRQGCASASASASAYVLGADNWEDTENGVPERWVRRYCSSHVMLLVGEGDFSFSACLAIAFGSARNMVATSLDSRGFLFRNYKKAMSNIHELRIRGCAVLHGVDATEMANHYALRCIKFDRVIYNFPHAGFCTDEPGESQKRRHQLLIRLFFENAKEMIDEDGEIHVTHKTNGFFLGWNLRGLASAAGLRLIQEVPFNFTDYPGYRTKYGFGGDRNFNSHPSKTYIFGLFPAASA >EOY11916 pep chromosome:Theobroma_cacao_20110822:7:342711:344982:-1 gene:TCM_030569 transcript:EOY11916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of Uncharacterized protein function, putative isoform 2 MGQILSFIRNRLLGQILSIKKCWFRPEEERDDSDEHCSLLISTPELFDPLNDTLNSTFKCHKNVTSTCIDIVEDPNRQGCASASASASAYVLGADNWEDTENGVPERWVRRYCSSHVMLLVGEGDFSFSACLAIAFGSARNMVATSLDSRGFLFRNYKKAMSNIHELRIRGCAVLHGVDATEMANHYALRCIKFDRVIYNFPHAGFCTDEPGESQKRC >EOY13447 pep chromosome:Theobroma_cacao_20110822:7:8390254:8408654:1 gene:TCM_032019 transcript:EOY13447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRVKASFGLVKYDSLEEMQKDIARGNMRVLDGRKIVVNRAFNGGKENGRNRGGMCCFATRGKGCLNGHIRKPWLMQEVIGLRRRIVGTAMRVE >EOY11975 pep chromosome:Theobroma_cacao_20110822:7:490385:494473:1 gene:TCM_030604 transcript:EOY11975 gene_biotype:protein_coding transcript_biotype:protein_coding description:D6 protein kinase like 2 isoform 1 MASKSGVRPSPEKQRRPFGSQTTEGNCRRPSPIQITKTSKSEPVTPRTVPKSMQQVLSQQDSVATTEDVKSLKPHNLESVDSLTKKVDSGLSLGNPKEVQKHVVSQIGGLPDGSGEQEKKASEHGINSASAKSSDGATSLVKTSAGVKVSDRPDYTESGKSSICRGSTSSDVSDESTCSSLSSSINKPHKANDIRWEAIQAVRTKDGVLGLNHFKLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFTEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSSTLESEPLRRNTVYCVQPACIEPSCIQPSCVAPTTCFSPRFFSSKSKKDRKPKNEMGNQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLYGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHLFFEGVNWALIRCASPPEIPKPVEIERIPAPTSSAGDKAAVPAANDQNNYLEFDFF >EOY11974 pep chromosome:Theobroma_cacao_20110822:7:490251:494576:1 gene:TCM_030604 transcript:EOY11974 gene_biotype:protein_coding transcript_biotype:protein_coding description:D6 protein kinase like 2 isoform 1 MASKSGVRPSPEKQRRPFGSQTTEGNCRRPSPIQITKTSKSEPVTPRTVPKSMQQVLSQQDSVATTEDVKSLKPHNLESVDSLTKKVDSGLSLGNPKEVQKHVVSQIGGLPDGSGEQEKKASEHGINSASAKSSDGATSLVKTSAGVKVSDRPDYTESGKSSICRGSTSSDVSDESTCSSLSSSINKPHKANDIRWEAIQAVRTKDGVLGLNHFKLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFTEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSSTLESEPLRRNTVYCVQPACIEPSCIQPSCVAPTTCFSPRFFSSKSKKDRKPKNEMGNQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLYGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHLFFEGVNWALIRCASPPEIPKPVEIERIPAPTSSAGDKAAVPAANDQNNYLEFDFF >EOY11973 pep chromosome:Theobroma_cacao_20110822:7:490933:494576:1 gene:TCM_030604 transcript:EOY11973 gene_biotype:protein_coding transcript_biotype:protein_coding description:D6 protein kinase like 2 isoform 1 MASKSGVRPSPEKQRRPFGSQTTEGNCRRPSPIQITKTSKSEPVTPRTVPKSMQQVLSQQDSVATTEDVKSLKPHNLESVDSLTKKVDSGLSLGNPKEVQKHVVSQIGGLPDGSGEQEKKASEHGINSASAKSSDGATSLVKTSAGVKVSDRPDYTESGKSSICRGSTSSDVSDESTCSSLSSSINKPHKANDIRWEAIQAVRTKDGVLGLNHFKLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFTEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSSTLESEPLRRNTVYCVQPACIEPSCIQPSCVAPTTCFSPRFFSSKSKKDRKPKNEMGNQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLYGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHLFFEGVNWALIRCASPPEIPKPVEIERIPAPTSSAGDKAAVPAANDQNNYLEFDFF >EOY14295 pep chromosome:Theobroma_cacao_20110822:7:23307663:23312841:-1 gene:TCM_033627 transcript:EOY14295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLEILLLYFMDGSLVTVMRVLGAHWPTARPHGSLVSTLLLFHFSVTPFVLDTWDVTEAHLPLLLRPRPTNGFLPI >EOY12414 pep chromosome:Theobroma_cacao_20110822:7:2117250:2125284:-1 gene:TCM_030935 transcript:EOY12414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGRHTSIADTAAVAAAATNVQYERALVSIEYVRVNNIDKRTPGHLYGTVKVKDFWGLHTVYDRSSSDYESKGPGEFATLTGPSDAISGYDVFIISVSLWHHDSLSPKDEIAQGDIVWEPRNENLTFANYDKRLEKVVFGQYGSVTVGYSVIRYALNATVKVVLINGDNKSPADVHGTIKASQVIGVSETSLTLFEKSSGEYVQVSPNQSIPLTRSVVVAPASSGLTIMADLWNYDTLSPGHQIAKGSAHFDAVVGTQIKSIYSQHGEVQKLSLGFRKFMFDQDNESSAEVYGTIKASQVIGGSSTSLTLFEKSSGEYVPVKPYQSIPLTRSVVVAPVSSGLTITADLWDYDTLSHDDQIAKGSLHFDAWVGTQARSIYGQYGEVEVYVTFE >EOY12508 pep chromosome:Theobroma_cacao_20110822:7:2418647:2421747:-1 gene:TCM_030995 transcript:EOY12508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPNEVEAHCSGHNTQHINAELWTPWSGPHGLKLNADATLFVKDGEAMMAMGFVVRNSIGGVALMDAKRMLHSSSMVSASDPDIISDFLAPANSTALDGNFFTFNFAVYSMLTIDRIQGTFNPPLIHRRCAELLSSLLMEALRLVLLTQLASFTPKLSRLRLSSCFLNGTSLTFRINLTMAVSGFGSANAGTVAIPSTVFATGIDDDILAKGFKTDFTSIQKIKAGLGAKAKN >EOY12621 pep chromosome:Theobroma_cacao_20110822:7:3017127:3023766:-1 gene:TCM_031123 transcript:EOY12621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 5 isoform 1 MILMIQGQMGVIRTNFLWFLVILLCVPLAVSLDYRRSGEEAFLNQLVDPATGEIDENLAELLWTSCRQELNDLKDAFEDPELHLLEETPSTSNDIDRKGHSLAKENIQKLNNVLHPQIKQAISDCIRKNNLLLHISGEDSGFTTWYTRYYESLFRMPDVPRRILATQGIAVAPSSNLGPSPSPSPSSAPAPAPSPDFSPDPLQSSASSPSPLPTPSHLAAPPENDILDNLSPANRHSEEKGTDNSKTIIVACVVTAVVTFVVAALFFVLCCRRGSGAKQNDERPLLSLSLSEYSGGSSHAYAFGSIKEQKLGHQSFGNESSLHKKASTNGNVYIESDALQISLDGKSSSGAVAGAAKASPESSDTSGNTNSLLPLPPGRVGTVPPGMPPLKPPPGRADPLPPEPPAPIRDSPPPPPPVPSRASPPPPPAPPPFMKPASANVGARPPGPPPPPVPPGTKPGPHPPPPPNSGLAPPRPPPPMPLGSKMPRPPSGPQRTFNAISGEGSGSEGDANAPKAKLKPFFWDKVAANPDHSMVWNQIKSGSFQFNEEMIETLFGYASVDKNKNDKKKEPSTQDPVAQYIQILDPKKAQNLAILLRALNVTTEEVCDALREGNELPVELLQTLLKMAPTADEELKLRLFNGELSQLGPAERFLKVLVDIPFAFKRMEALLFMCSLNEEVIVTRESFETLEVACKELRSSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGVDGKTTLLHFVVQEIIRTEGLRAARVARESKSFNSIKSDDLLEDVSPDTEEHYRSLGLQVVSRLSGELENVKKAAVLDAENLTGTVAKLGHAILKTRDFLNSEMKSTEEESGFRETLKSFVQNAEVDVMSLLEEEKRIMALVKSTGDYFHGNAQKDEGLRLFAIVRDFLIILDKVCKEVRDAPRKPAKAQTKPVSNASSSSESHFEPPSSDPRQKLFPAIAERRMDDSSSSSDDES >EOY12622 pep chromosome:Theobroma_cacao_20110822:7:3017157:3023590:-1 gene:TCM_031123 transcript:EOY12622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 5 isoform 1 MILMIQGQMGVIRTNFLWFLVILLCVPLAVSLDYRRSGEEAFLNQLVDPATGEIDENLAELLWTSCRQELNDLKDAFEDPELHLLEETPSTSNDIDRKGHSLAKENIQKLNNVLHPQIKQAISDCIRKNNLLLHISGEDSGFTTWYTRYYESLFRMPDVPRRILATQGIAVAPSSNLGPSPSPSPSSAPAPAPSPDFSPDPLQSSASSPSPLPTPSHLAAPPENDILDNLSPANRHSEEKGTDNSKTIIVACVVTAVVTFVVAALFFVLCCRRGSGAKQNDERPLLSLSLSEYSGGSSHAYAFGSIKEQKLGHQSFGNESSLHKKASTNGNVYIESDALQISLDGKSSSGAVAGAAKASPESSDTSGNTNSLLPLPPGRVGTVPPGMPPLKPPPGRADPLPPEPPAPIRDSPPPPPPVPSRASPPPPPAPPPFMKPASANVGARPPGPPPPPVPPGTKPGPHPPPPPNSGLAPPRPPPPMPLGSKMPRPPSGPQRTFNAISGEGSGSEGDANAPKAKLKPFFWDKVAANPDHSMVWNQIKSGSFQFNEEMIETLFGYASVDKNKNDKKKEPSTQDPVAQYIQILDPKKAQNLAILLRALNVTTEEVCDALREGNELPVELLQTLLKMAPTADEELKLRLFNGELSQLGPAERFLKVLVDIPFAFKRMEALLFMCSLNEEVIVTRESFETLEVACKELRSSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGVDGKTTLLHFVVQEIIRTEGLRAARVARESKSFNSIKSDDLLEDVSPDTEEHYRSLGLQVVSRLSGELENVKKAAVLDAENLTGTVAKLGHAILKTRDFLNSEMKSTEEESGFRETLKSFVQNAEVDVMSLLEEEKRIMALVKSTGDYFHGNAQKDEGLRLFAIVRDFLIILDKVCKEVRDAPRKPAKAQTKPVSNASSSSESHFEPPSSDPRQKLFPAIAERRMDDSSSSSDDES >EOY12624 pep chromosome:Theobroma_cacao_20110822:7:3016835:3023967:-1 gene:TCM_031123 transcript:EOY12624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 5 isoform 1 MILMIQGQMGVIRTNFLWFLVILLCVPLAVSLDYRRSGEEAFLNQLVDPATGEIDENLAELLWTSCRQELNDLKDAFEDPELHLLEETPSTSNDIDRKGHSLAKENIQKLNNVLHPQIKQAISDCIRKNNLLLHISGEDSGFTTWYTRYYESLFRMPDVPRRILATQGIAVAPSSNLGPSPSPSPSSAPAPAPSPDFSPDPLQSSASSPSPLPTPSHLAAPPENDILDNLSPANRHSEEKGTDNSKTIIVACVVTAVVTFVVAALFFVLCCRRGSGAKQNDERPLLSLSLSEYSGGSSHAYAFGSIKEQKLGHQSFGNESSLHKKASTNGNVYIESDALQISLDGKSSSGAVAGAAKASPESSDTSGNTNSLLPLPPGRVGTVPPGMPPLKPPPGRADPLPPEPPAPIRDSPPPPPPVPSRASPPPPPAPPPFMKPASANVGARPPGPPPPPVPPGTKPGPHPPPPPNSGLAPPRPPPPMPLGSKMPRPPSGPQRTFNAISGEGSGSEGDANAPKAKLKPFFWDKVAANPDHSMVWNQIKSGSFQFNEEMIETLFGYASVDKNKNDKKKEPSTQDPVAQYIQILDPKKAQNLAILLRALNVTTEEVCDALREGNELPVELLQTLLKMAPTADEELKLRLFNGELSQLGPAERFLKVLVDIPFAFKRMEALLFMCSLNEEVIVTRESFETLEVACKELRSSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGVDGKTTLLHFVVQEIIRTEGLRAARVARESKSFNSIKSDDLLEDVSPDTEEHYRSLGLQVVSRLSGELENVKKAAVLDAENLTGTVAKLGHAILKTRDFLNSEMKSTEEESGFRETLKSFVQNAEVDVMSLLEEEKRIMALVKSTGDYFHGNAQKDEGLRLFAIVRDFLIILDKVCKEVRDAPRKPAKAQTKPVSNASSSSESHFEPPSSDPRQKLFPAIAERRMDDSSSSSDDES >EOY12623 pep chromosome:Theobroma_cacao_20110822:7:3017127:3023590:-1 gene:TCM_031123 transcript:EOY12623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 5 isoform 1 MILMIQGQMGVIRTNFLWFLVILLCVPLAVSLDYRRSGEEAFLNQLVDPATGEIDENLAELLWTSCRQELNDLKDAFEDPELHLLEETPSTSNDIDRKGHSLAKENIQKLNNVLHPQIKQAISDCIRKNNLLLHISGEDSGFTTWYTRYYESLFRMPDVPRRILATQGIAVAPSSNLGPSPSPSPSSAPAPAPSPDFSPDPLQSSASSPSPLPTPSHLAAPPENDILDNLSPANRHSEEKGTDNSKTIIVACVVTAVVTFVVAALFFVLCCRRGSGAKQNDERPLLSLSLSEYSGGSSHAYAFGSIKEQKLGHQSFGNESSLHKKASTNGNVYIESDALQISLDGKSSSGAVAGAAKASPESSDTSGNTNSLLPLPPGRVGTVPPGMPPLKPPPGRADPLPPEPPAPIRDSPPPPPPVPSRASPPPPPAPPPFMKPASANVGARPPGPPPPPVPPGTKPGPHPPPPPNSGLAPPRPPPPMPLGSKMPRPPSGPQRTFNAISGEGSGSEGDANAPKAKLKPFFWDKVAANPDHSMVWNQIKSGSFQFNEEMIETLFGYASVDKNKNDKKKEPSTQDPVAQYIQILDPKKAQNLAILLRALNVTTEEVCDALREGNELPVELLQTLLKMAPTADEELKLRLFNGELSQLGPAERFLKVLVDIPFAFKRMEALLFMCSLNEEVIVTRESFETLEVACKELRSSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGVDGKTTLLHFVVQEIIRTEGLRAARVARESKSFNSIKSDDLLEDVSPDTEEHYRSLGLQVVSRLSGELENVKKAAVLDAENLTGTVAKLGHAILKTRDFLNSEMKSTEEESGFRETLKSFVQNAEVDVMSLLEEEKRIMALVKSTGDYFHGNAQKDEGLRLFAIVRDFLIILDKVCKEVRDAPRKPAKAQTKPVSNASSSSESHFEPPSSDPRQKLFPAIAERRMDDSSSSSDDES >EOY11891 pep chromosome:Theobroma_cacao_20110822:7:263995:268185:-1 gene:TCM_030547 transcript:EOY11891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed imbibition 1 isoform 2 MTVGAGISVSDGKLTVYGNCILRDVHDYVVITPASSGLGDALINGAFIGVRSDQKGSRRVFPVGKLEELRFMCLFRHKFWWMTQWMGASGKDIPFETQFLVVEVCDDTHLDEGSKDEAEQSITYAVFLPILEGDFRAVLQGNEQNEMEICLESGDPAVDKFEGSHLVFVAAGSDPYDVITNSVKTVEKHLQTFSHREKKKMPDILNWFGWCTWDAFYTNVTAEGLKQGLDSLEKGGTPPKFVIIDDGWQSVGMDPTGIEFRSDYTANFADRLIHIKENHKFQKNGKEGHRVDDPALGLRYVVSEMKERHPLKYVYVWHAITGYWGGVKPGITEMERYEPKLVHPISSPGVQSNDYCEVLQSITMNGVGLVNPEKIFDFYNDLHSYLASAGIDGVKVDAQSIVETLGAGHGGRVKLTRKFYQALEASISSNFHDNGIIACMSHNTDSLYSAKSAAVMRASDDFFPRDPASHTIHIASVAYNTIFNGEFMQPDWDMFHSLHPMAEYHGAARAVGGCAIYVSSDKPGQHDFNLLKKLVLPDGSVLRAKLPGRPTRDCLFSDPVRDGKSLLKIWNLNDFTGVIGVFNCQGAGWCGVRKKMVSLDEQPGIITGFVRARDVNYLPQVAENGWTGDSILYSHRGGEVRYLPKNASMSVTLRPREYEVFTVVPVKALSNGAKFAPIGLMKMVNSGGAIKELIYEYEKTTTISLKVRGCGLFGAFSSTQPQRIMVDSQEVEFEYEGGCGLVTIALRVHEEGQYLWNIVIEL >EOY11890 pep chromosome:Theobroma_cacao_20110822:7:263701:268884:-1 gene:TCM_030547 transcript:EOY11890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed imbibition 1 isoform 2 MTVGAGISVSDGKLTVYGNCILRDVHDYVVITPASSGLGDALINGAFIGVRSDQKGSRRVFPVGKLEELRFMCLFRHKFWWMTQWMGASGKDIPFETQFLVVEVCDDTHLDEGSKDEAEQSITYAVFLPILEGDFRAVLQGNEQNEMEICLESGDPAVDKFEGSHLVFVAAGSDPYDVITNSVKTVEKHLQTFSHREKKKMPDILNWFGWCTWDAFYTNVTAEGLKQGLDSLEKGGTPPKFVIIDDGWQSVGMDPTGIEFRSDYTANFADRLIHIKENHKFQKNGKEGHRVDDPALGLRYVVSEMKERHPLKYVYVWHAITGYWGGVKPGITEMERYEPKLVHPISSPGVQSNDYCEVLQSITMNGVGLVNPEKIFDFYNDLHSYLASAGIDGVKVDAQSIVETLGAGHGGRVKLTRKFYQALEASISSNFHDNGIIACMSHNTDSLYSAKSAAVMRASDDFFPRDPASHTIHIASVAYNTIFNGEFMQPDWDMFHSLHPMAEYHGAARAVGGCAIYVSDKPGQHDFNLLKKLVLPDGSVLRAKLPGRPTRDCLFSDPVRDGKSLLKIWNLNDFTGVIGVFNCQGAGWCGVRKKMVSLDEQPGIITGFVRARDVNYLPQVAENGWTGDSILYSHRGGEVRYLPKNASMSVTLRPREYEVFTVVPVKALSNGAKFAPIGLMKMVNSGGAIKELIYEYEKTTTISLKVRGCGLFGAFSSTQPQRIMVDSQEVEFEYEGGCGLVTIALRVHEEGQYLWNIVIEL >EOY13653 pep chromosome:Theobroma_cacao_20110822:7:9864355:9864935:1 gene:TCM_032282 transcript:EOY13653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGLNMYGNGVDNGLVIRKRVMVVVDQSSHCKHAMMWALTHVANKCDLLTLLHVISPSQKSLHLVLLTLLTLLGHSARVVNPRLKWKHWLSKGLSWRQ >EOY13641 pep chromosome:Theobroma_cacao_20110822:7:9699630:9704819:1 gene:TCM_032263 transcript:EOY13641 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MEYSGPILDIFNIICDPLSKCCKYHCNLSQYMENLREKLGERNCRKEDVESRLRAELLLGKETKKEVELWLQKVVKINGVAQALEQEVLKGKYLSRVCLGRRVWKMIQEVDQHYQKGSFNDSLVVDKPLQNRDEIPPTPLAGGATTETLLEEISECLLNDDVRKIGVYGMGGIGKTTIMKHIHNKLLNTFEAVIWITVSKSPNLSGLQNDIARKLNEDFSKYEDETEKATKLFSLLKQKKRWFLILDDIWEPFSLEEIGIPEPTSANGCKLALTTRSLDVCRRMGCKEVKMKLLTKMEAWNLFLDKVGREILLYPDLKAIATQVADECACLPLAIVTIAVSMRGVVDINEWSCALEELRESIKGLNEMDKVLERLKFSFTRLKDEKLQCCLQYCALYPEDFAIERTELIRHLIAEGIIEEKKSRQAEFNKGHAMLNKLENACLLERVIRWDTIYVKMHDLIRDMAINITSEKHLVESGRQLKELPDTKKWMKDLERISLMRNHIVEIPCDTSPKCQRLSTLFLSENRDLARIADSFFLYMHSLKVLDLSHTKITNLPNSISDLENLTALYLQYCPELRYLPSLAKIGALRELDLSYSGLKDAPQGIEMLTSLRYLNLFYSDLEVLPVGILPKLSSLQCLIVCGRSKPFKVVKPAELASLRKLESFGGQMFDLLDYHLYVKSLDGMPSKYHLQVGTHKRLKLLEMPENYYNRIVKIEGISGEGEEDLVLPNDVEFFTLKRCNSLGSLIDVLSSNKEIVHRTGNTKPDGDECVLLASSSRSCSRLQPDKIEDSQFVVSSSSIPSPGTFSFLILIKIQSCSNLRNLFKPGLQPRFPNVKEIAIYDCCQMEEIVAATTEEYGEGISEEGSSNRKGMTYLFYLPKLKALTLSKLPELKSICRGLMTCDSLVYITVSCCPKLKRLPLALPLLHGKPSPPPNLKVINVQDKRWWRSLEWNHQEEEKALQPFCQYLEL >EOY14280 pep chromosome:Theobroma_cacao_20110822:7:22945474:22986288:1 gene:TCM_033594 transcript:EOY14280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoprotein TPR, putative isoform 2 MPLFISEEELSQLSNDVATVAERADAYIREIYGELETAKAKADTAAITAEQTCSLLEQKFLSISGELSDLQSQNAQLQSCLDERLADLAQSQAQKHQLHLQSIGKDGEIERLTIEVSELHKSRRQLLEMIEQKDSEIADKNAVIKTYLDKIVNLTDNAAHKEARISETEAELVRAQATCTRLSQGKELIERHNVWLNEELTAKVDDLVKFRRTQSELEADMSAKLADVERQYNESSSSLNWHKERMRELEIKLTSLQEDLCSSKEVATSNEERFSAELSTANKLVELYKESSEEWSKKAGELEGVIKALEMRLIQVENNYKDRLEKEVSARKQFEKETADLKEKLEKCESEIEAARKANELNLLPLGNFTTATWIDSFDANDMVEDNRALVPKIPVGVSGTALAASLLRDGWSLAKMYAKYQEAVDALRHERLGRKESESTLQRVLCELEEKAVFIMDERAEYEKMREAYSMINQKLQNSTSERSQLEKMIQELKADLRRHERENSLAQKEIADLQKQVTVLLKECRDIQLRCGPVEHDFSGDCTIVAAADRSVEPDADRVISELTFKDINGLVERNVQLRSLVRDLSDQIESKEMEFKEKLEMELKKQTDEAASKVAVVLQRAEEQGHMIESLHASVAMYKKLYEEEHKLHLSYSPAIEAAPDAGKKDFLLLLEGSQEASKKAQEKVAQRVRCLEEDQSKARGEIISLRSERDKLALEANFAREKLESVMKEAEHQRDEINGVLARNVEFSQLIVDYQRKLRESSESLNAAEEHSRKLIMEVSVLKHEKEMLANAEKRACDEVCSLSARVHRLQASLDTIQSAEEVREEARALDRRRQEEYVIQIEKEWAEAKKQLQEERDNVRTLTSGREQTLKDAMKQVEEIGKELANALHACAAAEARAAISEARLSDLEKKLKSSDVKILEIDGGTVPSSVSRNEVVELPMTSEEIETLKEEAKANRDHMLQYKNIAQINEAALKQMELTHESFKNEAEKLKRSLEAELGSLRERVSELENESSLKSEEVAFATAGKLEALSSASAEITSLKEETAVKSSQIVALEIQISSMKENLEKEHEKWRAAQANYERQVILQSETIQELTRTSQALALLQGEASELRKSADAHKSENAELKAKWEVEKSILEESRNKAEKKYDELNEQNKLLHSRIEALHIQLAEKDRGSSVILSRSAVQDPLGDSGLQNVVNYLRRTKEIAETEISLLKQEKLRLQSQIENALKAAETAQATLNAERANIRAALMTEEEIKSLQHQVREMNLLRESNMQLREENKHNFEECQNLREAAQKNRIESETLESQLMKRQIELEASKKEIEIYRTERDCLEKRVSELLERFKNIDVEDYDRLKNDAQHKEEILKEKDAQIDEIMNLLSKKQDTISKLECDLATSKLELNEKDKKLNDILLLEANLKSDMEKQRKLVLQYKRRAESLTKEKEQISKENQALSKLLEELKQGRRSISDTTGDQVMKEKEEKDTRIQSLEKTVERTREELKKEKDEHQNEKAKRIKCERTIMEAVRKTEKGKATVLSELEKYQQALKRLSEELDKLKHAEGNLPEGTSVVQLLSGTISDDHASPYLSAAEDFERVALSILNELGTGSGDVPLVDPSVSTSSGTVPHHDPIIASSTAPATSHHQPAKALEERRSILPKTNIETRKTGRKLVRPRFVKAEEPQGYVEMSEATSLDGDAQGTLAQQNQPVRKRLASAASELCEDLPVPGETSTDVAVPVLKKPRGSDSPPEAAEGQAAALSENLGCTEVTEEAYDTVGDVAQGSNEEVVDVEKEEAETMEEKSDEPKQPQLDGKNEVELLENKNNMLDEMLDRPSGTEMAVDDESKNLAEQDSQQLLLETESEREEGELVPEVVAEIEGGADVHNGMGCSEIGDCQQELVPLASPSRVDDEALFTAAVEGDNSPDVNDEKNNEGDVAEEIVAEGFDKLNDGNHQTAVETDQMPEAATGTAEPTSVSVQPDAEVTKPASTSVTPETEVSKPASTSVPPDTEVSKHIGSSSAPEAEDVKQTSPVGATSTLVNLQERARERAMLRQAGVLPSSSRGRGRPAMRGRVARGRSGRGRGQNSEKQ >EOY14281 pep chromosome:Theobroma_cacao_20110822:7:22945474:22986288:1 gene:TCM_033594 transcript:EOY14281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoprotein TPR, putative isoform 2 MPLFISEEELSQLSNDVATVAERADAYIREIYGELETAKAKADTAAITAEQTCSLLEQKFLSISGELSDLQSQNAQLQSCLDERLADLAQSQAQKHQLHLQSIGKDGEIERLTIEVSELHKSRRQLLEMIEQKDSEIADKNAVIKTYLDKIVNLTDNAAHKEARISETEAELVRAQATCTRLSQGKELIERHNVWLNEELTAKVDDLVKFRRTQSELEADMSAKLADVERQYNESSSSLNWHKERMRELEIKLTSLQEDLCSSKEVATSNEERFSAELSTANKLVELYKESSEEWSKKAGELEGVIKALEMRLIQVENNYKDRLEKEVSARKQFEKETADLKEKLEKCESEIEAARKANELNLLPLGNFTTATWIDSFDANDMVEDNRALVPKIPVGVSGTALAASLLRDGWSLAKMYAKYQEAVDALRHERLGRKESESTLQRVLCELEEKAVFIMDERAEYEKMREAYSMINQKLQNSTSERSQLEKMIQELKADLRRHERENSLAQKEIADLQKQVTVLLKECRDIQLRCGPVEHDFSGDCTIVAAADRSVEPDADRVISELTFKDINGLVERNVQLRSLVRDLSDQIESKEMEFKEKLEMELKKQTDEAASKVAVVLQRAEEQGHMIESLHASVAMYKKLYEEEHKLHLSYSPAIEAAPDAGKKDFLLLLEGSQQEASKKAQEKVAQRVRCLEEDQSKARGEIISLRSERDKLALEANFAREKLESVMKEAEHQRDEINGVLARNVEFSQLIVDYQRKLRESSESLNAAEEHSRKLIMEVSVLKHEKEMLANAEKRACDEVCSLSARVHRLQASLDTIQSAEEVREEARALDRRRQEEYVIQIEKEWAEAKKQLQEERDNVRTLTSGREQTLKDAMKQVEEIGKELANALHACAAAEARAAISEARLSDLEKKLKSSDVKILEIDGGTVPSSVSRNEVVELPMTSEEIETLKEEAKANRDHMLQYKNIAQINEAALKQMELTHESFKNEAEKLKRSLEAELGSLRERVSELENESSLKSEEVAFATAGKLEALSSASAEITSLKEETAVKSSQIVALEIQISSMKENLEKEHEKWRAAQANYERQVILQSETIQELTRTSQALALLQGEASELRKSADAHKSENAELKAKWEVEKSILEESRNKAEKKYDELNEQNKLLHSRIEALHIQLAEKDRGSSVILSRSAVQDPLGDSGLQNVVNYLRRTKEIAETEISLLKQEKLRLQSQIENALKAAETAQATLNAERANIRAALMTEEEIKSLQHQVREMNLLRESNMQLREENKHNFEECQNLREAAQKNRIESETLESQLMKRQIELEASKKEIEIYRTERDCLEKRVSELLERFKNIDVEDYDRLKNDAQHKEEILKEKDAQIDEIMNLLSKKQDTISKLECDLATSKLELNEKDKKLNDILLLEANLKSDMEKQRKLVLQYKRRAESLTKEKEQISKENQALSKLLEELKQGRRSISDTTGDQVMKEKEEKDTRIQSLEKTVERTREELKKEKDEHQNEKAKRIKCERTIMEAVRKTEKGKATVLSELEKYQQALKRLSEELDKLKHAEGNLPEGTSVVQLLSGTISDDHASPYLSAAEDFERVALSILNELGTGSGDVPLVDPSVSTSSGTVPHHDPIIASSTAPATSHHQPAKALEERRSILPKTNIETRKTGRKLVRPRFVKAEEPQGYVEMSEATSLDGDAQGTLAQQNQPVRKRLASAASELCEDLPVPGETSTDVAVPVLKKPRGSDSPPEAAEGQAAALSENLGCTEVTEEAYDTVGDVAQGSNEEVVDVEKEEAETMEEKSDEPKQPQLDGKNEVELLENKNNMLDEMLDRPSGTEMAVDDESKNLAEQDSQQLLLETESEREEGELVPEVVAEIEGGADVHNGMGCSEIGDCQQELVPLASPSRVDDEALFTAAVEGDNSPDVNDEKNNEGDVAEEIVAEGFDKLNDGNHQTAVETDQMPEAATGTAEPTSVSVQPDAEVTKPASTSVTPETEVSKPASTSVPPDTEVSKHIGSSSAPEAEDVKQTSPVGATSTLVNLQERARERAMLRQAGVLPSSSRGRGRPAMRGRVARGRSGRGRGQNSEKQ >EOY13380 pep chromosome:Theobroma_cacao_20110822:7:7916026:7921391:-1 gene:TCM_031941 transcript:EOY13380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPFSKNNFLQLKFGLFLDNTTVVKTIQLEENAPFGGIIYKRWLIVCAASLWSLWLARNETVFNSKVWDGLQMFFLIKLRSMSWIRASEGVDAIDNMGWWTDPHLSSRRKAPYHHHVGTSWSPPPTGEFKFNIDSSAKGKPGPAGCDGVLRDSDGHVVGLFFCLIGFHDSNFAELMANLKALKLFTATPYTSSPLIIESDSRVALSWVNSVEKRLWDKWSIFNELDSLCVTLDTVSFKHIFREGNGFADSLAKYGVNNNTSFSAWW >EOY12112 pep chromosome:Theobroma_cacao_20110822:7:1014576:1017208:1 gene:TCM_030712 transcript:EOY12112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 53, putative MLNKTAKSHSLFSMFMFLLVLNFSLSQVDELKLLLSFKSSINDPSGFLSNWNPPTPLCLWHGISCNNFSRVKVIDLAEKNISGTISSSVFHLSKMDTINLSKNKLSGEISSELISSSSLRYLNLSHNYLTGVISNCSILLEILDLEDNMFSGKIPPQIGVCSNLKVLNLGGNYLVGRIPSSISNIRSLQILILAANDLIGQIPCALSKMKTLKRIHLGYNNLSGEIPQELVDIVSLNYLDLAFNNLSGQIPTSLGNLSNLQYLYLFNNKLTGLLPRSISGLKKLIELDLSQNYLFGEIPELISELQSLEILHLFSNDFTGKIPNSLASLPRLQVVSFLSNSLTGEIPSSICNISSIEVLDLADNYLSGIIPPCLGNFSKYLTILDLQMNSFHGTILEMFGEDCRLRNLNFNDNKLQGSLPRSLANCRNLVMMDIGGNKLNGTFPYWLETLPELQVLVLRSNKLRGVLQSYKTIHPFPKLRILDLANNEFTGPLPKGIIKNMKAIMNLSEQQSSLQYMHDRYYTYNLSLTVKGFYIELPLLTTFTSMDLSNNNFHGEIPNVIGKLSSLRGLNLSHNSLNGHIPMSMGNLTSLEWLDLSSNKLTGQIPDQLKDMTFLAFLNLSYNQLTGPIPQGKQFSTFENGSYKGNLALCDFPLSKSCNNNGRKQSSPSFLKEADDSETKISFGWKVVLTGYGCGLIVGVIIGYVTFRNGEPKWFVTLYGVKYHRKGRRCSRN >EOY11917 pep chromosome:Theobroma_cacao_20110822:7:346117:347750:1 gene:TCM_030570 transcript:EOY11917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycoprotein family, putative MLSLPPPPPPPPPPPPPPGASPPPPPQKQTTPVSLDQIVISKPVINQQAPASKKPDPDSTENSRKPLLRQPHTRRTNPLIWCSAILCLIFCLILILFGVATLIIFLSIKPRIPAFDTPNASLNGIYFDSPEYFNGDFTFLANFSNPNRRIDVRFEYLVIELYFHDRLIGTQAVQPFSQRRGEARLESVHFISSLVYLPQNLGVELQKQVLSNRVNYNIRGTFKVRANLGLIHFSYWLHSRCELLMSSPPTGVLVSHRCKTKR >EOY12156 pep chromosome:Theobroma_cacao_20110822:7:1152575:1157889:1 gene:TCM_030742 transcript:EOY12156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tornado 1 MASNQKFRDLQWFLQALETESLNLHSISFCLSQPVSGCYQETESSMNINISTDCLEYFSLILIVLGPAKNTLLSLRDLEFHCVEWELQQMQNLGELLDSNLNIKQLVFRQNRFNVDCLSEFSDFLKRNGAIKEVLFSESRIGTVGATFLASALKVNDCLEELQIWEDSIGSKGAEELSKMIEANSMLKLLTIFDSSSITATPLISAVLARNRAMEVHVWSGESGDKSSKVVEFLPESSTLRIYRIDVSGACRVACALGLNSTVTSFDMTGVRLKSRWAKEFRWVLEQNQSLKEVTLSKTCLKDKGAVYVAAGLFKNRHLERLHLDGNWFSGVGVEHLLCPLSRFSALQCQANITLRSVTFGGNRTKIGRDGLAAILQTLTTNETLTRLAIVDDQSMRPDDFFRIFKSLGKNASLRCLSLQGCKGVRGERVLQAIMETLQINPWIEDIDLARTPLHNTGKADAIYQRLGQNGKTEPETENDLLKDMPLTEPKSCRVFFCGQEYAGKTTLCNSISQNFSSSKLPYIDQVRTLVNPVEQAVGTVGMKIKTFKDEDTKISIWNLAGQHEFYSLHDLMFPGHGSASFFLIISSLFRKPGNREPKTPMEIEEDLQYWLRFIVSNSKRAVQQCMLPNVAVVLTHYDRVNQTSQNLKATVNSIQKLREKFNGYVDFYPTLFTVDARSSASVSKLTHHIRKTSKTILQRVPRVYQLCNDLIQILCDWRSGNYNKPAMKWKEFAELCQVKVPPLRIRSRHDNKEKIERRRRAVATCLHHIGEVIYFDELGFLILDCEWFCGEVLSQLIKLEVRRQSSAENGFISRKELEKILRGSLQSQIPGMGSKVFENLEANDLVKMMMKLELCYEQDPSDPNSLLLIPSILEEGRGKPQKWQLSSSDCLYAGRHLQCDDSSHMFLTPGFFPRLQVHLHNRIMALKNQHGATYSLEKYLISITINGIYIRIELGGQLGYYIDILACSTKNLTETLRLIQQLIVPAIQSLCHGVTLIENIMRPECAQNLIPPRYRKSQFVPLQQLKQALLSVPAESMYDYQHTWDSVSDSGRLILRAGFDLARDLLSDDDFREVLHRRYHDLYNLAVELQVPPENNPDEAENSLSNAVESDKVDPTFGGIAKGVETVLQRLKIIEQEIRDLKQEIQGLRYYEHRLLIELHRKVNYLVNFNVQVEGRKVPNMLYFVTTENYSRRLVTNIISGMTALRLHMLCEFRREMHVVEDQVGCEVMHIDNRAVKCLAPYMTKFMKLVTFALKIGAHLAAGMGNMIPDLSREVAHLADSSVMYGAAGAVAAGAAGTAAMGRINGIRNRNRTGDIQQDLRSAQQWVVDFLRDRRCSTGKDIADKFGLWRVRYRDDGHIAWICRRHMTIRANEIIEVPI >EOY12501 pep chromosome:Theobroma_cacao_20110822:7:2387542:2396780:1 gene:TCM_030990 transcript:EOY12501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSATLHFLNAKLFLCLLVTLFQHHFAFATSNPAGLSLRAVIDDSPESPLHLIGNLSKAERIEKLITITKARVNYLDLVSRPNARVVPSNINIPIFRDSHFYSVWLTIGSQKHPVKLLMDTGGGLIWTQCQPCKNCFPQNLQIYDPRVSASYGTLPCDHPLCDGDYRLYDCVNGECVYDVEYGGGASTRGVASLESFHFFIDNHNTKTFSNVIFGCSGDNTDFSFKNSDISGIFGLNLSPDSMLSQFSPLIHNRFSYCLVPFLDAVPHPIILRFGEDIPRLPPGQVNTALFGYAPPGSLYVFYLKLLDISVANHRLGFQPDTFRIRQDGSGGCFIDSGALISKIDSNTLGVNAYEAVLAVYEAYYGSRKLQRTNGPRGFDLCYETPANYHDFAAITFHLNGADYTVDGQLGHFFDPVNGFFCVAIVRGRLGTVIGAWHQQNKRIIYDKGIGGLQFADEQLLLAIVFQRGAIAGIFLRSKRRKERKMSDRARDWDFYLRTVSNSARDSNFANDPASDPSLLHAVKKLCDFCRQEEKSSEDLVARVYPHINKLFQRSIASLSQSRSSNGILLLVILQFFLDFGEVVLHDADPSLRTFFRSCLSREFADPVVAEATLDFLNVNKNKLLSSFPNLLPQFFPLLLKLIAWHGEKLEKPFLKVFPGLVSPGSFLPLFPSLVDLPILVVALEKVERSSGSLIGSSIASIQKSTAPEMLLALMDEAYTGSTIGDGGGDSESEDSSTIDVADPLFLELLKDENDGLAERHWTSPGVAAVLQAAINSPQSDRLKQILNMAPRLLDLYFTIALRDANNSLICALIPILMTRNSALFPDKNYMYELRRRLLEFMLAAFQLSPDFIALLKKPIIDRLGEAYDSPEKTELALQLCWAVGEHGGGGGSHKDAARELFESLELLLYENLSSSRLGLRQESAVSSDNRNFRKSSQSRLLCFVITAISKLATYHRELLPRARVALGKVAGSRISDSRVWRRARDYLGLMNEPAICLSVLGPSRPSHGQMHYPGTVNWNEGGTKMIAHVPFYILGEQEGPPFHDFSFSDILPRR >EOY13546 pep chromosome:Theobroma_cacao_20110822:7:9151466:9155640:1 gene:TCM_032149 transcript:EOY13546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family isoform 2 MQSSLSCPQATTNFKFQLKSRTISTYEPPHQHLFISFPKPSNSSPFDLTLRSQSHEPPKRTATSLTSKRFQLQCSISSESYNLNNEKSFGDWVEWLGEVISTAFPIWVSLGCLFGLLKPTSFSWVTPRWNIFGLTLTMLGMGMTLTLDDLRGALAMPKELISGFVLQYSVMPLSGFLVSKLLNLPSHYAAGLILVGCCPGGTASNIVTYIARGNVALSVLMTAASTLSAVIMTPFLTAKLAGQYVAVDAAGLLISTLQVVLLPVLAGAFLNQYFQGLVKFVSPLMPPIAVGTVAILCGNAIAQSASAILASGQQVVLASSLLHASGFFFGYILSRVLGLEVASSRTISIEVGMQNSVLGLVLASQHFQNPLTAVPCAVSSVCHSIFGSILAGIWRRTVPKQKQE >EOY13547 pep chromosome:Theobroma_cacao_20110822:7:9150299:9155641:1 gene:TCM_032149 transcript:EOY13547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family isoform 2 MQSSLSCPQATTNFKFQLKSRTISTYEPPHQHLFISFPKPSNSSPFDLTLRSQSHEPPKRTATSLTSKRFQLQCSISSESYNLNNEKSFGDWVEWLGEVISTAFPIWVSLGCLFGLLKPTSFSWVTPRWNIFGLTLTMLGMGMTLTLDDLRGALAMPKELISGFVLQYSVMPLSGFLVSKLLNLPSHYAAGLILVGCCPGGTASNIVTYIARGNVALSVLMTAASTLSAVIMTPFLTAKLAGQYVAVDAAGLLISTLQVVLLPVLAGAFLNQYFQGLVKFVSPLMPPIAVGTVAILCGNAIAQSASAILASGQQVVLASSLLHASGFFFGWHAELGSWTCSCFSALPKSTDCSTMCSFQRMPLNFW >EOY12503 pep chromosome:Theobroma_cacao_20110822:7:2408320:2409381:1 gene:TCM_030992 transcript:EOY12503 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTD small phosphatase-like protein 2, putative MASSMNSMSRSPVSHGEADEYPEESSWTMYFESLSNKNSDMDVENSSFSSDINYQSSSLVSDAACSAAGRHDFGAPLGKSCNRLSFKKRKCNKGRLVDDDLEDTASSPANSPKVCNLINHFDKNLKQKDVMDKSQEKGSASGQIDERNDELGLIGREDDGTELKKMGLCLVPLSMVVHYLG >EOY13645 pep chromosome:Theobroma_cacao_20110822:7:9743769:9749596:-1 gene:TCM_032267 transcript:EOY13645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLFLLIPSLTKNQSPTNNQPLAMEKKKKVRELQGHLLTVKVVVVVVVGMFLKCLTVKDCLLLWLLKFKAFLELLTCWNGKPLVLLIFIGRSSLKNGQSLIRKKRSQKSNTKATTDLRITVPETANNAIARIEYLDAMRSSINCKLGQAIREIVGFTRDVARFSNLSHLSFTKSKFKFSSAITMSNLTKLEFVTLDITSKNYLSWILDAEIHLDAMGLGDTIKEENKASNQDKAKAMIFLRTIFMKD >EOY12518 pep chromosome:Theobroma_cacao_20110822:7:2625070:2626331:-1 gene:TCM_031043 transcript:EOY12518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERQLLRKKMGKTIYHEFEIDRLRLTSWEEKDAGWLSTKCYGKGWDLRGRLVRYQHNPSSDQPAMAVSAFGSANVGTVSIPSTVFATGNDDDVLAKGFKTHFATIQKIKAADTF >EOY12530 pep chromosome:Theobroma_cacao_20110822:7:2613634:2641566:-1 gene:TCM_031043 transcript:EOY12530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein STFLTFSKCSIVKLFPRSRLLNSFNVAAVDKLSSTQFTTNLSTLCKEVNSPNPFGKPDRSLQLSILRYSKLINWRISLGNFLSLRQSKSNKVCKWCNLTIASGSTFIL >EOY12274 pep chromosome:Theobroma_cacao_20110822:7:1539572:1541972:-1 gene:TCM_030829 transcript:EOY12274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein, putative isoform 1 MQSSTLPVIVILALFSLPYAAFGSKSSHLCSDDFLHLEAYVGMNERQSTSCRKLTTLQAQFAWNFYRTSHNQTQVNILIGTKMITDAGWLAWGVNPDLPQMVGTRAIIAIKPPNGSSPFVNTYNITKDTKLYCSIQPSDIGLRVQNKTAQHITGTGFFTISATLILPESYNISRLNHVWQVGYVAEGFEPMVHSKTLQNFDSREILDLNTGQCQNIGHNRRRLRKIHGILNIIGWGTLMPIGVIIARYFRLYPFKLESWWFTFHYSCQALGYILGSFGWGLGLLLGHESKYYTFYTHRVLGICIFGFATLQMLAFRLKPTKDDEYRKHWNVYHHFLGYSLLVMIPVNIYQGIQILKPDNTTWKWAYNGILVLLAMVVLALEVYTWTKFLYQRKGQARQKTGQGSGTSPQADQTQQKTDNKGSATSPQTDQDTSKQPISGS >EOY12275 pep chromosome:Theobroma_cacao_20110822:7:1539554:1541972:-1 gene:TCM_030829 transcript:EOY12275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein, putative isoform 1 MQSSTLPVIVILALFSLPYAAFGSKSSHLCSDDFLHLEAYVGMNERQSTSCRKLTTLQAQFAWNFYRTSHNQTQVNILIGTKMITDAGWLAWGVNPDLPQMVGTRAIIAIKPPNGSSPFVNTYNITKDTKLYCSIQPSDIGLRVQNKTAQHITGTGFFTISATLILPESYNISRLNHVWQVGYVAEGFEPMVHSKTLQNFDSREILDLNTGQCQNIGHNRRRLRKIHGILNIIGWGTLMPIGVIIARYFRLYPFKLESWWFTFHYSCQALGYILGSFGWGLGLLLGHESKYYTFYTHRVLGICIFGFATLQVTQMLAFRLKPTKDDEYRKHWNVYHHFLGYSLLVMIPVNIYQGIQILKPDNTTWKWAYNGILVLLAMVVLALEVYTWTKFLYQRKGQARQKTGQGSGTSPQADQTQQKTDNKGSATSPQTDQDTSKQPISGS >EOY13208 pep chromosome:Theobroma_cacao_20110822:7:6519598:6525229:1 gene:TCM_031729 transcript:EOY13208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fiber Fb17-like protein isoform 1 MEETTSEVTSPCLTSQMAYGPMYDQLNNALAWNSRQHTNVGIVHSQANQFQLVQGEPSEASEQPRARRCRPKLNEDQRRENKRQCDIKYRLNQKTKVNELTAENKRLLEKNEQFSAENRHLKEENGRLSVKSRHLEEHLRKLKSKGKLPTEDTQRQDSYISQPASQLDHQIVHVQDHHGVGFNYEEAVYTDHHRMDSDIEGAVDGVDGLEINDLFLLENEVNQNGRVAIHECGPENQDSYLSVMCPADTAMRKFLTKLDEDVLSNVHLSDFTGLEGEQRIVGRYSFPLSLIPTVERINDACGDVSAASLINPDVAETIYILFCATIKEMEDLQLEQVTENKMIKWRDTIKDALRINFSAGFAMEHLKKIACAYFGLPGITLLYNMDARISKLEAELNHWKKKRDEINEGSKLCIAAAGEFAGVPVSTGLFPQSSCN >EOY13207 pep chromosome:Theobroma_cacao_20110822:7:6519598:6525229:1 gene:TCM_031729 transcript:EOY13207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fiber Fb17-like protein isoform 1 MGKDKEAKGKGKQAGSGSDASASKGKGKAEKGDGLGTCTCVKARHILCEKQGKINEAYKKLEDGWLSNGDKVPPAEFAKIAQEYSECPSGKKGGDSGWFPRDQLGILQSAKQTLGIRDMEETTSEVTSPCLTSQMAYGPMYDQLNNALAWNSRQHTNVGIVHSQANQFQLVQGEPSEASEQPRARRCRPKLNEDQRRENKRQCDIKYRLNQKTKVNELTAENKRLLEKNEQFSAENRHLKEENGRLSVKSRHLEEHLRKLKSKGKLPTEDTQRQDSYISQPASQLDHQIVHVQDHHGVGFNYEEAVYTDHHRMDSDIEGAVDGVDGLEINDLFLLENEVNQNGRVAIHECGPENQDSYLSVMCPADTAMRKFLTKLDEDVLSNVHLSDFTGLEGEQRIVGRYSFPLSLIPTVERINDACGDVSAASLINPDVAETIYILFCATIKEMEDLQLEQVTENKMIKWRDTIKDALRINFSAGFAMEHLKKIACAYFGLPGITLLYNMDARISKLEAELNHWKKKRDEINEGSKLCIAAAGEFAGVPVSTGLFPQSSCN >EOY13209 pep chromosome:Theobroma_cacao_20110822:7:6519785:6524647:1 gene:TCM_031729 transcript:EOY13209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fiber Fb17-like protein isoform 1 MEETTSEVTSPCLTSQMAYGPMYDQLNNALAWNSRQHTNVGIVHSQANQFQLVQGEPSEASEQPRARRCRPKLNEDQRRENKRQCDIKYRLNQKTKVNELTAENKRLLEKNEQFSAENRHLKEENGRLSVKSRHLEEHLRKLKSKGKLPTEDTQRQDSYISQPASQLDHQIVHVQVDHHGVGFNYEEAVYTDHHRMDSDIEGAVDGVDGLEINDLFLLENEVNQNGRVAIHECGPENQDSYLSVMCPADTAMRKFLTKLDEDVLSNVHLSDFTGLEGEQRIVGRYSFPLSLIPTVERINDACGDVSAASLINPDVAETIYILFCATIKEMEDLQLEQVTENKMIKWRDTIKDALRINFSAGFAMEHLKKIACAYFGLPGITLLYNMDARISKLEAELNHWKKKRDEINEGSKLCIAAAGEFAGVPVSTGLFPQSSCN >EOY12614 pep chromosome:Theobroma_cacao_20110822:7:3001610:3002894:1 gene:TCM_031120 transcript:EOY12614 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbQ-like 1 MVHLANLNGITETLPAIPKLPSIQRSRKTAKIIGFLGKNTEDLREQPLQMTRRMALSLASIALVGTSSNSISLAEDNGYWITDLLPVPSVENNIANEKTGTRSFVKKGLYVANLNTKNRMYRLKKYAFDLLAMADLIGPGTLSYVKKYLRLKSTVMYYDFDKIISAAPVDDKQPLTTLANRLFDSFEKLEDAAKTRNLPQTESCYQNTKVILQEVMDRMA >EOY13646 pep chromosome:Theobroma_cacao_20110822:7:9754265:9770659:1 gene:TCM_032269 transcript:EOY13646 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5-like receptor kinase MPKPKAQLPWFGLMAALALFLLPEACIARSRNKDCGVTLCGDVNISFPFRLTSQPRKCGDHRYELECDNNNRTTLVMKHGRFYVQNISYGNHTIQVVDASLGKNDCSLPRSSFIFGHPCKLPYWVSYPTSSNMYLVNCTTQMKSSLYVDASRCHNRSSHPPTYFYFLDQGTRPVDFSQFCTVDAQVPVGLENISNMSTLDIYKNLLLGFELSWMDLDDFPLHCTEDKLQVILDSLRSALETYVDSFVDFPFNREYYYGSTGTYISLGVTRGVILLRALPGICCLIVVVTYKWRRRHLSVDDMIEEFFQSQNDIIPIRYSYREIKRMTKSFRDKLGEGGYSSVYKGKLCSGHFVAIKLLGKSKANGQDFINEVATIGRIHHANVARLIGFCAEGSKQAFVYDFMSNGSLDKIIFAEENKTILSWQQMFDIALGVARGIEYLHQGCDMQILHFDIKPHNVLLDENFIPKVSDFGLAKLYSVDDNIVSLTAARGTIGYIAPELVYKNIGGISYKADVYSFGMLLMEMVGRRKNLNVLAEHLSQIYFPSWIYGRLHQGQDFELREVTDFEKILVKKMIITTCWCIQVTPNDRPSMNKVLQMLETDVELIQMPPKSFQLPFEISTGDHVDDNPSEDPTSSLLSSNEISLNIV >EOY13485 pep chromosome:Theobroma_cacao_20110822:7:8749325:8756844:1 gene:TCM_032085 transcript:EOY13485 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5-like receptor kinase MYLWMLSVILPLLCLLAFASFLFPDTGRVTEKYKHCGSSLCGNINITYPFRLKTQSHRCGNKELELVCQNNRTIFPMKHGNFYVQHISYSDETIQLLDESLGNDNCSIPHSSYPWYTSFSKKIYLDRTTSEYSIMYLVNCTMQINYTSVYIDAFRCTNNTASSRPAYFYFLDGNTTASDFDESCRVEAQVPIMLANITGRSTFDIYTKLLTGFQLSWSTFYYDYVWLSWDSIVNIAILAQAVDAYAGNTYSRHNRQKLKRRHLSVDDDIENFLQSQNNLMPIRYSYSEIKRMTDGFKNKLGQGGYGYVFKGKLRSGQLVAIKLLNNSKANGQDFINEVATIGRIHHVNVVRLIGFCVKGSKQALVYDFMKNGSLDKIIFSAENNTLSWQKMFEIALGVARGIEYLHRGCEMQILHFDIKPRNILLDENFTPKVSDFGLAKLYSVDNDIISLTAARGTVGYMAPELFYKNLGGISYKADVYSFGMMLMEITGRRKNLNASAEQSSQIYFPSWIYDRLDEGDIMDLGDLIENENKIMRKMVIVALWCIQMKPTDRPSMSKVLNMLESEVELLEMPPKPSFSGNVN >EOY12797 pep chromosome:Theobroma_cacao_20110822:7:4195215:4202714:-1 gene:TCM_031318 transcript:EOY12797 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD5 isoform 1 MQQRNERERERERVNGRREGKKGGRGREKTELTLAFFRNYPFFFFFFFFFFFVVVVVAVLVSLSVIFYFHLSNMNEKANVSKELNAKHRKILEGLLKLPENRECADCKSKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEEKRWVPRDGKSQSPPRGSDERAPHWQRPIEKSGHGHISNSENSFEERRNIQASSRKESLPATRVSLPVPPKGPEQVTPIQKPEPVVAPGEATKPAVETAPTVTPPNVCYATDLFDMLSMDDGPSENGAEADSTDDNNWAGFQSAGEASTTNKTAPPKAAESNSQSATGIEDLFNDAPPFTTNQVPEKPQKDVKNDIMSLFEKSNMVSPFAMHQQQLSMLSQQQSLLMAAASKSAPGNTQLPAQQLAMLAQQQSLLMAAAAKSAPGNTQQPAQQLAMLAQQQSLLMAAAAKSAPGNTQQPASNGSSIPTQTWPNIGYQIPGMMMPVAGQADLQKLMQTMTMGQTQQLGNSVAYPPSSFYAMGQVTPTNGVVTSGATSKPQSASTVSSANSSQSGQDYDFSSLTQGMFTKH >EOY12798 pep chromosome:Theobroma_cacao_20110822:7:4195548:4200116:-1 gene:TCM_031318 transcript:EOY12798 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD5 isoform 1 MNEKANVSKELNAKHRKILEGLLKLPENRECADCKSKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEEKRWVPRDGKSQSPPRGSDERAPHWQRPIEKSGHGHISNSENSFEERRNIQASSRKESLPATRVSLPVPPKGPEQVTPIQKPEPVVAPGEATKPAVETAPTVTPPNVCYATDLFDMLSMDDGPSENGAEADSTDDNNWAGFQSAGEASTTNKTAPPKAAESNSQSATGIEDLFNDAPPFTTNQVPEKPQKDVKNDIMSLFEKSNMVSPFAMHQQQLSMLSQQQSLLMAAASKSAPGNTQLPAQQLAMLAQQQSLLMAAAAKSAPGNTQQPASNGSSIPTQTWPNIGYQIPGMMMPVAGQADLQKLMQTMTMGQTQQLGNSVAYPPSSFYAMGQVTPTNGVVTSGATSKPQSASTVSSANSSQSGQDYDFSSLTQGMFTKH >EOY12799 pep chromosome:Theobroma_cacao_20110822:7:4195313:4200288:-1 gene:TCM_031318 transcript:EOY12799 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD5 isoform 1 MGKCEFRYLYMHAMFWDPQKSWGTHIKEVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEEKRWVPRDGKSQSPPRGSDERAPHWQRPIEKSGHGHISNSENSFEERRNIQASSRKESLPATRVSLPVPPKGPEQVTPIQKPEPVVAPGEATKPAVETAPTVTPPNVCYATDLFDMLSMDDGPSENGAEADSTDDNNWAGFQSAGEASTTNKTAPPKAAESNSQSATGIEDLFNDAPPFTTNQVPEKPQKDVKNDIMSLFEKQLSMLSQQQSLLMAAASKSAPGNTQLPAQQLAMLAQQQSLLMAAAAKSAPGNTQQPAQQLAMLAQQQSLLMAAAAKSAPGNTQQPASNGSSIPTQTWPNIGYQIPGMMMPVAGQADLQKLMQTMTMGQTQQLGNSVAYPPSSFYAMGQVTPTNGVVTSGATSKPQSASTVSSANSSQSGQDYDFSSLTQGMFTKH >EOY13629 pep chromosome:Theobroma_cacao_20110822:7:9645270:9646496:-1 gene:TCM_032251 transcript:EOY13629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLELLERKRVRVPETNEVIIQQLDGPLTQYLPSNSRIVGLSYNAEKVMDINDYLSTMADDVTPIFVMGAMVRGKVNKAGTDNYISISNYPLSAAYSTALVFIALANKWELH >EOY13572 pep chromosome:Theobroma_cacao_20110822:7:9290412:9291186:-1 gene:TCM_032170 transcript:EOY13572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNFLSYFLLILLLSHLFHHSALAMRKLEDFGIDKARESSMDLDDQDTPFIGGDYHFKRKELHEVHSGPNPISNSVPKKRLKTILRRIIP >EOY12268 pep chromosome:Theobroma_cacao_20110822:7:1523853:1526431:-1 gene:TCM_030826 transcript:EOY12268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 3-like protein 2 MNQFFGHVDTVTHRYANLHAPPISYNHFTTTTTSSSSSPSSDMEASIAAVERILNYSFRNKRLLEEALTHSSYSDSTSYQRLEFVGDAALGLALTNHVFLAYPELDPGLLSLIRAANISTEKLARVAIKHRLFQFVRHNATSLEDKVREFAKVVSQEDDPVSYGGSMKAPKVLADIVESVAAAVYVDVNFDLSKLWVIIRGLLEPIVTLEDLQQQPQPVTVLFEFCQKQGKHVDIKHWKKGLRNVASVYVDGSFVASGSSEQKDIAKLNAARGALHKLLQSMPVDVNPFGIGGINGSFEIDGAKQKLHELCGKKKWPKPIYELEKDEGRPHERIFVSSVKIPTVDGALYITGDEKSRVKEAENSAASFMIRALQESGYL >EOY12469 pep chromosome:Theobroma_cacao_20110822:7:2312135:2315229:1 gene:TCM_030973 transcript:EOY12469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein isoform 2 MSEIIHEATGKMTQLCRKIVHVNIKWSIFERVSIFRRFFRFIWDRILVCSIGRPVHYRRLTRRDSPPVEGVLIDNEALPEDPRTMCNGYETDSDLVSLKISLLGDCQIGKTSFLIKYAGDEQERCLEMAGLNLVNKTSFVQGARIAFSIWDVGGDSSSLDHLPIACKDAVAILFMFDLTSRCTLNSVVGWYTQARKWNQVLNFLSLVFLIEIHGVFLSTGSSTLTGLAKLRKGTAIPILIGTKFDDFVRLPPDLQWTIVTQARAYARAMKATLFFSSATHNINVNKIFKFIMAKLFNLPWTVERNLTIGEPIIDF >EOY12470 pep chromosome:Theobroma_cacao_20110822:7:2312185:2314942:1 gene:TCM_030973 transcript:EOY12470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein isoform 2 MSEIIHEATGKMTQLCRKIVHVNIKWSIFERVSIFRRFFRFIWDRILVCSIGRPVHYRRLTRRDSPPVEGVLIDNEALPEDPRTMCNGYETDSDLVSLKISLLGDCQIGKTSFLIKYAGDEQERCLEMAGLNLVNKTSFVQGARIAFSIWDVGGDSSSLDHLPIACKDAVAILFMFDLTSRCTLNSVVGWYTQARKWNQQTAIPILIGTKFDDFVRLPPDLQWTIVTQARAYARAMKATLFFSSATHNINVNKIFKFIMAKLFNLPWTVERNLTIGEPIIDF >EOY14271 pep chromosome:Theobroma_cacao_20110822:7:22845047:22853312:-1 gene:TCM_033583 transcript:EOY14271 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEM3 (ligand-effect modulator 3) family protein / CDC50 family protein isoform 1 MNSNTPSSSAGRAGSADSAAPRRNSKRPKYSKFTQQELPACKPILTPRWAISAFMLVSIVFIPIGVVSLFASRDVVEIIDRYENVCVPESFRNDKVTYIQSDVDKICNRTLRVKKLMKQPIYVYYQLDNFYQNHRRYVKSRSDSQLKNNNSQDDVDSCKPEDNTADGMPIVPCGLIAWSLFNDTFNFSLNNQQLAVNKKGISWKSDRDSKFGKNVFPKNFQNGSFIGGKHLNSSVPLSEQEDLIVWMRTAALPTFRKLYGKIERDLQPNELIQVTLENNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLTVGGLCFFLALSFTVVYLVKPRRLGDPSYLSWNRNPGGH >EOY14272 pep chromosome:Theobroma_cacao_20110822:7:22847699:22853225:-1 gene:TCM_033583 transcript:EOY14272 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEM3 (ligand-effect modulator 3) family protein / CDC50 family protein isoform 1 MNSNTPSSSAGRAGSADSAAPRRNSKRPKYSKFTQQELPACKPILTPRWAISAFMLVSIVFIPIGVVSLFASRDVVEIIDRYENVCVPESFRNDKVTYIQSDVDKICNRTLRVKKLMKQPIYVYYQLDNFYQNHRRYVKSRSDSQLKNNNSQDDVDSCKPEDNTADGMPIVPCGLIAWSLFNDTFNFSLNNQQLAVNKKGISWKSDRDSKFGKNVFPKNFQNGSFIGGKHLNSSVPLSEQEDLIVWMRTAALPTFRKLYGKIERDLQPNELIQVTLENNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLTVGGLCFFLALSFTVVYLVKPRRLGDPSYLSWNRNPGGH >EOY14269 pep chromosome:Theobroma_cacao_20110822:7:22846000:22853305:-1 gene:TCM_033583 transcript:EOY14269 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEM3 (ligand-effect modulator 3) family protein / CDC50 family protein isoform 1 MNSNTPSSSAGRAGSADSAAPRRNSKRPKYSKFTQQELPACKPILTPRWAISAFMLVSIVFIPIGVVSLFASRDVVEIIDRYENVCVPESFRNDKVTYIQSDVDKICNRTLRVKKLMKQPIYVYYQLDNFYQNHRRYVKSRSDSQLKNNNSQDDVDSCKPEDNTADGMPIVPCGLIAWSLFNDTFNFSLNNQQLAVNKKGISWKSDRDSKFGKNVFPKNFQNGSFIGGKHLNSSVPLSEQEDLIVWMRTAALPTFRKLYGKIERDLQPNELIQVTLENNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLTVGGLCFFLALSFTVVYLVKPRRLGDPSYLSWNRNPGGH >EOY14270 pep chromosome:Theobroma_cacao_20110822:7:22847267:22853502:-1 gene:TCM_033583 transcript:EOY14270 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEM3 (ligand-effect modulator 3) family protein / CDC50 family protein isoform 1 MNSNTPSSSAGRAGSADSAAPRRNSKRPKYSKFTQQELPACKPILTPRWAISAFMLVSIVFIPIGVVSLFASRDVVEIIDRYENVCVPESFRNDKVTYIQSDVDKICNRTLRVKKLMKQPIYVYYQLDNFYQNHRRYVKSRSDSQLKNNNSQDDVDSCKPEDNTADGMPIVPCGLIAWSLFNDTFNFSLNNQQLAVNKKGISWKSDRDSKFGKNVFPKNFQNGSFIGGKHLNSSVPLSEQEDLIVWMRTAALPTFRKLYGKIERDLQPNELIQVTLENNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLTVGGLCFFLALSFTVVYLVKPRRLGDPSYLSWNRNPGGH >EOY14273 pep chromosome:Theobroma_cacao_20110822:7:22842124:22853404:-1 gene:TCM_033583 transcript:EOY14273 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEM3 (ligand-effect modulator 3) family protein / CDC50 family protein isoform 1 MNSNTPSSSAGRAGSADSAAPRRNSKRPKYSKFTQQELPACKPILTPRWAISAFMLVSIVFIPIGVVSLFASRDVVEIIDRYENVCVPESFRNDKVTYIQSDVDKICNRTLRVKKLMKQPIYVYYQLDNFYQNHRRYVKSRSDSQLKNNNSQDDVDSCKPEDNTADGMPIVPCGLIAWSLFNDTFNFSLNNQQLAVNKKGISWKSDRDSKFGKNVFPKNFQNGSFIGGKHLNSSVPLSEQEDLIVWMRTAALPTFRKLYGKIERDLQPNELIQVTLENNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLTVGGLCFFLALSFTVVYLVKPRRLGDPSYLSWNRNPGGH >EOY13254 pep chromosome:Theobroma_cacao_20110822:7:6774697:6780065:-1 gene:TCM_031773 transcript:EOY13254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase 3 isoform 1 MAANNNNNNNSKLEKLASIDAQLRLLVPSKVSEDDKLVEYDALLLDRFLDILQDLHGEDLKETVQECYELSAEYEGKNNPKKLEELGNVLTSLDPGDSIVVAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFIDENSATTESDIEETLKRLVFDLKKSPEEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLAQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETVWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCSDELRVRADELHRSSRRDAKHYIEFWKQIPPNEPYRVILGDVRDKLYQTRERSRQMLSHGISDIPEEATFTNIEQFLEPLELCYRSLCSCGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVIDAITKHLELGSYREWSEERKQEWLLSELSGKRPLFGPDLPKTEEIADVLDTFHVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKEPLRVVPLFEKLADLEAAPAALARLFSIDWYRNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAVIATEKYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHAIKKDIKNLHMLQEMYNNWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSPELWSFGKLLRTNYEETKSLLLQIAGHRDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYNVKLRPHISREIMESSKPADELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >EOY13255 pep chromosome:Theobroma_cacao_20110822:7:6774429:6780733:-1 gene:TCM_031773 transcript:EOY13255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase 3 isoform 1 MLNLANLAEEVQIAYRRRIKLKKGDFIDENSATTESDIEETLKRLVFDLKKSPEEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLAQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETVWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCSDELRVRADELHRSSRRDAKHYIEFWKQIPPNEPYRVILGDVRDKLYQTRERSRQMLSHGISDIPEEATFTNIEQFLEPLELCYRSLCSCGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVIDAITKHLELGSYREWSEERKQEWLLSELSGKRPLFGPDLPKTEEIADVLDTFHVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKEPLRVVPLFEKLADLEAAPAALARLFSIDWYRNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAVIATEKYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHAIKKDIKNLHMLQEMYNNWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSPELWSFGKLLRTNYEETKSLLLQIAGHRDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYNVKLRPHISREIMESSKPADELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >EOY13253 pep chromosome:Theobroma_cacao_20110822:7:6773957:6781017:-1 gene:TCM_031773 transcript:EOY13253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase 3 isoform 1 MAANNNNNNNSKLEKLASIDAQLRLLVPSKVSEDDKLVEYDALLLDRFLDILQDLHGEDLKETVQECYELSAEYEGKNNPKKLEELGNVLTSLDPGDSIVVAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFIDENSATTESDIEETLKRLVFDLKKSPEEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLAQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETVWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCSDELRVRADELHRSSRRDAKHYIEFWKQIPPNEPYRVILGDVRDKLYQTRERSRQMLSHGISDIPEEATFTNIEQFLEPLELCYRSLCSCGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVIDAITKHLELGSYREWSEERKQEWLLSELSGKRPLFGPDLPKTEEIADVLDTFHVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKEPLRVVPLFEKLADLEAAPAALARLFSIDWYRNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAVIATEKYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHAIKKDIKNLHMLQEMYNNWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSPELWSFGKLLRTNYEETKSLLLQIAGHRDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYNVKLRPHISREIMESSKPADELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >EOY12161 pep chromosome:Theobroma_cacao_20110822:7:1174523:1178467:1 gene:TCM_030745 transcript:EOY12161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein MASLDSSNSSTETDLETNPNPNPNSSSSKAIIPTPSNSNSPAVCLFQFAGDSAAGAFMGSIFGYGSGLIKKKGFKGSFVEAGSYAKTFAVLSGVHSLVVCFLKRLRGKDDVINAGVAGCCTGLALSFPGAPQALLQSCLTFGAFSFIIEGLNKQQPALAHSFSVRNKSGPRPMALPLSLPLPDELKGAFSSFCNSLTFILRVDTQTPGWEKSMIKVLKGIPGATFSIDANGLARVSGNIDPGKTLKLLAKAGKHAQMYWIDSGTNQPASEKRDHHHHFDDPHGRYWQANHRYYQPYPFPPPQYLPPPPPMLLEHFYARESQCMIM >EOY12643 pep chromosome:Theobroma_cacao_20110822:7:3123391:3124480:1 gene:TCM_031138 transcript:EOY12643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVEYPLSTQITNALKRPLRKSLPRLVARSSISMYEGYGKQDDNLLQFARLGFKLLQHLHKNEISEIYRWNISCVDQLPDYMKLFCREL >EOY14093 pep chromosome:Theobroma_cacao_20110822:7:20595597:20599470:1 gene:TCM_033328 transcript:EOY14093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKGRSSTPRKQLKSKVPKKKHRWSWKRKIQEMEMETEWFEAVLAEKRAELNHMERAERKAKKKLRKLEQKNSETKKGNRLAAMNIARIQLGIDAILDLLDD >EOY13082 pep chromosome:Theobroma_cacao_20110822:7:5829571:5832584:-1 gene:TCM_031606 transcript:EOY13082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative isoform 1 MGRIFVVELDGRSYRCKFCRTHLALPEDLASRSFHCRRGKAYLFNNAVNITVGALEDRVMISGMHTVADIFCCCCGQIVGWKYEAAHEKSQKYKEGKFVLERGRIVDEIDFSSEVYIDTRPSMSDSEDA >EOY13083 pep chromosome:Theobroma_cacao_20110822:7:5829925:5832581:-1 gene:TCM_031606 transcript:EOY13083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative isoform 1 LPTSVISLYLSSKLRFCHSCEKNRTATKQKKKKGKMGRIFVVELDGRSYRCKFCRTHLALPEDLASRSFHCRRGKAYLFNNAVNITVGALEDRVMISGMHTVADIFCCCCGQIVGWKYHMRRVKSTKK >EOY13638 pep chromosome:Theobroma_cacao_20110822:7:9678075:9681991:1 gene:TCM_032261 transcript:EOY13638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MKKSKCDHSVFYRQSDTGIILLVVYIDDIVIIGSDTAGISSLKSFLHTQFQTKDLGFLKYFLGVEVTRSKKGIFLSQRKYVLDLLTEIGKLGAKPCNAPMTPNLQLTKEDGELFEDPEKYRMLVGNLNYLTVTRPDIAYSVNVVSQFMFAPTINHWAAFEQILCYLNGAPRCDLFYGNHDTLILNVF >EOY13105 pep chromosome:Theobroma_cacao_20110822:7:5939170:5940343:1 gene:TCM_031629 transcript:EOY13105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein family MSGSDHHRTGPSSSTKRAISQAACKGNTKAQREKLVPPQFNEPRSSRQGITLRTPRKQWRSKSSLEHPHLASKHKPTKRKLPEYRHFSSNIAYILLSDCKLEEDPMPALEKLPNLRILKLGHKAFTGKKMVCSAECFPKLDSLLLHWLKNLEEWKVDEGAMLTLRHLEIKACRELKMLPDGLRIITTIRQLKIERMPKAFKDKVVEGGEDFYKVQLFLLSYF >EOY12513 pep chromosome:Theobroma_cacao_20110822:7:2455166:2459016:1 gene:TCM_031006 transcript:EOY12513 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MEESFPMTGGDGPNSYAKNSKRQKGAADKAKSVLVEAIIEKLEVEDTPSIASTFRIADLGCSTGPNTFFSVNTIIEAVTHKYKTKGHTSLPDFQVHFNDHVSNDFNMLFNSLPPGRQYFVSGVPGSFHSRLFPKASLHFVYSAYALQWLSRVPQELSDINSPAYNRGRIFYSNAPNEVGKAYTAQYVMDMERFLAARAKEIVPGGLMALLIPGRPEGTLPAESSIGPFFQPLESCLVDMENEGIINKAKIDLFNLPMYSPSPEELRQLIQKNGSFSIARLESNTSAGSKQLCGAGECRSGLENIIAGHFGSNIVDELFERYAKKIAECPPLDTGDTSGIGLCIILKRNL >EOY11920 pep chromosome:Theobroma_cacao_20110822:7:350836:356793:-1 gene:TCM_030572 transcript:EOY11920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase-like 3 isoform 1 MGSKSVEDMIEASTGVHFSGFHMDGLESRNIEKPTTSPATENLHKQPFVIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHNLTEEELARVHEYNFDHPDAFNTEKLLDSMENLRHGQAVDIPNYDFKSYKNNVFPARRVNPSDVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVEKHRDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRRYHSERHVLLLDPILGTGLFLFMVDNDLLLYKFSA >EOY11919 pep chromosome:Theobroma_cacao_20110822:7:349918:356784:-1 gene:TCM_030572 transcript:EOY11919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase-like 3 isoform 1 MGSKSVEDMIEASTGVHFSGFHMDGLESRNIEKPTTSPATENLHKQPFVIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHNLTEEELARVHEYNFDHPDAFNTEKLLDSMENLRHGQAVDIPNYDFKSYKNNVFPARRVNPSDVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVEKHRDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPQDISERHVLLLDPILGTGNSAVQAISLLIKKGVPESNIIFLNLISAPQGVHVVCKSFPRLKIVTSEIDIGLNEDFRVVPGMGEFGDRYFGTDDDDQQVVAPKQ >EOY11921 pep chromosome:Theobroma_cacao_20110822:7:349955:356793:-1 gene:TCM_030572 transcript:EOY11921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase-like 3 isoform 1 MGSKSVEDMIEASTGVHFSGFHMDGLESRNIEKPTTSPATENLHKQPFVIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHNLTEEELARVHEYNFDHPDAFNTEKLLDSMENLRHGQAVDIPNYDFKSYKNNVFPARRVNPSDVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVEKHRDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQLIYEKLPQDISERHVLLLDPILGTGNSAVQAISLLIKKGVPESNIIFLNLISAPQGVHVVCKSFPRLKIVTSEIDIGLNEDFRVVPGMGEFGDRYFGTDDDDQQVVAPKQ >EOY13648 pep chromosome:Theobroma_cacao_20110822:7:9803296:9807955:1 gene:TCM_032273 transcript:EOY13648 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5-like receptor kinase MLKAQVSLFWLMAVALLLFLDLCIARREISKACGTSHCGNVTVSYPFRLKSQPPGCGLQLECNDNNITTLALGTLKYYVQEIFNENQAIRVMVMDERLSSDDCSFPPPLRSSFDFIGTEIGDALVVHTHHLILQFPNSLFYVSNERTPPGDFNQSCIVIAQVPFMFENIIGLSTSDIYEKLLLGYEITWRDSDGRCPWHWSGCDNKVSFQDILARLLYNLRIYVDSFQYFLFHGDLYPVYPVPNRTYIICLAITARGIDYLHRVCDMPILHFDIKPHNILQDENFNPKLSDFGLAKLSPVKDSTISLTAAQGTFGYIAPELVYKNIGGISYKADVYSFGMLLMEMVGRRKNLNAFIEQSSQIYFPSWIYDRSDQGEDLELGDVTNEEKIIVRKMSITAFWCVQTKPIDRPSMNKVLEMLETDVELLKMPPRPFQLPSEASTSC >EOY14022 pep chromosome:Theobroma_cacao_20110822:7:17287203:17292077:1 gene:TCM_033045 transcript:EOY14022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHKRVAGIHDVDAFLALSTQVAGLTKTLESFGINSLQSPFVSCELCGDNHATHSYPSLTKLVQFVGAMKLDDRKMARNRLTGSKGNGIAKHFSLKGDGQDKVKMSFDPHFSLLWGDLSRQKP >EOY13824 pep chromosome:Theobroma_cacao_20110822:7:11213722:11217559:1 gene:TCM_032479 transcript:EOY13824 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 21/22 isoform 1 MSNISLVEAKLPPGFRFHPRDEELVCDYLMRKVATSTDALQLMIEVDLNKCEPWDIPARVGGKEWYFYSQRDRKYATGLRTNRATASGYWKATGKDRAVLSKGTLVGMRKTLVFYQGRAPKGRKTDWVMHEFRLEGPLAPPKLSTLKEDWVLCRVFHKNTEITAKPRSMGSCYDDTGSSPLPPLMDSYITFDQSEPNLDEYKQVPCFSTFSQSQTNPFFTNTTYMEPSLPAKTQPALGQIPMNACLDSFPCDQKVIKAVLNHLTKLDSYLNVKESPSFGEGCSESYLSEVGMPDIWNH >EOY13823 pep chromosome:Theobroma_cacao_20110822:7:11213722:11217559:1 gene:TCM_032479 transcript:EOY13823 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 21/22 isoform 1 MSNISLVEAKLPPGFRFHPRDEELVCDYLMRKVATSTDALQLMIEVDLNKCEPWDIPETARVGGKEWYFYSQRDRKYATGLRTNRATASGYWKATGKDRAVLSKGTLVGMRKTLVFYQGRAPKGRKTDWVMHEFRLEGPLAPPKLSTLKEDWVLCRVFHKNTEITAKPRSMGSCYDDTGSSPLPPLMDSYITFDQSEPNLDEYKQVPCFSTFSQSQTNPFFTNTTYMEPSLPAKTQPALGQIPMNACLDSFPCDQKVIKAVLNHLTKLDSYLNVKESPSFGEGCSESYLSEVGMPDIWNH >EOY13486 pep chromosome:Theobroma_cacao_20110822:7:8756893:8788786:1 gene:TCM_032086 transcript:EOY13486 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5-like receptor kinase, putative MDDMIENFLQSQNNLMPIRYSYSEIKRMTEGFKNKLGQGGYGSVFKGKLRSGQFVAVKLLNKSKANGQDFINEVATIGRIHHVNVVRLIGFCVKGSKQALVYDFMQNGSLDKIIFSTESNTLGWQKMFEIALGVARGIEYIHRGCEMQILHFDIKPHNILLDENFIPKVSDFGLAKLYSVDDSIVSLTAARGTLGYMAPELFYKNIGSISYKADVYSFGMMLMEIVGRRKNVNASAEHSSQIYFPSWIYDQLEEGDIIELGDVTENENKIMRKMGTAVTKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCIAILGQPVAGSAVCIY >EOY14076 pep chromosome:Theobroma_cacao_20110822:7:20013649:20025117:-1 gene:TCM_033271 transcript:EOY14076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMIVMPPMENTSGDAICWDIAPDKDCDAVRKEIAQDNDFDISSFALALGFICFSITWSSCVIYCCPGEDHSMI >EOY13929 pep chromosome:Theobroma_cacao_20110822:7:13896881:13916908:-1 gene:TCM_032728 transcript:EOY13929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCLKKSIQEICKKPHHIKFECSSKKNMSRNLTKRARVDTWSDDDDHSKSEEDNDVANPCLMAFDGYKEEQKCLKSSAQRSGKWYLESGSSRHMTGNEKSFK >EOY12510 pep chromosome:Theobroma_cacao_20110822:7:2433545:2435768:1 gene:TCM_031001 transcript:EOY12510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAILCKKDCTTCNSFTFFSQEVSLGLMILNSRKERDANDLPANSLPAIFEIQDDYIMLISFQSRLLLN >EOY14136 pep chromosome:Theobroma_cacao_20110822:7:21422600:21423777:1 gene:TCM_033419 transcript:EOY14136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MKSSTNIKTDQLALLAIKAHVHSDLLATNRSTATSICNWVGVACGSRHHRVFALDLFGMNLSGTIPPDMGNLSFVAFPDIGNNNFHGSLPIELANLRRLKYLILSNNNFNEKISSWFGSFSKLQNLSLNGNNFVGVIPSSWCFLSKLEILSLDNNNLQGHIPVGIRNLSNFRFLYLHGYQLSGSIPSSVSNISSLLEIVLRNNQLIGSIPSISLNMSSLQVIDFTFNNLTGHISSNMFDGLPKLKGLYLSFNHLSGPISMSIFKCQELEDLSLSHNHLEGTIP >EOY12864 pep chromosome:Theobroma_cacao_20110822:7:4495073:4497467:-1 gene:TCM_031371 transcript:EOY12864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein, putative MVKYIVRQYHSSLSLIKSLIKQVQGHITTLRNRRESIIRQSRADIAQFLQDGLLQRALERVIQLYKDQCLLCAYDQIEQFCKCVISNISHITKQSSWHTLPIDATEAVSSLVFAASRCGELPELHLLRSLLKERYGCQFELANVELRPGNLVNFQIKQNLCITSVPDNMKQKLINEIAKECDLPLVFQDPDLQVYEKCYSQQKAEVLDIEIQDISSDIDEHWIQLSEFEKPRNDMSCCKTLAAIPSQSCNDSNGYYSSTQITKKAALVDKLEVSSTRTSLDSSTPQIYETSIVYLDDLELKKTGICENYVTKSLTVMRSTRRSVTPESRYHNSFMEGPLRRKNCKVLANARLSLDGSLDKWKAQEGNSSGSSHVHPNLPEYENVVARLKDLKAEYR >EOY11840 pep chromosome:Theobroma_cacao_20110822:7:88434:91831:-1 gene:TCM_030511 transcript:EOY11840 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group family MSQQQQQSESTNGQYTNMPRYPSPAAKYEDVAQSSDLFWEKLKAFHKSLGKKFKVPVVGGKALDLHQLFTEVTSRGGLEKVIKDRRWKEVIVVFRFPTTITSASFVLRKYYLSLLYHFEQVYYFRKQVSSVSTPGTASGSLVNASANTKGGASANQLAAQGTPELQIGSSVTGTIDGKFDNGYLVTVRLGSDQFKGVLYHIPQMLQLSQSSNTSDVPPHRSRKRSRLALRDPSKPKSNRSGYNFFFAEHYTQLKPMYYGQEKAISKRIGHLWSNLTEAEKQVYQEKGMKDKERYRTEMLEYKSSYDSTPQ >EOY13229 pep chromosome:Theobroma_cacao_20110822:7:6614835:6630897:1 gene:TCM_031745 transcript:EOY13229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase with RING/FYVE/PHD-type zinc finger protein, putative isoform 4 MSLSANIEDLHDDGFEGSHDEHCILTEVFFGNDTGSTSKRCLVTGVINFECEHSKHPDTSLCSNSANSAVTSASCSKNLYQEDTNAVNETYDGVSVSGSLPERFTLGERDDQNVSVKRMKFSAGEVSRCKAERRKALNAPLQPKEIVSGLSSTPTDSVCQTVTLHLVESSAQGVTSSCYLLKRHVEKDRGAEMEDVDVTKSRIQDLDSNDRKEVVASPVSQESFASKLVASSPSATAVEKFESPLCADERVGGFQPSGVEESKNSGAMDPSKDPRPLLQSHVFHILKGAGWSIERRKRPSRNYMDTVYKSPEGRLFREFPKVWRICGQVLLADRYNFMLENDGKKWTDMSQFWSDLLDTLTNIEKEVDQLNLSNALAQHWSLLDPFVTVVFINRKIGSLRRGDEVKAGRSLVIENNKQNDAVLAQRKKSTMEKFHSQGDLPDQLCDSSQAAKSSLTASDRSYDDCDKLSGNGSLSKFYGKMSSGAVKCLKGVSIYMADQVGTCLVDTDNRSETFGCMVKGLQMASSHACGSDSTCGQLGGLKDIDRVASGDVTNMRQGSESASLHQDSNTSSPSSDKQISEFNVEAPNEVPGEVSFMSLEEKDKISGAPDAGKVGYLPQHSQDNHPSYPSDSLIQSGHGEDQLQISAEALKSETKDKNSVQDVILKKRVRRRSRKISEIRLTTLCQSDVLCSYTPDMNEQPDILACQGQLNSKEVQESFVTKGNLQKSSSFGSCLHQVEKKGSKFKRICGNRDASKNRQKKSTKCQIQDDDLLVSAIIRNKDLSLSATRSKLKVPKIRARTKLKSKKGRCKLLPRGTGKGGKHITEIKLYNIGSRTVLSWLILAGVISLNDVIQYRNPKDDAIIKDGLVSLDGITCKCCNRVLSVSEFKIHAGFKFNRPCLNLFMESGKPFMLCQLQAWSAEYKMRKYGIQKVEADENDRNDDSCGLCGDGGELICCDNCPSTFHLACLYMQELPEGNWYCSNCTCWICGNFVNDKEASSSIDAFKCLQCEHKYHKACLNDKSQFEEKVSDTWFCGGSCEEVQSGLSSRLGMINHLAEGFSWTLLRCIHEDQKFHSALRFALKAECNSKLAVALSIMEECFQSMVDPRTGVDMIPHLLYNWGSDFARLNFFGFYSLVLEKDDVLISVASIRIHGVTVAEMPLIATCSNYRRQGMCRRLMTVIEEMLISFKVEKLVVTAIPNLVETWTKGFGFKPVEDDERKTLSKINLMVFPGTILLKKPLYQFQKADGQSGDRSAKSVQPFDDNCYANEACAKIETELVGDKNEQELELDGKREITDGVGEEPCDKPALRDLETTRLGICTKGQPVDESIHWSDSNCCSKDVRTELEDRFRVGSSQEYPAAETNSASQLDGCCCDDEAGAESKVESVQQSDCLRLDNRSAETDDQVVEEKNIQVVEGQESSLQEQFSEVSCEKPDLALVSIVKTCTYIETLVSLEEQLQKDCELDVKWQ >EOY13226 pep chromosome:Theobroma_cacao_20110822:7:6614835:6630897:1 gene:TCM_031745 transcript:EOY13226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase with RING/FYVE/PHD-type zinc finger protein, putative isoform 4 MSLSANIEDLHDDGFEGSHDEHCILTEVFFGNDTGSTSKRCLVTGVINFECEHSKHPDTSLCSNSANSAVTSASCSKNLYQEDTNAVNETYDGVSVSGSLPERFTLGERDDQNVSVKRMKFSAGEVSRCKAERRKALNAPLQPKEIVSGLSSTPTDSVCQTVTLHLVESSAQGVTSSCYLLKRHVEKDRGAEMEDVDVTKSRIQDLDSNDRKEVVASPVSQESFASKLVASSPSATAVEKFESPLCADERVGGFQPSGVEESKNSGAMDPSKDPRPLLQSHVFHILKGAGWSIERRKRPSRNYMDTVYKSPEGRLFREFPKVWRICGQVLLADRYNFMLENDGKKWTDMSQFWSDLLDTLTNIEKEVDQLNLSNALAQHWSLLDPFVTVVFINRKIGSLRRGDEVKAGRSLVIENNKQNDAVLAQRKKSTMEKFHSQGDLPDQLCDSSQAAKSSLTASDRSYDDCDKLSGNGSLSKFYGKMSSGAVKCLKGVSIYMADQVGTCLVDTDNRSETFGCMVKGLQMASSHACGSDSTCGQLGGLKDIDRVASGDVTNMRQGSESASLHQDSNTSSPSSDKQISEFNVEAPNEVPGEVSFMSLEEKDKISGAPDAGKVGYLPQHSQDNHPSYPSDSLIQSGHGEDQLQISAEALKSETKDKNSVQDVILKKRVRRRSRKISEIRLTTLCQSDVLCSYTPDMNEQPDILACQGQLNSKEVQESFVTKGNLQKSSSFGSCLHQVEKKGSKFKRICGNRDASKNRQKKSTKCQIQDDDLLVSAIIRNKDLSLSATRSKLKVPKIRARTKLKSKKGRCKLLPRGTGKGGKHITEIKLYNIGSRTVLSWLILAGVISLNDVIQYRNPKDDAIIKDGLVSLDGITCKCCNRVLSVSEFKIHAGFKFNRPCLNLFMESGKPFMLCQLQAWSAEYKMRKYGIQKVEADENDRNDDSCGLCGDGGELICCDNCPSTFHLACLYMQELPEGNWYCSNCTCWICGNFVNDKEASSSIDAFKCLQCEHKYHKACLNDKSQFEEKVSDTWFCGGSCEEVQSGLSSRLGMINHLAEGFSWTLLRCIHEDQKFHSALRFALKAECNSKLAVALSIMEECFQSMVDPRTGVDMIPHLLYNWGSDFARLNFFGFYSLVLEKDDVLISVASIRIHGVTVAEMPLIATCSNYRRQGMCRRLMTVIEEMLISFKVEKLVVTAIPNLVETWTKGFGFKPVEDDERKTLSKINLMVFPGTILLKKPLYQFQKADGQSGDTSSLQQDKSTEHLRQEESTNVGIHPVGDRSAKSVQPFDDNCYANEACAKIETELVGDKNEQELELDGKREITDGVGEEPCDKPALRDLETTRLGICTKGQPVDESIHWSDSNCCSKDVRTELEDRFRVGSSQEYPAAETNSASQLDGCCCDDEAGAESKVESVQQSDCLRLDNRSAETDDQVVEEKNIQVVEGQESSLQEQFSEVSCEKPDLALVSIVKTCTYIETLVSLEEQLQKDCELDVKWQ >EOY13227 pep chromosome:Theobroma_cacao_20110822:7:6615059:6630856:1 gene:TCM_031745 transcript:EOY13227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase with RING/FYVE/PHD-type zinc finger protein, putative isoform 4 MSLSANIEDLHDDGFEGSHDEHCILTEVFFGNDTGSTSKRCLVTGVINFECEHSKHPDTSLCSNSANSAVTSASCSKNLYQEDTNAVNETYDGVSVSGSLPERFTLGERDDQNVSVKRMKFSAGEVSRCKAERRKALNAPLQPKEIVSGLSSTPTDSVCQTVTLHLVESSAQGVTSSCYLLKRHVEKDRGAEMEDVDVTKSRIQDLDSNDRKEVVASPVSQESFASKLVASSPSATAVEKFESPLCADERVGGFQPSGVEESKNSGAMDPSKDPRPLLQSHVFHILKGAGWSIERRKRPSRNYMDTVYKSPEGRLFREFPKVWRICGQVLLADRYNFMLENDGKKWTDMSQFWSDLLDTLTNIEKEVDQLNLSNALAQHWSLLDPFVTVVFINRKIGSLRRGDEVKAGRSLVIENNKQNDAVLAQRKKSTMEKFHSQGDLPDQLCDSSQAAKSSLTASDRSYDDCDKLSGNGSLSKFYGKMSSGAVKCLKGVSIYMADQVGTCLVDTDNRSETFGCMVKGLQMASSHACGSDSTCGQLGGLKDIDRVASGDVTNMRQGSESASLHQDSNTSSPSSDKQISEFNVEAPNEVPGEVSFMSLEEKDKISGAPDAGKVGYLPQHSQDNHPSYPSDSLIQSGHGEDQLQISAEALKSETKDKNSVQDVILKKRVRRRSRKISEIRLTTLCQSDVLCSYTPDMNEQPDILACQGQLNSKEVQESFVTKGNLQKSSSFGSCLHQVEKKGSKFKRICGNRDASKNRQKKSTKCQIQDDDLLVSAIIRNKDLSLSATRSKLKVPKIRARTKLKSKKGRCKLLPRGTGKGGKHITEIKLYNIGSRTVLSWLILAGVISLNDVIQYRNPKDDAIIKDGLVSLDGITCKCCNRVLSVSEFKIHAGFKFNRPCLNLFMESGKPFMLCQLQAWSAEYKMRKYGIQKVEADENDRNDDSCGLCGDGGELICCDNCPSTFHLACLYMQELPEGNWYCSNCTCWICGNFVNDKEASSSIDAFKCLQCEHKYHKACLNDKSQFEEKVSDTWFCGGSCEEVQSGLSSRLGMINHLAEGFSWTLLRCIHEDQKFHSALRFALKAECNSKLAVALSIMEECFQSMVDPRTGVDMIPHLLYNWGSDFARLNFFGFYSLVLEKDDVLISVASIRIHGVTVAEMPLIATCSNYRRQGMCRRLMTVIEEMLISFKVEKLVVTAIPNLVETWTKGFGFKPVEDDERKTLSKINLMVFPGTILLKKPLYQFQKADGQSGSFISS >EOY13228 pep chromosome:Theobroma_cacao_20110822:7:6614835:6630897:1 gene:TCM_031745 transcript:EOY13228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase with RING/FYVE/PHD-type zinc finger protein, putative isoform 4 MSLSANIEDLHDDGFEGSHDEHCILTEVFFGNDTGSTSKRCLVTGVINFECEHSKHPDTSLCSNSANSAVTSASCSKNLYQEDTNAVNETYDGVSVSGSLPERFTLGERDDQNVSVKRMKFSAGEVSRCKAERRKALNAPLQPKEIVSGLSSTPTDSVCQTVTLHLVESSAQGVTSSCYLLKRHVEKDRGAEMEDVDVTKSRIQDLDSNDRKEVVASPVSQESFASKLVASSPSATAVEKFESPLCADERVGGFQPSGVEESKNSGAMDPSKDPRPLLQSHVFHILKGAGWSIERRKRPSRNYMDTVYKSPEGRLFREFPKVWRICGQVLLADRYNFMLENDGKKWTDMSQFWSDLLDTLTNIEKEVDQLNLSNALAQHWSLLDPFVTVVFINRKIGSLRRGDEVKAGRSLVIENNKQNDAVLAQRKKSTMEKFHSQGDLPDQLCDSSQAAKSSLTASDRSYDDCDKLSGNGSLSKFYGKMSSGAVKCLKGVSIYMADQVGTCLVDTDNRSETFGCMVKGLQMASSHACGSDSTCGQLGGLKDIDRVASGDVTNMRQGSESASLHQDSNTSSPSSDKQISEFNVEAPNEVPGEVSFMSLEEKDKISGAPDAGKVGYLPQHSQDNHPSYPSDSLIQSGHGEDQLQISAEALKSETKDKNSVQDVILKKRVRRRSRKISEIRLTTLCQSDVLCSYTPDMNEQPDILACQGQLNSKEVQESFVTKGNLQKSSSFGSCLHQVEKKGSKFKRICGNRDASKNRQKKSTKCQIQDDDLLVSAIIRNKDLSLSATRSKLKVPKIRARTKLKSKKGRCKLLPRGTGKGGKHITEIKLYNIGSRTVLSWLILAGVISLNDVIQYRNPKDDAIIKDGLVSLDGITCKCCNRVLSVSEFKIHAGFKFNRPCLNLFMESGKPFMLCQLQAWSAEYKMRKYGIQKVEADENDRNDDSCGLCGDGGELICCDNCPSTFHLACLYMQELPEGNWYCSNCTCWICGNFVNDKEASSSIDAFKCLQCEHKYHKACLNDKSQFEEKVSDTWFCGGSCEEVQSGLSSRLGMINHLAEGFSWTLLRCIHEDQKFHSALRFALKAECNSKLAVALSIMEECFQSMVDPRTGVDMIPHLLYNWGSDFARLNFFGFYSLVLEKDDVLISVASIRIHGVTVAEMPLIATCSNYRRQGMCRRLMTVIEEVEKLVVTAIPNLVETWTKGFGFKPVEDDERKTLSKINLMVFPGTILLKKPLYQFQKADGQSGDTSSLQQDKSTEHLRQEESTNVGIHPVGDRSAKSVQPFDDNCYANEACAKIETELVGDKNEQELELDGKREITDGVGEEPCDKPALRDLETTRLGICTKGQPVDESIHWSDSNCCSKDVRTELEDRFRVGSSQEYPAAETNSASQLDGCCCDDEAGAESKVESVQQSDCLRLDNRSAETDDQVVEEKNIQVVEGQESSLQEQFSEVSCEKPDLALVSIVKTCTYIETLVSLEEQLQKDCELDVKWQ >EOY13305 pep chromosome:Theobroma_cacao_20110822:7:7420182:7422648:-1 gene:TCM_031848 transcript:EOY13305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MNLEMNNFYGKVPDSFANNLLRNLLLNDNQLEGLLPRSLANCSSLEVLNLRNNKLTDTCPYWLTSLPRLRVLILPSNRLHGPMPNSITSSNFSALPIIDLSHNELFGPLPTKFFQNLRAMKDVPKDRSSESFLYKNPNAQVMYAYDYHQTAVNITTKRMEIELVKTLIIFTFLDFSNNLFSGQIPEELGELISLQALNLSNNNLTGPIPPSFGNMIALESLDLSSNKLGGRIPSQLTNLTFLAMLNLSQNVLVGPIPHGKQFDTFENDSYSRNLRLCGLPLSKQCVNGEEPKPVVPMPKEDEGFEIAFIWKVVMMGYGCGVVLGLSMGYIVFTTGRPWWFIRMVERDWQNYVTKWIRRNRGRRN >EOY13894 pep chromosome:Theobroma_cacao_20110822:7:12741534:12758757:-1 gene:TCM_032624 transcript:EOY13894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLCLILKKVGLCLIHERLGSIKLTLSCCQNFIVCMASTDSIISISSIRKGSAHSGASRRTLQVTEKDNEWFIEIRDAFNPDLESDYEMPMPVCICLVPKPLVHVKPEAYTPQLIALGLYNHFQPELYEMEHYKLAAVKRAITPVFQLSVFENLIEEIVTQVPYICKCSRGLTAPNHDIISWIMAVDGLFLLDLLNTFTNRGEISQQSTGKKQPEAYTLLKGVLKLENQIPIGVLLLDRWTKSWSTDLLDLLYHSITFKKDNGETHPDGLTKERSCLPSEASPPIGGPITCAVFSDLLNMLSSLKLGKVFKLITKFCPTDGGTANVRFDGNTKTFYLPVITLKHTSEVVMRNLVVYETMAKSKTKSLNFKRYTELMSAIVDTIEDVELLKKARVLTTVPNKQKEEAKALESDILSDAEIVETFNEMTKTMESKDWFIDEAIREANKCYNNTEKVKAYRLMKKHIYTSWKILTLFASLLISLLMALQTVCDVYSCPGLFHTIKKT >EOY12758 pep chromosome:Theobroma_cacao_20110822:7:4051900:4056041:-1 gene:TCM_031290 transcript:EOY12758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein isoform 2 MVTTKLLHLKPNYLLPLTIFSPKLSSFSLPKRPILSLFSSTQTETESQAFSYGPSLHKGKIPPKTPFQTQNQDTHQPEEEENLIDEENFTRIFHLAALRVPANDCFALENRLRGHLLNWPRIKNIARVPGDEIEEEMMTLFDKGKDGEENNGGSDGEFESLVLYREKLVKEFNYRGFVKFKNLAKISRPGKRKRKEKKGDEESKGNGRKEFCVVEVVEEEGGVEEEMKGLLGEEFKGQRIWKGSTRLLLLDERYGDKGSQELPQAIKAVLVEETKENRSSHIELVRCKLTLFYDYWQMNEILEALLPEGMIIPSAFETVGHIAHLNLRDEHLPYKNVIAKVVLDKNKPKIQTVVNKIDAIHNDYRTMQLEVLAGNRSLVTTVVENGLRFHVDLATVYWNSRLATERQRLLSGFNHKDVICDVFSGVGPIAISAAKIVKRVYANDLNPFAVEYLERNSVLNKVERKIKV >EOY12757 pep chromosome:Theobroma_cacao_20110822:7:4051326:4056041:-1 gene:TCM_031290 transcript:EOY12757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein isoform 2 MVTTKLLHLKPNYLLPLTIFSPKLSSFSLPKRPILSLFSSTQTETESQAFSYGPSLHKGKIPPKTPFQTQNQDTHQPEEEENLIDEENFTRIFHLAALRVPANDCFALENRLRGHLLNWPRIKNIARVPGDEIEEEMMTLFDKGKDGEENNGGSDGEFESLVLYREKLVKEFNYRGFVKFKNLAKISRPGKRKRKEKKGDEESKGNGRKEFCVVEVVEEEGGVEEEMKGLLGEEFKGQRIWKGSTRLLLLDERYGDKGSQELPQAIKAVLVEETKENRSSHIELVRCKLTLFYDYWQMNEILEALLPEGMIIPSAFETVGHIAHLNLRDEHLPYKNVIAKVVLDKNKPKIQTVVNKIDAIHNDYRTMQLEVLAGNRSLVTTVVENGLRFHVDLATVYWNSRLATERQRLLSGFNHKDVICDVFSGVGPIAISAAKIVKRVYANDLNPFAVEYLERNSVLNKVERKIKV >EOY12756 pep chromosome:Theobroma_cacao_20110822:7:4050594:4056211:-1 gene:TCM_031290 transcript:EOY12756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein isoform 2 MVTTKLLHLKPNYLLPLTIFSPKLSSFSLPKRPILSLFSSTQTETESQAFSYGPSLHKGKIPPKTPFQTQNQDTHQPEEEENLIDEENFTRIFHLAALRVPANDCFALENRLRGHLLNWPRIKNIARVPGDEIEEEMMTLFDKGKDGEENNGGSDGEFESLVLYREKLVKEFNYRGFVKFKNLAKISRPGKRKRKEKKGDEESKGNGRKEFCVVEVVEEEGGVEEEMKGLLGEEFKGQRIWKGSTRLLLLDERYGDKGSQELPQAIKAVLVEETKENRSSHIELVRCKLTLFYDYWQMNEILEALLPEGMIIPSAFETVGHIAHLNLRDEHLPYKNVIAKVVLDKNKPKIQTVVNKIDAIHNDYRTMQLEVLAGNRSLVTTVVENGLRFHVDLATVYWNSRLATERQRLLSGFNHKDVICDVFSGVGPIAISAAKIVKRVYANDLNPFAVEYLERNSVLNKVERKIKVFNMDGRRFINAMFSSEKAHSITHVVMNLPNDAAEFLVAFRGVYRDQPRDKEFSFPMIHVYGFSKARDPEFDFHERIRIALQEVAVNVDMRRVRLVAPGKWMLCASFILPESVAFAESRLNM >EOY12858 pep chromosome:Theobroma_cacao_20110822:7:4471320:4472606:-1 gene:TCM_031368 transcript:EOY12858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFLPPLFFSKNSLPKTGQKTQQRVQRKPLIPWNRLATVLLLRVQPSKHEGAKLGCQQHKDDEIYWYHRISVALASVLRENLHTI >EOY13760 pep chromosome:Theobroma_cacao_20110822:7:10632632:10636979:1 gene:TCM_032400 transcript:EOY13760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5/Elongation factor G/III/V family protein MVKFTADELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMTDESLKNYKGERQGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTSKNTGSPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKAEEKDLMGKALMKRVMQTWLPASNALLEMMIFHLPSPGKAQKYRVENLYEGPLDDMYANAIRNCDPDGPLMLYVSKMIPASDKGRFFAFGRVFSGRVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIVAGAGELHLEICLKDLQEDFMGGAEIIKSDPVVSFRETVLERSCRTVMSKSPNKHNRLYMEARPMEEGLAEAIDDGRIGPRDDPKVRSKILSEEYGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQIIPTARRVFYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPIEPGTQAATLVADIRKRKGLKEQMTPLSEFEDKL >EOY13286 pep chromosome:Theobroma_cacao_20110822:7:7144493:7159941:-1 gene:TCM_031814 transcript:EOY13286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MGNTRFMLALMVVVVLPNFGVSFSLKSTTTGISTDRLALLALKARVNNDLLATNWSTAISICNWVGVTCGSRHHRVIALDLFGMNLSGIIPPEMGNLSFVASLNIGNNSFHGSLPIELANLHHLKFLILHSNNFNGKIPSWFDAFPKLQNLSLSRNNFVGVIPSSLCSLLKLEVLNLSNNYLQGHIPVEIRNLSSLRVLDLGKNNLSGSIPSLVFNISSLLEIYFDYNQLIGSMPSIPHDMSFLQVINLRRNNLNGHIPSDMFDRLPKLKKLYLSYNNFSGPLPMSLFKCKELEELYLPYNHLEGAIPQEIGNLTMLKLLFLGINDLKGEIPRQIGNLTLLESLTITYNKLTGKIPLEIGNLPKLEILNLESNSISGHIPPHIFNSSTLWAIALDVNHLSGSLPWSIGLWLPKLEFLLLGSNELNGTIPTSISNASKLTELDLSSNSFSGYIPIDLGNLRDLQTLNLQNNSLVLTPSFPKMSFLSSLAYCKNLTFLRFDANPLVDGELPKSIGNLSTSLRIFSASHCNIGGNIPGEIGNLSNLISLNINNNELIGSIPTTIGRLEKLQGLDLADNKLEGSLSSDLCRLQSLGFLYLTGNKLGGPLPACLGDIISLRELFVDSNKLIGSIPSTFTRLIDILQLNLSSNFLNGALPVDIGKWKVVTIIDFSENQLSSEIPSSIGDLKDLTYLSLSSNRLYGSIPDLFGELIGLKFLDLSRNNFSGIIPKSLQKLLHLKYLNVSFNRLHGEIPNGGPFANFSIQSLMGNDALCGSPRLQLPPCTSNSAKHSRKATKLIEFILLPVGSMLLILALIVFFFQSRRKHSKQKIDQENSIGLAKWRRISYQELHQATNGFCESKLLGAGSFGLVYQGALSDGLNIAIKVFNLEVEGSFKSFDIECEVLRNIRHRNLVKIISICCNVDFKALVLEFIPNGSLEKWLYSHNYFLDILHRLNIMIDVASALEYLHHGQTIPVAHCDLKPNNVLLDEDMVAHLGDFGIAKLLGEEDSTIQTITLATIGYMAPEYGTQGVVSIKGDVYSFGILVIETLTRKKPTDEMFVGEMSLIHWVTESLPCGLTQVIDANLLIGTKEQEHFAIEDCALSILQLALECSKELSEERIDMKNVVAQLKKIKIKFLKDSNKQA >EOY13244 pep chromosome:Theobroma_cacao_20110822:7:6750867:6751747:-1 gene:TCM_031767 transcript:EOY13244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ripening-related protein 1 MKKQVCLKVLVLSCFLFLFVGVEAQSCKPSGKIRGKKPPPGKCNRENDSDCCKEGKLYTTYECSPLVSSHTKATLTLNSFEAGGDGGGRSECDNQYHSDDDPVVALSTGWFNKKKRCLKYINIHGNGKSVRAKVVDECDSTMGCDSDHDYQPPCDNNIVDASKAVWKALGVPQSQWGGMDIYWSDADD >EOY13800 pep chromosome:Theobroma_cacao_20110822:7:10903607:10904115:-1 gene:TCM_032446 transcript:EOY13800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATSRRRLEDGVKSDSRMALAQVVGLTLSSNPSQDSNSNFDMEFHIQSISRLSYTMEALLVYQINSISPISGLKL >EOY14301 pep chromosome:Theobroma_cacao_20110822:7:23440274:23441860:1 gene:TCM_033647 transcript:EOY14301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRMWQSAIRKLETKLASWKITTLFMGARVTRLRSIMCSLPIFYVSLFNMPAKMQHSIENIQRQLLWGGSNLTRRIHNVRWEDVFKSRKMGGLGLVDMELKNKALLKKWVWQYGNELEEFWRRIIVKKA >EOY13772 pep chromosome:Theobroma_cacao_20110822:7:10722564:10723692:-1 gene:TCM_032417 transcript:EOY13772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPSSSTKQVFYRVLRTTSTMGFTSKMLGALKSFGGCSCMGTPKADNYNRMKKTDEPCEGKEVANESINSKNDALGQEQEGNMASVNWNQVTVDSLVYDEVEEEEEEEDEESARGDDKQVKNYSEEKPKSGSED >EOY14177 pep chromosome:Theobroma_cacao_20110822:7:21856024:21862385:-1 gene:TCM_033454 transcript:EOY14177 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 2 MVGESLPEFNGLEGVLQPLLSASNSSSLKEALEILIKVSRTAAARAELALRNILPTVLKLVESFHQTSSREYLVNSLKLLRNLCAGEVANQNAFFEQNGVEVVLSVLRSAALLSNPDSGVIRVSLQVLANVSLAGEDHQQAIWLKFFPNEFSVLARVRSQETNDPLCMILYTCCDRRPGLVAELCRDMGLPIVVGIIRTVASVGFGEDWFKLLLSRLCLEDIHFPLVFSKSCEGSSSENSGNTDSGDDLFLSEQAFLLRIISEILNERIEEIQVSSEFALCVLGIFKRSVRVVDFASRGMSSLPTGCTSIDVMGYSLIILRDICAREGVGDLKNDSLDVVDMLLSHELIDILLSLLRDLDPPAIIRKVLKEGDNQGLNLSASKLCPYKGFRRDMIAVIGNCAYRRKHVQDEIRQKNGILLLLQQCVTDDDNPYLREWGIWSLRNLLEGHAENQQAVADLELQGSVDMPELSRLGLRVEVDQKTRRAKN >EOY14175 pep chromosome:Theobroma_cacao_20110822:7:21857276:21862385:-1 gene:TCM_033454 transcript:EOY14175 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 2 MMSWNKLIFPKEMVGESLPEFNGLEGVLQPLLSASNSSSLKEALEILIKVSRTAAARAELALRNILPTVLKLVESFHQTSSREYLVNSLKLLRNLCAGEVANQNAFFEQNGVEVVLSVLRSAALLSNPDSGVIRVSLQVLANVSLAGEDHQQAIWLKFFPNEFSVLARVRSQETNDPLCMILYTCCDRRPGLVAELCRDMGLPIVVGIIRTVASVGFGEDWFKLLLSRLCLEDIHFPLVFSKSCEGSSSENSGNTDSGDDLFLSEQAFLLRIISEILNERIEEIQVSSEFALCVLGIFKRSVRVVDFASRGMSSLPTGCTSIDVMGYSLIILRDICAREGVGDLKNDSLDVVDMLLSHELIDILLSLLRDLDPPAIIRKVLKEGDNQGLNLSASKLCPYKGFRRDMIAVIGNCAYRRKHVQDEIRQKNGILLLLQQCVTDDDNPYLREWGIWSLRNLLEGHAENQQAVADLELQGSVDMPELSRLGLRVEVDQKTRRAKN >EOY14176 pep chromosome:Theobroma_cacao_20110822:7:21855471:21862385:-1 gene:TCM_033454 transcript:EOY14176 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 2 MVGESLPEFNGLEGVLQPLLSASNSSSLKEALEILIKVSRTAAARAELALRNILPTVLKLVESFHQTSSREYLVNSLKLLRNLCAGEVANQNAFFEQNGVEVVLSVLRSAALLSNPDSGVIRVSLQVLANVSLAGEDHQQAIWLKFFPNEFSVLARVRSQETNDPLCMILYTCCDRRPGLVAELCRDMGLPIVVGIIRTVASVGFGEDWFKLLLSRLCLEDIHFPLVFSKSCEGSSSENSGNTDSGDDLFLSEQAFLLRIISEILNERIEEIQVSSEFALCVLGIFKRSVRVVDFASRGMSSLPTGCTSIDVMGYSLIILRDICAREGVGDLKNDSLDVVDMLLSHELIDILLSLLRDLDPPAIIRKVLKEGDNQGLNLSASKLCPYKGFRRDMIAVIGNCAYRRKHVQDEIRQKNGILLLLQQCVTDDDNPYLREWGIWSLRNLLEGHAENQQAVADLELQGSVDMPELSRLGLRVEVDQKTRRAKDFALPPDQVLVSECHLQFQHGMIFWCDTSKVH >EOY14173 pep chromosome:Theobroma_cacao_20110822:7:21857870:21862972:-1 gene:TCM_033454 transcript:EOY14173 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 2 MVGESLPEFNGLEGVLQPLLSASNSSSLKEALEILIKVSRTAAARAELALRNILPTVLKLVESFHQTSSREYLVNSLKLLRNLCAGEVANQNAFFEQNGVEVVLSVLRSAALLSNPDSGVIRVSLQVLANVSLAGEDHQQAIWLKFFPNEFSVLARVRSQETNDPLCMILYTCCDRRPGLVAELCRDMGLPIVVGIIRTVASVGFGEDWFKLLLSRLCLEDIHFPLVFSKSCEGSSSENSGNTDSGDDLFLSEQAFLLRIISEILNERIEEIQVSSEFALCVLGIFKRSVRVVDFASRGMSSLPTGCTSIDVMGYSLIILRDICAREGVGDLKNDSLDVVDMLLSHELIDILLSLLRDLDPPAIIRKVLKEGDNQGLNLSASKLCPYKGFRRDMIAVIGNCAYRRKHVQDEIRQKNGILLLLQQCVTDDDNPYLREWGIWSLRNLLEGHAENQQAVADLELQGSVDMPELSRLGLRVEVDQKTRRAKN >EOY14172 pep chromosome:Theobroma_cacao_20110822:7:21856391:21861478:-1 gene:TCM_033454 transcript:EOY14172 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 2 MMSWNKLIFPKEMVGESLPEFNGLEGVLQPLLSASNSSSLKEALEILIKVSRTAAARAELALRNILPTVLKLVESFHQTSSREYLVNSLKLLRNLCAGEVANQNAFFEQNGVEVVLSVLRSAALLSNPDSGVIRVSLQVLANVSLAGEDHQQAIWLKFFPNEFSVLARVRSQETNDPLCMILYTCCDRRPGLVAELCRDMGLPIVVGIIRTVASVGFGEDWFKLLLSRLCLEDIHFPLVFSKSCEGSSSENSGNTDSGDDLFLSEQAFLLRIISEILNERIEEIQVSSEFALCVLGIFKRSVRVVDFASRGMSSLPTGCTSIDVMGYSLIILRDICAREGVGDLKNDSLDVVDMLLSHELIDILLSLLRDLDPPAIIRKVLKEGDNQGLNLSASKLCPYKGFRRDMIAVIGNCAYRRKHVQDEIRQKNGILLLLQQCVTDDDNPYLREWGIWSLRNLLEGHAENQQAVADLELQGSVDMPELSRLGLRVEVDQKTRRAKDFALPPDQVLVSECHLQFQHGMIFWCDTSKVH >EOY14174 pep chromosome:Theobroma_cacao_20110822:7:21856446:21862385:-1 gene:TCM_033454 transcript:EOY14174 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 2 MVGESLPEFNGLEGVLQPLLSASNSSSLKEALEILIKVSRTAAARAELALRNILPTVLKLVESFHQTSSREYLVNSLKLLRNLCAGEVANQNAFFEQNGVEVVLSVLRSAALLSNPDSGVIRVSLQVLANVSLAGEDHQQAIWLKFFPNEFSVLARVRSQETNDPLCMILYTCCDRRPGLVAELCRDMGLPIVVGIIRTVASVGFGEDWFKLLLSRLCLEDIHFPLVFSKSCEGSSSENSGNTDSGDDLFLSEQAFLLRIISEILNERIEEIQVSSEFALCVLGIFKRSVRVVDFASRGMSSLPTGCTSIDVMGYSLIILRDICAREGVGDLKNDSLDVVDMLLSHELIDILLSLLRDLDPPAIIRKVLKEGDNQGLNLSASKLCPYKGFRRDMIAVIGNCAYRRKHVQDEIRQKNGILLLLQQCVTDDDNPYLREWGIWSLRNLLEGHAENQQAVADLELQGSVDMPELSRLGLRVEVDQKTRRAKN >EOY12584 pep chromosome:Theobroma_cacao_20110822:7:2905370:2908810:1 gene:TCM_031098 transcript:EOY12584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein MPSSGKHLFHCLYSSRKREKETNENSPAWKRLYRRFTLRELRTATQNFDIARRIDDFPNTVYPFSVYRGYINGSYKDLVAIKVFDPNSDHQNFLTEIELLSNIRHANIVSLIGYCSDGSDNIIVHEYTPHGTLYDHLQKTDNGNPPLSWKQRLQICIGIAGGLEFLHAAKPSIVHRDIRSTSILVNQNLIAKISVFDIAKLISTSLSESDNHVTSDVVGTLGYLDPEYYRTSRLTVKSDVYSFGVVLFEVLCAKSAFRLWQEEEISLAECGRRCVEDGKLDQIIDPCLNGEIAPKSLKAYSDVAYNCLNERGNQRPTMAAVTKKLELTLLLQECTEADIPFSPSWLRSIPSTVEGSESPAERQDFIIL >EOY13711 pep chromosome:Theobroma_cacao_20110822:7:10295994:10308711:1 gene:TCM_032345 transcript:EOY13711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein isoform 2 MKVEEGMTMEIYTTSVIPIGAMFAMTLWLGNTAYLYISVAFAQMLKAIMPVAVFILGVAAGLEVMSCRMLLIMSVISFGVLVASYGEINISWIGVVYQMGGVVGEALRLIFMEILVKRKGLKLNPISLMYYVSPCSALCLFIPWIFLEKPKMEAHGTWNFQPVVLTLNSLCTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLVSALLFADTKLTGINLFGYGIAIAGVAAYNNYKLKREASRRISDESQDAQAIPMSASSTPNR >EOY13710 pep chromosome:Theobroma_cacao_20110822:7:10295561:10308711:1 gene:TCM_032345 transcript:EOY13710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein isoform 2 MADPVKNKMLKEEIITYAYLILYIALSSGQIFFNKWVLSSKEINFPYPLGLTLLHMAFSSVLCFLLTKVFKIMKVEEGMTMEIYTTSVIPIGAMFAMTLWLGNTAYLYISVAFAQMLKAIMPVAVFILGVAAGLEVMSCRMLLIMSVISFGVLVASYGEINISWIGVVYQMGGVVGEALRLIFMEILVKRKGLKLNPISLMYYVSPCSALCLFIPWIFLEKPKMEAHGTWNFQPVVLTLNSLCTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLVSALLFADTKLTGINLFGYGIAIAGVAAYNNYKLKREASRRISDESQDAQAIPMSASSTPNR >EOY12587 pep chromosome:Theobroma_cacao_20110822:7:2920436:2920777:1 gene:TCM_031103 transcript:EOY12587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNHGRTKHIKVKFHSIREAVKDEEIQLKHCGSYAQLADIFTKNLNKERFFWLRKEIGVYKTKTKGLC >EOY14212 pep chromosome:Theobroma_cacao_20110822:7:22206726:22208422:1 gene:TCM_033499 transcript:EOY14212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, Ty3-gypsy sub-class, putative MTIKNKYPLPRIDDLFDQLQGATVFSKVDLRSGYHQLRIKEQDVPKTAFRTRYGHYEFLVMPFGLTNAPTAFMDLMNRVFHPYLDKFVIVFIDDILVYSRDNDEHAAHLRIVLQTLRERQLYAKFSKCEFWLQEVVFLGHVVSRTGIYVDPKKVEAILQWEQPKTVTEIRSFLGLVSYYQRFVQGFSLIAAPLTRLTCKGVKFVWDDVCENRFQELKNRLTSAPVLTLSVNGKGFVVYSDASKLGLGCVLMQDEKVVAYASRQLKRHEANYPVNIVGYLRIIRV >EOY12583 pep chromosome:Theobroma_cacao_20110822:7:2880494:2885546:1 gene:TCM_031096 transcript:EOY12583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein MAAAAMMVLILVAVYLTMLAYYFRYLNDFDGRVKEFLKRKKELIRAKHQLQKDVGSRDGMEAWLKDAKDVLEAVTTLQDNIQEKKRRLTSRLNLIQHFRLSKKIEEEERNINCLLRTYWENKFLSSSCSDPSQSLKSALTEIVKAMEDNSKSVISVCGQRGAGKTTLAGVVGKVAENYLKFRVVRLVVSQSTTTEVVQDTLAAFLKLKFKKKTEKERAEKLRLKLESEQGILIILDDFCKELNLKSIGIPDQMRCKILLITSDTTVCSSVGSSKEIQLGALQKDEALKLLEKSLSRKITSGISEVASDVAEECKRSPLAIIILGRALRGKGLDEWKEAFRSLNSELKDGESEEVRIVYRSVKLSYDHLKDNETKKFFSLCSLFPKNDPVAPQDLIRYAWGLGIFEGIDSIEKVSKKVKGVIENLKNSFLLLEHEKEHVQMQNGVLEAALRMISKDESFLRIKDVVGLWERPNNEDLESCSAISYVASKPKKLHDGEFRSEKLQILFLGGEGCKTISNEFLQHLKALKVLALRHGLLSANALQNLTNLRALHLEHCKLNGLSSLGKLKTLEILSFRGSDITELPDVIEELDNLRLLDLFDCQKLHRIPLNLIRKLSRLEELYFRHRNFEKWWSEEKSAEGSNPTPSNIISPSSLAIEPLKYQQSIDKLFDTKSRPSKVSVFVQNQSKEWNQTVNYLHRDHIVYHDNHVVPYLVQGVQTVRVECCDKFQAVFQDGFILRGMEDNSNSLLSDLTSLELEELSQLSWIWYGQTSNVSLQNLRTVKLKNCHRLKYLFSTSIAQRLEQLETLEIHGCNKLKQIIAETRDDLAVNETIHPSLLRPLCFPTLTTLKITGCPALEYVFQITKGQILPKLTQLEIGSCLELAQVFSFKDAADREEIEVPQLEKLALKNLISLKTFCSENCSIKLPSLEKFEVGACRRFSNDAMSKVIEHCRLKEFCLIKVGNQLCGEIFELPGGYVLSTMEKLILKEINKLEAIWKEPTQIVTLQNLTRLEVFKCNKLRTIFSLLHARNLLQLSHLVVQRCKDLEQIVDWDQISTSTSRLKPVCFPKLKEILIENCNNLKSLFPVSVAHLPKLETFRVNRALKLKRIFTHEGEAKVKSAQDIELWFPELKLLSLEKLPDLVTISPVVYACVFPVLDILVKKECPSLVTQFTKAESKPNVYAKTKENSLPKEENHSKLGRSATFHELPTNNGDIDWSRGMKMD >EOY13202 pep chromosome:Theobroma_cacao_20110822:7:6499678:6501137:1 gene:TCM_031724 transcript:EOY13202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 21, putative MHITVLKSKKALREFLQLCFFSFCFGWLAFMASSPVAVTEGFSFKYGTCIQWSKLKNLGIGSFGVVNLVKIISPVSCVSAVKHSSPSSRSSLCKEHRILQHFRGCPNIVQCFDLLTTESEEPSYNLLLDYAPGGNLLNLIKNYGGNILVYPSDQPYSLSTLKIADFGLAKEPGDSDEPTTRPPGLAFRGTARYMSPESVRDGKITAAMDIWSLGCVIVEMMTGNPPWESVRTYEDLATKIALTDYIPNLPQDMSALGKNFLLRCFAKDPRDRWTAAGLKNHPYIHLKSAYFA >EOY12719 pep chromosome:Theobroma_cacao_20110822:7:3680117:3684628:-1 gene:TCM_046866 transcript:EOY12719 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MKIGKNLVTGFEWFLSSWKTTDDPAPGQYFLRINISGYPQLVIEKGSKIVYRAGSWNGLLFQAMQTNLLSFFNHEFELNENEVYYTTELKNSSVVTRIMLNPSGFAQFLLWSDQQRDWESLFTSRLDQCVNYALCGTYATCNENDSPAVCSCLEGFTPKFVGKWNSRVWYDGCVRRTPLVCNNGDSFHKRTGLKLPETSHSKVNMTMNIKECRQLCLSDCSCTAYANSDIREGGSGCLLWFGDLLDMRDDMGQDGQDLYIRLAASEIGQSLDGSEGNKEENFGTMDMIKNIIQKRQSWEKKRVAIIIGSVIIGMAMLIIPVLCILWRKRNKRGASANATALCIVSGLTRRNHAMDCDERENEEMELPLFNFGTIANATNNFSIENLLGRGGFGSVYKGTLAEGQHIAVKRLSKDSGQGLTEFKNEVILIAKLQHRNLVKLLGCCVQGDEKLILLVVWLTWGTESDQTRSKLLDWSKRVNIIGGIARGLLYLHQDSRLRIIHRDLKSGNILLDNAMNPKISDFGLAKTFWGEQTEANTNKVVGTYGYMSPEYAIDGVFSMKSDVFSFGVLVLEIVSGKKNRGFFHPDHDHNLLGHAWRLWTKKRPMELIDDALKDFCLPSEVQRCIHVGLLCVQQRPEDRPNMSSVILMLGSESALPQPKQPGFFTERNLLEAESSSSNYKPSSASDCTVTLLEAP >EOY12072 pep chromosome:Theobroma_cacao_20110822:7:777079:777807:1 gene:TCM_030672 transcript:EOY12072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNELGSNPSGSCKFHFQISFIFVATASQHWFHLVKPGYCLWILLSARINPFPRFSTIIFFLLPSLF >EOY12885 pep chromosome:Theobroma_cacao_20110822:7:4595797:4607161:1 gene:TCM_031392 transcript:EOY12885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein MDFVLNKIDKHLHNHISLDQNMKDLKRKLEDLNALKEDIESKMRAELQPRKKLKKQVHLWLGNIERINGEIRNLEETVAESSTVSRGFHMANVLKKIQEVEDLLQKGKFDQGVAVDDLMWIGQALSTTNLVGKAAENYDLWDKLSLEEVGIPQPSNGSKLVVTTRMLDVCRYLGCREIRMPTLPKQDAWSLFLEKVGRDVLNYPDLLPIVESVVEQCAGLPLAIVTVASSMKGITNVHEWRNALNELSRCVRGVTGLDEKVLQQLQFSYDHLNDERVQHCFLCCALYPEDHNISEFNLIKLWIAEGLVEEMDSQRAEFDQGYTILNKLKNNCLLENGESIRRVKLHDLVRDMALHITRQRFLVRAGMQLKEIPHKREWTEDLEKVSLMRNRDLQIPSQMSPPKCQMLTTLLLSYCGIRSIPDCFFEQMKGLQVLNLSGNNFTILPSSISNLEALTVLLVRRCRYLEEVPSFSKLEALKKLDLDRTPIKNLPHGMERLVNLNYLHLNMEEIPSGILSKLSCLRDLLMVDIYEQQVCVRGEEIGELRKLAYFEGRFYDLNEYHTFLQALQSRGQRLIGYHIGVGHEKVYSEHNWDKHIDLNSCKICRDGVKFPSDLQQLSIYYDIVDFPEEEKFFPWFVPTPNGLFSFLKEINIYECEKIKKLFSCSWVLSNVPNLEVLTVWGCDQMEEIIASEIEFFDEEGMGGNNSNTSQFVLLKLRKLELWFLPELKSICSTNRVMICDSLKEIRIEDCPKLQRIPLYSSPA >EOY13077 pep chromosome:Theobroma_cacao_20110822:7:5808359:5819793:1 gene:TCM_031601 transcript:EOY13077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase 24 isoform 1 MADIAGLQEAAGSRFSQLELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRSQYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACISRDLLHAIEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITVIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKVPAERPSAKELLKHRFIRNARKSQRLLERIRERPKYQLKEDAETPRNGPKAVGESTDTVKVTRDIRGEETVRASKQGKTFKNAGWDFSIGGEQSTGTVRNAVRPPQVRERKLEAVYNQATPRTPESVNALNEFPEVSFGKDTKKSYYDEHQDNYQEDDDTSVSGSGTVVIRSPRGSQSSALFRDPSSLSSSAYASFEDASTSGTVVFRGQHDDSDSPRTPRSRLGIQERTSSASAEDSAANLAEAKAAIQAGLRKSNVRDRPALSKFNSPGHENRRRDQMSNSSDSSRGSREYFDAQRVFPRSRQPSDDEENAKIASSSVSLSMLLIPSLKEVVADDSEGSVARAVTNSLINMERTKPGSCETLVRRLLERLASLKEPSMKDIQELAARMFNKGKATPEDTQNANMEPDSRKKQQHKELNSNSNLSPLARFLLSRWQSQTSRDLNPT >EOY13078 pep chromosome:Theobroma_cacao_20110822:7:5807957:5819942:1 gene:TCM_031601 transcript:EOY13078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase 24 isoform 1 MADIAGLQEAAGSRFSQLELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRSQYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACISRDLLHAIEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITVIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKVPAERPSAKELLKHRFIRNARKSQRLLERIRERPKYQLKEDAETPRNGPKAVGESTDTVKVTRDIRGEETVRASKQGKTFKNAGWDFSIGGEQSTGTVRNAVRPPQVRERKLEAVYNQATPRTPESVNALNEFPEVSFGKDTKKSYYDEHQDNYQEDDDTSVSGSGTVVIRSPRGSQSSALFRDPSSLSSSAYASFEDASTSGTVVFRGQHDDSDSPRTPRSRLGIQERTSSASAEDSAANLAEAKAAIQAGLRKSNVRDRPALSKFNSPGHENRRRDQMSNSSDSSRGSREYFDAQRVFPRSRQPSDDEENAKIASSSVSLSMLLIPSLKEVVADDSEGSVARAVTNSLINMERTKPGSCETLVRRLLERLASLKEPSMKDIQELAARMFNKGKATPEDTQNANMEPDSRKKQQHKELNSNSNLSPLARFLLSRWQSQTSRDLNPT >EOY12253 pep chromosome:Theobroma_cacao_20110822:7:1488052:1490060:-1 gene:TCM_030816 transcript:EOY12253 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDNA clone:J013021P22, full insert sequence MKKGLHPQMQWISYVTQSGRLINVMMTKIHSVDKVYHLRAKRQMAASLGQIAKFNRRYGLEKPENTENNEK >EOY13270 pep chromosome:Theobroma_cacao_20110822:7:6910100:6911264:1 gene:TCM_031788 transcript:EOY13270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMIACSPPSLLICRDDLSTTHAIEDRCNCQYEIMPQPQRLTLKYNYSTSSTLDNQETWSSSHLPDLCMMWSSQDVQILNHSLKNGCITSISSTKQ >EOY11930 pep chromosome:Theobroma_cacao_20110822:7:395079:397826:-1 gene:TCM_046855 transcript:EOY11930 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein MEVASSITIAKNNFHLTRMRALPFQTLAQLPCAFSLPASKRPKDVMDKHLHNRHPSITVKSLPRRVFLQLMGIGPMSLGINPVVAAPMQEMKEPEVIRTLKLSSGVRIQEIIEGEGPEAHDGDFVQLNYVCRRANGYFVHSTVDQFSGESSPVTLPLDENQIIRGLKDVLTGMRVGGKRRALIPPSLGYINENLKPIPDEFGPRRSLLSHAKEPLIFEVQLLKIL >EOY12120 pep chromosome:Theobroma_cacao_20110822:7:1060588:1063210:1 gene:TCM_030721 transcript:EOY12120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 53, putative MLNKRAKVHSLLSMFMVLLVLNFSLSQVDELKLLLSFKSSINDPSGFLSNWNSSTPLCLWHGITCNKFSKVKIIQLTNKNISRAILSSIFHLPKIESIDLSTNNLFGEIPYDMAFSMSLQYLNLNQNYLTDTVPNCSTSLEILDLSANSLSGKIPPQIGVECSNLKELDLGGNYLVGRIPSSISNISSLQALTLAGNKLIGQIPRELSKLKSMKWIYFGYNHLSGEIPQELVDLVSLNHLDLVYNNLNGQIPSSLGNLTNLQYLFLYRNKLTGLLPRSIFGLKKLVELDLSENYLLGEIPELIIELRSLQVLHLYSNNFIGKIPNALAYLPYIQVVSFYSNSLTEEIPSSICNTSSIEVLDLFDNNLSGIIPPCLGNFSKGLSILDLEMNSFHGTIPETFGEDCGLRNLNLNGNKLEGSLPRSLANCKNLEMMDIGDNKLNGAFPYWLDTLSKLQVLVLRSNKLRGILQSSKTIHPFPKLRILDLANNEFTGPMPKGIIKNMKAMMNLGEQHSSLQYMQGRYYSYHVNLTVKGFYIKLHILTTFTSIDLSNNNFHGEIPNVIGKLSLLRGLNLSHNSLSGHIPMSMGNLANLEWLDLSSNQLTGQIPDQLKDMTFLAFLNLSYNQLTGPIPQGKQFNTFENGSYEGNLALCGLPLSKSCKNDGRKQSSPSFLKEANDSETEINFGWKVVLMGYGCGIIFGVVIGYVTFRNGEPKWFVTLYGVKYHRKGRRCSRN >EOY13089 pep chromosome:Theobroma_cacao_20110822:7:5852919:5856062:-1 gene:TCM_031612 transcript:EOY13089 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S20 isoform 3 MASAVNCCLSSSCFTLQSKLKSLSLRNSADSSSYALHQNSSAFKTLSFSNSLSHNFFSKGSLSISTMTQNPIRRTVVCEAAPKKKADSAAKRARQAEKRRIYNKARKSEVRTRMKKAEGALLKLEARGRNG >EOY13088 pep chromosome:Theobroma_cacao_20110822:7:5852634:5855662:-1 gene:TCM_031612 transcript:EOY13088 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S20 isoform 3 MASAVNCCLSSSCFTLQSKLKSLSLRNSADSSSYALHQNSSAFKTLSFSNSLSHNFFSKGSLSISTMTQNPIRRTVVCEAAPKKKADSAAKRARQAEKRRIYNKARKSEVRTRMKKVLEALDVLRKKPDAQAEEIFSIEKLIAEAYSVIDKAVKVGTLHRNTGARRKSRLARRKKAVEIHHGWYTPAPAGNAA >EOY13090 pep chromosome:Theobroma_cacao_20110822:7:5852678:5855601:-1 gene:TCM_031612 transcript:EOY13090 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S20 isoform 3 MASAVNCCLSSSCFTLQSKLKSLSLRNSADSSSYALHQNSSAFKTLSFSNSLSHNFFSKGSLSISTMTQNPIRRTVVCEAAPKKKADSAAKRARQAEKRRIYNKARKSEVLEALDVLRKKPDAQAEEIFSIEKLIAEAYSVIDKAVKVGTLHRNTGARRKSRLARRKKAVEIHHGWYTPAPAGNAA >EOY14229 pep chromosome:Theobroma_cacao_20110822:7:22331914:22335596:1 gene:TCM_033516 transcript:EOY14229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 1 MALLNRKLGSLLVSTSIHHNPCFALPKSIIIHSHSLSQLNNNDSIVNSISDSFKKTHNWGTLTKTFSSVQLTHSLVQQVLLQLKQPEHARSALNFFYWSAKSQNFKHQIYSYCIAIHILVHAKQLPEAKILLHSALKTSAPDSTRSCILESLLGSYNVVGSSTLVFDLLVQAYAKLRMLEDAFEVCCYLENHGFSLTLLSFNALLHGILKSGENVMVWKVYEHMIEKRKYPNEITIRTMISALCKEGKLQVVVDLLDKILGKRCSPIVIVNTHLVFKVIEEGRIEDGMELLKRMLQKNLILDSIAYSFVVHTKLKLGNLELAWEVHEEMLKRGFIANSFLFSSFIRAYSESGRIHEAENVLREMENMGLKPYDETFNYLIEGCAKAGEMKASVRHCEEMIRRGLVPSCSTFNEMVRGLCEIGDSENANALLTLVLDKGFLPNETTYSHLIAGYGKEGNIQQVFKLYYEMEYKSLSPGLPVFTSLIRCLCHCGKLEEAERVMQRH >EOY14228 pep chromosome:Theobroma_cacao_20110822:7:22331914:22337265:1 gene:TCM_033516 transcript:EOY14228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 1 MALLNRKLGSLLVSTSIHHNPCFALPKSIIIHSHSLSQLNNNDSIVNSISDSFKKTHNWGTLTKTFSSVQLTHSLVQQVLLQLKQPEHARSALNFFYWSAKSQNFKHQIYSYCIAIHILVHAKQLPEAKILLHSALKTSAPDSTRSCILESLLGSYNVVGSSTLVFDLLVQAYAKLRMLEDAFEVCCYLENHGFSLTLLSFNALLHGILKSGENVMVWKVYEHMIEKRKYPNEITIRTMISALCKEGKLQVVVDLLDKILGKRCSPIVIVNTHLVFKVIEEGRIEDGMELLKRMLQKNLILDSIAYSFVVHTKLKLGNLELAWEVHEEMLKRGFIANSFLFSSFIRAYSESGRIHEAENVLREMENMGLKPYDETFNYLIEGCAKAGEMKASVRHCEEMIRRGLVPSCSTFNEMVRGLCEIGDSENANALLTLVLDKGFLPNETTYSHLIAGYGKEGNIQQVFKLYYEMEYKSLSPGLPVFTSLIRCLCHCGKLEEAERYLRIMKDRSVVLSEDIYEALITGHFEKGDKTGAGIIYNEMVARGMKPHKWGNFTKEVTSMKDIAKTIPER >EOY14225 pep chromosome:Theobroma_cacao_20110822:7:22331566:22336808:1 gene:TCM_033516 transcript:EOY14225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 1 MALLNRKLGSLLVSTSIHHNPCFALPKSIIIHSHSLSQLNNNDSIVNSISDSFKKTHNWGTLTKTFSSVQLTHSLVQQVLLQLKQPEHARSALNFFYWSAKSQNFKHQIYSYCIAIHILVHAKQLPEAKILLHSALKTSAPDSTRSCILESLLGSYNVVGSSTLVFDLLVQAYAKLRMLEDAFEVCCYLENHGFSLTLLSFNALLHGILKSGENVMVWKVYEHMIEKRKYPNEITIRTMISALCKEGKLQVVVDLLDKILGKRCSPIVIVNTHLVFKVIEEGRIEDGMELLKRMLQKNLILDSIAYSFVVHTKLKLGNLELAWEVHEEMLKRGFIANSFLFSSFIRAYSESGRIHEAENVLREMENMGLKPYDETFNYLIEGCAKAGEMKASVRHCEEMIRRGLVPSCSTFNEMVRGLCEIGDSENANALLTLVLDKGFLPNETTYSHLIAGYGKEGNIQQVFKLYYEMEYKSLSPGLPVFTSLIRCLCHCGKLEEAERYLRIMKDRSVVLSEDIYEALITGHFEKGDKTGAGIIYNEMVARGMKPHKWGNFTRDPITQELPDAALSGYCEHTRKSSEEMQGQLMECVEHEHSMTR >EOY14227 pep chromosome:Theobroma_cacao_20110822:7:22332130:22334951:1 gene:TCM_033516 transcript:EOY14227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 1 MALLNRKLGSLLVSTSIHHNPCFALPKSIIIHSHSLSQLNNNDSIVNSISDSFKKTHNWGTLTKTFSSVQLTHSLVQQVLLQLKQPEHARSALNFFYWSAKSQNFKHQIYSYCIAIHILVHAKQLPEAKILLHSALKTSAPDSTRSCILESLLGSYNVVGSSTLVFDLLVQAYAKLRMLEDAFEVCCYLENHGFSLTLLSFNALLHGILKSGENVMVWKVYEHMIEKRKYPNEITIRTMISALCKEGKLQVVVDLLDKILGKRCSPIVIVNTHLVFKVIEEGRIEDGMELLKRMLQKNLILDSIAYSFVVHTKLKLGNLELAWEVHEEMLKRGFIANSFLFSSFIRAYSESGRIHEAENVLREMENMGLKPYDETFNYLIEGCAKAGEMKASVRHCEEMIRRGLVPSCSTFNEMVRGLCEIGDSENANALLTLVLDKGFLPNETTYSHLIAGYGKEGNIQQVFKLYYEMEYKSLSPGLPVFTSLIRCLCHCGKLEEAERYLRIMKDRSVVLSEDIYEALITGHFEKGDKTGAGIIYNEMVARGMKPHKWGNFTQISEAVEGSD >EOY14226 pep chromosome:Theobroma_cacao_20110822:7:22331678:22337121:1 gene:TCM_033516 transcript:EOY14226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 1 MALLNRKLGSLLVSTSIHHNPCFALPKSIIIHSHSLSQLNNNDSIVNSISDSFKKTHNWGTLTKTFSSVQLTHSLVQQVLLQLKQPEHARSALNFFYWSAKSQNFKHQIYSYCIAIHILVHAKQLPEAKILLHSALKTSAPDSTRSCILESLLGSYNVVGSSTLVFDLLVQAYAKLRMLEDAFEVCCYLENHGFSLTLLSFNALLHGILKSGENVMVWKVYEHMIEKRKYPNEITIRTMISALCKEGKLQVVVDLLDKILGKRCSPIVIVNTHLVFKVIEEGRIEDGMELLKRMLQKNLILDSIAYSFVVHTKLKLGNLELAWEVHEEMLKRGFIANSFLFSSFIRAYSESGRIHEAENVLREMENMGLKPYDETFNYLIEGCAKAGEMKASVRHCEEMIRRGLVPSCSTFNEMVRGLCEIGDSENANALLTLVLDKGFLPNETTYSHLIAGYGKEGNIQQVFKLYYEMEYKSLSPGLPVFTSLIRCLCHCGKLEEAERVTKQGLVRDPITQELPDAALSGYCEHTRKSSEEMQGQLMECVEHEHSMTR >EOY13243 pep chromosome:Theobroma_cacao_20110822:7:6748944:6749728:-1 gene:TCM_031766 transcript:EOY13243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSQNPESKQSPDPKTLDDPERKQPSEEVPNEGSEEEEEGECGFCLFMKAGGCKESFIGWENCIREAEEYKQDIVEKCFEATSALKKCMEAHADYYEPILRAEKKAEEEAVKELEKEKEEDSKDLEKK >EOY13000 pep chromosome:Theobroma_cacao_20110822:7:5252557:5257130:-1 gene:TCM_031509 transcript:EOY13000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLLVLIVFGSSLSLRVSSKSINSIEEISYDVRPTTTLGPVHLSSSERYRVVQESKPVNEEFNISPFPERQNGYYSGGDEVQNSSSSLYYQSESKVLTFEAHHVYTTHVEDVFKVEGNLIFQSSYYYEQSFSGSLYSYSSDSSNRGALDFDFQGFWSRTTGRLCMVGTGYTYSKEGKLLHLAAVLKLNNLKQSSTINTLVTGTMDGLYAADEPNYFGQISLLMFPQVSYQYTKVSKLSTQGCPGGTDVPEKSSLSLSRTRTICNMFLGGASDFELEYGSGCASSKSCNPFGDGIGYLPQVMSLSMIQCSEDKLSLRFLIEFSNDNSMRYYRSSNFSTSLVGEGSWDARKNRLCIAACRIFDASSSLEKSHVGDCTTRLSLRFPAILSIRNTSTVVGEIWSEKPRNESGFFDRIAFRNTDRSSSGRIQLQGLKYEYTETDKVKKSCTKQKPKRNCRGQYPDGYSGDMGFHISNVKRSKERIVWGSSEPLAVGDQPYQRFPSLLPSSSLRPINYGNESDTSGRLLNISYKISITLRSLNLDAGLNPFNQSSNGYVEIKISAEGVYDSETGNLCMVGCRDLNSANTGSLSHSVDCEVLVDVQFPPLNSDRKGGIIRGSIRSMRETTDRLNFGPLDFSGRAYYRSWALESIWRMDFEMIMSVMSNTLAIVFVVLQIFHVRKNPGVGPFISLLMLVILALGHLIPLVLNLEAMFIQDSERSVWIRSGVWLEMNEVIIRVVTMVAFLLQIRLLMLSWTARCSDEKKKPLWIAEKRGLYVCFPVYIAGGLIAFVLKWRKNLVGTEWHSSYYDHEQVLLSGIRAYAGLILDAFLFPQILFNMFQNSREEALSRFFYIGITLVRLVPHGYDLYRAHNFLGIDDTYIYADPVADYYSTAWDFIIPVLGLFFAATIYMQQRFGGRCFLPQRFQESVIYEELPMASEDQFPQKSST >EOY13816 pep chromosome:Theobroma_cacao_20110822:7:11158731:11165604:-1 gene:TCM_032472 transcript:EOY13816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein, putative MLLINSRIIPLPSPPPTLLHLHKTTPCSTKLLANKFIPCKRRKRKWMPHTLMIKVSSSSSAVENHPPATVSPGSQGAVEVIRSFYAGINSHDLASVELFIAEKCVYEDLMFPRPFVGRKAFLEAYKSFVDSMSMDLKLVIDDIASNEESSTVGVAWHFEWKGKVFPYSKGCSFYRLQMVDGIRQIIYGRDVVEPSIKLGEAGLAAMKGVMWLLQQFPQLEDQL >EOY12157 pep chromosome:Theobroma_cacao_20110822:7:1157638:1160488:-1 gene:TCM_030743 transcript:EOY12157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein isoform 3 MDSPQSVVSPFKSSAVAEPETQKSDFFTRNSGGLLNGSDVNRREAVVSNQENFIGVLEVYVHQARDIQNICIYQKQDVYAKLCLTSDPESTVSTKIINGGGRNPVFNENLRLNVRTVDSSLKIEIFMMSRVRNYLEDQLLGFALVPLSEVLLKNGKLEKVFSLSSTDLFHSPAGFVQLSLAYAGSSPEVIAIPAMPTDLVADATVKDSETSECELEKIEFPDPKIVNENQMMVSEYFGISCSNLDSESSESLVTSDAENQPSSDMGVHVVESFSTATVNSIQVPKLDSPPSSVSTNGVSSPSAAASSESSDGPTASKTSPQEHNSAPKEKTADVGDGESDSSGAQSDSIAKPVVSVNIEPEQKMVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTKSENSSTTDQKIQASKNSGSRVFYGSRAFF >EOY12159 pep chromosome:Theobroma_cacao_20110822:7:1158257:1160753:-1 gene:TCM_030743 transcript:EOY12159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein isoform 3 VLGFSFFVVHFLGVIMDSPQSVVSPFKSSAVAEPETQKSDFFTRNSGGLLNGSDVNRREAVVSNQENFIGVLEVYVHQARDIQNICIYQKQDVYAKLCLTSDPESTVSTKIINGGGRNPVFNENLRLNVRTVDSSLKIEIFMMSRVRNYLEDQLLGFALVPLSEVLLKNGKLEKVFSLSSTDLFHSPAGFVQLSLAYAGSSPEVIAIPAMPTDLVADATVKDSETSECELEKIEFPDPKIVNENQMMVSEYFGISCSNLDSESSESLVTSDAENQPSSDMGVHVVESFSTATVNSIQVPKLDSPPSSVSTNGVSSPSAAASSESSDGPTASKTSPQEHNSAPKEKTADVGDGESDSSGAQSDSIAKPVVSVNIEPEQKMVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTKSENSSTTDQKIQAS >EOY12158 pep chromosome:Theobroma_cacao_20110822:7:1157903:1160846:-1 gene:TCM_030743 transcript:EOY12158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein isoform 3 MDSPQSVVSPFKSSAVAEPETQKSDFFTRNSGGLLNGSDVNRREAVVSNQENFIGVLEVYVHQARDIQNICIYQKQDVYAKLCLTSDPESTVSTKIINGGGRNPVFNENLRLNVRTVDSSLKIEIFMMSRVRNYLEDQLLGFALVPLSEVLLKNGKLEKVFSLSSTDLFHSPAGFVQLSLAYAGSSPEVIAIPAMPTDLVADATVKDSETSECELEKIEFPDPKIVNENQMMVSEYFGISCSNLDSESSESLVTSDAENQPSSDMGVHVVESFSTATVNSIQVPKLDSPPSSVSTNGVSSPSAAASSESSDGPTASKTSPQEHNSAPKEKTADVGDGESDSSGAQSDSIAKPVVSVNIEPEQKMVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTKSENSSTTDQKIQASKNSGSRVFYGSRAFF >EOY12702 pep chromosome:Theobroma_cacao_20110822:7:3501967:3535637:-1 gene:TCM_031224 transcript:EOY12702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRQANPTIAQIKQHNEEVAKKYKALSCIHSAVSDSIFTRVMTCKNTKEAWDRLKEEFHGSERTRQMQALNLHRELEILRMKDDESIKEYSDKIMKLVNQLRLLVEDMTDRRIVNKVLVSLPEKFEAKISSLEDSKDLSQLTVTELEKRNRDRRSSDGRQGKQKGTLPSFSYCKKKNHSERFCWFRPNVKCRSCNQMGHVEKVCKAKWNKSEEKAAVVEENEIEEEVLFMARNPDIQKKRDTWLIDSGCSNHVTGNDETFLTLNKNFKTQMEIGNGTYLKIFGIGKVGVDTPTGMKTISNVFFSPDLVHSDVGGPMKVASLNGSLYYLLFIDDFTKYKWIFFLKRKSEVYGKFVKFKALVEKESGCSLKILRTDNGSEFTSDEFENFLARNGVKHQLTVTYSPQQNGVCERKNRTLIEMARCLLYEKGLPKSFWAEATNASVCLLNILPTKALTSQSPYEAWHGLKPSIVGLKVFGCVCYAKIPDEKRSKLDPKSQIAVHLGFSEVSKGYRLYNIETKKIFVSRDVRFDERLKWSWDKSDVEQSWNPNLVGDVFLSEKEQAEDLEDVEDESLAVRAKDEKWVQAMNMEMEMIKKNKTWVLVDRSEAQNVIGVKWIYKTKLNPDGSVNKYKARLVVKGYSQVYGINYLETFASVARHDTIRLLVALAVKKNWGIWHLDVKSAFLNGTLSEVIYTKQPEGFVEAGAESKVCKLIKALYGLKQAPRAWYERIDSFLIAQGFFRSMNEPTLYVRSSNSIVQLIISLYVDDLLITGPDNAQLNKFKKQMMAEFEMSDLGKMTYFLGLEFIQGPDYIFIHQSKYSRELLKRFHMKDCKPVATPLASGVKLCKEDGAFKACCTTYRSLVGCLLYLTASRPDIMFGTSVLSRFMQAPSTIHYSAAKRMLRYLKGTTNFGLKFCINESYDLLGHCDSDWEGSLEDSRSTSGFCVSFGSAVFSWSSKKQEVVAQSSAEAEYISVASATNQILWLRKILEDLGFNQQGATTLLVDNQSAITMAKNPVHHGRTKHIRVKYHAIREAIKIGDIFLKHCRTDDQLADVLTKSLGKDKFELFRERLGVLQVKPQEGTILEVVKCLGGPACRYLDNHLKLEEHVNELRRGLSDLNIRRQDIELRKEAEIRYRKVVKKEVEKWFDDVQRMNTETQKIEEKFGAVSYFSRAPLAKLVCRKIKEVKAIYQQGSFPEGVAVDGPAAVGLTFPTTKLEGEIDVKERIWTYLMEDDEVGMIGVCGMGGIGKTTIMKHINNQLLKENWFEKVVWVTVSKELNVFKLQEGIAQVMDTSLPENELERATELIKILEGKRHVLILDDVWQRFSLLDMGIPVPTLQNGSKLVLTSRSIEVCKAMGCKVVKVQPLPNQESLNLFLNHVGHRVLEDPTLEDIVKLIVEQCGGLPLAIVTIAGSMKRVDDICEWRNALNELRERVKSVKGSDVEIFECLMFSFDRLEDSKIQNCFLYCSLYPEDCGIERLELIEKWIDEGLLGELETRQAMHDRGHTILNKLENNCLLERATDTYDFRRNVKMHDVLRDMALFIRSVDRPFMVKAAKLKSLRKLDLFNTAIKEVPHGIEMLTNLTYLNLYSKYLNELPMGILPKFSQLQHLATTLNLKGEEAAKLRKLEVFLGCFFELQDFEECTKSILDQGPNNYLVAVGSPKPDYFYFDDGSRFFQNPKSNKEVCFINCKMGREDLVLLPNDLHYLSIEQCHDLKSLSNICLLHQGDALKTCYVRKCEGIKCLIDQSLSSCNSLQNIEKLQLEDLCNLRQFAKVEAAFVSPSHIPLRPAIFSSLKSFYLRNCSSMKKLCSLKLLQGLQNLEEIDVGLCEKMEQIIELEEQGENNRGEGRATSITFILPKLRKLSLFKLPELKSICGFGLMIPTNSLQYLEVIECRKLKRIPLSLPLLENELPSPPTPPVEQIVLYPEEWWESLEWDDPNVKEMLLPFHLAEHSFSSDGHRKLLARSFQGLNEYSVNILPNDVGFLFLNCPPKADSSSGKPGSFSMNETTASMLEFHAMIEKDERSPCVARFDAPLLGKEEGQSNDMKDVEWVELEQRCVRTIRLCIRDNMFHHVIDEYSAPRVARLDLVVFSAFNAKRMDIKKDCPTKGDESKLSVLSLLKVIIVISLQSHVCYQKDYFDLLQEGVARNLTLGNKSIMKVMVLGVVEIKMFDGVMHSLGGVAYVSKMRKNLISSSSLGCKGYGYSTCDGMVKVTQVMVIWKANDLEDLVLGELFKMLENTRKQDLQLRKETELRCRKVVKKEVEKWFGDVQRVNTEMEEIEKKFHDVSYFLRGRLGKFVFQKTEEVKDIYQQGSFPDGVAVDGPPTTWVTLATPNLEGEIDVKKQIWEYLMGDEARMIRFSLLDVGIPKPTSVLGRKVVLSSKSIEVCQSMDCKVVKVQLLSKTESMNFFLDHVGYSVVQDQNLKDIVNKIVEQCGGLPLSIVTIAGSMKGVNDFCEWRNALTELEEHVKSVKVSYVEIFQCLKFSYDRLEDPKIQNCFLYYSLYPEDFIIEKLELVENWIDEGLLDSLGTRQAMHDRGHSVLNKLENNCLLERATTWYDKEGVKMHDVLRDMALYIKGHQFVVKADVQLEKLPSEKEWTVSVEKVSLMRNSKLIEIPLHISPGNLVDGQEIAVKRLPRSSGQGSTEFENEVALIAKLQHRNLVKLLGCCIEGEEKMLVYEYMPNKRLDFFKIASQFIDALLREIICLLLLNKDLLKIEVSVHCLPEPDNNPLRIQVPSHLPHLSSLFLLPMKFALNKKTRRSFGGPYINHHRKLEDYMNELRGNVYSLNSRKRDLELKMEAEDRCGKKMKKEVANWLKDVEKVGNEVKTLEEEFRSVSFLSRGRLGSFVCENIEKVNKIYQQGSFPDGVAVDGPPATGVTLLTPNLEGEINVKEQIWKYLMGDKVGMIGVCGMGGIGKTTIMKHINNQLLKDNQFDQVIWVTVSKELNIFKLQEDIANYLKQALPTAVLQRAAKLKDILEGKRYVLILDDVWKRFSLLDVGIPEPTLGMERKVVFTSRLIEVCKSMGCEVVKVQPLSKNESMNLFLDHVGRRVVQDQNLKDIVDKIVEKCGGLPLSIVTIAGSMKKMRDSLTTYRPEKQCITEVIAF >EOY12726 pep chromosome:Theobroma_cacao_20110822:7:3838918:3843155:-1 gene:TCM_031254 transcript:EOY12726 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative METISFFLVYLLVFSILRTATAVDTLFPSQSIKDGETLVSADGNFELGFFSPTVSSTSRFLGIWYKKVSNGTVVWVSNRETPISDNKGVLLFSNHGILSLLNSTNSTVWSSNTSKAAQEPVAHLLNSGNLVVKDGKDKNPAEDNLWQSFDYPCDTFLPGMKIGKNLVTGFEWFLSSWKSIDDPAPGQYFVRINISGYPQLVIEKGSKIVYRGGSWNGLHFTGMKANAIFPVKLEFKLNENEVYYTSEPKNSSVVTRTMLNPSGFGQILIWSDKLRDWESLSTSNLDQCSNYALCGAYATCNGNDFPGVCSCLEGFTPKLVGKWNSGVWYDGCVRRTPLVCNKRDSYHKHTGLKLPDTSHSKVNKTMNIKECRQLCLSDCSCTAYTNSDIREGGSGCLLWFGDLLDMRDDWGQDGQDLYIRLAASEIANIARKRQSTEKERVAIIVGSVIIGMGMLILPVLCIRWRKRNKKGLTKKNHTMDSDEKENEEMELPLFTFGTIANATNNFSVDNLLGKGGFGPVYKGTLEEGQEIAVKRLSKNSGQGLKEFKNEVILIAKLQHRNLVKLLGCCIQGDEKLLIYEYMANKSLDYFIFDQTRSKLLDWSKRVNIIGGIARGLLYLHQDSRLRIIHRDLKSGNILLDNAMNPKISDFGLARTFWGEQTEAKTNRLVGTYGYMSPEYAIDGVFSMKSDVFSFGVLVLEIVSGKKNRGFSHPDHEHNLLGHAWRLWTEKRPMELIDDALGDFRLPSEVLRCIHVGLLCVQQRPEDRPNMSSVILMLGSESALPQPKQPGFFTERNLPEAESSTSNCKSSSANECTVTLLEPR >EOY13282 pep chromosome:Theobroma_cacao_20110822:7:7030679:7043216:1 gene:TCM_031806 transcript:EOY13282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIGRAPRRVLEDMFSCKISTSLVKVEGIESVNLLRSNNKCLREMRSPKHAGIGPTNLLPARSKKPKLFKWHNSAGMDPSNLFPSSERLCKFRNLPIVVGIAISSLLSKFKPIKLLRYVLHSEIKS >EOY13345 pep chromosome:Theobroma_cacao_20110822:7:7652754:7655378:-1 gene:TCM_031889 transcript:EOY13345 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein, putative MSAGIPFLDAYLNERASHSSGVSFAVAGATALPVEILAKRSIIALVTKSSLSIQLDWMSKHFNETCRNDKDCFKKHKTALFMIGEFGTNDYTYALFEGKTHEEVKALVPHVVQAIKEAVRRAIDYGAVQLIVPGNLPISCLPIFLTIFQTNDTTAYDKFHSLKDLNSLSMYHNHHVQQAIEELQKENPNVIIVYGNYYQAYQRLLSRAKLLVCSNPDKSLNWDGSQLTQQAYKFMARWLIHDIYPKLQCNFST >EOY13461 pep chromosome:Theobroma_cacao_20110822:7:8605877:8609707:1 gene:TCM_032042 transcript:EOY13461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase, putative MFFLRPAAFASIFIVCCLTTIAFGATLPHDEEEALKSIAKTLGKTNWNFEVDPCSRHSSWVEVEDLKDYDNNVTCDCSFSNNTTCHVVNIRLKAQNLSGTLPPELVRLPFLQEIDLSRNYLNGTIPPKWGSMQLVNISLLGNRLTGPIPKELANISTLTILVVEENQLSGTLPAELGHLPSIEKM >EOY12358 pep chromosome:Theobroma_cacao_20110822:7:1802281:1804694:-1 gene:TCM_030885 transcript:EOY12358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKTMNPKPFAPLQTPTRVTSAESHGWKVKCFGLHVVAGVFFLTLVAAGFFLWNPTTLQKIRLPLLKFEGSIHHCTFSSSPSDPNCMILLSAKDVPLIIFLQLGDEQWTEINYEEMEKCLKESRELVQQVRLLLIEKLKPDGIVMTSLHLRVPMDLTGMIRFELFLLQVQGKIHRILFGFGEYGDIGPGKEVVAIKVHRVDPSKKIWEKVEHGPDLISSTEAEEQAKIRCIMAQEEYTRLQVKEGESNVVVEKGGNDERKYFDVPLDIAAKIAGRLTLVDYLHLRATCTMFRSVSPPVDWKKKALEGEHSIVWDMVEILEFYNLQTVGSLGKEGVHVFKLNLSSMEWIEVKSLGNYMIYICRSSSLAAMATTPGMDNKIYFPRFCGQSGHSTVLYSLETNKFHSFDSGDAEVDFYGTTEQLCAGWIEPNWC >EOY11846 pep chromosome:Theobroma_cacao_20110822:7:108946:109735:1 gene:TCM_030517 transcript:EOY11846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKRASANPPNTARLWQDLPNDMNPGRLGCRQESSFWRKESAARTRQGLCAGLALSHHESINARIILSLHTFFHLHGRLITKDPKSYQINVSDRFEGSRWILSAPPDGLTCTFSA >EOY13927 pep chromosome:Theobroma_cacao_20110822:7:13782236:13787800:1 gene:TCM_032719 transcript:EOY13927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKSHRLQVLLDVFDHCYWFLFHKIKTQMASLPKHTKSTTSSHQAANQNTATSSQQPPSPTPHTNDSSFKWNFSHPFLECKFDKDYTKDEGNGDSFAEPSVALSAGPSVHPSVSPSFPPMSTTFDSEQAFTQLLSFTKSIDAHVVNRLDVLEAQNSKLLHRQ >EOY12278 pep chromosome:Theobroma_cacao_20110822:7:1549075:1560026:1 gene:TCM_030833 transcript:EOY12278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEFKPRNYVAEAQSHALRRTGADLHPLSSPSPRSILRQADDHVESSNSEEFFDPLRAPNSKASAVIVDGQDLESISSGNEDSIQVQMKEWTSFKRFLMQRFPVSKMISVSSMSNTIVRSGKAYEKSSTSMHLEELDDIEKSSEEGAKAITRQEYVSRLHELKDEINRAWHAEDRVTSLKLSIKVARLLMDTSVSNFYPTLFVLATDVLDMLGDMVWERIRQKAEFAEDGTKLCSLPENFGASDVCVDAKETCYNWFCKVGSIRELLPRIYLELAILPCWRFLIEQPAESLQRLVMMTRGLADPLASAYCRLYIAYRALKFPMYDTGSLITCVNDIKLVFTRISSAKETAHGCFADSKRSLVGLMEPAIEFIMKCIFNDASLVGQVLVELGLGRSQEELFGGSPCVSIVLHHLLKELPTDVVSSHAVDILHLIKCSNDYSYDQCLNYRLLGLRLCEQISEIGTVDAVVNEVMQVVSQYGLDEYLKVVEAYLDILLQNQMDGQLKTILEGILKLACGKVIAEDELAGLQSILVKLLSHFKDLENVFSLNHFLQILDLMHGSSRSIVSMHILDMATRNGYVRDPTTIQLLFEISQALHDDTDLANMKNDDNQQQARLISLFVRMVDHGAEYEGHLAFLVECRGAFGSIIELKEFLVHSSNCLATKALKDGKTHLSFVKSCIAFSEVTIPSILGHIKQLHLYLETAEVALLGGLVSHCDGLIDSAISCLQSFDWMEGSRVAVDSDRILSFIRKLCSLLVMVPGNPEVGILHIPKSILSLIHSQSWSPRMKARIFCAIVSLSATLSQGRLPYHAVHPEILGNDLLFFGDSSYVHELLSLTESVLQNLVGLIEQEPSQAARGSMSLEACNCIASSFKLNEHVLPICSKLIETAKLCLSPNDKYLMSTISFLDKNLPIAAVSPAIAI >EOY12280 pep chromosome:Theobroma_cacao_20110822:7:1551550:1559996:1 gene:TCM_030833 transcript:EOY12280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEFKPRNYVAEAQSHALRRTGADLHPLSSPSPRSILRQADDHVESSNSEEFFDPLRAPNSKASAVIVDGQDLESISSGNEDSIQVQMKEWTSFKRFLMQRFPVSKMISVSSMSNTIVRSGKAYEKSSTSMHLEELDDIEKSSEEGAKAITRQEYVSRLHELKDEINRAWHAEDRVTSLKLSIKVARLLMDTSVSNFYPTLFVLATDVLDMLGDMVWERIRQKAEFAEDGTKLCSLPENFGASDVCVDAKETCYNWFCKVGSIRELLPRIYLELAILPCWRFLIEQPAESLQRLVMMTRGLADPLASAYCRLYIAYRALKFPMYDTGSLITCVNDIKLVFTRISSAKETAHGCFADSKRSLVGLMEPAIEFIMKCIFNDASLRQVGQVLVELGLGRSQEELFGGSPCVSIVLHHLLKELPTDVVSSHAVDILHLIKCSNDYSYDQCLNYRLLGLRLCEQISEIGTVDAVVNEVMQVVSQYGLDEYLKVVEAYLDILLQNQMDGQLKTILEGILKLACGKVIAEDELAGLQSILVKLLSHFKDLENVFSLNHFLQILDLMHGSSRSIVSMHILDMATRNGYVRDPTTIQLLFEISQALHDDTDLANMKNDDNQQQARLISLFVRMVDHGAEYEGHLAFLVECRGAFGSIIELKEFLVHSSNCLATKALKDGKTHLSFVKSCIAFSEVTIPSILGHIKQLHLYLETAEVALLGGLVSHCDGLIDSAISCLQSFDWMEGSRVAVDSDRILSFIRKLCSLLVMVPGNPEVGILHIPKSILSLIHSQSWSPRMKILGNDLLFFGDSSYVHELLSLTESVLQNLVGLIEQEPSQAARGSMSLEACNCIASSFKLNEHVLPICSKLIETAKLCLSPNDKYLMSTISFLDKNLPIAAVSPAIAI >EOY12279 pep chromosome:Theobroma_cacao_20110822:7:1551523:1559996:1 gene:TCM_030833 transcript:EOY12279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEFKPRNYVAEAQSHALRRTGADLHPLSSPSPRSILRQADDHVESSNSEEFFDPLRAPNSKASAVIVDGQDLESISSGNEDSIQVQMKEWTSFKRFLMQRFPVSKMISVSSMSNTIVRSGKAYEKSSTSMHLEELDDIEKSSEEGAKAITRQEYVSRLHELKDEINRAWHAEDRVTSLKLSIKVARLLMDTSVSNFYPTLFVLATDVLDMLGDMVWERIRQKAEFAEDGTKLCSLPENFGASDVCVDAKETCYNWFCKVGSIRELLPRIYLELAILPCWRFLIEQPAESLQRLVMMTRGLADPLASAYCRLYIAYRALKFPMYDTGSLITCVNDIKLVFTRISSAKETAHGCFADSKRSLVGLMEPAIEFIMKCIFNDASLRQVGQVLVELGLGRSQEELFGGSPCVSIVLHHLLKELPTDVVSSHAVDILHLIKCSNDYSYDQCLNYRLLGLRLCEQISEIGTVDAVVNEVMQVVSQYGLDEYLKVVEAYLDILLQNQMDGQLKTILEGILKLACGKVIAEDELAGLQSILVKLLSHFKDLENVFSLNHFLQILDLMHGSSRSIVSMHILDMATRNGYVRDPTTIQLLFEISQALHDDTDLANMKNDDNQQQARLISLFVRMVDHGAEYEGHLAFLVECRGAFGSIIELKEFLVHSSNCLATKALKDGKTHLSFVKSCIAFSEVTIPSILGHIKQLHLYLETAEVALLGGLVSHCDGLIDSAISCLQSFDWMEGSRVAVDSDRILSFIRKLCSLLVMVPGNPEVGILHIPKSILSLIHSQSWSPRMKARIFCAIVSLSATLSQGRLPYHAVHPEILGNDLLFFGDSSYVHELLSLTESVLQNLVGLIEQEPSQAARGSMSLEACNCIASSFKLNEHVLPICSKLIETAKLCLSPNDKYLMSTISFLDKNLPIAAVSPAIAI >EOY12568 pep chromosome:Theobroma_cacao_20110822:7:2828920:2834764:1 gene:TCM_031087 transcript:EOY12568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MNLCWLCMLLLPDIESMDPRLYQSAKLGQVDSFKHLLHQNPGILSKVTPQGNTALHIAVKFGHKGIVTEICGRCVSLLIKQNLHGDTPLHIAARAGHFSIVDFLVRAIFSSSWGGREDEIMGKFGILSMGNRENSTVLHEAVRNGHLCVVQLLLKVDPKLACLENSAGESPLYLAAREGMLDIVNEILTSTTAPAHGGSEGQTALHAAVIERHHGIMQVLLRAKPELIREVDHHGRTCLYYAASLGDNNTVKQLLELDNTVAYVLDKHGHSPLHVAASSGHVNIIKELIRHCPDSAELVDLCGRNALHAAILSGKGNVIRYMLETAETEGLINQPDEDGNTPLHLATMGRKTWIVRYLIWDKRVDRRAKNKKGQTAFDIDHSIRESCLTVPTKTVSIIWRKFSSPPTWNIRENIPPSANQEAEDAKMQSYKQMGQTLLMVTTLITTVTFAAAFTMPGGYSQNGPNQGLALLNASKTLGLFVIYDIIAMTCSTTAACLIFWGAISSKESYPFYLALASFLTYIALQSTAGAFMTGIIAVLPHHDYIDTMAVIVAIAFNIITCLFLFQLLQIFYISEVSQMDMDPQLLALVSCCASREVKEKILRTSRKWLISHLDSKMELVQTMEAQNLGGPGGWHPLPCVSSCVNVTKQRI >EOY13718 pep chromosome:Theobroma_cacao_20110822:7:10351968:10353129:1 gene:TCM_032357 transcript:EOY13718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TAU 25 MRVRIALAEKGIDYESRAEDLSNKSSLLLKMNPVHKQIPVLIHKGRPVCESLIIVQYIDEVWRDRSPLLPSHPYDRANASFWADFVDKKIYPTGKLVWGATGEVQEAAKKGLIGCFQLLEGELGDKPYFGGEKFGFTDVSLIPFYSWFYALETCGNLSSITECPKLVAWAKRCMERESVSKSLPDQCKMYCFLMELKKKCEGK >EOY13672 pep chromosome:Theobroma_cacao_20110822:7:10016397:10017215:1 gene:TCM_032309 transcript:EOY13672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase Sec MGLLGLYTTQVTCPEIITKTAPPKENAAAIASMRKRRTTRGAASRGVVETTLQFYTNDGPRLKISPNVMLVISIDFIAFVAILHVMGKLYFVCKEA >EOY12511 pep chromosome:Theobroma_cacao_20110822:7:2436562:2440351:1 gene:TCM_031003 transcript:EOY12511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MTMTEANMTSEEASSSSRSTCHSYSSATLPLSILKRLRLKGIKDLEDLLEEFLLPYCPKLESLLPQKMSCLTSLQQLWVQNCPNLRALPDWILNLTSLSSLRIKECLELQSIPEGTPQLTSLEELSVQNCHNLRALPEWILNLTSLKTLQIWGCVELQHMPKGTHQLTSLKELWVGKCLNLRALPSWILNLTSLKTLGIWECLELQYMPEGTYQLTSLKVLSVGNCRNLRALPDWILNLTSLTNLLIKGCFELQSTPKGTHQLTSLKELSIENCPHLRALPDWILNLTSLETLQIWNCLELQYMPEGISWLTFLEELYADRHNLRALQDWILNLTSLKDLYICECLESPYLQERMHNLTSLRRMIVESCPNLSSSRHSLKTLLSRGCPDLYSWRMTHSWSSLEELNVQNCPNLRKLLDRIPFLIPCLKTLKICKCPELQELQRMDRLTSLQVLSISECPKLSERCEKETGILCHHIAHIPSIIIDGQQIQ >EOY13291 pep chromosome:Theobroma_cacao_20110822:7:7248131:7270748:-1 gene:TCM_031825 transcript:EOY13291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MGNTQFILGFILVVLLLNFGVSFSTKSTTTDISTDQLALLALKARVNNDLLATNWSTAISICNWVGITCGSRHHRVIALDLSGMNLFGTIPPDMGNLSFVASIDIGNNSFHGSLPMELANLHRLKSLILSYNNFNGKIPSWFGSFSKLQDLHLTSNNFVGVIPSSLCSLSKLQVLSLYNNSLQGHIPVEIENLSSLRFLILGENQLSGSIPSSIFNISSLLEIYLGNNLLTGSIPSIPLNMSSLQAIDLTFNNLTGHIPSDMFARLPKLKKFYLSYNHFIGPIPIDLFKCQELEDLSLSVNDLEGTMPKEIGNLTMLKFLYLGDNNLKGPVPSTIGNLTLLKLLDFHFNKLTGKIPLEIGNLPTLESLNLGSNSFSGHIPPGIFNCSTMKVIALNSNYLSGRLPWSIGLWLPKLERLLLDMNEFSGTIPTSISNASKLIQLDLSSNSFSGYFPIDLGNLRDLQLLNLEYNNLALTPSSPEMSFLSSLAYCKDLTILYFSNNPLINGKLPISIGNLSISLQQFEASGCNIGGNIPWEIGKLINLISFNIANNVLIGNIPTTIGRLEKLQSLYLEGNKLEGSIPYELCRLKSLGFLYLTSNKLAGPIPACLGDLVSLRHLYLGSNKFANSIPSSFTRLIDILQLNLSSNFLNGALPIDIGKWKVVTTIDFSENQLTSEIPRSIGDLEDLTYLSLSGNRLNGSIPELFGGLIGLQFLDLSRNNFFGIIPKSFQKLLHLEYLNVSFNRLHGEIPNKGPFANYSIQSFMGNEALCGAPRLQLPPCTSNSTKHSRKATKLIEFILLPVGSTLLILALIAFFFQSQRKHSKQKIDRENSIGLVNWRRISYQELHYATNGFCDSKLLGAGSFGSVYQGTLSDGLNIAIKVFNLEVEGSFKSFDIECEVLHNIRHRNLVKVISSCCNVDFKALVLEFMPNGSLEKWLYSHNYFLDILHRLNIMIDVASALEYLHHGQTIPVVHCDLKPNNVLLDEDMVAHLGDFGIAKLLGEEDSTVQTITLATIGYMAPEYGTQGVVSIKGDVYSFGILLMETLTRKKPTNEMFDGEMSLKHWVTKSLPSALTQVIDANLLINTREQEHFAIKDCALSILQLALECIEELPEERIEMKNVVAKLKKIKIKFSKDLNRWA >EOY14235 pep chromosome:Theobroma_cacao_20110822:7:22385154:22386883:-1 gene:TCM_033525 transcript:EOY14235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDQTLKFNQIKQINRINAKLKEQFGSWLHKQTKKSYNHDMQNAFCQVQFLAPGKGQDDAIQWHTTTID >EOY12915 pep chromosome:Theobroma_cacao_20110822:7:4823744:4831371:1 gene:TCM_031420 transcript:EOY12915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Silencing defective 5, putative isoform 2 MVLHFSLNYFIYLMTSAFKLYKYPPSQLNLGKEKLKRKLLSRYIKMKGTVFAAQPDEEALKKLLDVFGSQFSLEDIASAYYESKGNVNVTGEILCARNDGRTLSARANTFENKSAGWESVSAVSSEYTSSNPSTGALKSKKSSVSMGSVTGVIGKNFVKPGPSRLDSPVTTKPVKIDSKKFPVSVIWSEEGSASRTTRNGTTHGDLKEFLFKMLEDGFQLDNSVIEEVLDCCGYDVEKSMDKLLDLSASTLEKSDDVIAIAADKFTGKCPDDQLVLVQDKPQCKEFGRSKEATSMIRKPTRSPRRNKDRLALEKEILESLFSVPERSEEASKRTRLVRVVRRSRAFGELVTEPLKDTDTSLTTNAVDLQKISKDVEDGHDDDENSYDMLRQAVKEYWITMKEYCKAAIEAFAEGDKARASKLMELVHFFNKKAREADERSAEKILETSCRDDEVLPLDLRNFEPKDAVNLLRVHLTSVSGIPSIKYLKVIVGTIEEDTKKGARKRLVKKQLEKESIKWNEEDNGRIFSIRVDVINPKHLSFAKNKDLMNM >EOY12916 pep chromosome:Theobroma_cacao_20110822:7:4824865:4829736:1 gene:TCM_031420 transcript:EOY12916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Silencing defective 5, putative isoform 2 MKGTVFAAQPDEEALKKLLDVFGSQFSLEDIASAYYESKGNVNVTGEILCARNDGRTLSARANTFENKSAGWESVSAVSSEYTSSNPSTGALKSKKSSVSMGSVTGVIGKNFVKPGPSRLDSPVTTKPVKIDSKKFPVSVIWSEEGSASRTTRNGTTHGDLKEFLFKMLEDGFQLDNSVIEEVLDCCGYDVEKSMDKLLDLSASTLEKSDDVIAIAADKFTGKCPDDQLVLVQDKPQCKEFGRSKEATSMIRKPTRSPRRNKDRLALEKEILESLFSVPERSEEASKRTRLVRVVRRSRAFGELVTEPLKDTDTSLTTNAVDLQKISKDVEDGHDDDENSYDMLRQAVKEYWITMKEYCKAAIEAFAEGDKARASKLMELVHFFNKKAREADERSAEKILET >EOY13323 pep chromosome:Theobroma_cacao_20110822:7:7558530:7560213:-1 gene:TCM_031872 transcript:EOY13323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTGEEAAGPPGPKVLRLLYFAGAAFIFTIGINKWRELERKSVQQQQGIHQQPKILSSTNAATKPIE >EOY12971 pep chromosome:Theobroma_cacao_20110822:7:5083669:5084275:1 gene:TCM_031479 transcript:EOY12971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNIYIAFGVLVIMTSGAVMARDVDPIKANNCETKMTTHCVIEVFASIFKTGTVSDDCCHELIGLGQLCHNALVKKTLQNPLFKNNDTSVILSRAAQVWKKCTPVGEDVSPTPSP >EOY13768 pep chromosome:Theobroma_cacao_20110822:7:10685667:10687200:1 gene:TCM_032412 transcript:EOY13768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein, putative MSIIEKYPSIFHVGGVSGKQLPFVTLTRKAKKIASEEYPEYFWIKDFNGRAYLYLENWDSLLAMTACEERFACEGVLASAVGLKKVRIMEDGNYLGPFAFKMCFAAGFRLNEIYLEELQRWRKMEFPSPYLNVRRFDVAALKTRKRVLVVLHELLSLTMEKRMTSALLDAFHSEYLLPSKLVLCLTKHHGIFYITNKGARSTIFLKEAYDGTRLVDKCPMLMFNDKFVALNGRKEINSFNSMNSSQVVT >EOY12749 pep chromosome:Theobroma_cacao_20110822:7:3997293:4000141:-1 gene:TCM_031283 transcript:EOY12749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METAMEGYKGQYEAIRQEYFQISERGDLCEQNLQRKEVKMDWIMIQMREVAFKEREMVDKTEELRREILPKDELSEPLINHLRVARD >EOY11950 pep chromosome:Theobroma_cacao_20110822:7:425324:428609:-1 gene:TCM_030586 transcript:EOY11950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MELVPYSSEPETKTSFTTLPWQDMFRSASIRKPSPAPGKSDAPPNQADAPPMAPNSTDSDHKNSLSGDPQVRLALYIAMAHAGLAFTIFILYGICKLLQEYLRPIQWAILCSIPLRGIQETLVGFWREPLKLGLTETVLAVPVAVFKAFIGTLVDIKDVCLRVFLKRPKSTLSRRKRSGFSKLVRWLVSFAVFVVAYERIGGVGSLVIIVLGFMISTKNVDSTLSAVSSFRSTSFRRSAISAYFTRGILKRLNTIVAIGLMIGMIVGFFAGATFFSYKIGVEGKDAVISVKAHVEESNYAERIGVKKWMEENDVPGMVDQYTSQIYETVSEQIDSLAMQYNMTEFVTGIKHFVITSQTSSSAESTALMTPSPYTEKLLNLRKRVSNREWGQIYTEVAAIFRELIITREDLVEKAKGFAVKGADVSKQVFASGASVLGGGAKIMLVVGNSIISGAAEVFNFVSQMMVFFWVLYYLITSESGGVTEQVMSMIPISKSARFRCVEVLDNAISGVLLATAEIAFFQGCLTWLLFRLYKIHFVYMSTVLAFISPLLPIFPPWFATIPAATQLLLESRYILALTFSIIHIFLMDYGTSEIQEDIPGYSAYLTALSIIGGMTLFPSAIEGAIMGPLITTVVIALKDLYAEFVLEEPKKQD >EOY11951 pep chromosome:Theobroma_cacao_20110822:7:425332:428609:-1 gene:TCM_030586 transcript:EOY11951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MELVPYSSEPETKTSFTTLPWQDMFRSASIRKPSPAPGKSDAPPNQADAPPMAPNSTDSDHKNSLSGDPQVRLALYIAMAHAGLAFTIFILYGICKLLQEYLRPIQWAILCSIPLRGIQETLVGFWREPLKLGLTETVLAVPVAVFKAFIGTLVDIKDVCLRVFLKRPKSTLSRRKRSGFSKLVRWLVSFAVFVVAYERIGGVGSLVIIVLGFMISTKNVDSTLSAVSSFRSTSFRRSAISAYFTRGILKRLNTIVAIGLMIGMIVGFFAGATFFSYKIGVEGKDAVISVKAHVEESNYAERIGVKKWMEENDVPGMVDQYTSQIYETVSEQIDSLAMQYNMTEFVTGIKHFVITSQTSSSAESTALMTPSPYTEKLLNLRKRVSNREWGQIYTEVAAIFRELIITREDLVEKAKGFAVKGADVSKQVFASGASVLGGGAKIMLVVGNSIISGAAEVFNFVSQMMVFFWVLYYLITSESGGVTEQVMSMIPISKSARFRCVEVLDNAISGVLLATAEIAFFQGCLTWLLFRLYKIHFVYMSTVLAFISPLLPIFPPWFATIPAATQLLLESRYILALTFSIIHIFLMDYGTSEIQEDIPGYSAYLTALSIIGGMTLFPSAIEGAIMGPLITTVVIALKDLYAEFVLEEPKKQD >EOY11949 pep chromosome:Theobroma_cacao_20110822:7:425113:428384:-1 gene:TCM_030586 transcript:EOY11949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MELVPYSSEPETKTSFTTLPWQDMFRSASIRKPSPAPGKSDAPPNQADAPPMAPNSTDSDHKNSLSGDPQVRLALYIAMAHAGLAFTIFILYGICKLLQEYLRPIQWAILCSIPLRGIQETLVGFWREPLKLGLTETVLAVPVAVFKAFIGTLVDIKDVCLRVFLKRPKSTLSRRKRSGFSKLVRWLVSFAVFVVAYERIGGVGSLVIIVLGFMISTKNVDSTLSAVSSFRSTSFRRSAISAYFTRGILKRLNTIVAIGLMIGMIVGFFAGATFFSYKIGVEGKDAVISVKAHVEESNYAERIGVKKWMEENDVPGMVDQYTSQIYETVSEQIDSLAMQYNMTEFVTGIKHFVITSQTSSSAESTALMTPSPYTEKLLNLRKRVSNREWGQIYTEVAAIFRELIITREDLVEKAKGFAVKGADVSKQVFASGASVLGGGAKIMLVVGNSIISGAAEVFNFVSQMMVFFWVLYYLITSESGGVTEQVMSMIPISKSARFRCVEVLDNAISGVLLATAEIAFFQGCLTWLLFRLYKIHFVYMSTVLAFISPLLPIFPPWFATIPAATQLLLESRYILALTFSIIHIFLMDYGTSEIQEDIPGYSAYLTALSIIGGMTLFPSAIEGAIMGPLITTVVIALKDLYAEFVLEEPKKQD >EOY12024 pep chromosome:Theobroma_cacao_20110822:7:669524:672033:-1 gene:TCM_030642 transcript:EOY12024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 1 MQQRQYLVLLLLFFSVFLLFQTSNGHNVTRLLAKHPSLSTFNHYLTLTHLASEINRRTTITVLALDNAAMSSLLATNPSIYTLKNILSLHVLLDYFGTKKLHQIRNGTALAATMFQATGVAPGVAGFVNITDFKGGKVGFGAEDNGGKLDSFFVKSVEELPYNISVIQISKALPSAVAEAPTPGPSELNITGIMSAHGCKVFADTLLANHEAIGTYEDNVNGGLTVFCPLDDPFKAFLPKYKNLTASKQSSFLEFFGVPVYQSLSTLKSNNGIMNTLATDGASKFDFTVQNEGEEVTLKTKVNTVKITGTLLDEQPVAIYTIDKVLMPSELFKAPTPAPAPAPEMEADAPKASKSKSKSKSAPASESDSPAESPDDDPADQTADDDNAAASLEAGRFAAVGLSFLLVSLLL >EOY14198 pep chromosome:Theobroma_cacao_20110822:7:22087118:22092910:1 gene:TCM_033484 transcript:EOY14198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase of plastid 2 isoform 3 MAFSSLIRSTAAASTPLDSARSDLSPSPSDRLKVSSIGFSGNSIFGAAVSTGSSSSLRKCNSRSIQPIKATATEIPPTVPKSRSSGKTKVGINGGAEIILGILLGGPGFGRIGRLVLRVATARDDIDVVAVNDPFVDAKYMAYMFKYDSTHGNFKGTIRVVDDSTLEINGKQIRVVSKRDPAEIPWADYGAEYVVESSGTFTTLAKASAHMKGGAKKVVISAPSADAPMFVVGVNEKTYKPSMDIVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLQKSASYEDVKAAIKYAAEGPLKGILGYTDDDVVSNDFVGDSRSSIFDAKAGIGLSASFHKLVSWYDNEWGYSNRVLDLIEHIALVGASRD >EOY14200 pep chromosome:Theobroma_cacao_20110822:7:22087950:22092092:1 gene:TCM_033484 transcript:EOY14200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase of plastid 2 isoform 3 SIFGAAVSTGSSSSLRKCNSRSIQPIKATATEIPPTVPKSRSSGKTKVGINGFGRIGRLVLRVATARDDIDVVAVNDPFVDAKYMAYMFKYDSTHGNFKGTIRVVDDSTLEINGKQIRVVSKRDPAEIPWADYGAEYVVESSGTFTTLAKASAHMKGGAKKVVISAPSADAPMFVVGVNEKTYKPSMDIVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLQKSASYEDVKAAIKYAAEGPLKGILGYTDDDVVSNDFVGDSRYSPLFCTHPSHICLSL >EOY14199 pep chromosome:Theobroma_cacao_20110822:7:22087079:22092048:1 gene:TCM_033484 transcript:EOY14199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase of plastid 2 isoform 3 MAFSSLIRSTAAASTPLDSARSDLSPSPSDRLKVSSIGFSGNSIFGAAVSTGSSSSLRKCNSRSIQPIKATATEIPPTVPSLFLICLESRSSGKTKVGINGFGRIGRLVLRVATARDDIDVVAVNDPFVDAKYMAYMFKYDSTHGNFKGTIRVVDDSTLEINGKQIRVVSKRDPAEIPWADYGAEYVVESSGTFTTLAKASAHMKGGAKKVVISAPSADAPMFVVGVNEKTYKPSMDIVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLQKSASYEDVKAAIKYAAEGPLKGILGYTDDDVVSNDFVGDSRSSIF >EOY14194 pep chromosome:Theobroma_cacao_20110822:7:21967805:21969678:1 gene:TCM_033469 transcript:EOY14194 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S21 MQNEEGQNVDLYVPRKCSATNRLITSKDHASVQINIGHLDELGRYTGSYSTFALCGFVRAQGDADSALDRLWQKKKGEVRQQ >EOY12245 pep chromosome:Theobroma_cacao_20110822:7:1471126:1472760:1 gene:TCM_030808 transcript:EOY12245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPKMEAEEIIKTEEKKPYCLCRLPEDVLTIILRYLSVLDYIQFRAVSKCWRLAFSSCASSTTSQQHPERELPWFVVLKTEPVLGMFHWVCMPEKENLIGLAMEVGVKGPIINAVFAKGILYYLHSNGQLNAFDAVHHNYIHIAHIQGLGSDFYTFSRLTTYEDSEYLFPTDVKEAIEREREVTSVDSFNPGAWCLVYRSGGTFECRWHQFTSDRTVYYDLEELSCHVRNECSTFLMWFDPLGVEPSDPLLT >EOY13263 pep chromosome:Theobroma_cacao_20110822:7:6824956:6828177:-1 gene:TCM_047065 transcript:EOY13263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide-N4-(N-acetyl-beta-glucosaminyl)asparagine amidase A protein, putative MSSSCFSLLFFLTLLFLQPLFSQANLHKSNTLLKSSLLSQPSTNETIPPTLFFEVTKPIRVPNAKPCSLTILQHDFGYTYGKPPVVTNYAFPSDCPHQEFSKIVLEWNATCKGRQFDRIFGVWLSGVELLRSCTAEPRATGIVWSVQKDITRYTSLLLMNKTQTFSVYMGNLVDKTYTGVYHVNVTLYFYPSVEKMNRFEERAEILESGVGSKADLIIPFSRDLPLKDGLWYEIENATDIKVKDFEIPQNVYRAVLEVYVSFHENDEVWYGNPPNDYIAANNLTNLAGNGPFREVVVSLDGEVVGAVWPFTVVYTGGINPLLWRPISGVGSFNLPTYDIQITPFLGNLLDGKTHKLGFSVTNALNVWYIDANLHLWLDSKSTKTEGKLLQHDIVPLGVSSVSDFKGLNGTFITNTTRFISSTGWVKSSYGTVTTKSIQDLSYSNSMVMAKDGDAQIVHQMIHFSDSIYAKMPDSDAKSKKSLKRFLLYFSSDYLDQGNGTSLSVANFTLGFNEEKFKDANARLPSSSLRNLQNGQGIMVVKDNLVVSGVGNTQQTYNYDSSNFCYSRNISSSNYTILYDKVGKTCNKRAKFHVGFGLSRRLVYKETCGAFSPLWLVLRRAKKTSLMK >EOY13487 pep chromosome:Theobroma_cacao_20110822:7:8764310:8765901:1 gene:TCM_032088 transcript:EOY13487 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5 receptor kinase MQNGSLDKIIFSTESNTLGWQKMFEIALGVARGIEYIHRGCEMQILHFDIKPHNILLDENFIPKVSDFGLAKLYSMDDSIVSLTAARGTLGYMAPELFYKNIGSISYNVYSFGMMLMEIVGRRKNVNASAEHSSQIYFPSWIYDQLEEGDIIELGDVTENENKIMRKMVIVAFWCIQMKPTDRPSMSKVLEMLESEVELLEMPPKPAFS >EOY12262 pep chromosome:Theobroma_cacao_20110822:7:1506953:1512057:1 gene:TCM_030821 transcript:EOY12262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2, putative isoform 3 MEEALSPRQRAPMLNRLQSPTSSFFLGSNNDQLERAQARAAARAAAVRRCLTVVPPPTPPPPSETCLSKDQIIELFQNCIKLASENKINQKNTWELKLIDHLSEIIKVEAAEGDSETNFQKASCTLEAGVKIYSFRVDAVHAEAYKVLGGIHRAGQEDEQETIVVGDNINNREESSCNKKESERKISPVSTLESSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYEGCRVLFDSFKVPGKCQSGALHNNNLDIIDISFARESVEKMVTNMLAKNEICPTLKVIVCHFDEDNQRSSETFDVGQKCDIRVDKANAYEAESDDTLLENYDACIFDHDEVSSGVNEGSNFDHTIHDHHEENDTYASYEPDVGDRFEDIAKFLFPGLGFSSNQNAWAGPNHWKYQNCKVSGQEKKKMVVDKDALSFKRVLATFPFNCQAAATEDISPHLCFICLLHLANEHGLSIQDHPSLDDLSIHLPASWQSTDSVA >EOY12261 pep chromosome:Theobroma_cacao_20110822:7:1506953:1512057:1 gene:TCM_030821 transcript:EOY12261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2, putative isoform 3 MEEALSPRQRAPMLNRLQSPTSSFFLGSNNDQLERAQARAAARAAAVRRCLTVVPPPTPPPPSETCLSKDQIIELFQNCIKLASENKINQKNTWELKLIDHLSEIIKVEAAEGDSETNFQKASCTLEAGVKIYSFRVDAVHAEAYKVLGGIHRAGQEDEQETIVVGDNINNREESSCNKKESERKISPVSTLESSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYEGCRVLFDSFKVPGKCQSGALHNNNLDIIDISFARESVEKMVTNMLAKNEICPTLKVIVCHFDEDNQRSSETFDVGQKCDIRVDKANAYEAESDDTLLENYDACIFDHDEVSSGVNEGSNFDHTIHDHHEENDTYASYEPDVGDRFEDIAKFLFPGLGFSSNQNAWAGPNHWKYQNCKGSEDNPATNAESTSITKRPKSKNLKEVDVDFTKSLDKEMPDIFAPPKSPTLLLLPANRVPCNNTLPEDCHYQPESLVKLFLLPKIMCLGKRRRKWWWTKMHCLSNVCLLHFPSIAKLQLQRIFRPICVSFVCCI >EOY12260 pep chromosome:Theobroma_cacao_20110822:7:1506953:1512057:1 gene:TCM_030821 transcript:EOY12260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2, putative isoform 3 MEEALSPRQRAPMLNRLQSPTSSFFLGSNNDQLERAQARAAARAAAVRRCLTVVPPPTPPPPSETCLSKDQIIELFQNCIKLASENKINQKNTWELKLIDHLSEIIKVEAAEGDSETNFQKASCTLEAGVKIYSFRVDAVHAEAYKVLGGIHRAGQEDEQETIVVGDNINNREESSCNKKESERKISPVSTLESSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYEGCRVLFDSFKVPGKCQSGALHNNNLDIIDISFARESVEKMVTNMLAKNEICPTLKVIVCHFDEDNQRSSETFDVGQKCDIRVDKANAYEAESDDTLLENYDACIFDHDEVSSGVNEGSNFDHTIHDHHEENDTYASYEPDVGDRFEDIAKFLFPGLGFSSNQNAWAGPNHWKYQNCKGSEDNPATNAESTSITKRPKSKNLKEVDVDFTKSLDKEMPDIFAPPKSPTLLLLPANRVPCNNTLPEDCHYQPESLVKLFLLPKIMCLGKRRRKWSDDSACQQNNDFDEALPSWDNESSLGSQFNGGCVYDDVENLDELVSQPRQVNKIEVQYDKTSKQVDVHALKESLWDHMQGSLEVAEVVDKDALSFKRVLATFPFNCQAAATEDISPHLCFICLLHLANEHGLSIQDHPSLDDLSIHLPASWQSTDSVA >EOY12259 pep chromosome:Theobroma_cacao_20110822:7:1506714:1511807:1 gene:TCM_030821 transcript:EOY12259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2, putative isoform 3 MEEALSPRQRAPMLNRLQSPTSSFFLGSNNDQLERAQARAAARAAAVRRCLTVVPPPTPPPPSETCLSKDQIIELFQNCIKLASENKINQKNTWELKLIDHLSEIIKVEAAEGDSETNFQKASCTLEAGVKIYSFRVDAVHAEAYKVLGGIHRAGQEDEQETIVVGDNINNREESSCNKKESERKISPVSTLESSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYEGCRVLFDSFKVPGKCQSGALHNNNLDIIDISFARESVEKMVTNMLAKNEICPTLKVIVCHFDEDNQRSSETFDVGQKCDIRVDKANAYEAESDDTLLENYDACIFDHDEVSSGVNEGSNFDHTIHDHHEENDTYASYEPDVGDRFEDIAKFLFPGLGFSSNQNAWAGPNHWKYQNCKGSEDNPATNAESTSITKRPKSKNLKEVDVDFTKSLDKEMPDIFAPPKSPTLLLLPANRVPCNNTLPEDCHYQPESLVKLFLLPKIMCLGKRRRKWSDDSACQQNNDFDEALPSWDNESSLGSQFNGGCVYDDVENLDELVSQPRQVNKIEVQYDKTSKQVDVHALKESLWDHMQGSLEVAEVVSIVDKDALSFKRVLATFPFNCQAAATEDISPHLCFICLLHLANEHGLSIQDHPSLDDLSIHLPASWQSTDSVA >EOY12842 pep chromosome:Theobroma_cacao_20110822:7:4383916:4390496:1 gene:TCM_031356 transcript:EOY12842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa family protein isoform 1 MASLHTTITPQGLLYLSKSGNPISRQASASPLTSSKLPESLTFSRNKWRKNSNRKANSVVVNSLAEELDVIPVQSEDVTDMQEGVAVSQVESEPAGGELASQVGGFGNEGRLSFEGFSASGSASGSGFGDGEGGAEDMEKLIDRTINATIVLAAGTFALTKLLTIDHNYWQGWTLYEILRYAPQHNWSAYEEVLKTNPVLAKMVISGVVYSLGDWIAQCFEGKPLFEFDRTRMFRSGLVGFTLHGSLSHYYYQFCEVIILHYLFPSQDWWVVPAKVVFDQTAWAAVWNSIYFTVLGFLRLESPISIFNELKATFWPMLTAGWKLWPFAHLITYGVIPVEQRLLWVDCVELIWVTILSTYSNEKSEARIAEAPAEANSSLPPVGPSEEQVMR >EOY12841 pep chromosome:Theobroma_cacao_20110822:7:4383744:4389120:1 gene:TCM_031356 transcript:EOY12841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa family protein isoform 1 MASLHTTITPQGLLYLSKSGNPISRQASASPLTSSKLPESLTFSRNKWRKNSNRKANSVVVNSLAEELDVIPVQSEDVTDMQEGVAVSQVESEPAGGELASQVGGFGNEGRLSFEGFSASGSASGSGFGDGEGGAEDMEKLIDRTINATIVLAAGTFALTKLLTIDHNYWQGWTLYEILRYAPQHNWSAYEEVLKTNPVLAKMVISGVVYSLGDWIAQCFEGKPLFEFDRTRMFRSGLVGFTLHGSLSHYYYQFCEELFPSQDWWVVPAKVVFDQTAWAAVWNSIYFTVLGFLRLESPISIFNELKATFWPMLTAGWKLWPFAHLITYGVIPVEQRLLWVDCVELIWVTILSTYSNEKSEARIAEAPAEANSSLPPVGPSEEQVMR >EOY12840 pep chromosome:Theobroma_cacao_20110822:7:4383916:4390493:1 gene:TCM_031356 transcript:EOY12840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa family protein isoform 1 MASLHTTITPQGLLYLSKSGNPISRQASASPLTSSKLPESLTFSRNKWRKNSNRKANSVVVNSLAEELDVIPVQSEDVTDMQEGVAVSQVESEPAGGELASQVGGFGNEGRLSFEGFSASGSASGSGFGDGEGGAEDMEKLIDRTINATIVLAAGTFALTKLLTIDHNYWQGWTLYEILRYAPQHNWSAYEEVLKTNPVLAKMVISGVVYSLGDWIAQCFEGKPLFEFDRTRMFRSGLVGFTLHGSLSHYYYQFCEELFPSQDWWVVPAKVVFDQTAWAAVWNSIYFTVLGFLRLESPISIFNELKATFWPMLTAGWKLWPFAHLITYGVIPVEQRLLWVDCVELIWVTILSTYSNEKSEARIAEAPAEANSSLPPVGPSEEQVMR >EOY12925 pep chromosome:Theobroma_cacao_20110822:7:4852168:4855251:-1 gene:TCM_031426 transcript:EOY12925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MAAGTFLAILNSNKKTNALTANVILARTKSYCFTPNTVNSNNLYSRINAVGDPRCGVDPVLQQWVQEGRHVNELQLQRIIRDLRARRRYSHALEVCKWMSNSDLEFSSSDCAVQLDLIGRVHGLMSAKSFFSSMRDQEKTVKTYGALLNCYVREGLLDESLSLMKKMRDMGFLSSPLNYNDLMCLYTNTGQLEKVPDVLLEMKRNGVSPDKFSYRICINSYGARADINSMEKVLQEMKSHRHIKMDWVTYSTVANHYIKAGLKEKALYYLKKCEKNVGKDAVGYNHLISFYASLGNKDEMKRLWDLQKAKCRKQINRDYITMLGSLVKLGELEETEKLLEEWELSCKTFDFRVPNVLLIGYCQKGLIEKAEAKLQDIIRRRKTATPNSWSIIAAGYLNKNNMEKAFECFKEALAAQSQNRDWRPKASLISSILSWLGENGEVEDAEAFVKLLITKVPPNREMYHALLKACIRNGKEVEGLLQCMKVYKIDEDEETMKILSLRRQNS >EOY12825 pep chromosome:Theobroma_cacao_20110822:7:4306120:4308119:1 gene:TCM_031343 transcript:EOY12825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein-related MKMLRSRYSFIVLLIFVSGVIQAKADDFKCPKASRCYGKRMECPKNCPSANSMNTKAKVCTVDCNSNSCKPHCKHVKGMPLPAKPEYFRCHPASRCQGKLMECPNECPSVKFSKKKAKVCYVNCDSPVCKPQCRHPKPNCDGPGSACHDPRFIGGDGIVFYFHGKSNEHFSLVSDSSLQINGRFIGHRPADRARDFTWIQALGILFNSQKFSLEATKAATWKSEVDHLKFSYNGEDLVVPEGALSLWYSPQKDVKVERVADKNTVIITLKDTAEIMVNVVPVTKEDDRIHNYTLPADDCFAHLEVQFRFFALSPVVDGVLGKTYRPDFENPAKPGVAMPVVGGEDKYRTTSLLSADCLSCLFSPESNSTSTKETPSVKEYDFLDCTRGASAGYGIICKK >EOY13319 pep chromosome:Theobroma_cacao_20110822:7:7529928:7531281:-1 gene:TCM_031867 transcript:EOY13319 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: transcription factor-related (TAIR:AT4G18650.1); Has 560 Blast hits to 560 proteins in 40 species: Archae - 0; Bacteria - 0; Metazoa - 2; Fungi - 0; Plants - 558; Viruses - 0; Other Eukaryotes - 0 (source: /.../BLink). [Source:Projected from Arabidopsis thaliana (AT3G14880) TAIR;Acc:AT3G14880] MHKAKVPKPKTQTPSKLKKTSSHEKPNPVLKMTTTTTTTTFTAFYNTWSDQLHHLLRQLCSAPKPPTMQDHLHHLNHLVTKLLSHYSEYYRVKAAAAERDVFDIFAAPWASSLEKSLHWIAGWRPTTVFHLVYTESSILFESHIVDILRGVRTGDLGDLSPSQFRRVSELQCETVKEENAITDELSEWQHSVTDLVGSFTDAEQMIGRLVSIVQKADDLRLRTLKRVVDLLTPQQAVEFLIAAAELQFGIREWGLNQDRQV >EOY13288 pep chromosome:Theobroma_cacao_20110822:7:7177284:7179698:-1 gene:TCM_031819 transcript:EOY13288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVDLLIHHNVGLNELMADKYWEDVLHDFLTGQKRFDSLSSDTTYTSNSNFMCVTNSDIAYIGAMYLHNIGQPRARNPHGRTHPNLEVFSRHLEKNYLKHTYNACGEPNEDLWW >EOY13742 pep chromosome:Theobroma_cacao_20110822:7:10559012:10560155:-1 gene:TCM_032388 transcript:EOY13742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycofamily protein, putative MKSGDQTSRGKRNIKCWAIVVAGVIAKTIIILLFVLIVMRIRNPKVRLGGVTVENLRASSSSSSPSFSTKLNAQVSVKNTNFGHFKFKNSTLTISYNGSPVGKATIVEGLARARSTKKFNVTILVSSNNKISRNSDQLSSDIESGTINLSSHAKLEGKIHLFKIFKKKKSAEMNCTMDVNTSLKQIQKLTCK >EOY13250 pep chromosome:Theobroma_cacao_20110822:7:6766788:6771562:-1 gene:TCM_031772 transcript:EOY13250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alg9-like mannosyltransferase family isoform 1 MSLTTRQRRATASDLPWSSSSSQPSKPESYTKVDKPGRSSSDGQEESGNDRGFGWFTALFALGMLRYMSATSNIIHDCDEVFNYWEPLHYLLYKSGFQTWEYSSQFALRSYLYIIFHELVGRPASWLFADDKVRVFYAVRLFLGLLSVISDATLVVAVSRKYGKRLASYALAILCFASGCFFASTSFLPSSFSMYAMSLSSGLFLLEKPAWSVAVAAVGVILGWPFSILAFLPVTLYSLAKQFKQAFLSGVVTSIALLALSILVDCYYYGRWTSSVLNLLVYNVVGGGESHLYGTEGPLFYLRNGFNNFNFCFILALLFLGILPIARKKYAPDLLIVVSPLYIWLAFMSLQPHKEERFLYPIYPLVCVAASAVIESFPDLFRDKYNPYDNSIIVMIAKILRPVALSLILCASHSRTFSLINGYAAPMEVYKILEHHDDAGTGSVLCVGSEWHRFPSSFFVPNYVGEVRWVDDGFRGLLPFPFNATLGGTSAAPPYFNNKNKASDEQYLQDLQACTFLVELQLSRPFPCRGNDLSTWEPIAALPYLDRELSPAKYRSFFIPYLWQQKNVFGMYKLLRRVSQPK >EOY13249 pep chromosome:Theobroma_cacao_20110822:7:6762697:6771421:-1 gene:TCM_031772 transcript:EOY13249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alg9-like mannosyltransferase family isoform 1 MSLTTRQRRATASDLPWSSSSSQPSKPESYTKVDKPGRSSSDGQEESGNDRGFGWFTALFALGMLRYMSATSNIIHDCDEVFNYWEPLHYLLYKSGFQTWEYSSQFALRSYLYIIFHELVGRPASWLFADDKVRVFYAVRLFLGLLSVISDATLVVAVSRKYGKRLASYALAILCFASGCFFASTSFLPSSFSMYAMSLSSGLFLLEKPAWSVAVAAVGVILGWPFSILAFLPVTLYSLAKQFKQAFLSGVVTSIALLALSILVDCYYYGRWTSSVLNLLVYNVVGGGESHLYGTEGPLFYLRNGFNNFNFCFILALLFLGILPIARKKYAPDLLIVVSPLYIWLAFMSLQPHKEERFLYPIYPLVCVAASAVIESFPDLFRDKYNPYDNSIIVMIAKILRPVALSLILCASHSRTFSLINGYAAPMEVYKILEHHDDAGTGSVLCVGSEWHRFPSSFFVPNYVGEVRWVDDGFRGLLPFPFNATLGGTSAAPPYFNNKNKASDEQYLQDLQACTFLVELQLSRPFPCRGNDLSTWEPIAALPYLDRELSPAKYRSFFIPYLWQQKNVFGMYKLLRRVSQPK >EOY12723 pep chromosome:Theobroma_cacao_20110822:7:3734178:3735729:-1 gene:TCM_031248 transcript:EOY12723 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MREREIESIADKCRREKSIKFERVGWTATAVDTLCPSQSLRNGETLASADGSFELGFFSPTVSSTSRFLGIWYKKVSKRTVVWVANRETPISDNKGVLLFSNHGILSLLNSTNSTVWSSNTSKTAQEPVVRLLNSGNLVVKDGKDNNPADNLWQSFDYPCDTLLSGMKIGKNLVTGFEWFLSSWKSTDDPAPGQYFVRINISGYPQLVIEKGSKIEYRTDRGMVFAL >EOY11875 pep chromosome:Theobroma_cacao_20110822:7:165078:167200:-1 gene:TCM_030532 transcript:EOY11875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease 7 isoform 4 MRAIQKSNCYHREGHNAPCSYGDTPYMLLFGAVQVVMSQIPDFHNMEWLSVVAAIMSFTYSFIGFGLGFAQVIENGEIKGSITGVPAASIADKLWLSFQALGDIAFAYPYSIILLEIQDTLRSPPPENKTMKKASMISIFVTTFFYLCCGCFGYAAFGNNTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIYSQPVFAFVERWFTEKFPGSGFVNKFYTFKLPLLPTFQINLFKICFRTAYVASTTILAMIFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIQPWTRKWIVLRSFSSVCLLVTIVGLIGSIQGLISAKLG >EOY11873 pep chromosome:Theobroma_cacao_20110822:7:165035:168712:-1 gene:TCM_030532 transcript:EOY11873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease 7 isoform 4 MAVQHFLELSNGGCDEDGHPARTGTLWSCVAHIITAVIGSGVLSLAWSTSQLGWIAGPTALLIFATMTYVSAFLLSDCYRSNDGTRNKSYMDAVRLYLGRKRTWLCALLQNLSLYGTDIAYVITTSTCMRAIQKSNCYHREGHNAPCSYGDTPYMLLFGAVQVVMSQIPDFHNMEWLSVVAAIMSFTYSFIGFGLGFAQVIENGEIKGSITGVPAASIADKLWLSFQALGDIAFAYPYSIILLEIQDTLRSPPPENKTMKKASMISIFVTTFFYLCCGCFGYAAFGNNTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIYSQPVFAFVERWFTEKFPGSGFVNKFYTFKLPLLPTFQINLFKICFRTAYVASTTILAMIFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIQPWTRKWIVLRSFSSVCLLVTIVGLIGSIQGLISAKLG >EOY11874 pep chromosome:Theobroma_cacao_20110822:7:165035:170828:-1 gene:TCM_030532 transcript:EOY11874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease 7 isoform 4 MSMLVFAGITLVSTNLLCDCYMYPDPEHGPIRIKSYMDAVKLYLGEKSHKVCGVIAQESLYGNALAYIITSASSIKAIQKSNCYHREGHNAPCSYGDTPYMLLFGAVQVVMSQIPDFHNMEWLSVVAAIMSFTYSFIGFGLGFAQVIENGEIKGSITGVPAASIADKLWLSFQALGDIAFAYPYSIILLEIQDTLRSPPPENKTMKKASMISIFVTTFFYLCCGCFGYAAFGNNTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIYSQPVFAFVERWFTEKFPGSGFVNKFYTFKLPLLPTFQINLFKICFRTAYVASTTILAMIFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIQPWTRKWIVLRSFSSVCLLVTIVGLIGSIQGLISAKLG >EOY11872 pep chromosome:Theobroma_cacao_20110822:7:165007:168401:-1 gene:TCM_030532 transcript:EOY11872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease 7 isoform 4 MAVQHFLELSNGGCDEDGHPARTGTLWSCVAHIITAVIGSGVLSLAWSTSQLGWIAGPTALLIFATMTYVSAFLLSDCYRSNDGTRNKSYMDAVRLYLGRKRTWLCALLQNLSLYGTDIAYVITTSTCMRAIQKSNCYHREGHNAPCSYGDTPYMLLFGAVQVVMSQIPDFHNMEWLSVVAAIMSFTYSFIGFGLGFAQVIENGEIKGSITGVPAASIADKLWLSFQALGDIAFAYPYSIILLEIQDTLRSPPPENKTMKKASMISIFVTTFFYLCCGCFGYAAFGNNTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIYSQPVFAFVERWFTEKFPGSGFVNKFYTFKLPLLPTFQINLFKICFRTAYVASTTILAMIFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIQPWTRKWIVLRSFSSVCLLVTIVGLIGSIQGLISAKLG >EOY11876 pep chromosome:Theobroma_cacao_20110822:7:166744:172571:-1 gene:TCM_030532 transcript:EOY11876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease 7 isoform 4 MGEEGEDNQSPLLASSSSSTEVSAWPVERTGTLWTATAHVITGVIGAGVLSLAWSTAQLGWIAGPMSMLVFAGITLVSTNLLCDCYMYPDPEHGPIRIKSYMDAVKLYLGEKSHKVCGVIAQESLYGNALAYIITSASSIKAIQKSNCY >EOY12003 pep chromosome:Theobroma_cacao_20110822:7:578306:592649:-1 gene:TCM_030623 transcript:EOY12003 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin protein ligase upl2, putative isoform 2 MKLKRRRYLEVPPKIRSFINSVTSVPLENIEEPLKDFIWEFDKGDFHHWVELFNHFDTFFEKHIKSRKDLQVEDNFLGSDPPFPREAVLQILRVIRIVLENCTNKHFYSSYEHLSSLLASTDADVVEACLQTLAAFLKKTIGKYSIRDASLNSKLFALAQGWGGKEEGLGLIACSIQNGCDTVAYDLGCTLHFEFYASNEFSASEHSTQGLQIIHLPNINTHPETDLELLNKLVGEYKVPTNLRFSLLSRLRFARAFGSFTSRQQYTRIRLYAFIVLVQASSDADDLVSFFNNEPEFVNELVTLLSYEDAVPEKIRILCLLSLVALCQDRSRQPTVLTAVTSGGHRGILSSLMQKAIDSVVSNTSKWSVVFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVSTAVNILEAFMDYSNPAAALFRDLGGLDDTISRLKLEVSYVESSPKQQVEDPDCSGRSSQVVAGASTELDNMQPLYSEALVSYHRRLLMKALLRAISLGTYAPGNTARVYGSEESLLPQCLCIIFRRAKDFGGGVFALAATVMSDLIHKDPTCFSVLEAAGLPSAFLDALMDGVLCSAEAITCIPQCLDALCLNTNGLQAVKDRNALRCFVKIFTSRTYLRCLTGDTPGSLSSGLDELMRHASSLRVPGVDMVIEILNVILRIGSGVDTSNFAAESSAPVPMETDAEERNLSQQDDRESSRIESSEQMAESSSDASLMNIELFLPDCISNVGRLLETILQNADTCRMFVEKKGIDACLQLFTLPLMPLSASVGQSISVAFKNFSLQHSASLARAVCSFLREHLKSTNELLVSIGGTQLAMVEPGNQTKVLRSLSSLEGILSLSNFLLKGTTSVVSELSTADADVLKDLGRAYREIIWQISLSNDSMADEKRNADQESESPDAAPSNAAAGRESDDDASIPAVRYMNPVSVRNGPQSLWGAERDFLSVVRSGESLHRRSRHGLSRLRGGRSGRHLEALNIDSEVSHNLPEMSSLQDLKTKSPGLLVIEILNKLAFTLRSFFTALVKGFTSPNRRRADAGSLSSASKTLGMALAKIFLEALSFSGYSSSSGLDTSLSVKCRYLGKVVDDMGALTFDSRRRTCYTAMVNNFYVHGTFKELLTTFEATSQLLWTLPYSIPTPGIEHEKAGEANKFSHGTWLLETLQCYCRVLEYFVNSTLLLFGNSGSQTQLLVQPVAAGLSIGLFPVPRDPETFVRMLQCQVLDVILPIWNHPMFPNCSPGFVASVVSIIMHVYSGVGDVRRNRSGISGSTNQRFMPPPPDEGTIATIVEMGFSRARAEEALRRVETNSVEMAMEWLISHAEDPVQEDDELARALALSLGNSSETSKVDSVDKPMDVITEEGRPAEPPIDDILSASVKLFQSSDNMAFSLTDLLVTLCNRNKGEDRPKVLSFLIQQLKLCPLDFSKDSSALCMISHIVALLLSEDGNTREIAAQNGIVPAAIDILMDFKAKNELGNEIMAPKCISALLLILDNMLQSRPRIFPDTAEGTQTVSQPDSSGEHASLSGPESMTEKKLASDANEKEPITPFEKILGESTGYLTIEESHKLLLVACDLIRQHVPAMVMQAVLQLCARLTKTHALALQFLENGGLAALFSLPRTCFFPGYDTVASSIIRHLLEDPQTLQTAMELEIRQTLSGNRHAGRVSPRTFLTSMAPVICRDPVVFMKAAAAVCQLESSGGRPFVVLLKEKERDKDKTKASGAELGLSSNESVRIPENKVNDGTGRCSKGHKRVPANLAQVIDQLLEIVLKYPSAKGQEDSATDLSSMEIDEPASKVKGKSKVDETKKMESETERSAGLAKVTFVLKLLSDILLMYVHAVGVILKRDSEMGQLRGSNQLDASGSPGILHHILHRLLPLSVDKSAGPDEWRDKLSEKASWFLVVLCGRSSEGRKRVINELVKALSSFSNLESNSMKSTLVPDKRVFAFADLAYSILSKNSSSSNLPGTGCSPDIAKSMIEGGVVQCLTNILEVIDLDHPDAPKTVNLMLKALESLTRAANANEQVFKSEGSNKKKPSSSNGRHADQVTVSAAEATENNQNGGGQQVVVDAEETEQQQHQGTSQSEGNHNANRNDSVEQDMRVEVEETGASNRPMELGMDFMREEMEEGGVLHNTDQIEMTFGVENRADDDMGDEDDDMADDGEDDEDDDEGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDDMIDEEDDDFHEHRVIEVRWREALDGLDHLQVLGQPGGASGLIDVAAEPFEGVNVDDLFGLRRPVGFERRRSNGRTSFERSVTEVNGFQHPLLLRPSQSGDLSSMWSSGGNTSRDLEALSSGSFDVTHFYMFDAPVLPYDHAPSSLFGDRLGSAAPPPLTDYSVGMDSLHLPGRRGLGDGRWTDDGQPQASAQAAAIAQAVEEQFVSHLRSTAPANNLAERQSQNSGIQEMQPSDAPASNDGKVVLEGDNASSQHSEDQQQENGNEISHELNPTVESGSYHEQLNPQSVIGDMAESMQANEQLLTQPLSLNNAPNEHENMEIGEGNGNAADQVEPNPEMVNLPEGDSGVPGNLSIQAVGADALSGADGQAGNNGLADSGLEMPNTGDSNGSSFHESIDVDMNATDAEGNQTEQSVPPEIGAEEPASLQNILHAQDANQADQTSVNNEATGANAIDPTFLEALPEDLRAEVLASQQAQSVQPPTYVPPSADDIDPEFLAALPPDIQAEVLAQQRAQRVAQQAEGQPVDMDNASIIATFPTDLREEVLLTSSEAVLSALPSSLLAEAQMLRDRAMSHYQARSLFGGSHRLNNRRNGLGLDRQTVMDRGVGVTLGRRPGSTISDSLKVKEIEGEPLLNANSLKALIRLLRLAQPLGKGLLQRLLLNLCAHSVTRATLVKLLLDMIKSETEGSSNGLSTINSHRLYGCQSNAVYGRSQLFDGLPPLVLRRVLEILTFLATNHSAVANMLFYFDPSILSEPLSPKYSETKKDKGKEKIMDGDASKTLGNSQEGNVPLILFLKLLNRPLFLHSTAHLEQVVGVLQAVVYTAASKLESRSLSDLAVDNSNSHNQLTNEASGDAHKDPSLSEPDSNQEDKRTNAESSASGGHRNVNLYNIFLQLPESDLRNLCSLLGREGLSDKVYMLAGEVLKKLASVAVTHRKFFTSELSELAHGLSSSAVNELITLRNTQMLGLSAGSMAGAAILRVLQVLSSLASANVDDDTPQDSDREQEEQATMWKLNVSLEPLWEELSECIGMTEVQLAQSSLCPTVSNVNVGEHVQGTSSSSPLPPGTQRLLPFIEAFFVLCEKLHANHSIMQQDHVNVTAREVKESAECSASLSSKCSGDSQKKLDGSVTFARFAEKHRRLLNAFVRQNPGLLEKSLSMLLKAPRLIDFDNKRAYFRSRIRQQHEQHLAGPLRISVRRAYVLEDSYNQLRMRPTPDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNSNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTEVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFTELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTAASPVIQWFWEVVKAFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEASEGFGFG >EOY12002 pep chromosome:Theobroma_cacao_20110822:7:577167:592660:-1 gene:TCM_030623 transcript:EOY12002 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin protein ligase upl2, putative isoform 2 MKLKRRRYLEVPPKIRSFINSVTSVPLENIEEPLKDFIWEFDKGDFHHWVELFNHFDTFFEKHIKSRKDLQVEDNFLGSDPPFPREAVLQILRVIRIVLENCTNKHFYSSYEQHLSSLLASTDADVVEACLQTLAAFLKKTIGKYSIRDASLNSKLFALAQGWGGKEEGLGLIACSIQNGCDTVAYDLGCTLHFEFYASNEFSASEHSTQGLQIIHLPNINTHPETDLELLNKLVGEYKVPTNLRFSLLSRLRFARAFGSFTSRQQYTRIRLYAFIVLVQASSDADDLVSFFNNEPEFVNELVTLLSYEDAVPEKIRILCLLSLVALCQDRSRQPTVLTAVTSGGHRGILSSLMQKAIDSVVSNTSKWSVVFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVSTAVNILEAFMDYSNPAAALFRDLGGLDDTISRLKLEVSYVESSPKQQVEDPDCSGRSSQVVAGASTELDNMQPLYSEALVSYHRRLLMKALLRAISLGTYAPGNTARVYGSEESLLPQCLCIIFRRAKDFGGGVFALAATVMSDLIHKDPTCFSVLEAAGLPSAFLDALMDGVLCSAEAITCIPQCLDALCLNTNGLQAVKDRNALRCFVKIFTSRTYLRCLTGDTPGSLSSGLDELMRHASSLRVPGVDMVIEILNVILRIGSGVDTSNFAAESSAPVPMETDAEERNLSQQDDRESSRIESSEQMAESSSDASLMNIELFLPDCISNVGRLLETILQNADTCRMFVEKKGIDACLQLFTLPLMPLSASVGQSISVAFKNFSLQHSASLARAVCSFLREHLKSTNELLVSIGGTQLAMVEPGNQTKVLRSLSSLEGILSLSNFLLKGTTSVVSELSTADADVLKDLGRAYREIIWQISLSNDSMADEKRNADQESESPDAAPSNAAAGRESDDDASIPAVRYMNPVSVRNGPQSLWGAERDFLSVVRSGESLHRRSRHGLSRLRGGRSGRHLEALNIDSEVSHNLPEMSSLQDLKTKSPGLLVIEILNKLAFTLRSFFTALVKGFTSPNRRRADAGSLSSASKTLGMALAKIFLEALSFSGYSSSSGLDTSLSVKCRYLGKVVDDMGALTFDSRRRTCYTAMVNNFYVHGTFKELLTTFEATSQLLWTLPYSIPTPGIEHEKAGEANKFSHGTWLLETLQCYCRVLEYFVNSTLLLFGNSGSQTQLLVQPVAAGLSIGLFPVPRDPETFVRMLQCQVLDVILPIWNHPMFPNCSPGFVASVVSIIMHVYSGVGDVRRNRSGISGSTNQRFMPPPPDEGTIATIVEMGFSRARAEEALRRVETNSVEMAMEWLISHAEDPVQEDDELARALALSLGNSSETSKVDSVDKPMDVITEEGRPAEPPIDDILSASVKLFQSSDNMAFSLTDLLVTLCNRNKGEDRPKVLSFLIQQLKLCPLDFSKDSSALCMISHIVALLLSEDGNTREIAAQNGIVPAAIDILMDFKAKNELGNEIMAPKCISALLLILDNMLQSRPRIFPDTAEGTQTVSQPDSSGEHASLSGPESMTEKKLASDANEKEPITPFEKILGESTGYLTIEESHKLLLVACDLIRQHVPAMVMQAVLQLCARLTKTHALALQFLENGGLAALFSLPRTCFFPGYDTVASSIIRHLLEDPQTLQTAMELEIRQTLSGNRHAGRVSPRTFLTSMAPVICRDPVVFMKAAAAVCQLESSGGRPFVVLLKEKERDKDKTKASGAELGLSSNESVRIPENKVNDGTGRCSKGHKRVPANLAQVIDQLLEIVLKYPSAKGQEDSATDLSSMEIDEPASKVKGKSKVDETKKMESETERSAGLAKVTFVLKLLSDILLMYVHAVGVILKRDSEMGQLRGSNQLDASGSPGILHHILHRLLPLSVDKSAGPDEWRDKLSEKASWFLVVLCGRSSEGRKRVINELVKALSSFSNLESNSMKSTLVPDKRVFAFADLAYSILSKNSSSSNLPGTGCSPDIAKSMIEGGVVQCLTNILEVIDLDHPDAPKTVNLMLKALESLTRAANANEQVFKSEGSNKKKPSSSNGRHADQVTVSAAEATENNQNGGGQQVVVDAEETEQQQHQGTSQSEGNHNANRNDSVEQDMRVEVEETGASNRPMELGMDFMREEMEEGGVLHNTDQIEMTFGVENRADDDMGDEDDDMADDGEDDEDDDEGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDDMIDEEDDDFHEHRVIEVRWREALDGLDHLQVLGQPGGASGLIDVAAEPFEGVNVDDLFGLRRPVGFERRRSNGRTSFERSVTEVNGFQHPLLLRPSQSGDLSSMWSSGGNTSRDLEALSSGSFDVTHFYMFDAPVLPYDHAPSSLFGDRLGSAAPPPLTDYSVGMDSLHLPGRRGLGDGRWTDDGQPQASAQAAAIAQAVEEQFVSHLRSTAPANNLAERQSQNSGIQEMQPSDAPASNDGKVVLEGDNASSQHSEDQQQENGNEISHELNPTVESGSYHEQLNPQSVIGDMAESMQANEQLLTQPLSLNNAPNEHENMEIGEGNGNAADQVEPNPEMVNLPEGDSGVPGNLSIQAVGADALSGADGQAGNNGLADSGLEMPNTGDSNGSSFHESIDVDMNATDAEGNQTEQSVPPEIGAEEPASLQNILHAQDANQADQTSVNNEATGANAIDPTFLEALPEDLRAEVLASQQAQSVQPPTYVPPSADDIDPEFLAALPPDIQAEVLAQQRAQRVAQQAEGQPVDMDNASIIATFPTDLREEVLLTSSEAVLSALPSSLLAEAQMLRDRAMSHYQARSLFGGSHRLNNRRNGLGLDRQTVMDRGVGVTLGRRPGSTISDSLKVKEIEGEPLLNANSLKALIRLLRLAQPLGKGLLQRLLLNLCAHSVTRATLVKLLLDMIKSETEGSSNGLSTINSHRLYGCQSNAVYGRSQLFDGLPPLVLRRVLEILTFLATNHSAVANMLFYFDPSILSEPLSPKYSETKKDKGKEKIMDGDASKTLGNSQEGNVPLILFLKLLNRPLFLHSTAHLEQVVGVLQAVVYTAASKLESRSLSDLAVDNSNSHNQLTNEASGDAHKDPSLSEPDSNQEDKRTNAESSASGGHRNVNLYNIFLQLPESDLRNLCSLLGREGLSDKVYMLAGEVLKKLASVAVTHRKFFTSELSELAHGLSSSAVNELITLRNTQMLGLSAGSMAGAAILRVLQVLSSLASANVDDDTPQDSDREQEEQATMWKLNVSLEPLWEELSECIGMTEVQLAQSSLCPTVSNVNVGEHVQGTSSSSPLPPGTQRLLPFIEAFFVLCEKLHANHSIMQQDHVNVTAREVKESAECSASLSSKCSGDSQKKLDGSVTFARFAEKHRRLLNAFVRQNPGLLEKSLSMLLKAPRLIDFDNKRAYFRSRIRQQHEQHLAGPLRISVRRAYVLEDSYNQLRMRPTPDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNSNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTEVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFTELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTAASPVIQWFWEVVKAFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEASEGFGFG >EOY12001 pep chromosome:Theobroma_cacao_20110822:7:577167:592662:-1 gene:TCM_030623 transcript:EOY12001 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin protein ligase upl2, putative isoform 2 MKLKRRRYLEVPPKIRSFINSVTSVPLENIEEPLKDFIWEFDKGDFHHWVELFNHFDTFFEKHIKSRKDLQVEDNFLGSDPPFPREAVLQILRVIRIVLENCTNKHFYSSYEHLSSLLASTDADVVEACLQTLAAFLKKTIGKYSIRDASLNSKLFALAQGWGGKEEGLGLIACSIQNGCDTVAYDLGCTLHFEFYASNEFSASEHSTQGLQIIHLPNINTHPETDLELLNKLVGEYKVPTNLRFSLLSRLRFARAFGSFTSRQQYTRIRLYAFIVLVQASSDADDLVSFFNNEPEFVNELVTLLSYEDAVPEKIRILCLLSLVALCQDRSRQPTVLTAVTSGGHRGILSSLMQKAIDSVVSNTSKWSVVFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVSTAVNILEAFMDYSNPAAALFRDLGGLDDTISRLKLEVSYVESSPKQQVEDPDCSGRSSQVVAGASTELDNMQPLYSEALVSYHRRLLMKALLRAISLGTYAPGNTARVYGSEESLLPQCLCIIFRRAKDFGGGVFALAATVMSDLIHKDPTCFSVLEAAGLPSAFLDALMDGVLCSAEAITCIPQCLDALCLNTNGLQAVKDRNALRCFVKIFTSRTYLRCLTGDTPGSLSSGLDELMRHASSLRVPGVDMVIEILNVILRIGSGVDTSNFAAESSAPVPMETDAEERNLSQQDDRESSRIESSEQMAESSSDASLMNIELFLPDCISNVGRLLETILQNADTCRMFVEKKGIDACLQLFTLPLMPLSASVGQSISVAFKNFSLQHSASLARAVCSFLREHLKSTNELLVSIGGTQLAMVEPGNQTKVLRSLSSLEGILSLSNFLLKGTTSVVSELSTADADVLKDLGRAYREIIWQISLSNDSMADEKRNADQESESPDAAPSNAAAGRESDDDASIPAVRYMNPVSVRNGPQSLWGAERDFLSVVRSGESLHRRSRHGLSRLRGGRSGRHLEALNIDSEVSHNLPEMSSLQDLKTKSPGLLVIEILNKLAFTLRSFFTALVKGFTSPNRRRADAGSLSSASKTLGMALAKIFLEALSFSGYSSSSGLDTSLSVKCRYLGKVVDDMGALTFDSRRRTCYTAMVNNFYVHGTFKELLTTFEATSQLLWTLPYSIPTPGIEHEKAGEANKFSHGTWLLETLQCYCRVLEYFVNSTLLLFGNSGSQTQLLVQPVAAGLSIGLFPVPRDPETFVRMLQCQVLDVILPIWNHPMFPNCSPGFVASVVSIIMHVYSGVGDVRRNRSGISGSTNQRFMPPPPDEGTIATIVEMGFSRARAEEALRRVETNSVEMAMEWLISHAEDPVQEDDELARALALSLGNSSETSKVDSVDKPMDVITEEGRPAEPPIDDILSASVKLFQSSDNMAFSLTDLLVTLCNRNKGEDRPKVLSFLIQQLKLCPLDFSKDSSALCMISHIVALLLSEDGNTREIAAQNGIVPAAIDILMDFKAKNELGNEIMAPKCISALLLILDNMLQSRPRIFPDTAEGTQTVSQPDSSGEHASLSGPESMTEKKLASDANEKEPITPFEKILGESTGYLTIEESHKLLLVACDLIRQHVPAMVMQAVLQLCARLTKTHALALQFLENGGLAALFSLPRTCFFPGYDTVASSIIRHLLEDPQTLQTAMELEIRQTLSGNRHAGRVSPRTFLTSMAPVICRDPVVFMKAAAAVCQLESSGGRPFVVLLKEKERDKDKTKASGAELGLSSNESVRIPENKVNDGTGRCSKGHKRVPANLAQVIDQLLEIVLKYPSAKGQEDSATDLSSMEIDEPASKVKGKSKVDETKKMESETERSAGLAKVTFVLKLLSDILLMYVHAVGVILKRDSEMGQLRGSNQLDASGSPGILHHILHRLLPLSVDKSAGPDEWRDKLSEKASWFLVVLCGRSSEGRKRVINELVKALSSFSNLESNSMKSTLVPDKRVFAFADLAYSILSKNSSSSNLPGTGCSPDIAKSMIEGGVVQCLTNILEVIDLDHPDAPKTVNLMLKALESLTRAANANEQVFKSEGSNKKKPSSSNGRHADQVTVSAAEATENNQNGGGQQVVVDAEETEQQQHQGTSQSEGNHNANRNDSVEQDMRVEVEETGASNRPMELGMDFMREEMEEGGVLHNTDQIEMTFGVENRADDDMGDEDDDMADDGEDDEDDDEGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDDMIDEEDDDFHEHRVIEVRWREALDGLDHLQVLGQPGGASGLIDVAAEPFEGVNVDDLFGLRRPVGFERRRSNGRTSFERSVTEVNGFQHPLLLRPSQSGDLSSMWSSGGNTSRDLEALSSGSFDVTHFYMFDAPVLPYDHAPSSLFGDRLGSAAPPPLTDYSVGMDSLHLPGRRGLGDGRWTDDGQPQASAQAAAIAQAVEEQFVSHLRSTAPANNLAERQSQNSGIQEMQPSDAPASNDGKVVLEGDNASSQHSEDQQQENGNEISHELNPTVESGSYHEQLNPQSVIGDMAESMQANEQLLTQPLSLNNAPNEHENMEIGEGNGNAADQVEPNPEMVNLPEGDSGVPGNLSIQAVGADALSGADGQAGNNGLADSGLEMPNTGDSNGSSFHESIDVDMNATDAEGNQTEQSVPPEIGAEEPASLQNILHAQDANQADQTSVNNEATGANAIDPTFLEALPEDLRAEVLASQQAQSVQPPTYVPPSADDIDPEFLAALPPDIQAEVLAQQRAQRVAQQAEGQPVDMDNASIIATFPTDLREEVLLTSSEAVLSALPSSLLAEAQMLRDRAMSHYQARSLFGGSHRLNNRRNGLGLDRQTVMDRGVGVTLGRRPGSTISDSLKVKEIEGEPLLNANSLKALIRLLRLAQPLGKGLLQRLLLNLCAHSVTRATLVKLLLDMIKSETEGSSNGLSTINSHRLYGCQSNAVYGRSQLFDGLPPLVLRRVLEILTFLATNHSAVANMLFYFDPSILSEPLSPKYSETKKDKGKEKIMDGDASKTLGNSQEGNVPLILFLKLLNRPLFLHSTAHLEQVVGVLQAVVYTAASKLESRSLSDLAVDNSNSHNQLTNEASGDAHKDPSLSEPDSNQEDKRTNAESSASGGHRNVNLYNIFLQLPESDLRNLCSLLGREGLSDKVYMLAGEVLKKLASVAVTHRKFFTSELSELAHGLSSSAVNELITLRNTQMLGLSAGSMAGAAILRVLQVLSSLASANVDDDTPQDSDREQEEQATMWKLNVSLEPLWEELSECIGMTEVQLAQSSLCPTVSNVNVGEHVQGTSSSSPLPPGTQRLLPFIEAFFVLCEKLHANHSIMQQDHVNVTAREVKESAECSASLSSKCSGDSQKKLDGSVTFARFAEKHRRLLNAFVRQNPGLLEKSLSMLLKAPRLIDFDNKRAYFRSRIRQQHEQHLAGPLRISVRRAYVLEDSYNQLRMRPTPDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNSNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTEVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFTELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTAASPVIQWFWEVVKAFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYTSKEQLQEPAPIIHLQIYIVHKPDGFGPMKT >EOY13843 pep chromosome:Theobroma_cacao_20110822:7:11353939:11356042:1 gene:TCM_032502 transcript:EOY13843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MDEETYFPDTDLDFSFTSTTTDRTVPSSSARSSLARSSLTLSFNESRLSCSTTTTTPSNITTLHHRKWDPRWSAIKAATNLSSDRNLHLRHLKLIRHLGTGNLGRVFLCQLRDCNSATFALKVIDRDTLSNKKLSHVQMEAEILSMLDHPFLPTLYAHIQASHYTCLLIDFCPNGDLHSLLRKQPGNRFPLAAVRFFAAEVLVALEYLHALGIVYRDLKPENILLREDGHIMLSDFDLCFKADVVPTFKFQSRKSRKSRQNCFTGGCFGSVADSGEEEEVDPEFVAEPVTAFSRSCVGTHEYLAPELVSGNGHGNGVDWWAFGVFVYELLYGTTPFKGGSKDSTLRNIASSRQVRFLHVTEMEEAGTAEANDLIGKLLVKDPRRRLGCTRGAQDIKRHPFFDGIKWPLIRHYKPPEVVGGVARKRGHVGHVKRRRWLWKGLDYLMTRNRNNKNSNGNYYDYVHNCNNNKPRKHA >EOY13849 pep chromosome:Theobroma_cacao_20110822:7:11549441:11577661:-1 gene:TCM_032518 transcript:EOY13849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Kinesin motor domain, putative MLGFSLSLPDLVVCTGSPDIPIEFSLENGIDGSDTKVKHKTPTAKFSTVCQTFHKEMPPDSSFVLLPLPGTADYVHKEHEHLPVISINARCINGAVELDGVIYSDGGCYVGGDVIRTDSVVGDGVGNSLYNTAQFGDFSYKFSSLESGFYNVDLLFAEIVFTTGPPGIRVFDVFIQQEKVGAHKPLVISNIKTFVDSGRGLLIRFEGLMRCPIVCGITVRKDSRAKKLQRDYERQSKEIAEMRRAFEGLKRENQLKSKECREACKSLQELQSELMRKSMHVGSLALATEGEVKEKSRWFSSLRDMTRNLKIMKMEHIKLSEEASMYNYCFKDINEIGSSILSRINQQADLHEDLKINFLRGAKERKELYNKVLELKDGELTVLSNGAPRKIFKFDAVFGPQADQADVFQDTAPFATSVLDGYNVCVFAYGQTGIGKTFTALSKARCQKRLEIRQVGEGMDHVPGLVEAHVKNMNEVWEVLQTGSNARAVGSTNANEHRSRSHCIHCVMVKGENLLNGECTKSKLWLVDLAGSERVAKPEVHGERLKETQNINRSLSALGNVISARATKSPHIPSRL >EOY14039 pep chromosome:Theobroma_cacao_20110822:7:19041535:19052417:-1 gene:TCM_033176 transcript:EOY14039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLAFPISRRNCKLADATRRHFKSSQRAQQLKKTRLKNLNTRMKRLRVEMEEISEEQKKIKDGQRQVREKFEAIELECEQLRKETKLITQQSLSTQIRLALMFQILKARENHDFSKASQLTCVLRELIERENQQKEAFTAN >EOY13150 pep chromosome:Theobroma_cacao_20110822:7:6217643:6219825:-1 gene:TCM_031675 transcript:EOY13150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIALHLEATNLESNTWRVFASCFLKLYQHEEDRLSVCLNRNEGEQIPKLSVNYNKMPKFFTEGKSRKVWRLCCKCWLKRHFAMKMLASEMASGFSELLTYKVACASHLYGQEFNYVGKVYCHFEEQNDRDILKFLKRHIENSIRLNVNIQEKLNQI >EOY13151 pep chromosome:Theobroma_cacao_20110822:7:6217861:6219768:-1 gene:TCM_031675 transcript:EOY13151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLPLIGKACQHATKCLEYLVEMIALHLEATNLESNTWRVFASCFLKLYQHEEDRLSVCLNRNEGEQIPKLSVNYNKMPKFFTEGKSRKVWRLCCKCWLKRHFAMKMLASEMASGFSELLTYKVACASHLYGQEFNYVGKVYCHFEEQNDRDILKFLKRHIENSIRLNVNI >EOY13152 pep chromosome:Theobroma_cacao_20110822:7:6217861:6219885:-1 gene:TCM_031675 transcript:EOY13152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLPLIGKACQHATKCLEYLVEMIALHLEATNLESNTWRVFASCFLKLYQHEEDRLSVCLNRNEGEQIPKLSVNYNKMPKFFTEGKSRKVWRLCCKCWLKRHFAMKMLASEMASGFSELLTYKVACASHLYGQEFNYVGKVYCHFEEQNDRDILKFLKRHIENSIRLNVNI >EOY13735 pep chromosome:Theobroma_cacao_20110822:7:10470358:10479188:1 gene:TCM_032378 transcript:EOY13735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRKKIEGEVKGGSERWRALSWKGAFCIFHENIYNRKLASETEIKKRASKKLKSLRKSVSAAYSVERNKTQTPKYLLRSISKENTKPPLAVNNQSSVIGTGRKPRARRIKRILSNGKYKSAEHRVRTTSTKSRVSIPMFTIPKPTEKIAPLPQAVEKDGIAHFREFVLADYMNNFFANPHEGKNCSYHATSNDSLLLELCQHNGNQVIVTVDNYPVTNEGVVKINIDARTRGIKLNDVYHVPVLDNVSKIAVDVLFVCDKKGSLFVMSVEEAYAKKTSQTDSAAIWHARLGHLVPEENVQASDNDEYPVPNFSNSDGESKEKATLMEPHLKLMKDKKQLLKDARKFLQLVGSTEAEYVATTMATEECMWLKSLMGDIMCKIDYAVQIKCDNESASKLASNPIFHGRTKHIEVRHHYILEKGLVKFTGTEWGNTVKRSYHDVVVENNNQLDKGTRKMMEQPSADGNKHVMVDCSVVGRLHSKVACANIQDRLSQEGIHVQVRTLEGQSVVVTFDDREEMITLLENYWEPFEGLFKTLIPIEIDGKEMDIRL >EOY12688 pep chromosome:Theobroma_cacao_20110822:7:3360411:3503693:-1 gene:TCM_031191 transcript:EOY12688 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MNLFLDHVGRRVVQDQNLKDIVDKIVEKCGGLPLSIVTIAGTMKEVDDFCEWKNALIELEERVESVKELDIEVYEPLKFSYDHLRDPKIQNCFLYCSLYPEDFIITKVELIENWIDEGLLDGLQTKEAMHPRGYSILNKLENNCLLERAEDFIDGVKMHDVLRDMALYIKGQQFMVKAGMQLKEFPSEQEWTVNVEKVSLMQTFKLEIPPHISPRWPHLSTLILKHCGLERISESFFKHMPGLKVLNLSDNSSLEYLPNSISNLKTLNALILSDCEQLKYVPSLVELTALRKLNLWRTRIEEVPHGIEMLENLRDLRMMSWDLEELPVGILRRICHLQCLTIGMTFVKGEEAGQLRKLEWVLCSFRNVQEFKKYAECTQGKYPTSFFFRVGGTPMLGNFGHPPNFKKIEKEVIFTDSEIERCDDRVVPHGLQTLTIENCDDFKCLNNIPLFRKATDLKECRISVCEGMECVVDLSLSSCDAPDNIEELGLFLLPNLREVVRVGVAVEIESTSHAPTPPAIFSSLKKLQISGCSKIKKLFPVEQLQGLPNVENIDVSYCDKMEEIIASEENHKGEGTTFILPKLKSLLLINLPNLKSICSGGVMIPANSLHHLYIVGCPEVKRIPLSLPLVENGKPSPSPSLPKMTVSPREWWESVEWDQPDAKEVLSPFVWLLGDYGRKAALLNLEINS >EOY14236 pep chromosome:Theobroma_cacao_20110822:7:22391698:22393532:1 gene:TCM_033526 transcript:EOY14236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFLLTNLSSSLLLQTITCSNDREKPIHQPLLSRTPKLSSETLTVQSPTLQGSQVKVHSPSLHQDKHQQPHQRDEFYVNLGLAVRTLREDLPLLFTRDLDYDIYRDDVTFVDPLNTFSGIENYKLIFWALRFHGKILFREISLEVFRIWQPSENVILIRWNLRGVPRVPWEAKGQFQGTSRYKLDRNGKIYEHKVDNLAFNFPQPLKPAASVLDLVAAGPASPNPTFLWGPVDMYQSSWVEFYRAVRQTLDREGYLLAQDGQNAGEYHVILELPEEDKPGHIWFSS >EOY13204 pep chromosome:Theobroma_cacao_20110822:7:6506938:6510076:1 gene:TCM_031726 transcript:EOY13204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTDQIKCLQEEIGRLSPENRHLKEETGRLTAENRHLKRRNSSCYVQNECLQEEIGRLSTENRRLKEETGRLTTQNRHLEEHIRQLQSKGKLPAEAVLLRQDSHISQTTSEYKRENAQIIPRINFTTQHYMTIEAKNSEMRAQLMELSQRLQSLNEIIRFSSDAFDGEDTSLAFMEPAESDSFLNPWNLSILNQPIVDSADIMFQYLQY >EOY14144 pep chromosome:Theobroma_cacao_20110822:7:21610725:21615016:-1 gene:TCM_033433 transcript:EOY14144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase-reductase B MESPFKADIVKGKVALITGGGSGIGFEISTQFGKHGASVAIMGRRKQVLDSAVCALQSLGIIAVGFEGDVRKQEDARRVVESTFKHFGHIDILVNAAAGNFLVSAEDLSPNGFRTVMDIDSVGTFTMCHEALKYLKKGGHGRNSSGGGVILNISATLHYTAAWYQIHVSAAKAAVDSITRNLALEWGTDYDIRVNGIAPGPIGDTPGISKLAPEEINSKARDYMPLYKLGDKWDIAMAALYLFSDAGKYVNGTTLIVDGGHWLSRARHIPKEAVKQLSRAVEKRSRDKPVGVPTSKL >EOY13096 pep chromosome:Theobroma_cacao_20110822:7:5889408:5890622:-1 gene:TCM_031618 transcript:EOY13096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDALIQASQVGDIDALYKLIWEDDDILRRIDEKIGRGALTPLHYAIKHENIYLMVNFLAACPESIGDVTVRGETVLHIAVKTNMLEALEVLVGWLQRICHKDALDWVAFIPNWKDEQGNTALDIAVSNMQIQACHSVVS >EOY13459 pep chromosome:Theobroma_cacao_20110822:7:8549682:8559113:-1 gene:TCM_032036 transcript:EOY13459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase isoform 1 MGFVFSVFKMVCVLLLGFLALNCFTEFGSNAQLLPETEVQTLQTVFSKLQHPNATGISRTFCTEPGWNYTITELTESLIACNCSDGNNTVCHVTQILIKGHNMTGVIPPELGNLTHLEVIDLTRNYLNGSIPSSLSRLPLANLSLLGNRLSGSIPQEIGDISTLEGLVLEDNLLGGSLPSNLGNLGRLNRLLLSANNFTGTIPESFGNLKNLTDFRIDGSSLSGKIPDFIGNWTKLTRLDMQGTSMEGPIPSTISELKNLTDLRISDLKGSSSAFPNLEGMTNMDELVLRNCLLSGSIPAYIGEMISLKTLDLSFNRLTGQVPSTLQSLTRLNFLFLTNNSLSGAVPNWILQSDNNIDLSYNNFTTDSSQMSCQQANVNLVSSFSSSDSNSISWCLRKDLPCPRNPNHHSLFINCGGETMSVDGDDYEEDLSRFGPSNYFSSANKWAYSSTGVFLGKEDAPYIARTSSAVTGPDYYKTARLSPQSLKYYGLCLRQGNYKVQLHFAEIMYSANETFESLGRRIFDVSIQGNVVLENFNIMQEAGGVRRGISREFNVDVNGSTLEIHLYWRGKGTTAIPDRGVYGPLISAITVTPNFKVDTGNGLSAGAIAGIVIGSCVIVILLLIILRLKGYLGGKNAENNELHGLDLQTGYFSLRQMKAATNNFDAANKIGEGGFGPVYKGVLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCIEGNQLLLIYEYLENNCLARALFGRDEQRLNLDWSTRRKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVLLEIVSGKSNTNYRPKEEFVYLLDWVSYIYQLLLFYCVIFGFDFMLFLFSDHVGIYDCRPMSCKSKETF >EOY13458 pep chromosome:Theobroma_cacao_20110822:7:8548243:8559651:-1 gene:TCM_032036 transcript:EOY13458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase isoform 1 MGFVFSVFKMVCVLLLGFLALNCFTEFGSNAQLLPETEVQTLQTVFSKLQHPNATGISRTFCTEPGWNYTITELTESLIACNCSDGNNTVCHVTQILIKGHNMTGVIPPELGNLTHLEVIDLTRNYLNGSIPSSLSRLPLANLSLLGNRLSGSIPQEIGDISTLEGLVLEDNLLGGSLPSNLGNLGRLNRLLLSANNFTGTIPESFGNLKNLTDFRIDGSSLSGKIPDFIGNWTKLTRLDMQGTSMEGPIPSTISELKNLTDLRISDLKGSSSAFPNLEGMTNMDELVLRNCLLSGSIPAYIGEMISLKTLDLSFNRLTGQVPSTLQSLTRLNFLFLTNNSLSGAVPNWILQSDNNIDLSYNNFTTDSSQMSCQQANVNLVSSFSSSDSNSISWCLRKDLPCPRNPNHHSLFINCGGETMSVDGDDYEEDLSRFGPSNYFSSANKWAYSSTGVFLGKEDAPYIARTSSAVTGPDYYKTARLSPQSLKYYGLCLRQGNYKVQLHFAEIMYSANETFESLGRRIFDVSIQGNVVLENFNIMQEAGGVRRGISREFNVDVNGSTLEIHLYWRGKGTTAIPDRGVYGPLISAITVTPNFKVDTGNGLSAGAIAGIVIGSCVIVILLLIILRLKGYLGGKNAENNELHGLDLQTGYFSLRQMKAATNNFDAANKIGEGGFGPVYKGVLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCIEGNQLLLIYEYLENNCLARALFGRDEQRLNLDWSTRRKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVLLEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDPSLGSHYSKEEALRMLNLALLCTNPSPTLRPSMSSVVSMMEGKIAVQAPIIKRKDADQDARFKAFEMLSHDSQTNVSIFSHDSQAPRSTSMDGPWIDSSISLPDETREHSSSNSL >EOY13171 pep chromosome:Theobroma_cacao_20110822:7:6347870:6363933:1 gene:TCM_031701 transcript:EOY13171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger family protein / calcium-binding EF hand family protein, putative MRNIAKAICFLVALSLVIIAVEARSLPQSSSQLVSDGIDGDDDPVQASHSSGLFLKEMESSEEYCEQMYGFLPCSNSIYGHLFLILVYEYLLFHGESYLASGGEQMFKIPGPGFFGASAFQILSALPEALILLASGLMNTKEVAQEYAYTGVGLLAGSSILLLTIIWGTCLIVGSHDLSNGAEYSILSTNSNSRILSCNRLLHSLSGFGIITDQETSYTARIMVFSVIPFFIIQLLNMIPTSSAKQVVILIALTISVIFLLLYFFYQIFQPWIQKRRLEFVKHEHLILKILQHVQKHAIGRILTRDGSPNVYVIRRLFEEIDRDGDDYISSSELKELLLEVKFTRSHINKEKAIEEVMKEFDLDGDQKITKDEFVNGFTKWLDEAKKAMDKRYYSQKSLRDIYRVFQPWIENKRKEREMKKNLMSAMLTYSKENRIGSLLTEDGKPNTAAIRRLFEKIDSDGDNCISQHELKELISDVKFGQVPLDANEVVTKVIEELDTSGDRRIDQQEFVIGISKWLKTSEKEASPSSFESQDDIYLKTWEETDKLVDEESSNGVVDASKWAWIKAIMYMVIGIIILAVLAEPLIYSVQSFSEAAGIPSFFTSFILVPFATNARAATSAISAARRKKPRTTSLTFSEIYGGVFMNNTLGFSVLIALVYARGLTWEFSGEVLAVLLRVWLGGSDMELILLYLFLYTSFILPLLENKSYYIQLQ >EOY13232 pep chromosome:Theobroma_cacao_20110822:7:6635692:6636332:1 gene:TCM_031747 transcript:EOY13232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRYLKLPSPNIVTENHHLFLCCNCVSWSTVGSILFNSKDNFITRLEAFSTSVLAPIFLKNRFSIIFTFSSNIVSKILPLVTGYIMD >EOY12233 pep chromosome:Theobroma_cacao_20110822:7:1425984:1427587:-1 gene:TCM_030796 transcript:EOY12233 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB/MDM2 domain superfamily protein MLPQRLKKAITDNPKKLANLIDLVNLPSTVREFVGQSQISRLGCFMRVWSYIKQNNLQDPNNKNIVNCDEKLRSILLGKPRVELAELPALIKLHFPKEPK >EOY13040 pep chromosome:Theobroma_cacao_20110822:7:5501650:5505126:1 gene:TCM_031549 transcript:EOY13040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-LTR retroelement reverse transcriptase-like MYLYFVIQIAIRGLVVDAMSANTIPVLVDREISPGLTNRMKRWQPLATNFVALNVDGASRSSLNRAAARGVLRHAHGNWITGFCLRPKPCSAYRAELWRVLKGLTVAWDSGHRRIDLQIDNSIVVKVINLASTVTSHNYDLIQAIRRLLLKQWVIEIRRVFHEGNIVADRVANMGMTQEATFMLFDVPPPSEINSFLLHDVVELNLLS >EOY13900 pep chromosome:Theobroma_cacao_20110822:7:13046095:13050675:-1 gene:TCM_032644 transcript:EOY13900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEQMEDNRNKANIISSRTYFLSNPSKEEGGDVVIQSSQIHLSSPVEDQIQVKPWSQPLLEEWKKELAIELYSMSLQLLASAIPPAIQHLKEIEVYKTRCLQKILERKGEQSKDRCVKAMNLERARRWYSPSLSNDIEDKFGRMILLDWCFIVELLSGKILELEEARIQFMNSFEESDVKSLFDISFTEATMKIPTFVVEDYTKCLFRNLIAYELYEEGSTYVIDYVTLMDNFINSVKDVQSLHFQGILENIG >EOY12486 pep chromosome:Theobroma_cacao_20110822:7:2325802:2329540:1 gene:TCM_030977 transcript:EOY12486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta-subunit 2 isoform 3 MASTATSTATCRLSNPLSSSSSFSSGLPVKFNKFIALPTPVKAFSISCTLTTDPVPAAPVSMDPDPAGWQRPDSFGRFGIFGGKYVPETLMFALSELETAFHLLSRDQKFQEELAGILKDYVGRESPLYFAERLSEHYKGPNGEGPDIYLKREDLNHTGAHKINNAVAQALLAKHLGKKRIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMRLLGAEVRAVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHAVIGKETRKQALEKWGGKPDVLVACVGGGSNAMGLFHEFVDDKDVRLIGVEAAGFGLNSGKHAATLSKGEVGVLHGAMSYLLQDEDGQIIEPHSISAGLDYPGVGPEHSFLKDAGRAEYYSVTDEEALEAFKRLSQLEGIIPALETSHALAYLEKLCPTLPDGTKVVINCSGRGDKDVHTAIKHLQMHSGK >EOY12487 pep chromosome:Theobroma_cacao_20110822:7:2325907:2328869:1 gene:TCM_030977 transcript:EOY12487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta-subunit 2 isoform 3 MASTATSTATCRLSNPLSSSSSFSSGLPVKFNKFIALPTPVKAFSISCTLTTDPVPAAPVSMDPDPAGWQRPDSFGRFGIFGGKYVPETLMFALSELETAFHLLSRDQKFQEELAGILKDYVGRESPLYFAERLSEHYKGPNGEGPDIYLKREDLNHTGAHKINNAVAQALLAKHLGKKRIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMRLLGAEVRAVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHAVIGKETRKQALEKWGGKPDVLVACVGGGSNAMGLFHEFVDDKDVRLIGVEAAGFGLNSGKHAATLSKGEVGVLHGAMSYLLQDEDGQIIEPHSISAGLDYPGVGPEHSFLKDAGRAEYYSVTDEEALEAFKRLSQLEGIIPALETSHALAYLEKLCPTLPDGTKVVINCSGRGDKDVHTAIKHLQV >EOY12485 pep chromosome:Theobroma_cacao_20110822:7:2325802:2329900:1 gene:TCM_030977 transcript:EOY12485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta-subunit 2 isoform 3 MASTATSTATCRLSNPLSSSSSFSSGLPVKFNKFIALPTPVKAFSISCTLTTDPVPAAPVSMDPDPAGWQRPDSFGRFGIFGGKYVPETLMFALSELETAFHLLSRDQKFQEELAGILKDYVGRESPLYFAERLSEHYKGPNGEGPDIYLKREDLNHTGAHKINNAVAQALLAKHLGKKRIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMRLLGAEVRAVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHAVIGKETRKQALEKWGGKPDVLVACVGGGSNAMGLFHEFVDDKDVRLIGVEAAGFGLNSGKHAATLSKGEVGVLHGAMSYLLQDEDGQIIEPHSISAGLDYPGVGPEHSFLKDAGRAEYYSVTDEEALEAFKRLSQLEGIIPALETSHALAYLEKLCPTLPDGTKVVINCSGRGDKDVHTAIKHLQKERKMNDEKSITK >EOY13909 pep chromosome:Theobroma_cacao_20110822:7:13248068:13250410:-1 gene:TCM_032664 transcript:EOY13909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 1 MANELRTPLTQTTTGSSFIKACFNGTNAFLGIGLLTVPYALASGGWLSLFFFFLIGIMTFYTGILLKKCMDANPSIKSYLDIAEHAFGKKGRILVMIIMNSELYLVAIGLLILEGDNLHKLFPKFMVKLGTILVDGRQAFVVLTALVILPSMLLTDLSILSYVSATGVFSCLLIFGSTLCVGAIGGVGFNARGKLLNMNGIPTAVSLYIVCFAGHPVIPSIYTSMRDTHQFSKVLLFSFVLTTITYASTAMVGYLMYGDGVESQITLNLPTREVAAKVAIYTTLLIPVTRYALMVTPVATAIEGGLSENLKNWRAVKLFIRIALLVSTTIVAFVFPYFENLMAIVGSIFVVLASFVLPCLCYLKASGSYQSWSCKLICIVGIIVFGTIAGVLGTYSSISELVHDM >EOY13910 pep chromosome:Theobroma_cacao_20110822:7:13248227:13250354:-1 gene:TCM_032664 transcript:EOY13910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 1 MLQWNECFPWNRSFNSSLCTCKWGYLDIAEHAFGKKGRILVMIIMNSELYLVAIGLLILEGDNLHKLFPKFMVKLGTILVDGRQAFVVLTALVILPSMLLTDLSILSYVSATGVFSCLLIFGSTLCVGAIGGVGFNARGKLLNMNGIPTAVSLYIVCFAGHPVIPSIYTSMRDTHQFSKVLLFSFVLTTITYASTAMVGYLMYGDGVESQITLNLPTREVAAKVAIYTTLLIPVTRYALMVTPVATAIEGGLSENLKNWRAVKLFIRIALLVSTTIVAFVFPYFENLMAIVGSIFVVLASFVLPCLCYLKASGSYQSWSCKLICIVGIIVFGTIAGVL >EOY13876 pep chromosome:Theobroma_cacao_20110822:7:12166847:12190476:1 gene:TCM_032571 transcript:EOY13876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIYTYKLTHLDIGFIISLSLEETPCIIYLWWPITCSSIQLNICIFFFCLALLCFTSSCFLKRWEICKNGQLWVQVTMETRLSGNYTTPQKPQLALIQTNPIIRLRIDCR >EOY13213 pep chromosome:Theobroma_cacao_20110822:7:6541068:6544955:1 gene:TCM_031734 transcript:EOY13213 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown-complex ABC transporter family MRVEERSALVQVYIDVGISLSLRQCCLRIPSFPSQLSPSFLSMELPVKSPDAGGRKAPYRLETKNLSYKLSSKFEEFRWVFGGSINPDRGPKFILKGVNCEARPGEISAIAGPSGAGKTTLLEILAGRIPPCKVSSGEVLVNDRPVDNKLFRRVSGYVTQDDALFPLLTVEETLMYSALLRLPGGRKEVSSRVKGLLKELGLEHVAGSRIGEGSNSGISGGERRRVSIGVDLVHDPAVILIDEPTSGLDSASALHVVTLLKSMAVNQGKTIVLTIHQPGFRILQLVDRIVLLSNGVVVHNGTLNLLEERLMFADHRIPRRVNVLEFAIDVIESLAVPNSESLSNINGDDCRMMSPCMNLERKLLFYPNSRLEEVLILGQRFCSNIFRTKQLFATRVIQALVAGFVLGTIYFNVGNDKGRIALQTQTGFFAFTLTFLLSSTTEGLPIFLQERRILMRETSRGAYRVSSYVLANTIVFLPFLLMVGILFTSPVYWLVGLRRDTIYAFLYFSLVVWMVLLMSNSFVACFSALVPNFIMGNSVIAGLMGSFFLFSGYFIAKDKIPSYWIFMHYLSLFKYPFECFLINEFGGKQGQRRCIEFEYGECSLLGSGFLRQQDLKDSQKWSNLAVMLGFIIGYRVLCLFILWYRCYRAIK >EOY13311 pep chromosome:Theobroma_cacao_20110822:7:7488041:7493078:-1 gene:TCM_031860 transcript:EOY13311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MGSRRVGTIECVEPFLQLSDHLGAISSRKEYSNCLGNFSYALTIMNLEMNNFYGKVPNSFTNNMLRNLLLNDNQLEGLLPRSLANCSSLEVLNLRNNKLTDTFPYWLSSLPRLRVLILRSNRLHGPMPNSIASSNFSALQIIDLSHNELLGPLPTKFFQNLRAMKDAPKDGSSESFLYKNPNAQVMYAYDYHQTPVNITTKRLEIELVKTLTIFTLIDFSNNLFNGQIPEELGELIWLQALNLSNNNLTGPIPPSFGNMVALESLDLSSNKLGGRIPSQLTNLTFLEVLNLSQNDLVGPIPHGKQFNTFENDSYSGNLGLCGLPLSKQCSNPEPKPPVPMVKEDEGSEIAFIWKVVMMGYGCGVVLGLSTGYIGGFRTESNAPCLSNIWLLLYIFSSFYLKFYMENTSSAEGVGEQNGAVLVEWQKLESSSLNGVVLSSSTGTKRCVLNSALWTSGSKLGVADLGFRGPSGLANPSPERYNPSFLVWACFN >EOY12535 pep chromosome:Theobroma_cacao_20110822:7:2649633:2661796:1 gene:TCM_031052 transcript:EOY12535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSDKNFYICSIFNFQVGRSTIRSLVIDIFIKIHGGINNILSKLVLSARQDLGLIFGLIKELEKLRGTLSTINAVLLDAEEKQESSHTLKNWMSQPEDVVYYAILRQKVLARRQVPKFFSSSSPLAFGLKMGPRIKEFTERLDSVAADISKFNLSPRVVKDMKAKHTDTTTASKVRPEMIGREKDKKHIIESLFQEQNDRHGDNIFNIVAIVGFGGLGKTILAQLVYNDAEVENFFNPRSKILMTARSKKVATIMGVNHPYLLECLNEDQSCALFEKVAFAGQCQTNPKLREIGQDVARRYKGVPLAIK >EOY14101 pep chromosome:Theobroma_cacao_20110822:7:20752088:20759532:-1 gene:TCM_033347 transcript:EOY14101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAPSVCCSSLSSPKIFPHFLSSHSFPLSRPHPIAFLFLQTPLKTFHYHPPRALREWQEYEEAVKRKDLASALSFLISIDKNNSDNPVEGNGSLSTDSTRSRLGEWDFVGGSMRDWEVLDTCLNADDMRLVGIAYEFLKDKGLLPSFGRFSSLVLEGTRNVTPTVLKSSTGLEASKFSPKKWGLSGSSSVVLAAFLGGLSYLLQQGIDVRPQLAIILGLAFTDSIFLGGTCLAQISSYWPPYRQRILVHEAGHLLVAYLMGCPLRGVILDPIVAMQMGIQGQAGTQFWDDKMNNEMAEGQLSASTFDRYCMVLFAGIAAEALVYGEAEGGENDENLFRSICVLLQPPLSVAQMSNQARWSVLQSYNLLKWHRHAHRAAVKAMESGGSLSVVIRKIEGVMSSSR >EOY14102 pep chromosome:Theobroma_cacao_20110822:7:20752404:20759574:-1 gene:TCM_033347 transcript:EOY14102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAPSVCCSSLSSPKIFPHFLSSHSFPLSRPHPIAFLFLQTPLKTFHYHPPRALREWQEYEEAVKRKDLASALSFLISIDKNNSDNPVEGNGSLSTDSTRSRLGEWDFVGGSMRDWEVLDTCLNADDMRLVGIAYEFLKDKGLLPSFGRFSSLVLEGTRNVTPTVLKSSTGLEASKFSPKKWGLSGSSSVVLAAFLGGLSYLLQQGIDVRPQLAIILGLAFTDSIFLGGTCLAQISSYWPPYRQRILVHEAGHLLVAYLMGCPLRGVILDPIVAMQMGIQGQAGTQFWDDKMNNEMAEGQLSASTFDRYCMVLFAGIAAEALVYGEAEGGENDENLFRSICVLLQPPLSVAQMSNQARWSVLQSYNLLKWHRHAHRAAVKAMESGGSLSVVIRKIEGVMSSSR >EOY13371 pep chromosome:Theobroma_cacao_20110822:7:7865022:7889431:-1 gene:TCM_031931 transcript:EOY13371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGEIPSAICNLMSISILDLANNNLSGAIPECLCSEETMLGLYILDLHMNKFHGNIPDSFVVGNELQTLNLQNNDFDVPFPKSLVNCHDLEVLNLGNNRINDTFPHWLGTLPQLKVIIFALQLFPCFKGMMNLSNVEMKYMENPNGYYHFSLFVTMKGLDIEVERVLTVFTAVDFSSNKFQGKIPEIVGSLTSLQILNFSHNNLTGHIPSSLGNLAALESLHLSSNKLVGEIPTQLTGLKFLGVLNLSQNQLVGHIPQGNQFNTFLNDSYGGNLGLCGFPVSKTCGKEDTQEPPESVFHEEGIFSSPLDWKFAMMGYGCGLLLGLSAGYIILAIGKPEWLATMVQKQRPSWFHNEGQALLLFKQTLFIDHTASLLCNSNYGSPTYSFPKTDSWKEDSDFCLWDEVTCDGVTGHVIGLDLSCSWLYGTIPSNSTLFLLQHLKRLNLAFNNFGQSKISARFGQFPNLTHLNLSTSFFSGQVPSEISHLSKLVSLDLSRFSLPGVGEQEPFHALKLETTTLKRLAQNFSEVKELFLAGIDMCSADPVHLVNLSSSLTSLSLDDCGLNGTISDIIFQFPNLKLLKLGNNPDLLVYLPKSNGTNTLEVLDLKQTILVGELSDSIRGLKSLKSLNVANCDLNGSIPGHIPSSIFQLVNLTVLDLSLNNFSGIVESDMFSQLQNLESLDLSLNSLSLSSENHVDYTWTKLQSLSLSSCNLSEFPNFLRGSKALKFLDLSKNRIQGKIPKWTWNVGKESLLHLYLDHNFLTGHLQFPWRNAVILYLQSNLFQGVLPIPPVQISFFSIANNYMTGEIPSFICRGVIPEVILKLNSLIVFNLSHDNFFGHIPPSIGNLTNLEWLDFSLNKLIGKIPRELVDLTFLAILNLSKNQLVGPIPQAKQFNTYENSSYEGNVGLCGFPLLKACNEIEMQKPTIAMKESGIGYGWKVVLMGFSSNSSLEVFLTSKNNLIGEIPSSICNLMSISILDLSNNKLSGAIPDCLISRGKIPNLTVLDLHTNKFHGNIPDSLVVGNKLQILNLNNNDFDGPLPKSLENCHDLQVLNLGNNKINDTFPHWLGTLPRLEVLVLRSNYFHGQIRPSENESHFPALGILDLSHNEFSGFLPTTYFKNFRGNNLQILNLNNNDFDGPFPKSLENCRDLQVLNLGNNKINDTFPHWLGTLPQLQVLVLRSNYFHGQIRPSENESHFSALRILDLSNNEFSGFLPTTYFKSFEGMMNLSNVQTKSMEDFNLYYNFSVRVRVKNLDVELKRILTLFTTIDMSSNKFLGKIPEIVGDLISLQVLNFSHNSLTGDIPSSLGNLTALESLDLSTNKLVGQIPMQLIGLIFLEVLNLSQNQLVGLIPQGNQFNTFLNDSYGGNLGLCGFPVSKRCGKDEEQEPPESVFHEEGIFPCPLNWKFVMMGYGCGLVLGLSAGYIMLTIRKPEWLVRMGLDSLAVFSLFVLTVLIPEGTVTCELVTCLCTLMNEITRLSKERTCKRVTEVIRFQFKVEFFQQVIQRKNLIQHNLKNSLDDGMSSYGLVLVVRGHLFRALTTLLFIYNNLIGPIDPFEKVASLEIVSLQNNEINGPIPSSIFKLVNITHLDLSSNKSDGIFKFDKLSKLTYLWALSVSNNALLSLTSGSNANYSLPKLELSSCNVREFPNFVRNLEGLIYLNLSYNKIRVIEATMFLKLQSLDSLDLSHNIPLSQSNNSNVSFVLPNLSFYRCLLAMSNLNVLDLHTNKFHGNIPNSFVANNKLPMLNLNNNDFGGPFPKSLVNCHDLEVLNLGNNKMNDTFPYWLGTLPQLQVLVLRSNYFHGQINPSENESRFSALRILDLSNNEFFGLLPTTYLKSFKGLMTLYNVQRTSMEDLLRIQ >EOY12276 pep chromosome:Theobroma_cacao_20110822:7:1543971:1544715:1 gene:TCM_030830 transcript:EOY12276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific Stig1 family protein, putative MKFIKLLFASILVMVLVLSIAATASLDQQDDTDNKSAAEEDDNDAETKQRFMLDETEGRSLLQKKRTRRLNCNKFPRICHARGSPGPQCCKKKCVNILMDRLNCGKCGKKCKYNEICCKGKCVNPSFNRKHCGGCNNRCSNGELCVFGLCNYA >EOY13118 pep chromosome:Theobroma_cacao_20110822:7:6036490:6040038:1 gene:TCM_031645 transcript:EOY13118 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MVFVVLERVLDFTCSSPDWFVKQLEEAVAELVEAHENCLHHSSAIQSVGEAYEPGTELTDFKKLLDSEFEKVKASSSSSPQNHPLIHQFRQAVWNVHHTGQPMPGEEQEDVVMTSTESNIKNLKCPLTGKPITELTEPVRSLDCKHIYEKNAILDFIKSKRGNAKCPVSACPKMLQAKRVVCDPLLLFEIEEQRSLSRQTARTDVIEDFTEMEPHEEESTEPVMHLQIDIKMSNPRGRS >EOY13117 pep chromosome:Theobroma_cacao_20110822:7:6036181:6039992:1 gene:TCM_031645 transcript:EOY13117 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MASTSASLSDGVSGRIRRASPNLFSENQALVTDIRKALNLMKEIAVDLERDNQSEMVKQLEEAVAELVEAHENCLHHSSAIQSVGEAYEPGTELTDFKKLLDSEFEKVKASSSSSPQNHPLIHQFRQAVWNVHHTGQPMPGEEQEDVVMTSTESNIKNLKCPLTGKPITELTEPVRSLDCKHIYEKNAILDFIKSKRGNAKCPVSACPKMLQAKRVVCDPLLLFEIEEQRSLSRQTARTDVIEDFTEMEPHEEESTEPVMHLQIDIKMSNPRGRS >EOY13149 pep chromosome:Theobroma_cacao_20110822:7:6213620:6217641:1 gene:TCM_031674 transcript:EOY13149 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein MDILSFISIKIILLSFFSRFSVGIDYFTSSESLSDGRTLVSRDGTFELGFFSPGSSMNRYLGIWYKKIPVRTVVWVANRRNPINDSYGMLLLNRKGNLMLLSRSNGVVWSTKSTKIARKPTVQLLDSGNLVLRDESDDSNSERALSWQSFDYPTDTLLPGMKYGSDLRTGLDRRLTAWKSYDDPSPGDFTSGVELNNYPDFVAWKGTKKLIRTGPWNGVGYSGTPLLKPSPGFHFEFVWNNDEVYFRFCLGNQSAIMRYVLNQTIYQAQGYFWIEGSRSWMLSTYPPTDFCDNFGLCGAYGICDSAEALPCQCLKGFKHKASRYWDSINWSQGCVRNKPLDCQKGDAFIKFGRLKLPDTEHSWVDKSIGLKECRAKCLQNCSCMAYTNTDIRGKGSGCAIWFGDLIDIKQFQDGGQELYIRMSTSEAEPKGEVKMKLAVIPPIVIFLVVGVFLVCYYFHTSRTRMQGENENHGVNNRSNAGQKEDRELELFDLAVLAKATNGFSSDNKLGEGGFGPVYKGTMEDGQQIAVKRLSIRSRQGSDEFKNEVALIAKLQHRNLVKLLGCCIQGEEKMLVYEFMPNKSLNFFIFDRARHELLDWPKRFHIINGVARGLVYLHQDSRLRIIHRDLKTSNILLDSEMNPKISDFGLAKTFGGDQTEGNTNRVVGTYGYMAPEYAINGQFSVKSDVFSFGILALEIISGKKNKGFYNPSHDLNLIGHAWALWKKEKPLELIDSFLQESCSLSEVVRCIHIALLCVQQRPDDRPNISSVVLMLGSEIALVEPKEPSFLMDNKSLETDSSSSNIKLSNNDVTISILDGR >EOY13774 pep chromosome:Theobroma_cacao_20110822:7:10729553:10730305:1 gene:TCM_032419 transcript:EOY13774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring finger protein, putative MEGTLPPLLSPSPSPALNSTITAGSDHPMLVYVFLFLFLPCAGASAVFIVYICLLWCVMNFRTGNSGLSSVKQAAKKGLSVSELEKLPNVTGKELVLGTECAVCLDEIEAEQPARMVPGCNHGFHLQCADTWLSKHSVCPVCRAKLEPHQLFDASDENPC >EOY14098 pep chromosome:Theobroma_cacao_20110822:7:20685373:20686086:1 gene:TCM_033339 transcript:EOY14098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 CNLPSLSSVSYLPYLMAPRNEMAANVRRTNNTLKRVVKKKEEKNVKRLKESLAKMKAETEETLRAVEELRQSMAQIREVIRLLLDDNPLEAELTAALL >EOY14097 pep chromosome:Theobroma_cacao_20110822:7:20685358:20685935:1 gene:TCM_033339 transcript:EOY14097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAPRNEMAANVRRTNNTLKRVVKKEEKNVKRLKESLAKMKAETEETLRAVEELRQSMAQIREVIRLLLDDNPLEAELTAALL >EOY14096 pep chromosome:Theobroma_cacao_20110822:7:20685358:20686086:1 gene:TCM_033339 transcript:EOY14096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAPRNEMAANVRRTNNTLKRVVKKEEKNVKRLKESLAKMKAETEETLRAVEELRQSMAQIREVIRLLLDDNPLEAELTAALL >EOY12423 pep chromosome:Theobroma_cacao_20110822:7:2204789:2210633:-1 gene:TCM_030945 transcript:EOY12423 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY domain-containing protein isoform 2 MQKLLPRPLSAPPKPSFSCFFSHSPSKTTYDPPFSPTSKPPKPPKPKPKPPDPTTNRNPSPPPKSNLPFDFRHSYSEADPSLEPIGFREPKRFSPFGPGRLDREWTGTSAPARDEVDGCRVQEERTRVLGEPLTEEEVDRLVEKYRHSDCYRQINLGKNGVTHNMLDDVHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKIIYRHINVLLLYRGRNYDPKNRPVIPLMLWKPYAPIYPKLVKNVADGLTFEETKEMRNRGLHSPAIMKLTRNGVYVNVVARAREAFQTEEVVRLDCTHVGTSDCKRIGVKLRDLVPCVPILFKDEQIILWRGKRDQELNSDISDANVKSLDT >EOY12425 pep chromosome:Theobroma_cacao_20110822:7:2207324:2208687:-1 gene:TCM_030945 transcript:EOY12425 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY domain-containing protein isoform 2 MQKLLPRPLSAPPKPSFSCFFSHSPSKTTYDPPFSPTSKPPKPPKPKPKPPDPTTNRNPSPPPKSNLPFDFRHSYSEADPSLEPIGFREPKRFSPFGPGRLDREWTGTSAPARDEVDGCRVQEERTRVLGEPLTEEEVDRLVEKYRHSDCYRQINLGKNGVTHNMLDDVHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKIIYRHINVLLLYRGRNYDPKNRPVIPLMLWKPYAPIYPKLVKNVADGLTFEETKEMRNRGLHSPAIMKLSNKEWSVCKCSGKSQGGLPD >EOY12424 pep chromosome:Theobroma_cacao_20110822:7:2207130:2208826:-1 gene:TCM_030945 transcript:EOY12424 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY domain-containing protein isoform 2 MQKLLPRPLSAPPKPSFSCFFSHSPSKTTYDPPFSPTSKPPKPPKPKPKPPDPTTNRNPSPPPKSNLPFDFRHSYSEADPSLEPIGFREPKRFSPFGPGRLDREWTGTSAPARDEVDGCRVQEERTRVLGEPLTEEEVDRLVEKYRHSDCYRQINLGKNGVTHNMLDDVHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKIIYRHINVLLLYRGRNYDPKNRPVIPLMLWKPYAPIYPKLVKNVADGLTFEETKEMRNRGLHSPAIMKLTRNGVYVNVVARAREAFQTEEVVRLDCTHVGTSDCKRIGLGSLCTYF >EOY12426 pep chromosome:Theobroma_cacao_20110822:7:2207498:2208687:-1 gene:TCM_030945 transcript:EOY12426 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY domain-containing protein isoform 2 MQKLLPRPLSAPPKPSFSCFFSHSPSKTTYDPPFSPTSKPPKPPKPKPKPPDPTTNRNPSPPPKSNLPFDFRHSYSEADPSLEPIGFREPKRFSPFGPGRLDREWTGTSAPARDEVDGCRVQEERTRVLGEPLTEEEVDRLVEKYRHSDCYRQINLGKNGVTHNMLDDVHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKIIYRHINVLLLYRGRNYDPKNRPVIPLMLWKPYAPIYPKLVKNVADGLTFEETKEMRNRGLHSPAIMKLSK >EOY13565 pep chromosome:Theobroma_cacao_20110822:7:9244896:9252923:-1 gene:TCM_032162 transcript:EOY13565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase 2A MFMAPQKKHHFCFCIFHNCSIINTCTGLPIFLLSKHSFNLLALMDDKTASKNISSEGDKLMEELVQTLPQEKNWVGYTLYQYQGFWYPLVAAKAIISFQSHFKAHDTDIFLITTPKSGTTWLKALIFSIVNRKQFPHTQSPLLTTNPHDLVPFIEEPSRPIHLGLALWYWKMSLEHPEKVLFLKYEDLKEDIASNLKTLADFLGYPFSEEEIRQGVVEEISKLCSFETLKSLEVNVTGERPVGRLKNSAFFRNGKVGDWVNFISQEMADRMKQLSEERYGDSGLKFDLLDKKA >EOY13081 pep chromosome:Theobroma_cacao_20110822:7:5825144:5828958:-1 gene:TCM_031605 transcript:EOY13081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKSSSLKKKRSKNSYKLRTRKRNKVKSRRSKSKKLRCRRDGSVSYSDDSDSRSLASVSSSSSEDDYRSRRSRSRNRKDVKGGKKKARRRSSSRESSGDSPPVKKHKGSRRGNDYAKKKRTSKKKRSRRDVSASFRSSRSWSCSTCRSGSSSGSDGIGLKRRGRSERKEKDGRRLEKVKRGSKRSRDRSRSCSSFSRYNEGSDDPIEERFMEESNSRRLKSVITVVKQENESSRELNTDEPKEEVYDYDDYPSCRSNDSNDGCSWRELPQRSHVVSETKRPLDDEEGEVSNIRTSSVEESGKDCHSRYDGVGKNDDLRENNKVSSATGGLNGDDMESILRQRALENLRKFRGGLQTSINPPITRNDKTDGDLKTPSSVNTDPSQIKTPKGEDAGVVIASQVRQQIRQPPVRRESTILPKNDRKISHLNDDGKDSGIVRSNVASPPAQVVPAGVPRVEVNTAINSVSNKSKLVTSRIGWEAPNTYTTQKREAAAQEPSQAKLVSKCSENEGGLETAQTVKPPENSAAINSISNRPKSVKLRVRQESPNTYTTQNQEPAALEFSQAKLVTESSVNEGGLGTAQTVKPPECGNDGGKVNNTHDSACDKPPSSSIPTSGDISSDKLEDETKDGSQFQQKTMSVMRGGEMVQVNYQVYIPKRAPALARRQLKR >EOY13181 pep chromosome:Theobroma_cacao_20110822:7:6417283:6417951:-1 gene:TCM_031708 transcript:EOY13181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKNCLFPIPMGSKWLVLLLAISLQLFMLFNTGTKARVFKGDHLHVSRNIDIKIDETVMKTFENNGAVVDPLENPPRPPESHGPPGQINPVPPPKFIFSQTYVEDSD >EOY12837 pep chromosome:Theobroma_cacao_20110822:7:4371436:4377740:1 gene:TCM_031354 transcript:EOY12837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase 21, putative isoform 3 MLSLYEATHLRVHGEDILEEALAFTTTHLQSVAATSASSLSKQISHALRQSLHKNLPRLEANRYIRSCQEDPLHNEVLLRFAKLDFNILQREHRKELCDIARWWKDLDVPRKLPFARDRITECYFWILGVYFEPEYFLGRKILTKAIAMASILDDIYDVHGTIKELELLTEAIGTWDISAIKHLPEYMKVFYEALLDVYNDIEKYMIDEGKLYRMHYAKEVVKDLARAYLIEAKWFHYKYVPTMEEYMEDVFDWILSNPKMITASSIICRLTDDIVSHEFEQKRGHVASSVECYMKQHDTTRQKAVDEFYRQISEAWKDVNEECLHPTSVPMPLLMRIINLARVIDVAYKDGDGYTFSGILLKDFVASLLVDPMPL >EOY12839 pep chromosome:Theobroma_cacao_20110822:7:4371570:4373396:1 gene:TCM_031354 transcript:EOY12839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase 21, putative isoform 3 MLSLYEATHLRVHGEDILEEALAFTTTHLQSVAATSASSLSKQISHALRQSLHKNLPRLEANRYIRSCQEDPLHNEVLLRFAKLDFNILQREHRKELCDIARWWKDLDVPRKLPFARDRITECYFWILGVYFEPEYFLGRKILTKAIAMASILDDIYDVHGTIKELELLTEAIGTWDISAIKHLPEYMKVFYEALLDVYNDIEKYMIDEGKLYRMHYAKEVVKFEQKRGHVASSVECYMKQHDTTRQKAVDEFYRQISEAWKDVNEECLHPTSVPMPLLMRIINLARVIDVAYKDGDGYTFSGILLKDFVASLLVDPMPL >EOY12838 pep chromosome:Theobroma_cacao_20110822:7:4371624:4372742:1 gene:TCM_031354 transcript:EOY12838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase 21, putative isoform 3 MLSLYEATHLRVHGEDILEEALAFTTTHLQSVAATSASSLSKQISHALRQSLHKNLPRLEANRYIRSCQEDPLHNEVLLRFAKLDFNILQREHRKELCDIARWWKDLDVPRKLPFARDRITECYFWILGVYFEPEYFLGRKILTKAIAMASILDDIYDVHGTIKELELLTEAIGTWDISAIKHLPEYMKVFYEALLDVYNDIEKYMIDEGKLYRMHYAKEVVKDLARAYLIEAKWFHYKYVPTMEEYMEVASVTSAYLLINHIFCWNGGYCNQGCF >EOY13466 pep chromosome:Theobroma_cacao_20110822:7:8634807:8647675:1 gene:TCM_032050 transcript:EOY13466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase, putative isoform 1 MQLTDISLLGNRLTGSIPKELANLSNLTSLVLEHNNFAGSLPPALWNLHNIERMLLNSNNFSGELPAEFARLTTLKEFRISDNNFTGKIPDFIFQKWTKLEEIYIEGSGLSGPIPDIGALENLKYMIISDLNGAEATFPQLGNLPKLDRLMLRSSNLIGELPDNLSTLTTLKILDLSFNRLSGEIPTKLSALSNLDQLFLNGNMFTGEVPGWILNTKEKMDVSYNNFTSTGASGCEHNSVNLFASISRVNNSGIVSCLASHNCTKTLHFLYINCGGREENVNGTTYEADYGTAGPSTFFQSTNTWAFSNTGIFLGDDRSDDIYVSENMQLLMIDELYRTARLSPSSLTYYAFCLANRTYNISLHFAEIQFADGQNFSSLGRRIFDVYIQGKRVLKDFNIKDEAGGAAKPIAKNFTATVEDGTLEIHLRWAGKGTTSIPVRGVYGPLISAISIFDPGYIPPPPPPENGGSNGISIGMVVGIVAGTAFAIFLIGGILWWNGCLRQKSTLEQDLKGLELQTNSFTLRQIKAATNNFDAANKIGEGGFGPVYKGILADGTVIAVKQLSARSKQGNREFVTEIGMISALQHPHLVKLYGCCIEGNQLLLIYEYLENNSLARALFGPEEYQLKLDWPTRRKICIGIARGLAYLHEESRLKVVHRDIKATNVLLDKNLNPKISDFGLAKLDEEENTHISTRVAGTYGYMAPEYAMHGRLSDKADVYSFGIVALEIVSGRCNTKSRSKEESFYLLDWAHILKEKGNLLDLVDPRIGSECNIEEVMAMINVALLCTNPTAAARPSMSSVVSMLEGRAAISEFIDSSFSAKEMNAEAMKKLYQQLEQNDADNSQTKSMLSDGPWTSSSTSAADLYPVNLTSGYWQNRDSTN >EOY13467 pep chromosome:Theobroma_cacao_20110822:7:8639556:8647661:1 gene:TCM_032050 transcript:EOY13467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase, putative isoform 1 MIVFRAFDLISAFSWFSRLLNSNNFSGELPAEFARLTTLKEFRISDNNFTGKIPDFIFQKWTKLEEIYIEGSGLSGPIPDIGALENLKYMIISDLNGAEATFPQLGNLPKLDRLMLRSSNLIGELPDNLSTLTTLKILTVMFFYWLMLCYDDIKRDLSFNRLSGEIPTKLSALSNLDQLFLNGNMFTGEVPGWILNTKEKMSNGIAEVRPSRDVSYNNFTSTGASGCEHNSVNLFASISRVNNSKGFKGSLPHSGYLLSLWCKCLRIIHRPALHFLYINCGGREENVNGTTYEADYGTAGPSTFFQSTNTWAFSNTGIFLGDDRSDDIYVSENMQLLMIDELYRTARLSPSSLTYYAFCLANRTYNISLHFAEIQFADGQNFSSLGRRIFDVYIQGKRVLKDFNIKDEAGGAAKPIAKNFTATVEDGTLEIHLRWAGKGTTSIPVRGVYGPLISAISIFDPGYIPPPPPPENGGSNGISIGMVVGIVAGTAFAIFLIGGILWWNGCLRQKSTLEQDLKGLELQTNSFTLRQIKAATNNFDAANKIGEGGFGPVYKGILADGTVIAVKQLSARSKQGNREFVTEIGMISALQHPHLVKLYGCCIEGNQLLLIYEYLENNSLARALFGPEEYQLKLDWPTRRKICIGIARGLAYLHEESRLKVVHRDIKATNVLLDKNLNPKISDFGLAKLDEEENTHISTRVAGTYGYMAPEYAMHGRLSDKADVYSFGIVALEIVSGRCNTKSRSKEESFYLLDWAHILKEKGNLLDLVDPRIGSECNIEEVMAMINVALLCTNPTAAARPSMSSVVSMLEGRAAISEFIDSSFSAKEMNAEAMKKLYQQLEQNDADNSQTKSMLSDGPWTSSSTSAADLYPVNLTSGYWQNRDSTN >EOY13465 pep chromosome:Theobroma_cacao_20110822:7:8624999:8647776:1 gene:TCM_032050 transcript:EOY13465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase, putative isoform 1 MYSSSTSKMFFPRLVFTSTFIVCCLTTFTFGATLAKDEVEALKSINETLGKRNWDFGIDPCSRPSSWEEVVPPKSYYANNVTCDCTFSGNTICHIISIELKGQNLTGTLPPELVRFPYLKKIDLTRNYLSGTIPPEWGSMQLTDISLLGNRLTGSIPKELANLSNLTSLVLEHNNFAGSLPPALWNLHNIERMLLNSNNFSGELPAEFARLTTLKEFRISDNNFTGKIPDFIFQKWTKLEEIYIEGSGLSGPIPDIGALENLKYMIISDLNGAEATFPQLGNLPKLDRLMLRSSNLIGELPDNLSTLTTLKILDLSFNRLSGEIPTKLSALSNLDQLFLNGNMFTGEVPGWILNTKEKMDVSYNNFTSTGASGCEHNSVNLFASISRVNNSGIVSCLASHNCTKTLHFLYINCGGREENVNGTTYEADYGTAGPSTFFQSTNTWAFSNTGIFLGDDRSDDIYVSENMQLLMIDELYRTARLSPSSLTYYAFCLANRTYNISLHFAEIQFADGQNFSSLGRRIFDVYIQGKRVLKDFNIKDEAGGAAKPIAKNFTATVEDGTLEIHLRWAGKGTTSIPVRGVYGPLISAISIFDPGYIPPPPPPENGGSNGISIGMVVGIVAGTAFAIFLIGGILWWNGCLRQKSTLEQDLKGLELQTNSFTLRQIKAATNNFDAANKIGEGGFGPVYKGILADGTVIAVKQLSARSKQGNREFVTEIGMISALQHPHLVKLYGCCIEGNQLLLIYEYLENNSLARALFGPEEYQLKLDWPTRRKICIGIARGLAYLHEESRLKVVHRDIKATNVLLDKNLNPKISDFGLAKLDEEENTHISTRVAGTYGYMAPEYAMHGRLSDKADVYSFGIVALEIVSGRCNTKSRSKEESFYLLDWAHILKEKGNLLDLVDPRIGSECNIEEVMAMINVALLCTNPTAAARPSMSSVVSMLEGRAAISEFIDSSFSAKEMNAEAMKKLYQQLEQNDADNSQTKSMLSDGPWTSSSTSAADLYPVNLTSGYWQNRDSTN >EOY11844 pep chromosome:Theobroma_cacao_20110822:7:103864:105383:-1 gene:TCM_047061 transcript:EOY11844 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S17-4 GQIEITGQQKIIEKSKNPNEINGVQCPELYNPSCWRWVCFLSCGSRSLSLLVDLRRPCFLTFPCCLYQGTAKMGRVRTKTVKKSSRQVIENYYSRMTLDFHTNKKIVAEVALIPTKRLRNKIAGFSTHLIKRIQKGPVRGISLKLQEEERERRMDFVPDESAINTDEIKVDKETLDMLSALGMADIPGLVEVEPQAMIAPPVFGGPGGAGRRY >EOY13790 pep chromosome:Theobroma_cacao_20110822:7:10819329:10831035:-1 gene:TCM_032434 transcript:EOY13790 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-dependent nucleic acid-binding protein engD isoform 4 MARTACSNLLSTLTLLPNKPSIFTKNSQLISVFNGRKTRLQSSSSRISMSLRAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDPRLHVLSELSKSQRAVPASIEFVDIAGLVKGASQGEVVRCFEDNDIVHVNGKVDPKSDIDVINLELVFSDLDQIEKRIEKLKKGKAKDSQSKVKEEAEKSALERIKQALMDGKPARAVALTEFEKDAVKHLCLLTMKPIIYVANVAESDLAEPENNSHVNEVMNLASELRSGIVTISAQVESELTELPSDERTEYLKSLGVNESGLGNLIRETYSLLGLRTYFTSGEKESKAWTILAGMTAPQAAGVIHSDFEKGFIRAETVSYDDFVAAGSLAAAREKGLLRSEGKDYIVQEGDVMLFRFNV >EOY13789 pep chromosome:Theobroma_cacao_20110822:7:10821443:10830867:-1 gene:TCM_032434 transcript:EOY13789 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-dependent nucleic acid-binding protein engD isoform 4 MARTACSNLLSTLTLLPNKPSIFTKNSQLISVFNGRKTRLQSSSSRISMSLRAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDPRLHVLSELSKSQRAVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDIVHVNGKVDPKSDIDVINLELVFSDLDQIEKRIEKLKKGKAKDSQSKVKEEAEKSALERIKQALMDGKPARAVALTEFEKDAVKHLCLLTMKPIIYVANVAESDLAEPENNSHVNEVMNLASELRSGIVTISAQVESELTELPSDERTEYLKSLGVNESGLGNLIRETYSLLGLRTYFTSGEKESKAWTILAGMTAPQAAGVIHSDFEKGFIRAETVSYDDFVAAGSLAAAREKGLLRSEGKDYIVQEGDVMLFRFNV >EOY13791 pep chromosome:Theobroma_cacao_20110822:7:10819152:10830916:-1 gene:TCM_032434 transcript:EOY13791 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-dependent nucleic acid-binding protein engD isoform 4 MARTACSNLLSTLTLLPNKPSIFTKNSQLISVFNGRKTRLQSSSSRISMSLRAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDPRLHVLSELSKSQRAVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDIVHVNGKVDPKSDIDVINLELVFSDLDQIEKRIEKLKKGKAKDSQSKVKEEAEKSALERIKQALMDGKPARAVALTEFEKDAVKHLCLLTMKPIIYVANVAESDLAEPENNSHVNEVMNLASELRSGIVTISAQVESELTELPSDERTEYLKSLGVNESGLGNLIRETYSLLGLRTYFTSGEKESKAWTILAGMTAPQAAGVIHSDFEKGFIRAETVYCLGYFLIYWWNSNRDVNNLSY >EOY13788 pep chromosome:Theobroma_cacao_20110822:7:10817116:10831058:-1 gene:TCM_032434 transcript:EOY13788 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-dependent nucleic acid-binding protein engD isoform 4 MARTACSNLLSTLTLLPNKPSIFTKNSQLISVFNGRKTRLQSSSSRISMSLRAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDPRLHVLSELSKSQRAVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDIVHVNGKVDPKSDIDVINLELVFSDLDQLKNSGNIGHQGKGQGACEEYDIMKIEKRIEKLKKGKAKDSQSKVKEEAEKSALERIKQALMDGKPARAVALTEFEKDAVKHLCLLTMKPIIYVANVAESDLAEPENNSHVNEVMNLASELRSGIVTISAQVESELTELPSDERTEYLKSLGVNESGLGNLIRETYSLLGLRTYFTSGEKESKAWTILAGMTAPQAAGVIHSDFEKGFIRAETVSYDDFVAAGSLAAAREKGLLRSEGKDYIVQEGDVMLFRFNV >EOY12767 pep chromosome:Theobroma_cacao_20110822:7:4085991:4093376:1 gene:TCM_031295 transcript:EOY12767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 5 MALIKKLSFFPGKGLVELSLGSQLMARYIRSFLSRSWEAGMGDVMSDNILTEYYIPDYILVPGSEPKKPLWMPSCPVLVFINSKSGGQLGGDLLLTYSSVLNKNQVFDLRETKPDKVLHQIYATLGTLEQHGDVLAPAIQNSLRIIVAGGDGTAGWLLGVISDLKLPQPPPVATVPLGTGNNLPFSFGWGKKNPGTDCQSVLSFLEQVKNAKEMKIDSWHIIMRMRAPTEGSCDPIAPLELPHSLHAFHRISQTDKLNMEGYHTYRGGFWNYFSMGMDAQISYAFHSERKLHPEKFRNQLINQSTYIKLGCTQSWLSSPFHPSSLNIAQLTKVMIMKKQGQWEDLNIPRSIKSIVCLNLPSFSGGFNPWGKPYRKKYRDRGFTPPFVDDGMIEIVGFRNVLHGLVLLAPNGHGTRLAQANAVRFEFNKGAADHMFMRMDGEPWKQPLPVDDDTVVVEISHFGQVSMLATPFCRSKSMHDPSSPTSCCDEDNEDSTDEGESPDDWEEKRKFGAADSFKFPIEFDITHLS >EOY14238 pep chromosome:Theobroma_cacao_20110822:7:22403308:22404518:1 gene:TCM_033529 transcript:EOY14238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKKRERKNRERGRVKRMVLLHFEEGKRKFLLQFWRKKKAENFEVRKLIFLRLIAGIFEVFMADFGAENSRFLLLKLCEFAARNFGGFAALAANLYKKIGVVVTNLCRKIRQHCAANLREKRQLS >EOY13639 pep chromosome:Theobroma_cacao_20110822:7:9686086:9699537:-1 gene:TCM_032262 transcript:EOY13639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTSPSSWSDLPVEILLLIFDFAKDPIDILRCGAVCKSWLPTALQVYRRFFPLCFIQPEKDIDDNLVFFNILNKETRKIHLPEAMGKCICCSCNGWLLIVDDFSFPCGMHLLNIFSRTQILLPPSSSLPISFPDPHDKFRSIHFQCKLLLSGCPTVSDCMILLLLAHGSHDESTCAIAFCKPGDESWAWFLCKTPDWKISDAILNNGSIYASRKFPPAVISVKEDETIPVPEPILTHNIDGAFLVNSLNGHLLLVCYRREDPDGKLFDVFEFVISTEECNEVNDLDGCTLFMSPNGNHLAKTGNLETSFKGNCIYFFRHSEGDYLIYDMETRHSEIVPSRGLPGDGSSLILWVNPDV >EOY13640 pep chromosome:Theobroma_cacao_20110822:7:9697727:9699160:-1 gene:TCM_032262 transcript:EOY13640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MATDCLTETRKIHLPEAMGKCICCSCNGWLLIVDDFSFPCGMHLLNIFSRTQILLPPSSSLPISFPDPHDKFRSIHFQCKLLLSGCPTVSDCMILLLLAHGSHDESTCAIAFCKPGDESWAWFLCKTPDWKISDAILNNGSIYASRKFPPAVISVKEDETIPVPEPILTHNIDGAFLVNSLNGHLLLVCYRREDPDGKLFDVFEFVISTEECNEVNDLDGCTLFMSPNGNHLAKTGNLETSFKGNCIYFFRHSEGDYLIYDMETRHSEIVPSRGLPGDGSSLILWVNPDV >EOY12234 pep chromosome:Theobroma_cacao_20110822:7:1428477:1429041:1 gene:TCM_030797 transcript:EOY12234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSRLSCLRSKHGSMQESRSIINRSGKGKKSLPESDVITPTGIAEEETQARYARKALFCLKNLIERSACKLKRNFLANPSSSSSKKKKSDLKRACCACISSEKRTPLTNGLFKVKSFLLKGGGDGGSKGNNGGKNGKGANDKKKQK >EOY13851 pep chromosome:Theobroma_cacao_20110822:7:11587909:11616840:1 gene:TCM_032521 transcript:EOY13851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSESTESSFQGSQITFQISPTGDPQSPYFLHHTDHPRSVIINPKLTTTNYVAWSRSFLLALSIKNKMGFINGTIPKPQPTDPLYPSWIRYNNLTVAWMLDFITPQISSTIFYMDSAPDIWNILKQSFAQPDDTRKDIVFRFLNGLNDSFSTVGSQIILMDPIPSLDKVYSLVLREEAQRNLLFQAQPGLESSTMLTASDGKKKFKKDLVCSHCGKKVHLKKKCYRLVGFPEDFKFTKSKANSKRGRSIANNVTSMNEVESAVVQLDQEENSAGNGTMGIKLVYLPPVRVGKAWVSCCRLGRIKLEYLLPVRGDKAWLVATG >EOY14033 pep chromosome:Theobroma_cacao_20110822:7:18747283:18751487:1 gene:TCM_033152 transcript:EOY14033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKSNEGYEPTIFEDASPTIALNEMSYLPTILSLKWVEKSVIERKQYEDLNSLLIVSRKKWAFNVAINTHCKWSQLHYITKTFQQKREYDMTKHACFGTLLEVYPQRYFCIGLLQNIMIRRITESQSMNHELWFVIGKSMAWLSKQEFYLITRLKFGLMPDVFKEPYKVAADRIHARYWNRQEKVKVQALLDTFRGGNFQRPEDSTKMALVLIANNILFGQDYGRRVTPWLLSLVEDINAWNVFPWGHYLWALETLEQIVDEASQKYFVDLDVPLSEGHQEVLHLTVLVVEHDDADDGHHHELGVHIHDDVLGASGEHVTHVDDVVNEAMAGDVTLQSDDAEREHVLLPKSIIDASTGREGDLDSVVAEGELLPPIEAFVKAIARAMVLYHQSLPDAVETQS >EOY12538 pep chromosome:Theobroma_cacao_20110822:7:2668993:2686710:1 gene:TCM_031059 transcript:EOY12538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein MAESFLYEMVSNMLSDFVSNIVSSAGQQLRLIFKRDEDLEKIRQTLTTVKDFILDAEEKQESDLALKEWIIQVEDVIYDADDLLDEIDHEILGEKVRAQGQEPGVHTRKKVRKLTSSFEMGPRIKKIRGRLDKAAADISTFNLRKRVVEQDKKAKHIYRETASKVRSELIIGREKDKELIIESLLKKQNDRHGDIIPIVAIVGFGGLGKTSLAQLVYNDAKVESYFQRRIWVCVSEEFNVGIIFKKILESLEGDKVNDLCLDIYVDKLQEKLKGKKYLLVLDDVWNENNLEWDKFSQYLVFGASGSKILVTTRNKTVSSTMGVHDPYLLKGLNEDQSWALFNRVAFQGQDQIDSDLRVIGEDVARKCKGVPLALKCLGGLMRQKPNKNYWLSVQENGIWKLLEKDDSIFPVLQLSYIHLPRHLKQCFVFCSLFPKDFKISKAKLIQSWRAQGYIQLMENENVQDIGDEYFNDLLSRSFFQEEEKDAYGNIICCKMHDLIHDLALLVKGHHFHWMKDEKEKISKRARHVSSKTNSKEVVLTLLKTKEIRTIFFRAHIVEDLFLQNVTFSSFNCLRMLNLSKMRIDILPDSIGELKHLRYLDLCSNNKMKVLPDTITKLHHLQTLLLKYCTRLEELPRDIQHLISLEYLNVDDCQALKYLSKGLGELTLLQRLDRFIVNSVEESFSTAATLNELRDLNGLRNSLTIEHLGKVRNVELESKEVNLKRKKRLQSLKLCWRSSLHWSPSSPATMASEKDESLLNNLEPHPNLKELKVFDYGGARFSSWLSSLTNLVKLTIACFWNCQRLPPLDHFSSLKSLTLYRSNAFKHLPTLDHLSSLESLSLTGLRALEHLPLLDHLSSLKYLVLEELNALEYVVDSFPLPCSTSRKPFFPSLKKLKIEFCDNLKGWWRTKNENQGSTAQLPCFPCLSKIDISKCPNLTSMPLFPSLDQDLTLWGTSVRPLQQTLKMKMTEASMTSEEASSSSGSTCHSYFSTTLPLSNLKHLSLIDIKDLEVLSEEFLLAYCPKLESRQLQKMSCLTSLQELWVKNYPNLMALSNWILNLTSLETLRIRGCLELQYMPEGTPQLTSLEELSVQNCPNLVALPDWILNLTSLRSLQIEECLDLQYIPEGTHQLTSLKELFVGKCPNLRALPDWILNLTSLKTLRIQGCIELQYMPKGTHQLASLKELFVEKCPNLRALPDWILNLTSLETLRIQGCLKLQYMPEGTHQLTSLKRLFVNNCPNLRALPDWILNLTSLKTLQIWECFQLQYMPEGTPQLPSLEELIVYCLNLKALQDWILNLTSLKDLYICECLESPYFQEGMQSLTSLQRLIVEYCPNLSSSRHSLKTLLIRGCPEFYYWRTLHPFSSLEELNVQSCPNLGKLLYRISLFFTHLKTLKICKCLELQDLPKEMDDLTSLQVLSISDCPQLSKRCEKKIGILWPRIARIPSIIVDGQQIQ >EOY13359 pep chromosome:Theobroma_cacao_20110822:7:7719419:7721083:-1 gene:TCM_031902 transcript:EOY13359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MGKMQGTRSHSANPSDYQLLEEIGHGATATVYKAIYLPSKDVVAVKCLDLDRCSGTNLDDVRREAQTLRLIDHPNVLRAYSSFVVDRNLWVVMPFMSKGSCLHRMKTAYPDGFEEAAIASILKETLKALDYLHRQGHIHRDVKAGNILLDNNGTVKLADFGVSACMFDSGDRQRSRNTFVGTPCWMAPEVMQPGTGYNSKADIWSFGITALELAHGHAPFSNYPPMKVLLMTIQNAPPRLDQDCDKKFSKFFKDMVAMCLVKDQTKRPTAEKLLKHSFFKHAKPPELSVKKLFAPMPPLCNPVKPLQLQEAAQQEATSQSQYQRGISAWNFDIEDLKAQASLVHDDDDIHGGKDDDRSMKASLGDKKLIQPPHDPMLVL >EOY13692 pep chromosome:Theobroma_cacao_20110822:7:10151502:10158586:-1 gene:TCM_032331 transcript:EOY13692 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MGNKLVRRKQVVDERYTRPQGLYTHNDVDIKKLRKLILESKLAPCYPGDEECCCDLEECPICFLYYPSLNRSRCCTKRICTECFLQMKNPNSTRPTQCPFCKTSNYAVEYRGVKTKEEKGIEQIEEQRVIEAQIRMRQQELQDEEERMQKRQELSSSSTAVAPGEVQYSSVAARSSGEEEIVSSQDSQAASMIRQPSHPRANRNEEFDIDLEEIMVMEAIWQSIQENNRQRNSNYGDAAASSVQYVSGDRYISPAMTTVAGSSTSPSGGLACAIAALAERQQMSGESSLNHNGDIPSFNMLCSGSRLYNRVDRVVENYPPAESPVDMPADGGMTPARDEGEWGVDHGSEVAEAGTSYASSDVTEDAGGICTISQQDDIRGSFHNVPGPIVPESYEEQMMLAMAVSLAEARAMTSGPGVSWQ >EOY13693 pep chromosome:Theobroma_cacao_20110822:7:10151418:10158601:-1 gene:TCM_032331 transcript:EOY13693 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MGNKLVRRKQVVDERYTRPQGLYTHNDVDIKKLRKLILESKLAPCYPGDEECCCDLEECPICFLYYPSLNRSRCCTKRICTECFLQMKNPNSTRPTQCPFCKTSNYAVEYRGVKTKEEKGIEQIEEQRVIEAQIRMRQQELQDEEERMQKRQELSSSSTAVAPGEVQYSSVAARSSGEEEIVSSQDSQAASMIRQPSHPRANSRNEEFDIDLEEIMVMEAIWQSIQENNRQRNSNYGDAAASSVQYVSGDRYISPAMTTVAGSSTSPSGGLACAIAALAERQQMSGESSLNHNGDIPSFNMLCSGSRLYNRVDRVVENYPPAESPVDMPADGGMTPARDEGEWGVDHGSEVAEAGTSYASSDVTEDAGGICTISQQDDIRGSFHNVPGPIVPESYEEQMMLAMAVSLAEARAMTSGPGVSWQ >EOY13691 pep chromosome:Theobroma_cacao_20110822:7:10151491:10158485:-1 gene:TCM_032331 transcript:EOY13691 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MGNKLVRRKQVVDERYTRPQGLYTHNDVDIKKLRKLILESKLAPCYPGDEECCCDLEECPICFLYYPSLNRSRCCTKRICTECFLQMKNPNSTRPTQCPFCKTSNYAVEYRGVKTKEEKGIEQIEEQRVIEAQIRMRQQELQDEEERMQKRQELSSSSTAVAPGEVQYSSVAARSSGEEEIVSSQDSQAASMIRQPSHPRANRNEEFDIDLEEIMVMEAIWQSIQENNRQRNSNYGDAAASSVQYVSGDRYISPAMTTVAGSSTSPSGGLACAIAALAERQQMSGESSLNHNGDIPSFNMLCSGSRLYNRVDRVVENYPPAESPVDMPADGGMTPARDEGEWGVDHGSEVAEAGTSYASSDVTEDAGGICTISQQDDIRGSFHNVPGPIVPESYEEQMMLAMAVSLAEARAMTSGPGVSWQ >EOY13979 pep chromosome:Theobroma_cacao_20110822:7:16272686:16275574:-1 gene:TCM_032942 transcript:EOY13979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin 1, putative MQIFVKTLTGKTITLEVESSDTIDNIKAKIQDKEGIPPDQQRLIFSGKQLEDGLLLGKKLRLILSQLIPVEKKEGIPRVQQRLIYSGKQLGDNGTAREYNIKGGSLLHLVLALRGRRSRLAFREMSVYRGTATVVTSSRRVSGLDNVRVRLKQRLGTAVCQMRKHVYGYGTFNSLSGLSGIP >EOY13492 pep chromosome:Theobroma_cacao_20110822:7:8821080:8824770:1 gene:TCM_032093 transcript:EOY13492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor serine/threonine kinase, putative MKNESLDKIIFSTENNTLSWQKMFEIELGVARGIEYLHRGCEMQILHFDIKPHNILLDENFTPKVSDFGLAKLYSVDDDIISLTAARGTVGYMAPELFYKNLGGILYKADVYSFGMMLMDIIGKRKNLNASAEHSSQIYFPSWIYD >EOY13114 pep chromosome:Theobroma_cacao_20110822:7:6017203:6020652:1 gene:TCM_031642 transcript:EOY13114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein, putative MATQQSNAATATKPGLRKPVFTKVEQLKPGTSGHTLTVKVLSSNVVLQKGRSVSQHLRQTRIAECLIGDETGSIIFTARNDQGEKRAMGFDGECGDEGFKRLCVNKVVYVDENMDKKVGERNGVWAPGVLLFEIVYHATVKPCPYLNLLVVCPSLPYMVLASGLPFPPLHGACFFSSKYFNQFCEELTYFSSSLNLFYWGKLLAYQIKLDFFVQNLAYTAVLRNMLMLLDATVALVFQLVSVVICIVDLMKPGATVILRNAKIDMFKGSMRLAVDKWGRVEVTEPAKFVVKEDNNLSLVEYELVNVVEE >EOY13841 pep chromosome:Theobroma_cacao_20110822:7:11325089:11346985:1 gene:TCM_032500 transcript:EOY13841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 1 MEDIGLVKQGWKWLQSQKHVYSKAKTAVGCCRDKMGLLIERHWPMVCSGCAKFWKFSRLLLVYWKDCMVRGFQSCIRLGSASLLVIMWSCFLSLTSMSCLLYVLLSMGAAGAAVQYLGYTPGLFIVGLFGILILWMYANFWITGTLFIVGGYLFSLSHARLIVLIATTYSVYCVKVRVGWLGVFLSINLAFFSNDVLNYLLQCIDNASENTHYEEQKESKPVMEDDLSGECDYSIPTDEPEKVQSCKSSSKYSTTSVISQKEFSAKRVVKEETSSADEMKRILNSTDHYEALGFPRHIKIDTALLKKEYRKKAMLVHPDKNMGSPLASESFKKIQCAYEVLSDSMKKRDYDEQLKKEESRTRSVCQKSHSSSRQQASPDNCSEESRRIQCTKCGNSHIWVCTNRNKAKARWCQDCCQYHQAKDGDGWVEYKGSLVFDRPQKVEIPRAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLEKTQRSNSSRFPWDLDAEMIDEDEEEFELWLQQALASGLFCETSKRRKSWSPFKLPQKKSKKQWRRSST >EOY13842 pep chromosome:Theobroma_cacao_20110822:7:11334357:11340169:1 gene:TCM_032500 transcript:EOY13842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 1 MEDIGLVKQGWKWLQSQKHVYSKAKTAVGCCRDKMGLLIERHWPMVCSGCAKFWKFSRLLLVYWKDCMVRGFQSCIRLGSASLLVIMWSCFLSLTSMSCLLYVLLSMGAAGAAVQYLGYTPGLFIVGLFGILILWMYANFWITGTLFIVGGYLFSLSHARLIVLIATTYSVYCVKVRVGWLGVFLSINLAFFSNDVLNYLLQCIDNASENTHYEEQKESKPVMEDDLSGECDYSIPTDEPEKVQSCKSSSKYSTTSVISQKEFSAKRVVKEETSSADEMKRILNSTDHYEALGFPRHIKIDTALLKKEYRKKAMLVHPDKNMGSPLASESFKKIQCAYEVLSDSMKKRDYDEQLKKEESRTRSVCQKSHSSSRQQASPDNCSEESRRIQCTKCGNSHIWVCTNRNKAKARWCQVRLRFFLFEKNSM >EOY12603 pep chromosome:Theobroma_cacao_20110822:7:2970690:2973877:-1 gene:TCM_031113 transcript:EOY12603 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI superfamily protein MHSIKIQQDDSSEDFISQLPDELLHHILSFLPTKDIVSTSALSSRWKSLWTFFTIVNFDFNAWKEKQKERSSFLDRVRRELLIPDTTRIRKFHLHPDCAVHFSQILASMSIVSQVVNHKVEELDLSIPYYLESNLFTLPHCLFTSETLISLKLHMTQVALNNFPTSIFLPRLKTLYLDYIKFQDEHSAQFLLSACPVLKELYIDNCGWTETTKITISIPTLLTLSLIFFDENPPDMSIKICTPNLLNLYYTSSLQVELIACNLSSVIRAEVDVFGWLSYDQRVRVQAAHRTLRLLKAIRGVKFLELSYETLQAISFAENFQANDLPTFYNLTDLNVNFNFANRDGAALMHVLQKSPNLRSLHFPQGFDEEYQKHVIPVKDNAEEVRFLNIYSRMLRLWSGFQSIAQKIYQKTWERRRG >EOY13278 pep chromosome:Theobroma_cacao_20110822:7:6980341:7046351:-1 gene:TCM_031798 transcript:EOY13278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MGNTGFILALTVAVLLPTFGVSFSMKSTTNISTDQLALLALKARVNSDLLATNWSTATSICSWVGVTCGSRHHRVIALDLFGMNLSGTIPPDMGNLSFVSFLNIANNSFHGSLPIELANLRRLKTLVLRYNNFNGEIPSWFGSFPKLQILNLLGNNFLGAIPSSLCSLSKLELLSLYNNNLQGRIPVEIGNLSSLRLLYLDSNQLSGSIPSSVFSISSLLRIVLSDNQLIGSIPSIPLNMSFMHSIDHLCKLKGLYLSHNHLSGPLPMDLFKCQELEELSLSYNDLEGTIPKEIGNLTMLKILYFGRNNLKGEIPQQIGNLTLLEVLEFGENNLTGKIPIEIGNLPNLVLLNLGSNSISGHIPPGIFNSSTVTLIALYSNHLSGCLPWSIGLWLPKLERLLLGINELNGTIPTSISNASKLTILDLAVNSFSGYIPVDLGNLRDIEDLNLHSNNLASTLSSPKLSFLSSLAYCKDLRLLSFSYNPLIDAELPISIGNLSISLQYFYSEGCNIGGNIPEEISNLSNLIGLSIANNQLIGSIPTIIGRLEKLQLLSLEGNKLEGSIPSDLCRLKSLGFLYLEENRLAGPIPACVRDLVSLRGLYLGSNKFTNSIPSTFTRLIDILELNLSSNFLSGALPIDIGKWKVVTRIDFSKNQLSSEIPSTISALEDLAYLCLARNRLYGSIPELFGGLIGLEFLDLSRNNFSGIIPKSLQKLLHLKYLNVSFNRLHGEVPDGGPFANYSIQSFMGNEALCGAPRLQFPPCKTNSAKHSRKVTKLIIFILLPIGSTLLILALIVFFLQRQEKHSKQKIDQENSNVFAKWRRISYHELHQATNGFCKSKLLGVGSFGSVYQGTLSDGLSIAIKVFNLEVEGSFKSFDIECEVLRNVRHRNLVKIISSCCNVDFKALVLEFMPNGSLEKWLYSHNYFLDILQRLNIMIDVASALEYLHHEQTIPVAHCDLKPSNVLLAEDMVAHLGDFGIAKLLGEEGSTIQTITLATIGYMAPEFGAQGVVSIKGDVYSFGILLIETLTRKKPTDEMFVEEMSLKHWVTKSLPSALTQVVDANLLISTKEQKHFAIKDCALSILQLALECSEELPEERIDMKNVVAQLKKIKIKFLKDSNWRA >EOY11888 pep chromosome:Theobroma_cacao_20110822:7:249911:252284:-1 gene:TCM_030544 transcript:EOY11888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase MNSFHGKIPRNFHRSCLLRSFSINHNQLEGSLPRSLVNCLELEVLDVGNNNLKDTFPNWLGNLDLQVLVLRGNRFCGHLDDFEGRFSFSHLRIIDLSHNDFNGHLPSKFFENLHAISSGSENKGDAKYMRYDYYESFIITTKGLEMHLRRILIALMVIDFSNNRFNGKIPETLGELHSLIVLNLSHNSLTGPIPSLLGNLSALESLDLSSNKLEGEIPTELVNLIFLEVLNLSWNNLMGLIPRGKQFDTFTNDSYIGNLGLCGLPLSKECSNEQNLEPKPTKSGEDGDAVNWKFSILMGYGCGLVCGLSMGYIVFTTGKPWWLVRIIERGQQKYVVRGKIRRSGGRK >EOY14284 pep chromosome:Theobroma_cacao_20110822:7:23009228:23013562:1 gene:TCM_033598 transcript:EOY14284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MSGHTVTQSLNGFSKFLTIKLWNSRIKDAVNQNSTEKALHLFRRMKQNGLEPNKLTFPFIAKACAKLSNIKYSQSIHTQIVKSPFGNDIFIQTAMVNVYVKCDHVDYAYKVFERMPQRDVAAWNAMLIGFARLGFLDKVFSLFGEMRFAGIHPDSVTVVGLSQGVSVAKSLELVEGLHSFGIRIGVAPNVTVANTWIAVYAKCGDLASAEKVFDEIDVAVRTVISWNSMIAGYAIFENFLAAFDLYQQMLVDGIRPDASSIVSLISSCVQPEALFQGKLIHSHGMQLGCDLNLSVINTLISMYSKCGDINSARFLFDCMSDRTCVSWTVMISGYAEKGDMDEAMTLFHSMEKAGETPDLVTVLSLISGCGQTGSLELGKWIDSYAKSRGFKEDVMICNALIDMYSKCGGICEAQEVFHAMPERTIVSWTTMILGCTVNGQFGEALDLFHRMRGLGFKPNHITFLAVLQACTHAGFLDKGWEVFNMMTAVYGINPGLDHYSCMADLLGRKGKLIEALKFVLNIPVKPDAGIWSALLSACKVHHNVEIGKYVANHLFEMEPQVAAPYVEMANIYASTGRWDEVAMIRLLMKRNNVSKSPGQSLVQVNGKTHRFTVEDRSHPEGVLIYTLLDDLALQLKDDGYPLYLGDISEMELI >EOY14283 pep chromosome:Theobroma_cacao_20110822:7:23009014:23012574:1 gene:TCM_033598 transcript:EOY14283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MSGHTVTQSLNGFSKFLTIKLWNSRIKDAVNQNSTEKALHLFRRMKQNGLEPNKLTFPFIAKACAKLSNIKYSQSIHTQIVKSPFGNDIFIQTAMVNVYVKCDHVDYAYKVFERMPQRDVAAWNAMLIGFARLGFLDKVFSLFGEMRFAGIHPDSVTVVGLSQGVSVAKSLELVEGLHSFGIRIGVAPNVTVANTWIAVYAKCGDLASAEKVFDEIDVAVRTVISWNSMIAGYAIFENFLAAFDLYQQMLVDGIRPDASSIVSLISSCVQPEALFQGKLIHSHGMQLGCDLNLSVINTLISMYSKCGDINSARFLFDCMSDRTCVSWTVMISGYAEKGDMDEAMTLFHSMEKAGETPDLVTVLSLISGCGQTGSLELGKWIDSYAKSRGFKEDVMICNALIDMYSKCGGICEAQEVFHAMPERTIVSWTTMILGCTVNGQFGEALDLFHRMRGLGFKPNHITFLAVLQACTHAGFLDKGWEVFNMMTAVYGINPGLDHYSCMADLLGRKGKLIEALKFVLNIPVKPDAGIWSALLSACKVHHNVEIGKYVANHLFEMEPQVAAPYVEMANIYASTGRWDEVAMIRLLMKRNNVSKSPGQSLVQVNGKTHRFTVEDRSHPEGVLIYTLLDDLALQLKDDGYPLYLGDISEMELI >EOY14282 pep chromosome:Theobroma_cacao_20110822:7:23009014:23013821:1 gene:TCM_033598 transcript:EOY14282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MSGHTVTQSLNGFSKFLTIKLWNSRIKDAVNQNSTEKALHLFRRMKQNGLEPNKLTFPFIAKACAKLSNIKYSQSIHTQIVKSPFGNDIFIQTAMVNVYVKCDHVDYAYKVFERMPQRDVAAWNAMLIGFARLGFLDKVFSLFGEMRFAGIHPDSVTVVGLSQGVSVAKSLELVEGLHSFGIRIGVAPNVTVANTWIAVYAKCGDLASAEKVFDEIDVAVRTVISWNSMIAGYAIFENFLAAFDLYQQMLVDGIRPDASSIVSLISSCVQPEALFQGKLIHSHGMQLGCDLNLSVINTLISMYSKCGDINSARFLFDCMSDRTCVSWTVMISGYAEKGDMDEAMTLFHSMEKAGETPDLVTVLSLISGCGQTGSLELGKWIDSYAKSRGFKEDVMICNALIDMYSKCGGICEAQEVFHAMPERTIVSWTTMILGCTVNGQFGEALDLFHRMRGLGFKPNHITFLAVLQACTHAGFLDKGWEVFNMMTAVYGINPGLDHYSCMADLLGRKGKLIEALKFVLNIPVKPDAGIWSALLSACKVHHNVEIGKYVANHLFEMEPQVAAPYVEMANIYASTGRWDEVAMIRLLMKRNNVSKSPGQSLVQVNGKTHRFTVEDRSHPEGVLIYTLLDDLALQLKDDGYPLYLGDISEMELI >EOY13401 pep chromosome:Theobroma_cacao_20110822:7:7992295:8002822:-1 gene:TCM_031961 transcript:EOY13401 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 isoform 2 MDLDPEDVFKDEEDGSDNEFFQQSASSKEYVVYLVDASPKMFNTTCPGKDQKDETHFHLAVSCIAESLKTQIISRSYDEVAICFFNTREKKNLQDLNGVFVFNVAEREHLDRPTARLIKEFDCLQESFMREIGSQYGIVPGSRENSLYNALWVAQALLRKGSIKTADKRILLLTNEDDPFGGLLGAAKADMTRTSLQRAKDAQDLGISIELLPLSCPDEEFNVKVFYADLLGLDGEDLVQFMPSAGQKLEDMKDQLRKRMFTRRIIRRITFDITNGLSIQLNTYALIRPAVPGAITWLDSVTNLPLKIERSLICEDTGALIQEPPKRFQPYRNENVKFSMDEISEMKGISTGCLRLLGFKPLSCLKDYHNLRPSTFVYPSDQEVVGSTCIFIALYRSMLRLKRFAVAFYGSSSHPQLVALVAQDEVAKAGVQIEPPGINMIYLPYSDDIRDVEEIFPDTDDDAPRADEDQIQKAAALIKRIDMRDFSVSQFANPETLCSTAGSSSRRR >EOY13402 pep chromosome:Theobroma_cacao_20110822:7:7993169:8002685:-1 gene:TCM_031961 transcript:EOY13402 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 isoform 2 MDLDPEDVFKDEEDGSDNEFFQQSASSKEYVVYLVDASPKMFNTTCPGKDQKDETHFHLAVSCIAESLKTQIISRSYDEVAICFFNTREKKNLQDLNGVFVFNVAEREHLDRPTARLIKEFDCLQESFMREIGSQYGIVPGSRENSLYNALWVAQALLRKGSIKTADKRILLLTNEDDPFGGLLGAAKADMTRTSLQRAKDAQDLGISIELLPLSCPDEEFNVKVFYADLLGLDGEDLVQFMPSAGQKLEDMKDQLRKRMFTRRIIRRITFDITNGLSIQLNTYALIRPAVPGAITWLDSVTNLPLKIERSLICEDTGALIQEPPKRFQPYRNENVKFSMDEISEMKGISTGCLRLLGFKPLSCLKDYHNLRPSTFVYPSDQEVVGSTCIFIALYRSMLRLKRFAVAFYGSSSHPQLVALVAQDEVAKAGVQIEPPGINMIYLPYSDDIRDVEEIFPDTDDDAPRADEDQIQKAAALIKRIDMRDFSVSQFANPALQRHYAVLQALALEEDDIPETIDETLPDEEGLAR >EOY13400 pep chromosome:Theobroma_cacao_20110822:7:7991585:8002891:-1 gene:TCM_031961 transcript:EOY13400 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 isoform 2 MDLDPEDVFKDEEDGSDNEFFQQSASSKEYVVYLVDASPKMFNTTCPGKDQKDETHFHLAVSCIAESLKTQIISRSYDEVAICFFNTREKKNLQDLNGVFVFNVAEREHLDRPTARLIKEFDCLQESFMREIGSQYGIVPGSRENSLYNALWVAQALLRKGSIKTADKRILLLTNEDDPFGGLLGAAKADMTRTSLQRAKDAQDLGISIELLPLSCPDEEFNVKVFYADLLGLDGEDLVQFMPSAGQKLEDMKDQLRKRMFTRRIIRRITFDITNGLSIQLNTYALIRPAVPGAITWLDSVTNLPLKIERSLICEDTGALIQEPPKRFQPYRNENVKFSMDEISEMKGISTGCLRLLGFKPLSCLKDYHNLRPSTFVYPSDQEVVGSTCIFIALYRSMLRLKRFAVAFYGSSSHPQLVALVAQDEVAKAGVQIEPPGINMIYLPYSDDIRDVEEIFPDTDDDAPRADEDQIQKAAALIKRIDMRDFSVSQFANPALQRHYAVLQALALEEDDIPETIDETLPDEEGLARPAVVKAIEEFKLSVYGDNYDEERDFLGKGKVGEASRKRKTIVENAVKESANYDWADLAENGQLKDLTVAALKTYLSAHNLPVTGKKEALISRILTRMGK >EOY13056 pep chromosome:Theobroma_cacao_20110822:7:5677767:5683695:1 gene:TCM_031576 transcript:EOY13056 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT (membrane bound O-acyl transferase) family protein MTCKMLNGKSWSKALMIAGSIVIYRWEQATNMALVKKAFVFMNFAYTLLVLNYSAVGFMVLNMHETLASYGCVYYIGIIVPIALLLLGYIIPAKPTRYKARKEQ >EOY12308 pep chromosome:Theobroma_cacao_20110822:7:1643724:1647253:-1 gene:TCM_030849 transcript:EOY12308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraacyldisaccharide 4'-kinase family protein, putative isoform 1 MEKLKRAVKEIAYARDQAKLSGFHRSLVPFLSFASSLYGVVLSLRRSLYCSGFFSRHRLPVPVISVGNLTWGGNGKTPMVEFIAKCLADYGISPLILTRFGLGSSNSEVKSGWFSTFGRVSQVSRIGSILAAFYFASGYAGGDEAKMLQRHLLGGPVKVGIGANRMATANLFFEKYGYVDCRGSKLFERTYLDQKVGSHISLEKIGAAILDDGMQHWSLCRDLEIVMINGLMLWGNCKLFPLGPLREPLMALKRADVAVVHHADLVLEQKLKDIELVIQEIKSLPVFYTRMAPSCFFEVSNINTKMHLGAVHNAVVLCVSAIGSSDAFVQGMEKMGPIYVDRFDFSDHHSFQTKDIHMMRERLRQLEDKFGYKPIVIVTEKDYDRDPQILKHLHPFQVLVLCSEMQIIARKGCNEHHLKLLLKELLEVKLSGAD >EOY12309 pep chromosome:Theobroma_cacao_20110822:7:1644452:1647253:-1 gene:TCM_030849 transcript:EOY12309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraacyldisaccharide 4'-kinase family protein, putative isoform 1 MEKLKRAVKEIAYARDQAKLSGFHRSLVPFLSFASSLYGVVLSLRRSLYCSGFFSRHRLPVPVISVGNLTWGGNGKTPMVEFIAKCLADYGISPLILTRGYAGGDEAKMLQRHLLGGPVKVGIGANRMATANLFFEKYGYVDCRGSKLFERTYLDQKVGSHISLEKIGAAILDDGMQHWSLCRDLEIVMINGLMLWGNCKLFPLGPLREPLMALKRADVAVVHHADLVLEQKLKDIELVIQEIKSLPVFYTRMAPSCFFEVSNINTKMHLGAVHNAVVLCVSAIGSSDAFVQGMEKVYFLTFDVIYLEASYILFSGAINM >EOY12641 pep chromosome:Theobroma_cacao_20110822:7:3084519:3085615:-1 gene:TCM_031135 transcript:EOY12641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRERWESLQGMFEGTNVHGIGGGYGYQLKESMGNSKENREGRSDEGEKISSESEDDEKKNNELGRKLGEEWELNRMRRSKGVKEEVTLRIVTLEDVGGGNSSHQTIMTGDEKRPVLFLSTGSNVVSKDF >EOY12658 pep chromosome:Theobroma_cacao_20110822:7:3239579:3249340:1 gene:TCM_031163 transcript:EOY12658 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MDWILSFVEVIRSFGVPYINHHRKLEDYMNEFRGNVNSLNSRKRDLELKMKAEERCGKKMKKEVENWLKDVEKANNEVKNIEEKFHSVSFLSRGRLGSFVCQNIEKVNKIYQEGSFLDGVAIDGPPPIGLSFSTTNPEGQINVRTRIWEYLMGDGVPMIGVCGMGGIGKTTLMKYINDQLLKETEKFDIVIWITVSKELNIFKLQNDIAEFLKLQSLPNNELQRAARLKNYLEGRRYVLILDDVWEQFSLLDVGIPDPTLSMGRKVVLTSRLANVCRCMDCEVVKVQPLSKNESINLFLNAVGLSDGQYQRLKDITDKVVEQCDGLPLSIVTIAGSMKGVDDICEWRNALAELENRVESVIEWDIKIFQQLKFSYDRLKDPNIKNCFLYCSLYPEDFVIERLGLIESWIDEGLLGLGTKEAMQDRGQSILNKLEDFFLLERATGFYDEGVKMHDVLRDMALSIAGHQFMGKAGMQLKEFPSEQKWTVSVEKVSLMRNYMLEIPPHISPKWPHLSTLILEDCGLQRISESFFKHMPGLKVLNLSYNYSLEYLPNSISNLKTLNALVLFRCEKLKYVPSLVELTALRKLNLWKTRIEEVPHGIEMLENLRDLLMTSWALEELPVGILRRICHLQCLMIGMTFVKGEEVGQLRKLEWVSCSFRNVQEFKKYAECTQGKCPTSFIFQVGGTPLLRNFGHPPNFKKIEKGVIFTDSEIERCDDRVVPHGLQTLTIVNCDDFKCLNNIPLFRKATDLKECRISHCEGMECVVDLSLSSCDALDNIEELGLFLMENLREVVRVGVAVEIESTSHAPTTPAIFSSLKILNLHFCCGVKKLFPVELLQGLQNLENISVLGCAEMEEIMASEENHEGEGTTLFILPKLKSLELKNLPKLKSICSGGLMIPTDSLQYLYIIKCPEVKRIPLSLPLVENGKPSLPPSLKEITVWSREWWESVEWDQPDAKDVLSPFLRYSQW >EOY13521 pep chromosome:Theobroma_cacao_20110822:7:9042422:9050211:1 gene:TCM_032126 transcript:EOY13521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-6-phosphate synthase, putative MHYVHYMLLQFAGAAQSLGAGAILVNPWNITEMAASIGQALNMRADEREKRHRHNFHHVTTHTAREWVETFVSYIVEESLDYTYRVLRRGNRMGPLEIRVVKHGTFDALMDLFIAKGTSVSQYKTPRCIKSEEALKIMDVGVAGRYFSPKSPCEETK >EOY13475 pep chromosome:Theobroma_cacao_20110822:7:8700383:8704534:1 gene:TCM_032075 transcript:EOY13475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 41 MGLWVHSSFLVSSSLFMVLGLVCQGNAAAYGPSPGCDLFTGRWVFDPSYPLYSASACPFIEREFSCLKNGRQDLIYTQYRWQPLGCTLTRFNALNFLETFRGKSIMFVGDSLSRNQWQSLTCMLHSAVPNAEFNITRVGDVSTFEFLDYGVKVMLDRSVYLVDVVMEKIGRVLKLDSIEGGKLWKGIDMLIFNTWHWWNRRGPTQPWDFIEVGGVIKKDMDRMLAFETALNTWARWVDANIDPAKSLVFFQGISPSHYNGSSWNEPNAKNCLGQKQPLLGTTYPGGLPPALDVLKKVLSTVKKPVKLLDITMLSLLRKDGHPSMYGREGPTGMDCSHWCLAGVPDTWNEILYNLIL >EOY12244 pep chromosome:Theobroma_cacao_20110822:7:1469162:1471000:1 gene:TCM_030807 transcript:EOY12244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFKEQAFSLEFIEEKPSSEKPMNMVVSALGIEQKMEAMNKNEGEEKEESYCLCNLPDDLLRIILSYLSVLDYINFRAVCKCWRLAFSKFAAVLSQEKPGRELPCFLVLKREHSVDKSIMSDYHRPVLHTTGMLGSLCSSSSSRRIYRTNMPELCGTRILLSKYGWLLLFRSGGIDSSELYFFNPFSRAKILLPFLDVSMLRNPVFAISAPPTSPDCMVFIISYVEPNQDYIHLCSRGDSAWNCVTAGHNGPALNVVFAKGIWYCLHALGDLVAYDPAHLCYNLLDIKDYSPGHFTFVSYPVSRGEQIFLRVHGLRCFEVPLVYYSEPLVAKEIVCQADGKLNYQDCEYLFRTDVKEAIVQEKGLVSVDSFNVDAWCAGLDADDDDAIEFRWHWFTNKRTALYRLHGTSCHYNHDCSTFVMWVEPVWVQPSPNLSWNL >EOY12240 pep chromosome:Theobroma_cacao_20110822:7:1446436:1447664:1 gene:TCM_030803 transcript:EOY12240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIFSTKPNQTRFWVMGKQSFLVLKDGPELFVFLFVTSNTSCFTLLQNLSAGIQLFKHGNLLYISFSTKAKQAATIGGKNIDRNYSELIKREGKRTKLCFEINQGEPFRTVPATVPCSQWCRKELSSGLNLLYQ >EOY13431 pep chromosome:Theobroma_cacao_20110822:7:8300885:8305176:1 gene:TCM_032005 transcript:EOY13431 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOMON domain-containing protein / dopamine beta-monooxygenase N-terminal domain-containing protein MYNSESFLPILLLLTNFLFFSNADSGRKCSNTSSLIGFESNFTMVQHQLRGHLKILDDCSFQVTRFDILSGSADVVFWGAVSLDFSNLTRGFPISDHRLNQTTYKNASFSLQLLSNLTWSQINVLSVWDRITNSDFGHVTLPLNGSDSEPVRVHTMLDNCKSLSDNYRVRWSLNVEENWIEIGLEAATGMMNYMAFGWANPNRTTELMSGADVAVAGFTEEGRPFVDDFYITTYSECMLNATDGSAIGVCPDVVYENSENDMLVNNTRLIYGHRRDGVSFVRFRKPLKSPDEKYDLPVNPTEEMTVIWALGLMKPPDSIRPNYLPQNHGGPRRVTYGHLVLNVSEKVDDCLGPLDADDKEDQDLIIADANVPLIVTAGEALHYPNPPNPTKVLYINKKEAPVLRVERGVPVKFSVQAGHDVALYITSDSLGGNATSRNATETIYAGGPEAEGVLASPFELVWAPDRNTPDQVYYQSLYQQKMGWRVQVVDGGLSDMYNSSVFLDDQQVTFFWTLSEDLISIAARGVKKSGYLAIGFGSGMVNSYAYVGWIDNIGKGRVNTYWIDGKDASNVHPTNENLTHVRCRSENGIITLEFTRPLKPSCSHNNGPECKNIVDPTTPLRVIWAMGAKWTDEHLSERNMHSVTSQRPVRVLLMRGSSEAEQDLRPVLTVHGYMMFLAWGILLPGGILAARYLKHVKGDGWYQIHVYLQYSGLAIVLLALLFAVVELRGFYVSSLHVKFGITAIFLACVQPVNAFLRPEKPANGEEVSSKRLLWEYFHVIVGRGAIVVGIAALYSGMKHLGERYGGENVHGLSWALIIWFMIGALMIIYLEYRERQRRRDRLIGRGNWVLGNVEEEEDSVDLLSPNRALTQKGSQNSGLMEVQLEPLSR >EOY12082 pep chromosome:Theobroma_cacao_20110822:7:842158:846693:1 gene:TCM_030683 transcript:EOY12082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MELEFPPFSILLTTLLFLSMIARVGKALKDKKPSQRLPPGPWKLPFIGNIHQLGGSLPHCTLRNLALKHGPLMHLQLGAVSTVVLSSPEFAEEAMRKNDVTFASRPYQLAPGIISYDCTNIVFSPYGSYWKQLRKICVMELLSSQRVKSFKRVREEMVSSLIKTMSSSQSSPINLSQKIFSLTYSTTAKVAFGSKCKGQETFVSVITEVNKLATGLTLADLYPSVKALQMISGIRTKLEKLHREADGILENVIAEHKERPRKENGSGGDEKDIVDMLLDLQEHGNLDFPLSANNIKSVILDIFAAGSETSSTIVEWAMSELLKNPGSMEKAKAEVRDVFKGKGYVDEESFHELKFLKSVIKETLRLHNPVPLIPRECRENCKLGGYEIPAKTKVLINSWAITRDPRHWSEPDKFNPENFLNSSLDFKGTDFQYIPFGAGRRICPGISFAHANIEMQLAQFLYHFDWKLPNGMKHEELDMTDAPGLTIRRKHDLFAIPIPYHPCPS >EOY13406 pep chromosome:Theobroma_cacao_20110822:7:8025079:8044661:-1 gene:TCM_031966 transcript:EOY13406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRNPESCLRLDESEDIEINNSGEESRLLSTEHSNKETVEESTEVLDMLVGSNDTRNIDNEALASVEGSFQEADLVDVLLTEQEERLMDVGGFGLFMSPVAERLGKNFKPPSSGYRKNTGLSVCIGSGVSDILRRNMITIQEPETTWNVSSRLGFVFETNRGEVVCLGRGEKKRAVRRLIMDRIRKEDRKCGLGNIYVPNEVGARSELRLDLIDNLRDLSFKWCIGGDFNAVMNSRERSSGLDDGRSSVDFVFLIDAIQMINMPMAADLRLFRGAVFGRNGFLVTHLQYVDDTLVFCKPDFKDLTNIERWLRCFEAVAGLEINFQKSIPYGIGVD >EOY12460 pep chromosome:Theobroma_cacao_20110822:7:2283742:2295917:-1 gene:TCM_030969 transcript:EOY12460 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding,nucleotide bindin isoform 1 MNNGGNSRKRPPPPSQRQPFPATKHQVTSPAPPPLPTEEDFVDEDVFLEETLMGEEDVESLILRDVEERQALASRLSKWARPPLSGAYTSQSCSIVFQQLEIDYVIGESNKDLLPDSSGPAAIIRIFGVTREGHSVCCLVHGFEPYFYISCPPGMGPDDISRFQQTLEGRMKELNRNSKVPKFVRRVELVQKRSIMYYQRQKSQPFLKIVVALPTMVTSCRGILDRGIQIDGLGMKSFMTYESNVLFALRFMIDCNIVGGNWIEIPAGKYKKTAKNLTYCQLEFDCLYSELISHAPEGDFSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQVANLVTLQGKDQPFIRNVMTLKSCSPIVGVDVMSFDTEKEVLLAWREFIREVDPDIIIGYNICKFDLPYLIERAQTLGIAEFPILGRIRNSRVRVKDTTFSSRQYGTRESKEVTVEGRVQFDLLQVMQRDYKLSSYSLNSVSAHFLSEQKEDVHHSIISDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMFIYNYVEMARVTGVPLSFLLSRGQSIKVLSQLLRKSKQKNLVIPNVKQAGSEQGTYEGATVLEAKAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPEDVRKFNLPPECVNKTPSGETFVKPNLQKGILPEILEELLGARKRAKADLKEAKDPLEKAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTVLGGYEHNAEVIYGDTDSVMVQFGVPDVEAAMNLGREAAEYISGTFTKPIKLEFEKVYYPYLLISKKRYAGLFWTNPQKFDKMDTKGIETVRRDNCLLVKNLVNECLHKLLIDRDIPGAVQYVKNTISDLLMNRMDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKAAKGAKAYERSEDPIYVLENNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRSISISTPSNSGIMRFAKKQLSCIGCKALISNTDRTLCSHCKGREAELYYKTVSQVSELEELFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMVEAKRQLDRWNF >EOY12459 pep chromosome:Theobroma_cacao_20110822:7:2285450:2295913:-1 gene:TCM_030969 transcript:EOY12459 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding,nucleotide bindin isoform 1 MNNGGNSRKRPPPPSQRQPFPATKHQVTSPAPPPLPTEEDFVDEDVFLEETLMGEEDVESLILRDVEERQALASRLSKWARPPLSGAYTSQSCSIVFQQLEIDYVIGESNKDLLPDSSGPAAIIRIFGVTREGHSVCCLVHGFEPYFYISCPPGMGPDDISRFQQTLEGRMKELNRNSKVPKFVRRVELVQKRSIMYYQRQKSQPFLKIVVALPTMVTSCRGILDRGIQIDGLGMKSFMTYESNVLFALRFMIDCNIVGGNWIEIPAGKYKKTAKNLTYCQLEFDCLYSELISHAPEGDFSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQVANLVTLQGKDQPFIRNVMTLKSCSPIVGVDVMSFDTEKEVLLAWREFIREVDPDIIIGYNICKFDLPYLIERAQTLGIAEFPILGRIRNSRVRVKDTTFSSRQYGTRESKEVTVEGRVQFDLLQVMQRDYKLSSYSLNSVSAHFLSEQKEDVHHSIISDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMFIYNYVEMARVTGVPLSFLLSRGQSIKVLSQLLRKSKQKNLVIPNVKQAGSEQGTYEGATVLEAKAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPEDVRKFNLPPECVNKTPSGETFVKPNLQKGILPEILEELLGARKRAKADLKEAKDPLEKAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTVLGGYEHNAEVIYGDTDSVMVQFGVPDVEAAMNLGREAAEYISGTFTKPIKLEFEKVYYPYLLISKKRYAGLFWTNPQKFDKMDTKGIETVRRDNCLLVKNLVNECLHKLLIDRDIPGAVQYVKNTISDLLMNRMDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKAAKGAKAYERSEDPIYVLENNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRSISISTPSNSGIMRFAKKQLSCIGCKALISNTDRTLCSHCKGREAELYYKTVSQVSELEELFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMVEAKRQLDRWNF >EOY12461 pep chromosome:Theobroma_cacao_20110822:7:2286674:2295917:-1 gene:TCM_030969 transcript:EOY12461 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding,nucleotide bindin isoform 1 MNNGGNSRKRPPPPSQRQPFPATKHQVTSPAPPPLPTEEDFVDEDVFLEETLMGEEDVESLILRDVEERQALASRLSKWARPPLSGAYTSQSCSIVFQQLEIDYVIGESNKDLLPDSSGPAAIIRIFGVTREGHSVCCLVHGFEPYFYISCPPGMGPDDISRFQQTLEGRMKELNRNSKVPKFVRRVELVQKRSIMYYQRQKSQPFLKIVVALPTMVTSCRGILDRGIQIDGLGMKSFMTYESNVLFALRFMIDCNIVGGNWIEIPAGKYKKTAKNLTYCQLEFDCLYSELISHAPEGDFSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQVANLVTLQGKDQPFIRNVMTLKSCSPIVGVDVMSFDTEKEVLLAWREFIREVDPDIIIGYNICKFDLPYLIERAQTLGIAEFPILGRIRNSRVRVKDTTFSSRQYGTRESKEVTVEGRVQFDLLQVMQRDYKLSSYSLNSVSAHFLSEQKEDVHHSIISDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMFIYNYVEMARVTGVPLSFLLSRGQSIKVLSQLLRKSKQKNLVIPNVKQAGSEQGTYEGATVLEAKAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPEDVRKFNLPPECVNKTPSGETFVKPNLQKGILPEILEELLGARKRAKADLKEAKDPLEKAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTVLGGYEHNAEVIYGDTDSVMVQFGVPDVEAAMNLGREAAEYISGTFTKPIKLEFEKVYYPYLLISKKRYAGLFWTNPQKFDKMDTKGIETVRRDNCLLVKNLVNECLHKLLIDRDIPGAVQYVKNTISDLLMNRMDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKAAKGAKAYERSEDPIYVLENNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRSISISTPSNSGIMRFAKKQLSCIGCKALIRI >EOY13588 pep chromosome:Theobroma_cacao_20110822:7:9382985:9386644:1 gene:TCM_032188 transcript:EOY13588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar essential protein-related isoform 2 MDGIKGKYPLKQTPSPSTKASHGKAANLNPHHFPVFGVTVFFSPLHPKGKKRKTREEKYDREEDEEVEVEEKESAKRGFIEKPQGEAPDEEEEEKESGAHELVGIPIAPSDQNTKKAGVIFVLEKASLEVAKVGKSFQLLNSDDHANFLRKNKKNPADYRPDIAHQALLAILDSPINKAGRLQAVYVKTEKGVLFEVKPHVRIPRTYKRFSGIILQLLQQHKITAVGKRESLLRLIKNPVTQYFPVNSRKIGFSYSSEKLVKMSKYVDAVGDDVNLVFMVGAMAHGKIETEYVDDFIAISGYPLSAAMCIARITEALAEKWNIL >EOY13590 pep chromosome:Theobroma_cacao_20110822:7:9383891:9386199:1 gene:TCM_032188 transcript:EOY13590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar essential protein-related isoform 2 MVRPYAMKGKKRKTREEKYDREEDEEVEVEEKESAKRGFIEKPQGEAPDEEEEEKESGAHELVGIPIAPSDQNTKKAGVIFVLEKASLEVAKVGKSFQLLNSDDHANFLRKNKKNPADYRPDIAHQALLAILDSPINKAGRLQAVYVKTEKGVLFEVKPHVRIPRTYKRFSGIILQLLQQHKITAVGKRESLLRLIKNPVTQYFPVNSRKIGFSYSSEKLVKMSKYVDAVGDDVNLVFMVGAMAHGKIETEYVDDFIAISGYPLSAAMCIARITEALAEKWNIL >EOY13589 pep chromosome:Theobroma_cacao_20110822:7:9383540:9386491:1 gene:TCM_032188 transcript:EOY13589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar essential protein-related isoform 2 FFSPLHPKGKKRKTREEKYDREEDEEVEVEEKESAKRGFIEKPQGEAPDEEEEEKESGAHELVGIPIAPSDQNTKKAGVIFVLEKASLEVAKVGKSFQLLNSDDHANFLRKNKKNPADYRPDIAHQALLAILDSPINKAGRLQAVYVKTEKGVLFEVKPHVRIPRTYKRFSGIILQLLQQHKITAVGKRESLLRLIKNPVTQYFPVNSRKIGFSYSSEKLVKMSKYVDAVGDDVNLVFMVGAMAHGKIETEYVDDFIAISGYPLSAAMCIARITEALAEKWNIL >EOY12803 pep chromosome:Theobroma_cacao_20110822:7:4219549:4225193:1 gene:TCM_031324 transcript:EOY12803 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MYNSILLQKYGDESFSQPEFDPHTWTEAIGGKETTRTHVYGFGTRVPTTALLTGTQSNVVTSESACGPLNSNFNSPANALKEKVENLAQNLNKIREEIRGEIRKEMRNVMAEDMSEFMARMETMFMSNACSTLGDAGPSRLDKSRQTRAEKDNPVRPGPPGAEATTIRRFLLDFYRNFKSGQAKKRNLHEDIQVLRRALDKLNILREDVETRVDEGLHMGKIAKRDVKIWLKQVRAINKEAQIVEQDLEAMSYFSCKRLSELVGQKIQALEETYQQGSFVQGLFTDEPSTSEATLPTMKLGGEPTVKETIWGFLMGDEVGMIGVCGIGGIGKTTIMMHIKIQLLKENKFDKVLWVTMSRKLNVVKLQHDIASAMQKRLPQDEYTSKRAAALLAILGRVRYVLIIDDVHENFSLKDIGIPQPAHSRSKLVLVSRSVEVCQSMGCKVVKVQPLSKEESLDLFLDKVGHGLLLAPTLEKLVKLVVEHFSGLPLSIITIASSMKGVDDVCDWRNLLRELCACSSRVKDMQTLMLNHLNFSFNRLKDPNIQKCVLYCALYPEDCRISRNELIEYWMAEGLVDELGSRRTMYENGHTIINRLEGICLLDRADDGENVMMADVVRDMLLLSKDICPRFMVKAGMQLKEVPSEQEWKEELEMVSLINNTISDIPPKMSPKCQILSTLLLQGNHTLKKIPETFFQQMQQLKTLNLSNTGIVGLPCSVSSLENLTALLLYGCEKLTHMPSLAKLSALKKLDLHGTWIREVPHGMEMLINLRYLDLHIWSLKELPKGILPKFSHLQYFVFVGCLRGEDAVGLRKLETFSGGFYESKDFNKYVCSVKGEVPTYYQLVVGQVPGPYRGISKYYKDVILKGCNIGGEDPVALPNDLQFLWIEWCYGVKSLTDISLFQEETSLRICHIWSCQEIECVLDLSSSTSSYSPLQKLEKLELLSLYNLCVLVKVKTAAAAPALREPAAPGIFFCLKIFSINHCNSIKKLFPSGLLKDLQNLEEILVVFCEQMEEIIASEEEEENHKGEGSNETITFTLPNLRQLSFFELPKLERICCEKGVMVCDSLQNIEIRKCRNLKRIPLHLPLLDNGQPYLPPSLKLIEVSPKRWWESLKWDHPNAKNALLPLCQFIEEIPSPKQIPPQMLMY >EOY12277 pep chromosome:Theobroma_cacao_20110822:7:1547574:1548440:-1 gene:TCM_030832 transcript:EOY12277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific Stig1 family protein, putative MELTKIVVAIAITFAITITLAMKSIVVTEEKQPASNLINGGSSSSSTFQPSSQHEPKPMPSKRVSRFLQDKARNPRAADHCRKDQEVCHVQGGNNTTCCNNKCVDLVTDGHNCGACRNKCKFTQVCCRGECVYISMDKRHCGACNHRCKHGEYCVYGMCNYA >EOY12494 pep chromosome:Theobroma_cacao_20110822:7:2353991:2355709:-1 gene:TCM_030983 transcript:EOY12494 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI superfamily protein, putative MAKQVKVSEVADRISSLPDELLSHIISFLPLKQAVCTSILSKRWSKISTLMSNLNLEDSFTRRKSDSHNFMNFVDRVLFYHIGVVDKFRIRCGGFVDSYRLEGWIRYALQNDVRELDLSVACKKFNMLPIGVFTCGTLVALRLHSHKRCMFDLKVPVKIRLPSLKILHLSGIGLLDDDPINRLLSSCSLLEELVVDRCILKTRCRFNISIPTLKRLTIVFHERAYKDYEIVIDAPSLVYFKCRQIPKGFFHKNLNSLVEADIEFGKVLESANTFTSYNTAATDLFKGICNVKSLRICGLFGKVFPQGKIVIPELPKLTSLSIDGCFFVGWESMLPVLLASFPRLEALVLKVNIEIVKEVPSSERFPSCLWSQLKTLKILSCQGRKEMQMVGFFLKNAEVLENLSVEPRERKRPKPEKWRSKITKKLLKLPKASKNCKVLLV >EOY12855 pep chromosome:Theobroma_cacao_20110822:7:4427433:4429162:-1 gene:TCM_031364 transcript:EOY12855 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain transcriptional regulator superfamily protein isoform 2 MKSFWCSICAGKLLGIIFLYKSLEKSICTSLILGFYQVSSSIPTKGSTFLLLYFPCLKIVFADQCQLLDIGKAIFGEKEWYFFSPRDRKYPNGSRPNRVAGTGYWKATGTDKVITTEGRKVGIKKALVFYVGKAPKGTKTNWIMHEYRLIEASRKNGSSKLDDWVLCRIYKKNSSAQKPLSSVASKEHSNNGSSSSSSSQLDDMLESFPELDDRYFGLPRMNSLKTLQNDAKTGFHNLGSGNLDWASLAGLNSVPELVPSGQTQTQSQGIPGYGNNDVYVPTMQPTLCQMDTSTNKIGNSVDEEVQSGLRTQRADNSGFFQQNSSVLTQNFSNSMDPYGFRYPTHPGGFGFRQ >EOY12853 pep chromosome:Theobroma_cacao_20110822:7:4427359:4429340:-1 gene:TCM_031364 transcript:EOY12853 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain transcriptional regulator superfamily protein isoform 2 MGVPETDPLAQLSLPPGFRFYPTDEELLVQYLCRQVAGHHFSLQIIGEIDLYKFDPWVLPSKAIFGEKEWYFFSPRDRKYPNGSRPNRVAGTGYWKATGTDKVITTEGRKVGIKKALVFYVGKAPKGTKTNWIMHEYRLIEASRKNGSSKLDDWVLCRIYKKNSSAQKPLSSVASKEHSNNGSSSSSSSQLDDMLESFPELDDRYFGLPRMNSLKTLQNDAKTGFHNLGSGNLDWASLAGLNSVPELVPSGQTQTQSQGIPGYGNNDVYVPTMQPTLCQMDTSTNKIGNSVDEEVQSGLRTQRADNSGFFQQNSSVLTQNFSNSMDPYGFRYPTHPGGFGFRQ >EOY12854 pep chromosome:Theobroma_cacao_20110822:7:4427821:4428991:-1 gene:TCM_031364 transcript:EOY12854 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain transcriptional regulator superfamily protein isoform 2 MKSFWCSICAGKLLGIIFLYKSLEKSICTSLILGFYQVSSSIPTKGSTFLLLYFPCLKIVFADQCQLLDIGKAIFGEKEWYFFSPRDRKYPNGSRPNRVAGTGYWKATGTDKVITTEGRKVGIKKALVFYVGKAPKGTKTNWIMHEYRLIEASRKNGSSKLDDWVLCRIYKKNSSAQKPLSSVASKEHSNNGSSSSSSSQLDDMLESFPELDDRYFGLPRMNSLKTLQNDAKTGFHNLGSGNLDWASLAGLNSVPELVPSGQTQTQSQGIPGYGNNDVYVPTMQPTLCQMDTSTNKIGNSVDEEVQSGLRTQRADNSGFFQQNSSVLTQNFSNSMDPYGFRYPTHPGGFGFRQ >EOY12147 pep chromosome:Theobroma_cacao_20110822:7:1132784:1136188:-1 gene:TCM_030738 transcript:EOY12147 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA like 1, putative MSSQNLLASVPPSLKEIESAIKLIDVKKEELKKAFDDLQANALHLSSFSISWSDLDSHFTAIQNSVTQRFRILESRESADRPVGAVPVLEHTRCSAQPLSVKQRDQSGSEPLTRQDRVESVTDSIANRPLNQLVPLNFEQPSSSNSVGLQSNGLAPASSRIDSVVTRPELKEFCERMDGKGLRKYVNDHQKEREAIRMEFPGALKSAPDPAAMVLDAMEGFHAENSQSKGDKDPELFGLRRVCVFLLEQLMETGVSFSDEVRERAKQLALEWKGKLRLSRDNSLETLAFLHLVAAYGLGAVVDKEELVGYFVIIARYRQATMLCRSIGLGEKVHDLIQKLLDSGKQLLAVRFIFEFGLAEKFPPVHLLKEYLKETTKLAKQVCKDGKNSLKSQNEATAKEIGALKAVIKVIQEHKLETEYPQEDLQKRIEQLEKQQADRKRPAAAPAAKPQQQQQQQQAKQQTKKKKLAQVKQQQSGNKRPRTFASAVPMAAPLGVAGASSAVPPFQQSHLQPAGLLPDCSAAYLGSPAGPYGLAGSATVPPYAGSSVGLYGLAGAPMGFSMNPNPAASHLYPYDRLTTYSAYGLPPHYHPSYHPQ >EOY13539 pep chromosome:Theobroma_cacao_20110822:7:9136453:9137463:-1 gene:TCM_032143 transcript:EOY13539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA small subunit methyltransferase G, putative MFHLTSSFVFFYRESMEALWDLEEKWKLTTQEAVLLLACAASAVVGLCAATVLKKKRKAQKKQMVDRDRVADGAVHAKWCEPSCNWVSAKRVFMGSAMWSGANRWGERSFGWEERPPPLLGLEGYDSCAGWRSHNSDSPVWQRPILMGEKCELPRFSGLILYDERGQLLDHSAKGSSDQEETLQEETTGRIRTTLRDLL >EOY12760 pep chromosome:Theobroma_cacao_20110822:7:4058539:4066325:-1 gene:TCM_031292 transcript:EOY12760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 14 isoform 4 MEEINPMELLRSNLSRVRIPEPTNRIYKQECCLSFDSPRSEGGLFIDMNTFLAFGKGYVVWNYEKTGNPVYLHIKQTKKLVPEDRPSKKPTLLAIGIDGGFDNNEPEYEETHNIVILPNYVALPFPSVELPEKVRLAVDAILMAEGAERKEQVAAWTADKKQISAYAMDLRQIGSVVVPPSGWKCTKCDKTENLWLNLTDGMILCGRRNWDGTGGNNHAIEHYKETGYPLAVKLGTITADLEAADVFSYPEDDSVVDPLLAQHLAYFGIDFSSLQKTEMTTAERELDQNTNFDWNRIQESGQEVEPIYGPGYTGLVNLGNSCYMAATMQVMFSTHSFCRQYYMNQSLKMAFETAPADPTVDLNMQLTKLGHGLLSGKYSVPASEKDGTTAPAITDAKQEGIPPRMFKAVIAASHPEFSTMRQQDALEFFLHFLDQVERSNAVKPELDPSRSFKFGVEERILCSSGKVAYNKRLDYILSLNIPLHEVTNKEELEAFHKIKAEKISEGKDVSSDEIVRPRVPLEACLASFAAPEEIPEFYSTALKAKTTAIKTAGLTSFPDYLVLHMRKFVMEAGWVPKKLDVYIDVPDIIDISHMRSKGLQPGEELLPESAPGSEAESSQPVANEEIVAQLVSMGFNQLHCQKAAINTLNTGVEEAMNWLLSHMDDPDIDAPICHGAQGAGASVDQSKVDTLISFGFQEEIARMALNASGGDIEKATDWIFNNPNASASSDMDTTTSSSSVPTPVDAGLPDGGGRYRLFGIVSHIGTSTQCGHYVAHILKDGRWVIFNDNKVGASINPPKDMGYLYFFERITS >EOY12762 pep chromosome:Theobroma_cacao_20110822:7:4059112:4065605:-1 gene:TCM_031292 transcript:EOY12762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 14 isoform 4 MNTFLAFGKGYVVWNYEKTGNPVYLHIKQTKKLVPEDRPSKKPTLLAIGIDGGFDNNEPEYEETHNIVILPNYVALPFPSVELPEKVRLAVDAILMAEGAERKEQVAAWTADKKQISAYAMDLRQIGSVVVPPSGWKCTKCDKTENLWLNLTDGMILCGRRNWDGTGGNNHAIEHYKETGYPLAVKLGTITADLEAADVFSYPEDDSVVDPLLAQHLAYFGIDFSSLQKTEMTTAERELDQNTNFDWNRIQESGQEVEPIYGPGYTGLVNLGNSCYMAATMQVMFSTHSFCRQYYMNQSLKMAFETAPADPTVDLNMQLTKLGHGLLSGKYSVPASEKDGTTAPAITDAKQEGIPPRMFKAVIAASHPEFSTMRQQDALEFFLHFLDQVERSNAVKPELDPSRSFKFGVEERILCSSGKVAYNKRLDYILSLNIPLHEVTNKEELEAFHKIKAEKISEGKDVSSDEIVRPRVPLEACLASFAAPEEIPEFYSTALKAKTTAIKTAGLTSFPDYLVLHMRKFVMEAGWVPKKLDVYIDVPDIIDISHMRSKGLQPGEELLPESAPGSEAESSQPVANEEIVAQLVSMGFNQLHCQKAAINTLNTGVEEAMNWLLSHMDDPDIDAPICHGAQGAGASVDQSKVDTLISFGFQEEIARMALNASVQCLLLFYFF >EOY12761 pep chromosome:Theobroma_cacao_20110822:7:4059112:4065605:-1 gene:TCM_031292 transcript:EOY12761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 14 isoform 4 MNTFLAFGKGYVVWNYEKTGNPVYLHIKQTKKLVPEDRPSKKPTLLAIGIDGGFDNNEPEYEETHNIVILPNYVALPFPSVELPEKVRLAVDAILMAEGAERKEQVAAWTADKKQISAYAMDLRQIGSVVVPPSGWKCTKCDKTENLWLNLTDGMILCGRRNWDGTGGNNHAIEHYKETGYPLAVKLGTITADLEAADVFSYPEDDSVVDPLLAQHLAYFGIDFSSLQKTEMTTAERELDQNTNFDWNRIQESGQEVEPIYGPGYTGLVNLGNSCYMAATMQVMFSTHSFCRQYYMNQSLKMAFETAPADPTVDLNMQLTKLGHGLLSGKYSVPASEKDGTTAPAITDAKQEGIPPRMFKAVIAASHPEFSTMRQQDALEFFLHFLDQVERSNAVKPELDPSRSFKFGVEERILCSSGKVAYNKRLDYILSLNIPLHEVTNKEELEAFHKIKAEKISEGKDVSSDEIVRPRVPLEACLASFAAPEEIPEFYSTALKAKTTAIKTAGLTSFPDYLVLHMRKFVMEAGWVPKKLDVYIDVPDIIDISHMRSKGLQPGEELLPESAPGSEAESSQPVANEEIVAQLVSMGFNQLHCQKAAINTLNTGVEEAMNWLLSHMDDPVMYLFRYRCSYLSWGTRCWGICRPIKS >EOY12763 pep chromosome:Theobroma_cacao_20110822:7:4058984:4064325:-1 gene:TCM_031292 transcript:EOY12763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 14 isoform 4 MAEGAERKEQVAAWTADKKQISAYAMDLRQIGSVVVPPSGWKCTKCDKTENLWLNLTDGMILCGRRNWDGTGGNNHAIEHYKETGYPLAVKLGTITADLEAADVFSYPEDDSVVDPLLAQHLAYFGIDFSSLQKTEMTTAERELDQNTNFDWNRIQESGQEVEPIYGPGYTGLVNLGNSCYMAATMQVMFSTHSFCRQYYMNQSLKMAFETAPADPTVDLNMQLTKLGHGLLSGKYSVPASEKDGTTAPAITDAKQEGIPPRMFKAVIAASHPEFSTMRQQDALEFFLHFLDQVERSNAVKPELDPSRSFKFGVEERILCSSGKVAYNKRLDYILSLNIPLHEVTNKEELEAFHKIKAEKISEGKDVSSDEIVRPRVPLEACLASFAAPEEIPEFYSTALKAKTTAIKTAGLTSFPDYLVLHMRKFVMEAGWVPKKLDVYIDVPDIIDISHMRSKGLQPGEELLPESAPGSEAESSQPVANEEIVAQLVSMGFNQLHCQKAAINTLNTGVEEAMNWLLSHMDDPGYRLFGIVSHIGTSTQCGHYVAHILKDGRWVIFNDNKVGASINPPKDMGYLYFFERITS >EOY12711 pep chromosome:Theobroma_cacao_20110822:7:3611623:3616907:1 gene:TCM_031238 transcript:EOY12711 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative isoform 1 MEGLIIILLCSFVLPHVIISTTVDSIDTTHPIRDGETLVSASEIFELGFFSPGGTGKRYLGIWYKKSVKTVVWVANREVPLNDSSGVAKVTNQGILVLLSGEGKTIWSSHSSRPVRNPVAQLLDSGNLVVKDEWDESFIWQSFDYPGDTLLPGMKIGKDFVTGLHRYLSSWVHYRLVQEDTCDNYGLCGANGFCNNNNSPVCTCLSGFVPKNSEERDKDPGSGGCVRKTRLNCSGDGFQEISGVKFPDSGQPPSNNSMNLEECNIQCTKNCSCTAYANLDIRDGGSGCLLWFGDLIDIKHSTGRGQSIYVRMAGTELDQTHSSSTNKSSSKKKMWIAVISAFVVVVVTVGIALVLFLGRKKRQKKKGSMQGLSGSSNKYKDQKDDLELPLFDFATIARATNNFSPMNKIGEGGFGSVYKGILEDGQEIAVKRLSKSSTQGDNEFKNEVDQIAKVQHRNLVKLLGCCIETDEKALIYEFMPNKSLDFFIFDQAQSMSMDWPMRHNIINGIARGLLYLHQDSRQRIIHRDLKAANILLDNEMNAKISDFGLARSFGEKQTAANTSRVVGTYGYMSPEYAIDGVYSIKSDVFSFGVLVLEIVSGKRNRGFCHPDHQLNLLGHAWRLFNEGKSLELIAAPIRDTRNSHEIIRSIHVGLLCVQQSPDDRPSMSNVVLMLSSKDPLPQPKQPGFFHERDLVEFSSSSSSQKQFLTSNFTITVLDAR >EOY12710 pep chromosome:Theobroma_cacao_20110822:7:3611623:3616907:1 gene:TCM_031238 transcript:EOY12710 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative isoform 1 MEGLIIILLCSFVLPHVIISTTVDSIDTTHPIRDGETLVSASEIFELGFFSPGGTGKRYLGIWYKKSVKTVVWVANREVPLNDSSGVAKVTNQGILVLLSGEGKTIWSSHSSRPVRNPVAQLLDSGNLVVKDEWDESFIWQSFDYPGDTLLPGMKIGKDFVTGLHRYLSSWVHYRLVQEDTCDNYGLCGANGFCNNNNSPVCTCLSGFVPKNSEERDKDPGSGGCVRKTRLNCSGDGFQEISGVKFPDSGQPPSNNSMNLEECNIQCTKNCSCTAYANLDIRDGGSGCLLWFGDLIDIKHSTGRGQSIYVRMAGTELDQTHSSSTNKSSSKKKMWIAVISAFVVVVVTVGIALVLFLGRKKRQKKKGSMQGLSGSSNKYKDQKDDLELPLFDFATIARATNNFSPMNKIGEGGFGSVYKGILEDGQEIAVKRLSKSSTQGDNEFKNEVDQIAKVQHRNLVKLLGCCIETDEKALIYEFMPNKSLDFFIFDQAQSMSMDWPMRHNIINGIARGLLYLHQDSRQRIIHRDLKAANILLDNEMNAKISDFGLARSFGEKQTAANTSRVVGTYGYMSPEYAIDGVYSIKSDVFSFGVLVLEIVSGKRNRGFCHPDHQLNLLGHAWRLFNEGKSLELIAAPIRDTRNSHEIIRSIHVGLLCVQQSPDDRPSMSNVVLMLSSKDPLPQPKQPGFFHERDLVEFSSSSSSQKQFLTSNFTITVLDAR >EOY13868 pep chromosome:Theobroma_cacao_20110822:7:12083802:12085373:-1 gene:TCM_032562 transcript:EOY13868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGCELAYIHSCFYLFIFISIYIFLKTMTGIWFSAFLCTTTQKQARDSFGLPPSLHPLFFVNVVFSVNTSTIKRPARARACAFAHTTEWVFSFN >EOY13262 pep chromosome:Theobroma_cacao_20110822:7:6821002:6824909:1 gene:TCM_031779 transcript:EOY13262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGATEKRSLKQQRQQKKSLDALLAGRLRSFTWSTMLSYSVGHPMAESNYGMLDPIGSPLRSTRSGDCQSGSPRCSLGSTLICLVAKWPTARWPADER >EOY12830 pep chromosome:Theobroma_cacao_20110822:7:4336848:4340231:1 gene:TCM_031349 transcript:EOY12830 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP-specific phosphatase HAL2-like MEEGDKYGKELDLAVRIVHMACSLCQKVQQRLVSSSAEQVLAKDDDSPVTIADWSVQATVSWLLSEFLEGQNVSIVAEEDVQTLSKSDAADLLAAVVNSVNECLAEAPKYGLQCPKDALGTSKILEAISRCNSTGGPTGRHWVLDPVDGTLGFVRGDQYAVALALIEEGKLVLGVLGCPNYPMKKELLNYNHQRHQTMPKSLPPSDIWEKGCVMYARRGSGQAWMQPLIHRDTKFEWPNSARLIQVSPVDDPSLATFCEPVEKANSNHSFTAGLANSMGLKKKPMRVHSMVKYAAIARGDAEIFIKFARSGYKEKIWDHAAGVVIIEEAGGVVTDAGGRPLDFSRGLYLEGLDRGIIACSGLTLHDKIIGAVYASWDSSNL >EOY14035 pep chromosome:Theobroma_cacao_20110822:7:18758147:18762748:-1 gene:TCM_033155 transcript:EOY14035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MKCSKWSLVKTSPICLIDETPKKNKKSKKAMMAIAWSDRQISSSETDDEKSEERANICLMAQEDETEVPSSPYINSYDDLQDEYTWVYFLAHKNDALQAFLSHCKKVENEKGLAIVSIRSDHGGEFENDEFEKFYNEKGLDHNFCAPRTPQQNGVVERKDRTLNEMARTLLCQNNLPKYLWAEAVNTAAYILNRVLIRPLISKTPYELYKALYGLKQAPRAWYEKLSKFLVEKGYDRGSIDTTLFIKRYLNNLIVVQIYVDDIVFGATNEALCKNFAKEMQGEFEMSMMGELKYFLGLQLKQSEEGVFINQESYTHDMLKKFDMLKLKSISTPMSPSTKLDLDEKVKDVDQKLYRGMIDSLLYLTVSRPDI >EOY12230 pep chromosome:Theobroma_cacao_20110822:7:1416091:1418475:-1 gene:TCM_030793 transcript:EOY12230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Co-chaperone GrpE family protein, putative isoform 1 SLLVSAPKKLQKPSLSNQFHSLVYESPNKLVTSDVSLLHHSSLNFSAFQRFGISSSASPEPSDKEHGSAVENNGQEPAKPSGDMNPGEAEVADQAKESDSESDGDLSMADMVKIVEEKEELLKAKQKEIEQMQDKVVRTLAEMENVMARTRREAENSKKFAIQNFAKGLLDVADNLGRASTHVKGSFSKIDESKETVGAVPLLKTLLEGVEMTEKQLGEVFRKFGVEKFDPTNEPFDPHRHNAVFQVPDNSKPPGTVAHVLKAGYMLYDRVIRPAEVGVTQELGNDAAENNTSDKGSEA >EOY12229 pep chromosome:Theobroma_cacao_20110822:7:1416159:1418621:-1 gene:TCM_030793 transcript:EOY12229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Co-chaperone GrpE family protein, putative isoform 1 MLMSRALSRVSRSVGARSLLVSAPKKLQKPSLSNQFHSLVYESPNKLVTSDVSLLHHSSLNFSAFQRFGISSSASPEPSDKEHGSAVENNGQEPAKPSGDMNPGEAEVADQAKESGFNSESQNTMPQSNKRRRRATKRTAFSDSDSESDGDLSMADMVKIVEEKEELLKAKQKEIEQMQDKVVRTLAEMENVMARTRREAENSKKFAIQNFAKGLLDVADNLGRASTHVKGSFSKIDESKETVGAVPLLKTLLEGVEMTEKQLGEVFRKFGVEKFDPTNEPFDPHRHNAVFQVPDNSKPPGTVAHVLKAGYMLYDRVIRPAEVGVTQELGNDAAENNTSDKGSEA >EOY13745 pep chromosome:Theobroma_cacao_20110822:7:10593890:10598225:1 gene:TCM_032393 transcript:EOY13745 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain transcriptional regulator superfamily protein, putative MPFIGFGNDMICFGDGPCVFFHPEGSPKLKLQTIKLFVSYTAPGIFQGHKGKMNVQDGTAKMDEDVPLPGFRFHPTDEELLSFYLQRKVDKKPIKIELIKQMDIYKCDPWDLPKPSSEGESDSYFFCKRGRKYRNSIRPNRVTGSGFWKATGIDKPVYSHGGEGHVCIGLKKTLVYYRGSAGKGTKTDWMMHEFRLPSNDNNTSLSDPQYAQEAEVWTICRIFKRNASHRKLYTPDWREVAAKRHSTNRTSSQTFTVESNNVENYISFGDPILQHQAVNQINGRSQWHAAAAAAAADQLSTTAQPLLIAPSSSFSNPETDLVTYANWDELRSVVEFALDPSLL >EOY12385 pep chromosome:Theobroma_cacao_20110822:7:1884348:1889315:1 gene:TCM_030902 transcript:EOY12385 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif-containing protein, putative MNQENDQENNPDASTDVPLKRKRGRPRKFPKHNLYQGENAQTARNQNPNRAENIRIPPLFERVNGNQPLEADPINDANDVMVGQAVYGVIEAAFDAGYLLTVRVGNSDTTLRGVVFKPGHYVPVSAENDVAPNVQMIRRNEIPFPRGRNEQHVNSHRNGTAHPFNEPGIANHVPGARASNLGGSKSNHVQSVATQSASPLTRRGNLVPVVLQPASVPYGGSVANQPSLVASQPAHLVASKGKQVSEAAHTSNMGTPTNQMPTFGNKIYPTQPPAEVLQETEAKSMTMPGMPFEKLLTEVMKRIQVPQQQMDGQGGNLSVKDSGHDMEDEQPLSIEPLQAVQPAHSSSMLKPFDNFRTGKMTELLQAVQENMRETQASRTEEPATSSGETDQGDKEMHDSNRHSQVKEPNCPWRVMTLKYKRLDGIFFA >EOY14100 pep chromosome:Theobroma_cacao_20110822:7:20749019:20750226:-1 gene:TCM_033346 transcript:EOY14100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVTTTVLGERHSIQRLSLFVGASEFNKVSVRECKEIWDTLETTYEGTNQVKESKIGLLPHDFELFRIREGESINEMFERFTNIVRGLKALGKDFPNA >EOY14298 pep chromosome:Theobroma_cacao_20110822:7:23419936:23428554:1 gene:TCM_033643 transcript:EOY14298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLNFIDWWDNNELTAVGGFPWTSLLEGYGKPRYILPQYERRKWITPEANTFRVHFTPNRQVRVNSANAKERLCFQNKNIIYAYMTF >EOY12291 pep chromosome:Theobroma_cacao_20110822:7:1572272:1575629:1 gene:TCM_030835 transcript:EOY12291 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor-like protein MDEGGTGARRLSFDHTVDAAISVFNPKPSLFGVGPFRSSCKPDPAARKQAAFDMEQDDQHVESSDGMKRVVNEMDFFGSGGRSSSKEEAKADVKIESERQGLVQENDEPAAADVNTGLNLLTTNIVSEKSVPGDRTSQNLKDKERVNQLADVRAELERINEENQRLKVTLNQVNSNYYALQMHLVSLMQRQQNRRDESSEASEMNRTTEEKRHGEVIVARQFIDLGQSAKAEKDELSESSSDGRVQEFSGSPGNTIVESMERRKTNSSRNCETVPIDPISRKDLMDPGRNQREETPEGRPHPGWLQNKVPKFNTSRDVELAQETMAMIRKARVSVRARSEASMISDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCADDRTILNTTYEGNHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGMMNSSTILPKGIMLPCSPNSVTLSASAPFPTVTLDLTYNPNQRPTSQLLAPSPNIPHLLGHPIYNQSKLLGLFSSQGIEHHPHLAQNQMQPPHPMADTVNAATAAITADPNFTAALVAAITSIIGNPHRDNSGNNNSPTSRNTVDNNT >EOY12859 pep chromosome:Theobroma_cacao_20110822:7:4482636:4483691:-1 gene:TCM_031369 transcript:EOY12859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toll-Interleukin-Resistance domain family protein MQMQRYSSAAIVNFQRNFLSRLTATSKMANRVVTNKDCDVFINHRGIDTKRTIATLLYDHLSRLNLKPFLDNKNMKPGDKLFDNIDNAIRNCKVGVTVFSPNYCKSYFCLHELALIMESKKKVIPIFCDIKPSQLRVVNDGNVPAKDLQRFKLAVEEAQSTVGLTFDSLKGNLSDVVTSASDFVIESLIEMENEKQMVKSSRASPITL >EOY12917 pep chromosome:Theobroma_cacao_20110822:7:4831573:4839711:-1 gene:TCM_031421 transcript:EOY12917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma-membrane choline transporter family protein isoform 1 MKKKMRGPLGAVIGRYPSSDGTTQIGGIIKHNRKCRDVAFLIIFIAFWVAMIVNSSFGFNQGNPLRLTYGLDYKGNLCGDEHADPSLRQLELKYWLNPNQVYQSGVKDSQFKLSNARSICLLDCPIPSEDSLNWVCDYPEGDIRLSKDDWIDRNYDYFEFLTPEMRNASLQLQGPCYPVIFPSVNVYWSCQFIARASNTSLRHWQQMGGVNINEDIVIDKSIHSFINSRSSVLKRYMADIGKSWPVLIVCGGLLPLFLSVIWLLMIRHFVAAMPWFTVALFNILIITVTMFYYLKAGWIGNDAISPIIGEHDPYIHVFGRELNHLRAVAILMTFVMVVSILTSIAIVRRILMATSVLKVAAKVIGEVQALIIFPIMPYAVLAIFYMFWISAALHLFSSGQVVQNNCNSNCCAYDLVSKKVNCDRCCGYSIHYTPHIAVAIFFHLFGCYWATQFFIACSSTVIAGSVASYYWARGETSPEIPFLPVFASMKRLMRYSLGSMALGSLFVSFVESIRFILESIRRKLKVAGTTPDSWFGKMGYHTSQGCWRCVEWTIKSVNRNAYIMIAITGKSFCRASAIATELIMNNILRIGRVNVIGDVILFLGKLCVSLSSAVFGFLMLDTHKYSSGHNKISSPLLPVLVCWALGYVVATLFFAVVEMSIDTIILSFCQDSEEHQGTAQYAPPLLIETLNDQNEMQRLTQ >EOY12918 pep chromosome:Theobroma_cacao_20110822:7:4831505:4838205:-1 gene:TCM_031421 transcript:EOY12918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma-membrane choline transporter family protein isoform 1 MRGPLGAVIGRYPSSDGTTQIGGIIKHNRKCRDVAFLIIFIAFWVAMIVNSSFGFNQGNPLRLTYGLDYKGNLCGDEHADPSLRQLELKYWLNPNQVYQSGVKDSQFKLSNARSICLLDCPIPSEDSLNWVCDYPEGDIRLSKDDWIDRNYDYFEFLTPEMRNASLQLQGPCYPVIFPSVNVYWSCQFIARASNTSLRHWQQMGGVNINEDIVIDKSIHSFINSRSSVLKRYMADIGKSWPVLIVCGGLLPLFLSVIWLLMIRHFVAAMPWFTVALFNILIITVTMFYYLKAGWIGNDAISPIIGEHDPYIHVFGRELNHLRAVAILMTFVMVVSILTSIAIVRRILMATSVLKVAAKVIGEVQALIIFPIMPYAVLAIFYMFWISAALHLFSSGQVVQNNCNSNCCAYDLVSKKVNCDRCCGYSIHYTPHIAVAIFFHLFGCYWATQFFIACSSTVIAGSVASYYWARGETSPEIPFLPVFASMKRLMRYSLGSMALGSLFVSFVESIRFILESIRRKLKVAGTTPDSWFGKMGYHTSQGCWRCVEWTIKSVNRNAYIMIAITGKSFCRASAIATELIMNNILRIGRVNVIGDVILFLGKLCVSLSSAVFGFLMLDTHKYSSGHNKISSPLLPVLVCWALGYVVATLFFAVVEMSIDTIILSFCQDSEEHQGTAQYAPPLLIETLNDQNEMQRLTQ >EOY12092 pep chromosome:Theobroma_cacao_20110822:7:900806:907151:1 gene:TCM_030693 transcript:EOY12092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 3 MGPLIAAGNKKPLDLEDVPQLDSSDSVVGAVQNFINRLASADSEGSGVTVLKLVKALLFSAWKDILWTALLAFMYTVASYVGPYLIDTFVQYLNGQREFKNEGYLLVMAFFVAKLVECLSQRHWYFKLQQIGIRLRAVLVAMIYNKGLTLSCHSKQSHTSGEIINFMTVDAERVGEFCWYIHDLWIVALQVALALVILYKNLGLASIAAFVATVLVMLANIPLGKMQEKCQDKLMESKDKRMKATSEILRNMRILKLQGWEMKFLSKIIGLRNIEEGWLKRFVYTNGITSFVFYVAPSVVSVATFGASILLGVRLESGKILSALATFRILQEPIYSLPDTISMIAQTKVSLDRIASFLRLDDLQPDVIEKLPKGSSDTAIEIVDGNFSWDFTSATATLKDINLKVFHGMRVAVCGTVGSGKSSLLSCILGELPKISGTLKLCGTKAYVAQSPWIQSGKIEENILFGKEMDRERYDRVLEACTLKKDLEILSFGDQTIIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLGILSSKTVIYVTHQVEFLPAADLILVMKDGRITQAGKYNDILNSGTDFMELVGAHKKALSALDTVDAGSVSEKNISEGDPTTGCANGNVQKEDNQGNESGKVDDVRPKGQLVQEEEREKGKVGFSVYWKYITIAYGGAIVPLILLAQIVFQIFQIGSNYWMAWASPVSADVKPPVGSFTLIIVYLALAIASAFSVLARAMLLRTAGYKTATLLFKKMHLCIFRAPMSFFDSTPSGRILNRASTDQSAVDLNIPYQVGSFAFSVIQLLGIIAVMSQVAWQIFIIFIPVIATCIWYQQYYISSARELARLVGVCKAPVIQHFAETILGATTIRSFDQESRFQETSMKLTDGYSRPKFHAAAAMEWLCFRMDMLSSITFAFSLFFLISIPEGVIDPAIAGLAVTYGLNLNMLQAWVVWNICNMENKIISVERLLQYSSVPSEPALVIETNRPDHSWPSHGEVNIHDLQVRYAPHMPLVLRGMTCTFPGGLKTGIVGRTGSGKTTLIQTLFRIVEPAAGQIVIDGVNISTIGLHDLRLRLSIIPQDPTMFEGTIRSNLDPLEEYTDEQIWEALDKCQLGDGVRKKEGRLDSSVTENGENWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNLIQTTLREHFSDCTVLTIAHRITSVLDSDMVLLLSHGLVEEYDSPARLLENKSSSFAQLVAEYTVRSNSSMEKFD >EOY12902 pep chromosome:Theobroma_cacao_20110822:7:4729777:4744210:1 gene:TCM_031409 transcript:EOY12902 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein isoform 2 MDIFSFSACFLSIFFTASNALDKISPSESLTDGMTLVSNDGSFALGFFNPGSSENRYLGIWYNNIPMQNVVWVANRITPINDTTGLLKIESTGRVVLLGQNQTTVWSINSTKAAQNPILQLLDSGNLVVRDGNDGNSENYLWQSFDHPTDTMLPGMKIGWDLRTGLNRRLAAWKNSDDPSPGDLTYGVELQGNPEMVIRKGSEKYYRSGLWNGDGFSGTPNLRSNPVFDYDFVWNEEEVYYIYYLKNKSVMSRFVLNQTESVRQRYTWNPETQTWKLFSIMPSDYCDRRGLCGANGNCDNSKLPACQCLKAFRPKSLEKWNSSDWSDGCVHNKPLNCQSGDGFLRIGRVKTPDTSLSWVNKTMNLKECRARCLQNCSCMAYTNADIRGGGSGCAMWFDDLIDIKQFQSFGQDLYIRVSASEAELKNTRKAKLAVIIATPIALFLGILVAIYYVRRRRRKLKDEVDERKENDQKNQGRTEDMDLAVFELGTIARATDSFSFNNKLGEGGFGPVYKGTLANGQEIAVKRLSKSSGQGLNEFKTEVKLIAKLQHRNLVRLLGCCIHGEEKMLVYEYMPNRSLDSFIFDQRRCKVLDWPKRFQIICGIARGLLYLHQDSRLRIIHRDLKASNVLLDSEMNPKISDFGMARTFGGDQTEANTNRVVGTYGYMAPEYAIDGLFSVKSDVFSFGILLLEIISGRKNRGFYHQNQSGNLIEHAWRLWKEGKPLNLADDLLAETGSLSQVLRCIHISLLCVQQHPEERPSMSSVVLMLGSENELPLPKQPGFLFHNSPFEAESSSGNHGSSSRNEISLSLLDAR >EOY12903 pep chromosome:Theobroma_cacao_20110822:7:4731248:4748673:1 gene:TCM_031409 transcript:EOY12903 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein isoform 2 MDIFSFSACFLSIFFTASNALDKISPSESLTDGMTLVSNDGSFALGFFNPGSSENRYLGIWYNNIPMQNVVWVANRITPINDTTGLLKIESTGRVVLLGQNQTTVWSINSTKAAQNPILQLLDSGNLVVRDGNDGNSENYLWQSFDHPTDTMLPGMKIGWDLRTGLNRRLAAWKNSDDPSPGDLTYGVELQGNPEMVIRKGSEKYYRSGLWNGDGFSGTPNLRSNPVFDYDFVWNEEEVYYIYYLKNKSVMSRFVLNQTESVRQRYTWNPETQTWKLFSIMPSDYCDRRGLCGANGNCDNSKLPACQCLKAFRPKSLEKWNSSDWSDGCVHNKPLNCQSGDGFLRIGRVKTPDTSLSWVNKTMNLKECRARCLQNCSCMAYTNADIRGGGSGCAMWFDDLIDIKQFQSFGQDLYIRVSASEAELKNTRKAKLAVIIATPIALFLGILVAIYYVRRRRRKLKDEVDERKENDQKNQGRTEDMDLAVFELGTIARATDSFSFNNKLGEGGFGPVYKGTLANGQEIAVKRLSKSSGQGLNEFKTEVKLIAKLQHRNLVRLLGCCIHGEEKMLVYEYMPNRSLDSFIFDQRRCKVLDWPKRFQIICGIARGLLYLHQDSRLRIIHRDLKASNVLLDSEMNPKISDFGMARTFGGDQTEANTNRVVGTYGYMAPEYAIDGLFSVKSDVFSFGILLLEIISGRKNRGFYHQNQSGNLIEHAWRLWKEGKPLNLADDFLAETGSLSQVLRC >EOY13147 pep chromosome:Theobroma_cacao_20110822:7:6207901:6209713:-1 gene:TCM_031672 transcript:EOY13147 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MSKSNNSQLHVAMFPWFAFGHFIPFLHLSNKLAEKGHKISFLLPKGAQAKLEQLSYYPNLIRFFPFVVPRIDGLPPDAETVSDVPFSLHVSFANAGFDGTQDQLWLAKWASSLCTILWFLQLQYIAHLTKTDGKDGKDVTEEELIETPPGYPSIVRFRGEDVGAIIDFLVVPETGLSIEDRLISSIEENHAIAFRTYREIEGPFCDYFVEQFGKTVLLRGPCFPETKNTQLEEKWACWLSNFEPSSVVFCAFGSQITLQKEEFQELVLGLELSGLPFLVALRPPQGCVTVEESLPEGFEQRVQGRGLVHGGWLPQELLLKHPSIGCFVNHCGYGTMWESLLSDCQIVLIPSLAGQILNTRLMVDELKVAVELERGEKMQISKEKLSEAIKLVMDKDSEMADLLRRNHSKLNQTLSNRDLQDEYINNFIQGLQNLIK >EOY12626 pep chromosome:Theobroma_cacao_20110822:7:3027485:3029024:-1 gene:TCM_031125 transcript:EOY12626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A 10 MAGRGKTLGSGASKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKTGTSSKASGADED >EOY12653 pep chromosome:Theobroma_cacao_20110822:7:3179535:3183689:1 gene:TCM_031145 transcript:EOY12653 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED finger-nbs-lrr resistance-like protein MAVPLCQVQRVLTRFSHGISLADAAVLEAKCAALVTELSNSEFYEENLTNIQELRRNWIVCRVHWAGFKGTFLGVAVDGPPANGVTLLTPNLVTMLKDILEEKSYVLIFDDVWKWLSLLEVGIFEPTLGMGRKVAFTSRSIEVCKSMGCKVVVEVQLLSKKKVTTYDLEKGVKMHDVLRDMAYYIKGHQFMVKDGMQLEELPSVEKVSLMQNPRQKLILEIPPHISPKCPHLSTLLSQNCGLRRILEVFFKHMSRFKVLNISRDYEIEDLPNSISNLENLNALILSYYKMLKYAPSLAKLKALRKLDISYTEIEEVPHGIEKLEYLRYLDPRGNLVEILVGILPMMSHIQCLIGDWLHLRGEEVGKLRKLEFVSCMFCDMQEFKKYGESIQVKKEVRLGECKREWCDDIVLPNDLHTLMILKFDDLKCLCNIPWFRKAIDLKFSPISLNSCMSRSKEDPFVSSLVENAKPSPPPSLKGIYLTSRKWWESVEWDQPNAKDVFSPFVVIPEW >EOY12970 pep chromosome:Theobroma_cacao_20110822:7:5080522:5081394:-1 gene:TCM_031478 transcript:EOY12970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNSYVVFGVLVIMASGAVMARDVDPIKANNCETKMTLHCVNEVFTSIFKTGIVTDNCCIELIGLGKFCHDALIKKTLENPLFKNNDTSVILSRGAQVWNKCTLVSKDVSPSPSPY >EOY13609 pep chromosome:Theobroma_cacao_20110822:7:9515667:9518399:1 gene:TCM_032232 transcript:EOY13609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MANDLGIAFYIAICCIAFIISKIILWILLYKRWKRKTMIYEEGFSGGKMVMFRSPVLQSVSSDVLLKKTLKLSNKDIIGAGGYGTVYKLMVNDTMAFAVKRLNRGTEDRDKGFERELEAMGDIKHRNIVTLYGYYSAPHYNLLIYELMPNGSLDAFLRGRSMDSKVLDWPTRYKIALGAARGIAYLHHDCIPHIIHRDIKSSNILLDQNMDARVSDFGLATLMEPDKTHVSTFVAGTFGYLAPEYFDTGRATAKGDVYSFGVVLLELLTGKKPTDEAFLEEGTKLVTWVKGVVEERREEYVLDSSLGSCPVDEINNTFNVALMCLETDPSMRPTMAEVVKMLEQIKSEKAVNDC >EOY13608 pep chromosome:Theobroma_cacao_20110822:7:9515556:9518423:1 gene:TCM_032232 transcript:EOY13608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MANDLGIAFYIAICCIAFIISKIILWILLYKRWKRKTMIYEEGFSASGICMNAGGKMVMFRSPVLQSVSSDVLLKKTLKLSNKDIIGAGGYGTVYKLMVNDTMAFAVKRLNRGTEDRDKGFERELEAMGDIKHRNIVTLYGYYSAPHYNLLIYELMPNGSLDAFLRGRSMDSKVLDWPTRYKIALGAARGIAYLHHDCIPHIIHRDIKSSNILLDQNMDARVSDFGLATLMEPDKTHVSTFVAGTFGYLAPEYFDTGRATAKGDVYSFGVVLLELLTGKKPTDEAFLEEGTKLVTWVKGVVEERREEYVLDSSLGSCPVDEINNTFNVALMCLETDPSMRPTMAEVVKMLEQIKSEKAVNDC >EOY13445 pep chromosome:Theobroma_cacao_20110822:7:8349509:8352193:-1 gene:TCM_032015 transcript:EOY13445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyl hydrolase 1, putative MTLSGMPTSFLYGISPKTLQDNQNLSSFFKILTSTDENNKVYVSTAQAHDYPVTAFQWHPESRTLIAIQLELKLPVFPLRISFCCFPDFQGIIQITYLLLMENRVGVLGDTKKNAFEWGSKMIPHSEDAVQVTQHVANFLISEARKSLNRPPVRKVLDNLIYNYSPACCGKAGKGYDQVYIFTQLQP >EOY14285 pep chromosome:Theobroma_cacao_20110822:7:23018414:23019328:1 gene:TCM_033600 transcript:EOY14285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFRLTNAMATFYTLINKVLQPFLNKFIVVYLDDSVVYSHFFYEHVEHLRLVFKALKQHELYVKKEKCCFGLHEVLFLGQIVGMGRFEWTHLWLALSKSGSCPCASNLCWDCRIVHYCIRLIQIQVIFL >EOY14234 pep chromosome:Theobroma_cacao_20110822:7:22381991:22384989:1 gene:TCM_033524 transcript:EOY14234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein isoform 1 MANNLRTDSSDYRTELLSPAPAGENDTMATEQTWRLNMDKFHLPERRVESSCFSLGAFIKALRRQRKVSEYYKRQEKLLKGFTEVDTFNELGILPGSLTEEEMDKLERSERVAIYASNVANLVLFLAKVYASVESRSLAVIASTLDSLLDLLSGFILWFTAYAMRKPNQYRYPIGKNRMQPVGIVVFASVMATLGLQILFESGRELVMKAQPERDPEKEKWMIGIMVSVTLVKVVLTVYCRTFDDEIVRAYAQDHFFDVVTNSIGLGTAVLAIKFYWWIDPLGAILIALYTMGNWAKTVMDNVWALIGKTAPSDYLAKLTYLIWNHHEEITHIETVRAYTFGTQYFVEVHIVLPEDMPLGQAHDIGQTLEDKLEQLPQVERAFVHVDCDTIHPQEHKTKRP >EOY14233 pep chromosome:Theobroma_cacao_20110822:7:22380468:22385099:1 gene:TCM_033524 transcript:EOY14233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein isoform 1 MSAFFAPIRHETSDTQIHTQLNTINKKTKTNSKVFAANDILHACIIHNMVSHCAFPNLLFTEIKMANNLRTDSSDYRTELLSPAPAGENDTMATEQTWRLNMDKFHLPERRVESSCFSLGAFIKALRRQRKVSEYYKRQEKLLKGFTEVDTFNELGILPGSLTEEEMDKLERSERVAIYASNVANLVLFLAKVYASVESRSLAVIASTLDSLLDLLSGFILWFTAYAMRKPNQYRYPIGKNRMQPVGIVVFASVMATLGLQILFESGRELVMKAQPERDPEKEKWMIGIMVSVTLVKVVLTVYCRTFDDEIVRAYAQDHFFDVVTNSIGLGTAVLAIKFYWWIDPLGAILIALYTMGNWAKTVMDNVWALIGKTAPSDYLAKLTYLIWNHHEEITHIETVRAYTFGTQYFVEVHIVLPEDMPLGQAHDIGQTLEDKLEQLPQVERAFVHVDCDTIHPQEHKTKRP >EOY13046 pep chromosome:Theobroma_cacao_20110822:7:5567272:5569602:1 gene:TCM_031559 transcript:EOY13046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMGREDIRVCEIWRSHGSKKGRGSVERVMASRSKHMVNLAKYGGREENQSKTTSKWWEYGEKERGKNRKKETYAQVQNVGGGLVRVEDTLDCNNLVVAIMVVETENENKIEEVIELQVGERSYKGWEEYRETKESKASMEREERKNERLGNRKGMEEEDDDVEISEEIDDIGVVDNEISTENALLEIEKEREKEGNLNFKSKKDGSACKGIEKEGQWHGEEGMLQVTELETASNSRELSLAKRRMGEGEMDYEKKKKMKRRWVVKNMELEGKACNKKGRIKMREIRKWVKMKKDGGLRKGSLA >EOY13159 pep chromosome:Theobroma_cacao_20110822:7:6239413:6240418:1 gene:TCM_031684 transcript:EOY13159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTVPPEENQGLQDVEGLFETQRDFLLGCSLVLLEFGILILPKSRQPSMLSTSFLFPLMQPQTSFSLSLILKLPFPGFSIPARDLGINGCFLMTLILLFCSSKKFLSSTLLEKETPLLILLQNMELIKSLCSLPVGSLSLFSSAVFMACPSPHMKLVWIFCFFLLPHLMS >EOY14309 pep chromosome:Theobroma_cacao_20110822:7:23710698:23713022:-1 gene:TCM_033672 transcript:EOY14309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MFQPKKPSTMNSHDRAMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSIKDDLQRNAASSSGMIARSMNEMQMEVQRRIHEQLEVQRHLQLRIEAQGKYMQSILEKACQTLAGENMAAGGYKGMGNQGVPDMGAMKDFGPLNFPPFQDLNIYGGDQLDLQQNMDRPSLDAFMPNNDNICLGKKRASPYSGSGKSPLIWSDELRLQDLGTAASCLGPQDDPFKSEQIQIAPPSIDRSTDLDPISDIYEAKPVLSGDGMGDKKYEASPKLERPSPRRAPLQADRMNPMINSGSVAQGRNSPYG >EOY14310 pep chromosome:Theobroma_cacao_20110822:7:23710999:23712863:-1 gene:TCM_033672 transcript:EOY14310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MFQPKKPSTMNSHDRAMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSIKDDLQRNAASSSGMIARSMNDNSHMVDATRMQMEVQRRIHEQLEVQRHLQLRIEAQGKYMQSILEKACQTLAGENMAAGGYKGMGNQGVPDMGAMKDFGPLNFPPFQDLNIYGGDQLDLQQNMDRPSLDAFMPNNDNICLGKKRASPYSGSGKSPLIWSDELRLQDLGTAASCLGPQDDPFKSEQIQIAPPSIDRSTDLDPISDIYEAKPVLSGDGMGDKKYEASPKLERPSPRRAPLQADRMNPMINSGSVAQGRNSPYG >EOY13676 pep chromosome:Theobroma_cacao_20110822:7:10077550:10079276:-1 gene:TCM_032319 transcript:EOY13676 gene_biotype:protein_coding transcript_biotype:protein_coding description:DWNN domain, a CCHC-type zinc finger-like protein MAVVYYKFKSSKDFHSIPVDGPFISVSEFKSRIFASKRYGNGKDFDLLITNAKTDEQYADDSILIPTQTSLLIRRIPGVPVSPIVIGEEKPKIEKNPSSVVKDPHEEGRFDCHDFGPDSHSILINSTANPSNTHCKEDKIYDGFKTLYVFGKGRIPPQGYVCHRCKMAGHYIQHCPTNGNPNYDFKRVKPTTANSKASASSSGSSFGDNMIPLELRCLLCKKVMKDAALTKCCFRSFCDRCIRDHIVSKSACVCQRKIVAGDILPNTTLRDTINRILYQSGNRNSYNGGTSFSIQESASAASKADQQTQMIVPPQHTSDKVKEPTSKGSVLLAEKQEQQKKVVSGKTQKKRKRKVSMMPRYPQWRTSQNVGVDSYMMPPHYSAYNQYWAGMYPGFMSPYAAVMPQNQMFTAFPPEKNPAEFGLDADFAQPTKKYKV >EOY12537 pep chromosome:Theobroma_cacao_20110822:7:2663767:2667281:1 gene:TCM_031055 transcript:EOY12537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein MAEAIPYGTVSNILSKLVWLAGQELGFIFGLNTDLEKLQETLSTINAVLRDAEEKQESNHAVDNWIIRLQDVVFDAEDLLDEFDYAILRRKVRPRGQVYKFFSSSNPLVFRLKMGHRFKEIRERLDAVAADIFKFNLSRRVVVLDSQAKKIDRETASKVRSELIIGREKEKEQIIESLLKEQNHGDSISNIVAIVGFGGLGKTSLARLVYNDARVTNFSKRIWVCVSEEFNIYIIFKKILKSLVDDKVDDLDLDKVQRKLEENLEGERYLLVLDDVWNEDASKWNDFLQYLVFGAPGSKILVTTRSKTVASTMGVQLPYLLKGLNDNQSWALFEQVAFLGQRQIDPKLREIGKDVAQRCKGVPLAIKCLGGLMRQKPNEKYWLSVKENEMWKLLKEDDGVFPVLRLSYIHLPNHLKQCFAFCSIFPKDCRISKDMLIHLWGAQGYIQWMENERIQDIGDEYFNDLFSRSFFQEEKRDETGNIVSCKMHDLIHDLALLVAKCSFYLLKVEKEKIPKGVRHVSLECKPSEMFLTRLSKAKGIRTMYFREYTLQDLFIRNTIFSRFNYLRMLNLCKIDIDILPNSIGKLKHLRYLDLSCNYQMEVLPDAIVKLHKLQTLLLYDCRKLKELPRDIRQLISLEYLNIDQCNGLQYLPKGLGELTSLQTLHRFIVNSFSTAATLNELRDLDDLGNYLSIENLDDVKNVELESMEANLKTKKRLQSLKLDWWTYPRGDDKKDELLLDNLQPHPNLKKLEVLRYEGAKFSAWLSSLNNLVKLQICISYNCQHLPPLHHLSSLQCLILEYLKVLEHVADDGKEDNHSFPVPCSTPRTSFFPSLKILKIRGCPKLQGWWRTKNENQGSTAELPCFPCLSKLEIEDCQNLTSMPLFPFLDDDLTLKGTSVRPLQQTLKMKMTKASMTSEASSSRSTCHSYSYSSTALPLSNLKRLTLDSINGLEGLSEEFLQNLTSLVYLQFKYDCQLLPQKMNSLTSLQELHIEYCPDLRVLPDWIPNLTSLITLTIWYCPELQYLPEGMHRLASLQRLSIRGCPQLSKRCKKETGIHWPHIAHIPSIVIDGR >EOY11963 pep chromosome:Theobroma_cacao_20110822:7:463516:465658:1 gene:TCM_030596 transcript:EOY11963 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0172 protein MVAELKYEISQNAYIKLVLQALKHKTTAVNGVLLGRVNPQNENVVEIIDSVPLFHSNLALLPPLEIALIMVEEHYGSQGLGIVGYFHANERFDNAELGIVAKNIGDHICRYFPQAALLLLDNKKLEALSKEKDQSPVMQLYIRDASKNWKLVGPDGGSRLVIKEPAANVVLLDFISSEKWQDVADFDDHLDDIKKDWLNPDLFK >EOY12127 pep chromosome:Theobroma_cacao_20110822:7:1086351:1087721:1 gene:TCM_030727 transcript:EOY12127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MLLIVALYVNDLLITGPEGQYLTEFKTQMKKVFEMTDLGEMTYFLGMEVIQSTGKIVLHQVKYAKDLLNRFKMSMCKAVSTPLSTGSKFCRDDGTAKANGQFMQDPTETHFTVDKRILRYVKGTMDYGLVYMKQKSMFAWNSKQQVVAQSTAEAEYIACAATANHALWLRKLLVELGFKQVKGTLLNVDNMSTIAIAKNPVQQGTSESSTML >EOY13360 pep chromosome:Theobroma_cacao_20110822:7:7722853:7724024:-1 gene:TCM_031904 transcript:EOY13360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRTISIKTLIRIGTHRHSKNSTYFHQAEVIISSSSTTRFLYRFDMETYLDSNDIIKEVRNNHELNGIL >EOY12645 pep chromosome:Theobroma_cacao_20110822:7:3131216:3134450:1 gene:TCM_031140 transcript:EOY12645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-cadinene synthase isozyme A MASQVSQVLASTHNAISSNMENRPKADFHPGIWGDVFLTCPEKDIDTTTELQYEELKEEVSRMLVAPMDNSNQKLPLIDAVQRLGVNYHFEKEIEDALEQIYHDNSDANDLYTTALRFRILREHGFDVSCDIFNKFKDDKGNFMSSLTSDVRGLLELYEATYLRVHGEDILDEAISFTTTHLTLAAATLDYPLSEHVAHALKQSIRRGLPRVEARRYISLYQDDESHNKALLQFAKIDFNLLQLLHRKELSEICRWWKDLDFTRKLPFARDRVVEGYFWIMGVYFETQYSLGRRMLTKVIAMASIVDDTYDSYGTYDELILYTDAIERWDIKCIDQLPDYMKISYKALLDVYEEMEQLLEEGKQYRVEYAKKAMIRLAQAYLLEAKWMHANYKPTFEEYKANALPTSGYAMLAITAFIGMGDVVTQETFNWAAKDPNIIRASTIICRFMDDIAEHKFNQRREDDCSAIECYMEQYGVSAQEAYDEFNKHIESSWKDVNKEFLKPTEMPVPVLNRSLNLARVMDVLYREGDGYTHVGKAAKYGITSLLIDPVPL >EOY12381 pep chromosome:Theobroma_cacao_20110822:7:1867571:1871954:-1 gene:TCM_030899 transcript:EOY12381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase 3, putative MKRSRTHLNFIDMDLAPPVSSERLIAGMLVNKEGKPATLSWTYKKHRTHVPLVLRPWGQRRRRRSGNVEQISDDEDIPVDERRLRAITSCTNASNPSVMLGAGLVAKKACELVLEVKPWVKTSFAPDSGVVTKYLLQGGLQKDLNQQAYNIVGFGSTTCIGNSGGIDELAAPAISENDIVAAAVHSGNHTFESRVHPLTRDNYLASPPLVVAYALAGTDDIDFEKEPIGTGKDGKSVYFRDIWPSNEEIAELAHSSVMPDMFKSAYVAIRKGNPMWNQLAVSASALHTDSPAAKYLLQRGVDRRDFNSYGSRRGNDEVMARGAFANIRIVNKILKGEFGPKTIHIPTGDELNRYMAAGQGTTIIAAKGSTLLGVKAAIAKSFERIHRSNPVGMRIIPHCFKPGEDACFLGLTGHERYTIDLPSKIREIRPGQHVTITTDTGKSFTCTAQRWNWSTLTMEAFFHMSFVT >EOY14341 pep chromosome:Theobroma_cacao_20110822:7:24249831:24250965:-1 gene:TCM_033730 transcript:EOY14341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLQLQNGIDVDDGECTSNEDEGSIDSVEDHIKDQGIQLTPGGLGDSPYVIGDLQIISLGFFGYSHSFILLFAFWTLIFGQIVKYC >EOY14342 pep chromosome:Theobroma_cacao_20110822:7:24250065:24250969:-1 gene:TCM_033730 transcript:EOY14342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLQLQNGIDVDDGECTSNEDEGSIDSVEDHIKDQGIQLTPGGLGDSPYVIGDLQIISLGNF >EOY13029 pep chromosome:Theobroma_cacao_20110822:7:5438210:5439140:-1 gene:TCM_031537 transcript:EOY13029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific Stig1 family protein, putative MATTILKLTAILSLTVSLLLSLHTQATFSFRIEDDGDDGGEYYVLDQPLIVPNLRSKSRFLSHTLKKDRIRKGTHCDPNSYRNICNGISANNGTSLLYCCKTHCRNVLGDWNNCGQCGNRCKFGQRCCGGVCTDVMYNADHCGKCDNQCTPGVKCEFGSCGYA >EOY13956 pep chromosome:Theobroma_cacao_20110822:7:15512892:15515204:-1 gene:TCM_032877 transcript:EOY13956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSYGFSMTPYHADFEGEDKTAWIQISNILVHVWHEKFFRFLVSKWCKFILLDRDTTNRNNFEVAQILVQVHYNVKFPISVMVHAAGSSFTISASKLVMDEVEIDNKVQNAFKMTGSSSVSYESSGFMGEILVRESSPISDRLARANEALSPVDGISIEMVEEVDDDRLLAWGSQKFIEESDHACEEGAVGSEMLIHECDRGTSLEKEVVSPMQKVKPTNRQDDQFDKRDLLMNNGCYDSAIEPVVFRLGCVDFLCDLNVGRLGEAHDDVSGLVLNARFKDFMGPDLCENSRELGPNVCGPIENEFTNKNQISQLSPFGNEFEKVQQGMELGSNFVVEQLHLGGQRQSNADESQELNEWAMICVENSSEVRQNLVDAEKGVSVNGIQKEKSSFSNDSTEVRDCRRSRRSSGGKISIRNVSVMEGQKREKKKKTTSVVRKRRSVTKEVVEALMVADSSIGNLSERFVSDEDIAHRNSVIL >EOY13472 pep chromosome:Theobroma_cacao_20110822:7:8684774:8685364:1 gene:TCM_032068 transcript:EOY13472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSPRLVFASIFIAICLSIFALGATPPNGEVEALKSIVETLGKRDWNFDVPCSQDNNWEEKVGSSPFYANNVTCDCSFSNNTTCHVVSM >EOY14286 pep chromosome:Theobroma_cacao_20110822:7:23038512:23041490:-1 gene:TCM_033602 transcript:EOY14286 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein isoform 1 MCSSNAKVTAGVEITPAVARINGRPVLQPTCNRVPSLDRRNSLKKIPPLSPPTPPSLASTLPATSATVGNGGRAKASLTPPISPKSKSPRPAAIKRGSDPNALNTSSEKVMTPRNITKTLERKKSKSFKEGMGNGLSSWIEPSLSYSSSLIVEAPGSIAAVRREQMALQQAQRKMKIAHYGRSKSAKFESKVVPLNTSSAMTKPDEEEKRCSFITPNSDPVYVAYHDEEWGVPVHDDSMLFELLVLSGAQVGSDWISILKKRQDFRDAFSGFDAETVAKFTDKEMTTISSEYGIDISRVLGVVDNSNRILEVKGQFGSFDKYIWGFVNHKAISTQYKFGHKIPVKTSKSESISKDMLRRGFRCVGPTVVHSFMQAAGLTNDHLITCHRHLPCTLLAASSIDGLTFKRRQ >EOY14287 pep chromosome:Theobroma_cacao_20110822:7:23039003:23041755:-1 gene:TCM_033602 transcript:EOY14287 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein isoform 1 MCSSNAKVTAGVEITPAVARINGRPVLQPTCNRVPSLDRRNSLKKIPPLSPPTPPSLASTLPATSATVGNGGRAKASLTPPISPKSKSPRPAAIKRGSDPNALNTSSEKVMTPRNITKTLERKKSKSFKEGMGNGLSSWIEPSLSYSSSLIVEAPGSIAAVRREQMALQQAQRKMKIAHYGRSKSAKFESKVVPLNTSSAMTKPDEEEKRCSFITPNSGIAIYPVYVAYHDEEWGVPVHDDSMLFELLVLSGAQVGSDWISILKKRQDFRDAFSGFDAETVAKFTDKEMTTISSEYGIDISRVLGVVDNSNRILEVKGQFGSFDKYIWGFVNHKAISTQYKFGHKIPVKTSKSESISKDMLRRGFRCVGPTVVHSFMQAAGLTNDHLITCHRHLPCTLLAASSIDGLTFKRRQ >EOY12888 pep chromosome:Theobroma_cacao_20110822:7:4635597:4646641:1 gene:TCM_031397 transcript:EOY12888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein MSLYSKPSFTGFLSVGSETFLNLVAISQQVYSGQGFHSLLLFSCQVKISKQQVVHISKSKAHKIWLKKLSGYQFLNLNLFTTFFSPLLRISRISEPVKTGTEDMETIGNMVVKYWDNHRSLDQKMIDLKRKLVDLNALKQDIESRKRAELHPRKKLKSQVDVWFKNVERINVEIQNLEQRVAETISVSRGFCMEDVLKKIQEVEELLQQGKFNQGLGVDDLMWIGQALSTTNLVGKAAENCMEEIWTCLMDDYIGKIGEMNISKIQNGILLKMGVTLPENEDETIRAGMLYEMLTRRGRYVLILDDLWDKLSLEEVGIPEPSNGSKLVVTTRMLDVCRYLGCREIRMPTLPKQDAWSLFLEKVGRDVLNYPDLLPIVESVVEQCAGLPLAIVIVASSMKGITNVHEWRNALNELSRRVRGVTGLDEKVLQQLQFSYDHLEYERVQHCFLYCALYPEDYNISEFNLIELWIAEGLVEDMNSQQAEFDQGYTILNKLKNNCLLENGEVTGRLKLHDLVRDMALRITRPRFLVRAGLQLKEIPHGQEWTKDLETVSLMKNRDLRIPSQMSAPKCQMLTTLLLSDCLIVSIPDCFFKHMKGLKVLDLSENNFTILPSSISNLEALTVLLLRRCRYLEKVPSFSKLEALRRLHLSGRKMRNLPHGMERLVNLNYLYLWVEEVPSGILSKFSCLRDLSLSFAFVKGEEMSELKKLEFFEGSFYDLNELNTFVKALQSRGQQLIQYDVGVGDKNFYSFCEWDKYIELSCRHLICRNDVKFPSDLQKLKINYGIVDFPEEEVFFPWFIPMPNGMFSFLREIDIFRCEKIKKLFTCSWVQSNLPNLEVLAVKECHQMEEIITSEMEFVEEERMGGNNNNTIQFTLPKLRILELRFLRELKSICGANRVMVCDSIEKIIIYHCSKLQRIPLYLPLLDDGQPSPPPSFKEIFMLPEKKLRSVEWDHPNAKSALEPFLKWSDYDSDPESNADSDPELDASLPNDG >EOY13769 pep chromosome:Theobroma_cacao_20110822:7:10711483:10713507:1 gene:TCM_032414 transcript:EOY13769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MINNKLLHILDQARYINHLNNAIQQKRRWKCMLMLRHHLLVIPLWEELSTPDMLLLSTRKAKVMTFGKDVLLPYVAAVCWICAFANQAHPRCMPKS >EOY14190 pep chromosome:Theobroma_cacao_20110822:7:21946328:21950692:-1 gene:TCM_033464 transcript:EOY14190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MQRRMSTSGRPSGTDGSDFSYRMVVDSRYQKVARTKSILRSFFLVQAITLMVGLFLLIFLSTSEDLASHGLEIAATTCGLISLIIGEIGRKHSRVNVLRFFMVTSSIVVSFLIYCTICKYSRFRTAKNPSYRETMLELPEVALSLVGLVLHLFIIGYTIHLIGNMSPPKRAS >EOY14191 pep chromosome:Theobroma_cacao_20110822:7:21946000:21948909:-1 gene:TCM_033464 transcript:EOY14191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MQRRMSTSGRPSGTDGSDFSYRMVVDSRYQKVARTKSILRSFFLVQAITLMVGLFLLIFLSTSEDLASHGLEIAATTCGLISLIIGEIGRKHSRVNVLRFFMVTSSIVVSFLIYCTICKYSRFRTAKNPSYRETMLELPEVALSLVEKGMELDTREFNHLCLFGFLEMADNGMTNHYLLISIRSM >EOY14000 pep chromosome:Theobroma_cacao_20110822:7:16976614:16977267:1 gene:TCM_033009 transcript:EOY14000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCVASAHFDSLRRRIWRVLPQVSNVNNDREASIIEWELSPNLSLWYSHPHTKIHKEGKSGTVSNLTLWYSYPHSSTRANHKNMTKSGDLLNPL >EOY14001 pep chromosome:Theobroma_cacao_20110822:7:16959405:16981762:1 gene:TCM_033009 transcript:EOY14001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFLDNERQLKRHEQNYPIHDLEMAAIVFALKIWRHYLYGETCEIYTDHKSLKYIFQQRDLNLRQRRWMELLKDCDCTILYHPGKANVVADALSRKSMGSLAHISIGRRSLVREIHSLGDIGVRLEVAETNALLAHFRVRPILMDRIKEAQSKDEFVIKALEDPQGRKGKMFTKGTDGVLRYGTRLYVPDGDGLRREILEEAHMAAYVDCKISPTSFPNISECLKTIAHRKGKKLICKPCRILIGISGNECQLECRDGCHGPEEGSGS >EOY13091 pep chromosome:Theobroma_cacao_20110822:7:5855724:5863291:-1 gene:TCM_046872 transcript:EOY13091 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MDEEFSVDDPTQLLDSASEFAHHPGVQNDATTKDFLDRFPLPVIISALQTKADVPGLENTLAVCLERVFKTKYGGSLIPQYMPFLQVGLKADSQMVRCLACKTVSSFLENFDDKSISAIQLIINYDLYPLLLDCLIYGNELVASAAIDAIKNLAQFPEGMSIIFPANINEVAHLGNLASRCSSLGRARVLSLIVKLFSISGSVASVIYNSNLLSLLEAEIRNSNDTLVTLSSLELLYELTEIQHGTEFLSRTTLQLLHSIISNSSMEGILRSRAMMISGRLLSKENIYMFVDEPSAKGVISAIDVRLGLLDSQDTDECESALEALGQIGSSIQGAVLLLSSFPPAARHIVHAAFDRQGRGKQLAALHALGNVAGENRPEDSVILSGDAEESLRHLIYEVASHSSKLTPSGLFLSALQQAAEFRLAGYRVITGLVARTWCLMEICSKQEIINMVTDPATETTKIGMEARYKCCKAIHRAFMSSKLVSDPALSGIAGKLQEAVQRGPYLTRKHTEAAPVVMTAERF >EOY11852 pep chromosome:Theobroma_cacao_20110822:7:122970:125479:1 gene:TCM_030523 transcript:EOY11852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MGGVAGKLESPEMVWVPETKLEAKMVEAMQRRASKGTAMKSFNSIILKFPKIDENLRKCKAIFEQFDEDSNGAIDHEELKKCLNKLEVSFTEEEINDLFNACDINEDMGMKFNEFIVLLCLVHLFKDNATSLEAKSRMGMQNLEATFETLVDAFVFLDKNKDGYVSKNEMVQAINESGERSSGRIAMKRFEEMDWDKNGSVNFKEFLFAFTRWVGIDDMEDEEEEEEGRV >EOY11910 pep chromosome:Theobroma_cacao_20110822:7:328876:329553:-1 gene:TCM_030564 transcript:EOY11910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transport protein family, putative isoform 1 MKQKIVISVNMGCNKCRVKALKVAATTNVAVEGADKDKLVVKGDGVDSAELTRSLRKKVRAATLLSVEEEKEKAEKEEVPTPMQYWYPYPPCELIIYEGNPSICPMFVW >EOY11909 pep chromosome:Theobroma_cacao_20110822:7:328849:329574:-1 gene:TCM_030564 transcript:EOY11909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transport protein family, putative isoform 1 MKQKIVISVNMGCNKCRVKALKVAATTNGVVSVAVEGADKDKLVVKGDGVDSAELTRSLRKKVRAATLLSVEEEKEKAEKEEVPTPMQYWYPYPPCELIIYEGNPSICPMFVW >EOY14291 pep chromosome:Theobroma_cacao_20110822:7:23120140:23128132:1 gene:TCM_033611 transcript:EOY14291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca(2)-dependent phospholipid-binding protein family isoform 2 MSTKQPEVDSLGESASTGQKNGVSKLQRKQSAKQKYGLIRDNFSTLEQVTRALRESGLESSNLILGIDFTKSNEWTGKVSFNNRSLHAIGDAPNPYEKAISIIGKTLAPFDEDNLIPCFGFGDATTHDSEVFSFHSDHSSCHGFEEVLSCYKKIVPNLRLAGPTSYGPVIDAAIDIVENSGGQFHVLVIVADGQVTRSVNTSDRELSPQEERTINSIVNASSYPLSIVLVGVGDGPWDDMKKFDDKIPARDFDNFQFVNFTEIMLKNTTPDEKETAFALAALMEIPFQYKAVMELGILGQRTGTAKKVVPRPPPVPYSRRPQPERVPSNVFSSSPSLDDRTQACPICLTNSKDLAFNCGHMTCRECGSKVSNCPICRQRIITRLRLYT >EOY14292 pep chromosome:Theobroma_cacao_20110822:7:23120595:23127303:1 gene:TCM_033611 transcript:EOY14292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca(2)-dependent phospholipid-binding protein family isoform 2 MSTKQPEVDSLGESASTGQKNGVSKLQRKQSAKQKYGLIRDNFSTLEQQVTRALRESGLESSNLILGIDFTKSNEWTGKVSFNNRSLHAIGDAPNPYEKAISIIGKTLAPFDEDNLIPCFGFGDATTHDSEVFSFHSDHSSCHGFEEVLSCYKKIVPNLRLAGPTSYGPVIDAAIDIVENSGGQFHVLVIVADGQVTRSVNTSDRELSPQEERTINSIVNASSYPLSIVLVGVGDGPWDDMKKFDDKIPARDFDNFQFVNFTEIMLKNTTPDEKETAFALAALMEIPFQYKAVMELGILGATNWDSKEGSSTSSSSSL >EOY14290 pep chromosome:Theobroma_cacao_20110822:7:23120089:23128321:1 gene:TCM_033611 transcript:EOY14290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca(2)-dependent phospholipid-binding protein family isoform 2 MGNFLSFQKLKRLVSVTQDSNSSRGRRSGQPRAANFPVMSTKQPEVDSLGESASTGQKNGVSKLQRKQSAKQKYGLIRDNFSTLEQVTRALRESGLESSNLILGIDFTKSNEWTGKVSFNNRSLHAIGDAPNPYEKAISIIGKTLAPFDEDNLIPCFGFGDATTHDSEVFSFHSDHSSCHGFEEVLSCYKKIVPNLRLAGPTSYGPVIDAAIDIVENSGGQFHVLVIVADGQVTRSVNTSDRELSPQEERTINSIVNASSYPLSIVLVGVGDGPWDDMKKFDDKIPARDFDNFQFVNFTEIMLKNTTPDEKETAFALAALMEIPFQYKAVMELGILGQRTGTAKKVVPRPPPVPYSRRPQPERVPSNVFSSSPSLDDRTQACPICLTNSKDLAFNCGHMTCRECGSKVSNCPICRQRIITRLRLYT >EOY13695 pep chromosome:Theobroma_cacao_20110822:7:10168188:10172953:1 gene:TCM_032333 transcript:EOY13695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein, putative MLPPYLSAEIAAVGCAESGSPLDNGCLTGGLGMMVRIPERLAAVLVTPKTNLGILVNMALQLGFAKVFFAIFFLASLATAHNAKTFNVRNYGAVADGRKDNRKAFLKAWRDACNQKGISRVYIPGGVYVLGTVEFLGPCKGPITFLMTGTVRAPAGPLSTDEWITFRYVNKLIVKGGGTLDGQGASAWPYNECDKNSNCRSLPISMRFEFVTNSRIKYIRSINSKNGHLSFFACKNVNVTNIELSAPGDSPNTDGIKIGSSRDIRISSSRISTGDDCIAILSGSTNIDISYVYCGPGHGISVGSLGKFPNEDDVKGLVVRHSTFNGTDNGLRIKTWESPWRSTASNFTFEDIFMEKVRNPIIVDQAYCPHPPCNQQTASHVQIKDVTYRNIWGTSSSQIAVSIDCSKQFPCKNIVMKDINLAHLGREGPLKSHCSYVDGHFYGRQNPPSCF >EOY13596 pep chromosome:Theobroma_cacao_20110822:7:9423546:9429201:1 gene:TCM_032196 transcript:EOY13596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNVLAVPVQVTSSHDAILVSSLLHQIPLAMLVRLFWIALVVCCPYILLPLHG >EOY12884 pep chromosome:Theobroma_cacao_20110822:7:4593996:4594780:1 gene:TCM_031391 transcript:EOY12884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKLELKLSERKKGTLLNICFMKQQRMVYSIPHLILTSSTVRCCKFVHVLHLLSCLSFYVK >EOY12782 pep chromosome:Theobroma_cacao_20110822:7:4143539:4147113:-1 gene:TCM_031307 transcript:EOY12782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAFKNQKDHWAFLEEIEAPMWVDLTLEAKLNSQDIDGDWFQTSHLFHHCSSRKLKSAFSRSGEDGVNSELDLVGASSPTLPQSVSRSRGKDYRSKKWKGDCHDGSLNNIKPVKVLNGKFSRLDSGYGEEIKPKLSFVSLKGASSSKTSLVSEITETNTRSTVTSESVQQQQQQKTFEVSSRGFGQSSGLLLSVRSSLRKSCITRPASRVEINADRRESRDRKSSSSKSSVGSSSFSGHDVKRSSIALIKRKEHTPDSRNVARMTEAAKNKVKPSNMCNTSNVRGKEGNRNSRTGGLPTVAKPTCQEATKSKANSQTLRSKLSQPLNEKKSLVAASKARKKVGVSRIKKVTGAGKENNTGEISLSQKCNGKGDAAGGMVVGRKGTSQSTSQNGGRTGLFVPKGRVGNQREGKNSTNSTQRVHFR >EOY12784 pep chromosome:Theobroma_cacao_20110822:7:4143282:4146998:-1 gene:TCM_031307 transcript:EOY12784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAFKNQKDHWAFLEEIEAPMWVDLTLEAKLNSQDIFHHCSSRKLKSAFSRSGEDGVNSELDLVGASSPTLPQSVSRSRGKDYRSKKWKGDCHDGSLNNIKPVKVLNGKFSRLDSGYGEEIKPKLSFVSLKGASSSKTSLVSEITETNTRSTVTSESVQQQQQQKTFEVSSRGFGQSSGLLLSVRSSLRKSCITRPASRVEINADRRESRDRKSSSSKSSVGSSSFSGHDVKRSSIALIKRKEHTPDSRNVARMTEAAKNKVKPSNMCNTSNVRGKEGNRNSRTGGLPTVAKPTCQEATKSKANSQTLRSKLSQPLNEKKSLVAASKARKKVGVSRIKKVTGAGKENNTGEISLSQKCNGKGDAAGGMVVGRKGTSQSTSQNGGRTGLFVPKGRVGNQREGKNSTNSTQRVHFR >EOY12783 pep chromosome:Theobroma_cacao_20110822:7:4143991:4146809:-1 gene:TCM_031307 transcript:EOY12783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAFKNQKDHWAFLEEIEAPMWVDLTLEAKLNSQDIDGDWFQTSHLFHHCSSRKLKSAFSRSGEDGVNSELDLVGASSPTLPQSVSRSRGKDYRSKKWKGDCHDGSLNNIKPVKVLNGKFSRLDSGYGEEIKPKLSFVSLKGASSSKTSLVSEITETNTRSTVTSESVQQQQQQKTFEVSSRGFGQSSGLLLSVRSSLRKSCITRPASRVEINADRRESRDRKSSSSKSSVGSSSFSGHDVKRSSIALIKRKEHTPDSRNVARMTEAAKNKVKPSNMCNTSNVRGKEGNRNSRTGGLPTVAKPTCQEATKSKANSQTLRSKLSQPLNEKKSLVAASKARKKVGVSRIKKVTGAGKENNTGEISLSQKCNGKGDAAGGMVVGRKGTSQSTSQNGGRTGLFVPKGRVGNQREGKNSTNSTQRVHFR >EOY12080 pep chromosome:Theobroma_cacao_20110822:7:829263:835992:-1 gene:TCM_030681 transcript:EOY12080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MAENEANQVNVALVSSANASHNIAIDTKSPYFLHSSDHPGLTFVTHPLNENGENYFTWRRSFLNALRSKNKAGFLTNALAKEIQSSAAHADTAHEVWADLQERFTQGIAPLIYELRRAIALLQQEKSSISSYYAKKMEDMREQEKVFDFLMGLDDTFSIDLPSRRPIGVGRVRDGLYYLEPIREGKALMASNMRHADMWHWRLGHLPMNRLSFIGDLSINVKENKFCDACCRARQHRLPIFANHLLFLFHRGPAFIAILIYVDDVIITGNDSDRIVKLKCYLDKKFRIKDLGKLKYFLGIEVARSPSVIVLSQHKTDISYVVHLLSQFMHNPRQPHLNAVFCELRYLKNAPGQGLLLPSNNSLSLRAYCDGDWAGCPTTRRSTTGYIIFLGSSPISWRLKKQTVVSRSSAEAEYRAMATTSTKIIWLIRLLRDLQVPCSNPVPLFCDNQAAIHIAANPVFHERTKHVEIDCHFVHQHIQSQTLIPRPITSKFQLADIFTKALGRERFHELLSKLGVSTLHTPT >EOY13168 pep chromosome:Theobroma_cacao_20110822:7:6319470:6327064:1 gene:TCM_031696 transcript:EOY13168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKEVHSDFSAAKAPHSPPVAVHGVFSAVHHAATPFPASAAAPSLASGVVPHVELRNWMQSMQLEIQQSMQLEIQRAMQMQMQSLHEYIQHSLQGLEDQLVGRLIDRFEGRRPPIGSPIEHHVAPIPSPVEAAQHSQLTPSLEAPPPSSKRDLIFVLCKRAREHHPQHYKQRICIVDTTFYLILLYMSQEMRPSPTKKTFKPSEVVFPDDVLAYAKGGRPPWGLPWHEVDSILVSCFFYSHWVVVHVNLLKWTMMLVYSLYSKKEALKFGLRDTQMSLLTSLFPIICHQVGYFVNSHHQKRGLIRMKYRLNENTLIQQASHSYGDWVIASLQWLIGSEDQKLKANAIEGIRTKFALEIFANSSNC >EOY12671 pep chromosome:Theobroma_cacao_20110822:7:3318398:3319646:1 gene:TCM_031177 transcript:EOY12671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAFFSSNQSRVYLTRLLWFFIFFCSLTLSSSSIHDLLVSRGLPAGLLPKEVKSYTLSENGTLEVFLDGPCLTKYENRVFFDSVVRANLTYGSLIGVVGLTQEELFLWLPVKDIIVDDPKSGLILFDIGVAHKQLSLSLFEEPPD >EOY12670 pep chromosome:Theobroma_cacao_20110822:7:3318397:3319646:1 gene:TCM_031177 transcript:EOY12670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAFFSSNQSRVYLTRLLWFFIFFCSLTLSSSSIHDLLVSRGLPAGLLPKEVKSYTLSENGTLEVFLDGPCLTKYENRVFFDSVVRANLTYGSLIGVVGLTQEELFLWLPVKDIIVDDPKSGLILFDIGVAHKQLSLSLFEEPPDCKPQGMLKNHVRKEKGFEAVR >EOY14354 pep chromosome:Theobroma_cacao_20110822:7:24360278:24361493:-1 gene:TCM_033746 transcript:EOY14354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSFSFNATAPSIFTGEYYAIWAVKMKAYLRAFDLLEIVEVGGDPLVMRHADPTIAQLKQHSEEAFNLHREFKIFRLKVKEDETIKEYYDKIMKLFNQLRLLGEDLSERKIVNKVLVSLPEKFEAKISSLEDSKDLSQLMVTELVNTLQAQEQRCFIQNDGQVEGALMVKSRGKVVSNSQRRYGHDNKEKDKRNNDEKQGQGKANVQPCSYCKKRSHLEKFCWYCLNVKCSYCNQMGHVAKVCKAKANNNEEKVTVVEEKKDCDEALFMIKITEKHTMNDVWLIDNGYSNHITSHMEICPEVRSSENAFPLK >EOY13965 pep chromosome:Theobroma_cacao_20110822:7:15704429:15709911:-1 gene:TCM_032898 transcript:EOY13965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRELLGDEKRIFLTGLAVVIEVVTEVISSKAITEWLQSYALEQLMELASRLYKLLVGGMKLINEHAAFVNPTSQIIKSTLKISRERQMNQPHFTLSLHGEAFLEINDWITKFSDRSNSKTLQSLLECVPKGDKEGNKSSFDCKEMLAAQFFYLQQSLGINCSALPSVVSALCLPLCDDSKVADIVNFVMGGGMLNNYLDSFWHFMMVEAVNRTQDPGLDFMLDFRTPIVTLCSMICCPPESNPAWRW >EOY13896 pep chromosome:Theobroma_cacao_20110822:7:12808454:12812804:-1 gene:TCM_032630 transcript:EOY13896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-protease-integrase-RT-RNaseH polyprotein, putative MVSICFVESVMDQCIYHKVSESKIIFLVFYVDDILLATNDLGLLHEVKQFLFEHFYMKDVGEASYVIGIKIHKDRSQGLLGLSQETCINKVFKRFWMKDCSLNVTPIVKGDRFSLKQCSKNDLEREGMKNIPYALVVGSLMYAQICIRPNVMTRNTHRAHDNHRDVPIGTHLPSTLSRPRKALGFTPNITFVVGMLGSYQSNQGLDHWKAANKVMRYLQGTKDFILTYSLSNNLEVVGYSDSDLLVVLTFVNQNLDKSLYLLEYLYLGEVQCNL >EOY12415 pep chromosome:Theobroma_cacao_20110822:7:2124796:2127759:-1 gene:TCM_030936 transcript:EOY12415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRFSLPSYSRPSISKVFKSMFCSFPSAISICSSLLCCESFCNMFRLLWAPVQSF >EOY14027 pep chromosome:Theobroma_cacao_20110822:7:17913271:18003790:-1 gene:TCM_033093 transcript:EOY14027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MKGVIRFAKWGKLNPRYIGSFRIIERIGPIAYKLELPLELDWIHNVFHVSMLKKYVPDPSHILETPPIELHEDLKFEVQPVRILDQKDQVLRNKSIPMVKILWKNARVEEMTWEVEHQMRNQYPYLFLELGGESSDKGKEIASEDQ >EOY13020 pep chromosome:Theobroma_cacao_20110822:7:5342463:5347075:1 gene:TCM_031522 transcript:EOY13020 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding S4 domain-containing protein MAAASVAAPWLLRRAFQALAFCQPLHINRNLSFYGNCCSFPVQTPLRSSGLCHVAQVIKGDNDVLLKGVGDKSAIEEVKHILEMARRAATRREVLHTDFLTPPVIKESMLVLGKLADVKAVAQGGYPQAERCRISIGHSEVLTSDPDVVAALNITGNFGFQPCSHGDFLGAILGTGIAREKLGDIILQGEKGAHILIVPELVDFLMSTLDKVGNVSVSCMQIPLLALEYEPPRTQSFKTVEASLRVDALASAGFKISRSKLVNLISNGDVRVNWTSVTKNGTILKTGDIVSVSGKGRLKIGEINTTRKGKFAVELIRYL >EOY14054 pep chromosome:Theobroma_cacao_20110822:7:19552272:20058586:1 gene:TCM_033221 transcript:EOY14054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGPFEALYGRRCRSPIGWLEVGERKLLGPELVQDATEKIHMIRQRMLTAQSRQKSYAHNRRRDLEFQVGDHVFLKVSPTKGVMRFGKKRKLSPRYIGPFEILEKVGAVAYRLALPPDLSNIHPVFHVSMLRKYNPDPSHVIRYETIQLQNDLTYEEQPVAILDRQVKKLRSKDVASVKVLWRNHTSEEVTWEAEDEMRTKHPHLFDM >EOY13167 pep chromosome:Theobroma_cacao_20110822:7:6311190:6315814:1 gene:TCM_031694 transcript:EOY13167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger family protein / calcium-binding EF hand family protein MRNIAKAICFLVALSLVIIAVEARSLPQSSSQLVSDGIDGDDDPVQASHSSGLFLKEMESSEKYCEQMYGFLPCSNSIYGHLFLILVYEYLLFHGESYLASGGEQMFKIPGPGFFGASAFQILSALPEALILLASGLMNTKEVAHEYAYTGVGLLAGSSILLLTITWGTCLIVGSRDLSNGAEYSILSTESNPRILSCNRLLHSLTGFGIITDQETSYTARIMLYSYSCTSSIRLFEEIDQDVDDYISSSELKELLLEVKFTRSHINKEKAIEKVMKEFDLDGDQKITKDEFVNGFTKWLDEAKKAMDKRYYSQKSLRDIYRVFQPWIENKRKEREMKKNLMSEMLAYSNENLIGSLLTEDGKPNTAAIRSSVKFGQVPLDANEVAAKVIEELDTSGDRQIDQQEFVIGISKWLKTSEKEASPSSFESQDDIYLKTWEGTDKLVDEESSNGVVDASKEEEKYVRRVAMNMCNLLTIFF >EOY13106 pep chromosome:Theobroma_cacao_20110822:7:5946141:5947155:1 gene:TCM_031630 transcript:EOY13106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMLKIILLDSMIKLRNWSRSLLMRPEGITKLFAYVEWVVWGRLLLLKRSTITAWLEVILITWFGCMSLSIAKGEKSGKTFSVVLRLWTRTRPRGRERKI >EOY12145 pep chromosome:Theobroma_cacao_20110822:7:1124618:1129178:-1 gene:TCM_030735 transcript:EOY12145 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY family transcription factor family protein MVMEEQILASSAAAATQHVQATCDPSGSGGSGGARYKLVAPAKLPISRSACITIPPGLSPSSFLESPVLLSDVKAEPSPTTGSLIKPQAVHASVASSTYSAAPVCSNTFDERNSSCFEFRPHPRSNMAPADLNHQRSEQSLQIQGQYQTISFNSSSSVKSEMAGSSNELSLSVPVHTATSMASATAEVDVDELNQIGNPNSGIQAGQSDHRSGGPSVPSDDGYNWRKYGQKQVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSRRYSSGNIMPGQEERSDKVSSFTGRDDKSSSIYGQMAYSIEPNSTADLSPVTANDDNIDEVDDDDPFSKRRKMDGGVDITPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARTSSHDTAGPVAVNGPSRIRSEENDAISLDLGVGISSAPENSSNEHQQLHSELVQTHAQTGGSSFKLIQAAPITTYYGVLNGGRTQYGSRENPSEGRGVEILPLNHSYAYPQSMGRILTGP >EOY13958 pep chromosome:Theobroma_cacao_20110822:7:15577932:15578756:1 gene:TCM_032883 transcript:EOY13958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSEHARKMPIAILIKCIRGIFQCWFHDRHNKALNLTMLLSPWAINLLSTWFNEACHFSTQLIDRVEFQVIGGTKDKVVNLSTKTCSCSQFQIDLLPCTHAMVAISLGSKCKHVAIEFCSNYYKTRSWVEGYAIPVHPVGHHNALVQIAQLGIPV >EOY13379 pep chromosome:Theobroma_cacao_20110822:7:7908886:7909845:1 gene:TCM_031939 transcript:EOY13379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNDHISALELYPVPGCMTSGAIQQGVPTKVFLDSCLSPESNMQSETPKSASLTVPLLSSSIFPALDPDEYVLVGEDNCNTP >EOY12239 pep chromosome:Theobroma_cacao_20110822:7:1444101:1446084:-1 gene:TCM_030802 transcript:EOY12239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRHQSSPEKQLYESRCFDIFLCVSILTIFSLLVLACVLIIESHPDPPIVHVNSVQALHFNISSTSLLTACLRIQLSLKNPNKKTSFSVESIMAYLVYQRRYSFLSTNINPFYLQSRTKAVVHANFDATSMFLKSNVSNGMRAELMDLEVVNFDVRIYGRAWFYPSSSWFWGWEMMYIRCNDVLVGFSSNKTSGTMLHSPRRCKHITRKPPINFGQNTATHNLYLLYKYLSVTIENLKIIGRGFINLSSGVSWSFATSQKLQMLKETVVCQNPQDELMKTFSPPPQQTWMNLVTKFPCGNVMLEIRVNGGLTLHVAIPEGCLGPSH >EOY13971 pep chromosome:Theobroma_cacao_20110822:7:15973287:15988101:1 gene:TCM_032920 transcript:EOY13971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWFMYYIKRKHKILLSKFVFSYMMKIMRSASKSLLYNMIVIEIIDFHALNTRVDPPKAHSMHTKIDSHIINKFGYECKDGV >EOY13803 pep chromosome:Theobroma_cacao_20110822:7:10919382:10921049:-1 gene:TCM_032449 transcript:EOY13803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKFTFLLSKRRESLTKSTPPSPFVNLFLLLVKKRIGFGTMYGQENSLKCVLCNKEKETCDHLFTECIETWIVWTEWFNECGYVWISPNSTRSFFEVWNECDLGSVDLRILRMAYFVAVWTIWKERNEVIFKGKEWSAKKCVELVRFRLVTWANARWPREYLSVLDAYRKPLAHSSRIKQKSAERGVKWEEPKARELKFNMDPGQQV >EOY13493 pep chromosome:Theobroma_cacao_20110822:7:8830961:8834770:1 gene:TCM_032095 transcript:EOY13493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MFRCGMILLRTFLGITCLIILVVRKLKRRHLSMDDMIENFLQSQNNLMPIRYSYFEIKRMMDGFKNKLGQGGYGSVFKGKLRSGQLVATKLLDKSKANGQDFINEVATIERIHHVDVVRLVGFCVKGSKQALVYDFMQNGSLDKIIFSTEINTLSWQKMFEIALGVAQGIEYLHRGCEMQILHFDIMPHNILLDENFISKISNFGLAKLYSVDDNIVSLTATRGTLGYMALELFYKILEASHIKPMFIVLE >EOY12339 pep chromosome:Theobroma_cacao_20110822:7:1753756:1756617:-1 gene:TCM_030872 transcript:EOY12339 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein isoform 1 MIGLRVEKNKPPSLVSLCLGVVGRHLEDIVEDLSEIAVSFPADIKITLAAIARRRKLLNDDVIVSLADSSWEILDLSGSDVSDFGLTKVAEMCKSLCAVDISQCNKITANGVSELVQHCHSLETLRCGGCPASESTARRCLGILKPKLNDVGGDSWEELDTMEIGHGAQSLRWLVWPKIDKDSLEMLSTECPRIIVNPKPSLFSFRGTEVPREALPDVALDDPIIKDIDPKTWVFCRFTPKAISQTLSSPNELSLAEKFRLAFVERDMRLAPKRAKNARQHQRRAEREWMMTSTRAKALALASQASKSLHGRS >EOY12338 pep chromosome:Theobroma_cacao_20110822:7:1753733:1756872:-1 gene:TCM_030872 transcript:EOY12338 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein isoform 1 MEKGKSAAKALEISLKKLDLNADRNSKSSHSIPSLHSSRLRVEKNKPPSLVSLCLGVVGRHLEDIVEDLSEIAVSFPADIKITLAAIARRRKLLNDDVIVSLADSSWEILDLSGSDVSDFGLTKVAEMCKSLCAVDISQCNKITANGVSELVQHCHSLETLRCGGCPASESTARRCLGILKPKLNDVGGDSWEELDTMEIGHGAQSLRWLVWPKIDKDSLEMLSTECPRIIVNPKPSLFSFRGTEVPREALPDVALDDPIIKDIDPKTWVFCRFTPKAISQTLSSPNELSLAEKFRLAFVERDMRLAPKRAKNARQHQRRAEREWMMTSTRAKALALASQASKSLHGRS >EOY13923 pep chromosome:Theobroma_cacao_20110822:7:13562105:13581243:1 gene:TCM_032694 transcript:EOY13923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 27 MSHNNLSGIMLQCFGELSKSLCMLNLGMNKFHGTIPSTLSKGCQLENLNLNANQVEGPFTRSILHCRSLQVLDLGNIKINATFPHWQGTLQELKVLVMKSNQMHGSINGKRHMHYFRKLQILDLSNNRFTRQLPTGYMENFKAMMNVEENRYVTPYIGGSDKTRNQFYSYSVHLIEKGQEVELMKIFATLTIIDLSNNKFQGEIPRVIGKLSSVIGLNLSHNHLIGHIPPSFGKLINLEWLDLSSNKLDGKIPEQLLNLTMLSSLNLSKNEFVGRIPKGEQFNTFENSSYEGNDGLCGFPLSSDCSSNEARQPPPSKLQEEDHSKSEIRFGWKVVLIGYMFEFMFGLGMGCVIFWTGKPKWIVSLVEAKHHRRPKK >EOY13675 pep chromosome:Theobroma_cacao_20110822:7:10072271:10073741:1 gene:TCM_032317 transcript:EOY13675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sensitivity to red light reduced 1 MSSTDPATSISLELGFGIREFYHDAEEKSKIIVQEMERISREVRESEFYSQLCKQIESEEFQSKLSRTLGSHFFDVTMVIYALGSLERATPPKYQLAFALLLQQDFFGWITRIEVFDPSLSLADILVLEKFGCTVLWMNEECRRRVDSPTLFFMPYASKSLQGNLLEANWWPSNINQVILLTNRLSATVEDYHDFLRDGTIFNLDSFMENWEYLEAIHKCIQEINIHTNSENFADSFLAHLKDVTNNRYLHYQFYDLPFMIKMQYLEAIQNYTEEMRISLGQPSDNLLYNFAFHFFNVAPEIDMQTLLQGEEEVRLNFRRQFDKIWKIEEYQIVALIEALIINAWYEQIAETENDL >EOY13184 pep chromosome:Theobroma_cacao_20110822:7:6448761:6451617:1 gene:TCM_031711 transcript:EOY13184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative MATASNTRLLLLFMIILLMLVVRYFEARPSHGIPTLTQKIDSSTILQKLGYDVSKIAYYRRMLGADPDRISPGGVSASVTLRCISSSSKKQSFTISHLKKKCGLSSESALTAAKYVQFATSDRADTVIAFFKNHGFSEPQITRLIKGRPVVLLYDVEKTLSPKLEFLRSKEKQIVPSFNGLSNLLKSDEKIIHAVKRYPRLVCYDLNAILLPNIDLLLDNGVPECSIVTTLHSLPSTLMRSPIQFKNMVEETKEMGFIPSRPMFMVALCAMTSMSKSTWKKKFEVFKKFGWSEKEALEAFRRYPMFIKL >EOY12104 pep chromosome:Theobroma_cacao_20110822:7:964538:965569:1 gene:TCM_030704 transcript:EOY12104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative MKQKIVIKVSMHCDKCRTKALKIAAAADGVISVELQGPEKDKLMIVGDGIDAACLTWYLRKKLSHASLEMVEEVKDKKVEEKKDAGEKDGEKKEPKSPTTLVVCCPQPQLEYFAVVTEPNPGPCTIFSNSTGLILSGAETPADFYVHLFHLKYSP >EOY12272 pep chromosome:Theobroma_cacao_20110822:7:1535777:1539090:-1 gene:TCM_030828 transcript:EOY12272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent enzyme, YBL036C type isoform 1 MAAPAVEGAAVTALRSVLLRVRHAAERAGTRPERVRTVAVSKTKPDSLIRQVYDAGHRCFGENYVQEIVQKAPQLPEDIEWHFIGHLQSNKAKTLLGGVPNLALFEGVDNEKIANHLDRAVSNLGRNPLKVFVQVNTSGEASKSGIDPSGCIQLAEYVKLRCQNLDFSGLMTIGMPDYTSTPENFRS >EOY12273 pep chromosome:Theobroma_cacao_20110822:7:1536567:1538861:-1 gene:TCM_030828 transcript:EOY12273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent enzyme, YBL036C type isoform 1 MAAPAVEGAAVTALRSVLLRVRHAAERAGTRPERVRTVAVSKTKPDSLIRQVYDAGHRCFGENYVQEIVQKAPQSNKAKTLLGGVPNLALFEGVDNEKIANHLDRAVSNLGRNPLKVFVQVNTSGEASKSGIDPSGCIQLAEYVKLRCQNLDFSGLMTIGMPDYTSTPENFRTLSNCRVEVCKALG >EOY12271 pep chromosome:Theobroma_cacao_20110822:7:1535777:1538849:-1 gene:TCM_030828 transcript:EOY12271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent enzyme, YBL036C type isoform 1 MAAPAVEGAAVTALRSVLLRVRHAAERAGTRPERVRTVAVSKTKPDSLIRQVYDAGHRCFGENYVQEIVQKAPQLPEDIEWHFIGHLQSNKAKTLLGGVPNLALFEGVDNEKIANHLDRAVSNLGRNPLKVFVQVNTSGEASKSGIDPSGCIQLAEYVKLRCQNLDFSGLMTIGMPDYTSTPENFRINIIGHYFISSDEQTLSNCRVEVCKALGMAEDECELSMGMSGDFEQAIEMGSTNVRVGSTIFGPRDYSKKLPN >EOY13732 pep chromosome:Theobroma_cacao_20110822:7:10430090:10449994:1 gene:TCM_032371 transcript:EOY13732 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein MAPSFDDSSSLFNFVVRDGNGVKGMVDLGLSKVPQAYMQPPKERIDKQNASKHEQPPIDLSRLDGPYHDEVAKEIVRAAETLGFFQVVNHGVPVDLLESLKDTAHKFFGLPPERKAVYRTEVSPTSLVKYGTSFVPEKEKALEWKDYISMVYTNDAEVLEHWPIECREVAIEYLKTSLEMVKKLLHVLMRNLGVELDDSKIDSLIGRKTVHMNYYPTCPNPELTVGVGRHSDMGTLTVLLHDGIGGLYVNVPEDTDIGKKGEWVEIPPIPGALVINVGDMLQILSNGRYKSAEHRVRTTSAKSRVSIPIFTIPKPTEKIAPLPQVVEKDGIAHYREFLLADYMNNFFWKST >EOY12664 pep chromosome:Theobroma_cacao_20110822:7:3294703:3297683:1 gene:TCM_031174 transcript:EOY12664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive GH3 family protein MPEAPKNTQKPTDYSLELKNKKTLQFIEDVTSNADGVQKKVLEEILSRNAHVEYLQRHGLNGHTDRETFKKVMPVITYEDIQPHIDRIANGDTSPILCSKPISEFLTSSGTSGGERKLMPTIEEELGRRSLLYSLLMPVMSQFVPGLDKGKGMYFLFIKSEAKTPSGLVARPVLTSYYKSSHFKDRPYDPYTNYTSPNETILCPDSYQSMYSQMLCGLCQHKEVLRVGAVFASGFIRAIRFLEKHWPLLCKDIRAGTVDAQITDQPVREAVMKILKPDPKLADFIEAECSKDSWQGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGVNLKPLCKPSEVSYTLIPTMAYFEFLPVHRNNGVTNSISVPKSLNEKEQQQLVDLVDVKLGQEYELVVTTYAGLYRYRVGDVLRVAGFKNKAPQFNFICRKNVVLSIDSDKTDEVELQHAVKNAVNHLLPFDATLAEYTSYADTTTIPGHYVLYWELSLNGTTPIPPSVFEDCCLTIEESLNSVYRQGRVCDKSIGPLEIKIVEPGTFDKLMDYAISLGASINQYKTPRCVKFAPIVELLNSRAVSSYFSPKCPKWLPGRKQWNMN >EOY13903 pep chromosome:Theobroma_cacao_20110822:7:13194134:13195079:1 gene:TCM_032655 transcript:EOY13903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKLQEVKYAFKGIGLAGAYEVRWLDYKHVLIHLSDEQNFNRIWTKQNWFIANQKMRVFKWTPEFEPEKESAVVPIWISFPNLKAHLFEKSTLLLIAKTVGKPLFLDEATTNGSRPSVAHVCVEYDCRKRMVEQVWIVVQNRETSAVMNGYSQKVEFAHMPAYYDHCCHVGHKKVECIVLGNKVKPSGSSKLQPIREADKAADYGGGSSKNPIPPPI >EOY13409 pep chromosome:Theobroma_cacao_20110822:7:8054196:8064021:-1 gene:TCM_031971 transcript:EOY13409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRNPESCLRLDESEDIEINNSGEESRLLSTEHSNKETVEESTEVLDMLVDSNDTRNIDNEALASVEGSFQEADLVDVLLTEQEERLMDVGGFGLFMSPVAERLGKNFKPPSCGYRKNTGLSACIGSGVRKGDRKCGLGNIYVPNEVGARSELRLDLIDKLRDLSFKWCIGGDFNAVMNSRERSSGLDDGRSSVDFVFLIDAIQMINMPMGRLVDNLGMIKEEVANFYEDLYSGKKVAKLKELNCSFKTLSKNSANQLEKPFSTEEV >EOY11855 pep chromosome:Theobroma_cacao_20110822:7:137049:138356:-1 gene:TCM_030527 transcript:EOY11855 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II elongation factor ELL3 isoform 1 MAEMKDAHVVEIPVDEEHQQKLSCALNTITAIQNHPLTEISQSPGHLLLLKLWQREEDLFGRRIAHKESRLDSIKREIFQLCCFFLIFHGFFFNILFTSSVSAQEHHTCKKWWIPSLVSMSTSLVFVFLAQVKLCRHWKVWGQLQRERNDNRALTRCIQELRMKGASFDLSREPHIGKRMKSSSVEIKWKPLTWCSQYLITLCLVCFSGLVFPACKFVLCGI >EOY11856 pep chromosome:Theobroma_cacao_20110822:7:137077:138441:-1 gene:TCM_030527 transcript:EOY11856 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II elongation factor ELL3 isoform 1 MAEMKDAHVVEIPVDEEHQQKLSCALNTITAIQNHPLTEISQSPGHLLLLKLWQREEDLFGRRIAHKESRLDSIKREIFQLCCFFLIFHGFFFNILFTSSVSAQEHHTCKKWWIPSLVSMSTSLVFVFLAQVKLCRHWKVWGQLQRERNDNRALTRCIQELRMKGASFDLSREPHIGKRMKSSSVEIKWKPLTWCSQYLITLCLVCFSGLVFPACKFVLCGI >EOY13023 pep chromosome:Theobroma_cacao_20110822:7:5351475:5359352:-1 gene:TCM_031526 transcript:EOY13023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein isoform 1 MLRKQGIYVLILDDVWSSFSLEDVGILEPTTDNGCKLVLTTRSEEVVRSMGCRKVQVPCVSMEEAMQLFLSKVGQDMLPNPNLESIMKDVVCECGGLPLAIVTIAGCMRGISDPVVWRNALNELRGYIRNIDEMEDKVFSCLRFSYDRLKQKDRDCFLYCALFPEDYAINKRGIVEYWMEEGLIDEMGTRQEMQDNGHSILRKLEENCLLERDHTGTCVKMHDVVRDMALHIIRKVFYKVFYVKTGKQLEDLPEWDEDVEKVSLMRNSISKIPQTMLSPKCQKLTTLFLSENSLREIPESFFEYMPNLKILDLSGNRIRELPNSISNLKKLTILLLSENSLREIPESFFEYMLNLKILDLSSNRIRELPNSISNLKKLTTLLLSCCIELENVPSLSKLQALKKLNLLGTKIKKIPQGLEMLINLRYLNLGYTTQLKVIPHGILSKLCDLQHLIIFPATSRAEEMKTLNKLEVLKVCFNHMHDLSLYASQRKWPNNDYRIFVRGNLTNRIFSQVGLSKSVAIGGSRMKIENSIILPSDIQGLSLRSCECNGESFNDIVGLEDVTDLKKCTIDGCNGLESIFSSRCASLQTIEILELDFLCDLKMILGESIPLEPGTFSNLKTINISDCEKLKNLFSAKWVLQNLHNLEEIIVWGCKEMEEIIASEKEGMSTDNNVMFTLPKLKKLTLIYLPELKSICRTNEVMDCDSLQQIVISDCPKLKRIPLHLPLLDLDNTQPSPPPSLKAILIRPKEWCESVEWDHPNAKNVLLPLLKFRDDSIGEWKQFH >EOY13022 pep chromosome:Theobroma_cacao_20110822:7:5351475:5359352:-1 gene:TCM_031526 transcript:EOY13022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein isoform 1 MLRKQGIYVLILDDVWSSFSLEDVGILEPTTDNGCKLVLTTRSEEVVRSMGCRKVQVPCVSMEEAMQLFLSKVGQDMLPNPNLESIMKDVVCECGGLPLAIVTIAGCMRGISDPVVWRNALNELRGYIRNIDEMEDKVFSCLRFSYDRLKQKDRDCFLYCALFPEDYAINKRGIVEYWMEEGLIDEMGTRQEMQDNGHSILRKLEENCLLERDHTGTCVKMHDVVRDMALHIIRKVFYKVFYVKTGKQLEDLPEWDEDVEKVSLMRNSISKIPQTMLSPKCQKLTTLFLSENSLREIPESFFEYMPNLKILDLSGNRIRELPNSISNLKKLTILLLSENSLREIPESFFEYMLNLKILDLSSNRIRELPNSISNLKKLTTLLLSCCIELENVPSLSKLQALKKLNLLGTKIKKIPQGLEMLINLRYLNLGYTTQLKVIPHGILSKLCDLQHLIIFPATSRAEEMKTLNKLEVLKVCFNHMHDLSLYASQRKWPNNDYRIFVRGNLTNRIFSQVGLSKSVAIGGSRMKIENSIILPSDIQGLSLRSCECNGESFNDIVGLEDVTDLKKCTIDGCNGLESIFSSRCASLQTIEILELDFLCDLKMILGESIPLEPGTFSNLKTINISDCEKLKNLFSAKWVLQNLHNLEEIIVWGCKEMEEIIASEKEGMSTDNNVMFTLPKLKKLTLIYLPELKSICRTNEVMDCDSLQQIVISDCPKLKRIPLHLPLLDLDNTQPSPPPSLKAILIRPKEWCESVEWDHPNAKNVLLPLLKFRDDSIGEWKQFH >EOY11889 pep chromosome:Theobroma_cacao_20110822:7:256956:263928:-1 gene:TCM_030546 transcript:EOY11889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase MGNIGFLLPLKVFVVVLCNFVTTLSEESPSTTTDQLALLALKAHVTHDPQNLLATNWTSATSVCNWIGVTCGSHHQRVTALNLSHMGLIGTIPPPLGNLSFLSELDIRFNHFHGSLSMELANLSSLKYINFGHNNFRGEIPSWFDSFTQLHSLLLYSNNFSGVIPSSLGSLSNLEKLILYDNDLKGQIPIAIGNLSKLKRLYLDNNQLSGQIPSAVFKCKALEFLSLTDNVLEGSVPQEIGNLTRLRYLYLDNNNLIGQLPSALFKCQELEELNLSQNALKGSVPQEIGNLTKVIWLHFYRNNLTGEIPATIGSLSVLRYLYSENNSLTGQLPLTLFKCQELEELFLFDNALEGSVPQEIGNLTKLRWLGLNRNNLTGKIPATIGSLPVLGFLYLDCNSLTGRLPTLQPSLRGFSVSNNNLIGEIPSSVCNMSSLRYSLDLSRNNFHGIIPECLGNLSNSIAMVDLSMNSFHGKIPENFHKDCLLRVFGINDNKIEGSLPRSLVNCSKLEILDIGNNNLIDTFPIWLGKLDLQVLILRRNRFYDRIDNFEGKFSFTHLRIIDLSHNDFNGYLPTNFFENLQAIRSESENKDDPKYMKYSGTNRGYYIYESLFITIKGSQMELLKILNAWTIIDLSNNRFKGQIPEVVGELHSLIVLNLSHNSLSGPIPSILGNLSALESLDLSSNKLKGKIPAQLVNLKFLEVLNLSWNNLMGLIPRGKQFDTFTNDSYIGNLGLCGLPLSKNCSNEQNLEPKPTKSGEDGDAVNWKYSILMGYGCGLVCGLSMGYIVFTTGKPWWLVRIIERVQQKYVIRGKIRRSGGRK >EOY14070 pep chromosome:Theobroma_cacao_20110822:7:19937070:19938832:-1 gene:TCM_033263 transcript:EOY14070 gene_biotype:protein_coding transcript_biotype:protein_coding description:T28P6.8 protein, putative MFEKIANETTSKQAWKMLCNFVIRVEKMKKVQPQNLKGEFESLFMKDSESIQEYFTRVLAPMNQIKKLGETLLNVCVVEKILHPLNKKFNHVVVAIEESRDLKAKIVDELNGAREMFPVNALIKDVEEVKVEVKVMDIVIVKEEEEVMSTLHSLEKETKLYNCGREERRATMKGDRSNFMELDKREKGFASFGDDTKVDIKGKGTILIQTKDGSNKVLCDVYYIPKLTSNFLSIG >EOY11883 pep chromosome:Theobroma_cacao_20110822:7:199981:204814:-1 gene:TCM_030539 transcript:EOY11883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed imbibition 1 MTVGAGISVSDDGKLMVLGDCILHDVPDNIVVTPASGGALANGAFIGVVSDQMGSRRVFPIGKLEGLRFMCVFRFKMWWMTQRMGTCGQDIPFETQFLIVEARDGSHFDIENEDESAAYVVFLPILEGDFRAVLQGNERNELEICLESGDPAVDEFEGGHLVFVAAGSDPFDVITNAVKSVEKHLQTFSHRERKKMPDMLNWFGWCTWDAFYTNVTSESLKEGLESLEKGGIPPKFVIIDDGWQSVGMDPNGTEFRADNAANFANRLTHIKENHKFQKDGKEGHRVEDPALGLRHIVTEIKEKHALKYAYVWHAITGYWGGVRPDVTEMEHYESKLAYPISSPGVQANEPDQALDMIIKNGLGLVNPEKVFNFYDELHSYLASAGIDGVKVDVQNILETLGAGHGGRVKLARKYHQALEASIARNFCNNDIISCMSHNTDGLYSAKRTAVIRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSLHPMAEYHGAARAVGGCAIYVSDKPGQHDFKLLRKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSLLKIWNLNEFTGVIGIFNCQGAGWCKVGKTNIIHDLQPGTITGYIKATDVDYLPKVTDDGWNGDSVIYSHLGGELIYLPNNATMPLTLKAREYEVFTVIPVKILSNGSKFAPIGLIEMFNSGGAIKELRYQSGISVNIDMKVRGCGLFGAYSSTQPKRITVDSEEVGFEYEDGSGLVTLSLRVPEEELYLWSITIEL >EOY13591 pep chromosome:Theobroma_cacao_20110822:7:9387490:9388729:-1 gene:TCM_032189 transcript:EOY13591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNTQLNYKATLTNFFNSRATKNTSLFLALQSSFFISLFLSWAKQPCLLLGTGEPALTAFFDGLFGKLYSSDFLNFVSKKQEIVKNWLADLQHLAYNVDDILDEIATKALGRELTSLEEPQPIRNKVQKLIATRFSPKAFMLNIKMMSKIKRSMLESVIWRLEELNWNCETLMSWGQGPIE >EOY12449 pep chromosome:Theobroma_cacao_20110822:7:2259433:2262214:1 gene:TCM_030963 transcript:EOY12449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MNCFSCCMSEERLTRRSLKKSIKKYHDTKTLASFANISFKSDSSRKRYITQEITKMGKGNITAKVFNYPELCTATENFNPENQLGEGGFGKVYKGHVENPDQVVAVKQLDRNGYQGNREFLVEVLMLSLLHHPNLVNLVGYCADADQRILVYEYMANGSLEDHLLDKKPLDWNTRMKIAVGAAKGLEYLHETADPPVIYRDFKASNILLDQDFNPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYALTGQLTTKSDVYSFGVVFLEIITGRRVIDNSRPTEEQNLVTWATPLFKDRRNFTLMADPLLEEDYPVKGLHQALAVAAMCLQEEAAVRPLMSDVVTALEYLTANKGPEEVTANKGAEEGGNS >EOY12448 pep chromosome:Theobroma_cacao_20110822:7:2259548:2262214:1 gene:TCM_030963 transcript:EOY12448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MNCFSCCMSEERLTRRSLKKSIKKYHDTKTLASFANISFKSDSSRKRYITQEITKMGKGNITAKVFNYPELCTATENFNPENQLGEGGFGKVYKGHVENPDQVVAVKQLDRNGYQGNREFLVEVLMLSLLHHPNLVNLVGYCADADQRILVYEYMANGSLEDHLLDLPPDKKPLDWNTRMKIAVGAAKGLEYLHETADPPVIYRDFKASNILLDQDFNPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYALTGQLTTKSDVYSFGVVFLEIITGRRVIDNSRPTEEQNLVTWATPLFKDRRNFTLMADPLLEEDYPVKGLHQALAVAAMCLQEEAAVRPLMSDVVTALEYLTANKGPEEVTANKGAEEGGNS >EOY13336 pep chromosome:Theobroma_cacao_20110822:7:7580955:7583737:-1 gene:TCM_031877 transcript:EOY13336 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRNA splicing factor, thioredoxin-like U5 snRNP MSYLLPTLTRKTEVDTIIRDTIDKVLVLRFGRAADAVCLQLDDILAKTAREVSKFATVALVDIDSEDVKVYVKYFDITLIPSTVFFFNAHHMKMDSGTADHTKWVGAFHEKQDFIDVVEAIFRGAMKGKMIVNCPLPPERIPKYQLLYKDM >EOY12075 pep chromosome:Theobroma_cacao_20110822:7:791928:796396:1 gene:TCM_030675 transcript:EOY12075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 71D10, putative MHAVVNENKHLGTMESEKFAETFCSNCWNLAEENSKNSPQKSIPGPRKLPVIGNLNQIASALPHKTVRDLAIKHGPLMHLQLGEVSTVIVSSPEVAKEVMKTHDINFSYRPALAVPKITTYVFTNIAFAPYGNYWRHLQKVCTTELLSEARVQSFRSIREEEVLNLIKTINESNGKPFNLVKVLQLFSGLKQQAEKFHKENDRIVENIIKEHREKRARAKGGNEEAEEDLVDVLLRLQEQAEFQFEDKNLKSVILDIFGAGSETSSTTVEWALSEMMKNPRVMREAQAKVRRVFEAKGNVDETGLHELKYLKAVIRETFRMRPSIPLLLPRECHQACEINGYEVAPKTRVLINAWALGRVSDYWKEPEKFYPERFLNSSVDYMGTNFEFIPFGAGRRICPGILFASPNL >EOY14016 pep chromosome:Theobroma_cacao_20110822:7:17125684:17137936:1 gene:TCM_033029 transcript:EOY14016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MGSDRKLKEKKSKKRSTYSSSSASEDEGREKRQRRGEEEEWKSRKKDSKKEKRKDKKSHKHSSDKEKKPKEKGKRKHHKGDRTLKHFQELSNDDYFSKNNEFATWLREEKHVFFSDLSSESARELFSDFVIDWNNQKLGSQYYEGIASGPRTAHNWKIKQNLLKSKLPLPTTALYTLPSLTRFQLHAIFSIQCPAKEEEEKPGNHATISLSNHPNPEISCFYQKGFSQITRETTGKALHALCIEGLVPLSVFLTNTLINMYSKFGLIDYARYLFDHLSVRNTASWNTIMSGLVRVGLYGDVMFLFCEMRRFGVWPSGFLVSSLITACDRSGCMFIEGIQVHGFVVKIGLLCDVFVGTCLLHFYGAYKRVFDAQTLFEEMPERNVVSWTSLMFGYLDNGDLENVIHLYWEMREEEIGCNENTFATVLTACSLLEDESLGLHVFGHVVKSGFENKVSVANSLISMFGSLGSLKEACYVFSHMDERDTISWNSIISAHAQNELCEASLRFFRLMRHVHEKINSTTLATLLSVCSSVDHMKWGRGIHGLVVRLGLDSNLCICNSLLGMYSESGRLDDAEFVFKEMPERDVISWNSMITGNVRDGRSLDALILLIKMLQMKKATNYVTFTSALAACSNAAFIDEGKIVHALVILTGLHENLVVGNASVTMYAKSGMTVEAKKVFRMMPKRNEVTWNALIGGHAENEETDEAVKAFQLMRAEGIKTDYITVSNILGACLTPDDLLKLGMPIHAHVVSTGFESNKYVQNSLITMYARCGDLQSSNYIFDGLPHKNSISWNAIIASNACHGLGEEVLKHIVKMRTAGIDLDQFSFSEGLAATAKLAVLEEGQQLHCVAVKLGFDSDPFVTNAAMDMYGKCGEMDDVLRMLPQPVSRSRLSWNILISAFARHGYFQKARETFHEMLEMGMKPDHVTFVSLLSACSHGGLVDEGIRYYAAMSKEFNVPPAIEHCVCIIDLLGRSGRLAEAETFINEMPVLPDGLVWRSLLASCKIHGNLELGKKAAEHLFELDPSDDSAYVLYSNICATTGKWGDVEDVRSQMGLYNIKKKPACSWVKLKNQVGSFGMGDQTHPQTIEIYAKLGELKKMIKEAGYVPDTSYALQDTDEEQKEHNLWNHSERLALAFGLINTPDGSTIRVFKNLRVCGDCHSVYKFVSGIIKRKIILRDPYRFHHFSGGQCSCSDYW >EOY14017 pep chromosome:Theobroma_cacao_20110822:7:17131197:17137912:1 gene:TCM_033029 transcript:EOY14017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MREEEIGCNENTFATVLTACSLLEDESLGLHVFGHVVKSGFENKVSVANSLISMFGSLGSLKEACYVFSHMDERDTISWNSIISAHAQNELCEASLRFFRLMRHVHEKINSTTLATLLSVCSSVDHMKWGRGIHGLVVRLGLDSNLCICNSLLGMYSESGRLDDAEFVFKEMPERDVISWNSMITGNVRDGRSLDALILLIKMLQMKKATNYVTFTSALAACSNAAFIDEGKIVHALVILTGLHENLVVGNASVTMYAKSGMTVEAKKVFRMMPKRNEVTWNALIGGHAENEETDEAVKAFQLMRAEGIKTDYITVSNILGACLTPDDLLKLGMPIHAHVVSTGFESNKYVQNSLITMYARCGDLQSSNYIFDGLPHKNSISWNAIIASNACHGLGEEVLKHIVKMRTAGIDLDQFSFSEGLAATAKLAVLEEGQQLHCVAVKLGFDSDPFVTNAAMDMYGKCGEMDDVLRMLPQPVSRSRLSWNILISAFARHGYFQKARETFHEMLEMGMKPDHVTFVSLLSACSHGGLVDEGIRYYAAMSKEFNVPPAIEHCVCIIDLLGRSGRLAEAETFINEMPVLPDGLVWRSLLASCKIHGNLELGKKAAEHLFELDPSDDSAYVLYSNICATTGKWGDVEDVRSQMGLYNIKKKPACSWVKLKNQVGSFGMGDQTHPQTIEIYAKLGELKKMIKEAGYVPDTSYALQDTDEEQKEHNLWNHSERLALAFGLINTPDGSTIRVFKNLRVCGDCHSVYKFVSGIIKRKIILRDPYRFHHFSGGQCSCSDYW >EOY12705 pep chromosome:Theobroma_cacao_20110822:7:3558214:3562247:-1 gene:TCM_031229 transcript:EOY12705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADGHTSIAFNVDEGDYQTFIQSLRSILSSSTSHNIGVLMPQTQSLSWLDIRLTSGASTIILRIDKRNLYVRGYSRDEGAKFWEFSDSSMISGSSPLAYAGSYVDGYTLIDAAGQDVTRETLQLGLPNLSNAIANLARALDPNSTQNNALQNCARALLVLTQMIAESTRFQLITDHIVKNWYNSAPLTSQLVELQQGFVSFSSAVQRADLPWTDNTPLPNVPNPNRAHIWTVDTADTNVSYVCTLVSIEYVRVNNINGEDPADLYGTVKIAQGDIVWEPRNENLTFANYDKRLEKVVYGEYGSVMVGYSVLRQALNATIHVVLINGDNELPADVYGTIKASQDLGGSSTSLALFEKSSNEYVQVRPNYSIPLTRSFVVAPASSVLTITADLWDYDTLSFDDQIAKGSAHFDAAVGTQTQSIYGQYGEVQVFVTSSNGGNNAPLAQPYPSIGRLGYIVNKWVGKGRNILML >EOY12610 pep chromosome:Theobroma_cacao_20110822:7:2988410:2993226:1 gene:TCM_031116 transcript:EOY12610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase, CAZy family GT8 MQLHISPSLRHVTVLPGKGVREFIKVKVGSRRVSYRMLFYSLLFFTFLLRFVFVLSTVDSIDGETKCSTIGCLGKRIGPRILGRRIESNVPEVIYQVLNEPVSKDEVQGKTDVPQTLEEFMAEMKESRSDAKAFALRLREMVVLLEQRTRTAKIQEYLYRHVASSSIPKQLHCLALKLANEHSTNAAARLQLPSAELVPALVDNSYFHFVLASDNVLAASVVATSLVKNALRPEKFVLHIITDRKTHSAMQAWFSLHPLSTAIIEVKALHQFDWLSKGKVPVLEAMEKDQRVRSQFRGGSSAIVANNTEKPQVIAAKLQALSPKYNSLMNHIRIHLPELFPSLNKVVFLDDDIVIQTDLSPLWDIDMNGKVNGAVETCRGEDKFVMSKRFKSYLNFSHPMIAKNFDPNECAWAYGMNIFDLEAWRKTNISLTYYHWLEQNLKTDLSLWQLGTLPPGLIAFHGHVHVIDPFWHMLGLGYQDNTTLLDAETAGVIHFNGRAKPWLDIAFPQLRPLWAKYIDFSDKFIKGCHIRAS >EOY14336 pep chromosome:Theobroma_cacao_20110822:7:24204372:24211121:1 gene:TCM_033723 transcript:EOY14336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRYIAKAAQGHGNAANMMNHLHEMFDAETRSAKVKLINAFKDLKKNLGELVKDYILKVISCLNEAELHVAEIDAKTQISLIVHSLNLSFS >EOY13741 pep chromosome:Theobroma_cacao_20110822:7:10555430:10559077:1 gene:TCM_032387 transcript:EOY13741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycofamily protein, putative MAESDVAFPMEQSKELKRKKRMKCLAYVAAFVIFQTAIILVFALTVMRIKNPKFRIRSVLVDDLTFNNSSPSFNMKFIAQVTVKNTNFGHYKFENSTVTFAYKGSQVGEALVTKGRARARARSTKKMNVTMDLNSNGVANDSDLGSDLNSGFLTLTSQSILNGKVHLMKVIKKKKSVEMNCTMTVNLAQKLVRDIKCAFDWVDATIDPSVISQADCTLQFRFFLVPQESIIRHPVFVSHRDEHIHDLPIFIKSFRLCSP >EOY13686 pep chromosome:Theobroma_cacao_20110822:7:10120468:10122158:1 gene:TCM_032326 transcript:EOY13686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELLADSILQTRRLAVYGASKRNRSQGATETLKTQLAFNFLSFENIIYWLNVAIIDLLPILVSQAGWCLKLKSCLVSLFCDA >EOY13041 pep chromosome:Theobroma_cacao_20110822:7:5505128:5508752:-1 gene:TCM_031550 transcript:EOY13041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.17 MGSGFGVTLKLSESFCRPHPSSQSFNCCYIRYTPIYYPSAPKSENCGKVEPLPVPQLQVYSMATERHQAGLEIFEYLTTNAYQIQEQVLEEILNRNARTEYLRGFLNGQCDKQLFKKNVPIITYQDVEPYINRIANGEPSDILLAEPLTGFYRSTGTSGGQPKLIPSIDEEDKLVLRDTLIESVIRKHFGDLDKAGKRMELMFAKPEIETPSGLMARTATTVLFKRSSFINILPKLYTSPIETIFCLDTNQSMYCQLLAGLIQRDEVVRIGSIFASAVLRAIKCLEDRWKELCSNIKTGHVSDWITDSGCRNALSLILKPNPDLADSIENICGCESWEGIIKKLWPKAKYIGAITTGFMSQYTAALEFYSGGLPLVSSFYVCSEAMCGINLEPLKRHADVSYTIVPNMAYFEFLPVKKDCPTIFPDQVGVSHQESKEMKSNNEAIEPVDLVNVEPGQCYELIVTTSAGLYRYKIGDILMATGFHNNAPQFQFVERQNVILSIDAEKTSEADLSRAVTKAKALLDPLGFLLTGYSSYADTSSTPGYYVLFWELKRKESNDTKELDPKIMVECCSRMEESLNYTYKVYRKENTIAPLEIRVVKEGTFDALMDYYVSQGVSMSQYKAPSCIKSKEVMKILDSGVIGKFSNPEIRF >EOY12122 pep chromosome:Theobroma_cacao_20110822:7:1075575:1076533:-1 gene:TCM_030724 transcript:EOY12122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIDLVNLNFISKFFKKKLLNMDCKELSLVELGVIPVIVYLIRVGGSDTKLVAGNRFVVISTQIDYLGHVAQARAIPLLVELLQGPDPLGWDVAENALRLLAHNEENVV >EOY12213 pep chromosome:Theobroma_cacao_20110822:7:1366328:1367923:-1 gene:TCM_030783 transcript:EOY12213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEAMNKNKGKGKEGPYCLCHLPDDLLRIILSYLSVLDYINFRAVCKCWRLAFSKSAAAAVLSQEKPGREPPWFLILMKEPPTDKSFLADIHRRNQHAGTMRDKNPVVQIWVAAALQVRRDRFFRTLLLQSLVQGKDSTPVFGCINISGHNGPALNVVFAKGIFYCLHMHGELIAYDPSRGCYIDLDIQDYIPGYSGMISYPVKRGEQIFPRVHGKRCFEVPLMYSIEPLVAKEIGCQLNYQECEYLFRTDVEEAIVQEKGVVSVDSFNVDAWCAVLGADDDVELRWHWFSSNRTKLYPLDDICGNFDNDCSTFVMWVEPV >EOY13600 pep chromosome:Theobroma_cacao_20110822:7:9451755:9458269:1 gene:TCM_032203 transcript:EOY13600 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative isoform 2 MSVVWEAALTAFFDVLFAKLSSSDFLDFVTEKQVLEEINKWGTMLRDIRALLDDAEGKQMKDQSVKNWLADLQDLAYDVDDVLDEFATEALGRKLTSLEEPQGIKNRVQKIIPTRLFSPKTFMFNKKMMSKIKEISARMNYSATTRPQLELRGINGGASSNRMIQRLQPTSLVDETQVYGRQEEKAALLKLLLSNDGSDNKASVIPIVGMGGIGKTTLAQLVYSDTRIKNSFEYQAWVCVSDDFNAVMITKTILLSIAPESRTKDNDLNLLQVKLKEKLAGKKFLLVLDDIWNENCGDWTILLAPFGVGTKILVTTRSHKASSIMGSVEAYPLQQLSKEDCLSVFTQHALRANDFSGHPELKEIGEIIMKKCNGLPLAATVIGGLLRTSLDYEAWKCISESEIWGIPEEQCSIIPALRLSYHHLPSHLKRCFAYCSILPKDYEFGEEEITLLWAAEGFLQGASLETDIDGLGRQYFQDLVSRSFFQTSTKNKSRFVMHDLVNDLAQSVAREICSKLENDKQLRFSEGTRHSSYVRGEFDGMKKFEAFNRTKHLRTFLPLSGSSWACEGNCYLSNNVLVDLLPKLRCLRVLSLKGYHIIELPNFLQNLIHLRYLDFSHTRITSLPDSICTLYNLETLLLYGCRLLQSLPSNLQNLVNLRVLDITGTLSMKGMPFGIGNLTNLRRLSDFVLGKCGGHKIQEMKNLLNLKGKLCISGLENIVNAQDAGEAKLIDKSSLGTLELSWSREFDNNRNKEIEEEVLNLLEPHKKLEELFIQDYGGTKFPIWMNFSLQNLSSLVLKGCKNCVSLPSLGKLPLLKNLSIVGMDELNKVGIEFYGENQSNAFASLQSLSFEDMPRWKEWDLVDEQGVKFPSLVELCISDCPQLLGTLPNRLHSLKKLAIGFCRQLVVSLSNLPKLSKLQICECAELVLRDDADFLTIKEVRLSNIGKFSTPTERLVSTSTTLKHCDLPDCEGLTYLSLKMLGLLGSVRKLEIFECPQLVLLEPDEVEEAEEELFQVGNLCNIESLRIWEAGVQMDSLRIRKHFLPFLTEMEIEDCPNIVCFAKNNLPPLLKKLEIANCKNLRCLVDEGENISITNISLLEHLNIIRCPSLISLSLPVKLRRLYLRSCLKLASLSESGKLPIGLKRLYLSFCPELESIAEVIDENACLESISFFNCGITSLPQGLDKLNHLRSIEINRCSNLVSLEGFLPTTNLTNLWISECENLRALPNCMPNLTSLRELTVWNNSGDQISIPEEGISANLTSLKISVPRNYESLLKWGLHRLTSLKTLDISGRGCPNMVAFPPEEIGMMLPPALMKLSIKNFKNLKCLSSKGFRNLTSLHHLSISSCPKLTFLPEKDMLLSLLKLCIGDCPLLEEKCKRGKGREWSKIAHLPCIQIDV >EOY13599 pep chromosome:Theobroma_cacao_20110822:7:9442196:9459010:1 gene:TCM_032203 transcript:EOY13599 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative isoform 2 MSADGKITTRYLQNLRSLNSEGSDTQACLFHLNTVWDPCVKRLRRIAIMFYIYVNFLAVPVQVFSDDDILVSPPLHQIPLAMLKRRILWLAPLPSSNISVDLLAAVETYWKEKKVLVVESDSTVVVPWVKKIEDCPGNLEIACYLFINPIYKNQLKPPQEYSSSTSQESITLPLSSSDFLDFVTEKQVLEEINKWGTMLRDIRALLDDAEGKQMKDQSVKNWLADLQDLAYDVDDVLDEFATEALGRKLTSLEEPQGIKNRVQKIIPTRLFSPKTFMFNKKMMSKIKEISARMNYSATTRPQLELRGINGGASSNRMIQRLQPTSLVDETQVYGRQEEKAALLKLLLSNDGSDNKASVIPIVGMGGIGKTTLAQLVYSDTRIKNSFEYQAWVCVSDDFNAVMITKTILLSIAPESRTKDNDLNLLQVKLKEKLAGKKFLLVLDDIWNENCGDWTILLAPFGVGTKILVTTRSHKASSIMGSVEAYPLQQLSKEDCLSVFTQHALRANDFSGHPELKEIGEIIMKKCNGLPLAATVIGGLLRTSLDYEAWKCISESEIWGIPEEQCSIIPALRLSYHHLPSHLKRCFAYCSILPKDYEFGEEEITLLWAAEGFLQGASLETDIDGLGRQYFQDLVSRSFFQTSTKNKSRFVMHDLVNDLAQSVAREICSKLENDKQLRFSEGTRHSSYVRGEFDGMKKFEAFNRTKHLRTFLPLSGSSWACEGNCYLSNNVLVDLLPKLRCLRVLSLKGYHIIELPNFLQNLIHLRYLDFSHTRITSLPDSICTLYNLETLLLYGCRLLQSLPSNLQNLVNLRVLDITGTLSMKGMPFGIGNLTNLRRLSDFVLGKCGGHKIQEMKNLLNLKGKLCISGLENIVNAQDAGEAKLIDKSSLGTLELSWSREFDNNRNKEIEEEVLNLLEPHKKLEELFIQDYGGTKFPIWMNFSLQNLSSLVLKGCKNCVSLPSLGKLPLLKNLSIVGMDELNKVGIEFYGENQSNAFASLQSLSFEDMPRWKEWDLVDEQGVKFPSLVELCISDCPQLLGTLPNRLHSLKKLAIGFCRQLVVSLSNLPKLSKLQICECAELVLRDDADFLTIKEVRLSNIGKFSTPTERLVSTSTTLKHCDLPDCEGLTYLSLKMLGLLGSVRKLEIFECPQLVLLEPDEVEEAEEELFQVGNLCNIESLRIWEAGVQMDSLRIRKHFLPFLTEMEIEDCPNIVCFAKNNLPPLLKKLEIANCKNLRCLVDEGENISITNISLLEHLNIIRCPSLISLSLPVKLRRLYLRSCLKLASLSESGKLPIGLKRLYLSFCPELESIAEVIDENACLESISFFNCGITSLPQGLDKLNHLRSIEINRCSNLVSLEGFLPTTNLTNLWISECENLRALPNCMPNLTSLRELTVWNNSGDQISIPEEGISANLTSLKISVPRNYESLLKWGLHRLTSLKTLDISGRGCPNMVAFPPEEIGMMLPPALMKLSIKNFKNLKCLSSKGFRNLTSLHHLSISSCPKLTFLPEKDMLLSLLKLCIGDCPLLEEKCKRGKGREWSKIAHLPCIQIDV >EOY13276 pep chromosome:Theobroma_cacao_20110822:7:6962557:6967824:-1 gene:TCM_031796 transcript:EOY13276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MKSTANISTDPLALLALKARVNSEFLAANWSTATSICNWVGVTCGSRHHRVIALDLFRMNLSGTIPPNIGNLSFVVSLDIGGNYFHRSLPVELANLRRLKFLILSNNHFEGKISSWFGSFVKLQILDLSCNNFMGVIPVEIGNLPSLRYLYLHDNQFSSSLPSSVFNISSLVEIVLARNQLSGSIPSIPFNMSSLRRIDLSYNNLTGRIPSDMFGHLPRLNLLTLSVNLLSGPIPMNLFKCQELEQLSLSVNYLEGVIPEEIGNLTMLRILLLGRNNLKGKIPLEIGNLPNLDYLNLANNSISGHVPHAIFNSSTVRVISLSLNHLSGCLPWSTGRWLPKLERLFLDINAFSGTIPTSISNASKLTDLDLSYNSFSVYIPNDLGNLRHLKQLKLENNTLASTPSTPEISFLSSLAYCKNLTFLRFDANPLVDGELPKSIGNLCTSLQIFTASYCNIGGNIPGEIGNLSNLIRLNINNNELIGSIPTTIGRLEKLQGLYLEDNKFEGSLPSDLCRLQSLGFLYLTSNKLGGPIPACLGDIISLRELFVDSNKLIGSIPSTFTRLIDILQVNLSSNFLSKALPVDIGNWKVVTIIDFSENQLSSEIPISIGDLEDLTHLSLSGNRLYGSIPELFGGLIGLEFLDLSRNNFSGIISKSLQKLLHLEYLNVSFNRLHGEIPNGGPFASCTIQSFMGNEALCGAPRLQLPPCTSNSTKHSRKATKLIEFILLPVSSTLLILALIVFFFQSQRKHSKQQIDQENSIGLANWRRISYQELHQATNGFCESKLLGVGSFGSIYQGALSDGLNIAIKVFNLEVEGSFKSFEVECEVLRNIRHRNLVKIISSCCNVDFKALVLEFMPNGSLEKWLYSHNYCLDILHRLNIMIDVASALEYLHHGQTILVAHCDLKPSNVLLDEDMVAHLGDFGIAKLLGEEDSTVQTITLAIIGYMALEYGTQGVVSIKGDVYSFGILLIETLTRKKPTDEMFVGEMSLIHWVTESLPCALTQIVDANLLITTKE >EOY12804 pep chromosome:Theobroma_cacao_20110822:7:4225242:4225774:-1 gene:TCM_031325 transcript:EOY12804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLRRITQAHTTDTLSLDMTKYDRFPQVGFFLKQEIPGQIPIPSQDKPPAQKPYMPALQLSVQMFHLFFSI >EOY14053 pep chromosome:Theobroma_cacao_20110822:7:19552120:19566531:-1 gene:TCM_033220 transcript:EOY14053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRKVGERMGQFRAKMERVSNLSGKVQKIVIGAPEKLLVFTNDLWYLVNTLFCRGFQLTSLPYTEVLIGISGNECQLRRHGGCHGLEGGSRS >EOY13392 pep chromosome:Theobroma_cacao_20110822:7:7968270:7971367:-1 gene:TCM_031956 transcript:EOY13392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Craniofacial development protein 1, putative isoform 1 MSLFKTLLLSNHTKVLLKTQNPLYKSLPPSPTFIIRTLNSSPHKPTKKPLSLLFQDAVGLTENTGGNESQSESEGENIELIRELRQLEREVTKLKENPKGKNKEKEGVERGKPNKVKSLVELFGGEKDEEVEKIVKVRKEREEVVFKDFSQLAENFVRHLYAKGYFNKANFLEDNKLDFGYFDNSYGRDFIKYAAFKFGKDHQEIAKWLSGNHLKKVVLFGCPSLDKNNVFAAKRLRKFFKIEENIVCSQCMLKDSCKYVNKSVWRAGTKNLLLVDVMKVIALYALDQVPPKLTVPDEVKDSVSRLLKEVIKLSQPT >EOY13393 pep chromosome:Theobroma_cacao_20110822:7:7967315:7971514:-1 gene:TCM_031956 transcript:EOY13393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Craniofacial development protein 1, putative isoform 1 MSLFKTLLLSNHTKVLLKTQNPLYKSLPPSPTFIIRTLNSSPHKPTKKPLSLLFQDAVGLTENTGGNESQSESEGENIELIRELRQLEREVTKLKENPKGKNKEKEGVERGKPNKVKSLVELFGGEKDEEVEKIVKVRKEREEVVFKDFSQLAENFVRHLYAKGYFNKANFLEDNKLDFGYFDNSYGRDFIKYAAFKFGKDHQEIAKWLSGNHLKKVVLFGCPSLDKNNVFAAKRLRKFFKIEENIVCSQCMLKDSCKYVNKSVWRAGTKNLLLVDVMKVIALYALDQVPPKLTVPDEVKDSVSRLLKEVIKLSQPT >EOY13026 pep chromosome:Theobroma_cacao_20110822:7:5396791:5416290:-1 gene:TCM_031532 transcript:EOY13026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein MSLHPFFNESIPQFGAGTSYNMDLIGQIIDPIGQSARKYLRYHRKFSEYVDDFKQAQADLNNRKMDIHQQLQDEHRPGKKPKLEVESWFNKVEEKLDHAQRVEDKVSEGTYLFRSCLGKLLDETTQAMKTVYDKGQFSGSLVVNDSSTIAAELATPEITGETNVGEEIRKYLMGDEVRMIGVSGMGGIGKTTIMKHVHNRLLKEDKFKKLIWATVSQDFDVRRLQNDIASQLEKTLSDDKNTTIRAGELLEMLRKQGSFLLILDDVWSSFSFEDVGILEPTTDNGCKLVLTTRSAKVVREMDCKKVQVPYLLTDEAMQLFLSKVGQDMLPNPNLESIMKDVVCECGGLPLAIVTIAGCMRGISDPLVWRNALNELRGYIRNIDEMEEKVFRCLRFSYDRMKQKDQDCFLWGALFPEDYVIDKKEIVERWMEAGLIDEMETRQEMQDNGHSILQKLEENCLLEREDEGTSIKMHDVVRDMALHITRKRFYVKAGKQLEHLPEWGEDVEKVSLMLSSISKIPQNMLSPKCKKLTTLLLINNSLEEIPVSFFEYMPNLKILDLTWNPIRELPNSISNLKKLTTLLLRYCIELENVPSLSKLQALKKLNLLGTRIQKIPQGLEMLINLRYLNLGWTTSLKEIPDGIFSKLYDLQHLIISPATSRAEEMKTVNKLEVLEVCFNDMHDLSVYAGQRKRPNYYNIFVGRHNLTDIDFGSKSVTISGSNMKIENSIILPSDIQGLHLTACERNGASFSDIVGSEGVTDLKKCTIDDCNGLESIFSSRCASLQTIEILSLAYLWNLKIIVGESIPPEPGTFSNLKVIFVSECAKLKNLFSAKWVLRNLHNLEEIHVENCEEMEEIMASEKEGMSTDNNVMFTLPKLKILKLSDLPELKSICRTNEVMICDSLQQIKILNCPKLKRIPLYLPLLELDNSQPSSPPSLEEVLVYPKEWWESVEWDHPNAKNVLLPLLKFWDMHKRGAAKRSIDVTRYSGYDELKKDTARRFGIKGQLEHQGRIGWKLVFVDHENDVLPRTKSEPFIWSLSAVLNKSQPGWRLSLSSIMIVKSENGDLIRKFSEYVDGFKHAQADLHDRKADIHQQLQDEHRFGKKPKQEVESWFKKVEEKLDQAQRVEDKVSKGKYLFRSCLGKLVDETTQALKEVSAECHFSRSLLVNDPSTIAVKLPTPELAGETHATEEIYKYLMGDEVRMIGVCGMGGIAKTTIMKHVHNSYVLILDDVWSSFSLEDVGILEPTRDNGCKLVLTTHSEEVVRSMACKKVQVSCLSTDESMKLFLNKLVGQDMLSNQTLESIMKLVVRECDGLPLAIVTVAGCMRGISDPLVWQNTLNELRGYIRNIKDMEDKVFACLKFSYDRLEQKDQDCFLSCALYPEDYEIEKEEIVEYWMEQGLVDEMETRQAMQGSGHSILRKLEENCLLERVTKGTHIKMHDVVRDMALHITRKGFYVKADEQLEELPDMKEWGEDIEKMPDEILLKLHRLQHLTMRPTLLRVEEVKTLDKLEVFEGCFPDVHDLGLFAAQRKRPNKDYSKMVTFKGFIMNTEDPIVLPSDIQQLQLQLQLKSICKTNEVIVCDSLQQIEIWNCPKLKRIPLCLPLLELDNSPPSPPPCLKEICIDPEECWESMEWDHPNARNVLLPLLRFRSGKKTQWVKAV >EOY13904 pep chromosome:Theobroma_cacao_20110822:7:13215052:13216622:-1 gene:TCM_032657 transcript:EOY13904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEHMEDNGNNSSPNPAEEEGDHTLRQSSRFPSRGSLPELDKAEPFRRPRFRGAVYAVNECAYELCINISFLAF >EOY13850 pep chromosome:Theobroma_cacao_20110822:7:11578057:11583281:-1 gene:TCM_032520 transcript:EOY13850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 27 MDPSVYVLLNKHFGILIALQDFGLTWQPISILHASVLITIIRKMECLLMFYQLLCLLFFCLSSQPTLSSSSFAPSATPPCSHNEASALMKFKSSFSINKVASWRCEFIGIPSYPKTDSWKEGTDCCAWDGVTCDNITGQVIGLDLSCSWLYGAIPSNSSLFHLPHLQKLNLAHNDFNHSKMSSEFARFTSLTQLNLTESVFAGQIPLQISHLSKLVSLDLSWNYPQALDERTLARLVQNLTEVRQLFLDRINMSSINPNVLMDISSSLSSLSLEDCDLQGKFPESIFLLPNLKLLNLGYNQELKIYLPNFNQSNHFELLDLSLTNLSGALPNSIGNLVSLKDLDLSFTSLSGALPNSIGNLVSLEILALSFTNFSRALPNSIGNLVSLKDLDLSFTKLSGVLPKSIGNLVSLKYLDLRSTPLSGALPNSIGNLVSLKHLDLSTTSLFGALPNSIGNLVSLEILSLSFTNISGALPNSIGNLVSLEILALSFTNISGAYPNSIGNLVSLRYLVLSGTSLSGALPTSIGNLVSLQVLDLSSTNFSGALPNSIGNLVSLEELSLEECNFSGIIPRSLGNLSQLNYLSLSNNYFSGQIPSSLTNLTHLEFLDISHNQLQDSIPNEVTTFPNLITLDLSFNFLNGTLPSSISQLVNLTLLDLSSNNLSGIVESVLFSKLQNLQYLALSYNNIYFDSNHTSANYTLPSLYFLDLSSCDVNQFPQFLRGSKVLEYLDVSNNRISGKIPKFMWDVGKDSLFYLNLSHNSMTELEQLPWRKIIILDLSFNLVQGNLPIPPLNTSIFLISNNNLSGEISDLICNVSYLEVLDMSHNNFNGIIPHCFGELSKRLWILNLRMNKLHGTIPSTFARGCRLKNLNLNANQLEGPLTRSILNCGSLQVLDLGNNKINATFPHWLGTLQELKVLVMKSNQMHGSINSKRHMHYFGKLQILDLSNNSFTGQLPTGYIENFKAMMNAEENRDVMPYMGGLDKETNNFYTYSVYLIEKGQEVELMKIFATLTIIDLSNNKFEGEIPRVIGKLCSVIGLNFSHNHLIGHIPPSFGNLINLEWLDLSSNKLDGKIPEQLLNLTMLSSLNLSKNKLVGRIPEGKQFNTFENNSYEGNDGLCGFPLSRNCSSNEVQQPPPSKLQEEDHSKSGIRFGWKVVLIGYMSGFMFGVGMGYVVFQTGKPKWIVSFVEAKHHRRPKKSNKTTRTSRTRS >EOY12714 pep chromosome:Theobroma_cacao_20110822:7:3633096:3637473:1 gene:TCM_046862 transcript:EOY12714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine kinases,protein kinases,ATP binding,sugar binding,kinases,carbohydrate binding MPSNLRCFILSMEGAAVVLVCSFLLFTLSTSTPVDILNATQLIRDGETIVSEGGRYELGFFSPGVSRRRYLAIWYKQIPVQTVVWVANREVPINDTSGVLKVTNQGILVLQNHDGNLIWSSTSSRPARRPVAELLNSGNLVVKEENENNPENFLWQSFDYPGNTFLPGMKLGRDLITGLNRHLSSWKSPDDPSHGNFTYGAEVGGFPEFILREGSIVRYRNGPWNGLRFSGTPELKPNPIYIFGVVFNKREVYYGYKLRNISIPSRMVLTQNGLWQRLNWIDRTKAWEVYVTVQMDGCDNYALCGAYGSCNISNSIKCSCLKGFVPKVPDEWETLHWSNGCIRKTPLNCSSDGFVKCSGVKLPDSRKSWFNYSINLEECKNLCTKNCSCTAYANLDVRRGGSGCLLWFVDLVDIREFTENGEEIYIRMAASELGQFERINANGKEGMRIAVISVLSAAILILGLALLLYIWRKTSHMKPGSLTVVRESNSNIKNHMEDLEFPSFNFARIACATDNFSTNNKLGEGGFGSVYKGILEDGQEIAVKRLSKASRQGLDEFKNEVIHIAKLKHRNLVNLLGCCIEEDEKLLIYEFMTNKSLDFFIFDETQRMPLDWSMRYNIINGIARGLLYLHQDSRQRIIHRDLKAGNVLLDNEMNPKISDFGLARSFGEKETEANTKKVVGTYGYMAPEYAIDGLYSTKSDVFSFGVLVLEIVSGKRNRGFCHSDHQLNLLGHAWRLFGEGKSLELIASPIRETSNPCEVLRSIHVGLLCVQRSPEDRPNMVNVVLMMGSQGPLSPPKQPGFFTERDPVEASSSSMHHHKPLSYNDLTITELKAR >EOY12551 pep chromosome:Theobroma_cacao_20110822:7:2737892:2740421:-1 gene:TCM_031070 transcript:EOY12551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A lectin protein kinase family protein MDFFIQQLTIFATFLCFLPLIDSVSFNITHFGSNMTDIEYRGDASPSAGAMNLTKDSLYRLGQVICTEPVRLQDFGTKQLTDFTTHFSFTIDTLGPDNLDYGDGIVFFIVPVGFQSPENSTGGGLGLFPNTLISELSQQKHQIVLVEFDSFANLETDPPYEHVGININSLNSSVYTPWNASFHSGDTADAWISYNASTKNLTVSWRYKATSNPQENSSLSFHVDLREVLPMEWVKVGIGAATGLYHEKHILKSWQFSSSLASKQTKGSKTDKIIMIALLCFGAPVVGCIVAYFTIWGKKIGNKQENPEGISLICIIHDLERGAGPRRFSYKDLISATNNFSDDRKLGQGGFGAVYKGHLPELDIDVAVKKFSRGSKQGEKEYLAEVTIVSRLRHRNLVQLIGWCHDRGHFLLVYEFMPNGSLDSHLFSHNCTLTWAVRYKICLGLATAMFYLHNDWEQCVVHRDIKAGNVLLDSGFNVKLGDFGLARLVDHELDPRTTCLAGTLGYMAPEYVISGKASSKSDVYSFGVVTLELVIGRRARDPRNDEMGLVEWVWDLYRRGEPLSAVDERLQMGFDEQQAKCMVMVGLWCAHPDYTLRPSIRQAIQVLNFEAGMPDLPLQMPIPMYQVPLASPTESFAEPLISNSFSTTGR >EOY13068 pep chromosome:Theobroma_cacao_20110822:7:5776751:5778762:1 gene:TCM_031595 transcript:EOY13068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 3 isoform 1 METTDFRRKSALKTKMNKGFIAEDLDDDMEEEEEEGVGDHGFPDDEKKKKAYGKRGSSGGAGVSPPSCQVEKCGLDLSDAKRYHRRHKVCEIHAKAPFVVVAGLRQRFCQQCSRFHELSEFDEAKRSCRRRLAGHNERRRKSSAESSTEGSSRKGMSSQLRESQCRQADDQRARVPIAIPLSSVSQWFMHFTMYWYLLYYVGGFNNYIRHRNLSLVSVLLKPVFSCLACRVI >EOY13069 pep chromosome:Theobroma_cacao_20110822:7:5776743:5778762:1 gene:TCM_031595 transcript:EOY13069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 3 isoform 1 LVVLNFFFSTFFFSFSLFSFISSESHKLSSQHFITSPLYISPSPAMETTDFRRKSALKTKMNKGFIAEDLDDDMEEEEEEGVGDHGFPDDEKKKKAYGKRGSSGGAGVSPPSCQVEKCGLDLSDAKRYHRRHKVCEIHAKAPFVVVAGLRQRFCQQCSRFHELSEFDEAKRSCRRRLAGHNERRRKSSAESSTEGSSRKGMSSQLRESQCRQADDQRARVPILHAPSLLSANGLCISLCTGTYYTTLEDSITISGIEI >EOY12834 pep chromosome:Theobroma_cacao_20110822:7:4351902:4363372:-1 gene:TCM_031351 transcript:EOY12834 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLUB isoform 2 MANYLAQFQTIKSACDHLVIAVEDVSDLWPTVKNSFEERLPFKRACLNNKTRNPVFVENLPAEFILTTDARLRSRFPQEQYLFWFREPYATLVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSRAHPSNDQATKMAKKVYAKLEVDFSSKKRERCCKFDIHGPEANFWEDLESRIMESIRNTLDRRVQFYEDEIRKLSEQRFMPIWNFCNFFILKESLAFMFEMAHLHDDALREYDELELCYLETVNMGGKHREFGGLDHGDDQAALLNPGNKPLTHIVQDDSFREFEFRQYLFACQSKLLFKLNRPFEVASRGYPFIISFSKALAIHENILPFCMREVWVITACLALVNATNSQYDEGQVAPEIEKEFYRLQGDLYSLCRIKFLRLAYLIGYGTEIERSPVNSASLSMLPWPKPAVWPLVPDDASSEVLVKEKMILQETPRVKHFGIQRKPLPLEPTVLIREANRRRASLSAGNTSEMFDGRPAFADGSGSDVSLKTSPSNKAQAISMSRTHSSPGFEGTIDRPMRLAEIFVAAEHALKQTILNPDLQKTLSSIKEFEQKYMELTKGTADNYHRSWWKRHGVVLDGEIAAVCFKRGNFDLAAKSYEKVCALYAGEGWQDLLAEVLPNLAECQKILNDQAGYLSSCVRLLSLDKGLFSMKERQAFQSEVVSLAHSEMKHPVPLDVSSLITFSGNPGPPLELCDGDPGTLSVTVWSGFPDDITLDSLTLTLMATYNADEGGKLRSPTATVLKPGRNTITFPLPPQKPGSYVLGVLTGHIGHLTFRSHSFSKGGPADSDDFMSYEKPTRPILKVSKPRPLVDLSAAISSALLINEAQWIGIIAQPINYSLKGAVLHIDTGPGLKIEESHSIEIESYRNAPQSSADMANSGDARKDSSVAANKDFEQLSLHNGKIELPDWASDVTSILWIPIRAIDDKLARGSSSGAPQRQSIVDGMRTIALKLEFGTSNNQIYDSRTIALHFTDPFHVSTRVADKCNDGTLLLQVTLHSQVKATLTVYDAWLDLQDGFVHAGQGDGRPISGFFPLVVSSTSRAGLLFCVCLGKKFAEDENKAQQDSILNIRYGIAGDRTIGAHPPVAVKSNETEGTAQDLIFRSALVLQQPVLDPCLAVGFLPLPSDGLRVGQLVTMKWRVERLIDIEEKRVPPNNVEMLYEVNANSENWMIAGRKRGHVSLSTKQGSRIVISILCVPLVAGYVHPPQLGLPDIDEANVSCSPAGPHLVCVLPPALSSSFCIPA >EOY12833 pep chromosome:Theobroma_cacao_20110822:7:4351856:4363411:-1 gene:TCM_031351 transcript:EOY12833 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLUB isoform 2 MANYLAQFQTIKSACDHLVIAVEDVSDLWPTVKNSFEERLPFKRACLNNKTRNPVFVENLPAEFILTTDARLRSRFPQEQYLFWFREPYATLVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSRAHPSNDQATKMAKKVYAKLEVDFSSKKRERCCKFDIHGPEANFWEDLESRIMESIRNTLDRRVQFYEDEIRKLSEQRFMPIWNFCNFFILKESLAFMFEMAHLHDDALREYDELELCYLETVNMGGKHREFGGLDHGDDQAALLNPGNKPLTHIVQDDSFREFEFRQYLFACQSKLLFKLNRPFEVASRGYPFIISFSKALAIHENILPFCMREVWVITACLALVNATNSQYDEGQVAPEIEKEFYRLQGDLYSLCRIKFLRLAYLIGYGTEIERSPVNSASLSMLPWPKPAVWPLVPDDASSEVLVKEKMILQETPRVKHFGIQRKPLPLEPTVLIREANRRRASLSAGNTSEMFDGRPAFADGSGSDVSLKTSPSNKAQAISMSRTHSSPGFEGTIDRPMRLAEIFVAAEHALKQTILNPDLQKTLSSIKEFEQKYMELTKGTADNYHRSWWKRHGVVLDGEIAAVCFKRGNFDLAAKSYEKVCALYAGEGWQDLLAEVLPNLAECQKILNDQAGYLSSCVRLLSLDKGLFSMKERQAFQSEVVSLAHSEMKHPVPLDVSSLITFSGNPGPPLELCDGDPGTLSVTVWSGFPDDITLDSLTLTLMATYNADEGGKLRSPTATVLKPGRNTITFPLPPQKPGSYVLGVLTGHIGHLTFRSHSFSKGGPADSDDFMSYEKPTRPILKVSKPRPLVDLSAAISSALLINEAQWIGIIAQPINYSLKGAVLHIDTGPGLKIEESHSIEIESYRNAPQSSADMANSGDARKDSSVAANKDFEQLSLHNGKIELPDWASDVTSILWIPIRAIDDKLARGSSSGAPQRQSIVDGMRTIALKLEFGTSNNQIYDRTIALHFTDPFHVSTRVADKCNDGTLLLQVTLHSQVKATLTVYDAWLDLQDGFVHAGQGDGRPISGFFPLVVSSTSRAGLLFCVCLGKKFAEDENKAQQDSILNIRYGIAGDRTIGAHPPVAVKSNETEGTAQDLIFRSALVLQQPVLDPCLAVGFLPLPSDGLRVGQLVTMKWRVERLIDIEEKRVPPNNVEMLYEVNANSENWMIAGRKRGHVSLSTKQGSRIVISILCVPLVAGYVHPPQLGLPDIDEANVSCSPAGPHLVCVLPPALSSSFCIPA >EOY14304 pep chromosome:Theobroma_cacao_20110822:7:23555345:23574493:-1 gene:TCM_033656 transcript:EOY14304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 2, putative MRLNQQTFHESLDEMIEQSLGGFGHVQLIQAILVSLPLLFDAQQTFISVFTDAVPTWHCTDDTTYSSNLDICSLSRSSWSWDGPSDRTIVSEWSLECASSIITGLPSSSFFMGCLLGGFAFSTLGDSWLGRKKLLFFSCLAMSISASATTLSANIWIYSLLRFVCGLFRSSIMTTALVLLMEMVGKRWRGQVGIIAFFFFTLGLLSLPAIAYTNRCSSWRILYFWTSIPAIAYCIIVYFFVSESPRWLFLQGREVEAMAVLKKFGPVNVDGFNSYLVDVPLQEELTNKLDMYASMKDLFRRRWALRRLLAAMALGFGVGMVYYGMLLGVGNLGINTYLSLTLNGLLDIPAYFLTFLLIERCKRTTSMLTFSIASGVCSIICGAASEHKGIQIGLELVAFFSSCTAFNVVLIYVTELFPTSVRNSATSMVRQALIFGAVFSPLLTSAGRKNEFLCYGVLGLVILCCGLFVAYLPETRGMAMFDTLDEQESHDNTIVIVS >EOY13853 pep chromosome:Theobroma_cacao_20110822:7:11616844:11620451:-1 gene:TCM_032524 transcript:EOY13853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 53, putative MRYLPIFYQLLFFPLFLSYQATLPSSSSSSSATQLCSHDQSAALIQFKALFSINKTASKHCEIRSYPKTNSWRESIDCCLWDGVNCDNITGQVISLDLSCSWLSGTLPSSSSLFLLSHLQRLDLSVNDFKKSKISSKFGLFSSLTHLDLSRSWFSGRVPYEISYLSKLVSLDLSSASAIDLISQSFESVLKLEQSTLNGIFRNLTEVRDISFDGINMSSVDPNSFMNLSYSLTSVSLTGCDLRGTFPENSFNLPKIKYLTLFNNPSLSGQLPNSNWSSPLEDLVVSGTSFSGELPESIGNLKSLRGLGLIRSNFLGSIPRSLGNLSHLGFLFLSYNNFSGKIPSSLTNLTQLRILALNFNRLEGCIPDNPNAFPNLGFLDLSDNLLSGTTPSWLYTHPSLNVLDLGNNQFIGHIKEFQQSVLDYINFKNNTFQGTIPRSISKLVNLTFLDLSSNSLNGTISWDIFSKLQNLTLVILDLSHNNLSGIIPQCIGSFSKSLSSLNLKMNKLHGIIPPTFARGCGLKNLNLNSNHLEGPLTRSISNCKDLEVLDLGNNKINDTFPHWIVALSELQVLVLHSNKFQGWIGASKNPQSLSKLRIIDLSQNNFFGPLPISFIKNFKGMMNLDEGKAVRYMEERDNAYDYSYDYSVALVVKGLEIELVKILTVLTTTDLSGNNFEGEIPRVIGELNSLRGLNLSHNNLVGHVPPSLGNLSQLEWLDLSSNKLDGQIPRELVDLTFLSFFNVSNNQLVGPIPQGKQFNTFENDSYEGNKGLCGLPLSIACSSNEPRQPPPSMNSHNEDGSKFEFGWEVVLIGYGFGFIFGVAMGYVAFRARKPKWFVTLVEGGHSSKQIVGERKGRTNILRL >EOY12019 pep chromosome:Theobroma_cacao_20110822:7:638145:640731:-1 gene:TCM_030636 transcript:EOY12019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ccaat-binding transcription factor subunit A, putative MERGDGFNRFHKHAKSSSGLSVIQPGDSSNTNNGRNTANSNTMPPPCMVREQDQYMPIANVIRIMRRILPAHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMGKLGFDDYVEPLTVFLGRYRESESERTSLRGEPILKRGIDYGPMMMAPPYGPGFHMGHHHQGIFDAAAAAAAMGGFYRDGSGAAGSSSSQASLTNNFDPFGQFNHCLEI >EOY12270 pep chromosome:Theobroma_cacao_20110822:7:1523861:1535366:-1 gene:TCM_030827 transcript:EOY12270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha amylase family protein isoform 1 MTSLSLQPKFSIYPNNPILHFQPRNNPQIVVFARRTKIRIKCSSIDPQQQQNQQRSYSKRKKSVAESEKGVDPVGFLTKLGITHKAFAQFLRERHKSLKDLKAEIFTRHLNLQEMASGFEILGMHRHKEHRVDFMDWAPGARYCALVADFNGWSPTENAAREGHFGHDDYGYWFIIIEDKLREGEEPDGLYFQQYNYIDDYDKGDSGVSIDDVFKKANDEYWEPGEDRFIKNRFELPAKLYERIFGPNGPQTMEEFEEIPDAETRYKAWKEQHKDDPPSNLPPFDVIDNGKEYDIFNIVADPAWQEKFRAKKPPLPYWIETRKGRKAWLKKYTPAIPHGSKYRVYFNTPDGPLERVPAWATFVQPDAEGQQGYAIHWEPPPECIYKWKNIAPKVPKSLRIYECHVGIGGSEPKISSFNDFTEKVLPHVKEAGYNAIQFFGIVEHKDYFSVGYRVTNFFAVSSRYGTPEEFKCLVDEAHGLGLLVFLDIVHSYSAADEMVGLSRFDGSNDCYFHSGKRGHHRHWGTRMFKYGDLDVLHFLLSNLNWWISEYKIDGFQFHSLASMLYTHNGFASFTGDLEEYCNQYVDKDALMYLILANEILHALHPNIITIAEDATFYPGLCEPNSQGGLGFDYYVNLSASEMWSSLLESTPDHEWSMSKIISTLLGNRHYADKMLIYAENHSQSISGGQSLAEILLGQGNEQAPHSEELLHRGSSLNKMIKLITFTVGGCGYLNFMGNEFGHPKRVEFPMPSNKFSFSLANRCWDLLENEGVYRDLFCFDKDLMKLDENERVLSRGLPNIHHVNDTNMVISYIRGPLLFIFNFHPTTSYERYCVGVDEAGEYQVILNTDERKYGGQGIIKEEQYLQRTVSRRVDGLRNCIEVPLPSRTAQVYKLSRILRM >EOY12269 pep chromosome:Theobroma_cacao_20110822:7:1524844:1535366:-1 gene:TCM_030827 transcript:EOY12269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha amylase family protein isoform 1 MTSLSLQPKFSIYPNNPILHFQPRNNPQIVVFARRTKIRIKCSSIDPQQQQNQQRSYSKRKKSVAESEKGVDPVGFLTKLGITHKAFAQFLRERHKSLKDLKAEIFTRHLNLQEMASGFEILGMHRHKEHRVDFMDWAPGARYCALVADFNGWSPTENAAREGHFGHDDYGYWFIIIEDKLREGEEPDGLYFQQYNYIDDYDKGDSGVSIDDVFKKANDEYWEPGEDRFIKNRFELPAKLYERIFGPNGPQTMEEFEEIPDAETRYKAWKEQHKDDPPSNLPPFDVIDNGKEYDIFNIVADPAWQEKFRAKKPPLPYWIETRKGRKAWLKKYTPAIPHGSKYRVYFNTPDGPLERVPAWATFVQPDAEGQQGYAIHWEPPPECIYKWKNIAPKVPKSLRIYECHVGIGGSEPKISSFNDFTEKVLPHVKEAGYNAIQFFGIVEHKDYFSVGYRVTNFFAVSSRYGTPEEFKCLVDEAHGLGLLVFLDIVHSYSAADEMVGLSRFDGSNDCYFHSGKRGHHRHWGTRMFKYGDLDVLHFLLSNLNWWISEYKIDGFQFHSLASMLYTHNGFASFTGDLEEYCNQYVDKDALMYLILANEILHALHPNIITIAEDATFYPGLCEPNSQGGLGFDYYVNLSASEMWSSLLESTPDHEWSMSKIISTLLGNRHYADKMLIYAENHSQSISGGQSLAEILLGQGNEQAPHSEELLHRGSSLNKMIKLITFTVGGCGYLNFMGNEFGHPKRVEFPMPSNKFSFSLANRCWDLLENEGVYRDLFCFDKDLMKLDENERVLSRGLPNIHHVNDTNMVISYIRGPLLFIFNFHPTTSYERYCVGVDEAGEYQVILNTDERKYGGQGIIKEEQYLQRTVSRRVDGLRNCIEVPLPSRTAQVYKLSRILRM >EOY14189 pep chromosome:Theobroma_cacao_20110822:7:21940813:21942101:1 gene:TCM_033463 transcript:EOY14189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase, putative MEIVDVSVVVLILSVVSVKSVEGKDFTPYNFRSVYNFGDPNSDTGGGSTGFYPAGPPSGETFFGRPAERGCDGRLIIDFIAEHLDLPHLSPYLDSIGTSHRHGANFAIGGSTIRPQNESMSLNGVSPFSLDIQFIQYNQFKARTSFLYNQAKKNYHRKHLPRPQDLSQALHVIDIGQNDIAAGFRLKNESEFHASMPDIVDQLAKAVQNLYDQGARTFWIHNTGPIGCLPVNLHHHLQPDELDKQGCLKSLNDFAIEFNRQIKDRVIKLRTKLPNAALTYVCCKI >EOY12292 pep chromosome:Theobroma_cacao_20110822:7:1579699:1583415:1 gene:TCM_030836 transcript:EOY12292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase A1 MGTTSITASFTLFLCFLLFPIVFPNPSDGLVRIGLKRRKIDANSNMAALLDPRERKSSNAFTRKWYLGGKFGEGRNNDHIVVLKNYVNVQYFAEIGIGIPPQNFFVIFYTGSSNLWVPSSKCFFSLACYLHPNEDLVQFGDILINNQEFIESTRDTSVEFLVSKFDGILGLGFQEISVGNATPVWYNMLDKGLVKEPVFSFWFNRNADEEQGEGILAGYSSKDFLLFDMDDVLIDGKTTGFCAPSCGAIADSGTSLLAGPSAIITEINHAIGASGIVSQECKALVLQYGETIIDMLLAEDQPQKICSQIGLCALDGTRGASMSIESVVDKNAQKSSGGVHNSMCSACEMTISWIQNQLKQNQTLDHVLNYINELCDRLPSPMGASAVDCNALSSMPNLSFVIGGRIFDLNPEQYILKVGEGNTARCISGFTALDLPLPHEPLWILGDVFMGQYHTVLDYGNMKVGFAAAA >EOY13884 pep chromosome:Theobroma_cacao_20110822:7:12442283:12444970:-1 gene:TCM_032592 transcript:EOY13884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLPLPASAIPPAIQESPGPGLNDKAYLLKVPHHMRQVNESAHEPQLISIGPYHHGAKQHLIEMEVYKKLCLKRILERKGEQSEHRCLGAIDFERSRKWYSPSLSNDIEDKFGRMMLLDGCFIVELLSGKVPGDDPFFKLKWVLNALYHDLLLFENPLPFLWPKKWNKNPPTLKDTDNIKHLLSLLHDNWSPPQGIRRHQEYYRTKKEKAKAGEEAREEGGLEKWKFTVCAVEKPKEKKSQGDEESGVTKGTDHNSFKWKFICCEREKKNVRKGLVEWQSLCCATELKEAGIQFVNSIEESDVKSLFDISFTDVTMKIVTFVVEDYTECLFRNLIAYELYEEGSTYVIDYVTLMDNPIDSAKYVQLLRFSGIIENMLGDDEAVAQMLNKLCDHVTLCGDTFYYEEIFVKVQKHCARRWNTWKAKLRHDYFNSPWAFISLLLLFSLSFLPSDSL >EOY11897 pep chromosome:Theobroma_cacao_20110822:7:275677:277633:-1 gene:TCM_030551 transcript:EOY11897 gene_biotype:protein_coding transcript_biotype:protein_coding description:UV-induced protein uvi31, putative MSSCSFCSARFFTSKLPIYFHPTKPFLSPSKPSNFSFFYNRSISFQKIPTKTPPPLQSSSSSSTQLQPMEELPPKLQEIVKLFQSVEEPKAKYEQLMFYGRNLKPLDTRFKTRENKVEGCVSQVWVRAYLDEDKNVVYEADSDSVLTKGLAALLVNGLSGRPVQEILRVSPDFAVLLGLQQSLTPSRNNGFLNMLKLMQRKGLELLIEAEKGSGSSSSGQVVSDGLSSQAGSSEKPVDNSISDSKVDENLGVGSSSLKGGEESSSGLGSRGMRIREKLKRELSPVVLEVEDVSYQHAGHAGVRGSDGETHFNLRIVSKEFEGTSLVKRHRLIYGLLDEELQSGLHALSIVAKTPSEVEAK >EOY12746 pep chromosome:Theobroma_cacao_20110822:7:3988676:3995578:1 gene:TCM_031281 transcript:EOY12746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPNQSHLHRQQTNHPPLYAAPPYHHQFTPAPSTFFHHAPNSSFSNTQHLQPAPPQGNIFQQNYAIPTPRQTTQPSNQAFKYPPAHTYPQAINPPLKTTHRDWRSSLHSVYISNLSRRITKQGLKEVFDAYGKVRDVFISNRQSQAKVTTFAFVRYKELREMDRACTHGNGRRLDGHYIVVKKAEYGWDQRRVLQSSLQLQPPQQQASFNIEILQEIFAEEGYQCVSKPMGGKNVLLTFCLKEDLKACTEEHRAWLNLWFESIVPWKNATPPAERLTWLRVDGVPINLWTEALFSEIGEQCGSFIMIDEDTYHRRRYDFARMLISVKKTTVVPTSVTFKANGGVYKVHVKEKDVQDIPKPHSFGGAPTTSHPLIQTKQHSDSGQQSIGWDLSEQQGSSRAACKTQSEHCTRTDSLRTVHLEPIKTRSSTTTAPPINLQNKSPTHASSLQSPPYHQLSSQNSPTQVDSLPFGQKTFLRPPVVVQQRYILTSGIIKPVNFPCSFLNIYAPNCSVERASLWVKILELKSQITGSLCVYGDFNIIKTVDEKTRDNLDLTGMAQFSDFINDMNLIDIPLSGGLFTWTDNREAPTKCRLDRFLISSEIILSFPAILQKILSRSLSDHNPITLIVDQRNWGPKPFRLFFHWLENKEFVAFLKSTWDLINQENSGFGGLFGKMKRLKLSVKAWQQQHHAEDGKMITILENDIDTLEKETEKRELSIPEKANHTNLKSKLWHLYKRDEQSWLQKSRLQWTKLGDRNTKFFHITASNRRRKNSIHQIQRNWTFIYDPIGIKNEASMINCKVGALPTNYLRLPLGANLRPMGTWQPIIDKFNSRLAGWKGQFLSMGGRLTLINSVLSSLPLFYLSIFRLPTMVKQKLEAIQRNFLWFGSSEKKKIHYVNWSTVSNPKTQGGLGIIDLELKSRALLNKWLWRYANEPDRIWRQVVSAKNKLKPDCLIPIDKPHKPSSLWNHIMKPMDPANKYHELVTKGFAHSLDKGTTIRFWEDFWVDDSILATKFPRIYVLAISKKATIAELGSWVEGNWRWDVKLRRQPFSWEQNQWRDFTTFIKKFQPHPTDKDIVVWKKTTSGLYTSSSFIKASHGTPPSSTSFWKNVWIGYAPLKVETFCWQTLHGKIATKFVLMHRRVLAVDDANCSFCTSQLETLFHVLFHCTIAWKTWSSCCCLWGITWVQPGDVLSFFKAWFELPMPTGKRDTWRMLFFATLWTLWLCRNEVIFRGKSFSPNKMRDIALLRHMLWCRGKWELGHVPVDLCLMEPLCSNINTKRKNQRMATNWSTPPPGTLKLNTDGAAKGKPELAGISLFFSSPWAATHSLVVESDSANAINWAQHHYKVPWRMKNISNAIETFLRKSTRITFKHVMREANKVADELAKAGVLRDSNFKAYFQNQQGEST >EOY12582 pep chromosome:Theobroma_cacao_20110822:7:2872178:2875945:-1 gene:TCM_031095 transcript:EOY12582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MIRFNVLVRTLSLDQTLLHFLWIRFNSLKIFGKGTCNNLKALLIRIKLLTMKVRMNPDFLKEVRLGKLKTIKANEIDGTTPEGNTTLHMAARFGTKDLVKNIINQRPSLAFKSNSKGETPVHVAARAGQHEVVEVFISSVKDYKEIYIGRIRDRFGNTPLHGAVRNGHLQVMNALAEKDPDSLVWINDAGESPLSIAIDMRLTDIAKRIITLNNSTLDYRGNNGQTPLHCAVMRQDFVIMSTIIGLREDLVGMPGERKRTPLHYAAALGHQRMVQKLINQNRSIAYEQDVDEQTPLHLAAENGQVRLIEALLKPCPDTIEIVDNKQQNILHIAAKNGNVDAVSYILKLPEMEDLVNSPDVDGNTPLHLAVSNYHSDVVGVLSKNAKVEIRSINNSNNTALAIVKLPDGRGMELQKHLTLKALKSAYKQKIINPEDFPENTQLIDVKVERPNSDDKKIRDMAQIISVMAPLIATFTFTAAFTIPGGFVSDGPDKGLAMLISKSAFQAFVISDAIAMTSSITAAVIVFWSSSRRDTESFLDTFPFAIGLTWISLIAMALAFVTGLFVVLQKTLWLAILVCVIGCAPPFFLYIFSPMFLLVFERVSKSRTSLSNRQNIVEDNPFLFIVRLMKIFFERLIFKCLCSRCKSSCCYQPNQDYTVSACLKESTRPTV >EOY12050 pep chromosome:Theobroma_cacao_20110822:7:727872:730218:-1 gene:TCM_030657 transcript:EOY12050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outward rectifying K+ channel isoform 2 MACNGAIQPLLSGSATSTTQKSNGDAPRIRRLRRVRSAPLAEYVPCGIKGNVSLPRSESIFGSLHPSFKKVAIFLTVYLGVGTICFYTVRNQIRGEKTNGILDAVYFCVVTMTTVGYGDLVPNSNLTKLLACAFVFTGMALIGLVLTKAADYLVEKQETLLVKALHMHQKVGQLEILKEIENNRIKYKFYMALILLIVLILTGTIFLSKVENLDLIDAFYCVCSTITTLGYGDKSFSTEGGRIFAVFWILAGTICLAQFFLYIAEVNTEKRQRALVKWVLCRRMTNVDLEAADLDDDGVVGAAEFVLFKLKEMGKICQDDILLIMEEFEELDVDQSGTLSASDINLAQSVETRRS >EOY12048 pep chromosome:Theobroma_cacao_20110822:7:722796:730139:-1 gene:TCM_030657 transcript:EOY12048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outward rectifying K+ channel isoform 2 MACNGAIQPLLSGSATSTTQKSNGDAPRIRRLRRVRSAPLAEYVPCGIKGNVSLPRSESIFGSLHPSFKKVAIFLTVYLGVGTICFYTVRNQIRGEKTNGILDAVYFCVVTMTTVGYGDLVPNSNLTKLLACAFVFTGMALIGLVLTKAADYLVEKQETLLVKALHMHQKVGQLEILKEIENNRIKYKFYMALILLIVLILTGTIFLSKVENLDLIDAFYCVCSTITTLGYGDKSFSTEGGRIFAVFWILAGTICLAQFFLYIAELNTEKRQRALVKWVLSRRMTNVDLEAADLDDDGVVGAAEFVLFKLKEMGKICQDDISLIMEEFEELDVDQSGTLSASDINLAQSVETRRS >EOY12049 pep chromosome:Theobroma_cacao_20110822:7:723052:730218:-1 gene:TCM_030657 transcript:EOY12049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outward rectifying K+ channel isoform 2 MACNGAIQPLLSGSATSTTQKSNGDAPRIRRLRRVRSAPLAEYVPCGIKGNVSLPRSESIFGSLHPSFKKVAIFLTVYLGVGTICFYTVRNQIRGEKTNGILDAVYFCVVTMTTVGYGDLVPNSNLTKLLACAFVFTGMALIGLVLTKAADYLVEKQETLLVKALHMHQKVGQLEILKEIENNRIKYKFYMALILLIVLILTGTIFLSKVENLDLIDAFYCVCSTITTLGYGDKSFSTEGGRIFAVFWILAGTICLAQFFLYIAEVNTEKRQRALVKWVLCRRMTNVDLEAADLDDDGVVGAAEFVLFKLKEMGKICQDDISLIMEEFEELDVDQSGTLSASDINLAQSVETRRS >EOY13892 pep chromosome:Theobroma_cacao_20110822:7:12707931:12741410:-1 gene:TCM_032620 transcript:EOY13892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSVIQKSPSSASLGLDEEAYLLKVPHQLRQVNESAYEPQLISIGPYHQGKQHLIEMELYKNRCLQKILKRESKHRCYEAVDFKRARKWYSPSFLNDIEAKFQEIMLVDGCFIVELLRQMVTGEYDDPIFKKEWVQNALLGDLLLFENQLPFFVLVGLYHVIKDPTDGKDFACQAFSVLSDFLPGPGTWKENPPTIKDTDNIKDLLSLLHDNWSPSPQGIRRHQDYYRTKDEKAKAGEEAREKVA >EOY12952 pep chromosome:Theobroma_cacao_20110822:7:5004535:5005009:1 gene:TCM_031455 transcript:EOY12952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEATLKHKGFSRSKIAESCPQATNPTSHQKFGSRKVSLIPQPTEHGSARGFTHQNRKNYPSLQKVSPYSARGYADENIDLKATSYISYVRERFKREIDS >EOY13874 pep chromosome:Theobroma_cacao_20110822:7:12124634:12127418:1 gene:TCM_032568 transcript:EOY13874 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MQPGSSAGHGLLCAPNGLLVCLGTKGVISPSHGLMSYSPSIQHLSSPACFNQSLLLLEEVLLIVSPLKWAWNFLLHYSLFPYHMPGIGEDLKLGRCNYYKQESGEEVVECAICLCNIDDDDEIRELRCDHLFHKVCLDRWIGYRNSTCPICRSCMTPRRLVTGMEVILFNYVSFDDCRHRDAWWLR >EOY13585 pep chromosome:Theobroma_cacao_20110822:7:9377584:9379450:-1 gene:TCM_032184 transcript:EOY13585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGSLTSMIALLSLSRSCTRIDRWRMITPVLGRTRIDRWRRNPLALLTVVFYNGLSMQIFPPVMASVCKIFPTLSDATFSATSKTLGRGSVTAASPPVGNTKLASSLGIRPQNPFPFVSATMRTTTGLSSSQCQSKEGGLHRGQGLLNINPKTVQGVTVSPQQPLRRLGIPKSFFDVLSPLGYIRNNKPLLPKSSKFQVRNFQIHRKASNSRAKSGSKQN >EOY13604 pep chromosome:Theobroma_cacao_20110822:7:9470993:9509738:1 gene:TCM_032217 transcript:EOY13604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSMFLEFRSKLLSPMMLFWSHPLCIKFLLQCFRAFSGLLRWFASYSAASPWLVRYEVGFNEKRWWVNEIIFLSKLCSLHWLRALADGILFSD >EOY12771 pep chromosome:Theobroma_cacao_20110822:7:4103646:4105317:1 gene:TCM_031299 transcript:EOY12771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWMVAAAIGAVETLKDQGICRWNYTLRSVKQHAKNNIRAFAQAKMLSFSCYLSPMSTISNDMKHLMKECASLRWHSSPCSRKGVVVWQKELNNGRGEDGGINQRERLNGSDDETWKRKREEEKAEALIHLICWGPN >EOY12852 pep chromosome:Theobroma_cacao_20110822:7:4413471:4415500:-1 gene:TCM_031363 transcript:EOY12852 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441 MESTDSSTGSQQPNLPPGFRFHPTDEELVVHYLKKKASSAPLPVAIIAEVDLYKFDPWELPAKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTSGGTQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLADNKASNKPPGCDLGNKKNSLRLDDWVLCRIYKKNNTHRPMDHDKDDSMDEMLGPIPPSISIGSQHNAKFQLATKGTSFGTLLENQEHSLFEGMMAGSDGINSGSISQLGCSSSKPDLSMVNPLKRTVPSLFWTDEDTAGPSTSKRFHGDSNDGSMEKTDGNGSIATLLSQLPQTPPLQQQTMLGSMGDGIFRPPYQLSGLNWYS >EOY12452 pep chromosome:Theobroma_cacao_20110822:7:2263085:2271702:1 gene:TCM_030964 transcript:EOY12452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polymerase gamma 2 isoform 3 MCTMQSVQCAFLNATMSSSSSCCYHPKGMRLKVAASKYARMVHPDPDQYFSAKKCKGVSVDQRNNESPASFQKSRVTSFRANAFTFTSKKTSIPSTERSWEKEARRIKEYKEMSKESFKGSSSYSSDQHNLNLKLTGSLFKTNNKEELVSRNSGKNKSLSEGCCPPQPTSAKQMSRFNNIATGGINGSKQLKGSSSTEVLNNGSLPGLVLDDNKEIGNSGDSNFLSESHCPDHPTNNKQISRAKNISANRINGSKQLKGSTKTEVSSNGSLKGTVSDANQDTGHMNPNETRRDHANEVGVASTEEAKVVSQEDISKRLARIYDQVLVVDNISVAGEVVKMLTTQYSHLVHACDTEVSKIDVKQETPVDHGEITCFSIYSGENADFGNGKTCIWVDVLDGGGRALLKEFELFFKDQSIKKVWHNYSFDNHVIRNYGLEVSGFHADTMHMARLWDSSRRTAGGYSLEALTGDKNVMNRTKWRKEENELIGKISMKTIFGKKKLKKDGSEGKMITIAPVEELQREERKLWISYSALDAISTLRLYESLKSKLSSMSWVFDGKPVSGKSMYHFYEEYWQPFGELLVNLEREGMLVDRIYLAQLEKVAKAEQEIAANRFRTWASRYCDDAKYMNVGSDTQLRQLLYGGIVNSKDPNESLPVQKTFKVPNVDKVIEEGKKVPTKFRSIKLHSLGVELPAEVYTATGWPSVSGNALKTLAGKVSAEYDFTDDTNDGDINNCPEMVTDVDTSAYGTAFAAFGDEEKGREACHAIASLCEVCSIDSLISNFILPLQGSNVSGKSGHVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLADCKSMLDAFKAGGDFHSRTAMNMYSHIREAVEKRQVLLEWHPQPGEEKPPVPLLKDAFTSERRKAKMLNFSIAYGKTPVGLAKDWKVSVEEAKNTVDLWYKERQEVLEWQKQRKYEAQKLRRVKTLLGRARLFPSYAHATRAQKGHIERAAINTPVQCC >EOY12450 pep chromosome:Theobroma_cacao_20110822:7:2262349:2271920:1 gene:TCM_030964 transcript:EOY12450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polymerase gamma 2 isoform 3 MAAMGVVSTSQTAATTTPLGRPFCPSSSWFSRSCPWPSSSPSSSKHFFLASRALHRREMCTMQSVQCAFLNATMSSSSSCCYHPKGMRLKVAASKYARMVHPDPDQYFSAKKCKGVSVDQRNNESPASFQKSRVTSFRANAFTFTSKKTSIPSTERSWEKEARRIKEYKEMSKESFKGSSSYSSDQHNLNLKLTGSLFKTNNKEELVSRNSGKNKSLSEGCCPPQPTSAKQMSRFNNIATGGINGSKQLKGSSSTEVLNNGSLPGLVLDDNKEIGNSGDSNFLSESHCPDHPTNNKQISRAKNISANRINGSKQLKGSTKTEVSSNGSLKGTVSDANQDTGHMNPNETRRDHANEVGVASTEEAKVVSQEDISKRLARIYDQVLVVDNISVAGEVVKMLTTQYSHLVHACDTEVSKIDVKQETPVDHGEITCFSIYSGENADFGNGKTCIWVDVLDGGGRALLKEFELFFKDQSIKKVWHNYSFDNHVIRNYGLEVSGFHADTMHMARLWDSSRRTAGGYSLEALTGDKNVMNRTKWRKEENELIGKISMKTIFGKKKLKKDGSEGKMITIAPVEELQREERKLWISYSALDAISTLRLYESLKSKLSSMSWVFDGKPVSGKSMYHFYEEYWQPFGELLVNLEREGMLVDRIYLAQLEKVAKAEQEIAANRFRTWASRYCDDAKYMNVGSDTQLRQLLYGGIVNSKDPNESLPVQKTFKVPNVDKVIEEGKKVPTKFRSIKLHSLGVELPAEVYTATGWPSVSGNALKTLAGKVSAEYDFTDDTNDGDINNCPEMVTDVDTSAYGTAFAAFGDEEKGREACHAIASLCEVCSIDSLISNFILPLQGSNVSGKSGHVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLADCKSMLDAFKAGGDFHSRTAMNMYSHIREAVEKRQVLLEWHPQPGEEKPPVPLLKDAFTSERRKAKMLNFSIAYGKTPVGLAKDWKVSVEEAKNTVDLWYKERQEVLEWQKQRKYEAQKLRRVKTLLGRARLFPSYAHATRAQKGHIERAAINTPVQGSAADVAMCAMLQISKNERLKELGWRLLLQVHDEVILEGPSESAETAKAIVVECMSKPFEEGKNILKVDLAVDAKCAQNWYAAK >EOY12453 pep chromosome:Theobroma_cacao_20110822:7:2262611:2271677:1 gene:TCM_030964 transcript:EOY12453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polymerase gamma 2 isoform 3 MAAMGVVSTSQTAATTTPLGRPFCPSSSWFSRSCPWPSSSPSSSKHFFLASRALHRREMCTMQSVQCAFLNATMSSSSSCCYHPKGMRLKVAASKYARMVHPDPDQYFSAKKCKGVSVDQRNNESPASFQKSRVTSFRANAFTFTSKKTSIPSTERSWEKEARRIKEYKEMSKESFKGSSSYSSDQHNLNLKLTGSLFKTNNKEELVSRNSGKNKSLSEGCCPPQPTSAKQMSRFNNIATGGINGSKQLKGSSSTEVLNNGSLPGLVLDDNKEIGNSGDSNFLSESHCPDHPTNNKQISRAKNISANRINGSKQLKGSTKTEVSSNGSLKGTVSDANQDTGHMNPNETRRDHANEVGVASTEEAKVVSQEDISKRLARIYDQVLVVDNISVAGEVVKMLTTQYSHLVHACDTEVSKIDVKQETPVDHGEITCFSIYSGENADFGNGKTCIWVDVLDGGGRALLKEFELFFKDQSIKKVWHNYSFDNHVIRNYGLEVSGFHADTMHMARLWDSSRRTAGGYSLEALTGDKNVMNRTKWRKEENELIGKISMKTIFGKKKLKKDGSEGKMITIAPVEELQREERKLWISYSALDAISTLRLYESLKSKLSSMSWVFDGKPVSGKSMYHFYEEYWQPFGELLVNLEREGMLVDRIYLAQLEKVAKAEQEIAANRFRTWASRYCDDAKYMNVGSDTQLRQLLYGGIVNSKDPNESLPVQKTFKVPNVDKVIEEGKKVPTKFRSIKLHSLGVELPAEVYTATGWPSVSGNALKTLAGKVSAEYDFTDDTNDGDINNCPEMVTDVDTSAYGTAFAAFGDEEKGREACHAIASLCEVCSIDSLISNFILPLQGSNVSGKSGHVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLADCKSMLDAFKAGGDFHSRTAMNMYSHIREAVEKRQVLLEWHPQPGEEKPPVPLLKDAFTSERRKAKMLNFSIAYGKTPVGLAKDWKVSVEEAKNTVDLWYKERQEVLEWQKQRKYEAQKLRRVKTLLGRARLFPSYAHATRAQKGHIERAAINTPVQGSAADVAMCAMLQISKNERLKELGWRLLLQVVHDEVILEGPSESAETAKAIVVECMSKPFEEGKNILKVDLAVDAKCAQNWYAAK >EOY12451 pep chromosome:Theobroma_cacao_20110822:7:2262496:2271702:1 gene:TCM_030964 transcript:EOY12451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polymerase gamma 2 isoform 3 MAAMGVVSTSQTAATTTPLGRPFCPSSSWFSRSCPWPSSSPSSSKHFFLASRALHRREMCTMQSVQCAFLNATMSSSSSCCYHPKGMRLKVAASKYARMVHPDPDQYFSAKKCKGVSVDQRNNESPASFQKSRVTSFRANAFTFTSKKTSIPSTERSWEKEARRIKEYKEMSKESFKGLVLDDNKEIGNSGDSNFLSESHCPDHPTNNKQISRAKNISANRINGSKQLKGSTKTEVSSNGSLKGTVSDANQDTGHMNPNETRRDHANEVGVASTEEAKVVSQEDISKRLARIYDQVLVVDNISVAGEVVKMLTTQYSHLVHACDTEVSKIDVKQETPVDHGEITCFSIYSGENADFGNGKTCIWVDVLDGGGRALLKEFELFFKDQSIKKVWHNYSFDNHVIRNYGLEVSGFHADTMHMARLWDSSRRTAGGYSLEALTGDKNVMNRTKWRKEENELIGKISMKTIFGKKKLKKDGSEGKMITIAPVEELQREERKLWISYSALDAISTLRLYESLKSKLSSMSWVFDGKPVSGKSMYHFYEEYWQPFGELLVNLEREGMLVDRIYLAQLEKVAKAEQEIAANRFRTWASRYCDDAKYMNVGSDTQLRQLLYGGIVNSKDPNESLPVQKTFKVPNVDKVIEEGKKVPTKFRSIKLHSLGVELPAEVYTATGWPSVSGNALKTLAGKVSAEYDFTDDTNDGDINNCPEMVTDVDTSAYGTAFAAFGDEEKGREACHAIASLCEVCSIDSLISNFILPLQGSNVSGKSGHVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLADCKSMLDAFKAGGDFHSRTAMNMYSHIREAVEKRQVLLEWHPQPGEEKPPVPLLKDAFTSERRKAKMLNFSIAYGKTPVGLAKDWKVSVEEAKNTVDLWYKERQEVLEWQKQRKYEAQKLRRVKTLLGRARLFPSYAHATRAQKGHIERAAINTPVQGSAADVAMCAMLQISKNERLKELGWRLLLQVHDEVILEGPSESAETAKAIVVECMSKPFEEGKNILKVDLAVDAKCAQNWYAAK >EOY12768 pep chromosome:Theobroma_cacao_20110822:7:4093624:4094065:1 gene:TCM_031296 transcript:EOY12768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-responsive family protein MSSSTRAWVAAASIGAVEALKDQGFCRWNHALRSIQQHAKNNIRSCSQAKKKLPASSSSALCKKLRDDEKLKKSEESLRTVMYLSCWGPN >EOY13326 pep chromosome:Theobroma_cacao_20110822:7:7560358:7563329:1 gene:TCM_031873 transcript:EOY13326 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 3 MFVALCVLFMWPSYDVIVADLVSVVIPLVKLKKSTKVVFYCHFPDLLLARHTTFLRRMYRKPIDFIEELTTGMADMILVNSRFTASIFAKTFKHLHARGIRPAVLHPAVNVDQFEKPHSYKLNFLSINRFERKKNIDLAVSAFAMLHTLEGNIHQTSNLADANLTIAGGYDRRLRENVEYLEELKSLAEREGVADRVNFVTSCSTAERNSLLSQCLCVLYTPTDEHFGIVPLEAMAAQKPVIACNSGGPVETIKNGETGFLCDPTPKDFALAMAKLIQDPEMAKRLGEQARQHVNESFSTRIFGQRLNQFLLDVAGNKQD >EOY13324 pep chromosome:Theobroma_cacao_20110822:7:7560300:7563751:1 gene:TCM_031873 transcript:EOY13324 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 3 MERKQSSKLNIAFIHPDLGIGGAERLIVDAAVELASHGHSVHIFTAHHDKNRCFEETRAGIFPVTVYGDFLPRHIFYRLHAVCAYLRCMFVALCVLFMWPSYDVIVADLVSVVIPLVKLKKSTKVVFYCHFPDLLLARHTTFLRRMYRKPIDFIEELTTGMADMILVNSRFTASIFAKTFKHLHARGIRPAVLHPAVNVDQFEKPHSYKLNFLSINRFERKKNIDLAVSAFAMLHTLEGNIHQTSNLADANLTIAASNTVVLQCQYKQVRKSVTGRTLVIIVGCTPGIPLKRIIVIQGFHLTSQHVLCGYDRRLRENVEYLEELKSLAEREGVADRVNFVTSCSTAERNSLLSQCLCVLYTPTDEHFGIVPLEAMAAQKPVIACNSGGPVETIKNGETGFLCDPTPKDFALAMAKLIQDPEMAKRLGEQARQHVNESFSTRIFGQRLNQFLLDVAGNKQD >EOY13325 pep chromosome:Theobroma_cacao_20110822:7:7558532:7563451:1 gene:TCM_031873 transcript:EOY13325 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 3 MERKQSSKLNIAFIHPDLGIGGAERLIVDAAVELASHGHSVHIFTAHHDKNRCFEETRAGIFPVTVYGDFLPRHIFYRLHAVCAYLRCMFVALCVLFMWPSYDVIVADLVSVVIPLVKLKKSTKVVFYCHFPDLLLARHTTFLRRMYRKPIDFIEELTTGMADMILVNSRFTASIFAKTFKHLHARGIRPAVLHPAVNVDQFEKPHSYKLNFLSINRFERKKNIDLAVSAFAMLHTLEGNIHQTSNLADANLTIAGGYDRRLRENVEYLEELKSLAEREGVADRVNFVTSCSTAERNSLLSQCLCVLYTPTDEHFGIVPLEAMAAQKPVIACNSGGPVETIKNGETGFLCDPTPKDFALAMAKLIQDPEMAKRLGEQARQHVNESFSTRIFGQRLNQFLLDVAGNKQD >EOY13812 pep chromosome:Theobroma_cacao_20110822:7:11084760:11086599:-1 gene:TCM_032465 transcript:EOY13812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein MLVSSRTSPLPSPTSTLPNFTEASPYSTTLTAHNFIPWRNRKGAWTPRTVIKVSSSSSSSSSSSSLENQTAVILPSQSQDDAAEVVRRFYAGINSRDLASVELLIAEKCVYEDLIFPRPFVGRKAILEFFKSFIDSISMDLQFVIDDISAEDSCAVGVAWHLEWKGRAFPFSKGCSFYRLEMVDGKRQIIYGRDVVEPAIKPGEAALGAIRAVTWLLKQFPQLADQL >EOY13129 pep chromosome:Theobroma_cacao_20110822:7:6087226:6088693:-1 gene:TCM_031654 transcript:EOY13129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAWTKEYLDLVLVPSGLLIMSAYHVFLLYRCLKLPQTTVSGYENHCKKAWVERMLQVEAKDRGLALTAINSTISAATFLATISLTLSSLIGTWLAESSSSLFRNSLIYGNTSSSIISVKYISLLFCFLVAFGSFLQCVGNFAHASFLISMPNADIPVGCIQKAVIRGSACWSVGLRAIYFATTLLLWIFGPIPMFAASVIMVVSLHNLDRNVSPLHKFESAKSNSHNLFTKINEDLAE >EOY13930 pep chromosome:Theobroma_cacao_20110822:7:13983690:14014045:1 gene:TCM_032736 transcript:EOY13930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLEAVQALTAFFTTIAGQAQAGQALPTVPPAAPLVPPPPLPSPPLVLVVSNSKKLKEARQHGCTSFMGESDATVAKEVVQMPLRAEKLANENRRISVVTIFGKSSGGSDRCRNCGRYHVGPCRGSVQCFYCGQPGHIRRDCPQLGRATVAAPSPPARMDMQRRDSFGFQPRQG >EOY13931 pep chromosome:Theobroma_cacao_20110822:7:14003310:14006964:1 gene:TCM_032736 transcript:EOY13931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAHQSPDSARGSTQPASSAPSVAVSSGREVSGSRGRVAGTSSQGRPSGSGHQSSIGRGQARVFALTQQEAQTSNAVVSGYLAVVKDSQAKIGDVTQVSVVKEFVDVFPEELPGLPPEREDATEKIHMIRQRMLTAQSRQKSYADNRRRDLEFQVGDHVFLKVSPTKGVMRFGKKGKLSPRYIGPFEILEKVGAVAYRLALPPDLSNIHPVFHVSMLRKYNPDPSHVIQYETIQLQNDLTYEEQPVAILDRQVKKLRSKDVASVKVLWRNHTSEEVTWEVEDEMRTKHPHLFDM >EOY12124 pep chromosome:Theobroma_cacao_20110822:7:1089673:1097339:-1 gene:TCM_030729 transcript:EOY12124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein isoform 1 MGTENPSRPTFPMRPSSTPFASAPPTMTPFSSSGPVVGSEASNFRPTPPGAPPTMTPFSSAGPAAGPVRFSDPSVASPPITSAPPAGGLYQRFPTPPFPSTAQAPPTRVPPMGQPPFQPPASQVSAPPVSFRPPSQVPPVPMGFPPQIVNFPPSSVNVPQPPSDSLPSGPRPNFQPSFPTPDTSYSATKSTFQPSFPGYPSKQPAVSQAPSPFPAQQGSFMPPPPVSSSPFPIQQGSYVPPPPVAAPLGYQTRDQMQHPGSAPPIGGIQSLTEDFSSLSLASMPGSIEPGLDYKTLPRPLDGDVEPSSFVETYPMNCDPRYLRLTTSAIPNSQSLVSRWHLPLGAVVCPLAEAPEGEEVPVINFASTGIIRCRRCRTYVNPHVTFTDAGRKWRCNICSLLNDVPGEYFANLDATGRRIDLDQRPELTKGSVEFVAPTEYMVRPPMPPLYFFLIDVSISAVRSGMIEVVAQTIRSCLDELPGFPRTQIGFITFDSTIHFYNMKSSLTQPQMMVVSDLDDIFVPLPDDLLVNLSESRNVVETFLDSLPSMFQDNVNVESAFGPALKAAFMVMSQLGGKLLIFQNTLPSLGVGRLKLRGDDLRVYGTDKEHTLRLPEDPFYKQMAADLTKYQIGVNIYAFSDKYTDVASLGTLAKYTGGQVYYYPNFQSGIHGEKLRHELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYAMQLSLEETLLTTQTVYFQVALLYTASCGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLFCRLAIEKTLTNKLEDARNSLQLRIVKALREYRNLYAVQHRLGARMIYPESLKFLCLYGLALCKSVPLRGGYADAQLDERCAAGFTMMALPVKKLLNILYPSLIRVDEFLLKPSAQADDLKTIVKRLPLIAESLDSRGLYIYDDGFRFVIWFGRMLSPDIARNLLGADFAAELSKVALSEHDNEMSRRLMAVLKKLRESDRSYYQLSYLVRQGEQPREGLLLLVNLLEDQMGGTSGYVDWITLIHRQVQQNA >EOY12123 pep chromosome:Theobroma_cacao_20110822:7:1089991:1097573:-1 gene:TCM_030729 transcript:EOY12123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein isoform 1 MGTENPSRPTFPMRPSSTPFASAPPTMTPFSSSGPVVGSEASNFRPTPPGAPPTMTPFSSAGPAAGPVRFSDPSVASPPITSAPPAGGLYQRFPTPPFPSTAQAPPTRVPPMGQPPFQPPASQVSAPPVSFRPPSQVPPVPMGFPPQIVNFPPSSVNVPQPPSDSLPSGPRPNFQPSFPTPDTSYSATKSTFQPSFPGYPSKQPAVSQAPSPFPAQQGSFMPPPPVSSSPFPIQQGSYVPPPPVAAPLGYQTRDQMQHPGSAPPIGGIQSLTEDFSSLSLASMPGSIEPGLDYKTLPRPLDGDVEPSSFVETYPMNCDPRYLRLTTSAIPNSQSLVSRWHLPLGAVVCPLAEAPEGEEVPVINFASTGIIRCRRCRTYVNPHVTFTDAGRKWRCNICSLLNDVPGEYFANLDATGRRIDLDQRPELTKGSVEFVAPTEYMVRPPMPPLYFFLIDVSISAVRSGMIEVVAQTIRSCLDELPGFPRTQIGFITFDSTIHFYNMKSSLTQPQMMVVSDLDDIFVPLPDDLLVNLSESRNVVETFLDSLPSMFQDNVNVESAFGPALKAAFMVMSQLGGKLLIFQNTLPSLGVGRLKLRGDDLRVYGTDKEHTLRLPEDPFYKQMAADLTKYQIGVNIYAFSDKYTDVASLGTLAKYTGGQVYYYPNFQSGIHGEKLRHELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYAMQLSLEETLLTTQTVYFQVALLYTASCGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLFCRLAIEKTLTNKLEDARNSLQLRIVKALREYRNLYAVQHRLGARMIYPESLKFLCLYGLALCKSVPLRGGYADAQLDERCAAGFTMMALPVKKLLNILYPSLIRVDEFLLKPSAQADDLKTIVKRLPLIAESLDSRGLYIYDDGFRFVIWFGRMLSPDIARNLLGADFAAELSKVALSEHDNEMSRRLMAVLKKLRESDRSYYQLSYLVRQGEQPREGLLLLVNLLEDQMGGTSGYVDWITLIHRQVQQNA >EOY12125 pep chromosome:Theobroma_cacao_20110822:7:1077532:1097339:-1 gene:TCM_030729 transcript:EOY12125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein isoform 1 MGTENPSRPTFPMRPSSTPFASAPPTMTPFSSSGPVVGSEASNFRPTPPGAPPTMTPFSSAGPAAGPVRFSDPSVASPPITSAPPAGGLYQRFPTPPFPSTAQAPPTRVPPMGQPPFQPPASQVSAPPVSFRPPSQVPPVPMGFPPQIVNFPPSSVNVPQPPSDSLPSGPRPNFQPSFPTPDTSYSATKSTFQPSFPGYPSKQPAVSQAPSPFPAQQGSFMPPPPVSSSPFPIQQGSYVPPPPVAAPLGYQTRDQMQHPGSAPPIGGIQSLTEDFSSLSLASMPGSIEPGLDYKTLPRPLDGDVEPSSFVETYPMNCDPRYLRLTTSAIPNSQSLVSRWHLPLGAVVCPLAEAPEGEEVPVINFASTGIIRCRRCRTYVNPHVTFTDAGRKWRCNICSLLNDVPGEYFANLDATGRRIDLDQRPELTKGSVEFVAPTEYMVRPPMPPLYFFLIDVSISAVRSGMIEVVAQTIRSCLDELPGFPRTQIGFITFDSTIHFYNMKSSLTQPQMMVVSDLDDIFVPLPDDLLVNLSESRNVVETFLDSLPSMFQDNVNVESAFGPALKAAFMVMSQLGGKLLIFQNTLPSLGVGRLKLRGDDLRVYGTDKEHTLRLPEDPFYKQMAADLTKYQIGVNIYAFSDKYTDVASLGTLAKYTGGQVYYYPNFQSGIHGEKLRHELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYAMQLSLEETLLTTQTVYFQVALLYTASCGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLFCRLAIEKTLTNKLEDARNSLQLRIVKALREYRNLYAVQHRLGARMIYPESLKFLCLYGLALCKSVPLRGGYADAQLDERCAAGFTMMALPVKKLLNILYPSLIRVDEFLLKPSAQADDLKTIVKRLPLIAESLDSRGLYIYDDGFRFVIWFGRMLSPDIARNLLGADFAAELSKVTLSEHDNEMSRRLMRVLKKLRESDRSYYQLSYLVRQGEQPREGLLLLVNLLEDQMGGTSGYVDWITLIHRQVQQ >EOY12790 pep chromosome:Theobroma_cacao_20110822:7:4172603:4184306:1 gene:TCM_031311 transcript:EOY12790 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSI2-like 1 isoform 1 MAVSPCFAVAVVIASGEMQLLWLQMTKRHRFCSAFSSTAYTFLLWKLWHSAYLKGTFCDTFHPEASGWRDCASCRKRIHCGCIMASSTYTILDFGGVKCAECAVNEALAPHRCTPSFWSPQAIQHAMDSAKRLSIDPEKAITVGMKSDSCVHVAGIKAGINPIASPDSVVCNVSPNKIATATIPGAAFQAQFPLSETGEESSVNSPHGTNNSCQKKVGKRRKNVYRKTRVQSRYSPQTSLEELKEICRVSKSSLVPLFSKTLTASDAEARNGRLVLPKRCAEAYFPDISAQQGIFVVVQDIKGDDWEFYYRYWLNTNGKMYVLEGLKDYIISEQWQPGDTVTVYKREEDGKLFMGLKKVQAGKSD >EOY12791 pep chromosome:Theobroma_cacao_20110822:7:4172603:4183595:1 gene:TCM_031311 transcript:EOY12791 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSI2-like 1 isoform 1 MAVSPCFAVAVVIASGEMQLLWLQMTKRHRFCSAFSSTAYTFLLWKLWHSAYLKGTFCDTFHPEASGWRDCASCRKRIHCGCIMASSTYTILDFGGVKCAECAVNEALAPHRCTPSFWSPQAIQHAMDSAKRLSIDPEKAITVGMKSDSCVHVAGIKAGINPIASPDSVVCNVSPNKIATATIPGAAFQAQFPLSETGEESSVNSPHGTNNSCQKKVGKRRKNVYRKTRVQSRYSPQTSLEELKEICRVSKSSLVPLFSKTLTASDAEARNGRLVLPKRCAEAYFPDISAQQGIFVVVQDIKGDDWEFYYRYWLNTNGKMYVLEGLKDYIISEQWQPGDTVTVYKREEDGKLFMGLKKVQAGKSD >EOY12789 pep chromosome:Theobroma_cacao_20110822:7:4172603:4184306:1 gene:TCM_031311 transcript:EOY12789 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSI2-like 1 isoform 1 MAVSPCFAVAVVIASGEMQLLWLQMTKRHRFCSAFSSTAYTFLLWKLWHSAYLKGTFCDTFHPEASGWRDCASCRKRIHCGCIMASSTYTILDFGGVKCAECAVNEALAPHRCTPSFWSPQAIQHAMDSAKRLSIDPEKAITVGMKSDSCVHVAGIKAGINPIASPDSVVCNVSPNKIATATIPGAAFQAQFPLSETGEESSVNSPHGTNNSCQKKVGKRRKNVYRKTRVQSRYSPQTSLEELKEICRVSKSSLVPLFSKTLTASDAEARNGRLVLPKRCAEAYFPDISAQQGIFVVVQDIKGDDWEFYYRYWLNTNGKMYVLEGLKDYIISEQWQPGDTVTVYKREEDGKLFMGLKKVQAGKSD >EOY13810 pep chromosome:Theobroma_cacao_20110822:7:11055982:11060052:-1 gene:TCM_032463 transcript:EOY13810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 38 MGSRSRRSCSFLVAGFVIFSCICLANGNGFQRQKSRVDSRKQQSSCNVYQGRWVYDESYPLYDSSACPFIRKEFDCHKYGRPDHLYLKYRWQPSNCQLPRFDGQDLLNRFMGKKIMFVGDSVSLNHWQSLICLLHAAAPNSSIIRQQLNNNSISAVTFQDYEVSVMLFHSLYLVDVDEENIGRVLKLNSIRNGNLWKDIDVLVFNTWLWWYRRGLKQQWDYVEVDGKILKDIDRMAAFGTALTTWAKWVDSDVDTSRSKVIFQGISPSHYNGTEWNEPGVRNCSREMIPFNGSVYPVGLPQAAYVVKEVLGSMKKPVHLLDITSLSQLRKDAHPSSYNGFKGMDCTHWCVAGLPDTWNQLLYAALIS >EOY13121 pep chromosome:Theobroma_cacao_20110822:7:6054679:6056688:1 gene:TCM_031648 transcript:EOY13121 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 1 MEGAVRVQILSQAGISEVPSQYIQPPENRPTPVTNTKTSLSCDNVPAIDLSHKEKSVIAAIQEACQELGAFHVINHGVPTKLMDDLRRSCLSFFNDFPMEDKLKYACQPNSAASQGYGSRMLVSSENDTVLDWRDYFDHHTLPLSRRNPSFWPHFPPEYRGLVADYSDEMKVLAQRLFGLISQSLGLKASCIEDAIGEFYQNITASYYPPCPQPELTLGLQSHSDMGAITLLIQDDVGGLQVLKDGKWLTVHPLPNAILVLLADQTEIITNGKYRSSVHQAVTNASKARLSIATFHDPAKAVRISPAADLLSESSPPRYAPVVYGDHVSSWYSKGPEGKRNLDALLLDT >EOY13122 pep chromosome:Theobroma_cacao_20110822:7:6054616:6056688:1 gene:TCM_031648 transcript:EOY13122 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 1 MEGAVRVQILSQAGISEVPSQYIQPPENRPTPVTNTKTSLSCDNVPAIDLSHKEKSVIAAIQEACQELGAFHVINHGVPTKLMDDLRRSCLSFFNDFPMEDKLKYACQPNSAASQGYGSRMLVSSENDTVLDWRDYFDHHTLPLSRRNPSFWPHFPPEYRGLVADYSDEMKVLAQRLFGLISQSLGLKASCIEDAIGEFYQNITASYYPPCPQPELTLGLQSHSDMGAITLLIQDDVGGLQVLKDGKWLTVHPLPNAILVLLADQTEIITNGKYRSSVHQAVTNASKARLSIATFHDPAKAVRISPAADLLSESSPPRYAPVVYGDHVSSWYSKGPEGKRNLDALLLDT >EOY13269 pep chromosome:Theobroma_cacao_20110822:7:6877226:6894974:-1 gene:TCM_031786 transcript:EOY13269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MFVSQVPLLLNFMRNTWLILALMVVVLLPNFGVSFAMKSTTNISTDQLALLALKTRVNNDLLATNWSTATSICNWVGVTCGSRHHRVIALDLFGMNLSGTIPPDMGNLSFVAFLDTGNNSFHGSLPIELANLRRLKSLLLSNNNFNGEIPSWFGSFSKLQNLSLGGNNFVGVIPSSLCSLSKLELLSLYNNNLQGHIPLEIGNLSRLRFLYLHNNQISGFIPSSVFSISSLLKIFLRNNQLIGSIPSIRLNMSSLEVVDFTFNNLTGDISSDMFDRLPRLKGLDLSFNHLAGPIPMSLFKCQELKDLSLSYNHFEGIIPKEIGNLTMLKFLYLAENNLKGEIPQQLGNLTLLIEIDIPANKLTGKIPLEIGNIPKLERLNLESNNISGHIPPRIFNSSTMRTIALDVNHLSGCLPRSTGLWLPKLEQLLLGSNEINGTISTSISNASKLIELDLSANSFSGYIPDDLGNLRDLQFLNLQFNNLARTPSSPKLSFLTSLAYCKDLRVLAFSNNPLIHGELPISIGNLSISLQTFDASDCNIGGNIPVEIGKLINLIRLNIANNEQIGPIPTTIGRLEKLQGFFLEDNNLEGSIPSEFCCQKSLGFLHLTGNKLAGPIPECLGDLVSLRHLYLDSNKFANSIPSTFTRLIDILQLNLSSNFLGGALPIDFGKWKVVTIIDFSENQLSSEIPSSIRDLKDLTYLSLSGNRLYGSIPELFGGLIGLQFLDLSRNNFSGIIPKSLQKLLHLAYLNVSFNRLHGEIPNEGPFANCSIQSFMGNEALCGAPQLQLPPCTHNSAKHSRKATKLMECALSDGLNIAIKVFNLEVEGSFKSFDVECEVLRNIRHRNLVKIISSCCNVDFKALVLEFMPNGSLEKWLYSHNYFLDMLHRLNIMIDVAAALEYLHHGQTIPVAHCDLKPSNVLLDEDMVAHLADFGIAKLLGEEDSMVQTITLATIGYMAPEYGTQGMVSIKGDMYSFGILLIETLTRKKPTDEMFIGEMSLKHWVNESIPSALTQVVDANLLIDKRKRELFAIKECASSVLQLALECLEELPEERIDMKNVVAKLKKIKIKFLKDSNKRA >EOY13039 pep chromosome:Theobroma_cacao_20110822:7:5497916:5501322:-1 gene:TCM_031548 transcript:EOY13039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.17 MAADRHQAGLKILEKLTTDAYQIQEQVLEDILNRNAGTEYLRGFLNGQCDKQHFKKNVPIVTYDDIEPYIHRIANGESSDILLAEPVTGFYRSTGTSGGQPKLIPSTAEKDKGALFQTLMGSVMNKHFGDLDKAGKSMQLLFTKPEIETPSGLLATTATTSIFKDSGFRNIVSMRYTSPIETIFCSDINQSMYCQLLVGLIQRDEVVSVGSIFASAMVRAIKFIEDHWEELCYNIKTGHLSSRISDSGCKNALSLIMKPNPDLADSIENICGCKSWEGIIRKLWPKAKYIGAVATGVMSQYTAALDFYSGGLPLVSSAYVCSEAMCGINLEPLSKPADVSYTFLSNMAYFEFLPVKKDCLTMFLDQVQFNSVARQESKEMMSSIEAIEPVDLVNVEPGQCYELVVTTSAGLYRYKVGDILMATGFYNNAPQFQFVERQNVILSIDAEKTSEVDLLRAVTKAKALLDPLGFLLTGYTSYADTSSTPGHYILFWELKMKESNDMKEPDPKIMVECCSSMEESLNYTYRMYRKENIIAPLELRVVKQGTFDALMDYYVSQGASMSQYKGPSCIKSKQAIKILDSRVMGKFFSVETQF >EOY13746 pep chromosome:Theobroma_cacao_20110822:7:10605892:10607493:-1 gene:TCM_032394 transcript:EOY13746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKGNTKKKKNKFMIDWVRPCFDSIKFNVDGAARGYLGATGIEGTLRGNNGNIRILFSKSVGTMDSNLAEIYAIKETLLLLLLSLGLALMIDHIPQSTNHFADRLAQDDVEHLEDLVEIFD >EOY13115 pep chromosome:Theobroma_cacao_20110822:7:6021959:6022708:-1 gene:TCM_031643 transcript:EOY13115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTNFSLCPFTLSLITLTFTLQAFQATSNEATIINVCNKTPDPTLCKTCLHSDPKSKTADVRGLASISITCGTRDANKLYTDTNDLDKGSDPAKLAITRDVIPFIDFCSDLFKKNPKVVVPHKILDEMTVVSKDCRIILGILSNISRVIHGE >EOY13390 pep chromosome:Theobroma_cacao_20110822:7:7957046:7959825:1 gene:TCM_031954 transcript:EOY13390 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRI1-like 3, putative MATGIPQSLTNGQLLESLDLSHNKLQDKIPAALGKLGNLKCLNLGYNDFSGNIPPELGWICGTLIELDLSGNELSGGFPKSFKLCNSLQSLNLGNNQLSGNFLTSVISTLPSLQILQIPFNNISVPIPLSLRNCTQLQVLDLSSNAFSGEILSGLCFSSPISTSLTKLLLASNFLSGTKPSDLGNCQNLRTINLALNHLSGCIPSEVWKLPHLSDMIMWGNNFSGEIPKDICFGTSNLEKLSLAHNLFSGNIPTSVTNCKNLVWLSLSSNQLSGKIPAGIGNLQKLSVLQLSSNNLSGNIPTELGGFQSLIWLGLRSNYITGSIPSDLANQTGLVPKFTHEAEYAFLRNISRSDCRTTSRLIYCDGIRRERLEDALDLKSCPTKWASLTCRIIIFKACFQDHWGHSHFWQTFMSPTTTSLDLYLQQANSLHFQHPAMKTIQGYAESHCPLVSLSLKQTPEVPSNMRRRIIPYKLDSIWFIAPMGHYWCSDWSLHWNCYVPEKRRMVHEGF >EOY13809 pep chromosome:Theobroma_cacao_20110822:7:11003328:11009817:-1 gene:TCM_032459 transcript:EOY13809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGMSDTTWWECVTRHESIDHELWFAIGKSKVRISKTPVHANKAYGGLSRLGLGARENKRKLKEKIIARPVKRRCTVAFGDDELSGLKLIEEGDNHGNVSEQPLTHADNSSSTTDSAAGDNVTHVDDDLDDVVEEDFHLVEAKRDHVPKADAVVEVAIGGDGNLASVEAEGDHVPQADAVVEATAGTLHQFRLKKTISNSTGTGQMANKYMASPYVNPLVSLQDLKNSMVEAYEVFKKDECVRCNVGILGDQGLDFFTSLEDSKEEMTSEQLDTCLNVLCWHTKKKWKDVDFILTRCNVGEHWVVAKIDLIKWRIKVVNYARTLDSKDNKVHATQMTSFTMMMHIICH >EOY12525 pep chromosome:Theobroma_cacao_20110822:7:2561411:2561717:1 gene:TCM_031026 transcript:EOY12525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein RQVRKFFSSSNPLVFGLNMGPRIKEIIERLDSIAADISKFNLSPRVATDMKAKYTNRKTASKVRPEMIGREKDKEHVIKSLFQKHHHGDSISNIVAIVGFGG >EOY12656 pep chromosome:Theobroma_cacao_20110822:7:3218318:3221294:1 gene:TCM_031160 transcript:EOY12656 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MLENLRDLRMMSWDLEELPVGILRRICHLQCLMIGKTPVKGEEVGQLRKLEWVSCSFRNVQEFKKYAECTQGKWPTSFFFQVGGPPVYWGIKDSPNFKKIEKRVIFTDSEIERCDDRVIPHDLQTLTINKDDDFKCLNNIPLFRKATDFKECRISHCEGMECVVDLSLSSCDALDNIEELHLSWLQNLREVVRVGVGVVVEIESTSHAPTPPAIFSSLKNFHMRSCSRVKKLFPVELLKGLQNLETIQVICCNEMEEIIASEENHKGEGTTFILPKLKSLKLQLLPKLKSICSGRVMIPADSLEVLEIVRCPEVKRIPLSLPLLENGKPSPPPSLQYIRIGLREWWESVEWDQPDAKDVLSPFVSYF >EOY13024 pep chromosome:Theobroma_cacao_20110822:7:5372257:5390540:-1 gene:TCM_031530 transcript:EOY13024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein MQSPKCQKLTTLLLSNNSFKEIPESFFEHMPNLKILDLSLNYISNLPHSISNLENLTALLLRECCKLENDLCQNLALKKLNLERTSITKFPLGLEMLTNLRYLNLGFTFELEEIPDGLLSKLYHLQHLIIHPASSRAEEIKSLKKLEVFEGCFTNVHDLSMYAGQRKGPNKYHIWVSHKLIEHWVYNSYRSITFDRGYSRLVAICGCNMNAEDPIILPSDIRQLQLYHCKGRGSTLNGVFRTDLKECTIESCHELESIFTSWCTSLLTLEVLELSGLRNLKVIVGESVPPTPGTFSSLKVIYLTSCGKLKNLLPAKWVLQDLQNLEEIEVRSCKGMEEIIASKEEGMSTNNNVMFTLPKLRKLKLHDMPELKSICKTNEVMVCDSLQRIEITYCPKLKRIPLYLPLLELDNCQPSPPASLKEICINPMKCWESMEWDHPNAKNVLLPLLKFWDKRNTGWKQAIHTMGMKVPVKAQMLKVNLPSPNKYRTIASLVISYDRPTTRASNLQSSSTLKKRTSFNMDLIGPIIEVIKFIGQSARKCLRYHRKFSEYKEDFKQAQAELNYRKADIHQRLQEEHRFGKKPKEEVESWFKKVEEKLGQAQRVEVEVSKGKYLCRSCLGKRVDETTQAIKKVYDEGRFSGSLVVNDSSTIAAELPTQEITGDTNVIQEIYKDLMGDEVRMIGVCGMGGIGKTTIMKHVHNRLLNEGKFKKLIWATVSQDLDVRRLQKDIASQLKEKLKDDENAIVRAAKLSEMLRKQGSYVLILDDVWSSFSLEDVGILKPTADNGCKLVLTTRSAEVVRSMGCKKVLVPCLSMDEAMQLFLSKVGQDMLPSPTLEWIMKDVLRECDGLPLAIVTIAGCMRGIFNPLEWNNALNELRGYIRNIPDVEDKVFRCLKFSYDRLNQKDRDCFLYCALFPEDYEIEKKEIVEYWMEEGLIDELGTRQAMQDSGHFILQKLEENYLLERVRAGTHIKMHDVVRDMALHITRKRFLVKAGKQLEELPDKDEWGEDLEKISLMRNDISKIPQNMQSPKCQNLITLLLSNNHWTEIPESFFEYMPNLKILDLSWNRIQRLPNSITNLEKLTALLLCGCKQLEDVPSLSKLQALKKLNLERTEIKKIPQGLEMLINLRYLNLRYTTNLEVIPDGILPKLYGLQHFSILPAFSRAEETKPLNKLEAFEVCFKDVHDLSMYARQRKRPNKYHIWVSPRLKNILPYKQREGPPIYGHLFRGIYSKSITVGGFNMKIKNPIILPYDIQALNLHKCEFSGSSLNNIFGLEEVTDLTECAIASCNELESIFSSRCASLQTLEVLKLVLLWNLEVIVGESIPPEPGTFSNLRCIYIIRCGKLKNLFSAKWVLQNLHNLKEIHVWSCEEMEEIIASEKEGMSTDNNVMFTLPKLKVLTLSDLPQLKSICKTNDVRICDSLQRIEIRNCRKLKRIPLYLPLLELDNSQPSPPPSLKEICIDPKEWWESVEWDHPDAKNVLLPLLKFWDDSIDEWKQAH >EOY14020 pep chromosome:Theobroma_cacao_20110822:7:17277629:17288913:1 gene:TCM_033043 transcript:EOY14020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFEELSTPYRFVLIVEGIEVTEALAVLKSVPITDGFSSDMFILLMELITSLQNENIGASLTDSVIINLVSKVMMGNKQG >EOY12257 pep chromosome:Theobroma_cacao_20110822:7:1503995:1506479:1 gene:TCM_030820 transcript:EOY12257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein isoform 2 MDEVMTAADASARFRSASSPPSIFPSNVPLLSAFLAFALAQFLKLFTTWFKEKRWDTRRMLGSGGMPSSHSATVTALAVAVGLQEGIGGSAFAVALVLACVVMYDATGVRLHAGRQAELLNQIVCELPPEHPVSNVRPLRDSLGHTPLQVLAGSVLGCIVAFLMRTSS >EOY12258 pep chromosome:Theobroma_cacao_20110822:7:1499693:1506468:1 gene:TCM_030820 transcript:EOY12258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein isoform 2 CLRSRSVPQSLHYLTCWFKEKRWDTRRMLGSGGMPSSHSATVTALAVAVGLQEGIGGSAFAVALVLACVVMYDATGVRLHAGRQAELLNQIVCELPPEHPVSNVRPLRDSLGHTPLQVLAGSVLGCIVAFLMRTSS >EOY12926 pep chromosome:Theobroma_cacao_20110822:7:4856082:4858696:1 gene:TCM_031427 transcript:EOY12926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (CCCH-type/C3HC4-type RING finger) family protein, putative MASFNVYVVFGVLVIMASRAVMARDVDPIKAKNCETKMTLHCVIEVLTSIFKIGIVTDNCCVELIGLGQFCHDALIKKTLQNPLFKNNDISMILSRDSSEPQYPGKEPQQSETEPQQSEVCNFFRKPTRGKNIRKRTINEDEDEGSRDETSLLHIHKKTVKPDNKLHFSTGPSKSAAATESTIESGKPVFLFESSKEIQVQNDSRATATLETETELTKDARATCEKVFKGMHGYVDGFRREQTVAIGKAGRSHGPRRDLAHIRVSARFDYQADICKDYKSTGYCGYGDSCKFVHDRGDYKSGWQLEKEWKAEDKARKRAYVI >EOY14055 pep chromosome:Theobroma_cacao_20110822:7:19554098:19566011:-1 gene:TCM_033222 transcript:EOY14055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, putative MGDLIPLEIRDFDLILDMDWLSTHRAKGYPAYLAHVIDILREELKLENVPVVSEFPDVFPDELPRLLPDREFEFTIDLLLGTTSISIPPYRMAPTVLKELKVQLKELVDKGLIRPSMFPCGAPILFVKKKDGTLRLCIDYRQLNRMTIKNKYPLPRIDDLFDQLQGAIVFSKVDLRVFHPYLDKFVIVFIDDILVYSEDNDEHANHLRIVLQTLRERQLYAKFSKCEFWLQEVVFLGHVVSRSGIYVDSKKIKAILQWEQPKTVTKIHSFLRLAGYYQRFVQGFSLIPVPLTRLTHNGVKFEWDDVCENRFQELKNRLTSTPVLTLSVSGKGFVVYSDASKLGLGCVLMQDEKVIAYASRPLKKHEANYPTHDLELAVVVFVLKSGGITCMANVVADALSRKSSSSLAALQSCYFSALLEMKSLEVQLRNGEDGSLLASFIVRPSLLNQIKDIQRSDDELRKEIQKLIDEGVSEFRLREDNILMFRDRVCVPKGNQLRQAIMEEAHSSAYALHPESTKMYRTIRENYWWPGMKRDIAEFVAKCLVCQQVKAEHQRPVGTLQSLPVPEWKWEHTDGQSERTIQIMEDMLRACVMDFLGSWDRHLPLVEFAYNNSFQSSISMAPYEALYGKKCRTPLCWDEVGEKKLCSVELIELTNDKIKVIRERLKVAQDRQKSYADKRRKDLEFKIDDRVFLKVSPWKGVIRFAKRGKLNPRYIGPFRIIERIGPVAYRLELPPELDRIHNVFHVSMLKKYVPDPSHILEAPLIELHDDLKFEVQPVSILDRKDRVLRNKSISMVKVLWKSARMEEMTWEVEHQMRNQYPHLFVETGAKS >EOY12556 pep chromosome:Theobroma_cacao_20110822:7:2757868:2759664:-1 gene:TCM_031074 transcript:EOY12556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRASALPALDQGIGIACPASLAAGNRSQAGPLSPPSVFLAVEGDGGARWRVTSPRFHVLASGAIAVLPAATAALLLHVHLAVLEIVLLLYLLFTAVLWLSQGFSSLLTVTVVCWMEALARKRIRAREARWTASFAMVETRRVGYDFDGEKWGEEWCYL >EOY12857 pep chromosome:Theobroma_cacao_20110822:7:4452032:4479178:1 gene:TCM_031367 transcript:EOY12857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 45, putative MSKMPVSTIIQLPWLFFFVVHLLLSASSDGKDFDIESQDVKRSQFPDNFLFGTAISSYQVEGGYLDDGKGLSNWDAFSHVPGNIKNNENGDVADDDYHLFLEDIEMARSLGVNSYRFSISWARILPRGRFGEVNPSGIKFYNNIIDNLLIRGIEPFVTIFHHDHPQELEDRYGSWLSPLMQEDFVLLAETCFKNFGDRVKYWVTINEANLFADMAYIRGLYPPARCSAPFGNCSVGNSDIEPLVVLHNMLLSHAKAVKLYREQFQPEQGGSLGLIAHSHMYEPLRDGEADLQAVKRALAFTVGWAIDPLVFGDYPPEMRQYHGSELPRFSPEETEYVKGSIDFIGINHYSTLYAKDCIHSHCALGGDHFIRGFAYTTGERDGILIGEPTGVERFYVVPRGMEKIVDYVSKRYNNMPIYVTENGYSPPQTEQVPDLLHDVNRIKFHRSYLAALARAIRNGADVRGYFVWSLMDNFEWTGGYSVTFGVYYVDRQTLKRTPKLSAKWICCKRSNKSSSIAKLLLRLKAIRICCKRSINQVPELTYVWVHFSGFKSWNVFAESLEKTHIITVPGSGFGPGGAEYIRISAFGQRERIIEASCRLEKLISNEKALFNSAENKE >EOY12555 pep chromosome:Theobroma_cacao_20110822:7:2756005:2756678:1 gene:TCM_031073 transcript:EOY12555 gene_biotype:protein_coding transcript_biotype:protein_coding description:2S albumin storage protein, putative MAKLGLLLATLALVLFLGNASVYHTTVTVDSEENPWGSKESSCQKQIKKQNYLKHCQEYMEEQSRGSGSSSSRERYSRPVSKHLDSCCQQLEKLDTPCRCPGLKQAVQQQAEEGEFGREELQEMYETVDKIMNKCDVEPGRCNLQPRNWF >EOY14323 pep chromosome:Theobroma_cacao_20110822:7:24043738:24055194:-1 gene:TCM_033703 transcript:EOY14323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein, putative isoform 2 MALQCWTSHALFLTFTQPKNHGRIHDVIRIPRKAPKFTCLVNIGLADIAEVAHNKVLVAAAVSAAIGQLSKPVTSVILYGKDFNFRTAFQAGGFPSTHSSVMHLLPCFLFGNTNHSLLEFLSHPFLPLRFYPHLCMDSLSWLLQLLLLSKGVSLIRFSGSPWSMLALSCMMLRGVRREVGNHAKALNTVLPKGQVTSVVSKNRDDLIVSRDESVATLNVERLGSLVITSDKEVSQTNEAVASSELAADDEGSESNAYKPLIPLKESIGHTEVEVVAGALLGFLVSLAVYSIM >EOY14322 pep chromosome:Theobroma_cacao_20110822:7:24043596:24055050:-1 gene:TCM_033703 transcript:EOY14322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein, putative isoform 2 MALQCWTSHALFLTFTQPKNHGRIHDVIRIPRKAPKFTCLVNIGLADIAEVAHNKVLVAAAVSAAIGQLSKPVTSVILYGKDFNFRTAFQAGGFPSTHSSSVVATATSLAIERGFSDSIFGLSLVYAGLVMYDAQGVRREVGNHAKALNTVLPKGQVTSVVSKNRDDLIVSRDESVATLNVERLGSLVITSDKEVSQTNEAVASSELAADDEGSESNAYKPLIPLKESIGHTEVEVVAGALLGFLVSLAVYSIM >EOY13450 pep chromosome:Theobroma_cacao_20110822:7:8440932:8447166:1 gene:TCM_032023 transcript:EOY13450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPDPSSTAGDTPTDYLSASLLEADQSLAHSLSSTPVRPTQRPKSFSIVYSSTGGATHSHCTHFFLLSIFKSQVVQKFGQPDNGVLAPRSW >EOY11824 pep chromosome:Theobroma_cacao_20110822:7:33553:36091:-1 gene:TCM_030502 transcript:EOY11824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease E inhibitor RraA/Dimethylmenaquinone methyltransferase MAAFATAEACDSNTALLASGDLRALEPIFKIYGQRRAFSGPIVTLKVFEDNVLVRQLLETRGEGRVLVIDGGGSTRCALVGGNLAQSAQNMGWAGIVVNGCIRDVDEINACDIGVRALGSNPLKSNKKAVGDKHVPVCIAGTLIRDGEWLYADSDGILISKMELSI >EOY12889 pep chromosome:Theobroma_cacao_20110822:7:4651857:4662425:1 gene:TCM_031399 transcript:EOY12889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein METVGNMVVKYWDNHRSLDQKMIDLKRKLVDLNALKQDVESRKRAELHPRKKLKSQVDVWFRNVERINDEIQNLDQRVAQSISISRGFCMEDVLKKIQEVEELLQQGKFDQGLVVDDLTWIGQALSTTNLVGKAAEICILRAMREKLHEDEDETIRAGMLNEMLTRRGRYVLILDDLWDKLSLEEVGIPDLSNGSKLVVTTRMLDVCRYLGCREIRMPTLPKQDAWSLFLEKVGRDVLKYPDLFPIVESVVEQCAGLPLAIVTVASSMKGITNVHEWRNALNELSRRVRGVTGLDEKVLQQLQFSYDHLEHERVQHCFLYCALYPEDYSIHERILIELWIAEGLVEEMDSQQAELDQGYTILNELKNNCLLENCEYPELVKLHDLVRDMALRITRPRFLVRAGLQLKEIPHVQEWTKDLEKVSLMSNYGLQIPSQMSPPNCQMLTTLLLSDCGIKSIPDCFFEQMKGLKVLDLSGNNFRILPSSIFNLEALTVLLVRRCDYLEEVPSFSKLEALKKLDLSGRAINYVPRGIFPKFSCLRDLVIFDDDQPVCVRGEEIGELRKLLYFKGWFYDLNELNTFVQTSQSRGQRLIRYYVVVGLKKIPNDSPWDKYIELSGCKICRNGVKFPSDLQQLRINYGIVDFPEEEVFFPCFIPTPNGMFSFLKEIYIFRCEKIKKLFTCSWVLSNLPNLEVLTVEICHGMEEIIASEMEFVEEERMGGSNSNTIQFTLPKLRKLDLRLLPDLKSICGANRVMVCDSIEKITIDHCPKLQRIPLYLPLLDDGQPSPPPSLKEFFISSREQLRSAEWDHPNAKSVLEPFITHWRL >EOY13008 pep chromosome:Theobroma_cacao_20110822:7:5284255:5285297:-1 gene:TCM_031515 transcript:EOY13008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIPGFFIICMLHSIVALTSGALMIFYTKEVSVFGHGHEIASKLQGSTPHDQLLIQTSESFSGLLLFTIGFVLFMVAFVKDTEFQSFFARGCVLLHVSMAIWRVSFEGKLEDLAHEWPRQALGDMALALSWLFLLAYSWREKYD >EOY13574 pep chromosome:Theobroma_cacao_20110822:7:9320931:9323473:-1 gene:TCM_032175 transcript:EOY13574 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED finger-nbs-lrr resistance-like protein MVKKLSGFDIAKSGRLEILHDDLPTKDVVLSGCQVGSEDPVALPSDLCCLRIFECHNLRTLSDISLFQQTNELRFCSIHDCRGIESVLDFSSSSLSPCTPFQKLELLWLENLDNLHMLVKVGDVSTSSTALPLLGIFSHLKSIRIERCSNMKQLFPHELGHDLQILEYLVVANCGKMEEIIASEEEENHKGKGTHSPMMFSLPKLRKLKLKKLPKLKSICSSNTAMKCDSLGDIAVRECPNLKRMPLHLPVFQDTDPSSSHSDVTIRLPPVSHPLPIEEFPLSMLTEPLAAESSLSVRLQLSPALRFLHFPVVRCVAFEGSTGEK >EOY12252 pep chromosome:Theobroma_cacao_20110822:7:1487337:1487961:-1 gene:TCM_030815 transcript:EOY12252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDMQTGTASNQQLECGAVGTIVLMLPLMLITMVYVGLDANLAGNAVGDSVLVQTLVPSTVADSEIDFLGGACASNSMACLHLHNLCHHGLFHDPLGHHHCLPLLDCLVTGLSVHRRAAWKYCLVTGFSVHRRATSKYLIQ >EOY13705 pep chromosome:Theobroma_cacao_20110822:7:10275098:10277691:1 gene:TCM_032343 transcript:EOY13705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nine-cis-epoxycarotenoid dioxygenase 3 MASSASASAAVAAATGNCLKPKFPPSTSSSAPDLGSISSSIPFKRPTIKPNISCSLQTPSILHFPKQSPTYPPSPTPASSPTTKHPKNTSSAEKPQSQQWNPFQRAAAMALDVVENALVSHERNHPLPKTADPRVQISGNFAPVPEQPIKHRLPVTGTIPECIQGVYVRNGANPLHEPVAGHHFFDGDGMVHAVQFKNGSASYGCRFTETSRLVQERAFGRPVFPKAIGELHGHSGIARLLLFYARGLFGLVDPSHGTGVANAGLVYFNGHLLAMSEDDLPYHVRITPSGDLKTVGRYDFDGQLKSTMIAHPKVDPVTGEFFALSYDVIQKPYLKYFHFSADGKKSPDVEIPVESPTMMHDFAITENFVVIPDQQVVFKLPEMIHGGSPVVYDKNKMSRFGILDKNATDDSGISWVEAPDCFCFHLWNAWEEPQTDEVVVIGSCMTPPDSIFNECDESLKSVLSEIRLNLKTGKSTRRPIISESEQVNLEAGMVNRNLLGRKTRFAYLALAEPWPKVSGFAKVDLSTGEVKKYIYGDQRYGGEPLFFPRNPNSENEDDGYILAFVHDEKTWQSELQIVNAMNLQLEATVKLPSRVPYGFHGTFISSKDLEKQA >EOY13719 pep chromosome:Theobroma_cacao_20110822:7:10353211:10353941:-1 gene:TCM_032358 transcript:EOY13719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEETMAKFQNLGRFTTEVAPPQLISVAKPPLRKMLATIAEEEKEFRDDEVTGPAGRPTIRLSRKFERSILFLITKPI >EOY14057 pep chromosome:Theobroma_cacao_20110822:7:19585471:19586203:-1 gene:TCM_033227 transcript:EOY14057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKCLETGQSSTKETLRDERKGENPMAPRKESELRVSKHKKHTCSKRRFEPDQNKRLMKKQKSLESKLAGLKKVMNHEELQEYQEKLGQLEQNLAEIRMDISWAKAINDKSQIYLNSIIARLFS >EOY12255 pep chromosome:Theobroma_cacao_20110822:7:1492354:1495359:-1 gene:TCM_030818 transcript:EOY12255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-1-phosphate guanylyltransferases,GDP-D-glucose phosphorylases,quercetin 4\'-O-glucosyltransferases MMLRIKRVPTVLSNYQKDEAEETARRSGGCGKNCLRSCCIPGAKLPLYAFKKVTNTQSEKGVRGNENKEPPVAFLDSLVLGEWEDRMQRGLFRYDVTACETKVIPGEYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEEVLFQFAASEDGEVQFFPNAPIDVENSPSVVAINVSPIEYGHVLLIPRILECLPQRIDRQSFLLALCMAAEAGNPYFRLGYNSLGAFATINHLHFQAYYLAVPFPIEKAPTRKITTLSDGVIISELLKYPVRGLVFEGGNTIQDLSDTVSDACICLQDNNIPYNVLISDCGKRIFLLPQCYAEKQALGEVSPELLDTQVNPAVWEISGHMVLKRRKDYDEASDENAWRLLAEVSLSEERFREVNALIFEAIAIGETIAETLLDEPDTKTQSLEIENAITKSSHRPMVAGTHECLVLQ >EOY13021 pep chromosome:Theobroma_cacao_20110822:7:5347314:5348600:-1 gene:TCM_031523 transcript:EOY13021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance-like protein MVTFKGFIMNTEDPIVLPSDIQQLQLRKCKGGRSSLNGIFGLKDVTDLKECTIIDSCNGLESIFSSWCASLQTLEVLHMRSLRNLEAIVGESVLSTPGTFSSLKEIHSVWCGKLKNLLSAKWVFRNLEEIEVRSCIGMEEIIASEIEGMSTDNVVMFTLPRLKILKLVHLPELKSICKTKEVMVCDSLQQIEIWNCPKLERIPPHLPLLELDNSQPSPPPCLKEICIDPEECWESMEWDHPNARNVLLPLLKFRNARKTQWKAV >EOY13258 pep chromosome:Theobroma_cacao_20110822:7:6794401:6796289:-1 gene:TCM_031775 transcript:EOY13258 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-mannose binding lectin protein with Apple-like carbohydrate-binding domain, putative MSLSPSLTMSLLSFSILLLFSFNTRAAVPPSATFKFVNQGEFGPFVVEYDGNYRVLSIANAPFQLAFYNTTPNAFTLALRMATTRSESLFRWVWEANRGNPVRENATFSLGTDGNLVLADADGRIAWQSNTANKGVVGFKLLPNGNMVLHDSKGKFIWQSFDHPTDTLLVGQSLGIGGATKLVSRASAQKNVDGAYSLVIEPKGLVLQYKGLNSPKPLVYFKSSVWPSTQDGTLQSVTLNVKPDSASDGSAYDVLLDYTVANSSFGTGNLILTRPKYNSTLSILRLGVDGNLRVFTYFDKVDSRAWEETFTLFSRDSIWGTECELPERCGSFGLCEENQCVACPSPNGLLGWNKNCQPQKLTSCRPNDFTYYKLDGVNHFMSQYNEGQGPMKESDCGRKCTSDCKCLGYFYHRETSKCWIANELKTLAATSNSSHVGYIRAPKK >EOY12536 pep chromosome:Theobroma_cacao_20110822:7:2657371:2657992:1 gene:TCM_031053 transcript:EOY12536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKCPNLTSMLLFPSLHQDLTLIDTSTRPLQQTLKLKMKMTEASMTSEEASSSSSGSTCPSYYSTALPLCNLKCLTLMKIHDLEAVRRVPTKSHFSCTLNIERLA >EOY12073 pep chromosome:Theobroma_cacao_20110822:7:781621:783435:1 gene:TCM_030673 transcript:EOY12073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine acetyltransferase 3, mitochondrial, putative MKVLVTPRPLPLPLYSKSPPFSHPHFSSSIFQSSKQPHKLSKSMAACIDASRTTEPSQLSQRPDRSQPDENRYKYVNFCRPTFPDHVSSMPFNKNRTKTIPTRKLVDFPFEEEGEEDVDALWLAMKEEARLDVEQEPILSNYYYSSILSQNSLESALANHLSIKLSNSSLPSSTLFDIFMGVLVEDQGIIRAVKEDLRAVKERDPACVSYVHCFLNYKGFHACQAHRVAHNLWSEGRKVLALLIQNRASEVFAVDIHPGAKIGSGILLDHATGVVVGETAVIGNNVSILHKVTLGGTGKVSGDRHPKIGDGVLIGAGTCILGNIKIGDGAKIGAGSVVLKEVPPRTTAVGNPARLVGGRENPIKLDKIPSFTMDHTSHIAEWSDYVI >EOY13875 pep chromosome:Theobroma_cacao_20110822:7:12148990:12164544:1 gene:TCM_032570 transcript:EOY13875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, putative MSMESETGSTTQVSRLKFYRTTLCLAYQSFGVVYGDLCTSPIYVYKSTFSGRLQPYSEDHEIFGVLSLIFWTLTIIPLLKYAVFVLGADDNGEGGTFALYSLLCRHSRMGLLNTANVADEHVSTDKSEEPIEDTRTSLLIKQFFEKYHSSRVVLLLVVLLGTSMVIGDGILTPTMSVLSAVSGLQIKFTDLNENHTVFFACIILVGLFALQHYGTHRVGFLFAPILIGWLICITGVGIYNIFHWNPHVLCAVSPHYIYNFFKRAGKDGWSSLGGIVLCITGAEALFADLGHFSPLSVRIGFTAVVYPCLVLAYMGEAAYLSKHRMNLQSSFYKAIPEAVFWPVFIIATLATVVGSQAIISATFSIISQCRALSCFPRVKIIHTSKKIHGQIYIPEVNWMLMMLCLAVVNGFRDTDMIGNAYGLAVITVMFVTTCLMFLIIITVWNRSVFLALLFVLAFGSVELLYFSACLAKAHKGGWLPLLVSAIIMSLMSIWHYGTLKKQSFELDNKVSLDSFLALVPGLGITRIPGIGLVYSNVVSGVPPMFAHFVASFPAFHQILIFVTLHNVMIPKVPADQRFHVARVGPPEFCFYRCIVRFGYKDVGDSYDFQTKLIEKVSEFLKRESISEEFAAIGQSPLPVKDAGTMCYEIIAGGAGRRRQAAGWKMSRSSNEVEELMEAKESGVSYMMANTHIRANEASSFLKKFVINIIYGFIRRNSRRPAVALGIPHTSLLEIGILYQV >EOY13755 pep chromosome:Theobroma_cacao_20110822:7:10627172:10628795:1 gene:TCM_032397 transcript:EOY13755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein isoform 1 MKTRSILRLSSSTISCKKSDSMFVSVRQISTGGKRPKKKIYHRDYQLDKVMDLQKKPSLILQLKSIIQSQNQQCLLLRDLEKEVGFVAKWNFMSIIEKYPSIFHAGGGSGKQLPFVTLTRKAEKIASEEREARVLMESILVKNLRKLLMLSIDCRVPLEKVEFFGSELGLPKDFKKSLIWKYPEYFSIKDVNGRAYLNLENWDSSLAVTAREERFAREGVLASAGGLKKVRIMKDGNYLGPFAFKMCFAAGFRPNKSYLEELQRWQKMEFPSPYLNARRFDVADPKARKRVVAVLHELLSLTMEKRMTSAQLDAFHSEYLLPSKLVLCLIKHHGIFYITNKGARSTVFLKEAYDGTRLVDKCPMLMFNDKFVTLSGRNEISSFNSVNSSQVVT >EOY13756 pep chromosome:Theobroma_cacao_20110822:7:10627050:10628603:1 gene:TCM_032397 transcript:EOY13756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein isoform 1 MDLQKKPSLILQLKSIIQSQNQQCLLLRDLEKEVGFVAKWNFMSIIEKYPSIFHAGGGSGKQLPFVTLTRKAEKIASEEREARVLMESILVKNLRKLLMLSIDCRVPLEKVEFFGSELGLPKDFKKSLIWKYPEYFSIKDVNGRAYLNLENWDSSLAVTAREERFAREGVLASAGGLKKVRIMKDGNYLGPFAFKMCFAAGFRPNKSYLEELQRWQKMEFPSPYLNARRFDVADPKARKRVVAVLHELLSLTMEKRMTSAQLDAFHSEYLLPSKLVLCLIKHHGIFYITNKGARSTVFLKEAYDGTRLVDKCPMLMFNDKFVTLSGRNEISSFNSVNSSQVVT >EOY12439 pep chromosome:Theobroma_cacao_20110822:7:2234209:2237560:1 gene:TCM_030954 transcript:EOY12439 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain, putative MSYEMEDTDDESTEPTTQYEGNANKAISRPVSCGIKRKSTKPRSKTRGHSAKFVNEDGEPCNHCDSEFHADHKRVCRKISHTDDEADSDLEGSWKFDQEAIQRSLAEMIILDELPFKFVESTEYRLWMSLVCPRFEVPSRWTIARDCYQLYIEEKISLKKFLQSSSHRVSFTVETWTSLQQEDYMSLTAHFIDNDWKLNKKMLNFFRVSSCRGDANGKAILMCLRDWGIDKVFTITVDNASTNDDAISFLKNRFNLFGMGILRGKYVHLKCISDIINLILKNFERAFERFEDEDPFYKDELLLGDGVPDSHDWVNVRRLVLFLQPFYELTFKVAGSLCVTSNIFLGEICEVYRLLQDWQSSSDAEFSTMAKRMKEKYDKYWGNIGKMNVLLYVAVVLDPRNKLDFVEFCFKVMYAEEEAEVMTKEVKEVVFELFNDYKRMMELSQSKQVSDRSQMSQKTQATGDTNLLQKKKRGLSSDFKKYRESRCTEQKTELDKYLSQELVDDDDDDDDEFNILDWWKSNSRKFPVLSHLARDVLAIPISTATSKSAFNTGRCELDAYRGSLTPKVVQSLVCARDWLRGPSHLKPTDFEEEMEEIDKLDLEFSKIEFELHRIRGKVFSSFSSLAMKMFH >EOY12769 pep chromosome:Theobroma_cacao_20110822:7:4095354:4099475:1 gene:TCM_031297 transcript:EOY12769 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-nonaprenyl-3-methyl-6-methoxy-1,4-benzoquinol hydroxylase MSAAAGRKAWIVATTIGAVEALKDQGICRWNYTIRSLHQHAKNNIRSFSQAKMLSSSSSSAAVSSKLREEKRRKAEEKMRKVMDLNCWGPNTAKEFLTMSGAERKVWVVAATIGAVEALKDQGICRWNYTIRSLHQHIKNSIRSFEHQAKMLSPSSSAAASNKLMSKSEERMRKVMDLSCWGPSTIRF >EOY13027 pep chromosome:Theobroma_cacao_20110822:7:5419757:5424110:-1 gene:TCM_031534 transcript:EOY13027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein MPLHPFFNESIPKFGAGTSYNMDLIGPIIEVIKFIGQPARKYLKNHRKFSEYVDDFKQAQADLHDRKGDIHQQLQDEHCFGKKPKQEVESWLKKAGEKLGHAQHVEDKVRKGNYLFRSCLGKLVDETTQTIKEVYGQGHFSNGLVVDDPSIIRVKLPTPELTGTTTITEKIYQYLMGDGVRMIGVYGMGGIGKTTIMKDVHNRLLKEAKFNKLIWATVSQDFDVRRLQKDIASQLKENLPDHENTIVRAAKLSEMLRKQGSYVLILDDVWSSFSLEDVGILEPTTDNGCKLVLTTRSEEVVRSMGCKKVQVSCLSMDEAMQLFLSKVGQDMLLNLTLESIMKLVVEECDGLPLAIVTIAGCMRGISDPLVWQNALNELRGYIRNIQDMEDKVFGCLKFSYDRLEQKDQDCFLCCALYPEDYEIKKEEIVEYWMEQGLVDEMGTRQGMQGSGNSILQKLEENCMLERVREGTHVKMHDVVRDMALHITRERFLVKAGMQLEELPDKEEWSEDLEKVSLMRNSISKIPQNMQSPKCQKLTTLLLSNNCFEEIPESFFEHMLNLKILDLSLNLIGNLPDSISNLENLTALLLRECYKLENLPSLSKIRALKKLNLERTSINKFPQGLEMLVNLRYLNLGFTFVLEEIPDGILSKLYHLQHLIIHPASSRVEEMKTLNKLELFEGCFTNVHDLSMYAGQRKRPNKHQIWVSHKLIDQWFYNKYRSLSFDRDYSKMVTFVGFNMNTEDPIILPSDIRQLQLLEFKGGRSCLNNLFGLINVTDLKECTIQSCHELESIFSSWCASLHTLEVLRLTGLWNLKFIVGGSIPPTPGTFSSLKVIYLSICGKLKNLFPAKWVLQDLQNLEQIEVRSCKGMEEIIASEKEGMSTNNNVMFTLPKLRKLTLYRLPELKSICKTNQVMVCDSLQRIEILDCPKLKRIPLYLPLLELDNSQPSPPASLKEICIHPKECWESVEWDHPNAKNVLLPLLKFWDKRNNQWKQAV >EOY12553 pep chromosome:Theobroma_cacao_20110822:7:2749730:2751177:-1 gene:TCM_046858 transcript:EOY12553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein MAESEHSSSEDASMDSIEDHNKQDSELQFSEDEETLIIRMFNLVGERWTLIAGRIPGRTAEEIEKYWNTRYSTSQ >EOY12938 pep chromosome:Theobroma_cacao_20110822:7:4904055:4905707:-1 gene:TCM_031439 transcript:EOY12938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin M-type 4 MAAATLTFSPITAAAAASSSLVSDRSISIRLPEFRGLKIKPRAASATQASRSVSRDPRRLGRGGRVVCEAQETAVDVPSVKDDTWQSLVLDCKLPVLVEFWAPWCGPCRMIFPIIEELSKQYAGKLKCLKVNTDESPSIATRYGIRSIPTVMIFKGGEKKDAVIGAVPKSTLTTCIEKFL >EOY12992 pep chromosome:Theobroma_cacao_20110822:7:5183291:5185283:1 gene:TCM_031497 transcript:EOY12992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hevamine-A precursor MAIKSAISLAFISSVILMLIVGIDAGGIAIYWGQNGNERTLAETCATGNYDFVNIAFLPTFGNGQTAMINLAGHCDPYSNGCTSLGSDIKSCQAKGIKVMLSLGGGAGSYYLASSKDARQVATYLWNNFLGGQSSSRPLGDAVLDGIDFDIEGGTNQHWDDLASYLSRYSTHGKKVYLTAAPQCPFPDAWVGGALKTGLFDYVWVQFYNNRQCQYTPGDIANLEDAWKQWTTDVPATKIFLGLPASPEAAGSGFIPVSDLTSQVLPAIKDSAKYGGVMLWSKYYDDQSGYSSAIKNDV >EOY12959 pep chromosome:Theobroma_cacao_20110822:7:5040477:5041102:1 gene:TCM_031466 transcript:EOY12959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGFKAYVAFGVLVIMTSRAVMAHDVDPIKANNCETKMTTHCVFEVFASIFKTGTVSDNCCHELIGLGQLCHNALVKKTLENLLFKNNNISVILLRAAQVWNKCTLAGEDVSPTSSP >EOY13725 pep chromosome:Theobroma_cacao_20110822:7:10395661:10396857:1 gene:TCM_032365 transcript:EOY13725 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase delta-subunit gene MASFQQTSISLQSKLLPSSQLPRALPSLNLSFSATFPSLKLSTTRPLQGGAKMSATAASSYALALADVAKSNNTLDSTSSDIEQVEKIFSDPQVIDFFANPTIDAIKKRQVLDDIVKSSGLQPHTANFLNILVDAKRIDIIKEIVKEFESVFNKLTDTELAVVSSVVPLESQHLAQIAKQVQKLTGAKNVRIKTAIDPSLVAGFTIRYGNSGSKLIDMSVKKQLEEIAAQLDLGDIQLAV >EOY12580 pep chromosome:Theobroma_cacao_20110822:7:2855164:2856195:-1 gene:TCM_031092 transcript:EOY12580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium/sodium hyperpolarization-activated cyclic nucleotide-gated channel 1 MYLLSYYVYSMDIFAMSYSSSKQQSTKGQIAAIVQALSSSIRFGFQTAMASTLLHFLSPPHHLYLSNLPIDSTVKPLHFTIKCTSADSNSVPHQPDFPSPISHDTTISPDSFPIEKRRRSEILRERKPRPDIAKPEPPNFEVGWKRTKEINLEKPKGYVIMDFLEKLEGLMGREFGSTELLAKAGEIVAERAREEAEVLRDEGKVEERMVTELFRVLRLMEMDLAMVKAAVKEETLGERLEQAKARCRQAILVANSF >EOY12979 pep chromosome:Theobroma_cacao_20110822:7:5134103:5134620:1 gene:TCM_031487 transcript:EOY12979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNIYIAFCVLVIMTSGAAMAHDVDPIKANNCETKMTTHCVIEVFVSIFKTGTVSDDCCHELIGLGQFCHNALVKKTLQNPLFKNNDTSVILSRAAQVWKKCTVVGEDVSPTPSL >EOY14077 pep chromosome:Theobroma_cacao_20110822:7:20030626:20031667:1 gene:TCM_033272 transcript:EOY14077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDVRHSSISELRSQLEECNALFGGGPLAMQPASKVDIPKPKEFKGQWSAQDINNFLWGMEQYFRATNIVHDGKKINTALMYLTDLVCLWWRRKCDERHGEPTISTCADFVHELKV >EOY13962 pep chromosome:Theobroma_cacao_20110822:7:15671483:15672471:-1 gene:TCM_032894 transcript:EOY13962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEYPLSHSTNQKKQIQSKAKTKEESVSCSTCLPPRPKGSNSKQKRVFSSTTKAKPCKTDQQPKEGNSGLAYSPSGYALNFDDDRLDKENDPYYPSFSSRFASPQGQLKY >EOY13387 pep chromosome:Theobroma_cacao_20110822:7:7949716:7953099:1 gene:TCM_031951 transcript:EOY13387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAMSGDVEFNKQATIRLLHLVHKGKKGSVIASLGDLCGEGNRLIEGPLLMRPKFDSEQFDFVMRFVTSFL >EOY12950 pep chromosome:Theobroma_cacao_20110822:7:4999290:5002496:-1 gene:TCM_031454 transcript:EOY12950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein isoform 1 MNPMSICSSSSSSSSSSSSSTAKAWIVHSILAGTAIAVAIGARAYLGRAKKFRSRVVGIIPARFASSRFQGKPLVQILGKPMIQRTWERSKLATTLDHIVVATDDEKIAECCRGFGADVIMTSESCQNGTERCNEALEKLEKKYDIVVNIQGDEPLIEPEIIDGVVKALQAAPDAVFSTAVTSLKPEDAFDPNRVKCVVDNHGYAIYFSRGLVPFNKSAKVNPHFPYLLHLGIQSYDSEFLKIYPKLQPTPLQLEEDLEQLKVLENGYKMKVIKVDHEAHGVDTPEDVEKIESLMRENNLS >EOY12949 pep chromosome:Theobroma_cacao_20110822:7:4999053:5002609:-1 gene:TCM_031454 transcript:EOY12949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein isoform 1 MNPMSICSSSSSSSSSSSSSTAKAWIVHSILAGTAIAVAIGARAYLGRAKKFRSRVVGIIPARFASSRFQGKPLVQILGKPMIQRTWERSKLATTLDHIVVATDDEKIAECCRGFGADVIMTSESCQNGTERCNEALEKLEKKYDIVVNIQGDEPLIEPEIIDGVVKALQAAPDAVFSTAVTSLKPEDAFDPNRVKCVVDNHGYAIYFSRGLVPFNKSAKVNPHFPYLLHLGIQSYDSEFLKIYPKLQPTPLQLEEDLEQLKVLENGYKMKVIKVDHEAHGVDTPEDVEKIESLMRENNLS >EOY12951 pep chromosome:Theobroma_cacao_20110822:7:4999029:5002686:-1 gene:TCM_031454 transcript:EOY12951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein isoform 1 MNPMSICSSSSSSSSSSSSSTAKAWIVHSILAGTAIAVAIGARAYLGRAKKFRSRVVGIIPARFASSRFQGKPLVQILGKPMIQRTWERSKLATTLDHIVVATDDEKIAECCRGFGADVIMTSESCQNGTERCNEALEKLEKKYDIVVNIQGDEPLIEPEIIDGVVKALQAAPDAVFSTAVTSLKPEDAFDPNRVKCVVDNHGYAIYFSRGLVPFNKSAKVNPHFPYLLHLGIQSYDSEFLKIYPKLQPTPLQLEEDLEQLKVLENGYKMKVIKVDHEAHGVDTPEDVEKIESLMRENNLS >EOY14042 pep chromosome:Theobroma_cacao_20110822:7:19070693:19084302:1 gene:TCM_033180 transcript:EOY14042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTQIVTGCETSLSHKSDQCSKFIPQTEYISINQAIMLVTFHMSTSSHIQIHRASVISKLGIILITYKSLRHYQRT >EOY14232 pep chromosome:Theobroma_cacao_20110822:7:22376134:22378484:-1 gene:TCM_033523 transcript:EOY14232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGELIELQVALGECSSSFNMEKAVCNHGLFMMSPNVWIPSTKSLRRPLRLADSSGSVYVTISHPAPNHPFLVIQVNGLQNSISSADKAVIMEQVARMLRISSKDERDVREFQTLHGSAKDRGFGRIFRSPSFFEDAVKSILLCNCGWKRTLTMARALCALQLQLASAHLQHKRVASNSNVKISTKRLKHKKYTKASSTSELSMSGFDQSIGNFPTSTELACLDEKYLNERCNLGYRARCILQLARKVENGELELNKLEESSDTTSYERFYQKLMKIKGFGPFVCSNIMMCIGFYERIPFDSETIRHLKMVHGKGKCSRKTIEKDIEEIYGKYAPFQCMAYWLELLDEYENKFGKLSELESSSYHLATGSLHLTE >EOY13656 pep chromosome:Theobroma_cacao_20110822:7:9876325:9876991:-1 gene:TCM_032285 transcript:EOY13656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIERGKSSAELRLVIVKGEVCMEMFGEPYETKDLFTLYGTLQLLRFYPGKVPNLDLFVLTGDKKRIKKTDYPGPNATSPPPLFHYCGEEEALDIVFPNWTF >EOY14131 pep chromosome:Theobroma_cacao_20110822:7:21244961:21246344:1 gene:TCM_033402 transcript:EOY14131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MKSTANISVDQLALLALKARVNSDFLAANWSTATSICNWVGVTCGSRHHRVIALDLFGMKLFGTIPPDMGNQSFVVSLDIGSNYFHGLLPIEMDNFRRLKILILSNNNFEGKISFWFGSFVKLQRLELECNNFMGVIPVEIGNLPSFKYLYLQHNQFSSSIPSSVFNISSLAEIVLAGSQLSGSIPSIQFNMSSQQRIDLSYNNLTSRIPSDMFDHLPGLNLLTFSFNLLSGPIPMNLFKCQKLDVLSLFYNYLEGTIPKEIGNLTMLKNLFLGSNNFKGKSKINVEMHFSIFLILFALFGWLL >EOY13697 pep chromosome:Theobroma_cacao_20110822:7:10201201:10208085:-1 gene:TCM_032337 transcript:EOY13697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMFSNAVSDGDANRAELFAIKKVFKLFGASKWVGSRALMIESDFNNAILWTSEPKKAPRKLKRESRSLESFKRVVGVWSISKIFREDNRTADELAKSGLHRHTKYLSTTHVLKNEPPSSGNVSLFLLVIAFS >EOY12444 pep chromosome:Theobroma_cacao_20110822:7:2248039:2250547:1 gene:TCM_030959 transcript:EOY12444 gene_biotype:protein_coding transcript_biotype:protein_coding description:C globular stage-like protein MAKEHSHSIFQLSRDEKLICPKDRKTLVRTARFLKPCVQTVSQAVTVPNTPLLFDIFSQNLKQWPETVDIKGWHVSQKRWEEWVDRMAGKCGALWNLTGICDAIMSSRYEIRCNKDSILGLVEFWCPETNTFVFPWGEATVTLEDVMILGGFSTLGESVRRPVEGKSVKIEEELNRKRLIMSRNKSRKATHGCWIKHFMEEEREYEHVAFLSLWLSRYVFPSLPEKIVAKHVFPVAIHLSSNTRMALAPAVLASLYKNLTLLKNQAMSSREEMSMTASGPLRLLQLWAFERFPSLGPGIPNTLKPGEPRAA >EOY13826 pep chromosome:Theobroma_cacao_20110822:7:11217441:11223209:-1 gene:TCM_032481 transcript:EOY13826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFHRSLRRSMSPPATLSRRWLTRPLTLNSSNRRQGTPTFIDRRRFQSSLFTSSKTPKSLFQPTVKKRSPLPDLKHPKLRSDSPSRQY >EOY12507 pep chromosome:Theobroma_cacao_20110822:7:2417342:2418208:1 gene:TCM_030994 transcript:EOY12507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 2 member 2 precursor MALTVKQQFFLALAIAFPFFRVAIFGDPDIITDFVVPAGANAIELDGKFFTYTGMRRLVISDPPANFTVTKAAMPEFPALNGQSVSYAVLHYPAGSVNPPHTHPRAAELLFLTYRTLEVGFVDTANRLLTQGLQAGDMFVFPKGLVHYQLNCDEKNFAIAISAFGSAAAGTVSVPSTVFATGIDDEILAKSFKTDVATIQKLKAGLAPKA >EOY14133 pep chromosome:Theobroma_cacao_20110822:7:21284854:21287161:1 gene:TCM_033408 transcript:EOY14133 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-TU receptor MSMKSNTNISTDQLALLALKTHVNSDLLSTNWSTATSICNWIGVTCGSRQQRVTALHLFGINLSGTIPPHLGNLSFFILLDIRNNNFYGALPIELAKLHRLKSLWLDNNNFNGEIPSWFGSISKLQNLSLSGKKFIGVIPSSLCSLSKLELLYLDYNNLQGQIPVAIRNLSKGTIPKEIKNLTMLKILYLGINDFQGPIPTNIVNLTLLEFLDFSSNNLTGHGGMISKYSNPHIKQPIVSSLRIICTTVT >EOY11912 pep chromosome:Theobroma_cacao_20110822:7:334769:335694:-1 gene:TCM_030566 transcript:EOY11912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative MKQKIVIKVPMHCDKCRTKAMKIAAVANGVSSVAIAGNDKDQVVVTGEGIDSANLTCLLRKKLGYAAIISVGEDKKKDGDKDKKPEIHQCSSFGCCQCPNYCTLHYGVVYDDPNPTICSIM >EOY13194 pep chromosome:Theobroma_cacao_20110822:7:6473344:6483971:-1 gene:TCM_031717 transcript:EOY13194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyltransferase 9 isoform 1 MIPSGIYSLRSIIRLPQKPILPSLLRSRKTLTTTSSSVSINKEREKKEKVIVISGPTGAGKSRLALELAKRLNGEIISADSVQVYQGLDVGSAKPSSSDRKEVPHHLIDILHPSEDYSVGQFFEDARQATRDILDSGRVPIVTGGTGLYLRWFIYGKPDVPKASLEIAAKVYSELADFERDGDWEAAVQLVVKAGDPKAQCLAANDWYRLRRSLEIIRSSGSPPSAFQIPYNSFRHQFDSSETIDSYDLNSSADAPEQDTVKDLDYEFICFFLSSPRLDLYRLIDLRCEHMLSETDGILSEARWLLDMGLQPNSNSATRAIGYRQAMEYLLRCREQGGMSSTRDVYYFLSEFQKASRNFAKRQLTWFRNEHIYHWLNASRPLEKVLNFVYDAYNDESGTLDVPESLKMKKDVSNRREASELKAYHTRNRHFVNREDCSDILDWIRRTQG >EOY13195 pep chromosome:Theobroma_cacao_20110822:7:6473687:6483971:-1 gene:TCM_031717 transcript:EOY13195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyltransferase 9 isoform 1 MIPSGIYSLRSIIRLPQKPILPSLLRSRKTLTTTSSSVSINKEREKKEKVIVISGPTGAGKSRLALELAKRLNGEIISADSVQVYQGLDVGSAKPSSSDRKEVPHHLIDILHPSEDYSVGQFFEDARQATRDILDSGRVPIVTGGTGLYLRWFIYGKPDVPKASLEIAAKVYSELADFERDGDWEAAVQLVVKAGDPKAQCLAANDWYRLRRSLEIIRSSGSPPSAFQIPYNSFRHQFDSSETIDSYDLNSSADAPEQDTVKDLDYEFICFFLSSPRLDLYRLIDLRCEHMLSETDGILSEARWLLDMGLQPNSNSATRAIGYRQVYFCNGIPVEV >EOY12546 pep chromosome:Theobroma_cacao_20110822:7:2723587:2726884:-1 gene:TCM_031066 transcript:EOY12546 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 10 precursor MKMPWMKLLCLAFLCISLRIQLCYGQDNTDDYSDPVAAPPPGQDNCNGVFLSYAFTSRNKEYPHVKNITAQSWAFKSIATIMNAGTEEVKGWKMFVGFQHREILVSATNAVVVDGSGDFPVAVGNGTTLSGYPMTDLKTSIDTAGDYNQIAVQVEFTGTMFGLKEKVIPMPKTIRLANDGWNCPQPTKHTTYMHVCCKKNPKFKAKPVRGKYLPRQYGDLNFMYDVLQAYEGSYVAQVTMDNNSPLSRLDHWNLTWEWMRGEFIYSMRGAYTHKIDYSDCIYGLAGQYLKDFDFSQVMNCQKKPVIADLPADRANDTTVGKIPYCCRNGTLLPPLMDESKARSIFQLRVYKLPPDTSKTALYPPQRWNITGVLNPHYKCGPPIRVDPTEFPDRTGLQATTSAIASWQVVCNITKPEKKKTKCCVSFSAYYNDSAIPCSTCACGCDNIDTDTCNPNGRSMLLPADALLVPFENRTAKAKAFAHLKHRAVPKKLPCPDNCGVSINWHVNSDYKTGWTARITLFNWGDSPFEDWFAAVQMNKAFPGYENVYSFNGTKLQSVKNTIFFQGLPGLNYLVAERNGTKRHDPRVPGKQQSVISFTKKHTPGINIRHGDGFPSKVFFNGEECALPDDFPRSAGHSSRVSVSAAIFIVILTFLLMTDRFHL >EOY12921 pep chromosome:Theobroma_cacao_20110822:7:4843369:4845292:-1 gene:TCM_031423 transcript:EOY12921 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI/FBD-like domains-containing protein, putative MANSACQESNVSSKCQKLSDQETFLDRISQLPDVLLQHILSFLPTKEAMATSILSKRWLWLWTSVPVLDLEDSLFCKSNGKLRTDFIRFVTEVLILNKVASLDKFRLKFHRVYPPSSVNTWLWAAAARGIQELDITIHEFSPLLKLPCALFTAKTLHILKLTHGIVLDVPGTVSLPGLKVLHLALIKYASDASVSRLFAGCPVLQELLVQKFDGDNALALNISISTLKTLSISFSTGRGAHKLKINAPILEYLNLQDNLPLEYDIENVSSLVEANVTVSFLENRHIPLVKALSNVKCLSINWDWYTSLTLGSCKTFPLFLNLVRLELSIGCGGWSVVSRFLENSHNLEVLVLAKNANCRGLGHECCWRPPKSVPKCLLSPLTLVYFRGFEGLTYQLRVVKFILKNARVLKMMEICTNGISDPPSDSKFDMLRKLLMFPRGSKACELQFN >EOY12972 pep chromosome:Theobroma_cacao_20110822:7:5084626:5087709:-1 gene:TCM_031480 transcript:EOY12972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNSYVAFGVLVIMASGAVMARDVDPIKANNCETKMTLRCVNEVFASIFKTGLVTDHCCIELIGLGKFCHDALIKKTLENPLFKNNDTSVILSRGAQVWNKCTLVKKDVSPSPSPY >EOY14335 pep chromosome:Theobroma_cacao_20110822:7:24166930:24171897:-1 gene:TCM_033719 transcript:EOY14335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNETSRRLSRARWDFQCAKEGKMRWFWMDGNLFMTKENRIYVPRSEGLHKEIMRKHHNSLWVRNLIAKRTKALIVACYYWPKLTKDVEAISETPPNSPQPEAAQMVDQEEQRALSHQKEGRPHNLQAGDAARA >EOY13570 pep chromosome:Theobroma_cacao_20110822:7:9268932:9269602:1 gene:TCM_032166 transcript:EOY13570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCRHHKNDINRGPKSHLTTCHRRACAHREDHGPVIDKETAANPHAQSQKNRDMEDYLTPTCASSSATVLASLLICTSCHVLTSCFNKQLVGQVI >EOY12821 pep chromosome:Theobroma_cacao_20110822:7:4293246:4294737:1 gene:TCM_031339 transcript:EOY12821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWCGKAMEIYRQNYNGNISIKLMISCQPDHEFAVALICVLKTTTSILCLGYIKERIESGGRRGGHHFLIFNYYYY >EOY14099 pep chromosome:Theobroma_cacao_20110822:7:20724230:20752564:-1 gene:TCM_033345 transcript:EOY14099 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MPPKTRAASRRAGEQDAPIEMTDRPRASTQRGRGRRGRVTRPVGLDTPVSRQEEGQSSGEVDRHPAGGITIEDLAAGLQGVNRVVEMMATRMEDIQRVVERRPTVQESPSFQGQTDHQHHDEERGHLDISLLDFLKLKPPTFSGSDASEKPQVFLDKMEKICKALGCSSVRSVELAAFQLEDVAQEWYSSLCRGRPTNATPLAWSEFSAAFLDRFLPLSVRNARAREFETLVQTSSMTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVESLFRAVASRDFTTYSAAVDRAQRIEMRTSESRAARDRAKRGKTEGFQGRRDFRSGGSSSSRQGPQRDSRLPQQGSDAPGANIRVGQKTFSSRRQQDSRKSSQVIRSCDTCGRRHSGRCFLTTRTCYECGQPGHIRRDCPMAHQSSDSARGSTQLASSAPSVAVSSGREVSGSRGRGAGTSSQGRPSGSGHQSSIGRGQARVFTLTQQEAQTSNAVVSGILSVCNMNARVQFDPGATHSFISPCFASRLGRGRVRREEQLVVSTPLKEIFVAEWEYESCVVRVKDKDTSVNLVVLDTLDFDVILGMDWLSPCHASVDCYHKLVKIGDVTQVSVVKEFVDVFPEELPGLPPEREIEFCIDLIPDTRPISIPPYRMAPAELKELKDQLEDLLDKGFIRPSVSPWGAPVLFVKKKDGSLRLCIDYRQLNKVTVKNKYPLPRIDDLFDQLQGAQCFSKIDLRSGYHQLRIRNEDIPKIAFQTRYGHYEFLVMSFGLTNAPAAFMDLMNRVFKPYLDKFVVVFIDDILIYSKSREEHEQHLKIVLQILREHRLYAKFSKCEFWLESVAFLGHVVSKEGIQVDTKKIEAVEKWPRPTSVTEIRSFVGLAGYYRRFVKDFSKIVAPLTKLTRKDTKFEWSDACENSFEKLKACLTTAPVLSLPQGTGGYMVFCDASGVGLGCVLMQHGKVIAYASRQLKRHEHNYPIHDLEMAAIVFALKIWRHYLYGETCEIYTDHKSLKYIFQQRDLNLRQRRWMELLKDYDCTILYHPGKANVVADALSRKSMGSLAHISIGRRSLVREIHSLGDIGVRLEVAETNALLAHFRVRPILMDRIKEAQSKDEFVIKALEDPQGRKGKMFTKGTDGVLRYGTRLYVPDGDGLRREILEEAHMAAYVVHPGATKMYQDLKEVYWWEGLKRDVAEFPLPVLEWKWEHIAMDFVTGLPRTSGGYDSIWIVVDRLTKSAHFLPVKTTYGAAQYARVYVDEIVRLHGIPISIVSDRGAQFTSRFWGKLQEALGTKLDFSTAFHPQTDGQSERTIQTLEDMLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWLEVGERKLLGPELMQDATEKIHMIRQRMLTAQSRQKSYADNRRRDLEFQVGDHVFLKVSPTKGVMRKYNPDPSHVIRYETIQLQGDLTYEEQPIAILDRQVKKLRSKDVASVKVLWRNHTSKEVTWEAEDDMRTKHPHLFDM >EOY11969 pep chromosome:Theobroma_cacao_20110822:7:484885:488128:1 gene:TCM_030603 transcript:EOY11969 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase I subunit 43 isoform 4 MAGQGEERGEEKKKFSIWDLPDVPAGQLPPHLELQRTRVLCNKDAPIHTESVLYSGAYASMGVDNSLRLDHFCHNFRVEVVRLTEEDMEFDMIGIDAAIANAFRRILIAELPTMAIEKVLIANNTSVVQDEVLAHRLGLIPISVDPRLFEYMSENDQPNEKNTIVFKLHVHCKRGSPRITVKSDELKWLPNGSELIKESRKVASDSASKPETYTSFSCSQDTLPEFANNPIAPKYPDIIISKLGPGQEIELEAHAVKGMGKTHAKWSPVATAWYRMLPEVVLLEEVEDDLAEGLKSKCPVNVFDIEDLGKGKKRATVARPRACTLCRECIRDEEWEKRVAIHRVKDHFIFTIESTGALPPEVLFTEAVKILEDKCERVITELS >EOY11971 pep chromosome:Theobroma_cacao_20110822:7:484885:488178:1 gene:TCM_030603 transcript:EOY11971 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase I subunit 43 isoform 4 MQLLPMHSGGYSLLSFQQWRLKKYSLQTTHQWSKMKFLPIENDQPNEKNTIVFKLHVHCKRGSPRITVKSDELKWLPNGSELIKESRKVASDSASKPETYTSFSCSQDTLPEFANNPIAPKYPDIIISKLGPGQEIELEAHAVKGMGKTHAKWSPVATAWYRMLPEVVLLEEVEDDLAEGLKSKCPVNVFDIEDLGKGKKRATVARPRACTLCRECIRDEEWEKRVAIHRVKDHFIFTIESTGALPPEVLFTEAVKILEDKCERVITELS >EOY11970 pep chromosome:Theobroma_cacao_20110822:7:485261:488178:1 gene:TCM_030603 transcript:EOY11970 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase I subunit 43 isoform 4 MPLFIVEVVRLTEEDMEFDMIGIDAAIANAFRRILIAELPTMAIEKVLIANNTSVVQDEVLAHRLGLIPISVDPRLFEYMSENDQPNEKNTIVFKLHVHCKRGSPRITVKSDELKWLPNGSELIKESRKVASDSASKPETYTSFSCSQDTLPEFANNPIAPKYPDIIISKLGPGQEIELEAHAVKGMGKTHAKWSPVATAWYRMLPEVVLLEEVEDDLAEGLKSKCPVNVFDIEDLGKGKKRATVARPRACTLCRECIRDEEWEKRVAIHRVKDHFIFTIESTGALPPEVLFTEAVKILEDKCERVITELS >EOY11972 pep chromosome:Theobroma_cacao_20110822:7:485486:488178:1 gene:TCM_030603 transcript:EOY11972 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase I subunit 43 isoform 4 MGVDNSLRLDHFCHNFRVEVVRLTEEDMEFDMIGIDAAIANAFRRILIAELPTMAIEKVLIANNTSVVQDEVLAHRLGLIPISVDPRLFEYMSENDQPNEKNTIVFKLHVHCKRGSPRITVKSDELKWLPNGSELIKESRKVASDSASKPETYTSFSCSQDTLPEFANNPIAPKYPDIIISKLGPGQEIELEAHAVKGMGKTHAKWSPVATAWYRMLPEVVLLEEVEDDLAEGLKSKCPVNVFDIEDLGKGKKRATVARPRACTLCRECIRDEEWEKRVAIHRVKDHFIFTIESTGALPPEVLFTEAVKILEDKCERVITELS >EOY11956 pep chromosome:Theobroma_cacao_20110822:7:440883:442996:-1 gene:TCM_030590 transcript:EOY11956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQRRNTWSEICMPASSSSKSRFMFKSKVASRRSYTEWIKKSTKNSGELDADIERILRGTRELQLESNSIQERLQLMFICGEVKKDPVRRRAAH >EOY13957 pep chromosome:Theobroma_cacao_20110822:7:15569697:15572359:1 gene:TCM_032881 transcript:EOY13957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQHICHVAGISHTIIRNDKDVASILQDERIENDVEEYDMEDWNDELQDDCENDYIGEHDDYSEDDRSEHNNIPDCNHADDSIGHDTTIVLEEVQCNDYATTVELKDVEAVKPIYDNPIALENDIHLLDDSDQETVNTRVSHQWIIPRVDMISFQIVATEESNSMEDHLYHEKVFPSKVKLKRALSMLALKEHFEIRVKKSCHARLEIGCKEKARNFALHATKLLEGEYWRVQTLQKVHTCTVDSLQCGYQTASARLIDELFSTRLQGNYVTPLRPKEIMEEMNHMWGLQCLYGKAWYAKKYAESLVFGPLKESCQLLTSYFHMLERENPGTITVVVADGEQRLKYYFWTFRSCIGGFSAVMLLVVAINAIHLKGRFKGILFVMHLGIKNAVEKMYNDARHSLCNYVKNKFKREDVATIFTLAANYDKLTNFNKHMNQLK >EOY12017 pep chromosome:Theobroma_cacao_20110822:7:635423:637794:1 gene:TCM_030635 transcript:EOY12017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate/nicotinamide mononucleotide adenyltransferase isoform 2 MEIPLPLNKLSLPSITNGDSVYVVLVSTGSFNPPTLMHLRMFELARDALNSDGFCVIGGYMSPVNDAYKKKGLIAAEHRTELCNLACKSSEFIMVDPWEANQSTFQRTLTVLSRVKSFLTEGGLIPKESLKVMLVCGSDLLQSFSIPGFWIPEQVRSICKDYGVVCIRREGQDVEKIITDDEILNENRDNIKIVDELVPNLISSTKVRECISRGLSIKYLTVDEVIDYIRKHHLYLNLDEK >EOY12018 pep chromosome:Theobroma_cacao_20110822:7:635635:637643:1 gene:TCM_030635 transcript:EOY12018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate/nicotinamide mononucleotide adenyltransferase isoform 2 MHLRMFELARDALNSDGFCVIGGYMSPVNDAYKKKGLIAAEHRTELCNLACKSSEFIMVDPWEANQSTFQRTLTVLSRVKSFLTEGGLIPKESLKVMLVCGSDLLQSFSIPGFWIPEQVRSICKDYGVVCIRREGQDVEKIITDDEILNENRDNIKIVDELVPNLISSTKGMHFKRIVYKIPDSR >EOY14132 pep chromosome:Theobroma_cacao_20110822:7:21262768:21268584:1 gene:TCM_033406 transcript:EOY14132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MIDVVSTIEYLHHGQTIPVAHCDLKPNNVLFDEDMVAHLGDFGIAKLLDEKDSTVQTITLATIGYMAQVMLKLLDFSFIHYDNSGKIIAYFDDGFNHLHVEYETQGVVSIKGDAYSFGILLIETLIRKKPTDEMFVGEMSLIHWVTESLSCALTQVVDANLLISTKDREHFAMKDCTLSILQMALECLVELSEERIDMKNVVAQLKKIKIRFLKDSNKQA >EOY13654 pep chromosome:Theobroma_cacao_20110822:7:9868306:9869562:1 gene:TCM_032283 transcript:EOY13654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLYILGLRVKTCYSLILALPHPNFTMSKPKTELPLLSLMTLALFLFPSACLATSENKDCGGSSVCGNLTISHPFRLKSQPKCNDTDCRVELECENDNRTIWVMKNGKFYVQDIFYSNFTIRLVDGSLDADNCSLPRTSVTCDYDLPCDGLGLPGTIPNTMYLVNCSRPMNSSLYVDASLCTNSSPHPPSYFYFLDQETPSRDFNPFCTVINEWIPIMIENITGLSSLDIYEKLLIGSDFSLEEYHCYQEHKPSISQKFHTM >EOY11832 pep chromosome:Theobroma_cacao_20110822:7:54559:59499:-1 gene:TCM_030505 transcript:EOY11832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel F isoform 2 MTGAGEYSDQRHLLRSNSRKDEDDDDDNDYDDDLESQMSNNHNNAFTDLFKHLDRGFSARRISFKRLDRDRDRSSPSSIDHHHNHHAYVMDAADALGDSAPPEWALLLISCLLGVASGLFVAAFNKGVHVIHEWAWAGTPVEGAAWLRLQRLADTWHRILLIPVTGGVIVGMMHGLLDILNQIRQSSSSQQQGVDLVAGVFPTIKAIQAAVTLGTGCSLGTEGPSVDIGKSLANGFSLMMENNRERKIALVAAGAATGIASGFNAAVAGCFFAIETVVRPLRAENSPPFTTAMIILASVISSTVSNALLGTESAFTVPSYDLKSASELPLYLILGMLCGVVSVVFTRLVSWFTKAFEFIKEKFGLPAVICPALGGLGAGIIALKYPGILYWGFTNVNEILHTGKTASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVTNQNKEPEVSDTRNIARGYSSVTAAEELSVIEKVADNEVVDEDTLLEDLRGHVKEVCKGVNGCYFKRSNEMHA >EOY11831 pep chromosome:Theobroma_cacao_20110822:7:54569:59434:-1 gene:TCM_030505 transcript:EOY11831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel F isoform 2 MTGAGEYSDQRHLLRSNSRKDEDDDDDNDYDDDLESQMSNNHNNAFTDLFKHLDRGFSARRISFKRLDRDRDRSSPSSIDHHHNHHAYVMDAADALGDSAPPEWALLLISCLLGVASGLFVAAFNKGVHVIHEWAWAGTPVEGAAWLRLQRLADTWHRILLIPVTGGVIVGMMHGLLDILNQIRQSSSSQQQGVDLVAGVFPTIKAIQAAVTLGTGCSLGTEGPSVDIGKSLANGFSLMMENNRERKIALVAAGAATGIASGFNAAVAGCFFAIETVVRPLRAENSPPFTTAMIILASVISSTVSNALLGTESAFTVPSYDLKSASELPLYLILGMLCGVVSVVFTRLVSWFTKAFEFIKEKFGLPAVICPALGGLGAGIIALKYPGILYWGFTNVNEILHTGKTASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVTNQNKEPEVSDTRNIARGYSSVTAAEELSVIEKVADNEVVDEDTLLEDLRVSRAMSKKYVKVSMAVTLKEAMKCMHDSHQNCVLVVDEDDFLEGILTYGDVRRCLSKKPKDVSNGDSTALDVKCLVSSVCTRGISYCGQERGLLTCYPDTDLAIARKLMEAKGIKQLPVVKRRGEPHKGRKRRIVAVLHYESISNCLREEINHRKSVHQHRKENNLEEMANGL >EOY11830 pep chromosome:Theobroma_cacao_20110822:7:54649:60720:-1 gene:TCM_030505 transcript:EOY11830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel F isoform 2 MTGAGEYSDQRHLLRSNSRKDEDDDDDNDYDDDLESQMSNNHNNAFTDLFKHLDRGFSARRISFKRLDRDRDRSSPSSIDHHHNHHAYVMDAADALGDSAPPEWALLLISCLLGVASGLFVAAFNKGVHVIHEWAWAGTPVEGAAWLRLQRLADTWHRILLIPVTGGVIVGMMHGLLDILNQIRQSSSSQQQGVDLVAGVFPTIKAIQAAVTLGTGCSLGTEGPSVDIGKSLANGFSLMMENNRERKIALVAAGAATGIASGFNAAVAGCFFAIETVVRPLRAENSPPFTTAMIILASVISSTVSNALLGTESAFTVPSYDLKSASASDIRSKSNSLFNEEIDEFAALLIFGIKLCFLATFVPDFGNAMWCCGLGAGIIALKYPGILYWGFTNVNEILHTGKTASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVTNQNKEPEVSDTRNIARGYSSVTAAEELSVIEKVADNEVVDEDTLLEDLRVSRAMSKKYVKVSMAVTLKEAMKCMHDSHQNCVLVVDEDDFLEGILTYGDVRRCLSKKPKDVSNGDSTALDVKCLVSSVCTRGISYCGQERGLLTCYPDTDLAIARKLMEAKGIKQLPVVKRRGEPHKGRKRRIVAVLHYESISNCLREEINHRKSVHQHRKENNLEEMANGL >EOY11886 pep chromosome:Theobroma_cacao_20110822:7:231061:246514:-1 gene:TCM_030542 transcript:EOY11886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, putative MGNNGFLLPLMVFVVMLSNSVTTLFAESPNIATDQLALLALKAQVTYDPQNLLATNWTSATSVCNWTGVTCGSDHQRVTTLDLSNMSLIGTIPPHLGNLSFLSQLIIRFNHFHGPLPMELANLSSLKYINFGHNNFHGEIPSWFDSFTQLQSLFLYHNNFSGIIPSSLGSLSNLEKLMLYDNYLEGHIPIAIKNLSNLKWLHLDNNQLSGQLPSTLFDHLPKLQDLVLEYNFFSGRIPTSLFKCQELEVLSLSTNALEGSVPQEIGNLTKLSEVYLYSNNLTGEIPSIIGSLPFLEYLDLSDNNLTDVRNNNLNDTFPNWLGTLNLQVLILRRNRFHGLIDNFEGKFSFSHLRIIDLSHNDFNGLLPMNFFGNLHAIRSGSENKGDSNYMMYIGSDQVKYFYDSLYITTKGLDMEFPNILKILMVIDFSNNQFHGQIPEILGELHSLIVLNLSHNGLTGPIPSLLGNLSALESLDLSSNKLEGKIPTQLVNLIFLEVLNLSWNNLMGLIPRGNQFDTFTKDSYMGNLGLCGLPLSKECSNEQNLEPRRIKSDEDGDAVNWKFSILMGYGCGLVLGLSMGYIVFITGKPRWLVRIIERRQRKYDIRGKIHKGGGRK >EOY12149 pep chromosome:Theobroma_cacao_20110822:7:1141665:1145586:1 gene:TCM_030740 transcript:EOY12149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MNQQSSLKMYRRGDFDLFLKGLICIAVFPLLATVCLGGKVHESESFLSFIRSVDPQNKLETQWNELSQNPCLLKSKGVKCNLQGTSILEIRLENLNLSGVIDAHALCMLQNLEVLSLARNLVHGTIPSSISYCTRLRYLNLSSNSLTGRVPGTLTKLKYLRSLDISNNHFTPIGPRVKREFKHVYKYSKEPVALQRDSHLKTTGHDEQAPAPPKDSSDKKSNNLLLGIVPLVLGSGLFFLLLYYLSKRADKTTKEKEILNALKESPLKFPPVGATEEAKPEDRHQELVFFVEDHESFKLDDLLEASADLRSQSLCSSLYKVILKNNATYAVKRLKKLQVSFEEFGQTMRQIGNLKHRNILPLVGYNCSNEEKLLFYKYQSNGSLLNLLKDYIEKKKDFPWRLRLTIASGIARGLAFIYQSSNDQEIIPHGNLKLSNILLGENMEPLISEYGVSRLLNLQKQRLFSSNGYTAPEKSLSEQGDVYSFGVILLELLTGKTVEKTGVDLPKWVRSMVREEWTGEVFDKEVSKAALQWAFPLLNIALKCVSHSPQDRPAASEVLEKINEALFAHEDLSVSSMSSFESGHPDCCILHSVIPETWDTPGSNC >EOY11879 pep chromosome:Theobroma_cacao_20110822:7:178911:194673:-1 gene:TCM_030535 transcript:EOY11879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation exchanger 5, putative isoform 1 MQSLDDRSTHEVDDNLGRDARHSVNAVDRQQAFSVSLPDGGRGIGVYKSIKTVVLSKKFNLLIPFGPLAIVVQKTTGQNGWVFILGLLGIMPLAERLGYTTEQLAFYSGPTVGGLLNATFGNATELIISIYALRTGKIRVVQLSLLGSLLSNLLFVLGCAFFFGGIVRKEQVFSKPTAVVNSGLLGVYKSIKTVVLSKKFNLLIPFGPLAIVVQKTTGQNGWVFIFGLLGIMPLAERLGYTTEQLAFYSGPTVGGLLNAAFGNATELIISIYALRTGKIHVVQLALRGSLLSNLLFVLECAFFFGGIVRKEQVFSKPTAVVNSGLLLMAVMDLLLPAFLHSTHKEVHLGISELALSRFSGCIMLPAYIAYIVFQLKNLNDLEESQNGDNLDDDEEAPEISKWESVIWLAFMAAAISILSEYLVDAIEEASLAWGVPVAFITVILLPIGGNTAAVTTAVMFAMKNKLDVSLGVAIGSSTQISMLWIPLLVVIGWIFERPVDLNFQLFKTATLVMTVLFVAFLMQEGTANYFKGLMLLFSYLIVSASYFVHEDPSS >EOY11880 pep chromosome:Theobroma_cacao_20110822:7:179144:194688:-1 gene:TCM_030535 transcript:EOY11880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation exchanger 5, putative isoform 1 MQSLDDRSTHEVDDNLGRDARHSVNAVDRQQAFSVSLPDGGRGIGVYKSIKTVVLSKKFNLLIPFGPLAIVVQKTTGQNGWVFIFGLLGIMPLAERLGYTTEQLAFYSGPTVGGLLNAAFGNATELIISIYALRTGKIHVVQLALRGSLLSNLLFVLECAFFFGGIVRKEQVFSKPTAVVNSGLLLMAVMDLLLPAFLHSTHKEVHLGISELALSRFSGCIMLPAYIAYIVFQLKNLNDLEESQNGDNLDDDEEAPEISKWESVIWLAFMAAAISILSEYLVDAIEEASLAWGVPVAFITVILLPIGGNTAAVTTAVMFAMKNKLDVSLGVAIGSSTQISMLWIPLLVVIGWIFERPVDLNFQLFKTATLVMTVLFVAFLMQEGTANYFKGLMLLFSYLIVSASYFVHEDPSS >EOY13266 pep chromosome:Theobroma_cacao_20110822:7:6837574:6841027:-1 gene:TCM_031781 transcript:EOY13266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 53, putative MGCCSMWLYRMLCFLFAFLLFQVNSSSISLLSSRPPQSCPSEHSSALIQFKNSLSLVSDSEICRDSYPKTESWNQSIDCCSWEGVTCHSLTGRVIGLDLSCSLLEGNLPSNSSLFLLQDLQWLNLAHLNFIDSQIPSEFSKLRSLTHLNLSYTGFHGSIPSSLGNLTKITFLHLKSNNFEGQIPDVFGNFKKLIMLDFSFNNFHGLFPSSVFNLTGVTDMAFNNNHLGGPLPYNISGLSNLQRLFLSANLLDGRVPGWLFSLPVNLALLDLSSNNLSGNIESCMLSKLRNLQELDLSNNSLLSLSSCSNDVNSTFPKLNILCFSSCNIHQFPSFLRASETLSHLDLSNNKIQGSISKLEAEGLESLTYLDLSFNLLTNVDQFPGKTLQTIDLRSNSLQGPLPTPPHSIHHLLISENELTGEIPSGFCNITFPQVLDMSKNNLSGIIPRCLANYRFLSVLNLRMNNFDGKIPTMCTDEGSWLRSLNLNNNQLEGPIPRSLVNCSELEFLDLGNNNLNDSFPRWLGVLSSLQILVLRSNKFHGPVPNLRSTSFFTSLRVIDISQNEFNGHLPTKFFQNLKGMKDIHEQPAGPYYIGELYYKDSMILTIKGLERTFEKILDIFTTIDFSSNQFEGQVPEVVGELKDLLVLNFSHNSLTGQIPSSLGNLLALESLDLSSNKIEGRIPMQLTNLIFLAVLNLSQNNLVGPIPRGYQFNTFTNDSYIGNLQLCGFPLSKECGESEGTEAPPSIFDEDDDNGRALTWKFAMMGYGCGLVLGLSMGYIVFTAGKPAWLVKIIQRAPNQKVRRQIQKN >EOY12422 pep chromosome:Theobroma_cacao_20110822:7:2204775:2205634:-1 gene:TCM_030944 transcript:EOY12422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKQVNLKLFTAARSGNLQILQDIIRDAAATVYDAKTPEGNTVLHFAARFGHKPLVGELITGCPSLVHQSNFKGETLLHVAAKAGRDDVVGLLIDSKTDKPGLCIGWIRDNSGNSPLHGAVRNGHSKDLVPCVPILFKDEQIILWRGKRDQELNSDISDATMKSQDT >EOY12512 pep chromosome:Theobroma_cacao_20110822:7:2439785:2647606:1 gene:TCM_031004 transcript:EOY12512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLFHLLLSRMSLGLMILNSRKRRDANDLPTNSLPAIFEMQDDLILLISFQSRLLLN >EOY12988 pep chromosome:Theobroma_cacao_20110822:7:5167533:5170255:1 gene:TCM_031493 transcript:EOY12988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPDLLETLVVYLAFVLYAIGFAFFLFSILFQCWGLFFNRNRNQQVDVESSAGDIESGETSNPPPPPPVHVLSAISEAWLPDIPGAKPLTMYAASDECAICLEDFKEKEVCWVLVKCDHVFHKPCAEEWLKRSLSCPLCRTNANPIVNVNQNQQGDVESSAGDIELGETSNPPPPPPPPRVHVLPAISETWLPDIPGAKPLTMYAASDECAICLEDFKEKEVCWVLVKCDHVFHKPCAEEWLKRSFWWPLCRTNA >EOY12008 pep chromosome:Theobroma_cacao_20110822:7:611813:613400:-1 gene:TCM_030629 transcript:EOY12008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor family protein MISVMGDNRFARKDCVMKKQSFVEGKKRERGKEDPEINAMFDAVKRRRKVEKSSEEISLFFEKVLAELTIVAEDDAQLNREGQPAISKLKKLPFLTEVLSKKSFQLQFLDHGVLTLLKNWLEPLPDGSLPNANIRGAVLNILADFPIDLEQHYQREQFKRSGLGRAIMFLSKYDEETVSNRRVAKDLIDRWSRSIFNKSTRFSDLRNDDDIHVPVMKNPVTKPAMVDVKACDLDLSVAKEQKLSSRPSSLRQHVTKPEPASLVYTVRPQSKYNPEIARTSARQQEVQGDSRQRIEQRLKQLKASRKKPLQAAKLSAEGRRMLLSV >EOY12689 pep chromosome:Theobroma_cacao_20110822:7:3364624:3367681:-1 gene:TCM_031194 transcript:EOY12689 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein MIQDFTWNAESQAYTSSDNGGRGCGCALWFDNLIDYKQFQSVGQDLYVRMSASKSELKDKLRMELAAVIRTLLSCAFGAVYCQLLHLQKPQKVERETKDNKENDQENQGQDEDMELPIFEPHTIARAADSFSVDIKVREGGFGTVYKGTLANGQEIAVKRLSKSSGQD >EOY11978 pep chromosome:Theobroma_cacao_20110822:7:502748:506824:-1 gene:TCM_030607 transcript:EOY11978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrase/ferredoxin-like family protein MMSSSREREDPLTFSANPSSSSSPITVSDHLDSYLQDPTSHIGSASGSYSNEGLLAVEAAGPSSSDVEFGFLRPDFRQQTPISGTVQFYQRHVFLCYKNPSVWPPRIEAAEFDRLPRLLSAAVLARKTDMNKETRLTICEGHDGTETSNGDVLIFPDMIRYRRLTHFDVDTFVEEVLVKNGEWLPGTPERLDGSYVFVCSHGSRDRRCGVCGPPLVSRFKEEIELYGLQGRVSVSPCSHIGGHKYAGNVIIFGSNVNGDVTGHWYGYVTPDDVPTLLEWHIGKGEIVDSLWRGQMGLSEEEQKKFQEERHLVNGETTTEGSTKEATQRQIDEVNTTARRSQDELVSCCQGNDSACCHDSVVPENLDILDTDEGAVKLTPEKRKGSKKVIPKFTSGKAAAVRKVCAKPTWFESWEHEDTYAALAVVCAAASIAVAYSCYKQLS >EOY11893 pep chromosome:Theobroma_cacao_20110822:7:273788:275037:1 gene:TCM_030549 transcript:EOY11893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative MYAALSSSSLAAKPISSFSPISRRGKAETVRSSVQTPSFKSATAKGNKNAATAVTLARGSLYEVLRVERTASLNEIKTAYRSLAKMYHPDAMGSSSDGRDFIEIQNAYATLSDPTARAMYDMSLVGRARRVWARVYTPRTWETDQCW >EOY12738 pep chromosome:Theobroma_cacao_20110822:7:3936221:3940644:-1 gene:TCM_031273 transcript:EOY12738 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MDKKYHELPLFDFVAVASATGNFSESNKLGEGGFGRVYKGQDMAAKRLSRNSRQGLEVFENEVIILAKLQHTNLIRLLGCCIEENERVLIYEYMPNKSLDYFIFDQDKRTFLNWPKRFDIVMGIVQGLLYLHHDSSLRIIHRDLKSSNILLDNDLNPKISDFGIAKIVEGDQLEAETKQVAGTCGYMSPEYAINGKFSVKSDVFGFGVLLLEIVSGKCNRRFNHQDHQHNLLGHAWLLWNDNRALELLDPCLRHSCVESQVLRCIQVGLLCAQKLAENRPIMSDVAVMLGNEEVTLPEPKEPGFFTERRARDTDTSTDDRICCTKNSVTITMLEAR >EOY12691 pep chromosome:Theobroma_cacao_20110822:7:3386010:3428915:-1 gene:TCM_031201 transcript:EOY12691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPM1, putative MSYCWRVKKLFPVELLQGLQNLETIRVRHCEKMEEIIASEEDQKGEGTTFILPKLHSLWLQNLPQLKSICSGGLMIPADSLQYLYIIKCPEVKRIPLSLPLVENGKPSPPSSLQKITAWPREWWESVEWDQPDAKDVLSPFLQYSGL >EOY13033 pep chromosome:Theobroma_cacao_20110822:7:5457957:5470354:-1 gene:TCM_031540 transcript:EOY13033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional methylthioribulose-1-phosphate dehydratase/enolase-phosphatase E1, putative MAVATTVAVNGGLKMGSVSQAYLESKAVKETRSLISELCRQFYNLGWVSGTGGSITLKVHDSCIPKPQQLILMSPSGVQKERMEPEDMYILSGDGSIITSPSPKPYPHKPPKCSDCAPLFMKAYHIRNAGAVIHSHGMESCLVTMINPLSKEFRITHMEMIKGIQGHGYYDELVIPIIENTAYENELTDSLAKAIEAYPKTTAVLVRNHGIYVWGDSWISAKTQAESYHYLFDAAIKLHQLGLDWSTPDHGPIQNVKGVSGINGRINVSTKAGTVDSNYKLGSFPHCIVLDIEGTTTPISFVTDVLFPYARNNVGRHLSATYATAETQDDINLLRSQVVDDLKQGVLGAVPIPLEDVGKEEVIAALVANVEAMIKADRKIPALKQLQGHIWRTGFENNELEGVVFDDVPEALQKWHSLGVKVYIYSSGSRLAQRLIFGNSNFGDLRKYLSGFFDTAVGNKRETRSYVEIFESLGVDKPSEILFVTDVYQEATAAKAAGLEVVISVRPGNGPLPDNHGFKTINSFLEI >EOY13346 pep chromosome:Theobroma_cacao_20110822:7:7656868:7658919:-1 gene:TCM_031890 transcript:EOY13346 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein, putative MTTIRALFLVIFSSAFLVLLPPCTTHTLQTCGFDAIYQLGDSVSDTGNLIREDPSSVYARLPYGATFFKNATGRCSNGLIMIDYLARSAGIPFLDAYLNENSTHSSGVDFAVAGATALPVEILARRNITATVTNSSLTMQLDWMFTHFNTTCETDKGCFKKHRTALFMVGEIGANDYNYALFEGKNLEEIRAMVPEVVQAIKDAAERVIDYGGSRLVIPGNFPTGCLPMFLIVFQTNDTTAYDKFHCLKDLNNLSIYHNNHLKKAIEELRKEHPNVIIVYGNNYQAYHWLLSKAKILGFDTRKACCGIGGDYNFSIMKMCGAAGVPVCSNPDKHLSWDGAHLTQEAYKFMATWLIHDIYPKLQCQSST >EOY14052 pep chromosome:Theobroma_cacao_20110822:7:19522720:19540022:-1 gene:TCM_033215 transcript:EOY14052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHLIHVYDYNKVPKIAQPPKPSDQRVHNTRRRARIMEEEQSERMDRMERAQEEIREQLAKMMELMMSLSKGKRAIEEPAPLENPPAQDSENQRDDPSYPPGFTPPHAQTSQRDHPQVMPSIYYNAPPPLGHQPTQGQFGPYLGINPAEPIHVPDLDDPKEQEKLRKDSLTGSAARWYVQLDRNRIKTWKDLARAFIAQYKHVAELAPDRLSLQTMEKKQSENFKEYAQRWRDTAAQVQPPLTDKEMTVLFINTLRAPFYERLIGNATKNFADLVLSGEIIEGAIKSGKIEGHEVANSKKWSTPKKKEGDVQAVAHDSQQAHNFNPYYPYPPYQPFYPHIGNITQNPYVYQPVPQPTFQTNVLPQTPPPRPVASTNNPGHGQRGPKTTPERPKFDPIPVPYTTLLPQLIENRLLARTPLEPLRPPFPKWYDPNAHCDYHFGIQGHSTENCTALKHKVQALIKAGLLNFAKKDNSNVDGNPLPNHGGPTVNAIHERMIRRVKKNVNEIRTPMDRVFEALSKIKAITPKPIEIKEVGHDLTLSCKFHMGVVGHSIQNCDGFRLKLQELMDLSEIEFYEESEEEEFWKKTIPFLYETFRFVGCKASDEVNQVPRVFDELSIHMIKDEEPDGKIPVVYPVLPGEELSNWTATELPIIFKSSKILPPDLSRMLEQEEKEILPHQELTEMINLGNGEEKKEVKIGTSLSSDERQKLEELLREYVDVFAWSYQDMPGLNTDMVVHKLPLEPDCKPIKQKLRRMKPEMLLKIKEEVKRQFDAGFLEVAKYPEWVANIVPVPKKDGKVRMCVDYRDLNRASPKDSFLLPHIDTLVDNTAKHALFSFMDGFSGYNQIKMAPEDMEKTTFVTMWGTFCYKVMPFGLKNAGATYQRAMVALFHDMMHKEIEVYVDDMIAKSHTERDHTVNLKKLFKRLRKFQLKLNPAKCTFGVTSGKLLGFIVSEKGIEVDPDKIRAIQELPPPKTQKEVRGFLGRLNYIARFISQLTCKCDPIFKLLRKRDPGEWNEEWSAIADFLADRANEDYESVSFDFPDEDLMAVLHVEKVGPNELNPWKVYFDGASNALGHGIGAVLISPNGKYYPATARLNFNCTNNMAEYEALVLGLQAAIDIKADAIDVYGDSALVICQMKGEWETRDPKLVPYKKLVTELSKQFKEISFNHLPREENQIADALATLAAMFKIKEAADVRPFDLEVREVSAHCLNVEEEVDGKPWYHDIMQYIKHQTYPENVTDNDKRTLRRLAMGFFLSGEVLYKRSRDQEESLPKKAKKSYPTSSDRGA >EOY12718 pep chromosome:Theobroma_cacao_20110822:7:3674180:3680337:-1 gene:TCM_031243 transcript:EOY12718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRDKTPSFASTMGHDMTLSFAAAMERDMIMYDVRRLAIARDHVMSKDDHSLICYDVMNIRLPFWFNVIPFFTLSTLDGVFWQQIMVTIMDMKHPNNDISPIVYIITFGLSLALFLLTTILSGVTAFDDILKRLNIISAPTLNLKETKVPVGFSAIATCLLLFIVGIFCWLLVDVDKVVKQQKDNICSVILYVIANSLGFNIFLVMACFFGITLIAGIVQGSSKAAVTPVSDHEMPVEPNS >EOY12021 pep chromosome:Theobroma_cacao_20110822:7:657431:659442:-1 gene:TCM_030639 transcript:EOY12021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase protein, putative MDVGAAQFPYPWRRGKVLHLVRHGQAMHNVEGDKNREALLSQDLFDAQLSPLGLQQVGQLRRDVHARGLLDRIELVITSPLSRAMQTAVGVFGSDRHPKVMAVELCRDRLGVRPCDMRRKVSDYRSRFPTIDFSMMDGEDDKLWNPDIRESMEELAARGARFLKWLWTRPEKEIVVVSHGIVLQNILINIPRNDCNPQRFEHCELRSVVIMDQSIMGTDSPSLCSGHHHQEDTVLKQGSFELISLADFIK >EOY14223 pep chromosome:Theobroma_cacao_20110822:7:22306839:22309826:-1 gene:TCM_033514 transcript:EOY14223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein, putative MPCSFQGIMNFFKTITSRDGEGAAMGRKGVGKEYPTHGSLLGGKPNRCVIHPPQSSMAAEALERRNKDWIVRTKDATDLIVQVGDFSFHLHKLAMVSKSGYLKRLAFAERSGEENGSSLKIILEDLPGGTKSFELVVSFCYGWKIDVTATNIAPLYCAAIFLEMSDDLQQGNLISETEAFLSYLILSSWKDTFQILKSCESVSSWSKELLIVKRCSDAIAWKSCIDPKAFANLEEDEAFCLTLLANSHGNLKVEEPTEKWWFEDVSTLRIDHFVEVIESLKSRRMKSELVGSCIAHWTVKWFSRIISGFDNLTPKHLAQKLQRITIESIIKMLPMEKNSVSCNFLLHLLKLGLTKQINSEVLSKLERRIAFMLEQCSVQDLLVKNYGSKDTTYDVGIIIRVVKTYVLSALKYSAARVCIVGRLMDGYLTLIAKDTNLTVDDFKSLAEALPTNARYSDDNLYRAMDMYLMAHPNLTEEERTNACGAMQYHRLSEDARQHVMKNDRLPLKIVTEFMLLKQVKMARSMTACESNDHPWTKTRTIMKVTKGLDRGCLTPKKEISMIRKEVENMKMQLNQLQMCKVKLQRQVKRCIK >EOY13689 pep chromosome:Theobroma_cacao_20110822:7:10140326:10144870:-1 gene:TCM_032329 transcript:EOY13689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Interactor of constitutive active rops 1 isoform 1 MPRSRSSEIPQRQSPRGPHQLRSSSSDSDPLHHRPITDRSSPRLGDRRSPRGAPQSDPLNQKKLGTRIADLESQLGQAQEELKNLKDQLASAEAAKKEAQEELEKKTKKPKAPEPVEIREKSSPSKTLDSKKMDSSLRDEVPEDNEQETDVFEVPVEKVTIEPKVEVDQVDQVEKETKAMEISTEPPAVLEPEKPSFHDLALKDDEINMLKSKLDEKEKELGVFAQENEGLKKQLNEVTSNVSAAKTREEEMASRLRQVGEELEARKTNAAQLKEKLQAMEGQKEALEAEMKKLRVQTEQWRKAADAAAAILSGGVEMNGRISNRCSSMDKHFGGVFEPPAGGYAAYVGSPGLCDDMDDGFGSGKRKGSGIKMFGDLWKKKSQK >EOY13690 pep chromosome:Theobroma_cacao_20110822:7:10140222:10144760:-1 gene:TCM_032329 transcript:EOY13690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Interactor of constitutive active rops 1 isoform 1 MPRSRCRSSEIPQRQSPRGPHQLRSSSSDSDPLHHRPITDRSSPRLGDRRSPRGAPQSDPLNQKKLGTRIADLESQLGQAQEELKNLKDQLASAEAAKKEAQEELEKKTKKPKAPEPVEIREKSSPSKTLDSKKMDSSLRDEVPEDNEQETDVFEVPVEKVTIEPKVEVDQVDQVEKETKAMEISTEPPAVLEPEKPSFHDLALKDDEINMLKSKLDEKEKELGVFAQENEGLKKQLNEVTSNVSAAKTREEEMASRLRQVGEELEARKTNAAQLKEKLQAMEGQKEALEAEMKKLRVQTEQWRKAADAAAAILSGGVEMNGRISNRCSSMDKHFGGVFEPPAGGYAAYVGSPGLCDDMDDGFGSGKRKGSGIKMFGDLWKKKSQK >EOY13196 pep chromosome:Theobroma_cacao_20110822:7:6484348:6487582:1 gene:TCM_031719 transcript:EOY13196 gene_biotype:protein_coding transcript_biotype:protein_coding description:F3N23.34 protein MKTQSAARIQSLFPVLLASLLVLGTAQLVFDSLKSGRSYVFQFYGKPEKQRKSVFVLPEDRMDDSCNLFEGQWVWDNVSYPLYKENSCPYLVKQTTCLKNGRPDSFYQNWRWQPNACKLPRFDPLKLLDILRDKRLMFIGDSVQRAQFESMVCLVQSVIPKGKKSFQRIPPRKIFKAKEYNASIEYYWAPFIVESNSDHATNHTVLKRLVNLDSISKHGKSWEGVDVLVFESYVWWMYRPQINATYGSVDNVEEYNITTAYRIALETWANWLESNINPHLQKVFFMSMSPTHLWSWEWKPGSNENCFNESYPIQGSYWGTGSNLAIMDIIHDILQELKINVTFLNITQLSEYRKDAHTTVFGERRGRLLTKEQRADPKNFADCIHWCLPGVPDTWNEILFAYLLQSYQNFL >EOY13794 pep chromosome:Theobroma_cacao_20110822:7:10859045:10867161:1 gene:TCM_032439 transcript:EOY13794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Topoisomerase II-associated protein PAT1, putative MDASEDLKQFGDSSTGAAVFDASQYAFFGKDVLEEVELGGLDDEEAELPAVGLEQEEFLFDREEIDAIALVSVWHAICYFNEVPDFIAIYGVKLCGRSYSAMFHFSWQDTGEVLRSLSDIDDIASTFSKLNTAVSGPRGSGIIGDRGSRESSSVAEWAHGEEFRNWFDQQALETESIPEGKRWSSQPYSSVPNLDSEHLYRTSSYPEQQQQQLQHHHNQHFSSEPILVPKSSYTSYPPPGGRSPQASPNHHSGHLNIPHMAGGSQMASSPNLSSFSNSQLQLPGLHHGSHYAGNMPQFPPGLSVNNRPSNQWGSQPNLYGGDNTSVLNNMLQQQLSHQNGLIPSQLMPQLQSHQQRLQHPVQPSFGHLSGIQSQLFNPHLSPSPPLMNKFEAILGLGDLRDQRPKSAQRSRQNPRFSQQGFDNSGLKSDIGWPQFRSKYMSTDEIEGILRMQLAATHSNDPYVDDYYHQACLARKYAGAKLRHHFCPTHLRDLPPRARANTEPHAFLQVDALGRVPFSSIRRPRPLLEVDPPNSSAVSNNEQKVSDMPLEQEPMLAARVTIEDGLCLLLDVDDIDRFLQFNQLQDSGAQLRQRRQVLLEGLAASLQLVDPLGKNGHTDELAHKDDFVFLRIVSLPKGRKLLARYLQLVFPGGELMRVVCMAIFRHLRFLFGGLPSDPGAAETTNNLARVVSSCVHGMDLRALSVCLAAVVCSSEQPPLRPVGSPAGDGASLILKSVLDRATKLMIDFRAAGNYNMTNQSLWKASFDEFFNLLTKYCVNKYDTVMQSLRLQVKPDMAIDESDATRAIKREMPVDLLHACLPHINDQQKKLIWDLSQRSVLVE >EOY13879 pep chromosome:Theobroma_cacao_20110822:7:12176685:12219039:1 gene:TCM_032576 transcript:EOY13879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKRRPQPWREGGCSAFDAQATIQGPESMAPQLEELKHHIVVKSKALRPLKRKVTASCSDFGKLLL >EOY13314 pep chromosome:Theobroma_cacao_20110822:7:7515519:7519530:1 gene:TCM_031863 transcript:EOY13314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNPYDEKRLRDEVIYLHYLWHQGPPQNPNPIPQKRSRPLIRNPTNKSKRLATSGSYPPPKPDNGPDWSTLVKPPPPSSPGWPAPKSKPDHPTKPVSVEDQARLSSLKMQSKVLESCKEFFNKRVVDDEESQDEEEEEEEEEDGEEDKFFMGIFVNNSELRGYYEETHEKGEFFCLVCGGIGENVGKKFKGCVGLVQHCMSILKTKRKRGHRAFGLVVCKVLGWDIDRLPVILLKGEPLSRSMANLTQDKGDDLNFILGTQSVNADGSESVENEVSGIDQSNCELMRLGSSQIPSAEWPCIEPIDESTSTIMGWPSFKPYTAPVTHVVPAEEQTRFNMVQLQRNVFEGCKHFFSTTDESDSDENDNEVDDEDEDDLMDEDGSKECKEFNFFLRLFTENNELRSYYETNCRGGDFCCLVCCGIGKKVWRTFKDCVGLLHHSTAISKTKKKQAHRAFGLVICKVLGWDIDRLPSIVIKSEPLSHSLDDLSQCQLDGKASCNQDEPDGPMDKTDSGSASEEDIDAHQNNSMLISNEITLNEEPNKDNNLENITFEYRS >EOY13724 pep chromosome:Theobroma_cacao_20110822:7:10391061:10393472:1 gene:TCM_032364 transcript:EOY13724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TAU 19 MADELVLLDFWPSPFGMRVRIALAEKGIKHEYREEDLRNKSALLLQMNPVHKKIPVLIHNGKPVCESLIQVQYIDEVWHDQAPLLPSDPYQRAIARFWADFVDKKIYELGRKIWTTKGEEQETGKKEFIECLKLLEGELGDKPYFGGENLGYVDVVLVPFYSWFLAYEKCGNFSIEAECPKLIAWAQRCLQKESVSKSLPDQEKVYGFVLQLKKIFGIE >EOY12539 pep chromosome:Theobroma_cacao_20110822:7:2686759:2697223:1 gene:TCM_031060 transcript:EOY12539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein, putative MQVYSQSISIAKVMTICCKRRYCYSTYGFQPANTNVKKSTRIDESEALLSTASSLSMLQFDGNSIERRDANDLPANSLPAIIEMQLVGLHSNPHIHVGVKIAILVEFSRSFYLLVIAHTLLQLSCRSMAESILYGAVSNILALLASSAWQDLGSNFGWKNELEKLRGTLRTIHTIILDAEKKQESDNIVKEWISWLEGVVYDADDLVDEFDYEILRQKVLARRQVRNFFSSSNPLAYSLKMGPRIKDIRERLDAVAADMAMFNLRGRVVELEAKNTYRETGSKEESEIIGREEHKQSIIASLLQEQNDYHGSIVVIVGFGGLGKTSLAQLVFKDAKVEKFFDRRIWVNVHEEFDVCKIFNKILRSLGGSEVDELDLDLVRRQLEKVLKGLKYLLVLDDLWKEDIGWDNFTKYMVFGSPKSKILVTTRNKDVASNMGVKHPYILKSLNEDHSWALFEQVTFKGQPQIDTELTVIAKDITRRCKGVPLAIKSLGGLMRQKPKEYWFSVQENEIWKLFKEKDIVLSVLRLSYIHLPRHLKQCFSFCSIFPKDFKMSKDLLIKSWRAQGYIQGMGEKDFNELLSKSFFQEEEKDDYGNIISCQMHGLIHDLALSVAESSFYLMKDEHAEVPRGVRHVLLEKFSKEVVLALSKTKGIRTINFRYEFIGDLFLLNVIFKSFSCLRMLNLSRMNIDILPNSISKLKHLRYLDLSGNGRLAVLPNAVTKLHTLQTLLLYSCKSLKELPRDTWQLISLEYLGIDGCDDLKCLPKGLGKLTSLQRLQRFIVNSVKKGFSGAATLNELRDLNDLGNYLSIENLDMVRNVELESMETNLKGKKRLQSLQLGWKDSASRDYRKDELLLDNLQPHHYLKELSVYGYEGAKFSRWLSYLKNLVKIHIYKSWNFQHVVTLPPLDELSSLQSITLEDLPLLEHVADGMGDHPSFPSHCFQSLQQLEIRNCPKLNGWWRTRNENQGSTAELPWFPCLSKLKIINCPKLTSMPLFPSVDQELSLEHTSIRPLRQTLKMKITKSCVTSEASSSGSTCSTAFPLSNLKCLTLAAVNDVNDLEALSEEFLQNLTFLTCLTFKDCDKLELLLPQKMNCLTSLQELWVENCSNLRALLDWIPRLTSLHTLTISKDSDLQHLPEGMRRLTSLRELWVVECSNLRSFPDWILDLTSLTTLKIWDCRKFQSLPDGMNRLTSLKHLSIKKCPQFLERRAKEIGIWPDPACIPSIEIDELWIQ >EOY12788 pep chromosome:Theobroma_cacao_20110822:7:4169326:4170924:1 gene:TCM_031310 transcript:EOY12788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKNRNKKKRNGGAASMDVSEGAVPALPQAMDTSETGVRKPDSGAGKIKTKKGRPMKRSKNDRKMKAVAKAIATNEKYAEKNSKNESKKSRTQSAKLLYD >EOY14038 pep chromosome:Theobroma_cacao_20110822:7:18819706:18841859:1 gene:TCM_033161 transcript:EOY14038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTYDFFEMRDLITVAHQGDVKVDAKPCGVSIGIRGEECLSRPRDGCHGPDGEFRVLRCAGDTHTISRRDGSPDTSHSISEGSLDSTARSRWQPEPSSPKSAYSNNINVSIRGCGRNVREQPENDKEKVTVASKPLRKVSGVRYFPPGCGECCTGGESIVSGKRLHPRAEDRSISTPNTGDAKVDAKPCGVSIGIRGEECLSMPRGGCHGPDGEFWIGRPPRYEHPPLTRSVGRGRGRSQHRQLNPIEGESTASTIRAALVVEQTKTLRHPPLSPLSTSIPAMPPEAVQALTAFFIALAGQAQAGQAPPTDPPAAPSVPPPPPPSHHQCWMFLILISLKRLGNIVVFLVWSRDLRGLADLLWGPARCFHCGQPGHIRSDYPQLGRATTAAPSPPAHTDMQRRDSSRLPRRQG >EOY12238 pep chromosome:Theobroma_cacao_20110822:7:1440436:1443583:-1 gene:TCM_030801 transcript:EOY12238 gene_biotype:protein_coding transcript_biotype:protein_coding description:F11F12.5 protein MGDNREPLVDRTRFMRTISHAHDELQSFRTYLRWMCVDQSDVWTACLSWFVFILLGLVVPAMSHFLLACSTCDARHARPYDWVVQLSLSSVASLSFVCLTRFVKKYGLRRFLFFDKLCDESETVRKGYTGQLNRSLKIVSIFVLPCFVAESAYKVWWYASGASQIPFLGIVWLSDAMACMMELCSWLYRTTVFFLVCVLFRLICNLQVLRIQDFAQVFQVDSDVGSVLSEHLRIRRHLRIISHRYRAFILWCLILVTGSQFTSLLITTKANAELNIYKAGELAMCSITLLTGLSILLRSATKITHKAQSVTCLAAKWHVCATLDSFDANDGETPRIPAIHGCQSFPYVGTDGESDGEDAGDEEDDIDNSKLIPAYAYSTISYQKRQALVTYFENNRAGITIYGFTLDRSTLHTIFGIELSLVLWLLGKTIGIS >EOY13457 pep chromosome:Theobroma_cacao_20110822:7:8527111:8529929:-1 gene:TCM_032035 transcript:EOY13457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33, putative MGRLIRSHQILCLLLVLILPFGVDCSLSFSSPSLNYSTPLCLPEDSSALLQFKNTISIDDSDDPFCRESMTSSPRTNSWKDSTNCCSWEGITCDKVTGHVIGLDLSCSLLVGSLPANSTLFLLRGLQQLNLARNNFQQSQLPPTLFNLTELTYLDLSFNRLEGLLPNHVSGLQNLKKFRLTDNLLSGGIPSWLFSLPFLEYLDLSHNRLTGPIEQIQKSNSIQRLHLANNDIHGEIPSSFFDLANLTYLDLSSNNLSGSIKSDMLPKLENLETLDLSSNNFSGVIKSDLLSKLRNLKTLHLSNNKLLSLSSGSDVNYTFQELETLSFSSCNVNRFPNFLRTGKNLKDLDLSCNKIRGSIFRWELEGWEQLTTLNLSYNLLTSLEQFPGNNLKTLDLRSNLLQGPLLVPPPSLRQLFISNNSLTGKIPPLICNMTSLNTLDLSINNLGGIIPTCLGNFSYSLSTINLRMNDFHGKIPDYFVEGNLLTYLDLSDNQFEGLLPRSLINCTFLRILDLANNKLSDTFPHWLGVLPQLQVLILGFNRFHGPLDNSIVTPPFLSLQIIDLSQNEFSGLLPTNFFQNLYAMKSAREGSLPDRVKRIRYESPIDRDGQTSVNVRFKRLEMKLELEKTLLIFFAIDFSNNRLSGKIPGVIGELCSLRLLNLSHNILTGHIPSSLGNLVVLESLDLSSNTLGGRIPSQMTNLTFLAVLNVSENNLAGPIPSGNQFNTFDNDSYSGNMGLCGLPLSKQCGNVERSKSPAPKFMEDEGSAIAFIWKLAMMGYGCGVVLGLSTGYVVFTTGRPWGLVRMVERDWQKNVSKWIKRRRN >EOY12810 pep chromosome:Theobroma_cacao_20110822:7:4242375:4243965:1 gene:TCM_031330 transcript:EOY12810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVWTTRARQAANLARLSSPKSAYATPQAASLIHMRGLASGGDHHGPPKVNFWQDPMNPSRWKEEHFVIVSLTGWGLLLYGGYKYFTGGKKEGKEEEKVGQP >EOY13736 pep chromosome:Theobroma_cacao_20110822:7:10503645:10507096:-1 gene:TCM_032381 transcript:EOY13736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRPVFSHMIPEPSRKRFDQDIYEFDQGFSSPAVVMFGLFVINEYVGDMLGNEEYLSFGCVTTRNSHRARDNRREVPIGTHYPECRSEPYKA >EOY12942 pep chromosome:Theobroma_cacao_20110822:7:4939661:4946517:1 gene:TCM_031445 transcript:EOY12942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein MCKESERQALLDFKQSLQVVDRAGFEGLSSWDGKDCCAWMGVLCNSLTGYVEMLQLSGYFWLVAGTISPSLLKLQHLSVLDLSQNDFNGTLIPDFIGSLKNLTHLDLSLANFRGPIPSQLGNLSKLEILSLGGDFVNPKLVSVGNLEWLSHLTSLKYLDLSFTNLSKASDWSQVVNQLPFLGSLTMKDCDLPSAFSSFLSLVNSSTSLTDLDLSGNYLTSSAIYPWLFNVSSNLEFLDLSRNHLKGPIPESFGNMVNVVYLSVSHNQIEGGICSSFWSMCSLKYLGIESNHLSAFGFVQNTSLCAAHSLEDLTLAENQLMGSVPNEMANLSSLITLDLGYNHLNGTISKSIGQLSDLRVLQLAGNSFDNVVISEAHFSNLTKLKKLDLSYSSLTLKFNSDWIPPFQLHYIFLCSCKLGPRFPEWLRTQAKVFALDISAAKISDSLSISFWDFLGRVKYLNLSFNQINGTFPNTRVDSSYGFLQSLILSNNKFFGSISSICSFFNTADFNLIDLSNNQFSGVVPDCFAQFPNLIALNLADNNLSGPIPNSLGSLASLQMLNLRGNRFSGKLPSSLQNCTKLKFFDLSNNRLSGTISLWIGQNLSSLVFLSLQNNQFHGKIPNQLCKLKYIQILDLSLNKISGTIPRCLSNFTFMTQKVNSDQTIEHLLTIVLSNPFEKTFILNTSIELNYVDEALLMWKGTKQMYAKILGLLFVIDLSGNKLTGEIPEEITSLRELVALNLSRNLLSGKIPLKIGQLRQLQSLDLSRNNFSGCIPPSLSELTFLGSLDLSYNYLSGKIPTGSQLQLFDPSTFSHNHGLCGPPVTPNCSMETPQGQLERGEDDFDEFMKWFYAGMGLGFVVGFWGFCGAFLLKRSWRHSYFRFLDKVKDWLYVTYALQKARLERRIQT >EOY13283 pep chromosome:Theobroma_cacao_20110822:7:7055567:7102421:-1 gene:TCM_031808 transcript:EOY13283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MFLSQAPLLHSVMGNTRFIVALMVVVVVVVLPNFGVSFSIKSATTNISTDQLALLALKARVNSDLLATNWSTATSICNWVGVTCGSRHHRVTSLNLFGMNLFGTIPPDMGNLSFVAFLDIGNNSFHGSLPIALANLRRLKHLILRYNNFNGEIPSWFGSFPKLQNLSLDGNNFVGAIPTSLCSLSKLQVLSLQNNNLQGHIPVEIGNLSSLRFLDLSSNQLSGSIPSSIFSISSLLEIYLTQNQLIGSIPSIPLNMSSLQNIALTFNNLTGHISSDMFDRLPKLKGLHLSFNHLSGPIPMNLFKCPELKVLSLSYNHFEGTTPKEIGNLTMLETLYLGENNLKGKIPIEIGNLPKLEIFNFESNNISGHIPPGIFNSSTMRIIALDLNHLSGCLPWSTGLWLPKLERLLLGLNKLNGTIPTSISNASKLTDLDLSANSFSGYIPNDLGNLRDLHFLNLQFNNLASTSSSPKLSFLSSLASCKDLRVLQFTNNPLIDGELPISIGNLSISLQKLDAMDCNIGGNIPGEIGNLINLISLNIAHNELIGSIPTTIGRLEKLQGFSLEGNNLEGSIPSELCHMKILGFLYLAGNKFAGPIPECLGDLISLRHLYLDSNKFANSIPSTFTRLTDILQLNLSSNFLSGALPIDFGKWKVAIIIDFSENQLSSEIPKSIGDLEDLTYLSLSGNRLQGSIPELFGGLTELKFLDLSRNNFSGIIPKSLQKLLNLEYLNVSFNRLHGEIPTAGPFANYSIQSFMGNEELCGAPRLQTSPCKTNSAKHSRKATKLIEFILLPVGSTLLILALIVFFFQSRRKHSEQNIDRENSIGLAKWRRISYQELYQATNGFCESKLLGAGSFGSVYQGSLSDGLNIAIKVFNLEVEGSFKSFDIECEVLRNIRHRNLVKIISSCCNVDFKALVIEFMPSGSLEKWLYSHNYFLDILHRLNVMIDVASALEYLHHGQTIPMAHCDLKPSNVLLDEDMVAHLGDFGIAKLLGEEDSTVQTLTLATIGYMAPEYGTQGIVSIKSDVYSFGILLIETLTRKKPTDVMFVGEMSLKHWLTELLPFALTQVIDANLLISSKEQEHFAIKDCALSILQLALECSEELPEERIDMKNIVAKLKKIKIKFLKDSNKRA >EOY12792 pep chromosome:Theobroma_cacao_20110822:7:4179775:4183586:1 gene:TCM_031313 transcript:EOY12792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MPDLFLIWTNEDYAWHVNMHTWLHFEMRSKPPSWLTVVRGKTTCAKLTTSVTRSCNSLPPDWENPDIQAPCTCLNK >EOY12434 pep chromosome:Theobroma_cacao_20110822:7:2224273:2226635:-1 gene:TCM_030951 transcript:EOY12434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 22 isoform 2 MRRRPGIGGLQTAAAARDQYRLLGENVAKLRTDLMKEQLATFRSQLEEFARKHKELCKLLRQKRKHDREAVSEDDCLRAISKLKTLGSGFEVISAGKKKLVRSVPTELKKDHNEILELAQAQGYVTVDEVERRLSWTHGRAIDALDTLLDEGLAMIDDGHSDGKRRYWFPCVSSISSFGADS >EOY12433 pep chromosome:Theobroma_cacao_20110822:7:2224273:2226615:-1 gene:TCM_030951 transcript:EOY12433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 22 isoform 2 MRRRPGIGGLQTAAAARDQYRLLGENVAKLRTDLMKEQLATFRSQLEEFARKHKNDIRKNPAFRSQFHEMCAKVGVDPLASNKGFWAELLGIGDFYYELGVQIVEICLSTRPLNGGLIDLQELCKLLRQKRKHDREAVSEDDCLRAISKLKTLGSGFEVISAGKKKLVRSVPTELKKDHNEILELAQAQGYVTVDEVERRLSWTHGRAIDALDTLLDEGLAMIDDGHSDGKRRYWFPCVSSISSFGADS >EOY13358 pep chromosome:Theobroma_cacao_20110822:7:7711765:7717884:1 gene:TCM_031901 transcript:EOY13358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein / BRCT domain-containing protein, putative isoform 1 MESVVATVSGYHGSERFNLIKLISHAGANYVGSLSQSTTHLVCWRFEGRKYELAKKLKTIIVNHRWFEDCIKEGKRLPEAPYMLQSGKEKGPLLLEIHDLAKGDALTKKCKVFSDKSNVHDDVRNETIDIGCGGSGLSGWSKSALLDENLCSGLEKIKGCSSKLRSKPFRKSSKEENCFSGRNYFQEISSYRLDRKKHGQSSSDASMPPMRNKRKISKNKELNAHYSTHLMSEERNIHNGSRGTCLAESSQRNGRRLLKNVNREIVVSGLSDSEQECYPPRVHEQYNGVIYPSDHSLDQRTVSLFEIGAPSSYDFSKHRGVDEEIEEVEEIKLWNHQLPSTSSTLPVKDAPSVFERPSPAGCFDAEKESQDVGQIELASRLPNSTELSCVICWTEFSATRGVLPCGHRFCYSCIQDWADHMISSRKTSTCPLCKASFMSITKVEDAAICDQKIFSQTIPRATSTMDVSILPDQERPSFGVQVALILENLF >EOY13357 pep chromosome:Theobroma_cacao_20110822:7:7711789:7718962:1 gene:TCM_031901 transcript:EOY13357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein / BRCT domain-containing protein, putative isoform 1 MESVVATVSGYHGSERFNLIKLISHAGANYVGSLSQSTTHLVCWRFEGRKYELAKKLKTIIVNHRWFEDCIKEGKRLPEAPYMLQSGKEKGPLLLEIHDLAKGDALTKKCKVFSDKSNVHDDVRNETIDIGCGGSGLSGWSKSALLDENLCSGLEKIKGCSSKLRSKPFRKSSKEENCFSGRNYFQEISSYRLDRKKHGQSSSDASMPPMRNKRKISKNKELNAHYSTHLMSEERNIHNGSRGTCLAESSQRNGRRLLKNVNREIVVSGLSDSEQECYPPRVHEQYNGVIYPSDHSLDQRTVSLFEIGAPSSYDFSKHRGVDEEIEEVEEIKLWNHQLPSTSSTLPVKDAPSVFERPSPAGCFDAEKESQDVGQIELASRLPNSTELSCVICWTEFSATRGVLPCGHRFCYSCIQDWADHMISSRKTSTCPLCKASFMSITKVEDAAICDQKIFSQTIPRATSTMDVSILPDQERPSFGVQSSSAFVCVKCRCREPEDLLVSCHLCQIRNVHTYCLDPPLLPWTCIHCKDLERLPTYSF >EOY12779 pep chromosome:Theobroma_cacao_20110822:7:4121161:4128522:-1 gene:TCM_031305 transcript:EOY12779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated ion channel 4 isoform 2 MYIDKTGVPPSEDHCKSNYPNHFDYKHMLLINGGFGCTWIHIELFNFPSFWLIFFFLFIFLQRQNACWVKGIKVHSEICLYLSLSLASYTSSNYYVLSGTCFNPSHPPFSAYIPPSDDNLSLASSLFLSMASEPEFSRARHMQYFSTDDDDEEEEGGLMEGGEGEDDEAEEEESSPRDCRSGLYFMCGRRRRGWSLGQVLDPRAKWVQEWNRVFLLVCATGLFVDPLFFYVLSISDTCMCLFIDGWFAITVTALRCMTDALHVWNMWLQLKMAKRSSYGSNATHGGSDVGGGGGRERSGPLGANARRVAIKYLKARKGFFFDLFVILPLPQAVLWVAIPSLLEKGSVTLVMTVFLIIFLFQYLPKIYHSVCLLRRMQNLSGYIFGTVWWGIALNMIAYFVASHAAGACWYLLGIQRSAKCMKEQCRVVEVCDPRLLACKEPIYYGTSNMVRDRARLAWAENKQARRTCIDSPENYDYGAYKWTVQLVTNDSRLEKILFPIFWGLMTLSTFGNLESTTEWLEVVFNIIVLTSGLLLVTMLIGNIKVFLHATTSKKQAMQLKMRNIEWWMRKRHLPSGFRQRVRNYERQRWAAMRGVDECEMIRNLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFTKGETITREGDPVQRMLFVVRGHLQSSQVLRDSVKSCCMLGPGNFSGDELLSWCLRRPFIERLPPSSSTLVTLETTEAFGLEAEDVKYVTQHFRYTFVNERVKRSARYYSPGWRTWAAVAIQLAWRRYRHRLTLTSLSFIRPRRPLSRSNSLGEDRLRLYTAMLTSPKPNQDDFDF >EOY12780 pep chromosome:Theobroma_cacao_20110822:7:4122284:4128344:-1 gene:TCM_031305 transcript:EOY12780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated ion channel 4 isoform 2 MYIDKTGVPPSEDHCKSNYPNHFDYKHMLLINGGFGCTWIHIELFNFPSFWLIFFFLFIFLQRQNACWVKGIKVHSEICLYLSLSLASYTSSNYYVLSGTCFNPSHPPFSAYIPPSDDNLSLASSLFLSMASEPEFSRARHMQYFSTDDDDEEEEGGLMEGGEGEDDEAEEEESSPRDCRSGLYFMCGRRRRGWSLGQVLDPRAKWVQEWNRVFLLVCATGLFVDPLFFYVLSISDTCMCLFIDGWFAITVTALRCMTDALHVWNMWLQLKMAKRSSYGSNATHGGSDVGGGGGRERSGPLGANARRVAIKYLKARKGFFFDLFVILPLPQAVLWVAIPSLLEKGSVTLVMTVFLIIFLFQYLPKIYHSVCLLRRMQNLSGYIFGTVWWGIALNMIAYFVASHAAGACWYLLGIQRSAKCMKEQCRVVEVCDPRLLACKEPIYYGTSNMVRDRARLAWAENKQARRTCIDSPENYDYGAYKWTVQLVTNDSRLEKILFPIFWGLMTLSTFGNLESTTEWLEVVFNIIVLTSGLLLVTMLIGNIKVFLHATTSKKQAMQLKMRNIEWWMRKRHLPSGFRQRVRNYERQRWAAMRGVDECEMIRNLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFTKGETVSMYQV >EOY12178 pep chromosome:Theobroma_cacao_20110822:7:1209465:1215924:-1 gene:TCM_030755 transcript:EOY12178 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterase family protein, putative isoform 3 MGSLRGITVAVLVVQALLASVALVSAQGSSNRSRWQTLNGDVPFVIARGGFSGMFSDSSPAAYRLALLTGPTNVILWCDVQLSKDAAGICFPDLKLDNNSDITTVFPNKQKTYLVNGVATKGWFSVDYTLKDIGNVILNQGVFSRSNKFDGNNYPIMTVADTYTLLKPPGFWLNIQHNAFYAQHNLSMRNFVISLTRNVTVTVDYISSPEVAFLRSIAARFQRSTTKLVFRFLELDTVEPSTNLTYGSLLKNLTFVKTFASGIIVPKSYVWPVDSNLYLQPSTSVVLDAHKEGLEVFASDFTNDVPFSFNYSYDPVAEYLQFVDNGKFSVDGVISDFPITPSAAINCFAHLGRNASKQVDLLVISKNGASGDYPGCTDQAYTKAIQDGVDVIDCPVQMTKDGTPICLGSINLIDSTDVAQSSFSNLSKTIPEIMQGSGIFTFDMSWRDILSLTTAISSPQSEYKLFRNPKFKNVGKFLTLSEFLAMAKSASSLQGVLIAIENAAYLAEQGFGVTDAVFDALSEAGYDKQTAQKVMIQSSNSSVLMKFKGKSNYKLVYKVDEDIGGAQQASIDDIKSFASAVVISKDSVFPENNAFLTGVTDVVPRLQAANLSVYVHTFSNEFTSQAWDFFSDATVEVNSFHVGGLINGVITDFPETSDRWNRCLNKGDNTPGYMSPVQPGSLLQLVTAEYLPPAEAPNPYLTEADIAESPLPPVAAKTPTSSPSGAAPSPTSPNGQPKVAASVIVPPMAVLLAFCLLF >EOY12179 pep chromosome:Theobroma_cacao_20110822:7:1210279:1215924:-1 gene:TCM_030755 transcript:EOY12179 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterase family protein, putative isoform 3 MGSLRGITVAVLVVQALLASVALVSAQGSSNRSRWQTLNGDVPFVIARGGFSGMFSDSSPAAYRLALLTGPTNVILWCDVQLSKDAAGICFPDLKLDNNSDITTVFPNKQKTYLVNGVATKGWFSVDYTLKDIGNVILNQGVFSRSNKFDGNNYPIMTVADTYTLLKPPGFWLNIQHNAFYAQHNLSMRNFVISLTRNVTVTVDYISSPEVAFLRSIAARFQRSTTKLVFRFLELDTVEPSTNLTYGSLLKNLTFVKTFASGIIVPKSYVWPVDSNLYLQPSTSVVLDAHKEGLEVFASDFTNDVPFSFNYSYDPVAEYLQFVDNGKFSVDGVISDFPITPSAAINCFAHLGRNASKQVDLLVISKNGASGDYPGCTDQAYTKAIQDGVDVIDCPVQMTKDGTPICLGSINLIDSTDVAQSSFSNLSKTIPEIMQGSGIFTFDMSWRDILSLTTAISSPQSEYKLFRNPKFKNVGKFLTLSEFLAMAKSASSLQGVLMFLSECCLPCRAGIWCN >EOY12180 pep chromosome:Theobroma_cacao_20110822:7:1210049:1215683:-1 gene:TCM_030755 transcript:EOY12180 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterase family protein, putative isoform 3 SRWQTLNGDVPFVIARGGFSGMFSDSSPAAYRLALLTGPTNVILWCDVQLSKDAAGICFPDLKLDNNSDITTVFPNKQKTYLVNGVATKGWFSVDYTLKDIGNVILNQGVFSRSNKFDGNNYPIMTVADTYTLLKPPGFWLNIQHNAFYAQHNLSMRNFVISLTRNVTVTVDYISSPEVAFLRSIAARFQRSTTKLVFRFLELDTVEPSTNLTYGSLLKNLTFVKTFASGIIVPKSYVWPVDSNLYLQPSTSVVLDAHKEGLEVFASDFTNDVPFSFNYSYDPVAEYLQFVDNGKFSVDGVISDFPITPSAAIIQMTKDGTPICLGSINLIDSTDVAQSSFSNLSKTIPEIMQGSGIFTFDMSWRDILSLTTAISSPQSEYKLFRNPKFKNVGKFLTLSEFLAMAKSASSLQGVLIAIENAAYLAEQGFGVTDAVFDALSEAGYDKQTAQKVMIQSSNSSVLMKFKGKSNYKLVYKVDEDIGGAQQASIDDIKSFASAVVISKDSVFPENNAFLTGVTDVVPRLQAANLSVYVHTFSNEFTSQAWDFFSDATVEVNSFH >EOY12177 pep chromosome:Theobroma_cacao_20110822:7:1208677:1215949:-1 gene:TCM_030755 transcript:EOY12177 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterase family protein, putative isoform 3 MGSLRGITVAVLVVQALLASVALVSAQGSSNRSRWQTLNGDVPFVIARGGFSGMFSDSSPAAYRLALLTGPTNVILWCDVQLSKDAAGICFPDLKLDNNSDITTVFPNKQKTYLVNGVATKGWFSVDYTLKDIGNVILNQGVFSRSNKFDGNNYPIMTVADTYTLLKPPGFWLNIQHNAFYAQHNLSMRNFVISLTRNVTVTVDYISSPEVAFLRSIAARFQRSTTKLVFRFLELDTVEPSTNLTYGSLLKNLTFVKTFASGIIVPKSYVWPVDSNLYLQPSTSVVLDAHKEGLEVFASDFTNDVPFSFNYSYDPVAEYLQFVDNGKFSVDGVISDFPITPSAAINCFAHLGRNASKQVDLLVISKNGASGDYPGCTDQAYTKAIQDGVDVIDCPVQMTKDGTPICLGSINLIDSTDVAQSSFSNLSKTIPEIMQGSGIFTFDMSWRDILSLTTAISSPQSEYKLFRNPKFKNVGKFLTLSEFLAMAKSASSLQGVLIAIENAAYLAEQGFGVTDAVFDALSEAGYDKQTAQKVMIQSSNSSVLMKFKGKSNYKLVYKVDEDIGGAQQASIDDIKSFASAVVISKDSVFPENNAFLTGVTDVVPRLQAANLSVYVHTFSNEFTSQAWDFFSDATVEVNSFHVGGLINGVITDFPETSDRYRRNRCLNKGDNTPGYMSPVQPGSLLQLVTAEYLPPAEAPNPYLTEADIAESPLPPVAAKTPTSSPSGAAPSPTSPNGQPKVAASVIVPPMAVLLAFCLLF >EOY12831 pep chromosome:Theobroma_cacao_20110822:7:4348262:4350255:1 gene:TCM_031350 transcript:EOY12831 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MGKSNSSRDWTQIYAIYGMDQWQTLVFLLCHAVFFSLLSVLFLYYFDSVFHFFHTFLSSSGAARFAAGFSGAVTAISAVCLFFAAANFFYSAGPLHYDMAQRMVGSVNDWSTVKLALDIGCGRGILLNAVATQLKKTGSSGRVVGLDRSKRTTLSTLRTANVEGVGEYVTCREGDVRGLPFGDNYFDVVVSAVCVHRVGKEYGHRTVEAAAERMRALGEMVRVLKPGGVGVVWDLLHVPEYVRRLQELKMEDIRVSERVTAFMVSSHMVSFKKPSQHIVGPGEVRLDWRC >EOY12832 pep chromosome:Theobroma_cacao_20110822:7:4348263:4350255:1 gene:TCM_031350 transcript:EOY12832 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MGKSNSSRDWTQIYAIYGMDQWQTLVFLLCHAVFFSLLSVLFLYYFDSVFHFFHTFLSSSGAARFAAGFSGAVTAISAVCLFFAAANFFYSAGPLHYDMAQRMVGSVNDWSTVKLALDIGCGRGILLNAVATQLKKTGSSGRVVGLDRSKRTTLSTLRTANVEGVGEYVTCREGDVRGLPFGDNYFDVVVSAVCVHRVGKEYGHRTVEAAAERMRALGEMVRVLKPGGVGVVWDLLHVPEYVRRLQELKMEDIRVSERVTAFMVSSHMVSFKKPSQHIVGPGEVRLDWRC >EOY11931 pep chromosome:Theobroma_cacao_20110822:7:398959:402967:1 gene:TCM_030579 transcript:EOY11931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 81.4 MAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDGQPELFIHIVPDKTNNSLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVVVTTKHNDDEQYVWESQAGGSFTVTRDDAGEKLGRGTKITLFLKEDQLEYLEERRLKDLIKKHSEFISYPISLWIEKTTEKEISDDEDEEDKKEEEGKVEDVDEEKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEIGKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKPNNIKLYVRRVFIMDNCEELIPEYLGFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYESFSKNLKLGIHEDSQNRTKIAELLRYHSTKSGDEMTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESDDEKKKKEALKEKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDNSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDAGEADADMPPLEDADAEAEGSKMEEVD >EOY12386 pep chromosome:Theobroma_cacao_20110822:7:1889725:1896315:-1 gene:TCM_030903 transcript:EOY12386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKKGCKFHVPSCLASISFSNSYSDLSFSLYIHRELQKVASFGTEREIPIESTEKQRRTRCKRETEMGLKNRAEEENCSMNEALLFATVCIIGLPVDVHLKDGSVYSGIFHTASVEEEYGIVLKKAKLTKKGRCATNVTNGSVVETLVILAGDFVQVVAKGVPLPSDGFAGNTAHGNGEAAFDIVPSSANPLNGANRFTKSTMDKRKNNRKRNSVQNENGFTPAKSGKEHEGENLRQNPMGNAKEVVYQKSDRTNIEEGKDASSATVAGRQVGDDSLQLLQDEYDQKFEFHVEESAKEVKHSVSSLDACLTQVKPVEGAHAEMTIKLLLNGAPHDAPVVGKLENQCCERPTATDIYQDAVCSGVSISSSPVTAVSSESCQSSLATQAAIVSSQSLESNKNSKEFKLNPGAKIFSPSFASAISAAPPIVPTVANVSYVPGNSPMVAVVGSEPEVGIGSFAPRSSTPSKFVSYGNITAAHGVSGSQFSQPIVGHVGSRTQPLRYAGQYHPVQAVPAYLNPNSQAVMFGRMGQLIYVPVSHDLVQGAAAVSPVPACPPLTPHHVQFPKHQGSAPGQALQLCLPQPFIAGGQQPLAVPSHIPFLQPPFPANRPIQVPGSNGLYSTKLQ >EOY14185 pep chromosome:Theobroma_cacao_20110822:7:21913163:21919089:1 gene:TCM_033459 transcript:EOY14185 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein isoform 3 MAFWGIEVKPGRPFTHSPLSSRLHLSQATLGMGSSMQKSIVQCNVGNKSPVYVCCLFPDKAECCQLNLEFEEAHEVVFSVIGPRSVHLTGYYLPNSSCNHPNDESESYGEDIGESDTERSENSEDSEYGGSFINDDDPPNVPSSPYLSAKSNEEIFDLKKSKNGKGTRRRLRKKYQLSESENEESSQQKVFTSAVAAAEVLDSEFEDTLPISSLCRGNNASDSAKVDVEEKARKEIDNLNNNETEDIVLMSEGTTAAIGVRPESESGVRNEETQKVVLGVDDGMPKKKREVVVKEERFSEDDHGMTGKAVLEQNEQNQKLASNDESVVPNEETQRLVLGVDDGMSKKKRAVLVKEERFPEADHGMTGKAVLEQNEQNQKLPRNDESVVPNEETQKLVLGVDDGMPKKKGEVLVKEERFPEADHGMTGKAILEQNEQNQKLASDDESGVRNEETQKLVLGVDDGMPKRKREELVEEQFPEADHGMTGKAVLEQNQKPACNEEENFQHSLLLASTEVAVEDGAKPMRKRKEQVEEKTFEDNVAEEDKGQKNGSNLDAVTLDVYVEDKETQNQVNEKRRKRKKRRRNKDNGDAMKMGAPLLSGIEKDRSVMDMDGENANDEAIQLSNGMIIEELEMGKPDGKLASLGKKVRVRYTGKLKESGEVFYSSAGKALLKFRLGGEEVPEVWNVGIDGMRVGGKRRLTVPPSMSYRNEGASENIPPDSWLVFDVELVKVR >EOY14186 pep chromosome:Theobroma_cacao_20110822:7:21913002:21919089:1 gene:TCM_033459 transcript:EOY14186 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein isoform 3 MAFWGIEVKPGRPFTHSPLSSRLHLSQATLGMGSSMQKSIVQCNVGNKSPVYVCCLFPDKAECCQLNLEFEEAHEVVFSVIGPRSVHLTGYYLPNSSCNHPNDESESYGEDIGESDTERSENSEDSEYGGSFINDDDPPNVPSSPYLSAKSNEEIFDLKKSKNGKGTRRRLRKKYQLSESENEESSQQKVFTSAVAAAEVLDSEFEDTLPISSLCRGNNASDSAKVDVEEKARKEIDNLNNNETEDIVLMSEGTTAAIGVRPESESGVRNEETQKVVLGVDDGMPKKKREVEERFPEADHGMTGKAILEQNEQNQKLASDDESGVRNEETQKLVLGVDDGMPKRKREELVEEQFPEADHGMTGKAVLEQNQKPACNEEENFQHSLLLASTEVAVEDGAKPMRKRKEQVEEKTFEDNVAEEDKGQKNGSNLDAVTLDVYVEDKETQNQVNEKRRKRKKRRRNKDNGDAMKMGAPLLSGIEKDRSVMDMDGENANDEAIQLSNGMIIEELEMGKPDGKLASLGKKVRVRYTGKLKESGEVFYSSAGKALLKFRLGGEEVPEVWNVGIDGMRVGGKRRLTVPPSMSYRNEGASENIPPDSWLVFDVELVKVR >EOY14187 pep chromosome:Theobroma_cacao_20110822:7:21913018:21918129:1 gene:TCM_033459 transcript:EOY14187 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein isoform 3 MAFWGIEVKPGRPFTHSPLSSRLHLSQATLGMGSSMQKSIVQCNVGNKSPVYVCCLFPDKAECCQLNLEFEEAHEVVFSVIGPRSVHLTGYYLPNSSCNHPNDESESYGEDIGESDTERSENSEDSEYGGSFINDDDPPNVPSSPYLSAKSNEEIFDLKKSKNGKGTRRRLRKKYQLSESENEESSQQKVFTSAVAAAEVLDSEFEDTLPISSLCRGNNASDSAKVDVEEKARKEIDNLNNNETEDIVLMSEGTTAAIGVRPESESGVRNEETQKVVLGVDDGMPKKKREVVVKEERFSEDDHGMTGKAVLEQNEQNQKLASNDESVVPNEETQRLVLGVDDGMPKRKREELVEEQFPEADHGMTGKAVLEQNQKPACNEEENFQHSLLLASTEVAVEDGAKPMRKRKEQVEEKTFEDNVAEEDKGQKNGSNLDAVTLDVYVEDKETQNQVNEKRRKRKKRRRNKDNGDAMKMGAPLLSGIEKDRSVMDMDGENANDEAIQLSNGMIIEELEMGKPDGKLASLGKKVRVRYTGKLKESGEVFYSSAGKALLKFRLGGEEVPEVWNVGIDGMRVGGKRRLTVPPSMSYRNEGASENIP >EOY12242 pep chromosome:Theobroma_cacao_20110822:7:1460699:1467312:-1 gene:TCM_030805 transcript:EOY12242 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein MLCFFQVQSMAYQAFSLLVLLLSAIGFSDASSIGINYGRVANDLPSPDKVVELLKSIGVEKVKLYDTDATVLTALANSGISVMVALPNELLASAAADQSFADNWVQSNISKFYPATKIEAIAVGNEVFVDPKNTTSYVVPAMKNVHASLVKFNLDSNIKISSPIALSALQNSYPSSAGSFKPDLVEPVIKPMLDFLKQTDSYLMVNAYPFFAYSANSDQISLDYALFKENPGVVDSGSGLKYNSLFEAQIDAVFAAMSALKYDDVKMVVTETGWPSMGDENEIGASEANAASYNGNLVRRVLSGNGTPLRPQDPLNVYLFALFNENQKSGPTSERNYGLFYPNEQKVYNIPLTKEELESGQSTPVNGNTSQVPATGEVSKTSVGQTWCVANKNAGEKTLQAALDYACGEGGADCKPIQPGATCYSPNTLDAHASYAFNSYYQKKTRGTGTCDFGGAAYVVSQPPRYGNCEFPTGY >EOY13817 pep chromosome:Theobroma_cacao_20110822:7:11176311:11178442:1 gene:TCM_032473 transcript:EOY13817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVQNNTSVTLLQINYPTHSLSTEVGFSCLLPLVFSFDLTQQAHQNGPRTLPCPPLLPLPISFWLKPLPLPLISFNKTRHCRDFVSSHLCLTNNLRP >EOY13945 pep chromosome:Theobroma_cacao_20110822:7:14769945:14780559:1 gene:TCM_032807 transcript:EOY13945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase 21, putative MEFESLCRQVEVLKKKVKGMLSAPSDQVEKILLINSLCRLGLSYHFENEIEEQLSYLFVSLSKHMDDKDYDLETVAAIFQVFRLHGYRMRCDVFNKFKEGDGEFKEVLASDVKGILSLYEASQFRINGEKILDEALAFTTKHLESLTDQSSPHLREYIGNALNRPYHKGMPRVEARQYITFYEKEESPNETLLKLAKYDFNRVQFLHQQELSILSSWSKDLNIASQLSYARNRTVEIFFWTVGFYFEPRYALARNIFTKLLIILGFIDDTYDAYGTFEELQCFTDAIQRWDISALDLLPADYLKFLYGALLNVYDEVDRMVSMDGRCYSMSFTKDELKKIVISYLVEAQWTHEGYMPTFDEYLDIALHSSAAILVIAEVLVGMEEADANVFEWLRQGDSKSLAAIKIIARLYDDIATNEDEEKRGLVACGIKCYMKQYGVSKEEAIEEFRKRLVIAWNELNEDHMRPATVPMQILNRVRNIACVIDLTYKDEDGFTMSEKILKDHITKVLIEPIPI >EOY12954 pep chromosome:Theobroma_cacao_20110822:7:5009924:5012732:1 gene:TCM_031457 transcript:EOY12954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNVYIVFGVLVIMTSGAVIAHDVDPIKTNNCETKMTMHCVIEGFTSIFKTETIFDNCCHELVGLGQLCHNALVKKTLQNPLFKNNDTSVIFSRTVQVWNKYILVGENVSPTPSPLEGGKVMAHDVDMIKANNCETKMTMDCVIEVFTSIFKTVTVFDNCCHELIGLGQLCHNALVKKTLENPLFKNNDTLVILSRTIQVWNKCTLVGEDVSPTPSP >EOY14066 pep chromosome:Theobroma_cacao_20110822:7:19809755:19811077:-1 gene:TCM_033248 transcript:EOY14066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPPCYRKKTSHGLDQHALLYASSFKRERLQKTMVGKRQTDQPKVTKRKKDHRLRKGELDVKKEKEKVKFFSGLLAEVGAVQAELMEDVDSLQDPTESDLHLKCQQLRRVNTQGELLNTMMRNYINRTLAVLLDGEGGGS >EOY14067 pep chromosome:Theobroma_cacao_20110822:7:19809991:19811048:-1 gene:TCM_033248 transcript:EOY14067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVGKRQTDQPKVTKRKKDHRLRKGELDVKKEKEKVKFFSGLLAEVGAVQAELMEDVDSLQDPTESDLHLKCQQLRRVNTQGELLNTMMRNYINRTLAVLLDGEGGGS >EOY14065 pep chromosome:Theobroma_cacao_20110822:7:19809763:19811085:-1 gene:TCM_033248 transcript:EOY14065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPPCYRKKTSHGLDQHALLYASSFKRERLQKTMVGKRQTDQPKVTKRKKDHRLRKGELDVKKEKEKVKFFSGLLAEVGAVQAELMEDVDSLQDPTESDLHLKCQQLRRVNTQGELLNTMMRNYINRTLAVLLDGEGGGS >EOY12996 pep chromosome:Theobroma_cacao_20110822:7:5220156:5225258:-1 gene:TCM_031502 transcript:EOY12996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDCSTKLQFFHASLLFFLSTFFYLNMVSSTASQSNYGHYCDSVVEESKTVYEEFNISPFPERQNGYYSGGDEVLNSSSSLYYQSGSKVLTFEAHHVYRTHVEDVFKVEGNLIFQSSYYYEESFSGSFYSYSSDSSNRGALDFDFQGFWCRTTGRLCMVGTSYTYSKEGKLLHLAAVLKLNNLKKSSTINTLVTGTMDSLYAADEPNYFGQISLLMFPQKSYQYTKVSKLSTQGCPGGTDVPEKSSLSLSRTRTICNMFLGQANAFELEYGSGCDSSKSCNPFGDGIGYLPQVMSLSMIQCSEDKLSLRFLIEFPIDYCMGYYRSSNFSTSLVGEGSWDARKNRLCIAACRIFDASSSLEKSRVGDCTTRLSLRFSAILSIRNTSTVVGEIWSEKPRNESGFFDRIVFRNTDRSSSGRIQLQGLKYEYTETDKVKKSSCTEPKPKRNSRGQYPDGYSRDMGFHISNVKGSKERIGWGSSEPLAVGDQPYQRFPFLLPSSSSRPINYGNQSDTSGRLLNISYKMSITLRSLNLDAGLNPFNQSSNGYVEIKISAEGVYDSETGNLCMVGCRDLRSANTGSLSHSVDCEILVNVQFPPLNSDRKGGIIKGSIKSMRETTDRLNFGPLDFSGRAYYRSWALESIWRMDFEMIMSVISNTLAIVFLVLQIFHVRKNPGVCPFISLLMLVILALGHLIPLVLNLEAMFTQDSQRNVWVRGGVWLEMNEVIIRVVTMVVFLLQIRLLILSWTARCSGEKKKPLWIAEKRGLYVCFPVYIAGVLIAFFPKWRKNLVDTEWHSSYYDHEQVLLSGSRAYAGLILDAFLFPQILFNMFQNSREEALSRFFYIGITLVRLVPHGYDLYRAHNFLGIDDSYIYADPAADYYSTAWDFIIPVLGLFFAAIIYMQQRFGGRCFLPKRFQESVIYEELPMASEDQFPLKSST >EOY13222 pep chromosome:Theobroma_cacao_20110822:7:6578411:6580573:-1 gene:TCM_031740 transcript:EOY13222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein MEQLTSCATAVESGVQQDGKVVTSRGPGTTMEFALALVEQLYGEEKADEGTDYLQVMRPNHGDEYTITELNPMDWKCNNNPQLQQVLYSPFLCMVTLHDIRDNGFIFTYRSRKFFDQSTMFLTRSTILPNFSPKTTKISASKEHRYLCSLWSTHLCSLGNTQTVPRREHQNGAPKEHHAGELNLALPGWSRGKRQRIVLVPVANGMRSMEAVTIINVSRRSRVDITEHF >EOY13107 pep chromosome:Theobroma_cacao_20110822:7:5948570:5951299:1 gene:TCM_031631 transcript:EOY13107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein family MQTLGRLPNLRILKVHRDAFTGKEMACSAQSFAKLDFLSIYRLHNLEEWKVDEGAMPALRHLEIFECKNLKMLPNGLRFITNLRKLEIGWMPKAFKDKLVEGGEDFYRVQHIPSIVLENCE >EOY13734 pep chromosome:Theobroma_cacao_20110822:7:10459280:10461573:1 gene:TCM_032376 transcript:EOY13734 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein MHSLFYSPMAPSFDDSSSLFNFVVRDGNGVKGIVDLGLSKVPQAYMQPPKERIDKQNASKHEQPPIDLSRLGGPDHDEVVKEIVRAAETLGFFQVVNHGVPVELLESLKDTAHNFFCLPPERKAIYRTEVSPTPLVKYGTSFVPEKERALEWKDYISMQYTSDAEALEHWPIECREVALEYLRTSIGMVSKLLEVLMGNLGVKPEDSKIDALFDKKMINMNFYPTCPNPDLTVGVGRHSDMGTLTVLLQDGIGGLYVKIEDDIDFGKKGEWMEIPPIPGALVINVGDMLQILSNGKYKSAEHRVRTTSTKSRVSIPIFTIPKPTEKIAPLPQVVEKDGIALYREFVLADYMNNFFGNAHDGKKSLDFAKINSA >EOY12639 pep chromosome:Theobroma_cacao_20110822:7:3069286:3080630:1 gene:TCM_031133 transcript:EOY12639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-cadinene synthase isozyme A, putative MAEYLLSAQVAHARKSPIRKSLPRLEARRCIPIYQACAFHDRTLLKFSKLDFNLLQHQHKKEIREIFRWWKDLDFANKLPFARDRLVEGYLWILGVYFEPQFSLARRMVTKGIAITSIIDDIYDAYSTFEELELFSSAIERWDMSCIDQLPDYMKLCYRALLDVYEEMEEMMTEQRKLYRVQYAKEAFHENYAPTLEEYMSVALITSGYRMLPITSYVGMGDDIMKEAFNWASNTPKSLQASVTLGRLMDDIASHKQEKGHVGSAVECYMKQHGVSEQEAYNEFHTHIDNARKDINEEMLNVKAILTPVLIRILNFSRVIDVLYKDGEDRYTNVVGVARSGITSLLIDPISV >EOY12296 pep chromosome:Theobroma_cacao_20110822:7:1600789:1606370:-1 gene:TCM_030840 transcript:EOY12296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide succinyltransferase MLGALRRKVASGGSSASVLGKSLQAIGSGVSASRVSSNAGKEIILLQARGVALVRNFSHLILPGCSAGLTKTRDVISSIRSEAIMQKSCRAFSSGDGDLVDAVVPFMGESITDGTLATFLKKPGDRVAADEPIAQIETDKVTIDVVSPQDGVIQEYVAKEGDTVEPGTKIAIISKSAEGVAPAAPAQKKSEKVASKPSPPAEAVAKVEATPAAQKPKAPSPPPPKRTATEPILPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGFMSGFVKAAVSALQHQPIVNAVIDGDDIIYRDYIDISIAVGTPKGLVVPVVRDADKMNFAEIEKTINSLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVGGNVVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDV >EOY13080 pep chromosome:Theobroma_cacao_20110822:7:5822387:5825524:1 gene:TCM_031604 transcript:EOY13080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 methyltransferase-related, putative MPLRHILKHLTLTSSYGFSTLSRRFIHPPLTLLFTNINPKIQPLIPAETSLPFSHGYTSVTEPQSSTLLSPYITVRIQCQKEIADMFSEALMCFGASSTTVDEDNNCDTSKEICMESIFPESEDVDVCISQAADSLGLKEIPSYEVTTGEHYDWIKKTQESFDSVEVTEGLWVVPEWKTTPDVQAMNIILNPGLAFGTGEHPTTRLCLLLLQRLIKGGERFLDYGTGSGILSIAALKFGASLSVGIDIDPLAITSARQNAALNNIGPEKLQLRLVSGNTSSPSIDDQKYDENQSLCEPVVESEPEKYDVIIANILLNPLLELADDIVSHAEPGAAIGLSGILSDQLPDIIDRYSPLLDNISVSEMDDWACLSGTKKIILTGS >EOY14265 pep chromosome:Theobroma_cacao_20110822:7:22795603:22800013:-1 gene:TCM_033576 transcript:EOY14265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLLFQISRLLGSLSFGKSYFYNRFGRKQDKRSKEVNQSHQLLICYVDHQFQLKKHQKGLMICYDDIVSTFSQHLTLFLLSTVLST >EOY13775 pep chromosome:Theobroma_cacao_20110822:7:10730220:10732465:1 gene:TCM_032420 transcript:EOY13775 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MLVLGFFLFLLLKKTGSRRKKTTGASSSSSPGACYDAGLAAETPEGRRSVRSCSSNVSRKRKLHEIHSPESGPTKKQKGKEKVIDESYPKAASGSSKGLGLCKICMEEKPTSMMLRRNRCDHSFCFDCTRQHIATKMKENIIKIQCPVWNCGTLITPKQCKSILPGEVISRWKDALHCSRTPPVLEFDCPSEDCPFRLTDDGKAIDVIEFQCPECLVKICAECKGFSHEDLDCDEYKELVNCEIEEEEYYYLALLKLSKKENWKRCPNCNNFAGKTTEGSNKVECGCTYKFCYDCESEWSRGHSCFDPSRLVG >EOY13969 pep chromosome:Theobroma_cacao_20110822:7:15879101:15898178:-1 gene:TCM_032909 transcript:EOY13969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLINEIVDYFTANTHCDQPKTHAVFNPMDKHTIKKLGFEFKNSTWVRKRTINLLVFDNEVHEGNEGNEGELRTYPSGPSSAYPNAPMSTTFDVEHAFTRLFSYMETMDSRLTTGMDVWRYKIMRYCNANKAWRMSSILDFHHYNEFSWETFFTSILDCSIGWLHVHLFFMHHVVLLALRQSRTMNINR >EOY12704 pep chromosome:Theobroma_cacao_20110822:7:3542975:3553937:-1 gene:TCM_031228 transcript:EOY12704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISHIQCLILPGVHLMGEEVSKLGRLEYVTCSFCDMEEFKKYAEFKQGIECVVDLSVSSCNALQNIEELVLFSLQNFHEIVRVGVPVEFESTSHAPRPLAIFSFIKSIVQKSQKSLPLLENGQPSPPPFLNTIRVHPSERWESMKWDHLDARDVLSPFLFRVHNRLFLVFILALIKGLAILGGTIQVPTLTGDVVLKICLVTQPGQKGLKQGILTHLVINMCISKKGMGETRRQERGNYGNHRYDNSGKRWIDSLHSVFANNFSKRLSWNAIRGTFEEYGRVVDVHIPKRILQDRNRGTNFAFIRYRDKSEMERPLKWGGHQWINGQRSRPKRSYDKADDGSQTNLQRVPKGEKGDRTGDKIGEQGNRAIADVITQEMFTEGFSVQVRMVEGLSTLVTFEDYEEMVVMLENCWDLFDQWFESLIPLDIASSDKEVRLWIKLEEIPIKLWHLNTFKAVAQCWGDFIGVDRATFTRERLDRGERCDDLEIYTTRKEIVTEEEETIVKDKLNGGPPDTVEEPPCEFGDRSGETNIGSQICRTEDRGEQEECIVMSYKKKKRLTWAEVTNEGNRMSGAGPSKNGSFLAGREQELEIVKGFGPLDGNPSAVDCKEHSSGPKLMPGVNFKGSLVKDDGAALNLNLDRRIGLQKQVSTDRVEEDSDKQIKKVLHELNEAEQRTSHNNKEQLGTGMENVKRRLSKAVTSSIGENNSKGEAPTITESFDEERWMKVTLRRSKRGKIRLKRYSPDLNQGQGSSGKGSNKGSKGKEISKVGAVSPKSSKSSGQKKRLKEILEEEKGTGDVEPENKADGLNLEADHQWEKSNIRKEAEEIWEFLRKLGLEFQKDRETMVQRIVDLEAIDKGDGTSTTPATSGLGNREKKRVVRLLVEKEKPDMLFIQETKLENVDRSVIRLVWGRVNNKNYTVASVGASRGLLVVTKEDFFDIEQSFESRRYILLIGLINKLNFRCGIGNVYASNSVSERQALWEEKIGRSYNVYDIDSFRKFIEDLGFIDMPMIGGEFTYRNFREDKAFSRLDRFLVSEEFLSRFSRLVQRRLSASLSDHNPIVLGEIRMDWGLKPFKIFNHWLDESTFSTMLKKAWEESKGDKGSIRGIWGILKDIKLVIKEWKHTELGNSQRKVEEIEMTIQEVEEALICGDNSVNWRNLVRMKRGELWKLHRDVEREWHQKSRVKYGKWRSWIRACISMARISVLVNGVPTRQFRIRRGLRQGCPLSPFLFNCVAEAFSVLMSEAISVGLCKGIEVGNRGLILSYLQFADGTTIMCKLEWESINNVKRILRCFQLITSLRINFQKSSLFGVGIEERTVDEWAGRIGCRMEKFPSKYLGLPLGVKNNSREMWRPIVEKFEARLAGWKGRLLSMGGRVTLIKSVLASLPIFYMSLFRMPVGVSQELERIQRRLKIRLCSTSGYGDMGMNEKTCGERHWWTKKKVNNLWSKVWQGNAPMKVEVFSWRLLKGRVAVKAELVKRESWKIWSSWVDQWGLQWATQAKVKPFLLDWNELVRAGDKRDLVRVRVAWWAKAKWLVDFQQLEQTIRCPEVNRQHIRIRGGRRMVEWEPPSRGFLKFNVDGATRGNPSQAAIGGVLRDDKGVVKILFSIPIGIFEANTAEVMAIKEAFKLFGASKWVESHCLIVESDSENAVSWVHKLDKAPWRLRRDIFILEGIQKRIGEWQLRKINKEANGVADELAKFRVQREENLILVFN >EOY13620 pep chromosome:Theobroma_cacao_20110822:7:9551534:9556882:1 gene:TCM_032239 transcript:EOY13620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotyrosine protein phosphatases superfamily protein MGLGLSVLIAVKATAWFLLFVFFRRFGFKVLAVPFLYASLISYLVSIASHPSINLPMILGKNPDGTFPIWSKIMFFPYLYFVRAFSMLRRFVSGEEPYSQICEGVYVGGWPSSPSLLPPGNPAIVDCTSEFPRIMELKGHSYLCVPTWDTRAPEPGQIELAVKWACRKRAQNKPVFIHCAYGHGRSVAVTCALLVALGVAEDWRRAEKYIRERRPYIKMNSLHHKALEEWSKHRLSSPKRNE >EOY12715 pep chromosome:Theobroma_cacao_20110822:7:3650893:3655629:1 gene:TCM_046863 transcript:EOY12715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine kinases,protein kinases,ATP binding,sugar binding,kinases,carbohydrate binding, putative MVQENVCPDCCMGGQQRSAAWSSNASKPVRSPVAQLLNSGNLIVKEKNDSNPENFLWQSFDYPCDTLLPGMKLGRNFKSGLDSYLSSWKSLDDPSRGNFTYRYEVGGFPELKLREGSIVRFRSGPWNGMRFSGTTGLKLNPIFTLGVVFNKREVYYSYKDRKESIPSRMVLTQNGLWQRLNWIDRKQSWDVYTTVQKDDCDNYAQCGANGSCNINDSPECSCLKGFVPKFPNQWNIKQWSDGCVRKTPLNCSTDGFIKYSGVKLPDSGKSWFNYSINLDGCKNLCKKDCSCTAYANLDIRGGGSGCLLWFVDLIDIRNFTENGQEIYIRMAASELGQTQSTKSNGKKRMRIAVMSVISAAVLIFGLALVMYCWRKTYRIMPVEIKFNFSPEKKLGEGGFGSVYKGVFKDGQEFAVKRLSKSSRQGLDEFKNEVIHTAKLKHRNLVTLLGCYQCQSMSLDWPTRYHIINGIARGLLYLHQDSRQRIIHRDMKAGNVLLDNEMNPKISDFGLARGFGEKEARASTKKVIGTYGYMAPEYAINGVYSTKSDVFSFGVLVLEILSGKRNRGFCHPDHQHNLLGHAWRLFVEGKALDLIASPIRETSNPCEVLRSIHVGLLCVQRSAQDRPNMSKVVLMLGTQGPLPQPTQSGFFTERDLVEPSSSSGHRKLVLSNDFTITEVEAR >EOY13857 pep chromosome:Theobroma_cacao_20110822:7:11671664:11693268:-1 gene:TCM_032532 transcript:EOY13857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSSGVPIVRLVIRHGGQWVDGSYKGGESRMRGVKSDLSFVGLMKLVEDVVGVNLEIDEIVLHALISTPGELSLPIIKDDEDVALILLEQRNVSAMYVSIKGCQTNVMSRGEVGQHGNQLNQNEIYNASHIPQHSVRNPQQWQLRYAQEFVQPGRQTTFIKQLAAQFRSGCASNQFLTSLEQMQQSGETVECVMPLSNENTTVEDNNVRLKGDTATLEYNIAFDIGNEDLFTVGETKPVGGVDVGDVQCDDPIYNNPITGENGIRSLDTLLDDSDKERGNAGVSHTWVIAGAERFFFQTITTEESTCAEDRLYEGRMFSSKAEFKRALNMLVIKEKFAIRVKRSCKARYEFPTTSAKMIGELMSHKIRANGAALRPKDIICEMRVQWGLECLYGKAWQAKKRYQMMTSNIAECVNSCLKYARQMPITVLIEFIKDMFQRWFHERYEEAVKVTTPLSPWVARQLSKRFNDAHRFLVKPINRVEFEVKDGKMDGLVNLSRKTCSCCEFQTDLLPCSHAIAAISKCNREAIEFCTNYYKTTIFVEGYLGSIRPIGHPSEWDSPPHVKQIIVLPPPWRGQAGRPRRRRIPSAGEGNQARRWPHRMTDQTKCQDPHTVCIGSAKHGTDAPPVYLEEKDDELEN >EOY13784 pep chromosome:Theobroma_cacao_20110822:7:10769415:10791087:-1 gene:TCM_032429 transcript:EOY13784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine/serine-rich 45 MAKPTRGRRSPSISGSGSSSRSRSRSRSRSRSYSGSDSKSSSRSRSVSRSRSASPSSSRSRSNSRSRSLSSSSPSRSVSSRSRSPPQRRSPPDASKRGRSPPPQSKKISPAPRKTSPIRESLVLYVDSLSRNVNEGHLREIFSNFGEVVNVDLAMDRVLNLPRGYGYVEFKTRADAEKALLYMDGAQIDGNVVRAKFTLPPRPKVSPPPKPIGSAPKRDAQKSDNASADIERDGPKRLRESSPQRKPLPSPRRRSPVGRRGASPRRPAESPRRRVVSPVRRRVESPYRRGETPPRRRPASPARGRSPSSPPRRLRSPARASPRRMRGSPIRRRSPPLRRRSPLRRARSPPRRSPFSRRRSRSPIRRPARSRSRSISPRRGRGPAVRRGRSSSYSRSPSPRKVARRISRSRSPRRPLRGRSSSNSSSSSSPPRKP >EOY12101 pep chromosome:Theobroma_cacao_20110822:7:955373:964276:1 gene:TCM_030702 transcript:EOY12101 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein / transporter associated domain-containing protein isoform 2 MRMALESSILTQPTFFSSRKCSSLMFFNQNLKYPSKFLSKTNHYPAPIISSFPNPEVFKAFGLRKNGIFGSQARLGLLGEGNEDVGNNQNLILVKRGILVVMVCSVFVFGCKRVFAVEGVVNAGYGVIGQCILLLRNAWPKLSMLLKVFKEQGVVLTALLGLSAFFSMAETAITTLWPWKVRELAEKESEDGVFKMLRSDVTRFLTTILIGTTVVNIGATALVTDAATAIFGEAGVSAATGVMTVAILLLTEITPKSIAVHNPTEVARFVVRPVAWLSVILYPVGRVVTYLSMGMLKILGLKGKSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASSTLVEFHNLWLTHQYSRVPVFEQRVDNIVGIAYAMDLLDYVPKGELLESTTVGDMAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRAEGIFDVDANTSIDQLSEDLNIKMPEEHQYETVSGFVCEAFGYIPRTGESIKVVLEKENQEEDDENSEAGSDRQDLKDRHQIYKLEILAGNARKVSAVRFERINNEEALLDATAVTPMIPKLMKKKWSSEEDSNNGNHDEDTLEKSSIRRGIRLEKRKKETRILDRLPLYNCFRDEVRCQHLNR >EOY12102 pep chromosome:Theobroma_cacao_20110822:7:955959:963848:1 gene:TCM_030702 transcript:EOY12102 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein / transporter associated domain-containing protein isoform 2 MRMALESSILTQPTFFSSRKCSSLMFFNQNLKYPSKFLSKTNHYPAPIISSFPNPEVFKAFGLRKNGIFGSQARLGLLGEGNEDVGNNQNLILVKRGILVVMVCSVFVFGCKRVFAVEGVVNAGYGVIGQCILLLRNAWPKLSMLLKVFKEQGVVLTALLGLSAFFSMAETAITTLWPWKVRELAEKESEDGVFKMLRSDVTRFLTTILIGTTVVNIGATALVTDAATAIFGEAGVSAATGVMTVAILLLTEITPKSIAVHNPTEVARFVVRPVAWLSVILYPVGRVVTYLSMGMLKILGLKGKSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASSTLVEFHNLWLTHQYSRVPVFEQRVDNIVGIAYAMDLLDYVPKKGELLESTTVGDMAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTVGEEIQKKTGYIVMRAEGIFDVDANTSIDQLSEDLNIKMPEEHQYETVSGFVCEAFGYIPRTGESIKVVLEKENQEEDDENSEAGSDRQDLKDRHQIYKLEILAGNARKVSAVRFERINNEEALLDATAVTPMIPKLMKKKWSSEEDSNNGNHDEDTLEKSSIRRGIRLEKRKKETRILDRLPLYNCFRDEVRCQHLNR >EOY12100 pep chromosome:Theobroma_cacao_20110822:7:956145:962413:1 gene:TCM_030702 transcript:EOY12100 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein / transporter associated domain-containing protein isoform 2 MRMALESSILTQPTFFSSRKCSSLMFFNQNLKYPSKFLSKTNHYPAPIISSFPNPEVFKAFGLRKNGIFGSQARLGLLGEGNEDVGNNQNLILVKRGILVVMVCSVFVFGCKRVFAVEGVVNAGYGVIGQCILLLRNAWPKLSMLLKVFKEQGVVLTALLGLSAFFSMAETAITTLWPWKVRELAEKESEDGVFKMLRSDVTRFLTTILIGTTVVNIGATALVTDAATAIFGEAGVSAATGVMTVAILLLTEITPKSIAVHNPTEVARFVVRPVAWLSVILYPVGRVVTYLSMGMLKILGLKGKSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASSTLVEFHNLWLTHQYSRVPVFEQRVDNIVGIAYAMDLLDYVPKGELLESTTVGDMAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRAEGIFDVDANTSIDQLSEDLNIKMPEEHQYETVSGFVCEAFGYIPRTGESIKVVLEKENQEEDDENSEAGSDRQDLKDRHQIYKLEILAGNARKVSAVRFERINNEEALLDATAVTPMIPKLMKKKWSSEEDSNNGNHDEDTLEKRQEDDLSDYYVISDYKEDNESPSEQ >EOY13127 pep chromosome:Theobroma_cacao_20110822:7:6074019:6075316:-1 gene:TCM_031653 transcript:EOY13127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAWTKEYLDLVLVPSGLLIMSAYHVFLLYRCLKLPQTTVIGHENHCKKAWVERMLQVEAKDRGLALTTINSTISAATFLATISLALSSLIGTWVAESSHSLFRNSLIYGNTSSSIISVKYISLLMCFLVAFGSFLQCVGNFAHASFLISMPNADIRVSYIQKAVIRGSACWSVGLRAIYFATTLLLWIFGPIPMFAASVIMVVSLHNLDRNVTPLHNFESAKANSHNLFTKINEDLAAVARVTEKHDRSDAN >EOY13128 pep chromosome:Theobroma_cacao_20110822:7:6074015:6088182:-1 gene:TCM_031653 transcript:EOY13128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MCFLVAFGSFLQCVGNFAHASFLISMPNADIRVSYIQKAVIRGSACWSVGLRAIYFATTLLLWIFGPIPMFAASVIMVVSLHNLDRNVTPLHNFESAKANSHNLFTKINEDLAAVARVTEKHDRSDAN >EOY14289 pep chromosome:Theobroma_cacao_20110822:7:23088874:23104253:-1 gene:TCM_033606 transcript:EOY14289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMEKLSLAQKLCYENSKAKQLCKPSGVSSGLLRKCNTKIRRWLYCILETIRIIPLCIPSGGGEISLKDRRLFERLALKPILPILEYLIKTPPSHQQF >EOY13095 pep chromosome:Theobroma_cacao_20110822:7:5884847:5886459:1 gene:TCM_031617 transcript:EOY13095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKIYSVSTRHYYAFGGLVSEENLKGVRWVYPDSYLDVKNKLTYKVGSSKILAVLLDFVPRAIQKHVSPALQSTGRILAPNDDLVSNLRCISPNDDPLVDLSLEIAKADSLLQALKNFTAAEL >EOY13872 pep chromosome:Theobroma_cacao_20110822:7:12098263:12099943:-1 gene:TCM_032565 transcript:EOY13872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MKSKIKALENNGTWSIISFPKYAHSIGCKWVYKVKLKAYRSLEKYKARLVAKGHSQLEGFDYHETFSPVAKQATVRTCLAHVAIKGWVLSQLDIDIAFLNGDLEETIYMDLPLSYDVKQALMVYVDDIVIGSSSLTTANEWPVPVKGFTFINVAYRVLHYLKKAPGQGILLSSVSKLKLMVYTDSDWVGC >EOY12880 pep chromosome:Theobroma_cacao_20110822:7:4570339:4572940:1 gene:TCM_031384 transcript:EOY12880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TFIIIC, putative isoform 2 MEENKKADGLEEEGGESEYVLLDLDAVSGQIDIPPNAPYTLSGLDTTNPILLIDQKVKLIGEYEETIGTCLVFSEDEASPVVHEETGPSEANLFSGKYIIDPNQAPRKQVKPVAQLHKILKFRLLLDDDAQDETNSPTNSNL >EOY12881 pep chromosome:Theobroma_cacao_20110822:7:4570539:4573039:1 gene:TCM_031384 transcript:EOY12881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TFIIIC, putative isoform 2 SSKRTLHSLSYYEFQGLDTTNPILLIDQKVKLIGEYEETIGTCLVFSEDEASPVVHEETGPSEANLFSGKYIIDPNQAPRKQVKPVAQLHKILKFRLLLDDDAQDETNSPTNSNL >EOY13948 pep chromosome:Theobroma_cacao_20110822:7:14912910:14931124:1 gene:TCM_032818 transcript:EOY13948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRKYNPDPSHVIRYETIQLQDDLTYEEQPVAILDRQVKKLRSKDVASVKVLWRNHTSEEVTWEAEDEMRTKHPHLFDM >EOY13666 pep chromosome:Theobroma_cacao_20110822:7:9986371:9995645:-1 gene:TCM_032303 transcript:EOY13666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSVIVEWIKESALHLFATSALCYLSCAIVGLACLTTGGLPPTFTTFKRSKALKGDQNVDNNGVRDGGLAILVGATTIATTTNIIAIEGGFSDSGASGSDGGGGSSGESGAGGGCGDSRDIEQMTLKELVNALEGLEQKKAVREQGLIESALIAKSKNLKFGSSNSRSNDVDKKNKGHVKKVCKNKGKSVDKKAVVVEELQAEDELVDDDFELVFKDKACTVIDFTNEELWHRRYGHANYSSLKLMLGKQKCVPFPKNKSWNATQKLQLLHTDLEDENVDEPPVRGTRSLQDIYSRCYVFVIEPSSFAEVAVDEKWKQVMEVEMSMIRKNQTWILVKKPDKQKVIGVKWVYRTKLNSDGFVNKYKARLVVKGFSQVYGVDYLKTFAPVARHDTIKLLVGLAAKEGWLIWHFDIQSAFLNGTISEAFMLNSQKALLNQERKIWYASSQRHYMDSNKPQGLDDFLIIGPSNEYLDEFKTKMKNEFDMSDLEVMPYFLRLQIIQNLDFIFLHQKKYTRELLKKFRMDECKVVSTPLVAGSKLLKIMEPSLSIYASSFEYTLDYSKENTESSAEAEYISAAAATNKPLWIKKNMEELNTYESNFMPSGKLLRIKKFRQGMKKSSAGKEDKTEDKKKTHRFTDSNQSGSQRSQTSSELLPVGDPQFPYYLHHIDHLGSVVINPKLTTNNYVAWSRSFLLALSIRNKLGFINGSIPKPQVTDDLYSSWIRCNNLIVAWILDSISPPIASTVFYMDFAMKIWSALKQSYAQPDDTRVCNLQYTIGSSVLKVRSQIILMDPIPTLDKVYSLVLREESQRNMLFQTQPVLESSTMNTMTDMKKNSKKDLFCNHCGKKGHSKEKCYRIIGFSEDFKFTKGRNNMRKGKGAVNSVTIVSEVLIEETHMDQGEGLSGTSTMSQMSNLQNQVNKLMEILSENGLTSFEGKSTSTNTQQTKHSLANSAFAGTVKLTLLLTLKNVLCVPSFKFKLVSTGQLTSTKTTCVLFTDMYCIVQDIPSWTVIGVARAITRLYLMEDKK >EOY13766 pep chromosome:Theobroma_cacao_20110822:7:10679969:10683774:1 gene:TCM_032409 transcript:EOY13766 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding HORMA family protein isoform 2 MERKDNQSPRGHIAGILVEFLEVAITSVVFLKGIYSPGAFERRRYMNVVVQRARHPQLRDYIHSAVSGLLPFIEKGLVERVAVIFFNTDNIPVERFMFKLTVNQSFDSKVEESDLEFSLRSFLIKLSVSQPLTKVLPCDCRWEITAYFRSLPQVRNSKDTELWISTDTKQWQQPPLITPIKSMNSEPLGVQLFLEHPSPSEPKS >EOY13765 pep chromosome:Theobroma_cacao_20110822:7:10679960:10684475:1 gene:TCM_032409 transcript:EOY13765 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding HORMA family protein isoform 2 MERKDNQSPRGHIAGILVEFLEVAITSVVFLKGIYSPGAFERRRYMNVVVQRARHPQLRDYIHSAVSGLLPFIEKSSWDAMWKCCPSYWFYENKVKRYQIFFEGLVERVAVIFFNTDNIPVERFMFKLTVNQSFDSKVEESDLEFSLRSFLIKLSVSQPLTKVLPCDCRWEITAYFRSLPQVRNSKDTELWISTDTKQWQQPPLITPIKSMNSEPLGVQLFLEHPSPSEPKS >EOY13452 pep chromosome:Theobroma_cacao_20110822:7:8461109:8461873:-1 gene:TCM_032027 transcript:EOY13452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKSTNLRPQMSQTSIDSRSPISLIADPQSPYFLHHTDHPRSVVINPKLTTNNYVVWSRSFLLVLSIRNKIGFMNGTIPKLDATKPIFPSWTRCNNLLVAWLLDSITQPIASIVFYVESTMEIWNTFKQNFA >EOY12736 pep chromosome:Theobroma_cacao_20110822:7:3910533:3911928:1 gene:TCM_031270 transcript:EOY12736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKDYFDKLMKIVNQVRLLGAELSDARIVEKVLLMHFKLLSKVEIRLKEVIETALQTKFKENTVLESNGKKSSGDKRDKNRKFVTNQEVQGEKPSHMTPNASLFSPIDQSQFARIEIGNGDYLEAIGKGTIVVSNPTRTRLALFLSFQIGTLEKSYESKEQNILNMNNHVLEDQVLATEGASIATSPSPPIITISNGANATLFKKSVSSGAFSSNSKKQEMVAQSLAEAEYVSATTTSNQVNWLRKYF >EOY13912 pep chromosome:Theobroma_cacao_20110822:7:13252639:13270338:-1 gene:TCM_032666 transcript:EOY13912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase MIFRVMMKMVMRSPQHLALGCFYALCILGAAQAQNQSQPTTDPAEVRALNSIFQQWGISARQGQWNISGEPCSGAALDSDSANFESGDYNPIIQCDCSFNSRSTCHITRLKVYALNVVGVIPDELWTLTFLTNLKLGQNYLTGPLSASIGNLTRMQWLDLGINALSGELPKEIGLLTDLRSLAIGTNNFSGPLPSEIGNCSMLEQLYFDSSGVTGEIPSTFTNLQNLQTVWASDTELTGRIPDFIGNWSKLRDLRFQGNSFEGPIPSTFSNLTSLTELRISGLSNGSSLSFMKDIKSLTILDLRNNNISDTIPSTIGEYQSLTQLDLSFNNITGQIPDSLFNLSSLTHLFLGNNKLNGSLPAQKSSSLRNIDVSYNNLAGSFPSWVNEPNLSINLVANNFTIGQSNSSVLPSGLNCLQRNFPCNRGRGTYYNFAIKCGGPQITSSDGTLFERDNETLGPASYYVTDTNRWAVSNVGYFTGSNNPQYTISLSSQFTSTLDPELFQTARVSASSIRYYGLGLENGNYTVKLQFAEIEIMDTNIWESLGRRVFDIYIQGNLVLEDFDIRKEAGGVSKRAVPKEFKAQVSENYLEIHLFWAGKGTCCVPAQGKYGPSISAISATPDFIPTVNNNAPTSKKSRTGLIVGIVVGVGAVSLLSVAAFCIFRKRRAHKKDDEELLGIDARPYTFSYAELKAATEDFNPENKLGEGGFGPVYKGKLDDGRVIAVKQLSIASRQGKSEFVTEIATISAVQHRNLVKLYGCCFEADQRLLVYEYLENKSLDQILFGKNLNLSWSTRYDICLGVARGLAYLHEESSVRIVHRDVKASNILLGSNLIPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKTDVFAFGVVALEIVSGRPNSDSSLEEEQIYLLEWAWYLHENDREVELVDGSLSEFNEEEVKRVIGIALLCTQTSPMQRPSMSRVVAMLSGDADVSRVVSKPGYLTDWKFDDTSFMSNLATRASETSYDTSTSTSIVAITENSPMDVNKPMPHSIIGEGR >EOY13355 pep chromosome:Theobroma_cacao_20110822:7:7684997:7686210:1 gene:TCM_031899 transcript:EOY13355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSSFPNISSTLLGELPKETWWGSDLYNWEGFWFASSRLSPVMAARSNFQASDDDVFLTSSTKTGTTGLKAIIPTIMNPKGRYFEATSLILCYQDLLRTLLARLCILLETQRTGLFHCGTLRTAGLMLWEKSLRRPVKILVLRFEDLKKDPKVLVKKLASFLGRPFANEEEVDKVLWRCSLERLKNLEVNQNGVDPWLGINYKFHFRRGIVGDWENNITEEMKEKLDQVTRMKFEGSGLDFAH >EOY14108 pep chromosome:Theobroma_cacao_20110822:7:20836706:20838246:-1 gene:TCM_033355 transcript:EOY14108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIIESLNDSGNFLLFIDDFTRMTYIHFLNHKSKVFEALQVFKVMVDNESRCLIKIIRFDNGLEYTSHEFRRVKWIYRRKLNSDGLVNIFKARLVAKGYTEQLRSDYMETFTLIARFETICHDSNLEP >EOY13994 pep chromosome:Theobroma_cacao_20110822:7:16792306:16794288:-1 gene:TCM_032992 transcript:EOY13994 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein, putative METITLFASPTTTTSQRPPMPTKKVANVVNNNNNNENGGNGESTINPLLNSTNPFIIGNPIIVTPSTSAQSFVTKEKLENLLDQKNKSLNFSKFNLKLPYPAKATAKLYPKDYTSLKFKQFNGKTSDAREHVKKFIETLGVIGLDYDLKL >EOY13658 pep chromosome:Theobroma_cacao_20110822:7:9937725:9942641:1 gene:TCM_032292 transcript:EOY13658 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5-like receptor kinase MFRLYSLTQNLLASSVILHLCPFPTQIKSSMPKPKAQLPWFGLMAVLSSFLLPEACIARSTNKDCGFTLCGDVNISFPFRLTSQPRKCGNHRLELECDNNNRTTLVMKHGRFYVRSISYGNQTIQVVDPNLGKNDCSIPRSSFIFGDPCKQPYWVSRSSIMYLVNCTTPIKSSQYVDASRCPNRSSHPPTYFYFLDKGTRWRDFNQFCTVATQVPIGLENISNMSTLDIFEKLLQGFELSWEWFNWDCSYRRSYDVLYALQAYVDSFVHFLFNGRHVAYNSFYPSKRTYILCLAVTGGVILLRSLPGICCLIALVTYKWRRRHLSMDDTIEEFLQSQNDLIPIRYSYREIKRMTRSFRDKLGEGGYGSVFKGKLRSGHLVAIKLLGKSKANGQDFINEVATIGRIHHVNVAKLIGFCVEGSKQALVYDFMSNGSLDRIIFAEENKITLNWQKMFDIALGVARGIEYLHQGCDMQILHFDIKPHNILLDENFVPKVSDFGLAKLYSVDDSIVSLTAARGTIGYIAPELVYKNIGGISNKADVYSFGMLLMEMVGKRKNLNAFADHLSQIYFPSWIYGQLHQEEDIELGEVTDGEKIIVRKMIITACWCIQLPPNDRPSMSKVLEMLETDVELLQMPPKPFQLPFEVSIEDHADENPSEDPTSSLLSSNEISLNIA >EOY11986 pep chromosome:Theobroma_cacao_20110822:7:535022:536966:-1 gene:TCM_030611 transcript:EOY11986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor-1 family protein, putative MPTDNEFDDNENEDKWFLMFCVYAIISLQFLLAVAVGSVVVSVSRIPHFVLHTAPGLSIYIISIVLCLIIQCVLLDLRNRWPCNCVLFILWTILFASAVGLSCSYSKGRGKTVLETIILISVVAVVLTLHSLYSALRKRDFSLCELFLIGIFLVACVYIPIQVFHPFAKLSTSIFGCLVALAVAGRILLAGNLCINHKFYDPIGAAVIVHLIFLLNNPGRRELIGRR >EOY13764 pep chromosome:Theobroma_cacao_20110822:7:10677747:10678389:1 gene:TCM_032408 transcript:EOY13764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MSSPPLPNQKPQQPFRRRIVRITVTDADATDSSSDDETTSPRKNQNQPKKKLVNEITIGMSTKENDIIAGPSKGKVKRYRGVRQRPWGRWAAEIRDGRRMRLWLGTFDTAEEAAVAYDQAAVKLRGPNAPTNFKLLDCNVVQS >EOY13947 pep chromosome:Theobroma_cacao_20110822:7:14784366:14787764:-1 gene:TCM_032809 transcript:EOY13947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MNTKSSGSSSMLPPGPPKLPLIGNLHLLVGTQPHRCLARLAQKYGPVMLLQLGEVSTVVISSPEAAKQVMKTHDSVFSERPFLYAAQFITYNFRDIVFARGDYRRQIRKICVLEMLSQKRVQSFRPIREEEISNLLRTISSKAGSPINLKNFLYSSTVNIFSRAAFGGKCKHQDAFKKLLPDIVVLFGGLSVVDVYPSAKLLHLINAMRPKNKKLHNKVDEILESIIQEHRATKLTTMTGESEVDDLVQVLLDIQDRGALEVPLSTSSIKAIILDIFLAGVETSSTVVEWAMSEMLRNPEVLKRAQAEVRHVFAGRRDVDESGIHELKYLRLVIKETLRLHPPAPLLLPRECQVNCEVNGCVIPAKSRIIINAWAIGQNQNNWTDAEKFYPERFCDSSINYKGGDFEFIPFGAGRRMCPGMSYGIAIVELILANLLYHFDWKLPNGKKPEDLDMTEHFRASLQRKDLCLVSIPHHL >EOY12905 pep chromosome:Theobroma_cacao_20110822:7:4744257:4749350:1 gene:TCM_031411 transcript:EOY12905 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein MDMDILSFSACFLIIFTKASCAPDKISPSESLTDGTTLVSSDGSFVLGFFTPGSSKNRYLGIWYNNIPMQTVVWVANRINPINDTTGLLQIESTGRVVLLGQNQTTVWSINSTEAAQNPILQLLDSGNLVVRNGKDGDSENYLWQSFDYPTDTMLPAMKIGWDLRTNLNRRLAAWKNSDDPSPGDLTYGVELQGNPEVVLRKGSEKYHRSGLWNGDGFSGAQNLRSNPVYEYDFVWNEEEVYYINYLKNKSVMSRLVLNQTEKVRQRYTWNPETQTWMLISIMPSDCCDILGLCGANGNCDNSTLPACQCLKAFRPKSLERWNSLDWSEGCIHNKPLNCQSGDGFLRIERVKTPDTSHSWVSKSMNLEECRAKCLQNCSCMAYTNLDIRGGGSGCAMWFGDLIDIKQFQSFGQDLYIRVSASEAELKNKAKAKLAVIIATPIAMFLGLLVVIYYIRRRRRKLEDEVKERILNDQKNQGQSEDMELAVFELATIARATGSFSFNNKLGEGGFGPVYKGTLANGQEIAVKRLSKSSGQGLNEFKTEVKLIAKLQHRNLVRLLGCCIHGEEKMLVYEYMPNRSLDSFIFDQRRCKVLDWPKRFQIICGIARGLLYLHQDSRLRIIHRDLKASNVLLDSEMNPKISDFGMARTFGGDQTEANTNRVVGTYGYMAPEYAIDGLFSVKSDVFSFGILLLEIISGRKNRGLYHQNQNGNLIEHAWRLWKEGRPLDLVDEFLAETGSLSQVLRCIHISLFCVQQHPKERPSMSSVVLMLGSENELPLPKQPGFWFHKSPFEADSTSGNYKSSSRNEISLSMLEAR >EOY12430 pep chromosome:Theobroma_cacao_20110822:7:2215875:2220254:-1 gene:TCM_030949 transcript:EOY12430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-1,4,5-trisphosphate 5-phosphatase 4 isoform 2 MKQEKDLMFGFSFQFCHKEERKRKETKRREDYFILFCFHYSIMASSSSSSFVRCFLLLLFFCFSLVQAAKVPFHPRDVLPLLPRQVSWPILNSLSSAVDLLPAFVGSVSSQNRGVEWKGACFYENTAWMEFHNKSGSQFGGGTLHIKVSKAHSWTCMDLYVFATPYRVTWDYYFLSREHTLEIKEWEDRAEYEYVKNKGISIFLMQAGMLGTLEALWDVFPLFTNTGWGENSNIGFLEKHMGASFESRPQPWVTNISVDDIHSGDFLAISKIRGRWGGFETLEKWVSGAYAGHTAVCLKDSEGNLWVGESGHENEKGEDIVAIMPWDEWWDFELNNDDSNPHIALLPLHPDVRAKFNETAAWEYAQSMAGQPYGYHNMIFSWIDTIDGNYPPPLDAHLVASVMTVWNKIQPEYAANMWNEALNKRLGTEGLDLSDILVEVEKRGSSFDALLTIPEQDGWIYSDGKSASCIAFVLEMYKAAGLFDPIADSIEVTEFTIKDAYTLRFFENNSSQLPKWCNDADDVKLPYCQILGKYRMELPGFNSIDPYPHMNERCPSMPPKYSRPQNC >EOY12431 pep chromosome:Theobroma_cacao_20110822:7:2217288:2220213:-1 gene:TCM_030949 transcript:EOY12431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-1,4,5-trisphosphate 5-phosphatase 4 isoform 2 MKQEKDLMFGFSFQFCHKEERKRKETKRREDYFILFCFHYSIMASSSSSSFVRCFLLLLFFCFSLVQAAKVPFHPRDVLPLLPRQVSWPILNSLSSAVDLLPAFVGSVSSQNRGVEWKGACFYENTAWMEFHNKSGSQFGGGTLHIKVSKAHSWTCMDLYVFATPYRVTWDYYFLSREHTLEIKEWEDRAEYEYVKNKGISIFLMQAGMLGTLEALWDVFPLFTNTGWGENSNIGFLEKHMGASFESRPQPWVTNISVDDIHSGDFLAISKIRGRWGGFETLEKWVSGAYAGHTAVCLKDSEGNLWVGESGHENEKGEDIVAIMPWDEWWDFELNNDDSNPHIALLPLHPDVRAKFNETAAWEYAQSMAGQPYGYHNMIFSWIDTIDGNYPPPLDAHLVFDIYFHIQNVKVLVYAAKFLPLYLLLSLFLSCSILLLL >EOY12081 pep chromosome:Theobroma_cacao_20110822:7:837018:840110:1 gene:TCM_030682 transcript:EOY12081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 71D10, putative MELLFPSLSILLSSFLFLSIVVKVVKRLITSDGNIKLPPGPWKLPFVGNMHQLLGSLPHHILKDLANQHGPLMQLQLGEISTIVVSSPEIAEDVLRTHGIVFAQRPQLLSMSIITYGFRDIGMAPYGDYWRQVRKICTVELLTAKQVQSFHSIRQEEVSALVKSVSSNEGSQINLSNKIFSLTYGITSRAAFGNKCKDQETFSSTIREVVKLISGFSIADMYPSFRVLQLISGLRQKLGTLHQKSDKILQGIIDEHRERMESGKISEGEAKEDLVTVLLKIQQLDYLEFPLTDNNIKAVIWDIFSGGSETSSTIVDWAMSEMLKNPRVLRKAQDEVRQVFHGKGDVDEASIHELKYLASVIKETLRLHPSLPLLLPRESRENCEIMGYQVPVKTNVIINAWAIGRDPKYWNEPETFYPERFLKTSTDFKGTDLEYIPFGAGRRMCPGIMFALPNIELPLAKLLYHFDWNLPSGMRHENLDMTETFGVTSRRKDDLILIPTTHSHSSAA >EOY14134 pep chromosome:Theobroma_cacao_20110822:7:21316130:21329759:-1 gene:TCM_033409 transcript:EOY14134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSIPTQFCSLASLRPILFKESPDWEMARLPTSGTQFLLSLNGAISGCNPFSKRCRFDLYLVVAARDYEFWIAPCCWGSLQVFLLFDSPICYSASSPLYPIPGLWRGHAPTTLPDVNFSRLTSVIVCIVESSSPNNFAMPKSPKCATISSSSRILLGFRSQLTIDPISALLPKSKFIKLLRFLICFGMLPFMLPPKASKSYKEIERFPIDTEAYH >EOY13405 pep chromosome:Theobroma_cacao_20110822:7:8023798:8025039:1 gene:TCM_031965 transcript:EOY13405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase MENTGFLLPLVVLLNFVAAFSAESPNITTDQLALLALKAHVTHDPQNILATNWSTTTSVCNWIGVTCRSDHQRVVTLNLSDMGLVGTIPPHLGNLSFLTQLNIRNNNFQGSLPMELANLSSLQYINFGNNNFVGEIPSWFDSFTQLQRLSLHSNNFSGIIPSSLGSLSKLKELFLYDNDLKGQIPVTIGNLSKLKLLDLGQNQLSGKIPTSLFKCQELEDLILSNNALEESVPQEIGNLTKVNNLFFSSNNLTGMLTMGILLDRLK >EOY14090 pep chromosome:Theobroma_cacao_20110822:7:20510247:20517932:1 gene:TCM_033320 transcript:EOY14090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGSNVKTLNLTLDSSLEGWVLAKFDLEGLYKGLECFETGQSSTNETLSVKRKGKKPRAPRKESELRDLKHKKHTFSKRRFEKTMKKQKSLESTIEDLKKEMNHEELQEYQEKLGRFEQNLSEIRMQFSLAKEINDDNQIFLNSIIALLFP >EOY14091 pep chromosome:Theobroma_cacao_20110822:7:20513322:20517878:1 gene:TCM_033320 transcript:EOY14091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 SEDLKKKPQCPLLLSQFLRPPVNTNMKCFETGQSSTNETLSVKRKGKKPRAPRKESELRDLKHKKHTFSKRRFEKTMKKQKSLESTIEDLKKEMNHEELQEYQEKLGRFEQNLSEIRMQFSLAKEINDDNQIFLNSIIALLFP >EOY12432 pep chromosome:Theobroma_cacao_20110822:7:2222964:2224070:1 gene:TCM_030950 transcript:EOY12432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase A MSILPQHKYPLRPLTYAPTCPRLCCIARVTCSATTTKPRSSQNPLVIEPRLVTTPDLVTTIPSTGPPLPNDPSLQSTWSHRGWVASGCTTLVISLAKAMMGVADSHVWLEPMLAGYVGYIVADLLSAVYHWGIDNYGDASTPVFGSQIEGFQGHHKWPWIITRRQFANNLYALARNVTITVLPIDILCNDPVVQGFVSICAGCIMFSQQFHAWSHGTKSKLPPLVVALQDAGVLVSRLQHSAHHKPPYNSNYCIVSGVCNEFLDKNKVFEALEMVIFFKLGVRPRSWSEPGAEWTEETDETHPQVTVH >EOY12786 pep chromosome:Theobroma_cacao_20110822:7:4149240:4161444:-1 gene:TCM_031309 transcript:EOY12786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin 2 isoform 1 MMLSASPTSLAKSSLEEMLDSLRRRDEAEKPKDLPPALPARPTSKARLPPARRSLPTNFKVDANGETGGNCGESGGGLSEVRGKEEGKRKEKELGVKRNSFGSKKMRKDVNVDSPYNMEAVEGKKGEEKDGKSRVSDAKVEMKEGGKGKAEWEENDNIEYFIKKKLLVWCRLSNGVWGSGTIQSTSGEESFVSLSNGNVVKVSTSELLPANPEILEGVDDLIQLSYLNEPSVVHNLKYRYSRDMIYSKAGPVLIAVNPFKDVKIYGKDFVTAYRQKATDSPHVFATADMAYNEMMNDGVNQSIIISGESGAGKTETAKFAMKYLAALGGGSGGIECEILQANCILEAFGNAKTSRNDNSSRFGKLIEIHFTTLGKMSGAKIQTFLLEKSRVVQLAAGERSYHIFYQLCAGAPPTLRERLNLKMANEYNYLVQSDCLVIDGVDDAQKFHKLMEALDIVQICKEEQEQALKMLAVVLWLGNISFQVIDNENHVEALADEALTSAAKLMGCAPHELMQALSTHRMQAGKDSIAKKLTLRQAIDTRDALAKFIYASLFDWLVEQINKSLEVGKQCTGRSISILDIYGFESFKKNSFEQFCINYANERLQQHFNRHLFKLEQEEYELDGINWTKVDFADNQECLDLFEKKPFGLLCLLDEESNFPNATDLTFANKLKQHLNANPCFKGDRGRAFGVRHFAGEVLYDTNGFLEKNRDPLNSELVQLLSSCNGQLPQSFASKMLNQSLKPATSFDASKQSVGAKFKGQLFKLMNQLENTTPHFIRCIKPNCKKLPGMYEEDLVLQQLRWCGVLEIVRISRSGYPTRMTHQKFAERYGFLLSKTNVSQDPLSISVAVLQQFNVLPEMYQIGYTKLYLRTGQIGALEHMRKQVLQGVIEVQKYFRGHRARRLFHELNKEAKHIQSFVRGENIRRKHAVEGNMCSAFASQLLDEQLTAVIYLQSVIRGWLARRHFNNMQNLKQLNRESVKSRRKMGRRISEAKGIPHEQQIPVLPSVMAELQKRVLKAEATLGQKEQENATLREQLQQYEARWLEYESKMKSMEEMWQKQMASLQSSLAAARKSLAADSTTGQLGRVDVASPRCYDSEDMSMGSRTPGGNTPVLYSGAMPDFVGGRENGSLNAVSNLVKELEQRKQTFDDDAKSLIEVRTANPGSVTNPDDELRRLKLRFETWKKDYKTRLRETKARLHKRGHPESDKARRKWWGKLSSRV >EOY12787 pep chromosome:Theobroma_cacao_20110822:7:4149109:4161322:-1 gene:TCM_031309 transcript:EOY12787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin 2 isoform 1 MMLSASPTSLAKSSLEEMLDSLRRRDEAEKPKDLPPALPARPTSKARLPPARRSLPTNFKVDANGETGGNCGESGGGLSEVRGKEEGKRKEKELGVKRNSFGSKKMRKDVNVDSPYNMEAVEGKKGEEKDGKSRVSDAKVEMKEGGKGKAEWEENDNIEYFIKKKLLVWCRLSNGVWGSGTIQSTSGEESFVSLSNGNVVKVSTSELLPANPEILEGVDDLIQLSYLNEPSVVHNLKYRYSRDMIYSKAGPVLIAVNPFKDVKIYGKDFVTAYRQKATDSPHVFATADMAYNEMMNDGVNQSIIISGESGAGKTETAKFAMKYLAALGGGSGGIECEILQANCILEAFGNAKTSRNDNSSRFGKLIEIHFTTLGKMSGAKIQTCKHQSRVVQLAAGERSYHIFYQLCAGAPPTLRERLNLKMANEYNYLVQSDCLVIDGVDDAQKFHKLMEALDIVQICKEEQEQALKMLAVVLWLGNISFQVIDNENHVEALADEALTSAAKLMGCAPHELMQALSTHRMQAGKDSIAKKLTLRQAIDTRDALAKFIYASLFDWLVEQINKSLEVGKQCTGRSISILDIYGFESFKKNSFEQFCINYANERLQQHFNRHLFKLEQEEYELDGINWTKVDFADNQECLDLFEKKPFGLLCLLDEESNFPNATDLTFANKLKQHLNANPCFKGDRGRAFGVRHFAGEVLYDTNGFLEKNRDPLNSELVQLLSSCNGQLPQSFASKMLNQSLKPATSFDASKQSVGAKFKGQLFKLMNQLENTTPHFIRCIKPNCKKLPGMYEEDLVLQQLRWCGVLEIVRISRSGYPTRMTHQKFAERYGFLLSKTNVSQDPLSISVAVLQQFNVLPEMYQIGYTKLYLRTGQIGALEHMRKQVLQGVIEVQKYFRGHRARRLFHELNKEAKHIQSFVRGENIRRKHAVEGNMCSAFASQLLDEQLTAVIYLQSVIRGWLARRHFNNMQNLKQLNRESVKSRRKMGRRISEAKGIPHEQQIPVLPSVMAELQKRVLKAEATLGQKEQENATLREQLQQYEARWLEYESKMKSMEEMWQKQMASLQSSLAAARKSLAADSTTGQLGRVDVASPRCYDSEDMSMGSRTPGGNTPVLYSGAMPDFVGGRENGSLNAVSNLVKELEQRKQTFDDDAKSLIEVRTANPGSVTNPDDELRRLKLRFETWKKDYKTRLRETKARLHKRGHPESDKARRKWWGKLSSRV >EOY12740 pep chromosome:Theobroma_cacao_20110822:7:3942513:3943851:1 gene:TCM_031276 transcript:EOY12740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDIALHVKLLELHCIFFHHHCFLPQVMKFDKNFLSIMLRKELTLEFSTHLIPYSDIVAAFSFSLFFEIFPPNKSVFLQFNCRKLHTFFIGNIFELKNSFYCVELVK >EOY14006 pep chromosome:Theobroma_cacao_20110822:7:17046726:17054598:1 gene:TCM_033020 transcript:EOY14006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERLDQALVLVNVKSRFIIPSKAMIEVGGKVHNIFVSIVSGELDEDKVVYSIRKNLLRGKAHKQWPELSKKPSYGSSSHDRSPISFSILGNHDVNQVSDLSLEDSTLGKKAFVMEKGVTELIKANDDGTPSDSNIQRKNLALRNKVEEIWDLSNRLRLVFHEDKDSNHSEDNGFRRDFIDELELISLPMSGGEFIYRNFKEDEAFSKLDRFSMGVEILNKYGELVQRRLLASLPDHNPMVLGATGID >EOY13678 pep chromosome:Theobroma_cacao_20110822:7:10081788:10091477:-1 gene:TCM_032321 transcript:EOY13678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15, putative isoform 3 MLEPREADIPALFLVLVVLPLVAYFLLGKWSETSKKRERISILAQLAAEEALRAETMAAASVIPLVASSKNGLHVCARCFGPATTRCSRCKAVRYCSGRCQIIHWRQVHKQECLQLESASTCSSPSVASIEESALLSDNMNSQFFGYINKQVVTEKAPFDSINHCQTAGVFANGDCSTVDNSHVCAPERRSTDKRISRKSNREMLRREDVALFDSCEEISRNRATSSTTNNISSKEAFIRQKSRTSGFVASEEGISKQHNADGSNMHIHGQNARTAMHESHKNQSQRGNMSEPKSNYEISGPPYSAKGGTSAHEAENAFVLSAENLVNGEFSFSDEPVELDCSGMTALKECTKARSSLHSLGPKVSKSPKLAVKVSGEQLCPEMERKGQITDELKVSGMTGAILTPGIDGPASMGIKEMMRLRKSSRLSRQDFSALCGERRKKIKMLFPYEEFVNFFQCEFFDLSPRGLLNCGNSCYANAVLQCLTCTKPLSIYLLRRSHSRACYGKDWCLMCELEQHVMLLRESGGPLSPSSILTHIRSINCQMGDGSQEDAHEFLRLLVASMQSICLERLGGEHKVDPRLQETTFIQHTFGGHLRSKVKCLRCSHESERFENIMDLTLEIFGWVESLEDALTQFTTPEDLDGENMYRCGRCASYVRARKQLCIHEAPNILTIVLKRFQEGKYGKINKCITFPEMLDMVPYMTGTGDIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLQGNWFRIDDTEVHPVSMSQVMSEGAYILFYMRSCPRPQRAFTEKSRQKQAPASTRHPTSKSEKLSRPEQSKSSSHSVGPKHFSDFRPEITTGCNNSNGILRQSANSNIHPVMEMYAEPISMEFSDATSSDWSLFTSSDEASFTTESTRDSFSTVDYADASSGDPFSIFNNLYTPESSSCNTVSCRMFSTSRPQTGYVLEEKGYVLDSYSSAQPVIRVQENLKQVGNSLTKISLDSDNGMFVKYGSNPKNTLDRTYGHCEL >EOY13680 pep chromosome:Theobroma_cacao_20110822:7:10081385:10095900:-1 gene:TCM_032321 transcript:EOY13680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15, putative isoform 3 MLEPREADIPALFLVLVVLPLVAYFLLGKWSETSKKRERISILAQLAAEEALRAETMAAASVIPLVASSKNGLHVCARCFGPATTRCSRCKAVRYCSGRCQIIHWRQVHKQECLQLESASTCSSPSVASIEESALLSDNMNSQFFGYINKQVVTEKAPFDSINHCQTAGVFANGDCSTVDNSHVCAPERRSTDKRISRKSNREMLRREDVALFDSCEEISRNRATSSTTNNISSKEAFIRQKSRTSGFVASEEGISKQHNADGSNMHIHGQNARTAMHESHKNQSQRGNMSEPKSNYEISGPPYSAKGGTSAHEAENAFVLSAENLVNGEFSFSDEPVELDCSGMTALKECTKARSSLHSLGPKVSKSPKLAVKVSGEQLCPEMERKGQITDELSAILTPGIDGPASMGIKEMMRLRKSSRLSRQDFSALCGERRKKIKMLFPYEEFVNFFQCEFFDLSPRGLLNCGNSCYANAVLQCLTCTKPLSIYLLRRSHSRACYGKDWCLMCELEQHVMLLRESGGPLSPSSILTHIRSINCQMGDGSQEDAHEFLRLLVASMQSICLERLGGEHKVDPRLQETTFIQHTFGGHLRSKVKCLRCSHESERFENIMDLTLEIFGWVESLEDALTQFTTPEDLDGENMYRCGRCASYVRARKQLCIHEAPNILTIVLKRFQEGKYGKINKCITFPEMLDMVPYMTGTGDIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLQGNWFRIDDTEVHPVSMSQVMSEGAYILFYMRSCPRPQRAFTEKSRQKQAPASTRHPTSKSEKLSRPEQSKSSSHSVGPKHFSDFRPEITTGCNNSNGILRQSANSNIHPVMEMYAEPISMEFSDATSSDWSLFTSSDEASFTTESTRDSFSTVDYADASSGDPFSIFNNLYTPESSSCNTVSCRMFSTSRPQTGYVLEEKGYVLDSYSSAQPVIRVQENLKQDKWILIPVCRQSPPSKEGTCMTLGMVESSSSPL >EOY13679 pep chromosome:Theobroma_cacao_20110822:7:10082505:10089239:-1 gene:TCM_032321 transcript:EOY13679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15, putative isoform 3 MLEPREADIPALFLVLVVLPLVAYFLLGKWSETSKKRERISILAQLAAEEALRAETMAAASVIPLVASSKNGLHVCARCFGPATTRCSRCKAVRYCSGRCQIIHWRQVHKQECLQLESASTCSSPSVASIEESALLSDNMNSQFFGYINKQVVTEKAPFDSINHCQTAGVFANGDCSTVDNSHVCAPERRSTDKRISRKSNREMLRREDVALFDSCEEISRNRATSSTTNNISSKEAFIRQKSRTSGFVASEEGISKQHNADGSNMHIHGQNARTAMHESHKNQSQRGNMSEPKSNYEISGPPYSAKGGTSAHEAENAFVLSAENLVNGEFSFSDEPVELDCSGMTALKECTKARSSLHSLGPKVSKSPKLAVKVSGEQLCPEMERKGQITDELKVSGMTGAILTPGIDGPASMGIKEMMRLRKSSRLSRQDFSALCGERRKKIKMLFPYEEFVNFFQCEFFDLSPRGLLNCGNSCYANAVLQCLTCTKPLSIYLLRRSHSRACYGKDWCLMCELEQHVMLLRESGGPLSPSSILTHIRSINCQMGDGSQEDAHEFLRLLVASMQSICLERLGGEHKVDPRLQETTFIQHTFGGHLRSKVKCLRCSHESERFENIMDLTLEIFGWVESLEDALTQFTTPEDLDGENMYRCGRCASYVRARKQLCIHEAPNILTIVLKRFQEGKYGKINKCITFPEMLDMVPYMTGTGDIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLQGNWFRIDDTEVHPVSMSQVMSEGAYILFYMRCLALALKEHSLKRAGRSKLQPPLGTPPQNLRSCHDQNKVNPVAIPLAPSIFQISGLKLLQAVTTQMAFLGRVQIVTFTL >EOY13564 pep chromosome:Theobroma_cacao_20110822:7:9236874:9244797:-1 gene:TCM_032161 transcript:EOY13564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase 2A, putative MDDKTASKNISSEGDKLKEELIQTLPQEKDWVGSTLYQYQGFWYPFFAPKAVIAFQNHFKAHESDIFLITMPKSGTTWLKALIFSIVNRNQFPPTQSPLLVTSPHELVPFIDLDIYSKNQTPDLENETFPNPRIFATHTPHGSLPSSILESNCRIVYLCRNPLDQFISEWHFIVNHFPINEHVRPISIEEGVDKFFKGIHAFGPFCEHVLGYWRMSLESPERVLFFKYEDLKEDISSNLKKLADFLGYPFSEEEMRQGVVEGISELCSFETLKNLEVSKTGKSDVRFKNSAFFRNGKVGDWINFVNPLIADRMVQLFEEKLGNAGLKFDLHLRHTAQSYLLKLYVYASSFLLILFQNQPAYPSLPHPIFLLAALRLRGKPQPLRTEFMASRTFLCFHHRKLILPFTTTKFIPSSKRPLKNLSFSSISCSLNTPQPTLETKSQLGSPPNVIKILEERGLLESVTNENLRLACSDQTAGLLKIDGATGRIGDPFGKSQERPELDLKSLEKNIVGIMDTINKILSKNANLGSQENSNFVI >EOY12978 pep chromosome:Theobroma_cacao_20110822:7:5119912:5121081:1 gene:TCM_031486 transcript:EOY12978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNIYIAFGVLVIMTSGAVMARDVDPIKANNCETKMTTHCVIEVFASIFKTRTVSDDCCHELIGLGQLCHDALVKKTLQNPLFKINDTSVILSRAAQVWKKCTLVGKDVSPTPSP >EOY13657 pep chromosome:Theobroma_cacao_20110822:7:9892266:9910163:1 gene:TCM_032287 transcript:EOY13657 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5-like receptor kinase MFQCFYISLIRHGNLLASCLHPRSASSPPKFFSMPKPKAQLPLLGLIALALFLLPETCSARSRNKDCGTSLCGNVPIRYPFRLKSQPRRCGDQKLELQCENNNLTTLVLEKGKFFVQDISYENYQIRVVDASLGWDNCSLPLSSVSVRFSECGATSYRPGFYVSEFSTMFLVNCTRPIKSSIYVDASRCSPINSSSHPPSYFYFLDQETKSPDFNESCTVIAQVPIMLKNIRGLSTSDIYRKLLLGFEMSWKDYNNTCYKVSFHDILPGLGYALRTYVDSFVYYLFNGPHVSYNSMDPPTSTYIICLEATGGIILPRILLGISCLVALVTYKLRRRHLSMDDTIEEFIQSQHNFMPIRYSFREIKRMTRDFRDKLGEGGYGSVFKGKLRSGHFVAIKLLSSKANGQDFINEVASIGRIHHVNVAKLIGFCVEGSKQALVYEFMPNGSLDRIIFAKDNKITLSWQKMFDIALGVARGIEYLHQGCDMQILHFDIKPHNILLDKNFIPKVSDFGLAKLYSVADSVVSLTAARGTVGYMAPELVYQNIGGISYKADVYSFGMLLLEIVGKRKNLDTFVEQSSQIYFPSWIYDQFDQRDNIEFREVTDDEKIIVKKMVITAFWCIQLKPIDRPSMSRVLEMLETDIESLEMPPKPFQYLLDTSSEGDVTENPIDESTASLHVANLREDIELGDIVDEEEDNSEEDVSNNTFWCIPMKPAARPSMIKVLEMLELMLGSLKCLSDFSNFLLKHQLRIMVVTIQLMSQLNLHAVIFKSDG >EOY13682 pep chromosome:Theobroma_cacao_20110822:7:10106093:10112190:1 gene:TCM_032323 transcript:EOY13682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase, putative isoform 1 MMEMERDTSIRAIIRNWKHGCRALLHMRWASAFGFHISVVGLVGVVGFVLAIIRDGKVGEKRKKDLEKLCLVPGLQNLGNNCFLNVILQALASCSYFQSFLQKILDKCKLSPVEGQDENLPLTITLTALLEELGMAGERRVALSPHKVMRALALYIQNFDLTSQQDAEEAFLHLLTSLREEFSDIYLPSQSTLADAFVSQTSRILIPQKEDQSEQERWQQHFLGPFDGIIGSILTCQSCSSQICLDFQFFHSLPLLPVLYGGSTIMAGCTLEDCLRQFVMAEQIENYYCSHCWHIAAIKYLSSTGANEMEIERLKRCSAQDSCDCRSFLCLQKLPWSNNFSCTLKQLSIARCPKILCIHLQRASINEFGELIKLQGHISFPLILDLSPFMTSEVEIKNCGGVQKGQVKLQNQKPSPCLNLINLQFETIFNHISKPMGEVSSEILAANDFQCTSHGESFPGKSNLSQTDGCSKALNTDMHEQHGDKVSLTSKLPPSETKLYQLVSVVEHFGRVGGGHYTVYRIMRAKSDEADSDEYSEPATMQWFCISDSQVCRVSEKDVLAAEASLLFYERIIEG >EOY13683 pep chromosome:Theobroma_cacao_20110822:7:10106217:10112051:1 gene:TCM_032323 transcript:EOY13683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase, putative isoform 1 MMEMERDTSIRAIIRNWKHGCRALLHMRWASAFGFHISVVGLVGVVGFVLAIIRDGKVGEKRKKDLEKLCLVPGLQNLGNNCFLNVILQALASCSYFQSFLQKILDKCKLSPVEGQDENLPLTITLTALLEELGMAGERRVALSPHKVMRALALYIQNFDLTSQQDAEEAFLHLLTSLREEFSDIYLPSQSTLADAFVSQTSRILIPQKEDQSEQERWQQHFLGPFDGIIGSILTCQSCSSQQICLDFQFFHSLPLLPVLYGGSTIMAGCTLEDCLRQFVMAEQIENYYCSHCWHIAAIKYLSSTGANEMEIERLKRCSAQDSCDCRSFLCLQKLPWSNNFSCTLKQLSIARCPKILCIHLQRASINEFGELIKLQGHISFPLILDLSPFMTSEVEIKNCGGVQKGQVKLQNQKPSPCLNLINLQFETIFNHISKPMGEVSSEILAANDFQCTSHGESFPGKSNLSQTDGCSKALNTDMHEQHGDKVSLTSKLPPSETKLYQLVSVVEHFGRVGGGHYTVYRIMRAKSDEADSDEYSEPATMQWFCISDSQVCRVSEKDVLAAEASLLFYERIIEG >EOY13365 pep chromosome:Theobroma_cacao_20110822:7:7777834:7780982:-1 gene:TCM_031916 transcript:EOY13365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 26 MECLRNVNQFLCLLLLCLNFQATLSSSTYSSLATQVCSHEESAALIQFKRSFSLDKDASKECGHNGILSYPKTDSWKEGTDCCSWDGISCDNATGQVIALDLSCSWLYGTIPSNSSLFLLRHLQKLKLAYNDFHNSMISPDQFGQLANLLHLNLSSSGFSGLIPNSIFNLMNLTYLDLSSNNLSGTLELNMLSKLQNLEGLDLSYNTLSLNSDIDVDYTLPNLQYLQLVSCNISEFPHFLSRFEGLQHLDLSYNNLSLNSDIDLNYALPNLQYLRLSSCNISDFPHFLSRSEGLQHLDLSNNRISGQIPKWMWDVGKDSLSLLNLSLNSLTHLEQLPWKNMNILDLHSNLIQGSLPILPLDTRYFSISNNKLTGEINSFICNVKFLSVLDLSQNFLVGIIPKCLAKLSNLLVLNLGMNKLNGTIPSTFAKGCALKYLNLNGNLLEGPMTESIKDCRSLELFDLGKNNINDTFPHWLGALPGLRVLILHSNSFHGSIYGSRTNHSFQNLQILDLSNNNFCGPLPASYIKSLKQMMHLDQNFVPYMEEHINNYSVIYDYSTGPIRVKGLDMIGSAKFLTMYTGIDLSSNKFEGEIPRIIGELSSLSGLNLSHNRLSGHIPSSLGNLTNLEWLDLSANALTGMIPEELVGLLFLAVLNLSNNQLVGPVPREKQFATFDNSSYEGNEGLCDCPLSRPCKNPEPRSPPSLTLMNSNKINGYKIGFGW >EOY12185 pep chromosome:Theobroma_cacao_20110822:7:1243194:1245778:1 gene:TCM_030760 transcript:EOY12185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyltransferase, putative MDGEFKNFIKVWILAITSLCYCYYISARVPKGLPRLLSFLPIIYLLFILPFSLSTVHLCGITAFCLAWLANFKLLLLSFGQGPLSPSPPKLFHFISMACLPIKVKQDPPSQPPQTSSSAIGKTLNSKNSETPCRHQSIQTPQKAFPRTHKRILLFIKAVLLAVVFHLYEYRQHLPKNMVLTLYCCHIYLELEIALALCATPARVLLGFELEPHFNEPYLATSLQDFWGRRWNLMVTRILHLAVYNPIRRISMPALGSKWAPLPAILAAFVVSGLIHELIYYYFTCMHPTWEVTWFFMLHGVCVAMEVAMKKIVTTDRWRLHPAISVPLTVGFMVVTGFWLFFAQIVTYGIDEKLVQESLISLNFVKKILGM >EOY12087 pep chromosome:Theobroma_cacao_20110822:7:884960:889713:-1 gene:TCM_030690 transcript:EOY12087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid reductase 4 MNMELDNVVKFLQGKTILVTGATGFLAKVFVEKILRLQPNVNKLYLLLRAADTNSATKRLNGEIISTELFRILRDRWGSEFDLFLSTKVIAVPGDISSESLGLNESKLREHMLREIEIVVHVAATTGFNESYDVALAINTFGALNVLSFAKKCDKIKLFLHTSTAYVCGEQAGIILEKPFSVDDTLLKTCKLDIIEEKRIVEEKLDELRFQHAPNEVIKSEMKEFGLKRAKLYGWPNTYVFTKAMGEMLLGNFKGDLPLVIIRPTMIASTYKEPFPGWIEGVRTIDSVIVSYGKGKLTCFPGYPNSALDIPADMVVNAMVVAMVVHTNDQSCQTIYHVSSSLQNPLKLRYFSKFMHRYFTENPWSNRNRQRVKVRKGTVLSTISGYFLYMWTKYVFPLKDFRQVYMDLNRKIKFAMRLAEFYKPYAFFKGIFSDKNLDKLRMVAQERGIDMGVFDFDSKSIDWEDYMINIHIPGLLRHATSSCFCPTIRFSPSSLTANIKKAIARIDSSDSMNAIFQLLTRYH >EOY12640 pep chromosome:Theobroma_cacao_20110822:7:3080686:3084492:1 gene:TCM_031134 transcript:EOY12640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-cadinene synthase isozyme A, putative MSIEVSAATLSAPTQHAELPEISRRSAGYHPTIWGDHFLSYASNSLENDGDDNNKQEHQKLNNELRKMLMADQVDKPLEKLKLIDAIQRLGFSYHFEKEIDLVLQQMHSSHDDCDIGVNDEDLYTISLKFRLLRQNGYKMSSDAFNKFRDSEGKFKECLINDVQGMLSLYEATHLRVHGEDILDQALAFTTTHLETMATCLNSSLAAQISQALRQPIRKGLPRIEARHYIPVYEEDPSHSEAVLTFAKLDFNMLQRLHREEVSEIAKWWKDLDFSRKLPFIRDRVIECYFWILGVYFEPEYRLARRILTKVIAMTSVIDDIYDVYGTLEELALFTSAVERWDISEKDHLPEYMQICYQALLDVYKETEETMTEEGKLYRLDYAIEAMKNQVRAYFREAEWFHNHHVPTMEEYMAVALVTSAYAMLATTSFVGMGDVATKEVFEWLFSDPKMVTASSIVCRLMDDIVSHKFEQKRGHVASAIECYTKQHGATEEEAVKEFRKQIADAWKDINEECLYPTTVPMPLLTRILNLARVIDVVYKTEDGYTHAGVMLKDFVASLLIDPVPI >EOY13535 pep chromosome:Theobroma_cacao_20110822:7:9104423:9120569:1 gene:TCM_032137 transcript:EOY13535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLIIACAIFFTEVKDAIFAMKSLWIKWQIRAMVVASLCVQLLLVNLVNQRRCSRKRSVYTATIVWLMYLFADWLATVSLSSLFKNSKEQLTSPLVVFWAPFLLLHLGGPDTITAYSLSENELWPRHFFGLCFQIGVALYVYVKFWTINTTTLTYLAIPIFIVGIIKYGERVWVLFSASDAKLRKSVFTTPTKSELEHVPSGADQQRVVVQIDDYLQDKGIHRKYRHLHRAYLSYTMFRPLFLDLKLRIYKQLSDLFTLEHMTMGEAFKLVEIELGFLYDLLYTKIPIVLSRIGVILRSICLSFLFSILIAFSIIVGNHGYPKVDIAISYLLLVGAIFLEIYSTVLHVSSDRGILRLTCQDNKLFKAIGSSLISVTKTNRSEIQSMAQNSLIDYCLQAKSTKFALVRSIFDTEDFVGKYGNTSWKDVKDDLKKFIYDHLLEKWKKYKQSNFDYECLIQLLNERGHNVLLEKHPEEDLGWSICDVEFTQSLLIWHIATDLVFRVDHPRGLVGTFGPYCKISKLLSDYMMYLLFMSPTTLPQGIIKVRLQDTCAEAMSFFHGELDHQKIVETLFRIGTENRAVLTPMGTQTKSVFFEGCQIAGRLQELVLTHHWDHEEKWEMIARIWLEMLTHAASECTWKEHAKQLKQGEELLTRVALLMAHFGLSKKIQMVDIPHELAAEGYQPEWDWDNLDRLAYYLV >EOY13337 pep chromosome:Theobroma_cacao_20110822:7:7585428:7587051:1 gene:TCM_031878 transcript:EOY13337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAISESSSSPPSSPGSSSLTSPSIQMVSKTVSERLLGKFFDASQYDFDYEQSGLWSPPVRRSVFLTSPGNICSEDEFFSKLKKAKKACRWRLRIACFNNDNHGDNLKWYNDPSADKCSVICRKQAKGISRRQDRCFPDKVPPRVCF >EOY11944 pep chromosome:Theobroma_cacao_20110822:7:413284:414178:-1 gene:TCM_030582 transcript:EOY11944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-rich protein-related, putative MDERDEAKPPKIDLKGKNSKFSVPEINMDEIKRDSSMHVSPQGEGTKPAGGRWNCLCSPTTHAGSFRCRHHRASGMRRGGSVGSNLALLGATKSEPISDFFQAR >EOY13571 pep chromosome:Theobroma_cacao_20110822:7:9280495:9284002:1 gene:TCM_032169 transcript:EOY13571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWTVRRTRRRQGEKKEKKVRRKKETKVGKKEVKTARRRREGNGSKASEWVQLSRSWNFFKASMAFPSWRGRKGNYLYDGPLGSLPALEGHRSSSQP >EOY12793 pep chromosome:Theobroma_cacao_20110822:7:4184659:4188411:1 gene:TCM_031315 transcript:EOY12793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis ccda chloroplastic protein isoform 2 MSLILNHSATSSFSRFSKISSLRNRPRTSYGRYTMAINKPTGKKDLCKYFESLKVPSIINTIAVANLVAIDSAKALSEDKILEGVASLYMLADGSPGDWFGGLLYSAGQQANEAVQGQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTIGYIGAFGSGKGRTEIVVNSLAFSLGLATTLALLGIGASFAGKAYGQIGQGLPLAASGLAVIMGLNLLEVIELQLPSFFNNFDPRAAAANFPSGVQAYLAGLTFALAASPCSTPVLATLLGYVATSKDPIIGGSLLLTYTTGYVAPLLLAASFAGALQSLLSLRKFSAWINPVSGALLLGGGVYTFLDRLFPAHMAM >EOY12794 pep chromosome:Theobroma_cacao_20110822:7:4184659:4188411:1 gene:TCM_031315 transcript:EOY12794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis ccda chloroplastic protein isoform 2 MSLILNHSATSSFSRFSKISSLRNRPRTSYGRYTMAINKPTGKKDLCKYFESLKVPSIINTIAVANLVAIDSAKALSEDKILIVVNSLAFSLGLATTLALLGIGASFAGKAYGQIGQGLPLAASGLAVIMGLNLLEVIELQLPSFFNNFDPRAAAANFPSGVQAYLAGLTFALAASPCSTPVLATLLGYVATSKDPIIGGSLLLTYTTGYVAPLLLAASFAGALQSLLSLRKFSAWINPVSGALLLGGGVYTFLDRLFPAHMAM >EOY13100 pep chromosome:Theobroma_cacao_20110822:7:5923924:5925551:-1 gene:TCM_031623 transcript:EOY13100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQRKMLFEWCEMCVSQRNIAPPRLHIWELLLSLRKRASVLFSSPHLPTSSFISVSMQACCYDAWKGSYEEADRSSISLFFGTDLYGKIKYRTRF >EOY12209 pep chromosome:Theobroma_cacao_20110822:7:1340221:1342405:1 gene:TCM_030778 transcript:EOY12209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSLAALVDLSWLMALLQEKPTFQQSCPCQSSTGQQSFFCKDCMLGFFFCESCNNHSRDHQGHQVLQNLDPIVYIDRESQEKQYGSSNRNKSGHEGRERDHFDINNVATKRRGTTAAQEGYQFDYVFDWTVLKCPQIGGSSKGWHPSGRADKRRSSSRYDSTSRRAVAASRPASSSGEPSDIQQNRLVSSGGRMYVYHTKNPTSLWGQNI >EOY13032 pep chromosome:Theobroma_cacao_20110822:7:5454561:5455635:1 gene:TCM_031539 transcript:EOY13032 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MENSTRLVQENRNNLPSPLNSPHGNGNNSNGVVQIHTPPLTPIPISRSETNPYPTTFVQADTTTFKQVVQMLTGSSETAKQASSKTPTQQQNQHHHQQQQQDPPLPSKSSFPIPPIKTNSPKKQNFKLYERRNSNLKNTLMINTLFPSSVTNSSSFSSPRNTEILSPSLLDFPKLALSPVTPLNEDPFNKSSPSLGNSSEEEKAIAEKGFYLHPSPMSTPRDTEPQLLPLFPVTSPKVSGSS >EOY14197 pep chromosome:Theobroma_cacao_20110822:7:22048082:22056159:-1 gene:TCM_033480 transcript:EOY14197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuole, catalytic MAVSFKYWDDCIDPQNMEEMWKQPEVRTEWIDAGETQGQRVHLSRDPEGQSYLTQTEMRAVAEIVTRIHFHSQIDPEMICAIADLESDRRPLSIRYDKKSKVTTIGIMQIAPKTAEWMFRECDYSSYPVEEDPNILYRPFVNVYFGAAYLQWLSKFDDEDRTEEFIVRAYRGGTKKASHKSTLPYWKRYLSVKESFLSRKHFDGPSPTQAPTSPTSPVSPVSPCSASGVGLNWDVRVSSEDMEQMWNHPDVSKEWTKSKERRGKVRFSYDKEKKPYLSRIELKAIAEIIVSKYFSTRGIKPTVLCALAEMVSMRFVDGIEARAGIMGIDYSTAFWLYTEVGYRAYRVDYVEDLTKPFVSMYFGAAYFAWLSEYEGRERTPQFVVQAYLAGPKNVNLQETGPMWLKFEQVLSSYEPRKRNQESCTIL >EOY13065 pep chromosome:Theobroma_cacao_20110822:7:5757765:5769666:1 gene:TCM_031592 transcript:EOY13065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosylfokine-alpha receptor 2 MVILGYIPMTFLNWVFLACFICSALSLETSGQSCYPNDVLALKEFAGNLTGGSIITAWSDESVCCQWDGVVCGNKSSVSASSRVISLILPKRGLKGNISSSLARLDQLKWLDLSCNHLEDVLPLELSNLKQLEFLDLSYNMLSGPVSKSFSGLTSIQWLNISSNSFNGDLLEFGRFPDVAVFNLSNNSFTGQVRSQVCSYSKRIQVLDLSMNRLVGSLEGLNNCSISLQQLHLDYNLLSGYLPDSLYSMTSLERLSITGNNFSGQLSKKLSKLSSLKSLIISGNHFSGTLPDVFGNLARLELLCAHSNLFSGPVPSSLALCSKLRVLDLRNNSLSGPLDLNFTGMPSLIQLDLATNHFSGSLPTSLSDCKELQVLSLAKNKFSSQIPETFANLRSLVFLSLSNNSFIDLSGALSVLQQCKNLTILILTKNFHGEEIPRNVSGFESLMVFALGNCALKGQIPDWLSGCRKLEVLDLSWNHLNGIIPPWIGQMENLFYLDFSNNSLTGEIPKSLTELKGLVSSNCSFSTLSSAAGIPLYVKRNQSASGLPYNQLSSFPPSLYLSNNRLNGTILPEIGLLKQLHVLDLSRNNITGVIPDSISNMENLEILDLSYNDLHGSIPQSFAKLTFLSKFGVAYNHLQGVIPTGGQFYSFSSSSFEGNPGLCGKIVSPCHVVDSSMLRPAIPSGSNNKFGRSSILGITVSIGVGILLLLAIVLLRMSRRDVGDPIDDLDEELSRSHRLSEALGSSKLVLFQSSNCKELTVTDLLKSTNNFNQANIIGCGGFGLVYKAYLPDGTKAAVKRLSGDCGQMEREFRAEVEALSRAQHKNLVSLQGYCKHGNDRLLIYSYMENGSLDYWLHESVDGSSILKWDVRLKIAQGAARGLAYLHKVCEPNIVHRDVKSSNILLDEKFEAHLADFGLSRLLRPYDTHVTTDLVGTLGYIPPEYSQTLTATCRGDVYSFGVVLLELLTGRRPVEVCKGKNCRDLVSWVFQMKSEKREAEIIDPSIWDKDREKQLLEMLEIACKCLDQDPRRRPLIDEVVSWLNGIEHEVVQQ >EOY14294 pep chromosome:Theobroma_cacao_20110822:7:23313360:23313770:1 gene:TCM_033629 transcript:EOY14294 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 20 [Source:Projected from Arabidopsis thaliana (AT1G54330) TAIR;Acc:AT1G54330] MTYKKRCIWCNHMGLLLRESLVKYIYRLGFLQILFKDLSNSLQKGIFISQKKYVLDLLKDFRLLDAKPCETPKDLNVKLIVKEREEFLDIKKYR >EOY14296 pep chromosome:Theobroma_cacao_20110822:7:23312912:23324532:1 gene:TCM_033629 transcript:EOY14296 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 20 [Source:Projected from Arabidopsis thaliana (AT1G54330) TAIR;Acc:AT1G54330] MAPMSLPPGFRFHPTDEELVAYYLDRKISGRTIELEIIPEVDLYKCEPWDLPDKSFLPSKDMEWYFYSPRDKKYPNGSRTNRATRAGYWKATGKDRAVHSQKRAVGMKKTLVYYRGRAPHGIRTNWVMHEYRLVESSSATAPASSLKDSYALCRIFKKTIQIPNKTKEAVENNINAEKEVGWVSDEQLFGDDASGTEISRRRDAEDENFNTSSSDVTQGTPNETGMADDYHQAPFTSDEANSSANMCSLPADFSSNLFQEMQMPGYTSLHYQVPYPPLELEDFPQIDISETKPEIIDEYMIYDKYRGCMNGSLEEIFSLCSSQDNSMPLSMQD >EOY11961 pep chromosome:Theobroma_cacao_20110822:7:458860:459984:-1 gene:TCM_030594 transcript:EOY11961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGYQEQVSLDFMYNDDSVLVVNKTSCTKCGVSNPISKFEDGDTGFQFGRYGFFYFISGERGHCKAGQKLVVRVMVHPAISSPQPAPSPHGDDVSDHEGGAWDSFLGPPPQNSTIKLTVA >EOY14092 pep chromosome:Theobroma_cacao_20110822:7:20525220:20526221:-1 gene:TCM_033322 transcript:EOY14092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTQITGMLSLPLFLLLVSHIYSLRSLRFCPKFQRFISVVSSARTAPNHFTSSLSSFSHHSIVLLYKTELNAKGKVKFIRKEKNQTRAKS >EOY12210 pep chromosome:Theobroma_cacao_20110822:7:1342314:1352885:-1 gene:TCM_030779 transcript:EOY12210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERVDADMIVSVLSTEAKAKRVPSFENSTQHMGRWCAVSIFRLPLYESLVSMSTKATRIKICTKLFAAASSAMARVFEKSLTRKDKEKDLMISNAEASLHLLPGQNSKLIVKSENRCMVFEFEVHGESSCIIRGREWREFIGNYSVGSIVTLYRDDDGNYKIQVR >EOY14276 pep chromosome:Theobroma_cacao_20110822:7:22858040:22860401:1 gene:TCM_033584 transcript:EOY14276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caspase, putative MAKKAVLIGCNYPGTKAELKGCINDVRRMYKCLTERYGFSEEDINVLIDTDESFTQPTGKNIRRALSDLVRSAEPGDFLFVHYSGHGTRLPAETGEDDDTGYDECIVPCDMNLITDDDFREFVDQVPEGCRITIVSDSCHSGGLIDEAKEQIGESTKRQESSSGFGFKSFLHQTVQDAFESRGIHVPSGLRHHYQRRDEEEVDDRGVGEDYDERGYVKSRSLPLSTLIEMLKQKTGKDDIDVGKLRPTLFDVFGEDASPKVKKFMKIIMNKLQQGNGEGGGGFLGMVGNLAQEFLKQKLDDDEGYGQPALETQVGSKQEVYAGATKRTLPDSGILISGCQTNQTSADASPSGNSSEAYGALSNAIQTIIAESDGTVSNQELVLKAREMLKRQGFTQRPGLYCSDHHVDAPFVC >EOY13030 pep chromosome:Theobroma_cacao_20110822:7:5441785:5447463:1 gene:TCM_031538 transcript:EOY13030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin related protein 5A isoform 2 MATPTATKTPADKSKRSQPHHHSHDSSSSSSSLSRFEAYNRLQAAAVAFGEKLPIPEIVALGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDPSAHEPRCRFQEEDSEEYGSPVVSASTIADIIKSRTEALLKKTKTSVSPKPIVMRAEFAHCPNLTIIDTPGFVLKAKKGEPENTPEEILSMVKSLASPPHRILLFLQQSSVEWCSSLWLDAIREIDPTFRRTVIVVSKFDNRLKEFSDRWEVDRYLSASGYLGDNTRPFFVALPKDRNTISNDEFRRQISQVDAEVLRHLNDGIKGGYDEEKFRPYIGFSSLREYLESELQKRYKEAAPATLALLEQRCSEVNIELARMDSKIQATSDVAHLRRSAMMHAASISNHVGVLIDGAADPAPEQWGKTTEEERSESGIGSWPGVTVDIKPANAILRLYGGAAFERVMHEFRCAAYSIECPPVSREKVANILLAHAGRGGGRGVTEAAAEIARTAARSWLAPLLDTACDRLAFVLGNLFDIALERNISRESEYGRKTGNMDGYVGFHAALRHAYNRFIKDLAKQCKQLVRHHLDSVTSPYSQVCYENDFQGGFSSSATSYYKHNQASAASFCLELSDGGQESCDATMKDQENINPGKNVQQTTPGKGTEAREALRECQLTVPETPSPDQPCEVVYAGVKKELGNCIEVGPRKRIARMTGNRNTEQLKVHNGGSLLFGNGDSGSRSSSAYSEICSSAAQHFARIREVLVERGVTSTLNSGFLTPCRDRLVVALGLDLFAVNDDKFMDMFVAPGAIDVLQNERQSLQKRQKILQSCLNEFKNVARAL >EOY13031 pep chromosome:Theobroma_cacao_20110822:7:5442823:5446886:1 gene:TCM_031538 transcript:EOY13031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin related protein 5A isoform 2 MVKSLASPPHRILLFLQQSSVEWCSSLWLDAIREIDPTFRRTVIVVSKFDNRLKEFSDRWEVDRYLSASGYLGDNTRPFFVALPKDRNTISNDEFRRQISQVDAEVLRHLNDGIKGGYDEEKFRPYIGFSSLREYLESELQKRYKEAAPATLALLEQRCSEVNIELARMDSKIQATSDVAHLRRSAMMHAASISNHVGVLIDGAADPAPEQWGKTTEEERSESGIGSWPGVTVDIKPANAILRLYGGAAFERVMHEFRCAAYSIECPPVSREKVANILLAHAGRGGGRGVTEAAAEIARTAARSWLAPLLDTACDRLAFVLGNLFDIALERNISRESEYGRKTGNMDGYVGFHAALRHAYNRFIKDLAKQCKQLVRHHLDSVTSPYSQVCYENDFQGGFSSSATSYYKHNQASAASFCLELSDGGQESCDATMKDQENINPGKNVQQTTPGKGTEAREALRECQLTVPETPSPDQPCEVVYAGVKKELGNCIEVGPRKRIARMTGNRNTEQLKVHNGGSLLFGNGDSGSRSSSAYSEICSSAAQHFARIREVLVERGVTSTLNSGFLTPCE >EOY11881 pep chromosome:Theobroma_cacao_20110822:7:195649:199882:-1 gene:TCM_030537 transcript:EOY11881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase 15 MFQSRAVASILKRNKSVLNSLASNLKGTSSSRVFTSSSSSSASAWTANAVARSCFHGFRSLPKGHQVPSFRNMSTLASVATEKEGFKLLVTAGPRAQKMVSIWLFGSAAWVFSMVVLGGVTRLTRSGLSMTDWKFTGRLPPLSDEEWQREFEKYKQSPEYKRVNKGMSIEDFKFIYWMEYAHRMWGRALGVMFALPFSYFLRKGYITLRLGLRLSALFALGAGQGLIGWWMVKSGLEEPPSEYVEPRVSPYRLAAHLTSAFVIYSGLFWTALSVVMPEPPAESLAWVQGAAKVKRLAIPVSLIVGITAVSGAFVAGNDAGHAYNTFPKMGDVWIPDDVFELKPLIRNFFENTSTVQLDHRILATTTLISISALWWSSRKLDIHPAIRSLVGSTLGMAALQVTLGVSTLLSYVPVSLGTAHQAGALTLLTLMLLLNHTVRRPSMSLLKTLPQVAKTSC >EOY12969 pep chromosome:Theobroma_cacao_20110822:7:5076836:5090493:1 gene:TCM_031477 transcript:EOY12969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase 44 isoform 2 MDNRIFLLGLFLFAFGGVNGFPMNDLIEKLPGQPNVTFRQFSGYIDIDGKAGRSLFYYFVEAEKDPMNLPLTIWLTGGPGCGSVGDGFLSVGPFITTANAHGLQRNPYSWIKVTNLLFIDSPIGAGWSYSNTSRDYEVGDDSTNKDLLTFILQWFEKHPNFKSRDLYLGGSSYAGHFIPNFANSLLDYNNNQSNSSKFNIKGLALGNPLLRNKLDTLAVYDFFWSRGMININLHQQILKECNGIDEDNYSNNATKWSEPCQQAMDKAEMAAFIVSSTNVAKARRFDVLRDPCDEKWEDLVLGKEVTKVSFEVDMCIPFRADFYFNIPEVQKAFHGNRTNLGYQWKGCFEKSGLKYSDADKDIDMLPALKKILQQSIPITIFSGDQDAIVPTIGTLNHVNKLAKDMNLNLTKDEAWNHENKGGGWMYSYDNLLNFMTVKGANHHVTFSKPSEALFIFTNIVLNQSQ >EOY12968 pep chromosome:Theobroma_cacao_20110822:7:5075941:5080091:1 gene:TCM_031477 transcript:EOY12968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase 44 isoform 2 MLYCSLGFVDGLNMRIFLLGLFLFAFGGVNGFPMNDLIEKLPGQPNVTFRQFSGYIDIDGKAGRSLFYYFVEAEKDPMNLPLTIWLTGGPGCGSVGDGFLSVGPFITTANAHGLQRNPYSWIKVTNLLFIDSPIGAGWSYSNTSRDYEVGDDSTNKDLLTFILQWFEKHPNFKSRDLYLGGSSYAGHFIPNFANSLLDYNNNQSNSSKFNIKGLALGNPLLRNKLDTLAVYDFFWSRGMININLHQQILKECNGIDEDNYSNNATKWSEPCQQAMDKAEMAAFIVSSTNVAKARRFDVLRDPCDEKWEDLVLGKEVTKVSFEVDMCIPFRADFYFNIPEVQKAFHGNRTNLGYQWKGCFEKSGLKYSDADKDIDMLPALKKILQQSIPITIFSGDQDAIVPTIGTLNHVNKLAKDMNLNLTKDEAWNHENKGGGWMYSYDNLLNFMTVKGANHHVTFSKPSEALFIFTNIVLNQSQ >EOY12627 pep chromosome:Theobroma_cacao_20110822:7:3030620:3031791:-1 gene:TCM_031126 transcript:EOY12627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLFIEICYKKGPTLLSYFLLIFTKHHAPWLLEVVEMAKAGIFVCLVIVIVDVAAGILSIQAQIAKDKVRYMSLKRFECQEPNDQAFKLGLAAATLLALSHVTANLLGGFMCICCTEELERSSANRQFWFGCLVLSWIVVAVGFPALVMGTLENSKSKGSCQVLHHHFLILGGILCFVHGLLSVAFYVSATVSFENGTPHGLQGDP >EOY13859 pep chromosome:Theobroma_cacao_20110822:7:11804534:11806501:-1 gene:TCM_032546 transcript:EOY13859 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT family protein MKEAKEVEGELKNFIRLCVWTMASLLYCYFIAKKIPKGLLRLISVLPVITLLSILPFDLNTLHIDILRATVYNPARSMSTRVIGPRWASLPGVFLTFLVSGLMHESLVYHLTRESPTWEVTWFFILQGVFVDVEIILKKKLAATNKFRLHRAISGPLALANIAITAAWLTYAQVVRNSIDQRVIKELNMFREFLKGMAISRWSGSKGQMVCDCSY >EOY11901 pep chromosome:Theobroma_cacao_20110822:7:292359:293783:-1 gene:TCM_030555 transcript:EOY11901 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 1 MSAEAAATMEIETLPSDPKPASNSLPPKLKFEPLKAHEMSDGRVQFRKVSVPPHRYSPLKKYWMDIYTPIYEQMKIDIRMNLKARKVELKTRSDTPDISNLQKCADFVQAFMLGFDVVDAIALLRMDELYVESFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENATKTRIVIADTKIHILGSFANIKIARDSLCSLILGSPAGKVYSKLRQVSARLAERF >EOY11900 pep chromosome:Theobroma_cacao_20110822:7:292014:293892:-1 gene:TCM_030555 transcript:EOY11900 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 1 MSAEAAATMEIETLPSDPKPASNSLPPKLKFEPLKAHEMSDGRVQFRKVSVPPHRYSPLKKYWMDIYTPIYEQMKIDIRMNLKARKVELKTRSDTPDISNLQKCADFVQAFMLGFDVVDAIALLRMDELYVESFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENATKTRIVIADTKIHILGSFANIKIARDSLCSLILGSPAGKVYSKLRQVSARLAERF >EOY12564 pep chromosome:Theobroma_cacao_20110822:7:2814121:2815450:1 gene:TCM_031083 transcript:EOY12564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-race specific disease resistance protein 1-like protein b, putative MADSGGGCCRCCCSFIFTLGLTALFMWLSLRTSNPKCSIKLLYLPSLNKTLNLSTDPTLNFTLGLDNPNKDKGIKYDPVNVTVYDFPNRSHVIGGGVIQGFYQGHKKKATKQSQGTANTTVALRAVSENGTGVFRVDMSTAVKFKIIFWYTKKHKIRVGADVLVNASGVKVYPKGIRLKSMAPKMGSFCVLVGALVNFLVFTLLSFCGKH >EOY11907 pep chromosome:Theobroma_cacao_20110822:7:319094:322159:-1 gene:TCM_030562 transcript:EOY11907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of Uncharacterized protein function, putative MEMANLDDKEEKWIKHYSSRHEILLVGEGDFSFAACLARSFGSAANMVATSLDSKEMLKRKYSGAMTNLEELKELGCTIIHGVDANTMSRHALLKSKLFDQIVFNFPHAGFLYREQDIFQIKLHQNLVQGFLRNASDMLTDRGEGQCSTFKFRKPSGLADQFSGMRISTDSLTVSLPRAGVNEVAIQGKEKDELVVIGDEVDSVKLARCLRKKLRTATILSVKEKKKEKKEEKKDEKQDEKIPSYYDHYPIYIISEVDYDPCQPTCSIL >EOY13698 pep chromosome:Theobroma_cacao_20110822:7:10213008:10241148:-1 gene:TCM_032338 transcript:EOY13698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, putative MPTHKLMGKIPFILAFMLSVLSSSFMATLFAESAPNITTDQSALFALKTHLTRDPQNLLETNWTMATSVCNWIGVTCGSRHRRVTALNLSGMHLAATIPPHLGNLSFLALLDIAHNKFHGSIPFELCNLRRLKYLNFCNNSFSGEIPSWLGSFPQLESLRLGINNFYATIPPHLGNLSSLALLDIAYNKFHGSIPFELCNLHRLKYLYFLNNSFSGEIPSWFGSFSQLEFLRLDGNNFSGVIPSSLGNLSKLEALSLYGNNLKGKIPITVGNLSNLEWLFLNHNQLSATIPPHLGNLSFLALLDIGYNKFHGPIPFELCNLRRLKYMFFHNNSFSGEIPSWFGSFSQLELLRLDGNNFSGVIPSSLGNLSKLEKLSLSSNNLKGKIPITVGNLSNLEVLFLNDNQLSGHLPLEIFDHLSKLQALNLTWNQISGSVPSSLFNLSSLQFISLGFNKFACHLSSDMFDYLPNLQYLDLHRNQLEGTVPLEIGNLTSLHYLDIGGNKFVGRLPNLPPSLHGFCGAENHFVGEIPSSFCNLSSLGGLNLFANNLGGIIPECIGNLSSSLSILNLQKNNFRGKIPQNFAKGCLLRNFHINNNQLDGSLPRSLGNCHDLRLLDVGNNFLNDTFPNWLGNLTQLQVLILRSNRFYGHINNFKVNSSFNRLHIIDLSHNNFIGYLPTKFFENLHAMREEHEKKPEYMYDASADGWFGFAERVFFTTKGLELEFQILTSLMAVDFSNNQFIGEIPEILGELHSLIFLNLSHNSLMGHIPSILSNLSQLESLDLSSNKLEGIIPTQLQNLLFLAVLNLSHNYLVGPIPQDNQFHTFTNDSYNGNLGLCGFPLSKSCSNDEGLRPPPTQMYEEDRFTRAFNWKLAMLMGYGCGLVFGLSMGYIVLKTGKPWWIIRMIDRGQQEYVRGKARRSGRRNHTNALRFGLRKVDGKTES >EOY13685 pep chromosome:Theobroma_cacao_20110822:7:10117585:10119545:1 gene:TCM_032325 transcript:EOY13685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFDSKAVSSVRVGNWHGLELLCTIFLFFLQQEVMMILLVIWQACTVPCGSI >EOY14218 pep chromosome:Theobroma_cacao_20110822:7:22245839:22248653:-1 gene:TCM_033504 transcript:EOY14218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYVTRALSSYRRNPIELSAAAAGGPHSGLMVMRGEEADEEEGRGCLSSGCGYAQGLFPKITRPQNAVLHNTCSDSGDDCLFIPLLDQPLSSNRYHVIHANGIHKGLASRCSTEEGMSYCCSRRIIEDVEPTAFDYRDEYQQYEICSDSDAGFYAKPIVPYSFPPSFLRFKFHLFVKTSEVYHLDDAQGLDHSLRMSLPELDFTRIIIGKWYTPFVFVKGEGPVKVQMEKYLFYTITLEKRWQKIHSCENHGSKGNAIAVNISVQREVNFLFGTEAEKDSGMDNDGLIWFRVYDHRREVNKVGLSLAFVERMRWLEQSARWVDGVETASGVGWRRFCCYVLVESFVLRRMDGTLVLNCDFRHTNEIQTKWQ >EOY14107 pep chromosome:Theobroma_cacao_20110822:7:20834211:20834818:-1 gene:TCM_033354 transcript:EOY14107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFTTDTKTLSSSLDIQTMIGQALHQGMYSHREMVSLAITQKNKMLWLKHQFKLKHIPIANQAIWLRRVLFDLNHPQLNPTMNYVDINCVIAITKNQTAH >EOY12835 pep chromosome:Theobroma_cacao_20110822:7:4363686:4369776:1 gene:TCM_031352 transcript:EOY12835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein MAFPLSSSITLSFTPSTPKQHFLSTHFAFRFSHACSQENARPVQLKVQCKAFKDFVKDQSPWRNIPRSLNLSTFMNGKKLESLVAVVLILAQISSPLPLGGWDFWSVLPANAVLYSPETKIPRTGELALRRAIPANTNMKAIQASLEDISYLLRIPQRKPYGSMEGNVKKALKIAVDGKDSILGSIPADLREKGSILYTSLIDGKEGLQALLKCIKDQDPDKVSVGLASSLDTVAELELLQAPGLSFLLPEQYLKYPRLTGRAVVELNIEKGDGSSFTPEAGGEPRKTATIQVVLDGYSAPLTTGNFAKLVIDGAYDGTKLSCINQGIISENSLDKNGYSVPLEIMPSGQFEPLYKTTLNVQDGELPVLPLSVYGAVAMAHNEDSEEYSSPYQFFFYLYDRRNAGLGGISFDEGQFSVFGYTTAGREVLPQIRTGDVIRAAKLVEGQDRLVLPKESQ >EOY13573 pep chromosome:Theobroma_cacao_20110822:7:9311708:9313575:-1 gene:TCM_032174 transcript:EOY13573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRLFILTFKICLSGKTALQATILPGPFADESSLPNLNLLNIGNISSLAWTPSKLSAYAGKFCMGDWLSKRSLPGVVLSEWIQRRAFSAKDFELTKLQAAWWANAKRLDHNISIGDLTRSPNAGTTPARCKQTSNTISWSKSPVGSLNFNTDKPWRGCPGDSGIGGILRNEHGDVLILFSKSLGSPNKVPWRLRQLIAQTLNILGKIKKWDIKHILRLANNEADALAKEGVLRTIDFLWSLDVGSAQQMESWSTDFGSSKLLTECLFACFYLLSIECLCLLTSLESLYIYW >EOY12204 pep chromosome:Theobroma_cacao_20110822:7:1292815:1297029:-1 gene:TCM_030771 transcript:EOY12204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKHWLPNPSTRSLIYICNNLMPQVAAEGVAFRDEWPFAIHLLGHIYADDINSARFLWKSIPAAIKESQPELVLQPGKLGLQVAQVTCKASAKVLTGYAMTEVVVKVVKIRKDKEMGLRITSKDGNLDLLPKGNCKFPVQSEHGELDLEIIQADGDSRIIRGEGWKDFIRHYQLGAIVTLYLDENGSFKLQARK >EOY13761 pep chromosome:Theobroma_cacao_20110822:7:10639493:10640137:-1 gene:TCM_032402 transcript:EOY13761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKQQKAGKAGTSKRQGNLFKKAAELSTLCGARVAIVTISEKGKVSTFPDSDTVIRRYLAEKVSSRSASGGNALRDSGRKGCKEGGKKQGVEEGAGAGETRQGKNGVCDVAAEEGGGLMLKDLNQAWDEQCD >EOY11987 pep chromosome:Theobroma_cacao_20110822:7:537936:539402:-1 gene:TCM_030612 transcript:EOY11987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor-1 family protein, putative MARDGNSINSPLLPGSCRGNEWDTIFVAYALISLQLLLAVAFGSNLVFNSGIPCFELIHTTPGLTICIISFILWLVVQCPLLAFSNHSPWNFLLFALWSILFAFTIGLSCSYLEENGRTILVAIILMSVVTVSLTVYALCGAIGDFDFSLCAPCFLGTFLVLCLYVTIQIFGPAANLSTSVYAVLAALLFVGHTYIAGNQMVKDGRDDIEDPISAIVYSYWTFFYHPKLACG >EOY13936 pep chromosome:Theobroma_cacao_20110822:7:14454221:14457944:-1 gene:TCM_032777 transcript:EOY13936 gene_biotype:protein_coding transcript_biotype:protein_coding description:3R-linalool synthase, putative isoform 1 MLTLPLIFSIKHLLMLRKKMDGNKAEQIQQSLEYPLHWRMPWTEARDFIAINRYDAKMNSVLLELATLNYNIMQSVYLKELQELMEWWKDLNLKERLPFARDRLLECYFWGLGSCPPGPQLPMLRRNLGKFGSLATPLDDIFDVYGSLDELEKYTDAVNTWDLSKAMEELPEYMKVSFSAMYNHVSEMVQDALKDNGMDILPSIKEQWLCYVRGYLKEARWFHSGYTPTADEYLENAWVSSGIPLSIVYGVFGVAGHSINQYLSEFVEHWSASDLIRLPACISRLIDDLNTAEVEMKRGESMNFIHFYMTQEGVSEEEARDHVKGLIRNLWKKLNKAIVKDSVRAPGIVKVAVEMTRCVHRIYHYGDWFGIQSKENQDCVKSILEPIPMEQCDQALLK >EOY13935 pep chromosome:Theobroma_cacao_20110822:7:14445100:14457945:-1 gene:TCM_032777 transcript:EOY13935 gene_biotype:protein_coding transcript_biotype:protein_coding description:3R-linalool synthase, putative isoform 1 MEAKVIERRSAGHLPTVWDVELVKSFTTPYSFESHGSRLEELKQGARDLLATMKEPRDQLDLINTLQRLGVAYHFEKDIKDILAKLVDANIATDLYTVALQFRLIRQNGFFISTDVFNKFMERDGKFMDSLREDVFGLLSLYEASYLGMPEEDVLDEALNFSIKHLLMLRKKMDGNKAEQIQQSLEYPLHWRMPWTEARDFIAINRYDAKMNSVLLELATLNYNIMQSVYLKELQELMEWWKDLNLKERLPFARDRLLECYFWGLGSCPPGPQLPMLRRNLGKFGSLATPLDDIFDVYGSLDELEKYTDAVNTWDLSKAMEELPEYMKVSFSAMYNHVSEMVQDALKDNGMDILPSIKEQWLCYVRGYLKEARWFHSGYTPTADEYLENAWVSSGIPLSIVYGVFGVAGHSINQYLSEFVEHWSASDLIRLPACISRLIDDLNTAEVEMKRGESMNFIHFYMTQEGVSEEEARDHVKGLIRNLWKKLNKAIVKDSVRAPGIVKVAVEMTRCVHRIYHYGDWFGIQSKENQDCVKSILEPIPMEQCDQALLK >EOY12316 pep chromosome:Theobroma_cacao_20110822:7:1674795:1677151:-1 gene:TCM_030857 transcript:EOY12316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLISMPTPRGVNVGYSGVVQSQNGRWKKYELSHASGMDLLSVFSSLIGILSLLSNWVSLKYQDESPFKDGNNINISVSVIALIICLIAIVVIYNGQYVGYHKTIINISILSGSFAIISVLKILFPNLGWFFRAIWLAWFACLALDSYKELFQFFAVAARGIPDLWNTFLGRDQVNEGNNDQSSTTDHASA >EOY12206 pep chromosome:Theobroma_cacao_20110822:7:1304460:1305058:-1 gene:TCM_030773 transcript:EOY12206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREVFVKKVSTVKDKEKGLRISSKEGNLDLLPKGNCELRVQSESGELDLELIQADGDSCIIRGKGWRDFIRHYDLGATVTLYLDDNGSYKLQAGI >EOY11836 pep chromosome:Theobroma_cacao_20110822:7:67656:75751:1 gene:TCM_030507 transcript:EOY11836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLSIENPPPDPPCPCQFLQLKSGSDEIERPPHKLPLPEVDLLKQPSLDHHHHNHHHTPLPKFSIRNYVFTARSKDIKTNWPFSPKNLQLCLKHGLKDPLPPFQPLDTVRNQSLKRCTVETNPFEKQNTREFDEEPSGSNDDVVLELSNDAHSNHDIAGTCIDNSSCRSGGEHENDLPSTTTSACQSEIDSVLVNKQSNLPLETDTSVEASAEVQATGPFKSQKTENTTRPSGKKCRLIVKFGPHSDRSSTEDIASNCTTVSESMASKVCPVCKTFSSSSNTTLNAHIDQCLSVESTPKWTADSKLTRNRIKPRKTRLMVDVYATAKPCTLEELDRRNGTSWATASNIPRQDSERLEISDEGKKQRVSPIHPEDTGDVGAVYIDANGTKLRILSKFNDVPPVSKVGEDLGPHKSLKGGKGSKFFSTKKKRRHAPKHHKYLKLAPQSRKIFSHKTRSSTIVGGEEGYCGVEESCRSEGPQVTKQIKSSDSRNLRQRVCSKRAGLSRKPNAQARQQPLICKWHVTRDLRGQSDQSHQGDHVVERNCVRKFKISSENPISSPEKCETIEKPVYEAPVIDKRERSFGRKRVRSPLFGARICNNVERSLLPLKQNGNQLSKDHPFVHEDHMVRSLNSGGNCISSLSKKMVDIDANSNPETPVTATTTISQHSFAFKCFRSSPKKNVLAASNRSSMVESRSNLVEKYSTRESQLHFMAEIDEGAMAWCPEVDQECDLVHDGANDQCGGKEITEELSFGGSSVQGTGEQRGRVSISGREITMPLKSIQSAPYCYDHDERENTDSSARGNEDILDKVDGLESVEETVTSLSQSVETKFNKLSNPSKNRSNSLQSIEDYSGPLCGGQGLPDPTRPSLVDKPNMFCAEVDHGIIGQTSNMGGELDSDAAQGNSFPEVDPIPIPGPPGSFLPSPRDMGSDDFQGNSSLTTSRIQSSQDQLDLVDGDSSDSPISAVSTISNSAEARSDLKYAEPSAFIGPPATLERDRSGYSTAKPEPLVENGAAVPQTSMGPERTFEGEKFRVHRISMEKRPLIFKNDDQPCCCQRKERSSQSFSLNYQESQLLRRRTMASMMVPATGMQIGTNPNIRHNNLDARPETFSLSSGANLGSEQMVLPTVKTPAGPIPFKGCPDAGVKLSSRSDCDSASPSSSNPILRLMGKNLMVVNKEEDASVPLGQAQSCAQSNCLTPNFPTSSGISSSNIRNQGGLSFHHTMPQGSLIFDQNPNDLVGQSFDVRLTNGYRNRASLATPQTPLQFPAGMVLDEHMDCGFTASMELYKYEGNCNLPTRPNRPKNKLGPAATYDMEKVTTLDCRQRYGDSAVSSKEVIVIDDAPETETNKTADIAKHSEGLRESQLISYGISMPLVPNHIVRHKNPFSRYQSEDSPLIGDPTVVHNNNFHTIPSRRANTSPVRWDCTSEGSGMLQRGPFMAASPSTSHLRSALYYSPSLS >EOY11835 pep chromosome:Theobroma_cacao_20110822:7:67972:75475:1 gene:TCM_030507 transcript:EOY11835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLSIENPPPDPPCPCQFLQLKSGSDEIERPPHKLPLPEVDLLKQPSLDHHHHNHHHTPLPKFSIRNYVFTARSKDIKTNWPFSPKNLQLCLKHGLKDPLPPFQPLDTVRNQSLKRCTVETNPFEKQNTREFDEEPSGSNDDVVLELSNDAHSNHDIAGTCIDNSSCRSGGEHENDLPSTTTSACQSEIDSVLVNKQSNLPLETDTSVEASAEVQATGPFKSQKTENTTRPSGKKCRLIVKFGPHSDRSSTEDIASNCTTVSESMASKVCPVCKTFSSSSNTTLNAHIDQCLSVESTPKWTADSKLTRNRIKPRKTRLMVDVYATAKPCTLEELDRRNGTSWATASNIPRQDSERLEISDEGKKQRVSPIHPEDTGDVGAVYIDANGTKLRILSKFNDVPPVSKVGEDLGPHKSLKGGKGSKFFSTKKKRRHAPKHHKYLKLAPQSRKIFSHKTRSSTIVGGEEGYCGVEESCRSEGPQVTKQIKSSDSRNLRQRVCSKRAGLSRKPNAQARQQPLICKWHVTRDLRGQSDQSHQGDHVVERNCVRKFKISSENPISSPEKCETIEKPVYEAPVIDKRERSFGRKRVRSPLFGARICNNVERSLLPLKQNGNQLSKDHPFVHEDHMVRSLNSGGNCISSLSKKMVDIDANSNPETPVTATTTISQHSFAFKCFRSSPKKNVLAASNRSSMVESRSNLVEKYSTRESQLHFMAEIDEGAMAWCPEVDQECDLVHDGANDQCGGKEITEELSFGGSSVQGTGEQRGRVSISGREITMPLKSIQSAPYCYDHDERENTDSSARGNEDILDKVDGLESVEETVTSLSQSVETKFNKLSNPSKNRSNSLQSIEDYSGPLCGGQGLPDPTRPSLVDKPNMFCAEVDHGIIGQTSNMGGELDSDAAQGNSFPEVDPIPIPGPPGSFLPSPRDMGSDDFQGNSSLTTSRIQSSQDQLDLVDGDSSDSPISAVSTISNSAEARSDLKYAEPSAFIGPPATLERDRSGYSTAKPEPLVENGAAVPQTSMGPERTFEGEKFRVHRISMEKRPLIFKNDDQPCCCQRKERSSQSFSLNYQESQLLRRRTMASMMVPATGMQIGTNPNIRHNNLDARPETFSLSSGANLGSEQMVLPTVKTPAGPIPFKGCPDAGVKLSSRSDCDSASPSSSNPILRLMGKNLMVVNKEEDASVPLGQAQSCAQSNCLTPNFPTSSGISSSNIRNQGGLSFHHTMPQGSLIFDQNPNDLVGQSFDVRLTNGYRNRASLATPQTPLQFPAGMVLDEHMDCGFTASMELYKYEGNCNLPTRPNRPKNKLGPAATYDMEKVTTLDCRQRYGDSAVSSKEVIVIDDAPETETNKTADIAKHSEGLRESQLISYGISMPLVPNHIVRHKNPFSRYQSEDSPLIGDPTVVHNNNFHTIPSRRANTSPVRWDCTSEGSGMLQRGPFMAASPSTSHLRSALYYSPSLS >EOY13970 pep chromosome:Theobroma_cacao_20110822:7:15892308:15899040:-1 gene:TCM_032910 transcript:EOY13970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTTSPILEQIKNEDSYLWDRKLGHGSMNTISKLLSLGLVIRLPKLNIENDKFCDACAKGKHRGSSFKSKMNVTTIRALQLLHIDLFGPTRTTSLSGKNYGLVIADDYTRFSFLLTKMKAELNSYALNNGKENLGKFDAKSDERIFLEYSLSSNAYRTFNKRTLIVEEFIHVLFDDTNPTRKENYDDNIGILQEQFEGLVRKEVDESKIIGDPNKGVRTRAKVQNVLCQIWELVPTSNDYPFAGKMNYSLGLQITQREDGTFISPAKYTKNMLKKFGMEGTRTYYPPMSTTAMLDKEEKIGKVHLVHANS >EOY12548 pep chromosome:Theobroma_cacao_20110822:7:2731987:2733986:-1 gene:TCM_031068 transcript:EOY12548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor SUI1 family protein, putative MSDLDVQIPTTFDPFADANAEDSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQGWHCEEGEHQNPWFLSCSCLKFSAKSHGLCQSRKYYLIRIISMVLYHLYVSFITTYASRISCAF >EOY14343 pep chromosome:Theobroma_cacao_20110822:7:24251014:24253045:-1 gene:TCM_033731 transcript:EOY14343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATX2 MAFLNKGGGGDKEDADIPICYVFLDRVYSVASFCVNATNSSYVMSKKVKAHKLIIDNYHHHHLNPQNPLFLHVYARLPKQPLQCVSFYDSLLEDESETVVKSEIDECLSKKMRVGKIELVKLVVNSSVLSELDLPRLRDSRNNNSVNNNVNNNSVKKRRHNSTPSLQLGFTCSTTAKKWVSKMYIAKATTKRSPTFVRLQCKVFWPLDVDCYSGQVVGYNAETNRHHVEYEDEDEEDLILSNEKLKFHVSHDEMECLN >EOY14048 pep chromosome:Theobroma_cacao_20110822:7:19181205:19184562:-1 gene:TCM_033194 transcript:EOY14048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNIMEQSKSCPIREVNGLALCSKLLFLLMFESEFKAMQGQNIPCFFDLRFGGEQICDESPTAMMEGAVDVEKFILGRMFI >EOY14044 pep chromosome:Theobroma_cacao_20110822:7:19132557:19135073:-1 gene:TCM_033188 transcript:EOY14044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MHAVALRSSRRSLVLAKKICHGNQKRKRLKNLNTRMRRLRYDMEEISEEQKRIKEGQRQVREKFEAIELECEQLRKETNLIMQQSAYTQVRLAFMFQILKARENQDFDKAAQLTCALRALIAKESTKECCNHDR >EOY14045 pep chromosome:Theobroma_cacao_20110822:7:19132531:19135073:-1 gene:TCM_033188 transcript:EOY14045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MHAVALRSSRRSLVLAKKICHGNQKRKRLKNLNTRMRRLRYDMEEISEEQKRIKEGQRQVREKFEAIELECEQLRKETNLIMQQSAYTQVRLAFMFQILKARENQDFDKAAQLTCALRALIAKESTKECCNHDR >EOY14046 pep chromosome:Theobroma_cacao_20110822:7:19112739:19135073:-1 gene:TCM_033188 transcript:EOY14046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MHAVALRSSRRSLVLAKKICHGNQKRKRLKNLNTRMRRLRYDMEEISEEQKRIKEGQRQVREKFEAIELECEQLRKETNLIMQQSAYTQVRLAFMFQILKARENQDFDKAAQLTCALRYLFIISLVQFV >EOY12443 pep chromosome:Theobroma_cacao_20110822:7:2243750:2247944:-1 gene:TCM_030958 transcript:EOY12443 gene_biotype:protein_coding transcript_biotype:protein_coding description:C globular stage, putative MEDSSNSIVEKRVELMVSPAGKNVKLRTGHFIKPSIGSGSMDGTTVAKHPRHYLSSSLTTFEPKKRPLEVKFHGWIYPQKDWKTWVVKMASLHESTWKKAGISEAIMNSTYRIERNNNLVFGVAEKWCHQTKSFIFSWGEATITLEDVKILGGYSVLGSPVFTPVETAEMKEIREKLKSARKEIYKSTCKKACQYLWTRKFMHSDDSTVEHEAFLALWLSRFVLPSSFNTVVESVFSIAIHLARGTRFALAPAVLANIYRDLSWLKQNIVASTQLESNYDDGNVALAITLWSPLALVQVWIWERFLDLQLRPNLIKNGEPRFALWNGLNCEVQDVCSVLDSAEERFVWRPYVRKITNCDGAKCYGDNGMWILLDSRLDDELLSFARCLRVSELVGHDCIEQYLPHRVALQFGMDQDIPGCVPRSNGTPEIAWADYNKSVDGGKLYIPPRLFEADVTAQYLEWWKQSVLNPQEVSKNVLRMSEGNGFLGSEINTKKSKRAKEGTFTSTRPDFYHKILNRSRRLLTLKEEGKNATSDLGYPLKKLKKLVQYPRWKKEVRNAGVVAQSSKRKKKGNNASIPHEFPPKGSKGLAFASAVNNDDKNETTSASSLFKPLKDPPPNFVIQKDLSSSPFPPGSPPKECLVQTKGSVNEDKVTPSVSTGFPPKCVDEDEVTPLVPPSFHPKHNMVLEARGSVNEGEVTALGTPDFPPNHDTMEVGDSVNEGEVTAKKTLRPASKHDSMENMENKECRNDEKSVSYPRSRSMDPHDKDKLTLLDLEARIGRLERLVAEIKAARKG >EOY11953 pep chromosome:Theobroma_cacao_20110822:7:432571:436728:1 gene:TCM_030588 transcript:EOY11953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 22, putative isoform 2 MGFLEMPFALLSLSKKRGSSFSPFPTAMEGSQEITLDSLGRFGVSIPENVSSFNDLTPTTLISICCQSLNLLGNIKDEYGNDMSFPSSVEDSVSMDDKFGICSDISLAFKNLGYVGDINYSKFLYPSEEDSYMLVRFLVERFSGSSEAVKFSAKGNVGMRQNNFEKISEYFAQEPNNEEVDLNLQKVEAILKDLRVDELLESSSLKTDDAPVAVNDPLRVHDMPQTELFSESTAEVVESRSGASENEETADQKDDEHVSTSHKESKIQYEAENLLSQEKVLKEELGASALQVQHLEEEFGLWKAAADMAFDESHPMEFFLEQLNKQVDAKKHNIGELESQWDTFRNPLEQKKRSLEECLYANNPGAQEKLQKLRDIELDIQFTLSEIRKREEEHLELSADLRKQPKVASRRSYTERIKEITKNSGKLDTDIERILRETRELQLESNSLQERLHRTYAVVDEIVFREAKKNPVGRQAYRLLTSIHESFEQISEKILSTDRIRREMADHEKKLAAMASRS >EOY11954 pep chromosome:Theobroma_cacao_20110822:7:432874:436153:1 gene:TCM_030588 transcript:EOY11954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 22, putative isoform 2 MLVRFLVERFSGSSEAVKFSAKGNVGMRQNNFEKISEYFAQEPNNEEVDLNLQKVEAILKDLRVDELLESSSLKTDDAPVAVNDPLRVHDMPQTELFSESTAEVVESRSGASENEETADQKDDEHVSTSHKESKIQYEAENLLSQEKVLKEELGASALQVQHLEEEFGLWKAAADMAFDESHPMEFFLEQLNKQVDAKKHNIGELESQWDTFRNPLEQKKRSLEECLYANNPGAQEKLQKLRDIELDIQFTLSEIRKREEEHLELSADLRKQPKVASRRSYTERIKEITKNSGKLDTDIERILRETRELQLESNSLQERLHRTYAVVDEIVFREAKKNPVGRQAYRLLTSIHESFEQISEKILSTDRIRREMADHEKKLAAMASRS >EOY13992 pep chromosome:Theobroma_cacao_20110822:7:16717390:16718648:-1 gene:TCM_032983 transcript:EOY13992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVQDSGSEGKSSSWLNFKKGSVQTQAARKGSPKQWPRLTFSNKAYFFFPQRTVGVYKMALRMPQMTLF >EOY14073 pep chromosome:Theobroma_cacao_20110822:7:19947712:19964338:-1 gene:TCM_033265 transcript:EOY14073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon, Ty3-gypsy subclass-like protein MDMMEFDVILGMDWLSPNYASVDYHHKRVKFDYLGETPFYIQGDRSMASNSLISAMTASHLMRRGCQGFLAMPSKRIGLTNAPTTFMDMMNRTLKEHQLYAIFSKCEFLLDSVTVTTQNLPWAHDNRRDVPIDTHYSECKSEPRKAYISVSFPSWSGFTVTFLSHIVSKDAVMVDLKKIEVIACASRQLKKHEQNCPTHDLEMVAIVSALNIWRHYLYGETCEIYMNHKSLKYIFEQRDLNIRLLQPLLVPEWKWEHISMDFVNGLHSTSKGYDFIWVIVNRLTKSSHFLSVKITYGVTQYVRLYIDEIVRWHRVPVTIIPDRGTQFTSRFWGRVQEALGTKLKFSTTFHPQTDGQSERTIQMLEDMLRAFVVDLGGTWNLYLPLIECETSTSISLLLSVDVITWAMAVLVVHDVRDAHDDSSYVRDVGVAYELGEVVVVLVVMFEWYFETTWIRTLLVLVLSGILFHKWYHCVTNAIVHVQGECALASDNGGRGAPPYPLLGRFKMTLDVSNHTQSHSADDQGPIMCI >EOY11854 pep chromosome:Theobroma_cacao_20110822:7:133826:136784:1 gene:TCM_030526 transcript:EOY11854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MEEKIPFKNLSSREYQGHKKKVHSVAWNCTGTKLASGSVDQTARVWHIEPHGHGKAKDIELKGHTDSVDQLCWDPKHADLIATASGDKTVRLWDARSGKCSQQAELSGENINITYKPDGTHIAVGNRDDELTILDVRKFKPMHRRKFSYEVNEIAWNMTGDMFFLTTGNGTVEVLAYPSLRPVDTLMAHTAGCYCIAIDPTGRYFAVGSADSLVSLWDISEMLCVRTFTKLEWPVRTISFNSTGDYIASASEDLFIDISNVHTGRTVHQIPCRAAMNSVEWNPKYNLLAYAGDDKNKYSADEGVFRIFGFESS >EOY12809 pep chromosome:Theobroma_cacao_20110822:7:4238878:4242802:1 gene:TCM_031329 transcript:EOY12809 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MELVGLIVEVIKCIGAPTCIYLDNHMKLEERVNDLRRRLNNLNIRKQDIESRLEVEIRRRKVAKKKVEKWLGDVQRMNDELQKIEQKLHVVSYFSRARLGKLVHRNTAEVKEIYERGNFPDGVAIDRPPATGVTLQTTDLEGETRVKEQIWRYLMGDEVVMIALCGMGGIGKTTVMKHINNQLLKETQFEKVIWVTVSKELDVIKLQQDIARGLSRCLPEDELERATELMNILKTKKYVLILDDVWKRFSLLDVGIPEPALQNGSKLVITSRLIDVCLSMGCKVLRMQPLSEEESFNLFLNQVGRSVLQVPALKEIVKLIVQQCGGLPLAIVTIAGSMKGVDDVHEWRNAINELCGRLKSVKRLETEIFECLIFSYERLGDSRIQNCFLYCSLYPEDYAIKRRELIQKWIDEKLIDECESRQIMYDQGHCILNKLENHCLLERCQTQSLFQEEGVKMHDVLRDMALSIKSIGPRFMVKAGMQLTEDISPKCYFLSTLLLQENGGIKRISESFFEHMCGLKVPDLSYTGILDLPNCISNLENLVSLILRGCVKLKDVPSLAKLRALRRLDLFNTAIEEVPHGIEMSVHLTYLGLYSESLKELPKGILPKLSHLQYLTTTLCLRGEEVAKLRKLETFAGLFYDWQGFQKYAKSLPGQWPINYVLGVGSLWPPEVDYNLIKYFEKTEYYKEINFINCEMGKEDHVALPDDLESLNVRKCHGLISLSNIPLFRKANEMKRFYISECEGIECVLDLSLSSCNSLHNIELLLLKELCNLLELARVSVAVVSASCPPTAPAIFSSLKIFILGECSRMKKLFTVELLQGLQNLEEIKARACEKMEEIIASEGGEGKGADETTFMLPKIKELWLICLPELKSFCRSGAMIRADSLQYLWITGCPKLKRIPLFLHLLDNGQPSPPPSLKELCIWPREWWESVEWDDPIAKDVLSPFVSYKSF >EOY13087 pep chromosome:Theobroma_cacao_20110822:7:5850944:5852372:-1 gene:TCM_031611 transcript:EOY13087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATSAISSLFDLAYACQPRNLQLNNFPSCHLKLAPSRSPSFTANLSHSFFSKGCLSMTTFQRSYHYTVVGMARRYASNSSLRKKLSRKKGGDRGKKNKRRRKRTNKRKGREGKKKKRKEFKVVRLVSAAGTGVFYAKKKSRQIKEKLKFRKYDPQVKQHVLFEEVK >EOY13175 pep chromosome:Theobroma_cacao_20110822:7:6377980:6380921:-1 gene:TCM_031704 transcript:EOY13175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin cytoskeleton-regulatory complex protein pan1, putative isoform 2 MAQEDQSQRCSNSNTSSGGGFGNSVGRSSKKQKPKKVPQRGLGVAQLEKIRLEEQQKKDAAVAAAAGILPSPSSSVISQPTHHKSSSYLSLPIPSNFHPSNQSSYSSSSSSIPFPADLSPPNLIFRPPLSVQNADVVSANTVPLTTGSSPGWHPGAGVVLPGNGSVNSGHKLWSSREYSIEKECSGLDPGLAFRTNLSLPYESEPIWPPPSLMQRAQPFQQPSSSMVNLSSRTSSTSVLNYQMEPPSNQSYYGNCTPLLPEEEKMVGMKRSYPFSLDNAPGPPLHGKYPPIVHPINGHVEAASSSNGSTFNFEPGTPNFREGPSCSTSNFESKSKRSIRQNGAFDGDFLTLAPPTTTTSMCSSSKFKHSPPNLIYYNCEFPDLESLAYQGSLEDSIIRQGGSGLRQYRPYYSFFPPAAMVQIDRATTITMANCNGGEVGGHVDLNLKL >EOY13176 pep chromosome:Theobroma_cacao_20110822:7:6378859:6380419:-1 gene:TCM_031704 transcript:EOY13176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin cytoskeleton-regulatory complex protein pan1, putative isoform 2 MAQEDQSQRCSNSNTSSGGGFGNSVGRSSKKQKPKKVPQRGLGVAQLEKIRLEEQQKKDAAVAAAAGILPSPSSSVISQPTHHKSSSYLSLPIPSNFHPSNQSSYSSSSSSIPFPADLSPPNLIFRPPLSVQNADVVSANTVPLTTGSSPGWHPGAGVVLPGNGSVNSGHKLWSSREYSIEKECSGLDPGLAFRTNLSLPYESEPIWPPPSLMQRAQPFQQPSSSMVNLSSRTSSTSVLNYQMEPPSNQSYYGNCTPLLPEEEKMVGMKRSYPFSLDNAPGPPLHGKYPPIVHPINGHVEAASSSNGSTFNFEPGTPNFRFDLNFFHLLYVMKIGV >EOY12655 pep chromosome:Theobroma_cacao_20110822:7:3209453:3211642:1 gene:TCM_031157 transcript:EOY12655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIMGGTQAGLSNLLMISLGLSYNLSHVRRCIHIALLCLQQLDENRSTIFHIFVVQTGIAMIPSFHFLKDSSLLIKLVSRVETESNKENDSENQGQDDDVELPIFGLPTIALATDSFSMDSKLVEGGFGTVHKGTLPNGQEIAVKRLSKSSGKGLNEFKSEVKLIAKLQH >EOY13306 pep chromosome:Theobroma_cacao_20110822:7:7423027:7426542:1 gene:TCM_031849 transcript:EOY13306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCWRAIEIVVSKVESLKSLKVEEAAVFKKRAMKVVETEVEPLQSLKVEEAAIYREGTVKAAVTEVAHYNSAEIRRVAKRNARRKSKKLAESLFYRLKNPGKPNHADNFTEEELEAIGLGYDRMVRFMEKDDPNLKHPFDWYKYGEFGPYSWRGVVVGDPIRGRFTDERVTMIGEVKNHEEWEKIEQFEMASEFGKRLEMMDKNVGFRYFWVFVRHPKWRLNELPWEQWTLVCEVVAEARKNERLDKWSLMGRLGNKARSLITQCAAWMRPDIIYVKKPVYQCRFEGQDDFFKALMPFLDPKTEGEYLFEVRKEDGSGELCSYFEGLCKIVKVSQKAFVDDVVKGFEKLSEEGKSRCLEFLLSNHPVPLLHPYTKEWKAKLEEMELGCDAPDDDEDDRGRDSSETQFTDWIEDDGGDDEEVEDQEDVVLDMEEGGDEEFGTEGGESEEEEDEKYWVEEFQKAVSSSERMEKLAKRSVEMTTEFYKKQLGVMEADKKKKIMEDGDETALRGKRAKVRPEEWKYAGIGPWRRRIKKSKIPPELFLRAAVRPFTYRNRVKEIVLTRHAISEGEIGRKE >EOY12394 pep chromosome:Theobroma_cacao_20110822:7:1908720:1910545:-1 gene:TCM_030906 transcript:EOY12394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVEEWGDAYHTWRNLLAFLVELTKDSEKYWIVVSSSFSRTSPSNEHMLSVFLASSNIVEFLSISLVAQFLGSISFESGVKSSSEEWRSWAYGLGDITALSFTGLPHWVFKVVVFLDCFAFLVELVGLVGLSVGHDTNLDIFWPELCDGCSTQALLFWHVPSNRPLPTVTVLLGLNQRVRCRQSSAKAMGFRNVLHIFFFYMDLVNSSICFERDNGFKLEVKPCSVFFGANLQVFLETESCFVGPGDKFYHLLVNLALCGILMVIVLAVCFRWLG >EOY13757 pep chromosome:Theobroma_cacao_20110822:7:10629452:10630204:1 gene:TCM_032398 transcript:EOY13757 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHF5-like protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSLVRPCTLVRVCDECNYGSFQGRCVVCGGLGISDAYYCKECTQLEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >EOY13474 pep chromosome:Theobroma_cacao_20110822:7:8691533:8698977:1 gene:TCM_032072 transcript:EOY13474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase, putative MFGNHSMSTKFSYFLYDAFAIFVSSFSLRGNGGGEGGLGRASHFLHINCGGKQIPVSNTTYEGDSTEASPLRFFKGGNNWAFSSTGYFEDDAEQPNQQNDKLIIENTQLSMRDHELYTTARLSPISLTYYAFCLVNGTYNVSLHFAEIRFTGGQNFSSLGRRIFDVYIQGKQELKDFNIEDEAGGVGKPIIKNFTADVNDSTLEIRFYWAGKGTTSIPEKGVYGPLVSAISIFNPGYKPPPPPSENGGSSTVISAGVVVGIVAGAAFAIFLIGERIWYYDQDITSPFPFHHFGKECLASSTDLKGLELHTSSFALRQIKAATNNFDAANKIGEGGFGPVYKGTLADGTAIAVKQLSARSKQGNREFVTEIGMISALQHPNLVKLHGCCIEGNQLMLIYEYLENNSLARALFGPEEFQLKLDWRTRRKICIGIARGLAYLHEESRLKIVHRDIKATNVLLDKNLNPKISDFGLAKLDEEDNTHISTRIAGTMDREICLSTFSLSLEETFGSIEISRGYMAPEYALRGHLTDKADVYSFGIVALEIVSGRCNTQNRLKQESFYILDWAQILKEKGSLLDLVDPRIGSNCNIEEVMVMINVALLCTNQTAASRPSMSSVVSMLEGRDAVPEFVADSSISTDEFITAMKLYQQIEENETDNSRTMSGPLANVPSTSSTSAADLYPISLTSKFHSSFYHGSSDSTVMEVSTLLELGETRLSARISCITKNHLGPKSVNWQFSKLGYGILYLDAKCQLLSLALGSCKHPIVECQDLGVMIQPCTAKSRKLW >EOY14206 pep chromosome:Theobroma_cacao_20110822:7:22113804:22118303:1 gene:TCM_033489 transcript:EOY14206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRRSSTSKKNEEDEDRGRRISTRQDQDNSHHEELEFQLHPDATAKDFLPRSSRSRRLRLMAYTQTNPQLDSAEEDLTEEEVNPHVKIEGEIIPSSSEASLEIGKEQVNHYGDRSCNTSSPCIFRTPFPGLAFQEPQLASIGPYHRGKNLPLDKCKYSLLEKFLSRTRNLGKDLCFYVRRMMKLERRTRRCYSEDLSMPSAEFVEMMLVDACFIIEVLRRFGRRSEEFVDGDLSFPIEPWQTPILVRDLLLLENQIPFFILEELSALSKSEEGTITVSVSVITMAYKIFDLAFPRSLDFACKFNHLEEPKHLLDLFLQTIRPSKPSTTSLPLFLKDIISVCYLLLHYIRSRYQMRITKVADSQILETSTTSSKQKEYQLSKHSKPSTSKTAKLEQVHLSKSARELLESGIEFRPRRADRFTDIQFKDGVLEIPPVTVNDLFIVILVNGVALEHCSTGRSKDLTAYASFMSSLIKCPTDVKCLCSDGIISRFSNDDVQVASAFNSLQPNISDSDIDNIQDSYLYKTIMETNRYREGDGITWLRHCLRDPRIVLFCITNLCCASYWLSLASLTLRLVPVIIIRWEGIFNPIGPYHRGKNLPLDKYKYSFLEKFLSRTRNQGKDLCFYVRPMMKLERRTRRCYSEDLSMSSADFVEMMLVDACFIMEVLRHFGRSEEFVEGDFHFPIEPWQTPILVRDLLLLENQIPFFILEELSALSKSEEGTTTVSVSVLTMAFKIFDLAFPRSLGFACKFNHLEESKHLLDLFLQTIRPSNPSHNSLSLFLKTIFLKAKVCLNSLSRKQMRLADGLLLKTPATSSGQKEYHLSTQSKPSTSKTTELFHLTKNALELRKSGVEFKP >EOY12829 pep chromosome:Theobroma_cacao_20110822:7:4331754:4332665:-1 gene:TCM_031348 transcript:EOY12829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVCFCFLVDQRRKLTSTKPAAGTCSRCGAGASVGDMKTITRFCYVPFYWKSWRAIICTFCGAILKSYR >EOY12517 pep chromosome:Theobroma_cacao_20110822:7:2488635:2494851:1 gene:TCM_031013 transcript:EOY12517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein MYGNIMGIVILPDSIGELKHLRYLDLSINEEMKVLPDAITKLHHLQTLLLNHCNSLEKLPRDIQRLISLEYLKIDGCHALTYLPKRLGELTSLQRLDKFIVNSVEESFSTAAMLNELRDVNDLGNSLTIEHLEKWWSASSSERVDSEKDESLLNNLEPHLNLKGLKVFDYEGARFSRWLSSLTNLVELEICQFYNCQHLPPLDHLSSLKSLSLKYSNVLEHLPPLDHLSSLESLDLLGLDVLEYVADSFPLPCSTSREPFFPLKKLWIWCCPNLKGWWKTENENQGSIAELLCFPFLSDISINECPNLTFMPLFPSLDQDLTLSDTTIRPLEQTLKMKMTEASMTSEEASSSGSTCHSYSSTTLPLSNLKRLTLFKIKDLEALPEEFLQNLASLTFLELKDCPKLESLLSQKMSCLTSLQELWVENCPNLRALPDWILNLTSLRRLEIKECLELQSIPKGTPQLSSLEELYIYRCYNLRALPDWILNLTSLKTVEIGKCFELQYMPGGTHQLTSLNKLSVGNCPNLRALPDWILNLTSLKSLQIWDLGLQSMPEGTPRLTSLNELSSMPEGTPRLTSLEDLYVFYHKLKALPNWILNLTSLKDLQICECLQSPYLQEGTQSLTSLERLVVDYCPNLKELNVQNCLNLKDLLNRIHEEMTSLKTLKICKCPELQDWPEQMDRFTCSLQVLSISECPQLSERCEKERGILWPRIARIPSIIIDGRQIQ >EOY13353 pep chromosome:Theobroma_cacao_20110822:7:7683458:7684597:-1 gene:TCM_031897 transcript:EOY13353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRSLPKGKPGPAGIGGLLRDHLGFIRGTFSNHIGTEDSNLAEFKAIHEGLKFFLTSPWASSHNLVIERDSSNGISWVKDHKKVPWRMKNLSTAIEVYLHKYTRISFNHVKREANTIADGLSKAGVIRNSNFKANFEIHNREQPH >EOY12687 pep chromosome:Theobroma_cacao_20110822:7:3358387:3359310:-1 gene:TCM_031190 transcript:EOY12687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFVILMLGSDTELPHPKQLGILFKKSPLEEDSSSENHVSSSANEMTLSVLDA >EOY13245 pep chromosome:Theobroma_cacao_20110822:7:6752286:6753310:-1 gene:TCM_031768 transcript:EOY13245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKQAYSSVLILVCFLFLLLSFEVKAQTCKPSGKIRAKKPRSGQCTIEDDPCCCKEVKLYTTYKCSPPVSNLTKATLTLNSFEAGGDGSAPSKCDNQYHSDDQPVVALSTGWFNKKKRCLKYIDIHGNGKSVKAKVVDECDSTMGCDAAHDYYPPCHNNIVVASKAVWKALGVPISQWGEIDIYWSDT >EOY13412 pep chromosome:Theobroma_cacao_20110822:7:8123646:8137109:1 gene:TCM_031978 transcript:EOY13412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MRNARFILPLIVVLLFHNFVTSLSAQSPNITTDQLALLALKSHVTFDPQNLLETNWSTATSVCNWIGVTCGTRHLRVTALDLSGMGLIGTIPPHLGNLSFLSRLNMGNNSFPGSLPNQLANLHRLNFIDFNNNNISGEIPSWFGSFTQLQDLYLYDNNFTGVIPSSLCFLPKLERLVLQNNHISGSIPPSIFNLSSLQVLDLSNNKLSDSIPSIPLNTSSLQLIDLSVNLLSGNLPSDLCNRFPNLQVLSLGGNLLTGKIPTSLFKCKELMELTLSYNHFDGSLPLEIGNLTMLKKLLLEEINLKGQIPWQIGSLLKLESLDCSKNNLEGPIPSSIGNLTLLKRLSFRSSSMSGTLPFQIGNLQNLEVLILENNSLTGFIPPSIFNISTAKSIGLDFNRFSGQLPSTTGLGLPKLQSLYLSKNELSGPIPISISNASQLISLQLLNNSFSGVIPDTLGNLRYLQRLDLSHNNISSNPSSPELSFLPSLTNCKDLKELTFDGNPLIRGELPAAVGNLSASLTLFYASLCNIKGSIPREIGNLTRLFWLGLDHNDLTGKIPTTIGRLRDLQNVNLGNNRLEGSIPFELCHLEKLAYLTLTGNKLSGPIPSCLGDVVSLRELFLGSNKFTSIPSTLTRLDGILFLELSSNSLSSSLPDIGKWKVVTNLNLSDNQFSGSIPSSIGDLKDLTHVSLSGNVLQGCIPESVSELISLEFLDLSRNNLSGTIPKSLEQLSYLKYFNVSFNRLEGEIPNGGSFGNYSIQSFMGNKALCGSPRLQVPPCKTNPSRRSKIGTELLKYILPAIGSTILILAMVIIFLRSRNRKAEVPTEENLLVLAEWRRISYHELDQATDGFSESNLLGVGSFGSVYQGTLSNGMSIAVKVFNVNVDRALKSFDVECEILRSIRHRNLVKIISSCSNIDFKALVLEFMPNGSLEKWLYSHNLFLDISQRLNVMMDIALALEYLHHGHTPPVVHCDLKPNNVLLDKDMIAHLGDFGIAKLLGQEDLIQTMTLGTIGYMSPEYGSEGIISTEGDVYGFGILLMETFTKKKPTDEMFMEKTSLKCWVEESLPYAVVHVVDTNLLNNGKSESLATNECVLSILQLALECSTEVPEKRIDMKEVVARLKKIKVAFLQEVKKI >EOY14043 pep chromosome:Theobroma_cacao_20110822:7:19103248:19124800:-1 gene:TCM_033184 transcript:EOY14043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKLKSLISIKKVAKKVKVWSQIWGVIPHAWEPQCGVVSPLIRVKISYPRTKNRSTPNSRYCSEQSVDNRFCQGFAFVFAPLEIGAKSQLKKLEKLQLDKDVIQRPFFSKCNLPPKDESVDEADNRLPRTSNRSIPPEWEPKRNLVTGNNTEVRVWGKLKKLGKISVIKVVSYESAANVYKVVGGKCNQCYLRTHEGQKFDNAELEESHHLGLPMTPLCRNSITNHHKKRKRLKNLNTRMRRLRSDMEEIRKEQKKIKEGQRQVRGKFVAIELECEQLRKETNLIMQQSANTQIRLAFMFQILKARENQEFDKAAKLTCALRYFSSQIR >EOY12509 pep chromosome:Theobroma_cacao_20110822:7:2430436:2431885:1 gene:TCM_030999 transcript:EOY12509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MSCLTSLQQLWVENCPNLKDLPDWILNLTSLRSLQINECLELQSIPEGTPQLTSLEELSVQNCHNLRALPEWILNLTSLKTLQIWGCLELQYMPKGTHQLTSLKELFIGKCPNLRALPDWILNLTSLKTLQIWECLQLQYMPKGTHQLTSLKELFVGKCPNLRALPDWILNLTSLTKLHIQECLELQYMPEGTHQLTSLKVLYVGNCHNLRALPDWILNLTSLTNLLIKGCFELQSTPKGTHQLTSLKELSIENCPHLRALPDWILNLTSLETFQIWNCLELQYMPEGISRLTFLEELYADRHNLRALQDWILNLTSLKDLYICECLASPYLQERMHNLTSLQRMIVESCPNLSSSRHSLKTLLIRGCPDIYSWRMRHSLSSLEELNVQNCPNLGKLLYRISFLITCLKTLKICKCPELQNLQRVDRLTSLQVLSISECPKLSKRCEKETGILWPHIAHIPSIIIDGQQIQ >EOY12307 pep chromosome:Theobroma_cacao_20110822:7:1640806:1643505:1 gene:TCM_030848 transcript:EOY12307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase I MDSTFTYQKIKAYKLKMACSNRLTYCFLLFLSLNVKILFFFFLQIGSSIAASPSDDLLAWVQQDNRRFLNAIIRVTNLNRTIKFYTENFGMKVLRQSDIPHENYSYAVLGFGPEDSHFVLQLRQNYGGEKLKLGTAFAHFGIATQDAYKMVEEIRARGGVITREAGPIEGGTTVFAFIQDPDGYMFELIQRPPTPEPLCQLMLHVSDLDRAIQFYEKALGMKLLQKYDSPEEQFAIAMVGYGSNLTQITTVELRYNYNVTEYTEGNGYVQVAINTDDVYKSAAAVELVSQELGGNITQGPDPISKTTSFLDPDGFKTVLVGNRRKKE >EOY12170 pep chromosome:Theobroma_cacao_20110822:7:1186756:1189052:1 gene:TCM_030748 transcript:EOY12170 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S8 isoform 1 MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPASTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKTAAAAAKKEGGEDGEIATEEVKKSGHVLRKLEKRQLNRKLDSHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKIQRKKGKGAA >EOY12169 pep chromosome:Theobroma_cacao_20110822:7:1186735:1188949:1 gene:TCM_030748 transcript:EOY12169 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S8 isoform 1 MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPASTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKTAAAAAKKEGGEDGEIATEEVKKSGHVLRKLEKRQLNRKLDSHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKIQRKKGKGAA >EOY13530 pep chromosome:Theobroma_cacao_20110822:7:9072766:9088163:1 gene:TCM_032130 transcript:EOY13530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-6-phosphate synthase isoform 2 MPENKYNGNSTHIPTRLERLLRERERLLREKELREQRKSSRASHSNEVIDNHRGVEESENGPRFREGDHSGVALVEQYLEEAAAARALVEGCERPDGRPERQRLLVVANRLPVSAVRRGEDSWSLDISAGGLVTALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNTHYEEGDVVWCHDYHLMYLPECLKKYNTKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAVMLGVDRLDMIKGIPQKILAFEKFLEENSTWHEKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFPKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGQALNMHPEEREKRHRHNFHHVTTHTAQEWAETFVSELNDTVVEAQLRTSKVPPELPQNDAMECYLQSSNRLLILGFNATLTEPVDTPGSRGDQIKEMELKLHPEIKVPLTALCNDPKTTVVVLSGSDSRVLDKNFGEYDMWLAGENGMFLRHTKGDWMTTMPELLNMEWVDSVKHVFEYFTERTPRSHFDFRDTSLVWNYKYADVEFGRIQARDMLQHLWTGPISNASVDVVQGSRSVEVRAVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCVGHFLGKDEDVYTFFEPELPSDVTSITRTKPTDGPRLPAERRAALKLPASRSGAKSSQTKTQRPQPAPDRRTGNNHSSGSLRRPSPEKISWSVLDLKGDNYFSCAVGRTRTCARYLLGSSDDVVSFLNRLANASSSCNSFSGLHE >EOY13529 pep chromosome:Theobroma_cacao_20110822:7:9069352:9087991:1 gene:TCM_032130 transcript:EOY13529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-6-phosphate synthase isoform 2 MPENKYNGNSTHIPTRLERLLRERERLLREKELREQRKSSRASHSNEVIDNHRGVEESENGPRFREGDHSGVALVEQYLEEAAAARALVEGCERPDGRPERQRLLVVANRLPVSAVRRGEDSWSLDISAGGLVTALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNTHYEEGDVVWCHDYHLMYLPECLKKYNTKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALELPQVQEHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSTWHEKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFPKLCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGQALNMHPEEREKRHRHNFHHVTTHTAQEWAETFVSELNDTVVEAQLRTSKVPPELPQNDAMECYLQSSNRLLILGFNATLTEPVDTPGSRGDQIKEMELKLHPEIKVPLTALCNDPKTTVVVLSGSDSRVLDKNFGEYDMWLAGENGMFLRHTKGDWMTTMPELLNMEWVDSVKHVFEYFTERTPRSHFDFRDTSLVWNYKYADVEFGRIQARDMLQHLWTGPISNASVDVVQGSRSVEVRAVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCVGHFLGKDEDVYTFFEPELPSDVTSITRTKPTDGPRLPAERRAALKLPASRSGAKSSQTKTQRPQPAPDRRTGNNHSSGSLRRPSPEKISWSVLDLKGDNYFSCAVGRTRTCARYLLGSSDDVVSFLNRLANASSSCNSFSGLHE >EOY11870 pep chromosome:Theobroma_cacao_20110822:7:159316:164926:1 gene:TCM_030531 transcript:EOY11870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 C isoform 1 MGRRLGGGRSPSYSVLNQRILLRRIQSCQHNARSTVDEMVEHLQTNYPDYRRIKRQPLTRNVRQALQALHPCSKNTQKSSLSVSDFKFDDDGRDEHAVAPSSSSSPPPPSRSWKKPRRTDETEGRLQRMEDLHLQRRQSQHQSDSESDSESSSSSEEEEDGAVSTSEDAIYGQKEEPKFDLMKSMLRQGYTQCNSSKWKLEEKNIEMEVASNKLRNKIDMTNANKVSAELKEETKVSASVGAAAADGVEVKGKEGPRFRDLGGMGGVLEELKMEVIVPLYHPHLPRWLGVRPMAGILLHGPPGCGKTKLAHAIANETGVPFYKISAPEVVSGVSGASEENIRELFSKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDESHRLVQPNDKESNLESSDSKPGYVLVIGATNRPDAVDPALRRPGRFDREIVLGVPDEIARHEILSVLTRNLRLEGSFDLLKIARATPGFVGADLAALANKAGNLAMKRIIDQRKHEFSRESIDEEQADEWWRQPWLPEEMEKLTITMADFEEAAKMVQPSSRREGFSTIPNVKWEDVGGLEFLRQEFDRYIVRRIKFPEDYAEFGVDLETGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPELLNKYVGESELAVRTLFSRARTCSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGADQRRGVYVIGATNRPEVMDRAVLRPGRFGKLLYVPLPNPDERGLILKALARKKPIDASVDLSALGRMEACENLSGADLSALMNEAAMAALEEKLTSTGISETSLTIKTFHFERALSKISPSVSDKQKQFYQVLSESFKAA >EOY11871 pep chromosome:Theobroma_cacao_20110822:7:160170:164906:1 gene:TCM_030531 transcript:EOY11871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 C isoform 1 MVEHLQTNYPDYRRIKRQPLTRNVRQALQALHPCSKNTQKSSLSVSDFKFDDDGRDEHAVAPSSSSSPPPPSRSWKKPRRTDETEGRLQRMEDLHLQRRQSQHQSDSESDSESSSSSEEEEDGAVSTSEDAIYGQKEEPKFDLMKSMLRQGYTQCNSSKWKLEEKNIEMEVASNKLRNKIDMTNANKVSAELKEETKVSASVGAAAADGVEVKGKEGPRFRDLGGMGGVLEELKMEVIVPLYHPHLPRWLGVRPMAGILLHGPPGCGKTKLAHAIANETGVPFYKISAPEVVSGVSGASEENIRELFSKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDESHRLVQPNDKESNLESSDSKPGYVLVIGATNRPDAVDPALRRPGRFDREIVLGVPDEIARHEILSVLTRNLRLEGSFDLLKIARATPGFVGADLAALANKAGNLAMKRIIDQRKHEFSRESIDEEQADEWWRQPWLPEEMEKLTITMADFEEAAKMVQPSSRREGFSTIPNVKWEDVGGLEFLRQEFDRYIVRRIKFPEDYAEFGVDLETGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPELLNKYVGESELAVRTLFSRARTCSPCILFFDEVDALTTKRGKEGGWVVERLLNQQLLIELDGADQRRGVYVIGATNRPEVMDRAVLRPGRFGKLLYVPLPNPDERGLILKALARKKPIDASVDLSALGRMEACENLSGADLSALMNEAAMAALEEKLTSTGISETSLTIKTFHFERALSKISPSVSDKQKQFYQVLSESFKAA >EOY13952 pep chromosome:Theobroma_cacao_20110822:7:15338958:15344455:-1 gene:TCM_032859 transcript:EOY13952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MAGRVEHGAEDVEVTVDNGGPNRATPHHEVAGQKKHLYRKKLLTLYTAALNGDWRSAESIIKSDPTFLNSSITDDYKTALHIAAGAKQTAFVKKLVNLMQNQESHLDLQDENDNTAFCLAVIAGSVPVAKILMKKVPQLALIRGGKNSTPLFIAVIFGRHDMARLLYRETESHLKHLELEHLQHIFFTCIETDMFYIAIQLLAQSRDLAVARKSDGQTALHMLARKPSAFAGKKPGILKMLLSSCKGSNDSTALELVSSLWTNVLSKTNLDVQKEIDVPFNLLFEAAELGNYEFLAELLRLYPELIWETDCENRTIFHIAVLHRHVDIFNQIYSIGSIKDVVVAYKIPGNECEVWDNMLHLAAKLPSPHRLDMVSGAALQMQRELLWFEEVRSVVQPSDRERKGLKGLTPRKLFSRQHEGLLERGEKWMRDTSESCMIVATLITTVVYSAGFSTPGGNDNTKGTPVLINHTLFHVFAVSEAVALSFSITSTLMFLCILTSRYAEEDFLKSLPLKLMAGLATLFISMMAMIVAFSAAFFLAYRDSYNHHRLRWVPLLVSAFAFLPAVLFVLLQYRLFLDMFHSTCCSRSLFRPRKSMFSSKV >EOY13395 pep chromosome:Theobroma_cacao_20110822:7:7972458:7973212:-1 gene:TCM_031957 transcript:EOY13395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MALMGSSLRRMLVPVHISKLQRVKTLIVPKTKEGRGGQINSKPKASTTNATDQEVAFRTDTLDVGNNADKISITNVDAAVTVKTAENN >EOY13394 pep chromosome:Theobroma_cacao_20110822:7:7972690:7973097:-1 gene:TCM_031957 transcript:EOY13394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MALMGSSLRRMLVPVHISKLQRVKTLIVDSIPTRPKTKEGRGGQINSKPKASTTNATDQEVAFRTDTLDVGNNADKISITNVDAAVTVKTAENN >EOY12766 pep chromosome:Theobroma_cacao_20110822:7:4075355:4077769:-1 gene:TCM_031294 transcript:EOY12766 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-like 102, putative MQWVMRVSLSLAMAKPPCCDKNGLKKGPWTAEEDQKLIDYIQKHGHGRWRTLPKNAGLRRCGKSCRLRWTNYLRPDIKRGKFSIEEEETIIQLHSVLGNKWSAIAARLPGRTDNEIKNYWNTHIKKKLLRMGIDPVTHSPRLDLLELSSLLSSSLYNSSQLNPAGLLGIGPMFNRNFLNFAAALLSSQNKTLEISPENIQQNQTGNFQCQNQYETYQANQVQNPMQGYTTSQANLNHLSTNPNNNLSCQMSLPNLWPEYDRENVSISTPKGFFPMQNYGYHDSINQSITNSLAENRSCFSDQNNIPNLSPFGSLLSTPSSSSTPLNSPSTTYANNNGSSTEDIERDSYCSNMLMFDVPNGLNVNGCV >EOY13999 pep chromosome:Theobroma_cacao_20110822:7:16920987:16933271:-1 gene:TCM_033005 transcript:EOY13999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASKRLRVDTRKGAAFEEDMPEMLALREQVEKIQQEMHALMDKQALHDLLLGLPNVKLMITYGGHWVDDTYKGECASNEILGTFQQMQQSVKNAVGPLSFAKDIVIVVSDHDASDQIENDVEEDDTVDWNDELHDDFEDDYASKHDGCSEDDREDVKGVDPIYDNAIALENNIRSLDDSDQERVNTEVSYQWIIPEAELKRALSMLALKEHFEFRVEKSCHARFEVGCKEKACKFSLCATKLLEGEYWQLRTLADFNKHMNQLKQLCKPVYDCLMRLGPKRWAHAQSLTRRYKLLTSNIVECINSCLRHARKMPIMVLIECIRGMFQCWFHDRHNEALNLTMPLSP >EOY13351 pep chromosome:Theobroma_cacao_20110822:7:7676184:7680451:1 gene:TCM_031895 transcript:EOY13351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cofactor-independent phosphoglycerate mutase MGSPQQPKKRVAFVLIDGLGDVSIPRFGYKTPLQAANIPNMDAIASAGVNGLMDPVEVGLGCGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGIVTSRRADRHFEEEGPILCAALDRMKLPSFPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLLLEAKALDDTDEARHTAAVVNELSKEISRILVSHPLNAKRLAEGKNIANVVLLRGCGIRIEVPPFEKKHGLWPCMVAPTKIIAGLGLSLHIDILEAPGATGDYRTLLTSKATAIAKALSAPLQSCPSVFVPGEDEHKPGRSYGYDFGFLHIKAIDDAGHDKASVFKVKGLEAVDQAIGQLAKLLWQAESTGNFQYFVCVTGDHSTPVEYGDHSFEPVPFTMCRLKDYVGAIGGESIVLETSLDPFPLPTVKAGEDLNEDIGLEKGRRCKQVQGFCGDSVFELNEIAAARGCLGRFPGGQMMEIIKRFLKLNA >EOY14313 pep chromosome:Theobroma_cacao_20110822:7:23914317:23945153:1 gene:TCM_033687 transcript:EOY14313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMNFITTILSEGQSLVEPPLFNGENYIYWKKRMKNFVLASDYGVWRTIIDGPYKPTKEEKEWDTSNMNMIQLNAKAIHTLFRTLDDKDYKRVSKCESTKEIWQKLEELYEETKKEEELEENLCESL >EOY13242 pep chromosome:Theobroma_cacao_20110822:7:6746872:6748721:1 gene:TCM_031765 transcript:EOY13242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEYKQSFAKMEREKEQTSKLCNMESERRENEEVLRCDAERSHLVSVHCRHCQQIGMPCDKKSGAKKTIHKHSHNQ >EOY12974 pep chromosome:Theobroma_cacao_20110822:7:5091777:5092375:-1 gene:TCM_031482 transcript:EOY12974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNSYIVFGVLVVMANGAVMARDVDPIKANNCETKMTLHCVNEVFTSIFKTGIVTDNCCIELIGLGKFCHDALIKKTLENPLFKNNDTSVILSRGAQVWNKCTLVSKDVSPSPSPY >EOY12395 pep chromosome:Theobroma_cacao_20110822:7:1915095:1917004:1 gene:TCM_030908 transcript:EOY12395 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase MVTSLSSYSLKQLLVVVVSFLFVITINMSDVKGCFTSIFSFGDSYTDTGNVVHISLSESRELPRSAFPPHGRTFFRHPTGRSSDGPVAKDLGLPFVAPYFGGENGTSQNFQKGVNFAVSGATALDSAFLAERRVYGLVSNLSLGDEVGFFKDVLPSLCSSSSDCKEFLRTSLRVMGVIGGNDYTHAFQQGKKYDEVRGWVPLVVGNMASAINVRNSIPTCWKYSQTLKPKFFKNLKHRWRQLLTNITRQCDAGVDGSMGQGQFWSLKAYYVDIWDRI >EOY13663 pep chromosome:Theobroma_cacao_20110822:7:9977160:9977956:-1 gene:TCM_032300 transcript:EOY13663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFLLQENSLCISRDNDFNSALLMSFLEESSPSEDYNHEELDSLMRSLAAEINPNSMDIQDLMTEPESPSDGNESHGPESIVLDFEWADMEPTPSPSPSHDMNWHMDVQGEEVDALIEIADDYSDVYFGDVLEGQIYITSLAELDAIEM >EOY12236 pep chromosome:Theobroma_cacao_20110822:7:1430000:1435283:-1 gene:TCM_030799 transcript:EOY12236 gene_biotype:protein_coding transcript_biotype:protein_coding description:EPS15 domain 1 MEIGAGRIGSCSKEHQQIYQEWFSFVDSDNDGRITGTDAIKFFGMSNLSRQDLKQVWAIADSKRQGYLGFKEFVFAMQLVSLAQDGHEISHDLLNGDVDFENAKPPVMEGLDALIMKKKHSSKSTSPASNANGSSPMQSSPAAQWFSSKSSKKISMSSVTSIIDGLKRLYIQKLKPLEVTYRFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKSSYPGAHIGPEPTTDRFVVVMSGTDERSVPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRAYDFTGVTSWFAAKCDLILLLFDPHKLDVSDEFKRVIYSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPVNEAATGPIGKELFEKEQEDLLADLKDIPKKACDRRINEFVKRARAAKIHAHIIGHLRKEMPTMMGKAKTQQRLIDNLADEFGKVQRDHHLPPGDFPNVEHFREVLSGYNFDKFEKLKPKMIQAVDDMLGYDIPELLKTFRNPYD >EOY11898 pep chromosome:Theobroma_cacao_20110822:7:285534:286386:1 gene:TCM_030552 transcript:EOY11898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCCGSRVCMLCTCLILVVVLIGFLFGFGVFKNGFHKLKDTLHEDYYPCDPKLSSCGRPFLGYAAPPPF >EOY13376 pep chromosome:Theobroma_cacao_20110822:7:7899676:7903439:1 gene:TCM_031936 transcript:EOY13376 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD-type zinc finger family protein isoform 2 MEGRIKFPKIQLVDYQPKFFSQDLVKVEEHRDKSNLVGTDIKQDGKQLQKSEGEAPPKVSGGNGMRIVSGTGNQKQGKLFYYDTPFSEETGVWVPVSVPPMSESEHEECSRGLSLNGGYFPDGDLGWNKFTGESKDLTMWDVFNEMLIAARGKVSAIASGDVQRCGISWLSNHLLEQAWIEMAQTLTEANFGNIREILEAEPPKWLADSVASACMLCNVWFHPIMRPRHHCRFCGGIFCNECSKGRSLLPMKFRTGNPQRVCDVCCVRLESVQSYLIDSVSRAAQLPTHDLTDLSTLRSWLNFPWGQSMEYEIYKAANIVHNYNKVGSLKPEKSIPDAILKQAKGLAIVTVAKVGVMVTYNIGTGLVVARGEDGSWSPPSAISSFGVGWGAQVS >EOY13375 pep chromosome:Theobroma_cacao_20110822:7:7899676:7903439:1 gene:TCM_031936 transcript:EOY13375 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD-type zinc finger family protein isoform 2 MEGRIKFPKIQLVDYQPKFFSQDLVKVEEHRDKSNLVGTDIKQDGKQLQKSEGEAPPKVSGGNGMRIVSGTGNQKQGKLFYYDTPFSEETGVWVPVSVPPMSESEHEECSRGLSLNGGYFPDGDLGWNKFTGESKDLTMWDVFNEMLIAARGKVSAIASGDVQRCGISWLSNHLLEQAWIEMAQTLTEANFGNIREILEAEPPKWLADSVASACMLCNVWFHPIMRPRHHCRFCGGIFCNECSKGRSLLPMKFRTGNPQRVCDVCCVRLESVQSYLIDSVSRAAQLPTHDLTDLSTLRSWLNFPWGQSMEYEIYKAANIVHNYNKHCTQVGSLKPEKSIPDAILKQAKGLAIVTVAKVGVMVTYNIGTGLVVARGEDGSWSPPSAISSFGVGWGAQAGGEFTDFIIALRTKSAVRTFSGNMHLSVGAGLSAAVGIVGRAAEANLRGGSGGCAACYTYSFSKGAFVGCSLEGSVVTTRNQENCRFYGNPSITASDILLGSLPRPPAASTLYQALSNLFEKLER >EOY13374 pep chromosome:Theobroma_cacao_20110822:7:7899532:7904001:1 gene:TCM_031936 transcript:EOY13374 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD-type zinc finger family protein isoform 2 MEGRIKFPKIQLVDYQPKFFSQDLVKVEEHRDKSNLVGTDIKQDGKQLQKSEGEAPPKVSGGNGMRIVSGTGNQKQGKLFYYDTPFSEETGVWVPVSVPPMSESEHEECSRGLSLNGGYFPDGDLGWNKFTGESKDLTMWDVFNEMLIAARGKVSAIASGDVQRCGISWLSNHLLEQAWIEMAQTLTEANFGNIREILEAEPPKWLADSVASACMLCNVWFHPIMRPRHHCRFCGGIFCNECSKGRSLLPMKFRTGNPQRVCDVCCVRLESVQSYLIDSVSRAAQLPTHDLTDLSTLRSWLNFPWGQSMEYEIYKAANIVHNYNKVGSLKPEKSIPDAILKQAKGLAIVTVAKVGVMVTYNIGTGLVVARGEDGSWSPPSAISSFGVGWGAQAGGEFTDFIIALRTKSAVRTFSGNMHLSVGAGLSAAVGIVGRAAEANLRGGSGGCAACYTYSFSKGAFVGCSLEGSVVTTRNQENCRFYGNPSITASDILLGSLPRPPAASTLYQALSNLFEKLER >EOY12351 pep chromosome:Theobroma_cacao_20110822:7:1785110:1787592:-1 gene:TCM_030880 transcript:EOY12351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATNWSTPPPGTLKLNTDGIAKGKPGPAGIGGVIRDHHGFIQGTFSKNIGIEDSNFSEFYAIRDGISFFFSSPWAATHSLVVESDSTNAINWAQHHCKVPWRMKNISNAIETFLRKSTRITFKHVMREANKVADGLAKAGILRDSNFKAYFQNQQGEST >EOY13617 pep chromosome:Theobroma_cacao_20110822:7:9549593:9551839:1 gene:TCM_032238 transcript:EOY13617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein isoform 1 MRQWRSLAKEAMDRAFIVVKFLCFLHVTDSYLLSPTHVLGPSMLPTLNLTGDVVLAEHLSHRVGKLGPGDVVLVRSPFDPKKTLTKRIVAMEGDKVAFSLDPTRSHCSRSLVVPKGHVWIQGDNLYASNDSRHFGPVPYGLIKGKVLLRVWPPNSIGSLGQ >EOY13618 pep chromosome:Theobroma_cacao_20110822:7:9549423:9552237:1 gene:TCM_032238 transcript:EOY13618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein isoform 1 MRQWRSLAKEAMDRAFIVVKFLCFLHVTDSYLLSPTHVLGPSMLPTLNLTGDVVLAEHLSHRVGKLGPGDVVLVRSPFDPKKTLTKRIVAMEGDKVAFSLDPTRSHCSRSLVVPKGHVWIQGDNLYASNDSRHFGPVPYGLIKGKVLLRVWPPNSIGSLGQ >EOY13619 pep chromosome:Theobroma_cacao_20110822:7:9549593:9552283:1 gene:TCM_032238 transcript:EOY13619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein isoform 1 MRQWRSLAKEAMDRAFIVVKFLCFLHVTDSYLLSPTHVLGPSMLPTLNLTGDVVLAEHLSHRVGKLGPGDVVLVRSPFDPKKTLTKRIVAMEGDKVAFSLDPTRSHCSRSLVVWPPNSIGSLGQ >EOY12534 pep chromosome:Theobroma_cacao_20110822:7:2645479:2645941:1 gene:TCM_031051 transcript:EOY12534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFPMYMEKTFGILFWDLLFATFGCCKVSKNSQSKNVPNCGKDVKSRPESSGLTLISS >EOY14050 pep chromosome:Theobroma_cacao_20110822:7:19286677:19286901:1 gene:TCM_033202 transcript:EOY14050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVNWDVTEVVMGPREVPGHDIKAIKEWATPKNMTKLRSFLRLANYYRRFVEGYSKRIVALTELLKKRQKWNWM >EOY14051 pep chromosome:Theobroma_cacao_20110822:7:19278693:19290132:1 gene:TCM_033202 transcript:EOY14051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MINNLSDENRDALISLQGEIGELKAQVNLLVTAAVAKRLIDYSENSNKRKDSPSRTSSSSSNSGEKFRRVSTPLSGGSDRGPPVRDLPQLRTSKPWNFKPWPSISCFLCKEPHRVADCSY >EOY13157 pep chromosome:Theobroma_cacao_20110822:7:6238145:6246505:-1 gene:TCM_031682 transcript:EOY13157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKFKASLEEPKTYKRKLDKRMILSLTRPSYLLNLGGLHIRWENRQRLCYLLEKLVKQHNWAEASGVLSLLLKGTSRDRSADLNRLKYWASVRLLKHIEGDNVDVRRIRDIYEIWMSRIRSKTAQVEEQIAVHLEFIIFCLTHGNLGWAHQAALSLMKERHLSSHSMSNLVMGLTFCQLWYSNLTDEVKLRDSNQDYTPQLQSDTSGSKFSNQIVNSEGNNAAYTHDAVTFQYDSETSVMNDKRITPVAISKLHSEVPVQNDVNWPLANLQEEVQPLASYRNSSENEAGLYNDSGYTCDPSVFSALESLESWLMPLKLPHSSENFVYLHRQILNNHYNDAVKYLQLALHSTPHLSAALLPLVQLLLIGGQLNEALSEVEKFCNNSRLPFPFRLRASVLECFYSNDSVMLSTCFEKTLKKDPTCCHSLARLVSMHQNVTTEGIYSINHLKRENLEESKKYKRTRVCPFEQNIRHLDIMPWFSSGGTVNIKFQSLLWRRAPMNVKATVERGAKNPYFPWFSPPSNFIHRFHTVTWP >EOY12405 pep chromosome:Theobroma_cacao_20110822:7:1980413:1994727:-1 gene:TCM_030921 transcript:EOY12405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRIWSCSKLVYLFLPALTQSMKHLEELHIEKTDSLEHLIIETENGDEIVSIMDCYSLCWPRLKSLQTASCKSLKYVFPITLAQGLPYLESVQIIDCPQLMHVSNMGKEKDGHRIVLPQ >EOY12103 pep chromosome:Theobroma_cacao_20110822:7:962461:963563:-1 gene:TCM_030703 transcript:EOY12103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKGEQYKIPPNPPPKKGHPLLERPIALGNKPTKHQPSFYSAKETIPNATFHPNLDFISDPFLPIMNITTSDHVIGHSSKYHRQAPVHFMMGRQSDPAVHSSIALLQERFKQLQRVKEMRQEREVLRLLSEAERINPATPYEVSQTFFHSELILQSRRPLQVSLYRESSMQSMHTQQQVIETPVLSNLQPRVTAIHASTFNDSDVDTSLHL >EOY13860 pep chromosome:Theobroma_cacao_20110822:7:11859710:11863339:1 gene:TCM_032548 transcript:EOY13860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHIFCGFKLLPTRHLNDVTKDRAILIHSILMGCKIDIGRVIDKAMTQTTQSKRESLWFPSMITAMCKQAGVNWDAIEGLLHLNLPIDLNLILIQPSNSTKGSSSSTHHPLPLDQDTNNCQ >EOY12533 pep chromosome:Theobroma_cacao_20110822:7:2644705:2647115:1 gene:TCM_031050 transcript:EOY12533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEILYYNDCTACNSFTFPSQEVSLGLTNLNIRCHDLLTNSLLRHSGNAGKQHLLSSGLSDWENILELSWLENPFASFKINVNCSMMAILGGITWSYGQIIVGCFTSPAFVH >EOY11977 pep chromosome:Theobroma_cacao_20110822:7:497531:502435:1 gene:TCM_030606 transcript:EOY11977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 2 MHQGYPTETLMRFLKARDWNVQKAHKMLIDCLQWRIQNEIDEILAKPIIPTDLYRAVRDSHLVGLSGYSKEGLPVIAIGVGLSTYDKASVNYYVQSHIQMNEYRDRVVLPKATERSGRHISTCLKVLDMTGLKLAALNQIKLLTTISTIDDLNYPEKTQTYYIVNAPYIFSACWKAVKPLLQERTKRKIQVLQGCGRDELLKIMDYSSLPHFCRKEGSGSSRHSNNGTIDNCFSLDHTFHQQLYSYIKSQATLIETGLPIKQGSVHVDFPEPDPDDTKIAKTIESEFHRLADHDGVCNSLNGLKVNGD >EOY11976 pep chromosome:Theobroma_cacao_20110822:7:497531:502596:1 gene:TCM_030606 transcript:EOY11976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 2 MSNAHQEAIKQFLSLMENVDERMKGTFKNMHQGYPTETLMRFLKARDWNVQKAHKMLIDCLQWRIQNEIDEILAKPIIPTDLYRAVRDSHLVGLSGYSKEGLPVIAIGVGLSTYDKASVNYYVQSHIQMNEYRDRVVLPKATERSGRHISTCLKVLDMTGLKLAALNQIKLLTTISTIDDLNYPEKTQTYYIVNAPYIFSACWKAVKPLLQERTKRKIQVLQGCGRDELLKIMDYSSLPHFCRKEGSGSSRHSNNGTIDNCFSLDHTFHQQLYSYIKSQATLIETGLPIKQGSVHVDFPEPDPDDTKIAKTIESEFHRLADHDGVCNSLNGLKVNGD >EOY13946 pep chromosome:Theobroma_cacao_20110822:7:14781355:14784469:1 gene:TCM_032808 transcript:EOY13946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein, putative MRGLSIGVASVLLTIAVVISLKRSINEVKVPSWDGFHNIYLDNIEEDQEEEENDEEATTTTTTTTITSRGNYLDYDFYRNTCPQAETIVRSKMAEIVSRHNDVPAALLRLFFHDCFVKGCDASVILTDSKGERSNFTERQAVPNRTLKGFDIIDLIKEEVEKACPGVVSCADILALATRDGILLVGGPFYPVFTGRRDSIQSYFNEAVAEIPNPDGNLSETLFLFGLKGFDERETVSLLGAHNIGKISCEFIQNRLYNFLGTGQPDPSVPLDFLNEMRINCQEIRDSSNGMSPTATNPSISESTVFQGLTSISSGAGFDNHFYQNLIRGRGLLFSDQQLMADEKTARYVRDYAFGNGSAFRTDFARDMVKISVLDVLTGFQGQVRTNCSLPLYGS >EOY13484 pep chromosome:Theobroma_cacao_20110822:7:8744890:8749413:1 gene:TCM_032084 transcript:EOY13484 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5-like receptor kinase MPGAILALLILLVFALYLFPDPGVRRVKHKHCGSSFCGNVNITYPFRLKTQHRSCGHDSYELVCKNNRTIFPMKNGNFYVQDISYSDEPIQLLDVRLGNDNCSIPHSSYPSYPPFTEDNSEFSVMYLVNCTMQINYSSVYIGAFRCTNAPSSSQPPYSYFLDESTAKSDFYESCTVEAQVPIMLANITGLSAFDIYTKLLTGFQLSWSTSYYDYVWLRWDSIVNGLRSLLSLLLLPLKIFIRGNVTFFEADHIDGFYKGIQILCVAITGILLLRTFLGIICLIVLVRQKLKRRHLSMDDMIENFLQSQNNLMPIRYSYSEIKRMTDGFKNKLGQGGYGSVFKGKLRSGQPVAIKLFNKSKANGQDFINKVATIGRIHHVNVIALGVARGIEYLHRGCEMQILHFDIKPHNILLDENFTPKVSDFGLAKLYSVDDDIISLTAARGTVGYMAPELFYKNIGGISYKADVYSFGMMLMEIIGRRKNLNASAEHSSQIYFPSWIYDRLDEGDIMELGDLKENESKIMRKMAIAALWCIQMKPTDRPSMSKALKMLESEVELLEMPPKPSFSRNVN >EOY12404 pep chromosome:Theobroma_cacao_20110822:7:1973166:1976495:-1 gene:TCM_030920 transcript:EOY12404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein MFLECVCAAISGTAGNLATEYASPYLRYFFRFGKIVEDFKNRRNELESRRDELKDAVDEALRQTEVIKKGVEEWLTKADKELGEAQSLEEEIERNKCFNWCPSWGWRYCLSKKVEKKNLCISKLLETCNFPLVGQRPPLQGIEFLLPKDFMRSESSTLAFNGIMKALKGDGVNMIGLHGMPGVGKTTLAEVVGKQATEEKLFDKVVIVRGVSQNPDINKIQHRISEILGLKFNASTEEGKAEELFKRLKGEKKILIILDDVWEQFELRNIGIPFGDKHPGCKILLTTRLRQVCSQMNCQEEFKLNILSEDEAWALFKDNAGLKDASPTLNDVAKEVAREAKGLPLAIVTMAKALKRESLNGWIAANQRLKESRHLDNQDVCGGIYSCLKLSYDYLKEENIQSCFLLCSLFPEDYDINVELLTEFGIGQGLFCHINLIEEIRREIHLALSKLQKFGLLLETDDEYYVKMHDVVRDFAHWITSRGENMFMVKNELTEWPKSEIFGCYTTISLWNIKIVNFPDKLEFSKLKTLFLTGEDYLRVPSKFFEGMKTLRVLFLQRVVFSMEALQFLTNLRTLCIMRCDVENISSLRNLENLESLSLIRTYIDELPEELVELRRLKSLYIFWVGDEDRHVPPNLISR >EOY13901 pep chromosome:Theobroma_cacao_20110822:7:13058972:13158998:-1 gene:TCM_032646 transcript:EOY13901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVYDMSNGTSVIRKYPSSASFGLDEEAYLLKVPHQLRQLNESAYEPQLISIGPYHHGKQHLIEMEVYKKRCLQRILERNGDQNHHRYRDAIDFVRARKWYSPSLPNDIEAKFKAIMLVDGCFIVELLRQMETDEYDDPIFQKKWVQNSLLGDLVLFENQLPFFVLVGLYHVIEDPTDGKDFACRAFSVLSDFLPGPGTWKENPPTIKDTDHIKDLLSLLHDNWSPSPQGIRRHQDYYRTKDEKAKGGEEAREKGGLEKKWKFTLSIIEKPKEKKFHGDVESGVTITCCAREKENLRKGLLEWQSLHGTMKIPSFVVSDRTERLFRNLIAYELYKEGSTYVIDYVTLMDNLINSSNDVQLLRLSEIIENMLGDDEAVAKMLNKLRDHVILCADTFYYEEIFVDVKRHCARRWNPWKAKLRHDYFNSPWALISFIAALLVILLTIGQFITALIPLVK >EOY12369 pep chromosome:Theobroma_cacao_20110822:7:1840238:1842904:1 gene:TCM_030893 transcript:EOY12369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEGRVIKRSRLLETLGSCPWLVFPHCEDGCHRTFCSMARPFKTYGKSSPKLRINGVLGYSHGWLIISDETIKKPTVRREFISLWNPASSEYISLPPLDLKPDQRIITGSLLSPPGNPGSMVLVFERIVKSFIFCKIGDKEWTQIPAKEMDMQSQIIDEEPSTRNRLLSSSPVKYKGKLYVPMSRQIKVIDQVKPKHIMFRSLNCMLPSRFSHSNCLDWYLVESCGELCVLEVTWGGVNASQVLDIEISRLDFRTMEWSQVRSAKDRGFFFSKTAVYAISCPVNESGIEGGFVHFTVGTDRCLYSFNIEDKSISVSLPWVHLPKSWSTPFWVMPDSSLLFHNRKPEGSQILRKEVNQKEDREDKQILKFSPDKSEAEVRNLCDLPLEIIALIANNLYLVDYINFRLVCKTFRLVAPRVQWRETSHKLNSHSLSPWLMFAQGNSRTLHNFIDPKFGDRYLMNIPESIIDFDIRCSKEGWLLMSSRDEGGSMCFYHPFTKKLISVPPLVVNLERCHSFGFTSLPTSPRCLIVGISSSSIFYFNFSEDEGWFQFERGDVPTAFIPNHTNPVLFEGAFYFLGQQGNLGISSFDNLYDHHMVDWHVHEKPGKPCKSFDQNYLLECDGKLCSVFVDNLGERVQVFEFDYPSMAWRKVRDLGNYMFFVSPPSSFSMVAKTPGMENKIYFPKIKGEEIVYHCLRTAKFRTFGSKQFAANFCNTIEYSFSAWIQQRWL >EOY12052 pep chromosome:Theobroma_cacao_20110822:7:738617:739743:-1 gene:TCM_030660 transcript:EOY12052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDQTGNQKRQSLSQFMKSHFHIKLTQLVLSVSVFSLFFSHSYWLSLLHSFNFNFHNTLPFQLFSHAIDKNCIFLLCNGLLVFLAKYSGLISSSSKHNLSDDQSFKSYEDVPQSESIVLEPKAPLLEKEVPLGSSDEALENSILMEGRQEEEEEAAAAETEIGNFTLEEEKEEEDAEKWDLMTTAEKEGNAAFVQEEEVKGSEVDFFVQEFGEGENPEREEEAELVEGNRVLSTEELNKRFDEFIRKMKEELRIEARQQLVMV >EOY13731 pep chromosome:Theobroma_cacao_20110822:7:10402328:10417569:1 gene:TCM_032367 transcript:EOY13731 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein MALLGGGSSQTQPVVFHFRYLLKFEDCEIFKRLSSIYSHLPLWFLHYQFSSTQFTYERKLCHFLAYTKMAPSLDDGSCLFNFVVREGNGVKGMVDLGLSKVPEPYIQPPKERIDKKKATRHEQAPIDFSRLNGPDHDQVVKEIVTADETLGFFQLVNHGVPVDLLESLKDAAHNFFGQPSVKKAVYRKEVSPSPLVKYGTSFVPEKEKALEWKDYISMIYTNDAEALAQWPEECREVALEYLKTSMNMVRKLLEVLTENLGVQLDDSKIDALVGMKMVNMNFYPICPNPDLTVGVGRHSDMGTLTILLQDGIGGLYVKVTEEIDDIEKKAEWVEIPPLPGALVINIGDTLQISSNGKYKSAEHRVRTTSTKSRVSIPIFTTPKPSAKIAPLPQLVEKERVARYRELVFGDYMKNFFANAHDGKKSLDFAQINSA >EOY12263 pep chromosome:Theobroma_cacao_20110822:7:1515042:1515938:1 gene:TCM_030822 transcript:EOY12263 gene_biotype:protein_coding transcript_biotype:protein_coding description:LIM and calponin domains-containing protein 1, putative MNKFKKSQVLILSLVAALLFMAPLLSSSLRPTYLYFVLNLLIIALGAEAGLLSVFSRPAYVAAKPVTAQETKAVESSKDDQKLVAPANNEEKAKVVEKSASEKIVGTVKVDKVKKCPSTPSLFFIGSGEAESEAVDEEHEEEEEEVGGLSGPELFAKAEIFIGNFYKQLKMQREESWKRIHGFYQKAF >EOY13883 pep chromosome:Theobroma_cacao_20110822:7:12424993:12536706:-1 gene:TCM_032589 transcript:EOY13883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGFPLAPANSSLRRRSSRLFLPTLTDLVVRWSGPFSRRRTPPCGVTKVGSPQPATDLLARRSGSFSRRRAPPYDVAKVGSPQLATGPQLQSVLSPPDATVPPLLYTRFPGSGGGMLHCLVPGHPGASSTILPDAKFASGRLWHKWIALRESPRLDEKAYLLKVPHQIRQVNESAYEPQLISIGPYYHGAKPHLIEMEVYKNQCLQRILERKGEQIKERCVKAMNLERARKWYSPSLSKLLEDPNVYKKFEEMMLHDGCFIVELLNGKVPGDDPIFKLKWVLNALFHDFLLLENQLPFFVLVGLYHVIKDPSDEKDFACQAFSVLSNLLPGPKRWNKNPPNIKDTDNIKHLLSLIHDNWSPSPQGIRRHQEYYRTKKDKAMAGEEEREESGLEKWKFTLCAIEKPKEKKCQGDEESGVTKGADHNSFKWKFICCAREKKNVRKGLVEWQSLHCATELEEAGIQFMNSTEESDVKSLFDVSFTDATMKIPTFVVEDYTERLFRNLIAYEQYEEGSTYVIDYVTLMDNLIDSAKDVQLLRFSGIIENMLGDDKAVAQMLNKLRDHVTLCGDTFYYEEIFVDVKKHYGRRWNTWKAKLRHDYFNSPWALVSFIAALLVILLTIGQFITAIIPLVK >EOY12679 pep chromosome:Theobroma_cacao_20110822:7:3341689:3342486:1 gene:TCM_031182 transcript:EOY12679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSQIGNLFPAMVLMALISFLVFHQSFLVAGEETGKALIAKTCNQTEYPEECISALGSDGGSLSANLTGLGRIAVEQSASKLNHTLSYVDSLVKNETDYLTWGFLAFCRDLYNTSVNQIQEGLQAFDQLKYDKTYQSVDAVNKAVIDCNKQGLGLLTQVNTALFRLTKDAMMIVDLLY >EOY12937 pep chromosome:Theobroma_cacao_20110822:7:4896089:4898961:-1 gene:TCM_031438 transcript:EOY12937 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING membrane-anchor 3, putative MAMEPNFFEQEANFESDGDILLKQKWNQISAPTKDSEKDAGGFDCNICFDSAQDPVVTLCGHLYCWPCIYKWLQVQTSSLDADQQHQNCPVCKANISSNSLVPLYGRGTSSDSESKNPHSDLVIPQRPPPSGLNSIISSSHQSQPLRENFFHSQSQSFHHQQYFPHGGYATLASSNLGGIAMTNFFNPMIGMFGEMVYARIFGSSDTGLVAYPYQASYPFFGNNNNLRMRRQEMQFDKSLSRVSIFLFCCLILCLLLF >EOY13362 pep chromosome:Theobroma_cacao_20110822:7:7737077:7754699:1 gene:TCM_031908 transcript:EOY13362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGEIGTNDYTYALFEGKTHEEVKALVPHVILAIKEAVKRTIDYGAIQLIVPGNLPIGCFDIKSIQKSCCGTGGDYNFSLMNICGVSRVLVCFNLDKSLNWDGSHLTQQAYKFMARWLIQDIYPKLQCNFST >EOY12297 pep chromosome:Theobroma_cacao_20110822:7:1607856:1608600:-1 gene:TCM_030841 transcript:EOY12297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSSKILLALFLGALVCATSTARKLTSGFEDEKNFFHSPRFGGGFGGGAGAGGGLGGGGGAGGGGGFGGGGGLGGGAGAGGGFGGGAGAGGGLGGIP >EOY13558 pep chromosome:Theobroma_cacao_20110822:7:9212094:9221341:1 gene:TCM_032156 transcript:EOY13558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-related gene 1 MDPKAVKYGSSLLVPSVQELGKKSIATIPPRYLRPYLEKPIVSDAGSMSEIRVIDMEGLVSKESMDSELAKLDFACKEWGFFQLINHGMSLSLVEKVKTEIQDFFNLPMEEKKKYWQFPGEVEGFGQAFVVSDEQKLDWGDMFFLTTLPVYSRKPHLFPKLPLPFRDTLNQYSLALEKLAMAVLVQIAEAINMNVIGLTPHSDATGLTILLQVNEVEGLQVKKDGKWVPVKPLPNAFIVNIGDVLEIITNGVYRSIEHRATVNSEKERLSIATFCSPNYNGEKIDMDPKVIKYGSSLLVPSVQELAKKSIATIPPRYLRPDLEKPIVSDASLMSEIPVIDMEGLVSKESMDSELAKLDFACKEWGFFQLINHGMSLSLVEKVKTEIQDFFNLPMEEKKKYWQNPGEVEGFGQAFVVSDEQKLGWNDMFFLTTLPVYSRKPHLFPKLPLPFRETLNQYSLELEKLAMAILLQIAKAINMNIEEMREFFEGVLQAMRMNYYPPCGEPEQVIGAAPHSDASALTILLQVNEVEGLQVKKDGKWVPVKPLPNSFIVNIGDALEVITNGAYCSIEHRATVNSEKERLSIATFCNPNYNGEVGPAPSLISEGKQALFRRVRVEDYLKALFARQRHEISNLDSMRI >EOY11982 pep chromosome:Theobroma_cacao_20110822:7:512500:520803:-1 gene:TCM_030609 transcript:EOY11982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding,sequence-specific DNA binding transcription factors, putative isoform 1 MRQGKEEAVCSVEQAIDLISVVKEIHGLSAKEINKLLRDSENFTIHFVTEKGSEVKIDVEKLAGCLPLHLIAVLMSSDRDEALLRYLLCGIRLLHSLCELAPRHTKLEQSLLDDVKVSEQLIDLVFYVLVVLNDYRQDIHKSSPVPLLHSALVACSLYLLTGCISSQWQDLALVIVAHPKVDMFMDVACRAVHLVVRFLQNKLSAEHTDICAKLSPTAEFIVNYLCQQCEASLQFLQLLCQQKPFRERLLRNKELCGKGGILFLAQSILKLHAPYFVESSTVMAALSRMKAKVLSILLNLCEAESISYLDEVASSPGSLDLAKSVALEVLELLKTGLSKDPKQLTASSDRTYPMGLLQLNAMRLADIFSDDSNFRSYITVHFTDFLSAIFSLSHGDFLSMWCSADLPVREEDGTLYYEIFPAVGWALESLSSSDLTNTRDLYFNFIYNNMSQASYVHQRTSLFVKVIANLHCFVPNICEEQERNLFLHKFLGCLRNDPSKLLPSFIFVSGPQKAAAIYRNLRSLLSHAESLIPTFLNEDDLQLLRVFFDQLQSLINPAEFEENRVQEDRSLGGCSSPLLRTEPPNRNNRNGNLKEEMSENSAFQEEEQCYVRSNHMDQADDITRQDMMDDKDKSVTPIGLKEIDRDVQNVETSGSDTSSTKGKNAVDKLVERLRDSTPAGVREDEKVETVQTEEKQRRKRKRTIMNDEQVTIIERALLDEPEMQRNTASIQSWADKLCHHGSEVTCSQLRNWLNNRKARLARASKDARPPPEPDNAFAGKQGGPQPGHPFKAPDSSGEEAAPSNTRGTRSMSRISTSENPEAPEFVDFGAAEFVQCKPGQFVVLVDGRGEEIGKGKVHQVQGKWCGKSLEESGTCVVDAVDLKADKWVKLPYPSEATGTSFEEAETKFGVMRVMWDSNKIFLLRPQ >EOY11983 pep chromosome:Theobroma_cacao_20110822:7:512331:521110:-1 gene:TCM_030609 transcript:EOY11983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding,sequence-specific DNA binding transcription factors, putative isoform 1 MRQGKEEAVCSVEQAIDLISVVKEIHGLSAKEINKLLRDSENFTIHFVTEKGSEVKQSLLDDVKVSEQLIDLVFYVLVVLNDYRQDIHKSSPVPLLHSALVACSLYLLTGCISSQWQDLALVIVAHPKVDMFMDVACRAVHLVVRFLQNKLSAEHTDICAKLSPTAEFIVNYLCQQCEASLQFLQLLCQQKPFRERLLRNKELCGKGGILFLAQSILKLHAPYFVESSTVMAALSRMKAKVLSILLNLCEAESISYLDEVASSPGSLDLAKSVALEVLELLKTGLSKDPKQLTASSDRTYPMGLLQLNAMRLADIFSDDSNFRSYITVHFTDFLSAIFSLSHGDFLSMWCSADLPVREEDGTLYYEIFPAVGWALESLSSSDLTNTRDLYFNFIYNNMSQASYVHQRTSLFVKVIANLHCFVPNICEEQERNLFLHKFLGCLRNDPSKLLPSFIFVSGPQKAAAIYRNLRSLLSHAESLIPTFLNEDDLQLLRVFFDQLQSLINPAEFEENRVQEDRSLGGCSSPLLRTEPPNRNNRNGNLKEEMSENSAFQEEEQCYVRSNHMDQADDITRQDMMDDKDKSVTPIGLKEIDRDVQNVETSGSDTSSTKGKNAVDKLVERLRDSTPAGVREDEKVETVQTEEKQRRKRKRTIMNDEQVTIIERALLDEPEMQRNTASIQSWADKLCHHGSEVTCSQLRNWLNNRKARLARASKDARPPPEPDNAFAGKQGGPQPGHPFKAPDSSGEEAAPSNTRGTRSMSRISTSENPEAPEFVDFGAAEFVQCKPGQFVVLVDGRGEEIGKGKVHQVQGKWCGKSLEESGTCVVDAVDLKADKWVKLPYPSEATGTSFEEAETKFGVMRVMWDSNKIFLLRPQ >EOY11981 pep chromosome:Theobroma_cacao_20110822:7:512102:521396:-1 gene:TCM_030609 transcript:EOY11981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding,sequence-specific DNA binding transcription factors, putative isoform 1 MGSDRSLFIPPHVAKLLYLVSFGQVHPWLLIQVTHLPSRTTLRSSNPFLSRSLYPQKRTLGQVGKEKSSCSHGGYWVISILTLQNIPRVFSYSIPIWVFIRLFTSRFLNMRQGKEEAVCSVEQAIDLISVVKEIHGLSAKEINKLLRDSENFTIHFVTEKGSEVKIDVEKLAGCLPLHLIAVLMSSDRDEALLRYLLCGIRLLHSLCELAPRHTKLEQSLLDDVKVSEQLIDLVFYVLVVLNDYRQDIHKSSPVPLLHSALVACSLYLLTGCISSQWQDLALVIVAHPKVDMFMDVACRAVHLVVRFLQNKLSAEHTDICAKLSPTAEFIVNYLCQQCEASLQFLQLLCQQKPFRERLLRNKELCGKGGILFLAQSILKLHAPYFVESSTVMAALSRMKAKVLSILLNLCEAESISYLDEVASSPGSLDLAKSVALEVLELLKTGLSKDPKQLTASSDRTYPMGLLQLNAMRLADIFSDDSNFRSYITVHFTDFLSAIFSLSHGDFLSMWCSADLPVREEDGTLYYEIFPAVGWALESLSSSDLTNTRDLYFNFIYNNMSQASYVHQRTSLFVKVIANLHCFVPNICEEQERNLFLHKFLGCLRNDPSKLLPSFIFVSGPQKAAAIYRNLRSLLSHAESLIPTFLNEDDLQLLRVFFDQLQSLINPAEFEENRVQEDRSLGGCSSPLLRTEPPNRNNRNGNLKEEMSENSAFQEEEQCYVRSNHMDQADDITRQDMMDDKDKSVTPIGLKEIDRDVQNVETSGSDTSSTKGKNAVDKLVERLRDSTPAGVREDEKVETVQTEEKQRRKRKRTIMNDEQVTIIERALLDEPEMQRNTASIQSWADKLCHHGSEVTCSQLRNWLNNRKARLARASKDARPPPEPDNAFAGKQGGPQPGHPFKAPDSSGEEAAPSNTRGTRSMSRISTSENPEAPEFVDFGAAEFVQCKPGQFVVLVDGRGEEIGKGKVHQVQGKWCGKSLEESGTCVVDAVDLKADKWVKLPYPSEATGTSFEEAETKFGVMRVMWDSNKIFLLRPQ >EOY13807 pep chromosome:Theobroma_cacao_20110822:7:10962778:10975745:-1 gene:TCM_032454 transcript:EOY13807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine kinases,protein kinases,ATP binding,sugar binding,kinases,carbohydrate binding, putative MGKTTIGLVLLALILLSCFCFQFGTALDSITPSNSIKDPEVILSKNGVFRLGFFNFSDSTDRYVGISYNQIPVQTVVWVANRNQPLKDSSGIVKISDDGNLVVLNGQDEILWSSNVTNLASNTSALLLDSGNLVLKNNEDDNGASIWESFQHPSNAYTATMKISTDLRTGQKVQLSSWKSPSDPSDGNFSFGLEPVNIPELIIWNNNQPYIRSGPWNGQIFIGMLNMNSVYLNGFSLVTDDQKESFYLTYALANKSTLLYYELNPLGNLVERYWDEGKGDWGNNWLENDCDVYGKCGAFGSCDSMKPKICSCLRGFEPKNREEWNRENWTSGCVRTTLLGCQKVNNGSEVGKEDGFLKLEMMKVPAFAEWSSPFEETCEEQCLRNCSCVAYAYDVGIGCMLWRENLIDIQKFPSRGVDLYIRVASSELDKKEKSKVIVIVTVVVGIIIITISTFFLWSWMAKHRGRKQKVGEMLLFNKGKAVGNFSSDNMVGENLFEVKLQQLPLFNFEELASATNNFHLTKKLGQGGFGPVYRGTLQDGKEIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCVEREEKMLVYEYMPNKSLDAFIFDQVQRQFLNWEKRFNIIEGISRGLLYLHRDSRLRIIHRDLKASNILLDQDLNSKISDFGMARIFGGNENHANTKRVMGTYGYMSPEYAMQGQFSEKSDVFSFGVLLLEIVSGRKNTSFYDNQHSFSLLGYAWKLWKEDNIFGLVEMGVSDPSYDEKQILRCIHVGFLCVQESAKDRPIMSRVVSMLNSEIVDLPTPTQPAFIGGQINEDAESFPNNEDRFSLNDVTVTDVVGR >EOY13471 pep chromosome:Theobroma_cacao_20110822:7:8677688:8679867:1 gene:TCM_032067 transcript:EOY13471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 21, putative MSSTKSGSKVATTGYSLTKDLTMEWIKLKTLGEGCFAVVDLVKIIQPMSCILAVKSSPFSCPSLSKEYKILQQFLGCPYIVQCYGAMMSLGYSEPYFNLFVEYAPEGNLLNLIQKFGGKIPESYVRCYIRMILGGLCDIHKRGYVHCDLKPENVLVYPSNQYGLFTLKIGDFGLAKEPEQSDAPKATPDPLPRFQGTPAYMSPESLRDGKITASIDIWSLGCVVLEMMTGTRPWTCTRNPKDLAKKIALTNDLPYIIPDNMSTEGKDFLMKCFARDPSERWTADMLINHPFLIPDSTLLAPQRSFLQDPLPDTSSCSERQPSFSSIRALF >EOY13312 pep chromosome:Theobroma_cacao_20110822:7:7500057:7501125:-1 gene:TCM_031861 transcript:EOY13312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MELPQGYFVQGEYQTIWIHTVDIRLFIIYHEDKQWGFVAILVYVDDILIGSTSAQVAFNVKGFLSSQFKLKDLGKVKFFLGLEIARSPEGISICQRKYILDLLEEHGLLGTKPVSTPIDYNHKLSKTKDGQKLHDATKYRQLVGKLLYLTFTRPDISYAVQVLSQFMDKPSGEHFMAAYRVMKYLKRAPGQGILMKSKSNLKVSTYSDSDWAGCPDSRKFLTHENQSQALEFSLEILL >EOY13913 pep chromosome:Theobroma_cacao_20110822:7:13304413:13311071:1 gene:TCM_032670 transcript:EOY13913 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MRTLQDIYNRCNAAIVEPTTYIKANENDEWKKAKNTETEMIKKNGTWLLVDRPANQKVIGVKKTKFASLLKLFYKLKQAPRASYERIDNYQVRKGPDSYVLNDFKMQMKLEFNMTDLGEMSYFLNTEFIQSPKFIYIHQSKELLKRFNAEACKMVDTPLVSNAKFYCNDEAPTAISTTYKCLIRSLLYLTASKLDIMYFASVLSRHMQAPSEIHFFAVKRVLRYIKGTTGYGLKFSKNESKELVGCCDSDWAKCLDDSKNTSGFCFSFGSVAFSWNFKKQDLPEEKTTIKQWLGDGGGVASSFNKLCTNIIKLRTE >EOY13049 pep chromosome:Theobroma_cacao_20110822:7:5609000:5612973:-1 gene:TCM_046870 transcript:EOY13049 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MASSSSLDTALLPEASHRQEESKEKSFWGKVLDVEELKKQVLFSLPMVLTNAFYYAITLVSVMFAGHLGELELAGATLANSWATVSGFAFMIGLSGALETLCGQGFGAKTYRVLGIYLQASCIISCLFSIIISIIWFYTEPILILLHQEPQIAKKADLYIKYLIPAVFAFGFIQNVLRFLQTQSILMPLVLFSGLPLALHFGIVYTLVHWTSLGFKGASLASSISLWISFIFLATYVVCSKKFEHTWGGFSSESFRHIVTNLRLSLPSAAMVCLEYWAFEILVLIAGLMPDAAITTSVIAMCVNTEAIAFNFTYGLSAAASTRVSNELGAENPGRAKNAMAVSLKLVVLLALVLVLALVFGHNIWAGFFSDSSLIIKDFASLTPLLAISISVDSFQGVLSGVARGCGWQHLVVVANLVTFYGIGMPIAVLLGFKFKLYAKGLWIGLICGLSSQAATLLLITFRTRWTRIDLSAEREQGTLDSV >EOY12228 pep chromosome:Theobroma_cacao_20110822:7:1413704:1415708:1 gene:TCM_030792 transcript:EOY12228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGVGSTRLGRASARYGSTAVFNGPVRKWKKKWVHVSPSSAVKHSQSNGNNSNGNSSSSAGAGAGATSIVLCRWTPLSSTDFGSSVAASAVDGEDEEQPPKRKFRYTPVAVLEEERKRAAAKQVEDEAKTDENETNQSPDWLSSKTNHELNMNKDLKKGSQDSSMGNLDLGLCLKGHDDRRVSVGEKEGQEKAASSAGFWTMG >EOY12211 pep chromosome:Theobroma_cacao_20110822:7:1355911:1357733:1 gene:TCM_030781 transcript:EOY12211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVVTIRVAIKVAKSVKHVDVNYKVQHQSTAQLNAREGYQFDYVFDWTVLKCPQVGGSSKGRHTSGRADKRRNSSRYGSTSRRAVAASRHASSSGEPSDIQQNRLVSSGGRMSTTQESNLPLTPKHLVVLPVLEEAVMMITLFEVLSCSRLGNNGVCRLMLLRSSHVTVYN >EOY11833 pep chromosome:Theobroma_cacao_20110822:7:59664:64974:1 gene:TCM_030506 transcript:EOY11833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MHARHRSPGNGYRSSSLGMGLAASRISPETSGRGHGFYNSEYRNFKRGFGRGQSHPKSFQSHPPTPRKGTDIFMEAGRLAAEYLVSQGLLPPSVLPAKWQNGSLKKQMGDYQDYRSQEGDNLHLPPDGRTSALARLGNSTPDLGLGRRRYLDDYNPTGSRNLSKGRRKEGSYRSHSSDWGREYGRSGSWSDRIRGSPDMEIDDDNVSGHHEEPQVGNGLQKPVSSEFAPKSEELDYPEFDDKYNLQDEVGSKASSSSAGKDLKLETDGEPLKSSGDSTNFSTEAGELKDGSNNDETEKEGTMKDLPYQHSSTEDDPSGKTSADLLALCKFAKVPTKTRSSLTLKNLKTDSVSYNEQETVSDIGAPSGTQNALIKENSLGGSSGDMLINKSQDSKCPNSELSKDLSVHSFGDGGDLDHIYGVEQGKCTRSQSFPDRALLHDSEQELVEGMLGLQRSSSMVVVKEKGEKRGLEQRGLMEGAKKPREWLQPVTTKAEFLSFSDFSDKKGGSLEGTASSSEKVGVTDNQEIQDSLVSNTQFPDGDGESSVNYAQEKQLFPNAFKICDLNLMEASDINENHHNDPIIMYSTPSEIKNEAATIDIDLSMSNSNSSGGGNRHTSNCKEIEVIDLENDSIHEDKAVDNLERKSEPIFVSMNGDMTDVSDHYDGLMITEFLGNISSCPSDDINPHPLQNENINPLQTENINPLQNEMGLHNGEGTLGFDDSIYMSLGEIPLSFLPAWEQPPAQEYEKPF >EOY11834 pep chromosome:Theobroma_cacao_20110822:7:59664:64974:1 gene:TCM_030506 transcript:EOY11834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGDYQDYRSQEGDNLHLPPDGRTSALARLGNSTPDLGLGRRRYLDDYNPTGSRNLSKGRRKEGSYRSHSSDWGREYGRSGSWSDRIRGSPDMEIDDDNVSGHHEEPQVGNGLQKPVSSEFAPKSEELDYPEFDDKYNLQDEVGSKASSSSAGKDLKLETDGEPLKSSGDSTNFSTEAGELKDGSNNDETEKEGTMKDLPYQHSSTEDDPSGKTSADLLALCKFAKVPTKTRSSLTLKNLKTDSVSYNEQETVSDIGAPSGTQNALIKENSLGGSSGDMLINKSQDSKCPNSELSKDLSVHSFGDGGDLDHIYGVEQGKCTRSQSFPDRALLHDSEQELVEGMLGLQRSSSMVVVKEKGEKRGLEQRGLMEGAKKPREWLQPVTTKAEFLSFSDFSDKKGGSLEGTASSSEKVGVTDNQEIQDSLVSNTQFPDGDGESSVNYAQEKQLFPNAFKICDLNLMEASDINENHHNDPIIMYSTPSEIKNEAATIDIDLSMSNSNSSGGGNRHTSNCKEIEVIDLENDSIHEDKAVDNLERKSEPIFVSMNGDMTDVSDHYDGLMITEFLGNISSCPSDDINPHPLQNENINPLQTENINPLQNEMGLHNGEGTLGFDDSIYMSLGEIPLSFLPAWEQPPAQEYEKPF >EOY12036 pep chromosome:Theobroma_cacao_20110822:7:710458:712840:-1 gene:TCM_030651 transcript:EOY12036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSSILVCTRGFDDSTSEASALFLPDSSIPKLGITNPSVSHLKELQLNNILAYRQR >EOY12097 pep chromosome:Theobroma_cacao_20110822:7:940244:941416:1 gene:TCM_030699 transcript:EOY12097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MGEYVPSALANFYSYSIEIAIKGVEIELEKIFIALTSIDLSNNEFQGEIPKVIGELDSLKGLNLSHNNLSGCIPTSMGNLTALESLDLSSNKLVGKIPTQLNSLGFLEVLNLSQNQLVGPIPQGKQFDTFGRDSYAGNLGLCGFLLSRSCDNTEAPFFHDSTEALIFHVEADSESGFVWKATFMGYGSGLVLGISAAYIMFTLGRPKWLVRMVEEVGYKLKRYLRGRRNL >EOY13624 pep chromosome:Theobroma_cacao_20110822:7:9579462:9589028:-1 gene:TCM_032245 transcript:EOY13624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 72, subfamily A, polypeptide 15, putative MEFSPVIGTLLSFLVVGILIGGWRILDWVWLKPKRLERCLRKQGLRGNSYRFLSGDIKESSDMSKQARSKPMPLSDDIAQYVSPFLHQTVKNYGKNSFVWIGPRPRVTIMEPEQIREIFTKFNDFQKPRSYPVGNLLVCGLANLQGEEWAKHRNIINPAFHQDKLKNMLPAFYQSCIEMISKWEKMVFVEGSSELDVWPYLINLTRDVISRAAFGSSYEEGRRIFQLLDDQINLTMQVIQSVYIPGSWFLPTKTNRKLKVIVKDIKDLLKEMIKKREKAIKAGEASKDDLLGILVESNIKEIQEHGDHKNMGMSIEDVIEDCKLFYFAGQETTSILLVWTMILLARHPHWQTKARAEVSQVFGDSKPDSNGMNRLKVVTMILYEVLRLYPAAIALTRSVPKETKLGKMLLPAGVEISLPVLLIHHDQELWGKDAHEFNPDRFSEGVSKPTKSQVIYFPFGWGPRMCIGMNFALMEAKMALAMILRQFWLEISPSYAHSPCIFLTLRPQHGAHLILHKL >EOY13495 pep chromosome:Theobroma_cacao_20110822:7:8874760:8879049:1 gene:TCM_032100 transcript:EOY13495 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5-like receptor kinase MLRAKLPLFGLLALALSLFPDACIARGKHKHCDFSFCGNINITYPFRLKSQPHRCGYNELELVCENNRTIFPMKYGNFYVQHLSYSDKTIQLLDVSLGNDNCSIPHSSYPWYTPFAKELHLYRTTTEYSVMYLINCTTQINNSSVYIDAFRCTNTPSSSQPPYFYFLDENTAPSDFHESCTVEAQVPIMVASITGLSTFDIYTKLLTGFQLSWFTSSYDYVWLRWDSIVNVLLSLLQLLLLPLAIYIQSNLAFLLPDIFGEPVNGGIQILCLAITGILLLRTFLGISCLIALVIQKLRKRHLSMDDEIENFLQSHNNLMPIRYSYFEIKRMTDGFKNKLGQGGYGSVFKGKLRSGQLVAIKLLNKSKANGQDFINEVATIGRIHHVNVVKLIGFCVKGSKQALVYDFMQNGSLDKIIFSTESNTLSWQKMFEIALGVARGIEYLHRGCEMQILHFDIKPHNILLDENFTPKVSDFGLAKLYSVDDDIVSLTAARGTLGYMAPELFYKNIGGISYKADVYSFGMMLMEIVGRRKNLNASAEYSSQIYFPSWIYDRFEEGDIIELGDVTENENKIMRKMVIVAFWCTQMRPTDRPSMSKALEMLESEVELLEMPPKPSFSGNVN >EOY13018 pep chromosome:Theobroma_cacao_20110822:7:5331651:5336327:1 gene:TCM_031520 transcript:EOY13018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton pump interactor 1 isoform 3 MGVEVVGSDMAQVSVDKVAEVEKSFLHDKENGKLDKDPVHDEPIKLGSLGEEPDKGEEINASDANFPKDAVDEWPAPKQIHSFYFVRYRPYDDPKIKAKIDQADKEIQKWNKARFQLTEDLKVKRSDRAELLSQVKALNIDFEQFKAILGEKKKEMEPLQQALGKLRNNNNAGGRGGICSSEEELNDIIHSLQYRIQHESIPLSEEKQLLREIKQLEGTREKVIANAAMRAKIQDSLGQKEAIQDQVKKFMALWRGKKAFRDDYEKRILPSLDSRQMSRDGRIRNPDEKPLVVPEAPLPSETETIPKAVVKQPKEEIKSSPQPDIKLTKKVQKDAETKVMESKSSAENGIVAEKEISGSGKLQKDTSADKEVDAAKLKEMKREEEIAKAKQAMERKKKLAEKAAAKAAIRAQKEAEKKLKEIMSVKRKLRRRRQHLQLLQILRNKLKM >EOY13017 pep chromosome:Theobroma_cacao_20110822:7:5331469:5336896:1 gene:TCM_031520 transcript:EOY13017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton pump interactor 1 isoform 3 MGVEVVGSDMAQVSVDKVAEVEKSFLHDKENGKLDKDPVHDEPIKLGSLGEEPDKGEEINASDANFPKDAVDEWPAPKQIHSFYFVRYRPYDDPKIKAKIDQADKEIQKWNKARFQLTEDLKVKRSDRAELLSQVKALNIDFEQFKAILGEKKKEMEPLQQALGKLRNNNNAGGRGGICSSEEELNDIIHSLQYRIQHESIPLSEEKQLLREIKQLEGTREKVIANAAMRAKIQDSLGQKEAIQDQVKLMGVDLDGVRKEQQALWSKKNQIKEKVKAIESKIDSLQEELTAVTQKRDKAYETIQELRKQRDEGNAHFYQSRSLLNKAKELAAKKDIKALEELSIAEVEKFMALWRGKKAFRDDYEKRILPSLDSRQMSRDGRIRNPDEKPLVVPEAPLPSETETIPKAVVKQPKEEIKSSPQPDIKLTKKVQKDAETKVMESKSSAENGIVAEKEISGSGKLQKDTSADKEVDAAKLKEMKREEEIAKAKQAMERKKKLAEKAAAKAAIRAQKEAEKKLKEREKKAKKKAAASATAANPEEQTEDVAEASEPEKVDVNADAPVPPSVPVKDRVQKENTVRYRNRAKGPESLPRAILKRKKSTNYWIWAAPAALVVLILLAVGCYYLV >EOY13016 pep chromosome:Theobroma_cacao_20110822:7:5331365:5336889:1 gene:TCM_031520 transcript:EOY13016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton pump interactor 1 isoform 3 MGVEVVGSDMAQVSVDKVAEVEKSFLHDKENGKLDKDPVHDEPIKLGSLGEEPDKGEEINASDANFPKDAVDEWPAPKQIHSFYFVRYRPYDDPKIKAKIDQADKEIQKWNKARFQLTEDLKVKRSDRAELLSQVKALNIDFEQFKAILGEKKKEMEPLQQALGKLRNNNNAGGRGGICSSEEELNDIIHSLQYRIQHESIPLSEEKQLLREIKQLEGTREKVIANAAMRAKIQDSLGQKEAIQDQVKLMGVDLDGVRKEQQALWSKKNQIKEKVKAIESKIDSLQEELTAVTQKRDKAYETIQELRKQRDEGNAHFYQSRSLLNKAKELAAKKDIKALEELSIAEVEKFMALWRGKKAFRDDYEKRILPSLDSRQMSRDGRIRNPDEKPLVVPEAPLPSETETIPKAVVKQPKEEIKSSPQPDIKLTKKVQKDAETKVMESKSSAENGIVAEKEISGSGKLQKDTSADKEVDAAKLKEMKREEEIAKAKQAMERKKKLAEKAAAKAAIRAQKEAEKKLKEIIFFFILFCFLIITKKKAAASATAANPEEQTEDVAEASEPEKVDVNADAPVPPSVPVKDRVQKENTVRYRNRAKGPESLPRAILKRKKSTNYWIWAAPAALVVLILLAVGCYYLV >EOY11869 pep chromosome:Theobroma_cacao_20110822:7:155662:158862:-1 gene:TCM_030530 transcript:EOY11869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease, putative isoform 1 MGEEHVDHQTPLLHKQQPQDTVINRTGAGVLSLAWSMAQLGWIAGPLATLLFASIIFISSSLLWNCYRTPDPELGPIRNRSYIEAVDMNLGKKNARVCSLFVQVGLYGVGIAYTITTATSMRAIQKSNCYHTQGHKAACYYDDTYYMLAFGVVQLILSQIPNFHSIHWLSVVAAIMSFAYAFIGLGLGIAKVIGNGHLKGTIGGISTSTTAEKIWLVSQALGDIAFSYPYSLILIEIQDTLKSPPHENETMKKASIISISATTFFYLCCGGLGYAAFGDDTPGNLLTGFGFYEPYWLIDVANACIVLHLIGGYQVYSQPLFANVEKWISGKFPDSGFIHKDFNLKLPLLPAFRLNFLRLCFRTVYVASTTTIGMLFPYFNQVLGVIGGIYFWPLSIYFPVQMYVKQRNIEAWSRKWVLLQSFSTFCLPLTLIAMVGSIEGLISAKAELS >EOY11868 pep chromosome:Theobroma_cacao_20110822:7:155179:159311:-1 gene:TCM_030530 transcript:EOY11868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease, putative isoform 1 MKKKSFISFNFTMSGFVFLGRNSQTPVRKSCQKMNRVLVFVSHTTQDSESIALLDAMGEEHVDHQTPLLHKQQPQDTVINRTGTLWTAVAHIITGVIGAGVLSLAWSMAQLGWIAGPLATLLFASIIFISSSLLWNCYRTPDPELGPIRNRSYIEAVDMNLGKKNARVCSLFVQVGLYGVGIAYTITTATSMRAIQKSNCYHTQGHKAACYYDDTYYMLAFGVVQLILSQIPNFHSIHWLSVVAAIMSFAYAFIGLGLGIAKVIGNGHLKGTIGGISTSTTAEKIWLVSQALGDIAFSYPYSLILIEIQDTLKSPPHENETMKKASIISISATTFFYLCCGGLGYAAFGDDTPGNLLTGFGFYEPYWLIDVANACIVLHLIGGYQVYSQPLFANVEKWISGKFPDSGFIHKDFNLKLPLLPAFRLNFLRLCFRTVYVASTTTIGMLFPYFNQVLGVIGGIYFWPLSIYFPVQMYVKQRNIEAWSRKWVLLQSFSTFCLPLTLIAMVGSIEGLISAKAELS >EOY12516 pep chromosome:Theobroma_cacao_20110822:7:2468815:2470764:1 gene:TCM_031009 transcript:EOY12516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 PPHPSSKEHRSDTLQGSSPPPLLWCSSRVGGRDSSRERWNNGGGKVERKGREIQLELNLVLRLLEKSLQLVAGSGFRLQTELCSHVSAERRWM >EOY12515 pep chromosome:Theobroma_cacao_20110822:7:2467129:2470971:1 gene:TCM_031009 transcript:EOY12515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPIDHPRIPLTLMPPPPHPSSKEHRSDTLQGSSPPPLLWCSSRVGGRDSSRERWNNGGGKVERKGREIQLELNLVLRLLEKSLQLVAGSGFRLQTELCSHVSAQQNDGGCEVG >EOY12148 pep chromosome:Theobroma_cacao_20110822:7:1136375:1141468:1 gene:TCM_030739 transcript:EOY12148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MTGNKKLLIKGMDNAPMAPKDKPRFQYTLLPFLFAGFSITSCSVTLLADKVSASHISPLSFMMPGVNPEQSDKDSEPFVEIDPTGRYGRYTELLGSGAVKRVYRAFDQEEGIEVAWNQVKLRNLSNDPSMIDRLFSEVRLLRSLTNKNIISLHNVWRDQEHNTLNFITEVCTSGNLRDYRKKHRQVSMKALKKWSKQILTGLDYLHTHEPCIIHRDLNCSNVFVNGNTGQVKIGDLGLAATVGKSHSAHSVLGTPEFMAPELYDEDYTELVDIYSFGMCVLEMVTLEIPYSECDNVAKIYKKVSSGVRPQALSKVRDPDVKAFIERCLAQPRARPSAAELLQDSFFDEVDDDDDENIDSGISP >EOY13001 pep chromosome:Theobroma_cacao_20110822:7:5257177:5260670:-1 gene:TCM_031510 transcript:EOY13001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVKPPMMLWLWTLTFFFLSVTPVSSTADSYSDHCSLIVPASVPTSEPPTNEFGPYGQYQTGFYDPGGNRILNANISRYTNSFSFYTTIVSKTDKDGVFMMEGSLEFQSPYHVRSISDGTKNNAGLTPRELVLADYKNPFNLKLHGFWSESSGKLCMVGTGSAYLKEGNLLTPAAILRLHNIKNSSIITTLITGTLESLSPSNDKNYFEPISIMMLPQLNYKLTFVSGDSMDDFSGENDAEKNLPIYSLLWGRTFCSKFSSLTKVFNLQYTGCSSGKNCLPFDKEIGNLPGSVHLDIINCTDVQERVRIFLKFQDNSNFRFYQPFNPSTTLIGEGMWDNEKNQLCVFLCRFLDITDSWSNAHVGDCTIRLSLRFPAICTKNSVEALHGLKYEYTELDRIKTLCLGKKLVRNKGQRYPNPSSSDMKFDMAMKNSKGKTGWGSAVALTVGNQFYEQSSLLAATDVSELSSSRPTRWKPQGQANISYKIDMRLYHPPKLTDEVYVSSLLEEKVEITAEGIYDADTGGLCMVGCRKLSLINLVPENASMDCEILLNFQLAPVNQFENGGYIRGRIESTRKKSDPLYFDHLDVYSLAYSREQARHSIWTMDLEIAMVLISKTLACLSVRCQLYHVKRHPEALPFISLVMLLVLTLGQMIPLVLNYEALFWQKHDQETVLFQTGGWLEVNEVIVRIISMVAFLLQFRILQLAFAGRSINEGNQKGLWFAEKMTLLVTLSLYATGAFIVMLVDRGNYRREVVLLPTHPVDYWQRSTWDDLISYAGLVSDGFLLPQILLNMFSNSRKNVLSPSFYIGISLVRLLPHAYDLYGDHSYVQYKGTYLYVNPAEDFFSTAWDVIIPLGVLLFAAIIYLQQWFGGRCILPQRFKGLEGYGNIPVASES >EOY13821 pep chromosome:Theobroma_cacao_20110822:7:11190552:11192096:-1 gene:TCM_032476 transcript:EOY13821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNEEVFMTRDKCFLKGTFQIKMICGKFVRNMEPLLVAQTSSMNLMLWMLESSLYLGWPIMGLQHHC >EOY12680 pep chromosome:Theobroma_cacao_20110822:7:3342759:3346064:1 gene:TCM_031183 transcript:EOY12680 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein MALRAAATTGMAARMAPLGMRRLFSTTSPNSFMPPPITAMGNGEGTPGREQAPPSTNLFVSGLNKRTTMEKLREAFSQFGQVVDARVVTDRVSGYSKGFGFVRYATLEEAEKGREGMDGKFLDGWVIFAEYARPRPERTPQNNMTNSNGYNSYGRQ >EOY13899 pep chromosome:Theobroma_cacao_20110822:7:12883366:12884350:-1 gene:TCM_032637 transcript:EOY13899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCLKLKCTFHDMFDMALPMGWFLTTFYMLNSVSLKARGSHIVSRCHLTWTSKASRCSPIIGFKWIRFNLNKSVSLAYAEVINSQERNYFTPIY >EOY12847 pep chromosome:Theobroma_cacao_20110822:7:4399046:4403052:1 gene:TCM_031360 transcript:EOY12847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine 5'-monophosphate synthase / UMP synthase (PYRE-F) (UMPS) isoform 1 MSSTESLILQLHEISAVKFGNFKLKSGISSPIYIDLRLIVSYPSLLRQISHSLISALPPQTPYNLICGVPYTALPIATSISVDTSIPMLMRRKEVKDYGTAKSIEGVYEKGQVCLIVEDLVTSGASVLETATPLRALGINVTDAVVVIDREQGGRQTLEENGIKLHALFTLTEMVKVLRAKGKLEEEMEGLVMRFLEENKKVAVPKVEKVRIKSLAFEDRAKLAKNPTGKKLFEVMVKKESNLCLAADVGTAAELLDIAEKVGPEICLLKTHVDILPDFTPDFGSKLRAIAERHNFLIFEDRKFADIGNTVTMQYEGGIFHILDWADIVNAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNLAKGDYTAAAVKIADEHSDFVIGFISVNPASWAGAPVNPAFIQATPGVQMVKGGDALGQQYNTPYSVIFDRGSDIIIVGRGIIKAANPAEVAREYRLQGWEAYMAKCT >EOY12846 pep chromosome:Theobroma_cacao_20110822:7:4398921:4403096:1 gene:TCM_031360 transcript:EOY12846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine 5'-monophosphate synthase / UMP synthase (PYRE-F) (UMPS) isoform 1 MSSTESLILQLHEISAVKFGNFKLKSGISSPIYIDLRLIVSYPSLLRQISHSLISALPPQTPYNLICGVPYTALPIATSISVDTSIPMLMRRKEVKDYGTAKSIEGVYEKGQVCLIVEDLVTSGASVLETATPLRALGINVTDAVVVIDREQGGRQTLEENGIKLHALFTLTEMVKVLRAKGKLEEEMEGLVMRFLEENKKVAVPKVEKVRIKSLAFEDRAKLAKNPTGKKLFEVMVKKESNLCLAADVGTAAELLDIAEKDALMASRKNIVVGPEICLLKTHVDILPDFTPDFGSKLRAIAERHNFLIFEDRKFADIGNTVTMQYEGGIFHILDWADIVNAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNLAKGDYTAAAVKIADEHSDFVIGFISVNPASWAGAPVNPAFIQATPGVQMVKGGDALGQQYNTPYSVIFDRGSDIIIVGRGIIKAANPAEVAREYRLQGWEAYMAKCT >EOY13592 pep chromosome:Theobroma_cacao_20110822:7:9392868:9398848:1 gene:TCM_032190 transcript:EOY13592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTNISYSSKFDFSTMISNIMRNIFDLRSYAHINPRRRRKKKRERKKRTWFCNSSKLSYGGHGCEGIMNFVG >EOY12531 pep chromosome:Theobroma_cacao_20110822:7:2621548:2622727:1 gene:TCM_031047 transcript:EOY12531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSLTSLERLMVQYCPKLSSSTHSLKARLIRGCPNLSTWGMRHCFTTLEVLDVQNCPSLGKLLYPIADMITSFKTLKICKCPELQDLPEKMDGLASLQVLSINECPQLSKRCEKETGILWPRIACIPSIIIDGRQIQW >EOY12105 pep chromosome:Theobroma_cacao_20110822:7:973141:975740:1 gene:TCM_030705 transcript:EOY12105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 53, putative MSNKEAKAHSLLFMFMFLFVLNFSLSQVDDLELLLSFKSSINDPSGFLSNWNSSTPLCMWHGITCNNFSRVKVIDLVKKNISGIISSSIFYLVEIETINLSNNQLLGEIPKDLASSVSLRYLNFSNNHLTGEVPKFSFSLEILDLWGNLLSGKIPSQIGACSNLQELDLGGNNLEGRIPSSISNISRLQILTLACNKLVGRIPRALTKMKSLKWISFGYNNFSGEIPQELVGLVSLSFLDLAYNNLSGQIPSSLGNLTNLQHLLLFGNKLTGLLPRSIFGLKKLIEIDLSDNYLFGEIPELIIKLQSLEILYLFLNDFTGKIPNALASLPRLHVINLSSNSLIGEIPSLICNLTSIVVIALADNNLSGIIPPCPGNISKSLTVLDLWKNSVHGPILETFGQDCGLRNLNFQGNKLEGSLPRSLANCRNLEFVDIGNNKLNGSFPYWLYTLPELQVLGLRSNKLRGVLHSSKTSHPFPKLRILDLANNEFTGPLPKGIIKNMKAMINLKEQQSSTQYMRGIYYPYHFNLTVKGFYIKLYETTTTFASIDLSNNNFHGEIPSVIGKLSSLRGLNLSHNSLSGHIPTSMGNLTNLEWLDLSSNMLTGQIPSKLIDMTFLTFLNLSNNQLTGPIPQGKHFNTFENGSYEGNLALCGFPLLKACNNDGRKQSPSFLKEADDSETKINFGWKVVLIGYGCGLIFGVVVGYVTFKNGEPKWFVTLYHVKYHGKGRR >EOY13514 pep chromosome:Theobroma_cacao_20110822:7:8989150:8990508:1 gene:TCM_032115 transcript:EOY13514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.17 MAQCIPTLEFYCGGLPLVSSYYAASEGYLEFADQPQHLEGVYGQDCAETQDKKEETEPVELVDVKLGQCYEVVVTTFTGKLFTALVIILQGVLLSIDVESTREDGLSKAVTQAKLLIEPLGFILTYYTSYADTCSTPGHYVLFWELKMKGSHDLLEINPKITEECSYIVEESLDYTYRVLRRGNRMGPLEIRVVKHGTFDALMDLFIAKGTSVSQYKTPRCIKSEEALKIMDAGVVGRYFSQEAPWEETK >EOY14019 pep chromosome:Theobroma_cacao_20110822:7:17189657:17203111:-1 gene:TCM_033034 transcript:EOY14019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADCSRHEADGNLANLQSQQPTAQVAERSLHEEGEQLPVSANNRETWDVTAPGGAEQTLASAAGTTAARHGQRDLTRESTGSKKKDLSTSMSADGTSIQDFLQEEKVENYFMQPEVWAVTSEHGKGQQRP >EOY12227 pep chromosome:Theobroma_cacao_20110822:7:1408838:1413051:-1 gene:TCM_030791 transcript:EOY12227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigger factor, putative MELCVNSPKLLNLNPKTNLFVPKSTISLYHKNPILQFSNKIQKGPLNRPLKLLSSFSRQIQFLQPPVSSPRDSPLTKASEASSAAVGVETEKLPADIKVTETQEPNSRVRLSVEVPAAVGEHCYRRVIKEFSKQVKIPGFRPGKIPESILLNYVGEENVQKATVESILKRTLPHAMSSVTGRALRDSVRIITKFSDMEKSYSSLSSLSYDVIVDVAPEVKWKTENGYKNLKIFVEIDNDIEAERACEREIKRRHKSLGSLRIVTDRGLQIGDLVVLDISATTIDQDESNVQKIPSAESKGYHFDTEDGDKVLPGFLDSIIGIKRGETKSFPLVFPESWQQENLRGVHAQFTVDCKELFYRDLPELNDSIADKLLPGCTDLKQVKESLLEKCREVEQAAREQATDNAILDQLCKMVEIDIPQSLFEEQGRQLYGAKLLEIQANVKLNEQQLASLSSPKAVNEYLENQRENIINLIKQNLAVGDIFKRENLQFSSEELVKEVQNSIAEFKRHKQKYDEDRVREQVQDVLEGAKVLEWLKEHADIQYVTR >EOY13372 pep chromosome:Theobroma_cacao_20110822:7:7889618:7891717:-1 gene:TCM_046876 transcript:EOY13372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MKGLIQWYLVLCFLLLSLNFKVDCSLSNFSSNSSMEVFLISKNKLVGEIPYSICNLISISVLDLSNNELSGAIPDCLASRGKMPNLTVLDLHMNKFHGNIPDSFVVGNKLQILNLNNNDFDGPFPKSLENCHDLQVLNLGNNKINGTFPHWLGTLPQLQVLVLRSNYFHGQISPSENESHFSALRILDLSHNEFSGLLPTTYFKSFRGMMTLSNVQRTSMEDLNRYYHFSVLVTMKGMDLVLERILTLFTTIDMSSNKFHGEIPEIVGNLISLQVLNFSHNSLTGHIPSSVGKLTALESLDLSTNKLVGEIPMQLNGLKFLEVLNLSQNQLVGLIPQGNQFNTFLNDSYGGNLGLCGFPVSKRCGKDEEQEPPESALHEEGIFPCPLDWKFVMMGYGCGLVLGLSTGYIMLTIGKPEWLVRMVQGLGYKILRRLKSYH >EOY12922 pep chromosome:Theobroma_cacao_20110822:7:4846121:4848291:-1 gene:TCM_031424 transcript:EOY12922 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI/FBD-like domains-containing protein, putative isoform 2 MMAENSADPNSKHQRSPESCLDRISQLPDHLIEHILSFLPTKDAVATSILSKRWYPFWTKLPVLHVEDSTRCETRRQTRVKFKQFVSRVLLLNEAASLHKFRLDCRPIYEPKCFNNWVCSAINKGVREIDISISTTPKYRFLRLPCRFFQAEKLRFLKLSGGVLIDIPGESSVSFPSLKTLHLLYVNIANDESLGKLFSGCFVLETLLLKTDYHENTLDIKLCSSTLKSLSINLRYAEYKLEINAPVLEYLELEESYHQVSFIGNLSSLVEANIHINYGISLNQLIRVLYNVKLLSLASYSYSEPVPHGYAYPLFLNLVQLEILIGLPGWDVLSHLLTLSHNLQTLVVENNSTIDSRWTEPEHVPTCVSSRLTTASFKVFQGLEVLCPEQIVRVPMGLQDMSSCNTVKRSGGK >EOY12923 pep chromosome:Theobroma_cacao_20110822:7:4846688:4848686:-1 gene:TCM_031424 transcript:EOY12923 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI/FBD-like domains-containing protein, putative isoform 2 MMAENSADPNSKHQRSPESCLDRISQLPDHLIEHILSFLPTKDAVATSILSKRWYPFWTKLPVLHVEDSTRCETRRQTRVKFKQFVSRVLLLNEAASLHKFRLDCRPIYEPKCFNNWVCSAINKGVREIDISISTTPKYRFLRLPCRFFQAEKLRFLKLSGGVLIDIPGESSVSFPSLKTLHLLYVNIANDESLGKLFSGCFVLETLLLKTDYHENTLDIKLCSSTLKSLSINLRYAEYKLEINAPVLEYLELEESYHQVSFIGNLSSLVEANIHINYGISLNQLIRVLYNVKLLSLASYSYSEPLEILIGLPGWDVLSHLLTLSHNLQTLVVENNSTIDSRWTEPEHVPTCVSSRLTTASFKVFQ >EOY12989 pep chromosome:Theobroma_cacao_20110822:7:5170733:5172502:1 gene:TCM_031494 transcript:EOY12989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNTPPSPCDNEKLNLPPMVLSLLAQTLALGSPSTIPNNATFNLDDFRTSIFVCIIGLMLFYLFYQILDRFLNCLPNERYDRDIELQDIANHRSAANSHWSREVTVHNSLWLLETLNGFMTFLGKTLDECVICLEDLEDDEPCRVFPVCKHVFHFDCIDNWLRNHTTCPLAYIREVHFRSAEKSNSSSSGSDLPSNNPKLFATIGDDRRVSAEYRRQSHVKAADL >EOY13415 pep chromosome:Theobroma_cacao_20110822:7:8172345:8178149:1 gene:TCM_031983 transcript:EOY13415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MTNPHFTLTDLMLLLLLRNCFSLSMESANITTDQLALLALKANVHDPQNLLAANWSISTSVCNWVGVSCGSKHQRVTALSLSNLSLTGTLPPHLGNLSFLSLLNIEENGFEGSLPVELANLHRLRYISFAKNNFTGELPSWFDSFPKLESLYLQKNYFTGVIPSSLCYLPKLETLDLHENNLKGQIPEEIGNLTSLKMLYLRNNQLSGSIPSSIFNISSLQDVELKSNYLTGSIPSIPLNLSSLQIIDFGFNNLTGHLPPDIFDHLPELQYIYLDRNQFSGGIPAGLFKHEQLQVLFLSHNKFEGTVPEGIGNLTTLKQLFISWNNFKGEIPRQIGDLIGLEMLGFAGDGVEGSIPSFIGNLTLLTVLDLSFNNFTGAIPLEITSLSHLEILYLGYNKLFGPIPPAIFNSSTMQKLSLQANRLSGHLPETLWLPQVEYFYLGENQLDGEIPSSLSNASQLISIELQGNFFSGFLPDTFGNLRNLEDLNLQENNFSSKLSSPEMSFISSLTNCRNLKYLYIDKNPLINTELPVSIGNLSSFLEVFSATGCNIKGSIPREIGNLSGLVDMNLDNNKLTGTIPTTVGRIRDLQSISLQDNDLEGSIPVDICRLESLSLLLLTNNKLSGPILACLGNLNSLRSLLLGSNSFTSSIPLNLTRLEDILHLNLSSNSLTGPLPIDIGKWKVVIDLDLSGNQLSGDIPASIGDLKGITHLSLSSNKLQGSIPQSTSGMIDLEFLDLSRNNLSGTIPRSLEKLWNLKYFNVSFNRLEGEIPDGGAFSNYSIQSFMGNQALCGAARLHLPPCKTNAHSRSRKITKLLKYILPTVVATTIITLALIIIFLRSQKRKASLPSYGDILPLATWRRISYHELQQATDGFCESNLLGVGSFGSVYQGTLPDGTSIAVKVFNLELEKAFKSFEVECEVLRNIRHRNLVKIISSCCKIDFKALVLEFLPNGSLEKWLYSHNHILDILQRLNIMIDVASALEYLHHGHTTSVVHCDLKPSNVLLDEDMGAHLVDFGIAKLLGEEGSVIQTMTLATIGYMAPEYGAEGIISIKGDVYSFGILLMEIFTRKKPTDEMFSEEMSLKNWVKQSLPSAVIQVVDKNLLSSREREHLAAKDCALSIMQLGTECSADLPEERIDMKNVVVKLKKIKIKFLKDIERVR >EOY12727 pep chromosome:Theobroma_cacao_20110822:7:3926806:3934269:-1 gene:TCM_031272 transcript:EOY12727 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative isoform 1 MERKSTKGFRMCFLCACMLLFILGASAAADNITPNQSIRDNETLVSGDGSFELGFFSPGNSTKRYLGIWYKVSPETVVWVANREAPLANHFGVLNVTNQGTIIILDKKPSIIWSSSGIRTAENPVVQLLDSGNLVVKDGNDSGSENFLWQSFDYPCDTLLPAMKLGKNFVTGRNWSLTSWKSPNDPARGQFSALIDPQGFPQLVVRNGSVILYRGGSWNGKRFTGTPDLEQVESSNLFKFEFELNKNEVYYKGEPYSSLLSRLVVNQSGFLERFVRTKQSNLWAGIYSAPRDECDYYAVCGVYAICITGNSPLCACLDDFEPKSPMDWNNSKWSGGCVRRTPFDCRSSVFTKRPGLKLPDTSHSFFNTSMSLAECQEECLRNCSCMGYANSDIRQGGSGCLLWFDDLNDMRVFTSGGQDLYIRMANSTSGPLVLSKNSSEKKKVAVIVIPVILVGVILGGLIFWMMWKKLRKQEHNRRNSYNIEGGKDGMELPIFDLNTIVKATDNFSNNNKLGQGGFGPVYKGTLPEGQEIAVKRLSKSSGQGLEEFKNEVGLIAKLQHRNLVRLLGFSIQGDEKMLIYEYMPNKSLDYFIFDQTKSKVLDWKRRMHIIGGIARGLLYLHQDSRLRIIHRDLKASNVLLDNDMNPKISDFGMARTVWGDQTEANTNKVVGTYGYMPPEYAVDGLFSIKSDVFSFGVLVLEIISGKKNRGFFHPDHSHNLLGHAWKLWTEERPLQLIDSNLGDCFAVSEVLRCIHVALLCVQTRPENRPNMSSVVLMLGSENPLPTPKQPGFFTERNLPESESYSSSHHESASVNEVTISEVQAR >EOY12728 pep chromosome:Theobroma_cacao_20110822:7:3840319:3930764:-1 gene:TCM_031272 transcript:EOY12728 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative isoform 1 MERKSTKGFRMCFLCACMLLFILGASAAADNITPNQSIRDNETLVSGDGSFELGFFSPGNSTKRYLGIWYKVSPETVVWVANREAPLANHFGVLNVTNQGTIIILDKKPSIIWSSSGIRTAENPVVQLLDSGNLVVKDGNDSGSENFLWQSFDYPCDTLLPAMKLGKNFVTGRNWSLTSWKSPNDPARGQFSALIDPQGFPQLVVRNGSVILYRGGSWNGKRFTGTPDLEQVESSNLFKFEFELNKNEVYYKGEPYSSLLSRLVVNQSGFLERFVRTKQSNLWAGIYSAPRDECDYYAVCGVYAICITGNSPLCACLDDFEPKSPMDWNNSKWSGGCVRRTPFDCRSSVFTKRPGLKLPDTSHSFFNTSMSLAECQEECLRNCSCMGYANSDIRQGGSGCLLWFDDLNDMRVFTSGGQDLYIRMANSTSGPLVLSKNSSEKKKVAVIVIPVILVGVILGGLIFWMMWKKLRKQEHNRRNSYNIEGGKDGMELPIFDLNTIVKATDNFSNNNKLGQGGFGPVYKGTLPEGQEIAVKRLSKSSGQGLEEFKNEVGLIAKLQHRNLVRLLGFSIQGDEKMLIYEYMPNKSLDYFIFDQTRSKLLDWSKRVNIIGGIARGLLYLHQDSRLRIIHRDLKSGNILLDNAMNPKISDFGLARTFWGEQTEAKTN >EOY13613 pep chromosome:Theobroma_cacao_20110822:7:9523148:9527570:-1 gene:TCM_032234 transcript:EOY13613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATVGFSAASPLMFTGVNYPFWVVKMKSYLKAFDLWDVVELESIFGKIMLLEDPKQVWDSIREEYQGNDRTKVMQIMNLFQQFELKMLGQEVTDQRMVNKILMKNNYYPVNLKDAMNMALYSEKDEAELWHRRLGHVNYGFLSLMASDQLVNGLPGIVKPERYCWVYFLKQKFDAVKTFTKFKALVENFSSLTIKTLRSENGTEFTATEFEKFLIEHDVQHHLIVTYNPQQMVFETVTDTRKDSFLADDEVDSDDIEDERLAVEALGWIFRTKLNLDGLVNKYRERLVVKGYAQVYGKDYMETFASVARHDTIRMLTALSAKEGWSIYHVDVKSAFLNGYLLEDIFIEQPKGYVEEGFEGKVCKLIKTLYGLKPDIMFATSLLSRFMWNPSTLHLKAAKRIFRYIKGTVGYGLKFLKEESGDLKGFSDSDWAGSLDDSKTTTAIANHALWLRKVLQDLGFKQENGTVLFVDNMSAITIAKNPVQHGRTKHIRFKYHALRDAVKENEINLQYCLIGEQDADIFTKSLNRERFEYLRSCLGVC >EOY11960 pep chromosome:Theobroma_cacao_20110822:7:454785:457809:1 gene:TCM_030593 transcript:EOY11960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor family protein MAALVITVLVLLHHPSWKQFFPFPHPTAEAEPTQATTMILTASAPSRGAEQGRAGQSQNAILFECDIAKLKKESYSFMISVMGDNPLCRKDCVMKKQSVVEGKKRERGKEDPEINAMFDAVKRRRKVEKSPEEISLFVEKVLAELTIVAEDDAQLNREDQPAINKLKKLPFLAEVLSKKSFQLQFLDHGVLTLLKNWLEPLPDGSLPNANIRGAVLNILADFPIDLEQHYQLEQFKKSGLGRAIMFLSKYDEETVSNRRVAKDLIDRWSRSIFNKSTRFSDLRNDDIHVPVMKKPVSKPAMMEVKACDLDVSVAKEQKLSSRLSSLRQHVTRPEPASLVYTVRPQSKYNPEIARSFARQQRVQGDSRQRIEQRLEQLKASRKKPLQAAKLSAEGRRVLLSV >EOY13914 pep chromosome:Theobroma_cacao_20110822:7:13310770:13316621:-1 gene:TCM_032672 transcript:EOY13914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGTGFEEIDPKAFFSSSSSMEDFGEPSSDGTNSYQDLEASLKMEIPSPELLNSIDSLLSFDPSMLNQTTGTQFTGQQYDQHATSSMMAYINEANMHLGQQGSDISLSRRSKINDAEVLTDDKVARKRQRDRDYRERCKKQRMEMKDNLEALGEENEHLEKENESLKGENALMNQTLESQAEEIKQLRNDLNNTKFEHEKQNILVQILSSELLANPDLQHENQNLKDENVRLRNEVDIKDCSSQLIKENGSLRIENMVLRVQNGALCEKIANDSC >EOY13388 pep chromosome:Theobroma_cacao_20110822:7:7954706:7956705:1 gene:TCM_031952 transcript:EOY13388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLLSSQNQSQSNEVIAFFTFKHNSVEADPNGFLDDWSPVSLSPCSWRGVSCSRSGQVDALNFTNTWSLNFLDISQNNLSGHFSVPEFWACDKPLDLGLSNNALYGSGIPESLTNCQLLENLDLSHNKLQDKIPAALATL >EOY13111 pep chromosome:Theobroma_cacao_20110822:7:5992068:5993032:1 gene:TCM_031638 transcript:EOY13111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein family MPTLEKLPNLRILKLDHGAFTGKKMVCSAECFPKLDSLTLGWLENLEEWTVDEGAMPTLRHLEIGHCRKLKMLPDGLRFITTLRQLKIEMMPMAFKDKLVEGGEDFFKVQHVPSIIFLNLYEK >EOY13248 pep chromosome:Theobroma_cacao_20110822:7:6762266:6763162:-1 gene:TCM_031770 transcript:EOY13248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein, putative MAKASLIALVSLAICLSSLSFTYAEDTFFVEGKVYCDTCRVEFETRLSQPIKGATVILECRDRTVGGSITYSQESVTDESGKYRIPVQGDHEEEICEVRVVKSPREDCNEFMEAWQRARVLLTKKNGVSDPIRYANNLGFLKKEAVPGCAEVLEELGFLPLN >EOY12919 pep chromosome:Theobroma_cacao_20110822:7:4839259:4842967:-1 gene:TCM_031422 transcript:EOY12919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MLFSKLLKKPFSSCSTKALIDQISPAFLHQKHSVDLNPTLLSKLQPSHVKPILLTLQSKPSSALNFFRWTQRFLKLPHAVPSYCALISLLLRHRVFGAAAEVFDEMMVLFGTNIDVFEAFNEGIKDFDSNPNVVFGFLLESYCKKGMVDMSFCVFVKMSRCGVYVSPNLVYRMLYSLVNANRIDILVDNYGELCRLFRTQDFCVYGIVMEGFLKKGKVDKALNFHKGVIERGLGVDIVACNKILNSLSVNKEIGIASKLFDMILSLGPSPNVVTFSTLINMYCKDGKLDKAFELYNAMIERNILPDLIMYAILIDGFFKAGRLDEGEKLLSVALDRGIKLDVVVFSLIMDAFGKVGDLGRVVQVYKRMLEEGLSPNVVSYSILINGLYGNGRMLEACGVFGQIIKRGFEPSIVTYSSLIDGFCKLGNLRDGFYLFDDMVKNGHQPDVVVYSALINGLCKEGMMTSALMLFFNCVSRGLKPNIFTFNSLMDGYCRLKQLRNAVKVSTVMGMYNIKQDMVTHTVLIREISKQGKLDVALLIFFQMLKRGFPGDAITYCTIIAGYCKHKNLTSGLQIFKLMQRNGVVPDIAIYNVLLNMFFKECLLEKASGLFRKLVEKGPKPDIITYNTMICGYCSLKRLDVAISLFKQLNGALFGPNSITFTILIDAFCKEGRMDDAMLMFSKMLEKGPEPNVVTYSCLIDGYFKSQDMRSATDLHEEMLENKICPNIVSYSILIDGFCKRGLMAEASLAFHCALDIHLLPDVVAFTILIRGYCKVGRLLEAMLLCEQMFMNGIMPDDLLEQTLVEYNL >EOY12920 pep chromosome:Theobroma_cacao_20110822:7:4839503:4842967:-1 gene:TCM_031422 transcript:EOY12920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MLFSKLLKKPFSSCSTKALIDQISPAFLHQKHSVDLNPTLLSKLQPSHVKPILLTLQSKPSSALNFFRWTQRFLKLPHAVPSYCALISLLLRHRVFGAAAEVFDEMMVLFGTNIDVFEAFNEGIKDFDSNPNVVFGFLLESYCKKGMVDMSFCVFVKMSRCGVYVSPNLVYRMLYSLVNANRIDILVDNYGELCRLFRTQDFCVYGIVMEGFLKKGKVDKALNFHKGVIERGLGVDIVACNKILNSLSVNKEIGIASKLFDMILSLGPSPNVVTFSTLINMYCKDGKLDKAFELYNAMIERNILPDLIMYAILIDGFFKAGRLDEGEKLLSVALDRGIKLDVVVFSLIMDAFGKVGDLGRVVQVYKRMLEEGLSPNVVSYSILINGLYGNGRMLEACGVFGQIIKRGFEPSIVTYSSLIDGFCKLGNLRDGFYLFDDMVKNGHQPDVVVYSALINGLCKEGMMTSALMLFFNCVSRGLKPNIFTFNSLMDGYCRLKQLRNAVKVSTVMGMYNIKQDMVTHTVLIREISKQGKLDVALLIFFQMLKRGFPGDAITYCTIIAGYCKHKNLTSGLQIFKLMQRNGVVPDIAIYNVLLNMFFKECLLEKASGLFRKLVEKGPKPDIITYNTMICGYCSLKRLDVAISLFKQLNGALFGPNSITFTILIDAFCKEGRMDDAMLMFSKMLEKGPEPNVVTYSCLIDGYFKSQDMRSATDLHEEMLENKICPNIVSYSILIDGFCKRGLMAEASLAFHCALDIHLLPDVVAFTILIRGYCKVGRLLEAMLLCEQMFMNGIMPDDLLEQTLVEYNL >EOY13714 pep chromosome:Theobroma_cacao_20110822:7:10325379:10326506:1 gene:TCM_032349 transcript:EOY13714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TAU 21, putative MNPIHKKVPVLIHKERPICESLILLEYIDEIFAIGSKLWTSASDEAKKAAKELVENLKTLEEELGEKPYFGGDTFSFVDFALIAFSSFFHSFETLGNFSMEAECPRLVAWANRCMERESVSKSLFDKFKTHEAVLGLKKMSGFD >EOY12890 pep chromosome:Theobroma_cacao_20110822:7:4662472:4704554:1 gene:TCM_031400 transcript:EOY12890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein MNLFQCLLEFGILALLGTKNLNTQIGVWGMRGVGQTTIMKIINNQLLNENKKFNIVIWITVSKDMNISKIQKSISRAMGVTLPKDEDGTIKARMLYDMLTQKGKYVLILDDLWDKLSLDEVGIPKPSNGSKLVVTTRMLNVCHHLECRTVRMPTLPKQDALSLFLEKVGRDILNYPNLLPIVESVVEQCAGLPLVIVTIASSMKSIRNVHEWRNALNELSRCVKSVTGLDEKVFQQLQFSYDHLEDKIVQHYFLCCALYPEDLEIFYVELIQLWINEGLVEEIDSQQAEFDQGYTILNKLKSNCLLENGESPNHVKLHDLVRDMALSITSVKPRFLVKAGMQLKEIPHAQEWTEDLEKVSLMGNEYLQIPSHMSPPKCQMLTTLLLSSSFIISIPNCFFEQMKLLKVLDLSWNPIKSLPSSISNLESLTVLLLNSCRFLEKLPSFSKLEALKKLDLEGTEIKNLPHGIDRLVNLNYLHLDAKVASRILSKFSCLRQLVVGNLYENSNAFVKGEEIGELKKLEFFQGRFYDLNELNTYVQALHGRRQQLSRYCISVSDRGLVSGGNWEKSIELNGCKIYRDGVKFPADLQQLSINCGIVDFCEEEAFFPCWVIKNVQKLEVLRVLGCEQMDETIASDTAVVGGEGTSSSNSNSMYFTLPKLRKLVLHCLSDLRSICSANRIMVCDSLEEIHIRYCPKLQKIPLHLPLLDDGQPSPPPLLNKIFISSKDWWESVEWDHPNAKSLLQPFVDLLRLVMGVTILWFLLEV >EOY13422 pep chromosome:Theobroma_cacao_20110822:7:8244456:8245485:1 gene:TCM_031996 transcript:EOY13422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 25 MTSMATYHRNFLKILHAIRDANETKVEYLRDVYDRNMYYQESVFITTKGLEIQFMNILTTLTVIDFSNNRFNGQIPEVLGELHSLRVLNLSHNSLIGRIPSLLGNLSVLESLDLSSNKFEGRIPAELVNLIFLAVLNRSWNNLSGLIPQGNQFGTFTNDSYIGNLGLCGLPLSKSCSNQQNLQPQVTKFDEGDDTRELNWKFSILLGYGCGLVLGLSMGYIVFTTGKPWWFIRIIEKGQEKYVRRKIQIRRGGRKQHS >EOY13906 pep chromosome:Theobroma_cacao_20110822:7:13223823:13226532:1 gene:TCM_032660 transcript:EOY13906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLSLEKDIMRRGDWRDHLFTIYVDNVHLTTSWKNLRDAFSEFGVVMDIFIASNGRETCRRRSTYTFVKYHQEKELRRAVRFGNKKIIYGYQIVIKKAAYGWKARKRKTNGEQGVSRITSPKPRTANTIRSYKEALSTVRQAFPWSNTPVTAQNPGNRNATIANRCEITLFLLEFDFEWLRRSEIDVLKNSMESSVACIGLSNEAIRTSIRPIRGPIKILAFVSDDIQDKSQAPECNIHRK >EOY13407 pep chromosome:Theobroma_cacao_20110822:7:8038586:8040056:1 gene:TCM_031968 transcript:EOY13407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, putative MNNLGGIIPECFGNLTSSLLQMNLFMNNFHGKLSRTLFPKSCSLISFRINNNQLEGPIPQSLVNCKDLALLDLGNNNLSGTFPTWLGKLNLQVLGLRFNRFHGHIVNSEVASSFSHLRIIDLSHNEFSGCLPPKLLESLNAISNGYEKKGEVEFMRTGSTYGFSVYYDESFYITIKGLEMGYTRILISLMAVDFSNNQFTGHIPEIIGKLQSLIVLNLSHNSFTGPIPSSLSNLSKVESLDLSSNKLDGRIPAQLNNLGFLAGLEPPPTIFDDDDDDTTKELNWRFSILMGYGSGLVFGLSMGYIVFTTGKPSWFLKMTKRVQQKYVTRT >EOY12547 pep chromosome:Theobroma_cacao_20110822:7:2727091:2729764:-1 gene:TCM_031067 transcript:EOY12547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiazole biosynthetic enzyme, chloroplast (ARA6) (THI1) (THI4) MASSVATTLTSSTKLYRNTSLFESSFHGVPITPLSFHLKAKSSPRNASVSMSAASSPPPYDLNNFRFDPIKESIVSREMTRRYMMDMITHADTDVVVVGAGSAGLSCAYELSKNPSVQVAIVEQSVSPGGGAWLGGQLFSAMVVRKPAHRFLDELGIEYDEQDDYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKGGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLKSIGMIDSVPGMKALDMNTAEDAIVRLTREIVPGMIVTGMEVAEIDGSPRMGPTFGAMMISGQKAAHLALQSLGLPNALDGTYVGNIHPELILAAADSAETADA >EOY13062 pep chromosome:Theobroma_cacao_20110822:7:5732331:5740182:-1 gene:TCM_031586 transcript:EOY13062 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MPSSNTSLDTSALLSEANKHDQGRWWKKALDLQEAKNQVIFSLPMILTSVFYFSITMVSVMFAGHLGELELASATLANSWATVTGFAFMTGLSGALETLCGQRFGLFAYGFVQNILRFLQTQSVVMPLVWFSVLPLGIHFGIVYSLVNWTDLGFKGAPLAASVSLWISLLFLAVYVFSAKKFEQTWQGMSFESFQYILTNLKLALPSAAMVCLEYWAFELLVLLAGLMPNSEVTTSLIAMCVNTEAIAYMITYGLSAAASTRVSNELGAGHPTRARNAMAVTLKLSVLLALAVVLALAFGHNIWAGFFSNSSIIIKKFGSMTPLLLISITIDSLQGVLSGVARGSGWQLLAVWANLGTFYFIGMPIAGLLGFKFKLYAMGLWIGLICGLACQAGALLLITLYRKWSKVEL >EOY12872 pep chromosome:Theobroma_cacao_20110822:7:4536274:4540364:-1 gene:TCM_031379 transcript:EOY12872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer membrane OMP85 family protein isoform 1 MPDPDPKLDSENQEPDNADDLDEEDDDDDQYDEEDEEEEEEDYDDVAFEPATPRSARLKMDALVRRMLTGPVSIHVHDVIIKGNTKTKGYIIEAEALEALKKATTMQELLQASNAVNSRLKSLGLFNSVKITLDSGPPEIPGSANIVIEVEEARNRLWGEIGAYTKTEAKSSSVEGSIKYRNLLGYGDLWDGSIAYGFDHSAEVSGGVYFPRFKALVAPVTARAYILTQDWLNFYSYKERSVGLSLGLFSNRYHNLEYHLAWQTLADASQLSSSSIRSQLGHNLLSSLKYRLKIDRRNSPVRPTRGYAFVATTQIGGLAPDSHSLRFLRQEFDLRCAIPLGFYNAAFNLGISSGVIFPWGNGFLNKTTSLPERFFLGGNLSPVCALGGPKALWGFKTRGVGPCEPTRQINNEDADAVGRDFLGGNLAVTALADLSFDLPPRWFREKGIHAHVFACAGNVAKLAENYRSFSVQKFIESFRSSVGVGIAVPTSLFRMELNYCYILKKFDHDHAKAGFWLTFSRPS >EOY12873 pep chromosome:Theobroma_cacao_20110822:7:4536197:4540319:-1 gene:TCM_031379 transcript:EOY12873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer membrane OMP85 family protein isoform 1 MPDPDPKLDSENQEPDNADDLDEEDDDDDQYDEEDEEEEEEDYDDVAFEPATPRSARLKMDALVRRMLTGPVSIHVHDVIIKGNTKTKGYIIEAEALEALKKATTMQELLQASNAVNSRLKSLGLFNSVKITLDSGPPEIPGSANIVIEVEEARNRLWGEIGAYTKTEAKSSSVEGSIKYRNLLGYGDLWDGSIAYGFDHSAEVSGGVYFPRFKALVAPVTARAYILTQDWLNFYSYKERSVGLSLGLFSNRYHNLEYHLAWQTLADASQLSSSSIRSQLGHNLLSSLKYRLKIDRRNSPVRPTRGYAFVATTQIGGLAPDSHSLRFLRQEFDLRCAIPLGFYNAAFNLGISSGVIFPWGNGFLNKTTSLPERFFLGGNLSPVCALGGPKALWGFKTRGVGPCEPTRQINNEDADAVGRDFLGGNLAVTALADLSFDLPPRWFREKGIHAHVFACAGNVAKLAENYRSFSVQKFIESFRSSVGVGIAVPTSLFRMELNYCYILKKFDHDHAKAGFWLTFSRPS >EOY12319 pep chromosome:Theobroma_cacao_20110822:7:1689128:1690985:1 gene:TCM_030860 transcript:EOY12319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAKKKKYRRPWPPAPSPFPWLVYSHGENYEYQTFGTVTNPNKTRLSRIPGLQGTIHYCTFSCSPSDPNCMILLSENDVPLIIFLQLGDKQWIELNCEKEVERCLKESGELVQQGDERYNPLSIISCNGNLLYAETFLTPQRLFLIEKLKLDGTVMSSLGKIPRAPRCITQAQRFLVELQGKIYSTMFGLGLIVSDNEIVVVDVHRLDPSKMIWEKVEHDPDLVFFVADVYGNYAFCWQSIELEIHEHRTHFPSNKNLCCYRSSTESDDEQAKTRCIMAQEEYARVQVREGESNVVVEKGGNEERKHNDLPLDIADEIAGRLTLVD >EOY12934 pep chromosome:Theobroma_cacao_20110822:7:4876340:4879411:-1 gene:TCM_031435 transcript:EOY12934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MAAGTFFTILNNTNIKALTANVILAKTRTYCFTPNTIKSNHLYARINAVGDPSCSVAPVLQQWVKEGRNANEFLLQRIIRDLRACRRYSHALAVASFYNPSRFGSGDYHPNKEKQVSKWMSNSGLEFSSSDCAVQLDLIGRVHGLTSANAFFSNMRDQEKTVKTYGALLNCYVREGLLDESLCLVKKMSEMGFLSSPLNYNKLTLLYTNTGQLEKVSDVLLEMKRNGVSPDKFSYSICINSYAARADINSMENVLQEMESRWHIQMDSVTYSTVANHYIKAGLREKALYFLKEWEKNVGKDALDYNHLISFYATLRKTDEMKRLWDLQRPKCRKHINRDYITMMGSVVKLGELEETEKLLEEWELSCKTYNFRLPNVLLIGYCQKGLIEKADAKLQDIIKRRKTATPNSWSIISAGYVHKNNMGKAFECFKEALAVQAQNRDWRPKESLISSILSWLGENGEVEAAEDFVKLSMTRFPPNRQTYHALLKHPPYWHIKPPLVTGGVAIRSS >EOY12458 pep chromosome:Theobroma_cacao_20110822:7:2283328:2285537:1 gene:TCM_030968 transcript:EOY12458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MNSIPCTVQIPFTSKPINSLPSFPKFSSKFLGTQKSLSWVRPSRIGPSNGSKTQCWFRFGKNGVDAEGAGIYGSQTRDDFDRDDVEQFYFLELEDMVDEGVEENMYFNYMGMLAVEGSYDKMEALLNQNIHPVDILLMLAASEGDKPKIEELLRAGASYDVKDADGRTAIDRAVNEEVKDLILSFSVQKA >EOY13926 pep chromosome:Theobroma_cacao_20110822:7:13741516:13743053:1 gene:TCM_032716 transcript:EOY13926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVNQYRQANLVASGSQAQNCQITRRWCRPQGVKLNMDAALVNVNGIKLMVARFLLRNHAGEVMFAGFKRRAVNTKVVEVEMKAVHWSFLYCSSKNLRIDELELDCKVIVSWLRDNQVNGGVEHIVEDCKVMLEKACRW >EOY13518 pep chromosome:Theobroma_cacao_20110822:7:9032895:9033507:1 gene:TCM_032121 transcript:EOY13518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKRKRGRKNHGRGREKRTVLLHFEGGKESFLLQFLEKEKAENFEKLCEFVAENFGGFAVIKGQQSAANLCRKIGANCCQFVQKNCAEKLELFAANLCRKIGKHCAANLREKKQLGAAKFLRKREMKVLPPEEEENDPRKRGSHSGEERKTW >EOY13517 pep chromosome:Theobroma_cacao_20110822:7:9032906:9033581:1 gene:TCM_032121 transcript:EOY13517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGEEEKRERFCCTLREEKKAFYCNFWRKKKLKILRFLLLKLCEFVAENFGGFAVIKGQQSAANLCRKIGANCCQFVQKNCAEKLELFAANLCRKIGKHCAANLREKKQLGAAKFLRKREMKVLPPEEEENDPRKRGSHSGEERKTW >EOY12585 pep chromosome:Theobroma_cacao_20110822:7:2908852:2913128:-1 gene:TCM_031099 transcript:EOY12585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIHFSDFIEETSLMDLPLTGSPFTWRNGRNNPTFSRLDRFLVAVEIIENLPNLYRKCLPCSLLDHNLVMLKNLSTKRAAIWHRLRGTKQRIKKWQQETFGNVGDRTKMFKSWIFIRWIMACISTATVSVLVNSKPTKETRMGRGLKQGCPFSPLLFNLVVEVFSSMMCKATAIHLEKGVEREIIVEKFGRTYDSIVPRDGQCTKASRIWKNITKPVADGCDCSNFVMDGPVISLRNGEIIDFWEDDSIGGVVLKYAYSRVFALAIKKEGRVVEFGSWQENEWLVRGSLAVNDNLATKGIINDAASICLLCRKEKETVTYVFFTCEKTSLDNNLVWKIAWYAIIWTIWIGRNDTTVRGKGWTACQIFELVKSIGVIDSNMAELMAVKEAILLFVASAWCATHSLIIECDCSNVVNWIKNPHDVP >EOY13166 pep chromosome:Theobroma_cacao_20110822:7:6283003:6289475:-1 gene:TCM_031691 transcript:EOY13166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 2.7, putative MSDFCPTGFFQLQDNRKGLAAVVVVKMDTPFSLFSLLALVLMLLLETAAAGSVDTGADHQVKGIIGAIVDDGSRIGKEERVAMEMAMEAFNDYNNNQSLVLLVRNSRKEPLRAAVVATDLIKTQGAKAILGPQTWEELSLVAEIGSRNHIPVLSFADMTPTWATDKWPFLLAASPNQHAEMQAIASIVQSYEWHQVTVIYEDIGSSLSGVIHLFDALREKGIEINHVGLPPVSSLSLTKELERLKGEQCRVFVVHLSSSLAVHLFKRAKRMKMMENEFVWITTSKFTNLIHSVKASTIASTMQGIVGVKSYISYNRHFQDFHFRFRKRFSLEHPEESNHEPGVYAVQAYDALWMLAQAMSEGKEGKLLLEENLHRHFRGLNGKVHFINQKVAPTNIFQIINIIGRSYRELGFWSGSMGFSEKIDDIANHSLSMKDLGQVFWPGGFSNTPRGWNLPTNSKPLRVGVPTRSIFKTYVDIQYDQVKNETSISGLAIELFNKTVKQLLFPLPYDLIPFNGTYDELVMQIDLKQNFDAVVGDVAIISSRYKYAEFTQPFTEAGLVMLVPLQLKASNRAWLFMRPFTKAMWFLIVIINIYNGFVVWLIERHHCLEFNGSALNQTASLLWLSISTLFSYNGERLHSNLSRMTMAVWLFVALVLTQIYTANLASILTTQALEPTVSGIESLQKSNAVVGHTQASFVKRYLVDVLHFNPRNMKHYTSPEALADDLRNGAVAAIFLESAVAKLFLARYCKSFTMAGPTYKVGGYGFAFPKGSPLLHSVTEALLNLSETGKLRELENSMIAAQNCTEVGISEDINSLSLDSFWALFSLTGCISTLALIVYVSHCKWESNHSIFANWILMLSVIRSWANTQTNKLFSKNVRKVPETSANTLQLWTHV >EOY13659 pep chromosome:Theobroma_cacao_20110822:7:9944890:9945701:1 gene:TCM_032293 transcript:EOY13659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMISPTPCLIRNAAILSPDTSRVRTKMLYASSKDNFKRQLDGIQVELQATDPNEMSLDIVKGRAL >EOY12677 pep chromosome:Theobroma_cacao_20110822:7:3332304:3337999:-1 gene:TCM_031180 transcript:EOY12677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 41 isoform 1 MSIITLLVLFLITRLFFVSSESVRRADFPHGFTFGTASSAYQFEGAVNEGNKGDSIWDTFTRKPGKIMDFSNADTAVDQYHRFESDIDLMKDLGMDAYRFSISWSRIFPNGAGESNVEGINYYNRLIDALIQKGIQPYVTLYHWDLPQMLEDKYEGWLSRQIVDDFEHFAFTCFQAFGDRVKHWITFNEPHGFSIQGYDSGIQAPGRCSILGGLLCKTGNSSTEPYIVAHNILLSHAAAYHSYRKNFKERQGGQIGMALDAKWYEPISESDEDKDAANRAMDFGIGWFLDPLFFGDYPLSMKRLVGERLPQVLPEMSKMLLGSLDFIGINHYTTLYARNDRTRIRKLILQDASSDAAVITTSFRGGAAIGERVCPDNLL >EOY12675 pep chromosome:Theobroma_cacao_20110822:7:3332304:3337999:-1 gene:TCM_031180 transcript:EOY12675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 41 isoform 1 MSIITLLVLFLITRLFFVSSESVRRADFPHGFTFGTASSAYQFEGAVNEGNKGDSIWDTFTRKPGKIMDFSNADTAVDQYHRFESDIDLMKDLGMDAYRFSISWSRIFPNGAGESNVEGINYYNRLIDALIQKGIQPYVTLYHWDLPQMLEDKYEGWLSRQIVDDFEHFAFTCFQAFGDRVKHWITFNEPHGFSIQGYDSGIQAPGRCSILGGLLCKTGNSSTEPYIVAHNILLSHAAAYHSYRKNFKERQGGQIGMALDAKWYEPISESDEDKDAANRAMDFGIGWFLDPLFFGDYPLSMKRLVGERLPQVLPEMSKMLLGSLDFIGINHYTTLYARNDRTRIRKLILQDASSDAAVITTSFRGGAAIGERAASRWLRVVPWGIRKLARYVKDKYGNPPVIITENGMDDLNSPFINMKKALQDDKRIKFHRDYLSNLSAAIREDNCDVRGYFVWSLLDNWEWNSGYTVRFGLYFVDYKNNLTRVPKASVEWFKGVLRPSHLSNLRNQI >EOY12676 pep chromosome:Theobroma_cacao_20110822:7:3333038:3335672:-1 gene:TCM_031180 transcript:EOY12676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 41 isoform 1 MSIITLLVLFLITRLFFVSSESVRRADFPHGFTFGTASSAYQFEGAVNEGNKGDSIWDTFTRKPGKIMDFSNADTAVDQYHRFESDIDLMKDLGMDAYRFSISWSRIFPNGAGESNVEGINYYNRLIDALIQKGIQPYVTLYHWDLPQMLEDKYEGWLSRQIVDDFEHFAFTCFQAFGDRVKHWITFNEPHGFSIQGYDSGIQAPGRCSILGGLLCKTGNSSTEPYIVAHNILLSHAAAYHSYRKNFKERQGGQIGMALDAKWYEPISESDEDKDAANRAMDFGIGWFLDPLFFGDYPLSMKRLVGERLPQVLPEMSKMLLGSLDFIGINHYTTLYARNDRTRIRKLILQDASSDAAVITTSFRGGAAIGERAASRWLRVVPWGIRKLARYVKDKYGNPPVIITENGEYFQPQSLSFKLLGNVTQLTLLQAWMI >EOY13605 pep chromosome:Theobroma_cacao_20110822:7:9495644:9500728:1 gene:TCM_032220 transcript:EOY13605 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MSVVGEAALTAFLDGLFGKLSSSDFLNFVTEKQVRKELNKWEMKLRDIRAVLDDAEGKQMMLPQYQCVKNWLADLQDLAYDVDDILDEFGTQALGRKLTSLEEPQGIKNKVQKIIPTRFSPKTFMFNNKLMSKIKEISARMNDLATKRIQLELRGINEGARSDTIKQGLQPTSLVDETRVYGREEEKKALLELLLSNDGTNNEASVIPIIGMGGIGKTTLAQLVYNDTSIQNSFQDKAWVRVSDDFDAIMITKTILQSIIAPDDSCTNINDFNLLQVKLKEKLAGKKFLLVLDDIWNENYDDLTILLSPFGVGTKILITTRSHKVSSIMGTVKAYLLQQLSEEDCLSVFAQHALRAKDFSGHPELKEVGEIIVKKCNGLLLAAKAIGGLLRTSLDYEAWKCISESEIWGIPEEKCGIIPALQLSYHHLPSHLKRCFAYCSILPKDYEFGEEEITLLWAAEGFLQGAGLKTEIDDLGRQYFQDLVSRSFFQTSTKNKSRFVMHDLVNDLAQSIAGDVCSKLEDDKELRFSKRTRHSSYICGRYDGMKKLEAFDQMKYLRTFLSLLGLSWAREGDCYLSDNVLTDLLPKLKCLRVLSFKGYRNIELPNFFQKLRHLRYLDFSYSEIKSLPDSICTLYNLETLLLYWCRLLENLPSNLQNLVNLRVLDITGTLSLKGMPFGIGNLTNLRRLSDFVLDKCGGHKIQEMKNLLNLKGKLCISGLENIVNAQDAGEAKLIDKSGLGTLELSWSREFDNNRNKEIEEEVLNLLEPHKKLKELHIQSYSGTKFSIWMNSLQNLSSLVLNGCRNCVSLPSLGKLPLLKNLSIVGMDELDKVGIELYGENQSNAFVSLQSLRFENMGRWKEWDLVDEQVVKFPSLVELSIIRCPQLMGTLPNHLQSLEKLKIRQCAQMVVSLSDLRKLSELEIDECAELVLSDDADFRSIKKVNLSNVGKFSTSTERLVSTSTTLEYCGIYNCKGLTYLSIKKLGLLRSVCKLEIFQCPQLVLLEPHEVEEAEEELFQVGNPCNIESLRTWEAGLNMDSLRIRKHFLAFLTEMEVRNCPNIVCFAENNLPPLLKKLRIETCENLRCLVDEGENISISNISPLEHLTISGCPSLISLSIRNISHLEHLEIINSPSLISLSLPDALQHLILGNCSKLASLSESGELPIRLKQLDLTICPELQSIAEAIDNNTCLESISFYECGIKSLPQGLDKLNHLQSIQITGCSNLVSLEGFLPTANLTELKIFSCENLRALPNCMRNLTSLRELAVNNYFSGDQISIPEGGISTNLTSLTISVARNYEFLLEWGLQRLTSLKTLTITGRGCTNMVAFPPEEIGMMLPSSLINLYIQNFDNLKCLSSKGFRNLTSLHHLSISFCPKLTSLPEKDTLLSLLSLHISDCPSLKEKCKGTKDESGPR >EOY12146 pep chromosome:Theobroma_cacao_20110822:7:1131067:1132954:-1 gene:TCM_030737 transcript:EOY12146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MSSYTSNHLRLLSLTKQLTSHVNQGRHADALSLFHSMQASPSLTLDPYVFPLVLKSCAAISRPRLGSSIHAHATKSSLLSNPFVACALVDMYGKCFSISSARRLFDEIPQRNVVVWNSLISLYTRCGRVDEALHLFQSMDVGPNESTFNPIIAGLSELEDGPFMATEFYRRMQRVGLRPNLITLLALLRACVGVAALSLIKEIHSYALRSNTEPHPQLRSGLVEAYGRCGCLVYARNVFQCMEERDVVAWSSLISAHALHGEAKAALEVFQQMELAKVWPDDITFLGVLKACSHAGLADEALGYFDRMHKDYKLEASADHYSCLVDALSRAGRLYEAYRVIKEMPVKPTAKTWGALLGACRTYGEVELAEIAGRALFEIEPSNAANYVLLARIYASVGRYEEAQGMRMEMKERGVKVAPGGSWVVNQD >EOY12342 pep chromosome:Theobroma_cacao_20110822:7:1756958:1760766:1 gene:TCM_030873 transcript:EOY12342 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAUS augmin-like complex subunit 4 isoform 3 MVKGGQNLPADVIQVIDQLERHCLAPDGSLVSKSAYYDLQLAREEMSRERLRYLEAMAIYCEAIAMVEEYQQAVSVANLGGIRDLQGLYPQLGLKNSPQDMADYHLALSREIDVRLKSKCDKLADAFIDDIDSSSGSQSSSSRLPERVKLITEEIEREEAALREDLYSADRKFAEYYNVLEQILGVLIKLVKDLKLQHQHKYDELQKTWLCKRCETMNAKLRVLEHVLLLETYTQESIPALHKIRKYLLEATEEASAAYNKAVTRLREYQGVDPHFDTIARQYHEIVKKLENMQWTIHQVEMDLKRLPDHAST >EOY12340 pep chromosome:Theobroma_cacao_20110822:7:1756958:1760623:1 gene:TCM_030873 transcript:EOY12340 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAUS augmin-like complex subunit 4 isoform 3 MVKGGQNLPADVIQVIDQLERHCLAPDGSLVSKSAYYDLQLAREEMSRERLRYLEAMAIYCEAIAMVEEYQQAVSVANLGGIRDLQGLYPQLGLKNSPQVYETLEHRLVVAEAAQRLRLPLISNDGEIHEEEIEKWSIMSRSSLDSTSTSLTISSSSNSVNYANSAAAANNAGDLGEPGVGVPNRFLGITPAYLWQTQLQRVPLSMDMADYHLALSREIDVRLKSKCDKLADAFIDDIDSSSGSQSSSSRLPERVKLITEEIEREEAALREDLYSADRKFAEYYNVLEQILGVLIKLVKDLKLQHQHKYDELQKTWLCKRCETMNAKLRVLEHVLLLETYTQESIPALHKIRKYLLEATEEASAAYNKAVTRLREYQGVDPHFDTIARQYHEIVKKLENMQWTIHQVEMDLKRLPDHAST >EOY12341 pep chromosome:Theobroma_cacao_20110822:7:1757067:1759312:1 gene:TCM_030873 transcript:EOY12341 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAUS augmin-like complex subunit 4 isoform 3 MVKGGQNLPADVIQVIDQLERHCLAPDGSLVSKSAYYDLQLAREEMSRERLRYLEAMAIYCEAIAMVEEYQQAVSVANLGGIRDLQGLYPQLGLKNSPQVYETLEHRLVVAEAAQRLRLPLISNDGEIHEEEIEKWSIMSRSSLDSTSTSLTISSSSNSVNYANSAAAANNAGDLGEPGVGVPNRFLGITPAYLWQTQLQRVPLSMDMADYHLALSREIDVRLKSKCDKLADAFIDDIDSSSGSQSSSSRLPERVKLITEEIEREEAALREDLYSADRKFAEYYNVGPRADTRSAY >EOY12997 pep chromosome:Theobroma_cacao_20110822:7:5225469:5226463:1 gene:TCM_031503 transcript:EOY12997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVWFHSIWKLAVPPKIQCFLWLAILNSIPMKVFLSSRGVHFSPDQLRCVWCGQVEECCSHILLTCPFSSRVWGYVLKWWGISWCSPCSLSSFMLAWDGCSFGGIIYKRWLIVCATSLWSLWLVRNETVFNSKVWDGLQIFFLIKLCSMF >EOY14308 pep chromosome:Theobroma_cacao_20110822:7:23679787:23680606:-1 gene:TCM_033671 transcript:EOY14308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASIKDQPTLVEEVRETKGVAKQGKSRDIMSTLKGRVARIEMTVGETRDKLEEFEANMDELGSKNDELRGELHEIVEMLNHRYEALPDSIKSLQEEPKEFQGERKAKEVDNFLWCLEQYFKAMSITKDAL >EOY12006 pep chromosome:Theobroma_cacao_20110822:7:600991:606616:1 gene:TCM_030626 transcript:EOY12006 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOL1/NOP2/sun family protein / antitermination NusB domain-containing protein MANVLPLHVFSSSQAQRTSSKPLKLTKRTNKSNTSFSTAKKGLLSPSHKVQKLNLEVSPHRAVSAVRLMRIELGGAFADLLNEKGKGSGDNEMGYVERTLGFRTRDLDDRDLRLVTDIVGGTIRWRRYLDHLIGSLCHDKSVFSSMEPLLLQILRIGFYEIVKLNMPPYAVVDENVKLAKVALRPGAGNMVNGILRKLVLVKENNSLPLPKLEGDDRAQARALATLYSHPVWMVRRWTKYLGQEEAIRLMMWNNSDPSFSLRANSGKGITRDDLATQLNSLKVPHEVSLHLDDFVRVKIGLQNVIRAGLLKEGLCSVQDESAGLVVSVVDPQPGDDIIDCCAAPGGKTLYMASRLSGKGKVHAIDINKGRLRILRETANVQKVNGVVATIHADLCTFSENSLLKSGKVLLDAPCSGLGVLSKRADLRWNRSLEDLEQLKNLQDELLDAASTLVSLGGVLIYSTCSIDPEENEDRVDAFLGRHPEFRIDPVDRYVPSDFVTKQGFYLSNPVKHSLDGAFAARLVRAL >EOY13885 pep chromosome:Theobroma_cacao_20110822:7:12459875:12462727:1 gene:TCM_032594 transcript:EOY13885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNNRRASICIELSVSRLHKIISKTIENMLKGVLREVISKNQFTFIKGRQLLDYSLITNEVIDLLRKDHDEGLSFKIDFEKAFNSVE >EOY14109 pep chromosome:Theobroma_cacao_20110822:7:20907003:20926732:1 gene:TCM_033363 transcript:EOY14109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNCCTVPSPRDVPKRKRALPIETAFRLPSPLPTWPAGEGFASGAIDLGGMHVYQVSSFTKVWATREGGPDNLGATFFEPSSIPEGYYMLGCYAQPNNGLLFGWVLAAKDDTGGALLKQPIDYTLVWSSESLKIKQDGNGYIWLPIAPDGYQAVGHVVTNTQDKPSLEKVRCVRSDFTDRSENDTWIWGPDKGIDTKEFNVFGSTPISRGSQYMGVSVGTFVAQNPNAGSTAPLACLKNVKSNLSCMPNLRQIETLFQAYSPWIYFHPDEVYLPSTVNWFFVNGALLYTKGEESKPVSIQPTGSNLPQGGSNDGIYWLDLPMDEATKERVKKGDLQNSQVYLHVKPMLGATYTDIAIWVFYPFNGPARAKVEFINISLGRIGEHVGDWEHVTLRVSNFNGELHSMYFSEHSGGSWLNASQLEFQGGNKPCTYASLNGHAMYSKPGLVLQGSGGMGIRNDTAKSKMLLDTGLQFSLVAAEYLGSTLTEPPWLNYFREWGPKISYDLADEIKKVEKLLPGKLKSAFEKFIKGLPNEVLGQEGPTGPKMKGNWTGDEV >EOY13094 pep chromosome:Theobroma_cacao_20110822:7:5872872:5875151:1 gene:TCM_031615 transcript:EOY13094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIGLRYRVYAELRETKLSMKSAKQQESEEIEFKQAPTKKQVKFSSSLGSSRKGSSILTQSVLYFSATLRKENRQPVMNGIELTPPTSKNWSKRNGVPLSNSSKAMIFFSLCSKLEEEVPKNWKGIERKETKLNCIQSHDLFLFVFQIDAAKNKIWRMAFFVIKWSIWLFKNEMVFNGKSWDELKLMDIIKTRIAYWSKARWDNPCLSFLDFFKNPELGVVFSKKKFVKKNLEWIKPALSELKFNVDGAAKGCPGEIGIGGVLRDYEGRIKLQFSKSTGWGDSNLAELLAIKEAFLLFATSP >EOY13271 pep chromosome:Theobroma_cacao_20110822:7:6911291:6911786:-1 gene:TCM_031789 transcript:EOY13271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIWQSEQTSNRKSEEKPQSFARDEVICSTFIVTMITMHYYLVVSPYHLIILAYGVHRRYIQVDCYTKDSWD >EOY12576 pep chromosome:Theobroma_cacao_20110822:7:2856412:2860322:1 gene:TCM_031093 transcript:EOY12576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 4 MAKTPIGLEPVVGSLTPSKKREYRVTNRLQEGKRPLYAVVFNFIDSRYFNVFATVGGNRVTVYQCLDGGVIAVLQSYVDEDKDESFYTISWACNIDGTPFVVAGGINGIIRVIDASNEKIHKSFVGHGDSINEIRTQPLKPSLIVSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIHRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPIFLASVHSNYVDCSRWLGDFILSKSVDNEIVLWEPKMKEQSPGEGTADILQKYPVPECDIWFIKFSCDFHYNAAAIGNREGKIYVWELQSSPPVLIARLSHAQSKSPIRQTATSFDGSTILSCCEDGTIWRWDAVPTD >EOY12578 pep chromosome:Theobroma_cacao_20110822:7:2856360:2859781:1 gene:TCM_031093 transcript:EOY12578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 4 MAKTPIGLEPVVGSLTPSKKREYRVTNRLQEGKRPLYAVVFNFIDSRYFNVFATVGGNRVTVYQCLDGGVIAVLQSYVDEDKDESFYTISWACNIDGTPFVVAGGINGIIRVIDASNEKIHKSFVGHGDSINEIRTQPLKPSLIVSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIHRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPIFLASVHSNYVDCSRWLGDFILSKGTADILQKYPVPECDIWFIKFSCDFHYNAAAIGNREGKIYVWELQSSPPVLIARLSHAQSKSPIRQTATSFDGSTILSCCEDGTIWRWDAVPTD >EOY12577 pep chromosome:Theobroma_cacao_20110822:7:2856629:2860370:1 gene:TCM_031093 transcript:EOY12577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 4 VGGNRVTVYQCLDGGVIAVLQSYVDEDKDESFYTISWACNIDGTPFVVAGGINGIIRVIDASNEKIHKSFVGHGDSINEIRTQPLKPSLIVSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIHRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYIFLASVHSNYVDCSRWLGDFILSKSVDNEIVLWEPKMKEQSPGEGTADILQKYPVPECDIWFIKFSCDFHYNAAAIGNREGKIYVWELQSSPPVLIARLSHAQSKSPIRQTATSFDGSTILSCCEDGTIWRWDAVPTD >EOY12579 pep chromosome:Theobroma_cacao_20110822:7:2847179:2860386:1 gene:TCM_031093 transcript:EOY12579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 4 VLQSYVDEDKDESFYTISWACNIDGTPFVVAGGINGIIRVIDASNEKIHKSFVGHGDSINEIRTQPLKPSLIVSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIHRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPIFLASVHSNYVDCSRWLGDFILSKSVDNEIVLWEPKMKEQSPGEGTADILQKYPVPECDIWFIKFSCDFHYNAAAIGNREGKIYVWELQSSPPVLIARLSHAQSKSPIRQTATSFDGSTILSCCEDGTIWRWDAVPTD >EOY11994 pep chromosome:Theobroma_cacao_20110822:7:556543:559883:-1 gene:TCM_030618 transcript:EOY11994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLLHSSPSCGILMKSCLYKSFHKYVQAPPYISKQEWIYRAVISPSGICFFCGVKFNSGGTKEHRRQTLTVSICTDLVGYY >EOY13259 pep chromosome:Theobroma_cacao_20110822:7:6796384:6800993:1 gene:TCM_031776 transcript:EOY13259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Curculin-like (mannose-binding) lectin family protein MASPISSLFLHFIFLSLFALATTALAKVPANQTFRFVNQGEFGDRIIEYDASYRVIRNDVYTFLAIPFRLCFYNTTPDAFIFAIRAGFPNDESLMRWVWDANRNDPVRENATLTFGEDGNFVLADADGRVVWQTNTANKGVTGIKLLTNGNLVLFDKNGKFVWQSFDYPTDTLLVGQSVKINGRNKLVCRTSDMDGSDGPYSMILDRNGFIMYLNNSGQLLIYGGWPIKDFGDIVTFDAVPENDNATAYELVLRTTTLQAHQGGSLPGNGRRRLLQVRPIGGGGEKFLNKLNYNATSSFLRLGSDGNLRAYTYYDPVSYLKWEESFAFFSSYFVRECALPSKCGSFGLCDKRMCVACPSPRGLLGWSESCKPPKLAACGKGAKVEYYKIVGVEHFLNPYLDDGEGPMKVEQCRDKCSRDCKCLGFIYKEDTFKCLTAPVLGTLIKNVNTTSVGYIKYTR >EOY13991 pep chromosome:Theobroma_cacao_20110822:7:16696299:16716761:1 gene:TCM_032982 transcript:EOY13991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEECIFASDNQVMEGDNDTESEFEGEGEEEEGVPKTIVFESSRSAKLKDLLHKINSIEIKLFSDATKDFVKLLKTDAGAELLHLYIETSPSLSELLEAWKLRQGKPGMSYVLSLISAILSHPEGRRYNDKLGVSRVLDKFARLIVDEKLEDVYRELNTKDGKRQNAALLLMGSVVRRGSGLASEVAKKFDFKLQGFSKLSEYKKRKQIDKKKHSTRKSFVGFAMSFLEMGKPGLLRWVLQQREMYSGVLRGLGNDDDETVTYILSTLCDRVLTEESLVPPGLRSVLFGSVTLEQLVNISGRQNRGVAVELAYRVLLMVCTDPSNGLMPDLERKPNPLKGNPKRLLGVMKKLKATEIGYHKDLLLATLRGRPSLGAAYMDELPYSVEDHASPTWVSTVSLAASLISSVAMGNPFGFLDAKSHDPPSFDSVDVQNIINCICPHPLSRSVVTKGLLHSDFLVKHGALRLLLEALKLLDSFISSLNNIFLVRNQMMQSWALVKQDIQNEVRTLLPDTQVLLTLLSSLGTNNRTPKSSLKRKFGLEKFPDNSSLKKLKAGVLKEDSDIIVGGISSVPDVALPDDHDVVADAHVTDELDIEKEFLNVISDIWGLDLCSSPVMELKDVEMYFYSKLLDALKIYLRTVPTVLEGSFDFFMNLVNSPLALPIDLQRSLLALLIEYIGWSPGNGKSNRIPLLMYKHLHTFINLLTLSPNSDIKNQAYNLARAAMLSTGAFDRNPYEIGAWFLFLPGYRRNKLSVEVQGVEVLQSLSQVVVSFLGDAISTIGNNLFKHWDIVRQYISRLKGFKGISPNFSPLIVCALDKCIRLLNSSSGTFSLSEKSMISLYVCNTLKYLLQTQVDAGLLSDLVQTVLSEGLGDCRSMVYDSGDLLCEWRPLKNLFYFSQSAWYQPPRYFLSIDKNAIPDDSSFAITLGEVKKFIGNEQHGELTGIVKAFYSAMLCATPEDILINFPLVMTISLKLGVAVPLLSSIIFSEQNFLVGLSNLWPEVFVPGLEMALLEIHQKGKDDDEGMTSNIDFDTIQSAAAAFSLFLKQVPFHVLFPATISIDAPYLSESSKIQDLLLSKRSDWTSDCPISFLRLVLFWFYRVRLFCRNKQLNELEQVSDICLIIIKHMFSQLLALKPDFECSMNTEVPLLAETIREVGEIILCHPEMISSLTCPLSCNKEVTTGLLGNGLETFLSLSGQRVRKLDHHVLDLLTATLDFYLSVSKSHYSVIEDEAKRTIRRAFSSLVQRLFLDVKDRFDVCSGSGDLQPLLSSFCAIHALILFISPFELLELGHWMFSRIDVNKLTAENSHVMSALSVGFSLAGGGFEVLSTYLQQPLIERAPYDFLWQVEEKTFDVNILEDIYVKVCKFACNFNLDFADMCLLRAVNAVYRQKSSQRGELHPSSAVMSRVLMSTPVEMVSHCIYRTSIAKAKLLHLLIEMSPLHLSIFGQLFLNILNKDFFSNAILMKEISGYALSDDNFMMLLPAALSLVNSAFVKFEKHFYRHFKSIPSFYSRMLLNGFVHWKSFVSGDIFQEEYSEFLPSSAQELFNLVDESLLGKAIHLLRYHFFLSGDSLKLKKRLELFNSIFAYSVTHEELLDCGVSEMDFSSVNKSLNHINKVVAKISFCKMLLFPEDDKVLFLPKEEDGGLREISLTMGSNKADSSRMHFMDALVGAWQWMVKKLPLIPEYSISIIAKSGDCLCLYRCLEVFILRNILQLTRKMHSYLILLQSIPFVEQLMRSTLLYRFEDSKTLGILRSILILLSEGKFSRVLCLQMLLGHSQFAPMIHSISKSSTSETGTFFRPMSSILRLLVVPDITSNVKDGKDDQEAAEMCVKQLEILKLLRTLLLSGAAHSDFDSRNDSSINLKELHLLLLSSYGATLSEIDLEMYSLINEIETIDSSDSKYIAEIDYLWGSAAMKVRKEHGLEHGASRNIMTDIEAAQERLKIKYRDNLPVDPKVCAATVLHFPYDRTASDRPLSLNKLQSDNIKDMIKLHSPGAGNIQRYDPVFIMRFSIHSLSAGYIEPVEFAGLGLLAVAFVSMSSLDVGMRKLAYEVLSRFKISLERCQRKKDVTRLHLLLMYMQNGIEEPWQRIPSVIALFAAETSLVLLDPLHEHYSTFNKLLMNSSRVNMKVVTEVISSKDITEWLQSCALEQLMELASHLYKLLVGGMKLINEHAAFVNPTLQIIISTLKMSQKRQMYQPHFTLSLEGLFQIYRAVNEHDIGRYSGNAECGLEAILTSTPPIDMFCMDREKLSSFLIWATSTALKSESRKMFQCKESGLYLPVILEEAPHEESLTLKLLRWLTASIIHGKLSWKFNDWIAKFSDRSNSKTLQSLLEYVPKGDKEGNKSSFDCEEMLAAQVFYLQQSLGINCSALPSVISALCLLLCDDSKVAGLDFMLDFRTSMVTLCSMICCPPESYPAWRWSFDQPWKDHSSELTDLERIDELHACQKLLVMISNVLWRKSSDFLALSLQAVENCGVLKWERSIIETE >EOY13990 pep chromosome:Theobroma_cacao_20110822:7:16696299:16716761:1 gene:TCM_032982 transcript:EOY13990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEECIFASDNQVMEGDNDTESEFEGEGEEEEGVPKTIVFESSRSAKLKDLLHKINSIEIKLFSDATKDFVKLLKTDAGAELLHLYIETSPSLSELLEAWKLRQGKPGMSYVLSLISAILSHPEGRRYNDKLGVSRVLDKFARLIVDEKLEDVYRELNTKDGKRQNAALLLMGSVVRRGSGLASEVAKKFDFKLQGFSKLSEYKKRKQIDKKKHSTRKSFVGFAMSFLEMGKPGLLRWVLQQREMYSGVLRGLGNDDDETVTYILSTLCDRVLTEESLVPPGLRSVLFGSVTLEQLVNISGRQNRGVAVELAYRVLLMVCTDPSNGLMPDLERKPNPLKGNPKRLLGVMKKLKATEIGYHKDLLLATLRGRPSLGAAYMDELPYSVEDHASPTWVSTVSLAASLISSVAMGNPFGFLDAKSHDPPSFDSVDVQNIINCICPHPLSRSVVTKGLLHSDFLVKHGALRLLLEALKLLDSFISSLNNIFLVRNQMMQSWALVKQDIQNEVRTLLPDTQVLLTLLSSLGTNNRTPKSSLKRKFGLEKFPDNSSLKKLKAGVLKEDSDIIVGGISSVPDVALPDDHDVVADAHVTDELDIEKEFLNVISDIWGLDLCSSPVMELKDVEMYFYSKLLDALKIYLRTVPTVLEGSFDFFMNLVNSPLALPIDLQRSLLALLIEYIGWSPGNGKSNRIPLLMYKHLHTFINLLTLSPNSDIKNQAYNLARAAMLSTGAFDRNPYEIGAWFLFLPGYRRNKLSVEVQGVEVLQSLSQVVVSFLGDAISTIGNNLFKHWDIVRQYISRLKGFKGISPNFSPLIVCALDKCIRLLNSSSGTFSLSEKSMISLYVCNTLKYLLQTQVDAGLLSDLVQTVLSEGLGDCRSMVYDSGDLLCEWRPLKNLFYFSQSAWYQPPRYFLSIDKNAIPDDSSFAITLGEVKKFIGNEQHGELTGIVKAFYSAMLCATPEDILINFPLVMTISLKLGVAVPLLSSIIFSEQNFLVGLSNLWPEVFVPGLEMALLEIHQKGKDDDEGMTSNIDFDTIQSAAAAFSLFLKQVPFHVLFPATISIDAPYLSESSKIQDLLLSKRSDWTSDCPISFLRLVLFWFYRVRLFCRNKQLNELEQVSDICLIIIKHMFSQLLALKPDFECSMNTEVPLLAETIREVGEIILCHPEMISSLTCPLSCNKEVTTGLLGNGLETFLSLSGQRVRKLDHHVLDLLTATLDFYLSVSKSHYSVIEDEAKRTIRRAFSSLVQRLFLDVKDRFDVCSGSGDLQPLLSSFCAIHALILFISPFELLELGHWMFSRIDVNKLTAENSHVMSALSVGFSLAGGGFEVLSTYLQQPLIERAPYDFLWQVEEKTFDVNILEDIYVKVCKFACNFNLDFADMCLLRAVNAVYRQKSSQRGELHPSSAVMSRVLMSTPVEMVSHCIYRTSIAKAKLLHLLIEMSPLHLSIFGQLFLNILNKDFFSNAILMKEISGYALSDDNFMMLLPAALSLVNSAFVKFEKHFYRHFKSIPSFYSRMLLNGFVHWKSFVSGDIFQEEYSEFLPSSAQELFNLVDESLLGKAIHLLRYHFFLSGDSLKLKKRLELFNSIFAYSVTHEELLDCGVSEMDFSSVNKSLNHINKVVAKISFCKMLLFPEDDKVLFLPKEEDGGLREISLTMGSNKADSSRMHFMDALVGAWQWMVKKLPLIPEYSISIIAKSGDCLCLYRCLEVFILRNILQLTRKMHSYLILLQSIPFVEQLMRSTLLYRFEDSKTLGILRSILILLSEGKFSRVLCLQMLLGHSQFAPMIHSISKSSTSETGTFFRPMSSILRLLVVPDITSNVKDGKDDQEAAEMCVKQLEILKLLRTLLLSGAAHSDFDSRNDSSINLKELHLLLLSSYGATLSEIDLEMYSLINEIETIDSSDSKYIAEIDYLWGSAAMKVRKEHGLEHGASRNIMTDIEAAQERLKIKYRDNLPVDPKVCAATVLHFPYDRTASDRPLSLNKLQSDNIKDMIKLHSPGAGNIQRYDPVFIMRFSIHSLSAGYIEPVEFAGLGLLAVAFVSMSSLDVGMRKLAYEVLSRFKISLERCQRKKDVTRLHLLLMYMQNGIEEPWQRIPSVIALFAAETSLVLLDPLHEHYSTFNKLLMNSSRVNMKQIPLFHDFFQSSAVNFRAQRLWILRLANAGLNLEDDAWLYIRSSILETLMSFYVSPLSDNESKKLILQILKKSVQLHKMVRYLVEQCSLFSWLSSILSNYSRVLLGDENRIFLTELVMVIEVVTEVISSKDITEWLQSCALEQLMELASHLYKLLVGGMKLINEHAAFVNPTLQIIISTLKMSQKRQMYQPHFTLSLEGLFQIYRAVNEHDIGRYSGNAECGLEAILTSTPPIDMFCMDREKLSSFLIWATSTALKSESRKMFQCKESGLYLPVILEEAPHEESLTLKLLRWLTASIIHGKLSWKFNDWIAKFSDRSNSKTLQSLLEYVPKGDKEGNKSSFDCEEMLAAQVFYLQQSLGINCSALPSVISALCLLLCDDSKVAGLDFMLDFRTSMVTLCSMICCPPESYPAWRWSFDQPWKDHSSELTDLERIDELHACQKLLVMISNVLWRKSSDFLALSLQAVENCGVLKWERSIIETE >EOY13531 pep chromosome:Theobroma_cacao_20110822:7:9076598:9077563:1 gene:TCM_032133 transcript:EOY13531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVKRPFSFQLHLVFSLVSPAAAWLALCTDIKVSQELCSFLTFSLAMINLSHPQLGSGKFVLCLISSPPFLSNLGCFSLTQD >EOY13500 pep chromosome:Theobroma_cacao_20110822:7:8928471:8929537:1 gene:TCM_032105 transcript:EOY13500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger-like protein MASSTYRCRDLQHIVYQPRADPEISYPALGFLQIELTVTLQLQRRLHYCVTDQFIDLDDQPPIFSQETIRFDLRALDSYDRTFRILAPMLVRLRINPNPQFIDEIIRQGLSIGTSESNKGREVFPLQADLWGTSVEHVPDEEEEDLTERALEESASEFETSNYNMVPAKESSVKKMLKRVRVEPAKRGKKQEKTIKKRRLEAENCVICLEELKVGSDAFQMPCSHTFHGECIKKWLKQSHYCPVCRFEMPTE >EOY13534 pep chromosome:Theobroma_cacao_20110822:7:9099789:9104376:1 gene:TCM_032136 transcript:EOY13534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMNMKQHLKMHNHSHKELKLLFSLVSIDISGSLVLYNKPQKDAAYNCLCSILRGGQGCNICRENPLDQMADSSHGCSERLRSTPACHIGLLKSSEEQLTSPLVVFWAPFLLLHLGGPDTTTAYSLLESDGWVKHLLGLCFQLGVALYVYLEFWTITITTLTYLAIPIFIVGIIKYGERVLVLFSASDAQLQKSVFKTPTNSGIEDAHLGVDQQRVVVPLDDYLQGKGIHSKYRHLHRAFLSFRRLGPLFLDLKLSIYKPLSDLFTLDLMPMKEAFELVEIELGFLYDLLYTKIPKVLSRNGVILRSICSTFLFSILIAYSIIVGNRGCPKVDIAITYFLLLGAIFLEIYSAVLHVSSDRGIQWLTNHYNKLLKAIGSSLVSVTKTNRSGIQSMAQNSLIDYCLKARSTKFPLVPRIFDTEDFMGKYRNTSWKDVNVDLKNAIYTHLLKKREKYEQEHFDYDYLLRLLDERVLTVSHETSTLSIPDWNIGGVEFTQSLLIRHIATDILFHDDHQSDLVGNLGPYCNISKLLSDYMMYLLFMRCQLANEFQIFSSDFRWEIIAALWLEMLSYGASQCTWREHAKQLKQGEELLTRVALLMAHLGLSKNIQMVDIPKDLDEAGYKPRWDWDNLDRLAYYMV >EOY13154 pep chromosome:Theobroma_cacao_20110822:7:6228468:6228740:1 gene:TCM_031679 transcript:EOY13154 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein MSDRARHELLDWPKRFHIINGVARGLVYLHQDSRLRIIHRDLKTSNILLDSEMNPKISDFGLAKTFGGDQTEGNTNRVVGT >EOY12312 pep chromosome:Theobroma_cacao_20110822:7:1658767:1662431:1 gene:TCM_030853 transcript:EOY12312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB811 MMLMMGGNNQVTTQNEGGGTSGMHNSCVEGGLTLKKGPWTAAEDAVLAEYVRTHGEGNWNAVQKNTGLARCGKSCRLRWANHLRPNLKKGAFSPEEERIIVELHAKMGNKWARMATQLPGRTDNEIKNYWNTRVKRKQRQGLPLYPPEIQPLYPQHHHSQPTTPIPSSPTQQSPSSFSFQAPTSLHHGSMLSPPPHSFHLPRSASQPLLHISHHAAAAAAAPTPFLHSPSPASTPPPLPSPTPSTPPSTSPLPSPPAFSTLPLFDSSTFNTSTSNITTVNATSSDHFFFPRTLPSLQTPLRYKRFKQNNDNAENNNNNHNITVNSSNGTTNSSFMFPLSPLLKSIDVFNPHTTVAATATTSSWTPQHYPSYSLDPITLDLASSSRILRPHFDSGQFISTPGVAYPLKTELPSNQLLSQDGNSEVTLHTNKVNNYSKYSSNDHSSNHPNLSMPVSGNGLLEDMLEEAQVLTGSNDMLTRQGGLVDFSSSSEGLASVLKPREETQEQINTMHEDYSKLLNVVPSSMPIPEWYSDSGEGSNGQSSVITDDNLGLEMHQIASLLPVDHIAHKHGRKPSSRSWDNLPGIC >EOY11979 pep chromosome:Theobroma_cacao_20110822:7:507405:511673:-1 gene:TCM_030608 transcript:EOY11979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF827) [Source:Projected from Arabidopsis thaliana (AT5G55860) TAIR;Acc:AT5G55860] MVAKGRHNATDSPKVEVGEIDTSAPFQSVKDAVTLFGEGAFSGEKPAIRKAKPHSAERVLAKETQLHLAQKELNRLKEQLENAETTKAQALVELERAKRMVKDLTDKLKTVNESKDSAIKATEAAKNQAKLIEEANSSILPGPDGARNHDLETSREQYMTVITELDAAKQELRKVRQDCDASLEAKIAAFNQTEEAEHAAKVNMEKVGELSREISAVQESIGQVKLASLEAQQEQAKMFAEKDTQRQLYKASLEESTKKLLALKNEFDPELTRNLEAQLSETVNQIGALQKQMENAKASDLESVQTVTSELDGAKESLQKVAEEENSLRSLAESLKMELENVKEEHSELKEKEAATESIAGNLHVKLRKTKAEFEAFLTEESKTRGACEEMISTLQQLLVEAENARREAEEMKKEAEELRLEAEASRIALEEAEQQLRVALEEAEAAKEAETKALDQIKMLSERTNAARASTSESGANITISREELESLSRKVEESDNLAEMKVAAAMAQVEAVKASENEALKRLEATQKEIEDMKVATADALKRAEMAEAAKRAVEGELRRWRDREQKKAAEAASRILAEAQMSAESSPQHYRIQKQNPPEKIVQIRKLEKEKSSVSKKVLLPNISGIFNRKKNQIEGGSPSYLPGEKAL >EOY11980 pep chromosome:Theobroma_cacao_20110822:7:506871:511614:-1 gene:TCM_030608 transcript:EOY11980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF827) [Source:Projected from Arabidopsis thaliana (AT5G55860) TAIR;Acc:AT5G55860] MVAKGRHNATDSPKVEVGEIDTSAPFQSVKDAVTLFGEGAFSGEKPAIRKAKPHSAERVLAKETQLHLAQKELNRLKEQLENAETTKAQALVELERAKRMVKDLTDKLKTVNESKDSAIKATEAAKNQAKLIEEANSSILPGPDGARNHDLETSREQYMTVITELDAAKQELRKVRQDCDASLEAKIAAFNQTEEAEHAAKVNMEKVGELSREISAVQESIGQVKLASLEAQQEQAKMFAEKDTQRQLYKASLEESTKKLLALKNEFDPELTRNLEAQLSETVNQIGALQKQMENAKASDLESVQTVTSELDGAKESLQKVAEEENSLRSLAESLKMELENVKEEHSELKEKEAATESIAGNLHVKLRKTKAEFEAFLTEESKTRGACEEMISTLQQLLVEAENARREAEEMKKEAEELRLEAEASRIALEEAEQQLRVALEEAEAAKEAETKALDQIKMLSERTNAARASTSESGANITISREELESLSRKVEESDNLAEMKVAAAMAQVEAVKASENEALKRLEATQKEIEDMKVATADALKRAEMAEAAKRAVEGELRRWRDREQKKAAEAASRILAEAQMSAESSPQHYRIQKQNPPEKIVQIRKLEKEKSSVSKKVLLPNISGIFNRKKNQIEGGSPSYLPGEKAL >EOY13815 pep chromosome:Theobroma_cacao_20110822:7:11127958:11130046:-1 gene:TCM_032469 transcript:EOY13815 gene_biotype:protein_coding transcript_biotype:protein_coding description:F16G16.3 protein MAKGLIWATAEDLARNRGKVVSLYRQILRSLNSPILELSLAARLAKKAEARAIFMLGSEEHSLHNIEDLIDAAEYSLSLLEQGKIPKLIQ >EOY12368 pep chromosome:Theobroma_cacao_20110822:7:1835948:1838933:1 gene:TCM_030892 transcript:EOY12368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIMEDGKVIERSRFLKTLESCPWLVFPHCEDETRQTFCSMSQPFKTYGKSTPKLWINGVLGHSYGWLIISNKNITKRTIRREFIFLWNPVSSELIKLPPLDLKPDQRITTGSLLSPPDNPGSMVLVFENIVKSFIFCKLGDKMWTQIPAEEMDTEMQIIDDEPSASNRLLYSSPVNYKGKCYVPMSRQIKVIDQVKPEYFMFRSLNCMLPNRLSSYSDCLESYLVESYGELCLIEVTWGGVNVSQVLDIEISRLNFSTMEWSQVRSAKGRAFFLCRTAVYAISCPTNDSGLEGGFVYIFTVGSDRCLYSFNIEDKSISVSLPWENLPKSWDTPFWVMPDLSSLFSNRKPEGFQILSKEVKPEEEEEEIETEERKGKEILNFSPDNSEAEVRNLCDLPLEIIALIANNLYLLDYINFRLVCKTSLLVAPHIQWRETSPKVLKSHPLSPWLMFAQGNSRTLHNFIDPKFGGRYLMNIPESIIDFDIRYSKEGWLLMSSRDQGGSMFFYQPFAKKLIHVPPPLVNMDECYSFGFISSPTSPYCLIVGISSFSILCLIFLQDEEWYQFTRNDFSIFIPNHSSPVYFEGAFYFLGKHGNLGVFSVEDIGDVYLVQWDVLLKPEKPCNSSDHSYLLECDGKLHSVFVDNLGESVSVFELDKTTMAWRKVSDLGNYMFFVSTPSSFSMVAKTPGMENKIYFPKIKGKEIVYYCLRTGKYRTFGSKQAATNFYNTTEYLHSCWIQQRWL >EOY12953 pep chromosome:Theobroma_cacao_20110822:7:5006509:5006980:1 gene:TCM_031456 transcript:EOY12953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFSIRKLANYKSFTHAAKPTSYQQFDRSEVGPTYVQPTRNGYARGFTDQNLADYSSMQKMSSSSNPAWGGDENVDEKATSYISHVRERLRGEC >EOY12815 pep chromosome:Theobroma_cacao_20110822:7:4247377:4249543:-1 gene:TCM_031332 transcript:EOY12815 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein 14 B isoform 3 MTTLFPMSLTFSPFSLSNEEFSGKPFCCYCLKGPKVASLRQKRFKLGAFRRQRWSCGEVGRSKDGTFVKEEGWKRNERVVLVRFNQGFGFNGGGGSGGGGGGGKIDSNAARLLGNIAVAIGLTYLSMTGQLGWVLDAIVSIWLLAVLIPIVGLGAFLWWAGRDIVQSSCPNCGNDFQIFK >EOY12813 pep chromosome:Theobroma_cacao_20110822:7:4246725:4249345:-1 gene:TCM_031332 transcript:EOY12813 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein 14 B isoform 3 MTTLFPMSLTFSPFSLSNEEFSGKPFCCYCLKGPKVASLRQKRFKLGAFRRQRWSCGEVGRSKDGTFVKEEGWKRNERVVLVRFNQGFGFNGGGGSGGGGGGGKIDSNAARLLGNIAVAIGLTYLSMTGQLGWVLDAIVSIWLLAVLIPIVGLGAFLWWAGRDIVQSSCPNCGNDFQIFKSFLNDELQLCPYCSQPFSVVDDKFVKEPVKFSNQTSKAEQAFNGFSPGFKKGKDSSAAVVDVEAEVKDAD >EOY12812 pep chromosome:Theobroma_cacao_20110822:7:4246097:4249460:-1 gene:TCM_031332 transcript:EOY12812 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein 14 B isoform 3 MTTLFPMSLTFSPFSLSNEEFSGKPFCCYCLKGPKVASLRQKRFKLGAFRRQRWSCGEVGRSKDGTFVKEEGWKRNERVVLVRFNQGFGFNGGGGSGGGGGGGKIDSNAARLLGNIAVAIGLTYLSMTGQLGWVLDAIVSIWLLAVLIPIVGLGAFLWWAGRDIVQSSCPNCGNDFQIFKSFLNDELQLCPYCSQPFSVVDDKFVKEPVKFSNQTSKAEQAFNGFSPGFKKGKDSSAAVVDVEAEVKDAD >EOY12814 pep chromosome:Theobroma_cacao_20110822:7:4247042:4249543:-1 gene:TCM_031332 transcript:EOY12814 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein 14 B isoform 3 MTTLFPMSLTFSPFSLSNEEFSGKPFCCYCLKGPKVASLRQKRFKLGAFRRQRWSCGEVGRSKDGTFVKEEGWKRNERVVLVRFNQGFGFNGGGGSGGGGGGGKIDSNAARLLGNIAVAIGLTYLSMTGQLGWVLDAIVSIWLLAVLIPIVGLGAFLWWAGRDIVQSSSFLNDELQLCPYCSQPFSVVDDKFVKE >EOY13098 pep chromosome:Theobroma_cacao_20110822:7:5916016:5920013:1 gene:TCM_031621 transcript:EOY13098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine transaminase family protein MENATVLNHEMETASTITIKGILSLLMQNVDEKNGKRLISLGIGDPTVYSCFHTTHVAGEAVAEALQSEKFNGYSPTVGLPQTRRAIAEYLSCDLPYKLSSDDVFVTSGCTQAIDVALAMLGRPGANILLPRPGFPIYELCATFRRLEVRYFDLLPKKGWEVDLDSVEALADQNTVGLIAETAEKLKIPVVADEVYGHLAFGDNPFVPMGLFGSIVPVLTLGSLSKRWIVPGWRLGWFVMSDPCGMFKNPKIIERIKKYFDILGGPATFIQAAVPCIIEQTDEVFFRKTINTLKQTSDICWDMIKEIPCISCPQKPQGSMAVMIKLNLSDLEDISDDIDFCFRLAKEESVIILPGTAVGLKNWLRITFAADPPSLKEALGRLKSFCERHTKILQIY >EOY13937 pep chromosome:Theobroma_cacao_20110822:7:14502973:14521542:1 gene:TCM_032780 transcript:EOY13937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYPSAHLSTADRLSQSIITIVVNHITRSYTDQSIYIEWWNEYNELTRVSNKACLGLGGFSRKYRTSVAIEEMSLRVLSF >EOY13644 pep chromosome:Theobroma_cacao_20110822:7:9736150:9743767:1 gene:TCM_032266 transcript:EOY13644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKPKAQLPWFGLMAAFALFLLPEACIAGRRNKDCGFTLCGDVNISYPFRLTSQTPKCGDHRYELECDNNNRTTLVMKHGRLYVQNISYGNHTIQVVDANVGRDDCFLPRFSKFYDTCKLPYRASYRASYLMSIISLVNCTTPMKSSLYVDASRCPNRSSHPPTYFYFLDEGTLPVDFNQFCTVEAQVPVWLQNISNMSTSDIYKKLLLGFELSWIDLDDFPYHCTEDKLQVILDLLLYAWETYVGSLVNLPYSLNYGDKSTYICLGVTGGVILLRALPGICCLIVVVTYKWRRRHLSVDDMIEEFLQSQNDLMPIRYSYREIKRMTKNFRDKLGEGGYGSVFKGKLRSGHFVAIKLLDENFIPKVSDFGLAKLYSVDDSIVSLTAARGTIGYIAPELVYKNIGGISYKADVYSFGMLLMEMVGRRKNLNRFAEHLSQRYFPSWIYGRFHQGEDIELGEATDVEKIIVKKMIITACWCIQVTPNNRPSMSKVLQMLETDVKLLQMPPKPFQLPFEISTEDHVDDNPSEDPTSSLLSSNEISLNIV >EOY12514 pep chromosome:Theobroma_cacao_20110822:7:2459679:2464910:1 gene:TCM_031007 transcript:EOY12514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1A/initiation factor IF2gamma family protein MPEISVIFYCLFLPEPADVQFLPISGLVGTNMKTRVDKSTCPWWNGPCPFEALDSAEVPLRDPKGPFRIPIIDKFKDMGTVVMGKVESVSVREGDSSLVMPNKDFMHGLRAQVKVLAVYCDENKVRCAGPGESLRVRLSGIEEEDILSGFILSSVVKPIAAAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVCRIQVNNLICIEKFSDFPQLGRFTLRTEGKTVAVGKVTDLSSSSGA >EOY13338 pep chromosome:Theobroma_cacao_20110822:7:7588609:7590410:1 gene:TCM_031879 transcript:EOY13338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 2, putative MHEIPSSVSSPVPNQLVIASTTLCNSSSNICEIPKFAGAWSDHASKTIISDWMLQCSSSFIQGLPVSSHYTGCMLGGILLAMYADSFLGRKKLLFVSWLIMSVTGILTAFSSNIWMYVIMRFFSGLGRGSLVTCTVVLLTVRVGRNWRGRVGILDYLFFSYGTLSLPVLAFSTRRCSWRVLYRCTGIPVFVYCVIAYHLVVESPRWLFMGGYTEEAITALRQMSQKTDWTLINLGQLPPLQRENTINFSLYLSAMFNGLTEMPLLLITFFLLKKCNRMSSLLSSSIIGGIGCILTWLVGTGQRVPQLMLELCSYFAICMAYGILLVYSIELFPTCVRNFATMAVGQAIVLGGVVCPVLISVAKENHFFSYGLFGCIVLFPGLFVLCLPETKGKSLYDSMEDQERNESMGLINLVNLTGSYV >EOY12295 pep chromosome:Theobroma_cacao_20110822:7:1596158:1600745:-1 gene:TCM_030839 transcript:EOY12295 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein with a domain of Uncharacterized protein function, putative MAILKASSPFFSGCSSSASFRKTRVSALISNPNVSVSKILNDEFHRRKGLKTFEATNPLVEIKPANKEEIFNSMVLREMYAIMDIVADRAEMHKNIGAQRDNWNWLLLNSVNGMTVTASIMAGIAAVGGGGGASFLALKLSSSLLYMAATGVLVVMNKIQPSQLAEEQRNASRLFKKLHEEMKTNIALGNPDSDDVKDAMEKVLALDKAYPLPLLGTMLDKFPSLVKPAVWWPEEKAQQEKLVRKVERNGWDEDLEEEMKEIVGVLKRKDTEEYIRLSKIVLKINKTLAICGPLLTGIAALGSALMGSSFCGSLAAILGVVFGAMAIVVNNLEHGGQIGMVFEMYRSSAGFFRLMGETIESTLGETEADERENGELFEVKVALQLGRSLSELRDLADASRPAHSSTHEDSPLRNLVIKVLDKIKEGRGPVISKTVAGTLFVVFISISYNAIEIQKRALEGGVINPTDEVLLANRILEASLMGFALFLALVTYRLHYHIKELNPARRRLEADEKAEPMVVEGSLKQEHSKKATASRTSDVRLKD >EOY14113 pep chromosome:Theobroma_cacao_20110822:7:20987140:20988965:1 gene:TCM_033373 transcript:EOY14113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MMHNPPFLVLSAIFFSSLVIQSVFGQKTAYGFSVELIHRDSPVSPFFNDSITSSELLRKNALHSMDRIKNIQFYIDQKATQSVVIPNGGTYLMKLSFGTPPVEYVAIADTGSDLTWIQCAPCPQSQCYSQGSSPFDPAASSTYRKLSCVSEACQALPRKSCLNTNECEYFYSYGDKSYTIGILSSDTLSFDSSSSPKTSFPTSIFGCGHNNQGNFRRPGAGLVGLGGGPLSLISQIGTQIDHRFSYCLVPRSATSSGKLVFGQEAIISRPGAVSTPLITKTPATFYYLNLEGISIGDKTAQAASSQGNIIIDSGTTLTILESNFYNSVETMVKGAIGAEPEQDPSGTFTLCYRAETKIPDMVFHFTGADLRLQPVNTFGVNDGLLCMLIVPSNTNSNSIFGNYAQINFQVEYDLQKRTVSFAPTDCTKQ >EOY12053 pep chromosome:Theobroma_cacao_20110822:7:741210:741956:-1 gene:TCM_030662 transcript:EOY12053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALKWLLSSAFTQVFGLAEGGSLQTKAVVGCPNVHGSVKGCKETDGGVACSIKISKEDYPNGFQMPVHYPRYSKSDYEQMEEWRVDMLLKEYGFSFQGSVDEKRAFAMGAFLWPDQL >EOY13410 pep chromosome:Theobroma_cacao_20110822:7:8087518:8099741:1 gene:TCM_031974 transcript:EOY13410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MGNTRFLLPFILVLLYHNFVTFVSMESLNITTDQLALLALKSHVTFDPQNLLATNWSSATSVCNWIGVNCGSQLLRVTALNLFGMSLVGTIPPHLGNLSFLSRLNIGNNSFHGSLPHQLANLHLLNFINFGNNSISGDIPAWFGSFVQLQSLFLHGNNFTGIIPSSLCYLPKLEILRLDKNNLQGQIPVEIGNLSALKTFYLDTNQLSGSIPPSIFNLSSLQIIDLSNNKLSGLIPSIPLNISSLQIIDFTTNALSGSLLSDMFDKLPNLQGFYLSENLLSGRIPTSLFKCKELTELSLSNNHFEGSLPMEIGNLTMLRKLQLGANNLRGQIPWQIGSLINLETLSLSENYLAGPIPSSIGNLTLLKNLDFSSNSLSGTLPLKIGNLQSLEILFLGNNSFTGNVPPSIFNISTARAIWLGLNRFSGQLPSTIGLGLPKLQGLYLGLNELSGPIPVSITNASQLIYLQLSNNSFSGSLPDNLGNLRYLQELDLGHNNFSSEPLSPELSFLSSLTNCKDLEVLIFDDNPLINGELPISVGNLSSSLTLFYGSHCNIKGNIPSEIGNLSKLLWLGLDHNNLTGTIPTTLGRLTELQDVNIGNNKLEGFIPSELCHLQRLTYLTLTGNRLSGPIPACLGDVVSLRNLFLGSNNFASIPSTLTRLDSILFLELSSNSLSGSLPIDIGKWKSVTNLNLSDNQFSGAIPSSIGDLIDLTHLSLSGNMLQGSIPQSFDDLISLEFLDLSRNNLSGTIPKSLEQLSHLKYLNVSFNRLQGEIPNGGSFVNYSSQSFMGNEALCGSPRFEVQPCKSDPSRRSKGTELLKYILPAVGLAILILAMVIICLRSRNRKAEVTTDQENMLPSTEWRRISYHELDQATDRFSESKLLGEGSFGSVYEGTLSNGMNIAVKVFKVNVDRALKSFDVECEVLRNIRHRNLVKIISSCSNIDFKALVLEFMPNGNLENWLYSHNLFLDISQRLNIMIDIASALKYLHHGHTPAVVHCDLKPNNVLLDKDMTAHLGDFGIAKLLGGEDLMKQTMTLATIGYMSPEYGSEGIVSTKGDVYSFGILLMETFTRKKPTNEMFTEEMSLKCWVKESLPSAVVNVVDNDLLNTGEIELLATKDCIFSILQLALECSAELPEERIDMEEVVARLEKIKVMFLKKVEQGGGDSRDNGVRSSG >EOY13687 pep chromosome:Theobroma_cacao_20110822:7:10132379:10134473:1 gene:TCM_032327 transcript:EOY13687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-beta-dioxygenase 1 MVMLAKPAIEQFSYIRNSRPTALFPQIPVVDLSKPDSKHQIIKACEEFGFFRVINHGVPMDFISRLESEATKFFSLPLCEKEKTGQPKPYGYGNKRIGPNGDVGWVEYLLLTTNQDPNLLRFLSGENPESFSLWFCYCRFALNNYMSAVKKMACEILEMIADGLKIQPRNVFSKLLMDEQSDSVFRLNHYPPCPDVQSLNGSNVIGFGEHTDPQIISVLRSNNTSGLQISLRDGSWISVPPDQYSFFINVGDSLQVMTNGRFESVKHRVMANGVKSRLSMIYFGGPPLSEKIAPLPSLTGGEQSLYKEFTWFEYKKSVYNSRLADNRLMHFARIAAS >EOY13148 pep chromosome:Theobroma_cacao_20110822:7:6210116:6212325:-1 gene:TCM_031673 transcript:EOY13148 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase A1F MAAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDSNIVIMLVGNKADLRHLRAVSTEDAKAFAERENTFFMETSALESMNVENAFTEVLSQIYRVVSRKALEVGDDPAALPKGQTINVGSKDDVSAIKKVGCCSA >EOY12708 pep chromosome:Theobroma_cacao_20110822:7:3596887:3597599:-1 gene:TCM_031234 transcript:EOY12708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MAAPSSSASGNASSSDFQDFLPVMANKLGGDGLIGELCNGFNLLMDSDKGVITFDSLKKNSALLGLQELTDDDLRSMMKEGDFDGDGALNQMEFCVLMFRLSPELMEASRFLFEEAFEQEFKDFH >EOY13920 pep chromosome:Theobroma_cacao_20110822:7:13488933:13490298:1 gene:TCM_032687 transcript:EOY13920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLDRQYSHSFFFCFISVCYSVFDSSNYCPCMSSLPPSHHHPLENLCKLRSHIETDLQSCGSCVQHRILTGQLPNDRPEIMFVEPQILTYYQNSIKV >EOY13814 pep chromosome:Theobroma_cacao_20110822:7:11111152:11127355:1 gene:TCM_032468 transcript:EOY13814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 71, subfamily B, polypeptide 36, putative MNGEVRIPVWLPFLLLFSSLFFLMKKIGAKRRRRSNQLPPGPPKLPIIGNLHQLGSLPHRSLQQLSKKYGPVMLLQLGSVPTLVVSSAKTAQQVLKTYDLDCCSRPLLAATGRLSYSYLDVAFTPYGNYWKEMRKICLLELLSVKRVQSFRFIREEEVALMIDSISQSSSSGNPVNLSHKSMSLNMEVICRVAFGNSFRDGGLDVYKFEGLVQEAFSIMGSFSASDFFPRVGWIIDRITGMHGRLERIFHDLDLFYQEVIDDHLSSERHKQEKDEDIIDVLLKIKKNQADSSAFQITHDHIKAILMNIFFAGVETGAAVVGWAMVELARNPRVMKKAQEEIRNYVGEKGKISESDIDQLQYLKLVVKEALRFHPPGAFLIPRESRSQFTINGYNVYPKTRIYVNIWAIGRDPESWENPGQFYPERFIDSPLDYKGHHFEFLPFGAGRRGCPGMNMGMFTVELALANLLYHFDWKLPNGMKEEDLNMEEAAGLSNHVKQALVLVPTKYQ >EOY13060 pep chromosome:Theobroma_cacao_20110822:7:5707482:5720264:-1 gene:TCM_031582 transcript:EOY13060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase 44 MDNRVVFVGLFLFAFGGVNGFPMNDLIEKLPGQPNVTFRQFSGYIDVDGKFGRSLFYYFVEAENDPMNRPLTIWLTGGPGCGSVGDGFLSVGPFITTANAHGLQRNLYSWIKVSNLLFIDSPVGAGWSYSNTSSDYEVGDDSTNNDLLAFILQWFEKYPNFKSRDLYLGGSSYAGHFIPNFANALLDYNKQSNNFKFNIKGLAVTKVSYEVDMCIPFRADFYFNILEVQKAFHGNRTNLGYQWKGCFEKSGLKFSDVDKDIDMLPALKKILQQSIPITIFSGDQDAIVPTVGTLNHVNKLAKDMNLNLTKDEAWNHGNKGGGWMYSYGDLLTLMTVKGANHHVTFSKPSEALFIFTNAVINRSH >EOY12665 pep chromosome:Theobroma_cacao_20110822:7:3306504:3308678:1 gene:TCM_031175 transcript:EOY12665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid developmental protein DAG MASLLNPSSLLLRPKPFLSTRLQPTPPLSLRVNSLTHHLPSTSARLPRSVKAASLDSDYSPKRSSSNEPRETIMLPGCDYNHWLIVMEFPKDPAPTREQMIETYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVSEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCKYPTYQPKPRKESKYVNRRYERRKDGPPAGQFRPKQAANQSESSS >EOY12593 pep chromosome:Theobroma_cacao_20110822:7:2951729:2953678:-1 gene:TCM_031109 transcript:EOY12593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-cadinene synthase isozyme A MTFSRVLVVIINSCDIEKKSCINQCMDQLPGYMKISYKALLDVYEEMEQLLEQGKQYRIEYAKKEAIQLAQAYLLEAKWTHENYKPTFEEYKSNALLTSGYGMPAITAFVGMGDVATQETFHWAVNNPKIIRASTIICRFMDNIAERKFNQRREDQCSVTECYMEQYGVSAQDAYDEFNKHIESSWKDVNKEFLKPTEMPTPVPQSLSQPCTVDGCPL >EOY13172 pep chromosome:Theobroma_cacao_20110822:7:6361241:6363116:-1 gene:TCM_031702 transcript:EOY13172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWQQKSKVDWIKFGDSNSKFYHGIVKGRQRRKKIVTLKRDDGSWCYDQEELKRMAIHYYLNLYTDDGISTQLPENLDWWLDVNVKEELSKPITLQVVKNALFDMNPNKSLSFDGFPTGFFRYEYWGRFGEVCTKGI >EOY13453 pep chromosome:Theobroma_cacao_20110822:7:8477718:8483212:1 gene:TCM_032028 transcript:EOY13453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNTQTTKRKQSDIRLQELSLIDALSKSVGCRFLNFSYFVKLWSSIHGVFLPQSLGWHPQIPFYLPVFWPQAALFWVFGMSLLP >EOY13210 pep chromosome:Theobroma_cacao_20110822:7:6530365:6530909:1 gene:TCM_031731 transcript:EOY13210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPITDLEIGTTHHHGKPASLTLQTFHGFLQFLLVLKLDTGATHEQTPLLLGRVHFLPFRVWNIDNCGGRGKHLDVGFGRVVDGGNGSVPGDGLGITTHSLL >EOY14279 pep chromosome:Theobroma_cacao_20110822:7:22921074:22942043:1 gene:TCM_033592 transcript:EOY14279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSIIVYVQRGSAHDSNGGERRGSTDDDNGGVQCERAHEDYSYGVGVHMKLIAMSGVGVHMICVEVYCCMHQTMLNILKVKDLEFARDEDRGDIYIEFSVTSSGTLSASPSAHLNCIVSIHFLSRARTEAQPMWL >EOY13681 pep chromosome:Theobroma_cacao_20110822:7:10095917:10096695:-1 gene:TCM_032322 transcript:EOY13681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a root meristem growth factor, Belongs to a family of functionally redundant ous peptides that are secreted, putative MTRVSRKHYLLVAFLLLCFISTTARAQSLPKEARETEKGHDDQVLTAATEDGASNVDELVAVDYTPARRKPPIHN >EOY14135 pep chromosome:Theobroma_cacao_20110822:7:21345164:21357723:1 gene:TCM_033413 transcript:EOY14135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MGNTRFILALMVVVLLPSFGVSSFMKSTINISTDQLALLALKARVNGDLLATNWSTATSICNWVGVTCGSRHHRVIALDLFGMNLSGTIPSDMGNLSFVAFLDIGNNSFHGSLPIELANLRRLKYLILSNNNFNGRIPSWLDSFSKLQNLSLNGNNFVGVIPSSLCFLSKLEFLKLNNNNLQGHIPVKIGNLRNLRFLYLHRNQLSGSIPSSVFNISSLLEIFLGENQLSGSIPSIPLKMSSLQTIYLSLNNLTGHISSDMFDRLPQLKKLGLSDNHLSNSIPMGLFNCRKLEILSFSYNDLEGTIPEEIGNLTMLKLLFLGGNNLKGGIPRQIGTLLNLDALGIERCHLIGPIPSIIGNLTLLKVLLFGENNLTGEIPQQIGNLTLLETLDLNYNKLTGKIPLEIGNLQKLEFLSLGSNSISGHIPPRIFNSSTVSVIALNSNHLSGSLPWSMGLWLPKLEELLIGDNELNGAIPTSISNASKLTRLGLSSNSFSGYIPIDLGNLRDLQGLNLYSNNLASTLSSQEMSFVSSLANCKALRFLAFGDNPLIDGELPIFIGNLSISLQLFDASGCKIGGNIPGEIGNLSNLIGLDIKNNELTGSIPTTIRRLEKLQGLYLDGNKLEGSIPYELCRLKSLGFLYLTANKLAGPIPACLGDLVSLRHLYLDSNKFANSIPSTFTRLIDILQLNLSSNFLSGFIPIDIGMWKVVTIIDFSENQLLSEIPSSIADLEDLTYLSLSGNRLQGSIPELFGRLTGLQFLDLSRNIFSGIIPKSLQRLLHLEYFNVSFNRLHGEIPNGGPFANYSIQSFMGNEMLCGAARLQLPPCTSNSTKHSRKATKLLEFILLPVSSTLLILAVIVFFFRSRKKRSKQKIDRENSIGLAEWRRITYQELHQATNGFCESKLLGVGSFGSVYQGALSDGLNVAIKVFNVEVEGSFKSFNVECEVLRYIRHRNLVKIISSCCNVDFKALVLEFMPNGSLKKWLYSHNYFLDMLHRLNIMIDVASALEYLHHDQTLPVAHCDLKPSNVLLDEDMVAHLGDFGIAKLLGEEDSTIQTITLATIGYMAPEFGTQGIVSMKGDVYSFGILLMETLTRKKPTDEMFIGDMRLRHWVNESIPSALTQVVDANLLIDKRERELFAIKDCASSVLQLALECSEELPKERIDMQNVVAKLKKIKIKFLKDSNIRA >EOY13563 pep chromosome:Theobroma_cacao_20110822:7:9235234:9238567:-1 gene:TCM_032160 transcript:EOY13563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase 2A MDDKTASKNISSEGDKLMEELIQTLPQEKDWVGSTLYQYQGFWYPFFAPKAVIAFQNHFKAHETDIFLITMPKSGTTWLKALIFSIANRKQFSLTQSPLLTTNPHELVPFIDINIYLKNRTPDLENGKFPSPRIFATHTPYGTLPSSILKSNCRIVYLCRNPLDQFISDWHFFVNNFPRNGDFKPFSIEEGFERFCKGTHAFGPFWEHVLGYWKMSLEHPEKVLFLKYEDLKKDIASNLKKVADFLSYPFSEEEIRQGVVEEISKLCSFETLKTLEVNMTGVRPVGLKNSAFFRNGKVGDWVNFISPLMADRMKQLCEEKLGDSGLRFDLLGTED >EOY12402 pep chromosome:Theobroma_cacao_20110822:7:1964734:1973022:-1 gene:TCM_030917 transcript:EOY12402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSFTIANLSELNSLSRLTALTLTVSTDQCFQENFVFPKLQSYNIGVNGYIEIPRKLISRILRIRDSSSLNAFKELFRNVERLSLENIMEHKTVVPNVDQWGLNELTSLQLTSCDDLECLIDTTREQSPATAFSNLVNLDIVAISVSNEWLYVVDLKFKKLGAAVITSIREYMERANSSSTLAQTLVHLEELWIKGSNSLEHLITEAENGDEIISNKDRSLLCWPKLKSLVIASCESLRYVFPITLAQGLPHLESVQIIDCPQLMHVFNMAKEEYGHDILLPRLQSLRLEYLLNFRNFCPRNYFVMLPSLKGFQACSIGLPRGSMTRFHIHEGLWSRSLLMDVPLQAVKELLQNVKYLTLNGVMYQKNLVPNVDQKGLNELNFLALKGGEELECLIDTTQGHVSTNTFFNLVELVIEEMNGLKMLCNGQFPKGFLQKLEKLTTRNCMEMVSLSPALQNLKEVEVINCSQLQEVFQIDEFLHDTKENQGLLLSNLTLMELNSLPELNWVWKGSTRFVSLQSLKFVEISCCNRLKHLFSPSLAQSLVLLQQLKIDHCDGLQHIITELVYDDGIETNAHLHFSFLPKLETLRISHCPILENIFQIPLAQVLPRLKSFWITDSPQLQQVFHGAKEKNGVDCAIALPCLQDLLLVNLINLSCFSSENFLISSPSLEKLEVWNCPKLANFNIQKEVNEKIQVESDQLHALKELLHNVKNLTLDGVKNQKNLIPNVDPKGLNELTFLTLKDGEELECLIDTTQGHVSSNAFSNLVELFIEQMTGLKMLCNGGFPKGFLQKLKTLEARNCMEMAFLSPALQNLEEVKVINCGQLQEVFQIDEFLHDTKENQGSLLSNLTLMELELLPELKWIWKGSTCFVSLQSLKFVEISYCNRLKHLFSPSLAQSLVLLEQLQIDHCDGLQHIITELDCDDEIETNTHLHSSFLPKLETLRISHCPILENIFQIPLAQVLPRLKSFWISNSPQLQQVFHVAKEKNGVDCAIALPSLQDLLLVNLINLSCFCSENFLISSPSLEKLGVWNCPKLTNFTIQKEVNEQVLLKELLLSKLGNSCQLRVSANCSQDYVAVGSHEEAFHVHGHIRELYLLDLSEVCIIWKDVTQVVTLKNLTILKVIDCKRLRCLFPLGSACCLPKLEKLTVKGNSKLEQLFELEDEVEVIPEKEIKFDQLKYLSLKDLPSLIDFCPRGYHFVLSALISLKVRECPKMTTGFFIDSEEYVHAKIETPQLVEQDTTEESVTDQNVVHTQTIDWYRQRPGDHLPPYKEEL >EOY12317 pep chromosome:Theobroma_cacao_20110822:7:1679269:1680569:-1 gene:TCM_030858 transcript:EOY12317 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein 4, putative MSSNIEDDTTSSNNHNPVLKFLDCSLRLSVVPLSAATICLTVTNKEDDSMYGEVKFSNVLGLKYMVCISAICAGYAFLAVVASCIGCLVTKAWLFFVSDQIIAYLVVTSGAAVMEIVFLAYNGDQKITWSEACGTYGKFCNGMKVALILHALVLCCFIVLAVISAYRVFSLFEPPFSSEDVQEERT >EOY13036 pep chromosome:Theobroma_cacao_20110822:7:5482950:5490900:1 gene:TCM_031545 transcript:EOY13036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2737 MSRGTEKLIKSVKQFADNQYKFFTTRYGQQVIDILEFPIKVVLSPFTLAFDIAGSAPRGFGIPEFISKLSYTSVFAIAALGTYDIALELGKKVICQRNCRTCNGWMALRCTMCKGSGKVHYQVKNYTLRSGEKATAECVADAIADNRAELVHLPSSIDLNTPLPSKDCPTCDGTGVMHCPECKHKLQVRISADDIMEPPWKAYNILRKMDYPYEHIVHSMKDPSIAAFWLLTLPQIVGGFDYDDDVKQKIWWQYKESMRYDQLRDVVAKRNPGWEHLQEALIAIDPVRARDDPVVVKNIPYYKAKKALEAEVMKLDPPPRPQNWGKLDLPLNASSWSEDDLKDPAKLYEMTVLLNAQREIADKLLDTQWETKWRQEKLNEMLEEKVRPYIQNIDNSLLPQPIVIQSQNRNQKRARRRRWLFF >EOY13602 pep chromosome:Theobroma_cacao_20110822:7:9466974:9473401:1 gene:TCM_032213 transcript:EOY13602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVDDSFYTRVLPMFFSVGASSLVPCKSHEWLGIGCFHNSSSFQNVKLKSKLLQIVLLAVLNGTELMEAVIMIYLLRRSKPMWQDSVKKRLCFNSCDSAKIVADALISDFNEVLEAGVRRGMLIGISIKFITVCYWILSCVQVMRPNQDDKKLLTFLGMYTEFCFGFVGIICVFITATATTAAATFPFFS >EOY13840 pep chromosome:Theobroma_cacao_20110822:7:11316394:11324767:1 gene:TCM_032498 transcript:EOY13840 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase MTCFSFLFRRRLDSSTNQSFEVEEEFDIHNVKLYTYKELKSATEDFSLANKIGEGGFGSVYKGRLKNGNLAAIKVLSAESRQGVKEFMTEIKVISEVEHENLVKLYGCCVEDNHRILVYNYLENNSLSQTLLGSGRSNIQFNWKTRSKICIGIARGLAFLHDEVKPYIVHRDIKASNILLDKDLTPKISDFGLAKLIPANMTHVSTRVAGTIGYLAPEYAIRGQLTRKADIYGFGVLLIEIVSGRCNTNTQLPVGEQYLLEQTWDLYERRELVGLVDVSLNSDFDPEEACRFLKIGLLCTQDTPKLRPSMSSVVMMLTGQKVVDEKKITKPGLISDFMDLKVRSSEKTKSESKHTSSYNATSSSDYLDNSTLSSGTSTSATMTFNPPYDQSV >EOY13501 pep chromosome:Theobroma_cacao_20110822:7:8932405:8933936:1 gene:TCM_032106 transcript:EOY13501 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-repeat protein, putative MSSSSHPIVLTSSPDGPITAFDSASGIPLCHFSGSRSPCHGLVLVGSSFIAASHVSSETASGSIHLYNWWSSTALHNFPVPEPVAPLAASPDGLYLFAGGLSGCIYALSVPSGDILRSYSAHSKPVSCLRIGEDGSLLISGGDDGTISFVPIFQLVEASPDQDSRDLMMQGFVAHDGSVTEIAQCNSSIISCSMDCTIKFWGQLDGTNLRTVTFPCAVMGVALDQMKREFFAAGSDGFIYKGSLNVGSKKHVNRGREFITWPQKHDGGIVSLVMTSEGKNLVSASEDGQVYIWEIETGQVIMGLGNDMGSISDMVVANGMGQGLKVGKRANNSCDEYGGLNGVELSRSLKDTLDLEDVLKVAAKDRTRAIDMLESAISMYERLLELILKEAKRGPGSNSEIEKHGL >EOY13143 pep chromosome:Theobroma_cacao_20110822:7:6153420:6157661:1 gene:TCM_031665 transcript:EOY13143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring finger protein, putative isoform 1 MGQRNMICPSQMIDLEMDQRGQGYLHHEPCIFLGGITNYPPPDIQMAVTAPGNTTSLDTRPLPEHYDSSMFYGFPQYTGVQHHHHSPNLDLGIGSTSNFYIPYVTTPSSSVPVNHGPTDQMPSSGNYGLLGVSVDEYGRNCHFMDNVRGSYKRKNSEGIPGNFQHFNAPSSSNSSITQLNNRHPDGVGAVDTASFAFPQYRGNGPPPVREAGSQRSVRNRLGATAMDPVLMHGANHFFQGNYMGQPFQPTITDGGASAWTQAPGVPYMHGSNVGGPMESRHRSSTSFSHSSPLDIRNHNFHHPAPPIEGVRGHSINVHPQVAAVPYCFPASYGSQSTMNASQDGLEMGRRHLGPIPPTGFRIYHSRREGGVVPETTLRHRNLPHLRVLPPDGVAVLEFPEFYEEVGNLIDHHRDMRLDIEDMSYEELLALGERIGNVNTGLPEEAITSKLKTRTYSTFAININLEEAAPIDQETDSCIICQEDYKNQEKIGTLDCGHEYHADCLKKWLFVKNVCPICKSEALATGSKDV >EOY13142 pep chromosome:Theobroma_cacao_20110822:7:6153515:6157715:1 gene:TCM_031665 transcript:EOY13142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring finger protein, putative isoform 1 MGQRNMICPSQMIDLEMDQRGQGYLHHEPCIFLGGITNYPPPDIQMAVTAPGNTTSLDTRPLPEHYDSSMFYGFPQYTGVQHHHHSPNLDLGIGSTSNFYIPYVTTPSSSVPVNHGPTDQMPSSGNYGLLGVSVDEYGRNCHFMDNVRGSYKRKNSEGIPGNFQHFNAPSSSNSSITQLNNRHPDGVGAVDTASFAFPQYRGNGPPPVREAGSQRSVRNRLGATAMDPVLMHGANHFFQGNYMGQPFQPTITDGGASAWTQAPGVPYMHGSNVGGPMESRHRSSTSFSHSSPLDIRNHNFHHPAPPIEGVRGHSINVHPQVAAVPYCFPASYGSQSTMNASQDGLEMGRRHLGPIPPTGFRIYHSRREGGVVPETTLRHRNLPHLRVLPPDGVAVLEFPEFYEEVGNLIDHHRDMRLDIEDMSYEELLALGERIGNVNTGLPEEAITSKLKTRTYSTFAININLEEAAPIDQETDSCIICQEDYKNQEKIGTLDCGHEYHADCLKKWLFVKNVCPICKSEALATGSKDV >EOY12646 pep chromosome:Theobroma_cacao_20110822:7:3141485:3145085:1 gene:TCM_031141 transcript:EOY12646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-cadinene synthase isozyme A MASQVSQVLASTHNAISSNTENRPKADFHPGIWGDVFLNCPDKDIDATTELQYEELKEEVRRTLLAPMDDSNQKLPLIDAVQRLGVNYHFEKEIEDALEQIYHDDNDANDLYTTALRFRILREHGFDVSCDAFNKFKDDKGNFKSSLTSDVRGLLELYEASYLRVHGEDLLDDAISFATTHLTLVAPTLDYPLSEQVTHALKQSIRRGLPRVEARQYISLYQDDESHNKALLQFAKIDFNLLQLLHRKELSEICWWWKDLDFTKKLPFARDRVVEGYFWIMGVYFEPQYSLGRRMLTKVIAMASIVDDTYDSYGTYDELILYTDAIERWDIECIDQLPDYMKISYKALLDVYEEMEQLLEQVNKYRVEYAKKAMIRLAQAYLLEAKWMHENYKPTFEEYKSNALPTSGYAMLAITAFVGMGDVVTQETFNWAANDPNIIRASTIICRFMDDIAEHKHYYPEFKPSRGRAAYLPSIRVSNTTRNVLYGWQFNQKREDDCSAIECYMEQYGVSAQEAYDEFNKHIESSWKDINKEFLKPTEMPVRVLNRSLNLARVMDVLYRDGDGYTHVGKAAKGGITSLLIDPVPL >EOY13469 pep chromosome:Theobroma_cacao_20110822:7:8629033:8630530:1 gene:TCM_032053 transcript:EOY13469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MKISQLTSNIKSAFLNGTIDEDIFVGQPEGYIESGMEDKVCKLVKALYGLKQAPRASYERMDTYLQGLGFNRSNNKHTLYVKSSDKGIQLIISLYVDGMLITSPSGKVVDECKAKMQTEFEMSDLGKMSYFLGMIVDQGLDYTLLRQKKYAYDLLNKCRMESCKSVATPSAFGTKLCRDNGSAKINETYYRRLIRSLLYLSSTRPDIMYFTSLVSRFMHRPSKIHLCAAKRVLRYVKGTVSFGLKFYKNGKQKLLSYCDSDWGGSLEDLKSTGGYCFSFGSVVFAWNSKKQDIVAQSCAEAEYVATASAANRAIWLRKILQDLKFSCEEPTTLCIDNKFAISIAKNPVDHGRTKHIRIKFHALREVVKKR >EOY14224 pep chromosome:Theobroma_cacao_20110822:7:22330258:22331017:1 gene:TCM_033515 transcript:EOY14224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKKMKKIGKVKEEKGRETQGRSQKLEWKKQKKKRWKDLRKDKMTKVPFLKRLEDNSHPIRVSHVNQLNC >EOY14138 pep chromosome:Theobroma_cacao_20110822:7:21450953:21460907:1 gene:TCM_033423 transcript:EOY14138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPRRGRPPLTRLDGRGRGRSQRHQPDTVEEESAASTIRAAPAAEQADSPPHPPSPQPPTGIPAMPTEAAQALAAFFAAMAGQAQTGQVPPVVPPATPLVPPPIQDVSISKKLKEARQLGCVSFTGELDATVAKDWINQVSETLSDMGLDDDMKLMTWSDFLREFDGQYFTYFHQKEKKREFLSLKQGNLTVEEYETRFNELMLYVPNLVKSEQDQASYFEEGLRNEIRERMTVIGREPHKEVVQMALRAEKLATENRRIRTEFAKRRNPGMSSSQSVKRGKDSATSGSTTSVSVTSPRPPFPPSQQRPSRFSRSAMTGSGKSLGGSDRCRNYGNYHSGLCRGPTRCFQCGQTGHIRSNCPQLGRATVAASSPPARTDIQRRDSSGLPPRQGGAIRSGVESNTPSHPPSRPQTRTATRVFAVTEDEALVRPGAVTAVVFALKIWRHYLYGERCRIFSDHKSLKYLLTQKELNLRQRRWLELIKDYDLVIDYHPGKENVVADALSRKSSSSLATLQSSYFSMLLEMKSLGIQLNNGEDGTLLASFVVRPSLLNQIRELQKSDDWLKQEVQKLQDGEASEFRLNDDGIFMLRDRICVPKDDQLRRAILEEAHSSAYALHPGSTKMYRTIKESYWWPGMKRDIAEFVAKCLTCQQIKAEHQKPSGTLQPLLIPEWKWEHVTMDFVLGLPRTQSGKDAIWVIVDRLTKSAHFLAIHSTYSIERLARLYIDEIVRLHGVPVSIVSDRDPRFTSRFWPKFHEALGTKLRFSTAFHPQTDGQSERTIQTLEDMLRACVIDFIGSWDRHLPLVQYRL >EOY14068 pep chromosome:Theobroma_cacao_20110822:7:19843007:19848791:1 gene:TCM_033251 transcript:EOY14068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLNYVLIDLHLSIDCSNLSIDCALALFLFLHVWPFIDRFMNLSIVSRPLCFIELSSGHLLIDEAIY >EOY12403 pep chromosome:Theobroma_cacao_20110822:7:1968436:2060164:-1 gene:TCM_030919 transcript:EOY12403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance RPH8A-like protein MYHKNLVPNVDPKGLNELTFLALKDGKELECLIDTTEGHVSTNAFFNLVQLVIQEMTGFKMLCNGRIPKGFLQKLEKLEATNCMEMVSLSPMLQNLKEVKVINCGQLQEVFQTDEILHDTKENQGPLLSNLTLMELHSLPELKLIWKGSTHFVSLQSLMFVEISYCNRLKYLFSPFLAQSLVLLEQLKIDHCNGLQHIITELEFDDEIESEDHPYSPLLPKLETLTISDCPKLEYVFQTPLAQVLPRLKFVWISNSPQLQQLFNVTKEENGVGRAIVLPCLQDLRLGNLINLSWK >EOY14028 pep chromosome:Theobroma_cacao_20110822:7:18147698:18157832:1 gene:TCM_033100 transcript:EOY14028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLNLIFLSFFVKIDRNFMFTFGNVKWKLTIDKKNLFNVTHTVRPCQARLKDAYRAGCFVIFYPMSALIGMICGEV >EOY13889 pep chromosome:Theobroma_cacao_20110822:7:12587458:12603603:1 gene:TCM_032609 transcript:EOY13889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGQVIKINSSTSSLERFDYAKVLIIMEEHINIPKWQEVIINGARFVIKIFMAKESHFSDVCAKMIASCSDSKTLVLHDGLASAVAEPYGSRVERTFTMGNLNFDRLKLGLSPIEQSGEDPTREKSKAILVFQRSPTEESTMPEGLVDIGCKNKALLNQWLCHFGNEPKGFWRNVVADKSSININSLVLRIGNKAKASNIWKSIVKPTIGGYDFGDFVIGGMGLSLGNSNRINFWNEQWIEGFILMKSFPRVFALTIKTEVNPKRVTKVTKREEWVKPNKGYCKFNIDEAYRGNLGESDILGILRNDQKDTLTLFSKAIGQSSSNMVEFLDVNEVVLIYTATLD >EOY13454 pep chromosome:Theobroma_cacao_20110822:7:8499071:8502066:-1 gene:TCM_032031 transcript:EOY13454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 27 MKLELAKTLLIFFAIDFSNNRFSGKIPGVIGGLCLLRLLNLSHNILTGHIPSSLGNLVALESLDLSSNKLGGRIPSQMTNLTFLEVLNVSENNLAGPIPRGKQFDTFDNDSYRGNMGLCGLPLSKQCSNDERSKFPAPKFMEDEGSAIAFIWKLALMGYGCGVVLGLSTGYVVFTTGRPWWLWPFELNPTEDAPLLL >EOY13414 pep chromosome:Theobroma_cacao_20110822:7:8163728:8168522:1 gene:TCM_031982 transcript:EOY13414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MRRGCSSSSTCVYGVTYSNTTFSVGFMAKETLTITRSDVFQLFIFGCGQRNNFPNGGSAAGVLGLGRGWFSLVSQTANKYHKVFSYCLPPSDRSTGYLRFGDKNLPSSVQFTPMSNSFQGTHYYGLDIVDIGVGGERLSIDRSVFTTSGAVIDSASLITRLPPAAYSRVRRAFEVRMTKYPRAPAFESLGTCFDFSGNTSVVMPTISLYFEGGLEMPIDARGILYVNKISQACLAFAKNSDDSDVMILGNFQQKGYEVVYDDANGRVGFAPVINVKSSLAIVNKHGPCSQLNQDKPNAPSHSEILRQDQARADSIHSMLSLSSTEKTSLQDKPGISIGTGKYQVTMGFGSPKTELSLVFDTASQLTWTQCQPCSGYCYDQKEPIFDPSKSSSYTNIQCSSGTCKQISSEGMREGCSSSSSCVYGVTYSNTTFSVGFMAKETLTITSSDVFQEFLFGCGQRNRFPNGGSAAGVLGLGRGWFSLVSQTANKYHKVFSYCLPPSDRSTGYLRFGDKNLPSSVQFTPMSSSFQGTHYYGLDIVDIGVGGERLSIDRSVFTTSGTVIDSASLITRLPPAAYSRVRRAFEVRMTKYPRAPAFESLGTCFDFSGNTSVVMPTISLYFDGGLEMPIDARGILYVNKISQACLAFAKNGDDSDVMILGNFQQKGYEVVYDDANGRVGFAPGRCG >EOY13404 pep chromosome:Theobroma_cacao_20110822:7:8018546:8019726:1 gene:TCM_031964 transcript:EOY13404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MEKCKSVATPMVVNDKFNDANSYKLIDPSIYRSLIGSLLYARGSRPDIMFLGTLDLGLHFKYLNEVKLYGYSDSDYAGDVKDFKSTSGYVFFLRSAAFSWNTKKQQVVAQYTAKAEYIAAAATINQGVWLRKLLLDLKFNQQEATELFLDSKSAIAIGKNPVNHGRTKHINVKFHAVREAEKLKEVKFSYCNSNKQVADIFTTALPKERFEDLRLMLVVCKASPKEDC >EOY13169 pep chromosome:Theobroma_cacao_20110822:7:6331100:6662738:1 gene:TCM_031698 transcript:EOY13169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein MCYITLGTNKCLLPELRHYPNLAVVQANISEGYEPLSYLLGKEYFHHSYGPIYALSADVVASLVALRNNSFRMFSNEDVTIGAWMLAMNVNHEDNRALCEPDCTPSSIAVWDIPKCSGLRNPETKLLELHQKDACSNSPTLPSDDD >EOY11882 pep chromosome:Theobroma_cacao_20110822:7:195649:196373:-1 gene:TCM_030538 transcript:EOY11882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein / oleosin, putative MAEYHQQQGETHNVSGRSMVCTSLAGVAVTAPLLGMMGFSFMATLVLLVISSPLLFIFSPLLLCVGLVFAGALAGFAVAATMALAGVSTLAWMYREIGGSAGVGCGMTGRLAEFGDRVKEQGKDWAGYLQQNSPDAYSQ >EOY13180 pep chromosome:Theobroma_cacao_20110822:7:6410138:6417265:1 gene:TCM_031707 transcript:EOY13180 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MFCFSLFRYPSPLITELKPHFKTQFFPLNFSRNQLFTNFFTTRISGYPIKSPACSSSSLEDEYDVELGRLLALLPEEMRSRISEHEQLRELIEVVMDLGRKPLARFPSGDFVLSDCPITVQDIEHATTQVGDFAIDNRAGISRTLHRISAIRNRKGTIIGLTCRVGRAISGSADLLRDLVQDGASLLLIGPPGVGKTTIIRDIARMLANEYKKRVMIVDTSNEIGGDGDIPHAGIGNARRMQVPDSDMQHKVLIEAVENHMPQVIVIDEIGTKLEAMAASTIAQRGIQLVATAHGVTIENLIMNPSLEILIGGIQSVTLGDEEANRRGVQKTVLERKGPSTFSCGVEIVSKTELRVHRCLEATVDAVLSGHFPCVEIRKMNSQGLEVTSQTSTDISSDKKDVVVARDLLEISDGKIGNNQLPPELSSNMAEDSLEDWALLRLYVYGLF >EOY13179 pep chromosome:Theobroma_cacao_20110822:7:6408271:6417265:1 gene:TCM_031707 transcript:EOY13179 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MFCFSLFRYPSPLITELKPHFKTQFFPLNFSRNQLFTNFFTTRISGYPIKSPACSSSSLEDEYDVELGRLLALLPEEMRSRISEHEQLRELIEVVMDLGRKPLARFPSGDFVLSDCPITVQDIEHATTQVGDFAIDNRAGISRTLHRISAIRNRKGTIIGLTCRVGRAISGSADLLRDLVQDGASLLLIGPPGVGKTTIIRDIARMLANEYKKRVMIVDTSNEIGGDGDIPHAGIGNARRMQVPDSDMQHKVLIEAVENHMPQVIVIDEIGTKLEAMAASTIAQRGIQLVATAHGVTIENLIMNPSLEILIGGIQSVTLGDEEANRRGVQKTVLERKGPSTFSCGVEIVSKTELRVHRCLEATVDAVLSGHFPCVEIRKMNSQGLEVTSQTSTDISSDKKDVVVARDLLEISDGKIGNNQLPPELSSNMAEDSLEDWALLRLYVYGIPEASVIQGLKKLKMDAAVQLTDNISEANAILALLSKLRKNSGIQAAARSHHIPVYVAKTSSLMQITKAIEALKDGYPYRLKNPGSEDEMNILEKVDALEEARIAIEQVVIPKGEPVELLSRPPHIMSLQVELIQKYQLQSEKVVKGSKVRLRVLPFQITTSEDVENSSENIDENEGDNFGSYGANGSPRSVDRLPLLPD >EOY12065 pep chromosome:Theobroma_cacao_20110822:7:756452:759447:-1 gene:TCM_030667 transcript:EOY12065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAGIAILLDLYKKSPSFCPPQSFHSTGFFSASAAAASAAATVAAGAPFASRFLFGHPKVSHCDAAGALPEDYISSILRASEDIFKNDAIKYSVKEYKIELKPLFSAFEFRPFALTTLRSFLMFYLPLLEPAMDTEEDDDDFLQDTPEERHVDLVVPFKKSVKQIIRETTVVTTRRVLERLAVLYVSQRMAWKLLKDVPKSAARKSQRGMLTTVYFFRVSRTTLRGHFLGVAAAWLVQSGIEIYRCFSRITNSEETDGVNITEQAKLLGKKISGITIRCGASLIFASIGAGIGATFIRPSMGQWIGCAVGDLAGPIIVSVCLEKALHVDL >EOY12064 pep chromosome:Theobroma_cacao_20110822:7:756428:759426:-1 gene:TCM_030667 transcript:EOY12064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAGIAILLDLYKKSPSFCPPQSFHSTGFFSASAAAASAAATVAAGAPFASRFLFGHPKVSHCDAAGALPEDYISSILRASEDIFKNDAIKYSVKEYKIELKPLFSAFEFRPFALTTLRSFLMFYLPLLEPAMDTEEDDDDFLQDTPEERHVDLVVPFKKSVKQIIRETTVVTTRRVLERLAVLYVSQRMAWKLLKDVPKSAARKSQRGMLTTVYFFRVSRTTLRGHFLGVAAAWLVQSGIEIYRCFSRITNSEETDGVNITEQAKLLGKKISGITIRCGASLIFASIGAGIGATFIRPSMGQWIGCAVGDLAGPIIVSVCLEKALHVDL >EOY12874 pep chromosome:Theobroma_cacao_20110822:7:4540615:4542444:-1 gene:TCM_031380 transcript:EOY12874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum induced protein with YGL and LRDR motifs MLAIFHKACANPPEELNSPASHKSSKKPKLPEETLNEFHSHHPHNTFSMSFGNAAVLAYVRPESPYLVHQRLFCGFDDIYCLFLGSLNNLCTLNRQYGLSKGSNEAMFVIEAYRTLRDRGPYPADQVVKDLDGSFAFVIYDSKAGTVFAALGSDGGVKLYWGIAADGSVVISDDLEVIKEGCAKSFAPFPTGFMFHSEGGLMSFEHPMNKIRAMPRTDSEGVICGANFKVDVYARVNSIPRVGSETNWTHWDSH >EOY12094 pep chromosome:Theobroma_cacao_20110822:7:924927:926753:1 gene:TCM_030695 transcript:EOY12094 gene_biotype:protein_coding transcript_biotype:protein_coding description:CM0545.410.nc-like protein MCCLLRPFQLLYLLLFLLNFQPNLSSSSSSSSITQLCSPEEAAALIQFKTSFSIDESSSWWCDYYSKISYPKTNSWKEGLDCCSWDGITCDNVNGQVIGLDLSCSWLYGSIASNSSLFHLRHLQKLNLAFNYFNLSKMSSKFGQFASLEYLNLSEAYFTGQVPSQVSHLSKLVSLDLSGNYIQTLDKHTLKGLVENLTKVRQLVLDDINMSSISSNALMNLSSSLRTLSLVGCGLIGKIPENIFHFSQLRFLGLPYNYFSGQIPSSLTNLRHLEFLYISNNQLEGSIPNEVTAFPNLISLDLSFNLLNGTLPSWLYTVSTLKHIALENNKLSGDIKEFQYNSLEEISFGNNKLKGPIPSSISHLVNLTFVDLSNLIGIVEVDMFSKLQNLQVLDLSYNSLSLSSNGVSANYTLPNLQGLYLSSCNVSEFPQFLRGSESLKRLDLSNNRISGKIPKWMWDVGKDSLWNLNLSHNSLTDFEQIPWKEIEYLDLSSNFIHGDLSIPPSTTMVFLISNNSLSGEIPSLLCNANNLEYLDLSHNNLSGIILQCFGN >EOY12377 pep chromosome:Theobroma_cacao_20110822:7:1857971:1859054:-1 gene:TCM_030896 transcript:EOY12377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase nepenthesin-1 precursor, putative MAAIPFFFKAMLFLCLIAFYQVSFATSKPTGLSMKLIRKDSLYPGNLTKIERIKRLLQVSEFRAQCLDSVLSPNATADLDTVRVPIGRVPDNHLYVVELKIGSRLHLVKLLMDTGSGLIRTQCRPCKKSFRQRLPMYDSQASTGYHKLPCTHPLCQGVASFESFQFPVDNVHARVIHDMVFGCSKDNQNLYFSNGEISGILGLSSAPNSLANQLASKGISTRRFSYCLVPFTDALVRPSVLRFGDDIPRPVGNLQTTQILRNGFYHYHLESFWISATTWYLQS >EOY14324 pep chromosome:Theobroma_cacao_20110822:7:24045855:24050518:1 gene:TCM_033704 transcript:EOY14324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRCLIRNNPKTWDLVIPQAEFAYNNSVIQSTKKTPFEDAYGLKPQRVLDLVPLPQEARVSDARELFADQIRKIHDEVKAALKASNAAYSLAANQHRRSPIFNISDLYLFDGFDGIASTIDDQVQYLSISKAEVIEEVLDVKEVRSR >EOY12775 pep chromosome:Theobroma_cacao_20110822:7:4114051:4114515:1 gene:TCM_031302 transcript:EOY12775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFEMMLTKKACVSIIVTMGSAIMLKDHKIKSGPSPLKPKLTVIGSSAAQEKLISGVFYGLKKFTRARSEGHQGAEDSLRMVVYLSTWGPY >EOY13919 pep chromosome:Theobroma_cacao_20110822:7:13363545:13392995:1 gene:TCM_032678 transcript:EOY13919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFLVQRRGWIEGKNFLFTLTENYRAKALYAEAMSVCCKDMESLVKLFSITNNSLTTVLRTFIFRLSSWIKSREMENNVSTNGVDEIQHNSSEEGSLEDIAKGIHSKLNLLKNSPPPLTRDCFIFYVPNKLREENSHFYCSRSVVIGPVGLYRSVGQDMEKQKGRYLASFLERVEESSSLTLNDFCKLINDDLAKIRGCFERTCCREFHSPDQARQTLQVNESDSWRLIEMLLLDSGFIIELFLRAYSKEWRSQNDFVFGKSGMFLLDLRHDLILVQNQLPFFLLRNIYGLAFSSNPKFPSFIDLTYHFFSHYYNQNISIEDILSPNNREYVDYRSMLEGPKHFLDLVRTFQLPPHLFQMQNNNEESLRCKWIHRKVKSLMDLIRSSLQRYSSRKPGENKPELNLEEGNVQGEYLYSAILLLEAGVKFKVSSNKCLLDIEFIESKGELKIPPFSVDDSTELFYRNLMFWEQCYYPQDTYICNYIFLMEYLVKSAEDVDLLVRRRIIINQLGSHKAVVSLFNKLCKHIKVNKNHYSELFKKLNAYNAVRHHSWIAILKLQYFSSLWRGVATVAAVILLVLTLIQTICAVISL >EOY13125 pep chromosome:Theobroma_cacao_20110822:7:6065663:6067498:1 gene:TCM_031651 transcript:EOY13125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine repetitive matrix protein 2, putative MENIDHDICESSSGRAEKVEALDLLEESWFFENLFNRRRMLRCYSDSCTSSNFGQEVLAKDSCSQSSAPRKKLQDEGSALCSLIRAPSSPPCVGREEKVQERKSNGGRSKLNRQLSLQASKTTCTEKTQEIQEKKTDSKSKLNGQSSQSTLLRAPSLPSSIGWKELTQHNDSDIRMSKLIRQALANSSDISPPRHSPKSMSQSCSTQRCRPPRNLEVETFNNSYGVQEIRRPYTNQKTLQRSLSDLAFEELQGFKDLGFTFDKEDLSPSVVNILPGLQENKIEDLKQDKVRRPYLSEAWLAQSRGPPIPNCVSKDSADDMKAQIKFWARAVATNVRQ >EOY13028 pep chromosome:Theobroma_cacao_20110822:7:5430403:5437666:-1 gene:TCM_031536 transcript:EOY13028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein MKYCLNDKKDVSKPIGFRFKSHHNFGHAYKNYRMESLLSVNQDKLNERRALYLWHKLLLGCNFSQSFTVAGCMRGISNPLVWQNALEELRGYIRNIKCMEDKVFACLKFSYNRLEQKDQDCFLYCALFPEDYKIKKEEIVEYWMEEGLIDELGTRQAMQYSGHSILQKLEENCMLERVRAGTHIKMHDVVRDMALHITRKRFLVKAGKQLEELPDEEEWGEDLEKISLMWNCISKIPQNMISPKCQKLATLLLSSNSLIEIQESFFENMPNLKILDLSSNGFLRYIPNSISNLENLATLLLQSCHNLENVPSLSKFQALKKLNLELTGISKIPQGLEMLINLRYLNLGFTFKLKVIPDGILSKLHRLQHFIIHPASLRAEEMKTLNKLEVIVVCFNDVHDLSMYAHQRKRLNKYHIWVSHKLIDHWSTTHIDPIILPSDIRQLQLYHCKGRGSTLNDVFRTDLKECTIESCHEWEPIFTSWCASLQTLEVLQLSGLWNLKVKFGESIPQTPVTFSSLKVIYLTSCGKLKNLLPAKWVLQNLQNLEEIEVRNCERMEEIIASEKEGMSTKNNVMFTLPKLRKLKLYNLPELKSICKTNEVMACDSLQGIEIMYCPKLKRIPIHPPCLSLTIVNHLLLLISKKSVYIQRNAGNQWSGTIPMLRMSFYLCSSSGMPAKRDG >EOY12356 pep chromosome:Theobroma_cacao_20110822:7:1795322:1797825:1 gene:TCM_030883 transcript:EOY12356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease L-PSP family protein MAWCSLRSIHVPTVDLGVLGTRSPLAAAGGVVAGSSLWRSSSRKGFPFACLSLSTSPGIKEAVATDKAPAALGPYSQAIKANNLLFVSGVLGLIPETGKFISASVEDQTEQVLKNMGEILKASGADYSSVVKTTIMLADLKDFKKVNEIYAKYFPSPAPARSTYQVAALPLDAKIEIECIAALKA >EOY11989 pep chromosome:Theobroma_cacao_20110822:7:549043:549507:1 gene:TCM_030614 transcript:EOY11989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTDSLVEDLPLMTDERKIQDDLEEKLPKPCLARALETPEEHPNGTSGHKINSMSVFLQHVASFDQGNNGITYPWETTEIIYTKLSMGVIQEHMAQKDRFELLRSKLRVLKADPMDV >EOY12144 pep chromosome:Theobroma_cacao_20110822:7:1122289:1124160:-1 gene:TCM_030734 transcript:EOY12144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein, putative MQAAWVSLKENVNCVCKLTDVVSRAENYCRRKACDSRHESLEKELVHQLRNPFCEALFRPNYPKTQFHELNIGDPSRNIIELIFKRASVDPSKPPWKIKRVLRVKNSMDALESFEEYREKVMRKAYEQHKRHPRSVVDGNELLLFYGTTMACCSRKLKQVSELCKDPSCRACRIIHSRFDMEFTRNNGIRLSTSSEEVSDGMVSFKLKNLKRAVIVCRVIAGRISNTIDGVYEECDSIGREGPQSNLEYLIVQNPCAVLPCFLIVFN >EOY12844 pep chromosome:Theobroma_cacao_20110822:7:4391055:4393305:-1 gene:TCM_031358 transcript:EOY12844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKIAEETLEFRLSRSEEFPTTTRKLKQKPAIRGCYRTCPEKMSEQAMIPYYLPEEIFLQIFRNLPVKSLGKCMCVCKAWNCLIKNPSFISTHLNKQLEKSSRNNSDNLFLVMSRDPGNEFKMRYFLQFDDQEFNPPKYDFYSNDFMVFVNGVVHLLAVQRVNDHGRSRCKLLLLGFDMADEVFKEIMLPESLSNAVNRSQSRLYVLSHGESSSIAVIESCVRGNCSIWIMKKYSVVETWTKMFSFEKIENRCFPRILGFRKNGGLLLRTSEVSQQLKISNEIENLEIHGRFSYIFSYVESLVLLDQVIDARGENGAKNVSKASNSIKGATNDFTKSAAGDEATDDLSDH >EOY13662 pep chromosome:Theobroma_cacao_20110822:7:9975024:9975775:-1 gene:TCM_032299 transcript:EOY13662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor B-4b, putative MAHLVREKPRLIDRSNVMEEIEVPEIDAALLMSLLEESHCEEYCNEEQVNSLMESLEAEIRMANHDSCYEVDMKSNDGFDCFEWTEMEMVPSSPSDDMNWYMEDHVEEMDGMVEFGNGFPHNYYEIPLEHGYSSLWQETYDTAIYN >EOY13677 pep chromosome:Theobroma_cacao_20110822:7:10079366:10081330:1 gene:TCM_032320 transcript:EOY13677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme-binding protein, putative MAAFNTFKLSLVLSLLSNIGLLPETSKNTVGIFPPTCNRIECPSFDLIQVGNGYEIRRYNSTVWMSTSPIQDISLVDATRTGFLQLFNYIQGKNKYEQQVEMTAPVLTEVLPSDGPFCESSLTVSFYVPKVNQANPPPAEGLHVQRWKSTYVAVRQFSGFVTDYNVAEEAAALQASLEGTAWSAAIEKTLKANATSVYTVAQYNSPFEFNDRVNEIWMLFDMEDEFLLV >EOY14103 pep chromosome:Theobroma_cacao_20110822:7:20809966:20815344:1 gene:TCM_033351 transcript:EOY14103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase 1 MATATTSTTLSLLKTTASSTTSSATRTSAFLIRVSSTRHHHKSVPLCQSLGFSAAASGDSLLSLHVSSKVRSFKGKGSRGVVSMAKKSVGDLSGADLKGKKVFVRADFNVPLDDNQNITDDTRVRAAIPTIKHLIQNGAKVILSSHLGRPKGVTPKFSLAPLVSRLSELLGIQVVKADDCIGPEVEKLVASLPEGGVLLLENVRFYKEEEKNEPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLDLATLLLAKAKAKGVSLLLPTDVVIADKFAPDANSQVVPASAIPDGWMGLDIGPDSIKTFNEALDTTQTIIWNGPMGVFEFDKFATGTEAIAKKLADLSGKGVTTIIGGGDSVAAVEKVGVASVMSHISTGGGASLELLEGKELPGVVALDEATPVTVAKL >EOY14239 pep chromosome:Theobroma_cacao_20110822:7:22404520:22408513:-1 gene:TCM_033530 transcript:EOY14239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSTQKFFLLSLILREWRLADRQTPPSTAYPRPPATPVNLTTSKNQPAQLSFHPATTPLSIQIRTSHNLLPSNNLWPPLNNGFPQPIPQENLQQPVKDGLLQAIRDENQQPLSPRAFKKSFLSVTAGEKPSVIPPTRNPCTYKDRPAAMFFKDEIQILARPFSHSIVGKFTRTPRLQDVQQAFKGIGLSGAYEIWWMDYKHVLIHLSNEQDFNRLWVKQQWFILNQKMRVFKWTPDFQAEKESAVDSTSAYREVRIVIRNRETGAVTGGYSQRVDFARMPDYCGHCCHVGHNESACLVLGNKPKQYESSNLQNKGKGQQTLSLPARTENVEKAAKRGEEEEVQNWIETQGQTELVNSDLVGRKNLLKEPTEDDVRRENEAILLREMVVEKKVGSKGQNGEAELAGAKTIAAVSISLKSVPSDVQEILHGNENHAQDGSNFFGSRNGTREGANLLCKLQTAKGDAQEPIFDYGGCENQADVESGRTVSDRKNKNKKINQKNRSIGVVEAISHGEDKQYPKPVTHVPANVHVDGATATVGKFATRPFPLLAGQLENKVSGEGEPSSPMLELVENDRSTTPAAGKPATHPSSPLSDQRKSTAPSEGEIELAATTEAPDGAEQTLASAAGTLAARHGQRDPTGETTGSKEKDLSTPTSADGTSMQDFRQEEKAKNYFMQSEVWLIQPNGQPNNFQQSEDMIEESGEHSPTDGQNIDDQHRVISSEADIPPTQESVSGKCMYNKELSDVPSFPSFSRTKFTEIKVHPKIRRRRHSNTEVSIDKMLSLASYKAVDMWENDEDSDEDAISVNFAASWEHERYY >EOY13174 pep chromosome:Theobroma_cacao_20110822:7:6368501:6374621:1 gene:TCM_031703 transcript:EOY13174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger family protein / calcium-binding EF hand family protein isoform 2 MVPRHYLLLFFFLFGLSCFQAQARFITGRPSPTDLVSDGISTVKNPPYLLLKPLASAEESCEQTYGFLPCTTTALGNLFLIIVYGCLMFLAATYLSNGSELLLQILGPGIVGGLFLPILGALPDAMLILVSGLSGTTETAQSQVSVGMGLLAGSTVMLLTVIWGSCVVVGRCDLRDSVAVDGTITKGFSLTETGVSTDIWTCYAARIMAISVIPFIIVQLPQILSSTSGRHLAVLIALIVSLSMLVSYCLYQVFQPWIQRRRLAFAKHKHVISGILRHLKKTALGKLLDDNGDPNTEIIRKLFDTIDENHDGSLSNSELKAFIIGIRFEEIDLDRDDAVRKVMADFDTSHDSLVQEGEFVRGIQKWIIEAKRTGGTYLESNNGTFKFIDHFHQQTKREHDLLGLEEQSDEVAEGVENPGWTSLKAVLMLLLGTLIAAAFADPLRSCISHYLC >EOY13173 pep chromosome:Theobroma_cacao_20110822:7:6368501:6375758:1 gene:TCM_031703 transcript:EOY13173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger family protein / calcium-binding EF hand family protein isoform 2 MVPRHYLLLFFFLFGLSCFQAQARFITGRPSPTDLVSDGISTVKNPPYLLLKPLASAEESCEQTYGFLPCTTTALGNLFLIIVYGCLMFLAATYLSNGSELLLQILGPGIVGGLFLPILGALPDAMLILVSGLSGTTETAQSQVSVGMGLLAGSTVMLLTVIWGSCVVVGRCDLRDSVAVDGTITKGFSLTETGVSTDIWTCYAARIMAISVIPFIIVQLPQILSSTSGRHLAVLIALIVSLSMLVSYCLYQVFQPWIQRRRLAFAKHKHVISGILRHLKKTALGKLLDDNGDPNTEIIRKLFDTIDENHDGSLSNSELKAFIIGIRFEEIDLDRDDAVRKVMADFDTSHDSLVQEGEFVRGIQKWIIEAKRTGGTYLESNNGTFKFIDHFHQQTKREHDLLGLEEQSDEVAEGVENPGWTSLKAVLMLLLGTLIAAAFADPLVDAVDNFSDATSIPSFFISFIALPLATNSSEAVSAIIFASRKKKRTASLTFSELYGAVTMNNVLCLSVFLALVYVRGLTWDFSSEVLVILIVCIVMGAFASFRTTFPLWTCSVAYLLYPFSLALVYVLDYVFGWS >EOY13905 pep chromosome:Theobroma_cacao_20110822:7:13220743:13221782:1 gene:TCM_032659 transcript:EOY13905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSSSTIPSQTSKKGTKRKWTHNEDVVLISCLVDLHNKGTYNVDTGFKCGYLLELEQMITTSLPNANLKAKPHIESRIKTLKKEWTIVYDMEQGRGTSRFGWDHERNMVVAEDPVWDSYIISHKDAAQFRKRSFLFFNELSDIYAKDRATIQDAQTPANVLNEIEEDVAHGIDDGSACEHVIGLDDVDISVTQPQPSVGKRNQNSTTSKK >EOY12575 pep chromosome:Theobroma_cacao_20110822:7:2846705:2848681:1 gene:TCM_031090 transcript:EOY12575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCFVDQKDESFCTISWACNIDSALFVVAGGIDGIIRVIDASNENNTQGIIFRKSELQVGGPFIAVEK >EOY12194 pep chromosome:Theobroma_cacao_20110822:7:1262275:1264205:1 gene:TCM_030765 transcript:EOY12194 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MDAACGLHYMSKQANFLALYHLSSNVYSFNLSLSQPHLFQQTLREREIRMTDNSENQTCSAEMVASNQDLLTEILLRLPVKSVFKSKCVSKRWVSLISDPQFAVKHIRLHVNLGPSGLYFYGSPFGFLNFDKDQNMLKVPSLSFLNASGIEILQSCNGLLLLLCRFSSAENNSGFTYCVCNPSTKSLGTVPLPNATANRSMAGINLAFDPPKSTRYKVICVLMNNLQDPIPNDNNLLHGEEGLNDIEHFVSPTYQIEIFSSETKAWTLSGGPFEAPHYTDFEHGVFWNGAIHWLSPTDVSLYFDVDSESLKTMTMPRMQTGPTGFWSSQRFPYFGECRGHLHLIEVDSISTPRFRVFEMKPDYSRWFVKYRVNLNNVASKFPEIARRYIDEFPNLAQNSPEDFQVQYYAYSILGVIRGEVEEDVELVLLLPGKIISHNPRLNTSKLLSSWRANNVYDCMQYKWYHVCHYVESLAGV >EOY12183 pep chromosome:Theobroma_cacao_20110822:7:1227479:1234218:1 gene:TCM_030758 transcript:EOY12183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fimbrin 1 MSSFVGVLVSDQWLQSQFTQVELRSLKSKFVSVKNQNGKVTVGDLPSLMVKLKAFSTMLTEDEIRQILDESYSDMSNEIDFETFLRVYLNLQGQATGKLGGPKNSSSFLKASTTTLLHTISESEKASYVTHINIYLGDDPFLKQFLPLDPTTNDLFNLAKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLLLGLISQIIKIQLLADLNLKKTPQLVELVEDSNSDVEELMGLAPEKVLLKWMNFHLNKAGYEKTVTNFSSDVKDAKAYAYLLNVLAPEHCNPLTLDTKDAAERAKLVLDHAERMGCKRYLSPKDIVEGSPNLNLAFVAQIFHQRNGLSTDSKKISFAERMTDDVQISREERCFRLWINSLGIESYVNNVFEDVRTGWILLEVLDKVSPGSVNWKHATKPPIKFPFRKVENCNQVVKIGKHLKFSVVNVGGNDIVQGNKKLIVAFLWQLMRFNMLQLLKSLRSRSRGKEITDADIINWANRKVRSTGRTTQIESFKDKSLSNGLFFLELLSAVEPRVVNWNLVTKGESDEEKRLNATYIISVARKIGCSIFLLPEDIMEVNQKMILTLTASIMYWCLQHAAEEGETILSPANGNGSINALDASPAPSVSGEDESSSLCGEISSLTIDDAASDITVSSQAENEDTPVE >EOY13043 pep chromosome:Theobroma_cacao_20110822:7:5532970:5537739:1 gene:TCM_031554 transcript:EOY13043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.17 MATNEYEVDELKMMEELTINAHQVQEEVLGEILKRNAETEYLKGFLNGQSGKQLFKNNVPIVTYEDIKPYVDRIANGEPSDILLAEPVIEFNRSSGTSGGQPKLIPNTVELSKKRAAFHTLIASVLNKHFGDLNRTGKSMELMFVKPETETPSGLKAGSVTTSRFKDNGFRNILPMRYTSPIETILCLDINQSMYCQLLVSFIQRDEVVRIGSMFASALLRAIKFIEDHWKELCSNIKTGYLSDWITDSGCRNALSLIMKHDPALADSIENICGCRSWEGIIRKLWPKAKYIGAITTGVMRQYTTALDFYSGGLPLVSSFYACSEAICGINLEPLDKPADVSYTILPNMAYFEFLPVKKDRVSMTQEVQFNGVSEQESIEMKSNNEDIEAVELVNVKLGQFYELVVTTFTGLYRYRVGDILMVTGFHNNTPQFRFVERENVILSVDADKTSEADLLKAVTEAKTLLDPLGFILTAYTSYGDMSSTPGHYVLFWELKVKEDNDNKEFDPKMMVECCSRMEESLTYTYKIYRQQNAIAPLEIRVVKQGTFDALMDYYVSQGASMNQYKAPSCIKSKEALKILDSRVIGKFFSLKAPL >EOY13744 pep chromosome:Theobroma_cacao_20110822:7:10571980:10573514:1 gene:TCM_032390 transcript:EOY13744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPCRVVHKTAKSRFKCIYKKIKTRSLKNLLFVLRMRSLLRANLLMRLIGLQAELTNLEEEIDALYRKKDEIESSLKVNKEEVQMREANISKINKEITSAKSFPVLSENEVNSLKLL >EOY13869 pep chromosome:Theobroma_cacao_20110822:7:12085393:12090770:-1 gene:TCM_032563 transcript:EOY13869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Interactor of constitutive active ROPs 2 MFSFPQQSKTTEEETSSAMSQGGGGGMEFNLPEEILAVIPTDPYEQLDLARKITSMAIASRVSKMEAEMGRMRAKMYEKDRVIYDLEEKLSRLQQANHDAESRLKITLDENIKLSKERDSLAMTAKKLSRDLSKLETFKRQLMQSLSDDNASQAETVDIGTCDQAVPKAYPEKDEGMNGYASLRSSSGSIDTGSTIDEATRHAGQRFSITPYITPRLTPTGTPKIISTTGSPRGYSAAGSPQRTSGATSPTKLQSDGRTSLSSWYPSSQQSSAANSPPRGRSLPGRTPRIDGKEFFRQARSRLSYEQFSAFLANIKELNAQKQTREETLRKAEEIFGTDNKDLFLSFQGLLNRNMHY >EOY13145 pep chromosome:Theobroma_cacao_20110822:7:6158086:6159617:-1 gene:TCM_031667 transcript:EOY13145 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MKNSNTSKLRVAMFPWFSFGHFVPFLHLSNKLAEKDHEVSFLLPKGAQPKLEQLNQYPNLIQFFPLVVSHVDGILPGAQTTSDVPLPLHSLFAIAFDQTRDQVEAILRSIKPDIVFYDFGYWIPALAHQIDIKSIYYAVVTAAAHAYFLTKKVTKEMTVEELIEVPPGYPSSKVKLRAEEASVLTSALKIFGIGLSFRDRIITSMNDSDVIAFRAHREIEGPYWQPFLVALTPPDGCTRIEEALPEGFQERIQGRGLLHGGWFPQELLLSHPSIGCFVNHCGPRTMWESLLSDCQIVLIPRLGDQVLNTRFRAEELKVAVEAEKGENSKISKENLSKAIKLVMDKDNEISGLLKRNHTNLKKILSNIDLQEEYINNFIKGLQDLVK >EOY12685 pep chromosome:Theobroma_cacao_20110822:7:3351319:3355634:-1 gene:TCM_031186 transcript:EOY12685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter4 isoform 3 MANSPGSDPSCHGSSLESPLLPPSKKHGWEPEPGSEPEKLCIDDMLQKYCGEFGSWQLRHFVLTSLAWALEAFHTMVMIFADQEPAWRCLKGAAGSGCDEKESGVCGLEPGSWEWKGGSGSSTVAQWGLVCGEKYKVGLVQALFFGGCMIGAGVFGHLSDSKLGRKGSLTAVCILNAIFGCLTSLAPDYFTYLLLRFLTGFSTGGVGLCCFVLATEPIGPTKRGAAGMSTFYFFSTGIALLSGIAYIFPSWRALYIASSIPSIIFLVLVVPFISESPRWYLVRGKMNEAMKIMRTIAKSNGQYLPDGVILALDDESNDASNDNRSCKEPISGSLLDVIRSPITRIRLFLTVGINFTCSVVYYGLSLNVVNLETNLYLNVLLNAVAEMPAFTITALMLDKFGRKPLAIGTQWFSAIFCFMGSLVGNVGMWKVIRMFCGILGIFGMAGTYNLLFIYTAELFPTVVRNAALGCATQAAQMGAILAPFVVVWGGGLPFLVFGVCGLIGGMLAYYLPETLNKPLYDTMTGMEEGETA >EOY12686 pep chromosome:Theobroma_cacao_20110822:7:3351319:3382880:-1 gene:TCM_031186 transcript:EOY12686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter4 isoform 3 MIGAGVFGHLSDSKLGRKGSLTAVCILNAIFGCLTSLAPDYFTYLLLRFLTGFSTGGVGLCCFVLATEPIGPTKRGAAGMSTFYFFSTGIALLSGIAYIFPSWRALYIASSIPSIIFLVLVVPFISESPRWYLVRGKMNEAMKIMRTIAKSNGQYLPDGVILALDDESNDASNDNRSCKEPISGSLLDVIRSPITRIRLFLTVGINFTCSVVYYGLSLNVVNLETNLYLNVLLNAVAEMPAFTITALMLDKFGRKPLAIGTQWFSAIFCFMGSLVGNVGMWKVIRMFCGILGIFGMAGTYNLLFIYTAELFPTVVRNAALGCATQAAQMGAILAPFVVVWGGGLPFLVFGVCGLIGGMLAYYLPETLNKPLYDTMTGMEEGETA >EOY12684 pep chromosome:Theobroma_cacao_20110822:7:3351448:3355563:-1 gene:TCM_031186 transcript:EOY12684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter4 isoform 3 MANSPGSDPSCHGSSLESPLLPPSKKHGWEPEPGSEPEKLCIDDMLQKYCGEFGSWQLRHFVLTSLAWALEAFHTMVMIFADQEPAWRCLKGAAGSGCDEKESGVCGLEPGSWEWKGGSGSSTVAQWGLVCGEKYKVGLVQALFFGGCMIGAGVFGHLSDSKLGRKGSLTAVCILNAIFGCLTSLAPDYFTYLLLRFLTGFSTGGVGLCCFVLATEPIGPTKRGAAGMSTFYFFSTGIALLSGIAYIFPSWRALYIASSIPSIIFLVLVVPFISESPRWYLVRGKMNEAMKIMRTIAKSNGQYLPDGVILALDDESNDASNDNRSCKEPISGSLLDVIRSPITRIRLFLTVGINFTCSVVYYGLSLNVVNLETNLYLNVLLNAVAEMPAFTITALMLDKFGRKPLAIGTQWFSAIFCFMGSLVGNVGMWKVIRMFCGILGIFGMAGTYNLLFIYTAELFPTVVRNAALGCATQAAQMGAILAPFVVVWGGGLPFLVFGVCGLIGGMLAYYLPETLNKPLYDTMTGMEEGETA >EOY12893 pep chromosome:Theobroma_cacao_20110822:7:4708591:4713793:1 gene:TCM_031405 transcript:EOY12893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein isoform 1 METAGNVVVKCWDNHRSLDQKTIDLKRKLVDLNALKQDVESRKKAELHPRKKLKSQVDVWLGNVERINDEIQNLEQRVAESISISRGFFMKDVLKKIQEVEELLQQGKFDQGLVVNDLTWIGQALSTTNLVGKAAENCMEEIWTCLMDDDIRRIGVWGMGGLGKTTIMKIINNRLSKMTEKFNIVIWITVSKEMNISKIQNGILRAIGEELREDEDETIRAGKLFEWLNEKGRYVLILDDLWDKLSLEEVGIPKPSNGSKLVVTTRMLDVCRYLGCREIRMPNLPKQDAWSLFLEKVGKDVLNYPGLLPIVESVVEQCAGLPLAIVTVASSMKGITNVHEWRNARNELSKRVRGVTGLDEKVLQQLQFSYDHLECERVQQCFLCCALYPEDCYIYEYDLINLWIAEGLVEEMDSQQAELDQGFTILNKLKNNCLLENGENVGAVKLHDLVRDMVLRITRPRFLVRAGLQLKEIPHVQEWTEDLEKVSLMSNYGLRIPSQMSPPKCQMLTTLLLSDCRIRSIPDCFFEQMKGLKVLDLSQNNFRILPSSISNLEALTLLSVRGCDYLKKLPSFSKLEALKKLDLLGSKIKNLPHGMDRLVNLNYLHLWVEEVPSGILSKFSCLRDLGLSSAFVRGEEIGELKKLEFFEGSFFDLNELNTFVQALKSRGQQLIRYNVAVDIKNFYRFCESDKYIELSCSHPICRNGVKFPSDLQRLRINYGIVDFPEEEVFFPWFIPMQNGKFSFLKEIVIFKCEKIKKLFTCSWVQSNLPNLEELTVLECHQMEEIIASEMEFVEEERMGGSNSNTIPLTLPKLRILDLDLLPGLKSICAANRVMVCDSIEEIGIFNCSKLQRIPLYLPLLDDGQPSPPPSLKAIFISSKKQLRSVEWDHPNAKSVLGPILKSDDFLEPCKSDSEFDYGSDPESDYDSFLESDTDSDPESHYDSLPELDANSDLESDASLPNDG >EOY12892 pep chromosome:Theobroma_cacao_20110822:7:4705373:4713427:1 gene:TCM_031405 transcript:EOY12892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein isoform 1 MPYISFFKISKQQVVYISQSKAQKVWLKKLSGYQFLNLNLFTTFVSALLTISRISEPVRKGTEDMETAGNVVVKCWDNHRSLDQKTIDLKRKLVDLNALKQDVESRKKAELHPRKKLKSQVDVWLGNVERINDEIQNLEQRVAESISISRGFFMKDVLKKIQEVEELLQQGKFDQGLVVNDLTWIGQALSTTNLVGKAAENCMEEIWTCLMDDDIRRIGVWGMGGLGKTTIMKIINNRLSKMTEKFNIVIWITVSKEMNISKIQNGILRAIGEELREDEDETIRAGKLFEWLNEKGRYVLILDDLWDKLSLEEVGIPKPSNGSKLVVTTRMLDVCRYLGCREIRMPNLPKQDAWSLFLEKVGKDVLNYPGLLPIVESVVEQCAGLPLAIVTVASSMKGITNVHEWRNARNELSKRVRGVTGLDEKVLQQLQFSYDHLECERVQQCFLCCALYPEDCYIYEYDLINLWIAEGLVEEMDSQQAELDQGFTILNKLKNNCLLENGENVGAVKLHDLVRDMVLRITRPRFLVRAGLQLKEIPHVQEWTEDLEKVSLMSNYGLRIPSQMSPPKCQMLTTLLLSDCRIRSIPDCFFEQMKGLKVLDLSQNNFRILPSSISNLEALTLLSVRGCDYLKKLPSFSKLEALKKLDLLGSKIKNLPHGMDRLVNLNYLHLWVEEVPSGILSKFSCLRDLGLSSAFVRGEEIGELKKLEFFEGSFFDLNELNTFVQALKSRGQQLIRYNVAVDIKNFYRFCESDKYIELSCSHPICRNGVKFPSDLQRLRINYGIVDFPEEEVFFPWFIPMQNGKFSFLKEIVIFKCEKIKKLFTCSWVQSNLPNLEELTVLECHQMEEIIASEMEFVEEERMGGSNSNTIPLTLPKLRILDLDLLPGLKSICAANRVMVCDSIEEIGIFNCSKLQRIPLYLPLLDDGQPSPPPSLKAIFISSKKQLRSVEWDHPNAKSVLGPILKSDDFLEPCKSDSEFDYGSDPESDYDSFLESDTDSDPESHYDSLPELDANSDLESDASLPNDG >EOY13092 pep chromosome:Theobroma_cacao_20110822:7:5863608:5866105:-1 gene:TCM_046873 transcript:EOY13092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat protein MDDRLIKASQVGDIDALYELIWEDDNVLKRIDEKMFVDSPLHIAASFGQTRFAMEMMNLIPSFSKKLNKSGFSPMHLALINGHFELVSLFLHADAGLVRVKGRGGLTPLHYAIKNGNLNFVAKFLLACPESIEDVTVRGETVLHIAIKSDMLEALEVLVRWFQRICHKDALDWLEFIPNWKDEEGNTALDIAVSNSQIQAIKLLAEINAKNCKGAKASQILQLQNQSHRRVVLQMLRRRTAVVTASSIERTERLTGFLRSKTWFGVRLAVYIKRYRMRISGDVFSATLVVAGLILAATFQIIYNPPGSFRQYNNDAINTNVTNNRTDGGPSPGAAAGTATMIGTFQTFLVYLTLYFAATIIGLLVPDGLFGITLAALLLYLSCYSMSLAGISPYTRGADFTCLSLCFIAFAFLIIHSLGKKELHRLICFYNAKKCPREPIAAEVQGNEAAAAPGEETGPEKILSA >EOY12936 pep chromosome:Theobroma_cacao_20110822:7:4883954:4893474:-1 gene:TCM_031437 transcript:EOY12936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-expansin 12, putative MEVLASRNHVCQSLLFICLERRRRMGPVIIPSVLLWHLFFFGSTILEGVNAQVNGWVNAHATFYGADQSPFSLGGACGYDNTFHAGFGANTAALSAALFREGEACGACYQLRCDYRADPKWCLRRASVTITATNFCPSNNNGGWCNPPHHHFDMSMPAFLRIAWQGNEGIVPVLYRRVPCRRRGGVRFTLKGQSSFNLVMISNVGGSGNVKAAWIRGSRTRTWLPMHRNWGVNWQSSVDLRNQRLSFKLTLVDGKTLEFLNVVPSTWKFGQTFASPRQFY >EOY12520 pep chromosome:Theobroma_cacao_20110822:7:2530128:2532181:1 gene:TCM_031020 transcript:EOY12520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPEGTHRLCKNCSTSLQELSAKDCHNWRAWRDWIPNLTSLKELKICECLESQYLQKGVHSLTSSQLFWIYNCLNLSSSRQSLKTLVIRDCPNLTSNLCSSRVMRHPWSSLQELSVQNCPNLDLGDLQNRIPKITSVKTREICKCSELQDLPGMGHLTPLQVLSINEFPQLSKRCEKVTGILWPYIAYISSIIIDGKQIQ >EOY14256 pep chromosome:Theobroma_cacao_20110822:7:22687053:22692254:1 gene:TCM_033561 transcript:EOY14256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MPDRNLVSWCSLMTGYLQIGFSLEVLNLFKNMALVDNLRPNEYSFALVFSACSECGKVLEGRQCHGYVLKSGLDFHQYVKNALINMYSKLSDVEGAMRVFSLVPGYDVLLYNSTLNGLLENGFLNEGVEVLERMIGECLEWDSVTYVTLFGLCACIKGLKLGTQVHCRGLKSGVQLDVFVNSAIINMYGKCGEAFNARKVFDWLQVRNVVLWTTIMDAYFQNGCFEEALNLFSEMKLDDISPNEFTFAVMLNSTAGLSALRHGHVLYGEIEKSGFKDHVIVGNALINMYAKCGNIEAASKVFSEMMYRDSITWNVMICGYSHHGLGKEAMAVFQDMLAVGECPNYVTFVGVLSACSHLALVKEGLYYLNQFMRQFGVEPGLEHYTCVVGLLSKAGLLDEAEKFLRSIPVKLDVIAWRTLLSACHVHRNYVFGRHIAGLLLEMDPNDVGTYTLLSNIYAKAKRWDEVVKIRKLMRDRNIKKEPGVSWIEIRNVTHVFVSEDCKHPESSQIYEKVKELLAKIKPLGYVPDVAAVLHDVEEEQKEDYLSYHSEKLAIAYGLMQTPLEAPIRVFKNLRMCDDCHSAVKLISKITNRMIIVRDANRFHRFQNGCCSCADYW >EOY13939 pep chromosome:Theobroma_cacao_20110822:7:14672556:14687786:-1 gene:TCM_032794 transcript:EOY13939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MENQLPFLLFLLTFLFVTFRALRTWRNTKSSSLPSMLPPGPPKLPLIGNLHLVIGTQPHRCLARLAQKYGPVMLLQLGEVSTAVISSPEAAKQVMKTHDSVFSERPYLYAAQFITYNFRDIAFARGDYMRQIRKICVLELLSNKRVQSFRPIREEEISNLVRTISSKAGSPINLKNLLYSSALSILSRTAFGGKCKHQDVFKKLIPDILALFGGLSIVDVYPSVKLLHLINAMRPKIKKLHNKVDEILESVIQERRATKLTTMTGESEVDDLVQVLLDIQDHGDLEVPISTSSIKAIILDMFLGGEVRHVFAGRRDVDELGIHELKYLRLVIKETLRLHPTGPLLLPRECQVHCEVNGCVVPAKSRVIVNVWAIGQDQNYWAQAEKFYPERFCDSSINYKGTDFEFIPFGAGRRMCPGMSYGIASVELLLANLLYHFDWKLPNGKKPEDLDMTELFGASLQRKEDLCVVPIPHHL >EOY14004 pep chromosome:Theobroma_cacao_20110822:7:17003105:17004191:-1 gene:TCM_033015 transcript:EOY14004 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB21 MVRQPISWSRTFLKKGTWSPEEDQKLITYITRYGIWNWNEMPKYAGLSRSGKSCRLRWMNYLRPNIKRGIFTREEEEAIVHLQKMLGNRWSAIAAMLPQRTDNDIKNYWNTRLKGRVENNLTSATASPTGNNSCMEIEQENSLDADSSFLNTLLELDSAIPTLEDFPEPATYEFYPSENTCTVEDNFVSSQESWEIQSLLEQPLTVEAFDSEDCQAMPPNSQPWLHEPIYLYESYSNPVDDFWVNPFI >EOY13260 pep chromosome:Theobroma_cacao_20110822:7:6801044:6814048:1 gene:TCM_031777 transcript:EOY13260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMSINKGKEIAEGSSRSTAAAVAAAAAAADQQNPPPLSRYESQKRRDWNTFGQYLRNQRPPVALSQCNANHVLEFLRYLDQFGKTKVHLQGCVFFGQPEPPGPCTCPLRQAWGSLDALIGRLRAAYEENGGLPETNPFASGAIRIYLREVRDSQAKARGIPYKKKKKKRNPLKSNEDSSSFTIQQS >EOY13888 pep chromosome:Theobroma_cacao_20110822:7:12548075:12550002:1 gene:TCM_032605 transcript:EOY13888 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase MWGMDVIGLITPKASNGHRFILVAIDYFTKWVEAASYANVTQKVVCKFIQKEIICRYGLPERIITDNASNLNGSMMKEVCAKFKIKHHNSTPYRPKMNGAVEAANKNIKRIVEKMTDIYKDWHEKLPFALHAYRTTVRTSTGATPFSLVYGMEALEEAEWVNARHEQLNLIEEKRLTALCHGQLYQKRMMRAYDKKAHSRQFREGELVLRRILPNQHDPRGKWTPNWEGPFVVKKAFSGGALILAEMDGREFSNPVNADAVKKYFGGLDTRKVQILQKLNTERVKIMIQDVHAKDKGADKCAYFGIKF >EOY14255 pep chromosome:Theobroma_cacao_20110822:7:22683348:22689768:1 gene:TCM_033560 transcript:EOY14255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 105, putative MSFHRNSQTRGPVSVREVKLPQPKMSSRVDFLLERKQKLFFFLLLLTSTGLSISHFYLFILCSSLSLACFLCKYLSLLLFVFICTFFLPFWDMKMTVQQFHNSSCCHQENNGLSNCYSIYSPSSSSMEVLKHMDSLSITPTHHVYSSQNICYCGDNIPVKGHMGISYVINCPCSLEEDARNCEVGDHFSKGSESIDEFGENNAAVSMEDIRRRICMNEGNPGDCFLSGKDVGQSKVCARGHWKPAEDSKLKELVALYGPQNWNLIAEKLQGRTGKSCRLRWFNQLDPRINKKAFSEEEEERLMTAHKAYGNKWAMIARLFPGRTDNAVKNQWHVIMARKYREQASAYRRRKLSQAIQRKMEENGNLNCREAANGNNTNSPKNPSVGSNGSYNKTSRKGAATCVRDLFLGSKSHNSFSPGEGACRNQTPLDFFSDYIRPESFRWNSRQCNMLHDETTLTVSHPYSHPSQMLMQQSSNHQLSGFSDSIASAPQVTVTETSSSSPTAAEKAAASHLETTASPPPFIDFLGVGAS >EOY13806 pep chromosome:Theobroma_cacao_20110822:7:10950191:10957042:-1 gene:TCM_032453 transcript:EOY13806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine kinases,protein kinases,ATP binding,sugar binding,kinases,carbohydrate binding, putative MGNSSIGLVLLALALLSYFCLQFGTALDAITPSNSIKDPEVIISKNGVFRLGFFNLSDSTNRYVGISYNHIPVQTVVWVANRNKPLKDYSGMVKISEDGNLVVLNGQEEILWSSNVTNLVSNTSALLLDSGNLILKNDDDMGMSIWESFQNPSNSFIPTMKISTDLRAGQKVQLSSWKSPSDPSDDDQKETYYLSYAFSNKSMLLYFEIDSQGKMVERHWDAGKGDWENKFPLPENDCDVYGKCGAFGSCDAKKPSICSCLRGFKPKNREEWNKENWTSGCVRTTPLQCQKVNSGSEVGKEHGFLKLEMMKVPAFPEWSSALKGNCEDQCLKNCSCVAYAYDAGIGCMLWSGDLIDIQKYSSRGVDLYIRVASSELDKKKDTSAVIIIVAMIGVIIIFTISTFFLWSWMAKYRGNLSIFADRKQKTKEKRLFNIGKSVEKCTIDNVVAENLIEVKLQQLPLFNFEELATATNNFHLTKELGEGGFGPVYRGTLQDGKEIAVKRLSRASGQGLEEFMNEVEVISKLQHRNLVRLLGCCVEGEEKMLVYEYMPNKSLDAFLFDPIKQEVLDWNKRFTIIEGISRGLLYLHRDSRLRIIHRDLKTSNILLDQELNPKISDFGIARIFGGNENQANTKRVMGTYGYMSPEYAMQGQFSEKSDVFSFGVTLLEIVSRRKNTSFYDNQHSFSLLGYAWKLWKEDNILGLVDMEVSDPSYDEKEILRCIHVGLLCVQEFAKDRPTMSRVVSMLNSEIVDLPPPKQPAFTERQINQDVESFPSNEDRFSVNDVTITDFDGR >EOY13293 pep chromosome:Theobroma_cacao_20110822:7:7302734:7329528:-1 gene:TCM_031829 transcript:EOY13293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MINFVIYFMITRSNSNDSSNIVAGKIPLEIGNLPKLDSLNLESNSIFGHIPTHIFNSSTLWLIALELNHLSGCLPWSIGLWLPKLEWLLLDANELNRTIPTSISNAIKLTELDLSSNSFSGYIPNDLGNLRDLQLLNLQRNNLALTPSFPKMSFLSSLAYCKNLRLLSFSHNPLIDAELPISIGNLSISLQKFYASDCNIGGNIPGEIGNLSNLISSYIRSNELIGSIPTTIGRLEKLQGLFLQGNKLEGSIPSELCRLKSLGFLYLASNQLAGSIAGCLGDIIALRDLIVDSNKLIGSIPSNFTRLVDILQLNLSSNFLSGVLPIDIGKWKVVTCNDPSPVATGVRDLRERPTKSRTSLSRKAH >EOY13520 pep chromosome:Theobroma_cacao_20110822:7:9039740:9042954:-1 gene:TCM_032125 transcript:EOY13520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MSVNRDVAAIVMGLREVSGRDNSIAHALATFFTAMADGAQTGQVPPIVPPVTPLVPPPVQDVTISKNLKEARQLSCVSFAADGGYEIIREEGSTWWNSVKSRSTTPQTWSDFLKEFDGLYFTYFHQKEKKREFLSLKQENLTVEEYKTRFNELMLYVPNLVKYEQDKASYFEEELRNEIKERMTVTGKEPHKEVVQMALRAEKLATENRKISTEFAKRKNPGISSSQPVKRGKDSAISGSTTSVSMTSPRPPFPPSQQRPSRFSRSAMTGS >EOY12785 pep chromosome:Theobroma_cacao_20110822:7:4147774:4149210:1 gene:TCM_031308 transcript:EOY12785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-harvesting chlorophyll B-binding protein 3 MASMLSSGTVLRPTPFLGQNRTSNANPLRDVVSTGTGKYTMGNDLWYGPDRVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLQKWLRVNFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGFRINGLPGVGEGNDLYPGGRYFDPLGLADDPATFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLFDHLDNPVANNAWVYATKFVPGS >EOY14025 pep chromosome:Theobroma_cacao_20110822:7:17721882:17729891:-1 gene:TCM_033077 transcript:EOY14025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein, putative MPPRRELPPITRYTSRGRGLPRQSRSDSIEEESVASSFRATPIVESTDIPVPPPPSVDALSVPSMSPEVAQALAAFLATLTGQAQAGPVPPAVSPITAPVSETFADMGLDDEMKLKVATRLFEKRAYGQYYTYFHQKEKKREFLSLKQRNMTIEEYETRFNELMSYVPKLVRLEQDQVNYFEEGLCNEIRERMLVTGKESYKEVMQMALRAEKLTTENRQIRAEFAKRRNSPTFPDSGSDRSYVSISFASFSDRNLSPLEEEIVVHTPLGEQNWNSKMLKAYMIQMGLSLK >EOY13960 pep chromosome:Theobroma_cacao_20110822:7:15615791:15622445:1 gene:TCM_032887 transcript:EOY13960 gene_biotype:protein_coding transcript_biotype:protein_coding description:3R-linalool synthase-like protein MMYLTYMDQLMSFGKYMDAINRWDLEAMEELPEYMKVCYSGMYDHVNEMVQDASKDLGLDILPYIKDQVRIMLSKLKTQWVCYTRSLHVEAGWFYDGYMPTLDEYFQNGWISIGLATGLAYAFFGVLEDSKTEHLPLEFFENWSESELFYWPSFITRLLDDLTTCKGCDNSRERENANLNLIHSEKTELLLTCYYGTILKLEMERGETANSIQCYCRNVSFPPWCYSAPSPLYPIPGFWRGHAPLPCPWAPRGKFHYPARREKFASGSVYSCPSLSFSKIRNSAKT >EOY13808 pep chromosome:Theobroma_cacao_20110822:7:10986281:10998355:-1 gene:TCM_032457 transcript:EOY13808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine kinases,protein kinases,ATP binding,sugar binding,kinases,carbohydrate binding, putative MGKTTVGIVLLALILPSCFCLQFGTALDTITPSKSIKDPEVIISKNGVFLLGFFNFANSTHRYVGIWYNHIPVQTVVWVANRNKPLKDSSGVVKISEDGNLVVLNGQEEILWSSNVTNLISNTSALLLDSGNLVLKNDDDDNGASIWESFQHPSNAYTPTMKISTDLRTGQRVQLSSWKSPSDPSDGNFSLSLEPLNIPEVIILNNNQPYFRTGPWNGQIFIGMLHMNSVYLNGFSLVADDQKETFYLSYALANKSMLAYFEFNPQGKIIELHWDEGKGDWANNWPILENDCDVYGKCGAFGSCDSMKPSICSCLRGFEPKNREEWNRENWASGCVRTTPLGCQKVNNGSDVGKDDGFLKLEMMKVPAFAEWSSPLEETCEEQCLRNCSCVAYAYDVGIGCMLWSGNLIDIQKFPSRGVDLYIRVASSELDRKKKSKVVIITVIVGIIIITIATFFLRSWMAKHRGRKQKTKEMLPFDIGKAVAKFSSDNVVGENLFEVKLQQLPLFNFEELASATNNFHLTEKLGHGGFGPVYRGTLQDGKEIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCVEREEKMLVYEYMPNKSLDAFLFDQVQRQFLNWEKCFNIIEGISRGLLYLHRDSRLRIIHRDIKASNILLDQDLNPKISDFGMARIFGGNENHANTKRVMGTYGYMSPEYAMQGQFSEKSDVFSFGVLLLEIVSGRKNTSFYNNQHSFSLLGYAWKLWKEDNILGLVDMEVSDPSYDEKEILRCIHVGLLCVQEFAKERPAMSRVVSMLNSEIVDLPPPKQPAFTERQINQDVESLPNNEDKFSVNDVSITDFDGR >EOY12060 pep chromosome:Theobroma_cacao_20110822:7:747691:751450:1 gene:TCM_030664 transcript:EOY12060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 11 precursor isoform 1 MQEPHILSMKLSRFLFVLLLSLSLFLSATSHGGSDDDDKGAGESNEPPHSLRSKSLVSVKIWCLILVFVWTFIGGVSPYFLKWNQGFLVLGTQFAGGVFLGTAMMHFLSDANETFERLTSKEYPFAFMLACAGYLLTMVADCVVSYVYGKDKSSSNHGDLELQGPEQTKSNPHGHGNPPVSYGGNGTDTTSARSSSLTTVSSFGDSVLLIVALCCHSVFEGVAIGVAKTEAAAWKALWTISLHKIFAAIAMGIALLRMIPDYPLLSCVAYAFAFAISSPIWVAIGILIDATIEGVVAEWIFAILMGLACGVFINVSINHLLSKGYTPQKTISVDTPHHKVLAVLLGVGVIAVAMIWDT >EOY12061 pep chromosome:Theobroma_cacao_20110822:7:748169:749915:1 gene:TCM_030664 transcript:EOY12061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 11 precursor isoform 1 VKIWCLILVFVWTFIGGVSPYFLKWNQGFLVLGTQFAGGVFLGTAMMHFLSDANETFERLTSKEYPFAFMLACAGYLLTMVADCVVSYVYGKDKSSSNHGDLELQGPEQTKSNPHGHGNPPGGNGTDTTSARSSSLTTVSSFGDSVLLIVALCCHSVFEGVAIGVAKTEAAAWKALWTISLHKIFAAIAMGIALLRMIPDYPLLSCVAYAFAFAISSPIWVAIGILIDATIEGVVAEWIFAILMGLACGVFINVSINHLLSKGYTPQKTISVDTPHHKVLAVLLGVGVIAVAMIWDT >EOY13949 pep chromosome:Theobroma_cacao_20110822:7:15116205:15117856:-1 gene:TCM_032837 transcript:EOY13949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGLRPWAKLELQRRGVQNITEAISMAKSMVELHKFDNKPSTSKSTKKGKNGGDPNRHYKEVAMVQGEDKQDTKSIKLGFMLLNIIEAKQFNQKIDLMVVDIMAIGQIIKAFIDMRALDLFMVEDAARKLGLKPN >EOY14268 pep chromosome:Theobroma_cacao_20110822:7:22837780:22847624:1 gene:TCM_033582 transcript:EOY14268 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MRQLQARLIKSLSFSRLPPPAPSSSSSTSILQRVASSQPKGVAKVVLKKGKTQLFKNGSPMVYSRAVDRIIGRPPPKTGDIVLVADGTETPIGWGLYNSVSMFCVRLMQLEEEATRDPSCALDMEKLLETRINAAIELRRGLGLPSATTNAYRLVNSEGDRLSGLIVDVFGDLAVVVSSAAWVEKYKSQVEACISSIDEINHIHWRPSIEILKEEGMDASDLKELHPSTCPQRTKVLENGISYSISLEGQKTGFYADQRENRKFLSTISHGRKVLDICCYSGGFALNAAKGGATSITGVDTSLPALEIARENIALNYLDPEKISFLREDANEFMKGALSRNESWDIVIIDPPKLAPSRKVLQSASGMYRNLNSLAMQITRRGGLLMTCSCSGAMTQSGMFLRTLQATNMKGIKRKGRNPVVLFYEAFKQELGAASMAGRKITVLRQSGAACDHPIDPSYPEGAYLSNILLRIL >EOY13954 pep chromosome:Theobroma_cacao_20110822:7:15362392:15364249:-1 gene:TCM_032861 transcript:EOY13954 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MIEPAVKGTLNVLKACLEENVKRVVVVSSVSAVSWNPRWPKGQIKDEACWSDKEYCTATKNWYCFSKTEAESEAFELAKRTGLDVVTVCPTLILGPLLQPAVNASSLVLIKLLKEEYDSLENQHWSIVDVRDVAQALLLVYEKPEAEGRYICTAHSIMARDLVDKSRSIFPNYNYPKSFIEIGEEDMLSSEKLQKLGWSYRPLEETLVDSVESYRKAGILD >EOY13953 pep chromosome:Theobroma_cacao_20110822:7:15362344:15364839:-1 gene:TCM_032861 transcript:EOY13953 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MAKGKVCVTGGGGYLGSWVLKLLLSNHYAVHATVRLPGDAKYAHLNQLEKASDNLKLFKADLLDYDSLCSAIEGCTGVFHVACPVPSTTVPNPQVEMIEPAVKGTLNVLKACLEENVKRVVVVSSVSAVSWNPRWPKGQIKDEACWSDKEYCTATKNWYCFSKTEAESEAFELAKRTGLDVVTVCPTLILGPLLQPAVNASSLVLIKLLKEEYDSLENQHWSIVDVRDVAQALLLVYEKPEAEGRYICTAHSIMARDLVDKSRSIFPNYNYPKSFIEIGEEDMLSSEKLQKLGWSYRPLEETLVDSVESYRKAGILD >EOY13606 pep chromosome:Theobroma_cacao_20110822:7:9509171:9512746:1 gene:TCM_032229 transcript:EOY13606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease 11, putative MDAIEAQQDSKSEIGTLLPDEVSTATDQLSMFIPDKRWKWWVLVVLNIAFLLLGQSVAVLLGKFYFKHGHSKWLGALVQTAGFPVLFLALVFFPSPKNLPSICNNTSQPSLLSLILVYFSLGCLLAIDNFLYSVGLWSLPISTYSLICASQLVFNAIFSLVINSEKLTILILKSVTLLIISASLVAIHPDSSEREAEKHSGGKKERVVGILCTVGASAAYALLLSLTQFCFEKVLKKESFSVVFEMQIYTSLVSTFVCIVGLFASSEWKKLNSEMETFKETFKNGRVLYVLSLVGAALAWQICTVGVVGLIFLVSSLFSNFVSMLCLPFVPVVGVLFYHEKMDGIKVLAMLLTIWGFASYLYQQYLDDNKPKDKETGPTPGIEESKLDGSADALDGIADKLV >EOY13762 pep chromosome:Theobroma_cacao_20110822:7:10642171:10642970:1 gene:TCM_032403 transcript:EOY13762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGASTSKSRLKRKGSGRRRIEIKKIENQRRRWVAFSKRKKGLLKKAAQLSMLSGEEIGVIVISEQGRVYTSDNADAVIHQYLSIKYDGQDDNDMMGDEEKEPGKGVMDDGFWRTQPVDIQKMDDGKLSLQEIEVFGEALVDLKKNVAARMEEIKGKEYLFDLNKTPDEQFC >EOY12755 pep chromosome:Theobroma_cacao_20110822:7:4043991:4046055:-1 gene:TCM_031289 transcript:EOY12755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATSLTLLTPNTNNPTAKALEDEARPAVGFVKSNKGRKPTGKGPYQKKQPQRGMGVAQLERLRLQEKWKKMTEATTTTITTPTTQFPSDPIGTTNIPVLHGVANYGVPMMINGGNGGLLGWGDTAGLVMQRAVGNGGFGGLNGQVLVGAPGSVQVACGAGVVEASKELSSMPKLQHCKPDRCDVCFKKKRCNGDNVRFNGGFNQFGQILPNKGDHFLGWNQENNQNINEEINGFSARAARSAAAYAGHMNINETVEVVAIHRKGSSMGTGSVLMEYEFFPGKSSRSTSSKEWELPAEASVAVGGEASYANASNCVDLSLKLSY >EOY13497 pep chromosome:Theobroma_cacao_20110822:7:8915381:8919443:1 gene:TCM_032103 transcript:EOY13497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAWSTTLLHLHFKVPPVSFHQLPHYLPKKSPIFLNPNPIIANPKPAKMQFLHRPNAFPGHGAVSRAMDIIQSSPPTWLSAFLSNLVIFVVGTPLLVAGLSLSGICAAFLLGTLTWRAFGSSGFLLVASYFVIGTAVTKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVCAFLTIYGVGGEAFIRLWRLGFVASFCTKLSDTVSSEIGKAYGKTTYLVTNFKIVPRGTEGAVSVEGTFAGLVASILLAFIGCLLGEINVPEVVICVVASQIANLGESIIGAEFQGKEGFRWLNNDAVNVINISIGSILAVLIQQILQNWCM >EOY13498 pep chromosome:Theobroma_cacao_20110822:7:8915440:8918818:1 gene:TCM_032103 transcript:EOY13498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 TTLLHLHFKVPPVSFHQLPHYLPKKSPIFLNPNPIIANPKPAKMQFLHRPNAFPGHGAVSRAMDIIQSSPPTWLSAFLSNLVIFVVGTPLLVAGLSLSGICAAFLLGTLTWRAFGSSGFLLVASYFVIGTAVTKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVCAFLTIYGVGGEAFIRLWRLGFVASFCTKLSDTVSSEIGKAYGKTTYLVTNFKIVPRGTEGAVSVEGTFAGLVASILLAFIGCLLDKCT >EOY12331 pep chromosome:Theobroma_cacao_20110822:7:1718372:1719003:-1 gene:TCM_030865 transcript:EOY12331 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-binding protein 1, putative MKPISRSCKAEAFHKYLKPGALAQLRDSKINARSHKLNSVRLDSVPTQIPSQTQIQISDFDQIPRFLNKIYGGPCCLQRKKLLATKSVLLVNLETSGQSLESRESRSNRHGENLLINVLNNDVVAH >EOY13006 pep chromosome:Theobroma_cacao_20110822:7:5281760:5283730:-1 gene:TCM_031514 transcript:EOY13006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome C oxidase copper chaperone isoform 2 MGGLPMQNTSALALPGSQQNQVSAVTSGPESKPKKKICCACPETKKLRDECIVEHGEEACAKWIEAHRKCLRAEGFNV >EOY13007 pep chromosome:Theobroma_cacao_20110822:7:5281538:5283698:-1 gene:TCM_031514 transcript:EOY13007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome C oxidase copper chaperone isoform 2 KPLKPPPPVAGFESPVCLKFNISYIDLLPGRMGGLPMQNTSALALPGSQQNQVSAVTSGPESKPKKKICCACPETKKLRDECIVEHGEEACAKWIEAHRKCLRAEGFNV >EOY12625 pep chromosome:Theobroma_cacao_20110822:7:3025962:3026477:-1 gene:TCM_031124 transcript:EOY12625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSLVMANGWRLGCELHLRTHKPEKDFLKTVIVVIIQRDKSICFMHILRRAKARLSQEKHEIKEETSYQLLHIFKQNTTSQERRKFK >EOY12742 pep chromosome:Theobroma_cacao_20110822:7:3957453:3961677:-1 gene:TCM_046868 transcript:EOY12742 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MRRSSLFSLLSLLVFVCLAFKAINGTDTIEQGQPIAYPSTIISAGGKFELGFFSPGNNSKYYVGIWHKVSNRSIVWVANRDHPFPSESSVLSINTKGKLVISDGRMFYMVTNVKTSRNTSATLLDSGNLVLLNNNTLEVLWQSFDDTTNTILPGMTVQDDDRFSVSNWLIVSWRSVEDPAPGSFYLEPEQDLAAGWELNFSFFLTIKKGSQTYWTDTRNAFWRYNNFVRGFASEFLNTSRIAQIVLDEFGQLKLQSWSEDDQRWYTLESSKCSYHRCGVFNICNITSDTPCSCLEGFKPISAPTSKENGTNKSCVRKTNLQCTNNGGHVQNDGFFRKQFVDYPSDEHVLNLTRSAADCRLECLSNCACNAYAYDIKLGCLVWYGDLFDLKQLPEKDIDGKIFYLKLAASELITSDTSSTNGITEDQKEKSDKRQLWTIVILSLSLSMLVLGFCIYFVSKKLQSEGEDLLKFDLAMSLKADDTDLKEASKPGIHRKNESKLPFFSFASVSAATDNFSVTNKLGEGGFGPVYKDLSFQGILLKGDEIAVKRLSRRSGQGWEELKNEALLIAKLQHKNLVRLLGCCIERDEKILIYEYMENKSLDFLLFDSIKRKILDWPIRARITEGIAQGLLYLHQYSRLPIIHRDLKASNILLDSNMNPKISDFGIARIFGGGELKANTNRIVGTYGYMSPEYALEGLFSVKSDVFSFGVLLLEIVSGKKNTGFYQSKSLHLLGYAWDLWTRDRALDLIDPMLEEVPTHLALRYVNIGLLCVQDNADDRPTMSSVVSMLNNEAMALPSPKQPAFLNARSMVNQNLVENGSEICSVNDKQQFAVLAFWIQHSKNSYSANALIRYVYIALPCVQEHAVDRPTMSDVVLMLSNELTVLPSPKQPASSSERSMVDVRLLRSY >EOY12867 pep chromosome:Theobroma_cacao_20110822:7:4520242:4522855:1 gene:TCM_031374 transcript:EOY12867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protochlorophyllide oxidoreductase A MALQAAALVPAAFSVPKEGKSSASFKDSSLFGVSLSDLVKADFSSSALRCKREFNQRTGAVRAQTTATATPAISRSAMDGKKTLRKGSVIITGASSGLGLATAKALAETGKWHVIMACRDFLKAERAAKSAGMSKENYTIMHLDLASLDSVRQFVDSYKRSGRPLDVLVCNAAVYQPTAKEPSFTAEGFELSVGTNHLGHFLLSRLLLDDLTQSDYPLKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSATMIDGGDFDGAKAYKDSKVCNMLTMQEFHRRFHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGFVSEEEAGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSPEASDQEKARKIWEQSEKLVGLA >EOY13010 pep chromosome:Theobroma_cacao_20110822:7:5299243:5307577:1 gene:TCM_031517 transcript:EOY13010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MMGCICCKPSAIEDSKESPRERPSSKASSDVRVSRATSSRREEAYRAKDRYDNNEGRTMLIDKQVNGAVRVHGENLERKRDKMEYVVSQHPGMGSVPKATEGEQVAAGWPAWLATVAGEAIRGWVPRRADSFEKLDKIGQGTYSNVYRARDLDQKKVVALKKVRFDNLEPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPGLKFSEAQVKCYMQQLLCGLDHCHSRGVLHRDIKGSNLLIDNNGILKIADFGLASFYDPHQSQPLTSRVVTLWYRPPELLLGATYYGTAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQQPYRRCVAETFKEFPAPALALMETLLSIDPADRGSATSALKSEFFIVKPLPCDPSSLPKYPPSKEFDAKIRDEEVRRQGAAGSKGQRPDLDRRGTRESRAIPAPDANAELVLSMQKRQGQSNSKSRSEKFNPHPEEVASGFPIDPPRPSQAVESNADAQGNHYKRASHSGPLAHRAAWAKSGKNLDDAPKISTGADLSMMSGLVAARRSSLISEDRRERSGSSQSEAPKIARFPGSFKEASESFIQQDQKHNLQQKEDGRSNSKDPVLLGYGSKGHKIHYSGPLIVPSGNMDQVLKDHDRQIQEAVRRARLDKAKMRKVQLEGNQISTNSLFVSGR >EOY12927 pep chromosome:Theobroma_cacao_20110822:7:4861176:4862139:1 gene:TCM_031428 transcript:EOY12927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNVYVVFGVLTIMASGVVMAYNVDPIKAYNCETKMTLHCVIEVFQSIFKTGIVTDNCCIELIGLGQFCHDVLIKKTLQNPLFKNNDTSVIISRGAQVWNKCTLVNKDVSPSPSPY >EOY13649 pep chromosome:Theobroma_cacao_20110822:7:9813886:9817101:1 gene:TCM_032275 transcript:EOY13649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein MDTTTCRLDSSEFRRGLQEWNGSCFCWRSFEKLRWYMDSGICIQIRLFQLHLVNCTRPTNSSLYVDASLCTNSSSHPPPYFYFLDQETPTHDFNPFCTVIAERVRVIIQNITGLSTLDIYEKLSIGVDYSWDDHDWICLEDKPSIFHTTLVSVKYALTNYMDSYVYFLMHGPHVSSNTKYPPKRTYFLCLGITGCDMKILHFDIKPHNILLDENFIPKVSDFGLAKLYFVDDSIVSLTAARGTIGYIAPELVYKNIGGISYKADVYSFGMLLMEMVGRRRNLNVLAEHLSQIYFPSWIYGRFHQEEGIEMGEVSDGEKIIVRKMIITAFWCIQVKPNDRPSMSKVLEMLETDVELLQMPPKPFQLPFEISSEDHVDNNPSEDPTSSLLSSNEISLNIA >EOY14261 pep chromosome:Theobroma_cacao_20110822:7:22753633:22755554:1 gene:TCM_033568 transcript:EOY14261 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase subunit beta MDKRKERKMHKFPLREEIGVLDRKARIWARVSRKQKISILVLSSAMGSNLREILENVCYPEIFLSFLTDKEKKKIGSKENAILEFYQQFSCVGGDPVFSESLCKELQKKFFIVAAQIRGGGGLCC >EOY13796 pep chromosome:Theobroma_cacao_20110822:7:10881245:10883639:1 gene:TCM_032442 transcript:EOY13796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQQQSSHQNGLIPPQLMPQLQSHQQSLRHLVQPSFGLLSGIRSQLFNPHLSPSSPLMNKFEAMLGLRDLRDQRPNSAQRSRQNPRFSQQGFDSGGLKSDIGWPQFRSKYMSTDEIEGILRMQLAATHSNDPYVDDYYHQACLARNYAGAKLRHHFCPTHLRDLPPRARANTEPHAFLQVDALGRVPFSSIRRPRPLLEVDPPNSSAVSNNEQKVSDMPLEQEPMLVAKVTIEDGLCLLLDVDDIDRFLQFNQLQDGGAQLRQRRQVLLEGLAASLQLVDPHGKNGHTDELAHKDDFVFLRIVSLPKGRKLLARYLQLLFPSGELMQVVCMAICHHLRFLFGGLPSDPGAAETTNNLAMVVSSCVHGMDLRALSVCLAAAVCSSEQPPLRPVGSPAGDGASLILKSVLDRATKLMIDFRAADNYNMTNQSLWKASFDEFFNLLTKYCVNKFDTVMQSLRMQVKPNMAIGKSDATRAFKREMPVDLLHACLPHINAQQKKLIWDLSQRSMLVE >EOY13053 pep chromosome:Theobroma_cacao_20110822:7:5649897:5658827:-1 gene:TCM_031572 transcript:EOY13053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHLDNPKDVWDHLKDEFQGSDRTRKIQAFNLTRQFEMLTMDESETIREFFGKMMGIVNQLRLLEDSRDIGQMTLKELVNALEGLEQRRAFRQNRLIKSVLVAKAKNQKQGHVEKVCKNKGKSLEEKAAVVEEHKLDGEVLFMVKKVDSDKKNNVWLIDSGCSNHLTGCKENFISLNENFRTQMEVGNGDMLLILGTGIVGVQTPGDIKLAANVYFAPNVCQNLLSVVEMRNKCFPLNWVTLKHFAFNCTLYDTKLWHRRFGHVNYGSLSLMASNNLVNGLPNMANSNNICEACQYGKQRRVPFPQSRTWKVTCKLQFCWIYFLKFKSDALKVFAKFKALVENQMSLTIKKLRSDNGAEYTANEFEAYLSKLGIMHQLTVPYSPQQNGVLERKNRTLMEMARCLLFEKKLPKFLLVEVVNTANYLLNLSPIKALVPDARRTKLDSKSMLAIHLGYSETSKAKQVVGLDNYVSVGNQLTEEEFELNLDDVDEMPMRGTRSLSDVYVRCHVAISEPSSYAEATSDEHWKQAMEAKMKMIWKNKTWVLVDRPTDHNVIGVKWIYRTKVNPDGLVNKYKARLVVKGFAQIYGVDYMETYAFVARHDTIRLLVALSARKVALYVDDLLVTGLETDCLFEFKSQMLKNFEMTDLGTVDYGVKYVRAESSELQGFSDSDWKQEVMAQSSAEAEYIATAVDANQVLWLRKILAELGFSQNKGTLLQVDNQSAIAIAKNPVQHGRTKHIRVKFHALREAVKEGDIELEYCPTKEQQADIFTKCLNAEQFEYLRGKLGVYSSGIKEEC >EOY14209 pep chromosome:Theobroma_cacao_20110822:7:22171568:22173584:1 gene:TCM_033495 transcript:EOY14209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKVRGSYKEASYAPSINDNRNETSSGILRQNLALFTKPSYALVLKGAFKKGGASNEERGDYLQCLEKHGKHALVEEKCCGTYFFESMKKPVVILGHSPTSKYLKVDNLK >EOY12818 pep chromosome:Theobroma_cacao_20110822:7:4258336:4263213:1 gene:TCM_031334 transcript:EOY12818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Developmental regulator, ULTRAPETALA, putative MKALHNWWCLGFSFSVSNMLADQELKSMEGFKKGSNYIEVKVGCTSKKYGDTVGKLRVFSNGRFLISCECTPTCGDKLSPYDFGKHSGKEGTRKWKNHIWVVLNDKKVPLCRTVLLKYYKHASNGASGLQPKRLCHRDEFISCSKCKKERRFRLRTEEECRNYHDALITRRWSCENWPYENPFPVSQIPNSFAFVGSFAFRINCQTEEERAGRKRCRGCPQTPICKGCTTCVCFGCLNCRFLDCKCRTCVDFMKNAEP >EOY12716 pep chromosome:Theobroma_cacao_20110822:7:3663243:3667965:1 gene:TCM_046864 transcript:EOY12716 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MAGFVLLLLCSFLWPHVTVSIAVDSINTTHSIRDGDTLVSAGGIFELGFFSPGSSSKRYLGIWYQNSVTTVPWVANREVPLNDTSSVLRVTDLGILVLLDSNGSTVWSSNASRPVRIPVVQLLDSGNLVVKDDGDSNPENFIWQSFDYPGDTFIARMKIGRDFRTGLGRFLSSWKSPDDPSAGKFTYRFELGGFPEVVVREGSTVRFRSGPYNGERMSGMLEMKENPMYTYDFVFNEEELYLTFTPRNSSILLRGVLSSQNGAIEPFMWIDPNRGWIQNLPLYIDNCDRYALCGANGICDNKKSPVCSCMTGFVPKSPKEWDAVPGTDGCLRKTRLNCYANLDIRNGGSGCLLWFTDLIDMRYITDSAQDIYVKVAASELDFIEIVLTHLDQNEHTRNEEKSNAKNRTRIIASTALSAGVLIAGIILVLLWRRKKRQRKGLQTFPSDSISEHQNQKEDRELPLFDFTAIVSVTNNFSTKNILGEGGFGYVYKGVLKDGQEVDVKRLSKSSKQGLNEFTNEVKHIAKLQHRNLVKLLGHIINGIARGILYLHQDSRQRITHRDLKAGNILLDNEMNPKISDFGLARSFGEKETTANTKKVVGTFGYMSPEYAIDGLYSIKSDVFSFGVLVLEIVSGKTNRGFYHPDHQHNLLGHAWTLFCDGNSLELIADPIKNTCIHFEVLRAIHIGLLCVQRNLEDRPSISNVVLMLSSEVPLPQPKQPGFFTERDLVEASSSSDNQKPSCSNDFAITVAEAR >EOY12931 pep chromosome:Theobroma_cacao_20110822:7:4872249:4873704:-1 gene:TCM_031432 transcript:EOY12931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNVYIAFGVLVIMTSGAVMARDVDPIKANNCETKMTTHCVIEVFASIFKTGIVSDNCCHQLIGLGQFCHNALVKKTLQNPLFKNNDTSVILSKAAQVWNKCTLVGEDVSPTPSP >EOY13813 pep chromosome:Theobroma_cacao_20110822:7:11094349:11098450:1 gene:TCM_032467 transcript:EOY13813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPPRRGRPPLYRSVGRGRGRARLSQPDPVERESAAPTFRAAPAVEPTEIPPPPPPPTATPGVHAMSLEAVQALAAFLNVIMGQAQAGRVPHTVPPAVSPVPPPPPLVPPPVPDVSISKKLKEARQLGCTSFIGDLDATAAKDWITQVTETFVDMKLDDDMKLMVATRLLEKRAR >EOY12028 pep chromosome:Theobroma_cacao_20110822:7:688492:689573:-1 gene:TCM_030646 transcript:EOY12028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMHRFIILSIFVLFVSGRVVQADGNAPSPSPKPTPTTTPLSPAKAPTSSSTSPMASPSKSPSSSPPSATPTSSPPTSSPPTATPPSVQSPAATPPASSTAPVQSPAATPPASSKAPVQSPASSPPMSTPPASAPASSPPTLTPASSPPSLSPVGAPTVADGPVGTPESSANIPSSSATPSESPAIFPSSSSPPSPTPVGSSPESTEGPAVNDESGSRSSYEVGFFLSTGLIVGAALAF >EOY12700 pep chromosome:Theobroma_cacao_20110822:7:3469961:3475583:-1 gene:TCM_031218 transcript:EOY12700 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MGRKVVLTSKSIEGVAYMELLGPIFEVVKCFGGPAYRSISHHRKLKENVNDLKRKVNDLNIRKQDLEFRKEADIRCRRVVKKEVEKWFEDLERINIELQMIEEKLRVVSYFSRARLGKFVCQKIEEAKEIYHRGNFPEGVTIDGPPTTGVTFLTTKLEGEIDVKEQIWENLMGDEVGMIGVCGMGGMGKTTIMKHINNQLLKENQFDAVIWVTVSREFNAVKLQGDIACALECCLPENKLQWATVLMEVLERKRYVLILDDVWERFSLLDVGIPEPTLHNGSKVIITSRSIEVCNSMGCKVFKVQPLSQKVSLNLFLNLVGDRVLQHPTVKEIANLIVDECGGLPLAIVTIASSMRGVDDTCEWRNALNELRERVKSVKGLDIEIFERMKFSYDRLKDSKIQNCFLYCSLYPEDYHIVEEELIEKWIDEDLIDELETRQAMQDRGRAILNKLVNNCLLERVMTYNVKEEVKVHDVLRDMALSIIRGCHHFMVQAGMQLVELPSEHKWKENLEKVSLIHNYISQVPQISPKCPNLSTLLLQENHSLKRIPESFFDHMHGLKVLDLSDTGIYDLPNSISNLENLTALVLRRCSSLRYVPSLAKLTALRKLDLFDTIIEEVPRGIEMLESLTYLDLYSRNLKELPTEILLRLSNLQYLKTWMNRRGEEVAKLRKLEILLGFFCEIQDFERYAKSLLGQGPSKYWLGVGSPTLGNIRSHPWCSHLEDVEVDKKVCFINCEIGKEDLVVLPNCVRTLTVEACHDLKSLSNISLCRKANELKTCTISWCKGIKCMVDLSLSSCNSLQNIEVLRLRCLSNLQELVKGRVAAVSTSHTPAPPAIFSSLRKFHLFRCSSIKNLFSVQLSRGLQNLEYIEVNRCEKMEKIIASEEEEENHKEEERGPKVTTYVFPKLQTLHLIKLPELKSICTSGVMVPANSLQFLSIINCLKLKKIPFSIPRLETGQPTPPPLEHGYVHPRIWWELVEFDDPDAKDVLSQVVLEFSSEEDEE >EOY12417 pep chromosome:Theobroma_cacao_20110822:7:2169234:2171634:-1 gene:TCM_030939 transcript:EOY12417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEYLTVGNCEEIFQLEGGFFVSSLEKLQLKDLQELQVIWKGPTQIATLQNLTHLEVVECKRLRHIFSPMFARNFLQLKDLHLEGCEELEQIIVKDQISLSSSKDRLQAISFPNLTKIWINNCNKLKILFPVSATRGLPKLEELKIEEASGLEQVFGHEDGANITNEEDMALSKLKKVDILHLPSFVSFCPSDYHIMFPSSFTATVEDCPNLTTCFTVDRKNLIPSGTQKPHLQKEKKLSKTQGKCFLDLKELRIKSCGVQEVFQLKGLPLLTTTAEHQCYCSMSGNIIDIVESYKSVSLSGLTTLELSKCKRLKHIFTPTLARNLPRLKYLSIWECEDLEQIIANDDGKERDQTSTSTSSRGHFQPICFCALNKVKIGSCNKMKSLFPVSVAMPKLEILKVEGASELKQLFGDKDEEAGAQEEKQIVLPQLWMLDLLQLPSLITYCPRGYHLVIPYLIYSKVENCPKLCVNAKT >EOY13989 pep chromosome:Theobroma_cacao_20110822:7:16654322:16655066:-1 gene:TCM_032976 transcript:EOY13989 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MKQLIRRLSRVADASSQYSLLRSNASAPTSTTTRHRTVTRRAESFRVAVSSLKKSTRRSVPEGHVPVYVGEEMERFVVNAELLNHPVFIGLLNKSAQEYGYEQKGVLHIPCHVLVFERVLEALRLGVESRDLQDLLRSFSDDCFLEY >EOY11945 pep chromosome:Theobroma_cacao_20110822:7:414396:417980:-1 gene:TCM_030583 transcript:EOY11945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesyl diphosphate synthase 2 MVDIKDKFKEVFSVLKSELLNDPAFEFTDDSRQWVDRVVEYNVPGGKLNRGLSVIDSYKFLKDGEELSDEEFFLACALGWCIEWFQAYFLVLDDIMDNSQLRRGQPCWFRLPNVGLIAVNDGLILRNHIFRILMKHFKGKPYYMDLLELFNEVEFQTASGQMIDLITTIPGKKDLSNYSLSIHRRIVQYKTAYYSFHLPVACALLMAGENLDNHTDVKDILIEIGTVFQVQDDYLDCFGDPNVIGKDGTDIQDFKCSWLVVKALERANEDQKKLLSENYGQADKACIDKVKELYNVLDLQSVFTEYENQCHENIMKSIEAHPSKAIQAVLKSFWKKIYQRKK >EOY13368 pep chromosome:Theobroma_cacao_20110822:7:7823999:7838483:1 gene:TCM_031923 transcript:EOY13368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKKEETTVRGDSATKAAATKNKTNHITCFRITLNPIPQVAICTHFPRDCLPLIFIARDGNIAINVKGVVELD >EOY12999 pep chromosome:Theobroma_cacao_20110822:7:5238673:5242409:-1 gene:TCM_031508 transcript:EOY12999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLEAKEELGQTIVHQLKTSLSQTLDYFSPLAGPFATIELEYNTSSFFIDCSNAGVLFVHAAANRITIFDRGGGEEGPRFQRWFPNGFAGLFGFHDFIPPCLQVRFFHFTKESIANLKTKANAEVGTDKISSLQALLSYIWRTVIRCKNLDPVEETNLFLPVSAKSRLHQLSQQYIGNAMLGGVITMKVKDLLEKGLRNAAWETNKSISSV >EOY12990 pep chromosome:Theobroma_cacao_20110822:7:5175709:5177835:1 gene:TCM_031495 transcript:EOY12990 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MVLSLLAQTLALGSPSTIPNNATFNLDDFRTSIFVCIIGLMLFYLFYQILDRFLNCLPNERYDRDIELQDIANHRSAANSHWSREVAVHNSLWLLETLNGFMTLLAERQGLSPEDSEKSLPLVHYKSLGKTLDECVICLEDLEDDEPCRVFPVCKHVFHFDCIDNWLRNHTTCPGHQSPSHAKIRSSRTMVTLSFAIHSLDYPLIVSLITAIGIVFLLLSCLIGVCIIATSFIILIIYIICECLSWPIFGKFISDLLRRAIHRVRVVTYQAIIPNYLQPSGMTEGFPQSTDDRVMLRQQTFEKLLRPMIYGVGSHPLKSRDCAICLDDYVVGEVCRVFPACKHTFHLSCIDHWLENHRTCPVCRQCI >EOY14037 pep chromosome:Theobroma_cacao_20110822:7:18775221:18813015:-1 gene:TCM_033158 transcript:EOY14037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPPRRGCPPLSRSVGRGKGHSQRCQLDAIGEKSAASTIRAAPAAEQANSPPHPPPPPPSTGIPAMPTEAAQALVAFFTAMAGGAQTGQVPPIVPPVTPLVPPPVQDVSISNKLKEARQLGCVSFAGELDATMAKDWINQVSETLSDMRLDDDMKLMVATRLLEKRACTWWNSVKSCSITPQTWSDFLKEFNDMVKSEQDQASYFEERLRNEIRERMTVTGREPHKEVVQMALRAEKLATGNRRIRTEFAKRRNPSMSSSQLVKRSKDSAISGSTTSVSVTSPRLPFPPSQQRPSRFSRSAMTGSGKSFRGSDRCKNCGNYHSGLCRGPTRCFQCGQTGHIRRVAIRSGVESNTPAHPTSRPQTRTLTRVFVVTKDEARVRPGAVTGSMSLFDKDAYVLIDSSSDRSYVSTTFASIADRNLSPLEEEIVIHTPLGENLVRNSSYRDYGVRVGEEEFRGYLIPLEILDFDIILGVIRFANRGKLIPRYIGPFRIIERIGLVAYRLELPPKLDRIHNVFHVLMLKKNVPDPSHILETPPIELHDNLKFEVQPVSILDRKDRVLRNKSISMVKVLWKSARLEEMTWEVEHQMRNQYPHLFVESGK >EOY13985 pep chromosome:Theobroma_cacao_20110822:7:16595038:16596684:1 gene:TCM_032968 transcript:EOY13985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein, putative MNYKLQYICRPEDIATVNVHAAKDLLGSSHCYLDVRTPAESCKSHITNASNVPYMFITQEGRVKNLEFLTQVSSLLKKDDLIVVGCNSGGRFLGACVDLLNVGYEDVSNMEGSYSGWVDSGLVGHGKPSEELKNFCKFRP >EOY14254 pep chromosome:Theobroma_cacao_20110822:7:22655052:22656667:1 gene:TCM_033557 transcript:EOY14254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin A5, ALPHA 1.4,EXP5,EXPA5 isoform 1 MGLLGFLLVVLLSVLNFVHGFPRNHAPHGYLGPWINAHATFYGGGDASGTMGGACGYGNLYSQGYGINTAALSTALFDNGLSCGACFELRCVNDPQWCLPGSIVVTATNFCPPGGWCDPPNHHFDLSQPIFQHIAQYRAGIVPVIYRRVRCRRSGGIRFTINGHSYFNLVLITNVGGAGDVHSVSIKGSRTRWQPMSRNWGQNWQSNSYLNGQSLSFLVTTSDGRSVVAYNVAPAGWSFGQTYAGGQFRF >EOY14253 pep chromosome:Theobroma_cacao_20110822:7:22655265:22656654:1 gene:TCM_033557 transcript:EOY14253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin A5, ALPHA 1.4,EXP5,EXPA5 isoform 1 MGLLGFLLVVLLSVLNFVHGFPRNHAPHGYLGPWINAHATFYGGGDASGTMGGACGYGNLYSQGYGINTAALSTALFDNGLSCGACFELRCVNDPQWCLPGSIVVTATNFCPPGGWCDPPNHHFDLSQPIFQHIAQYRAGIVPVIYRRVRCRRSGGIRFTINGHSYFNLVLITNVGGAGDVHSVSIKGSRTRWQPMSRNWGQNWQSNSYLNGQSLSFLVTTSDGRSVVAYNVAPAGWSFGQTYAGGQFRF >EOY12337 pep chromosome:Theobroma_cacao_20110822:7:1746937:1753422:1 gene:TCM_030870 transcript:EOY12337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuole, cultured cell-like protein MTAEEVTVVHVAEEEVASSSSKVEIVVEKDKEEEKEKEKDDLSNGANGSTVKEEGDGDGDGYVFVNGDEAVHGDSVESDLEKNGIGIGGEDQGVENLEIKGEVESKSDLVKDLEGEDGSCIGILDQDKESTELCHVEGPVVEDKPEDLFESGPVSATNVEDGVSETSRANQNGVSESPEIVSDSNGDGSANGVAKQTVSEAAAVDSSGKQSDEVSSVSVSDSYGGSLANDSVVDSRSKVNEDYETAVADDGDSNGGSLANDSFKDSVVDSRSKDDKDSETAVPDDGDSSGDALATDSAKDTVSEGAVGSDHEQNGVSELPVSDDGVPPVSVSDSNGDAFPNDSAKDTVSEAVVTDSGAEQNDVSKPEQNDGVPVSVSDSNGDCLPAESVEDTVSEVSKPEQNESSNIVEAEADCHGPVSNGNGDRTEQNGFSEMPETVHPDNEPVKSEEDLTARDEVPVQGGLDLEGNSEQGLSPKADADLEKDAVSGSISDERGEALQDDHTQGFYSETVVINDSVDSSQNSQQGQSSEIVESTPSPVTDENVTVERGSSDTTADSNIGTGASADFVERSPSTVTLENVTVESGVVDNTAETLPSSTVDDEKAETEVVKSVDDEKAETEVIKSDENSRGGSDSHHVEDSEVEINVVNGSVDDDTKLSCLANGLKSETKINSDSIVSEEAGVSTELAEDSIEPHNVGDKDEKLAVADVQRDSSLAAPLGNDGKAPPAVENFSAVSNRDITGNDGIVHESGVSNSDTNGSEQNCAVINEGTQSGSVANDGKTCKEQEGIDEVERKRPFYFLIRIPRYDDEDLKEKIRLAQIRVDEKTQSRDAIRIEMQSKRATCKEYGDNFDAARSQERAARDLLRSKRQEIDSIQSVINIDDIDGRIRNMEHMIQHETLPLKEEKQLVREINQLKQVRDQLSSNRGRHDEVQQGSDQKEEIEKRLKSLKKEVDQLKDNLLKAEAVTKVAKKKYYDETEKLNKLLSQFKAADDIRQEAYAQLQGLKKQSYEKSKYFWQYRDDAKTANDLALKGDKEALQNLCVNQVERVMDLWNNNDEFRKEYMRCNVRSTLRRLRTMDGRALGPDEEPPVIPQVVNGRVAKDHTVSSSTLEERIQEKPVLAKAEKTNDKPVTKAVEQKNQTSKSEKSVKSVHPVSGSTTASSRDEIEEARDEKPKRAKEEEELARKEEELARKAEDLRKEEEAAKLREQRRLEEIAKAKEALERKRRIAEKAQARAALRAQKEAEQKEKEREKRARKKEKRKVATAAAGDANATDEVEPAPASETPTETQKESENKEKPVIVAKRPQKPSQFTKQSKAKSIPPPLRNRGKRRMQPWMWVLLTTLVIFALLLVGNGNFFSNFGLQRFYF >EOY13290 pep chromosome:Theobroma_cacao_20110822:7:7230636:7236316:-1 gene:TCM_031823 transcript:EOY13290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEERKIKVRNMYKLRILYFLPIIKDRKIRRSRGFGFGTFREEKAMRDAIEGMNSENLHGRNITVNEAQSCRSGGGGGYGGRREGGCGGGRCEGGYSNGKGYGGGGYCEGGYGDGGSGPFRGGSPSEGNWRS >EOY13519 pep chromosome:Theobroma_cacao_20110822:7:9032900:9039761:1 gene:TCM_032120 transcript:EOY13519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein, putative MPSRRGRPPLTRSVGRGRGRSQRRQLDAVGEESTASTIREASAAGQADSPPHPPPPPSPISIPVMPTEEAQALAAFFTSMVGGAQTGQVPPIVPSVTPLVPPSVQDVSISKRLKEARQLDCVSFTDDDMKLMVATRLLENRACTWWDSVKSRSTTPQTWSDFLRKFDEEYETRFNELMLYVSDLVKSEQDQASYFEEGLRNEIRERMTVTGRESHKEVVQMALRAEKLVTENRRIRTEFAKRKNPARTDMQRRDSAGLPPRQGVAIRSGVESNTPAHPPSRPQTRTSTRVFAVTEDEARV >EOY13951 pep chromosome:Theobroma_cacao_20110822:7:15217662:15222966:-1 gene:TCM_032846 transcript:EOY13951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATGRILVKSRKFFIEGKQSADCWAASQRQRVRDVTTAMAVAERLTDYSGNSNIRKNLSSKTSSSNLNGGAKFSKINICSSGGDDRRPPVRDSP >EOY13660 pep chromosome:Theobroma_cacao_20110822:7:9945650:9948575:-1 gene:TCM_032294 transcript:EOY13660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIERGKSSAKLRLVIVKGKVHKEKFGEPYEPRDLFMLWGILQLLRFYPGKLPKLDLLFFTGDKTRIKKTDYQGSNATSPPPLFHYCGEEEALNIVSLIGPSGDGKFLYILPQFIGVVKKRAEVNIMPCEHMLSAIKNGNKRIKWEDRIPYAYWKGNPYNSLERKDLRKCNFSNKYEWNVRLYIQNWTKANEEGYKIYAEGVTWSGSEKYILACDSMILMITPRYYDFFSRSMVPMQYYWPIRNTSKCRDLKFAVEWDNNHPDKVCLETAACSREGLWREYLEQSMVKSPSDKLPCTLPSPYEPQALQASLDQMEKITTQVEEWQTEY >EOY14347 pep chromosome:Theobroma_cacao_20110822:7:24292502:24294845:-1 gene:TCM_033738 transcript:EOY14347 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI superfamily protein, putative MAKQARMEGVDRISNLPDPILSHILSFLPTKEAVATSILSTRWSKIFSQISNLNLDDHCKRRKSDSYSFMNFVDRVLFYRIGVVDKFHLKCGDSIDSYRVDGWIRYALLNRVRELDLCLKVKELNMVPLGVFTCKTLEVLRLDIYSKSNIVLKLPVEICYPSLKILHLSGIEFSDDDSIQRLFSGCSVLEELVVDRCNLKHRCKFNVSSPTLQRLTIAYTKGYYQDYEIVIDAPSLVYFKCCHLPKSFLLKNLNSLVEACVDFGTVFDCYDSFVYYNKAATDLFKGISNVQSLHLSGIFAEVFLQVSNIIPELPKLTYLNLDGYFFVGWERVLPDLLACFPGLEALVFKVNQHYSCDISRVKFPAKAFPAFLWSQLRTFKILSFKGQKNEFQMVEYFLEYAQVLENFTVQTRERKQDAANWRSKITKKLSKLQKVSKKCKVWVV >EOY12468 pep chromosome:Theobroma_cacao_20110822:7:2303321:2305696:-1 gene:TCM_030972 transcript:EOY12468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLLCIFACEQCLILEAIEPGATILGIYSPINYVFMWMSALFLLQIVETMLRAATEVSTLLARSFFMGFSLTVLALLARLRVLVQQILLDIVPVFNTVSSLSQRKHSVKITHEGIEVYREFYPKKEDYVTLECVWNTDKFVLAERMNKCEIEMPEGDNGGEVSVGNNAVRYQSIESFLGDDEPTTEKSDTERLTHMKDSMTNLLTDTSIEGEDRRQVEKIAEKVDTTVNTECPSKNTSQDRVLADTGTSASFGSSKVMPGARKVAFVSVKGPVSSTANPIDHPSKAAASTMNPTGLPSEETGKSEGDKTDAFYSLLTRGNYKDSLFG >EOY12466 pep chromosome:Theobroma_cacao_20110822:7:2302990:2307793:-1 gene:TCM_030972 transcript:EOY12466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDSEIDSMKEEKLKTLLGQLEAERGILERIVYKNKNQHRRSSYFQRLLKVRRDLRLLQSANLEELLSSCFQVIAGKRPKQKVHLLESLKRRKYDVGKPNFMERLLGAARLLSQIVETMLRAATEVSTLLARSFFMGFSLTVLALLARLRVLVQQILLDIVPVFNTVSSLSQRKHSVKITHEGIEVYREFYPKKEDYVTLECVWNTDKFVLAERMNKCEIEMPEGDNGGEVSVGNNAVRYQSIESFLGDDEPTTEKSDTERLTHMKDSMTNLLTDTSIEGEDRRQVEKIAEKVDTTVNTECPSKNTSQDRVLADTGTSASFGSSKVMPGARKVAFVSVKGPVSSTANPIDHPSKAAASTMNPTGLPSEETGKSEGDKTDAFYSLLTRGNYKDSLFG >EOY12467 pep chromosome:Theobroma_cacao_20110822:7:2303321:2307046:-1 gene:TCM_030972 transcript:EOY12467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MERLLGAARLLSQIVETMLRAATEVSTLLARSFFMGFSLTVLALLARLRVLVQQILLDIVPVFNTVSSLSQRKHSVKITHEGIEVYREFYPKKEDYVTLECVWNTDKFVLAERMNKCEIEMPEGDNGGEVSVGNNAVRYQSIESFLGDDEPTTEKSDTERLTHMKDSMTNLLTDTSIEGEDRRQVEKIAEKVDTTVNTECPSKNTSQDRVLADTGTSASFGSSKVMPGARKVAFVSVKGPVSSTANPIDHPSKAAASTMNPTGLPSEETGKSEGDKTDAFYSLLTRGNYKDSLFG >EOY13257 pep chromosome:Theobroma_cacao_20110822:7:6790194:6794539:1 gene:TCM_031774 transcript:EOY13257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucosamine mutase-related isoform 2 MKEEQSSLLLKSSSLFPPPQGVKLSYGTAGFRADASILKSAVYRVGILAALRSLKTQSVVGVMITASHNKVTDNGVKIVDPSGGMLSQEWEPFADQLVNAQTPETLLSGISSIFGAIALDLGILTTPQLHWMVHARNKGMKATEPAYFEQISSSFRCLIDLIPNGTKVNKLDNRVVVDGADGVGGEKLLVLKNILTDLVNEVRNSGKDGGLLNDGVGADYVQKEKVVPRGFGSNDVGKRCASLDGDADRLVYFSVPSNSSSKIDLVDGDKMLSLFALFIKEQLNILTREGNKKSNNNFQAHLGVVQTAYANGASTDYLKQLGLEVIFTPTGVKHLHEKAAQFDIGIYFEANGHGTILFSESFLSWLEARNNELALVSEGSEQQKAALRLLSVSKLINQAVGDALSCLLLVEAILQHMDWSIHKWNELYQDLPSRQLKVKVVDRTAVVTTNAETVAVTPPGIQEAIDAETGKVP >EOY13256 pep chromosome:Theobroma_cacao_20110822:7:6789695:6794557:1 gene:TCM_031774 transcript:EOY13256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucosamine mutase-related isoform 2 MKEEQSSLLLKSSSLFPPPQGVKLSYGTAGFRADASILKSAVYRVGILAALRSLKTQSVVGVMITASHNKVTDNGVKIVDPSGGMLSQEWEPFADQLVNAQTPETLLSIIRECVEKEKIPFDGVQSAEILLGRDTRPSGESLLEAAKHGISSIFGAIALDLGILTTPQLHWMVHARNKGMKATEPAYFEQISSSFRCLIDLIPNGTKVNKLDNRVVVDGADGVGGEKLLVLKNILTDLVNEVRNSGKDGGLLNDGVGADYVQKEKVVPRGFGSNDVGKRCASLDGDADRLVYFSVPSNSSSKIDLVDGDKMLSLFALFIKEQLNILTREGNKKSNNNFQAHLGVVQTAYANGASTDYLKQLGLEVIFTPTGVKHLHEKAAQFDIGIYFEANGHGTILFSESFLSWLEARNNELALVSEGSEQQKAALRLLSVSKLINQAVGDALSCLLLVEAILQHMDWSIHKWNELYQDLPSRQLKVKVVDRTAVVTTNAETVAVTPPGIQEAIDAETAKYPRGRCFIRPSGTEDVVRVYAEASTQEGADSLANSVAKLVDQFLGSGSSAR >EOY13304 pep chromosome:Theobroma_cacao_20110822:7:7407983:7419786:-1 gene:TCM_031847 transcript:EOY13304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MGKVVSMLYRILFLLLAFLHFQVHCSLSSPSFFLDSAHLCLPEQRAALLEFKNTISLGYYCDGYPRTSSWNESTDCCSWDGVSCHKVTGHVIGIDLSASCLHGTLPVNNSLFHLQRLQWLDLSANNLHGSLLENSSLFHLEGLQRLNLSHNHFNGSISSELFNQLVSLTHLNLSHNLFSDLIPYEISLLSKLVSLDLSGNRNPYLRFDSQGFDMLARNLTELRNLILDSVNMSDVALPSFLNLTSSLERLSLSFCDLHGEFPSENFRLPYLQHMDLGGNRNLIGYFPKSNLSSGLKLLDLSLCSFRGSIPASIGNLTQIIFLDFSVNDFGGQIPDAFGNLNKLTFLSFDSCNFSVQLPSTLFNLTHLTHLDLSYNRLEGSLPNHVSELQLVEDISISNNLMSGGVPSWLFTLPSLIKLDLSHNKLTGPIDQIQKPNSLEYIYLSSNDIHGSIPNSFFDLVSLATLDLSSNNLSGVIKSNMLAKLKNLTHLDLSNNSLLSLSASENDVNYSFPQLYNVSFSSCSITQFPSFFRTSNLEILKLSNNNISGGISKWEAEGWESLLELYLSNNSLTNLEQFPGMNLKVLDLRSNLLQGPILSNSLICNQSSLRFLDLSRNYLTGTIPNCLGNFSFFLQFMNLEVNNFYGKVPDSFTKDRLRYLSLNDNQLEGLLPQSLANCSSLRILNLRNNKFTDTFPHWLASLPDLQVLILRKNRLYGPMPTSIAPSNFSALQIIDLSHNELTGPLPTKFFQNLRAMKDQKTHGGLGLLLYSRGCAIYDRDCQYSMVVPEKPSVNVTTKKLEMELAKTLTIFKSIDFSSNLFCGQIPKEVGELISLQTLNLSNNNLTGPIPASFGNMVSLESLDLSSNKLGGRIPFQLTNLTFLEVLNLSQNDLVGPIPHGKQFDTFENDSYSGNLGLCGFPLSKQCGNNEEPKPPVPMLKEDEGFEIAFIWKVAMMGYGCGVVLGLSMGYIVFTTGRPWWFVRMVERDWLNNVTKWIRRSSRRRRN >EOY11904 pep chromosome:Theobroma_cacao_20110822:7:306973:309412:-1 gene:TCM_030560 transcript:EOY11904 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein MSESAYRVETTARLAQWRIDNFASCNYRKSDPFKIGKWNWHLLVERNRVLSVKLYPEISNLTRENPPIASFIIRVVCSTGDRRAFTHPEITDKQLKINDDFVWQIEVPLTGKFIIDVEFLDLKTASPNVSSHPDVSNHEFNTADQGLLWKLMLMRGGEPCSIWAEGFTEKQSNATALACLGRMLTEGIHTDIIINASDGSIGTHRAVLAARSPVFRSMFSHNLKEKELSTINISDMSIEACQAFLSYIYGNIKHEEFLTHRLALLRAADKYDIADLKEACHESLLEDIDTKNVLERLQNAALYQLPKLKSSCMRYLVRFGKIYDIRDDFNAFLQCADRELIADVFHEVLNTWKGF >EOY14023 pep chromosome:Theobroma_cacao_20110822:7:17602613:17603699:1 gene:TCM_033068 transcript:EOY14023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKNSCLKTQRDITLKHVIVNNQLVDPRPHNNISRALLYQIPIAMAISTMLVNIREIMWSQPCISTCGLATYINPWPHHVYIIDCGPNQVK >EOY13924 pep chromosome:Theobroma_cacao_20110822:7:13622395:13625791:1 gene:TCM_032700 transcript:EOY13924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENFARNHEADIENNASQEKLLKDFAEGIQSKYNIVMHNSQRPLIPDRIICCVNPELREANAAAYRPKFVVIGPLRCYGSILEHIEMQKRIYLASFLQRAEKKASLNDFYELIKDSADEIHGCYEETYCRSWDFLSHKIRQDIVASNGRSELFIEMVLVDASFIIELFLRAFSKKGRAESDFFFHEPETIYNIRQDLFLAHNQLPFFILKALYELAFAGNPDHPSFLHLTCHFFGPYYNQHTSIQDIISPSDCHDRYRAKLEGSKHFTDLLRTLQLPYSFQKDCEQSLEAGQVKGKYLYSAVRLREAGVKFKVSTHRCLLDIEFNQNNGELKIPPLRLDELTESFFLNLLAWEQRYYPHETLIRDYIFLMEYLIKSTEDVDLLVRKRILIDQLGSHNAVVTLFKKLWKHVTTMKKNHYSDIFRKLNAYNAVRHHSWIAILKLQYFSTLWRGVATIAAVVLLVLTLIQTICAVISL >EOY12311 pep chromosome:Theobroma_cacao_20110822:7:1650298:1657513:1 gene:TCM_030851 transcript:EOY12311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein, putative MGQIVKRKKKGRPSKADLAKRGSSPAAQSETELRRSHRRRNVRYNIDYDDYLDEDFEEEDEEEERRREKKLKLVLKLNQGQEAEPPSPPPLPPSRGRGVSSAAARGRRAGRKEVEEEEVEDDEEEESEKRKKKIKKRRINGGDEIDHDDYEEEVDHDEDDDHGDAEGRGRKGESKGQDSVPGTPSDPPSGVPLPDKKTLELILDKLQKRDTYGVYAEPADPEELPDYHDVIEHPMDFATVRKKLGNGSYSTLEQFESDVFLISSNAMQYNAPDTIYHKQARSIQELAKKKLEKLRMDVQRYEKDSKIEQKTKSNFIAKKQTKKPSYCATQEPVGSDFSSGATLATAGDIQNSSITIQANACERPSHTDAPVEGNYSLADYNLEKTEELSSGKGLLSKFGKKSFALDDNRRATYNISTQPVARSESIFTTFEAEIKQLLVVGLQAEFSYARSLARFAATLGPVAWKVASRRIEQALPMGFKFGRGWVGEYEPLPTPVLMLENHAPKESAPLRAADARKDDVTYKTPVPSTSVRKDDVTYKTLVPAKPHPLNVPASEEKSSSFRPGGPTSEGRPSLFASTGPRPGKPVNTIHKLQNLPPRKFSEPENKVSKQVELNLPPTGNQNNADLITEKKSSNKSETAALKSREMVSRNMSLAQAVSSKQIENNVAVDGDLPNGKAASNCFNNRAINLSSDGIPTQMAKAAAYYSHGQEQGLNDPVQLMRILAEKAQKQQNSSNQSPTDTPPAMPSVPSIRRDDSSSAAAVAARAWMSVGAGAFKQATENSSTSKGQISAESLYNPAREFHLQGSRVQGEFPLSAGMQFQPQIEKNSFPLHTFAPQPVRLMNEAQFQNRPMVFPQLVATDLSRFQVQSPWQGFSPRTQTRQKQDTLPPDLNIGFQSPGSPVKQSSGVLVDSQQPDLALQL >EOY14312 pep chromosome:Theobroma_cacao_20110822:7:23894137:23910130:1 gene:TCM_033686 transcript:EOY14312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 3 MMCNLVVIDTAPNKSLSPPLVPLSFCCIYCRVNRERDILLPKQYIHLLLSLNFHIVYMADSAPLLSHHDQSVELRQPRSLDETIERCIGDFGWSQFLQAALVSFAWVFDAQQTFISVFTDAEPSWHCTQVEHQSSACNSLSNICQLSKNSWSWDWPTHTSIISEWGLECSTSFITGLPASSFFMGCLAGGLALATLADSTLGRKNMLLLSCLMMSLSSLLTVFSSNIWMYSALRLINGFGRATIGTCALVLSTELVGKRWRGQVGVIGFFCFTLGFLSLPIIAYINRGSSWRTLYLWISVPTIFYCILVRFLVHESPRWLFVRGRKEEAVSTLKSMAQANQSILTMSFSNVPIEPESWNVDLYSTVKILLNKRWAFRRLAAVMIAGFGIGMVYYGMPLGLGNLSFNIYLSVTFNALSELPSSLITFFLMGKLNRRGSLLGFTVLSGICSVLCVVIGQVWPGLQIGLELVSFFSACTAFNVELIYTLELFPTCVRNSAISIVRQALVFGGVFSPVLVAAGRKNGFLSYGVFGLAIGFCALPVLGLPETRGATMCDTMDEEEQKELEKAASDAHGIAAAMLA >EOY14340 pep chromosome:Theobroma_cacao_20110822:7:24235739:24237941:-1 gene:TCM_033728 transcript:EOY14340 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain transcriptional regulator superfamily protein isoform 2 MLPGNGQLSVPPGFRFHPTDEELLYYYLRKKVSYEAIDLDVIREVDLNKLEPWDLKDKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIHLCNSKKIGMRKTLVFYTGRAPHGQKTDWIMHEYRLDDDDSDVQEDGWVVCRVFKKKNHSRGNFQPEFSQEESFTHIKTVASSAQLETRHNHLQALYDFSFDGSMQLPHLFSPESAVASSFISPVSLNSTDIECSQNLLRLTSSGGCGLVQQERYNGEWSFLDKLLATHHLSVDQQHSQGKCTPSSQVDVGTSTQKFPFQYLGCEADILKFSK >EOY14339 pep chromosome:Theobroma_cacao_20110822:7:24235743:24237925:-1 gene:TCM_033728 transcript:EOY14339 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain transcriptional regulator superfamily protein isoform 2 MLPGNGQLSVPPGFRFHPTDEELLYYYLRKKVSYEAIDLDVIREVDLNKLEPWDLKDKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIHLCNSKKIGMRKTLVFYTGRAPHGQKTDWIMHEYRLDDDDSDVQEDGWVVCRVFKKKNHSRGNFQPEFSQEESFTHIKTVASSAQLETRHNHLQALYDFSFDGSMQLPHLFSPESAVASSFISPVSLNSTDIECSQNLLRLTSSGGCGLVQQERYNGEWSFLDKLLATHHLSVDQQHSQGKCTPSSQVDVGTSTQKFPFQYLGCEADILKFSK >EOY14338 pep chromosome:Theobroma_cacao_20110822:7:24235670:24242296:-1 gene:TCM_033728 transcript:EOY14338 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain transcriptional regulator superfamily protein isoform 2 MKILITHVFSFSATVENKMLPGNGQLSVPPGFRFHPTDEELLYYYLRKKVSYEAIDLDVIREVDLNKLEPWDLKDKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIHLCNSKKIGMRKTLVFYTGRAPHGQKTDWIMHEYRLDDDDSDVQEDGWVVCRVFKKKNHSRGNFQPEFSQEESFTHIKTVASSAQLETRHNHLQALYDFSFDGSMQLPHLFSPESAVASSFISPVSLNSTDIECSQNLLRLTSSGGCGLVQQERYNGEWSFLDKLLATHHLSVDQQHSQGKCTPSSQVDVGTSTQKFPFQYLGCEADILKFSK >EOY14350 pep chromosome:Theobroma_cacao_20110822:7:24348755:24349538:1 gene:TCM_033743 transcript:EOY14350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTHKVPIYCENMSAINISKNLVQYSRTKHIEIKQHLIRDHMLKEDIEIDFVDTLHQLAYIFTNPLNEEQFYKIRRDLAIIDANELLSLQN >EOY14130 pep chromosome:Theobroma_cacao_20110822:7:21238935:21241818:1 gene:TCM_033401 transcript:EOY14130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEYLHHGQPIPVAHYDLKPINFLLDEDMVAHLGDFDIAKLLGEEEDSTVQTITLATIVYMGPRNA >EOY13101 pep chromosome:Theobroma_cacao_20110822:7:5928517:6012731:1 gene:TCM_031625 transcript:EOY13101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLKIILLGSVIKLRNWSQSLLMRPKRDTKLFPYVEWVVWGRPLLLKWYTIIARLEVIVITWLGCTSLSSAEEEQFGKTCYVVLRLWIMSSPGGRERKI >EOY11887 pep chromosome:Theobroma_cacao_20110822:7:232186:255341:-1 gene:TCM_030543 transcript:EOY11887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase MGNNGFLLPLMVFVVVLSNSVANLSAESPNATIDQLALLALKAHVTHDPLNLLATNWTSGTSVCNWIGVTCGSHHERVTTLDLSKMSLIGTIPPHLGNLSFLSRLNIGFNHFHGSLPMELANLSSLKYINFRHNNFRGEIPAWFDSFTQLHSLLLYSNNFSGVIPSSLGSLSNLEKLILYDNDLKGQIPIAIGNLSKLKRLYLDNNQLSGQIPSAVFKCKALEFLSLTDNVLEGSVPQEIGNLTRLRYLYLDNNNLTGQLPSALFKCQELEELNLSQNALKGSVPQEIGNLTKVISLHLYRNNLTGKIPTTIGSLSVLRYLYLGYNSLTGQLPLTLFKCQELEDLLLSNNSLEGSVPQEIGNLTKLRWLNLSKNNLTGRDTDNATDKTQ >EOY13289 pep chromosome:Theobroma_cacao_20110822:7:7209241:7229890:-1 gene:TCM_031821 transcript:EOY13289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MHVEISPTIILVTLYILKGNIIFLIYNSKVFLSQVPLPLNFMGNTRFILALILVVLLPNFEVSFSMKSTTNIKTDQLALLAIKAHVNSDLLATNWSTATSICNWVGVTCGSRHHRVIALDLFGMNLSGTIPPDMGNLSFVAFLDMGNNSFHGSLPIELANLRRLKYLILSNNNFNGRIPSWFGSFSKLQNLSLNGNNFEGVIPSSLCFLSKLEILRLDDNNLQGHMPMGIGNLSNLRFLYLQGNQLSGSIPSSVFNISSLLEIVLRNNQLTGSIPSISLNMSSLQVIDFTFNNLTGHISSNTFDGLPKLKGLHLSFNQLSGPISMSIFKCHELEYLSLSHNHLEGTIPKEIGNLTMLKGLYLGHNNLKGEIPQQIGNLTLLKVLTSSYNKLTGKIPLEIGNLPTLEILNLGSNSISGHIPPHIFNSSTVTVIALDFNYLSNGLPGSTGLWLPKLEWLLLGINDFNGTIPSSVSNASKLKMFDLSHNSFSGYIPNNLGNLRDLQVLNLQDNYLAHSPSSPELSFLSSLAHCKDLRMLNFYDNPFIDGELPISIGNLSISLEELDASHCNIRGNIPREIGNLINLISLYIANNSLIGTIPTTIERLEKLQGLSLQGNTLEGSIPFELCHLQSLGYLYLTGNKLSGPIPECLGDLVSLRHLYLGSNEFTNSIPSTFTRLIDILQLNLSSNFLSGALPVDIGKWKVVISIDFSKNQLLSEIPSSIGDLEDLTYLSLSGNRLYGSIPELFGGLIGLEFLDLSRNNFYGIIPKSLQKLLHLKYLNVSYNRLHGEIPNRGPFANYSIQSFIGNEALCGAPRLQLPPCTSNYAKHSRKATKLIEFILLPVGSTLLILALIAFYFQSRRKHSKQKIGRENSIGLANWRRISYQELHQATNGFCESKLLGVGSFGFVYQGTFSDGLNIAIKVFNLEVEGSFKSFDVECEVLRNIRHRNLVKIISSCCNVDFKALVLEFMPNGSLEKWLYSHNYFLDMLHRLNIMIDVASALEYLHHGQIIPVAHCDLKPSNVLLDEDMVAHLGDFGIAKLLGEEDSTVQTITLATIGYMAPEYGTQGIVSIKGDVYSFGILVIETLTRKKPTDEMFIGEMSLKHWVNESIPSALTQVVDANLLIGKREREHFAIKDCTSSVLQLALECLEELPEERIDMKNVVAKLKKIKIKFLKDSNRQA >EOY12471 pep chromosome:Theobroma_cacao_20110822:7:2316207:2319993:1 gene:TCM_030974 transcript:EOY12471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYGSQRYVRLFRVNEETLVQSYIPCCMSIYGGSYCFSERRANPTNLSEYSPINLMSSLYKILAKTLANKLKIVIGEVIGNNQFAFIKGRKLLDYVLIANEVVNWLKKDASRGTVLKLDFEKTFDSISWNFLDHVMGYMVFEVKWRCWIKECISTAKISIFVNDDTILFCNVDLESLLTFKRILKCYESVSSLKVNYTKSHLIGIGIDQILVGRWATKIMCKVGYLPTTYLGLLLGAKHNSTRFWDPVLEKVGRKLAVGKGDNMLFWSDEWIDGIILSQAFSRIFTLTVNKNGKVVDFGQWEEDSWLWNVNGVYTVKSFCKQILTPKDKIEKIWKYVWTGLTPFRVEAFVWQLMHGDCSLVLDTYRELLARGQPRKNRKVIKNILCTALDKRSFKFNVDGVAQ >EOY13773 pep chromosome:Theobroma_cacao_20110822:7:10725000:10727268:-1 gene:TCM_032418 transcript:EOY13773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFFGNGLNICFWHDGWMEEVPLKITFPRIYALSINKSGTVSEFGKWLNNKWLWEIKLKKRLFDWETKQWETLNTKINDILVDNSEKDKFIWKGSTNGVYSVKNFYRACVAGNNADNANWNAVWEGLAPLKVEMLCWLVLKGKLAVRAEFVKTLSPLMQSDGPSFPKKLHGGSSILFSRLIKFKTKVGKWNIVRYPRSGNNIADMLAKEGVGRTGDLFMVMS >EOY13110 pep chromosome:Theobroma_cacao_20110822:7:5980982:5983043:1 gene:TCM_031636 transcript:EOY13110 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR class disease resistance protein MVRHCAGLPLAIIVLGGVLARKNSLNEWQTVSENVKSYLKRGKGQGIEDVLELSYDNLPPYLRSCFLYLSNFTEDYEISAKRLIQLWVAEGIVFSNQNERNGGKIVEDVAEYYLIELAERCMIQVGERDALSKIQTCRMHDLMRDLCLSKAKEENFLCIVDHSSGNQFGKDFSSCTIRGVRRVAAHVFPQVQCIKSPHLRSFLFSFEFSAEQTKALTNPKMLMMANYIFEHDKEMWNLILWIYILRLKYILWRSWTYMFNSFKLLRVLNFEGCFCDAGFNLSSDIGNLIHLRFLCLEGLCFYNLKLPASLGNLRCLQTLDLRVDSYVGLNGISGRPIYVPNVIWRMKQLRHLYLPKHCDRKTKLKLCTLKNLLTLANFNTRNCYVGDLHNMMNLRDLQIFGSFHIEDFEDLGKNPAILGNNNILRSLTLTIENVEIPDPRHVTHLIFGCANIYELRLVLKIGKLPEYHHFSSNIASIYLRICNLVEDPMPTLGRLPKFRILKIREDAFTGKEMACSAQSFVKLDFLSIYRLHNLEEWKVDEGAMPALRHLEIYKCKNLKMLSDGLRFIATLRKLEIRRMPKAFKDKLEEGGDDFYKVQHIPSIVFENCG >EOY13533 pep chromosome:Theobroma_cacao_20110822:7:9092814:9096574:-1 gene:TCM_032135 transcript:EOY13533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhamnose biosynthesis 1 MASYTPKNILITGAAGFIASHVANRLIRNYPDYKIVVLDKLDYCSNLKNLLPSKSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKTLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAKDICKLFSMDPEASIKFVENRPFNDQRYFLDDQKLKILGWSERTVWEEGLKKTIEWYTQNPDWWGDVSGALLPHPRMLMMPGGRHFDSEEGKGSSFVSGPNQTRMVVPTSKSSGSPRKPSLKFLLYGRTGWIGGLLGQLCEKQGIPFEYGRGRLEDRSSLLADIQNIKPTHVFNAAGVTGRPNVDWCESHKTETIRTNVAGTLTLADVCREHGLLVMNFATGCIFEYDAKHPEGSGIGFKEEDKPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYKTYIDSNFQWTNFTLEEQAKVIVAPRSNNEMDASKLKNEFPELLPIKESLIKYVFEPNKRT >EOY11908 pep chromosome:Theobroma_cacao_20110822:7:322597:323259:-1 gene:TCM_030563 transcript:EOY11908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative MTQKIVIKVNIHCEKCRTKALKIAATTHGVNEVAIKGKARDELTVIGNEVDSVKLACSLRKKLRNATIVSVEEKKEEKKDEKKYEYFPSYYAHYPQYLVSEVARDPYQPTCSIM >EOY13601 pep chromosome:Theobroma_cacao_20110822:7:9458010:9467109:-1 gene:TCM_032209 transcript:EOY13601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIQVQVVNNAKFSAKGQTLGSQAIRIASQMLFTLTIPSMQRDSNSDHFPIAELQVAVATCHLVFSSSTTNSAFHQPNKDHFSNSSLGFSGYPHYLSKATQGVAAEGRSKNLLFLLL >EOY13569 pep chromosome:Theobroma_cacao_20110822:7:9272687:9277532:-1 gene:TCM_032167 transcript:EOY13569 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase 19 isoform 2 MQQDQLKKDLKEMDFFTEYGDANRYKILEVIGKGSYGVVCAAIDTHTGEKVAIKKINDVFEHISDAIRILREVKLLRLLRHPDIVEIKRIMLPPSKREFKDIFVVFELMESDLHQVIKANDDLTREHHQFFLYQMLRAMKYMHTANVYHRDLKPKNILANANCKLKVCDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKSVAHQLELITDLLGTPAPETISGVRNEKARKYLTEMRRKQPVPFSQKFPNADRSALRLLQRLLAFDPKDRPTAEEALADPYFKGLAKIEREPSCQPISKLEFEFERRRVTKEDIKELIYREILEYHPQLLKDYINGNEGTSFLYPSAIGQFRKQFAYLEENGGRSAPVFPLERKHMSLPRSAVHSNTIPQNTQSTCENPQVTEEASKKAIDAISGNSKIARPPPRVPTGMNNVCTE >EOY13568 pep chromosome:Theobroma_cacao_20110822:7:9264591:9277796:-1 gene:TCM_032167 transcript:EOY13568 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase 19 isoform 2 MQQDQLKKDLKEMDFFTEYGDANRYKILEVIGKGSYGVVCAAIDTHTGEKVAIKKINDVFEHISDAIRILREVKLLRLLRHPDIVEIKRIMLPPSKREFKDIFVVFELMESDLHQVIKANDDLTREHHQFFLYQMLRAMKYMHTANVYHRDLKPKNILANANCKLKVCDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKSVAHQLELITDLLGTPAPETISGVRNEKARKYLTEMRRKQPVPFSQKFPNADRSALRLLQRLLAFDPKDRPTAEEALADPYFKGLAKIEREPSCQPISKLEFEFERRRVTKEDIKELIYREILEYHPQLLKDYINGNEGTSFLYPSAIGQFRKQFAYLEENGGRSAPVFPLERKHMSLPRSAVHSNTIPQNTQSTCENPQVTEEASKKAIDAISGNSKIARPPPRVPTAKPGRVVGSVIPCENGKNVKDGYNAKIFYRNAVLPPQNVSPHCFLRTSTTNQEKSGIQAGRDPQAKLQPSMAAKPSPGMVVDINSNPYYQPQAKAGQLNDRLPIDTKLLQAQSQFGAVGAAAVAVAAHRNMGTVQYGLS >EOY12943 pep chromosome:Theobroma_cacao_20110822:7:4965930:4969175:1 gene:TCM_031448 transcript:EOY12943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein MSGDKYSIHLLLVLFLALVFLLQKSTKADVLVQCKESERQALLDFKQSLAAESVMDFGISSWGSENDERDCCTWIGVECCNNTGHVIKLDLSYLIGSVKGTISPSLLKLRYLNHLDLSFNYFWCRIPEFIGSLTELTYLALSNSRFIGPIPSKLGNLSRLVTLDLSSNQLGSSIPEFIGSLTKLTILNLSESQLTGPIPFQLGNLSKLVSLDLSSNQFIGSIPETFGNLVAMRALTLSRIPEFIGSLTKLVHLDLSESQFTGPIPSQLGNLSRLDALDLSSNQLTGSIPETFENLVAIRELTLSRNLLQGGIPLFIWNICTLHSLDLQSNNLGGDVFGFLQSTSLCTIHFLENLDLTENQFTGSVPNEITKLSSLKELGLGYNRLNGTISQGIGQMSNLTTLELSGNSFDKVVISEAHFSNLSNLRKLDLSDSSLTLKFKSDWIPPFQLSLIFLRSFKLGPFFPQWLRSQNACIEIDISAAEISDSIPSWFWNVFSFGTRSVNLSFNQISGTLPNNRIAILFLDLSSNNLTGPLPQMTNLLVTLNLSKNKFSGSINSICHIPARVLNLLDLSNNLFSGVVPDCLARWQDSLKALNLAENNLSGSIPRSIGSLIRLQMLSLRSNSFSGPFPSSLGNCWGLKFLDFSDNKLSGNIPEWMGESFSSLIFLSLQTNKFNGTIPHQICGLNNIQILDLSVNKLSGTLPRCLNKFTSMAQDMNLSRTIEHSISRKLDPNSVFIDVNYVDEALFTWKGKKQKYAKILGLLLAIDLSNNRLTGEIPKELTSLRQLVALNLSRNFLSGKIPGKIGQLRQLQALDLSRNNFSGGIPSSLSELTFLSTLDLSYNYLSGKIPTGTQIQLFDPSTFSHNHGLCGPPVTPNCSGSAETPQGQPRRGQDDFDEFRKWFYAGMGIGFIVGFWGICGALLFKRSWRHSYFRFLDNMKDWLYVRFVLQKARLERRIRT >EOY12724 pep chromosome:Theobroma_cacao_20110822:7:3751070:3775069:-1 gene:TCM_031250 transcript:EOY12724 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MVTISFFLVYLFVFSILRTATAVDNLSPSQSLRDGETLVSADGSFELGFFSPTLSSTSRFLGIWYKKVSERTVVWVANGETPISDYKGVLLLSNHGILSLLNSTNSTVWSSNTSKAALEPVAHLLNSGNLVVKDGKDNNPAEDNLWQSFDYPCDTFLPGMKIGKNLVTGFEWFLSSWKSTDDPAPGQYVLRMNISGYPQIVIEKGSKIEYRAGPWNGLLFQSMKRTAMITFNNEFDLNENEVYYTTELKNSSEVVRYMLNPSGFVQVLLWSDKQRDWESLFTSRLDKCVNYALCGTYAICNENDSPAVCSCLEGFTPKFVGQWNSGVWYDGCVRRTPLVCNNGDSFHKRTGLKLPDTSHSKVNMTMNIKECRQFCLSDCSCTAYANSDIREGGSGCLLWFGDLLDMRDDMGQDGQDLYIRLSASEIGLTRKNRAMDCDERENEEMELPLFNYGTIANATNNFSIENLLERGGFGAVYKGTLAEGQHIAVKRLSKDSGQGLKEFKNEVFLIAKLQHRNLVKLIGCCILGDEKLLIYEHMANKSLDYFIFDQTRSKLLDWSKRVNIIGGIARGLLYLHQDSRLRIIHRYLKSGNILLDNAMNPKISDFGLAKTFWGDQTEAKTNRLVGTYGYMSPEYAIDGVFSMKSDVFGFGVLVLEIVSGKKNRGFSHPDYDHNLLGHAWKLWTESRPMELIDDALRNFCLPSEVQRCIHVGLLCVQQRPEDRPHMSSVIVMLGSENALPQPKQPGFFTGRNMPEAECSSSNSKSSSANECTITLLKARTANAVDTLSPSQSIRDGETLVSADGSFELGFFSPTISSTSRFLGIWYKKVSNGTVVWVANRETPISDDKGVLLLSNHGILSLLNSTNSTVWSSHTSKTAQEPVAHLLNSGNLVVKDGTDNNPAEDNLWQSFDYPCDTLLPGMKIGKNLVTGFERFLSSWKSSGDPAPGQYFVRINISGYPQLVIEKRSKIVYRGGSWNGLHFTGMKANAIFPVKLEFELNENEVYYTSEPKNSSVVTRTMLNPSGFGQILIWSDKLRDWESLSASNLDQCSNYALCGAYATCNGNDSPDACSCLEGFTPKLVGKWNSGVWCDGCVRRTPLVCNKRDSFHKHTGLKLPDTSHSKVNKTMNIKECRQLCLSDCSCTAYTNSDVREGGSGCLLWFGDLLDMRDDWGQDGQDLYIRLAASEIGKIVPKRQSWEKKRVRIIVGSVIIGMGTLILPVL >EOY12930 pep chromosome:Theobroma_cacao_20110822:7:4870399:4870918:1 gene:TCM_031431 transcript:EOY12930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNVYVVFGVLTIMASGAVMAYEVDPVKANNCETKMTLHCVTEVFASIFKTGIVTDNCCIELIGLGQFCHDALIKKTLQNPLFRNNDTSVILSRGAQVWNKCTLVNKDVSPSPSAY >EOY13300 pep chromosome:Theobroma_cacao_20110822:7:7380238:7384711:-1 gene:TCM_031842 transcript:EOY13300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MGKVVSMLYRILCLLLAFLHFQVYCSLSSPSSFLHSAHLCLPEQRAALLELKNTISLDDCSVPSSYPTTNSWNESTDCCSWDGVSCHMVTGHVIGIDLSNSCLNGTLPANSSLFHLQRLQWLDLSSNNLHGSFLENSSLFHRQGLQQLNLAFNDFNGSISSELFNQLVSLTHLNLSHNSFSDLIPYDISLLSKLVSLDLSNNGYHDLRFDSQGFDMLACNLTELRNLILDSVDMSDVALPSFLNLTSSLERLSLGACELHGEFPSEIFRLPYLQHMDLSSNRNLTDYFPKSNLSSGLKLLDLSFCSFRGSIPASIGNLSQIIHLDFSENDFGGQIPDAFGNLNKLTFLSFSCCNFSGQLPTTMFNLTQLTQLDLSHNRLEGPLPNHVSELRLLEEILLSGNLISGGLPSWLFTLPSLKYLGLSHNKLTGSINQIQKPNSLKHIYLSSNDIHGSIPNSFFDLVSLERLDLSSNNLSGVIKSNMLAKLKNLTHLVLSNNSLLSLSASENDVNYSFPQLCNVSFSSCSITQFPSFFRTSNLEILDLSNNKIYGGISKREAEGWESLLELYLSYNFLTTLEQFPGKNLHILDLRSNLLQGPILSNPLICNQSSLVFLDLSRNNLTGTIPNYLGNFSFRLEFMNLEMNNFYGKVPDSFTNGQLRYLFLNDNQLEGLLPRSLANCSSLRILNLRNNKFADAFPHWLASLPWLRVLILRSNRLHGPMPNSIASSNFSALQIIDLSHNELTGPLPTKFFKTLRAMKDRGCKYCMGDSKKASVNVTTKKLEMELAETLTSFTSIDLSNNLFCGQIPKELGELILLQTLNLSNNNLTGPIPPSFGNMVALESLDLSSNKLGGRIPSQMTNLTFLEVLNLSKNYLVGPIPHGNQFGTFENDSYSNNLGLCGFPLSKQCGNDEEPKPTVPMLKEDEVANVEAEPPSLDGSRCIAGEELSGFGAGKEGWLAPDVSTTLLCALDAHSLAIANRSLILILGWSDPDEPRSRSIPNCHLSRPSTSRP >EOY12220 pep chromosome:Theobroma_cacao_20110822:7:1392188:1395321:-1 gene:TCM_030788 transcript:EOY12220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein MAGENEPAKLLLPYLQRADELQKHEPLVSYYCRLYAMDRGLKIPNSERTKTTNALLVSLMNQLEKDKKSLKLGPEDNLHLEGFALNVFAKADKQDRSGRADLNTAKTFYAASIFFEIINQFGPLQPDLEQKQKYAAWKAADIRKALKEGRKPTPGPPNGDEDLSIPSSTPSGAYDLGPSEPAVTSPRRDSDPSPQFHDEVNNQHYTNIPPSPHFHDKIDRQHSSNISPTPPSYPSASYPSHDQSYPQEPQEHFHPPPSTSRSENPTYPHSYHQQSYPQEPQQHLPHGYPSHEASSYSYPNFQSYPSFSESSLPSASSHYASYYQGSETPYTPQSAPPTTSYPSTAQYSSSSRNGTVSDPAQTTSQKYQYDSNYQPPPEKIAEAHKAARFAVGALAFDDVTVAVEHLKKSLELLTNPSASH >EOY14013 pep chromosome:Theobroma_cacao_20110822:7:17112331:17120212:-1 gene:TCM_033025 transcript:EOY14013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKDHKDNKSKSIEEILNEGFLKENDINAAFILASFRSSAPDLEQKRREVLRQLKGKDKQETARKRGQMIMLDKYQPPNIPPVATLAHLIGECSRPYSKQLTETDLKDNQIRLSLNKNHVGKSFIPLLKEHEDVNKGIQVITYDPEGKEYPMKFVFWTSKMYVLTTSGWKRFYKDHALKESDIVTVWMFRHRHTHNLCFAITWRRSPTAPSVVRIQEKATSSQY >EOY11948 pep chromosome:Theobroma_cacao_20110822:7:423143:425597:1 gene:TCM_030585 transcript:EOY11948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cwf15 / Cwc15 cell cycle control family protein MTTAARPTWVPAKGGNEQGGTRIFGPSQKYSSRDLASHTSLKPRKDGQDTQDELQKRNLRDELEERERRHFSSKDKSYDDRDRRKGSHLLLEGAKREAEDRIVPRSVDADDSDVEVESDNESDDDDDDEDDEEALLAELERIKKEKAEEKLRKEKLEQEEQLKAKEAELLRGNPLLNNPTSFGVKRRWDDDVVFKNQARGETKTQKRFINDTVRNDFHRKFLQRYMK >EOY13448 pep chromosome:Theobroma_cacao_20110822:7:8408704:8411130:1 gene:TCM_032020 transcript:EOY13448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEFEKGQRSPLSNVPSARGRMGRTSRLKEFSCDEQRSVNQLLQDGFGSSFGLGLSQGKHLSGDEPSGPLEEIKIENGNHAHFENAKSSKVYQEKRLKKFLHLVRLKSLGDYMILLLWPKCVREQLSCGLVKAVYTQQRLDAEEQISKQRYGGGLCPVEEEDKHGIGLNVDDDEGNMYMPNDEGERF >EOY12750 pep chromosome:Theobroma_cacao_20110822:7:4020808:4024631:-1 gene:TCM_031285 transcript:EOY12750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor kinase 3-like protein MMSSSEFNSLSRLLVFLCLALQYINGTDTPEQGQSITFSKTVISAGMKFELGFFSPRNSSRYYVGIWFKFKVSTRTVVWVANREYPFLRNTSIFAINPDGNIVISDGRISYMLTNMTTSGNTYAMLKDSLHARLLDSGNLTLHDQISLEVLWQSFNYPSDTILPGMNLGNDRRYGVTWSVVSWRNADDPAPGSFSLELHSDKFNDSLIIMQGSKIYWTIPLNNFLRWCWACLGSLKLQTWSEDDQRCCLKGFKPISGNALSKIETSKGSVRVTNLQCRVQQIVSQFAGTAALALLILMIINLGAWDKLNKWYQER >EOY12826 pep chromosome:Theobroma_cacao_20110822:7:4309526:4313089:1 gene:TCM_031344 transcript:EOY12826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MAVKVVSSHVLNIYLVNLRIRNSINNGHFADTLKIYSSMLHNSNVHGNSFTFPLLFKACAALTSLRDGTMLHAHVLELGFVHDIFVQTSLLDMYSKCSCLVSARNVFDEMLSRNVISWNTMISAYCRGFRVMEAIKLLKEMWVLGFELSASTFISVVAACANLQLGLSMHCCIFKLGLLQCEIPLANSLMNMYVKFGFINGARSVFDTMDERSILSWTTIIGGYVNVGNVGEAFSLFNRMRKVEGVSQDMVLFIKIISGCVQAGNLPLASSIHSLVLKCGYDGEDLMHNLVLNMYAKCGDIGSAQRVFEMVDEKCIFLWTSMIAAYTQFGYPAEALDLFKRLLRTGLKPHEATFATILSACADLGSPSLGKEIEHYVKINGLASNRQVQTSLIHMYCKCGIVEKAEEVFVEVLHKDLAVWSSMINGYAIHGMGNEALNLFHQMQITESFSLDHVVFTSILLACSHSGLVEDGLKYFKDMKRVYGIEPSIEHYTCLVDLLGRAGHFDLALKTIQEIPVQVQAQVWAPLLSACRKYRNVDLGEYIARKLLELNPGNTSNYVLMANLYTSGGKWKEAAITRSMLRNRGLVKEPGWSQIEINGYIHVFVAGDRSHNRSADIYKKLDELNIKLKEAGYFAEIDMVMHDLENEEKEEALKVHSERLAVAWGIISTDLGTTLTIIKSLQTCVDCHSFLKFTSKVTGRHLIRLKKDVINWEVKHTFRENNHLADRLAKAGVRRTQDLIEVLD >EOY12729 pep chromosome:Theobroma_cacao_20110822:7:3844020:3846944:1 gene:TCM_031255 transcript:EOY12729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCRQYRSTVAASNPVSLPNVSNEIKWRPPIHIKNNCDASTFVENGGKQVGAGFIVRGHNGEFLLGRGSKIAHYSSAAVAELKAHFGHCQKNLISGKKKDRGEGKRLLIKATKRIFGFAT >EOY14344 pep chromosome:Theobroma_cacao_20110822:7:24273230:24278404:1 gene:TCM_033734 transcript:EOY14344 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein, putative isoform 1 MATSLDMTLDDMVKRNKSERGRGRGRPRRGRGFFGGGRMTATTLAARRGPLAVNTRPSQHSIAKSSHRTRSTPWQHDLFEDSLRAAGISGVEVGTKLYVSNLDLGVTNEDIRELFSEIGELKRYAVHYDKNGRPSGSAEVVYLRRSDAFAALKRYNNVLLDGKPMKIEIVGANAEVPISARVNVTGINGRRKRAVVLTPGPGQSRSSAGPNRSLNRRGGMRSGRSGSRGRGRGRGKKKPIEKSADDLDKELENYHAEAMNVS >EOY14345 pep chromosome:Theobroma_cacao_20110822:7:24273676:24278400:1 gene:TCM_033734 transcript:EOY14345 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein, putative isoform 1 QQPPLLLVEVPLLSIPAHRNTLLPRTRSTPWQHDLFEDSLRAAGISGVEVGTKLYVSNLDLGVTNEDIRELFSEIGELKRYAVHYDKNGRPSGSAEVVYLRRSDAFAALKRYNNVLLDGKPMKIEIVGANAEVPISARVNVTGINGRRKRAVVLTPGPGQSRSSAGPNRSLNRRGGMRSGRSGSRGRGRGRGKKKPIEKSADDLDKELENYHAEAMNVS >EOY12462 pep chromosome:Theobroma_cacao_20110822:7:2296189:2299867:-1 gene:TCM_030970 transcript:EOY12462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic lipocalin MVNNAILHHQTSPLLLFQCCSSSYSSPPPPQIPRGLPGKLILKCSLKSPPSSKVVSSHIVPGLAASLIFLSQTNQVLAADLPHHHNICQLASAMDNSPTLPLEEDSGERNGKLMMMRGMTAKDFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYTFDMKAPAIQVDTFCVHGGPDGYITGIRGKVQCLPDEDLVNNETDLEKQEMIKEKCYLRFPTLPFIPKEPYDVIATDYDNFSLVSGAKDRSFIQIYSRTPDPGPEFIEKYKAYLANFGYDPSKIKDTPQDCQVMSNSQLAAMMSMTGMQQALTNQFPDLELKAPVELNPFTSVFDTLKKLVELYFK >EOY13625 pep chromosome:Theobroma_cacao_20110822:7:9595812:9598408:-1 gene:TCM_032246 transcript:EOY13625 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and Leucine Rich Repeat domains containing protein MTSNLVKEDPHGLDLISKLPNTLLSEIVSQLPTNEAVRTSILSRQWKSLWRLGIDNCLNRDVVKELFHAVMMIDKFNQVWIAIENVKTVELEFLDMREIYLSTMSLGVLVLDTLKCPLKNLIINASKLKVFRAYCNGEVEGPHCFLKDHESVKVAEILEHYSDLLTPQNDQSYHLKDDSSLFENLWTLSIDLDLNNIGEPLMLAIVLRVCTHLKQLELNILNMDSKLKGATSDHGTQNYSLPYSESMLWDKGELCDYIMHSLNVVSINGFNGKERQLEFVRCLITKATVMKRMNICFIDSCSREGADATLELLLLPRCSINVSIVLKPGPEFVSSRNNANFETWISTLK >EOY13555 pep chromosome:Theobroma_cacao_20110822:7:9175064:9178486:1 gene:TCM_032153 transcript:EOY13555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-related gene 1 MDPKAVKYGSSLLVPSVQELAKKPIATIPPRYLRPDLEKPIVSNAGSISEIPVIDMEGLVSKESMDSSLAKLDFACKEWGFFQVQLALCIYHLNPYSTLVPGEYLINHGMSLSLVEKVKTEIQDFFNLAMEEKKKYWLYPGDVEGFGQAFVVSDEQKLDWGDMFFLTTLPVYSRKPHLFPKLPLPFRDTLNQYSLELEKLAMAILVQKAEAINMNVEEMREFFEGGLQSMRTNYYPPCGEPEQVIGLSPHSDATGLTILHQVNEVEGLQVKKDGKWLPVKPLPNAFIVNIGDALEIITNVVYRSIEHRATVNSEKERLSIATFYSPNYNGEVGPAPSLISEGNQALFRRVRVEDYSKAPFTRQLYEKSHLDSMRI >EOY13982 pep chromosome:Theobroma_cacao_20110822:7:16300480:16319038:1 gene:TCM_032945 transcript:EOY13982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLCCCSEIHSRYSEKFLDLEGFSMGKRKHCVLNLWSRLIVGDLILPKSKKAHTKQVRWKNFLAKIDFELEYKLCMANLVVDVLSHKATLVGIKKDKVEQKRLGGLLDPLLVLNKPWDNVNMDFIIGLL >EOY13642 pep chromosome:Theobroma_cacao_20110822:7:9704732:9706496:-1 gene:TCM_032264 transcript:EOY13642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MSKTMISRSLLSSIKNFPSFSFHPITTQIPTLEPLSSSSPFSTHQPTAPTSPPLFKLTHKDWLSPNEILKIFDNLKEPTSVISVLNQYSARKDYKPTEPLFTLVINKLADAQDFDCIENIMEKLKHEKPCRLSDDFFQNVIKKYGHCGGRIKRAIETLFSMPDYGTWPSVKTFNIILSLLVANKLFDVVHEIYGKGPKLGIEIEACTLNILIKGLCENGKLESAFQVLDEFPKQGCKPNVRTFSTLMHGLCEKGKVDEAFELMGRMETEGIEADAVSFNILISGLRKQGRVEEGVKLLEKMKRKGCYPNAGSYQEVLYGLLDAERFMEAKELMGRMILERVSPSFDSYKKLIHGFCKEKLVREVDWALKQMVQQGFVPKMGMWTQMVKCVFHGSNTRDSLLLPAIVNS >EOY13432 pep chromosome:Theobroma_cacao_20110822:7:8305178:8309097:-1 gene:TCM_032006 transcript:EOY13432 gene_biotype:protein_coding transcript_biotype:protein_coding description:SecY protein transport family protein MDGGFRVLHLVRPFLSFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSATGADPFYWLRVILASNRGTVMELGITPIVTSGMIMQLLASSKIIEVDNNVREDRALLNGAQKLLGILIAIGEAVVYVLSGMYGSVGQLGVGNAILIILQLCFASIIVICLDELLQKGYGLGSGISLFIATNICESIIWKALSPTTINSGRGAEFEGSVIAMFHMLLTRENKIRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALVSNLYMISQWLYRWYSGNFFVNLLGQWKESEYGNGQSVPVGGLAYYVTAPSSLADMATHPFHALFYLVFILSACALFSKAWIEVSGSSARDVAKQLREQQMVMPGHREASLQKELNRYIPTAAAFGGMCIGALTVLADCMGAIGSGTGILLAVTIIYQYFEIFEKEKASELGFLGF >EOY14074 pep chromosome:Theobroma_cacao_20110822:7:19989175:20054861:1 gene:TCM_033266 transcript:EOY14074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRTSESRAARDRAKRGKTEGYQGRRDFSSGGSSSSRQGPQRDSRLPQQGSDAPGANTRVGQRTFSSRRQQDSRQSSQVIRSCDTCGRRHSGRCFLTTKTCYGCGQPGHIRRDCPMAHQSPDSARGSTQPASSAPSVAVSSGREVSGSRGRGAGTSSQGRPSGSGHQSSI >EOY12348 pep chromosome:Theobroma_cacao_20110822:7:1768671:1774453:-1 gene:TCM_030877 transcript:EOY12348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brassinosteroid insensitive 1-associated receptor kinase 1 precursor MISPLYHCHPFLVILLSVLWILATVQAQGNVSVGNFLSANDESIPWQSPSGDFAFGFHPIQGKEDQFLLAIWYAKIPDRTIVWYANRQNPAERGSKVELTSTGLVLKDPKGRVLWRSKSPKDDTQASNAAMLDTGNFVIASRNSGNIWESFKYPTDTILPTQELDADSSLSSALTDRSYQEGKYQLRFNNGFFILNQIDMFTGKPYNNYFNLGNGSRLIFNQSGYIQIQSSNGSLLNLAPENAPPDPESNYYRAILSFDGVFTFYSYPRNPSGGESWSAWWIRPKDICSSFVDSTARLGNGPCGYNTICESLNNGRPNCSCPPGFSFLDESNPYNGCKQDYTSYLEDCNVDGSTSEEDLFEFKSMQFVDFPFSDFGILQPATEFECKQSCLRDCSCAVAILQDSTVSEDGSGTCWKKKLPLSNGHVNRETIDRTSLFKVLKSDAPKTKKNPATPNPNDENQDQVIWILGVLLGTSAVFNFVSFAAISLIFFCLYKRRPRDFNGIPSGSDLETNLRSFTYKDLEQATNRFKEELGRGAFGTVCKGELPSSFGNCVAVKKLDKFVQEGEREFKTEVKVIGQTHHKNLVRLIGYCDEGEHRLLVYEFMQNGSLSSFLFGVLRPSWQQRLHIALGIAKGLAYLHEECSIQIIHCDIKPQNILLDDSFTAKISDFGLAKLLINNTKTLTGIRGTKGYVAPEWFRNTPLTVKVDVYSFGVMLLEIITCRRCVEIEMEDAAILTDWAYECHSEGIAEKLVENDEEARSDPAKLEMLLKVAIWCVQDEPLLRPSMRTVSMMLEGAVQVPTPPCPFLAIPL >EOY12333 pep chromosome:Theobroma_cacao_20110822:7:1727063:1728782:-1 gene:TCM_030867 transcript:EOY12333 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, gamma subunit protein MYSSNLPTWISSKPSYSKKNLLFHQFNQNPFLQFPQILPLKSLSQSPSPFAPVQSSLRELRERIATVKNTQKITEAMKLVAAAKVRRAQEAVINGRPFTEALVEFLYTISENLQCEDIDCPLTVIRPVRKVALVVVTGDRGLCGSFNNAVIKKAESRIAELKGLGLDYTVISVGKKGNSYFRRRDHVSVDRFVEGGGFPTAKEAQIIADEVFSLFVTEEVDKVELVYTKFVSLVKSDPVIRTLLPLSVKGKVFDVNGTCVDAMEDELFRLTTKRGKLIVERDRLRMEGGGISPLMQFEQDPVQILDAMMPLYLNSQILRALQESLASELAARMNAMSNATDNAVELRKNLSIVYNRERQAKITGEILEIVAGAEALT >EOY12820 pep chromosome:Theobroma_cacao_20110822:7:4278700:4286681:1 gene:TCM_031336 transcript:EOY12820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARQLVEKEVPLLILLNHLLVVPFILIKVAAPLLRNVGFTVEIAFLLLYLEWRKTMDLLANNVMKIANKLPTTELLV >EOY11837 pep chromosome:Theobroma_cacao_20110822:7:76996:77915:1 gene:TCM_030508 transcript:EOY11837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated RAB acceptor 1.G2, putative MQNHQSAPATYTTIPISPSHVPSRSLHNLTASLSRHLRPWPQLLGSGSRSFSPPNSFLSRAPANFHYFSANYSLVVAATCALSLIGSPLSLLLCCVVCALWLLLFFFREDPLVLWGHCVSDRLVLFSLAFLSVLTVWVCGVLQNLALGLAIGVLVCGVHALLRNSDGLFLDENDAVSTGLVRSTSASPSPN >EOY13846 pep chromosome:Theobroma_cacao_20110822:7:11403828:11432861:-1 gene:TCM_032507 transcript:EOY13846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 27 MWLSGYIKQFQHISLEEIFLQNNKLQGLDPSSMSQLVNLTSLDLSSNNLSGIEESDLFSKVQNLQNLDLSNNNLYFNSNHASADYTLPNLQSLYMSSCNVNQFPQFLRGSKVLRYLDLSNNRIHDKIPKWMWDVGKDSLEYLNLSHNSMTEAGQLPWKNIIILDLSSNLIQGDLPIPPLTTSTFLISNNNLNGEMSDLKCNVSSLEILDISHNHLSEIIPQCFGKLSKSLRMLNLGTNKLHGTIPSTFAKGCQLENLNLNANQLEGPLTRSILNCRSLQVLDLGNNKINATFPHWLGTLQELKVLVMKSNQMHGSINGKRRTHYFRKLQILDLSNNSFTGRLPTGYIESFKAMMNVEENRNVMPYLGSSDVTMGSFYSYSVHLIEKGHEVELMKIFTTLTIIDLSNNKFEGEIPRVIGKLSSIIGLNLSHNYLVGHIPPSFGNLINLEWLDLSSNKLDGKIPEQLLNLTMLSSLNLSKNELVGHIPEGKQFNTFENSSYEGNDGLCGFPLSRDCSSNEAQQPPPSNLQEEDGSKSEIRFGWKVVLIGYMSGFMFGVGMGYAVFRTGKPKWIVSLVEAKHHRRPKKSNRNACTSRTRKFLSFVWPLPESADYLHKEHEHLTVISINAGCINGAVELDGVVYSEDDCYVGGDVIRTDTMVGDGVGNSLYNTAQFGDFSYEFSSLERGFYNIDLHFAEIVFTSGPPGIRVFDVFIQQEKVVSGLDIYGLVGANKPLVISNINTFFDSGGGLLIRFEGLMRSPIVCGITVRKGSPASFKEAESQEVMGIAELRDHESLRIMKMEHIKLSEEVSMSNNCFKDINEIGSSILSRSKETFKFDAVFGPQADQADAFQDIAPFATSVLDGYNVCILLMDRQGQEKLLQWRVQKKPVE >EOY13865 pep chromosome:Theobroma_cacao_20110822:7:12028885:12031677:1 gene:TCM_032557 transcript:EOY13865 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 4 MKENGRKHGTLSPCAACKLLRRRCAQDCVFAPYFPAEEPQKFASVHKVFGASNVNKMLQELPEHQRSDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDSLQTQLALAQAEVVHMRMRQFVSTSNAGTSSPENLTPAAAASSKFMPSQAKSFFCVDMVDQANMAESLWSY >EOY12215 pep chromosome:Theobroma_cacao_20110822:7:1368541:1372189:1 gene:TCM_030784 transcript:EOY12215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase X 2 isoform 2 MSDLDRQIEQLKKCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPAISTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVTSFNHTNNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYSRCGNVAAILELDENLNKQFRVFDAAPQESRGAPAKKPAPDYFL >EOY12214 pep chromosome:Theobroma_cacao_20110822:7:1368462:1371934:1 gene:TCM_030784 transcript:EOY12214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase X 2 isoform 2 MSDLDRQIEQLKKCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPAISTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVTSFNHTNNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFDAAPQESRGAPAKKPAPDYFL >EOY12427 pep chromosome:Theobroma_cacao_20110822:7:2208774:2211074:-1 gene:TCM_030946 transcript:EOY12427 gene_biotype:protein_coding transcript_biotype:protein_coding description:DTW domain-containing protein, putative MVIHFRTRIRSPARTYLFNPFPSPLRTQTPKSSKSLKMSTNQSKPKRPVCPSCSKPARTCLCDRIRTRNLDNSVSVTILQHSLERNHPLNSTRIAKLGLKNLNVVTVFEVDFEARFEIRLLEPGHESGLGRVGLESSVFGQVGGKEEKQKAGFESFGFEVEGNEKYPDEKCGDLIQRNGEFSLKNDVSRSRNTGNSLKGLDFVENLDASDGDELLLELTGRECRGARGSIPMENPCEKLEKGFNLDAKVLNEAVLDDRTDFGQNGAVPRDREGPVISATMIKYGCITDVSQIWKVDIHGRKPKFDHILASPFARDALAGGFVVKKFERRKLDGKMEVEENEEFEVKVPPGSILLFPSQNAVGVDDLKSMDFEVENLIVLDGTWSKAGRIYNENPWLKLLPHMRLDLDKMSLYSEIRHQPKAGFLSTIESIVYTLKALGDNVDGLDNLLDVFESMVGDQRRLKDERLSKASEDRIY >EOY12701 pep chromosome:Theobroma_cacao_20110822:7:3495619:3497133:-1 gene:TCM_031221 transcript:EOY12701 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein MNPKFSDFGSARTFGGDQTEGNAKRVAGTYGYMSPEYAIDGLFSAKSTVFSSGILLLEILSGKKDRGLYHPTQSANLVEHAWRLWK >EOY14267 pep chromosome:Theobroma_cacao_20110822:7:22814895:22816044:-1 gene:TCM_033579 transcript:EOY14267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFADITVEGKKLTALVDIGASDLFASVETTKMLRLDTKAKASHMKVVDSKEVPTLGIAINMDVRLGEWVGKKSIEVIPVDDYDFVISLDILDHINATVASFSNYIVILDPRGQCVVLVSTSHNL >EOY11928 pep chromosome:Theobroma_cacao_20110822:7:390913:394283:-1 gene:TCM_046854 transcript:EOY11928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family MQAWFSGSSSGDGEQVKEGSSLLADWNSYAASREADESIATGFGFDLESAVRSANDTVSGTFNVVSKGVRDLPGNLQSATSSVPSGKALMYFGLLLATGVFFVFVAFTMFLPVMVLMPQKFAICFTLGCGFIIGSFFALRGPKNQCAHMSSKERLPFTLGFIGSMVGTIYVSMVLHSYVLSVLFSVLQVLALAYYAISYFPGGSAGLKFLTSALTSSVTRCFRR >EOY12115 pep chromosome:Theobroma_cacao_20110822:7:1028937:1037250:1 gene:TCM_030716 transcript:EOY12115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 53, putative MSNKRAKAHSLLFMFMFLFVQNFSVSQVDEDLELLLSFKSSINDPFGFLSNWNSSTPLCMWNGITCNSFSRVKVIDLVEKNISGIISSSIFHLVEIETINLSNNQFSGEIHSDLVSSVSLRYFNLSNNHLTGEVPKCSISLKILDLSDNLLSGKISPQIGVCSNLQELDLGGNNLVGRIPSAISNISKLQILTLACNKLTGQIPRALSKMKSLKWISFGNNNFSGEIPQELVDLVSLSYLDFADNNLSGQIPSSLGNLTNLQHLLLFSNKLTGLLPRSIFDLKRLIELDLGDNYLFGEIPKLIIKLRSLEILYLFFNNFTGKIPNGLASLPRLHVIDLASNSLVGEIPSLICNITSIVLIVLSDNNLSGIIPPCLGNISKSLAVLDLWKNSVHGPIPETFSQDCGLRILNFHGNKLEGSLPQSLANCRNLGMVDIGNNKLNSTFPYWLDTLPELQVLGLRSNKLRGVLNSSKTIHRFPKLRILDLANNEFTGPLPEGIIKNMKAMINLKEQKSSFQYMHGIYYYPYYINLTVKGIYIELYEILTTFASIDLSNNNFHGEIPSVIGKLSSLRGLNLSHNSLSGHIPTSMGNLTSLEWLDLSSNMFTGQIPNELIDMTFLTFLNLSNNQLTGPIPQGKQFNTFENGSYEGNLALCGFPLLKACNNDGRKQSPSFLKEADDSETKISFGWKVVLIGYGCGLIFGVVVGYVTFKNGEPKWFVTLYRVKYHRKGRRCS >EOY12523 pep chromosome:Theobroma_cacao_20110822:7:2546581:2547799:1 gene:TCM_031023 transcript:EOY12523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQRDVCSHYSWKRGQGSDFRPNFAVISAKRGWMQGGLRVPAAGYSKVAKETLWPLSAGDSHHASFYNTLSPPSNVLLYQLKKPKEGPREKGSSGTEHATAMAPLLLTLSTTFIRSLSLSCPVTNDRPNNSTLLQLNRVRSMAEVIPYGAVSNIVLGFLASPILSP >EOY12913 pep chromosome:Theobroma_cacao_20110822:7:4818824:4820617:-1 gene:TCM_031417 transcript:EOY12913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISLHFGERERPKACKMASTLKSACIVLSVLLSFSFSLAHRFLLDQATDDYKNLDTLHHGAVTNPNVEGLGVGYGSGSGSKGGSGAGSGSGSGSGYGSHGVGAASWSGGGSSGIGSGSGTGVGIEGTGGGSGGDIGVTCDPCCDPRCNNGTINCPQVIDSRCGHGILKRSKNGTVKETQEAINIVSEPYPLDVAHGSKP >EOY12690 pep chromosome:Theobroma_cacao_20110822:7:3375818:3378173:-1 gene:TCM_031199 transcript:EOY12690 gene_biotype:protein_coding transcript_biotype:protein_coding description:NBS-LRR type disease resistance-like protein MELPIFEPATIDRATDSISVDIKLRECGFGDISEWTRNCCEEAFKEFWTRLKEFKNEVKLIANFNIRILSLHSFICGGLPLSIVTKARSMKGVNDICEWRNALTELEEHVRSVKGSDVEIFKGLKSSYDHLEDPKIQNCFLYYSLYPEDFIIEKVELVENWIDEGLLDGLGTRQAMHDRGHSILNKLENNCLLERATVWCDKGVKMHDVLRDMALYIKGHQFMVKADVQLEELPSEQEWTVSVEKVSSMRTSKLIEIPPLISPICPHLSTLILQKCGLKRISESFFKHMPGPKVLNLSDNNEMVDLPNSILNLKNLNALILACCYEFINMPSLVELKALRKLDLSNTRIKEVPHGARGNHVIKDRSIEGQRKDSEGNMVDGQEIAVKGLSRSSGQGLTEVKNEVALIAKLQH >EOY13597 pep chromosome:Theobroma_cacao_20110822:7:9428882:9434411:-1 gene:TCM_032199 transcript:EOY13597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKDWRSIKGNSLVRSKSTQKEVQVKVKAASIKESQTIYRCAAILISLFNMTTMTSCDTQGAMNEQTVEIHRKKPKAYLGNFLGEGKNTNGRKRTGNPAACRGDR >EOY12940 pep chromosome:Theobroma_cacao_20110822:7:4912127:4915393:1 gene:TCM_031441 transcript:EOY12940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein MSGDNYSIHLLLVPFLALVLFLQKPTKADVLVQYKESERQALLDLGISSWGSENDKRDCCTWIGVECSNSTGHVVKLDLSGVVGFVEGTISPSLLKLRYLNHLDLSFFNFSCRIPEFIGSLTELTYLALSNSHIIGPIPSQLGNLLRLVTLDLSSNPLGSSIPEFIGSLTKLTYLDLSESQLTGPIPSQLGNLSRLVTLDLSSNQLTGSIPETFGNLVAIREITLSRNLLQGGIPLLLWNICSLHSLDLQSNNLGGDVFGFLQSTSLCTTHSLENLDLTENQFTGSVPDEITKLSSLQVLGLGYNRLNGTISQGMGQMSNLTTLKLAGNSFDKVVISEAHFSNLRNLRELDLSDTCLSLKFKSDWIPPFQLRLIFLRSCKLGPLFPQWLRSQNACFEIDISAAEISDSIPSWFWNVFSFPTCSVNLSFNQISGTLPSNRIAILFLDLSSNNLTGPLPQMTNWLSTLNLSKNKFSGSIKSICNIPAKLLKLLDLSNNLFSGVIPDCFARWRLSLKALNLAENNLSGSIPRSIGSLRMLRMLSLRSNSFSGPFPSSLGNCFMLEFLDFSDNKLSGNIPEWIGESFSILIFLSLQNNQFNGTIPHQICGLNNIQILDLSVNKLSGTLPRCLNKFTSMAQDVNLSRTIEHRISRKMDPNAFSIDVNYVDEALFTWKGKKQKYARILGLLLAIDLSNNRLTGEIPEELTSLRQLVALNLSRNFLSGKIPWKIGQLRQLQSLDLSRNNFSGSIPSSLSEITFLSTLDLSYNYLSGKIPTGTQIQLFDPSTFSHNHALCGPPVTPNCSGSAETPQGQPRRGQDDFDEFRKWFYAGMGLGFIVGFWGICGALLFKRSWRHAYFRFLDDMKDWLYVRFVLQKARLERRIRT >EOY12079 pep chromosome:Theobroma_cacao_20110822:7:821457:823977:1 gene:TCM_030680 transcript:EOY12079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 71D10, putative MELESSSFNLFLITFFLFFTVVQHLKAQKAKKSFTRLPPGPWKLPLIGNLHQLVSTLPHHTLSNLAKKYGPLMWLKLGEVPTLVVSSPEMAKEVMRTHDITFCQRPYLLAASIMGYNFQDIIFSPYGNYWRQMKKICMTELLSTNRVQSFQSIREQEVSALMEIISSNARSPVNLSEKIYSLTYGITARAAFGKKSGDEEEFIRIAIEVSKLAGGFCLADMYPSNEMLKLISGIRLKLEKLHKASDRILEKIIDQHKERRNGMANTKTGNKKDEEDLVDILLKLQQQGDLDFPLSKDNIKAVIQDIFGAGSEASSNTVEWAMSEMVRNPKLMKEAQAEVRRVFHGKGKVDEVGLEELKFLKLIVKETLRLYPAGPLLIPRECSEDCVIGGYEIPAKTKVIVNAWAIGRDPSYWKEAEKFQPERFIDKPIDFRGTNFEYIPFGAGRRMCPGISFALPNIELPLANLLYHFDWKLPNKMKCEDLDMTESFGLTIRRKNDLFLIPIPYRSLLKMKVRMHSASYGVKGFKCFGKVKAYPLHVLSF >EOY13344 pep chromosome:Theobroma_cacao_20110822:7:7648031:7651709:1 gene:TCM_031888 transcript:EOY13344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MGRMQGTRSYPANPSDYQLLEEIGHGATATVYKAIYLPSKDVVAVKCLDLDRCSGTNLDDVRREAQTLRLIDHPNVLRAYSSFVVDRNLWVVMPFMSEGSCLHRMKTGYPDGFEEAAIASILKETLKALDYLHRQGHIHRDVKAGNILLDNNGTVKLADFGVSACMFDSGDRQRSRNTFVGTPCWMAPEVMQPGTGYNSKADIWSFGITALELAHGHAPFSNYPPMKVLLMTIQNAPPRLDQDCDRKFSKSFKDMVAMCLVKDHTKRPTAEKLLKHSFFKHAKPPELSVKKLFAPLQPLSNPAKPLQLKDAAQLALKNMPSAEQEAISRSQYQRGVSAWNFDIEDLKAQASLVHDDDDDDDDIHECKDDDRSMKSSLGDKTAASCISSSSIVLSNDLFFSDDDFFIMPATCASSETRENALGRKQLNKAETEKRAIGMRVLNVDLLN >EOY12933 pep chromosome:Theobroma_cacao_20110822:7:4874429:4875271:-1 gene:TCM_031434 transcript:EOY12933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDSWIDLTSDKFEALPPPSDAKERRDFTLLVIGGCLGLAQNLTGGSIEMWKSEKDNTKQSWIKSMTIMNLKILPYPHSLVPICIMKKGEVLIAYSEYVRVFVMVGKPRKYQLYDSDKGTFRRLKVGGKRHRVVTHMESLISPNSDGL >EOY12592 pep chromosome:Theobroma_cacao_20110822:7:2944022:2951005:-1 gene:TCM_031108 transcript:EOY12592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-cadinene synthase isozyme A, putative MIFRSEFTHMHEVLLPKLECTNSRMISWVITGWDMNILTIVFVLNMDATTELQEELKQEVQRMLTTPMDKPSQKLHLIDAVQRLGVAYHFEKEIEDALETINGDCNSDGNDIYITSLRFRLLREHGFDVQCETFNKFKDDKGNFKVSLKSDVKGLLGLYEAAHIRMHGEHILEEALAFTTTHLEFAETSIDQYPLSALVSRARKRPIRKGLPRLEARRFISIYQEDGSHDKTLLKFAKLDFNLVQNLHKAELSKISRWWKDLDFKRKLPSARDRLVEGYFWILGVYFEPQYSLARQILTKAIVMASTIDDTYDAYGTFEEFQLFTNAIERWDINCMDRLPAYMKLLYKALLDVYEEMEEVMAKQGKIYRVQYAKEAMKQLSQAYFVEAKWYHENYVPTVEEYMTNGLVSSGYIMVAITSFVGMGDIVTKEIFDWASNNPKIVRASSMIARLMDDIVSHKFEQERGHVASAIECYMKQHGVSEENACNELTKQIENAWKDINQELVRPPAGVPMPALTRILNLARVMDFLYKEGDGYTHVVEAAKGGITSLLIDPIPT >EOY12843 pep chromosome:Theobroma_cacao_20110822:7:4388495:4391047:1 gene:TCM_031357 transcript:EOY12843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTQYDQNYTKDPPLPTPPNPYAAPQMAVYPTKDGQNPPGKTNSKGSGFWSGFCSGLCCYCCLDICF >EOY13340 pep chromosome:Theobroma_cacao_20110822:7:7621841:7624139:1 gene:TCM_031884 transcript:EOY13340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MKTPKSISPFRLSSLLRSEKDPTLAFNLFKNPNPDPKPAGKPFRYSPLSYDLIITKLGRARMFDEMEQVLHQHKNDTRLVPQEIIFCNAMKFYGRACLHERALQLFEEMPAYRCQRTVKSVNSLLNALLLSEKFDEMKQVFFGMEKYARPDACTYNILIRACCLSGCLDDASNLFDEMQRKGVKPNVVTFGTLIRGLCMEMKVNEAFKLKADMVRLHGLCPNPCTYSMMIKGLCRIGELSLAIRLKEEMVGNKIKVDSSIYSTLISGHFNIGRQDEALGIFEEMALNECKPDTVTYNETINGFCKVKDFEAAYRVLEDMAKKQCKPDVISYNILIDGLCKEGKWSEANDLFEDMPRQGCKPDVVSYRLLFDGLCGGLQFKEAAFILDEMIFKGYVPHCASIHKFVSGLCQKADKKLLLMVLNSLAKGNAIDQDTWLMVISKVYQEDKLSISSDILDALML >EOY13341 pep chromosome:Theobroma_cacao_20110822:7:7596397:7624280:1 gene:TCM_031884 transcript:EOY13341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MKTPKPISPFRLSSLLRSEKDPTLAFNLFKNPNPDPKPAGKPFRYSPLSYDLIITKLGRARMFDEMEQVLHQHKNDTRLVPQEIIFCNAMKFYGRACLHERALQLFEEMPAYRCQRTVKSVNSLLNALLLSEKFDEMKQVFFGMEKYARPDACTYNILIRACCLSGCLDDASNLFDEMQRKGVKPNVVTFGTLIRGLCMEMKVNEAFKLKADMVRLHGLCPNPCTYSMMIKGLCRIGELSLAIRLKEEMVGNKIKVDSSIYSTLISGHFNIGRQDEALGIFEEMALNECKPDTVTYNETINGFCKVKDFEAAYRVLEDMAKKQCKPDVISYNILIDGLCKEGKWSEANDLFEDMPRQGCKPDVVSYRLLFDGLCGGLQFKEAAFILDEMIFKGYVPHCASIHKFVSGLCQKADKKLLLMVLNSLAKGNAIDQDTWLMVISKVYQEDKLSISSDILDALML >EOY12235 pep chromosome:Theobroma_cacao_20110822:7:1429053:1429892:1 gene:TCM_030798 transcript:EOY12235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRQCKAVRSSLHHPTFQPFFFFFIIIIIKMIKQLNLGHAVSSLLQQFLVMARRPRMVVVTTVMVMMKGRNNNGCHKPTTGFPQG >EOY12856 pep chromosome:Theobroma_cacao_20110822:7:4433226:4438816:-1 gene:TCM_031365 transcript:EOY12856 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown-complex ABC transporter family MEQEMDIEAQRKAEDHLEATAIFENTNQPVILEFVDVAYTIKLGKQGNFFRKNSGSEEKVILNGITGTVHPGEMLAMLGPSGSGKTTLLTALGGRLGGLLNGTITYNGKPFSNATKRNTGFVTQDDVLYPHLTVSETLVFTALLRLPNTFGEEEKIMHAEAVITQLGLTKCKHSIIGGPLLRGVSGGERKRVSIGQEMLINPSLLFLDEPTSGLDSTTAQRMVSTLLEVAKGGRTVVLTIHQPSSRLFYMFHKVLLLSEGNPLYYGQGSAAMDYFSSIGYAPLVAMNPSDFLLDLANGVSSNESREEQTVVKKALIAAYKSNIAEKLKDELQEVRHRPPDQLENKKFVRWATTWRQQFTVLLQRGVKERKHESFSGLNIAQVLVVAVLVGLMWWQSDIVLLQDQIGLLFFSSGFWGFFPLFQAIFTFPQERMMLEKERSSGMYRLSSYFMSRTIADLPMELILPTAFVTITYWMAGLKPTAGNFLHTLFALLFCVLVSQSLGLALGALVMELKSATILGSVVILTFLLAGGFYIQHVPPFISWIKYISISHHTYKLVLGSQYKPNETYPCDDPGKVCLVGDFPTIKNMNLDGQLLSAVALAIMLVVYRMIAYLALMRIGVTKKLKE >EOY12016 pep chromosome:Theobroma_cacao_20110822:7:628994:633450:-1 gene:TCM_030634 transcript:EOY12016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 KSVNGPPSAKQDGIVLTESINKSRLQSDAADELLQFVKPVGNVVTCVLAKEERSQCQSKERAENIYSGSITRSRSSVQSPKSVNGLSCAGKASDAAKQDGDLLIKSTCQSKQQPNAVDELLESVKPAVMSDESCGYMKARDHLIKEKESNVYQGRLTRSRSSSQQHNCVNKHLKLDSCPDRSIDDGICKSMQLACHVNDLEELIKPFDISDESCGIKAKTSDYETKENAVVDQYNDGSTRSGANCSAKLFKLVDSSNTLEYEVTQCKSTASNKSPYAKSSDSSEGVELKEVPDTQVDSLPCANDSNLADWNQCVAIVADTDADSDELVESHSASSASNLDGANNPPLEKSLNRYERIELEVVVTSPHSASAMIVMPKQLDFDNLGECTLNEASSPALESEEEIKSLKERPLAWLPSADKLDEVTPVHYQEKYNSSPVKQLLEEREAYSKEEKQSETDLNKTSGPGRTSNLNVVLSVKETPEASTDAVTSMLPESNKISEQKIFMEHHSTTLKVSNENLLGNSKKDASGSRLNADTGTNYLFEKDYRKLEVLKSATSVPEECNCNTNVCSGPAILADIDFNEVCSPALLRKVDATSTDAIEHPFTALIEETKGHSVKQKMELCPSQNRNADSMGRCIADDTDSVQDPTHAKSSERKFAIQFVQPGRHSGSHVEGSWPHKRRKIGGQQSNSLSLSLSLKDEDVMQLNANKSLVDEEDQNTGKCSWKESSRSEAIPSTFMHKQFAVASVSSLPQETLENSEDHSAEGTGAVGPSSIMFGSTRKCTADENQILLNVGDKSEFGNIEQLTCDERSEEESKSQLGEDGEFSTCPISSPCQPPADLISADQTNPELEGFIMQTDSEQICIGGDGISFDKLDLPKTTIERASLLEQLCKSACIHTPLSQFPTTYKLHRTTDLYQSVPNGLLECVDPKSTLPINDDRKSQLKASTSCFGEDTNHAFLGGYFSDRLPFSSSQVTGDVKKPYLSPVGKLWDRIASNSGSSEKRGSLNLELPCINEENENTDEVVDAFQEGSTSKIVTCSVQRKPLTEIRECPNVPASVSGAEIFTVRDSLDSVNTTYSFTGTKNGVKQKAGKHNASKRRETNKMKENLSIPPGANGTKRASESLRNGFSKPKLSGKTSLRNGGPSFSQKKSKVNNIVSNVTSFIPMVQQKQAAAIITGKRDVKVKALEAAEAAKRLAEKKENDRKMKKEALKLERARLEQENLRQLEIEKKKKEEERKKKEADMAPEEKLCA >EOY12014 pep chromosome:Theobroma_cacao_20110822:7:625975:635278:-1 gene:TCM_030634 transcript:EOY12014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MSTIEKVLVQIFERKDRIIDHVKQQILLFDHHLASKCLIDGFVPPPWLLSTSHTELNKEDLISGLLHPNPQPSIPYYSLYQQPVDNVQLPSVLCTRVDAWNEGLDQCLSGKGDELDPSVTSPPQDCGDGMISDICPDPGLSLARIQRSRSRQRALEHRSSVKACKNIESSDKNGDACNSQNKGSKIACLWSDSVDKLELIRSCDNVVSYAEKEEERRQCWSKERSENVYSGRVTRSRSSVQPPKSVSGPSDAGNTSCVAKQDGVVHTESTGNSGQQPDVLHELLESNFVEPFHNTVSCAVKNEENSQCQRKERGEDVYSGRITRSRSSGQPPKSVNGPPSAKQDGIVLTESINKSRLQSDAADELLQFVKPVGNVVTCVLAKEERSQCQSKERAENIYSGSITRSRSSVQSPKSVNGLSCAGKASDAAKQDGDLLIKSTCQSKQQPNAVDELLESVKPAVMSDESCGYMKARDHLIKEKESNVYQGRLTRSRSSSQQHNCVNKHLKLDSCPDRSIDDGICKSMQLACHVNDLEELIKPFDISDESCGIKAKTSDYETKENAVVDQYNDGSTRSGANCSAKLFKLVDSSNTLEYEVTQCKSTASNKSPYAKSSDSSEGVELKEVPDTQVDSLPCANDSNLADWNQCVAIVADTDADSDELVESHSASSASNLDGANNPPLEKSLNRYERIELEVVVTSPHSASAMIVMPKQLDFDNLGECTLNEASSPALESEEEIKSLKERPLAWLPSADKLDEVTPVHYQEKYNSSPVKQLLEEREAYSKEEKQSETDLNKTSGPGRTSNLNVVLSVKETPEASTDAVTSMLPESNKISEQKIFMEHHSTTLKVSNENLLGNSKKDASGSRLNADTGTNYLFEKDYRKLEVLKSATSVPEECNCNTNVCSGPAILADIDFNEVCSPALLRKVDATSTDAIEHPFTALIEETKGHSVKQKMELCPSQNRNADSMGRCIADDTDSVQDPTHAKSSERKFAIQFVQPGRHSGSHVEGSWPHKRRKIGGQQSNSLSLSLSLKDEDVMQLNANKSLVDEEDQNTGKCSWKESSRSEAIPSTFMHKQFAVASVSSLPQETLENSEDHSAEGTGAVGPSSIMFGSTRKCTADENQILLNVGDKSEFGNIEQLTCDERSEEESKSQLGEDGEFSTCPISSPCQPPADLISADQTNPELEGFIMQTDSEQICIGGDGISFDKLDLPKTTIERASLLEQLCKSACIHTPLSQFPTTYKLHRTTDLYQSVPNGLLECVDPKSTLPINDDRKSQLKASTSCFGEDTNHAFLGGYFSDRLPFSSSQVTGDVKKPYLSPVGKLWDRIASNSGSSEKRGSLNLELPCINEENENTDEVVDAFQEGSTSKIVTCSVQRKPLTEIRECPNVPASVSGAEIFTVRDSLDSVNTTYSFTGTKNGVKQKAGKHNASKRRETNKMKENLSIPPGANGTKRASESLRNGFSKPKLSGKTSLRNGGPSFSQKKSKVNNIVSNVTSFIPMVQQKQAAAIITGKRDVKVKALEAAEAAKRLAEKKENDRKMKKEALKLERARLEQENLRQLEIEKKKKEEERKKKEADMAAKKRQREEEERLEKERKRKRMEEARRQQRAPEEKLCAKKDEKEKNCQAPDEKAQTMTVPNNEAVKHEQMQKEIADRNEGKMLETELRTAVASISDAVKASMAVGDCNAKVPSTADRATTESDSLIADTSREQSYDISPYKGSDDEDEEEEDDDEPNSKFIPSWASKNRVALVVTSQQKLDPEAIFPPKSFCSIAEVLLPRKLQQNRVS >EOY12015 pep chromosome:Theobroma_cacao_20110822:7:627664:635236:-1 gene:TCM_030634 transcript:EOY12015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MSTIEKVLVQIFERKDRIIDHVKQQILLFDHHLASKCLIDGFVPPPWLLSTSHTELNKEDLISGLLHPNPQPSIPYYSLYQQPVDNVQLPSVLCTRVDAWNEGLDQCLSGKGDELDPSVTSPPQDCGDGMISDICPDPGLSLARIQRSRSRQRALEHRSSVKACKNIESSDKNGDACNSQNKGSKIACLWSDSVDKLELIRSCDNVVSYAEKEEERRQCWSKERSENVYSGRVTRSRSSVQPPKSVSGPSDAGNTSCVAKQDGVVHTESTGNSGQQPDVLHELLESNFVEPFHNTVSCAVKNEENSQCQRKERGEDVYSGRITRSRSSGQPPKSVNGPPSAKQDGIVLTESINKSRLQSDAADELLQFVKPVGNVVTCVLAKEERSQCQSKERAENIYSGSITRSRSSVQSPKSVNGLSCAGKASDAAKQDGDLLIKSTCQSKQQPNAVDELLESVKPAVMSDESCGYMKARDHLIKEKESNVYQGRLTRSRSSSQQHNCVNKHLKLDSCPDRSIDDGICKSMQLACHVNDLEELIKPFDISDESCGIKAKTSDYETKENAVVDQYNDGSTRSGANCSAKLFKLVDSSNTLEYEVTQCKSTASNKSPYAKSSDSSEGVELKEVPDTQVDSLPCANDSNLADWNQCVAIVADTDADSDELVESHSASSASNLDGANNPPLEKSLNRYERIELEVVVTSPHSASAMIVMPKQLDFDNLGECTLNEASSPALESEEEIKSLKERPLAWLPSADKLDEVTPVHYQEKYNSSPVKQLLEEREAYSKEEKQSETDLNKTSGPGRTSNLNVVLSVKETPEASTDAVTSMLPESNKISEQKIFMEHHSTTLKVSNENLLGNSKKDASGSRLNADTGTNYLFEKDYRKLEVLKSATSVPEECNCNTNVCSGPAILADIDFNEVCSPALLRKVDATSTDAIEHPFTALIEETKGHSVKQKMELCPSQNRNADSMGRCIADDTDSVQDPTHAKSSERKFAIQFVQPGRHSGSHVEGSWPHKRRKIGGQQSNSLSLSLSLKDEDVMQLNANKSLVDEEDQNTGKCSWKESSRSEAIPSTFMHKQFAVASVSSLPQETLENSEDHSAEGTGAVGPSSIMFGSTRKCTADENQILLNVGDKSEFGNIEQLTCDERSEEESKSQLGEDGEFSTCPISSPCQPPADLISADQTNPELEGFIMQTDSEQICIGGDGISFDKLDLPKTTIERASLLEQLCKSACIHTPLSQFPTTYKLHRTTDLYQSVPNGLLECVDPKSTLPINDDRKSQLKASTSCFGEDTNHAFLGGYFSDRLPFSSSQVTGDVKKPYLSPVGKLWDRIASNSGSSEKRGSLNLELPCINEENENTDEVVDAFQEGSTSKIVTCSVQRKPLTEIRECPNVPASVSGAEIFTVRDSLDSVNTTYSFTGTKNGVKQKAGKHNASKRRETNKMKENLSIPPGANGTKRASESLRNGFSKPKLSGKTSLRNGGPSFSQKKSKVNNIVSNVTSFIPMVQQKQAAAIITGKRDVKVKALEAAEAAKRLAEKKENDRKMKKEALKLERARLEQENLRQLEIEKKKKEEERKKKEADMAAKKRQREEEERLEKERKRKRMEEARRQQRAPEEKLCAKKDEKEKNCQAPVNSLLL >EOY13058 pep chromosome:Theobroma_cacao_20110822:7:5687840:5688714:1 gene:TCM_031578 transcript:EOY13058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate phosphatase-related protein, putative MPWNSLMDRMMKELHAQGKTIDDIAEVLKRVPIHLVPASKAAHALGEYFSEINSNPDFVDEERRLRIFPYHDNFTKCSHGNNLCSPNMCKVSYCFTTYVI >EOY12964 pep chromosome:Theobroma_cacao_20110822:7:5069185:5071419:-1 gene:TCM_031473 transcript:EOY12964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNSYVVFGVLVIMASGAVMARDVDPIKANNCETKMTLHCVNEVFTSIFKTGIVTDNCCIELIGLGKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >EOY13557 pep chromosome:Theobroma_cacao_20110822:7:9201340:9204612:1 gene:TCM_032155 transcript:EOY13557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-related gene 1 MDPKAVKYGSSLPVPSVQELAKKSIATIPPRYLRPDLEKPIVSDAGSMSEIPVIDMEGLVSKESMDSELAKLDFACKEWGFFQVCLALYICHLNPYSILVSCEYLINHGMSLSLVEKVKTEIQDFFNLPVEEKKKYWQNPGEVEGFGQAFVVSDEQKLDWGDMFFLTTLPVYSRKPHLFPKLPLPFRETLNQYSLELEKLAMAILLQIAKAINMNIEEMRELFEGGLQAIRMNYYPPCDEPEQVIGITPHSDASALTILLQVNDVEGLQVKKDGKWVPVKPLPNAFIVNIGDILEIITNGVYRSIEHRATVNSEKERLSIAAFCSPNYNGEVGPAPSLISGGKQALFRRVRVEEYFKALFARQLHEKSHLDSMRI >EOY12118 pep chromosome:Theobroma_cacao_20110822:7:1049608:1052897:1 gene:TCM_030719 transcript:EOY12118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 53, putative MFNNRARAHSLFFMFMFLLLQNFSLSQDDELQQLLSFKSSINDPSGFLSNWNSSVPLCLWHGITCNSFSRVKVIELIEKNISGTISSSIFHLPEIETIDLSTNQLSGEIPHDLVFSMSLQYLNLSNNYLTGVVPNCSISLKKLDLWNNFLSGKIPPQIGECSNLKELNLGFNYLAGRIPSSISNMSSLQILTLAGNKLIGQIPRAFGKMKSLKWIYFGYNNLSGEIPQELVDLVSLNLLDFAHNNLRGPIPSSLGNLTNLQYLYLYNNKLTSLLPRSIFGLKNLVELDLSDNHLFGEIPELIIELQSLEILYLFSNDLTGKIPPQFGVCSNLKELHLAYNNLSGQIPSSLGNLSNLQNLFLYENRLIELMIELQNLKVLHLFYNDFTRKIPNALALLPRLQVVDLSSNNLIGEIPSLICNISSIEVLVLADNNLNGIIPPCLGNFSKCLSILDLQKNSFHGTIFETFGKDCGLRNLNLNGNKLEGSLPRSLANCGNLEMVAIGDNKLNGSFPYWLDTLPNLQVLVLRSNKLHGVLRSSKTIHPFPKLRILDLANNEFTGLLPKGIIKNMKAMVNLNERQSSLQYMQGRYYDYYVQLTVKGFYVGLSDIPTTFTSIDISNNNFHGEIPSVIGKLNSLRGLNFSHNSLSGHISKSMGNLTSLEWLDLSSNKLTGQIPNKLTDMTFLAFLNLSHNQLTGPIPQGKQFSTFENGSYEGNLALCGFPLSKACNEDGRQQSFPSFSKKADDLETKISFGWKVVLIGYGCGLIVGVIVGYITFRNGEPNWFITLYGVKNHRRERRCPQN >EOY14032 pep chromosome:Theobroma_cacao_20110822:7:18307571:18313290:1 gene:TCM_033113 transcript:EOY14032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPRQMASKWNVPIKKSLMSLKKRVRVYSWKKGARRSKAELAAIREEMQHTNVELARLLHQLVQRKQLIRSVARSNTLVNHFVETTIASWED >EOY11911 pep chromosome:Theobroma_cacao_20110822:7:330809:331703:-1 gene:TCM_030565 transcript:EOY11911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transport protein family, putative MKPILMAESNLTFTVIIMMQQKMVIGVNMECDRCRVKAFKVAAKTKWLESYLLLEGAEKDKLVVKGDGVDPVNLTMSLRKKVARAAPLLSVDEEKEKTGKAEKEEKGKGKDEKEEPTQMPCCYLYDMARYYTEEPIADENPSTYPMFIWSVNKLMKLP >EOY13491 pep chromosome:Theobroma_cacao_20110822:7:8814910:8816642:1 gene:TCM_032092 transcript:EOY13491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFEIALGVAQGNDYLHKGCEMQILHFDIKPHNILLDENFTPKVSDFGLANLYSVDDDIISLTAARDEGDTMELGDVTEIENKIMRKMVIVTLWCIQMKPTDRPSMSKVLKMLESEVELLEMPPKPSFSGNIN >EOY13984 pep chromosome:Theobroma_cacao_20110822:7:16463985:16466650:1 gene:TCM_032958 transcript:EOY13984 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNase H family protein MLASCSVTEQHIRGRIGRGELFFWHDYWMGDEPLVNRFPSFASSMILVSNFYQNGSWHIGKLNDALLEDVVTEIMKIPIDESRIYEAYWAPTSDGKFTTKSAWEIVRQRHSINFVFYSIWHRSIPLSISFFLWRLFQDWIPVDLRLKSKGFQLVFKCQHCNSKESLFHVMWECPLASQVWNYFAKFFQIYIIHRKSIYQIIWAWLFSSDYTKKGHIHILIPLFIFWFLWVERNDAKHRNLGMYPNRKPSLPKPKVFSWQKPLTGEFKLNVDGGSKYDCQSAAGGRLLRDHTGTLIFSFVENFGPYNSLQAELMALYRGLLLCIEHNVRRLWIEMDAKVVIQMIHRGHKGSAQIRYLLASIRKCLSVISFRISHIHREGNQAADLLSNQGYMHQNLHVFSQVKGQLKGILGLDKNNLRSEFLSFHELEYF >EOY12068 pep chromosome:Theobroma_cacao_20110822:7:764678:769881:1 gene:TCM_030669 transcript:EOY12068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein / bromo-adjacent domain-containing protein, putative MKMSGNGHCFTEWKEEFVSQERGNRVVHYFLKDSAGESIRAVIGTERSVRHMFYVVAEEFVRVYGAEHSIHAGFKWRSRREVVDWLTSMLSKQHLQGDRSKSPKHEALLALASPDCAMNEISARKAQALDDMSHLSRNWNGPSSDIVWSGTAWTCGKQLKHFPAFGRNGTTIAVQSFVFVMAKGENHYLAYLEDMYEDKRGQKKVKVRWFHHTKEVKGVVPVRNPHPKEVFITPYSQVISAECVDGLASVLTREHYEKCSAVFPDALLARVHVCSRQFRSNKVKPFDLSKLRGYFDQPILSCLNSSMFSEPDSMSHGLNEEGEEELSPSENVKLGNKRTRTNRKSQRFVTDHSGNRISGNHLMTYETSYKKIKYALSGKSLLSLKHVECQPWYGSVFKVDEKIELLCQDSGIRGCWFRCTVLQVSRKQMKVKYNDVQDEDGYGKLEEWIPIFKLAMPDKLGMRYSGRRTIRPAPPSSETALALEVGSAVDAWWSDGWWEGVVTGVNSSGDDNLQVYFPGENLFLSIHKKDLRISRDWDGDHWIDIEARPDMLSLISTAISPDMDTKVSMSSTVVMDAKFDGSTMPMEVVAAKTTLNVVHGEKPELAIQDCSGVKELQSSKDEKEGDGSDFKKPPPSENGDNDGNADDANTIHDKLNDVDGNDKNNNANNSNDDKEGKLETENDMEQDCKSTELVEVTT >EOY14346 pep chromosome:Theobroma_cacao_20110822:7:24279510:24282284:1 gene:TCM_033736 transcript:EOY14346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGWPKEPPRDGWYQSIAISCKTIGCWVSLRSVCEPQCASFAGGDLSGKMITSRSSLPNPVLEGMETRAGQRGKSASLDLIFALNARLSRVEVTVGGMRDCLDVQEEHFDEFNSQDEELKGEVQEIVRKTLEIVIERYAQLESMLDILRHELEELRAELVAVASEEEQQPIEVVKLGSMLLRVVNKAGNRAKGLMFANLIVARQKVKALVDMGASDLFVFEQGVAKLSIKVDSAGGWVKTVNFKRVHTKGIAKGIDVQLGQWHGVEDIEVISMDD >EOY14211 pep chromosome:Theobroma_cacao_20110822:7:22181140:22182623:-1 gene:TCM_033497 transcript:EOY14211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MAAEFAAAANTVGNLATEYASPYLTYYFRFGKIVEDFKKQRKALQSKKDQVQDDVDKAVRQTEVIKKDVEEWLTKAEIELGEAQSLEEEIERNKCFNWCPSCGWRYCLSIKIAKKTLYISQLLAETCNFQRVGQRPPLPRLEFIPSKDFMPSESSNSAFKEIMEALKKDDVNMMGLYGMGGVGKTTVAKEVGRQVKRLFDEVEIVTVSQTPSINNIQDKFEDFLHLKFQMTTIEGRAEQL >EOY14005 pep chromosome:Theobroma_cacao_20110822:7:17005811:17007619:-1 gene:TCM_033016 transcript:EOY14005 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB21 MVRQPISWNRAFLKKGTWSPEEDQKLIAYIMRYGIWNWNEMPKYAGLSRPGKSCRRRWMNYLRPNIKRGNFTKAEEGTIVHLQKMLGNRWSAIAAMLQRTDNDIKNYWNTRLKKRVGNNLASATASPTANNSGIETEQENSSDADSSSLNTLLELDPALKDFPEPATYEISPSENTYTVEDNFVSSQESWEIQSLLEQPLTEVAFDSEDCQALSPNSQLWLHEPLYLYESFYNPVDDFWVSPFI >EOY13382 pep chromosome:Theobroma_cacao_20110822:7:7929166:7932107:1 gene:TCM_031944 transcript:EOY13382 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRI1-like 3, putative MHFMIHFFIFFLLAPSMPSEVSSTVPLTSSEKQNQSNEVMALLAFKHSSVEADPNGFLDNWNPASLSPCSWVGVFCSPSGQVSALNFTYAGLIGRLQIDGLMVLENLQHLYLRGNSFSGYLFLNKVSYPCIIETLDLSFNNLSAPISKTFFDSCDRLTSLNLSHNLIPGGSFDFGTSLLELDLSSNLISDSSILNSSFLNCQNLRLLNLSYNKLSAELGAFSSCGKLSILDLSNNMLSGDIPTLLVSDLPGSLEFLDISHNNISGNFSALEFKNCAKLSVLNLSNNALYGTGIPHSLTNCRLLEKLDLSYNELMDKIPAALGIMGNLKHLNLAHNDFSGNIPPELGWTCGTLVELDLSENKLSGEFPETFKSCDALQSLNLGNNQLSGNFLTTVITTLTKLEILQVPFNNISGPIPSSLGNITKLRVLNLSSNAFTGNIPSGLCSSSTSFFSLQKLLLAGNFLSGQVPSTIGNCKNLRTIDFSVNNLSGSIPPDVWMLPHLSDLSIWGNSFSGEIPKDICFRRNNLETLILNDNIFSGTIPESVSHCKNLIWLSLSFNQLSGDIPAGIGTLQKLSILQLNHNLLTGRIPPELGSCQSLIWLDLNNNHFTGNVPSELANRTGIDFKNDREWKFAYFRNEGEIKGCHVVNNLLYSEGIRPERLVGLLDSNSCSLMRVYHGWTLSMFKSDGSMIYLDLSFNFLSGSIPDSLSSMSYLQFLYLGHNRLTGTIPETLGSLKVLTVLDLSHNQLEGRIPSSLEFLQFLTDMDVSNNNLSGTIPSGGPLETFPASSFANNSDLCRQPLATCDSKKPKTDNPSTLLRKNKKDNPSTGSVYDWVWLAAWMGFINGVIIGVYTGLILFEVKDRWFMKTFHVTPRRRSEQLYSRRRRPCRR >EOY14094 pep chromosome:Theobroma_cacao_20110822:7:20623666:20624648:1 gene:TCM_033332 transcript:EOY14094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKWSTTPLETYKIIYFSLVGRPRDFERKALDTRESQLSFVQHNLWKRQVEKFLSHFLERKTSYQNVFTFLLFLFMKLT >EOY13917 pep chromosome:Theobroma_cacao_20110822:7:13333123:13334771:-1 gene:TCM_032675 transcript:EOY13917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNAMALSKRKSPLAFPKVSHGSLQRKHQRRRTEKLNARMRQLRAEMEKISEEQREIKEGQMQVKQKFEAIEQECDQLRNETNLIIQQSANTQLRLALMFRILKAREDQDFNRAAQLTQALRELVTMQNQKMEGSVENLLGK >EOY12109 pep chromosome:Theobroma_cacao_20110822:7:995131:998212:1 gene:TCM_030709 transcript:EOY12109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 53, putative MNSSCSYPSNLQSMIHLASSPTGIPPPHCACGMESLATIFLSNNNLTGAIPNYSISLEILDFSDNSLSGKIPPQIGVVCSNLKELNLGGNYLVGRIPSSISNISSLQALTLASNELIGHIPHELSKLKSMKWIYFGYNKLSGEIPQELVDLVSLNHLNLFSNDFTSKIPNALASLPHLQVIAIYSNNLIGEISSLICNISSIEFLDLCDNNLSGTIPPCLGNFSKGFSILDLQMNSFHGTIPETFGEDCGLRNLNFNGNKLEGSLPRSLFNCRNLQLMDMGNNKLSGTFPYWLDPLPNLQVLVLRSNKLCGVLQSSKTTHPFPKLQILDLANNEFTGPLPKGLNLSHNSLSGHIPMSMGNLTSLEWLDLSSNKLTGQIPDQLKDMTFLVILNRSHNQLTGPIPQGKQFNTFENGSYEENLALCGFPLSKSCNNNRRKQSSPSFLKEADDSETEISFGWKVVLIGYGCGLIFGVVIGYVTFKNGEPKWFVTLYGVKYHPKGRRCSRN >EOY13146 pep chromosome:Theobroma_cacao_20110822:7:6161458:6173813:-1 gene:TCM_031668 transcript:EOY13146 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MWEPLLNDCQIVLIPRLGDQILNTRLMVEELEVAVEVEKGENGEISKENLSKAIKLEMDKDNEIASLLKRTMPSSRTFFLTGIFKKNISIPSSKVCKIWSNKIQIAKEVEEYNLESDHSNTVCKAKMRNPNSSKLHLAMFPWFSFGHFIPYLHLSNKLAEKGHEVSFLLPKGAQPKLEQLNQYPNLIQFFPLVVSHVDGILPGAQTTSDVPLPLHSLFAIAFDQTRDQVEAILRAIKPDIVFHDLGYWIPALAHQIGIKSIYYPPVTAAAHALLPTKKVTREMTVEELMEVPPGYPSSKVKLRAEEVSDLTTALKIFGIGLSFRDRIITSMNDSDVIAFRAHREIEGPYCDYVAQHFGKPAMLTGTCLPETNATQLEDKWANWLSNFEPSSVVFCAFGSQITLQKEEFQELVLGLELSGQPFLVALTPPDGCTRIEEALPEGFQERIQGRGLLHGGWFPQELLLSHPSIGCFVNHCGPGTMWESLLSDCQIVFIPRLGDQILNTRLMVEELKVAVEAEKGENSKISKENLSKAIKLVMDKDNEISVLLKRNHAKLKKILSNRDLQEEYINNFIKGLQDLVK >EOY11851 pep chromosome:Theobroma_cacao_20110822:7:119675:120402:1 gene:TCM_030522 transcript:EOY11851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLANTRSRHLLKPLSDQSSRNKNQKWLHLFSTLKRKSLSLYSQALLFFLYILCLLKLLTQKRFKSS >EOY12383 pep chromosome:Theobroma_cacao_20110822:7:1874479:1877837:1 gene:TCM_030900 transcript:EOY12383 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase isoform 1 MESSGSGSFLRNRRLGSFLDTNSDPNVRETQKVSSKGGARQSPKTDDAFVDDDGWICSLISCVRIVACFLTMMVTTFIWALIMLLLLPWPSQRIRQGNIYGHVTGRLLMWILGNPIKIEGTEFSNERAIYICNHASPIDIFLIMWLTPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPSTAIQSMKEAVQAVIKHNLSLIIFPEGTRSKNGRLLPFKKGFVHLALQSHIPIVPIVLTGTHLAWRKGSLHVRPAPISVKYLPPISADSWKDDKIDDYIKMVHDIYVENLPEPQKPIVSEDTTNSSRS >EOY12382 pep chromosome:Theobroma_cacao_20110822:7:1874518:1881528:1 gene:TCM_030900 transcript:EOY12382 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase isoform 1 MESSGSGSFLRNRRLGSFLDTNSDPNVRETQKVSSKGGARQSPKTDDAFVDDDGWICSLISCVRIVACFLTMMVTTFIWALIMLLLLPWPSQRIRQGNIYGHVTGRLLMWILGNPIKIEGTEFSNERAIYICNHASPIDIFLIMWLTPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPSTAIQSMKEAVQAVIKHNLSLIIFPEGTRSKNGRLLPFKKGFVHLALQSHIPIVPIVLTGTHLAWRKGSLHVRPAPISVKYLPPISADSWKDDKIDDYIKMVHDIYVENLPEPQKPIVSEDTTNSSRS >EOY12096 pep chromosome:Theobroma_cacao_20110822:7:931073:934471:1 gene:TCM_030697 transcript:EOY12096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 6, putative MWCLLRSFQLLLFLLFLLNFQANLSSCSSSTTHLCSPEDAAALLQFKSSFSISEDLSWSCDDAGTKSSPKTNSWKEGSNCCSWDGITCDNINGEVIGLDLSCSQLYGSIPSNSSLFNLSHLQKLNLAFNYFDSSKVSSKFGGFASLVYLNLSGSRFAGQVPSQVSHLSKLISLDLSQNYDLTFDKHTLGRLVENLTEVRQLFLDDINMSSINPNALMNLSSSLMTLSLRRCYLRGKFSKNILRFPKNIFLLPNLKSIYLRGNQNLALDFPKLNKSSNLELLDLSNTSFSRGLPDSIGNLVSLKHLLLDHSKVLGSIPRSLGNLVLLEYLDLRGSTFSGSIPRSLGNLSQLSYLDLAFNNFRGQIPSSLTNLKHLEILDISYNQLEGSIPDEVSAFPNLISLDLGSNLLNGTLPSCLYTIPTLKDIFLYENQLSGDIREFQYKSLKGINFGNNKLTGPLPSSISQLVNLTILVLSSNNLSGIVELDMFSKLQNIQYLDLSYNSLSLSSNGTGANYTLPNLQYLQLSSCNVNEFPQFLRGSEGLKYLDLSNNRIYGKIPKWMCDLGGYYLWYLNISRNSLTDLNQFPMEGILALDLSSNLIHGDLPIPRSSSASIFLISNNSLSGEISSLICEASSLEYLDLSHNNLSGTIPECLGNFSKSLSMLKLQMNRFHGIIPPTFIKGCQLKNLNLNGNQLEGALTPSIINCRDLEVLDLGNNKINDTFPHWLGSLPRLKVLVLQSNQFHGSIHGTRSSRSFSKIQIFDLSNNYFTGPLPIQYIKNFKVMINIGKDEDGVSYLGLLDFSGHFYSYSIGIAIKGQEIELEEIFVMFTSIDLSKNEFQGEIPKVIGELNSLKGLNLSHNNLSGYIPTSMGNLINLEWLDLSSNKLVGKIPEQLLDLTSLSFLNLSMNELVGPIPRGKQFNTFENSSYEGNDGLCGFPLTRSCSNNKSPQPLPPSNLLEKDDGSESNIAFGWKVVLIGYGCGLLFGLAVGYIVFRTGKPEWIVTLVEDLYPKRRRKSKIGNRSGGRRRI >EOY14056 pep chromosome:Theobroma_cacao_20110822:7:19574707:19584724:-1 gene:TCM_033225 transcript:EOY14056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCTPNMHKVISSCALPTCRFQYHRVHSLFAQAILSSTLPHPIMTGMCIPHIAHTDDYIYYTILTINTQHIYINII >EOY12500 pep chromosome:Theobroma_cacao_20110822:7:2380962:2383974:1 gene:TCM_030989 transcript:EOY12500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monovalent cation:proton antiporter, putative MAFNNLSSPTTGMEGQVRVEEVCLKFPPKVSSPGLAALILSNEQKETFMDFAGPRLHFQMILIFVFTQVIHNMLKHLGLPMFISQILVGMILGPMVFKGHDSLVTMSEDSVQVLGTVASFGYIFFLFLSGVKMDLSMTYKAGRMAVCIGLLAVVVPLTFCLITVKALSTDGELFENNSLFLAVTNSGTSFPVIHCLLDELKILNSELGRLGLSAALVSDIASLVLMNLSQWVKVAQEISATLVLKDFGLAIAFVLVVVFVLRPGMKWMVRRTPEGGQIKDVFLYAVILGFMSSPRINEFFNIFLLFGPFILGLAVPDGPPLGSALVEKLDPVVSGLFLPLFASTCGMRIDLSYLKKSTEYAQNQAIAAVVAVIFKFGVSLALPLLCKMPLRDSLALAFIMITKGIVEMGSYSFLFDNMVISQDLFAFMSIIIILLASIVPILVKRLYDPSRKYIGYQKRSIMHSKLKEELRMIGCIHVPGNVNSIINILNASCPNRENPIALDVLHLVKLSGRATPLFIAHQKHRKTQSNKSYSENVVLAFNQFERDNWEAVSVNVFTAVSPPNLMYEDICNLALDKLTSFILLPFHRRWYIDGSIESEDQAIRSLNCSILERAPCSVGILVEGRRPLKRSNSRDKLSSESSSYSIAVIFLGGKDDREALALAKRISQDQRVSLTVIHLKGTNNLGAILADLDRMLDDEMLRGVKEGGYIRYVDKQVNDGPETSSFLRSIVDDHQLIIVGRRYNTEDPRTFGLEEWSEFQEIGIIGDLLSSADFGGNYSVLIVQQQQLRIA >EOY12026 pep chromosome:Theobroma_cacao_20110822:7:679670:681635:1 gene:TCM_030644 transcript:EOY12026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MPTLYLFSLVIFLHAASTFSRHLPEEVSEEAGRYYSTRQLDREQCRTGNPMDDCWRCDPEWDTNRIRLADCAVGFGKDAIGGRDGEVYVVTDSDNDDPVNPTPGTLRHAAIQEPLWIIFDHDMVIMLKEQLLINSYKTIDGRGHDIQIAHGPCITLRNVSNIIIHNIYIHDCLPSGYATVWDPSLQYTTSGRSDGDGISIFSSRDVWIDHCTLANCYDGLIDATYGSTAITISNNYMLHHNEVMLMGHNDDFLDDKNMQVTIAFNYFGEGLVQRIPRCRHGYFHIVNNVYSGWEMYAIGGSASPTINSQGNVYIALDNNSTKEVTKHENSLDEEWKSWNWRSEGDMMLNGAFFTPSGEEASASYLKASSMAAKPPSLLTKTAQYAGSLICQVGQLC >EOY12335 pep chromosome:Theobroma_cacao_20110822:7:1728946:1732118:1 gene:TCM_030868 transcript:EOY12335 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, 17.2kDa subunit isoform 1 MSKLFARIAGYFSNRTFIGIDKAGNRYFTRKEEIDVKEKRWVEFKGEQDPTTIPVEWICWLNGQRKKAPTPEEIMELEARRERVRLNVALLKKEEEEREAREGSKKVVSSGKVGGPDLKSFIRQFPSASEGDKVEQASDGRTKETQEEKGKPLPESSEPTGSGASYKPGTWQPPT >EOY12334 pep chromosome:Theobroma_cacao_20110822:7:1728952:1731841:1 gene:TCM_030868 transcript:EOY12334 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, 17.2kDa subunit isoform 1 MSKLFARIAGYFSNRTFIGIDKAGNRYFTRKEEIDGILKEKRWVEFKGEQDPTTIPVEWICWLNGQRKKAPTPEEIMELEARRERVRLNVALLKKEEEEREAREGSKKVVSSGKVGGPDLKSFIRQFPSASEGDKVEQASDGRTKETQEEKGKPLPESSEPTGSGASYKPGTWQPPT >EOY14153 pep chromosome:Theobroma_cacao_20110822:7:21735239:21736421:-1 gene:TCM_033443 transcript:EOY14153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTWCYCGWPCCPDVCHLGVGTMHTWRADSIGCELAHPCVSAMPTPCMARSHVTLPKGFSPPHVGTSVDHPTPSSALNCRS >EOY12216 pep chromosome:Theobroma_cacao_20110822:7:1372578:1376654:1 gene:TCM_030785 transcript:EOY12216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid-binding serum glycoprotein family protein, putative MNISSNPMAHAIAILFIVFSFLFIPATTLFESEQEGYISAVISNKGLDFAKDLLIEKAVSSMIPLQLSDIEKSVKIPVIGKVQLGLSDIIICSVDIASSSVETGESGIVLVASGATANLSMDWGYSYKTWVITISDKGTAIVQVQGMVVVLNVALINQEGTLKLLLLDCGCHVEDIYIKVDGGASWLYQGIIDAFQGKIVSAVEDAIVKKIREGIIKLDSLLQSLPKQMQVNGVVALNVSFMDDPVLSNSSVELEINGLFTGADGVSVSNYCYYYHKGSQTFLSSKGSAKMVEISLHENVFDSAASVYFKANYMHWIVDKIPDQSLMNTAGWRFIIPRLYEQYPDDDMNLSMAVTSPPIIRITDHDIGTTIYADLVIEVLSSGEVVPVACISLVISASCSAEIHGNNLAGSIRLVNFTSSLKWSNIGNLHMHLVQAVMSTILRTFFVPYLNLHLGKGFPLPLPHGFTLQNAEIILQDSRVTVCSDVRFTDRYDLNNQLPVSW >EOY13416 pep chromosome:Theobroma_cacao_20110822:7:8186517:8186962:1 gene:TCM_031987 transcript:EOY13416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRLTLALLIILFALIFQVPSMQSRMLFNVEKKEVFSPKDNLVPAVLPNKPTPPPSPTEKDHIMAKNERLFALHLAKIDRIMQSVPSPGGGHH >EOY13133 pep chromosome:Theobroma_cacao_20110822:7:6116738:6120651:-1 gene:TCM_046874 transcript:EOY13133 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein MQVLKSQSIKPRRISELLMKVGSIRCYVSSCRAVLLPRFGGPEVLELRTDVPVPDLKSNEVLVRARAVSINPLDTRMRSGYGRSIFEPLLPLILGRDISGEVAAVGASVRSLTVGQEVFGALHPTAVRGTYADYAILSEEELSPKPTSVTHVEASAIPFAALTAWRALKSTARITDGQRLLVVGGGGAVGFAAIQLAVAAGCHVTTTCGNQSINRVMAAGAEQAVDYTAEDIEVVIKGKFDAVLDTIGVPETERISINLLNRGGHYMTLQGEAAALSDRYGLPIGLPMATAVLLKKRIQYQYSHGIEYSWIYMRADSEGLHEIRRLSEAGKLNIPVEKTFSITQVREAHEAKDKKKILGKVVLELD >EOY13418 pep chromosome:Theobroma_cacao_20110822:7:8202470:8203006:1 gene:TCM_031991 transcript:EOY13418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRLSFVFLIFLLALVNPSPSSEARKHLNMEKKVIPSMKENVVPSVLPQKATPAPSASGNKGHLMVNDERLFAHHLAKIDRILQSNPSPGSGHY >EOY11993 pep chromosome:Theobroma_cacao_20110822:7:553443:556540:1 gene:TCM_030616 transcript:EOY11993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family/GOLD family protein MKMGFIEFVAAILLAFLCCPNAVFGIRFVIDREECLSHNVKYEGDTIHVSFVVIKTDSTWHSSDEGVDLVVAIELATCCNCQVKGPTGDQIQDYRDKISEKFEFVAHQKGVHRFCFSNKSPYYETVDFDVHESHFTYYDQHAKDEHFNPLLEQISKLEEALYNIQFEQHWLEAQTERQAIVNEAMSKRAVHKAIYESAALIGASVLQVYLLRRLFERKLGMSRV >EOY12948 pep chromosome:Theobroma_cacao_20110822:7:4997980:4998998:1 gene:TCM_031453 transcript:EOY12948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein MHSPTKLILLHFMDSQSSTLFVFSFSLNKLFCSHFLPIFSFAMENSQSLKGKLELKRSFPSKIRHGTKEKSPMEEVKLAAIAISLNVRLRSSDMPICMQEHALRCSRQLLDSAHKTRPNLTHLARAIKKEFDSAYGPAWHCVIGTSFGSFVTHSAGGFVYFSIDSLSILLFKTEVELVTEEKEGQRL >EOY12364 pep chromosome:Theobroma_cacao_20110822:7:1813407:1818131:-1 gene:TCM_030888 transcript:EOY12364 gene_biotype:protein_coding transcript_biotype:protein_coding description:F11F12.2 protein, putative MSVFVYTSGAGYVLFSISDTGKETNIKTNTDTNTLSLNSLSASAFLFLFLFFFWFFFSLTIVTAHFHTLHRVIYKHFFSFFGFVFWVVFLLLYEVARNFWFVFFFSFGGNVDGRGLSLREMKVTGKASLPASFSGRNPTDADLKPTTTTTKRRTRSTRWKRVGAPAVGRRSRPETPLLKWKVEEREKGREKSGGGVEEEEEEDGGGGGRRGGGRGRRRKGASTVSARKLAAGLWRLQLPETVTTGAGERRRDRLGFKPGSDFMGVPFLYHHKDKIYGLDAKDPLQSPSSVSGAKNGLLRKIEPSIQFSNSAMEGATKWDPVCLKTTDEVRQIYSHMKRIDQQVSAVSIVSALETELGQAQARIEELETERRSSKKKLEHFLRKVSEERAAWRSREHEKIRAFVDDVKADLNREKKNRQRLEIVNSKLVNELAAAKLSAKQYMQDYEKERKARELIEEVCDELAKEIGEDKAEVEALKRDSMKLREEVDEERKMLQMAEVWREERVQMKLIDAKVALEDRYSQMNKLVADLETFLRSRTGSLDVKDMREAESLRQIAGSVNVHDIKEFTYEPSNPDDIFAVFEDVALAEANEREIEPCVAYSPASHASKVHMVSPEMNIIKKDSMLRHSNAYLDQNDEIEEDESGWETVSHIEDQGSSYSPEGSAASVNKNHRDSNFSGSGTEWEENACGETPITEISEVCSLPARQLNKVSSIARLWRSCPNNGDNYKIISVEGTNGRLSNGRMSNGGIISPDRGSGKGGLSPPDLVGQWSSPDSGHPHITRGMKGCIEWPRGTQKSSLKAKLLEARKESQRVQLRHVLKQKI >EOY12176 pep chromosome:Theobroma_cacao_20110822:7:1206134:1208470:-1 gene:TCM_030754 transcript:EOY12176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein, putative MGSSSNSVFQCFLFMMFMFLYGNQQVQAAAANLFNVLQYGASGDGKTDNSKAFTNAWKQACQSGGNSVVLIPLGTYLVLPTVFQGPCKGAIAFQVKGLLRAPTDAASLHLDHWISFRYIDRLTITGGGSLDGQGASAWPYNTCLTDPNCPPFPVTLRFDFVTNSWINHITSINSKNFHFNIFASTNIRIHQVNISAPGDSPNTDGIHIGDSTGIHISDSEIATGDDCVSMGPGSQNINITNVHCGPGHGFSVGSLGKSPNEKDVAGVTVRNCTLTGTLNGLRIKTWSPSHSSRCSDVTFEHVNVENVNNPIFIDQNYCPSHKCGRQWESRVKIQGVRFRNIWGSSSSRIAVNLQCSRSTPCENIELRNINIDYNGGGGGATAAAAASSCSNVHGVAYGEQHPPSCMWKSFSTMSAQ >EOY13038 pep chromosome:Theobroma_cacao_20110822:7:5495807:5497549:-1 gene:TCM_031547 transcript:EOY13038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 2, putative MMSQKSLVLFLVFFAYLLSFSQAYRNFYVGGKDGWVLQPKEKYNDWAGRQRFQVNDTLTFKYEKGSDSVLLVHKDDYYKCNRTNPVKKMDSGNSEFQFPHSGPFFFISGKEGHCPKGQKLITVVMAERKKKSPTHPPKAAPPMYPVPIIKPPASSPYSSPGPAQSPKHHGPVAKPPKASSPGPALAPTSPTPVAEPPQELSPTPAPSSHIYPGPANSPKHHGPVAKPPKASSPGPALAPTSPSPVAESPSESSPTPAPSSHISPSLGPALSPMAHGPIAHPPKATSPSPALSPNSPTPSSFPPSPQSAAPQALTPSPSNAPVESPTVISPPGPPTAPSPSPTGSPSPTGSQAPSGRQTGSPAPSTSFATATYSSATVLAGSVLLSMVFGSFVGAI >EOY12967 pep chromosome:Theobroma_cacao_20110822:7:5074327:5074957:-1 gene:TCM_031476 transcript:EOY12967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNSYVAFGVLVIMASGAVMARDVDPIKANNCETKMTLRCVNEVFASIFKTGLVTDHCCIELIGLGKFCHDALIKKTLENPLFKNNDTSVILSRGAQVWNKCTLVKKDVSPSPSPY >EOY13941 pep chromosome:Theobroma_cacao_20110822:7:14698798:14700472:1 gene:TCM_032799 transcript:EOY13941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFAHTLLILRGSLTVYDAYECIHVITMTRASGKDATEHEAPVETTNRPHTPTTRDHARREKAASSGKIDSPACSSPACFCCCWCCLMLVLLFIPLIT >EOY13252 pep chromosome:Theobroma_cacao_20110822:7:6763669:6766620:1 gene:TCM_031771 transcript:EOY13252 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine 1-carboxyvinyltransferase isoform 1 MAVFFNITISPTPKPPKPFTSSLSPPQTQISKAPQKPQQNPIFKIKGPSTLSGHISISGSKNSSLALLAATLCCSGSSLLHNVPNVSDIKAMASILTYLGAKVEAFDGNMIVNSDGVGNVEVDMEEMKKIRGGFFVIGPLVARFGEAVVDLPGGCEIGNRPVDLYLRGLSALGAVVELRDGKVRAHAANGKGLAGGRFRLDYPSVGATETLMMAASLADGITVLSNVAKEPEVVDLARFLIDSGASIEGAGSDNLVIRGKSQLHGSECVITPDRIEAGTFMLAAAITHSCISMSPIIPSRLSCLIDKLSEAGCKISQFNQHTLEVSAVPTYIGDNLKGFDIRTSPFPGFPTDLQPQAMALLTTCTGSSLVVESVFDKRMSHVRELQKLGARIRVCGSTALVFGKDEGSSLHGSRVFASDLRGGVSLVLAGLAAEGTTEINNIAHIDRGYENIDRKLQHLGVDIQRLTPVACPL >EOY13251 pep chromosome:Theobroma_cacao_20110822:7:6763713:6766891:1 gene:TCM_031771 transcript:EOY13251 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine 1-carboxyvinyltransferase isoform 1 MAVFFNITISPTPKPPKPFTSSLSPPQTQISKAPQKPQQNPIFKIKGPSTLSGHISISGSKNSSLALLAATLCCSGSSLLHNVPNVSDIKAMASILTYLGAKVEAFDGNMIVNSDGVGNVEVDMEEMKKIRGGFFVIGPLVARFGEAVVDLPGGCEIGNRPVDLYLRGLSALGAVVELRDGKVRAHAANGKGLAGGRFRLDYPSVGATETLMMAASLADGITVLSNVAKEPEVVDLARFLIDSGASIEGAGSDNLVIRGKSQLHGSECVITPDRIEAGTFMLAAAITHSCISMSPIIPSRLSCLIDKLSEAGCKISQFNQHTLEVSAVPTYIGDNLKGFDIRTSPFPGFPTDLQPQAMALLTTCTGSSLVVESVFDKRMSHAISLVSFCPLIWIVRELQKLGARIRVCGSTALVFGKDEGSSLHGSRVFASDLRGGVSLVLAGLAAEGTTEINNIAHIDRGYENIDRKLQHLGVDIQRLTPVACPL >EOY13273 pep chromosome:Theobroma_cacao_20110822:7:6934146:6939704:-1 gene:TCM_031792 transcript:EOY13273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 43 MWLYQMLCFLFAFLFFQVNSSSISLLSSPPLPQLCPPEHSSALIQFKNSLSFPSCFACGDSYPKTEFWSQSRDCCSWEGVTCHSMTGHVIGLDLSCSRLKGSLPSNSSLFLLQDLRWPNLAHLEFTGSQIPPEFSKLRSLTYLNLSHIGIDCSVTEHISPLAELGSLDQSSLLLKLDNHHFNMLVHNLTNGTPSRQRKWTFKSSKPPLSANLLNGRVPGFHIQMGSRRVGELRYLDLSFNLLTNVEQFPGKTLQTLDLRPNSLQGPLPTPPQSINHLLISENELTGEIPSGFCNITSPLVLDLSKNNLSGIIPRCLGNYHSLSVLDLKMNNFDGKIPRMCTDEGSLLRSLNLNNNQLEGPMPRSLVDCSELEVLDLGNNNLNDSFPHWKHPYAIKESDISCGVKSFSKQSHGTGSAKILVSAPRLIFVVAAAFAFLWDAFCPSCGRIRKLFQQFPISSPLNPV >EOY13446 pep chromosome:Theobroma_cacao_20110822:7:8374330:8390159:-1 gene:TCM_032018 transcript:EOY13446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, putative MVEGSVPQELMNLTRLRKLDLKENNLQGEIPSAIGSLTSLWFLDFWHNNLTGRLPTLPPSLFWFSVSDNQLVGEIPSSICNLSSLQVLDLSDNNLNGIIPVCLGNLTLLHSNLYLNDFHGEIREILFPKSCSLRSFRISSNQLEGPIPQSLVNCKNLELLDLGRNNLTDVFPYWLGRLNLQVLALQSNKFYGHIVNSEVASSFSHLRIINLSDNDFSGCLPSKFFESLHAISNGYENKSEVDYMGTKYVTSASYYDATYVYYDESLFVTLKGLEIEFKRILTTLTVIDFSNNRFSGQIPEIIGKLQSLIVLNLSHNSLTGPIPSSLSNLSKVESLDLSSNKLEGSIPAQLKNLGFLAVLNLSWNNFVGPIPQGKQFDTFTNDSYLGNLCLCGLPLSKGCGNDQDWEPPPTIFDDDEDTTKELNWRFSILIGYGCGLVLGLSMGYIVFTSKKPWWFIKMIERVQRKYVGCVPIKDQYSHGKPIHPLRHWQKLDFDKEIFQVLCDLSLAKAHTSTPPHSPNQHVNDYSADTRSSTSNCTTLDPVIGILSHRGDGASGRLNNDTNASYVKFMEAAGVRVIPLTYNEHEEILFEKVVEKNKAGDHFPLYAICLGFELLTMIISGARHGQLLPAHLIVETAVFERLGFHGYLLGGTQINS >EOY13688 pep chromosome:Theobroma_cacao_20110822:7:10135374:10136633:-1 gene:TCM_032328 transcript:EOY13688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGKNTWGLRGQMNRDMHVMYKNDGCSQQQYTHSQRQNTLKRADRAGWLAGCLDYCLCPIFTSFLLWVKSGVALCCTLSNQSITIP >EOY13135 pep chromosome:Theobroma_cacao_20110822:7:6127644:6130016:-1 gene:TCM_031661 transcript:EOY13135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 isoform 2 MGKRKSRAKPPPKKRMDKLDTVFSCPFCNHGTGVECRIDMKNLIGEAVCRICQESFSTTITALTEPIDIYSEWIDECERVNNYEDDDA >EOY13136 pep chromosome:Theobroma_cacao_20110822:7:6127945:6130040:-1 gene:TCM_031661 transcript:EOY13136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 isoform 2 MGKRKSRAKPPPKKRMDKLDTVFSCPFCNHGTGVECRIDMKNLIGEAVCRICQESFSTTITGMLICCCFDSNVYTVIKCKGKESFN >EOY13921 pep chromosome:Theobroma_cacao_20110822:7:13516388:13517150:-1 gene:TCM_032689 transcript:EOY13921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDCKKLAFLFAATAVLLVAAMTPTAFAARNGVVPFSLDTNVAANIRHPFSNIFMPSKSGENCLSAGGFCMFNPMDCCGNCGCLYPMGICYGSGC >EOY12418 pep chromosome:Theobroma_cacao_20110822:7:2171732:2176165:-1 gene:TCM_030940 transcript:EOY12418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein family, putative MADQIGLAVAAKITEYLVAPAICHLSYAFRFASNVEDLRKETEKLTVAQGRLQNDVNEAIRQTEEIEKDVEDWLTEANKVLEDVKLQDSEIEENRRCFNWCPNWPWRYQLGKKVAKQKFSVVQLQETSKFQRVGHRATLPVIEFLRCKDFMPSKSSEKAFNQIMEALTDDDVSMIGLYGMAGVGKTTMAKEVGKKSTELKLFNLVVIAVVSQTPNIKNIQGRIADSLDLRFEKETEEGRAAQIWHRLQEKKKIFIILDDVWKELDLAAIGIPFGADHKGCKVLLTTRLQHVCTRMRSQTKIQLDVLSNDEAWTLFKHNAGLDDAPCHSELIDVAQKVAGECKGLPLAIVTIARALREKPLDEWIVANHRQQKSPQLAENQDFCEDIYGCLKFSYDYLKGRKIKSCFLLCSHFPEDYEISIEQLTRYGIGQGLFQDVNFIEDARREMRVILTNLQYSGLLLDTGNEETVKMHDVIRDFAHWIASEAENVMIKAGLGLDEWPNSESLGCCKTISLMNNKIEYLPDKLVCPKLETLLMSGNRLMAISSSSFEGMKTLKVLTLSGGLLPSLEGILWLTNLKTLHLEGCKLHDISSLAELKKLEILDFCGCYLDNIPDEIGELISLRLLDLSYADGHWKIPPNLIRRLSKLEELYIGEFSFSQWAIEGRGEEATTASLSELKSLSRLTALTMKANSICLPRYFVFPKLQRYKIAINQCFDHRYPSSRSLKIAGFPLSPFKELLSDIEYLDLDSIIGHQCLVPSLDQRGLNKLTFLWLRRCRHMQCLIQTVQQQVPAIALFNLAELFIEDMVSLTELCNGPQPTGFLQNLKKFTVKNGAAMISTVPVEKHLREVTVINCPMLLAVFQLDSLQHTGEENHPILLSNLSYLELELLPNLEHIWEGPIDRVSLQSLKTVKVQSCDKLASLFSPVLAQGLLQLETLEVHDCSGMKHIVQETVDSDSHPLSLPKLTTLKISSCDILEYVFANSTAPDFPQLKEINITNCTQLKQVFSLGKELDGKDIVLPQLQLLVLKNLKSLSSFCPENCVIVQLSLEVLEIEECPLLEPFTFEDMMKAQMKVFLFSLRVLFWYFLCPGCSSSNSLLHRRDNSNQFVSSNPLIPLRLDRPFC >EOY13366 pep chromosome:Theobroma_cacao_20110822:7:7781800:7787732:-1 gene:TCM_031917 transcript:EOY13366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 6, putative MGYLPRVCQFLCLVLSFLNFQSTLFSSLSSSSTTTPMCSHQDGVALIHFKNTFSINKTVSEKCKSLGTKSYPKTNLWKEGTDCCLWDGVTCDHLTGQVIGLDLSCSWLYGTLDSNSSLFFLPHLQKLNLAHNHFKKSIISSKFGLFTSLSYLNLSMSNFSGQVPPEISRLSILVSLDLSLNDSPALNENTFSGLLQNLTEVRELFLVGVDMSSIRPVSLMNVSSSLTSLSLRGSDLRGNFPMTIFRLPNLKLLDLNENKNLIVHLPEANWSSPLQYLNLLGTSSAGKLPNSIGNLGSLKHLQLGLCNFSGPIPRSLGNLSQLTYLELSRNHFSGQIPPSLTNLKQLQFLYIFDNQLDGSIPVQASAFPNLIDLDLSNNLLNGPLPSWLYTIPSLKFITIHNNQLTGHINEFQYKSLTWISLGNNKLQGQIPKSIFELVNLTDLDLSSNNLSGIVELDALLKLQNLEWLDLSYNSLSSINSNISATYTLPNLQILYLPSFNIGEFPILSGSTNLAALDLSNNRIYGQIPKWMWDIGRDSLLYLNLSYNFLADLEQIPWKNIQTLDLNFNLIHGNIPTLPINFLFLSISNNSLTGEVSSHICNLSSLMVLDLSHNELSGKIPQCIGNFSKSLLVLNLERNNFHGKIPTTFAKSCGLKNINLNGNQFDGPLPQSLTNCRDIEVLDFGDNKIDGTFPNWLETLPVLQVLILRSNNLHGFISNPNTSHPFPKLRILDLSNNEFGSTLPAKFIKHFEALKYLNGSPGSVQYMQEAKRYECFVSLTMKAEEIEIKISTIFTSIDLSLNKFDGEIPEVIGELISLRGLNLSHNNLGGHIPPSMGSLINLEWLDLSSNKLTGQIPQELENLTFLSILNLSHNQLEGPIPLGKQFNTFENESYEGNLGLCGFPMSKPCSNEESQPPSPMTFHGDSKLTNAFGWKVVLIGYGCGMTFGLAMGHVVFKTGKPKWFVMLVEGRLHQKATRSKKRARTRNARRF >EOY11838 pep chromosome:Theobroma_cacao_20110822:7:77969:83840:-1 gene:TCM_030509 transcript:EOY11838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tir-nbs resistance protein MGEEEASVSRSGKLSQENHPSGLTIKTSSCCSKIGKDALTLIPTDLHSPSLKTSIESSPHNSPSLVSPPSSAFVSALQSPYISPRATNPKPQENSTPQDNPPLVTHPSPPVSFRGGSQSDDTPSSSYTPPSDQYEYSDDPADPKLKFVTCVPVPDPAPRISFSFPVPRISFAKAPVSPASNAKLRSCDVFIGFHGQNPNLARFCKWLKSELELQGIACFVADRVKYSDSQSHEIADRVICSVTYGVVVVTNSSFLNHLSLEEIRFFAQKKNLIPLFFDTGSAEIMGLLNCNSINKECKEALDGLIKSHEFKLEASEGNWRSCVAKAAGILRAKLGRKSVVETDFVGEGFEELPFPRNRFFVGREKEIMEIETALFGHADSLEQDCCSRPIIKGEASGQSEGLADEESDHNVSSRGRYINLELGKCKEPTLEAWVEPVMGRNPTQRSKYKKSKSGNYKSLGSSVICINGIPGIGKTELALEFAYRYSQRYKMVLWVGGEARYFRQNILNLSLNLGLDVSADDEKERGGIRCFEEQEFEAFKRVKRELFRDMPYLLIIDNLETEREWWEGKDLHDLIPRNTGGSHVIITTRLSKVMNFDTTQLPPLPSSDAMILVRGRRKKDYPAEELEFLRKFDEKLGRLSFGLWIIGSLLSELAISPSALFEAVNDVSLEDNSTSLYMITSGEQYCKNNPFLMKILCFCSAVLQQINGRRNILASRMLLVGAWFAPAPISANLLAIAAKYMPVAGNRLRRWTKCLSLTFVCCGGCGLATQSEEDSAILLVKLGLARRVNRQTGCWIQFHPITQAFAKRKECLSAAKATVQGIRKAGNPFLNSDHLWASAFLVFGFKSEPPIVQLKAIDMVLYIKKTALPLAIRAFTTFSRCNSALELLKVCTNVLEEVEKSFVSQIQDWCHGSLCWKNKLQGNQRVDEYVWQDVTLLKATLLETRAKLLLRGGHFDSGEEVCRTCISIRTVMLGHNHTQTLAAQETLAKLVRMRSKI >EOY12088 pep chromosome:Theobroma_cacao_20110822:7:891727:892774:1 gene:TCM_030691 transcript:EOY12088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance protein ABC transporter family MLDEATVSFDTATDNLIHSTVREHFSDCTVITIAHRITSVLDSDMVLLLIAGLIREYDSPARLLENKSSSFAQLVADYTGRSDLSLKKLD >EOY14080 pep chromosome:Theobroma_cacao_20110822:7:20174530:20175866:1 gene:TCM_033296 transcript:EOY14080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNMTQRSFNTKANRGKSSMSPGFLLPFVNPCGSILLSVLLALISIPSLRSSHFFFLLVLKGFDIANRDESKGEGRFYKRKKKKKRNQA >EOY12946 pep chromosome:Theobroma_cacao_20110822:7:4984221:4986040:1 gene:TCM_031451 transcript:EOY12946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKYDVGQPHKTPSSSSTARMSSVTVRLFSSGLTSGLMTFLLHLNSLFSLAVDKDMIVLDTCQNGLWSINFRCELYNWEKEDLDQILNSLSSISLVPLRDDKLSRRMILKPLFQSKFFARCWIPQVIPTRSGFTPFGNWLFPQRSNASYGWRSLTLSLRKSSSLHMESISPPTNFVVCGVAKLRSAVRTSFSPTCSVGEFGDMFLNGGESLGVLRVLYPLLSKLGMIQASEGVEAIDDMGWWTDPRLSSKRKAPHHHQVGTSWSPPPTGEFKFNVDSSAKGRPGLAGCGGVLQDSDGNVVGLFFYPIGLHDSNFAELMAILKALKFFAATPYTSSPLIIEFDSRVAL >EOY12845 pep chromosome:Theobroma_cacao_20110822:7:4395202:4397340:-1 gene:TCM_031359 transcript:EOY12845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSEQGIRGCYGIYPEKMSKQGIVPCYFPEEILLQIFCNLPTKSLGKCMCVCKAWNCLIKNPSFISTHLNNQLEKSSRNNNHNLFLLITRFPGRGGFKSEYFLHFDDQEFSEYTQLQYGPLYYHDVVGSCNGLLCLVNLSSSTIRKFMLCNPIIRKCVRLPKPRLGKWPCQATFGFGFDSRRNDYKVLKITKNSVTSNIKAELYSLKKNLWEILAPPKYDLYSVDYMFFVNGVVHWIGFERMDDDGQSRIKCLLLGFDMSNEVYKKIILPESLSNVPHNHHSRLSRLYVVPYAELSSIAVIEDCFDGGRTIWVMKKYGVIETWTKMFSFGRLETGHAPTFFSSVPRVLGFRKNGGSMLTACSNWQVFSHDLESNEIENLEIQGDVFYVFSYVESLVLLDQVTDARHSSDDQVIDAWSESNASDEATDYSSHDQEIDAGSESTASDETTDDSSDDQVIDAWSESNASDETTDE >EOY12160 pep chromosome:Theobroma_cacao_20110822:7:1160583:1174474:1 gene:TCM_030744 transcript:EOY12160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin motor family protein, putative MKSIKETAVAENGRFLGSISTSSLRNLLPKSKLKRSTKKPSSENTPPPDPNIIEVNNSAQFFPKSPLSKSLTSDALIVPSDGDREMLPPMETSIKNEIVESEKQGGELGNLDPSVKVVVRIRPINGQEKEVDRTVRKISSDSLSVSDRKFTFDSVLDSNSNQEDVFQLIGVPLVKNALSGYNTSILSYGQTGSGKSYTMWGPPSAMVEDPSPRSHQGIVPRIFQMLFSEIQREQENLDGKQINYQCRCSFLEIYNEHIGDLLDPTQRNLEIKDDPKNGLYVENLTEEYVSSYEDVTQILIKGLSSRKVGATTVNSKSSRSHIVFTFVIESWCKGASSKCFSSSKTSRISLIDLAGLDRNKLEDVGRQHVQEGKNVKKSLSQLGYLVNALAKETQPEDAPYQGSCLTRILRESLGGNAKLTVICNISADNRNSGEVLSTLRFGQRIKSIRNEPVINEISEDDVNGLSDQIRQLKEELIRAKSDVYSSVGSKSGYFIGRSARDSLNQLRVSLNRSLLLPHIDNNYEEELNIGEEDVKELRQQLDYLHSSGETNLRDPSEKRGSIQSSSVEECCETDLLSEDDIHCPEETGIEELDGEEPQKELPPKDILASADDLSITTKPLKAVDPSIRNSLSISSCHRSSVLQEPTLSESPKIGNNLRKSMAVPSALLASQNNVSESSESEQCIRESLKHNEHIRSSLRSSKIFPGPTESLAASLQRGLEIIDYHQSSSASNRSSVAFSFEHLMLKPCPEADKANASVQTLPEPSSDGSSTPLLCSSCQRKFDNNPNGVQDSLKTWIVAVDNQQTDGDTTAAANDLAKATEREKELESVCKEQAAKIEQLNNLVEQYKHKGENSAIEHGPESLKNEIIPFEQSNNDENGNEYCDMNEKEALLQETQTLTSKLQSSTAASPNRSSEKLRSSLLSRSIQLRKSVDCRDNSDEELERERQRWMEMESDWISLTDELRMDLESNRCRAEKVEMELKLEKKCTEELDDALSRAVLGHARMVEHYADLQEKYNDLVAKHRAIMEGIAEVKKAAAKAGAKGHGTRFAKSLAAELSALRVEREREKKLLKKENKSLRIQLRDTAEAVHAAGELLVRLREAEQAASVAEENFTNVQQENEKLKKQVEKLKRKHKMEMITMKQYLAESRLPESALKPLYHEDSESAHNSSIPDDDQAWRAEFGAIYQEHY >EOY12413 pep chromosome:Theobroma_cacao_20110822:7:2111214:2113994:-1 gene:TCM_030932 transcript:EOY12413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MSLGELQFLTDLRSLYLENCELENASALGNLKELEILVIHFSDIKKLPYELWELTTLRLLAIWDESRVLIIQNLQPRLERLDEIHLHPYIEWGITSISKLCSSPCLTSLSLMFSSKLIAKSFTFPPLESFIIIVNSEAPYDYKECGTSRRILTISGFSLNAFTKLFWNVEELTLYNSMDYKNVVPSADRGGLNELTSFHIEDCKDLEYLVDTTQEQGPNSTAFSNMVKLTLKNMICLKELCHVPGLQNLKQVGVEDCLGLQVVFQILRPLHANEENQTSLLSSLTKLELDSLPELKYIWKGPPHLVKLQSLEVIRIERCPKLISLFSAVIAQRLPYLESVSIIDCPQLTLVFNLANEKDGVDGAIALPCLQDRQLRNWIVAFARKTFSSNCHP >EOY11903 pep chromosome:Theobroma_cacao_20110822:7:302287:305691:1 gene:TCM_030559 transcript:EOY11903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p domain-containing protein / tetratricopeptide repeat-containing protein MGKSGGRRKKGSSNQVSVDNNSTNTTSSHVNGGVDLDSSIFLKRAHELKEEGNNKFQNKDYVAALQHYDNALRLIPKAHPDRAVFHSNRAACLMQMKPIDYDTVIAECTMALQVQPRFVRALLRRARAFEAIGKYEMAMQDVQLLLGDDPNHKDALEIVRRLRTALGPRQEAQQDLQSRPSPAALGASAVRGAPIAGLGPCLPARPVPKKTAASPPGGSVVSPINKLEKHQINLATENGPENKARLPKLVLRPSGGSLKATDNINKDGQREQSFSASVHGQVPEEAVQRRPLKLVYDHDIRLSQMPVNCRFKVLREIVSKRFPSSKSVLIKYKDNDGDLVTITCTAELRLAESSIEALLPKEPEADKISGFGMLRLHIVEVSPEQEPPLPEEDEEKPLESEEAKADESGSHSSLGESVSEGVDTDIEKAEKEASNEKAGASEDPECKEVEMDDWLFEFAQLFRTHVGIDPDAHIDLHELGMELCSEALEETVTSEEAQILFDKAAAKFQEVAALAFFNWGNVHMCAARKRIPLDESTGKEVVSAQLQVAYDWVREKYSLAREKYAEALLIKPDFYEGLLALGQQQFEMAKLNWSFALAKKIDLSSWDPAETLQLFDSAEEKMKSATKMWEKLEEQRVNELKDPNSSKKEELLKRRKKPESGAESELSGTGSQGELSAEEAAEQAAVMRSQIHLFWGNMLFERSQVECKLGMDGWKKNLDTAAERFKLAGASETDISTVLKNHCSNGDAVEGDEKKVVGEAESSEVKQTSDK >EOY13818 pep chromosome:Theobroma_cacao_20110822:7:11178912:11181492:-1 gene:TCM_032474 transcript:EOY13818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASACVNNIGVSPESFQPTTSYSSYGWLSPRMSFSREEESSKSRPKSPTDTSSADPLPASDPPEIQDNPAGDFEFRLEDPVTMLPADELFSDGKLVPLHFSTVKQRPPVNVLSEIRSPETAKSCRRIEMEISGTDPYLFSPKAPRCSSRWRELLGLKKFSQITNQPPKSESQSKISLLSNNNSKSLKHFLHRSSKSSSSSSSTASWADSSLSLPLLKDSDCESVSISSSRLSLSSSSSGHEHEDLPRLSLDSDKPSLNNPSPNPFAPCRSINPNPPRMRMVKPRPGSGSDSNQKAAGGTRMGRSPIRSRDSSGGVTSRGVSVDSPRMNSSGKIVFQSLERSSSSPSSFNGGPRFKQRGMERSYSANVRITPVLNVPVCSLRGSSKSGSVFGFGQLFSSSPQKSANGGSSKGHQSNSSSRNKTSDRNH >EOY12226 pep chromosome:Theobroma_cacao_20110822:7:1404044:1408694:1 gene:TCM_030790 transcript:EOY12226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase MQKDHGTNAPATPTSGRVRHRRRSNEFPVEMGRANGNHLLAYDQNKYRSMWVRACSSLWMLAGFLLILYLGHLYIWAMVVIIQIFMARELFNLLRKAHEDKRLPGFRILNWHFYFTAMLFVYGRILSHRLVNTVTSDKIFYRLVSRLIKYQMVICYFLYIAGFMWFILTLKKKMYKYQFGQFAWTHMILIVVFTQSAFTVVNIFEGIFWFLLPASLIAVNDVAAYFFGFFFGKTPLIKLSPKKTWEGFIGASVATTISAFVLANVFGHFQWLTCPRKDLSTGWLHCDPGPLFMPEYHPLPGWISQWLPWKEIAILPVQWHALCLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMVMAVFAYIYHQSFVVPQDYTVEMIMDEILSSLTLEEQQNLYKKLGQILQDRVLGLSQS >EOY13503 pep chromosome:Theobroma_cacao_20110822:7:8940212:8941042:-1 gene:TCM_032108 transcript:EOY13503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQAATEDLLSKDNRDSDGEIGLEDTNMEDSSSEPSEIERDFDADYTFGTRRPISYKVLCDRIISLWSPKGKYKVVDLDEENYLVNFSLEEDYLKALLDGPWMVQGQYLIVRPWSPSCYRETQDLTVIVA >EOY12532 pep chromosome:Theobroma_cacao_20110822:7:2639409:2681592:1 gene:TCM_031049 transcript:EOY12532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein MAEAIPYGTISNILSKLVWLVGEELGLASVWDEELEKLLETLNTINAVLLDAEEKQESNRALKNCISRLGDVVYDADDLLDEVDYEIQHQKVHARGKVSEVHVWEHVRNFFSPSNPLVIGLNMGHRIKEIRGRLDAVAADMSKFNLREIVGELGKKAKDTGRETASKVRSELIIGREKDKELIIEFSISKLVGQQSILLQSTFS >EOY13308 pep chromosome:Theobroma_cacao_20110822:7:7433630:7434341:1 gene:TCM_031853 transcript:EOY13308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNPYDEKRLRDEVVYLHYLWHQGPPQNPNPIPQKRPSPLTRNPTNKSKRLATSGSYPPPKPDNGPDWSTLVKPPPPSSPGWPEPKSKLDHPTKPVSVEDQVRFSSLKMQSKVLESCKEFFNKRVVDDEESQDEEEEEDGEEDKFFMGIFVNNYI >EOY12823 pep chromosome:Theobroma_cacao_20110822:7:4298028:4299042:1 gene:TCM_031341 transcript:EOY12823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKALFLIVCILLASTPFIATSTMARKLQGGQPIDTMHKPPRHLPDQFKPPGGGGPINPPPTP >EOY13178 pep chromosome:Theobroma_cacao_20110822:7:6391730:6394795:-1 gene:TCM_031706 transcript:EOY13178 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP family transcription factor 4, putative MELETLNGRAFWGRQQYQLEQEKHKDLSLDPQRNHHHHQQRQQQQQQHHTPHHHQHQNPILNSSHIGVAEGGGEEEEPPKNQQENFFSHHHQYLQETQSQQQQGQRLYASLDRQVIQQQEQESPQPPKKRTYFASSSSSAFGSKSTEHARTMGDSHHQAATSSRLGIRHTGGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKPAIDELAELPPWNPEILTSTTSVTKPNNQDDQNTTTTANNDKPYQFHIHHSGNPVENLAAGSGTRRRTAAIMGNEVQSLQQQEMGDNPNNTSGFIPPSLVSDEIADTIKSFFPLGASSETPSSSIQFQNYPPDLLSRTSSHSQDLRLSLQSFTEPILLHHHHHQAATAQAHHSEPVLFSGTSPLGGFDGSSAGWEHHHQHPAEIGRFQRLVAWNSSGGAVDTGNGSGSGAGGGVGGFIFGTPPPQALPPSFGQNSQLFSQRGPLQSSNTPTVRAWIDQPISATDEHQHHHHHHHHHQQIPQNIHHQAALSGIGFTTSGVFSGFRIPARIQGEEEEHDSIANKLSSASSDSHH >EOY13793 pep chromosome:Theobroma_cacao_20110822:7:10836354:10838581:1 gene:TCM_032436 transcript:EOY13793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDDSTSSRDNKGTVEVERTSSRGKKFSIRGRDKVGDGSGEVPSITIGISDVESIYSNKESSDLVSGNDISNEDVDWIRNQDNNESDKEGQEIDKSFKGIPYASNKNGKIVLVENMLFTSVQHFRELENGDLWSWFFNLVYTAIRDFNKPLAVMSDGQTPACGKGTLEELGRGARFVFAHGDAKRPSFPKLTTPVTSTAPTTSTTSTLVMNSDGPRGTQTSASHVVDM >EOY13449 pep chromosome:Theobroma_cacao_20110822:7:8421931:8440837:-1 gene:TCM_032022 transcript:EOY13449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase MGNTSFLLPLMVFVAVLCNFVVALSAESPNITTDQLALLALKAHVTHDPQNILATNWSTTTSVCNWIGVTCRSDHQRVVTLNLSDMGLIGTIPPHLGNLSFLTKLNIPNNNFQGSLPMELANLSSLQYINFDNNSFSGEIPSWFDSFTQLQSLSLYGNNFFGVIPSSLGSLSNLKELFLYDNDLKGHIPVAIGNLSNLKYLRLGQNQLSGRIPTSLFKCKELEVLFLYDTALEGSVPEEIENLTRLSDLALNGNDLTGRIPTSLFKCKELQVLILSSNALEGSVPEEIGNLTRLSYLSLYRNNLTGEIPSAIGSLTSIIRLDLSNNSLTGEIPSAVGNLSSIQHIDFRINNLAGIISSFFSISSNTCISIRHPLKENSFGIATSSILDEHPIIDII >EOY12438 pep chromosome:Theobroma_cacao_20110822:7:2231783:2234063:-1 gene:TCM_030953 transcript:EOY12438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein MGSKNRNLALGLFAFAVFLISGVKSWTGEIHGRVVCDVCADSSIGPEDHVLEGAEVAVLCITKSGEVVNYQAFTNTKGIYTVAETMPESDRWDACLARPISSFHDHCNHLGEGSTGVKFTYNRPSGHFHTVRPFVYRPTSAPTYCI >EOY13339 pep chromosome:Theobroma_cacao_20110822:7:7596381:7598825:1 gene:TCM_031880 transcript:EOY13339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MKTPKPISPFRLSSLLRSEKDPTLAFNLFKNPNPDPKPSGKPFRYSPLSYDLIITKLGRARMFDEMEQVLHQLKNDIRLVPQEIIFCNAMKFYGRACLHKRALQLFEGMPEYRCQRTVKSVNSLLNALLLSEKFDEMKQVFFGMEKYARPDACTYNILLRACCLSRCLDDASNLFDEMQRKGVKPNAVTFGTLIRGLCMEMKVKEAFKLKADMVRLHGLFPNPCTYSMMIKGLCRIGELSLAIRLKEEMVGNKMKVDSSIYSTLVSGHFNVGRQDEALGIFEEMALNECKLDTVTYNVMINGFCKVKDFEAAYRVLEDMANKQCKPDVISYNILIGGLCKEGKWSEANDLFEDMPRQGCKPDVVSYRLLFDGFCGGLQFKEAAFILDEMIFKGYVPHCARIHKFVSGLCQKADMKLLLMVLNSLAKGNAIDQDTWLMVISKVYQEDKLSISAEILDALML >EOY13576 pep chromosome:Theobroma_cacao_20110822:7:9328650:9342333:-1 gene:TCM_032177 transcript:EOY13576 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MELVGPIFEMAKCMGNIACIYIDHHRKLEERMNNLQSTLDILNIRKSDVDLRIKVELQWGKVVKEEVEKWLQDVEKINDEVQIVRQKIQVCPYFSRATLSKHVAQKIKEVEKINERGSYPEPLVIDRPLTSGVRLQIGHLEGEISIKEKIWGHLMGDVVGMIGICGMGGIGKTTIMKHINNQLLKVPRFDKVIWVTVSKELNIVKLQRDIASAMNEQLPEHELERVEALMEILEEKRYVLILDDVWVRFSLMEVGIPEPSFQNGSKLVLTSRSIEVCTSMGCEVVKVQPLSKVESRNLFLKNVGHGVLNVPTLEPILNCIIDECAGLPLAIVTIAGSMKGVYDAREWRNALEELRQRVRSVKGTNIEIFEQLKFSYDRLKDSKIQNCFLYCSLYPEDWEIPRNELIKYWIDEGLIHEFGSRQVMCDRGHAILNSLENNCLLERVVNGERVKMHDVLRDMALYIKSTVGSRFMVKAGMQLRELPSEQEWTDDLEKISLMHNFISEIPTSMSPKCPIVSTLFLQSNQSLKEIPGSFFEHMHGLNILDLSFTGIMDLPNSISNLKNLTALLLQGCENLRYLPSLAKLVALKKLDLRDTSIEEIPQGIDKLVNLTYLDLYSKSLEELPTGILPKLSRLQYLVADRESTTLKLKGEEAGGLKKLETICGRFQELQEFNTYMKSTQGKRLTSYVLAVGQPQGYFWLKSNFVKDVILSECEVGGEAPILLPNDLRCMKICECHNMKSLSDISFFQRNETELRECEVMDCKGIACVLDLLSSPLPCSPLQNLEKLLLSGLDKLFTLVKAQEVATASTLYAPTSPGIFSRLKSFHIHKCSKIKKLFSIDLLRDLQNLERIEVKSCGLLEEIIASEEEEKRSTDHATMTFCLPKLRELALQQLPRLKMICSKHGVMICDSLSRIEVIKCPKLKRIPLYIPLHDNGQPSPPPSLKEIRIYPKEWLESIEWDHPNAKNVLLALMKYREKHSWQAIRSDI >EOY12561 pep chromosome:Theobroma_cacao_20110822:7:2788040:2789174:-1 gene:TCM_031080 transcript:EOY12561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFCPKSRGTQHLGSLLRLNFTCYRKSSSSHKHVDGWARANAEMPTLQSAPPVVAAGVARPGNLRT >EOY12981 pep chromosome:Theobroma_cacao_20110822:7:5137955:5139536:1 gene:TCM_031489 transcript:EOY12981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 41 MININLHEQILKECNGIDEDNHSNNATKWSEPCQQAMDKAEMAALMFFETLVMKNGKTLSSSLLLQVTKVSSEVDMCIPFWGDFYFNIPEVQNAFHRNQTNLRYQWKGCFEKSGLKYSDADKDIDMHPALKKILQQSIPITIFRFLISPFVFYLIIYLSFFFLINNILICLINGQGGGWMYSYDNLLNFMTVKGANHHVTFSKPSEALFIFTNIVLNQSQ >EOY13109 pep chromosome:Theobroma_cacao_20110822:7:5977823:5979124:1 gene:TCM_031635 transcript:EOY13109 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MAWSAVSSAVIRIGELLTQEATSLWGVEEQVDRLQRELQWMQSFLLDADARRGESERVRLWVAEIRDLAHDAEDVVETFALRIGSKRKGGFSSVLKRSACILREGWMLHEIRSEIEEIITKITDLTQRLQTYGIKELRDGEGSSSSYEKQELRRSFPHVLEDNIVGFSDKVEELVSVIIDEAGRRYQVVSICGMGGLGKTTLAKLVYHHSQVRGHFNHLAWVYVSQQCRRRTVWEDMLCSLKILDKDQARWKREEDLAEVLFNFLKDKKCLVVLDDLWSIQAWDSIKPAFPMTETSSKILLTSRNKEVASHADRRSYLHELQCLNEDDGWILFQKIAFPDRDSTDISTLTIPII >EOY14351 pep chromosome:Theobroma_cacao_20110822:7:24356382:24358170:-1 gene:TCM_033744 transcript:EOY14351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKLLSKELTSYEIYDQLKINLFVRNWLIFSLGTPRPQQVLWPVRNISSNSDHFEISCGSRPKQPASAHPTFGVDVVNSSFTLLNLISNPTKRSRNLNQLFM >EOY13630 pep chromosome:Theobroma_cacao_20110822:7:9656542:9661502:-1 gene:TCM_032253 transcript:EOY13630 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and Leucine Rich Repeat domains containing protein MTSNFVKEEPYGPDLISRLPDTLLSEIVSQLPVDEAVRTSILSRRWKSLWRYVSRLDFDPNRMMKPSKRILYQEKKNLHRHGIDNCLNHDVEKELFHAVMMIDKVLFSHRCNLTSCKIIHFPDSCKYGQLKKWIEFLVSEKGIQELAFTCEESPFQQNVMGRFTDLKLSLPSGIFSGTSLHALELTHYTLETDSPFDHCHNLRTLKLKWLFLSTETLDGIISNCAFLEHLSLSFCTGFDRVWIVSDHVKTVELEYLDVHEIYLSTMSLGVLVLDTLKCPPKNLVINAPKLKVFCAYCNGEEEGPHHFLKDHELVKVAKILEHCCGLLRPGNDQSYNLKDDSSLFENLWTLSIDLDLNNMREVLILAVVLRVCPHLKQLEINIPDIDFEWKGATSNHGTQSCSLPYPESMFWDKRELCDCITHNLNVVCINGFNGKERQLEFVRHLITKATVMKRMNICFDDSCSREGVEATLELLLLPRSSIDVSIVLKPSPEFVSTGNGANFETWISTLK >EOY12735 pep chromosome:Theobroma_cacao_20110822:7:3901665:3906172:-1 gene:TCM_031269 transcript:EOY12735 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MVAMVLVCIYLLCLLRGSTAENTITPGHSIKDSKADALISANGIFQLGFFSPGNSIKRYLGIWYKDIPIRTVVWVANRETPISGTSGVLSITSQGILQLTNGTDSIFWSSNTSRPPLNPVAQLLEAGNLVVRDGDENREENILWQSFDHPSDTLLPGMKLGKNFITGKETFLSSWKSADDPAPGDFSLWIDSRGYPQLVIMKGPKFLYRDGSWNGIQFTGAPQLKKNDIYSFEFIFNEKEVHYTYELYNNSVVSRLAVNQSGLLQRYVWVDPTKTWIVYLSLMTDYCDIYALCGAYGSCNIQGSPVCECLEGFVPKSPKNWGLLDWADGCVRRTELNCSQDGFRKLSGMKLPDTSSSWFNGTMSLKECREMCLKNCSCTAYANSDIKGSGTGCLLWFNELMDVRVFNEGGQELYIRMAASELDQIGKQRHTDGKKLRIIEISSIVVIGSLITGALFFIWKKKHQIQVSTEIEERKDEDANNDIELPKYDFDTIAIATDNFSSKNKLGEGGFGPVYKGTLRDGQDIAVKRLSGNSGQGLTEFKNEVSLIARLQHRNLVKLLGCCIQGDERLLIYEYMPNKSLDYFIFDRNSITMLNWHMRFHIICGIARGLLYLHQDSRLRIIHRDLKASNVLLDKAMNPKISDFGMAKTFGGDQSVANTNRVVGTYGYMSPEYAIDGLFSAKSDVFSFGVLLLEILCGKRNRGFHHSDHYLNLLGHAWRLWMNERPLDLIDEFLLNNCAVSEVLRCIHVGLLCVQQLPEDRPNMASVVLMLGSDNSLPQPKQPGFYTERNPLGIESSSYSVNEITSTLVKAR >EOY14305 pep chromosome:Theobroma_cacao_20110822:7:23574962:23643695:1 gene:TCM_033659 transcript:EOY14305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSVHDHQGNPKPPQLHGHHSTIRALIIYFWKAVNLFSDELTSFHKFKMCTVIDVPDDSSLGQSICQRVRLTPDMLEGDANVVPNKSFNGIRSELHFPRDFGVVLDPKDGIGRNHFQPPAGR >EOY13370 pep chromosome:Theobroma_cacao_20110822:7:7863886:7864808:1 gene:TCM_031929 transcript:EOY13370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNCGVCIKRSFYNDHERDFYGILVDIIELEYFGIGNRVVLFKCHWFDTEKGITVDRLHGLVDVNYNSILASNEPFVLAAQTHQVYYSSYPSRRRDQRDWWAVFKTKAKSRFQIPISGVKPDPINTCHDIHALSSMLLYITKLRFKS >EOY14353 pep chromosome:Theobroma_cacao_20110822:7:24359014:24372367:-1 gene:TCM_033750 transcript:EOY14353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like calmodulin-binding protein (ZWICHEL) isoform 3 EYIGLDDNKYIGNLLAEFKAANDRSKGEILHCKLVFTKKPFQESNEVVTDPMFVQLSYFQISD >EOY14352 pep chromosome:Theobroma_cacao_20110822:7:24372010:24372367:-1 gene:TCM_033750 transcript:EOY14352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like calmodulin-binding protein (ZWICHEL) isoform 3 EYIGLDDNKYIGNLLAEFKAANDRSKGEILHCKLVFTKKPFQESNEVVTDPMFVQLSYFQG >EOY13287 pep chromosome:Theobroma_cacao_20110822:7:7168058:7175861:-1 gene:TCM_031817 transcript:EOY13287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingoid base hydroxylase 2, putative MALGYFENHRLHSKKDEEEKNLVSKEAVIKNVLFLQTIQAMVAIVLYTVTGNDAGASPKGQTLTSFLVIARQFVIAMLISDTWQYFMHRYFHHNKFLYGHIHSQRHRLVVPYAFGAFYNHPLESLTFDIIGGALSYILSGMSPRTSIFFFSFATIKSVDDHCGLWLPAGNLFHIFFSNNSAYHDVHHHFHGGKYNFSQPFFTMWDRILGTYVSYSTEKRAQRGSQARPNRRLNIMIDVASALEYLNHGQTIPVAHCDLKPSNVPVDEDMVAHWGDFGITKLLGEEDSTVQTITLATIGYWQHQNVEERIDVKNVVAKLKKIKIKFLAFPFDSFESRIKKMKFECRFNCINVKHYVFKLRDLYRQFEAVNKSASNQEQKQGGHQLRPPQEAYPNIFATQKPYSKSERETHIDYTQKPNLRGGGCGQD >EOY12367 pep chromosome:Theobroma_cacao_20110822:7:1831075:1836077:-1 gene:TCM_030891 transcript:EOY12367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein MFPWLARIAPACWRPVSRCACMNEDNNNSNNDEALWCRDLEKHSYGDFSFAVVQGNEVIEDHSQVETGKEATFVGVYDGHGGPDASRFISHHLFQNLIRLARANGATISDDILRSAVSATEDGFLTLVRRTCGIKPLMAAIGSCCLVGVMWKGTLYIANLGDSRAVIGHLVRSNKIGRSNTIVAEQLTRDHNVSIEEVRQELRSLHPDDSDIVVMKYGVWRVKGVIQVSRSIGDAYLKRPELSPHPSYPRFLLSEPIRRPVLTAEPSMCTRVLQPSDKFVIFASDGLWKCLTNQQAVEIVYKYPRAGIAKRLIKTALNKAARKSKMRYDDVKKVKKGIIWRLFHDDITVVVIFIDHESPPLGAKMSVPEQSVRGFVDTAGPSNFNIM >EOY12264 pep chromosome:Theobroma_cacao_20110822:7:1516572:1516909:1 gene:TCM_030823 transcript:EOY12264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADSASYCKAMFKLLHILAGEVTKLSTIQPNSETNDIQIEDKLVLIIMKTHSSRRKKLCCGSNKS >EOY12336 pep chromosome:Theobroma_cacao_20110822:7:1733814:1740975:1 gene:TCM_030869 transcript:EOY12336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase 3 MYCRARFTSMLASTTTTTASLSPSMCSRVSSPIASQSSAASSVSFWNQKYRSLSFSSSLRSLRCSSPRWSHGVDWNSPGSLRAQVRIAVPVMERFRRRIATIGSVDKSSQAWGREYGRFYSLPALNDPRIDRLPYSIRILLESAIRNCDNFQVTKDDVEKIVDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLASMRDAMENLGNDPKKINPLVPVDLVVDHSVQVDVARSENAVQANMELEFQRNRERFAFLKWGSSAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRDGVTATDLVLTVTQMLRKHGVVGKFGMAELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETDSRLDTDSSFAVGSSQASSLISFHSGFAVPKEEQNKVAKFTFHGLPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVKPWIKTSLAPGSGVVTKYLLQSGLQKYLNQQGFHIVGYGCTTCIGNSGELDETVSSAISDNDIIAAAVLSGNRNFEGRVHAITRANYLASPPLVKNLCPCWHGNPVEYHSCNWSFKIRSCRFSLVLLGLLLVDIDFEKEPIGTGKDGKSVYFKDIWPSNEEIAQVVQSSVLPDMFKSTYAAITKGNPMWNQLSVPASTLYSWDPNSTYIHEPPYFKNMTVEPPGPHGVKDAYCLVNFGDSITTDHISPAGSIHKDSPAAKYLLERGVNHKDFNSYGSRRGNDEVMARGTFANIRIVNKLLQGEVGPKTIHIPTGDKLHVYDAAMRYKTAGQDTIILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKPGEDADTLGLSGHERYSIDLPSKISEIRPGQDVTVTTDTGKSFTCTVRFDTEVELA >EOY12941 pep chromosome:Theobroma_cacao_20110822:7:4916427:4923350:1 gene:TCM_031442 transcript:EOY12941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein MNSGTISPSLLKLQHLSYLDLSQNDFNGSRIPEFIGSLKNLRYLDLSHANFGGPIPSQLGNLSKLETLYLGGGIFDQNYYYYYNMIFRNKFPKLFSARNLEWLSHLTSLKFLVLSFTNQSKASDWLQVVNQLPSLEFLAMNDCDLPSASSSSLSLVNSSTALTGLNLSGNNLTSSAIYPWLFNVSRNLEFLDLSRNHLKGPIPESFGNMVHMTDLSLSHNQLEGGIYRSFWSMCSLVTLEMESNHLSAFGFIQNTSLCKSDSIQQLRLAENQLTSSVLNEMANLSSLIEIDLGYNLLNGTISESIGQQSELSVLRLAGNSFDNIVISEAHCSNLTKLRELDLSYSSLTLKFNSDWIPPFSLNVILLSSCKLGPRFPEWLQTQAEVFVLDISDAKISDSLPIWSIVLNYVDEALLVWKGTKQMYAQILGLLLVIDLSSNKLIGEIPEEITSLQELVALNLSRNLLIGKIPQKIGQLRQLQSLDLSRNNFSGSIPPSLSELTFLGSLDLSFNYLSGKIPPGSQLQLFDPSTFSHNNGLCGPPVTPNCSMETPRGQLERGHDDSDESMKWFYAGMGLGFVVGFWGFCGAFLLKSSWRHSYFRFLDKVKDWLYVTYALQKARLERRIQT >EOY11918 pep chromosome:Theobroma_cacao_20110822:7:347755:349723:-1 gene:TCM_030571 transcript:EOY11918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase superfamily protein MSAFVGKYAEELIKNAKYIATPGKGILAADESTGTIGKRLAGINVENIESNRQALRELLFTSPNALSFLSGVILFEETLYQKTSDGKPFVEVLQENNVIPGIKVDKGTVEIAGTNGETTTQGFDSLGARCQQYYKAGARFAKWRAVLKIGPNEPSELSIQQNAEGLARYSIICQENGLVPIVEPEVLTDGPHDIKKCAAVTETVLAAVYKALNDHHVLLEGTLLKPNMVTPGSDSPKVSPQVIAEYTVAALRRTVPPAVPGIVFLSGGQSEEEATLNLNAMNKLDVLKPWTLSFSFGRALQQSTLKTWGGKKENVGKGQQAFLLRCKANSDATLGKYTGGSAGGLASESLFVKGYKY >EOY12396 pep chromosome:Theobroma_cacao_20110822:7:1927051:1928990:1 gene:TCM_030909 transcript:EOY12396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATSEEGLSKNTVWLLDSAYSHHLTGNKSLFSTLYISFKSKVKIGDGNYLDILGIGIVKVETASGDKCISNVHYVSSANHNLLSVGQLAKDHYTLLFNGKVCTLIDPNGDELYTVAMRNNCYPLNLAKNTSHLALYSELDISEKWHRRFGHVNYSSLSLMSAKSLVKGLLGIVKPSKLCKACQLKKQTRKPFPKQSGWKASRKLELVHTDISGPIKTASLSGSRYWAMLRWPSGHRLRCSRFGTPVFTTLFWRSKWKTKKLSEGCEFCC >EOY14161 pep chromosome:Theobroma_cacao_20110822:7:21838894:21841068:-1 gene:TCM_033451 transcript:EOY14161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLPKGVRKGEQTFATVLSLEDAPRSVVEAPIEVLEVLKEFRDVMPPQLPSRLSPTRKVDHHIDLVPGAQPPARVPYPMALPELAELRKKLGELIDAEFIRPSKSPFDTLILFQKSMMAGQCTWFSKLDLQSEYYQVRVATGDIAKTTYVTQYGSYEFLVMPFGFTNAPATFYTLMNKEMEKSGWIPLKLVLSENGSWPPSPGTLIAYESRKLNDTERRYTMQEKEMTVVVHCLRTWLHYLLGAQFVVQTNNVATSYFQSHKKLSPKQSRWQDFLTKFNYVLEYKPGQANLVADVLSRKATLAAINEVQTELLSCIREGISHDPTTQSILEHAKARKTKRFWVSNGLVYAKGNRLYVPQHGGLRKLLLKECHDSRWGYVWTCLVCRQDKIEQQRPIGLLNSLPILDKPWDSVSMDFIMRLPKANGFGSIMVVVDRFSKYATFIPATKECPAEEATKLFFKHVVKY >EOY13490 pep chromosome:Theobroma_cacao_20110822:7:8805362:8805870:1 gene:TCM_032091 transcript:EOY13490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAWSTTLLHLHFKFPPVSSHQLPHSLPKKSPIFLNPIPIIPNSKHPKMQFLHRPNAFPGQGAVSKAMDIIQSSPPTWQSAFLSNLVIFVVGTPLLIAGLSLSGICAAFLLGTLTWRAFGSSGFLLVASYFVIVSHYKVYGFYLNYRI >EOY13097 pep chromosome:Theobroma_cacao_20110822:7:5895235:5898130:-1 gene:TCM_031619 transcript:EOY13097 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441, putative MDSYHHFDNGDTHLPPGFRFHPTDEELITYYLLKKVLDSSFTGRAIAEVDLNKCEPWELPEKAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTCALVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHYLSRSSKDEWVISRVFKKSGSGNGATSNTGGGAKKTRMNASVALYQEPSSPSSVSLPPLLDHTTTATATTATSLTDRDSCSYDSQTQPEHVSCFSTIAAAAASAAATTTTAAHHAFNPGFDLAVPPPQMINNTFDPIARFSRNVGVSAFPSLRSLQENLHLPFFFSPPALAAPPPHGGSSLNWGAVSEEGNGGSGAGGKMSMGSTELDCMWTF >EOY14300 pep chromosome:Theobroma_cacao_20110822:7:23434455:23436469:-1 gene:TCM_033645 transcript:EOY14300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 67, putative MGHRCCSKQKVKRGLWSPEEDDKLIKHITAHGHGSWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFTADEEQIIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLLSQGLDPKTHNLLSSRQRASNKLACHSSSQTQEQSFTVFNMSSQNRDAPRAMMKAPVLTLPEPPPPSDQIHRSMAMQIPYSECQSPNFVGTGYGQNPNESAIFSYGLSVESTIISSSSSSIPLPGFNNLLDENPCIWEANAFVETFEAPTVEGLQPQEQPQQEKEKICEMVIDKIDEAREEVLEMDASFDSCSFDLGLLESTLISAAMCRDLSSMDDFAWNF >EOY14069 pep chromosome:Theobroma_cacao_20110822:7:19921157:19924927:1 gene:TCM_033261 transcript:EOY14069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYNNNFQSSIGMAPYEALYGRKCRTPLCWDEVGERKLFNVELIDLTNDKIKVIRERLKIAQDRQKSYSDRRRKDLEFEVDDKVFLKVVSLPIKARKLHLRTNSQSTSKIRTLYILTAVGGFHGPVDERGTVTPFICAHLGCKGM >EOY13942 pep chromosome:Theobroma_cacao_20110822:7:14699987:14702786:-1 gene:TCM_032800 transcript:EOY13942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 71D10, putative MELQFPSFTALLLTSLFVFMVLKVLKRSKANNPPARLPPGPRKLPFIGNLHQLISSLPHHTLRDLAKKHGPLMHLQLGEVPSIVISSPEIAKEVLKDHATTFAQRPYLLASRIMSYDSVGIIFSPYGNYWKQLRKISTMELLSPSRVQSFQFIREEEVSALIKTISLNEGSPINLSEKIFSMTYGITSRAAFGKKSKGQEEFIRIITETTKRAGGFCLADMYPSNELLKLISGVRLKLEKLQRASDRILEDIVNEHKEKTNSTSEMGKQQGEEDLLDVLLKLKQSSDLEIPLTNDKIKAIILDILAAGSETSSTTMEWALSEMLKNPKVMKQAQAEVRQVFDRKGNVDEAGIHELKFLRLIVKETLRLHPAAPLLVPRECDEKCVISGYDILAKTKVIVNAWAIGRDSRYWKDAEKFNPDRFLDGSIDFRGTNFEYIPFGAGRRICPGISFALPNIELPLAQLLYHFDWMLPNGSKCEDLDMTECFGLSVRRKNDLFLIPIPYHPLPSE >EOY14288 pep chromosome:Theobroma_cacao_20110822:7:23074478:23077313:-1 gene:TCM_033605 transcript:EOY14288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQNLCVQRDLAQAERGLWTDEVTRGEVGRKEEENGEKREPLLSMMYSKPKAWDLEERAIGKGEKRLKGVLLG >EOY12865 pep chromosome:Theobroma_cacao_20110822:7:4499109:4501532:1 gene:TCM_031372 transcript:EOY12865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT4G27435) TAIR;Acc:AT4G27435] MASKLLLIAVFILDLIAFGLAIAAEQRRSTAKIVQDSEVNYNYCVYDSDIATGYGVGAFLFLMASQALIMVASRCFCCGKALNPSGSRAWAVVLFIVCWLFFLIAEICLLAGSVRNAYHTKYRTIFSEQPPSCETVRKGVFGAGAAFIFLTSIVNKFYYICYSSARENSFQAYGGETGVGMGTYK >EOY13430 pep chromosome:Theobroma_cacao_20110822:7:8295391:8300157:-1 gene:TCM_032003 transcript:EOY13430 gene_biotype:protein_coding transcript_biotype:protein_coding description:SecY protein transport family protein, putative MHIILHSALVSNLYMISQLLYRRYGGNIFVNLLGQWEESEYSNGQSILVVALHITSLLHQDMAAHPCHALFYLVFMLSACALFSKTWIEVLGSSARDVDKQLREQQMVMPGRAEPLRTHCSCIGRHVHWCSYSIGRLIAWENMCIEGSHNLFDENERKRIYAGTSV >EOY12419 pep chromosome:Theobroma_cacao_20110822:7:2177070:2180490:1 gene:TCM_030941 transcript:EOY12419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 18 METKFIHLILTLLLSISVTVRTDYVRPQPRKTLHFPWNPKPPSQPQQVHISLAGEKHMQISWVTDDKSAPSIVEYGTSPGIYTSSAEGDTTSYSYLFYSSGKIHHTVIGPLEHDTVYFYRCGGQGPEFQLKTPPAQFPVTFAVAGDLGQTGWTKSTLDHIDQCKYNIHLLPGDLSYADYMQHRWDTFGELVQPLASARPWMVTEGNHEKEKIPLFTDAFQSYNARWKMPFEESGSTSNLYYSFEVAGVHVIMLGSYTDYDELSDQYSWLKADLSKVDRRKTPWLVVLFHVPWYNSNNAHQGEGDGMMAAMEPLLYAAGVDLVLAGHVHAYERSKRVNNGKSDPCGAVHITIGDGGNREGLAAKFRKPQPEWSMFREASFGHGELKIVNSTHAFWSWHRNDDDEPVRSDQVWITSLISSGCLAEKNYESRKILLSP >EOY12560 pep chromosome:Theobroma_cacao_20110822:7:2774758:2776910:-1 gene:TCM_031077 transcript:EOY12560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyubiquitin 10 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >EOY13499 pep chromosome:Theobroma_cacao_20110822:7:8919972:8927105:-1 gene:TCM_032104 transcript:EOY13499 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein MSFLKAIMDSLSSILSTNDSSPYESTENPSSSTYQNMEGIAGNERTAYKLKGYFDLAKEEIDKAVRSEEWGLVDEAVIHYRNAQRILVEASSTPAPSYISSSEQEKVKSYRQKISKWQGQVSERLQVLSHRVGGTSVNKSTSIHAQTAAVSPRTSNSRRDVLQKSSRNPVVRNQADKVGTSKPAQESTNGYESKLIEMINTAIVDRSPSIKWEDVAGLEKAKQALMEMVILPTRRRDLFTGLRRPARGLVLFGPPGNGKTMLAKAVASESQATFFNVSASSLTSKWVGEGEKLVRTLFMVAISKQPSVIFMDEIDSVMSTRLANENDASRRLKSEFLIQFDGVTSNSNDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDENVRRLLLKHKLKGQAFSLPGRDVERLVRETDGYSGSDLQALCEEAAMMPIRELGSNILTVKANQVRPLRYEDFQKAMAVIRPSLSKSKWEELERWNQEFGSN >EOY13303 pep chromosome:Theobroma_cacao_20110822:7:7405532:7406295:1 gene:TCM_031845 transcript:EOY13303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGRSSAIQSPISYCPLLYHVERCRRIESKVCVKGKISYSLLLKIPELKQHMRRLCLVCHEERESLVQLVF >EOY13811 pep chromosome:Theobroma_cacao_20110822:7:11073407:11083138:1 gene:TCM_032464 transcript:EOY13811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MPSPSSRLVLQGLSISEIQKFIPKRWKQCVKPVDIQSNQPSASYNNQKQELKTLTTEDESMLENLLTSLKEFVTQGHLLKAFKSFSLIQQHVSPTASYDVILHPISSLLLSCTNLKRLPPGKQLHAQIISLGLEQHPVLVPKLFTFYSTFNLLDDAQVVTENCNFLHPLPWNLLISSFVKNDLFEEALSAYCKMVNKGIRPDNFTYPSVLKACGEKLDVDFGRMVHHSISGSRHDWNLYVHNALITMYGKFGQVDVARDLFNKMPERDDISWNAMINCYASKGMWGEAFKLFDCMRAEDIELNFITWNTITGGCLRTGNFQGSLELLSQMRSSGIHLDPVAMIIGLGACSHIGALKLGKEIHGSAIRSCCDTFGNVGNAMITMYSRCKDLRHASIVFQSIEEKSIITWNSMLSGYTHLGQSEEASFLFREMLLSGLEPNYVTIASILPLCARVANLQHGKEFHCYITRRKVFEDCLLLWNALIDMYARSGKVLAAKRVFDLMRKRDEVTYTSLIAGYGMQGEGQAAIKLFKEMINLQIKPDHVTMVAVLSACSHCGLVIEGQIWFEKMQSFYGIIPRLEHFSCMVDLYGRAGLLNKAKEIITRMPYKPSASMWATLLGACRIHGNTDIGEWAAEKLLQLRPQNSGYYVLIANMYAAAGCWSKLAKVRSFMRDLGVKKSPGCAWVDVGSVFSPFVVGNTSNPYAQEIYQVLDGMTELMKDAGYVGWDKFGLDGEVLEEIE >EOY14252 pep chromosome:Theobroma_cacao_20110822:7:22644752:22646286:1 gene:TCM_033555 transcript:EOY14252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKLKKKMKEGLQGQASGTSPLFTQPPMPHPIPKPRIPTLVVDTQVYSQARSRGSSLGLPTSTHSDQQIFLHPLRGRLLRVTSVDLGQHGGKFLRSIKITFGINLR >EOY13694 pep chromosome:Theobroma_cacao_20110822:7:10162333:10163717:-1 gene:TCM_032332 transcript:EOY13694 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein, putative MASGREPMKVVIINTKYVETDATSFKSVVQELTGKDSRVMSNPPKPKSRFYKEQINKREEEKGIPAAGVGNSRGGSILMKNLSFKEFDRLLKEMPPVDDLLWNMD >EOY13057 pep chromosome:Theobroma_cacao_20110822:7:5683790:5710091:-1 gene:TCM_031577 transcript:EOY13057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase 44 MDNRVFVLGLFFFAFGGVNGFPMNDLIEKLPGQPNVTFKQFSGYIDVDGKAGRSLFYYFVEAENDPMKRPLTIWLTGGPGCGSVGDGFLSVGPFITTANAHGLQRNLYSWIKVSNLLFIDSPIGAGWSYSNTSSDYEVGDDSTNKDLLTLILQWFEKYPNFKSRDLYLGGSSYAGHFIPNFANALLDYNKQSNNFKFNIKGLALGNPLLRNKLDTLALYDFFWSRGMININLHQQILKECNGIDEDNYSNNATKWSESCQQAMNKAESAAFVVSSINVAKASRFDVLRASCDENWEDLVLGKEVTKVSYDVDMCIPFRVDFYFNIPEVQKAFHGNRTNLGYQWKGCFEKSGLKFSDVDKDIDMLPALKKILQQSIPITIFSGDQDAIVPTVGTLNHVNKLAKDMNLNLTKDEAWNHGNKGGGWMYSYGDLLTLMTVKGANHHVTFSKPSEALFIFTNAVINRSH >EOY14333 pep chromosome:Theobroma_cacao_20110822:7:24147997:24159948:-1 gene:TCM_033714 transcript:EOY14333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRDLLTTVESRLTRQEKDVVKLADRYHGRDEIQRLKVQDITVAIVIAESLIEFHKPEAKKDAGKGKAKVGEETYVATLSLNKGEPSKAAPMPSKVATVMDEYVDVMPAKLSSCLPLECEVDQKIELVLSTQPLSRAPYRMAPSKLVELHQQLIELIEAGFIRPSKAPYDALVRMSPKTRATLRRMREQDAPIEMVDRPRASTRRGRGRRGRATRPVRSNTLVSRQDEGQSSDQKNWVVTLTIRNCSQILNIFELFDQLGKARVFSKLNLWFGYYQVWITEGDQEKTACVTRFGSFEFLVMLFGLTNAPAMFCTLMNKVLPSFLDKFAMVYLDNIIVYSRIMAKHVGHLRAVFERLRACKLLPQIRTRLLQHRSTLDRLAVKDQAWGWSPDSILMQQGHPMAYESRKLNDTERRYMAQEKDMTVVVHYLRVWRHYLLGARFVVKIDNVANSFFETQISSLSSKLGGTQNWQPLARHRMICWPISKQGLQEMPLPKPSSSAPRKARRGQGRASAFDGPTRATKASRPTVVVYQAIHAIGVQAPLFNQLPPLNKWADKADEPLAGDLLVALCPQHPVELCKAAGYRPVQLQPATM >EOY12717 pep chromosome:Theobroma_cacao_20110822:7:3669059:3674085:1 gene:TCM_046865 transcript:EOY12717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine kinases,protein kinases,ATP binding,sugar binding,kinases,carbohydrate binding, putative MEETLRQGEGFISSDLLLRIKGFTILLLCFFFCPNLVITSTEFDTIDTTRSIKDGETIVSAGGVFEVGFFSPSVTNKRYLGIWYKKSNTTVVWVANREVPLNDSSGVLKVTNQGILILLDNNGSTVWSSNSSTSARTPVAQILDSGNLVVKDETDGNPQNFMWQSFDYPCDTFLPNMKIGKDLVTGLDRYLSSWKETNDPFRGNFTYGFDLDGFPEWVLREGSIKRMRRGPWNGLRFSGVTGLTPNSVYGFEFVFNEKEIYFSWNLYNSSSLSRVLLLPNGNIQRFTWIDEAQNWVLHLPPYLDTCDSYALCGVNGNCNNNNDNSPACNCLKGFEPKIKEEWEVVPGLGGCVRKTPLNCKDDGFLKYTGLKLPDASESWFNYSMNLEECKNHCIKNCNCTAYTNLDVRGEGSGCLIWFNDLIDIRQVTENDQAIYIRMAAAELDQIDSKKTKAESNAKKIRVIIGVSIALPTTILILGLVLFFWRKKHHERGFFKCICRSSSNNENQREDPEVPLFDMATLVHATKNFSIKNKLGEGGFGSVFKGMLKDGREIAVKRLSENSRQGLSEFKNEVVHIAKLQHRNLVKLLGCCVEGDEKMLIYEFMPNKSLNFFLFDQAQSLSLDWPKRYNIINGIARGLLYLHQDSRQRIIHRDLKTGNILLDSQLNPKISDFGLARSFGENVTKEKTKNVVGTYGYMSPEYAIDGLYSIKSDVFSFGVLVLEIVSGKRNRGFSHPDHHHNLLGHAWKLYAEGKPLQLIAAPIRDTCNLSEVLRSIHIGLLCVQRNPEDRPRMSTVVLMLGGESPLPQPKQPGFFNERDLSEAKSSSSNQKPCSYDELTITLMEGR >EOY13070 pep chromosome:Theobroma_cacao_20110822:7:5779011:5781986:-1 gene:TCM_031596 transcript:EOY13070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein MTGKEILHKMKEKVQEKVGLCSSTAESGKGKSKMAKNITHGYHLVKGKGHHPMEDYVVAEFKQVGDSELGLFAIFDGHLSHIIPDYLKSNLFDNILNEPGFWTEPENAIRKAYRITDTTILEKAVDLGKGGSTAVTAILINCKKLVIANVGDSRAVICKNGEAKQLSIDHEPTTEKESIENRGGFVSNFPGDVPRVDGQLAVSRAFGDKSLKEHLSSEPDVTVEMIGDDMELIILASDGLWKVMTNQEAVDAIRNIKDARSAAKRLTEEAVKRNSTDDISCVVVRF >EOY12960 pep chromosome:Theobroma_cacao_20110822:7:5041487:5042324:1 gene:TCM_031467 transcript:EOY12960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYNKTSLPNISLDRSNFQANGVFQKKASQLELSLMLLSLLPISNLAAASLVQAQYRLLETVMGDQNLADYSSMQKVSSSSNLASTQLKKHKRACLKSRARLRGPVTKRGWRVVEWRKVTTLRFGVYPLSLGCGSGGLTCPFRPND >EOY11929 pep chromosome:Theobroma_cacao_20110822:7:391921:393305:-1 gene:TCM_030578 transcript:EOY11929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKLKTIGFVALVAFRIKGGWLRWVVISNSSIKMPSGQERLDSSRRIEDT >EOY13944 pep chromosome:Theobroma_cacao_20110822:7:14760413:14769855:-1 gene:TCM_032806 transcript:EOY13944 gene_biotype:protein_coding transcript_biotype:protein_coding description:3R-linalool synthase, putative MAAEFAIAANIVGNLETKYASPYVNCFIRLGKIVEQFKNRQNQLELRKDRVKNDVDEALRQTEVIEKDVEDWLTRAEKELEEAQSLENEIECYQATILGLEFIPSKDFMSSESSNSSQHDWTIWDGKSRITMEAEGIEQRSAGNLPTVWDVELVKSFTTPYSFENHVTRLEELKQGAGDLLASMKEPRDQLDLINTMQRLGGAYHFEKDIKDILAKLVDANIATDLYTAALQFRLIRQNGFFIGTDLFNMFMEIDGKFMDSSREDVIGLLSLHKASYLGMPEEDVLDEALNFSTKHLLVLKKTIARQIQQSLEYPLHWRMPWTEARDFIAIYQYDDNMNLVLLELAKLNYNIMQSVYLKELQELVEGWRDLNYKERRPFARDRLLESYFWALGSCPPGPQLPLLRRNLAKFGFMGTALDDILDVYGSLDELEKYTDAVNRWDLNKAMEELPRWLCYIRGYLKEARWFHSGYTPTADEYLENAWVSIGVPVSIVYGFFGVAGHSINDYLSEFVEHWSVSDLVRLPGYIGRLINDLNTAEVEIKRGESMNFIHFYMTQEGVSEEEAHNHVTGLIRNCGKNLTK >EOY13292 pep chromosome:Theobroma_cacao_20110822:7:7270796:7295149:-1 gene:TCM_031826 transcript:EOY13292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MGNTRFIVALMVVLLLPNFGVSFPMKSTTTNISTDQLALLALKAHVNSDLLATNWSTATSICNWVGVTCGSRHHRVTALDLFGTNLVGTIPPDIGNLSFLASLDIGNNSLHGSLPIELANLHRLKSLILSNNNFNGEIPSSLCSLSKLEVLSLYNNNLQGHIPEVIGNLSSLIFLYLDNNQLSGSIPSSAFSISSLLEIFLNDNQLTGSIPFIPINMSSLQSIELTFNNLTGHILSDIFDHLPKLKSLYLSWNYFSGSIPIDLFKCQELEELSLSINLLEGAIPKEIGNLTMLKILYLGSNNLKGEIPQQIDNLLNLEYLSLPECQLTGAIPSVIGNLTMLETLDFNDNNLTGKIPLQIGNLPKLEGLYIGNNHISGLIPPPIFNSSTLKFIVLVLNRLSGYLPSSTGIWLPKLEILQLGENELSGPIPTSISNASRLTMLGLEMNSFSGYIPVDLGNLRDLQVLGLGVNNLASTPSSGLSFLSSLANCKDLKFLAFDTNPLISGKLPISIGNLSVQEFNAYGCNIKGSIPREIGNLSNLIGLNLDNNVLIAIPTTIGRLRNLQSLSLQGNKLEGSIPAELCQLKSLFFLYLAGNKLVGPIPECLGDLISLRHLLLDRNKFTGSIPSTLTRLVDILQLNLSSNTLRGALPIDIGKWKVVYNIDFSKNQLSGEIPRSIGDLKDLTYLSLSGNRLQGSIPESFGGLKGLQFLDLSRNNFSGIIPKPLEKLLYLEYFNVSFNRLQGEIPDGGTFPNYSVRSFMGNKALCTTPRLQLPLRPCKTNSFSHHSKKAIKLVEYILVPVGSTILVLALVIICSKKRNLNVNLPTDQENLQALAEWRRVSYQELHQATNGFSESKLFGVGSFGSVYQGTLSDGLNIAVKVFNLQSEGAFKSFDIECEVLRNIRHRNLVKIISSCCNLDFKGLVLELMPNGSLEKWLYSHNHFLDTLHRLNIMIDVASALEYLHHGCTTPVIHCDLKPSNVLLDEDMVAHLGDFGIAKLLGEKEDSTIHTMTLATIGYMAPEYGSQGIVSTKGDVYSFGILLMETFTRKKPVDEMFTEERSLKHWVTESIPSALMQVVDTNLLSNRQRGHFAIKDCALSVLQLALECSKESPEERIDMKDVVAKLKMIKIKFLKDLNGQACQ >EOY12456 pep chromosome:Theobroma_cacao_20110822:7:2279408:2281777:1 gene:TCM_030966 transcript:EOY12456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVQKLEAIKGGGGSVKVGTTGTISSLMTRELDSIKPAPQTPASFRHKPQTVPVSVACGSATPKRFQLRKSMDGASTSGSSNSMSYKSPQTAQKTKSHLKNVHQIPMLGSDNIALDRTPTRQKSDKKVSNIVEVVDIKCGNPDRAWASPVTNRLKKLGFSKLSESIV >EOY11955 pep chromosome:Theobroma_cacao_20110822:7:436129:440543:1 gene:TCM_030589 transcript:EOY11955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein MGWLDSLLGTEGRKFMKRKDSDAGEAGRALEELRGSLYNELRTSEGAKRQQQQFCGPVVAMSFNFVVAVGIILTNKLVMGRVGFNFPIFLTLIHYSTAWILLAFFKALALLPVSPPSKTTPSSSLFSLGAIMAFASGLANTSLKYNSVGFYQMAKIAVTPTIVLAEFILFRKTISFKKVLALAVVSAGVAVATVTDLEFNLFGACIAIAWIIPSAINKILWSNLQQQANWTALALMWKTTPITIFFLLALMPWLDPPGVLLFEWDLNNSTAVLISALLGFLLQWSGALALGATSATSHVVLGQFKTCVILLGGYLLLNSDPGLVSLCGAVSALCGMSVYTSLNLKESNDSSSKQLPMQNPPPRANISDNVSVSEDSTVTNTNVV >EOY13584 pep chromosome:Theobroma_cacao_20110822:7:9370277:9379408:1 gene:TCM_032183 transcript:EOY13584 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MSMDGQAALSVFFELFAKLASYDPFLNFVTEKQVSQQLKKWQKVLPNIEAVLNDAEEKQMKDQNVKNWLAQLQHLAYDVDDISEEFAIEALHRRLHEDQARTSEFGIDEAATSKRMKARLQPMSLVHDTNVHGREKEKAEILELLLNNNGNGNEASLIHIVGIGGIGKTTLAQLVYNDNSINQSFDYKAWICVSKDFDTFLVTKTILQSIIDEYCIVPSLDTFQVMLQEKLFGKRFLLVLDNVWSENYYDLTILLKPFGVGTKIIVTTRSCKVSSVVSTVEAYPLQQLSEKDCLSVFTHCALQASNFSGHPELEKVGESIVKKCNGLPLAAKAIGGLLCTQVDHGVWKDISESEIWDLPEEQCGVIPALLLSYKYLPPYLKRCFAYCSLLPKDYEFEEEELILLWKAEGFLQEANSKTQMEGLGSQYFQDLVSRSFIQTSSRDKSRFVMHDLINDLAQFVAGEICFRLEGNKQPKISEGIRHASYVRGLYDGVKKFEAFNQMKCLRTFLPFMLPTYGECFLTNTVLVDLLPKLRCLRVLSLKGYCITQLPNLFENLIHLRYLDFSYTAIKSLPDSICSLYNLETLQLRKCFLEKLPSDIELLVNLNHLDITGSKSMKRMPFGIGKLTNLQRLSNFVLGEGDGHQMQEIKSLHLKGDLSLSGLENIVKAQDAWEARLIDKSGLDGLQLMWSTNFNHYIRNKAVEEEVLNMLEPHRKLKRLIIENYGGTNFPKWIADSSLKHLLSLNLNNCKNCKLLPSIGNLPLLKDLSIRGMHDVSKVGIEFYGENQSNAFAPLERLCFEDMPKWKEWDLDEVGEQLAKFPCLREFCIVNCPQLLGRLPNSLYSLETLVIRRCSELVVSVSNLPMLYDLEIDGCAELVLRDYADFPSLRRVSLSNILKLCTLTERLVSRLKSLEHLKINGCKEMTSSSWKQLGSVEHLSTLRGLEIWSFPQLVLLEPEEVEEEQLQLRKPCNIESLTIGRCERLERLPEDLHLLTFLTGVRIEECPCIVSFSKNNWPPALKWLVIKSCVNLQCMVDAGEYTGFSNTCLLQHLEIIRCPSLIRLSLPIRLQILKISRCTKLASLSSSGELPTGLKQLLIVDCTKLEYIVQAIHENSCLEHLRIWGCKNIKFLPRGLNKLNHVQKIELWRCENLVSLTESGLPAANLTVLRIGECHILEALPNMQNLSALKELMLQNCSPLMSFLEEGFPTNLTSLSISVPQLCSSLLKWGLHKLTSLKDLYINGEECPDVVSFPLEGRGTMLPPSLTSITMKNFENLRCLSSKGFQNLTSLQELWIFDCPNLTSLPEKDVLLSLSKLYMWNCPLLTYQCIWPQGREWLKISHIPEVLVDHQSIIPKASNWEEQYPIMDVKTMQWDFNTCPRFSDLKHRLCAPE >EOY14095 pep chromosome:Theobroma_cacao_20110822:7:20680519:20681537:1 gene:TCM_033338 transcript:EOY14095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMLNFLMRIDGKLTDQAKQIVKIEAKLKQLEALLNSTKETKVPEAPTSTASQSRERTTTKQFETAASGHDRETEKEIPKNPNVNQAENENSEKKKVEQKEHEEEKEKEHSIIEKSVVSSLGKSEEILVSNFNRDILEKAKVDQGQQQAKIQQKVQSVPQGIKEGIREAHLNKSKTVVEDTAPIAKRTVGKGRKTMAIETKTFRRRKSIRLALTSTQ >EOY13615 pep chromosome:Theobroma_cacao_20110822:7:9543514:9549295:1 gene:TCM_032237 transcript:EOY13615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solanesyl diphosphate synthase 2 isoform 1 MMSVTCHNLDFGTRVLDLVTCGTSSNASIERHSVKNYGKTISNASCKGCGGRRMVYCRQNTTGSRVFPTKAPEPLLDAYFFKYRIEFSTWNYIAFSTFNFNIGVGRSTAPVLDLKKELRSPISLANLFKVVADDLQILNQNLQSIVGAENPVLMSAAEQIFGAGGKRMRPALVFLVSRATAELVGLKELTTKHRRLAEIIEMIHTASLIHDDVLDESDMRRGKETVHQLYGTRVAILAGDFMFAQSSWYLANLENLEVIKLISQVIKDFASGEIKQASSLFDCDLELEEYLLKSYYKTASLIAASTKGAAIFSGADRSVTGQMYEFGKNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALEKEPKLREIIESEFCETGSLDEAIELVKKCGGIERAQVLAKERADLAIKNLQCLPQSAFRLALEDMVMFNLERID >EOY13616 pep chromosome:Theobroma_cacao_20110822:7:9543455:9549280:1 gene:TCM_032237 transcript:EOY13616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solanesyl diphosphate synthase 2 isoform 1 MMSVTCHNLDFGTRVLDLVTCGTSSNASIERHSVKNYGKTISNASCKGCGGRRMVYCRQNTTGSRVFPTKAPEPLLDGVGRSTAPVLDLKKELRSPISLANLFKVVADDLQILNQNLQSIVGAENPVLMSAAEQIFGAGGKRMRPALVFLVSRATAELVGLKELTTKHRRLAEIIEMIHTASLIHDDVLDESDMRRGKETVHQLYGTRVAILAGDFMFAQSSWYLANLENLEVIKLISQVIKDFASGEIKQASSLFDCDLELEEYLLKSYYKTASLIAASTKGAAIFSGADRSVTGQMYEFGKNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALEKEPKLREIIESEFCETGSLDEAIELVKKCGGIERAQVLAKERADLAIKNLQCLPQSAFRLALEDMVMFNLERID >EOY12692 pep chromosome:Theobroma_cacao_20110822:7:3390566:3399966:-1 gene:TCM_031202 transcript:EOY12692 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein MIQDFTWNAESQAYTSSDNGGRGCGCAFCFDNLIDYRQFQSVGQDLYVRVSASKSELKDKLRMELAAVIRTLLSCAFRAVYCQLLHLQKPQKVERETKDNKENDQENQVQDEDMELLIFEPHTIARATDSFSVDIKLREGDFGTVYKILARLELLEETKLKGMLKDGYMAPEFAIDGLFSAKSTVFSSGILLLEILSGKKDRGPYHPTQSANLVEHVSMEIMEIRYPSELVEECLEESCNLLEVIQCIHISLFNVQQHPEDRPSMSTVILMLGSETKLPHPYDVVFYC >EOY12995 pep chromosome:Theobroma_cacao_20110822:7:5217494:5219081:-1 gene:TCM_031501 transcript:EOY12995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWDYSLLGAPTGLVVYYKPNLENEEELGETTVHQLKTFAYRPLELNSREEALSRFFYISITLVCPVPHGYDLYRVRNFHGVDDSYIYTDPAVDYYSTAWDFIIPVLGLFLATIIYLQQRFGGRCFLPRRFQESVINEELPMASEDQFPLKTSN >EOY13502 pep chromosome:Theobroma_cacao_20110822:7:8934152:8936635:-1 gene:TCM_032107 transcript:EOY13502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-LTR retroelement reverse transcriptase, putative MILCSVGVQMYGDQLVDTGFMLNPSAPVRDYIMPNGVWDKERDCLVVEALWRRILPQIGINQFFQAPLIDWLCCELYIESQLSIIKSMAVDTHNTWTTLPLLTGGLSRKEEILVGWTPPPEEWIAMNSDRAYKSAVGTTSAGGVLRDTHDTWLVGYVCKLDITSAYRAELWRVYKGLQLAWEHWEISISRMYREGNKIADFMANLGFELNSDITLYDSPPEKVEPNVPMSTRFGYRYGDWILVIRNLDDEPHDQLSYCLVPAIPSKPQ >EOY11828 pep chromosome:Theobroma_cacao_20110822:7:49540:54235:1 gene:TCM_030504 transcript:EOY11828 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 2 isoform 3 MAGIDDNVAIIGDWIPPTPSPRTFFAALLGDDIGSKPVSETHGENKTEGLFLGSRGIMTAENSDNKDAHQGHEQLKEFRSISEQKSSSRGGLVERMAARAGFNAPRLNTESIRSADLSLNPEICSPYLTIPPGLSPTTLLESPVFVSNSLAQPSPTTGKFPFIPNGNGRSSFSESSDKSKDNYFEDINASSFAFKPFAESNSSFLLGAMSKITPASVPQQSFPNIEVSVQSENPLPSQNVDPGKVHSQNSNRPSLQADFSRSSTEKDTGSNNSADQRVFDPVGGTAENSPPLDEPQDEEGDQRGSGDCMAGGVGSAPSDDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSHEGHITEIIYKGAHNHPKPPPNRRSAIGSSNPLTDMQPEVPEQPGLQHGTDGDPVWATAQKGTVAATHDWRHDSIEVTSSAPVGPEYGNVQAPNGTHFESGDAVDASSTFSNDEDEDDRGTHGSVSLGYDGEGDESESKRRKIEAYAPEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARSSSHVNSATCSTVTAQGASSVQTHVHRPEPSQLHNSMVRPASFGPYTLPGRQQLGPSPGFSFGMNQPGLANLAMAGLGPGQAKLPVLPVHPFMPQQRQMNEMGFMLPKGEPKMEPMSEPGLNLSNNSTVYQQIMSRLPQI >EOY11829 pep chromosome:Theobroma_cacao_20110822:7:49540:54235:1 gene:TCM_030504 transcript:EOY11829 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 2 isoform 3 MAGIDDNVAIIGDWIPPTPSPRTFFAALLGDDIGSKPVSETHGENKTEGLFLGSRGIMTAENSDNKDAHQGHEQLKEFRSISEQKSSSRGGLVERMAARAGFNAPRLNTESIRSADLSLNPEICSPYLTIPPGLSPTTLLESPVFVSNSLAQPSPTTGKFPFIPNGNGRSSFSESSDKSKDNYFEDINASSFAFKPFAESNSSFLLGAMSKITPASVPQQSFPNIEVSVQSENPLPSQNVDPGKVHSQNSNRPSLQADFSRSSTEKDTGSNNSADQRVFDPVGGTAENSPPLDEPQDEEGDQRGSGDCMAGGVGSAPSDDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSHEGHITEIIYKGAHNHPKPPPNRRSAIGSSNPLTDMQPEVPEQPGLQHGTDGDPVWATAQKGTVAATHDWRHDSIEVTSSAPVGPEYGNVQAPNGTHFESGDAVDASSTFSNDEDEDDRGTHGSVSLGYDGEGDESESKRRKIEAYAPEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARSSSHVNSATCSTVTAQGASSVQTHVHRPEPSQLHNSMVRPASFGPYTLPGRQQLGPSPGFSFGMNQPGLANLAMAGLGPGQAKLPVLPVHPFMPQQRQMNEMGFMLPKGEPKMEPMSEPGLNLSNNSTVYQQIMSRLPQI >EOY11827 pep chromosome:Theobroma_cacao_20110822:7:49540:54235:1 gene:TCM_030504 transcript:EOY11827 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 2 isoform 3 MAGIDDNVAIIGDWIPPTPSPRTFFAALLGDDIGSKPVSETHGENKTEGLFLGSRGIMTAENSDNKDAHQGHEQLKEFRSISEQKSSSRGGLVERMAARAGFNAPRLNTESIRSADLSLNPEICSPYLTIPPGLSPTTLLESPVFVSNSLAQPSPTTGKFPFIPNGNGRSSFSESSDKSKDNYFEDINASSFAFKPFAESNSSFLLGAMSKITPASVPQQSFPNIEVSVQSENPLPSQNVDPGKVHSQNSNRPSLQADFSRSSTEKDTGSNNSADQRVFDPVGGTAENSPPLDEPQDEEGDQRGSGDCMAGGVGSAPSDDGYNWRKYGQKQVKGKIIYKGAHNHPKPPPNRRSAIGSSNPLTDMQPEVPEQPGLQHGTDGDPVWATAQKGTVAATHDWRHDSIEVTSSAPVGPEYGNVQAPNGTHFESGDAVDASSTFSNDEDEDDRGTHGSVSLGYDGEGDESESKRRKIEAYAPEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARSSSHVNSATCSTVTAQGASSVQTHVHRPEPSQLHNSMVRPASFGPYTLPGRQQLGPSPGFSFGMNQPGLANLAMAGLGPGQAKLPVLPVHPFMPQQRQMNEMGFMLPKGEPKMEPMSEPGLNLSNNSTVYQQIMSRLPQI >EOY11826 pep chromosome:Theobroma_cacao_20110822:7:49476:54345:1 gene:TCM_030504 transcript:EOY11826 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 2 isoform 3 MAGIDDNVAIIGDWIPPTPSPRTFFAALLGDDIGSKPVSETHGENKTEGLFLGSRGIMTAENSDNKDAHQGHEQLKEFRSISEQKSSSRGGLVERMAARAGFNAPRLNTESIRSADLSLNPEICSPYLTIPPGLSPTTLLESPVFVSNSLAQPSPTTGKFPFIPNGNGRSSFSESSDKSKDNYFEDINASSFAFKPFAESNSSFLLGAMSKITPASVPQQSFPNIEVSVQSENPLPSQNVDPGKVHSQNSNRPSLQADFSRSSTEKDTGSNNSADQRVFDPVGGTAENSPPLDEPQDEEGDQRGSGDCMAGGVGSAPSDDGYNWRKYGQKQVKGKIIYKGAHNHPKPPPNRRSAIGSSNPLTDMQPEVPEQPGLQHGTDGDPVWATAQKGTVAATHDWRHDSIEVTSSAPVGPEYGNVQAPNGTHFESGDAVDASSTFSNDEDEDDRGTHGSVSLGYDGEGDESESKRRKIEAYAPEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARSSSHVNSATCSTVTAQGASSVQTHVHRPEPSQLHNSMVRPASFGPYTLPGRQQLGPSPGFSFGMNQPGLANLAMAGLGPGQAKLPVLPVHPFMPQQRQMNEMGFMLPKGEPKMEPMSEPGLNLSNNSTVYQQIMSRLPQI >EOY13112 pep chromosome:Theobroma_cacao_20110822:7:5994836:6001843:1 gene:TCM_031639 transcript:EOY13112 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR class disease resistance protein, putative MAWSDVSSVIIRIGELLTQEATSLWGVEEQVDRLQKELKWMQSFLIDADARRGESETVRLWVAEIRDVAYDAEDVIETFALKIGSKKKGGFPNVVRRSACILKEGRMLHKTRSKIEKIITRITNLTRQLQTYGIKELRGGEESCSSYERRELRRSYPHIIEDNVVGMDDEIQKLVSVLVDEESHRRVVSICGMGGLGKTTLAKKVYHHSQIRGHFNQLAWVYVSQQCQRRNVWEGILSSFKIMAEEDRKRRDEELAEKLFNFFKDKKCLVVLDDIWSIQAWDKIKPAFPMRETSSKILLTSRNKEVASHADRRSYLHVLECLNEENSWELFQKITFHDRGSTENKVDAKMEELGKGMVRHCAGLPLAIIVLGGVLATKNSLNEWQTVSDNVKSYLKRGKGQGQGIEDVLELSYDDLPPYLRSCFLYLSHFPEDYEISAERLIQLWVAEGIVSLNQNEGNRRKNAEDVAEYYLIELAERYMIQVGKRDALLKIKTCRMHDLMRDLCLSKAKEENFVYIIDHSSGNQLDDDFSSSTIRGVRRVAAHVFPQVQCIKSPHLRSYLFLFDVLRDHTKALTNPKIKNILEHLEEGCNLVSVIFMLWLQYMLWRSWTYVFNDFKFLRVLYFEGIIDYAGFNLPYDIGNLIHLRFLCLSGLTFYTSELPESLGSLRCLQTLDLRVYSRDLNGNMGPIHVPNVIWRMKQLRHLYLPWECDSKTKLKLCTLRNLLTLVNFDTRNCYVGDLRNMMNLRDLQTAGPFHIEDFEDLGENPPILGNNNILRTLTIRSCQRTDPRQLTHLLSCCANIYELNLTVKIGKLPEYYHFSSNIAYIYLRRCKLEEDPMPTLEKLPNLRILKLESNAFTGKKMVCSTECFTKLDSLSLVGLFYLEEWKVDEGAMPILRYLEIDHCSELKMLPDGLRFITTLWELKIEWMPKAFKDKLAEGGEDFCKVQLIPSIEFQYCE >EOY12406 pep chromosome:Theobroma_cacao_20110822:7:1982329:1983700:-1 gene:TCM_030922 transcript:EOY12406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVDIMDMLKDLKHMDMHLLPKILTCPMGAILDMGITSNHKLTNNHSSEVWLLYCGLKLVHSSRALKVLL >EOY13998 pep chromosome:Theobroma_cacao_20110822:7:16913752:16920980:1 gene:TCM_033004 transcript:EOY13998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPDRIVDFDYLKSIDFQFRQDDHRYVLDKDNLHSTFVYPLPSDQGYFSCTRLYFFDHVLHLIITHTICPHGSNYSMVTQKDLQFLFNIKLNYQINVIKFITDDMLHTIQWGIKNLLYGMLVSEIIDFFFVDTQCDPPKSHALFNPSDEHTVKKIGFELKNGNWVKKGVVDLLVFDDEGVEAIKIMRGEPSTYLAGCPLLNLVHRLCLPHLKLTMPSLDCSYS >EOY12162 pep chromosome:Theobroma_cacao_20110822:7:1179283:1183910:1 gene:TCM_030746 transcript:EOY12162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGEPAGMTCILELDTDIPGWHITMIKLFKSIQDVSYTIDAQAKLAHVSGKIDKELLLKLLAKAKTHALTHQINYGIDLPKPVSEPIMKSVLLVDTDIPGWHKTMCLLFKSMQGVTLRIDSFTKQAYISGKVSPQLQLKLLAKAEAQAARLCWLQYGCETDPYGRPVHKESEGITYNIDEEKGVVQITGRINPRQLMRTLAEIGLHADLSWVDSGYREMNIPSRHGYDYSYHGSYGCNPYGRPGHNYGYPHQQRTWHLIYENYPYYSHYHEHYPYYEPQAQHFPQPPPPPEGFRNGDPEWCSIM >EOY14192 pep chromosome:Theobroma_cacao_20110822:7:21948884:21950978:-1 gene:TCM_033466 transcript:EOY14192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein SALFFFLSSSHFPFPSFSLPPVAPSYLERTSIFVCSFPNSLSLFVAGQLTPFLLSLTDDSNLSPPLPYSDAAHSLSLSLPPQISRSNPKISIQPLPRNPNRKSPLPTASTVFCFFFCLSSFFFIFFDCCGLWF >EOY12613 pep chromosome:Theobroma_cacao_20110822:7:2999347:3000546:-1 gene:TCM_031119 transcript:EOY12613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MDKQTQVVKSRVVKVDSEESWDFYITQANNQGCPVTVHFTAAWCVPSVAMNPFFEELALSYQDILFLLVDVDDVQGVAMKMEIKAMPTFLLMSQGSQVDKLVGANPDEIRKRVNGFAHQIRSSKAA >EOY14120 pep chromosome:Theobroma_cacao_20110822:7:21093910:21096634:1 gene:TCM_033386 transcript:EOY14120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MVLSSYSNWGTDLQNVHSEPIHYAKQPPAQLVAGDHHLEYYWDTFELPCAFLDPYIDLNENFLYPENYNALLPYLSSPYDPLSSFSPENEIIPYENFSSYPCPKRQKLIEGHCCSDFVPAFSDGVALNSCPVPEHQSIHQKNLDGNSVESSKRINAKSVSVQSIAARERRRKITEKTQELGKLVPGGNKMNTAEMLHAAFKYIKYLQAQVGILEFMDSFSEKEKATRKESMQIVTSPKVQEKLSMEEKCLVPKDVVLSLTTLSKPPLSDELSQLLAFAPLE >EOY14007 pep chromosome:Theobroma_cacao_20110822:7:17054824:17055896:-1 gene:TCM_033021 transcript:EOY14007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIETSRRMSQARREYWVVTLCHSSNRDLRFQLVTLRYRISQVMARNPSQDKRVVTCKEMSMEPRRRLEVGSIRLSRIGKSCRLRWLSYLRPGINYGNITKEEEEIIFKLHKILENRLVMSFTSYIITNRLMGCHVAKLPSRTDTEIKNYWNTCMKKWKEDFPLAIE >EOY13882 pep chromosome:Theobroma_cacao_20110822:7:12354574:12360480:1 gene:TCM_032583 transcript:EOY13882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDFAMMIFDCGLLDAGFEGNKFTWTNSRMFQRLDKIVYNMQWGTQFAKMKVQHLNRNVSNHCPLLISCSKSSAKNPSSFHFLHAWLKHHSFMSFVDRNWK >EOY14207 pep chromosome:Theobroma_cacao_20110822:7:22118893:22121540:-1 gene:TCM_033490 transcript:EOY14207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMTYPHIGDVGIMICPVFGEVYGMIKPRIAVWGKAKWLELKISVDNVFVFRNPASICLAQKSDKFSKEDNWVASQIDWVKFNVDGASAGNPGKVGIGGVLWDGRGELITKFSKQVGVCDANHAEMLAIREALPLVFQIFKKISIQIMG >EOY13403 pep chromosome:Theobroma_cacao_20110822:7:8013910:8014755:-1 gene:TCM_031963 transcript:EOY13403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALLLGSSLSMPKTWLQTPHLRTYQKKTLVIECVKPPRPKTRSGKPPQINRGRSKAMDRSMMEGINNSLHSSSNNMNEGKKTNGEIDDHDGTAEKHKAAD >EOY12107 pep chromosome:Theobroma_cacao_20110822:7:981526:983074:1 gene:TCM_030707 transcript:EOY12107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 53, putative MMDFGENEINGIFPYWLDTLPELQVLVLRSNKLQGVLHSPKTIHPFPKLWILDLANNEFIGPLPKGIIKNMKAMMNLSEQQSSLQYMQRRCYNYNVNLTVKGFYIEFPEISKTFTSIDLSNNNFHGEIPSVIGKLSSLRGLILSHNNLSGHIPTTMGNLPNLEWLDLSSNKLTGQIPYELKDITFLAFLNLPHNQLIGPIPQGKQFSTFENDSYEGNLALCGFPLSKACNNDGRKQSPSFVKEADDSEIKISFGWKVVLIGYGCGLIFGVIVRYVTFRNGEPKWFVTLYGVKYRRKGRRYSRN >EOY13132 pep chromosome:Theobroma_cacao_20110822:7:6110575:6113813:-1 gene:TCM_031658 transcript:EOY13132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPERPHRSTSINNSSSSSNTTSTTSELFICFTSRLSSSSMKLSSKSILSPGRTRESSQISLSSSLSRRLKSNGSMKGGQASPMFPTNGKKRGCAFENPEPSSPKVTCIGQVRVKTKKQGKKFKACRSKRRGEVSFRKVDHNNANNGSNSLDTSSCQDYNMGHFLSNNNHHHQQQQQQECKKWVHLPLTICEALRAFGAEFNCFLPCRSSCMANQRDKEERTGGSGGSNGNGNGSSCGAVFARWLVAVQEGEGKEREIELVVGGEDDERRESSEMMRSSQRRHVFEDIEINDCGNENVGDEEARVSICIPPKNALLLMRCRSDPVKMAALANKFWETPVPKDEEEEEEEEEEEEGAENKSEEKEEEEEEENQRDVVEGEREGRRVKFEQEMEHQEVSEVSQMFVSCEATEEQEIPEAEAEAVAETEAESVFVGDEAELVEETLERSLKEETIIECQDQEQENEVEEDQQASTTNEEFLSEVPLHLEKLQREENVQGSDQENEDGLEGEQQEEEVEAEEENVLGKVEEECEENENEGGEEVEDQAIAEEAEEEEESSTVEEKEAETTQERSELQCLEAREPDPGDESKESESQQNLLPDCLLLMMCEPKLSMEVSKETWVCSTDFIRWVPEKKKQPAVKQKDGGDEPKRRLCIDSKPAPMLLQPPRSSCSFPAAPPMAKAANGAGGGGSMATMIEQKLVGGSKGYEPFVLTRCKSEPMRSSAKLSPDACFWKNRKLEPATLGVGAAGVGF >EOY11878 pep chromosome:Theobroma_cacao_20110822:7:172876:177995:-1 gene:TCM_030534 transcript:EOY11878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation exchanger 2 isoform 1 MDYKLQMGVVSHLEMGSLDHSSMDDLDDECLYNPEMDARKAHSTDSIEQVSLSSSLPVGGRKTIRNGVYKSIKTVVFSNKLNLLMPFGPLAILVHKTTAHNGWVFFLSLLGITPLAERLGYATEQLAFFTGPTVGGLLNATFGNATELIISIYALKIGMIRVVQLSLLGSILSNMLLVLGCAFFCGGLVHHRKEQVFSKATAVVNSGLLLMAVMGLLFPAVLHSTHTERHDGKSELALSRFSSCIMLLAYAAYLVFQLKSQKDLYVPIDEVGSQNEEQSDDDDDEAPEISKWESIIWLAIMTAWISILSDYLVDTIQGASEAWDVPIAFISVILLPIIGNAAEHASAIMFAMKDKLDISLGVAIGSSTQISMFGIPFCVVIGWMMGCKMDLNFQLFETATLFITVIVVAFFLQEGTSNYFKGLMLILCYLIVAASFFVHEDPLSTDGELETTYTVGRRIL >EOY11877 pep chromosome:Theobroma_cacao_20110822:7:173016:178031:-1 gene:TCM_030534 transcript:EOY11877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation exchanger 2 isoform 1 MDYKLQMGVVSHLEMGSLDHSSMDDLDDECLYNPEMDARKAHSTDSIEQVSLSSSLPVGGRKTIRNGVYKSIKTVVFSNKLNLLMPFGPLAILVHKTTAHNGWVFFLSLLGITPLAERLGYATEQLAFFTGPTVGGLLNATFGNATELIISIYALKIGMIRVVQLSLLGSILSNMLLVLGCAFFCGGLVHHRKEQVFSKATAVVNSGLLLMAVMGLLFPAVLHSTHTERHDGKSELALSRFSSCIMLLAYAAYLVFQLKSQKDLYVPIDEEGSQNEEQSDDDDDEAPEISKWESIIWLAIMTAWISILSDYLVDTIQGASEAWDVPIAFISVILLPIIGNAAEHASAIMFAMKDKLDISLGVAIGSSTQISMFGIPFCVVIGWMMGCKMDLNFQLFETATLFITVIVVAFFLQEGTSNYFKGLMLILCYLIVAASFFVHEDPLSTGESKRPKT >EOY12266 pep chromosome:Theobroma_cacao_20110822:7:1520656:1523670:-1 gene:TCM_030825 transcript:EOY12266 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAse THREE-like protein 2 isoform 1 MTRCLDKVIGRQKSNVLSLKSLCAKTFEMLHLKAKFSLFHHCSSVVSTMNEHLVQPHDGVSAEASALKLMAATAVLAFLLHVFWRCCCSCFRHVKRCYYSYFSSCSSSSAATMDPSAIAGVEKILNYKFKNKRLLEEALTHSSFSNTVSFERLEFIGDASLGLAVATHFFRLGQLKLTPGQLTKLREKCVSNAKLARVAADHGLYWLLRKYNTASLDDNVREYERAVKDAADDHNITVKNPDILADVVEALAGAVYLDVNFDLDKLWTIFKDLLGIGAIILPKDGPDLSSEIKGAQNELHGLCGKRKWKKPVYRKVKGEGPSHERKYAYSVAIEIEDVVLGMVGDEKLTEKDARNSAAFLLIRFLQEAGNM >EOY12267 pep chromosome:Theobroma_cacao_20110822:7:1520511:1523351:-1 gene:TCM_030825 transcript:EOY12267 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAse THREE-like protein 2 isoform 1 MLHLKAKFSLFHHCSSVVSTMNEHLVQPHDGVSAEASALKLMAATAVLAFLLHVFWRCCCSCFRHVKRCYYSYFSSCSSSSAATMDPSAIAGVEKILNYKFKNKRLLEEALTHSSFSNTVSFERLEFIGDASLGLAVATHFFRLGQLKLTPGQLTKLREKCVSNAKLARVAADHGLYWLLRKYNTASLDDNVREYERAVKDAADDHNITVKNPDILADVVEALAGAVYLDVNFDLDKLWTKSER >EOY14330 pep chromosome:Theobroma_cacao_20110822:7:24082628:24086278:1 gene:TCM_033707 transcript:EOY14330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDQLCIIRFHYDNGFVGGGRNLKYINGSVEDFTSDLDKSINEGDEDEGIHSEYYDSDEFGGIVSDEDMFDDATKMRSRMTQFVLTDLYTEKIVRDHFIKVTNIQDQIRSKNDLWVNASMIRRAKKMNKGSTVKMVVHGTTPSSPPVFQRFYICFKALEKGMENGCKSFWGLDGCWLKSLTQEITKKTMMTIIKKKKECIKWRGGLGPNIWKIIENNGTVASKREVAFNGDVGVLRGNVEDIASSWYHKDVYMVAYASAFASTHASNIASTYAYASAHGFACVFDTIASGSVQHSAVANASISVQTPRQIGMCFANDDDGRLYGMIGAGRTSPLSKLIMLQTLLVKEQFLMKRKQKG >EOY13895 pep chromosome:Theobroma_cacao_20110822:7:12768442:12779735:-1 gene:TCM_032626 transcript:EOY13895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEQMEDNGNKVDIISSQARFLSNPSRKKGGDVVIQSSQIHLSSPVENQLPASAIPPAIQESPGPGLNDKAYLLKVPHHMRQVNESAYEPQLISIGPYYHGANKAHLKEMEVYKTRCLQRILERKGEQSKDRCVKAMNLERARKRYSPSLSNDIEDKFGRMIPLDWCFIVELLSGKVPGDDPFFKLKWVLNALYHDLLLFENQLPFFVLVGLYHVIKDPTYGKDFTCHAFSILSDFLPGPKKWNKTLLPSKILIISSTY >EOY12488 pep chromosome:Theobroma_cacao_20110822:7:2331385:2335986:-1 gene:TCM_030978 transcript:EOY12488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose 6-phosphate/phosphate translocator 1 isoform 1 MSFSIKQSALATCMDVSNSVVHKRSPSAICRSFFSPSLDLQKNPKLTSLSVSKPLHISSIEGFVKEKKPLIQCKAYEADRSQPIEAAGEVKSEAAKRVKIGIYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLACGSLMMLISWATRIAETPKTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRLLLGEAFPLSVYLSLVPIIGGCALAAVTELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSLMSLLILTPFAIAVEGPQMWAAGWEKALSQIGPQFIWWVAAQSIFYHLYNQVSYMSLDEISPLTFSVGNTMKRISVIVSSIIIFHTPVQPINALGAAIAILGTFLYSQAKA >EOY12489 pep chromosome:Theobroma_cacao_20110822:7:2330612:2335793:-1 gene:TCM_030978 transcript:EOY12489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose 6-phosphate/phosphate translocator 1 isoform 1 KEKPPRESLADRSQPIEAAGEVKSEAAKRVKIGIYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLACGSLMMLISWATRIAETPKTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRLLLGEAFPLSVYLSLVPIIGGCALAAVTELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSLMSLLILTPFAIAVEGPQMWAAGWEKALSQIGPQFIWWVAAQSIFYHLYNQVSYMSLDEISPLTFSVGNTMKRISVIVSSIIIFHTPVQPINALGAAIAILGTFLYSQAKA >EOY12836 pep chromosome:Theobroma_cacao_20110822:7:4370695:4371369:1 gene:TCM_031353 transcript:EOY12836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFQVSAAALAPTQCSKTENNRRSANFHPDVWGDYFLSCASNVKETEYRMEHQ >EOY12891 pep chromosome:Theobroma_cacao_20110822:7:4669361:4675684:-1 gene:TCM_031402 transcript:EOY12891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSTKTLILSRNNIKEWQFAILKSLSNLSCLKLDNNPLRQLREVPSEIMSLCQLQILDLSQNSLQSIPEGLNSLTSLIELDLSDKNISALPLKLVKTRKVCLNQSSKCRETED >EOY12558 pep chromosome:Theobroma_cacao_20110822:7:2761779:2764217:-1 gene:TCM_031075 transcript:EOY12558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyubiquitin 10 isoform 1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >EOY12557 pep chromosome:Theobroma_cacao_20110822:7:2761919:2764159:-1 gene:TCM_031075 transcript:EOY12557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyubiquitin 10 isoform 1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >EOY12697 pep chromosome:Theobroma_cacao_20110822:7:3435948:3438716:-1 gene:TCM_031212 transcript:EOY12697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQKAKLGCNKPRLKLVASIAKPLCCAFGAVYSQLLHLQSPQKVERETENNKENDSENQGLDEDLELAIFALATHSFSMDNKPGEGGFGPVYKGLEKTM >EOY13064 pep chromosome:Theobroma_cacao_20110822:7:5752787:5757592:-1 gene:TCM_031591 transcript:EOY13064 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxyacyl-CoA dehydrogenase family protein isoform 1 MAETKCLAVVGSGQMGSGIAQLGAMHGLHVWLLDTDPVALSRASKAISSSLQRFVSKGQLSQQVIGMHFMNPPPIMKLVEIVRGADTSDETFHATKALAERFGKTLICSQDYSGFIVNRILMPMINEAFFTLYTGVATKEDIDTGMKLGTNHPMGPLELADFIGLDVCLSIMKVLNTGLGDSKYAPCPLLVQYVDAGRIGRKCGIGVYDYRRLPASKKSSPRL >EOY13063 pep chromosome:Theobroma_cacao_20110822:7:5752781:5757680:-1 gene:TCM_031591 transcript:EOY13063 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxyacyl-CoA dehydrogenase family protein isoform 1 MAETKCLAVVGSGQMGSGIAQLGAMHGLHVWLLDTDPVALSRASKAISSSLQRFVSKGQLSQAASTDALRRLQFTSNLEELRSADFIIEAIIESEDVKKTLFLELDKIAKSSAILASNTSSISITRLASTTSRPRQVIGMHFMNPPPIMKLVEIVRGADTSDETFHATKALAERFGKTLICSQDYSGFIVNRILMPMINEAFFTLYTGVATKEDIDTGMKLGTNHPMGPLELADFIGLDVCLSIMKVLNTGLGDSKYAPCPLLVQYVDAGRIGRKCGIGVYDYRRLPASKKSSPRL >EOY13743 pep chromosome:Theobroma_cacao_20110822:7:10566944:10569254:-1 gene:TCM_032389 transcript:EOY13743 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441 MNIVQATGDNKDEDAPLPGFRFHPTDEELVGFYLRRKVDRKPIRMELIKTIDIYKYDPWDLPKPSIVGQSESYFFCKRGRKYRNSTRPNRVTGSGFWKATGIDKPVYSQGGQGHACIGLKKTLVYYRGTAGKGTKTDWMMHEFRLPSNDSNTNTSLSNPKSIAQEAEVWTICRIFKRNASQRKYTPDWREVAAKRPSTSTPSSQTCSVESNSHETTYISFGSEVVQHYDEKPVVNHINGRSQWHADQLSTIAQPSSMASSSSFSNCPENDFFTHANWDELKSVLDFAFDPFPM >EOY13489 pep chromosome:Theobroma_cacao_20110822:7:8801409:8804821:1 gene:TCM_032090 transcript:EOY13489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRAKLPLFGLLALALSLFPDACIARGKHKHCGSSFCGNINITYPFRLKSQPHRCGYNELELVCENSRTIFPMKHGNFYVQHISYSNKTIQLLDMSLDEDNCSIPHSSYPFYQTTTESSIMYLVNCPIQINNSWVYIDAFRCTKTPCSRPPYFYFLDENTAKSDFHESCTVEAQVPIMVANITGLSTFDIYTKLLEGFQLSWSSSDDDMSRLLSLLWLLLRPLTLYILSIMALFQPDYFYAPSKGIQILCLAITGFKNKLGQGGYGSVFKGKLRSGQFVAIKLLNKSKANGQDFINEVATIGRIHHVNVMKPTDRPSMSKVLKMLESEVELLEMPPKPAFS >EOY12493 pep chromosome:Theobroma_cacao_20110822:7:2352278:2352887:-1 gene:TCM_030982 transcript:EOY12493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein VQSRKFRFFLCYSVEFLMVRSCPIENCGRGETETDRISDLSDELLCRIISSLPLRETVRTSILSRRWKNLFTLISRLNIDDNDEPVKRYPLWIRGSCG >EOY12699 pep chromosome:Theobroma_cacao_20110822:7:3465582:3466660:-1 gene:TCM_031217 transcript:EOY12699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVNRDVAAIVMGLRQVPGHDIMLKMSLRRGWLLSQSRIDLAIVAGMVIIDCYLYKLWRNLQEKETMGEAEQNVVGNKENTEVHYQMDDKLLCIGFEGVLDKDCMCYEMM >EOY13632 pep chromosome:Theobroma_cacao_20110822:7:9663908:9667782:-1 gene:TCM_032256 transcript:EOY13632 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L18a, plant, putative MSGEEKNRAVVVDHQHHQYGTFQGVANYPPPPPAPQHQHQHHHHQPAIGFPQPVPPPGLHEPSAPPPQYYPQPQGYQTVPGYAVAEGRPVRERRLPCCGIGIGWFLFIIGFFLGAIPWYIGLFILVCARIDYREKPGYIACTIAAILATIAIVLGVTKGAHDW >EOY12037 pep chromosome:Theobroma_cacao_20110822:7:710508:712976:1 gene:TCM_047063 transcript:EOY12037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLEQGDVNFSSLKSYKITSVDTEGFVIPSLGIEESGKNKADASEVESSKPPSQTKIEENIYLGPHGAPPSQSRQQELNQSSRKQRFKQKLKEADRRISGTGRENKVENLRELVGGGKASPNMSKGSPRDWLDPHCNEAQVDKWYPQ >EOY13739 pep chromosome:Theobroma_cacao_20110822:7:10538659:10553297:1 gene:TCM_032386 transcript:EOY13739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdenum cofactor sulfurase (LOS5) (ABA3) isoform 1 MEDKEEFLKEFGDYYGYPNGPKTIDQIRATEFKRLEDTVYLDHAGATLYSESQMEAIFKDLTTSVYGNPHSQSDSSSATSDIVAEARQQVLDYCNASPKDYKCIFTSGATAALKLIGENFPWSCQSSFMYTMENHNSVLGIREYALSQGAAAFAVDIKEDVDQSGVPGSPVTSVKISQHPVQRRNEAEVLEGELTGDASNLFAFPSECNFSGLRFSLDLVNIVKQNAEKILEGSPYSKGGWMVLIDAAKGCATQPPDLLLYPADFVVISFYKLFGYPTGLGALIVRNDAAKLLKKTYFSGGTVAASIADIDFVRRREGVEEHFEDGTISFLSVASIRHGFKIFSTLTASAVCRHTMSLAMFLKKKLLALRHENGSSVCTLYGNRSLKVSSHDSGSIVSFNLKRPDGSWFGYREVEKLSSLSGIQLRTGCFCNPGACAKYLGLSHSDLLSNLKAGHICWDDNDIINGKPTGAVRVSFGYMSTYEDAKKFIDFIKRSFVSMPSEFEKGYLLRTKSIPYPSEGLENWLSSSGCYLKSITIYPIKSCAGFSVESWPLSSTGLQYDREWLLKSLTGEILTQKKAPEMSLINTFINLNQLMLSVESPRCKGKLQIKLDSNSYLHGKEELYMHNQRYEVQCYGNEINEWFSNAVGQPCTLVRCCHSQYCFSLSKSRSMGMCRNVDSRVNFSNEAQFLLISEESVSDLNNRLCSNTQKRSGVAAPYVNPMRFRPNLVISGGEPYAEDGWRNLKIGNAYFTSLGGCNRCQMINFYHQMGQVKKTNEPLATLASYRRVKGKILFGILLRYDSGDKAVLDTNSWLNVGDEVYLNSE >EOY13740 pep chromosome:Theobroma_cacao_20110822:7:10538790:10552694:1 gene:TCM_032386 transcript:EOY13740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdenum cofactor sulfurase (LOS5) (ABA3) isoform 1 MVLIDAAKGCATQPPDLLLYPADFVVISFYKLFGYPTGLGALIVRNDAAKLLKKTYFSGGTVAASIADIDFVRRREGVEEHFEDGTISFLSVASIRHGFKIFSTLTASAVCRHTMSLAMFLKKKLLALRHENGSSVCTLYGNRSLKVSSHDSGSIVSFNLKRPDGSWFGYREVEKLSSLSGIQLRTGCFCNPGACAKYLGLSHSDLLSNLKAGHICWDDNDIINGKPTGAVRVSFGYMSTYEDAKKFIDFIKRSFVSMPSEFEKGYLLRTKSIPYPSEGLENWLSSSGCYLKSITIYPIKSCAGFSVESWPLSSTGLQYDREWLLKSLTGEILTQKKAPEMSLINTFINLNQLMLSVESPRCKGKLQIKLDSNSYLHGKEELYMHNQRYEVQCYGNEINEWFSNAVGQPCTLVRCCHSQYCFSLSKSRSMGMCRNVDSRVNFSNEAQFLLISEESVSDLNNRLCSNTQKRSGVAAPYVNPMRFRPNLVISGGEPYAEDGWRNLKIGNAYFTSLGGCNRCQMINFYHQMGQVKKTNEPLATLASYRRVKGKILFGILLRYDSGDKAVLDTNSWLNVGDEVYLNSE >EOY11964 pep chromosome:Theobroma_cacao_20110822:7:466062:468347:1 gene:TCM_030597 transcript:EOY11964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MQVSLSSSLHSFSSPAQTLLSHFNSPLELKQAHAHLIKTNAALSLLPVSRIASVCALSPDFSYAHQLVAQFPDPQIAIWNTCLRTLAESDSPSDAILLFRRLREFDVLPDSFTCAFVLKACTALLDDRNGEIVHGLVEKLGLKWNLVLQNMILNFYGLCGEMATARLLFDNMPQRDVVSWNVMITYLVKSGDFEGAYGFFSRMPERNVRSWTMMISGCVHCGKPKEGVELFIEMQKIGVEANEVTVVAVLAACADLGALDLGKRVHEYSKRSGFGKNVRVLNTLIDMYVKCGCLEEARRVFNEMEERTVVSWSAMIQGLAMHGQAQEAVRVFSMMIEMGVMPNGVTFIGLLHACSHMGLVDEGRRFFSGMIRDYGIIPEIEHYGCMVDLFSRAGLLQEAHEFIMNMPIKPNGVVWGALLGGCKVHKNIKLAEEATRHLAQLDPLNDGYYVVLSNIYAEAERWEDVARVRKRMKNRGVKKTPGCSSIVVDGVIHEFVAGDDTHSQADEIFGTWEKLLSHMKLRGYVPDTSVVLLDIEEKEKEKFLYRHSEKLALCFGLINTRPGVVIRIMKNLRVCEDCHATFKLISAIVNREIVVRDRSRFHCFKDGACSCQDYW >EOY13593 pep chromosome:Theobroma_cacao_20110822:7:9412216:9454704:1 gene:TCM_032192 transcript:EOY13593 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MFNKKMVSKIKEISARINDLATKRTQLELRGINEGSRSDRMIQRLQPTSLVDKTQVYGRQEEKAALLELLLSNDGSDNKASVIPIIGMGGIGKTTLAQLLYNDTCIQNSFDDKAWVCVSDDFNAIKIAKTILQSIAPDCCTNVNDLNLLQVKLKEKLAGKKFLLVLDDIWNESYLELTNLLSPFGVGTKIIVTTRSHDVSSIMGTVEAYPLQQLSEEDCLSVFSQHALRANDFSGHPELKEVGEIIVKKCNGLPLAAKAIGGLLRTRLDYEAWKGISESEIWGIPEEKCSIIPALRLSYHHLPSHLKRCFAYCSILHKDYEFGEEEIILLWKAEGFLQPASPGIQLEVLGSQYFRDLVSRSFFQTSTRNKSRFVMHDLVNDLAQSVAGDICSKLEDDKQLRFLEGTRHSSYVRGWFDGMKKFEAFNQTKHLRTFLQLSGSSWAAKGNCYLSNNVLFDLLPKLRCLRVLSLKGYRIIELPNFFQNLIHLRYLDFSHTTIESLPDSIFTLYNLETLLLYGCRSLQNLPSNLPILVNLRVLDITYTPSMKGIPFGIGNLTNLRKLSDFVLGKGDGHHIQEMKNLLNLKGKLCISGLENIVNAQDAWEAKLIYKSGLGTLELKWSREFDINRNKEVEEEVLNLLEPHKKLEELFIQDYGGIKFPIWMNSSLQNLSSLVLKGCKNCVSLPSIGKLPLLKNLSIAGLDELKKVGIEFYGENHSNAFALLQSLSFENMPRWKEWDLVDEQVEKFPSLIELSIKNCPQLLGRLPNHLRSLEKLEIRDCAQMVVSLSDLPKLSELVIHACAELVLRDDADFLSIKEVNLSSVVKFSTATERLVSTSTTLEHCKIDSCEGLTYLSLKKLGLLGSVRKLEIYKCPQLVLLEPDELEEAEEELNSLASSSFPSKTALNCWEGCLTIFVPWRSLKFVTVHKWWFHFQTFESCLN >EOY12172 pep chromosome:Theobroma_cacao_20110822:7:1191037:1194528:-1 gene:TCM_030750 transcript:EOY12172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-(1,2)-xylosyltransferase, putative MNKKHEILLWILLALFALNSISLYLYFSSSNHRHGAENHRDTTAEGFTGMIPHHGPHSSKPWPIIPSYLPWSLTSSVVPKSCEAYFGNGFKRVADVLPAKAAVRSGSSWFRCHYSETLRSSVCEGGKIRMDPEKIRMSRGGEKLEDVIGRSEGEEMPEFEDGAFEVEGEGAKLKKKKLVGKEFLNDFFPVGDVLRHTMRELVRSVVVVGENDFTCQEWVEEPTLLVTRFEYANLFHTVTDWYSAYVSSRVTGLPNRPHLVFVDGHCETQLEETWEALFSSLRYAKNFGGPVCFRHAIFAPLGYETPLFKGLTEGINCHGASAHDLWQRPDDRKTARLSEFGEMIRAAFGFPVNRHHADKAVSGHNVLFVRREDYLAHPRHKGKVESRLSNEQEVFDALQRWASNHLECKVNLINGLFAHMSMKDQVRAIQDASVIIGAHGAGLTHIVSATPNTVILEIISSYFRRPHFQLIAQWKGLEYHAINLDGSYANPEVVIDRLKKIMRSLGC >EOY13440 pep chromosome:Theobroma_cacao_20110822:7:8334644:8340173:-1 gene:TCM_032013 transcript:EOY13440 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerases, putative MAGKSVSFVEGKSPKADFKTLPKIRTMSQIETLGILEEIQALVSDKLQVVSYKWLSRNFLVSSNVAKRLLAEFVEKHGSGLEVVYSLSGWLKNTPSNYHIQLVSGPKLAEAKQEYDGNCRVHVYSVQACIPKDPAALWNTEFIQAEELFKQPTTADNCLRDNRFCGILTSFVKRNVNGTSVRVAAVQPKSVGISGPPKHNSAQNNAALPSQQNKVQQSSLKVAQQPPSVVKDVKSNSTDIGVHDPASKPSADKEQISSLSSNKKKDQNDKSSTASGGSLANLWGRASTKPKPSCVPADDSDSIQNRNVSADAQICAREAVEDENSDDGAQDVNFRRASNGEGNRKRRVVFDFSDEDEYEDAVNLASPDPPKGKSSFDSEQNSKTLVPKIPNLIVDEPKKDEIKVKEEKTTNREPKSSSKSTIGGHSSLVKVENQLPETDAKDKVIDAAPNSPKRRKVMKTRIDDRGREVTEVVWEGEETEVKVESDMPRKVGSGTPNADNNTVTNTNNRPPAAKKSPALGNTAPSNPGGKAGNKKAGNAKDPKQGNILSFFKRV >EOY11841 pep chromosome:Theobroma_cacao_20110822:7:92587:93687:1 gene:TCM_030512 transcript:EOY11841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPQARTSTMLNPTGSLDLSRLTYSLALVSPASKWVKPFCSGTCFATFSLWKLKNTNLPKKRERPVLRLITSGALSSALTARLADEFLAVRATLGSEVLPLLRGLRPWNEMLEGVRWFFWMEEKVGVNRAEEAAAMVPAQYVLRLRNSQRRRIDLGGDDFREVV >EOY13104 pep chromosome:Theobroma_cacao_20110822:7:5933951:5935068:1 gene:TCM_031628 transcript:EOY13104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein family MRGPNIVVSTLCNKCLKLGLRAFNGKKMVCSAECFPKLDSLTLLGLFYLEEWKVDEGAMPTLRHLEIENCRKLKMLPDGLRFITTLRYLKIERMPMAFQDKLVEGGEDFYKVQHVPSIILPTVYLSYDP >EOY14319 pep chromosome:Theobroma_cacao_20110822:7:24018177:24022481:-1 gene:TCM_033700 transcript:EOY14319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISTLESRVAKMEVAARDTRDRLEEFEANMEELRSKDDELCGELQLTFNESMAMLNHRAKGEPKAAGLGGLKNPHVTGGGYLSEKMTTSKSSLLDPVLEGRETCVDLRGKSASRDFISILDVRLFRVKVIVGKMRDCLDVQDEHLDELNAWDEELKREVQEMVRETLEIMIERNA >EOY13848 pep chromosome:Theobroma_cacao_20110822:7:11527882:11573236:-1 gene:TCM_032516 transcript:EOY13848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 53, putative MRHSPIFYQLLCFLLFLSYQATLSSSSSSSSATQLCSHDQSAALIQFKALFSINKTASKDCEINDIRSYPKTNSWKEGIDCCLWDGVSCDNITGQVISLDLSCSWLSGTLPSNSSLFLLSHLQRLDLSFNDFKKSKISSKVGLFASLRHLDLSHSWFSGRVPYEISYLSKLVSLDLSSFGLLSAAVEPVLKLEQSTLSGIVRNLTEVRDIFLEGIDMSLVDPNSFMNLSYSLTSLSLTSCDLRGTFPENILNLPNIKYLTLDSNPSLTGQLPKSNWGSPLEFLDASLTSFSGELSESIGNLKSLQGLSLIGCNFSGSIPRSLGNLSNLTFLFLPYNNFSGTIPSSLTSLTQLGLLQICNNRLEGSIPDNPNAFPNLSFLDLSDNLLSGTTPSWLYTHPSLNFLNLGNNQFSGHINEFQQSFLDYINFKNNTFQGTIPSSISKLVNLRFLDLSSNSLNGTISLDMFSKLQNLTWLELSSNALSLISSNSSVNFVLPNLEYVNLSSCNINEFPNFLKGSKVLKSLDLSNNRIYGQIYKFPWKDIEFLDLHSNLIEGDLPILPHNIRFFSVSSNHLAGEISGVCSMKFPEILDLSHNNLSGIIPQCIGSFGKSLSLLNLKKNKFHGNNFEGQIPRVTGEFSSLRALDLSHNNLVGHIPPSLGNLTQLESLDLSSNKLGGQIPRELVNLTLLSFFNVSNNQLVGPIPQGKQFSTFGNGSYEGNKGLCGPPLSIRCSSAEPRQPPPSI >EOY11845 pep chromosome:Theobroma_cacao_20110822:7:106017:108000:-1 gene:TCM_047062 transcript:EOY11845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lorelei-like-gpi-anchored protein 1 MMGREKCVSLFAVFFLMLGVSASTSISDGVFNSFTTTGRNLLQAKKACPVNFEFLNYTIITSRCKGPQYPPDQCCSAFKDFACPYAEQINDLTTDCASTMFSYINLYGKYPPGLFASECREGKEGLACPALAPSSSENASGSQLISNPSLLPMATAGILVFLFMLL >EOY13200 pep chromosome:Theobroma_cacao_20110822:7:6492808:6494286:1 gene:TCM_031722 transcript:EOY13200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTHSQANYCWLLIHNYYKYHYHCFRLMRKDLKKVLIHNYYKYHYHCFRFMRIDLKKGRLDSRISRKSCLFQHTQLDKPNPFLVPKYRPTTPYLRSYILLSLSILVQNSPWFDKR >EOY13837 pep chromosome:Theobroma_cacao_20110822:7:11268591:11274118:1 gene:TCM_032491 transcript:EOY13837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSGPNRECESKDSSGEKSRVYSDRNPVYITSDNGNRDELNQLNTQHPISCNVSLNPKEIDDAQYTSSKFIEGKGRCYIINQKYIIPYQGLRTHPVDSKSIPTSFIPREDIKEAKKLYDCLSSCNDTIVVQCYDIICYEDKEWLVVVEPVETLKSFFRRIMEDWIHTSRTGIPATDKARWWNHIKDLFTNVFRNVISACSTVICEEKFMNQGPIYFNNLEKCLMISVADKCVKLLPDWDAKKGRGINIDKLQQLMSSIIGLPFELNDVAADGDFKLPNELVSFLHNLSNDNLKYVGLKFLLDAPYFWCGNKRYRFIENLYDLMKRDMIQRKLLNDKLKCRHDEARWVKNIAQYAVLWGIYKYAGSSGVAPAKYELDIDVVRFSSNVYRHYNDEQYKKLRTKALERVKIEDELRAAIPNLYVNLSEALLLYAKSNRGKREAIFKKALSSEYL >EOY12095 pep chromosome:Theobroma_cacao_20110822:7:927017:928086:1 gene:TCM_030696 transcript:EOY12095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MSYMGTGGRAPGDFYGYSTRIAIKGQEMELEKIFSGFTSIDLSNNEFQGEIPKVIGKLDSLKELNLSHNNLSSCIPTTMGNLTALESLDLSSNKLVGKIPEQLTSLGSLEVLNLSQNQLVGPIPKGKQFNTFGNDSYAGNLGLCGFPLSKSCDNTEAPIFPEEADSEFGFEWKAALMGYGSGLVFGISAAYIMFTLGKPRRLVRMVEEVGYKLKRYLKGRKNL >EOY13183 pep chromosome:Theobroma_cacao_20110822:7:6443728:6444376:1 gene:TCM_031710 transcript:EOY13183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGFKVLFCLFLHALFFISSSGTRLFHPFSITGQALKEEANSQNKVSTDKLEIIDRHFESKQFSGLGKWTLAVEVKEHPETSSDRQEVSDRNHAPKQNLSSSKRAMIEEAREAIKASVQRNGGNPFESKRLSPGGPDPHHH >EOY13363 pep chromosome:Theobroma_cacao_20110822:7:7739171:7749404:-1 gene:TCM_031909 transcript:EOY13363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSAEQEAASQSQYRRGVSTWNFDIEDLKAQASLLHDDNILKRKNDDRSMKSSLGDEAVAYCISSSCIVVSKLIYV >EOY12770 pep chromosome:Theobroma_cacao_20110822:7:4100088:4102511:1 gene:TCM_031298 transcript:EOY12770 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-nonaprenyl-3-methyl-6-methoxy-1,4-benzoquinol hydroxylase MSSASKAWVVAASIGVVEALKDQGLWNAKIKRRRDMSSASKAWVVAASIGVVEALKDQGVCRWNYALRSVQHHARANIRQYSQAKKLSTPTSSALSKKLRDDKLKQSEESLRKVMYLSCWGPN >EOY14089 pep chromosome:Theobroma_cacao_20110822:7:20480961:20481551:1 gene:TCM_033317 transcript:EOY14089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSNPQALSSIAKQPMASPNSNSTTSSLIVSSNRTLLYSNQQIPLITINATTRLPIKFISLNFPSRRNQFKSLLIGYKLLRYVDGTFLCLPTMVTQERINPPTMVSNPTFDHWTRQDQLLSMP >EOY11925 pep chromosome:Theobroma_cacao_20110822:7:377930:381189:1 gene:TCM_030575 transcript:EOY11925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory protein RecX family protein, putative MSTFAGNLGFKISSSLRFRVFSIPWVNGKKAIYCLKEREYSSSVPVRYIPKKSLETKVPESSPHSKGLRKNESHKSCDWNAFGSKSTNNRSSIVDEKSQTRSRMFEESVLHDDVKQDHEIMAKSLEAVEEMHQGQDINGMNVLQVSKRMPDAEKLAIELLAARAFTAGELRKKLLGKRFHPDIVDAVINDFQKRGLINDGLYAEAFSRSRWSSSTWGPRRIKQALFKKGISEADAEMALKLVFEDKVGDSNDDQESTLGLSKLPMDHLLIQASKQWLRGRDVPKEKRKSRIVRWLQYRGFNWGVIGSIVKKLESQYPP >EOY12067 pep chromosome:Theobroma_cacao_20110822:7:759611:762297:1 gene:TCM_030668 transcript:EOY12067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding, putative isoform 1 MNLGDLHKVWEIKALKRKPGEEEAKKILEKIAKQVQPIMRKHKWRVKLLSEFCPNNPALLGLNVGGGVHVKLRLRRPNRDWDFYPFDQVLDTMLHELCHNAHGPHNASFYKLWDELRKECEELLAKGITGTGEGFDLPGRRLGGFSRQAPLSSLRQTALTAAENRARLGSLLPSGPKRLGGDSTIMDALSPIQAAAMAAERRLQDDIWCGSHCSEVAGDEENSADTRQDHLDMEQRAESLRVKDVSSGHALGGNSDAPVLGSFINCAARSPKSPKRGITAMWECESCTLLNPPLAPICELCCTEKPRDIGTKYKFWSCKFCTLENSVKLDKCSACDQWRYSHGPPVSTRAPNVGT >EOY12066 pep chromosome:Theobroma_cacao_20110822:7:759521:762298:1 gene:TCM_030668 transcript:EOY12066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding, putative isoform 1 MNLGDLHKVWEIKALKRKPGEEEAKKILEKIAKQVQPIMRKHKWRVKLLSEFCPNNPALLGLNVGGGVHVKLRLRRPNRDWDFYPFDQVLDTMLHELCHNAHGPHNASFYKLWDELRKECEELLAKGITGTGEGFDLPGRRLGGFSRQAPLSSLRQTALTAAENRARLGSLLPSGPKRLGGDSTIMDALSPIQAAAMAAERRLQDDIWCGSHCSEVAGDEENSADTRQDHLDMEQRAESLRVKDVSSGHALGGNSGKRSLEPDKSFQSMNDHLKSSFVDLTTDAPVLGSFINCAARSPKSPKRGCKSNNLIPTHSSSSASSSVPKLNDDFSESQGITAMWECESCTLLNPPLAPICELCCTEKPRDIGTKYKFWSCKFCTLENSVKLDKCSACDQWRYSHGPPVSTRAPNVGT >EOY12642 pep chromosome:Theobroma_cacao_20110822:7:3115996:3119629:1 gene:TCM_031137 transcript:EOY12642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-cadinene synthase isozyme A MASQASQVLASTHNVISSNMENRPKADFHPGIWGDVFLTCPDKDIDSTAELQYEESKEEVRRMLVAPMANSNQKWSLIDAVQRLGVKYHFEKEIEDALEQIYPDNSDANDLYTAALRFRILREHGFDVSCDIFNKFKDDKGNFKSSLTSDVHGLLELYEASYLRVHGEDILDEAISFTTTHLTLAAATLDYPLSEQVAHALKQSIRRGLPRVEARQYISLYQDDESHNKALLQFAKIDFNLLQLLHRKELSEICRWWKDLDFTRKLPFARDRVVEGYFWIMGVYFEPQYSLGRRMLTKVIAMASIVDDTYDSYGTYDELILYTEAIERWDIKCIDQLPDYMKISYKALLDVYEEMEQLLEEGKQYRVEYAKKAMIRLAQAYLLEAKWMHANCKPTFEEYKANALPTSGYAMLAITAFVGMGDVVTQETFNWAAKDPNIIRASTIICRFMDDIAEHKFNQRREDDCSAIECYVEQYGVSAQEAYDEFNKHIESSWKDVNKEFLKPTEMPVPVLNRSLNLARVMDVLYREGDGYTHVGKAAKDGITSLLIDPVPL >EOY11892 pep chromosome:Theobroma_cacao_20110822:7:272169:272678:-1 gene:TCM_030548 transcript:EOY11892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGEGFFQFCGSLARARASRSPLSFCLSQIKCPQSRYSIIISSASLAIAQRIELFSNLAICRGSLACGGSLLEGFHLKSKRGSNLFRSIIGQ >EOY12901 pep chromosome:Theobroma_cacao_20110822:7:4724779:4728316:1 gene:TCM_031407 transcript:EOY12901 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED finger-nbs-lrr resistance-like protein MVYMELVGAILELMKCIGAPTCTYLDNHKNLEENVNDLRRRLDDLNIWKQDIQSANEAEIRCRKVVKKEVEKWLEDVQRMNTKMQKIERKLSVVSYISRARLGKLVCQMIKEVKEIYHQGWSSLHSMLEFLNQHYMEGANSYDRLKDPKIQDCFLYCSLYPKDYAIEKNELIENLIDERLIDECGSRQAMKDRAHCVLNKLVNNCLLEMAMAYNRIVVQMCDVLRDMALLIKSGDHRFMVKAGMQLNELPGEHEWTSNLEKHMHGLEVLDLSYTSIRSLPSSISNLENLAALILRNCDKLRDVPSLAKLRVLRKLDLYNTAINELPHEEVAKLRKLETFSGSFLELLDFEKYGKSMQGQGPRNYVFVVGSSKANNPTYTLWHEDPIVLPNDLGDLYIEKCHDLKSLSNISLFHEANDLKKCEIRECEGMECMLDLSLSYYNSHQNIEEVDLEGLCNLQELVRIGVAVDSTSQAPTPATIFSSLKVLRLIGCSSMKKVLSLEQLQDLVNLEEIVVISCKEIEFIMASKEDEENHQGETRGVDITTADSLQSLEESVEWDDPNAKDVLSTFGLLRPSFEFPSKEDED >EOY14215 pep chromosome:Theobroma_cacao_20110822:7:22224771:22226841:-1 gene:TCM_033501 transcript:EOY14215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPLSFFFSFSFFFLSLFSPSSPLLSSFSFLFFFFFSFSFFFFLFGRPFLVHAATVQVSNPEVEPKRDFKCWNIWKSPHQYIQPLNENVHYPFGSNCLCIVPHICAF >EOY13378 pep chromosome:Theobroma_cacao_20110822:7:7904631:7906781:-1 gene:TCM_031938 transcript:EOY13378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MQGTRSYSANPSDYQLLEEIGHGATATVYKAIYLPSKDVVAVKCLDLDRCSGTNLDDVRREAQTLRLIDHPNVLRAYASFVVDRNLWVVMPFMSEGSCLHRMKTAYPDGFEEAAIASILKETLKALDYLHRQGHIHRDVKAGNILLDNNGTVKLADFGVSACMFDSGDRQRSRNTFVGTPCWMAPEVMQPGTGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPRLDQDCDKKFSKSFKDMVAMCLVKDQTKRPTAEKLLKHSFFKHAKPPELFVKKLFAPFPRLSNPVKPVQLKDAAQLALKKMPSAEQAATSQSQYQRGISAWNFDIEDLKAQASLVHDDDDIHECEDDDRSMKSNLGDKTAAYCSSSSPIVLSKMMYVRAGA >EOY12976 pep chromosome:Theobroma_cacao_20110822:7:5113273:5116922:1 gene:TCM_031484 transcript:EOY12976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase 44 MLFLFSPPPIGDGTQGSYHPPFARSDAAALGSLFLPLRLQPLLSLFLRQALISLAPTADPWCQSVAGFHAGDAGQGTRSSLYLSVMTEPCSPLKTRLIPSFLVGNIPPKIETLPWGFIPSCLALFWHLQGALLHYLARREFFASVSTPGCGSVGDGFLSVGPFITTANAHVTNLLFIDSPIGAGWSYSNTSRDYGVGDDSANKDLLTFILQWFEKYPNFKSRDLYLGGSSYAAKARCFDVLRDPCDEKWEDLVLGNEVTKVSFEVDMCIPFRADFYFNIPKVQKAFHGNRTNLGYQWKGYFEKSGLKYSDADKDIDMLLALKKILQQSIPITIFSGDQDAIVPTVGTLNHVNKLAKDMNLNLTKDEAWNHEIKDGGWMYSYDNLLNFITVKGVNHHVTFSKPSEALFIFTNIVLNQSQ >EOY14222 pep chromosome:Theobroma_cacao_20110822:7:22297173:22300606:-1 gene:TCM_033513 transcript:EOY14222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 20-like protein MPFRFPCLVVKQGGGIVSAFPRKASSLSTDRLLFLCQPPFLRVHLLSQPTVLPLSSSPLSAGRLPLSQRWVFPFLSTAFTRGSAPLSQPLSPVFPSLSAVHSRVAVKLKTVASSFEVVRSMVVAEAEEKTARGLLLTEASKEKPSIGSVIAVGPGTLDEEGNKKPLSVAPRHTILYSKYAGNDFKGSDGTNYIALRASDVMAVLS >EOY13856 pep chromosome:Theobroma_cacao_20110822:7:11646195:11647494:-1 gene:TCM_032529 transcript:EOY13856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 6 MRYSPIFYQSLCFLLFLSYQATLSSSSSSSATQLCSHDQSAALIQFKALFSINKTASKDCEIRSYPKTNSWKESIDCCLWDGVSCDNITGQVISLDLSCSWLSGALPSNSSLFLLSHLQRLDLSFNDFKKSKISSKFGLFASLTHLDLSRSWFSGRVPYEISYLSKLVSLDLSSASYIDLISQADESVLKLEQSTLNGIVRNLTERTVSIFPKSSTSHWIAILVSLVSFQNLIGVVLLSF >EOY13120 pep chromosome:Theobroma_cacao_20110822:7:6044718:6054315:1 gene:TCM_031647 transcript:EOY13120 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative MQLSPASVSSSKRSDFGQKSGSRLRKKHKRLDAICEEEYNRNHGEGNEGNDGDGSGSVDLELRRSSRVRRAPVILDVSPPPPKKRRKIGKSGRFGRGRKRLGRVKEEEEEEEEEDGVETGEVQTLGSWRSRLRTRGRNVNVNTKVEERVLPNRRRKLFEDIVGNEEEEEEVEEEEEEEEDESDGGEMMLVKSKRPGRVNPANGSDSEEVVEICGIREETEVEKEEIKEDEVEEDVPVLESEKSHGNDREDMVVEPPTVLESEMSHENERDTMDGYVVELVKEDDRELSNCIQSEGGCIGHEKVEINETIETVELSEEQVQHLECQNEEANEEDVVEVDNVAEEVEDGGDHDAKDDGLVKVDEKPSEHKNDIAVEQSNKAAAEAIGKPRIKQGRRCGLCGGGTDGKPPKKLVQDVGDSENEAYSSSASEEPNYDVWDGFGDEPGWLGRLLGPINDRYGIAGIWVHQHCAVWSPEVYFAGLGCLKNVRAALCRGRALKCSRCGRPGATIGCRVDRCPKTYHLPCARANGCIFDHRKFLIACTDHRHLFQPPGIQYLARIKKLKAKKMKLEMRKVSNDAWRKDIEAEEKWLEHCGEDEEFLKREGKRLHRDLLRIAPVYIGGLESESGKSFEGWGSVAGLQDVIRCMKEVVILPLLYPEFFDNLGLTPPRGVLLHGYPGTGKTLVVRALIGSCARGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPRRTRQQDQTHSSVVSTLLALLDGLKSRGSVVVIGATNRPDAVDPALRRPGRFDREIYFPLPSLEDRAAILELHTKKWPKPVAGSLLKWVARKTIGFAGADLQALCTQAAVVALKRNFPLQEILSAAEEKTPSAKRVPLPTVTVEERDWLEALSCSPPPCSRREAGMAAHDLVASPLPTHLIPCLLEPLSTLLVSLHLDERLWLPPLLSKGGAVIESVIVSTLDDKRLPKDHWWSHVHDLLQEAEVTKEIERRLSRAGMLIGETSFADYDAVIGDIGDDGVKFEPSKVRNSSTCSNLSRNTYFTSTKKTGFRILIAGSPRSGQKHLASCLLHCLVGNAEIQKVDLATIAQEGQGDLIQGVTQILMKCASMGSCVVFMPRIDLWAVETVNQVAEESDLSSTFHQSPMEEDPLPVEKESGFSLWQSELAETAEAIAAVQIISHAWSSFVEQVESICVSTSLIILATSEVPHLELPDRIRQFFKSDLPNCSQKTTLEHTVPRFSVHVGRNFDHDMVIKLSAAELSRDILQPFVHLIHQRSHVHEDFRTKNSAETYAAAENDHISHGLACEVRVGSQSCGDLSVTVPAAPTNSRNLKGKASLMLAISSFGYQILRYPHFAELCWVTSKLKEGPSADIGGPWKGWPFNSCIIRPADSLEKPAVACGSSNIKTKEKFGLVRGLIAVGLSAYRGLYTSLREVSSEVREVLELLVGWINAKVNTGKDRYLYVRILSQVAYLEDMVNSWAYSLQSLDQDAQIKAASPKPYTLGSPDNHFTCVNNPDRVQEFRPDVSNRSCPESEGLGANTKEFAMQNTDFIDLNKEDDDCAANHEGKVALFAEGAQGTGLTGNTTSEEHLNSSVANESLVHLDKQNGTNSGLCGSESTKNPMVEGQFDVQNKDSIDLNETAGDCAPSHEGKIAADQEAVELVRLDGNTTSMEHHCSVANQPVVYVAKQNGTNPGLCWSESTGNPIAEGDPGSSKQSNGFAPSESVLSENGFCSSDEVDGTKFHVTGNACNQINASETKIIITSADGKPKDCEHREDPYFSSSKTALPTESGVTCMYQCCSDCLHTLLSLMQKVLLQQLKSDGSQWTVDDVHDTVASMSVDLLSAVRKVYAAGYSSNKFDENLRIENDGKLSKCQEWSKCRCKSSENSLVIPTECSCHSLGTTFPNIEFMFDPKFVYRDGVMVPIDSNKEVSFHCKFKTLCLCSLIESILMTKQPFD >EOY13696 pep chromosome:Theobroma_cacao_20110822:7:10177401:10182858:-1 gene:TCM_032334 transcript:EOY13696 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 9 MAAVADKSGEAAAAAAAANNNNNLVVEKAKSESKEFNVQKLVDMFTKLNPLAKEFFPSSYHHNQTNNNNTNNNFNQVPINKQSVGNENFSNRRRRNNYNQGRRRLNGRAFRAQREDSIRRTVYVSDIDQTITEERLAGLFSNCGQVVDCRVCGDPHSVLRFAFVEFADEEGARAALNLGGTMLGFYPVKVLPSKTAILPVNPTFLPRSEDEREMCTRTVYCTNIDKKVSQAEVKNFFESACGEVTRLRLLGDHVHSTRIAFVEFAMAESAIIALNCSGMVLGTQPIRVSPSKTPVRPRVTRPTLH >EOY12977 pep chromosome:Theobroma_cacao_20110822:7:5117682:5118130:-1 gene:TCM_031485 transcript:EOY12977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASGVVLARDVDPIKANNCETKMTLHCVNEVFASIFKTGIVTGNCCIELIGLGKFCHDALIKKTLENPLFKNNDTSVILSRGAEVWNKCTLVSKDVSPSPSPY >EOY13397 pep chromosome:Theobroma_cacao_20110822:7:7975678:7982341:-1 gene:TCM_031959 transcript:EOY13397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLSMDEGDEEDVQWSGNRIANHSISDENAGQSKFSHDKKISSSSSRNDRINEGEVNENKAEDRKKKSILLGDIQSKCNDSMEKSDSSGKRVQDQSLKSLLRESTKLKRKADSNKKKAVERGKKLKVAAITSLEPALQKVFLMETKKSIQEMETIRIRLGMDGCLAVDANDRSGGLAMVWRKTADVTVTSYSRYHIDTEIMLRGSKWRFIGFYGHPKANERHQSRSLLRQLESRSQLSWLCIGDLNEILFANEKEGGVDRREIQMQAFRETCSDCALQDMGFIGPKYTWWNNREPEVFIRCRLDRALCTKRWREIFPRASVFNEFIGSSDHLAQRLDLFHIRKVRWRRRFHFEEAWLLDEECTKVIGDSLSSRVKIWKKKEEIQEMHLTGINLQNLEQSQAELNDLNREEEVMWRQHSQIKWLRDGDKNTKFFHQRAKERRRKNTIWALKKEDDAWRESQEELLQEASNFFSHLFTSSNPTMEDAELLSTIKPKVTSEMNGQLNEEITKEESAFVQGWQLADNVIVAQEIIHSLHNKRLGKVGNFALKPDMSKVYDRVEWNCVKGIMRKMGFDGKFLDMIWRCISTVPYSVLINGVPGQSFVPSRGVRQRDPLSPFLFVIMSEALSCLINLSQETNIISGLKICRRGSNITHLLFADDSMIFGKVKRQEIQVLKGIFEKYEAASGQKINKDKSSLLFSRNTPTEERVMASQILGINEAQWGGMYLGGMGFRDTKSFNLAMLAKQGWKLQLQVPTLAYKVLKERYFPTTDFLNAPIGSNPSYLWRSIRESQQLIRKGAIWRVGDGQSISVLNDVWIPYESPRLLSFPNQLIDEEMKVSELIDQRTMTWNDVKITEIFPPYERELILSIPLSYKRPNDKQVAGSSSCNMMAFWKRIWHLELPRKVILFLWKTLNGILPTRQALIYRSIIFESNCPSCDNELETDFHCLCCCPLARAVWHFSKWGFTNIEVLFSSVQDWIFYIFQMMENEEISKIGCILWALWKVRNLKIFQGKSYEPLQVIELAGNLLEQYRLVKGVRSRRRILQINRTCEWRALVESKLNVDASIFELSSVRRMGAGFIVRNAIGEVELAGVRRMVMGQSVEEAELSALAWSFRCCQRENIMVKEIEMDCKVVVEWIKGRHLSGILGHIVEDCLNMMESITCVDILHCSREGNEVAHMIAKKAKEMREEAIAWFNISQMSEDFQQAIIKEAGSLVGGD >EOY12457 pep chromosome:Theobroma_cacao_20110822:7:2280317:2282465:-1 gene:TCM_030967 transcript:EOY12457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPGKHSSCLPDLTSPSSADSSLPILASKDMKKESHHLILQPDKNLTLFTLDKEHEAKNSCGDTRYKAKHQMAQSERTFTIKTTTPRTTSLHLQASLNPIRQSMHRSKTSAKKVPDEMAAHPPVEIGTRGTVGSLVMLEIEYFSRLELSCRDSSEKPHPNVRDFASSSSHSRPIVGPVVATQKKKKKIGGSKLLPSMCSMVEISENRPVGFSGFGYRNLKSDVKKLQV >EOY14262 pep chromosome:Theobroma_cacao_20110822:7:22754566:22772465:-1 gene:TCM_033569 transcript:EOY14262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor kinase 3, putative MKMGWNLITGVNRYFTAWKDLNDPSPGDFTYRVDNNGLPQLVLREGMKKRFRTGSWNGIGFSGVSIQQNTVIKPIFVDTTEELYYKYEVKDNFVITRFMVSQSGLLQRLVLFGNSTEWTVMYTVQNDLCDGYAKCGPNGICRINTSPNCDCLTGFRPRFQRQWQELNWTGGCVRMTPLDCQKGEGFVKLTNVKLPDMLEFKFYHSMNLKDCRAKCLKNCSCTAYANSDISNGGSGCLMWFGKLIDMREFIQGESEQDIYIRMPASELSKKSTGESSWKSKRTMLVVAASTISVLLASFLAWYTIRKNRRKKRGSATGREDLELPLFDFATIASATNNFSNSNKIGEGGFGLVYEGELFKGQQVAVKRLSGNSQQGVEEFKNEVVMIAKLQHKSLVRLLGCCIEGDERMLIYEFMPNKSLDCFIFDQIKKVMLTWPKRFEIIMGIARGLLYLHHDSRLRIIHRDLKSSNILLDNELNPNISDFGIAKMFRADQFEAKTKRVVGTYGYMSPEYAIDGKFSVKSDVFSFGVLLLEIISGKQNRGFNHPDHYHNLLGHAWLLWNDGRALELIDPCLEDSCVEAQVLRCIQVGLLCAQKHLENRPVMSSVVLMLDNDEVTLPQPQEPGFFSERSSCDTNTLALYGTSFTKNVVTITMLEAR >EOY12502 pep chromosome:Theobroma_cacao_20110822:7:2397150:2401897:-1 gene:TCM_030991 transcript:EOY12502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein PROTODERMAL FACTOR 2, putative MGDMPPVRRDGIGVGEQPLGLDPPRRSPPGGHKRRRDHEGVGFLLGTNPQHPQNNSLGPQSPGSRPSGSESAGSGSSTNVPMYKMHRASDILMAFSICSDTKKNEIIEQAKEALEELKKMASMGEPLWQRRDNMEILDGIQYLKQLRRYDLTADMIVKMVERGEPQRSPNPGGNQDMPTFPLATFEFNPLYIEGSRETGLVDMKPVSIVELLMDSRQWLAAFPSIVSRATLIGVILRGVNGSYDGRVQVMAAEFHHSSPLIPSRQSYFARYCKQIARGTWGVVDVSLENLFPSTHVQFRRSPSGCIIEEMPNESSKVTWVEHVQVDNGSVHPIFRSFVESGFAFSAKRWIAMMNRHCQWLATSMAGTSPTSASVFVPQVGRESLLKLSERMTRTFFKNVSSCSDNFWSRAPRAMTHDQDIRYRLGNIVNASGKPPTGTIIFTTTLRLPVPPKILFDFLRDERSRDQWDHISFGRSVRELIHVQNGENHENRVSVVQVNSSPTKIHMVFLQESFSDETGKYVVYAPMDIFGMSMILNGGNPNFAAIMASGFTILPDLPPPWQAQETQGSILNLVFHRGDRSFIDDKIPVNTFGVMDDIVSKTINAIKKALMPDGSGNQSARQ >EOY12554 pep chromosome:Theobroma_cacao_20110822:7:2752526:2754672:-1 gene:TCM_046859 transcript:EOY12554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor SUI1 family protein MSDLDVQIPTAFDPFADANAEDSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKVFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKDNIKIHGF >EOY12039 pep chromosome:Theobroma_cacao_20110822:7:712993:716180:-1 gene:TCM_030653 transcript:EOY12039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal-5'-phosphate-dependent enzyme family protein MAAPVRTTAGAVVVAAAISISMAVFSYFLFFKKTSSTLHSDKKKKQTSGVVDAIGNTPLIRINSLSEATGCEILGKCEFLNPGGSVKDRVAVKIIEEALESGELAPGGVVTEGSAGSTAISLATVAPAYGCKCHVVIPDDVAIEKSQILEALGATVERVRPVSITHKDHYVNVARRRALEANELAAKNKKASQVDGKDQDQINGCIPDQEKENPGFLSHCNGGFFADQFENLANFRAHYEGTGPEIWEQTDGSLDAFVAAAGTGGTVAGVSKFLQEKNQNIKCFLIDPPGSGLFNKVTRGVMYTKEEAEGRRLKNPFDTITEGIGINRLTENFMMAKLDGAFRGTDKEAVEMSRFLLRNDGLFLGSSSAMNCVGAVRVAKALGPGHNIVTILCDSGMRHLSKFYDAKYLSQYGLTPTATGLEFMSIK >EOY12947 pep chromosome:Theobroma_cacao_20110822:7:4994311:4997865:1 gene:TCM_031452 transcript:EOY12947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein MMAMMSGERSQLLLLVLFVLLLQEKSTTAEDVIVCNESERQALLDFKQSLQLVDQVGDGDLSSWDGKDCCAWTGVYCNIFTGYVEALDLGEHFWLVAGTISPSLLKLQHLSQLDLRKNDFNGSRIPEFIGSLKNLTYLDLSLGNFEGPIPSQLGNLSKLETLRLGGDVLDPNHLYSMRFNKKFPKLFSVGNLEWLSRLTSLKYLDLSFTNLSKASDWLQVVNQLPFLEKLSMNDCDLPGAFSSSLSLVNSSTSLNDLYLSGNNLTSSAIYPWLFNVSSNLVALDLSRNQLKGPIPESFGNMVDMKYLYLSHNQLEGGIYRSLWGMCSLRHLDMGSNHLSAFGFAQNTSFGTANSLKYLRLAENQLTSSVLNEVANLSSLEVLDLGYNLLNGSISESIGQLSNLLALRLAGNSFENVVISEAHFSKLTKLFELDLSYTSLTLKFNSGWIPPFQLKDILLCSCKLGPRFPEWLRTQIWVRALDISVAEISDSLPHWFWDQYHQMEYLNLSYNQINGTLPSNSINLSRLDLSSNNLSGPLPRVLSVLLSLNLSKNKFLGSISSICNIMNKDDLKFLDLSINQFSGVVPDCFAQFTKLTALNLADNNLSGPISSSLGSLASLEMLSLHGNRFSGKLPSSLWNCIKLKFLDLSDNRLSGKLSLWSNQSLPQLVFLNLQNNQFNGKIPSQLCRLKYIQILDLSVNKISGTIPRCLNNFTSMAQKVDLDRRIEHLFRVPRFGYITKLPYGGFVELNYVDEALLVWKGTKQMYAKILGLLLIIDLSSNKLTGEIPQEISSLQELIALNLSRNFLTGQIPQKIGQLRQLQSLDLSRNKISGSIPPSLSELTFLGSLDLSYNYLSGKIPSGTQLQGFDPSIFSHNHGLCGPPVTPNCSGSVELPKGQLERGQDDFDEFLKWFYAGMVLGFVVGFWGFCGALLFKRSWRHSYFRFLDKVKDWLYVAYALQKARLERRIQA >EOY13277 pep chromosome:Theobroma_cacao_20110822:7:6967880:6987264:1 gene:TCM_031797 transcript:EOY13277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSSTKAEISPAENPSSPYYIHYSDHHGSVVINPKLTATDYLSWSRAFLLALSIRKKKGFIDGKIEEPKQTDSLYEHWYRCNSLIVAWLLESLTPTIASNVMYMDSAKEIWETLKNRFSQPNETSICNLQF >EOY11847 pep chromosome:Theobroma_cacao_20110822:7:109615:115052:-1 gene:TCM_030518 transcript:EOY11847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MSGAEEIGVNDESRDRRSDFDNSEDERRRSKIGNLKKKAINASNKFTHSLKKRGKRKIDYRVPSVSIEDVRDAKEESAVHELRQKLHHKGLLPPRHDDYHTLLRFLKAREFNIEKTIQMWEDMLNWRKEFGTDTILGDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMRITTIDRYLKYHVQEFERALLEKFPACSIAAKRQICSTTTILDVQGLGMKNFSRTAANLLAAMTKIDNSYYPETLHRMYIVNAGPGFKKMLWPAAQKFLDAKTIGKINVLEPKSLGRLQEIIDSSQLPDFLGGSCTCSTDGGCLRSNKGPWNDPEIMKLVHNAEATFVRQITRVSNDQHKYDSYVQTRSLKGRASNTSTAESGSDIDNQCSPIGQRSSAFPCLAPVHEEMRVSDPNAYYSCDDSFPLVEKTIESDQGPLYSLDQLRNSSNVDSQSHKQASSSYSEGTSVSHWFDTVKERVGRRNIHSVAKMLIALLVRLAAFIRTVRFESWRKPNNIHPSSAMESSNTNSHSNSPAAEAVNEEERVLPCIERLQKLEKAFEELSNKPAGIPVEKEKMLMDSLDRIKSVEFDLEKTKRVLHAAVMKQLEIAELLENIRESKSQQRRLFC >EOY11848 pep chromosome:Theobroma_cacao_20110822:7:109590:114605:-1 gene:TCM_030518 transcript:EOY11848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MSGAEEIGVNDESRDRRSDFDNSEDERRRSKIGNLKKKAINASNKFTHSLKKRGKRKIDYRVPSVSIEDVRDAKEESAVHELRQKLHHKGLLPPRHDDYHTLLRFLKAREFNIEKTIQMWEDMLNWRKEFGTDTILGDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMRITTIDRYLKYHVQEFERALLEKFPACSIAAKRQICSTTTILDVQGLGMKNFSRTAANLLAAMTKIDNSYYPETLHRMYIVNAGPGFKKMLWPAAQKFLDAKTIGKINVLEPKSLGRLQEIIDSSQLPDFLGGSCTCSTDGGCLRSNKGPWNDPEIMKLVHNAEATFVRQITRVSNDQHKYDSYVQTRSLKGRASNTSTAESGSDIDNQCSPIGQRSSAFPCLAPVHEEMRVSDPNAYYSCDDSFPLVEKTIESDQGPLYSLDQLRNSSNVDSQSHKQASSSYSEGTSVSHWFDTVKERVGRRNIHSVAKMLIALLVRLAAFIRTVRFESWRKPNNIHPSSAMESSNTNSHSNSPAAEAVNEEERVLPCIERLQKLEKAFEELSNKPAGIPVEKEKMLMDSLDRIKSVEFDLEKTKRVLHAAVMKQLEIAELLENIRESKSQQRRLFC >EOY14040 pep chromosome:Theobroma_cacao_20110822:7:19044064:19045669:1 gene:TCM_033177 transcript:EOY14040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon, unclassified-like protein MGSNPSYLWSIRESQSLINRGVSWKIGDGQNIRAKLDNWIPYDTSHLVVDYVDIVSNSTKVSEFISYDQKTWDIEKVRVCFPPHECSIILALPLSLRFLADWLAWHHSRDGNYTTKLGYKLLSSTSRTDVSTSGNIIPECKKFWCLNIPRKIFLFIWRVLHGCLPTQATLNRRNIAINACYPMCNADLEMDCHILCECSFAKAVWLACKWGFCDNDHQFSSLKEWFLLRLQKLDRIIVEELCCVMWAIWKGHNSLVFKMESMNQLQALMLCNREQMMVYEVELDYLQVINWIKSRVFARDMGDIVEDCCMVLNLLHSLTVLTIKDLPIVLCMNLLIQQRQLTWSIPFGDKVIIYHKRPNMHYNGTT >EOY12195 pep chromosome:Theobroma_cacao_20110822:7:1263783:1267007:-1 gene:TCM_030766 transcript:EOY12195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLHNPSLLSSLFDGLFRRTRTSLTGKKKFFIQLSMSLVIDNRIDIKKIPIKLSNQIIPIVLLVKETSFRTVNKISLFLQLMQHSA >EOY13477 pep chromosome:Theobroma_cacao_20110822:7:8708543:8711462:1 gene:TCM_032077 transcript:EOY13477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lustrin A-like, putative MGNGVLISFCHVSFSLIILISWLHQTESQSDSCDFFQGSWVQDDAYPLYDTSKCPFILKGFDCQANGRLDKLYLKYRWKPASCTLPRFNGEEFVRRMKGKKVLFVGDSISLNMWQSFTCMVHVAVPQSQYTLKSHGNLSTFALPDFNVSLEYSHNVYLVDLVKEDIGVVLKLDSIDNGNYSWKGYDVLIFNTWHWWVHTVKGKNQPWEYIESGGKIVKDMDRLAAFKEGLTTWSRWVDSNVDPHTTQVFFQGISPIHYDGKGWNGPITATCQGETEPLNGTTYPGGLPPAVDIVKEVLGSMSKPVTLIDITMLSLLRKDGHPSLYSGNEGNDCSHWCLAGVPDSWNEILYAILSTGDKASRLK >EOY12908 pep chromosome:Theobroma_cacao_20110822:7:4791159:4805065:1 gene:TCM_031414 transcript:EOY12908 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein MDMDILSFSACFLIIFSKASNALDKISPTEPLIDGKTLVSSDGSFILGFFTPGSSKNRYLGIWYNNIPMQTVVWVANRINPINDTTGLLRIETTGRVVLLGQNQTTVWSTNSTKAAQNPILQLLDSGNLVVRNGNDGNLENYFWQSFDHPTDTMLPGMKIGWDLRTGLNRRLVAWKNSDDPSLGDLTYGVELQGNPEMVLRKGSEKYHRSGLWNGDGFSGATNHRSNPVYDYNFVWNEEEVYYTYYLKNKLVKSRLVLNQTEKLRQRYTWNLETQTWDWYSNLPSDYCDRYGLCGANGNCDNSTLPACRCLKAFRPKSLERWNSLDWSEGCIHNKPLNCQSGDGFIRIERVKTPDASHSWVSKSMNLEECKARCLQNCSCMAYTNADIRGGGSGCAMWFGDLIDIKQCPSAGQDLYIRVSASEAELNNKPKAKLAVIIATPISLFLGILVVIYYIRRRRKLEDEAEERDEMDQMNQGQSEDMDLAVFQLGTIARATDNFCLDNKLGEGGFGPVYKGTLANGQEIAVKRLSKSSGQGLNEFKTEVKLIAKLQHRNLVRLLGCCIHGEEKMLVYEYMPNRSLDSFIFDQRRCKVLDWPKRFQIICGIARGLLYLHQDSRLRIIHRDLKASNVLLDSEMNPKISDFGTARTFGGDQTEANTNRVVGTYGYMAPEYAIDGLFSVKSDVFSFGILLLEMISGRKNRGFYHQKQSGNLIERAWRLWKEGRPLDLADDFLAETGNLSQVLRCMHISLLCVQQHPEERPSMSSVLLMLGSENELPLPEQPGFWHHKSPFEADSASGNYGSSSINEISLSLFQAR >EOY13048 pep chromosome:Theobroma_cacao_20110822:7:5599470:5608847:1 gene:TCM_031565 transcript:EOY13048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.17 MLVFKKEPSEYVRYSTCILGFFSSSFLSAHQRLFLMAGRMETMEYEDGLKILEELTTNAHQIQERVLEDILKRNAGTEYLRGFLNGQADKQLFKRNVPIVTYEDLKPYIDRIANGEPSDILLADPITQFFLSTGTSGGQPKLIPSTAESFEQRMLEPTLEDLVMKKHFDGLDKGKTMCLFFVKPEIETPSGLMAKFFTTSYFQSNSFKNGSAKICTSPIDTILCLDNKQSMYCQLLIGLLQRDDVVRIESIFVSVFARSIKFLIDYWKDLCSNIRTGCLSDWITDPGCKNAVSLILTGPDPELADSIEHICDHKSWEGIIKKLWPNAKHISSIITGSMSQYISLLESYCGGIPLVSPLYASSEATFGINLKPLSKPFDVSYTFLSNMAYFEFLPVNKASREKAQELQFNNTVSEKASIEMTKENGNVEPVDLTNVKIGQCYEVVVTTMAGLYRYKVGDILKATGFYNNTPQFQFVERQNVVLSIDLDKTSEADLLKAITNAKLFLDPLGFVLTLCSSYTDTSSTPGRYVLFWELRMKESNDFPKLDPRIMEQCCSIVEESFDFTYKSLRKSNIIAPLQIRMVKHGTFDALMDFYVSKGASINQYKTPSCIKSEEAIKILNAGVIGKFFSPKTSF >EOY12759 pep chromosome:Theobroma_cacao_20110822:7:4057006:4058416:-1 gene:TCM_031291 transcript:EOY12759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKISKKRSNGFCMCFHPDDMERGFAVGPGEEQSERVNPFLSPAVHMCSDDVDKDFTVGSFGRKCKLGRRSFSRYVKAVFFETSLMMKMRNKKFGEKLQRFHNSVKSKPKKVSHPKSMEKSCEDNPSTRSSTFASCLCTTSTTNSSSSSSLLSSLASSKCSSSSSLSLPEKLENNMQGNVGKGCYGYNVSMCLLLVTLFVLVFWGKLCTIFCTSTWLFLATCWSVKLSPSSSGNYAVEHFPEIDTDKFYKKKVIMERLLERNHSSIPQPLLKQ >EOY12207 pep chromosome:Theobroma_cacao_20110822:7:1320390:1321285:-1 gene:TCM_030776 transcript:EOY12207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVVLEKIISTIKDKEKLRISCKDGNLDLLPKGSGELRVQSENGELDLELIQVDGDSRIIRGKGWRDFIGNYHLGATLTIYIDDDGKYKIQVRNQ >EOY13037 pep chromosome:Theobroma_cacao_20110822:7:5491112:5495500:1 gene:TCM_031546 transcript:EOY13037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMCNNNWYKEDEEQILSLFDGGMEKSSEKFNSYEEIEQEFRFGKDDLIGSIMLLMGHKIDQGLFNKVCPEDLQRQTPSFREATQTPISLLEHSPDSIFKSVNAGNLQEVLHGLYSLLH >EOY14021 pep chromosome:Theobroma_cacao_20110822:7:17280247:17296464:-1 gene:TCM_033044 transcript:EOY14021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFRCDKNSFNFIALFLAAAKFILVAARNSWVVRHPKKAKELRLRTISLSAPEIWIFDTFKVVELKGAIGLNWGQVRCFGALEDYKKILE >EOY13995 pep chromosome:Theobroma_cacao_20110822:7:16822214:16823375:-1 gene:TCM_032994 transcript:EOY13995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMRIEVQSKASIYDAQVQNVASVEDAQMRTDDVQIVVGVEVEFQIVAGVLEIVVGVEAVECQIVLAAVVEFQIVPGTGVQLQNVPGNRVQLQNVPGTRVQLQIVPGTHVELQIVPGTHVQLQKVPGNSVQLQNVPGTRVELQIVPGTRVQFQIVLAAEIVFGIVIGFLQLWVVFLTDTMTLIGFEFGDVTDTYFGKMQMVWAGY >EOY13918 pep chromosome:Theobroma_cacao_20110822:7:13346713:13395607:1 gene:TCM_032677 transcript:EOY13918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol glycan, putative MRGWWRLGKWTVLIMFLHIIAILIFTKGFLLTRTELPHSSQCSDLSQSPCLSSSSSSCWTKPAVDRLIIIILDALRFDFVAPSSFFEQKQPWMDRLKVLQRVALNNPSSAKIFKAIADPPTTSLQRLKGLTTGALPTFIDVGNSFGAPAIVEDNFINQLIQNGKRVVMMGDDTWTQLFPNHFNKSYPYPSFNVKDLDTVDNGCIEHLVPSLYEQDWDVLIAHFLGVDHAGHIYGVDSVPMIEKLEQYNIILEEVIEVLQNQSGPGELHENTLLLVMGDHGQTLNGDHGGGSAEEVETSIFAMSFRDLPPMPSDFDSSSCEAARNMCISSIQQLDFAVTVSSLFGVPFPFGSIGRVNPELYALAVGTWNLEKYKTGNGQDQKKLEEWMQNYINVLCVNSWQVKRYIDVYSALSVIGFSSEDLFHISDLYAKAEENWSYTKNLLLYKNESFDTSFPDLKRQIDGYFNFLSYVAELARSKWTDFNLKMMGTGIGIMLLSLYVHFLAIKKVNKSYGVSLPSSRDSGISFGLIFACFIVVIRACSLLSNSFILGEGKVANFLLATTGIMSLRYSFMKKKMLLEATIFLLLTFILRIAIEVGLSKQAATSQFMNVSSSWMRGISISQPVWTYMAEIVPILALMILGYFLYKAISSCCWGIPKYVILGTILSYLLIALHWATESNILDLAYLLKGIGKSCIPRTIYAIGLGQLSLLAFVQLFSKEDTSNYKGSLFVKMISILSACSSTIILLLGKQGALVALGSIVAGYCIMKLEGIEWHTFNGAVGISSLDPLPVVQWSLLAVCLFFATGHWCAFDGLRYGAAFIGFDEFILVRQAILLTIDTFGFSHILSVFGLALFVAWPSFFNQTEHRRSLFSIKLFRIFMMYGLITATTVTATILCVAIQRRHLMVWGLFAPKFVFDVFGLILTDLLIFLASIYYFSGEEDSMQCDRGTED >EOY13822 pep chromosome:Theobroma_cacao_20110822:7:11192191:11193454:1 gene:TCM_032477 transcript:EOY13822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYSVINTKVLIHSSIGETLLIQTDMSRSHTTIPKTIQWHEVQLPNRWKLERVTTLITIQNIEINQVAEYRDGFVELVFNRLPRMPPR >EOY13940 pep chromosome:Theobroma_cacao_20110822:7:14674398:14676460:-1 gene:TCM_032795 transcript:EOY13940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, unclassified, expressed, putative MLASKIVHLSQSPYSSPVLLVRKHDGSWKLCIDYRGLNQSTVKDKFPIPAINELLDELQGARYFTKLDLKSEYHQNCMHPHDISKITFRTHEGHYELLVFFVKKEKCCIAQQEVKYLGHVMFEGGVVVDLDKIATMLKWSKHNIVKALRGFLGLMGYYHKLIKDYGKIAAPLTQLLKKDNFQWTSQAEGAFEQLKRAMTQALVLALPNFGQPFIVKCDASGIGIRGFLCKIKGLLLSSAKH >EOY13577 pep chromosome:Theobroma_cacao_20110822:7:9340451:9347991:1 gene:TCM_032178 transcript:EOY13577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAEDSLAKNTMPFILDDRSRKKVRLREEDKADLGKMPKIIMHNNPSFRDVLMNLENDTLLSKDDLFSDEDMAFEHVDISRNYCVIRSSLCGTLKLPASATMDTKLCKRRKQSYCCGGLGQIPKDAAPFVPQISAEMIGISFRICYVCGSYGHVQEEWQNKKTSRSTSTNERGHADEQQQDASPYGPWMLVSWRKLRGYDGRNRMQAVDTKVTDVVLENKRKMHNTVSKTIATRKAYMSICKNTTTSTMMELPKGVPLQTKGDYKNTVEADKTQTILKDISGKHRQVDREAQQGVIDPFKHLVVSLSGRAVESNTTTRLVNPPAERDNLVERVRCVRVAKKERKPLDRLVYGGPNKAARRDLWEELSTFSSGVETPWMLIGDFNVFLVGHEKKLYSKDNGILPSYPIEGAFPTLRDEDYLRLIRPVESKEVLQAMPPTLAISQDEPYWELSAASQFTIASAYDYLRQLSSPTDVKPSGIWQGPQRVKTFLFQCLHGRLLTNRERLRRRLTTDSLCPQYKMEDETITHVLRDCMMATSLWWRNNAVFDATTIPTRNRLSLVRSMATATTIALVEFDGIQLGKCSAYRAELWGVLYGLRLAWDLGFKKVMVQVDNKMVV >EOY12375 pep chromosome:Theobroma_cacao_20110822:7:1846407:1851082:-1 gene:TCM_046856 transcript:EOY12375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family protein MSVVGFDIGNENCVIAAVKQRGVDVLLNDESKRETPAVVCFGEKQRFLGSAGAASAMMHPQTTVSQVKRLIGRKFREPDVQKELRLLPFETSEGQDGGILIHLKYLGETHRFTPVQIMAMLFAHLKYITETNLGVSVLDCVIGIPSYFTDLQRRVYLDAAAIAGLKPLRLMHDCTATALGYGIYKTDLSNAGPTYVAFVDIGHCDTQVSIVSFEAGHMRILSHAFDCSLGGRNFDEILFSHFAAHFKEQYNIDVYSNVRACIRLRAACEKLKKVLSANAEAPLNIECLMDEKDVKGFIRREEFEKLASQLLERINIPCIKALADAGLTVEKIHAVELVGSGSRIPAITRQLASLFRREPGRTINASECVARGCALQCAMLSPVFRVRDYEVQDCIPFSIGCSSNESPISQGSDGVLFPRGQPIPSVKVLQLQRSSLFHLEVFYVNPNELPSDVSSKISCFTIGPFQSSHIERARVKVKVQLNLHGIVTVESAMLIEEHIDDSITRKDTHSEMSTKEAQHVANGSEDSTSVQSKPSHASTDGKTNDKATRRLEIPICENIYGAMTKAELIEAQDKELKLAQHDRTMEQTKEKKNALESYVYEMRNKLFNTYRSFASDKEKEGISMSLQETEEWLYEDGEDEMEGAYTSKLEDLQKLVDPVESRYKDEEARAQASSELLNCIVAYRMSTKSLPNEDRELIINECNKAEEWLREKTQQQDSLPKNIDPPLWSSEIKSRTEDLNMKCKHIMTPKASHPDSENKGSDQQV >EOY13943 pep chromosome:Theobroma_cacao_20110822:7:14737131:14741215:1 gene:TCM_032803 transcript:EOY13943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWKFLSSLVITLDNMKILKVINLLGVQYLLHYSKVFKNFIYKYSKKSSFSNIGESPSIQLEFGAKIQLDKYDLRYVVDIWNGKGMMTSLWIVFRPRDEFWTLI >EOY13185 pep chromosome:Theobroma_cacao_20110822:7:6457695:6460315:-1 gene:TCM_031712 transcript:EOY13185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFQAQLVIESAAMVVNSDSKKKWRKDVVCNHCGKKGYTKDKCFKIIGFPEDFKFTKRENSNKKGKVAINSVTGGYKIAVGDETHIEQEDDLCGIGSMSQFSILQQQVNKLMEFFNVNEISSRDGKNILANSQPPKQSLINSAIAGHSFMEGDWGS >EOY14249 pep chromosome:Theobroma_cacao_20110822:7:22597787:22616420:1 gene:TCM_033550 transcript:EOY14249 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase MLRTLKTISSPNHPFSIPLKPFSTQPLTKANLRNLVLNHYSHGTFSNLLHNVIALPSVLLTACQNLSNSPPSTTKTSLLTSVSNHFSIDQMGHEIFQNKFDISSSCVKVAPPSPSGEPLFLPNLKLKVLIEAIRMVLEIVYDEKFVTFSYGGRVGMGRHTAVRYLKNNVTNPSWWFNVSFCPNKFDEFNVDKLCLFIGKKVKDAMLINVIKKLFECQVVRIELGGCYLGRGFPQECGLCSILINVYFDGFDREVQEMRLQMNRKNPKFDLNELGFKNSNVFYKPEKMYAVRYLDEILVITSGSKMFIKELKDRVLDFLEVNLGLKVDRVKTAIHSAVSEKINFLGMELQAVPPSVLHPPMSEKAIRARKKYLRQKEVRALELRNARERNRKKLGLKILSHVFKKLKQSNNGFNFEFRIENEVTEIFRTWADEVVQEFLQSLEGRWNWHRLLSRGDFLSLRHIRHQLPQDLVDAYDKFQEQVDKHLTPIKARNALEEEERRVIEEEEQKYAEHTVDDLTKLCMKVSAPIELVRKAVRMAGFTNNMGRPRPVSLLFALEDTDIIKWYAGVGRRWLDFFCCCHNFKMVKTVVSYHLRFSCILTLAQKHESTKHEAIKHYSKDLKVSDMNGNEEVHFPTERDVKMMGDKNLSDPKPVDGAISLTLIRLASEEPSHSCVAHFCDRTDTIMYRVRLLQNHLNLNPSDEAQWVKGMGAIHESLNRKCLSLCADHINDLYMGKITLQDIDCTSFVEVD >EOY13124 pep chromosome:Theobroma_cacao_20110822:7:6061138:6061813:1 gene:TCM_031650 transcript:EOY13124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVAAFVWGNSSAVIFETDSNNAVSWISNPEFSPWRQRNLVLKTRTVMRNLLRWKIQYKPRLGDINADKLAKLGVNGADDLLRVCPDGLNDLDEG >EOY14034 pep chromosome:Theobroma_cacao_20110822:7:18751248:18766556:-1 gene:TCM_033153 transcript:EOY14034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVRADTIILLMHFSFWQMNIEWHRHQNPSLPTCFVEITDLVADDWHHCRQGCHLSRTNFVILSIRRSCRVHHLDRPPHQVDLHNHPMPTYVARHEEEIPSSHFLPYVDLSPSTYPHNSSGICIVARASSVGNAMCSIWMVYCETCKH >EOY13516 pep chromosome:Theobroma_cacao_20110822:7:9003980:9005629:1 gene:TCM_032119 transcript:EOY13516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.17 MAHWIPTLEFYCGGRPLVSSYYAASEETQDKKEETEPVELVDVKLGQCYEVVVTTFTGLYGYKIGDILMVTGFHNNAPQFHFMKRHGVLLSIDVESTREDGLSKAVTQAKLLIEPLGFILTYYTSYADTCSTPGHYVLFWELKMKGSHDLLEINPKITEECSYIVEESLDYTYRVLRRGNRMGPLEVRVVKHGTFDALMDLFIAKGTSVSQYKTPRCIKSEEALKIMDAGVVGRYFSPKAPWEETK >EOY14307 pep chromosome:Theobroma_cacao_20110822:7:23654731:23657615:1 gene:TCM_033669 transcript:EOY14307 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-type mannose receptor 2 MGSRARRKQRWCTQTLTPLMEGPDPEMQEEGNKKESSWEVIREWFRTQKGLSASNFSMSLYGSNSIPAKRQDLRLLLGVLGCPLAPIPLVNHPIHHIRVKDIPIETSTAHYIIQQYLAATGCLKQQKCAKSMYATGSVKMICCETEISSGKNVKSLGTRSGESGCFVLWQMLPGMWSLELVVGGNKVIAGSDGKTVWRHTSWLGTHAAKGPQRPLRRTIQGLDPKTTASLFAKAQCLGEKRIGEDECFVLKVCADRAAVMERNEGPAEVIRHVLYGYFCQKSGLLIYLEDSHLTRVHTQENESVYWETTIGSSIGDYRDVDGVLIAHQGRSIATVFRFGELSMQHSRTRMEEVWRIDDVVFNVPGLSIDSFIPPADIFDNVHSPSL >EOY11899 pep chromosome:Theobroma_cacao_20110822:7:287428:292213:1 gene:TCM_030553 transcript:EOY11899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein MKITVMTADEQILSLDVDPHETVENLKALLEVETTVPLQQQQLLYNGREMKNFEKLSALGVKDEDLVMMVSGAASGVSANDLSFNPDGSAVNPGAFQQHIRRDSNLIGQLFQTDPEFAQAVVGNDLDKLQDLLQVRHRQRSELRRQEEEELALLQADPFDVEAQKKIEAAIRQKGIDENWAAALEYNPEGFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYKGVAHGVGQSEILGRIHVAPIKIGNIFYPCSFLVLDSPNMEFLFGLDMLRKHQCIIDLKENVLRVGGGEVSVPFLQEKDIPSRFLDEERYSKQASSSGAAATTGTTEKNPSVQSGGQSSGGGRGDVTQRPDFEAKVAKLVELGFARQMVIEALKLCDGNEEQAAGILFGG >EOY13093 pep chromosome:Theobroma_cacao_20110822:7:5867645:5884972:-1 gene:TCM_031614 transcript:EOY13093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat protein MNDRLIQASQVGDIDALYELIREEEDVLRRIDEKMFVDSPLHIAASSGQTRFAMEMINLMPSFTKKLNKSGLSPMHLALLNGYFELVSLFVHADPGLVRVKGRGGLTPLHYATKHGNIHLMVYFLLACPESIEDVTVRGETVLHIAVKTNMLEALEVLIGWLQRVCHKDALDWKKFIPNWRDEQGNAALDIAVSNMQIQAIQLLAEIKAKNSKGEDASQILQGQTQLDRREVLKMLRRSTSVVTASSIQSTERLTAVLRSKTRFQERFAIYIVRCRMRISDDVINALLVVAGLILAATYQTIYNPPGSVHQDNIGAIKTNVTNSSTGGGPLRDVEAGTVIMSGGDFTLFSVCNSLTLYLALNVISLLVPDDFFGRTLKLLLSWLALCYYVSILIISPSSSVAPVICTILAWLLFSIVYNILSVYSRKFCAFQKKKQMELQQLIRFYDAKLCPEELLSAEVQRRGAAAAPGEETCPEINPSA >EOY13893 pep chromosome:Theobroma_cacao_20110822:7:12736950:12737740:-1 gene:TCM_032623 transcript:EOY13893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKIPTFVVNDDTERLFRNLIAYELYEEGSTYVIDYVTLMDNLINSSKDVQLLRFSEVIENMLGDDEAVAKMINKLRDHVILCGDNFFMRRYLST >EOY12318 pep chromosome:Theobroma_cacao_20110822:7:1680772:1686322:-1 gene:TCM_030859 transcript:EOY12318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein MSWKSKGLEPNSKSVVTKKWALLLCIGCFCAGMLFSDRMWAVPEADDKGVSRETGAKEEGLKLITEGCDPMRKDVKREPKDILGEVSKTHHAIQTLDKTISNLEMELAAARAAQESIINGSPISDDLKIPESTGKRKYLMVVGINTAFSSRKRRDSVRATWMPQGEERKKLEEEKGIIMRFVIGHSATSGGILDRAIEAEDRKHGDFLRLEHVEGYLELSAKTKAYFATAAALWDADFYVKVDDDVHVNIATLGATLARHRSKPRVYIGCMKSGPVLAQKGVRYHEPEYWKFGEEGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGSWFIGLDVDHIDDRRLCCGTTDCEWKAQAGNICVASFDWTCSGICKSVERMKEVHRRCGEGKNALWSAAF >EOY13307 pep chromosome:Theobroma_cacao_20110822:7:7427934:7433384:-1 gene:TCM_031850 transcript:EOY13307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional polynucleotide phosphatase/kinase, putative MLKLSSTLTIPAKPKSPLLFFHFPRPKPQKATTFFLPFLFTFGKKQKMSTKIIAEYAKSGRSSCKKCGKAITAQALRLGLVTRDLRGFDVTKWHHLPCFSEKIDSLDVIKGFDLLKGVDQEALKKLADESDKLTEQLQGKNEDEEEEMELEKSNSKKIKLSMPDEKPVMDIAFSVSDVKDKYKDAALKPKWKAFQTIIFLEQAGMGFRGLGVRRDKGKMEMAILTTKCRMAMGHSIMPSPILCADAWSLMYPSIPEKLQSLYEEGYKLVIFTNESNIEHWKNKRQLAVDLKIGRLNNFINQVKVPMQVFIACGLGSKVDDSFRKPNPGMWHIMEKHFNSGIPIDMNQSFYVGDAAGRPDDHSDADIKFAQAVGLKFYVPEEYFST >EOY12495 pep chromosome:Theobroma_cacao_20110822:7:2358237:2360101:-1 gene:TCM_030984 transcript:EOY12495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPYSRVLLKLYLNISRLGSFLLKVSNKWLMQVQVTMQKVRNKRLMHVKVTERKTDRISDLPDEILCKILSLLPSQSAIRTSILSSRFKYLWRSVRILDFRDNLSPAKTFEKFLDEALSNHEELHRLRKFRLRCYDPSYSVTKMSNWILSATASVSTLEELDIFANNVHKIRYVNLPCIVFSCRRLKSLKLAGCKIVDGIPADAVFPCLKTLKLVSISILDDNVFNKLLSAVPVLEMFHIEDCFEGSRLHVKYLRGSMIQGDCQNNLLSLDAESINVTEPNRAPTSKLLTTIYNKVKQLEIYEDNMLLSLGLIREHENPPLFQNLTHLVVEVDYMYDCQALPLLLDHSPNLTSLVLEKKFPYKLGSNDRWNAPPAACLSCNLETVQINRFRKKDVEVVKYFLRNGLALKKLVVYSARAVSSKVIASILDAPRGSSQCQIEYHLPISSLNNEKH >EOY12869 pep chromosome:Theobroma_cacao_20110822:7:4529402:4530217:1 gene:TCM_031376 transcript:EOY12869 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-norcoclaurine synthase 1 MLKQVSFVLFVLLSCNMGVESQKLKHLTNELEVKVAASEVWELYRHLGISMLAAQELKNVIQSVEVLKGDGGVGTILKLTFVPGNSSYTERFTVVNDEKRVKVAKGLEGGCLAIGCSVQIVRFDIIAKTNASCIIKSDIAYAVKKEFEADDPKPNIQLLAAAAQVTKRFLESKHNA >EOY13373 pep chromosome:Theobroma_cacao_20110822:7:7895568:7897049:-1 gene:TCM_046877 transcript:EOY13373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MKGLIQWYLVLSFLLLSLNFKVDCSLSSFSSNSSLKVFIISKNNLVGEIPSSICNLMSISILDLSNNKLSGTIPDCLASRGKMPNLTVLDLHMNKFHGNIPDSFVVGNKLQILNLNNNDFDGPFPKSLQNCHDLQVLNLGNNKINDTFPHWLGTLPQLQVLVLRSNYFHGQISPSEHESHFSALRILDVSHNEFSGFLPTTYFKSFEGMMNLSNVQTKSMEDFNFYYHFSVRVTVKGLDIELERILTLFTTIDMSSNKFQGKIPEIVGDLVSLQVLNFSHNSLTGHIPSSLGNLTALESLDLSTNKLVGQIPMQLIGLIFLEVLNLSQNQLVGLIPQGNQFNTFLNDSYRGNLGLCGFPVSKSCGKDEGQEPPESAFHEEGIYSCPLDWKFVMMGYGCGLVLGLSTGYIMLTIGKPEWLVRMVQRLGYKILRRLKSYN >EOY13099 pep chromosome:Theobroma_cacao_20110822:7:5920646:5923368:1 gene:TCM_031622 transcript:EOY13099 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacid aminotransferase-like PLP-dependent enzymes superfamily protein MADCNPSSDKISGEMASLEIGSGSDYKVHVFSSSSELLEKLDKKWSSVNKKPYPAMYSSIFGGIILDPAMMVIPIDDHMVHRGHGVFDTAIILDGYLYELDAHLERFLRSAAKARISSPFPQSTLRSILVQLTAASQCKKGTLRYWLSAGPGNFLLSPAGLPTSAFYAVVIDDDFSQCKKGVKVITSTIPIKSPLFATMKNVNYLPNVLSVMEAEDKGAFASIWVDEKGFIAEGPNVNVAFITNDKELILPQFDKILSGCTAKRLLELAPKLVQQGCLKSVKTANLTVEEAKSAAEMMYVGSTLPILPIIMWDEQPIGDGKVGDLTMALSDLLWHDMVAGPDTQRLPVPYIN >EOY12034 pep chromosome:Theobroma_cacao_20110822:7:699043:705492:-1 gene:TCM_030649 transcript:EOY12034 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEK domain-containing chromatin associated protein isoform 2 MGEEETKAEALEPVANGTSLPEKSGEAVAEKTEEENNGVKEMEEDKKVETEKMDEDQQVKEDEESKEELEKEEKEEPETEAMEEEIDPKENDKKDEKEENKDEVEEKDGLKEEEEEEQKAKESKEKKGSKKRGKNQNAGEKVKGKTKKMEKKEPEQRTPLTDRPVRERKSVERLVASIDKDASKEFQIEKGRGTPLKDIPNVAFKLSRRKTDDTFRLLHTILFGRRGKAVQIKSNISRFSGFVWHENEEKQKTKVKDKFDKCNKEKLLEFCDVLDIPIMKATTRKEDIVAKLIDFLVAPQATTTVLLSEKEKSSKSKKRKRVIKSGTTSKRSTRSRKKSEDTPKSGKKSAPDSEDESEEEEKEEEENEEEENENGITEKSEDEMPEDSESEEKNETEDESEEEVGKKKKSTKVSSSKKESAGKATPKKVTVPKRSSTPQKRTPKTSSKSSKVDDDIDKSPKVSSRKKTEKVTKEKSSTPTKSASKEKTSKKVAKGKDKAKEEKLKPSDHELRDAICEILKEVDFNTATFTDILKLLARQFDTDLTPRKSSIKLMIQEELTKLADEADDEDGEGDAEKDENQSAGQEVEA >EOY12033 pep chromosome:Theobroma_cacao_20110822:7:698741:705442:-1 gene:TCM_030649 transcript:EOY12033 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEK domain-containing chromatin associated protein isoform 2 MGEEETKAEALEPVANGTSLPEKSGEAVAEKTEEENNGVKEMEEDKKVETEKMDEDQQVKEDEESKEELEKEEKEEPETEAMEEEIDPKENDKKDEKEENKDEVEEKDGLKEEEEEEQKAKESKEKKGSKKRGKNQNAGEKVKGKTKKMEKKEPEQRTPLTDRPVRERKSVERLVASIDKDASKEFQIEKGRGTPLKDIPNVVNLNSSFLLSLFVTEFIVFALSSKHVAFKLSRRKTDDTFRLLHTILFGRRGKAVQIKSNISRFSGFVWHENEEKQKTKVKDKFDKCNKEKLLEFCDVLDIPIMKATTRKEDIVAKLIDFLVAPQATTTVLLSEKEKSSKSKKRKRVIKSGTTSKRSTRSRKKSEDTPKSGKKSAPDSEDESEEEEKEEEENEEEENENGITEKSEDEMPEDSESEEKNETEDESEEEVGKKKKSTKVSSSKKESAGKATPKKVTVPKRSSTPQKRTPKTSSKSSKVDDDIDKSPKVSSRKKTEKVTKEKSSTPTKSASKEKTSKKVAKGKDKAKEEKLKPSDHELRDAICEILKEVDFNTATFTDILKLLARQFDTDLTPRKSSIKLMIQEELTKLADEADDEDGEGDAEKDENQSAGQEVEA >EOY12581 pep chromosome:Theobroma_cacao_20110822:7:2866605:2871936:1 gene:TCM_031094 transcript:EOY12581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein MIVPDFQVVSYLMMLASFFHCLIYFDSRVKEFRNQKQRLTLAKAQLQKDIGDAKAQKRGIKDGVETWLEEAEQVLKAVRTLEDNIRDHNWRLTSRLNWSQRFHLSNEIEQQEQKIIRLVWNSHYDRVVFPAATLWENELLPSSYSMPSQSLKSALTEIVNAMRDGTESVLIVCGQRGVGKTTLARAVGKIANEFLHLKVVRLAVSQATTTEEVQDTLAAFLKLEFPKNTKKERAKRLRRRLRKEEKILIILDDFRKELNLESIGIPNQVGCKILLTTRDTQVCSSMEKRKEITLEALDNPEAWALLKKNLSQDMTPEVSQVAIRVAEECKHSPIAVITVGRALRGKVLDQWRNAYDRLKSNLAEDESEEVSIVHRSVKLSYDHLKYNETKKCFLLCSLFPKNSPIAPPDLIRYAWGLGIYQGIDSIEEVSEKVNGAIENLKDSFLLLEYGKAHVQMQNVVREAALWLASKEESFLRIKRVVGLWETPKNEDLESCAAISYVTSKPEEVLDEELRSEKLQILFLAGNGCKKISNEFLQHLKALKVLALHHGVLSPNALQNLTNLRALHLEYCKFDGLAPSLENLKELEILSFQGSDISELTDDIGKLDNLRLLDLFDCQKLHRIPLKLIQKLSGLEELYFSGLSFERWWSEEKISAEGSNPTPPEIVSPSSLPTQIFKYPKIDEWFDKKSRALKVSVFLQNQSNGWDENVQHLARDLILCYKNHIVPNLVQSVKKVRVICCNRLQAVFQDGSILHGVEDNSQALLSDLTSLELEELPKLSRIWYGQTNNVSLQNLCTVKLKDCQRLKYLFSTSIAQRLKQLETLEIHGCNMLKQIIAETSDDLNVDVNEIMHPALLHPLCLPRLTTLQITGCLALEYVFQITTGRILQKLTLLEISSCPQLAQVFSFQDAAEGEEIEVPQLKNLALKDLLNLKTFCSENRSIKLPSLKQFEVEACHQFSNDVMSEVIKHGRLEEFCLFKMGNQLCGEIFELPGGYILSSLKKLTLKEINELQVIWKEPTQIVTLQNLTRLELVRCNKLRTLFSLLHARNLLQLSHLVVQGCKDLEQIVARDQISSLSSSRLKPVYFPNLEEILIEDCNNLKSLFPVRVAHIPELRTLRVKRASRLKHIFRHEGEAKVKSEAEIVLRFPKLEVLHLGKLPDLVTIIPVGYECEFPALVSLEIKECTSQVTSFSNVDSEPIIVYAKTEETSLPERRNYPKLVRFATVKKLLTNIDGDIVWSPGMKIDRNKLSESSQPTGKNMTTVLFTTSSLQYYAIYCATPQQSLRLNDRWLIMLFMIS >EOY12772 pep chromosome:Theobroma_cacao_20110822:7:4108848:4111658:1 gene:TCM_031300 transcript:EOY12772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-associated mannitol-induced isoform 1 MAIANQQQRSSERKLFSLCPFWLSSSTMNNSSSSSSTQNLNHNSDRHTEAVAAKRSKTVSSVARSLLPPRRRLRLDPTNKLYFPYKPGSQVRSAIRLKNTSKSHVAFKFQTTAPKSCFMRPPGGILAPGESIIATVFKFVERPENDDLQVDLKDLKSKVKFKIMSLKVNAGEEYLPELFDEQRDQVTVERILRVVFLDVERPNPALEKLKHQLAEAEAALEARKKPPPDTGPRVVGEGLVIDEWKERREKYLARQLVEG >EOY12773 pep chromosome:Theobroma_cacao_20110822:7:4108728:4111648:1 gene:TCM_031300 transcript:EOY12773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-associated mannitol-induced isoform 1 MAIANQQQRSSERKLFSLCPFWLSSSTMNNSSSSSSTQNLNHNSDRHTEAVAAKRSKTVSSVARSLLPPRRRLRLDPTNKLYFPFLFCLIVDKPGSQVRSAIRLKNTSKSHVAFKFQTTAPKSCFMRPPGGILAPGESIIATVFKFVERPENDDLQVDLKDLKSKVKFKIMSLKVNAGEEYLPELFDEQRDQVTVERILRVVFLDVERPNPALEKLKHQLAEAEAALEARKKPPPDTGPRVVGEGLVIDEWKERREKYLARQLVEG >EOY13411 pep chromosome:Theobroma_cacao_20110822:7:8107397:8110066:1 gene:TCM_031976 transcript:EOY13411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MLDLVNNSLTGFIPPSIFNISTAKSIGLNFNRFSGQLPSTTGLGLPKLQALHLAVNELSGPIPSSISNASQLIYLQLLNNSFSGVIPDTLGNLRYLKRLDLSHNNLSSNPSSPELSFLPSLTNCKDLNALIFDGNPSIRGELPAALGNLSASLTLFYASLCNIKGSIPREIGNLTRLLWLGLDHNDLTGKIPTTIGRLRDLQNVDLGNNRLEGSIPFELCHLEKLAYLSLAGNKLFGPIPSCLGDVVSLRNLFLDSNNFTSIPSTLTRLDGILFLELSSNSLSGSLPDIGKWKVVTNLNLSDNQFSGSIPSSIGDLKDLTHVSFSGNVLQGSIPESVSELISLEFLDLSRNNLSGMIPKSLEQLSYLKYFNVSFNRLEGEIPSGGSFGNYSIQSFMGNKALCGSPRLQVPPCKTNPSRRSKMGTELLKYILPAIGSIILILAMVITFLRSRNRKAAVPTEENLLVLAEWRRISYHELDQATDGFSESNLLGVGSFGSVYQGTLSNGMSIAVKVFNVNVDRALKSFDVECEVLRSIRHRNLVKIISSCSNIDFKALVLELMPNGSLEKWLYSHNFFLDISQRLNVMMDIALALEYLHHGHTPPVVHCDLKPNNVLLDKDMIAHLGDFGIAKLLGEEDLIQTMTLGTIGYMSPEYGSEGIISTEGDVYSFGILLMETFTRKKPTDEMFVEETSLKCWVEESLPYAVVHVVDTNLLNNGKSESLAANECVLSILQLALECSMELPEKRIDMKQVVARLKKIKVTFFQEVKKF >EOY14230 pep chromosome:Theobroma_cacao_20110822:7:22339248:22342488:1 gene:TCM_033518 transcript:EOY14230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein MLAEMIIWEIEMANNLRVDSSDYRTELLSPAPARENDTMATEQSWRLNMDKFHLPERRVESSCFSLGVFIKALRRQRKVSEYYKRQEKLLKGFNEVDTFNELGILPGSLTEEEMDKLERSERVAIYASNVANLVLFLAKVYASVESRSLAVIASTLDSLLDLLSGFILWFTAYAMRKPNQYRYPIGKNRMQPVGIVVFATIMATLGLQILFESGRELVMKAQPERDPEKEKWMIGIMVSVTLVKVVLTVYCRTFDDEIVKAYAQDHFFDVITNSIGLGTAVLAIKFYWWIDPLGAILIALYTMGNWAKTVMDNVWALIGKTAPSNYLAKLTYLIWNHHEKITHIETVRAYTFGSQYFVEIHIVLPKDMPLGQAHDIGQTLKDKLEQLPQVERAFVHVDFDAIHPQEHKTKRP >EOY14195 pep chromosome:Theobroma_cacao_20110822:7:22024004:22025339:1 gene:TCM_033475 transcript:EOY14195 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S21 MQNEEGQNVDLYVPRKCSATNRLITSKDHASVQINIGHLDELGRYTGSYSTFALCGFVRAQGDADSALDRLWQKKKGEVRQQ >EOY12778 pep chromosome:Theobroma_cacao_20110822:7:4120162:4120780:1 gene:TCM_031304 transcript:EOY12778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein MLEGKAVIGETDMLQTMQQDALDLAAKALDFFDVTDATEIARFIKKEFDRSYGPGWQCIVGTDFGSFVTHCSGCFIYFSIGSLAILLFRGSAVPEAEANQFATLETVKA >EOY13123 pep chromosome:Theobroma_cacao_20110822:7:6059116:6061043:-1 gene:TCM_031649 transcript:EOY13123 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MAKANSSDFHVLMFSWFAIGHMTPFLHLANKLAEKGHRITFVLPRKAVNQLEHLNLYPDFISFHPVTVPSVTGLPPGAETASDIPIFLSHFLSIAMDRTRDQVESVISSVKPNLVLYDTAHWIPEIAKPLGIKTICYNVVCAAAIAIVLVPARNAARERPITEAELAVPPLGYPSSSVVLRSHEGQSLLFVTNPFGEGLTFYERITTAMKNCDAISIRTCHEIEGKLCDYIGSQYEKPVLLTGPVLPEESKSVLDERWGSWLAGFEPGSVVYCAFGSQFIFEKPQFQELLLGFELTGLPFFVALKPPLEAATIEEALPEGFEERVKGRGVVWGGWVQQPLVLAHPSVGCFVSHCGFGSMWESLMSDCQIVLFPQLGDQILNTRLMADELKVAVEVKREESGWLSKENLSEAIKSVMHKDSEVGKLAKENHKKWRDTISPELTNDYIDKFIQSMHELVE >EOY14015 pep chromosome:Theobroma_cacao_20110822:7:17124617:17125591:-1 gene:TCM_033028 transcript:EOY14015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLATRGGAKFRPKAKCKAKDGISGSIPSKLPDAVHAVQPTDVVHESRGSLVVKPSQVAIPDSLLAEVAVSNGCDDSYSRVGRSVGENADIFFGLECLDQFITQSSNNNGGIQIDDERTGKQEGRAFPDITSAGIIASGRRAGKFKPKPMLQTSVVTLQPAVLILLCILQILSLILQKL >EOY13071 pep chromosome:Theobroma_cacao_20110822:7:5785389:5786244:1 gene:TCM_031597 transcript:EOY13071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METRRKRSSSGEIFSFPSTPNLQDQDSDFKFGCFTPDSPSLDPYRTSPADHLFFNGRLLPHAFPLQPATMVAADSSRGTSRTSSINSKDSIMSSRSNSANSRSSCSSARTSSSDNSERRLWYHSKIHARKTSIMVTAQLYGDSQRWQYITQVPVLKREVSGRKNSGAVVKEALRAKKQGDHLQERSSTRGKSGPCLRLFRLFLLACRECHAMEPSRKQDVVQGNLSVG >EOY13798 pep chromosome:Theobroma_cacao_20110822:7:10889079:10891190:-1 gene:TCM_032444 transcript:EOY13798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFPEILCAIWLGRNDACFNGKLWDSHHFLDMAKLHVAWWAHAKRPHSNLSIQDLVRFPNAIIVLSKPLKTKPDFKWQILPSGVLKCNIDGTSSGNPGEVGIGGPLRDENGDVLLLFSLSIGIMDANSAEFKALCKAPQMFANEVAKSLAKSGVCGDVDFIRITSVYLVEVC >EOY12013 pep chromosome:Theobroma_cacao_20110822:7:622881:627311:-1 gene:TCM_030633 transcript:EOY12013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein MLPRNLLVFLLLFSFKFPSLASAENTTFDFQYFTVRDLTLLGDSHLKNGIVGLTRELGVPSSSSGSLIYNNPIHFFDQESNTTASFATKFSFSINNVNPSSFGDGLTFFLSPDNQTLGSPGGYLGLVNSSQSTKNKFLAIEFDTKLDAQFNDPNQNHVGLDINKLNSIKTADAMLQDIDLKSGNLITAWIDYKNDLRALNVFLSYSTLKPLTPLLSVDIDLSGYLKEDMFVGFSASTEGSTEVHSIVNWKFKTFGFLPVRPRSHPHNVSDSSVTIISDVPVSNSTNKHHKRLGLGLGIAGPAFFFVVLAVFGYVSVKKWKDMRTEKCLKAEILAGPREFSYKEVHAATRGFHSSRIIGRGAFGNVYKAIFVSSGTIGAVKRSKHSHEGKSEFLAELSIIAGLRHKNLVPLQGWCAEKGELLLVYEFMPNGSLDKVLHPEAENGILLTWPHRQNIAVGLSSVLAYLHQECEQQVIHRDIKTSNIMLDGNFNPRLGDFGLARLMDHDKSPVSTLTAGTMGYLAPEYLQYGKATEKTDVFSYGVVILEVACGKRPIEREPNSQKMVNLVDWVWKLHGEGRITAAADKRLNGDFKEEEMRKLLLVGLSCAHPDSAQRPSIRRVLQILNNEVEPMAVPRMKPSLSFSCSLTVEDIVSDDEESKTTA >EOY13852 pep chromosome:Theobroma_cacao_20110822:7:11614896:11615536:1 gene:TCM_032523 transcript:EOY13852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAWTEGIGVPTSTRTHVYEFGTRVLALRLLATSATSKSTCGLPPTPLPLTPVPKPKGYSDMVIDVKDLKTSFNRLSYLFMEVIVSRRLLDAFRLSSSH >EOY12611 pep chromosome:Theobroma_cacao_20110822:7:2993228:2995260:-1 gene:TCM_031117 transcript:EOY12611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1995) [Source:Projected from Arabidopsis thaliana (AT3G20680) TAIR;Acc:AT3G20680] MIPYLLDHLGTTSLPKPPALYSVLKPTKMASKFLRLHLQTSKNPTPFPTQHHNHHSHLAFINSNASHRYKIPSLSIQSSLSSSTPPSSKEEAILQAKTCLSTCLEKPLNNPKLAGKLKKLKQPRFRVEIPLIDDSPSSLSQLALDIFKDMSIRRKGSLVEFLVFWPNVSLKEAGIQAFESCPLSHIEHIDLATVNRNNRILSSADVAVFLAPEPSQLAVIKTIADSLYPKPMVIFNPKWSFEEESGFGDLKGFVGSFEVIYSFMGLEVRGVVSKRKGMVFKCVRDGVLSGEQWAVLVEEDGAMKVVSRFKSRPGIEEVENVLYNLMAINSPITKSAKFLRDLVSNVTGKK >EOY13496 pep chromosome:Theobroma_cacao_20110822:7:8889377:8913682:1 gene:TCM_032101 transcript:EOY13496 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5 receptor kinase MFEIALGVARGIEYLHRGCEMQILHFDIKPHNILLDENFIPKVSDFGLAKLYSVDDSIVSLTAVRGTLVYMALELFYKNIGSISYKADVYSFGMMLMEIVRRRKNVNVSAEHSNQIYFPSWIYDRLEEGDIIELGDVTENENKIMRKMVIVAFWCIQMKPTDRLSMSKVLEMLESEVKLLEMPPKPAFS >EOY12186 pep chromosome:Theobroma_cacao_20110822:7:1244576:1245834:1 gene:TCM_030761 transcript:EOY12186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyltransferase, putative MDVELHNFIKVWTLAITSFCYCFYVSARLVPKGMLRLISLLPIFFLFLILPLNLSSFHLSGPTAFFLAWLASFKLLLFCFDQGPLSPPPPSVFHFISIASLPIKTKRNPIQNKALPPQSSSSGYAKSILLAVKVLLLAVLFHSYNYKQHLHKNLILAMYCVHTYLELEIVLAISAIPARAIFGFEIEPQFNEPYLATSLQDFWGHRWNLMVTSILRPTVYHPIRRISTHIVRPRWISLPAVIAVFVVSGLMHELIYYYITRVYPTWEVTWFFILQGVAVAIEVVAKKVTPVNWKLPPVVSGPLALGFVAVSGFWLFFPQLLRNRVDEKAIGEYFMLVDFIKNHVLPFPSN >EOY13235 pep chromosome:Theobroma_cacao_20110822:7:6687605:6691760:-1 gene:TCM_031758 transcript:EOY13235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLHSRWQFLVTLSGIYHLLEEGLHSIYQWWMSLERYGRSDITLDLKAIPSRLSREIGVNLSLLRDSSRVIKSSFEWKEMLRVFHVIQLLHKRRYTNSLVRLFSGTNSSYLMFMFGCAFFFLCG >EOY14162 pep chromosome:Theobroma_cacao_20110822:7:21841188:21842468:-1 gene:TCM_033452 transcript:EOY14162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRDRLNMQEEHLEELNGWDEEVKVEVQEMVQETLENVAERNSQLESVVDILQRELKDLRAKVCAARTEGGHEVVACLEVRLEVPKPKEFRGKRDAKEIDNFLWGLKQYFKAIGINTDDRRITAASMYLGDIALLWWRRWCDDRLGGVLNKAALAKPKDKSKGWADKGKQPRDKDSGDGKPQSKWKGKSTWKRKPSSNKEDKSKSCFLCDGPHWVRDCLKRFKLVAIASEEE >EOY12401 pep chromosome:Theobroma_cacao_20110822:7:1958351:1962492:-1 gene:TCM_030916 transcript:EOY12401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQRSSETVECIMHLLNENTTLEDNNVRLEGDTTTLEDNTAFDEENEDLFSAGEDRFDDTSNDGLEQWQDDNLDDDCLCDSDIPICNNVEGKTEPVGGAERFSFQTITTKESTCAEDRLYKGKMFSSKAELKRALNMLVIKEKFAIRVKMSCKARYEVGCKDKACKFSVRATKLLDIGEYWQVRTFHKVHTCTVDGLQGRFSTTSAKMIGELMSHKLQANGVALRPKDIICEMRVQWGLECLYVTVVATNEEERFKYCFWSYGACIRGFKDVMRPTVAIDATHLKGKFKGVLFVAVSKDANKCVYPVALGIDHVKDEDSWTWFLSKLRDAVGCPENTMFISDQHLDIKKAIQNAYPEAHHGLCGYHLKKNFKNKFKRDDVCMLFTLVRDCYKVADFNRHMNQLQQIHSRAHADLMRIGLEKWARACSPARRYQMMTSNIAKCVNSCLKHARQMPITVLIEFIRDMFQHWFHDRYEEAVKVTTPFSLWVAK >EOY13804 pep chromosome:Theobroma_cacao_20110822:7:10920290:10924044:1 gene:TCM_032450 transcript:EOY13804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MATLLNSMSPMTNPSPETTRKTCGFFYQIPNLHSFSLNKGFTRVLATTQITISPKDSVFTLPNWKTGKNDTKSRELRLNDAFFHMEYMVGKGQKPDVAQATQLLYDLCKANKMKKSIRVLEMMVNSGIIPDAASYTFLVNHLCKRGNVGHAMQLVEKMEAHGYPTNTVTYNSLVRGLCMHGNLNQSLQLLDKLIQRGLVPNAFTYSFLLEAAYKEKGVNEAMKLLDDIIAKGGKPNLVSYNVLLTGLCKEGRTDEAIRFFRNLPAKGFDPNVVSYNILLRNLCYEGQWKEANELLAEMDGEDRSPSVVTYNILIGSLALHGRTEHALDVLDEMIRGRFKATATSYNPIIARLCQEKKVDLVVKCLDQMIYRRCKPNEGTYNATAVLCEQGMVQEAFSIIQSLGSKQSSPSHDFYKSVISSLCRKGNTYPAFQLLYEMTKSGFNPDSYTYSSLIRGLCLEGMLQEALEIVIVMEESNYRPDVDNFNALILGFCKSHRTDLSLKVFEMIIEKGYMPNETTYTILVEGIAHEGKIELAAEVLKELHVREVVSQHAVERLVMQYNLSAIDNI >EOY13116 pep chromosome:Theobroma_cacao_20110822:7:6030408:6031231:1 gene:TCM_031644 transcript:EOY13116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-52, putative MDFHGQKLYAPKHNKKRLNQEQVRLLEKSFTANKKLEPELKLHLANQLGVPPRQVAIWYQNKRARWKTQSLELDYNTLQVKLENALSEKRRLEKDVKYLQEELRKAQEMMFAMNNYQKDHHHRHPPFVSCTSAGSSEEGGSSSFREDNVHDHAVLQIDELYACLIGADRPTWS >EOY13887 pep chromosome:Theobroma_cacao_20110822:7:12540277:12541428:1 gene:TCM_032600 transcript:EOY13887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMNMKRRHEDELEEVKKETARKVRVALKERDEWQSKFEEVSVANSSLLARIQELQSANNALQHEVRRQGQTIQELKNDCDMLETAMEGYKAQYEAIRQEYFQMRERNNSCTQSLQRKEAEMQWILRQMREVAFRARVMADKTEELRREILPKDELSERLISHLKMVRDQYDKVGFSF >EOY14334 pep chromosome:Theobroma_cacao_20110822:7:24147997:24159137:-1 gene:TCM_033715 transcript:EOY14334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVRVRPRCRPLPRVCRGGLLTGKAAHGRGDSLGLGTMMLVTLKQHKPSRSQGLMFTNITVVDKKINALVDTKASDLFTSVETVKMLGLEVKVGVAHIKTVNNKEVPTIGTASNVSIRLGEWVSNESIKVIPFDDYDFVIRLDFLDCITVMVVPFSNCIVVLDPRGQCVVLVRHG >EOY12332 pep chromosome:Theobroma_cacao_20110822:7:1720828:1726837:1 gene:TCM_030866 transcript:EOY12332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase 3 MPACEDPVDKRLMAPQLNLGMKCSEHAFKAILTSLPKPGGEEFGKFYSLPALNDPRIDRLPYSIRILLESAIRNCDNFQVTKDDVERIIDWENPSPKQVEIPFKPARVLLHDYTGVPAVVDLASMRDAMKNLGNDPNKINPLVPVDFVVDHSVQVNVTRSANTVQANMELEFQRNKERFAFIKWGSSVFQNMLVVPPGSGILHQVNLEYLGRVVFNKDGILFPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLQDGVTATDLVLTVTQMLRKHGVVGKFGVAELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSMIEAFLRGNKMFVDFNEPQQERAYTSYLHLDLADVEPCVSGPKRPHDRVPLKKMKADWHACLDNKLGFKKEQNKVAKFTFQGLPAELKHGSVVIAAIASCTNTSNPSVMLGAGLVAKKACEFGLEVKPWVKTSLAPGSGVVTKYLLQSGLQKYLNQQGFNILGYGCTTCIGNSGELDESVASAISENGYSLNLFQIQLVACVTLWFTILIYVCTIDIVAADVLSGNRNFEGRVHPPTRANYLASPPLVVAYALAGTVDIDFEKEPVGTGKDGKSVHFRDIWPSDEEIAELAQSSMLPDMFKSAYVAIRKGNPIITTDHISPAGSIHRDSPAAKYLLQRGVDRRDFNSYGSRRGNDEVMAKGAFADIRIVNKILKGEVGPKTIHIPTGDKLNVYDAATRYKATGQDTIILAGEDYGSGSSRDWAAKGSMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKPGEDADFLGLTGHERYTIDLPSKIKEIRPGQDVTITTDTGKSFTCTAWFDTKVELEYFDHGGILPYVIRNLNKD >EOY12321 pep chromosome:Theobroma_cacao_20110822:7:1702973:1704346:1 gene:TCM_030862 transcript:EOY12321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranyl-diphosphate synthase, putative MARALSHINGNPLLQVPCRPNPMPYKPMMVTMSCNQSYWASINADIEAHLKQAIPVREPLSVSQPMHHLTFACPKNTAPALCVAACELVGGHRDQALPAASALHLMYAASFTHEQLPLTQSSRPRSTIQHAYGPNIELLIGDAMIPSGLELLARSDDPAHTDSERVLRVMVEITRATGSQGMIHGQYYEVERCQSGNKEASYMVEIERISEKYEGALHACAAACGAIVGGGSEEEIEKMRRYGLYIGKIQGMLNRIRSHDQELNKLVEELRNLASRELQGFYEAKIAAISSCFESNFMYA >EOY12023 pep chromosome:Theobroma_cacao_20110822:7:667893:669462:1 gene:TCM_030641 transcript:EOY12023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLFPVFVFLVALSSSPFNLGYMVLGEADSSEVLPSPTLCLNCTVCQQPCLPPSPPSPLPGYPSYAAPPPPPSLPTYKAPPPPPRPGQPNSPPPPEQCPPSNPNPYVYQPLEDHSPTPYMPLSTFPIAILLLSFAVLF >EOY13384 pep chromosome:Theobroma_cacao_20110822:7:7941736:7943010:1 gene:TCM_031948 transcript:EOY13384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MEKPCHLFSIALVISIQCYNIVCLSDQLALNRADEEALLALKAHIILDPGNILAKNWSNSISFCNWFGVSCGSRHKRVTALTLPGMSLNGTLRPQLGNLSFLTTLDLRDNNFHGNLPPELAKLRRLKSMILSKNYFSGEIPEQIGYLPRLQDLFLSGNELSGSIPLTIFNSSSLQRIVLATNNLEGSVPDDLCDHLPVIQMLEISFNNLSGKFSPNLERCRLLQILGLSNNRFTGTIPREFGNLTMLRELYLGFNNLEGIISIPHSPCIP >EOY12802 pep chromosome:Theobroma_cacao_20110822:7:4207548:4210444:1 gene:TCM_031321 transcript:EOY12802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase, seedling isozyme, putative MQNHGAFQVFFSYSPMALFYPVFGSLKIIFGPFEQCLNCKKNFEKNMKMMKRLSEELDSLKEDIELRISAECHAGTMQTEEVKNWLEDVQRIKSETEVIEQKAGDKKFLSGAFLGKKVEVKVVELKAFLKKGKTFLGLVKYFRYIIIGGGVAAGYAAREFDRQGLKPGELAIISKEAVAPYERPALSKGYLNPKGAARLPEFHVCVGSGGERLLPGWYKEKGIQLFLSTEIVKVNLGSRTLISAAGETFKYQTLIIATGSTVIRLTDFKIEGADAKNIFYLRELEDADELAESMKAKKNGKAVIVGGGYIGLEVGAAMRINNFDVSMIYPEPWCMPRLFTPAIAAFYESYYANKGIKIIKGTVAVGFNANPNGEVTGVKLNNGRVLEADIVVVGVGARSLTTLFKGQVEEEKGGIKTDKFFKTSMPGVYAVGDVAAFPIKLYNEVRRVEHVDHARKSAEQAVKAIKASETGKEIEEYDYLPYFYSRSFNLSWQFYGDNVGDTVLFGDNNPSSPKPKFGSFWIKDGKVVGAFLEGGTPEENKAMAKIARLQPRVENVDQLKKEGLAFASKI >EOY13153 pep chromosome:Theobroma_cacao_20110822:7:6220268:6225013:1 gene:TCM_031677 transcript:EOY13153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATSGFSTTVSPVLTGENYVFWSVKMQSYLRAFPLWEVVEIGEDPVQRHANPTLAQIRQFEEDKAKRYKVLSCLHFAVSDDIFSRIMHLNSSKEVWDQLKEEFHGSDRTRRIQALNLSRQFEMLTMDDTETIKKFSGKMMGVVNQLRLLGKEMDEERLISKMLVSLSKRYESKIFSLEDSRDLSQITLKELVNTLEGLEQRRAFRQKGITESALVANSKNVKPSNGSAKRNEVGKRDKNQMSNARGVNSKDMWRRFAKVKIKTGDEKVVVVEELQADEEILFMVKHVDSEERKDVWLLDSGCSNHLTGCKDNFVDLDSSFRTRMEVGNGEFLLIFGVGTIGVQTPTGRKLINCVYFAPDVSQNLLSVGQLVDDNYMLVFKDRTCTVSDPAGKELFTVGMRNRCFPVNWMKLKQTAYKAIFVDTDLWHRRYGHINHTYLKQMAVRNLVDGLPRIGGPMKIESLNGSRFYLLFINDVTKFSWIYFLKRKSEASGGFPEFKTLVENQASQTIKKVRSDNGTEFTATKFELYLSQFGIVHQLPVTYSPQQNGTSERKNRTIMEMARCLLFEMSLPKYLWAEAANTANYLLNIAQTRALPNKTPYEAWFDVKPAINHLRVFGCICYSKVPDAKRGKLDEKSLVAVHLGYSQVSKGYRVLDVKTRKVFVSRDVKFDEAAKWNWKTQRIESSWVRGNADDVLDDYEAVNEDDENIDEVSVRGTRSLQDIYNRCHVAIIEPSSYMEAVVDVNWKQAMDVDMAMIKKNNTWAPRAWYERMDAYLQSLEFTRSSSEHTLYVKGTGNKIQLIISLYVDDMLITSPSGKFVDDFKAKMQTEFEMSDLGRMSYFLGMEIDQGSDFILLHQRKYASDLLKRFKMEKCKAVATPLAVGTKLCKDDGGAENNETYYRRLIGSLLYLSSTRPDIMYATSLLSRFMHKPSEVHLCAVKRILRYVKGTLRFGLKFSKNGNQKLQGFCDSDWGGSLEDSKSISGKSAISMAKNPVDHGRTKHIRIKFHAIREAVKNGEVAVEYCPTKEQLVDIFTKGLSTDQFKFLRDKLGVCSSGIKEVC >EOY13019 pep chromosome:Theobroma_cacao_20110822:7:5336890:5339790:-1 gene:TCM_031521 transcript:EOY13019 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MGNRTKLLPSLHVLILYFLSFQTYLSIEMDTIYPGQSLSGNQTITSENGWFELGFFKPGNSSHYYVGIWYKKLPGQTVVWVANRDKPLLDPSTSKLQLSEKGNLVLCNQSEIPLWSAESSANSIKSTVAVLEDSGNLVLRNSSNASVIQWQSFDHPTDTWLPGAKLGINKMTKRGNTYISWSNSNDPATGPFSLELDPNGTSTYFILQNGKRHWTCGMWLERVSSFSTDTVTSEYSTVSYESSEKENFYSYSVTNSLIPVRYVMDISGQLQQLVWQDDSMKWLSIWAKPKDQCEIYAFCGAYGACNQFSSRTRCECLDGFKPKIPGQWNSGNYSYGCIRRTPLQCNKGEKDGFQVISNIRIPANDVPLTNTKSLEECKSACLRDCSCTACTFHGNCSIWREALLNIQYLSFGDYLGSDLYLRLPMTELPALKGKTKGRIEWSTINAAAAIVISIAILGLLVSICRMKIFSDTKPIHDVLILFKFGDLKSATKNFSEKLGEGSFGSVFKGTLPNSGAIAVKSLKCLDQEDKQFRTELSTIGTIHHVNLVRLLGFCVKGKKRFLVYEYMPNGSLDSHLFYKDSKILDWKTRYHIALGIARGLAYLHDKCRECIIHCDIKPENILLDADFNPILSDFGLAKLLGRDFSRVLTTMKGTRGYLAPEMISGDPITPKSDVFSYGMLLLEIISGRRNWDARLDGPDAYFPARAANSVSNGGDVLSLLDSKLQGNANANEVIRACRVACWCIQDEEERRPSMGNVVQIFEGVQEVHMPPIPRFIQTITNYTKNAE >EOY12991 pep chromosome:Theobroma_cacao_20110822:7:5181475:5182375:-1 gene:TCM_031496 transcript:EOY12991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATTAAVARNLLRNSKSLPQILRGQILDRSNYINNMAKEHQIAQSLFVSNQKMHAQIPIFDFSEMGSLVGSSGRLSLAEEGDGRGMRGFVVEARGKRVVSDDDDDFDEVYEYGRIKDEDIEDDFEDFVEDADSDDFYSNDEDDDGEDDMRKRM >EOY12106 pep chromosome:Theobroma_cacao_20110822:7:976988:979584:1 gene:TCM_030706 transcript:EOY12106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 53, putative MNSSCSYPSNHQSMIPLVSSPTGIPLPHCACGMESVATIFLGNNNLTGGLPNCSISLEILDLGNNLFSGNIPPQIGVCSNLKELHLEGNNLVGRIPSSISNISSLQILTLACNELIGQIPHALSKMKSLKWIYFGHNNLSGAIPRELFNLVSLNHLDLVDNNLSGQIPSSLGNLTNLQYLFLYENKLTGLLPRSIFGLKKLVELDLSYNHLSGEIPELIIELKSLEILHLSSNNFIGKIPNALAFLPCLQLVRLSLNSLTGEIPSLICKISSIEVLNLADNNLSGTISPCLGNFSNRLSILDLQSNSFHGTILETFREDCGLRNINFNGNKLEGSLPRSLANCKNLEMMDVGDNKINGTFPYWLYTLPELQVLVLRSNKLHGVLQSSKIIHPFLKLRILDLSNNDFTGPLPKGIIKNLKAMMNLSEQQSSLQYMQGRYYYYHVNLTVKGFYSEFPEISKTLTSIDLSNNNFHGEIPSVIGKLRSLRGLNLSHNSLGSQIPTSMGNLTSLEWLDLSSNKLTGQIPNELTDMTFLAFLNVSHNRLTGPIPQGKQFSTLENGSYEGNLALCGFPLSKACNNDGRKQSSPSFLKEADDSESKISFGWKIVLMGYGCGLIFGAVIGYVTFRNGEPKWFVTLFRVKYH >EOY12811 pep chromosome:Theobroma_cacao_20110822:7:4244232:4245206:-1 gene:TCM_031331 transcript:EOY12811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATFSKTLEAEDLKKGLLIPSSFMDTLSRDGKKFYMHAVDKAGQAWKFPCFIEQSGSLEFVGRKDARANPSAISVRWRAFIHQKDARVGDIVFLHQVSMDDNRMEMQLRIEVKKKIKLFGVDLWAVV >EOY14250 pep chromosome:Theobroma_cacao_20110822:7:22602083:22604168:1 gene:TCM_033551 transcript:EOY14250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLNQSMEELFSASATKLRNESVFSQKRPGETCSHGDEFDWSSIFQQQQMELLPFPNTFWPPSNNQPENILTQASQAPNNSNASLLKRSNSKLSEPDRDRKRKTDKKYREKELKIKSLRPIHCVLKMRS >EOY12320 pep chromosome:Theobroma_cacao_20110822:7:1689357:1694235:1 gene:TCM_030861 transcript:EOY12320 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MAAAKKQKHCCPWRPAPGPYPWLVYSHGENNESQTFCTITNPNETHLRRIPGLQGQKFWTSCHGPSLFSDTGHRQFFLWNPFTLQEIRLPSLNFEGTIHQCTIFSYPSDPNCMIFLSATYVPSILFLQLGDKQWTKLNYEKEVQKCLKESGELAQQDDDGFGGYAVIGKEVVVIDVHRVDPSKKIWEKVEHVPDLAFFLNYYENYAFCCQVIEPEIYGNRIYFPLKEILYSYNIRDRTLSHSRPLSNIQSSYSSFWILPDQRCIMAEEEYARVQVQEGESNVVVEKRGNEGRKYNDLPLDIAAEIAGRLTLGDYLHLRTACTVFRSVSPPVDWKKKALEGLELPPLSPWLIFFEKDGVCSLVDPRHGDKYLISLPPSLSNCGIYYSKNGWFLMSGNTDSTFLFNPFTRKIVPFPKDDIPYRFFTSYMGFSCYPTSSDCLVVVFGQKFYQKVYLSYTRLGGQGWTDVDFHSSMDFVFCENSPVFYQGAFYCLGRGGNLGVLQFTAGEVTWRVLLKPTRPCSSYHQNFLVECNGKLLSVFVGEFGKGVRVFKLNHSPMAWIEVESLGHYMIYISRSSSLAAMATAPGMENKINFPRFCGQSSHSIVFYSLDTKKFHSFESGNAEVDFYSTREQLCACWIEPNWC >EOY12526 pep chromosome:Theobroma_cacao_20110822:7:2562015:2565782:1 gene:TCM_031028 transcript:EOY12526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing-like protein MGVNIPHVLKGLNEDQSWTLFEQVAFEGQGQMDPKLKVIGKDVAQKCKGVPLVIKCLGGLMRQNPNERYWSFVQENEIWKLLKEDDGVIPVLKLSYIHLPSHLKQCFAFCSILRKDYNIPKDRLILIWRAQGYIQSQIRNENIQDIGDEYFNDLLSRSFFQEEVKDENGNIIRCKMHDLIHDLALSVAKSSFSSMKDDKEKLPKATLNELRDLNDLENHLGIENLDMVRNVELESMEAILREKKRLQSLRLQWGPNIRGDNKKNELLLDNLEPHPNLKELTVFGYEGPSFSECPELQYLPEGTHQLTSLQELCVKNCPNLRALPDWVLNLTSLETLKIQSCSELHCLPEGTHRLTSLQELHVEDCLNLKALPNWIPNLTSLKTIDIWGCDKLQYLPEGIQHLTSLRSLSITGCPQLSERCKKETGIHWPHIAHIPYIYIEGN >EOY11842 pep chromosome:Theobroma_cacao_20110822:7:93810:98335:-1 gene:TCM_030513 transcript:EOY11842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MSHYQEDYAEYMADEYDMEDIDDDMDEEFRGRYMSGSDSDLDEYDYMNNKISDTSAAQARRGKDIQGIPWDRLSITREKYRQTRLEQYKNYENIPHSGEGSGKDCKITQKGASYYDFRLNSRSVKSTILHFQLRNLVWATSKHDVYLMSHFSVMHWSSLAHRKHEILNVSGHVAPSEKHPGSLMEGFTQTQVSTLAVKDNLLVAGGFQGELICKNLDQPGISFCSRTTYDDNAITNAVEIYVTPSGAVHFTASNNDCGVRDFDMEKYQLSKYFHFLWPVNHTSLSPDGKLLIIVGDNPDGMLVDSSTGKTVMPLHGHLDFSFASAWHPNGVTFATGNQDKTCRIWDVRNLTRSVAVLKGNLGAIRSIRYTSDGKYMAMAEPADFVHVYDVKSGYENEQEIDFFGEISGLSFSPDTESLFIGVWDRTYGSLLEYGRRRNYSYLDSLI >EOY12928 pep chromosome:Theobroma_cacao_20110822:7:4862185:4864900:1 gene:TCM_031429 transcript:EOY12928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASGAVMAYNVDPIKAYNCETKMTLHCVIEVFQSIFKTGIVTDNCCIELIGLGQFCHDVLIKKTLQNPLFKNNDTLVILSRGAQVWNKCTLVNKDVSPSLSPY >EOY12212 pep chromosome:Theobroma_cacao_20110822:7:1360931:1361703:-1 gene:TCM_030782 transcript:EOY12212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLPNCNTPSLLGQLQHAKKKPHPLIAATASPAMARVFEKSLTRKDKEKGLMISNTEASLRLLPGHNSPLMVKSANRCMVFEFMVDGESSCIIRGREWREFIGDYNVGSIVTLYREDDDSYKIQVR >EOY11853 pep chromosome:Theobroma_cacao_20110822:7:126068:130721:-1 gene:TCM_030525 transcript:EOY11853 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein MTSSGGGGGRYMAYSPSPSAPHSPHLSGLRSAAAAASSAAFLDQEKYLSELLAERHKLSPFMPVLPHTYRLLNQEILRVTTLLGNASVLGQSGLEQASPLASGGILSNGGADMNGWTSRFQSEMSGLVQPSSAQNWLSSQGSSSGLIVKRTIRVDIPVEKYPNYNFVGRLLGPRGNSLKRVEANTECRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILVEGELPVEIVDARLMQAREILEDLLKPVDESQDFYKKQQLRELAMLNGTLREEGSPMSGSVSPFHNSLGVAVSGNASNYRRGTGTVACQF >EOY13433 pep chromosome:Theobroma_cacao_20110822:7:8311574:8313589:-1 gene:TCM_032007 transcript:EOY13433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brassinosteroid insensitive 1, putative MASNNLSGVVKLDTFLELKNLEFLRLSNNKLSVLSKTSPNASPPRLIKLRLVSRNLTSFPDFLRNQEGLQILDLSFNHLHGLIPKWLWEMSKKTLLLINLSHNSLTSFEEPPLILPCTNLIRLNIGNNMLRGSLPIPPLSTVIYLVSNNSLEGEISPLLCNVSFLQVLDVSYNNLSGMIPQCFTNFRKSLAILDLYANNFSGPIPRIWRSGNKLHLINLGRNKFYGQVPRSIARCTLLQYLDLGDNQIQDTFPSWLGVLQNLRVLILRSNGFHGTIGAPRSDSVFPELHIIDLSSNMFTGLLPSKYFKIWNAMRNLSAESLTYMHFISTVFAVEYNYKYRMVYNYSMTLTNKGVRTKFTQVSEVFTVIDFSCNRFQGEIPDSIGNLKGLQVLNLSNNLLVGQIPLVIGSLSKLEALDLSCNKLVGRIPWQLKQLNILAVFNVSHNHLTGPIPQGGQFNTFESSSFDGNLGLCGNLLSIKCEDSEASSPSSSTSEEHEDLVPISHFGWKVVLMGYGFVMVIGVIISQITIKRKPHWFIKIFGVKQWKRPIKSVARW >EOY13996 pep chromosome:Theobroma_cacao_20110822:7:16846177:16859162:-1 gene:TCM_032997 transcript:EOY13996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVWLKSRELFCLYQATTCHVLPSNACSNICKYEYVNSRFLIDLFYQLTAELLSSNGNVIVKSSQPAMLRFRSLPVQLARTFLMSIPFLHEISSGEPSNKGKEIVPEDRE >EOY13934 pep chromosome:Theobroma_cacao_20110822:7:14426851:14430294:1 gene:TCM_032775 transcript:EOY13934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWLDEEVLSDQTYSVDSERQADDCDHYDDIDVERDVVPTVDSHVNEQDVKPQHKSLLTNPIVMARVRMASKYRACLYVDLVQHYRDEKNMMSIPYEEFKKDERARSYVKILGCKARTNRRGVSVDTHYPECQPETPQGLISVSHFPFIRDTLAEGYPKLSPPRLIKSYREVNSKVIPRELPYSPLQSRIPKERKGKMLTKGTDGVLRYGTRLYVPNNDGLRRKILEEAHMATYVVHLGATKMYQDLKKVYWLEGLKKDVAEFISKFLVCQQVKVQHRRPASLLQPLPVLE >EOY12904 pep chromosome:Theobroma_cacao_20110822:7:4737215:4740733:1 gene:TCM_031410 transcript:EOY12904 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein MGMDILSFSACFLIIFSKASIALDKISPSESLTDGTTLVSSDGSFALGFFTPGSSKNRYLGIWYNNIPMQTVVWVANRINPINDTTGLLKIESTGRAVLLGQNQTTVWSINSTEAAQNPILQLLDSGNLVVRDGKDGDSENYLWQSFDYPTDTMLAGMKIGWDLRTGLNRRLSAWKNSDDPSPGDLTYGVELQGNPQMVLRKGSEKYYRSGLWNGNGFSGVPNLRSNPVFDYDFVWNKEEVYYIYYLKNKSVMSRFVLNQTEKVRQRYTWNPETQTWKLFSFMPSDYCDTPGLCGANGNCDNSKLPACQCLKAFRPKSLERWNSSDWSEGCIHNKPLNCQRGDAFIRIERVKTPDTSHSWVNKSMNLKECRARCLQNCSCMAYTNLDIRGRASGCAMWFDDLIDIKQFQSFGQDLYIRVSASEAELKNKSEAKLAMIIATPIAVFLGLLVVIYYIRRRRRKLEDEVEERIENDQKNQGRSEDMDLAVFELGTIARATDSFSFHNKLGEGGFGPVYKGTLANGQEIAVKRLSKSSGQGLNEFKTEVKLIAKLQHRNLVRLLGCCIHGEEKMLVYEYMPNRSLDSFIFDQRRCKVLDWPKRFQIICGIARGLLYLHQDSRLRIIHRDLKASNVLLDSEMNPKISDFGMARTFGGDQTEANTNRVVGTYGYMAPEYAIDGLFSVKSDVFSFGILLLEIISGRKNRGFYHKNQSGNLIEHAWRLWKEGKPLNLADDFLAETGSLSQVLRCIHISLLCVQQHPEGRPSMSSVVLMLGSENELPLPKQPGFLFHKSPFEADSSSGNHGSSSKNEISLSVLEAR >EOY13309 pep chromosome:Theobroma_cacao_20110822:7:7437274:7441393:-1 gene:TCM_031854 transcript:EOY13309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MGRLIRMLYQILCLLLELLYFQLHCSLSFPSSSLHSPHLCLPEHRAALLEFKNTISLGDCRTFSSYPKIYSWNESTDCCSWDGVSCHMVTGHVIGIDLSESCLNGTLPANSNLFHLQELQRLNLAYNDFNGSISSKLFNQFVSLAHLNLSFNSFSDLIPYEISLLSKLVSLDLSWSGGDHNLRFDNQGFEMLARNLIELRNLILDSVDMSDVAIPSFLNLTSSLERLSVKECQLHGEFPIEVFRLPYLQHIDLSYNYNLTGYLPKSNLSNALQLLDLSSCRFKGSIPASFGNLTQLIFLDFSQNDFGGQVPDVFGNLNKLTFLSFSSCNFSGQLPTTMFNLTQLTHLDLSFNRFEGPLPNHVSELQLLEVFWLSSNLVTGGVPSWLFTLPSLQTLDLHYNKLTGAIDQVWKPNSVQYIDLRSNDIHGPIPSSFFDLVNLEYLDLSSNNLSGVVKSNMLAKLKNLFSLDLSNNSLLSLSASENDVNYTFLKLASVSFSSCSIRQFPSFFRTSNLVDLDLSNNKIRGGISKWEAEGWENLNSLNLSYNFLTTLEQFPGKNLRILDLRSNLLQGPILSTCLNLQIKNPPWSFYSFLISNNNLIGNIPPLICNWSLLNSLDLSRNNLSGTIPECFGNLSKSLEFMNLEMNNFYGKIPDFFTNYNYNNLKYLLLNDNQLEGLLPRSLANCSSLEVLNLSNNKFTDTFPHWLASLPRLRILILGFNRLHGPMPNSVASSNFSVLQIIDLSNNKFTGPLPTKFFQNLRAMKDVTKEGPWDPFSFLIVGYHGRPYGEYQTFVNITMKRLEFELGKTLAIFKFMDFSNNLFCGQIPEELGELNSLQALNFSNNNLIGPIPPSFGNMIALESLDLSSNKLGGRIPSQLTNLTFLAVLNLSQNDLVGQIPRGKQFDTFENDSYGGNSGLCGFPLSKQCGNDEEPKLEEDEGSKIAFIWKVVMMGYGCGVVLGLSMGYIVVFEWNPMDPTSLASGSTIRHGSGLKNSRAH >EOY14217 pep chromosome:Theobroma_cacao_20110822:7:22228751:22232393:1 gene:TCM_033503 transcript:EOY14217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton pump interactor 1-like protein MAKEPEKGNWRPIPAAVGDEGRVDCLELHEGGIEPHGIVLNEDGVSKKVHQFYFVKFWPYKDPEEHSKFEWARKQSEELDQQKKILIDDKRQEMVSPRVWVTHGSNNLANEEQLSTEIPNVQQKDINSSFLGREINNRAYFWYSMSRNKEQFVQILEGVMQIEERQQKAMADAASNGNIWNPLSSKKAIDEQLLNKIPEILRPECCEVMAEIKCLEDELKAVDKEMNDLSKTFQGLIQRKQEAYEFLLSTINQCEEAKANYYEYLSLLSNARDLARKKDVAALEELSRRQVDKFMSRWNSSQAFRVSYEKAILPSLDNRELGRDGLVRNDDEGPILLKDSAHVNGDTEANKLLIIRSVSSLLALFIVFDIRNNM >EOY13878 pep chromosome:Theobroma_cacao_20110822:7:12172746:12176590:-1 gene:TCM_032573 transcript:EOY13878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein MIFVKSLRHNRISFGPFNSFLQMRWKKPVASAQTRLETRTRDLKLDKLMAHIHKVKTILNIHHLMSQRKRGPFVSVQLMSRWKNIVGLNVGMGAFVHKYPHVFEVFMHPLGRNLCCRITRRVKDLIDEEKNVVKDCESELVQRIKKLLMMSKNGTLHVHALRLIRRELGLPIDFRDSILRKYSKDFRLIDLEIVELVDRENLAVAEVEKWREKEYKDKWLSEFETSYAFPINFPTGFKIEGGYRERMKNWQRLPYLKPYEKKEVVRVRTCGGIERFEKRAVGIIHELLSLTVEKMVEVERLAHFRKDFAIEVNVRELLLKHPGIFYISTKGSAQTVLLREAYCKGCLVVPNPIYVVRRKMLDLILLGCRNTRSLQYQEEIKEERNGLVFKTSGEGRRDGDWVLPILDSYDGKVLESPGEINHSEQAFDDCESESSQSDVFFKDDSS >EOY12093 pep chromosome:Theobroma_cacao_20110822:7:908864:940124:1 gene:TCM_030694 transcript:EOY12093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 6 MVIYAFSPFISSHYYSISLLAAYSEPFNSSTFFFFLNLQPNLSSSSSSVTHSCFPEEAAALLQFKTSFSIRDTSSYYDYFGVKFYPKTNSWQEGSDCCSWDGVTCDNIKCQVIGLDLSCSQLYGGFPSDSSPFHLPHLQKLKLAFNRFNSSKMSSKFGQFASLVYLNLSQTVFAGQVPSEVSHLSKLVSLDLSLNDFLKFDKYTLERLVENSTEVRQLFLDGIIMSS >EOY14002 pep chromosome:Theobroma_cacao_20110822:7:16981764:16992851:-1 gene:TCM_033012 transcript:EOY14002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMALQDLMILCLQDIYTRGHPRVHYFHIGEKSSEGLFNIECGGHRCTRVFRWIITGVNIKVVDHFIDQHAIKEVFNALMDGAKHVIHDKFGEVNTMVVFDVKDELKVLLGKSGVI >EOY12499 pep chromosome:Theobroma_cacao_20110822:7:2378299:2379859:1 gene:TCM_030988 transcript:EOY12499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase nepenthesin-1 precursor, putative MSATLHFLNAKLFLCLLVTLFHHHFVFATSNPAGLSLRAVIDDSPQSPLYLVGSLSRAERIAKLIKITKARANYLDLVSRPNARVVPDYLHIPILRDVLFYVVVFTLGSQQHPVKLLMDTGGGLIWTQCQPCKNCFPQNLQIYDPRVSASYGTLPCDHPLCDGDHRLYDCVNGECVYDVRYAGGASTRGVASLESFQFFIDHSSRRTFSNVIFGCSDDNTGFSFKNCGISGIFGLNLSPDSMSSQFSPLIHNRFSYCFVPFLDAMPRPIILRFGEDIPQLPPEHLHTTLFTYTPPGSYYFYLELLDISVANHRLGFQPDTFRIRQDGLGGCFIDSGALVSQIDSNTFGVNAYEAVLAVFGAYYGSRGLQRTNGRVGLELCYETPANYHDFAAITFHFNGADYTVDGQLGHFIDPVNGFFCVAITSGQMGTVLGAWHQQNKRIIYDRWMGGLQFADEQCINDVL >EOY13212 pep chromosome:Theobroma_cacao_20110822:7:6537759:6540923:-1 gene:TCM_031733 transcript:EOY13212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cobalt ion binding MATQSLYRSLLSKPKTLTSLPFPYSRPFSSFSSAVAVSPKTLITPSPPPSLSFLRRLRAPLCSPLLCRDSLSPAVKSFATRAARSSLNDPNPNYSNRPPKETILLDGCDFEHWLVVMEPPKEDATRDDIIDSYIKTLAKIVGSEEEARMKIYSVSTRHYYAFGALVSEELSYKIKELEGVRWVLPDSYLDVKNKDYGGEPFINGQAVPYDPKYHEEWVRNNARANERNRRNDRPRNYDRSRNYERRRENMQQPYQNREMSPAMQNAPNSGGRMPPNNMGGGGMPPPNNMGGGGMPPPNNMGGGGMPPPNNMGGGMPPPNNMGGGGMPPPNNMGGGGMPPPNMGGGMQQPSNQGWSSNTPAHYQNNYAPPPNMGGGNMPQYQNNYAPPPNMGGGNMPGGNYQS >EOY11959 pep chromosome:Theobroma_cacao_20110822:7:451582:454696:1 gene:TCM_030592 transcript:EOY11959 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein MGSKSRNDNPFSGDGASPGKIFIGGLAKDTTFPQFNKYFAKYGEIIDSVIMKDRYTGQPRGFGFITYADPSVVDKVIEEDHIINGKQVEIKRTIPKGSSHSKDFKTKKIFVGGIPSSVTEDEFRSFFSNYGKVMEHQIIRDHATNRSRGFGFIIFDSEEVVDDLLSKGNMIDMAGTQVEIKKAEPKKASNPPPAPAYGSNSRGRSYDDGFGGYGGSYGGFDGGFGPGPYRTPGSLGGRLGTGYGYGSGGGGEFGGYGGFGPSSLGGYRGESSLGYSSRFGHYVGGFAGGYGGSSVGGYGRGGDGYGSYAGSGYGGGYDSGPGASYGGAGGLYGRGGYSSSSRYHPYAR >EOY12957 pep chromosome:Theobroma_cacao_20110822:7:5030080:5032902:1 gene:TCM_031463 transcript:EOY12957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase 44, putative MLLSLLPISNLVAASLIQADYKSWTMSLEMIVLDASMHCWFVLALLVWLASFIWACHDICFNCYKDLLTFILQRFEKYPNFKSRDLYLDGPSYARHFIHSFANSLLDYSIKQSNSFKFNIKRLALGNPLLHNKLDTFAIYDFFWSRGMININFHQQILKECNGIDKDYHSHNAIKWSESCQQAMDKAKMAAFIVSSINVAKARRFDVLRDPCDKKWETLLRRKSGLKYSDANKDIGMLSALKKDADKDIDILPALKKILQQSIPITIFSGDQDAIVPTVGNLNHVNKLAKDMNLNMTKDEAWNHENKSGGWMYSYDNLLNFMTVRRTNHPATFSKPSETLFIFTSIILNPSH >EOY13066 pep chromosome:Theobroma_cacao_20110822:7:5769498:5774151:1 gene:TCM_031593 transcript:EOY13066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHQTDTIILVSWLRKKLSWLLSCPGVPFPKPQSFALDSHNSFAPNSWNGDISVSGMFFYDLYTSVIFSTWKQRSSRRRRVIRYNRCTKRLHKRRQVRSQTVSTGEGRPPGSKTSFAADSAEDGIKRAVEMAEYVGDTAKKTLDGAWEAAKDTAQGIKERVTENDDDIEEDVAVDEIRKADQIVDTQEYRSVEELRAKTGGYDKAH >EOY12824 pep chromosome:Theobroma_cacao_20110822:7:4301996:4303756:1 gene:TCM_031342 transcript:EOY12824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) protein-related, putative MEIIRSSFVFIILLVFVSGVVQSKADSVRCRNPASRCYGKYIECPYECPSTSYGNQKAKVYRVNCDSPVCKSYCKHRKPNCNGPGSASYDPRFIGGDGVVFYFHGKSNKHSAWSPTAVSKLVAASSAIALLAEPGTSLGFKHLESYSTLAPSPLRPLRLQHGTVNSRMCSLRLVLPEKDVKVERVANKNSVIVTLKDSAEIMVNVVPVTKEDDRIHNYKVPSDDCFAHLEVQFRFFALSPEVDGVLGRTYRPDFENPAKPGVAMPVVGGEDNYRTTSLLSADCSTCLFSPESGSNQETTSVTEYLTLDCSRGASAGYGIVCKK >EOY12203 pep chromosome:Theobroma_cacao_20110822:7:1288207:1290550:-1 gene:TCM_030770 transcript:EOY12203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial cytokinesis Z-ring protein FTSZ 1-1, putative MVTVQVTNPKDLLSRTSSSSLLSSRPCFSSKKPLKRSCLRRQRFGGISCSFAPMETAKIKVVGVGGGGNNAVNRMIGSGLQNLDWIEEGNGDDNLGDFGGKIGVDFYAINTDSEALLQSAAENPLQIGELLTHGLGTGGNPLLGEQAAEESRDAISNALKGSDLVFITAGMGGGTGSGAAPVVAQIAKEAGYLTVGVALDAIEKLQKNVDTLIVIPNDRLLDIADEQTTLQDAFLLADDVLRQDVKAVMKDSGTAMLGVGVSSSKNRAEEAAEQATLASLIGSSSQSATGVVYNIYWRKGYNLAGSKQSITGTCGVWSD >EOY13631 pep chromosome:Theobroma_cacao_20110822:7:9662578:9663862:-1 gene:TCM_032255 transcript:EOY13631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSICMVLLLLLLFVNPKLPLICEEKVHVKGGNLNCQVDFLPIKVIIWHDSCDIAFLEKCMIELILE >EOY12412 pep chromosome:Theobroma_cacao_20110822:7:2053352:2066481:-1 gene:TCM_030929 transcript:EOY12412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein MALECLVSVIANYMGNLATECASPYVAYFFHFGRNVKQFKDQLEALKSKRDEVKDARDEALRQTEVIKEGVEEWLEKAEKELDEAQSLDEEIERNTCFNWCPSCGWRYCLSIKVAKKTLCISKLIETYNFQRVGQRPPLQGIEFLLPKDFMTSESSNSAFNGIMKALKSDGVNMIGLYGMPGVGKTTLAEVVGKQVQEKEQKLFDKVVIVTVSQTPDINKIQDKIADIFGLKFEKNSKEGKAEELWRRLKGEKKILIILDDVWKKLELQTIGIPFGGEHEGCKILLTTRLQQVCIQMNCQEKFELEILSEDKAWALFKDNASLEHVSLTVNDVAKEVARECKGLPLAIVTVANALKGESLDGWIAANQRLKDSRPLDNQDVCGDIYSCLQLSYDYLKEDNIQSCFLLCSLFPEDYEIDSERLTVIGLGLGLFCDINLIEDLRREIRLALSKLQKSGLLLETDDEESVKMHDVVRDFAHWITSTGEKMFMVKNELTEWPNSENFGCYTAISLWNSKIDNFPERLEFSKLKTLILSGTDYQIVPSTFFEGMKTLRILVLVRFEFSMKAFQFLTNLRTLSIRRCHLLEDISSLRNLKDLEFLELVKVDLEELPKELVELGKLKSLYFSCFGDGPINVPPNLLSRLTSLQELHVPRDNNANLLELNSLSRLTTLTLIVSTDQCFQENFVFPKLQSYSIAVNAYIEFPEKRISRILTISDCSSLNAFKELFPNVQKLTLFKVMEHKTVVPNVDQWGLNELTSLQLTSCDDLECLIDTTWEQSPTTAFSNLVNLDIGKMTSLKELCHGQSPNSFLQNLETLIICDCGQLQSVFQMNGQMLSISNLRSLELQSLPALESIWKEPTHYVSLQSLKDVKVDGCDKLKSIFSPYLAQSLLHLEQLKISACKKLEQVFAFAQDMAELEENQAPPLSNLICLELESVPELRCIWREPTHLVKLKSLKTMRIGSCSKLEYLFSPTLAQALVHLEELKIKESNSLKHLIMEAENGDEIVSNKERSLLCWPKLKSLEIASCKSLRYVFPITLAQGLPYLESVQIIDCPQLMHVFNMAKEEDKHDIMLPKLQFLRLEDLENLRSFCPRNCFVKLPSFKGLEASNVGARPQDIEINAVKELLYNVKSLALTRVMYHKNLVPNVDPKGLNELTFLALKDGKELECLIDTTEGHVSTSAFFNLVELVIQDMTSMKMLCNGQFPKGFLQKLEKLEATNCMEMVSLSPALQDLKEVKVINCGQLQEVFQIDELLHDAEETLLSNLALMELQLLPQLQWIWKGSTHFVRLQSLKILEISFCNSLKYLFSPSLAQSLVLLDQLKIYHCCGLQHIIKELDCDDGIEPNAHLHSPFLPKLETLTISNCPKLEYVFQISLAQVPPRLKFVWVSDSPQLQQVFNMVKEKHGVDRAIALPCLQQLQLGNLINLSCFFSENFLITSPSLENLVFWDCPKLTNFTIQKEVNEQAQLKDLGLHAMKDLLYNAKSLTLKGVMYQKNLVPNVDPKGLNELTFLALKDGKELECLIDTTEGHVSTNAFFNLVQLVMQEMIGFKMLCNGRIPKGFLQKLEKLEATNCMEMVSLSPALQDLKEVKVINCGQLQEVFQIDELLRDTEEPLLSNLTLMELHLLPELKWIWKGSTHFVRLQSLKYLAISFCNSLKYLFSPSLAQSLVLLEHLNIYHCYGLQHIINELDCDDGIEPNAHLHSPFLPNLETLTISNCPKLEYVFQISLAQVPPRLKFVSISDSPQLQQVFNVAKEKHGVDRAIALPCLQQLQLGNLINLSCFFSENFLITSPSLENLVFWDCPKLTNFTIQKEVNEQAQLKDLGLHAMKDLLYNAKSLTLKGVMYQKNLVPNVDPKGLNELTFLLLKDGKELECLIDTTEGHVSTNAFFNLVQLVIQEMTGFKMLCNGRIPKGFLQKLEKLEATNCMEMVSLSPMLQNLKEVKVINCGQLQEVFQTDEILHDTKENQGPLLSNLTLMELHSLPELKLIWKGSTHFVSLQSLMFVEISYCNRLKYLFSPFLAQSLVLLEQLKIDHCNGLQHIITELEFDDEIESEDHPYSPLLPKLETLTISDCPKLEYVFQTPLAQVLPRLKFVWISNSPQLQQLFNVTKEENGVGRAIVLPCLQDLRLGNLINLSCFCSKNFLIAAPSLEKLVVFNCLKLSNFTIQKEVNQQAQLKELYLSDLGNDKGCNTINSQSSWSLVNLEYITVGNCVKIFQMQAGQFFSRVENIQLEHLHQLQGPIQVASLQCLRWLHVSKCNRLKYLLSPMLVRNLPHLTHLEINHCEELEEIIEMDQTSASLSQAHLQPISFPSLEIIRIYKCSNLKSLFPLSITCSPSKVKIISIDGASKLERVFNLDVEDDQKGIVLPNLQGLLLKELPSLKSLSQGYHFRFPCMHYAEVKECPKLNTSFSIDSKRVVHAITEAPEQVENDRREGLITKEEIVDNQAACNDVFWLCGYQEETLPLYMNVDEAEDN >EOY12907 pep chromosome:Theobroma_cacao_20110822:7:4759867:4792160:1 gene:TCM_031413 transcript:EOY12907 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MVLRKGSEKYYRSGLWNGNRFSGNPSLRANPVYDFDFVWNEEEVYYINYPKNKSVMLRVVLNQTENLRERYTWNPETQTWKLFSFVPSDYCDRPGLCGPNGNCDNSKLPACQCLKAFRPKSLERWNSSDWSEGCVHDKPLNCQSGDRFIRIQRVKTPDTSHSWVNKSMTLKECRARCLQNCSCTAYTNFYTRGKGSGCAMWFDDLIDIKQFQSDGQDLYIRVSASEAEQKNKPEAKLAMIIATPIAMFFGLLVVIYYIRRRRRKLEDEAEERDEMDHMNQGQSEDMELAVFELGTMARATDNFCFDNKLGEGGFGPVYKHRNLVRLLGCCIHGEEKMLVYEYMPNGSLDSFIFDQKRCKVLDWPKRFQIICGIARGLLDLHQDSRLRIIHRDLKASNVFLDGEMNPKISDFGMARTFGGDQTEANTNRVVGTYGYMAPEYAIDGLFSVKSDVFSFGILLLEIISGRKNRGFYHQNQSGMEIMETRQAVGSC >EOY13972 pep chromosome:Theobroma_cacao_20110822:7:16062524:16066200:-1 gene:TCM_032927 transcript:EOY13972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 58 isoform 1 MGKGRAPCCDKNQVKRGPWSPAEDLRLITFIQKHGHENWRALPKQAGLLRCGKSCRLRWINYLRPDVKRGNFTREEEDTIIRLHEGLGNKWSKIASHLPGRTDNEIKNVWNTHLKRRLASKNGNILQTDESKESSMTSSSSCSSITFVSSSSGKRNLEIDIEHQWDEGSVNKKPREAFAMSVSDKAQDFKTELSNHSSPFEEPKELSSSSISSYNSNITNSSQIDVSNPENQAGSLFNFAGLYDANNASEEVNKPEILDTAFDIPLESDLDFWDMLDNLGSFQSDGIQLHEMEGNQSSNFGEGYIKEAVNKKWLHDLENELGLEVTRDENQNDLSNNAAEPLVPEMYDMLLKPEADMGMGHYHAWSSSQHSPAI >EOY13973 pep chromosome:Theobroma_cacao_20110822:7:16062521:16065880:-1 gene:TCM_032927 transcript:EOY13973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 58 isoform 1 WRALPKQAGLLRCGKSCRLRWINYLRPDVKRGNFTREEEDTIIRLHEGLGNKWWSKIASHLPGRTDNEIKNVWNTHLKRRLASKNGNILQTDESKESSMTSSSSCSSITFVSSSSGKRNLEIDIEHQWDEGSVNKKPREAFAMSVSDKAQDFKTELSNHSSPFEEPKELSSSSISSYNSNITNSSQIDVSNPENQAGSLFNFAGLYDANNASEEVNKPEILDTAFDIPLESDLDFWDMLDNLGSFQSDGIQLHEMEGNQSSNFGEGYIKEAVNKKWLHDLENELGLEVTRDENQNDLSNNAAEPLVPEMYDMLLKPEADMGMGHYHAWSSSQHSPAI >EOY11913 pep chromosome:Theobroma_cacao_20110822:7:337713:338903:-1 gene:TCM_030567 transcript:EOY11913 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance-like protein MKMENLLTLDVSSTGLEEYLDLDYMVNHPRFLQRLYLKGRLEKLPGWISSSSLDSLVRIYLKWSKLNTDNNPLWALQALPNLLELQMVDSYMGKSLEFMPNSFQKLKILHLEQFASLNMVVVETNAMPKLEKLTLCKCEKLEILPSGISKLTHLEELFLFDMNESFLNRLKKDCEDRSMVDHIKIIHSYRLGSNGLWSYQNLS >EOY13974 pep chromosome:Theobroma_cacao_20110822:7:16145670:16146287:1 gene:TCM_032932 transcript:EOY13974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTLGFDVILGMDWLASCYANVDCYHKLVKFKFPGEPSFVIYGHSSHLVDSAMATITREVQSEEGNLEATLIANEFVDVFPKELPGLPPKREIEFRIDLIPET >EOY14128 pep chromosome:Theobroma_cacao_20110822:7:21210816:21212140:1 gene:TCM_033398 transcript:EOY14128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFLQGLWLLKLRKFEAITGIPSKCESSACVDYVPSLCKHYLSLLPIEWSGEVFKLRWCRQFAAQDVAKSPLNLII >EOY12344 pep chromosome:Theobroma_cacao_20110822:7:1761663:1762917:1 gene:TCM_030875 transcript:EOY12344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor SUI1 family protein MVDIGSQIPTTFDPFAEAEEFGGTGTKEYVHIRIQQRNGKKSLTTVQGLKQDLSYEKILKCLKKDFCCNGNVVNDKELGKIIQLQGDQRKNVSQFLVNAGIVKKDQIKIHGF >EOY13047 pep chromosome:Theobroma_cacao_20110822:7:5591040:5594513:1 gene:TCM_031562 transcript:EOY13047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVDSFMFGFEVLKRQFSIQEYVQIPISSISKKKNYEFSNFKYQIKNLSIYNFKYKYVTKHLQGCSTLGTK >EOY14299 pep chromosome:Theobroma_cacao_20110822:7:23429022:23430626:1 gene:TCM_033644 transcript:EOY14299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGYNENAETGTWVHKRMHQGEAGNDNDDKNMPLTASNKPSSSTMRSSSAGNHGFAKADHAMTSHLDDIDQHIRCILKRQQSLEAHIVQLLSKEGH >EOY13981 pep chromosome:Theobroma_cacao_20110822:7:16292353:16294265:-1 gene:TCM_032944 transcript:EOY13981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin 1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLGDDKTAREYNIEGGSVLHLVLALRGGSL >EOY12982 pep chromosome:Theobroma_cacao_20110822:7:5148373:5148833:1 gene:TCM_031490 transcript:EOY12982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQQLSVTIPVLNILAKTSLTQCNVILVSQLFALMGSTSRAMTTPLAIITKTPKTI >EOY14337 pep chromosome:Theobroma_cacao_20110822:7:24211123:24218400:-1 gene:TCM_033724 transcript:EOY14337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLREVDEYDAVKVMCFKRLLNVCPQGFFCAGLLHDIILHKINEPNVMEHEFWFVIGKTKGDTTNMALVLLTNNILFDQDYRRWVMLWLLALVEDMEAWNAFPWGHCVWRLIADYILIGFEVPSIVEFEVEVSKKSKPFHYSVYGFAWAVQVAPPSVFHFPFHITFH >EOY12251 pep chromosome:Theobroma_cacao_20110822:7:1484185:1487251:-1 gene:TCM_030814 transcript:EOY12251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrimidine b MASSSSFSTCTLNRGSFATKAINFNKVFKCNFSSLPNRLPVSAKLSADFTCSRLLTSEKSLKLDQSQRLSRNGMQCCALEVEDVPTFLVGKKFQLDDVIEAQQFDRDILNAIFEVAREMENIEKKSPGSQILKGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTIEGYSDIIVMRHFESGAARRAAATAGIPIINAGDGPGQHPTQALLDVYTIEREIGKLDGIRVGLVGDLANGRTVRSLAYLLAKYQDVNIYFVSPDVVKMKDDIKDYLTSLGVEWEESADLMEVASKCDVVYQTRIQRERFGERIDLYEEARGKYIVDKEVLKVMQKHAVVMHPLPRLDEITVDVDADPRAAYFRQAKNGLYIRMALLKLLLVGW >EOY13594 pep chromosome:Theobroma_cacao_20110822:7:9415672:9416009:1 gene:TCM_032194 transcript:EOY13594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSEYGGVSTRADRNDATFLSHRFEHCKFRKFEMPILQRLSKPQLSSSFAHHLLP >EOY13126 pep chromosome:Theobroma_cacao_20110822:7:6068078:6073719:1 gene:TCM_031652 transcript:EOY13126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQSLHLLFSPFPPLTTINPYSQLFFLKKPTSLSPFSAHSKAHLGRFLAQSQEPVTTIAESEAEEEEGPFELPPSASSTSIFATSDDPSPLQTATSVLLTGAIGVFLFRSLRRRAKRAKELRLRSSGTKKSLKEEALDNLKAMGSTAIETKPSPPSPVQALLGSIAAGVIALILYKFTLTIEAALNRQTVSDNFSVRQITITVRTIVNGLCYLATFVFGINSVGLFLYSGQLAINSFMEDSATKENESTGEENRGSVSSVAENAVDGTELTSSREDQSPDDKQYAALKLEVLLKSIQGNKHHRAPEDLPSFASDQSCCSVTFAIGVNSSLTFLNRFWQSVGSNLCSGVTFLSSICKSSPIITEAENIVINLYLLPQPASANHNVTEQAEFCYLWGGLKKAHLQHSLNPSFPHVAFIADDSSLRKFQASVQKEDMVGRYEQ >EOY13377 pep chromosome:Theobroma_cacao_20110822:7:7904178:7905452:1 gene:TCM_031937 transcript:EOY13377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMRTVRILDEQWMHFLLCLVFLLSACSIPSEASDMQLMSSQKQNQSDEVMALLAFKHNSVEADPKIGCTAGVILVVSGAIVLLVKKRAMVYQALPYYSAKGTKTVEA >EOY12315 pep chromosome:Theobroma_cacao_20110822:7:1671806:1674083:1 gene:TCM_030856 transcript:EOY12315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB811 MNFLIEFHFFALVIATRRIDNEIKNYWNTRVKKKQCQGLPLYPPEIQPLYPQHHQSQPTTPIPSSPTLQSPSSFSFQTPTSLYHGSMLSPPPHSFHLPRSASQPLLHISHHAAAAAAPTPFLHSPSPALTPPPLPSPNPSTPPSTFPLPSPPAFSTLPLFESSTFNTSTSSNTTVNATSSDHFFFPRTLPSLQTPLRYKRFKHNNDNAENNNNNHNITANGSNSTTNSSFMCSHLSPLVKSTDVFNPHTTVAATATTSSLTPQHYPSFSLDPITLDLASASRILRPHFDSGQFILTPGVAYPLKTELPSNKLLSQDGNSEVTLHTNKVNNYSNYSSSDHSSNHPNLSMPVSGKLVVRGYVGRSTLLKPKEETQEQINTTQEGYSKLLNVLLSSMPIPEWYSDSGEGSNGQSSVITDDNLGLEMHQMASLLPVDHIAPEHGRTPSSRSWDNLPGICRVKLRETKWV >EOY11999 pep chromosome:Theobroma_cacao_20110822:7:567983:570022:1 gene:TCM_030620 transcript:EOY11999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKIVKLSVETFTNDPLETHPFSLLFSLKLYSYGGGGGCVCQGFSFLPRLLLSLAVLNPCATPFSSLFLTHYKSSCPERKLDQGEEQKKLSGLFLDQVNCMILLCRLGRRRNEKKHRSEELAWE >EOY13873 pep chromosome:Theobroma_cacao_20110822:7:12113683:12124539:-1 gene:TCM_032567 transcript:EOY13873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein, putative MASFKASMLAALPLYHLILLLLFTSSHHISKPVFADNRLIELQCHNAQVPAVCIQCLKSDPQGEVVSDNVGIATIVLKCLSNNAETLAKNMSSLASGVQDKNIKSLLQDCEQGFSQAKHDLSTATDQLKRKDYDKTNHSVRTALEQEVTCNKNVLSLKLRVPNHVLFEMRVYEDLSEAAMRIIDRF >EOY13886 pep chromosome:Theobroma_cacao_20110822:7:12539377:12541360:1 gene:TCM_032599 transcript:EOY13886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGIWEQWRRAHRDNFQNKYGHIAWLLYVPVDDQMLRAIVQFWDPSYRCFVFNKVDMTPTIEEYSSLLRIDHMQPDKIYWRAQKTGHRRKLAKLLGMTTVEVDQHLKKKGDTECLPWSFLNGYIKKHMEDEQGLLAFAMAIYGLVVFPKVLGHVEVSIIDFFDQVTRSINPAPSILAETFRSLNFCRRKGEGRFIGCAQLLTIWIKSHFECKESKFRKLYLSASCPILEFCESEWPDYKKKEEWVARLRRLMSIEVTWRAPWMPRMQVIYKCGDKPWVPLMGPWGAISYAPIMVRRQFGSEQFVPMTHQLDQLEFTYGVPETLKRIEEIA >EOY11839 pep chromosome:Theobroma_cacao_20110822:7:84656:88410:1 gene:TCM_030510 transcript:EOY11839 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain interacting protein 1, putative MDLESECSALEFVEDNEVTQHTVPHVDDNKIKDNHIDIYKVKDNGLCANDDETQRLATDQSMHSDALTADNHVKGAVEVVQPMHSPPLTAKSPDRPSPPSTKGYGLKKWRRIKRDFVKDATATADSSKILKRGLSSSANPTKPRHMASPEIKQNSEGPVGSVNMLKNTSVAHGLMMHSPSSDSRFAVGAAIAAATDSDNSADRSSKSSTAGSVPKVKYDLPAVLGYMNEKNQMKNLSGKSLGNSSQRFQQGKGRVESSKKPRGERVKIEKENSHSSMESDSRSSNFVFMQGPFSVTSNGKQSGKPMNFDGENSDEAHEGEQMVGEEVQTAYRKENSGEIEELSLDDLPADLSWEAKEEKSDNNQPSPDQDPLVESIFALQSVQEALEKEVQKLGEIGKEPTSLHDDSVNINSVPVDSTFSDHEIPETSSSDQLASDKIRESTSGSMETQVFTLTQKVKYLESKLEEARAVLQVKESRILELETNANSSRSLKEDSGSNAELQQDKYREIEFDLEGLFQQKIEAEIEFLALTMAIQKLRISLGNQVTLLEEQTSFAGKQAQMLNKLGEAENKAAMLKKEPEELEKYCGDVIGPEEVLKMQRRVCKVTSCFFTQLVLLALVFLFIVLQLSPHSGVVVPT >EOY12739 pep chromosome:Theobroma_cacao_20110822:7:3940977:3944772:-1 gene:TCM_031275 transcript:EOY12739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSSRQKDSTSNRGRQSSATIPPPKRHDGNQWKGLEKIFPMAFVASRLALWLLNTLTQALQTSGVDLSHASISVQIELGKRSSSRPTASASTLKDREAPTANQGTTRSRVGCGEDSD >EOY12998 pep chromosome:Theobroma_cacao_20110822:7:5228440:5236352:1 gene:TCM_031506 transcript:EOY12998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTCFLFLFFSFSFFSFSLSPLLPSPTCLPLFTTVASSLPFLSKPVTKSLIFSPFLLPFSALKSADFQLNFQQQNFSILAAQSCPIFLHKLPANSSNFSQNFQLFLPPKIAAKSSLFLPQSAAKPCQEKSRIFHSSLPLPRFFFLFSFPFFCLAFGSFFPLRNRLLFISFLLSSHMAGPTIISTLFSFVFFIFLSFFLSFVWPAIHLTWFQI >EOY12040 pep chromosome:Theobroma_cacao_20110822:7:718721:719202:1 gene:TCM_030654 transcript:EOY12040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIPQKLLKFKYPIIFSLTLSLTLSSIVILAPSFLTILTYFWPLFLSTALFLVAVVFFGKTSAHTGSDSPADKPGEGLLDYVAGQPELTVDSYKSE >EOY13464 pep chromosome:Theobroma_cacao_20110822:7:8623407:8624842:-1 gene:TCM_032049 transcript:EOY13464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATNGLRTSAPPVFIRKNYVFWSMKMQSYLRAFNLWEVVKTETKPVQRHADPTLTQIRQFEEDKAKSMNFKITGKSRLHLDQQVIKDFDFYGEKEPWEIWELYGGNNLRSGEDLYFFTRLKKKT >EOY13733 pep chromosome:Theobroma_cacao_20110822:7:10455091:10456222:1 gene:TCM_032374 transcript:EOY13733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIDNQSTISIVKNLVYHGRTKHIKVIFHLIRDAVKDDEIAIAHRGTNDQVADVFTKCLKNVKLLALRALLGVCKMNSKEVG >EOY14293 pep chromosome:Theobroma_cacao_20110822:7:23130958:23143998:1 gene:TCM_033612 transcript:EOY14293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHGNKPLMKRFYVVKYECDEFICFYIMLMCMLCIEWHYWVTIVTMRVWCGSAHDGDVVCRGSAHTDDIYHVRCGSAHDDIALCGVGVHMMILAMCGVGVHMSCKIHSHCSKKLLGAGGLTSPYFRCSENAFSLQRETLRWLGKVLVLDIAAAKFIIATMRNSQVLQDGLSYEEQPRNYIGSTSKMASLQGRCYGESIVAKPYN >EOY13844 pep chromosome:Theobroma_cacao_20110822:7:11356049:11400571:-1 gene:TCM_032503 transcript:EOY13844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Kinesin motor domain MDPSLYVLLNNRFGILIALRDSGLTWQPISILHVSLLITIITNMACLLIFYQLLCLLFFCLSSQPTLSSTSFAPSTTPLCSHNEASALMKFKSSFSIDETASGECEDTGTPSYPKTDSWKEGTDCCSWHGVTCDNITGHVIGLDLSCSWLYGVIPSNSSLFHLPHLQNLNLAYNDFNGSKMSSEFAQFTSLMQLNLTGSVFAGQIPLQISHLSKLVSLDLSWNYPQALDERTLAGLVQNLTEVRQLFLDRINMSSINPNVLMDISSSLSSLSLEDCDLQGKFPENIFLLPNLKLLNLGYNQELKIYIPNFNQSNHFELLDLSLTNLSGALPNSFGNLVSLKDLDLSYTSLSGALPNSFGNLVSLKDLDLSYTSLSGALPNSIGNLVSLEYLDLRYTSLSGALPNSTGNLVSLKDLDLSYTSLSGALPNSIGNLVSLKDLDLHQTSLSEALPNSIGNLVSLEHLDLSFTNLSGALPNPIRNLRSLKYLSLSGAPLCPGSLNLIRKLVSLEELHLEACNISRIMLRSLGNYVGGQIPSLLTNLSQLKLLDISHNQLEGSIPNEVTTFPNLITLDLSFNFLNGTLPSWLYTTSSLKSIYLQHNQLSGYIKQFQHISLEEIFLQNNKLQGLDPSSISQLVNLTRLDVSSNNLSGIVESDLFSKLQNLQYLDLSYNNLYFNSNHTSTDYTLPNLYLLYLSSCNVNQFPQFLRGSKVLEMLDLSNNRIYGQIPKWMWDVGKDSLFYLNLSHNSMTELEQLPWKNIRILDLSSNLIQGDPPIPPLTTSTFLISNNNLNGEMSDLICNVSYLEILDMSHNHLSGIIPQCFGKISKSLRMLNLGTNKLHGTIPATFAKGCQLENLNLNANQLEGPLTRSILNCRSLQVLDLGNNKINATFPHWLGNLQELKVLVMKSNQMHGSINGKKRTHYFRKLQILDLSNNSFTGQLPTGYIENFKAMMNVEENRNVMPYIGRSSKKTGGFYSYSVHLIEKGQEVELMKIFATLTIIDLSSNKFEGEIPRVIGKLSSIIGLNLSHNYLVGHIPPSFGNLINLEWLDLSSNKLDGKIPEELLNLTMLSSLNLSTNELVGHIPEGKQFNTFENSSYEGNDGLCGFPLSRDCSSNEAQQPPPSNLQEEDGSKSEIRFGWKVVLIGYMSGFMFGSDKELAIINETAGFVEIDEEEDEEIAKAAGIIDNPTICVLFFSVLFFTKHGIQKLMGKEERKLRHVRKARGQSPLPKFEFENIQRVPFFCLAMEHINVPLWTDPLLLTEVSCQQHSSNYTDAAMSRRSINPSVLDSDSSPQPNSDVDDPWKNQSLNEISTPIGEIDGRSLLGFSLTSPDLVICTGSPDIPVKTCGDSPEFLEKNRCSIELSLENGIDGSDAKAKHKTPTVKFSTVCQTFEKEMSPDSSFELLPLPESADYLHREHEHLPVISINAGCINGAVELDGVIFSDDDCYVGGDVIRTDTMVGDGVGNSLYNTARYGDFSYKFSSLEPGFYNIDLHFAEIVFTTGPPGVRVFDVFIQQEKVVSGLDIYGQVGANKPLVISNIKTFVDSGGGLLIRFEGLMRSPIVCGITVRKDSPASFKEAESQEFMGIAELRDHESLRDMSDCGVEVKYEQLQRDYERQSKELAEMRRAFEGLKRENQLKSKECQEACKSLQELQNELMRKSMHVGSLAFAIEGQVKEKSRWFSSLRDMTRKLKIMKMEHIKLSEEVSMYNNCFKDINEIGSSILSRINQQADLHEDLKIKFLRGAKERKELYNKVLELKGNIRVFCRCRPLNSEEIAAGASMAGDFESAKDGELTVLSNGAPRKTFKFDAVFGPQADQADVFQDTAPFATSVLDGYNVCIFAYGQTGTGKTFTMEGTKEARGVNFRTLEELFRIINERQKLYRYEISVSVLEVYNEQIRDLLVSGSQQGTVPKRLEIRQVGEGMHHVPGLVEAHVNNMNEVWEVLQTGSNARAVGSTNANEHSSRSHCIHCVMVKGENLLNGECTKSKLWLVDLAGSERVAKTEVHGERLKETQNINRSLSALGDVISALATKSPHIPFRNSKLTHLLQDSLGGDSKTLMFVQISPQENDLGETLCSLNFASRVRGLELGPAKKQMDCSELLRCKQMVEKSKQEMKIRDLQIRKMEETIHGLDLKMKDKDLKNKNLQDKVKELESQLLIERKLARQHVDTKIAEQQQQQQQIKQQNEELSNSAMRPPLALRLLGANKNLNEVSNGALMKEQVNLTRPLMENSFRPLPLSLTDGCIQHIDAAEKENNPEAAEQLRLLPKKTGRASICPTARRMSVSSAPRRNSLIPLPSAPSLAQLAPPFLPLPPQPDIKEEEDEFIPEQTVCNSPKGMKSASKKLSSILRRSLQKKVQLKSPMQQHLRRGVNVGMDRVRVSIGSRGRMASRVLLGNGRRGAGTKEIQHKQNHKEKERGWNIGTVGRTAI >EOY13386 pep chromosome:Theobroma_cacao_20110822:7:7948715:7953242:-1 gene:TCM_031950 transcript:EOY13386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSASDIWNTLKQNYGHQDDTRICNLQYTLENVIQVVKSQIILMDLIPALDKVYSLVLREEAQRNLMFQVQPTLESSTILVGFPDDLKFTKGKNNGRKGKAIANNVSAANDVLVEEYQVDQEEEISGNTFMDNDWGGWGLFKIQNDVVERKRQHILMVAKGLMRQSKVKLNAAGDVERFKARLVVKGYNQVPACNWSLSQLDVNNAFLNGHLKEDVYMELPQGYIVKGECPPNTKKYALDLLIEQGLLEAKPVSTPIDYNHKLTKVKDEEKLPNPTNYRQLINKLGQEHLLAAHRVLKYPKASPGQGILMKSKSNMEMLGYCDSDLKSKKQSVVARSSAEAE >EOY13880 pep chromosome:Theobroma_cacao_20110822:7:12218992:12223890:-1 gene:TCM_032578 transcript:EOY13880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASTDSIISISSIRKGSAHADASRRTVQATEKDNEWFIEIRDALNLDLESDYEMPVCIFLVPKPLVDVKPEAYRPQLIALGPYHHFQPELYEMEHYKLAAVKRAITPVFQLSEFENLIEEIVKQVPHICKCYRGLTAPNHDIISWIMAIDGLFLLDLLNTFINTGEISQQSTGKKQPEAYAILKDVLKLENQIPMFVLKVILERINYYFPGQLLYDMLKKFCDEVSPIKLEWSTTTRTLDKVLEHQHLLDLLYHSITFKKDNGETHPDGLTKEPPCLRPEDLPPNGEPITCAVLSDLLKMFSSLNLGNFTKKVLTLITKVLGLIHIPTMAFFKEKKVLIPSVSKLCRAGLNFCSTDGGTANVRFDADTKTFYLPIITLKHTSDVVMRNLVVYETMAKSKTKSLNFKRYTELMSAIVDTIEDVELLKKAGVLTTVPNKQKEEAEPLESDILSDAEIVEIFNEMTKTMESKDWVIDKAIGEANKCYNNTEKVKAYRLMKRYIYSSWKILTLFASLLLLLLTALQAVCDVYSCPSLFHTIKKT >EOY13417 pep chromosome:Theobroma_cacao_20110822:7:8188732:8189312:1 gene:TCM_031988 transcript:EOY13417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRLSIVLLIFLLSFICQEPPSSEARKLLNIQEKEVLSVKNNFVSSIEHREPTPAVSLSSQGHEMVEKERLFTLHLANIDRILQSVPSPGAGH >EOY11823 pep chromosome:Theobroma_cacao_20110822:7:28924:33051:-1 gene:TCM_030500 transcript:EOY11823 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome subunit 6A MASSSSSGLTFKLHPLVIVNISDHYTRVKSQMNPPLTSTSSSATVINNNTNGVEHHQQAPRVYGCVIGVQRGRTVEIFNSFELLYDPSTHSLDRPFLEKKQELYKKVFPHFYILGWYSTGSDAQESDMHIHKALMDINESPLYVLLNPSINHAQKDLPVTIYESELHVIDGIPQLIFVRSSYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRIRVLHHYLVGMQKGDIPCENSLLRQVSSLLRRLPAIESEKFQDDFLMEYNDTLLITYLAMFTNCSSTMNELVDKFNTAYDRHSRRGGRTAFI >EOY12609 pep chromosome:Theobroma_cacao_20110822:7:2983129:2987829:-1 gene:TCM_031115 transcript:EOY12609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLEKADRIAVQGCSSSCNILPRYQLSRRMAKKRLEVKHLIDTCNFDTVVTQKKSPVRAVEKQQGPSLAGQREAVEMIERLLKFLKGGENKRIAVWGMGGVGIKGLGFGKEKVPPDDVWERIDLDIGGVPQDDDQANCKIFLTTRSLDVCRAMMTNEENKLDVLNKAASWNLFAQNAGDVVEVPGINPLARAVARECSGLPLALETVEMSTRNRRKIELWKHALHHLQRSAPHVKCIEDEVYLPLMLRYNSLPTDRLINGHQTLEECFNDGIALVENLKDSWLLEQGEWAGTVRLHDMVRDVAIWISKKRRFSCESSTTSHVTKQGSEESCRRKSFMNITKLPKQLSGFSEITVLFLLGFHSLESLDLSFSAYKWDARCNIEDERAAFDEILTLEKLSIVKIRLDKVDSLVLDASWLRRLREFNIQIRPTSRYSNYLPTEHNEKRVILRGVDLMGRGLKGLLCSATALDLVICGGMSALSELDINNSLSGLKSLKSLTISKCDCITSLISGENIVGSILPKLEHVSLSRLENLAANLGGMVPRRGCLKMVKNYRGGGLRSVHRNDLKAKSHKTERFDHVNDYLFKGTCLPSLEKIEVRNCPMLTKLPFATSNAVTLRGIKGDLQWWNNLVWDDVQIRFSLQQRFQPFTASPMLKLEDRWVRKIPHSTYLDSYFRPSSSEKVVEKWCSTKIFGTQ >EOY12703 pep chromosome:Theobroma_cacao_20110822:7:3530845:3538317:-1 gene:TCM_031227 transcript:EOY12703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGGHTSIAFNVDEDDYQIFIKSLRSILSYSTSHDISVLMPQTQPKSWLDIHLISGDFTIILRIDKRNLYVRGYSRDNGATFWEFSDSSLISERSPLAYSGSYVDGYTLINAAGVTRETVQLGLSNLRNAIANLATTENPNSTQNNALQNCARALLVLTQMIAESSRFQPITNHIVMNWYNSAPLPWQLVELQQGFESFSSAVQRADFPHWTNNTPLPNVPNPNRANIWTVGQAIAALGILLYVPRKSNRVKRQADVDAGNVHNVDIAADTNVSYVRTLVSIEYIRVNNIDGEDPGDLYGTVKVKDFWGLHTVYDRSSSDYESKGPGGFATLTGPSTAISGGDVFVISVNLWDHDSLSPDDEIAQGDIVWEPRNENLTFANYDKRLETVVYGKDGNVTIGYSVVRQALNATVDVLLINGDNESPADVYGTIKASQDLEGSSTSLTLFEKSSDEYIQVRPYHSIPLTRSVVVAPASSGLTITTDLWDYDTVSPDDQIAKGSAHFDAVVGTQTKSIYGRYGEVQVSVTCE >EOY13299 pep chromosome:Theobroma_cacao_20110822:7:7378552:7381063:-1 gene:TCM_031841 transcript:EOY13299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIWRSEQTPKRKSEEKPQSFARASPLTCLKDHPRKGEKLTLSPSGTLAAITD >EOY13391 pep chromosome:Theobroma_cacao_20110822:7:7960248:7964151:-1 gene:TCM_031955 transcript:EOY13391 gene_biotype:protein_coding transcript_biotype:protein_coding description:BES1/BZR1 MTSGTRLPTWKERENNKRRERRRRAIAAKIFSGLRMFGNYKLPKHCDNNEVLKALCNEAGWTVESDGTTYRKGCKPVERMDIVGGSATMSPCSSYHPSPCASYNPSPVSSSFPSPASSSYVVNPNGDGNSLIPWLKNLSSASSSASSSKLPHLYIHGGSISAPVTPPLSSPTARTPRIKTDWEEQSARPGWSAQQHSLLPSSTPPSPGRQIVPDPEWFAGLRIPHSGPTSPTFSLVSTNPFGFKEEVLAGGGSRMWTPGQSGTCSPAIAAGSDHTADVPMSEVISDEFAFGSNATGLVKPWEGERIHEECGSDDLELTLGSSRTR >EOY11902 pep chromosome:Theobroma_cacao_20110822:7:294277:301433:-1 gene:TCM_030557 transcript:EOY11902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylem serine proteinase 1, putative MRLSILSSLLLPVFLFSLLQSPTFAIKKSYIVYLGEHKHGIEPTAADLHQATNSHYDLLGSLVGSTDIAKEKIFYSYTQNINGFAAVLDEEEAAEIAKHPEVVSVFLNKGRKLHTTRSWDFLGLENDGVIHSSSIWKKSRFGGDTIIGNLDTGVWPESKSFSDEGMGPIPSRWKGSCQNDPDDGVHCNRKLIGAKYFNKGYAAVLDGKLNATLETARDNQGHGTHTLSTAGGSFVPGANVLGFGNGTAKGGSPKARVAAYKVCWPPIDGNECFDADILAAFDAAISDGVDVLSVSLGGETTEFFEDGTSIGSFHAMRKGISVVASAGNDGPDPETVTNVSPWVFTIGASTLDRDFISYVELGNDKRLKGASMATSSLSSRSFYPLISAETAKAANAKAADAILCQPGTLDPKKVKGKIIVCVRGVNARTDKSQQALLAGAVGMILANDEKSGNGIMADPHLLPATHISFTDGETVFAYINSTKKPTAYITPAKTELGSKPAPFMASFSSRGPNVIEPSILKPDITAPGVSIIAAFSEAVGPSEETSDKRRMPFTSMSGTSMSCPHVSGIVGLLKSLHPDWSPSAIKSAIMTSARTRDNTGNPMVDSTNKKATPFAYGGGHVRPNRAMDPGLVYDLTVDDYLNYLCSRGYNQSMIRLFSDKPYTCPKSFSLSDFNYPSISVDKFGGSATVSRKVKNVGSPGTYRARVRSPAGVTVSVNPSTLTFEKKGEEKKFEVTFKAKSNGQPAGFVFGQLIWSNGHHYVRSPLVVKHK >EOY12174 pep chromosome:Theobroma_cacao_20110822:7:1200041:1203270:-1 gene:TCM_030752 transcript:EOY12174 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT family protein MKGTREMKEAKEMEGELKNFIRLCVLTMASLLYSYFIAAKIPKGLLRLISLLPVIILLSILPFDLNSFYIGLPARFFLAWLANFKLLLFAFDQGPLSLPKHDLLRFILTACFPFKIRQNPPPETPSEAKSNPILEAATRATIVSIVLRTYENYKHCLNHKHVFLIYAFVTYHGTQLLFALSAIPARLLLQGVELEPQFNAPLFSTSLQDFWGHRWNLRVSDLLRETVYNPVRRVSTIIIGPRWSSLPGVFVTFLVSGLMHELLVSRMFRQSPTWEMTWLFILHGGLVDVEIVLKKKLVTTNRFRLHRAISGPLALANLSVTTSWLLYTKFLRIGMDDSSNSPWNFSRAWQQANTKPIAPLA >EOY12541 pep chromosome:Theobroma_cacao_20110822:7:2698389:2702226:1 gene:TCM_031062 transcript:EOY12541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein MREIPINMCQPRAMAESILYGAVSNILSLLASIAGQDLGLNFGRKKELENLRGTLTTIHAVLLDAEEKQKSDLAVKEWISWLEDVVYDVDDLLDEFHYEILRQKTLARRQVRKFFSSSNPLAFGLKMGRRLKETRERLDAVAADSSKFNLSSRVAADVIPKNIDRDTASKVRSQIIGREKNKEHIVEILLHCRISILVIAGTEGVGKTSLVQLVFNDAKIKCFFNARIWVRVSEEFDVSIIFKKMLKSLQVDCKVDDLDLRQLQIQLHNNLKGKRLLLVLDDVRNKDHIKWGKFSQYMLFGADGSKILATTRSERVAATMGVNFPYHLLGLNEDQSWALFEHVVFKGQGQIDSNLRVIGRDVVRRCKGIPLAIKCLGGLMRQKINEEHWSFVQKNQIWKLLTDSSVFLILRLSYIQLPDHLKQCFAFCSIFPKDFKISKDKLIHLWRAQGYFQVRIENENIQDIGDEYFNDLLSRSFFQEEENDAYGNIVSCKMHDLIHDFASSVASRSFYWMKDDKEDIPRSARYVSLEKNSKKVALTLSKTKGIRIMFFRTDIYEDLFIRNATFLCFNCLRMLNLSQMGIEILPNSIGNLKHLRYLDLSCNDMMKVLPNGIVKLHNLQTLLLCSCSRLKELPGDIQQLMSLEYLNIDDCYELKCLPKGVGELTSLQRLDRFIVNSSVEKSFSTAATLNELRDLNDLGNCLRFENLDKVRNVELESNEANLKEKKRIRSLRLHWDPRARRHIEKDKLLLDNLRPHPNLKELVVHGYEGAMFSSWLSSLNNLVELDIDYCWNCQYLPPLDHLSSLKSLILQRFNVLEYMTDSFSLPCSTPRTSFFPSLKKLEIRECPKFKGWWWTTTKNQGSTAEQPCFPCLSKLKIRACPKLTSMPPFPSLDQDLTLIGTSIRVLQETLKMKPTEASMTSEASLSSVTLPLSNLKSLTLIDIKDLDALSEKFLQNITSLAHLTLKDCPNLESLLPQNMNCLATLQELSVINCPLQEASLGLTILNSRKRRDGNDLCQLPSGHN >EOY12886 pep chromosome:Theobroma_cacao_20110822:7:4603895:4613504:-1 gene:TCM_031393 transcript:EOY12886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSTSSLSPSHHWQLKVFFPLKTGSESLSFSLSKSAAISLISPSQNRQQVLFLFPSQTSIHTFSVLSQKNQLLSPFYLPLKWLEFHQFDWGVNLHMLKEKEKAASDNALLLVCLSSFRFNKWVELKGLLAVNAIVHPMANIFDKCTASLCPDQFEYAMRVFSIISKRLELRVFSMISKRLELASLS >EOY13211 pep chromosome:Theobroma_cacao_20110822:7:6532838:6537654:1 gene:TCM_031732 transcript:EOY13211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 4 regulatory subunit 2-A, putative MTPQMSYFHLRLSVQHPPCSHVPDTLLWPLSPVADYMRCQPNMLQSNHHEFVVKKVLSPVSFRSSLSLQPVRSLQTSMDAGKTFMFCKTLRSKDSIKSIQMQNLSEKAQVYGHYSSHVCSNDENRKEEQRRRKIGLANKGRVPWNKGRRHSAETRLRIKQRTIEALKDPKVRKKMSEHPRTHSKQSKARIGSSLRHVWGKRLKWKWLGERFFLSWLESIAEAARKGGTDQPELDWDSYDRIKQEIALEQLQWATEKAKGKEMAKVRAATAQAEKMARIAQKRKEQEEKLKARELKRKARGKSKKDGEVADNQGLKLKQRLTMIRKKKSIHSQVSIQGDIAHIPALEILNIELIKKEKMQNEVSLAEQIKAAKSRREKSVSTKLMAVSSSNLSYSARLEK >EOY13651 pep chromosome:Theobroma_cacao_20110822:7:9838256:9845308:1 gene:TCM_032278 transcript:EOY13651 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5-like receptor kinase MYFSLKGGAILLRTLLGICCLIALVTYKWRKRHLCVDDTIEEFLQKQSDLIPIRYSYREIKRMTRSFRDKLGEGGYGSVFKGKLRSGHLVAIKLLGKSKANGQDFINEVATIGRIHHFNVAKLIGFCVEGSKQALVYDFMPNGSLDKIIFTEENETTLSWQKMFDIALGVARGIEYLHHGCNMQILHFDIKPHNILLDENFVPKVSDFGLAKLYSVDDSIVSLTAARGTIGYIAPELVYKSIGGISFKADVYSFGMLLMEMVGRRKNLNAFAEHLSQIYFPSWIYGRFHQGENIKFGDVTDGEKIIVRKMIITAFWCIQVTPSVRPSMSKVLEMLETDIELLQMPPKPFQLPLEISAQDHVDDNPSEDPTSSLLSSNEISLNIAACLATSENKDCGGSSLCGNLTISHPFRLKSQPKCNDTDCRVELECKNDNRTIWLMKNGKFYVQDIFYSNFTIRLVDGSLDADNCSLPRTSVTCDYDLPCDGLGLPGTIPNTMYLVNCSRPMNSSLYVDASLCTNSSPHPPSYFYFLDQETPSRDFNPFCTVINEWIPIMIENITGLSSLDIYEKLLIGSDFSLEEYHCYQEHKPSISQKFHTM >EOY13350 pep chromosome:Theobroma_cacao_20110822:7:7667895:7673359:-1 gene:TCM_031894 transcript:EOY13350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epstein-Barr nuclear antigen 2 MSVERSFEAWEEVQRHGQDLADRLAQGFSGLIQSHMTPPSFPWPNPPKSKLFDLEFPSQTFVNKDFGLPIDNSAIFDIGDIGNRIGQAGADFGACLNGLVNQFFRSLPVPFRAEESAVVSVRSDMSVKAQKAEVGGNDMEGLVGFSDQLKDFGFVENEGGSEGVGDDEISGFNLKSAGLLGRPQGIINITSTYESRTRDLENSLVARGDLWRVEASNANSTSASDNSLFLVQLGPVLFVRDTTLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLLMSMLCLNPLACSFVDLQFPNGQFTYVSGEGLTTSAFLPLCGGLLQAQGQYPGEMRYSFSCKNKWGTRITPIVQWPDKSFTLGLSQAFAWKRSGLMMRPSIQFSLCPTFGGSNPGLRAEVIHSVKEDLNLICGCAFVAHPSAFASISFGRSKWNGNVGNSGVVVRVDTPLSNVGCPSFSVQINNVIEF >EOY14183 pep chromosome:Theobroma_cacao_20110822:7:21896263:21897904:1 gene:TCM_033457 transcript:EOY14183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTTTIHANCSSDSNEVKCPKRKASAVVETYREDEKKSPFQRVFNEDDEVAVLEAILEYSIKKVTNPSADINGFYDFIMKSIHVNVTKAQLKDKIKRLKKKFRKNAKGNRTFLHSHAQKIFYLSNTIWGQEVKGKEAMEVDMGESRATSEQKWRKLEIAELEVFLQRKKLIVEQAKLMLKRLKYEHK >EOY12083 pep chromosome:Theobroma_cacao_20110822:7:849617:855196:-1 gene:TCM_030684 transcript:EOY12083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid reductase 4 MNMELDHVVKFLQGKTILVTGATGFLAKIFVEKILRIQPNVNKLYLLLKAADAKSATKRLHSEIIDTELFTILRDNWGSEFDSFILTKVIAVPGDISSENLGVNESKLREQMLKEIEIVVHVAATTGFNESYDVALAINTFGALNVLSFAKKCDKIKLFLHTSTAYVCGEEAGIILEKPFSVDDTLLKTCKLDIIEEKRIVEEKLDELRFQHAPNEVIKSEMKEFGLKRAKLYGWPNTYVFTKAMGEMLLGNFKGDLPLVIIRPTMIASTYKEPFPGWIEGVRTIDSVIVSYGKGKLTCFPGYPNSALDVIPADMVVNAMVVAMSVHTSNQSCQTIYHVSSSLKNPLKLGDFCKFIHCYFTENPWSNRNGQRVKVRKLTVLSTINGYFLYMWTKYVFPLKVLYLVNILSCQYFRQVYMDLNRKIKFAMRLAEFYKPYAFFKGNFSDTNLDKLRMVAQGRGIDMGVFDFDSKSIDWKDYMMNIHIPGLLRHAI >EOY12363 pep chromosome:Theobroma_cacao_20110822:7:1810057:1813371:1 gene:TCM_030887 transcript:EOY12363 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance-like protein MWNSISNRADYIRDVGNNLESLEYEMERLRRTKEDVEARLDCEENEWFQRTHEVTDWLERAQRLEASVEEIIQEGKQEVQKKCLGGFCLYDCCSSNQAGKKVSETLKSVAELITLNSMCWYGISLVTWWMRGLKGGVGKTTLLKKVNNKFLDRSHSFKPVMWILVSKDAEVERVQELIRNELNIPDRVWENQNVDGKAAEIFRSYPSEFSGMGDHVFPILKFSYDGLSDDTVKKCFLYCCVFPEDHDIEKNELIELWIGEGFLDKFDNIYDARNEGEFIVGSLKFACLLEDGVRYRFSTKRVMMHDVIRDMALWLAHENGKKENNILVQDHVTSVKAHGLTRWKEAVRASLWGTSFTSLSETPFCPNLQTLLVGRSHLRMFPTGFFHLMLALRVLNLSENQELRMLPEGIGELINLHYLNLSLTSIGELPVGIKNLKNLKILLLDDTYFLTKIPKEAISSLFSLTIFKHDEGMEDIPPFLYNSKPMTGHECFRNLSLVDILLCSMVNLTWLAHAPLLQTLLVSRCNLLTEVIRGDFSNGATEEYPDLFSNLTTLYLSELPSLEWICWQAWPFAELKEIHVINCPNLRKLPFNSNSAKNSLKAIKGDKSWWDGLLWEDETVKLVFASKFQDETLDS >EOY12975 pep chromosome:Theobroma_cacao_20110822:7:5096508:5113178:-1 gene:TCM_031483 transcript:EOY12975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSSAGPLNIYRNDYEIELQMGQIQQEKGDCLTQGHVPTIPERVHLDLQQNDFTEMVGIWEQWRCAHRDNFQNKYGHIAWLLYVDMTPTIEEYSSLLRIDHMQPDKIYWRAQKMGHRRKLVKLLEMTTVEVDQHLKKKRDAKCLPWSFLNGYIKKHMEDEQGLLAFAMAIYGLVVFPKVLGHVEVSVIDFFDQLDYKRKEEWVANLRRLMSVEVTWRAPWMLRMQVMYKCGDKPWVPLMGPWGAISYASIMGRVTDEVTTGYHTWHDQRVKDVVCPPKNPSKHPINPEPQDVLLESELTRKRLENEMMNMKRRHEDELKEVKKETTRKVRMALEERDEWQSKFEEVSMANSSLIARMQELQSVNNALQHEIREMAFRTGVMVDKTEELRREILLEDELSERLIDHLKMIKERERQGVLVHQKTFNRQKPIQTLWPPPTYAQQRPIGGANPSDPISVPDLDDPKEQEKLKCGTVESKDNFDTHKKFELFEERLRMIEGMGMYCSMDAIELYLAPDVVILPKFKVPDFEKYDGTKCPVTHITMYCRRMAAYAHNGKLFIHCFQDSLTGAAYKHVTDMAPDHLSLQNMKKKPTESFKEYAQKWRNVASQVQPPLIEKKTTVMFVNTLRAPYYERLVGSATKNFADMRWYDTFTYCDYHYGIEGHSIENCTTFKHKVQGLIKAGILNFEKKPKQNVNNNLLPNHAGAGVNAIEREVYVKRNIREVETPMEKVFEALVKANMLEVWPKCPNVNDSRDIQRLCCLYHKGCVGHLIHDCSSFRKDVQRMMDESRIEFYMEASESAVNMISNESTHPMKIKPLTIFYEPIREFVEDRTHAKMIIEVPKPFPYKNDKAVPWNYNCSVQVSKAEKWIAESQNDAANITSVGGITRSGHCYSPKALENLKNEKEKEKEQSLREENVQPPKSTDGSKGPVNEKEAAEFLKFIKHSEYNVIEQLNSDEEILSEGRGNYKALHITTKCKGCIAAKVLLDNGSSLNVMPMRILAHLPINMSYMQKSQMIVRAFNGIRREVVGDIEIPIEIGPCTFTIEFQVMDIAPSYNCLLGRPWIHMAGAIPSSLHQKVKFIVDGKIVYVNGEEDLLISKPTDTPYVEAAEEVLECFFRSFEFVNTTYVGEETTAPIPRLSKTTKMVVSQIVGKGYRAGVRLRIELQGIRRPIRATKNEERFGLGYKPTKKEREKMIAERRKERLALFKGHELEIQGMTYPHLYETFRFGGCIFLESPTIGSRELVSALGEAFSDLSICATEEAEEHLGNVDGIPTTYLWPSNLKLNSWTTMSLPVTCDSISKEVEVYVDDMIVKARKTEDHTTNLERLFKSEREIEVDPDKVQAIRNLPLPKTQKEVRGFLGRLNYIAWFISQLTLKCDPIFELFRKHNPRAWNEECQVAFDKVKEYLLSPPILVPLVAGRPLILYLTVNEGSMGCVLGQHDETGKKERGVYYLSKKFTEYESKYFSLEKMCSALAWTAHRLKQYMLYHTTWLIAKLDPIKYIFEKPSLSRRVARWQVLLSEYDIVYVSQKTIKGSAIPDFLAERVKEDYEPMEFEFPNEDLMSICQINEEESEEKESWKMFFDGASNALGHGIGVVLVSPEGDHYPVIAKLNFYCTNNVAEYEACVMGLQVAIERKIHVLEVYGDSALNQMADALTTLAAMFKVGADVNIQPIMINLREYPAHCFSVEKEVDGKSWFHDIVHYLKFQQYLEQSSENDKKTIRRLAMNFILDGAILYKRSRDQVLLRCVDSTKARRIVEEVHERICGAHASGHMLARQVMREGNLNGLMMKEVCVKFKIKHHNSTPYRSKMNGAVEVANKNIKRIIEKMTDVYKDWHEKLPFALHAYRITVRTSTGATPFSLVYEMEAVLPIEVEIPSLQKGGDESGFQGRARLGHHRQVERGSGSLACVVDVKTSDKSAPRIDCHARERRAEQRNRERSGCRRNERKSEPSAAASDPAKGGRQEPWVPSPVGGGEKRESMREQMKIYFF >EOY13281 pep chromosome:Theobroma_cacao_20110822:7:7024776:7025414:-1 gene:TCM_031805 transcript:EOY13281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKLSTFFIHYDNSCKIIAYFDNGFNDLHVEYGIKGVVFIKGDIYSCGVLLIETLTRKKPTDEMFVGEMSLKHWVTESLPSVLTEVVDGNLLISSKE >EOY14196 pep chromosome:Theobroma_cacao_20110822:7:22026194:22034979:-1 gene:TCM_033476 transcript:EOY14196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint control protein family, putative MELSLSGNTLRTFGRSITCLARVGNELVIQLLLLSLHFRHSIHQGRPTSLLHLSLISLMSMEFLELKTPIASIDHLTVKLADPDALKVQWALECYSGMKNACWITCNDEPDIQHLSLDRRRFPSNLVVRPYDLNRLLANFQSSLQEITIIAMELMSLPSDAASAIGGKAVELRSYIDPTKDNDSSLHTQLRIDPMEEFVQCTHTGDPVDVAFSVKELKSFLTFCEGCEVDIHLFFDVAGNPSEPIQAASTVHDQAMHGTGSQEQQERSWANVSEHPSDHTRIWSDLSGSAAKSGSGVEERQVQKQQNLNASEQRDIQRISLMQITKAAPIRESVPAGPNFSHRQVERDHVAGVQDRNQINGLGLSQRHPSNWVEADEDDDDGDENELCVQSTPPYYEEQQ >EOY14114 pep chromosome:Theobroma_cacao_20110822:7:21003020:21004715:1 gene:TCM_033375 transcript:EOY14114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKICNALGCSSTRLVELAAFYLEDVAQEWYSSSCRGRPMSAVSLTWSEFSTTFLDRFLPLSVRNARAREFEALVQTLSMTVLQYDIKFMQFVRYAPYLVSIEEKKIRRFMDGLVEPFCSILGFQNLLHKRRLRPANRDEDQRDVGMQQDFRQGGQVINPCNTCGGRHNGRRFRTLRVCYGCGQSGHLQRSCLMAHQSQVSTSNSAQPASSTPSVVVSSGLEARGSRGRGVVSSFQSKPIGSGRQSSVGGGQARVYALTLEEA >EOY13164 pep chromosome:Theobroma_cacao_20110822:7:6267560:6283797:1 gene:TCM_031689 transcript:EOY13164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger family protein / calcium-binding EF hand family protein MVPRHFLLLFFILFGFSCFQAQARFITGRPSPTDLVSDGISTVKSPPYLLLLKPLASAEVSCEQTYGFLPCSTTALGNLFLILVYGYLMYLAATYLSNGSEVLHVIRGPGIVGGLSLPPLGALPNAMLILVSGLSGAPETAQSQVSVGMGMLAGSTIMFLTVVWGSCVAVGRCDLRDSVAVDGTITKGFSLTETGVSTDIWTCYAARIMAISVIPFIIVQVSQILNSTLGRRLAVLIALIVSLSLLVSYCLYQVFQPRVQRRRLAFAKHKHFISGILKHLEKTALGKLLDDNGDPNTEMIRELFETIDENHDGSLSTCELKAFIIGIRFEEIDLDKDDALRKVMADFDISLDSLVQEGEFVRGIEKWIIEAKRTGGTYLEPNKGTFKFIDHFHKQAKREHDLLGSEEQTDEVVEDVENPGWTSLKAVLMLLLGTLIAAAFADPLVDAVDNFSIATSIPSFFISFVALPLASNSSKAVPAIIFASRKKKRTASLTFSELYATVTMNNVLCLSVFLALVYARGLTWDFSSEVLVILIVCIVMGAFASFRTTFPLWTCSEKYPKAVDQANSNGFIVIHIDNNHTVEMKPWCSLVLCSLPVSDSYSNASVILGSKTRHFKEYSCYLFVSTAISKIFRKSIASDVSGVGVQNLAQISLNKGQGRVANLCIAILKGLNAGYSRYFMPAMHKHSRLQKDIGLLRPEVKVQKNRA >EOY13933 pep chromosome:Theobroma_cacao_20110822:7:14199448:14208782:-1 gene:TCM_032752 transcript:EOY13933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPKRSKPSSSGSFDRSKFISAEASTRYYTSLINKVPIPERGIEIPILPYKEINDLIRDRYWHQFCHQPNVVVVLVVREFYATVVEHVDGVAFVRGKHVPFHSQAINELLRTPNIENDEYGQYLGDHQDCNEIISTLCIEGAQWKTSHGEPVSFKRSVMKKELKVWLHFVAARLLPSTHISDVTKDRAVLIYAIVTHKSIDVGKVISHAILRTGRNK >EOY12099 pep chromosome:Theobroma_cacao_20110822:7:945233:945977:1 gene:TCM_030701 transcript:EOY12099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MGLDAYCGSYSYSIKIAIKGQEVELEKIFIMFTSIDPSKNEFQGEIPKVIGELNSPKGLNLSPNNLSGCISTSMGNLISLKWLDLSSNKVVGKIPTQSTSLGYLEVLNLLENQLVGPIPQGNQFDAFGNDSYAGNLGLCGFPLSKRCDNTEASFFHEEEDSES >EOY12932 pep chromosome:Theobroma_cacao_20110822:7:4873796:4874410:1 gene:TCM_031433 transcript:EOY12932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNVYVVFGVLTIMASGAVMAYEVDPVKANNCETKMTLHCVTEVFASIFKTGIVTDNCCIELIGLGQFCHYALIKKTLQNPLFRNNDTSVILSRGAQVWNKCTLVNKDVSPSPSAY >EOY13839 pep chromosome:Theobroma_cacao_20110822:7:11296347:11297821:1 gene:TCM_032496 transcript:EOY13839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIQNALLNHQSVLLNRKVLTHSTRVNDGVVTKRQLRRIMRRHKKDMLELKASIESLTLAMQIEHHDDADDVQHDEPGAHIHHDVINADGENVPHVDDVLNDVVATDVTLQLVDAEGDHVLEVDAVVEVVEGRDGNLASVHAKGDHISHSTPQSSASRVLSPELSDVHYREALNLNPTKRARVKMSSKYMANSYVDPLVSRRDLKNSMVEAYEAFKKDECARCNVGILGD >EOY12128 pep chromosome:Theobroma_cacao_20110822:7:1087709:1089943:-1 gene:TCM_030728 transcript:EOY12128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase, putative MSEKEMDPNWEEASDHFKRVIDRGTEAMRLKAIIKLAKLSNHAPENILGHSIPILASLVADHSSNSSSPSLQGVVVHCLKCIARQGDGRLATEIGQSGALLSILRLLPESDGSFQRLSAQCLWCLVNLGTDDNRVIVANNGGLEIIANLLNSSVRSVRRYGNMLSRERACQAIGLLATTRQARCSLVELGAIPVLVELLRVGDSDTKLKADNSLGVISTQIDYLGHVAQAGAIPMLAELVQGPDPLGWDVAENALCLLAHNEENAASIADHLVRILRKGNNEAKAEALKKNLQKFLRKKLK >EOY13598 pep chromosome:Theobroma_cacao_20110822:7:9434414:9440607:1 gene:TCM_032200 transcript:EOY13598 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MQQKYVSFPHTSISIFYFSLSFLSKTIMSVVGEAALTAFFDGLFGKLSSSDFLDFVTDKQVFEEINKWEKMLRDIRAVLDDAEGKQMKDQYVKNWLADLQDLAYDVDDILDEFATEALGRKLTSLEEPQGIKNKVQKIIHTCFSSKTFMFNKKILSKIKEISARMNDLATKRTQLELLGINEGARSNRMIQRLQPTSLVDETQVYGRQEVKAALLELLLSNDSTDKEASVIPIVGMGGIGKTTLAQLVYNDTRIQNSFHDKAWVCVSDDFDAVMITKTILQSIAPDSCTNVSDFNSLQVKLKEKLAGKKFLLVLDDIWNENYLELTNLLSPFGVGTKILITTRSHNVSSIMGTVEAYPLQQLSQEDCLSIFTQHALRANDFSGRPELKEVGEIIVKKCNGLPLAAKAIGGLLRTSLDYEAWKGISESEIWGIPEEKCGIIPALRLSYHHLPSHLKRCFAYCSILHKDYEFGEEEIILLWKAEGFLQPASPGTQLEVLGSQYFRDLVSRSFFQTSTRNKSRFVMHDLVNDLAQSVAGDICFKLEDDKQLRFLEGTRHSSYVRGWFDGMKKFEAFNQTKHLRTFLQLSGSSSAPEGNSYLSNNVLVDLLPKLRYLRVLSLKGYRIIELPNFFQNLIHLRYLDFSHTTIESLPDSICALYNLETLLLYGCRSLQNLPSNLPILVNLRVLDITYTPSMKGMPFGIGNLTNLRRLSDFVLGKGDGHHIQEMKNLLNLKGKLCISGLENIVNAQDAWEAKLIYKSGLGTLELKWSREFDSNRNKEVEEEVLNLLEPHKKLEELFIQDYGGTKFPIWMNSSLQNLPSLVLKGCKNCVSLPSIGKLPLLKNLSIAGMDELKKVGIEFYGENHSNAFALLQSLSFENMPRWKEWDLVDEQVEKFPSLIELSIKNCPQLLGRLPNHLRSLEKLEIRDCAQMVVSLSDLRKLSELVIHACAELVLRDDADFLSIKEVNLSSVVKFSTTTERLVSTSTTLEHCKIDSCEGLTYLSLKKLGLLGSVRKLEIYKCPQLVLLEPDEVEEAEEELFQVGNLWNIESLEIMQIGLHMESLRIRKHFLPFLTEMSIQNCPNIVCFAKNNLPPLLKKLVMVNCDNLRCLVDEGENISITNISPLEFLYIRECPSLISLSLPVRLRHLELSSCSKLASLSESGKLPIGLKYLNLFVCPELESIAEAIDENACLEFFYIWGCGIKSLPQGFDKLNHLQSIQITRCSNLVSLEGFLPTTNLTNLWISNCKNLRALPNCMHNLTSLRQLQVENDSGDQISIPEEGISTNLTSLSISMPRNYESRLEWGLHRLTSLKTLTITGRGCLNMVAFPPEQIGMMLPSSLTDLSIVNFENLKCLSSKGFQNLSSLHHLRIICCPKLTSLPEKDRLHSLLLLLIIDCPLLVEECERDKGREWSKIAYIPCVESHYL >EOY13783 pep chromosome:Theobroma_cacao_20110822:7:10758694:10769338:-1 gene:TCM_032427 transcript:EOY13783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein MERSRLNMRGRCSGSTPSEESALDFERNCCCHPHLPSFSPSTLQPFASAGMHNESNAPYFLWPPSSRLNDAAEERANYFANLQKGVLPETLGRLPEGQQATTLLELMTIRAFHSKILRCYSLGTAIGFRIKKGVLTEIPAILVFVSRKVDKQWLSPIQCLPTALEGPGGVWCDVDVVEFSYFGAPEPTPKEQLYTEIVDDLRGGDPHIGSGSQVANQETYGTLGAIVKSQTGSRQVGFLTNRHVAVDLDYPNQKMFHPLPPTLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFTDDFDMSTVTTSVKGVGEISDVKVIDLQSSIGSIIGKQVMKVGRSSGLTSGTVLAYALEYNDEKGICFLTDFLVVGENQQSFDLEGDSGSLIIMKGENGEKSRPIGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLNLLQLDIIITEEGLKVAVQEQRAASAATFASTVGDSSPPDGVLLKDKSENKFEPLGFQIQNIPLEVDCNSPEANPSTIKSEFHLEDGVNAGPSVEHQFIPSFIGRSPLHKNFSDKAVSENLASLRNGCDEDFCVSLHLGDNEAKRRRSEASTSTEEPK >EOY13352 pep chromosome:Theobroma_cacao_20110822:7:7681069:7683466:1 gene:TCM_031896 transcript:EOY13352 gene_biotype:protein_coding transcript_biotype:protein_coding description:T25B24.5 protein, putative MYVTRPLSLYRKASSALEAEPPAEGPYSGYLVITDEEAQEQDTFCFGACKRKGVEKLPFPQDKMLNVVHASEVEETMVTRVWFIPVLDQPLSSNRYYVIRARGRYKGLACSSSREIDTKLCCFFSDVISDAKPKPFDHRNVYQQFKIHRHHRHSFFAKSTATDSIPPKFLRKNGWELRISRSYRLQLNEALGLDSSLRTRLPSFNFPMYNKKSPSVVVGQWYCPFIFVREECRLRRQMKKSLFYKMTLEQWWQQIHSCDNENDEENIVNINKLVKREFTSVYGMQAEKDNRISHGGVWWFRTLTRNDAGRGSVGLSLAIMEKMRWFQEEGGWFKGEESEVRVEKQEEIGSECGWRRFACYMLVESFNLRRMDGTLVLRCDFRHTNKIQSKWE >EOY12254 pep chromosome:Theobroma_cacao_20110822:7:1491402:1492016:1 gene:TCM_030817 transcript:EOY12254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-LTR retroelement reverse transcriptase-like, putative MLVGWQNPPQGWVAVNTDGALRRNTNMVATGGVFRDYNGYWLGRFAAKLGKCSSYRVELWIVLHSLRIAKEKGFSRIWLQVYNKIVVQVITSSALHPCANSDLLNTIHGLLQLDWEVKISHIYRKRNMVADGMVNISFNLDSSFILFDVPPPEISSRMFNDVLGVCFPKMVRN >EOY12246 pep chromosome:Theobroma_cacao_20110822:7:1472876:1475843:1 gene:TCM_030809 transcript:EOY12246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase 9B8 MEEKRQHNSSLSQHKFPQQWCTSLLISFLAIVPSSQAFDYGKALSQSLLYFESQRSGRLPYNQRVTWRHHSGLTDGLEQGVDLVGGYYDAGDNVKFGLPMAFTITMLSWGVIEYGDEIAGAGEYSHALEAIKWGTDYFIKAHTRPNVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDENNPGSDIAGETAAAMASASIVFRKTNPHYSHLLLQHAQQLFEFGDKFRGKYDESSVKVVKGYYPSVSGFKDELLWAALWLYKATDKEDYLRYALEMADEFGGITWAITEFSWDVKFAGLQIIASMLLMEERHRTMKHKDVLEQYRSKAEYYICACLNKNSNVTDNVERTPRGLLYTRQWNNMQYVSNAAFLLSVYSDHLRASNQRLRCDVGEVGPEEVFAFAKSQVDYILGANPMAMSYLVGYGLRYPQRMHHRGGSIESYRENKGFIGCTQGYDDWFQRYDPNPNVVVGALVGGPDKMDQFSDDRKNFMQTEACTYNTASLVGVLAKMHGLEEDRDFDFSFVASS >EOY13408 pep chromosome:Theobroma_cacao_20110822:7:8052385:8053981:1 gene:TCM_031970 transcript:EOY13408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRASTNTMMQQTLVFLFKFVSTTNTTIWKILASSSLLWCYSTLWLLSQQNHPISPLTNWLFLH >EOY12774 pep chromosome:Theobroma_cacao_20110822:7:4111311:4112245:1 gene:TCM_031301 transcript:EOY12774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSVKVKDHFPFFEEKKLLKAILLPRVHSSHDSSSNCRVCVSRFSIPWQNRS >EOY14220 pep chromosome:Theobroma_cacao_20110822:7:22280324:22295728:-1 gene:TCM_033511 transcript:EOY14220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAF04863.1 MHKLGRGHRDKVQQFMTITGASEKIALQALKASDWHLEGAFDVFYSQPQIKSYTDTRHLEELYNRYKDPYTDMILADGITLLCNDLQVDPQDIVMLVVSWHMKASTMCEYSKQEFFIGLQALGIDSLEKFRERISFMRSELKDEQKFREIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAEKQWPLVDHWCQFLQARHNKAISRDTWSQLLEFARTVDPALSNYDAEGAWPYLIDEFVEYLNENGIIQNSQLTDWSQKR >EOY12871 pep chromosome:Theobroma_cacao_20110822:7:4533130:4536471:1 gene:TCM_031378 transcript:EOY12871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein brix, putative MGKKRKQSETQTAEPTNKDEGAPERPKRTLVGWKDKEEVAKQTESNPDSSSQVFRNKEKVMVTCSRRINYRYRHLMLNMVSLLPHCKKDNKVESKSSKGATLNELIELSGCSSCLFFECRKHQDLYLWMAKSPSGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSGNFEKDAHWKLLKEMIMQIFGTPKEHRKSKPYHDHVFVFSIVDDHIWFRNYQISVPHNESDKMVRGGLDKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRALEKRQKAGKYAKKVKAKTRRKMHELSNPLEPDEFADMWRE >EOY14303 pep chromosome:Theobroma_cacao_20110822:7:23517332:23518131:-1 gene:TCM_033653 transcript:EOY14303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDCLKLNVVRVLSANVVIESAPNELEVVFENPIIPVEPSFFLAALLVLSSRDPCNDPSLVATDVQDF >EOY11885 pep chromosome:Theobroma_cacao_20110822:7:225930:233704:-1 gene:TCM_030541 transcript:EOY11885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase MMSSKTFLLQILALLAAVTVVLLISSEVAAGRLAATTTDINNAELATRTTEAEVDDAILYGRSCKFECCDLPGRACYRSCCNYTGRLPTLQPSLRGLSVLHNNLIGEIPSSVCNMSSLQYFLDLSRNNFHGIIPECLGNLSNSIEMVDLSMNSFHGKIPGNFHKDCLLRSFRINDNKIEGSLPRSLVNCSKLEILDIGNNNLIDTFPIWLGNLDLQVLILRCNRLYDRIDNFEGKFSFTHLRIIDLSHNDFNGYLPTKFFENLQAIRSESENKGDPKYMIYSGTNQGFYFYESLFITTKGSEMELMKILTTWTIIDFSNNRFKGQIPEVVGELHSLIVLNLSHNSLTGPIPSILGNLTALESLDLSSNKLKGKIPAQLINLIFLEVLNLSWNNLMGLIPRGKQFDTFTNDSYIGNLGLCGLPLSKNCSNEKNLVPQPTKFDEDGDVVNWKFSILMGYGCGLVCGLSMGYTVFTTGKPWWLVRIIERGQQKYVMRGKIRRSGGRK >EOY12955 pep chromosome:Theobroma_cacao_20110822:7:5024331:5025077:1 gene:TCM_031460 transcript:EOY12955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTQPIASTVFYMDSTVEIWNALKQIFAQLDDTGVCNLQYTLANTTQGTRIVDAYFIEHKGIWEEFRSFRPLPHC >EOY13162 pep chromosome:Theobroma_cacao_20110822:7:6254709:6257251:1 gene:TCM_031686 transcript:EOY13162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein MAQLPPKIPNMTQNWPSFPHQRMPSTMANFIPTTSTAIPSSTTTTNGSNHHPSWVDEFLDFSSARRGAHRRSISDSIAFLEQPLAEECRDSNARMTTETNVFDRLDDEQLMSMFSDDVAVNVAAQTVSSSNPSTPSDQNSNNDEKPVPSLDLQQPKNEPGDVESSCKAETQATQPPPTSNDDSIIDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQTEVSALSPRVAFLDHQRLILNVDNSALKQRIAALAQDKIFKDAHHEALKKEIERLRQVYQQQQNLKKMNTTTTTSTNTNNHHAPPQQQQQQQQTSSVNTDNMVCSDVKEQLIT >EOY13296 pep chromosome:Theobroma_cacao_20110822:7:7346798:7348224:1 gene:TCM_031836 transcript:EOY13296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASTSFNVVAPPVFNGDNYPIWAVKMKAYLHAFDLWEVVEVGGDLPVQRHANPTMAQLKQHSEEVAKRYKALSCIHSAVSDSIFTRIMACENPKDAWDKLQEEFHGSDRTRQMQVLNLLREFEVLKMKDSETIKEYSDKVMKVVNQLRLLGEDLSEKRIVNKVLVSLPDKFEAKISSLKESKDISRLTVTELVNALQAQEQR >EOY13932 pep chromosome:Theobroma_cacao_20110822:7:14046128:14049488:-1 gene:TCM_032740 transcript:EOY13932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENFRQVVNDEGLMDFPLTGSKFTWHNKKEEVIFSRLDRYLIIMEVLGKFQNLRQECLNSSPSNHNLAILGVDDIDWGFKPFCLFNHYLNEKGLRSFAEAWEANNKKHEGGGKLW >EOY12993 pep chromosome:Theobroma_cacao_20110822:7:5197749:5203879:1 gene:TCM_031499 transcript:EOY12993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQEALGSESKDRLSKDLSLLKISRCCSFRKQKRKKFSLWRIMVAAINKLNPSFMIPSRKVSNPSIFWKQISKPLLPGNNYHNFVTSRFGHSLGNGATISFCNDVWLENSTLAVIFPRIHALAVDKKATIASLGTVDK >EOY13628 pep chromosome:Theobroma_cacao_20110822:7:9637296:9639460:-1 gene:TCM_032250 transcript:EOY13628 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and Leucine Rich Repeat domains containing protein MMKPSKRILYQEKKNSHRHGIDNCLNHDVEKELFHAIVMIDKVLFSHRCNLTSCKIIHFPDSCKYGHLKNWIEFLVSEKGIQEIAFTCEEFPFQQNFMGRFSDLKLSLPSGIFSCTTLHALELTHYKLETDSSFDHCHNLRTLKLKLLFLTTETLDGIISSCASLEHLSLSFCTGFDRVWIVSENVKTVELEYLDVHEICLSTMSLGVLVLDTLKCPPKDLIINAPKLTVFCAYCNGKEEGPYHFHKDHEPVKVAEILEHCSGLLRLGNDRSYHLNDDSSLFENLWTLSIDLDLNNIREVLILAIVLRVCTQLKQLEINIPEIDSEWKGATSDHGTQNLSLPYPESMLWDKRELCDCITHSLNVVSINGFNGKERQLEFVRHLITKATVMKRMTICFDDSCSREGAEATLKLLLLPRSSINVSIVLKAGPEFVSTRNGANFETWISTLK >EOY14088 pep chromosome:Theobroma_cacao_20110822:7:20478947:20479923:1 gene:TCM_033316 transcript:EOY14088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAYQKEEQRQTQHPSDLGHIQFKDSKSCVCVPYGSFLLSALLALLLLPFHFFLTLFLPIIKWLCVNVFSQGFARCNCLNPSFFD >EOY12399 pep chromosome:Theobroma_cacao_20110822:7:1935740:1940264:-1 gene:TCM_030913 transcript:EOY12399 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein MMQQPPAGGVAPPQSMAADQTQTQQYQQQPQSQPWIMMQQQQQQQQAGQPVPPPAGWAPQPVPPPGQMQQYSTGSAAAGSSEIRSLWIGDLQQWMDENYLINIFAHTGEVASAKVIRNKQTALPEGYGFIEFVSRAAAERVLQSYNGVPMPNSEQNFRLNWAALGYGEKRQDEGPDYTIFVGDLAADVSDYMLQETFKAVYPSVKGAKVVTDRSTGRSKGYGFVRFGDETEQLRAMTEMNGIYCSTRAMRIGPAANKKPVTGQQYPKASYQNTQGNPGENDPNNTTIFVGGLDPSVSEDQLKQIFSQLGEVVHVKIPANKHCGFVQYANRTSAEQALSVLNGTMLGGRNIRLSWGRSPSSKQAQPDQAQWNGGYYGYAQGFEAYGYAPPPQDPNMYYGGYPGYGNYQQPGAYQQPQQ >EOY13356 pep chromosome:Theobroma_cacao_20110822:7:7688408:7689694:1 gene:TCM_031900 transcript:EOY13356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonol 4'-sulfotransferase MESSFPNASPTLLGELPKETWWGFDLYNWEGFWFGSSFLSPVMAARSNFQASDDDVFLTSSMKTGTTWLKAIIPTIMNPKGRTNDDIDDPLLKHHPNDLLPSPELQLFMANPNPDPTSMPSPRLFRSHDTVVSLWHFMNSWATAMGKGPWKMNGAFESFCRGVHASGPFHDHVLSYWKESLRRPEKVLFLRYEDLKKDPMGQVKKLASFLGRPFAKEEEVDKVLWRYSLERLKNLEVNQNGVDP >EOY14064 pep chromosome:Theobroma_cacao_20110822:7:19735090:19743026:1 gene:TCM_033240 transcript:EOY14064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTEKKPSVEEMFIQFMARIDTLIHNQTASLRNLETQVGQLTNSINNIPQGTLPSESKPNHRREAVTTQTQGSVTST >EOY12796 pep chromosome:Theobroma_cacao_20110822:7:4193679:4194385:1 gene:TCM_031317 transcript:EOY12796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSWNKFDWLSPQSRSEAFPIITGPRNDKTITSRLPNDGTAQGWRDRHMAAIRFVSRADCYHAAAAAIAASC >EOY12108 pep chromosome:Theobroma_cacao_20110822:7:987541:990677:1 gene:TCM_030708 transcript:EOY12108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 53, putative MFNKRAKAHSLLFMFMFLLVLNFSLSQGDELELLLSFKSSINDPSGFLSNWNSSIPLCMWHGITCNNFSRVKAIEIIEKNISGSISSSIFHLSEIETIDLSTNKLSGEISCNIVSSASLRYLNLSNNNLTGVVPICSISLEILDLWNNSLSGKIPPQIGVCWNLKELDLGFNYLVGRIPSSISNISSLQRLTLAGNELIGQIPRAINKMKSLKWIYFGYNNLSGEIPQELGDLVSLNLLNLVYNNLSGQIPSSLGNLSNLQHLYLYKNKLTGKIPPQIGECSNLENLDLSDNYLVGRIPSSISNISNLELLDLSGNSLIGQIPCALSKMKSLKWIYFGYNNLSGEIPPELADLVSLNHLYLVYNNLSGQIPFSLGNLTNLQYLFLFGNKLTGLLPRSIFGLRKLIGLDLSDNYLFGEIPELIIELQSLEILHLFYNDFTGKLPNALASLPRLQVIDLASNSLTGEVPSLICNISSVEVLVLADNNLSGIISPCLGNFSKRLTILDLWMNSFHGTILETFNEDCGLRNINFNGNKLEGSLPRSLVNCRNLEMMDVGDNKLNGTFPYWLGSLPELQVLVLRSNKLGGVLHSSKTIHPFPKLRILDLANNGFTGPLPQGMIKNLKAMMNLNEQQSSLQYMNGRYYKYVVNLTVKGFSIIANIPTIFTSIDLSNNNFHGEIPSVIGKLRSLKGLNLSHNSLSGHIPTSMGYLSSLEWLDLSSNKLIGKIPNELTDMTFLAFLNLSHNQLTGPIPQGKQFSTFENGSYDGNLALCGFPLSKACNEDGRERSYPSFSKEADDSETKISFGWKIVLIGYGCGLIFGVIFGYVTFRNGEPKWFITLYGVKYHRKGRRCSRN >EOY13165 pep chromosome:Theobroma_cacao_20110822:7:6272655:6275433:-1 gene:TCM_031690 transcript:EOY13165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MCGPMSVESLNGSKYFLLFTDDYFRMTWIFFIKFKSEVFSLFKKFKAQVELELGCKIKNFKTDNGREYLSVEFDEFLAVAGIKLQFTIFYSPQQNRTCERKNRTLLNMVRCLSFEKSMPKHFWAKAANTTIYLQHSLPTRALNSMIAYKALHNIKPSVSHLITFAEAHNSTHWKATMDEEVNIINKNSTWFLMERTDIMHVIGVKWIFRTKFNLDGSVNKYKARLVVKGCSQLTKVDFGETFALVARFETIKMLIALTTSLGWLILHLDIKLAFLNDFLQEDIYVDQLKGYEAKSQHNMVYKLHKALYGLKQAPRAWYIKIDSYLTSKVFMRSPNEPTLYVYKVDDQIQSYNESEFEMSGMGEMKYFLRFQLDQNANVNFPLVVNEKFAATNSPKLFDPSYYRSLVATRRILRYLKGTLDLGLYFKHVNDIKLIGFSISDWASSVENSKNTLSYACHLGNAMFSWNSKKQEVVEQSTIEAEYIAVAIATNQAIWLRKLLGDLNFDQNDATELFVDNKSIIAIVKNPINNGKTKHIKVKLHTIGEDEKNGDVKFSCCTSNMQVANIFTKALPKTRFEQLRSMLGVHKTSIREKC >EOY13050 pep chromosome:Theobroma_cacao_20110822:7:5613354:5619607:-1 gene:TCM_046871 transcript:EOY13050 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein ALF5 MSRETRLDTLPLLSEASHGEEEINEGSCWRKALDLEEAKNQIYFSLPMIITSVFYYSITLVSVMFAGHLGDLELAGATLANSWATVTGFALMVGDGCREGRTKGRWWKRVLDFGEAKKQVFFAVPMVLSNLFYFSITMVSVMFAGHLGELELAGSTLANSWATVTGFAFMTGLSGALETLCGQGFGAKMYRMLGIYLQASCIISFLFSVIISILWFYTEPILVLLHQDPEISRTAALYMKYLIPGLFAYGFVQNILRFLQTQSIVIPLVLFSFIPLGIHFGIVYSLVNRTSLGFKGAPLAASISIWISFLLLATYVIFAKKFENTWKGLSSESFHYILRNLKLALPSAAMVCKAYLLFSCSKCPASLEFWAFEILVFLAGLMPNSEITTSLIAICVNTENIAYMITYGLSAAASTRVSNELGAGNPNRAKHAMEVTLKLSVLLALAVVLTLAFGHDVWAGFFSDSRSIIKKFAEMTPLLAVSIAIDAIQGILSGVARGCGWQHLAVWANLGTFYLIGMPIAGVLGFKFKLYVKGLWIGLICGLSCQAGTLTLITLHRKWTKIDLPADRNKEIPISA >EOY12492 pep chromosome:Theobroma_cacao_20110822:7:2341892:2343976:-1 gene:TCM_030981 transcript:EOY12492 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI superfamily protein, putative MATSCPLEYSERDRLSNLPDDLLCRIISNLPLRETIRASLLSRRWKNLFASMSRLNIDDKLEPAKRCRYGLKQVVDRVLFARKGDLEKFHLKFAIGKGPSPVDGWIQYALWHNVRELEIELQLPLSLEIFYVLPDGVLTCKTLVTLKLQARKLVFPKIPGKICLPGLKILYLELIEFTDDDSVQRLFSSCPRLEELVVQNCKLKNISKFEVSNPTLKRLTISYPEVYEYKHEVVINAPSLVYFKCYHFIARYFSYIDLQSLVEAYINCGPVLNSEFYDSGTADLIRGISRVQSLHLSGPLSVVLLLGRGPIPVLKNLTYLKINRCYHEGWERLLDYTPFLETLVFALEVLPDFTESIMNPPKEVPPCLLSHIKAIEFLSFRGMESEVEMAKYILKHAQVLENLIIHMIAKQKRQLNITEELLESPRASKKCQVVIV >EOY12906 pep chromosome:Theobroma_cacao_20110822:7:4749089:4759752:1 gene:TCM_031412 transcript:EOY12906 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein MDMDILSFSACFLIIFSKASSALDKISPSESLTDGTTLVSSDGSFVLGFFTPGSSKNRYLGIWYNNIPMQTVVWVANRINPINDTTGLPQIESTGRVVLLGQNQTTVWSINSTEAAQNPILQLLDSGSLVGRDGKDGDSENYLWQSFDYPTDTMLPGMKIGWDLITNLNRRLAAWKNSDDPSPGDHTYVVELQGNPEVVLRKGSEKYHHSGLWNGDGFSGAQNLRSNPVYEYDFVWNEEEVYYVNYLKNKSVMSRFVLNQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCDNSTLPACQCLKAFRPKSLERWNSLDWSEGCIHNKPLNCQSGDGFIRIERVKTPDTSHSWVSKSMNLEECRAKCLQNCSYGQDLYIRVSASEAELKNKAKAKLAVIIATPIAMFLGLLVVIYYIRRRRRKLEDEVEKRIENDQKNQGQSTDMELAVFELGTIARATDSFSFNNKLGEGGFGPVYKGTLAIGQEIAVKRLSKSSGQGLNEFKTEVKLIAKLQHRNLVRLLGCCIHGGETMLVYEYMPNRSLDSFIFVDQRRCKILAARTFGGDQTEANTNRVVGTYGYMAPEYAIDGLFSVKSDVFSFGILLLEIKSGRKNRGFYHQNQSGNLIEHEEVEAYRRVINAVYNVQSNGISSYLPSKKLSDHTSMGMDILSFSACFLIIFSKASNALDKISPSESLTDGTTLVSSDGSFVLGFFTPGSSKNRYLGIWYNNIPMQTVVWVANRINPIKDTTGLLKIETNGTVVLLGQNQTTVWSINSTEAAQNPILQLLDSGNLVVRDGKDGDSENYLWQSFDYPTDTMLAGMKIGWDLRTGLNRRLAAWKNSDDPSPGDLTYGVELQGNPEMVLRKGSEKYYRSGLWNGNGFSGNPSLRSNPVYDFDFVWNEEEVYYINYPKNKSVMLRVVLNQTENLRQRYTWNPEIQTWKLFLFQPSDYCDRLGLCGANGNCDNSKLPACQCLKAFRPKSLQRWNSSDWSEGCVHNKPLNCQSGDGFIRIQRVKTPDTSHSWVNKSMNLKECRARCLQNCSCMAYTNLDIRGKGSGCAMWFDALIDIKQFQSDGQDLYIRVSASEADQKNKPKAKLAMIIATPIAMFFGLLVVIYYIRRRRRKLEDEAEERDEMDQMNQGQSEDMDLAVFELATIARATDNFCFDNKLGEGGFGPVYKGTLANGQEIAVKRLSKSSGQGLNEFKTEVKLIAKLQHRNLVRLLGCCIHGEEKMLVYEYMPNGSLDSFIFDQRRCKVLDWPKRFQIICGIARGLLYLHQDSRLRIIHRDLKASNVLLDSEMNPKISDFGMARTFGGDQTEANTNRVVGTYGYMAPEYAIDGLFSVKSDVFSFGILLLEIISGRKNRGFYHQNQSGNLIEHAWRLWKQGRPLDLADDFLLAETGNPSQVLRCIHISLLCVQQHPEGRPSMSSVVLMLGSENELPLPKQPGFLFHKSPFEADSSSENHGSSSRNKLSLSLLEAR >EOY12720 pep chromosome:Theobroma_cacao_20110822:7:3692535:3700647:-1 gene:TCM_046867 transcript:EOY12720 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative METISFFLVYLFVFSILRTATAVDTLSPSQSIKDGETLVSADGSFELGFFGPTVSSTSRFLGIWYKKVSSRTVVWVANRETPISDNKGVLLFSNHGILSLLNSTNSTVWSSNTSKAAQEPVAHLLNSGNLVVKNGKDNNPAEDNLWQSFDYPSDTFLPGMKIGKNLVTGFEWFLSSWKSTDDPAPGQYFLRINISGYPQLEIEKGSKIVYRAGSWNGLRFTAMRPNVIFFKHEFKFNENEVYYTTEPNSSVVTRYMLSPLGFAQFLFWSDQQRDWEYLSTSYLDQCENYALCGTYATCNENKSPAVCSCLEGFTPKFVGKWNSRVWYDGCVRRTPLVCNNGDSFHKRTGLKLPDTSHSKVNMTMNIKECRQLCLSDCSCTAYTNSDIREGGSGCLLWFGDLLDMRDDMGHDGQDLYIRLAASEIANIDQKRQSWEKKRVAIIIGSVIIGMGMLIPAVLCIRWRKRNKKGLTRKNRAMDCDERENEETELPLFNFGTIANATNNFSIENLLGRGGFGSVYKGTLAEGQHIAVKRLSKDSGQGLKEFKNEVILIAKLQHRNLVKLLGCCIQGEEKLLIYEYMANKSLDYFIFDQTRSKLLDWSKRVNIIGGIARGLLYLHQDSRLRIIHRDLKSGNILLDNAMNPKISDFGLAKTFWGEQTEANTNKVVGTYGYMSPEYAIDGVFSMKSDVFSFGVLVLEIVSGKKNRGFFHPDHDHNLLGHAWRLWTERRPMELIDDALRDFCLPSEVQRCIHVGLLCVQQRPEDRPNMSSVILMLSSESALPQPKQPGFFTGRNLPEAESSSSNSKSSSANECTITLLKARLKEMLGSKHGSAVPCSQRGGAKIEGIAASQCQNSLVDAPERAASQCWSLVLQRARTSDNGYDRSKFVSIEAFTRHIQSLNKKSSVLERGFDLPNVRYGDSLSVIIARHWKNFSAHLEAAVMPVVRKFYTNAYEHENRVTFCRGKKVPFDSFTINQFSNIPKIENDEYAHYTDGNVNLDEVITFLYDPGTQWKISKGISVSFKANTLDKFFKIWYHILTAKMFPIKDLSDVTKDRAILLYAMVTGKSINVGKQIFNSIVHCAISARDNIWYLSLIIALCKQARVQWSSEEELLHLRAPLDY >EOY13381 pep chromosome:Theobroma_cacao_20110822:7:7926122:7928101:-1 gene:TCM_031943 transcript:EOY13381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MGRMEGTRSYSANPSDYQLLEEIGHGATATVYKAIYLPSKDVVAVKSLDLERCNGNNLDDVRREAQTMSLIDHPNVLRAYSSFVVDHNLWVVMPFMSEGSCLHRMKTAYPDGFEEAAIASILKEILKALDYLHRQGHIHRDVKAGNILLDNNGTVKLADFGVSACMFDSGDRQRSRNTFVGTPCWMAPEVLQSGTGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPRLDQDRDKKFSKSFKDMVAMCLVKDQTKRPTAEKLLKHSFFKHANPSELSVKKLFAPMPPLCNPVKPLQLKDAAEQEAASQSQYQRGISAWNFDIDDLKAQASLVHDDDDIHEVQR >EOY13975 pep chromosome:Theobroma_cacao_20110822:7:16160942:16166667:1 gene:TCM_032934 transcript:EOY13975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQQEEVGHQGMEAEKVQNKVQKNQNFLGDVAVEKQQNKKLNAQNFLVAENDDLSPCNMREENSISLEDKLQWVVGNSLNVTKRKKSKKTAAKAVQKAEKNSILEKVDSAGARENNRNGKEGNGSSSKEIEFHPSTTGRRKSSSDISYVPETNNCSAESDEDIEERDHVQCLHVHMSFPWLSNHLYASFVYAKCTRMEKRYLWDCLRNISMDMQVSWIAGRDFNTILSSEEQLGLYVPHIGSMEDFATTLLDCGLLNAGFEGNQFTWKNSSLFQRLDRVAYNHEWAESFSIT >EOY13838 pep chromosome:Theobroma_cacao_20110822:7:11273930:11282834:-1 gene:TCM_032492 transcript:EOY13838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIAANWFSVSKGLISTPWECLAPLFSLLSFSIRCKFFSLFRKSTVFLPLTPVHCLTDDCSFFSQIALFYVPWGRVQLLRCYVWDILIPCILGDFWGYLRDFAKH >EOY13928 pep chromosome:Theobroma_cacao_20110822:7:13877124:13878074:1 gene:TCM_032726 transcript:EOY13928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MHLFIGTLPHRCLRDLAKKHGPVMHLQLGHISLLQHLILRFHGHWLCPNGAYWRQLRKICTLELLSAKGVQSFRAIREEEVSNFIASISPKAGSPINLRKLLRALTSNITTRAAFGAKCKDQEAFSQVVQETVEIVGGFSLADAFPSIKLLQLLSGRSFQLEKLHEKSDKILENIIQEHKTDPMTTSEFGYIDEVNDLVHVLLNLRDEIDEIDESTLNTVYNA >EOY13955 pep chromosome:Theobroma_cacao_20110822:7:15467838:15469994:-1 gene:TCM_032871 transcript:EOY13955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMQEELDQFIRNQVWSLVLRPSNHLIIGTKWLFRNKVDEQGNVVRNKARLVAKGYNQEEGIDYDETFAPVARIEAIRLLLAFARFMNFKLYQMDVKCALLNGLIQEELFVQQPPSFEDFEKFDHVFKLHKALYGLKQAPRAWYERLSKFLIENGYDRGSIDTTLFIKRYLNDLIVVQIYVDDIIFGATNEALCKNFAKEMQGEFKMSMMGELKYFLGLQIKQSEEEIFINQERYTYDMLKKFDVMKLKSNSTPMSPSTKLDLDEKGKDVDQKLYRDADFVGSRTNRESTSGTCQFLRRMLVSWSSKKQNSVALSTAEAEYVSLGSYCAQIL >EOY13950 pep chromosome:Theobroma_cacao_20110822:7:15118522:15121414:-1 gene:TCM_032838 transcript:EOY13950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MENYLPYFLFLFTFIYFIFMALRTWMKPTANGPVSRLPPGPPKLPLIGNLHLFKHTEAHLCLAQLAQKYGPVMHLQLGEVPTVVVSSPEGAKEVMKTHDSIFSERPYLYAAELITYNFKDIVFSRGQYLRQTRKICALELLSTKRVQSFRPIREEEISNLIRTISSKAGQAINLKYLLYSSALSIVSRSAFGGKCKFQEEFLKLIPDISDSLSGLSVVDLYPSIKLLHLINAMRPKNKRLHKKVDEILENIIHEHRVKKSTARVVEDEGAGDLVQVLLDIQDHGGLEVPLSTSSVKAIILNIFGAGGDTSSTVVEWAMSEMSRNPRIMKKAQAEVRGVFAGKRDVDEAGLHDLKYLNQVIKETLRFHPPAPLLLPRECRESCEVNGWVIQAKTRIIVNAWAIGRDENYWMEAEKFHPDRFDDSSFNYKGTDFHYIPFGAGRRICPGISFAIANVELTLAKLLYHFDWQLPNGMKPEDLDMTGVFAATWRKRDDLCLVPVPYEFASSG >EOY12693 pep chromosome:Theobroma_cacao_20110822:7:3404741:3473008:-1 gene:TCM_031206 transcript:EOY12693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNDLRGKVNVLNIRKRDLISRKEEELRHRKVVREEVKHWFNAIEKVNTEMEKIETKFHNVSYFLRGRLGKFVCRMIEKVEEIHQKGSFPDGPIIQSYFVIFLVFTFALL >EOY13566 pep chromosome:Theobroma_cacao_20110822:7:9246735:9258598:-1 gene:TCM_032163 transcript:EOY13566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase 2A, putative MDDKTASKNISTEGDKLMEELIQTLPQEKDWVGSTLYQYQGFWYPFFAPKAVIAFQNHVKAHETDIFLITMPKSGTTWLKALIFSIVNRNQFPLTQSPLLTANPHELVPFIDFNIYSKNQTPDLENENFPSPRIFATHTPYGTLPSSILKSNCRIVYLCRNPLDQFISDWHFIVDNFPRNEDFKPFSIEEGFDRFCKGIHAFGPFWEHVLGFWKMSLEHPEKVLFLKYEDLKKDIASNLKKLADFLGYPFSEEEIRQGVVEEISKLCRFETLKTLEVNITGESYVGLKNSAFFRNGKVGDWVNFINPPMADRMKQLSEEKLGDSGLKFDLLDKEE >EOY13274 pep chromosome:Theobroma_cacao_20110822:7:6939960:6941474:-1 gene:TCM_031793 transcript:EOY13274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKAEAFDILQGVPIGNNDLLISHLQFADDTMVFCRPKVENLSIAKRVLRCFQLISGLKINFNKSRVIGIGVDNQTWRRGVENIDCRIGEIPFVYLELPIGAKQNSVRMWNPIIEKFEARLARWKAKVLIIGGRVTLLRSVLTSLLIFGG >EOY13035 pep chromosome:Theobroma_cacao_20110822:7:5478712:5482845:1 gene:TCM_031544 transcript:EOY13035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S24/S35, mitochondrial MKRALLRSISLTTRNFLHSYTKTSQNPVHSLTPLASSTRSPLRFYSSESDSPDEKKPDPVAESTSLAQAHVKGVALVVEDVSTEELKTRIEKYFKGDEEALPSVLEAILKRKMLGKHEETDDDLLEEMRIQPVDDVTDREFESDFEELYSTDEEIDDLYNARDIAMKKMVKDEYFNMDDQKWDEMIKEAVQHGYLKDTKECEEILEDMLSWDKLLPDEMKKKVEERFNELGDMCERAELEVEEAYELFKEFEDQMVMEYGRMMEAEGPPKFDETDVPDMKKNLDDPPGEGPILRWKTRVVFAPGGDAWHPKNRKVKMSVTVKELGLSKHQFRRLRELVGKRYHPGKDELTITSERFEHREENRKDCLRTLFSLIEEAGKANKMVQEVRASYVKNRLRANPAFMERLRAKTMRLQASRTLNA >EOY12552 pep chromosome:Theobroma_cacao_20110822:7:2745596:2747460:-1 gene:TCM_031071 transcript:EOY12552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A lectin protein kinase family protein MTDIEYKGDASPSAGAMDLTKNSIYRLGQVICTEPVRLQDFGTKQLTDFTTHFSFTIDTLGPDNLDYGDGIVFFIVPVGFQSPENSTGGGLGLFPNTLIPQLPQQKHQIVVVEFDSFVNSERWDPPYEHVGININSLNSSVYSPWNASFHSGDTADAWISYNASTKSLTVSWSYQVTFNPQENSSLSFHVDLNEVLPMEWVKVGIGAATGFYHEKHILKAWQFSSSLDSKRTKGSKADKIIMIAPLCFGALAVGCIVAFFTIWGKKIGNKQENPEGISLIWIIHDLERGAGPRRFSYKDLISATNNFSDERKLGQGGFGIVYKGHLPELDIDVAVKKFSRGSKQGEREYLAEVMIVSRLRHRNLVQLIGWCHDRGHFLLVYEFMPNGSLDSHLFSHNCTLTWAVRYKICLGLATAMFYLHNDWEQCVVHRDIKAGNVLLDSGFNVKLGDFGLARLVDHELDPRTTCLAGTLGYMAPEYVISGKASSKSDVYSFGVVTLELVTGRRATDTRNDEMGLVELHVEALDKAGN >EOY13797 pep chromosome:Theobroma_cacao_20110822:7:10887794:10888505:1 gene:TCM_032443 transcript:EOY13797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein MLCVLLQSLREKFSGIIGQEGVRATDIDKVDMHLSFRPSDIVRVVVLSLGDARAYYLSTAKNEVGVISGKSSADAATMVPISWAEMQCPLTGQLEQRKVAKVES >EOY12612 pep chromosome:Theobroma_cacao_20110822:7:2995753:2998297:-1 gene:TCM_031118 transcript:EOY12612 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative MVSPENSNYWSHFDYANLIDDIPDGPYSSFSWPAQPTNAPSNVVSVENDGSFGDLDGLKESGSKKRVRSESCNPSSSKACREKLRRDRLNDKFMELGSILEPGRPPKTDKAAILIDAVRMVTQLRGEAQKLKDSNSSLQEKIKELKSEKNELRDEKQRLKAEKERLEQQLKTMNAQPSFMPPGPAIPAAFAAAQGQAPGNKLVPFIGYPGVAMWQFMPPAAVDTSQDHVLRPPVA >EOY12051 pep chromosome:Theobroma_cacao_20110822:7:730405:735668:1 gene:TCM_030659 transcript:EOY12051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spo11/DNA topoisomerase VI MEGNCSSDLLRKIKEFSLPILGDLSEGRSPLIFIQRFRTYCTNLDSNCSCSSNKPDGHEILTFKKESHVRRIDVLLRVLLIVQQLLQQNKHGSKRDIYYMHPSVFTDQLVLLGLVMGWLRFFEAGRKYDCINSPNTAHTIPVLVEDVKDLVSVAQYILVVEKESVFQRLANDQFCNKNRCIVITGRGYPDVATRRFLRLLVDKLCLPVYCLVDCDPYGFDILATYRFGSMQMAYDAKLLRVPEIQWIGAFPSDSENYDLPQQCLLPLTTEDKRKTEAMLNRCYLHQEVPDWRSELELMLRRGVKFEIEALSVHSLSFLSESCVLKVNINCETCKKKVMDVLQNLHGVYSVVIDAEQGTVKVSGKVNPYIIFKVFEKYGKHGEVSCVKFEGEVREPIYYHYHGGNGYIPHISPHTYPLMGGPDNYSWYDRHYYAPPPLAPPPPPPPYLPPPRPPPLQPVINYFPPKAPPVVAPPKEMDHQWCIIM >EOY13234 pep chromosome:Theobroma_cacao_20110822:7:6667301:6673590:-1 gene:TCM_031756 transcript:EOY13234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHECKMCCVCEGNHEFKAISRRRTLTAGFPNRWRRSCPGSALPSGDRSGGVDGLVPSPPANFSLRRGTSRLSPNRWRIWWRDGLLSLAPAAAPLSLFLRSVRLPLASVCRFSLPHVCGLGLLVLFTASSLAMADAVADVAAPGNLVVFCLQVPPPPNATVPPLLYTRFSGSGGGTPHCLVPGHPGASSTNLPDANFSRLAGERGAWQAMDPILEVEMPVDQPDPCIASATASFSEVLGEVDAKKQMAIPSDFVKHLPPHRGGYTHYFPVVDVSGRVWENFGYYIRGKESHPKPVFQGDWRKFVLTKGLMAGDGIIFRMERDTTRVPRYTIAAQKPLHKLFGKVIWGPEF >EOY13847 pep chromosome:Theobroma_cacao_20110822:7:11478381:11480524:-1 gene:TCM_032512 transcript:EOY13847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nine-cis-epoxycarotenoid dioxygenase 4 MLHSLRLSNGLATYCNRYIKTHKYMLERDAGFPIIPNMLSGFYGLLDIFRFLMVMKRIMIGHLDIMKGIGVANTSLAFVSGKLLALCESDLPYIVNLTQQGDIETLGRWDFDKKLLSNMTAHPKVDMETKETFAFSWSFTFPHLTFFRIDEKGVKHNEVPIFSMHKPSLIHDFALTKSFAIFHETQLIFSLAKVLTGRGFNAAHIINPWENGTEEIVFVASNVKTVKDFLFNRKLGIVLGKEKKTRYAYLGVLDETPKTSGLVKIDLETGNEVGRRFYGLGCFGGEPFFVRRNSDEDVECEEDDGFVMTYVHNEHTDETIFLLMDAQSPEFTTIAAVKLPRRVPYGFHGLFLTN >EOY12349 pep chromosome:Theobroma_cacao_20110822:7:1774501:1778563:-1 gene:TCM_030878 transcript:EOY12349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brassinosteroid insensitive 1-associated receptor kinase 1 precursor MISPLSHCHPFLVILLGIHWIFATVQAQGNVSLGDFLSANDKNIAWQSPSGDFAFGFHPIQGEEDQFLLAIWYAKIPDRTIVWYANRENPAERESKVELTSTGLVLKDPKDRVLWRSKTLNNDSQASHAAMLDTGNFVIASRNSGNIWESFKYPTDTILPTQELDADGSLSSALAERSYQEGKYQLRFNNGFFMLNQIDMFTGKPYNNYFILGNGSRLIFNQSGYIQIQSSNGSLLNLAPENAPPDPESNYYRAILSFNGVFTFYSYPRNPSGGESWSAWWFRPKDICSSFVDSTARLGNGPCGYNSICEPINGRPNCTCPPGFSFLDESNPYNGCKQDYTSYPQDCNPDGSTIEEDRFEFKSMQFVDFPFSDYGILQPATEFECKQSCLLDCSCAVAILQDPTVSKDGNGTCWKKKLPLSNGSVNRETIDRTSLFKVLKSEARKNPATPNPSDENQNQVILILGVLLGTSAVFNFFSLAAISLIFFCLYKRRLRDFNGIPGRRDLETNLRSFTYKDLEQATNRFKEELGRGAFGTVYKGELPSSFGNYVAVKKLDKFVQEGDREFKTEVKVIGQTHHKNLVRLIGYCDEGEHRLLVYEFMQNGSLSSFLFGVLRPSWQQRLHIALGIAKGLTYLHEECSIQIIHCDIKPQNILLDDSFTAKISDFGLAKLLINNTKTLTGIRGTKGYVAPEWFKNTPLTVKVDVYSFGVMLLEIITCRRSVEIEMEDAAILTEWAYERYSEGIAEKLVENDEEARSDLGKLEMLLKVAIWCVQDEPLLRPSMRTVSMMLEGAVQVPTPPCPFLADPLSRF >EOY14184 pep chromosome:Theobroma_cacao_20110822:7:21912137:21912828:-1 gene:TCM_033458 transcript:EOY14184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKPQHKHDGVDCKDAGEGKSSSSQSQSWPSSVASNELPPCREPSTAMAELNDIAASLKAKVCRLERVVAEMKAARKFGQR >EOY12819 pep chromosome:Theobroma_cacao_20110822:7:4264106:4267893:-1 gene:TCM_031335 transcript:EOY12819 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1-like2 METPVSEGLVSKRSLRKKAGLKNYDENLMDEFIEKHIGGSFRKKNRTKEELEKETETEAMIALSLGFPIDALLEEEIKAGVVRELGGKEQNDYIVVRNHILTRWRSNVHIWLSKGQIKETVSNEYEHLMSAAYDFLLYNGYINFGVSPSFSSYMSEEANEGSVIIVGAGLAGLAAARQLLSFGFKVVILEGRNRPGGRVYTQKMGKKDKHAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYRPDGMPVNKEIDSRIEMIFNKLLDKVMELRQIMGGFANHISLGSVLEKLRQLYAVARSEEERKLLEWHLANLEYANAGCLSDLSAAYWDQDDPYEMGGDHCFLAGGNWRLIKALCEGIPIIYGKTVDAIRYGNEGVEVVAGDQAFQADMVLCTVPLGVLKRKTIRFEPELPQRKLAAIERLGFGLLNKVAMVFPHVFWGEDLDTFGCLNDTSNNRGEFFLFYSYHTVSGGPVLIALVAGEAAQTFERTDPSLLLLRVLSKLRAIYGPKGVDVPDPVQTICTRWGNDPLSYGSYSHVRVQSSGGDYDILAESVGNRLFFAGEATTRQYPATMHGAYLSGLREASRILRATTIRQNNIRRSVQQNVGTSSKVLVELFKRPDLAFGNFSFVFNPLTEDTKSLGLLRVTFDNCKADMRRVLESSSGPLSNQPLQLYTTISREQAHKLELVTGENESKLVYLINNLGLKLMGANALGNIGNSLVTSIANARRGRGRNRIYTPQQSKV >EOY14311 pep chromosome:Theobroma_cacao_20110822:7:23740018:23770072:-1 gene:TCM_033675 transcript:EOY14311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 5F1 MGTTSPKTTYSSIGVWCCNCNCNCTSEQQRMTVARLARCKILPSHLPRMHLPSAHRQMSIAAGLKNSSIFRSQGLIGGKWIGAHDGKTIQVNNPATGEIIADVPFMGRRETNDAISSAYNAFNSWSKQTAAERSKCLRKWYDLIMSHKEELGQLITLEQGKPLKEALGEVSYGASFIEFFAEEAKRVYGDIIPATLADRRLFVLKQPVGVVGAITPWNFPLAMITRKVGPALACGCTVVVKPSELTPLTALAAAELALQAGIPPGVLNVVMGNAPDIGDALLASPQVRKITFTGSTVVGKKLMAGAAGTVKKISLELGGNAPCIVFDDADLDVAVKGALAAKFRNSGQTCVCANRIIVQEGIYEKFAEAFSKAVQNLQVGDGFSEGVVQGPLINEAAVQKVESYIQDAISKGAKVLLGGKRHSLGMTFYEPTVVTDVKSEMLLAREEVFGPVAPLLQFKTEEEAIRIANDTNSGLAAYIFTNNVQRTWRVLEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGIDEYLELKYVCLGDMNRK >EOY13716 pep chromosome:Theobroma_cacao_20110822:7:10345892:10347792:1 gene:TCM_032355 transcript:EOY13716 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding storekeeper protein-related transcriptional regulator, putative MARKRSNPIEDPPTASSSEEEKEEETSSEEEEGSSSEEEEEEPKTQTTSQNQKTQPPKKPDIATAATPGDESDDSDTDSESESDTDSQTPKPIATKPMEEASNTKKPRSKPLASPIKASSTKRPSDSEQEPKDVKRAKKKAGEEVTEVAVVEEVKKTGEDAKKQLFQRLFSEDDEIAVLKGMLDYYAKKGADPCADMNAFYDFVKKSIHIDVTKAQLMDKIRRLRKKFENNAVKGKKGEDKTFSKVHEQKAFDLSKKIWGKEGISGKTESSAVKSNGKTKGNTKALPVVKPEIFSPEKNVEPMDLDKKSKSVASFFDKSIGLAGMEEKILKNGLEIIGAEKRAALEEKWRKLQIAELELFLQRSELIKEEAKMLLEFYKSEDK >EOY13322 pep chromosome:Theobroma_cacao_20110822:7:7540913:7541550:1 gene:TCM_031869 transcript:EOY13322 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein, putative MEKMSLTWSTIMEMEKWRNGESTTDPLLNTTNPPIIGNPVLVTPSTSAQSFVTNEELEKLLDQKNKSHKFSEFNLILPYLAKFIETLGVASLDDDLNLKEFFESLIKKAYTWYVNLTPS >EOY13858 pep chromosome:Theobroma_cacao_20110822:7:11780862:11781886:1 gene:TCM_032543 transcript:EOY13858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFVLRLPRTQRGKDAIWVIVDRLTKSAHFLAVHSTYSIEKLAQLYIDEIVRLHGVPISIVSDRDPRFTSRFWPKFQEALETKLKFSTAFHPQTDGQSERTIQTLEDMLRACVIDFIGSWDRHLPLVEFAYNNSFQSSIGMAPYEALYGRKCRTPLCWDEVGERKLMSVELIKLTNDKIKVIRERLKVA >EOY14139 pep chromosome:Theobroma_cacao_20110822:7:21494399:21497028:1 gene:TCM_033426 transcript:EOY14139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKLECPFTKSEVWEVIKGCGGNKAPGLASINLNFFKAQWYVMKTNVMKSVEDFYKLGKLGHGVIGEQQFTFVQGKLGGFMFKIDFEKAYNNVSWDFLDVIMARMGFGERWRLWIMECVTPASISVLVNGVSY >EOY14049 pep chromosome:Theobroma_cacao_20110822:7:19185823:19192370:-1 gene:TCM_033195 transcript:EOY14049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKGKGLPSTLRKHLKSKVPKKKHHWSWKRKVQEKETKWFEAVLAETRAELNHMEGAEQKAKTRLRKLERKNSEIKKGNRLAAMNIARIQLCIDAVLDLLDD >EOY13795 pep chromosome:Theobroma_cacao_20110822:7:10877943:10879420:1 gene:TCM_032441 transcript:EOY13795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLELMLACVKWTNDKWQFTWISYMFVCVLLNVEDYYLAQFEFLPDQNSEYHHTILQTITHPWSMANNSIFSHQTSPLSKSVVEKVFENALSAKFKGKVMFETTPRKEPGESREKEKRDHQHNKSWLRKNKFQICSHKQGTVAQTTVVVEYMAAAIVAKQATWMRKVPLHFGMPQTKPTELFVDNKSIITIAKNPVFYKKTKHINVKYYLSSDAEKCGEVLIPHFSFEEQFTDMLAKSLSKPKFNYHKDQLKILHTSIKGECWS >EOY13473 pep chromosome:Theobroma_cacao_20110822:7:8685802:8691321:1 gene:TCM_032069 transcript:EOY13473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase MLPFLKEIDLTRNYLNGAIPPQWGSMQLTHISLLGNRLTGSIPEELASLSNLTSLVLEHNNFAGNLPPALGNLPKIERMFLNSNNFTGELPSTFARLTALTDFRIGDNNFTGKIPDFIQNWVQLKTLYIQASGLSGPIPSAIGALQNLTKLVISDLNGAEGPLPPLDNFTKLEILVLRSCKLIGDLPNSLRELTALKKLLVYSFLD >EOY12752 pep chromosome:Theobroma_cacao_20110822:7:4034373:4037957:1 gene:TCM_031287 transcript:EOY12752 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box type zinc finger family protein, putative MKKCELCEGLARMHCESDQANLCWDCDVEVHGANFLVAKHSRTLLCRVCQNPTPWLASGRNLSPAVSVCESCVGNNNKKNNGSICEVTDQQEESSEEEYEDDEEEEEEEDHEEEEEEEEEEEEEVEDGENQVVPWSGDSSSFSMSKPVSSLDSLSSSEGGGLRLKRMREHLSFYSDDEFGCSSSHVGSGGSTNGEATSMGSSRLSKQPRLCEVNQSARNQDHSETESRSTAIISSLKRLQNHMITNDNDASATILGICRLSRDQSPLDFTSH >EOY13203 pep chromosome:Theobroma_cacao_20110822:7:6502191:6504969:1 gene:TCM_031725 transcript:EOY13203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fiber Fb17-like protein MHSPIGQPKASNRPQTRGCGSINQFQRRIDKRKNGKKNTHLTEIIKMLIAEYHEVRSRDLEQQENSYSYSVNQAQDHHPVFSVQDAQGMDSNRGGTPDELDGLQLDDLLDDLPSNELDTSEPVVQPNRTLAEFLMKIDEDVQSNVDISDFMSFLEGEHKTIGRYSFPLSLVPTVERIISVYGDVSAPSLINSNVAGQIYILFCATVKEMEHLHLDQVSPEKMLKWRDAIKDALRINFNVEFAMNHLKKIARAYFGLAGRQVLQSIDDKLDALYNERAQTYERFQDCLADAEDFSGKSVSTGLFP >EOY12111 pep chromosome:Theobroma_cacao_20110822:7:1009961:1013691:-1 gene:TCM_030711 transcript:EOY12111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIDAAYLWGFINSLFSVPNVINSICIQPPVAPLNLGNTLGVISTQIDYLGHVAQAGAIPLLAELLQGPDPLGWDVAENALCLLALNEENAVSIADHLSEDSDVRGTAAGAISQLSYNKADRGAIIETGAVNHFVELLDDDSEESRIEQHTRDPDLV >EOY12205 pep chromosome:Theobroma_cacao_20110822:7:1299126:1302943:-1 gene:TCM_030772 transcript:EOY12205 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome, subunit CSN8, putative MGTVQVTKPKDLLSTTSSSSVLSSRPCFSSKMPLKRSCLRRHRFPKSLDDIADICDNLMLRVAAEGVAFQDEWPFAIHLLGHSYVDDISSARLLWKSIPAAIKESQMELVLLPGKLGCLDCCYISAYSTISIQDAAQFLGTSEDDAANYVSRQGWTVGPASQMLTVKKQATVREQKLDPGKLQCLTEYVFHLEHLTIVDMDMIRYLLVLVS >EOY13272 pep chromosome:Theobroma_cacao_20110822:7:6913205:6929504:-1 gene:TCM_031790 transcript:EOY13272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MGKVVRMLYPILFLLLAFLHFQVHCLLSFSSSFLDSAHLCLPEQRAALLEFKNTISLDHYCDDCPRTNSWNESTDCCSWDGVFCHMVTGYVIGIDLSNSCLNGTLPANSSLFHLQRLQWLDLSSNNLHGSLLEDSSLFHFQGLQQLNLAHNNFDGSISSELFNKLVSLTHLNLSRSGFSSSIPASFRNLTQVVFLDFSENDFEGQIPDAFENLNRLTTLKFDSCNFSGQLPTTMFNLTQLTLLNLSHNQLEGPLPNHVSELQLLEGFWLSNNLISGGVPSWLFTLPSLQDLDLSHNKLTGPIDQIQKPNSVRNIDLSSNDIHGPIPSFFFDLVNLEKLDLSSNNLSGVIKSNMLAKLENLSHLYLSNNSLLSLSTGGNDANYSFPQLATVSFSFCSIRQFPNFFQKSNLEILDLSYNKICGGISKWEAEGWESLLELHLSNNSLTTLEQFPGKNLRVLDLRSNLLQGPILSNLLIRDQSSLVFLDLSRNNLNGTIPNYIGNFSIYLKFLNLEMNNFYGKVPDSFTNDQLRYLLLNDNQLEGLLPQSLANCSSLKVLNLRNNKFTDTFPHWLASLPELQVLLLRNNRLYGPMPNSIASSNFSSLQIIDLSHNELTGPLPTKFFQNLRAMKDEPQNRHRNPSSLGYMHYQGSSSRGYMRSEAKLSSIDATGDTDGPLQKSCKISYQDCIANGRKGYVSVYQQISMNVSVNVTMKRLEMELARTLDIFMSIDFSSNLFYGQIPKELGELISLQALNFSNNHLIGPIPTSFGNMVALESLDLSSNKLGGRIPSQLTKLTFLAVLNLSQNALTGPIPGGNQFDTFESDSYSGNLGLCGLHLSKQCGNDEEPKPPVPMPKEDEGSEIAFIWKVVMMGYGCGVVLGLSMGYIVFTTARPWWFIRMVERDWQNNVTKWISRNRGRRN >EOY12630 pep chromosome:Theobroma_cacao_20110822:7:3045545:3048327:-1 gene:TCM_031129 transcript:EOY12630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation protein SH3-like family protein MAAMAALQSSMTTLSISSNSFLGQRLSVPPSLSPLTVKPRENPCLIVVKLKRWERKKCKPNSLPILHKMHVKVGDTIKVISGRDKGKTGEVTEIFKHNSTVVVKDINLKTKHMKSREEGQPGQIIKIEAPIHSSNVMLYSKEQDVTSRVGHKVLDNGKTVRYLLKTGEIIDSDENWKKLKEAGKEKTEVAAAAAAS >EOY11924 pep chromosome:Theobroma_cacao_20110822:7:376339:377845:1 gene:TCM_030574 transcript:EOY11924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF868) [Source:Projected from Arabidopsis thaliana (AT3G13229) TAIR;Acc:AT3G13229] MLSNLEGAGLPNIPRPPPSKEKQKENQGTTDNAAQCSVTSIYHAHIADSSRKVTATWCKSLVNHSFTINVENPSDDQCPCTCKIDLKAWQFWGRKGLKSLEVDGQRVDVYWDFRQAKFSGSPEPCSDYYVAIVSEEEVALVVGDMKTDAFKRTKKRPSLVDAILLCKKEHICGKKLFCSKAKLNEGKHENDIMIENSLSGPDDPEMWVSIDGTAVIRIMNLHWRFRGNEIVTVNNTPVQIFWDVHDWLYNTSGSSHGLFIFTPGVPDSAAHCDSDGCNTYDSPRDSQSVPRFCYVLYAWKTE >EOY13301 pep chromosome:Theobroma_cacao_20110822:7:7386910:7390953:-1 gene:TCM_031843 transcript:EOY13301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLAQNLTNLRNLTFNFADMSDVALPSFLNLTSSLERLSLRDCQLHGEFPSEVFCLPYLQHIDPASLTSGSIISHDSGLNNSGEDLSEFGVGKEGWLAPDASTTLLCALDAHSLAIANRSLILILGWSDPDEPRVQILGTSRGFILVYSLRGDLIHRQSNQRYYCAVTIGDDAVISAFRLSEDRNRSLDHPRKGEKLTLSPSCTLAAITDSLGRILLLDTQALVVVRLWRVSSASMVFNFLKSNRSFILFVNTEAIGSGSSYYEPGKSDYCLCLAIHAPRKGIVEVWQMRTGPRLLTIQCAKGCRLLQPTYRFGSSLDSPYVPLDVFLLNGDSGQLSVLNRVFN >EOY14030 pep chromosome:Theobroma_cacao_20110822:7:18301650:18302146:1 gene:TCM_033111 transcript:EOY14030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQVPHRVLRYLKGTTGQSILLSSNADLTLRAYSDYDLDSCQDTRKSITRFGIFLGISLISWISKQQTMVAKSSIKAKYRAMASTCCEKLCGFYICLKTLAIKMLKQ >EOY14075 pep chromosome:Theobroma_cacao_20110822:7:19998157:19999233:1 gene:TCM_033268 transcript:EOY14075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGAECLIDYSENSNKRKDPLSRTSSSSSNSGAKFPRVSRPSSGKGDRRPPTRDSPQPRNNKQWNFKPRPLISYFLCKEPHRVADCPHQVVISVICITNVKTPLPHTIVEKAKEEPTQMGSICFLSVLQAQLEKMEKEPQRGLTYVEVLLNEKSTKAMVDMGASNTFITSREAKRCGLKVDKDFGR >EOY13643 pep chromosome:Theobroma_cacao_20110822:7:9706469:9735332:-1 gene:TCM_032265 transcript:EOY13643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 4 MSNEIVPVDPIFDEEPKPNKQPTISHGEEEESKGVTRSLTDGESSSSRSGRYVSEMFNSERLPPTLSSEIQRFLRVANMLEWKAPRVAYLCRFHAFEIAHNLDRNSTGRGVRQFKTLLLERLERDEEITKTTRQEQSDLRELKRVYDESRGYINQHAGAFDFENSHGEKLIDACIIASVLYEVLKTVTAGPQALADRDSIQAKSELFAYNILPLDHGGIQHAIMKFPEIKAAIAVVRNVRGLPSAQNFQKRGAFLDLFEFLQYSFGFQKENVANQREHLVLLLANVLVRQPQKQLSTLKLVDAAIDEQMRKFFKNYTNWCKFLGRKSSIRLPCVNQEAQQYKILYIGLYLLIWGEAANLRFMPECLCYIFHHMACELHGMLTGAVSMTTGDTVMPAYGGSRESFLSNVVTPIYRVIYEEAEKNKSGTADHSTWRNYDDLNEFFWSPDCFLIGWPMRLEHDFFCTQSPKKQKFKISRTAKEKRKVKGNEDEEQGLNDVTLEEIREPIWLGKSNFVEIRSFWQIFRSFDRMWSFFILSLQAMIIMACHDVGSPLQVLDAVIMEDIMSIFITSAMLKLIQAILDIIFTWKARNTMELSQKRRQVLRLAIAVIWTIVLPVYYARSRRKYTCYSAQYGSWLGEWCYSSFMVAVAIYLMTNAVDLVLFFVPAVSKYIEISHWCMCKTMLRWIQPRLYVGRGMQETQVSLFKYTFFWILVLSTKLVFSYSFEIKPLIAPTRQIMKIGVQIYDWHELFPKVRSNAGAIVAVWAPIIVVYFMDTQIWYSVYCTVCGGLYGILHHLGEIRTLGMLRSRFHSLPSAFKLCLIPPPSKKGQKSRTKSFFQNIFCKVSQSEALDQKFVLVWNQIISTFRSEDLISNREMDLMMIPTSGLFPGIIRWPIFLLANKFSTALSIARDFVGKDKKLFRKIRKDEYMYSALKECYVSVKSILEILIVGDLEKRVVFNIVNEIEGSIKESSFLQDFKMSELPALQVKFVELLELLVEGDKNQHDKVVEVLQDIFELVTNDMMANGHRVLDLLESSQETVHDMTASPRRVERQLFESAAGKTSIYFPLPDDGTLNEQIKRLHLLLTIKDKAMDIPANLDARRRISFFATSLFMDMPSAPEVRKMLSFSVITPHYMEDINFSMKELQSSKGQVSIIFYMQNIFPDEWKNFLERMGYQNLNELIDESKEEEIRNWASFRGQTLSRTVRGMMYYREALKLQALLEKPENKDILEDAIERNNPKLSAELDALADMKFTYVISCQMFGSQKSSGDPRAEDIKDLMRRYPALRVAYIEEKEEIVGDKPQKVYSSVLAKAVGNFDQVIYRIKLPGPPIIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKVRNLLQEFLQNHGRRPPTILGLREHIFTGSVSSLAWFMSYQETSFVTIGQRLLANPLRVRFHYGHPDIFDRVFHITRGGISKASKTINLSEDVFAGFNSTLRRGCITYHEYLQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRQFDFFRMLSCYFTTIGFYFSSLISVIGIYVFLYGQLYLVLSGLQKALLLEARMQNIESLETALASQSFIQLGLLTGLPMVMEIGLEKGFLTALKDFVLMQLQLAAVFFTFSLGTKTHYYGRTIMHGGAKYMPTGRKVVVFHASFTENYRLYSRSHFVKGFELLLLLVVYDLFRRSYQSSMAYVLITYSVWFMTITWLFAPFLFNPSGFSWDKIVDDWKGWNKWIKEQGGIGIQQDKSWQSWWNDEQAHLRRSGYGARLFEILLSLRFFLYQYGLVYHLDISQQSKNFLVYVLSWVVILAVFLTVKAVNIGRQLFSANYHLMFRFFKAFLFLSCFAIVITLSIICELSLKDVIICCLAFLPTGWGLILVAQAVRPIIEKTGFWHFTEVLVQAYDYGMGSVLFAPVAILAWLPIISAFQTRFLFNQAFNRHLQIQPILAGKKKQT >EOY12428 pep chromosome:Theobroma_cacao_20110822:7:2211396:2213332:1 gene:TCM_030947 transcript:EOY12428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane proteins 14C MHDFCFTIPYGLILVAGGVVGYLKKGSTASLAGGVGTGLVLILAGYLSLKAFEKRKNSYFALVLETATAAVLTWVMGQRYYQTSKIMPAGIVAGISALMTGFYLYKIATGGNHIPAKAE >EOY14145 pep chromosome:Theobroma_cacao_20110822:7:21615516:21623031:1 gene:TCM_033434 transcript:EOY14145 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase 36 MIQEEREHDNPPTLIDKNFPLFSKPRKPKPKPNKTPKPSQNPNSQTPQIEKVSSASEDAAAATTAFSDLGLAEWAIETCKELGMRKPTPVQTHCIPKILAGRDVLGIAQTGSGKTAAFALPILHRLAEDPYGVFALVITPTRELAFQLAEQFRALGSCLHLRCSVVVGGMDMITQAKALASRPHVVVATPGRIKVLLEENPDIPKVFQRTQFLVLDEADRVLDVGFEDELRVVFQCLPKKRQTLLFSATMTGDLQTLLELSANKAYFYEAYEGFRTVETLKQQYLFIPKTVKDAYLVHILSKMEEMNIRSAMIFVSRCRDCHLLSLLLEELEVEAAALHSLKSQALRLSAVQHFKSGRVSILLSTDVASRGLDIPTVDLVINYDLPRYPRDYVHRVGRTARAGRGGLAVSFVTENDVDLVHEIEAELGKQLENFECKENEVLSDFTKVCKAKRVATMKMMDDGFEEKVKERKKQKLKTLAEKGLLKKRSKKRKRGTFAKSSDQS >EOY13470 pep chromosome:Theobroma_cacao_20110822:7:8635792:8638641:-1 gene:TCM_032055 transcript:EOY13470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPCETAKEAWDKVKEEFQGLDKTRKMQVLNLMRQFQVLKIKEDEIMKDYVDKLTKIVNQVRLLELSYLTTMTFQELVNALQASEARTQIKLKESTLTTLQARFKEKNVLHNSSRKSLSEKKDNKKAFTSKQRQNGLRTKIEIRNGDYLDAIGKGTVVITTPIGTRYVTDIYLNKACTIIELSDSVLMIVKMRNKCFPLDMQHLSLSIVEKLGKQTRFPFPTSSSKRASEKLELVHSNVCGLMSIESLNASKYFLMFTDNYSWSTWIHFLKHKSDVFSIFKKFKASDELESRSLLEVIYELDCDPDDIEFVVKGSRSLENIHDRCNMAILNPTHYYEAKDQAHWQAAMQEELKMINKNKTWFLVERIDSMNPIGTKWIFRTKYNANGSVKKHKPRLVVKGYAQMPSIDYTETFALVARFETINQVAQFNCKKPWLRCPSSIKIAFSNKDLTEDIEIPEGLKVKDKVNLVYKLHKALYGLKQAPRAWYSKIETYLT >EOY12237 pep chromosome:Theobroma_cacao_20110822:7:1435697:1440425:-1 gene:TCM_030800 transcript:EOY12237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MLVPSLDFRCFSLRKHSLFIKFPRRSLLASFQLVSCFTTLVATNEINPIFYPPLKVTPFKEKVKLDRTKRLKLYSKILHDCASKGSLRLAKVVHGKIMENGLDPDLHLWNSLVNVYAKCGSFGYACKVLDKMPETDVVSWTALFSGLVNEGHGSAVLGLYCFMKKDGVRPNGHCLVTALKACSLSLDLFFGTLLHGEGVKVGVLLDVFVGSSLVDLYAKCGEMELAERVFVYMDKKNVVSWNALLNGYALEGDAGKVLNLFEGMTESELRCSKFTLSNVLKSCTYLGNLTWGLIAHSVVIKSGCEHDEFVGCCLLDMYSKCGLAEDALKVFQRIQEPNIVAWSAMIDCLDEQGQIQEAAEMFCLMRRKGVSPNQHTFSSIAGAATNLGDQFFCEGIHACIIKYGFESENVLSNALISMYMKIRSVQNGWQVFKEMSSWDLASWNALLSGPHDDKTCDQGPIIFHKMLAAGFRPDICTFASILRSCSSLLNLKFGQQVHAHIIKNGLNGNNLVGTSLIDLYAKNRFLEDAELLFSQLIERDLFSWTALIAGYAQTNRVEKAIKCFNQIQRQGVKPNEFILATCLSSCSKMAMLENGQLLHSMAIKAGHSADLFVSSALVDMYANCGCIEEAESAFQGMASADVVSWNTMLFGYLQHGQGLKVLETFRTMLDKGLEPDEVTFIGVLSACSYMGLVEEGKEHFDSLTNVYGIVPTIEHYACMIDILGRAGKFNEVESFVKDTKVTSTALIWETVLGSCRMHGNDKFGEIAAEKLFELDPETASHYILLANIFAAKCRWDDVRRVRALMTSHGVKKEPGCSWVMVNGQLHIFRSADSSHPMNREIYMKLQELVQKVILAGYVPKTEHVLHNVSHREKMEQLFCHNERLALAFALISINPMKTVRIFKNLLICEDCHDFMKLVSGIIEQEIVVRDVNCFHHFRSGICSCQDRWVVLPNVLLLKNTYFLFSFMDINLPHLSPILSELQPPGPLSPGFSQNLQVAYDVESSLSEHLRIWRHLRIISYQYHVFKSRLLILVTGSQITSLLITAKANVNGASNGPDFGERPALASD >EOY13264 pep chromosome:Theobroma_cacao_20110822:7:6831910:6833827:-1 gene:TCM_047066 transcript:EOY13264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide-N4-(N-acetyl-beta-glucosaminyl)asparagine amidase A protein, putative MTPSHQLAFLKSPNPSESQTPSPVPSLFCNMTLATLMVNLLSLQTTPFHLIAHIRNFRKLSWSGMPHVKGGNETGFLEFGCLGWSYSGAAQLSLVPLGLSGVSKRISQEGSGVGSKADLILPFLRHLPLNDGLWYEIENATDIKVKEFEIPLNAYRAVLEVYLSFHENDKFWYGNPLNDYIAANNLTNLGGNGPFTEVVVNLDGEVVGVVWPFTVVYTGGINPLLWRPISGIGSFDLPTYDIEINPFLGNFKSTNTEGKLLEHDVVPLSVSTFADFKGLNGTFITNTTRFISSTGWVKSSYVFMQRSQALMLNARKHSRGFFFTYTHDYLDQGNGTSFSVTNVTLGFGEKKFKDADARLPSSSLRNLQKGPGVMVVKDNLVVGGVGSTQQTYNYDSSKFATPGT >EOY12085 pep chromosome:Theobroma_cacao_20110822:7:881033:881479:-1 gene:TCM_030688 transcript:EOY12085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLNRKIKLVMRFAEVYKPYAFFKGIFSDSNLDKLQMVAQGRGVDMGVFDFDSKSIDWEDYMMNIHIPGLLRHAIKSNYF >EOY13055 pep chromosome:Theobroma_cacao_20110822:7:5671095:5673773:-1 gene:TCM_031575 transcript:EOY13055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase 44 MDKRVFLLGLFLFALGHVNGFPMSDLIEKLPGQPNVTFRQFSGYIDVDEKTGRSLFYYFVEAEKDPMNLPLTVWLTGVSNLLFIDSPVGAGWSYSNTSNDYEVGDDSTNKDLLTFILQWFEKYPNFNSRDLYLGGSSYAGHFIPNFANALLDYNKQSNNFKFNVKGLALGNPLLRNKLDTLAVYDFFWLRGMININLHQQILKECNGIDEDNYSNNATKWSESCQQAMDKAEMAAFIVSSINVAKARRFDVLRDPCDEKWEDLVLGKEVTKVSYEVDMCIPFRADFYFNIPEVQKAFHGNRTNLGYQWKDCFETSGLKYNDVDKDIDMLPALKKFFNNLFPLQYSEIMWLTLLFCFLLSFYPISCYSGNQDAIVPTVGTLNHVNKLAKDMNLNLTKDEAWNHDNKGEGWMYSYGNLLTFMIVKGANHHVTFSKSSDALFIFTNVVLNRSH >EOY13771 pep chromosome:Theobroma_cacao_20110822:7:10718254:10722285:-1 gene:TCM_032416 transcript:EOY13771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLPANHKAFSLSLSHIQEPTYYIKQAMQCELDAPKADGTWIAMRLPANSHAIEYKWVYKVKLNSDIYSLFTKSTKNGGFIALIVYVDDIVIGSTSMQLRNEEKNYSSSKFKLKDLGKLKYFPGLEYMDKPSEANLKVGFRVLKYLKGVPGQGILLSIESDLNLQGYNSNDWVGCLDTRRSTEAKNRAMAATCCEVMWLIFLLKDVHIEHLSALRPLWDNQQVVAEHWHAFPPPFLLDSIVEVVDIPRLVEDMLSKTPI >EOY12365 pep chromosome:Theobroma_cacao_20110822:7:1826993:1827599:1 gene:TCM_030889 transcript:EOY12365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expression of the gene is downregulated in the presence of paraquat, putative MGNCLRHQSSTQWAGDDWGTSPAEDDDSGFFASETTNDTCEYKAMMNMEEKGLLRNHQKNGFTTSSATTHEVKVKITKKQLEELLGRVDVKELSVQQVLAQLINVRNQYETSQRSWRPALQSIPEVN >EOY13086 pep chromosome:Theobroma_cacao_20110822:7:5848627:5850890:1 gene:TCM_031610 transcript:EOY13086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MRRIFKCRSLNSLSRGTIHRKFKFPISYFHFTPIPRNQATNPTFHFLGTIKKLPFFRSFASSGESIDFQQFPDGKYVLELQNILNNHRNSSIEEIEQALDQCEVTMTEGLALDLVRRNRSDWKLAHVFFQWVSKKGENSLGFDVYNEILDVLGKMHRFEELRKVFDEMLEREGLVNEGTFKILLHRYAAADKVEDAMGVFNRRKEFGFKDDVVAFQVLLMCLCRYKHVEFAETLYQSKRREFGYDIKTMNIILNGWCVLGNVHEARRFWKDIIESKCKPDLFTYGTFINALTKKGKLGTAMKLFRGMWEKGCDPDVVICNCVIDALCFKKRIPEALELFREMGERGCVPNVVTYNSLIKHLCKIRRMEKVYEILDEMEEKGGCLPNDVTFNYLLKSLKKPEEVPGVLERMERYGCNMSGDTYNLILKLYMKWGHEERVRCTWDEMEKSGLGPDRRSYTIMIHGLYDKGSIEDALSYFNEMTSKGMVPEPRTEILVNAMKDKLKEQEGEKERKEPGKNGKSLRPRSKRRKEKRTG >EOY12188 pep chromosome:Theobroma_cacao_20110822:7:1251769:1253475:1 gene:TCM_030763 transcript:EOY12188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wax synthase, putative MEGEIKTLVKVWLSILPSLCYCYFVALKLPEGKLRLLAILPILFLFTILPLFLSHVFPIGLTALFLSWLGNFKLLLFAFGKGPLSSHHYPPCSLIHFILIACFPIRIKENGKYPSSKSTQSPQQTCPKHPRLPLNWPTKALVFAMLVGAHDYEHFVHPKIVLLLYCCMVYLLIDIIFGVSNGLVHATSGLELEPPSDEPYLSTSLQDFWGKRWNLMVTNLLRHTVYKPMRSFTDNMLGPKWAPLPAVLAAFLVSGLMHELLFYYVTRVSPTWEVTWYFVLHGACVVAEFGVKRAFPGKPRLHWAMSAPLTIGFVVATAMWLFFPPLLRTGAAERAIGECKVLLDFLLRFLFKVKMIN >EOY12801 pep chromosome:Theobroma_cacao_20110822:7:4205506:4206976:1 gene:TCM_031320 transcript:EOY12801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGIWDFISWSTDSIKGLWQSSYDHSRAAITKVDSVRIDAVEKVSQHLSDPETRSKISRVATDVAMNATIEGLKVIPGAFPTYKIVSESLHDDKKSMNENKSKEQEEGLKALQATVSRLEKEVSDLREQAGIQQHAVETKPQNTNSADPKPKI >EOY12781 pep chromosome:Theobroma_cacao_20110822:7:4136319:4144273:1 gene:TCM_031306 transcript:EOY12781 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair and meiosis protein (Mre11) MEKDEVRRHDSFQAFDEICSIAEEKQVDFLLLGGDLFHENKPSRSTLVKAIEILRRHCLNDQPVQFQVVSDQTVNFQNTFGHVNYEDPHFNVGLPVFSIHGNHDDPAGVDNLSAVDILSACNLVNYFGKMVLGGSGVGQITLYPILIRKGSTAVALYGLGNIRDERLNRMFQTPHAVQWMRPESQEGCEVSDWFNILVLHQNRVKMNPKNAINEHFLPRFLDFIVWGHEHECLIDPQEVPGMGFHITQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPTKIPLTSVRPFEYTEVVLKDEPDIDANDQNSILEHLDKVVSNLIEKSNRKAVNGSELKLPLVRVKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKASKRSQKEAKIDDSERLRPEELNQQNIEALVAENNLKMEILPVNDLDVALHNFVNKDDKLAFYNCVRYNLEETRNKIAKDSDALKFEEEDLILKVGECLEERVKERSSHPKDTLQFTSSVQSVENVRSKNNTGTGTAASFSDDEDTAQILSSANRGRKGSLRASQSSRDAPEVGQSKISTRGRGRGRGRGRGRGSTGLKQTTLDATLGFRQSQRSASVAASAAVQSIADDEENLDSASSEEYEINEVDNSSENDEIGQGKGRKRAVPRGRGRGSTSKRGRKSDNSSVHKVFMNKPDDDEDDAEEIARRFNKSQPRVTKSYGALRR >EOY14154 pep chromosome:Theobroma_cacao_20110822:7:21767721:21770397:1 gene:TCM_033446 transcript:EOY14154 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor MEGINGWLSFPLYESFNHDFAIRRFCRARVELERGEWEEAMNEIESFSPDDETGTPHLAASEVDDLVDSFINMDDGDDEDRDDRNCNKNQDFHHFQGEIDAFSMVNEDFRDELEMSGSCEDFGVHEMVPSVEEVSHGVDQGLHLVHLLLACAEAVGCRDTQLANSMLCQIWASATPWGDSLQRVSYCFAAGLKSRLSLLQNVNGNGTITNCAMDLPLITREEKMEAFQLLYQTTPYIAFGFMAANEAICQAAQGKDSLHIIDLGMEHTLQWPSLIRTLASRPEGLPTLRITGLTSNQDLLELEASMKSLVEDASSLGISLEFHMIPDPLTPSLLTRENLNLREGEAIFVNSIMHLHKYVKESRGSLKAILQAIKKLGPTLLTMVEQDANHNGPFFLGRFLESLHYYSAIFDSLETSLPRHSPQRMKIERLHFAEEIRNIVAYEGSDRIERHERADQWRRQLGRAGFQVIGLKCLSQARMMLSVYGCDGYTLGSEKGCLLLGWKGRPIMLASALQLHNVSSS >EOY12121 pep chromosome:Theobroma_cacao_20110822:7:1066827:1076497:1 gene:TCM_030723 transcript:EOY12121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 53 MNRFHGSIPETFDKYCGLKNLNINGNKLEGSLPPSLANCRNIEVLDLGNNKIKDTFPHWLETLPELQVLVLRSNKLHSFLPSPEISHAFLKLPILDLANNDFTGPFPKGKDKRQQPPSAILEEADELETESSFSWKGVLIVYGYGLIFGVVIGYVALKTGLEKTGRKRKRLQPVIKGSGKKTEKTYRIESGLASLRVTKLSFSYPSNPQSMIRSASSPIGIRLTLSAFSTESLAIVFLTLSQVEELELLLSFKSSINDPSSFLSNWNSSTPLCMWHGITCNNFSKVKVIELVDKNISGIISSSIFHLPKIETINLSTNKFSSEIPGEMAFSVSLRYLNLSENNLTGSIPNCSISLEILDLSNNKLSGKIPPQIGVVCSNLKEVDLGGNHLVGRIPSSISNISSLQALTLACNELIGQIPRELSKLKSMKWIYFGHNHLSGEIPQELVNLVSLNHLDLVHNNLSGQIPSSLGNLTNLQYLYLYKNKLTGLLPRSIFGLKKLVELDLTENHLFGEIPELISELQSLEILHLFSNNFTGKIPNALASLPRLQVVDLFSNNLTGEIPSSICNISSVEVLSLSDNNLSGIIPPCLGNFSKYLTILDLQMNSFHGTILETFGEDCGLRYLNLYCNKLQGPLPLSLANCRSLEMIDIGDNKLNGTFPYWLETLPELQVLVLRSNNLCGVLQSSKTIHPFPKLRILDLANNEFTGPFPKGMIKNMIAMMNFSKRQNSLQYMQGRLYFYDVNLKVKGFYIRLSHILTILTSIDLSNNNFHGEIPNVIGNLNSLKGLNLSHNSFSGHIPISIGNLTNLEWLDLSSNKLTGQIPDQLKDMTFLACLNLSHNQLTGPIPQGKQFSTFENGSYEGNLALCGFPLSKSCNNDRRNQSPPSFFKEADDSETKINFGWKVVLIGYGCGLIVGLVVGYVTFRNGEPK >EOY12421 pep chromosome:Theobroma_cacao_20110822:7:2200636:2203904:-1 gene:TCM_030943 transcript:EOY12421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MDEQVNLKLFSAARSGNLQTLRRLIQDDEAKVHDKKTPEGNTVLHFAARFGHKSLVEELITRCPSLVHQSNFKGETPLHVAAKAGRHDIVESLMDSKTDKSGLCLGWIRDNSGNTPLHGAVRNEHSKVVKAFAKKDPLSLRWLNDAGESPLSIAIDMRFTKLAATIIDLNKSTLDYRGNDDQTPLHCAVIRQDFGELLKDSPFTTLLHSPLRNIVNPTIFLCFFLLDIMTEIINLKKDLVGEQDGRGRTPLHYAAALGHQKMVEVLINENPWVAYKKDKNQKIPLHLAAGNGKTRLIEALLQPCPETIEIVDKMQQNILHIAAKNGNVDAVSYILKLPEMEDLVNSPDKDGNTPLHLAARNYHSDVVSVLSKNLKVEIRAINHSKETAIAIVKLPDDRGMELQKHLTLKALKSAYKQKAIHLEDATELDYGEVEKDEKPDKDEKSNKDGKKSREMAQIISVMSTLIATFTFTAAFTIPGGFENDGPDKGLATLISKSAFQAFVISDAVAMTSSITAAVIVFWSSSRRDTESFLDTLPFAIALTWISLMAMALAFVTGLFVVLQKTLWLAILVCIIGCAPPFILYIFSPIFLIVFVDCLAKGRGDEIDSMCSSDMIREEIRAD >EOY12924 pep chromosome:Theobroma_cacao_20110822:7:4848447:4852420:-1 gene:TCM_031425 transcript:EOY12924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDQAHWEDSKVGCIRLAQPILNFHFLFPAAKHTPITFPLLEPSTRSTPKLLKPSTSSLPSPQKLKKPESMGKARDGSLPENYDEETETPSNPKSTSEPEPEKTKPKDHRKSDRGKKPSKSRKRYSSSSSEDEDSYSDSESQSESEYSDSESEYSDSEEERRRKRKRREREERERKRRRKEKEKKRRRKEKERDERKRKKRKEKERKEKKKKEKLERGKKGAVTNSWGKYGVIRETDMWNKRPEFTAWLAEVKQINLESLPNWEEKQLFKEFMEDHNTATFPSKKYYDLDTYYKCKMEKEKKKGIKRVLDSERTVFNDEEQRRQEMLIAREKQKEEQVEALKCAMQSGMAQAMKEQAQLREEMAYQYKIGNFEAAAAIQKRLDPDVAM >EOY13294 pep chromosome:Theobroma_cacao_20110822:7:7307018:7309100:1 gene:TCM_031830 transcript:EOY13294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MECFVELGVGFSSLPRGLGWGILCHTLIIECDASDVVKWFKKPKEVPWRLRPLIIQTHTLLSKIPQWGIRHILRFANGDADSLAKESVSHPHDLLWTISDALTEEHHTVVC >EOY12181 pep chromosome:Theobroma_cacao_20110822:7:1216044:1224488:1 gene:TCM_030756 transcript:EOY12181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Na+/H+ (sodium hydrogen) exchanger 3 MEMFEFIRNVVQQHEKVVPISLFVAILCLCLVIGHLLEENRWVNESITAIFIGFIAGTVILFLSKGKSSHILRFSEELFFMYLLPPIIFNAGFQMKKKQFFQNFITIMLFGVIGVFISASIVTVGSWWLFPKLGFVGLTARDYLAVGTIFSATDTVCTLQVLHQDETPLLHSLVFGEGVVNDATSIVLFNAIQKIDVARINSRSSLQLIGDFFYLFSTSTGLGITFGLVTAYLLKTLYFGRHSTVRELAIMVLMAYLSYTLAELLALSGILTVFFCGILMSHYAWHNVTESSRITTRHIFAMMSFVAETFIFLYVGMDSFDMEKWKITRLSFGTLMASFGTIVFLILLGRAAFIFPLSAFSNYMNRCPERPLPLTFKHQVIIWWAGLMRGAVSIALAFKQFTYSGVTWDPIGAAMLTNTIIVVLFTTLVFGFLTKPLIYYLLPPRAIDKTDSSLGSKSPKEDTILPLLSFEASASTNILRAKDSLTMLIERPVYTVHSYWRKFDDTYMRPIFGGPLSSGPPAC >EOY13170 pep chromosome:Theobroma_cacao_20110822:7:6340588:6343783:-1 gene:TCM_031700 transcript:EOY13170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate-gated kainate-type ion channel receptor subunit glur5, putative MDTPFSLFSLLALVLMLLLETAAAGSVDIGADHQVKGIIGAIVDHSLSMKDLGQVFWPGGSSNTPRGWNLPTNSKPLRVGVPTSSIFKTYVDIQYDQLKNETSISGLAIELFNKTVRLLLFPLPYDLIPFNGTYDELVMQIDLKNFDAIVGDVAIISSRYKYAEFTQPFTEAGLFVCFSFVLNKGERLHSNLSRMTMAVWLFVALVLTQIYTANLASVLTTQALEPTVSVIESLQKSNAVVGHTQASFVKRYLVNVLHFNPRNMKHYTSPEALADDLRNGAVAAIFLESPGAKLFLARYCNTFTMAGPTYKVGGYGFVFPKGSPLLHSVTEALLNLSETGKLRELENSMIAAQNCTEVGIREDINSLSPDSFWALFSLTGCISTLALIVYVGHSKWESNHSIFANWILMMSVIRSWANTQPNKLFSKNVRKVPETPANTLQLWTHV >EOY14047 pep chromosome:Theobroma_cacao_20110822:7:19177177:19181202:1 gene:TCM_033192 transcript:EOY14047 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MVGSSKGKSTTFAIRIYPYRHLLIASLYLNISYNFLEALKKPTALGGPWKVYGTARRSKPSWFPSTFVDHYISFDASNLDDTIKNLSPISNEVTHVFWVAIQVCENEEANVSINSAMLKNVLDVLKSGTSPRLCRVTLQTGTKQYMGPIFDTSLGIQLLPHDPPFQEDMPRLPYPNFYYALEDLLASYTPSFSYSVHRSSIIIGASSRSVYNSLLCLAVYANICKNQGLPFRFPGNRYTWEHFCDASDARVLAEQHIWAAVSEKAKNQAFNCTNGDVFTWKSFWKELSKVFNVQFVQFDGKEKFDVVEIMKKNASVWDQIVEDNGLFRTKIEDMITSFDALKTVLNFEFQHVSSMTKSRDFGFFGYADTLRSIEMWVRRLQEMKIIP >EOY13134 pep chromosome:Theobroma_cacao_20110822:7:6121226:6126099:-1 gene:TCM_046875 transcript:EOY13134 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative MGRPPSNGGPAFRFTPTEVAEMETILQEHHNQMPVREILVSLAEKFSESADRKGKIVVQFKQVWNWFQNRRYAIRAKSSKVPGKLNITSMPRDDVNPVRTVPQPVAATMPPPVTAPMPVAMPASTVPGAGRPMSEHSYMEFEAKSSRDGAWYDVSTFLAHRYLDTGDPEVQVRFAGFGPEEDEWVNIRKHVRQRSLPCEASECVAVLPGDLILCFQEGKDQALYFDAHVLDAQRRRHDVRGCRCRFLVRYDHDQSEEIVPLRKVCRRPETDYRLQQLHASNDSASTDQQKTSTDPSTANAPKVISSSTETMLKQQNPDAFRTALVAHANVSVAAQTTNPESKNAGTMTTNITNPVASNPGISPSGAVFTTSIAVGTATGGFGQNMQEGI >EOY14210 pep chromosome:Theobroma_cacao_20110822:7:22179526:22181093:-1 gene:TCM_033496 transcript:EOY14210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance-like protein MITRVCKIFLTARLQQVCTRMNCQKEVQLNILSKNEAWALFKDKAGVEDDSPTLKVAKEFARECNGLPLTIVTVAKALKGKNLNGWIAANQRFKDSRHSDNQDVCGGIYSLGLSYDYLENDNIQSCFLLCSLFLEDYVIKIEMLIICEIGQELFSNVDSIEVLRREIHEAVTTLQQSSLLLKAYDEESVKMHDVIRDFGHLIVSRGENRFMVKDGLMKRSISESFECCTTISLWNIEINHLPNKVKFSKLKILFLKGKKSLRVPCAFFERMTTLRVLLLQDVVFTLEALQFLANLLTLCFINCKLQNISSLRNVENLEVLALLQTNIQELPEEFVELLN >EOY12980 pep chromosome:Theobroma_cacao_20110822:7:5135829:5159774:-1 gene:TCM_031488 transcript:EOY12980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARDVDPIKANNCETKMTLHCVNEVFASIFKTGIVTDNCCIELIGLGKFCHDALIKKTLENPLFKNNDTSVILSRGAQVWNKCTLVKKDVSPSPSPY >EOY13468 pep chromosome:Theobroma_cacao_20110822:7:8625003:8628954:1 gene:TCM_032051 transcript:EOY13468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSVSVASMLLGKVAIQELVTDSIIFPDESNSQTAMKRLYLHLKERVPLKARHIVASAFSTSAPPMFTGKNYVFWSVEMQSYLRAFNRWDVVETRNEPVQRHANSTLAQIRQFEEDKAKRTKIEVGNGDFLLIFGVGTIGVQTPKDRACIVSDLVGIELFTVIMRNRYFPLNWMKLKQNVYKAIIVDTDLWHKSLVQYEAFCYSKIPDAKRSKLDEKSLVVDHLSYSEISKGYGVFDVKTWKVLVSRDVRFDETIKWNWETLKVESSKVNDIVAEVVDDCEMENADDKNIDKVLV >EOY12265 pep chromosome:Theobroma_cacao_20110822:7:1517062:1520556:1 gene:TCM_030824 transcript:EOY12265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 61 protein MEKEPRTRVVNCATLAVCLVLIVLLYAAFFPSNDIPFQSWKDRFSDSRGSLSSDRVDVDAVDSQEFLLRRLVRGDDRVQLDSNGFFCHTDVHSEVCLVDNPVRIDNKALTVYAPSDQPQVKRMVQPYARKEDETAMKLVTPVQILYGNTNPPACGFTHNVTAVVFSSRGFTGNVFHEFNEIVIPLFITCHHFQSRLQFVITDFQPWWVQKYNRILSHLSSYGVINPEADGSVHCFPGAVIGLKYHDNLALNTTDIPGGYSMFDFRQFLKESYNLRVKHVSEIEKPVLMLISRRETRRFLNEDEMVEMMEELGFQVIRAEPGRMSNLDKFAGVVNSCSVMVGAHGAGLTNEIFLPTGAVMVQVVPLANEWAAANYFGEPAKEMGVQYLEYKIEPEESSLFDAYGRDHPVITDPESVISKGYYAFRSVYVDGQDLKINLERFKKTLIEAKQLLGSSTPFDP >EOY12343 pep chromosome:Theobroma_cacao_20110822:7:1761418:1762447:1 gene:TCM_030874 transcript:EOY12343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQSRPSTSQDSDLNQSTWTNPNISFTRGYVSSPRGDSTAYLNTPLPILFSHSNRLKETFQALRYGSVWFGF >EOY12528 pep chromosome:Theobroma_cacao_20110822:7:2587443:2599936:1 gene:TCM_031036 transcript:EOY12528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein MAESILFGALANILSKLTWLAGQKIGLIFWQKSELDKLRKTLDIVKAVILDAEEKQESNHVVEQWIIRLEDVVSDAEDLLDEFDYEILRQKVRPRGQVRKIFRSFRMGPRVKEIRERLDVVAREISKFDFSRRVVELDKKPKNADRETAAKVRPELVIGREKDKEHIIELLKEQNHGDNIFNIIAIVGFGGLGKTSLARLVYNDAQVADSFKGIWVCVSEEFNVLIIFKKILKCLGEDKVDDWNLNEVQKKIEQKLEGKRYLLVLDDVWNEDILKWNDFSQYLVFGASGSKIIVTTRSTKVAATMGVHSPYLLKGLNEEQSQDLFEKVAFEGRQIDPKLGQIGNVVAQKCKGVPLSIKCLGGLMRQKPDEKYWLFIQENEIWNNDVVLSVLRLSYNQLPSNLKQCFAFCSLFSKDSTIYKDELIHFWRAQGYIQLGNKKQNIQDIGDEYFNDLLSRSFFQEEEEDMEGNIFCKMHDLIHDLALSVTGHHFHWMKDEKEEIPKEVRHVSLEKYFKEVDLILSKIKGIRTLFFQTSFFKDLFIRNVIFSNFNCLRMLNLSWMSIDILSDSIGELKHLRYLDLSVNQRMKVLPDAIVKLHHLQTLLLNACSSLEKLPRDIRQLISLEYLNINSCNRLKYLPKGLGELTSLQRLDRFVVNCVEDNLSTAATLNELSNLDLGSCLKIEHLEKVRNVELECKEANLKKKKRLQSLQLSWESFSPTTVASEKDESLLNILEPHPNLKGLKVSGYGGARFSSWLSSLTNLVQLDINKFWNCRDLPPLDHFSSLKSLSLYRSNALKHLPSLDHLSSLESLSLDQLDALEYVADSFSLPCSTSREPFFLSLKKLSIYNCPNLKGWWKTKNENQGSIAELPCFPCLFRLKVQNSGNLTSMPLFPSLDQDLTLEGTSIRPFQQTLKMKMTEASMRSEEASSSSGSTCHSYSSTALPLSNLKHLTLFKIKDLEALPEEFLQNLASLTFVRLKDCPKLESLPSQKMSCLTSLQDLLVENCPNLRALPDWILNLTSLETLQIWNCLELQHMPRGTHQLTSLKKLSVENCPNLRALPDWILKLTSLKTLQIWKCLELQYMPEGTPRLTSLEKLYVDCHNLRALPDWILNLTSLKDLYICECLQSPYLQEGMQSLTSLERLMVDYCPNLSSSTHSLKALLIRGCPDLSFWRTRHSLSTLEELNVQNCPNLEDLLNRISFFIPPLKTLKICKCPQLQDLPERIDGLTSLQVLSICECPRLSKRCGKETGVLWPRIARIPSIIIDGRQIQ >EOY11814 pep chromosome:Theobroma_cacao_20110822:7:12837:16981:-1 gene:TCM_030497 transcript:EOY11814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMMQSSYDTTLSANNREELILVVVTNSNSSGVPPSSPLPTCQAIAFVFIVIFVTLIYAPNSKQPHLCGRKQLGHGLMVSWGLLLAIHRRSRQDGWTDESSNDLLTTLSGLWNNGSATAASVLSLTQDVYDCSATAIVAATHGPDKANATGWVDTDTAAAAPTFLKTCIALAPFIQHRTPILFYIKSGLYEEVNGHCFSRMNLCSVEEIKMKFNEVKVLFVTCLGITCPFFSEKKFVACIVDEAG >EOY12725 pep chromosome:Theobroma_cacao_20110822:7:3777142:3805235:-1 gene:TCM_031251 transcript:EOY12725 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein MRSSMETISFFLVYLFVFSIFRTATAVDTLSPSQSLRDGETLVSADGSFELGFFSPTVSSTSRFLGIWYKKVSNGTVVWVANRETPISDNKGVLLLSDHGILSLLNSTNSTVWSSNTSKAAQEPVAHLLNSGNLVVKDGNDNNPAEDNLWQSFDYPCDTFLPGMKIGKNLVTGFEWFLSSWKTTDDPAPGQYVLRINISGYPQVVIEKGSKIEYRAGPWNGLLFQSMRRIAMIIFNNEFELNENEVYYTTELKNSSEVIRLMLNPAGFVQFLLWSDKQRDWESLGTSRLDKCVNYALCGTYATCNENDSPAVCSCLEGFTPKFVRKWNSGVWYDGCVRRTPLVCNNGDSFHKLTGLILPDTSHSKVNTTMNIKECRQVCLSDCSCTAYTNSDIRKGGSVCLLWFGDLLDIRADTAPYGQDLYIRLAASEIANIEQKRQSWEKKRVAIIVGSVTIGMGMLIPAVLCIRWRKRNKKGSIRKNRAMDCDERENEEMELPLFNFGTIANATNNFSIENLLGRERQHIAVKRLSNDSGQGLKEFKNEVILIAKLQHRNLVKLLGCCIQGDEKLLIYEYMANKSLDYFIFDQTRSKLLDWSKRVNIIGGIARGLLYLHQDSRLRIIHRDLKSSNILLDNAINPKISDFGLAKTFWGEQTEANTNKVVGTYGYMSPEYAIDGVFSMKSDVFGFGVLVLEIVSGKKNRGFSHPDHDHNLLGHAWKLWTESRPMELIDDALRNFCLPSEVQRCIHVGLLCVQQRPEDRPHMSSVIVMLGSENALPQPKQPGFFTGRNMPEAECSSSNSKSSSANECTITLLKARLTEVLGNKHSAAVPCSQRKSINVGKLIFNNIVHYAISTRDNIWYPSLITALCKQAGVQWSSEEELLHLKAPLGPNIIHRLVSSAGGSSSFALRSPPHPTHLTIPKRFEHLEHQMAYQANLDTLSPSQSIRDGETLVSADGSFELGFFSPTISSASRFLGIWYKKVTNGTVVWVANRETPISDNKGVLLFSNQGILSLLNSTNSTIWSSNTSKPAQEPVAHLLNSGNLVVKDGKDNNAAEDNLWQSFDYPCDTLLPGMKIGKNLVTGFEWFLSSWKTTDDPAPGQYFLRINISGYPQLEVEKGSKIVYRAGSWNGLLFQAMKRNVLSFFNNEFELNENEVYYTTEPKNSSVVTRIMLNPSGFVQFLSWSDQQRDWESLFTSRLDQCVNYALCGTYATCNENDSPAVCSCLEGFTPKFVGKWNSGVWYDGCVRRTPLVCNNGDSFYKRTGLKLPDTSHSKVNMTMNIKECRQLCLSDCSCTAYTNSDIREGGSGCLLWFGDLLDMTDDMGQDGQDLYIRLAASEIENIIQKRQSWEKRRVAIIVGSVIIGMVMLILPVLCILWRKRNKKGLTRKNRAMDCDERENEEMELPLFNFGTIANATNKFSIENLLGRGGFGSVYKGTLAEGQHIAVKRLSNDSGQGLKEFKNEVILIAKLQHRNLVKLLGCCIQGDEKLLIYEYMANKSLDYFIFDRTRSKLLDWSKRVNIIGGIARGLLYLHQDSRLRIIHRDLKSSNILLDNAMNPKISDFGLAKTFWGDQTEANTNKVVGTYGYMSPEYAIDGVFSMKSDVFSFGVLVLEIVSGKKNRGFSHPDHDHNLLGHAWRLWTEKRPMELIDDAFGDFCLPSEMLRCIHVGLLCVQQRPEDRPNMSSVIVMLGSESALPQPKQPGFFTGRNLPEAESSTSNCKSSSANECTVTLLEPR >EOY13295 pep chromosome:Theobroma_cacao_20110822:7:7309545:7310913:-1 gene:TCM_031831 transcript:EOY13295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MVTLTTIRGYGVLYGSILELFGGLIGLEFLDLSRNNFSRIIPKSLQKLLHLKYLNVSFNRLHREIPNGGPFANYSIQSSMGSEALCGAPQLRLPPCTTKRRRISYQELHQATNGFCESKLLGARSFGSVYQGALSDGLNIAIKVFNFEVEGSFKSFDVECEVLHNIRHRNLVKIISRCCNVDFKALALEFMPNGSLKKWLYSHNYFLDILHRLNIMIDVASALEYLNHGQTIPVAHCDLKPNNVPLDEDMVAHWGDFGIIKLLGEEDSTVQTMTLATIGYMALPSNA >EOY12231 pep chromosome:Theobroma_cacao_20110822:7:1419229:1422401:-1 gene:TCM_030794 transcript:EOY12231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSIVTLFHDGLDPRMNYMVQALAHIGVSFLGPSYQLFVAVPCLTEASRINQVSSWHTKPVSCNTALLQALDAFCSCLVGYKSRYRHFVAVPSLTETSSINQVSSWHTKSVSSPFSQIQYPVIIQFKEHITPIAVHFWAPTFKWRVSIANVADFAKPPEKISYPQQIAATCTGLVWSRYSTVINPKNWNLFSVNIALSGTGSYQLSRKNKKDYFSEGEVAAMAKE >EOY13627 pep chromosome:Theobroma_cacao_20110822:7:9628350:9632650:-1 gene:TCM_032249 transcript:EOY13627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar essential-related-like protein MAIITKIINNHFHGPWPTWKKVPNDVKELMFTKFQQKLLNSDEDDNFLRKQAKNLGDYRPDIVLVVLKELFDSPLNDNNRVRAIYVKTDDGLLFQVEPLVRIPRTHERFYGIMLELLERKRVRVPETNEVLIQQLDGPLTQYLPSNSLIVVSDYPLSAAYSTALVRIAVANKWELH >EOY12114 pep chromosome:Theobroma_cacao_20110822:7:1024113:1027287:-1 gene:TCM_030715 transcript:EOY12114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSPEIARNLLGADFAAELSKIDYLGHVAQAGAIPLLAELLQGPDPLGWDVAENALCLLALNEENAVSIADHLVRILREKEIMRQKLKLLVFSGYFQITSIQYLLLETQVQFPNWLSFCGLRTVT >EOY13877 pep chromosome:Theobroma_cacao_20110822:7:12170245:12171332:1 gene:TCM_032572 transcript:EOY13877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADQSSNPSIMESKQPHPLHQIAETPTHRLLLKQWVKEEELIFNRISLKETQIDSVRKEITQLYIFFFLFHSISLLLLFNSSSKDPPTGACHRSWIPSLCSLLCSMGITWAVRYKTDVEGHLEKLLEREKEDGKLLAKCVEELKKKGVEFDLLKEVDALRRAKSLRVEAKAVRKWSARDFVTLFFFSVSCMVLGLTRIILCS >EOY12694 pep chromosome:Theobroma_cacao_20110822:7:3420057:3425535:-1 gene:TCM_031208 transcript:EOY12694 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein MIQDFTWNVESQAYTSSDNGGRGCGCAFWFDNLIDYRQFQSVGQDLYVRVSASKSKLKDKLRMELAAVIRTLLSSHFGYCFRETKDNKENDQENQGQDENMELPIFEPPTIARATDSFSVDIKQSEGGFGTVYKGTLANGQEIVVKRLSKNFGSARTFGGDQTEGNAKRVVGTYGYMAPEYAIDGLFSAKSTVFSSGILLLEILSGKKDRGLYHPTQSAYLVEHVSMKIMEIRHPSELVEECLEESSNLSEVIQCIRISLLSVQQHLEDRPSMSSVILMLGSETKLPHPYNLFFLLLKKSLHEEGSSSDKHVSSSTNEMTLSVLDAQ >EOY13369 pep chromosome:Theobroma_cacao_20110822:7:7838486:7849772:-1 gene:TCM_031926 transcript:EOY13369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 6, putative MGYLPRVCQFLCLVLSFLNFQATLFSSLSSSSTTTPMCSRQDGVALIQFKNTFSINKTVSEKCKSLGTKSYPKTNLWKEGTDCCLWDGVTCDHITGQVIGLDLSCSWLYGTLDSNSSLFLLPHLQKLNLAHNHFKKSIISSKFGLFTSLSYLNLSMSDFSGQVPPEISRLSILVSLDLSLNDSPALNENTFSGLLQNLTEVRELFLVGVDMSSIRPVSLMNMSSSLTSLGLGGSDLLGNFPMSIFRLPNLKLLDLKENENLIVHLPESNWSSPLQYLNLFLTSSSGKLPNSIGKLGSLKYLQLGLCNFSGPIPRSLGNLSQLTYLELSRNYFSDQIPPSLTNLKQLQFLYIFDNQLDGSIPVQASAFPNLIDLDLSENLLNGALPAWLYTIPSLKFITIHNNQLTGHINEFQYKSLTWISLGNNKLQGQIPKSIFELVNLTDLDLSSNNLSGIVELDALLKLQNLEWLDLSYNSLSSINSNISATYTLPNLRILYLPSFNIGEFPNLSSSTNLEALDLSNNRIYGQIPKWMWDIGRDSLLYLNLSYNFLIDLEQIPWKNIQALDLNFNLIHGNLPTLPINLLFLSISNNSLTGEISSHICNLSSLMLLDLSHNELSGKIPQCIGNFSKSPLVLNVERNKFHERIPTAFAKRCGSKNIKLSYSTSILILDLSNYNLSAAIPDCLGPRGKMPNLSVLDLHMNNFNGNIPDSFVAGNKLQILNLNNNDFDGPFPKSLVDCHDLQVLNLGNNKINDTFPHWLGTLPQLQVLVLRSNYFHGQIIPSENESHFSALRILDLSHNEFSGLLPTTYFKSFKGMMTLYNVQRTSMEDWDGFYHISVLVTVKGLDIELERILTLFITIDMSSNKFYGEIPEIVGDLICLQVLNFSHNSLTGHIPSSMGNLTALESLDLSTNKLVGEIPMQLIGLIFLEVLNLSQNQLVGLIPQGNQFNTFLNDSYGGNLGLCGFPVSKRCGMDEEQEPLESVFHEEGIFSSLDWKFIMMGYGCGLVLGLSTGYIMLTIGKPEWLVRMVQRVGYKILRRLKSYH >EOY12005 pep chromosome:Theobroma_cacao_20110822:7:597834:600719:-1 gene:TCM_030625 transcript:EOY12005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase 1-like protein MASEAEVAVFTDTNLGTHLAMAVSPDTTVGDFQRELERTHCSCFPKLGKIEVYALTVKRKSCFYHLPLSMPIKYAFHHQEGTWFLHIEARTLKASNGPCLSNFAAAEVGDHKCDGNHLTNSLVQNIGQEPMISSDAAERELSSMTKNPTNISLREISAAGTINGYLLNCSGVSKHANSPITSRAIQSLPEDQLRTKADDCCSSIQIGGSPRFMVRTPPKQSLFLLPMAQMTGNPREKFVDSEVGKRIIIASNNIRISANKQRPATPLSRFRDGKLLRYKNLSLAKFLVFEISDSDD >EOY13721 pep chromosome:Theobroma_cacao_20110822:7:10354692:10362390:-1 gene:TCM_032360 transcript:EOY13721 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-like carbohydrate kinase family protein MKGITFSPSNNYSCYSKIQTDQTPRPIKSLTQFQFPLFNNKNQNQSSKNQPLLSFSVNSIKNTQTPITQPPPLVVVGSANADIYVEIDRLPIEGETISAKNGQTLAGGKGANQATCGAKLSYPTYFVGQVGDDAHGKLITEALGNGGVHLEYLKSLGGGVPTGHAVVMLQSDGQNSIIIVGGANMSCWPDKLSDQDLDVVKKAGIVLLQREIPDSVNIQVAMAARSAGVTVIMDAGGMDAPMPQELLNFVDILSPNESELGRLTGSSTESFEQISQAAAKCHKMGVKQVLVKLGAMGSALFVEGEEPIRQPIIPAAKVLDTTGAGDTFTASFAVALVEGKSKEQCLRFAAAAASLCVQVKGAIPSMPDRKSVLKHLQSV >EOY12540 pep chromosome:Theobroma_cacao_20110822:7:2690198:2692344:-1 gene:TCM_031061 transcript:EOY12540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSASVPDIISDFLRIFNADYAPNVKVGFVDTTGKLYIQTLQVADIFMFLKALVHYQCNPNPDQPAMADWIIGLKLSLCHVLTIVPTFLPDSTDVISVSLELLMILDLVVLLFFGIVGAIPAAVDAGFVPNELQVRCIRFFPTYKHALRSFSHVVMYILVGSV >EOY12654 pep chromosome:Theobroma_cacao_20110822:7:3207315:3249723:1 gene:TCM_031155 transcript:EOY12654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELMTLVPRGVSTISHSTAKAEMDLSDLKDLKMPNATHSWVTKTMSLKGCRAKYLQNYSYMAYSSSDNRGRGSGWVPWFYHLIDCRQFQSKGQDLCVRVSASKSDKPRLKLATM >EOY12994 pep chromosome:Theobroma_cacao_20110822:7:5204819:5207128:-1 gene:TCM_031500 transcript:EOY12994 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MGYIQFISNSIVRAANPKKEVQRIELAPWDLMFLAMDYAPKGLLYYKPKLKKEGELGETIVHQLKTSLSQTLDYFSPLAGRLATIELEDNTSSFFIDCNNAGVLFVHAAANGITISDIIGPSAVPSFVRTLFQLNEVKNYESTSKPLLAIQVTELADGIFISCTYNHVVVDGTSFVHFFNCWAEISRGSIELAKPPALQRWFPNGIEGPVGIPNSYLTYGHDFIPPCLQVRFFHFTKASIANLKAKANAEVGTDKISSLQALLSHIWRTVIRCKNLDPVEETNFFLPVSAKSRLYQLSQQYIGNAMQGKVITMKVKDLLEKGLGNAAWEINKSIASQSEEKMTTFLESWKESPLLLTAGLASTVSNLLVLGGSPRFDYYSVDFGWGRPIAIRSGVGNKHDGKITVYGGLEEGSMDIEACFIPETLQALANCKEFMNSVATQS >EOY13717 pep chromosome:Theobroma_cacao_20110822:7:10349478:10351191:1 gene:TCM_032356 transcript:EOY13717 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein MNIEVLGIKVEKNPPESKLSQLGVRQWPKWGCPPSKFPWTYDAKETCYLLDGKVKVYPDGGKEFVEIGAGDLVEFPKGMSCTWEVSVAVDKHYKFE >EOY13364 pep chromosome:Theobroma_cacao_20110822:7:7759006:7763554:-1 gene:TCM_031912 transcript:EOY13364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MSFGSGCYSLVGNNLETLLLTNNLLTGIIPASLSNCKISLGCHFLSIRIPPELGSCQSLLWLDLNNNHLTGNIPSDLANQVGLVFENNLKLYKPHPLKSQSSSSRSVTLIMGGTRSYSANPRDYQLLEEIGHGATATVYKAIYLPSKDVVAVKCLDLDRCSGTNLDDVRREAQTMRLIDHPNVLRAYSSFVVDRNLWVVMPFMSEGSCLHRMKTAYPDGFEEAAIASILKETLKALDYLHRQGHIHRDVKAGNILLDNNGTVKLADFGVSACMFDSGDRQRSRNTFVGTPCWMAPEVMQSGTGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPRLDQDRDKKFSKSFKDMVAMCLVKDQTKRPTAEKLLKHSFFKHAKRPELSVKKLFTSLPRLSNPAKPLQLNDAGQLALKNMPSAEQEATSRSQYQRGVSAWNFDIEDLKAQASLVHDDDDIHECKDADRSMKSSLGDKTAASCISSSCIVLSNDQCKSWVIIPA >EOY12527 pep chromosome:Theobroma_cacao_20110822:7:2573755:2587918:1 gene:TCM_031031 transcript:EOY12527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein MAESILYGALSNIFSKLMWLAGHEIGLIFCQKSELDKLQKTLDIVKAVILDAEEKQASDLVVKNWISRLEDVVSDAEDLLDEFDYEILRQKVRPRGQVRKIFRSFRMGPRVKEIKERLDVVAREIKTFDFNVRVVELNKKPKNTDRETASKVRPELVIGREKDKEHIMELLQEQNHGGSIFNIIAIVGFGGLGKTSLARLVYNDAEVADSFERMWVCVSEEFNVLIIFKKILKCLGEHKVDDWNLDEVQNGIEQKLEGKRYLLVLDDVWNEDILKWNDFSQYLVFGAPGSTIIATTRSTKVAATMGVHSPYLLKGLNEEQSQDLFEKVAFEGRQIDPKLGQIGKVVAQKCKGVPLSIKCLGGLMRQKPDEKYWLFVQENEIWNNDDVLLVLRLSYNQLPSNLKQCFAFCSLFSKDSRIYKDELIHFWRAQGYIQLENKNENIQDISDEYFNDLLSRSFFQEEEKDAYGNIIYCKMHDLIHDLALSVAGRYFHWMKDEKEEISKGVRHVSLEKYSKEVVLIGSETKLIRTMFFRTDIFTDLFIRNVTFSSFNCLRMLNLSWMNIDVLPDSIGELKHLRYLDLSSNQRMKVLPDAIVKLHHLQTLLLLDCRRLKKLPRDIRQLISLEYLKIDNCKDLSGLPKGLGELTSLQRVDRFVVNCVEDNLSTAATLNELSNLDLGNSLKIEHLEKVRNVELECKKANLKKKKRLQSLHLSWESFSPTTVASEKDESLLNILEPHPNLKGLELSGYGGARFSSWLSSLTNLVKLNIYRFWNCRDLPPLDHFSSLKSLFLYGSNALKHLPPLDHLSSLGSLSLSRLDALEYVADSFSLPCSTSREPFFPSLKKLLIHDCPNLKGWWKTKNENQRSIAELPCFPCLSELDILRCPNLASMPLFPSLDRDLTLFDASIRPLEQTLQMKMTEASMTLEEASSSSGSACHSYSSTTLPLSHLKSLMLTDIKDLEVLLEEFLQNLTSLTSLWLSDCPILESLLPQKMSCLTSLQVLCVKNCHNLRAFPDWILNLTSLKTLEIWGCIELQYMPEGTHQLTSLNKLSVGNCPNMRALPDWILNLTSLKTLEIWECLELKYMPKGTPRLSSLEELYVDCHNLRALPDWILNLTSLKDLYICECLQSPYLQEGMQSLTSLERLIVHHCPNLSSSTYSLKALLIRGCLNLSFWRSRRLFSTLEELNVQNCPNLEDLLNRIAKMITSLKTLKICKCPELQDLPQRMDGLTSLQVLSISECPRLSERCEKDTGVLWPRIARIPSIIIDGRQIQ >EOY12298 pep chromosome:Theobroma_cacao_20110822:7:1610595:1611280:1 gene:TCM_030842 transcript:EOY12298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVCLRCQSPFLPFHSQLKPKQKLKAEGQFWLFCKAPPRLVLQRQTVNAFVPLRNNSHSIAAIPPSQSGDVTVFLQTSALLLVVYLLANFVAPYFISRYFEFDKVGEDQKRNEK >EOY13005 pep chromosome:Theobroma_cacao_20110822:7:5279515:5280429:1 gene:TCM_031513 transcript:EOY13005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallothionein 3 MSDKCGNCDCADKSQCVKKGNTLVIETEKSYITTVAVETPAENDGKCKCGANCTCTDCTCGH >EOY13938 pep chromosome:Theobroma_cacao_20110822:7:14647009:14652476:1 gene:TCM_032793 transcript:EOY13938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIIPIWISFPNRKTHLYEKLTLLLIAKTIGKPLFIDEATANGSRLSVARVCMEYDCKKDPINQVWIMVKDRVTETITGGTHLAKLEVHLLVRHRRNSDSAVSLRRTISSASEDAMGMRENDGVPDNDAISVLLWDCLRSLPVNIHTPWIVEGDFNAILHHEERLYGWFNERLCYKIIRLWLDGWWL >EOY12398 pep chromosome:Theobroma_cacao_20110822:7:1934459:1935733:1 gene:TCM_030912 transcript:EOY12398 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase MYVSMYISKALMLHFCSLAITLSIMATSSSSYSLKQLLVVSFLFVITIDTSDHVNGCFTSIFSFGDSFTDNGNLVHISLSESGELPHCAFPPHGRAYVGHPTGRCSDGRFYSFLHGFAAEGLGLPLLLPYFGGENGTSQNFQKGVNLAVLGATALDFSFFEERGVHNHFTNFSSRDEEGLFKDALPTLCSSSLGFRLFCTYQ >EOY13661 pep chromosome:Theobroma_cacao_20110822:7:9962998:9972197:1 gene:TCM_032298 transcript:EOY13661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-protease-integrase-RT-RNaseH polyprotein, putative MTVMVVYGIGVQDDDICHVRCGSAHDDVDMCGVGVYMMVDLHQLPPFPTSQMLTGGELFDKDKEITPKTMTRSISTPDTKDIVVDTQVEEQEQVQPVLDEILQNQNIVDEPQRRSTRIRRPALSFDIYEFYLGKVSFKDAFRIIMALVAHYDLKLHQMDVRTTFLNGNLVEKVYMLQPEGFKKDGKDHFVCKLKKSIHKLKQASRQLYLKFDEVITSFGFVESKVDKCIYLKISKNIGETSYVLGIAIHKDRSCHLLRLSHSAYADQVLNRFSMLDCKNGDVSVVKDDKLNMAQCLKNDVEREAMKAIPYACAVGSLMYAQVCTRSNIAFAVGLLGGYLSNLSKDHC >EOY12116 pep chromosome:Theobroma_cacao_20110822:7:1037104:1039867:1 gene:TCM_030717 transcript:EOY12116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 53, putative MLNKRAKAHSLLLMFMFLLLLNFSLSQGDELELLLSFKSSINDPSSFLSNWNSSTPLCLWHGISCNNFSRVKVIELTEKNISGIISSSIFHLSEIEIINLSTNRFSGEIPSDLVSSVLLRYLNVSNNNLTGGLPNCSISLEILDLGNNLFSGKIPPQIGVCSNLKELHLGGNCLVDSIPSSISNISSLQILTLACNKLIGQIPHALSKMKSLKWIYFGHNNLSGEIPRELFNLVSLNHLDLVDNNLSGQIPSSVGNLTNLQYLFLYENKLTGLLPQSIFGLKKLVELDLSHNHLSGEIPELIIELKSLEVLHLSSNNFIGKIPNALAFLPHLQLVRLSSNSLTGEIPSLICNISSIEVLILADNNLSGTITPCLGNFSNSLSILDLQRNSFHGTILETFREDCGLRNINFNGNKLEGSLPRSLANCRNLEMMDIGDNKINGTFPYWMDTLPELQVLVLRSNKLHGVLRSSKIIHPFPKLRILDLANNDFTGPLPKGIIKNLKAMMNLSVQQSSLQYMQGRYYYYHVNLTVKGFYSEFPEISKTLTSIDLSNNNFHGEIPSVSGKLSSLRGLDLSHNSLSGQIPTSMGNLTSLEWLDLSSNKLTGQIPNELTDMTFLAFLNVSHNRLTGPIPQGKQFSTLENGSYEGNLALCGFPLSKACNNDGRIQSSPSFLKEADDSESKISFGWKIVLVGYGCGLIFGAIIGYVTFRNGEPKWFVTLYGVKYH >EOY13456 pep chromosome:Theobroma_cacao_20110822:7:8510170:8516219:-1 gene:TCM_032033 transcript:EOY13456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, putative MGNINFLLSIMVVVLLSNTVATLSTESLNIFHDQLALLALKAHVTHDPQNLLATNWSTTTSVCNWIGVTCGSNSSHQRVTGLNLSNMRLVGTIPPHLGNLSFLSQLNTQFNHFHGSLPVDLVNLSWLKYINFGNNNFDGQIPSWFDSFTQLQSLFLNDNNFSGVIPPSLGSLSNLEKLLLQENGLEGHIPIAIGNLSNLKWLNLSNNKLSGQLTPAIVDHLPKLQYPNLESNHLSGKIPTSLFKCQELKILILSHNALEGNVPQKIENMTTLDELYLNGNNLTGPIPFSIFNISSLQIINLGYNMLSGHLSSNTFDQLAMLQEFRLDNNHVSGRIPTSLFKCKRLEYLYLAENQLEGSMPIEIGNLTLLKFLALQNNRFQGVIPSTIRRLTLLTYLDFSSNKLTGRLRILPPSLLWFFAARNKLVGNIPASICNVSSLKDLDLSENSLGGIIPKCFWNLSFSLIDLYLQKNNFHGILSAGIIFPKSCFLRSFRINSNQFEGPVPQFLVNCKDLEILDLGHNNFNDTFPVWLGNSNNLQILVLRSNRFHGQIINSEVASSFSHLRIIDISHNDFSGCLPSKFFEGLLAISNGYEKTSEPRYIGYEYSSNIVYYVDSFFITITSLELEVRRIIIFYLMAINFSNNQFNGQIPKIIGKLQSLMALNLSHNSLTGAIPTSLGNLSELELLDLSSNRLEGKIPAQLINLVFLEGLNFSWNNLMGPIPQENQFSTFSNDSYIGNSGLCGLPLSKICDNDEGLEPPPAISDGDDDITRAFDWRFATLIGYGCGLVFGFIMGYIVFTTEKPWWFIRMTERVEQKYGTTIYTIHLMFLGWICSN >EOY13163 pep chromosome:Theobroma_cacao_20110822:7:6261322:6267465:-1 gene:TCM_031688 transcript:EOY13163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPGGEPPATRHANPTIAQIKQLYEEVAKRYKTLSSLHSAISETVFARIMHTDRPKELWDLLRNEFQGSERTRQMQALNLQREFEMLRIKKEETIQEFSRKTHEVEESRDIPRLTLSEFINALEAQEQRKAFKEEDYTDSALVARTRNLKLDRSFKAKVEIGNGVFLEIVGTGTVAVEIDSGYKFCTIFDPKGDEVLTVKMKNKCYLIDWKHTKHKSIVSSAVDSELRHRRDIKFDEQSWWNWDKLVVESFGSSSQTSGDEQCKINSDEDIEAEHPTVRGTRSLQNIYARCNVVVVEPTCYYEATKDTRRLKAMEQEMQMIEKNGTWILVDKPANQHIIGVKWIYKTKINADVARLDTIRLISALAAQKGWKIFYLDVKSAFLNGYLPEDIYIQQLKGFIKPSIEGKACKLVKCIVWFEAGFKSLLRLLMVLTTVKGYSQIDGIDYCETFALVARLDTIRLISALATRKGWKIFYLDVKSAFLNGYLPEDIYIQQLEDDSRSTRGYYFSFGSAIFSWNSKKQEVMAQSSAEAEYISTAAVANQVIWIKKILGDLGFEQKTGTPLMIDNKSAIFIVKNPVHYRRTKHIKVKFHFIRDAVKDNEITVIYYGTNDQVADIFTKFIKSSKIMKQIQFFGAPAEDYRSLNGAGCLLLVGDPS >EOY12661 pep chromosome:Theobroma_cacao_20110822:7:3268455:3271307:-1 gene:TCM_031172 transcript:EOY12661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein MADEFNTGTNWWDSSRTNTRFDAAGSSSSSSGLNNSLGSFGWATEMVDIKAARSSMDSVSSVSGSSVVFQDAPKLQGVPDHPPPGDLHMMGLGLSSQAMDWNQALLRGDKSESSFRSMLQDNLNSSANYQQETAGMGSSQVQWRDKMFSGVGGDASVNEFKQISRGFSLDQSQFSPHGSSSDSTVTCQGLPSSFQMDSTALYGSPSTILQGLLGSENQAQQSSYENRSINYQYGAAAASYGMNTNELLPSWSKVPQFLRSSPPKQHLHGQLHFSNNAPFWNPSAAASMTDVRPGFFPSLQTQFPTGNFDEKPKNISEGRDSSTVVKKSGNEPASKRPRNETPTPLPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVSVLSTPYMKSGAAIQHQQSSEKSKDPEGPKQDLRSRGLCLVPVSSTFPVTHESTVDFWTPTFGGTFR >EOY13261 pep chromosome:Theobroma_cacao_20110822:7:6817266:6820666:-1 gene:TCM_047064 transcript:EOY13261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase MSFFSLASACSSLGVKSLSLTVQLGFHSNENNGFPGAFLSSPKKTKIKKFSIACSSSIADPLLVKAARGEPVSRPPAWMMRQAGRYMAVYRKLAEKHQSFRRRSETTDLIVEISLQPWEAFRPDGVIIFSDILTPLPAFGVPFDIEDVRGPVIQSPIRSDDGLKSLHPIDLEKLHFVGESLKILRQEVGGHAAVLGFVGAPWTIATYIVEGGTTRTYTTIKSMCHTAPNLLRTLLSHLTKTISEYIIYQVESGAHCIQIFDSWGGQLPPDMWEQWSKPYITEIVSLVRSKCPNTPLVLYINGNGGLLERMKGTGVDVIGLDWTVDMADGRKRLGSDISVQGNVDPAYLFSPLPAVTEEIQRVVKCAGPRGHILNLGHGVLVGTPEEAVAHFFEVTKSLKYDSSFQNHAVEESKLVV >EOY13578 pep chromosome:Theobroma_cacao_20110822:7:9342380:9356323:-1 gene:TCM_032179 transcript:EOY13578 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MELLGSILEAVKFLVAPICTYIDHCKKLEERMTDLKRELEDLNCRKRDIESTVEAQMGWQKEVKKEVEKWLEDVQRINDEIQMLEQKVQAVSCFSRLHLSKLVCQKLEETKKLCQCNFPEVPVIDKPSPAGVTLGTTALKGETTAKKEILNYLMDDKVGMIGVCGMGGIGKTTIMKHINNQLLEESKFDKVIWITVSRELNIVKLQKNIADAMKENLPELEDQVKWAAALTDILGKKKFVLILDDVWNWFSLVEVGIPEPTRDGSKLVLTSRSIDLCMNMGCKVVKVQPLSKEDSLNLFLDNSESSVLQDPPLEEIASHVVDECAGLPLAIVTIARSMKGVSDIREWRNALEELRKCVKSVKGTDIEVFERLKFSYDHLQDSKIQNCFLYCSLYPEDWKISRKELIEYWIDEGFIDELGTRQAMHDRGHTILNKLENNCLLERVDDGNSVKIHDVLRDMALYIKSKNGTRFMVKAGMQLRELPGQHEWEEGLEKVSLMCNSISEISPEISPKCQHLSTLLLKRNDFSMRIPESFFENMHELKVLDLSYTNVEYLPNTISNLENLTSLILVGCKKLRYVPSLAKLRALKKLDLHFTSIEEIPDGMEMLVNLRYLDLFSSRLKEIPIGILPRLSRLQFLVVSWQSRTLKIKGEEAAALMKLETFVGRFHELQDFNTYIKSIQGERPTSYKLFVGSQEKDLWSESFVKDVILCGCKIGGEDQILLPNDLRCIRISKCHDVRSLNEISFFRKATQLRVCDLIDCKGIECVLDLSVFPSSSSSLQNLENLLLSELDRLSMLVKAEAAALPTSVAPPGIFTHLKSLCIYKCPDMKKLFPFKLLQDLQNLEEIEVRSCGQMKEIIASEEERDSMGEGKDHTTTSFNFPKLRELELCDLPELKSICSTSRQMVCDSLEGIKVTKCPKLKRIPLYLVPDLVNGQPSPPLSLQRIEINSEEWDELEWDHPNAKTILRPFLQY >EOY12962 pep chromosome:Theobroma_cacao_20110822:7:5055855:5063815:-1 gene:TCM_031470 transcript:EOY12962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNSYVAFGVLVIMASGAVMARDVDPIKANNCETKMTLRCVNEVFASIFKTGLVTDHCCIELIGLGKFCHDALIKKTLENPLFKNNDTSVILSRGAQVFFKNPLYLESIRKDMLIPSKRLRHQLKRLHCSATFLHSSRQRPPSFLHNLRFKRFILTNLRRVDKNKQHFDLGLALCVMDGAYLSKLHSVMFRVLLDSSSLILNMLAKTSITQYKVIFDSLLYALMGSISLAITAPLTTMTKTPEAT >EOY13861 pep chromosome:Theobroma_cacao_20110822:7:11925280:11965933:1 gene:TCM_032553 transcript:EOY13861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPPRRGRPPLTRSVGRGKGRSQRRQLDAVGEESAASTIRAAPTAEQADSPPHPPPPPPPTAFFTAMAGGAPTGQVPPIVPPVTPLVPPPVQDVSISKKLKEARQLGCVSFTGELDATVAKDWINQVSETLSDMRLDDDMKLMVATRLLEKRARTWWNSVKSRSTTPQTWPDFLREFDGQYFTYFHQKEKKREFLSLKQGNLTVEEYETRFNELMLYVPDLVKSEQDQASYFEEGLRNEIRERMTVTGREPHKEVVQMALRAEKLATENRRIQTEFAKRRNLGMFSSQPVKRGKDSAILGSTTSVSVISPRPPFPPSQQRSSRFSRSAMTGSGKSFGARTDIQRRDSSGLPPRQGVAIRSGVESNTPAHPPSRPQTRTSTSVFAVTEDEAQVRPRAVTVTMSLFDKAAYVLIDSGSDRSYVSTTFASIADRNLSPLKEEILRNGEDGSLLANFIVRPSLLNQIKDIQRILCHGLWIGGESIVSGKRLHPRAEDRSISTPNTEESLPEKAKMSYPASSDRGA >EOY13845 pep chromosome:Theobroma_cacao_20110822:7:11400619:11403781:-1 gene:TCM_032505 transcript:EOY13845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding with Kinesin motor domain-like protein MSCLLLLLKALILLSGIRSLHLLQDSLGGDSKTLMFVQISPHENDLGEALCSLNFGSRVRGIDLGPAKKQMDYSELLRCKQMVEKSKQEMKVNDLQIRKMEETIHGLDSKMKDKDLKNKNLQDKEYLTAQQLISPVAPIRIYIDDESPPIQVTTFFDTSVAQAIANPIVLPSSLWKNQKTYFRIVDESTFATDFISQLVTIEFFPRISITQRILDSSLPHKDLVVGFDIVSDELGFKLNGNALKCRQFFQPWRLLPNLFTMTLHPSQFEYIRQKLILTSCADCHADFLLKCPNPLWKNPKFFITLAFKKMNWPT >EOY13737 pep chromosome:Theobroma_cacao_20110822:7:10508429:10523724:1 gene:TCM_032382 transcript:EOY13737 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein MAPSFDNGKSLFDFVVRDGNGVKGIVDLGLSKVPQAYIQPPEEQIDKENASKHGQPPIDLSRLDGPDHDEVVKEIVRASETLGFFQVVNHGVPVDLLESLKDAAHTFFGQPPERKSVYRKEVSPTPLVRYGTSFVPEKEKALEWKDYISMVYTNDAEALEHWPVECREVALEYLKTSLEMVKKLLHVLTENLGVKLDDSKIDALIGRKTVNMNFYPTCPNPDLTVGVGRHSDFGTLTVLLQDGIGGLYVNIPEDTDIGKRGDWVEIPPIHGALVINIGDMLQILSNGRYKSAEHRVRTTSTKSRVSIPIFTIPKPTEKIAPLPQVVEKDGVARYREFVLGDYMNNYFGNPHEGKKSLDFARINSA >EOY13483 pep chromosome:Theobroma_cacao_20110822:7:8737820:8743638:1 gene:TCM_032083 transcript:EOY13483 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5-like receptor kinase MVWKVLYLESCLMCGKVGGVRKLQLGAIGGRALKSLNSSQSRLYHISFYLLQYYHSPYQRRFPSTRIILARTLLGISGLIALVIHKLRRRHLAMDDMIENFLQSQNNLMPIRYSYSEVKRMTGDFKIKLGQGGYGSVFKGKLRSGREVAIKLLSKSKANGQDFINEVATIGRIHHVNVVQLIGFCVEGSKQALVYDFMVNGSLDKIIFSTGNNTLSWEKIFEIALGVARGIDYLHRGCEMQILHFDIKPHNVLLDENFRPKVSDFGLAKLYSVDDNIVSLTAARGTLGYIAPELFYKNIGGVSYKADVYSFGMMLIEMVGRRKNLNPFAEHSSQIYFPSWIYDQFDHGDDIELGDVTESENKIVKKIVIVAFWCIQTKPTDRPSMSKVLKMLESELELPEMPPKPFLFTQEMSTKDHASKNSRDETTTDSENIV >EOY12706 pep chromosome:Theobroma_cacao_20110822:7:3563705:3586519:-1 gene:TCM_031232 transcript:EOY12706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGGHTTIAFNVDEDDYQTFIQSLRSILSYSTSHDINVLMPQTQPLSWLDIRLTSGDSTIILRIDKRNLYVRGYSRDDGATFWEFKDTSLIPGSRTLTYTGSYVKGITLIKVADVNRQTLPLGLSNLRTAILDLARNEDPTDNDALKDCARALLLLTQMIAESIRFQLITDHIVTNWYNSAPLTLQLVELQQGFGDFSSAVQRADLPYWTNNTPLPNVPNPNEFNIWTIGQAIAALGILLYVPRTSSRKKRQVDVDVGNAHNADITAVPNADTNVSYVRTLVSIEYVRVNNIDGENPGDLYGMVKVKDFWGLHTVDVFVINVSLWDHDSLSPDDEIAQGDIVWEPRNENLTFTNYDKRLEEVVYGENGNVTVGYSVVRQALNATIEVLLINGDNESPADVYGTIKASQDLGGSSTSLTLFEKSSDKCVKVRPYHSIPLTRSVVVAPISSGLTVMTDLWDYDTVSSDDQIAKGSVHFDAMVGNQTKSIYGEYGEVQVSITCE >EOY13655 pep chromosome:Theobroma_cacao_20110822:7:9870426:9876186:1 gene:TCM_032284 transcript:EOY13655 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5-like receptor kinase MEIIYNCFDSALTLLLGCLKHSRLAKIWDALMDYLNSFLYFIIHRPLISAFNGSPAETNRTYIMCIGITGGIILSRMLLGISILIALVTYKLRRRHLSVDDTIEEFLQSQNNLMPIRYSYYEVKRMTRNFQEKLGEGGYGSVFKGKLRSGHLVAIKLLGKSKANGQDFINEVATIGRIHHVNVAKLIGFCVEGSKQALVYDFMSNGSLDRIIFAEENKISLSWQKMFDIALGVARGIEYLHQGCDMQILHFDIKPHNILLDENFIPKVSDFGLAKLYSVDDSIVSLTAARGTIGYIAPELVYKNIGGVSNKADVYSFGMLLMEMVGRRKNLNAFAEHLSQIYFPLWIYGQFHRGEDIELGEVTDGEKIIVKKMVITACWCILVPPNDRPSMSKVLEMLETNVELLQMPPKPFQLPFKVSIEDRADENPSEDPTSSLLSLNEISLNITSPDTSRVRTKMLYASSEDKFKSRPFGISKVLLA >EOY12077 pep chromosome:Theobroma_cacao_20110822:7:812825:815566:1 gene:TCM_030678 transcript:EOY12077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 71D10, putative MELGFSSTLLLVFFFLFMIATILKGKKAKNTTVKLPPGPWQLPLIGNLHQIISPLPHQKLRSLANKYGPLMWLNMGEVPTIIVSSPEIAKEVLKAHDAKVSQRPSRLFARIISYDCTNLIFAPHGNFWKQIRKICMMELLSTSRVRSFQPIREQEVSALIRTMLMNEGSSVNVSEKIFSLTCGITARAAFGRKNKDEKEFIRIVFEISKLANGFCLVDMYPSIKFFNLLSATRYKLEKLHQASDKILENIVQEHKERRSLQTSNTSNEHVEEDLVDVLLKLQQNGNLDFPLTNDNIKAIIQDIFAAGSETSSTTVEWAMSEMIKNPRVMKKAQDEARRVFNERGRVDESGIHELEYLSLVVKETLRLHPSVPLLQRECSEDCVIDGYEVPARTNVIVNAWAIGRDPRHWKEAEKFHPERFLDTSIDFRGLDFELIPFGAGRRICPGISFALPNILLPLAQLLYHFDWELPNGMKHQDLDMTEEFGITVTRRNDLFLIPISHHHIPSEETMLYY >EOY13130 pep chromosome:Theobroma_cacao_20110822:7:6089758:6092955:1 gene:TCM_031655 transcript:EOY13130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKRKRGGAKRSHPVCCTRRLRSSGTKKSLKEEALDKLKAMGSAAIETKPSPPSPVQALLGSIAAGVIALIPYKFTLTIEAALNRQTVSHNFSTWGCGCYLLFGCSSDNNNYQVTSISTSFRAPSCKSFVVFILYMLVCRTIVNGLCYLATFVVGINSLGLFLYSGQLAINSFMEDSTTKENESTGEENLGSVSSVAENAVDGTELTSSREDQSPDDKQ >EOY12731 pep chromosome:Theobroma_cacao_20110822:7:3858957:3872589:-1 gene:TCM_031257 transcript:EOY12731 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MGLVLCLLLFFITRTSALNTITPGQSIKDGETLVSAGGSFELGFFSPGNSKSRYLGIWYKKVSSGTVVWVANREASISDTSGVLSISDRGILAILNSINSAVWSSNTSRNAKESVAQLLESGNFVVKDRNDNDPENLLWQSFDYPCDTFLPGMKIGKDFVTGFDRYISSRRSTEDPAPGQYSIRIDPRGLPQFVLKKGPEILFRAGSWNGVKMHQCSSLKLIQYIRMSLIKRSIWNERKNDWEVFSTAQADQCSIYAFCGAYATCSTNKSPPCTCLEGFVRSSASSTDLNSVDWSDGCIRRTPLTCDDGDSFLKQTGLKIPDTSISWTDMSMNLKECENLCLKNCSCTAYANLDFREGGHGCLLWFGDLIDISDFTEGGQDIYIRLATSDLNHIQRKGKSKEKQKAGIIIISVIIATGMMIVSFLLYTRKKKLRKAGEQEKEELELPVFDLATIAKATNNFSSNNQLGQGGFGPVYKGTLIEGQEIAVKRLSKNSGQGLEEFKNEVTLIAKLQHRNLVKLFGCCIRRDERMLIYEYMPNKSLDYFIFDPTRSKFLDWHSRMHIVDGIARGLLYLHHDSRLRIIHRDVKASNILLDNSMNPKISDFGLARKFGSDQTEANTKRVVGTYGYMSPEYAFDGLFSMKSDVFSFGVLVLEIVAGKRNRGFSHPEHDHNLLGHAWRLWMEERPLELTDNALGNSYIVAEVLRCINVALLCVQRHPEDRPNMSMVLLMLSGQTILPQPKQPGFFIERNLPLAYSKSVKHEPFSAYGSTVTVLEPPSLTSALLFNVCCPNSSIDLSKGKLKEKQKAGIITISVIAAIGMMIVAILLTSALNTIKPGQSIKDGETLVSAGGSFELGFFSPGNSKSRYLGICTNSAVWSSNTSRNAKDPVAQLLGSGNFVVKDRKDNDPKNLLWQSFDYPCDTFLPGMKIGRNFVTGFERHISSRKSTENPAPGQYSLGIDPRGLPQFALKKGPEMLYRAGPWNGVYFRGKAVLEANPVHLYEFILTKNEVYFKYETRNSSIFSRYLLNPSGLMQRSIWNERKNDWEVFSTLQADQCSIYAYCGAYATCTTNKSPPCTCLEGFVRRSASSRDLNPVDWSDGCIRRTPLTCDDGDSFLKHTGLKIPDTSMSWADMSMNLKECEKLCLKNCSCTAYANLDIREAGRGCLLWFGDLIDISDSTEGGQDLYIRLATSDLNIIQRKGKLKEKKKAGIITISVIIATGMTIVAFLLYVRMKKLRKVGEKEKEELDLPIFDFATIAKATNDFSSNNQLGQGGFGPVYKNFFLCQSCISHFYPTRSKFLDWHSRMHIVDGIARGLLYLHHDSRLRIIHRDLKASNILLDNSMNPKISDFGLARKFGDDQTEANTKRVVGTYGYMSPEYAFDGLFSMKSDFFSFGVLVLEIVAGKRNRGFSHPEHDHNLLGHAWRLWMEERPLELIDNALGNSYIVAEVLRCINVALLCVQRHPEDRPNMSMVLLMLSGETILPKPKQPGFFIERNLPLAYSKSVKHEPFSVYGSNITELEPR >EOY14266 pep chromosome:Theobroma_cacao_20110822:7:22807939:22814015:-1 gene:TCM_033578 transcript:EOY14266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-kaurene synthase No1, putative MSLFHPHTLSFRCSTSSISVSMDCGAKLTTDTHTNGLNFEGTKERIKKMFDHIELSVSSYDTAWVAMVPSPNSPGNPCFPGCLQWLLENQLSDGSWGPPHRYPLLTKDSLSSTLACVLALRRWGVGIEQMTKGLQFIESHFGSISDENQHTPVGFDIIFSGMIEYAKDLNLNLLLRSTDVDAMFHKRDLELRSCHKESSKGMQAYLAYVSEGIGKHQDWGMVMKYQQKNGSLFNSPSTTAAALAHTQNAGCLYYLNALLEKFGNAVPTLYPFHLCPRLSMVETIESLGIGEHFRKEITSVLDETYRCWLHGEEEIFLDPATCALAFRILRVNGYDISSEPLTGFAEEHFFNSLGGYLKDLGAALELFRASQMMIHPDEQVLEKQNSWTSHFLKQELSNSSMSADKLRKYIIQKVNDALEFPHYASLERLVHRRNIENYAVDNIRMLKTSYCSSSIGNKDLLRLAVEDFNACQSIYREELKQLERWVQENRLDKLKFARQKLAYCYFSAAATIFSPELSDARISWAKNGVLTTVVDDFFDVGGSEDELLNLIQLVEKHDVDVSIQCCSEQVEILFLALRSTISEIGEKAIAWQGRNVKTHVTEIWLDLLRSMLQEAQWLKNKSVPTMDEYMTNGYVSFALGPVILPALYLVGPSLSEGVVKSPEYNLLFKLVSTCGRLLNDIHSFKRESMEGKLNAVSLHIIHGTSAVTEDVNKEMKRLVHDRRRELLRLVLQENGSIVPSACKELFWKMSKVLHLFYMKDDGFSSHEMINVVKAVIHEPIYLDEQ >EOY11867 pep chromosome:Theobroma_cacao_20110822:7:153536:155082:-1 gene:TCM_030529 transcript:EOY11867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 103 MGRIPCCEKDNVKRGQWTPEEDNKLSSYIAQHGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGQFSEAEEQTIVKLHSVVGNRWSLIAAQLPGRTDNDVKNHWNTKLKKRLSGMGIDPVTHKPFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRIDFQLQQSNAAPGTNTVPYVTSKQEEKDDAVEKIKLNLSRAMQEPDMLPLNKPWESSGATSANFAGACGVFPVSVTGFQYGPSFGNEGGGSPWSQSMCTGSTCTAGEQGRSHDKLKDENGDESEGGKEIKNASSIFNTDCVLWDIPSDDLINPIYREAFNNKE >EOY13451 pep chromosome:Theobroma_cacao_20110822:7:8447168:8483167:-1 gene:TCM_032025 transcript:EOY13451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, putative MGNINFLLSIMVVVLLSNTVATLSTESLNIVHDQLALLALKAHVTHDPQNLLATNWSTTTSVCNWIGVTCGSNSSHQRVTGLNLSNMGLIGTIPPRLGNLSFLTLLNTQFNHFHGSLPVDLVNLSWLKYINFGNNNFSGQIPSWFDSFTQLQSLFLNDNNFSGVIPSSLGSLSNLEKLFLQENDLEGHIPIAIGNLFNLKWLNLSNNQLSGQLTPAIFDHLPKLQYLNLESNHLSGKIPTNLFKCQELKILILSRNALEGSVPQKIGNMTTLKELYLNGNSLTGPIPFSIFNISSLQIIDLGYNKLSGHLSSNTFDQLAMLQEFSLYRNQVSGRIPTSLFKCKHLEYLFLDDNQLEGSVPTEIGNLTSLKFLALQNNNLEGVIPSTIRRLTLLTYLDFSSNNLTAGIIFPKSCFLRSFRINSNQFEGPVPQCLINCKDLVILDLGNNNFNDTFPKWLGNLNNLQILVLRSNRFHGQIVSAEVASSFSLLRIIDISHNDFSGCLPSKFFESLLAMSNGIEKITGPIYMRYEYSSNIVYYDDSFIFTIKGFELDYMRVYTFLAIIDFSNNRFNGQIPEIIGRLQSLFALNLSHNSLTGPIPSSLGNLSNLESLDLSSNRLEGKIPAQLINLEFLMVLNLSWNNLIGPIPREKQFGTFSNDSYIGNLGLCGLPLSKICDNDEGLEPPPAISDGDDDITRAFNWRFGTLIGYGCGLVFGFITGYIVFRTEKPWWFIRMIERVQQKYGTRIYTIKLMLLGVLSMQQVLILMFNRFHGPLDDSIVTPSFQSLQIIDLSQNEFSGLLPTNFFQNLHAMKFTRKGNLADVLTRIRYESPIDKDDQTSVNVRFKRLEMKLELAKTLLIFFAIDFSNNRFFGKILGVIGELCSLRLLSLSHSILTGHIPSSLGNLVVLESLDLSSNKLANRIPSQMTNLTFLEVLNVSKNNLAGPIPHGKQFDTFDNDSFSCNMGLCGLPLSKQCGNDERSRSPALRFMEDEGSAITFIWKLGMMGYGCGVVLGLSTGYVVFTTGRPWWLVRMVERDWQKNVSQWIERRRN >EOY12020 pep chromosome:Theobroma_cacao_20110822:7:642088:649316:-1 gene:TCM_030637 transcript:EOY12020 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent deacetylase sirtuin-6 MSLGYAEKLSFIEDVGQVGMTEFFDSAHVLQDKVVFLNDFAFRVLAFRVARPVTNVDHHHAFHYECARVEHDYRGELCVVSQLRAIKAQILNHSVQIVESVFWHCTIEQLAKLIQKSRHLVVFTGAGISTSCGIPDFRGPKGIWTLQREGKPLPEASLPFHRAMPSMTHMALVELEKAGILKFVISQNVDGLHLRSGIPREKLAELHGNSFMESCPSCGAEYLRDFEVETIGLKETSRRCSDEKCGAKLRDTVLDWEDALPPKEMNLAEKHCRMGDVVLCLGTRNMLLSLITVNHSGCLQITPACNLPLKSLRGGGKIVIVNLQKTPKDKNASLVIHGFVDKVIAGVMEWLNMQIPPYIRIDLFQTILTQSLSSDKKFVNWTIRIASVHGLSTPLPFIKSVEVSFSDSQNYKEATLNTPPFLLKRRTVRTETFEMFLKLKFSDGCGCLSTQIKIPFNFQASTEGFNLDKKATFEKMRDAAIQDSSCGQNAVVERRTVLVPKSEITVHAIVTNVRTFDCHISNGDLKPRKEGLQGSETTTRKRSKGRKRKMSS >EOY12119 pep chromosome:Theobroma_cacao_20110822:7:1053748:1054664:1 gene:TCM_030720 transcript:EOY12119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MICSASSSTAIRLTLSALGEMVSSASIRYLNLSNNNVTDITGLHFLSLAGNILIGQIPPEIGRCLNLKTLDLGSNYLVGKIPSFISNISCFTNYRVDKSFDCDEERHFARYHTGKLDRICRKTYCRVNSPA >EOY13310 pep chromosome:Theobroma_cacao_20110822:7:7464659:7466831:-1 gene:TCM_031857 transcript:EOY13310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMISLSRAFKTPSDGFDVLGVGGYLKILIFRILSQLTNLTFLEVLNLSLVGPIPPGKQFDTFENDSYSGNLGLCDLQLSKQCGNPEPKPPVALLKEDEGSEIAFIWKVVMMGYGCGVVLGFNMGYIVFTTGRPWWFIKMVERDWQKNVTN >EOY13349 pep chromosome:Theobroma_cacao_20110822:7:7665078:7666792:-1 gene:TCM_031893 transcript:EOY13349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDEQNVHESDHVASSDKKLFLYPDENSGFGYRNNANSQSLCDVLKCSLIKILVDGTDMVFKDAKSRKINRFSNGQRNRIDSSETCQVNGECSYKDIQEKVNPKDAWNHVHIRCYLRRKLFGLWNDANKTVKVKAQKSMGTLALRLGDPSSLIPKDDVNSGKDIGHRVIPYNRRSQLTVSLTVPESEYNRKLGVFQVRVEFPSANG >EOY13158 pep chromosome:Theobroma_cacao_20110822:7:6238274:6241502:-1 gene:TCM_031683 transcript:EOY13158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPLIGKACQHASKWDFSLESLVEMFALLLEATNPESNTWRELASCFLKLYQHEEDRLSVCLNGNEGEQIPKLSVNYNKMPKFFAEGKSRKVWRLRCKCWLKRHSAKKMLASEIASGFSELLTYKAACASHLYGQEFDYVVKVYSHLAEQNDRELLKFLKRHIENSIRLNVNIQEKLNKI >EOY13327 pep chromosome:Theobroma_cacao_20110822:7:7563880:7566110:1 gene:TCM_031874 transcript:EOY13327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMASEKQQETMAKIVGDKYCSILNEVSENIEWRHGGPPIFDAVNKLFEEGRANVGTGVILRVLTKDMPPRGKWFEFYGIGVMKYSISLTFLKQLSLLASSGELLPSRRLEIYYDPAELFAGLLKGPRSSSSSNTKQHNSNDTSTSTHHCPFCK >EOY14193 pep chromosome:Theobroma_cacao_20110822:7:21952095:21954248:-1 gene:TCM_033468 transcript:EOY14193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCTLSCMRANFSYDILGLTNLKSLQISCSKVTDFGITYLKDFHISSGILNFCPVTTGYLEFDKLFNFLHVVMCWVQEPDC >EOY13354 pep chromosome:Theobroma_cacao_20110822:7:7684991:7696975:1 gene:TCM_031898 transcript:EOY13354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonol 4'-sulfotransferase MDSSFPNISSTLLGELPKETWWASDHYNWEGFWFASSRLSPVMAARSNFQASNDDVFLTSSIKTGTTWLKAIIPTIMNPKGRKNDDTDDPLLKHHPNDLMPSLELQLFKDNPNPDLTAMPSPRLFRSLVPYPLLPESVKNSACKIVYITRDPKDVFVSMWHFMNSWTCTIGQGPWKMNEAFESFCRGVHAFGPFHDHVLSYWKESLRRPEKILFLRYEDLKKDPKVQLKKLASFLGRPFAKEEEVEKVLWRCSLERLKTLEVNQNGVDPWLGIPYKLYFRRGIVGDWENNITEEMKERLDQVTRMKFEGSGLDFKH >EOY14003 pep chromosome:Theobroma_cacao_20110822:7:16988924:17000242:-1 gene:TCM_033013 transcript:EOY14003 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS (ERD1/XPR1/SYG1) family protein, putative MKFGKEFASQMVPEWQAAYMNYNSLKILLKQIQHLRQKNKQPDTPARLQRDLKLYRTFSGLMQRQNQRANSLNDQDIENEAILVNHVKGNGSAKYETAFLMTAEEGGECEQLFFRRLDNELNKVDKFFKSKVKEVVEEAQTLSKQMDAFIAFQIKVLKVPPRFDHVHPPSTETGSSPRMVAMNEYKGKPQSDDSIEDNDKHTNYISQDIQEENPKNLTSIRSASMNILNHVKLNEAIQTHFSSSKQTQLNFSKENLKKIEKQLKQAFIEFYQKLTLLKNYRFMNILSFSKIMKKYDKITSRRASRNYMRIVDNSYLGSFDEVTKLMERVEATFIKHFSNSNHSKGMNKLRPKPKKERHIISFCTGLFVGCTAALILALILIIHARNLLDKGGKAQYMETMFPLYSLFAFIVLHMLMYGANVYFWRRYRINYTFIFGFKQGTELGYREVLLLSFGFAALALASVISNLDMEMDPKTGDYKALTELIPLILVTLVIVILICPFNILYRSSRLFFLTSLFHCICAPLFKVKFQDFFLADQLTSQVQAIRSLQFYICYYGWGDYKIRQNTCKMSIVFNTFYFVVAVVPYLSRLLQCLRRLHEEKDPMQGYNGLKYLLTIVAVCTRTAYGLDKGIGWKMLAWICSAMAAIYGYYWDLVVDWGLLQRHSRNRWLRDKLLIPYKGVYFGAMVLNFMLRFAWLQTVLNFKVSLHRKTLIAIVASLEIIRRGIWNFFRLENEHLNNVGKFRAFKSVPLPFYYDEDEERDD >EOY11825 pep chromosome:Theobroma_cacao_20110822:7:39976:45557:1 gene:TCM_030503 transcript:EOY11825 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRI1 like MKKLWRVMVCLSSQEQGLTGIFGLLLLLLFHHLVMWAEASQLVSGQKQSNDDVIKLMAFKRFSVTSDPHGALANWTDDSPSPCSWRGVSCSPDGRVTALNLSYAGLVGGLHLPNLMALSALRDLYLQGNSFSAADLSASTAVSCKLERLDLSSNTISNPLPAQSFLAACNSLAYVNLSRNSISGGRLIFGPSLLQLDLSRNQISDSALLTYSLSSCQNLNLLNFSDNKLTGKLSFAPLSCKNLIVLDLSYNLFSGPIPPSFMPDSLVSLKHLDLSHNNFSGKFSSLNFGQCSNLTQLSLSQNSLSDSAFPVSLRNCHLLESLDLSHIGLQDKIPGGLLGSFKNLKRLSLAHNQFTGEIPPELGQACGTLQELDLSSNKLTDGLPQAFVSCSSLQILNLGNNLLSGDFLSAVVSTLSSLRNLYVPFNNISGSVPLSLTNCTQLQVLDLSSNAFTGNIPPGFCSSTSALEKILLANNYLSGSVPVELGNCRNLRTLDLSFNSLSGPIPSNIWKLPNLSDLVMWANNLTGEIPEGICVDGGNLETLILNNNLITGSIPKTIAKCTNMIWVSLSSNHLTGEIPSGIGNLVKLAILQLGNNSLTGQIPPELGKCQSLIWLDLNSNDIWGPLPPELANQAGLVMPGSVSGKQFAFVRNEGGTACRGAGGLVEFEGIRAERLESFPMVHSCSSTRIYSGMTVYTFTNNGSMIYLDVSYNNLSGSIPENFGTVSYLQVLNLGHNKLMGNIPESFGGLKAIGVLDLSHNNLQGYLPGSLGTLTFLSDLDVSNNNLTGLIPTGGQLTTFPASRYENNSGLCGVPLPPCGPGGHPTNLHSRNKKPSVAVGMVVGIAFFLLCIFGLTLALYQVKKHQLKEEQREKYIESLPTSGSSIWKLSSVPEPLSINIATFEKPLRKLTFAHLLEATNGFSADSLIGSGGFGEVYKAQLRDGTVVAIKKLIHITGQGDREFMAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKWGSLESVLHDKAKGRGSRLDWAARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDENFEARVSDFGMARLVNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVILLELLSGKRPIDTSEFGDDYNLVGWAKQLHREKRIDEILDPELMTQKSGEAELHQYLRIAFECLDDRPFRRPTMIQVMAMFKELQVDSESDILDGFSLKDNVIEES >EOY14263 pep chromosome:Theobroma_cacao_20110822:7:22770983:22774859:1 gene:TCM_033572 transcript:EOY14263 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein MKYGIDLVTGLNRYLTSWKGVDDPSSGNYTNGLDPDGLPQFLLREDSVLLFRTGHWNGLRFSGMPNLKPNPIYTFEFVFNDEEIYYEYELINKSVVSRMVLGPDGALQRFTWVDRTQGWRLYFNAMMDNCDRYALCGAHGSCNINNSPACGCLRGFVPKYPNDWEAADWTHGCIRKLLQSNERGKEDLELPLFDFDTISKATDNFSQQNKLGQGGFGPLYKGILEKGQEIAVKRLSKKSRQGIDEFKNEVLCISKLQHRNLVKLLGCCIERQERILIYEFMPNKSLYSFIFDQKQSIFLDWPKRFQTINGISRGLLYLHQDSRLRIIHRDLKAGNVLLDYEMNPKISDFGLARSFGGDETEANTNRVVGTYGYMSPEYAIDGWFSIKSDEFSFGVLVLEIVSGRRNRGSLTGPQPEPSRACLEAL >EOY13051 pep chromosome:Theobroma_cacao_20110822:7:5638804:5649890:-1 gene:TCM_031570 transcript:EOY13051 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein, putative MSSSTSVDRVALLTEGNHETEEKKGRWWRRVLDLEEANNQVFLSLPMILCEVSYFSITLVSVMFAGRLGELEFAGATLANSWASVTGFAFMIGLSGALETLCGQGFGAQLYRTLGLYLQASSIISFFFSITISILWIYTEPIFLLLHQDPQISKTAALYIKYLIPGLFAYGFVQNILTFLRTQSILLPLVWFSVLPMAIHFVVVYTLVNRTSLGFQGASLAASISLWISFLSLATYVIFAKRLKQTWEGLSSESFRYILKNLKVALPSAAMVCVNTEAIAFMITRGLSAAASTRVSNELGAGNPNKAKNAMVVILKLSIILTLVVVLALALGHNVWAGVARGCGWQHLAVWANLGTFYFIGMPIACLLGFMLKLYDKGLWIGLICGLSCQASALLSITLFRKWTKIDHSIERGVARVIPNGNVCFGAIVDSEDIRANGRRDVDRILALHGASPAAHHVAIPATPSPASTVASPFTLSVAPHAESLEVPAVNAVEDPADDVDADAVNAVGDPASKGRSSPPGSPIEHHPMPLPSPVEAAQHSQPTLSPEAPPPPSKVEEVPHLASSETTTCVRPALVPTPTESPSHGEITLFAEAPPRLELEDARVILASKYL >EOY14237 pep chromosome:Theobroma_cacao_20110822:7:22395764:22396626:1 gene:TCM_033527 transcript:EOY14237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIMTWISMYLLTTPIACARSGLVHTMANIRHYEYGTRDIASFSTSVLRDNFENNLI >EOY13267 pep chromosome:Theobroma_cacao_20110822:7:6856048:6859817:-1 gene:TCM_031783 transcript:EOY13267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 53, putative MGCCPVWLYQMLCFPFAFLLFQVNSSSISLLSSPPPPPQLCLPQHSSALIRFKNSLSSFKEINIPSFPIGSEICRDSDPKTEFWNQNTDCCSWEGVTCHSMTDRVIGLDLSCSPLRGSLPSNSSLFLLQDLQWLNLAHLKFSGVHGMVTEQISPLSELVSLHLSSPQLKLDNHHFNMLVHNLTKLENLFLDHVDMSLVRPDSLRNLTSSLKRLSLYGCQLQGKFPSEIFSFPYLENIILTRNDNLSGYLPKSNWSTPLRFLHLSRTSFSDELPDSIGNLENLEELDLSFCHFTGSIPSSLGNLTKITFLDLKSNNFEGQIPDVFGNFKKLNKLDFSSNNFHGLLPSSVCNLTGVTDMALNNNHFGGPLPYNISGLSNLQSLLLSANLLNGRVPGWLFSLPVNLAFLDLSSNNLSGSIESCMLSKLRNLWRLDLSNNSLLSLSSCSNDVNSTFPKLNILRFSSCNIHQFPSFLRASETLSHLDLSNNKIQGSISKWEAEGWESLIYLDLSFNLLTNVEQFPGKNLQTIDLRPNSLQGPLPTPPQSINHLLISENELTGEIPSGFCNITSPLVLDLSKNNLSGIIPRCLGNYHSLSVLDLKMNNFDGKIPRMCTDEGSLLRSLNLNNNQLEGPMPRSLVDCSELEVLDLGNNNLNDSFPHWLGVLSRLRILVLRSNKFHGPVQNLRGTSFITSLRIIDLSPNEFNGHLPTKFFQNLKAMKDMHEEPTGPTYIEELPYSDSIILTIKGSEINFDKILEIFTMIDFSSNQFEGQVPKVVGELKDLVVLNFSHNSLTGQIPSSLGNLLALESLDLSSNKIEGRIPMQLTNLIFLASLNVSHNNLVGPVPRGNQFDTFTNNSYIGNLRFCGFPLSNECSESEGREPPPSIFDEDDDKGGAFTWQFAMMGYGCGLVLRLSMGYIVFTTGKPAWLVKIIQRAPNHKVRRQIHKTRGRRHKPIQPVLSSQLFHINISNS >EOY13085 pep chromosome:Theobroma_cacao_20110822:7:5836398:5844022:-1 gene:TCM_031608 transcript:EOY13085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene responsive element binding factor 4, putative MAPRSKASPVNPISDPTSREIRYRGVRKRPWGRYAAEIRDPRKKTRVWLGTFDTAEEAARAYDAAAREFRGAKAKTNFTDNNNNDFTRSPSQSSTVESSSPPPLDLTLANASFSLPVTTHRPVFFFDAFASTGAGCPDTCGAHSDSDSSSSVVDFEGGVQRRVFDLDLNQLPAEMD >EOY13419 pep chromosome:Theobroma_cacao_20110822:7:8206440:8207004:1 gene:TCM_031992 transcript:EOY13419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRLRLVWVIFVFSLICQAPNLEGRKLTTMAKKEVPSFKENFVLSTTPKESTSPPSPTDNPYTIANNERLFSLHLANDRVLEESVPSPGGGN >EOY13079 pep chromosome:Theobroma_cacao_20110822:7:5820226:5822310:-1 gene:TCM_031603 transcript:EOY13079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated RAB acceptor 1.H, putative MVFSSNPLSLSVPDPTFETWLRDSGYLDVIDDHQSAAAATDPASTITESTTTIPITGILWGYLVSLFSHLWILLSLFTLNPFSKLTTNDFSGETPSWTKGFFGDCGSYSFPAAVSQARLRVHENVKRYARNYASLFILFFACSLYQLPLALVGLISSLALWDLFRFFSDKWELDGFPATQQVLIRIAQCATAVILVWLNVQMALCCALGVSYIVMILHAAFRKLTPVKQPSRGRRK >EOY12314 pep chromosome:Theobroma_cacao_20110822:7:1666667:1672433:-1 gene:TCM_030855 transcript:EOY12314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRVGLTAYDASVLKGSLYLHMQLACSSYGKFCNGMKVALILHALVLCCFIALAVISAYSAGDWAALHAILGFPIVVFIPFLLLLYHKEEASPFNDGNIMYILAFFIATLTCVSVMVVKFKLQCPNTIISNVSYLSAPLATVSLVMIPFPYLGWFLLVIWIGFFVKLVLESYPVEFFQLLELAAEAASYLCSKLLRREENNDQSSANIRYDTVVIVGDPRRKKKDDDVFVWSEFEGSLLPSNPSNANSKTTALAACKAMNFTLLGVTLHFQIIRLPQQEILICELTFDFDFNFLIDACKCYKEVGGEANYPRLLNPGTGLSS >EOY13825 pep chromosome:Theobroma_cacao_20110822:7:11213741:11214329:-1 gene:TCM_032480 transcript:EOY13825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTFYFFFSRELGSKVNIFLPQKWRRSTSSSAHIHIYISIYSRSSCYHLTPPHLIN >EOY12447 pep chromosome:Theobroma_cacao_20110822:7:2254229:2258979:1 gene:TCM_030962 transcript:EOY12447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 7 long form, putative MEEPTAEETTVEEREELMVSPFSDGKPNSRTAHFLKPTLSSMDEPIPNHFSLSGSFLPHTFEPKNWPLKVCFNGLRTPMKSWLFWVDILRPKYQRVWKKAGIFEAIMSSTCLIKKYDDLVIGLAEKWNPEINTFIFPWGEATISLEDVMVLGGYSVIGFPVTFPGDSQELKEVEDKLLEECRKIQRDRAARRVRQTEWIKIFMGSGRDIEHEALLSLWLSRFVFQNIDGIIRKSIFPIACRLARGEAMALGPAVLARIYRDLTLLKETIVGSTKFKGGEVDDCVLAITLWSPMQLVQLWAWERFPALQPKPNVIHNFEPRSARWSNVKIVKVENVRMVLDSAGETFNWRPYAKVVNYWQFHEFYKEKEEWISIDQSLSKELLSFALCLRPSELVGLGSGCVQQYLPHRVAMQFGIDQDIPCHVARFNETPEIAWNNYLRPINDRKLYIPSRFFKSEVTIRYLEWWKKLSMVVYQDVMKGIVRRKRSSRKRPERIPWVKAKKGENDASVPPGFPPKLNKVKFEPFVEEVKPEAFEVSSKKASVTTRPLGRDKCSLVNVQFHSPSMAHNGGFAKMELSLVPIQEVIHVKAQNEGSARVRGGANESEIGLPSFNNENISRYGESNITTLNMIASRLEARVARIERVVAELKAAGKFERNPIKEDS >EOY13504 pep chromosome:Theobroma_cacao_20110822:7:8959141:8965142:1 gene:TCM_032110 transcript:EOY13504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive channel of small conductance-like 6, putative MAVDSADRKEVILKINDRGNESNIPAAAAAATATGGGKIWRESSYDFWKDSEKISSNWKKENANMNGTGSSANNSSRESEGFDFMRSKQAAIEDPPSKLIGQFLHKQKASGEISLDMDLEMDELQQEPPHHGTLLPTVAESPSPSAAAVPRVSFENNAVRRRQSKGSASPGKEESRDSKEGDGVVKCSSNSSFKRSEGGSFQRKSSLLATKTKSRLMDPPTPEKGEPRSAKATVGKSGQIMRSGFLGKSMEEEEDDPLLEEDLPDEYKKDKLSVLVLLEWLSLILIVAAFVCSLTIPYLRAKRLWSLMLWKWEVLVLVLICGRLVSGWIIRIIVFFIERNFLLRKRVLYFVYGVRKAVQNCLWLGLVLIAWHYLFDKKVQRETKSEFLRYVTKVLVCLVVGVMLWLVKTLLVKVLASSFHVSTYFDRIQDSLFNQYVVETLSGPPLIEIQRAEEEEERIANEVKNLQKAGATIPPGLKTSTLSSPHSGKVIGSGRIQKSPRGKSPMLSRMLSSEKGEKDKKGITIDHLHKLNHKNVSAWNMKRLMNIIRHGALSTLDEQIQDSTHEDESATQIRSEYEAKVAARKIFQNVAKPGSKYIYLEDIERFLQEDEAFKTMSLFEGASESRRISKKALKNWVVNAFRERRALALTLNDTKTAVNRLHRMVNVLVGIIIVVIWLLILGIASSKVLVFISSQLLLVAFIFGNTCKTVFEAIIFLFVMHPFDVGDRCEIDGVQMVVEEMNILTTVFLRYDNQKIIIPNSVLATKAINNYYRSPDMGDAVEFCIHVKTPAEKIGLMKQRILSYIEHKSDHWYPAPMIIFKELEELNRVRIAIWLTHRMNHQDMGERWARRALLVEEMVKIFNDLDIKYRLYPIDINVCSMPPVASDRLPPKWTGPAS >EOY12293 pep chromosome:Theobroma_cacao_20110822:7:1584242:1590816:1 gene:TCM_030837 transcript:EOY12293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase A1 MGRIVKTTTVTLFLCLLLFPIVFSISNERLVRIGLKKRKFDQNYRLAAHLDSKEREAFRASLKKYRLQGNLQESEDIDIVALKNYLDAQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSSKCYFSIACYLHSRYKSSRSSTYKANGKPADIQYGTGAISGFFSEDNVQVGDLVVKNQEFIEATREPSITFLVAKFDGILGLGFQEISVGNAVPVWYNMVNQGLVKEPVFSFWFNRDPEDDIGGEVVFGGMDPKHFKGDHTYVPITRKGYWQFDMGDVLIGNQTTGLCAGGCSAIADSGTSLITGPTAIIAQVNHAIGASGVVSQECKTVVSQYGETIIDMLLSKDQPLKICSQIGLCTFDGTRGVSTGIESVVHENAGKATGDLHDAMCSTCEMTVIWMQNQLKQNQTQERILEYINELCDRLPSPMGESAVDCSSLSTMPNVSFTIGGKIFELSPEQYVLKVGEGDVAQCLSGFTALDVPPPRGPLWILGDVFMGQFHTVFDYGNLQVGFAEAA >EOY13891 pep chromosome:Theobroma_cacao_20110822:7:12685947:12694215:-1 gene:TCM_032617 transcript:EOY13891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASTDSIISISSIRKGSAHPCASRRTLQVTEKDNEWFIEIRDAFNPDLESDYEMPMPVCICLVPKPLVHVKPGAYTPQLIALGLYNHFQPELYEMEHYKLAAVKRAITPVFQLSVFENLIEEIVTQVPYICKCSRGLTAPNHDIISWIMAVDGLFLLDLLNTFTNRGEISQQSTGKKQPEAYTLLKGVLKLENQIPMFVLKMILEKIYYDFPGQLFQIDKNVRFSACSVVVGYGGTSATVAIATLHYPLVLEEI >EOY12173 pep chromosome:Theobroma_cacao_20110822:7:1194699:1197174:-1 gene:TCM_030751 transcript:EOY12173 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein MPERESSCVGLNEPRLVVRKFLARPQHEGVGAIVRRSIGRFELRHFDPFLVLDEFSVTAPAGFPDHPHRGFETVTYMLQGALTHEDFDGHKGTIGPGDIQWMTAGRGIVHSEMPAAQGTQKGLQLWINLSSKNKMIEPRYQEISSKDIAEATKDGIKVRVVAGEALGSKSPIYTRTPTMYLDFTLEPGAHLQQPVPESWNAFVYVLEGEGTFGRLKSSPVNAHHLLLLGSGDGLEAWNKSSKLLRFILVGGEPLCEPLVQFGPFVMNTQEEIDQTIDDFENYTNGFEKARHWRSESGLTLDF >EOY12722 pep chromosome:Theobroma_cacao_20110822:7:3706337:3711214:1 gene:TCM_031247 transcript:EOY12722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPQRSKLKSSYVFDRSKFISINALVRYHTSLINKVSISKKRLNIPLIHYEEIHQMIEEQHLERCCELLNLRLCLW >EOY12751 pep chromosome:Theobroma_cacao_20110822:7:4029745:4030233:1 gene:TCM_031286 transcript:EOY12751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ccd1, putative MELDIAVDFEDYFPSMVERLGAEGFIGELCNGFRLLMDCERGLITFESLKRNSILLGLNDMRDDEIVCMLTEGDLDGDGALNQVEFCILMFRLSPGLMDGSKQWVEDYDVI >EOY13343 pep chromosome:Theobroma_cacao_20110822:7:7627047:7636987:-1 gene:TCM_031886 transcript:EOY13343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.17 MASNSLDELLFKQDLILSKFKDFGGLVNLHLVTKKDYLVGSYDIVREGLWQMDIPTGWASPTVLLIFTPPIALYVKVYGRWTDQLGLQKAAAYGKKTTTPLNWWWRHGLCSQKQQQGKDSLEMETDKYLKIMEELTSNAHEIQEKLLEEILKRNAGTEYLKGYLHGQADKKLFKENVPISTYEDSMPYIDRIANGESSDILLADPIKFLCNYTGTTGGKRKLIPYTAESLDKMCLQQILAEAVMGKYFDGLDKGKGMYFFFASPEVETPCGLMESSYATTYFKSSGFENSVAKICTSPVETILCLDSQQSMYCQLLIGLLQRDQVLRVGTIFASALVRSIKFLEDCWKELCFNIRTGRASDWITDAGCRNALSSVLTEPNPELADSIERACGGQSWQGIVKKLFPKTKYTGVIVTGSMSQYIKILDFYCGGLPIVSGYYSCSEAVCGINLKPLSKASEVSYTFLPNMAYFEFLPVKEDNEKLTQESRETENKNEDIEPVDLVNVKLGQCYELLVTTLTGLYRYNVGDVLMATGFHNNAPQFRFIERKNVALNLEFEKTTETDLSRAVTDAEVLLEPLGFLLIGHTSYADTSSKPGHYVLFWELKIPGTSDMPKLDPKIMEQCCSTVEKSLNFQYGIHRKYGVIGPLEIRVVKYGTFDALMDFYVSRGASITQYKTPCCIKLEDAINVLDSKVVGRYFSPNTLY >EOY13279 pep chromosome:Theobroma_cacao_20110822:7:7006563:7011665:-1 gene:TCM_031801 transcript:EOY13279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFCFLFAFLLFEVNSSSISLLLSPPPQLCPPEHSSALIQFKNSLSSFPKMNNPSFPGSSECGDSYPKIEFWNQSTDCCSWEVVTCHSMTGSVISLDLSCSSLEGSLPSNSSLFLLQDL >EOY13113 pep chromosome:Theobroma_cacao_20110822:7:6012650:6016013:1 gene:TCM_031641 transcript:EOY13113 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR class disease resistance protein MEELGKNMVRHCGGMPLAIVVLGGILATKNSLNEWQLVSKNVKSYLKRGKGQGVEDVLELSYDDLPPYLRSCFLYLSHFPEDCEISAKRLIQLWIAEGIVLSNQNKGNGEEIVEDVAENYLIELAERCMIQVGERDALLKIKTLHVHDLMRDLCLLKAKQENFLCIIDHSSGNQFRKDISSSTIRGVHRVAAHVFPQVQCIKNPHLRSFLFFFEVWMHQTNALTDPRMIKRVNYILEHKENLDLVSCIFILWTKYILWRSWTYMFNNFKFLRVLYFEGRVDDAGIKLPCDIGNLIHLRFLCLKDLSFIMPKLPASLGSLRCLQTLDLRVQRIVYLNGDVGGPIHVPNVIWRMKQLRHLYLPQHCDPKTKLKLCTLRNLLTLVNFNTRNCYVGDLHNMMNLRDLQIFGSFHIEDFEDLGKNPAILGNNNILRSLTLTIESIEIPDPRHLTHLIFGCANIYELRLVLKIGKLPEYHHFSSNIASIYLRICNLVEDPMPTLGRLPNLRILKVREDAFTGKEMACSAQSFVKLDFLSIYRLHNLEEWKVDEGAMPALRHLEIFECKNLKMLPNGLRFITNLRKLEIGWVPKAFKDKLVEGGEDFYKVQHIPSIEFHRCG >EOY13476 pep chromosome:Theobroma_cacao_20110822:7:8705863:8708581:1 gene:TCM_032076 transcript:EOY13476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 37 MGDGFHRFCWISFSWIMILCIHQVRLSEPQLHGSCDFFRGSWVFDESYPLYNTSACPFIEKEFDCQENGRPDKLYLKYRWKPTDCMLPRFNGRGFLRKLKGKKILFIGDSLSLNQWQSLTCMLHAAVPQSNYIINRKRDLSTFSLPDYGVSLMLSRNAFLVDLVKDKRGTVLKLDSIENGKLWKGYDLLIFNSWHWWNHKGRKQPWDFIESKGKVKKDMDRLVAFKEGLTTWSKWVDSNVNTTTTQVFFQGISPTHFNGKEWNGTKLSTCMGQTKPATASIYAGGSPPPAVAIVKEVLRNMSTPVVLLDITGLSQLRKDGHPSIYTGLKGNDCSHWCLAGVPDTWNQLLYAILTTGEA >EOY20310 pep supercontig:Theobroma_cacao_20110822:scaffold_112:7633:13155:-1 gene:TCM_046205 transcript:EOY20310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like family protein isoform 2 MASPTDPPHQQLHSNLTNFLQTTTTSILSLLSTPKTTPHLPPSKISPPFLFADSPLHLSPSAFESTQPDSVSSKSAIKGVSSPESSSGFPSTVRIAGLTSSGKAGGPAFVGQVFSMCDLSGTGLMAVSTHFDIPFISKRTPEWLKKIFANITKSERNGPVFRFFMDLGDAVTYVKHLNIPSGVVGACRLDLAYEHFKEKPHLFQFVPNEKQVKAANKLLKAIPQDGERRKVVGVPVFGARNLDIAIATTDGIKWYTPYFFDKNMLDNILEESVDQHFHALIQTRHMQRRRDVIDDNLAAEVIEEIGDSMWEPPEVQEVLDEIGHPAIPLSVISKAAEIQLLNAVDKVLLGNRWLRKATGIQPQFPYMVDSFERRSAASFLRASESAACLSNPETESSTSELKLVDDVKADNGQRQDFRFPFGDRFSHPWLKGEGKPKQRMESPSKESKKQNFQANPFLPKITMVGISAGDGQMSKSSLKKTMEDLTRELERTDQGNTTDSDSDDLKVEERDPLFVANVGDYYSGLAKTGSARWVRGGNN >EOY20309 pep supercontig:Theobroma_cacao_20110822:scaffold_112:7620:17251:-1 gene:TCM_046205 transcript:EOY20309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like family protein isoform 2 MASPTDPPHQQLHSNLTNFLQTTTTSILSLLSTPKTTPHLPPSKISPPFLFADSPLHLSPSAFESTQPDSVSSKSAIKGVSSPESSSGFPSTVRIAGLTSSGKAGGPAFVGQVFSMCDLSGTGLMAVSTHFDIPFISKRTPEWLKKIFANITKSERNGPVFRFFMDLGDAVTYVKHLNIPSGVVGACRLDLAYEHFKEKPHLFQFVPNEKQVKAANKLLKAIPQDGERRKVVGVPVFGARNLDIAIATTDGIKWYTPYFFDKNMLDNILEESVDQHFHALIQTRHMQRRRDVIDDNLAAEVIEEIGDSMWEPPEVQEVLDEIGHPAIPLSVISKAAEIQLLNAVDKVLLGNRWLRKATGIQPQFPYMVDSFERRSAASFLRASESAACLSNPETESSTSELKLVDDVKADNGQRQDFRFPFGDRFSHPWLKGEGKPKQRSEARMESPSKESKKQNFQANPFLPKITMVGISAGDGQMSKSSLKKTMEDLTRELERTDQGNTTDSDSDDLKVEERDPLFVANVGDYYSGLAKTGSARWVRGGNN >EOY20308 pep supercontig:Theobroma_cacao_20110822:scaffold_112:1:4171:-1 gene:TCM_046204 transcript:EOY20308 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 27, putative MTPEPLFAVKPSVKSQRFHSSNSLWKQRTPDHHPPRKMMNDGPTKSRRQVPRGVYSHGNDSPTAREVHHFSDEDACSVPNNCFCIEFPYRREDPKRKRRVKIGFLKVSRLIGVLEMAEDWDLYAVVRSCSSAANTTATASNNFTNENGSSCREDPLACLASLKFEEEDDLFSFPNLSQLRKSGSLQDSYKPFLPYADPTTTSTNQGIDPSSSSSVPGGSSGQHHQHQRLKQQQEQPTTTSIGISPPLTPTSAPIFAFGQSGNQQPPQHVQEQPQPQQQNPLHRQHQLQQRVQQQEGQRPAAILPLRNMNSQAPRSRKRKNQQKRTVCHVAVDNLSSDPWAWRKYGQKPIKGSPYPRNYYRCSSSKGCAARKQVERSNFDPNIFIVTYTGDHTHPRPTHRNSLAGSTRNKLSTIQKAASKDSAPEALPSASCSSPRSATSLSPTTPLSAPEDAAAPQHNTDGINGGEEESVDMTLETALDGESDEDDDPLIPNDHVDEDLFKGLEELVGGADAGSTSSGLGTSPAFGDDFPSWGSGNSTAAAGGGC >EOX94185 pep chromosome:Theobroma_cacao_20110822:1:24918285:24921353:-1 gene:TCM_003618 transcript:EOX94185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATPADPQDQPDPNENPNPAIPQQQEESSKTLILETLQAQDKCNDQEDPIEEEANQDDPDLPVPSSPPNTDLQVTTATTVSVGPRRGCGTKRKKTATKRRAQEKKSQKKLEILTETLKPIPFIPNKTLDFSSHEKLLKRLGLWDFVHLDFDGNVRSDLIGQLIATYNSQSRCSYVNGCRIGVNRADLARALKLSVKKEKDKDSIVEVKESKESIGFVEEFVSNWVLLHEDTWMMPVEVLNWTKMIKEGHFEKVDWAGLIWFMVEKELMAAPKLGNCYYASHMQCLIKFQREELLQEEPKMDVDDAKEEEEEPNIHGDVKIAADGIDEFNGGSHLEEHNIELSLGGQDNSMNKDDVKDDVEKEAVGDDDAMNCEESKRDEHQHLQWDLDGDSYMGVGEDHFLRKCNLGDVGAVDLDEEKKEDKREEEEREDEEEMGEGEEGQDEEEEEEQEPHHEEGFPISPKGDTLEGVNSTNLLEAMETPDLPFAAGLHIRDSSSGEFLVSRGDRQTVPGVSSFLSNGNKREIGHDNDFSHHSLNGSNKRLRTDGQWVDKSSDFDMCMEQMQHWIGKARMLYAAKEQACGDSSMHQQMLLDELQRRESIIEHLHKAKYEEQHKRQVEVYRLERELYMMENLLDGYRKALKETNRAFAEYRACCPLPDEPLYKDVSGSGGLVLSTMELEKQRLKQEEEERLNRLLIEKKIKDFEEGWIGKFDLHEDAVSLLGKRLINAEKDVKLLKERSANLKVSDALECVPNEL >EOX91260 pep chromosome:Theobroma_cacao_20110822:1:2081291:2082051:-1 gene:TCM_000507 transcript:EOX91260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFMIPKAQPYSFSGAAHKVMNVNNPEEKETETTNPVTSQLQIKSSARSSPEALDKEVVLRRLRHHKCKNKAKSAFQALVGSSAQAQEKWMELGDAFTCP >EOX92220 pep chromosome:Theobroma_cacao_20110822:1:5775521:5781567:1 gene:TCM_001200 transcript:EOX92220 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein isoform 1 MLGEEVPPPLELSKKRPRSASVRIVKRDSRSIKTKLSIGGTTEKIVSAFHLVLSEQPTEDEEMSKCKSAVHCVRKMEKDVDIACAIEKDPKRITLAKELEDEENLLKECIEKLKSAEASRAALVSQLKEALHEQESELENVRTQMQVAQAQAEEACNMRKRLNDEDYVSTSSATTILSIDANAKPGETPKRTAAAIAAEVADKLAASSSSQMIMHSVLSTFAAEEAKSAGLTKASSQSNSLTSVPVSSVTDAISKSEKSMPVADPNVFIPAQALVAPINHSYQSVVVPAPAMQSQTTSQAQYHMLPNVSSQQFLQPSGGTIYGSYGGMPPLPPGPPPPPPYMVSPMVPLTPQPLPITQQQSVPSAQQTPLTQQQLLPLTQQPPVPPSFRPLQPPGMVYYGHPPHS >EOX92219 pep chromosome:Theobroma_cacao_20110822:1:5773985:5779361:1 gene:TCM_001200 transcript:EOX92219 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein isoform 1 MQAIDSSRSSNISILCISSIWEIPFFSFSIAPCFCSISALGFNDPSLLQFGVFGGCGMNSVFSEQILAEKLSKLNSTQQCIETLSHWCIFHRSKAELVVATWDKQFRSSEMVQKVPLLYLANDILQNSKRKGNEFVNEFWKVLPAALKDLLEKGDDRGKNVVSRLVGIWEERRVFGSRARSLKDVMLGEEVPPPLELSKKRPRSASVRIVKRDSRSIKTKLSIGGTTEKIVSAFHLVLSEQPTEDEEMSKCKSAVHCVRKMEKDVDIACAIEKDPKRITLAKELEDEENLLKECIEKLKSAEASRAALVSQLKEALHEQESELENVRTQMQVAQAQAEEACNMRKRLNDEDYVSTSSATTILSIDANAKPGETPKRTAAAIAAEVADKLAASSSSQMIMHSVLSTFAAEEAKSAGLTKASSQSNSLTSVPVSSVTDAISKSEKSMPVADPNVFIPAQALVAPINHSYQSVVVPAPAMQSQTTSQAQYHMLPNVSSQQFLQPSGGTIYGSYGGMPPLPPGPPPPPPYMVSPMVPLTPQPLPITQQQSVPSAQQTPLTQQQLLPLTQQPPVPPSFRPLQPPGMVYYGHPPHS >EOX95109 pep chromosome:Theobroma_cacao_20110822:1:32898775:32904175:-1 gene:TCM_004671 transcript:EOX95109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) polymerase isoform 3 MVQRLEEALLKEKKKEEENKENDANNAIENNKKRRGSESNHNNNDDEDSKKIKAVEEFREMNVKQLREQATLRGLSTVGTRKELIERLCEDAEKNSLDEVFKEEEEKEKDSGKEEKIVTATKKGAAVLDQGIPDHTKAHYHVLQQGDNIYDAMLNQTNVGHNNNKFYVIQLLESDDWKTYMVYNRWGRVGVKGQTKLHGPFTSQQAAIVEFESKFFNKTNNYWSNRKDFVCYPRCYAWLEMDYDEKEKESDLEEKTGSSVGAQLRETKLEQRIAKFISLICNISMMKQQMMEIGYNADKLPLGKLSKSTILRGYDVLKRIADVIGQSNRSKLEQLSSEFYTVIPHDFGFKKMRDFVIDTPQKLKKKLEMVEALGEIEVATKLLTDDTMMEEDPLHYHYQQLHCELIPLDDDSEEFAMIAKYSRNTHAKTHSQYTVDIVQIFKVAREGEIECFKKFSATKNRMLLWHGSRLTNWTGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYTSSSFTTGVLLLCEVALGDMAELLQAKSDADKLPDGKLRFVKFYVND >EOX95111 pep chromosome:Theobroma_cacao_20110822:1:32897946:32904264:-1 gene:TCM_004671 transcript:EOX95111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) polymerase isoform 3 MVQRLEEALLKEKKKEEENKENDANNAIENNKKRRGSESNHNNNDDEDSKKIKAVEEFREMNVKQLREQATLRGLSTVGTRKELIERLCEDAEKNSLDEVFKEEEEKEKDSGKEEKIVTATKKGAAVLDQGIPDHTKAHYHVLQQGDNIYDAMLNQTNVGHNNNKFYVIQLLESDDWKTYMVYNRWGRVGVKGQTKLHGPFTSQQAAIVEFESKFFNKTNNYWSNRKDFVCYPRCYAWLEMDYDEKEKESDLEEKTGSSVGAQLRETKLEQRIAKFISLICNISMMKQQMMEIGYNADKLPLGKLSKSTILRGYDVLKRIADVIGQSNRSKLEQLSSEFYTVIPHDFGFKKMRDFVIDTPQKLKKKLEMVEALGEIEVATKLLTDDTMMEEDPLHYHYQQLHCELIPLDDDSEEFAMIAKYSRNTHAKTHSQYTVDIVQIFKVAREGEIECFKKFSATKNRMLLWHGSRLTNWTGILSQGGALWYNEYIVYNVDQIRMRYLVQVNFKYTK >EOX95107 pep chromosome:Theobroma_cacao_20110822:1:32895620:32904153:-1 gene:TCM_004671 transcript:EOX95107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) polymerase isoform 3 MASKLKVDQLRIELAKRGLDTNGTKPFLVQRLEEALLKEKKKEEENKENDANNAIENNKKRRGSESNHNNNDDEDSKKIKAVEEFREMNVKQLREQATLRGLSTVGTRKELIERLCEDAEKNSLDEVFKEEEEKEKDSGKEEKIVTATKKGAAVLDQGIPDHTKAHYHVLQQGDNIYDAMLNQTNVGHNNNKFYVIQLLESDDWKTYMVYNRWGRVGVKGQTKLHGPFTSQQAAIVEFESKFFNKTNNYWSNRKDFVCYPRCYAWLEMDYDEKEKESDLEEKTGSSVGAQLRETKLEQRIAKFISLICNISMMKQQMMEIGYNADKLPLGKLSKSTILRGYDVLKRIADVIGQSNRSKLEQLSSEFYTVIPHDFGFKKMRDFVIDTPQKLKKKLEMVEALGEIEVATKLLTDDTMMEEDPLHYHYQQLHCELIPLDDDSEEFAMIAKYSRNTHAKTHSQYTVDIVQIFKVAREGEIECFKKFSATKNRMLLWHGSRLTNWTGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYTSSSFTTGVLLLCEVALGDMAELLQAKSDADKLPDGKLSTKGVGATAPDPSEAQTLDDGVIVPLGKPKEQKREGALWYNEYIVYNVDQIRMRYLVQVNFKYTK >EOX95110 pep chromosome:Theobroma_cacao_20110822:1:32898352:32904264:-1 gene:TCM_004671 transcript:EOX95110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) polymerase isoform 3 MVQRLEEALLKEKKKEEENKENDANNAIENNKKRRGSESNHNNNDDEDSKKIKAVEEFREMNVKQLREQATLRGLSTVGTRKELIERLCEDAEKNSLDEVFKEEEEKEKDSGKEEKIVTATKKGAAVLDQGIPDHTKAHYHVLQQGDNIYDAMLNQTNVGHNNNKFYVIQLLESDDWKTYMVYNRWGRVGVKGQTKLHGPFTSQQAAIVEFESKFFNKTNNYWSNRKDFVCYPRCYAWLEMDYDEKEKESDLEEKTGSSVGAQLRETKLEQRIAKFISLICNISMMKQQMMEIGYNADKLPLGKLSKSTILRGYDVLKRIADVIGQSNRSKLEQLSSEFYTVIPHDFGFKKMRDFVIDTPQKLKKKLEMVEALGEIEVATKLLTDDTMMEEDPLHYHYQQLHCELIPLDDDSEEFAMIAKYSRNTHAKTHSQYTVDIVQIFKVAREGEIECFKKVPLP >EOX95108 pep chromosome:Theobroma_cacao_20110822:1:32898352:32904264:-1 gene:TCM_004671 transcript:EOX95108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) polymerase isoform 3 MVQRLEEALLKEKKKEEENKENDANNAIENNKKRRGSESNHNNNDDEDSKKIKAVEEFREMNVKQLREQATLRGLSTVGTRKELIERLCEDAEKNSLDEVFKEEEEKEKDSGKEEKIVTATKKGAAVLDQGIPDHTKAHYHVLQQGDNIYDAMLNQTNVGHNNNKFYVIQLLESDDWKTYMVYNRWGRVGVKGQTKLHGPFTSQQAAIVEFESKFFNKTNNYWSNRKDFVCYPRCYAWLEMDYDEKEKESDLEEKTGSSVGAQLRETKLEQRIAKFISLICNISMMKQQMMEIGYNADKLPLGKLSKSTILRGYDVLKRIADVIGQSNRSKLEQLSSEFYTVIPHDFGFKKMRDFVIDTPQKLKKKLEMVEALGEIEVATKLLTDDTMMEEDPLHYHYQQLHCELIPLDDDSEEFAMIAKYSRNTHAKTHSQYTVDIVQIFKVAREGEIECFKKFSATKNRMLLWHGSRLTNWTGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYTSSSFTTGVLLLCEVALGDMAELLQAKSDADKLPDGKLSTKGVGATAPDPSEAQTLDDGVIVPLGKPKEQKRCFMVQ >EOX95834 pep chromosome:Theobroma_cacao_20110822:1:35722973:35728865:1 gene:TCM_005238 transcript:EOX95834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane bound O-acyl transferase (MBOAT) family protein, putative MWNMPVHKWMACHIYFPCLRNGTPKRAAIVIAFLVSAVFHELCIAVPCHMYKLWAFIGIMFQVPLVLITNYLQNKFVSSMVGKYDFLVHFQHSWSANVCASILQMNGKGNAD >EOX92143 pep chromosome:Theobroma_cacao_20110822:1:5436615:5440209:1 gene:TCM_001137 transcript:EOX92143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein, putative MSISRFILFATKNRSPTTPNLLQRFDPSKRIQSCGYAKSAKNNYGKPTGHPVINVETQASRPWSAYVVSAAFLGFAGLVAFVHYNDERRAVAIGQGRRSGCDAAIGPIIGGPFTLVNTENQVVNEQDFLGNWVLLYFGYTSSPDVGPDQVQIMVNAIDTLESKQNVKVLPVFVTIDPQRDTPAQLRAYLKEFNSKIVGLTGPVSAVRQMAQEYRVYFKKVEEEGDDYLVESSHNMYLIDPKMKVVRCFGVEYNAEELSKEILRELQK >EOX95482 pep chromosome:Theobroma_cacao_20110822:1:34372667:34375072:1 gene:TCM_004965 transcript:EOX95482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 55 MGRHSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQEEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLRQRGIDPVTHKPLSEVENGEDKSQQTNSRDKASGASSELNLNTDNLKPGVTLHEQRPTSVTAHGYQLEMEGSPSSKTMNSSNNNSNNNNLMTSTASKDFFLDRFAATHHESTTTNSQPSDLVGHLPIQQLNYASNARLSSTSNPTLWFTQTSKAFDINSEFSSSAMSTLLPPLTSSFLSAPVGFKPSVSVSSDSPSMPSFTVNGSRYWETGASANNSNSSSSTELQSNSSFFENSFSWGLADCSTSEKEAPIPLMESQAEEIKWPEYLNNPLLVAAALQNQTPQSLYNIEIKSETHFLTNSSNSMWPHSQQQQEPLQNSDMCAKDIQRLTATYGHI >EOX93715 pep chromosome:Theobroma_cacao_20110822:1:15380701:15395587:-1 gene:TCM_002642 transcript:EOX93715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MICLEEFNWGPNPFRFYNHWLEEHSFSDMFLEAWHETKRFIGKSKAIWLRLRGIKQPIRKQLLDCAFIANEMIDSIKKNSKCGIFLKVDFEKAFDSFSWDFLDFAKKTMGFENKWRMWVRSCATTAIVFVLVNGSPSKEVHMKKRLCQRCPLLLLLFNSVVEVFSAMLFKVADLQPIKGIEVGSLEVNVTYLQYVDDMPLIQKLESKLAAWKAKVLYICGRVTLLRSVMNNMPLFFMSLFQKSVKVKKPIENIQKRFLWGGPNLVRRLHHVKWANVCNYKDFGGLWMIELGLKNRVFLNKWLWRYALLRVLTATIKPLPCGKIQPSLFMGDVSMAILFMRAPISFWVMVVKSTFSKTEWIECFILKYVFPRVFVLAL >EOX93446 pep chromosome:Theobroma_cacao_20110822:1:13147004:13147874:-1 gene:TCM_002321 transcript:EOX93446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLAELEGTTSHVMYARLMFAYHPPQPVWDIGASALHCTAAVDTLSIWHVLLLHYPKPNNKKMQRREPDTLRGLV >EOX93618 pep chromosome:Theobroma_cacao_20110822:1:14377769:14381552:-1 gene:TCM_002508 transcript:EOX93618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNCNPKFDNMGKFYCPYCWYKRELVRTKELRRKAMLARKELSNFICLKRDGGNEEMQVDETETMKAASVSTMAGKINTGDSENGLNDKNNERIHHDQEETPGVESISKSDEERNSRARGSENFGDGERIQDEDIENASDSEDDEIDEDQWQIQPISSSHLEIEKGALPVSTKETSDNVGVLEENKEEPVLPNAVGTTMALITSDCTSKVPAIESFEFVLPDLNTETLVVRQKRVKRTAQKEWPQKVDSPKMPSSEPSTSAKDKKMNQQGKATAAKNSVQCQELNKRFVSSKLGTEKRRRLHWTAEEEDMLKEGVRRFSSIVNKNIPWRKILEFGHHVFHSTRTPVDLKDKWKNIIAKEAPK >EOX93616 pep chromosome:Theobroma_cacao_20110822:1:14378781:14381447:-1 gene:TCM_002508 transcript:EOX93616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGTKSRGVKSRPCNSIPPSNPSLISPPLLHQDEANEEYRVDGTDCGASEGAGSSQDNDNNDDDVVVPDSVEEVDRCAGENHGAGPSRECIFVDWLEQESCIRCNSRTGQVLVCSENGCPVTIHEVCMNCNPKFDNMGKFYCPYCWYKRELVRTKELRRKAMLARKELSNFICLKRDGGNEEMQVDETETMKAASVSTMAGKINTGDSENGLNDKNNERIHHDQEETPGVESISKSDEERNSRARGSENFGDGERIQDEDIENASDSEDDEIDEDQWQIQPISSSHLEIEKGALPVSTKETSDNVGVLEENKEEPVLPNAVGTTMALITSDCTSKVPAIESFEFVLPDLNTETLVVRQKRVKRTAQKEWPQKVDSPKMPSSEPSTSAKDKKMNQQGKATAAKNSVQCQELNKRFVSSKLGTEKRRRLHWTAEEEDMLKEGVRRFSSIVNKNIPWRKILEFGHHVFHSTRTPVDLKDKWKNIIAKEAPK >EOX93614 pep chromosome:Theobroma_cacao_20110822:1:14377906:14381610:-1 gene:TCM_002508 transcript:EOX93614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGTKSRGVKSRPCNSIPPSNPSLISPPLLHQDEANEEYRVDGTDCGASEGAGSSQDNDNNDDDVVVPDSVEEVDRCAGENHGAGPSRECIFVDWLEQESCIRCNSRTGQVLVCSENGCPVTIHEVCMNCNPKFDNMGKFYCPYCWYKRELVRTKELRRKAMLARKELSNFICLKRDGGNEEMQVDETETMKAASVSTMAGKINTGDSENGLNDKNNERIHHDQEETPGVESISKSDEERNSRARGSENFGDGERIQDEDIENASDSEDDEIDEDQWQIQPISSSHLEIEKGALPVSTKETSDNVGVLEENKEEPVLPNAVGTTMALITSDCTSKVPAIESFEFVLPDLNTETLVVRQKRVKRTAQKEWPQKVDSPKMPSSEPSTSAKDKKMNQQGKATAAKNSVQCQELNKRFYYYSKITLYFHLTCSVSSKLGTEKRRRLHWTAEEEDMLKEGVRRFSSIVNKNIPWRKILEFGHHVFHSTRTPVDLKDKWKNIIAKEAPK >EOX93617 pep chromosome:Theobroma_cacao_20110822:1:14377769:14381756:-1 gene:TCM_002508 transcript:EOX93617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNCNPKFDNMGKFYCPYCWYKRELVRTKELRRKAMLARKELSNFICLKRDGGNEEMQVDETETMKAASVSTMAGKINTGDSENGLNDKNNERIHHDQEETPGVESISKSDEERNSRARGSENFGDGERIQDEDIENASDSEDDEIDEDQWQIQPISSSHLEIEKGALPVSTKETSDNVGVLEENKEEPVLPNAVGTTMALITSDCTSKVPAIESFEFVLPDLNTETLVVRQKRVKRTAQKEWPQKVDSPKMPSSEPSTSAKDKKMNQQGKATAAKNSVQCQELNKRFVSSKLGTEKRRRLHWTAEEEDMLKEGVRRFSSIVNKNIPWRKILEFGHHVFHSTRTPVDLKDKWKNIIAKEAPK >EOX93615 pep chromosome:Theobroma_cacao_20110822:1:14377823:14381579:-1 gene:TCM_002508 transcript:EOX93615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGTKSRGVKSRPCNSIPPSNPSLISPPLLHQDEANEEYRVDGTDCGASEGAGSSQDNDNNDDDVVVPDSVEEVDRCAGENHGAGPSRECIFVDWLEQESCIRCNSRTGQVLVCSENGCPVTIHEVCMNCNPKFDNMGKFYCPYCWYKRELVRTKELRRKAMLARKELSNFICLKRDGGNEEMQVDETETMKAASVSTMAGKINTGDSENGLNDKNNERIHHDQEETPGVESISKSDEERNSRARGSENFGDGERIQDEDIENASDSEDDEIDEDQWQIQPISSSHLEIEKGALPVSTKETSDNVGVLEENKEEPVLPNAVGTTMALITSDCTSKVPAIESFEFVLPDLNTETLVVRQKRVKRTAQKEWPQKVDSPKMPSSEPSTSAKDKKMNQQGKATAAKNSVQCQELNKRFVSSKLGTEKRRRLHWTAEEEDMLKEGVRRFSSIVNKNIPWRKILEFGHHVFHSTRTPVDLKDKWKNIIAKEAPK >EOX92686 pep chromosome:Theobroma_cacao_20110822:1:8103367:8105236:1 gene:TCM_001595 transcript:EOX92686 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain transcriptional regulator superfamily protein MKGELELPPGFRFHPTDDELVNHYLCRKCASQPIAVPIIAEIDLYKFDPWQLPDMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPIGKPKPLGIKKALVFYAGKAPRGVKTNWIMHEYRLANVDRTAGKKSGNLRLDDWVLCRIYNKKGAIEKHFPSQQKWLSYPEMEDQKPNILMNGQYMTTLSQQPSLMSTMMNDLLPTDDGSDSVPRLHTDSSSSGQVLSPETTCEKEVQSEPKWNELSSALDFQFNYMDGFQDDPFASQVQYQMDQLSPLQDMFAFLQKPF >EOX90923 pep chromosome:Theobroma_cacao_20110822:1:1061386:1064360:-1 gene:TCM_000261 transcript:EOX90923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGNLLPGDQGKLIAFKIDWGGAAMPTVSVDSWCGKSQHEVATNAKAKSHQEISQNKERGFVVGLDWAREVCCCRARRPTTSWT >EOX95095 pep chromosome:Theobroma_cacao_20110822:1:32859994:32861519:1 gene:TCM_004661 transcript:EOX95095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L22e family protein isoform 5 MSRGVASGPKGKKKGASFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTLTRDKSKITVTSDANFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRTVYELRYFNIAENEGEEEE >EOX95093 pep chromosome:Theobroma_cacao_20110822:1:32859994:32861522:1 gene:TCM_004661 transcript:EOX95093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L22e family protein isoform 5 TSFGGPQNPRSTLTLTLLNAFLYIQNVEAAKLGGAKMSRGVASGPKGKKKGASFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTLTRDKSKITVTSDANFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRTVYELRYFNIAENEGEEEE >EOX95092 pep chromosome:Theobroma_cacao_20110822:1:32859994:32861519:1 gene:TCM_004661 transcript:EOX95092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L22e family protein isoform 5 MSRGVASGPKGKKKGASFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTLTRDKSKITVTSDANFSKRGRLRAFAFARYLKYLTKKYLKKHNVRDWLRVIASNKDRTVYELRYFNIAENEGEEEE >EOX95094 pep chromosome:Theobroma_cacao_20110822:1:32859940:32861769:1 gene:TCM_004661 transcript:EOX95094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L22e family protein isoform 5 KFSFYLKENKKKKAISKTTSFGGPQNPRSTLTLTLLNAFLYIQNVEAAKQLGGAKMSRGVASGPKGKKKGASFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTLTRDKSKITVTSDANFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRTVYELRYFNIAENEGEEEE >EOX95091 pep chromosome:Theobroma_cacao_20110822:1:32860190:32861280:1 gene:TCM_004661 transcript:EOX95091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L22e family protein isoform 5 MSRGVASGPKGKKKGASFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTLTRDKSKITVTSDANFSKRGRLRAFAFARYLKYLTKKYLKKHNVRDWLRVIASNKDRTVYELRYFNIAENEGEEEE >EOX90916 pep chromosome:Theobroma_cacao_20110822:1:1024013:1029486:1 gene:TCM_000254 transcript:EOX90916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane and coiled-coil domain-containing protein 4 isoform 1 MAAETKSFLAPTQRYAAGALFAIAVHQAQMHQTRPLGLPTEDDDPSSEERMSSSSSSDSVSEDPELWVHGNSGLLRPIFRFLDIDSAAWLGLEETAGSSPAKHHVGAFLRLLAEESEDGSSERLDQEVALSKAVDTMTLGMETNSESSKVKKEKHREYEHEYREKYSTAEVPSNSEVANRQIETPQESNTYVHCGNDELHVLGGSLDEKPAEEVGMLSYQRKVTVLYELLSACLAAADTREDNKRYTRRRKGYDARHRVALRLLATWFDVEWIKMEAVEMMVAFSAMAIVKEKEAKEEESQSSEGKWAKWKRRGIIGAAAITGGTLMAITGGLAAPAIAAGFSALAPTLGTIIPVIGASGFAAAASAAGTVAGSVAVAASFGAAGAGLTGTKMARRIGSVDEFEFKAIGDDHNQGRLAVEILISGFVFDKEDFIRPWEGRIDNMERFVLQWESKNLIAVSTAIQDWLTSRIATELMRQGAMMTVLSTLLTALAWPAALLAATDFIDSTWSIAVNRTDKAGRLLAEVLLKGYQGNRPVTLIGYSLGARVIFKCLQALSETEQNAELVERVVLLGAPISIKGENWEAARKMVAGRFINAYSTNDWMLGVAFRASLLTQGLAGIQPVGLQGIENVNVTDLIEGHSSYLWATQQILDRLELDVYYPVFKSTLHE >EOX90915 pep chromosome:Theobroma_cacao_20110822:1:1023981:1029411:1 gene:TCM_000254 transcript:EOX90915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane and coiled-coil domain-containing protein 4 isoform 1 MAAETKSFLAPTQRYAAGALFAIAVHQAQMHQTRPLGLPTEDDDPSSEERMSSSSSSDSVSEDPELWVHGNSGLLRPIFRFLDIDSAAWLGLEETAGSSPAKHHVGAFLRLLAEESEDGSSERLDQEVALSKAVDTMTLGMETNSESSKVKKEKHREYEHEYREKYSTAEVPSNSEVANRQIETPQESNTYVHCGNDELHVLGGSLDEKPAEEVGMLSYQRKVTVLYELLSACLAAADTREDNKRYTRRRKGYDARHRVALRLLATWFDVEWIKMEAVEMMVAFSAMAIVKEKEAKEEESQSSEGKWAKWKRRGIIGAAAITGGTLMAITGGLAAPAIAAGFSALAPTLGTIIPVIGASGFAAAASAAGTVAGSVAVAASFGAAGAGLTGTKMARRIGSVDEFEFKAIGDDHNQGRLAVEILISGFVFDKEDFIRPWEGRIDNMERFVLQWESKNLIAVSTAIQDWLTSRIATELMRQGAMMTVLSTLLTALAWPAALLAATDFIDSTWSIAVNRTDKAGRLLAEVLLKGYQGNRPVTLIGYSLGARVIFKCLQALSETEQNAELVERVVLLGAPISIKGENWEAARKMVAGRFINAYSTNDWMLGVAFRASLLTQGLAGIQPVGLQGIENVNVTDLIEGHSSYLWATQQILDRLELDVYYPVFKSTLHE >EOX94963 pep chromosome:Theobroma_cacao_20110822:1:32211744:32212749:-1 gene:TCM_004551 transcript:EOX94963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MDKVMRLASEKGVVLFSKSSCCLCYAVKILFQELGVSPVVHEIDQDPEGRDMEKALTRLGCNAPVPAVFIGGKLVGSTNEVMSLHLSGGLIPLLKPYQLC >EOX94081 pep chromosome:Theobroma_cacao_20110822:1:20180676:20181316:1 gene:TCM_003181 transcript:EOX94081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like family protein, putative MAQAKVFSFVLLIVLIISIDVVEVASQGKCCKNHPSLGECVPGKDDNPETNGKCWVFCISDCEKGGFCKPMSGGHHECHCYC >EOX95061 pep chromosome:Theobroma_cacao_20110822:1:32768448:32776372:1 gene:TCM_004644 transcript:EOX95061 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 3 MVGSKASQLGGDLDHDHDDHHHQLIDGHAGDAAVPPSSEVSCSICLDLVSDSSGRSRAKLQCGHEFHLDCIGSAFNVKGAMQCPNCRKVEKGQWLYASGSSRSLPELSTEDWNLDDDYYDPGYSEMPFRVQWCPFGEFSRIGSSSEEVESPSTTYHEIHGHHAIFAEHAAASSVAHSYVAYVGPLPPTTLRSSDSVDDPNFNRHWNSLSGHNEIFIPHALPTISIQYHSWGQHPPNFSVSDSHISHTDPASVPAAALRSSNGELDALSRPRSFPHHFPFEHGSSSRAGSSFVSSVFPRHPGSSAHTHDRIQASLAFYRQQHRFNHPRFNRPGVPTPVVPGMTRGLTPVAPAVPQPDQGGSFYIYPPSSSSGQNLHEAESFFPSNYNALERERLSHFPTVSRDSGWGSYHPTSSADSGNRSRSFLHGHFA >EOX95063 pep chromosome:Theobroma_cacao_20110822:1:32768448:32776952:1 gene:TCM_004644 transcript:EOX95063 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 3 MVGSKASQLGGDLDHDHDDHHHQLIDGHAGDAAVPPSSEVSCSICLDLVSDSSGRSRAKLQCGHEFHLDCIGSAFNVKGAMQCPNCRKVEKGQWLYASGSSRSLPELSTEDWNLDDDYYDPGYSEMPFRVQWCPFGEFSRIGSSSEEVESPSTTYHEIHGHHAIFAEHAAASSVAHSYVAYVGPLPPTTLRSSDSVDDPNFNRHWNSLSGHNEIFIPHALPTISIQYHSWGQHPPNFSVSDSHISHTDPASVPAAALRSSNGELDALSRPRSFPHHFPFEHGSRAGSSFVSSVFPRHPGSSAHTHDRIQASLAFYRQQHRFNHPRFNRPGVPTPVVPGMTRGLTPVAPAVPQPDQGGSFYIYPPSSSSGQNLHEAESFFPSNYNALERERLSHFPTVSRDSGWGSYHPTSSADSGNRSRSFLHGHFA >EOX95062 pep chromosome:Theobroma_cacao_20110822:1:32772437:32776540:1 gene:TCM_004644 transcript:EOX95062 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 3 MVGSKASQLGGDLDHDHDDHHHQLIDGHAGDAAVPPSSEVSCSICLDLVSDSSGRSRAKLQCGHEFHLDCIGSAFNVKGAMQCPNCRKVEKGQWLYASGSSRSLPELSTEDWNLDDDYYDPGYSEMPFRVQWCPFGEFSRIGSSSEEVESPSTTSAALRSSNGELDALSRPRSFPHHFPFEHGSSSRAGSSFVSSVFPRHPGSSAHTHDRIQASLAFYRQQHRFNHPRFNRPGVPTPVVPGMTRGLTPVAPAVPQPDQGGSFYIYPPSSSSGQNLHEAESFFPSNYNALERERLSHFPTVSRDSGWGSYHPTSSADSGNRSRSFLHGHFA >EOX93303 pep chromosome:Theobroma_cacao_20110822:1:11971469:11978043:-1 gene:TCM_002146 transcript:EOX93303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A regulatory B subunit family protein, GAMMA MIKQILGKLPRKPSKSSQNDSNGDGGVNGNSSLNSSLGPNSLNNSKPGFASSKSSNSGSRLNNGTLISYSSSSNKSNQGKNTSPLASQAGHTLASGVYEALPSFRDVPSSEKQSLFLRKLSMCCVVFYFSDPSKNLKEKDIKRQTLLELVDYISSVTSKFNEVTMQEITRMVAANLFRTFPSPSHDSKLLEMYDLEEEEPAMDPAWPHLQIVYEFLLRFVASPETDAKLAKRYIDHSFVLKLLDLFDSDDQRERDYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSTYHQQLSYCITQFVEKDFKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQAAEFQRCMVPLFRQIGRCLNSSHFQVAERALFLWNNDHIRNLITQNRKVILPIIFPALERNTRGHWNQAVQSLTLNVRKIFSDADQSLFDECLARFQEDEAKEKEMLKKRELTWKRLEDVAASTAVSNEAVLVSRFVSSIAIATSTSPKATAGS >EOX92283 pep chromosome:Theobroma_cacao_20110822:1:6071111:6077480:1 gene:TCM_001252 transcript:EOX92283 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein-related isoform 1 MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLTPSSKGGGAAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVIVYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLTFRKKDKGGINFTSTVANTLLDLETVKAICSEYRIHNADITLRYDATADDLIDVIEGSRIYMPCIYVVNKIDQITLEELEILDKLPHYCPVSAHLEWNLDGLLDKIWEYLDLTRIYTKPKGMNPDYEDPVILSSKKRTVEDFCNRIHKDMLKQFK >EOX92284 pep chromosome:Theobroma_cacao_20110822:1:6071072:6076750:1 gene:TCM_001252 transcript:EOX92284 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein-related isoform 1 MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLTPSSKGGGAAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVIVYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLTFRKKDKGGINFTSTVANTLLDLETVKAICSEYRIHNADITLRYDATADDLIDVIEGSRIYMPCIYVVNKIDQITLEELEILDKLPHYCPVSAHLEWNLDGLLDKIWEYLDLTRIYTKPKGMNPDYEDPVILSSKKRTVEDFCNRIHKDMLKQFK >EOX92282 pep chromosome:Theobroma_cacao_20110822:1:6070999:6077453:1 gene:TCM_001252 transcript:EOX92282 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein-related isoform 1 MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLTPSSKGGGAAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVIVYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLTFRKKDKGGINFTSTVANTLLDLETVKAICSEYRIHNADITLRYDATADDLIDVIEGSRIYMPCIYVVNKIDQITLEELEILDKLPHYCPVSAHLEWNLDGLLDKIWEYLDLTRIYTKPKGMNPDYEDPVILSSKKRTVEDFCNRIHKDMLKQFKYALVWGSSVKHKPQRVGKDHELEDEDVVQIIKKV >EOX91993 pep chromosome:Theobroma_cacao_20110822:1:4817863:4824477:1 gene:TCM_001025 transcript:EOX91993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute protein group, putative MSGRGPGRDSGRGQGSPSLSRGGGNARGRGREASGGRGPFGPPPYSSYTPSPPQVAPTQPPPPSAPSTSTAPAYHPPSSSGAESLMREVSEKLTLEPEAATIAPPLPPSSSKAIRFSQRPGVGREGKKCYGRANHFLVKVADKDLHHYDVSITPEVTSKKMNRFIMQQFAELYKQSHLGGWCPAYDGGKSLYTAGALPFKSKEFLVTIIDEDQGRSSSSKARKEREYKVAVKLASKPDLHCLQEFLLGGHLEAPQETIQALDVVLRAKPSENYTVVGRSFFHPDLGGKGELGNGIDYWKGYYQSLRPTQMGLSLNIDVSARSFYEPLLVSDFVAKHFKYTSFSRPLSDQDCVKVKKALKGVKVRLTHMKYLAKTCKIVGISKLPIRELTFTLDDKKTNVSVVQYFLENHKIELKHASLPALQSGSEAKPVYFPMELCQIVEGQRYTKMLNDQQVRALLKATCKRPPDRERDIRMMVSNNNFNGEERVSEFGIHVGQELALVEARVLPAPVLKYHDTGPEKSVKPSKGARNMINKKMVNGGEVDNWTCVNYSSNYANISNDFCTRLVEMCNNKGMVFRHTAAVPIRSAHPFRIDQTLLDVYEESTSQKRPLKLLIIILPDQSGSYGKIKRICETELGIVSQCCKPKQPSKLSLQYFENLALKINVKVGGRNTLLNDSIEKTIPLVTDVPTIIFGADVTHPQPGEDSSPSIAAVVASIDWPEITKYRGIVSVQPHREEIIQDLYKTVQDPHKGVVHSGMIRELLIAFYKSTGRKPLRIISTDGVSEGQFSQVLLYEMDAIRKACASMEENYMPPVTFVVVQKRHHTRLFPTDTAPTDKSSNIVADNKCTVVDTMICHPTEFDFYLNSHAGIQGTSKPTHYRVLYDENKCTADSLQVLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARYYIEDETADSGSADARRNVRDRNVEVRPLPNIKENVKEVMFYC >EOX92431 pep chromosome:Theobroma_cacao_20110822:1:6810693:6815930:1 gene:TCM_001383 transcript:EOX92431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 2 MEPEAMSCLSEPLTTGDYILKSKLGESSFSTIWKAMSKSSGEVVAVKQVCLSKLSKHLTNCLDCELNFLSSVNHPNIIRLLHVFQLESCLFLVLEFCAGGDLASYIRHHGRVQEKIARRFMQQLGAGLEVLQSHHIIHRDLKPENILLSGSKDDLVLKIADFGLSRRVDPGKYAETVCGSPLYMAPEVLQFQRYDEKVDMWSLGAILFELLNGYLPFHGRTNVQLLQNIKSCTCLPFSKLILPGLHPDCVDICSRLLSVNPVHRLSFQEFYQHKFLEQKEWETKSNSHTCEFECCRIHSKPSCLLCFSHNERSLAL >EOX92430 pep chromosome:Theobroma_cacao_20110822:1:6810752:6815899:1 gene:TCM_001383 transcript:EOX92430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 2 MEPEAMSCLSEPLTTGDYILKSKLGESSFSTIWKAMSKSSGEVVAVKQVCLSKLSKHLTNCLDCELNFLSSVNHPNIIRLLHVFQLESCLFLVLEFCAGGDLASYIRHHGRVQEKIARRFMQQLGAGLEVLQSHHIIHRDLKPENILLSGSKDDLVLKIADFGLSRRVDPGKYAETVCGSPLYMAPEVLQFQRYDEKVDMWSLGAILFELLNGYLPFHGRTNVQLLQNIKSCTCLPFSKLILPGLHPDCVDICSRLLSVNPVHRLSFQEFYQHKFLEQKEWETKSNSHTCEFECCRIHSKVCCRLDWCFYQVW >EOX93491 pep chromosome:Theobroma_cacao_20110822:1:13357471:13372429:1 gene:TCM_002357 transcript:EOX93491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQIKSIKERKLICYYWLDCDEEEEVAMEVFTPKLMNLWKDWELRAMVVTSLLVQIILTVLGGRRKYIPKIRIRAIVGCSHLLADSVATIALGILTNNLGDIYDEGGVVDLNTKLTAFWAPFLLLHLGGPDTITAYALEDNQLWLRHFFGLIVQTYGKRTWTLWKASNDELRDSMLTSPDPGPNYSKLMNEYRLKQAEGFFMEIEEVKDVQEELDVTAPAEATPDGRNIIKANALFQTFKYLFADLILSFKDREKSQSLFQQMSDKDAFDVVAIAIVLEIYAALVLLFSDQTYHWLIKHNKTTVLKLIDSLAPYFTLKRWSSRMAQLSLLSICLEEKPYLKLLEKVVEKYQPETYVEVDDHLKKLIFKHVKEKFDQFKEKQGDANFRDLCSQRGNNILDRCKRQTGLGLEWSINVEFDQSILIWHIATELCYYSEMPLSTISSDVQSSRDVSQCISKYMFRLLVIYPFLLPIGIGLIRFRDTQADAKRFFKERLNSPRTQKKNRTKCRNMLRQVGELEEQNDKTNRTTCCNSLRRGMKLDGKSYSSEEPMMYQMIAACHMLLEENLDVLPGKLKRNSSKSVLFNACRLASALNGVTDKKVSHQRKPMFPWYSLPHYHAKLPCLSKLHHWDKDPLPSHSPKRRRLVTNSPTNLTYKNLFFTSARHFVPSIPLPSSSSPLTSL >EOX93495 pep chromosome:Theobroma_cacao_20110822:1:13493979:13502107:1 gene:TCM_002371 transcript:EOX93495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVRLFVMVYDKEMKVAKAWNDGRWNIPYRRHLFVWENTLACELKAKLLGKGIRQHDEFGNWMLSGDDLFRNVCCGEDACVDELDQIG >EOX96480 pep chromosome:Theobroma_cacao_20110822:1:37965806:37967566:-1 gene:TCM_005718 transcript:EOX96480 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWD domain-containing protein, putative isoform 2 MNHPDGDCPLCLYPLMSEDDQKEELPFMKLMSCFHCFHSECIIRWWNWLQIENKNNAKHPSSATVHHLRNTGSQQVEESMGSCPVCRKVFHAKDLEHVLDLVGTHSSQQSLDRTEVKDDETLLHSDWENIRRQKFETILKLQQENSGLIDSKKDHNVLPGLNPQNTVPLSNHASTEEAAEQPQTNPAATAEINSSSSSTRPSTSKHWNAGKRKPRGQNPRKQVRQWVRKDNGGAAD >EOX96481 pep chromosome:Theobroma_cacao_20110822:1:37965627:37968774:-1 gene:TCM_005718 transcript:EOX96481 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWD domain-containing protein, putative isoform 2 MAEEEEVKIEVEAVQSVYGEDCVVVESYPPHLHLHIKPRTADVSSQQQFVEAIIGIRAGSQYPKEPPLIYLIDSKGLDEQRQTHLISSIRDKACELPSCFMLIALCEGAVERLSAMNHPDGDCPLCLYPLMSEDDQKEELPFMKLMSCFHCFHSECIIRWWNWLQIENKNNAKHPSSATVHHLRNTGSQQVEESMGSCPVCRKVFHAKDLEHVLDLVGTHSSQQSLDRTEVKDDETLLHSDWENIRRQKFETILKLQQENSGLIDSKKDHNVLPGLNPQNTVPLSNHASTEEAAEQPQTNPAATAEINSSSSSTRPSTSKHWNAGKRKPRGQNPRKQVRQWVRKDNGGAAD >EOX96482 pep chromosome:Theobroma_cacao_20110822:1:37965627:37968783:-1 gene:TCM_005718 transcript:EOX96482 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWD domain-containing protein, putative isoform 2 MNHPDGDCPLCLYPLMSEDDQKEELPFMKLMSCFHCFHSECIIRWWNWLQIENKNNAKHPSSATVHHLRNTGSQQVEESMGSCPVCRKVFHAKDLEHVLDLVGTHSSQQSLDRTEVKDDETLLHSDWENIRRQKFETILKLQQENSGLIDSKKDHNVLPGLNPQNTVPLSNHASTEEAAEQPQTNPAATAEINSSSSSTRPSTSKHWNAGKRKPRGQNPRKQVRQWVRKDNGGAAD >EOX96479 pep chromosome:Theobroma_cacao_20110822:1:37956409:37969728:-1 gene:TCM_005718 transcript:EOX96479 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWD domain-containing protein, putative isoform 2 MAEEEEVKIEVEAVQSVYGEDCVVVESYPPHLHLHIKPRTADVSSQQFVEAIIGIRAGSQYPKEPPLIYLIDSKGLDEQRQTHLISSIRDKACELPSCFMLIALCEGAVERLSAMNHPDGDCPLCLYPLMSEDDQKEELPFMKLMSCFHCFHSECIIRWWNWLQIENKNNAKHPSSATVHHLRNTGSQQVEESMGSCPVCRKVFHAKDLEHVLDLVGTHSSQQSLDRTEVKDDETLLHSDWENIRRQKFETILKLQQENSGLIDSKKDHNVLPGLNPQNTVPLSNHASTEEAAEQPQTNPAATAEINSSSSSTRPSTSKHWNAGKRKPRGQNPRKQVRQWVRKDNGGAAD >EOX91391 pep chromosome:Theobroma_cacao_20110822:1:2544437:2545479:-1 gene:TCM_000601 transcript:EOX91391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative MSKLSFIEFKYGGLPRKLSRKPTQLSITKERQQSNVSSRTYQPNAEEMKWVFDKFDTNRDGKISKEEYKSALKVLGKGMAETEVAKAFSAIDTDGDGFIDFKEFIEMMRNMGEGINSNDIQSAFRVYDLDGNGKISAEELMEVLKKMGERCSLEACRKMIRGVDADGDGLIDINEFMTMMTRTMKPSQ >EOX95302 pep chromosome:Theobroma_cacao_20110822:1:33712300:33714992:-1 gene:TCM_004838 transcript:EOX95302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, putative MVESLHFPLCKELEVKMQFLQHILALIFIVLLYFSISKALECQLNNANKFKVAHTIRVDQSGKGDFKTIQNAIDSIPSNNDKWIRILISPGHFREKVTIPANKPCIFLEGAGSKLTSIEWGDHMDTCDSATFTSSPDNIVAKGISFKNIYNIPSGPDAKKKVEPALAVRIYGDKSAFYNCAFFGLQDTLWDVQGRHYFYNCYIEGAVDFIFGSGQSIYEKCEINLTAGKYAPEYPNGYITAQGRNSSDDPSGFVFKYCVFTGYGKTYLGRAYGAYSRVIIYKSVLSDAILASGWDAWRYVHHEGNLIYVEADCKGPGANTSKRVPWLKKLGASQLKKFLNLSYIDKEGWIAKLPKVS >EOX93428 pep chromosome:Theobroma_cacao_20110822:1:12986326:12993662:-1 gene:TCM_002303 transcript:EOX93428 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MSENGKLFIGGISWDTNEERLKEYFSSFGEVVEAVIMKDRTTGRARGFGFVVFSDPAVAERVIKEKHNIDGRMVEAKKAVPRDDQNIMGRSTSSIHASPGPGRTRKIFVGGLASTVTESDFKKYFDQFGNITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLLKNFHELNGKMVEVKRAVPKELSPGPSRSPLGGYNYGLNRVNSFLNGYTQGYTPSSVGGYGLRMDGRFSPVAGGRSGFPPFGSGYGMGVNFEPGLNPSFGNTANFSSNISYGRGLGPYYIGNTNRFGSPIGYDASSGGNSSFFSSVTRNLWGTGGLNYNTNAASSSAYMGSGSGSIGGSAFGSSGISWGSSAISGQGGGNNVPSNSVNFGYGSGDSSFGLGTAGYGRNSGTNVPPTSSYTTSNGGYDGAFADLYGGASVYGDTTWRTSTSERDGSGSFGYGLGSATSDVSGKSSPGYVGGYSVNKRQSNRGIAT >EOX93429 pep chromosome:Theobroma_cacao_20110822:1:12991000:12992886:-1 gene:TCM_002303 transcript:EOX93429 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MGRSTSSIHASPGPGRTRKIFVGGLASTVTESDFKKYFDQFGNITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLLKNFHELNGKMVEVKRAVPKELSPGPSRSPLGGYNYGLNRVNSFLNGYTQGYTPSSVGGYGLRMDGRFSPVAGGRSGFPPFGSGYGMGVNFEPGLNPSFGNTANFSSNISYGRGLGPYYIGNTNRFGSPIGYDASSGGNSSFFSSVTRNLWGTGGLNYNTNAASSSAYMGSGSGSIGGSAFGSSGISWGSSAISGQGGGNNVPSNSVNFGYGSGDSSFGLGTAGYGRNSGTNVPPTSSYTTSNGGYDGAFADLYGGASVYGDTTWRTSTSERDGSGSFGYGLGSATSDVSGKSSPGYVGGYSVNKRQSNRGKTCY >EOX93430 pep chromosome:Theobroma_cacao_20110822:1:12986179:12992724:-1 gene:TCM_002303 transcript:EOX93430 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MGRSTSSIHASPGPGRTRKIFVGGLASTVTESDFKKYFDQFGNITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLLKNFHELNGKMVEVKRAVPKELSPGPSRSPLGGYNYGLNRVNSFLNGYTQGYTPSSVGGYGLRMDGRFSPVAGGRSGFPPFGSGYGMGVNFEPGLNPSFGNTANFSSNISYGRGLGPYYIGNTNRFGSPIGYDASSGGNSSFFSSVTRNLWGTGGLNYNTNAASSSAYMGSGSGSIGGSAFGSSGISWGSSAISGQGGGNNVPSNSVNFGYGSGDSSFGLGTAGYGRNSGTNVPPTSSYTTSNGGYDGAFADLYGGASVYGDTTWRTSTSERDGSGSFGYGLGSATSDVSGKSSPGYVGGYSVNKRQSNRGIAT >EOX96616 pep chromosome:Theobroma_cacao_20110822:1:38416439:38421635:-1 gene:TCM_005833 transcript:EOX96616 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MEALAAIGEGFFTSMFEALLGRFRSSDLIYFAQKEKLDVDLRKLEKKLLCINAVLTDAGEKQVTDRTVKLWLTELTDSAYDVEDVLDEFAYEALQHKLTAQPRTSVRTVRCWHNLASLSCFKWGAGTFSVKMRSKIKGIDARLQEIATQKSDLELRENVEGRAYKARDQRLPTTCLVNEVNVYGREKDKEAIVERLLVETTSDAEVPVIPIVGMGGIGKTTLAQLVYNDEKVAGFFDSKAWIYVSEDFDVIKVMKAILQSVNGGVPDTNDLNLLHIKLKEELSEKKILLVLDDVWHDNYVDWTSLIRPLEFAKSGSKIIITTRNQNVAKMTGTLPAYQLKELAYDDCLSVLARHALGRENFDGHTHLKDIGEEIVKKCKGLPLAVKTLAGLLRNKVTYEEWEAVSRSKMWDLTEEKGGIFSDLRLSYHHLPSHLKPCFAYCSLFPKGHEFDRDELVLLWIAAGFVQQKGDKQPEDIGGEYFSDLLSRSFFQQSNNNKSLFVMHDLIIDLAQSVAGDLCFNMEHEVQIDDGQHSFEKARHVSFIRHQYNVSQRFEIFSKRKDVRSFLALPILHQRDYCYLSSKVLHQLLPKLKRLRVLSLSGYFIGDLSNSIGYLKHLRYLNLSKSAIRLLPESVGNLHHLQILILKHCRELTALPVGTSRLIKLRRLDISDTPKLQEMPSGLGNLNSLRVLPKFIIGKAGGLTLRDLKNLSLQGQLSILRLQNVVDIQDARVANLKQKLDLKELALEWSNDLNLSRNGPNQLQVLESLWPPKDLQRLSISNYGAGEFPYWVGNPSFAKIEHLDLSDCINCTSLPSLGRLPLLRKLNIKGMHAVTSLGPEFYGKGFPFVKAFPSLEFLRFENMKEWKKWISSVGNVELFPLLRELVLHNCPKLTGNLPRNLCSLVKLDVQMCPVLTNSPLSFPCLGELNVADSSDAILKGMVDHSSITKLKLERISGLACLTEELTKALVKLEVLEIEGCSELTCFWWNGSESEKLPRLKSLVLKNCPELVSLVGEKQGLCRFSSLKDLRIESCQKFVCFPAMGLPYTLECLTIHDCEALESLPDTFRMKDCNNPYCLLEELEIVRCPSLKSVPNGKLPLTLKRLSIVNCNNLQFLPDDNWNSASRLQYLCIEDCLALESFLESGLSIPNLETLHISNCSNLRSLPKQMQNVTSLRKLSLSVCMALESTPPGGLPPYITSLELRNCINLKKPMSVWGLDKLNCLTEIKIAGTCPAADMVSFPDEEGVMLPSTLTDLRMESLQNLESLSRGLESLTALEQLHIKDCRKLRYLPKTDLPASLGRLCISGCPVLQDKCKKDRGEYWPMISNIPCLEID >EOX91697 pep chromosome:Theobroma_cacao_20110822:1:3554701:3559802:-1 gene:TCM_000802 transcript:EOX91697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein 43 isoform 1 MRVRNKYRKPTAFPCNAGSRCSMSAVVWSLVGFVLMLHLYSLVSHRNPVGGDIQLRMSRHPLVRELEQVEEENIQIPPPRGKRSPRAAKRRPKRTTTLIDEFLDENSQLRHVFFPDMKTAIDPTKDARNDSYYYHPGRIWLDTEGNPIQAHGGGILYDERSSTYYWYGEYKDGPTYHAHKKGAARVDVIGVGCYSSKDLWTWKNEGIVLAAEETDETHDLHKSNVLERPKVIYNDNMGKYVMWMHIDDANYTKAAVGIASSDYPTGPFEYLRSQRPHGYESRDMTIFKDDDGVAYLIYSSEDNSELHIGPLTEDYLDVKPDMRRILVGQHREAPALFKYQGTYYMITSGCTGWAPNEALAHAAESIMGPWETMGNPCIGGNKMFRLATFFAQSTFVIPLPGIPGSYIFMADRWNPADLKDSRYVWLPLIVGGPADRPLEFNFGFPLWPRVSIYWHRKWRLPLRWRLSN >EOX91696 pep chromosome:Theobroma_cacao_20110822:1:3554852:3559884:-1 gene:TCM_000802 transcript:EOX91696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein 43 isoform 1 MPIPGTGNFHRVLSGSRCSMSAVVWSLVGFVLMLHLYSLVSHRNPVGGDIQLRMSRHPLVRELEQVEEENIQIPPPRGKRSPRAAKRRPKRTTTLIDEFLDENSQLRHVFFPDMKTAIDPTKDARNDSYYYHPGRIWLDTEGNPIQAHGGGILYDERSSTYYWYGEYKDGPTYHAHKKGAARVDVIGVGCYSSKDLWTWKNEGIVLAAEETDETHDLHKSNVLERPKVIYNDNMGKYVMWMHIDDANYTKAAVGIASSDYPTGPFEYLRSQRPHGYESRDMTIFKDDDGVAYLIYSSEDNSELHIGPLTEDYLDVKPDMRRILVGQHREAPALFKYQGTYYMITSGCTGWAPNEALAHAAESIMGPWETMGNPCIGGNKMFRLATFFAQSTFVIPLPGIPGSYIFMADRWNPADLKDSRYVWLPLIVGGPADRPLEFNFGFPLWPRVSIYWHRKWRLPLRWRLSN >EOX92871 pep chromosome:Theobroma_cacao_20110822:1:9108471:9109586:1 gene:TCM_001733 transcript:EOX92871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYKLNNECCWVRKKKERERAEVLVVDFADARLEVMLQMLSTEDLQYRGQMLHFLATEVAGGSTETFQEPKKKLRALCMPSNIILSQGNAT >EOX95373 pep chromosome:Theobroma_cacao_20110822:1:33942312:33944700:-1 gene:TCM_004887 transcript:EOX95373 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor A1F MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >EOX92567 pep chromosome:Theobroma_cacao_20110822:1:7548123:7549287:-1 gene:TCM_001504 transcript:EOX92567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKENGTFSHRETKNIGMGLDQGEQLAMDIGKPREQTECLSSEVLKLDIGRPWFSTEGNHPRDKRLIG >EOX90839 pep chromosome:Theobroma_cacao_20110822:1:752988:753487:-1 gene:TCM_000196 transcript:EOX90839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLWNSRHALKSMEEREKWVLIIRLVVENIMVHGSPWSREVANITMRIMINDESFTSGLSTRLDDLEGKPGPSMKVA >EOX93089 pep chromosome:Theobroma_cacao_20110822:1:10357854:10371054:1 gene:TCM_001934 transcript:EOX93089 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase, putative isoform 1 MGKKKSVAESTRIQLAQTLEKFRESKDEVYTFDSTLSNKERALVHRACRKMGMKSKSSGRGSQRRISVYKIRGKVDNMKGMESLTNMTFSGGAQVVLQDLFTHYPPDDGELGEKLVGKYSGKTAKVRKKKDDIFSKPLMSDTEIAEKVKTLASTIEKDPNLRQINEEMSKLPIASFRDVITSTVESHQVVLISGETGCGKTTQVPQYLLDYMWGKGKACKVVCTQPRRISATSVSERISNERGENVGNDVGYKIRLERKGGRHSSIVFCTNGVLLRVLVSNSRSKREDISDMTHIIMDEIHERDCFCDFMLAIIRDILPSYPHLRLVLMSATLDAERFSQYFGGCPIIHVPGFTYPVKAFYLEDVLSILKSADNNHLISASASFPNEDPELTEEDKIALDEAILACSTDEFDPLLELVSVEGGSKVHNYQHSLTGLTPLMVFAGKGRVADVCMLLSFGVDCHLRSKDGKRALEWAEQENQQEAAEIIKKHMQSLLSNSGEQQQLLDKYIEAVDPEIIDVVLIEQLLRKICIDTNEGAILVFLPGWEDINRTREKLLANPFFKDSSRFIIISLHSMVPSAEQKKVFKRPPFGCRKIVLSTNIAESSITIDDVVYVIDSGRMKEKSYDPYNNVSTLQSSWVSKANAKQREGRAGRCQPGTCYHLYSKLRAASMPDFQVPEIKRMPIEELCLQVKLLDPNCKVENFLQKTLDPPVSEAIRNAVSVLQDIGAFSYDEELTELGEKLGYLPVHPLTSKMLFFAILMNCLDPALTLACASDFRDPFVLPMFPNDKKKAAAAREELASLYGGQSDQLAVIAAFECWKHAKERGQEGRFCSKYFVSSSTMNMLFGMRKQLQAELMRFGFIPDDVSSCSLNAHDPGILHAVLVAGLYPMVGRLLPLRQGKRFVVETAGGSKVRLHTHSINSKLSLKQSNDCPLIMYDEITRGDGGMHIRNCTVIGPLPLLLLATEIAVAPAKGNDDNEDDDDDDDDDDGSDDADECDTDGDEMLMVSKSGGNEEKVMSSPDNSVMVVVDRWLSFRSTAFDVAQIYCLRERLSAAILSKVLHPHQVLTPVLGASIYAIACILSYDGLSGRRGSGPNTNRLPVDRSSYWKAEVHAYEAVTDGTEPSSCNKQAPVMSIGTSLQQASSQGPISVASGSGVSKLQGQGPREESCKRRRGSGKRSK >EOX93088 pep chromosome:Theobroma_cacao_20110822:1:10357854:10371054:1 gene:TCM_001934 transcript:EOX93088 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase, putative isoform 1 MGKKKSVAESTRIQLAQTLEKFRESKDEVYTFDSTLSNKERALVHRACRKMGMKSKSSGRGSQRRISVYKIRGKVDNMKGMESLTNMTFSGGAQVVLQDLFTHYPPDDGELGEKLVGKYSGKTAKVRKKKDDIFSKPLMSDTEIAEKVKTLASTIEKDPNLRQINEEMSKLPIASFRDVITSTVESHQVVLISGETGCGKTTQVPQYLLDYMWGKGKACKVVCTQPRRISATSVSERISNERGENVGNDVGYKIRLERKGGRHSSIVFCTNGVLLRVLVSNSRSKREDISDMTHIIMDEIHERDCFCDFMLAIIRDILPSYPHLRLVLMSATLDAERFSQYFGGCPIIHVPGFTYPVKAFYLEDVLSILKSADNNHLISASASFPNEDPELTEEDKIALDEAILACSTDEFDPLLELVSVEGGSKVHNYQHSLTGLTPLMVFAGKGRVADVCMLLSFGVDCHLRSKDGKRALEWAEQENQQEAAEIIKKHMQSLLSNSGEQQQLLDKYIEAVDPEIIDVVLIEQLLRKICIDTNEGAILVFLPGWEDINRTREKLLANPFFKDSSRFIIISLHSMVPSAEQKKVFKRPPFGCRKIVLSTNIAESSITIDDVVYVIDSGRMKEKSYDPYNNVSTLQSSWVSKANAKQREGRAGRCQPGTCYHLYSKLRAASMPDFQVPEIKRMPIEELCLQVKLLDPNCKVENFLQKTLDPPVSEAIRNAVSVLQDIGAFSYDEELTELGEKLGYLPVHPLTSKMLFFAILMNCLDPALTLACASDFRDPFVLPMFPNDKKKAAAAREELASLYGGQSDQLAVIAAFECWKHAKERGQEGRFCSKYFVSSSTMNMLFGMRKQLQAELMRFGFIPDDVSSCSLNAHDPGILHAVLVAGLYPMVGRLLPLRQGKRFVVETAGGSKVRLHTHSINSKLSLKQSNDCPLIMYDEITRGDGGMHIRNCTVIGPLPLLLLATEIAVAPAKGNDDNEDDDDDDDDDDGSDDADECDTDGDEMLMVSKSGGNEEKVMSSPDNSVMVVVDRWLSFRSTAFDVAQIYCLRERLSAAILSKVLHPHQVLTPVLGASIYAIACILSYDGLSGISTRAESVDSLTLKVRATEIDKPLPGRRGSGPNTNRLPVDRSSYWKAEVHAYEAVTDGTEPSSCNKQAPVMSIGTSLQQASSQGPISVASGSGVSKLQGQGPREESCKRRRGSGKRSK >EOX93090 pep chromosome:Theobroma_cacao_20110822:1:10357854:10371054:1 gene:TCM_001934 transcript:EOX93090 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase, putative isoform 1 MGKKKSVAESTRIQLAQTLEKFRESKDEVYTFDSTLSNKERALVHRACRKMGMKSKSSGRGSQRRISVYKIRGKVDNMKGMESLTNMTFSGGAQVVLQDLFTHYPPDDGELGEKLVGKYSGKTAKVRKKKDDIFSKPLMSDTEIAEKVKTLASTIEKDPNLRQINEEMSKLPIASFRDVITSTVESHQVVLISGETGCGKTTQVPQYLLDYMWGKGKACKVVCTQPRRISATSVSERISNERGENVGNDVGYKIRLERKGGRHSSIVFCTNGVLLRVLVSNSRSKREDISDMTHIIMDEIHERDCFCDFMLAIIRDILPSYPHLRLVLMSATLDAERFSQYFGGCPIIHVPGFTYPVKAFYLEDVLSILKSADNNHLISASASFPNEDPELTEEDKIALDEAILACSTDEFDPLLELVSVEGGSKVHNYQHSLTGLTPLMVFAGKGRVADVCMLLSFGVDCHLRSKDGKRALEWAEQENQQEAAEIIKKHMQSLLSNSGEQQQLLDKYIEAVDPEIIDVVLIEQLLRKICIDTNEGAILVFLPGWEDINRTREKLLANPFFKDSSRFIIISLHSMVPSAEQKKVFKRPPFGCRKIVLSTNIAESSITIDDVVYVIDSGRMKEKSYDPYNNVSTLQSSWVSKANAKQREGRAGRCQPGTCYHLYSKLRAASMPDFQVPEIKRMPIEELCLQVKLLDPNCKVENFLQKTLDPPVSEAIRNAVSVLQDIGAFSYDEELTELGEKLGYLPVHPLTSKMLFFAILMNCLDPALTLACASDFRDPFVLPMFPNDKKKAAAAREELASLYGGQSDQLAVIAAFECWKHAKERGQEGRFCSKYFVSSSTMNMLFGMRKQLQAELMRFGFIPDDVSSCSLNAHDPGILHAVLVAGLYPMVGRLLPLRQGKRFVVETAGGSKVRLHTHSINSKLSLKQSNDCPLIMYDEITRGDGGMHIRNCTVIGPLPLLLLATEIAVAPAKGNDDNEDDDDDDDDDDGSDDADECDTDGDEMLMVSKSGGNEEKVMSSPDNSVMVVVDRWLSFRSTAFDVAQIYCLRERLSAAILSKVLHPHQVLTPVLGASIYAIACILSYDGLSGISTRAESVDSLTLKVRATEIDKPLPGRRGSGPNTNRLPVDRSSYWKAEVHAYEAVTDGTEPSSCNKQAPVMSIGTSLQQASSQGPISVASGSGVSKLQGQGPREESCKRRRGSGKRSK >EOX94763 pep chromosome:Theobroma_cacao_20110822:1:31276596:31279509:1 gene:TCM_004377 transcript:EOX94763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein MQIIYTKWKPSWIWNELQLEQFGGDQASNWAKFGVVTTTRHWRRKEQADAPSFFPFLAKNQLPSNSFGPPSVSNSSHFTRAMAFGMSTPIFLFMLALCGVSMGAVHQVGDFGGWNIVAPVGYQKWAATRNYHVGDVVVFKYNKLFHNVLRVTHQNFKSCNATFPIAVYSSGSDTIELTRPGHFFFICGLPGHCLSGQKLHIEVAYGQENLPPFASGVNHLPQSGSVFYQALAPSPENIEPIPGPAQSGAPSLKLFNVWVALAVLAFGLGVTGIGY >EOX92574 pep chromosome:Theobroma_cacao_20110822:1:7574784:7580782:1 gene:TCM_001510 transcript:EOX92574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase isoform 1 MRFRGLFSFCRGKLLKFSLQTMNFTPLIHKGKPIEKLHAWVCYSSFSTNGDLKGAHEKMTLAKDLACLVEESSHQDERKAKSRMELKRSLELRVKKRVKEQYLNGNFHNLMAKVIANPATLQDAYNCIRLNSNVDISVKHDSVCFKSMAEELLEGSFDVKANTFSVSTRGASKEVLVLPNLKMRIVQEAIRIVLEVVYKPHFSKISHGCRSGRDHSTALRYISKEIASPSWWFTLILNKKVDSSILAKLISKLQDKVEDNQLLATIQSMFDAQVLNFEFGGFPKGHGLPQEGVLSPILMNIYLHLFDQEFYRLSMRYEALHPGFDKDEDMSYSKLRNWFRRQLKENDVKYTVNDDSSPRVHCCRFMDEIFFAISGSKDVALSFKSEIVDFFKNSLELDVDDEQTEILPCNESNGIRFLGALVRRSVQEGPATRAVHKLKEKVKLFASQKQDAWNAGTVGIGRKWLAHGLKKVKESEIEHLADSGSTLSKISCFRKAGMETDHWYKVLTKIWMQDIKAKAAENEESILSKCVVEPALPQELKESYYEFLKRANEYVYSETAATLALLPNSSSNAGSVAITEIIAPVNAIKKRLLRYGLTTSEGYPRVVSLLVLQDNFQIIDWFSGIVCRWLRWYRECDNFNEIKLIISTILRKSCIRTLAAKYRIHESEIEKQFDSELCRIPSTEEVEQELTYETSDSHSFDSDEALMYGISYSGLCLLSLARMVSQSRPCNCFVMGCSMAAPSVYTLHAMERQKFPGWKTGFSSCIHPSLNKRRIGLCKKHLKDLYLGHISLQSINFGAWK >EOX92573 pep chromosome:Theobroma_cacao_20110822:1:7574518:7580995:1 gene:TCM_001510 transcript:EOX92573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase isoform 1 MRFRGLFSFCRGKLLKFSLQTMNFTPLIHKGKPIEKLHAWVCYSSFSTNGDLKGAHEKMTLAKDLACLVEESSHQDERKAKSRMELKRSLELRVKKRVKEQYLNGNFHNLMAKVIANPATLQDAYNCIRLNSNVDISVKHDSVCFKSMAEELLEGSFDVKANTFSVSTRGASKEVLVLPNLKMRIVQEAIRIVLEVVYKPHFSKISHGCRSGRDHSTALRYISKEIASPSWWFTLILNKKVDSSILAKLISKLQDKVEDNQLLATIQSMFDAQVLNFEFGGFPKGHGLPQEGVLSPILMNIYLHLFDQEFYRLSMRYEALHPGFDKDEDMSYSKLRNWFRRQLKENDVKYTVNDDSSPRVHCCRFMDEIFFAISGSKDVALSFKSEIVDFFKNSLELDVDDEQTEILPCNESNGIRFLGALVRRSVQEGPATRAVHKLKEKVKLFASQKQDAWNAGTVGIGRKWLAHGLKKVKESEIEHLADSGSTLSKISCFRKAGMETDHWYKVLTKIWMQDIKAKAAENEESILSKCVVEPALPQELKESYYEFLKRANEYVYSETAATLALLPNSSSNAGSVAITEIIAPVNAIKKRLLRYGLTTSEGYPRVVSLLVLQDNFQIIDWFSGIVCRWLRWYRECDNFNEIKLIISTILRKSCIRTLAAKYRIHESEIEKQFDSELCRIPSTEEVEQELTYETSDSHSFDSDEALMYGISYSGLCLLSLARMVSQSRPCNCFVMGCSMAAPSVYTLHAMERQKFPGWKTGFSSCIHPSLNKRRIGLCKKHLKDLYLGHISLQSINFGAWK >EOX96405 pep chromosome:Theobroma_cacao_20110822:1:37674868:37678380:1 gene:TCM_005655 transcript:EOX96405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subunit Tim21 isoform 1 MNVRRSVISIRSRWGGLLRSITESKPCLDGFPSSAKRFPADCNSSITRQYVTRAYNMSKGVRANAGIPLPFRGQCGRDYIVSCQASLPCIENYGSKLMSPSLARSFASSASKQSKETTSETRKEVSTVEDPFDAPTYNIPDKPVTFTEGASYSVIILAGLGVAAAAAYAVFKELIFEPKEYKIFNHALERIQKEGQVRVRIGHPITGYGQESRNRAARQRIPNRIYTDENGVEHVEVNFYIRGPHGAGKVFTEMFKDKTDHKWKYTYLIVQITSPSPAELMLESYLPAAEPRASTAN >EOX96407 pep chromosome:Theobroma_cacao_20110822:1:37674960:37678393:1 gene:TCM_005655 transcript:EOX96407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subunit Tim21 isoform 1 HLSVCDGILTSFELIRLRNVRRSVISIRSRWGGLLRSITESKPCLDGFPSSAKRFPADCNSSITRQYVTRAYNMSKGVRANAGIPLPFRGQCGRDYIVSCQASLPCIENYGSKLMSPSLARSFASSASKQSKETTSETRKEVSTVEDPFDAPTYNIPDKPVTFTEGASYSVIILAGLGVAAAAAYAVFKELIFEPKEYKIFNHALERIQKEGQVRVRIGHPITGYGQESRNRAARQRIPNRIYTDENGVEHVEVNFYIRGPHGAGKVFTEMFKDKTDHKWKYTYLIVQITSPSPAELMLESYLPAAEPRASTAN >EOX96406 pep chromosome:Theobroma_cacao_20110822:1:37674955:37678387:1 gene:TCM_005655 transcript:EOX96406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subunit Tim21 isoform 1 CHLSVCDGILTSFELIRLRNVRRSVISIRSRWGGLLRSITESKPCLDGFPSSAKRVRSFAPCFFPADCNSSITRQYVTRAYNMSKGVRANAGIPLPFRGQCGRDYIVSCQASLPCIENYGSKLMSPSLARSFASSASKQSKETTSETRKEVSTVEDPFDAPTYNIPDKPVTFTEGASYSVIILAGLGVAAAAAYAVFKELIFEPKEYKIFNHALERIQKEGQVRVRIGHPITGYGQESRNRAARQRIPNRIYTDENGVEHVEVNFYIRGPHGAGKVFTEMFKDKTDHKWKYTYLIVQITSPSPAELMLESYLPAAEPRASTAN >EOX94178 pep chromosome:Theobroma_cacao_20110822:1:24486057:24490209:-1 gene:TCM_003568 transcript:EOX94178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHHVKKGDSRRVVSAQDNVALDPKPINAPNNQRQQAQQGMKSRLLIPNHATIEARGKLYTICVSIVGGEGVDDLVVYLKGKEIMQEGSEPAHGKVQHGKRHDGTNTEVVQQGKEFGFDLSRGQGNSFAKHSSDGKLGQRGLGSREKKIVVRHLVGKERPDMLLIQETKLEKLEVGVIRAIWGSEQCMIKFVQSLRTSGGLLSVWKADFFNLEYYFESCHFILLVGCIKKLNLRYGFENIYAPNLGNERRELWVELQRNEHLNEMAYIKNFVEETRLMDLPMQGGRFTYRNFREDEAFSRLNRFFNHWLEEKSFSSIFLKAWEKTQVTGSGCKGFIEGCINTTFITLAPKCSSPESIRDCRPISFVGNIYKIITTVLANRMKKVIGEVIGNQQFAFMFGRQLVDCALIANEVVDIMRKDRVGGVFFKVD >EOX95205 pep chromosome:Theobroma_cacao_20110822:1:33308591:33313012:-1 gene:TCM_004757 transcript:EOX95205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin alpha, ALPHA,AIMP ALPHA isoform 1 MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNKREENLLKKRREGLLAQQQQPQQQQLLSSTAASEKKLESLPAMVAGVWSEDRNAQLEATTQFRKLLSIERSPPINEVVQSGVVPRFVEFLARDDFPQLQFEAAWALTNIASGTSENTRVVIDHGAVPIFVKLLGSPTDDVREQAVWALGNVAGDSPKCRDLVLGHGALVPLLAQFNEHAKLSMLRNATWTLSNFCRGKPQPSFEQTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPTVLIPALRTVGNIVTGDDVQTQCIINHQALPCLLNLLTNNYKKSIKKEACWTISNITAGNVDQIQAVIEAGIIAPLVHLLQNAEFEIKKEAAWAISNATSGGTHEQIKFLVSQGCIKPLCDLLNCPDPRIVTVCLEGLENILKVGEAEKNLGHTGEVNLYAQLIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWVEDEDEPLPPGDASQSGFQFGANQHPVPSGGFNFS >EOX95206 pep chromosome:Theobroma_cacao_20110822:1:33308436:33313179:-1 gene:TCM_004757 transcript:EOX95206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin alpha, ALPHA,AIMP ALPHA isoform 1 MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNKREENLLKKRREGLLAQQQQPQQQQLLSSTAASEKKLESLPAMVAGVWSEDRNAQLEATTQFRKLLSIERSPPINEVVQSGVVPRFVEFLARDDFPQLQFEAAWALTNIASGTSENTRVVIDHGAVPIFVKLLGSPTDDVREQAVWALGNVAGDSPKCRDLVLGHGALVPLLAQFNEHAKLSMLRNATWTLSNFCRGKPQPSFEQTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPTVLIPALRTVGNIVTGDDVQTQCIINHQALPCLLNLLTNNYKKSIKKEACWTISNITAGNVDQIQAVIEAGIIAPLVHLLQNAEFEIKKEAAWAISNATSGGTHEQIKFLVSQGCIKPLCDLLNCPDPRIVTVCLEGLENILKVGEAEKNLGHTGEVNLYAQLIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWVEDEDEPLPPGDASQSGFQFGANQHPVPSGGFNFS >EOX95722 pep chromosome:Theobroma_cacao_20110822:1:35360866:35365068:1 gene:TCM_005158 transcript:EOX95722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 6 isoform 2 MKKEDIPDKGRSFPEDPNLPKWVCQNCHNSLCMVGVDSYVDKFPNDSSRSAMQGSLTHGASSVLGSTRMDNSFVVLPKQKPQAQGIPPRPRGGPGQPDAGHPGKAMEESFVVVYKSESASDGGGAHLPLSEGGPNSPLQPNNSGFHSTITVLKRAFEIATTQTQVEQPLCLECMRVLSDKLDKEVGDVTRDIEAYEACLQRLEGEPQEILSEADFRKEKLKIEEEERKLEAAIEEIEKQNAVVNAELKELEQKSNRVKELEERYWQEFNNFQFQLIAHQEERDAILAKTEVSQAHLELLKRTNVLNDAFPIWHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFWPKFHYRIKIIPMGSYPRIKDSNNNTYELIENDRVENYSITQSFNKQENWTKALKYTLCNLKWALYWFIGSTNFQPLSAIVSSATEVSAAGSLYAKRGPDPKYVARKPSNSR >EOX95723 pep chromosome:Theobroma_cacao_20110822:1:35360866:35363859:1 gene:TCM_005158 transcript:EOX95723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 6 isoform 2 MKKEDIPDKGRSFPEDPNLPKWVCQNCHNSLCMVGVDSYVDKFPNDSSRSAMQGSLTHGASSVLGSTRMDNSFVVLPKQKPQAQGIPPRPRGGPGQPDAGHPGKAMEESFVVVYKSESASDGGGAHLPLSEGGPNSPLQPNNSGFHSTITVLKRAFEIATTQTQVEQPLCLECMRVLSDKLDKEVGDVTRDIEAYEACLQRLEGEPQEILSEADFRKEKLKIEEEERKLEAAIEEIEKQNAVVNAELKELEQKSNRVKELEERYWQEFNNFQFQLIAHQEERDAILAKTEVSQAHLELLKRTNVLNDAFPIWHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFWPKFH >EOX95720 pep chromosome:Theobroma_cacao_20110822:1:35360737:35364970:1 gene:TCM_005158 transcript:EOX95720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 6 isoform 2 MKKEDIPDKGRSFPEDPNLPKWVCQNCHNSLCMVGVDSYVDKFPNDSSRSAMQGSLTHGASSVLGSTRMDNSFVVLPKQKPQAQGIPPRPRGGPGQPDAGHPGKAMEESFVVVYKSESASDGGGAHLPLSEGGPNSPLQPNNSGFHSTITVLKRAFEIATTQTQVEQPLCLECMRVLSDKLDKEVGDVTRDIEAYEACLQRLEGEPQEILSEADFRKEKLKIEEEERKLEAAIEEIEKQNAVVNAELKELEQKSNRVKELEERYWQEFNNFQFQLIAHQEERDAILAKTEVSQAHLELLKRTNVLNDAFPIWHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFWPKFHYRIKIIPMGSYPRIKDSNNNTYELFGPVNLFWSTRYDKAMTLFLTCLKDFAEFANSKDQENNIPPEKRFNLPYKIENDRVENYSITQSFNKQENWTKALKYTLCNLKWALYWFIGSTNFQPLSAIVSSATEVSAAGSLYAKRGPDPKYVARKPSNSR >EOX95719 pep chromosome:Theobroma_cacao_20110822:1:35360637:35365214:1 gene:TCM_005158 transcript:EOX95719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 6 isoform 2 MKKEDIPDKGRSFPEDPNLPKWVCQNCHNSLCMVGVDSYVDKFPNDSSRSAMQGSLTHGASSVLGSTRMDNSFVVLPKQKPQAQGIPPRPRGGPGQPDAGHPGKAMEESFVVVYKSESASDGGGAHLPLSEGGPNSPLQPNNSGFHSTITVLKRAFEIATTQTQVEQPLCLECMRVLSDKLDKEVGDVTRDIEAYEACLQRLEGEPQEILSEADFRKEKLKIEEEERKLEAAIEEIEKQNAVVNAELKELEQKSNRVKELEERYWQEFNNFQFQLIAHQEERDAILAKTEVSQAHLELLKRTNVLNDAFPIWHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFWPKFHYRIKIIPMGSYPRIKDSNNNTYEFVSIDINVFRFGPVNLFWSTRYDKAMTLFLTCLKDFAEFANSKDQENNIPPEKRFNLPYKIENDRVENYSITQSFNKQENWTKALKYTLCNLKWALYWFIGSTNFQPLSAIVSSATEVSAAGSLYAKRGPDPKYVARKPSNSR >EOX95721 pep chromosome:Theobroma_cacao_20110822:1:35360934:35364609:1 gene:TCM_005158 transcript:EOX95721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 6 isoform 2 MKKEDIPDKGRSFPEDPNLPKWVCQNCHNSLCMVGVDSYVDKFPNDSSRSAMQGSLTHGASSVLGSTRMDNSFVVLPKQKPQAQGIPPRPRGGPGQPDAGHPGKAMEESFVVVYKSESASDGGGAHLPLSEGGPNSPLQPNNSGFHSTITVLKRAFEIATTQTQVEQPLCLECMRVLSDKLDKEVGDVTRDIEAYEACLQRLEGEPQEILSEADFRKEKLKIEEEERKLEAAIEEIEKQNAVVNAELKELEQKSNRVKELEERYWQEFNNFQFQLIAHQEERDAILAKTEVSQAHLELLKRTNVLNDAFPIWHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFWPKFHYRIKIIPMGSYPRIKDSNNNTYELTLLSLQIPRIKKTTFHLRNASTCPIRSRMTEWKTTPSHKASISKRIGPKL >EOX91672 pep chromosome:Theobroma_cacao_20110822:1:3503128:3509668:-1 gene:TCM_000789 transcript:EOX91672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ATPase 2 isoform 3 MESYLNENFEVKAKHSSEETLEKWRKVCGIVKNPKRRFRFTANLSKRYEAAAMRRTNQEKLRIAVLVSKAAFQFISGVQPSDYVVPEEVKAAGFQVCADELGSIVEGHEVKKLKFHGGVDGIAEKLSTSTTNGLTSDSGLLNKRQEVYGINKFAEPEPKGFWLFVWEALQDMTLMILGACAFVSLIVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFRDLEKEKKKITIQVTRNACRQKMSIYDLLPGDIVHLNIGDQVPADGLFVSGYSVLIDESSLTGECEPVMVNAENPFMLSGTKLQDGSCKMMVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKVGLFFAVVTFAVLVQGLFSSKLQEGTIWSWSGDEALQMLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKSCICMGVKEVGNNNKASFCSEIPESTVKLLLQSIFTNTGGEIVINKSGKREILGTPTETALLEFGLSLGGDSQAERQASKIVKVEPFNSTKKRMGVVLELPEGGLRAHTKGASEIVLAGCDKVINSDGEVIPLDEESINHLNDTINQFANEALRTLCLAYMELENGFSPHNAIPVSGYTCIGIVGIKDPVRPGVKESVATCRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKSQEELLTLIPKIQVMARSSPMDKHTLVKHLRTNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPTDELMKRSPVGKKGNFISNVMWRNILGQSLYQFMVIWYLQTKGKAIFNLNGPDSDLILNTLIFNSFVFCQVFNEISSRNMEEIDVFKGILDNYVFVAVLSCTAVFQVIIVEFLGTFANTTPLTFSQWFLSVFIGFIGMPFAAALKMIPV >EOX91676 pep chromosome:Theobroma_cacao_20110822:1:3503585:3509668:-1 gene:TCM_000789 transcript:EOX91676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ATPase 2 isoform 3 MTLMILGACAFVSLIVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFRDLEKEKKKITIQVTRNACRQKMSIYDLLPGDIVHLNIGDQVPADGLFVSGYSVLIDESSLTGECEPVMVNAENPFMLSGTKLQDGSCKMMVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKVGLFFAVVTFAVLVQGLFSSKLQEGTIWSWSGDEALQMLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKSCICMGVKEVGNNNKASFCSEIPESTVKLLLQSIFTNTGGEIVINKSGKREILGTPTETALLEFGLSLGGDSQAERQASKIVKVEPFNSTKKRMGVVLELPEGGLRAHTKGASEIVLAGCDKVINSDGEVIPLDEESINHLNDTINQFANEALRTLCLAYMELENGFSPHNAIPVSGYTCIGIVGIKDPVRPGVKESVATCRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKSQEELLTLIPKIQVMARSSPMDKHTLVKHLRTNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPTDELMKRSPVGKKGNFISNVMWRNILGQSLYQFMVIWYLQTKGKAIFNLNGPDSDLILNTLIFNSFVFCQVFNEISSRNMEEIDVFKGILDNYVFVAVLSCTAVFQVIIVEFLGTFANTTPLTFSQWFLSVFIGFIGMPFAAALKMIPV >EOX91675 pep chromosome:Theobroma_cacao_20110822:1:3503963:3509698:-1 gene:TCM_000789 transcript:EOX91675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ATPase 2 isoform 3 MESYLNENFEVKAKHSSEETLEKWRKVCGIVKNPKRRFRFTANLSKRYEAAAMRRTNQEKLRIAVLVSKAAFQFISGVQPSDYVVPEEVKAAGFQVCADELGSIVEGHEVKKLKFHGGVDGIAEKLSTSTTNGLTSDSGLLNKRQEVYGINKFAEPEPKGFWLFVWEALQDMTLMILGACAFVSLIVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFRDLEKEKKKITIQVTRNACRQKMSIYDLLPGDIVHLNIGDQVPADGLFVSGYSVLIDESSLTGECEPVMVNAENPFMLSGTKLQDGSCKMMVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKVGLFFAVVTFAVLVQGLFSSKLQEGTIWSWSGDEALQMLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKSCICMGVKEVGNNNKASFCSEIPESTVKLLLQSIFTNTGGEIVINKSGKREILGTPTETALLEFGLSLGGDSQAERQASKIVKVEPFNSTKKRMGVVLELPEGGLRAHTKGASEIVLAGCDKVINSDGEVIPLDEESINHLNDTINQFANEALRTLCLAYMELENGFSPHNAIPVSGYTCIGIVGIKDPVRPGVKESVATCRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKSQEELLTLIPKIQVMARSSPMDKHTLVKHLRTNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGARFSMRSAHVTWRK >EOX91673 pep chromosome:Theobroma_cacao_20110822:1:3502621:3509503:-1 gene:TCM_000789 transcript:EOX91673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ATPase 2 isoform 3 MESYLNENFEVKAKHSSEETLEKWRKVCGIVKNPKRRFRFTANLSKRYEAAAMRRTNQEKLRIAVLVSKAAFQFISGVQPSDYVVPEEVKAAGFQVCADELGSIVEGHEVKKLKFHGGVDGIAEKLSTSTTNGLTSDSGLLNKRQEVYGINKFAEPEPKGFWLFVWEALQDMTLMILGACAFVSLIVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFRDLEKEKKKITIQVTRNACRQKMSIYDLLPGDIVHLNIGDQVPADGLFVSGYSVLIDESSLTGECEPVMVNAENPFMLSGTKLQDGSCKMMVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKVGLFFAVVTFAVLVQGLFSSKLQEGTIWSWSGDEALQMLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKSCICMGVKEVGNNNKASFCSEIPESTVKLLLQSIFTNTGGEIVINKSGKREILGTPTETALLEFGLSLGGDSQAERQASKIVKVEPFNSTKKRMGVVLELPEGGLRAHTKGASEIVLAGCDKVINSDGEVIPLDEESINHLNDTINQFANEALRTLCLAYMELENGFSPHNAIPVSGYTCIGIVGIKDPVRPGVKESVATCRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKSQEELLTLIPKIQVMARSSPMDKHTLVKHLRTNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPTDELMKRSPVGKKGNFISNVMWRNILGQSLYQFMVIWYLQTKGKAIFNLNGPDSDLILNTLIFNSFVFCQVFNEISSRNMEEIDVFKGILDNYVFVAVLSCTAVFQVIIVEFLGTFANTTPLTFSQWFLSVFIGFIGMPFAAALKMIPV >EOX91674 pep chromosome:Theobroma_cacao_20110822:1:3504254:3509698:-1 gene:TCM_000789 transcript:EOX91674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ATPase 2 isoform 3 MESYLNENFEVKAKHSSEETLEKWRKVCGIVKNPKRRFRFTANLSKRYEAAAMRRTNQEKLRIAVLVSKAAFQFISGVQPSDYVVPEEVKAAGFQVCADELGSIVEGHEVKKLKFHGGVDGIAEKLSTSTTNGLTSDSGLLNKRQEVYGINKFAEPEPKGFWLFVWEALQDMTLMILGACAFVSLIVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFRDLEKEKKKITIQVTRNACRQKMSIYDLLPGDIVHLNIGDQVPADGLFVSGYSVLIDESSLTGECEPVMVNAENPFMLSGTKLQDGSCKMMVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKVGLFFAVVTFAVLVQGLFSSKLQEGTIWSWSGDEALQMLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKSCICMGVKEVGNNNKASFCSEIPESTVKLLLQSIFTNTGGEIVINKSGKREILGTPTETALLEFGLSLGGDSQAERQASKIVKVEPFNSTKKRMGVVLELPEGGLRAHTKGASEIVLAGCDKVINSDGEVIPLDEESINHLNDTINQFANEALRTLCLAYMELENGFSPHNAIPVSGYTCIGIVGIKDPVRPGVKESVATCRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKSQEELLTLIPKIQVMARSSPMDKHTLVKHLRTNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGARFSMRSAHVTWRK >EOX91700 pep chromosome:Theobroma_cacao_20110822:1:3567277:3569175:1 gene:TCM_000804 transcript:EOX91700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 GLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNDDTAVQFLLFYVVDAADPDNLSISRSELHDLLSKSSLSGIPLLVLGNKIDKPGALTKQALTDEMGLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >EOX91701 pep chromosome:Theobroma_cacao_20110822:1:3566175:3568993:1 gene:TCM_000804 transcript:EOX91701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISRSELHDLLSKSSLSGIPLLVLGNKIDKPGALTKQALTDEMGLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >EOX91699 pep chromosome:Theobroma_cacao_20110822:1:3566014:3569209:1 gene:TCM_000804 transcript:EOX91699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISRSELHDLLSKSSLSGIPLLVLGNKIDKPGALTKQALTDEMGLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >EOX92513 pep chromosome:Theobroma_cacao_20110822:1:7252256:7258478:1 gene:TCM_001456 transcript:EOX92513 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 2 MVNYRGSSLMRTSSTAKFLSRKQSTTTIAIYIIFLFAFSIFVFLFNAGNIVEDQQNPIISHESHSQSQSQLLQVADEELWGAPSSHGLRPCVKPTSRYKAAQGSGHYLTVKSNGGLNQMRTGISDMVAVAHIMNATLVIPQLDKRSFWQDSSTFSDIFDELHFIKTLQGDVRIIRELPKELELVPRARKHFTSWSSMGYYKEMMHLWEDHQVIHVVKSDSRLANNDLPLDIQRLRCRALYHALRFSPPIQSLGKLVDRLRMRGGRYIALHLRYEKDMLAFTGCTYGLTDAEAEELRIMRDNTKHWKIKEINSTEQRLGGFCPLTPKEVGIFLQAVGYPPSTLIYVAAGEIYGGDARLSELMSRFPNLVFKEQLATEKELKAFAKHASQSAALDYIISIESDVFVPSYSGNMARAVEGHRRFLGHRKTITPDRKGLVRLFDELEGGQHREASSFSYLVRQTHKNRQGAPRKRKGPSPGIKGKARFRTEESFYENPFPECICSSKVVKMVKN >EOX92512 pep chromosome:Theobroma_cacao_20110822:1:7252256:7258335:1 gene:TCM_001456 transcript:EOX92512 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 2 MVNYRGSSLMRTSSTAKFLSRKQSTTTIAIYIIFLFAFSIFVFLFNAGNIVEDQQNPIISHESHSQSQSQLLQVADEELWGAPSSHGLRPCVKPTSRYKAAQGSGHYLTVKSNGGLNQMRTGISDMVAVAHIMNATLVIPQLDKRSFWQDSSTFSDIFDELHFIKTLQGDVRIIRELPKELELVPRARKHFTSWSSMGYYKEMMHLWEDHQVIHVVKSDSRLANNDLPLDIQRLRCRALYHALRFSPPIQSLGKKLVDRLRMRGGRYIALHLRYEKDMLAFTGCTYGLTDAEAEELRIMRDNTKHWKIKEINSTEQRLGGFCPLTPKEVGIFLQAVGYPPSTLIYVAAGEIYGGDARLSELMSRFPNLVFKEQLATEKELKAFAKHASQSAALDYIISIESDVFVPSYSGNMARAVEGHRRFLGHRKTITPDRKGLVRLFDELEGGQHREASSFSYLVRQTHKNRQGAPRKRKGPSPGIKGKARFRTEESFYENPFPECICSSKVVKMVKN >EOX92514 pep chromosome:Theobroma_cacao_20110822:1:7252537:7258478:1 gene:TCM_001456 transcript:EOX92514 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 2 MVNYRGSSLMRTSSTAKFLSRKQSTTTIAIYIIFLFAFSIFVFLFNAGNIVEDQQNPIISHESHSQSQSQLLQVADEELWGAPSSHGLRPCVKPTSRYKAAQGSGHYLTVKSNGGLNQMRTGISDMVAVAHIMNATLVIPQLDKRSFWQDSSTFSDIFDELHFIKTLQGDVRIIRELPKELELVPRARKHFTSWSSMGYYKEMMHLWEDHQVIHVVKSDSRLANNDLPLDIQRLRCRALYHALRFSPPIQSLGKKLVDRLRMRGGRYIALHLRYEKDMLAFTGCTYGLTDAEAEELRIMRDNTKHWKIKEINSTEQRLGGFCPLTPKEVGIFLQAVGYPPSTLIYVAAGEIYGGDARLSELMSRFPNLVFKEQLATEKELKAFAKHASQSAALDYIISIESDVFVPSYSGNMARAVEGHRRFLGHRKTITPDSRKGLVRLFDELEGGQHREASSFSYLVRQTHKNSRQGAPRKRKGPSPGIKGKARFRTEESFYENPFPECICSSKVVKMVKN >EOX93695 pep chromosome:Theobroma_cacao_20110822:1:15126052:15129002:1 gene:TCM_002609 transcript:EOX93695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein, putative MESVSVIHSSSPPFRNHPKTHKPTSSKSNPFFSSSFPISTCIPQTPINQQTNFPTTKLTSPSPFTQSRNHLQSPLWILKNSSVLQSLTKTHLSFTLFNLLTSFPCLASETVIFSTEPGKVSLEAILVSIDEFFNKNPFFVAGCTFIWLVAVPLTKEYLSKCKFISAIDAFGKLRDDSNAQLLDIRDDKTLASLASPNLKFLNKDSVQVQFTAEDENGFVKKVLQKFPSPANTVLCLLDNFDGNSLKAAELLYQNGFKEAYAIRGGVRGKKGWLAIQETLLPPSVHIKPKKKKKKKVKISQQFGVNGAVSQVEDKKEDSSSTSAPVVESQTIDHEVTESMPHVKVGPRSFSPYPSYPDLKPPSSPTPSKP >EOX96300 pep chromosome:Theobroma_cacao_20110822:1:37257624:37261368:-1 gene:TCM_005577 transcript:EOX96300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant VAP MSTGELLSIEPQELQFPFELRKQISCSLNLSNKTDNYVAFKVKTTNPKKYCVRPNTGVVLPRSTCNVIVTMQAQKETPPDMQCKDKFLLQSVVASPGATPKDITPEMFNKESGHHVEECKLRVVYVAPPRPPSPVREGSEEGSSPRASVSDNGSLNAAEFTAVSRAHVERHEPQDNSSDARSLISKLTEEKNSAVNLNNKLQQELDLLRREAKRSHGGIPFIYVILVGLVGIVLGYLLKRT >EOX94958 pep chromosome:Theobroma_cacao_20110822:1:32197688:32201369:-1 gene:TCM_004549 transcript:EOX94958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C3HC4-type RING finger) family protein isoform 1 MHQVICSICNTEQEVAQVCCNCRVNMGEYFCNICKFYDDDTTKEQFHCNDCGICRVGGRDNYFHCQKCGSCYMVNLHDNHLCVENSMKTDCPICYEYLFDSVKSTRILNCGHTMHMDCFSQMTMQNQYRCPICCKAVLDMTAFWEVLDLDIDATSMPAEYQFEVSILCNDCNSKSIVQFHILGLKCRRCNSYNTRRIANQAIIRDTH >EOX94956 pep chromosome:Theobroma_cacao_20110822:1:32197374:32202038:-1 gene:TCM_004549 transcript:EOX94956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C3HC4-type RING finger) family protein isoform 1 MAGGSVSAPQRSKDFGNLEHGCEHYKRRCKIRAPCCNKIFPCRHCHNEAANSVSNPKDHHDLVRQDVKQVICSICNTEQEVAQVCCNCRVNMGEYFCNICKFYDDDTTKEQFHCNDCGICRVGGRDNYFHCQKCGSCYMVNLHDNHLCVENSMKTDCPICYEYLFDSVKSTRILNCGHTMHMDCFSQMTMQNQYRCPICCKAVLDMTAFWEVLDLDIDATSMPAEYQFEVSILCNDCNSKSIVQFHILGLKCRRCNSYNTRRIANQAIIRDTH >EOX94959 pep chromosome:Theobroma_cacao_20110822:1:32198642:32202038:-1 gene:TCM_004549 transcript:EOX94959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C3HC4-type RING finger) family protein isoform 1 MAGGSVSAPQRSKDFGNLEHGCEHYKRRCKIRAPCCNKIFPCRHCHNEAANSVSNPKDHHDLVRQDVKQVICSICNTEQEVAQVCCNCRVNMGEYFCNICKFYDDDTTKEQFHCNDCGICRVGGRDNYFHCQKCGSCYMVNLHDNHLCVENSMKTDCPICYEYLFDSVKSTRILNCGHTMHMDCFSQMTMQNQYRCPICCKAVLDMTAFWEVLDLDV >EOX94957 pep chromosome:Theobroma_cacao_20110822:1:32197688:32201369:-1 gene:TCM_004549 transcript:EOX94957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C3HC4-type RING finger) family protein isoform 1 MHQVICSICNTEQEVAQVCCNCRVNMGEYFCNICKFYDDDTTKEQFHCNDCGICRVGGRDNYFHCQKCGSCYMVNLHDNHLCVENSMKTDCPICYEYLFDSVKSTRILNCGHTMHMDCFSQMTMQNQYRCPICCKAVLDMTAFWEVLDLDIDATSMPAEYQFEVSILCNDCNSKSIVQFHILGLKCRRCNSYNTRRIANQAIIRDTH >EOX95697 pep chromosome:Theobroma_cacao_20110822:1:35268049:35271938:1 gene:TCM_005142 transcript:EOX95697 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box and TRAF-like domains isoform 1 MMMRSIIIIIIIIIISCLHCRSHIATMGTATALCHQRFTQAPPVSMNFLNVLSVPILCTLLFIRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYTSHGCPEIFPYYSKLKHEAQCNFRPYNCPYAGSECSVVGDIPFLVTHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDEMEARNYSYSLEVGGNGRKLIWEGTPRTIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPEGGACIPNLCS >EOX95696 pep chromosome:Theobroma_cacao_20110822:1:35267806:35271922:1 gene:TCM_005142 transcript:EOX95696 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box and TRAF-like domains isoform 1 MDLDTIDCVTSSDVMDDDEIHHHNHHHHHNQLSPLSKPHSNNGNGNSTVSSAVHPSTTSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYTSHGCPEIFPYYSKLKHEAQCNFRPYNCPYAGSECSVVGDIPFLVTHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDEMEARNYSYSLEVGGNGRKLIWEGTPRTIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPEGGACIPNLCS >EOX95784 pep chromosome:Theobroma_cacao_20110822:1:35558291:35564654:1 gene:TCM_005199 transcript:EOX95784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin isoform 5 MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKEGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSEYRNLYNHENIFVSDHGGGAGNNWASGYHQGKGVEEDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFVQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPDHSLTGEGNGSGTLDPKLAV >EOX95781 pep chromosome:Theobroma_cacao_20110822:1:35557934:35563625:1 gene:TCM_005199 transcript:EOX95781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin isoform 5 MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKEGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSEYRNLYNHENIFVSDHGGGAGNNWASGYHQGKGVEEDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFVQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPDHSLTGEGNGSGTLDPKLAV >EOX95782 pep chromosome:Theobroma_cacao_20110822:1:35557934:35564350:1 gene:TCM_005199 transcript:EOX95782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin isoform 5 MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKEGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSEYRNLYNHENIFVSDHGGGAGNNWASGYHQGKGVEEDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFVQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPDHSLTGEGNGSGTLDPKLAV >EOX95785 pep chromosome:Theobroma_cacao_20110822:1:35558447:35563336:1 gene:TCM_005199 transcript:EOX95785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin isoform 5 MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKEGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSEYRNLYNHENIFVSDHGGGAGNNWASGYHQGKGVEEDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFVQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEVHTLLALTSPSLSALWLSLMTM >EOX95783 pep chromosome:Theobroma_cacao_20110822:1:35558367:35563708:1 gene:TCM_005199 transcript:EOX95783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin isoform 5 MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKEGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSEYRNLYNHENIFVSDHGGGAGNNWASGYHQGKGVEEDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFVQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYEKLRKKQAFLDNYRKFPMFAM >EOX94638 pep chromosome:Theobroma_cacao_20110822:1:30658333:30664937:-1 gene:TCM_004272 transcript:EOX94638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 YEVLSTVSRYGCRREVHLVFMLTHRQCKSSTVDGGDRKETKLDNEFDPNAKKKWGNSLISWSRQTSHHRLLSVNVALPFSWRHVTGTRGSRLGSNHPSQRSRLATTTVKIYLFIFRRKESLSL >EOX94639 pep chromosome:Theobroma_cacao_20110822:1:30658333:30661037:-1 gene:TCM_004272 transcript:EOX94639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 ETLGHKRQEYGCRREVHLVFMLTHRQCKSSTVDGGDRKETKLDNEFDPNAKKKWGNSLISWSRQTSHHRLLSVNVALPFSWRHVTGTRGSRLGSNHPSQRSRLATTTVKIYLFIFRRKESLSL >EOX94637 pep chromosome:Theobroma_cacao_20110822:1:30658333:30661280:-1 gene:TCM_004272 transcript:EOX94637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLTHRQCKSSTVDGGDRKETKLDNEFDPNAKKKWGNSLISWSRQTSHHRLLSVNVALPFSWRHVTGTRGSRLGSNHPSQRSRLATTTVKIYLFIFRRKESLSL >EOX93433 pep chromosome:Theobroma_cacao_20110822:1:13055769:13060448:1 gene:TCM_002309 transcript:EOX93433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 7 long form MSEVGMDPCAANPGPIDPSILYDQENHVSSAVWDGQERGALRCHEHTSKLGEWRLTPKQIELVEKAGFGYLRKIPAISLDNPLISALVERWRRETNTFHFTMGEMTVTLQDVAFLLGLAIDGDPVIGITYTTCSKVCERYLGKAPDSTYASGGMVKLSWLKEFFSQCPEDASTEKIEHHTRAYLLYLVGSTIFSTTTGNKVPVMYLPLFENFDVAGRYAWGAAALAFLYRALGNASVKSQSTICGCLTLLQCWSYYHLNIGRPKLNRDPIHDHFPFVLRWKGKQSGPTTNRDVVFYRKELDSLEPCNVEWLPYKHMDSTVIPEEIRSTLVLGRSKTMLICFDKAERHLPDRVLRQYGMFQPIPEDVARWVRKSRGVDGGVDLSGKMESELNEWADRKLHIVEGDNDADENEYTWWYLRITRKVVGRPISLSSEFQRTIGGLREISYLADTFPSEGLEPDQVDLLSRIRSIAQECLRDQVGGPLVASPIVGTELRKRSRGKERVRRKGTGKRKRGNDPMDGHGASEDESKYCGVLVAVDQLHVHQADDEVDHMPLCITAVEGDTAPLSEAPNKVDDMQLHDATDVIDASQFCDASNEVDDSNMSHAISESDLQTVKTAEEVIPQSSQLPDATNETNDSEIHNATNKPNDSQVNATNGVNDHQTLVATDVNESQTHGATNTVGESQPSDVAVDSDQKTENEAKVSPQLSHENVEDLAQQGETSIVA >EOX94775 pep chromosome:Theobroma_cacao_20110822:1:31322643:31326980:1 gene:TCM_004385 transcript:EOX94775 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAN GTPase activating protein 1 isoform 1 MESGSQALQHRLLSVKLWPPSYSTRLMLVERMTKNLTTPSIFSRKYGLLSSEEAEEDAKKIEELAFATADQHYKKEPDGDGSSAVQIYAKESSRLMLEVIKRGPTVKDGELADKNTALHETVFDISGGRRAFIDAEEAEDLLKPLRQPGNSYTKICFSNRSFGLDAANVAAPILSSIKDQLTEVDLSDFIAGRPESEALEVMNIFSSVLEGCHLRYLNLSNNALGEKGVRAFGALLKSQNNLEELYLMNDGISEEAARAVSELIPSTEKLKVLQFHNNMTGDEGAIAISEILKRSCALEDFRCSSTRVGADGGVALAEALKTCTHLKKLDLRDNMFGVEAGVALSEALSLFANLTEVYLSFLNLEDEGAEALANALKESAPSLEVLEMAGNDITAKGAASLAACIASKQFLTKLNLAENELKDEGAILIAKAIGEGHGQLNEVDMSSNAIRRAGARLLAQVVVKKPGFKLLNINGNFISDDGIDEVKESFKSWPDMLGPLDENDPEGEDDEDAEEENAENESELESKLKDLKIEQEE >EOX94776 pep chromosome:Theobroma_cacao_20110822:1:31314619:31326980:1 gene:TCM_004385 transcript:EOX94776 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAN GTPase activating protein 1 isoform 1 MESGSQALQHRLLSVKLWPPSYSTRLMLVERMTKNLTTPSIFSRKYGLLSSEEAEEDAKKIEELAFATADQHYKKEPDGDGSSAVQIYAKESSRLMLEVIKRGPTVKDGELADKNTALHETVFDISGGRRAFIDAEEAEDLLKPLRQPGNSYTKICFSNRSFGLDAANVAAPILSSIKDQLTEVDLSDFIAGRPESEALEVMNIFSSVLEGCHLRYLNLSNNALGEKGVRAFGALLKSQNNLEELYLMNDGISEEAARAVSELIPSTEKLKVLQFHNNMTGDEGAIAISEILKRSCALEDFRCSSTRVGADGGVALAEALKTCTHLKKLDLRDNMFGVEAGVALSEALSLFANLTEVYLSFLNLEDEGAEALANALKESAPSLEVLEMAGNDITAKGAASLAACIASKQFLTKLNLAENELKDEGAILIAKAIGEGHGQLNEVDMSSNAIRRAGARLLAQVVVKKPGFKLLNINGNFISDDGIDEVKESFKSWPDMLGPLDENDPEGEDDEDAEEENAENESELESKLKDLKIEQEE >EOX92796 pep chromosome:Theobroma_cacao_20110822:1:8644780:8650184:1 gene:TCM_001669 transcript:EOX92796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MLGEMLPPGIVSKAWVSMLNDCTRHGRHYQALFLFVQKVRCSSSFGLDHQVLAAILKSCAALRTTLFGRALHSCAVKLGHVSCHSVSKALLNMYAKSGALGDCQKLFSQMGTSTSDPVVWNIVLSGLAGYREYNDQVLRLFSSMPVSNEAKPNPVTVAIVLPLYARLGDIDGGKVVHSYVIKSGLDAHTLVGNALISMYAKCGLVKEDAYAVFCSISDKDVVSWNAIIAGFSENNLMDDAFRLFRKMLKGPIAPNDSTIVNILLVCATLDKNVACYLGKEVHCFLLRRTDIGADVSVCNALVSYYLKVGHMDKAELVFQKMESRDLVSWNAIIAGYVANGYWLRALDLFLELLSANMFGPNSVTLVSILSACAHLKDLQVGKVIHGYILRHSCLYADTALENSLISFYAKCNDIGAAYQTFLMIPWRDLVSWNSILDAFAECEYDSRFQELLNFMLGEGLRPDFITFLAIFRFCVCVSSLVKVKETHCYCLKAGFLQGNSEPAVINAIIDAYAKCGNMGYASRIFHSFPGRKNLVTFNSMISAYVNSGSYDDAFMIFNGMSVRDLTSWNLMVQACAENDCPGLALSLFHELQAQGMKPDVVTIMSILPVCAQLASVYLLRQCHGYVIRACFQDARLNGALLDVYAKCGRIWSAHKLFQSTPVKDLVMFTSMIGGYAMHGMGEEALCHFSFMLESGVKPDHVIITAILSACCHAGLVDEGLKILYSLETAHGMKPSIEHYACIVDLLARGGRINDAYSLVAGMSVEANAMVWGTLLGACRTHHEVELGRVVADHLFQIDANNIGNYVVMSNLYAADARWDGVMEVRKLMRTRDLRKPAGCSWIEVKKRNNVFIAGDCLHPERKIIYSTLSTLDQQIKEPFLFDKINMTDHEVELDRVVADHLFQIEANNIGNNVVMSNQYAADARWDGGEKTDEYKRLKKTCRMQLD >EOX91816 pep chromosome:Theobroma_cacao_20110822:1:3986253:3988849:1 gene:TCM_000888 transcript:EOX91816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal NAD-malate dehydrogenase 1 isoform 1 MQPNNSQVNRRIATISAHLNPPNYSQMEGGSGLGRANCRAKGGSAGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNAPGVTADISHMDTGAVVRGFLGQQQLEEALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVKTLCEGIAKCCPKAIVNLISNPVNSTVPIAAEVFKKAGTFDPKRLLGVTMLDVVRANTFVAEVLGLDPREVDVPVVGGHAGVTILPLLSQVKPPCSFTQKEIDYLTDRIQNGGTEVVEGFVQRIRQNMSTPPFYTCRANLAGICA >EOX91814 pep chromosome:Theobroma_cacao_20110822:1:3986206:3990061:1 gene:TCM_000888 transcript:EOX91814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal NAD-malate dehydrogenase 1 isoform 1 MQPNNSQVNRRIATISAHLNPPNYSQMEGGSGLGRANCRAKGGSAGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNAPGVTADISHMDTGAVVRGFLGQQQLEEALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVKTLCEGIAKCCPKAIVNLISNPVNSTVPIAAEVFKKAGTFDPKRLLGVTMLDVVRANTFVAEVLGLDPREVDVPVVGGHAGVTILPLLSQVKPPCSFTQKEIDYLTDRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRGLRGDAGVVECAFVASHVTELPFFASKVRLGRFGVEEVYPLGPLNEYERIGLEKAKKELAGSIQKGVSFVKK >EOX91815 pep chromosome:Theobroma_cacao_20110822:1:3986393:3989969:1 gene:TCM_000888 transcript:EOX91815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal NAD-malate dehydrogenase 1 isoform 1 MQPNNSQVNRRIATISAHLNPPNYSQMEGGSGLGRANCRAKGGSAGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNAPGVTADISHMDTGAVVRGFLGQQQLEEALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVKTLCEGIAKCCPKAIVNLISNPVNSTVPIAAEVFKKAGTFDPKRLLGVTMLDVVRANTFVAEVLGLDPREVDVPVVGGHAGVTILPLLSQQVKPPCSFTQKEIDYLTDRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRGLRGDAGVVECAFVASHVTELPFFASKVRLGRFGVEEVYPLGPLNEYERIGLEKAKKELAGSIQKGVSFVKK >EOX90678 pep chromosome:Theobroma_cacao_20110822:1:314386:316874:-1 gene:TCM_000076 transcript:EOX90678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKPTGTAQEEFSAEEEEQQESSEEVDSLQVAQGARERSAGQINETYVTQSEKGDDGDNVKIQGGGDQHPMGQSETESCDETEGSKRDDVKGQGGDDQDQGGAGASRFLLIGQKRGRAGDPTHVASSSELKPRKKGELELPGCPPMCYVCQKTFPTWRGVFGHLRSHNRQTPGAFPPPTFTPVGSPEGKNGDNPLTHDLAPMMLNLARETLGKMHQDHLSRSATVSAGGASSSMRIFGMDLSESRNRTTPFLFDLNEPAPEEEDDADDGKN >EOX95345 pep chromosome:Theobroma_cacao_20110822:1:33786310:33788804:-1 gene:TCM_004859 transcript:EOX95345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MWKKNSISWNSLIGGYVRQGLGRRALEEFDRMMNSGFRPNQVTFVNILYVCSDSGLVEEGERHFNSMDQKYKILAGLEHYACIVEIYGKAGQLDKAEKLIKGMPFGPDVIVWEAFSRAFCLHSGLELCEFATEGISKLKMNYPAAFSAVIRPILKHGRGVVLTELRPLKQMKEKGKYFKTDGS >EOX94015 pep chromosome:Theobroma_cacao_20110822:1:18693969:18698109:-1 gene:TCM_003056 transcript:EOX94015 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI3, putative isoform 2 MKGVQQHLRCEDLQAGARNEANPSGFDAPEVERVMGTEEREIWLDRQQDDLLDVNDASIFYGDFPPLPDFPCMSSSSSSSSTPALVKAFACSSSASTASSCSSAASWAVLKSDADEDVERKNNCHGHHHHHHHHHHHHHHNHHHHHGQHDLVDGTPAALSSTVSMEILQPSDHVMHGVDCMDVMENFGYMDLLDNNDFFDPSSIFQHDDTGLEEFQQEQKPQQEQSQQPEQEVPTIYSKNEETQEEKSSDDLAMVFLEWLKTNKETVSAEDLRRVKIKKATIECAARRLGGGKEAMKQLLKLILEWVQTNHLQKRRIKESASNNNIDIPYQYQDNFQNPNPNPNPNSNPNLSCDSGPPPEPNSCFSQPPWIPQPTYVTDPVAVVAGFAPTVGYMGDPFLNGAPNINSHPYQPPTDYPMLDSAQTWPPSQFALASQYNSFPDNNLHPAPHQPSAFPGYGNQYPYQYIQRHNNEERLVRLGSSATKEARKKRMARQRRFAPHHRNHNYHNNQQSQHQNQSVDQHVRLIKDNCAAAAQANSGNWVYWPAVACGMASNPPLLPGDVPVVHPVDRPSMQGQTYQRHVASDRRQKVLKQSDVGNLGRIVLPKKEAETHLPELEARDGISIAMEDIGTSRVWNMRYRFWPNNKSRMYLLENTGDFVRTNGLQEGDFIVIYSDVKCSKYLIRGVKVRQSGPKSETKRPGKSQKNQHSNSPAAAAVNGSSSSPIKQ >EOX94012 pep chromosome:Theobroma_cacao_20110822:1:18694464:18697271:-1 gene:TCM_003056 transcript:EOX94012 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI3, putative isoform 2 MKGVQQHLRCEDLQAGARNEANPSGFDAPEVERVMGTEEREIWLDRQQDDLLDVNDASIFYGDFPPLPDFPCMSSSSSSSSTPALVKAFACSSSASTASSCSSAASWAVLKSDADEDVERKNNCHGHHHHHHHHHHHHHHNHHHHHGQHDLVDGTPAALSSTVSMEILQPSDHVMHGVDCMDVMENFGYMDLLDNNDFFDPSSIFQHDDTGLEEFQQEQKPQQEQSQQPEQEVPTIYSKNEETQEEKSSDDLAMVFLEWLKTNKETVSAEDLRRVKIKKATIECAARRLGGGKEAMKQLLKLILEWVQTNHLQKRRIKESASNNNIDIPYQYQDNFQNPNPNPNPNSNPNLSCDSGPPPEPNSCFSQPPWIPQPTYVTDPVAVVAGFAPTVGYMGDPFLNGAPNINSHPYQPPTDYPMLDSAQTWPPSQFALASQYNSFPDNNLHPAPHQPSAFPGYGNQYPYQYIQRHNNEERLVRLGSSATKEARKKRMARQRRFAPHHRNHNYHNNQQSQHQNQSVDQHVRLIKDNCAAAAQANSGNWVYWPAVACGMASNPPLLPGDVPVVHPVDRPSMQGQTYQRHVASDRRQGWKPEKNLRFLLQKVLKQSDVGNLGRIVLPKKEAETHLPELEARDGISIAMEDIGTSRVWNMRYRFRFWPNNKSRMYLLENTGDFVRTNGLQEGDFIVIYSDVKCSKYLIRGVKVRQSGPKSETKRPGKSQKNQHSNSPAAAAVNGSSSSPIKQ >EOX94013 pep chromosome:Theobroma_cacao_20110822:1:18693868:18698185:-1 gene:TCM_003056 transcript:EOX94013 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI3, putative isoform 2 MWVSWSLDHHKQHLRCEDLQAGARNEANPSGFDAPEVERVMGTEEREIWLDRQQDDLLDVNDASIFYGDFPPLPDFPCMSSSSSSSSTPALVKAFACSSSASTASSCSSAASWAVLKSDADEDVERKNNCHGHHHHHHHHHHHHHHNHHHHHGQHDLVDGTPAALSSTVSMEILQPSDHVMHGVDCMDVMENFGYMDLLDNNDFFDPSSIFQHDDTGLEEFQQEQKPQQEQSQQPEQEVPTIYSKNEETQEEKSSDDLAMVFLEWLKTNKETVSAEDLRRVKIKKATIECAARRLGGGKEAMKQLLKLILEWVQTNHLQKRRIKESASNNNIDIPYQYQDNFQNPNPNPNPNSNPNLSCDSGPPPEPNSCFSQPPWIPQPTYVTDPVAVVAGFAPTVGYMGDPFLNGAPNINSHPYQPPTDYPMLDSAQTWPPSQFALASQYNSFPDNNLHPAPHQPSAFPGYGNQYPYQYIQRHNNEERLVRLGSSATKEARKKRMARQRRFAPHHRNHNYHNNQQSQHQNQSVDQHVRLIKDNCAAAAQANSGNWVYWPAVACGMASNPPLLPGDVPVVHPVDRPSMQGQTYQRHVASDRRQKVLKQSDVGNLGRIVLPKKEAETHLPELEARDGISIAMEDIGTSRVWNMRYRFWPNNKSRMYLLENTGDFVRTNGLQEGDFIVIYSDVKCSKYLIRGVKVRQSGPKSETKRPGKSQKNQHSNSPAAAAVNGSSSSPIKQ >EOX94014 pep chromosome:Theobroma_cacao_20110822:1:18694464:18697271:-1 gene:TCM_003056 transcript:EOX94014 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI3, putative isoform 2 MKGVQQHLRCEDLQAGARNEANPSGFDAPEVERVMGTEEREIWLDRQQDDLLDVNDASIFYGDFPPLPDFPCMSSSSSSSSTPALVKAFACSSSASTASSCSSAASWAVLKSDADEDVERKNNCHGHHHHHHHHHHHHHHNHHHHHGQHDLVDGTPAALSSTVSMEILQPSDHVMHGVDCMDVMENFGYMDLLDNNDFFDPSSIFQHDDTGLEEFQQEQKPQQEQSQQPEQEVPTIYSKNEETQEEKSSDDLAMVFLEWLKTNKETVSAEDLRRVKIKKATIECAARRLGGGKEAMKQLLKLILEWVQTNHLQKRRIKESASNNNIDIPYQYQDNFQNPNPNPNPNSNPNLSCDSGPPPEPNSCFSQPPWIPQPTYVTDPVAVVAGFAPTVGYMGDPFLNGAPNINSHPYQPPTDYPMLDSAQTWPPSQFALASQYNSFPDNNLHPAPHQPSAFPGYGNQYPYQYIQRHNNEERLVRLGSSATKEARKKRMARQRRFAPHHRNHNYHNNQQSQHQNQSVDQHVRLIKDNCAAAAQANSGNWVYWPAVACGMASNPPLLPGDVPVVHPVDRPSMQGQTYQRHVASDRRQGWKPEKNLRFLLQKVLKQSDVGNLGRIVLPKKEAETHLPELEARDGISIAMEDIGTSRVWNMRYRFWPNNKSRMYLLENTGDFVRTNGLQEGDFIVIYSDVKCSKYLIRGVKVRQSGPKSETKRPGKSQKNQHSNSPAAAAVNGSSSSPIKQ >EOX94011 pep chromosome:Theobroma_cacao_20110822:1:18694074:18698179:-1 gene:TCM_003056 transcript:EOX94011 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI3, putative isoform 2 MWVSWSLDHHKQHLRCEDLQAGARNEANPSGFDAPEVERVMGTEEREIWLDRQQDDLLDVNDASIFYGDFPPLPDFPCMSSSSSSSSTPALVKAFACSSSASTASSCSSAASWAVLKSDADEDVERKNNCHGHHHHHHHHHHHHHHNHHHHHGQHDLVDGTPAALSSTVSMEILQPSDHVMHGVDCMDVMENFGYMDLLDNNDFFDPSSIFQHDDTGLEEFQQEQKPQQEQSQQPEQEVPTIYSKNEETQEEKSSDDLAMVFLEWLKTNKETVSAEDLRRVKIKKATIECAARRLGGGKEAMKQLLKLILEWVQTNHLQKRRIKESASNNNIDIPYQYQDNFQNPNPNPNPNSNPNLSCDSGPPPEPNSCFSQPPWIPQPTYVTDPVAVVAGFAPTVGYMGDPFLNGAPNINSHPYQPPTDYPMLDSAQTWPPSQFALASQYNSFPDNNLHPAPHQPSAFPGYGNQYPYQYIQRHNNEERLVRLGSSATKEARKKRMARQRRFAPHHRNHNYHNNQQSQHQNQSVDQHVRLIKDNCAAAAQANSGNWVYWPAVACGMASNPPLLPGDVPVVHPVDRPSMQGQTYQRHVASDRRQGWKPEKNLRFLLQKVLKQSDVGNLGRIVLPKKEAETHLPELEARDGISIAMEDIGTSRVWNMRYRFWPNNKSRMYLLENTGDFVRTNGLQEGDFIVIYSDVKCSKYLIRGVKVRQSGPKSETKRPGKSQKNQHSNSPAAAAVNGSSSSPIKQ >EOX93662 pep chromosome:Theobroma_cacao_20110822:1:14639739:14651605:-1 gene:TCM_002550 transcript:EOX93662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3755) [Source:Projected from Arabidopsis thaliana (AT3G07565) TAIR;Acc:AT3G07565] MAASANTTGTNQEGSSNRKVTAPPPPTNGVSVNSNGGNTATVSADTQSSLRHNPGISADWTPDEQLILEDLLAKYASDSTIVRYAKIAMKLKDKTVRDVALRCRWMTKKENGKRRKEDHNSARKNKDRREKGSDSSAKSTSHLTTRPNGPSYASPMTPMDNDDGIPYKAIGGVTGELLEQNAQMFNQISANFAAFQIHDNINLLCKTWDNILTILNDLNDLPEVMKQMPPLPVKVNEELANSILPRSSHQMKS >EOX93664 pep chromosome:Theobroma_cacao_20110822:1:14642143:14651682:-1 gene:TCM_002550 transcript:EOX93664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3755) [Source:Projected from Arabidopsis thaliana (AT3G07565) TAIR;Acc:AT3G07565] MAASANTTGTNQEGSSNRKVTAPPPPTNGVSVNSNGGNTATVSADTQSSLRHNPGISADWTPDEQLILEDLLAKYASDSTIVRYAKIAMKLKDKTVRDVALRCRWMTKKENGKRRKEDHNSARKNKDRREKGSDSSAKSTSHLTTRPNGPSYASPMTPMDNDDGIPYKDT >EOX93663 pep chromosome:Theobroma_cacao_20110822:1:14641777:14651512:-1 gene:TCM_002550 transcript:EOX93663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3755) [Source:Projected from Arabidopsis thaliana (AT3G07565) TAIR;Acc:AT3G07565] MAASANTTGTNQEGSSNRKVTAPPPPTNGVSVNSNGGNTATVSADTQSSLRHNPGISADWTPDEQLILEDLLAKYASDSTIVRYAKIAMKLKDKTVRDVALRCRWMTKKENGKRRKEDHNSARKNKDRREKGSDSSAKSTSHLTTRPNGPSYASPMTPMDNDDGIPYKAIGGVTGELLEQNAQMFNQISANFAAFQIHDNINLLCKTWDNILTILNDLNDLPEVMKQMPPLPVKVNEELANSILPRSSHQMKS >EOX95350 pep chromosome:Theobroma_cacao_20110822:1:33839678:33841234:-1 gene:TCM_004867 transcript:EOX95350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acidic endochitinase MFATMARKSQTIPLLICLIAVALSKTSYATVISTYWGQNGFEGTLKEACDTGTYKIIMIAFLNTFGDGQTPSMNLAGHCDPSSGTCVIFGDQISYCQDLGIKVLLSLGGAWGNYYLTSTDDAQSVADYLWNTFLGGRTSAGPLGDATLDGIDFDIEGGSNLYYDDLARFLKQKDDSVYLSAAPQCPYPDYYLASAIATGLFDSVWVQFYNNPPCQYSDGNIANLINSWYYWTTSINATHLFLGLPASPIAAYSGGYIPADILNSQVLPVIENSAKYGGVMLWSRYYDLLNGYGASIISSTKGNGLVYSS >EOX91497 pep chromosome:Theobroma_cacao_20110822:1:2905922:2907090:-1 gene:TCM_000666 transcript:EOX91497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSDSVNGEVETHSNNDGITTSARPHTPYQLLSNDILTENPDHIIPGLMRDWDSLLFSGQLNQRNDGTDMLQDKYLLLDSSSTATASEVL >EOX90754 pep chromosome:Theobroma_cacao_20110822:1:525406:532522:-1 gene:TCM_000133 transcript:EOX90754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase 1 MATENPFNSILKTLEKPGGGEFGKYYSLPALDDPRIDKLPYSIKILLESAIRNCDEFQVKSKDVEKIIDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNNLGGNSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFKRNKERFAFLKWGSNAFDNMLVVPPGSGIVHQVNLEYLGRVVFNTNGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLLGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMRELSLADRATIANMSPEYGATMGFFPVDHVTLQYLRLTGRSDETIAMIESYLRANKMFVDYSEPQTEKVYSTYLDLKLEDVEPCISGPKRPHDRVPLKEMKADWHACLDNRVGFKGFAIPKESQNKVAKFSFRGTPAELRHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVTKYLQKSGLQKYLNQLGFHIVGYGCTTCIGNSGDIDESVASAISENDMVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFVTEPIGTAKDGKEIFFKDIWPSSEEVATVVHSSVLPDMFKATYEAITKGNPMWNQLSVPSSTLYSWDPTSTYIHEPPYFKGMTMSPPGPHGVKDAYCLLNLGDSITTDHISPAGSIHKDSPAAKYLMEHGVDRRDFNSYGSRRGNDEIMARGTFANIRIVNKLLKGEVGPKTIHIPTGEKLSVYDVAMRYKAAGQDTIILAGVEYGSGSSRDWAAKGPVLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADTLGLTGHERYTIDLPNTVSEIRPGQDVTVATDCGKSFTCTVRFDTEVELAYFNHGGILQYVIRNLIGSKQ >EOX93920 pep chromosome:Theobroma_cacao_20110822:1:17436315:17440335:1 gene:TCM_002922 transcript:EOX93920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase-like protein MSVNRDVAAIVMGLREVPGRDNFDVLIWWKLNSHRYPTLALLARDVLAIPPSTVASESAFSTGGRVLDAYKSSLMPKMVQALICAQDWQRGPSYYLHDTENDLAELEKVDEELLKIAVDNVAAENPTQAPAIALPFVAPLLSPASFLPSEPPSVVVLGVVGTFRSTDGILTTNSDGTIFDSIRLVFIWRVITAISAKTIDALHLQG >EOX90598 pep chromosome:Theobroma_cacao_20110822:1:103550:106858:-1 gene:TCM_000025 transcript:EOX90598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxy methylglutaryl CoA reductase 1 isoform 2 MDVRRRPSATSGEEALLKLGEPLKVKASDADLPLPLYLTNGIFFALFFSVAYFLLHRWRDKIRTCSPLHVLTLSEIAALVSLVASIIYLLGFFGIDFVQSFIFRTSHNDACEIDVQEDATSCTSAIDCSIESKPPPPVSPPIPQEEDEEEQIIQSVVSGSIPSYSLESKLGDCKKAAMIRREAMQRMTGRSLQGLPLHGFDYDSILGQCCEMPVGYVQIPVGIAGPLLLDGKEYWVPMATTEGCLVASTNRGCKAIYASGGASSVLFKDGMTRAPVVRFASAKRAADLKLFLEDPENFDALDLAFIRSSRFARLQGVKCGIAGKNLYIRFSCSTGDAMGMNMVSKGVQNVLDFLHHDYPDMDVIGVSDKKPAAVNWIEGRGKSVVCEAVIKDEVLKKVLMTTVPALVELNMLKNLTGSAIAAALGGFNAHASNIVSAVYIATGQDPAQNVESSHCITMMEAVNDSKDLHISVTMPSIEVGTVGGGTHLASQSACLNLLGVKGASKECPGSNSRLLATIVAGSVLAGELSLMSALAAGQLVKSHMKYNRSSKDISEMASQQLSLR >EOX90597 pep chromosome:Theobroma_cacao_20110822:1:103497:106861:-1 gene:TCM_000025 transcript:EOX90597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxy methylglutaryl CoA reductase 1 isoform 2 MDVRRRPSATSGEEALLKLGEPLKVKASDADLPLPLYLTNGIFFALFFSVAYFLLHRWRDKIRTCSPLHVLTLSEIAALVSLVASIIYLLGFFGIDFVQSFIFRTSHNDACEIDVQEDATSCTSAIDCSIESKPPPPVSPPIPQEEDEEEQIIQSVVSGSIPSYSLESKLGDCKKAAMIRREAMQRMTGRSLQGLPLHGFDYDSILGQCCEMPVGYVQIPVGIAGPLLLDGKEYWVPMATTEGCLVASTNRGCKAIYASGGASSVLFKDGMTRAPVVRFASAKRAADLKLFLEDPENFDALDLAFIRSSRFARLQGVKCGIAGKNLYIRFSCSTGDAMGMNMVSKGVQNVLDFLHHDYPDMDVIGVSGNFCSDKKPAAVNWIEGRGKSVVCEAVIKDEVLKKVLMTTVPALVELNMLKNLTGSAIAAALGGFNAHASNIVSAVYIATGQDPAQNVESSHCITMMEAVNDSKDLHISVTMPSIEVGTVGGGTHLASQSACLNLLGVKGASKECPGSNSRLLATIVAGSVLAGELSLMSALAAGQLVKSHMKYNRSSKDISEMASQQLSLR >EOX92592 pep chromosome:Theobroma_cacao_20110822:1:7641152:7646589:-1 gene:TCM_001525 transcript:EOX92592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor (TFIIS) family protein, putative isoform 1 MDLDDFRSVLETAEVDVWTFIDTAILVASLDYGPELKQRRDRIVERLYATSMVTQCRNCDFGERPSDYEVKADLKRESSHEDKRRGGSPNAPQSDNGDDELDPYGGLFDDEQKKILEIKEHLEEPHQSEDSLIDLLQSLADMDITFQALKETDIGRHVNILRKHSSNDVRRLVKQLVRKWKEIVDEWVRLNQPGELESSALMADGDSPQRKPPQNGYHQVPDFAYSPNPHNGSSGSDKNNSEPERKPKPIPPRNEPPPKPTYSAPVLQNRQREQKESNFDSERLASARKRLQENYKEAENAKRQRTIQVMDIHELPKPKNAFFGKNKGGSSQGRHW >EOX92591 pep chromosome:Theobroma_cacao_20110822:1:7640602:7646589:-1 gene:TCM_001525 transcript:EOX92591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor (TFIIS) family protein, putative isoform 1 MDLDDFRSVLETAEVDVWTFIDTAILVASLDYGPELKQRRDRIVERLYATSMVTQCRNCDFGERPSDYEVKADLKRESSHEDKRRGGSPNAPQSDNGDDELDPYGGLFDDEQKKILEIKEHLEEPHQSEDSLIDLLQSLADMDITFQALKETDIGRHVNILRKHSSNDVRRLVKQLVRKWKEIVDEWVRLNQPGELESSALMADGDSPQRKPPQNGYHQVPDFAYSPNPHNGSSGSDKNNSEPERKPKPIPPRNEPPPKPTYSAPVLQNRQREQKESNFDSERLASARKRLQENYKEAENAKRQRTIQVMDIHELPKPKNAFFGKNKGGSSQGRHW >EOX91094 pep chromosome:Theobroma_cacao_20110822:1:1565812:1567284:-1 gene:TCM_000383 transcript:EOX91094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPFFPQKSIEGHDTVPLIWSRGGRVWVIFGRQPSARVAYPSSSVPKKAELVRERIMAAGESSFKLILGSSSMALRWDMSLQSWYVVKTADTDEKSTRKEKPEDLVTALAEAKMILQLVAEGRGSNAKDL >EOX91606 pep chromosome:Theobroma_cacao_20110822:1:3261701:3265411:1 gene:TCM_000741 transcript:EOX91606 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM-repeat/Tetratricopeptide repeat-like protein MESPHQNNKANNTVKMHSQSPCSKPRCFFCTMNEPEPSLRRSKLSQCFKEMPLRDDQDHVLVLSGIWNISMTQPDDAEFPSLGIFECMAKLIHRGITDQDWLLRGQNIYIPYYAAHIIGSYTMNKAQFAERAVKSGVVLPLMDLLRGKMSWVEQRVAVRALGHLASHDKTFEAIAVHEVEIINMATKIASNCLELIYEEFIGIKDRKRQKYHCDLLTRGLGGLELQFRKAEEWASQLQCWSLYLLNCFACKEKFLNLICKKEFLKTLCGMWGGLVNLTSPAGIGLLRTLCSAKTGRENVANLKEVIDSLCNVSRSSDDWQEMAVDCLLLLLKDQDTRYKVIDIAALSLVDLVELRSLGERKRMGETITQTLLQDYYKIKYGFRKLKSKKAERALEELWELKVENIKRDKLMSEQDMKEREVFIGKLKKQGNKNFWSGKIEKACKMYSKALDLCPINMRKERIVLYSNRAQCYLLLKNPAAAISDTTRALCLSSPVSPHSKSLWRRSQAYDMKGLAKESLMDCLMFINSRIKSEHTKRVRIPYYAARMINKQMKATWLFANAKSKFFSKKEERVYGSNGKYQLQEMLLRLMDAKDNDMPTTVEDPLVEKRWRKKQEKAGRRRKGLNIPAGWAHAKNGMRDSQVLSITQ >EOX94932 pep chromosome:Theobroma_cacao_20110822:1:32056017:32062195:-1 gene:TCM_004526 transcript:EOX94932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 2, putative isoform 1 MPFLFSLSLLLLICCIFLILGKKVSFFYSVSSFWIYRKERKREMYGERNGVKAKVTSKSRKHIDVDGLRRPPNNQGEKDDLYVKLWHACAGPSVYAPRAGEKVFYFPQGHMEQVEAYMNKDGTMEMPIYNLPSKILCRVAHVQLKAETGTDEVFAQITLLPEAEQDELSMEHRNYQALPREAHPRIFSKKLTPSDTNTHGGFSVPKRHADDGCLPPLDMSQHTPQQDLVAIDLHGSEWRFRHIFRGQPKRHLLTSGWSTFVTSKKLVAGDTFIFLRGDNGELHVGVHRATTLMNNTSTSVISGHSMRHGILASAFHAFSTRSMFTIYYRPWTSSSEFIIPLDQYMKSAEIVYSIGTRFRMQSEGKECGEQRALGTIIGTEDVDHIRWPNSEWRCLKVKLDPTSDANFRPERVCPWNIEPIESTNRKKPFILRQQKRARTDDASSPGFSSLLMDGMWCGSVKYESQSSSGVLQGQEDDTDVNQSSALRQPLPHLVLPLHPDCASMQPQMENQLEIQVPICNSFYQYTSSRALYSGGKVACLGLHNNWSPTFSSYGVDDDALARRKFSVPYVNSQESRTLELRNENETSLCEPTGGHRCMIFGVNLVNGPPELPSRQLLTSSELKRLCSIPPTSQSSVSEPSKVTSSKQCNNSCSVSNRSCTKVLKYGTALGRSVDLTRFNGYENLISELDRMFDFKGRLINGSSGWHVTYTDDEGDMMLLGDYPWHTRSEGLSSAQWKKLTD >EOX94931 pep chromosome:Theobroma_cacao_20110822:1:32057108:32062056:-1 gene:TCM_004526 transcript:EOX94931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 2, putative isoform 1 MPFLFSLSLLLLICCIFLILGKKVSFFYSVSSFWIYRKERKREMYGERNGVKAKVTSKSRKHIDVDGLRRPPNNQGEKDDLYVKLWHACAGPSVYAPRAGEKVFYFPQGHMEQVEAYMNKDGTMEMPIYNLPSKILCRVAHVQLKAETGTDEVFAQITLLPEAEQDELSMEHRNYQALPREAHPRIFSKKLTPSDTNTHGGFSVPKRHADDGCLPPLDMSQHTPQQDLVAIDLHGSEWRFRHIFRGQPKRHLLTSGWSTFVTSKKLVAGDTFIFLRGDNGELHVGVHRATTLMNNTSTSVISGHSMRHGILASAFHAFSTRSMFTIYYRPWTSSSEFIIPLDQYMKSAEIVYSIGTRFRMQSEGKECGEQRALGTIIGTEDVDHIRWPNSEWRCLKVKLDPTSDANFRPERVCPWNIEPIESTNRKKPFILRQQKRARTDDASSPGFSSLLMDGMWCGSVKYESQSSSGVLQGQEDDTDVNQSSALRQPLPHLVLPLHPDCASMQPQMENQLEIQVPICNSFYQYTSSRALYSGGKVACLGLHNNWSPTFSSYGVDDDALARRKFSVPYVNSQESRTLELRNENETSLCEPTGGHRCMIFGVNLVNGPPELPSRQLLTSSELKRLCSIPPTSQSSVSEPSKVTSSKQCNNSCSVSNRSCTKVPLLFRLSVHFCECKNEGHLLCILVSSCSGAQVWDCTWKIS >EOX94930 pep chromosome:Theobroma_cacao_20110822:1:32055851:32062195:-1 gene:TCM_004526 transcript:EOX94930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 2, putative isoform 1 MPFLFSLSLLLLICCIFLILGKKVSFFYSVSSFWIYRKERKREMYGERNGVKAKVTSKSRKHIDVDGLRRPPNNQGEKDDLYVKLWHACAGPSVYAPRAGEKVFYFPQGHMEQVEAYMNKDGTMEMPIYNLPSKILCRVAHVQLKAETGTDEVFAQITLLPEAEQDELSMEHRNYQALPREAHPRIFSKKLTPSDTNTHGGFSVPKRHADDGCLPPLDMSQHTPQQDLVAIDLHGSEWRFRHIFRGQPKRHLLTSGWSTFVTSKKLVAGDTFIFLRGDNGELHVGVHRATTLMNNTSTSVISGHSMRHGILASAFHAFSTRSMFTIYYRPWTSSSEFIIPLDQYMKSAEIVYSIGTRFRMQSEGKECGEQRALGTIIGTEDVDHIRWPNSEWRCLKVKLDPTSDANFRPERVCPWNIEPIESTNRKKPFILRQQKRARTDDASSPGFSSLLMDGMWCGSVKYESQSSSGVLQGQEDDTDVNQSSALRQPLPHLVLPLHPDCASMQPQMENQLEIQVPICNSFYQYTSSRALYSGGKVACLGLHNNWSPTFSSYGVDDDALARRKFSVPYVNSQESRTLELRNENETSLCEPTGGHRCMIFGVNLVNGPPELPSRQLLTSSELKRLCSIPPTSQSSVSEPSKVTSSKQCNNSCSVSNRSCTKVLKYGTALGRSVDLTRFNGYENLISELDRMFDFKGRLINGSSGWHVTYTDDEGDMMLLGDYPWQKFQYEVRRIVICPMEEIDRLNQSSPNSTSQ >EOX92500 pep chromosome:Theobroma_cacao_20110822:1:7183107:7184631:1 gene:TCM_001446 transcript:EOX92500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MKIYDQAAKAVPNYEKLGMYEIYIARAAEIFGVPKTREIYEQAIESGLPDKDVKTMCLKYAELEKSLGEIGRARGTYVFASQFADPHSGADFWDKWQEFEAQHGNEDTFREMLQIRRSVSASYSQAHEVPSAMFGLTLKREDCDEDGALERKRQKLALTPESGLFQL >EOX93517 pep chromosome:Theobroma_cacao_20110822:1:13676448:13677387:1 gene:TCM_002403 transcript:EOX93517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAAKVALSSCLRTQIPVFPKSSSSPSAFPCALGLQKTRVHQFKVHANLGGRSGFDLLGEGEGELKPKGKKKFITREEEPEQYWQTAGEREGENPMMTPLPYIIIFGMSTPFVILAIAFANGWIKVPVR >EOX93516 pep chromosome:Theobroma_cacao_20110822:1:13676561:13677998:1 gene:TCM_002403 transcript:EOX93516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAAKVALSSCLRTQIPVFPKSSSSPSAFPCALGLQKTRVHQFKVHANLGEGEGELKPKGKKKFITREEEPEQYWQTAGEREGENPMMTPLPYIIIFGMSTPFVILAIAFANGWIKKVARVCLNGHGTLLARSYGLTDKSLPPGETGAGSAHIFEVLGLLGSS >EOX90863 pep chromosome:Theobroma_cacao_20110822:1:830661:850739:-1 gene:TCM_000213 transcript:EOX90863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-and 4-kinase family protein with FAT domain isoform 1 MSPIQNFEQHSRHLVEPDLPIQTRLQMAMEVRDSLEIAHTAEYLNFLKCYFRAFSVVLLQITKPQFTDNPEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFRLTVSHFFDNAVVGMEVDVKPMDTSSVSDQGITSSGYVGNGQLNPSTRSFKIVTESPLVVMFLFQLYSRLVQTNIPHLLPLMVAAISVPGPEKVPPHLKTQFIELKGAQVKTVSFLTYLLKSFADYIRPHEESICTSIVNLLVTCSDSVSIRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLVGTGRACFETLRPLAYSLLAEIVHHVRADLSLSQLSRIIYLFSSNMHDASLSLGIHTTCARLMLNLVEPIFEKGVDQPSMDEARVLLGRILDAFVGKFSTFKRTIPQLLEEGEEGKDRPTLRSKLELPVQAVLNIQVPVEHSKEVSDCKNLIKTLVVGMKTIIWSITHAHLPRSQVSSSTHGTHPQVLVSPTSNLPAPQAFKGLREDEVWKASGVLKSGVHCLALFKEKDEEREMLQLFSQILAIMEPRDLMDMFSLCMPELFECMISNNQLVHIFSTLLQTAKVYRPFADVLVNFLVSSKLDALKHPDTPAAKLVLHLFKFIFGAVAKAPTDFERILQPHVPVIMEVCMKNATEVEKPLGYLQLLRTMFRALAGCKFELLLRELIPMLQPCLNMLLTMLEGPTAEDMRDLLLELCLTLPARLSSLLPYLPRLMKPLVLCLKGSDDLVSLGLRTLEFWVDSLNPDFLEPSMANVMSEVILALWSHLRPTPYPWGGKALQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPSTPFLVPLDRCINLAVAAVMHKDAGMDSFYRRQALKFLRVCLSSQLNLPGNVTDEGYTTKHLLTSLVSSVDLSWRRSETTDAKSDLGVKTKTQLLAEKSVFKILLMTIIAASAEPDLSDPKDDFVVNICRHFAMTFHIGQASTNASTASSSLGGPMLSSNVNSSSRSKSSSSSNLKELDPLIFLDALVDVLADENRLHAKAALSALNVFAETLLFLARSKHADMLMSRGGPGTPMIVSSPSMNPVYSPPPSVRIPVFEQLLPRLLHCCYGSTWQAQMGGVMGLGALVGKVTVETLCLFQVRIVRGLVYVLKRLPIYASKEQEETSQVLTQVLRVVNNVDEANNEPRRQSFQGVVDFLASELFNPNASIIVRKNVQSCLALLASRTGSEVSELLEPLHQPLLQPLIMRPLRAKTVDQQVGTVTALNFCLALRPPLLKLTPELVNFLQEALQIAEADETVWVVKFMNHKVATSLNKLRTACIELLCTTMAWADFKTPNHSELRAKIIAMFFKSLTCRTPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQSQKSWKAGEEPKIAAAIIELFHLLPHAASKFLDELVTLTIELEGALPPGQVYSEINSPYRLPLTKFLNRYATLAVDYFLARLSEPNCFRRFMYIIRSDAGQSLRDELAKSPQKILASAFPEFVPKSEAAMTPGSSTPAAALVGDEGLVTSQADSSNLPSVISGNTSDAYFQGLALIKTLVKLIPAWLQSNRLVFDTLVLVWKSPARISRLQNEQELNLVQVKESKWLVKCFLNYLRHDKNEVNVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNMKRALLLHFLNLFQSKQLGHDHLVVVMQMLILPMLAHAFQNGQSWDVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSELFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVGWERQRQNEMKVVSEGDVPSQIDDAFNSTSASADPKRPVDSSAFPEDSTKRVKVEPGLQSLCVMSPGAASSIPNIETPGSAGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEASTLYKQALELLSQALEVWPNANVKFNYLEKLLSSVQPSQSKDPSTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFKYKMLDAGKSLCSLLKMVFVAFPPDAGTTPPDVKLLYQKVDELIQKHITTVTAPQTSGEDNSANSISFVLLVIKTLTEVQKNFIDPFILVRILQRLARDMGSSAGSHLRQGQRTDPDSSVTSSRQGADVGAVISNLKSVLKLISERVMLVAECKRSVTQILNALLSEKGTDASVLLCILDVIKGWIEDDFSKPGTSVSSNTFLTPKEIVSFLQKLSQVDKQNFQPSALEEWDRKYLQLLYGICAVSNKYPLTLRQEVFQKVERQFMLGLRAKDPEVRMKFFSLYHESLGKTLFTRLQYIIQIQDWEALSDVFWLKQGLDLLLAILVEDKPITLAPNSARVLPLVASGSVSDSSGMQHQVAEVPEGSEEASLTLDSLVLKHAQFLNEMSKLQVSDLVIPLRELAHKDSNVAYHLWVLVFPIVWVTLHKEEQVALAKPMITLLSKDFHKKQQASRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNDTKCSESLAELYRLLNEEDMRCGLWKKRSVTAETKAGLSLVQHGYWERARSLFSQAMIKATQGTYNNTVPKAEMCLWEEQWIYCSTQLSEWDALVDFGKTVENYEILLDCLWKLPDWAYMKDHVIPKAQVEETPKLRLIQAFFALHDRNTNGVGDADNIVGKGVDLALEHWWQLPEMSVHARVPLLQQFQQLVEVQESARILVDIANGNKVSGNSVVGVHGNLYADLKDILETWRLRTPNEWDNMSVWCDLLQWRNEMYNGVIDAFKEFSTTNPQLHHLGYRDKAWNVNKLARIARKQGLYDVCVAILEKMYGHSTMEVQEAFVKITEQAKAYLEMKGELTSGLNLISSTNLEYFPVKNKAEIFRLKGDFLLKLNDSEGANLAYSNAITLFKNLPKGWISWGNYCDMAYKDSRDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLYLLSFDTPSEPVGRSFDKYLDQIPHWVWLSWIPQLLLSLQRTEASHCKLVLLKIATVYPQALYYWLRTYLLERRDVANKSELGRIAMAQQRLQQNISGTNSGSLGLADGNARVQSHTGGNLAPDNQVHQGSQSGTGIGSHDGGNSHGQEPERSTVTESSVHTGNDQPLQQSSSSISDGGQGAMRRNGTMGLVASAATAFDAAKDIMEALRSKHANLAGELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSADAVNKHVDFVREYKQDFERDLDPESTATFPATLSELTEQLKHWKNILQSNVEDRFPAVLKLEDESRVLRDFHVVDVEIPGQYFSDQEIAPDHTVKLDRVGADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKQKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAISGQISPEAVVDLRLQAYTDITKNLVTDGIFSQYMYKTLPSVNHMWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFSEPVPFRLTRNMQAFFSHFGVEGLIVSAMCAAAQAVVSPKVSFPEHLCGN >EOX90862 pep chromosome:Theobroma_cacao_20110822:1:828668:851010:-1 gene:TCM_000213 transcript:EOX90862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-and 4-kinase family protein with FAT domain isoform 1 MSPIQNFEQHSRHLVEPDLPIQTRLQMAMEVRDSLEIAHTAEYLNFLKCYFRAFSVVLLQITKPQFTDNPEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFRLTVSHFFDNAVVGMEVDVKPMDTSSVSDQGITSSGYVGNGQLNPSTRSFKIVTESPLVVMFLFQLYSRLVQTNIPHLLPLMVAAISVPGPEKVPPHLKTQFIELKGAQVKTVSFLTYLLKSFADYIRPHEESICTSIVNLLVTCSDSVSIRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLVGTGRACFETLRPLAYSLLAEIVHHVRADLSLSQLSRIIYLFSSNMHDASLSLGIHTTCARLMLNLVEPIFEKGVDQPSMDEARVLLGRILDAFVGKFSTFKRTIPQLLEEGEEGKDRPTLRSKLELPVQAVLNIQVPVEHSKEVSDCKNLIKTLVVGMKTIIWSITHAHLPRSQVSSSTHGTHPQVLVSPTSNLPAPQAFKGLREDEVWKASGVLKSGVHCLALFKEKDEEREMLQLFSQILAIMEPRDLMDMFSLCMPELFECMISNNQLVHIFSTLLQTAKVYRPFADVLVNFLVSSKLDALKHPDTPAAKLVLHLFKFIFGAVAKAPTDFERILQPHVPVIMEVCMKNATEVEKPLGYLQLLRTMFRALAGCKFELLLRELIPMLQPCLNMLLTMLEGPTAEDMRDLLLELCLTLPARLSSLLPYLPRLMKPLVLCLKGSDDLVSLGLRTLEFWVDSLNPDFLEPSMANVMSEVILALWSHLRPTPYPWGGKALQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPSTPFLVPLDRCINLAVAAVMHKDAGMDSFYRRQALKFLRVCLSSQLNLPGNVTDEGYTTKHLLTSLVSSVDLSWRRSETTDAKSDLGVKTKTQLLAEKSVFKILLMTIIAASAEPDLSDPKDDFVVNICRHFAMTFHIGQASTNASTASSSLGGPMLSSNVNSSSRSKSSSSSNLKELDPLIFLDALVDVLADENRLHAKAALSALNVFAETLLFLARSKHADMLMSRGGPGTPMIVSSPSMNPVYSPPPSVRIPVFEQLLPRLLHCCYGSTWQAQMGGVMGLGALVGKVTVETLCLFQVRIVRGLVYVLKRLPIYASKEQEETSQVLTQVLRVVNNVDEANNEPRRQSFQGVVDFLASELFNPNASIIVRKNVQSCLALLASRTGSEVSELLEPLHQPLLQPLIMRPLRAKTVDQQVGTVTALNFCLALRPPLLKLTPELVNFLQEALQIAEADETVWVVKFMNHKVATSLNKLRTACIELLCTTMAWADFKTPNHSELRAKIIAMFFKSLTCRTPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQSQKSWKAGEEPKIAAAIIELFHLLPHAASKFLDELVTLTIELEGALPPGQVYSEINSPYRLPLTKFLNRYATLAVDYFLARLSEPNCFRRFMYIIRSDAGQSLRDELAKSPQKILASAFPEFVPKSEAAMTPGSSTPAAALVGDEGLVTSQADSSNLPSVISGNTSDAYFQGLALIKTLVKLIPAWLQSNRLVFDTLVLVWKSPARISRLQNEQELNLVQVKESKWLVKCFLNYLRHDKNEVNVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNMKRALLLHFLNLFQSKQLGHDHLVVVMQMLILPMLAHAFQNGQSWDVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSELFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVGWERQRQNEMKVVSEGDVPSQIDDAFNSTSASADPKRPVDSSAFPEDSTKRVKVEPGLQSLCVMSPGAASSIPNIETPGSAGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEASTLYKQALELLSQALEVWPNANVKFNYLEKLLSSVQPSQSKDPSTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFKYKMLDAGKSLCSLLKMVFVAFPPDAGTTPPDVKLLYQKVDELIQKHITTVTAPQTSGEDNSANSISFVLLVIKTLTEVQKNFIDPFILVRILQRLARDMGSSAGSHLRQGQRTDPDSSVTSSRQGADVGAVISNLKSVLKLISERVMLVAECKRSVTQILNALLSEKGTDASVLLCILDVIKGWIEDDFSKPGTSVSSNTFLTPKEIVSFLQKLSQVDKQNFQPSALEEWDRKYLQLLYGICAVSNKYPLTLRQEVFQKVERQFMLGLRAKDPEVRMKFFSLYHESLGKTLFTRLQYIIQIQDWEALSDVFWLKQGLDLLLAILVEDKPITLAPNSARVLPLVASGSVSDSSGMQHQVAEVPEGSEEASLTLDSLVLKHAQFLNEMSKLQVSDLVIPLRELAHKDSNVAYHLWVLVFPIVWVTLHKEEQVALAKPMITLLSKDFHKKQQASRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNDTKCSESLAELYRLLNEEDMRCGLWKKRSVTAETKAGLSLVQHGYWERARSLFSQAMIKATQGTYNNTVPKAEMCLWEEQWIYCSTQLSEWDALVDFGKTVENYEILLDCLWKLPDWAYMKDHVIPKAQVEETPKLRLIQAFFALHDRNTNGVGDADNIVGKGVDLALEHWWQLPEMSVHARVPLLQQFQQLVEVQESARILVDIANGNKVSGNSVVGVHGNLYADLKDILETWRLRTPNEWDNMSVWCDLLQWRNEMYNGVIDAFKEFSTTNPQLHHLGYRDKAWNVNKLARIARKQGLYDVCVAILEKMYGHSTMEVQEAFVKITEQAKAYLEMKGELTSGLNLISSTNLEYFPVKNKAEIFRLKGDFLLKLNDSEGANLAYSNAITLFKNLPKGWISWGNYCDMAYKDSRDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLYLLSFDTPSEPVGRSFDKYLDQIPHWVWLSWIPQLLLSLQRTEASHCKLVLLKIATVYPQALYYWLRTYLLERRDVANKSELGRIAMAQQRLQQNISGTNSGSLGLADGNARVQSHTGGNLAPDNQVHQGSQSGTGIGSHDGGNSHGQEPERSTVTESSVHTGNDQPLQQSSSSISDGGQGAMRRNGTMGLVASAATAFDAAKDIMEALRSKHANLAGELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSADAVNKHVDFVREYKQDFERDLDPESTATFPATLSELTEQLKHWKNILQSNVEDRFPAVLKLEDESRVLRDFHVVDVEIPGQYFSDQEIAPDHTVKLDRVGADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKQKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAISGQISPEAVVDLRLQAYTDITKNLVTDGIFSQYMYKTLPSVNHMWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFSEPVPFRLTRNMQAFFSHFGVEGLIVSAMCAAAQAVVSPKQSQHLWYQLAMFFRDELLSWSWRRPLGMMPLAPAAGGSSLNPVDFKHKVTNNVDSVISRISGIAPQCFSEEEENAMEPPQSVQRGVTELVDAALLPRNLCMMDPTWHPWF >EOX90861 pep chromosome:Theobroma_cacao_20110822:1:828271:851010:-1 gene:TCM_000213 transcript:EOX90861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-and 4-kinase family protein with FAT domain isoform 1 MSPIQNFEQHSRHLVEPDLPIQTRLQMAMEVRDSLEIAHTAEYLNFLKCYFRAFSVVLLQITKPQFTDNPEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFRLTVSHFFDNAVVGMEVDVKPMDTSSVSDQGITSSGYVGNGQLNPSTRSFKIVTESPLVVMFLFQLYSRLVQTNIPHLLPLMVAAISVPGPEKVPPHLKTQFIELKGAQVKTVSFLTYLLKSFADYIRPHEESICTSIVNLLVTCSDSVSIRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLVGTGRACFETLRPLAYSLLAEIVHHVRADLSLSQLSRIIYLFSSNMHDASLSLGIHTTCARLMLNLVEPIFEKGVDQPSMDEARVLLGRILDAFVGKFSTFKRTIPQLLEEGEEGKDRPTLRSKLELPVQAVLNIQVPVEHSKEVSDCKNLIKTLVVGMKTIIWSITHAHLPRSQVSSSTHGTHPQVLVSPTSNLPAPQAFKGLREDEVWKASGVLKSGVHCLALFKEKDEEREMLQLFSQILAIMEPRDLMDMFSLCMPELFECMISNNQLVHIFSTLLQTAKVYRPFADVLVNFLVSSKLDALKHPDTPAAKLVLHLFKFIFGAVAKAPTDFERILQPHVPVIMEVCMKNATEVEKPLGYLQLLRTMFRALAGCKFELLLRELIPMLQPCLNMLLTMLEGPTAEDMRDLLLELCLTLPARLSSLLPYLPRLMKPLVLCLKGSDDLVSLGLRTLEFWVDSLNPDFLEPSMANVMSEVILALWSHLRPTPYPWGGKALQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPSTPFLVPLDRCINLAVAAVMHKDAGMDSFYRRQALKFLRVCLSSQLNLPGNVTDEGYTTKHLLTSLVSSVDLSWRRSETTDAKSDLGVKTKTQLLAEKSVFKILLMTIIAASAEPDLSDPKDDFVVNICRHFAMTFHIGQASTNASTASSSLGGPMLSSNVNSSSRSKSSSSSNLKELDPLIFLDALVDVLADENRLHAKAALSALNVFAETLLFLARSKHADMLMSRGGPGTPMIVSSPSMNPVYSPPPSVRIPVFEQLLPRLLHCCYGSTWQAQMGGVMGLGALVGKVTVETLCLFQVRIVRGLVYVLKRLPIYASKEQEETSQVLTQVLRVVNNVDEANNEPRRQSFQGVVDFLASELFNPNASIIVRKNVQSCLALLASRTGSEVSELLEPLHQPLLQPLIMRPLRAKTVDQQVGTVTALNFCLALRPPLLKLTPELVNFLQEALQIAEADETVWVVKFMNHKVATSLNKLRTACIELLCTTMAWADFKTPNHSELRAKIIAMFFKSLTCRTPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQSQKSWKAGEEPKIAAAIIELFHLLPHAASKFLDELVTLTIELEGALPPGQVYSEINSPYRLPLTKFLNRYATLAVDYFLARLSEPNCFRRFMYIIRSDAGQSLRDELAKSPQKILASAFPEFVPKSEAAMTPGSSTPAAALVGDEGLVTSQADSSNLPSVISGNTSDAYFQGLALIKTLVKLIPAWLQSNRLVFDTLVLVWKSPARISRLQNEQELNLVQVKESKWLVKCFLNYLRHDKNEVNVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNMKRALLLHFLNLFQSKQLGHDHLVVVMQMLILPMLAHAFQNGQSWDVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSELFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVGWERQRQNEMKVVSEGDVPSQIDDAFNSTSASADPKRPVDSSAFPEDSTKRVKVEPGLQSLCVMSPGAASSIPNIETPGSAGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEASTLYKQALELLSQALEVWPNANVKFNYLEKLLSSVQPSQSKDPSTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFKYKMLDAGKSLCSLLKMVFVAFPPDAGTTPPDVKLLYQKVDELIQKHITTVTAPQTSGEDNSANSISFVLLVIKTLTEVQKNFIDPFILVRILQRLARDMGSSAGSHLRQGQRTDPDSSVTSSRQGADVGAVISNLKSVLKLISERVMLVAECKRSVTQILNALLSEKGTDASVLLCILDVIKGWIEDDFSKPGTSVSSNTFLTPKEIVSFLQKLSQVDKQNFQPSALEEWDRKYLQLLYGICAVSNKYPLTLRQEVFQKVERQFMLGLRAKDPEVRMKFFSLYHESLGKTLFTRLQYIIQIQDWEALSDVFWLKQGLDLLLAILVEDKPITLAPNSARVLPLVASGSVSDSSGMQHQVAEVPEGSEEASLTLDSLVLKHAQFLNEMSKLQVSDLVIPLRELAHKDSNVAYHLWVLVFPIVWVTLHKEEQVALAKPMITLLSKDFHKKQQASRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNDTKCSESLAELYRLLNEEDMRCGLWKKRSVTAETKAGLSLVQHGYWERARSLFSQAMIKATQGTYNNTVPKAEMCLWEEQWIYCSTQLSEWDALVDFGKTVENYEILLDCLWKLPDWAYMKDHVIPKAQVEETPKLRLIQAFFALHDRNTNGVGDADNIVGKGVDLALEHWWQLPEMSVHARVPLLQQFQQLVEVQESARILVDIANGNKVSGNSVVGVHGNLYADLKDILETWRLRTPNEWDNMSVWCDLLQWRNEMYNGVIDAFKEFSTTNPQLHHLGYRDKAWNVNKLARIARKQGLYDVCVAILEKMYGHSTMEVQEAFVKITEQAKAYLEMKGELTSGLNLISSTNLEYFPVKNKAEIFRLKGDFLLKLNDSEGANLAYSNAITLFKNLPKGWISWGNYCDMAYKDSRDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLYLLSFDTPSEPVGRSFDKYLDQIPHWVWLSWIPQLLLSLQRTEASHCKLVLLKIATVYPQALYYWLRTYLLERRDVANKSELGRIAMAQQRLQQNISGTNSGSLGLADGNARVQSHTGGNLAPDNQVHQGSQSGTGIGSHDGGNSHGQEPERSTVTESSVHTGNDQPLQQSSSSISDGGQGAMRRNGTMGLVASAATAFDAAKDIMEALRSKHANLAGELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSADAVNKHVDFVREYKQDFERDLDPESTATFPATLSELTEQLKHWKNILQSNVEDRFPAVLKLEDESRVLRDFHVVDVEIPGQYFSDQEIAPDHTVKLDRVGADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKQKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAISGQISPEAVVDLRLQAYTDITKNLVTDGIFSQYMYKTLPSVNHMWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFSEPVPFRLTRNMQAFFSHFGVEGLIVSAMCAAAQAVVSPKQSQHLWYQLAMFFRDELLSWSWRRPLGMMPLAPAAGGSSLNPVDFKHKVTNNVDSVISRISGIAPQCFSEEEENAMEPPQSVQRGVTELVDAALLPRNLCMMDPTWHPWF >EOX90860 pep chromosome:Theobroma_cacao_20110822:1:828965:851010:-1 gene:TCM_000213 transcript:EOX90860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-and 4-kinase family protein with FAT domain isoform 1 MSPIQNFEQHSRHLVEPDLPIQTRLQMAMEVRDSLEIAHTAEYLNFLKCYFRAFSVVLLQITKPQFTDNPEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFRLTVSHFFDNAVVGMEVDVKPMDTSSVSDQGITSSGYVGNGQLNPSTRSFKIVTESPLVVMFLFQLYSRLVQTNIPHLLPLMVAAISVPGPEKVPPHLKTQFIELKGAQVKTVSFLTYLLKSFADYIRPHEESICTSIVNLLVTCSDSVSIRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLVGTGRACFETLRPLAYSLLAEIVHHVRADLSLSQLSRIIYLFSSNMHDASLSLGIHTTCARLMLNLVEPIFEKGVDQPSMDEARVLLGRILDAFVGKFSTFKRTIPQLLEEGEEGKDRPTLRSKLELPVQAVLNIQVPVEHSKEVSDCKNLIKTLVVGMKTIIWSITHAHLPRSQVSSSTHGTHPQVLVSPTSNLPAPQAFKGLREDEVWKASGVLKSGVHCLALFKEKDEEREMLQLFSQILAIMEPRDLMDMFSLCMPELFECMISNNQLVHIFSTLLQTAKVYRPFADVLVNFLVSSKLDALKHPDTPAAKLVLHLFKFIFGAVAKAPTDFERILQPHVPVIMEVCMKNATEVEKPLGYLQLLRTMFRALAGCKFELLLRELIPMLQPCLNMLLTMLEGPTAEDMRDLLLELCLTLPARLSSLLPYLPRLMKPLVLCLKGSDDLVSLGLRTLEFWVDSLNPDFLEPSMANVMSEVILALWSHLRPTPYPWGGKALQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPSTPFLVPLDRCINLAVAAVMHKDAGMDSFYRRQALKFLRVCLSSQLNLPGNVTDEGYTTKHLLTSLVSSVDLSWRRSETTDAKSDLGVKTKTQLLAEKSVFKILLMTIIAASAEPDLSDPKDDFVVNICRHFAMTFHIGQASTNASTASSSLGGPMLSSNVNSSSRSKSSSSSNLKELDPLIFLDALVDVLADENRLHAKAALSALNVFAETLLFLARSKHADMLMSRGGPGTPMIVSSPSMNPVYSPPPSVRIPVFEQLLPRLLHCCYGSTWQAQMGGVMGLGALVGKVTVETLCLFQVRIVRGLVYVLKRLPIYASKEQEETSQVLTQVLRVVNNVDEANNEPRRQSFQGVVDFLASELFNPNASIIVRKNVQSCLALLASRTGSEVSELLEPLHQPLLQPLIMRPLRAKTVDQQVGTVTALNFCLALRPPLLKLTPELVNFLQEALQIAEADETVWVVKFMNHKVATSLNKLRTACIELLCTTMAWADFKTPNHSELRAKIIAMFFKSLTCRTPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQSQKSWKAGEEPKIAAAIIELFHLLPHAASKFLDELVTLTIELEGALPPGQVYSEINSPYRLPLTKFLNRYATLAVDYFLARLSEPNCFRRFMYIIRSDAGQSLRDELAKSPQKILASAFPEFVPKSEAAMTPGSSTPAAALVGDEGLVTSQADSSNLPSVISGNTSDAYFQGLALIKTLVKLIPAWLQSNRLVFDTLVLVWKSPARISRLQNEQELNLVQVKESKWLVKCFLNYLRHDKNEVNVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNMKRALLLHFLNLFQSKQLGHDHLVVVMQMLILPMLAHAFQNGQSWDVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSELFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVGWERQRQNEMKVVSEGDVPSQIDDAFNSTSASADPKRPVDSSAFPEDSTKRVKVEPGLQSLCVMSPGAASSIPNIETPGSAGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEASTLYKQALELLSQALEVWPNANVKFNYLEKLLSSVQPSQSKDPSTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFKYKMLDAGKSLCSLLKMVFVAFPPDAGTTPPDVKLLYQKVDELIQKHITTVTAPQTSGEDNSANSISFVLLVIKTLTEVQKNFIDPFILVRILQRLARDMGSSAGSHLRQGQRTDPDSSVTSSRQGADVGAVISNLKSVLKLISERVMLVAECKRSVTQILNALLSEKGTDASVLLCILDVIKGWIEDDFSKPGTSVSSNTFLTPKEIVSFLQKLSQVDKQNFQPSALEEWDRKYLQLLYGICAVSNKYPLTLRQEVFQKVERQFMLGLRAKDPEVRMKFFSLYHESLGKTLFTRLQYIIQIQDWEALSDVFWLKQGLDLLLAILVEDKPITLAPNSARVLPLVASGSVSDSSGMQHQVAEVPEGSEEASLTLDSLVLKHAQFLNEMSKLQVSDLVIPLRELAHKDSNVAYHLWVLVFPIVWVTLHKEEQVALAKPMITLLSKDFHKKQQASRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNDTKCSESLAELYRLLNEEDMRCGLWKKRSVTAETKAGLSLVQHGYWERARSLFSQAMIKATQGTYNNTVPKAEMCLWEEQWIYCSTQLSEWDALVDFGKTVENYEILLDCLWKLPDWAYMKDHVIPKAQVEETPKLRLIQAFFALHDRNTNGVGDADNIVGKGVDLALEHWWQLPEMSVHARVPLLQQFQQLVEVQESARILVDIANGNKVSGNSVVGVHGNLYADLKDILETWRLRTPNEWDNMSVWCDLLQWRNEMYNGVIDAFKEFSTTNPQLHHLGYRDKAWNVNKLARIARKQGLYDVCVAILEKMYGHSTMEVQEAFVKITEQAKAYLEMKGELTSGLNLISSTNLEYFPVKNKAEIFRLKGDFLLKLNDSEGANLAYSNAITLFKNLPKGWISWGNYCDMAYKDSRDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLYLLSFDTPSEPVGRSFDKYLDQIPHWVWLSWIPQLLLSLQRTEASHCKLVLLKIATVYPQALYYWLRTYLLERRDVANKSELGRIAMAQQRLQQNISGTNSGSLGLADGNARVQSHTGGNLAPDNQVHQGSQSGTGIGSHDGGNSHGQEPERSTVTESSVHTGNDQPLQQSSSSISDGGQGAMRRNGTMGLVASAATAFDAAKDIMEALRSKHANLAGELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSADAVNKHVDFVREYKQDFERDLDPESTATFPATLSELTEQLKHWKNILQSNVEDRFPAVLKLEDESRVLRDFHVVDVEIPGQYFSDQEIAPDHTVKLDRVGADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKQKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAISGQISPEAVVDLRLQAYTDITKNLVTDGIFSQYMYKTLPSVNHMWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFSEPVPFRLTRNMQAFFSHFGVEGLIVSAMCAAAQAVVSPKQSQHLWYQLAMFFRDELLSWSWRRPLGMMPLAPAAGGSSLNPVDFKHKVTNNVDSVISRISGIAPQCFSEEEENAMEPPQSVQRGVTELVDAALLPRNLCMMDPTWHPWF >EOX91601 pep chromosome:Theobroma_cacao_20110822:1:3244720:3245244:-1 gene:TCM_000737 transcript:EOX91601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated family protein, putative MKFFFATFLFVSLVLSSSFVQLSFAIPVVPTPTPAAPVPPPSPAPPSVCDSKCGERCSKAGIKDRCLKYCGICCRECNCVPSGTYGNKSECPCYRDKLNSKGKPKCP >EOX90929 pep chromosome:Theobroma_cacao_20110822:1:1085611:1088615:-1 gene:TCM_000265 transcript:EOX90929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain-containing protein, putative isoform 2 MSALAAPVPSSWIPEDDLLLKNAVESGASLEALAKGAVRFSRKFTVRELQDRWRSLLYDPVISAQASARMIEVELSAPNLYLKSSKFDNSVENGSAKRKLESVRRLYYAMRKRTCNQLVTNSSDVSFLGSPNGNDCVDNRGCCEEAVGPGKGFIQSQFGFSELGVHNGSKEDDLKVTLKKDCFSGKVENLEQNDVHKGSPHVIGEVSVEFGHPSDVEGIKPYSMGYSSPQPDMPLWKTMEDVPAAVMPINGGPGDKGQGAEGTIVHPEDVDGKKGCSSGYDIVPSDLMLKDGYEMNNSSAISGGDLADTDALLNFDGDTMDRSCYDSVNSLLLNSPNDVHEDDTSKAKEPETLVADMCPGKSEAACPAKLDEIPDQLSHSGQGEQLGISCLEINLPSSTSMSNPHSPELHVEVICCMLNSEDPEIPCNDDVLFDKAFALSVTEKCQNVGGDQASSFANPKENKEELSFLETEDNLAQCFTAPKMVGLDVLSESSQGVKSEIHDGQCHMTSRQVLNSLVNPCRYKAAQAFPNFAADEAAKEEPSHECNYKDMPLYTESSSIVDTVLEPEANPSTSDRVEHESNDDVPNFSDVEAMILDMDLCPNDSDSFISREVSRYQDEHAKRTIIRLEQCTRSAMQRDIASRGALAVFYGHHMKHYIKQTECKHSLLCRLYLAGQP >EOX90927 pep chromosome:Theobroma_cacao_20110822:1:1081576:1088558:-1 gene:TCM_000265 transcript:EOX90927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain-containing protein, putative isoform 2 MSALAAPVPSSWIPEDDLLLKNAVESGASLEALAKGAVRFSRKFTVRELQDRWRSLLYDPVISAQASARMIEVELSAPNLYLKSSKFDNSVENGSAKRKLESVRRLYYAMRKRTCNQLVTNSSDVSFLGSPNGNDCVDNRGCCEEAVGPGKGFIQSQFGFSELGVHNGSKEDDLKVTLKKDCFSGKVENLEQNDVHKGSPHVIGEVSVEFGHPSDVEGIKPYSMGYSSPQPDMPLWKTMEDVPAAVMPINGGPGDKGQGAEGTIVHPEDVDGKKGCSSGYDIVPSDLMLKDGYEMNNSSAISGGDLADTDALLNFDGDTMDRSCYDSVNSLLLNSPNDVHEDDTSKAKEPETLVADMCPGKSEAACPAKLDEIPDQLSHSGQGEQLGISCLEINLPSSTSMSNPHSPELHVEVICCMLNSEDPEIPCNDDVLFDKAFALSVTEKCQNVGGDQASSFANPKENKEELSFLETEDNLAQCFTAPKMVGLDVLSESSQGVKSEIHDGQCHMTSRQVLNSLVNPCRYKAAQAFPNFAADEAAKEEPSHECNYKDMPLYTESSSIVDTVLEPEANPSTSDRVEHESNDDVPNFSDVEAMILDMDLCPNDSDSFISREVSRYQDEHAKRTIIRLEQCTRSAMQRDIASRGALAVFYGHHMKHYIKQTEVILGRATMDVDVDIDLGREGSANKISRRQALIKMEEDGSFSLKNLGKSSIFLNGKEVSTGQLMGLGSSSLIEIRDMAFVFETNHSYVKRYLAKNSQKNQEKKTHFEWSGAEEGIA >EOX90928 pep chromosome:Theobroma_cacao_20110822:1:1081576:1088552:-1 gene:TCM_000265 transcript:EOX90928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain-containing protein, putative isoform 2 MSALAAPVPSSWIPEDDLLLKNAVESGASLEALAKGAVRFSRKFTVRELQDRWRSLLYDPVISAQASARMIEVELSAPNLYLKSSKFDNSVENGSAKRKLESVRRLYYAMRKRTCNQLVTNSSDVSFLGSPNGNDCVDNRGCCEEAVGPGKGFIQSQFGFSELGVHNGSKEDDLKVTLKKDCFSGKVENLEQNDVHKGSPHVIGEVSVEFGHPSDVEGIKPYSMGYSSPQPDMPLWKTMEDVPAAVMPINGGPGDKGQGAEGTIVHPEDVDGKKGCSSGYDIVPSDLMLKDGYEMNNSSAISGGDLADTDALLNFDGDTMDRSCYDSVNSLLLNSPNDVHEDDTSKAKEPETLVADMCPGKSEAACPAKLDEIPDQLSHSGQGEQLGISCLEINLPSSTSMSNPHSPELHVEVICCMLNSEDPEIPCNDDVLFDKAFALSVTEKCQNVGGDQASSFANPKENKEELSFLETEDNLAQCFTAPKMVGLDVLSESSQGVKSEIHDGQCHMTSRQVLNSLVNPCRYKAAQAFPNFAADEAAKEEPSHECNYKDMPLYTESSSIVDTVLEPEANPSTSDRVEHESNDDVPNFSDVEAMILDMDLCPNDSDSFISREVSRYQDEHAKRTIIRLEQCTRSAMQRDIASRGALAVFYGHHMKHYIKQTEALIKMEEDGSFSLKNLGKSSIFLNGKEVSTGQLMGLGSSSLIEIRDMAFVFETNHSYVKRYLAKNSQKNQEKKTHFEWSGAEEGIA >EOX90930 pep chromosome:Theobroma_cacao_20110822:1:1085969:1088423:-1 gene:TCM_000265 transcript:EOX90930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain-containing protein, putative isoform 2 MSALAAPVPSSWIPEDDLLLKNAVESGASLEALAKGAVRFSRKFTVRELQDRWRSLLYDPVISAQASARMIEVELSAPNLYLKSSKFDNSVENGSAKRKLESVRRLYYAMRKRTCNQLVTNSSDVSFLGSPNGNDCVDNRGCCEEAVGPGKGFIQSQFGFSELGVHNGSKEDDLKVTLKKDCFSGKVENLEQNDVHKGSPHVIGEVSVEFGHPSDVEGIKPYSMGYSSPQPDMPLWKTMEDVPAAVMPINGGPGDKGQGAEGTIVHPEDVDGKKGCSSGYDIVPSDLMLKDGYEMNNSSAISGGDLADTDALLNFDGDTMDRSCYDSVNSLLLNSPNDVHEDDTSKAKEPETLVADMCPGKSEAACPAKLDEIPDQLSHSGQGEQLGISCLEINLPSSTSMSNPHSPELHVEVICCMLNSEDPEIPCNDDVLFDKAFALSVTEKCQNVGGDQASSFANPKENKEELSFLETEDNLAQCFTAPKMVGLDVLSESSQGVKSEIHDGQCHMTSRQVLNSLVNPCRYKAAQAFPNFAADEAAKEEPSHECNYKDMPLYTESSSIVDTVLEPEANPSTSDRVEHESNDDVPNFSDVEAMILDMDLCPNDSDSFISREVSRYQDEHAKRTIIRLEQCTRSAMQRDIASRGALAVFYGHHMKHYIKQTEVRYFSYAYFLFHYFTLQYAGN >EOX92299 pep chromosome:Theobroma_cacao_20110822:1:6128160:6133330:-1 gene:TCM_001264 transcript:EOX92299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein MFWRERERENKELNGGPPCGQVRVLVVGDSGVGKTSLAHLIVKGSSAARPPQTIGCTVGVKHTTYSSPGSSSSSVKGDAERDFFIELWDVSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQKWAAEIAATGTFSAPLGSGGPGGLPVPYIVIGNKADVAAKEGTKGSSGNLVDVARQWVEKQGLLPSSEELPLTESFPGSGGLIAISGCFWWLVVGAVDGAAKDARYDKEGVMKFFRMLIRRRYFSDDLPAQNTWSISPVQRPSRRLDENSSDDDQLYKRTSLSGDPYKYNMLPPLPAQRNLTPPPTLYPQQPVSVTENYNLPRFSFTGSQEISSTTRSKRADINV >EOX90680 pep chromosome:Theobroma_cacao_20110822:1:321376:325270:-1 gene:TCM_000077 transcript:EOX90680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein isoform 1 MGGGDNSAATEEGSQANDGDGVTVNVRCSNGSKFSVQIKLDSTVQSFKALLASKCDIPADQQRLIYKGRILKDDQTLQSYGLEADHTVHLVCGFAPTPAPPPTTTTNAAGLNASGASNTTQTNARTVGSNEADALGGAGLGASLFPGLGLGGLGGSAGLFGAGLPDFEQVQQQLTQNPNIMREIMNMPAVQNLMNNPEIMRSLIMNNPQMRDIIDRNPELAHILNDPSTLRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGNTGNDSANPFAALLGTQGGNQARDGSTNQSTPTSGTNANSPAPNTNPLPNPWSSAATGGAQTNTTRSNASADARPQAPVGLGGLGLPEFEGMFGAMQDGNILNQLMQNPAISQMMQSLLSNPQYMNQVLGLNPQLRSSLDSNSQLREMMQNPEFLRQLTSPETMQQLLTLQQSLLSQLSRPQSTQNAQQHGVG >EOX90679 pep chromosome:Theobroma_cacao_20110822:1:320360:325157:-1 gene:TCM_000077 transcript:EOX90679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein isoform 1 MGGGDNSAATEEGSQANDGDGVTVNVRCSNGSKFSVQIKLDSTVQSFKALLASKCDIPADQQRLIYKGRILKDDQTLQSYGLEADHTVHLVCGFAPTPAPPPTTTTNAAGLNASGASNTTQTNARTVGSNEADALGGAGLGASLFPGLGLGGLGGSAGLFGAGLPDFEQVQQQLTQNPNIMREIMNMPAVQNLMNNPEIMRSLIMNNPQMRDIIDRNPELAHILNDPSTLRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGNTGNDSANPFAALLGTQGGNQARDGSTNQSTPTSGTNANSPAPNTNPLPNPWSSAATGGAQTNTTRSNASADARPQAPVGLGGLGLPEFEGMFGAMQDGNILNQLMQNPAISQMMQSLLSNPQYMNQVLGLNPQLRSSLDSNSQLREMMQNPEFLRQLTSPETMQQLLTLQQSLLSQLSRPQSTQEPAQTGGGAGTLNNMGLEALMTMFGGLGAGSLAVPNRSDVPPEQLYATQLSQLQEMGFIDTQENIQALIATAGNVHAAVERLLGNSAQ >EOX93990 pep chromosome:Theobroma_cacao_20110822:1:18093070:18097316:-1 gene:TCM_003011 transcript:EOX93990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, putative isoform 3 MEFLQECVGTRAILAIPDGVQIHEKRVGFNQNQNHQLGYQDLARILGLKRMDSECASEISDFASAKGSFKGSENGSCIEKSSRYQKEDGDIGQVSRKGFGELNCDKSHPNGFGPTTPRIYAGDSPSSSSFSGQGVSDGSQSGKMKFLCSFGGKILPRPSDGKLRYVGGETRIISIQKSLSWEELVSKTSEVYNQPHSIKYQLPGEDLDALISMSSDEDLQNMVEEYHGLGKLEGSQRLRIFLIPFGEFESTSSVESGTIQQSNPNYQYVVAVNSIVDPNPKRTSDGQCLPSEGNQLGPNLDHKPSFHKRCPTSIISLETKGGFNALHPSQVFHDFPNTTRYPLPSPPISPLPFQHGDSKSVHALPIGDNFSIESNSSFITAHLNPEYYSTETTNYKHVQQVPPTLMNYNHPHVKVDAGQTFQAYGGQLLNPELSKDSLTLSVLNKNNSDYNGVSHERSMHKEISFLSEKPMSHAEAQLSLLSESVDSIDSQLGMSHAFSDSKLQEHGGRSAYCSQEGMSPYSPLNFAKTQPPSLIVSNAVQERLMQWHDNIDLMKPRVENDLSAIESTSKSTLDILNCSPYLEPSIKNETIHKGTGDSNDKCQTAKVDLSKSSFVTPNNYDEYTTSLDSRNKSDKCDAFLHQGGKHYEWRSPISSMEYNNKSSNADYGQTSIGGIDSRGKNSQVSLKMATSSLVIKNNMEHPQTVDKTTFDIVEHCGFNGKVIDGQGNITSCTRNLEVIDLLPKTRQDSSIESPKGGIICESLNGPMSHERPPLQRVASRKDISKEDQNAEKITLIVSVHENSIVEDVTVAQIEPSSKNKCQIQPDPVVILEDVITSVPSGAQVSPVVVPHVDVISNDLISPIATELDDVILEYESEDAAADIRDKDESFSDAMLAEMEASIYGLQIIKNADLEELRELGSGTYGTVYHGKWRGTDVAIKRIKKSYFSGRSSEQDRLIKDFWREAQILSNLHHPNVVAFYGVVPDGTGGTLATVTEYMVNGSLRNVLLKKDR >EOX93988 pep chromosome:Theobroma_cacao_20110822:1:18089281:18097844:-1 gene:TCM_003011 transcript:EOX93988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, putative isoform 3 MQANRPKELLGSMIREVPSPSSHLVQQESTSFVPNVGKNVNNNNISVQTGEEFSMEFLQECVGTRAILAIPDGVQIHEKRVGFNQNQNHQLGYQDLARILGLKRMDSECASEISDFASAKGSFKGSENGSCIEKSSRYQKEDGDIGQVSRKGFGELNCDKSHPNGFGPTTPRIYAGDSPSSSSFSGQGVSDGSQSGKMKFLCSFGGKILPRPSDGKLRYVGGETRIISIQKSLSWEELVSKTSEVYNQPHSIKYQLPGEDLDALISMSSDEDLQNMVEEYHGLGKLEGSQRLRIFLIPFGEFESTSSVESGTIQQSNPNYQYVVAVNSIVDPNPKRTSDGQCLPSEGNQLGPNLDHKPSFHKRCPTSIISLETKGGFNALHPSQVFHDFPNTTRYPLPSPPISPLPFQHGDSKSVHALPIGDNFSIESNSSFITAHLNPEYYSTETTNYKHVQQVPPTLMNYNHPHVKVDAGQTFQAYGGQLLNPELSKDSLTLSVLNKNNSDYNGVSHERSMHKEISFLSEKPMSHAEAQLSLLSESVDSIDSQLGMSHAFSDSKLQEHGGRSAYCSQEGMSPYSPLNFAKTQPPSLIVSNAVQERLMQWHDNIDLMKPRVENDLSAIESTSKSTLDILNCSPYLEPSIKNETIHKGTGDSNDKCQTAKVDLSKSSFVTPNNYDEYTTSLDSRNKSDKCDAFLHQGGKHYEWRSPISSMEYNNKSSNADYGQTSIGGIDSRGKNSQVSLKMATSSLVIKNNMEHPQTVDKTTFDIVEHCGFNGKVIDGQGNITSCTRNLEVIDLLPKTRQDSSIESPKGGIICESLNGPMSHERPPLQRVASRKDISKEDQNAEKITLIVSVHENSIVEDVTVAQIEPSSKNKCQIQPDPVVILEDVITSVPSGAQVSPVVVPHVDVISNDLISPIATELDDVILEYESEDAAADIRDKDESFSDAMLAEMEASIYGLQIIKNADLEELRELGSGTYGTVYHGKWRGTDVAIKRIKKSYFSGRSSEQDRLIKDFWREAQILSNLHHPNVVAFYGVVPDGTGGTLATVTEYMVNGSLRNVLLKKDSSLDRHKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLINLRDPQRPICKVGDFGLSRIKHNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGISMWEILTREEPYADMHCGAIIGGILKNSLRPPIPEHCDPDWRKLMEQCWSPNPESRPSFTEITNRLRSMSMLLQPKGHNNQARQARPNVTA >EOX93989 pep chromosome:Theobroma_cacao_20110822:1:18092704:18097437:-1 gene:TCM_003011 transcript:EOX93989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, putative isoform 3 MEFLQECVGTRAILAIPDGVQIHEKRVGFNQNQNHQLGYQDLARILGLKRMDSECASEISDFASAKGSFKGSENGSCIEKSSRYQKEDGDIGQVSRKGFGELNCDKSHPNGFGPTTPRIYAGDSPSSSSFSGQGVSDGSQSGKMKFLCSFGGKILPRPSDGKLRYVGGETRIISIQKSLSWEELVSKTSEVYNQPHSIKYQLPGEDLDALISMSSDEDLQNMVEEYHGLGKLEGSQRLRIFLIPFGEFESTSSVESGTIQQSNPNYQYVVAVNSIVDPNPKRTSDGQCLPSEGNQLGPNLDHKPSFHKRCPTSIISLETKGGFNALHPSQVFHDFPNTTRYPLPSPPISPLPFQHGDSKSVHALPIGDNFSIESNSSFITAHLNPEYYSTETTNYKHVQQVPPTLMNYNHPHVKVDAGQTFQAYGGQLLNPELSKDSLTLSVLNKNNSDYNGVSHERSMHKEISFLSEKPMSHAEAQLSLLSESVDSIDSQLGMSHAFSDSKLQEHGGRSAYCSQEGMSPYSPLNFAKTQPPSLIVSNAVQERLMQWHDNIDLMKPRVENDLSAIESTSKSTLDILNCSPYLEPSIKNETIHKGTGDSNDKCQTAKVDLSKSSFVTPNNYDEYTTSLDSRNKSDKCDAFLHQGGKHYEWRSPISSMEYNNKSSNADYGQTSIGGIDSRGKNSQVSLKMATSSLVIKNNMEHPQTVDKTTFDIVEHCGFNGKVIDGQGNITSCTRNLEVIDLLPKTRQDSSIESPKGGIICESLNGPMSHERPPLQRVASRKDISKEDQNAEKITLIVSVHENSIVEDVTVAQIEPSSKNKCQIQPDPVVILEDVITSVPSGAQVSPVVVPHVDVISNDLISPIATELDDVILEYESEDAAADIRDKDESFSDAMLAEMEASIYGLQIIKNADLEELRELGSGTYGTVYHGKWRGTDVAIKRIKKSYFSGRSSEQDRLIKDFWREAQILSNLHHPNVVAFYGVVPDGTGGTLATVTEYMLTRSSQKAYNCHGCSFWHGIFAL >EOX91708 pep chromosome:Theobroma_cacao_20110822:1:3577447:3586860:1 gene:TCM_000808 transcript:EOX91708 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase-related isoform 3 LQELGATVGRGKKEEHLKRADILRKTMAAANTVQKLTCQPRAVRTVLGSFSRTFSSDALVEAKPGEVGMVSGIPEEHLRRRVVIYSPARTATQQGSGKLGKWKINFMSTQKCMEPKLWENPLMGWTSTGDPYANVGEAGLSFDSEEAAKSFSERHGWDYVKKRQTPVLKVKSYADN >EOX91707 pep chromosome:Theobroma_cacao_20110822:1:3584608:3587295:1 gene:TCM_000808 transcript:EOX91707 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase-related isoform 3 MAAANTVQKLTCQPRAVRTVLGSFSRTFSSDALVEAKPGEVGMVSGIPEEHLRRRVVIYSPARTATQQGSGKLGKWKINFMSTQKWENPLMGWTSTGDPYANVGEAGLSFDSEEAAKSFSERHGWDYVVKKRQTPVLKVVKSYADNFKWKGPVISED >EOX91709 pep chromosome:Theobroma_cacao_20110822:1:3584581:3587295:1 gene:TCM_000808 transcript:EOX91709 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase-related isoform 3 MAAANTVQKLTCQPRAVRTVLGSFSRTFSSDALVEAKPGEVGMVSGIPEEHLRRRVVIYSPARTATQQGSGKLGKWKINFMSTQKWENPLMGWTSTGDPYANVGEAGLSFDSEEAAKSFSERHGWDYVVKSYADNFKWKGPVISED >EOX91706 pep chromosome:Theobroma_cacao_20110822:1:3584581:3587245:1 gene:TCM_000808 transcript:EOX91706 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase-related isoform 3 MAAANTVQKLTCQPRAVRTVLGSFSRTFSSDALVEAKPGEVGMVSGIPEEHLRRRVVIYSPARTATQQGSGKLGKWKINFMSTQKWENPLMGWTSTGDPYANVGEAGLSFDSEEAAKSFSERHGWDYVVKKRQTPVLKVKSYADNFKWKGPVISED >EOX96131 pep chromosome:Theobroma_cacao_20110822:1:36704625:36707909:1 gene:TCM_005454 transcript:EOX96131 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain-containing protein isoform 1 MILTRLSRQKQPPLAITATLLTRHLSTSASSSKLRDHYSFQPPPSLSPNPQNTTPNPNLNKKQKPKYRPASSLDRIKPTHSDLAFDFRFSYTESSPTVRPIGLREPKYSPFGPGRLDREWTGVCAPAVDPKVKSVDGSEDPKLEEKRRLLRERIQGTPLIEAERKILVGKCQRNKTKRQINLGRDGLTHNMLNDIHNHWTYAEAVRIKCLGVPTVDMKNVCTQLEDKTFGKIIQRHGGTLILYRGRNYNRKKRPVIPLMLWKPHEPVYPRLIKTTIDGLSIEETKEMRKRGLAVPVLTKLAKNGYYGSLVPMVRDAFLVSELVRIDCTGLERSDYKKIGCKLRDLVPCILVTFDKEQVVVWRGRDYKPPDDGHFFSNREFFDEPSGDVERCDSSDESNDQ >EOX96132 pep chromosome:Theobroma_cacao_20110822:1:36704911:36707931:1 gene:TCM_005454 transcript:EOX96132 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain-containing protein isoform 1 MILTRLSRQKQPPLAITATLLTRHLSTSASSSKLRDHYSFQPPPSLSPNPQNTTPNPNLNKKQKPKYRPASSLDRIKPTHSDLAFDFRFSYTESSPTVRPIGLREPKYSPFGPGRLDREWTGVCAPAVDPKVKSVDGSEDPKLEEKRRLLRERIQGTPLIEAERKILVGKCQRNKTKRAKNGYYGSLVPMVRDAFLVSELVRIDCTGLERSDYKKIGCKLRDLVPCILVTFDKEQVVVWRGRDYKPPDDGHFFSNREFFDEPSGDVERCDSSDESNDQ >EOX92277 pep chromosome:Theobroma_cacao_20110822:1:6039933:6044652:-1 gene:TCM_001246 transcript:EOX92277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shikimate kinase, putative isoform 1 MEAGVARKLQYPTWIESESFGRKPTGSLRFGRRLWEDQKVRVVVSAHFPVQASSNRNRSVSFEVSCSSYKNFSASTLESGSFHAPYDETLTLKNKSQEIEPYLNGHSVYLVGLMGSGKTTVGKILSHVLSYSFIDSDTLIEQEVNGMSVAEIFKLHGENFFRKKESEVLQGLSSKKKLVVSTGGGAVVWDQNWNYMQKKGISVWLDVPLEALAQRIAAVGTHSRPLLHHEPGDPYTKALKRLSYLLEQRGKNYAKANARVSLEEIAGKLGYTDVSDLTPTEIAIEALEQIEGYLKKEGGMAIAGL >EOX92276 pep chromosome:Theobroma_cacao_20110822:1:6039885:6044661:-1 gene:TCM_001246 transcript:EOX92276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shikimate kinase, putative isoform 1 MEAGVARKLQYPTWIESESFGRKPTGSLRFGRRLWEDQKVRVVVSAHFPVQASSNRNRSVSFEVSCSSYKNFSASTLESGSFHAPYDETLTLKNKSQEIEPYLNGHSVYLVGLMGSGKTTVGKILSHVLSYSFIDSDTLIEQEVNGMSVAEIFKLHGENFFRKKESEVLQGLSSKKKLVVSTGGGAVVWDQNWNYMQKKGISVWLDVPLEALAQRIAAVGTHSRPLLHHEPGDPYTKALKRLSYLLEQRGKNYAKANARVSLEEIAGKLGYTDVSDLTPTEIAIEALEQIEGYLKKEGGMAIAGL >EOX92964 pep chromosome:Theobroma_cacao_20110822:1:9626750:9637230:-1 gene:TCM_001824 transcript:EOX92964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 5.2 isoform 6 MPSMENGSSDVSRAEEIKLQANEAFKAHKYGQAIDLYARAIELNAQNAVYWANRAFAHTKLEEYGSAIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKKICPNDPDATKKLKECEKAVMKLKFEEAIAVPESERHSVADSIDYHSIGMSHSSPSMPTQVALAAVAVAFVAALVMMVGAAATKVAAVVVVVVVVLGTWWWGGSTEVEPQYSGAKIEGDVVTLDFVKKMMDDFKNQKCLHKRYAFQIVLQTREMLQSQPSLVDINVPDGSHFTVCGDVHGQFYDLINIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAIYLARGNHESKSMNKIYGFEGEVRSKLSETFVELFAEVFCCLPLAHVINEKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGADVTRKFLQDNNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFICFEAPDLKPNIVTFSAMPHPDVKPMAYANNFLRMFQ >EOX92968 pep chromosome:Theobroma_cacao_20110822:1:9627370:9636569:-1 gene:TCM_001824 transcript:EOX92968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 5.2 isoform 6 MPSMENGSSDVSRAEEIKLQANEAFKAHKYGQAIDLYARAIELNAQNAVYWANRAFAHTKLEEYGSAIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKKICPNDPDATKKLKECEKAVMKLKFEEAIAVPESERHSVADSIDYHSIEVEPQYSGAKIEGDVVTLDFVKKMMDDFKNQKCLHKRYAFQIVLQTREMLQSQPSLVDINVPDGSHFTVCGDVHGQFYDLINIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAIYLARGNHESKSMNKIYGFEGEVRSKLSETFVELFAEVFCCLPLAHVINEKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGADVTRKFLQDNNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQQMGNKGAFICFEAPDLKPNIVTFSAMPHPDVKPMAYANNFLRMFQ >EOX92969 pep chromosome:Theobroma_cacao_20110822:1:9627190:9637268:-1 gene:TCM_001824 transcript:EOX92969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 5.2 isoform 6 MPSMENGSSDVSRAEEIKLQANEAFKAHKYGQAIDLYARAIELNAQNAVYWANRAFAHTKLEEYGSAIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKKICPNDPDATKKLKECEKAVMKLKFEEAIAVPESERHSVADSIDYHSIEVEPQYSGAKIEGDVVTLDFVKKMMDDFKNQKCLHKRYAFQIVLQTREMLQSQPSLVDINVPDGSHFTVCGDVHGQFYDLINIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAIYLARGNHESKSMNKIYGFEGEVRSKLSETFVELFAEVFCCLPLAHVINEKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGADVTRKFLQDNNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFICFEAPDLKPNIVTFSAMVSSLCCLSCHFFFFGYMFGVKTDQEPFLYEEV >EOX92965 pep chromosome:Theobroma_cacao_20110822:1:9626887:9637281:-1 gene:TCM_001824 transcript:EOX92965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 5.2 isoform 6 MPSMENGSSDVSRAEEIKLQANEAFKAHKYGQAIDLYARAIELNAQNAVYWANRAFAHTKLEEYGSAIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKKICPNDPDATKKLKECEKAVMKLKFEEAIAVPESERHSVADSIDYHSIEVEPQYSGAKIEGDVVTLDFVKKMMDDFKNQKCLHKRYAFQIVLQTREMLQSQPSLVDINVPDGSHFTVCGDVHGQFYDLINIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAIYLARGNHESKSMNKIYGFEGEVRSKLSETFVELFAEVFCCLPLAHVINEKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGADVTRKFLQDNNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFICFEAPDLKPNIVTFSAMPHPDVKPMAYANNFLRMFQ >EOX92967 pep chromosome:Theobroma_cacao_20110822:1:9626754:9637268:-1 gene:TCM_001824 transcript:EOX92967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 5.2 isoform 6 MPSMENGSSDVSRAEEIKLQANEAFKAHKYGQAIDLYARAIELNAQNAVYWANRAFAHTKLEEYGSAIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKKICPNDPDATKKLKECEKAVMKLKFEEAIAVPESERHSVADSIDYHSIEVEPQYSGAKIEGDVVTLDFVKKMMDDFKNQKCLHKRYAFQIVLQTREMLQSQPSLVDINVPDGSHFTVCGDVHGQFYDLINIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAIYLARGNHESKSMNKIYGFEGEVRSKLSETFVELFAEVFCCLPLAHVINEKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGADVTRKFLQDNNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFICFEAPDLKPNIVTFSAMPHPDVKPMAYANNFLRMFQ >EOX92966 pep chromosome:Theobroma_cacao_20110822:1:9627183:9637268:-1 gene:TCM_001824 transcript:EOX92966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 5.2 isoform 6 MGKFKEALKDFQQVKKICPNDPDATKKLKECEKAVMKLKFEEAIAVPESERHSVADSIDYHSIEVEPQYSGAKIEGDVVTLDFVKKMMDDFKNQKCLHKRYAFQIVLQTREMLQSQPSLVDINVPDGSHFTVCGDVHGQFYDLINIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAIYLARGNHESKSMNKIYGFEGEVRSKLSETFVELFAEVFCCLPLAHVINEKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGADVTRKFLQDNNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFICFEAPDLKPNIVTFSAMPHPDVKPMAYANNFLRMFQ >EOX94415 pep chromosome:Theobroma_cacao_20110822:1:28366080:28373734:1 gene:TCM_003993 transcript:EOX94415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin II (RPN2) family protein isoform 3 MARSLAGFLALFLFVLICGAASLFQPISDSHRSAALELFTPTHGSFKSLEETYEALRTFEVLGIGKKPDVTATACRSISETLGLLTSTPKDLFYALKANSIVKCKINEKTSEGIITRLNTAVNGASSLLDFYYSIGGLVLIKDQTSKADVYLTDAEGVFRSVKAFSQSDGRWRYSSNNPESSAFAAGIALETLAGIVSLASSEMDQSLIITLKNDISKLFDSIEKYDDGALYFDDKLVDGHEHQGPLSTTSSVVRGLTAFAAVTAGSVNLPGDKILGLAKFFLGIGVPGDAKDFFNQIDSLACLESNRVSIPLILSLPSTELSLTKKDSLKVRVNTVLGSNAPPLTVKLVGAFSSGSKDASLVESQELKFDAETGVHILSSLPKSIDVGSYTFVFEIVLHESEHEKVYVTGNQTKVPIFVTGLIKIENAEITVLDGDLGSIETQKNFIHGLISYIYRLDLAGQNVVSLSANHLQKLRISFQLTTPRGRAFKPHQLRHESKIEHIFVVGNSGKQFEILLNFLGLVEKFFYLSGRYDIELAVGDAVMENSLLRAIGHIELDLPEPPEKAPRPPPQPVDPYSRYGPKAEITHIFRAPEKHPPKELSLAFLGLTILPLLGFLVGVLRLGVNLKNFPSKAIPATFAILFHVGIGAVLLLYVLFWLKLDLFQTLKLLGFLGVFLVLVGHRILSHLAAASAKVKSA >EOX94416 pep chromosome:Theobroma_cacao_20110822:1:28367807:28373565:1 gene:TCM_003993 transcript:EOX94416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin II (RPN2) family protein isoform 3 MDQSLIITLKNDISKLFDSIEKYDDGALYFDDKLVDGHEHQGPLSTTSSVVRGLTAFAAVTAGSVNLPGDKILGLAKFFLGIGVPGDAKDFFNQIDSLACLESNRVSIPLILSLPSTELSLTKKDSLKVRVNTVLGSNAPPLTVKLVGAFSSGSKDASLVESQYEMQELKFDAETGVHILSSLPKSIDVGSYTFVFEIVLHESEHEKVYVTGNQTKVPIFVTGLIKIENAEITVLDGDLGSIETQKNFIHGLISYIYRLDLAGQNVVSLSANHLQKLRISFQLTTPRGRAFKPHQALLKLRHESKIEHIFVVGNSGKQFEILLNFLGLVEKFFYLSGRYDIELAVGDAVMENSLLRAIGHIELDLPEPPEKAPRPPPQPVDPYSRYGPKAEITHIFRAPEKHPPKELSLAFLGLTILPLLGFLVGVLRLGVNLKNFPSKAIPATFAILFHVGIGAVLLLYVLFWLKLDLFQTLKLLGFLGVFLVLVGHRILSHLAAASAKVKSA >EOX94412 pep chromosome:Theobroma_cacao_20110822:1:28363889:28374297:1 gene:TCM_003993 transcript:EOX94412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin II (RPN2) family protein isoform 3 MAIDGNLHYFLLLLLTPLLVVRHFCEDFTEKRNTTQTKTNFSHISRMARSLAGFLALFLFVLICGAASLFQPISDSHRSAALELFTPTHGSFKSLEETYEALRTFEVLGIGKKPDVTATACRSISETLGLLTSTPKDLFYALKANSIVKCKINEKTSEGIITRLNTAVNGASSLLDFYYSIGGLVLIKDQTSKADVYLTDAEGVFRSVKAFSQSDGRWRYSSNNPESSAFAAGIALETLAGIVSLASSEMDQSLIITLKNDISKLFDSIEKYDDGALYFDDKLVDGHEHQGPLSTTSSVVRGLTAFAAVTAGSVNLPGDKILGLAKFFLGIGVPGDAKDFFNQIDSLACLESNRVSIPLILSLPSTELSLTKKDSLKVRVNTVLGSNAPPLTVKLVGAFSSGSKDASLVESQYEMQELKFDAETGVHILSSLPKSIDVGSYTFVFEIVLHESEHEKVYVTGNQTKVPIFVTGLIKIENAEITVLDGDLGSIETQKKLDLAGQNVVSLSANHLQKLRISFQLTTPRGRAFKPHQALLKLRHESKIEHIFVVGNSGKQFEILLNFLGLVEKFFYLSGRYDIELAVGDAVMENSLLRAIGHIELDLPEPPEKAPRPPPQPVDPYSRYGPKAEITHIFRAPEKHPPKELSLAFLGLTILPLLGFLVGVLRLGVNLKNFPSKAIPATFAILFHVGIGAVLLLYVLFWLKLDLFQTLKLLGFLGVFLVLVGHRILSHLAAASAKVKSA >EOX94413 pep chromosome:Theobroma_cacao_20110822:1:28365950:28373904:1 gene:TCM_003993 transcript:EOX94413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin II (RPN2) family protein isoform 3 MARSLAGFLALFLFVLICGAASLFQPISDSHRSAALELFTPTHGSFKSLEETYEALRTFEVLGIGKKPDVTATACRSISETLGLLTSTPKDLFYALKANSIVKCKINEKTSEGIITRLNTAVNGASSLLDFYYSIGGLVLIKDQTSKADVYLTDAEGVFRSVKAFSQSDGRWRYSSNNPESSAFAAGIALETLAGIVSLASSEMDQSLIITLKNDISKLFDSIEKYDDGALYFDDKLVDGHEHQGPLSTTSSVVRGLTAFAAVTAGSVNLPGDKILGLAKFFLGIGVPGDAKDFFNQIDSLACLESNRVSIPLILSLPSTELSLTKKDSLKVRVNTVLGSNAPPLTVKLVGAFSSGSKDASLVESQELKFDAETGVHILSSLPKSIDVGSYTFVFEIVLHESEHEKVYVTGNQTKVPIFVTGLIKIENAEITVLDGDLGSIETQKKLDLAGQNVVSLSANHLQKLRISFQLTTPRGRAFKPHQALLKLRHESKIEHIFVVGNSGKQFEILLNFLGLVEKFFYLSGRYDIELAVGDAVMENSLLRAIGHIELDLPEPPEKAPRPPPQPVDPYSRYGPKAEITHIFRAPEKHPPKELSLAFLGLTILPLLGFLVGVLRLGVNLKNFPSKAIPATFAILFHVGIGAVLLLYVLFWLKLDLFQTLKLLGFLGVFLVLVGHRILSHLAAASAKVKSA >EOX94414 pep chromosome:Theobroma_cacao_20110822:1:28366080:28373858:1 gene:TCM_003993 transcript:EOX94414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin II (RPN2) family protein isoform 3 MARSLAGFLALFLFVLICGAASLFQPISDSHRSAALELFTPTHGSFKSLEETYEALRTFEVLGIGKKPDVTATACRSISETLGLLTSTPKDLFYALKANSIVKCKINEKTSEGIITRLNTAVNGASSLLDFYYSIGGLVLIKDQTSKADVYLTDAEGVFRSVKAFSQSDGRWRYSSNNPESSAFAAGIALETLAGIVSLASSEMDQSLIITLKNDISKLFDSIEKYDDGALYFDDKLVDGHEHQGPLSTTSSVVRGLTAFAAVTAGSVNLPGDKILGLAKFFLGIGVPGDAKDFFNQIDSLACLESNRVSIPLILSLPSTELSLTKKDSLKVRVNTVLGSNAPPLTVKLVGAFSSGSKDASLVESQELKFDAETGVHILSSLPKSIDVGSYTFVFEIVLHESEHEKVYVTGNQTKVPIFVTGLIKIENAEITVLDGDLGSIETQKNFIHGLISYIYRLDLAGQNVVSLSANHLQKLRISFQLTTPRGRAFKPHQALLKLRHESKIEHIFVVGNSGKQFEILLNFLGLVEKFFYLSGRYDIELAVGDAVMENSLLRAIGHIELDLPEPPEKAPRPPPQPVDPYSRYGPKAEITHIFRAPEKHPPKELSLAFLGLTILPLLGFLVGVLRLGVNLKNFPSKAIPATFAILFHVGIGAVLLLYVLFWLKLDLFQTLKLLGFLGVFLVLVGHRILSHLAAASAKVKSA >EOX94713 pep chromosome:Theobroma_cacao_20110822:1:31002889:31004771:1 gene:TCM_004326 transcript:EOX94713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF581) [Source:Projected from Arabidopsis thaliana (AT3G63210) TAIR;Acc:AT3G63210] MLRNRSRAVTSKQALMADHSSQSTPAQNYTRPIPSFFGSPRFKAFTTKGLPDTEAVKSPTSILDNKPLFPFGSPFGFDINQPKSPRVFSPNNKQQHLPEKLDSKGIGLAIVDTLNDTPIEDKSSSETSNKMVLFGAKLRVQIPPLPSSLRSPTTSPISPTYFGIKNRNSHLSSPFGSPDSDIHVKDSPRVFTGCLPVREMELSEDYTCVISHGPNPKTTHIFDNCVVESYCTLPDKPKSAPESFLSFCHTCKKNLEQKIDIYMYRGEKAFCSQECRYQEMLLDGEEN >EOX94714 pep chromosome:Theobroma_cacao_20110822:1:31002756:31004778:1 gene:TCM_004326 transcript:EOX94714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF581) [Source:Projected from Arabidopsis thaliana (AT3G63210) TAIR;Acc:AT3G63210] MLRNRSRAVTSKQALMADHSSQSTPAQNYTRPIPSFFGSPRFKAFTTKGLPDTEAVKSPTSILDNKPLFPFGSPFGFDINQPKSPRVFSPNNKQQHLPEKLDSKGIGLAIVDTLNDTPIEDKSSSETSNKMVLFGAKLRVQIPPLPSSLRSPTTSPISPTYFGIKNRNSHLSSPFGSPDSDIHVKDSPRVFTGCLPVREMELSEDYTCVISHGPNPKTTHIFDNCVVESYCTLPDKPKSAPESFLSFCHTCKKNLEQKIDIYIGEKAFCSQECRYQEMLLDGEEN >EOX94715 pep chromosome:Theobroma_cacao_20110822:1:31002756:31004778:1 gene:TCM_004326 transcript:EOX94715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF581) [Source:Projected from Arabidopsis thaliana (AT3G63210) TAIR;Acc:AT3G63210] MADHSSQSTPAQNYTRPIPSFFGSPRFKAFTTKGLPDTEAVKSPTSILDNKPLFPFGSPFGFDINQPKSPRVFSPNNKQQHLPEKLDSKGIGLAIVDTLNDTPIEDKSSSETSNKMVLFGAKLRVQIPPLPSSLRSPTTSPISPTYFGIKNRNSHLSSPFGSPDSDIHVKDSPRVFTGCLPVREMELSEDYTCVISHGPNPKTTHIFDNCVVESYCTLPDKPKSAPESFLSFCHTCKKNLEQKIDIYMYRGEKAFCSQECRYQEMLLDGEEN >EOX92912 pep chromosome:Theobroma_cacao_20110822:1:9389007:9392974:-1 gene:TCM_001774 transcript:EOX92912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger WD40 repeat protein 1, putative MGIRRAARRYDHDSVERLSVRRQGEAANINDYRRNAKPYHSISEDLLAKSSSHHPKNSYVSTIKAREQENKLCKYWMSGYCARGDKCWYLHSWYCGDGFTMLAKLEGHKKAVHGIALPLESEKLYSGSSDGTVRTWNCHSGKCVRLSNLGDEVGSMITEGPWVFIGMKGVIKLWNIQTVDELSLKGPVGQVYSMVVANNMLFAGAQNGVIFAWKGSSEASPFQLVASMEAHSGAVLCLTVGEKKLYSGSVDHTIRVWDMDTLQCIKTLNGHEDAVMSLLYCNGCLFSCSLDCTIKVWFATEGENWEVIYTHKEENCVYYLTLISVFTIMGVLALCGMNDAETKPVLFCSCNDNTVRLYDLPSFTERGRLYSKHEVRVIQRGPFPLFFTGDGNGSLTVWKWLQKPGGGAP >EOX93300 pep chromosome:Theobroma_cacao_20110822:1:11959722:11969543:-1 gene:TCM_002144 transcript:EOX93300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene beta/epsilon cyclase protein isoform 3 MFSGTTMMVPLRPCNGVSQYLSKSPMVHTKRQTTVRNIYMKAQTQAVPSRTQRIMESISVGGEVGGAGGAYSYSALKRLDKIWSSICSAETVQQEPQQVVSDFPGVFSHSALAEKAVHKFDVVVCGGTLGIFIATALSVKGLKREQEWNISRKELMELVEAGILNENDIEEATAVSFNPNRCGFENKGEIWVEDILNLGISPVKLIEIVKKRFLALDGVIFEGCSVSGISIYDDAAVLQLAEGNILSSRLIIDAMGNFSPVVKQIRGGRKPDGVCLVVGSCAHGFKDNSTSDVIYSSSSVKKVGSAEVQYFWEAFPAGSGPLDRTTYMFTYVNPQPDSPKLEELLEDYWDLMPKYQGVSIDNLEILRVIYGIFPTYCESPLPAAFNRVLQFGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAINGDFLDSYSLSLLNPYMPNLSASWLFQRAMSAKKQTNVSPEFINELLDINFKSMQRLGDPVLRPFLQDVIQFGPLAKTLGLVMLTKPQILPSIFKQVDIPVLFDWSGHFFMLGYYTFLSSFMDPVIRSWLNAFPSKMKYEWKRRLEAWKYGSGLDYRL >EOX93299 pep chromosome:Theobroma_cacao_20110822:1:11959722:11969390:-1 gene:TCM_002144 transcript:EOX93299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene beta/epsilon cyclase protein isoform 3 MFSGTTMMVPLRPCNGVSQYLSKSPMVHTKRQTTVRNIYMKAQTQAVPSRTQRIMESISVGGEVGGAGGAYSYSALKRLDKIWSSICSAETVQQEPQQVVSDFPGVFSHSALAEKAVHKFDVVVCGGTLGIFIATALSVKGLKVSVVERNLLKGREQEWNISRKELMELVEAGILNENDIEEATAVSFNPNRCGFENKGEIWVEDILNLGISPVKLIEIVKKRFLALDGVIFEGCSVSGISIYDDAAVLQLAEGNILSSRLIIDAMGNFSPVVKQIRGGRKPDGVCLVVGSCAHGFKDNSTSDVIYSSSSVKKVGSAEVQYFWEAFPAGSGPLDRTTYMFTYVNPQPDSPKLEELLEDYWDLMPKYQGVSIDNLEILRVIYGIFPTYCESPLPAAFNRVLQFGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAINGDFLDSYSLSLLNPYMPNLSASWLFQRAMSAKKQTNVSPEFINELLDINFKSMQRLGDPVLRPFLQDVIQFGPLAKTLGLVMLTKPQILPSIFKQVDIPVLFDWSGHFFMLGYYTFLSSFMDPVIRSWLNAFPSKMKYEWKRRLEAWKYGSGLDYRL >EOX93298 pep chromosome:Theobroma_cacao_20110822:1:11959722:11969390:-1 gene:TCM_002144 transcript:EOX93298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene beta/epsilon cyclase protein isoform 3 MFSGTTMMVPLRPCNGVSQYLSKSPMVHTKRQTTVRNIYMKAQTQAVPSRTQRIMESISVGGEVGGAGGAYSYSALKRLDKIWSSICSAETVQQEPQQVVSDFPGVFSHSALAEKAVHKFDVVVCGGTLGIFIATALSVKGLKVSVVERNLLKGREQEWNISRKELMELVEAGILNENDIEEATAVSFNPNRCGFENKGEIWVEDILNLGISPVKLIEIVKKRFLALDGVIFEGCSVSGISIYDDAAVLQLAEGNILSSRLIIDAMGNFSPVVKQIRGGRKPDGVCLVVGSCAHGFKDNSTSDVIYSSSSVKKVGSAEVQYFWEAFPAGSGPLDRTTYMFTYVNPQPDSPKLEELLEDYWDLMPKYQGVSIDNLEILRVIYGIFPTYCESSIFTHQACQLDRLDSPLPAAFNRVLQFGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAINGDFLDSYSLSLLNPYMPNLSASWLFQRAMSAKKQTNVSPEFINELLDINFKSMQRLGDPVLRPFLQDVIQFGPLAKTLGLVMLTKPQILPSIFKQVDIPVLFDWSGHFFMLGYYTFLSSFMDPVIRSWLNAFPSKMKYEWKRRLEAWKYGSGLDYRL >EOX93301 pep chromosome:Theobroma_cacao_20110822:1:11960343:11969315:-1 gene:TCM_002144 transcript:EOX93301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene beta/epsilon cyclase protein isoform 3 MELVEAGILNENDIEEATAVSFNPNRCGFENKGEIWVEDILNLGISPVKLIEIVKKRFLALDGVIFEGCSVSGISIYDDAAVLQLAEGNILSSRLIIDAMGNFSPVVKQIRGGRKPDGVCLVVGSCAHGFKDNSTSDVIYSSSSVKKVGSAEVQYFWEAFPAGSGPLDRTTYMFTYVNPQPDSPKLEELLEDYWDLMPKYQGVSIDNLEILRVIYGIFPTYCESPLPAAFNRVLQFGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAINGDFLDSYSLSLLNPYMPNLSASWLFQRAMSAKKQTNVSPEFINELLDINFKSMQRLGDPVLRPFLQDVIQFGPLAKTLGLVMLTKPQILPSIFKQVDIPVLFDWSGHFFMLGYYTFLSSFMDPVIRSWLNAFPSKMKYEWKRRLEAWKYGSGLDYRL >EOX92657 pep chromosome:Theobroma_cacao_20110822:1:7961311:7962644:1 gene:TCM_001573 transcript:EOX92657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRCIPWNPVTAKQKKKKKKLKKAETTNTEIDFFEYIYETNYYNLKLIYMEKKIYLDKKKIFRYYITTTIQTQKKAQNEVVLAGANFSILFAKV >EOX95281 pep chromosome:Theobroma_cacao_20110822:1:33637356:33641138:-1 gene:TCM_004823 transcript:EOX95281 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT zinc finger,PAPA-1-like conserved region isoform 1 MEEFSGTRFDGMNNAVRKKRTQTSRRPRPDSQSFAESQDHSPLSSTPPSDDVSKVSSDENAGGDANSKRKEFNLNQCVWISPAVGVEGEKTNKRKKEDGGFNAFYNNEPGRSGSNNKRSSEGVLAPANWKSTSKAKEWSVSESRNVDIYGGRNGEFQSSVQGAVIDGNESKVKKVKLKVGGITRTIHANSAANGMPGSGSSTRKNNLQGSTDEGHSPPDKRSGLQGVPWKDFSKGGFNFGKEDSLMGKTSGKNISGKQGDQAGLVRKSKRVPKRRVLDGDFGEDDEDEEIRYLERLKTSKISPAYKEDDESGKKQKKLSRVSNPENFGSSRSSKDEKRKHRSDRISGDTDYEEEDEPVSDSELEGKKKKKQRKESVDTLMENKREMTLTTRQRALQSSKDASSAPGSSLIEFPNGLPPAPSRKQKEKLSEVEQQLKKAEAAQRRRMQVEKAARESEAEAIRKILGQDSSRKKREEKMKKRQEELAQGKAANAEMLASNTVRLVMGPTGTTVTFPRDMGFPSLFDSKPSSYPPPRENCAGPSCNNPYKYRDSKSKLPLCSLQCYKAIQEQLLAETTC >EOX95279 pep chromosome:Theobroma_cacao_20110822:1:33637541:33641683:-1 gene:TCM_004823 transcript:EOX95279 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT zinc finger,PAPA-1-like conserved region isoform 1 MEEFSGTRFDGMNNAVRKKRTQTSRRPRPDSQSFAESQDHSPLSSTPPSDDVSKVSSDENAGGDANSKRKEFNLNQCVWISPAVGVEGEKTNKRKKEDGGFNAFYNNEPGRSGSNNKRSSEGVLAPANWKSTSKAKEWSVSESRNVDIYGGRNGEFQSSVQGAVIDGNESKVKKVKLKVGGITRTIHANSAANGMPGSGSSTRKNNLQGSTDEGHSPPDKRSGLQGVPWKDFSKGGFNFGKEDSLMGKTSGKNISGKQGDQAGLVRKSKRVPKRRVLDGDFGEDDEDEEIRYLERLKTSKISPAYKEDDESGKKQKKLSRVSNPENFGSSRSSKDEKRKHRSDRISGDTDYEEEDEPVSDSELEGKKKKKQRKESVDTLMENKREMTLTTRQRALQSSKDASSAPGSSLIEFPNGLPPAPSRKQKEKLSEVEQQLKKAEAAQRRRMQVEKAARESEAEAIRKILGQDSSRKKREEKMKKRQEELAQGKAANAEMLASNTVRLVMGPTGTTVTFPRDMGFPSLFDSKPSSYPPPRENCAGPSCNNPYKYRDSKSKLPLCSLQCYKAIQEQLLAETTC >EOX95278 pep chromosome:Theobroma_cacao_20110822:1:33637360:33641683:-1 gene:TCM_004823 transcript:EOX95278 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT zinc finger,PAPA-1-like conserved region isoform 1 MEEFSGTRFDGMNNAVRKKRTQTSRRPRPDSQSFAESQDHSPLSSTPPSDDVSKVSSDENAGGDANSKRKEFNLNQCVWISPAVGVEGEKTNKRKKEDGGFNAFYNNEPGRSGSNNKRSSEGVLAPANWKSTSKAKEWSVSESRNVDIYGGRNGEFQSSVQGAVIDGNESKVKKVKLKVGGITRTIHANSAANGMPGSGSSTRKNNLQGSTDEGHSPPDKRSGLQGVPWKDFSKGGFNFGKEDSLMGKTSGKNISGKQGDQAGLVRKSKRVPKRRVLDGDFGEDDEDEEIRYLERLKTSKISPAYKEDDESGKKQKKLSRVSNPENFGSSRSSKDEKRKHRSDRISGDTDYEEEDEPVSDSELEGKKKKKQRKESVDTLMENKREMTLTTRQRALQSSKDASSAPGSSLIEFPNGLPPAPSRKQKEKLSEVEQQLKKAEAAQRRRMQVEKAARESEAEAIRKILGQDSSRKKREEKMKKRQEELAQGKAANAEMLASNTVRLVMGPTGTTVTFPRDMGFPSLFDSKPSSYPPPRENCAGPSCNNPYKYRDSKSKLPLCSLQCYKAIQEQLLAETTC >EOX95280 pep chromosome:Theobroma_cacao_20110822:1:33637356:33641139:-1 gene:TCM_004823 transcript:EOX95280 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT zinc finger,PAPA-1-like conserved region isoform 1 MEEFSGTRFDGMNNAVRKKRTQTSRRPRPDSQSFAESQDHSPLSSTPPSDDVSKVSSDENAGGDANSKRKEFNLNQCVWISPAVGVEGEKTNKRKKEDGGFNAFYNNEPGRSGSNNKRSSEGVLAPANWKSTSKAKEWSVSESRNVDIYGGRNGEFQSSVQGAVIDGNESKVKKVKLKVGGITRTIHANSAANGMPGSGSSTRKNNLQGSTDEGHSPPDKRSGLQGVPWKDFSKGGFNFGKEDSLMGKTSGKNISGKQGDQAGLVRKSKRVPKRRVLDGDFGEDDEDEEIRYLERLKTSKISPAYKEDDESGKKQKKLSRVSNPENFGSSRSSKDEKRKHRSDRISGDTDYEEEDEPVSDSELEGKKKKKQRKESVDTLMENKREMTLTTRQRALQSSKDASSAPGSSLIEFPNGLPPAPSRRRNFQKLSSS >EOX94834 pep chromosome:Theobroma_cacao_20110822:1:31654902:31658652:1 gene:TCM_004448 transcript:EOX94834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Debranching enzyme 1 MATLPPSLAIGPHCLNSGATELSKLSFVTRYLCKSKMGQSLQRIDVGRKLFSGEVAQNVMQSRYWNLDLRCFAASRVSVEQTEQIFTSTQVDELKKLSTYLFRTEIGGQVKVFVRKRSVNHVVDIEVSSLQLSGDESQLVLSGGIHRSDHDIKNRIIETPFIAKSSSELALELEFEAKEAPFYFSFLLKAPSGANLSGSEIRTHRKTNFCVPVGFNQGYPAPLGLSFSTDGSMNFAVYSRNAESLVLCLYDDTASEKPALELDLDPFVNRTGDIWHASIEGSWTFVRYGYRCKGDTDAFNAERVLLDPYARIIGSSIPNHHESGLLLKHLGRLCKEPAFDWSSDVCPNLPMEKLVVYRLNVLHFTEDKSSKLPADVVGTFAGVTEKVQHLKDLGVNAVLLEPIFTFDEQKGPYFPCHFFSPTNLYGPSNGSVSAINSIKEMVKNLHANGMEVLLEVVFTHTAEGGALQGLDDLSYYYRNRVQDLERRNALNCNYPVVQRMILDSLRHWVTEFHIDGFCFINASCLLRGFYGEHLSRPPLIEAIAFDPLLSKTKIIADCWYPHDMMPKEIRFPHWKKWAEMNTKFCTDIRNFLRGEGALSSLATRLCGSGDIFSDGRGPAFSFNYIAKNFGLPLVDLVSFSKAEIASELSWNCGVEGPTNKTAVLERRLKQIRNFLFILFISLGVPVLNMGDECGQSSGGSLSYGSRKLLDWNAMTTGFGIQTTQFISFLSSLRMRRSDLLQKRSFLKEENIEWYGSDQSPPGWEDPSCKFLAMTLKADKEENQLSSEASRLKGDLLIAINAADKAEIIILPPPPEGLAWRRLVDTALPYPGFFSADGKAVLEQMMGLFAYEMKSLSCTLFEARADGS >EOX95180 pep chromosome:Theobroma_cacao_20110822:1:33222657:33223431:-1 gene:TCM_004736 transcript:EOX95180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVILTSVFDLEWVARSNQTVMQSLLEVRCGTQFGQGPNPRPRVPKIVKMSRLLPVSCKRGLYLLLFNSESVF >EOX91106 pep chromosome:Theobroma_cacao_20110822:1:1606283:1609335:-1 gene:TCM_000393 transcript:EOX91106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein MFLRRRHTQTDDSEQRDAKVSELKTAIGPQSGRSSKYCTDACLRRYLEARNWNVDKSKKMLEETLKWRSAYKPEEIRWHEVAHEGETGKVSRANFHDRHGRTVLIMRPGMQNTTSAENNIRHLVYLLENAIMNLSEGQEQMSWLIDFTGFSLNTNISVRTARDIIYILQSHYPERLAIAFLYNPPRIFEAFYKAVKYFLDPKTAQKVKFVYPKNKDSVELMKSYFDVENLPSEFGGKASLKYDHEEFSRLMAQDDVKTAKFWGIDEKPYHIGNGYSVAEVAPEPTPIAQAAS >EOX91872 pep chromosome:Theobroma_cacao_20110822:1:4240634:4241583:-1 gene:TCM_000932 transcript:EOX91872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASLLPLTSKPSIKPCSISRIILMSASTITITVLLCSFKMPMAFMFYPQTPMIQLNNLLVSNFQVSNSNSAATWLANLTIYNPNIALEVQMDQIEASILYKHDNALSLTSMDNFKLGFRERKDVYVKFVTTGNEGDQPIVEYPLLRVIEKDRRQGRVSFRMRFNAMTTYEIGWLGWRTRPVIMNPYSIDLDVVRYGRRGTASTIIGDSPMDGSLLMMVTD >EOX94965 pep chromosome:Theobroma_cacao_20110822:1:32216373:32229235:1 gene:TCM_004553 transcript:EOX94965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein MADAQYIDGKPCEENFSCTSPKPETRAEMLSRHRKEISQLQNKEIELKKAAAKGSKAEQKAKKKQVEEEISRLSAKLKEKHAEELASLGYSNSIGNDKSNIDNLVKAIAGVSVTTQQDHPKTSKGAKRREKRAVQEAAREQRIQEEQSNIVSDRMIEDEKLEKKLEPLGFTYNEIKPDGHCLYRAVEDQLALLSGGSSPYTYQELREMAAAYMRKHASDFHPFFLSENTIDGDSDDSLVERFENYCKEVESTATWGGQLELGALTHCLRKHIMIFSGSFPDVEMGREYKSDGGSTSSNATIRLSYHRHAFGLGEHYNSVIPNSIG >EOX90825 pep chromosome:Theobroma_cacao_20110822:1:729992:733805:1 gene:TCM_000189 transcript:EOX90825 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 4 MEGFVYGFQRVPEHCHRWRFFGKNLFRSVMDEISNLPLPEAFLNFLEENGIDPSIYTASDSTPRYVRLKPGCEAELEEIEAEMKCKLRKVDWLPGFYSLPPDIQIANSKAYQDGKIYGIDAASGAAVSALKISPGDHVLDLCAAPGAKICMMLDLLGDSGSVTGVDVARHRLAACRTILQKYSLGDRCRLFVADGTTFSLAPVRVDSVSRSCESAFEDKDDKFREWTSRRPWKERKRAAKAREAKSSQLVAVSENPELIFYGQHSGVVGLSKNELSKTMSDLEVSSCGYDKVLVDAECTHDGSVRHIQKFEHWGWRTLQRRVLDAERTDSLTVLQLKLLRNGFRLLKVGGLLVYSTCSLTVAQNEDIVERFLKENASAELQEIDEAEGWPCKCGRIPKTLRFDPLTSQTSGLFVAKVTKLAT >EOX90829 pep chromosome:Theobroma_cacao_20110822:1:730167:733395:1 gene:TCM_000189 transcript:EOX90829 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 4 MKCKLRKVDWLPGFYSLPPDIQIANSKAYQDGKIYGIDAASGAAVSALKISPGDHVLDLCAAPGAKICMMLDLLGDSGSVTGVDVARHRLAACRTILQKYSLGDRCRLFVADGTTFSLAPVRVDSVSRSCESAFEDKDDKFREWTSRRPWKERKRAAKAREAKSSQLVAVSENPELIFYGQHSGVVGLSKNELSKTMSDLEVSSCGYDKVLVDAECTHDGSVRHIQKFEHWGWRTLQRRVLDAERTDSLTVLQLKLLRNGFRLLKVGGLLVYSTCSLTVAQNEDIVERFLKENASAELQEIDEAEGWPCKCGRIPKTLRFDPLTSQTSGLFVAKVTKLAT >EOX90826 pep chromosome:Theobroma_cacao_20110822:1:729708:733562:1 gene:TCM_000189 transcript:EOX90826 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 4 MEGFVYGFQRVPEHCHRWRFFGKNLFRSVMDEISNLPLPEAFLNFLEENGIDPSIYTASDSTPRYVRLKPGCEAELEEIEAEMKCKLRKVDWLPGFYSLPPDIQIANSKAYQDGKIYGIDAASGAAVSALKISPGDHVLDLCAAPGAKICMMLDLLGDSGSVTGVDVARHRLAACRTILQKYSLGDRCRLFVADGTTFSLAPVRVDSVSRSCESAFEDKDDKFREWTSRRPWKERKRAAKAREAKSSQLVAVSENPELIFYGQHSGVVGLSKNELSKTMSDLEVSSCGYDKVLVDAECTHDGSVRHIQKFEHWGWRTLQRRVLDAERTDSLTVLQLKLLRNGFRLLKVGGLLVYSTCSLTVAQNEDIVERFLKENASAELQEIDEAEGWPCKCGRIPKTLRFDPLTSQTSGLFVAKVTKLAT >EOX90828 pep chromosome:Theobroma_cacao_20110822:1:729708:733805:1 gene:TCM_000189 transcript:EOX90828 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 4 MEGFVYGFQRVPEHCHRWRFFGKNLFRSVMDEISNLPLPEAFLNFLEENGIDPSIYTASDSTPRYVRLKPGCEAELEEIEAEMKCKLRKVDWLPGFYSLPPDIQIANSKAYQDGKIYGIDAASGAAVSALKISPGDHVLDLCAAPGAKICMMLDLLGDSGSVTGVDVARHRLAACRTILQKYSLGDRCRLFVADGTTFSLAPVRVDSVSRSCESAFEDKDDKFREWTSRRPWKERKRAAKAREAKSSQLVAVLVDAECTHDGSVRHIQKFEHWGWRTLQRRVLDAERTDSLTVLQLKLLRNGFRLLKVGGLLVYSTCSLTVAQNEDIVERFLKENASAELQEIDEAEGWPCKCGRIPKTLRFDPLTSQTSGLFVAKVTKLAT >EOX90827 pep chromosome:Theobroma_cacao_20110822:1:729708:733562:1 gene:TCM_000189 transcript:EOX90827 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 4 MEGFVYGFQRVPEHCHRWRFFGKNLFRSVMDEISNLPLPEAFLNFLEENGIDPSIYTASDSTPRYVRLKPGCEAELEEIEAEMKCKLRKVDWLPGFYSLPPDIQIANSKAYQDGKIYGIDAASGAAVSALKISPGDHVLDLCAAPGAKICMMLDLLGDSGSVTGVDVARHRLAACRTILQKYSLGDRCRLFVADGTTFSLAPVRVDSVSRSCESAFEDKDDKFREWTSRRPWKERKRAAKAREAKSSQLVAVSENPELIFYGQHSGVVGLSKNELSKTMSDLEVSSCGYDKVLVDAECTHDGSVRHIQKFEHWGWRTLQRRVLDAERTDSLTVLQQLKLLRNGFRLLKVGGLLVYSTCSLTVAQNEDIVERFLKENASAELQEIDEAEGWPCKCGRIPKTLRFDPLTSQTSGLFVAKVTKLAT >EOX94860 pep chromosome:Theobroma_cacao_20110822:1:31779577:31781169:-1 gene:TCM_004474 transcript:EOX94860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum auxin binding protein 1 MVRWPCFVSFFLTFLFFWQTLEASPCPINGFPLVRNISELPQGSYGIKGLSHTTLAGSVFHGMKEIEIWLETFSPGARTPIHRHACEEVFVVLRGSGTLFLASPSDENKSPGKPKEYFIFPNSTYHIPVDDVHQVCNTNEHEDLQVLVIISRPPMKAFVYEDWSMPHSAARLLFPMPWDEQCRQSPQKDEL >EOX93534 pep chromosome:Theobroma_cacao_20110822:1:13834620:13839424:-1 gene:TCM_002424 transcript:EOX93534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MKRLARAAPHVLRTRKVSTAAQSEPLIHQDTVTQITSILSRNNWQRLMDSSDIATKLNPDIIRSVIHQNRTASDPTRLLGFFIWSQRRVGTTQQQDLDVYSLLAIILCKSKMFGPASYVFEHMVKNFKLPFDILGYLSSYCREFDVEGDVKTSIFDMLITSLRKMGFLVEASDVFLTSGFGKGENFVPSLWCCNGFLKDLLKRNKVELFWKVCNRMNEMKIGFDEYSYVNVMNAHFMVKNVEEAMKVFWDMEEKGCSPSLVSYNVVVRGLCRVGHVDDAIEIKRAMVEKGMVPVVYTYMMLINGLCTDMRLRNAESVLEEMIAKGLKPEAAGFNALIDGFLKQDHVVEAFRIKDKMFASGIQLDLTTYNVLLSGVCKSSNIKKAREIMNEMERLGINPNLRSYASLIKGYCRDGDLHSAFKLLDEMKSRNLVPTAKIHTVLINELCRYGNIKQVNAVLSKIFKETVKLNAFVCRNLILAYTKEDRVKEARGILEIMKDQGVLLDILCYNPIIVGLCKARRMVEARTYLDEMFGRGLKPDAYTYGAFISGYSQMGEMQLAVKCFNEMLGCGLVPNDQTYKAVIDGHCKTGNITEALSASRYWLLQGIQPDILVYSMLISCFSRNGKQQEALEIYQELYEKGLFPDAHACNSLLTSSCKQGKVGKAFQLYEAMCAKGIDLNVVTYNSLINELCRVKNMEKARQLFLEMQERNLIPPASTFTLILNGYNKAGNKTEVFSLFQEMVAKGIKHDKETYYVMIDAYCKEGNLMEALKLRNIILDNGMPMSATAYVALIEALCKRKDFSEALILLNEVGESGLSLSTATCSFIASNFDRAGEMDKAAQALEHMVQFGWVSNSMSLAGLLDWKCY >EOX92616 pep chromosome:Theobroma_cacao_20110822:1:7749300:7757731:1 gene:TCM_001536 transcript:EOX92616 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger transcription factor-like protein isoform 3 MNRRKLLLNERVEVRQFEEGLRGSWHPGVVVGVSNLFRSIEYDELLCEAGDSKLIESIPVTEAIEGLHSRRHVPSTYRGHIRPLPPLSQPYSDQKLGFGVCVDALFEDAWWEGVILDNDDNASERSVYFPDEGDECKFSVSQLRVAHEWDEFMGIWRERGVWIMVQLAKELEGDVPLACCVKKVWSSLRLNYGFIKMISEWTCGVQTVWKKYFMEVVQEIAIGSSRRNLANRKILAWMVGKKGNKLKDPVEANPHLSDTFMQAGSCNDLKAVRSCQKGKGRGPLGKHKGRKRSVMSKLKHKELSTLNTSTRKSFQADKSCGEKQMASGVSLIKSNYSINESDQIPDHFTDANKEILSSPLPIQKESGKLESEIDKQKSSTVCENEGNQFSVDSSGGSETISYAVSHVQDNKSACRSSRKHGQIDNNLAGIFPDCSKVQVSRQGFFSVIHKKRRSSLMRKRISNKYQRPNLKVTNPLKVGKGNNKAFFVVYKLKSDSVMRKRFSKKPKQADSKVEAIPKKRGKGLYAILKEDDLISGHHHLNLPFDNCGKKVRLKDMVSRSRRRKRKWRCRGSRLRDTICSVCHYGGDLIICDHCPCSYHLSCINFKDVPSKKWFCPSCCCGLCGLRDSKSYSEQFTNACLQCSRQYHVACLSEVQNLSPADYPFGSFCSEACYKLCSQLHQLLGISNPTAVDGLSWTLMRSLKTVYKFPDMSKTHTWIKLSSVLKVIHECFEPVKEPHTKRDLVRDVLFNSVSKLKRLDFRGFYAMVLHNGDEILSVASVRIHGLKAAEMPLVATPFQYRRQGMCRLLLQELEKLLTQLGIERLVLPAIPQLRETWEKSFGFLEMPLSERLQFLGYPFLAFQGTIMLHKFLKNPMNNKEMRGKSLGLGGNTSNVEAQARVS >EOX92614 pep chromosome:Theobroma_cacao_20110822:1:7748787:7759912:1 gene:TCM_001536 transcript:EOX92614 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger transcription factor-like protein isoform 3 MNRRKLLLNERVEVRQFEEGLRGSWHPGVVVGVSNLFRSIEYDELLCEAGDSKLIESIPVTEAIEGLHSRRHVPSTYRGHIRPLPPLSQPYSDQKLGFGVCVDALFEDAWWEGVILDNDDNASERSVYFPDEGDECKFSVSQLRVAHEWDEFMGIWRERGVWIMVQLAKELEGDVPLACCVKKVWSSLRLNYGFIKMISEWTCGVQTVWKKYFMEVVQEIAIGSSRRNLANRKILAWMVGKKGNKLKDPVEANPHLSDTFMQAGSCNDLKAVRSCQKGKGRGPLGKHKGRKRSVMSKLKHKELSTLNTSTRKSFQADKSCGEKQMASGVSLIKSNYSINESDQIPDHFTDANKEILSSPLPIQKESGKLESEIDKQKSSTVCENEGNQFSVDSSGGSETISYAVSHVQDNKSACRSSRKHGQIDNNLAGIFPDCSKVQVSRQGFFSVIHKKRRSSLMRKRISNKYQRPNLKVTNPLKVGKGNNKAFFVVYKLKSDSVMRKRFSKKPKQADSKVEAIPKKRGKGLYAILKEDDLISGHHHLNLPFDNCGKKVRLKDMVSRSRRRKRKWRCRGSRLRDTICSVCHYGGDLIICDHCPCSYHLSCINFKDVPSKKWFCPSCCCGLCGLRDSKSYSEQFTNACLQCSRQYHVACLSEVQNLSPADYPFGSFCSEACYKLCSQLHQLLGISNPTAVDGLSWTLMRSLKTVYKFPDMSKTHTWIKLSSVLKVIHECFEPVKEPHTKRDLVRDVLFNSVSKLKRLDFRGFYAMVLHNGDEILSVASVRIHGLKAAEMPLVATPFQYRRQGMCRLLLQELEKLLTQLGIERLVLPAIPQLRETWEKSFGFLEMPLSERLQFLGYPFLAFQGTIMLHKFLKNPMNNKEMRGKSLGLGGNTSNVGMKLKQGSVSKDRFCGLFYKRRPKMKVTGKENLVNNRGGLTQLSENLHKRRRILTSRD >EOX92615 pep chromosome:Theobroma_cacao_20110822:1:7748787:7761519:1 gene:TCM_001536 transcript:EOX92615 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger transcription factor-like protein isoform 3 MNRRKLLLNERVEVRQFEEGLRGSWHPGVVVGVSNLFRSIEYDELLCEAGDSKLIESIPVTEAIEGLHSRRHVPSTYRGHIRPLPPLSQPYSDQKLGFGVCVDALFEDAWWEGVILDNDDNASERSVYFPDEGDECKFSVSQLRVAHEWDEFMGIWRERGVWIMVQLAKELEGDVPLACCVKKVWSSLRLNYGFIKMISEWTCGVQTVWKKYFMEVVQEIAIGSSRRNLANRKILAWMVGKKGNKLKDPVEANPHLSDTFMQAGSCNDLKAVRSCQKGKGRGPLGKHKGRKRSVMSKLKHKELSTLNTSTRKSFQADKSCGEKQMASGVSLIKSNYSINESDQIPDHFTDANKEILSSPLPIQKESGKLESEIDKQKSSTVCENEGNQFSVDSSGGSETISYAVSHVQDNKSACRSSRKHGQIDNNLAGIFPDCSKVQVSRQGFFSVIHKKRRSSLMRKRISNKYQRPNLKVTNPLKVGKGNNKAFFVVYKLKSDSVMRKRFSKKPKQADSKVEAIPKKRGKGLYAILKEDDLISGHHHLNLPFDNCGKKVRLKDMVSRSRRRKRKWRCRGSRLRDTICSVCHYGGDLIICDHCPCSYHLSCINFKDVPSKKWFCPSCCCGLCGLRDSKSYSEQFTNACLQCSRQYHVACLSEVQNLSPADYPFGSFCSEACYKLCSQLHQLLGISNPTAVDGLSWTLMRSLKTVYKFPDMSKTHTWIKLSSVLKVIHECFEPVKEPHTKRDLVRDVLFNSVSKLKRLDFRGFYAMVLHNGDEILSVASVRIHGLKAAEMPLVATPFQYRRQGMCRLLLQELEKLLTQLGIERLVLPAIPQLRETWEKSFGFLEMPLSERLQFLGYPFLAFQGTIMLHKFLKNPMNNKEMRGKSLGLGGNTSNVGMKLKQGSVSKDRFCGLFYKRRPKMKVTGKENLVNNRGGLTQLSENLHKRRRILTSRD >EOX91616 pep chromosome:Theobroma_cacao_20110822:1:3307000:3310286:-1 gene:TCM_000749 transcript:EOX91616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein MKMVKCFMIVLFLGFCHVSLAAPLEKKNQRKTYIVHMAKSEMPASFLHHTHWYDSSLKSVSDSAQMLYTYDNVIHGFSTQLTNEEAQQLESQAGILAVLPELRYELHTTRTPQFLGLSKAADLFPESDSASEVIVGVLDTGVWPESKSFADTGLGPIPSGWKGACEAGTNFNSSNCNRKLIGARYFAKGYEATLGPIDETKESKSPRDDDGHGSHTASTAAGSVVEGASLFGYAEGTARGMATRARVAIYKVCWIGGCFSSDILAAMEKAIDDNVNVLSMSLGGGMSDYYRDSVAIGAFAAMEKGILVSCSAGNAGPSPYSLSNVAPWITTVGAGTLDRDFPAYVTLGNGRNYSGVSLYRGSPLPGKLLPFVYAGNASNATNGNLCMMGTLIPEKVAGKIVLCDRGMNARVQKGAVVKAAGAVGMILANTAANGEELVADAHLLPATAVGQKSGDAIKGYLFSNPNPTVTIFFEGTKVGIEPSPVVAAFSSRGPNSITPEILKPDFIAPGVNILAGWSGAVGPTGLVTDTRRVEFNIISGTSMSCPHVSGLAALLKAAHPDWSPAAIRSALMTTAYTDYKNKEKMQDIATGKASTPFDHGAGHVDPVSALNPGLVYDLTVEDYLGFLCALNYSEFQIRSLARRNFSCDASKKYSVTDLNYPSFSVNFDTITGGSSVVKYTRTLTNVGSPGTYKASISPQTPGVKISIQPETLSFSQANEKKSYTVTVTGSSQPSNTFSFARLEWSDGKYTVGSPIAISWT >EOX95859 pep chromosome:Theobroma_cacao_20110822:1:35791718:35794852:1 gene:TCM_005259 transcript:EOX95859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase family protein isoform 3 MAFLTKLSKASSFGRACLTRCMSNIPENTVYGGPKPQTANQRVTLNQLKQKYRKGEPITVVTAYDYPSAVHLDTAGIDICLVGDSASMVVHGHDTTLPISLDEMLVHCRAVARGAKRPLLVGDLPFGTYETNTSQAVDTAVRVLKEGGMDAIKLEGGSPSRITAAKAIVEAGIAVIGHVGLTPQAISVLGGFRPQGKNVSSAVKVVETAMALQEAGCFSVVLECVPAPVAAAATSALQIPTIGIGAGPFCSGQQVLVYHDLLGMLQHPHHAKVTPKFCKQYARVGDVINKALLEYKEEVTNGSFPSPSHSPYKMNADDVNGFFKELEKLGLDKAASAATEAAEKMDTAHNAQTPRSPKETK >EOX95858 pep chromosome:Theobroma_cacao_20110822:1:35791624:35794864:1 gene:TCM_005259 transcript:EOX95858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase family protein isoform 3 MAFLTKLSKASSFGRACLTRCMSNIPENTVYGGPKPQTANQRVTLNQLKQKYRKGEPITVVTAYDYPSAVHLDTAGIDICLVGDSASMVVHGHDTTLPISLDEMLVHCRAVARGAKRPLLVGDLPFGTYETNTSQAVDTAVRVLKEGGMDAIKLEGGSPSRITAAKAIVEAGIAVIGHVGLTPQAISVLGGFRPQGKNVSSAVKVVETAMALQEAGCFSVVLECVPAPVAAAATSALQIPTIGIGAGPFCSGQVLVYHDLLGMLQHPHHAKVTPKFCKQYARVGDVINKALLEYKEEVTNGSFPSPSHSPYKMNADDVNGFFKELEKLGLDKAASAATEAAEKMDTAHNAQTPRSPKETK >EOX95860 pep chromosome:Theobroma_cacao_20110822:1:35791923:35794868:1 gene:TCM_005259 transcript:EOX95860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase family protein isoform 3 MAFLTKLSKASSFGRACLTRCMSNIPENTVYGGPKPQTANQRVTLNQLKQKYRKGEPITVVTAYDYPSAVHLDTAGIDICLVGDSASMVVHGHDTTLPISLDEMLVHCRAVARGAKRPLLVGDLPFGTYETNTSQAGPFCSGQVLVYHDLLGMLQHPHHAKVTPKFCKQYARVGDVINKALLEYKEEVTNGSFPSPSHSPYKMNADDVNGFFKELEKLGLDKAASAATEAAEKMDTAHNAQTPRSPKETK >EOX92608 pep chromosome:Theobroma_cacao_20110822:1:7737644:7746995:1 gene:TCM_001535 transcript:EOX92608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copine family isoform 1 MSTTRKEGEEACLCVDKGTNSSGMGNTESGYDDSHADFWHQPSYEPPSHAGSSMDHNYQPRQQATYIRDNFSSLDQVISALREAGLESSNLILGIDFTKSNEWTGKYSFNRRSLHAIGSSPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTHDKNVFSFYPDHRSCHGFEEALARYREIVPHLKLAGPTSFAPIINAAIDIVDGSNGQYHVLVIIADGQVTRHPDTPRGRFSTQEQATIESIVAASHYPLSIILVGVGDGPWDSMQQFDDNIPHRSFDNFQFVNFTKIMSENTEPSKKEAAFALAALMEIPYQYRATLNIRYDSRESVGGHQRPLPPPQEVIDHDNAVKSIPHMTNYEIVEPTAPAQPVMGSQAEPVCPICLTNPKDMAFGCGHTTCKDCGGTI >EOX92613 pep chromosome:Theobroma_cacao_20110822:1:7737848:7747346:1 gene:TCM_001535 transcript:EOX92613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copine family isoform 1 MDHNYQPRQQATYIRDNFSSLDQVISALREAGLESSNLILGIDFTKSNEWTGKYSFNRRSLHAIGSSPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTHDKNVFSFYPDHRSCHGFEEALARYREIVPHLKLAGPTSFAPIINAAIDIVDGSNGQYHVLVIIADGQVTRHPDTPRGRFSTQEQATIESIVAASHYPLSIILVGVGDGPWDSMQQFDDNIPHRSFDNFQFVNFTKIMSENTEPSKKEAAFALAALMEIPYQYRATLNIRYDSRESVGGHQRPLPPPQEVIDHDNAVKSIPHMTNYEIVEPTAPAQPVMGSQAEPVCPICLTNPKDMAFGCGHTTCKDCGGTISSCPICRQPITTRLRLYT >EOX92607 pep chromosome:Theobroma_cacao_20110822:1:7737550:7748637:1 gene:TCM_001535 transcript:EOX92607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copine family isoform 1 MGNTESGYDDSHADFWHQPSYEPPSHAGSSMDHNYQPRQQATYIRDNFSSLDQVISALREAGLESSNLILGIDFTKSNEWTGKYSFNRRSLHAIGSSPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTHDKNVFSFYPDHRSCHGFEEALARYREIVPHLKLAGPTSFAPIINAAIDIVDGSNGQYHVLVIIADGQVTRHPDTPRGRFSTQEQATIESIVAASHYPLSIILVGVGDGPWDSMQQFDDNIPHRSFDNFQFVNFTKIMSENTEPSKKEAAFALAALMEIPYQYRATLNIRYDSRESVGGHQRPLPPPQEVIDHDNAVKSIPHMTNYEIVEPTAPAQPVMGSQAEPVCPICLTNPKDMAFGCGHTTCKDCGGTISSCPICRQPITTRLRLYT >EOX92610 pep chromosome:Theobroma_cacao_20110822:1:7737871:7747312:1 gene:TCM_001535 transcript:EOX92610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copine family isoform 1 MGNTESGYDDSHADFWHQPSYEPPSHAGSSMDHNYQPRQQATYIRDNFSSLDQVISALREAGLESSNLILGIDFTKSNEWTGKYSFNRRSLHAIGSSPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTHDKNVFSFYPDHRSCHGFEEALARYREIVPHLKLAGPTSFAPIINAAIDIVDGSNGQYHVLVIIADGQVTRHPDTPRGRFSTQEQATIESIVAASHYPLSIILVGVGDGPWDSMQQFDDNIPHRSFDNFQFVNFTKIMSENTEPSKKEAAFALAALMEIPYQYRATLNIRYDSRESVGGHQRPLPPPQEVIDHDNAVKSIPHMTNYEIVEPTAPAQPVMGSQAEPVCPICLTNPKDMAFGCGHTTCKDCGGTISSCPICRQPITTRLRLYT >EOX92609 pep chromosome:Theobroma_cacao_20110822:1:7737644:7746995:1 gene:TCM_001535 transcript:EOX92609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copine family isoform 1 MSTTRKEGEEACLCVDKGTNSSGMGNTESGYDDSHADFWHQPSYEPPSHAGSSMDHNYQPRQQATYIRDNFSSLDQVISALREAGLESSNLILGIDFTKSNEWTGKYSFNRRSLHAIGSSPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTHDKNVFSFYPDHRSCHGFEEALARYREIVPHLKLAGPTSFAPIINAAIDIVDGSNGQYHVLVIIADGQVTRHPDTPRGRFSTQEQATIESIVAASHYPLSIILVGVGDGPWDSMQQFDDNIPHRSFDNFQFVNFTKIMSENTEPSKKEAAFALAALMEIPYQYRATLNIRYDSRESVGGHQRPLPPPQEVIDHDNAVKSIPHMTNYEIVEPTAPAQPVMGSQAEPVIGFLSFFFSIKFSSFLHKILLKSIDWS >EOX92611 pep chromosome:Theobroma_cacao_20110822:1:7737736:7747260:1 gene:TCM_001535 transcript:EOX92611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copine family isoform 1 MGNTESGYDDSHADFWHQPSYEPPSHAGSSMDHNYQPRQQATYIRDNFSSLDQVISALREAGLESSNLILGIDFTKSNEWTGKYSFNRRSLHAIGSSPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDALARYREIVPHLKLAGPTSFAPIINAAIDIVDGSNGQYHVLVIIADGQVTRHPDTPRGRFSTQEQATIESIVAASHYPLSIILVGVGDGPWDSMQQFDDNIPHRSFDNFQFVNFTKIMSENTEPSKKEAAFALAALMEIPYQYRATLNIRYDSRESVGGHQRPLPPPQEVIDHDNAVKSIPHMTNYEIVEPTAPAQPVMGSQAEPVCPICLTNPKDMAFGCGHTTCKDCGGTISSCPICRQPITTRLRLYT >EOX92612 pep chromosome:Theobroma_cacao_20110822:1:7739006:7747339:1 gene:TCM_001535 transcript:EOX92612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copine family isoform 1 MGNTESGYDDSHADFWHQPSYEPPSHAGSSMDHNYQPRQQATYIRDNFSSLDQVISALREAGLESSNLILGIDFTKSNEWTGKYSFNRRSLHAIGSSPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTHDKNVFSFYPDHRSCHGFEEALARYREIVPHLKLAGPTSFAPIINAAIDIVDGSNGQYHVLVIIADGQVTRHPDTPRGRFSTQEQATIESIVAASHYPLSIILVGVGDGPWDSMQQFDDNIPHRSFDNFQFVNFTKIMSENTEPSKKEAAFALAALMEIPYQYRATLNIRYDRESVGGHQRPLPPPQEVIDHDNAVKSIPHMTNYEIVEPTAPAQPVMGSQAEPVCPICLTNPKDMAFGCGHTTCKDCGGTISSCPICRQPITTRLRLYT >EOX94825 pep chromosome:Theobroma_cacao_20110822:1:31603823:31605128:-1 gene:TCM_046981 transcript:EOX94825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGNKCMNEVGPNAIRPNQGPTFVTRHIFAPLISSTASASYKLGFKIFHSLSTFNLQTQLSATCRNYKEKGKLFFNEEYEGSVMAKVFKANQRAAGKALYYMAMHCVTAVLAGLALGLGPVQFNLESNSQ >EOX96100 pep chromosome:Theobroma_cacao_20110822:1:36599744:36606160:-1 gene:TCM_005432 transcript:EOX96100 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein, putative isoform 1 MSDIEAGLGVKRKHLIAISLLVGNDHDLNGVQGIGLDKALRFVQRFSEDEILNRLYEIGKGDVLLFQDRVRYVDDVIPCSDESSPKPRQSHCSFCGHPGSKTAHSKFSCEYCVTANSVGCLKKSQRFQCNCLSCDKVRKENDQKKHENWWIKVCNMIAVESNFPNDEIIEMYMGNNHRMFTEDGSLLAWGDPKTELVVDFLAYHQSWQRSFVRQRILPMLSTMYLREMARNPNKTLLVGQYEFHSIQRVKIRYGHQSYVVKWKKAISGELSCAVPVERSNMQEEECTEVDDEPVDLLDDSIDPQIHVDGCCILTDESPALVCLAFPKEVVKFIQEKELKEMKRRKTSMIKSEGSSEMSELSKPQGVQLSITEFYRSTKIQSQAKPGEDLAKLSYNPGDGSSKQKRKVSSPNLSKAVRRRLFFG >EOX96099 pep chromosome:Theobroma_cacao_20110822:1:36601502:36607162:-1 gene:TCM_005432 transcript:EOX96099 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein, putative isoform 1 MGVGGKFWELLKPYARREGFDFLRDKKVAIDLSFWIVQHETAIKNRARNPHLRLTFFRTINLFSKFGAFPVFVLDGTPSPLKSQARIARFFRFSGIDTSTSTGVEEGVSKERNSAFSKCVDDCVELLELLGMPVLKANGEAEALCAQLNKDGHVDACITADSDAFLFGATCVIKCLRPNSKEPFECYNMSDIEAGLGVKRKHLIAISLLVGNDHDLNGVQGIGLDKALRFVQRFSEDEILNRLYEIGKGDVLLFQDRVRYVDDVIPCSDESSPKPRQSHCSFCGHPGSKTAHSKFSCEYCVTANSVGCLKKSQRFQCNCLSCDKVRKENDQKKHENWWIKVCNMIAVESNFPNDEIIEMYMGNNHRMFTEDGSLLAWGDPKTELVVDFLAYHQSWQRSFVRQRILPMLSTMYLREMARNPNKTLLVGQYEFHSIQRVKIRYGHQSYVVKWKKAISGELSCAVPVERSNMQEEECTEVDDEPVDLLDDSIDPQIHVDGCCILTDESPALVCLAFPKEVVKFIQEKELKEMKRRKTSMIKSEGSSEMSELSKPQGVQLSITEFYRSTKIQSQAKPGEDLAKLSYNPGDGSSKQKRKVSSPNLSKAVRRRLFFG >EOX93686 pep chromosome:Theobroma_cacao_20110822:1:15051167:15058731:1 gene:TCM_002594 transcript:EOX93686 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-xylose phenolic glycosyltransferase, putative MGDENKAEKAHVLIFPYPGQGHINPMVQFAKRLVSEGVKATLVTTVFLSKSRFSDLTSSVDLQTISDGFDEGGYDQAGSADVYLQTFWSVGSKSLATLIKKLVDAGHPIHGLVYDGFLPWALDVAKQFGIRSAVFFTQSCAVNSVYYHVSRGLLQLPLQGSNVISLPGLPPLEVSELPSFVFRHGSYPAWLDVVVNQFSNVDEADWVFFNIFYELEKEAVDWMSKFWKVMTVGPTVPSLYLDGRLENDKDYGMNLFKPSTSACMSWLNGKPKSSVVYVSFGSFASLGVEQIAELAWALKGCNCYFLWVVRESEEAKLPGKFIEETAEKGLVVTWCPQLEVLSHESTGCFLTHCGFNSVLEALSLGVPMLAMPQWTDQGTNAKHVEDVWGIGIRARPDEKLGLVTREIIEQCIKELTEGEKGKEIKKNAIKWKNLAKKAVDEGGSSDKNINEFIAKLVCD >EOX92182 pep chromosome:Theobroma_cacao_20110822:1:5645993:5648116:1 gene:TCM_001173 transcript:EOX92182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MACLSRNYERRKRIVDVFTVWLEMCIVVSRFLLAAVSSYILIGQVNLLQQGRREAPMRMLE >EOX94315 pep chromosome:Theobroma_cacao_20110822:1:27737235:27741229:-1 gene:TCM_003903 transcript:EOX94315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid inducible 11, putative isoform 2 MQGGVVIRVGSGGGSESGSMSTVSREDNMVVSSEDSSYPDESELELGLGLSLGGGFKIQQVSRGGQYARILTAKDFPSVVSADASSSPSPSSSSSSSSSSLSRANVTAGTKRSADSVAAANGSSQVVGWPPIRAYRMNSMVNQAKAVATEGFKSTMENHKNETSMLEKRTIGSYQNSGNSKLRKSLFVKVNMDGIPIGRKVDLNAHESYEKLAKTLEDMFLKNTTSVNPVGSRVREHEVARPSKLLDGSSDFVLTYEDKEGDWMLVGDVPWEMFVSSVKRLRIMRTSEATGLAPRLQERNQRQRSKPI >EOX94316 pep chromosome:Theobroma_cacao_20110822:1:27737235:27741419:-1 gene:TCM_003903 transcript:EOX94316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid inducible 11, putative isoform 2 MQGGVVIRVGSGGGSESGSMSTVSREDNMVVSSEDSSYPDESELELGLGLSLGGGFKIQQVSRGGQYARILTAKDFPSVVSADASSSPSPSSSSSSSSSSLSRANVTAGTKRSADSVAAANGSSQVVGWPPIRAYRMNSMVNQAKAVATEGFKSTMENHKNETSMLEKRTIGSYQNSGNSKLRKSLFVKVNMDGIPIGRKVDLNAHESYEKLAKTLEDMFLKNTTSVNPVGSRVREHEVARPSKLLDGSSDFVMFVSSVKRLRIMRTSEATGLAPRLQERNQRQRSKPI >EOX94317 pep chromosome:Theobroma_cacao_20110822:1:27738219:27741419:-1 gene:TCM_003903 transcript:EOX94317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid inducible 11, putative isoform 2 MQGGVVIRVGSGGGSESGSMSTVSREDNMVVSSEDSSYPDESELELGLGLSLGGGFKIQQVSRGGQYARILTAKDFPSVVSADASSSPSPSSSSSSSSSSLSRANVTAGTKRSADSVAAANGSSQVVGWPPIRAYRMNSMVNQAKAVATEGFKSTMENHKNETSMLEKRTIGSYQNSGNSKLRKSLFVKVNMDGIPIGRKVDLNAHESYEKLAKTLEDMFLKNTTSVNPVGSRVREHEVARPSKLLDGSSDFVLTYEDKEGDWMLVGDVPWEYV >EOX94664 pep chromosome:Theobroma_cacao_20110822:1:30750487:30757554:-1 gene:TCM_004282 transcript:EOX94664 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY domain/Divergent CCT motif family protein, putative isoform 6 MRRPSWNKSQAIQQVISLKTLLETTSDSDAVEACKKLHIPCPENPPRVVSDSTVLVNETTQHNGNSAPVNESVPCPRPDPSKSDFSGDNSGRNAISGNDSVSPRTAGAAKEQAGQMTIFYCGEVNVYDDMPGCKAQAILQLAASPLSLSQETAADQSRAPWSVPCQLQAAGVKISPCSPMVILPSPQTVKVAENCQFPWEESNISREDSLGPSSRKALVQRYLERKKDRFKNKRKLATSSSPTLDIYINQVGDQFANEQLKPSEPYSSSQTRPPYTPLRCNSIENVPKIASLATHPDAKASNSWKGSLVVASLIWTIFLRLYHMKSQTSYASLVIKFPVSFNIFQLPVLMTSKNASYLYSQQVSLDVYEI >EOX94663 pep chromosome:Theobroma_cacao_20110822:1:30750933:30757347:-1 gene:TCM_004282 transcript:EOX94663 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY domain/Divergent CCT motif family protein, putative isoform 6 MLQGSRLLNRKLDAMSPGETVSRSPLDKPLNQLTEDDISQVTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLETTSDSDAVEACKKLHIPCPENPPRVVSDSTVLVNETTQHNGNSAPVNESVPCPRPDPSKSDFSGDNSGRNAISGNDSVSPRTAGAAKEQAGQMTIFYCGEVNVYDDMPGCKAQAILQLAASPLSLSQETAADQSRAPWSVPCQLQAAGVKISPCSPMVILPSPQTVKVAENCQFPWEESNISREDSLEGPSSRKALVQRYLERKKDRFKNKRKLATSSSPTLDIYINQVGDQFANEQLKPSEPYSSSQTRPPYTPLRCNSIENVPKIASLATHPDAKASNSWKGSLVVASLIWTIFLRLYHMKSQTSYASLVIKFPVSFNIFQLPVLMTSKNASYLYSQQVSLDVYEI >EOX94665 pep chromosome:Theobroma_cacao_20110822:1:30750933:30757241:-1 gene:TCM_004282 transcript:EOX94665 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY domain/Divergent CCT motif family protein, putative isoform 6 MTFLRSLAKIAAVTSKKKVSLFLCIFLCFFCIFSYVKVRTRCISSFLFCCSLRVGMRRPSWNKSQAIQQVISLKTLLETTSDSDAVEACKKLHIPCPENPPRVVSDSTVLVNETTQHNGNSAPVNESVPCPRPDPSKSDFSGDNSGRNAISGNDSVSPRTAGAAKEQAGQMTIFYCGEVNVYDDMPGCKAQAILQLAASPLSLSQETAADQSRAPWSVPCQLQAAGVKISPCSPMVILPSPQTVKVAENCQFPWEESNISREDSLEGPSSRKALVQRYLERKKDRFKNKRKLATSSSPTLDIYINQVGDQFANEQLKPSEPYSSSQTRPPYTPLRCNSIENVPKIASLATHPDAKASNSWKGSLVVASLIWTIFLRLYHMKSQTSYASLVIKFPVSFNIFQLPVLMTSKNASYLYSQQVSLDVYEI >EOX94668 pep chromosome:Theobroma_cacao_20110822:1:30750298:30757496:-1 gene:TCM_004282 transcript:EOX94668 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY domain/Divergent CCT motif family protein, putative isoform 6 MTFLRSLAKIAAVTSKKKVSLFLCIFLCFFCIFSYVKVRTRCISSFLFCCSLRVGMRRPSWNKSQAIQQVISLKTLLETTSDSDAVEACKKLHIPCPENPPRVVSDSTVLVNETTQHNGNSAPVNESVPCPRPDPSKSDFSGDNSGRNAISGNDSVSPRTAGAAKEQAGQMTIFYCGEVNVYDDMPGCKAQAILQLAASPLSLSQETAADQSRAPWSVPCQLQAAGVKISPCSPMVILPSPQTVKVAENCQFPWEESNISREDSLEGPSSRKALVQRYLERKKDRFKNKRKLATSSSPTLDIYINQVGDQFANEQLKPSEPYSSSQTRPPYTPLRCNSIENVPKIASLATHPDAKDVYEI >EOX94666 pep chromosome:Theobroma_cacao_20110822:1:30750298:30757496:-1 gene:TCM_004282 transcript:EOX94666 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY domain/Divergent CCT motif family protein, putative isoform 6 MLQGSRLLNRKLDAMSPGETVSRSPLDKPLNQLTEDDISQVTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLETTSDSDAVEACKKLHIPCPENPPRVVSDSTVLVNETTQHNGNSAPVNESVPCPRPDPSKSDFSGDNSGRNAISGNDSVSPRTAGAAKEQAGQMTIFYCGEVNVYDDMPGCKAQAILQLAASPLSLSQETAADQSRAPWSVPCQLQAAGVKISPCSPMVILPSPQTVKVAENCQFPWEESNISREDSLEGPSSRKALVQRYLERKKDRFKNKRKLATSSSPTLDIYINQVGDQFANEQLKPSEPYSSSQTRPPYTPLRCNSIENVPKIASLATHPDAKDVYEI >EOX94667 pep chromosome:Theobroma_cacao_20110822:1:30750265:30757566:-1 gene:TCM_004282 transcript:EOX94667 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY domain/Divergent CCT motif family protein, putative isoform 6 MLQGSRLLNRKLDAMSPGETVSRSPLDKPLNQLTEDDISQVTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLETTSDSDAVEACKKLHIPCPENPPRVVSDSTVLVNETTQHNGNSAPVNESVPCPRPDPSKSDFSGDNSGRNAISGNDSVSPRTAGAAKEQAGQMTIFYCGEVNVYDDMPGCKAQAILQLAASPLSLSQETAADQSRAPWSVPCQLQAAGVKISPCSPMVILPSPQTVKVAENCQFPWEESNISREDSLGPSSRKALVQRYLERKKDRFKNKRKLATSSSPTLDIYINQVGDQFANEQLKPSEPYSSSQTRPPYTPLRCNSIENVPKIASLATHPDAKDVYEI >EOX96120 pep chromosome:Theobroma_cacao_20110822:1:36657541:36659674:1 gene:TCM_005446 transcript:EOX96120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVHQPIKSLRGWRSHWPTSKVNHDVKDIKMAWHNVISGLWLAKPSPLSRAPAKRHVVEWWGSIIRPGGAVRFTDWVLAPPLGYVAVHHSLGGQLYRRQLYLRQSRSRVNLGLLPGTVFLTIYAWMVIISLFPFHNTEGIKRLKILYEKITLKLVQVYVENSSICDPTSASHPEKRPGLVLLRIECINLLG >EOX91244 pep chromosome:Theobroma_cacao_20110822:1:2029092:2030411:-1 gene:TCM_000492 transcript:EOX91244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGACVSSTSNPKSGKPVGAGGGEGSSTIRRSSTTSAKVVHIDGRVQEFRQPIQAKGVVSQNPNCFLCSSECMSVGTCVPRLPDDEELQPGQIYFLLPLSQSDKPLSLPDLCSLAIKASTGIRKDSVDLSYSNSKLIPR >EOX92008 pep chromosome:Theobroma_cacao_20110822:1:4879098:4880041:1 gene:TCM_001035 transcript:EOX92008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPSAIARQVQNHQKLNSRVAKENDMMLGTLISQKSGIDLMQNCDLPPPLKVFTGLDKAVALSMNRVCSTGRETDKDDHELLVFRNEGEDDKLELLKALRLSQTRAREAEKKAAGLAKERERISNAFVKDSLQLFAYRQWVRLLEIQVSKLQSQLLKQERHCCDKPEGKSLLPEGNEGGNGDEMSWFVAFAVCLGIASVGFAFGYRYLL >EOX91411 pep chromosome:Theobroma_cacao_20110822:1:2624696:2630259:-1 gene:TCM_000616 transcript:EOX91411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 2 plant, putative MGRAEQVVCSISTRPGQMVLMFKLLHGNSTLEELADCSAVRTEDHGDEKGIEKIQILGSVPLIPDRPQIQLSFFDWHGNITPTKLNDYYLTTINGLNHDALVSFCTLTSYEAKLKWGQFTRKTRATIFCGLREISRVIPERVPQSTYMTHCIYKTIQEIVKLISFYQWGKSSSTYVISGLDIVTLELTSHPRKTISRQFQMTATISFSTSLQHTRVLTVAVLVGSTFKQFANVSQDDDNKEPQLKGLSIDVFKAAVAVLPNRFTYKLVPFYRSCDQLLKEVARKAFHAALGGIVITAERSHLVEFSQPYAKLGLVMVVKKKNNELKDMFWFMSPLTREMWLIMAAMTVLTGFVIRVIEHRTCNEMPSRHVEAVVCFPFAFLLNEYRPRNKLSFYVLVPWLILILIVTTTFTASLSSMVASSQADEPSHLNADSLRKTNAAIATDGNSFTVTYLVKSLGFKRKNIRKMASVDDCANALSSGNVKAAFLLMPDANVFLARYCCAFAKSGPAYNLGSFGFVFPRGSPLAADMSEAILNLKEAGELQQMEEDTLSISNCSSSTSDETSPQGIGPGVFSGLFILSGGASATALAITVIRLLKRRWESCIQRIRYLHRNVEKFEASPSRTSCLASVLGESIRGETPVTQTSCLSKNCTHEPPDATYPIAIAYYLKE >EOX90651 pep chromosome:Theobroma_cacao_20110822:1:235232:242837:1 gene:TCM_000060 transcript:EOX90651 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein, putative isoform 1 MGSIKPLPEAVRSSVRSAIILFDLTRVVEELIFNSLDASASKVSVFVSVGSSYVKVVDDGSGISRDGLVSLGERYVTSKLYHLGDLDAASRSFGFRGEALASISDVALVEIITKAYGKPNGYRKVIKGSKCLYLGIDDDRKDAGTTVVVRDLFYNQPVRKKHMQSCPKKVLHSVKKCVFRMALVHPMVYFNVIDIESEDELLSTHPSSSPLSLLMSGFGIEDCTSLQKLNADDGSLKLSGYITGSCDNFAVKAFQFVYINSRFVCKGPIHKLLNNLATSFESLDSKKANNWTKKGKRSRPQVFPSYILNISCPPSFYDLTLEPSKTYVEFKDWASILTLIEKTIQHLWRKNICRANGLGQAETLKEDDNILHVEEDFFDEGPSVDSEFATRKRRTQKYRPSSSLEKLTTDHLFLTDHEDIPFEECHVNNAQFRDQQNNMKFVHWTDYSFQSWDDSLVKGTSSVFERSDCCFLTTNNNSLVEDYFLENRFTASGRSNCHVNNNGICSKLGNASDVVESDVTNGTDRNIFPFDYHEHYNDSQFRKNISKPFLQSCSSERTLPLDRELVESEKGIEPPMDSFKTKAKQVCSNERFNMLKTDSSDQTMWQDGGPCGQIYPKLVSKGGIARDLDVLTRASAKSFLSCGDVSIEENGLPSDSVTPIEKAGSGHQSLSSEWCSGTSNPFEQFSYKNAIEGCFRSEERTNFGHFSAGEDEDYQFSFDLISRSSSQEKCIYDCPNTGLEIDYAKSSRDFHGFLQQYNLNHTFSPEDSNVAIEERDWLCTDSSINEYKRQIDWFQYQDVEQNPIPKERARRSQSAPPFCSYKRRFISLHHCLASGEPTFSEVRGPFTSPEIGEKKPPQQSSGVDNLHFEPSFGKNRSNMNNKPNMVFSTVVRKCEDIEQPHCLEGPESAPVQVFISKGNQDPANSGTKWRSGFAQNTSNSKLCDSDNEYNVLDIASGLPFVATKSLVPESINKNCLRDAKVLQQVDKKFIPIVAGGTLAIIDQHAADERIQLEELRQKVLSGKGKTVTYLDTEQELILPEIGYQLLHNYSEQIRNWGWICDIHTQDSKPFKKNLNLIRRKPAVVKLLAVPCILGVNLSHVDLLEFLQQLADTDGSSTMPPSIIRILNSKACRGAIIV >EOX90652 pep chromosome:Theobroma_cacao_20110822:1:235235:244049:1 gene:TCM_000060 transcript:EOX90652 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein, putative isoform 1 MGSIKPLPEAVRSSVRSAIILFDLTRVVEELIFNSLDASASKVSVFVSVGSSYVKVVDDGSGISRDGLVSLGERYVTSKLYHLGDLDAASRSFGFRGEALASISDVALVEIITKAYGKPNGYRKVIKGSKCLYLGIDDDRKDAGTTVVVRDLFYNQPVRKKHMQSCPKKVLHSVKKCVFRMALVHPMVYFNVIDIESEDELLSTHPSSSPLSLLMSGFGIEDCTSLQKLNADDGSLKLSGYITGSCDNFAVKAFQFVYINSRFVCKGPIHKLLNNLATSFESLDSKKANNWTKKGKRSRPQVFPSYILNISCPPSFYDLTLEPSKTYVEFKDWASILTLIEKTIQHLWRKNICRANGLGQAETLKEDDNILHVEEDFFDEGPSVDSEFATRKRRTQKYRPSSSLEKLTTDHLFLTDHEDIPFEECHVNNAQFRDQQNNMKFVHWTDYSFQSWDDSLVKGTSSVFERSDCCFLTTNNNSLVEDYFLENRFTASGRSNCHVNNNGICSKLGNASDVVESDVTNGTDRNIFPFDYHEHYNDSQFRKNISKPFLQSCSSERTLPLDRELVESEKGIEPPMDSFKTKAKQVCSNERFNMLKTDSSDQTMWQDGGPCGQIYPKLVSKGGIARDLDVLTRASAKSFLSCGDVSIEENGLPSDSVTPIEKAGSGHQSLSSEWCSGTSNPFEQFSYKNAIEGCFRSEERTNFGHFSAGEDEDYQFSFDLISRSSSQEKCIYDCPNTGLEIDYAKSSRDFHGFLQQYNLNHTFSPEDSNVAIEERDWLCTDSSINEYKRQIDWFQYQDVEQNPIPKERARRSQSAPPFCSYKRRFISLHHCLASGEPTFSEVRGPFTSPEIGEKKPPQQSSGVDNLHFEPSFGKNRSNMNNKPNMVFSTVVRKCEDIEQPHCLEGPESAPVQVFISKGNQDPANSGTKWRSGFAQNTRIQLEELRQKVLSGKGKTVTYLDTEQELILPEIGYQLLHNYSEQIRNWGWICDIHTQDSKPFKKNLNLIRRKPAVVKLLAVPCILGVNLSHVDLLEFLQQLADTDGSSTMPPSIIRILNSKACRGAIMFGDSLLPSECSLIVEELKQTSLCFQCAHGRPTTVPVVKLEALHRQIAKMQMKDGGPRELWHGLCRHRVSLERASLRLSAAGG >EOX90650 pep chromosome:Theobroma_cacao_20110822:1:235235:244049:1 gene:TCM_000060 transcript:EOX90650 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein, putative isoform 1 MGSIKPLPEAVRSSVRSAIILFDLTRVVEELIFNSLDASASKVSVFVSVGSSYVKVVDDGSGISRDGLVSLGERYVTSKLYHLGDLDAASRSFGFRGEALASISDVALVEIITKAYGKPNGYRKVIKGSKCLYLGIDDDRKDAGTTVVVRDLFYNQPVRKKHMQSCPKKVLHSVKKCVFRMALVHPMVYFNVIDIESEDELLSTHPSSSPLSLLMSGFGIEDCTSLQKLNADDGSLKLSGYITGSCDNFAVKAFQFVYINSRFVCKGPIHKLLNNLATSFESLDSKKANNWTKKGKRSRPQVFPSYILNISCPPSFYDLTLEPSKTYVEFKDWASILTLIEKTIQHLWRKNICRANGLGQAETLKEDDNILHVEEDFFDEGPSVDSEFATRKRRTQKYRPSSSLEKLTTDHLFLTDHEDIPFEECHVNNAQFRDQQNNMKFVHWTDYSFQSWDDSLVKGTSSVFERSDCCFLTTNNNSLVEDYFLENRFTASGRSNCHVNNNGICSKLGNASDVVESDVTNGTDRNIFPFDYHEHYNDSQFRKNISKPFLQSCSSERTLPLDRELVESEKGIEPPMDSFKTKAKQVCSNERFNMLKTDSSDQTMWQDGGPCGQIYPKLVSKGGIARDLDVLTRASAKSFLSCGDVSIEENGLPSDSVTPIEKAGSGHQSLSSEWCSGTSNPFEQFSYKNAIEGCFRSEERTNFGHFSAGEDEDYQFSFDLISRSSSQEKCIYDCPNTGLEIDYAKSSRDFHGFLQQYNLNHTFSPEDSNVAIEERDWLCTDSSINEYKRQIDWFQYQDVEQNPIPKERARRSQSAPPFCSYKRRFISLHHCLASGEPTFSEVRGPFTSPEIGEKKPPQQSSGVDNLHFEPSFGKNRSNMNNKPNMVFSTVVRKCEDIEQPHCLEGPESAPVQVFISKGNQDPANSGTKWRSGFAQNTSNSKLCDSDNEYNVLDIASGLPFVATKSLVPESINKNCLRDAKVLQQVDKKFIPIVAGGTLAIIDQHAADERIQLEELRQKVLSGKGKTVTYLDTEQELILPEIGYQLLHNYSEQIRNWGWICDIHTQDSKPFKKNLNLIRRKPAVVKLLAVPCILGVNLSHVDLLEFLQQMDHQQCLHRLFEFLILKHAEVQLCLETPCYLQNVP >EOX90649 pep chromosome:Theobroma_cacao_20110822:1:235235:244049:1 gene:TCM_000060 transcript:EOX90649 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein, putative isoform 1 MGSIKPLPEAVRSSVRSAIILFDLTRVVEELIFNSLDASASKVSVFVSVGSSYVKVVDDGSGISRDGLVSLGERYVTSKLYHLGDLDAASRSFGFRGEALASISDVALVEIITKAYGKPNGYRKVIKGSKCLYLGIDDDRKDAGTTVVVRDLFYNQPVRKKHMQSCPKKVLHSVKKCVFRMALVHPMVYFNVIDIESEDELLSTHPSSSPLSLLMSGFGIEDCTSLQKLNADDGSLKLSGYITGSCDNFAVKAFQFVYINSRFVCKGPIHKLLNNLATSFESLDSKKANNWTKKGKRSRPQVFPSYILNISCPPSFYDLTLEPSKTYVEFKDWASILTLIEKTIQHLWRKNICRANGLGQAETLKEDDNILHVEEDFFDEGPSVDSEFATRKRRTQKYRPSSSLEKLTTDHLFLTDHEDIPFEECHVNNAQFRDQQNNMKFVHWTDYSFQSWDDSLVKGTSSVFERSDCCFLTTNNNSLVEDYFLENRFTASGRSNCHVNNNGICSKLGNASDVVESDVTNGTDRNIFPFDYHEHYNDSQFRKNISKPFLQSCSSERTLPLDRELVESEKGIEPPMDSFKTKAKQVCSNERFNMLKTDSSDQTMWQDGGPCGQIYPKLVSKGGIARDLDVLTRASAKSFLSCGDVSIEENGLPSDSVTPIEKAGSGHQSLSSEWCSGTSNPFEQFSYKNAIEGCFRSEERTNFGHFSAGEDEDYQFSFDLISRSSSQEKCIYDCPNTGLEIDYAKSSRDFHGFLQQYNLNHTFSPEDSNVAIEERDWLCTDSSINEYKRQIDWFQYQDVEQNPIPKERARRSQSAPPFCSYKRRFISLHHCLASGEPTFSEVRGPFTSPEIGEKKPPQQSSGVDNLHFEPSFGKNRSNMNNKPNMVFSTVVRKCEDIEQPHCLEGPESAPVQVFISKGNQDPANSGTKWRSGFAQNTSNSKLCDSDNEYNVLDIASGLPFVATKSLVPESINKNCLRDAKVLQQVDKKFIPIVAGGTLAIIDQHAADERIQLEELRQKVLSGKGKTVTYLDTEQELILPEIGYQLLHNYSEQIRNWGWICDIHTQDSKPFKKNLNLIRRKPAVVKLLAVPCILGVNLSHVDLLEFLQQLADTDGSSTMPPSIIRILNSKACRGAIMFGDSLLPSECSLIVEELKQTSLCFQCAHGRPTTVPVVKLEALHRQIAKMQMKDGGPRELWHGLCRHRVSLERASLRLSAAGG >EOX90648 pep chromosome:Theobroma_cacao_20110822:1:235235:244439:1 gene:TCM_000060 transcript:EOX90648 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein, putative isoform 1 MGSIKPLPEAVRSSVRSAIILFDLTRVVEELIFNSLDASASKVSVFVSVGSSYVKVVDDGSGISRDGLVSLGERYVTSKLYHLGDLDAASRSFGFRGEALASISDVALVEIITKAYGKPNGYRKVIKGSKCLYLGIDDDRKDAGTTVVVRDLFYNQPVRKKHMQSCPKKVLHSVKKCVFRMALVHPMVYFNVIDIESEDELLSTHPSSSPLSLLMSGFGIEDCTSLQKLNADDGSLKLSGYITGSCDNFAVKAFQFVYINSRFVCKGPIHKLLNNLATSFESLDSKKANNWTKKGKRSRPQVFPSYILNISCPPSFYDLTLEPSKTYVEFKDWASILTLIEKTIQHLWRKNICRANGLGQAETLKEDDNILHVEEDFFDEGPSVDSEFATRKRRTQKYRPSSSLEKLTTDHLFLTDHEDIPFEECHVNNAQFRDQQNNMKFVHWTDYSFQSWDDSLVKGTSSVFERSDCCFLTTNNNSLVEDYFLENRFTASGRSNCHVNNNGICSKLGNASDVVESDVTNGTDRNIFPFDYHEHYNDSQFRKNISKPFLQSCSSERTLPLDRELVESEKGIEPPMDSFKTKAKQVCSNERFNMLKTDSSDQTMWQDGGPCGQIYPKLVSKGGIARDLDVLTRASAKSFLSCGDVSIEENGLPSDSVTPIEKAGSGHQSLSSEWCSGTSNPFEQFSYKNAIEGCFRSEERTNFGHFSAGEDEDYQFSFDLISRSSSQEKCIYDCPNTGLEIDYAKSSRDFHGFLQQYNLNHTFSPEDSNVAIEERDWLCTDSSINEYKRQIDWFQYQDVEQNPIPKERARRSQSAPPFCSYKRRFISLHHCLASGEPTFSEVRGPFTSPEIGEKKPPQQSSGVDNLHFEPSFGKNRSNMNNKPNMVFSTVVRKCEDIEQPHCLEGPESAPVQVFISKGNQDPANSGTKWRSGFAQNTSNSKLCDSDNEYNVLDIASGLPFVATKSLVPESINKNCLRDAKVLQQVDKKFIPIVAGGTLAIIDQHAADERIQLEELRQKVLSGKGKTVTYLDTEQELILPEIGYQLLHNYSEQIRNWGWICDIHTQDSKPFKKNLNLIRRKPAVVKLLAVPCILGVNLSHVDLLEFLQQLADTDGSSTMPPSIIRILNSKACRGAIMFGDSLLPSECSLIVEELKQTSLCFQCAHGRPTTVPVVKLEALHRQIAKMQMKDGGPRELWHGLCRHRVSLERASLRLSAAGG >EOX93688 pep chromosome:Theobroma_cacao_20110822:1:15077049:15080262:-1 gene:TCM_002598 transcript:EOX93688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probably inactive leucine-rich repeat receptor-like protein kinase MIMVLHSGVFAVLISAFAWSLLRCSLSYASVEDINCLKSIRDSFEDPFNYLNSSWNFNNDTEGFICRFTGVECWHPDENKVLNIRLSDMGLKGEFPRGIKNCKSLTGLDLSSNKLYGSIPTNISGFLPYVTSLDLSSNNFSGNIPKSLANCSFLNILKLDHNKLTDQIPAELSLLSRLKEFTVANNLLTGPIPNFQSLSVENFANNPGLCGKPLDPCQATSKGTKTGVIAGAAIGGVTVAAIGVGIGLFLYFRKVSVMRKKDDDPEGNKWAKSLKGAKGIKVSMFEKSVSKMRLSDLLKATNSFNKNNIIGSGRTGTMYKGVLEDGTYLMVKRLQDSQHSDKEFASEMATLGSVKHRNLVPLLGFCMAKKERLLVYKYMVNGTLNDNLHLVDDANKAMEWSLRLKIGVGAARGFAWLHHNCNPRIIHRNISSKCIVLDADFEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGIVLLELVTGERPTHVAKAPDSFKGNLVEWITQLSNDGKLQDAIDTFLVGKGVDNELLQFLKVASNCVLPPPKERPTMFEVYQLLRAIGERYNFTAEDELLMPSDAGDADYIEELIVARDVKENN >EOX93991 pep chromosome:Theobroma_cacao_20110822:1:18200809:18204357:1 gene:TCM_003021 transcript:EOX93991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKFQTIDKFFKIKEVDCSNDSLTLEPPSLNTSTHEQEQCESKRPSLNLEEINTFYIEPNLGLRPMIWESPINQRDEIHRVYLKRGPCQTIPKTYPLLDDVHPRCFQASWFELYPSWFENSCDKNAIFYLPCYLLGKNTSNHPSSNAFIEKGFKSWKKVNSGENCPLLNHVGKNPNSTHNVAVKSCEVIDTSVMTLKKELVSVLSHYNLQVESVRGQGYDGASNMHGEWNGLQALLTSIVNIVSASFKRHDKLQAAQAIDITNMIVIDELETSKGANQVRILQRAGDTRWSTHFHSICSLMRIFDATCTVIESIIDEGSNYSQRSDAFAASKILASFEFIFILHLMYEVMGIINVLRQALQLRSQDILNAIHLVFTTKSLLQKLRYDG >EOX93464 pep chromosome:Theobroma_cacao_20110822:1:13209729:13215695:1 gene:TCM_002332 transcript:EOX93464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MQQLTPSHTLHQHFPHALHFSLLRSSKTHPETQQLHAFSLKTGLFSHPSISSRLLSLYADPDINNLRYARSVFDQIQLPTLILWNIIIKCYVENQQSHEAIFLFAQLLHHFPPDEFTFPCVIKGCAKLNAVNEGKQIHGLVLKLGFGLDKFTQGSLVNLYSKCGEIASAHKVFDVMGEKDLVLWNSLLDGYARCGEVKVAMKAFEEMPERDCFSWTVLIDGLAKCGEVETAREIFEKMPNRNLVSWNTMINGYMKAGDINSACKLFNRMPKRNLITWNSIIGGLELNLHFVEALEMFKRMLKEEFRPSHATLVSVISAVSGLASLGKGRSMHSYIVKNGIELDGVVGTVLIEMYSKCGSIDSALTIFRTISNKKLGHWTAIIVGLSIHGMAEHALEFFLEMCRIGVKPNAITFVGVLNACSHAGLINDGRGYFNTMINEYGIKPTIEHYGCLVDMLCRAGDLEEAKNIIEEMPRRANKVIWMTLLSGARNHGNTKIGEYAAHHLIELAPETIGGYVVLSNMYAIAGEPDKVSKVREMMKKRGLRKDPGCSSIEHRGVVHEFVVGDKSHPQTKELYSKLSEMAEKLKLAGHVPDTSQVLLYSEEEEEKEAELEKHSERLAIAFGLINVEAGSPIRIMKNLRVCNDCHSVTKLLSKIYSREIIVRDNSRFHHFKNGLCSCKDFW >EOX91335 pep chromosome:Theobroma_cacao_20110822:1:2362851:2367548:1 gene:TCM_000565 transcript:EOX91335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase / cyclophilin-40 (CYP40) / rotamase isoform 9 MVNPRCYLDISIGGELEGRIVVELYKDVVPKTAENFRALCTGEKGIGPSTAASLHYKGVRFHRIIRGFMIQGGDISAGDGTGGECIYGLKFEDENFELKHERKGMLSMANTGPNTNGSQFFITTTRTSYLDGRHVVFGKVIKGMGVVRSIEHVATEDGDYPTQEVIIADCGEIPEGEDDGISNFFNDGDIYPDWPADLDKKPDEISWWMKAVESIKAFGNEQYKKQDYKIALRKYWKALRYLDVCWEQEGIDEERISSLRKTKSQIFTNSSACKLKLGDLKGALLDTDFAIRDVEDNVKAFFRQGQAYMALNDIDAAVESFKKALNLEPNDGGIKKELAAARKKIADRQDQEKKAYSRMFQ >EOX91339 pep chromosome:Theobroma_cacao_20110822:1:2363192:2367599:1 gene:TCM_000565 transcript:EOX91339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase / cyclophilin-40 (CYP40) / rotamase isoform 9 MVNPRCYLDISIGGELEGRIVVELYKDVVPKTAENFRALCTGEKGIGPSTAASLHYKGVRFHRIIRGFMIQGGDISAGDGTGGECIYGLKFEDENFELKHERKGMLSMANTGPNTNGSQFFITTTRTSYLDGRHVVFGKVIKGMGVVRSIEHVATEDGDYPTQEVIIADCGEIPEGEDDGISNFFNDGDIYPDWPADLDKKPDEISWWMKAVESIKAFGNEQYKKQDYKIALRKYWKALRYLDVCWEQEGIDEERISSLRKTKSQIFTNSSACKLKLGDLKGALLDTDFAIRDVEDNVKAFFRQGQQAYMALNDIDAAVESFKKALNLEPNDGGIKKELAAARKKIADRQDQEKKAYSRMFQ >EOX91336 pep chromosome:Theobroma_cacao_20110822:1:2362942:2367423:1 gene:TCM_000565 transcript:EOX91336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase / cyclophilin-40 (CYP40) / rotamase isoform 9 MVNPRCYLDISIGGELEGRIVVELYKDVVPKTAENFRALCTGEKGIGPSTAASLHYKGVRFHRIIRGFMIQGGDISAGDGTGGECIYGLKFEDENFELKHERKGMLSMANTGPNTNGSQFFITTTRTSYLDGRHVVFGKVIKGMGVVRSIEHVATEDGDYPTQEVIIADCGEIPEGEDDGISNFFNDGDIYPDWPADLDKKPDEISWWMKAVESIKAFGNEQYKKQDYKIALRKYWKALRYLDVCWEQEGIDEERISSLRKTKSQIFTNSSACKLKLGDLKGALLDTDFAIRDVEDNVKAFFRQGQAYMALNDIDAAVESFKKALNLEPNDGGIKKELAAARKKIADRQDQEKKAYSRMFQ >EOX91342 pep chromosome:Theobroma_cacao_20110822:1:2363192:2367309:1 gene:TCM_000565 transcript:EOX91342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase / cyclophilin-40 (CYP40) / rotamase isoform 9 MVNPRCYLDISIGGELEGRIVVELYKDVVPKTAENFRALCTGEKGIGPSTAASLHYKGVRFHRIIRGFMIQGGDISAGDGTGGECIYGLKFEDENFELKHERKGMLSMANTGPNTNGSQFFITTTRTSYLDGRHVVFGKVIKGMGVVRSIEHVATEDGDYPTQEVIIADCGEIPEGEDDGISNFFNDGDIYPDWPADLDKKPDEISWWMKAVESIKAFGNEQYKKQDYKIALRKYWKALRYLDVCWEQEGIDEERGSPLCGRPSLRYLQIVLACKLKLGDLKGALLDTDFAIRDVEDNVKAFFRQGQQVE >EOX91343 pep chromosome:Theobroma_cacao_20110822:1:2362851:2365977:1 gene:TCM_000565 transcript:EOX91343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase / cyclophilin-40 (CYP40) / rotamase isoform 9 MVNPRCYLDISIGGELEGRIVVELYKDVVPKTAENFRALCTGEKGIGPSTAASLHYKGVRFHRIIRGFMIQGGDISAGDGTGGECIYGLKFEDENFELKHERKGMLSMANTGPNTNGSQFFITTTRTSYLDGRHVVFGKVIKGMGVVRSIEHVATEDGDYPTQEVIIADCGEIPEGEDDGISNFFNDGDIYPDWPADLDKKPDEISWWMKAVESIKAFGNEQYKKQDYKIALRKYWKALRYLDVCWEQEGIDEGLQVETR >EOX91341 pep chromosome:Theobroma_cacao_20110822:1:2362942:2367599:1 gene:TCM_000565 transcript:EOX91341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase / cyclophilin-40 (CYP40) / rotamase isoform 9 MVNPRCYLDISIGGELEGRIVVELYKDVVPKTAENFRALCTGEKGIGPSTAASLHYKGVRFHRIIRGFMIQGGDISAGDGTGGECIYGLKFEDENFELKHERKGMLSMANTGPNTNGSQFFITTTRTSYLDGRHVVFGKVIKGMGVVRSIEHVATEDGDYPTQEVIIADCGEIPEGEDDGISNFFNDGDIYPDWPADLDKKPDEISWWMKAVESIKAFGNEQYKKQDYKIALRKYWKALRYLDVCWEQEGIDEERISSLRKTKSQIFTNSSACKLKLGDLKGALLDTDFAIRDVEDNVKAFFRQGQVE >EOX91337 pep chromosome:Theobroma_cacao_20110822:1:2362851:2367548:1 gene:TCM_000565 transcript:EOX91337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase / cyclophilin-40 (CYP40) / rotamase isoform 9 MVNPRCYLDISIGGELEGRIVVELYKDVVPKTAENFRALCTGEKGIGPSTAASLHYKGVRFHRIIRGFMIQGGDISAGDGTGGECIYGLKFEDENFELKHERKGMLSMANTGPNTNGSQFFITTTRTSYLDGRHVVFGKVIKGMGVVRSIEHVATEDGDYPTQEVIIADCGEIPEGEDDGISNFFNDGDIYPDWPADLDKKPDEISWWMKAVESIKAFGNEQYKKQDYKIALRKYWKALRYLDVCWEQEGIDEERISSLRKTKSQIFTNSSACKLKLGDLKGALLDTDFAIRDVEDNVKAFFRQGQAYMALNDIDAAVESFKKALNLEPNDGGIKKELAAARKKIADRQDQEKKAYSRMFQ >EOX91338 pep chromosome:Theobroma_cacao_20110822:1:2363192:2367599:1 gene:TCM_000565 transcript:EOX91338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase / cyclophilin-40 (CYP40) / rotamase isoform 9 MVNPRCYLDISIGGELEGRIVVELYKDVVPKTAENFRALCTGEKGIGPSTAASLHYKGVRFHRIIRGFMIQGGDISAGDGTGGECIYGLKFEDENFELKHERKGMLSMANTGPNTNGSQFFITTTRTSYLDGRHVVFGKVIKGMGVVRSIEHVATEDGDYPTQEVIIADCGEIPEGEDDGISNFFNDGDIYPDWPADLDKKPDEISWWMKAVESIKAFGNEQYKKQDYKIALRKYWKALRYLDVCWEQEGIDEERISSLRKTKSQIFTNSSACKLKLGDLKGALLDTDFAIRDVEDNVKAFFRQGQAYMALNDIDAAVESFKKALNLEPNDGGIKKELAAARKKIADRQDQEKKAYSRMFQ >EOX91340 pep chromosome:Theobroma_cacao_20110822:1:2362942:2367599:1 gene:TCM_000565 transcript:EOX91340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase / cyclophilin-40 (CYP40) / rotamase isoform 9 MVNPRCYLDISIGGELEGRIVVELYKDVVPKTAENFRALCTGEKGIGPSTAASLHYKGVRFHRIIRGFMIQGGDISAGDGTGGECIYGLKFEDENFELKHERKGMLSMANTGPNTNGSQFFITTTRTSYLDGRHVVFGKVIKGMGVVRSIEHVATEDGDYPTQEVIIADCGEIPEGEDDGISNFFNDGDIYPDWPADLDKKPDEISWWMKAVESIKAFGNEQYKKQDYKIALRKYWKALRYLDVCWEQEGIDEERISSLRKTKSQIFTNSSACKLKLGDLKGALLDTDFAIRDVEDNVKAFFRQGQVE >EOX91571 pep chromosome:Theobroma_cacao_20110822:1:3137084:3138158:1 gene:TCM_000711 transcript:EOX91571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 59, putative isoform 1 MVGQWTVTKPSRSDEVLDADQQQKITNQVRAQFDSLAPKRSTKPNRSEPDLATITSNFPPAVDQNIPELDKLRSLQSQSHMKILQGDSVVQDEFVETQYYKEMDSIDKEHHTTGSGFIRVVGEGGAGNRYDIQLQNGQGAGNVFNRPIFKSNPATNDWMPNVEEDQVFMSLFLPSLIG >EOX91570 pep chromosome:Theobroma_cacao_20110822:1:3137000:3138385:1 gene:TCM_000711 transcript:EOX91570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 59, putative isoform 1 MVGQWTVTKPSRSDEVLDADQQQKITNQVRAQFDSLAPKRSTKPNRSEPDLATITSNFPPAVDQNIPELDKLRSLQSQSHMKILQGDSVVQDEFVETQYYKEMDSIDKEHHTTGSGFIRVVGEGGAGNRYDIQLQNGQGAGNVFNRPIFKSNPATNDWMPNVEEDQVFVSSKPNRSEGC >EOX91572 pep chromosome:Theobroma_cacao_20110822:1:3137084:3138151:1 gene:TCM_000711 transcript:EOX91572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 59, putative isoform 1 MVGQWTVTKPSRSDEVLDADQQQKITNQVRAQFDSLAPKRSTKPNRSEPDLATITSNFPPAVDQNIPELDKLRSLQSQSHMKILQGDSVVQDEFVETQYYKEMDSIDKEHHTVFALDLVVGEGGAGNRYDIQLQNGQGAGNVFNRPIFKSNPATNDWMPNVEEDQVFVSSKP >EOX95671 pep chromosome:Theobroma_cacao_20110822:1:35149479:35164281:1 gene:TCM_005121 transcript:EOX95671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide binding protein, putative isoform 2 MIQWRPSGGKQLSRDAKHLRRHILLTCCLDGTVRLWSEVDNGRVKKPGKDGIYDHKITIRSFCVAAVIEIDCALRGTLGVDIFLKWATEIGGIVKTGEETKKYFCTEGDKREVGRCEWLIGFGPGKLVTFWAIHCLDDMTPMRFPRVTLWKRHELQDFEVEHLHGNGLSTLKQQLVLKKVVIMRTCLSGPPIVCSLIHLFPFQSMAWSMLYTKTSNDMKDASPNESRIENLLSCSVGGILDIDGHTSKILQIAIHPYVCEFELAVSLDSNGLLLFWSLSTNSNSIHDLPTLIPTWKICGKYVSQDKCSKYTSLRWAPLVLREDRVLLIGHVGGIDCFAVKIFEGGEDVVCHYICTIPFTGHDPYKDGPTNIYSVPLSLSCNKTSMCDGFLLLGIWMKEFQALSWEIKIHAYALTGSNSECNFDDNSLVECSARKLENTISGIRYCVHVIPSSAQLPEPHLHDQVTSSAVICPSGLTPMQQKLTFDNDPYSCKSPAYVMATGCSDGGLKLWRFNPYDPSISHTPWELVGMFTAHQGPVSAICLTNCGRKIATIGSDSQSNAVSNLRIWDSIRLADSGTFMLEDTLSLNEDVVALNWLNLGNGQLLLAVGMHNELRVYAQKRCGGQALLNSKKPLGMQIWFCIGISHTFSAIHDFLWGPRTTGVVVHASYVSLLSPWLFLLDKKHQTESNPNFITESLLDSEIGMNEGTLSETFSDRDAINYKETLIENGNGGCKSGLLGKITTKDDHLSNTFLVGRAQLKQKSKILLGFWSMLDIVEMLAGVLPVYHPEALFANIYSGNWKRAYISVRHLVEYLNSSYISEKIYHHPKRSDIVPQIPLSNYIEGILSNSSTENAFRWSGNATSMASSLQFQSGLTQFAYNLAPDASSNMFSLSSSKSGLRDFLEPINKLHELAAITAAEKMQILAIIDLLNEVSNPQSASVYENLDEPGRRFWVTLRFQQLLFSQSFGRSASLEELVVDSGLMVWAFHSDCQETLFGSLLPNEPSWQEMQTLGVGFWFTNATQLRTRMEKLARSQYLKKRDPKDCTLLYVALNRLQVLAGLFKISKDEKDKPLVGFLSRNFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTSSAVTVCAKNLGDEQLALIICRLIEGRGGPLERHLITKIILPSAIERSDYWLASLLEWELGNYPQSFLIMLGLQVGSAIDASTLSSCHVAFMDPSVGLYCLTLANNTSMRNAVGDQNAGVLARWASLMSATSLNRCGLPLEALESLSSSLSILGGTDQENVSDIASSKISLGIWKPSIDDSSNWLLGDVALHLEFYAKLDLALQYISKLIREHPSWPRTSVGSVGVNTCSEDHEIQYDKLLENFQHKLCTALAQFEQKFLLVSSCLIDMIFVSLWSNGFWFLGYDILHGYSHECSQYENHIIDSSLRYPLLHKPLLKVTEDISFLFSHLIAACSITWSASKSCYMENGASHEVRSNWLYAWGCYFQGVRLSLWNLKAAVRIFSANYKEADTSKLLTLLDFYEYYANFASAWLQKNSKGLVLMVQPLLVSYTNGHTPYEVDMSILKKVSYQVADTVTQNTLINDIIGGLEVARCAEDKKVRELLHSIPEDERWHIIGAFLWQHMSRFMKHKLDSIAVLLDDTCPSGFSYGKLSSCAPGSVDFESDTKSIREKIRSLSWILAKLLKIALEHISSYHVKQLVLFLQQKIDNGFHPPTLVWLEESKLSSRTLHQHLGQGIVGEDITNSTNQLSASYVLWNICADPTLISESFAHEKINWSSNFHFKPSKGWGEVYKDIKGEHESDKSHNHGGRISNSSSGGEAGSPSRSLFRNGHTFLSSSQKDTIMEKEVTPFQNPKEIYKRNGELLEALCVNSIDQRQAALASSRKGIIFFNWEDGMHDIDQSDYIWSGADWPHNGWAGCESTPVPTCVSPGLGLGNNKGAQLGLGGATIGVGSLARPGRDLTGGGAFGIPGYAGIGASGLGWAVQGDFEEFVDPPATVENISTRAFSSHPSRPVFLVGSINTHIYLWEYGKDKATATYGVLPAANVPPPYALASISALQFDHCGHRFATAALDGTVCAWQLEVGGRSNIRPTESSLCFNNHASDVAYVTSSGSIIAAAGCSSNGVNVVIWDTLAPTATSRASIICHEGGARSIAVFDNDIGSGSISPLIVTGGKNGDVGLHDFRYIATGRTKRHRYHDGVETSINRSSSTDMRTGASNQLQDQNHSGMLWYIPKAHLGSITKISTIPNTSLFLTGSKDGDVKLWDAKAAKLVYHWSKLHERHTFLQPSSRGFGGVVRAAVTDIQVVSHGFLSCGGDGSLKTVCVPRNLLAMQAQDCLCSLGTVKKRQHSMNSVDLVSSVPLTSGKEKSCACTLFHLSCIEVSIVEQISRKETCRMRDTDETR >EOX95672 pep chromosome:Theobroma_cacao_20110822:1:35148845:35161425:1 gene:TCM_005121 transcript:EOX95672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide binding protein, putative isoform 2 MCDGFLLLGIWMKEFQALSWEIKIHAYALTGSNSECNFDDNSLVECSARKLENTISGIRYCVHVIPSSAQLPEPHLHDQVTSSAVICPSGLTPMQQKLTFDNDPYSCKSPAYVMATGCSDGGLKLWRFNPYDPSISHTPWELVGMFTAHQGPVSAICLTNCGRKIATIGSDSQSNAVSNLRIWDSIRLADSGTFMLEDTLSLNEDVVALNWLNLGNGQLLLAVGMHNELRVYAQKRCGGQALLNSKKPLGMQIWFCIGISHTFSAIHDFLWGPRTTGVVVHASYVSLLSPWLFLLDKKHQTESNPNFITESLLDSEIGMNEGTLSETFSDRDAINYKETLIENGNGGCKSGLLGKITTKDDHLSNTFLVGRAQLKQKSKILLGFWSMLDIVEMLAGVLPVYHPEALFANIYSGNWKRAYISVRHLVEYLNSSYISEKIYHHPKRSDIVPQIPLSNYIEGILSNSSTENAFRWSGNATSMASSLQFQSGLTQFAYNLAPDASSNMFSLSSSKSGLRDFLEPINKLHELAAITAAEKMQILAIIDLLNEVSNPQSASVYENLDEPGRRFWVTLRFQQLLFSQSFGRSASLEELVVDSGLMVWAFHSDCQETLFGSLLPNEPSWQEMQTLGVGFWFTNATQLRTRMEKLARSQYLKKRDPKDCTLLYVALNRLQVLAGLFKISKDEKDKPLVGFLSRNFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTSSAVTVCAKNLGDEQLALIICRLIEGRGGPLERHLITKIILPSAIERSDYWLASLLEWELGNYPQSFLIMLGLQVGSAIDASTLSSCHVAFMDPSVGLYCLTLANNTSMRNAVGDQNAGVLARWASLMSATSLNRCGLPLEALESLSSSLSILGGTDQENVSDIASSKISLGIWKPSIDDSSNWLLGDVALHLEFYAKLDLALQYISKLIREHPSWPRTSVGSVGVNTCSEDHEIQYDKLLENFQHKLCTALAQFEQKFLLVSSCLIDMIFVSLWSNGFWFLGYDILHGYSHECSQYENHIIDSSLRYPLLHKPLLKVTEDISFLFSHLIAACSITWSASKSCYMENGASHEVRSNWLYAWGCYFQGVRLSLWNLKAAVRIFSANYKEADTSKLLTLLDFYEYYANFASAWLQKNSKGLVLMVQPLLVSYTNGHTPYEVDMSILKKVSYQVADTVTQNTLINDIIGGLEVARCAEDKKVRELLHSIPEDERWHIIGAFLWQHMSRFMKHKLDSIAVLLDDTCPSGFSYGKLSSCAPGSVDFESDTKSIREKIRSLSWILAKLLKIALEHISSYHVKQLVLFLQQKIDNGFHPPTLVWLEESKLSSRTLHQHLGQGIVGEDITNSTNQLSASYVLWNICADPTLISESFAHEKINWSSNFHFKPSKGWGEVYKDIKGEHESDKSHNHGGRISNSSSGGEAGSPSRSLFRNGHTFLSSSQKDTIMEKEVTPFQNPKEIYKRNGELLEALCVNSIDQRQAALASSRKGIIFFNWEDGMHDIDQSDYIWSGADWPHNGWAGCESTPVPTCVSPGLGLGNNKGAQLGLGGATIGVGSLARPGRDLTGGGAFGIPGYAGIGASGLGWAVQGDFEEFVDPPATVENISTRAFSSHPSRPVFLVGSINTHIYLWEYGKDKATATYGVLPAANVPPPYALASISALQFDHCGHRFATAALDGTVCAWQLEVGGRSNIRPTESSLCFNNHASYVFCF >EOX90750 pep chromosome:Theobroma_cacao_20110822:1:522398:525021:1 gene:TCM_000132 transcript:EOX90750 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 1 MAKPRYSRLPARKSSSSTTILTMLIMFTFLILILLALGILSIPSSNSGDLSSIKRNAVDRSDGGEGQGEQWVEVISWEPRAFIYHNFLSQKECEYLIELAKPHMEKSTVVDSETGKSKDSRVRTSSGTFLARGRDKTIRNIEKRIADFTFIPVEHGEGLQILHYEVGQKYEPHYDYFMDEFNTKNGGQRIATVLMYLSDVEEGGETVFPAAKGNISAVPWWNELSECGKGGLSVKPKMGDALLFWSMKPDASLDPSSLHGGCPVIRGNKWSSTKWIRVNEYKV >EOX90753 pep chromosome:Theobroma_cacao_20110822:1:522398:525021:1 gene:TCM_000132 transcript:EOX90753 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 1 MAKPRYSRLPARKSSSSTTILTMLIMFTFLILILLALGILSIPSSNSGDLSSIKRNAVDRSDGGEGQGEQWVEVISWEPRAFIYHNFLSQKECEYLIELAKPHMEKSTVVDSETGKSKDSRVRTSSGTFLARGRDKTIRNIEKRIADFTFIPVEHGEGLQILHYEVGQKYEPHYDYFMDEFNTKNGGQRIATVLMYLSDVEEGGETVFPAAKGNISAVPWWNELSECGKGGLSVKPKMGDALLFWSMKPDASLDPSSLHGGCPVIRGNKWSSTKWIRVNEYKV >EOX90751 pep chromosome:Theobroma_cacao_20110822:1:522398:525021:1 gene:TCM_000132 transcript:EOX90751 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 1 MAKPRYSRLPARKSSSSTTILTMLIMFTFLILILLALGILSIPSSNSGDLSSIKRNAVDRSDGGEGQGEQWVEVISWEPRAFIYHNFLSQKECEYLIELAKPHMEKSTVVDSETGKSKDSRVRTSSGTFLARGRDKTIRNIEKRIADFTFIPVEHGEGLQILHYEVGQKYEPHYDYFMDEFNTKNGGQRIATVLMYLSVLFFFCVLPFYSSDVEEGGETVFPAAKGNISAVPWWNELSECGKGGLSVKPKMGDALLFWSMKPDASLDPSSLHGGCPVIRGNKWSSTKWIRVNEYKV >EOX90752 pep chromosome:Theobroma_cacao_20110822:1:522414:524873:1 gene:TCM_000132 transcript:EOX90752 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 1 MAKPRYSRLPARKSSSSTTILTMLIMFTFLILILLALGILSIPSSNSGDLSSIKRNAVDRSDGGEGQGEQWVEVISWEPRAFIYHNFLSQKECEYLIELAKPHMEKSTVVDSETGKSKDSRVRTSSGTFLARGRDKTIRNIEKRIADFTFIPVEHGEGLQILHYEVGQKYEPHYDYFMDEFNTKNGGQRIATVLMYLSVLFFFCVLPFYSSDVEEGGETVFPAAKGNISAVPWWNELSECGKGGLSVKPKMGDALLFWSMKPDASLDPSSLHGGCPVIRGNKWSSTKWIRVNEYKV >EOX93335 pep chromosome:Theobroma_cacao_20110822:1:12143373:12146313:-1 gene:TCM_002173 transcript:EOX93335 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MHPSEQPQPPQPPPPSEQSVSQPPQTLLDLITSVLSILLLSSLTVRSFVGRWQVLRSKLCSLQSSLSSISESPHWNENSLLHTLFPSLLSTLQRLKALSDQCTLSSFTGGKLLMQSDLDMASSSLSNHLHDLDLLLRSGVLHQSNAIVLSHPGPDSDKDDLGFFIRDLFTRLQIGGIEFKKKALESLLQLLNNDEKSTTLVAKEGNVGYLIGLLDVNSQPLIREQAVLAVSMLTSSSEDSRKIVFEEGGLGPLLRILETGSIPLKEKAAIAVEAITADPENAWAISAYGGITVLIEACRSGSQPTQTHAVGALRNVASVEDMKMALGEEGAIPVLVQLLVSGTTAAQEKAANCISILASSGEYFRALIIQEKGLPRLMHLIQDLSSSDTIEHVLRTISSLSVADSVSRILSSSTAFIIQLGEFIKHGNMILQQISASLLSNLSITDGNKRAISSCMGSLVKLMESPKPVGLQDAAAEAIVSLLTVRSNRKELVRDEKSVMRLVQMLDPKNEAVSKKFPLMVVTAVLSGGSGGCRKRLVAAGANKHLQSLAEMEVSGAKKALQRLAGSRLKSIFSRTWRE >EOX94898 pep chromosome:Theobroma_cacao_20110822:1:31931324:31932405:1 gene:TCM_004501 transcript:EOX94898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein isoform 1 MVWLRDIVPAAQNNINTHFILLDKGKITRDGQNKMCLALAGDKTAAVHLQLWGEECDAFEAGDIIRMENGIFSYNRNNLVLRAGKRGKVEKVGEFTMEFVETPNMSEIKWVPDPNNFNKYVQHSVISPHSRIFPPIP >EOX94897 pep chromosome:Theobroma_cacao_20110822:1:31931320:31932324:1 gene:TCM_004501 transcript:EOX94897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein isoform 1 MVWLRDIVPAAQNNINTHFILLDKGKITRDGQNKMCLALAGDKTAAVHLQLWGEECDAFEAGDIIRMENGIFSYNRNNLVLRAGKRGKVEKVGEFTMEFVETPNMSEIKWVPDPNNFNKYVQHSVISPHSRIFPPIP >EOX94131 pep chromosome:Theobroma_cacao_20110822:1:22419041:22422829:-1 gene:TCM_003379 transcript:EOX94131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNFKLYQMDVKSAFLNGFIQEEVYVEQPPGFENFEKPDHVFKLHKALYGLKQALIAWYERLSKFLVEKGYVRGSIDSTLFIKRYLKDLIIVQIYVDDIVFDATNEALCKNFAKEMQGEFEISMMEAEYVFLGNYCAQILWIKQQLKDYGMSMNNIPIYCDNTSAINISKNPMQHLRTKHIEIRHHFVRDHVMKSDIKIEFVNTLHQLPDIFTKPLNEERFCEIRRNLGMKEKSHKSEKKKDKGKSLKKGTTSSSKFRNKVHEERFRKIENALITYGKYIDWDSFNEILKIQISLSDYFEELKLKEFSTFRNRSYSVSLVKEFYSSIVVDKDELEEPNDYTDEGLNIFLNGKEFTMTATDLGSLFKIECEQGEFEFSENYDPSSLWEVIIGKKEKYSSKSNAGLITSPQIRILHYFITANVHGRGGSFSYISLQDLWLMEHAFSGVSLNLGRFMIERMRGICRLEKINLPYGNIITSLVQKKGI >EOX94941 pep chromosome:Theobroma_cacao_20110822:1:32124645:32132027:-1 gene:TCM_004534 transcript:EOX94941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger isoform 1 MALEPDQASVDSITLFVALLCSCIVIGHLLEKNRWFNESITALAIGLCTGIIILFTTEGRRSHILVFNEELFFIYLLPPIIFNAGFQVKKKQFFRNFVMIILFGAVGTLISFVIISIGSMKLFKKLDVGFLDFGDYLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSIVLFNAIQKFDLSHITSSIFMELMGNFVYLFTTSTLLGVVVGLISAYIIKKLYFGRHSTDREVALMILMAYLSYMMAELFNLSSILTVFFCGIVMSHYTWHNVTESSRITTKHAFATLSFISEIFIFLYVGMDALDIEKWKVVSKSLGISAGVSSILLGLVLIGRAASVFPLSFISNLSKRSQSGKVTFKQQVIIWWAGLMRGSVSMALAYNQFTRSGHTQLRGNAIMITSTITVVLFSTVVFGLMTKPLMRLLLPRKHLSSAMSSESFLPKPMNLPLIANGQTADSEMGGDDIPRPASLRMLLTTPAHTVHYYWRKFDDSVMRPVFGGRGFAPCTPGSPTEPLLH >EOX94940 pep chromosome:Theobroma_cacao_20110822:1:32124677:32132421:-1 gene:TCM_004534 transcript:EOX94940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger isoform 1 MALEPDQASVDSITLFVALLCSCIVIGHLLEKNRWFNESITALAIGLCTGIIILFTTEGRRSHILVFNEELFFIYLLPPIIFNAGFQVKKKQFFRNFVMIILFGAVGTLISFVIISIGSMKLFKKLDVGFLDFGDYLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSIVLFNAIQKFDLSHITSSIFMELMGNFVYLFTTSTLLGVVVGLISAYIIKKLYFGRHSTDREVALMILMAYLSYMMAELFNLSSILTVFFCGIVMSHYTWHNVTESSRITTKHAFATLSFISEIFIFLYVGMDALDIEKWKVVSKSLGISAGVSSILLGLVLIGRAASVFPLSFISNLSKRSQSGKVTFKQQVIIWWAGLMRGSVSMALAYNQFTRSGHTQLRGNAIMITSTITVVLFSTVVFGLMTKPLMRLLLPRKHLSSAMSSESFLPKPMNLPLIANGQTADSEMGGDDIPRPASLRMLLTTPAHTVHYYWRKFDDSVMRPVFGGRGFAPCTPGSPTEPLLH >EOX95145 pep chromosome:Theobroma_cacao_20110822:1:33045240:33045606:1 gene:TCM_004699 transcript:EOX95145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARPSDLERIGIEAFALLEEGLGRKTGPPLDQSSQHHFQPRPQPAGVLGGDTRDDMDCYRAAKIFHGVVVVEHRGHKKPTHTLIRHKLY >EOX95124 pep chromosome:Theobroma_cacao_20110822:1:32937690:32938621:-1 gene:TCM_004685 transcript:EOX95124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALRSVILLILISLPASFASTDEKLSAYQVLQQYDFPIGILPEGVIGYELNRDTGEFSAYLNGTCSFPIESYDLSYKSTVQGVISRGRITKLNGVSVKVLFFWLNIVELSRHGDELEFSVGIASASFPIDNFFESPQCGCGLYCNQLNGFVSSI >EOX96063 pep chromosome:Theobroma_cacao_20110822:1:36469155:36472359:-1 gene:TCM_005408 transcript:EOX96063 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MHPTNAPAPTSLPSDEVDTSPLLTHSIADHLLRSRRLLRRPPPPLSGAAARLLRRASSRRLMLREPSVRVRETAAEQLEERQSDWAYSKPIIVLDILWNMAFVVMAVVVLGLSLDEKPTVPLRLWISGYGLQCLFHVACVVVEYKRRNGRRDSDSDSENIEGQNLALNSQSGSETGDSEDYETEQLNSGNENSVAKILESANTMFSFLWWIIGFYWIMADGQALIRHSPGLYWLCVTFLAFDVVFVMICAAVACLIGIAVCCCLPCIIAILYALTDREGATEEEIDRWPKYKFRRVGDFEKVNGEIQESREGIMTECNTDTPAERILSHEDAECCICLCAYEDGSELRELPCHHHFHCTCIDKWLYINATCPLCKFNILKTCNLSGSEV >EOX92803 pep chromosome:Theobroma_cacao_20110822:1:8673890:8676208:1 gene:TCM_001676 transcript:EOX92803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein MDLETVKKYLEKGGGDDDDKNAPTIHGFPSRFFENFIMQGLRVDLIEKGHLLCSMKVPPRLLNAGNFLHGGATASLVDMIGAGVLYTYGVSSSGVSVEINVTYLDSAYVDEEIEIEARALRVGKTVAVVSVEFRKKETGKIVAQGRHTKYLPVQSKM >EOX96506 pep chromosome:Theobroma_cacao_20110822:1:38045781:38049774:1 gene:TCM_005741 transcript:EOX96506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MTLQSVIAFHLIICLLYLFHGEAEKSCPDVACREEGPSVRFPFSLKDRQAESCGYPGFELSCSERNETLIEFPYSGQFFVAGIDYERQNIYIGDPQGCMLRRLKNLTVTGSPFTIYRPDYYTLLDCSPRIETSDSGTVLIDCLSSSNHLVYAAPASTVMSLDLLFSCHFIANVTSSSMDGYDQCLLGRTSNFNSFDRIWLPSYGFEERAKKCRLMGRSNFNYFDRSWLYNFTKLRWVIPGCGLDCRERGGECRLKGNNSLQVECFNEYIPPNGIPQYEDGIPQYEEKSLEHIIKGVSIGISIGVLTLTLMVTLIILYLRSRRAEVEENRKKIKKFLEDFRPLNPTRYSFADLRKMTNEFEKKLGQGGYGSVFKGELENGVPVAVKVLDHSKGNGEDFTNEVNTIGRIHHINVVRLLGYCADESDRALVYEFMPNKSLDKFIFPANSRRPKLSWGKLQDIAIGVARGIEYLHQGCDQRILHFDIKPQNILLDIDFNPKISDFGLAKLFPKKESVVSITAVRGTMGYIAPEVYFSGNIGNVSYKTDVYSFGMLLFEMVGGRKNKDLTVENTSQVYFPQWVYNRLADGEDLGIKEEKDGDADIAKKLSVAAIWCVQWDPSDRPSISTVIQMLEGRTGSLPLPPDPFASLSSE >EOX96527 pep chromosome:Theobroma_cacao_20110822:1:38139551:38145026:1 gene:TCM_005763 transcript:EOX96527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLDVYPQGYFYVGLLHSIMIRRITERQSMDHELWFAIGKSKAQLSNVKLQALLDTFREGNFQRPGDATKMALILIANNILFGQDYCRWVTPWLLSLVEDIDAWNVFLWGHYVWKLTLDYLLKGFEVPDLSVIKETRLHYNIYGFTWFWAMEAISALQKIVTPSEGNEYVPIGHMEDRSDWGLGARQKKRRLKEKRASGGTKRIRTVAALVDELMDEGDDHGQGSEQPLDHGPAAPEPPISPPQMQSGNDPSIRSFTKARTGPQAPISPAQSQTANEQAFTEAMTGLEAPISPAPPQTTNEPTYAKATTGLEAPIGPTPPQTAIHGVSQCLILICMAYDVVEEAVAVDVTLQSDDAEGEHLPLAEAFVDAAAGAMVLYRESTLDVVEIQSSSLESSAVHHDATEISDPTERARLKMVNKYMASPFVDPLVTRLDVRDKIVEDYEAFKKEESARRNVGILGDQGADFFITLEDPNEEMTSEHIYACLSLLCKRMTGPKSKLYITRACMVDTIFFDTIRMLHTEFPTEDARAKMQIPDELRGYVEGERPTYAKKWEDVDFILAPCNVGGHWVVAKIDLVRWTIKVVDSTITSDAKDNGAHAGQMTPLTTMMSFI >EOX95296 pep chromosome:Theobroma_cacao_20110822:1:33672374:33677686:-1 gene:TCM_004833 transcript:EOX95296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme binding MMLRVLLLAFVVLGPGLTRRVSSHEGSGEWSCESNSEIRVQADFRPGVITLDGHADDWKYIDGFEFSLLPALDPDEDHEYKDGTMTVKALHDGNDVFFLLQVDGDYAYSKGDNRKCPSAALMFQIGDDATYHNMGGCKEQRGSCTNKSCKGHEVDIMHFSIGNAIPGRLYGGNLIDNGEGNGGDRFGHLVDVYAWNPHCRYLDGMGPSGNDSSAQNNWKGAWWHSSLAVHSGFVEEDSPYSTGGQKGTYYFEFSRPLRTMDRLQQDVQFTIGGSSKMSVALWYPVDGNPWFGSGHYTINCDWVSLDIASGGSVLTKSASSSSWDVTSSFALLFSVAALCVAVFVAYQVSRHKSVPFTPMENL >EOX93499 pep chromosome:Theobroma_cacao_20110822:1:13554671:13558931:-1 gene:TCM_002383 transcript:EOX93499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H protein MLLKFYCMVGLACCSGRVLWTNLLEGHRIRIYICTSIGEARRVSPEPWQTRLDVAAKAQIRLLIADLIKDYRWICILQTVAVTHRGNEILILSLAGSRLAFRKMSVYRGTMAVVTSSRGVPGRDTCPIYFCFCFLLGDKTLVDITYRVPNLALDKNLVRDFLNPHGHWDHDKLFNCLPYDVLMHVLQVMLPTLAISQDEPYGGLSASGQFTIAWTYDYLRQLSSLTDVKLSGIWQGAWKWQGPQRVRTFLFQCLHGRLLTNRERLRRRLTIDSLSPQCKMEDETMTHVLRDCMVATSLWVRIIPHHDYNVFFTLPLRKWLVCNLQKHQLILYENPWFVVFGLVCWHLWKWCNSTVLDATIVPTRSRLCC >EOX91218 pep chromosome:Theobroma_cacao_20110822:1:1950711:1955961:-1 gene:TCM_000474 transcript:EOX91218 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related 1 isoform 2 MGDMEMIELGMSLAVQHWMEDSDGFDKGEIDCAIALSLSEGDAKGKKVIDESEPEEESDKDDQDAKAYVEKEDDQYAEAHGKKEEDIYAKAHVEDDDDYYAKVQQEEDEERAKVQLEEDEQLAKAIQESLSVESPPRYGHGGLFSPYPFFFPASYRICAGCNAEIGHGRYLSCMGAVWHPECFRCHACGQPINDYEFSVSGNRPFHKSCYKEQHHPKCDVCKKFIPTNPAGLIEYRAHPYWMQKYCPSHERDGTPRCCSCERVEPTDAKYISLDDGRKLCLECLDSAIMDTHECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVLRRPRIGAGYRFIDMITEPYRLTRRCEVTAILILYGLPRYYLLFPFCAVFLSKVSDLFVPLI >EOX91220 pep chromosome:Theobroma_cacao_20110822:1:1950813:1956317:-1 gene:TCM_000474 transcript:EOX91220 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related 1 isoform 2 MGWLTKILKGSSNKGRYYGRHGDDRTWDEPRRSAEDSDGFDKGEIDCAIALSLSEGDAKGKKVIDESEPEEESDKDDQDAKAYVEKEDDQYAEAHGKKEEDIYAKAHVEDDDDYYAKVQQEEDEERAKVQLEEDEQLAKAIQESLSVESPPRYGHGGLFSPYPFFFPASYRICAGCNAEIGHGRYLSCMGAVWHPECFRCHACGQPINDYEFSVSGNRPFHKSCYKEQHHPKCDVCKKFIPTNPAGLIEYRAHPYWMQKYCPSHERDGTPRCCSCERVEPTDAKYISLDDGRKLCLECLDSAIMDTHECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVLRRPRIGAGYRFIDMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKEVIPV >EOX91216 pep chromosome:Theobroma_cacao_20110822:1:1950711:1956041:-1 gene:TCM_000474 transcript:EOX91216 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related 1 isoform 2 MGDMEMIELGMSLAVQHWMEDSDGFDKGEIDCAIALSLSEGDAKGKKVIDESEPEEESDKDDQDAKAYVEKEDDQYAEAHGKKEEDIYAKAHVEDDDDYYAKVQQEEDEERAKVQLEEDEQLAKAIQESLSVESPPRYGHGGLFSPYPFFFPASYRICAGCNAEIGHGRYLSCMGAVWHPECFRCHACGQPINDYEFSVSGNRPFHKSCYKEQHHPKCDVCKKFIPTNPAGLIEYRAHPYWMQKYCPSHERDGTPRCCSCERVEPTDAKYISLDDGRKLCLECLDSAIMDTHECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVLRRPRIGAGYRFIDMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPSLSPEVEEGICQVLAHMWLDSEIYAASGSGVASSSSSSSSSSSSPSSSSSSTSSKKGKRSDFEKKLGGFFKHQIESDTSTAYGEGFRQGDRAVTKYGLKRTLDHIRMTGSFPY >EOX91221 pep chromosome:Theobroma_cacao_20110822:1:1951088:1954858:-1 gene:TCM_000474 transcript:EOX91221 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related 1 isoform 2 MQEDSDGFDKGEIDCAIALSLSEGDAKGKKVIDESEPEEESDKDDQDAKAYVEKEDDQYAEAHGKKEEDIYAKAHVEDDDDYYAKVQQEEDEERAKVQLEEDEQLAKAIQESLSVESPPRYGHGGLFSPYPFFFPASYRICAGCNAEIGHGRYLSCMGAVWHPECFRCHACGQPINDYEFSVSGNRPFHKSCYKEQHHPKCDVCKKFIPTNPAGLIEYRAHPYWMQKYCPSHERDGTPRCCSCERVEPTDAKYISLDDGRKLCLECLDSAIMDTHECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVLRRPRIGAGYRFIDMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPSLSPEVEEGICQVLAHMWLDSEIYAASGSGVASSSSSSSSSSSSPSSSSSSTSSKKGKRSDFEKKLGGFFKHQIESDTSTAYGEGFRQGDRAVTKYGLKRTLDHIRMTGSFPY >EOX91222 pep chromosome:Theobroma_cacao_20110822:1:1950826:1955113:-1 gene:TCM_000474 transcript:EOX91222 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related 1 isoform 2 MQEDSDGFDKGEIDCAIALSLSEGDAKGKKVIEDESEPEEESDKDDQDAKAYVEKEDDQYAEAHGKKEEDIYAKAHVEDDDDYYAKVQQEEDEERAKVQLEEDEQLAKAIQESLSVESPPRYGHGGLFSPYPFFFPASYRICAGCNAEIGHGRYLSCMGAVWHPECFRCHACGQPINDYEFSVSGNRPFHKSCYKEQHHPKCDVCKKFIPTNPAGLIEYRAHPYWMQKYCPSHERDGTPRCCSCERVEPTDAKYISLDDGRKLCLECLDSAIMDTHECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVLRRPRIGAGYRFIDMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPSLSPEVEEGICQVLAHMWLDSEIYAASGSGVASSSSSSSSSSSSPSSSSSSTSSKKGKRSDFEKKLGGFFKHQIESDTSTAYGEGFRQGDRAVTKYGLKRTLDHIRMTGSFPY >EOX91214 pep chromosome:Theobroma_cacao_20110822:1:1950826:1956469:-1 gene:TCM_000474 transcript:EOX91214 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related 1 isoform 2 MGWLTKILKGSSNKGRYYGRHGDDRTWDEPRRSAEDSDGFDKGEIDCAIALSLSEGDAKGKKVIEDESEPEEESDKDDQDAKAYVEKEDDQYAEAHGKKEEDIYAKAHVEDDDDYYAKVQQEEDEERAKVQLEEDEQLAKAIQESLSVESPPRYGHGGLFSPYPFFFPASYRICAGCNAEIGHGRYLSCMGAVWHPECFRCHACGQPINDYEFSVSGNRPFHKSCYKEQHHPKCDVCKKFIPTNPAGLIEYRAHPYWMQKYCPSHERDGTPRCCSCERVEPTDAKYISLDDGRKLCLECLDSAIMDTHECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVLRRPRIGAGYRFIDMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPSLSPEVEEGICQVLAHMWLDSEIYAASGSGVASSSSSSSSSSSSPSSSSSSTSSKKGKRSDFEKKLGGFFKHQIESDTSTAYGEGFRQGDRAVTKYGLKRTLDHIRMTGSFPY >EOX91217 pep chromosome:Theobroma_cacao_20110822:1:1950711:1956359:-1 gene:TCM_000474 transcript:EOX91217 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related 1 isoform 2 MGDMEMIELGMSLAVQHWMEDSDGFDKGEIDCAIALSLSEGDAKGKKVIDESEPEEESDKDDQDAKAYVEKEDDQYAEAHGKKEEDIYAKAHVEDDDDYYAKVQQEEDEERAKVQLEEDEQLAKAIQESLSVESPPRYGHGGLFSPYPFFFPASYRICAGCNAEIGHGRYLSCMGAVWHPECFRCHACGQPINDYEFSVSGNRPFHKSCYKEQHHPKCDVCKKFIPTNPAGLIEYRAHPYWMQKYCPSHERDGTPRCCSCERVEPTDAKYISLDDGRKLCLECLDSAIMDTHECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVLRRPRIGAGYRFIDMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPSLSPEVEEGICQVLAHMWLDSEIYAASGSGVASSSSSSSSSSSSPSSSSSSTSSKKGKRSDFEKKLGGFFKHQIESDTSTAYGEGFRQGDRAVTKYGLKRTLDHIRMTGSFPY >EOX91219 pep chromosome:Theobroma_cacao_20110822:1:1950826:1956268:-1 gene:TCM_000474 transcript:EOX91219 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related 1 isoform 2 MGWLTKILKGSSNKGRYYGRHGDDRTWDEPRRSAEDSDGFDKGEIDCAIALSLSEGDAKGKKVIEDESEPEEESDKDDQDAKAYVEKEDDQYAEAHGKKEEDIYAKAHVEDDDDYYAKVQQEEDEERAKVQLEEDEQLAKAIQESLSVESPPRYGHGGLFSPYPFFFPASYRICAGCNAEIGHGRYLSCMGAVWHPECFRCHACGQPINDYEFSVSGNRPFHKSCYKEQHHPKCDVCKKFIPTNPAGLIEYRAHPYWMQKYCPSHERDGTPRCCSCERVEPTDAKYISLDDGRKLCLECLDSAIMDTHECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVLRRPRIGAGYRFIDMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPSLSPEVEEGICQVLAHMWLDSEIYAASGSGVASSSSSSSSSSSSPSSSSSSTSSKKGKRSDFEKKLGGFFKHQIESDTSTAYGEGFRQGDRAVTKYGLKRTLDHIRMTGSFPY >EOX91215 pep chromosome:Theobroma_cacao_20110822:1:1950826:1955897:-1 gene:TCM_000474 transcript:EOX91215 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related 1 isoform 2 MGWLTKILKGSSNKGRYYGRHGDDRTWDEPRRSAEDSDGFDKGEIDCAIALSLSEGDAKGKKVIEDESEPEEESDKDDQDAKAYVEKEDDQYAEAHGKKEEDIYAKAHVEDDDDYYAKVQQEEDEERAKVQLEEDEQLAKAIQESLSVESPPRYGHGGLFSPYPFFFPASYRICAGCNAEIGHGRYLSCMGAVWHPECFRCHACGQPINDYEFSVSGNRPFHKSCYKEQHHPKCDVCKKFIPTNPAGLIEYRAHPYWMQKYCPSHERDGTPRCCSCERVEPTDAKYISLDDGRKLCLECLDSAIMDTHECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVLRRPRIGAGYRFIDMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPSLSPEVEEGICQVLAHMWLDSEIYAASGSGVASSSSSSSSSSSSPSSSSSSTSSKKGKRSDFEKKLGGFFKHQIESDTSTAYGEGFRQGDRAVTKYGLKRTLDHIRMTGSFPY >EOX91213 pep chromosome:Theobroma_cacao_20110822:1:1950459:1956140:-1 gene:TCM_000474 transcript:EOX91213 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related 1 isoform 2 MGWLTKILKGSSNKGRYYGRHGDDRTWDEPRRSAVIVNSVSLCWKTWMQEDSDGFDKGEIDCAIALSLSEGDAKGKKVIEDESEPEEESDKDDQDAKAYVEKEDDQYAEAHGKKEEDIYAKAHVEDDDDYYAKVQQEEDEERAKVQLEEDEQLAKAIQESLSVESPPRYGHGGLFSPYPFFFPASYRICAGCNAEIGHGRYLSCMGAVWHPECFRCHACGQPINDYEFSVSGNRPFHKSCYKEQHHPKCDVCKKFIPTNPAGLIEYRAHPYWMQKYCPSHERDGTPRCCSCERVEPTDAKYISLDDGRKLCLECLDSAIMDTHECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVLRRPRIGAGYRFIDMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPSLSPEVEEGICQVLAHMWLDSEIYAASGSGVASSSSSSSSSSSSPSSSSSSTSSKKGKRSDFEKKLGGFFKHQIESDTSTAYGEGFRQGDRAVTKYGLKRTLDHIRMTGSFPY >EOX91223 pep chromosome:Theobroma_cacao_20110822:1:1950826:1955113:-1 gene:TCM_000474 transcript:EOX91223 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related 1 isoform 2 MFPIHLVEDESEPEEESDKDDQDAKAYVEKEDDQYAEAHGKKEEDIYAKAHVEDDDDYYAKVQQEEDEERAKVQLEEDEQLAKAIQESLSVESPPRYGHGGLFSPYPFFFPASYRICAGCNAEIGHGRYLSCMGAVWHPECFRCHACGQPINDYEFSVSGNRPFHKSCYKEQHHPKCDVCKKFIPTNPAGLIEYRAHPYWMQKYCPSHERDGTPRCCSCERVEPTDAKYISLDDGRKLCLECLDSAIMDTHECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVLRRPRIGAGYRFIDMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPSLSPEVEEGICQVLAHMWLDSEIYAASGSGVASSSSSSSSSSSSPSSSSSSTSSKKGKRSDFEKKLGGFFKHQIESDTSTAYGEGFRQGDRAVTKYGLKRTLDHIRMTGSFPY >EOX94803 pep chromosome:Theobroma_cacao_20110822:1:31483043:31485462:1 gene:TCM_004414 transcript:EOX94803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGRITLLKTILNSLHLFHMSLFQTWDAICNLGVFGGLGLVDVGLKNRAFLNKWLWHYDNEPKSMWRRIVVIKSGLDLKKILLERGALCKASSMWKNITKPPCGSYDYSSFVTEGIGLSLDGFIDQFGGWIDNKWRWNIELCRNIFGWERDQWESFNNLINVQTLNINFQDLLIWKGSPLGNYSPNSFCRQVLTSQSHPTEHWKHIWFGLAPLKIECLCWQILHGRLVVKDVLTRRGIIGVDSDYWGALWNIHLVYHNDPRICFLSWLDVAGSLNNGLIWRMAWFQNVGTTPARCKQTSNTISWSKPLVGSLSFNIDGASRGCSGDSEIGGILRNEHGDVLILFSKSIGVCDSNKTELMAVREAALIYPASRWCTSHSLFIESDKQNVVNWITSPNKVPWRLRQLIAQTLNILGKIKKWDIKHTMGLANNEADTLAKEGVLRTVDFSFLSLDVGSAQEIETAAPLDYYLRSIC >EOX93573 pep chromosome:Theobroma_cacao_20110822:1:14020790:14026251:-1 gene:TCM_002458 transcript:EOX93573 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box type zinc finger protein with CCT domain isoform 1 MGYICDFCGDQRSMVYCRSDAACLCLSCDRNVHSANALSKRHSRTLLCERCNSQPAFVRCAEERISLCQNCDWMGHGTSTSNSTHKRQTINCYSGCPSAAELSSVWSFVLESPSAGESACEQELGLMSITENAERTSWDPTENTISQNGTGVAEVNDDLDADKGSSWGGSASVPELRSAPRLLDQPAGSTDLLPKLCCPQTKCPGLCEDDLYADFNMDEVDLNLENYEELFGVTLNHSEELFENGGIDSLFGTKDMSAADSNCQGAVAAEGSSVGLVNAIQPACSNAASADSMMSNKTDSVLCFTARQAHSSLSFSGLTGESSAGDYQDCGASSMLLMGEPPWCPPCNENSFSSATRSDAVMRYKEKKKTRKFEKRVRYASRKARADVRKRVKGRFVKAGDAYDYDPLNQTRSC >EOX93572 pep chromosome:Theobroma_cacao_20110822:1:14021009:14024709:-1 gene:TCM_002458 transcript:EOX93572 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box type zinc finger protein with CCT domain isoform 1 MGYICDFCGDQRSMVYCRSDAACLCLSCDRNVHSANALSKRHSRTLLCERCNSQPAFVRCAEERISLCQNCDWMGHGTSTSNSTHKRQTINCYSGCPSAAELSSVWSFVLESPSAGESACEQELGLMSITENAERTSWDPTENTISQNGTGVAEVNDDLDADKGSSWGGSASVPELRSAPRLLDQPAGSTDLLPKLCCPQTKCPGLCEDDLYADFNMDEVDLNLENYEELFGVTLNHSEELFENGGIDSLFGTKDMSAADSNCQGAVAAEGSSVGLVNAIQPACSNAASADSMMSNKTDSVLCFTARQAHSSLSFSGLTGESSAGDYQDCGASSMLLMGEPPWCPPCNENSFSSATRSDAVMRYKEKKKTRKFEKRVRYASRKARADVRKRVKGRFVKAGDAYDYDPLNQTRSC >EOX93574 pep chromosome:Theobroma_cacao_20110822:1:14021009:14024709:-1 gene:TCM_002458 transcript:EOX93574 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box type zinc finger protein with CCT domain isoform 1 MGYICDFCGDQRSMVYCRSDAACLCLSCDRNVHSANALSKRHSRTLLCERCNSQPAFVRCAEERISLCQNCDWMGHGTSTSNSTHKRQTINCYSGCPSAAELSSVWSFVLESPSAGESACEQELGLMSITENAERTSWDPTENTISQNGTGVAEVNDDLDADKGSSWGGSASVPELRSAPRLLDQPAGSTDLLPKLCCPQTKCPGLCEDDLYADFNMDEVDLNLENYEELFGVTLNHSEELFENGGIDSLFGTKDMSAADSNCQGAVAAEGSSVGLVNAIQPACSNAASADSMMSNKTDSVLCFTARQAHSSLSFSGLTGESSAGDYQDCGASSMLLMGEPPWCPPCNENSFSSATRSDAVMRYKEKKKTRKFEKRVRYASRKARADVRKRVKGRFVKAGDAYDYDPLNQTRSC >EOX93575 pep chromosome:Theobroma_cacao_20110822:1:14020430:14025836:-1 gene:TCM_002458 transcript:EOX93575 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box type zinc finger protein with CCT domain isoform 1 MGYICDFCGDQRSMVYCRSDAACLCLSCDRNVHSANALSKRHSRTLLCERCNSQPAFVRCAEERISLCQNCDWMGHGTSTSNSTHKRQTINCYSGCPSAAELSSVWSFVLESPSAGESACEQELGLMSITENAERTSWDPTENTISQNGTGVAEVNDDLDADKGSSWGGSASVPELRSAPRLLDQPAGSTDLLPKLCCPQTKCPGLCEDDLYADFNMDEVDLNLENYEELFGVTLNHSEELFENGGIDSLFGTKDMSAADSNCQGAVAAEAHSSLSFSGLTGESSAGDYQDCGASSMLLMGEPPWCPPCNENSFSSATRSDAVMRYKEKKKTRKFEKRVRYASRKARADVRKRVKGRFVKAGDAYDYDPLNQTRSC >EOX93576 pep chromosome:Theobroma_cacao_20110822:1:14020191:14025846:-1 gene:TCM_002458 transcript:EOX93576 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box type zinc finger protein with CCT domain isoform 1 MGYICDFCGDQRSMVYCRSDAACLCLSCDRNVHSANALSKRHSRTLLCERCNSQPAFVRCAEERISLCQNCDWMGHGTSTSNSTHKRQTINCYSGCPSAAELSSVWSFVLESPSAGESACEQELGLMSITENAERTSWDPTENTISQNGTGVAEVNDDLDADKGSSWGGSASVPELRSAPRLLDQPAGSTDLLPKLCCPQTKCPGLCEDDLYADFNMDEVDLNLENYEELFGVTLNHSEELFENGGIDSLFGTKDMSAADSNCQGAVAAEAHSSLSFSGLTGESSAGDYQDCGASSMLLMGEPPWCPPCNENSFSSATRSDAVMRYKEKKKTRKFEKRVRYASRKARADVRKRVKGRFVKAGDAYDYDPLNQTRSC >EOX93524 pep chromosome:Theobroma_cacao_20110822:1:13754537:13757911:-1 gene:TCM_002411 transcript:EOX93524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLVIHLSGVFNVVIIGVFHNTQGSSQRPGVSLVPEREDHYSLRSSSLLSSFDTPCSDIYEKYVKACSSKSSFSNPRKMKQERLGLYFI >EOX96600 pep chromosome:Theobroma_cacao_20110822:1:38376663:38381015:-1 gene:TCM_005823 transcript:EOX96600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 1 MEVIVASVSDVMLSALVRSLLETMSSPDFLKFSREEQVWAEIKKWKNLLLKINALLQDAEEKQTTSGAVKLWLRDLQHVAFDAEDAVDELATESLRRKLLEQAQPSASTSKLWKVILPTCFSALNLNGIKFNAKMNSKIQEITSRLHDLAVLKNNLNLVEFSGGRREKVLHRLPTSSLVDEPHVYGRESDKDAIVDMLMDSGEMGRGEVGVVSIVGMAGVGKTTLAQLVYHDERIETSFELRAWACVTEEFDILRVTKTVLHAVDSDIGNSQDLNLLQVRLKEKLIGRKFLIILDDLWNENYGDWDVLCKPFAAGAPGSKILVTTRHKRVAAVTAVTANNEAYHLKELSDDACLSLFTWHALRAGNFDGHPNLKVVGEQIVRKCKGLPLAAKTLGGLLRTKVTQEEWEDILMSKIWDLPEERSGILPALRLSYHHLPFYLKQCFAYCAIFPKDYEFDKDELVLLWMAEGFLQQLKGKTRMEDLGSQYFNELLSRSIFQQSTSNKARYVMHDLINDLAQSVSDEICSSLDDMDMVEGDKLCTVAEKVRHLSFTRRQYDIRKRFEVLYQMKNLRTLAALPIYTSPWSACCYLAGDVLQKMLRRLSCLRVLCLSCYCINELPNSIGHLKHLRYLNLSRSRIKQLPESVGSLLNLQTLILQGCKELTKLPQVFKNLVNLRVLDLTDTDSLQEMPFGIGNLKNLQILSKFIVGKGIGSAVSELRGLLHLRGELSISGLENVVDIQDASKANLKDKYGLTRLYLQWSQEFLNCRDEEAEMHVLDRLLPHKNLEKLRILFYGGTIFPSWLGEPSLTDLVDLELCNCRNSISLPSLGRLPSLKMLSIAGMARVQKVGLEFYGHISPSVKPFPSLEILRFKSMLEWRCWSSPSQVAEHSGEEFPCLRELVIEDCPKLCGKLPGRVFSLMKLVIKHCPNLEGSSMSFPSLCELNMEDCKEELLRSIVGITSLTTVRAKSMPELQFVQNDIAQFPGTLKFLVISNCIGLTSLWQKGAISLNISCLESLKIKGRSQFVSLAENDQGLSSNLEDLRLLDSCNVWNPPWMMHGLTSLKDLQIESCPNLVFFPELGFLHTLKHLKLKDCRALKSLPSGMMMLNCKINGCPLEELEIEDCHSLTCFPRGRLPTTLKCIRIRYCRDLMSLPEGLMLIDNSASNISLLEILEIVACPSLISFPEGRLPTSLKNLKIWNCSQLEPISDRMLHKNASLESIDVWNCKTLISLPENLHSVTHLTELKFSLCPALRYFPETGMHLPNLRTLEIYNCDNLKSLPNHMLSLTSLRCLSVSECPGLLSIPKGGLPPNLSVLDIWDCQNLKQPMSEWNLHSLAFLRELSIAGGPDAITFPDEKCLLPTSLVCMFISRLQNLQSLSMGLYNLTLLEDLEIVECPKLQRLPKEGLPETLGRLCIRDCQLLNQHCLKEKGAYWPVIAHIPRLEIENTDD >EOX96603 pep chromosome:Theobroma_cacao_20110822:1:38374163:38380446:-1 gene:TCM_005823 transcript:EOX96603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 1 MLMDSGEMGRGEVGVVSIVGMAGVGKTTLAQLVYHDERIETSFELRAWACVTEEFDILRVTKTVLHAVDSDIGNSQDLNLLQVRLKEKLIGRKFLIILDDLWNENYGDWDVLCKPFAAGAPGSKILVTTRHKRVAAVTAVTANNEAYHLKELSDDACLSLFTWHALRAGNFDGHPNLKVVGEQIVRKCKGLPLAAKTLGGLLRTKVTQEEWEDILMSKIWDLPEERSGILPALRLSYHHLPFYLKQCFAYCAIFPKDYEFDKDELVLLWMAEGFLQQLKGKTRMEDLGSQYFNELLSRSIFQQSTSNKARYVMHDLINDLAQSVSDEICSSLDDMDMVEGDKLCTVAEKVRHLSFTRRQYDIRKRFEVLYQMKNLRTLAALPIYTSPWSACCYLAGDVLQKMLRRLSCLRVLCLSCYCINELPNSIGHLKHLRYLNLSRSRIKQLPESVGSLLNLQTLILQGCKELTKLPQVFKNLVNLRVLDLTDTDSLQEMPFGIGNLKNLQILSKFIVGKGIGSAVSELRGLLHLRGELSISGLENVVDIQDASKANLKDKYGLTRLYLQWSQEFLNCRDEEAEMHVLDRLLPHKNLEKLRILFYGGTIFPSWLGEPSLTDLVDLELCNCRNSISLPSLGRLPSLKMLSIAGMARVQKVGLEFYGHISPSVKPFPSLEILRFKSMLEWRCWSSPSQVAEHSGEEFPCLRELVIEDCPKLCGKLPGRVFSLMKLVIKHCPNLEGSSMSFPSLCELNMEDCKEELLRSIVGITSLTTVRAKSMPELQFVQNDIAQFPGTLKFLVISNCIGLTSLWQKGAISLNISCLESLKIKGRSQFVSLAENDQGLSSNLEDLRLLDSCNVWNPPWMMHGLTSLKDLQIESCPNLVFFPELGFLHTLKHLKLKDCRALKSLPSGMMMLNCKINGCPLEELEIEDCHSLTCFPRGRLPTTLKCIRIRYCRDLMSLPEGLMLIDNSASNISLLEILEIVACPSLISFPEGRLPTSLKNLKIWNCSQLEPISDRMLHKNASLESIDVWNCKTLISLPENLHSVTHLTELKFSLCPALRYFPETGMHLPNLRTLEIYNCDNLKSLPNHMLSLTSLRCLSVSECPGLLSIPKGGLPPNLSVLDIWDCQNLKQPMSEWNLHSLAFLRELSIAGGPDAITFPDEKCLLPTSLVCMFISRLQNLQSLSMGLYNLTLLEDLEIVECPKLQRLPKEGLPETLGRLCIRDCQLLNQHCLKEKGAYWPVIAHIPRLEIENTDD >EOX96601 pep chromosome:Theobroma_cacao_20110822:1:38375809:38382994:-1 gene:TCM_005823 transcript:EOX96601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 1 MEVIVASVSDVMLSALVRSLLETMSSPDFLKFSREEQVWAEIKKWKNLLLKINALLQDAEEKQTTSGAVKLWLRDLQHVAFDAEDAVDELATESLRRKLLEQAQPSASTSKLWKVILPTCFSALNLNGIKFNAKMNSKIQEITSRLHDLAVLKNNLNLVEFSGGRREKVLHRLPTSSLVDEPHVYGRESDKDAIVDMLMDSGEMGRGEVGVVSIVGMAGVGKTTLAQLVYHDERIETSFELRAWACVTEEFDILRVTKTVLHAVDSDIGNSQDLNLLQVRLKEKLIGRKFLIILDDLWNENYGDWDVLCKPFAAGAPGSKILVTTRHKRVAAVTAVTANNEAYHLKELSDDACLSLFTWHALRAGNFDGHPNLKVVGEQIVRKCKGLPLAAKTLGGLLRTKVTQEEWEDILMSKIWDLPEERSGILPALRLSYHHLPFYLKQCFAYCAIFPKDYEFDKDELVLLWMAEGFLQQLKGKTRMEDLGSQYFNELLSRSIFQQSTSNKARYVMHDLINDLAQSVSDEICSSLDDMDMVEGDKLCTVAEKVRHLSFTRRQYDIRKRFEVLYQMKNLRTLAALPIYTSPWSACCYLAGDVLQKMLRRLSCLRVLCLSCYCINELPNSIGHLKHLRYLNLSRSRIKQLPESVGSLLNLQTLILQGCKELTKLPQVFKNLVNLRVLDLTDTDSLQEMPFGIGNLKNLQILSKFIVGKGIGSAVSELRGLLHLRGELSISGLENVVDIQDASKANLKDKYGLTRLYLQWSQEFLNCRDEEAEMHVLDRLLPHKNLEKLRILFYGGTIFPSWLGEPSLTDLVDLELCNCRNSISLPSLGRLPSLKMLSIAGMARVQKVGLEFYGHISPSVKPFPSLEILRFKSMLEWRCWSSPSQVAEHSGEEFPCLRELVIEDCPKLCGKLPGRVFSLMKLVIKHCPNLEGSSMSFPSLCELNMEDCKEELLRSIVGITSLTTVRAKSMPELQFVQNDIAQFPGTLKFLVISNCIGLTSLWQKGAISLNISCLESLKIKGRSQFVSLAENDQGLSSNLEDLRLLDSCNVWNPPWMMHGLTSLKDLQIESCPNLVFFPELGFLHTLKHLKLKDCRALKSLPSGMMMLNCKINGCPLEELEIEDCHSLTCFPRGRLPTTLKCIRIRYCRDLMSLPEGLMLIDNSASNISLLEILEIVACPSLISFPEGRLPTSLKNLKIWNCSQLEPISDRMLHKNASLESIDVWNCKTLISLPENLHSVTHLTELKFSLCPALRYFPETGMHLPNLRTLEIYNCDNLKSLPNHMLSLTSLRCLSVSECPGLLSIPKGGLPPNLSVLDIWDCQNLKQPMSEWNLHSLAFLRELSIAGGPDAITFPDEKCLLPTSLVCMFISRLQNLQSLSMGLYNLTLLEDLEIVECPKLQRLPKEGLPETLGRLCIRDCQLLNQHCLKEKGAYWPVIAHIPRLEIENTDD >EOX96602 pep chromosome:Theobroma_cacao_20110822:1:38376207:38385040:-1 gene:TCM_005823 transcript:EOX96602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 1 MEVIVASVSDVMLSALVRSLLETMSSPDFLKFSREEQVWAEIKKWKNLLLKINALLQDAEEKQTTSGAVKLWLRDLQHVAFDAEDAVDELATESLRRKLLEQAQPSASTSKLWKVILPTCFSALNLNGIKFNAKMNSKIQEITSRLHDLAVLKNNLNLVEFSGGRREKVLHRLPTSSLVDEPHVYGRESDKDAIVDMLMDSGEMGRGEVGVVSIVGMAGVGKTTLAQLVYHDERIETSFELRAWACVTEEFDILRVTKTVLHAVDSDIGNSQDLNLLQVRLKEKLIGRKFLIILDDLWNENYGDWDVLCKPFAAGAPGSKILVTTRHKRVAAVTAVTANNEAYHLKELSDDACLSLFTWHALRAGNFDGHPNLKVVGEQIVRKCKGLPLAAKTLGGLLRTKVTQEEWEDILMSKIWDLPEERSGILPALRLSYHHLPFYLKQCFAYCAIFPKDYEFDKDELVLLWMAEGFLQQLKGKTRMEDLGSQYFNELLSRSIFQQSTSNKARYVMHDLINDLAQSVSDEICSSLDDMDMVEGDKLCTVAEKVRHLSFTRRQYDIRKRFEVLYQMKNLRTLAALPIYTSPWSACCYLAGDVLQKMLRRLSCLRVLCLSCYCINELPNSIGHLKHLRYLNLSRSRIKQLPESVGSLLNLQTLILQGCKELTKLPQVFKNLVNLRVLDLTDTDSLQEMPFGIGNLKNLQILSKFIVGKGIGSAVSELRGLLHLRGELSISGLENVVDIQDASKANLKDKYGLTRLYLQWSQEFLNCRDEEAEMHVLDRLLPHKNLEKLRILFYGGTIFPSWLGEPSLTDLVDLELCNCRNSISLPSLGRLPSLKMLSIAGMARVQKVGLEFYGHISPSVKPFPSLEILRFKSMLEWRCWSSPSQVAEHSGEEFPCLRELVIEDCPKLCGKLPGRVFSLMKLVIKHCPNLEGSSMSFPSLCELNMEDCKEELLRSIVGITSLTTVRAKSMPELQFVQNDIAQFPGTLKFLVISNCIGLTSLWQKGAISLNISCLESLKIKGRSQFVSLAENDQGLSSNLEDLRLLDSCNVWNPPWMMHGLTSLKDLQIESCPNLVFFPELGFLHTLKHLKLKDCRALKSLPSGMMMLNCKINGCPLEELEIEDCHSLTCFPRGRLPTTLKCIRIRYCRDLMSLPEGLMLIDNSASNISLLEILEIVACPSLISFPEGRLPTSLKNLKIWNCSQLEPISDRMLHKNASLESIDVWNCKTLISLPENLHSVTHLTELKFSLCPALRYFPETGMHLPNLRTLEIYNCDNLKSLPNHMLSLTSLRCLSVSECPGLLSIPKGGLPPNLSVLDIWDCQNLKQPMSEWNLHSLAFLRELSIAGGPDAITFPDEKCLLPTSLVCMFISRLQNLQSLSMGLYNLTLLEDLEIVECPKLQRLPKEGLPETLGRLCIRDCQLLNQHCLKEKGAYWPVIAHIPRLEIENTDD >EOX94791 pep chromosome:Theobroma_cacao_20110822:1:31403147:31405704:1 gene:TCM_004401 transcript:EOX94791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDLAKTEVKASLRRKHVGRMRECKQGIRRMEKFMNSVSCLQTALQLMISEIPNIQEVILVLGTSPIRPQHVYQMYFSHSNAAPSVEADFIKGKTAEGLSKKAIRALISRGAGSSSYPGPTKLFLMVKAPTSFNLPLHFLPKRDFRYSKKIVPFRLRFRCRTQGLEIDASGHGSLSSRSTGLINSSSSDFIWFQCRHAIKGIAFKTPEEG >EOX94789 pep chromosome:Theobroma_cacao_20110822:1:31402929:31405921:1 gene:TCM_004401 transcript:EOX94789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MALTEGEGSSEMDLTEIETTAEFLDGSVIFHLVKDAIGFVLYMHQQIPSILQDISLEFESMHAEYKELEMDLAKTEVKASLRRKHVGRMRECKQGIRRMEKFMNSVSCLQTALQLMISEIPNIQEVILVLGTSPIRPQHVYQMYFSHSNAAPSVEADFIKGKTAEGLSKKAIRALISRGAGSSSYPGPTKLFLMVKAPTSFNLPLHFLPKRDFRYSKKIVPFRLRFRCRTQGLEIDASGHGSLSSRSTGLINSSSSDFIWFQCRHAIKGIAFKTPEEG >EOX94790 pep chromosome:Theobroma_cacao_20110822:1:31402929:31405921:1 gene:TCM_004401 transcript:EOX94790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MALTEGEGSSEMDLTEIETTAEFLDGSVIFHLVKDAIGFVLYMHQQIPSILQDISLEFESMHAEYKELEMDLAKTEVKASLRRKHVGRMRECKQGIRRMEKFMNSVSCLQTALQLMISEIPNIQEVILVLGTSPIRPQHVYQMYFSHSNAAPSVEADFIKGKTAEGLSKKAIRALISRGAGSSSYPGPTKLFLMVKAPTSFNLPLHFLPKRDFRYSKKIVPFRLRFRCRTQGLEIDASGHGSLSSRSTGFNVGMQLRA >EOX96362 pep chromosome:Theobroma_cacao_20110822:1:37509987:37513561:1 gene:TCM_005620 transcript:EOX96362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding-like protein 10, putative MENGGSMFMGNQGQTGNSSINLAWDMRGLSPTTATVFDWGRNNNNTTNGLNLYATSTATTAAAAATRAETTASSCNALPEISTAHPLMFLPHHDNATLAHHLNQQHALYTGDGSHMHPDPHLVCLKLGKRHYFEDSTALTERHVAAGFSIGKKGKPYYNNNNLGGGGGGGGGIGPSSSTAVLGPPATVPRCQVEGCHVALVNAKDYHRRHKVCEMHSKAPKVVVLGLEQRFCQQCSRFHVVSEFDDSKRSCRRRLAGHNERRRKSSHHDSASRNSAQDNKLMTGRFPYLSSPTGRALSLLSSRADSWIFSSDLSSRSSAALRELIAENRAAILARQLILDRDWHLHHHAMEDLGDAQPGSSSVAVQQHPSLPEPHGWDRFPVTGAQVTLDLMQASSSAFEMLSVRGKTKEEEEECSELWNSLQGTHVV >EOX92719 pep chromosome:Theobroma_cacao_20110822:1:8261067:8271281:-1 gene:TCM_001617 transcript:EOX92719 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Streptomyces cyclase/dehydrase (InterPro:IPR005031); BEST Arabidopsis thaliana protein match is: Polyketide cyclase / dehydrase and lipid transport protein (TAIR:AT4G01650.1); Has 30201 Blast hits to 17322 proteins in 780 /.../es: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08720) TAIR;Acc:AT5G08720] MINSSMCKASSLSHATLSLRAKSCSFPVNNPNRLSNKHCMVFSNVRNRAKTCLLTNAYVKRARDFGGKEEEKGEEAKAHGKEKVHCEVEVLSWRERRIKAEILVSADIDSVWNALTDYERLADFIPNLICSGRIPCPHPGRIWLEQRGLQRALYWHIEARVVLDLQEISNSSNGRELHFSMVDGDFKKFEGKWSVKSGTRSVTTILSYEVNVIPRFNFPAIFLERIIRSDLPVNLGALASQAESNYHGNQKMSIAKDMVRTSSPVPSSPGMDLDGALLEKDKLLPVDLRESYASSNLGPLLSSSSELNCNWGVFGKLCRINRPRMVDEVHLRRFDGLLENGGVHRCVVASITVKAPVREVWNVLTAYESLPEFVPNLAISKVLSRENNKVRILQEGCKGLLYMVLHARVVLDLHEQLEQEISFEQVEGDFDSFQGRWLLEQLGSHHTLLKYSVESKMHRDSLLSEAIMEEVIYEDLPSNLCSIRDYVEKREVETHESRQLSGKESSSSSTNNETGYSDTAEQVLDSTSPNSCGQRPRVPGLQRDIEVLKAELLKFISEHGQEGFMPMRKQLRLHGRVDIEKAITRMGGFRRIASLMNLSLAYKQRKPKGYWDNLENLQDEISRFQRSWGMDPSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLSLKVRHPSRQPQTTPKEKQIDNVASSDVESEGKTPSNSYVSQNPQKWLKRLQDLDIDWVD >EOX92720 pep chromosome:Theobroma_cacao_20110822:1:8261110:8271187:-1 gene:TCM_001617 transcript:EOX92720 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Streptomyces cyclase/dehydrase (InterPro:IPR005031); BEST Arabidopsis thaliana protein match is: Polyketide cyclase / dehydrase and lipid transport protein (TAIR:AT4G01650.1); Has 30201 Blast hits to 17322 proteins in 780 /.../es: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08720) TAIR;Acc:AT5G08720] MINSSMCKASSLSHATLSLRAKSCSFPVNNPNRLSNKHCMVFSNVRNRAKTCLLTNAYVKRARDFGGKEEEKGEEAKAHGKEKVHCEVEVLSWRERRIKAEILVSADIDSVWNALTDYERLADFIPNLICSGRIPCPHPGRIWLEQRGLQRALYWHIEARVVLDLQEISNSSNGRELHFSMVDGDFKKFEGKWSVKSGTRSVTTILSYEVNVIPRFNFPAIFLERIIRSDLPVNLGALASQAESNYHGNQKMSIAKDMVRTSSPVPSSPGMDLDGALLEKDKLLPVDLRESYASSNLGPLLSSSSELNCNWGVFGKLCRINRPRMVDEVHLRRFDGLLENGGVHRCVVASITVKAPVREVWNVLTAYESLPEFVPNLAISKVLSRENNKVRILQEGCKGLLYMVLHARVVLDLHEQLEQEISFEQVEGDFDSFQGRWLLEQLGSHHTLLKYSVESKMHRDSLLSEAIMEEVIYEDLPSNLCSIRDYVEKREVETHESRQLSGKESSSSSTNNETGYSDTAEQVLDSTSPNSCGQRPRVPGLQRDIEVLKAELLKFISEHGQEGFMPMRKQLRLHGRVDIEKAITRMGGFRRIASLMNLSLAYKQRKPKGYWDNLENLQDEISRFQRSWGMDPSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLSLKVRHPSRQPQTTPKEKQIDNVASSDVESEGKTPSNSYVSQNPQKWLKRLQDLDIDWVD >EOX92721 pep chromosome:Theobroma_cacao_20110822:1:8261693:8271303:-1 gene:TCM_001617 transcript:EOX92721 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Streptomyces cyclase/dehydrase (InterPro:IPR005031); BEST Arabidopsis thaliana protein match is: Polyketide cyclase / dehydrase and lipid transport protein (TAIR:AT4G01650.1); Has 30201 Blast hits to 17322 proteins in 780 /.../es: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08720) TAIR;Acc:AT5G08720] MINSSMCKASSLSHATLSLRAKSCSFPVNNPNRLSNKHCMVFSNVRNRAKTCLLTNAYVKRARDFGGKEEEKGEEAKAHGKEKVHCEVEVLSWRERRIKAEILVSADIDSVWNALTDYERLADFIPNLICSGRIPCPHPGRIWLEQRGLQRALYWHIEARVVLDLQEISNSSNGRELHFSMVDGDFKKFEGKWSVKSGTRSVTTILSYEVNVIPRFNFPAIFLERIIRSDLPVNLGALASQAESNYHGNQKMSIAKDMVRTSSPVPSSPGMDLDGALLEKDKLLPVDLRESYASSNLGPLLSSSSELNCNWGVFGKLCRINRPRMVDEVHLRRFDGLLENGGVHRCVVASITVKAPVREVWNVLTAYESLPEFVPNLAISKVLSRENNKVRILQEGCKGLLYMVLHARVVLDLHEQLEQEISFEQVEGDFDSFQGRWLLEQLGSHHTLLKYSVESKMHRDSLLSEAIMEEVIYEDLPSNLCSIRDYVEKREVETHESRQLSGKESSSSSTNNETGYSDTAEQVLDSTSPNSCGQRPRVPGLQRDIEVLKAELLKFISEHGQEGFMPMRKQLRLHGRVDIEKAITRMGGFRRIASLMNLSLAYKQRKPKGYWDNLENLQDECYLSD >EOX92718 pep chromosome:Theobroma_cacao_20110822:1:8261090:8271577:-1 gene:TCM_001617 transcript:EOX92718 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Streptomyces cyclase/dehydrase (InterPro:IPR005031); BEST Arabidopsis thaliana protein match is: Polyketide cyclase / dehydrase and lipid transport protein (TAIR:AT4G01650.1); Has 30201 Blast hits to 17322 proteins in 780 /.../es: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08720) TAIR;Acc:AT5G08720] MINSSMCKASSLSHATLSLRAKSCSFPVNNPNRLSNKHCMVFSNVRNRAKTCLLTNAYVKRARDFGGKEEEKGEEAKAHGKEKVHCEVEVLSWRERRIKAEILVSADIDSVWNALTDYERLADFIPNLICSGRIPCPHPGRIWLEQRGLQRALYWHIEARVVLDLQEISNSSNGRELHFSMVDGDFKKFEGKWSVKSGTRSVTTILSYEVNVIPRFNFPAIFLERIIRSDLPVNLGALASQAESNYHGNQKMSIAKDMVRTSSPVPSSPGMDLDGALLEKDKLLPVDLRESYASSNLGPLLSSSSELNCNWGVFGKLCRINRPRMVDEVHLRRFDGLLENGGVHRCVVASITVKAPVREVWNVLTAYESLPEFVPNLAISKVLSRENNKVRILQEGCKGLLYMVLHARVVLDLHEQLEQEISFEQVEGDFDSFQGRWLLEQLGSHHTLLKYSVESKMHRDSLLSEAIMEEVIYEDLPSNLCSIRDYVEKREVETHESRQLSGKESSSSSTNNETGYSDTAEQVLDSTSPNSCGQRPRVPGLQRDIEVLKAELLKFISEHGQEGFMPMRKQLRLHGRVDIEKAITRMGGFRRIASLMNLSLAYKQRKPKGYWDNLENLQDEISRFQRSWGMDPSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLSLKVRHPSRQPQTTPKEKQIDNVASSDVESEGKTPSNSYVSQNPQKWLKRLQDLDIDWVD >EOX91359 pep chromosome:Theobroma_cacao_20110822:1:2426205:2437757:-1 gene:TCM_000577 transcript:EOX91359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MYQRAKSFLFFLVLSCTLFCLTTCEPCSVNGVPKMEEYDGCEYYGDNHHTGFQETIIGDSNSGYDTGTSMTGLTVESICTDSHSFCFPSTLPGFSTEETKLEVGSLEVSRSQSDSASSYIEPSNLRGQANNKSWFSNHGMFKLLNGRMVSCSLSSRDGIHEFSSTFTDDANQNDISCRGSLQYQESANVRMKNNREVTKSGSFDVSSFPNVDVSPPVLDWGQKYLFLPSVAYLTVANTCNESDLHVYEPFSTNMQFYPCNFSELLLGPGEVATICFVFLPRWVGLSSAHLILQTSSGGFLVQARGFAVESPYEIQPLVSLDIPPSGQLSKNLSLFNPFDETVYLEEITAWISVSLGNTTHHSEAVCSKENFQGYNGHSLLSAEDWLVMNSGKFGFPLMAMRPHRNWEINPQSSETIIEIDLSFEAKGKIFGAFCMKLGRSSQDKSDTVMVPLEVDLDKIASYEDHSSTLSVSLEALVPYDGSETVFIAISVENAAPDVLNFVKISEVADTKIFHIKYMEGLLLFPGVVTQVAVIPCNKFPVEIQNSASEASDTIRSCKLLIMTNDSISPQIEVPCEDIIHICKEHQKGLSMGFEHQSEKVNFGNSRTGSLGDGMRLASWAKVLEIAEADELVLGNWKSQGTTNGMSVLDDHEVLFPMVQVGSHCSKWITVKNPSKQPVIMQLILNSGEIVDECRSQDVFMQPPPGSLSHNLSAIPMRYGFSIGESARTEAYVQPYGTASFGPILFHPSNRCGWRSSALIRNNLSGVEWLSLRGFGGSISLVLFEGSEPIRSVEFNLNLPTSLNISPPQMFFHIEETTYACSQPFLKELYARNTGDLPLEVRSIEVSGTECVLDGFMVHTCKGFSLEPGESTKLLISYQPDFTAVMVHRELELALATDILVIPMKATLPVHMLNLCKKSVFWMRLKKLSIAVLLSVSLLFLIFCFIFHQAMVLGFQDYLYKSEKNPITTIRTGGKSSRVNRSQRNSRFSTSAEMDGMLSSVGDVKSLKEGSNGRCLNGQVRTKEQGLTDPNAKLTPENDREINSFLDPQGNSSLPSLPSKSAVAENPDTKEAPQAGTLTIRTGKEKGRRRRKRKGRFTGLIEVSSSQSGNSTPSSPLSPITSVTSNRTWSFSLELDQSVEARNPFTQLADQTCEKVQVPEPISKANVLGPKVSVEHGSNNWYSSTQVQSTVSKPVLLPSATFPSAGRATPSLLSSSPPLASTSVMAPHARAPGSKLCDQKTIKAVGKARLGDEYTYDIWGDHFSGLHLMDSSKDVVAMNSSTAENDSDSFFVRGPQTLMKKSQPRFNLSTGSPHAVCNITTKGR >EOX91360 pep chromosome:Theobroma_cacao_20110822:1:2428948:2437618:-1 gene:TCM_000577 transcript:EOX91360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 RKQLVTFNSLRGMYQRAKSFLFFLVLSCTLFCLTTCEPCSVNGVPKMEEYDGCEYYGDNHHTGFQETIIGDSNSGYDTGTSMTGLTVESICTDSHSFCFPSTLPGFSTEETKLEVGSLEVSRSQSDSASSYIEPSNLRGQANNKSWFSNHGMFKLLNGRMVSCSLSSRDGIHEFSSTFTDDANQNDISCRGSLQYQESANVRMKNNREVTKSGSFDVSSFPNVDVSPPVLDWGQKYLFLPSVAYLTVANTCNESDLHVYEPFSTNMQFYPCNFSELLLGPGEVATICFVFLPRWVGLSSAHLILQTSSGGFLVQARGFAVESPYEIQPLVSLDIPPSGQLSKNLSLFNPFDETVYLEEITAWISVSLGNTTHHSEAVCSKENFQGYNGHSLLSAEDWLVMNSGKFGFPLMAMRPHRNWEINPQSSETIIEIDLSFEAKGKIFGAFCMKLGRSSQDKSDTVMVPLEVDLDKIASYEDHSSTLSVSLEALVPYDGSETVFIAISVENAAPDVLNFVKISEVADTKIFHIKYMEGLLLFPGVVTQVAVIPCNKFPVEIQNSASEASDTIRSCKLLIMTNDSISPQIEVPCEDIIHICKEHQKGLSMGFEHQSEKVNFGNSRTGSLGDGMRLASWAKVLEIAEADELVLGNWKSQGTTNGMSVLDDHEVLFPMVQVGSHCSKWITVKNPSKQPVIMQLILNSGEIVDECRSQDVFMQPPPGSLSHNLSAIPMRYGFSIGESARTEAYVQPYGTASFGPILFHPSNRCGWRSSALIRNNLSGVEWLSLRGFGGSISLVLFEGSEPIRSVEFNLNLPTSLNISPPQMFFHIEETTYACSQPFLKELYARNTGDLPLEVRSIEVSGTECVLDGFMVHTCKGFSLEPGESTKLLISYQPDFTAVMVHRELELALATDILVIPMKATLPVHMLNLCKKSVFWMRLKKLSIAVLLSVSLLFLIFCFIFHQAMVLGFQDYLYKSEKNPITTIRTGGKSSRVNRSQRNSRFSTSAEMDGMLSSVGDVKSLKEGSNGRCLNGQVRTKEQGLTDPNAKLTPENDREINSFLDPQGNSSLPSLPSKSAVAENPDTKEAPQAGTLTIRTGKEKGRRRRKRKGRFTGLIEVSSSQSGNSTPSSPLSPITSVTSNRTWSFSLELDQSVEARNPFTQLADQTCEKVQVPEPISKANVLGPKVSVEHGSNNWYSSTQVQSTVSKPVLLPSATFPSAGRATPSLLSSSPPLASTSVMAPHARAPGSKLCDQKTIKAVGKARLGDEYTYDIWGDHFSGLHLMDSSKDVVAMNSSTAENDSDSFFVRGPQTLMKKSQPRFGHPMLFATSL >EOX93422 pep chromosome:Theobroma_cacao_20110822:1:12956718:12960310:1 gene:TCM_002298 transcript:EOX93422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mo25 family protein MKGLFKSKPPTPVELVQQTRELLAYALSNTETRERKRAEKIAELDKLILDIRTILYGDGQVEPSSDACAQLTKEFFQQDTFRLLIHCLPTLNSGARQNATHVIANLQRQRVNSKLIASEYLENNLDIMDILIPGYEDSDIAWTYGSISRECIRHQSVAKYVLESKHMKKFFDYLQNPNFDIASDVQATFKVQNTHELLHTNTFLQELLIRHKSTVAGFLSANYDWFFQEYNSQLLQSESYITRRHAIKLLGDMLLDRSNASVMVRYVSSLDNMRIMMNLLRDSKKTIKLDTFHVFKLFVANQNKPPEIISILVTNRSKLLRFFSEFNIDKEDEQFEADKSQIIKEIANLQSTDRSCQDLDNCDVPC >EOX94896 pep chromosome:Theobroma_cacao_20110822:1:31930215:31932967:1 gene:TCM_004500 transcript:EOX94896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma histone variant H2AX MSSAAIPKGGRGKPKAKAVSKSSKAGLQFPVGRVARFLKKGRYAERVGSGSPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGGVTIANGGVLPNIHQNLLPKKAGKGKGEAFLRSQQEIRKLM >EOX92906 pep chromosome:Theobroma_cacao_20110822:1:9330257:9332610:1 gene:TCM_001763 transcript:EOX92906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein MPLPLKVLFFLNLVLVSSFNFIASTASSISATAPVGVTEVEALLVWKASLDKESQSTLSSWVGTRPCTWIGIICGSFGSITHLNLSSSDLKGKLEGLNFSLFPNLTHVDLSINSLYGSIPSIGNLINLSVLYLYNNKLSGSIPQEIGLLRSLSSLALSNNNFTGPIPASIGNLTNLSVLNLYSNKLSGSIPQEIGLLRSLSSLTLSDNNFTGPIPASIGNLTNLSVLNLYYNKLSSSIPQTIGLLRSLSSLALSDNNFTGPIPASIGNLTNLSILYLYNNKLSGGHRHVYKVVMSSGPVVVVKKLHLSKDGVLTNVNAFQSEIVALTNIRYRNIVKLYDFHPHAKHSFLAYEFIEKGSLRV >EOX91327 pep chromosome:Theobroma_cacao_20110822:1:2329562:2332735:1 gene:TCM_000559 transcript:EOX91327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane, autoregulation binding site, Misato Segment II, myosin-like, Tubulin/FtsZ, N-terminal, putative isoform 2 MSSRGTLYNEGSNAPSEVVTWEGNVSTHASEPQKKNLFLQSLYQEEQDVPLVNGINGGKKDLQNEIQDTDIVKCLDRGVQFWTDFSKVHYHPQSLYEVNGLWMDAQEFDNYGIGRDVFTENLQGEEVCERLRFFVEECDHIQGFKFIADDSGGFSPIAADFLENIADEYSNTPVLLYAVRGPGSHMNLRSRKQTVVRDLHDAVSFSRLSSFCKMIVPVGLPFLSMSKASTYLNIEDENPYHCSAVYAAALHSASLPFRMEAPGPSADSSDTVGALDVNGIVQMLAGQSRQNMVAILDIAMPAPGMTGRQDEKSLLGNLHPLTPEVAEDMDDLQAVESMTVHGAIGSEDHRASVSEVKNAINAEYEHAIIRPMFCHLSVARCPLPIPLPFPSIFGNLVGQHGELLGSPILGSSSRGSLDVHSIPMAARLRSSCAILPFLENRLANLRKFGIERGSLGTELLRSWGFGRDEVEDIGETLSKMVKAVNPHSEISSDSD >EOX91325 pep chromosome:Theobroma_cacao_20110822:1:2328958:2333201:1 gene:TCM_000559 transcript:EOX91325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane, autoregulation binding site, Misato Segment II, myosin-like, Tubulin/FtsZ, N-terminal, putative isoform 2 MSSRGTLYNEGSNAPSEVVTWEGNVSTHASEPQKKNLFLQSLYQEEQDVPLVNGINGGKKDLQNEIQDTDIVKCLDRGVQFWTDFSKVHYHPQSLYEVNGLWMDAQEFDNYGIGRDVFTENLQGEEVCERLRFFVEECDHIQGFKFIADDSGGFSPIAADFLENIADEYSNTPVLLYAVRGPGSHMNLRSRKQTVVRDLHDAVSFSRLSSFCKMIVPVGLPFLSMSKASTYLNIEDENPYHCSAVYAAALHSASLPFRMEAPGPSADSSDTVGALDVNGIVQMLAGQSRQNMVAILDIAMPAPGMTGRQDEKSLLGNLHPLTPEVAEDMDDLQAVESMTVHGAIGSEDHRASVSEVKNAINAEYEHAIIRPMFCHLSVARCPLPIPLPFPSIFGNLVGQHGELLGSPILGSSSRGSLDVHSIPMAARLRSSCAILPFLENRLANLRKFGIERGSLGTELLRSWGFGRDEVEDIGETLSKMVKAVNPHSEISSDSD >EOX91320 pep chromosome:Theobroma_cacao_20110822:1:2327343:2333697:1 gene:TCM_000559 transcript:EOX91320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane, autoregulation binding site, Misato Segment II, myosin-like, Tubulin/FtsZ, N-terminal, putative isoform 2 MKISELMLFMMWALLVVVRLYSSMEAVCEVQVLSLEDRAPWLSLKKESIKLKKIILQNTCTILNTPPKISLVSKRTAFPFVTFAFLTNSTASATESTKRFPTSFRSPLPQNPSSPWTTMREIVTIQVGSFANFIGSHFWNFQDEMLGLAADPYGDPLFKTDGLNMDVLYRTGETQQGTLTYTPRLLSIDFQGSLGPMSSRGTLYNEGSNAPSEVVTWEGNVSTHASEPQKKNLFLQSLYQEEQDVPLVNGINGGKKDLQNEIQDTDIVKCLDRGVQFWTDFSKVHYHPQSLYEVNGLWMDAQEFDNYGIGRDVFTENLQGEEVCERLRFFVEECDHIQGFKFIADDSGGFSPIAADFLENIADEYSNTPVLLYAVRGPGSHMNLRSRKQTVVRDLHDAVSFSRLSSFCKMIVPVGLPFLSMSKASTYLNIEDENPYHCSAVYAAALHSASLPFRMEAPGPSADSSDTVGALDVNGIVQMLAGQSRQNMVAILDIAMPAPGMTGRQDEKSLLGNLHPLTPEVAEDMDDLQAVESMTVHGAIGSEDHRASVSEVKNAINAEYEHAIIRPMFCHLSVARCPLPIPLPFPSIFGNLVGQHGELLGSPILGSSSRGSLDVHSIPMAARLRSSCAILPFLENRLANLRKFGIERGSLGTELLRSWGFGRDEIKFQADGKSGAMEDGDGSVACRAN >EOX91322 pep chromosome:Theobroma_cacao_20110822:1:2328454:2333181:1 gene:TCM_000559 transcript:EOX91322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane, autoregulation binding site, Misato Segment II, myosin-like, Tubulin/FtsZ, N-terminal, putative isoform 2 MREIVTIQVGSFANFIGSHFWNFQDEMLGLAADPYGDPLFKTDGLNMDVLYRTGETQQGTLTYTPRLLSIDFQGSLGPMSSRGTLYNEGSNAPSEVVTWEGNVSTHASEPQKKNLFLQSLYQEEQDVPLVNGINGGKKDLQNEIQDTDIVKCLDRGVQFWTDFSKVHYHPQSLYEVNGLWMDAQEFDNYGIGRDVFTENLQGEEVCERLRFFVEECDHIQGFKFIADDSGGFSPIAADFLENIADEYSNTPVLLYAVRGPGSHMNLRSRKQTVVRDLHDAVSFSRLSSFCKMIVPVGLPFLSMSKASTYLNIEDENPYHCSAVYAAALHSASLPFRMEAPGPSADSSDTVGALDVNGIVQMLAGQSRQNMVAILDIAMPAPGMTGRQDEKSLLGNLHPLTPEVAEDMDDLQAVESMTVHGAIGSDHRASVSEVKNAINAEYEHAIIRPMFCHLSVARCPLPIPLPFPSIFGNLVGQHGELLGSPILGSSSRGSLDVHSIPMAARLRSSCAILPFLENRLANLRKFGIERGSLGTELLRSWGFGRDEVEDIGETLSKMVKAVNPHSEISSDSD >EOX91326 pep chromosome:Theobroma_cacao_20110822:1:2328954:2333201:1 gene:TCM_000559 transcript:EOX91326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane, autoregulation binding site, Misato Segment II, myosin-like, Tubulin/FtsZ, N-terminal, putative isoform 2 MSSRGTLYNEGSNAPSEVVTWEGNVSTHASEPQKKNLFLQSLYQEEQDVPLVNGINGGKKDLQNEIQDTDIVKCLDRGVQFWTDFSKVHYHPQSLYEVNGLWMDAQEFDNYGIGRDVFTENLQGEEVCERLRFFVEECDHIQGFKFIADDSGGFSPIAADFLENIADEYSNTPVLLYAVRGPGSHMNLRSRKQTVVRDLHDAVSFSRLSSFCKMIVPVGLPFLSMSKASTYLNIEDENPYHCSAVYAAALHSASLPFRMEAPGPSADSSDTVGALDVNGIVQMLAGQSRQNMVAILDIAMPAPGMTGRQDEKSLLGNLHPLTPEVAEDMDDLQAVESMTVHGAIGSEDHRASVSEVKNAINAEYEHAIIRPMFCHLSVARCPLPIPLPFPSIFGNLVGQHGELLGSPILGSSSRGSLDVHSIPMAARLRSSCAILPFLENRLANLRKFGIERGSLGTELLRSWGFGRDEVEDIGETLSKMVKAVNPHSEISSDSD >EOX91323 pep chromosome:Theobroma_cacao_20110822:1:2328916:2333201:1 gene:TCM_000559 transcript:EOX91323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane, autoregulation binding site, Misato Segment II, myosin-like, Tubulin/FtsZ, N-terminal, putative isoform 2 MDVLYRTGETQQGTLTYTPRLLSIDFQGSLGPMSSRGTLYNEGSNAPSEVVTWEGNVSTHASEPQKKNLFLQSLYQEEQDVPLVNGINGGKKDLQNEIQDTDIVKCLDRGVQFWTDFSKVHYHPQSLYEVNGLWMDAQEFDNYGIGRDVFTENLQGEEVCERLRFFVEECDHIQGFKFIADDSGGFSPIAADFLENIADEYSNTPVLLYAVRGPGSHMNLRSRKQTVVRDLHDAVSFSRLSSFCKMIVPVGLPFLSMSKASTYLNIEDENPYHCSAVYAAALHSASLPFRMEAPGPSADSSDTVGALDVNGIVQMLAGQSRQNMVAILDIAMPAPGMTGRQDEKSLLGNLHPLTPEVAEDMDDLQAVESMTVHGAIGSEDHRASVSEVKNAINAEYEHAIIRPMFCHLSVARCPLPIPLPFPSIFGNLVGQHGELLGSPILGSSSRGSLDVHSIPMAARLRSSCAILPFLENRLANLRKFGIERGSLGTELLRSWGFGRDEVEDIGETLSKMVKAVNPHSEISSDSD >EOX91324 pep chromosome:Theobroma_cacao_20110822:1:2328454:2333181:1 gene:TCM_000559 transcript:EOX91324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane, autoregulation binding site, Misato Segment II, myosin-like, Tubulin/FtsZ, N-terminal, putative isoform 2 MREIVTIQVGSFANFIGSHFWNFQDEMLGLAADPYGDPLFKTDGLNMDVLYRTGETQQGTLTYTPRLLSIDFQGSLGPMSSRGTLYNEGSNAPSEVVTWEGNVSTHASEPQKKNLFLQSLYQEEQDVPLVNGINGGKKDLQNEIQDTDIVKCLDRGVQFWTDFSKVHYHPQSLYEVNGLWMDAQEFDNYGIGRDVFTENLQGEEVCERLRFFVEECDHIQQGFKFIADDSGGFSPIAADFLENIADEYSNTPVLLYAVRGPGSHMNLRSRKQTVVRDLHDAVSFSRLSSFCKMIVPVGLPFLSMSKASTYLNIEDENPYHCSAVYAAALHSASLPFRMEAPGPSADSSDTVGALDVNGIVQMLAGQSRQNMVAILDIAMPAPGMTGRQDEKSLLGNLHPLTPEVAEDMDDLQAVESMTVHGAIGSDHRASVSEVKNAINAEYEHAIIRPMFCHLSVARCPLPIPLPFPSIFGNLVGQHGELLGSPILGSSSRGSLDVHSIPMAARLRSSCAILPFLENRLANLRKFGIERGSLGTELLRSWGFGRDEVEDIGETLSKMVKAVNPHSEISSDSD >EOX91321 pep chromosome:Theobroma_cacao_20110822:1:2327343:2333697:1 gene:TCM_000559 transcript:EOX91321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane, autoregulation binding site, Misato Segment II, myosin-like, Tubulin/FtsZ, N-terminal, putative isoform 2 MKISELMLFMMWALLVVVRLYSSMEAVCEVQVLSLEDRAPWLSLKKESIKLKKIILQNTCTILNTPPKISLVSKRTAFPFVTFAFLTNSTASATESTKRFPTSFRSPLPQNPSSPWTTMREIVTIQVGSFANFIGSHFWNFQDEMLGLAADPYGDPLFKTDGLNMDVLYRTGETQQGTLTYTPRLLSIDFQGSLGPMSSRGTLYNEGSNAPSEVVTWEGNVSTHASEPQKKNLFLQSLYQEEQDVPLVNGINGGKKDLQNEIQDTDIVKCLDRGVQFWTDFSKVHYHPQSLYEVNGLWMDAQEFDNYGIGRDVFTENLQGEEVCERLRFFVEECDHIQGFKFIADDSGGFSPIAADFLENIADEYSNTPVLLYAVRGPGSHMNLRSRKQTVVRDLHDAVSFSRLSSFCKMIVPVGLPFLSMSKASTYLNIEDENPYHCSAVYAAALHSASLPFRMEAPGPSADSSDTVGALDVNGIVQMLAGQSRQNMVAILDIAMPAPGMTGRQDEKSLLGNLHPLTPEVAEDMDDLQAVESMTVHGAIGSEDHRASVSEVKNAINAEYEHAIIRPMFCHLSVARCPLPIPLPFPSIFGNLVGQHGELLGSPILGSSSRGSLDVHSIPMAARLRSSCAILPFLENRLANLRKFGIERGSLGTELLRSWGFGRDEVEDIGETLSKMVKAVNPHSEISSDSD >EOX95241 pep chromosome:Theobroma_cacao_20110822:1:33484304:33487489:-1 gene:TCM_004790 transcript:EOX95241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretion-associated RAS super family 2 isoform 2 MFLLDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDEALANVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLADSNVRPLEVFMCSIVRKMGYGDGFKWMSQYIK >EOX95240 pep chromosome:Theobroma_cacao_20110822:1:33484471:33487709:-1 gene:TCM_004790 transcript:EOX95240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretion-associated RAS super family 2 isoform 2 MFLLDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKFHLVALVETWVLYALPWGAEQGGRELPAGMLRLYQEVFVYSLPSAPINAFKQCRGCNDVVFLLHWIGFLLFHVDAVVYLVDAYDKERFAESKKELDALLSDEALANVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLADSNVRPLEVFMCSIVRKMGYGDGFKWMSQYIK >EOX96724 pep chromosome:Theobroma_cacao_20110822:1:38762457:38777314:1 gene:TCM_005914 transcript:EOX96724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pol polyprotein, putative MPPRRQNRQRDDHEIEIAELRQQIQELQEQLARRDAQINNSNSSDEENDTNPFHQNLSSDEEVPIRRLRTAATRDLGIKVDIPEFEGRLHPDDFLDWLYTIERVFELKDIPDEKRVKLVGIKLKKYASIWWENLKRQREREGRNKIRTWDKMRRELKRKFLPEHYRQEIFIKFHNLRQKTMTVEEYTMEFEQLHMKCDVHEPEEQTVARYLGGLNVGIADVVQLQPYWNLNDVIRLALKVEKQQLRKSSMSSSRQKDSTSNRGRQSSATIPPPKVNSSKTINHKETTSTRAPNVNKKCFKCQGFGHIASDCPNRRIISLIEEEVMEEPSLEEVDDELEIFNNEEIEEVSADHGEALVVRRNLNTAMLTEDESWLRHNIFHTRCTSQGKVCNVIIDSGSCENVIANYMVKKLKLQTEVHPHPYKLQWLRKGNEVKVTKRCCVQFSIGNKYEDEVWCDVIPMDACHLLLGRPWQYDRRAHHDGYKNTYSFIKDGAKIMLTPLKPEDCPKKQEKDKALITMSGLNKAFRKSSLLYLLLVCEENEVSSPLSKDVKPIIEEFCDVVPEEIPHGLPPMRDIQHAIDFIPGSIIPNKPAYRMSPQEHKELQHQVKQLLEKGLVRESVSPCAVPALLVPKKDGTWRMCIDSRAVNKITIKYRFPIPRLDDLLDQLHGYVVSNQGIEVDQSKIDAIVNWPVPKSLHDVRSFHGLTSFYRRFIKNFSSVAAPLTECLKQDSFIWSTKAQHSFEELKEKITKAPVLALPNFDLVFEVDCDASNIAGLSIFAPKEMADCCTQRIIC >EOX90821 pep chromosome:Theobroma_cacao_20110822:1:719385:724116:-1 gene:TCM_000186 transcript:EOX90821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein MAALEKIKDELMLFGVLSLLMGHWITFFAKICVKSSTLNSRFYPCAKVDDRLMVQHMLVPSSKYLNNTISRKQQTARRYDYCPEGQDSFASYESLEQLHNFIFVLGVTHVSYSFVAIALAMIKIYSWRTWENQAKTMAIQYVQDSSQAVPDNLKMRRLTTFICHNVSHPWSQHRVLVWLLCFSRQFWISINRADYMALRLGFITTHQLPLTYDFHNYMVRSMEEEFRDIVGISVPLWIYAILCVFLDFHGTHIYFWLSFLPAILILLIGTKLHRVVVKLAVETMEHSTWAGFQQFNLRDELFWFGRPRFLLWLIQLISFQNSFEMASFIWSLWEINDSSCFMENQGYLVTRLAFGIISQCWCSFITFPLYVIVTQMGSRFKKTVVSENVRVSLSKWKKRVKEKHGSSASLLKESHSTSSLNSAGTDIHKAYSFASSSTEQGTSTHKEAYFLQQEAFGIASESSTHESSSPRGKKQKVQI >EOX93870 pep chromosome:Theobroma_cacao_20110822:1:16945397:16949227:-1 gene:TCM_002854 transcript:EOX93870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MQVLNLWREFEVLRTKEEESIKDYNDKVMKMVNQLRLLGEELNEMRIVNKILVSLPEKFESRISSLEDSKDLSTLTMTELCQRSQAQEHRRAMRNDDKDESALLIKHKGGANSSTKKEMEKRKPNGRYPPTCNQLGHVEKVYKTQANNQDEKTAVVEHKEETEEVLFMAMISKISKKDEIWLGHVNHDSLKHMASSNLVEGLPSMNKTEQLCDTCKYGKQTGKAFPNQSTWRAADKLELVHSDVRGPSEQSFNNRKVLLAEMRILKKNKRWNWTSKEIELSDDLRIIDDNETALAESINEDVDDLLKGNANWTRDDKKNNTWQLVQRPTTQKVIRVKWVFRTKVNPNGTVNKLKAQLVVKGFAQECGVDYTDTFAPVARHDTTRLLVAPAAKEGWQLWHLDVKSAFLNGILEEDVYIEQPQGFTKPRKEGMVSKFNKALYSLKQAPRAWYGQIDVYLCKKGFILNDHEPTLYIRDSGSQQQIVVFSYVDDILLTSPDISLIARFKQEIIKKFIKQEIEMTNLGLVTYFLGLEIIQSDDWIFIHQGKYASNLWKKFRMTSRPEIMFSASLMSRFMQSPTMNHLSAAKKILRYVKRSIVYGIKFSKTSSSELRGYADSDWAGNIDNSKSTFGFVFSFESGVFSWHSKKQEVAALSSAEAEYISVSSAANQAIWLRKILLDLGKPQQNPTVLWIDNKSAIALAKNPIQHARTKHIRVKYHSIREAVKNLDIEVHYCCSNEQYADIMTKSLSNNQFMCMRSNFGVSKLNLKEVC >EOX90882 pep chromosome:Theobroma_cacao_20110822:1:911194:912827:1 gene:TCM_000226 transcript:EOX90882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 family MGRVIRAQRKGAGSVFKAHTHHRKGPARFRSLDFGERNGYLKGVVTEVIHDPGRGAPLARVVFRHPFRYKKQKELFVAAEGMYTGQFVYCGKKATLMVGNVLPLRSIPEGAVVCNVEHHVGDRGVFARCSGDYAIVISHNPDNDTTRIKLPSGSKKIVPSGCRAMIGQVAGGGRTEKPLLKAGNAYHKFKVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKA >EOX92290 pep chromosome:Theobroma_cacao_20110822:1:6087726:6091256:1 gene:TCM_001255 transcript:EOX92290 gene_biotype:protein_coding transcript_biotype:protein_coding description:General control non-repressible 5 isoform 1 RSTFFTSLRPSFTPNSSSLISPKTLKFRPTKITAQVSTLSVETSVKDPQNDIESLFSTNTVEEVDRKRANKRSNTGSSGISSGVKLENISKSYKGVTVLKNVSWEVKKGEKVGLVGVNGAGKTTQMRIITGLEEPDSGNVIKAKPNMKVAFLNQEFEVSMSRTVREEFMSAFKEEMEIADRLERVQKAIEGATEDLELMGRLLDEFDLLQRRAQAVDLDEVDAKVSKLMPELGFSPEDSDRLVASFSSGWQMRMSLGKILLQEPDLLLLDEPTNHLDLDTIEWLEGYLDKQEVPMVIISHDRAFLDQLCTKIVETDMGVSRTFEGNYSQYVEAKAAWVENQCAAWEKQQKEIEQTKDLINRLGAGANSGRASSAEKKLERLQEEGQLEKPFQRKQMKIRFPERGRSGRSVITVKNLEFGYEDDLLFNRANLTIERGEKIAIIGPNGCGKSTLLKLIMGLEKPRGGEVVLGEHNVLPNYFEQNQVPF >EOX92288 pep chromosome:Theobroma_cacao_20110822:1:6081623:6094177:1 gene:TCM_001255 transcript:EOX92288 gene_biotype:protein_coding transcript_biotype:protein_coding description:General control non-repressible 5 isoform 1 MGLSTKLHRIDLRSTFFTSLRPSFTPNSSSLISPKTLKFRPTKITAQVSTLSVETSVKDPQNDIESLFSTNTVEEVDRKRANKRSNTGSSGISSGVKLENISKSYKGVTVLKNVSWEVKKGEKVGLVGVNGAGKTTQMRIITGLEEPDSGNVIKAKPNMKVAFLNQEFEVSMSRTVREEFMSAFKEEMEIADRLERVQKAIEGATEDLELMGRLLDEFDLLQRRAQAVDLDEVDAKVSKLMPELGFSPEDSDRLVASFSSGWQMRMSLGKILLQEPDLLLLDEPTNHLDLDTIEWLEGYLDKQEVPMVIISHDRAFLDQLCTKIVETDMGVSRTFEGNYSQYVEAKAAWVENQCAAWEKQQKEIEQTKDLINRLGAGANSGRASSAEKKLERLQEEGQLEKPFQRKQMKIRFPERGRSGRSVITVKNLEFGYEDDLLFNRANLTIERGEKIAIIGPNGCGKSTLLKLIMGLEKPRGGEVVLGEHNVLPNYFEQNQAEALDLDKTVLQTVEEVAEDWRIDDIKGLLGRCNFKADMLERKVSLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAIREYSGTVITVSHDRYFIRQIVNRVVEVKDGHLQDYMGDYNYYLEKNLEARAKELEREADLEEKAPKVKAKSKMSKAEKEARKKQKMQAFQAAKQKSKGLKNSKRWK >EOX92289 pep chromosome:Theobroma_cacao_20110822:1:6087564:6091232:1 gene:TCM_001255 transcript:EOX92289 gene_biotype:protein_coding transcript_biotype:protein_coding description:General control non-repressible 5 isoform 1 MGLSTKLHRIDLRSTFFTSLRPSFTPNSSSLISPKTLKFRPTKITAQVSTLSVETSVKDPQNDIESLFSTNTVEEVDRKRANKRSNTGSSGISSGVKLENISKSYKGVTVLKNVSWEVKKGEKVGLVGVNGAGKTTQMRIITGLEEPDSGNVIKAKPNMKVAFLNQEFEVSMSRTVREEFMSAFKEEMEIADRLERVQKAIEGATEDLELMGRLLDEFDLLQRRAQAVDLDEVDAKVSKLMPELGFSPEDSDRLVASFSSGWQMRMSLGKILLQEPDLLLLDEPTNHLDLDTIEWLEGYLDKQEVPMVIISHDRAFLDQLCTKIVETDMGVSRTFEGNYSQYVEAKAAWVENQCAAWEKQQKEIEQTKDLINRLGAGANSGRASSAEKKLERLQEEGQLEKPFQRKQMKIRFPERGRSGRSVITLLFNRANLTIERGEKIAIIGPNGCGKSTLLKLIMGLEKPRGGEVVLGEHNVLPNYFEQNQAEALDLDKTVLQTVEEVAEDWRIDDIKGLLGRCNFKADMLERKVSLLSGGEKVITFYISFYILSTLFAFMDLIQKFCFVL >EOX94382 pep chromosome:Theobroma_cacao_20110822:1:28230867:28233531:1 gene:TCM_003972 transcript:EOX94382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MAVLSTSCFPIFKLVFLFTLMSAAVTISLQQEQPVLNSAEQDSVYQVLSSINSAIPWRTLFPDDLCSYPPHGVVCDYFSDPTTNNITVHITELSFGYVSDYTPNPACSQNSTFSPLLFTSFKHLRKLFFYQCFTGTQVFVPEIPTSFGSSLEELVFINNPAFVGPLSGIIRNFTSLRRVVLIGNGIYGSIPDGMGDLINVEEIALSRNKLSGQVSVSLAKLKKLKVLDLSGNDFDGNVPCSVGNLTQLLKLDLSSNAFSGKIPGSLSNLQCLEFLDLSFNRFGNYGVPLFLAEMPRLKEVYLSGNLLGGDIPEIWEKLGGILGIGFSGMGLVGGIPASMGVHLRNLCYLGLDNNKLEGKVPEEFGSLEFVSEINLEKNNLSGRVPFSAKFTAKVGDKLRLKGNPELCVDEKLSRGKSIGSSLRELKKCSKPDIPNPVLFIGGSHHSGPLSSSFHVLLLFWGVSALLL >EOX91821 pep chromosome:Theobroma_cacao_20110822:1:4015618:4018531:-1 gene:TCM_000894 transcript:EOX91821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MEIASGKWLSELEMEDSTFLPQYQMMNPMDYAFDDLNFQSFSSESYSSYQNIDQKISSHNNMNGSCIGASHQVDNFQRPMKQLKTNSPNSCITENIPPKASSSPSSHIISFDNWNSSPAISQQYYGLDCAVKPKNEVSKRVGSLTRTPLHAQDHVIAERKRREKLSQRFISLSALIPGLKKTDKASVLGDAIKYLKQLQERVTTLEEQVAKKTMESVIFVRKTQIFADDETSSSDENFDSHSNKPLPEIEARVADKDVLIRIHCEKNKGCIPNIINEVEKLHLSVLNTNVLPFGQATQDITIVAKMEAEFSMTVKDLVKSLRMALLKFM >EOX96380 pep chromosome:Theobroma_cacao_20110822:1:37559073:37561572:-1 gene:TCM_005633 transcript:EOX96380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid cleavage dioxygenase 7, chloroplastic isoform 1 MQAKLFHIIPSGFHSPGKHPQLHQPSLPKKLPRAISISSPPADNHVPGPLTLDRDDSVAAFWDYQFLFVSQRSETAEPITLRVVDGAIPTDFPSGTYYLAGPGLFTDDHGSTVHPLDGHGYLRAFSIDGAAREVKFMARYVKTEAQAEEHDPVTDTWQFTHRGPFSVLKGGKKLGNVKVMKNVANTSVLRWGGRLLCLWEGGDPYEIESGTLETIGSFDVINGHDLLPEAEKKGTDGDLLDAAARLLKPILYGVFKMPPKRLLSHYKLDAQRNRLLTVSCNAEDMLVPRSNFTFYEFDSEFKLLQKQEFNIPDHLMIHDWAFTDSHYILFGNRVKLDVIGSVTAVCGLSPMISALSVNPSKSTSPIYLLPRFPGKSAGQRDWRVPVEAPSRKWLLHVGNAFEVKDVDGNSEIQIQACACSYQWFNFQKLFGGLVDLSVSLFLSPKEPKKMMGTFL >EOX96379 pep chromosome:Theobroma_cacao_20110822:1:37558859:37562932:-1 gene:TCM_005633 transcript:EOX96379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid cleavage dioxygenase 7, chloroplastic isoform 1 MQAKLFHIIPSGFHSPGKHPQLHQPSLPKKLPRAISISSPPADNHVPGPLTLDRDDSVAAFWDYQFLFVSQRSETAEPITLRVVDGAIPTDFPSGTYYLAGPGLFTDDHGSTVHPLDGHGYLRAFSIDGAAREVKFMARYVKTEAQAEEHDPVTDTWQFTHRGPFSVLKGGKKLGNVKVMKNVANTSVLRWGGRLLCLWEGGDPYEIESGTLETIGSFDVINGHDLLPEAEKKGTDGDLLDAAARLLKPILYGVFKMPPKRLLSHYKLDAQRNRLLTVSCNAEDMLVPRSNFTFYEFDSEFKLLQKQEFNIPDHLMIHDWAFTDSHYILFGNRVKLDVIGSVTAVCGLSPMISALSVNPSKSTSPIYLLPRFPGKSAGQRDWRVPVEAPSRKWLLHVGNAFEVKDVDGNSEIQIQACACSYQWFNFQKLFGYNWQSGKLDPSIMNVKQGANELLPHLVQVSINLDADGNCQNCCVENLNQWNKPSDFPVINPDFSGNKNEYIYASTSSGSRQTLPHFPFDMVLKLNLTTKSTFTWSAGARRFIGEPIFVPKGTEEDDGYILVVEYAVSIQRCFLVILDPKRIGETDALVARLEVPQHLNFPLGFHGLWANSP >EOX92480 pep chromosome:Theobroma_cacao_20110822:1:7088631:7090017:1 gene:TCM_001427 transcript:EOX92480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MTESETENISHIPLQRHQHREIDRQQMGSLKEEELVQMVQDYIESESPSPIFPDFSNRHLPSFNHLSEHSALQEILRSRTETEREVLEHVLKHIKGKRDVHKTSGLKKWLAMRLKMNGFNASFCQTSWATSLGCPGDYEYIAIVVENENGTDTVRLIVDIDFKSQFELARPTPTYKELTDALPSIFVGSEEKLNKIISVLCSAAKQSFREAGLHVPPWRTSTYMKSKWLSGGHKVAANNAGLGRENREEETKGGAHSFSKWAPPMVKAKRRDLGGGGSALSSQFSSMGINCC >EOX92479 pep chromosome:Theobroma_cacao_20110822:1:7088574:7090023:1 gene:TCM_001427 transcript:EOX92479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MTESETENISHIPLQRHQHREIDRQQMGSLKEEELVQMVQDYIESESPSPIFPDFSNRHLPSFNHLSEHSALQEILRSRTETEREVLEHVLKHIKGKRDVHKTSGLKKWLAMRLKMNGFNASFCQTSWATSLGCPGVENENGTDTVRLIVDIDFKSQFELARPTPTYKELTDALPSIFVGSEEKLNKIISVLCSAAKQSFREAGLHVPPWRTSTYMKSKWLSGGHKVAANNAGLGRENREEETKGGAHSFSKWAPPMVKAKRRDLGGGGSALSSQFSSMGINCC >EOX92478 pep chromosome:Theobroma_cacao_20110822:1:7088627:7090468:1 gene:TCM_001427 transcript:EOX92478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MTESETENISHIPLQRHQHREIDRQQMGSLKEEELVQMVQDYIESESPSPIFPDFSNRHLPSFNHLSEHSALQEILRSRTETEREVLEHVLKHIKGKRDVHKTSGLKKWLAMRLKMNGFNASFCQTSWATSLGCPGGDYEYIAIVVENENGTDTVRLIVDIDFKSQFELARPTPTYKELTDALPSIFVGSEEKLNKIISVLCSAAKQSFREAGLHVPPWRTSTYMKSKWLSGGHKVAANNAGLGRENREEETKGGAHSFSKWAPPMVKAKRRDLGGGGSALSSQFSSMGINCC >EOX94744 pep chromosome:Theobroma_cacao_20110822:1:31153845:31156992:-1 gene:TCM_004356 transcript:EOX94744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor Prp18 family protein isoform 2 MDLLKQELLKKRQSLAQETGGRRVFKRSEMEQKQIQKLREQEKRELEAKSRRQSTTSSSTTNATSAKSNPSASSTASTTATSTAGSSKSLTDEQNIDNLDLPRQEVIRRLRFLKQPITLFGEDDAARLDRLKYVLKAGLFEVDSDMTEGQTNDFLRDIAELRKRQKTGMLSERKRKDREEGGGGEDGEGGGGEEELSGDGGSSGVDMDKDLKRMKANFDELCDEDKILVFFKRLLNEWNQELDEMGEAEKRTAKGKSMVATFKQCARYLNPLFKFCRKKVLPDDICQALLVVVECCMKRDYLAAMDQYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSVKRLMTFCQRRYPTLPSKAVEFNSLANGSDLQSLLAEEKVSGGSSSDDRLR >EOX94743 pep chromosome:Theobroma_cacao_20110822:1:31153494:31156593:-1 gene:TCM_004356 transcript:EOX94743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor Prp18 family protein isoform 2 MDLLKQELLKKRQSLAQETGGRRVFKRSEMEQKQIQKLREQEKRELEAKSRRQSTTSSSTTNATSAKSNPSASSTASTTATSTAGSSKSLTDEQNIDNLDLPRQEVIRRLRFLKQPITLFGEDDAARLDRLKYVLKAGLFEVDSDMTEGQTNDFLRDIAELRKRQKTGMLSERKRKDREEGGGGEDGEGGGGEEELSGDGGSSGVDMDKDLKRMKANFDELCDEDKILVFFKRLLNEWNQELDEMGEAEKRTAKGKSMVATFKQCARYLNPLFKFCRKKVLPDDICQALLVVVECCMKRDYLAAMDQYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSVKRLMTFCQRRYPTLPSKAVEFNSLANGSDLQSLLAEEKVSGGSSSDDRLRLMPAPKES >EOX91618 pep chromosome:Theobroma_cacao_20110822:1:3323484:3327087:1 gene:TCM_000750 transcript:EOX91618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 1 MYMYTSHTTMNKQMEVVEWLKWYRFAINDVVSQRKAVVGTGYFDVLEADFYSAIHRAYYGPVIESMDLLPDRFEAEERSVYETPEVLHLVSGRNLFGMVCLVDWVPQLSFTYNEKLTSMPAASVLSQSTQNARICMNDVKENHGGLSQIQVTDIKNHASDAYKDLELHVSGRVVAVATRIPPKSCFGETQNDDVQDHIQVFLTLDDDSMVVGNGLDDKVGSRILLGTITGLGTSPEEGSCFVYNSSGTKTHVITKHRTLLVKHMHWYRVGEEVSVCECRCSRARLPPSKFWLFEPRCGMHDIGGWYIETFGRDKQGRTVPSQRFWDGFDANEQFDERLHPAMYLLSLAYRTLDIEDAKRRKQTIREIVEGKLFRILNLCKKLV >EOX91619 pep chromosome:Theobroma_cacao_20110822:1:3322858:3326496:1 gene:TCM_000750 transcript:EOX91619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 1 MYMYTSHTTMNKQMEVVEWLKWYRFAINDVVSQRKAVVGTGYFDVLEADFYSAIHRAYYGPVIESMDLLPDRFEAEERSVYETPEVLHLVSGRNLFGMVCLVDWVPQLSFTYNEKLTSMPAASVLSQSTQNARICMNDVKENHGGLSQIQVTDIKNHASDAYKDLELHVSGRVVAVATRIPPKSCFGETQNDDVQDHIQVFLTLDDDSMVVGNGLDDKVGSRILLGTITGLGTSPEEGSCFVYNSSGTKTHVITKHRTLLVKHMHWYRVGEEVSVCECRCSRARLPPSKFWLFEPRCGMHDIGGWYIETFGRDKQGRTVPSQRFWDGFDANEQFDERLHPAMYLLSLAYRTLDIEDAKRRKQTIREIVEGKLFRILNLCKKLV >EOX91617 pep chromosome:Theobroma_cacao_20110822:1:3322412:3326936:1 gene:TCM_000750 transcript:EOX91617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 1 MAFFSGLFRYRKIPYLSIQSNCYSYSLSSHFLHPSLKTQWFSTGTGSATQTEFPGENAYDILGVSETSSFAEIKASFRRLAKETHPDLAESKGNSSASSKRFVQILAAYEILSDSEKRGHYDSYLLSQRKIVQKNSRQGSTMYMYTSHTTMNKQMEVVEWLKWYRFAINDVVSQRKAVVGTGYFDVLEADFYSAIHRAYYGPVIESMDLLPDRFEAEERSVYETPEVLHLVSGRNLFGMVCLVDWVPQLSFTYNEKLTSMPAASVLSQSTQNARICMNDVKENHGGLSQIQVTDIKNHASDAYKDLELHVSGRVVAVATRIPPKSCFGETQNDDVQDHIQVFLTLDDDSMVVGNGLDDKVGSRILLGTITGLGTSPEEGSCFVYNSSGTKTHVITKHRTLLVKHMHWYRVGEEVSVCECRCSRARLPPSKFWLFEPRCGMHDIGGWYIETFGRDKQGRTVPSQRFWDGFDANEQFDERLHPAMYLLSLAYRTLDIEDAKRRKQTIREIVEGKLFRILNLCKKLV >EOX94525 pep chromosome:Theobroma_cacao_20110822:1:29425510:29464801:-1 gene:TCM_004131 transcript:EOX94525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin family protein with Dil domain, putative MAKSKGAPTTASFSNQPPTAPPPHNPNSNYNTHRKKEKPSSFPPCFVPSTHSIFVQQKIVAFPSPKQSSPINAVPLSRLRWRLGIGGMSRDIAAGSHVWVGDSELAWIDGIVINVYGAEAVIQTSDGRMVTTNLSKIYPKDVEAPAGGVDDMTKLSYLHEPAVLYNLATRYEINEIYTYCGNILIAINPFQALSHLYDVYLMERYKGAQLGELSPHVYAIADVAYRAMIKEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGHAAAEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKHGQISGAAIRTYLLEKSRVCQISDPERNYHCFYLLCAAPLEEIERYKLGDPKSFHYLNQSNCYELVGISDAHDYLATRKAMDIVGISEKEQEAIFRVVAAILHLGNIAFAKGEEDSSILQDDESKFHLQMTAELLMCNPQALEVALCKRVMITPEEIIERSLDPLGAAVSRDGLAKTIYSRLLVNKINVSIGQDPHSECLIGVLDIYGFESFKISSFEQFCINFTNEKLQQHFNQHVFKMEQAEYQNEEIDWSYVEFVDNQDVLDLIEKFRTLMKRLLYLQNQWQKKDSQEGGDGGDPSYMFPKSTHETFAQKLYQTFKDHKRFVKPKLARTEFTIAHYAGEVQYQSDQFLDKNKDYVVPEHQDLLSASKCSFVAGLFPPLSEETAKSGKFSSIGSRFKLQLQQLMDILNSTEPHYIRCIKPNNELKPALFENVNVLQQLRSGGVLEAIRVKCEGYPTHRTFTEFLNRFAILAPEILEGNYEEKVACKWILEKVGLTRFQMAELDARKGKVLGESAMVVQKQIRSHLSRKRYVCMRKASMHIQTAWRGKLARELYKDMKNEAAAVQIQKTFRRQVARRNYIDAKSSAIVLQTGLRAMVARNELRYKAQNKAAVIIQSMFRRRTARRNLKEQKMAGRDKEEDGTLEQVDKLTYKEPEKQLIFEGQEKAEFHHSPLALAVPNQADKTNAFPVREHEVTEKAYEFCPIIEEISRPIQNASKIEILTKEVEKLKALLLAEKKRADESEKKHAEAQELSEKRHKKLEETERRVYQLQDSLNRLLFCMSDQFSQLKKILRSPSNSTSTSQPIVRDNSFDTSDNSDASSSDSDFTFPAPVLTSANISSLPPNALQRTVKDVSATETGSEDSDKEGAFDDYF >EOX94066 pep chromosome:Theobroma_cacao_20110822:1:19733411:19735683:-1 gene:TCM_003148 transcript:EOX94066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHTSYVCPQRRVNFAECEEGLGMKLQPLSDKSNEDNAEVDVYPIQEELLVVAKVITTRAMVEEGEDWRQGCIFRTCVVHCNTVYDAIIDSHKSQIQCCCCGEKGHTSYACPKRRVNLQEHDEGLEKELRPLYYDSDEDNEEIDVHPIQGESLKVPKEMTTRAVVEGEDWR >EOX96368 pep chromosome:Theobroma_cacao_20110822:1:37537914:37541458:1 gene:TCM_005627 transcript:EOX96368 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic protease/crotonase family protein isoform 2 MQSVKRLVSRRCRQSLIQCKRSLSSLPINSSADDLQHEVLVEGRASSRIAILNRPSSLNALSTNIGAGLHKLYASWEDDPEIGFVVLKGSGRAFCAGGDIVSLYHLINEGKIEEAKEFFRTVYSFIYLVGTYLKPHVAILDGITMGGGAGVSIPGTFRLATGRTVFATPETQIGFHPDAGASFYLPRLPGHLGEYLGLTGEKLSGAEMISCGLATHYSHTEKLPLIDDELGKLVTDDPSVIESSLEKYSDVPYPEKISALHRIEVLDKCFAHDTVEEIMDALESEASATNDAWCNSTLRKLKEASPLSLKVSLRSIREGRFQTLDQCLAREYRMTLQAISKQISSDFCEGVRARVVDKDLAPKWAPSSLEKVSNDMVDQYFAPLSESEPDLDLPTKQREAFN >EOX96369 pep chromosome:Theobroma_cacao_20110822:1:37538145:37541259:1 gene:TCM_005627 transcript:EOX96369 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic protease/crotonase family protein isoform 2 MQSVKRLVSRRCRQSLIQCKRSLSSLPINSSADDLQHEVLVEGRASSRIAILNRPSSLNALSTNIGAGLHKLYASWEDDPEIGFVVLKGSGRAFCAGGDIVSLYHLINEGKIEEAKEFFRTVYSFIYLVGTYLKPHVAILDGITMGGGAGVSIPGTFRLATGRTVFATPETQIGFHPDAGASFYLPRLPGHLGEYLGLTGEKLSGAEMISCGLATHYSHTEKLPLIDDELGKLVTDDPSVIESSLEKYSDVPYPEKISALHRIEVLDKCFAHDTVEEIMDALESEASATNDAWCNSTLRKLKEASPLSLKVSLRSIREGRFQTLDQCLAREYRMTLQAISKQISSDFCEWAPSSLEKVSNDMVDQYFAPLSESEPDLDLPTKQREAFN >EOX93221 pep chromosome:Theobroma_cacao_20110822:1:11353886:11355529:1 gene:TCM_002061 transcript:EOX93221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein, putative MHLVHPQRVAHLSQYSQALLRAMDARGHYLRLLNSVVCISILPTILFTRCDARIMVHIWKQQGSKLQKSPISELINKLKITNRVNPNVDPYTINSPFYLPPFDSLSPLPQPDHSPPFYQYPPFTPQSPSIPPPSPMSYGLATPPPPSSIHTPPANPPEHGLSPPSIFPSPPQHQPSPPKHVPNPPKHVPGQPIYEPPMVNPPPLGPPPPGKGSKSGVWCVAKPTVPDPIIQAAMDYACGSGADCKAIQPNEACFQPNTLISHASYAFNSYWQNTKGTGGTCDFGGTAMLVTVDPSSEKCQFSYS >EOX95698 pep chromosome:Theobroma_cacao_20110822:1:35272166:35280813:1 gene:TCM_005143 transcript:EOX95698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1703, putative isoform 1 MELLNPPISKTPQLFCSFSSFTPRLSTKTSNKKPLHRFHISKFREIPSFSRCLPLSGTKFFHVSAQFGRPTSRRNSLREKLLLDHQQVRQNPIPSNPTPDFQNPNGSFENFENLNSGGSKQIDVDNDVGELKSKRLGESVMLSKLENWIDQYKKDADFWGIGSGPIFTVLHDLEGNVKRATVNEDEILKRLEFEDLEKVNSKLSYAKNLAREMERGENVIPRNSLVAKFVVSGQESGLVSGVHGVILRPGFMPKLSRGGSLLLCGFLVLWVVKKLFVLGNKEVAYTELEKEMMRRKIKSRKEREMLEKGSVEVVQASEEPPNMSFQRPKLDRQQLLNNILKAKAAKDKLALLDSSGSQSSKSVDFEHEVQEIKVMAKEALETEGREQSVIGKDEKQVQAANKEFCNEMQAIKEDGQDGVSFLSNLSTEDSEQGKVSYRTVEATSPCETKSDGVKILNGVAFLDSRVREDSDASSVHLSKDKQNTKEDLEDIESTISLLVEGEDIQSPVISDNKSYIAKSTYFGKKPRIILSVKEARDFLSKKSKKEEPNQEPIMKAVQESSPDLMLRNDKKSGRSTEQRLDVNDKLFPHAISSGESEFTPSENACQNSIWENKESVLSEETDEENSDEKCREEVHQQPPFSAQEGTVLSAEQGQSLKTENWIENNFHDVEPVLKKIGDGFRENYMVAKEKVDEQLNMDTEITQLGSNEDESELEWIKDDRLREIVFQVRENELAGRDPFHLMDAEEKLAFFQGLEKKVEKENKKLSHLHEWLHSNIENLDYGADGISLYDPPEKIVPRWKGPPLEKSPELLNNFQEQRKALFTGKTGIAYPAKKDEQGFIQRFVEPHINEKLTISSSELDLKRKLQDGDPKDSKIVVEGSDGSVKPGKKSGKEYWQHTKKWSRGFLESYNAETNPEVKSIMKDMGKDLDRWITEKEIQEAADLMTKLPERNKKFMEKKLNKLKREMELFGPQAVVSKYREYAEDKEEDYLWWLDLRHVLCIELYTFDNEEQRIGFYALEMAADLELEPKPHHVIAFEDTGDCKNFCYIIQDHMDMLGNGRAFIVPQPPKDAFREAKANGFGVTVIRKGELQLNVDQTLEEVEEQICEIGSKIYHDKIMRERSVDISSLMKGVLGVSGKPTRRRRSKKKFKRPTKK >EOX95700 pep chromosome:Theobroma_cacao_20110822:1:35272166:35277940:1 gene:TCM_005143 transcript:EOX95700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1703, putative isoform 1 MELLNPPISKTPQLFCSFSSFTPRLSTKTSNKKPLHRFHISKFREIPSFSRCLPLSGTKFFHVSAQFGRPTSRRNSLREKLLLDHQQVRQNPIPSNPTPDFQNPNGSFENFENLNSGGSKQIDVDNDVGELKSKRLGESVMLSKLENWIDQYKKDADFWGIGSGPIFTVLHDLEGNVKRATVNEDEILKRLEFEDLEKVNSKLSYAKNLAREMERGENVIPRNSLVAKFVVSGQESGLVSGVHGVILRPGFMPKLSRGGSLLLCGFLVLWVVKKLFVLGNKEVAYTELEKEMMRRKIKSRKEREMLEKGSVEVVQASEEPPNMSFQRPKLDRQQLLNNILKAKAAKDKLALLDSSGSQSSKSVDFEHEVQEIKVMAKEALETEGREQSVIGKDEKQVQAANKEFCNEMQAIKEDGQDGVSFLSNLSTEDSEQGKVSYRTVEATSPCETKSDGVKILNGVAFLDSRVREDSDASSVHLSKDKQNTKEDLEDIESTISLLVEGEDIQSPVISDNKSYIAKSTYFGKKPRIILSVKEARDFLSKKSKKEEPNQEPIMKAVQESSPDLMLRNDKKSGRSTEQRLDVNDKLFPHAISSGESEFTPSENACQNSIWENKESVLSEETDEENSDEKCREEVHQQPPFSAQEGTVLSAEQGQSLKTENWIENNFHDVEPVLKKIGDGFRENYMVAKEKVDEQLNMDTEITQLGSNEDESELEWIKDDRLREIVFQVRENELAGRDPFHLMDAEEKLAFFQGLEKKVEKENKKLSHLHEWLHSNIENLDYGADGISLYDPPEKIVPRWKGPPLEKSPELLNNFQEQRKALFTGKTGIAYPAKKDEQGFIQRFVEPHINEKLTISSSELDLKRKLQDGDPKDSKIVVEGSDGSVKPGKKSGKEYWQHTKKWSRGFLESYNAETNPEVKSIMKDMGKDLDRWITEKEIQEAADLMTKLPERNKKFMEKKLNKLKREMELFGPQAVVSKYREYAEDKEEDYLWWLDLRHVLCIELYTFDNEEQRIGFYALEMAADLELEPKPHHVIAFEDTGDCKNFCYIIQDHMDMLGNGRAFIVPQPPKDAFREAKANGFGVTVIRKGELQLNVDQTLEEVEEQICEIGSKIYHDKIMRERSVDISSLMKGVLGVSGKPTRRRRSKKKFKRPTKK >EOX95699 pep chromosome:Theobroma_cacao_20110822:1:35272166:35277940:1 gene:TCM_005143 transcript:EOX95699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1703, putative isoform 1 MELLNPPISKTPQLFCSFSSFTPRLSTKTSNKKPLHRFHISKFREIPSFSRCLPLSGTKFFHVSAQFGRPTSRRNSLREKLLLDHQQVRQNPIPSNPTPDFQNPNGSFENFENLNSGGSKQIDVDNDVGELKSKRLGESVMLSKLENWIDQYKKDADFWGIGSGPIFTVLHDLEGNVKRATVNEDEILKRLEFEDLEKVNSKLSYAKNLAREMERGENVIPRNSLVAKFVVSGQESGLVSGVHGVILRPGFMPKLSRGGSLLLCGFLVLWVVKKLFVLGNKEVAYTELEKEMMRRKIKSRKEREMLEKGSVEVVQASEEPPNMSFQRPKLDRQQLLNNILKAKAAKDKLALLDSSGSQSSKSVDFEHEVQEIKVMAKEALETEGREQSVIGKDEKQVQAANKEFCNEMQAIKEDGQDGVSFLSNLSTEDSEQGKVSYRTVEATSPCETKSDGVKILNGVAFLDSRVREDSDASSVHLSKDKQNTKEDLEDIESTISLLVEGEDIQSPVISDNKSYIAKSTYFGKKPRIILSVKEARDFLSKKSKKEEPNQEPIMKAVQESSPDLMLRNDKKSGRSTEQRLDVNDKLFPHAISSGESEFTPSENACQNSIWENKESVLSEETDEENSDEKCREEVHQQPPFSAQEGTVLSAEQGQSLKTENWIENNFHDVEPVLKKIGDGFRENYMVAKEKVDEQLNMDTEITQLGSNEDESELEWIKDDRLREIVFQVRENELAGRDPFHLMDAEEKLAFFQGLEKKVEKENKKLSHLHEWLHSNIENLDYGADGISLYDPPEKIVPRWKGPPLEKSPELLNNFQEQRKALFTGKTGIAYPAKKDEQGFIQRFVEPHINEKLTISSSELDLKRKLQDGDPKDSKIVVEGSDGSVKPGKKSGKEYWQHTKKWSRGFLESYNAETNPEVKSIMKDMGKDLDRWITEKEIQEAADLMTKLPERNKKFMEKKLNKLKREMELFGPQAVVSKYREYAEDKEEDYLWWLDLRHVLCIELYTFDNEEQRIGFYALEMAADLELEPKPHHVIAFEDTGDCKNFCYIIQDHMDMLGNGRAFIVPQPPKDAFREAKANGFGVTVIRKGELQLNVDQTLEEVEEQICEIGSKIYHDKIMRERSVDISSLMKGVLGVSGKPTRRRSKKKFKRPTKK >EOX93263 pep chromosome:Theobroma_cacao_20110822:1:11822395:11831321:-1 gene:TCM_002118 transcript:EOX93263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroperoxide lyase 1 isoform 4 MAMATMMMSRMMGMSPPPVPPPPPPPSSSSSPLATQALSAPSSRPPTNLPTRTIPGSYGWPLLGPISDRLDYFWFQGPDTFFRSRMEKHKSTVFRTNVPPSFPFFVGVNPNVIAVVDCRSFAHLFDMEIVEKKDVLVGDFMPSVKFTGNTRVCAYLDTSEPKHAQLKNFAMDILKRGSKVWVTELLGNLDKFLDTIESEISTNGSSSYIPHLQSMLFNFLAKSILGADPTIDPDIANSAPFTLNLWLGLQLLPTISVRVIQPLEEIFLHSYTYPHALVSGGYQKLYHFIERHGQEVVQRGEIDFGLSAADAIHNLIFVLGFNAFGGFSIFLPTLFAAIASDKTGLQARLREEVRKMNGSSTRLSFDSLKEMELVNSVVYETLRLNPPVALQYARARKDFQLASHDSVFDIKEGELLCGYQPLAMRDGKVFDEPESFKPDRFVGKGKELLSNLFWSNGPQTGSPSESNKQCAGKDYVTLSASLIVAHMFQRYDSFSGDSSKITAVETTK >EOX93264 pep chromosome:Theobroma_cacao_20110822:1:11822979:11830957:-1 gene:TCM_002118 transcript:EOX93264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroperoxide lyase 1 isoform 4 QGPDTFFRSFAHLFDMEIVEKKDVLVGDFMPSVKFTGNTRVCAYLDTSEPKHAQLKNFAMDILKRGSKVWVTELLGNLDKFLDTIESEISTNGSSSYIPHLQSMLFNFLAKSILGADPTIDPDIANSAPFTLNLWLGLQLLPTISVRVIQPLEEIFLHSYTYPHALVSGGYQKLYHFIERHGQEVVQRGEIDFGLSAADAIHNLIFVLGFNAFGGFSIFLPTLFAAIASDKTGLQARLREEVRKMNGSSTRLSFDSLKEMELVNSVVYETLRLNPPVALQYARARKDFQLASHDSVFDIKEGELLCGYQPLAMRDGKVFDEPESFKPDRFVGKGKELLSNLFWSNGPQTGSPSESNKQC >EOX93266 pep chromosome:Theobroma_cacao_20110822:1:11822329:11825069:-1 gene:TCM_002118 transcript:EOX93266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroperoxide lyase 1 isoform 4 MDILKRGSKVWVTELLGNLDKFLDTIESEISTNGSSSYIPHLQSMLFNFLAKSILGADPTIDPDIANSAPFTLNLWLGLQLLPTISVRVIQPLEEIFLHSYTYPHALVSGGYQKLYHFIERHGQEVVQRGEIDFGLSAADAIHNLIFVLGFNAFGGFSIFLPTLFAAIASDKTGLQARLREEVRKMNGSSTRLSFDSLKEMELVNSVVYETLRLNPPVALQYARARKDFQLASHDSVFDIKEGELLCGYQPLAMRDGKVFDEPESFKPDRFVGKGKELLSNLFWSNGPQTGSPSESNKQCAGKDYVTLSASLIVAHMFQRYDSFSGDSSKITAVETTK >EOX93265 pep chromosome:Theobroma_cacao_20110822:1:11822815:11831674:-1 gene:TCM_002118 transcript:EOX93265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroperoxide lyase 1 isoform 4 MAMATMMMSRMMGMSPPPVPPPPPPPSSSSSPLATQALSAPSSRPPTNLPTRTIPGSYGWPLLGPISDRLDYFWFQGPDTFFRILLLIFHVFMESHDTTSFDLGQLKNFAMDILKRGSKVWVTELLGNLDKFLDTIESEISTNGSSSYIPHLQSMLFNFLAKSILGADPTIDPDIANSAPFTLNLWLGLQLLPTISVRVIQPLEEIFLHSYTYPHALVSGGYQKLYHFIERHGQEVVQRGEIDFGLSAADAIHNLIFVLGFNAFGGFSIFLPTLFAAIASDKTGLQARLREEVRKMNGSSTRLSFDSLKEMELVNSVVYETLRLNPPVALQYARARKDFQLASHDSVFDIKEGELLCGYQPLAMRDGKVFDEPESFKPDRFVGKGKELLSNLFWSNGPQTGSPSESNKQCAGKDYVTLSASLIVAHMFQRYDSFSGDSSKITAVETTK >EOX95374 pep chromosome:Theobroma_cacao_20110822:1:33945574:33948751:-1 gene:TCM_004888 transcript:EOX95374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrogen regulatory protein P-II MAAIAKANSLSPLHSPSHRNYYPLIYSSVLPSFRDSRLSKLNVTLKHPRNASILPVIRAQSSPDYTPDSKFYKVEAIIRPWRIQQVSSALLKMGIRGVTVSDVRGFGAQGGSTERHGGSEFSEDKFVSKVKMEIVVSKDQVEAVIDKIIEEARTGEIGDGKIFLVPVSDVIRVRTGERGEKAERMTGGRADMSATALS >EOX94205 pep chromosome:Theobroma_cacao_20110822:1:25782094:25788097:1 gene:TCM_003703 transcript:EOX94205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group E4, putative isoform 1 MASATVVGEGKDGAREKQRYTESKVYTRKAFKGPKKNNLVNTTAKNSNNADDDNNKNSNNNNNSSSNNNNNNNVNSTALNNTAVTANAVTSNDDGNANDKNNDDNNNNDNSAVAPPQPLPLEDMNSAHQQPVPYVDTAVSDDSSNLNKHQVVASNGAVKSSSENRVKINLASRSKQEMRDLRRKLESELDLVRNLVKRIEAKEGQISGFSNSRLLLNDSVDYGLKRVQSEVASAGIPQEPVRQSRPLNQLSISVLENSQGNENLEKEKRTPKANQFYRNSEFLLAKDKFPPAESNKKSKLNGKKAGGGEFTHGFGMGNKFFKSCSSLLERLMKHKHGWVFNAPVDVKGLGLHDYYSIIKHPMDLGTVKSRLNKNWYKSPREFAEDVRLTFRNAMTYNPKGQDVHVMAEQLSKIFEDKWAVIETDYIREMRLAIEYEVSLPTPTPRKAHPMLPPPLDMRRILDRSESMIRPVDMRPKLIATTPSSRTPAPKKPKAKDPYKRDMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKRNSALFQHDDEIEVDIDSVDTETLWELDRFVTNYKKSLSKNKRKAELAIQARAEAEQIVPEKLQTTPAPVLVEVPKEATTNDQNLSTSSPVEVDKRGDNASRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRS >EOX94206 pep chromosome:Theobroma_cacao_20110822:1:25782051:25788640:1 gene:TCM_003703 transcript:EOX94206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group E4, putative isoform 1 MASATVVGEGKDGAREKQRYTESKVYTRKAFKGPKKNNLVNTTAKNSNNADDDNNKNSNNNNNSSSNNNNNNNVNSTALNNTAVTANAVTSNDDGNANDKNNDDNNNNDNSAVAPPQPLPLEDMNSAHQQPVPYVDTAVSDDSSNLNKHQVVASNGAVKSSSENRVKINLASRSKQEMRDLRRKLESELDLVRNLVKRIEAKEGQISGFSNSRLLLNDSVDYGLKRVQSEVASAGIPQEPVRQSRPLNQLSISVLENSQGNENLEKEKRTPKANQFYRNSEFLLAKDKFPPAESNKKSKLNGKKAGGGEFTHGFGMGNKFFKSCSSLLERLMKHKHGWVFNAPVDVKGLGLHDYYSIIKHPMDLGTVKSRLNKNWYKSPREFAEDVRLTFRNAMTYNPKGQDVHVMAEQLSKIFEDKWAVIETDYIREMRLAIEYEVSLPTPTPRKAHPMLPPPLDMRRILDRSESMIRPVDMRPKLIATTPSSRTPAPKKPKAKDPYKRDMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKRNSALFQHDDEIEVDIDSVDTETLWELDRFVTNYKKSLSKNKRKAELAIQARAEAEQIVPEKTTPAPVLVEVPKEATTNDQNLSTSSPVEVDKRGDNASRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRS >EOX94207 pep chromosome:Theobroma_cacao_20110822:1:25782578:25786149:1 gene:TCM_003703 transcript:EOX94207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group E4, putative isoform 1 MASATVVGEGKDGAREKQRYTESKVYTRKAFKGPKKNNLVNTTAKNSNNADDDNNKNSNNNNNSSSNNNNNNNVNSTALNNTAVTANAVTSNDDGNANDKNNDDNNNNDNSAVAPPQPLPLEDMNSAHQQPVPYVDTAVSDDSSNLNKHQVVASNGAVKSSSENRVKINLASRSKQEMRDLRRKLESELDLVRNLVKRIEAKEGQISGFSNSRLLLNDSVDYGLKRVQSEVASAGIPQEPVRQSRPLNQLSISVLENSQGNENLEKEKRTPKANQFYRNSEFLLAKDKFPPAESNKKSKLNGKKAGGGEFTHGFGMGNKFFKSCSSLLERLMKHKHGWVFNAPVDVKGLGLHDYYSIIKHPMDLGTVKSRLNKNWYKSPREFAEDVRLTFRNAMTYNPKGQDVHVMAEQLSKIFEDKWAVIETDYIREMRLAIEYEVSLPTPTPRKAHPMLPPPLDMRRILDRSESMIRPVDMRPKLIATTPSSRTPAPKKPKAKDPYKRDMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKRNSALFQHDDEIEVDIDSVDTETLWELDRFVTNYKKSLSKNKRKAELAIQARAEAEQIVPEKLQTTPAPVLVEVPKEATTNDQNLSTSSPVEVDKRGDNASRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRS >EOX94208 pep chromosome:Theobroma_cacao_20110822:1:25782578:25786149:1 gene:TCM_003703 transcript:EOX94208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group E4, putative isoform 1 MASATVVGEGKDGAREKQRYTESKVYTRKAFKGPKKNNLVNTTAKNSNNADDDNNKNSNNNNNSSSNNNNNNNVNSTALNNTAVTANAVTSNDDGNANDKNNDDNNNNDNSAVAPPQPLPLEDMNSAHQQPVPYVDTAVSDDSSNLNKHQVVASNGAVKSSSENRVKINLASRSKQEMRDLRRKLESELDLVRNLVKRIEAKEGQISGFSNSRLLLNDSVDYGLKRVQSEVASAGIPQEPVRQSRPLNQLSISVLENSQGNENLEKEKRTPKANQFYRNSEFLLAKDKFPPAESNKKSKLNGKKAGGGEFTHGFGMGNKFFKSCSSLLERLMKHKHGWVFNAPVDVKGLGLHDYYSIIKHPMDLGTVKSRLNKNWYKSPREFAEDVRLTFRNAMTYNPKGQDVHVMAEQLSKIFEDKWAVIETDYIREMRLAIEYEVSLPTPTPRKAHPMLPPPLDMRRILDRSESMIRPVDMRPKLIATTPSSRTPAPKKPKAKDPYKRDMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKRNSALFQHDDEIEVDIDSVDTETLWELDRFVTNYKKSLSKNKRKAELAIQARAEAEQIVPEKTTPAPVLVEVPKEATTNDQNLSTSSPVEVDKRGDNASRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRS >EOX96684 pep chromosome:Theobroma_cacao_20110822:1:38642289:38649921:1 gene:TCM_005879 transcript:EOX96684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase family protein isoform 3 MESEGDVGGKPMKNLGGQVCQICGDNVGKNADGDPFIACNVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAILGDRQEDGDADDGVSDFNYSSENQNQKQKIAERMLSWHATYGRGEDVGAPNYDKEVSHNHIPLLTNGQEVSGELSAASPERLSMASPGVAGGKPNIRVVDPVREFGSPGLGNVAWKERVDGWKMKQEKNVVPLSTGQATSERGAGDIDASTDVLVDDSLLNDEARQPLSRKVSIPSSKINPYRMVIILRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAMSWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEWYFALKIDYLKDKVQTSFVKERRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFLMDPNLGKNVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGVQGPVYVGTGCVFNRTALYGYEPPLKPKHRKLGVLSSLCGGSRKKSSESSKKGSDKKKSGKHVDPTVPIFSLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPLWYGYGGRLKWLERFAYVNTTIYPVTAIPLVMYCTLPAVCLLTNKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYLFKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVEKVGNHVHILFFCLLIIICVCRFTSCAEYRLYEIILFYQECNVIFWT >EOX96687 pep chromosome:Theobroma_cacao_20110822:1:38643359:38649475:1 gene:TCM_005879 transcript:EOX96687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase family protein isoform 3 MESEGDVGGKPMKNLGGQVCQICGDNVGKNADGDPFIACNVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAILGDRQEDGDADDGVSDFNYSSENQNQKQKIAERMLSWHATYGRGEDVGAPNYDKEVSHNHIPLLTNGQEVSGELSAASPERLSMASPGVAGGKPNIRVVDPVREFGSPGLGNVAWKERVDGWKMKQEKNVVPLSTGQATSERGAGDIDASTDVLVDDSLLNDEARQPLSRKVSIPSSKINPYRMVIILRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAMSWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEWYFALKIDYLKDKVQTSFVKERRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFLMDPNLGKNVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGVQGPVYVGTGCVFNRTALYGYEPPLKPKHRKLGVLSSLCGGSRKKSSESSKKGSDKKKSGKHVDPTVPIFSLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPLWYGYGGRLKWLERFAYVNTTIYPVTAIPLVMYCTLPAVCLLTNKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYLFKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVEVCGINC >EOX96685 pep chromosome:Theobroma_cacao_20110822:1:38642101:38648963:1 gene:TCM_005879 transcript:EOX96685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase family protein isoform 3 MESEGDVGGKPMKNLGGQVCQICGDNVGKNADGDPFIACNVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAILGDRQEDGDADDGVSDFNYSSENQNQKQKIAERMLSWHATYGRGEDVGAPNYDKEVSHNHIPLLTNGQEVSGELSAASPERLSMASPGVAGGKPNIRVVDPVREFGSPGLGNVAWKERVDGWKMKQEKNVVPLSTGQATSERGAGDIDASTDVLVDDSLLNDEARQPLSRKVSIPSSKINPYRMVIILRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAMSWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEWYFALKIDYLKDKVQTSFVKERRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFLMDPNLGKNVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGVQGPVYVGTGCVFNRTALYGYEPPLKPKHRKLGVLSSLCGGSRKKSSESSKKGSDKKKSGKHVDPTVPIFSLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPLWYGYGGRLKWLERFAYVNTTIYPVTAIPLVMYCTLPAVCLLTNKFIIPQISNIASIWFISLFLSIFATGILEM >EOX96682 pep chromosome:Theobroma_cacao_20110822:1:38641956:38651759:1 gene:TCM_005879 transcript:EOX96682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase family protein isoform 3 MESEGDVGGKPMKNLGGQVCQICGDNVGKNADGDPFIACNVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAILGDRQEDGDADDGVSDFNYSSENQNQKQKIAERMLSWHATYGRGEDVGAPNYDKEVSHNHIPLLTNGQEVSGELSAASPERLSMASPGVAGGKPNIRVVDPVREFGSPGLGNVAWKERVDGWKMKQEKNVVPLSTGQATSERGAGDIDASTDVLVDDSLLNDEARQPLSRKVSIPSSKINPYRMVIILRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAMSWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEWYFALKIDYLKDKVQTSFVKERRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFLMDPNLGKNVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGVQGPVYVGTGCVFNRTALYGYEPPLKPKHRKLGVLSSLCGGSRKKSSESSKKGSDKKKSGKHVDPTVPIFSLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPLWYGYGGRLKWLERFAYVNTTIYPVTAIPLVMYCTLPAVCLLTNKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYLFKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVKCVESTVKRGIPDKRLNAYLVSPSCKCQKYCCFKTHPELFCVTKRLFSMAIISSEEPVISRLDRVDNMLRQLEEIRGCSKSPRSSCASTPSSGTFTSEGHPSSVDLASPSSLEKHCRPIDHVMVETQVKGTLIQRLDQLEDRLLKLCLRLEEELEAEKRREESVEKRPAHKKGIKQFVKQCVKGKHSKHKLKQGTGVENLDVKIL >EOX96686 pep chromosome:Theobroma_cacao_20110822:1:38642504:38648963:1 gene:TCM_005879 transcript:EOX96686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase family protein isoform 3 MESEGDVGGKPMKNLGGQVCQICGDNVGKNADGDPFIACNVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAILGDRQEDGDADDGVSDFNYSSENQNQKQKIAERMLSWHATYGRGEDVGAPNYDKEVSHNHIPLLTNGQEVSGELSAASPERLSMASPGVAGGKPNIRVVDPVREFGSPGLGNVAWKERVDGWKMKQEKNVVPLSTGQATSERGAGDIDASTDVLVDDSLLNDEARQPLSRKVSIPSSKINPYRMVIILRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAMSWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEWYFALKIDYLKDKVQTSFVKERRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFLMDPNLGKNVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGVQGPVYVGTGCVFNRTALYGYEPPLKPKHRKLGVLSSLCGGSRKKSSESSKKGSDKKKSGKHVDPTVPIFSLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPLWYGYGGRLKWLERFAYVNTTIYPVTAIPLVMYCTLPAVCLLTNKFIIPQISNIASIWFISLFLSIFATGILEM >EOX96683 pep chromosome:Theobroma_cacao_20110822:1:38642349:38649921:1 gene:TCM_005879 transcript:EOX96683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase family protein isoform 3 MESEGDVGGKPMKNLGGQVCQICGDNVGKNADGDPFIACNVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAILGDRQEDGDADDGVSDFNYSSENQNQKQKIAERMLSWHATYGRGEDVGAPNYDKEVSHNHIPLLTNGQEVSGELSAASPERLSMASPGVAGGKPNIRVVDPVREFGSPGLGNVAWKERVDGWKMKQEKNVVPLSTGQATSERGAGDIDASTDVLVDDSLLNDEARQPLSRKVSIPSSKINPYRMVIILRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAMSWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEWYFALKIDYLKDKVQTSFVKERRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFLMDPNLGKNVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGVQGPVYVGTGCVFNRTALYGYEPPLKPKHRKLGVLSSLCGGSRKKSSESSKKGSDKKKSGKHVDPTVPIFSLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPLWYGYGGRLKWLERFAYVNTTIYPVTAIPLVMYCTLPAVCLLTNKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYLFKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVEVCGINC >EOX96175 pep chromosome:Theobroma_cacao_20110822:1:36872060:36874128:1 gene:TCM_005484 transcript:EOX96175 gene_biotype:protein_coding transcript_biotype:protein_coding description:FASCICLIN-like arabinogalactan protein 8 MGAFHPLNLFLFTLSLVAITAHAHNITAILEGFPDYSVYNSFLSQTKLADEINSRETITCLVLSNGAMSALTAKHPLSVIKNILSLHVLLDYYDPQKLHQISKGTTLTTTLYQTTGNAPGNLGFVNITDLQGGKVAFGSAIPGSKLDSSYTKSVKQIPYNISIIEISAPIIAPGILTAPAPSASDVNITGLLEKAGCKTFASLLISSGVLKTYESALDKGLTIFAPSDEAFKAEGVPDLSKLTNSDQVTLLQYHASPEYKPKGTLKTTKDPLNTLATSGAGKFHLKVTTAGDSVTLHTGVGSSRVAEAVFDSPPVVIFTVDNVLLPSELFGKSPSPAPAPEPNSSPSPTPSVSPSPAPASEAPSPLAASPPAPPTETPAGSPAEGPAGSSENSTSDNSAGHVSAPLLRTVIFTVFATVVFSALLS >EOX91002 pep chromosome:Theobroma_cacao_20110822:1:1297883:1298301:1 gene:TCM_000315 transcript:EOX91002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPRKNNESRKEEKKAQNKTGKRETTIENGRKTPEKKNAKSKQRKAQSTGNSKTNQPNSKREITNNKAYKSKNEERKLKKEVRKVV >EOX91211 pep chromosome:Theobroma_cacao_20110822:1:1944695:1947940:-1 gene:TCM_000472 transcript:EOX91211 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase, putative MAISVLSLKSMQVLFFILNYFFASYISAQSPHTNGADFSCSKSSTSCSTYAAYFAQEPDFLDLQKISDLFGTSPPETARASNLASVDTQLFPGQLLLVPITCGCTRKHYFANITYNIKPGDTFYIVSTTTFENLTNYTAVEDMNPDRDPRKLKVGDKVVFPLFCKCPSKDQGNGSTEFFISYVWQPNDSVWSVSAKFNASPLDIVDENKLNSYQNISLRVIPPLMIPVLKLPVLSQTHLSPLRGNKSKHQRILIVVISTVGSLFVFLLAAWLTYERKKIFKRNGSSLETVGLITEKALTKSQSFQPQIIQNKLLPGVSGYLGKPVMYEVDVIMVATMNLNEHCRIGGSVYRAIIDGKLLAIKKTKDDVTEELKILQKVNHANLVKLMGVSADSDGNCFLVYEYAENRSLDKWLHPKSSSSSSHVAFLTWSQRLHVALDVANGLQYMHEHTQPNIVHRDIRTSNILLDSTFRAKIANFFMARTTTNTVMPKVDVFAFGVVLLELLSGKEAMATKENGEIFLLWKNLREVLEIDEKKEKRLRTWMDPNLESFYPIDGALSLATLAMACTQENPLARPSMAEIVFSLSVLIQSSFETSEGSWASGIETELAQIINPVIAR >EOX94719 pep chromosome:Theobroma_cacao_20110822:1:31026416:31030759:-1 gene:TCM_004331 transcript:EOX94719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGGVERSCKQNQNWQVNRNPYKIFIMGRRFGFLKDGVLTETSTIAWRLNGEWLLDYRFRANMACFSL >EOX90697 pep chromosome:Theobroma_cacao_20110822:1:354629:356195:-1 gene:TCM_000084 transcript:EOX90697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding protein-like 12 MAKGSFKTLGGIEDESGTEQESEAESEDEEKQEQGEERRVMIRVVPRERFERRSLIQSHSASCRRGDGGGSLLCCQADECDTDLRDAKQYHRRHKVCERHAKAAFVSVKGIRQRFCQQCSRFHEISEFDSTKRSCRDRLAGHNERRRKVQSDQQAEDVERSPASEMNTSMVKMQAARHPKHGELTLQGCTDPKRFRIR >EOX90875 pep chromosome:Theobroma_cacao_20110822:1:890741:893862:1 gene:TCM_000222 transcript:EOX90875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAAEDDLDLSTLQSKLSETHEMWKQEMEKRQGQVDVLQAKIMEVKACIQGSEKESKKELDVLWRRVKTTATLLTYLKSKARVMAVPDLAHKSCGIKLLEGVGLVDKEGTPLSGWSRNVDLSSFDCPDEETWIGISRQQGSLDEQDGAYIGELIKSVQMVTDVMEVLVKRVIMAESETAIEKEKVTLGQEEIQKKAFQIENMSLKLEEMERFALGTNSILNEMRQRVEDLVEETSRQRLRAAENEHELSRVKQDFESLKSYVSSLISVRETLLSSEKQFQTIERLFERLVAKTTQLEGEKMQKEAEVQKLMEENVRLTALLDKKEAQLLAMNEQCKVMALSASNI >EOX90874 pep chromosome:Theobroma_cacao_20110822:1:890805:893862:1 gene:TCM_000222 transcript:EOX90874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAAEDDLDLSTLQSKLSETHEMWKQEMEKRQGQVDVLQAKIMEVKACIQGSEKESKKELDVLWRRVKTTATLLTYLKSKARVMAVPDLAHKSCGIKLLEGVGLVDKEGTPLSGWSRNVDLSSFDCPDEETWIGISRQQGSLDEQDGAYIGELIKSVQMVTDVMEVLVKRVIMAESETAIEKEKVTLGQEEIQKKAFQIENMSLKLEEMERFALGTNSILNEMRQRVEDLVEETSRQRLRAAENEHELSRVKQDFESLKSYVSSLISVRETLLSSEKQFQTIERLFERLVAKTTQLEGEKMQKEAEVQKLMEENVRLTALLDKKEAQLLAMNEQCKVMALSASNI >EOX90876 pep chromosome:Theobroma_cacao_20110822:1:891009:893824:1 gene:TCM_000222 transcript:EOX90876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAAEDDLDLSTLQSKLSETHEMWKQEMEKRQGQVDVLQAKIMEVKACIQGSEKESKKELDVLWRRVKTTATLLTYLKSKARVMAVPDLAHKSCGIKLLEGVGLVDKEGTPLSGWSRNVDLSSFDCPDEETWIGISRQQGSLDEQDGAYIGELIKSVQMVTDVMEVLVKRVIMAESETAIEKEKVTLGQEEIQKKAFQIENMSLKLEEMERFALGTNSILNEMRQRVEDLVEETSRQRLRAAENEHELSRVKQDFESLKSYVSSLISVRETLLSSEKQFQTIERLFERLVAKTTQLEGEKMQKEAEVQKLMEENVRLTALLDKKEAQLLAMNEQCKVMALSASNI >EOX94423 pep chromosome:Theobroma_cacao_20110822:1:28396594:28404186:1 gene:TCM_003997 transcript:EOX94423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERNGWERSHLRKQEAKMLLFRVGLFIFGYAEVPMDGMICHHKRQLPITKKRPKNIRESHVGVPTHRLSWRDAIGSNLVMSRWTPQGKICNFLINYEDVEISRVGKGRGSGRIAMRVVIP >EOX91051 pep chromosome:Theobroma_cacao_20110822:1:1455809:1457643:1 gene:TCM_000353 transcript:EOX91051 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-phosphopantetheine adenylyltransferase isoform 1 MTISEDQKESTLVNPKLSPPNSYGAVVLGGTFDRLHDGHHLFLNSAVELARDRIVVGVCDGPMLTKKQFTELIQPIEERMRNVENYIKSINPKLLVQVEPITDPYGPSIIDKNLEAIVVSKETLPGGVSVNKKRAERGLSQLKIEVVDLVSEESGEGKLSSTTLRKLEAERAKNQQPAQS >EOX91050 pep chromosome:Theobroma_cacao_20110822:1:1455714:1457536:1 gene:TCM_000353 transcript:EOX91050 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-phosphopantetheine adenylyltransferase isoform 1 MTISEDQKESTLVNPKLSPPNSYGAVVLGGTFDRLHDGHHLFLNSAVELARDRIVVGVCDGPMLTKKQFTELIQPIEERMRNVENYIKSINPKLLVQVEPITDPYGPSIIDKNLEAIVVSKETLPGGVSVNKKRAERGLSQLKIEVVDLVSEESGEGKLSSTTLRKLEAERAKNQQPAQS >EOX91052 pep chromosome:Theobroma_cacao_20110822:1:1455965:1457643:1 gene:TCM_000353 transcript:EOX91052 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-phosphopantetheine adenylyltransferase isoform 1 MTISEDQKESTLVNPKLSPPNSYGAVVLGGTFDRLHDGHHLFLNSAVELARDRIVVGVCDGPMLTKKQSINPKLLVQVEPITDPYGPSIIDKNLEAIVVSKETLPGGVSVNKKRAERGLSQLKIEVVDLVSEESGEGKLSSTTLRKLEAERAKNQQPAQS >EOX92183 pep chromosome:Theobroma_cacao_20110822:1:5648803:5652533:1 gene:TCM_001174 transcript:EOX92183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein MVRILHCQGLVIMLLVTCIFIALTTGPAAAIERHYIWEVSYQYKSLDCYKKLAIAINGLTPGPTISAVQGDTIVVDVVNNLLMENVAIHWHGIRQRGTPWSDGADGVTQCAIMPGETYRYTFVVDRAGTYMYHSHYGMQKEAGLYGMINVSLPLGVSEPFNYDFDRGIILSDWYHHTSYEQATGLSSIPFEWIGEPQSLLINGRGNYNCSGLAPIVCNSTNPQCSPSTLTVISGKTYRLRLASLTSLSSLSFQIEDHEMTVVEADGHYVEPFVTKNLYIYSGETYSVLVTANQDPSRNYWTSINVVTRKPQTPNGLAIFNYYPNHFHEFPPTNPPPGPLWNDTQSQMNQSVTIKARQGYIVTPPKKSDRVIVLLNTQNKINGYVRWSLNNVSHSLPSTPYLIALREHMTDVFDPTPPPEDYDSENYDIYSVSNNTNANSSTSIYRLQFNSTVDIILQSANSMTANCSEAHPWHLHGHDFWVLGYGEGKFNSSGDIEKYNLVDPIMKNTVPLHPYGWTALRFQADNPGVWLFHCHIEAHFFLGMLMVFESGVEKVGCIPKSNYGCGKTKSLIKR >EOX94580 pep chromosome:Theobroma_cacao_20110822:1:30319996:30321969:1 gene:TCM_004213 transcript:EOX94580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVDAHGKQRPNPIITGTATVAAWGLLSLMAFGLLLQLRVQNFKLSATTSGPWYAYPTLHLKFDLDGKSEGGNYIILSINRSLVSSEKVGALRICFGSLKELGLSTLAPANKAAPYPTRLLRRFQNLGCPLPQPSILGNTSTGAGKRVCISSNFASKNTKLQQPGTLVSSISHP >EOX96677 pep chromosome:Theobroma_cacao_20110822:1:38628573:38633342:-1 gene:TCM_005875 transcript:EOX96677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit A-1, putative isoform 2 MPAKPVNEDQQLDHGAQSVLQSTVFSEPWWKGVGTNPLGEAASNSSSMEQLHGSMANGAVQSQAHGGLGNGDGRNGQDRQHPKHAPSAAPLTLGEHLEPNSQMELVGHSIVLTAYPYSDPQYSGIMTSYGPQTMVSPHLYGMHHARMPLPLQMEEEPVYVNAKQYHGILRRRQIRAKAELEKKAFKARKPYLHESRHLHAMRRARGCGGRFLNTKKQDNDVTSPTSEECMNSDANLSIKSAHLSGSGCRSANLNSFYDQKEGNGSLVQDLPNAQSLANVNTNGHGLSSTYHSSSNDAVEGGWRSPALLCRQRWRYLPLRSWVVESLPEWHSTGNSFLALVTHG >EOX96678 pep chromosome:Theobroma_cacao_20110822:1:38628575:38633197:-1 gene:TCM_005875 transcript:EOX96678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit A-1, putative isoform 2 MPAKPVNEDQQLDHGAQSVLQSTVFSEPWWKGVGTNPLGEAASNSSSMEQLHGSMANGAVQSQAHGGLGNGDGRNGQDRQHPKHAPSAAPLTLGEHLEPNSQMELVGHSIVLTAYPYSDPQYSGIMTSYGPQTMVSPHLYGMHHARMPLPLQMEEEPVYVNAKQYHGILRRRQIRAKAELEKKAFKARKPYLHESRHLHAMRRARGCGGRFLNTKKQDNDVTSPTSEECMNSDANLSIKSAHLSGSGCRSANLNSFYDQKEGNGSLVQDLPNAQSLANVNTNGHGLSSTYHSSSNDAVEGNCFGQQRNIVQGNGAQDGAPSIK >EOX96679 pep chromosome:Theobroma_cacao_20110822:1:38628433:38633342:-1 gene:TCM_005875 transcript:EOX96679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit A-1, putative isoform 2 MPAKPVNEDQQLDHGAQSVLQSTVFSEPWWKGVGTNPLGEAASNSSSMEQLHGSMANGAVQSQAHGGLGNGDGRNGQDRQHPKHAPSAAPLTLGEHLEPNSQMELVGHSIVLTAYPYSDPQYSGIMTSYGPQTMVSPHLYGMHHARMPLPLQMEEEPVYVNAKQYHGILRRRQIRAKAELEKKAFKARKPYLHESRHLHAMRRARGCGGRFLNTKKQDNDVTSPTSEECMNSDANLSIKSAHLSGSGCRSANLNSFYDQKEGNGSLVQDLPNAQSLANVNTNGHGLSSTYHSSSNDAVEGNCFGQQRNIVQGNGAQDGAPSIK >EOX93981 pep chromosome:Theobroma_cacao_20110822:1:18060718:18068324:-1 gene:TCM_003006 transcript:EOX93981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTFYNGLNGHARTTIDVATEGALMAISIDEAYDLLEEIVTNNYQWLVERLMHRRVARIHDVDAFSVLSAQRTALTKTLESFGINRLQSPFIACELCKDNHASH >EOX92229 pep chromosome:Theobroma_cacao_20110822:1:5847444:5849101:-1 gene:TCM_001210 transcript:EOX92229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIETRNSAYSLLNTAKYLLSGIVRDADKIALFGSAKKKSSDNIDPAARVEPRSLLLTVKGDAGQRCLVCYYTWQAMILVS >EOX96546 pep chromosome:Theobroma_cacao_20110822:1:38209794:38212528:-1 gene:TCM_005780 transcript:EOX96546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MAEITLLVVFTSLVVLAVHLVNALVLKPKRLRSKLQEQGIKGPPPAFLLGNILDIKRIESSQRSPEEQPNAHLDHAWPLKAYPYIEQWRNEYGPVFMYSTGNIQFLCMTDVEMVKEINLCTSLSIGKPSFLSKYFGPLLGEGILASSGPLWAHHRKIIAPELYIDKVKGIVNLMVESTNSMIKSWENRVEGKGGLAEIEVDQDLRSLSADIISRACFGSSYSKGEEIFFQIRTLQKVLPKGKRFVGVPGLRLLPTKNNREKWRLEREVNSKILEVVKQRIEAGHEKDLLQMILEGAKSSVDQGGLSSDKFVVDNCKNIYYAGHETTAMTASWCLVLLAAHPDWQARVHAEVLEICKDGILEADMLRSMKVLTMVIHETLRLYPPAVFVMREVLQDIKFRGVMIPKGTNIQIPVATLHQITDLWGPDVHQFNPERFAGGVLGACKDPQAYLPFGVGARTCVGQHFAMTELKAVLSLILSKFSFSLSLTYHHSPVTRMVLEPEHGISLYVRRL >EOX95667 pep chromosome:Theobroma_cacao_20110822:1:35124893:35125634:-1 gene:TCM_005116 transcript:EOX95667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENGCGFISCDKLDRMATWVGSNMASAFFASLERCSCINLSTTDFDDEEEAKDRPLMLTKPIVHDETETEPQPEPASQTHPTANKLNV >EOX96276 pep chromosome:Theobroma_cacao_20110822:1:37197906:37199690:1 gene:TCM_005554 transcript:EOX96276 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein MLFSSHQPRWLQVLLTEKFFNACIIHEDAKKNEKNIYCLDCCISICPHCLSPHSSHRLLQIRRYVYHDVIRLDDATKLMDCSFVQSYITNSAKVIFINQRPQTRQFRGSGNFCSTCDRSLQDPYLFCSLSCKINYLLRTEDGLSKFLFECNFLPLPEAGLDDGLVTPDSVLEPSGSTKTSSGSGGYGEVWCRAVACTATTEIVKKKRSSLTVCRPTCPPASEVSGSLINRRKKTPHRAPLY >EOX91517 pep chromosome:Theobroma_cacao_20110822:1:2971013:2973881:1 gene:TCM_000677 transcript:EOX91517 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein MTTDFKSIPIIDVGPLVAKGDDLKMGQDADVREVVKQLDQACRETGFFYVKGHGVPESLINEVKDVTHKFFNLPYDEKLKIKMTPSRGYRGYQKLKENITKGVPDLQEAIDYYREVKRGMYGSLGEILEGCNLWPQDPTNFKASMEEYVRLCTDLSRKIMRGIALALGGSADEFEGEKGGDPFWVMRLIGYPGKSPTNGQDNDIGCGIHTDYGLLTLINQDDDITALEVKNLSGEWIPATPIPGTFVCNIGDMLKIWSNGLYEATLHRVINNSPKYRVCVAYFYEPNFDTLVEPLEMCVEKSGGTRLKERAVYGQHLVNKVKSNFVM >EOX94350 pep chromosome:Theobroma_cacao_20110822:1:28024234:28028021:-1 gene:TCM_003942 transcript:EOX94350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein MSLSKLTKISELGLSNNSLSGQILPSLITNWTNLISLQLQYNSFTGKIPPELGLLTKLNYLFLLNNKLSGLIPTEIGNLENLIMLSLSTNQLSGSIPPTIWNLKNPELLQLFANNLNGTIPPEIGRIPRDFGRYIPRLAYVSFSNNSFSGELPPELCSGFALEYFSVNGKNFSGPLPACLRSCSGLNRVRLDGNRFSGDITNAFGVHPNLEYITLSDNQFISEISPEWGECKSLTNLQMDRKRISREIPAELGNLTLLRVLTLSSNELVGNISTELGNLKMLFNINLSQNHLSGQIPQSIGNLVDLQYLDLSKNSLTGIAPEELKYCDKLLSLSLSHSNLSGAIARGLGSLIFLQYSLDLSSNSFSGKISPELGKLVSLENLNVSGNDLSGEIPTTLPGMISLHSFDFSYNELTGPIPTDGPFQKAPSEAFAGNSGLCVYVDGLPPCNSISTSKRFRMRTVKVVVVVLSVFGVLALIAAAVFARHRLRNQPGGEAEGSEFEGSESIWFRGKNFKFSDIVKSTEDFNEKYCIGKGGFRSVYEALLPTGQIVAVKKLNISDSSGILVTNRQSFENEIRLLTEVRHRNIIKLYGFCSHRGLIYLVYEYMERGSLKSVLHGAEGEVELGWATRTYLRVTFCWKQDLNQNSQILALQDCWILIHPIGHQLQDIFATWLQLWSSDIGVYDGKASRGTLESPIIINSVSRKYRSVVEGFARPTTASSHRPNSRGSCVCSDYGLSMHKYQGRVKTHHAFCGRRTISKNTGFRG >EOX92545 pep chromosome:Theobroma_cacao_20110822:1:7397990:7403746:1 gene:TCM_001480 transcript:EOX92545 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair metallo-beta-lactamase family protein, putative isoform 2 MPVEMPKGLPFSVDTFSPSSKRKRHHFLTHAHKDHTSGISTHFSYPIYSTHLTKSLVLQHCPQLDDTLFVGIEAGESVVIDDPDGEFQVTAFDANHCPGAVMFLFEGNFGNILHTGDCRLTPECLQNLPEKYISRKGKEPLCRLDYVFLDCTFGRFSQSLPSKQSAIRQVINCIWKHPNAPMVYLTCDLLGQEEILTSIYRTFGSKIRVDKATNPDCFQSLRIIVPEILSEDPSSRFQVFGGFPKLSERATAKIAEAQANFQPEPLIIRPSAMWYACEEERSEIDSRWKIRFNEAIKDQFGVWHVCYSTHSSREELEWALILLAPKRVVSTTPSCWAMELDYVRKHCCDTKISSDDPLWKLLDIDVDACPQVNSPIKIVACSPMVEGPTQSYAELELRPINVSSCKKMLLTLSPPSKRPPVTLFGQARVGLHDSSIAHEAKIIHKRDNPPCVVSKMEQVSVIQEDTNDDSGNRLQNKLVAEDAALQCKKLVRSEPCEKRSENKLDTNDTVMLSEEMRRETYYEYIFENEQVDETAMLCEKLTRKEIHNKCSYSIGSSKSYSDSFRKLYRSMNVPVPKPLPSLVELMNSSKRSRRV >EOX92546 pep chromosome:Theobroma_cacao_20110822:1:7399481:7403712:1 gene:TCM_001480 transcript:EOX92546 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair metallo-beta-lactamase family protein, putative isoform 2 MFLFEGNFGNILHTGDCRLTPECLQNLPEKYISRKGKEPLCRLDYVFLDCTFGRFSQSLPSKQSAIRQVINCIWKHPNAPMVYLTCDLLGQEEILTSIYRTFGSKIRVDKATNPDCFQSLRIIVPEILSEDPSSRFQVFGGFPKLSERATAKIAEAQANFQPEPLIIRPSAMWYACEEERSEIDSRWKIRFNEAIKDQFGVWHVCYSTHSSREELEWALILLAPKRVVSTTPSCWAMELDYVRKHCCDTKISSDDPLWKLLDIDVDACPQVNSPIKIVACSPMVEGPTQSYAELELRPINVSSCKKMLLTLSPPSKRPPVTLFGQARVGLHDSSIAHEAKIIHKRDNPPCVVSKMEQVSVIQEDTNDDSGNRLQNKLVAEDAALQCKKLVRSEPCEKRSENKLDTNDTVMLSEEMRRETYYEYIFENEQVDETAMLCEKLTRKEIHNKCSYSIGSSKSYSDSFRKLYRSMNVPVPKPLPSLVELMNSSKRSRRV >EOX95024 pep chromosome:Theobroma_cacao_20110822:1:32620568:32630402:1 gene:TCM_004617 transcript:EOX95024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein MAVSALALNQQLLCFSNSRTRAISRPWFPKKETLVNSHKAHIFIVSCSSSSTSQSPEANTQSAESCVNLGLSLFSKGRVSTLNKYSIISCLRLQHIALVVRYIKDLNFTVKDALVQFETALTLDPNPEEAQAALYNKACCHAYRGEGKKAADCLRTALRDYNLKFGTILNDPDLASFRASPEFKELQEEARLGGEDIGYSFRRDLKLISEVQAPFRGVRRFFYVAFSAAAGISLFFTLPRLVSAIKGDDGAPDLWETAGNAAVNIGGIIVLVALFFWDNKKEEEQLAQISRDETLSRLPLLLSTNRVVELMQLRDTVRPVILAGKKETVSLAVQKAERFRTELLRRGVLVVPVIWGEGRTPQVEKKGFGASPKAAVALPSIGEDFEKRAQSITAKSKLKAEIRFRAEVVSPEEWERQPATPYFYVIVLSLWIRDQQKSEGVTPGEDVYIILRLDGRVRRSGKGMPDWPQIVQELPPMEALLSKLER >EOX96536 pep chromosome:Theobroma_cacao_20110822:1:38180602:38182880:1 gene:TCM_005773 transcript:EOX96536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative MSNPSSPSPQRDPPSNTSPPPPSELPLALPAPPAAASTPPTAATVTPNPRRLPPPCWSHDETVALIDAYRDKWYTLRRGNLKASHWQEVADAVARRCPLATPPKTAVQCRHKMEKLRKRYRTEIQRARSMPVSRFTSSWVHFKRMDAMEKGPNVKPDYNSDSPDEENDEDDEDDQDHDFYEDGYKNGSVNTRSVQKLYRNGIGNSGGSVSGSGGAGGSSGGFRIRIPTGVSIAQPGPRYYGKLDQKYGASPNSNPNPNANPHPNKGNFSVSGSGSGYGTRVLRGFEETPGKTAASGKRERDAVAEMVTAIKVLGDGFVRMEQMKMEMAREIETMRMEMEMKRTEMILESQQRIVEAFAKAVSERKKKPKRMPSPES >EOX96049 pep chromosome:Theobroma_cacao_20110822:1:36431972:36434792:-1 gene:TCM_005395 transcript:EOX96049 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MSKKKVLVVGGTGYLGQHVLQGFAEIRGTPYDVAFTYNSFPPQPLLDAFPDSFAFQVDLKTGLGFHSISQQFGQPDVVVNCAALSVPRACEKDPDAATSINVPTSLVNWLSSFTQDDNTLLIHLSTDQVYEGVKSFYKEEDEAVPVNVYGKSKVAAERFISEKWANFAILRSSIIFGPQTVSPVPKSLPIQWIDGVLSKGDKVEFFYDEYRCPVYVKDVVTIILTLIDKWFSEGKKTQLLLNVGGPDRVSRVQMAEAVAQIGGHDSSLIKPISASSVDRGVMSPADISMDITKLVQTLNISPTPFKDGVKLTLAVETCS >EOX96050 pep chromosome:Theobroma_cacao_20110822:1:36431934:36434594:-1 gene:TCM_005395 transcript:EOX96050 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MSKKKVLVVGGTGYLGQHVLQGFAEIRGTPYDVAFTYNSFPPQPLLDAFPDSFAFQVDLKTGLGFHSISQQFGQPDVVVNCAALSVPRACEKDPDAATSINVPTSLVNWLSSFTQDDNTLLIHLSTDQVYEGVKSFYKEEDEAVPVNVYGKSKVAAERFISEKWANFAILRSSIIFGPQTVSPVPKSLPIQWIDGVLSKGDKVEFFYDEYRCPVYVKDVVTIILTLIDKWFSEGKKTQLLLNVGGPDRVSRVQMAEAVAQIGGHDSSLIKPISASSVDRGVMSPADISMDITKLVQTLNISPTPFKDGVKLTLAVETCS >EOX92112 pep chromosome:Theobroma_cacao_20110822:1:5313146:5316616:-1 gene:TCM_001115 transcript:EOX92112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein MSPRVWLYSILLTFQYGAQPLISKRFTRREVIVTSSVLTCEIAKVICALILMAKDGTLKKMAKEWTLVGSLTASGLPAAIYALQNSLLQISYRNLDSLTFSMLNQTKIFFTALFTYIILRQKQSIQQIGALFLLIMAAVLLSIGEGSSKGSNSRDPDQILFYGIVPVLVASVLSGLASALCQWASQVKKHSSYLMTVEMSIVGSLCLLASTSKSPDGEAIRRHGFFYGWTPLTLIPVVANALGGILVGLVTSLAGGVRKGFVIVSALLVTAMLQFLFEGKPPSVYCLVALPLVISSISIYQKYPYRVKKKEA >EOX94198 pep chromosome:Theobroma_cacao_20110822:1:25283756:25285414:1 gene:TCM_003655 transcript:EOX94198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTATLFSFYSFKWQHTTSIFLAQTDSNTAFLSHPLVAIFLLSHQHYFSLFFASYTTLSSCHFLLSHQSAVPALSFSLKVGFFFFSLSFFFFFFGRHSSDFSFFSLSFSYLLFLFTFFRFLILCRPHHHHYFFSFFCLHSMWPAPTHSIQKYWFSFLFYHMGGYPCWSFPFFFYNYIYIHF >EOX95479 pep chromosome:Theobroma_cacao_20110822:1:34346262:34349003:1 gene:TCM_004962 transcript:EOX95479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 709, subfamily B, polypeptide 2, putative isoform 2 MDYVSLALKTALVVVLVSKILQVFKIVVWRPHALTKCFKKQGIRGPPYSLLRGSLDEIKKLRQAAEEIILDTNSNDIVKRVLPHYYIWSSEYGETFLYWHGTDPRLCISDPELAKQILSNKFGYYSKPRARPSVEMLAGKGLALINGLDWVRRRRILNPAFSLDKIKHLHVHGLKGIWILQVMVKRMVVCSISMFEEWKHQAELAEDHCKKIEICGEFQKLTADIIAHTAFGSSYIHGREAFTAQRELQGWCAASSANIFIPGSQYLPTPSNLQMWKLDRKVKGSLSSIMKSRLTSKISGGADCPYGDDLLGLMMAAADPTQSKGNLMLHMDEILEECKTFFIAGHETTSNLLTWTMLLLSIHPDWQAKLRQEVWEECGMGIPDADMLAKLKLVNMVLLEVLRLYCPVILLMREASEDMKLGNLMIPKHTLLTIPVVKIHRSKEYWGEDADEFNPMRFMNGISKAAKHPNALMAFSIGPRACIGQNFAMLEAKAVLALILQRFSFSHSPDYKHAPADYLALQPQHGLPIIVKPLNM >EOX95480 pep chromosome:Theobroma_cacao_20110822:1:34346836:34349015:1 gene:TCM_004962 transcript:EOX95480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 709, subfamily B, polypeptide 2, putative isoform 2 MNQLCMLFSCHTGETFLYWHGTDPRLCISDPELAKQILSNKFGYYSKPRARPSVEMLAGKGLALINGLDWVRRRRILNPAFSLDKIKVMVKRMVVCSISMFEEWKHQAELAEDHCKKIEICGEFQKLTADIIAHTAFGSSYIHGREAFTAQRELQGWCAASSANIFIPGSQYLPTPSNLQMWKLDRKVKGSLSSIMKSRLTSKISGGADCPYGDDLLGLMMAAADPTQSKGNLMLHMDEILEECKTFFIAGHETTSNLLTWTMLLLSIHPDWQAKLRQEVWEECGMGIPDADMLAKLKLVNMVLLEVLRLYCPVILLMREASEDMKLGNLMIPKHTLLTIPVVKIHRSKEYWGEDADEFNPMRFMNGISKAAKHPNALMAFSIGPRACIGQNFAMLEAKAVLALILQRFSFSHSPDYKHAPADYLALQPQHGLPIIVKPLNM >EOX92334 pep chromosome:Theobroma_cacao_20110822:1:6338639:6344173:1 gene:TCM_001295 transcript:EOX92334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase MGCVQGKYTSTYSPPQGLEKLKQERGYVRRGNEVRRLSGKSGNRVMLVRHEGEDKNVGMVNGADGGGEKAVTREGEMARNNGANGNVPQRNVSNKIGGDELVDGWPKWLVDNIPREVLAGLVPRSADSYDKLAKVGQGTYSNVYKARDRDTGKIVALKKVRFNTSEPESVKFMAREIMILQKLNHPNIISLEGLATSRMQYSLYLVFDFMHTDLTKVISRPGERLTEPQIKCYMQQLLSGLQHCHERGILHRDIKGSNLLIDRNGVLKIADFGLANSFIPKPKRPLTSRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAEMFVGRPIMPGRTEVEQLHRIFKLCGSPSEDYWKKMKLPASFRPPQHYKPGYQEAFGDFPDTSFGLLTVLLALDPLHRGTAASALQSEFFSTSPLACDLSGIPVIYKEEDEPYKTKNRKKRIAWKAKQSSGKKHGGHETMDLMREPKKDDTESSREEKPKVYETWTSAGSTSSSVKPIKDEESLRGSLSPILRSKSQIAPRTEGHPNATQNILNFTLLQASITDIMNNKLGNPQYRRSISTLDSRTLDPEKISKLFGVE >EOX91916 pep chromosome:Theobroma_cacao_20110822:1:4465917:4473676:1 gene:TCM_000967 transcript:EOX91916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MTNVQLSSSLPKYPPSAPGASLLPPPSMNGSPTITTVRAPTMRNPIAIVNPGQSFHFLSILAKSLSSFPSSLPLDPDPPDHDIPLLLHSILSKPNWQRHPSLPKLIPSISPSHVHSLFSLNPNLLPKTALDFSYWISKKPNFKHSVFSYSALLNIVVTNKFFGPAEKIRLAMIKSSSSIHETRFVLEFLTEMNKNNQLHSTFKLTVRSYNFLLMSLSKFSMIDEMKSVYSGMLNDMVSPNIYTWNTMVNAYCKIGNVVEADLYVSKIVLAGLSPDTFTFTSLILGHCRNKDVDSAFRVFRVMPNKGCHRNEVSYTNLIHGLCEAGRVDEAIKLFEKMEEDFCYPTVRTYTVIISGLCEVGRKTEGMNLFEEMSRKGCEPNAHTYTVIIDSLCKENKVDEARKMLDGMLEKRLVPSVVTYNALIDGYCKHGLMEAALEILGLMESNNCCPNDRTYNELIAGLCKKKNVHKAMAFLDKMLELKLVPSVVTYNSLIHGQCKIGQLDSAFRLLEMMRENGLVPDQWTYSVLIDSLCKVDRVEEARFLFDSLKGKSLKANEVIYTALIDGYCKIGKVEDAHSLLDRMLTEDCLPNSCTYNALIDGLCNRKNMKEALFMVEKMVGMGVKPTVHTYTILIEWMLKEGDFDHAHRALDQLFSSGCQPDVFTYTAFIHAYCGVGRLKEAEDVMIRMKKEGIFPDSLTYTLLLDAYGCLGSVHSAFDVLKRMFDAGCEPSHHTYSFLIKHLSKKQGTKDDSPAVHLVLNATLVNHADVWKTMEFDTALELFEKMHQHGCVPNINTYSKLIIGLCKVGRFEVAQRLFDHMREQGISPSEDVYNSLLSCCCELGMYDDAVIVVDLMISSGQLPNLEYYKQLVCGLCAEGNKEKSNMVFDNLLRCGYNSDEVAWKVLIDGLLKKGLADRCSELLSIMEKMGCQLHPNTYSMLIAGLEET >EOX91915 pep chromosome:Theobroma_cacao_20110822:1:4469187:4473833:1 gene:TCM_000967 transcript:EOX91915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MTNVQLSSSLPKYPPSAPGASLLPPPSMNGSPTITTVRAPTMRNPIAIVNPGQSFHFLSILAKSLSSFPSSLPLDPDPPDHDIPLLLHSILSKPNWQRHPSLPKLIPSISPSHVHSLFSLNPNLLPKTALDFSYWISKKPNFKHSVFSYSALLNIVVTNKFFGPAEKIRLAMIKSSSSIHETRFVLEFLTEMNKNNQLHSTFKLTVRSYNFLLMSLSKFSMIDEMKSVYSGMLNDMVSPNIYTWNTMVNAYCKIGNVVEADLYVSKIVLAGLSPDTFTFTSLILGHCRNKDVDSAFRVFRVMPNKGCHRNEVSYTNLIHGLCEAGRVDEAIKLFEKMEEDFCYPTVRTYTVIISGLCEVGRKTEGMNLFEEMSRKGCEPNAHTYTVIIDSLCKENKVDEARKMLDGMLEKRLVPSVVTYNALIDGYCKHGLMEAALEILGLMESNNCCPNDRTYNELIAGLCKKKNVHKAMAFLDKMLELKLVPSVVTYNSLIHGQCKIGQLDSAFRLLEMMRENGLVPDQWTYSVLIDSLCKVDRVEEARFLFDSLKGKSLKANEVIYTALIDGYCKIGKVEDAHSLLDRMLTEDCLPNSCTYNALIDGLCNRKNMKEALFMVEKMVGMGVKPTVHTYTILIEWMLKEGDFDHAHRALDQLFSSGCQPDVFTYTAFIHAYCGVGRLKEAEDVMIRMKKEGIFPDSLTYTLLLDAYGCLGSVHSAFDVLKRMFDAGCEPSHHTYSFLIKHLSKKQGTKDDSPAVHLVLNATLVNHADVWKTMEFDTALELFEKMHQHGCVPNINTYSKLIIGLCKVGRFEVAQRLFDHMREQGISPSEDVYNSLLSCCCELGMYDDAVIVVDLMISSGQLPNLEYYKQLVCGLCAEGNKEKSNMVFDNLLRCGYNSDEVAWKVLIDGLLKKGLADRCSELLSIMEKMGCQLHPNTYSMLIAGLEET >EOX95067 pep chromosome:Theobroma_cacao_20110822:1:32783549:32787401:-1 gene:TCM_004646 transcript:EOX95067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 11 isoform 1 MAEMGLDAYRFSISWSRLIPNGRGPLNPKGVQYYNNLISELISHGIQPHVTLNNADLPQALEDEYGGWINRKIVKDFTAYANVCFREFGDRVSYWTTVNEPNVFAIGGYDQGVIPPRHCSSPFGVNCTRGDSSTEPYTVVHNILLAHASAARLYKRKYQEKQNGFIGISIYTLGAIPNTNSTEDAMAAQRINDFYIGWIANPLVFGDYPDTMKEIVGSRIPTFTNHESELVRGSFDFLGVIHYTTCYVEDDPGSLVLKQRDFNIDVAAKIKNMEDIFLDSEYPILPSGLQVVLEYIKQVYENPAQFNLGRHIESGISASIYWECAGCSKEWIGYKRVLLMVLPRCAGDTGWLSIRFWLLLCRFG >EOX95066 pep chromosome:Theobroma_cacao_20110822:1:32783549:32787401:-1 gene:TCM_004646 transcript:EOX95066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 11 isoform 1 MAEMGLDAYRFSISWSRLIPNGRGPLNPKGVQYYNNLISELISHGIQPHVTLNNADLPQALEDEYGGWINRKIVKDFTAYANVCFREFGDRVSYWTTVNEPNVFAIGGYDQGVIPPRHCSSPFGVNCTRGDSSTEPYTVVHNILLAHASAARLYKRKYQEKQNGFIGISIYTLGAIPNTNSTEDAMAAQRINDFYIGWIANPLVFGDYPDTMKEIVGSRIPTFTNHESELVRGSFDFLGVIHYTTCYVEDDPGSLVLKQRDFNIDVAAKIKNMEDIFLDSEYPILPSGLQVVLEYIKQVYGQRTQRNSTLEDTSRVEYLQAYIGSVLDAVRNGSDTRGYFSWSFLDVLEILDGYRSGRKRQFGQSDRSQE >EOX95065 pep chromosome:Theobroma_cacao_20110822:1:32782840:32788533:-1 gene:TCM_004646 transcript:EOX95065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 11 isoform 1 MRSLIFLLIRILLHMPIAVLCADKYSRYDFPPGFVFGSATAAYQVEGAASENGRTPSIWDTFAHAGYANGATGDVAVDQYHKYKEDVKLMAEMGLDAYRFSISWSRLIPNGRGPLNPKGVQYYNNLISELISHGIQPHVTLNNADLPQALEDEYGGWINRKIVKDFTAYANVCFREFGDRVSYWTTVNEPNVFAIGGYDQGVIPPRHCSSPFGVNCTRGDSSTEPYTVVHNILLAHASAARLYKRKYQEKQNGFIGISIYTLGAIPNTNSTEDAMAAQRINDFYIGWIANPLVFGDYPDTMKEIVGSRIPTFTNHESELVRGSFDFLGVIHYTTCYVEDDPGSLVLKQRDFNIDVAAKIKNMEDIFLDSEYPILPSGLQVVLEYIKQVYGNPPLYILENGQRTQRNSTLEDTSRVEYLQAYIGSVLDAVRNGSDTRGYFSWSFLDVLEILDGYRSGFGFYYVDLDDPDLKRQPKLSAYWYSHFLKGGSVSSDKVIDLKNKFSALSPGHFRQ >EOX96384 pep chromosome:Theobroma_cacao_20110822:1:37575684:37580704:1 gene:TCM_005635 transcript:EOX96384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MREAERCRNDESSTPLVAQNDGLGFDGIIPQLFTSLPALNEAASYLAQTTTYLTGCFSDYSVEPAARDSTDSVVHAQELVTFSSGRPEESVISDHPSATGSSSTSFESSSSATTAPANHVGVARASAGDLSENTDAIVQSNQTGQNGISIFQGLIERARRTVRGSADDIGWLKCDPEMPSVEDGTERFTEILDNIRHGLHKLPSSMVYLLVPGLFSNHGPLYFVSTKTSFSKMGLTCHIAKIHSEASVEKNAREIKDYIEEIYWGSKKRVLLLGHSKGGVDAAAALSIYWSDLKDKVAGLALAQSPYGGSPIASDILRQGQLGDYVNLRKLMEILICKVIKGDMQALEDLTYERRKEFLKKHHLPRELPVVSFHTEAGISPAVLATLSHVAHAELPLMAPLSAGQPARLPVVMPLGAAMAACAQLLQVRYGEKSDGLVTRCDAEVPGSVVVRPKRKLDHAWMVYSSLKDDPSEADAAQVCEALLTLLVEVGQRKRHELSMKDE >EOX96335 pep chromosome:Theobroma_cacao_20110822:1:37413707:37414848:-1 gene:TCM_005606 transcript:EOX96335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin p3,2 MAASNSLTISPRKLRSDLYCYSYQNDANTPLVISVLASLIERTMTRNERIAKNCKWSLTKDYRSRVFDCHETPDMTIQSYLERIFRYTKAGPSVYVVAYVYIDRFCQANPGFRINARNVHRLLITTIMVASKYVEDMNYRNSYFARVGGLTTNELNNLELEFLFLMGFKLHVNVSVFESYCCHLEREVSIGGGYHIERTLRCAEEIKSRQNEERRYNQIARIML >EOX92630 pep chromosome:Theobroma_cacao_20110822:1:7815395:7815826:1 gene:TCM_001548 transcript:EOX92630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQTVCEVVWMYQLLSEVGLKSSLPEKLWCDNQAALHIASNPVFHERTKHIEIDCHFVREKIQQKFISTGYVKIEDQLEDIFTKALNGPRFDDNRSKLGMINIYATT >EOX91831 pep chromosome:Theobroma_cacao_20110822:1:4061057:4062290:-1 gene:TCM_000901 transcript:EOX91831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTILMTLNENIGCLSFQSKRAVFPFAYGEEIVHELEMIRHYDVMLPKKKLIFYHFHRHSDYFLLNYDHLKMVSIAQFKGLSILNNFVDILNPF >EOX91832 pep chromosome:Theobroma_cacao_20110822:1:4061082:4062294:-1 gene:TCM_000901 transcript:EOX91832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTILMTLNENIGCLSFQSKRAVFPFAYGEEIVHELEMIRHYDVMLPKKKLIFYHFHRHSDYFLLNYDHLKMVSIAQFKGLSILNNFVDILNPF >EOX94649 pep chromosome:Theobroma_cacao_20110822:1:30709140:30731414:1 gene:TCM_004278 transcript:EOX94649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MLTWQTNLPLEPGSSLLSPFTNSLHCSPWWLHTINENQELVNDAATMSFKLVLVVLGFLVCFTTPSYAESSTCLMVYKEGGAPAVFQSPKCPLWKLPNHDSGKSAATSTTARCQSALLRGRRKHMEDRTLCMLDLHIPFPTGKMGVKQVTVGIVAVFDGHNGAEASEMASKLLLDYFALHTYFLLDATFSVILKRPSGRLPNMGERDIVFQVLNWDEELGGHELNFERFKFSVPENLDDSFHLDILKEALLRAVHDIDVVFSKEASRKNLGSGSTATVILLADGQILVANIGDSKAILCSEKFLSPVEAKASLLQLYREQRRNGVVSPLRNFNFKLTASNGLLRYIVKELTRDHHPDRDDERSRVEAAGGYVVDWGGVPRVNGQLAISRAIGDVLFKSYGVTAAPEVTDWQSLTANDSYLVVGSDGVFEKLSLQDVCDLLWEVKAHGTMGSGLSSSCSLSLADCLVNTAFEKGSMDNMAATVVPLGSAYHSQSLLNERCGRKGQKEFPSNGLQEFIYERSGNGIIADLLQLEHTHPIRTKFSRLLVEGKRGSYGCFYLFEKLDNDVDDTIQTRKEDQEDYVIGVRHALPNAFEQPCGGPLNVYSDRSLCLNFGMTVDGANDQCVNPESFASFLGLLESIPFHDTSSSYGSEEYPMPDSRYVLKKRFGRGSYGEVWLSFSWNCHQGSNASSWSEENQNTIFGGSSSCSNTSSHDSNAGFPDGNLFILKRIMVERGASVYLSGLREKYFGEVFLNASRNLGSFPSAEVLEPFLEESQSVFNDPLDMNPELGITWSSEKIGWHKAAYEEGLNHIARYVESFESRSNEIWLVFHYEGMSLSKLMYTVEEAEKNATEEKVEEVKQVQVLRPSKWWHWLKTTEEGHEEMRNLIRQLLVALKSCHDRNITHRDIKPENMVICFEDQETGRCLRGIPSGDKNFTTRMRIIDFGSAIDGFTMKHLYGSTGPSRSEQTHDYSPPEALLNASWYQGATSTTLKYDMWSVGVVVLEMILGSPNVFQISAVTRTLLDHHLEGWNEGLKELAYKLRSFMELCILITGSSSKHHRAMNRGGISPASWKCSEEFFSHQIRSRDPLKLGFPNVWALRLVRDLLLWDPDDRLSVDDALRHPYFQPRKS >EOX94652 pep chromosome:Theobroma_cacao_20110822:1:30709140:30731911:1 gene:TCM_004278 transcript:EOX94652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MLTWQTNLPLEPGSSLLSPFTNSLHCSPWWLHTINENQELVNDAATMSFKLVLVVLGFLVCFTTPSYAESSTCLMVYKEGGAPAVFQSPKCPLWKLPNHDSGKSAATSTTARCQSALLRGRRKHMEDRTLCMLDLHIPFPSKMGVKQVTVGIVAVFDGHNGAEASEMASKLLLDYFALHTYFLLDATFSVILKRPSGRLPNMGERDIVFQVLNWDEELGGHELNFERFKFSVPENLDDSFHLDILKEALLRAVHDIDVVFSKEASRKNLGSGSTATVILLADGQILVANIGDSKAILCSEKFLSPVEAKASLLQLYREQRRNGVVSPLRNFNFKLTASNGLLRYIVKELTRDHHPDRDDERSRVEAAGGYVVDWGGVPRVNGQLAISRAIGDVLFKSYGVTAAPEVTDWQSLTANDSYLVVGSDGVFEKLSLQDVCDLLWEVKAHGTMGSGLSSSCSLSLADCLVNTAFEKGSMDNMAATVVPLGSAYHSQSLLNERCGRKGQKEFPSNGLQEFIYERSGNGIIADLLQLEHTHPIRTKFSRLLVEGKRGSYGCFYLFEKLDNDVDDTIQTRKEDQEDYVIGVRHALPNAFEQPCGGPLNVYSDRSLCLNFGMTVDGANDQCVNPESFASFLGLLESIPFHDTSSSYGSEEYPMPDSRYVLKKRFGRGSYGEVWLSFSWNCHQGSNASSWSEENQNTIFGGSSSCSNTSSHDSNAGFPDGNLFILKRIMVERGASVYLSGLREKYFGEVFLNASRNLGSFPSAEVLEPFLEESQSVFNDPLDMNPELGITWSSEKIGWHKAAYEEGLNHIARYVESFESRSNEIWLVFHYEGMSLSKLMYTVEEAEKNATEEKVEEVKQVQVLRPSKWWHWLKTTEEGHEEMRNLIRQLLVALKSCHDRNITHRDIKPENMVICFEDQETGRCLRGIPSGDKNFTTRMRIIDFGSAIDGFTMKHLYGSTGPSRSEQTHDYSPPEALLNASWYQGATSTTLKYDMWSVGVVVLEMILGSPNVFQISAVTRTLLDHHLEGWNEGLKELAYKLRSFMELCILITGSSSKHHRAMNRGGISPASWKCSEEFFSHQIRSRDPLKLGFPNVWALRLVRDLLLWDPDDRLSVDDALRHPYFQPRKS >EOX94656 pep chromosome:Theobroma_cacao_20110822:1:30714596:30724258:1 gene:TCM_004278 transcript:EOX94656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MLTWQTNLPLEPGSSLLSPFTNSLHCSPWWLHTINENQELVNDAATMSFKLVLVVLGFLVCFTTPSYAESSTCLMVYKEGGAPAVFQSPKCPLWKLPNHDSGKSAATSTTARCQSALLRGRRKHMEDRTLCMLDLHIPFPTGKMGVKQVTVGIVAVFDGHNGAEASEMASKLLLDYFALHTYFLLDATFSVILKRPSGRLPNMGERDIVFQVLNWDEELGGHELNFERFKFSVPENLDDSFHLDILKEALLRAVHDIDVVFSKEASRKNLGSGSTATVILLADGQILVANIGDSKAILCSEKFLSPVEAKASLLQLYREQRRNGVVSPLRNFNFKLTASNGLLRYIVKELTRDHHPDRDDERSRVEAAGGYVVDWGGVPRVNGQLAISRAIGDVLFKSYGVTAAPEVTDWQSLTANDSYLVVGSDGVFEKLSLQDVCDLLWEVKAHGTMGSGLSSSCSLSLADCLVNTAFEKGSMDNMAATVVPLGSAYHSQSLLNERCGRKGQKEFPSNGLQEFIYERSGNGIIADLLQLEHTHPIRTKFSRLLVEGKRGSYGCFYLFEKLDNDVDDTIQTRKEDQEDYVIGVRHALPNAFEQPCGGPLNVYSDRSLCLNFGMTVDGANDQCVNPESFASFLGLLESIPFHDTSSSYGSEEYPMPDSRYVLKKRFGRGSYGEVWLSFSWNCHQGSNASSWSEENQNTIFGGSSSCSNTSSHDSNAGFPDGNLFILKRIMVERGASVYLSGLREKYFGEVFLNASRNLGSFPSAEVLEPFLEESQSVFNDPLDMNPELGITWSSEKIGWHKAAYEEGLNHIARYVESFESRSNEIWLVFHYEGMSLSKLMYTVEEAEKNATEEKVEEVKQVQVLRPSKWWHWLKTTEEGHEEMRNLIRQLLVALKSCHDRNITHRDIKPENMVICFEDQETGRCLRGIPSGDKNFTTRMYCCSYLINTALMLNLLLF >EOX94651 pep chromosome:Theobroma_cacao_20110822:1:30709140:30731911:1 gene:TCM_004278 transcript:EOX94651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MLTWQTNLPLEPGSSLLSPFTNSLHCSPWWLHTINENQELVNDAATMSFKLVLVVLGFLVCFTTPSYAESSTCLMVYKEGGAPAVFQSPKCPLWKLPNHDSGKSAATSTTARCQSALLRGRRKHMEDRTLCMLDLHIPFPSKMGVKQVTVGIVAVFDGHNGAEASEMASKLLLDYFALHTYFLLDATFSVILKRPSGRLPNMGERDIVFQVLNWDEELGGHELNFERFKFSVPENLDDSFHLDILKEALLRAVHDIDVVFSKEASRKNLGSGSTATVILLADGQILVANIGDSKAILCSEKFLSPVEAKASLLQLYREQRRNGVVSPLRNFNFKLTASNGLLRYIVKELTRDHHPDRDDERSRVEAAGGYVVDWGGVPRVNGQLAISRAIGDVLFKSYGVTAAPEVTDWQSLTANDSYLVVGSDGVFEKLSLQDVCDLLWEVKAHGTMGSGLSSSCSLSLADCLVNTAFEKGSMDNMAATVVPLGSAYHSQSLLNERCGRKGQKEFPSNGLQEFIYERSGNGIIADLLQLEHTHPIRTKFSRLLVEGKRGSYGCFYLFEKLDNDVDDTIQTRKEDQEDYVIGVRHALPNAFEQPCGGPLNVYSDRSLCLNFGMTVDGANDQCVNPESFASFLGLLESIPFHDTSSSYGSEEYPMPDSRYVLKKRFGRGSYGEVWLSFSWNCHQGSNASSWSEENQNTIFGGSSSCSNTSSHDSNAGFPDGNLFILKRIMVERGASVYLSGLREKYFGEVFLNASRNLGSFPSAEVLEPFLEESQSVFNDPLDMNPELGITWSSEKIGWHKAAYEEGLNHIARYVESFESRSNEIWLVFHYEGMSLSKLMYTVEEAEKNATEEKVEEVKQVQVLRPSKWWHWLKTTEEGHEEMRNLIRQLLVALKSCHDRNITHRDIKPENMVICFEDQETGRCLRGIPSGDKNFTTRMRIIDFGSAIDGFTMKHLYGSTGPSSLSRSEQTHDYSPPEALLNASWYQGATSTTLKYDMWSVGVVVLEMILGSPNVFQISAVTRTLLDHHLEGWNEGLKELAYKLRSFMELCILITGSSSKHHRAMNRGGISPASWKCSEEFFSHQIRSRDPLKLGFPNVWALRLVRDLLLWDPDDRLSVDDALRHPYFQPRKS >EOX94655 pep chromosome:Theobroma_cacao_20110822:1:30709140:30731911:1 gene:TCM_004278 transcript:EOX94655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MLTWQTNLPLEPGSSLLSPFTNSLHCSPWWLHTINENQELVNDAATMSFKLVLVVLGFLVCFTTPSYAESSTCLMVYKEGGAPAVFQSPKCPLWKLPNHDSGKSAATSTTARCQSALLRGRRKHMEDRTLCMLDLHIPFPSKMGVKQVTVGIVAVFDGHNGAEASEMASKLLLDYFALHTYFLLDATFSVILKRPSGRLPNMGERDIVFQVLNWDEELGGHELNFERFKFSVPENLDDSFHLDILKEALLRAVHDIDVVFSKEASRKNLGSGSTATVILLADGQILVANIGDSKAILCSEKFLSPVEAKASLLQLYREQRRNGVVSPLRNFNFKLTASNGLLRYIVKELTRDHHPDRDDERSRVEAAGGYVVDWGGVPRVNGQLAISRAIGDVLFKSYGVTAAPEVTDWQSLTANDSYLVVGSDGVFEKLSLQDVCDLLWEVKAHGTMGSGLSSSCSLSLADCLVNTAFEKGSMDNMAATVVPLGSAYHSQSLLNERCGRKGQKEFPSNGLQEFIYERSGNGIIADLLQLEHTHPIRTKFSRLLVEGKRGSYGCFYLFEKLDNDVDDTIQTRKEDQEDYVIGVRHALPNAFEQPCGGPLNVYSDRSLCLNFGMTVDGANDQCVNPESFASFLGLLESIPFHDTSSSYGSEEYPMPDSRYVLKKRFGRGSYGEVWLSFSWNCHQGSNASSWSEENQNTIFGGSSSCSNTSSHDSNAGFPDGNLFILKRIMVERGASVYLSGLREKYFGEVFLNASRNLGSFPSAEVLEPFLEESQSVFNDPLDMNPELGITWSSEKIGWHKAAYEEGLNHIARYVESFESRSNEIWLVFHYEGMSLSKLMYTVEEAEKNATEEKVEEVKQVQVLRPSKWWHWLKTTEEGHEEMRNLIRQLLVALKSCHDRNITHRDIKPENMVICFEDQETGRCLRGIPSGDKNFTTRMLRSFMELCILITGSSSKHHRAMNRGGISPASWKCSEEFFSHQIRSRDPLKLGFPNVWALRLVRDLLLWDPDDRLSVDDALRHPYFQPRKS >EOX94650 pep chromosome:Theobroma_cacao_20110822:1:30709140:30729956:1 gene:TCM_004278 transcript:EOX94650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MLTWQTNLPLEPGSSLLSPFTNSLHCSPWWLHTINENQELVNDAATMSFKLVLVVLGFLVCFTTPSYAESSTCLMVYKEGGAPAVFQSPKCPLWKLPNHDSGKSAATSTTARCQSALLRGRRKHMEDRTLCMLDLHIPFPSKMGVKQVTVGIVAVFDGHNGAEASEMASKLLLDYFALHTYFLLDATFSVILKRPSGRLPNMGERDIVFQVLNWDEELGGHELNFERFKFSVPENLDDSFHLDILKEALLRAVHDIDVVFSKEASRKNLGSGSTATVILLADGQILVANIGDSKAILCSEKFLSPVEAKASLLQLYREQRRNGVVSPLRNFNFKLTASNGLLRYIVKELTRDHHPDRDDERSRVEAAGGYVVDWGGVPRVNGQLAISRAIGDVLFKSYGVTAAPEVTDWQSLTANDSYLVVGSDGVFEKLSLQDVCDLLWEVKAHGTMGSGLSSSCSLSLADCLVNTAFEKGSMDNMAATVVPLGSAYHSQSLLNERCGRKGQKEFPSNGLQEFIYERSGNGIIADLLQLEHTHPIRTKFSRLLVEGKRGSYGCFYLFEKLDNDVDDTIQTRKEDQEDYVIGVRHALPNAFEQPCGGPLNVYSDRSLCLNFGMTVDGANDQCVNPESFASFLGLLESIPFHDTSSSYGSEEYPMPDSRYVLKKRFGRGSYGEVWLSFSWNCHQGSNASSWSEENQNTIFGGSSSCSNTSSHDSNAGFPDGNLFILKRIMVERGASVYLSGLREKYFGEVFLNASRNLGSFPSAEVLEPFLEESQSVFNDPLDMNPELGITWSSEKIGWHKAAYEEGLNHIARYVESFESRSNEIWLVFHYEGMSLSKLMYTVEEAEKNATEEKVEEVKQVQVLRPSKWWHWLKTTEEGHEEMRNLIRQLLVALKSCHDRNITHRDIKPENMVICFEDQETGRCLRGIPSGDKNFTTRMRIIDFGSAIDGFTMKHLYGSTGPSSLSRSEQTHDYSPPEALLNASWYQGATSTTLKYDMWSVGVVVLEMILGSPNVFQISAVTRTLLDHHLEGWNEGLKELAYKLRSFMELCILITGSSSKHHRAMNRGGISPASWKCSEEFFSHQIRSRDPLKLGFPNVWALRLVRDLLLWDPDDRLSVDDALRHPYFQPRKS >EOX94654 pep chromosome:Theobroma_cacao_20110822:1:30716037:30729978:1 gene:TCM_004278 transcript:EOX94654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 RFKFSVPENLDDSFHLDILKEALLRAVHDIDVVFSKEASRKNLGSGSTATVILLADGQILVANIGDSKAILCSEKFLSPVEAKASLLQLYREQRRNGVVSPLRNFNFKLTASNGLLRYIVKELTRDHHPDRDDERSRVEAAGGYVVDWGGVPRVNGQLAISRAIGDVLFKSYGVTAAPEVTDWQSLTANDSYLVVGSDGVFEKLSLQDVCDLLWEVKAHGTMGSGLSSSCSLSLADCLVNTAFEKGSMDNMAATVVPLGSAYHSQSLLNERCGRKGQKEFPSNGLQEFIYERSGNGIIADLLQLEHTHPIRTKFSRLLVEGKRGSYGCFYLFEKLDNDVDDTIQTRKEDQEDYVIGVRHALPNAFEQPCGGPLNVYSDRSLCLNFGMTVDGANDQCVNPESFASFLGLLESIPFHDTSSSYGSEEYPMPDSRYVLKKRFGRGSYGEVWLSFSWNCHQGSNASSWSEENQNTIFGGSSSCSNTSSHDSNAGFPDGNLFILKRIMVERGASVYLSGLREKYFGEVFLNASRNLGSFPSAEVLEPFLEESQSVFNDPLDMNPELGITWSSEKIGWHKAAYEEGLNHIARYVESFESRSNEIWLVFHYEGMSLSKLMYTVEEAEKNATEEKVEEVKQVQVLRPSKWWHWLKTTEEGHEEMRNLIRQLLVALKSCHDRNITHRDIKPENMVICFEDQETGRCLRGIPSGDKNFTTRMRIIDFGSAIDGFTMKHLYGSTGPSRSEQTHDYSPPEALLNASWYQGATSTTLKYDMWSVGVVVLEMILGSPNVFQISAVTRTLLDHHLEGWNEGLKELAYNLSFKWRVKA >EOX94653 pep chromosome:Theobroma_cacao_20110822:1:30709140:30729757:1 gene:TCM_004278 transcript:EOX94653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MLTWQTNLPLEPGSSLLSPFTNSLHCSPWWLHTINENQELVNDAATMSFKLVLVVLGFLVCFTTPSYAESSTCLMVYKEGGAPAVFQSPKCPLWKLPNHDSGKSAATSTTARCQSALLRGRRKHMEDRTLCMLDLHIPFPSKMGVKQVTVGIVAVFDGHNGAEASEMASKLLLDYFALHTYFLLDATFSVILKRPSGRLPNMGERDIVFQVLNWDEELGGHELNFERFKFSVPENLDDSFHLDILKEALLRAVHDIDVVFSKEASRKNLGSGSTATVILLADGQILVANIGDSKAILCSEKFLSPVEAKASLLQLYREQRRNGVVSPLRNFNFKLTASNGLLRYIVKELTRDHHPDRDDERSRVEAAGGYVVDWGGVPRVNGQLAISRAIGDVLFKSYGVTAAPEVTDWQSLTANDSYLVVGSDGVFEKLSLQDVCDLLWEVKAHGTMGSGLSSSCSLSLADCLVNTAFEKGSMDNMAATVVPLGSAYHSQSLLNERCGRKGQKEFPSNGLQEFIYERSGNGIIADLLQLEHTHPIRTKFSRLLVEGKRGSYGCFYLFEKLDNDVDDTIQTRKEDQEDYVIGVRHALPNAFEQPCGGPLNVYSDRSLCLNFGMTVDGANDQCVNPESFASFLGLLESIPFHDTSSSYGSEEYPMPDSRYVLKKRFGRGSYGEVWLSFSWNCHQGSNASSWSEENQNTIFGGSSSCSNTSSHDSNAGFPDGNLFILKRIMVERGASVYLSGLREKYFGEVFLNASRNLGSFPSAEVLEPFLEESQSVFNDPLDMNPELGITWSSEKIGWHKAAYEEGLNHIARYVESFESRSNEIWLVFHYEGMSLSKLMYTVEEAEKNATEEKVEEVKQVQVLRPSKWWHWLKTTEEGHEEMRNLIRQLLVALKSCHDRNITHRDIKPENMVICFEDQETGRCLRGIPSGDKNFTTRMRIIDFGSAIDGFTMKHLYGSTGPSRSEQTHDYSPPEALLNASWYQGATSTTLKYDMWSVGVVVLEMILGSPNVFQISAVTRTLLDHHLEGWNEGLKELAYKLRSFMELCILITGSSSKHHRAMNRGGISPASWKCSEEFFSHQIRSRDPLKLGFPNVWALRLVRDLLLWDPDDRLSVDDALRHPYFQPRKS >EOX90938 pep chromosome:Theobroma_cacao_20110822:1:1101061:1101562:1 gene:TCM_000269 transcript:EOX90938 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Oxidoreductase-like, N-terminal (InterPro:IPR019180); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: N /.../ink). [Source:Projected from Arabidopsis thaliana (AT5G17280) TAIR;Acc:AT5G17280] MRHPTPTLRFVSSNIITINSHITQDPRRLLLVSGCFQSKSMATENGLSKASSAIKDTGDDKKKGDEEELAKKLPPPPEKPEPGDCCGSGCVRCVWDVYYEELEAYNKLRESDSKAPKS >EOX96605 pep chromosome:Theobroma_cacao_20110822:1:38385512:38393195:-1 gene:TCM_005825 transcript:EOX96605 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MEGAAGSVMDSILGSLFHYLLDKLSSPDLMRFARQEQLLTQLKKLEKLLRQINALLADAEEKQTTSPAVKHWLSDLKDVAYDADDVIDELATEALRRELMAEPGSSMATSKVWKFIPTCFSPSVIKFSSKIGSKIEEITGRLQYIAALKNDFNLVEDAGERRQKVLRRLPTTSLVNESHIYGRDRDKQAIVELLVDSGEVGVGRIGVVPIVGMGGVGKTTLAQLVYNDARVESWFELRVWICVSEEFDGVRVTKTMLQAVTLESCNLKDLNLLQLRLKDKLCGKRFLIVLDDIWNENYEQWDLFSRPFAAGAIGSKILVTTRSEGVASIMSTCGSYHLQVLSNDDCLSLFTWHALGSRGFGGYPNLKEIAEEIVRRCNGLPLAGKALGGLLRNRLDPGEWKDILNSKIWDLPEDRSGIVPALRLSYHHLPSHLKQCFTYCAIFPKVYEFDKDELVRLWMAEGFLQQPKGAKQMEDLGLEYFHDLLSRSFFQQSSSNETRFVMHDLINDLAQSVCGELCFNTAGTFEDVKCNGSIEKIRHLSFIRQQYDVAKRFEAFYLHKMKNLRTFISLPIYTSSWAAGCYLSSHVLHLLLPGLRCLRVLSLSGYCIDELPYSIDQLKHLRYLNLSHARIKSLPESVGSLFNLQSLILHGCKELTKLPQDIVNLINLHVLDLTDTDKLQEMPQGIGNLAKLQILPKFIVGKNKGVRGLKGLSQLRGELSIVGLENLVGTEDAKNAILKDKNSLDGLDLQWRCNSFDSQNDEDKMHVLDMLQPHKNLKRLRISFYGGKSFPSWLGDSSWASMVTINLHNCRKSKSLPSLGTLPSLKRLCIEGMNEVQNVDFEFYGNAFISFKPFPSLEILWFQHMLQWENWFSPHRANGDAGKEFPQLHELLIEDCPKLIGKLPSFLFSLLKLTVRNCPILEGLSTGLPSLCELSIEACNEKVLTGMLYLTSLTTLRISKMPEIMRLPHGIVLFSENEKDLPCSFGDTNCGNWEKLPCGLQGLMSLKNLHIESCPKLVSFAGTGLPPTLRVLKLKNCSALKYLPDWMMMSSCKSNECFEELEIEGCPLTSFPRLFPTSLRKLKIRDCNDLQSLPEGMMQTENSTSNMPLLENLEIVDCSSLISFPEGKLPTSLKVLKISDCLQLDPIFDRTLHNGASLEYISIWNNKNLTRLPKCLCSLTCLKELSIGNCPSLESFPVTVLPFPKLRELDIFNCINLKYLPNQMQNLTALQCLTICDCPNLMCLPKGGFPPNLLLLEIWDCKNLKEPMSEWNLHSLSYLRDLSIAGAPDIVSFPDKNCLLPTTLVSLFIARLDNLEFLSTGLQNLTSLEDFEVAQCPKLRYLPREGLPATLGRFRIRECSLLRQKCLKDKGACWPLIAHIPCVEIDVMDI >EOX91948 pep chromosome:Theobroma_cacao_20110822:1:4579893:4584773:-1 gene:TCM_000989 transcript:EOX91948 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 2 MMRFHYQSHCYQPLRREVIAGLFVLLFPVFLPCLFTPFSHASPSTFSEWNVPKPRHLPLLRSALQRENSNGEQSDLWAPLADQGWRPCLECVIAPSLPQKSEGYLQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWQDSSTFMDIFDVDHFINVLKDDISIVKELPDEFSWSTREYYATAIRATRIKRAPVHASANWYLENVLPVLESYGIAAISPFSHRLSFDNLPSEIQKLRCKVNFKALVFVPHIRALGDALVHRLRYPPGESEALSTNYLRETTGQNDKRRPQKFVVVHLRFDKDMAAHSACDFGGGKAEKLALAKYRQTIWQGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFDNSTRLYLASHKVYGGEARISTLRELFPLMEDKKSLASSEERVHIKGKASLLAAVDYYVGMHSDIFVSASPGNMHNALMGHRTFENLKTIKPNMALLGQLFLNKSISWSEFRQAVVEGHQNRQGQLKLRKPKQSIYTYPAPDCMCQA >EOX91949 pep chromosome:Theobroma_cacao_20110822:1:4580902:4584994:-1 gene:TCM_000989 transcript:EOX91949 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 2 MMRFHYQSHCYQPLRREVIAGLFVLLFPVFLPCLFTPFSHASPSTFSEWNVPKPRHLPLLRSALQRENSNGEQSDLWAPLADQGWRPCLECVIAPSLPQKSEGYLQVFLDGGLNQQRMGDDISIVKELPDEFSWSTREYYATAIRATRIKRAPVHASANWYLENVLPVLESYGIAAISPFSHRLSFDNLPSEIQKLRCKVNFKALVFVPHIRALGDALVHRLRYPPGESEALSTNYLRETTGQNDKRRPQKFVVVHLRFDKDMAAHSACDFGGGKAEKLALAKYRQTIWQGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFDNSTRLYLASHKVYGGEARISTLRELFPLMEDKKSLASSEERVHIKGKASLLAAVDYYVGMHSDIFVSASPGNMHNAL >EOX95449 pep chromosome:Theobroma_cacao_20110822:1:34256177:34260772:1 gene:TCM_004944 transcript:EOX95449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein isoform 3 MATPPQASPGYSKTITPQMDSPSPNPDRSPIVPAPSTISPAAPRFPPPNLRKDQIPSPSIKNPTMLSPANGVKTGSPIPHLSTPPGPPVFTSPVRPAAVPFRTSPATPQPVAFSSGSSLPTSSPPHFSNGSVELQQQLPSAIEESLPDGESPCVLFSAQKVLKQKKQANVPSLGFGVLVSPGRETSPGPQVIQRDPHRCHNCGAYSNFYCNILIGSGQWQCVICRNLNGSEGEYITSSKEDLCNFPELSSPLVDFIQTGNKRPSFVPVTDSRTSAPIVLVIDECLDEPHLQHLQSSLHAFVESVLPTTRIGIILYGRTVSVYDFSEESIASADVIPGGTSPTQENLKALIYGTGIYLSPMHASKEVAHQIFSSLRPYKLNVPEASRDRCLGTAVEVALAIIQGPSADMSRGVVKRPGGNSRIIVCSGGPNTYGPGSVPHSYTHPNYPHKEKTALKWMEGLGREAHQHNTVVDILCAGTCPVRVPVLQPLAKASGGVLVLHDDFGEAFGVNLQRASARAAGSHGLLEIRCSDDILVTHVVGPGEEAHIDTHETFKNDISLCIQLLSVEETQCFSISMENKHDIKSDYVYFQLAIQYSNVYQADIARVITIRLPTVDSVSAYLQSVQDEVAAVLIAKRTLLRANNYSDAIDMRTTIDERVKDIALKFGSQVPKSKLYRFPKEISLLPELLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSLRMVAPRCLMHREGGTFEELPAYDLAMQSDTAVVLDHGTDVFIWLGAELAADEGRSAAALAACRTLAEELTELRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRTLTTEQRTKLKSSFIHFDDPSFCEWIRSLKVVPPEPS >EOX95451 pep chromosome:Theobroma_cacao_20110822:1:34256015:34260772:1 gene:TCM_004944 transcript:EOX95451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein isoform 3 MATPPQASPGYSKTITPQMDSPSPNPDRSPIVPAPSTISPAAPRFPPPNLRKDQIPSPSIKNPTMLSPANGVKTGSPIPHLSTPPGPPVFTSPVRPAAVPFRTSPATPQPVAFSSGSSLPTSSPPHFSNGSVELQQQLPSAIEESLPDGESPCVLFSAQKVLKQKKQANVPSLGFGVLVSPGRETSPGPQVIQRDPHRCHNCGAYSNFYCNILIGSGQWQCVICRNLNGSEGEYITSSKEDLCNFPELSSPLVDFIQTGNKRPSFVPVTDSRTSAPIVLVIDECLDEPHLQHLQSSLHAFVESVLPTTRIGIILYGRTVSVYDFSEESIASADVIPGGTSPTQENLKALIYGTGIYLSPMHASKEVAHQIFSSLRPYKLNVPEASRDRCLGTAVEVALAIIQGPSADMSRGVVKRPGGNSRIIVCSGGPNTYGPGSVPHSYTHPNYPHKEKTALKWMEGLGREAHQHNTVVDILCAGTCPVRVPVLQPLAKASGGVLVLHDDFGEAFGVNLQRASARAAGSHGLLEIRCSDDILVTHVVGPGEEAHIDTHETFKNDISLCIQLLSVEETQCFSISMENKHDIKSDYVYFQLAIQYSNVYQADIARVITIRLPTVDSVSAYLQSVQDEVAAVLIAKRTLLRANNYSDAIDMRTTIDERVKDIALKFGSQVPKSKLYRFPKEISLLPELLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSLRMVAPRCLMHREGGTFEELPAYDLAMQSDTAVVLDHGTDVFIWVLNLQLMKEEVQQLWQLVEHWQKSSRSYGFQLLGSLHSRRGVLRLAILFLG >EOX95452 pep chromosome:Theobroma_cacao_20110822:1:34256015:34260772:1 gene:TCM_004944 transcript:EOX95452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein isoform 3 MATPPQASPGYSKTITPQMDSPSPNPDRSPIVPAPSTISPAAPRFPPPNLRKDQIPSPSIKNPTMLSPANGVKTGSPIPHLSTPPGPPVFTSPVRPAAVPFRTSPATPQPVAFSSGSSLPTSSPPHFSNGSVELQQQLPSAIEESLPDGESPCVLFSAQKVLKQKKQANVPSLGFGVLVSPGRETSPGPQVIQRDPHRCHNCGAYSNFYCNILIGSGQWQCVICRNLNGSEGEYITSSKEDLCNFPELSSPLVDFIQTGNKRPSFVPVTDSRTSAPIVLVIDECLDEPHLQHLQSSLHAFVESVLPTTRIGIILYGRTVSVYDFSEESIASADVIPGGTSPTQENLKALIYGTGIYLSPMHASKEVAHQIFSSLRPYKLNVPEASRDRCLGTAVEVALAIIQGPSADMSRGVVKRPGGNSRIIVCSGGPNTYGPGSVPHSYTHPNYPHKEKTALKWMEGLGREAHQHNTVVDILCAGTCPVRVPVLQPLAKASGGVLVLHDDFGEAFGVNLQRASARAAGSHGLLEIRCSDDILVTHVVGPGEEAHIDTHETFKNDISLCIQLLSVEETQCFSISMENKHDIKSDYVYFQLAIQYSNVYQADIARVITIRLPTVDSVSAYLQSVQDEVAAVLIAKRTLLRANNYSDAIDMRTTIDERVKDIAGGEFSGSLFCFSANTSTQGSSLRAGGKISTASNPDNRTADKTEKQFYSF >EOX95450 pep chromosome:Theobroma_cacao_20110822:1:34256015:34260772:1 gene:TCM_004944 transcript:EOX95450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein isoform 3 MATPPQASPGYSKTITPQMDSPSPNPDRSPIVPAPSTISPAAPRFPPPNLRKDQIPSPSIKNPTMLSPANGVKTGSPIPHLSTPPGPPVFTSPVRPAAVPFRTSPATPQPVAFSSGSSLPTSSPPHFSNGSVELQQQLPSAIEESLPDGESPCVLFSAQKVLKQKKQANVPSLGFGVLVSPGRETSPGPQVIQRDPHRCHNCGAYSNFYCNILIGSGQWQCVICRNLNGSEGEYITSSKEDLCNFPELSSPLVDFIQTGNKRPSFVPVTDSRTSAPIVLVIDECLDEPHLQHLQSSLHAFVESVLPTTRIGIILYGRTVSVYDFSEESIASADVIPGGTSPTQENLKALIYGTGIYLSPMHASKEVAHQIFSSLRPYKLNVPEASRDRCLGTAVEVALAIIQGPSADMSRGVVKRPGGNSRIIVCSGGPNTYGPGSVPHSYTHPNYPHKEKTALKWMEGLGREAHQHNTVVDILCAGTCPVRVPVLQPLAKASGGVLVLHDDFGEAFGVNLQRASARAAGSHGLLEIRCSDDILVTHVVGPGEEAHIDTHETFKNDISLCIQLLSVEETQCFSISMENKHDIKSDYVYFQLAIQYSNVYQADIARVITIRLPTVDSVSAYLQSVQDEVAAVLIAKRTLLRANNYSDAIDMRTTIDERVKDIALKFGSQVPKSKLYRFPKEISLLPELLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSLRMVAPRCLMHREGGTFEELPAYDLAMQSDTAVVLDHGTDVFIWLGAELAADEGRSAAALAACRTLAEELTELRFPAPRILAFKVLFHLNGGSSQARYFVSRLIPAHKDPPYEQEARFPQLRTLTTEQRTKLKSSFIHFDDPSFCEWIRSLKVVPPEPS >EOX91347 pep chromosome:Theobroma_cacao_20110822:1:2376142:2382804:1 gene:TCM_000570 transcript:EOX91347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein MSAIRTKCHLAECRHFPRFSSTSVKIPVCVKFSASTTTRLHLNKALPTRHPTHLVKSPDSVPMSDSNSSFVNGTYAEIVVVRHGETEWNADGRIQGHLDVELNEAGRQQAASVADRLSKEPKVSAVYSSDLKRALVTAETIAARCGGLEVITDQDLRERNLGDLQGLVFREAAKLNPKAHKAFLSHRTDQDIPGGGESRDQLYQRCTSSLQRIGRKHKGERVVVVSHGGVLRALYKQACPDGKSIGKLADRLSREPNISAINSSDLKRALETAETIAASCGKLQVIKDPELRERHVGDVQGLLFREAAKVSPEAYRAFSSHSTDRVIPGGGESLDQLYHRATSSLQRIGQKHTGERVVVVSHGGVIRGLYRRACSNRFKGSIPNTSVNIFQLSGADEWTIKAWGDISHLNQTGPANLVLG >EOX96381 pep chromosome:Theobroma_cacao_20110822:1:37563366:37570020:1 gene:TCM_046992 transcript:EOX96381 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein MNYEQRLKAAAKIVIAYDSRAGDTAVDCAEFGVTATLKPHQVDGVSWLIRRYVLGVNVVLGDEMGLGKTLQAISFLSYLKVHQKSPGPFLVLCPLSVTDGWVSEIVKFTPKLEVLRYVGEKEHRQSIRKTIYEHVEEKSSSSNVLSLPFDVLLTTYDIALMDQDFLSQIPWHYAIIDEAQRLKNPSSVLYNVLIDRFLMPRRLLMTGTPIQNNLTELWALMHFCMPSVFGTLNQFLSSFKEAGDSSSDGTPSKTKEQFKSLKYILQAFMLRRTKSKLIECGNLVLPPLTEITVMAPLLSLQKKVYISILRKELPKLLALSSGSSSHQSLQNIVIQLRKACSHPYLFPGIEPEPYEEGEHLVQGGGKLMVLDQLLKKLYDSGHRVLLFAQMTHTLDILQDFLELRKYSYERLDGSIRAEERFAAIRSFSKRSAEGSLNSESDRNAAFIFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQMNHVLSINLVTEHSVEEVIMRRAERKLQLSHNVVGDHVMEQEGKENAGAEMGDLRSIIFGLHMFDPTEINNEKVDELKTSELSAMAEKVIAVRHKQTLGKFEINAGDLMDGHDVIMKGSSSFCVDPGLDEASYLSWVEKFKAASQSGDNQIMELERRRNFPEDRHLKVEAAKKKAEEKKKTRWEAHGYHSLSVQDPLPVDGDMMSDSGSVLFVYGDCTDPSKVCLSEPAVIFSCIDNSGNWGHGGMFDALAKLSASIPDAYERASEFQDLHLGDLHLIRINEDCEGNNTPWWVALAVVQSYNPRRKVPRSDISIPDLECCLSKASFSAAENSASIHMPRIGYQDGSDRSQWYTVERLLRKYASIYGVKIFVYYYRR >EOX96303 pep chromosome:Theobroma_cacao_20110822:1:37268981:37301138:1 gene:TCM_005580 transcript:EOX96303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQNCTCFFNEEPFPLHYHHARKQEEEKNMQVRLTEESIPHLKWFGVEAVYNVMVIDLLGPSLGHLFNYCNRKLSLKT >EOX95321 pep chromosome:Theobroma_cacao_20110822:1:33751932:33755740:1 gene:TCM_004847 transcript:EOX95321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein isoform 1 MANRADPDIDDDFSEIYKEYTGPPGSTVSKAQDKVKENKRSHAGSDEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPAREPHVRALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDEGDQRGSSSSHRSRSRSPERSPVGARLRRPESQRAHSGPHNSSHFQQRLGRQDKTVEDRVREDLRKFSRGSPQAYGNDGARSRSSHSKSPGCLPYAGNSYNSYEGHNQNMGAYRTDAWDTERRGSDLQSGNQFEYPAFPQTLDELELEYKREAMELGRIRDKEEDEENYKHRETIKEMRDNYIKKLAMLRGTQNKQWEEFLQLDAQRRQQQARQQMTASGFGAYKQQAYSEYDGSTVNAPYAGANLSIDSRGRYPNPMDNYPSRPHDSYGEFQRQRREDFGKAYNRY >EOX95318 pep chromosome:Theobroma_cacao_20110822:1:33751883:33756419:1 gene:TCM_004847 transcript:EOX95318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein isoform 1 MANRADPDIDDDFSEIYKEYTGPPGSTVSKAQDKVKENKRSHAGSDEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPAREPHVRALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDEGDQRGSSSSHRSRSRSPERSPVGARLRRPESQRAHSGPHNSSHFQQRLGRQDKTVEDRVREDLRKFSRGSPQAYGNDGARSRSSHSKSPGCLPYAGNSYNSYEGHNQNMGAYRTDAWDTERRGSDLQSGNQFEYPAFPQTLDELELEYKREAMELGRIRDKEEDEENYKHRETIKEMRDNYIKKLAMLRGTQNKQWEEFLQLDAQRRQQQARQQMTASGFGAYKQQAYSEYDGSTVNAPYAGANLSIDSRGRYPNPMDNYPSRPHDSYGEFQRQRREDFGKAYNRY >EOX95319 pep chromosome:Theobroma_cacao_20110822:1:33752338:33754173:1 gene:TCM_004847 transcript:EOX95319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein isoform 1 MANRADPDIDDDFSEIYKEYTGPPGSTVSKAQDKVKENKRSHAGSDEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPAREPHVRALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDEGDQRGSSSSHRSRSRSPERSPVGARLRRPESQRAHSGPHNSSHFQQRLGRQDKTVEDRVREDLRKFSRGSPQGRDSIRYVYGIHRKLQELMVMMELGVARAILNLQAVYLMLATHIIPMRVIIKTWGLIELMHGILRDGDLICNLAISLNTLPSLKR >EOX95320 pep chromosome:Theobroma_cacao_20110822:1:33751883:33755928:1 gene:TCM_004847 transcript:EOX95320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein isoform 1 MANRADPDIDDDFSEIYKEYTGPPGSTVSKAQDKVKENKRSHAGSDEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPAREPHVRALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDEGDQRGSSSSHRSRSRSPERSPVGARLRRPESQRAHSGPHNSSHFQQRLGRQDKTVEDRVREDLRKFSRGSPQARAYGNDGARSRSSHSKSPGCLPYAGNSYNSYEGHNQNMGAYRTDAWDTERRGSDLQSGNQFEYPAFPQTLDELELEYKREAMELGRIRDKEEDEENYKHRETIKEMRDNYIKKLAMLRGTQNKQWEEFLQLDAQRRQQQARQQMTASGFGAYKQQAYSEYDGSTVNAPYAGANLSIDSRGRYPNPMDNYPSRPHDSYGEFQRQRREDFGKAYNRY >EOX95323 pep chromosome:Theobroma_cacao_20110822:1:33752141:33756554:1 gene:TCM_004847 transcript:EOX95323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein isoform 1 MANRADPDIDDDFSEIYKEYTGPPGSTVSKAQDKVKENKRSHAGSDEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPAREPHVRALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDEGDQRGSSSSHRSRSRSPERSPVGARLRRPESQRAHSGPHNSSHFQQRLGRQDKTVEDRVREDLRKFSRGSPQAYGNDGARSRSSHSKSPGCLPYAGNSYNSYEGHNQNMGAYRTDAWDTERRGSDLQSGNQFEYPAFPQTLDELELEYKREAMELGRIRDKEEDEENYKHREG >EOX95322 pep chromosome:Theobroma_cacao_20110822:1:33752141:33756554:1 gene:TCM_004847 transcript:EOX95322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein isoform 1 MANRADPDIDDDFSEIYKEYTGPPGSTVSKAQDKVKENKRSHAGSDEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPAREPHVRALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDEGDQRGSSSSHRSRSRSPERSPVGARLRRPESQRAHSGPHNSSHFQQRLGRQDKTVEDRVREDLRKFSRGSPQAYGNDGARSRSSHSKSPGCLPYAGNSYNSYEGHNQNMGAYRTDAWDTERRGSDLQSGNQFEYPAFPQTLDELELEYKREAMELGRIRDKEEDEENYKHREGSTKSWSGRE >EOX90630 pep chromosome:Theobroma_cacao_20110822:1:190658:196086:-1 gene:TCM_000045 transcript:EOX90630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 3.6 MKQQFTMNIAWLLASMILYNGFPIIGVSTNVSTRPEVVNIGAIFSFQSVIGKAAKVAVEAAIEDINSNPDILRGTKLNLQLKDSNYSGFLAMVEALLFMERDTVAIIGPQSSVTAHVISHIANALRVPLLSFSSTDPTLSPIQFPFFVRTAQNDLYQMAAIAEIIDHFEWREAIAIYEDDDHGRNGIAALGDKLAERRCRISYKARLSPDPKQDEIADVLVKVALRESRILVVHVPGSWGLKLFSVAQYLGMLGTGYVWIATTWLSTVLDANSPLSQDAMDDIQGVVTLRMYTPDSELKRRFVSRWSNLTSGNPVGLNAYSLYAYDTVWLLAHAINEFFNQGGNISFLYNSRSPELRGGNLHLDALGVFQGGNLLLDNILKTDMKGVTGTVRFTSDRNLIHPAYEVINVIGNGHRRIGYWSNHSGLSIVPPETLWAKPPNGSRPSKTLHGVVWPGQTTQKPRGWVFPNSGRRLNVGVPHRVSYREFVSVRGPDAITGYCVDVFTAALNLLPYAVPYKLIPFGDGRTSPSGTELVSLITAGVFDAAIGDIAIITNRTNMADFTQPYIESGLVVVAPVRRKNSDALSFLRPFTRRMWAVTAIFFLVVGTVVWFLEHRMNDEFRGPPRRQVVTILWFSFSTWFFAHRETTVSALGRIILVIWLFVVLIINSSYTASLTSILTVQQLTSPIKGIETLVLSKDPIGYQQGSFARNYLIDELKIDESRLVPLNSPEESAKALEDGPHKGGVAAMVDDRAYIELFLSTRCEFSIVGQEFTKNGWGFAFPRDSPLAMDMSTAILRLSENGDLQRIHDKWLLRRACSYQGAKMEVDELQLKSFWGLFLICGLACFIALLIYFLKMVRQFSRHNSEELELSGRSARVQTFLSFVDEKEEEVKSRSKRRQMERASIRSEDGSISSSNANSINRNTSEFPSNKSPGTSTEV >EOX91769 pep chromosome:Theobroma_cacao_20110822:1:3776413:3779796:1 gene:TCM_000850 transcript:EOX91769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene monooxygenase isoform 1 MPLLSLTLTTINLQYKAAPVPFPTISSKPTTCQSLSSKPRLRKLFKTTSSFRFSKTQGLSASLLANSASGFHRFKEMVMVFDSYIVGTFLVSLLGFVFLFILRHNNNSRKKKIEKGSRDFKIQTDAVSGTEIGECLPENGSGTDVIIVGAGVAGAALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLVELGLEDCVEEIDAQRVLGYALFKDERNTKLSYPLEKFHADVAGRSFHNGRFIQRMREKASTLPNVRLEQGTVTSLLEENGTIRGVQYKTKDGQELGAYAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLVLENCELPFSNHGHVILGDPSPILFYPISSTEVRCLVDVPGQKVPSIANGEMANYLKTMVAPQVPPELRDAFIAAVNKGNIRTMPNRSMPADPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLHDLHDAACLTKYLESFYTLRKDEIS >EOX91767 pep chromosome:Theobroma_cacao_20110822:1:3776001:3780885:1 gene:TCM_000850 transcript:EOX91767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene monooxygenase isoform 1 MPLLSLTLTTINLQYKAAPVPFPTISSKPTTCQSLSSKPRLRKLFKTTSSFRFSKTQGLSASLLANSASGFHRFKEMVMVFDSYIVGTFLVSLLGFVFLFILRHNNNSRKKKIEKGSRDFKIQTDAVSGTEIGECLPENGSGTDVIIVGAGVAGAALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLVELGLEDCVEEIDAQRVLGYALFKDERNTKLSYPLEKFHADVAGRSFHNGRFIQRMREKASTLPNVRLEQGTVTSLLEENGTIRGVQYKTKDGQELGAYAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLVLENCELPFSNHGHVILGDPSPILFYPISSTEVRCLVDVPGQKVPSIANGEMANYLKTMVAPQVPPELRDAFIAAVNKGNIRTMPNRSMPADPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLHDLHDAACLTKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARKEMRQACFDYLSLGGIFSTGPVALLSGLNPRPLSLVLHFFAVAIYGVGRLLLPFPSLRRMWIGARLISSASGIIFPIIKAEGVRQMFFPATVPALYRAPPVD >EOX91770 pep chromosome:Theobroma_cacao_20110822:1:3776413:3779727:1 gene:TCM_000850 transcript:EOX91770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene monooxygenase isoform 1 MPLLSLTLTTINLQYKAAPVPFPTISSKPTTCQSLSSKPRLRKLFKTTSSFRFSKTQGLSASLLANSASGFHRFKEMVMVFDSYIVGTFLVSLLGFVFLFILRHNNNSRKKKIEKGSRDFKIQTDAVSGTEIGECLPENGSGTDVIIVGAGVAGAALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLVELGLEDCVEEIDAQRVLGYALFKDERNTKLSYPLEKFHADVAGRSFHNGRFIQRMREKASTLPNVRLEQGTVTSLLEENGTIRGVQYKTKDGQELGAYAPLTIVCDGCFSNLRRSLCNPKVVDVPSCFVGLVLENCELPFSNHGHVILGDPSPILFYPISSTEVRCLVDVPGQKVPSIANGEMANYLKTMVAPQVPPELRDAFIAAVNKGNIRTMPNRSMPADPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLHDLHDAACLTKYLESFYTLRKDEIS >EOX91768 pep chromosome:Theobroma_cacao_20110822:1:3776413:3787824:1 gene:TCM_000850 transcript:EOX91768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene monooxygenase isoform 1 MPLLSLTLTTINLQYKAAPVPFPTISSKPTTCQSLSSKPRLRKLFKTTSSFRFSKTQGLSASLLANSASGFHRFKEMVMVFDSYIVGTFLVSLLGFVFLFILRHNNNSRKKKIEKGSRDFKIQTDAVSGTEIGECLPENGSGTDVIIVGAGVAGAALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLVELGLEDCVEEIDAQRVLGYALFKDERNTKLSYPLEKFHADVAGRSFHNGRFIQRMREKASTLPNVRLEQGTVTSLLEENGTIRGVQYKTKDGQELGAYAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLVLENCELPFSNHGHVILGDPSPILFYPISSTEVRCLVDVPGQKVPSIANGEMANYLKTMVAPQVPPELRDAFIAAVNKGNIRTMPNRSMPADPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLHDLHDAACLTKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARKEMRQACFDYLSLGGIFSTGPVALLSGLNPRPLSLVLHFFAVAIYGVGRLLLPFPSLRRMWIGARLISELGSHIYSTC >EOX96122 pep chromosome:Theobroma_cacao_20110822:1:36663622:36672226:-1 gene:TCM_005448 transcript:EOX96122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D beta 1 isoform 1 MDNYPYNNPYSYPYHHGYPPPNQDPYAPPPPYQYPYSSPHYPYPPAAYPAQTSHSAPLDYSHSPSGPIPYQYPYPVSPNPIPQTSPPPTLQHHGSFQYGSSPYPYQQSLPGHYPPPESDSQVSSSYQQSAQYPPPESNSQVSSSYQQPARYPPPESNSQLHSRDNSFSGHNRQESTSSLGSNTDSTQSHASAYPPLDDLLSNVHLSDSRLTVPASPPAPSGPPLPTSASTPEVQSPVYGHASPGNFYGYPNNSFSSNWEGSYWGRMDSSDHSAFSHSGSFNGSQHSQGMQIVPFQKGSLRVLLLHGNLDILVYDAKNLPNMDMFHKTLGDMFGKLPVNVTNKIEGHMNRKITSDPYVSIAVGGAVLGRTYVISNSENPVWMQHFYVPVAHYAAEVHFVVKDSDVVGSQLIGIVPIPVEQIYSGEKIEGIYPILNNSGKPCKPGAVLRVSIQYTPMEKLSFYHDGVGAGPDYLGVPGTYFPLRKGGTVTLYQDAHVPDGCLPNLKLDQGMTYVHGKCWHDIFDAIRQARRLIYITGWSVWHNVRLVRDAGPASDCTLGDILRSKSQEGVRVLLLIWDDPTSRSILGYKTDGIMQTHDEETCRFFKHSSVQVLLCPRIAGKRHSWIKQKEVGTIYTHHQKTVIVDADAGENRRKIIAFLGGLDLCDGRYDSPHHPIFRTLQTVHKDDYHNPTFTGNVAGCPREPWHDLHCRIDGPAAYDVLVNFEERWFKAAKPHGIKKLKMSYDDALLRLERIPDIIGVSDFPGVNENEPEAWHVQIFRSIDSNSVKDFPKDPKDATSKNLVCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFIGSSYNWNSNKDLGANNLIPMEIALKIASKIKANERFAAYIVVPMWPEGVPTGAATQRILFWQHKTMQMMYETIYRALVEAGLEGAFSPQDYLNFFCLGNREGDGHQSSGLESPSTANTPQALSRKSRRFMIYVHSKGMIVDDEYVILGSANINQRSMEGTRDTEIAMGAYQPQHAWARKHSNPHGQIYGYRMSLWAEHLGVVEDCFREPESIECVRRVKQMAEMNWKQFAADEVTEMRGHLLNYPVEVDRKGKVKPLPGCESFPDVGGNIVGSFLGIQENLTI >EOX96123 pep chromosome:Theobroma_cacao_20110822:1:36664427:36672298:-1 gene:TCM_005448 transcript:EOX96123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D beta 1 isoform 1 MDNYPYNNPYSYPYHHGYPPPNQDPYAPPPPYQYPYSSPHYPYPPAAYPAQTSHSAPLDYSHSPSGPIPYQYPYPVSPNPIPQTSPPPTLQHHGSFQYGSSPYPYQQSLPGHYPPPESDSQVSSSYQQSAQYPPPESNSQVSSSYQQPARYPPPESNSQLHSRDNSFSGHNRQESTSSLGSNTDSTQSHASAYPPLDDLLSNVHLSDSRLTVPASPPAPSGPPLPTSASTPEVQSPVYGHASPGNFYGYPNNSFSSNWEGSYWGRMDSSDHSAFSHSGSFNGSQHSQGMQIVPFQKGSLRVLLLHGNLDILVYDAKNLPNMDMFHKTLGDMFGKLPVNVTNKIEGHMNRKITSDPYVSIAVGGAVLGRTYVISNSENPVWMQHFYVPVAHYAAEVHFVVKDSDVVGSQLIGIVPIPVEQIYSGEKIEGIYPILNNSGKPCKPGAVLRVSIQYTPMEKLSFYHDGVGAGPDYLGVPGTYFPLRKGGTVTLYQDAHVPDGCLPNLKLDQGMTYVHGKCWHDIFDAIRQARRLIYITGWSVWHNVRLVRDAGPASDCTLGDILRSKSQEGVRVLLLIWDDPTSRSILGYKTDGIMQTHDEETCRFFKHSSVQVLLCPRIAGKRHSWIKQKEVGTIYTHHQKTVIVDADAGENRRKIIAFLGGLDLCDGRYDSPHHPIFRTLQTVHKDDYHNPTFTGNVAGCPREPWHDLHCRIDGPAAYDVLVNFEERWFKAAKPHGIKKLKMSYDDALLRLERIPDIIGVSDFPGVNENEPEAWHVQIFRSIDSNSVKDFPKDPKDATSKNLVCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFIGSSYNWNSNKDLGANNLIPMEIALKIASKIKANERFAAYIVVPMWPEGVPTGAATQRILFWQGFGGGRA >EOX93570 pep chromosome:Theobroma_cacao_20110822:1:14016552:14019203:1 gene:TCM_002457 transcript:EOX93570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MMMVEEGSVPGKRKRNLGKKKGNKPKNKKLKMLEGKGKKLRVSKKMRNLFEKRARDYNSDDEEEAEEEEEAALDDIRMGGGGDDSSDENEGEEAEDDEIQPGIMRFTEGVRAFRLAFKNIIKRSVADDSLGPVLSGHKQLVAKKLAEEEAERKVKGEAKKEKHLVAEKGHVKPANYLDSREKFLIGIATKGVVKLFNAVNKAQKAQKGLDPSRSKDAKMIRKRRKEAFFSELGKPSLTAHDSSSKGNKSSDPRNDDGPAWAPLRDNYMLTNPKLKSWDKMDSAVADDVG >EOX93569 pep chromosome:Theobroma_cacao_20110822:1:14016527:14019430:1 gene:TCM_002457 transcript:EOX93569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MMMVEEGSVPGKRKRNLGKKKGNKPKNKKLKMLEGKGKKLRVSKKMRNLFEKRARDYNSDDEEEAEEEEEAALDDIRMGGGGDDSSDENEGEEAEDDEIQPGIMRFTEGVRAFRLAFKNIIKRSVADDSLGPVLSGHKQLVAKKLAEEEAERKVKGEAKKEKHLVAEKGHVKPANYLDSREKFLIGIATKGVVKLFNAVNKAQKAQKGLDPSRSKDAKMIRKRRKEAFFSELGKPSLTAHDSSSKGNKSSDPRNDDGPAWAPLRDNYMLTNPKLKSWDKMADSAVADDVGRMSEDSGSDDD >EOX93568 pep chromosome:Theobroma_cacao_20110822:1:14016176:14020649:1 gene:TCM_002457 transcript:EOX93568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MMMVEEGSVPGKRKRNLGKKKGNKPKNKKLKMLEGKGKKLRVSKKMRNLFEKRARDYNSDDEEEAEEEEEAALDDIRMGGGGDDSSDENEGEEAEDDEIQPGIMRFTEGVRAFRLAFKNIIKRSVADDSLGPVLSGHKQLVAKKLAEEEAERKVKGEAKKEKHLVAEKGHVKPANYLDSREKFLIGIATKGVVKLFNAVNKAQKAQKGLDPSRSKDAKMIRKRRKEAFFSELGKPSLTAHDSSSKGNKSSDPRNDDGPAWAPLRDNYMLTNPKLKSWDKMADSAVADDVGRMSEDSVGNSVFANHEEVSRTRSFTSNTVIILTEEPFAGSSSLVGLQMVRTQLKAPLVLDSCWFEQIYQIPSKHCHVSMPNVALVHFSYISQRHGKSRARTPIEGESQER >EOX93571 pep chromosome:Theobroma_cacao_20110822:1:14016552:14019203:1 gene:TCM_002457 transcript:EOX93571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MMMVEEGSVPGKRKRNLGKKKGNKPKNKKLKMLEGKGKKLRVSKKMRNLFEKRARDYNSDDEEEAEEEEEAALDDIRMGGGGDDSSDENEGEEAEDDEIQPGIMRFTEGVRAFRLAFKNIIKRSVADDSLGPVLSGHKQLVAKKLAEEEAERKVKGEAKKEKHLVAEKGHVKPANYLDSREKFLIGIATKGVVKLFNAVNKAQKAQKGLDPSRSKDAKMIRKRRKEAFFSELGKPSLTAHDSSSKGNKSSDPRNDDGPAWAPLRDNYMLTNPKLKSWDKMAVRIFILLNITQVMPLSLPDTDIKCRQRLHAFTCSFWITSFVCYLHTGLSSS >EOX94114 pep chromosome:Theobroma_cacao_20110822:1:21910846:21920433:1 gene:TCM_003324 transcript:EOX94114 gene_biotype:protein_coding transcript_biotype:protein_coding description:U2 small nuclear ribonucleoprotein A MVKLTADLIWKSPHFFNALKERELDLRGNKIAVMENLGATEDQFDTIDLSDNEIVKLDNFPYLNRLGTLLINNNRVTRINPNIGEFLPKLHTLVLTNNRLVNLVEIDPLSSLPKLEFLSLLDNNITKKPNYRLYVIYKLKSLRVLDFKKVKVKERLEAENLFASKEVEEEMKKESAKTFTPEEVPKVSEVAQEEQMPKVVAPTPEQILAIKAAIVNSQTLEEVARLEKALKTGQLPADLKIPGDDTGANVTGKDEKKVSDSQNKSNVEPNNVEEQKNEEPVPMEQE >EOX95328 pep chromosome:Theobroma_cacao_20110822:1:33762431:33764591:1 gene:TCM_004851 transcript:EOX95328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDHLFSRERDVEVDLESGGTTSEDERIQDHVSSNSQAKRTFSRVWSDVLSFDRIGKGECSINSCSSSSSFGGVEGENMEFLVDKNSEGEESRDLVALAEKNFAEEKCKKTNSRKPPKPPRPPKGPLLDAADQKLVREIAELAMRKRARMKRIKAMKKMNAAKVYVPDVVQVYC >EOX95327 pep chromosome:Theobroma_cacao_20110822:1:33761640:33764955:1 gene:TCM_004851 transcript:EOX95327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDHLFSRERDVEVDLESGGTTSEDERIQDHVSSNSQAKRTFSRVWSDVLSFDRIGKGECSINSCSSSSSFGGVEGENMEFLVDKNSEGEESRDLVALAEKNFAEEKCKKTNSRKPPKPPRPPKGPLLDAADQKLVREIAELAMRKRARMKRIKAMKKMNAAKVSSSSSSLSALVITVLFCLVILFQGICSRRGASVLLEGSPAPAVGSSEGLISVQFYKSFPTTEKDDHDPPSLVEQQVPVQFLEKKLGKLPDDVEK >EOX93858 pep chromosome:Theobroma_cacao_20110822:1:16828962:16835579:1 gene:TCM_002835 transcript:EOX93858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein isoform 1 MVSLRRRKLLGLCSGKSSFLTPLPRFFDNGHAPENSSQNAKSISVHPMPSDFTGHIHGKSIAKVGSGSPNVSGSGSSKEHHNQPFPGQPIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSEEEKQELRKFKWDEFLAYTRRSITNKKYKRRLGAGPQKRSEPAIENSDWDSKQGVNSLSASEEAGPDSSAS >EOX93857 pep chromosome:Theobroma_cacao_20110822:1:16828559:16836091:1 gene:TCM_002835 transcript:EOX93857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein isoform 1 MVSLRRRKLLGLCSGKSSFLTPLPRFFDNGHAPENSSQNAKSISVHPMPSDFTGHIHGKSIAKVGSGSPNVSGSGSSKEHHNQPFPGQPIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSEEEKQELRKFKWDEFLAYTRRSITNKKYKRRLGAGPQKRSEPAIENSDWDSKQGVNSLSASEEAGPDSSAS >EOX93327 pep chromosome:Theobroma_cacao_20110822:1:12119597:12126826:1 gene:TCM_002166 transcript:EOX93327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGKHDDGCSVFPLTGLQIGDLQSYLSDLSLFLALESKKFYILVDNRPWLRDLGSRRAHLWQFMVTKSRLSPFANTKGRRERKEGKGTSSKSNAKDSKKFERWFSLIDAATLSKKRVLLPVKKLRTSLHLSSELHRTLYGFIVFEVTWSNVRGLNYLNELQTDTSLAVEAKFMRRWEFDSIDQAASSMSSWFSGTFSEQRRLKEYLDSAIGEVFYDAEEDFPRPITLDDDDDGENIHDDSFSIEDNFPHDNFRVYPATMDCETFEPHTPPPTGPYKRRKVTKAITTGVEVDVYFEETQKPAENSLDNSNENAVEATEYRDVLILFRFDDRDLPFKLQQIITPNLRLLRLLEAGLPSWVIFLQSYPGFCHIYRPWMCPLARALYVLISIVTVLIGFYDLYKNVPVLKATASRLCGPLFDWIETWDMVSRIKYLGTMLFLHNFQKAVKWFLTVMRATRSCFSFLNLPLAEPFMEVLGFLLPIWNLFSEVVESFFSVIWIVIGCFYNLVEALIEVVLMPIWFVGLVLWNIATSILYPIFWILWEILYAPIRLALALASFMASVCGFISDLVGDIWRSLSTVIRLASASEATVSTHEVSIWRSLWNDLFSQVFRAVRSILNGFVAFFTACNRHRLSIYNHIQDFIQRPFGGIPRSRTSDPRRSKSTHGVQYPLELRRRVPSRESPTTLSF >EOX93328 pep chromosome:Theobroma_cacao_20110822:1:12119491:12126272:1 gene:TCM_002166 transcript:EOX93328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGKHDDGCSVFPLTGLQIGDLQSYLSDLSLFLALESKKFYILVDNRPWLRDLGSRRAHLWQFMVTKSRLSPFANTKGRRERKEGKGTSSKSNAKDSKKFERWFSLIDAATLSKKRVLLPVKKLRTSLHLSSELHRTLYGFIVFEVTWSNVRGLNYLNELQTDTSLAVEAKFMRRWEFDSIDQAASSMSSWFSGTFSEQRRLKEYLDSAIGEVFYDAEEDFPRPITLDDDDDGENIHDDSFSIEDNFPHDNFRVYPATMDCETFEPHTPPPTGPYKRRKVTKAITTGVEVDVYFEETQKPAENSLDNSNENAVEATEYRDVLILFRFDDRDLPFKLQQIITPNLRLLRLLEAGLPSWVIFLQSYPGFCHIYRPWMCPLARALYVLISIVTVLIGFYDLYKNVPVLKATASRLCGPLFDWIETWDMVSRIKYLGTMLFLHNFQKAVKWFLTVMRATRSCFSFLNLPLAEPFMEVLGFLLPIWNLFSEVVESFFSVIWIVIGCFYNLVEALIEVVLMPIWFVGLVLWNIATSILYPIFWILWEILYAPIRLALALASFMASVCGFISDLVGDIWRSLSTVIRLASASEATVSTHEVSIWRSLWNDLFSQVFRAVRSILNGFVAFFTACNRHRLSIYNHIQDFIQRPFGGIPRSRTSDPRRSKSTHGVQYPLELRRRVPSR >EOX91812 pep chromosome:Theobroma_cacao_20110822:1:3973404:3977935:-1 gene:TCM_000886 transcript:EOX91812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor kinase isoform 3 MRNPKFKTFLFFIWCSFFILPSVISFHGDSQILTRVKDSQLNDPNGKLHDWVLSTPDPSPCNWTGITCNIQNQTVISIDLSGFGISGGFPFGFCRIRTLQTLYLADNFLNGSLSSQVLSPCSRLQEINLSDNLFTGELPNFSSENLEILQLSNNNFTGDIPLSFGRMQSLKVLSIGGNLLNGNIPSFLGNLSELTHFELGYNPFKESPLPNEIGNMSKLEVLWLTNANLVGEIPVSIGNLVSLQILDLSGNFLSGKIPESLSMLKNLEQLEFYQNQLSGELPESLANLTALLRFDVSQNNLTGKLPEKIAALPLESLNLNDNYFTGEIPEVLASNQYLVQLKLFNNSFTGKLPPNLGKFSPLEDFDVSTNDFTGTLPPFLCYKMKLKRIVVFKNLLSGNIPESYGECKTLNYVRMADNAFSGNVPAKFWGHPSFQFLELQNNHFEGSISPSISAVRQLTSLRISGNNFSGDIPEEICRLENVTEINMSHNRFSGELPFCITDLKLQKLDLQDNELTGEIPSSENSWTELTELNLARNRFTGEIPPDLGKLPVLTYLDLSGNLLSGKIPEDLTKLRLNQFNLSDNKLNGKVPSGFNNVFFISGLLGNTGLCSPNLKPLPPCPRSRPATYYVVGILAICFLLLIGSMIWIFRSRVKVGRKTRRPYQVTAFQRVGFSEEEIFPFLKDENIIGTGGSGRVYKAKLKTGQVVAVKRLWGVKPETDAVFKSETETLGRIRHGNIVKLLMCCSGEEFRILVYEYMGNGSLGDVLHGDMFAGLVDWPKRFTIAIGAAQGLAYLHHDCLPAIVHRDVKSNNILLDEEMKPRVADFGLAKTLQIEVGDGDGAMSRVAGTHGYIAPVSGWCCWN >EOX91811 pep chromosome:Theobroma_cacao_20110822:1:3973416:3979721:-1 gene:TCM_000886 transcript:EOX91811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor kinase isoform 3 MRNPKFKTFLFFIWCSFFILPSVISFHGDSQILTRVKDSQLNDPNGKLHDWVLSTPDPSPCNWTGITCNIQNQTVISIDLSGFGISGGFPFGFCRIRTLQTLYLADNFLNGSLSSQVLSPCSRLQEINLSDNLFTGELPNFSSENLEILQLSNNNFTGDIPLSFGRMQSLKVLSIGGNLLNGNIPSFLGNLSELTHFELGYNPFKESPLPNEIGNMSKLEVLWLTNANLVGEIPVSIGNLVSLQILDLSGNFLSGKIPESLSMLKNLEQLEFYQNQLSGELPESLANLTALLRFDVSQNNLTGKLPEKIAALPLESLNLNDNYFTGEIPEVLASNQYLVQLKLFNNSFTGKLPPNLGKFSPLEDFDVSTNDFTGTLPPFLCYKMKLKRIVVFKNLLSGNIPESYGECKTLNYVRMADNAFSGNVPAKFWGHPSFQFLELQNNHFEGSISPSISAVRQLTSLRISGNNFSGDIPEEICRLENVTEINMSHNRFSGELPFCITDLKLQKLDLQDNELTGEIPSSENSWTELTELNLARNRFTGEIPPDLGKLPVLTYLDLSGNLLSGKIPEDLTKLRLNQFNLSDNKLNGKVPSGFNNVFFISGLLGNTGLCSPNLKPLPPCPRSRPATYYVVGILAICFLLLIGSMIWIFRSRVKVGRKTRRPYQVTAFQRVGFSEEEIFPFLKDENIIGTGGSGRVYKAKLKTGQVVAVKRLWGVKPETDAVFKSETETLGRIRHGNIVKLLMCCSGEEFRILVYEYMGNGSLGDVLHGDMFAGLVDWPKRFTIAIGAAQGLAYLHHDCLPAIVHRDVKSNNILLDEEMKPRVADFGLAKTLQIEVGDGDGAMSRVAGTHGYIAPVTEKSDVYSFGVVLLELITGKRPNDPSFGENKDLVKWVTGATLSSSPEEGIEDGWNCCRNLPQIVDPRMNPSASDLKEIEKVLNVALKCTSAFPINRPSMRKVVELLKDHKAVRPS >EOX91810 pep chromosome:Theobroma_cacao_20110822:1:3973439:3979721:-1 gene:TCM_000886 transcript:EOX91810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor kinase isoform 3 MRNPKFKTFLFFIWCSFFILPSVISFHGDSQILTRVKDSQLNDPNGKLHDWVLSTPDPSPCNWTGITCNIQNQTVISIDLSGFGISGGFPFGFCRIRTLQTLYLADNFLNGSLSSQVLSPCSRLQEINLSDNLFTGELPNFSSENLEILQLSNNNFTGDIPLSFGRMQSLKVLSIGGNLLNGNIPSFLGNLSELTHFELGYNPFKESPLPNEIGNMSKLEVLWLTNANLVGEIPVSIGNLVSLQILDLSGNFLSGKIPESLSMLKNLEQLEFYQNQLSGELPESLANLTALLRFDVSQNNLTGKLPEKIAALPLESLNLNDNYFTGEIPEVLASNQYLVQLKLFNNSFTGKLPPNLGKFSPLEDFDVSTNDFTGTLPPFLCYKMKLKRIVVFKNLLSGNIPESYGECKTLNYVRMADNAFSGNVPAKFWGHPSFQFLELQNNHFEGSISPSISAVRQLTSLRISGNNFSGDIPEEICRLENVTEINMSHNRFSGELPFCITDLKLQKLDLQDNELTGEIPSSENSWTELTELNLARNRFTGEIPPDLGKLPVLTYLDLSGNLLSGKIPEDLTKLRLNQFNLSDNKLNGKVPSGFNNVFFISGLLGNTGLCSPNLKPLPPCPRSRPATYYVVGILAICFLLLIGSMIWIFRSRVKVGRKTRRPYQVTAFQRVGFSEEEIFPFLKDENIIGTGGSGRVYKAKLKTGQVVAVKRLWGVKPETDAVFKSETETLGRIRHGNIVKLLMCCSGEEFRILVYEYMGNGSLGDVLHGDMFAGLVDWPKRFTIAIGAAQGLAYLHHDCLPAIVHRDVKSNNILLDEEMKPRVADFGLAKTLQIEVGDGDGAMSRVAGTHGYIAPEYAYTLKVTEKSDVYSFGVVLLELITGKRPNDPSFGENKDLVKWVTGATLSSSPEEGIEDGWNCCRNLPQIVDPRMNPSASDLKEIEKVLNVALKCTSAFPINRPSMRKVVELLKDHKAVRPS >EOX93172 pep chromosome:Theobroma_cacao_20110822:1:11040134:11040870:1 gene:TCM_002020 transcript:EOX93172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L27e protein family MVKFLKPNKAVIVLQGRYAGRKAVIVKSFDDGTRERAYGHCLVAGVKKYPSKVIRKDSAKKTAKKSRVKCFIKLVNYQHLMPTRYTLDVDLKDVVTVDALQTKDKKVAACKATKERFEERFKTGKNRWFFTKLRF >EOX90637 pep chromosome:Theobroma_cacao_20110822:1:207689:208576:1 gene:TCM_000052 transcript:EOX90637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ribosomal protein L11 MSTLKEILTRRPVAATIRLTVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPDTPMAVTITAFKDNTFEFIVKSPSVTWYLKKAAGIESGSSRPGHVIATTLSVRHIYEIAKIKQSDPYCQYMSLESICKSIIGTANTMGIKVVNELD >EOX94516 pep chromosome:Theobroma_cacao_20110822:1:29369002:29370798:-1 gene:TCM_004123 transcript:EOX94516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lyase, putative isoform 1 MEKFLCDRLLDPTQPISERFRALFSLRNLKGPGPRNALIQATRDSSNLLAHEAAFALGQMQDAEAIPALKAVLNDFSLHPIVRHEAAEALGAIGLESNIPLLKNSLVLDPAQEVRETCELALQRIEELKSGGSDDKASMAEKSPFLSVDPAAPASSYSSIDKLREVLLDEERGMYERYSALFALRNHGGEEAVSAIIDSLGCLCLGSAAK >EOX94517 pep chromosome:Theobroma_cacao_20110822:1:29369104:29370798:-1 gene:TCM_004123 transcript:EOX94517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lyase, putative isoform 1 MEKFLCDRLLDPTQPISERFRALFSLRNLKGPGPRNALIQAAFALGQMQDAEAIPALKAVLNDFSLHPIVRHEAAEALGAIGLESNIPLLKNSLVLDPAQEVRETCELALQRIEELKSGGSDDKASMAEKSPFLSVDPAAPASSYSSIDKLREVLLDEERGMYERYSALFALRNHGGEEAVSAIIDSLGTKSALLKHEVAYVLGQL >EOX94513 pep chromosome:Theobroma_cacao_20110822:1:29368294:29370850:-1 gene:TCM_004123 transcript:EOX94513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lyase, putative isoform 1 MEKFLCDRLLDPTQPISERFRALFSLRNLKGPGPRNALIQATRDSSNLLAHEAAFALGQMQDAEAIPALKAVLNDFSLHPIVRHEAAEALGAIGLESNIPLLKNSLVLDPAQEVRETCELALQRIEELKSGGSDDKASMAEKSPFLSVDPAAPASSYSSIDKLREVLLDEERGMYERYSALFALRNHGGEEAVSAIIDSLGTKSALLKHEVAYVLGQLQNKAASAALSSILRNASEHPMVRHEAAEALGSIADDESVALLEEFARDPEPIVSESCEVALSMLEFERAGKSFEFLFMQTPLVH >EOX94514 pep chromosome:Theobroma_cacao_20110822:1:29368170:29370909:-1 gene:TCM_004123 transcript:EOX94514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lyase, putative isoform 1 MEKFLCDRLLDPTQPISERFRALFSLRNLKGPGPRNALIQATRDSSNLLAHEAAFALGQMQDAEAIPALKAVLNDFSLHPIVRHEAAEALGAIGLESNIPLLKNSLVLDPAQEVRETCELALQRIEELKSGGSDDKASMAEKSPFLSVDPAAPASSYSSIDKLRGRCCWMKKEACMRGIQLFLLSEIMVERKLFLPLLILWVAYVLGQLQNKAASAALSSILRNASEHPMVRHEAAEALGSIADDESVALLEEFARDPEPIVSESCEVALSMLEFERAGKSFEFLFMQTPLVH >EOX94515 pep chromosome:Theobroma_cacao_20110822:1:29369105:29370457:-1 gene:TCM_004123 transcript:EOX94515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lyase, putative isoform 1 MEKFLCDRLLDPTQPISERFRALFSLRNLKGPGPRNALIQATRDSSNLLAHEAAFALGQMQDAEAIPALKAVLNDFSLHPIVRHEAAEALGAIGLESNIPLLKNSLVLDPAQEVRETCELALQRIEELKSGGSDDKASMAEKSPFLSVDPAAPASSYSSIDKLREVLLDEERGMYERYSALFALRNHGGEEAVSAIIDSLGTKSALLKHEVAYVLGQL >EOX96420 pep chromosome:Theobroma_cacao_20110822:1:37727008:37729657:-1 gene:TCM_005669 transcript:EOX96420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol-4alpha-methyl oxidase 1-1 MLPYQTLEEAALALGRNLTLAERLWYNYSAQKSDFLLYSHNALFMIVVFSLVPLPCAFMELSQSKRMAEFKIQPNIKISFSDMFNCYKHVMKMFAFVLCPLLFVSFPAIKWVGIHTSLPLPSLWEVLSQLLVYFLFEDYASYWFHRLLLHSQWGYDKIHYMHHEYRASFGFTALYTHWAEILIFGIPTFFGPVMVPCHMITLYLWTSLRLVEAIDAHNGDTAIASKPMKRASEEVAVNMVEILKDISSLKGFKRE >EOX96409 pep chromosome:Theobroma_cacao_20110822:1:37678845:37790766:-1 gene:TCM_005657 transcript:EOX96409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLKDFTVASLFLGASASASVAFLNASGIHKVEDLMEVGASKRTGLGIRPGQGMNESKGRG >EOX93621 pep chromosome:Theobroma_cacao_20110822:1:14394251:14404061:1 gene:TCM_002511 transcript:EOX93621 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein, putative MTGRGGRDRSRRDHPPSGSLGRSNAPPSRHLWLGNLSHSILEPDLTDHFLQYGELESVAFQPGRSYAFINFKNEEDAISAMKALQGFPVAGNPLRIEFAKADKSSTSLHDEDYLQRRDEQRSTVRGSPFSPRDPRAHHASPEHFAPDKSKMNDRSAEPSEVLWIGFPALLKVDEVILRKAFSPFGELEKITVFPGRSYAFVRFRSVISACRAKETLQGKLFGNPRVHICFAKSEGGPSNSGRGSVNAPNSPHFRLNGRSGSSENFLQDRKFSDLTEDASIRSPYMPNFDSGDSDVYSFNRQGSSRSAGSTYEPWRFGEGGPDPRLPQDLYEHSKSPMRFHDFPPKLPQKTAYYEEPWDMPEDTYSTHGTKKLKTGSFPPEKELPEYPLSDLEHEKHAFPRMLSDFTSEAFDKNFEPGAFGYKQIPDRPMNLAPTHEERNDHWKPSYDGFQVGSGSLQSNVIERKRFTPELDPPSLKEWKWEGTIAKGGTPVCRARCFPVGKVLDIMLPEFLDCTARTGLDMLAKHYYQASSAWVVFFVPESDADMGFYNEFMHYLEEKQRAAVAKLDDKTTLFLVPPSDFSEKVLKVPGKLSISGVVLRLEHSGSSFGSSHPNERKDASLLPFHGDTSFAKPSTPSGPFPSMTSYPELSRSGNKDISFPGNGATSAPPLSLSGSAYSVGNVSDLYNEHRRDHALQRNAIFGPSWSSHDQQNPVSGARNTPSQVSSSAYDPAVQGHQSIVPRAVQETYSSTGGISGIPLSGNSKPTLQEIKSSVPLSMPISALQPEQLAQLASCLVGQQRQVGNTSNVSMGENFRHTNTMDQSDMLRQSQRYALQNNQATPELSTSQFSQVQQLQQQTSNAVAAVPQAAQRSQQLQGNGMQEEGDADPQKRLQATLQLAAALLQQIQQGKGT >EOX95574 pep chromosome:Theobroma_cacao_20110822:1:34682373:34683710:-1 gene:TCM_005027 transcript:EOX95574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P subunit isoform 2 ALSISSSSTRVDAKAPRQTTAASPQCVTLPTLPPPPVQSQSRPWKTTAYCRKIARNVMAMATGEAPAEVATAEMPEIVKTIRDTWDKVEDKYAVSSLAVAGIVALWGSIGLISASSGSWPSGARGYWLQWVVCIQEPFLRARQVRLIHAISIISWFQVICMTLN >EOX95572 pep chromosome:Theobroma_cacao_20110822:1:34682557:34683832:-1 gene:TCM_005027 transcript:EOX95572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P subunit isoform 2 MASASSSALSISSSSTRVDAKAPRQTTAASPQCVTLPTLPPPPVQSQSRPWKTTAYCRKIARNVMAMATGEAPAEVATAEMPEIVKTIRDTWDKVEDKYAVSSLAVAGIVALWGSIGLISAIDRLPLVPGLLELVGIGYSGWFAYKNLFFEPDRYVSYMLSQLSLGSKSFA >EOX95571 pep chromosome:Theobroma_cacao_20110822:1:34682448:34683730:-1 gene:TCM_005027 transcript:EOX95571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P subunit isoform 2 MASASSSALSISSSSTRVDAKAPRQTTAASPQCVTLPTLPPPPVQSQSRPWKTTAYCRKIARNVMAMATGEAPAEVATAEMPEIVKTIRDTWDKVEDKYAVSSLAVAGIVALWGSIGLISAIDRLPLVPGLLELVGIGYSGWFAYKNLFFEPDREALVQKIRETYKEISGSS >EOX95573 pep chromosome:Theobroma_cacao_20110822:1:34682261:34683851:-1 gene:TCM_005027 transcript:EOX95573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P subunit isoform 2 ALSISSSSTRVDAKAPRQTTAASPQCVTLPTLPPPPVQSQSRPWKTTAYCRKIARNVMAMATGEAPAEVATAEMPEIVKTIRDTWDKVEDKYAVSSLAVAGIVALWGSIGLISASSGSWPSGARGYWLQWVVCIQEPFLRARQGSSGTENKRDIQRNIRKQLEDTG >EOX92559 pep chromosome:Theobroma_cacao_20110822:1:7503057:7507112:1 gene:TCM_001497 transcript:EOX92559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle AAA-ATPase 2A isoform 2 MGQGTPGGLNRQGLPGDRKSDGSDKKEKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPLSKCKLRLLKLERIKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQVTLTIYIHQG >EOX92558 pep chromosome:Theobroma_cacao_20110822:1:7502909:7508592:1 gene:TCM_001497 transcript:EOX92558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle AAA-ATPase 2A isoform 2 MGQGTPGGLNRQGLPGDRKSDGSDKKEKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPLSKCKLRLLKLERIKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >EOX95756 pep chromosome:Theobroma_cacao_20110822:1:35471722:35474572:-1 gene:TCM_005181 transcript:EOX95756 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative isoform 1 MEKETKVCVTGAAGYLGSSLVKKLLEKGHIVHATARNLGDPNKIGLLKSLPNAETRLRLYEADIYNPSQFQHAIEGCRFVVHMATPLQHEPQNHQFKDTSEAAVAGVKSIVESRIRSGSVKRLIYTASVVAASALKEDGEGFKDQLDESCWTPKDVALPYTNEPLMGYVSSKAASEKELLRYDSEIEIVSLTCGLVGGDTLHSSMPESTGVLISQATKNKRRGRLLCASAYLSSADIANHCRKIYPDIEIPDEFVLNSGREILWGSTKLKEIGFEYKCDVKTILEDSINCGLKLGEFSIF >EOX95755 pep chromosome:Theobroma_cacao_20110822:1:35471375:35480268:-1 gene:TCM_005181 transcript:EOX95755 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative isoform 1 MEKETKVCVTGAAGYLGSSLVKKLLEKGHIVHATARNLGDPNKIGLLKSLPNAETRLRLYEADIYNPSQFQHAIEGCRFVVHMATPLQHEPQNHQFKDTSEAAVAGVKSIVESRIRSGSVKRLIYTASVVAASALKEDGEGFKDQLDESCWTPKDVALPYTNEPLMGYVSSKAASEKELLRYDSEIEIVSLTCGLVGGDTLHSSMPESTGVLISQATKNKRRYNTLRFLEELLGKVPILHIEDVCDAHIFCMETPSIRGRLLCASAYLSSADIANHCRKIYPDIEIPDEFVLNSGREILWGSTKLKEIGFEYKCDVKTILEDSINCGLKLGEFSIF >EOX96033 pep chromosome:Theobroma_cacao_20110822:1:36388366:36390397:-1 gene:TCM_005383 transcript:EOX96033 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MAESSKGYAVVTGANRGIGFEICKQLATKGTTVVLTARDAKRGLEAVEKLKESGLSETVVFHQLDVTEPASISCLADFLKTQFGRLDILIKTNVACYIMIISSYSYMIENPSSKPNGYLSRDEGCWNSVQVNNAGIGGVTADQDVVRASVLGKPGAQINWSEILIETNELAEECLKTNYYGVKRMCETLLPLLHLSDSPRIINVSTSMGQLKNISNPWAKAVLGDVENLTEKKVDEVLSVFLKDLEAGSLQANGWPTLLAAYSISKAAMNAYARILAKKYPSFHIICVCPGSVKTDINYNTGLLTVEEGAESAVRLALLPNAGPYGQFFVRMEQSEF >EOX92982 pep chromosome:Theobroma_cacao_20110822:1:9754935:9770388:1 gene:TCM_001841 transcript:EOX92982 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein isoform 2 MSRTSHGSHPHLRHKLWLTALSRRNKNSNNLSTTEFPNQEPESSSQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVRTTIDRYKKACADASNPGSFYQQEASKLRRQIRDVQNMNRHILGEALSTLTFKELKNLEGRLEKGISRIRSKKNELLFAEIEFMQKREVELQNDNMYLRAKIAENERAQQQQQQQANLIQGSVFQAVTSQPYNRNFLPVNLLEPSNDYSSQDQTALQLV >EOX92983 pep chromosome:Theobroma_cacao_20110822:1:9755758:9770465:1 gene:TCM_001841 transcript:EOX92983 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein isoform 2 MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVRTTIDRYKKACADASNPGSVAEANTQFYQQEASKLRRQIRDVQNMNRHILGEALSTLTFKELKNLEGRLEKGISRIRSKKNELLFAEIEFMQKREVELQNDNMYLRAKIAENERAQQQQQQQANLIQGSVFQAVTSQPYNRNFLPVNLLEPSNDYSSQDQTALQLV >EOX94886 pep chromosome:Theobroma_cacao_20110822:1:31885185:31890699:-1 gene:TCM_004491 transcript:EOX94886 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEC14B protein, putative isoform 5 MGYAMSRLEIESELFDGSKVTNEAGSSTRSNKPLHNVDHEIAQLTNLRSGPHERLRQLMPGKQQLPVSPVKMLAGREGNYSGRGRFSSADRCHMLSRYLPVNGPWLVDQMTSRAYVSQFSADGSLFVAGFQGSDIRIYNVDRGWKVQKNILAKSLRWTVTDTSLSPDQRYLVYASMSPIVHIVNVGSATTESLSKITEIHEGLDFSAADDGGYPFGIFSVKFSTDGRELVAGSNDNSIYVYDLEANKLSLRIVAHTGNVGFRSYEWDYRWMDYPPQARDLKHPCDQSVATYKGHSVLRTLIRCYFSPEYSTGQKYIYTGSHDSCVYIYDVVTGAQVAVLKHHTSPVRDCSWHPYYPMLVSSSWDGDVVKWGFSGNGEAPAPMSNMRIRRRYYY >EOX94883 pep chromosome:Theobroma_cacao_20110822:1:31885318:31890699:-1 gene:TCM_004491 transcript:EOX94883 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEC14B protein, putative isoform 5 MGYAMSRLEIESELFDGSKVTNEAGSSTRSNKPLHNVDHEIAQLTNLRSGPHERLRQLMPGKQQLPVSPVKMLAGREGNYSGRGRFSSADRCHMLSRYLPVNGPWLVDQMTSRAYVSQFSADGSLFVAGFQGSDIRIYNVDRGWKVQKNILAKSLRWTVTDTSLSPDQRYLVYASMSPIVHIVNVGSATTESLSKITEIHEGLDFSAADDGGYPFGIFSVKFSTDGRELVAGSNDNSIYVYDLEANKLSLRIVAHTADVNTVTFADESGNLIYSGSDDSLCKVWDRRCFIAKDTPAGVLMGHLEGITFLDSRGDGRYFISNGKDQTIKLWDIRKMSSNTSCNVGFRSYEWDYRWMDYPPQARDLKHPCDQSVATYKGHSVLRTLIRCYFSPEYSTGQKYIYTGSHDSCVYIYDVVSNRSPSCGTQAPYITGKRL >EOX94882 pep chromosome:Theobroma_cacao_20110822:1:31884970:31891626:-1 gene:TCM_004491 transcript:EOX94882 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEC14B protein, putative isoform 5 MGYAMSRLEIESELFDGSKVTNEAGSSTRSNKPLHNVDHEIAQLTNLRSGPHERLRQLMPGKQQLPVSPVKMLAGREGNYSGRGRFSSADRCHMLSRYLPVNGPWLVDQMTSRAYVSQFSADGSLFVAGFQGSDIRIYNVDRGWKVQKNILAKSLRWTVTDTSLSPDQRYLVYASMSPIVHIVNVGSATTESLSKITEIHEGLDFSAADDGGYPFGIFSVKFSTDGRELVAGSNDNSIYVYDLEANKLSLRIVAHTADVNTVTFADESGNLIYSGSDDSLCKVWDRRCFIAKDTPAGVLMGHLEGITFLDSRGDGRYFISNGKDQTIKLWDIRKMSSNTSCNVGFRSYEWDYRWMDYPPQARDLKHPCDQSVATYKGHSVLRTLIRCYFSPEYSSTGQKYIYTGSHDSCVYIYDVVTGAQVAVLKHHTSPVRDCSWHPYYPMLVSSSWDGDVVKWGFSGNGEAPAPMSNMRIRRRYYY >EOX94884 pep chromosome:Theobroma_cacao_20110822:1:31884685:31891501:-1 gene:TCM_004491 transcript:EOX94884 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEC14B protein, putative isoform 5 MFVSASGVDIDEMGYAMSRLEIESELFDGSKVTNEAGSSTRSNKPLHNVDHEIAQLTNLRSGPHERLRQLMPGKQQLPVSPVKMLAGREGNYSGRGRFSSADRCHMLSRYLPVNGPWLVDQMTSRAYVSQFSADGSLFVAGFQGSDIRIYNVDRGWKVQKNILAKSLRWTVTDTSLSPDQRYLVYASMSPIVHIVNVGSATTESLSKITEIHEGLDFSAADDGGYPFGIFSVKFSTDGRELVAGSNDNSIYVYDLEANKLSLRIVAHTGNVGFRSYEWDYRWMDYPPQARDLKHPCDQSVATYKGHSVLRTLIRCYFSPEYSTGQKYIYTGSHDSCVYIYDVVTGAQVAVLKHHTSPVRDCSWHPYYPMLVSSSWDGDVVKWGFSGNGEAPAPMSNMRIRRRYYY >EOX94885 pep chromosome:Theobroma_cacao_20110822:1:31885185:31890699:-1 gene:TCM_004491 transcript:EOX94885 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEC14B protein, putative isoform 5 MGYAMSRLEIESELFDGSKVTNEAGSSTRSNKPLHNVDHEIAQLTNLRSGPHERLRQLMPGKQQLPVSPVKMLAGREGNYSGRGRFSSADRCHMLSRYLPVNGPWLVDQMTSRAYVSQFSADGSLFVAGFQGSDIRIYNVDRGWKVQKNILAKSLRWTVTDTSLSPDQRYLVYASMSPIVHIVNVGSATTESLSKITEIHEGLDFSAADDGGYPFGIFSVKFSTDGRELVAGSNDNSIYVYDLEANKLSLRIVAHTGNVGFRSYEWDYRWMDYPPQARDLKHPCDQSVATYKGHSVLRTLIRCYFSPEYSTGQKYIYTGSHDSCVYIYDVVTGAQVAVLKHHTSPVRDCSWHPYYPMLVSSSWDGDVVKWGFSGNGEAPAPMSNMRIRRRYYY >EOX94887 pep chromosome:Theobroma_cacao_20110822:1:31884685:31891688:-1 gene:TCM_004491 transcript:EOX94887 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEC14B protein, putative isoform 5 TPKPRNVTNRLEIESELFDGSKVTNEAGSSTRSNKPLHNVDHEIAQLTNLRSGPHERLRQLMPGKQQLPVSPVKMLAGREGNYSGRGRFSSADRCHMLSRYLPVNGPWLVDQMTSRAYVSQFSADGSLFVAGFQGSDIRIYNVDRGWKVQKNILAKSLRWTVTDTSLSPDQRYLVYASMSPIVHIVNVGSATTESLSKITEIHEGLDFSAADDGGYPFGIFSVKFSTDGRELVAGSNDNSIYVYDLEANKLSLRIVAHTGNVGFRSYEWDYRWMDYPPQARDLKHPCDQSVATYKGHSVLRTLIRCYFSPEYSTGQKYIYTGSHDSCVYIYDVVTGAQVAVLKHHTSPVRDCSWHPYYPMLVSSSWDGDVVKWGFSGNGEAPAPMSNMRIRRRYYY >EOX94881 pep chromosome:Theobroma_cacao_20110822:1:31884070:31891632:-1 gene:TCM_004491 transcript:EOX94881 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEC14B protein, putative isoform 5 MGYAMSRLEIESELFDGSKVTNEAGSSTRSNKPLHNVDHEIAQLTNLRSGPHERLRQLMPGKQQLPVSPVKMLAGREGNYSGRGRFSSADRCHMLSRYLPVNGPWLVDQMTSRAYVSQFSADGSLFVAGFQGSDIRIYNVDRGWKVQKNILAKSLRWTVTDTSLSPDQRYLVYASMSPIVHIVNVGSATTESLSKITEIHEGLDFSAADDGGYPFGIFSVKFSTDGRELVAGSNDNSIYVYDLEANKLSLRIVAHTADVNTVTFADESGNLIYSGSDDSLCKVWDRRCFIAKDTPAGVLMGHLEGITFLDSRGDGRYFISNGKDQTIKLWDIRKMSSNTSCNVGFRSYEWDYRWMDYPPQARDLKHPCDQSVATYKGHSVLRTLIRCYFSPEYSTGQKYIYTGSHDSCVYIYDVVTGAQVAVLKHHTSPVRDCSWHPYYPMLVSSSWDGDVVKWGFSGNGEAPAPMSNMRIRRRYYY >EOX93749 pep chromosome:Theobroma_cacao_20110822:1:15533558:15534276:-1 gene:TCM_002667 transcript:EOX93749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMKNEQKYPEAGCSDDINSNKMNEEDAWPAGCKQVVTVIKEKDSSPKRKWVRVALFFQTQINVGIKNEDFNSLTVMSMQIGKSPAQGVEKGACQ >EOX94904 pep chromosome:Theobroma_cacao_20110822:1:31947913:31948686:-1 gene:TCM_004504 transcript:EOX94904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma histone variant H2AX MSSTGSTKGGRGKPKSSKSVSRSQKAGLQFPVGRIARFLKAGKYADRVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGTVTIANGGVLPNIHQNLLPKKIGKGKGDIGSASQEF >EOX94702 pep chromosome:Theobroma_cacao_20110822:1:30950905:30951951:1 gene:TCM_004314 transcript:EOX94702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLILSLAATSLAPQSASHFISQKVLPWPSLRQSRWQFLKHEEKRSSSASTSRTHIVAATTMHTRNTATNLLAIVLFSSCHWCFAFWNVFDGSRE >EOX94136 pep chromosome:Theobroma_cacao_20110822:1:22490566:22494330:-1 gene:TCM_003387 transcript:EOX94136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMNSIKTILGEGQSIVDHFLVNGENYTCWKIRMKFFVQANNYDVWSTIVDGPYKPTKEEGEWDRNDKNMVQINAKAMHILLCALRDREYNRISNCENAKET >EOX91911 pep chromosome:Theobroma_cacao_20110822:1:4457964:4463053:-1 gene:TCM_000966 transcript:EOX91911 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 3 MQNPYNRRRGRQGPPVTIVPLPGEVSFRIICHVSSIGGVIGNSGAVVSQLRRETSSRIHCEEPVRGSAHRVILIVGSGSVERRFSLGEGEECDVSCAQEAMVRVFQRVWEVEAEREWGNACDGEDEEAYCGVLADTTQIGAVVGRGGNNIVRMRTETGAKIRILPPPPCGRKNDELIQITGGTLAVKKALVAVSGCLQACPPLDRESTPMSVPTEKPSRGTSPEPHIEFFPHLSSLLPPMSANSVSASSNATFSSMDADGDSNLDSNGTQKEVVFRMLCSNGAAGAIIGKKGAIVRALQNQTGASIMFASPVTESGERVVTISALENLESWYSPAQNAVVLVFARSVEADIGKGLPSGLSKGSAVTVRLLVAKNLVSCLNDKGGRVLSEIVEVTGADVQILDGDLTLDHSPEDVVQITGEYKSVQNAIFQVTSRLRHNLLPPEVLNEMRVRNCYGKVSDTGVPQAYQPTSLSSDTDQGPNLAQRTQPGLSDNTAGPLPFKLQPQQTTGNGCTVATQDAERGSTTFGGSLDLERSLDFLLPSEVLNEVGGRSPCKGGSETTSGLLQSLGLSLDSDQENALTRAVGNLGLSNNVGCPPKSPLLETVRRGHGLANAEGTGGLELESSKKSAVVKNTSVEISDCFFNYLHLFGYEFVRGTAESDFRCKSRSS >EOX91912 pep chromosome:Theobroma_cacao_20110822:1:4459208:4462708:-1 gene:TCM_000966 transcript:EOX91912 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 3 MQNPYNRRRGRQGPPVTIVPLPGEVSFRIICHVSSIGGVIGNSGAVVSQLRRETSSRIHCEEPVRGSAHRVILIVGSGSVERRFSLGEGEECDVSCAQEAMVRVFQRVWEVEAEREWGNACDGEDEEAYCGVLADTTQIGAVVGRGGNNIVRMRTETGAKIRILPPPPCGRKNDELIQITGGTLAVKKALVAVSGCLQACPPLDRESTPMSVPTEKPSRGTSPEPHIEFFPHLSSLLPPMSANSVSASSNATFSSMDADGDSNLDSNGTQKEVVFRMLCSNGAAGAIIGKKGAIVRALQNQTGASIMFASPVTESGERVVTISALENLESWYSPAQNAVVLVFARSVEADIGKGLPSGLSKGSAVTVRLLVAKNLVSCLNDKGGRVLSEIVEVTGADVQILDGDLTLDHSPEDVVQITGEYKSVQNAIFQVTSRLRHNLLPPEVLNEMRVRNCYGKVSDTGVPQAYQPTSLSSDTDQGPNLAQRTQPGLSDNTAGPLPFKLQPQQLQTTGNGCTVATQDAERGSTTFGGSLDLERSLDFLLPSEVLNEVGGRSPCKGGSETTSGLLQSLGLSLDSDQENALTRAVGNLGLSNNVGCPPKSPLLETVRRGHGLANAEGTGGLELERSVLHKNKIKAVSFCCC >EOX91914 pep chromosome:Theobroma_cacao_20110822:1:4458541:4463053:-1 gene:TCM_000966 transcript:EOX91914 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 3 MQNPYNRRRGRQGPPVTIVPLPGEVSFRIICHVSSIGGVIGNSGAVVSQLRRETSSRIHCEEPVRGSAHRVILIVGSGSVERRFSLGEGEECDVSCAQEAMVRVFQRVWEVEAEREWGNACDGEDEEAYCGVLADTTQIGAVVGRGGNNIVRMRTETGAKIRILPPPPCGRKNDELIQITGGTLAVKKALVAVSGCLQACPPLDRESTPMSVPTEKPSRGTSPEPHIEFFPHLSSLLPPMSANSVSASSNATFSSMDADGDSNLDSNGTQKEVVFRMLCSNGAAGAIIGKKGAIVRALQNQTGASIMFASPVTESGERVVTISALEITGEYKSVQNAIFQVTSRLRHNLLPPEVLNEMRVRNCYGKVSDTGVPQAYQPTSLSSDTDQGPNLAQRTQPGLSDNTAGPLPFKLQPQQLQTTGNGCTVATQDAERGSTTFGGSLDLERSLDFLLPSEVLNEVGGRSPCKGGSETTSGLLQSLGLSLDSDQENALTRAVGNLGLSNNVGCPPKSPLLETVRRGHGLANAEGTGGLELESSKKSAVVKN >EOX91910 pep chromosome:Theobroma_cacao_20110822:1:4458441:4462708:-1 gene:TCM_000966 transcript:EOX91910 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 3 MQNPYNRRRGRQGPPVTIVPLPGEVSFRIICHVSSIGGVIGNSGAVVSQLRRETSSRIHCEEPVRGSAHRVILIVGSGSVERRFSLGEGEECDVSCAQEAMVRVFQRVWEVEAEREWGNACDGEDEEAYCGVLADTTQIGAVVGRGGNNIVRMRTETGAKIRILPPPPCGRKNDELIQITGGTLAVKKALVAVSGCLQACPPLDRESTPMSVPTEKPSRGTSPEPHIEFFPHLSSLLPPMSANSVSASSNATFSSMDADGDSNLDSNGTQKEVVFRMLCSNGAAGAIIGKKGAIVRALQNQTGASIMFASPVTESGERVVTISALENLESWYSPAQNAVVLVFARSVEADIGKGLPSGLSKGSAVTVRLLVAKNLVSCLNDKGGRVLSEIVEVTGADVQILDGDLTLDHSPEDVVQITGEYKSVQNAIFQVTSRLRHNLLPPEVLNEMRVRNCYGKVSDTGVPQAYQPTSLSSDTDQGPNLAQRTQPGLSDNTAGPLPFKLQPQQLQTTGNGCTVATQDAERGSTTFGGSLDLERSLDFLLPSEVLNEVGGRSPCKGGSETTSGLLQSLGLSLDSDQENALTRAVGNLGLSNNVGCPPKSPLLETVRRGHGLANAEGTGGLELESSKKSAVVKNTSVEIVVPEDVFGSIYGKHGCNLARLKEISGAKVEVHDPSPGESEGIVFISGTPDQTLIAQSLLQAFIQANQ >EOX91913 pep chromosome:Theobroma_cacao_20110822:1:4457824:4463237:-1 gene:TCM_000966 transcript:EOX91913 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 3 MQNPYNRRRGRQGPPVTIVPLPGEVSFRIICHVSSIGGVIGNSGAVVSQLRRETSSRIHCEEPVRGSAHRVILIVGSGSVERRFSLGEGEECDVSCAQEAMVRVFQRVWEVEAEREWGNACDGEDEEAYCGVLADTTQIGAVVGRGGNNIVRMRTETGAKIRILPPPPCGRKNDELIQITGGTLAVKKALVAVSGCLQACPPLDRESTPMSVPTEKPSRGTSPEPHIEFFPHLSSLLPPMSANSVSASSNATFSSMDADGDSNLDSNGTQKEVVFRMLCSNGAAGAIIGKKGAIVRALQNQTGASIMFASPVTESGERVVTISALEITGEYKSVQNAIFQVTSRLRHNLLPPEVLNEMRVRNCYGKVSDTGVPQAYQPTSLSSDTDQGPNLAQRTQPGLSDNTAGPLPFKLQPQQTTGNGCTVATQDAERGSTTFGGSLDLERSLDFLLPSEVLNEVGGRSPCKGGSETTSGLLQSLGLSLDSDQENALTRAVGNLGLSNNVGCPPKSPLLETVRRGHGLANAEGTGGLELESKKSAVVKNTSVEIVVPEDVFGSIYGKHGCNLARLKEISGAKVEVHDPSPGESEGIVFISGTPDQTLIAQSLLQAFIQANQ >EOX92074 pep chromosome:Theobroma_cacao_20110822:1:5143807:5147057:-1 gene:TCM_001087 transcript:EOX92074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 4 MAKCFSFTATRDWCYRYSFSNAGLRSSTTDLGDGTVMHVWAPKAHIQSKPTLVLIHGIGANAMWQWNDFVSPLMSRFNVYVPDLLFFGESYTTRPERSEQFQAQCVMRVMDAYGVTVMSVVGISYGGFVGYSMAAQFKERLDKLVLCCAGVCLEEKDMEKGMFKVKSVDEAISMLLAQTPDKMRELMKISFHKPTKGIPSCFLNDFIHVMCTEYLQERKELIQALHKDRKLSDLPKITQPTLIIWGEHDQIFPLELGHRLKSKETTAMTVKQIER >EOX92073 pep chromosome:Theobroma_cacao_20110822:1:5144195:5147028:-1 gene:TCM_001087 transcript:EOX92073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 4 MAKCFSFTATRDWCYRYSFSNAGLRSSTTDLGDGTVMHVWAPKAHIQSKPTLVLIHGIGANAMWQWNDFVSPLMSRFNVYVPDLLFFGESYTTRPERSEQFQAQCVMRVMDAYGVTVMSVVGISYGGFVGYSMAAQFKERLDKLVLCCAGVCLEEKDMEKGMFKVKSVDEAISMLLAQTPDKMRELMKISFHKPTKGIPSCFLNDFIHVMCTEYLQERKELIQALHKDRKLSDLPKITQQPTLIIWGEHDQIFPLELGHRLKRHLGDNAELVIIKNAGHAINVEKPKELYKHMKSFLIDPLPPVKQGNYSNDRKTD >EOX92070 pep chromosome:Theobroma_cacao_20110822:1:5143726:5147303:-1 gene:TCM_001087 transcript:EOX92070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 4 MAKCFSFTATRDWCYRYSFSNAGLRSSTTDLGDGTVMHVWAPKAHIQSKPTLVLIHGIGANAMWQWNDFVSPLMSRFNVYVPDLLFFGESYTTRPERSEQFQAQCVMRVMDAYGVTVMSVVGISYGGFVGYSMAAQFKERLDKLVLCCAGVCLEEKDMEKGMFKVKSVDEAISMLLAQTPDKMRELMKISFHKPTKGIPSCFLNDFIHVMCTEYLQERKELIQALHKDRKLSDLPKITQPTLIIWGEHDQIFPLELGHRLKRHLGDNAELVIIKNAGHAINVEKPKELYKHMKSFLIDPLPPVKQGNYSNDRKTD >EOX92072 pep chromosome:Theobroma_cacao_20110822:1:5143902:5146939:-1 gene:TCM_001087 transcript:EOX92072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 4 MHVWAPKAHIQSKPTLVLIHGIGANAMWQWNDFVSPLMSRFNVYVPDLLFFGESYTTRPERSEQFQAQCVMRVMDAYGVTVMSVVGISYGGFVGYSMAAQFKERLDKLVLCCAGVCLEEKDMEKGMFKVKSVDEAISMLLAQTPDKMRELMKISFHKPTKGIPSCFLNDFIHVMCTEYLQERKELIQALHKDRKLSDLPKITQPTLIIWGEHDQIFPLELGHRLKRHLGDNAELVIIKNAGHAINVEKPKELYKHMKSFLIDPLPPVKQGNYSNDRKTD >EOX92071 pep chromosome:Theobroma_cacao_20110822:1:5144195:5146920:-1 gene:TCM_001087 transcript:EOX92071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 4 MHVWAPKAHIQSKPTLVLIHGIGANAMWQWNDFVSPLMSRFNVYVPDLLFFGESYTTRPERSEQFQAQCVMRVMDAYGVTVMSVVGISYGGFVGYSMAAQFKERLDKLVLCCAGVCLEEKDMEKGMFKVKSVDEAISMLLAQTPDKMRELMKISFHKPTKGIPSCFLNDFIHVMCTEYLQERKELIQALHKDRKLSDLPKITQPTLIIWGEHDQIFPLELGHRLKSIIVILCCQCRHLGDNAELVIIKNAGHAINVEKPKELYKHMKSFLIDPLPPVKQGNYSNDRKTD >EOX92075 pep chromosome:Theobroma_cacao_20110822:1:5143576:5147049:-1 gene:TCM_001087 transcript:EOX92075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 4 MAKCFSFTATRDWCYRYSFSNAGLRSSTTDLGDGTVMHVWAPKAHIQSKPTLVLIHGIGANAMWQWNDFVSPLMSRFNVYVPDLLFFGESYTTRPERSEQFQAQCVMRVMDAYGVTVMSVVGISYGGFVGYSMAAQFKERLDKLVLCCAGVCLEERHLGDNAELVIIKNAGHAINVEKPKELYKHMKSFLIDPLPPVKQGNYSNDRKTD >EOX96206 pep chromosome:Theobroma_cacao_20110822:1:36994808:37001882:1 gene:TCM_005509 transcript:EOX96206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ku80 family protein isoform 3 MLYRLSRFLRDHYVIWQNLLTWGGKKKEKPGNGQQFSNTIKSLPHFSVSPLSSSFKFQLTPQALLSLCLLGAEFTRSSMARNKEKLVLLLDVGPSMHSVLPEVEKVCSMLVEKKLIFRKNDEVGVVVFGTEETNNDLTKEVGGYDHIVVLEDIKVVDGDLIDTLQKLPRGTVDGDFLDAIVVGMDMLIKKYKDIHKGKKRLCLITNAVHPIKDSLEGTKEDQVETIAAQMTAQGMKMESVVVRGRLSQDANKRIMDENDRLLSIFSKQTLTRTVYVDSPTSLLGALKTRRITPVTVFRGDLELSPDMKIKVWVYKRTQEEKFPTLKKYSEKAPQTNKFATHQVKVDYEYKTVDGASKVVPPEQRIKGYRYGPQVVPISAAEWDAVKFKPEKGVKLLGFTDASNIMRHYYMKDVYLFIAEPGNTRAILSVSAIARAMKEMNKVAILRCVWRQGQQNVVLGVLTPNISQNDKLPDSFYFNVLPFIEDVREYQFPSFNSFPVSWQPNEQQQKAADELVQMLNLAPSGKEEALLPDYTPNPVLERFYRHLELKSKQPDAAVPPLDGTLKRITEPDPELFFENKSVIDALRKHFELKENPKLKKSARRLLREKPSGSNDEDGDADVSDAQAVNSIEKALVVKIEKIGDLTPVQDFEVILSRRDSPEWVGKAIKEMRDKILGLLSDSHEGDNHLIAVECIAALRKGCILEQEPEPYNNFLRYLNLYCQQKGMSNFWQLLASRKLTLVSKSEAADSDVTDNEARRFFVKTEPKPKD >EOX96207 pep chromosome:Theobroma_cacao_20110822:1:36996452:37001526:1 gene:TCM_005509 transcript:EOX96207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ku80 family protein isoform 3 MARNKEKLVLLLDVGPSMHSVLPEVEKVCSMLVEKKLIFRKNDEVGVVVFGTEETNNDLTKEVGGYDHIVVLEDIKVVDGDLIDTLQKLPRGTVDGDFLDAIVVGMDMLIKKYKDIHKGKKRLCLITNAVHPIKDSLEGTKEDQVETIAAQMTAQGMKMESVVVRGRLSQDANKRIMDENDRLLSIFSKQTLTRTVYVDSPTSLLGALKTRRITPVTVFRGDLELSPDMKIKVWVYKRTQEEKFPTLKKYSEKAPQTNKFATHQVKVDYEYKTVDGASKVVPPEQRIKGYRYGPQVVPISAAEWDAVKFKPEKGVKLLGFTDASNIMRHYYMKDVYLFIAEPGNTRAILSVSAIARAMKEMNKVAILRCVWRQGQQNVVLGVLTPNISQNDKLPDSFYFNVLPFIEDVREYQFPSFNSFPVSWQPNEQQQKAADELVQMLNLAPSGKEEALLPDYTPNPVLERFYRHLELKSKQPDAAVPPLDGTLKRITEPDPELFFENKSVIDALRKHFELKENPKLKKSARRLLREKPSGSNDEDGDADVSDAQAVNSIEKALVVKIEKIGDLTPVQDFEVILSRRDSPEWVGKAIKEMRDKILGLLSDSHEGDNHLIAVECIAALRKGCILESQNHTTIFCAILTCIASRKE >EOX96208 pep chromosome:Theobroma_cacao_20110822:1:36994808:37001894:1 gene:TCM_005509 transcript:EOX96208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ku80 family protein isoform 3 MLYRLSRFLRDHYVIWQNLLTWGGKKKEKPGNGQQFSNTIKSLPHFSVSPLSSSFKFQLTPQALLSLCLLGAEFTRSSMARNKEKLVLLLDVGPSMHSVLPEVEKVCSMLVEKKLIFRKNDEVGVVVFGTEETNNDLTKEVGGYDHIVVLEDIKVVDGDLIDTLQKLPRGTVDGDFLDAIVVGMDMLIKKYKDIHKGKKRLCLITNAVHPIKDSLEGTKEDQVETIAAQMTAQGMKMESVVVRGRLSQDANKRIMDENDRLLSIFSKQTLTRTVYVDSPTSLLGALKTRRITPVTVFRGDLELSPDMKIKVWVYKRTQEEKFPTLKKYSEKAPQTNKFATHQVKVDYEYKTVDGASKVVPPEQRIKGYRYGPQVVPISAAEWDAVKFKPEKGVKLLGFTDASNIMRHYYMKDVYLFIAEPGNTRAILSVSAIARAMKEMNKVAILRCVWRQGQQNVVLGVLTPNISQNDKLPDSFYFNVLPFIEDVREYQFPSFNSFPVSWQPNEQQQKAADELVQMLNLAPSGKEEALLPDYTPNPVLELKKSARRLLREKPSGSNDEDGDADVSDAQAVNSIEKALVVKIEKIGDLTPVQDFEVILSRRDSPEWVGKAIKEMRDKILGLLSDSHEGDNHLIAVECIAALRKGCILEQEPEPYNNFLRYLNLYCQQKGMSNFWQLLASRKLTLVSKSEAADSDVTDNEARRFFVKTEPKPKD >EOX96209 pep chromosome:Theobroma_cacao_20110822:1:36996452:37001526:1 gene:TCM_005509 transcript:EOX96209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ku80 family protein isoform 3 MARNKEKLVLLLDVGPSMHSVLPEVEKVCSMLVEKKLIFRKNDEVGVVVFGTEETNNDLTKEVGGYDHIVVLEDIKVVDGDLIDTLQKLPRGTVDGDFLDAIVVGMDMLIKKYKDIHKGKKRLCLITNAVHPIKDSLEGTKEDQVETIAAQMTAQGMKMESVVVRGRLSQDANKRIMDENDRLLSIFSKQTLTRTVYVDSPTSLLGALKTRRITPVTVFRGDLELSPDMKIKVWVYKRTQEEKFPTLKKYSEKAPQTNKFATHQVKVDYEYKTVDGASKVVPPEQRIKGYRYGPQVVPISAAEWDAVKFKPEKGVKLLGFTDASNIMRHYYMKDVYLFIAEPGNTRAILSVSAIARAMKEMNKVAILRCVWRQGQQNVVLGVLTPNISQNDKLPDSFYFNVLPFIEDVREYQFPSFNSFPVSWQPNEQQQKAADELVQMLNLAPSGKEEALLPDYTPNPVLERFYRHLELKSKQPDAAVPPLDGTLKRITEPDPELFFENKSVIDALRKHFELKENPKLKKSARRLLREKPSGSNDEDGDADVSDAQAVNSIEKALVVKIEKIGDLTPVQDFEVILSRRDSPEWVGKAIKEMRDKILGLLSDSHEGDNHLIAVECIAALRKGCILEQVSPSPSLCFCATVSAFATAKWPVIAFS >EOX93282 pep chromosome:Theobroma_cacao_20110822:1:11883886:11884501:-1 gene:TCM_002130 transcript:EOX93282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMRLNMLSLSLLQLVTSNAEAQSDGVFDVLKDGAKVDGNADINQALVSAWKEACSLGTPSMVVIPEGAYVLSQVALEGPCKAPRKFK >EOX95265 pep chromosome:Theobroma_cacao_20110822:1:33599016:33601723:1 gene:TCM_004815 transcript:EOX95265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phosphotriesterase superfamily protein MALSLCSPRFLIFIFLVSAIPVAYIISVERAKPPTHVFHYHSSGFFRECAKWDDQGRRFLVSFLEGGVGAIHVPEDYSPDLVLNEVTVVKDVDLTGNASLGIAVDRPRNRLLVVVADLLGNRYSALAAYDLSTWKRLFLAQLSGPSDEKSFADDVAVDAEGNAYVTDAKASKIWKVGVHGELLSILRSPLFSPKEWYKSLVALNGIVYHPDGYLIVIHTFSGNLLKIDLTKGEEVKLIEVAGGPLAFGDGLELISPTKLVVAGNPSGRLVESSDGWETASVVAKFKGPAHRLATAATVKDGKVYLNHLVGMGYPKKTHALVEAVF >EOX92470 pep chromosome:Theobroma_cacao_20110822:1:7041785:7060263:-1 gene:TCM_001419 transcript:EOX92470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSNKLLGPDSMLYALFCDLTASMPLNQTHLFLFSIEAHAKEVQTPLFTYTSLTLLDGTASVDSFQYKSIIDALQYLSMTRLDIAYPANRLAQFKHKPTTIHLTALKRLLRYLKATKFHGHYLHKGHLSSFYAFSDEDWAGNKDDYTSTSAQLVFYGTLRVQHVSTSDQLADCLTKPLSRQRHHFLKHKIGVLDGTPLLQGRVTPGHCKDTWAL >EOX92292 pep chromosome:Theobroma_cacao_20110822:1:6098677:6101291:-1 gene:TCM_001258 transcript:EOX92292 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II, Rpb4, core protein isoform 1 MSGEEEENAAELKIGEEFLKAKCLMNCEVALILEHKYEQLQQMSEDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIKTKGRAHDDDAIERMLNDLSLIKKFE >EOX92293 pep chromosome:Theobroma_cacao_20110822:1:6098685:6101253:-1 gene:TCM_001258 transcript:EOX92293 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II, Rpb4, core protein isoform 1 MSGEEEENAAELKIGEEFLKAKCLMNCEVALILEHKYEQLQQMSEDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIKTKGRAHDDDAIERMLNDLSLIKKFE >EOX92892 pep chromosome:Theobroma_cacao_20110822:1:9162535:9164465:-1 gene:TCM_001744 transcript:EOX92892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSDPRAASNDGDNDSGDSMDAAVAKTAGFVVFSGIAMSILKTLNPFNKDRNATPLPQQPVAESTQSSLTQPIRDSPPPPPPEPIITEKASSNTEQRVPEYSGNVIEIVKGDTLWGLSRKYGVSIDAIKEANGLTGDTIYAGKKLILP >EOX95434 pep chromosome:Theobroma_cacao_20110822:1:34195312:34197910:-1 gene:TCM_004933 transcript:EOX95434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGKAMEKSLPLHMMFLWVNLLLWTVSGRLGKSVENAEAEISAVGDPGMKRDGLRVAFEAWNFCNEVGYEAPGMGSPRAADCFDVVNSTLIHKVSEADNRLSVGQPFKGLSPEALNSPDLYAVEKELYLGSLCEVAENGSEPWQYWMVMLKNGNMDTRTGLCPENGKVVPPFTTDRFPCFGEGCMNQPTFNHQPTELLADGTMRGWFNGTYDLDADIGRGLGDNTSYYEVIWEKKLGSGSWVFKHKVRTTKKYPWLMLYLRADATKGYSGGYHYDTRGMLKTLPQSADFKVRITLDVKQGGGSKSQFYLIDIGSCWKNNGQPCDGDVTTDVTRYSEMIINPDTAAWCSKDHLINCPPWHITPDDKKIYRNDTKNFPYGAYHYYCAPGNGSRYPSC >EOX95433 pep chromosome:Theobroma_cacao_20110822:1:34194702:34197925:-1 gene:TCM_004933 transcript:EOX95433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGKAMEKSLPLHMMFLWVNLLLWTVSGRLGKSVENAEAEISAVGDPGMKRDGLRVAFEAWNFCNEVGYEAPGMGSPRAADCFDVVNSTLIHKVSEADNRLSVGQPFKGLSPEALNSPDLYAVEKELYLGSLCEVAENGSEPWQYWMVMLKNGNMDTRTGLCPENGKVVPPFTTDRFPCFGEGCMNQPTFNHQPTELLADGTMRGWFNGTYDLDADIGRGLGDNTSYYEVIWEKKLGSGSWVFKHKVRTTKKYPWLMLYLRADATKGYSGGYHYDTRGMLKTLPQSADFKVRITLDVKQGGGSKSQFYLIDIGSCWKNNGQPCDGDVTTDVTRYSEMIINPDTAAWCSKDHLINCPPWHITPDDKKIYRNDTKNFPYGAYHYYCAPGNGKYLEKPVSICDPYSNPQAQELVQLLPHPIWGEYGYPTKPGDGWIGDPRTWTLDTGGLASRLYFYQDPGTPPAKRIWTSIDMGTEIFVSDNDEVAEWDISDFDVLLT >EOX92020 pep chromosome:Theobroma_cacao_20110822:1:4939091:4945451:-1 gene:TCM_001042 transcript:EOX92020 gene_biotype:protein_coding transcript_biotype:protein_coding description:LMBR1-like membrane protein isoform 1 MWVFYLISLPLTLGMVIFTLRYFAGPDAPRYVLFTVGYAWFCSLSIIILVPADIWTTISKPENASENGVISFFWSWSYWGTFLLTWAVVPLIQGFEDAGDFSVIERLKTSVHVNLVFYSIVGFIGLVGLILLITMHRNWSGGVLGLAMALSNTFGLVTGAFLLGFGLSEIPKSLWKNADWTIRQKVLSHKVAKMAVKLDEAHQEFSNAIVVAQATSNQMSKRDPLRPYMDVIDNMLAQMFREDPSFKPQGGRLGENDMDYDSDEKSMATLRRHLRLAREEYYRYKSEYMTYVSEALQLEDTIKNYERRSSTGWKYVSSFRPGRSGKTGTFLDSTEFIWRCILRKQLKKVLAIILGIMSAAILLAEATLLPRGVDLSLFSILINSVKKQEVLVQVFAFVPLMYMCICTYYSLFKIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLISLGGRKTIFEKRMGNIDDAVPFFGEGFNNIYPLIMVLYTLLVASNFFDRVVGFFGNWKRLRFQTEADDMDGFDPSGLIILQKGINKLSSFQNDHGLNKGTRLANKLSH >EOX92019 pep chromosome:Theobroma_cacao_20110822:1:4937703:4955141:-1 gene:TCM_001042 transcript:EOX92019 gene_biotype:protein_coding transcript_biotype:protein_coding description:LMBR1-like membrane protein isoform 1 MWVFYLISLPLTLGMVIFTLRYFAGPDAPRYVLFTVGYAWFCSLSIIILVPADIWTTISKPENASENGVISFFWSWSYWGTFLLTWAVVPLIQGFEDAGDFSVIERLKTSVHVNLVFYSIVGFIGLVGLILLITMHRNWSGGVLGLAMALSNTFGLVTGAFLLGFGLSEIPKSLWKNADWTIRQKVLSHKVAKMAVKLDEAHQEFSNAIVVAQATSNQMSKRDPLRPYMDVIDNMLAQMFREDPSFKPQGGRLGENDMDYDSDEKSMATLRRHLRLAREEYYRYKSEYMTYVSEALQLEDTIKNYERRSSTGWKYVSSFRPGRSGKTGTFLDSTEFIWRCILRKQLKKVLAIILGIMSAAILLAEATLLPRGVDLSLFSILINSVKKQEVLVQVFAFVPLMYMCICTYYSLFKIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLISLGGRKTIFEKRMGNIDDAVPFFGEGFNNIYPLIMVLYTLLVASNFFDRVVGFFGNWKRLRFQTEADDMDGFDPSGLIILQKERSWLEQGHKVGEQVIPLARNFNGADIESGNKIADRTVVEMKATTTSVADGMKGSPSRPLKEETHKYGTSREAISNKYAAMREQSRQVPHPKLVENNITSAKVSLLEAGKSHSSNQKGGPSSGLASTWLSMKSGFQNFKANVEAKKFLPLRPNQETKLVSRVNSSDSESLDEIFQRLKRPSVDHIDEDEDEN >EOX93908 pep chromosome:Theobroma_cacao_20110822:1:17298268:17301280:-1 gene:TCM_002907 transcript:EOX93908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein isoform 1 MLFKSQTISSSISYLKFDFDSFLNPKFTFQIKPISSLKVIWRKDQKLDQAIENDKRYKLCARVVKEVLNEPGQAIPLRYLDKRRERLRLNVKVKTFINNNPGLFDTYYDRIRPKSERVPFLRPSDKLRNFLEEEKRIYSENEELVVSKLCKLLMMSRYKVISVDKLVHVKREFGLPNDFLVSLVPKYSNYFRLTGSPGEGQSFLELVEWNPEFAKSVIEQRAEEESRLTGIRVRPNFNYKLPAGFFLRKEMREWVRDWLELDYISPYEDVSHLDQASREMEKRMVGVFHELLSLSLFKRVPAPILGKFTDDYRFSNAFSSVFTRHSGIFYMSLKGGIKTAVLREAYKDDQLIDKDPLLEIKDKFVELLEEGWQERAELLRLRREQVKKDMEMMAMRNEGLNDLSCDEEL >EOX93909 pep chromosome:Theobroma_cacao_20110822:1:17295821:17302161:-1 gene:TCM_002907 transcript:EOX93909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein isoform 1 MLFKSQTISSSISYLKFDFDSFLNPKFTFQIKPISSLKVIWRKDQKLDQAIENDKRYKLCARVVKEVLNEPGQAIPLRYLDKRRERLRLNVKVKTFINNNPGLFDTYYDRIRPKSERVPFLRPSDKLRNFLEEEKRIYSENEELVVSKLCKLLMMSRYKVISVDKLVHVKREFGLPNDFLVSLVPKYSNYFRLTGSPGEGQSFLELVEWNPEFAKSVIEQRAEEESRLTGIRVRPNFNYKLPAGFFLRKEMREWVRDWLELDYISPYEDVSHLDQASREMEKRMVGVFHELLSLSLFKRVPAPILGKFTDDYRFSNAFSSVFTRHSGIFYMSLKGGIKTAVLREAYKDDQLIDKDPLLEIKDKFVELLEEGWQERAELLRLRREQVKKDMEMMAMRNEGLNDLSCDEEL >EOX92255 pep chromosome:Theobroma_cacao_20110822:1:5961341:5968425:1 gene:TCM_001229 transcript:EOX92255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MRHPWRFLLFRRYPRSPLLVPTMYQVFPPSIPHPPRTRSFHNISSHSHFTNPFNMKKELSMINCKSSTINHCSFSSEAAVEEKEPDHVLVTVTGIFTRLNDRNDIKRELELNDVVFSHDMILKVLRNVESSPDVARRFFDCILESNLEKLSSKSYNLMLGILGVNGLVEEFWSLVDVMKQKGYGISGGVRDRVAAKFEKDVLESDLERLKGVFASGSVDSSMEKFCSRVCKIVRSNVWGDDVEERLRDLNVAFSSDLVKMVLENLGTEPTKAFILFRWAEECGLLKHDEQSYNAIARVLGREDCIDRFWKVVDEMKSIGYEMEMETYVKVLGRFCKRKMIKEAVDLYEFKMAGCNKPSVSCCTFLLRKIVVSKQLDMDLFSRVVRVFKESGNVLTDSMVDAVLRSLTSVGRLGECNKVLKVMEEGGFVAGGNLQSKIAFRLASAGKKDETAEFMDHVAASNTNLDHKAWGSLIEGCCAAGDLETASAYFQNMVEKEGVSHAGYAFEWLVNSYCSRKRAMDACKLLHKYVSENQLRPWHTTYKELIRKLLAQGGFRDGLSLLGLMKDHGFPPFVDPFIDYVSKSGSSEDAIAFLKSMTSKRFPSLSVVLRVFEAFFKAARQNEAQDLLSKCPGYVRNHADVLNLFCSKNSGQAVAAPPVIA >EOX91346 pep chromosome:Theobroma_cacao_20110822:1:2372094:2375118:-1 gene:TCM_000569 transcript:EOX91346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A 2A, IIA,PLA2A MASRFAKGKMVTVLSIDGGGVRGIIPGTLLSFLESKLHELDGANARIADYFDIIAGTSTGGLIATMLTAPNKQNRPMYAAKDINNFYLEHCPKIFPQNSRLNFLASMASFFRATSMGPKYDGKYLRSLTNQLLEDITLKQTLTNVVIPAFDIKLLQPVIFSTDDAKADALKNARLADVCVGTSAAPTFLPAHYFETKNADGKTRTFDLIDGGVAANNPTMLAINHFSKEILKHGTEFVEIEPMDSRRMLVLSLGTGEAKHEEKYSAAAASKWGMIDWLYEKGKTPLLDVFWDASSDIVDFHTSTQFQCLNSKDNYLRIQDDTFTGDAASVDIATEENLKKLIGIGTELLKKRVSRVNLETGKFEEVEGEPTNEVALANFAKKLSEERKLRLAP >EOX95758 pep chromosome:Theobroma_cacao_20110822:1:35487475:35489391:-1 gene:TCM_005184 transcript:EOX95758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroquinone glucosyltransferase, putative MLPTPGMGQLIPLVEFDKDLVHSHDWTVTLIVLTMSTKGQTDLLGALNHSIPPVSSDPETRVSIAIRRSVFTSPCVQVIAMSLALMFALPRLDETVSRDFMDLPEPLKLPGSIPVHETIEALQQREPNKPPVYAIGPRLQTGSSGGVDESQCLKWLDDQPSSSACFIWQRGTLSFDQLTELALGLEMKRFPDRTKGKGLVLPSWAPQKETLGHGSTGGFLTHCGWNSVLESIANGVPMIAWPLYAEQRMNAVLLADGINVALRPKVNQNGLVEREEIEVVKSLIKGEEGMIIREEMNKCKAAAAKAVTEGGSSTKSLSQLVLRWKSQKSI >EOX93105 pep chromosome:Theobroma_cacao_20110822:1:10465363:10468679:1 gene:TCM_001947 transcript:EOX93105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl/glutamyl-tRNA(Asn/Gln) amidotransferase subunit B, putative isoform 5 ERGVSRNRLSSLFLDEEKGDCPSNHLGSPQIDKGLKDEAKFLKACGTIPETPAEIRKASRRFKQSPPCGGDSEPSKFQSWLPNTSIDKFQLDKQSDQPPTPIKLFEALERGPDSSENTPTSISNAANTGRFSMGSTEGSEALSVDKTVKIDIVSTSAYGRNKSVRFECESDASSSKSENGGQNSKKLELPGYQSASKPSPNPTPLKLSDEMQTPGTVFPSNLENLANGKTRIRSQYVYSVLNPAENASQLNVMKEEPFSSKEMLGEPKKSPERPENAALKLGMGVEGTSRAEESEVEGSLSSWLKPKQNNIDDRYKNIQSNYSKLPPFGRTPGDRPIIGMVAAHWNEEESSHVTPKWWDGNGIPNSTNKYKEVNLFAGGIIFLQYRALLDECLSCLQDQKVSWHATPFEERLEKALSDESLISQSKHVNKTPMVFDETDESDTALSQLRPSSHSKSVVSF >EOX93104 pep chromosome:Theobroma_cacao_20110822:1:10465363:10468061:1 gene:TCM_001947 transcript:EOX93104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl/glutamyl-tRNA(Asn/Gln) amidotransferase subunit B, putative isoform 5 ERGVSRNRLSSLFLDEEKGDCPSNHLGSPQIDKGLKDEAKFLKACGTIPETPAEIRKASRRFKQSPPCGGDSEPSKFQSWLPNTSIDKFQLDKQSDQPPTPIKLFEALERGPDSSENTPTSISNAANTGRFSMGSTEGSEALSVDKTVKIDIVSTSAYGRNKSVRFECESDASSSKSENGGQNSKKLELPGYQSASKPSPNPTPLKLSDEMQTPGTVFPSNLENLANGKTRIRSQYVYSVLNPAENASQLNVMKEEPFSSKEMLGEPKKSPERPENAALKLGMGVEGTSRAEESEVEGSLSSWLKPKQNNIDDRYKNIQSNYSKLPPFGRTPGDRPIIGMVAAHWNEEESSHVTPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSDESLISQRLGVYISDPSVGPCKQIEGITSYSTILLKATFLLDNNIVDEVKTNGPGLFQSD >EOX93103 pep chromosome:Theobroma_cacao_20110822:1:10464917:10467888:1 gene:TCM_001947 transcript:EOX93103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl/glutamyl-tRNA(Asn/Gln) amidotransferase subunit B, putative isoform 5 MVCCFLNGELGLGIITRSVVRFLDQTVCRAMGCFFGCFRVRDDRSRPHLVSSSSKSTERGVSRNRLSSLFLDEEKGDCPSNHLGSPQIDKGLKDEAKFLKACGTIPETPAEIRKASRRFKQSPPCGGDSEPSKFQSWLPNTSIDKFQLDKQSDQPPTPIKLFEALERGPDSSENTPTSISNAANTGRFSMGSTEGSEALSVDKTVKIDIVSTSAYGRNKSVRFECESDASSSKSENGGQNSKKLELPGYQSASKPSPNPTPLKLSDEMQTPGTVFPSNLENLANGKTRIRSQYVYSVLNPAENASQLNVMKEEPFSSKEMLGEPKKSPERPENAALKLGMGVEGTSRAEESEVEGSLSSWLKPKQNNIDDRYKNIQSNYSKLPPFGRTPGDRPIIGMVAAHWNEEESSHVTPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSDESLISQRLGVYISDPSVGPCKQIEGITSYSTILLKATFLLDNNIVDEVKTNGP >EOX93106 pep chromosome:Theobroma_cacao_20110822:1:10464996:10467721:1 gene:TCM_001947 transcript:EOX93106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl/glutamyl-tRNA(Asn/Gln) amidotransferase subunit B, putative isoform 5 MVCCFLNGELGLGIITRSVVRFLDQTVCRAMGCFFGCFRVRDDRSRPHLVSSSSKSTERGVSRNRLSSLFLDEEKGDCPSNHLGSPQIDKGLKDEAKFLKACGTIPETPAEIRKASRRFKQSPPCGGDSEPSKFQSWLPNTSIDKFQLDKQSDQPPTPIKLFEALERGPDSSENTPTSISNAANTGRFSMGSTEGSEALSVDKTVKIDIVSTSAYGRNKSVRFECESDASSSKSENGGQNSKKLELPGYQSASKPSPNPTPLKLSDEMQTPGTVFPSNLENLANGKTRIRSQYVYSVLNPAENASQLNVMKEEPFSSKEMLGEPKKSPERPENAALKLGMGVEGTSRAEESEVEGSLSSWLKPKQNNIDDRYKNIQSNYSKLPPFGRTPGDRPIIGMVAAHWNEEESSHVTPKWWDGNGIPNSTNKYKEVNLFAGGIIFLQYRALLDECLSCLQDQKVSWHATPFEERLEKALSDESLISQRYACLSALPIFLSVILFYIVAIWGIGVKIQSILPQTQNLFLTKYLVWVVVRSTVSHCFIHLKYGGSSLVIQSVPQHQYLLSFFWFSKQQFMF >EOX93102 pep chromosome:Theobroma_cacao_20110822:1:10464917:10468680:1 gene:TCM_001947 transcript:EOX93102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl/glutamyl-tRNA(Asn/Gln) amidotransferase subunit B, putative isoform 5 MVCCFLNGELGLGIITRSVVRFLDQTVCRAMGCFFGCFRVRDDRSRPHLVSSSSKSTERGVSRNRLSSLFLDEEKGDCPSNHLGSPQIDKGLKDEAKFLKACGTIPETPAEIRKASRRFKQSPPCGGDSEPSKFQSWLPNTSIDKFQLDKQSDQPPTPIKLFEALERGPDSSENTPTSISNAANTGRFSMGSTEGSEALSVDKTVKIDIVSTSAYGRNKSVRFECESDASSSKSENGGQNSKKLELPGYQSASKPSPNPTPLKLSDEMQTPGTVFPSNLENLANGKTRIRSQYVYSVLNPAENASQLNVMKEEPFSSKEMLGEPKKSPERPENAALKLGMGVEGTSRAEESEVEGSLSSWLKPKQNNIDDRYKNIQSNYSKLPPFGRTPGDRPIIGMVAAHWNEEESSHVTPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSDESLISQSKHVNKTPMVFDETDESDTALSQLRPSSHSKSVVSF >EOX94213 pep chromosome:Theobroma_cacao_20110822:1:25901383:25902021:1 gene:TCM_003715 transcript:EOX94213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCCDGDCRPLGFLLGLPFAFLSLIISIVGIVVWIVGLLLTLICPCCLCVTVLAELALELVKAPIHVMKWFTEKIPC >EOX92494 pep chromosome:Theobroma_cacao_20110822:1:7155735:7159725:-1 gene:TCM_001439 transcript:EOX92494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MKGGTVQINWHDTKPVLTLDFHPISGLLATGGADFDIKLWFINSDEVQKKIPTASYQNSLSYHGSAVNALRFSPSGEQLASGADGGELIIWKLHTTETGQSWKVFKSLSFHRKDVLDLQWSSDGAFLISGSVDNSCIIWDVNKGSVHQILDGHFHYVQGVAWDPLSKYVASLSSDRTCRIYVNKPQAKAKGVEKLNYICQHTIVKAEQQPIDDAKSVKYHLFHDETLPSFFRRLAWSPDGSFLLVPAGFYKIPASSETVNTTYVFSRKDLSRPSLQLPGASKPVVAVRFCPVAFNLRGSNPAAGFFKLPYRLIFAVATLNSLYIYDTESVPPIAILAGLHYAAITDISWSFDARYLALSSQDGYCTLVEFEKDELGQPSSSLEPMNVDKQSPVVQKPDDMVIETAKDDDSITAENRKAECTERREGKQATPSTVNASISNKPAKRRITPMAIDP >EOX92495 pep chromosome:Theobroma_cacao_20110822:1:7155735:7159581:-1 gene:TCM_001439 transcript:EOX92495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 PISGLLATGGADFDIKLWFINSDEVQKKIPTASYQNSLSYHGSAVNALRFSPSGEQLASGADGGELIIWKLHTTETGQSWKVFKSLSFHRKDVLDLQWSSDGAFLISGSVDNSCIIWDVNKGSVHQILDGHFHYVQGVAWDPLSKYVASLSSDRTCRIYVNKPQAKAKGVEKLNYICQHTIVKAEQQPIDDAKSVKYHLFHDETLPSFFRRLAWSPDGSFLLVPAGFYKIPASSETVNTTYVFSRKDLSRPSLQLPGASKPVVAVRFCPVAFNLRGSNPESPVVQKPDDMVIETAKDDDSITAENRKAECTERREGKQATPSTVNASISNKPAKRRITPMAIDP >EOX92493 pep chromosome:Theobroma_cacao_20110822:1:7155504:7159895:-1 gene:TCM_001439 transcript:EOX92493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MKKRKEGLTFPAKSKQGASTSPLLLLYSPLSQPNPRKTKAKKMKGGTVQINWHDTKPVLTLDFHPISGLLATGGADFDIKLWFINSDEVQKKIPTASYQNSLSYHGSAVNALRFSPSGEQLASGADGGELIIWKLHTTETGQSWKVFKSLSFHRKDVLDLQWSSDGAFLISGSVDNSCIIWDVNKGSVHQILDGHFHYVQGVAWDPLSKYVASLSSDRTCRIYVNKPQAKAKGVEKLNYICQHTIVKAEQQPIDDAKSVKYHLFHDETLPSFFRRLAWSPDGSFLLVPAGFYKIPASSETVNTTYVFSRKDLSRPSLQLPGASKPVVAVRFCPVAFNLRGSNPAGFFKLPYRLIFAVATLNSLYIYDTESVPPIAILAGLHYAAITDISWSFDARYLALSSQDGYCTLVEFEKDELGQPSSSLEPMNVDKQSPVVQKPDDMVIETAKDDDSITAENRKAECTERREGKQATPSTVNASISNKPAKRRITPMAIDP >EOX92577 pep chromosome:Theobroma_cacao_20110822:1:7586278:7590500:1 gene:TCM_001512 transcript:EOX92577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein isoform 3 MSINWLRVVFPSIIKLPPPLPLRYSSPSSCSCFSSVVVAMSYDKELTAAKKAASLAARLCQKVQKSLLQSDVQSKNDRSPVTVADYGSQALVSFVLQQEFPAEFSLVAEEDSKDLRKDGAQELVDRITKLVNDSLASDESYSVTLSTEDVLKAIDSGKSEGGSQGRHWVLDPIDGTKGFLRGGQYAIALALLDRGKVVLGVLACPNLPLTPINDQHSPNNEVGCLFFAEVGGGSYMQPLDSSSTVKVQVSGIENPEEASFFESYEAAHSMHDLSSLIAQKLGVKAPPVRIDSQVKYGALSRGDGAIYMRLPHKGYREKIWDHAAGCIVVTAGGVVMDAAGNPLDFSKGKFLDLDTGIIVTNQKLMPILIKAVRESLEEKASPL >EOX92578 pep chromosome:Theobroma_cacao_20110822:1:7586278:7589587:1 gene:TCM_001512 transcript:EOX92578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein isoform 3 MSINWLRVVFPSIIKLPPPLPLRYSSPSSCSCFSSVVVAMSYDKELTAAKKAASLAARLCQKVQKSLLQSDVQSKNDRSPVTVADYGSQALVSFVLQQEFPAEFSLVAEEDSKDLRKDGAQELVDRITKLVNDSLASDESYSVTLSTEDVLKAIDSGKSEGGSQGRHWVLDPIDGTKGFLRGGQYAIALALLDRGKVVLGVLACPNLPLTPINDQHSPNNEVGCLFFAEVGGGSYMQPLDSSSTVKVVMICYNFIAIDQSKYLQLIHLFGIQVQVSGIENPEEASFFESYEAAHSMHDLSSLIAQKLGVKAPPVRIDSQVKYGALSRGDGAIYMRLPHKG >EOX92576 pep chromosome:Theobroma_cacao_20110822:1:7584638:7590688:1 gene:TCM_001512 transcript:EOX92576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein isoform 3 MSINWLRVVFPSIIKLPPPLPLRYSSPSSCSCFSSVVVAMSYDKELTAAKKAASLAARLCQKVQKSLLQSDVQSKNDRSPVTVADYGSQALVSFVLQQEFPAEFSLVAEEDSKDLRKDGAQELVDRITKLVNDSLASDESYSVTLSTEDVLKAIDSGKSEGGSQGRHWVLDPIDGTKGFLRGGQYAIALALLDRGKVVLGVLACPNLPLTPINDQHSPNNEVGCLFFAEVGGGSYMQPLDSSSTVKVQVSGIENPEEASFFESYEAAHSMHDLSSLIAQKLGVKAPPVRIDSQVKYGALSRGDGAIYMRLPHKGYREKIWDHAAGCIVVTEAGGVVMDAAGNPLDFSKGKFLDLDTGIIVTNQKLMPILIKAVRESLEEKASPL >EOX96432 pep chromosome:Theobroma_cacao_20110822:1:37793471:37794869:-1 gene:TCM_005684 transcript:EOX96432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTCSVENAGLLCLKLQPNGKAISSTPGLSPSRKCFMSTYSLKTTWHSQQIKVDCNVVRPGKVLNWIIIVTEGCCKLRTAPYSLVASRLIVSILPITEWLQLRTRWKWRPAPYIQHLQNTKNFRRPETRSIEMILFPDNDG >EOX94091 pep chromosome:Theobroma_cacao_20110822:1:20734061:20735148:1 gene:TCM_003217 transcript:EOX94091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIQLEKNALLNKINFITVNIQIFHLSNDSCEAIEHISRGVQDKLLCIEVQKIWKLRYKKFGNLCYVISALQPFIGHRNQDLRIKVNIFRDLESNSSTSKVYYFLIH >EOX93529 pep chromosome:Theobroma_cacao_20110822:1:13788647:13791514:-1 gene:TCM_002417 transcript:EOX93529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase isoform 3 MHRLRQGKLYPSKSLSWICSFSTLLWFCLPKGQYNSNSLSSVRYMSTSTSAVAIEKQMVAENEVQQVELLTGVEDLHGGIILDMNKAMDSEAFASSLRASMSPWKQQGKRAVWIKVPTELVNLVEPAVKEGFRYHHAEPDYLMLVNWISNSTNTLPQNASHRVGIGAFVVNDKREVLVVQEKNGRFKGTGVWKFPTGVVNEGEDIYMAAIREVKEETGIDTEFVEILAFRQSHKSFFTKSDLFFVCMLRPHSFDIQKQDIEIDAAQVHSNGHPCTDC >EOX93528 pep chromosome:Theobroma_cacao_20110822:1:13788061:13791514:-1 gene:TCM_002417 transcript:EOX93528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase isoform 3 MHRLRQGKLYPSKSLSWICSFSTLLWFCLPKGQYNSNSLSSVRYMSTSTSAVAIEKQMVAENEVQQVELLTGVEDLHGGIILDMNKAMDSEAFASSLRASMSPWKQQGKRAVWIKVPTELVNLVEPAVKEGFRYHHAEPDYLMLVNWISNSTNTLPQNASHRVGIGAFVVNDKREVLVVQEKNGRFKGTGVWKFPTGVVNEGEDIYMAAIREVKEETGIDTEFVEILAFRQSHKSFFTKSDLFFVCMLRPHSFDIQKQDIEIDAAQWMSLEDYAA >EOX93530 pep chromosome:Theobroma_cacao_20110822:1:13787386:13791461:-1 gene:TCM_002417 transcript:EOX93530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase isoform 3 MHRLRQGKLYPSKSLSWICSFSTLLWFCLPKGQYNSNSLSSVRYMSTSTSAVAIEKQMVPENEVQQVELHTGAEELHGGIILDMSTSTSAVAIEKQMVAENEVQQVELLTGVEDLHGGIILDMNKAMDSEAFASSLRASMSPWKQQGKRAVWIKVPTELVNLVEPAVKEGFRYHHAEPDYLMLVNWISNSTNTLPQNASHRVGIGAFVVNDKREVLVVQEKNGRFKGTGVWKFPTGVVNEGEDIYMAAIREVKEETGIDTEFVEILAFSGCHLRTMQPNLLSRNIVSLVVLPKYA >EOX93527 pep chromosome:Theobroma_cacao_20110822:1:13787386:13791421:-1 gene:TCM_002417 transcript:EOX93527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase isoform 3 MHRLRQGKLYPSKSLSWICSFSTLLWFCLPKGQYNSNSLSSVRYMSTSTSAVAIEKQMVPENEVQQVELHTGAEELHGGIILDMSTSTSAVAIEKQMVAENEVQQVELLTGVEDLHGGIILDMNKAMDSEAFASSLRASMSPWKQQGKRAVWIKVPTELVNLVEPAVKEGFRYHHAEPDYLMLVNWISNSTNTLPQNASHRVGIGAFVVNDKREVLVVQEKNGRFKGTGVWKFPTGVVNEGEDIYMAAIREVKEETGIDTEFVEILAFRQSHKSFFTKSDLFFVCMLRPHSFDIQKQDIEIDAAQWMSLEDYAAQPFIQKHSVFSSVAQVCITKLEKDYAGFSPVPTITSSGKTSYLYFNSGDLNQL >EOX91198 pep chromosome:Theobroma_cacao_20110822:1:1924203:1927922:-1 gene:TCM_000466 transcript:EOX91198 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein isoform 8 MSHAYCVKENKPCVRWVERHFKDCLCNLKDDFSFAFGLVSLVCWGVAEIPQIITNFKTKSSHGVSLLFLLTWVAGDVFNLVGCLLEPATLPTQFYTALLYTTSTLVLVLQTIYYDNVYRWWKCRRIKTDNMVEDEKKPLKPGKADSGIPIPKPSPKANPRGESGTQPRPIPRAAASYGTFLAASVNLPLGSKAWMEARTGFTSRRLLQEQSMEHSAFGQWLGWLMAAIYMGGRIPQIWLNIKRGTVEGLNPLMFIFALIANATYVASILVRTTEWESIKANMPWLLDAAVCVALDLFIILQYVYYKYFRKTDNSDGEDYGDYMEASKEVVP >EOX91204 pep chromosome:Theobroma_cacao_20110822:1:1923788:1927992:-1 gene:TCM_000466 transcript:EOX91204 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein isoform 8 MSHAYCVKENKPCVRWVERHFKDCLCNLKDDFSFAFGLVSLVCWGVAEIPQIITNFKTKSSHGVSLLFLLTWVAGDVFNLVGCLLEPATLYTTSTLVLVLQTIYYDNVYRWWKCRRIKTDNMVEDEKKPLKPGKADSGIPIPKPSPKANPRGEFYYTSARSLAGSGTSPFRTYMRVAKSGPSAMELDSDSSSEDEAAPVSFKKSGTQPRPIPRAAASYGTFLAASVNLPLGSKAWMEARTGFTSRRLLQEQSMEHSAFGQWLGWLMAAIYMGGRIPQIWLNIKRGTVEHSSENH >EOX91197 pep chromosome:Theobroma_cacao_20110822:1:1923788:1927992:-1 gene:TCM_000466 transcript:EOX91197 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein isoform 8 MSHAYCVKENKPCVRWVERHFKDCLCNLKDDFSFAFGLVSLVCWGVAEIPQIITNFKTKSSHGVSLLFLLTWVAGDVFNLVGCLLEPATLPTQFYTALTIYYDNVYRWWKCRRIKTDNMVEDEKKPLKPGKADSGIPIPKPSPKANPRGEFYYTSARSLAGSGTSPFRTYMRVAKSGPSAMELDSDSSSEDEAAPVSFKKSGTQPRPIPRAAASYGTFLAASVNLPLGSKAWMEARTGFTSRRLLQEQSMEHSAFGQWLGWLMAAIYMGGRIPQIWLNIKRGTVEGLNPLMFIFALIANATYVASILVRTTEWESIKANMPWLLDAAVCVALDLFIILQYVYYKYFRKTDNSDGEDYGDYMEASKEVVP >EOX91195 pep chromosome:Theobroma_cacao_20110822:1:1924507:1927922:-1 gene:TCM_000466 transcript:EOX91195 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein isoform 8 MSHAYCVKENKPCVRWVERHFKDCLCNLKDDFSFAFGLVSLVCWGVAEIPQIITNFKTKSSHGVSLLFLLTWVAGDVFNLVGCLLEPATLPTQFYTALLYTTSTLVLVLQTIYYDNVYRWWKCRRIKTDNMVEDEKKPLKPGKADSGIPIPKPSPKANPRGEFYYTSARSLAGSGTSPFRTYMRVAKSGPSAMELDSDSSSEDEAAPVSFKKSGTQPRPIPRAAASYGTFLAASVNLPLGSKAWMEARTGFTSRRLLQEQSMEHSAFGQWLGWLMAAIYMGGRIPQIWLNIKRGTVELTLLMWQAF >EOX91194 pep chromosome:Theobroma_cacao_20110822:1:1924203:1927922:-1 gene:TCM_000466 transcript:EOX91194 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein isoform 8 MSHAYCVKENKPCVRWVERHFKDCLCNLKDDFSFAFGLVSLVCWGVAEIPQIITNFKTKSSHGVSLLFLLTWVAGDVFNLVGCLLEPATLPTQFYTALLYTTSTLVLVLQTIYYDNVYRWWKCRRIKTDNMVEDEKKPLKPGKADSGIPIPKPSPKANPRGEFYYTSARSLAGSGTSPFRTYMRVAKSGPSAMELDSDSSSEDEAAPVSFKKSGTQPRPIPRAAASYGTFLAASVNLPLGSKAWMEARTGFTSRRLLQEQSMEHSAFGQWLGWLMAAIYMGGRIPQIWLNIKRGTVEGLNPLMFIFALIANATYVASILVRTTEWESIKANMPWLLDAAVCVALDLFIILQYVYYKYFRKTDNSDGEDYGDYMEASKEVVP >EOX91201 pep chromosome:Theobroma_cacao_20110822:1:1924507:1927922:-1 gene:TCM_000466 transcript:EOX91201 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein isoform 8 MSHAYCVKENKPCVRWVERHFKDCLCNLKDDFSFAFGLVSLVCWGVAEIPQIITNFKTKSSHGVSLLFLLTWVAGDVFNLVGCLLEPATLYTTSTLVLVLQTIYYDNVYRWWKCRRIKTDNMVEDEKKPLKPGKADSGIPIPKPSPKANPRGEFYYTSARSLAGSGTSPFRTYMRVAKSGPSAMELDSDSSSEDEAAPVSFKKSGTQPRPIPRAAASYGTFLAASVNLPLGSKAWMEARTGFTSRRLLQEQSMEHSAFGQWLGWLMAAIYMGGRIPQIWLNIKRGTVEALLLNVCTAF >EOX91199 pep chromosome:Theobroma_cacao_20110822:1:1923788:1927992:-1 gene:TCM_000466 transcript:EOX91199 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein isoform 8 MSHAYCVKENKPCVRWVERHFKDCLCNLKDDFSFAFGLVSLVCWGVAEIPQIITNFKTKSSHGVSLLFLLTWVAGDVFNLVGCLLEPATLYTTSTLVLVLQTIYYDNVYRWWKCRRIKTDNMVEDEKKPLKPGKADSGIPIPKPSPKANPRGEFYYTSARSLAGSGTSPFRTYMRVAKSGPSAMELDSDSSSEDEAAPVSFKKSGTQPRPIPRAAASYGTFLAASVNLPLGSKAWMEARTGFTSRRLLQEQSMEHSAFGQWLGWLMAAIYMGGRIPQIWLNIKRGTVEGLNPLMFIFALIANATYVASILVRTTEWESIKANMPWLLDAAVCVALDLFIILQYVYYKYFRKTDNSDGEDYGDYMEASKEVVP >EOX91203 pep chromosome:Theobroma_cacao_20110822:1:1923788:1927992:-1 gene:TCM_000466 transcript:EOX91203 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein isoform 8 MSHAYCVKENKPCVRWVERHFKDCLCNLKDDFSFAFGLVSLVCWGVAEIPQIITNFKTKSSHGVSLLFLLTWVAGDVFNLVGCLLEPATLPTQFYTALLYTTSTLVLVLQTIYYDNVYRWWKCRRIKTDNMVEDEKKPLKPGKADSGIPIPKPSPKANPRGESGTQPRPIPRAAASYGTFLAASVNLPLGSKAWMEARTGFTSRRLLQEQSMEHSAFGQWLGWLMAAIYMGGRIPQIWLNIKRGTVEHSSENH >EOX91202 pep chromosome:Theobroma_cacao_20110822:1:1923964:1927929:-1 gene:TCM_000466 transcript:EOX91202 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein isoform 8 MSHAYCVKENKPCVRWVERHFKDCLCNLKDDFSFAFGLVSLVCWGVAEIPQIITNFKTKSSHGVSLLFLLTWVAGDVFNLVGCLLEPATLYTTSTLVLVLQTIYYDNVYRWWKCRRIKTDNMVEDEKKPLKPGKADSGIPIPKPSPKANPRGESGTQPRPIPRAAASYGTFLAASVNLPLGSKAWMEARTGFTSRRLLQEQSMEHSAFGQWLGWLMAAIYMGGRIPQIWLNIKRGTVEGLNPLMFIFALIANATYVASILVRTTEWESIKANMPWLLDAAVCVALDLFIILQYVYYKYFRKTDNSDGEDYGDYMEASKEVVP >EOX91196 pep chromosome:Theobroma_cacao_20110822:1:1924507:1927922:-1 gene:TCM_000466 transcript:EOX91196 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein isoform 8 MSHAYCVKENKPCVRWVERHFKDCLCNLKDDFSFAFGLVSLVCWGVAEIPQIITNFKTKSSHGVSLLFLLTWVAGDVFNLVGCLLEPATLPTQFYTALLYTTSTLVLVLQTIYYDNVYRWWKCRRIKTDNMVEDEKKPLKPGKADSGIPIPKPSPKANPRGEFYYTSARSLAGSGTSPFRTYMRVAKSGPSAMELDSDSSSEDEAAPVSFKKSGTQPRPIPRAAASYGTFLAASVNLPLGSKAWMEARTGFTSRRLLQEQSMEHSAFGQWLGWLMAAIYMGGRIPQIWLNIKRGTVEALLLNVCTAF >EOX91200 pep chromosome:Theobroma_cacao_20110822:1:1923788:1927992:-1 gene:TCM_000466 transcript:EOX91200 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein isoform 8 MSHAYCVKENKPCVRWVERHFKDCLCNLKDDFSFAFGLVSLVCWGVAEIPQIITNFKTKSSHGVSLLFLLTWVAGDVFNLVGCLLEPATLPTQFYTALLYTTSTLVLVLQTIYYDNVYRWWKCRRIKTDNMVEDEKKPLKPGKADSGIPIPKPSPKANPRGEFYYTSARSLAGSGTSPFRTYMRVAKSGPSAMELDSDSSSEDEAAPVSFKKSGTQPRPIPRAAASYGTFLAASVNLPLGSKAWMEARTGFTSRRLLQEQSMEHSAFGQWLGWLMAAIYMGGRIPQIWLNIKRGTVEHSSENH >EOX96372 pep chromosome:Theobroma_cacao_20110822:1:37540404:37547794:-1 gene:TCM_005628 transcript:EOX96372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EFG/EF2 protein isoform 1 MARFPRSPVQRLLYTFYSAKTTPSSSPSQTAALLLGNFEIRHFSAGNVARAKDDKEPWWKESMERLRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGKDGVGAKMDSMDLEREKGITIQSAATYCTWKDYKINIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAVQVPIGLEENFQGLIDLVQLKAYYFHGSNGEKVVAEEIPADMEAIVAEKRRELIEMVSEVDDKLAEAFLNDEPISSADLEDAIRRATIARKFVPVFMGSAFKNKGVQPLLDGVLSYLPCPVEVSNYALDQTKNEEKVTLSGTPDGPLVALAFKLEEGRFGQLTYLRVYEGVIRKGDFIVNINTGKKIKVPRLVRMHSDEMEDIQEAHAGQIVAVFGVDCASGDTFTNGSVKYTMTSMNVPEPVMSLAVQPVSKDSGGQFSKALNRFQKEDPTFRVGLDAESGQTIISGMGELHLDIYVERIRREYKVDATVGRPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYVEPLPPGSPIKFEFENMIVGQAIPSNFIPAIEKGFKEAANSGSLIGHPVENIRIVLTDGASHAVDSSELAFKLAAIYAFRQCYTAARPVILEPIMLVELKVPTEFQGTVAGDINKRKGVIVGNDQDGDDSIITTNGKGEFTMEYKEHLPVSQDVQMQLVNTHKASKAAE >EOX96373 pep chromosome:Theobroma_cacao_20110822:1:37540859:37547628:-1 gene:TCM_005628 transcript:EOX96373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EFG/EF2 protein isoform 1 MARFPRSPVQRLLYTFYSAKTTPSSSPSQTAALLLGNFEIRHFSAGNVARAKDDKEPWWKESMERLRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGKDGVGAKMDSMDLEREKGITIQSAATYCTWKDYKINIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAVQVPIGLEENFQGLIDLVQLKAYYFHGSNGEKVVAEEIPADMEAIVAEKRRELIEMVSEVDDKLAEAFLNDEPISSADLEDAIRRATIARKFVPVFMGSAFKNKGVQPLLDGVLSYLPCPVEVSNYALDQTKNEEKVTLSGTPDGPLVALAFKLEEGRFGQLTYLRVYEGVIRKGDFIVNINTGKKIKVPRLVRMHSDEMEDIQEAHAGQIVAVFGVDCASGDTFTNGSVKYTMTSMNVPEPVMSLAVQPVSKDSGGQFSKALNRFQKEDPTFRVGLDAESGQTIISGMGELHLDIYVERIRREYKVDATVGRPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYVEPLPPGSPIKFEFENMIVGQAIPSNFIPAIPVEQYVWILDSTSINDTG >EOX96371 pep chromosome:Theobroma_cacao_20110822:1:37538448:37547794:-1 gene:TCM_005628 transcript:EOX96371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EFG/EF2 protein isoform 1 MARFPRSPVQRLLYTFYSAKTTPSSSPSQTAALLLGNFEIRHFSAGNVARAKDDKEPWWKESMERLRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGKDGVGAKMDSMDLEREKGITIQSAATYCTWKDYKINIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAVQVPIGLEENFQGLIDLVQLKAYYFHGSNGEKVVAEEIPADMEAIVAEKRRELIEMVSEVDDKLAEAFLNDEPISSADLEDAIRRATIARKFVPVFMGSAFKNKGVQPLLDGVLSYLPCPVEVSNYALDQTKNEEKVTLSGTPDGPLVALAFKLEEGRFGQLTYLRVYEGVIRKGDFIVNINTGKKIKVPRLVRMHSDEMEDIQEAHAGQIVAVFGVDCASGDTFTNGSVKYTMTSMNVPEPVMSLAVQPVSKDSGGQFSKALNRFQKEDPTFRVGLDAESGQTIISGMGELHLDIYVERIRREYKVDATVGRPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYVEPLPPGSPIKFEFENMIVGQAIPSNFIPAIEKGFKEAANSGSLIGHPVENIRIVLTDGASHAVDSSELAFKLAAIYAFRQCYTAARPVILEPIMLVELKVPTEFQGTVAGDINKRKGVIVGNDQDGDDSIITTNVPLNNMFGYSTALRSMTQGKGEFTMEYKEHLPVSQDVQMQLVNTHKASKAAE >EOX96370 pep chromosome:Theobroma_cacao_20110822:1:37541388:37547832:-1 gene:TCM_005628 transcript:EOX96370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EFG/EF2 protein isoform 1 MARFPRSPVQRLLYTFYSAKTTPSSSPSQTAALLLGNFEIRHFSAGNVARAKDDKEPWWKESMERLRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGKDGVGAKMDSMDLEREKGITIQSAATYCTWKDYKINIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAVQVPIGLEENFQGLIDLVQLKAYYFHGSNGEKVVAEEIPADMEAIVAEKRRELIEMVSEVDDKLAEAFLNDEPISSADLEDAIRRATIARKFVPVFMGSAFKNKGVQPLLDGVLSYLPCPVEVSNYALDQTKNEEKVTLSGTPDGPLVALAFKLEEGRFGQLTYLRVYEGVIRKGDFIVNINTGKKIKVPRLVRMHSDEMEDIQEAHAGQIVAVFGVDCASGDTFTNGSVKYTMTSMNVPEPVMSLAVQPVSKDSGGQFSKALNRFQKEDPTFRVGLDAESGQTIISGMGELHLDIYVERIRREYKVDATVGRPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYVEPLPPGSPIKFEFENMIVGQAIPSNFIPAIEKGFKEAANSGSLIGHPVENIRIVLTDGASHAVDSSELAFKLAAIYAFRQCYTAARPVILEPIMLVELKVPTEFQGTVAGDINKRKGVIVGNDQDGDDSIITTNVPLNNMFGYSTALRSMTQGKGEFTMEYKEHLPVSQDVQMQLVNTHKASKAAE >EOX95526 pep chromosome:Theobroma_cacao_20110822:1:34557154:34560198:1 gene:TCM_005003 transcript:EOX95526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA processing Brix domain protein isoform 2 MGGKRKKGESEGMEGDNDSKNNNKKMKQKSEILPSSVKNKEKRSAVHAKLKHQKKVEKRKKLKARDAAEKRALELGEEPAPKQIPRTIENTREADETVCMPDDEELFAGNDADEFSSILKRDRTPKILITTCRFNSTRGPSFISELLSVIPNAHYNKRGTYDLKKIVEYANNKEFTSIIVVHTNRREPDALLIIGLPDGPTAHFKLSSLVLRKDIKNHGNPTSHMPELVLNNFTTRLGHRIGRLIQSLFPQDPNFRGRRVVTFHNQRDFIFFRHHRYVFETKESKQSDSKGKKAKDAKGESIAKEKVVARLQECGPRFTLKLVSLQHGTFDTKGGEYEWVHKPEMDTSRRRFFL >EOX95527 pep chromosome:Theobroma_cacao_20110822:1:34557154:34561071:1 gene:TCM_005003 transcript:EOX95527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA processing Brix domain protein isoform 2 MGGKRKKGESEGMEGDNDSKNNNKKMKQKSEILPSSVKNKEKRSAVHAKLKHQKKVEKRKKLKARDAAEKRALELGEEPAPKQIPRTIENTREADETVCMPDDEELFAGNDADEFSSILKRDRTPKILITTCRFNSTIVEYANNKEFTSIIVVHTNRREPDALLIIGLPDGPTAHFKLSSLVLRKDIKNHGNPTSHMPELVLNNFTTRLGHRIGRLIQSLFPQDPNFRGRRVVTFHNQRDFIFFRHHRYVFETKESKQSDSKGKKAKDAKGESIAKEKVVARLQECGPRFTLKLVSLQHGTFDTKGGEYEWVHKPEMDTSRRRFFL >EOX95528 pep chromosome:Theobroma_cacao_20110822:1:34557572:34560473:1 gene:TCM_005003 transcript:EOX95528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA processing Brix domain protein isoform 2 KRSAVHAKLKHQKKVEKRKKLKARDAAEKRALELGEEPAPKQIPRTIENTREADETVRGPSFISELLSVIPNAHYNKRGTYDLKKIVEYANNKEFTSIIVVHTNRREPDALLIIGLPDGPTAHFKLSSLVLRKDIKNHGNPTSHMPELVLNNFTTRLGHRIGRLIQSLFPQDPNFRGRRVVTFHNQRDFIFFRHHRYVFETKESKQSDSKGKKAKDAKGESIAKEKVVARLQECGPRFTLKLVSLQHGTFDTKGGEYEWVHKPEMDTSRRRFFL >EOX92436 pep chromosome:Theobroma_cacao_20110822:1:6828748:6831815:-1 gene:TCM_001388 transcript:EOX92436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 1 MATILLLLLSIMSTAVAQTRNTNITLGSSLTPTGQSTWLSPSGLYAFGFYQQAAKSYAVGIFLAGVPQRTVVWTANRDDPPVKSTARLLLTTDGRLILQSPQGREVYIIDSSEKIATASMLNTGNFVVYNSDQKVIWQSFDHPTTTILQGQRLLAGVELFSSVSETDQSTGIFRLKMQNDGNLVQYPVETPDTASYAYWASGTDGRGDNVSLNLDKDGHLYLLNSTGFNIKDIFEGGYDKNGTIYLMKIDSDGIFRLYSYEFNQNGNQSVLWSSTSDKCDPKGLCGFNSYCVNEDKEADCRCLPGFAPVIEGNFSAGCERNFSTDSCKSEEGRIQYTIQAVENTVWEDSGYSVLSLTTKEDCETACSEDCTCEAAMFKDTECKMQRLPLRFGRRNLRDSNVALIKVGLSSESRKHDVSKERMQKLRMDILIIGVSLIGFAIIVLVISGAFIYRSHVFRYNRFSTHSGIRLCENVAPISFSFEEIEQMTHNFKEEIGKGAFGTVYKGTAMLDNGVKVVAVKKLDKVSNQGEREFQNEMKIIGRTHHRNLVRLIGYCHEGANRLLVYEYMVNGSLADVLFTPEKRPCWNERVEIARNIARGLLYLHEECDTQIIHCDIKSQNILMDEQGNAKISDFGLAKLLKPDQSKTFTGIRGTRGYVAPEWHMKLPITVKADVYSFGVVVLEIICCRRSVNWSLLEEEAVLEEWVYDCFQGGELRKLVGDDEEVDEKQLERMVRVGLWCILDEPTLRPSMKKVLLMLEGTVDIPVPPSPTSFFSAI >EOX96459 pep chromosome:Theobroma_cacao_20110822:1:37876702:37877894:1 gene:TCM_005705 transcript:EOX96459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive element binding factor 13, putative MNVEIMSGSEFNILESFQCYLLDDFEESQAAAATTTTSNLVVLEPSYNRSSSFSGVFLNESWGDLPLKIDDSEDMLVYSALRDAANSGWSPSNEVDNYTPVAVEELVSTTTREIEKEESKVEPETSVPPSGMHFKGVRRRPWGKYAAEIRDPKRNGARIWLGTYETPEDAALAYDRAAFKMRGAKAKLNFPHLIGSNTWEPIRVGGRRRSPESSAPTTASSLSDCSRKRRKSSASNSDQVTAKLREGASLAAEVIQMTTPWTLGDQFWII >EOX93799 pep chromosome:Theobroma_cacao_20110822:1:15978354:15986772:1 gene:TCM_002737 transcript:EOX93799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MENQFFLNAGIPPPARPLHFGPSLSSPMPAWQSLSSAMEIQVTEMNCSPDQSQDCFLNPHWEKSTDYGLQFDSALSSMVSSPAASNSNISNESFMIRELIGKLGSIGNSGEISPHSQPLLASYLNGPNSTNTSGYSTPLNSPPKLNLPMMDSLVKEKLPSLEKSMGLNSSVAEFSADPGFAERAAKFSCFGSKSFNGRTSQFGLNNNNEIAAYRSNPLRADTKLPRVSSSPSLKAMGSQVGGVQGANKNSPLQDRSELANSQEESTVSEQNPNGDPGLKASKDLTSRKRKAVPKAKTKETFASPSANAAKVHDPNEESNEKRCKSTESNGNENGSVKAEEEAKGSNGNAGDEKQNKTNNNNTKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQNLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLASVNTRLDFNVDSLMSKDIFQSNTTLPHPIFPIDSSSASAFFGHQPQQNPALHSNLSSGTMTQCSVDPLDTAICPNLNTHLPPINQFAQIVPQYPTFCEGDLQTVVQMGYGQNPSQEMAIQSENLQGSNQVSHMKVEL >EOX92184 pep chromosome:Theobroma_cacao_20110822:1:5656270:5656922:-1 gene:TCM_001175 transcript:EOX92184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISCFLFVVLMLALVCLLRLTALLIGDDGFLVLSAGEPWISWFVMVPFIVHLLRSYFLKIPCRFGIPTAVWSCVLSFLFAAITAEDWSMS >EOX95161 pep chromosome:Theobroma_cacao_20110822:1:33111666:33113629:-1 gene:TCM_004715 transcript:EOX95161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein MAITAPLFAASLLLLSLLVIATANDYNYDSSKNQAYNYGTKLEGEEKSEYGTKPDLYKPQPQDKEKPGPVVSDKPDYGRKPVYQTQPEKPVSGTEEKPDYGRKPVYQPQPEKPESGKEEKPDYGRKPVYQPQPEKPESEKEEKPDNGTKQNFYKPQPEEKENPESEDEEKPDYSTKPDFYKPQPEVNKKPESSEEVEKPNYSTKPDSYKPKSEEKEESSYGGKPYVAKPKAEGEENADHYGIEVPDLYPLKPEILPIGVQGLVLCKSGPKYNPIQGALVRITCLAVAENGYEKTHSVCSGETDAKGYFFAPLSPLGLDDDSQLKLTECKAFLESSPSETCNVPVDVNKGISGAPLSGFRVLNQKRMKLYSVGPFFFTDEANSVSNGY >EOX95614 pep chromosome:Theobroma_cacao_20110822:1:34873684:34876084:-1 gene:TCM_005064 transcript:EOX95614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding family protein MEELIISPSSSSSLVSFSQETPPSTLQQRLQFVIQSQQDWWAYAIFWQTSNDEHGRLFLTWGDGHFQGTKDTSPKLGANISNIPGLNNERRKVMKGIQALIGDNHDIDMSMIDGTDITDAEWFYVMSLTRSFSAGDGIPGKALSTGSLVWLTGAHELQFYNCERAREAQMHAIETLVCIPTSCGVLELGSSEMIRENWGLVQQVKSVFGSDLIGLVPKQSNPNPNLTPGPIQFLDRNISFADIGIIAGVQEEDASPDNRTKQENHNNQTKKDSTKPGQSSYVDSEHSDSDCPLLAMNNIEKRTPKKRGRKPGLGRETPLNHVEAERQRREKLNHRFYALRAVVPNVSRMDKASLLSDAVSYINELKAKIEELESQLQRECKKVKVEMVDAMDNQSTTTSVDQAARPSNSSSGTAGSGGLEFDIKIMGNDAMIRVQSENVNYPSARLMIALRDLEFQVHHASMSCVNELMLQDIVVRVPDGLRTEEGLKSALLRRLEQ >EOX95414 pep chromosome:Theobroma_cacao_20110822:1:34147431:34154496:-1 gene:TCM_004922 transcript:EOX95414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding protein, putative isoform 1 MEARFGSDAHHFYGMNPANLRAVGKRTLEWDLNDWKWDGDLFIASSINPVSADSTGRQFFPLGSGIPGNSSNSSSSCSDEVNLETEKGKRELEKKRRVIVVEDDSPNEEAGSLTLKLGGQGGHGYPISQREGTSGKKTKLGGGSGNRAVCQVEDCGADLSCSKDYHRRHKVCEMHSKASKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTNPDTVVNGNSLNDEQTSGYLLLSLLKILSNMHSNRSDQTTDQDVLSHLLRSLANHTGEQGGRNISGLLPEPQDSEAVSALFLNGQGPPRPFKQHHTGAASEMAEKGVSSQGTRGVKVQGNTAGAVKMNNFDLNDIYIDSDEGTDDIERSPAAVNTGTSSLDCPSWIQQDSHQSSPPQTSGNSDSASAQSPSSSSGDAQSRTDRIVFKLFGKEPNDFPMVLRAQILDWLSHSPTDIESYIRPGCIVLTIYLRQAEAAWDELCCDLSFTLSRLLDCSDDTFWRSGWIYIRVQDQIAFIYNGQVVVDTSLPLRSNHYSKITSVKPIAISATERAQFSVKGINLSRPATRLLCAVEGKCLLQETTNELMDGNDDYKEQDELQCVNFSCSVPTVTGRGFIEIEDHGFSSSFFPFIVAEEDVCSEVRMLESVLEISDTDADVGGTGKLEAKHRAMDFIHEVGWLLHRCQLKSRLGHLDPNPEPFPLSRFKWLMEFSMDHEWCAVVKKLLNILLNGVVGSGEHPSLNLALTEMGLLHRAVRKNCRPLVELLLRFVPEKASDKLGFENETLTGVDHKSFLFRPDVLGPAGLTPLHIAAGKDGSEDVLDALTDDPGKVGIDAWKSARDSTGSTPEDYARLRGHYSYIHLVQKKINKRTASGHVVVDIPGALSECSMNQKQNNESTSSFEIGRLELRSIQRHCKLCDQKLAYGCGTTSKSLVYRPAMLSMVAIAAVCVCVALLFKSCPEVLYVFRPFRWELLDYGTS >EOX95415 pep chromosome:Theobroma_cacao_20110822:1:34148023:34154168:-1 gene:TCM_004922 transcript:EOX95415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding protein, putative isoform 1 MEARFGSDAHHFYGMNPANLRAVGKRTLEWDLNDWKWDGDLFIASSINPVSADSTGRQFFPLGSGIPGNSSNSSSSCSDEVNLETEKGKRELEKKRRVIVVEDDSPNEEAGSLTLKLGGQGGHGYPISQREGTSGKKTKLGGGSGNRAVCQVEDCGADLSCSKDYHRRHKVCEMHSKASKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTNPDTVVNGNSLNDEQTSGYLLLSLLKILSNMHSNRSDQTTDQDVLSHLLRSLANHTGEQGGRNISGLLPEPQDSEAVSALFLNGQGPPRPFKQHHTGAASEMAEKGVSSQGTRGVKVQGNTAGAVKMNNFDLNDIYIDSDEGTDDIERSPAAVNTGTSSLDCPSWIQQDSHQSSPPQTSGNSDSASAQSPSSSSGDAQQSRTDRIVFKLFGKEPNDFPMVLRAQILDWLSHSPTDIESYIRPGCIVLTIYLRQAEAAWDELCCDLSFTLSRLLDCSDDTFWRSGWIYIRVQDQIAFIYNGQVVVDTSLPLRSNHYSKITSVKPIAISATERAQFSVKGINLSRPATRLLCAVEGKCLLQETTNELMDGNDDYKEQDELQCVNFSCSVPTVTGRGFIEIEDHGFSSSFFPFIVAEEDVCSEVRMLESVLEISDTDADVGGTGKLEAKHRAMDFIHEVGWLLHRCQLKSRLGHLDPNPEPFPLSRFKWLMEFSMDHEWCAVVKKLLNILLNGVVGSGEHPSLNLALTEMGLLHRAVRKNCRPLVELLLRFVPEKASDKLGFENETLTGVDHKSFLFRPDVLGPAGLTPLHIAAGKDGSEDVLDALTDDPGKVGIDAWKSARDSTGSTPEDYARLRGHYSYIHLVQKKINKRTASGHVVVDIPGALSECSMNQKQNNESTSSFEIGRLELRSIQRHCKLCDQKLAYGCGTTSKSLVYRPAMLSMVAIAAVCVCVALLFKSCPEVLYVFRPFRWELLDYGTS >EOX91205 pep chromosome:Theobroma_cacao_20110822:1:1933566:1934906:1 gene:TCM_000467 transcript:EOX91205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-like 41, putative MATAKVSKASKWFSNKSLRLSLHRRRSKSSSTSSSFGPSPTSHEGDEMKEVFRYFDSDGDGKISALELRAYFGSIGEYMSHEDAQGVINDLDSDGDSMLDYQDFLKLVKRDARDGDDDLKKAFEMYELEKGSGCITPKGLQRMLNRLGDARSYDECVAMIQTR >EOX96763 pep chromosome:Theobroma_cacao_20110822:1:38906773:38911054:1 gene:TCM_005941 transcript:EOX96763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase DDB_G0280111 isoform 2 MWRFKPFMQKEPAGLEGKSLDVSNLKLHVRNAIAEGGFSCVYLAKDALHASKQYALKHIICNDQESLDLVMKEISVMKSLQGHPNVVTLYAHTILDMGRTKEALLVMEFCDKSLVNVLETRGAAYFEEKQVLTIFRDVCNAVFAMHCQSPPIAHRDLKAENLLLGSDGVWKLCDFGSTSTNHKRFEKPEEMGIEEDNIRKHTTPAYRAPEMWDLFRKELINEKVDVWALGCLLFRICYFKNAFDGESKLQILNGNYRIPDLPKYSSSVRDLIKDMLQASPVDRPDITQVWFRVNEQLPVGLQKSLPDQPPDMPSIEGVPRSASRSPQMPRRSPPPPPSVEPGRNTSQPGSRASGGQLGAFWSTQHAKDSTVAEDKSRPKFDEDPTSYSSMKHDRNHPENHPLPKNSSPVRGENIQTHSIRRSVPDKSHKLDDGPSKDFEIKFFEDKDTTTTFQDEAFNTFVAEFDTNKISSGINVKKTGKEEALEAEIERLKEQLQQSNLEKVEMTSKFEKLSAICRSQRQEIQELKQALAARTPSPNKSTSIKQNLPGSHHAGSVQREKVEGKLWEFPQEKSAEWTTASPEAKPWQAFSEDAKLQQQSLSKDNVRSVRTRNGHSNKHVAEATSGLDTWSFGTDSFTAAPAASPQRLKPMSEGSSSQRFGESMIKENHPTSQPAGWAGF >EOX96761 pep chromosome:Theobroma_cacao_20110822:1:38906669:38911252:1 gene:TCM_005941 transcript:EOX96761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase DDB_G0280111 isoform 2 MWRFKPFMQKEPAGLEGKSLDVSNLKLHVRNAIAEGGFSCVYLAKDALHASKQYALKHIICNDQESLDLVMKEISVMKSLQGHPNVVTLYAHTILDMGRTKEALLVMEFCDKSLVNVLETRGAAYFEEKQVLTIFRDVCNAVFAMHCQSPPIAHRDLKAENLLLGSDGVWKLCDFGSTSTNHKRFEKPEEMGIEEDNIRKHTTPAYRAPEMWDLFRKELINEKVDVWALGCLLFRICYFKNAFDGESKLQILNGNYRIPDLPKYSSSVRDLIKDMLQASPVDRPDITQVWFRVNEQLPVGLQKSLPDQPPDMPSIEGEHIYYINTGVPRSASRSPQMPRRSPPPPPSVEPGRNTSQPGSRASGGQLGAFWSTQHAKDSTVAEDKSRPKFDEDPTSYSSMKHDRNHPENHPLPKNSSPVRGENIQTHSIRRSVPDKSHKLDDGPSKDFEIKFFEDKDTTTTFQDEAFNTFVAEFDTNKISSGINVKKTGKEEALEAEIERLKEQLQQSNLEKVEMTSKFEKLSAICRSQRQEIQELKQALAARTPSPNKSTSIKQNLPGSHHAGSVQREKVEGKLWEFPQEKSAEWTTASPEAKPWQAFSEDAKLQQQSLSKDNVRSVRTRNGHSNKHVAEATSGLDTWSFGTDSFTAAPAASPQRLKPMSEGSSSQRFGESMIKENHPTSQPAGWAGF >EOX96762 pep chromosome:Theobroma_cacao_20110822:1:38906773:38911054:1 gene:TCM_005941 transcript:EOX96762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase DDB_G0280111 isoform 2 MWRFKPFMQKEPAGLEGKSLDVSNLKLHVRNAIAEGGFSCVYLAKDALHASKQYALKHIICNDQESLDLVMKEISVMKSLQGHPNVVTLYAHTILDMGRTKEALLVMEFCDKSLVNVLETRGAAYFEEKQVLTIFRDVCNAVFAMHCQSPPIAHRDLKAENLLLGSDGVWKLCDFGSTSTNHKRFEKPEEMGIEEDNIRKHTTPAYRAPEMWDLFRKELINEKVDVWALGCLLFRICYFKNAFDGESKLQILNGNYRIPDLPKYSSSVRDLIKDMLQASPVDRPDITQVWFRVNEQLPVGLQKSLPDQPPDMPSIEGVPRSASRSPQMPRRSPPPPPSVEPGRNTSQPGSRASGGQLGAFWSTQHAKDSTVAEDKSRPKFDEDPTSYSSMKHDRNHPENHPLPKNSSPVRGENIQTHSIRRSVPDKSHKLDDGPSKDFEIKFFEDKDTTTTFQDEAFNTFVAEFDTNKISSGINVKKTGKEEALEAEIERLKEQLQQSNLEKVEMTSKFEKLSAICRSQRQEIQELKQALAARTPSPNKSTSIKQNLPGSHHAGSVREKVEGKLWEFPQEKSAEWTTASPEAKPWQAFSEDAKLQQQSLSKDNVRSVRTRNGHSNKHVAEATSGLDTWSFGTDSFTAAPAASPQRLKPMSEGSSSQRFGESMIKENHPTSQPAGWAGF >EOX94912 pep chromosome:Theobroma_cacao_20110822:1:31996186:31996850:-1 gene:TCM_004513 transcript:EOX94912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDIKNHKVVDVSSFFLFEATGDSEAGCFDPAMAVINHAEDDDDDDHDHDDAESCSCDTSDFVPSVRGLNCLEDKANVDDEDEEDGEVVEQQKEVHVCEKWSNDQRIGVAKEQKSAGSVDSTKSLNEMEKNRLFWETCLAS >EOX91042 pep chromosome:Theobroma_cacao_20110822:1:1431082:1433805:-1 gene:TCM_000347 transcript:EOX91042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble inorganic pyrophosphatase isoform 3 MILSFFGFVFGLIKKFLSIEKNMTNNGGEATSGKNAGFPRVALNERILSSMTRRSVAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKASGLIKVDRVLYSSVVYPHNYGFIPRTICEDSDPMDVLILMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYTDIKHLPPHRLAEIRRFFEEYKKNENKHVDVEDFLPAESAIQAIKYSMDLYASYIVESLRK >EOX91041 pep chromosome:Theobroma_cacao_20110822:1:1431082:1433805:-1 gene:TCM_000347 transcript:EOX91041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble inorganic pyrophosphatase isoform 3 MTNNGGEATSGKNAGFPRVALNERILSSMTRRSVAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKASGLIKVDRVLYSSVVYPHNYGFIPRTICEDSDPMDVLILMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYTDIKHLPPHRLAEIRRFFEEYKKNENKHVDVEDFLPAESAIQAIKYSMDLYASYIVESLRK >EOX91043 pep chromosome:Theobroma_cacao_20110822:1:1431728:1433512:-1 gene:TCM_000347 transcript:EOX91043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble inorganic pyrophosphatase isoform 3 MTNNGGEATSGKNAGFPRVALNERILSSMTRRSVAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKASGLIKVDRVLYSSVVYPHNYGFIPRTICEDSDPMDVLILMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYTDIKHLPPHRLAEIRRFFEECIHSHAFYICLSVIQIAVTIDFLLAMLNNSYTVKSVC >EOX91040 pep chromosome:Theobroma_cacao_20110822:1:1431131:1433669:-1 gene:TCM_000347 transcript:EOX91040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble inorganic pyrophosphatase isoform 3 MTNNGGEATSGKNAGFPRVALNERILSSMTRRSVAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKASGLIKVDRVLYSSVVYPHNYGFIPRTICEDSDPMDVLILMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYTDIKHLPPHRLAEIRRFFEEYKKNENKHVDVEDFLPAESAIQAIKYSMDLYASYIVESLRK >EOX91582 pep chromosome:Theobroma_cacao_20110822:1:3179440:3180319:1 gene:TCM_000721 transcript:EOX91582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 7, putative MTPQRDEEINETSVNNQDAESKTGGDNNERGAGDDNLRDWLSLGLNGNESATAGECDPQSKPASNKVFSCNFCMRKFYSSQALGGHQNAHKRERGAAKRFQSHKMMMTTMGFPFNSLALRSIGVQPHSLVHKPIREGSAMAARFGDHSSPGLGMAWAPFMLEESMDLIWPGSFRLDKLPKQEPDLQKLDLNLRL >EOX93689 pep chromosome:Theobroma_cacao_20110822:1:15086674:15092604:1 gene:TCM_002601 transcript:EOX93689 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein isoform 1 MEDTQSVATLIDSTTSKIQQLQKAFAELESHRAITLNMKWKELEEHFHGLEKSLKRRFHELEDQEKEFETKTRKAREILQKREAAVVAKEQASLLRLQEKRDAAVFAITNSLEKHRKLSSEEPADVSCDGDSGELSVEEKPPDSVASESNLEDIKSSFENGNFEVKSYPQLVKLCEEMDSEGLHKFISDNRKNLAALKEEIPWALKAAASPACLVLESLEGFYLSEVPNVDGKKDSNLLGLRRTCIMLMECLSFLLSNLDVVSVCAVISEDIKEQAKSIAEEWKPKLDSLDIDASNGNSLEAHAFLQLLSTFGIASDFNEEELSRLIPMVSRRRQTADLCRSLGLSEKMPGVIEVLVNNGRQIDAVNLAFAFELTEQFSPVPLLKSYLKEARKASSPVKPGNASPSAQTEVNERELTALKAVIKCIEEHNLEEQYPIDPLQKRVLLLEKAKADKKRATEAAKPQPKRPRANGAGYGPRVTNGAADKAFYPRVTDRYPQYVYDRPYVYTGPADNHGPSLLGSATYNFSPSHGNYFGNGYQYQAPYLH >EOX93690 pep chromosome:Theobroma_cacao_20110822:1:15086673:15093969:1 gene:TCM_002601 transcript:EOX93690 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein isoform 1 MEDTQSVATLIDSTTSKIQQLQKAFAELESHRAITLNMKWKELEEHFHGLEKSLKRRFHELEDQEKEFETKTRKAREILQKREAAVVAKEQASLLRLQEKRDAAVFAITNSLEKHRKLSSEEPADVSCDGDSGELSVEEKPPDSVASESNLEDIKSSFENGNFEVKSYPQLVKLCEEMDSEGLHKFISDNRKNLAALKEEIPWALKAAASPACLVLESLEGFYLSEVPNVDGKKDSNLLGLRRTCIMLMECLSFLLSNLDVVSVCAVISEDIKEQAKSIAEEWKPKLDSLDIDASNGNSLEAHAFLQLLSTFGIASDFNEEELSRLIPMVSRRRQTADLCRSLGLSEKMPGVIEVLVNNGRQIDAVNLAFAFELTEQFSPVPLLKSYLKEARKASSPVKPGNASPSAQTEVNERELTALKAVIKCIEEHNLEEQYPIDPLQKRVLLLEKAKADKKRATEAAKPQPKRPRANGAGYGPRVTNGAADKAFYPRVTDRYPQYVYDRPYVYTGPADNHGPSLLGSATYNFSPSHGNYFGNGYQYQAPYLH >EOX91256 pep chromosome:Theobroma_cacao_20110822:1:2066088:2066806:1 gene:TCM_000503 transcript:EOX91256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synechocystis YCF37 MASTISPPVLQSLSVSRAHNAHAGRSVKAQFSKPALTVSLPNRRQLLFFLTATTALTVRDAASNAEDIPLFGLRKKLKSAEEEAVEIVKEGFETAEKGIITVEKGLKTAEKEIEQAEKEIESAVSFGALAQAGAVAGAECLGVVVATSIVNGILGPEAQKS >EOX92752 pep chromosome:Theobroma_cacao_20110822:1:8439009:8457154:-1 gene:TCM_001638 transcript:EOX92752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative isoform 1 MDEKEAPVFWLEEHSGKPATPASLKRKVRSRKLEFVGWGSKPLIEFLESIGKDTTKQISQHDVTDIIRKYVNDNNLVHPAKKKRILCDERLYSIFGRKAIGRMKVYDLLETHYAENQDAWSDGFSSMSDEDDVGEEQKSVISERKAYQKKKVIETPKSCFAAIVQDNIKLVYLKKSLVQDLLKDPERFEAKVVGSFVRIKSDPNYYLQQNSHQLVLVKGLKKASGNNDINTDILLQVSNFVKDVNLSMLSDDNFAQEECEDLHQRVKNGLLKRLTIVELEAKARILHEDITKHWLSAEITLLQKLIDRANEKGWRRELFEYMERRELLMTPEEQSQLLLEVPNVIAEEIDIETAPQDFPGDEQENDNSLVSTLKGTSDIPSDTALGGKLSTLMPSTIEEIELETAPQDIPDDKQESDSSLVSTLKGTSDIPSDRALDGKLSTLMPSTIDNQHDVHEQPKRPRDSNYARAQLVDIPVKDGYIEKQSFVNISNTQVIDLSDDDEDSNEVQALDGVNSLMWHYSDPRGYEQGPFSLKSLKGWKDAHYFPPDFKVWKTGQSKRKAVLLTDILHRMFPI >EOX92753 pep chromosome:Theobroma_cacao_20110822:1:8439009:8457205:-1 gene:TCM_001638 transcript:EOX92753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative isoform 1 MKVYDLLETHYAENQDAWSDGFSSMSDEDDVGEEQKSVISERKAYQKKKVIETPKSCFAAIVQDNIKLVYLKKSLVQDLLKDPERFEAKVVGSFVRIKSDPNYYLQQNSHQLVLVKGLKKASGNNDINTDILLQVSNFVKDVNLSMLSDDNFAQEECEDLHQRVKNGLLKRLTIVELEAKARILHEDITKHWLSAEITLLQKLIDRANEKGWRRELFEYMERRELLMTPEEQSQLLLEVPNVIAEEIDIETAPQDFPGDEQENDNSLVSTLKGTSDIPSDTALGGKLSTLMPSTIEEIELETAPQDIPDDKQESDSSLVSTLKGTSDIPSDRALDGKLSTLMPSTIDNQHDVHEQPKRPRDSNYARAQLVDIPVKDGYIEKQSFVNISNTQVIDLSDDDEDSNEVQALDGVNSLMWHYSDPRGYEQGPFSLKSLKGWKDAHYFPPDFKVWKTGQSKRKAVLLTDILHRMFPI >EOX92754 pep chromosome:Theobroma_cacao_20110822:1:8450105:8457263:-1 gene:TCM_001638 transcript:EOX92754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative isoform 1 MDEKEAPVFWLEEHSGKPATPASLKRKVRSRKLEFVGWGSKPLIEFLESIGKDTTKQISQHDVTDIIRKYVNDNNLVHPAKKKRILCDERLYSIFGRKAIGRMKVYDLLETHYAENQDAWSDGFSSMSDEDDVGEEQKSVISERKAYQKKKVIETPKSCFAAIVQDNIKLVYLKKSLVQDLLKDPERFEAKVVGSFVRIKSDPNYYLQQNSHQLVLVKGLKKASGNNDINTDILLQVSNFVKDVNLSMLSDDNFAQEECEDLHQRVKNGLLKRLTIVELEAKARILHEDITKHWLSAEITLLQKLIDRANEKGWRRELFEYMERRELLMTPEEQSQLLLEVPNVIAEEIDIETAPQDFPGDEQENDNSLVSTLKGTSDIPSDTALDGKLSTLMPSTI >EOX93942 pep chromosome:Theobroma_cacao_20110822:1:17616186:17619443:1 gene:TCM_002950 transcript:EOX93942 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD domain-containing protein, putative MNLSSWFQRSVSRNSNKNKYPEQQQSNHSDQNQQNKAVGEVEEEELFGVTRQLIDYVKSFTSETFKNFPLQDNEAANSNSETQTSSNVRKDLSDWQERHAVIVLSKVKELSQLRFKLCPRHLKEDKFWRIYFMLVKSHVAGYELQTVLLAKLKRNSMSNEKTLDTSAYEVEMAERNQAASIAPSTP >EOX92167 pep chromosome:Theobroma_cacao_20110822:1:5571918:5573782:-1 gene:TCM_001161 transcript:EOX92167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFVFLRLHIYRQLKLWLIIFRIVKACSVMAIFLTHFTQSPTVSSAWLPLTPCSRAAAASASFRSTNFQWQFEVFILCMGRRGNA >EOX93156 pep chromosome:Theobroma_cacao_20110822:1:10900636:10911651:1 gene:TCM_002003 transcript:EOX93156 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF1084 (InterPro:IPR009457); BEST Arabidopsis thaliana protein match is: tobamovirus multiplication 1 (TAIR:AT4G21790.1); Has 196 Blast hits to 195 proteins in 29 species: Archae - 0; Bacteria /.../Metazoa - 0; Fungi - 2; Plants - 188; Viruses - 0; Other Eukaryotes - 6 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G59090) TAIR;Acc:AT3G59090] MVVATCQRWLCWFHVCGFVLMAFPKILFLAAFLLLLSFWVDLCHQANDEEEDEEISSQQALLDSSKSKAGLSNKDARWKCCSFQGIHVGSRQKFVIVIIMLNLILMISFAAIMWIGTRKNPSDSVIVARVYIDFFAASVLILGGAFGCYGFLLFSKLRRVRSEQASSEMWKVVGLAVVSISCFTSSSLIVLLTDIPLFHHWALKKINGVQALVPLILHYVLGSSVPFAFVLWVMRELPAPPTISRQVQPRTITFISYGAARMQHHQYWATATSSKNQVSRASPI >EOX93155 pep chromosome:Theobroma_cacao_20110822:1:10899036:10911638:1 gene:TCM_002003 transcript:EOX93155 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF1084 (InterPro:IPR009457); BEST Arabidopsis thaliana protein match is: tobamovirus multiplication 1 (TAIR:AT4G21790.1); Has 196 Blast hits to 195 proteins in 29 species: Archae - 0; Bacteria /.../Metazoa - 0; Fungi - 2; Plants - 188; Viruses - 0; Other Eukaryotes - 6 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G59090) TAIR;Acc:AT3G59090] MPKVALLVSCVWLCSHGLPQDSVFGCISFTPIFLANDEEEDEEISSQQALLDSSKSKAGLSNKDARWKCCSFQGIHVGSRQKFVIVIIMLNLILMISFAAIMWIGTRKNPSDSVIVARVYIDFFAASVLILGGAFGCYGFLLFSKLRRVRSEQASSEMWKVVGLAVVSISCFTSSSLIVLLTDIPLFHHWALKKINGVQALVPLILHYVLGSSVPFAFVLWVMRELPAPPTISRQVQPRTITFISYGAARMQHHQYWATATSSKNQVSRASPI >EOX93153 pep chromosome:Theobroma_cacao_20110822:1:10898866:10911651:1 gene:TCM_002003 transcript:EOX93153 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF1084 (InterPro:IPR009457); BEST Arabidopsis thaliana protein match is: tobamovirus multiplication 1 (TAIR:AT4G21790.1); Has 196 Blast hits to 195 proteins in 29 species: Archae - 0; Bacteria /.../Metazoa - 0; Fungi - 2; Plants - 188; Viruses - 0; Other Eukaryotes - 6 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G59090) TAIR;Acc:AT3G59090] MHREAAGGTMHTKTTARNFGSMKNHHHQTGRHVWSLCSNKHHIALASLDALLAFIAFFQLSRIHLRNQQVGWTRQKVLHLLIGSSNSGCVIYFLCMVVATCQRWLCWFHVCGFVLMAFPKILFLAAFLLLLSFWVDLCHQANDEEEDEEISSQQALLDSSKSKAGLSNKDARWKCCSFQGIHVGSRQKFVIVIIMLNLILMISFAAIMWIGTRKNPSDSVIVARVYIDFFAASVLILGGAFGCYGFLLFSKLRRVRSEQASSEMWKVVGLAVVSISCFTSSSLIVLLTDIPLFHHWALKKINGVQALVPLILHYVLGSSVPFAFVLWVMRELPAPPTISRQVQPRTITFISYGAARMQHHQYWATATSSKNQVSRASPI >EOX93154 pep chromosome:Theobroma_cacao_20110822:1:10899037:10911651:1 gene:TCM_002003 transcript:EOX93154 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF1084 (InterPro:IPR009457); BEST Arabidopsis thaliana protein match is: tobamovirus multiplication 1 (TAIR:AT4G21790.1); Has 196 Blast hits to 195 proteins in 29 species: Archae - 0; Bacteria /.../Metazoa - 0; Fungi - 2; Plants - 188; Viruses - 0; Other Eukaryotes - 6 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G59090) TAIR;Acc:AT3G59090] MFKCYPLNFLFVDIALASLDALLAFIAFFQLSRIHLRNQQVGWTRQKVLHLLIGSSNSGCVIYFLCMVVATCQRWLCWFHVCGFVLMAFPKILFLAAFLLLLSFWVDLCHQANDEEEDEEISSQQALLDSSKSKAGLSNKDARWKCCSFQGIHVGSRQKFVIVIIMLNLILMISFAAIMWIGTRKNPSDSVIVARVYIDFFAASVLILGGAFGCYGFLLFSKLRRVRSEQASSEMWKVVGLAVVSISCFTSSSLIVLLTDIPLFHHWALKKINGVQALVPLILHYVLGSSVPFAFVLWVMRELPAPPTISRQVQPRTITFISYGAARMQHHQYWATATSSKNQVSRASPI >EOX93152 pep chromosome:Theobroma_cacao_20110822:1:10886445:10912865:1 gene:TCM_002003 transcript:EOX93152 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF1084 (InterPro:IPR009457); BEST Arabidopsis thaliana protein match is: tobamovirus multiplication 1 (TAIR:AT4G21790.1); Has 196 Blast hits to 195 proteins in 29 species: Archae - 0; Bacteria /.../Metazoa - 0; Fungi - 2; Plants - 188; Viruses - 0; Other Eukaryotes - 6 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G59090) TAIR;Acc:AT3G59090] MHREAAGGTMHTKTTARNFGSMKNHHHQTGRHVWSLCSNKHQFRTPQESSHCHKSPLAHSRRHIDSRKSLNIALASLDALLAFIAFFQLSRIHLRNQQVGWTRQKVLHLLIGSSNSGCVIYFLCMVVATCQRWLCWFHVCGFVLMAFPKILFLAAFLLLLSFWVDLCHQANDEEEDEEISSQQALLDSSKSKAGLSNKDARWKCCSFQGIHVGSRQKFVIVIIMLNLILMISFAAIMWIGTRKNPSDSVIVARVYIDFFAASVLILGGAFGCYGFLLFSKLRRVRSEQASSEMWKVVGLAVVSISCFTSSSLIVLLTDIPLFHHWALKKINGVQALVPLILHYVLGSSVPFAFVLWVMRELPAPPTISRQVQPRTITFISYGAARMQHHQYWATATSSKNQVSRASPI >EOX95316 pep chromosome:Theobroma_cacao_20110822:1:33740066:33749680:-1 gene:TCM_004846 transcript:EOX95316 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding,abscisic acid binding, putative isoform 1 MERHRDRGERLNDHQPLNPTPWFSDDHRPNFSSDHYHHHHHHHHNHHNHHYNHHHNHQQFEHQQPNQHHHFEQFPDHHQPGSEQNEAFWSNGGNGPNSSRKRGFHYSGRGASPEHSEVGSLAKLYVATVPRIATEETIRSLFQEHGNVVQVIQPKDKKTGERHGYCFVKYATFEEADRAITALHNQYTFPGELTTVKVRYADAERDRLGLLPDKLYVGCLNKQASKRDIEEIFSPYGNVQDIYIVRDEHRENRGCGFIQFSRREMALAAIKGLNGIFTMKGCDQPLIVRFANPKRPRNGEPRVNYTFNTINVGSYPQELTMGPVPNGPNLGDPMAGRIPPNASYPVQHILTNSQPRSVSHWANPEVAASHFTHQSYPPGQQAQSQATSLPLQQIQTPQESSQSSHQSVSEQKLLPLMPPSSQNVGQQNSYVPKLESPQAGSSQTNAATSVAPTTQSLETVAPLECDWSEHTCPDGYKYYYNCVTCESRWKKPEEFMLFEKLLQKQQKLQSPGQQLHSHSTIPSTEQVIQNQEGVDHLQIKSETSPIVDPTCV >EOX95315 pep chromosome:Theobroma_cacao_20110822:1:33740066:33749680:-1 gene:TCM_004846 transcript:EOX95315 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding,abscisic acid binding, putative isoform 1 MERHRDRGERLNDHQPLNPTPWFSDDHRPNFSSDHYHHHHHHHHNHHNHHYNHHHNHQQFEHQQPNQHHHFEQFPDHHQPGSEQNEAFWSNGGNGPNSSRKRGFHYSGRGASPEHSEVGSLAKLYVATVPRIATEETIRSLFQEHGNVVQVIQPKDKKTGERHGYCFVKYATFEEADRAITALHNQYTFPGELTTVKVRYADAERDRLGLLPDKLYVGCLNKQASKRDIEEIFSPYGNVQDIYIVRDEHRENRGCGFIQFSRREMALAAIKGLNGIFTMKGCDQPLIVRFANPKRPRNGEPRVNYTFNTINVGSYPQELTMGPVPNGPNLGDPMAGRIPPNASYPVQHILTNSQPRSVSHWANPEVAASHFTHQSYPPGQQAQSQATSLPLQQIQTPQESSQSSHQSVSEQKLLPLMPPSSQNVGQQNSYVPKLESPQAGSSQTNAATSVAPTTQSLETVAPLECDWSEHTCPDGYKYYYNCVTCESRWKKPEEFMLFEKLLQKQQKLQSPGQQLHSHSTIPSTEQVIQNQEVQLHTCLMHQKFKGVDHLQIKSETSPIVDPTCV >EOX95313 pep chromosome:Theobroma_cacao_20110822:1:33740086:33747379:-1 gene:TCM_004846 transcript:EOX95313 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding,abscisic acid binding, putative isoform 1 MERHRDRGERLNDHQPLNPTPWFSDDHRPNFSSDHYHHHHHHHHNHHNHHYNHHHNHQQFEHQQPNQHHHFEQFPDHHQPGSEQNEAFWSNGGNGPNSSRKRGFHYSGRGASPEHSEVGSLAKLYVATVPRIATEETIRSLFQEHGNVVQVIQPKDKKTGERHGYCFVKYATFEEADRAITALHNQYTFPGELTTVKVRYADAERDRLGLLPDKLYVGCLNKQASKRDIEEIFSPYGNVQDIYIVRDEHRENRGCGFIQFSRREMALAAIKGLNGIFTMKGCDQPLIVRFANPKRPRNGEPRVNYTFNTINVGSYPQELTMGPVPNGPNLGDPMAGRIPPNASYPVQHILTNSQPRSVSHWANPEVAASHFTHQSYPPGQQAQSQATSLPLQQIQTPQESSQSSHQSVSEQKLLPLMPPSSQNVGQQNSYVPKLESPQAGSSQTNAATSVAPTTQSLETVAPLECDWSEHTCPDGYKYYYNCVTCESRWKKPEEFMLFEKLLQKQQKLQSPGQQLHSHSTIPSTEQVIQNQEGVDHLQIKSETSPIVDPTCV >EOX95310 pep chromosome:Theobroma_cacao_20110822:1:33741256:33747321:-1 gene:TCM_004846 transcript:EOX95310 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding,abscisic acid binding, putative isoform 1 MERHRDRGERLNDHQPLNPTPWFSDDHRPNFSSDHYHHHHHHHHNHHNHHYNHHHNHQQFEHQQPNQHHHFEQFPDHHQPGSEQNEAFWSNGGNGPNSSRKRGFHYSGRGASPEHSEVGSLAKLYVATVPRIATEETIRSLFQEHGNVVQVIQPKDKKTGERHGYCFVKYATFEEADRAITALHNQYTFPGELTTVKVRYADAERDRLGLLPDKLYVGCLNKQASKRDIEEIFSPYGNVQDIYIVRDEHRENRGCGFIQFSRREMALAAIKGLNGIFTMKGCDQPLIVRFANPKRPRNGEPRVNYTFNTINVGSYPQELTMGPVPNGPNLGDPMAGRIPPNASYPVQHILTNSQPRSVSHWANPEVAASHFTHQSYPPGQQAQSQATSLPLQQIQTPQESSQSSHQSVSEQKLLPLMPPSSQNVGQQNSYVPKLESPQAGSSQTNAATSVAPTTQSLETVAPLECDWSEHTCPDGYKYYYNCVTCESRWKKPEEFMLFEKLLQKQQKLQSPGQQLHSHSTIPSTEQVIQNQEVQLHTCLMHQKFKV >EOX95317 pep chromosome:Theobroma_cacao_20110822:1:33740208:33747476:-1 gene:TCM_004846 transcript:EOX95317 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding,abscisic acid binding, putative isoform 1 MERHRDRGERLNDHQPLNPTPWFSDDHRPNFSSDHYHHHHHHHHNHHNHHYNHHHNHQQFEHQQPNQHHHFEQFPDHHQPGSEQNEAFWSNGGNGPNSSRKRGFHYSGRGASPEHSEVGSLAKLYVATVPRIATEETIRSLFQEHGNVVQVIQPKDKKTGERHGYCFVKYATFEEADRAITALHNQYTFPGELTTVKVRYADAERDRLGLLPDKLYVGCLNKQASKRDIEEIFSPYGNVQDIYIVRDEHRENRGCGFIQFSRREMALAAIKGLNGIFTMKGCDQPLIVRFANPKRPRNGEPRVNYTFNTINVGSYPQELTMGPVPNGPNLGDPMAGRIPPNASYPVQHILTNSQPRSVSHWANPEVAASHFTHQSYPPGQQAQSQATSLPLQQIQTPQESSQSSHQSVSEQKLLPLMPPSSQNVGQQNSYVPKLESPQAGSSQTNAATSVAPTTQSLETVAPLECDWSEHTCPDGYKYYYNCVTCESRWKKPEEFMLFEKLLQKQQKLQSPGQQLHSHSTIPSTEQVIQNQEGVDHLQIKSETSPIVDPTCV >EOX95312 pep chromosome:Theobroma_cacao_20110822:1:33740923:33747321:-1 gene:TCM_004846 transcript:EOX95312 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding,abscisic acid binding, putative isoform 1 MERHRDRGERLNDHQPLNPTPWFSDDHRPNFSSDHYHHHHHHHHNHHNHHYNHHHNHQQFEHQQPNQHHHFEQFPDHHQPGSEQNEAFWSNGGNGPNSSRKRGFHYSGRGASPEHSEVGSLAKLYVATVPRIATEETIRSLFQEHGNVVQVIQPKDKKTGERHGYCFVKYATFEEADRAITALHNQYTFPGELTTVKVRYADAERDRLGLLPDKLYVGCLNKQASKRDIEEIFSPYGNVQDIYIVRDEHRENRGCGFIQFSRREMALAAIKGLNGIFTMKGCDQPLIVRFANPKRPRNGEPRVNYTFNTINVGSYPQELTMGPVPNGPNLGDPMAGRIPPNASYPVQHILTNSQPRSVSHWANPEVAASHFTHQSYPPGQQAQSQATSLPLQQIQTPQESSQSSHQSVSEQKLLPLMPPSSQNVGQQNSYVPKLESPQAGSSQTNAATSVAPTTQSLETVAPLECDWSEHTCPDGYKYYYNCVTCESRWKKPEEFMLFEKLLQKQQKLQSPGQQLHSHSTIPSTEQVIQNQEGVDHLQIKSETSPIVDPTCV >EOX95309 pep chromosome:Theobroma_cacao_20110822:1:33740170:33749684:-1 gene:TCM_004846 transcript:EOX95309 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding,abscisic acid binding, putative isoform 1 MERHRDRGERLNDHQPLNPTPWFSDDHRPNFSSDHYHHHHHHHHNHHNHHYNHHHNHQQFEHQQPNQHHHFEQFPDHHQPGSEQNEAFWSNGGNGPNSSRKRGFHYSGRGASPEHSEVGSLAKLYVATVPRIATEETIRSLFQEHGNVVQVIQPKDKKTGERHGYCFVKYATFEEADRAITALHNQYTFPGELTTVKVRYADAERDRLGLLPDKLYVGCLNKQASKRDIEEIFSPYGNVQDIYIVRDEHRENRGCGFIQFSRREMALAAIKGLNGIFTMKGCDQPLIVRFANPKRPRNGEPRVNYTFNTINVGSYPQELTMGPVPNGPNLGDPMAGRIPPNASYPVQHILTNSQPRSVSHWANPEVAASHFTHQSYPPGQQAQSQATSLPLQQIQTPQESSQSSHQSVSEQKLLPLMPPSSQNVGQQNSYVPKLESPQAGSSQTNAATSVAPTTQSLETVAPLECDWSEHTCPDGYKYYYNCVTCESRWKKPEEFMLFEKLLQKQQKLQSPGQQLHSHSTIPSTEQVIQNQEVQLHTCLMHQKFKGVDHLQIKSETSPIVDPTCV >EOX95314 pep chromosome:Theobroma_cacao_20110822:1:33741256:33747321:-1 gene:TCM_004846 transcript:EOX95314 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding,abscisic acid binding, putative isoform 1 MERHRDRGERLNDHQPLNPTPWFSDDHRPNFSSDHYHHHHHHHHNHHNHHYNHHHNHQQFEHQQPNQHHHFEQFPDHHQPGSEQNEAFWSNGGNGPNSSRKRGFHYSGRGASPEHSEVGSLAKLYVATVPRIATEETIRSLFQEHGNVVQVIQPKDKKTGERHGYCFVKYATFEEADRAITALHNQYTFPGELTTVKVRYADAERDRLGLLPDKLYVGCLNKQASKRDIEEIFSPYGNVQDIYIVRDEHRENRGCGFIQFSRREMALAAIKGLNGIFTMKGCDQPLIVRFANPKRPRNGEPRVNYTFNTINVGSYPQELTMGPVPNGPNLGDPMAGRIPPNASYPVQHILTNSQPRSVSHWANPEVAASHFTHQSYPPGQQAQSQATSLPLQQIQTPQESSQSSHQSVSEQKLLPLMPPSSQNVGQQNSYVPKLESPQAGSSQTNAATSVAPTTQSLETVAPLECDWSEHTCPDGYKYYYNCVTCESRWKKPEEFMLFEKLLQKQQKLQSPGQQLHSHSTIPSTEQVIQNQEVQLHTCLMHQKFKV >EOX95311 pep chromosome:Theobroma_cacao_20110822:1:33740259:33747476:-1 gene:TCM_004846 transcript:EOX95311 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding,abscisic acid binding, putative isoform 1 MERHRDRGERLNDHQPLNPTPWFSDDHRPNFSSDHYHHHHHHHHNHHNHHYNHHHNHQQFEHQQPNQHHHFEQFPDHHQPGSEQNEAFWSNGGNGPNSSRKRGFHYSGRGASPEHSEVGSLAKLYVATVPRIATEETIRSLFQEHGNVVQVIQPKDKKTGERHGYCFVKYATFEEADRAITALHNQYTFPGELTTVKVRYADAERDRLGLLPDKLYVGCLNKQASKRDIEEIFSPYGNVQDIYIVRDEHRENRGCGFIQFSRREMALAAIKGLNGIFTMKGCDQPLIVRFANPKRPRNGEPRVNYTFNTINVGSYPQELTMGPVPNGPNLGDPMAGRIPPNASYPVQHILTNSQPRSVSHWANPEVAASHFTHQSYPPGQQAQSQATSLPLQQIQTPQESSQSSHQSVSEQKLLPLMPPSSQNVGQQNSYVPKLESPQAGSSQTNAATSVAPTTQSLETVAPLECDWSEHTCPDGYKYYYNCVTCESRWKKPEEFMLFEKLLQKQQKLQSPGQQLHSHSTIPSTEQVIQNQEVQLHTCLMHQKFKGVDHLQIKSETSPIVDPTCV >EOX90670 pep chromosome:Theobroma_cacao_20110822:1:291422:295823:1 gene:TCM_000071 transcript:EOX90670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, 32 MTESTKKLEAMKEFKGFGVGTWGGGEEEEEVPRWCEGRIPSFFGFWGVQGRLGKRDRVVVDMGNCWGSSSDRDTSHCYSSTNIPTTPGTSNSCSNNIEFSATSSASACRSQFSETVSEIVEEESPDGRILETPNLKVFTFAELKTATKNFKGDTLLGEGGFGRVYKGWVDEKTLTPSKVGSGMIVAIKKLNHESMQGFEEWQSEVNFLGRLYHPNLVKLLGYCWEDKELLLVYEFLQKGSLENHLFRRNPAIEPLSWELRLKIAIGAARGLAFLHTSEKVIYRDFKASNILLDGNYNAKISDFGLAKLGPAGGESHVTTRVMGTYGYAAPEYIATGHLYVKSDVYGFGVVLLELMTGLRALDTKRLSGQQNLVDWLRPTLSLKKKVKTIMDVRIEGQYSSKAAILSADLTLKCLEHDPKNRPSMKEVGEALERIESLNKEKSKDSSKSGSNHSTTPRHGQHSTPHRSPLQSRRRGAST >EOX94785 pep chromosome:Theobroma_cacao_20110822:1:31380238:31386784:-1 gene:TCM_004396 transcript:EOX94785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase MPGRSAAGVRTGVRVVVAGDRGTGKSSLISAAASDSFPEYVPAVLPPTRLPSDFYPDGVPVTIVDSSSSMESRVRLIDELKRADAVVLTYACDQPMTLSRLSTFWLPELRKLEVKAPVIVVGCKLDLRDERQPMNLEQVMAPIMQQFREIETCIECSSATLIQVPDVFYYAQKAVLHPTAPLFDQEKQSLKPRCIRALKRIFMLCDHDMDGALSDAELNEFQVKCFNAPLQPAEIVGVKRVVQERIRGGVSDLGLTLEGFLFLHALFIEKGRLETTWAVLRKFGYDDDLKLRDDILPTPTKHAPDQSVELTNEAVDFLRGIFRLYDIDNDGSVQPSEIDDIFVTAPESPWSVGPYVDAAERTALGNLTLNGFLSEWALMTYLDPSCSLANLICIGYGGDPTSALYVTRRRSADRKKQRTERNVFHCFVFGPKRSGKSALLNSFLGRPFSSNYTPTNGICYATNVVEEIGGTQKTLILQEIPEDGVKKFLSSKECLAACDVAVFMYDSSDEYSWKRSRELLLDVARQGEESGYRVPCLLIAAKDDLDPYPMALQNSARVTQQLGMEAPIPLGVKLRDSKTVFSRIINAAEHPHLSIPETEKGKKRKKYRRLVNSSLMFVSVGAAVAVVGLAAYRAYAARKNT >EOX91146 pep chromosome:Theobroma_cacao_20110822:1:1740504:1745483:-1 gene:TCM_000427 transcript:EOX91146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor U2af large subunit A isoform 1 MTDYEARYQSSGEDLENSYGGVPSPQPREGSHGGLDDQRGSKSQHGTGDYEKGSSRSREKEKSRDKEREQDRDRHRDRERDKDKEKNKDRDRDREKDRDRHNRDRHRDRSRERSERRERGRDWDDDDYHRSRHYDRRRDFDGDREDRHRLQSRPRGRSEHRSNSRSRSRSPSKSKRISGFDMAPPASAMLAAGAAGAAVSASAITGQIPGTNPALPGVFPNMFPLATGQFGALPVMPIQAMTQQATRHARRVYVGGLPPTANEQSVATYFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLSPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTTQPKPEQESILQHAQQQIALQRLMLQPQGLPTKVVCLTQALSEDDLRDDEEYDDIVEDMRQEGGKHGVLVNVVIPRPNLNGEPSAGVGKVFLEYSDVEGSRKAQAAMNGRKFGDNQVIAVFYPENKFVQEEYDA >EOX91147 pep chromosome:Theobroma_cacao_20110822:1:1740843:1745472:-1 gene:TCM_000427 transcript:EOX91147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor U2af large subunit A isoform 1 MTDYEARYQSSGEDLENSYGGVPSPQPREGSHGGLDDQRGSKSQHGTGDYEKGSSRSREKEKSRDKEREQDRDRHRDRERDKDKEKNKDRDRDREKDRDRHNRDRHRDRSRERSERRERGRDWDDDDYHRSRHYDRRRDFDGDREDRHRLQSRPRGRSEHRSNSRSRSRSPSKSKRISGFDMAPPASAMLAAGAAGAAVSASAITGQIPGTNPALPGVFPNMFPLATGQQFGALPVMPIQAMTQQATRHARRVYVGGLPPTANEQSVATYFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLSPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTTQPKPEQESILQHAQQQIALQRLMLQPQGLPTKVVCLTQALSEDDLRDDEEYDDIVEDMRQEGGKHGVLVNVVIPRPNLNGEPSAGVGKVFLEYSDVEGSRKAQAAMNGRKFGDNQVIAVFYPENKFVQEEYDA >EOX96578 pep chromosome:Theobroma_cacao_20110822:1:38304494:38306166:1 gene:TCM_005804 transcript:EOX96578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 AFTFAAWNYVKKEVLTPPQSEARVDGAVASLRRGQSWVSPSCGLDPATQATHTPACQFLNPHALVKRVGICCWLVILYSIDVVTLSAISP >EOX94486 pep chromosome:Theobroma_cacao_20110822:1:29092747:29095342:-1 gene:TCM_004088 transcript:EOX94486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 4 MACALKATFARFKPHLSMVLAQICSAFLFFITEAAFNQGLNPYVCVTYRLSLAGLLMFPFAYFLERKSRPKLTLRLFLELFSVSLLGIALSFNMFFASMRCTSPTFVTAASNNAPSLTFVIAILFRLEVVDVKSPRGIAKILGTLISLAGVTTITLYKGPALQSLRDAPIHIKRVLSIRENWVKGSILTIASCMTWSSWYIMQAFALKKYPVQLSLTAWIDCLGGAQSAVFAVFLQHKPAAWSITIIMSKSGRMKMNARELLAPGSSSSFSCVLAYFVFGEKLHTGSVPGGVTVIIGLYLLLWGKERDQCYTKSQKQSSSHCDVIKVTDEEEVASAEKEGA >EOX94489 pep chromosome:Theobroma_cacao_20110822:1:29093116:29095352:-1 gene:TCM_004088 transcript:EOX94489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 4 MACALKATFARFKPHLSMVLAQICSAFLFFITEAAFNQGLNPYVCVTYRLSLAGLLMFPFAYFLERKSRPKLTLRLFLELFSVSLLGIALSFNMFFASMRCTSPTFVTAASNNAPSLTFVIAILFRLEVVDVKSPRGIAKILGTLISLAGVTTITLYKGPALQSLRDAPIHIKRVLSIRENWVKGSILTIASCMTWSSWYIMQAFALKKYPVQLSLTAWIDCLGGAQSAVFAVFLQHKPAAWSITMFSINFWAIIYSGNCWHRVHRLPSVVVRERERASFCNRV >EOX94487 pep chromosome:Theobroma_cacao_20110822:1:29093749:29095222:-1 gene:TCM_004088 transcript:EOX94487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 4 MACALKATFARFKPHLSMVLAQICSAFLFFITEAAFNQGLNPYVCVTYRLSLAGLLMFPFAYFLERKSRPKLTLRLFLELFSVSLLGIALSFNMFFASMRCTSPTFVTAASNNAPSLTFVIAILFRLEVVDVKSPRGIAKILGTLISLAGVTTITLYKGPALQSLRDAPIHIKRVLSIRENWVKGSILTIASCMTWSSWYIMQAFALKKYPVQLSLTAWIDCLGGAQSAVFAVFLQHKPAAWSITIIMSKSGRMKMNARELLAPGSSSSFSCGA >EOX94488 pep chromosome:Theobroma_cacao_20110822:1:29093116:29095352:-1 gene:TCM_004088 transcript:EOX94488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 4 MACALKATFARFKPHLSMVLAQICSAFLFFITEAAFNQGLNPYVCVTYRLSLAGLLMFPFAYFLERKSRPKLTLRLFLELFSVSLLGIALSFNMFFASMRCTSPTFVTAASNNAPSLTFVIAILFRLEVVDVKSPRGIAKILGTLISLAGVTTITLYKGPALQSLRDAPIHIKRVLSIRENWVKGSILTIASCMTWSSWYIMQAFALKKYPVQLSLTAWIDCLGGAQSAVFAVFLQHKPAA >EOX92025 pep chromosome:Theobroma_cacao_20110822:1:4971492:4972871:-1 gene:TCM_001048 transcript:EOX92025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKRVGLVRTSEVGGYSAKPKLRILRHWESLPQREKRTRLTWSPKIVPTSKQPNQKRVLETMALPLDLELDRLGSYEVREIRLDDMRTSSHFVLLWATTHTSGWPSGLRRQTQVLVLVRERGFKSHF >EOX91522 pep chromosome:Theobroma_cacao_20110822:1:2983189:2986450:-1 gene:TCM_000680 transcript:EOX91522 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 7 isoform 3 MAVELMMSYRNSNSFTTKMEENAVQEAASGLESVEKLIRLLSQTQQQQQQNITNQEKYQSSSSSTRSSLDLDMDCKAAADVAVSKFKKVISLLGRTRTGHARFRRAPVAPPAATNTTTISPPVSQNQANQELETKVYYATPIQQIPPPVTYHTHHHQDFLTVKSGVLERKDSSTTINFSYSSAGNSFMSSLTGDTDSKQPSSSSAFQITNLSQVSSAGKPPLSSSSFKRKCSSENLGSGKCSGSSGRCHCSKKRKLRSKRVVRVPAISLKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPSMLIVTYEGEHNHPLSLAETSSLILESS >EOX91523 pep chromosome:Theobroma_cacao_20110822:1:2984284:2985090:-1 gene:TCM_000680 transcript:EOX91523 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 7 isoform 3 MAVELMMSYRNSNSFTTKMEENAVQEAASGLESVEKLIRLLSQTQQQQQQNITNQEKYQSSSSSTRSSLDLDMDCKAAADVAVSKFKKVISLLGRTRTGHARFRRAPVAPPAATNTTTISPPVSQNQANQELETKVYYATPIQQIPPPVTYHTHHHQDFLTVKSGVLERKDSSTTINFSYSSAGNSFMSSLTGDTDSKQPSSSSAFQITNLSQVSSAGKPPLSSSSFKRKCSSENLGSGKCSGSSGRCHCSKKRYISVYIYFFYKLPF >EOX91521 pep chromosome:Theobroma_cacao_20110822:1:2983184:2985336:-1 gene:TCM_000680 transcript:EOX91521 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 7 isoform 3 MAVELMMSYRNSNSFTTKMEENAVQEAASGLESVEKLIRLLSQTQQQQQQNITNQEKYQSSSSSTRSSLDLDMDCKAAADVAVSKFKKVISLLGRTRTGHARFRRAPVAPPAATNTTTISPPVSQNQANQELETKVYYATPIQQIPPPVTYHTHHHQDFLTVKSGVLERKDSSTTINFSYSSAGNSFMSSLTGDTDSKQPSSSSAFQITNLSQVSSAGKPPLSSSSFKRKCSSENLGSGKCSGSSGRCHCSKKRKLRSKRVVRVPAISLKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPSMLIVTYEGEHNHPLSLAETSSLILESS >EOX91524 pep chromosome:Theobroma_cacao_20110822:1:2983811:2985325:-1 gene:TCM_000680 transcript:EOX91524 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 7 isoform 3 MAVELMMSYRNSNSFTTKMEENAVQEAASGLESVEKLIRLLSQTQQQQQQNITNQEKYQSSSSSTRSSLDLDMDCKAAADVAVSKFKKVISLLGRTRTGHARFRRAPVAPPAATNTTTISPPVSQNQANQELETKVYYATPIQQIPPPVTYHTHHHQDFLTVKSGVLERKDSSTTINFSYSSAGNSFMSSLTGDTDSKQPSSSSAFQITNLSQVSSAGKPPLSSSSFKRKCSSENLGSGKCSGSSGRCHCSKKRKLRSKRVVRVPAISLKMADIPPDDYSWRKYGQKPIKGSPHPRYMIFHAIFYIFVSFLS >EOX91525 pep chromosome:Theobroma_cacao_20110822:1:2983261:2985237:-1 gene:TCM_000680 transcript:EOX91525 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 7 isoform 3 MAVELMMSYRNSNSFTTKMEENAVQEAASGLESVEKLIRLLSQTQQQQQQNITNQEKYQSSSSSTRSSLDLDMDCKAAADVAVSKFKKVISLLGRTRTGHARFRRAPVAPPAATNTTTISPPVSQNQANQELETKVYYATPIQQIPPPVTYHTHHHQDFLTVKSGVLERKDSSTTINFSYSSAGNSFMSSLTGDTDIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPSMLIVTYEGEHNHPLSLAETSSLILESS >EOX95000 pep chromosome:Theobroma_cacao_20110822:1:32420382:32424426:1 gene:TCM_004584 transcript:EOX95000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MWRRNRFQENSDSDQSISDEEDFIDDFRENCVSFGKEKEKEEGLQLQSRLETLKEKCDNNQSYNDDLSSCYLEEDVEVPDSPDEGDCFFSRKTFTRVSNEELISDGEEKVMCSKFSTASGAKRSDNSYEIGGQDGGNVWSIVTKEAESLIQWDKNVSGAKSKMRPRFSFGSQPHKGISWPALSSNDNDVSTKADEVPGKLKASYHGSVDHSIPELLEDIHGKEEKQLEIVSPDVEVSGHGFIEHSMAELLDELQDNTSLLRGNSKMGCRARGKRIQAALKRSICSLGDRSIESEDLHELFSGGSSSNDEDDYQNLELAIPEMKKPTISDKFQEALGATSLSDEGASFTRPRAFSTGLFGKLQQVMEREKETDTLFLKKLQNGASLKNEPSCITVKIVSRYLDAKLTVCHCSFVKIIEGFWQPESPKILENEGQKGTVIFNQRICGNVDLEIGNLICIHPPWKEVDIMGQGENIILSTYFSEIASLGLSGRK >EOX95002 pep chromosome:Theobroma_cacao_20110822:1:32420387:32423341:1 gene:TCM_004584 transcript:EOX95002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MWRRNRFQENSDSDQSISDEEDFIDDFRENCVSFGKEKEKEEGLQLQSRLETLKEKCDNNQSYNDDLSSCYLEEDVEVPDSPDEGDCFFSRKTFTRVSNEELISDGEEKVMCSKFSTASGAKRSDNSYEIGGQDGGNVWSIVTKEAESLIQWDKNVSGAKSKMRPRFSFGSQPHKGISWPALSSNDNDVSTKADEVPGKLKASYHGSVDHSIPELLEDIHGKEEKQLEIVSPDVEVSGHGFIEHSMAELLDELQDNTSLLRGNSKMGCRARGKRIQAALKRSICSLGDRSIESEDLHELFSGGSSSNDEDDYQNLELAIPEMKKPTISDKFQEALGATSLSDEGASFTRPRAFSTGLFGKLQQVMEREKETDTLFLKKLQNGASLKSKAI >EOX95001 pep chromosome:Theobroma_cacao_20110822:1:32420361:32424280:1 gene:TCM_004584 transcript:EOX95001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MWRRNRFQENSDSDQSISDEEDFIDDFRENCVSFGKEKEKEEGLQLQSRLETLKEKCDNNQSYNDDLSSCYLEEDVEVPDSPDEGDCFFSRKTFTRVSNEELISDGEVMCSKFSTASGAKRSDNSYEIGGQDGGNVWSIVTKEAESLIQWDKNVSGAKSKMRPRFSFGSQPHKGISWPALSSNDNDVSTKADEVPGKLKASYHGSVDHSIPELLEDIHGKEEKQLEIVSPDVEVSGHGFIEHSMAELLDELQDNTSLLRGNSKMGCRARGKRIQAALKRSICSLGDRSIESEDLHELFSGGSSSNDEDDYQNLELAIPEMKKPTISDKFQEALGATSLSDEGASFTRPRAFSTGLFGKLQQVMEREKETDTLFLKKLQNGASLKNEPSCITVKIVSRYLDAKLTVCHCSFVKIIEGFWQPESPKILENEGQKGTVIFNQRICGNVDLEIGNLICIHPPWKEVDIMGQGENIILSTYFSEIASLGLSGRK >EOX94560 pep chromosome:Theobroma_cacao_20110822:1:30165072:30168113:1 gene:TCM_004193 transcript:EOX94560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 4 MSHHHKFAAGWFLGVSSLVAATLATWYSSLLVASLWRWNGKKHVTYRLLAQSIFGFWGYWSIAFFQQVASLGNNIAIQIAAGSSLKAVYKHYHKDGTLTLQHFIIFFGAFELFLSQLPDIHSLRWVNALCTLSTIGFAGTTMGVTIYNGKKIDRESVSYGLQGSSSAKRFAAFNALGAIAFSFGDAMLPEIQNTVREPAVKNMYKGVSTAYGVIVLTYWQLAFSGYWAFGSEVQPYILASLTVPKWTIVMANIFAVIQISGCYQIYCRPTYAYFEERMLSNRTNSFPFRNHFARLIFTAIYIVLVTLVAAAMPFFVDFVSICGAIGFTPLDFVFPALAFLKAGKMPKNRELRLSIQLLNIAIAAWFSVVAVLGCIGAIRFVVEDVKTYKFFHDM >EOX94559 pep chromosome:Theobroma_cacao_20110822:1:30165178:30168143:1 gene:TCM_004193 transcript:EOX94559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 4 MEALRSRIAFVFNFNTKEYSNSVASEGREPEMSSDQASLPSKTENRTTTAFHEEDEQKQIGGEDSKSHEAAGKGTWKHAAFHVATTIATPAAYAPLPFALASLGWPLGVSSLVAATLATWYSSLLVASLWRWNGKKHVTYRLLAQSIFGFWGYWSIAFFQQVASLGNNIAIQIAAGSSLKAVYKHYHKDGTLTLQHFIIFFGAFELFLSQLPDIHSLRWVNALCTLSTIGFAGTTMGVTIYNGKKIDRESVSYGLQGSSSAKRFAAFNALGAIAFSFGDAMLPEIQNTVREPAVKNMYKDIRMLPEIYCRPTYAYFEERMLSNRTNSFPFRNHFARLIFTAIYIVLVTLVAAAMPFFVDFVSICGAIGFTPLDFVFPALAFLKAGKMPKNRELRLSIQLLNIAIAAWFSVVAVLGCIGAIRFVVEDVKTYKFFHDM >EOX94561 pep chromosome:Theobroma_cacao_20110822:1:30164843:30168200:1 gene:TCM_004193 transcript:EOX94561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 4 MEALRSRIAFVFNFNTKEYSNSVASEGREPEMSSDQASLPSKTENRTTTAFHEEDEQKQIGGEDSKSHEAAGKGTWKHAAFHVATTIATPAAYAPLPFALASLGWPLGVSSLVAATLATWYSSLLVASLWRWNGKKHVTYRLLAQSIFGFWGYWSIAFFQQVASLGNNIAIQIAAGSSLKAVYKHYHKDGTLTLQHFIIFFGAFELFLSQLPDIHSLRWVNALCTLSTIGFAGTTMGVTIYNGKKIDRESVSYGLQGSSSAKRFAAFNALGAIAFSFGDAMLPEIQNTVREPAVKNMYKGVSTAYGVIVLTYWQLAFSGYWAFGSEVQPYILASLTVPKWTIVMANIFAVIQISGCYQIYCRPTYAYFEERMLSNRTNSFPFRNHFARLIFTAIYIVLVTLVAAAMPFFVDFVSICGAIGFTPLDFVFPALAFLKAGKMPKNRELRLSIQLLNIAIAAWFSVVAVLGCIGAIRFVVEDVKTYKFFHDM >EOX94558 pep chromosome:Theobroma_cacao_20110822:1:30164836:30168200:1 gene:TCM_004193 transcript:EOX94558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 4 MEALRSRIAFVFNFNTKEYSNSVASEGREPEMSSDQASLPSKTENRTTTAFHEEDEQKQIGGEDSKSHEAAGKGTWKHAAFHVATTIATPAAYAPLPFALASLGWPLGVSSLVAATLATWYSSLLVASLWRWNGKKHVTYRLLAQSIFGFWGYWSIAFFQQVASLGNNIAIQIAAGSSLKAVYKHYHKDGTLTLQHFIIFFGAFELFLSQLPDIHSLRWVNALCTLSTIGFAGTTMGVTIYNGKKIDRESVSYGLQGSSSAKRFAAFNALGAIAFSFGDAMLPEIQNTVREPAVKNMYKGVSTAYGVIVLTYWQLAFSGYWAFGSEVQPYILASLTVPKWTIVMANIFAVIQISGCYQISGCYQIYCRPTYAYFEERMLSNRTNSFPFRNHFARLIFTAIYIVLVTLVAAAMPFFVDFVSICGAIGFTPLDFVFPALAFLKAGKMPKNRELRLSIQLLNIAIAAWFSVVAVLGCIGAIRFVVEDVKTYKFFHDM >EOX92453 pep chromosome:Theobroma_cacao_20110822:1:6906599:6908467:1 gene:TCM_001399 transcript:EOX92453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHCTALQCKCKCTIASVDNFTNPKNYNIEVDGRWDGLIRKFDMLTAGYRSKKEPDDCYGVNASVFVFFLLLFPSRKLFQHSGSRVKAKIISSYYAPAKTCLSLSLAIGFWVKVNSNTLARYPIDLQLLEEKGYQPIEGGAHGNLRFSVKLRPLGL >EOX90974 pep chromosome:Theobroma_cacao_20110822:1:1215590:1219922:-1 gene:TCM_000296 transcript:EOX90974 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex-associated testis-expressed protein 1 isoform 5 MYEGEDADFVVRFCKDVESRSQSGYVDFGRFDNVNHFVAASGHVDLVQGFYNGDLLKCEHTFDKLGRTAQVNIICGNCLNGQCKGQHGCICNVTYESTCRAIVELAIPCEKPGPRVFEGFTVGFHPRSWEIVYNGMTQLGFEKPHHDFSFSTEQPHVALYLTAISSHSNLVQKPIVKVFPENGLEVKLSGTAATGKLPTTLSPSTLLVDWRCVKAHNTPYEVNITIPVDSYEPIEFVLTKTCEYTQNQEGYAMRGWAIFGIISCIFMVSSTLFCCGGFIYKTRVERQRGIDALPGMTILSACLETVSGAGQGYSRAEDLNAAFASEVSWERPAATAQGKWTTSERKYGSI >EOX90972 pep chromosome:Theobroma_cacao_20110822:1:1213771:1220789:-1 gene:TCM_000296 transcript:EOX90972 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex-associated testis-expressed protein 1 isoform 5 MLEEMPSVNCCLNVGFMFIAVALAAVLSQALTVASVAVPTSNCYALDNSSHLLDFSGWVGDSYMYEGEDADFVVRFCKDVESRSQSGYVDFGRFDNVNHFVAASGHVDLVQGFYNGDLLKCEHTFDKLGRTAQVNIICGNCLNGQCKGQHGCICNVTYESTCRAIVELAIPCEKPGPRVFEGFTVGFHPRSWEIVYNGMTQLGFEKPHHDFSFSTEQPHVALYLTAISSHSNLVQKPIVKVFPENGLEVKLSGTAATGKLPTTLSPSTLLVDWRCVKAHNTPYEVNITIPVDSYEPIEFVLTKTCEYTQNQEGYAMRGWAIFGIISCIFMVSSTLFCCGGFIYKTRVERQRGIDALPGMTILSACLETVSGAGQGYSRAEDLNAAFASEVSWERPAATAQGKWTTSERKYGSI >EOX90976 pep chromosome:Theobroma_cacao_20110822:1:1215698:1220828:-1 gene:TCM_000296 transcript:EOX90976 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex-associated testis-expressed protein 1 isoform 5 MYEGEDADFVVRFCKDVESRSQSGYVDFGRFDNVNHFVAASGHVDLVQGFYNGDLLKCEHTFDKLGRTAQVNIICGNCLNGQCKGQHGCICNVTYESTCRAIVELAIPCEKPGPRVFEGFTVGFHPRSWEIVYNGMTQLGFEKPHHDFSFSTEQPHVALYLTAISSHSNLVQKPIVKVFPENGLEVKLSGTAATGKLPTTLSPSTLLVDWRCVKAHNTPYEVNITIPVDSYEPIEFVLTKTCEYTQNQEGYAMRGWAIFGIISCIFMVSSTLFCCGGFIYKTRVERQRGIDALPGMTILSACLETVSGAGQGYSR >EOX90975 pep chromosome:Theobroma_cacao_20110822:1:1215698:1219788:-1 gene:TCM_000296 transcript:EOX90975 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex-associated testis-expressed protein 1 isoform 5 MQDADFVVRFCKDVESRSQSGYVDFGRFDNVNHFVAASGHVDLVQGFYNGDLLKCEHTFDKLGRTAQVNIICGNCLNGQCKGQHGCICNVTYESTCRAIVELAIPCEKPGPRVFEGFTVGFHPRSWEIVYNGMTQLGFEKPHHDFSFSTEQPHVALYLTAISSHSNLVQKPIVKVFPENGLEVKLSGTAATGKLPTTLSPSTLLVDWRCVKAHNTPYEVNITIPVDSYEPIEFVLTKTCEYTQNQEGYAMRGWAIFGIISCIFMVSSTLFCCGGFIYKTRVERQRGIDALPGMTILSACLETVSGAGQGYSR >EOX90973 pep chromosome:Theobroma_cacao_20110822:1:1215035:1220634:-1 gene:TCM_000296 transcript:EOX90973 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex-associated testis-expressed protein 1 isoform 5 MLEEMPSVNCCLNVGFMFIAVALAAVLSQALTVASVAVPTSNCYALDNSSHLLDFSGWVGDSYMYEGEDADFVVRFCKDVESRSQSGYVDFGRFDNVNHFVAASGHVDLVQGFYNGDLLKCEHTFDKLGRTAQVNIICGNCLNGQCKGQHGCICNVTYESTCRAIVELAIPCEKPGPRVFEGFTVGFHPRSWEIVYNGMTQLGFEKPHHDFSFSTEQPHVALYLTAISSHSNLVQKPIVKVFPENGLEVKLSGTAATGKLPTTLSPSTLLVDWRCVKAHNTPYEVNITIPVDSYEPIEFVLTKTCEYTQNQEGYAMRGWAIFGIISCIFMVSSTLFCCGGFIYKTRVERQRGIDALPGMTILSACLETVSGAGQGYSRAEDLNAAFASEVSWERPAATAQGKWTTSERKYGSI >EOX95659 pep chromosome:Theobroma_cacao_20110822:1:35084613:35090224:1 gene:TCM_005111 transcript:EOX95659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat superfamily protein isoform 1 MMGSLSEEEDQFFDTREDITSVSDSGSDCPENSDSECAASVNSMPANFGFEIWIKNLSSIRERRDKFLKWMGLSVGQAVREGPSNMCCDEIEVETDRIMETSGAVLGSSSFDDGFSSSQSSMSCWSSDARELSDGALNDNFTCRIKNLDDGTEFIVDELSQDGMFRRLREVGSNHLLTIDEFERRLGLSPLVQQAMCREFKEVSNLGPERKQGKRGWLRRLGAVACIVDRQVDASRMISNDCYPNLEARIQKVRVRSYKKRLKEFSALYMWQDIQAHEGSILTMKFSPDGQYLASAGEDGIVRVWQVVESERSGNSDIHDVNPSYVYAENNFSELVSLHADKEKKGKLKSVKKNSDSACVIFPRKVFQISEKPIHEFHGHCGEVLDLSWSRNKHVLSSSVDKTVRLWQVGYDQCQKVFSHNNYVTCVQFNPVDDDYFVSGSIDGKVRIWAIPGCHVVDWTDLTDIVTAVCYRPDGKGAVIGSMTGNCRFYDASADNHLQLNAQICLQSKKKSPCSRITGFQFSPGDPDKLMVTSADSQVRILHGVDVVCKFRGLRNAGSQISASFTSDGMRIVSASEDSNVYVWNYISQDRSVPQAKNNWSCERFFSNNASVAIPWCGMTSRNSIFSKTSGTSPSPRVFSSSWRCNEYTGALQSELGESSQHKLPFSSSERFSLGHGFFLESLPKGTATWPEEKLPPPNSLVVSSAMCKSQYKLLKTSCHSALGSANAWGLVIVTAGWDGRIRSFQNYGLPIHL >EOX95658 pep chromosome:Theobroma_cacao_20110822:1:35085094:35090294:1 gene:TCM_005111 transcript:EOX95658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat superfamily protein isoform 1 MMGSLSEEEDQFFDTREDITSVSDSGSDCPENSDSECAASVNSMPANFGFEIWIKNLSSIRERRDKFLKWMGLSVGQAVREGPSNMCCDEIEVETDRIMETSGAVLGSSSFDDGFSSSQSSMSCWSSDARELSDGALNDNFTCRIKNLDDGTEFIVDELSQDGMFRRLREVGSNHLLTIDEFERRLGLSPLVQQAMCREFKEVSNLGPERKQGKRGWLRRLGAVACIVDRQVDASRMISNDCYPNLEARIQKVRVRSYKKRLKEFSALYMWQDIQAHEGSILTMKFSPDGQYLASAGEDGIVRVWQVVESERSGNSDIHDVNPSYVYAENNFSELVSLHADKEKKGKLKSVKKNSDSACVIFPRKVFQISEKPIHEFHGHCGEVLDLSWSRNKHVLSSSVDKTVRLWQVGYDQCQKVFSHNNYVTCVQFNPVDDDYFVSGSIDGKVRIWAIPGCHVVDWTDLTDIVTAVCYRPDGKGAVIGSMTGNCRFYDASDNHLQLNAQICLQSKKKSPCSRITGFQFSPGDPDKLMVTSADSQVRILHGVDVVCKFRGLRNAGSQISASFTSDGMRIVSASEDSNVYVWNYISQDRSVPQAKNNWSCERFFSNNASVAIPWCGMTSRNSIFSKTSGTSPSPRVFSSSWRCNEYTGALQSELGESSQHKLPFSSSERFSLGHGFFLESLPKGTATWPEEKLPPPNSLVVSSAMCKSQYKLLKTSCHSALGSANAWGLVIVTAGWDGRIRSFQNYGLPIHL >EOX95660 pep chromosome:Theobroma_cacao_20110822:1:35084375:35090032:1 gene:TCM_005111 transcript:EOX95660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat superfamily protein isoform 1 MMGSLSEEEDQFFDTREDITSVSDSGSDCPENSDSECAASVNSMPANFGFEIWIKNLSSIRERRDKFLKWMGLSVGQAVREGPSNMCCDEIEVETDRIMETSGAVLGSSSFDDGFSSSQSSMSCWSSDARELSDGALNDNFTCRIKNLDDGTEFIVDELSQDGMFRRLREVGSNHLLTIDEFERRLGLSPLVQQAMCREFKEVSNLGPERKQGKRGWLRRLGAVACIVDRQVDASRMISNDCYPNLEARIQKVRVRSYKKRLKEFSALYMWQDIQAHEGSILTMKFSPDGQYLASAGEDGIVRVWQVVESERSGNSDIHDVNPSYVYAENNFSELVSLHADKEKKGKLKSVKKNSDSACVIFPRKVFQISEKPIHEFHGHCGEVLDLSWSRNKHVLSSSVDKTVRLWQVGYDQCQKVFSHNNYVTCVQFNPVDDDYFVSGSIDGKVRIWAIPGCHVVDWTDLTDIVTAVCYRPDGKGAVIGSMTGNCRFYDASDNHLQLNAQICLQSKKKSPCSRITGFQFSPGDPDKLMVTSADSQVRILHGVDVVCKFRGLRNAGSQISASFTSDGMRIVSASEDSNVYVWNYISQDRSVPQAKNNWSCERFFSNNASVAIPWCGMTSRNSIFSKTSGTSPSPRVFSSSWRCNEYTGALQSELGESSQHKLPFSSSERFSLGHGFFLESLPKGTATWPEEKLPPPNSLVVSSAMCKSQYKLLKTSCHSALGSANAWGLVIVTAGWDGRIRSFQNYGLPIHL >EOX91127 pep chromosome:Theobroma_cacao_20110822:1:1687557:1692144:-1 gene:TCM_000409 transcript:EOX91127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Roline-rich extensin-like receptor kinase 4 MASSPENSPSQDSPPAPPPSNSSRNNSSPPPPPSSSTRSPPPPSPPPNSNNSSPPPTSNSNRNPSPPPPPRSSGTKANSSSANNTSSSVSSSSDSSSNETDIKIITGVAVGAGLLLLLILLCVARCTQQKKKKRRRQQMQYYPSHEAKGGGDQYYNKTQNPPWLNSPQGAEHVVKVPPPPPGGGGGWGSPSPMQAPVYSGEASSNFSGPYRPPPLPPPSPSIALGFNKSTFSYEELAAATGGFSRANLLGQGGFGYVHKGVLPNGKEVAVKSLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGGQRMLVYEFVPNNTLEHHLHGKDLPVMDFSTRLRIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDDNFEAMVADFGLAKLSSDNYTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGKRPVDPTNAMEDSLVDWARPLLARALEDGNHDELADPRLELNYNHHEMQRMVACAAASIRHSAKKRPKMSQIVRALEGDSSLDDLNEGIKPGHSAVYSSGVSSEYSASSYNADMKKFRQLALSSQEFGGSEDGTSSSDHSREMQRHNI >EOX95767 pep chromosome:Theobroma_cacao_20110822:1:35503815:35506761:1 gene:TCM_005188 transcript:EOX95767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKATHQRAAGGDIDLSMNDALRCVDSNRPVQTADEETTSDPFGPKPDNEAHDQELESDAFGHQAQCGSK >EOX96065 pep chromosome:Theobroma_cacao_20110822:1:36476034:36482558:-1 gene:TCM_005410 transcript:EOX96065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shaggy-like protein kinase 32 isoform 1 MEVFFSHINIYFFYFESVLELKKEEKKRESGFSLFSSLVCGGGEFRRLRSKKSGLGSVKSKTMNVMRRLKSIASGRSSISSDPGGDSGTKRAKVDQQAECKVHEESNLVERSTTGLEQHMASTSLETVASTSNVTSVARMEKSGVDQLPNEMHEMRIRDEKTANHDEKDVEATVINGNGTETGQIISTVVGGRNGQPKQTISYMAERVVGTGSFGVVFQAKCLERGESVAIKKVLQDKRYKNRELQIMRILNHPNVVQLKHCFFSTTDKDELYLNLVLEYVPETVYRVSKHYSRMNQHMPIIYVQLYTYQICRALNYLHHVVGVCHRDIKPQNLLVNPHSHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVMAELLLGQPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEACAHPFFDDLRDPSACLPNGRALPPLFNFTAQELGVASAELRQRLIPEHART >EOX96066 pep chromosome:Theobroma_cacao_20110822:1:36476282:36481926:-1 gene:TCM_005410 transcript:EOX96066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shaggy-like protein kinase 32 isoform 1 MMTTIYMPCSLSYDAKYQGGDSGTKRAKVDQQAECKVHEESNLVERSTTGLEQHMASTSLETVASTSNVTSVARMEKSGVDQLPNEMHEMRIRDEKTANHDEKDVEATVINGNGTETGQIISTVVGGRNGQPKQTISYMAERVVGTGSFGVVFQAKCLERGESVAIKKVLQDKRYKNRELQIMRILNHPNVVQLKHCFFSTTDKDELYLNLVLEYVPETVYRVSKHYSRMNQHMPIIYVQLYTYQICRALNYLHHVVGVCHRDIKPQNLLVNPHSHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVMAELLLGQPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTAVRISYDIIVVFFCVCICPWLLES >EOX96067 pep chromosome:Theobroma_cacao_20110822:1:36476795:36482286:-1 gene:TCM_005410 transcript:EOX96067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shaggy-like protein kinase 32 isoform 1 MNVMRRLKSIASGRSSISSDPSVDKIMMTTIYMPCSLSYDAKYQGGDSGTKRAKVDQQAECKVHEESNLVERSTTGLEQHMASTSLETVASTSNVTSVARMEKSGVDQLPNEMHEMRIRDEKTANHDEKDVEATVINGNGTETGQIISTVVGGRNGQPKQTISYMAERVVGTGSFGVVFQAKCLERGESVAIKKVLQDKRYKNRELQIMRILNHPNVVQLKHCFFSTTDKDELYLNLVLEYVPETVYRVSKHYSRMNQHMPIIYVQLYTYQICRALNYLHHVVGVCHRDIKPQNLLVNPHSHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVMAELLLGQPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEACAHPFFDDLRDP >EOX94394 pep chromosome:Theobroma_cacao_20110822:1:28274593:28281800:-1 gene:TCM_003981 transcript:EOX94394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase I-like 10 isoform 3 MDHVIGGKFKLGRKIGSGSFGELFLGVNVQTGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEADYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGHKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKVSTPIEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQLGGSSRGRHTSGRAGLAAGPAIERPERISVGREIRDRFSGAVEAFSKRNVSNTSPRRDHSRHKTAEDVTLSKHVHPDSDKRRSSSRYGSTSRRAVAASRPSSSGEPSDIQQNRLVSSGGRMSTTQRIQLAFEAKTSSRAAPVRGSRDDHPLRSFELLSIRK >EOX94396 pep chromosome:Theobroma_cacao_20110822:1:28275962:28281038:-1 gene:TCM_003981 transcript:EOX94396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase I-like 10 isoform 3 MDHVIGGKFKLGRKIGSGSFGELFLGVNVQTGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEADYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGHKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKVSTPIEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQLGGSSRGRVST >EOX94395 pep chromosome:Theobroma_cacao_20110822:1:28274595:28281046:-1 gene:TCM_003981 transcript:EOX94395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase I-like 10 isoform 3 MDHVIGGKFKLGRKIGSGSFGELFLGVNVQTGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEADYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGHKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKVSTPIEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQLGGSSRGRHPDSDKRRSSSRYGSTSRRAVAASRPSSSGEPSDIQQNRLVSSGGRMSTTQRIQLAFEAKTSSRAAPVRGSRDDHPLRSFELLSIRK >EOX94961 pep chromosome:Theobroma_cacao_20110822:1:32204009:32208190:-1 gene:TCM_004550 transcript:EOX94961 gene_biotype:protein_coding transcript_biotype:protein_coding description:UV excision repair protein isoform 3 MAEGEKLIELKFRIYDGTDIAHSTYASSMTVSTLKQKIVAEWPQDKTVTPKSINDLKLIHAGKVLENNKTLADSKITFGDLPVGVITMHVVVQPAIAKNKTEKSQEEMQKLNSCGLTSSDTKGWKTKELNAVLDGKE >EOX94962 pep chromosome:Theobroma_cacao_20110822:1:32204842:32207953:-1 gene:TCM_004550 transcript:EOX94962 gene_biotype:protein_coding transcript_biotype:protein_coding description:UV excision repair protein isoform 3 MAEGEKLIELKFRIYDGTDIAHSTYASSMTVSTLKQKIVAEWPQDKTVTPKSINDLKLIHAGKVLENNKTLADSKITFGDLPVGVITMHVVVQPAIAKNKTEKSQEEMQKLNSCGCVIL >EOX94960 pep chromosome:Theobroma_cacao_20110822:1:32203975:32208229:-1 gene:TCM_004550 transcript:EOX94960 gene_biotype:protein_coding transcript_biotype:protein_coding description:UV excision repair protein isoform 3 MAEGEKLIELKFRIYDGTDIAHSTYASSMTVSTLKQKIVAEWPQDKTVTPKSINDLKLIHAGKVLENNKTLADSKITFGDLPVGVITMHVVVQPAIAKNKTEKSQEEMQKLNSCGLTSSDTKGWKTKELNAVLDGKE >EOX91356 pep chromosome:Theobroma_cacao_20110822:1:2407679:2418032:1 gene:TCM_000575 transcript:EOX91356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MIGIVQVGSQPIASVAWLPMLRLLVTLAKDGTLQVWKTRLMVNPNKPPMQVNFFEPASIESLDIPRILSQQGGEAVYPLPRIRALEVHPKLNLAALLFANMTGGDNLKNRAAYTREGRKQLFAVLQSARGSSASILKEKLSSMGASGILADHQLQAQLQEQDIKGKSNLTISDIARKAFLYSHFMEGHAKTAPISRLPLISILNTKHQLKYIPVCEPFHLELNFFNKENRVLHYPVRAFYVDGVNLMAYNLCSGADSIYKKLFTSMPANVEYYPKHMVYGKKRHLFLIVYEFSGTTHEVVLYWENTDLKLANSKGSTIKGCDAAFIGPSENQFAILDEDKSGLALYILPGLALEEVDGKNGAVEPNLLPDQPVDAKANSIQGPVSFMFETEVDRIFSTPIESTLMFACNGKQIGLAKLVQGYRLSTSDGHYISTKTEGKKTLRLKVNEIVLQVHWQETLRGYVAGVITTHRVLMVSADLDILASSSSKFDKGNPSFRSLLWVGPALLFSTATAVCILGWDGKVRTILSISLPNAALVGALNDRLLLANPTDINPRQKKGFEIKTCLIGLLEPLLIGFATMQQYFEQKLDLSEILYQITSRFDSLRITPRSLDNLARGPPVCGDLAVSLSQAGPQFTQVLRGVYAIKALRFSTALSVLKDEFVRSRDYPKCPPTSHLFHRFRQLGYACIKYGQFDSAKETFEVIADYESMLDLFICHLNPSAMRRLAQRLEEEGADSELRRYCERILRVRSSGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNLKSIPQWELAAEVMPYMKTDDGAIPSIITDHIGVYLGSIKGRGNIIEVREDSLVKAFIPAAGDNKPNGVHTSMIKSIDKSKGVLGGESRVDSLMGLETLTKPSDSSTAADEQAKAAEEFKKTMYGTADDGSSSDEEGVSKTKKLQIRIRDKPSTPGTVDVNKIKEATKRLGDGLGLPISRTKSLTGVSQDLGQSQQQPYPATSGSVTNPTVSAPGDLFGTDSWIQPASVSQTAPTTKGVGIAAGPIPEDFFQNTIPSLQVAAALPPPGTYLSKLDQTSRQVEVGGKVPPDQVIAPASDIGLPDGGVPPQAHERPIPSDSIGLPDGGVPPQYSVPAAGMPQPQVQPAQTPLSIQPLDLSALGVPNSAESEKPAPSASAPTSVRPGQVPRGAAASICFRTGLAHLEQNQLPDALSCFDEAFLALAKDNSRGADIKAQATICAQYKIAEITRLQKVQGPSALSAKDEMARLSRHLGSLPLQANHRINCIRTAIKRNMDVQNYAYAKQMLELLFSKAPPGKQEELRSLIDICVQRGLTNKSIDPLEDPSQFCGATLGRLSTIGYDVCDLCGAKFSALSMPGCVICGMGSIKRSDALGGAAPVASPFG >EOX91355 pep chromosome:Theobroma_cacao_20110822:1:2405057:2418010:1 gene:TCM_000575 transcript:EOX91355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MEWTTLQHLDLRHVARGILKPLQPHAAAFHPTQALVAAAIGTYIIEFDALTGSKLSTIDIGLPVVRMSYSPTSGHSVIAILEDCTIRSCDFDAEQTCVLHSPEKKMEHISSDAEVHLALTPLQPVVFFGFHKRMSVTVVGTVEGGRAPTKIKADLKKPIVNLACHPRLPVLYVAYAEGLIRAYNIRTYAVHYTLQLDNTIKLLGAGAFAFHPTLEWIFVGDRRGTLLAWDVSTERPIMIGIVQVGSQPIASVAWLPMLRLLVTLAKDGTLQVWKTRLMVNPNKPPMQVNFFEPASIESLDIPRILSQQGGEAVYPLPRIRALEVHPKLNLAALLFANMTGGDNLKNRAAYTREGRKQLFAVLQSARGSSASILKEKLSSMGASGILADHQLQAQLQEQDIKGKSNLTISDIARKAFLYSHFMEGHAKTAPISRLPLISILNTKHQLKYIPVCEPFHLELNFFNKENRVLHYPVRAFYVDGVNLMAYNLCSGADSIYKKLFTSMPANVEYYPKHMVYGKKRHLFLIVYEFSGTTHEVVLYWENTDLKLANSKGSTIKGCDAAFIGPSENQFAILDEDKSGLALYILPGLALEEVDGKNGAVEPNLLPDQPVDAKANSIQGPVSFMFETEVDRIFSTPIESTLMFACNGKQIGLAKLVQGYRLSTSDGHYISTKTEGKKTLRLKVNEIVLQVHWQETLRGYVAGVITTHRVLMVSADLDILASSSSKFDKGNPSFRSLLWVGPALLFSTATAVCILGWDGKVRTILSISLPNAALVGALNDRLLLANPTDINPRQKKGFEIKTCLIGLLEPLLIGFATMQQYFEQKLDLSEILYQITSRFDSLRITPRSLDNLARGPPVCGDLAVSLSQAGPQFTQVVLRGVYAIKALRFSTALSVLKDEFVRSRDYPKCPPTSHLFHRFRQLGYACIKYGQFDSAKETFEVIADYESMLDLFICHLNPSAMRRLAQRLEEEGADSELRRYCERILRVRSSGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNLKSIPQWELAAEVMPYMKTDDGAIPSIITDHIGVYLGSIKGRGNIIEVREDSLVKAFIPAAGDNKPNGVHTSMIKSIDKSKGVLGGESRVDSLMGLETLTKPSDSSTAADEQAKAAEEFKKTMYGTADDGSSSDEEGVSKTKKLQIRIRDKPSTPGTVDVNKIKEATKRLGDGLGLPISRTKSLTGVSQDLGQSQQQPYPATSGSVTNPTVSAPGDLFGTDSWIQPASVSQTAPTTKGVGIAAGPIPEDFFQNTIPSLQVAAALPPPGTYLSKLDQTSRQVEVGGKVPPDQVIAPASDIGLPDGGVPPQAHERPIPSDSIGLPDGGVPPQYSVPAAGMPQPQVQPAQTPLSIQPLDLSALGVPNSAESEKPAPSASAPTSVRPGQVPRGAAASICFRTGLAHLEQNQLPDALSCFDEAFLALAKDNSRGADIKAQATICAQYKIAVRLLQEITRLQKVQGPSALSAKDEMARLSRHLGSLPLQANHRINCIRTAIKRNMDVQNYAYAKQMLELLFSKAPPGKQEELRSLIDICVQRGLTNKSIDPLEDPSQFCGATLGRLSTIGYDVCDLCGAKFSALSMPGCVICGMGSIKRSDALGGAAPVASPFG >EOX91354 pep chromosome:Theobroma_cacao_20110822:1:2405057:2418010:1 gene:TCM_000575 transcript:EOX91354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MEWTTLQHLDLRHVARGILKPLQPHAAAFHPTQALVAAAIGTYIIEFDALTGSKLSTIDIGLPVVRMSYSPTSGHSVIAILEDCTIRSCDFDAEQTCVLHSPEKKMEHISSDAEVHLALTPLQPVVFFGFHKRMSVTVVGTVEGGRAPTKIKADLKKPIVNLACHPRLPVLYVAYAEGLIRAYNIRTYAVHYTLQLDNTIKLLGAGAFAFHPTLEWIFVGDRRGTLLAWDVSTERPIMIGIVQVGSQPIASVAWLPMLRLLVTLAKDGTLQVWKTRLMVNPNKPPMQVNFFEPASIESLDIPRILSQQGGEAVYPLPRIRALEVHPKLNLAALLFANMTGGDNLKNRAAYTREGRKQLFAVLQSARGSSASILKEKLSSMGASGILADHQLQAQLQEQDIKGKSNLTISDIARKAFLYSHFMEGHAKTAPISRLPLISILNTKHQLKYIPVCEPFHLELNFFNKENRVLHYPVRAFYVDGVNLMAYNLCSGADSIYKKLFTSMPANVEYYPKHMVYGKKRHLFLIVYEFSGTTHEVVLYWENTDLKLANSKGSTIKGCDAAFIGPSENQFAILDEDKSGLALYILPGLALEEVDGKNGAVEPNLLPDQPVDAKANSIQGPVSFMFETEVDRIFSTPIESTLMFACNGKQIGLAKLVQGYRLSTSDGHYISTKTEGKKTLRLKVNEIVLQVHWQETLRGYVAGVITTHRVLMVSADLDILASSSSKFDKGNPSFRSLLWVGPALLFSTATAVCILGWDGKVRTILSISLPNAALVGALNDRLLLANPTDINPRQKKGFEIKTCLIGLLEPLLIGFATMQQYFEQKLDLSEILYQITSRFDSLRITPRSLDNLARGPPVCGDLAVSLSQAGPQFTQVLRGVYAIKALRFSTALSVLKDEFVRSRDYPKCPPTSHLFHRFRQLGYACIKYGQFDSAKETFEVIADYESMLDLFICHLNPSAMRRLAQRLEEEGADSELRRYCERILRVRSSGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNLKSIPQWELAAEVMPYMKTDDGAIPSIITDHIGVYLGSIKGRGNIIEVREDSLVKAFIPAAGDNKPNGVHTSMIKSIDKSKGVLGGESRVDSLMGLETLTKPSDSSTAADEQAKAAEEFKKTMYGTADDGSSSDEEGVSKTKKLQIRIRDKPSTPGTVDVNKIKEATKRLGDGLGLPISRTKSLTGVSQDLGQSQQQPYPATSGSVTNPTVSAPGDLFGTDSWIQPASVSQTAPTTKGVGIAAGPIPEDFFQNTIPSLQVAAALPPPGTYLSKLDQTSRQVEVGGKVPPDQVIAPASDIGLPDGGVPPQAHERPIPSDSIGLPDGGVPPQYSVPAAGMPQPQVQPAQTPLSIQPLDLSALGVPNSAESEKPAPSASAPTSVRPGQVPRGAAASICFRTGLAHLEQNQLPDALSCFDEAFLALAKDNSRGADIKAQATICAQYKIAVRLLQEITRLQKVQGPSALSAKDEMARLSRHLGSLPLQANHRINCIRTAIKRNMDVQNYAYAKQMLELLFSKAPPGKQEELRSLIDICVQRGLTNKSIDPLEDPSQFCGATLGRLSTIGYDVCDLCGAKFSALSMPGCVICGMGSIKRSDALGGAAPVASPFG >EOX91353 pep chromosome:Theobroma_cacao_20110822:1:2404892:2418484:1 gene:TCM_000575 transcript:EOX91353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MEWTTLQHLDLRHVARGILKPLQPHAAAFHPTQALVAAAIGTYIIEFDALTGSKLSTIDIGLPVVRMSYSPTSGHSVIAILEDCTIRSCDFDAEQTCVLHSPEKKMEHISSDAEVHLALTPLQPVVFFGFHKRMSVTVVGTVEGGRAPTKIKADLKKPIVNLACHPRLPVLYVAYAEGLIRAYNIRTYAVHYTLQLDNTIKLLGAGAFAFHPTLEWIFVGDRRGTLLAWDVSTERPIMIGIVQVGSQPIASVAWLPMLRLLVTLAKDGTLQVWKTRLMVNPNKPPMQVNFFEPASIESLDIPRILSQQGGEAVYPLPRIRALEVHPKLNLAALLFANMTGGDNLKNRAAYTREGRKQLFAVLQSARGSSASILKEKLSSMGASGILADHQLQAQLQEQDIKGKSNLTISDIARKAFLYSHFMEGHAKTAPISRLPLISILNTKHQLKYIPVCEPFHLELNFFNKENRVLHYPVRAFYVDGVNLMAYNLCSGADSIYKKLFTSMPANVEYYPKHMVYGKKRHLFLIVYEFSGTTHEVVLYWENTDLKLANSKGSTIKGCDAAFIGPSENQFAILDEDKSGLALYILPGLALEEVDGKNGAVEPNLLPDQPVDAKANSIQGPVSFMFETEVDRIFSTPIESTLMFACNGKQIGLAKLVQGYRLSTSDGHYISTKTEGKKTLRLKVNEIVLQVHWQETLRGYVAGVITTHRVLMVSADLDILASSSSKSLLWVGPALLFSTATAVCILGWDGKVRTILSISLPNAALVGALNDRLLLANPTDINPRQKKGFEIKTCLIGLLEPLLIGFATMQQYFEQKLDLSEILYQITSRFDSLRITPRSLDNLARGPPVCGDLAVSLSQAGPQFTQVLRGVYAIKALRFSTALSVLKDEFVRSRDYPKCPPTSHLFHRFRQLGYACIKYGQFDSAKETFEVIADYESMLDLFICHLNPSAMRRLAQRLEEEGADSELRRYCERILRVRSSGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNLKSIPQWELAAEVMPYMKTDDGAIPSIITDHIGVYLGSIKGRGNIIEVREDSLVKAFIPAAGDNKPNGVHTSMIKSIDKSKGVLGGESRVDSLMGLETLTKPSDSSTAADEQAKAAEEFKKTMYGTADDGSSSDEEGVSKTKKLQIRIRDKPSTPGTVDVNKIKEATKRLGDGLGLPISRTKSLTGVSQDLGQSQQQPYPATSGSVTNPTVSAPGDLFGTDSWIQPASVSQTAPTTKGVGIAAGPIPEDFFQNTIPSLQVAAALPPPGTYLSKLDQTSRQVEVGGKVPPDQVIAPASDIGLPDGGVPPQAHERPIPSDSIGLPDGGVPPQYSVPAAGMPQPQVQPAQTPLSIQPLDLSALGVPNSAESEKPAPSASAPTSVRPGQVPRGAAASICFRTGLAHLEQNQLPDALSCFDEAFLALAKDNSRGADIKAQATICAQYKIAVRLLQEITRLQKVQGPSALSAKDEMARLSRHLGSLPLQANHRINCIRTAIKRNMDVQNYAYAKQMLELLFSKAPPGKQEELRSLIDICVQRGLTNKSIDPLEDPSQFCGATLGRLSTIGYDVCDLCGAKFSALSMPGCVICGMGSIKRSDALGGAAPVASPFG >EOX95762 pep chromosome:Theobroma_cacao_20110822:1:35497777:35503941:-1 gene:TCM_005187 transcript:EOX95762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MAESTKVRLVRCPKCENLLPELADYSVYQCGGCGAVLRAKVRNREADTFSEKSEEDRLGGVSTKSQISSEKGIVDSSDASDTDVKSSAGSLMCDQKDPEKNDVDCADRSRTESKVAGDKWSVENGNDVSRNKDEIVNAIGRRQEDLDSNFGYTGGSQGLGHKSDWQSRKQEEMEESQRIPRVVVEGVRLSTSNNPDEGPSNHNLDSSYGYSEPLRNRTDQDGPSRIQLEQDRAELLRKLDELKEQLSRSCDVVEKPNEKVPLDGRVVPPEPHGGADTWFPNVSSGSRNASMPFYGPDKRAAGAGPSYFSHFPEPFSYPVGHDMTRHGLYPPMHNPNHIPPYGDPFGPQILGRAPHQLPGEYQQQPPHTYFSGQYIENNHDPFMSYPQSSVLHHASCSCFHCYEKHRRVPAPVPPSAFGNKRFPDVPSNPMYHIENPGTFGSHFHNSRTTMPPPLNVRGTQVHARWPSDINTEIGGFVRCRPQRVVLASGGRHFRPIAGGAPFITCYNCFELLQMPRKLQLIVKNEHKLRCGACSTVINFTVVNKKLVLCDHAETKGISVEVDDSSNEVVNDNSSHFRGRVNRIANFSSDDYDHSGYDFQSMDREPVALSMGQALNSVRPQELQNFHSSSPSTSEDENSPDVLIASRDEVNSVEQPIKPTLSPPPAGSPLQEHFDYSSNNRAVNRFGKGNRSSRSDQEKVMSNKATTRQNSLKEASLPTEMEVSFNDYSNTGISQDSGDATREDDQLKMTKGGESFFANIIKRSFKDFSRSNQTEERGKSNISVNGHPIPERVVKKAEKMAGPIHPGQYWYDFRAGFWGILGGPCLGIIPPFIEEFNYPMPENCAGGTTGVFVNGRELHQKDLDLLVNRGLPTDRDRSYIIEISGRVLDEDTGEELDSLGKLAPTVEKAKHGFGMKAPRSAA >EOX95764 pep chromosome:Theobroma_cacao_20110822:1:35499728:35503283:-1 gene:TCM_005187 transcript:EOX95764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MAESTKVRLVRCPKCENLLPELADYSVYQCGGCGAVLRAKVRNREADTFSEKSEEDRLGGVSTKSQISSEKGIVDSSDASDTDVKSSAGSLMCDQKDPEKNDVDCADRSRTESKVAGDKWSVENGNDVSRNKDEIVNAIGRRQEDLDSNFGYTGGSQGLGHKSDWQSRKQEEMEESQRIPRVVVEGVRLSTSNNPDEGPSNHNLDSSYGYSEPLRNRTDQDGPSRIQLEQDRAELLRKLDELKEQLSRSCDVVEKPNEKVPLDGRVVPPEPHGGADTWFPNVSSGSRNASMPFYGPDKRAAGAGPSYFSHFPEPFSYPVGHDMTRHGLYPPMHNPNHIPPYGDPFGPQILGRAPHQLPGEYQQQPPHTYFSGQYIENNHDPFMSYPQSSVLHHASCSCFHCYEKHRRVPAPVPPSAFGNKRFPDVPSNPMYHIENPGTFGSHFHNSRTTMPPPLNVRGTQVHARWPSDINTEIGGFVRCRPQRVVLASGGRHFRPIAGGAPFITCYNCFELLQMPRKLQLIVKNEHKLRCGACSTVINFTVVNKKLVLCDHAETKGISVEVDDSSNEVVNDNSSHFRGRVNRIANFSSDDYDHSGYDFQSMDREPVALSMGQALNSVRPQELQNFHSSSPSTSEDENSPDVLIASRDEVNSVEQPIKPTLSPPPAGSPLQEHFDYSSNNRAVNRFGKGNRSSRSDQEKVMSNKATTRQNSLKEASLPTEMEVSFNDYSNTGISQDSGDATREDDQLKMTKGGESFFANIIKRSFKDFSRSNQTEERGKSNISVNGHPIPERVVKKAEKMAGPIHPGQYWYGYINILSHLLWYDFRAGFWGILGGPCLGIILHLSKNLTIRCQKIV >EOX95766 pep chromosome:Theobroma_cacao_20110822:1:35500084:35503283:-1 gene:TCM_005187 transcript:EOX95766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MAESTKVRLVRCPKCENLLPELADYSVYQCGGCGAVLRAKVRNREADTFSEKSEEDRLGGVSTKSQISSEKGIVDSSDASDTDVKSSAGSLMCDQKDPEKNDVDCADRSRTESKVAGDKWSVENGNDVSRNKDEIVNAIGRRQEDLDSNFGYTGGSQGLGHKSDWQSRKQEEMEESQRIPRVVVEGVRLSTSNNPDEGPSNHNLDSSYGYSEPLRNRTDQDGPSRIQLEQDRAELLRKLDELKEQLSRSCDVVEKPNEKVPLDGRVVPPEPHGGADTWFPNVSSGSRNASMPFYGPDKRAAGAGPSYFSHFPEPFSYPVGHDMTRHGLYPPMHNPNHIPPYGDPFGPQILGRAPHQLPGEYQQQPPHTYFSGQYIENNHDPFMSYPQSSVLHHASCSCFHCYEKHRRVPAPVPPSAFGNKRFPDVPSNPMYHIENPGTFGSHFHNSRTTMPPPLNVRGTQVHARWPSDINTEIGGFVRCRPQRVVLASGGRHFRPIAGGAPFITCYNCFELLQMPRKLQLIVKNEHKLRCGACSTVINFTVVNKKLVLCDHAETKGISVEVDDSSNEVVNDNSSHFRGRVNRIANFSSDDYDHSGYDFQSMDREPVALSMGQALNSVRPQELQNFHSSSPSTSEDENSPDVLIASRDEVNSVEQPIKPTLSPPPAGSPLQEHFDYSSNNRAVNRFGKGNRSSRSDQEKVMSNKATTRQNSLKEASLPTEMEVSFNDYSNTGISQDSGDATREDDQLKMTKGGESFFANIIKRSFKDFSRSNQTEERGKSNISVNGHPIPERVVKKAEKMAGPIHPGQYWYGYINILSHLLWYDFYWFLMALLMDVCIPI >EOX95765 pep chromosome:Theobroma_cacao_20110822:1:35499728:35503933:-1 gene:TCM_005187 transcript:EOX95765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MAESTKVRLVRCPKCENLLPELADYSVYQCGGCGAVLRAKVRNREADTFSEKSEEDRLGGVSTKSQISSEKGIVDSSDASDTDVKSSAGSLMCDQKDPEKNDVDCADRSRTESKVAGDKWSVENGNDVSRNKDEIVNAIGRRQEDLDSNFGYTGGSQGLGHKSDWQSRKQEEMEESQRIPRVVVEGVRLSTSNNPDEGPSNHNLDSSYGYSEPLRNRTDQDGPSRIQLEQDRAELLRKLDELKEQLSRSCDVVEKPNEKVPLDGRVVPPEPHGGADTWFPNVSSGSRNASMPFYGPDKRAAGAGPSYFSHFPEPFSYPVGHDMTRHGLYPPMHNPNHIPPYGDPFGPQILGRAPHQLPGEYQQQPPHTYFSGQYIENNHDPFMSYPQSSVLHHASCSCFHCYEKHRRVPAPVPPSAFGNKRFPDVPSNPMYHIENPGTFGSHFHNSRTTMPPPLNVRGTQVHARWPSDINTEIGGFVRCRPQRVVLASGGRHFRPIAGGAPFITCYNCFELLQMPRKLQLIVKNEHKLRCGACSTVINFTVVNKKLVLCDHAETKGISVEVDDSSNEVVNDNSSHFRGRVNRIANFSSDDYDHSGYDFQSMDREPVALSMGQALNSVRPQELQNFHSSSPSTSEDENSPDVLIASRDEVNSVEQPIKPTLSPPPAGSPLQEHFDYSSNNRAVNRFGKGNRSSRSDQEKVMSNKATTRQNSLKEASLPTEMEVSFNDYSNTGISQDSGDATREDDQLKMTKGGESFFANIIKRSFKDFSRSNQTEERGKSNISVNGHPIPERVVKKAEKMAGPIHPGQYWYDFRAGFWGILGGPCLGIILNLTIRCQKIV >EOX95763 pep chromosome:Theobroma_cacao_20110822:1:35497536:35503933:-1 gene:TCM_005187 transcript:EOX95763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MAESTKVRLVRCPKCENLLPELADYSVYQCGGCGAVLRAKVRNREADTFSEKSEEDRLGGVSTKSQISSEKGIVDSSDASDTDVKSSAGSLMCDQKDPEKNDVDCADRSRTESKVAGDKWSVENGNDVSRNKDEIVNAIGRRQEDLDSNFGYTGGSQGLGHKSDWQSRKQEEMEESQRIPRVVVEGVRLSTSNNPDEGPSNHNLDSSYGYSEPLRNRTDQDGPSRIQLEQDRAELLRKLDELKEQLSRSCDVVEKPNEKVPLDGRVVPPEPHGGADTWFPNVSSGSRNASMPFYGPDKRAAGAGPSYFSHFPEPFSYPVGHDMTRHGLYPPMHNPNHIPPYGDPFGPQILGRAPHQLPGEYQQQPPHTYFSGQYIENNHDPFMSYPQSSVLHHASCSCFHCYEKHRRVPAPVPPSAFGNKRFPDVPSNPMYHIENPGTFGSHFHNSRTTMPPPLNVRGTQVHARWPSDINTEIGGFVRCRPQRVVLASGGRHFRPIAGGAPFITCYNCFELLQMPRKLQLIVKNEHKLRCGACSTVINFTVVNKKLVLCDHAETKGISVEVDDSSNEVVNDNSSHFRGRVNRIANFSSDDYDHSGYDFQSMDREPVALSMGQALNSVRPQELQNFHSSSPSTSEDENSPDVLIASRDEVNSVEQPIKPTLSPPPAGSPLQEHFDYSSNNRAVNRFGKGNRSSRSDQEKVMSNKATTRQNSLKEASLPTEMEVSFNDYSNTGISQDSGDATREDDQLKMTKGGESFFANIIKRSFKDFSRSNQTEERGKSNISVNGHPIPERVVKKAEKMAGPIHPGQYWYDFRAGFWGILGGPCLGIILHLSKNLTIRCQKIVS >EOX90813 pep chromosome:Theobroma_cacao_20110822:1:701122:705554:-1 gene:TCM_000181 transcript:EOX90813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence/dehydration-associated protein-related isoform 3 MASQNPNQKSSLYPEVTQSKPDIPQYSSSNNLYPSLDMRDLVENLFPDPPNYSVNRHSDHTDSPHAPTAPPQAVEEVLIKIPGAILNLIDKSYSVELACGDFTVIRLWQGENIVAVLVRVAEEIQWPLMKNETAVKLDDSHYFFSLQLDKEAENDSSDSDDDDKKRKTKTSGEGSCLLNYGLTFASKGQEYLLRELDVILQSYSCFTVQKVEKKGEEVLDGAVAAAKEMSPADLKSETKKEVMEEKCAAYWTTLAPNVEDYSGRAAKVIAAGSGHLIKGILWCGDVTMDRLNKGNEVLKKRMSPAEKNAEISPETLKRIKRVKRVTKMTQKAANGVLSGVVKVSGFVTSSVANSKVGKKFFSLLPGEIVLASLDGLYGEKAAEATNEGLGAAGHAVGTAWAAFKLRRALNPKSVLKPSSLAKSAAKAAAAELKGKSSK >EOX90814 pep chromosome:Theobroma_cacao_20110822:1:701385:705598:-1 gene:TCM_000181 transcript:EOX90814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence/dehydration-associated protein-related isoform 3 MASQNPNQKSSLYPEVTQSKPDIPQYSSSNNLYPSLDMRDLVENLFPDPPNYSVNRHSDHTDSPHAPTAPPQAVEEVLIKIPGAILNLIDKSYSVELACGDFTVIRLWQGENIVAVLVRVAEEIQWPLMKNETAVKLDDSHYFFSLQLDKEAENDSSDSDDDDKKRKTKTSGEGSCLLNYGLTFASKGQEYLLRELDVILQSYSCFTVQKVEKKGEEVLDGAVAAAKEMSPADLKSETKKEVMEEKCAAYWTTLAPNVEDYSGRAAKVIAAGSGHLIKGILWCGDVTMDRLNKGNEVLKKRMSPAEKNAEISPETLKRIKRVKRVTKMTQKAANGVLSGVVKVSGFVTSSVANSKVGKKFFSLLPGEIVLASLDGFNRICDAVEVAGKNVMSTSSTVTTELVNHKYGEKAAEATNEGLGAAGHAVGTAWAAFKLRRALNPKSVLKPSSLAKSAAKAAAAELKGKSSK >EOX90815 pep chromosome:Theobroma_cacao_20110822:1:701087:705626:-1 gene:TCM_000181 transcript:EOX90815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence/dehydration-associated protein-related isoform 3 MASQNPNQKSSLYPEVTQSKPDIPQYSSSNNLYPSLDMRDLVENLFPDPPNYSVNRHSDHTDSPHAPTAPPQAVEEVLIKIPGAILNLIDKSYSVELACGDFTVIRLWQGENIVAVLVRVAEEIQWPLMKNETAVKLDDSHYFFSLQLDKEAENDSSDSDDDDKKRKTKTSGEGSCLLNYGLTFASKGQEYLLRELDVILQSYSCFTVQKVEKKGEEVLDGAVAAAKEMSPADLKSETKKEVMEEKCAAYWTTLAPNVEDYSGRAAKVIAAGSGHLIKGILWCGDVTMDRLNKGNEVLKKRMSPAEKNAEISPETLKRIKRVKRVTKMTQKAANGVLSGVVKVSGFVTSSVANSKVGKKFFSLLPGEIVLASLDGFMLIAVLQICE >EOX90812 pep chromosome:Theobroma_cacao_20110822:1:701754:705503:-1 gene:TCM_000181 transcript:EOX90812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence/dehydration-associated protein-related isoform 3 MASQNPNQKSSLYPEVTQSKPDIPQYSSSNNLYPSLDMRDLVENLFPDPPNYSVNRHSDHTDSPHAPTAPPQAVEEVLIKIPGAILNLIDKSYSVELACGDFTVIRLWQGENIVAVLVRVAEEIQWPLMKNETAVKLDDSHYFFSLQLDKEAENDSSDSDDDDKKRKTKTSGEGSCLLNYGLTFASKGQEYLLRELDVILQSYSCFTVQKVEKKGEEVLDGAVAAAKEMSPADLKSETKKEVMEEKCAAYWTTLAPNVEDYSGRAAKVIAAGSGHLIKGILWCGDVTMDRLNKGNEVLKKRMSPAEKNAEISPETLKRIKRVKRVTKMTQKAANGVLSGVVKVSGFVTSSVANSKVGKKFFSLLPGEIVLASLDGFSGTEALDCIESLGLGFHSLCLMVIGSEPYYNLEVTLAEFDKLVNWSPVAIWDCYPSTVNKLLPFCAILNADRICDAVEVAGKNVMSTSSTVTTELVNHKYGEKAAEATNEGLGAAGHAVGTAWAAFKLRRALNPKSVLKPSSLAKSAAKAAAAELKGKSSK >EOX94645 pep chromosome:Theobroma_cacao_20110822:1:30668851:30670703:-1 gene:TCM_004275 transcript:EOX94645 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MEGLNDPGPPPFLTKTFDMVDDPDTNYIVCWSRGGSSFVVWDPHSFSTNLLPRYFKHNNFSSFVRQLNTYGFRKIDPDKWEFTNEGFLRGQKHLLKSIRRRKTTSQPPPSQQALGPCVEVGRFGLDGEVDRLRRDKQVLVMELVKLRQQQQNTRAYLQAVEERLQGTEKKQKQMMSFLARAMQNPAFLQQLMQQKEKRKELEEAMSKKRRRPIDQGPSGVAVGESIRGSEGTNHVKTEPLEFGDYGFQVTELEALALEMQGYGRARTEQEEAQDELEHRQCHDKELDEGFWEELLNERFEGELDIPGTEGGEDEDVNVLADRLGYLGSCPK >EOX94643 pep chromosome:Theobroma_cacao_20110822:1:30667387:30670719:-1 gene:TCM_004275 transcript:EOX94643 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MEGLNDPGPPPFLTKTFDMVDDPDTNYIVCWSRGGSSFVVWDPHSFSTNLLPRYFKHNNFSSFVRQLNTYGFRKIDPDKWEFTNEGFLRGQKHLLKSIRRRKTTSQPPPSQQALGPCVEVGRFGLDGEVDRLRRDKQVLVMELVKLRQQQQNTRAYLQAVEERLQGTEKKQKQMMSFLARAMQNPAFLQQLMQQKEKRKELEEAMSKKRRRPIDQGPSGVAVGESIRGSEGTNHVKTEPLEFGDYGFQVTELEALALEMQGYGRARTEQEEAQDELEHRQCHDKELDEGFWEELLNERFEGELDIPGTEGGEDEDVNVLADRLGYLGSCPK >EOX94647 pep chromosome:Theobroma_cacao_20110822:1:30668251:30671169:-1 gene:TCM_004275 transcript:EOX94647 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MNPYFSVKEEYPSSSYSQPEDDPPMMLPPQPMEGLNDPGPPPFLTKTFDMVDDPDTNYIVCWSRGGSSFVVWDPHSFSTNLLPRYFKQVLVMELVKLRQQQQNTRAYLQAVEERLQGTEKKQKQMMSFLARAMQNPAFLQQLMQQKEKRKELEEAMSKKRRRPIDQGPSGVAVGESIRGSEGTNHVKTEPLEFGDYGFQVTELEALALEMQGYGRARTEQEEAQDELEHRQCHDKELDEGFWEELLNERFEGELDIPGTEGGEDEDVNVLADRLGYLGSCPK >EOX94641 pep chromosome:Theobroma_cacao_20110822:1:30668851:30670796:-1 gene:TCM_004275 transcript:EOX94641 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MNPYFSVKEEYPSSSYSQPEDDPPMMLPPQPMEGLNDPGPPPFLTKTFDMVDDPDTNYIVCWSRGGSSFVVWDPHSFSTNLLPRYFKHNNFSSFVRQLNTYGFRKIDPDKWEFTNEGFLRGQKHLLKSIRRRKTTSQPPPSQQALGPCVEVGRFGLDGEVDRLRRDKQVLVMELVKLRQQQQNTRAYLQAVEERLQGTEKKQKQMMSFLARAMQNPAFLQQLMQQKEKRKELEEAMSKKRRRPIDQGPSGVAVGESIRGSEGTNHVKTEPLEFGDYGFQVTELEALALEMQGYGRARTEQEEAQDELEHRQCHDKELDEGFWEELLNERFEGELDIPGTEGGEDEDVNVLADRLGYLGSCPK >EOX94646 pep chromosome:Theobroma_cacao_20110822:1:30668851:30670796:-1 gene:TCM_004275 transcript:EOX94646 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MNPYFSVKEEYPSSSYSQPEDDPPMMLPPQPMEGLNDPGPPPFLTKTFDMVDDPDTNYIVCWSRGGSSFVVWDPHSFSTNLLPRYFKHNNFSSFVRQLNTYGFRKIDPDKWEFTNEGFLRGQKHLLKSIRRRKTTSQPPPSQQALGPCVEVGRFGLDGEVDRLRRDKQVLVMELVKLRQQQQNTRAYLQAVEERLQGTEKKQKQMMSFLARAMQNPAFLQQLMQQKEKRKELEEAMSKKRRRPIDQGPSGVAVGESIRGSEGTNHVKTEPLEFGDYGFQVTELEALALEMQGYGRARTEQEEAQDELEHRQCHDKELDEGFWEELLNERFEGELDIPGTEGGEDEDVNVLADRLGYLGSCPK >EOX94640 pep chromosome:Theobroma_cacao_20110822:1:30667917:30671241:-1 gene:TCM_004275 transcript:EOX94640 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MNPYFSVKEEYPSSSYSQPEDDPPMMLPPQPMEGLNDPGPPPFLTKTFDMVDDPDTNYIVCWSRGGSSFVVWDPHSFSTNLLPRYFKHNNFSSFVRQLNTYGFRKIDPDKWEFTNEGFLRGQKHLLKSIRRRKTTSQPPPSQQALGPCVEVGRFGLDGEVDRLRRDKQVLVMELVKLRQQQQNTRAYLQAVEERLQGTEKKQKQMMSFLARAMQNPAFLQQLMQQKEKRKELEEAMSKKRRRPIDQGPSGVAVGESIRGSEGTNHVKTEPLEFGDYGFQVTELEALALEMQGYGRARTEQEEAQDELEHRQCHDKELDEGFWEELLNERFEGELDIPGTEGGEDEDVNVLADRLGYLGSCPK >EOX94644 pep chromosome:Theobroma_cacao_20110822:1:30667911:30671239:-1 gene:TCM_004275 transcript:EOX94644 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MNPYFSVKEEYPSSSYSQPEDDPPMMLPPQPMEGLNDPGPPPFLTKTFDMVDDPDTNYIVCWSRGGSSFVVWDPHSFSTNLLPRYFKHNNFSSFVRQLNTYGFRKIDPDKWEFTNEGFLRGQKHLLKSIRRRKTTSQPPPSQQALGPCVEVGRFGLDGEVDRLRRDKQVLVMELVKLRQQQQNTRAYLQAVEERLQGTEKKQKQMMSFLARAMQNPAFLQQLMQQKEKRKELEEAMSKKRRRPIDQGPSGVAVGESIRGSEGTNHVKTEPLEFGDYGFQVTELEALALEMQGYGRARTEQEEAQDELEHRQCHDKELDEGFWEELLNERFEGELDIPGTEGGEDEDVNVLADRLGYLGSCPK >EOX94642 pep chromosome:Theobroma_cacao_20110822:1:30668851:30670703:-1 gene:TCM_004275 transcript:EOX94642 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MEGLNDPGPPPFLTKTFDMVDDPDTNYIVCWSRGGSSFVVWDPHSFSTNLLPRYFKHNNFSSFVRQLNTYGFRKIDPDKWEFTNEGFLRGQKHLLKSIRRRKTTSQPPPSQQALGPCVEVGRFGLDGEVDRLRRDKQVLVMELVKLRQQQQNTRAYLQAVEERLQGTEKKQKQMMSFLARAMQNPAFLQQLMQQKEKRKELEEAMSKKRRRPIDQGPSGVAVGESIRGSEGTNHVKTEPLEFGDYGFQVTELEALALEMQGYGRARTEQEEAQDELEHRQCHDKELDEGFWEELLNERFEGELDIPGTEGGEDEDVNVLADRLGYLGSCPK >EOX94634 pep chromosome:Theobroma_cacao_20110822:1:30636287:30647293:1 gene:TCM_004269 transcript:EOX94634 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative isoform 4 MEDSLRIQRFQQLPSATEFASQIESRNVPAVFVGCVKGWEAVAKWNPSSGGLEYLEDRVGSFMVEAMVSRTAPVFYGDLRSHERVPLPFSTFIGFCKQHRQNVGNSCSFNSNSGGHQPGESDTEQGYSPFVDAAPQQMYLAQVPIINAENEDRVQLETLREDIQMPAILEEKNLSSVNLWMNNAQARSSAHYDPHNNLLCIVTGRKQVVLWPPSASPMLYPMPIYGEASNHSSVALENPDFSVHPRAKYSMQYSKKVILHAGDALFIPEGWFHQVDSDDFTIAVNYWWRSSIISSLADHMDAYYLRRILRRLTDREMDQVLLQGSTAMWRQERNISELPCKKAQLPQNFGSSDTALAFTSWGRSPYTKI >EOX94632 pep chromosome:Theobroma_cacao_20110822:1:30636186:30648259:1 gene:TCM_004269 transcript:EOX94632 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative isoform 4 MEDSLRIQRFQQLPSATEFASQIESRNVPAVFVGCVKGWEAVAKWNPSSGGLEYLEDRVGSFMVEAMVSRTAPVFYGDLRSHERVPLPFSTFIGFCKQHRQNVGNSCSFNSNSGGHQPGESDTEQGYSPFVDAAPQQMYLAQVPIINAENEDRVQLETLREDIQMPAILEEKNLSSVNLWMNNAQARSSAHYDPHNNLLCIVTGRKQVVLWPPSASPMLYPMPIYGEASNHSSVALENPDFSVHPRAKYSMQYSKKVILHAGDALFIPEGWFHQVDSDDFTIAVNYWWRSSIISSLADHMDAYYLRRILRRLTDREMDQVLLQGSTAMWRQERNISELPCKKDHEDHSLDQANLRKNLKGKEQQHSLLMLELKPYALQALHELVALVHDHVNASDQNQPVLSTSRDGLTCYVTDGQHKTLTTEIFHIEDDPVAKILWILEPGMFQDIFLAMAVSLHSPINIVTLSVCAWNFH >EOX94631 pep chromosome:Theobroma_cacao_20110822:1:30636186:30650270:1 gene:TCM_004269 transcript:EOX94631 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative isoform 4 MEDSLRIQRFQQLPSATEFASQIESRNVPAVFVGCVKGWEAVAKWNPSSGGLEYLEDRVGSFMVEAMVSRTAPVFYGDLRSHERVPLPFSTFIGFCKQHRQNVGNSCSFNSNSGGHQPGESDTEQGYSPFVDAAPQQMYLAQVPIINAENEDRVQLETLREDIQMPAILEEKNLSSVNLWMNNAQARSSAHYDPHNNLLCIVTGRKQVVLWPPSASPMLYPMPIYGEASNHSSVALENPDFSVHPRAKYSMQYSKKVILHAGDALFIPEGWFHQVDSDDFTIAVNYWWRSSIISSLADHMDAYYLRRILRRLTDREMDQVLLQGSTAMWRQERNISELPCKKDHEDHSLDQANLRKNLKGKEQQHSLLMLELKPYALQALHELVALVHDHVNASDQNQPVLSTSRDGLTCYVTDGQHKTLTTEIFHIEDDPVAKILWILEPGMFQDIFLAMAHNFPKTLEALILHLLSPVGAEVLTRKFDEIDQQNTEEDRNKFYQVFYGVFDNQFAAMDAILNGKESFARQAFKNVLDKYLGGNFDVPKVCVGRDIP >EOX94633 pep chromosome:Theobroma_cacao_20110822:1:30636186:30648259:1 gene:TCM_004269 transcript:EOX94633 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative isoform 4 MEDSLRIQRFQQLPSATEFASQIESRNVPAVFVGCVKGWEAVAKWNPSSGGLEYLEDRVGSFMVEAMVSRTAPVFYGDLRSHERVPLPFSTFIGFCKQHRQNVGNSCSFNSNSGGHQPGESDTEQGYSPFVDAAPQQMYLAQVPIINAENEDRVQLETLREDIQMPAILEEKNLSSVNLWMNNAQARSSAHYDPHNNLLCIVTGRKQVVLWPPSASPMLYPMPIYGEASNHSSVALENPDFSVHPRAKYSMQYSKKVILHAGDALFIPEGWFHQVDSDDFTIAVNYWWRSSIISSLADHMDAYYLRRILRRLTDREMDRTKYFFRVLRLCGDRRGIYLSCHVRKHNFPKTLEALILHLLSPVGAEVLTRKFDEIDQQNTEEDRNKFYQVFYGVFDNQFAAMDAILNGKESFARQAFKNVLDKYLGGNFDVPKVCVGRDIP >EOX91893 pep chromosome:Theobroma_cacao_20110822:1:4394255:4401647:-1 gene:TCM_000957 transcript:EOX91893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Kinesin motor domain isoform 5 MDDSQLNAFLQNPDTLFTNPSIPRVFDWEKNDMALNQDKPSSAMDEDEEESSVDSMLCDSNSRLIPFGFSKSNCTDEIMMFINAGGEALNEADSRMKFLGDSYFEGGNVMQTNEHIAEAGDCPFIYQSARFGSFCYRFNNFPPGDYFVDLHFAEIINTNGPKGMRVFNVYMQEEKVLSDFDIFSVVGANKPLQVDDLRVSVKEDGLIAMRFEGVIGSPIVCGICVRKAQNIPVPQASQEYLKCNNCAADIEVSSAQKKLMRTKVTDKYEKKIQELTTQCQLKTHECHEAWMSLTAANEQLEKVRMELDNKIFQTRTLDETVGKQAENLKNITSRYGHDKKYWAAAVHDLQEKIMIMKNEHAQLSHDAHACAESIPELNKMVTGIQALVAQSEDLKLKYSEEQAKRKELYNQIQETKGNIRVFCRCRPLSKEEISAGCALVVDFDAAKDGDLGILTGGSTKKTFKFDQVYTPKDNQVDVFADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTDQNRGVNYRTLEQLFQIAKERSETFMYNISVSVLEVYNEQIRDLLSTSPTSKRLEIKQSAEGFHHVPGIIEAKVDNIKEVWNVLQIGSNSRAVGSTNVNEHSSRSHCILHIMVKSKNLMTGDCTKSKLWLVDLAGSERLAKTDAQGERLKEAQNINKSLSALGDVIYALATKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSERDLSETLSSLNFATRVRGIELGPAKRQVDTSELQKMKTMLDKARQESRSKDESLRKLEESLQNLESKAKGRDQVYKTQQEKIKELECQLELKTSMQNQSEKQVSQLSDRLKGREEICTGLQQKVKELETKLKERQQSESASYQQKVNYFENKLKEQVQESEASSLALQLKIKELERKLKEQEQNPESILLRQKIKELEDRLREQEQQLQCALARDFGDVMRATPNEGKCRRDDEFMSEAEPHILRSSNSISRPLSQGYKQPRVSDSLHETRRKRYSRSGETENNMVMSASLNDKRARKSDPPKIARVVRTAKPVTGAAQGPLTHKRINRDQVQAAKERDTKKKIWSR >EOX91896 pep chromosome:Theobroma_cacao_20110822:1:4394278:4401370:-1 gene:TCM_000957 transcript:EOX91896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Kinesin motor domain isoform 5 MDDSQLNAFLQNPDTLFTNPSIPRVFDWEKNDMALNQDKPSSAMDEDEEESSVDSMLCDSNSRLIPFGFSKSNCTDEIMMFINAGGEALNEADSRMKFLGDSYFEGGNVMQTNEHIAEAGDCPFIYQSARFGSFCYRFNNFPPGDYFVDLHFAEIINTNGPKGMRVFNVYMQEEKVLSDFDIFSVVGANKPLQVDDLRVSVKEDGLIAMRFEGVIGSPIVCGICVRKAQNIPVPQASQEYLKCNNCAADIEVSSAQKKLMRTKVTDKYEKKIQELTTQCQLKTHECHEAWMSLTAANEQLEKVRMELDNKIFQTRTLDETVGKQAENLKNITSRYGHDKKYWAAAVHDLQEKIMIMKNEHAQLSHDAHACAESIPELNKMVTGIQALVAQSEDLKLKYSEEQAKRKELYNQIQETKGNIRVFCRCRPLSKEEISAGCALVVDFDAAKDGDLGILTGGSTKKTFKFDQVYTPKDNQVDVFADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTDQNRGVNYRTLEQLFQIAKERSETFMYNISVSVLEVYNEQIRDLLSTSPTSKRLEIKQSAEGFHHVPGIIEAKVDNIKEVWNVLQIGSNSRAVGSTNVNEHSSRSHCILHIMVKSKNLMTGDCTKSKLWLVDLAGSERLAKTDAQGERLKEAQNINKSLSALGDVIYALATKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSERDLSETLSSLNFATRVRGIELGPAKRQVDTSELQKMKTMLDKARQESRSKDESLRKLEESLQNLESKAKGRDQVYKTQQEKIKELECQLELKTSMQNQSEKQVSQLSDRLKGREEICTGLQQKVD >EOX91894 pep chromosome:Theobroma_cacao_20110822:1:4394930:4401275:-1 gene:TCM_000957 transcript:EOX91894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Kinesin motor domain isoform 5 MDDSQLNAFLQNPDTLFTNPSIPRVFDWEKNDMALNQDKPSSAMDEDEEESSVDSMLCDSNSRLIPFGFSKSNCTDEIMMFINAGGEALNEADSRMKFLGDSYFEGGNVMQTNEHIAEAGDCPFIYQSARFGSFCYRFNNFPPGDYFVDLHFAEIINTNGPKGMRVFNVYMQEEKVLSDFDIFSVVGANKPLQVDDLRVSVKEDGLIAMRFEGVIGSPIVCGICVRKAQNIPVPQASQEYLKCNNCAADIEVSSAQKKLMRTKVTDKYEKKIQELTTQCQLKTHECHEAWMSLTAANEQLEKVRMELDNKIFQTRTLDETVGKQAENLKNITSRYGHDKKYWAAAVHDLQEKIMIMKNEHAQLSHDAHACAESIPELNKMVTGIQALVAQSEDLKLKYSEEQAKRKELYNQIQETKGNIRVFCRCRPLSKEEISAGCALVVDFDAAKDGDLGILTGGSTKKTFKFDQVYTPKDNQVDVFADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTDQNRGVNYRTLEQLFQIAKERSETFMYNISVSVLEVYNEQIRDLLSTSPTSKRLEIKQSAEGFHHVPGIIEAKVDNIKEVWNVLQIGSNSRAVGSTNVNEHSSRSHCILHIMVKSKNLMTGDCTKSKLWLVDLAGSERLAKTDAQGERLKEAQNINKSLSALGDVIYALATKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSERDLSETLSSLNFATRVRGIELGPAKRQVDTSELQKMKTMLDKARQESRSKDESLRKLEESLQNLESKAKGRDQVYKTQQEKIKELECQLELKTSMQNQSEKQVSQLSDRLKGREEICTGLQQKVKELETKLKERQQSESASYQQKEGKLMTVSCLIQTG >EOX91895 pep chromosome:Theobroma_cacao_20110822:1:4395021:4400555:-1 gene:TCM_000957 transcript:EOX91895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Kinesin motor domain isoform 5 MMFINAGGEALNEADSRMKFLGDSYFEGGNVMQTNEHIAEAGDCPFIYQSARFGSFCYRFNNFPPGDYFVDLHFAEIINTNGPKGMRVFNVYMQEEKVLSDFDIFSVVGANKPLQVDDLRVSVKEDGLIAMRFEGVIGSPIVCGICVRKAQNIPVPQASQEYLKCNNCAADIEVSSAQKKLMRTKVTDKYEKKIQELTTQCQLKTHECHEAWMSLTAANEQLEKVRMELDNKIFQTRTLDETVGKQAENLKNITSRYGHDKKYWAAAVHDLQEKIMIMKNEHAQLSHDAHACAESIPELNKMVTGIQALVAQSEDLKLKYSEEQAKRKELYNQIQETKGNIRVFCRCRPLSKEEISAGCALVVDFDAAKDGDLGILTGGSTKKTFKFDQVYTPKDNQVDVFADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTDQNRGVNYRTLEQLFQIAKERSETFMYNISVSVLEVYNEQIRDLLSTSPTSKRLEIKQSAEGFHHVPGIIEAKVDNIKEVWNVLQIGSNSRAVGSTNVNEHSSRSHCILHIMVKSKNLMTGDCTKSKLWLVDLAGSERLAKTDAQGERLKEAQNINKSLSALGDVIYALATKSSHIPYSRNSKLTHLLQDSLGGDSKTLMFVQISPSERDLSETLSSLNFATRVRGIELGPAKRQVDTSELQKMKTMLDKARQESRSKDESLRKLEESLQNLESKAKGRDQVYKTQQEKIKELECQLELKTSMQNQSEKQVSQLSDRLKGREEICTGLQQKVKELETKLKERQQSESASYQQKVNYFENKLKEQVQESEASSLALQLKIKELERKLKEQEQNPESILLRQKIKELEDRLREQEQQLQCALARDFGDVMRATPNEGKCRRDDEFMSEAEPHILRSSNSISRPLSQGYKQPRVSDSLHETRRKRYSRSGETENNMVMSASLNDKRARKSDPPKIARVVRTAKPVTGAAQGPLTHKRINRDQVQAAKERDTKKKIWSR >EOX91897 pep chromosome:Theobroma_cacao_20110822:1:4396575:4401370:-1 gene:TCM_000957 transcript:EOX91897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Kinesin motor domain isoform 5 TQNQTHPIFQLLFLFFLFLHISTKNPRKISSIFSATKHLISQMDDSQLNAFLQNPDTLFTNPSIPRVFDWEKNDMALNQDKPSSAMDEDEEESSVDSMLCDSNSRLIPFGFSKSNCTDEIMMFINAGGEALNEADSRMKFLGDSYFEGGNVMQTNEHIAEAGDCPFIYQSARFGSFCYRFNNFPPGDYFVDLHFAEIINTNGPKGMRVFNVYMQEEKVLSDFDIFSVVGANKPLQVDDLRVSVKEDGLIAMRFEGVIGSPIVCGICVRKAQNIPVPQASQEYLKCNNCAADIEVSSAQKKLMRTKVTDKYEKKIQELTTQCQLKTHECHEAWMSLTAANEQLEKVRMELDNKIFQTRTLDETVGKQAENLKNITSRYGHDKKYWAAAVHDLQEKIMIMKNEHAQLSHDAHACAESIPELNKMVTGIQALVAQSEDLKLKYSEEQAKRKELYNQIQETKGNIRVFCRCRPLSKEEISAGCALVVDFDAAKDGDLGILTGGSTKKTFKFDQVYTPKDNQVDVFADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTDQNRGVNYRTLEQLFQIAKERSETFMYNISVSVLEVYNEQIRDLLSTSPTSKRLEIKQSAEGFHHVPGIIEAKVDNIKEVWNVLQIGSNSRAVGSTNVNEHSSRSHCILHIMVKSKNLMTGDCTKSKLWLVDLAGSERLAKTDAQGERLKEAQNINKSLSALGDVIYALATKSSHIPYRNSKLTHLLQDSLGNIWVIQTVVVLKRVNSRGDSKTLMFVQISPSERDLSETLSSLNFATRVRGIELGPAKRQVDTSELQKMKTMLDKARQESRSKDESLRKLEESLQNLESKAKGRDQVYKTQQEKIKELECQLELKTSMQNQSEKQVSQLSDRLKGREEICTGLQQK >EOX95201 pep chromosome:Theobroma_cacao_20110822:1:33300414:33301761:-1 gene:TCM_004752 transcript:EOX95201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSRLATTASTSYLALYAAQDQTLFRRIAWGAAIKGRTADPTIHSGEVEAGPDVHRGEPQGIENSSDGHMLHEFRTETEHKPGYGTEPLMQPNMPHDSSPRLKSSPVNHPLEPNVQQRRSVSAAALEGVSCAGLDGTPWPESKENEQSDEREKELDNKEYYSHHKASPLSEIKVADTRNPITRATDGTVTEVVQNRRDVIGWRPEQLDTAEEALLRATKIWKENAMRGIPEAPHSRILRELRGEWF >EOX91785 pep chromosome:Theobroma_cacao_20110822:1:3854204:3861928:-1 gene:TCM_000863 transcript:EOX91785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain amino acid aminotransferase 5 / branched-chain amino acid transaminase 5 (BCAT5) MESSAVLSSLQPTCLICPSRSSSSSSAAAAAAAAFLPQRTRFSPSQLQKQILASAYSRNVNEANYPFRRAAVLSDSYSTEASELADIEWDNLGFGFLPTDYMYMMKCTRGGNFSKGELQQFGKIELSPSAGVLNYGQGLFEGLKAYRKEDGNILLFRPEENALRMRLGAERMCMPSPTVEQFVEAVKATVLANKRWVPPSGKGSLYIRPLLMGSGAVLGLAPAPEYTFLIYVSPVGNYFKEGVAPIHLIVENELHRATPGGTGGVKTIGNYAAVLKAQSVAKAKGYSDVLYLDCVHKKYLEEVSSCNIFVVKGNVISTPAIKGTILPGITRKSIIDVARSRGFQVEERLVPVEELLDADEVFCTGTAVVVSPVGSITYKGERVSYGADGFGAVSQQLYSVLTRLQMGLIEDKMDWTVELS >EOX93629 pep chromosome:Theobroma_cacao_20110822:1:14446997:14447314:-1 gene:TCM_002519 transcript:EOX93629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMSTGIILVKITNKGDDLIRNEAVINFMIHEPCDTANASSPCMVKGKCSKFVPKQCPFEIVLNGNGFVVYRRREVIDKSCVTMINGVELDNKFVVVYNVDLVVKY >EOX93630 pep chromosome:Theobroma_cacao_20110822:1:14446641:14452096:-1 gene:TCM_002519 transcript:EOX93630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMSFSMGQCAVVRNWNVMDTVNCEIAHYDLNSQSSICFNYISGTCDFDFENLYDVPIIDDLLAKTSGTWNFNFEICMMCPLWIISLQKALLQPVVGEEEMVFKIDVQFCTTLA >EOX94197 pep chromosome:Theobroma_cacao_20110822:1:25273208:25283715:-1 gene:TCM_003654 transcript:EOX94197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTNIVEIAMAYGNSMEAMLPDVIMCELTGIVSKAFSRLNGSFPEVSDSGSDRGGVVTKVLSGFEMPPETRAVIEKILK >EOX92208 pep chromosome:Theobroma_cacao_20110822:1:5718859:5727417:-1 gene:TCM_001189 transcript:EOX92208 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: mitochondrion; EXPRESSED IN: 26 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Mitochondrial inner membrane protein Mit /.../ (InterPro:IPR019133); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G39690) TAIR;Acc:AT4G39690] RSSPLVYSRKEFSTSPGKNATPKPRPPESKSGLSKVVLGSAIIGGAVLVAYRAGYLDQYLGENPKVPIDSKKIGFDEKDEKDIQVVSVDLPEQKVPTHIDLPPQPETSSETQGEIQFTVEDKSNETLGENIKPVPEKELPEYSPSSLPSADHSADAAVSAEGNLKKVESETTTIPNKEIQDTPVDNQSSVSLGETKTVPSPTTADRQQDEPSKGVELPSLAPEESQIKTVPSLHPTTADIAEDKPSKDIEAPSSLLDAYHLREKADESYLTSLNRKYEQLSKETEAFGTAIEELNEGYLSKDGKLILDFLQAIHAAEKRQAELDVHAFAEEKRAMKEKYEKELRDLRARELMRTEEAAILDKELKRERTKAAAAIKSLQEKMEEKLKMEIEEKEREAEMKLQKAQELGKAELAAAIASEKAAQIEKMAEANLHAHIATWLKVKEVDHSGEGIESVISTVDNYLAEGKLAEAAAALEQGVKGSQAEEIVGDWVKRVRNRAITEQALAVLQSYATCISLT >EOX92207 pep chromosome:Theobroma_cacao_20110822:1:5718120:5728050:-1 gene:TCM_001189 transcript:EOX92207 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: mitochondrion; EXPRESSED IN: 26 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Mitochondrial inner membrane protein Mit /.../ (InterPro:IPR019133); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G39690) TAIR;Acc:AT4G39690] MVPRCQCHDTAQFQLQVPSPPLRRFALGVSPPQESDRDSSLHRNAPKSTSPENNLFQCQRFKPQSSSSCKTLTSSPSHFLKHLHRNLNSKSLLKKKKTGGMLRRSILELSHRRRTPRQIVSQRSSPLVYSRKEFSTSPGKNATPKPRPPESKSGLSKVVLGSAIIGGAVLVAYRAGYLDQYLGENPKVPIDSKKIGFDEKDEKDIQVVSVDLPEQKVPTHIDLPPQPETSSETQGEIQFTVEDKSNETLGENIKPVPEKELPEYSPSSLPSADHSADAAVSAEGNLKKVESETTTIPNKEIQDTPVDNQSSVSLGETKTVPSPTTADRQQDEPSKGVELPSLAPEESQIKTVPSLHPTTADIAEDKPSKDIEAPSSLLDAYHLREKADESYLTSLNRKYEQLSKETEAFGTAIEELNEGYLSKDGKLILDFLQAIHAAEKRQAELDVHAFAEEKRAMKEKYEKELRDLRARELMRTEEAAILDKELKRERTKAAAAIKSLQEKMEEKLKMEIEEKEREAEMKLQKAQELGKAELAAAIASEKAAQIEKMAEANLHINALCMAFYARSEEARKSHSVHKLALGALALEDALSKGLPIQKEIDALRTYLEGIEKDSVLDLVLSSLPEETRYRGTDTLLELNQKFNSLKGTLRHFSLIPPGGGGILTHSLAHIATWLKVKEVDHSGEGIESVISTVDNYLAEGKLAEAAAALEQGVKGSQAEEIVGDWVKRVRNRAITEQALAVLQSYATCISLT >EOX93199 pep chromosome:Theobroma_cacao_20110822:1:11124209:11129458:1 gene:TCM_002031 transcript:EOX93199 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRS transcription factor family, putative isoform 3 MEIDLEVPSKEQEKLIPGSNETDDVRDAEDEIDVEDNDENPPTTSEHAEEACEPNLIESFTGCEDQVDVTTVEADVCEGTILEPQNGLEFESKEAAYSFYREYARSVGFGITIISSRRSKRSGKFIDVKVACSRFGSKRESSTILNPRSCPKTGCKARMHMKRRQDEKWIIHSFVKEHNHEICPDDFYYAIRGRNKQSGAVACQKKGLQLALDEKDVELMLDHFMCMQDENPDFFYAIDLDNEKSARSVFWVDAKGRHSYSHFGDVVFFDTFFIRNKYKIPYIPIIGVNHHFQYMLLGCALIGDHAMSAFVWLMRSWLKAMGGQAPKVIITDQEKVLNEAVVDVFSDSRHCFCLWHVLSKFSENLGCIMNQNESFMTKFNKCIYRSWTHEQFEKRWCRMVDKFELKEHEWVLSLYNDRKKWVPTYMRDTFLAGISTTERSKSFASFFDKFMHKEATFNEFIEQLKSFSLELYEVEAKADFETQNKQPELRSLSAFEKQMSMIYTDTIFKKFQVEILGVVSCHLQKESEDEDTVVFRVDDFEERQNFFVAWNKREFDICCLCRSFEYRGFLCKHAILVLQMSGVSDIPSRYILKRWTKEAKIRENLGEISNRLHFRVQRFNDLCKRAIKLGVQGCLSEEAYTIALQALEEALKHCVGVNNSAKSVFEPNILSVHGFLEIEAENRWNTTAKSSKKKKMYKRRKVRTGPWTPLIFTALHQSISMISTYPNSCNRSISVHLCDEVDINNCLHIIILVGLNNIFIP >EOX93196 pep chromosome:Theobroma_cacao_20110822:1:11125759:11127921:1 gene:TCM_002031 transcript:EOX93196 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRS transcription factor family, putative isoform 3 MEIDLEVPSKEQEKLIPGSNETDDVRDAEDEIDVEDNDENPPTTSEHAEEACEPNLIESFTGCEDQVDVTTVEADVCEGTILEPQNGLEFESKEAAYSFYREYARSVGFGITIISSRRSKRSGKFIDVKVACSRFGSKRESSTILNPRSCPKTGCKARMHMKRRQDEKWIIHSFVKEHNHEICPDDFYYAIRGRNKQSGAVACQKKGLQLALDEKDVELMLDHFMCMQDENPDFFYAIDLDNEKSARSVFWVDAKGRHSYSHFGDVVFFDTFFIRNKYKIPYIPIIGVNHHFQYMLLGCALIGDHAMSAFVWLMRSWLKAMGGQAPKVIITDQEKVLNEAVVDVFSDSRHCFCLWHVLSKFSENLGCIMNQNESFMTKFNKCIYRSWTHEQFEKRWCRMVDKFELKEHEWVLSLYNDRKKWVPTYMRDTFLAGISTTERSKSFASFFDKFMHKEATFNEFIEQLKSFSLELYEVEAKADFETQNKQPELRSLSAFEKQMSMIYTDTIFKKFQVEILGVVSCHLQKESEDEDTVVFRVDDFEERQNFFVAWNKREFDICCLCRSFEYRGFLCKHAILVLQMSGVSDIPSRYILKRWTKEAKIRENLGEISNRLHFRVQRFNDLCKRAIKLGVQGCLSEEAYTIALQALEEALKHCVGVNNSAKSVFEPNILSVHGFLEIEAENRWNTTAKSSKKKKMYKRRKVCLGSVFDMQIFKLCSCNI >EOX93197 pep chromosome:Theobroma_cacao_20110822:1:11124209:11128811:1 gene:TCM_002031 transcript:EOX93197 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRS transcription factor family, putative isoform 3 MEIDLEVPSKEQEKLIPGSNETDDVRDAEDEIDVEDNDENPPTTSEHAEEACEPNLIESFTGCEDQVDVTTVEADVCEGTILEPQNGLEFESKEAAYSFYREYARSVGFGITIISSRRSKRSGKFIDVKVACSRFGSKRESSTILNPRSCPKTGCKARMHMKRRQDEKWIIHSFVKEHNHEICPDDFYYAIRGRNKQSGAVACQKKGLQLALDEKDVELMLDHFMCMQDENPDFFYAIDLDNEKSARSVFWVDAKGRHSYSHFGDVVFFDTFFIRNKYKIPYIPIIGVNHHFQYMLLGCALIGDHAMSAFVWLMRSWLKAMGGQAPKVIITDQEKVLNEAVVDVFSDSRHCFCLWHVLSKFSENLGCIMNQNESFMTKFNKCIYRSWTHEQFEKRWCRMVDKFELKEHEWVLSLYNDRKKWVPTYMRDTFLAGISTTERSKSFASFFDKFMHKEATFNEFIEQLKSFSLELYEVEAKADFETQNKQPELRSLSAFEKQMSMIYTDTIFKKFQVEILGVVSCHLQKESEDEDTVVFRVDDFEERQNFFVAWNKREFDICCLCRSFEYRGFLCKHAILVLQMSGVSDIPSRYILKRWTKEAKIRENLGEISNRLHFRVQRFNDLCKRAIKLGVQGCLSEEAYTIALQALEEALKHCVGVNNSAKSVFEPNILSVHGFLEIEAENRWNTTAKSSKKKKMYKRRKVHSELEEVATGQDNCQQMISSRAHTLDGCYVPQQDMQGMDVGSRAPTLDGYYSSQQNIQRMGQLNSMSPFRDGYYSNQQSMLGLATISFMIWKSSPLEYCCRVSLALEHQP >EOX93193 pep chromosome:Theobroma_cacao_20110822:1:11124209:11128965:1 gene:TCM_002031 transcript:EOX93193 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRS transcription factor family, putative isoform 3 MEIDLEVPSKEQEKLIPGSNETDDVRDAEDEIDVEDNDENPPTTSEHAEEACEPNLIESFTGCEDQVDVTTVEADVCEGTILEPQNGLEFESKEAAYSFYREYARSVGFGITIISSRRSKRSGKFIDVKVACSRFGSKRESSTILNPRSCPKTGCKARMHMKRRQDEKWIIHSFVKEHNHEICPDDFYYAIRGRNKQSGAVACQKKGLQLALDEKDVELMLDHFMCMQDENPDFFYAIDLDNEKSARSVFWVDAKGRHSYSHFGDVVFFDTFFIRNKYKIPYIPIIGVNHHFQYMLLGCALIGDHAMSAFVWLMRSWLKAMGGQAPKVIITDQEKVLNEAVVDVFSDSRHCFCLWHVLSKFSENLGCIMNQNESFMTKFNKCIYRSWTHEQFEKRWCRMVDKFELKEHEWVLSLYNDRKKWVPTYMRDTFLAGISTTERSKSFASFFDKFMHKEATFNEFIEQLKSFSLELYEVEAKADFETQNKQPELRSLSAFEKQMSMIYTDTIFKKFQVEILGVVSCHLQKESEDEDTVVFRVDDFEERQNFFVAWNKREFDICCLCRSFEYRGFLCKHAILVLQMSGVSDIPSRYILKRWTKEAKIRENLGEISNRLHFRVQRFNDLCKRAIKLGVQGCLSEEAYTIALQALEEALKHCVGVNNSAKSVFEPNILSVHGFLEIEAENRWNTTAKSSKKKKMYKRRKVHSELEEVATGQDNCQQMISSRAHTLDGCYVPQQDMQGMDVGSRAPTLDGYYSSQQNIQRMGQLNSMSPFRDGYYSNQQSMLGLGQLHSLPARVNQYGNQQGMQGLGQLGFRAPAMQGFDIADSLQDEDRSMDSTHF >EOX93198 pep chromosome:Theobroma_cacao_20110822:1:11124209:11129291:1 gene:TCM_002031 transcript:EOX93198 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRS transcription factor family, putative isoform 3 MEIDLEVPSKEQEKLIPGSNETDDVRDAEDEIDVEDNDENPPTTSEHAEEACEPNLIESFTGCEDQVDVTTVEADVCEGTILEPQNGLEFESKEAAYSFYREYARSVGFGITIISSRRSKRSGKFIDVKVACSRFGSKRESSTILNPRSCPKTGCKARMHMKRRQDEKWIIHSFVKEHNHEICPDDFYYAIRGRNKQSGAVACQKKGLQLALDEKDVELMLDHFMCMQDENPDFFYAIDLDNEKSARSVFWVDAKGRHSYSHFGDVVFFDTFFIRNKYKIPYIPIIGVNHHFQYMLLGCALIGDHAMSAFVWLMRSWLKAMGGQAPKVIITDQEKVLNEAVVDVFSDSRHCFCLWHVLSKFSENLGCIMNQNESFMTKFNKCIYRSWTHEQFEKRWCRMVDKFELKEHEWVLSLYNDRKKWVPTYMRDTFLAGISTTERSKSFASFFDKFMHKEATFNEFIEQLKSFSLELYEVEAKADFETQNKQPELRSLSAFEKQMSMIYTDTIFKKFQVEILGVVSCHLQKESEDEDTVVFRVDDFEERQNFFVAWNKREFDICCLCRSFEYRGFLCKHAILVLQMSGVSDIPSRYILKRWTKEAKIRENLGEISNRLHFRVQRFNDLCKRAIKLGVQGCLSEEAYTIALQALEEALKHCVGVNNSAKSVFEPNILSVHGFLEIEAENRWNTTAKSSKKKKMYKRRKVHSELEEVATGQDNCQQMISSRAHTLDGCYVPQQDMQGIGPVHGLHSFSQHCIKASP >EOX93194 pep chromosome:Theobroma_cacao_20110822:1:11124209:11132660:1 gene:TCM_002031 transcript:EOX93194 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRS transcription factor family, putative isoform 3 MEIDLEVPSKEQEKLIPGSNETDDVRDAEDEIDVEDNDENPPTTSEHAEEACEPNLIESFTGCEDQVDVTTVEADVCEGTILEPQNGLEFESKEAAYSFYREYARSVGFGITIISSRRSKRSGKFIDVKVACSRFGSKRESSTILNPRSCPKTGCKARMHMKRRQDEKWIIHSFVKEHNHEICPDDFYYAIRGRNKQSGAVACQKKGLQLALDEKDVELMLDHFMCMQDENPDFFYAIDLDNEKSARSVFWVDAKGRHSYSHFGDVVFFDTFFIRNKYKIPYIPIIGVNHHFQYMLLGCALIGDHAMSAFVWLMRSWLKAMGGQAPKVIITDQEKVLNEAVVDVFSDSRHCFCLWHVLSKFSENLGCIMNQNESFMTKFNKCIYRSWTHEQFEKRWCRMVDKFELKEHEWVLSLYNDRKKWVPTYMRDTFLAGISTTERSKSFASFFDKFMHKEATFNEFIEQLKSFSLELYEVEAKADFETQNKQPELRSLSAFEKQMSMIYTDTIFKKFQVEILGVVSCHLQKESEDEDTVVFRVDDFEERQNFFVAWNKREFDICCLCRSFEYRGFLCKHAILVLQMSGVSDIPSRYILKRWTKEAKIRENLGEISNRLHFRVQRFNDLCKRAIKLGVQGCLSEEAYTIALQALEEALKHCVGVNNSAKSVFEPNILSVHGFLEIEAENRWNTTAKSSKKKKMYKRRKVHSELEEVATGQDNCQQMISSRAHTLDGCYVPQQDMQGMDVGSRAPTLDGYYSSQQNIQRMGQLNSMSPFRDGYYSNQQSMLGLGQLHSLPARVNQYGNQQGMQGLGQLGFRAPAMQGFDIADSLQDEVNKDHF >EOX93192 pep chromosome:Theobroma_cacao_20110822:1:11124226:11129441:1 gene:TCM_002031 transcript:EOX93192 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRS transcription factor family, putative isoform 3 MEIDLEVPSKEQEKLIPGSNETDDVRDAEDEIDVEDNDENPPTTSEHAEEACEPNLIESFTGCEDQVDVTTVEADVCEGTILEPQNGLEFESKEAAYSFYREYARSVGFGITIISSRRSKRSGKFIDVKVACSRFGSKRESSTILNPRSCPKTGCKARMHMKRRQDEKWIIHSFVKEHNHEICPDDFYYAIRGRNKQSGAVACQKKGLQLALDEKDVELMLDHFMCMQDENPDFFYAIDLDNEKSARSVFWVDAKGRHSYSHFGDVVFFDTFFIRNKYKIPYIPIIGVNHHFQYMLLGCALIGDHAMSAFVWLMRSWLKAMGGQAPKVIITDQEKVLNEAVVDVFSDSRHCFCLWHVLSKFSENLGCIMNQNESFMTKFNKCIYRSWTHEQFEKRWCRMVDKFELKEHEWVLSLYNDRKKWVPTYMRDTFLAGISTTERSKSFASFFDKFMHKEATFNEFIEQLKSFSLELYEVEAKADFETQNKQPELRSLSAFEKQMSMIYTDTIFKKFQVEILGVVSCHLQKESEDEDTVVFRVDDFEERQNFFVAWNKREFDICCLCRSFEYRGFLCKHAILVLQMSGVSDIPSRYILKRWTKEAKIRENLGEISNRLHFRVQRFNDLCKRAIKLGVQGCLSEEAYTIALQALEEALKHCVGVNNSAKSVFEPNILSVHGFLEIEAENRWNTTAKSSKKKKMYKRRKVHSELEEVATGQDNCQQMISSRAHTLDGCYVPQQDMQGMDVGSRAPTLDGYYSSQQNIQRMGQLNSMSPFRDGYYSNQQSMLGLGQLGFRAPAMQGFDIADSLQDEDRSMDSTHFHSIASKHLHDKHLS >EOX93195 pep chromosome:Theobroma_cacao_20110822:1:11124209:11128965:1 gene:TCM_002031 transcript:EOX93195 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRS transcription factor family, putative isoform 3 MEIDLEVPSKEQEKLIPGSNETDDVRDAEDEIDVEDNDENPPTTSEHAEEACEPNLIESFTGCEDQVDVTTVEADVCEGTILEPQNGLEFESKEAAYSFYREYARSVGFGITIISSRRSKRSGKFIDVKVACSRFGSKRESSTILNPRSCPKTGCKARMHMKRRQDEKWIIHSFVKEHNHEICPDDFYYAIRGRNKQSGAVACQKKGLQLALDEKDVELMLDHFMCMQDENPDFFYAIDLDNEKSARSVFWVDAKGRHSYSHFGDVVFFDTFFIRNKYKIPYIPIIGVNHHFQYMLLGCALIGDHAMSAFVWLMRSWLKAMGGQAPKVIITDQEKVLNEAVVDVFSDSRHCFCLWHVLSKFSENLGCIMNQNESFMTKFNKCIYRSWTHEQFEKRWCRMVDKFELKEHEWVLSLYNDRKKWVPTYMRDTFLAGISTTERSKSFASFFDKFMHKEATFNEFIEQLKSFSLELYEVEAKADFETQNKQPELRSLSAFEKQMSMIYTDTIFKKFQVEILGVVSCHLQKESEDEDTVVFRVDDFEERQNFFVAWNKREFDICCLCRSFEYRGFLCKHAILVLQMSGVSDIPSRYILKRWTKEAKIRENLGEISNRLHFRVQRFNDLCKRAIKLGVQGCLSEEAYTIALQALEEALKHCVGVNNSAKSVFEPNILSVHGFLEIEAENRWNTTAKSSKKKKMYKRRKVHSELEEVATGQDNCQQMISSRAHTLDGCYVPQQDMQGMDVGSRAPTLDGYYSSQQNIQRMGQLNSMSPFRDGYYSNQQSMLGLGQLHSLPARVNQYGNQQGMQGLVLDLLMITASLFLVVFVGNNFIYDLEVISLGILLQGQLGFRAPAMQGFDIADSLQDEDRSMDSTHF >EOX95165 pep chromosome:Theobroma_cacao_20110822:1:33127565:33134771:-1 gene:TCM_004718 transcript:EOX95165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVKGKHSKPRPRSTNALGSISLPTNASTLADISIQQQMQQDYNDVPFETPSLLGASAEQVHNETSTHDSRKSPSTDLGASVYDTSSRPRGQELSVGLRTPVDSSDRLRITPIGEKYVILL >EOX95164 pep chromosome:Theobroma_cacao_20110822:1:33127813:33134666:-1 gene:TCM_004718 transcript:EOX95164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVKGKHSKPRPRSTNALGSISLPTNASTLADISIQQQMQQDYNDVPFETPSLLGASAEQVHNETSTHDSRKSPSTDLGASVYDTSSRPRGQELSVGLRTPVDSSDRLRITPIGEKYVILL >EOX92904 pep chromosome:Theobroma_cacao_20110822:1:9268900:9271542:1 gene:TCM_001756 transcript:EOX92904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRDDKRQIKVLFSKSIEIGDSNLAEVRAIREAFLILSASKWAISHSLVIESDSQNAVKWINAPGEAPWRFRKWMLHIEKLKKGIRKWEVNHIFRENNQLPDRLAKEGVMHHSDVLKSMRTRCVGSASWGIEVLGVLRRLRSCQMREAMYLGELRIMIPCLNEDLIMC >EOX92481 pep chromosome:Theobroma_cacao_20110822:1:7095990:7098851:1 gene:TCM_001428 transcript:EOX92481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L17 family protein MTKFRKLNRPTGHRMSMLRTMVSQLVKHERIETTVAKAKEIRRLADNMVQLGKEGSLSAARRAAAFVRGDAVIHKLFTELAYRYKDRAGGYTRLLRTRIRVGDAAPMAYIEFIDRENELRQSKPPTPQPPQRAPLDPWTKSRLSRQFAPPKEEKNSEPEI >EOX91121 pep chromosome:Theobroma_cacao_20110822:1:1668048:1672652:-1 gene:TCM_000405 transcript:EOX91121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling 1 isoform 2 MGPEKGKENDASAESPTSVLEDEEKCEEEIIKLEEETILDAKNGDSSLLSGSMVKEEEKLMEARLKEETKQEEPAEAVHLNDTQFTRLDELLTQTQMYSEFLLEKMEDITFIGAEPEAEAPQKKRGRGSKRRAANQYNNRKAKRAVAAMLTRSKENEKTEDVNLTEEEKIEKEQRELVPLLTGGKLKSYQLKGVKWLISLWQNGLNGILADQMGLGKTIQTIAFLAHLKGNGMDGPYLIIAPLSTLSNWMNEIARFAPSMNAIIYHGNRKERDEIRRKLLPKVIGPKFPIVVTSYEMAMSDAKKCLRHYEWKYVVVDEGHRLKNFNCKLVKELKHLHVGNKLLLTGTPLQNNLAELWSLLNFILPDIFQSHEEFESWFDFSGKINGEVSKEEMEEKRRNQVVVAKLHAILRPFLLRRMKSDVEQMLPRKKEIILYASLTEHQKNFQDHLLNQTLETYLRERIDSGRGVKGKLNNLMIQLRKNCNHPDLLESAFDGSYLYPPVEQIVEQCGKFCLLDRLLARLFERRHKVLIFSQWTKILDIMDYYFSEKGFKVCRIDGSVKLDERRRQIQEFNDENSNCRIFILSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATAHSVECRILKRAYSKLKLEHVVIGKGQFHQERKPDNNLWEGRGSTGTTPR >EOX91123 pep chromosome:Theobroma_cacao_20110822:1:1668258:1672893:-1 gene:TCM_000405 transcript:EOX91123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling 1 isoform 2 MGPEKGKENDASAESPTSVLEDEEKCEEEIIKLEEETILDAKNGDSSLLSGSMVKEEEKLMEARLKEETKQEEPAEAVHLNDTQFTRLDELLTQTQMYSEFLLEKMEDITFIGAEPEAEAPQKKRGRGSKRRAANQYNNRKAKRAVAAMLTRSKENEKTEDVNLTEEEKIEKEQRELVPLLTGGKLKSYQLKGVKWLISLWQNGLNGILADQMGLGKTIQTIAFLAHLKGNGMDGPYLIIAPLSTLSNWMNEIARFAPSMNAIIYHGNRKERDEIRRKLLPKVIGPKFPIVVTSYEMAMSDAKKCLRHYEWKYVVVDEGHRLKNFNCKLVKELKHLHVGNKLLLTGTPLQNNLAELWSLLNFILPDIFQSHEEFESWFDFSGKINGEVSKEEMEEKRRNQVVAKLHAILRPFLLRRMKSDVEQMLPRKKEIILYASLTEHQKNFQDHLLNQTLETYLRERIDSGRGVKGKLNNLMIQLRKNCNHPDLLESAFDGSYLYPPVEQIVEQCGKFCLLDRLLARLFERRHKVLIFSQWTKILDIMDYYFSEKGFKVCRIDGSVKLDERRRQIQEFNDENSNCRIFILSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATAHSVESL >EOX91120 pep chromosome:Theobroma_cacao_20110822:1:1666923:1672984:-1 gene:TCM_000405 transcript:EOX91120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling 1 isoform 2 MGPEKGKENDASAESPTSVLEDEEKCEEEIIKLEEETILDAKNGDSSLLSGSMVKEEEKLMEARLKEETKQEEPAEAVHLNDTQFTRLDELLTQTQMYSEFLLEKMEDITFIGAEPEAEAPQKKRGRGSKRRAANQYNNRKAKRAVAAMLTRSKENEKTEDVNLTEEEKIEKEQRELVPLLTGGKLKSYQLKGVKWLISLWQNGLNGILADQMGLGKTIQTIAFLAHLKGNGMDGPYLIIAPLSTLSNWMNEIARFAPSMNAIIYHGNRKERDEIRRKLLPKVIGPKFPIVVTSYEMAMSDAKKCLRHYEWKYVVVDEGHRLKNFNCKLVKELKHLHVGNKLLLTGTPLQNNLAELWSLLNFILPDIFQSHEEFESWFDFSGKINGEVSKEEMEEKRRNQVVAKLHAILRPFLLRRMKSDVEQMLPRKKEIILYASLTEHQKNFQDHLLNQTLETYLRERIDSGRGVKGKLNNLMIQLRKNCNHPDLLESAFDGSYLYPPVEQIVEQCGKFCLLDRLLARLFERRHKVLIFSQWTKILDIMDYYFSEKGFKVCRIDGSVKLDERRRQIQEFNDENSNCRIFILSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATAHSVECRILKRAYSKLKLEHVVIGKGQFHQERKPDNNLWEEEDLLALLRDEETAEDKMIQTDISDEDLERLLDRSDLVTESDNGEKAKAATADAVPLKGPGWEVVLPTGSGGMLSTLNS >EOX91122 pep chromosome:Theobroma_cacao_20110822:1:1668258:1672893:-1 gene:TCM_000405 transcript:EOX91122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling 1 isoform 2 VEAPITVCPGFTMGPEKGKENDASAESPTSVLEDEEKCEEEIIKLEEETILDAKNGDSSLLSGSMVKEEEKLMEARLKEETKQEEPAEAVHLNDTQFTRLDELLTQTQMYSEFLLEKMEDITFIGAEPEAEAPQKKRGRGSKRRAANQYNNRKAKRAVAAMLTRSKENEKTEDVNLTEEEKIEKEQRELVPLLTGGKLKSYQLKGVKWLISLWQNGLNGILADQMGLGKTIQTIAFLAHLKGNGMDGPYLIIAPLSTLSNWMNEIARFAPSMNAIIYHGNRKERDEIRRKLLPKVIGPKFPIVVTSYEMAMSDAKKCLRHYEWKYVVVDEGHRLKNFNCKLVKELKHLHVGNKLLLTGTPLQNNLAELWSLLNFILPDIFQSHEEFESWFDFSGKINGEVSKEEMEEKRRNQVVAKLHAILRPFLLRRMKSDVEQMLPRKKEIILYASLTEHQKNFQDHLLNQTLETYLRERIDSGRGVKGKLNNLMIQLRKNCNHPDLLESAFDGSYLYPPVEQIVEQCGKFCLLDRLLARLFERRHKVLIFSQWTKILDIMDYYFSEKGFKVCRIDGSVKLDERRRQIQEFNDENSNCRIFILSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATAHSVECRILKRAYSKLKLEH >EOX96257 pep chromosome:Theobroma_cacao_20110822:1:37118510:37121857:1 gene:TCM_005537 transcript:EOX96257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLPTGAKDTQLRDSNNQKVHPQPMEDTTNQNPEALEALITKLFTNISSLKSAYIQLQTAHTPYDPEKIQAADKLVISELKNLSELKHFYRENNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEIHQLQQLIEEANQKRVKLEKNIKLRGLSMKESEGSGEENGFFPVDLTPELFTSAVESAFKAIHDFSKPLINMMKAAGWDLDAAADSIEPNVVYAKRAHKKYAFESHICQRMFSGFQQENFSIKLDNLTVTKESFFHQYLALREMDPLDMLGQNPDSIFGKFCRSKYLVVVHPKMEASFFGNLDQRNYVMGGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPIVKVFQVKRGSEFSEVYMESVVKNLIMDENDQKPKVGLMVMPGFWIGGSVIQSRVYLSGMKVNE >EOX96254 pep chromosome:Theobroma_cacao_20110822:1:37118446:37121799:1 gene:TCM_005537 transcript:EOX96254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLPTGAKDTQLRDSNNQKVHPQPMEDTTNQNPEALEALITKLFTNISSLKSAYIQLQTAHTPYDPEKIQAADKLVISELKNLSELKHFYRENNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEIHQLQQLIEEANQKRVKLEKNIKLRGLSMKESEGSGEENGFFPVDLTPELFTSAVESAFKAIHDFSKPLINMMKAAGWDLDAAADSIEPNVVYAKRAHKKYAFESHICQRMFSGFQQENFSIKLDNLTVTKESFFHQYLALREMDPLDMLGQNPDSIFGKFCRSKYLVVVHPKMEASFFGNLDQRNYVMGGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPIVKVFQVKRGSEFSEVYMESVVKNLIMDENDQKPKVGLMVMPGFWIGGSVIQSRVYLSGMKVNE >EOX96255 pep chromosome:Theobroma_cacao_20110822:1:37119112:37121857:1 gene:TCM_005537 transcript:EOX96255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLPTGAKDTQLRDSNNQKVHPQPMEDTTNQNPEALEALITKLFTNISSLKSAYIQLQTAHTPYDPEKIQAADKLVISELKNLSELKHFYRENNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEIHQLQQLIEEANQKRVKLEKNIKLRGLSMKESEGSGEENGFFPVDLTPELFTSAVESAFKAIHDFSKPLINMMKAAGWDLDAAADSIEPNVVYAKRAHKKYAFESHICQRMFSGFQQENFSIKLDNLTVTKESFFHQYLALREMDPLDMLGQNPDSIFGKFCRSKYLVVVHPKMEASFFGNLDQRNYVMGGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPIVKVFQVKRGSEFSEVYMESVVKNLIMDENDQKPKVGLMVMPGFWIGGSVIQSRVYLSGMKVNE >EOX96256 pep chromosome:Theobroma_cacao_20110822:1:37117986:37121705:1 gene:TCM_005537 transcript:EOX96256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLPTGAKDTQLRDSNNQKVHPQPMEDTTNQNPEALEALITKLFTNISSLKSAYIQLQTAHTPYDPEKIQAADKLVISELKNLSELKHFYRENNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEIHQLQQLIEEANQKRVKLEKNIKLRGLSMKESEGSGEENGFFPVDLTPELFTSAVESAFKAIHDFSKPLINMMKAAGWDLDAAADSIEPNVVYAKRAHKKYAFESHICQRMFSGFQQENFSIKLDNLTVTKESFFHQYLALREMDPLDMLGQNPDSIFGKFCRSKYLVVVHPKMEASFFGNLDQRNYVMGGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPIVKVFQVKRGSEFSEVYMESVVKNLIMDENDQKPKVGLMVMPGFWIGGSVIQSRVYLSGMKVNE >EOX96646 pep chromosome:Theobroma_cacao_20110822:1:38501844:38506353:-1 gene:TCM_046705 transcript:EOX96646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol methyltransferase 1 MSKAGALDLASGLGGKIEKTDVLSAVEKYEKYHVFYGGEEEERKANYTDMVNKYYDLVTSFYEFGWGESFHFAPRWNGESLRESIKRHEHFLALQLGLNPGHKVLDVGCGIGGPLREIARFSSTSVTGLNNNEYQIARGKELNCIAGVDKNCNFVKADFMKMPFPDSSFDAVYAIEATCHAPDAYGCYKEIYRVLKPGRYFAAYEWCMTDSFDPNNHEHQKIKAEIEIGDGLPDIRLTRQCLEALKQAGFEVIWEKDLAVDSPVPWYLPLDKNHFSLSSFRLTAIGRFVTKNMVKALEFVGFAPRGSQRVQDFLEKAAEGLVEGGRKEIFTPMYFFLARKPLAESQ >EOX95054 pep chromosome:Theobroma_cacao_20110822:1:32742708:32752185:1 gene:TCM_004638 transcript:EOX95054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 4 isoform 2 MSSATWITSLSCSTSVIQSSRETSIPVVFQWLRFIFLSPCPQKALFSAVDLLFLLTLLCFAVHKLYSRFAGNSHGSSDIDKPLIRTNRALPRTTMWFKLSWIVTVVLALCYTIICILTFRRSSQNPLKQFDGIFWLVQAITHAVIAILIIHEKRFEAVNHPLSLRIYWIANFIIISLFTASGIIRMVSVETNQDQNLRLDDIVSLISFPLSVLLLVVAIRGSTGITVTREPEAAMDEEETKSYEPLLSISKVSGFASASVISKAFWLWMNPLLRKGYKSPLKIDEVPSLSPEHRAEKMSKLFEVNWPKPHEKSEHPVRTTLLRCFWKEIAFTAFLAIVRLCVMYVGPVLIQSFVDYTAGKRSSAYEGYYLILILLAAKFVEVLSTHQFNFNSQKLGMLIRCTLITSLYKKGLKLTCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLTPLQVAVALVLLFRYLGASVVTSVLGLLGVLVFVIMGTRRNNRFQFNVMKNRDLRMKATNEMLNYMRVIKFQAWEEHFNKRIQSFRETEFGWLSKFLYSISGNVIVMWSTPLLISTLTFGTALFLGVRLDAGVVFTTTTIFKILQEPIRAFPQSMISLSQAMISLGRLDTFMMSKELVDSSVERQEGCDDGIAVEVKNGAFSWDDENGEEVLKKINFEVKKGELTAIVGTVGSGKSSLLASILGEMHKISGKVKLCGTTAYVAQTSWIQNGTIQENILFGLPMNREKYREVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRVQLARAVYQDCDIYLLDDVFSAVDAHTGTDIFKECVRGALKDKTILLVTHQVDFLHNVDLILVMRDGMIVQSGKYNSLLDSGMDFGALVAAHETAMELVEPGNSMPGENSPKTSKSALGDFNLGGANGQNRSQDHPKTDNGDSRLIKDEERETGKVSLHVYKMYCTEAFGWWGVAAALLFSLSWQASLMAGDYWLSYETSAERAILFNPSRFISVYAIIAAVSVVLIVFRAFFVTLMGLKTAQIFFRHILQSILHAPMSFFDTTPSGRILSRASTDQTNVDIFVPFIMGITIAMYITLLSIFIITCQYAWPTIFLIIPLAWLNYWYRGYYLASSRELTRLDSITKAPVIHHFSESISGVMTIRAFRKEDEFCQENVNRVNSNLRLDFHNNGSNEWLGFRLELIGSVVLCLSTMFMILLPSSIVKPENVGLSLSYGLSLNSVLFWAIYMSCFVENRMVSVERIKQFSNIQPEAAWHIEDRLPPPNWPAHGNVELKDVQVRYRPSTPLVLKGITLSIKGGEKIGIVGRTGSGKSTLIQVFFRLVEPTGGRIIIDGIDICMLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPVGQFSDEEIWKSLERCQLKEVVASKPDKLDSLVVDNGDNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDAVIQRIIREDFAACTIISIAHRIPTVMDCDRVLVVDAGRAKEFDKPSRLLERPTLFAALVQEYANRSAGL >EOX95055 pep chromosome:Theobroma_cacao_20110822:1:32744107:32748517:1 gene:TCM_004638 transcript:EOX95055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 4 isoform 2 MSSATWITSLSCSTSVIQSSRETSIPVVFQWLRFIFLSPCPQKALFSAVDLLFLLTLLCFAVHKLYSRFAGNSHGSSDIDKPLIRTNRALPRTTMWFKLSWIVTVVLALCYTIICILTFRRSSQNPLKQFDGIFWLVQAITHAVIAILIIHEKRFEAVNHPLSLRIYWIANFIIISLFTASGIIRMVSVETNQDQNLRLDDIVSLISFPLSVLLLVVAIRGSTGITVTREPEAAMDEEETKSYEPLLSISKVSGFASASVISKAFWLWMNPLLRKGYKSPLKIDEVPSLSPEHRAEKMSKLFEVNWPKPHEKSEHPVRTTLLRCFWKEIAFTAFLAIVRLCVMYVGPVLIQSFVDYTAGKRSSAYEGYYLILILLAAKFVEVLSTHQFNFNSQKLGMLIRCTLITSLYKKGLKLTCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLTPLQVAVALVLLFRYLGASVVTSVLGLLGVLVFVIMGTRRNNRFQFNVMKNRDLRMKATNEMLNYMRVIKFQAWEEHFNKRIQSFRETEFGWLSKFLYSISGNVIVMWSTPLLISTLTFGTALFLGVRLDAGVVFTTTTIFKILQEPIRAFPQSMISLSQAMISLGRLDTFMMSKELVDSSVERQEGCDDGIAVEVKNGAFSWDDENGEEVLKKINFEVKKGELTAIVGTVGSGKSSLLASILGEMHKISGKVKLCGTTAYVAQTSWIQNGTIQENILFGLPMNREKYREVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRVQLARAVYQDCDIYLLDDVFSAVDAHTGTDIFKECVRGALKDKTILLVTHQVDFLHNVDLILVMRDGMIVQSGKYNSLLDSGMDFGALVAAHETAMELVEPGNSMPGENSPKTSKSALGDFNLGGANGQNRSQDHPKTDNGDSRLIKDEERETGKVSLHVYKMYCTEAFGWWGVAAALLFSLSWQASLMAGDYWLSYETSAERAILFNPSRFISVYAIIAAVSVVLIVFRAFFVTLMGLKTAQIFFRHILQSILHAPMSFFDTTPSGRILSRVGFQRVNCGIN >EOX95057 pep chromosome:Theobroma_cacao_20110822:1:32742963:32750805:1 gene:TCM_004638 transcript:EOX95057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 4 isoform 2 MSSATWITSLSCSTSVIQSSRETSIPVVFQWLRFIFLSPCPQKALFSAVDLLFLLTLLCFAVHKLYSRFAGNSHGSSDIDKPLIRTNRALPRTTMWFKLSWIVTVVLALCYTIICILTFRRSSQNPLKQFDGIFWLVQAITHAVIAILIIHEKRFEAVNHPLSLRIYWIANFIIISLFTASGIIRMVSVETNQDQNLRLDDIVSLISFPLSVLLLVVAIRGSTGITVTREPEAAMDEEETKSYEPLLSISKVSGFASASVISKAFWLWMNPLLRKGYKSPLKIDEVPSLSPEHRAEKMSKLFEVNWPKPHEKSEHPVRTTLLRCFWKEIAFTAFLAIVRLCVMYVGPVLIQSFVDYTAGKRSSAYEGYYLILILLAAKFVEVLSTHQFNFNSQKLGMLIRCTLITSLYKKGLKLTCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLTPLQVAVALVLLFRYLGASVVTSVLGLLGVLVFVIMGTRRNNRFQFNVMKNRDLRMKATNEMLNYMRVIKFQAWEEHFNKRIQSFRETEFGWLSKFLYSISGNVIVMWSTPLLISTLTFGTALFLGVRLDAGVVFTTTTIFKILQEPIRAFPQSMISLSQAMISLGRLDTFMMSKELVDSSVERQEGCDDGIAVEVKNGAFSWDDENGEEVLKKINFEVKKGELTAIVGTVGSGKSSLLASILGEMHKISGKVKLCGTTAYVAQTSWIQNGTIQENILFGLPMNREKYREVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRVQLARAVYQDCDIYLLDDVFSAVDAHTGTDIFKECVRGALKDKTILLVTHQVDFLHNVDLILVMRDGMIVQSGKYNSLLDSGMDFGALVAAHETAMELVEPGNSMPGENSPKTSKSALGDFNLGGANGQNRSQDHPKTDNGDSRLIKDEERETGKVSLHVYKMYCTEAFGWWGVAAALLFSLSWQASLMAGDYWLSYETSAERAILFNPSRFISVYAIIAAVSVVLIVFRAFFVTLMGLKTAQIFFRHILQSILHAPMSFFDTTPSGRILSRASTDQTNVDIFVPFIMGITIAMYITLLSIFIITCQYAWPTIFLIIPLAWLNYWYRGYYLASSRELTRLDSITKAPVIHHFSESISGVMTIRAFRKEDEFCQENVNRVNSNLRLDFHNNGSNEWLGFRLELIGSVVLCLSTMFMILLPSSIVKPENVGLSLSYGLSLNSVLFWAIYMSCFVENRMVSVERIKQFSNIQPEAAWHIEDRLPPPNWPAHGNVELKDVQVRYRPSTPLVLKGITLSIKGGEKIGIVGRTGSGKSTLIQVFFRLVEPTGGRIIIDGIDICMLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPVGQFSDEEIWKVNFVISTTTIPFGVRYMDAKNQTFFLSF >EOX95056 pep chromosome:Theobroma_cacao_20110822:1:32742963:32750805:1 gene:TCM_004638 transcript:EOX95056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 4 isoform 2 MSSATWITSLSCSTSVIQSSRETSIPVVFQWLRFIFLSPCPQKALFSAVDLLFLLTLLCFAVHKLYSRFAGNSHGSSDIDKPLIRTNRALPRTTMWFKLSWIVTVVLALCYTIICILTFRRSSQNPLKQFDGIFWLVQAITHAVIAILIIHEKRFEAVNHPLSLRIYWIANFIIISLFTASGIIRMVSVETNQDQNLRLDDIVSLISFPLSVLLLVVAIRGSTGITVTREPEAAMDEEETKSYEPLLSISKVSGFASASVISKAFWLWMNPLLRKGYKSPLKIDEVPSLSPEHRAEKMSKLFEVNWPKPHEKSEHPVRTTLLRCFWKEIAFTAFLAIVRLCVMYVGPVLIQSFVDYTAGKRSSAYEGYYLILILLAAKFVEVLSTHQFNFNSQKLGMLIRCTLITSLYKKGLKLTCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLTPLQVAVALVLLFRYLGASVVTSVLGLLGVLVFVIMGTRRNNRFQFNVMKNRDLRMKATNEMLNYMRVIKFQAWEEHFNKRIQSFRETEFGWLSKFLYSISGNVIVMWSTPLLISTLTFGTALFLGVRLDAGVVFTTTTIFKILQEPIRAFPQSMISLSQAMISLGRLDTFMMSKELVDSSVERQEGCDDGIAVEVKNGAFSWDDENGEEVLKKINFEVKKGELTAIVGTVGSGKSSLLASILGEMHKISGKVKLCGTTAYVAQTSWIQNGTIQENILFGLPMNREKYREVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRVQLARAVYQDCDIYLLDDVFSAVDAHTGTDIFKECVRGALKDKTILLVTHQVDFLHNVDLILVMRDGMIVQSGKYNSLLDSGMDFGALVAAHETAMELVEPGNSMPGENSPKTSKSALGDFNLGGANGQNRSQDHPKTDNGDSRLIKDEERETGKVSLHVYKMYCTEAFGWWGVAAALLFSLSWQASLMAGDYWLSYETSAERAILFNPSRFISVYAIIAAVSVVLIVFRAFFVTLMGLKTAQIFFRHILQSILHAPMSFFDTTPSGRILSRASTDQTNVDIFVPFIMGITIAMYITLLSIFIITCQYAWPTIFLIIPLAWLNYWYRGYYLASSRELTRLDSITKAPVIHHFSESISGVMTIRAFRKEDEFCQENVNRVNSNLRLDFHNNGSNEWLGFRLELIGSVVLCLSTMFMILLPSSIVKPENVGLSLSYGLSLNSVLFWAIYMSCFVENRMVSVERIKQFSNIQPEAAWHIEDRLPPPNWPAHGNVELKDVQVRYRPSTPLVLKGITLSIKGGEKIGIVGRTGSGKSTLIQVFFRLVEPTGGRIIIDGIDICMLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPVGQFSDEEIWKVNFVISTTTIPFGVRYMDAKNQTFFLSF >EOX95382 pep chromosome:Theobroma_cacao_20110822:1:33989320:33991744:-1 gene:TCM_004895 transcript:EOX95382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MEHSRVSIFIFCMSFVCFFLSTQARWHYHHTKHKHTHHHNISEISAPPITAPEPSSPLAPEPSSPSDDGNATGVFDVRKFGAVGDGVTDDTEAFKMAWDSACRVNSAVLHVPYGFSFMIQSTIFLGPCQGGLVFQVDGTLMPPDGPDAWPENTSKRQWLVFYRINGMSLQGGGLIDGRGQKWWDLPCKPHKGKNRTALPGPCDSPIALRFFMSSNLTVKGLKIKDSPKFHFRFDGCQNVHVESLHITAPALSPNTDGIHIENTNGVEIYNSVISNGDDCISIGSGCHDVDIKNLTCGPGHGISIGSLGNHNSRACVSNVTVRDSVIKVSDNGVRIKTWQGGSGAVSGITFSNIHMEAVRNPIIIDQFYCLTKGCTNQTSAVYVSDILYESIKGTYDIRSPPMHFACSDSVPCTNITLSDIELLPAQGDIVLDPFCWNAYGDLESLTIPPVSCLMAGIPRSILDNKDMGYC >EOX93518 pep chromosome:Theobroma_cacao_20110822:1:13678216:13688991:-1 gene:TCM_002404 transcript:EOX93518 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase beta-2 subunit protein, putative isoform 3 MVMGNAGGREDGEGNSGAKKNGYEIPMFPWLRSAEMMQAQNDVLVQNTTRYEDLHCENNLPWEYIDSGKALDFQISDAPLPRPGQMMQLQNDQPVQNSTYYEDLPEEKRAVMITWCFGGKKVAITGSWDNWKTREPLLSLGKDFIIMKMLPSGVYHYHFIVDELIRYAPNLPWEFDESGSAYNVLDLQEFVPEAPESLSEFESPPSPISSYDNQPLNDGDFSKPPPDLPPQLRTTLIDERRFIIRSRKPSPRPSHTMLNHLYEQDGDDGQSVALCSTHRFLQKYVTVVLYKSLHR >EOX93520 pep chromosome:Theobroma_cacao_20110822:1:13677973:13688699:-1 gene:TCM_002404 transcript:EOX93520 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase beta-2 subunit protein, putative isoform 3 MVMGNAGGREDGEGNSGAKKNGYEVDNEQSNSDPMLHSPPYSPNEAYQLPFLXXXQIPMFPWLRSAEMMQAQNDVLVQNTTRYEDLHCENNLPWEYIDSGKALDFQISDAPLPRPGQMMQLQNDQPVQNSTYYEDLPEEKRAVMITWCFGGKKVAITGSWDNWKTREPLLSLGKDFIIMKMLPSGVYHYHFIVDELIRYAPNLPWEFDESGSAYNVLDLQEFVPEAPESLSEFESPPSPISSYDNQPLNDGDFSKPPPDLPPQLRTTLIDERRFIIRSRKPSPRPSHTMLNHLYEQDGDDGQSVALCSTHRFLQKYVTVVLYKSLHR >EOX93519 pep chromosome:Theobroma_cacao_20110822:1:13677538:13688699:-1 gene:TCM_002404 transcript:EOX93519 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase beta-2 subunit protein, putative isoform 3 MVMGNAGGREDGEGNSGAKKNGYEVDNEQSNSDPMLHSPPYSPNEAYQLPFLXXXXIPMFPWLRSAEMMQAQNDVLVQNTTRYEDLHCENNLPWEYIDSGKALDFQISDAPLPRPGQMMQLQNDQPVQNSTYYEDLPEEKRAVMITWCFGGKKVAITGSWDNWKTREPLLSLGKDFIIMKMLPSGVYHYHFIVDELIRYAPNLPWEFDESGSAYNVLDLQEFVPEAPESLSEFESPPSPISSYDNQPLNDGDFSKPPPDLPPQLRTTLIDERRFIIRSRKPSPRPSHTMLNHLYEQDGDDGQSVALCSTHRFLQKYVTVVLYKSCFCSVGKRY >EOX96760 pep chromosome:Theobroma_cacao_20110822:1:38902734:38905116:-1 gene:TCM_005940 transcript:EOX96760 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein, putative isoform 3 EEEESSKSSTKGVCEECKNKASKYKCPGCYLRTCSLPCINAHKHRTGCNGRRNNTRFVPLSQFDDNLLLSDYNLLEETKRVAESARRTRSKLCSNYFPHFKLPFVLRTLRAAAASRRTKLLFLPAGMSKRETNQTRFHQRVHEDTTLCSVIENHLKPGPWNHPLRPFCQEQLDCLKFFIRKYPKGPKSPFQELDMKAPIRQQLADTVILEYPVIHVFLPSEHYEFEVVRENHSVTHRPEVKDSGSADNEIQKGVTFKEEKIEEDDSSLDPQVFDLMKHVISSPMHQIPYENKSEKAGAGNRVHSSSQTKDSGVFQGMEFDFDQDLIDAYSDLIAEINPDDFLDLEGEFAKQSETEHRRDLSNSRGDFFSEELEEGEIVD >EOX96759 pep chromosome:Theobroma_cacao_20110822:1:38902308:38905165:-1 gene:TCM_005940 transcript:EOX96759 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein, putative isoform 3 MEEEESSKSSTKGVCEECKNKASKYKCPGCYLRTCSLPCINAHKHRTGCNGRRNNTRFVPLSQFDDNLLLSDYNLLEETKRVAESARRTRSKLCSNYFPHFKLPFVLRTLRAAAASRRTKLLFLPAGMSKRETNQTRFHQRKKFISWTIEWRFHSTDVVLFDHGVHEDTTLCSVIENHLKPGPWNHPLRPFCQEQLDCLKFFIRKYPKGPKSPFQELDMKAPIRQQLADTVILEYPVIHVFLPSEHYEFEVVRENHSVTHRPEVKDSGSADNEIQKGVTFKEEKIEEDDSSLDPQVFDLMKHVISSPMHQIPYENKSEKAGAGNRVHSSSQTKDSGVFQGMEFDFDQDLIDAYSDLIAEINPDDFLDLEGEFAKQSETEHRRDLSNSRGDFFSEELEEGEIVD >EOX96758 pep chromosome:Theobroma_cacao_20110822:1:38902176:38905169:-1 gene:TCM_005940 transcript:EOX96758 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein, putative isoform 3 MEEEESSKSSTKGVCEECKNKASKYKCPGCYLRTCSLPCINAHKHRTGCNGRRNNTRFVPLSQFDDNLLLSDYNLLEETKRVAESARRTRSKLCSNYFPHFKLPFVLRTLRAAAASRRTKLLFLPAGMSKRETNQTRFHQSLPSFITSLPKPNSNDVVNMSKLIFKQISPFKFALKKFISWTIEWRFHSTDVVLFDHGVHEDTTLCSVIENHLKPGPWNHPLRPFCQEQLDCLKFFIRKYPKGPKSPFQELDMKAPIRQQLADTVILEYPVIHVFLPSEHYEFEVVRENHSVTHRPEVKDSGSADNEIQKGVTFKEEKIEEDDSSLDPQVFDLMKHVISSPMHQIPYENKSEKAGAGNRVHSSSQTKDSGVFQGMEFDFDQDLIDAYSDLIAEINPDDFLDLEGEFAKQSETEHRRDLSNSRGDFFSEELEEGEIVD >EOX94581 pep chromosome:Theobroma_cacao_20110822:1:30322501:30325341:1 gene:TCM_004214 transcript:EOX94581 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA transcriptional regulator family protein MAHQLKKGPTVLIPHAMALPINDIHVGPRFTWGSFPFPAPNSPNPLGIQRSLTHSPNPLKPPHLSRPFWSTVFTSIGCACPSFVPFTWGIQIQRCPTCDRQPYLSMSRNIDYPAHAHRSTCPHGVPRGNPDDCFSPIKHVLLHIFSHQHTVRKSFTKLLSWANTNKSFKRDLQKQNPQVLPSNNIWSNRKHIGRVLLFRLGNIYILVAMERRVNYQNDLNLKATELRLGLPGSDEPEKQSTPCIRNNKRSSSEISEESRSKSSYCVTEAGNDDHQDTAPPVKAQVVGWPPIRSYRKNCLQTKNNEVEGAGMYVKVSVDGAPYLRKIDLKVYRSYAGLLKALENMFKLTVGAYSEREGYNGSDYAPTYEDKDGDWMLVGDVPWEMFISSCKRLRIMKGSEARGLGCV >EOX91784 pep chromosome:Theobroma_cacao_20110822:1:3851571:3852513:-1 gene:TCM_000862 transcript:EOX91784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVCEQNYVSKHLVFLATEEEENVRGGSKKVFSHEIQFKSSSNERTKTFDSLFYSIFFSSIPSKEESKEMIVFFLLLFLIFHCV >EOX96387 pep chromosome:Theobroma_cacao_20110822:1:37591288:37594530:-1 gene:TCM_005637 transcript:EOX96387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 3 (APG3) isoform 1 MVLSQRIHEAFKGTVERITGPRTVSAFKEKGVLSVSEFILAGDNLVSKCPTWSWESGEPSKRKSYLPPEKQYLITRNVPCLRRAASVEEEYEAAGGEVLLDNEDNDGWLATHGKPKDRSDVEENLPSMETLEIRQNETVRSIPSYFGGEDEEDIPDMADFEEADNVIETDAATLQSTYLVAQEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLQPELVLEDVSQDHAHKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFVASVIPTIEYDYTMDFDLGSSSN >EOX96386 pep chromosome:Theobroma_cacao_20110822:1:37591660:37594592:-1 gene:TCM_005637 transcript:EOX96386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 3 (APG3) isoform 1 MVLSQRIHEAFKGTVERITGPRTVSAFKEKGVLSVSEFILAGDNLVSKCPTWSWESGEPSKRKSYLPPEKQYLITRNVPCLRRAASVEEEYEAAGGEVLLDNEDNDGWLATHGKPKDRSDVEENLPSMETLEIRQNETVRSIPSYFGGEDEEDIPDMADFEEADNVIETDAATLQSTYLVAQEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLQPELVLEDVSQDHAHKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFVASVIPTIEYDYTMDFDLGSSSN >EOX96388 pep chromosome:Theobroma_cacao_20110822:1:37591660:37594530:-1 gene:TCM_005637 transcript:EOX96388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 3 (APG3) isoform 1 MVLSQRIHEAFKGTVERITGPRTVSAFKEKGVLSVSEFILAGDNLVSKCPTWSWESGEPSKRKSYLPPEKQYLITRNVPCLRRAASVEEEYEAAGGEVLLDNEDNDGWLATHGKPKDRSDVEENLPSMETLEIRQNETVRSIPSYFGGEDEEDIPDMADFEEADNVIETDAATLQSTYLVAQEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLQPELVLEDVSQDHAHKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFVASVIPTIEYDYTMDFDLGSSSN >EOX96648 pep chromosome:Theobroma_cacao_20110822:1:38523305:38527382:-1 gene:TCM_046707 transcript:EOX96648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol methyltransferase 1 MSKTGALDLASGLGGKIEKTDVLSAVEKYEKYHFFYGGEEEERKANYTDMVNKYYDLVTSFYEFGWGESFHFAPRWNGESLRESIKRHEHFLALQLGLKPGHKVLDVGCGIGGPLREIARFSSTSVTGLNNNEYQIERGKELNRIAGVDKTCNFVKADFMKMPFPDSSFDAVYAIEATCHAPDAYGCYKEIYRVLKPGQYFAAYEWCMTDSFDPNNQEHQKIKAEIEIGDGLPDIRLTRQCLEALKQAGFEVIWDKDLAVDSSIPWYLPLDKNHFSLSSFRLTAIGRFVTKNMVKALEFVGFAPRGSQRVQEFLEKAAEGLVEGGRKEIFTPMYFFLARKQLAKSQ >EOX93180 pep chromosome:Theobroma_cacao_20110822:1:11053672:11058322:-1 gene:TCM_002023 transcript:EOX93180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEGETLVSTEIPVKEAVEDTESVKASNGDLPQVVGKKEEEETTFDGEFIKVEKEALDTKDGSNVAKPASVQDNELTIKERSLSNSSRELLEAQEKMKELELEFERLTGALKQSESENSRLQDEVLLAKDKLDEGGKKYNELDLSHKKLQEQIIEAEQRYSLQLTNLQEALQAQEAKQKELTEVKEAFDGLNIEIDISRKRMQELEQDLQSSAEEARKFEELHKQSGFHAESETQRALEFERLLETAKLSAKEMEDQMASLKEELKAVNEKVAENQKVNAALQSTTAELSAAQEELALSKSLVLDLEQRLASKEALVSELTQELDLTKASESKVKEDISTLENIFAASKEDLQAKVSELEDNKLKLEEVAKARELVEAGLKDKEVQVSIVQEELSKVLKEKEALETAAVDLNTNAAQMKELCSELEEKLKVSNENFCKTDSLLSQALSNNEELEQKLKSLEELHNESGAAAATATQKNLELEDILRASNEAAEDATLKLRELEARFIAAEQRNVELEQQLNLLELKGFEAEKELKEFSGKISELTTKLGEVEEEKKLLNNQMQEYQEKVAELESALNQSTARNSELAEELKIAVERSAEHEDRANMSHQRSLELEDLFQTSHSKLEGADKKVNELELLLEAEKYRIQELEEQISKLEKKCEDAEDESTRYSGQISELASELEAFQTRASSLEIALQMANEKERELTECLNLATDEKKKLEEASHDSTGKLAEAENLVEILRSDLNMTQQKLESIENDLKAAGFRESEVMEKLKSAEEQLEQHVRVIEQASARNLELESSHESLTRDSELKLQQAMENFTNKESEAKSLFEKLKIFEDQVKVYEEQVAEAAGKSTSLKEELDQSLIKLASLESNNEQLRKEILEAENKAVQSSSENELLVQTNIQLKSRVDELQELLNSAVSEKEATAQEVASHMYTIRELSDQHTRASELRAEAEAQIVEAEAQLHEAIEKYAKKESEANELIEKLNLLEGQIKTYEEQAHEASTLAVSRKVEVEETLVKLKQLERFVEELETKSAHFEKESGGLAVANLKLTQELAMHESKLSDLEGKLSAVVIEKDETAEQLHSSRKAIEDLTQQLTSEGKRLESQISSLMEESNLLNETHQNTKKELQSVILQLEEQLKEEKENKESLQLEIKNLKAKIAESSVLQTRVRDLEGQLVTVETQLKEEVESVKTAASVREAELTSKLEDHAQKISDRDAINEQVLQLQRDLQLAQITITGTERSRFSERVGTRSSFKAFP >EOX93177 pep chromosome:Theobroma_cacao_20110822:1:11049068:11058532:-1 gene:TCM_002023 transcript:EOX93177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEGETLVSTEIPVKEAVEDTESVKASNGDLPQVVGKKEEEETTFDGEFIKVEKEALDTKDGSNVAKPASVQDNELTIKERSLSNSSRELLEAQEKMKELELEFERLTGALKQSESENSRLQDEVLLAKDKLDEGGKKYNELDLSHKKLQEQIIEAEQRYSLQLTNLQEALQAQEAKQKELTEVKEAFDGLNIEIDISRKRMQELEQDLQSSAEEARKFEELHKQSGFHAESETQRALEFERLLETAKLSAKEMEDQMASLKEELKAVNEKVAENQKVNAALQSTTAELSAAQEELALSKSLVLDLEQRLASKEALVSELTQELDLTKASESKVKEDISTLENIFAASKEDLQAKVSELEDNKLKLEEVAKARELVEAGLKDKEVQVSIVQEELSKVLKEKEALETAAVDLNTNAAQMKELCSELEEKLKVSNENFCKTDSLLSQALSNNEELEQKLKSLEELHNESGAAAATATQKNLELEDILRASNEAAEDATLKLRELEARFIAAEQRNVELEQQLNLLELKGFEAEKELKEFSGKISELTTKLGEVEEEKKLLNNQMQEYQEKVAELESALNQSTARNSELAEELKIAVERSAEHEDRANMSHQRSLELEDLFQTSHSKLEGADKKVNELELLLEAEKYRIQELEEQISKLEKKCEDAEDESTRYSGQISELASELEAFQTRASSLEIALQMANEKERELTECLNLATDEKKKLEEASHDSTGKLAEAENLVEILRSDLNMTQQKLESIENDLKAAGFRESEVMEKLKSAEEQLEQHVRVIEQASARNLELESSHESLTRDSELKLQQAMENFTNKESEAKSLFEKLKIFEDQVKVYEEQVAEAAGKSTSLKEELDQSLIKLASLESNNEQLRKEILEAENKAVQSSSENELLVQTNIQLKSRVDELQELLNSAVSEKEATAQEVASHMYTIRELSDQHTRASELRAEAEAQIVEAEAQLHEAIEKYAKKESEANELIEKLNLLEGQIKTYEEQAHEASTLAVSRKVEVEETLVKLKQLERFVEELETKSAHFEKESGGLAVANLKLTQELAMHESKLSDLEGKLSAVVIEKDETAEQLHSSRKAIEDLTQQLTSEGKRLESQISSLMEESNLLNETHQNTKKELQSVILQLEEQLKEEKENKESLQLEIKNLKAKIAESSVLQTRVRDLEGQLVTVETQLKEEVESVKTAASVREAELTSKLEDHAQKISDRDAINEQVLQLQRDLQLAQITITEQKEADSQKELEREAALKRSLDELEAKNKEALLLEEQVKKLGEKLQLAEAKVKGDGSAAESKDGLEVKSRDIDGLTFSAPSKRKSKKKLEAASVQAASSSSVTHTEEASPLTSLKFILGVALVSVIIGVILGKRY >EOX93181 pep chromosome:Theobroma_cacao_20110822:1:11053282:11058532:-1 gene:TCM_002023 transcript:EOX93181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEGETLVSTEIPVKEAVEDTESVKASNGDLPQVVGKKEEEETTFDGEFIKVEKEALDTKDGSNVAKPASVQDNELTIKERSLSNSSRELLEAQEKMKELELEFERLTGALKQSESENSRLQDEVLLAKDKLDEGGKKYNELDLSHKKLQEQIIEAEQRYSLQLTNLQEALQAQEAKQKELTEVKEAFDGLNIEIDISRKRMQELEQDLQSSAEEARKFEELHKQSGFHAESETQRALEFERLLETAKLSAKEMEDQMASLKEELKAVNEKVAENQKVNAALQSTTAELSAAQEELALSKSLVLDLEQRLASKEALVSELTQELDLTKASESKVKEDISTLENIFAASKEDLQAKVSELEDNKLKLEEVAKARELVEAGLKDKEVQVSIVQEELSKVLKEKEALETAAVDLNTNAAQMKELCSELEEKLKVSNENFCKTDSLLSQALSNNEELEQKLKSLEELHNESGAAAATATQKNLELEDILRASNEAAEDATLKLRELEARFIAAEQRNVELEQQLNLLELKGFEAEKELKEFSGKISELTTKLGEVEEEKKLLNNQMQEYQEKVAELESALNQSTARNSELAEELKIAVERSAEHEDRANMSHQRSLELEDLFQTSHSKLEGADKKVNELELLLEAEKYRIQELEEQISKLEKKCEDAEDESTRYSGQISELASELEAFQTRASSLEIALQMANEKERELTECLNLATDEKKKLEEASHDSTGKLAEAENLVEILRSDLNMTQQKLESIENDLKAAGFRESEVMEKLKSAEEQLEQHVRVIEQASARNLELESSHESLTRDSELKLQQAMENFTNKESEAKSLFEKLKIFEDQVKVYEEQVAEAAGKSTSLKEELDQSLIKLASLESNNEQLRKEILEAENKAVQSSSENELLVQTNIQLKSRVDELQELLNSAVSEKEATAQEVASHMYTIRELSDQHTRASELRAEAEAQIVEAEAQLHEAIEKYAKKESEANELIEKLNLLEGQIKTYEEQAHEASTLAVSRKVEVEETLVKLKQLERFVEELETKSAHFEKESGGLAVANLKLTQELAMHESKLSDLEGKLSAVVIEKDETAEQLHSSRKAIEDLTQQLTSEGKRLESQISSLMEESNLLNETHQNTKKELQSVILQLEEQLKEEKENKESLQLEIKNLKAKIAESSVLQTRVRDLEGQLVTVETQLKEEVESVKTAASVREAELTSKLEDHAQKISDRDAINEQVLQLQRDLQLAQITITGTERSRFSERVGTRSSFKAFP >EOX93182 pep chromosome:Theobroma_cacao_20110822:1:11052138:11058532:-1 gene:TCM_002023 transcript:EOX93182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEGETLVSTEIPVKEAVEDTESVKASNGDLPQVVGKKEEEETTFDGEFIKVEKEALDTKDGSNVAKPASVQDNELTIKERSLSNSSRELLEAQEKMKELELEFERLTGALKQSESENSRLQDEVLLAKDKLDEGGKKYNELDLSHKKLQEQIIEAEQRYSLQLTNLQEALQAQEAKQKELTEVKEAFDGLNIEIDISRKRMQELEQDLQSSAEEARKFEELHKQSGFHAESETQRALEFERLLETAKLSAKEMEDQMASLKEELKAVNEKVAENQKVNAALQSTTAELSAAQEELALSKSLVLDLEQRLASKEALVSELTQELDLTKASESKVKEDISTLENIFAASKEDLQAKVSELEDNKLKLEEVAKARELVEAGLKDKEVQVSIVQEELSKVLKEKEALETAAVDLNTNAAQMKELCSELEEKLKVSNENFCKTDSLLSQALSNNEELEQKLKSLEELHNESGAAAATATQKNLELEDILRASNEAAEDATLKLRELEARFIAAEQRNVELEQQLNLLELKGFEAEKELKEFSGKISELTTKLGEVEEEKKLLNNQMQEYQEKVAELESALNQSTARNSELAEELKIAVERSAEHEDRANMSHQRSLELEDLFQTSHSKLEGADKKVNELELLLEAEKYRIQELEEQISKLEKKCEDAEDESTRYSGQISELASELEAFQTRASSLEIALQMANEKERELTECLNLATDEKKKLEEASHDSTGKLAEAENLVEILRSDLNMTQQKLESIENDLKAAGFRESEVMEKLKSAEEQLEQHVRVIEQASARNLELESSHESLTRDSELKLQQAMENFTNKESEAKSLFEKLKIFEDQVKVYEEQVAEAAGKSTSLKEELDQSLIKLASLESNNEQLRKEILEAENKAVQSSSENELLVQTNIQLKSRVDELQELLNSAVSEKEATAQEVASHMYTIRELSDQHTRASELRAEAEAQIVEAEAQLHEAIEKYAKKESEANELIEKLNLLEGQIKTYEEQAHEASTLAVSRKVEVEETLVKLKQLERFVEELETKSAHFEKESGGLAVANLKLTQELAMHESKLSDLEGKLSAVVIEKDETAEQLHSSRKAIEDLTQQLTSEGKRLESQISSLMEESNLLNETHQNTKKELQSVILQLEEQLKEEKENKESLQLEIKNLKAKIAESSVLQTRVRDLEGQLVTVETQLKEEVG >EOX93183 pep chromosome:Theobroma_cacao_20110822:1:11054075:11058502:-1 gene:TCM_002023 transcript:EOX93183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEGETLVSTEIPVKEAVEDTESVKASNGDLPQVVGKKEEEETTFDGEFIKVEKEALDTKDGSNVAKPASVQDNELTIKERSLSNSSRELLEAQEKMKELELEFERLTGALKQSESENSRLQDEVLLAKDKLDEGGKKYNELDLSHKKLQEQIIEAEQRYSLQLTNLQEALQAQEAKQKELTEVKEAFDGLNIEIDISRKRMQELEQDLQSSAEEARKFEELHKQSGFHAESETQRALEFERLLETAKLSAKEMEDQMASLKEELKAVNEKVAENQKVNAALQSTTAELSAAQEELALSKSLVLDLEQRLASKEALVSELTQELDLTKASESKVKEDISTLENIFAASKEDLQAKVSELEDNKLKLEEVAKARELVEAGLKDKEVQVSIVQEELSKVLKEKEALETAAVDLNTNAAQMKELCSELEEKLKVSNENFCKTDSLLSQALSNNEELEQKLKSLEELHNESGAAAATATQKNLELEDILRASNEAAEDATLKLRELEARFIAAEQRNVELEQQLNLLELKGFEAEKELKEFSGKISELTTKLGEVEEEKKLLNNQMQEYQEKVAELESALNQSTARNSELAEELKIAVERSAEHEDRANMSHQRSLELEDLFQTSHSKLEGADKKVNELELLLEAEKYRIQELEEQISKLEKKCEDAEDESTRYSGQISELASELEAFQTRASSLEIALQMANEKERELTECLNLATDEKKKLEEASHDSTGKLAEAENLVEILRSDLNMTQQKLESIENDLKAAGFRESEVMEKLKSAEEQLEQHVRVIEQASARNLELESSHESLTRDSELKLQQAMENFTNKESEAKSLFEKLKIFEDQVKVYEEQVAEAAGKSTSLKEELDQSLIKLASLESNNEQLRKEILEAENKAVQSSSENELLVQTNIQLKSRVDELQELLNSAVSEKEATAQEVASHMYTIRELSDQHTRASELRAEAEAQIVEAEAQLHEAIEKYAKKESEANELIEKLNLLEGQIKTYEEQAHEASTLAVSRKVEVEETLVKLKQLERFVEELETKSAHFEKESGGLAVANLKLTQELAMHESKLSDLEGKLSAVVIEKDETAEQLHSSRKAIEDLTQQLTSEGKRLESQISSLMEESNLLNETHQNTKKELQSVILQLEEQLKEEKENKESLQLEIKNLKAKIAESSVLQTRVRDLEGQLVTVETQLKEEVG >EOX93174 pep chromosome:Theobroma_cacao_20110822:1:11052816:11060901:-1 gene:TCM_002023 transcript:EOX93174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEGETLVSTEIPVKEAVEDTESVKASNGDLPQVVGKKEEEETTFDGEFIKVEKEALDTKDGSNVAKPASVQDNELTIKERSLSNSSRELLEAQEKMKELELEFERLTGALKQSESENSRLQDEVLLAKDKLDEGGKKYNELDLSHKKLQEQIIEAEQRYSLQLTNLQEALQAQEAKQKELTEVKEAFDGLNIEIDISRKRMQELEQDLQSSAEEARKFEELHKQSGFHAESETQRALEFERLLETAKLSAKEMEDQMASLKEELKAVNEKVAENQKVNAALQSTTAELSAAQEELALSKSLVLDLEQRLASKEALVSELTQELDLTKASESKVKEDISTLENIFAASKEDLQAKVSELEDNKLKLEEVAKARELVEAGLKDKEVQVSIVQEELSKVLKEKEALETAAVDLNTNAAQMKELCSELEEKLKVSNENFCKTDSLLSQALSNNEELEQKLKSLEELHNESGAAAATATQKNLELEDILRASNEAAEDATLKLRELEARFIAAEQRNVELEQQLNLLELKGFEAEKELKEFSGKISELTTKLGEVEEEKKLLNNQMQEYQEKVAELESALNQSTARNSELAEELKIAVERSAEHEDRANMSHQRSLELEDLFQTSHSKLEGADKKVNELELLLEAEKYRIQELEEQISKLEKKCEDAEDESTRYSGQISELASELEAFQTRASSLEIALQMANEKERELTECLNLATDEKKKLEEASHDSTGKLAEAENLVEILRSDLNMTQQKLESIENDLKAAGFRESEVMEKLKSAEEQLEQHVRVIEQASARNLELESSHESLTRDSELKLQQAMENFTNKESEAKSLFEKLKIFEDQVKVYEEQVAEAAGKSTSLKEELDQSLIKLASLESNNEQLRKEILEAENKAVQSSSENELLVQTNIQLKSRVDELQELLNSAVSEKEATAQEVASHMYTIRELSDQHTRASELRAEAEAQIVEAEAQLHEAIEKYAKKESEANELIEKLNLLEGQIKTYEEQAHEASTLAVSRKVEVEETLVKLKQLERFVEELETKSAHFEKESGGLAVANLKLTQELAMHESKLSDLEGKLSAVVIEKDETAEQLHSSRKAIEDLTQQLTSEGKRLESQISSLMEESNLLNETHQNTKKELQSVILQLEEQLKEEKENKESLQLEIKNLKAKIAESSVLQTRVRDLEGQLVTVETQLKEEVESVKTAASVREAELTSKLEDHAQKISDRDAINEQVLQLQRDLQLAQITITEQKEADSQKELEREAALKRSLDELEAKNKEALLLEEQVKKLGEKLQLAEAKVKGDGSAAESKDGLEVKSRDIDGLTFSAPSKRKSKKKLEAASVQAASSSSVTHTEEASPLTSLKFILGVALVSVIIGVILGKRY >EOX93176 pep chromosome:Theobroma_cacao_20110822:1:11053056:11058322:-1 gene:TCM_002023 transcript:EOX93176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEGETLVSTEIPVKEAVEDTESVKASNGDLPQVVGKKEEEETTFDGEFIKVEKEALDTKDGSNVAKPASVQDNELTIKERSLSNSSRELLEAQEKMKELELEFERLTGALKQSESENSRLQDEVLLAKDKLDEGGKKYNELDLSHKKLQEQIIEAEQRYSLQLTNLQEALQAQEAKQKELTEVKEAFDGLNIEIDISRKRMQELEQDLQSSAEEARKFEELHKQSGFHAESETQRALEFERLLETAKLSAKEMEDQMASLKEELKAVNEKVAENQKVNAALQSTTAELSAAQEELALSKSLVLDLEQRLASKEALVSELTQELDLTKASESKVKEDISTLENIFAASKEDLQAKVSELEDNKLKLEEVAKARELVEAGLKDKEVQVSIVQEELSKVLKEKEALETAAVDLNTNAAQMKELCSELEEKLKVSNENFCKTDSLLSQALSNNEELEQKLKSLEELHNESGAAAATATQKNLELEDILRASNEAAEDATLKLRELEARFIAAEQRNVELEQQLNLLELKGFEAEKELKEFSGKISELTTKLGEVEEEKKLLNNQMQEYQEKVAELESALNQSTARNSELAEELKIAVERSAEHEDRANMSHQRSLELEDLFQTSHSKLEGADKKVNELELLLEAEKYRIQELEEQISKLEKKCEDAEDESTRYSGQISELASELEAFQTRASSLEIALQMANEKERELTECLNLATDEKKKLEEASHDSTGKLAEAENLVEILRSDLNMTQQKLESIENDLKAAGFRESEVMEKLKSAEEQLEQHVRVIEQASARNLELESSHESLTRDSELKLQQAMENFTNKESEAKSLFEKLKIFEDQVKVYEEQVAEAAGKSTSLKEELDQSLIKLASLESNNEQLRKEILEAENKAVQSSSENELLVQTNIQLKSRVDELQELLNSAVSEKEATAQEVASHMYTIRELSDQHTRASELRAEAEAQIVEAEAQLHEAIEKYAKKESEANELIEKLNLLEGQIKTYEEQAHEASTLAVSRKVEVEETLVKLKQLERFVEELETKSAHFEKESGGLAVANLKLTQELAMHESKLSDLEGKLSAVVIEKDETAEQLHSSRKAIEDLTQQLTSEGKRLESQISSLMEESNLLNETHQNTKKELQSVILQLEEQLKEEKENKESLQLEIKNLKAKIAESSVLQTRVRDLEGQLVTVETQLKEEVESVKTAASVREAELTSKLEDHAQKISDRDAINEQVLQLQRDLQLAQITITEQKEADSQKELEREAALKRSLDELEAKNKEALLLEEQVKKLGEKLQLAEAKVKGDGSAAESKDGLEVKSRDIDGLTFSAPSKRKSKKKLEAASVQAASSSSVTHTEEASPLTSLKFILGVALVSVIIGVILGKRY >EOX93179 pep chromosome:Theobroma_cacao_20110822:1:11051840:11058502:-1 gene:TCM_002023 transcript:EOX93179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEGETLVSTEIPVKEAVEDTESVKASNGDLPQVVGKKEEEETTFDGEFIKVEKEALDTKDGSNVAKPASVQDNELTIKERSLSNSSRELLEAQEKMKELELEFERLTGALKQSESENSRLQDEVLLAKDKLDEGGKKYNELDLSHKKLQEQIIEAEQRYSLQLTNLQEALQAQEAKQKELTEVKEAFDGLNIEIDISRKRMQELEQDLQSSAEEARKFEELHKQSGFHAESETQRALEFERLLETAKLSAKEMEDQMASLKEELKAVNEKVAENQKVNAALQSTTAELSAAQEELALSKSLVLDLEQRLASKEALVSELTQELDLTKASESKVKEDISTLENIFAASKEDLQAKVSELEDNKLKLEEVAKARELVEAGLKDKEVQVSIVQEELSKVLKEKEALETAAVDLNTNAAQMKELCSELEEKLKVSNENFCKTDSLLSQALSNNEELEQKLKSLEELHNESGAAAATATQKNLELEDILRASNEAAEDATLKLRELEARFIAAEQRNVELEQQLNLLELKGFEAEKELKEFSGKISELTTKLGEVEEEKKLLNNQMQEYQEKVAELESALNQSTARNSELAEELKIAVERSAEHEDRANMSHQRSLELEDLFQTSHSKLEGADKKVNELELLLEAEKYRIQELEEQISKLEKKCEDAEDESTRYSGQISELASELEAFQTRASSLEIALQMANEKERELTECLNLATDEKKKLEEASHDSTGKLAEAENLVEILRSDLNMTQQKLESIENDLKAAGFRESEVMEKLKSAEEQLEQHVRVIEQASARNLELESSHESLTRDSELKLQQAMENFTNKESEAKSLFEKLKIFEDQVKVYEEQVAEAAGKSTSLKEELDQSLIKLASLESNNEQLRKEILEAENKAVQSSSENELLVQTNIQLKSRVDELQELLNSAVSEKEATAQEVASHMYTIRELSDQHTRASELRAEAEAQIVEAEAQLHEAIEKYAKKESEANELIEKLNLLEGQIKTYEEQAHEASTLAVSRKVEVEETLVKLKQLERFVEELETKSAHFEKESGGLAVANLKLTQELAMHESKLSDLEGKLSAVVIEKDETAEQLHSSRKAIEDLTQQLTSEGKRLESQISSLMEESNLLNETHQNTKKELQSVILQLEEQLKEEKENKESLQLEIKNLKAKIAESSVLQTRVRDLEGQLVTVETQLKEEVESVKTAASVREAELTSKLEDHAQKISDRDAINEQVLQLQRDLQLAQITITGTERSRFSERVGTRSSFKAFP >EOX93178 pep chromosome:Theobroma_cacao_20110822:1:11053277:11058502:-1 gene:TCM_002023 transcript:EOX93178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEGETLVSTEIPVKEAVEDTESVKASNGDLPQVVGKKEEEETTFDGEFIKVEKEALDTKDGSNVAKPASVQDNELTIKERSLSNSSRELLEAQEKMKELELEFERLTGALKQSESENSRLQDEVLLAKDKLDEGGKKYNELDLSHKKLQEQIIEAEQRYSLQLTNLQEALQAQEAKQKELTEVKEAFDGLNIEIDISRKRMQELEQDLQSSAEEARKFEELHKQSGFHAESETQRALEFERLLETAKLSAKEMEDQMASLKEELKAVNEKVAENQKVNAALQSTTAELSAAQEELALSKSLVLDLEQRLASKEALVSELTQELDLTKASESKVKEDISTLENIFAASKEDLQAKVSELEDNKLKLEEVAKARELVEAGLKDKEVQVSIVQEELSKVLKEKEALETAAVDLNTNAAQMKELCSELEEKLKVSNENFCKTDSLLSQALSNNEELEQKLKSLEELHNESGAAAATATQKNLELEDILRASNEAAEDATLKLRELEARFIAAEQRNVELEQQLNLLELKGFEAEKELKEFSGKISELTTKLGEVEEEKKLLNNQMQEYQEKVAELESALNQSTARNSELAEELKIAVERSAEHEDRANMSHQRSLELEDLFQTSHSKLEGADKKVNELELLLEAEKYRIQELEEQISKLEKKCEDAEDESTRYSGQISELASELEAFQTRASSLEIALQMANEKERELTECLNLATDEKKKLEEASHDSTGKLAEAENLVEILRSDLNMTQQKLESIENDLKAAGFRESEVMEKLKSAEEQLEQHVRVIEQASARNLELESSHESLTRDSELKLQQAMENFTNKESEAKSLFEKLKIFEDQVKVYEEQVAEAAGKSTSLKEELDQSLIKLASLESNNEQLRKEILEAENKAVQSSSENELLVQTNIQLKSRVDELQELLNSAVSEKEATAQEVASHMYTIRELSDQHTRASELRAEAEAQIVEAEAQLHEAIEKYAKKESEANELIEKLNLLEGQIKTYEEQAHEASTLAVSRKVEVEETLVKLKQLERFVEELETKSAHFEKESGGLAVANLKLTQELAMHESKLSDLEGKLSAVVIEKDETAEQLHSSRKAIEDLTQQLTSEGKRLESQISSLMEESNLLNETHQNTKKELQSVILQLEEQLKEEKENKESLQLEIKNLKAKIAESSVLQTRVRDLEGQLVTVETQLKEEVESVKTAASVREAELTSKLEDHAQKISDRDAINEQVLQLQRDLQLAQITITGTERSRFSERVGTRSSFKAFP >EOX93175 pep chromosome:Theobroma_cacao_20110822:1:11049068:11058502:-1 gene:TCM_002023 transcript:EOX93175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEGETLVSTEIPVKEAVEDTESVKASNGDLPQVVGKKEEEETTFDGEFIKVEKEALDTKDGSNVAKPASVQDNELTIKERSLSNSSRELLEAQEKMKELELEFERLTGALKQSESENSRLQDEVLLAKDKLDEGGKKYNELDLSHKKLQEQIIEAEQRYSLQLTNLQEALQAQEAKQKELTEVKEAFDGLNIEIDISRKRMQELEQDLQSSAEEARKFEELHKQSGFHAESETQRALEFERLLETAKLSAKEMEDQMASLKEELKAVNEKVAENQKVNAALQSTTAELSAAQEELALSKSLVLDLEQRLASKEALVSELTQELDLTKASESKVKEDISTLENIFAASKEDLQAKVSELEDNKLKLEEVAKARELVEAGLKDKEVQVSIVQEELSKVLKEKEALETAAVDLNTNAAQMKELCSELEEKLKVSNENFCKTDSLLSQALSNNEELEQKLKSLEELHNESGAAAATATQKNLELEDILRASNEAAEDATLKLRELEARFIAAEQRNVELEQQLNLLELKGFEAEKELKEFSGKISELTTKLGEVEEEKKLLNNQMQEYQEKVAELESALNQSTARNSELAEELKIAVERSAEHEDRANMSHQRSLELEDLFQTSHSKLEGADKKVNELELLLEAEKYRIQELEEQISKLEKKCEDAEDESTRYSGQISELASELEAFQTRASSLEIALQMANEKERELTECLNLATDEKKKLEEASHDSTGKLAEAENLVEILRSDLNMTQQKLESIENDLKAAGFRESEVMEKLKSAEEQLEQHVRVIEQASARNLELESSHESLTRDSELKLQQAMENFTNKESEAKSLFEKLKIFEDQVKVYEEQVAEAAGKSTSLKEELDQSLIKLASLESNNEQLRKEILEAENKAVQSSSENELLVQTNIQLKSRVDELQELLNSAVSEKEATAQEVASHMYTIRELSDQHTRASELRAEAEAQIVEAEAQLHEAIEKYAKKESEANELIEKLNLLEGQIKTYEEQAHEASTLAVSRKVEVEETLVKLKQLERFVEELETKSAHFEKESGGLAVANLKLTQELAMHESKLSDLEGKLSAVVIEKDETAEQLHSSRKAIEDLTQQLTSEGKRLESQISSLMEESNLLNETHQNTKKELQSVILQLEEQLKEEKENKESLQLEIKNLKAKIAESSVLQTRVRDLEGQLVTVETQLKEEVESVKTAASVREAELTSKLEDHAQKISDRDAINEQVLQLQRDLQLAQITITGTERSRFSERVGTRSSFKAFP >EOX92793 pep chromosome:Theobroma_cacao_20110822:1:8635372:8644685:-1 gene:TCM_001668 transcript:EOX92793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane CLPTM1 family protein isoform 3 MAPPAAAAGGGQQPRQQQQQGGFGQTITGIIRIAVFWYFASKFFSPKKPADPSHLISNLFQKGEPLDMWLYLSEHEKFNDFGNEASLVWHETNIPYATWGPESTRTLSLKYHPSEALKNNGSLYAHVFFARLGYPVDPNDPEYQPQSGFGRTYSVVTYLPKSRSEKRKSLLGNSKDDEGVEPVAMVADNAEADSKDDGPVEWVAYWKPNITINLVEDFTRYGHNAVPPNVAPYLNIEPSTGNYYPTIFFNEFWLLRDKLIAINESVTELPLNLGVGPISMMKWQLFLQIDQSFQIHRSYGSMLEGEADELKRVFLEGNPYLLVITMIVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVVSFLCQFIVFLYLLDNETSWMILASSGVGCCIEFWKIGKAMHIEIDRSGKIPMLRFRDRDSYASNKTKEYDDLAMKYLSYVLFFLVACFSVYSLMYERHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDLIFMIYLYQRWVYPVDKKRINEFGFGGEDDQASGSTDVTTTTSNEEDKKTN >EOX92794 pep chromosome:Theobroma_cacao_20110822:1:8635757:8644563:-1 gene:TCM_001668 transcript:EOX92794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane CLPTM1 family protein isoform 3 MAPPAAAAGGGQQPRQQQQQGGFGQTITGIIRIAVFWYFASKFFSPKKPADPSHLISNLFQKGEPLDMWLYLSEHEKFNDFGNEASLVWHETNIPYATWGPESTRTLSLKYHPSEALKNNGSLYAHVFFARLGYPVDPNDPEYQPQSGFGRTYSVVTYLPKSRSEKRKSLLGNSKDDEGVEPVAMVADNAEADSKDDGPVEWVAYWKPNITINLVEDFTRYGHNAVPPNVAPYLNIEPSTGNYYPTIFFNEFWLLRDKLIAINESVTELPLNLGVGPISMMKWQLFLQIDQSFQIHRSYGSMLEGEADELKRVFLEGNPYLLVITMIVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVVSFLCQFIVFLYLLDNETSWMILASSGVGCCIEFWKIGKAMHIEIPMLRFRDRDSYASNKTKEYDDLAMKYLSYVLFFLVACFSVYSLMYERHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDLIFMIYLYQRWVYPVDKKRINEFGFGGEDDQASGSTDVTTTTSNEEDKKTN >EOX92795 pep chromosome:Theobroma_cacao_20110822:1:8637952:8644682:-1 gene:TCM_001668 transcript:EOX92795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane CLPTM1 family protein isoform 3 MAPPAAAAGGGQQPRQQQQQGGFGQTITGIIRIAVFWYFASKFFSPKKPADPSHLISNLFQKGEPLDMWLYLSEHEKFNDFGNEASLVWHETNIPYATWGPESTRTLSLKYHPSEALKNNGSLYAHVFFARLGYPVDPNDPEYQPQSGFGRTYSVVTYLPKSRSEKRKSLLGNSKDDEGVEPVAMVADNAEADSKDDGPVEWVAYWKPNITINLVEDFTRYGHNAVPPNVAPYLNIEPSTGNYYPTIFFNEFWLLRDKLIAINESVTELPLNLGVGPISMMKWQLFLQIDQSFQIHRSYGSMLEGEADELKRVFLEGNPYLLVITMIVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVVSFLCQFIVFLYLLDNETSWMILASSGVGCCIEFWKIGKAMHIEIDRSGKIPMLRFRDRDSYASNKTKEYDDLAMKYLSYVLFFLVACFSVYSLMYERHKSWYSWILSSLTSCVYMFGKPSVISLFLL >EOX92603 pep chromosome:Theobroma_cacao_20110822:1:7701245:7704455:-1 gene:TCM_001532 transcript:EOX92603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 20-oxidase, putative MRILCSCSLALTFSLPWCSLRQLCLNFGKTLFYEMSPSLLMDSSSSTLLLRPSIEPRAEDNNVVLFDPSKLQKQANIPAEFVWPHVDLVHTQEELNEPLIDLEGFIKGDDEATSHAVDLVRSACLNHGFFQVTNHGVDATLIQAAYEEIDPVFKMPLNKKLSFQRKPGDFSGFSAAHADRFSSKLPWKETFSFGYHGNNSDPVVVDYFRSALGEDFEHTGWIYQNYCEKMRELSLVIFELLAVSLGVDRMHYHKFFEDGNSIMRCNYYPPCNNSGLTLGTGPHCDPTSLTILHQDQVGGLEVFANNKWQTVRPRPDALVINIGDTFMALCNGRYKSCLHRAVVNSERVRRSLVYFVCPKEDKVVRPPQDLVCRDVPRLYPDFTWSDLLEFTQKHYRADVDTLQSFFPWLLSSKRSNF >EOX91433 pep chromosome:Theobroma_cacao_20110822:1:2718044:2723277:-1 gene:TCM_000631 transcript:EOX91433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative isoform 1 MMSINMVSRLGRGHHKSNHKPEKFFCRVDMEAKACNKGFCSNYLLLNPKQATFIDIFRLLFSSDLKNRKFIDSSHETQENIWYRLLIFVSILVQYLLQLVSKPLAWIGSSIETLINLLSSNDGFFGLIMNIIRGILNLHRLNENVVHSTGKVVIPDRNSATFTSFIGNGDLRMELDIKIKHGDSKYYPALAMMASKAAYNNRGYTETIVEDHWEMEHLGFFDYWNDYMEKATTQAFLFRDRSGDHDTIVVTFRGTEPFNADDWCSDFDISWYEIPDVGKIHSGFMKALGLQKNLGWPKKVVPETNSKQALAYYHLRETLRDLLNKNDGAKFIVTGHSLGGALAILFPAVLFFHDEKLLLERLEGVYTFGQPRVGDEMFGNFMEKNLKRHGIQYFRFVYCNDIVPRVPFDNKNLLFKHFGSCVYYNRRYEGEVVEEEPNKNYFSIWSVFPMTLNAVQELIRSFTIVKKEGPDYREGWLTIFFRIIGLVVPGVPAHLPQDYVNSTRLGSPDAFLPQRRKNQ >EOX91434 pep chromosome:Theobroma_cacao_20110822:1:2718111:2721105:-1 gene:TCM_000631 transcript:EOX91434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative isoform 1 MVSRLGRGHHKSNHKPEKFFCRVDMEAKACNKGFCSNYLLLNPKQATFIDIFRLLFSSDLKNRKFIDSSHETQENIWYRLLIFVSILVQYLLQLVSKPLAWIGSSIETLINLLSSNDGFFGLIMNIIRGKVVIPDRNSATFTSFIGNGDLRMELDIKIKHGDSKYYPALAMMASKAAYNNRGYTETIVEDHWEMEHLGFFDYWNDYMEKATTQAFLFRDRSGDHDTIVVTFRGTEPFNADDWCSDFDISWYEIPDVGKIHSGFMKALGLQKNLGWPKKVVPETNSKQALAYYHLRETLRDLLNKNDGAKFIVTGHSLGGALAILFPAVLFFHDEKLLLERLEGVYTFGQPRVGDEMFGNFMEKNLKRHGIQYFRFVYCNDIVPRVPFDNKNLLFKHFGSCVYYNRRYEGEVVEEEPNKNYFSIWSVFPMTLNAVQELIRSFTIVKKEGPDYREGWLTIFFRIIGLVVPGVPAHLPQDYVNSTRLGSPDAFLPQRRKNQ >EOX92602 pep chromosome:Theobroma_cacao_20110822:1:7694543:7696087:1 gene:TCM_001531 transcript:EOX92602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-7 MQNLGFLFNCLLLCVSFHLFQSHKIPNHPLTKLETWLVSPKHCDCERYCYYYYWKEMEGESHGNVPHGPNKRSPESPCVKSSGSRNNNNQNNNHPDNNNKEQDRFLPIANVGRIMKKVIPSNGKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDIIWAITTLGFEEYVAPLKLYLSKYRDIEGEKLNIPKQQRSEQKQQQQQQQPQQQQSEHEHNLPYNSSVYSSTNLLSHHPSFVPSDQPFSLPFSSNSIQKQLQQQDQIDSMGHW >EOX92187 pep chromosome:Theobroma_cacao_20110822:1:5666503:5669541:1 gene:TCM_001179 transcript:EOX92187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein isoform 1 MVLLSECRSRVILLLSLSVIIGLLNGSGVEARIRRYKWEVKYEYRSPDCLKKLVITINGQTPGPTIRAQQNDTIIVELTNNLSTENVAIHWHGIRQIGTPWFDGTEGVTQCPILPGTTFKYQFVVDRPGTYLYHAHYGMQREAGLYGSIIVALPDGQSEPFTYDYDRNIILNDWYHKSTYEQAAGLSAIPFQWVGEPQSLLIHGRGRFNCSSLTIPTSDTGVCNTTNPDCAPFRLIVVPGKTYRLRISSLTALSALSFQIEGHNMTVVEADGHYVEPFVVQNLFIYSGETYSVLVKADQDPTRNYWITSNIVSRPANTTPGLAIFNYYPNHPRRSPPTSPPAAPVWNNSRPRIAQSQAIKARPGYIHPPPLLSDRVIVFLNTQNRINGNVRWSVNNVSFTLPHTPYLIALKENLTHAFDQNPPPDGYDFVNYDIFKEQENKNATLGNGIYRLNFNSTVDIILQNANTMTKNNSETHPWHLHGHDFWVLGYGEGKFDMFNDPRKYNLVNPIMKNTVPVHPYGWTALRFKADNPGAWAFHCHIESHFYMGMGVVFAEGIEKVGNLPSSIMGCGETQGLYRP >EOX92188 pep chromosome:Theobroma_cacao_20110822:1:5666896:5669344:1 gene:TCM_001179 transcript:EOX92188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein isoform 1 MTFQFFLNMQPGTYLYHAHYGMQREAGLYGSIIVALPDGQSEPFTYDYDRNIILNDWYHKSTYEQAAGLSAIPFQWVGEPQSLLIHGRGRFNCSSLTIPTSDTGVCNTTNPDCAPFRLIVVPGKTYRLRISSLTALSALSFQIEGHNMTVVEADGHYVEPFVVQNLFIYSGETYSVLVKADQDPTRNYWITSNIVSRPANTTPGLAIFNYYPNHPRRSPPTSPPAAPVWNNSRPRIAQSQAIKARPGYIHPPPLLSDRVIVFLNTQNRINGNVRWSVNNVSFTLPHTPYLIALKENLTHAFDQNPPPDGYDFVNYDIFKEQENKNATLGNGIYRLNFNSTVDIILQNANTMTKNNSETHPWHLHGHDFWVLGYGEGKFDMFNDPRKYNLVNPIMKNTVPVHPYGWTALRFKADNPGAWAFHCHIESHFYMGMGVVFAEGIEKVGNLPSSIMGCGETQGLYRP >EOX94553 pep chromosome:Theobroma_cacao_20110822:1:30136681:30139325:1 gene:TCM_004186 transcript:EOX94553 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S15-like MADVVADVAAPGIPKKRTFKKFSFRGVDLDALLDMSTDELVKLFPARARRRFQRGLKRKPMALIKKLRKAKREAPPGEKPEPVRTHLRSMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >EOX91967 pep chromosome:Theobroma_cacao_20110822:1:4671020:4679949:-1 gene:TCM_001001 transcript:EOX91967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MFTRGLDNDVLRWVREGGGAKSRDVFTMAMTARQRPDPIPSLRNAGWHSGLPPAKFHNGHISSGVIPVSGGISVSGNDGGSGSDMDTSSDSDECPYDRQYSFISSPQDDKVPTVAAATRAASSQKLEACGSSKIELKLGNSAQRPARVCGGNPFGKPDSQEEQLSNSASSTEVSFMQYRSSDGVAPHREAYNTESYSSTVTSRVRNEITSKQVFHNGRMQKKKPSYDDTIVQDNTRDEILNPSVRTADSGGVDESASSLTTHHPIFHASGLGPWCAVLSYDACVRLCLNSWAKGCTEEAPYFLNGECLQLRKAFGLQQVLLQPEEELLAKQSSELVSEAAARKSKKTIGKMKVQVRKVKMGLDPPPGCNLSIVKMESLHQHFSNVNSMLYSGWEALRKVRVTPNTPANGSLSRQSLAYLQASGQYIKQVTKLLKTGVTTFRSSSTSYEVVPETYCCLLKLKSSSEEDAIRMQPGSSETHMFLPDGLGDDLIVKVHDSKGQYCGHVLAQVVSIADDPGDKLRWWPLFHEPEHELVGRIQLYIHYSTSQEENNIKCGFVAETVAYDFLLEVAMKVQHFQQRNLLLHGPWKWLVKEFASYYGVSDAYSKLRYLSYVMDVATPTEDCLTLIHELLSPVKGNSKHKLSHQENRILGEIEEQVQQILTLIFENYKSLDESLPSGMMDVFRAATGSPPPSLVPAVQLYGLLHDILSPETQLKFCGYFQAAAKKRSRRHQAETDEIILSNTEGALMDPLTLSNSYQKMKLLILSIKNEIFTDIEIHNQNVLPSFVDLPNLSAPIYSVDLCGKLRAFLVACPPPGPSPPVIELVIATADFQRDLSSWNINPIKGGVDAKELFHSYITCWIEEKRHSLLDSCKLDKAIADVERAII >EOX91965 pep chromosome:Theobroma_cacao_20110822:1:4667793:4681956:-1 gene:TCM_001001 transcript:EOX91965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MFTRGLDNDVLRWVREGGGAKSRDVFTMAMTARQRPDPIPSLRNAGWHSGLPPAKFHNGHISSGVIPVSGGISVSGNDGGSGSDMDTSSDSDECPYDRQYSFISSPQDDKVPTVAAATRAASSQKLEACGSSKIELKLGNSAQRPARVCGGNPFGKPDSQEEQLSNSASSTEVSFMQYRSSDGVAPHREAYNTESYSSTVTSRVRNEITSKQVFHNGRMQKKKPSYDDTIVQDNTRDEILNPSVRTADSGGVDESASSLTTHHPIFHASGLGPWCAVLSYDACVRLCLNSWAKGCTEEAPYFLNGECLQLRKAFGLQQVLLQPEEELLAKQSSELVSEAAARKSKKTIGKMKVQVRKVKMGLDPPPGCNLSIVKMESLHQHFSNVNSMLYSGWEALRKVRVTPNTPANGSLSRQSLAYLQASGQYIKQVTKLLKTGVTTFRSSSTSYEVVPETYCCLLKLKSSSEEDAIRMQPGSSETHMFLPDGLGDDLIVKVHDSKGQYCGHVLAQVVSIADDPGDKLRWWPLFHEPEHELVGRIQLYIHYSTSQEENNIKCGFVAETVAYDFLLEVAMKVQHFQQRNLLLHGPWKWLVKEFASYYGVSDAYSKLRYLSYVMDVATPTEDCLTLIHELLSPVKGNSKHKLSHQENRILGEIEEQVQQILTLIFENYKSLDESLPSGMMDVFRAATGSPPPSLVPAVQLYGLLHDILSPETQLKFCGYFQAAAKKRSRRHQAETDEIILSNTEGALMDPLTLSNSYQKMKLLILSIKNEIFTDIEIHNQNVLPSFVDLPNLSAPIYSVDLCGKLRAFLVACPPPGPSPPVIELVIATADFQRDLSSWNINPIKGGVDAKELFHSYITCWIEEKRHSLLDSCKLDKVKWSGIKTQQSTTPFIDDMYDQLMETLNEYEIIIFHWPEFTIVLENAIADVERAIIKALERQYADVLAPLKDSLAPKIFGKYVHKFTKGTASIYIVPDELGILLNSMKRILYVLHSKIESQFKSWCSCTPPGGNAIPGERLSEITVMLRAEFRNYIQAIVEKLAENTRVQSATKLKKIIQDSKENVVESDVRSRMQPLKDLLINLIENLNSVFEPHVFIIVCRNFWDRMGQDVLHFLENRRENMSWYKGLRIAISILDEIFASQMQKMLGNALQEKDLEPPQSVMEVRSMFARIL >EOX91966 pep chromosome:Theobroma_cacao_20110822:1:4668391:4679949:-1 gene:TCM_001001 transcript:EOX91966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MFTRGLDNDVLRWVREGGGAKSRDVFTMAMTARQRPDPIPSLRNAGWHSGLPPAKFHNGHISSGVIPVSGGISVSGNDGGSGSDMDTSSDSDECPYDRQYSFISSPQDDKVPTVAAATRAASSQKLEACGSSKIELKLGNSAQRPARVCGGNPFGKPDSQEEQLSNSASSTEVSFMQYRSSDGVAPHREAYNTESYSSTVTSRVRNEITSKQDNTRDEILNPSVRTADSGGVDESASSLTTHHPIFHASGLGPWCAVLSYDACVRLCLNSWAKGCTEEAPYFLNGECLQLRKAFGLQQVLLQPEEELLAKQSSELVSEAAARKSKKTIGKMKVQVRKVKMGLDPPPGCNLSIVKMESLHQHFSNVNSMLYSGWEALRKVRVTPNTPANGSLSRQSLAYLQASGQYIKQVTKLLKTGVTTFRSSSTSYEVVPETYCCLLKLKSSSEEDAIRMQPGSSETHMFLPDGLGDDLIVKVHDSKGQYCGHVLAQVVSIADDPGDKLRWWPLFHEPEHELVGRIQLYIHYSTSQEENNIKCGFVAETVAYDFLLEVAMKVQHFQQRNLLLHGPWKWLVKEFASYYGVSDAYSKLRYLSYVMDVATPTEDCLTLIHELLSPVKGNSKHKLSHQENRILGEIEEQVQQILTLIFENYKSLDESLPSGMMDVFRAATGSPPPSLVPAVQLYGLLHDILSPETQLKFCGYFQAAAKKRSRRHQAETDEIILSNTEGALMDPLTLSNSYQKMKLLILSIKNEIFTDIEIHNQNVLPSFVDLPNLSAPIYSVDLCGKLRAFLVACPPPGPSPPVIELVIATADFQRDLSSWNINPIKGGVDAKELFHSYITCWIEEKRHSLLDSCKLDKVKWSGIKTQQSTTPFIDDMYDQLMETLNEYEIIIFHWPEFTIVLENAIADVERAIIKALERQYADVLAPLKDSLAPKIFGKYVHKFTKGTASIYIVPDELGILLNSMKRILYVLHSKIESQFKSWCSCTPPGGNAIPGERLSEITVMLRAEFRNYIQAIVEKLAENTRVQSATKLKKIIQDSKENVVESDVRSRMQPLKDLLINLIENLNSVFEPHVFIIVCRNFWDRMGQDVLHFLENRRENMSWYKGLRIAISILDEIFASQMQKMLGNALQEKDLEPPQSVMEVRSMFARIL >EOX91543 pep chromosome:Theobroma_cacao_20110822:1:3038113:3040867:-1 gene:TCM_000691 transcript:EOX91543 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor A1F isoform 1 MGVTISRLVRMLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVDYKNVSFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERISEARDELHRMLSEDELRDATLLVFANKQDLPNAMTVSEITDKLGLHSLRQRRWYMQATCATSGQGLYEGLDWLSSNISSKA >EOX91542 pep chromosome:Theobroma_cacao_20110822:1:3038460:3040675:-1 gene:TCM_000691 transcript:EOX91542 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor A1F isoform 1 MGVTISRLVRMLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVDYKNVSFTVWDVGGQDKDLTLKLLITKMLASLSGMLEDKISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERISEARDELHRMLSEDELRDATLLVFANKQDLPNAMTVSEITDKLGLHSLRQRRWYMQATCATSGQGLYEGLDWLSSNISSKA >EOX91544 pep chromosome:Theobroma_cacao_20110822:1:3038187:3040819:-1 gene:TCM_000691 transcript:EOX91544 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor A1F isoform 1 MGVTISRLVRMLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVDYKNVSFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERISEARDELHRMLSEDELRDATLLVFANKQDLPNAMTVSEITDKLGLHSLRQRRWYMQATCATSGQGLYEGLDWLSSNISSKA >EOX91545 pep chromosome:Theobroma_cacao_20110822:1:3038113:3040867:-1 gene:TCM_000691 transcript:EOX91545 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor A1F isoform 1 MGVTISRLVRMLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVDYKNVSFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERISEARDELHRMLSEDELRDATLLVFANKQDLPNAMTVSEITDKLGLHSLRQRRWYMQATCATSGQGLYEGLDWLSSNISSKA >EOX91834 pep chromosome:Theobroma_cacao_20110822:1:4066119:4070243:-1 gene:TCM_000902 transcript:EOX91834 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPT2 chromatin protein, putative isoform 2 MGGYDREEVEDYDDYDMEGEYQDEDVEEQEGEEYEEEEARQPTQEEMEYLELRQRLKESIRRKRKQSGAGLSSQEKEKRLPYDNFGSFFGPSQPVIAQRVIQESKSLLENQHLVSKMLSSNQSGKKNSVSNSAGSKLGQRGLVPKATSELKKKVEKLKVARDYSFLSDDAEVPAPPREPPPRNVNVPTSEARSAQMLPKSKPLLGSNNGRNVQGIREERKPVPLNGQMHSKAGSYKSSASKPNVMSMDSKKQLGVNNGIGPGRPVGVSNGVGPGRPTGPKAVPLKMPIAKMEKKISAPVARNLPPSAQKAPSSKMHSSDSKQHLQLKKGSQERSKDKIIPQRPVISSKPQVNKQVKPVSSQSHTTSNVQRPKKKQLSEDEKALMMIRNMFHTDRYPVCDDDDDSDMEANWDEIMKEERRSAKIARQEDEEQLRLLEEEERRERMRKMAKKRKLSRH >EOX91835 pep chromosome:Theobroma_cacao_20110822:1:4067254:4070325:-1 gene:TCM_000902 transcript:EOX91835 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPT2 chromatin protein, putative isoform 2 MGGYDREEVEDYDDYDMEGEYQDEDVEEQEGEEYEEEEARQPTQEEMEYLELRQRLKESIRRKRKQSGAGLSSQEKEKRLPYDNFGSFFGPSQPVIAQRVIQESKSLLENQHLVSKMLSSNQSGKKNSVSNSAGSKLGQRGLVPKATSEKVEKLKVARDYSFLSDDAEVPAPPREPPPRNVNVPTSEARSAQMLPKSKPLLGSNNGRNVQGIREERKPVPLNGQMHSKAGSYKSSASKPNVMSMDSKKQLGVNNGIGPGRPVGVSNGVGPGRPTGPKAVPLKMPIAKMEKKISAPVARNLPPSAQKAPSSKMHSSDSKQHLQLKKGSQERSKDKIIPQRPVISSKPQVLVLHKCLCFCWYI >EOX91833 pep chromosome:Theobroma_cacao_20110822:1:4066100:4070281:-1 gene:TCM_000902 transcript:EOX91833 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPT2 chromatin protein, putative isoform 2 MGGYDREEVEDYDDYDMEGEYQDEDVEEQEGEEYEEEEARQPTQEEMEYLELRQRLKESIRRKRKQSGAGLSSQEKEKRLPYDNFGSFFGPSQPVIAQRVIQESKSLLENQHLVSKMLSSNQSGKKNSVSNSAGSKLGQRGLVPKATSELKKKVEKLKVARDYSFLSDDAEVPAPPREPPPRNVNVPTSGRVFADFQEARSAQMLPKSKPLLGSNNGRNVQGIREERKPVPLNGQMHSKAGSYKSSASKPNVMSMDSKKQLGVNNGIGPGRPVGVSNGVGPGRPTGPKAVPLKMPIAKMEKKISAPVARNLPPSAQKAPSSKMHSSDSKQHLQLKKGSQERSKDKIIPQRPVISSKPQVNKQVKPVSSQSHTTSNVQRPKKKQLSEDEKALMMIRNMFHTDRYPVCDDDDDSDMEANWDEIMKEERRSAKIARQEDEEQLRLLEEEERRERMRKMAKKRKLSRH >EOX94819 pep chromosome:Theobroma_cacao_20110822:1:31569450:31573766:1 gene:TCM_004433 transcript:EOX94819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH69, putative MDVQQGHGNPLMSLNSGGGASDFSYDYVNDSNPHFPMAAGRSENQLSPQSLSQWIDCPKTPHSYVEFLTENLEKVPTADVLERIGSPVGGVHALDGISEIQRELLHNNAGKAFSSRLDDYSLESEHGKLKLSAYATNSNNCEASASERFLRPHDRLSVSRFSSSEYESHAQQTRTFWMQEPNEDNCNVFQLQPKETISAFSFLSQPHYSVPKLRASGSDRQRRLRIAESIRALQELLPNPAERGQATALDDIIDHVKFLQLQMKELSRSRLGGEPTSNPFVFLEGYGHYALHEQMMNEPLEEMMGKLLEINPPAATQLLESRGLFMMPRALVDGLHRVT >EOX94196 pep chromosome:Theobroma_cacao_20110822:1:25269005:25271091:-1 gene:TCM_003652 transcript:EOX94196 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP family transcription factor 4 isoform 1 MGMKSVGGEIVQVQGGHIIRSTGRKDRHSKVYTVKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSAIDKLAELPPWHPNTNTCTAVETDEPNAGSGEMAIAEQSESSGYNYQLRQFDENPNNDSSFGAQQLGNDAIADTLKSFFPTSTTASSINFPRYPPDLISKTRTPTEDLGLSLHSFQDPGLIHSHSQGGTSHTPSTGQTLFAGPAQVGFETNFQRMVAWSTNTSGENTRANFVFNSLPLAQQQALVGQGLTFSQRGPLQSSFLESIRTCDDLPVASPDHHKTQEIHEASIFGSRFASDGLPRFSIPALIHGEEEHSSVSNRPSSSPNSYR >EOX94195 pep chromosome:Theobroma_cacao_20110822:1:25268626:25270773:-1 gene:TCM_003652 transcript:EOX94195 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP family transcription factor 4 isoform 1 MGMKSVGGEIVQVQGGHIIRSTGRKDRHSKVYTVKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSAIDKLAELPPWHPNTNTCTAVETDEPNAGSGEMAIAEQSESSGYNYQLRQFDENPNNDSSFGAQQLGNDAIADTLKSFFPTSTTASSINFPRYPPDLISKTRTPTEDLGLSLHSFQDPGLIHSHSQGGTSHTPSTGQTLFAGPAQVGFETNFQRMVAWSTNTSGENTRANFVFNSLPLAQQQALVGQGLTFSQRGPLQSSFLESIRTCDDLPVASPDHHKTQEIHEASIFGSRFASDGLPRFSIPALIHGEEEHSSVSNRPSSSPNSYR >EOX94459 pep chromosome:Theobroma_cacao_20110822:1:28773063:28776220:-1 gene:TCM_004038 transcript:EOX94459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTGGSGTSIEYKCASSVNPPIEVPIALLRFLSSIGVTTTITTILKSHFSGPPPTRRQVPNDVKELIQRNLCFYVIKKHGECSLPQLDFDLQALSQAFGGVQTTRTHVYEFGARVRAASLLDAVSMGAPTSEFAG >EOX93594 pep chromosome:Theobroma_cacao_20110822:1:14110064:14112852:1 gene:TCM_002473 transcript:EOX93594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MRICIRKHSSLILLAVVAIAVASAIVLAKVSKGLSWFYLASPWNWASSFGPFSSLPYSSDHAIDKRKQKEKEFINLEMIFHNNDSVLKRGKPRDERLEKVEASLARARALIREAILNPNSTLDLQDLDYVPQGNIYRNAHAFHRSHLLMEKMFKIFVYEEGVPRLYHYGTCKNIYSMEGLFISMMEQDKKNRILNPDKALVYFLSFSVVMILEHLFDPIIRDKAVMERTVVDYVRIISHKYPYWNRSIGVDHFMLSCHDWGPLATWYLKELYYNAIQVLCNANTSEYFNPKKDASLPEINLKTGETVNLTGSLPPSNRSVLAFFAGNLYHGKIRAVQLKHWKGKDKDVQIYERLPQGLLYDDMMKKSKYCLCPSGHEVAIPRIVEPIYAECVPVLISQHYILPVSDVLNWDSFSVQVPVSEIPNL >EOX91749 pep chromosome:Theobroma_cacao_20110822:1:3694052:3697098:-1 gene:TCM_000833 transcript:EOX91749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISSVLCFRFDVSHRQKPSAAMHVRLSHLWSKRGGLVGQTKESDYEQSLRNLSWILTVKDQSVTVLLEPQRIWIDCYKLRLTYDDIFPILK >EOX96456 pep chromosome:Theobroma_cacao_20110822:1:37867814:37871258:-1 gene:TCM_005702 transcript:EOX96456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEYETHGNGQRRVAMFTSTFDALKKCSDSSRFVVTIQVLVVLAIVQFMVKPGQATVRCDQVIECLASCIPYLTPGAGNPTTQCYGSVGRLQKIAETTADKQEACKC >EOX93497 pep chromosome:Theobroma_cacao_20110822:1:13524106:13525051:-1 gene:TCM_002379 transcript:EOX93497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTYTHFCVNLGLDALNFFNAVVYDCYKNLLTSRTVYHGRVIDLKILREFGFLYIENFRNLGWHEYLSLNIPVFENLVKVFYSNANVNYRHENDALHTYDNAFTTYIMGRLIKITPELIKDVFGLHSGLGTFTYIGDNPVVQDLERELYVNNISALDSITRLSMTD >EOX93140 pep chromosome:Theobroma_cacao_20110822:1:10817854:10820148:1 gene:TCM_001988 transcript:EOX93140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18ae/LX family protein MVSFRYHQYQVVGRGLPTEADEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRFPCIQIIKTATIPAKLCKRDSTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASRPNLFM >EOX91968 pep chromosome:Theobroma_cacao_20110822:1:4682745:4686469:-1 gene:TCM_001002 transcript:EOX91968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MELCTSLTEPISTLPAVRFLTPTLSLPSKTPLSLHQPPLSRSSARGLRSRVLYFNSPLPKATTSEETSSTGPNRFFGDDRDGVVTLEEVPAMEKNKYNEKLPPEEPKEESGVDVESQIFQFLENLKAKLDSEDANSIILYGSGALVALWLASAVVSAIDSIPLFPKLMEIVGLGYSLWFGSRYLLFKKNREELAAKIEDLKQEVLGSDDD >EOX91969 pep chromosome:Theobroma_cacao_20110822:1:4683099:4686456:-1 gene:TCM_001002 transcript:EOX91969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MELCTSLTEPISTLPAVRFLTPTLSLPSKTPLSLHQPPLSRSSARGLRSRVLYFNSPLPKATTSEETSSTGPNRFFGDDRDGVVTLEEVPAMEKNKYNEKLPPEEPKEESGVDVESQIFQFLENLKAKLDSEDANSIILYGSGALVALWLASAVVSAIDSIPLFPKLMEIVGLGYSLWFGSRYLLFKKNREELAAKIEDLKQEVLGSDDD >EOX91970 pep chromosome:Theobroma_cacao_20110822:1:4682753:4686511:-1 gene:TCM_001002 transcript:EOX91970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MELCTSLTEPISTLPAVRFLTPTLSLPSKTPLSLHQPPLSRSSARGLRSRVLYFNSPLPKATTSEETSSTGPNRFFGDDRDGVVTLEEVPAMEKNKYNEKLPPEEPKEESGVDVESQIFQFLENLKAKLDSEDANSIILYGSGALVALWLASAVVSAIDSIPLFPKLMEIVGLGYSLWFGSRYLLFKGGVGC >EOX93293 pep chromosome:Theobroma_cacao_20110822:1:11934309:11938647:-1 gene:TCM_002140 transcript:EOX93293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin elicitor receptor kinase 1, RLK1, putative isoform 1 MLLKLISFLFFFISVSLISVESRCTKGCDEALASYYLWDNANLTFISEVLNSNIVPSSTINFDTILAYNKQVANKDSVDAFTRLNIPFPCDCINGEFLGHVFQFNVRPRDTYDKIATEYYSNLTTVEWLQQFNSYPPTNIPDTGMVNVTVNCSCGDAAISKDYGLFITYPLRPGETLNTVLTQTNLSSDLSGLVQSYNPEANFSAGTGLVFIPGKDANNSFRPLKSSRNFCWSYCWDICSSNSSIAVAGCWSIYWIFPKEGKGSSITFSSLPRSICSSWECFWK >EOX93292 pep chromosome:Theobroma_cacao_20110822:1:11935993:11940703:-1 gene:TCM_002140 transcript:EOX93292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin elicitor receptor kinase 1, RLK1, putative isoform 1 MLLKLISFLFFFISVSLISVESRCTKGCDEALASYYLWDNANLTFISEVLNSNIVPSSTINFDTILAYNKQVANKDSVDAFTRLNIPFPCDCINGEFLGHVFQFNVRPRDTYDKIATEYYSNLTTVEWLQQFNSYPPTNIPDTGMVNVTVNCSCGDAAISKDYGLFITYPLRPGETLNTVLTQTNLSSDLSGLVQSYNPEANFSAGTGLVFIPGKDANNSFRPLKSRNFCWSYCWDICSSNSSIAVAGCWSIYWIFPKEGKGSSITFSSLPRSICSSWECFWK >EOX92035 pep chromosome:Theobroma_cacao_20110822:1:5000009:5006732:1 gene:TCM_001055 transcript:EOX92035 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative isoform 2 MGGRRGSRTQRKHFKESRENVWKRPKTDSSSDPNNPNSNNTAWQPFATQNPSFDEYYKEQGLVSPEEWDAFMDMLRKPLPAAFRINSTSQFCDDIRSLLENDFMKSLQAEANEGSDLEPIKPLPWYPDNLGWQSNFSRMQIRKNQTLERFHEFLKLETEIGNISRQEAVSMVPPLFLDVHPDHFVLDMCAAPGSKTFQLLEIIHHSAKEGSLPDGMVLANDLDVQRCNLLIHQTKRMCTANLIVTNHEGQHFPGCRSHKNISNGSETTNKLEPSINQLLFDRVLCDVPCSGDGTLRKAPDIWRKWNGGMGNGLHCLQIHIAMRGLSLLKVGGRMVYSTCSMNPVENEAVVAEILRRCGGSVELVDVSNQLPQLIRRPGLRKWKVRDKGVWLASYQDVRKFRRNGIVPSMFPSGRNYMDPTDNSQKSENVENGNSEDVAQPDDPVSSSDNLEEEVSDLPLERCMRIVPHDQNTGAFFIAVLHKVSPLAAILDKSVSAQQNLSTKNDELHEKWSDQVTEEINGLESSSADGTDEKVEEVASEADGKISEVASEADEKISEVALETNLVGDGPDGVALETNSVAAQAPADKKIDSAKAGEKRKLQIQGKWRGVDPVLFFKDEAIINSIKTFYGIDESFPFSGHLVCRNSETNHVKRIYYVSKSVKDVLELNFRVGQQLKITSVGLKIFERQSSKEGTSAPCAFRISSEGLPVILPYITKQILYASPADFKHLLQYKTIKFADFVDAEFGQKAANLMLGCCVIVLREGGKTSSDFVQLDTSTIAIGCWKGRASVSVMVTAIDCQELLERLSARMETEKGTLAQEISGEPDEKQDMSGENINLNGGTQC >EOX92034 pep chromosome:Theobroma_cacao_20110822:1:4999923:5007043:1 gene:TCM_001055 transcript:EOX92034 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative isoform 2 MGGRRGSRTQRKHFKESRENVWKRPKTDSSSDPNNPNSNNTAWQPFATQNPSFDEYYKEQGLVSPEEWDAFMDMLRKPLPAAFRINSTSQFCDDIRSLLENDFMKSLQAEANEGSDLEPIKPLPWYPDNLGWQSNFSRMQIRKNQTLERFHEFLKLETEIGNISRQEAVSMVPPLFLDVHPDHFVLDMCAAPGSKTFQLLEIIHHSAKEGSLPDGMVLANDLDVQRCNLLIHQTKRMCTANLIVTNHEGQHFPGCRSHKNISNGSETTNKLEPSINQLLFDRVLCDVPCSGDGTLRKAPDIWRKWNGGMGNGLHCLQIHIAMRGLSLLKVGGRMVYSTCSMNPVENEAVVAEILRRCGGSVELVDVSNQLPQLIRRPGLRKWKVRDKGVWLASYQDVRKFRRNGIVPSMFPSGRNYMDPTDNSQKSENVENGNSEDVAQPDDPVSSSDNLEEEVSDLPLERCMRIVPHDQNTGAFFIAVLHKVSPLAVLAAAILDKSVSAQQNLSTKNDELHEKWSDQVTEEINGLESSSADGTDEKVEEVASEADGKISEVASEADEKISEVALETNLVGDGPDGVALETNSVAAQAPADKKIDSAKAGEKRKLQIQGKWRGVDPVLFFKDEAIINSIKTFYGIDESFPFSGHLVCRNSETNHVKRIYYVSKSVKDVLELNFRVGQQLKITSVGLKIFERQSSKEGTSAPCAFRISSEGLPVILPYITKQILYASPADFKHLLQYKTIKFADFVDAEFGQKAANLMLGCCVIVLREGGKTSSDFVQLDTSTIAIGCWKGRASVSVMVTAIDCQELLERLSARMETEKGTLAQEISGEPDEKQDMSGENINLNGGTQC >EOX93296 pep chromosome:Theobroma_cacao_20110822:1:11957430:11959565:1 gene:TCM_002143 transcript:EOX93296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 21, putative isoform 1 MSFLAGRLAGKEAAFFFQESKHAVNRLAEKNPKSLPSTPPSLEQEAQADVLPEVLKHSLPSRILGQRSDPSSLSKGSKWALHSDPNNASISSPDAMNPLRAYLSLPQVTFGPKRWALPSTEHSVMASTANAMRKDKFIPINPEKLKAAAEGLQQIGKAFAVATAIVFGGATLLFGMAASKLELHNKHKDSTFDPITHDAVMCYSNDDDMLIKLIYQYKHLLPNQMRVFSHVFQVRKCTETALHLGSDDIRTKGKDLVQPKLEMIREQLSPIRTWAENMSKKWNLEREEAIKEKPIIKELSKILGAKTSN >EOX93297 pep chromosome:Theobroma_cacao_20110822:1:11957184:11959805:1 gene:TCM_002143 transcript:EOX93297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 21, putative isoform 1 KKKKPFCFGYLELEKTTLKFRQEAEAINTPTTWKSSKIQFQNPIKNLKRKSSFLYNSAKGMSFLAGRLAGKEAAFFFQESKHAVNRLAEKNPKSLPSTPPSLEQEAQADVLPEVLKHSLPSRILGQRSDPSSLSKGSKWALHSDPNNASISSPDAMNPLRAYLSLPQVTFGPKRWALPSTEHSVMASTANAMRKDKFIPINPEKLKAAAEGLQQIGKAFAVATAIVFGGATLLFGMAASKLELHNSDDIRTKGKDLVQPKLEMIREQLSPIRTWAENMSKKWNLEREEAIKEKPIIKELSKILGAKTSN >EOX92529 pep chromosome:Theobroma_cacao_20110822:1:7313383:7319814:1 gene:TCM_001469 transcript:EOX92529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MEASLGEIPFGLDFHPSKELVAVSLITGDLHLYKYNTDDSSLQRSLDVHAHTESCRTVRFINGGQAVATGSKDCSILATDVETGSVIARLENAHENAINSLINLTESTVASGDDEGCIKVWDTRQRSCSGSFNAHEDYISDMNFVSDSMKLLTTSGDGTLSVCNLRTYKVQTRSEFSEDELTSVTVMKNGRKVICGSQSGTLLLYSWGFFKDCSDRFVDLSPNSVEALLKLDEDRLIAGSENGLISLVGILPNRIIQPIAEHSDYPVEGLAFSHDRRFLGSISHDQMLKLWDLDDILQSSENTVKGQVGTSDSDSDGMDVDDDPSQSKKVN >EOX92528 pep chromosome:Theobroma_cacao_20110822:1:7313383:7320296:1 gene:TCM_001469 transcript:EOX92528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MEASLGEIPFGLDFHPSKELVAVSLITGDLHLYKYNTDDSSLQRSLDVHAHTESCRTVRFINGGQAVATGSKDCSILATDVETGSVIARLENAHENAINSLINLTESTVASGDDEGCIKVWDTRQRSCSGSFNAHEDYISDMNFVSDSMKLLTTSGDGTLSVCNLRTYKVQTRSEFSEDELTSVTVMKNGRKVICGSQSGTLLLYSWGFFKDCSDRFVDLSPNSVEALLKLDEDRLIAGSENGLISLVGILPNRIIQPIAEHSDYPVEGLAFSHDRRFLGSISHDQMLKLWDLDDILQSSENTVKGQVGTSDSDSDGMDVDDDPSQSKKGTKVKNANKGAALASSNNFFADL >EOX92530 pep chromosome:Theobroma_cacao_20110822:1:7313949:7319603:1 gene:TCM_001469 transcript:EOX92530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 RSLDVHAHTESCRTVRFINGGQAVATGSKDCSILATDVETGSVIARLENAHENAINSLINLTESTVASGDDEGCIKVWDTRQRSCSGSFNAHEDYISDMNFVSDSMKLLTTSGDGTLSVCNLRTYKVQTRSEFSEDELTSVTVMKNGRKVICGSQSGTLLLYSWGFFKDCSDRFVDLSPNSVEALLKLDEDRLIAGSENGLISLVGILPNRIIQPIAEHSDYPLFLMIEGFLVVYHMIRC >EOX96172 pep chromosome:Theobroma_cacao_20110822:1:36857033:36859788:-1 gene:TCM_005481 transcript:EOX96172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 704, subfamily A, polypeptide 1, putative MKHWPLVIPTPGSWFMFIDLSFFMDMLMKYTMESMFKVGFGIDLNWMEGSIEEGTTFVKAFDDANESVCLRYINTFWKLKRALNIGSEASLKKNIKVIDNFIHNVLSTKKKLLAMNPDPLIIFWGFKNVKEDILSRFLMESEKNPETMTDQYLRDIILNFLIAGRDTTANALSWFFYMLCKHPLIQEKVAQEVIDINCSHGNDASVDDFITTIPDATLEKMHYLHAALTETLRLYPPVPRADDIFPDGRKVKKGDGVAYVAYSMGGPRICLGKEFAYRQMKIFSIALIRYFRFKLADDTKIATYRVTFTLQIRGGLQLCAVPRTT >EOX93053 pep chromosome:Theobroma_cacao_20110822:1:10186468:10189512:-1 gene:TCM_001905 transcript:EOX93053 gene_biotype:protein_coding transcript_biotype:protein_coding description:TT12-2 MATE transporter MILIFFSHTIQLVKYVGFYWHDFIESNLKYHLLLDSSLNCCGLTMGSAAPEYQPLLLGLDSHARIPDLSSVAIEEFLQHRPVALRWWPRLVAWESRLLWLLSGASIVVSIFNYMLSFVTLMFTGHLGALELAGASIASVGIQGLAYGIMLGMASAVQTVCGQAYGAKQYSAMGIICQRAIILHLGAAVLLTFLYWFSGDVLQAIGQTESIAQQGQVFARGLIPQIYAFAISCPMQRYLQAQNIVNPLAYMSVGVFLVHILLTWVVVYVLGYGLLGAALTLSLSWWFLVIVNGLYIVLSPSCKETWTGLSLRAFTGIWPYFKLTVASAVMLCLEIWYNQGLVLISGLLTNPTISLDSISICMNYLNWDMQFMLGLSAAASVRVSNELGAGHPRVAKFSVFVVNGTSILISIVFSAIVLIFRVGLSKAFTSDSEVIEAVSDLTPLLAISVFLNGIQPILSGVAIGSGWQAIVAYVNLATYYIIGLPIGCVLGFKTSLGVAGIWWGMIIGVLLQTATLIILTARTNWSQEVEKAADRLKTSAREDTEDSVTGVPGV >EOX92565 pep chromosome:Theobroma_cacao_20110822:1:7540819:7546633:1 gene:TCM_001502 transcript:EOX92565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein Rab-18 MESSTSSNQTEFDYLFKLLMIGDSGVGKSSLLLSFTSDSFEELSPTIGVDFKVKYVNAGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGVILVYDVTRRDTFTNLSEVWTKEIELYSTNQECIKMLVGNKVDKESERVVTKKEGINFAREYGCLFIECSAKTRINVQQCFEELVLKILDTPSLLAEGSKGVKKNIFKQKPPQPDASTSSCC >EOX92646 pep chromosome:Theobroma_cacao_20110822:1:7902090:7904396:1 gene:TCM_001560 transcript:EOX92646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 1 MKVIGETADGEGGGATVLVGVKFDGESKELLTWALVKVAQPGDHIIALHILDTPTESTASILSLVKTFDSILAVYEGFCNLKQLDLKLKVCRGSSAKKILVREAKSYEAAKLILGTSKTHHPIRSTASVAKYCARKLPKCFWVYAVGNGKIVFQRDASHTNSNRSQEDDSPRKSLVHLESSGKSTRTGKLDLDNGNYSYKSGLFLMQKSLKKNCAACASALKLPENSNARLSEYLPGNGNVDNSLALVPFQTSEDNSILVRELPDSRPGWSLLRWVFLPKQRFSENSAAKKSMVQWVLKLPSQHSSAVVYPDQRQNHYDQEKNHSSDLDGENGAIVPVGYEAIRPMSPRNFPKELQSLREKYSSSCRLFSYQELLEATYNFMPENMVGKGGSSYVYKGCLPDGKELAVKILKPTENAAKEFVQEIEIITSLNHKNLISLFGLCFEDNKLLLVYDFLYRGSLEENLHGNKKDSNAFGWQERYKVAVGMAEALDYLHNGCEQPVIHRDVKSSNILLSDDFEPQLSDFGLSSQVSSSASHMTCTDVAGTFGYVICSEFL >EOX92643 pep chromosome:Theobroma_cacao_20110822:1:7901892:7906244:1 gene:TCM_001560 transcript:EOX92643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 1 MKVIGETADGEGGGATVLVGVKFDGESKELLTWALVKVAQPGDHIIALHILDTPTESTASILSLVKTFDSILAVYEGFCNLKQLDLKLKVCRGSSAKKILVREAKSYEAAKLILGTSKTHHPIRSTASVAKYCARKLPKCFWVYAVGNGKIVFQRDASHTNSNRSQEDDSPRKSLVHLESSGKSTRTGKLDLDNGNYSYKSGLFLMQKSLKKNCAACASALKLPENSNARLSEYLPGNGNVDNSLALVPFQTSEDNSILVRELPDSRPGWSLLRWVFLPKQRFSENSAAKKSMVQWVLKLPSQHSSAVVYPDQRQNHYDQEKNHSSDLDGENGAIVPVGYEAIRPMSPRNFPKELQSLREKYSSSCRLFSYQELLEATYNFMPENMVGKGGSSYVYKGCLPDGKELAVKILKPTENAAKEFVQEIEIITSLNHKNLISLFGLCFEDNKLLLVYDFLYRGSLEENLHGNKKDSNAFGWQERYKVAVGMAEALDYLHNGCEQPVIHRDVKSSNILLSDDFEPQLSDFGLSSQVSSSASHMTCTDVAGTFGYLAPEYFMHGKMSDKVDVYAFGIVLLELLSGRKPIDNDCPKGQESLVMWAKPILKDSKISQLLDPQLGSGYDFHQIERIILAATLCIRRAPIMRPQISLILKLLQGDQEVTNWARQQVRASEEVDTVDGEVYPTNIESHLNLALLDLEDDSLSVSSNEQSVPIEDYLLGRWSRSSSFA >EOX92645 pep chromosome:Theobroma_cacao_20110822:1:7901934:7904898:1 gene:TCM_001560 transcript:EOX92645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 1 MKVIGETADGEGGGATVLVGVKFDGESKELLTWALVKVAQPGDHIIALHILDTPTESTASILSLVKTFDSILAVYEGFCNLKQLDLKLKVCRGSSAKKILVREAKSYEAAKLILGTSKTHHPIRSTASVAKYCARKLPKCFWVYAVGNGKIVFQRDASHTNSNRSQEDDSPRKSLVHLESSGKSTRTGKLDLDNGNYSYKSGLFLMQKSLKKNCAACASALKLPENSNARLSEYLPGNGNVDNSLALVPFQTSEDNSILVRELPDSRPGWSLLRWVFLPKQRFSENSAAKKSMVQWVLKLPSQHSSAVVYPDQRQNHYDQEKNHSSDLDGENGAIVPVGYEAIRPMSPRNFPKELQSLREKYSSSCRLFSYQELLEATYNFMPENMVGKGGSSYVYKGCLPDGKELAVKILKPTENAAKEFVQEIEIITSLNHKNLISLFGLCFEDNKLLLVYDFLYRGSLEENLHGNKKDSNAFGWQERYKVAVGMAEALDYLHNGCEQPVIHRDVKSSNILLSDDFEPQLSDFGLSSQVSSSASHMTCTDVAGTFGYVICSEFL >EOX92644 pep chromosome:Theobroma_cacao_20110822:1:7901934:7906132:1 gene:TCM_001560 transcript:EOX92644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 1 MKVIGETADGEGGGATVLVGVKFDGESKELLTWALVKVAQPGDHIIALHILDTPTESTASILSLVKTFDSILAVYEGFCNLKQLDLKLKVCRGSSAKKILVREAKSYEAAKLILGTSKTHHPIRSTASVAKYCARKLPKCFWVYAVGNGKIVFQRDASHTNSNRSQEDDSPRKSLVHLESSGKSTRTGKLDLDNGNYSYKSGLFLMQKSLKKNCAACASALKLPENSNARLSEYLPGNGNVDNSLALVPFQTSEDNSILVRELPDSRPGWSLLRWVFLPKQRFSENSAAKKSMVQWVLKLPSQHSSAVVYPDQRQNHYDQEKNHSSDLDGENGAIVPVGYEAIRPMSPRNFPKELQSLREKYSSSCRLFSYQELLEATYNFMPENMVGKGGSSYVYKGCLPDGKELAVKILKPTENAAKEFVQEIEIITSLNHKNLISLFGLCFEDNKLLLVYDFLYRGSLEENLHGNKKDSNAFGWQERYKVAVGMAEALDYLHNGCEQPVIHRDVKSSNILLSDDFEPQLSDFGLSSQVSSSASHMTCTDVAGTFGYLAPEYFMHGKMSDKVDVYAFGIVLLELLSGRKPIDNDCPKGQESLVMWAKPILKDSKISQLLDPQLGSGYDFHQIERIILAATLCIRRAPIMRPQISLILKLLQGDQEVTNWARQQVRASEEVDTVDGEVYPTNIESHLNLALLDLEDDSLSVSSNEQSVPIEDYLLGRWSRSSSFA >EOX92642 pep chromosome:Theobroma_cacao_20110822:1:7901934:7906132:1 gene:TCM_001560 transcript:EOX92642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 1 MKVIGETADGEGGGATVLVGVKFDGESKELLTWALVKVAQPGDHIIALHILDTPTESTASILSLVKTFDSILAVYEGFCNLKQLDLKLKVCRGSSAKKILVREAKSYEAAKLILGTSKTHHPIRSTASVAKYCARKLPKCFWVYAVGNGKIVFQRDASHTNSNRSQEDDSPRKSLVHLESSGKSTRTGKLDLDNGNYSYKSGLFLMQKSLKKNCAACASALKLPENSNARLSEYLPGNGNVDNSLALVPFQTSEDNSILVRELPDSRPGWSLLRWVFLPKQRFSENSAAKKSMVQWVLKLPSQHSSAVVYPDQRQNHYDQEKNHSSDLDGENGAIVPVGYEAIRPMSPRNFPKELQSLREKYSSSCRLFSYQELLEATYNFMPENMVGKGGSSYVYKGCLPDGKELAVKILKPTENAAKEFVQEIEIITSLNHKNLISLFGLCFEDNKLLLVYDFLYRGSLEENLHGNKKDSNAFGWQERYKVAVGMAEALDYLHNGCEQPVIHRDVKSSNILLSDDFEPQLSDFGLSSQVSSSASHMTCTDVAGTFGYLAPEYFMHGKMSDKVDVYAFGIVLLELLSGRKPIDNDCPKGQESLVMWAKPILKDSKISQLLDPQLGSGYDFHQIERIILAATLCIRRAPIMRPQISLILKLLQGDQEVTNWARQQVRASEEVDTVDGEVYPTNIESHLNLALLDLEDDSLSVSSNEQSVPIEDYLLGRWSRSSSFA >EOX92863 pep chromosome:Theobroma_cacao_20110822:1:9063669:9064393:1 gene:TCM_001724 transcript:EOX92863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTTSTLHFSLSIISIVILACGHDSDSKSGKPRKKRTSNYNHAMNTADAGGGGGGSGHGHGHHGGDDGGAGCGGGDGGGN >EOX94550 pep chromosome:Theobroma_cacao_20110822:1:30052046:30059361:-1 gene:TCM_004180 transcript:EOX94550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVICGNSLKPIGIRYTDFSRALSAHATANVRLWDALQAIPTRISNISSSSTFLLLTPFKVLSILPKLL >EOX92859 pep chromosome:Theobroma_cacao_20110822:1:9023782:9027983:1 gene:TCM_001716 transcript:EOX92859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEDKQLDFNQPLLSVRRFTSPGAASDSECKKKTDTSLPKILRPPIYKSELKSGPVRNPGTVPFVWEKTPGRPKEESNSQAQALEQPLLAPRLPPGRILNDKQHSSRKGFNGKTFTPSQTGTVPSCSQKVSSLKRNETKYESSSGDMEETGSSGSKDSDEAYVDALDTFSRTESFFLNCSISGVSGFDGPEIKPSGIFTTDPQTRDFMMGRFLPAAKAVASEIPPYASRKQPVAREPQRQVKKVVIVDKQQPLYVSSPNKFPNHAQDDWLEESEGEDDYSGSQNSSAKVCGLFPQFLLKSSFCLLNPVPGMKIQAQKPAKPAHSVRRRQAKSSYLRSGNETESEYAKAATEKGLTRISRTEELIEDKNNLKSGSSHMSYRSDCQNPDAASLSRHLQGNVVSSYPSQISQLVHQEKGFLGIPEKAKNYGVSSIDPLKKGSNNFQELLALQSKYQESGLDSPVVEKTLYVDSVHKVISTNPYFSATKTAQGMEDDSEIVVKPGKVEETPSVDSLLQDIKHLNCVVDDKVIVQRKSLESVDSYSLFPSEKYAPEMELDATNGSRRDQDLIKDSCKLTSLNVTDNKKDDMESQLHVKLSYRETSHGLVQDSITLTKSKVGGRRKIGLESHLQKKSSNQESSHGSYSKLPLAPPLPKAPSESWLKRTLPTVSSRNSSSRSCLATCNYSGTQASLAPSSDPKWETIVRSSNAHHGHLRFSEEQLPAIPEA >EOX92858 pep chromosome:Theobroma_cacao_20110822:1:9023520:9028140:1 gene:TCM_001716 transcript:EOX92858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPDLLISRMLLPIYCGYELHSCRLFSIFKSILLKNLMEDKQLDFNQPLLSVRRFTSPGAASDSECKKKTDTSLPKILRPPIYKSELKSGPVRNPGTVPFVWEKTPGRPKEESNSQAQALEQPLLAPRLPPGRILNDKQHSSRKGFNGKTFTPSQTGTVPSCSQKVSSLKRNETKYESSSGDMEETGSSGSKDSDEAYVDALDTFSRTESFFLNCSISGVSGFDGPEIKPSGIFTTDPQTRDFMMGRFLPAAKAVASEIPPYASRKQPVAREPQRQVKKVVIVDKQQPLYVSSPNKFPNHAQDDWLEESEGEDDYSGSQNSSAKVCGLFPQFLLKSSFCLLNPVPGMKIQAQKPAKPAHSVRRRQAKSSYLRSGNETESEYAKAATEKGLTRISRTEELIEDKNNLKSGSSHMSYRSDCQNPDAASLSRHLQGNVVSSYPSQISQLVHQEKGFLGIPEKAKNYGVSSIDPLKKGSNNFQELLALQSKYQESGLDSPVVEKTLYVDSVHKVISTNPYFSATKTAQGMEDDSEIVVKPGKVEETPSVDSLLQDIKHLNCVVDDKVIVQRKSLESVDSYSLFPSEKYAPEMELDATNGSRRDQDLIKDSCKLTSLNVTDNKKDDMESQLHVKLSYRETSHGLVQDSITLTKSKVGGRRKIGLESHLQKKSSNQESSHGSYSKLPLAPPLPKAPSESWLKRTLPTVSSRNSSSRSCLATCNYSGTQASLAPSSDPKWETIVRSSNAHHGHLRFSEEQLPAIPEA >EOX95293 pep chromosome:Theobroma_cacao_20110822:1:33665601:33666444:-1 gene:TCM_004830 transcript:EOX95293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISLKILIFRFKPIAFRIVYDLNIAADFPAMTTLWRSPKRECQSAPFRSVLSTSEPQLKFARRASPHVPK >EOX91549 pep chromosome:Theobroma_cacao_20110822:1:3045234:3052850:-1 gene:TCM_000694 transcript:EOX91549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MSSSSSVKLHLWWRILVILEFLVIQCLGLNTDGILLLSFKQSILSDPLNVLQSWNYRDQTPCSWNGVTCGAPSNSNNNYSRVTSLSLPNCQLLGSIPSGLGMIQHLENLDLSNNSFNGSLPFSIFNATQLRFLDLSNNLISGGIPETVGQLQNLQFLNLSDNALAGTLPATLTTIQNLTVVSLKNNYFSGNLPTGFQSVQVLDLSSNLIDGSLPPNFGGNNLRYLNVSYNRLSGVIPPQFAERIPGNATVDLSFNNLTGEIPDSIVFKNQESKSFFGNPDLCGEVTRRDCPIPSSPSSPSNVSPSTSPPAIAAIPKTIDPDTPEPSPGAKKSGQSKLKPGTIVGIIVGDIAGIGFVIMVFFVVYKLKRKKRVETTPKQEANTVKDNWSITSSSSESRGFTRWSCLRKRGEYEEESDNTSDTEEDQSRNKIHDNQRQQEKEHTKKGTLVTVDGEKQLELETLLKASAYILGATGSSIMYKAVLEDGTSLAVRRIGENSVDRFRDFETQVRVIAKLVHPNLVRIRGFYWGVDEKLIIYDFVPNGSLANARYRKVGSSPCHLPWEARLKIAKGMARGLAYLHDKKHVHANLKPSNILLGSDMEPKIGDFGLERLVTGDTSYKAGVSARNFGSKRSTASRDSFQDLAGPSPSPSPSSLGVSPYHAPESLRSLKPNPKWDVYAFGVILLELLTGKVIVVDELGLGNGLVVEDKGKALRMADAAIRADLEGKEDALLACFKLGYSCASPIPQKRPPMKEALQILEKRLSPEASLAANGQGIYANPTFLS >EOX91550 pep chromosome:Theobroma_cacao_20110822:1:3046125:3049162:-1 gene:TCM_000694 transcript:EOX91550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MSSSSSVKLHLWWRILVILEFLVIQCLGLNTDGILLLSFKQSILSDPLNVLQSWNYRDQTPCSWNGVTCGAPSNSNNNYSRVTSLSLPNCQLLGSIPSGLGMIQHLENLDLSNNSFNGSLPFSIFNATQLRFLDLSNNLISGGIPETVGQLQNLQFLNLSDNALAGTLPATLTTIQNLTVVSLKNNYFSGNLPTGFQSVQVLDLSSNLIDGSLPPNFGGNNLRYLNVSYNRLSGVIPPQFAERIPGNATVDLSFNNLTGEIPDSIVFKNQESKSFFGNPDLCGEVTRRDCPIPSSPSSPSNVSPSTSPPAIAAIPKTIDPDTPEPSPGAKKSGQSKLKPGTIVGIIVGDIAGIGFVIMVFFVVYKLKRKKRVETTPKQEANTVKDNWSITSSSSESRGFTRWSCLRKRGEYEEESDNTSDTEEDQSRNKIHDNQRQQEKEHTKKGTLVTVDGEKQLELETLLKASAYILGATGSSIMYKAVLEDGTSLAVRRIGENSVDRFRDFETQVRVIAKLVHPNLVRIRGFYWGVDEKLIIYDFVPNGSLANARYRKVGSSPCHLPWEARLKIAKGMARGLAYLHDKKHVHANLKPSNILLGSDMEPKIGDFGLERLVTGDTSYKAGVSARNFGSKRSTASRDSFQDLAGPSPSPSPSSLGVSPYHAPESLRSLKPNPKWDVYAFGVILLELLTGKVIVVDELGLGNGLVVEDKGKALRMADAAIRADLEGKEDALLACFKLGYSCASPIPQKRPPMKEALQILEKVPSSTSSSSYYYGHS >EOX91603 pep chromosome:Theobroma_cacao_20110822:1:3247918:3254392:-1 gene:TCM_000738 transcript:EOX91603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 1 MDISSLQDWYLDSCRRHKVPPSSTVLSWFSKAKVQKSCQEKCKIVVLLNQLKDDDILPLIDVFPEIDSSDIDAVDIFHHESTSFLNEEYILSLMNAIKLKLQVIDLTNASTKKDFLWDLCHVGLPCHVLNLRSSHIQKLNMVGRFMRLHTLNLDFCTSLTTLQKDCFSCMPKLMRLSMCETRVANLWTTTAVLAKLPSLLELRFQNCLCCKDTGPCRASSSEKGKLENESPRSNVINIRSYNEELSVVTGCDTLQASSAGETIMALLSLQESVRVPEVQRATDDTSEVDEVKLSGYLQNLLEASTSSLPNLYEQTQPQNEIQDNDEHPLYVQKQALTNVTGILNKDTWHHPSPICFERHYREYMVASLPSLEVLDNLPIKKMERETSKTICSEYYEYLPYKYNHRESVVNILQKREMGTSVTYCQNSSKLKQPYSCGKSQYFFSRSLSAAKFGSSTWPFFHPVSSFSHISKEENKRLRPRQFEYHPSNSSLMAFGTLDGEIVVINHETGNIVGYNSSTGMMNSILGLCWLKKYPSKCYTASSSRGNVMVCFSPDDLYLLVSAIDNEVKQLLAVDGRLHMNFNITSTGSAHNYTRSYYMNGRDYIISGSCDEHVVRICCAQTGRRLRDVYIEGMESGNSIFVQSLRGDPFRHFNFSMLTACTRPTSKQEIVKVNLLASSHYADECCHGQDIHPYCGRGG >EOX91602 pep chromosome:Theobroma_cacao_20110822:1:3247806:3254347:-1 gene:TCM_000738 transcript:EOX91602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 1 MDISSLQDWYLDSCRRHKVPPSSTVLSWFSKAKVQKSCQEKCKIVVLLNQLKDDDILPLIDVFPEIDSSDIDAVDIFHHESTSFLNEEYILSLMNAIKLKLQVIDLTNASTKKDFLWDLCHVGLPCHVLNLRSSHIQKLNMVGRFMRLHTLNLDFCTSLTTLQKDCFSCMPKLMRLSMCETRVANLWTTTAVLAKLPSLLELRFQNCLCCKDTGPCRASSSEKGKLENESPRSNVINIRSYNEELSVVTGCDTLQASSAGETIMALLSLQESVRVPEVQRATDDTSEVDEVKLSGYLQNLLEASTSSLPNLYEQTQPQNEIQDNDEHPLYVQKQALTNVTGILNKDTWHHPSPICFERHYREYMVASLPSLEVLDNLPIKKMERETSKTICSEYYEYLPYKYNHRESVVNILQKREMGTSVTYCQNSSKLKQPYSCGKSQYFFSRSLSAAKFGSSTWPFFHPVSSFSHISKEENKRLRPRQFEYHPSNSSLMAFGTLDGEIVVINHETGNIVGYNSSTGMMNSILGLCWLKKYPSKIVSGSDNGCLKLFDVNQMPAKLGDTCCGSDAVSFEDFEQLTSVHVNSTDDKFLASGYSKGVALYDISSGRRLQVFTNMHQEPINVAKFAHHSPFMFATSSFDKDVKMWDLRERPERPCYTASSSRGNVMVCFSPDDLYLLVSAIDNEVKQLLAVDGRLHMNFNITSTGSAHNYTRSYYMNGRDYIISGSCDEHVVRICCAQTGRRLRDVYIEGMESGNSIFVQSLRGDPFRHFNFSMLTACTRPTSKQEIVKVNLLASSHYADECCHGQDIHPYCGRGG >EOX91017 pep chromosome:Theobroma_cacao_20110822:1:1351080:1352746:-1 gene:TCM_000328 transcript:EOX91017 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative isoform 1 MFLNDLPGNDFNAIFRSVPAFLEKLKREKGDLIGHCSISGVPGSFYGRLFPSRSLHLIHSSYSVHWLSKVPDGVENNKWNVYMAKSSPPNVFKAYAKQFQDDFSSFLSMRSEEIKPRGRMILTFIGRSNPDPSTEFYGWELLAKTLCDLVAEGLVKEADLDSFNIPLYTPYKEEVSEIVQDEGSFEVDRLQVFEVDWDAGEDVNSNGLVLNKYERGQKIANYVRAISEQLLAGHFGDAIIDRLFTRLAIHEAEHLASNRGKVVTIVVSMTKK >EOX91016 pep chromosome:Theobroma_cacao_20110822:1:1350782:1354327:-1 gene:TCM_000328 transcript:EOX91016 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative isoform 1 MEVGEATAVANGFCMNEGDREISYANNSMIQKTVILKTRRILVDTIKDLFCNVLPTCIKVADLGCSSGPNTFLTISEVIGSVQEICQQAQLKSPEFQMFLNDLPGNDFNAIFRSVPAFLEKLKREKGDLIGHCSISGVPGSFYGRLFPSRSLHLIHSSYSVHWLSKVPDGVENNKWNVYMAKSSPPNVFKAYAKQFQDDFSSFLSMRSEEIKPRGRMILTFIGRSNPDPSTEFYGWELLAKTLCDLVAEGLVKEADLDSFNIPLYTPYKEEVSEIVQDEGSFEVDRLQVFEVDWDAGEDVNSNGLVLNKYERGQKIANYVRAISEQLLAGHFGDAIIDRLFTRLAIHEAEHLASNRGKVVTIVVSMTKK >EOX95268 pep chromosome:Theobroma_cacao_20110822:1:33605749:33613670:-1 gene:TCM_004817 transcript:EOX95268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of zeste, ezh, putative isoform 2 MVSKATDSPSKSRKSNGENSGGGIGNLTYKLNQLKKQIQAERNASIKEKVEKNRKKLESHISEILSATSCRNVICVEENGFGKVLSSRIEIPLCKYSGFAQGSGDRDYATGHEVQSSTNAKLPYIEKLPPYTTWIFLDKNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEDVAEPEEEKHEFSEGEDRILWTVSQEFGLGEEILQAVSQFIGVGISDIKERHGILTEKYSDQNAKDSEDSGSEKGISLEKSLSAALDSFDNLFCRRCLLFDCRLHGCSQTLINPTEKQPYWSEYEDDRKPCSDQCYLRLRAVKDVPEGLGGNALHGAKTTTLEEKDQAASSDAKEPITDVGADLMQDERGISEEVKSVALECICDSEGAAEAQNLEISSIPIDNHEISGKRKASQEGNAPLDDSIYCSDSEAQTTAKNTQNESGEYALETFACPVTVSSDETVDNLRDGAKDVTEVPELKWSSSEWKPIERELYLKGVEIFGRNSCLIARNLLSGLKTCIEVSSYMCDSGASTLNRTIMTSSFLEENGKSESDYMEQEMSTRPRLLRRRGRTRKLKYSWKSAGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKQCPCLHNGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGGGSLGEPPKRGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNSVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKERIEASEELFYDYRYGPDQAPAWARKPEGSKRDDTSVSQGRAKKHQSH >EOX95267 pep chromosome:Theobroma_cacao_20110822:1:33605251:33613515:-1 gene:TCM_004817 transcript:EOX95267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of zeste, ezh, putative isoform 2 MVSKATDSPSKSRKSNGENSGGGIGNLTYKLNQLKKQIQAERNASIKEKVEKNRKKLESHISEILSATSCRNVICVEENGFGKVLSSRIEIPLCKYSGFAQGSGDRDYATGHEVQSSTNAKLPYIEKLPPYTTWIFLDKNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEDVAEPEEEKHEFSEGEDRILWTVSQEFGLGEEILQAVSQFIGVGISDIKERHGILTEKYSDQNAKDSEDSGSEKGISLEKSLSAALDSFDNLFCRRCLLFDCRLHGCSQTLINPTEKQPYWSEYEDDRKPCSDQCYLRLRAVKDVPEGLGGNALHGAKTTTLEEKDQAASSDAKEPITDVGADLMQDERGISEEVKSVALECICDSEGAAEAQNLEISSIPIDNHEISGKRKASQEGNAPLDDSIYCSDSVSKKQKTVLAVYVATKSSEAIPSPDDTSSSKSSNHHVVALNEKEAQTTAKNTQNESGEYALETFACPVTVSSDETVDNLRDGAKDVTEVPELKWSSSEWKPIERELYLKGVEIFGRNSCLIARNLLSGLKTCIEVSSYMCDSGASTLNRTIMTSSFLEENGKSESDYMEQEMSTRPRLLRRRGRTRKLKYSWKSAGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKQCPCLHNGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGGGSLGEPPKRGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNSVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKERIEASEELFYDYRYGPDQAPAWARKPEGSKRDDTSVSQGRAKKHQSH >EOX94850 pep chromosome:Theobroma_cacao_20110822:1:31725166:31729108:-1 gene:TCM_004462 transcript:EOX94850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERDRRSCMSDQQVRQGFRSKLDIIRARRELCFLRPPKGLFPGALFCAFKVNLPLIHSWRWKLTQLRQPLIFHSLEEEEEEEERGGLLKSQT >EOX90765 pep chromosome:Theobroma_cacao_20110822:1:573104:574637:1 gene:TCM_000143 transcript:EOX90765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRSDNRPACCEDADTVAATRLRVFHVFKDRGDVVVSTKGKREICVRPLIFQFHQSQNEFPLIDDDDALLVIIGLSAPLNQCFINSKGTLQH >EOX95890 pep chromosome:Theobroma_cacao_20110822:1:35908715:35909767:-1 gene:TCM_005282 transcript:EOX95890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGIFLVGRKRIEGQGRGRGGTHQYFSLSPVNWVGNGGGGGGGNGQRISRDQIFDANPKKSELNRGDPLTTF >EOX96576 pep chromosome:Theobroma_cacao_20110822:1:38297776:38298754:1 gene:TCM_005802 transcript:EOX96576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGVKVELLQEHGRDQISSRNPERTTKSTDFSRAIDDFDSHANLTLNRSSPPSSMHRMH >EOX96442 pep chromosome:Theobroma_cacao_20110822:1:37823736:37826263:1 gene:TCM_005692 transcript:EOX96442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotyrosine protein phosphatases superfamily protein MYIEELKEGEQQDEDLSKLCGESLVVWDAKRVLVGAGSRALFYPTLFYNVVRNKIQSEFRWWDRVDEFILLGAVPFPTDVPRLKALGVSGVVTLNEPYETLVPTSLYYAHNIDHLVIPTRDYLFAPSFADICQAVDFIHHNASLGKTTYVHCKAGRGRSTTIVLCYLVEHRHMTPDAAYEYVRSIRPRVLLASAQRQAVQDYYLLKIKNNGIPGNSWHMIVKKTLVLPAKQDTAAFDDGSVVVITESDLDGYDASFDAGVSATEMLGEGSLAGRFQFASQAAIARLSCLWLRCHADQKTSRKPGPLSSLGIDIRVY >EOX93454 pep chromosome:Theobroma_cacao_20110822:1:13184829:13187925:-1 gene:TCM_002327 transcript:EOX93454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oberon 2 isoform 1 MGTSSGSNINHQHSSKMLPPRQQPRPGSLQTSLSLVSSDPRLSPDAQEPRSNSDNIHESPTESASSRETWPTADAVTAKKMENGKTENDCPEQSVIRRVSSADKITLRDIARERVDVISEKMHHLPDEFLDELKNQLRAILEGNGGSQNREEFLILQKFVQSRSDLTAKTLIRAHRAQLEILVAINMGIQAFLHPNISLSQTSLIEVFVYKRCRNIACQNQLPADDCTCEICANRNGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQICMGPSVKSGAGPTEMLFRCRACNRTSELLGWVKDVFQHCAPAWDREALMRELDFVSRIFHGSDDPRGKKLFWKCEDLIEKMRGGQAESAACRLILIFFQELDMDSPTSLENGEAGRLIAPQEACNRIADVVQEAMRKMEMVADEKMRMFKKARLSLDACDRELEDKAKEVAELKLERQKKKLQIEELERIVRLKQAEADMFQLKANEAKREAERLQRIALAKSDKSEEEYASCYLKLRLSEAEAEKQYLFEKIKLQESSRASQSSSGGDPSQVLMYSKIHDLLHGYNIPSKTESQPNERHSFRTNP >EOX93455 pep chromosome:Theobroma_cacao_20110822:1:13184363:13188989:-1 gene:TCM_002327 transcript:EOX93455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oberon 2 isoform 1 MGTSSGSNINHQHSSKMLPPRQQPRPGSLQTSLSLVSSDPRLSPDAQEPRSNSDNIHESPTESASSRETWPTADAVTAKKMENGKTENDCPEQSVIRRVSSADKITLRDIARERVDVISEKMHHLPDEFLDELKNQLRAILEGNGGSQNREEFLILQKFVQSRSDLTAKTLIRAHRAQLEILVAINMGIQAFLHPNISLSQTSLIEVFVYKRCRNIACQNQLPADDCTCEICANRNGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQICMGPSVKSGAGPTEMLFRCRACNRTSELLGWVKDVFQHCAPAWDREALMRELDFVSRIFHGSDDPRGKKLFWKCEDLIEKMRGGQAESAACRLILIFFQELDMDSPTSLENGEAGRLIAPQEACNRIADVVQEAMRKMEMVADEKMRMFKKARLSLDACDRELEDKAKEVAELKLERQKKKLQIEELERIVRLKQAEADMFQLKANEAKREAERLQRIALAKSDKSEEEYASCYLKLRLSEAEAEKQYLFEKIKLQESSRASQSSSGGDPSQVLMYSKIHDLLHGYNIPSKTESQPNERHSFRTNP >EOX93116 pep chromosome:Theobroma_cacao_20110822:1:10591905:10608610:1 gene:TCM_001960 transcript:EOX93116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 5 isoform 2 MRGILGGPDSGVMEDDQVILDPNSNLGMFLRRCILAFNLLTFEGICHLLTNIGIYCKEAISSCSSYELRRLDDSGNDLESLSEYENMDLNLVFKKINEEMEARKRATERVSFHLHLPKELSTLVEDIEVFADPKSEHYDKGRESSSYASSGDLLRDFDPNGGVFLRTNWQIQGYLTEQADAIEKHGSSFTLNAFELTLRQLQKLAPELHRVHFLRYLNSLYHDDYFSALENLHRYFDYSAGIEGFDFVPPTGCNSFGRYEIALLCLGMMHFHFGHPKQALEVLTEAVRVSQQHSNDTCLAYTLAAISNLLSEIGFSTTSGILGSPFSPMTSVGTSLSVQQQLFVLLKGSLKRAESLKLKQLVAANHLSMAKFDLTHVQRPLLSFGPKASMKLRTCPISVCKELRLGYHLISEFCCEGSTMTTDGAFSTAWLKNLQKPMGSLVLSQDNSSRNNSNPFLFCAQPSSIPGSVLQLVGSSYLHRATAWEIYGSAPLARVNALVYATCFADASSSSDAALVHVKLVQHLAVFKGYKEAFAALKIAEEKFLCVSKSWILILKLQLLHERALHRGHLKLAQQVCDELGVLASSVTAVDMDLKTEASLRHARTLLAAKQFSQAAAVAHSLFCMCYKFNLQVESASVLLLLAEIHMKSGNAVVGLPYALASLSYCQSFNLDLLRASATLTLAELWLSLGSNHTKTALSLIHGAFPMILGHGGLELSGRAYITEAKCYLSDPNFSVSDNPEVVLDPLRQASDELQALEYHELAAEAFYLMAIVFDKLGQLEKREEAAASFKNHIVALENSQNVEDLLLSV >EOX93115 pep chromosome:Theobroma_cacao_20110822:1:10591286:10609306:1 gene:TCM_001960 transcript:EOX93115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 5 isoform 2 MAGALKPPSAFAITPHKVSLCILVQIYASPSQVSVPFPFSSVSQHNRLGLYLLALTKSCDDILEPKLDQLISQLREIGGLLDHWLTDHLTSRLSSLSSPDDLFNFFNDMRGILGGPDSGVMEDDQVILDPNSNLGMFLRRCILAFNLLTFEGICHLLTNIGIYCKEAISSCSSYELRRLDDSGNDLESLSEYENMDLNLVFKKINEEMEARKRATERVSFHLHLPKELSTLVEDIEVFADPKSEHYDKGRESSSYASSGDLLRDFDPNGGVFLRTNWQIQGYLTEQADAIEKHGSSFTLNAFELTLRQLQKLAPELHRVHFLRYLNSLYHDDYFSALENLHRYFDYSAGIEGFDFVPPTGCNSFGRYEIALLCLGMMHFHFGHPKQALEVLTEAVRVSQQHSNDTCLAYTLAAISNLLSEIGFSTTSGILGSPFSPMTSVGTSLSVQQQLFVLLKGSLKRAESLKLKQLVAANHLSMAKFDLTHVQRPLLSFGPKASMKLRTCPISVCKELRLGYHLISEFCCEGSTMTTDGAFSTAWLKNLQKPMGSLVLSQDNSSRNNSNPFLFCAQPSSIPGSVLQLVGSSYLHRATAWEIYGSAPLARVNALVYATCFADASSSSDAALVHVKLVQHLAVFKGYKEAFAALKIAEEKFLCVSKSWILILKLQLLHERALHRGHLKLAQQVCDELGVLASSVTAVDMDLKTEASLRHARTLLAAKQFSQAAAVAHSLFCMCYKFNLQVESASVLLLLAEIHMKSGNAVVGLPYALASLSYCQSFNLDLLRASATLTLAELWLSLGSNHTKTALSLIHGAFPMILGHGGLELSGRAYITEAKCYLSDPNFSVSDNPEVVLDPLRQASDELQALEYHELAAEAFYLMAIVFDKLGQLEKREEAAASFKNHIVALENSQNVEDLLLSV >EOX92812 pep chromosome:Theobroma_cacao_20110822:1:8713750:8714158:1 gene:TCM_001682 transcript:EOX92812 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein MKKERNYKEGGRAPKGHFVVYVGEEMRRFVVPLSYLRSPPFQQLLEKAAEEFGFDSHNSIVLPCDDSTFQRISEVAAKCS >EOX93167 pep chromosome:Theobroma_cacao_20110822:1:11000702:11004311:1 gene:TCM_002014 transcript:EOX93167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTKRSEAENKSLKEKIEVLQSKMEVQQSEINSLNKELSETKARANKLETDLSDQSKIMNVVKMANEALKPGMRQLQQDFLDQDVQDSHVRNLESNNIAIDYNLFKNLENLEDFTNYPDPLWSETIGCSFGRGTSIAEAKLSPLHETVNVEGFRILKENSPMIQEIFREYPNIASGLQVCYLASRNGLMNSLAEDLVAQSWEEVEVKEEIKSTKAKLKLLE >EOX95966 pep chromosome:Theobroma_cacao_20110822:1:36174424:36181317:-1 gene:TCM_005333 transcript:EOX95966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein MANVVVNQEGVPENLRKQLAVAVRSIQWSYAIFWSLSATRQGVLQWGEGYYNGDIKTRKTVQVMELKADKIGLQRSEQLRELYESLLEGEIDQTKRPSAALSPEDLSDAEWFYLVCMSFVFNHGQGLPGRAFANGETIWLCNAQYADSKIFSRSLLAKTVVCFPYLGGVIELGVTELVPEDPSLLQHIKASLLDFSKPVCSEKSSSAPHNADDDRDPACVRVDHEIVDLLDLENLYSPTEEIKFDQEKFNELHENINENFNVSSPDECSNGCEQNHQMEDSFMLEDVNGVASQVQSWHFMDDDFSNGVQISINSSDCVSEAFANQEKAAISSPKQGSVSHSHFKELQEGNHTKLSSLDLGVRDDLHYRRTLSAILGTSNWLIESQGFHTSGYKSSFISWRKGEKANFHRPRVHQNIFKKILFAVPLMHSGSSLMSQKENGGKHCLGKLENDDDEKGYLLPEKRREEEKFLVLRSMVPSISEIDKASILKDTIKYLKELEARVEELESSMDSVDFEARPRRNCLDAMKQASDNHENRKVENVKKSWINKRKACDIDESHETGSEQSRVIPKDGLTSDVKVSIKELEVIIEIRCRSREFLLLDIMDAINNLHLDAHTVQSSTLEGVVIVTMKSKFRGAAIAPAGMIKQALQRVATRQKLFAEITHRTRNSPTRISVALSRYSTLCYRNPNHDDPVDPYADKDHVISWTSVLSKLVRQGQPEEAIGLFKTMLMSNQRPNYVTILSLVKAFDTLDWEALRMMVHGLVIKMGFESEPSVLTALIGSYSVYGMGVCWSLFNQIPNKDVVLRSAMVSACVKNGDYVEALELFRRMQVLGLKANHVSIVSILPACANLGALQLGREIHGFIIRRMICYVNTVQNSLVDMYAKCRSLQTAICVFNGMLKKDLVSWRTLIRGYVENECGIKALDAFSKMQRLSFFALDEFVVRDMIMAVLQSGESKIGSAFHCYILKTGFLAFVSIATALLQMYAKFSMVASARNVFDHISNKDVIAWNAMISAYAQTGLPFNAINTFRQMLLMNEKPSEFSLVSLLQICSLMASQEVSDKVGETIHAFVAKVGYSRNVYLSSALIDFYCRFGRVKQGKALFDEVPTKDLICWSSMINGYVLNGYGIEALETFANMLDCGIKPNDIIFLSVLSACSHCGLKNEGWNWFYSMKEKYGITPKLAHYACMVDLLSRQGHIEQALHFVKKMPMEPDKRIWGALLAGCRVSPGPIKIVEFVVERLSTLDPQNSTHYYMILSDLYAEEGRGEDAKRLRRLVDENA >EOX94236 pep chromosome:Theobroma_cacao_20110822:1:26553025:26557129:-1 gene:TCM_003773 transcript:EOX94236 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF3493 (Inter /.../R021883); BEST Arabidopsis thaliana protein match is: tetratricopeptide repeat (TPR)-containing protein (TAIR:AT1G02910.1); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G28740) TAIR;Acc:AT4G28740] MLTVTNQVTNQITSSSSCVAMASMAQFCYILGPSNLKQKYSSSRFGFENPIYRKNALSSIDLKFHAKPSSVSSSVVCSAANKPSSSSEISSAAKIRSEVLSPFRSVRMFFYLAFIASGALGGLIAFTQLIAALTNPARSSEVPDLLTSLGIDVAAVSIFAFLYFRENTAKNAQIARLSREESLSNLKLRVDQNKIISVSSLRGIARLVICAGPASFILESFKSSEPFTEGLLQRGVLVIPFATDGNSLSLDFDDSEDMKEITTKRKRLWQLTPVYVSEWSEWLDEQKKLAGVSPESPVYLSLRLDGRVRGSGVGYPPWNAFVAQLPPVKGLWSGLLDGMDGRVL >EOX94239 pep chromosome:Theobroma_cacao_20110822:1:26553019:26557129:-1 gene:TCM_003773 transcript:EOX94239 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF3493 (Inter /.../R021883); BEST Arabidopsis thaliana protein match is: tetratricopeptide repeat (TPR)-containing protein (TAIR:AT1G02910.1); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G28740) TAIR;Acc:AT4G28740] MLTVTNQVTNQITSSSSCVAMASMAQFCYILGPSNLKQKYSSSRFGFENPIYRKNALSSIDLKFHAKPSSVSSSVVCSAANKPSSSSEISSAAKIRSEVLSPFRSVRMFFYLAFIASGALGGLIAFTQLIAALTNPARSSEVPDLLTSLGIDVAAVSIFAFLYFRENTAKNAQIARLSREESLSNLKLRVDQNKIISVSSLRGIARLVICAGPASFILESFKSSEPFTEGLLQRGVLVIPFATDGNSLSLDFDDSEDMKEITTKRKRLWQLTPVYVSEWSEWLDEQKKLAGVSPESPVYLSLRLDGRVRGSGVGYPPWNAFVAQLPPVKGLWSGLLDGMDGRVL >EOX94238 pep chromosome:Theobroma_cacao_20110822:1:26553519:26556601:-1 gene:TCM_003773 transcript:EOX94238 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF3493 (Inter /.../R021883); BEST Arabidopsis thaliana protein match is: tetratricopeptide repeat (TPR)-containing protein (TAIR:AT1G02910.1); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G28740) TAIR;Acc:AT4G28740] MLTVTNQVTNQITSSSSCVAMASMAQFCYILGPSNLKQKYSSSRFGFENPIYRKNALSSIDLKFHAKPSSVSSSVVCSAANKPSSSSEISSAAKIRSEVLSPFRSVRMFFYLAFIASGALGGLIAFTQLIAALTNPARSSEVPDLLTSLGIDVAAVSIFAFLYFRENTAKNAQIARLSREESLSNLKLRVDQNKIISVSSLRGIARLVICAGPASFILESFKSSEPFTEGLLQRGVLVIPFATDGNSLSLDFDDSEDMKEITTKRKRLWQLTPVYVSEWSEWLDEQKKLAGVSPESPVYLSLRLDGRVRGSGVGYPPWNAFVAQLPPVKGLWSGLLDGMDGRVL >EOX94237 pep chromosome:Theobroma_cacao_20110822:1:26552727:26557129:-1 gene:TCM_003773 transcript:EOX94237 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF3493 (Inter /.../R021883); BEST Arabidopsis thaliana protein match is: tetratricopeptide repeat (TPR)-containing protein (TAIR:AT1G02910.1); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G28740) TAIR;Acc:AT4G28740] MLTVTNQVTNQITSSSSCVAMASMAQFCYILGPSNLKQKYSSSRFGFENPIYRKNALSSIDLKFHAKPSSVSSSVVCSAANKPSSSSEISSAAKIRSEVLSPFRSVRMFFYLAFIASGALGGLIAFTQLIAALTNPARSSEVPDLLTSLGIDVAAVSIFAFLYFRENTAKNAQIARLSREESLSNLKLRVDQNKIISVSSLRGIARLVICAGPASFILESFKSSEPFTEGLLQRGVLVIPFATDGNSLSLDFDDSEDMKEITTKRKRLWQLTPVYVSEWSEWLDEQKKLAGVSPESPVYLSLRLDGRVRGSGVGYPPWNAFVAQLPPVKGLWSGLLDGMDGRVL >EOX92457 pep chromosome:Theobroma_cacao_20110822:1:6929757:6930633:1 gene:TCM_001402 transcript:EOX92457 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA binding protein 1 MADSESGISNQVLEPSSTHPLGSPLVPTLQNVVSTVNLGCKLDLKFIALHARNSEYNPKRFAAVIMRIKEPKTTALIFSSGKIVCTGAKTEQQSLLAARKFARIIQKIGFDIQFKDFKIQNIVASCNMKFPISLVQLAAFHGKFTIYEPELFPGLIYRMKTPKVAILVFPSGNIVIAGAQKRDHIFQAFENIYPVLTSFKRNYK >EOX90644 pep chromosome:Theobroma_cacao_20110822:1:226142:229958:1 gene:TCM_000057 transcript:EOX90644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MSCSSSSGSEEDDEGIDSYRKGGYHAVRIGDPFAGGRYIAQRKLGWGQFSTVWLAYDTQSSKYVALKIQKSAQQFAQAALHEIEVLSSIADGDPSNSKCIVRLIDHFKHTGPNGQHLCMVLEFLGDSLLRLIRFNRYKGIELNKVREICKCILMGLDYLHRELGIIHTDLKPENILLFSTIDPTKDPIRSGLTPTLERPEGGTLNGGSTMNIIEKKLKRRARRAVANISVRRASMGGGGEAPESARCLDGIDVRCKVVDFGNACWADKPFAEEIQTRQYRAPEVILRSGYSCSVDMWSFACTAFELATGDMMFAPKSGQGFSDDEDHLALVMELLGKMPRKKIAIGGARSKDYFDRHGDLKRIRRLKFWPLDRLLVEKYEFSESDAREFAEFLCPLLDFSPEKRPTAQQCLQHPWLNLRSSGQNEMQGRSNVDVGMRNLQI >EOX90643 pep chromosome:Theobroma_cacao_20110822:1:226142:229958:1 gene:TCM_000057 transcript:EOX90643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MSCSSSSGSEEDDEGIDSYRKGGYHAVRIGDPFAGGRYIAQRKLGWGQFSTVWLAYDTQSSKYVALKIQKSAQQFAQAALHEIEVLSSIADGDPSNSKCIVRLIDHFKHTGPNGQHLCMVLEFLGDSLLRLIRFNRYKGIELNKVREICKCILMGLDYLHRELGIIHTDLKPENILLFSTIDPTKDPIRSGLTPTLERPEGGTLNGGSTMNIIEKKLKRRARRAVANISVRRASMGGGGEAPESARCLDGIDVRCKVVDFGNACWADKPFAEEIQTRQYRAPEVILRSGYSCSVDMWSFACTAFELATGDMMFAPKSGQGFSDDEDHLALVMELLGKMPRKIAIGGARSKDYFDRHGDLKRIRRLKFWPLDRLLVEKYEFSESDAREFAEFLCPLLDFSPEKRPTAQQCLQHPWLNLRSSGQNEMQGRSNVDVGMRNLQI >EOX95238 pep chromosome:Theobroma_cacao_20110822:1:33478534:33480115:-1 gene:TCM_004788 transcript:EOX95238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance protein ABC transporter family protein, putative MGNYISTVTCRPTSDTAGKVILWDGSVQQFNWPLTAAELMLEHPQQVVVEFHSAVNEKRPIPLPADSKLDMNKVYVMLPVKRGKPTTLSSEEARRVLLSANSVLRSKSILSSSKFLPLFAKICPANIGEIVGQRFPLQKRENVCEKPEEVRCLTEFDLLESLEGRPEYLNRQYSGKGWKPSLDTIKEKKVERKVPHWLF >EOX93583 pep chromosome:Theobroma_cacao_20110822:1:14070124:14073948:1 gene:TCM_002463 transcript:EOX93583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family isoform 2 MKNTAQSWFLGGPSSGGVDQQKSPSLLADWNAYAASQDADSSALGFDLEAAVRTTSDKVSGTFNVVSKGVRDLPGSFQSATSNVPSGKSLMYFGVFLAAGLFFVFIAFTMFLPVIVLVPQKFAICFTIGCALIVGSFFALKGPRNQLAHMSSKERLPFTLGFVGSMVGTIYVSMWLHSYILSVLFSVLQVITLSYYAMSYFPGGSAGLKFLSSTLASSVLRCFGR >EOX93584 pep chromosome:Theobroma_cacao_20110822:1:14070334:14073842:1 gene:TCM_002463 transcript:EOX93584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family isoform 2 MKNTAQSWFLGGPSSGGVDQQKSPSLLADWNAYAASQDADSSALGFDLEAAVRTTSDKVSGTFNVVSKGVRDLPGSFQSATSNVPSVIVLVPQKFAICFTIGCALIVGSFFALKGPRNQLAHMSSKERLPFTLGFVGSMVGTIYVSMWLHSYILSVLFSVLQVITLSYYAMSYFPGGSAGLKFLSSTLASSVLRCFGR >EOX93148 pep chromosome:Theobroma_cacao_20110822:1:10857906:10869140:-1 gene:TCM_001997 transcript:EOX93148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRTKEIEVARCHRLLSPRNLCSLVSPMEADSLGDSLAVSGSKRLKSDIQGNTVRVSEESRVEAEGETFEEDVSDNDSDDASIGPYNRGRDKSVDVGRKGMSREKDVDLMVDEGSKEGLDAHNEIAMDTDLVGVDIILEPRIANVKANNVCRRIGLPNFFRVEAAGFSGGIWILWDSNLVELKILAHSSQLVHTMISGSDTNCMPWLVCGDFNRVMLSEEKMGNRSVIPNLCNQMVDCLSYCNLSTLETSSLTFTWWNKRSGAAFTKVRLDRAIANSKDDKGRRWFQHCKEMMDPDAMTEQNFNLAIKEILDHEVELPIASYCNEYGGWDFDQLLQIFPHHIVLRILTVQIDPSSVEEDVVYWRLTSSGIFSVKTAYDVQMEKETPTESFWDQLWRLPSPRKVRVFLWRLIHDSLPIADWLISRNLGSSTTCFRCGACMEDILHALKDCRSAKPFWSSCETRVIEDDFFCRNLKSWIFDNISITANYESLHWNIFFIHALWFLWYWRNLNKFDEYFRWPSNEWQ >EOX92041 pep chromosome:Theobroma_cacao_20110822:1:5018139:5046235:1 gene:TCM_001062 transcript:EOX92041 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown complex protein 11 isoform 3 MTTLTVREAIYYSAQLQLPDSMSKSEKKERAEMTIREMGLQDSMDTRIGGWSTKGLSGGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYHVMSRIVKLARRDGRTVIASIHQPSSEVFQLFHDLCLLSSGKTVYFGPISMAEQFFATNGFPCPVLRNPSDHYLRTINKDFDEDIEQGKGSINTEKVIDTLVKSYKSSEIWKQVEQHVLKISQNRGGPLEKKGSQASFITQSIVLTKRSFVNMYRDLGYYWLRLAIYIALCLCVGTIFFDIGLTFGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVGAFVIGNTLSSVPYLFLISLIPGALAYYLVGLQKSFEHFAYFVILLFTCMMLVESLMMTVASIVPDFLMGIITGAGIQGVMMLNGGFFRLPDDLPKPFWRYPMYYIAFHKYANQGFYKNEFQGLTFPNNQAGGPPTITGDEILRNFWQVEMGYSKWIDIAILFGMVVIYRLIFWGIIKTVEKVKPLIKAYMAGKSVAPTQSSQISENPLSSP >EOX92040 pep chromosome:Theobroma_cacao_20110822:1:5040147:5046682:1 gene:TCM_001062 transcript:EOX92040 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown complex protein 11 isoform 3 MDSSGNVPRWTPSPARSPQKEPEPADHDDSEVQSIVSEEDNKKLSKMATNFPFSTDFTRSSNPPPDSGIHGVPSLRIEMEPVDCTTQGDGIFLTWTDLLVTVSGGKKGPRAILQGLTGYAQPGEVLAIMGPSGCGKSTLLDALAGRLSSNTRQIGEILINGRKETLAFGTSAYVTQDDTLMTTLTVREAIYYSAQLQLPDSMSKSEKKERAEMTIREMGLQDSMDTRIGGWSTKGLSGGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYHVMSRIVKLARRDGRTVIASIHQPSSEVFQLFHDLCLLSSGKTVYFGPISMAEQFFATNGFPCPVLRNPSDHYLRTINKDFDEDIEQGKGSINTEKVIDTLVKSYKSSEIWKQVEQHVLKISQNRGGPLEKKGSQASFITQSIVLTKRSFVNMYRDLGYYWLRLAIYIALCLCVGTIFFDIGLTFGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVGAFVIGNTLSSVPYLFLISLIPGALAYYLVGLQKSFEHFAYFVILLFTCMMLVESLMMTVASIVPDFLMGIITGAGIQGVMMLNGGFFRLPDDLPKPFWRYPMYYIAFHKYANQGFYKNEFQGLTFPNNQAGGPPTITGDEILRNFWQVEMGYSKWIDIAILFGMVVIYRLIFWGIIKTVEKVKPLIKAYMAGKSVAPTQSSQISENPLSSP >EOX92039 pep chromosome:Theobroma_cacao_20110822:1:5015543:5046235:1 gene:TCM_001062 transcript:EOX92039 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown complex protein 11 isoform 3 MDSSGNVPRWTPSPARSPQKEPEPADHDDSEVQSIVSEEDNKKLSKMATNFPFSTDFTRSSNPPPDSGIHGVPSLRIEMEPVDCTTQGDGIFLTWTDLLVTVSGGKKGPRAILQGLTGYAQPGEVLAIMGPSGCGKSTLLDALAGRLSSNTRQIGEILINGRKETLAFGTSAYVTQDDTLMTTLTVREAIYYSAQLQLPDSMSKSEKKERAEMTIREMGLQDSMDTRIGGWSTKGLSGGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYHVMSRIVKLARRDGRTVIASIHQPSSEVFQLFHDLCLLSSGKTVYFGPISMAEQFFATNGFPCPVLRNPSDHYLRTINKDFDEDIEQGKGSINTEKVIDTLVKSYKSSEIWKQVEQHVLKISQNRGGPLEKKGSQASFITQSIVLTKRSFVNMYRDLGYYWLRLAIYIALCLCVGTIFFDIGLTFGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVGAFVIGNTLSSVPYLFLISLIPGALAYYLVGLQKSFEHFAYFVILLFTCMMLVESLMMTVASIVPDFLMGIITGAGIQGVMMLNGGFFRLPDDLPKPFWRYPMYYIAFHKYANQGFYKNEFQGLTFPNNQAGGPPTITGDEILRNFWQVEMGYSKWIDIAILFGMVVIYRLIFWGIIKTVEKVKPLIKAYMAGKSVAPTQSSQISENPLSSP >EOX92042 pep chromosome:Theobroma_cacao_20110822:1:5015041:5045807:1 gene:TCM_001062 transcript:EOX92042 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown complex protein 11 isoform 3 MDSSGNVPRWTPSPARSPQKEPEPADHDDSEVQSIVSEEDNKKLSKMATNFPFSTGFTRSSNPPPDSGIHGVPSLRIEMEPVDCTTQGDGIFLTWTDLLVTVSGGKKGPRAILQGLTGYAQPGEVLAIMGPSGCGKSTLLDALAGRLSSNTRQIGEILINGRKETLAFGTSAYVTQDDTLMTTLTVREAIYYSAQLQLPDSMSKSEKKERAEMTIREMGLQDSMDTRIGGWSTKGLSGGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYHVMSRIVKLARRDGRTVIASIHQPSSEVFQLFHDLCLLSSGKTVYFGPISMAEQFFATNGFPCPVLRNPSDHYLRTINKDFDEDIEQGKGSINTEKVIDTLVKSYKSSEIWKQVEQHVLKISQNRGGPLEKKGSQASFITQSIVLTKRSFVNMYRDLGYYWLRLAIYIALCLCVGTIFFDIGLTFGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVGAFVIGNTLSSVPYLFLISLIPGALAYYLVGLQKSFEHFAYFVILLFTCMMLVESLMMTVASIVPDFLMGIITGAGIQGVMMLNGGFFRLPDDLPKPFWRYPMYYIAFHKYANQGFYKNEFQGLTFPNNQAGGPPTITGDEILRNFWQVEMGYSKWIDIAILFGMVVIYRLIFWGIIKTVEKVKPLIKAYMAGKSVAPTQSSQISENPLSSP >EOX94497 pep chromosome:Theobroma_cacao_20110822:1:29157116:29160281:-1 gene:TCM_004097 transcript:EOX94497 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 1 MEVISEEGSSYDRAKEVQDFDETKAGVKGLVDSGAIKIPKFFIHPLENLQKPNASGPSTVCFRVPVIDMEGFQGSRRKEILDGIVAASETWGFFQVVNHGVPLCIMDNMLEGVRQFHEQPKEVKMEWYSRDYKQPVRYFCNGNLVVNKGAASWRDSIAFDFHDGQLDPGFFPPVCREAVSEYIKHMMKLRKTLSELLSEALGLHSDYLASTGCMETESLVCHYYPACPEPDLTLGATKHSDPSFLTILLQENVRGLQVFHQNNWVDVPSLPGAFVINIGDFIQLITNGKFRSVEHRVLAGQVPRASVACFFYPSTANKFKPYAPIKELLTENNPPIYRETHVTEYMAYFRSKGLDGNSSLPHFKLQ >EOX94496 pep chromosome:Theobroma_cacao_20110822:1:29158572:29160846:-1 gene:TCM_004097 transcript:EOX94496 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 1 MNGHKSQPTKICALVALSNFRLTFVYMEVISEEGSSYDRAKEVQDFDETKAGVKGLVDSGAIKIPKFFIHPLENLQKPNASGPSTVCFRVPVIDMEGFQGSRRKEILDGIVAASETWGFFQVVNHGVPLCIMDNMLEGVRQFHEQPKEVKMEWYSRDYKQPVRYFCNGNLVVNKGAASWRDSIAFDFHDGQLDPGFFPPVCREAVSEYIKHMMKLRKTLSELLSEALGLHSDYLASTGCMETESLVCHYYPACPEPDLTLGATKHSDPSFLTILLQENVRGLQVFHQNNWVDVPSLPGAFVINIGDFIQLITNGKFRSVEHRVLAGQVPRASVACFFYPSTANKFKPYAPIKELLTENNPPIYRETHVTEYMAYFRSKGLDGNSSLPHFKLQ >EOX95336 pep chromosome:Theobroma_cacao_20110822:1:33780533:33784180:1 gene:TCM_004856 transcript:EOX95336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase isoform 3 MGTERKRKVSLFDVVDETSAKISKSNGGGIAAMNVNSSINKWNGRPYSQRYYEILEKRKTLPVWQQKEEFLQVLKANQTLILVGETGSGKTTQIPQFVLDAVDIETPDKRRKMMIACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFNGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKITKEAGNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPPPVKEDGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFNNDLQPQTYPEILRSNLANSVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTKLGEIMSEFPLDPQMSKMLVVSSEFNCSNEILSVAAMLSDEDSSWCYENFVNHRALKAADNVRQQLVRIMARFNLKLCSTDFNSRDYYINIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTSRNFIRTVTDIRGEWLVDIAPHYYDLENFPQCEAKRVLEKLYKKKEKDREESRNRR >EOX95339 pep chromosome:Theobroma_cacao_20110822:1:33780626:33782792:1 gene:TCM_004856 transcript:EOX95339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase isoform 3 MGTERKRKVSLFDVVDETSAKISKSNGGGIAAMNVNSSINKWNGRPYSQRYYEILEKRKTLPVWQQKEEFLQVLKANQTLILVGETGSGKTTQIPQFVLDAVDIETPDKRRKMMIACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFNGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKITKEAGNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPPPVKEDGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFNNDLQPQTYPEILRSNLANSVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTKLGEIMSEFPLDPQMSKMLVVSSEFNCSNEILSVAAMLSDSCSFSVFSHEANGVVSPVCLC >EOX95335 pep chromosome:Theobroma_cacao_20110822:1:33780533:33783082:1 gene:TCM_004856 transcript:EOX95335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase isoform 3 MGTERKRKVSLFDVVDETSAKISKSNGGGIAAMNVNSSINKWNGRPYSQRYYEILEKRKTLPVWQQKEEFLQVLKANQTLILVGETGSGKTTQIPQFVLDAVDIETPDKRRKMMIACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFNGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKITKEAGNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPPPVKEDGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFNNDLQPQTYPEILRSNLANSVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTKLGEIMSEFPLDPQMSKMLVVSSEFNCSNEILSVAAMLSGRHKKLQMKQKLGLGTLMGIILRF >EOX95338 pep chromosome:Theobroma_cacao_20110822:1:33780533:33783082:1 gene:TCM_004856 transcript:EOX95338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase isoform 3 MGTERKRKVSLFDVVDETSAKISKSNGGGIAAMNVNSSINKWNGRPYSQRYYEILEKRKTLPVWQQKEEFLQVLKANQTLILVGETGSGKTTQIPQFVLDAVDIETPDKRRKMMIACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFNGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKITKEAGNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPPPVKEDGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFNNDLQPQTYPEILRSNLANSVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTKLGEIMSEFPLDPQMSKMLVVSSEFNCSNEILSVAAMLSVPNCFVRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNSKYLDPFP >EOX95337 pep chromosome:Theobroma_cacao_20110822:1:33780533:33784079:1 gene:TCM_004856 transcript:EOX95337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase isoform 3 MGTERKRKVSLFDVVDETSAKISKSNGGGIAAMNVNSSINKWNGRPYSQRYYEILEKRKTLPVWQQKEEFLQVLKANQTLILVGETGSGKTTQIPQFVLDAVDIETPDKRRKMMIACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFNGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKITKEAGNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPPPVKEDGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFNNDLQPQTYPEILRSNLANSVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTKLGEIMSEFPLDPQMSKMLVVSSEFNCSNEILSVAAMLSDQVSGALASIKLPGSQARVGHLQ >EOX95342 pep chromosome:Theobroma_cacao_20110822:1:33780626:33782543:1 gene:TCM_004856 transcript:EOX95342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase isoform 3 MGTERKRKVSLFDVVDETSAKISKSNGGGIAAMNVNSSINKWNGRPYSQRYYEILEKRKTLPVWQQKEEFLQVLKANQTLILVGETGSGKTTQIPQFVLDAVDIETPDKRRKMMIACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFNGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKITKEAGNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPPPVKEDGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFNNDLQPQTYPEILRSNLANSVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTKLGEIMSEFPLDPQMSKMLVVSSEFNCSNEILSVAAMLSGIFFFFLACFSVFVLSQVLGSSCHGALIILDIVPT >EOX95341 pep chromosome:Theobroma_cacao_20110822:1:33780533:33782863:1 gene:TCM_004856 transcript:EOX95341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase isoform 3 MGTERKRKVSLFDVVDETSAKISKSNGGGIAAMNVNSSINKWNGRPYSQRYYEILEKRKTLPVWQQKEEFLQVLKANQTLILVGETGSGKTTQIPQFVLDAVDIETPDKRRKMMIACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFNGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKITKEAGNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPPPVKEDGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFNNDLQPQTYPEILRSNLANSVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTKLGEIMSEFPLDPQMSKMLVVSSEFNCSNEILSVAAMLSVFSHEANGVVSPVCLC >EOX95340 pep chromosome:Theobroma_cacao_20110822:1:33780533:33784180:1 gene:TCM_004856 transcript:EOX95340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase isoform 3 MGTERKRKVSLFDVVDETSAKISKSNGGGIAAMNVNSSINKWNGRPYSQRYYEILEKRKTLPVWQQKEEFLQVLKANQTLILVGETGSGKTTQIPQFVLDAVDIETPDKRRKMMIACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFNGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKITKEAGNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPPPVKEDGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFNNDLQPQTYPEILRSNLANSVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTKLGEIMSEFPLDPQMSKMLVVSSEFNCSNEILSVAAMLSGRHKKLQMKQKLGLGTLMGIILRF >EOX95333 pep chromosome:Theobroma_cacao_20110822:1:33780533:33782863:1 gene:TCM_004856 transcript:EOX95333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase isoform 3 MGTERKRKVSLFDVVDETSAKISKSNGGGIAAMNVNSSINKWNGRPYSQRYYEILEKRKTLPVWQQKEEFLQVLKANQTLILVGETGSGKTTQIPQFVLDAVDIETPDKRRKMMIACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFNGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKITKEAGNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPPPVKEDGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFNNDLQPQTYPEILRSNLANSVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTKLGEIMSEFPLDPQMSKMLVVSSEFNCSNEILSVAAMLSVSQYSLMKQMVSSRLYASADLPWASSVITKFFL >EOX95332 pep chromosome:Theobroma_cacao_20110822:1:33780513:33784207:1 gene:TCM_004856 transcript:EOX95332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase isoform 3 MGTERKRKVSLFDVVDETSAKISKSNGGGIAAMNVNSSINKWNGRPYSQRYYEILEKRKTLPVWQQKEEFLQVLKANQTLILVGETGSGKTTQIPQFVLDAVDIETPDKRRKMMIACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFNGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKITKEAGNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPPPVKEDGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFNNDLQPQTYPEILRSNLANSVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTKLGEIMSEFPLDPQMSKMLVVSSEFNCSNEILSVAAMLSVPNCFVRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDSSWCYENFVNHRALKAADNVRQQLVRIMARFNLKLCSTDFNSRDYYINIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTSRNFIRTVTDIRGEWLVDIAPHYYDLENFPQCEAKRVLEKLYKKKEKDREESRNRR >EOX95334 pep chromosome:Theobroma_cacao_20110822:1:33780285:33784420:1 gene:TCM_004856 transcript:EOX95334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase isoform 3 MGTERKRKVSLFDVVDETSAKISKSNGGGIAAMNVNSSINKWNGRPYSQRYYEILEKRKTLPVWQQKEEFLQVLKANQTLILVGETGSGKTTQIPQFVLDAVDIETPDKRRKMMIACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFNGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKITKEAGNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPPPVKEDGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFNNDLQPQTYPEILRSNLANSVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTKLGEIMSEFPLDPQMSKMLVVSSEFNCSNEILSVAAMLSVPNCFVRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDSSWCYENFVNHRALKAADNVRQQLVRIMARFNLKLCSTDFNSRDYYINIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTSRNFIRTVTDIRGECVRPSVFLKSFTRKRRRIERRVGTEDEAYIHTSCFDCNFSMVLLRYYICWLTNWKIAKFLVSL >EOX92310 pep chromosome:Theobroma_cacao_20110822:1:6173745:6186329:-1 gene:TCM_001271 transcript:EOX92310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of Uncharacterized protein function (DUF1726),Putative ATPase (DUF699) isoform 1 MRKKVDERIRTLIENGVKTRHRSMFVIIGDKSRDQIVNLHYMLSKAVIKSRPTVLWCYKDKLELSSHKKKRAKQIKKLMQRGLLDPEKVDPFSLFVETGGLTYCLYKDSERILGNTFGMCILQDFEALTPNLLARTIETVQGGGLIVLLLRSLSSLTSLCTMVMDVHERYRTESHSEAAGRFNERFLLSLASCKACVVMDDELNILPISSHIKSINPVPVNEDSEGLSEAERELKNLKEELNEDFPVGPLIKKCCTLDQGKAVITFLDAILDKNLRSTVALLAARGRGKSAALGLAIAGAVAAGYSNIFVTAPSPENLKTLFEFVCKGFDAIEYKEHIDYDVVKSVNPEFKKATVRINIYKQHRQTIQYIQPHEHEKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEEQSQMSKGAEGSLSGRLFKKIELSESIRYASADPIESWLNALLCLDVTNSVPSISRLPPPSECDLYYVNRDTLFSYHKDSELFLQRMMALYVSSHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVSLEGQISRKSAIKSLSDGYQPHGDQIPWKFCEQFRDAVFPSLSGARIVRIATHPSAMRLGYGSAAVELLTRYYEGQLTSISELDFEDAETPQGPQLRLTEAAEKVSLLEENIKPRTDLPPLLVHLRERQPEKLHYLGVSFGLTLDLFRFWKKHKFAPFYICQIPNNVTGEHTCMVLKPLNNDDFEVSGFDEWGFFSPFYQEFRLKFSRNLSHHFHNMEYKLALSVLDPKIDFTDIEPTASTSDGFSKLINTLLSPYDMGRLKDYTNNLIDYLSISDLVSNLAHLYFQEKIPVTLSYVQASILFCMGLQNQDVSYVEEQMKKLERQQILSQFKKVMIKLYKYLYRIASKEIDSALPRLKERVLEPLSISVDEDLNDAAKKVEAEMKAKTDGLLNPEFLQQYAIEGREADLEIALQNGEKMFSGGLISVKSSRSGVEKHGKQKESNKSGKKRGKDDRGAKSNKKRKS >EOX92309 pep chromosome:Theobroma_cacao_20110822:1:6176556:6186329:-1 gene:TCM_001271 transcript:EOX92309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of Uncharacterized protein function (DUF1726),Putative ATPase (DUF699) isoform 1 MRKKVDERIRTLIENGVKTRHRSMFVIIGDKSRDQIVNLHYMLSKAVIKSRPTVLWCYKDKLELSSHKKKRAKQIKKLMQRGLLDPEKVDPFSLFVETGGLTYCLYKDSERILGNTFGMCILQDFEALTPNLLARTIETVQGGGLIVLLLRSLSSLTSLCTMVMDVHERYRTESHSEAAGRFNERFLLSLASCKACVVMDDELNILPISSHIKSINPVPVNEDSEGLSEAERELKNLKEELNEDFPVGPLIKKCCTLDQGKAVITFLDAILDKNLRSTVALLAARGRGKSAALGLAIAGAVAAGYSNIFVTAPSPENLKTLFEFVCKGFDAIEYKEHIDYDVVKSVNPEFKKATVRINIYKQHRQTIQYIQPHEHEKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEEQSQMSKGAEGSLSGRLFKKIELSESIRYASADPIESWLNALLCLDVTNSVPSISRLPPPSECDLYYVNRDTLFSYHKDSELFLQRMMALYVSSHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVSLEGQISRKSAIKSLSDGYQPHGDQIPWKFCEQFRDAVFPSLSGARIVRIATHPSAMRLGYGSAAVELLTRYYEGQLTSISELDFEDAETPQGPQLRLTEAAEKVSLLEENIKPRTDLPPLLVHLRERQPEKLHYLGVSFGLTLDLFRFWKKHKFAPFYICQIPNNVTGEHTCMVLKPLNNDDFEVSGFDEWGFFSPFYQEFRLKFSRNLSHHFHNMEYKLALSVLDPKIDFTDIEPTASTSDGFSKLINTLLSPYDMGRLKDYTNNLIDYLSISDLVSNLAHLYFQEKIPVTLSYVQASILFCMGLQNQDVSYVEEQMKKLERQQILSQFKKVMIKLYKYLYRIASKEIDSALPRLKERVLEPLSISVDEDLNDAAKKVEAEMKAKTDGLLNPEFLQQYAIEGREADLEIALQNGEKMFSGGLISVKSSRSGVEKHGKQKESNKSGKKRGKDDRGAKSNKKRKS >EOX92308 pep chromosome:Theobroma_cacao_20110822:1:6176865:6186863:-1 gene:TCM_001271 transcript:EOX92308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of Uncharacterized protein function (DUF1726),Putative ATPase (DUF699) isoform 1 MRKKVDERIRTLIENGVKTRHRSMFVIIGDKSRDQIVNLHYMLSKAVIKSRPTVLWCYKDKLELSSHKKKRAKQIKKLMQRGLLDPEKVDPFSLFVETGGLTYCLYKDSERILGNTFGMCILQDFEALTPNLLARTIETVQGGGLIVLLLRSLSSLTSLCTMVMDVHERYRTESHSEAAGRFNERFLLSLASCKACVVMDDELNILPISSHIKSINPVPVNEDSEGLSEAERELKNLKEELNEDFPVGPLIKKCCTLDQGKAVITFLDAILDKNLRSTVALLAARGRGKSAALGLAIAGAVAAGYSNIFVTAPSPENLKTLFEFVCKGFDAIEYKEHIDYDVVKSVNPEFKKATVRINIYKQHRQTIQYIQPHEHEKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEEQSQMSKGAEGSLSGRLFKKIELSESIRYASADPIESWLNALLCLDVTNSVPSISRLPPPSECDLYYVNRDTLFSYHKDSELFLQRMMALYVSSHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVSLEGQISRKSAIKSLSDGYQPHGDQIPWKFCEQFRDAVFPSLSGARIVRIATHPSAMRLGYGSAAVELLTRYYEGQLTSISELDFEDAETPQGPQLRLTEAAEKVSLLEENIKPRTDLPPLLVHLRERQPEKLHYLGVSFGLTLDLFRFWKKHKFAPFYICQIPNNVTGEHTCMVLKPLNNDDFEVSGFDEWGFFSPFYQEFRLKFSRNLSHHFHNMEYKLALSVLDPKIDFTDIEPTASTSDGFSKLINTLLSPYDMGRLKDYTNNLIDYLSISDLVSNLAHLYFQEKIPVTLSYVQASILFCMGLQNQDVSYVEEQMKKLERQQILSQFKKVMIKLYKYLYRIASKEIDSALPRLKERVLEPLSISVDEDLNDAAKKVEAEMKAKTDGLLNPEFLQQYAIEGREADLEIALQNGEKMFSGGLISVKSSRSGVEKHGKQKESNKSGKKRGKDDRGAKSNKKRKS >EOX92307 pep chromosome:Theobroma_cacao_20110822:1:6173629:6186329:-1 gene:TCM_001271 transcript:EOX92307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of Uncharacterized protein function (DUF1726),Putative ATPase (DUF699) isoform 1 MRKKVDERIRTLIENGVKTRHRSMFVIIGDKSRDQIVNLHYMLSKAVIKSRPTVLWCYKDKLELSSHKKKRAKQIKKLMQRGLLDPEKVDPFSLFVETGGLTYCLYKDSERILGNTFGMCILQDFEALTPNLLARTIETVQGGGLIVLLLRSLSSLTSLCTMVMDVHERYRTESHSEAAGRFNERFLLSLASCKACVVMDDELNILPISSHIKSINPVPVNEDSEGLSEAERELKNLKEELNEDFPVGPLIKKCCTLDQGKAVITFLDAILDKNLRSTVALLAARGRGKSAALGLAIAGAVAAGYSNIFVTAPSPENLKTLFEFVCKGFDAIEYKEHIDYDVVKSVNPEFKKATVRINIYKQHRQTIQYIQPHEHEKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEEQSQMSKGAEGSLSGRLFKKIELSESIRYASADPIESWLNALLCLDVTNSVPSISRLPPPSECDLYYVNRDTLFSYHKDSELFLQRMMALYVSSHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVSLEGQISRKSAIKSLSDGYQPHGDQIPWKFCEQFRDAVFPSLSGARIVRIATHPSAMRLGYGSAAVELLTRYYEGQLTSISELDFEDAETPQGPQLRLTEAAEKVSLLEENIKPRTDLPPLLVHLRERQPEKLHYLGVSFGLTLDLFRFWKKHKFAPFYICQIPNNVTGEHTCMVLKPLNNDDFEVSGFDEWGFFSPFYQEFRLKFSRNLSHHFHNMEYKLALSVLDPKIDFTDIEPTASTSDGFSKLINTLLSPYDMGRLKDYTNNLIDYLSISDLVSNLAHLYFQEKIPVTLSYVQASILFCMGLQNQDVSYVEEQMKKLERQQILSQFKKVMIKLYKYLYRIASKEIDSALPRLKERVLEPLSISVDEDLNDAAKKVEAEMKAKTDGLLNPEFLQQYAIEGREADLEIALQNGEKMFSGGLISVKSSRSGVEKHGKQKESNKSGKKRGKDDRGAKSNKKRKS >EOX94636 pep chromosome:Theobroma_cacao_20110822:1:30652004:30655924:-1 gene:TCM_004271 transcript:EOX94636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indeterminate(ID)-domain 12 MFPAAMSNSTSLSEEASVSSGTRVQDFGGLNPIVSTVSPQTQPQKVKKKRSLPGNPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNSKEIKKRAYVCPEPTCVHHHPSRALGDLTGIKKHYCRKHGEKKWKCEKCSKIYAVQSDWKAHSKTCGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARLSANQLASAATIPALHHLPSQTNPNPSSLFPFQTHISHNPWDSTPTPNPNPNNPLHVKPESHHFSPFYQEPPPPPPKALITTPFQNLHVSNNPSSNAATSAIPHLSATALLQKAATVGATATQINNNNNMASHVSPDFMGFAAGNLVTWHKSNDRFTRDFLGLTGDHQHPHHHHYHGGGNGNVNISMNVRDVLTYAGGVELQHLERNHSRLKPQGFGFAEPASETWGDC >EOX95463 pep chromosome:Theobroma_cacao_20110822:1:34284134:34288981:-1 gene:TCM_004950 transcript:EOX95463 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein isoform 2 MDAAKFSFNDDEDAFVTDSQLGKLVEKKSVVVWIQKAAKFRAMRIMRRHGWQRPLHPLQMVGMAVYSFLVVAFYTFLGLLLGNRIAEIIVTTIFSFVALSVMFLFIRCTAIDPTDKTSSRKKKRDKSKGVLKLNYGFILTQIVVRFFRRLEKKILRTFIRRKYLDPLKTNVQMEPLLPFPLVMKDDAISPDLKEDDISYCSLCDFEVKKHSKHCRTCNRCVEGFDHHCRWLNNCVGKRNYTTFILLMIFVLLMLILEGGTAIAIFVRCFADKIGIEQELEKRLYIEFPREVLATITILLALFTAYGSAAMGQLFFFHVVLIRKGMRTYDYILAMKEENQFTVDDPFDDSDISSDDSSDFDSPEKPTFVSRFLCRGQRTQNPSRLSIRIDGDPEASTLTSKKQGFHVSIDPWKLINLSREKALLAAEKARERLMKPKLAVELDPLKPLPLETKRGPLMNPERNIANSGTATTPLISKGRLPGSPGRFSSPRRRFSGSTTMFSSVMPSPKQKYRSNFDLKLTEVSKELETYISRQVLCSVIKKDGSEASPR >EOX95464 pep chromosome:Theobroma_cacao_20110822:1:34283190:34287810:-1 gene:TCM_004950 transcript:EOX95464 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein isoform 2 MRIMRRHGWQRPLHPLQMVGMAVYSFLVVAFYTFLGLLLGNRIAEIIVTTIFSFVALSVMFLFIRCTAIDPTDKTSSRKKKRDKSKGVLKLNYGFILTQIVVRFFRRLEKKILRTFIRRKYLDPLKTNVQMEPLLPFPLVMKDDAISPDLKEDDISYCSLCDFEVKKHSKHCRTCNRCVEGFDHHCRWLNNCVGKRNYTTFILLMIFVLLMLILEGGTAIAIFVRCFADKIGIEQELEKRLYIEFPREVLATITILLALFTAYGSAAMGQLFFFHVVLIRKGMRTYDYILAMKEENQFTVDDPFDDSDISSDDSSDFDSPEKPTFVSRFLCRGQRTQNPSRLSIRIDGDPEASTLTSKKQGFHVSIDPWKLINLSREKALLAAEKARERLMKPKLAVELDPLKPLPLETKRGPLMNPERNIANSGTATTPLISKGRLPGSPGRFSSPRRRFSGSTTMFSSVMPSPKQKYRSNFDLKLTEVSKELETYISRQVLCSVIKKDGSEASPR >EOX91773 pep chromosome:Theobroma_cacao_20110822:1:3783936:3788013:1 gene:TCM_000852 transcript:EOX91773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MRRFSSKTLCLIARQRHLSLSSYPNTYHFHILPDNNNNNNNSNSLNLLSSNSKSGFGLVTLETKQPTLKSDNDQQTDDFASDVEKIYRILRKFHTRVPKLNLALQQSGVVFRPGLTERVLNRCGDAGNLGYKFFTWASKQPGYHPSYEIYKAMIKILGKMRQFGAVWALIEEIKRENPHFITAELFILLIRRFASSRMVKKAIEVFDEMPKYGCLQDDAVFGSLLDALCKNGNVKEAALVFEEMRVRFLPNLKHFTSLLYGWCKEGRILEAKHVLVQMKEAGFEPDIVVFNNLLSGYVLGNKMGDAFDLLKEMRKKGIDPNANSYTIVIQGLCKADRMEEAMRVFVDMERNGCRGDVVVYTTLISGFCKWGRVEKGYEVLDRMISEGLMPNSLTYLHIMLAHEKKDELEECLELMEEMRKIGCVPDGGIYNVVVRLACKLEEVKEAARVWNEMEGRGFSPGVDNFIVMIHGFIGQGCLVEACEYFKEMAGRGLFCVPQYGILKDLLNSLLRAEKLEMAKNVWSCIVSKGCELNVSAWTIWVHALFSKGHVKEACSYCLEMMDVDVMPQPDTFAKLMRGLRKLYNRQIAAEITEKVRKMAADREITFKMYKRRGQRDLKEKVKEKADGRKRRARRRRWGGACSRANIL >EOX95628 pep chromosome:Theobroma_cacao_20110822:1:34972483:34975645:-1 gene:TCM_005082 transcript:EOX95628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKIFNWVQRRFHHNVLKDGLARNVKKTDSIAIDTNTKALLEQVALVDVLDGWRDGILTIGTFGFDPLKSFSEQKEYLASESEEEEEEEEEEERYSDNNDEEDDDDDHDDDDNNNDEEVNPLMFSTFEHSFEDVESDVDHPKYGKPDVTMTFDRVAGSTDHEIKFDLDATEGHSGKLRRRTTLADLFSEDSDMKKKPSPLALDSNSCKRASVRTKNGLSFAKKLIPQVGEDSRPIKKLHQMMRRMLKRKIHPELEGKGSKLEGQSKASVIDVLGSKKHEAGESVSLLQTPDAAIA >EOX95166 pep chromosome:Theobroma_cacao_20110822:1:33140283:33530048:1 gene:TCM_004722 transcript:EOX95166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pro, putative MDATELCLVPDVLIPAKFKVPEFEKYDGTKCPMAHITMYCRKMAAQSHDDKLLIHFFQDSLTGSAARWYVQLDRNRIKTWKDLARAFIAQYKHVAELAHDRLSLQTMEKKQSENFKEYAQRWRDTAAQVQPPLTDKEMTVLFINTLRAPFYERLIGNATKNFADLVLSGEIIEGAIKSGKIEGHEVASSKKGSTPKEERRGCASSRSRQPTSPQL >EOX92717 pep chromosome:Theobroma_cacao_20110822:1:8256843:8259530:1 gene:TCM_001616 transcript:EOX92717 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MKCPSPFETSSLFVGPMVDSIPTIAPTCNPTFGAGRCEETRACHCYHILHKSWNTSSFLPFFYAGYDLKDITYAPTRKVEALALGMGGPGQAARIPLPNSTLLFQRKIHILSSYPHFALKLPTMQEDKKAKVKKGWLAVEVGLEEEGEFQRFEIPISYLYHPLFRRLLEKAHEVYGYHTTGPLRLPCSTDDFLNLKWRIEKESNHHNHHHHHHHHLPLTLSFHSC >EOX92600 pep chromosome:Theobroma_cacao_20110822:1:7677589:7681890:-1 gene:TCM_001529 transcript:EOX92600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 14 isoform 4 MWACRLTQRTDFSFEGYRWQPKYCKMPEFERFSFLRRMQDKTIAFIGDSLGRQQFQSMMCMASGGEESPEVEDVAREYGLVKPRGAKRPDGWVYRFPNTNTTILYYWSASLSDLVPINGTDRASDVAMHLDRPPAFLRRFLHRFDVLVLNTGHHWNRGKLTANRWVMHVNGKPNDNKELEDVRNAKNFTVHNVVRWLDSQLPSHPRLKTFFRTISPRHFHDGDWNTGGTCNNTVPLTGGSEVLQDESIDRVVESAVRGTKVKILDITAISQLRDEAHMSGYSIRPTQGINDCLHWCLPGVPDTWNELLIAQI >EOX92597 pep chromosome:Theobroma_cacao_20110822:1:7677369:7683626:-1 gene:TCM_001529 transcript:EOX92597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 14 isoform 4 MMKGCNFNILKGTHVSIALLTLGFVTVMLWAWEKNPFLATLLLAQQNFRLPSSEFLVDSPINSSVSMSPKGHREEVNESMLTKETKRVGERNASPTTSITTFTSEVKDSDGEELSTSKNTDCNYAKGRWVADSRRPFYSGFGCKQWLSGMWACRLTQRTDFSFEGYRWQPKYCKMPEFERFSFLRRMQDKTIAFIGDSLGRQQFQSMMCMASGGEESPEVEDVAREYGLVKPRGAKRPDGWVYRFPNTNTTILYYWSASLSDLVPINGTDRASDVAMHLDRPPAFLRRFLHRFDVLVLNTGHHWNRGKLTANRWVMHVNGKPNDNKELEDVRNAKNFTVHNVVRWLDSQLPSHPRLKTFFRTISPRHFHDGDWNTGGTCNNTVPLTGGSEVLQDESIDRVVESAVRGTKVKILDITAISQLRDEAHMSGYSIRPTQGINDCLHWCLPGVPDTWNELLIAQI >EOX92599 pep chromosome:Theobroma_cacao_20110822:1:7677529:7683627:-1 gene:TCM_001529 transcript:EOX92599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 14 isoform 4 MMKGCNFNILKGTHVSIALLTLGFVTVMLWAWEKNPFLATLLLAQQNFRLPSSDCNYAKGRWVADSRRPFYSGFGCKQWLSGMWACRLTQRTDFSFEGYRWQPKYCKMPEFERFSFLRRMQDKTIAFIGDSLGRQQFQSMMCMASGGEESPEVEDVAREYGLVKPRGAKRPDGWVYRFPNTNTTILYYWSASLSDLVPINGTDRASDVAMHLDRPPAFLRRFLHRFDVLVLNTGHHWNRGKLTANRWVMHVNGKPNDNKELEDVRNAKNFTVHNVVRWLDSQLPSHPRLKTFFRTISPRHFHDGDWNTGGTCNNTVPLTGGSEVLQDESIDRVVESAVRGTKVKILDITAISQLRDEAHMSGYSIRPTQGINDCLHWCLPGVPDTWNELLIAQI >EOX92598 pep chromosome:Theobroma_cacao_20110822:1:7677843:7683325:-1 gene:TCM_001529 transcript:EOX92598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 14 isoform 4 MMKGCNFNILKGTHVSIALLTLGFVTVMLWAWEKNPFLATLLLAQQNFRLPSSGHREEVNESMLTKETKRVGERNASPTTSITTFTSEVKDSDGEELSTSKNTDCNYAKGRWVADSRRPFYSGFGCKQWLSGMWACRLTQRTDFSFEGYRWQPKYCKMPEFERFSFLRRMQDKTIAFIGDSLGRQQFQSMMCMASGGEESPEVEDVAREYGLVKPRGAKRPDGWVYRFPNTNTTILYYWSASLSDLVPINGTDRASDVAMHLDRPPAFLRRFLHRFDVLVLNTGHHWNRGKLTANRWVMHVNGKPNDNKELEDVRNAKNFTVHNVVRWLDSQLPSHPRLKTFFRTISPRHFHDGDWNTGGTCNNTVPLTGGSEVLQDESIDRVVESAVRGTKVKILDITAISQLRDEAHMSGYSIRPTQGINDCLHWCLPGVPDTWNELLIAQI >EOX93875 pep chromosome:Theobroma_cacao_20110822:1:17028263:17029521:1 gene:TCM_002866 transcript:EOX93875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKVMPVSFKSIQLHGAYKCWLYFVVARMLPVKHVSDITKDKALLLYCILIGKAIDIGRLIYNTIFLSTNTPREGIWFPSLIIELCKRVSVKWGSREKLLYPKGSIDDAIIEKFMQQDLSTTGGSSSTVKP >EOX92695 pep chromosome:Theobroma_cacao_20110822:1:8141708:8142961:1 gene:TCM_001599 transcript:EOX92695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SETD1A, putative MPYLLLTQEPKKKKRKEKMLPLKLVREAFTQHPLLLSQHHHRHHSKSDLEDDDDENDASKPSIYNFYYYSSKSTKPRTPKSKTKPKTPLLLFLPTKEVICDTFRLATIAREMGMDFYPTPSLSHIVFSYSSSSPSPSPSSSSASSSSASSSALSLSSSFSMPLPHGAVLVSFPSLSSSSLSHLRSFVSLSKGLFKLVFISSGSDYDAKFDDSRNWDCCSISLFQRLTGDRIESMDAFSRALAGMGWTLFNTRKNPSLNSANPATKSVYLFRKVDSGRVRGGRGNGECRIRELRLPSLDFRNAPLKILQYIVLMTDDVFYLG >EOX93207 pep chromosome:Theobroma_cacao_20110822:1:11274415:11292676:-1 gene:TCM_002050 transcript:EOX93207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MVVQLLSFRFGGPRCPLCCSDPPPIYTRFLGSGGGAPLPCQTRKIRVWQVREVPGRNFQVCMKSWKHQGLSLQGFYIEHHMVIWRWIWNTTKKLSYRPMASSALHLPLSSPSIAIAAAPNNNPFHALSHSSQTIISSPPPNPTLRTPTIRSRLSQLCQQGHPHLARQIFDTIAEPKTVLWNTIVIGFICNNMPQEALLFYSHMKNSSPHTKCDSYTYSSVLKACALLRNLRIGKAVHCHFIRGLTNPSRIVYNALLNFYATCLSSSDNKEMGGYIKGFDHSKHDLVCAVFNMMRKRDVVAWNTMISWYRKTERYLEAVILFKKMMKMGIRLSAVSFVNVFPALSGLEDYNNAEVLYGMLLKLGSECVDDLYVASSAIFMFAELGCLDFARKIFDNCSQGNIEIWNTMIGGYLQNNCPVEGIKLFLQAMESETVFDDVTFLSALSAVSQLQWLDLAQQLHAYIIKNLSKLPVIVANAILVMYSRCNSIHTSFEVFDKMPERDVISWNTMVSAFVQNGLDDEGLLLVYEMQKQGFLVDSVTVTALLSAASNLRNREIGKQTHAYLLRHGIQFQGMESYIIDMYAKSGLIRNSQLLFEKSNSCNRDQATWNAMIAGLAQNGLVEEAIIVFKQMLQQNVMPNAVTLASVLPACSLMGNVDLGKQLHGFSVRNLLDQNVFVGTALVDMYSKSGAIKLAESMFFDIPEKNAVTYTTMILGYGQHGMGERALSLFRSMQASNIQPDAITFVAVLSACAYAGLVDEGLHIFRSMEREFKIHPSTEHYCCVTDMLGKVGRVVEAYEFVEQLGEEGNSVEIWGSLLASCRLHQKFDLGEVVAKKLLQTDIRNSMTGYHVLLSNLYAGEGNWDNVGRVRREMKEKGIRKDVGCSWIQVAGCVNCFASKDQEHPQSDEIYNLLGLFKKMKNADYY >EOX93208 pep chromosome:Theobroma_cacao_20110822:1:11275250:11279123:-1 gene:TCM_002050 transcript:EOX93208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MPQEALLFYSHMKNSSPHTKCDSYTYSSVLKACALLRNLRIGKAVHCHFIRGLTNPSRIVYNALLNFYATCLSSSDNKEMGGYIKGFDHSKHDLVCAVFNMMRKRDVVAWNTMISWYRKTERYLEAVILFKKMMKMGIRLSAVSFVNVFPALSGLEDYNNAEVLYGMLLKLGSECVDDLYVASSAIFMFAELGCLDFARKIFDNCSQGNIEIWNTMIGGYLQNNCPVEGIKLFLQAMESETVFDDVTFLSALSAVSQLQWLDLAQQLHAYIIKNLSKLPVIVANAILVMYSRCNSIHTSFEVFDKMPERDVISWNTMVSAFVQNGLDDEGLLLVYEMQKQGFLVDSVTVTALLSAASNLRNREIGKQTHAYLLRHGIQFQGMESYIIDMYAKSGLIRNSQLLFEKSNSCNRDQATWNAMIAGLAQNGLVEEAIIVFKQMLQQNVMPNAVTLASVLPACSLMGNVDLGKQLHGFSVRNLLDQNVFVGTALVDMYSKSGAIKLAESMFFDIPEKNAVTYTTMILGYGQHGMGERALSLFRSMQASNIQPDAITFVAVLSACAYAGLVDEGLHIFRSMEREFKIHPSTEHYCCVTDMLGKVGRVVEAYEFVEQLGEEGNSVEIWGSLLASCRLHQKFDLGEVVAKKLLQTDIRNSMTGYHVLLSNLYAGEGNWDNVGRVRREMKEKGIRKDVGCSWIQVAGCVNCFASKDQEHPQSDEIYNLLGLFKKMKNADYY >EOX93209 pep chromosome:Theobroma_cacao_20110822:1:11257219:11279123:-1 gene:TCM_002050 transcript:EOX93209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MPQEALLFYSHMKNSSPHTKCDSYTYSSVLKACALLRNLRIGKAVHCHFIRGLTNPSRIVYNALLNFYATCLSSSDNKEMGGYIKGFDHSKHDLVCAVFNMMRKRDVVAWNTMISWYRKTERYLEAVILFKKMMKMGIRLSAVSFVNVFPALSGLEDYNNAEVLYGMLLKLGSECVDDLYVASSAIFMFAELGCLDFARKIFDNCSQGNIEIWNTMIGGYLQNNCPVEGIKLFLQAMESETVFDDVTFLSALSAVSQLQWLDLAQQLHAYIIKNLSKLPVIVANAILVMYSRCNSIHTSFEVFDKMPERDVISWNTMVSAFVQNGLDDEGLLLVYEMQKQGFLVDSVTVTALLSAASNLRNREIGKQTHAYLLRHGIQFQGMESYIIDMYAKSGLIRNSQLLFEKSNSCNRDQATWNAMIAGLAQNGLVEEAIIVFKQMLQQNVMPNAVTLASVLPACSLMGNVDLGKQLHGFSVRNLLDQNVFVGTALVDMYSKSGAIKLAESMFFDIPEKNAVTYTTMILGYGQHGMGERALSLFRSMQASNIQPDAITFVAVLSACAYAGLVDEGLHIFRSMEREFKIHPSTEHYCCVTDMLGKVGRVVEAYEFVEQLGEEGNSVEIWGSLLASCRLHQKFDLGEVVAKKLLQTDIRNSMTGYHVLLSNLYAGEGNWDNVGRVRREMKEKGIRKDVGCSWIQVAGCVNCFASKDQEHPQSDEIYNLLGLFKKMKNADYY >EOX91801 pep chromosome:Theobroma_cacao_20110822:1:3927456:3931717:-1 gene:TCM_000875 transcript:EOX91801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrogen fixation S (NIFS)-like 1 MALKLLRQTLIKTKQTTLLRRFSTAAAVASPTEYEDTEGIIMKGVKIAGRPLYLDMQATSPVDPRVLDSMLPFYLSRYGNPHSRTHLYGWESETAVETARAQVAELIGASPREIVFTSGATESNNISVKGVMHFYKDKKRHVITTQTEHKCVLDSCRHLQQEGFEVTYLPVGSDGLIDLDKLRKEIRPDTGLVSVMAVNNEIGVIQPVEEIGRICKEFNVPFHTDAAQALGKIKIDVNKWNVSLMSLSGHKIYGPKGVGALYIRRRPRIRVEPQMNGGGQERGIRSGTVPTPLVVGMGAACELAMKEMEYDERRIKGLQERLLNGIREKIDGVVVNGSLERRYVGNLNLSFAYVEGESLLMGLKDVAVSSGSACTSASLEPSYVLRALGVDEDMAHTSIRFGIGRFTTEEEIDRAVELTVKQVEKLREMSPLYEMVKEGIDIKQIQWAQH >EOX96056 pep chromosome:Theobroma_cacao_20110822:1:36448233:36451620:1 gene:TCM_005401 transcript:EOX96056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAVVSVVHWFVAVLQYRLEAFYHLSKHGTFQISNHKTRGRNGNTWLLKFFTLPENYPFKYLILIENLDENSSRPIPDRWMLVVKEQKGGSRRSYYSCPETGQKFYTYEDLMRYVNYAKAAKLSIYSPNFRPINPRKPKKKASVPDVDQSAVEKSSDSEDSTFKLPSIASLELMEVVSPSHSDKQSASGKSKLENQSSNEACSSERGKGKKQKK >EOX96057 pep chromosome:Theobroma_cacao_20110822:1:36448240:36451646:1 gene:TCM_005401 transcript:EOX96057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAVVSVVHWFVAVLQYRLEAFYHLSKHGTFQISNHKTRGRNGNTWLLKFFTLPENYPFKYLILIENLDENSSRPIPDRWMLVVKEQKGGSRRSYYSCPETGQKFYTYEDLMRYVNYAKAAKLSIYSPNFRPINPRKPKKKASVPDVDQSAVEKSSDSEDSTFKLPSIASLELMEVVSPSHSDKQSASGKSKLENQSSNEACSSERGKGKKQKK >EOX93233 pep chromosome:Theobroma_cacao_20110822:1:11448297:11459078:1 gene:TCM_002074 transcript:EOX93233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-induced protein 5NG4, putative MLTSMKMETQQQGETAGGIKRKGELRFEGLKPYAICIFCNICFAGYNIISKVSLDNGMSSYVLVVYGPVFGTLTTAVLALLFERKHDRKISMAILRDIFFLGILGAVLGRTLFYIGLKDTSPAVASALANLIPSMTFVLAVLCRMEALDMSKHSARAKVGGSVVALAGATLMTLYKGSLMLLLSYLSLSAFLVLQTVTVKKYPAPITLTSLTCLSGTLVSAIMTAALDHKASSWRLSWDKSLVAILYSGIVIFGLTFFLQALVGKTKGLVFMTAFRPLGTVIATIMGLLVLGDALFLGRYRFLFYFRGFMDENKLRSGSSTHSCRFVFNFVGKGKETAGGVQHLLNALKSDQKSDNTWIL >EOX95275 pep chromosome:Theobroma_cacao_20110822:1:33632176:33636824:-1 gene:TCM_004822 transcript:EOX95275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear encoded CLP protease 5 isoform 3 MAHTCVPTSVSSLRLSSLLFSPNSSTNLDSDKLSLPFHPFRPRKLKKLVSNQKTVMSSQPKAVYTGELWASETTSRQGIWSIRDDLQVPSSPYFPTYAQGQGPPPMVQERFQSVISQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPHKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTIGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLAYHTGQSLEKINQDTDRDFFMSAKEAKEYGLIDGVIMNPLKALQPLAATADGNE >EOX95274 pep chromosome:Theobroma_cacao_20110822:1:33632345:33636219:-1 gene:TCM_004822 transcript:EOX95274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear encoded CLP protease 5 isoform 3 MSSQPKAVYTGELWASETTSRQGIWSIRDDLQVPSSPYFPTYAQGQGPPPMVQERFQSVISQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPHKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTIGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLAYHTGQSLEKINQDTDRDFFMSAKEAKEYGLIDGVIMNPLKALQPLAATADGNE >EOX95273 pep chromosome:Theobroma_cacao_20110822:1:33631406:33637004:-1 gene:TCM_004822 transcript:EOX95273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear encoded CLP protease 5 isoform 3 MAHTCVPTSVSSLRLSSLLFSPNSSTNLDSDKLSLPFHPFRPRKLKKLVSNQKTVMSSQPKAVYTGELWASETTSRQGIWSIRDDLQVPSSPYFPTYAQGQGPPPMVQERFQSVISQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPHKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTIGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLAYHTGQSLEKINQDTDRDFFMSAKEAKEYGLIDGVIMNPLKALQPLAATADGLDKRQYIYTYT >EOX95276 pep chromosome:Theobroma_cacao_20110822:1:33632150:33636963:-1 gene:TCM_004822 transcript:EOX95276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear encoded CLP protease 5 isoform 3 MAHTCVPTSVSSLRLSSLLFSPNSSTNLDSDKLSLPFHPFRPRKLKKLVSNQKTVMSSQPKAVYTGELWASETTSRQGIWSIRDDLQVPSSPYFPTYAQGQGPPPMVQERFQSVISQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPHKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTIGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLAYHTGQSLEKINQDTDRDFFMSAKEAKEYGLIDGVIMNPLKALQPLAATADGNE >EOX95277 pep chromosome:Theobroma_cacao_20110822:1:33632247:33636705:-1 gene:TCM_004822 transcript:EOX95277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear encoded CLP protease 5 isoform 3 MSSQPKAVYTGELWASETTSRQGIWSIRDDLQVPSSPYFPTYAQGQGPPPMVQERFQSVISQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPHKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTIGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLAYHTGQSLEKINQDTDRDFFMSAKEAKEYGLIDGVIMNPLKALQPLAATADGNE >EOX96027 pep chromosome:Theobroma_cacao_20110822:1:36360551:36364155:-1 gene:TCM_005377 transcript:EOX96027 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein MFYLLPVVMIGVAYQKKGLLSALILSGVTDNGQAAFLSNIFPVPRTLFRLQTLPLPRVFPCPERSKKEMAFTGTLDKCKACDRTVHVVDMLSLEGVPYHKTCFKCSHCKGNLVMSTYSSMDGVLYCKPHFEQLFKESGNFSKNFHTVKSERQNELSRTPSKVSSLFCGTQDKCAACQKTVYPLEKVTMEGECYHKNCFRCAHGGCHLTHSSYAALNGVLYCKHHFAQLFMEKGNYNHVLQAASHKRNNSSASNEPAENQAEAEEAAADEEPSEEQS >EOX96374 pep chromosome:Theobroma_cacao_20110822:1:37548123:37550147:-1 gene:TCM_005629 transcript:EOX96374 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein MEDTSAPSSYVRLTKEKEPLEDITPGELNQPVQVPQLIVRTCPECGQPLPQSYEPPADEDWTTGICGCLEDTDSCWTGLFCPCLLFGQNVETLREDIARKDACFCHALCVEGGMVVAGATLLVHGIDPKTSFLICETLVFAWWLCGIYNGLFRQSLQKKYHLKNSPCDPCMVHCCLHWCALCQEHREMKNHLSDNAETQMTVVKPPPVQEMNSDDQKQEPASSSPSAASSGNGEHTNLEIQPV >EOX93916 pep chromosome:Theobroma_cacao_20110822:1:17412411:17412836:1 gene:TCM_002918 transcript:EOX93916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTRGNQRERDRERAQARTGNKGKSVKDDGLTPEQRRERDAKALQEKAAKKGAQAAAGGNNAGAGAGSKTKK >EOX93917 pep chromosome:Theobroma_cacao_20110822:1:17412109:17413734:1 gene:TCM_002918 transcript:EOX93917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTRNQRERDRERAQARTGNKGKSVKDDGLTPEQRQMQRPCKKRLQRRGRRQRPEGTMRALVQEVKPRNNNDGWDSILSITLIKSKLRLSIGHKL >EOX93259 pep chromosome:Theobroma_cacao_20110822:1:11807775:11813201:1 gene:TCM_002114 transcript:EOX93259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell differentiation, Rcd1-like protein, putative MANVPEESPLGGPSARGAGSSAAAPTNNPSSAPHDGNLSEITRLIRGLNSEKTRKESLDLLCKNYLKVCDDLAILLWNSFGTMRALVEEVTSVYRPLSSTSLSERVAAQVCNAIALLQAVAAHPETRMPFVKAYIPVYLQAFLNTMNREKPYDSLRLSSLVVIGSLVKVDDAEVVDFLLVSQTFPSCLRCMEVGSTLSKTVATFIIHRILLNEQGLHYCLVPADRFFALNNALAIMVERLGEEEEGHRPRLLRNIISCYLRLSDNDRARAYFSGYIPPKLVDDTYIGILERDQVAHSNLQKLISKLKASQRSRTQPTGHLSGPL >EOX92907 pep chromosome:Theobroma_cacao_20110822:1:9341922:9346588:-1 gene:TCM_001766 transcript:EOX92907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRTRVPPKINYGEPGRVATRKKTTAHKRKNSDSKLVAPKKIRVGKSGEVPPVPENWEDEIIRERGEDRFWEEVRARQVDEHPSESVGYDWNRLCSETPPHRRSGIPGPSILKFRFERGEFPLSATKLGSNSQFVHGWDEWVTKVLKNPSYVKLLSSAGILDAIRITSKLNIRREKRIDVWRAILARWSTFSHTMITAWGEFTFTLEDVCVLLELPCIGKDDFHSI >EOX94587 pep chromosome:Theobroma_cacao_20110822:1:30342540:30347688:1 gene:TCM_004218 transcript:EOX94587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein MAIALKSTADFLHLKKHNLASLSRLSSGKAFVSTKRFTPMATVTTATTLGLADTFSKLKTQGKVALIPYITAGDPDLSTTTEALKVLDSCGADIIELGVPYSDPLADGPVIQAAATRSLARGTNFNAILSMLKEVVPELSCPIALFTYYNPILKRGVEKFLSTVKDVGIHGLVVPDVPLEETEILRREALKNKIELVLLTTPTTPIDRMKAIVEASEGFVYLVSSIGVTGARASVSDRVQTLIGEIKEATTKPVAVGFGISKPEHVKQVAGWGADGVIVGSAMVKLLGEAESPEDGLKALEIFTKSLKSALA >EOX90626 pep chromosome:Theobroma_cacao_20110822:1:172644:181997:-1 gene:TCM_000043 transcript:EOX90626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II family protein isoform 1 MLQSNTFSRKTKGGRIKKEVREIYLRDDIYCGATACKTCDTSRARLDASAILIFDTNVLLHQIDLLENPAIDNVVLLSVVLDEVKNKNMAVYNRIRALSTNPLRKFYVFSNQFHKDTFVKRMDGETPNDYNDRAIRVASRWYQTHLGPATPVLLITNDRENKRRAVEEGISTDTIQSYVKSLGQPELLDLLVHPASESEDVAMEQVEDLRPSKRQVVYQEHKPMSEITLGLHRGIYHQGKLRVNRYNPFEAYVGSESIGDEIIIHGRQNMNRSFDGDIVAVELLPQDEWREEKNLSIADEEDEEEDDVHLAPSSADDAPRTTNLVSGSATETNPTPCRPSGRVVGIIKRNWHSYCGSLEPMRMPAGTGSFTSALFVSKDRRIPKIRIQTRQLENLLDKRIIVAVDSWDRQSRYPSGHYVRVIGEIGDRDTESEVVLIENDINSRPFSAQVLACLPPLPWSVSSEDVTNSIRLDLRSLQVFSVDPPGCKDIDDALHCTALSNGNYEVGVRILNIADVTNFVHPGSPLDDEASQRGTSVYLVERRIDMLPKPLTEDICSLRADVERLAFSVIWEMTSEAEIISTRFTKSVIKSCAALSYVEAQARMDDSRLMDPLTTDLRNMNALAKIMRQRRIDRGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANVSVAKKIYDCFPLCSLLRRHPTPTREMLEPLLCTAAAVGLDLDVSSSKALADSLDRAVGDDPYFNKLIRILATRCMTQAVYFCSGDLSPPEYHHYGLAAPMYTHFTSPIRRYADVIVHRLLAASLMIEKLPSVFQDRTQLASIADNLNYRHRNAQMASRASVELHTLIYFRKRPTDTEARIVKIRSNGFIVFVPKYGIEGPVYLTTRGEKGGGEWFVDEPQQRIVKMDNSLIYSVLQTVRIHMEVVEPQPNRPKLQLTLI >EOX90628 pep chromosome:Theobroma_cacao_20110822:1:172191:181678:-1 gene:TCM_000043 transcript:EOX90628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II family protein isoform 1 MIQEVREIYLRDDIYCGATACKTCDTSRARLDASAILIFDTNVLLHQIDLLENPAIDNVVLLSVVLDEVKNKNMAVYNRIRALSTNPLRKFYVFSNQFHKDTFVKRMDGETPNDYNDRAIRVASRWYQTHLGPATPVLLITNDRENKRRAVEEGISTDTIQSYVKSLGQPELLDLLVHPASESEDVAMEQVEDLRPSKRQVVYQEHKPMSEITLGLHRGIYHQGKLRVNRYNPFEAYVGSESIGDEIIIHGRQNMNRSFDGDIVAVELLPQDEWREEKNLSIADEEDEEEDDVHLAPSSADDAPRTTNLVSGSATETNPTPCRPSGRVVGIIKRNWHSYCGSLEPMRMPAGTGSFTSALFVSKDRRIPKIRIQTRQLENLLDKRIIVAVDSWDRQSRYPSGHYVRVIGEIGDRDTESEVVLIENDINSRPFSAQVLACLPPLPWSVSSEDVTNSIRLDLRSLQVFSVDPPGCKDIDDALHCTALSNGNYEVGVHIADVTNFVHPGSPLDDEASQRGTSVYLVERRIDMLPKPLTEDICSLRADVERLAFSVIWEMTSEAEIISTRFTKSVIKSCAALSYVEAQARMDDSRLMDPLTTDLRNMNALAKIMRQRRIDRGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANVSVAKKIYDCFPLCSLLRRHPTPTREMLEPLLCTAAAVGLDLDVSSSKALADSLDRAVGDDPYFNKLIRILATRCMTQAVYFCSGDLSPPEYHHYGLAAPMYTHFTSPIRRYADVIVHRLLAASLMIEKLPSVFQDRTQLASIADNLNYRHRNAQMASRASVELHTLIYFRKRPTDTEARIVKIRSNGFIVFVPKYGIEGPVYLTTRGEKGGGEWFVDEPQQRIVKMDNSLIYSVLQTVRIHMEVVEPQPNRPKLQLTLI >EOX90627 pep chromosome:Theobroma_cacao_20110822:1:172987:182036:-1 gene:TCM_000043 transcript:EOX90627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II family protein isoform 1 MLQSNTFSRKTKGGRIKKEVREIYLRDDIYCGATACKTCDTSRARLDASAILIFDTNVLLHQIDLLENPAIDNVVLLSVVLDEVKNKNMAVYNRIRALSTNPLRKFYVFSNQFHKDTFVKRMDGETPNDYNDRAIRVASRWYQTHLGPATPVLLITNDRENKRRAVEEGISTDTIQSYVKSLGQPELLDLLVHPASESEDVAMEQVEDLRPSKRQVVYQEHKPMSEITLGLHRGIYHQGKLRVNRYNPFEAYVGSESIGDEIIIHGRQNMNRSFDGDIVAVELLPQDEWREEKNLSIADEEDEEEDDVHLAPSSADDAPRTTNLVSGSATETNPTPCRPSGRVVGIIKRNWHSYCGSLEPMRMPAGTGSFTSALFVSKDRRIPKIRIQTRQLENLLDKRIIVAVDSWDRQSRYPSGHYVRVIGEIGDRDTESEVVLIENDINSRPFSAQVLACLPPLPWSVSSEDVTNSIRLDLRSLQVFSVDPPGCKDIDDALHCTALSNGNYEVGVHIADVTNFVHPGSPLDDEASQRGTSVYLVERRIDMLPKPLTEDICSLRADVERLAFSVIWEMTSEAEIISTRFTKSVIKSCAALSYVEAQARMDDSRLMDPLTTDLRNMNALAKIMRQRRIDRGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANVSVAKKIYDCFPLCSLLRRHPTPTREMLEPLLCTAAAVGLDLDVSSSKALADSLDRAVGDDPYFNKLIRILATRCMTQAVYFCSGDLSPPEYHHYGLAAPMYTHFTSPIRRYADVIVHRLLAASLMIEKLPSVFQDRTQLASIADNLNYRHRNAQMASRASVELHTLIYFRKRPTDTEARIVKIRSNGFIVFVPKYGIEGPVYLTTRGEKGGGEWFVDEPQQRIVKMDNSLIYSVLQTVRIHMEVVEPQPNRPKLQLTLI >EOX93257 pep chromosome:Theobroma_cacao_20110822:1:11763604:11767006:-1 gene:TCM_002110 transcript:EOX93257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKGELVFIPTPAIGHLVSHVEFAKGLLDQDERFSVTFLIMDTPFAPELKTYAGSLASSDVPRLRFINLPQTQVAVSVSVSICQGVLGVKLYRVTSCLVVDTFTTPMIEVASELGLSSYLFNTSGVACLGFMLHLITRHDLVGREFQASDTELVIPVYVNPVPNNVSPSVLFDKNGGYICFLNLVRRFKQTKAIISNSFEELESHAVKSLLELEYDIPFYVLGPLLHLHGQSSSLCDQIQLDKIMKWLDDQPPSSVKEEEWFVVGFHKLRPLPTSPSEDLYHTVDGARFWRAYVEMRLDYKLGESDTVTADEIEKAINCVMEADSEVRKKVKKMSKLSREAVSDSNRSSFNSFARLIEFMMSNANKSWETSIYVT >EOX93746 pep chromosome:Theobroma_cacao_20110822:1:15510562:15517718:-1 gene:TCM_002664 transcript:EOX93746 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 MVSGSPYFRLSFFFSVLACLVTASLAGDPFVFYDWTVSYLTTSPLGYKQQVIGINGQFPGPILNVTTNWNIVVNVKNNLDEPLLLTWNGIQHRKNSWQDGVLGTNCPIPAGWNWTYEFQVKDQIGSFFYFPSLNFQRAAGGYGGIIINNRDVIPLPFGIPDGDITIFISDWYTKSHKGLRKDVENGVDLEVPDGILINGLGPYRYDQTLVKDGIFYQIINVEPGKTYRFRVHNVGISTSLNFRIQDHNLLLVETEGSYTVQQNYTNMDIHVGQSYSFLVTMDQNASNDYYIVASPRFVNSSDWAKVTGVAILHYSNSQGPASGPLPDPPNDYDTYFSMNQARSIRWNVSAGAARPNPQGSFKYGQIIVTDVYVILNKPAELIDGKWRTTLNGISYLAPSTPIKLAQQFNIPGVYKLDFPNRLMNRPPKTDTSLINGTFKGFMEIIFQNNDTTVQSYHLDGYAFFVVGMDFGVWTENSRGTYNKWDGVARSTTQVFPGAWTAILISLDNAGIWNLRAQNLDSWYLGQEVYVSVLNPEVDQSEVPLPENAIYCGILSSLQKDQAQRVNFSGAPSISDSSKTVFILLIMALIGHSLR >EOX94422 pep chromosome:Theobroma_cacao_20110822:1:28383898:28385357:-1 gene:TCM_003996 transcript:EOX94422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MLALQTNSTWDLVSLPSGKKVTGCKWVYTIKVNLDGTIARLKALLVAKSYAKTYVATHRWPLYQLDVKNAFLYGDLKEKVYMEQQPRMFSDVVLEFGLSRSSWDHTMFFRHTENGCILLVVCVDDIVITSDQQGIHDLQDIFLSQNKYVLDLLKDVGLLGAKPCKTPMESSVKLIARVGGILSVLSQFMSAPTTIHWDALVRILKSQADRKSTTGYCLLMAGNLVSWKSKKQNVVARSSAEFEYCTMALTTCELMWISHLLEEIGFADSSPMSLWW >EOX95116 pep chromosome:Theobroma_cacao_20110822:1:32918340:32929410:-1 gene:TCM_004679 transcript:EOX95116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor eIF2A family protein isoform 2 MAAAVETSPSLEILVREPEGYSIWNGPPFNNNNQPGIMLEKAPCTSAKFSEDGSRLMVTKSDSSTSVYDSRTLKEIRCFQIPNVAASALSPRGTYLQTFQKSTTPQEKNVVLWNIETGDPVYEQFQKNMTKATWPSIQFSSDEAVACRLATNEIQFFDAVDFSKGISHRLRIPGVAAVELSKAPGSHVAAFVPESKGSPASVQIYACGKELQSQPLARRSFFRCSTVQLNWNHGSTGLLVVVQSDVDKTNQSYYGESKLTYLTTDGTHEGLVPLRKEGPIHDVQWSYSGKEFAVVYGCILFCIIKDNNADCSNFGMLFDLADVAQTCELVGHLYAHKMVMPASATVFDKKCKPLLELGSGPYNTIRWNPKGKFLCVAGFGNLPGDMAFWDFVDKKQLGTTRAECSVTSEWSYDGRYFMTATTAPRLQVDNCIKIFHHNGSLCFKKMFDKLFQADWKPESPDKFGEIAELIKSVDSMKVEETKPRGQGSTSKKTASANPPAQKPAAYRPPHAKNAATVQAEVFSDNYGEMSKNALRNKKKREKQKEKKAAEAAAAADAT >EOX95118 pep chromosome:Theobroma_cacao_20110822:1:32920581:32929536:-1 gene:TCM_004679 transcript:EOX95118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor eIF2A family protein isoform 2 MAAAVETSPSLEILVREPEGYSIWNGPPFNNNNQPGIMLEKAPCTSAKFSEDGSRLMVTKSDSSTSVYDSRTLKEIRCFQIPNVAASALSPRGTYLQTFQKSTTPQEKNVVLWNIETGDPVYEQFQKNMTKATWPSIQFSSDEAVACRLATNEIQFFDAVDFSKGISHRLRIPGVAAVELSKAPGSHVAAFVPESKGSPASVQIYACGKELQSQPLARRSFFRCSTVQLNWNHGSTGLLVVVQSDVDKTNQSYYGESKLTYLTTDGTHEGLVPLRKEGPIHDVQWSYSGKEFAVVYGFMPASATVFDKKCKPLLELGSGPYNTIRWNPKGKFLCVAGFGNLPGDMAFWDFVDKKQLGTTRAECSVTSEWSYDGRYFMTATTAPRLQVDNWYVVVDVFIYGGYRGVLHFTCHLDIYLYTNQPVLTTWCS >EOX95117 pep chromosome:Theobroma_cacao_20110822:1:32918697:32928773:-1 gene:TCM_004679 transcript:EOX95117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor eIF2A family protein isoform 2 MAAAVETSPSLEILVREPEGYSIWNGPPFNNNNQPGIMLEKAPCTSAKFSEDGSRLMVTKSDSSTSVYDSRTLKEIRCFQIPNVAASALSPRGTYLQTFQKSTTPQEKNVVLWNIETGDPVYEQFQKNMTKATWPSIQFSSDEAVACRLATNEIQFFDAVDFSKGISHRLRIPGVAAVELSKAPGSHVAAFVPESKGSPASVQIYACGKELQSQPLARRSFFRCSTVQLNWNHGSTGLLVVVQSDVDKTNQSYYGESKLTYLTTDGTHEGLVPLRKEGPIHDVQWSYSGKEFAVVYGFMPASATVFDKKCKPLLELGSGPYNTIRWNPKGKFLCVAGFGNLPGDMAFWDFVDKKQLGTTRAECSVTSEWSYDGRYFMTATTAPRLQVDNCIKIFHHNGSLCFKKMFDKLFQADWKPESPDKFGEIAELIKSVDSMKVEETKPRGQGSTSKKTASANPPAQKPAAYRPPHAKNAATVQAELFGGSSAGEMSKNALRNKKKREKQKEKKAAEAAAAADAT >EOX90614 pep chromosome:Theobroma_cacao_20110822:1:133769:136397:1 gene:TCM_000032 transcript:EOX90614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigalactosyldiacylglycerol 1 isoform 4 MGSGSDPPSSSSDVPTLASAPSSPLSTLDALQDWVSRTDIQNFEILLCIGNKVDLIPGHPVHAEYRERLLKHPSSNIRRKCLEWCIDHNIEFIETCASNADFDKCLSVDGDLQGVERLYGALSAHMWPGMVLKSGDKITEPSLPEKEEEEPDYELDYEVLSAGSAEPWDDTGEEWVSASGANAVLDIGKSIGEDNSFTECVQGNITRNEKEELQPFAAVSASEDNIDRVTPNAGEPDEASASELDDGTHYDFEDLEQLMSEIGNIRSNLRLMPDFQRREMAAKLAMKMAAMFGGGSDDEEEI >EOX90615 pep chromosome:Theobroma_cacao_20110822:1:133716:136562:1 gene:TCM_000032 transcript:EOX90615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigalactosyldiacylglycerol 1 isoform 4 MGSGSDPPSSSSDVPTLASAPSSPLSTLDALQDWVSRTDIQNFEILLCIGNKVDLIPGHPVHAEYRERLLKRADSSAHFSYDFTYYGISQTEGSSLLGDEDPSSNIRRKCLEWCIDHNIEFIETCASNADFDKCLSVDGDLQGVERLYGALSAHMWPGMVLKSGDKITEPSLPEKEESSEEEPDYELDYEVLSAGSAEPWDDTGEEWVSASGANAVLDIGKSIGEDNSFTECVQGNITRNEKEELQPFAAVSASEDNIDRVTPNAGEPDEASASELDDGTHYDFEDLEQLMSEIGNIRSNLRLMPDFQRREMAAKLAMKMAAMFGGGSDDEEEI >EOX90613 pep chromosome:Theobroma_cacao_20110822:1:133774:136401:1 gene:TCM_000032 transcript:EOX90613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigalactosyldiacylglycerol 1 isoform 4 MEGWDGIGKRPSIFVIGCPNVGKRTLLSRLASVEFEEEDNASEVVVQGWTINTKYYTADVSVCMAHLQDGFSVGAHPIFNSSTALLMVFDMSHLSTLDALQDWVSRTDIQNFEILLCIGNKVDLIPGHPVHAEYRERLLKHPSSNIRRKCLEWCIDHNIEFIETCASNADFDKCLSVDGDLQGVERLYGALSAHMWPGMVLKSGDKITEPSLPEKEESSEEEPDYELDYEVLSAGSAEPWDDTGEEWVSASGANAVLDIGKSIGEDNSFTECVQGNITRNEKEELQPFAAVSASEDNIDRVTPNAGEPDEASASELDDGTHYDFEDLEQLMSEIGNIRSNLRLMPDFQRREMAAKLAMKMAAMFGGGSDDEEEI >EOX90611 pep chromosome:Theobroma_cacao_20110822:1:133758:136890:1 gene:TCM_000032 transcript:EOX90611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigalactosyldiacylglycerol 1 isoform 4 MEGWDGIGKRPSIFVIGCPNVGKRTLLSRLASVEFEEEDNASEVVVQGWTINTKYYTADVSVCMAHLQDGFSVGAHPIFNSSTALLMVFDMSHLSTLDALQDWVSRTDIQNFEILLCIGNKVDLIPGHPVHAEYRERLLKRADSSAHFSYDFTYYGISQTEGSSLLGDEDPSSNIRRKCLEWCIDHNIEFIETCASNADFDKCLSVDGDLQGVERLYGALSAHMWPGMVLKSGDKITEPSLPEKEESSEEEPDYELDYEVLSAGSAEPWDDTGEEWVSASGANAVLDIGKSIGEDNSFTECVQGNITRNEKEELQPFAAVSASEDNIDRVTPNAGEPDEASASELDDGTHYDFEDLEQLMSEIGNIRSNLRLMPDFQRREMAAKLAMKMAAMFGGGSDDEEEI >EOX90612 pep chromosome:Theobroma_cacao_20110822:1:133769:136397:1 gene:TCM_000032 transcript:EOX90612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigalactosyldiacylglycerol 1 isoform 4 MEGWDGIGKRPSIFVIGCPNVGKRTLLSRLASVEFEEEDNASEVVVQGWTINTKYYTADVSVCMAHLQDGFSVGAHPIFNSSTALLMVFDMSHLSTLDALQDWVSRTDIQNFEILLCIGNKVDLIPGHPVHAEYRERLLKHPSSNIRRKCLEWCIDHNIEFIETCASNADFDKCLSVDGDLQGVERLYGALSAHMWPGMVLKSGDKITEPSLPEKEEEEPDYELDYEVLSAGSAEPWDDTGEEWVSASGANAVLDIGKSIGEDNSFTECVQGNITRNEKEELQPFAAVSASEDNIDRVTPNAGEPDEASASELDDGTHYDFEDLEQLMSEIGNIRSNLRLMPDFQRREMAAKLAMKMAAMFGGGSDDEEEI >EOX90995 pep chromosome:Theobroma_cacao_20110822:1:1277978:1282055:-1 gene:TCM_000309 transcript:EOX90995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hopz-activated resistance 1 MADAVVTVFLEKLLKTLAEEGRYVTEFRDLFEKLQTELQLMQCFLMDSDRLKRKNQSIRKILADLRELIYESEDILADCQLQSRDGNQFSQGWLACFSPTKLHFKYQSGKRLKEIIEKITSIKQNISSLLGGPLLFQPEVTNAQEQIPRWSSQVYDHTQVVGLEADTQKIKDWIFEAAREGSQDILAIGVVGMGGLGKTTIAQKVFNEREIERHFDRRVWVSVSQTFTEEQIMRSMLNTLGEASAKDDANELLKKINQYLLGKRYLIVMDDVWSEDVVWWQRICQGLPKGNGSCIIITTRIEKVSRKMGVKEVRIHRPKFLNEDYSWLLFRKIAFAASDGNCIYPDLEDVGKEIVEKCKGLPLAIKAVGGMMLCKTPYYREWRRIANHFRDELIENDNSVMASLQLSYDELPSYLKSCFLSFSLYPEDCVITKEQLVHCWIGEGFVPLRSGRSSTDAGEDCFSGLTNRCLVEVVDKNYHGTISTCKMHDMVRDLVLKIAEEDAFYTQKSLNCRHVGISNNMDKGQLSENQKLRGLVSTTKTSEVNKIESSIAKKFSECRYLRVLDVSKSIFELPLSSLLYYIGSLQHLTYLSLSNTHPLIELPASLEKLSNLQILDVSYCQNLKILPHYLITFKKLKVLNVSHCGSLQCLPKGLGRLSNLEVLLGFRPARSDHGCRLGELRNLTQLRTLGLLLTHGDEVGDSEVNAMVNLQDLENLFISCFDSHGSDLTSKLDKLCPPQQLHELSLQFYPGKISPIWLNPIALPLLKYLSISFGNLANMHQNFRGDNSIVWKIEGLMLEALSDLELHWGMLQQVMPTLKIVNVSWCPELVSFPIEDAGFRGGVWTKEERRT >EOX94285 pep chromosome:Theobroma_cacao_20110822:1:27437781:27438511:-1 gene:TCM_003868 transcript:EOX94285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPSRRMRQQALKPKMNNNVRVRLQVKRVKAEMGKIREDQQRIREEQSKIRRRSGEIESQLDLLKKETEMIIKQTASTQIKLLLMFKILKARAGGYFTEAARLTYFLREYVVKERANASSVAVKKKHP >EOX91886 pep chromosome:Theobroma_cacao_20110822:1:4338279:4341380:1 gene:TCM_000947 transcript:EOX91886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geraniol dehydrogenase 1 MLKNPEEEHPKKAFGWAARVQSEVLSPFKFFRRATGEKDVALKVLYCGICHSDVHMINNDWGLSYYPLVPGHEIVGEVNEVGSKVEKFRVGDKIGVGFIVGSCRSCENCANNLENYCPNYILTYSSKDYDGTITYGGYSDILVVNEHFVVHIPEKLPLHAAAPLLCAGITVYSPLRYFELDKPGLHLGVVGLGGLGHMAVKFAKVMGAKVTVISTSPHKKKEAMENLGADSFLVSYEQDQLEAARGSMDGIINTISAQHSLLPLIRVLKSHGKLIMFGGTVKPLELPIIPLLAGRKIIGGGVSGGMKETQEMIDFSAKHNVIPEVEVIPMDYANTAMERLVKADVKYKFVINIGNTLKNSCS >EOX96563 pep chromosome:Theobroma_cacao_20110822:1:38260226:38260894:1 gene:TCM_005790 transcript:EOX96563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIPLDLFPRSLSPGPTDAARVPRAAPPNVLPFSFLARGTRASPTVHPAHHHRIICTYYVAAMWKKILSSRNGHDFL >EOX94991 pep chromosome:Theobroma_cacao_20110822:1:32335373:32339159:-1 gene:TCM_004569 transcript:EOX94991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indeterminate(ID)-domain 2 MSNISGDDRSFSSGNTGEEVQQLEQKQQKQQLQNNFPGSGSGPSGASNSNGSTSQQPHQPVKKKRNLPGTPDPNAEVIALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTTTEVRKRVYICPEPTCVHHNPARALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHQKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEENNKVNQGLMNHMGSNLQNQMPELMSSMPISNANTSMGISDFNNFDPKNPLKSLPQELVPMPFKSMNMGGGMFSSSSGTLFGGPRSISAASSSLQLSSNSSSGFNYLQDSKNGCQIAGSPNMSATALLQKAAQMGATASNSINSPVMQKSFANSIAGTDQSIRPLSFGGIQQQNTSYDHFPSQTDQSSMVGISEGGFSNPLMQKSPNEMTQLFNAVTGSSANLNDMGMYASMFINGADRNQSLMKNMEHEDSGSTSLLQGRTPAMERNPTGPSIFGASTTGGSNMTTLDFMGIGGSRQQANLHEQQHQHQRLELEAISQQRLPIINPFQQQLSHGDSVIEKPIWDV >EOX93092 pep chromosome:Theobroma_cacao_20110822:1:10370238:10371109:1 gene:TCM_001935 transcript:EOX93092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAKELQEERKPLVIAMKGHPGTGKSTLAHALASTLQIPLIDKDDIRDSTFHLQQQSSSLLNDLSYEAIWRVASTQLLLGLSLVIDSPLSRRTHLDRLLSLSASAGARLVILECKSLDEAKWRERLEGREKSWHKPPSWEELEKLVKGYSGCTEYDVGDVPKMVVDTTAPNVGVAELVQSVVDFIASCCGRFPTCVPCTS >EOX93091 pep chromosome:Theobroma_cacao_20110822:1:10367800:10371113:1 gene:TCM_001935 transcript:EOX93091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAKELQEERKPLVIAMKGHPGTGKSTLAHALASTLQIPLIDKDDIRDSTFHLQQQSSSLLNDLSYEAIWRVASTQLLLGLSLVIDSPLSRRTHLDRLLSLSASAGARLVILECKSLDEAKWRERLEGREKSWHKPPSWEELEKLVKGYSGCTEYDVGDVPKMVVDTTAPNVGVAELVQSVVDFIASCCGRFPTCVPCTS >EOX94340 pep chromosome:Theobroma_cacao_20110822:1:27926886:27929311:-1 gene:TCM_003927 transcript:EOX94340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein MHCISLPSSHHPLPSPPKTTFKLTCHVVPRMSLDNNNNSRSNGFNIINNISKLLWGPSLPPGLLISTVRTAWTSTWQIMMSQLAPSDPSGGYTRPPSKFRLSHQNPTASTTKLHLYVGLPCPWAHRTLIVRALKGLEEAVPVSVAAPGLDGSWEFKDIPDKDKNRDKDILVPSRDKVNGCQNLKEVYRLRKGGYNGRATVPLLWDVDKKEVVCNESYDIIEFFNSGLNGLAQNPGLDLSPVELKGKIEEWNRAIYPNVNNGVYRCGFAQSQEAYDVAVNGLFSTLDRIDDHLGGSRYLCGDRLTLADICLFTTLIRFDLVYNVLFKCTKKKLLEFTNLHAYMRDIYQIPKVAATCNFPVIMDGYYQILFPLNPGSIRPVMPIGCEHEFLSRPHNRESMSSVGKSVQYVL >EOX95857 pep chromosome:Theobroma_cacao_20110822:1:35787059:35791268:-1 gene:TCM_005258 transcript:EOX95857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline iminopeptidase, putative MLATHAPPSLIKPLFFSFSSSLSTLSATLSPIPSTKLLSFRPRRTSFRALTTMAGAKSDCTGYSSPEHVAGNWYSVPDLRLRDHRFMVPLDYKDREASSKISIFAREVVAAGKEEQLMPYLLYLQGGPGFECPRPTEGSGWILKACEEFRVILMDQRGTGLSTPLTPSSMQQMKSAQSLADYLKHFRADSIVNDAEFIRVHLVPDARPWTVLGQSYGGFCGVTYLSFAPQGLKQVLLTGGIPPMGDGCTADAIYSACFGQVIRQNEKYYKRFPQDVEIVRDVITYLAESEGGGVLLPSGGILTPRGLQFLGLSGLGSSAGFERLHYLFERVWDPMLVPGAPKRISSYFLNAYESWLAFDTNPLYAILHESIYCQGASSRWSAHRVRADHDSKFDAIRAAREGRPVLLTGEMIFPWMFDEVNALRPFKDAAHLLAEKEDWPPLYDIAALKNNKVPVAAAVYYEDMYVNIKLVMETASQIAGIRLWITNEYMHSGLRDGGGQVFDHLMGMLNGKKPLF >EOX91129 pep chromosome:Theobroma_cacao_20110822:1:1692279:1695680:-1 gene:TCM_000410 transcript:EOX91129 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MADSDSDSWVMADSDSWVMADSDSWVMADLESDDQEKMEVDFCLIKIEDDSESGAEESSDELPILSFKSTPESPEAYARSPMLTNMKKEVRIEDVLRDHVLHFLPAKTLYPSFISFNQDAYGMPDPSLKFLPEPVNIRTSCNGLLCCQSVGDNVYYICNPVTKQWKMLPRPNMYHGAEAAMVLVFEPHPLNFNETYELVCAVTFPDHPVVYFEIYSSRSSSWRVSDTICCELDCLDLFSGGYYMKGVVYWKASSGVILAFNLRNEQYGILPLPLSSREYGALTVMHGELCYILPCLIFDKYNIYIYGNMDMRLKTVLQFTCDDVGSTYGVCRALPGANDDILMLVLGTRVIAYHVREQKLEVICTMTADSCTGYLPYVNSLVSVRDPMVGVSA >EOX91130 pep chromosome:Theobroma_cacao_20110822:1:1692279:1695854:-1 gene:TCM_000410 transcript:EOX91130 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MADSDSDSWVMADSDSWVMADSDSWVMADLESDDQEKMEVDFCLIKIEDDSESGAEESSDELPILSFKSTPESPEAYARSPMLTNMKKEVRIEDVLRDHVLHFLPAKTLCKFRTVSRGWDQWISSPFFAHIQTTYFKNISGLFFQLPGEDPSFISFNQDAYGMPDPSLKFLPEPVNIRTSCNGLLCCQSVGDNVYYICNPVTKQWKMLPRPNMYHGAEAAMVLVFEPHPLNFNETYELVCAVTFPDHPVVYFEIYSSRSSSWRVSDTICCELDCLDLFSGGYYMKGVVYWKASSGVILAFNLRNEQYGILPLPLSSREYGALTVMHGELCYILPCLIFDKYNIYIYGNMDMRLKTVLQFTCDDVGSTYGVCRALPGANDDILMLVLGTRVIAYHVREQKLEVICTMTADSCTGYLPYVNSLVSVRDPMVGVSA >EOX91128 pep chromosome:Theobroma_cacao_20110822:1:1692279:1695092:-1 gene:TCM_000410 transcript:EOX91128 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MADSDSDSWVMADSDSWVMADSDSWVMADLESDDQEKMEVDFCLIKIEDDSESGAEESSDELPILSFKSTPESPEAYARSPMLTNMKKEVRIEDVLRDHVLHFLPAKTLCKFRTVSRGWDQWISSPFFAHIQTTYFKNISGLFFQLPGEDPSFISFNQDAYGMPDPSLKFLPEPVNIRTSCNGLLCCQSVGDNVYYICNPVTKQWKMLPRPNMYHGAEAAMVLVFEPHPLNFNETYELVCAVTFPDHPVVYFEIYSSRSSSWRVSDTICCELDCLDLFSGGYYMKGVVYWKASSGVILAFNLRNEQYGILPLPLSSREYGALTVMHGELCYILPCLIFDKYNIYIYGNMDMRLKTVLQFTCDDVGSTYGVCRALPGANDDILMLVLGTRVIAYHVREQKLEVICTMTADSCTGYLPYVNSLVSVRDPMVGVSA >EOX92335 pep chromosome:Theobroma_cacao_20110822:1:6344175:6345954:-1 gene:TCM_001296 transcript:EOX92335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKSKSFSGYSEVRRGIEDRTKSYSFNGPVSSSKADVLATSGNPELKRRKRVASYNMYSMEGKLKSSLRNSFKWIKTKFVGNLHEF >EOX93054 pep chromosome:Theobroma_cacao_20110822:1:10189733:10200303:-1 gene:TCM_001906 transcript:EOX93054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 6 isoform 2 MRLSSSGFNQQTQEGEKKCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLLCQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDVYLLPAELGNPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSAFPLRLKRPWPSALPSFHGMLESSLRMVEITHISSRLHLKLGVFLIYTESIYFALPAFKDGDMSINSQLMWLQGGVGDQGIQSLNFQGFGVAPWIQPRHDTSSLPGVQPYLYQAMGAAALQDMRTVDSSKIGSQSLLQFQQPQNTSNGTPALIQRQMLQQSQTQNAFLQSFQENQTAAQVQLLQQLQRPHLYNDQRQQQQQQHQHQPQHHQQQQSQQTQQLPQLSVPQQISNVVSAFPSTSASQAQSSSLPVVASQCQQQTFPDSIGNSIATSDVSSMQSILGSLSQNGASHLLNLNGSNPVISSSTLLSKPVAVEPQLSSGAANCVLPQVEQLGTARSNVSELSNLLPPFPGREYSAYHGSTDPQNNLLFGVSIDSSSLMLQHGMTNLKNIGNENDSLSLPYAASNFTSASGTDFPLNSDMTTSSCVDESGYLQSSENVDQVNPTTGTFLKVHKSGSFGRSLDISKFSSYDELRCELARMFGLEGQLEDPQRSGWQLVFVDRENDILLLGDDPWHADSPYILVLKICAYQATALLALRNLDFQFLYDADHIYRSSKFFLFPFNYFPGSTWEFVNNVWYIKILSPHEVQQMGKEGLTPATSVPSQRLTHSSNHCDDYMSRQDLRSSGNGLASMGSLEY >EOX93055 pep chromosome:Theobroma_cacao_20110822:1:10190215:10200269:-1 gene:TCM_001906 transcript:EOX93055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 6 isoform 2 MRLSSSGFNQQTQEGEKKCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLLCQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDVYLLPAELGNPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSAFPLRLKRPWPSALPSFHAFKDGDMSINSQLMWLQGGVGDQGIQSLNFQGFGVAPWIQPRHDTSSLPGVQPYLYQAMGAAALQDMRTVDSSKIGSQSLLQFQQPQNTSNGTPALIQRQMLQQSQTQNAFLQSFQENQTAAQVQLLQQLQRPHLYNDQRQQQQQQHQHQPQHHQQQQSQQTQQLPQLSVPQQISNVVSAFPSTSASQAQSSSLPVVASQCQQQTFPDSIGNSIATSDVSSMQSILGSLSQNGASHLLNLNGSNPVISSSTLLSKPVAVEPQLSSGAANCVLPQVEQLGTARSNVSELSNLLPPFPGREYSAYHGSTDPQNNLLFGVSIDSSSLMLQHGMTNLKNIGNENDSLSLPYAASNFTSASGTDFPLNSDMTTSSCVDESGYLQSSENVDQVNPTTGTFLKVHKSGSFGRSLDISKFSSYDELRCELARMFGLEGQLEDPQRSGWQLVFVDRENDILLLGDDPWQEFVNNVWYIKILSPHEVQQMGKEGLTPATSVPSQRLTHSSNHCDDYMSRQDLRSSGNGLASMGSLEY >EOX95371 pep chromosome:Theobroma_cacao_20110822:1:33937339:33941873:1 gene:TCM_004885 transcript:EOX95371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MIIRPSLSHQSPSRYVSSFSFLPFKFFCSDSNPPSSDDVDIVYRIIASSTSSKNLTQSLKSTGIFLSNGLIDKVLKRVRFSHGNPLLAFELFKYTGKRKGFYHTAFSLDTMLYILGRSRKFYQIWEVLIDIKRKDQSLITPRTMQVVLARIAKVCSVRETVDSFRRFKKFVSEFDTACFNALLRTLCQEKCMKDARNVYHSLKHDFRPNLQTFNILLSGWKSSEEAEGFFNEMRGLGVKPDVVSYNCLIDVYCKNRDIDKAYRVVERMTDEEIWPDVITYTSIIGGLGLVGQPDKAKDVLKEMKEHGCYPDVAAYNAAIRNFCIAKRLGDAYNLMDEMVGKGLSPNATTYNLFFRVFYWSNDLRSSCSLYQRMMDSGCLPNTQSCMFLIRLFRRHEKVGMALQLWNDMVEKGFGSYVLVSDVLFDLLCDMGKLVEAEKCFSEMIEKRHKPSNVSFRRIKVLMELANKHEAVKNLKEKMAVFGSSIQLPGGEENLKETVDIDSLNVHHAKTN >EOX95372 pep chromosome:Theobroma_cacao_20110822:1:33939549:33941873:1 gene:TCM_004885 transcript:EOX95372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MRGLGVKPDVVSYNCLIDVYCKNRDIDKAYRVVERMTDEEIWPDVITYTSIIGGLGLVGQPDKAKDVLKEMKEHGCYPDVAAYNAAIRNFCIAKRLGDAYNLMDEMVGKGLSPNATTYNLFFRVFYWSNDLRSSCSLYQRMMDSGCLPNTQSCMFLIRLFRRHEKVGMALQLWNDMVEKGFGSYVLVSDVLFDLLCDMGKLVEAEKCFSEMIEKRHKPSNVSFRRIKVLMELANKHEAVKNLKEKMAVFGSSIQLPGGEENLKETVDIDSLNVHHAKTN >EOX91038 pep chromosome:Theobroma_cacao_20110822:1:1415618:1422810:-1 gene:TCM_000345 transcript:EOX91038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 1 MENLSHSRKPSRGSSQAALTKRTATCNGATNFSGKTMYDDVFGGPPRFGTGGPTLSPRPEDYTEIFGGFHASRGASIPVLDLPLVDDSDEVMFDVRNPRFNYAEVFGGFDGLDFAASYEELMRQANGGGDHDRDGDSSEEAWMQAETESLSEGSDHSGKYQYFSNGDYYEQIDSSMEFNISYHKANLRRNRDMSNGVTHVAQLHADPEYAYVIETPLQKTDNLNPPLHVTDDIDLEFTSRVTKKKHLRKTLSHPSNWTAGGGQTFTNDSIQREYRRNGSSSNEMFVTISEINLRTLPSDVPPPSRPPPLVDVKNGDYENGQTAASGGRMGDGSPPFFDVEIDSSSAAAASAAAMKEAMDKAQAKLKSAKELLERKREGIKNSTKPGSKSNGKGKKERASKAVHGFSDIKDERLQGIYEKEDGGIERSVREERQKGVKTQAPISLEGEKIFNVPKRFVVEKHGKESQSILEVDDIDAADEWQEATQFFELVRTDKSRMGFEQTNNDKVLMQSMQSNELQHKAKKESIGALELQLDSDNKVEAVREDHELEKVERDMKTAKESCERGEPTGISKAAKEARRHKGHEKKVKEAQEVSVLEENGQSITARKPLRNGKKPTGADELEQREKRVNAQQKEIKVEVGLAMELKENGQQEKETSKSIENAKRVEESQEREGQKRWREVFEQEKNETKCKQAENEKRLSEALEQEEKEKRLKEAREREEIKKKEKEACELEESEKIWRMALEQIENEKRLKQAHMQEVNERRQRKALEQEEMEKKQREVHEKEESKRRLEQVTEQGKEERQQKEVIQREETENKIKEACEKVAIDKGLKEACEKEETAKRLKEAHEKENIEKMLKEAVEQKDYSKPVKEAQDTEDEVKQKVVEQVETEEVQGVNCVHQHTERVENGKKLKIAEGTHQHVEGEDPVVSDEVNKLDCGKKHQENQLVGNNDQNCDELEQTEESRLEENGKKEAEFRDGEKKSEAMGKGNVDGKFNASEMAPGDLEVKVNQFRKDEVSDLCHQDDGVKKAGEAGIGIGQRNAEKINSVPGMDSDNNNQGLKFAYEWRERARNNKEAQVPSHLEENKDKFVSAQSVKESVETGRKPEVAKSSVLEGKGSTQRTVQQVKISQSTERRDKNINDSLTPEEKEAERLKRERELEMERLRKMEEEREREREREKDRMAVDRAALEARERGYVETRERAARAAVERATAEARQRAMAEARDRLEKACAEAREKSSMEARLRAERAAVERATAEARERAVEKAMAERAAFEARERVERSMSDKFSTSSRNSGMRTSTSSSDLQDQHFQSTGSFGGLRYPYSSAYNGKLGTNSIQKSDSPIAESWYGCQLHHITNYYYVFVNLHP >EOX91036 pep chromosome:Theobroma_cacao_20110822:1:1416335:1422847:-1 gene:TCM_000345 transcript:EOX91036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 1 MENLSHSRKPSRGSSQAALTKRTATCNGATNFSGKTMYDDVFGGPPRFGTGGPTLSPRPEDYTEIFGGFHASRGASIPVLDLPLVDDSDEVMFDVRNPRFNYAEVFGGFDGLDFAASYEELMRQANGGGDHDRDGDSSEEAWMQAETESLSEGSDHSGKYQYFSNGDYYEQIDSSMEFNISYHKANLRRNRDMSNGVTHVAQLHADPEYAYVIETPLQKTDNLNPPLHVTDDIDLEFTSRVTKKKHLRKTLSHPSNWTAGGGQTFTNDSIQREYRRNGSSSNEMFVTISEINLRTLPSDVPPPSRPPPLVDVKNGDYENGQTAASGGRMGDGSPPFFDVEIDSSSAAAASAAAMKEAMDKAQAKLKSAKELLERKREGIKNSTKPGSKSNGKGKKERASKAVHGFSDIKDERLQGIYEKEDGGIERSVREERQKGVKTQAPISLEGEKIFNVPKRFVVEKHGKESQSILEVDDIDAADEWQEATQFFELVRTDKSRMGFEQTNNDKVLMQSMQSNELQHKAKKESIGALELQLDSDNKVEAVREDHELEKVERDMKTAKESCERGEPTGISKAAKEARRHKGHEKKVKEAQEVSVLEENGQSITARKPLRNGKKPTGADELEQREKRVNAQQKEIKVEVGLAMELKENGQQEKETSKSIENAKRVEESQEREGQKRWREVFEQEKNETKCKQAENEKRLSEALEQEEKEKRLKEAREREEIKKKEKEACELEESEKIWRMALEQIENEKRLKQAHMQEVNERRQRKALEQEEMEKKQREVHEKEESKRRLEQVTEQGKEERQQKEVIQREETENKIKEACEKVAIDKGLKEACEKEETAKRLKEAHEKENIEKMLKEAVEQKDYSKPVKEAQDTEDEVKQKVVEQVETEEVQGVNCVHQHTERVENGKKLKIAEGTHQHVEGEDPVVSDEVNKLDCGKKHQENQLVGNNDQNCDELEQTEESRLEENGKKEAEFRDGEKKSEAMGKGNVDGKFNASEMAPGDLEVKVNQFRKDEVSDLCHQDDGVKKAGEAGIGIGQRNAEKINSVPGMDSDNNNQGLKFAYEWRERARNNKEAQVPSHLEENKDKFVSAQSVKESVETGRKPEVAKSSVLEGKGSTQRTVQQVKISQSTERRDKNINDSLTPEEKEAERLKRERELEMERLRKMEEEREREREREKDRMAVDRAALEARERGYVETRERAARAAVERATAEARQRAMAEARDRLEKACAEAREKSSMEARLRAERAAVERATAEARERAVEKAMAERAAFEARERVERSMSDKFSTSSRNSGMRTSTSSSDLQDQHFQSTGSFGGLRYPYSSAYNGVEGESAQRCKARLERYRRTAERAAKALEEKNMRDLIAQREQAERNRLAETLDADVKRWSSGKEGNLRALLSTLQYILGPDSGWHPIPLTEVITSAAVKKAYRKATLCVHPDKLQQRGASIQQKYICEKVFDLLKEAWNKFNSEER >EOX91037 pep chromosome:Theobroma_cacao_20110822:1:1417155:1422810:-1 gene:TCM_000345 transcript:EOX91037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 1 MENLSHSRKPSRGSSQAALTKRTATCNGATNFSGKTMYDDVFGGPPRFGTGGPTLSPRPEDYTEIFGGFHASRGASIPVLDLPLVDDSDEVMFDVRNPRFNYAEVFGGFDGLDFAASYEELMRQANGGGDHDRDGDSSEEAWMQAETESLSEGSDHSGKYQYFSNGDYYEQIDSSMEFNISYHKANLRRNRDMSNGVTHVAQLHADPEYAYVIETPLQKTDNLNPPLHVTDDIDLEFTSRVTKKKHLRKTLSHPSNWTAGGGQTFTNDSIQREYRRNGSSSNEMFVTISEINLRTLPSDVPPPSRPPPLVDVKNGDYENGQTAASGGRMGDGSPPFFDVEIDSSSAAAASAAAMKEAMDKAQAKLKSAKELLERKREGIKNSTKPGSKSNGKGKKERASKAVHGFSDIKDERLQGIYEKEDGGIERSVREERQKGVKTQAPISLEGEKIFNVPKRFVVEKHGKESQSILEVDDIDAADEWQEATQFFELVRTDKSRMGFEQTNNDKVLMQSMQSNELQHKAKKESIGALELQLDSDNKVEAVREDHELEKVERDMKTAKESCERGEPTGISKAAKEARRHKGHEKKVKEAQEVSVLEENGQSITARKPLRNGKKPTGADELEQREKRVNAQQKEIKVEVGLAMELKENGQQEKETSKSIENAKRVEESQEREGQKRWREVFEQEKNETKCKQAENEKRLSEALEQEEKEKRLKEAREREEIKKKEKEACELEESEKIWRMALEQIENEKRLKQAHMQEVNERRQRKALEQEEMEKKQREVHEKEESKRRLEQVTEQGKEERQQKEVIQREETENKIKEACEKVAIDKGLKEACEKEETAKRLKEAHEKENIEKMLKEAVEQKDYSKPVKEAQDTEDEVKQKVVEQVETEEVQGVNCVHQHTERVENGKKLKIAEGTHQHVEGEDPVVSDEVNKLDCGKKHQENQLVGNNDQNCDELEQTEESRLEENGKKEAEFRDGEKKSEAMGKGNVDGKFNASEMAPGDLEVKVNQFRKDEVSDLCHQDDGVKKAGEAGIGIGQRNAEKINSVPGMDSDNNNQGLKFAYEWRERARNNKEAQVPSHLEENKDKFVSAQSVKESVETGRKPEVAKSSVLEGKGSTQRTVQQVKISQSTERRDKNINDSLTPEEKEAERLKRERELEMERLRKMEEEREREREREKDRMAVDRAALEARERGYVETRERAARAAVERATAEARQRAMAEARDRLEKACAEAREKSSMEARLRAERAAVERATAEARERAVEKAMAERAAFEARERVERSMSDKFSTSSRNSGMRTSTSSSDLQDQHFQSTGSFGGLRYPYSSAYNGESAQRCKARLERYRRTAERAAKALEEKNMRDLIAQREQAERNRLAETLDADVKRWSSGKEGNLRALLSTLQYVCYIIQNLFRQAFIIISLVY >EOX94984 pep chromosome:Theobroma_cacao_20110822:1:32290220:32301232:1 gene:TCM_004564 transcript:EOX94984 gene_biotype:protein_coding transcript_biotype:protein_coding description:CW-type Zinc Finger, putative isoform 1 MEDTELEEGEACSYNNNNDDYDTTTDPENDLSSLAYIDEKIQHVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYARSPGWSHPKSPPKVQSCNAPRSPNNMQLEDGRNSSAGWASGSQALRPGPPTNFDTLPALKAPSSNDSNKQEVGVTSTHADELASRCEFANKKAANLPDQKPLKVRIKMGSDNLSTRKNAEFYSVVGLDVSPSSSLDDSPSESEGMYRETQEPLFESPTSILRFMTSFPVPGEALLSPLPDDLLNFTIKEKISKENRSDSGKVDGIILGDKKAKSMEKKNFPAERKSGNNRETRNDNGIMSKKEADIDTLACEELVSKTLKLPLLSNSYSAIDRVKNKGIARNRGAHDVAMEESLEPILTQEVGWDKPRAGSARKVLEEQKTSVLNDISGYARKDGCSKAEKIYDPMKADSYTLKGSKALNCEPVDPPKQKVSQRATSYEQDNMKLPPAKQHTSSGGKRKSKGSQGHGSLAAEVPKESLRAGPSSMLKNKQTAHVNNYTIKRESGEPKLERPFRKAEDRYKDFFGDMGEPEQEENLKISLEIPSEDRLKEADKVERNISAINSAYNDRLSVKKTEDLLASESYPKPTMDGASNSANVNVAGTSHASAAPILIKENWVACDKCHKWRLLPLSINPADLPDKWLCSMLNWLPGMNRCSVDEEETTKAVFALYQVPVAENQNNLQNNPGNIMSRLPSADALQPDQNQRSFGSNAMPSAGRKKHSLKETSNAMDKDGPTPTKKNVQSSARSGSLTDVTRSPVVGEPGLQHLSRSSDLSVEKHKNKQKEKHKVSEHSSDGGDDKTSKMKGKRVTDQDSLRASKKIKTESLHLADEDWVFEHAVKGGPSTSNGLPTTLVGKDQPKHSERSSHRDSKLDKDRQQAYVKRLKDKVQVSLTDGSLDMANCDGGEISRKRKVDECIDCQLNTGSLQSMGNNLQDSRVSVKEEFSENDYRREKKARVSKSGGKDSSASKSSGKLEKKSRHTKNHRSGQDPDITLSQRSLDGTDSLKKDLGSAQPSLAATSSSSKVSGSHKSKSGSHKSKTGFHETKGSPVESVSSSPMRIANPDKLSSTRRNVRGKDESRDAGLLVAGSPRRCSDGEDNDGSDRSGIGRKDKTSAAAQHGSLESSALHLQYKDGGQLGDSKAKGPIESSPDIRKGQFMNGTVDYLGQEAQYAGKLATMDEHCDEENQNNNHVLADASRPRKSGKGSSRSKDRSRSFKSDSVDEQQDRAPSYEVKPRDQRNKFQERFGVKSDQSENRFVDNKESVGKLSGESSKRESQSNVGVQGRSDAKPDATGVQDVMSTVKQNIVPDSDGEKYTKRFHPDKSDHAEIASGRGKSVSLPPSGGTQNEMLSRCPRPVSGYQKGNGVDGSQGDDALKIQKQIKKADLQNGTQHSSSRHTTSGGRRIRDVDAPSPLRKDSSSQAATNALKEATDLKHLADRVKNSGSNVESTALYFQAALKFLHGASLLESCNSDSAKHGEMIQSMQMYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSSHASASRDRHELQTALQVVPPGESPSSSASDVDNLNHSTTADKVAFPKGVTSPQVAGNHVISARNRPYFVRLLNFAQDVNYAMEASRKSRIAFAAANLSLGGAESGEVISFVKKALDFNFQDVEGLLRLVRLAMEAISH >EOX94985 pep chromosome:Theobroma_cacao_20110822:1:32290764:32300965:1 gene:TCM_004564 transcript:EOX94985 gene_biotype:protein_coding transcript_biotype:protein_coding description:CW-type Zinc Finger, putative isoform 1 MEDTELEEGEACSYNNNNDDYDTTTDPENDLSSLAYIDEKIQHVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYARSPGWSHPKSPPKVQSCNAPRSPNNMQLEDGRNSSAGWASGSQALRPGPPTNFDTLPALKAPSSNDSNKQEVGVTSTHADELASRCEFANKKAANLPDQKPLKVRIKMGSDNLSTRKNAEFYSVVGLDVSPSSSLDDSPSESEGMYRETQEPLFESPTSILRFMTSFPVPGEALLSPLPDDLLNFTIKEKISKENRSDSGKVDGIILGDKKAKSMEKKNFPAERKSGNNRETRNDNGIMSKKEADIDTLACEELVSKTLKLPLLSNSYSAIDRVKNKGIARNRGAHDVAMEESLEPILTQEVGWDKPRAGSARKVLEEQKTSVLNDISGYARKDGCSKAEKIYDPMKADSYTLKGSKALNCEPVDPPKQKVSQRATSYEQDNMKLPPAKQHTSSGGKRKSKGSQGHGSLAAEVPKESLRAGPSSMLKNKQTAHVNNYTIKRESGEPKLERPFRKAEDRYKDFFGDMGEPEQEENLKISLEIPSEDRLKEADKVERNISAINSAYNDRLSVKKTEDLLASESYPKPTMDGASNSANVNVAGTSHASAAPILIKENWVACDKCHKWRLLPLSINPADLPDKWLCSMLNWLPGMNRCSVDEEETTKAVFALYQVPVAENQNNLQNNPGNIMSRLPSADALQPDQNQRSFGSNAMPSAGRKKHSLKETSNAMDKDGPTPTKKNVQSSARSGSLTDVTRSPVVGEPGLQHLSRSSDLSVEKHKNKQKEKHKVSEHSSDGGDDKTSKMKGKRVTDQDSLRASKKIKTESLHLADEDWVFEHAVKGGPSTSNGLPTTLVGKDQPKHSERSSHRDSKLDKDRQQAYVKRLKDKVQVSLTDGSLDMANCDGGEISRKRKVDECIDCQLNTGSLQSMGNNLQDSRVSVKEEFSENDYRREKKARVSKSGGKDSSASKSSGKLEKKSRHTKNHRSGQDPDITLSQRSLDGTDSLKKDLGSAQPSLAATSSSSKVSGSHKSKSGSHKSKTGFHETKGSPVESVSSSPMRIANPDKLSSTRRNVRGKDESRDAGLLVAGSPRRCSDGEDNDGSDRSGIGRKDKTSAAAQHGSLESSALHLQYKDGGQLGDSKAKGPIESSPDIRKGQFMNGTVDYLGQEAQYAGKLATMDEHCDEENQNNNHVLADASRPRKSGKGSSRSKDRSRSFKSDSVDEQQDRAPSYEVKPRDQRNKFQERFGVKSDQSENRFVDNKESVGKLSGESSKRESQSNVGVQGRSDAKPDATGVQDVMSTVKQNIVPDSDGEKYTKRFHPDKSDHAEIASGRGKSVSLPPSGGTQNEMLSRCPRPVSGYQKGNGVDGSQGDDALKIQKQIKKADLQNGTQHSSSRHTTSGGRRIRDVDAPSPLRKDSSSQAATNALKEATDLKHLADRVKNSGSNVESTALYFQAALKFLHGASLLESCNSDSAKHGEMIQSMQMYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSSHASASRDRHELQTALQVVPPGESPSSSASDVDNLNHSTTADKVAFPKGVTSPQVAGNHVISARNRPYFVRLLNFAQDVNYAMEASRKSRIAFAAANLSLGGAESGEVISFVKKALDFNFQDVEGLLRLVRLAMEAISH >EOX94986 pep chromosome:Theobroma_cacao_20110822:1:32290764:32301216:1 gene:TCM_004564 transcript:EOX94986 gene_biotype:protein_coding transcript_biotype:protein_coding description:CW-type Zinc Finger, putative isoform 1 MEDTELEEGEACSYNNNNDDYDTTTDPENDLSSLAYIDEKIQHVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYARSPGWSHPKSPPKVQSCNAPRSPNNMQLEDGRNSSAGWASGSQALRPGPPTNFDTLPALKAPSSNDSNKQEVGVTSTHADELASRCEFANKKAANLPDQKPLKVRIKMGSDNLSTRKNAEFYSVVGLDVSPSSSLDDSPSESEGMYRETQEPLFESPTSILRFMTSFPVPGEALLSPLPDDLLNFTIKEKISKENRSDSGKVDGIILGDKKAKSMEKKNFPAERKSGNNRETRNDNGIMSKKEADIDTLACEELVSKTLKLPLLSNSYSAIDRVKNKGIARNRGAHDVAMEESLEPILTQEVGWDKPRAGSARKVLEEQKTSVLNDISGYARKDGCSKAEKIYDPMKADSYTLKGSKALNCEPVDPPKQKVSQRATSYEQDNMKLPPAKQHTSSGGKRKSKGSQGHGSLAAEVPKESLRAGPSSMLKNKQTAHVNNYTIKRESGEPKLERPFRKAEDRYKDFFGDMGEPEQEENLKISLEIPSEDRLKEADKVERNISAINSAYNDRLSVKKTEDLLASESYPKPTMDGASNSANVNVAGTSHASAAPILIKENWVACDKCHKWRLLPLSINPADLPDKWLCSMLNWLPGMNRCSVDEEETTKAVFALYQVPVAENQNNLQNNPGNIMSRLPSADALQPDQNQRSFGSNAMPSAGRKKHSLKETSNAMDKDGPTPTKKNVQSSARSGSLTDVTRSPVVGEPGLQHLSRSSDLSVEKHKNKQKEKHKVSEHSSDGGDDKTSKMKGKRVTDQDSLRASKKIKTESLHLADEDWVFEHAVKGGPSTSNGLPTTLVGKDQPKHSERSSHRDSKLDKDRQQAYVKRLKDKVQVSLTDGSLDMANCDGGEISRKRKVDECIDCQLNTGSLQSMGNNLQDSRVSVKEEFSENDYRREKKARVSKSGGKDSSASKSSGKLEKKSRHTKNHRSGQDPDITLSQRSLDGTDSLKKDLGSAQPSLAATSSSSKVSGSHKSKSGSHKSKTGFHETKGSPVESVSSSPMRIANPDKLSSTRRNVRGKDESRDAGLLVAGSPRRCSDGEDNDGSDRSGIGRKDKTSAAAQHGSLESSALHLQYKDGGQLGDSKAKGPIESSPDIRKGQFMNGTVDYLGQEAQYAGKLATMDEHCDEENQNNNHVLADASRPRKSGKGSSRSKDRSRSFKSDSVDEQQDRAPSYEVKPRDQRNKFQERFGVKSDQSENRFVDNKESVGKLSGESSKRESQSNVGVQGRSDAKPDATGVQDVMSTVKQNIVPDSDGEKYTKRFHPDKSDHAEIASGRGKSVSLPPSGGTQNEMLSRCPRPVSGYQKGNGVDGSQGDDALKIQKQIKKADLQNGTQHSSSRHTTSGGRRIRDVDAPSPLRKDSSSQAATNALKEATDLKHLADRVKNSGSNVESTALYFQAALKFLHGASLLESCNSDSAKHGEMIQSMQMYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSSHASASRDRHELQTALQVVPPGESPSSSASDVDNLNHSTTADKVAFPKGVTSPQVAGNHVISARNRPYFVRLLNFAQDVNYAMEASRKSRIAFAAANLSLGGAESGEVISFVKKALDFNFQDVEGLLRLVRLAMEAISH >EOX94983 pep chromosome:Theobroma_cacao_20110822:1:32290764:32301216:1 gene:TCM_004564 transcript:EOX94983 gene_biotype:protein_coding transcript_biotype:protein_coding description:CW-type Zinc Finger, putative isoform 1 MEDTELEEGEACSYNNNNDDYDTTTDPENDLSSLAYIDEKIQHVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYARSPGWSHPKSPPKVQSCNAPRSPNNMQLEDGRNSSAGWASGSQALRPGPPTNFDTLPALKAPSSNDSNKQEVGVTSTHADELASRCEFANKKAANLPDQKPLKVRIKMGSDNLSTRKNAEFYSVVGLDVSPSSSLDDSPSESEGMYRETQEPLFESPTSILRFMTSFPVPGEALLSPLPDDLLNFTIKEKISKENRSDSGKVDGIILGDKKAKSMEKKNFPAERKSGNNRETRNDNGIMSKKEADIDTLACEELVSKTLKLPLLSNSYSAIDRVKNKGIARNRGAHDVAMEESLEPILTQEVGWDKPRAGSARKVLEEQKTSVLNDISGYARKDGCSKAEKIYDPMKADSYTLKGSKALNCEPVDPPKQKVSQRATSYEQDNMKLPPAKQHTSSGGKRKSKGSQGHGSLAAEVPKESLRAGPSSMLKNKQTAHVNNYTIKRESGEPKLERPFRKAEDRYKDFFGDMGEPEQEENLKISLEIPSEDRLKEADKVERNISAINSAYNDRLSVKKTEDLLASESYPKPTMDGASNSANVNVAGTSHASAAPILIKENWVACDKCHKWRLLPLSINPADLPDKWLCSMLNWLPGMNRCSVDEEETTKAVFALYQVPVAENQNNLQNNPGNIMSRLPSADALQPDQNQRSFGSNAMPSAGRKKHSLKETSNAMDKDGPTPTKKNVQSSARSGSLTDVTRSPVVGEPGLQHLSRSSDLSVEKHKNKQKEKHKVSEHSSDGGDDKTSKMKGKRVTDQDSLRASKKIKTESLHLADEDWVFEHAVKGGPSTSNGLPTTLVGKDQPKHSERSSHRDSKLDKDRQQAYVKRLKDKVQVSLTDGSLDMANCDGGEISRKRKVDECIDCQLNTGSLQSMGNNLQDSRVSVKEEFSENDYRREKKARVSKSGGKDSSASKSSGKLEKKSRHTKNHRSGQDPDITLSQRSLDGTDSLKKDLGSAQPSLAATSSSSKVSGSHKSKSGSHKSKTGFHETKGSPVESVSSSPMRIANPDKLSSTRRNVRGKDESRDAGLLVAGSPRRCSDGEDNDGSDRSGIGRKDKTSAAAQHGSLESSALHLQYKDGGQLGDSKAKGPIESSPDIRKGQFMNGTVDYLGQEAQYAGKLATMDEHCDEENQNNNHVLADASRPRKSGKGSSRSKDRSRSFKSDSVDEQQDRAPSYEVKPRDQRNKFQERFGVKSDQSENRFVDNKESVGKLSGESSKRESQSNVGVQGRSDAKPDATGVQDVMSTVKQNIVPDSDGEKYTKRFHPDKSDHAEIASGRGKSVSLPPSGGTQNEMLSRCPRPVSGYQKGNGVDGSQGDDALKIQKQIKKADLQNGTQHSSSRHTTSGGRRIRDVDAPSPLRKDSSSQAATNALKEATDLKHLADRVKNSGSNVESTALYFQAALKFLHGASLLESCNSDSAKHGEMIQSMQMYSSTAKLCEFCAHEYERLKDMAAASLAYKCMEVAYMRVIYSSHASASRDRHELQTALQVVPPGESPSSSASDVDNLNHSTTADKVAFPKGVTSPQVAGNHVISARNRPYFVRLLNFAQDVNYAMEASRKSRIAFAAANLSLGGAESGEVISFVKKALDFNFQDVEGLLRLVRLAMEAISH >EOX95686 pep chromosome:Theobroma_cacao_20110822:1:35235652:35240932:-1 gene:TCM_005132 transcript:EOX95686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein, putative isoform 1 MEKETRQKIEETVREILSKADMEEMTEFKVRVAASERLGIDLSDFNHKKFVREVIESFLLSTVEENGDVEELNSKLREEEAKIKIKKEIDGDGDRLICKLADKRNVVVHEFRGKTYVSIREFYVKDGKELPSARGVSLTSEIWSALKNSFPAIDAAVKKMQSKLSTKLDGEQNGDVSNSVTAFSHEFSPIETTRFDGKNYHCWAEQMELFLKQLQIAYVLTDPCPSLTLSPEASSEESAQAKATEKKWMNDDYLCRHSILSSLSDNLYYQFSKKTKSAKELWEELKLVYLYEEFGTKRSQVRKYIEFQIVDGRPILKQMQELNSIADSIVAAGMMIDENFHVSTIISKLPPSWKDFCVKLMREEYLPFRMLMDHIRVEEESRNRVKQAEHSKYESFYPANNLGPRIRDMKKPGVPWKRRESEMHGSPPICNYCGRKGHLSKFCRNRRCEKEVNGKQNGENSTMPSVSKLYWRADNLLIFYLVSLYLSQISASTDWFQLPNRLANLRCSRVGLESRPPLNSDRCQLRASFIQPSNHRTGSQVQYLDSGNNIEQAHFVYQSPSFGLEHILSKPKLFSFAKNVLYQTPRIVFGHSLHGKGGGRGGGGGAETKVRS >EOX95687 pep chromosome:Theobroma_cacao_20110822:1:35237913:35240932:-1 gene:TCM_005132 transcript:EOX95687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein, putative isoform 1 MEKETRQKIEETVREILSKADMEEMTEFKVRVAASERLGIDLSDFNHKKFVREVIESFLLSTVEENGDVEELNSKLREEEAKIKIKKEIDGDGDRLICKLADKRNVVVHEFRGKTYVSIREFYVKDGKELPSARGVSLTSEIWSALKNSFPAIDAAVKKMQSKLSTKLDGEQNGDVSNSVTAFSHEFSPIETTRFDGKNYHCWAEQMELFLKQLQIAYVLTDPCPSLTLSPEASSEESAQAKATEKKWMNDDYLCRHSILSSLSDNLYYQFSKKTKSAKELWEELKLVYLYEEFGTKRSQVRKYIEFQIVDGRPILKQMQELNSIADSIVAAGMMIDENFHVSTIISKLPPSWKDFCVKLMREEYLPFRMLMDHIRVEEESRNRVKQAEHSKYESFYPANNLGPRIRDMKKPGVPWKRRESEMHGSPPICNYCGRKGHLSKFCRNRRCEKEVNGKQNGENSTMPSVSKVNVVESNV >EOX90721 pep chromosome:Theobroma_cacao_20110822:1:453876:455456:-1 gene:TCM_000110 transcript:EOX90721 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0548 protein MVFLCWARPSPEQQKSCINKSGTFNYDTKYKGATAKPVSCIKEDKELSKDGYLVNHARVLVGSGLQTYEKGKAALQNWRHFGLNWAFVDPKTPIQNGVKFCVCVKEFLPWVMMPLQVVYVKESKSAKTKKAVASFGFGSGTLQGHLLAGEERFSIELDENDQVWYEILSFSKPAHFLSFIGYPYVYLRQKYFAHQSANAVLNHV >EOX91575 pep chromosome:Theobroma_cacao_20110822:1:3147925:3150770:-1 gene:TCM_000714 transcript:EOX91575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 81, subfamily H, polypeptide 1, putative MDQILIYSLLSALFLLLASKLTRSRHKSLPPSPFALPVLGHLHLLKEPLHRTLSNLSQKYGPIFSLRVGFRLLVVVSSPSAVQECFTKNDIVLANRPRFVMGKYVGYDYTTLGLAPYGDHWRNLRRLATIEIFSSNRLNMSLDIRADEVNRLLRRLFQVSANGFAKVELKSLFSELTFNIIMRMIAGKRYFGDDVSGNYEEGRRFREIIKERFELAVSSYPGDFVPILQLVDYNGYISRITRLGNKADELMQGMIDEHRRNKGDLKIKNTMITHLLSLQESQPDYYTDEIIKGLVQVILNAGTDTTAVTLEWAMSNLLNYPHVLEKARVELAQNVAAPFLVPHLASDNCSIGGYEIPKEAILLVNAWTIQRDPILWEDPTTFKPERFESKETSDQTYRLMPFGLGRRACPGMGLAHHVLGLTLGSLIQCFEWKRVSEKKIDMTEERGLTMPKVEPLEALCKASHIADKVLSIF >EOX93185 pep chromosome:Theobroma_cacao_20110822:1:11087149:11087933:1 gene:TCM_002026 transcript:EOX93185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGVTQVVFPVLGIVAAAAVTFYAVSFAEMREKSFRELEDSGNEDGGFDSSRSSRKRRARRKAEKDAKS >EOX92919 pep chromosome:Theobroma_cacao_20110822:1:9417705:9426636:-1 gene:TCM_001782 transcript:EOX92919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein isoform 1 MVESNLNKETVGCTGTADTQSGNQASGLRREPSFSRWVDEDGTIHLEHRFETTDACLEDSEFELPMLNQSELENRVRYSKFSEQSMHLNGGSTMEDMHGGDRNGKYAAFDIENESSEDLRLSSNAVDGANSTGNWKALARNSKSSVSAADVLKTLFFILVWYTFSTFLTLYNKTLLGDDLGKFPAPLLMNTVHFTMQAVLSKAITWYWSHRYQPTVAMSWRDYFYRVVPTALSTALDVNLSNASLVFISVTFATMCKSAAPIFLLLFAFAFRLESPSLKLLGIILVISVGILLTVAKETEFEFWGFVFVMLAAVMSGFRWCMTQILLQVWL >EOX92918 pep chromosome:Theobroma_cacao_20110822:1:9413229:9426628:-1 gene:TCM_001782 transcript:EOX92918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein isoform 1 MVESNLNKETVGCTGTADTQSGNQASGLRREPSFSRWVDEDGTIHLEHRFETTDACLEDSEFELPMLNQSELENRVRYSKFSEQSMHLNGGSTMEDMHGGDRNGKYAAFDIENESSEDLRLSSNAVDGANSTGNWKALARNSKSSVSAADVLKTLFFILVWYTFSTFLTLYNKTLLGDDLGKFPAPLLMNTVHFTMQAVLSKAITWYWSHRYQPTVAMSWRDYFYRVVPTALSTALDVNLSNASLVFISVTFATMCKSAAPIFLLLFAFAFRLESPSLKLLGIILVISVGILLTVAKETEFEFWGFVFVMLAAVMSGFRWCMTQILLQKEAYGLRNPLTFMSYVTPVMAVATAILSLFLDPWHEFRKNNYFNNSWHIARSCLLMLFGGTLAFFMVLTEYILVSVTSAVTVTIAGVVKEAVTIMVAVFYFHDEFTWLKGAGLCTIMVGVSLFNWYKYQKLQKGKLEEGETAGLSATNPAAKYVILEEMEDQDDVP >EOX95254 pep chromosome:Theobroma_cacao_20110822:1:33558872:33566385:-1 gene:TCM_004805 transcript:EOX95254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance family protein isoform 2 MKDLDFNADKVLSKEFLSNFADATGEAKYMNILQDVANHKIRAVQIDLEDLFNYKDLDEEFLRRVTENTRRYIGIFAGAIDELLPEPTEAFPDDDHDILMTQRAEDGTNNADGSDPHQKMPSEIKRYYEVYIRAPSKGQAFTIREVKASYIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGYEIYQDVTARVFMPLFECPSKRCSVNKTKGNLILQLRASKFLKFQEAKIQELAEHVPKGHIPRSMTVHFRGELTRKVAPGDVVELSGIFLPIPYTGFRALRAGLVADTYLEAMSVTHFKKKYEEYELRGDEEEQIARLAEDGDIYSKLARSLAPEIYGHEDVKKALLLLLVGAPHRKLKDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPVTNEMVLEGGALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAILAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDRADMDSDLEMARHVVYVHQNKESPALGFTPLEPSVLRAYISAARRLSPYVPKELEEYIATAYSSIRQEEAKSNSPHSYTTVRTLLSILRISAALARLRFSETVAQSDVDEALRLMQMSKFSLYSDDRQKSGLDAISDIYSILRDEAARANKMDVSYAHALNWISRKGYSEAQLKECLEEYAALNVWQIHPHTFDIRFIDA >EOX95255 pep chromosome:Theobroma_cacao_20110822:1:33559688:33566304:-1 gene:TCM_004805 transcript:EOX95255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance family protein isoform 2 MKDLDFNADKVLSKEFLSNFADATGEAKYMNILQDVANHKIRAVQIDLEDLFNYKDLDEEFLRRVTENTRRYIGIFAGAIDELLPEPTEAFPDDDHDILMTQRAEDGTNNADGSDPHQKMPSEIKRYYEVYIRAPSKGQAFTIREVKASYIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGYEIYQDVTARVFMPLFECPSKRCSVNKTKGNLILQLRASKFLKFQEAKIQELAEHVPKGHIPRSMTVHFRGELTRKVAPGDVVELSGIFLPIPYTGFRALRAGLVADTYLEAMSVTHFKKKYEEYELRGDEEEQIARLAEDGDIYSKLARSLAPEIYGHEDVKKALLLLLVGAPHRKLKDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPVTNEMVLEGGALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAILAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDRADMDSDLEMARHVVYVHQNKESPALGFTPLEPSVLRCIYFCCKKIITLCSKGAGRVYCYSIFQHSARRSKIEFPSFIYYCEDSTQHSSDISRR >EOX95256 pep chromosome:Theobroma_cacao_20110822:1:33560753:33566286:-1 gene:TCM_004805 transcript:EOX95256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance family protein isoform 2 MKDLDFNADKVLSKEFLSNFADATGEAKYMNILQDVANHKIRAVQIDLEDLFNYKDLDEEFLRRVTENTRRYIGIFAGAIDELLPEPTEAFPDDDHDILMTQRAEDGTNNADGSDPHQKMPSEIKRYYEVYIRAPSKGQAFTIREVKASYIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGYEIYQDVTARVFMPLFECPSKRCSVNKTKGNLILQLRASKFLKFQEAKIQELAEHVPKGHIPRSMTVHFRGELTRKVAPGDVVELSGIFLPIPYTGFRALRAGLVADTYLEAMSVTHFKKKYEEYELRGDEEEQIARLAEDGDIYSKLARSLAPEIYGHEDVKKALLLLLVGAPHRKLKDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPVTNEMVLEGGALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAILAAANPAWALARLRFSETVAQSDVDEALRLMQMSKFSLYSDDRQKSGLDAISDIYSILRDEAARANKMDVSYAHALNWISRKVCS >EOX94947 pep chromosome:Theobroma_cacao_20110822:1:32162456:32166541:1 gene:TCM_004541 transcript:EOX94947 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 1 MQKKMAYSFPDEVLEHVFSFIQSDKDRNAVSMVCKSWYEIERWCRRKIFIGNCYAVSPRIMIRRFPEIRSVELKGKPHFADFNLVPEGWGGYILPWIVEMAGAYPWLEEIRLKRMVVTDESLELIAKSFKNFKVLVLSFCEGFSTDGLAAIAASCKNLKELDLRESEVDDLSGHWLSHFPETYTSLVSLNISCLGSDEVSFSALERLVGRCPNLRTLQLNRAVPLDKIATILRRAPQLVEFGTGTYAAELRPDVFSNLAGAFSSCKELRSLSGFWDVVPAYLPAIYSVCSRISSLNLSYATIQSHDLIKLVCHCPSLQRLLVLDYIEDSGLEALASSCKDLQELRVFPSDPFGAEPNVSLTEQGLVAVSLGCPKLQSVLYFCHQMTNSALVNIAQNRPNLTRFRLCIIAPRKPDYVTLEPLDVGFGAIVQHCKDLRRLSLSGLLTDRVFEYIGTYAKKLEMLSVAFAGDSDLGLHHVLSGCESLRKLEIRDCSFGDKALLANAAKLETMRSLWMSSCSVSFGACKLLGQKMPRLNVEVIDERGPPDSRPESCPVEKLYIYRSVAGPRFDMPPFVWTMDDEDSALRLS >EOX94948 pep chromosome:Theobroma_cacao_20110822:1:32162456:32166610:1 gene:TCM_004541 transcript:EOX94948 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 1 MQKKMAYSFPDEVLEHVFSFIQSDKDRNAVSMVCKSWYEIERWCRRKIFIGNCYAVSPRIMIRRFPEIRSVELKGKPHFADFNLVPEGWGGYILPWIVEMAGAYPWLEEIRLKRMVVTDESLELIAKSFKNFKVLVLSFCEGFSTDGLAAIAASCKNLKELDLRESEVDDLSGHWLSHFPETYTSLVSLNISCLGSDEVSFSALERLVGRCPNLRTLQLNRAVPLDKIATILRRAPQLVEFGTGTYAAELRPDVFSNLAGAFSSCKELRSLSGFWDVVPAYLPAIYSVCSRISSLNLSYATIQSHDLIKLVCHCPSLQRLLVLDYIEDSGLEALASSCKDLQELRVFPSDPFGAEPNVSLTEQGLVAVSLGCPKLQSVLYFCHQMTNSALVNIAQNRPNLTRFRLCIIAPRKPDYVTLEPLDVGFGAIVQHCKDLRRLSLSGLLTDRVFEYIGTYAKKLEMLSVAFAGDSDLGLHHVLSGCESLRKLEIRDCSFGDKALLANAAKLETMRSLWMSSCSVSFGACKLLGQKMPRLNVEVIDERGPPDSRPESCPVEKLYIYRSVAGPRFDMPPFVWTMDDEDSALRLS >EOX93395 pep chromosome:Theobroma_cacao_20110822:1:12670308:12671901:-1 gene:TCM_002254 transcript:EOX93395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFKQFIFLALLFTIFLLSSAAVAEKSKDETKPDATEERGEGGEAKYFCRHRCCGHYGCRCCSYAEAQLMGAQAKDEKSEVAQEVNQVDDAKYYGCRYRCCGPYGCRCCTFADIMAEDLNQQNNLMKYQGSGYGGGGYGGGRGGWGGGGGHGGYGGGGGGGGGGGGHGGGYGGGGGGHGAYGGGGGGHGGGGGGHGAYGGGGGGHGGGYGGGGGHGGGGGGYGGAEATP >EOX93640 pep chromosome:Theobroma_cacao_20110822:1:14482553:14485719:1 gene:TCM_002527 transcript:EOX93640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLENPAPTAPDSAPAIKRYVPANQRNRSLGRRKSGEKSQAVTSRNYPVGDAGSSSFLNEDHPRPGLIALEGCSGSEASRLLSNRWAAAMHRCHDTSIDLSERPVLYSGSSDSAWRNFRLPHQMMSSTNSIGPSPGSQMDFLGELRRAIRNANANSDN >EOX93639 pep chromosome:Theobroma_cacao_20110822:1:14482261:14485682:1 gene:TCM_002527 transcript:EOX93639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLENPAPTAPDSAPAIKRYVPANQRNRSLGRRKSGDWFDSTNNVYGNDSEKSQAVTSRNYPVGDAGSSSFLNEDHPRPGLIALEGCSGSEASRLLSNRWAAAMHRCHDTSIDLSERPVLYSGSSDSAWRNFRLPHQMMSSTNSIGPSPGSQMDFLGELRRAIRNANANSDN >EOX95270 pep chromosome:Theobroma_cacao_20110822:1:33620112:33622595:-1 gene:TCM_004819 transcript:EOX95270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWKNLYQRARKLLTSPILQDSFHLLTITLLSLLLPLSFLLVARLSCVNYILTITSNPSQPSPSFLLSFYFYTNPAVLYVLVSAASIATLVHGLTGKIAFVNESPDVVYRPRLYIAWIVLYILQVSVGLGIEGSIVSGIDGAGFGAERSLLSRVIFFLGLHEIMLLWFRTVVKPVVDDTIFGAVREERWIHRAAMALSIGTFWWWKLRDEVESLVVVAEAKKELSMDIEIADFLSWWLYYLTVTIGMVRVVKALIWLGIVLLCRRVRRNNDETSEEDQDKV >EOX93280 pep chromosome:Theobroma_cacao_20110822:1:11872351:11875089:1 gene:TCM_002127 transcript:EOX93280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVENATEKEEEDQLREEMKTEEGRLTEERKTIEDRLREKRLFWGRAAETVEEDGGKKKREKKITFYMGQSGVFEKALCKCNDTIATTTMSVMSDVVNWVFSLIGWMVFGVKWQ >EOX96121 pep chromosome:Theobroma_cacao_20110822:1:36660762:36662301:1 gene:TCM_005447 transcript:EOX96121 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP family transcription factor MAAIQKQEVEEDEDTRAVDLSINGGGDAAAAASKNPKEEPDTEAAIGVMPMAVHVPSAIPMPLVTAPPTAPKRASTKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLEHAEPAIIAATGTGTVPAIAMSVNGTLKIPTTSNANPEPGDQSKKKRKRPANSEYVDINDAVSVSSGLAPVITSQRRQQQQQLPPVLPQGLVPVWAIPSNAVVPGAFFMVPPMTSVAGPSTEPHLFTFPATATPLINISARPISSFVSAMQHGVSIAATPGQLQSNVAVTSSTLPVSKTVKTTSVMAPSSSSAATITSSSASTTTTTTTQMLRDFSLEIYDKQELQFMTRPSKH >EOX96184 pep chromosome:Theobroma_cacao_20110822:1:36896466:36899757:-1 gene:TCM_005490 transcript:EOX96184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrodipicolinate synthase isoform 1 MATLKSYGVRLGESTHQFPLPNRGDNYKSRRNAKWRSPQAAVIPNFHLPMRSFEVKNRTSSEDIKSLRLITAIKTPYLPDGRFDLEAYDGLVNMQIENGAEGVIVGGTTGEGQLMSWDEHIMLIGHTVNCFGGSIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSLEGLVSHFDSVLPMGPTIIYNVPSRTGQDIPPRVINTVAQSPNLAGVKECVGNDRIEQYTDNGIVVWSGNDDQCHDARWSHGATGVISVTSNLIPGLMRELMFGGKNPSLNVKLLPLIEWLFEEPNPIGLNTALAQLGVVRPVFRLPYVPLPLAKRVEFVNLVRQIGRQNFVGEKDVQVLDNDDFILVGRY >EOX96183 pep chromosome:Theobroma_cacao_20110822:1:36896466:36899680:-1 gene:TCM_005490 transcript:EOX96183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrodipicolinate synthase isoform 1 MATLKSYGVRLGESTHQFPLPNRGDNYKRRNAKWRSPQAAVIPNFHLPMRSFEVKNRTSSEDIKSLRLITAIKTPYLPDGRFDLEAYDGLVNMQIENGAEGVIVGGTTGEGQLMSWDEHIMLIGHTVNCFGGSIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSLEGLVSHFDSVLPMGPTIIYNVPSRTGQDIPPRVINTVAQSPNLAGVKECVGNDRIEQYTDNGIVVWSGNDDQCHDARWSHGATGVISVTSNLIPGLMRELMFGGKNPSLNVKLLPLIEWLFEEPNPIGLNTALAQLGVVRPVFRLPYVPLPLAKRVEFVNLVRQIGRQNFVGEKDVQVLDNDDFILVGRY >EOX95028 pep chromosome:Theobroma_cacao_20110822:1:32657261:33534472:1 gene:TCM_004621 transcript:EOX95028 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H, putative MDVIRLITPKASNGHGFILVAIDYFTKWVEAVSYFNVRQNAVCRFIQREIICRYGLPKRIITNNVSNLNSAMVNEVCVKFKIKHHNSTTYRLKMNGAVEAANKNIKKIDLMAVLHIEEVSPNELNPWKVYFDGASNALGHGIGAVLISPNGKYYPATARLNFNCTNNMAEYEALVLGLQAAIDIKADAIDVYGDSALVICQMKGEWETRDPKLVPYKKLLKLNPAKCTFGVTSGKLLGFIVSEKGIEVDPDKIRAIQELPPPKTQKEVRGFLGRLNYIARFISQLTCKCDPIFKLLRKRDPGEWNEECQIAFDKIKEYLTNPPVLMPPTVEKPLILYLTVNRNSMGCVLGQHDETGKKERAVYYLSKKFMEYESKYSALEKMCCELAWTAQRLRQYMLYHTTWLVAKLDPIKYIFEKPCLSGRIARWQMLLSEYDIVYVSQKSIKGSAIADFLADRANEDYESVSFDFPDED >EOX91419 pep chromosome:Theobroma_cacao_20110822:1:2661254:2666603:-1 gene:TCM_000621 transcript:EOX91419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeaxanthin epoxidase (ZEP) (ABA1) isoform 2 MAATSLQKPSATFFTGTQFPVSISKYIPTESLPCIHCNYHFRSKTSNPKKSVLQVKATVAGTQSASKSSEKNEFDGNQLEKKKLRVLVAGGGIGGLVFALAAKKKGFDVVVFEKDLSAIRGEGQYRGPIQIQSNALAALEAIDMEVAEKVMAAGCITGDRINGLVDGVSGTWYVKFDTFTPAAERGIPVTRVISRMTLQEIMVHAVGEDIIFNESNVVDFEDDGHKVTVILENGKRYEGDLLVGADGIWSKVRNNLFGPKEAVYSGYTCYTGIADFVPADIESVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPAGGVDSHAKKERLLKIFEGWCDNVIDLLLATDEDDILRRDIYDRTPSLTWGRGRVTLLGDSIHAMQPNMGQGGCMAIEDSYQLALDLDKAWKQSVESGTAVDVVSSLKSYERARRLRVAIIHGMARMAAIMASTYKAYLGVGLGPLSFLSKFRIPHPGRVGGRFFIDLAMPLMLSWVLGGNSSSKLEGRSLSCRLSDKASDQLRTWFEDNDALEQTINGEWFLFPVGNEVVASQPICLSRDENKPFMIGSEKSENFPGASVVVPSPQVSKTHAQISYKDGAFFLIDLQSEHGTYIDHGGRRSRVPPNVSTRVRPSDVIQFGSDKKAAFRVKVMRSPPKIALKGEGGILQAV >EOX91418 pep chromosome:Theobroma_cacao_20110822:1:2660947:2666956:-1 gene:TCM_000621 transcript:EOX91418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeaxanthin epoxidase (ZEP) (ABA1) isoform 2 MAATSLQKPSATFFTGTQFPVSISKYIPTESLPCIHCNYHFRSKTSNPKKSVLQVKATVAGTQSASKSSEKNEFDGNQLEKKKLRVLVAGGGIGGLVFALAAKKKGFDVVVFEKDLSAIRGEGQYRGPIQIQSNALAALEAIDMEVAEKVMAAGCITGDRINGLVDGVSGTWYVKFDTFTPAAERGIPVTRVISRMTLQEIMVHAVGEDIIFNESNVVDFEDDGHKVTVILENGKRYEGDLLVGADGIWSKVRNNLFGPKEAVYSGYTCYTGIADFVPADIESVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPAGGVDSHAKKERLLKIFEGWCDNVIDLLLATDEDDILRRDIYDRTPSLTWGRGRVTLLGDSIHAMQPNMGQGGCMAIEDSYQLALDLDKAWKQSVESGTAVDVVSSLKSYERARRLRVAIIHGMARMAAIMASTYKAYLGVGLGPLSFLSKFRIPHPGRVGGRFFIDLAMPLMLSWVLGGNSSKLEGRSLSCRLSDKASDQLRTWFEDNDALEQTINGEWFLFPVGNEVVASQPICLSRDENKPFMIGSEKSENFPGASVVVPSPQVSKTHAQISYKDGAFFLIDLQSEHGTYIDHGGRRSRVPPNVSTRVRPSDVIQFGSDKKAAFRVKVMRSPPKIALKGEGGILQAV >EOX91417 pep chromosome:Theobroma_cacao_20110822:1:2660975:2667179:-1 gene:TCM_000621 transcript:EOX91417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeaxanthin epoxidase (ZEP) (ABA1) isoform 2 MAATSLQKPSATFFTGTQFPVSISKYIPTESLPCIHCNYHFRSKTSNPKKSVLQVKATVAGTQSASKSSEKNEFDGNQLEKKKLRVLVAGGGIGGLVFALAAKKKGFDVVVFEKDLSAIRGEGQYRGPIQIQSNALAALEAIDMEVAEKVMAAGCITGDRINGLVDGVSGTWYVKFDTFTPAAERGIPVTRVISRMTLQEIMVHAVGEDIIFNESNVVDFEDDGHKVTVILENGKRYEGDLLVGADGIWSKVRNNLFGPKEAVYSGYTCYTGIADFVPADIESVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPAGGVDSHAKKERLLKIFEGWCDNVIDLLLATDEDDILRRDIYDRTPSLTWGRGRVTLLGDSIHAMQPNMGQGGCMAIEDSYQLALDLDKAWKQSVESGTAVDVVSSLKSYERARRLRVAIIHGMARMAAIMASTYKAYLGVGLGPLSFLSKFRIPHPGRVGGRFFIDLAMPLMLSWVLGGNSSKLEGRSLSCRLSDKASDQLRTWFEDNDALEQTINGEWFLFPVGNEVVASQPICLSRDENKPFMIGSEKSENFPGASVVVPSPQVSKTHAQISYKDGAFFLIDLQSEHGTYIDHGGRRSRVPPNVSTRVRPSDVIQFGSDKKFPSDVQAAFRVKVMRSPPKIALKGEGGILQAV >EOX96196 pep chromosome:Theobroma_cacao_20110822:1:36966005:36968619:-1 gene:TCM_005501 transcript:EOX96196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNPHKTEEGLFHHHHDLGPPHQMIQTQSQPSISPHDDAPDPSFSLPEIVLFRSSSSADSPSRSSSENDDAATTHPAPSCTATTTDLTNATHKITDQSLGYISPDPHISSQFYTFNAESHSLMIRCISEQRLATPAEIRTATPRSVLKSWRAVWKDRNEDTAYLTAWKRIQDKLTAHVDNSSGNEFLCFKNNANQFVSHINQWQDIVMSFHGDADLKHLGLKETIERIKQVWTVGAKFYGIPESYIRVCVAACPVCNGSSGSASRSKRRRFEYTESFDVPAKEVPHRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPAAKKSRILKREPYASKRCGCGFRIRAIVPIANYNEKDKTFVYQEEGMAVFKLYAVHSGHEPGPSDGNARIMHRVVGHKGGFLMDQDMVYGVSEDLDSEGFGLMGKDQGDLQLAILQQVQELRAEIGLLEGRIGKIPRQLLGSVSRELFDILSKVRRLGEEGPKSMGLLSDKPHSDDMLVGENDLAHWSDHHHDRIYGDGKDAELIEDDEDSFGRTLGDVVPWDQMRTDCRSQKDLISEPCKTDKWLKCSDFDEKSILDCEDTKLTKPMRHDDGIVTDVGLVGIQVDSFYQENPKWYDSPCGLDSSTDCGDSGFRHGEIV >EOX94804 pep chromosome:Theobroma_cacao_20110822:1:31487932:31489338:-1 gene:TCM_004415 transcript:EOX94804 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MKEFEVTDLGEMHYFLGLQFIQRSDYICIHQSKYADEILKKFNMEKCKAVDTPLASNYRLTKDDGTPSAESLMYRSIIGSLLYLTASRPDIMFSASLLSRFMQSPSQTHFAATKRVLRYIKGTIDLGLKFEKKKSFVLMRYCNSDWAGSLYDFKSTSGYCFSLGSAVFSWNSKKQEVVAQSSAEAEYIAAATNHLIWLRKVFHDLGTKHIKVKFHAIRRAVKDEEVDIQHYGTTLQLANIFTKSLSKDQFMFLRYELGICSSNTKEVC >EOX95532 pep chromosome:Theobroma_cacao_20110822:1:34575801:34579216:1 gene:TCM_005008 transcript:EOX95532 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing 69-like protein isoform 1 MSDEMSRCSCNDIVGYRFHPSDKELIDHYLWNKVLDRDSAVQVIGEVAGDLCDYEPERLPGFSIRRSNDKVWYLFCRRNHNKRVKRTTKLGFWKLTGRNRHIKANVGIGIKKTLVFYEGRVPKGKWTPWVIHEYNLPDTLPNQKGYFLCKLKKKDDEKASIASGEEGQPSNVADDKISDNSMEINIEELLAQLEDTNDSNKVGDEVVSLQKPDFDGVQNQSSTNEQDDELYLVSSTQQSQIQEEHVPSYNDSTNFCGVDGNFHKIQNQSSTNEQDDEFYHVSPTRQSQICEEHVSSYNNSIIFCGFNVNFHEVQNQSSTKEEDDEFWKNIFIDDDDDA >EOX95533 pep chromosome:Theobroma_cacao_20110822:1:34575727:34578225:1 gene:TCM_005008 transcript:EOX95533 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing 69-like protein isoform 1 NFWRKEFSFLLFKSSFFSPNFNFRGATPLSLVLTEEKYPLFIAGISVWFWFLSSIVFLLSCPFGISSMSDEMSRCSCNDIVGYRFHPSDKELIDHYLWNKVLDRDSAVQVIGEVAGDLCDYEPERLPGFSIRRSNDKVWYLFCRRNHNKRVKRTTKLGFWKLTGRNRHIKANVGIGIKKTLVFYEGRVPKGKWTPWVIHEYNLPDTLPNQGYFLCKLKKKDDEKASIASGEEGQPSNVADDKISDNSMEINIEELLAQLEDTNDSNKVGDEVVSLQKPDFDGVQNQSSTNEQDDELYLVSSTQQSQIQEEHVPSYNDSTNFCGVDGNFHKIQNQSSTNEQDDEFYHVSPTRQSQICEEHVSSYNNSIIFCGFNVNFHEVQNQSSTKEEDDEFWKNIFIDDDDDA >EOX92227 pep chromosome:Theobroma_cacao_20110822:1:5838495:5841460:1 gene:TCM_001208 transcript:EOX92227 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein MVKIQKPKKQKLSNKKIKKTIKKKKNKPDNSEKPTVPESEPGSSDSDLETETLSELLEHYTRDQLINLISAVALENSSFLSFVSRYAGSDAANRKLFVHNLAWETTCESLASAFEPFGEIEDCNVIVDKATGKCKGYGFVLFKERKSASKALKEPKKKINYRITSCQLASVGPISAAKDSDQTHHKNPADVKNKNVAEPAVLFPPHPQQQPQALVATQNFPLLGHSNPIYGSLLGSQISPVTPLGATSKMAVTAVPSAVTSHVGVVGGAFGRENSSLLGQYGAGQGLQYLYPNTQIEQQPGARRGQGKTTTGAFSGYPSYI >EOX91997 pep chromosome:Theobroma_cacao_20110822:1:4837843:4843674:1 gene:TCM_001029 transcript:EOX91997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum [ER]-type calcium ATPase isoform 1 MGSLKFFRFFRFRTQFDGLRYSNPVHQNLVSNANSNINHHDQDGFFSNSVFRFLRCFQSGEKIDGGSKTEEEEKVYSWLYALARSERDLVFEYVRSTERGLSFTEAERRLKENGPNVPLEYKFPSWWHLLWNAFFHPFNIILIVLSALSYITSDNPNGCIMLILVFISVSLRFYQEYGSSKAAMKLSEFVRSPVKVQRCAGRVVQTELIVQVDQRDVVPGDIVIFEPGDLFPGDLRLLTSKHLVVSQSSLTGESWSTEKTADVREDRSTPLLELKNICFMGTTVVSGTGTGLVVSTGSKTYISTMFSTIGKHKPADSFEKGIRQISYVLVGVMLLAVTIIFLVEYFNFYDISESTLFGISVACALTPQMLPLIINTSLAKGALAMARERCIVKSLSAIRDMGSMDILCIDKTGTLTMNRAIMVNHLDSWGSPREKVLRFAFLNSYFKSDQKYPLDDAILAFVYTNGYRFQPSKWKKIDEIPFDFMRRRVSVILETESNPEGRNSQPCYRFMITKGALEEVMKVCSYVEHIDRGEITAFFAEDQHRILNVVEELSNEGLRVIGVAIKNLPQTQISEQSMDNEDGVESDMVFLGLITFFDPPKDSAKQALWRLAEKGVKAKVLTGDSLSLAIRICQEVGIRTTHVTTGPDLELLNQDDFHENVKKATVLARLTPSLKLRVVQSLQTVGNHVVGFLGDGINDSLALDAANVGISVDSAASVAKDLADIILLEKDLNVLVAGVEQGRLTFGNTMKYIKLSVIANLGSVLSLFIATLFLRFEPLTPKQLLVQNFLYSVGQIAIPWDKMEEDYVKIPQRWSVKGLPMFILWNGPVCTLCDVATLLFLWFYYSSDDQLSATFFRSAWFVEGLLMQTLIYHLIRTEKIPFIMEIASWPVLGSTVLISAVGIAIPFSVIGDFMGFTDLPLSYFGFLVVLFIGYFTVGQIVKRLYILVYKKWL >EOX91998 pep chromosome:Theobroma_cacao_20110822:1:4837825:4843834:1 gene:TCM_001029 transcript:EOX91998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum [ER]-type calcium ATPase isoform 1 MGSLKFFRFFRFRTQFDGLRYSNPVHQNLVSNANSNINHHDQDGFFSNSVFRFLRCFQSGEKIDGGSKTEEEEKVYSWLYALARSERDLVFEYVRSTERGLSFTEAERRLKENGPNVPLEYKFPSWWHLLWNAFFHPFNIILIVLSALSYITSDNPNGCIMLILVFISVSLRFYQEYGSSKAAMKLSEFVRSPVKVQRCAGRVVQTELIVQVDQRDVVPGDIVIFEPGDLFPGDLRLLTSKHLVVSQSSLTGESWSTEKTADVREDRSTPLLELKNICFMGTTVVSGTGTGLVVSTGSKTYISTMFSTIGKHKPADSFEKGIRQISYVLVGVMLLAVTIIFLVEYFNFYDISESTLFGISVACALTPQMLPLIINTSLAKGALAMARERCIVKSLSAIRDMGSMDILCIDKTGTLTMNRAIMVNHLDSWGSPREKVLRFAFLNSYFKSDQKYPLDDAILAFVYTNGYRFQPSKWKKIDEIPFDFMRRRVSVILETESNPEGRNSQPCYRFMITKGALEEVMKVCSYVEHIDRGEITAFFAEDQHRILNVVEELSNEGLRVIGVAIKNLPQTQISEQSMDNEDGVESDMVFLGLITFFDPPKDSAKQALWRLAEKGVKAKVLTGDSLSLAIRICQEVGIRTTHVTTGPDLELLNQDDFHENVKKATVLARLTPSLKLRVVQSLQTVGNHVVGFLGDGINDSLALDAANVGISVDSAASVAKDLADIILLEKDLNVLVAGVEQGRLTFGNTMKYIKLSVIANLGSVLSLFIATLFLRFEPLTPKQLLVQNFLYSVGQIAIPWDKMEEDYVKIPQRWSVKGLPMFILWNGPVCTLCDVATLLFLWFYYSSDDQLSATFFRSAWFVEGLLMQTLIYHLIRTEKIPFIMEIASWPVLGSTVLISAVGIAIPFSVIGDFMGFTDLPLSYFGFLVVLFIGYFTVGQIVKRLYILVYKKWL >EOX93503 pep chromosome:Theobroma_cacao_20110822:1:13575376:13576276:1 gene:TCM_002390 transcript:EOX93503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANTRKGGTFKRKEGEAQAITSGQHQGGTYNPYQPYLPYPYYPAVHNTSQSPYPYPPMPNAFPNLYPYNPIQRTPYPPASTPVTASTTQQTTPSNNHTTGESREWRNKQEKVQFDPIPIPYAELFTQLVANHLVAPLYIEPLKPPFPRWYDTSAHCDYHYGIEGHSIENCTAFKHKVQGLIKAGILNFEKKTEQNVNNNPLPNHAGAGVNAIEREVYVKRNIREVETSMEKVFEALVKVDMLKVWPECPNVNDSRDIQRLCCLYHKGCVGHSI >EOX92099 pep chromosome:Theobroma_cacao_20110822:1:5254479:5257059:-1 gene:TCM_001104 transcript:EOX92099 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-CAP/cofactor C-like domain-containing protein, C, putative isoform 2 MEERPDPNLPAKTLDHDADLQKKHQAMLDRLSTRHHARLDNSLARRSDSTNSSEATSSFLSRFSESKQSIDSQLADSRLIAQSDPSRLKTHFANISSSISDLEKLVAESSYFLPSYEVRSSLKTISDLKQNLEILNSELIPKRKFSFKNKATTKKELPKEPEPEPVKSDAVSVTNFKIPNSPGFRNKTNKTLIQKFKGTEVGEFTLSNLDSCEVRLIGCCNAVFMNRLKDCKVYLGPVIGSILIEEVEGCVFVLASHQIRIHLAKRSDFYLRLRSRPIIEDSNVVRFAPYCLDYEGIEMDLEKAGLSEETGNWGNVDDFKWLRAVQSPNWCVLPGNERVGKVRVEDFESGNEGS >EOX92097 pep chromosome:Theobroma_cacao_20110822:1:5254475:5257073:-1 gene:TCM_001104 transcript:EOX92097 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-CAP/cofactor C-like domain-containing protein, C, putative isoform 2 MEERPDPNLPAKTLDHDADLQKKHQAMLDRLSTRHHARLDNSLARRSDSTNSSEATSSFLSRFSESKQSIDSQLADSRLIAQSDPSRLKTHFANISSSISDLEKLVAESSYFLPSYEVRSSLKTISDLKQNLEILNSELIPKRKFSFKNKATTKKELPKEPEPEPVKSDAVSVTNFKIPNSPGFRNKTNKTLIQKFKGTEVGEFTLSNLDSCEVRLIGCCNAVFMNRLKDCKVYLGPVIGSILIEEVEGCVFVLASHQIRIHLAKRSDFYLRLRSRPIIEDSNVVRFAPYCLDYEGIEMDLEKAGLSEETGNWGNVDDFKWLRAVQSPNWCVLPGNERVGKVRVEDFESGNEGS >EOX92096 pep chromosome:Theobroma_cacao_20110822:1:5254475:5257158:-1 gene:TCM_001104 transcript:EOX92096 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-CAP/cofactor C-like domain-containing protein, C, putative isoform 2 MEERPDPNLPAKTLDHDADLQKKHQAMLDRLSTRHHARLDNSLARRSDSTNSSEATSSFLSRFSESKQSIDSQLADSRLIAQSDPSRLKTHFANISSSISDLEKLVAESSYFLPSYEVRSSLKTISDLKQNLEILNSELIPKRKFSFKNKATTKKELPKEPEPEPVKSDAVSVTNFKIPNSPGFRNKTNKTLIQKFKGTEVGEFTLSNLDSCEVRLIGCCNAVFMNRLKDCKVYLGPVIGSILIEEVEGCVFVLASHQIRIHLAKRSDFYLRLRSRPIIEDSNVVRFAPYCLDYEGIEMDLEKAGLSEETGNWGNVDDFKWLRAVQSPNWCVLPGNERVGKVRVEDFESGNEVADYRLFD >EOX92098 pep chromosome:Theobroma_cacao_20110822:1:5255870:5256934:-1 gene:TCM_001104 transcript:EOX92098 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-CAP/cofactor C-like domain-containing protein, C, putative isoform 2 MEERPDPNLPAKTLDHDADLQKKHQAMLDRLSTRHHARLDNSLARRSDSTNSSEATSSFLSRFSESKQSIDSQLADSRLIAQSDPSRLKTHFANISSSISDLEKLVAESSYFLPSYEVRSSLKTISDLKQNLEILNSELIPKRKFSFKNKATTKKELPKEPEPEPVKSDAVSVTNFKIPNSPGFRNKTNKTLIQKFKGTEVGEFTLSNLDSCEVRLIGCCNAVFMNRLKDCKVYLGPVIGSILIEEVEGCVFVLASHQIRIHLAKRSDFYLRLRSRPIIEDSNVVRFAPYCLDYEGIEMDLEKAGLSEETGNWGNVDDFKWLRAVQSPNWCVLPGNERVGKVRVEDFESGNEGS >EOX91627 pep chromosome:Theobroma_cacao_20110822:1:3335377:3336785:1 gene:TCM_000753 transcript:EOX91627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase isoform 1 KMKTKSRAYLYWNYSRAKLGFPAVFLFCFLFFIAGFLSSSLRSQSQEVSGVRQRGRQLDSVEYDLMAHGKTGDDSISIIPFQVISWRPRAFYFPKFATPEQCRHIINMARSNLEPSKLALRKGESEKPQNVRTSMGTFLSASQDGTGVLDAIEEKIARATKLPRTHYEDFNVLRYELGQKYDSHLDAFPPEHYGPQKSQRVATFLVYLSDVEEGGETAFPYENGLSMDGSFDFKKCIGLKVKPRMGDGFLFYSLYPNNTIDPVCIVSNTSPFP >EOX91626 pep chromosome:Theobroma_cacao_20110822:1:3335022:3337129:1 gene:TCM_000753 transcript:EOX91626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase isoform 1 MSTSRIFDDYTPKMKTKSRAYLYWNYSRAKLGFPAVFLFCFLFFIAGFLSSSLRSQSQKEVSGVRQRGRQLDSVEYDLMAHGKTGDDSISIIPFQVISWRPRAFYFPKFATPEQCRHIINMARSNLEPSKLALRKGESEKPQNVRTSMGTFLSASQDGTGVLDAIEEKIARATKLPRTHYEDFNVLRYELGQKYDSHLDAFPPEHYGPQKSQRVATFLVYLSDVEEGGETAFPYENGLSMDGSFDFKKCIGLKVKPRMGDGFLFYSLYPNNTIDPISLHGSCPVIKGEKWVVTKWIRDQQSPF >EOX95606 pep chromosome:Theobroma_cacao_20110822:1:34816912:34818394:-1 gene:TCM_005052 transcript:EOX95606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWAASLTPVEPKLPAPIPKPTKHPPLTPFPINVSVHPRLSRKAAASNAKQEEGKEISGSDVLWALQRAAAQKTKAKRKKKGLASSEASRRDKDGIDYGNVRPLEIKGEWSLKLDELEKRLRELEQTA >EOX92730 pep chromosome:Theobroma_cacao_20110822:1:8296661:8307709:1 gene:TCM_001621 transcript:EOX92730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2 family protein isoform 3 MLGGSAFDALANDDDGEVVNDSLKEEGEVEEEEEENDDHALAKALRGKKKKSKGPKKGGSWFTAAALDELDDDAGEKDDEKKDEEEDTPFPFSDKKKKSSKCSKKSGGMFGGSAFDAIANEDDNGEMVEEEEDDDVLAKAFTGKKKKSKGEKKGGMFGRSAFDAISKEDDNGEMVEEESKVEEEEEEEDDDVLAKAFTGKKKKSRVGKKGGSWFAAAALDELDDEAGEKEDEKKDEEDDVPSFPFSDKKKKSSRSSKKSGNSFSAVLLDEEDDGEASISEPPVVDDYDDVSAIAFSGKKKKSSKKKSSSAFAILTDGTEPQSEVTDVVEPEQPSLGTSNVETDVSKTNKTEEVVETSKNKKKKKKNKSGRTVQEEEDLDKILAELGEGPPVSKPATPPSPEEKIQVQPETVIPADAPGDKEGEEESVESAAAKKKKKKKEKGKEKKAAAAAAAAASADVKEEIQEETKIETSDAKKKDAKSKAADKKLPKHVREMQEALARRKEAEERKKREEEERLRKEEEERRRQEELERQAEEARRRKKEREKEKLLKKKQEGKLLTGKQKEEARRLEAMRNQILGGKGVSSLPSADKDGAPTKRPIYQTKKSKTAHHHANVAASTKPEEKVQLKEKQQEEQETKEELNSMEDEKVDEVELNNTEEKSVVADAAEENGMEEEDDDDGEWDEKSWDDVNLNVKGAFDDEEADSEPKPVVQKDTKSAASASRNAAPAAVTKPTVEAKKATASRSIKSQDDESKKGHPEVEAQDKNMKKNTGVKNKAPILDAPSKQTEENLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTKELKADAKLKVPGLLVIDTPGHESFTNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLKMRNTEFIVALNKVDRLYGWKVLRNAPILKSLKQQSKDVQNEFNMRLTHIITQFKEQGLNTELYYKNREMGETFSIVPTSAITGEGIPDLLLLLVQWAQKTMVEKLTFNDEVQCTVLEVKVIEGLGTTIDVVLVNGNLHEGDQIVVCGLQGPIVTTVRALLTPHPMKELRVKGTYMQHKEIKAAMGIKIAAQNLEHSIAGTGLYVVGPDDDLEDVKEAVREDMQSVMSRIDKSGEGVYVQASTLGSLEALLEFLKTPEVNIPVSGIGIGPVHKKDVMKASVMLEKKNEYATILAFDVKVTPEARELADELGVRIFIADIIYHLFDQFKAYIDGLKEERKKEAADEAVFPCVLKILPNCIFNKKDPIVLGVDILEGIARVGTPICIPQREFIDIGRIASIENNHKPVDVAKKGQKVAIKIVGSNPEEQQKMYGRHFELDDELVSHISRRSIDVLKANYRDDLNLEEWRLVQRLKILFKIP >EOX92731 pep chromosome:Theobroma_cacao_20110822:1:8296532:8307758:1 gene:TCM_001621 transcript:EOX92731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2 family protein isoform 3 MLGGSAFDALANDDDGEVVNDSLKEEGEVEEEEEENDDHALAKALRGKKKKSKGPKKGGSWFTAAALDELDDDAGEKDDEKKDEEEDTPFPFSDKKKKSSKCSKKSGGMFGGSAFDAIANEDDNGEMVEEEEDDDVLAKAFTGKKKKSKGEKKGGMFGRSAFDAISKEDDNGEMVEEESKVEEEEEEEDDDVLAKAFTGKKKKSRVGKKGGSWFAAAALDELDDEAGEKEDEKKDEEDDVPSFPFSDKKKKSSRSSKKSGNSFSAVLLDEEDDGEASISEPPVVDDYDDVSAIAFSGKKKKSSKKKSSSAFAILTDGTEPQSEVTDVVEPEQPSLGTSNVETDVSKTNKTEEVVETSKNKKKKKKNKSGRTVQEEEDLDKILAELGEGPPVSKPATPPSPEEKIQVQPETVIPADAPGDKEGEEESVESAAAKKKKKKKEKGKEKKAAAAAAAAASADVKEEIQEETKIETSDAKKKDAKSKAADKKLPKHVREMQEALARRKEAEERKKREEEERLRKEEEERRRQEELERQAEEARRRKKEREKEKLLKKKQEGKLLTGKQKEEARRLEAMRNQILGGKGVSSLPSADKDGAPTKRPIYQTKKSKTAHHHANVAASTKPEEKVQLKEKQQEEQETKEELNSMEDEKVDEVELNNTEEKSVVADAAEENGMEEEDDDDGEWDEKSWDDVNLNVKGAFDDEEADSEPKPVVQKDTKSAASASRNAAPAAVTKPTVEAKKATASRSIKSQDDESKKGHPEVEAQDKNMKKNTGVKNKAPILDAPSKQTEENLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTKELKADAKLKVPGLLVIDTPGHESFTNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLKMRNTEFIVALNKVDRLYGWKVLRNAPILKSLKQQSKDVQNEFNMRLTHIITQFKEQGLNTELYYKNREMGETFSIVPTSAITGEGIPDLLLLLVQWAQKTMVEKLTFNDEVQCTVLEVKVIEGLGTTIDVVLVNGNLHEGDQIVVCGLQQGPIVTTVRALLTPHPMKELRVKGTYMQHKEIKAAMGIKIAAQNLEHSIAGTGLYVVGPDDDLEDVKEAVREDMQSVMSRIDKSGEGVYVQASTLGSLEALLEFLKTPEVNIPVSGIGIGPVHKKDVMKASVMLEKKNEYATILAFDVKVTPEARELADELGVRIFIADIIYHLFDQFKAYIDGLKEERKKEAADEAVFPCVLKILPNCIFNKKDPIVLGVDILEGIARVGTPICIPQREFIDIGRIASIENNHKPVDVAKKGQKVAIKIVGSNPEEQQKMYGRHFELDDELVSHISRRSIDVLKANYRDDLNLEEWRLVQRLKILFKIP >EOX92729 pep chromosome:Theobroma_cacao_20110822:1:8288070:8307973:1 gene:TCM_001621 transcript:EOX92729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2 family protein isoform 3 MNTQTLLHETLSRAETLAIQASNLCSYPFLTKGSSEFGSEKGNKKGGTMLGGSAFDALANDDDGEVVNDSLKEEGEVEEEEEENDDHALAKALRGKKKKSKGPKKGGSWFTAAALDELDDDAGEKDDEKKDEEEDTPFPFSDKKKKSSKCSKKSGGMFGGSAFDAIANEDDNGEMVEEEEDDDVLAKAFTGKKKKSKGEKKGGMFGRSAFDAISKEDDNGEMVEEESKVEEEEEEEDDDVLAKAFTGKKKKSRVGKKGGSWFAAAALDELDDEAGEKEDEKKDEEDDVPSFPFSDKKKKSSRSSKKSGNSFSAVLLDEEDDGEASISEPPVVDDYDDVSAIAFSGKKKKSSKKKSSSAFAILTDGTEPQSEVTDVVEPEQPSLGTSNVETDVSKTNKTEEVVETSKNKKKKKKNKSGRTVQEEEDLDKILAELGEGPPVSKPATPPSPEEKIQVQPETVIPADAPGDKEGEEESVESAAAKKKKKKKEKGKEKKAAAAAAAAASADVKEEIQEETKIETSDAKKKDAKSKAADKKLPKHVREMQEALARRKEAEERKKREEEERLRKEEEERRRQEELERQAEEARRRKKEREKEKLLKKKQEGKLLTGKQKEEARRLEAMRNQILGGKGVSSLPSADKDGAPTKRPIYQTKKSKTAHHHANVAASTKPEEKVQLKEKQQEEQETKEELNSMEDEKVDEVELNNTEEKSVVADAAEENGMEEEDDDDGEWDEKSWDDVNLNVKGAFDDEEADSEPKPVVQKDTKSAASASRNAAPAAVTKPTVEAKKATASRSIKSQDDESKKGHPEVEAQDKNMKKNTGVKNKAPILDAPSKQTEENLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTKELKADAKLKVPGLLVIDTPGHESFTNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLKMRNTEFIVALNKVDRLYGWKVLRNAPILKSLKQQSKDVQNEFNMRLTHIITQFKEQGLNTELYYKNREMGETFSIVPTSAITGEGIPDLLLLLVQWAQKTMVEKLTFNDEVQCTVLEVKVIEGLGTTIDVVLVNGNLHEGDQIVVCGLQGPIVTTVRALLTPHPMKELRVKGTYMQHKEIKAAMGIKIAAQNLEHSIAGTGLYVVGPDDDLEDVKEAVREDMQSVMSRIDKSGEGVYVQASTLGSLEALLEFLKTPEVNIPVSGIGIGPVHKKDVMKASVMLEKKNEYATILAFDVKVTPEARELADELGVRIFIADIIYHLFDQFKAYIDGLKEERKKEAADEAVFPCVLKILPNCIFNKKDPIVLGVDILEGIARVGTPICIPQREFIDIGRIASIENNHKPVDVAKKGQKVAIKIVGSNPEEQQKMYGRHFELDDELVSHISRRSIDVLKANYRDDLNLEEWRLVQRLKILFKIP >EOX95732 pep chromosome:Theobroma_cacao_20110822:1:35388712:35389504:1 gene:TCM_005165 transcript:EOX95732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPSHANLSGLRTVPNVHHCRSTNAKGDHLVQETLIFTQLLLYGLGICRPLVSVCVPHNMPLPLPKDTYDVTLMGKTPRDTLSLKIELYLLDFPSPHPPGSPSRLRNFQHENQSKSI >EOX95493 pep chromosome:Theobroma_cacao_20110822:1:34444464:34446892:1 gene:TCM_004978 transcript:EOX95493 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hepatocellular carcinoma-associated antigen 59 (InterPro:IPR010756); Has 1239 Blast hits to 998 proteins in 204 species: Archae - 4; Bacteria - 71; Metazoa - 421; Fungi - 109; Plants - 87; Viruses - 5; Other Eukaryotes - /.../ource: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G02330) TAIR;Acc:AT1G02330] MLFVRARNFGGGNWKIIIIEMQKKKNFRKRSIQEDEGDDPAPKSDDEEDRRLALEEVKFLQKQRERKSGIPAIPTVQTGGVVAKVTEKGEADGEKEELVLQDTFAQETAVMVEDPNMVKYVEQELAKKRGRNMDTKNEVENDLTRAEDELYKIPEHLKVKRRNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKLLQEKRLMGRAKSEVSIPSSFSADYFQRGRDYAEKLRREHPELYKDRGSQDESSGSRPTDTSTDAAGSRQAATDEFMLERFRKRERQRVMRR >EOX90925 pep chromosome:Theobroma_cacao_20110822:1:1075114:1079078:-1 gene:TCM_000263 transcript:EOX90925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein MEISVHNNIFSLLRLLLFSLSFSISPPACEACHEVDREALLGYKRSITADPSELLQSWVHSSDCCASWKGVACNSAGRVVNVTRQGLVWDSDFIVDTFMNGTLSPSLGNLSFLQVLDLSNLKNLKGPMPPELGKLSHLTLLFLDSNQLTGSIPVTFKHFSRLEKLYLSNNKISGVIPSPVIGSLKSLTELGLSGNRFAGSIPATIGKLVLLAKLDIHGNKLSGSLPTTIGKLKNLKSLDLSENQITGSIPKTIGGLSALELLYLNQNQITGCIPSSISGLISLQFCRISENKLTGSLPPSIGELPNIQRLILQNNKLTGKLPATIGHLVTLTEIYLSNNRFTGKIPSSFGNLQNLQTLDLSRNNLSGQLSAQLVKLQNLQTLDLSFNPLGLNSIPKWFAKLNLFRLILAKTGIRGSLPRWLSSTSISTLDLSGNALTGKLPPWIGNMTSLSFLNLSNNGLHSSIPAEFKNLRRLMDLDLHSNKFSGHLDTIFLKETVDPLGHFNSIDLSDNMFTGPISESIVERTAMNSITSLVLSFNPLKGSIPKSLGKLSELQILKLVSNGLSGKIPVELGDATKLTTILLSRNKLSGAIPWKLLNLKDLKEFDVSDNQLSGKIPPHKATIPASAFMDNPGLCGAPLPPCKHS >EOX94006 pep chromosome:Theobroma_cacao_20110822:1:18402723:18406278:1 gene:TCM_003038 transcript:EOX94006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase 1 MSSTAGLVIPCKAAVAWEAGKPLVIQQVEVAPPQAMEVRIKIKYTSLCHTDLYFWEAKGQTPLFPRIFGHEAAGIVESLGEGVKGLEVGDHVLPVFTGECGECAHCKSEESNMCDLLRINTERGVMLSDGKSRFSINGSPINHFVGTSTFSEYTVVHSGCLAKINPLAPLDKVCVLSCGISTGLGATLNVAKPQKGSLVAIFGLGAVGLAAAEGARIAGASRIIGVDFNPGRFEQAKKFGITEFVNPMDYDRPVQEVIAEMTNGGVDRSVECTGSINAMISAFECVHDGWGVAVLVGVPSKEAMFVTKPINLLNERTLKGTFFGNYKPRTDLPSVVDMYMNKKLELDKFITHRVPFSEINKAFELMVKGEGLRCIISMED >EOX95003 pep chromosome:Theobroma_cacao_20110822:1:32424504:32425581:1 gene:TCM_004585 transcript:EOX95003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soybean gene regulated by cold-2-like protein MERLRPLEITIISAKGLKKVKHLSKMDVYVVVKISGDPSTEQKTPLHKDGGTSPKWNHPMQFTINETLAQTISLELLDNAGNSNSTKYVTYPVKKPCGKPKGELNFLFRFGEKTLSAVTAYPVGGIAMNYPPYPQPHNAAVPAYPPVGYPPVGFQQPVQEGYKPASAPPAGFPPMGPAGSYPPPPAGYPPVGPGYGYPPVVQPERRNENGDGLALGAAALLGGLLGGILLGDMVADAAADCDPYANAADYI >EOX91802 pep chromosome:Theobroma_cacao_20110822:1:3932482:3936393:1 gene:TCM_000876 transcript:EOX91802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor-like protein MFRLSPRRNQRSKGFKVKHALQICLLLGVCIWLLYQVRHSNEKKAAYEKSGNEVVKLGRKDLPQAEETTIRDARHKEEEEEVENKPEDTENVGRENGDDDAMHEHDNDKPEEETEHREVAIDGEKENEERRNNDSEEKETEETKEKEGEEKGIKEKEIEEGKEKKNEESKEESENKESKEDSENKESEVSTEDSENKVNEETQEVNNQEKENTGDSQEKENKQIGEESNEMESREKESEKSENSGTLDDQVHDGIDTNNAEAREEHYKGDDASSEVVHDIQHVAVEKETSSSENSNESEQLVSKDKNEFDQENKTNSTEASNVHLDEGNVQENETTENNQESGNESNQAQSKEDSHPDSLTATEHQNETDTTPNTTQNVDHMAQQSEKSNSGGTGEQSDSNATPSSTENGDGANGGSTSSTSSSESVESNRQTENSNTWTGSDSKTAPSVTSQNDNSVQSKESNNNSGGEWTQENGLSLKTNDNSNTGQNKGLNENDSGDTSNDANANGKDSSADSQSNTNDNSNANEDVAQKDNNNSNVNENTAQSNTSNNENADQNVNNVAERNANNENAGQSENNAAQSNTNNENAGQNENNAAQRNNENARQNENNDAVQSNTNNNENTNYNENNAAQTYNNENSGQNENNASQSYSDNNGNPGENENSNALQSYTDINKNANDDVNSDQKESVGSSNSTDASSNTDGSTDASQNNSNVSSDSSTSQEVKEAQTDLGTLPDRRAEANNGENAAAE >EOX90900 pep chromosome:Theobroma_cacao_20110822:1:983583:985130:-1 gene:TCM_000242 transcript:EOX90900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLGSLLVQQKKQLGRKAYPYPKLSIQSQTREWGTMTTAIREAVKSLELHSIHNNISIHGSVRSMNQDLGKWKTSRGQSAKVVLSMSRYLKWACKSCLRAWVFLVLDNTCCLGLLLCLQIEIMDQVSSPKQGKQLSRTLSQALTVQPMMVFFIFLGNNYCLGEKNLSYFS >EOX94017 pep chromosome:Theobroma_cacao_20110822:1:18728600:18738784:1 gene:TCM_003060 transcript:EOX94017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRWVCQDSWAKRRRYQIPATCPCYSDVTLTSYSSNQDQPKLPNLFPFHRMPCLQRCDSSPTILTKVGTF >EOX94761 pep chromosome:Theobroma_cacao_20110822:1:31254606:31260753:1 gene:TCM_004373 transcript:EOX94761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKTSFTSKARYGELGRATLGKKRKNTIATSLFKKMAVGRIEIMVSSRKKKKIAHLSKKMLVGRIGMKFKFERGEFPLWVTKFGSKSLWVHKVEREDILKFKHEKESCDHTNDYEFNDKSVEGRLPKHEYLSLGERVDDNAIQESLIDMIDFLPFTMQNVGGDEVNATTKVD >EOX94113 pep chromosome:Theobroma_cacao_20110822:1:21697210:21707600:-1 gene:TCM_003308 transcript:EOX94113 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLIP-associated protein isoform 1 MEEALELARAKDTKERMAAVERLYQLLEGSRKSLTSSEVTSLVDCCLDLLKDNNFRVSQGALQALASAAVLSGDHLKLHFNALVPAVVERLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSYAWTHKSWRVREEFARTVTSAISLFASTELPLQRAILPPILQMLNDSNPGVREAAILCIEEMYTQAGTQFRDELHRHQLPASMVRDINARLEKIEPQVRSSDGMLSGFGAGEIKPAILNPKKSSPRAKSSSSSRETSLFGGESDITEKPIDPIKVYSDKELIREFEKIASTLVPEKDWSIRIAAMQRVEGLVSGGATDYPCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLSFLSKELLGDFEACAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKAARVLPRIADCAKNDRSSVLRARCVEYALLILEHWPDAPEIQRSADLYEDLIRCCVADAMSEVRSTARMCYRMFTKTWPDRSRRLFSFFDPVIQRIINEEDGGMHRRHASPSLRDRNIQMPFSSQTSAPSNLPGYGTSAIVAMDRTSSLSSGTSLSSGLILSQSKPLGKGAERTLESVLHASKQKVSAIESMLRGLDISEKQRSSSLDLGVDPPSSRDPPFPATVPASNSLTSSLGVESTTSSVGKGSNRNGGMIMSDIITQIQASKDSGKLSYRSSVATESLPAFPLYSAKRASERQERGSVEENSDIREARRFINPHVDRQYLDTPYRDVNTKDSQNNYIPNFQRPLLRKHVAGRMSAGRRKSFDDSQLSLGEMSNYVEGPASLSDALSEGLSPSSDWCARVAAFTYLRSLLQQGPKGIQEVVQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLEIVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHAMSSEGSGNIGILKLWLAKLMPLVHDKNTKLKDAAISCIISVYSHFDPTAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLINYLQNKKERQRAKSSYDPSDVVGTSSEEGYIGVSKKSLLLGRYSAGSLDSEGGRKWGSTQDSTLIASSIGQATSDETQENLYQNFESSANADALPLKTKELSYIVNSGQSLGSRTGRVENFESGVNLESLSTPRLEMNGLSRSDSLGAIEGLGHNNETSSDLDLNHLKPAAVKVSSMPDTGPSIPQILHLICNGNDESPTASKRSALQQLIEISLANDFSIWNKMVGSLLTLE >EOX94110 pep chromosome:Theobroma_cacao_20110822:1:21693318:21707600:-1 gene:TCM_003308 transcript:EOX94110 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLIP-associated protein isoform 1 MSEVRSTARMCYRMFTKTWPDRSRRLFSFFDPVIQRIINEEDGGMHRRHASPSLRDRNIQMPFSSQTSAPSNLPGYGTSAIVAMDRTSSLSSGTSLSSGLILSQSKPLGKGAERTLESVLHASKQKVSAIESMLRGLDISEKQRSSSLDLGVDPPSSRDPPFPATVPASNSLTSSLGVESTTSSVGKGSNRNGGMIMSDIITQIQASKDSGKLSYRSSVATESLPAFPLYSAKRASERQERGSVEENSDIREARRFINPHVDRQYLDTPYRDVNTKDSQNNYIPNFQRPLLRKHVAGRMSAGRRKSFDDSQLSLGEMSNYVEGPASLSDALSEGLSPSSDWCARVAAFTYLRSLLQQGPKGIQEVVQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLEIVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHAMSSEGSGNIGILKLWLAKLMPLVHDKNTKLKDAAISCIISVYSHFDPTAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLINYLQNKKERQRAKSSYDPSDVVGTSSEEGYIGVSKKSLLLGRYSAGSLDSEGGRKWGSTQDSTLIASSIGQATSDETQENLYQNFESSANADALPLKTKELSYIVNSGQSLGSRTGRVENFESGVNLESLSTPRLEMNGLSRSDSLGAIEGLGHNNETSSDLDLNHLKPAAVKVSSMPDTGPSIPQILHLICNGNDESPTASKRSALQQLIEISLANDFSIWNKYFNQILTAVLEVVDDSDSSIRELALSLIVEMLKNQKDAMEDSVEIVIEKLLHVTKDIVPKVSSEAEHCLNTVLSQYDPFRCLSVIVPLLVTEDEKTLVICINCLTKLVGRLSQEELMVQLPSFLPALFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPHLEGLNSTQLRLVTIYANRISQARTGTPIDANHD >EOX94111 pep chromosome:Theobroma_cacao_20110822:1:21693538:21707600:-1 gene:TCM_003308 transcript:EOX94111 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLIP-associated protein isoform 1 MEEALELARAKDTKERMAAVERLYQLLEGSRKSLTSSEVTSLVDCCLDLLKDNNFRVSQGALQALASAAVLSGDHLKLHFNALVPAVVERLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSYAWTHKSWRVREEFARTVTSAISLFASTELPLQRAILPPILQMLNDSNPGVREAAILCIEEMYTQAGTQFRDELHRHQLPASMVRDINARLEKIEPQVRSSDGMLSGFGAGEIKPAILNPKKSSPRAKSSSSSRETSLFGGESDITEKPIDPIKVYSDKELIREFEKIASTLVPEKDWSIRIAAMQRVEGLVSGGATDYPCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLSFLSKELLGDFEACAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKAARVLPRIADCAKNDRSSVLRARCVEYALLILEHWPDAPEIQRSADLYEDLIRCCVADAMSEVRSTARMCYRMFTKTWPDRSRRLFSFFDPVIQRIINEEDGGMHRRHASPSLRDRNIQMPFSSQTSAPSNLPGYGTSAIVAMDRTSSLSSGTSLSSGLILSQSKPLGKGAERTLESVLHASKQKVSAIESMLRGLDISEKQRSSSLDLGVDPPSSRDPPFPATVPASNSLTSSLGVESTTSSVGKGSNRNGGMIMSDIITQIQASKDSGKLSYRSSVATESLPAFPLYSAKRASERQERGSVEENSDIREARRFINPHVDRQYLDTPYRDVNTKDSQNNYIPNFQRPLLRKHVAGRMSAGRRKSFDDSQLSLGEMSNYVEGPASLSDALSEGLSPSSDWCARVAAFTYLRSLLQQGPKGIQEVVQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLEIVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHAMSSEGSGNIGILKLWLAKLMPLVHDKNTKLKDAAISCIISVYSHFDPTAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLINYLQNKKERQRAKSSYDPSDVVGTSSEEGYIGVSKKSLLLGRYSAGSLDSEGGRKWGSTQDSTLIASSIGQATSDETQENLYQNFESSANADALPLKTKELSYIVNSGQSLGSRTGRVENFESGVNLESLSTPRLEMNGLSRSDSLGAIEGLGHNNETSSDLDLNHLKPAAVKVSSMPDTGPSIPQILHLICNGNDESPTASKRSALQQLIEISLANDFSIWNKILTAVLEVVDDSDSSIRELALSLIVEMLKNQKDAMEDSVEIVIEKLLHVTKDIVPKVSSEAEHCLNTVLSQYDPFRCLSVIVPLLVTEDEKTLVICINCLTKSL >EOX94112 pep chromosome:Theobroma_cacao_20110822:1:21693538:21707600:-1 gene:TCM_003308 transcript:EOX94112 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLIP-associated protein isoform 1 MEEALELARAKDTKERMAAVERLYQLLEGSRKSLTSSEVTSLVDCCLDLLKDNNFRVSQGALQALASAAVLSGDHLKLHFNALVPAVVERLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSYAWTHKSWRVREEFARTVTSAISLFASTELPLQRAILPPILQMLNDSNPGVREAAILCIEEMYTQAGTQFRDELHRHQLPASMVRDINARLEKIEPQVRSSDGMLSGFGAGEIKPAILNPKKSSPRAKSSSSSRETSLFGGESDITEKPIDPIKVYSDKELIREFEKIASTLVPEKDWSIRIAAMQRVEGLVSGGATDYPCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLSFLSKELLGDFEACAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKAARVLPRIADCAKNDRSSVLRARCVEYALLILEHWPDAPEIQRSADLYEDLIRCCVADAMSEVRSTARMCYRMFTKTWPDRSRRLFSFFDPVIQRIINEEDGGMHRRHASPSLRDRNIQMPFSSQTSAPSNLPGYGTSAIVAMDRTSSLSSGTSLSSGLILSQSKPLGKGAERTLESVLHASKQKVSAIESMLRGLDISEKQRSSSLDLGVDPPSSRDPPFPATVPASNSLTSSLGVESTTSSVGKGSNRNGGMIMSDIITQIQASKDSGKLSYRSSVATESLPAFPLYSAKRASERQERGSVEENSDIREARRFINPHVDRQYLDTPYRDVNTKDSQNNYIPNFQRPLLRKHVAGRMSAGRRKSFDDSQLSLGEMSNYVEGPASLSDALSEGLSPSSDWCARVAAFTYLRSLLQQGPKGIQEVVQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLEIVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHAMSSEGSGNIGILKLWLAKLMPLVHDKNTKLKDAAISCIISVYSHFDPTAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLINYLQNKKERQRAKSSYDPSDVVGTSSEEGYIGVSKKSLLLGRYSAGSLDSEGGRKWGSTQDSTLIASSIGQATSDETQENLYQNFESSANADALPLKTKELSYIVNSGQSLGSRTGRVENFESGVNLESLSTPRLEMNGLSRSDSLGAIEGLGHNNETSSDLDLNHLKPAAVKVSSMPDTGPSIPQILHLICNGNDESPTASKRSALQQLIEISLANDFSIWNKILTAVLEVVDDSDSSIRELALSLIVEMLKNQPERCYGRFC >EOX94109 pep chromosome:Theobroma_cacao_20110822:1:21692977:21731510:-1 gene:TCM_003308 transcript:EOX94109 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLIP-associated protein isoform 1 MEEALELARAKDTKERMAAVERLYQLLEGSRKSLTSSEVTSLVDCCLDLLKDNNFRVSQGALQALASAAVLSGDHLKLHFNALVPAVVERLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSYAWTHKSWRVREEFARTVTSAISLFASTELPLQRAILPPILQMLNDSNPGVREAAILCIEEMYTQAGTQFRDELHRHQLPASMVRDINARLEKIEPQVRSSDGMLSGFGAGEIKPAILNPKKSSPRAKSSSSSRETSLFGGESDITEKPIDPIKVYSDKELIREFEKIASTLVPEKDWSIRIAAMQRVEGLVSGGATDYPCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLSFLSKELLGDFEACAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKAARVLPRIADCAKNDRSSVLRARCVEYALLILEHWPDAPEIQRSADLYEDLIRCCVADAMSEVRSTARMCYRMFTKTWPDRSRRLFSFFDPVIQRIINEEDGGMHRRHASPSLRDRNIQMPFSSQTSAPSNLPGYGTSAIVAMDRTSSLSSGTSLSSGLILSQSKPLGKGAERTLESVLHASKQKVSAIESMLRGLDISEKQRSSSLDLGVDPPSSRDPPFPATVPASNSLTSSLGVESTTSSVGKGSNRNGGMIMSDIITQIQASKDSGKLSYRSSVATESLPAFPLYSAKRASERQERGSVEENSDIREARRFINPHVDRQYLDTPYRDVNTKDSQNNYIPNFQRPLLRKHVAGRMSAGRRKSFDDSQLSLGEMSNYVEGPASLSDALSEGLSPSSDWCARVAAFTYLRSLLQQGPKGIQEVVQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLEIVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHAMSSEGSGNIGILKLWLAKLMPLVHDKNTKLKDAAISCIISVYSHFDPTAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLINYLQNKKERQRAKSSYDPSDVVGTSSEEGYIGVSKKSLLLGRYSAGSLDSEGGRKWGSTQDSTLIASSIGQATSDETQENLYQNFESSANADALPLKTKELSYIVNSGQSLGSRTGRVENFESGVNLESLSTPRLEMNGLSRSDSLGAIEGLGHNNETSSDLDLNHLKPAAVKVSSMPDTGPSIPQILHLICNGNDESPTASKRSALQQLIEISLANDFSIWNKYFNQILTAVLEVVDDSDSSIRELALSLIVEMLKNQKDAMEDSVEIVIEKLLHVTKDIVPKVSSEAEHCLNTVLSQYDPFRCLSVIVPLLVTEDEKTLVICINCLTKLVGRLSQEELMVQLPSFLPALFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPHLEGLNSTQLRLVTIYANRISQARTGTPIDANHD >EOX92377 pep chromosome:Theobroma_cacao_20110822:1:6560636:6562562:1 gene:TCM_001333 transcript:EOX92377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVAEDPLRKNPTRSLLDDRSKKKVRLRKENNDPLSANASLTMRGNHSFKEALMQSESDGFLLEGNLLLDEEMENGNELNDNSEMENTDGVDELLDDFWVEMVITLELALLDGPWMIQRHYLMVRPWSPNYVQGSKNLPAVATWVRFLGMPLHLYHKSILQQIGSILGRLLKIDYNTRQEKRGKFEQIPVELDLTKPLIPKFYIKGRMQMVEYEGLPRATTKEKTSPIPMIQKPVGKENNSTEMEQENNGDEFFVDAELIARLEDISKKKEKEVRITEDEGMVSFSSQKSQHVEAVVQERLLDSIKHSTILIVDKQIANKYMRSS >EOX90994 pep chromosome:Theobroma_cacao_20110822:1:1275172:1277667:-1 gene:TCM_000308 transcript:EOX90994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosducin-like protein 3 isoform 1 MDPNSVKSTLSNLAFGNVMAAAARDYQKELLAQEKAQSSTSVNQEVDLDELMDDPELEKLHADRIAALKKEAEKREALKRQGHGEYREISEGDFLGEVTGTEKVICHFYHKEFYRCKIIDKHLKSLALKHLDTKFIKLDAENAPFFVTKLAVQTLPCVIIFRKGIAVDRLVGFQDLGGKDDFGTRTLEVVLIKKGIISEKKSDEDDDDNNYDESRRKTVRSSMNHDSDSD >EOX90992 pep chromosome:Theobroma_cacao_20110822:1:1275051:1277508:-1 gene:TCM_000308 transcript:EOX90992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosducin-like protein 3 isoform 1 MDPNSVKSTLSNLAFGNVMAAAARDYQKELLAQEKAQSSTSVNQEVDLDELMDDPELEKLHADRIAALKKEAEKREALKRQGHGEYREISEGDFLGEVTGTEKVICHFYHKEFYRCKIIDKHLKSLALKHLDTKFIKLDAENAPFFVTKLAVQTLPCVIIFRKGIAVDRLVGFQDLGGKDDFGTRTLEVVLIKKGIISEKKSDEDDDDNNYDESRRKTVRSSMNHDSDSD >EOX90993 pep chromosome:Theobroma_cacao_20110822:1:1274812:1277506:-1 gene:TCM_000308 transcript:EOX90993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosducin-like protein 3 isoform 1 MDPNSVKSTLSNLAFGNVMAAAARDYQKELLAQEKAQSSTSVNQEVDLDELMDDPELEKLHADRIAALKKEAEKREALKRQGHGEYREISEGDFLGEVTGTEKVICHFYHKEFYRCKIIDKHLKSLALKHLDTKFIKLDAENAPFFVTKLAVQTLPCVIIFRKGIAVDRLVGFQDLGGKDDFGTRTLEVVLIKKGIISEKKSDEDDDDNNYDESRRKTVRSSMNHDSDSD >EOX91507 pep chromosome:Theobroma_cacao_20110822:1:2931246:2934600:-1 gene:TCM_000671 transcript:EOX91507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sumo conjugation enzyme 1 MSGGIARGRLAEERKAWRKNHPHGFVAKPETLQDGTVNLMVWHCTIPGKAGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDAPNPADPAQTEGYHLFIQDANEYKRRVRQQAKQYPPLV >EOX95366 pep chromosome:Theobroma_cacao_20110822:1:33917868:33921410:-1 gene:TCM_004880 transcript:EOX95366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFHVLFKASALAFYLLSALFTDSFVIIFVITVVLAALDFWVVKNSLARINKKDSWLFWWTLHLNAAAWIIFATLSLLRFQADYLLVVGVCLSRGIANIVGFTKYRKETIITIVITSYLTALRECREWAAQPGQSNKKFMKLRELIYATAFLREKEKRSIDLVVGRGPFLNSNWLGGFVYWIEDARKKIQAFASQTIASRVSSTIQSAFGVV >EOX96658 pep chromosome:Theobroma_cacao_20110822:1:38570038:38577182:-1 gene:TCM_005862 transcript:EOX96658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein [Source:Projected from Arabidopsis thaliana (AT5G21080) TAIR;Acc:AT5G21080] MGVISRRVMQPVCGSLCFFCPSLSTRSRHPVKRYKKLLADIFPRSPGEQPNDRMISKLCEYASKNPLRIPKITSSLEQRLYRDLRSEQFHSVQVIVCVYRKLMISCKEQMPLFASSFLSIVQILLDQTRMDETRVLGCQALFVFVNNQVEGAGRFLPLCSITCLFQEVAQEMGEDRRVQRLRSAGLQTLSSVVWFMGEFSHVSAEFDNVVSVVLENYRGLETSDADKQDTQNGCVKDSFFSADAMTSVSWRSIVSENGEVHVSVEEAENPKFWSRVCLHNMAKLAKEVTTVRRVLESLFRFFDNEELWSTQNGVALSVLQDMQLIIEKCGENTHFLLSILVKHLDHKNVLKKPSMQLHIVHVATSLARQTKVQPSVAIIGALTDMTRHLRKSIHSSLDDSNLGAEVIQYNQNFRAVVDECLVQLSHKVGDAGPVLDMMAVMLENMPNITLMARTLISAAFPEALFHSLLLAMVYADHETRVGAHCIFSVVLVPSSVCPCPPAATSFPNKATKLQKTLSRTVSVFSSSAALFKKLGREDKENAVDDKVGNINGISMQDRLNCAGAENAKIQNHSILNRLKSSYSRAYSVKYLNPPATIEDEKSMSNAVEVTALPLRLSSHQITLMLSSIWAQSISPLNTPENFEAIAHTYSLVLLFARTKNSCNEAMIRSFQLAFTLRSISLGGGGPLQPSRRRSLFILATSMIIFSSKAYNIPPLFPCVKASLTAKTVDPFLELVDDCKLQTAKTEPEHPGKVYGSKEDNEDALKSLSGIEIAENQSKESLATMIVKFLGNLSDEVSSKIRNQLLSDFIPDDGCPLGTYLSMETPAQIYENGSIDNQSPEKAISFYLQFFPPPFSPSLNFIQAYNIVNVQVEPPLFTVDDDDVLPSKFESKTGPDAHLAPELQNFLSVDDLLDAVSHSVD >EOX96185 pep chromosome:Theobroma_cacao_20110822:1:36902351:36910818:1 gene:TCM_005491 transcript:EOX96185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat kinesin 2 isoform 4 MASGANRNGMHKGTVKPPSAANSSNLKSSSFKPRLPPSNQSPGSALRRTNSASFTAAARDDGVPGRVRVAVRLRPRNAEELVADADFADCVELQPELKRLKLRKNNWDSETYEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGRLGEEDTSARGIMVRSMEEILANVSLETDSVTVSYLQLYMEAIQDLLDPANDNISIVEDPKTGDVSLPGATHVEIRDEHSFLELLRLGEAHRIAANTKLNTESSRSHAILMVHVKRSVLGAEDAIPSEIDKSSHFVKPPKPLVRKSKLVLVDLAGSERVHKSGSEGHMLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLIVTIGPSPRHRGETASTILFGQRAMKVENMLRIKEEFDYKSLARRLEIQLDKLIAENERQQKAFDDEVERINLEAQNRVSEVERDFTDALEKERLKCQMEYMESVKKLEEKMIENQRKHGCDGFMNDKCNGKGPGFSIAEDVAEVKKLLEKEINMRKEAEEEVNKLKSQLRQCTDSGAGGAAEILKLQKALEDEGHQKKKLEEEIIILRSQMLQLTFEAEQMRRCLGRGGSGNAHTGLDSPMSQVRDSLNGHKTPVAALFEQVGLQKILALLESEDPNVRIHAVKVVANLAAEEANQERIVEAGGLTSLLMLLRSYEDETVHRVAAGAIANLAMNEANQELIMVQGGISLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQSKLRSEGGIKALLGMVRCGHLDVLSQVARGIANFAKCESRASTNGIKSGRSLLIDDGALPWIVQNANNDSAPIRRHIELALCHLAQHEVNAKDMICGGALWELVRISQDCSREDIRSLARRTLNSSPVFRAEMRRLRIEL >EOX96186 pep chromosome:Theobroma_cacao_20110822:1:36902351:36910818:1 gene:TCM_005491 transcript:EOX96186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat kinesin 2 isoform 4 MASGANRNGMHKGTVKPPSAANSSNLKSSSFKPRLPPSNQSPGSALRRTNSASFTAAARDDGVPGRVRVAVRLRPRNAEELVADADFADCVELQPELKRLKLRKNNWDSETYEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGRLGEEDTSARGIMVRSMEEILANVSLETDSVTVSYLQLYMEAIQDLLDPANDNISIVEDPKTGDVSLPGATHVEIRDEHSFLELLRLGEAHRIAANTKLNTESSRSHAILMVHVKRSVLGAEDAIPSEIDKSSHFVKPPKPLVRKSKLVLVDLAGSERVHKSGSEGHMLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLIVTIGPSPRHRGETASTILFGQRAMKVENMLRIKEEFDYKSLARRLEIQLDKLIAENERQQKAFDDEVERINLEAQNRVSEVERDFTDALEKERLKCQMEYMESVKKLEEKMIENQRKHGCDGFMNDKCNGKGPGFSIAEDVAEVKKLLEKEINMRKEAEEEVNKLKSQLRQCTDSGAGGAAEILKLQKALEDEGHQKKKLEEEIIILRSQMLQLTFEAEQMRRCLGRGGSGNAHTGLDSPMSQVRDSLNGHKTPVAALFEQVGLQKILALLESEDPNVRIHAVKVVANLAAEEANQERIVEAGGLTSLLMLLRSYEDETVHRVAAGAIANLAMNEANQELIMVQGGISLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQSKLRSEGGIKALLGMVRCGHLDVLSQVARGIANFAKCESRASTNGIKSGRSLLIDDGALPWIVQNANNDSAPIRRHIELALCHLAQHEVNAKDMICGGALWELVRISQDCSREDIRSLARRTLNSSPVFRAEMRRLRIEL >EOX96188 pep chromosome:Theobroma_cacao_20110822:1:36902351:36910818:1 gene:TCM_005491 transcript:EOX96188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat kinesin 2 isoform 4 MASGANRNGMHKGTVKPPSAANSSNLKSSSFKPRLPPSNQSPGSALRRTNSASFTAAARDDGVPGRVRVAVRLRPRNAEELVADADFADCVELQPELKRLKLRKNNWDSETYEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGRLGEEDTSARGIMVRSMEEILANVSLETDSVTVSYLQLYMEAIQDLLDPANDNISIVEDPKTGDVSLPGATHVEIRDEHSFLELLRLGEAHRIAANTKLNTESSRSHAILMVHVKRSVLGAEDAIPSEIDKSSHFVKPPKPLVRKSKLVLVDLAGSERVHKSGSEGHMLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLIVTIGPSPRHRGETASTILFGQRAMKVENMLRIKEEFDYKSLARRLEIQLDKLIAENERQQKAFDDEVERINLEAQNRVSEVERDFTDALEKERLKCQMEYMESVKKLEEKMIENQRKHGCDGFMNDKCNGKGPGFSIAEDVAEVKKLLEKEINMRKEAEEEAGGAAEILKLQKALEDEGHQKKKLEEEIIILRSQMLQLTFEAEQMRRCLGRGGSGNAHTGLDSPMSQVRDSLNGHKTPVAALFEQVGLQKILALLESEDPNVRIHAVKVVANLAAEEANQERIVEAGGLTSLLMLLRSYEDETVHRVAAGAIANLAMNEANQELIMVQGGISLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQSKLRSEGGIKALLGMVRCGHLDVLSQVARGIANFAKCESRASTNGIKSGRSLLIDDGALPWIVQNANNDSAPIRRHIELALCHLAQHEVNAKDMICGGALWELVRISQDCSREDIRSLARRTLNSSPVFRAEMRRLRIEL >EOX96187 pep chromosome:Theobroma_cacao_20110822:1:36902237:36910771:1 gene:TCM_005491 transcript:EOX96187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat kinesin 2 isoform 4 MASGANRNGMHKGTVKPPSAANSSNLKSSSFKPRLPPSNQSPGSALRRTNSASFTAAARDDGVPGRVRVAVRLRPRNAEELVADADFADCVELQPELKRLKLRKNNWDSETYEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGRLGEEDTSARGIMVRSMEEILANVSLETDSVTVSYLQLYMEAIQDLLDPANDNISIVEDPKTGDVSLPGATHVEIRDEHSFLELLRLGEAHRIAANTKLNTESSRSHAILMVHVKRSVLGAEDAIPSEIDKSSHFVKPPKPLVRKSKLVLVDLAGSERVHKSGSEGHMLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLIVTIGPSPRHRGETASTILFGQRAMKVENMLRIKEEFDYKSLARRLEIQLDKLIAENERQQKAFDDEVERINLEAQNRVSEVERDFTDALEKERLKCQMEYMESVKKLEEKMIENQRKHGCDGFMNDKCNGKGPGFSIAEDVAEVKKLLEKEINMRKEAEEEVNKLKSQLRQCTDSGAGGAAEILKLQKALEDEGHQKKKLEEEIIILRSQMLQLTFEAEQMRRCLGRGGSGNAHTGLDSPMSQVRDSLNGHKTPVAALFEQVGLQKILALLESEDPNVRIHAVKVVANLAAEEANQERIVEAGGLTSLLMLLRSYEDETVHRVAAGAIANLAMNEANQELIMVQGGISLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQSKLRSEGGIKALLGMVRCGHLDVLSQVARGIANFAKCESRASTNGIKSGRSLLIDDGALPWIVQNANNDSAPIRRHIELALCHLAQHEVNAKDMICGGALWELVRISQDCSREDIRSLARRTLNSSPVFRAEMRRLRIEL >EOX92864 pep chromosome:Theobroma_cacao_20110822:1:9068961:9073015:-1 gene:TCM_001726 transcript:EOX92864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein MANTVKNGSDIQAETSSLAGFQKLSSFDLNEEVIDCENDSDEINADNQTTSKGKERTCRVRQYVRSKMPRLRWTPDLHLSFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLNESGQVISQTSRFLGTYKRFSPGGHFRIDNASPLPSSPVLLKQLYRPWESAAPCNNTLGIENEPTVVFFQSGNNELTSSHIFDLRKAIARSSRFLEDKRRPPGKMLANQGKLSRSCSDISCRWNWNGKANNSSNGEFQICSSPSFFNCSQQPLSHPVAGAKGAGGLPYDHINEETVKVEESSTGNGGRLSKEKYWSPKLDLSLHNSLDNGCGGLKDNDGRIQEIDTVLALSLSSSASTQQALSSSEQHKEMDHLNS >EOX95741 pep chromosome:Theobroma_cacao_20110822:1:35435338:35438860:1 gene:TCM_005174 transcript:EOX95741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein isoform 2 MARRRERRVEPSQERRKERVGTATREDKFSDSDASDRKLISIFVVFFIVIPAISVLVYSIKNGSGTKRFEPVVTERGLIKTDISYQEILAENLKIPENASQRHYDYPVLAYITPWNSRGYEIANRFSSKFTHLSPVWYDLKSQGSSLVLDGRHNADKGWLSELRMKGDALVLPRVVLEAFPTKLLKKKKQRDKAIDLIVTECKEMDYDGIVLESWSRWAAYGVLHDPDMRNMALKFIKQLGYALHSVRSARNPEQKLQLVYVIGPPHSEKLQQHDFGPEDLQSLSDAVDGFSLMTYDFSGPNNPGPNAPIQWIRFTLQLLLGPPGNNAQSLAPKIFLGINFYGNDFVLSGGSGGAAVIGRDYLSLLEKHRPLIQWEKNSGEHFFVYSDDNNVRHAVFYPSLMSIYLRLEEARSWGTGISIWEIGQGLDFFFGLL >EOX95742 pep chromosome:Theobroma_cacao_20110822:1:35435338:35438860:1 gene:TCM_005174 transcript:EOX95742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein isoform 2 MARRRERRVEPSQERRKERVGTATREDKFSDSDASDRKLISIFVVFFIVIPAISVLVYSIKNGSGTKRFEPVVTERGLIKTDISYQEILAENLKIPENASQRHYDYPVLAYITPWNSRGYEIANRFSSKFTHLSPVWYDLKSKSKGFVASQGSSLVLDGRHNADKGWLSELRMKGDALVLPRVVLEAFPTKLLKKKKQRDKAIDLIVTECKEMDYDGIVLESWSRWAAYGVLHDPDMRNMALKFIKQLGYALHSVRSARNPEQKLQLVYVIGPPHSEKLQQHDFGPEDLQSLSDAVDGFSLMTYDFSGPNNPGPNAPIQWIRFTLQLLLGPPGNNAQSLAPKIFLGINFYGNDFVLSGGSGGAAVIGRDYLSLLEKHRPLIQWEKNSGEHFFVYSDDNNVRHAVFYPSLMSIYLRLEEARSWGTGISIWEIGQGLDFFFGLL >EOX90771 pep chromosome:Theobroma_cacao_20110822:1:582102:585398:-1 gene:TCM_000146 transcript:EOX90771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein isoform 1 MGDMDDDDDHDDEGGDGEALADQQMTTVSRHAFGDSERTAFSISIIENMKEDYGLFVWPCSIILAEYVWQQRLRFSGNRVVELGAGTCLPGLVAAKVGSFVTLTDDANRLEVLGLTWGVWDASIFSLHPQIILGADVLYDARAFDDLFATVAFLLQSNPGSVFITTYHNRSGHHLIEFLMVKWGLKCVKLLDGFSLLPSNKAARLNGNIQLAEIVLNHERIEETFSSGAG >EOX90773 pep chromosome:Theobroma_cacao_20110822:1:583765:585296:-1 gene:TCM_000146 transcript:EOX90773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein isoform 1 MGDMDDDDDHDDEGGDGEALADQQMTTVSRHAFGDSERTAFSISIIENMKEDYGLFVWPCSIILAEYVWQQRLRFSGNRVVELGAGTCLPGLVAAKVGSFVTLTDDANRLEVLANMRRVCDLNNLNCEVLGLTWGVWDASIFSLHPQIILGADVLYDARAFDDLFATVAFLLQSNPGSVFITTYHNRSGHHLIEFLMVKWGLKCVKLLDGFSLLPSNKAARLNGNIQLAEIVLNHERIEETFSSGAG >EOX90769 pep chromosome:Theobroma_cacao_20110822:1:583765:585296:-1 gene:TCM_000146 transcript:EOX90769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein isoform 1 MGDMDDDDDHDDEGGDGEALADQQMTTVSRHAFGDSERTAFSISIIENMKEDYGLFVWPCSIILAEYVWQQRLRFSGNRVVELGAGTCLPGLVAAKVGSFVTLTDDANRLEVLANMRRVCDLNNLNCEVLGLTWGVWDASIFSLHPQIILGADVLYDARAFDDLFATVAFLLQSNPGSVFITTYHNRSGHHLIEFLMVKWGLKCVKLLDGFSLLPSNKAARLNGNIQLAEIVLNHERIEETFSSGAG >EOX90770 pep chromosome:Theobroma_cacao_20110822:1:582150:585324:-1 gene:TCM_000146 transcript:EOX90770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein isoform 1 MGDMDDDDDHDDEGGDGEALADQQMTTVSRHAFGDSERTAFSISIIENMKEDYGLFVWPCSIILAEYVWQQRLRFSGNRVVELGAGTCLPGLVAAKVGSFVTLTDDANRLEVLANMRRVCDLNNLNCEVLGLTWGVWDASIFSLHPQIILGADVLYDARAFDDLFATVAFLLQSNPGSVFITTYHNRSGHHLIEFLMVKWGLKCVKLLDGFSLLPSNKAARLNGNIQLAEIVLNHERIEETFSSGAG >EOX90772 pep chromosome:Theobroma_cacao_20110822:1:583616:585456:-1 gene:TCM_000146 transcript:EOX90772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein isoform 1 MGDMDDDDDHDDEGGDGEALADQQMTTVSRHAFGDSERTAFSISIIENMKEDYGLFVWPCSIILAEYVWQQRLRFSGNRVVELGAGTCLPGLVAAKVGSFVTLTDDANRLEVLGLTWGVWDASIFSLHPQIILGADVLYDARAFDDLFATVAFLLQSNPGSVFITTYHNRSGHHLIEFLMVKWGLKCVKLLDGFSLLPSNKAARLNGNIQLAEIVLNHERIEETFSSGAG >EOX90774 pep chromosome:Theobroma_cacao_20110822:1:583339:585120:-1 gene:TCM_000146 transcript:EOX90774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein isoform 1 MKEDYGLFVWPCSIILAEYVWQQRLRFSGNRVVELGAGTCLPGLVAAKVGSFVTLTDDANRLEVLANMRRVCDLNNLNCEVLGLTWGVWDASIFSLHPQIILGADVLYDARAFDDLFATVAFLLQSNPGSVFITTYHNRSGHHLIEFLMVKWGLKCVKLLDGFSLLPSNKAARLNGNIQLAEIVLNHERIEETFSSGAG >EOX90775 pep chromosome:Theobroma_cacao_20110822:1:582332:585398:-1 gene:TCM_000146 transcript:EOX90775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein isoform 1 MGDMDDDDDHDDEGGDGEALADQQMTTVSRHAFGDSERTAFSISIIENMKEDYGLFVWPCSIILAEYVWQQRLRFSGNRVVELGAGTCLPGLVAAKVGSFVTLTDDANRLEVLGLTWGVWDASIFSLHPQIILGADVLYDARAFDDLFATVAFLLQSNPGSVFITTYHNRSGHHLIEFLMVKWGLKCVKLLDGFSLLPSNKAARLNGNIQLAEIVLNHERIEETFSSGAG >EOX90891 pep chromosome:Theobroma_cacao_20110822:1:941048:944071:1 gene:TCM_000234 transcript:EOX90891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHARRVTIMPKDIQLARRIRGERA >EOX95796 pep chromosome:Theobroma_cacao_20110822:1:35598339:35612322:-1 gene:TCM_005210 transcript:EOX95796 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-box binding factor 3, putative isoform 3 MGNNDEGKSSKSDKSSSSVPTDQTNVHVYPDWAAMQAYYGPRVAMPPYYNSAVASGHAPPPYMWGPPQPMMPPYGAPYATIYSHGGVYAHPAVPLAAATVETPTKSSGNSERGLMKKLKGFDGLAMSIGNGTAENAEGGPEPRLSQSAETEASTDGSDGNTTGTDQTRRRRSREGTPTIAGGDGKNEAKSNAIVPVGEVTATVSPNSIGTVLSPGMTTALELRNPTTMNAKSSPTSVPCGVMPSEVWLQNERELKRERRKQSNRESARRSRLRKQAETEELGRKVESLTVENATLRSEINQLTEKSEKLRLENANLVEELKHTQLGQAKEIVMNNKDNKEGEMYEKSSNSGAKLHQLLDASPRADAVAAG >EOX95798 pep chromosome:Theobroma_cacao_20110822:1:35601246:35604076:-1 gene:TCM_005210 transcript:EOX95798 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-box binding factor 3, putative isoform 3 MGNNDEGKSSKSDKSSSSVPTTNVHVYPDWAAMQAYYGPRVAMPPYYNSAVASGHAPPPYMWGPPQPMMPPYGAPYATIYSHGGVYAHPAVPLAAATVETPTKSSGNSERGLMKKLKGFDGLAMSIGNGTAENAEGGPEPRLSQSAETEASTDGSDGNTTGTDQTRRRRSREGTPTIGGDGKNEAKSNAIVPVGEVTATVSPNSIGTVLSPGMTTALELRNPTTMNAKSSPTSVPCGVMPSEVWLQNERELKRERRKQSNRESARRSRLRKQAETEELGRKVESLTVENATLRSEINQLTEKSEKLRLENANLVEELKHTQLGQAKEIVMNNKDNKEGEMYEKSSNSGAKLHQLLDASPRADAVAAG >EOX95799 pep chromosome:Theobroma_cacao_20110822:1:35600536:35604132:-1 gene:TCM_005210 transcript:EOX95799 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-box binding factor 3, putative isoform 3 MGNNDEGKSSKSDKSSSSVPTAYYGPRVAMPPYYNSAVASGHAPPPYMWGPPQPMMPPYGAPYATIYSHGGVYAHPAVPLAAATVETPTKSSGNSERGLMKKLKGFDGLAMSIGNGTAENAEGGPEPRLSQSAETEASTDGSDGNTTGTDQTRRRRSREGTPTIGGDGKNEAKSNAIVPVGEVTATVSPNSIGTVLSPGMTTALELRNPTTMNAKSSPTSVPCGVMPSEVWLQNERELKRERRKQSNRESARRSRLRKQAETEELGRKVESLTVENATLRSEINQLTEKSEKLRLENANLVEELKHTQLGQAKEIVMNNKDNKEGEMYEKSSNSGAKLHQLLDASPRADAVAAG >EOX95797 pep chromosome:Theobroma_cacao_20110822:1:35601246:35603588:-1 gene:TCM_005210 transcript:EOX95797 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-box binding factor 3, putative isoform 3 MPPYYNSAVASGHAPPPYMWGPPQPMMPPYGAPYATIYSHGGVYAHPAVPLAAATVETPTKSSGNSERGLMKKLKGFDGLAMSIGNGTAENAEGGPEPRLSQSAETEASTDGSDGNTTGTDQTRRRRSREGTPTIGGDGKNEAKSNAIVPVGEVTATVSPNSIGTVLSPGMTTALELRNPTTMNAKSSPTSVPCGVMPSEVWLQNERELKRERRKQSNRESARRSRLRKQAETEELGRKVESLTVENATLRSEINQLTEKSEKLRLENANLVEELKHTQLGQAKEIVMNNKDNKEGEMYEKSSNSGAKLHQLLDASPRADAVAAG >EOX90636 pep chromosome:Theobroma_cacao_20110822:1:201224:207281:1 gene:TCM_000050 transcript:EOX90636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein MAPATDVSPHSTRLEGGRFQGSFNSMEDGNEGSLENMNQINAAKPPRNLSAMRHCTSSAWLPEREPDVPVKSPPSSENSGFLPVVRSGSCSEKGPKQYMEDEFICVDNLFQYLGSAANFPSPGAFYGVFDGHGGLDAASFTRKNILKFIVEDSNFATGTKKAVKSAFMKADHAFADAKSLDSSSGTTALTALILGKTMLIANVGDSRAVLGKRGRAIELSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHIKGSKGSKSPLSCEPELEEIFLTEEDEFLIMGCDGLWDVMSSQCAVTMVRKELMQHNDPERSSRALVKEALQRNTCDNLTVVVVCFSPEPPPRIEIPRSYKRRSISAEGLDLLKGVLNNI >EOX91568 pep chromosome:Theobroma_cacao_20110822:1:3130720:3136475:-1 gene:TCM_000709 transcript:EOX91568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 2 MEKLLFLNVLLPLFLLQFYPVLILSGPYVFPDKYFINCGSSSSVKLGSRNFVGDKNPNSFRVEEGKPVEDTSQSVGSSLYQTARFHTRPFSYKFDINDAGLHVVRLHFFPFMSGQVNLVDALFNVSASSKSLVSNFSVRNSSSSPVIKDFLVPINSTSFRIHFIPAQQTSFAFVNAIEVFLVRDLVDNPAFVTSAGSPGIYRGLPSQVLRTVQRVNVGGQSLHDPTAVASEWVADDDYIFFGNLAKNCSYFSGTLNYDDELGAFQNFVPDLVYKTCKEVDLTNNQTSNSTNITWHFNVSKNARHLVRVHFCDIISSSPNIFTFNLSIYGNFSKKINPYDYTVQTAVPFRYDFVVDSGDSDFISISVVPLDGFLEKIAYLNGLEIMEFITEPGLELGGSEPKKKPVRFIITGSVVGVFVICSLIVVFLLCKKRIKRKPFETMASYGTLPFGGASPYVGISTKSVNPPPVPNLNLKLKMPFAEIIEATNNFEAKLLVGEGGFGKVYKGTLRNGLKVAVKRSESKHGQGLPEFQTEVMVLSKIRHRHLVSLIGYCDEGSEMILVYEFMEKGTLRDHLYNLSGNPERSSALSLLTWKQRLEICMGAAKGLHYLHTGSQGGIIHRDVKSTNILLDEQYVAKVADFGLSKSGLPGPDEFSTGIKGSFGYLDPEYFRCLQFTEKSDVYSFGVVLLEVLCARPAIISSNRKEEINLAEWGLFWLKKGELEKIIDPSVAGQINPNSLRKFSEMVEKCLKPNGVDRPTMLDICWDLEYTLQLQQTEVRREPHEDSTIDASLNMSSRPFQRLPSNNLPIEKDDVPMVRDDGSDTTASGVFSQLRIDGGR >EOX91569 pep chromosome:Theobroma_cacao_20110822:1:3124758:3134001:-1 gene:TCM_000709 transcript:EOX91569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 2 NSSSSPVIKDFLVPINSTSFRIHFIPAQQTSFAFVNAIEVFLVRDLVDNPAFVTSAGSPGIYRGLPSQVLRTVQRVNVGGQSLHDPTAVASEWVADDDYIFFGNLAKNCSYFSGTLNYDDELGAFQNFVPDLVYKTCKEVDLTNNQTSNSTNITWHFNVSKNARHLVRVHFCDIISSSPNIFTFNLSIYGNFSKKINPYDYTVQTAVPFRYDFVVDSGDSDFISISVVPLDGFLEKIAYLNGLEIMEFITEPGLELGGSEPKKKPVRFIITGSVVGVFVICSLIVVFLLCKKRIKRKPFETMASYGTLPFGGASPYVGISTKSVNPPPVPNLNLKLKMPFAEIIEATNNFEAKLLVGEGGFGKVYKGTLRNGLKVAVKRSESKHGQGLPEFQTEVMVLSKIRHRHLVSLIGYCDEGSEMILVYEFMEKGTLRDHLYNLSGNPERSSALSLLTWKQRLEICMGAAKGLHYLHTGSQGGIIHRDVKSTNILLDEQYVAKVADFGLSKSGLPGPDEFSTGIKGSFGYLDPEYFRCLQFTEKSDVYSFGVVLLEVLCARPAIISSNRKEEINLAEWGLFWLKKGELEKIIDPSVAGQINPNSLRKFSEMVEKCLKPNGVDRPTMLDICWDLEYTLQLQQTEVRREPHEDSTIDASLNMSSRPFQRLPSNNLPIEKDDVPMVRDDGSDTTASGVFSQLRIDGGR >EOX95186 pep chromosome:Theobroma_cacao_20110822:1:33237705:33240940:-1 gene:TCM_004739 transcript:EOX95186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought-responsive family protein, putative isoform 1 MEDDTWSFGFSASSRSYQSTLKSQSDRCIDFEEIEEDDELKTEYPCPYCSEDFDLLGLCCHIDEEHHLEANYGVCPVCTLRVGMNMVDHITTQHGNPFKKLKFCKGDSYSTLSLRKELHDAHYQSLLARSWSSLSSSNTAPDPVLSFLSYVPPAYSSESVKSASSTEATLEEKGSDVNMLENLPVDRDVQPPPLSDKEHLEKAKRCEFVQGLLLSTIFDGAL >EOX95184 pep chromosome:Theobroma_cacao_20110822:1:33237628:33240785:-1 gene:TCM_004739 transcript:EOX95184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought-responsive family protein, putative isoform 1 MEDDTWSFGFSASSRSYQSTLKSQSDRCIDFEEIEEDDELKTEYPCPYCSEDFDLLGLCCHIDEEHHLEANYGVCPVCTLRVGMNMVDHITTQHGNPFKSNHKLKFCKGDSYSTLSLRKELHDAHYQSLLARSWSSLSSSNTAPDPVLSFLSYVPPAYSSESVKSASSTEATLEEKGSDVNMLENLPVDRDVQPPPLSDKEHLEKAKRCEFVQGLLLSTIFDGAL >EOX95185 pep chromosome:Theobroma_cacao_20110822:1:33237705:33240940:-1 gene:TCM_004739 transcript:EOX95185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought-responsive family protein, putative isoform 1 MEDDTWSFGFSASSRSYQSTLKSQSDRCIDFEEIEEDDELKTEYPCPYCSEDFDLLGLCCHIDEEHHLEANYGVCPVCTLRVGMNMVDHITTQHGNPFKSNHKLKFCKGDSYSTLSLRKELHDAHYQSLLARSWSSLSSSNTAPDPVLSFLSYVPPAYSSESVKSASSTEATLEEKGSDVNMLEKDVQPPPLSDKEHLEKAKRCEFVQGLLLSTIFDGAL >EOX93271 pep chromosome:Theobroma_cacao_20110822:1:11833918:11839850:-1 gene:TCM_002122 transcript:EOX93271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2, putative isoform 5 MAEALSPNPRQRIPMASRIQSPTSPFFLGSNDDRLERAQARAARAAAVRRKSVAPHVRPPTDPDPCLAKDQILELFHNCIKLASENKINQKNTWELNLIDHLREIIKVEEENDVETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGHENEQDSAVEDANVDTAQEGNSKKETEKKMSPLSTLESSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYAGCRVLFDSFEVPGKEMPCSNQHDISETIDLSFAGEYIEHMVLNMRAKDEISPTLKNIVNQFTEGNRRPLENFCSQRSTDQADTINNETEFDGVAYESFETDALDHDDQSGFVDDEYNGAEPTFMGYQEVSEQFSFTNPGVDDRFEKVDEFLFLSLGFSSKQNAWAGPDHWKYRKAKGSEDVSAEENTAVLTTKKARNKKQVEPDIDFTKALDNEMPDIFAPPKNPKSLLLPSNRAPCNTKLPEDCHYQPEDLVKLFLLPNVMCLGRRRRKLPDESREQCDDYEPQPSWDEQSIFGDQFDNEIDHRDVDNSDMLVSQPRQVGLLAFISMLAPSCCPLE >EOX93270 pep chromosome:Theobroma_cacao_20110822:1:11834969:11839850:-1 gene:TCM_002122 transcript:EOX93270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2, putative isoform 5 MAEALSPNPRQRIPMASRIQSPTSPFFLGSNDDRLERAQARAARAAAVRRKSVAPHVRPPTDPDPCLAKDQILELFHNCIKLASENKINQKNTWELNLIDHLREIIKVEEENDVETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGHENEQDSAVEDANVDTAQEGNSKKETEKKMSPLSTLESSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYAGCRVLFDSFEVPGKEMPCSNQHDISETIDLSFAGEYIEHMVLNMRAKDEISPTLKNIVNQFTEGNRRPLENFCSQRSTDQADTINNETEFDGVAYESFETDALDHDDQSGFVDDEYNGAEPTFMGYQEVSEQFSFTNPGVDDRFEKVDEFLFLSLGFSSKQNAWAGPDHWKYRKAKGSEDVSAEENTAVLTTKKARNKKQVEPDIDFTKALDNEMPDIFAPPKNPKSLLLPSNRAPCNTKLPEDCHYQPEDLVKLFLLPNVMCLGRRRRKLPVMWRGEERIKVRLLLSSLFGIFSRGKGHIHSLQAWFFSSPKRR >EOX93268 pep chromosome:Theobroma_cacao_20110822:1:11833726:11839718:-1 gene:TCM_002122 transcript:EOX93268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2, putative isoform 5 MAEALSPNPRQRIPMASRIQSPTSPFFLGSNDDRLERAQARAARAAAVRRKSVAPHVRPPTDPDPCLAKDQILELFHNCIKLASENKINQKNTWELNLIDHLREIIKVEEENDVETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGHENEQDSAVEDANVDTAQEGNSKKETEKKMSPLSTLESSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYAGCRVLFDSFEVPGKEMPCSNQHDISETIDLSFAGEYIEHMVLNMRAKDEISPTLKNIVNQFTEGNRRPLENFCSQRSTDQADTINNETEFDGVAYESFETDALDHDDQSGFVDDEYNGAEPTFMGYQEVSEQFSFTNPGVDDRFEKVDEFLFLSLGFSSKQNAWAGPDHWKYRKAKGSEDVSAEENTAVLTTKKARNKKQVEPDIDFTKALDNEMPDIFAPPKNPKSLLLPSNRAPCNTKLPEDCHYQPEDLVKLFLLPNVMCLGRRRRKLPDESREQCDDYEPQPSWDEQSIFGDQFDNEIDHRDVDNSDMLVSQPRQVSKIEVKYDKTSKQVDVQNLKETIWDHMQRSPETSFQCQGSEEMVSFKHLLASFPVDCIAATTIEEISPHLCFICLLHLANEHGLSIHGCPNMDDLGIQLPHNGKRTSEAI >EOX93272 pep chromosome:Theobroma_cacao_20110822:1:11834969:11839850:-1 gene:TCM_002122 transcript:EOX93272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2, putative isoform 5 MAEALSPNPRQRIPMASRIQSPTSPFFLGSNDDRLERAQARAARAAAVRRKSVAPHVRPPTDPDPCLAKDQILELFHNCIKLASENKINQKNTWELNLIDHLREIIKVEEENDVETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGHENEQDSAVEDANVDTAQEGNSKKETEKKMSPLSTLESSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYAGCRVLFDSFEVPGKEMPCSNQHDISETIDLSFAGEYIEHMVLNMRAKDEISPTLKNIVNQFTEGNRRPLENFCSQRSTDQADTINNETEFDGVAYESFETDALDHDDQSGFVDDEYNGAEPTFMGYQEVSEQFSFTNPGVDDRFEKVDEFLFLSLGFSSKQNAWAGPDHWKYRKAKGNITGSEDVSAEENTAVLTTKKARNKKQVEPDIDFTKALDNEMPDIFAPPKNPKSLLLPSNRAPCNTKLPEDCHYQPEDLVKLFLLPNVMCLGRRRRKLPDESREQCDDYEPQPSWDEQSIFGDQFDNEIDHRDVDNSDMLVSQPRQVSKIEVKY >EOX93273 pep chromosome:Theobroma_cacao_20110822:1:11834969:11839850:-1 gene:TCM_002122 transcript:EOX93273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2, putative isoform 5 MAEALSPNPRQRIPMASRIQSPTSPFFLGSNDDRLERAQARAARAAAVRRKSVAPHVRPPTDPDPCLAKDQILELFHNCIKLASENKINQKNTWELNLIDHLREIIKVEEENDVETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGHENEQDSAVEDANVDTAQEGNSKKETEKKMSPLSTLESSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYAGCRVLFDSFEVPGKEMPCSNQHDISETIDLSFAGEYIEHMVLNMRAKDEISPTLKNIVNQFTEGNRRPLENFCSQRSTDQADTINNETEFDGVAYESFETDALDHDDQSGFVDDEYNGAEPTFMGYQEVSEQFSFTNPGVDDRFEKVDEFLFLSLGFSSKQNAWAGPDHWKYRKAKGSEDVSAEENTAVLTTKKARNKKQVEPDIDFTKALDNEMPDIFAPPKNPKSLLLPSNRAPCNTKLPEDCHYQPEDLVKLFLLPNVMVMCARSLIINYDFFLKGFSPHFGFFS >EOX93269 pep chromosome:Theobroma_cacao_20110822:1:11833185:11839925:-1 gene:TCM_002122 transcript:EOX93269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2, putative isoform 5 MAEALSPNPRQRIPMASRIQSPTSPFFLGSNDDRLERAQARAARAAAVRRKSVAPHVRPPTDPDPCLAKDQILELFHNCIKLASENKINQKNTWELNLIDHLREIIKVEEENDVETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGHENEQDSAVEDANVDTAQEGNSKKETEKKMSPLSTLESSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYAGCRVLFDSFEVPGKEMPCSNQHDISETIDLSFAGEYIEHMVLNMRAKDEISPTLKNIVNQFTEGNRRPLENFCSQRSTDQADTINNETEFDGVAYESFETDALDHDDQSGFVDDEYNGAEPTFMGYQEVSEQFSFTNPGVDDRFEKVDEFLFLSLGFSSKQNAWAGPDHWKYRKAKGSEDVSAEENTAVLTTKKARNKKQVEPDIDFTKALDNEMPDIFAPPKNPKSLLLPSNRAPCNTKLPEDCHYQPEDLVKLFLLPNVMCLGRRRRKLPDESREQCDDYEPQPSWDEQSIFGDQFDNEIDHRDVDNSDMLVSQPRQVSKIEVKYDKTSKQVDVQNLKETIWDHMQRSPETSFQCQGSEEMVSFKHLLASFPVDCIAATTIEEISPHLCFICLLHLANEHGLSIHGCPNMDDLGIQLPHNGCF >EOX94536 pep chromosome:Theobroma_cacao_20110822:1:29908146:29912390:1 gene:TCM_004162 transcript:EOX94536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASESNNLAPAPTPSDAATQPTPIGMPSSSPTPTTQQTIISTNDSDAVNSKKWKALPSGSEIWKHFTRFVNIKKGKDLRNLWQLHVLDLLSHHDGLLLKIALTFLLMEITISKTAQKFEEAFNLFEEADSQYRTDLSMGDGIPEHEDWENVRRYPTLALLALDVLAIPPSIIASESAFSTGGHVLDAYRSSLMPKMVQALICAQDWLCGPSYYLHDIENDLAELEKVDEELSKIAVDNVLDNL >EOX92130 pep chromosome:Theobroma_cacao_20110822:1:5350931:5447263:-1 gene:TCM_001125 transcript:EOX92130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane ABC transporter family, PMP family isoform 3 MPSLQLLQLTEHGRSLLASRRKALLLASGIVVAGGAAAYVQSRFSSKKPNSYCHYNGDRDNRENSDEVVKNNNNVKGTTQKKSGLKSLQVLAAILLSEMGQIGARDLLALVGIAVLRTALSNRLAKVQGFLFRAAFLRRVPSFFRLISENILLCFLLSTIYSTSKYITGTLSLRFRKILTKLIHAHYFENMAYYKISHVDGRIRNPEQRIASDVPRFCSELSELVQDDLTAVTDGLLYTWRLCSYASPKYIFWILAYVLGAGAAIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGENREESHIQQKFKTLVRHMRVVLHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGHLRPDTSTLGRAEMLSNLRYHTSVVISLFQALGTLSISSRRLNRLSGYADRIHELILISRELSADDKKSSLQSAGSRNYFSEANCVEFSSVKVVTPTGNVLVKDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEVFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTHSGMVELLKNVDLEYLLDRYPPEKEVNWCDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWKVHYKREDSSVQSEDGIDLTEPSETDRQTDAITVQRAFTAAKKDSAFSSPKAQSYVSEVIAASPFVNHDVKLPVVPQLQRVPRVLPLRVAGMFKVLVPTILDKQGAQLLTVAFLVVSRTWISDRIASLNGTTVKYVLKQDKAAFIRLIGISVLQSAASSFIAPSLRHLTARLALGWRIRLTQHLLKNYLRNNAFYQVFHMSSKNIDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVAILYAYMLLGLGFLRTVTPDFGDLTSREQQLEGTFS >EOX92131 pep chromosome:Theobroma_cacao_20110822:1:5353038:5367757:-1 gene:TCM_001125 transcript:EOX92131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane ABC transporter family, PMP family isoform 3 MAYYKISHVDGRIRNPEQRIASDVPRFCSELSELVQDDLTAVTDGLLYTWRLCSYASPKYIFWILAYVLGAGAAIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGENREESHIQQKFKTLVRHMRVVLHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGHLRPDTSTLGRAEMLSNLRYHTSVVISLFQALGTLSISSRRLNRLSGYADRIHELILISRELSADDKKSSLQSAGSRNYFSEANCVEFSSVKVVTPTGNVLVKDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEVFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTHSGMVELLKNVDLEYLLDRYPPEKEVNWCDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWKVHYKREDSSVQSEDGIDLTEPSETDRQTDAITVQRAFTAAKKDSAFSSPKAQSYVSEVIAASPFVNHDVKLPVVPQLQRVPRVLPLRVAGMFKVLVPTILDKQGAQLLTVAFLVVSRTWISDRIASLNGTTVKYVLKQDKAAFIRLIGISVLQSAASSFIAPSLRHLTARLALGWRIRLTQHLLKNYLRNNAFYQVFHMSSKNIDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVAILYAYMLLGLGFLRTVTPDFGDLTSREQQLEGTFRFMHERLRTHAESIAFFGGGAREKAMVDSRFRELLDHSLLLLKKKWLFGILDDFVTKQLPHNVTWGLSLLYALEHKGDRALISTQGELAHALRFLASVVSQSFLAFGDILELHRKFLELSGSINRIFELEELLDAAQSGDLSTDNLARSQRTGLYAEDVISFAEVDIITPAQKLLARQLTVDVVPGKSLLVTGPNGSGKSSVFRVLRRLWPIVSGRLYKPSHHFNEEALSGGGIFYVPQRPYTCLGTLRDQIIYPLSREEAELRELKLYGKG >EOX92129 pep chromosome:Theobroma_cacao_20110822:1:5350570:5373156:-1 gene:TCM_001125 transcript:EOX92129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane ABC transporter family, PMP family isoform 3 MPSLQLLQLTEHGRSLLASRRKALLLASGIVVAGGAAAYVQSRFSSKKPNSYCHYNGDRDNRENSDEVVKNNNNVKGTTQKKSGLKSLQVLAAILLSEMGQIGARDLLALVGIAVLRTALSNRLAKVQGFLFRAAFLRRVPSFFRLISENILLCFLLSTIYSTSKYITGTLSLRFRKILTKLIHAHYFENMAYYKISHVDGRIRNPEQRIASDVPRFCSELSELVQDDLTAVTDGLLYTWRLCSYASPKYIFWILAYVLGAGAAIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGENREESHIQQKFKTLVRHMRVVLHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGHLRPDTSTLGRAEMLSNLRYHTSVVISLFQALGTLSISSRRLNRLSGYADRIHELILISRELSADDKKSSLQSAGSRNYFSEANCVEFSSVKVVTPTGNVLVKDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEVFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTHSGMVELLKNVDLEYLLDRYPPEKEVNWCDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWKVHYKREDSSVQSEDGIDLTEPSETDRQTDAITVQRAFTAAKKDSAFSSPKAQSYVSEVIAASPFVNHDVKLPVVPQLQRVPRVLPLRVAGMFKVLVPTILDKQGAQLLTVAFLVVSRTWISDRIASLNGTTVKYVLKQDKAAFIRLIGISVLQSAASSFIAPSLRHLTARLALGWRIRLTQHLLKNYLRNNAFYQVFHMSSKNIDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVAILYAYMLLGLGFLRTVTPDFGDLTSREQQLEGTFRFMHERLRTHAESIAFFGGGAREKAMVDSRFRELLDHSLLLLKKKWLFGILDDFVTKQLPHNVTWGLSLLYALEHKGDRALISTQGELAHALRFLASVVSQSFLAFGDILELHRKFLELSGSINRIFELEELLDAAQSGDLSTDNLARSQRTGLYAEDVISFAEVDIITPAQKLLARQLTVDVVPGKSLLVTGPNGSGKSSVFRVLRRLWPIVSGRLYKPSHHFNEEALSGGGIFYVPQRPYTCLGTLRDQIIYPLSREEAELRELKLYGKGKKSADTTKILDARLKTILENVRLNYLLEREEAGWDANVNWEDILSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEQLYRLAKDLGITVVTSSQRPALIPFHGLELRLVDGEGKWELRSIKQ >EOX92132 pep chromosome:Theobroma_cacao_20110822:1:5353038:5367757:-1 gene:TCM_001125 transcript:EOX92132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane ABC transporter family, PMP family isoform 3 MPSLQLLQLTEHGRSLLASRRKALLLASGIVVAGGAAAYVQSRFSSKKPNSYCHYNGDRDNRENSDEVVKNNNNVKGTTQKKSGLKSLQVLAAILLSEMGQIGARDLLALVGIAVLRTALSNRLAKVQGFLFRAAFLRRVPSFFRLISENILLCFLLSTIYSTSKYITGTLSLRFRKILTKLIHAHYFENMAYYKISHVDGRIRNPEQRIASDVPRFCSELSELVQDDLTAVTDGLLYTWRLCSYASPKYIFWILAYVLGAGAAIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGENREESHIQQKFKTLVRHMRVVLHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGHLRPDTSTLGRAEMLSNLRYHTSVVISLFQALGTLSISSRRLNRLSGYADRIHELILISRELSADDKKSSLQSAGSRNYFSEANCVEFSSVKVVTPTGNVLVKDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEVFYVPQRPYTAVGTLRDQLIYPLTADQEVEPLTHSGMVELLKNVDLEYLLDRYPPEKEVNWCDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWKVHYKREDSSVQSEDGIDLTEPSETDRQTDAITVQRAFTAAKKDSAFSSPKAQSYVSEVIAASPFVNHDVKLPVVPQLQRVPRVLPLRVAGMFKVLVPTILDKQGAQLLTVAFLVVSRTWISDRIASLNGTTVKYVLKQDKAAFIRLIGISVLQSAASSFIAPSLRHLTARLALGWRIRLTQHLLKNYLRNNAFYQVFHMSSKNIDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVAILYAYMLLGLGFLRTVTPDFGDLTSREQQLEGTFRFMHERLRTHAESIAFFGGGAREKAMVDSRFRELLDHSLLLLKKKWLFGILDDFVTKQLPHNVTWGLSLLYALEHKGDRALISTQGELAHALRFLASVVSQSFLAFGDILELHRKFLELSGSINRIFELEELLDAAQSGDLSTDNLARSQRTGLYAEDVISFAEVDIITPAQKLLARQLTVDVVPGKSLLVTGPNGSGKSSVFRVLRRLWPIVSGRLYKPSHHFNEEALSGGGIFYVPQRPYTCLGTLRDQIIYPLSREEAELRELKLYGKG >EOX90688 pep chromosome:Theobroma_cacao_20110822:1:338057:345002:-1 gene:TCM_000081 transcript:EOX90688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi-localized GRIP domain-containing protein isoform 3 MSGEGGEVTQVPETQVDDSLKPEKVLSETNGDLSKENGVIDGNVSSDDTHDQLLQTVTELQFENEFLKSQLKSLKNFQSEHDGPSQQTEASSDETSVSADVKELHERIESLSRELNEEKQTRVAAEQALKHLREVYSEADAKAQELSGKLAEAQQKLDQEVKEREEKYSELDSKFNRLHKRAKQRIQEVQKEKDDLEARLREVNETAERALSQQSGTQQELERTRQQANEALKAMDAERQQLRSANNKLRDNIEELRRSMQPKEDALEALQQSLLEKEQMLEDLQGLLEAADERKQASLAELAAKHQKNMESLEAQLADALSDRTKATETISSLQVLLAEKESKIAEMDAASTGEAARLRAAVESIKGELAHLKHEHEKEKESWEAASKAFKTKLEIAESNCIRAEIEAAKMRSQLELEASVQTQMLSTREAELAAAKEEISRLEREFSSYKIRAHALLQKKDAELAAAKDSEQIKELEEALKETEREVSFISAERDEARQDLQNVLSNHDKELAERDAALDNAKQQIKSLEVNLDSAKARHQSEKVAWEIDLKNLEETWRIRCEALTAENEASSSEDIQKELEETKQRCKRLKDEHASFRDLADRMIEEKDKEISRLLDDNKNLQRSLESRPLADHAGNYNTATQKQDAPNLSTSAAEQQILLLARQQAQREEELAQSQRHILALQQEEIEELERENRLHSQQEAMLKEELRNMERSKRREGVDMTYLKNVILKLLETGEVEALLPVVGMLLQFSPEEMQKCQQAYRTSIDVPASPANEASGSTLSLFSRFSFS >EOX90691 pep chromosome:Theobroma_cacao_20110822:1:339318:344306:-1 gene:TCM_000081 transcript:EOX90691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi-localized GRIP domain-containing protein isoform 3 NGDLSKENGVIDGNVSSDDTHDQLLQTVTELQFENEFLKSQLKSLKNFQSEHDGPSQQTEASSDETSVSADVKELHERIESLSRELNEEKQTRVAAEQALKHLREVYSEADAKAQELSGKLAEAQQKLDQEVKEREEKYSELDSKFNRLHKRAKQRIQEVQKEKDDLEARLREVNETAERALSQQSGTQQELERTRQQANEALKAMDAERQQLRSANNKLRDNIEELRRSMQPKEDALEALQQSLLEKEQMLEDLQGLLEAADERKQASLAELAAKHQKNMESLEAQLADALSDRTKATETISSLQVLLAEKESKIAEMDAASTGEAARLRAAVESIKGELAHLKHEHEKEKESWEAASKAFKTKLEIAESNCIRAEIEAAKMRSQLELEASVQTQMLSTREAELAAAKEEISRLEREFSSYKIRAHALLQKKDAELAAAKDSEQIKELEEALKETEREVSFISAERDEARQDLQNVLSNHDKELAERDAALDNAKQQIKSLEVNLDSAKARHQSEKVAWEIDLKNLEETWRIRCEALTAENEASSSEDIQKELEETKQRCKRLKDEHASFRDLADRMIEEKDKEISRLLDDNKNLQRSLESRPLADHAGNYNTATQKQDAPNLSTSAAEQQILLLARQQAQREEELAQSQRHILALQVSKIHSILITFQPVCFLDG >EOX90689 pep chromosome:Theobroma_cacao_20110822:1:338249:344307:-1 gene:TCM_000081 transcript:EOX90689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi-localized GRIP domain-containing protein isoform 3 MDAERQQLRSANNKLRDNIEELRRSMQPKEDALEALQQSLLEKEQMLEDLQGLLEAADERKQASLAELAAKHQKNMESLEAQLADALSDRTKATETISSLQVLLAEKESKIAEMDAASTGEAARLRAAVESIKGELAHLKHEHEKEKESWEAASKAFKTKLEIAESNCIRAEIEAAKMRSQLELEASVQTQMLSTREAELAAAKEEISRLEREFSSYKIRAHALLQKKDAELAAAKDSEQIKELEEALKETEREVSFISAERDEARQDLQNVLSNHDKELAERDAALDNAKQQIKSLEVNLDSAKARHQSEKVAWEIDLKNLEETWRIRCEALTAENEASSSEDIQKELEETKQRCKRLKDEHASFRDLADRMIEEKDKEISRLLDDNKNLQRSLESRPLADHAGNYNTATQKQDAPNLSTSAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELERENRLHSQQEAMLKEELRNMERSKRREGVDMTYLKNVILKLLETGEVEALLPVVGMLLQFSPEEMQKCQQAYRTSIDVPASPANEASGSTLSLFSRFSFS >EOX90690 pep chromosome:Theobroma_cacao_20110822:1:338552:345002:-1 gene:TCM_000081 transcript:EOX90690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi-localized GRIP domain-containing protein isoform 3 MSGEGGEVTQVPETQVDDSLKPEKVLSETNGDLSKENGVIDGNVSSDDTHDQLLQTVTELQFENEFLKSQLKSLKNFQSEHDGPSQQTEASSDETSVSADVKELHERIESLSRELNEEKQTRVAAEQALKHLREVYSEADAKAQELSGKLAEAQQKLDQEVKEREEKYSELDSKFNRLHKRAKQRIQEVQKEKDDLEARLREVNETAERALSQQSGTQQELERTRQQANEALKAMDAERQQLRSANNKLRDNIEELRRSMQPKEDALEALQQSLLEKEQMLEDLQGLLEAADERKQASLAELAAKHQKNMESLEAQLADALSDRTKATETISSLQVLLAEKESKIAEMDAASTGEAARLRAAVESIKGELAHLKHEHEKEKESWEAASKAFKTKLEIAESNCIRAEIEAAKMRSQLELEASVQTQMLSTREAELAAAKEEISRLEREFSSYKIRAHALLQKKDAELAAAKDSEQIKELEEALKETEREVSFISAERDEARQDLQNVLSNHDKELAERDAALDNAKQQIKSLEVNLDSAKARHQSEKVAWEIDLKNLEETWRIRCEALTAENEASSSEDIQKELEETKQRCKRLKDEHASFRDLADRMIEEKDKEISRLLDDNKNLQRSLESRPLADHAGNYNTAATQKQDAPNLSTSAAEQQILLLARQQAQREEELAQSQRHILALQVSKIHSILITFQPVCFLDG >EOX90687 pep chromosome:Theobroma_cacao_20110822:1:338063:345113:-1 gene:TCM_000081 transcript:EOX90687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi-localized GRIP domain-containing protein isoform 3 MSGEGGEVTQVPETQVDDSLKPEKVLSETNGDLSKENGVIDGNVSSDDTHDQLLQTVTELQFENEFLKSQLKSLKNFQSEHDGPSQQTEASSDETSVSADVKELHERIESLSRELNEEKQTRVAAEQALKHLREVYSEADAKAQELSGKLAEAQQKLDQEVKEREEKYSELDSKFNRLHKRAKQRIQEVQKEKDDLEARLREVNETAERALSQQSGTQQELERTRQQANEALKAMDAERQQLRSANNKLRDNIEELRRSMQPKEDALEALQQSLLEKEQMLEDLQGLLEAADERKQASLAELAAKHQKNMESLEAQLADALSDRTKATETISSLQVLLAEKESKIAEMDAASTGEAARLRAAVESIKGELAHLKHEHEKEKESWEAASKAFKTKLEIAESNCIRAEIEAAKMRSQLELEASVQTQMLSTREAELAAAKEEISRLEREFSSYKIRAHALLQKKDAELAAAKDSEQIKELEEALKETEREVSFISAERDEARQDLQNVLSNHDKELAERDAALDNAKQQIKSLEVNLDSAKARHQSEKVAWEIDLKNLEETWRIRCEALTAENEASSSEDIQKELEETKQRCKRLKDEHASFRDLADRMIEEKDKEISRLLDDNKNLQRSLESRPLADHAGNYNTATQKQDAPNLSTSAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELERENRLHSQQEAMLKEELRNMERSKRREGVDMTYLKNVILKLLETGEVEALLPVVGMLLQFSPEEMQKCQQAYRTSIDVPASPANEASGSTLSLFSRFSFS >EOX92320 pep chromosome:Theobroma_cacao_20110822:1:6210783:6236479:-1 gene:TCM_001277 transcript:EOX92320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDRSAGGEGPAILQIHKWGPSELQLNLSEFREAFISPTRELLLLLSYQCQALLVPLVRGDSLDSNVSESCYDEGPQNSASSACRTDSKDDIPCTSESAMHSDNGISLECRFSRSNSYPFLCDVNSLAWGVCGDTYNEHKDGPFRELLFVSGSQGVMVHAFCEHDNSSVPGATSEGEFREGTWVEWGPSSSSFQNIKEEESIDLSFECPGNVIAKGTANGQRGVPDKTSKKAGVDNLSGTATSKRWLQSFFTKAETIEYEGSIWTRLPEKSSFPCSAKVVSFGIFTGNLPVLRFLCKENSSSSKESCLETIGNLENGSHENLELSSSDICSETSYKCTRVFSSNSHQLIGFFLTLLNPASANTNDESEKSRCKNIIFVARLNSWGIQWVSLVKLQETVNTCPLVEWNDFRFSDDFLICLNASGLVFFYNAVSGEYVAHLDILQTCGLNCQVTLPEPESSALDDDMHSKSYYQHGSLFGRRTFRRLLVASYTSLVAVIDECGVVYVIYSGNHLPDKYYAFDKLLPHYKHIGLGMLVGWDVGGCDVSHQRIYFNSTHSCNLNSASKMKEIVSFYDNIGSNLLQKIHGWNLYGNRCLCDSVLNGFSATSKVMGEKVHDSQIQFHLMRKVFLPTDRYSDDDCICFSPLGITRLIKRHNFKEPKSSQIVHFDLHTDSVVHDDRCLNSGSKKFSLHGREEACIGEAVGCTFQGCFYLVTKGGLSVVLPSFSVSPNFLPVETIGYQQPRISTGIGCQAKNTLGMEEPKMFLSPCKVEILDRVLLYEGPEEADRLCLENGWDLKFSRVRWLQMALDYLKFDEVKQSLEMLVGVNLAEEGVLRLLFAAVYLMFRKNGNDNEVSAASRLLQLATWFATKMIREYGLLQRKKDAFMLQGLDGTRLLALPPVLPDKAQNEMGYSVRLREMAHFLEIIRNLQYQLRAKLKKPGQGLVDQEEPLSIVDPNSLQEEFQFSTPLANSLETLNQYELQIPALTFPSNNNERLALVPDNSLSSEAYLDSEDSSESSALVSRGVISGKKILPSENPKEMIARWKIDKLDLKTVVKDALLSGRLPLAVLQLHLHRSSEFTSDEGPHDTFNEVSDIGRAIAYDLFLKGETGLAIATLQRLGEDVEVCLKQLLFGTVRRTLRMQIAEEMRRYGYLGSVEWNILERISLIERLYPSCSFWKTFLDHQKGRMQVTSTLNSPGGVHLCLLDFFNHLTIECGEIDGVVLGSWANVNENSSDPALDLDGAHAGYWAAAAVWSKAWDQRTIDRIVLDQPFIMGVHVSWESQLEYYIYRNDWEEVFKLVDLIPTSVLSNGSLQIALDGFQPASTVECSGFPDFSNYICSVEELDAICMDVPDIKILRLSSSVMCSTWLRMLMEQELVKKLIFLKDYWEGTAEIVSLLARSGFVTNRYKISFEDNSIERLSDLHFSNSSENFHADTVQALDKLLIRYCAQYNLPNLLDLYLDHHKLVLNDDLLFSLQEAAGDCHWARWLLLSRIKGHEYDASFANARSIMSDNLVHGGNLRGHEVDEVIRAIDDIAEGGGEMAALATLMYASAPIQNCLSSGSVNRHNSSTAQCTLENLRPTLQHYPTLWRTLVSGFGQDTTFSYFSTRVKNALADYLNWRDNIFFSTGRDTSLLQMLPCWFPKAVRRLIQLYVQGPLGWQTLSGLPTGESLLDRDIDFYINSDEQTEINAISWEATIQKHVEEELYHSSLEDTGLGLEHHLHRGRALAAFNHLLTSRVEKLKRDGRSSASAQTNVQSDVQTLLAPISESEESLLSSVMPFAITHFEDTVLVASSVFLLELCGSSASMLRVDVAALRRISFFYKSIENREKFTQLSPKGSAFHAASHDDNVMESLARALADECMHGDSSRNSKQKGSLISVSSKQPSRALVLVLQHLEKASLPLLVEGKTCGSWLLTGNGDGTELRSQQKAASQYWSLVTVFCQMHQLPLSTKYLAVLARDNDWVGFLSEAQIGGYSFDTVFQVASKEFSDPRLKIHILTVLKSMQSKKKASSQSYLDTSEKSSESPFTEENVYIPVELFRVLADCEKQKNPGESLLLKAKDFSWSILAMIASCFPDVSPLSCLTVWLEITAARETKSIKVNDIASQIADNVAAAVEATNSLPAVSRALSFHYNRQSPKRRRLLESISRTPLSETSDSATRIFSDEGSIAGEDRNVELGEQINVSSDLNEGPASLTKMVAVLCEQRLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARIKEEPSHLQKNIGRECQIGISWISSTAIKAADATLSTCPSPYEKRCLLQLLAAADFGDGGSAAAYYRRLYWKINLAEPSLRKNDGLHLGNETLDDSSLLTALEENRQWEQARNWARQLEASGGPWKSTVHQVTEIQAESMVAEWKEFLWDVPEERVALWDHCQTLFIRYSYPALQVGLFFLKHAEAVEKDLPASELHEMLLLSLQWLSGMITQSKPVYPLHLLREIETRVWLLAVESEAQVKSEGEISLTSSSRNPVTGNSSNIIDRTASVITKMDNHINLMNSRTVEKYDAREVHHRNQGLDSSSSTVTIGSSKTKRRAKGYVPSRRPLADTIERGLEPEDSSNPPNLRNDFQLQDESFRIEISSPKWEERVGPAELERAVLSLLEFGQITAAKQLQQKLSPGQMPSEFILVDTALKLAAISTPTSERLIAKLDEEFLSVIQSYNIPTDQHFIYPLQVLENLATVFTEGSGRGLCKRIIAVVKAAKVLGLSFLEAFGKQPVELLQLLSLKAQESFEEANLLVQTHVMPAASIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALMRLVITGQEIPHACEVELLILSHHFYKSSACLDGVDVLVALAATRVEAYVSEGDFACLARLITGVGNFHALNFILGILIENGQLDLLLRKYSTAADTNAGTAEAVRGFRMAVLTSLKHFNPYDLDAFAMVYNHFDMKHETAALLESRAEQASLQWFQRYDRDQNEDLLESMRYFIEAAEVHSSIDAGNKTRRACAQASLVSLQIRMPDSKWLNLSETNARRALVEQSRFQEALIVAEAYGLNQPTEWALVLWNQMLNPELTEEFVAEFVAVLPLQPSMLIELARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLERSFRCLLKRTRDLRLQLQLATAATGFADVVHACMKALDRVPDTAAPLVLRKGHGGAYLPLM >EOX92319 pep chromosome:Theobroma_cacao_20110822:1:6210113:6237394:-1 gene:TCM_001277 transcript:EOX92319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDRSAGGEGPAILQIHKWGPSELQLNLSEFREAFISPTRELLLLLSYQCQALLVPLVRGDSLDSNVSESCYDEGPQNSASSACRTDSKDDIPCTSESAMHSDNGISLECRFSRSNSYPFLCDVNSLAWGVCGDTYNEHKDGPFRELLFVSGSQGVMVHAFCEHDNSSVPGATSEGEFREGTWVEWGPSSSSFQNIKEEESIDLSFECPGNVIAKGTANGQRGVPDKTSKKAGVDNLSGTATSKRWLQSFFTKAETIEYEGSIWTRLPEKSSFPCSAKVVSFGIFTGNLPVLRFLCKENSSSSKESCLETIGNLENGSHENLELSSSDICSETSYKCTRVFSSNSHQLIGFFLTLLNPASANTNDESEKSRCKNIIFVARLNSWGIQWVSLVKLQETVNTCPLVEWNDFRFSDDFLICLNASGLVFFYNAVSGEYVAHLDILQTCGLNCQVTLPEPESSALDDDMHSKSYYQHGSLFGRRTFRRLLVASYTSLVAVIDECGVVYVIYSGNHLPDKYYAFDKLLPHYKHIGLGMLVGWDVGGCDVSHQRIYFNSTHSCNLNSASKMKEIVSFYDNIGSNLLQKIHGWNLYGNRCLCDSVLNGFSATSKVMGEKVHDSQIQFHLMRKVFLPTDRYSDDDCICFSPLGITRLIKRHNFKEPKSSQIVHFDLHTDSVVHDDRCLNSGSKKFSLHGREEACIGEAVGCTFQGCFYLVTKGGLSVVLPSFSVSPNFLPVETIGYQQPRISTGIGCQAKNTLGMEEPKMFLSPCKVEILDRVLLYEGPEEADRLCLENGWDLKFSRVRWLQMALDYLKFDEVKQSLEMLVGVNLAEEGVLRLLFAAVYLMFRKNGNDNEVSAASRLLQLATWFATKMIREYGLLQRKKDAFMLQGLDGTRLLALPPVLPDKAQNEMGYSVRLREMAHFLEIIRNLQYQLRAKLKKPGQGLVDQEEPLSIVDPNSLQEEFQFSTPLANSLETLNQYELQIPALTFPSNNNERLALVPDNSLSSEAYLDSEDSSESSALVSRGVISGKKILPSENPKEMIARWKIDKLDLKTVVKDALLSGRLPLAVLQLHLHRSSEFTSDEGPHDTFNEVSDIGRAIAYDLFLKGETGLAIATLQRLGEDVEVCLKQLLFGTVRRTLRMQIAEEMRRYGYLGSVEWNILERISLIERLYPSCSFWKTFLDHQKGRMQVTSTLNSPGGVHLCLLDFFNHLTIECGEIDGVVLGSWANVNENSSDPALDLDGAHAGYWAAAAVWSKAWDQRTIDRIVLDQPFIMGVHVSWESQLEYYIYRNDWEEVFKLVDLIPTSVLSNGSLQIALDGFQPASTVECSGFPDFSNYICSVEELDAICMDVPDIKILRLSSSVMCSTWLRMLMEQELVKKLIFLKDYWEGTAEIVSLLARSGFVTNRYKISFEDNSIERLSDLHFSNSSENFHADTVQALDKLLIRYCAQYNLPNLLDLYLDHHKLVLNDDLLFSLQEAAGDCHWARWLLLSRIKGHEYDASFANARSIMSDNLVHGGNLRGHEVDEVIRAIDDIAEGGGEMAALATLMYASAPIQNCLSSGSVNRHNSSTAQCTLENLRPTLQHYPTLWRTLVSGFGQDTTFSYFSTRVKNALADYLNWRDNIFFSTGRDTSLLQMLPCWFPKAVRRLIQLYVQGPLGWQTLSGLPTGESLLDRDIDFYINSDEQTEINAISWEATIQKHVEEELYHSSLEDTGLGLEHHLHRGRALAAFNHLLTSRVEKLKRDGRSSASAQTNVQSDVQTLLAPISESEESLLSSVMPFAITHFEDTVLVASSVFLLELCGSSASMLRVDVAALRRISFFYKSIENREKFTQLSPKGSAFHAASHDDNVMESLARALADECMHGDSSRNSKQKGSLISVSSKQPSRALVLVLQHLEKASLPLLVEGKTCGSWLLTGNGDGTELRSQQKAASQYWSLVTVFCQMHQLPLSTKYLAVLARDNDWVGFLSEAQIGGYSFDTVFQVASKEFSDPRLKIHILTVLKSMQSKKKASSQSYLDTSEKSSESPFTEENVYIPVELFRVLADCEKQKNPGESLLLKAKDFSWSILAMIASCFPDVSPLSCLTVWLEITAARETKSIKVNDIASQIADNVAAAVEATNSLPAVSRALSFHYNRQSPKRRRLLESISRTPLSETSDSATRIFSDEGSIAGEDRNVELGEQINVSSDLNEGPASLTKMVAVLCEQRLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARIKEEPSHLQKNIGRECQIGISWISSTAIKAADATLSTCPSPYEKRCLLQLLAAADFGDGGSAAAYYRRLYWKINLAEPSLRKNDGLHLGNETLDDSSLLTALEENRQWEQARNWARQLEASGGPWKSTVHQVTEIQAESMVAEWKEFLWDVPEERVALWDHCQTLFIRYSYPALQVGLFFLKHAEAVEKDLPASELHEMLLLSLQWLSGMITQSKPVYPLHLLREIETRVWLLAVESEAQVKSEGEISLTSSSRNPVTGNSSNIIDRTASVITKMDNHINLMNSRTVEKYDAREVHHRNQGLDSSSSTVTIGSSKTKRRAKGYVPSRRPLADTIERGLEPEDSSNPPNLRNDFQLQDESFRIEISSPKWEERVGPAELERAVLSLLEFGQITAAKQLQQKLSPGQMPSEFILVDTALKLAAISTPTSERLIAKLDEEFLSVIQSYNIPTDQHFIYPLQVLENLATVFTEGSGRGLCKRIIAVVKAAKVLGLSFLEAFGKQPVELLQLLSLKAQESFEEANLLVQTHVMPAASIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALMRLVITGQEIPHACEVELLILSHHFYKSSACLDGVDVLVALAATRVEAYVSEGDFACLARLITGVGNFHALNFILGILIENGQLDLLLRKYSTAADTNAGTAEAVRGFRMAVLTSLKHFNPYDLDAFAMVYNHFDMKHETAALLESRAEQASLQWFQRYDRDQNEDLLESMRYFIEAAEVHSSIDAGNKTRRACAQASLVSLQIRMPDSKWLNLSETNARRALVEQSRFQEALIVAEAYGLNQPTEWALVLWNQMLNPELTEEFVAEFVAVLPLQPSMLIELARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLERSFRCLLKRTRDLRLQLQLATAATGFADVVHACMKALDRVPDTAAPLVLRKGHGGAYLPLM >EOX92318 pep chromosome:Theobroma_cacao_20110822:1:6204437:6237526:-1 gene:TCM_001277 transcript:EOX92318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDRSAGGEGPAILQIHKWGPSELQLNLSEFREAFISPTRELLLLLSYQCQALLVPLVRGDSLDSNVSESCYDEGPQNSASSACRTDSKDDIPCTSESAMHSDNGISLECRFSRSNSYPFLCDVNSLAWGVCGDTYNEHKDGPFRELLFVSGSQGVMVHAFCEHDNSSVPGATSEGEFREGTWVEWGPSSSSFQNIKEEESIDLSFECPGNVIAKGTANGQRGVPDKTSKKAGVDNLSGTATSKRWLQSFFTKAETIEYEGSIWTRLPEKSSFPCSAKVVSFGIFTGNLPVLRFLCKENSSSSKESCLETIGNLENGSHENLELSSSDICSETSYKCTRVFSSNSHQLIGFFLTLLNPASANTNDESEKSRCKNIIFVARLNSWGIQWVSLVKLQETVNTCPLVEWNDFRFSDDFLICLNASGLVFFYNAVSGEYVAHLDILQTCGLNCQVTLPEPESSALDDDMHSKSYYQHGSLFGRRTFRRLLVASYTSLVAVIDECGVVYVIYSGNHLPDKYYAFDKLLPHYKHIGLGMLVGWDVGGCDVSHQRIYFNSTHSCNLNSASKMKEIVSFYDNIGSNLLQKIHGWNLYGNRCLCDSVLNGFSATSKVMGEKVHDSQIQFHLMRKVFLPTDRYSDDDCICFSPLGITRLIKRHNFKEPKSSQIVHFDLHTDSVVHDDRCLNSGSKKFSLHGREEACIGEAVGCTFQGCFYLVTKGGLSVVLPSFSVSPNFLPVETIGYQQPRISTGIGCQAKNTLGMEEPKMFLSPCKVEILDRVLLYEGPEEADRLCLENGWDLKFSRVRWLQMALDYLKFDEVKQSLEMLVGVNLAEEGVLRLLFAAVYLMFRKNGNDNEVSAASRLLQLATWFATKMIREYGLLQRKKDAFMLQGLDGTRLLALPPVLPDKAQNEMGYSVRLREMAHFLEIIRNLQYQLRAKLKKPGQGLVDQEEPLSIVDPNSLQEEFQFSTPLANSLETLNQYELQIPALTFPSNNNERLALVPDNSLSSEAYLDSEDSSESSALVSRGVISGKKILPSENPKEMIARWKIDKLDLKTVVKDALLSGRLPLAVLQLHLHRSSEFTSDEGPHDTFNEVSDIGRAIAYDLFLKGETGLAIATLQRLGEDVEVCLKQLLFGTVRRTLRMQIAEEMRRYGYLGSVEWNILERISLIERLYPSCSFWKTFLDHQKGRMQVTSTLNSPGGVHLCLLDFFNHLTIECGEIDGVVLGSWANVNENSSDPALDLDGAHAGYWAAAAVWSKAWDQRTIDRIVLDQPFIMGVHVSWESQLEYYIYRNDWEEVFKLVDLIPTSVLSNGSLQIALDGFQPASTVECSGFPDFSNYICSVEELDAICMDVPDIKILRLSSSVMCSTWLRMLMEQELVKKLIFLKDYWEGTAEIVSLLARSGFVTNRYKISFEDNSIERLSDLHFSNSSENFHADTVQALDKLLIRYCAQYNLPNLLDLYLDHHKLVLNDDLLFSLQEAAGDCHWARWLLLSRIKGHEYDASFANARSIMSDNLVHGGNLRGHEVDEVIRAIDDIAEGGGEMAALATLMYASAPIQNCLSSGSVNRHNSSTAQCTLENLRPTLQHYPTLWRTLVSGFGQDTTFSYFSTRVKNALADYLNWRDNIFFSTGRDTSLLQMLPCWFPKAVRRLIQLYVQGPLGWQTLSGLPTGESLLDRDIDFYINSDEQTEINAISWEATIQKHVEEELYHSSLEDTGLGLEHHLHRGRALAAFNHLLTSRVEKLKRDGRSSASAQTNVQSDVQTLLAPISESEESLLSSVMPFAITHFEDTVLVASSVFLLELCGSSASMLRVDVAALRRISFFYKSIENREKFTQLSPKGSAFHAASHDDNVMESLARALADECMHGDSSRNSKQKGSLISVSSKQPSRALVLVLQHLEKASLPLLVEGKTCGSWLLTGNGDGTELRSQQKAASQYWSLVTVFCQMHQLPLSTKYLAVLARDNDWVGFLSEAQIGGYSFDTVFQVASKEFSDPRLKIHILTVLKSMQSKKKASSQSYLDTSEKSSESPFTEENVYIPVELFRVLADCEKQKNPGESLLLKAKDFSWSILAMIASCFPDVSPLSCLTVWLEITAARETKSIKVNDIASQIADNVAAAVEATNSLPAVSRALSFHYNRQSPKRRRLLESISRTPLSETSDSATRIFSDEGSIAGEDRNVELGEQINVSSDLNEGPASLTKMVAVLCEQRLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARIKEEPSHLQKNIGRECQIGISWISSTAIKAADATLSTCPSPYEKRCLLQLLAAADFGDGGSAAAYYRRLYWKINLAEPSLRKNDGLHLGNETLDDSSLLTALEENRQWEQARNWARQLEASGGPWKSTVHQVTEIQAESMVAEWKEFLWDVPEERVALWDHCQTLFIRYSYPALQVGLFFLKHAEAVEKDLPASELHEMLLLSLQWLSGMITQSKPVYPLHLLREIETRVWLLAVESEAQVKSEGEISLTSSSRNPVTGNSSNIIDRTASVITKMDNHINLMNSRTVEKYDAREVHHRNQGLDSSSSTVTIGSSKTKRRAKGYVPSRRPLADTIERGLEPEDSSNPPNLRNDFQLQDESFRIEISSPKWEERVGPAELERAVLSLLEFGQITAAKQLQQKLSPGQMPSEFILVDTALKLAAISTPTSERLIAKLDEEFLSVIQSYNIPTDQHFIYPLQVLENLATVFTEGSGRGLCKRIIAVVKAAKVLGLSFLEAFGKQPVELLQLLSLKAQESFEEANLLVQTHVMPAASIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALMRLVITGQEIPHACEVELLILSHHFYKSSACLDGVDVLVALAATRVEAYVSEGDFACLARLITGVGNFHALNFILGILIENGQLDLLLRKYSTAADTNAGTAEAVRGFRMAVLTSLKHFNPYDLDAFAMVYNHFDMKHETAALLESRAEQASLQWFQRYDRDQNEDLLESMRYFIEAAEVHSSIDAGNKTRRACAQASLVSLQIRMPDSKWLNLSETNARRALVEQSRFQEALIVAEAYGLNQPTEWALVLWNQMLNPELTEEFVAEFVAVLPLQPSMLIELARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLERSFRCLLKRTRDLRLQLQLATAATGFADVVHACMKALDRVPDTAAPLVLRKGHGGAYLPLM >EOX91056 pep chromosome:Theobroma_cacao_20110822:1:1466102:1472013:-1 gene:TCM_000357 transcript:EOX91056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 11 MAIRGGSFSRMTLFVVGFLAFISFPAEAAVKKYQFDIQVKNVSRLCHAKPIVTVNGRFPGPTIYVREGDRVIVNVTNHAKYNMSIHWHGLKQYRNGWADGPAYITQCPIKTGNSYSYDFNVTGQRGTLWWHAHILWLRATVYGAIVIMPKEGTPFPFPHPNMEQIIILGEWWHKDVEEIVKQGTNMGLPPNMSDAHTINGKPGPLFPCSEKHTFAMEVESGKTYLLRIINAALNDELFFAIAGHNMTVVEVDAVYTKPFITQAILIAPGQTTNVLVRANQMPGRYFMASRPFMDAPLPIDNKTATGILQYKGIPNTVLPTLPQLPDPNDTTFALSYNKKLRSLNSAKFPANVPLKVDRKLFYTIGFGKDTCPTCLNGTRLLASLNNISFVMPEVGLLQAHYFNMKGVFRTDFPDKPPKPFNYTGAPLTANLGTAHGTRLSKIAFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPVKDPAKYNLVDPVERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTGWGLKMAFVVEDGPGADQSILPAPKDLPPC >EOX95717 pep chromosome:Theobroma_cacao_20110822:1:35354877:35357679:1 gene:TCM_005156 transcript:EOX95717 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MDILILFSFLFPLVYAAERCSLTNCGNNEILIRFPFRLESKQPEYCGYPGFNLGCKSQSTIVLNLPYSGEFFVRDINFLNQQIYLYDPDNCLPKRLLSFNLSGSPFVAPFHQNYTFLNCPTQITKSRFTTIDCLSNSTNSVLATSSISLANSMAESCQIITTLRIPVSWPAQYDEALSSELDEDIELTWHAPQCGDCESEGGICGFKSIGSEEVDCFHLPKSGRSGNGLQVFRIICLSIAVPVLSCAIGIAVFACCLDSRRRNRGNSTQQNTGHSAVTPQPTLVVTGLDQSTIESYQKLVLGESRRLPGPNDSTCPICLSEYLSKDTIRCIPECNHCFHAECIDEWLRMNSTCPVCRYSPFPVHAGSHTDIV >EOX92511 pep chromosome:Theobroma_cacao_20110822:1:7235557:7249489:-1 gene:TCM_001455 transcript:EOX92511 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 1 MNSYLSKPIAFIEAPTIFCSSSKTLLIKFPYSFSGNKSFKNSFKPKLTFIKRKNLTITASNASTSSSSSDSAVASNAVEEEDAESIQLFEKLKDAERQRINKLEELERKADLQLERQLVMASCWSRALLTMRGKLKGTEWDPESSHRIDFSDFMGLLNANNVQFMEYSNYGQTISVILPYYKDRKMDRGGGSSKNEIIFRRHVVDRMPIDCWNDVWKKLHEQIVNVDVLNVDTVPAEVYSTIATAVIWSMRLALSIALYLWIDNLMRPIYAKLIPCDLGAPSKKIREPLKRRALGSLGKSRAKFISAEERTGVTFDDFAGQEYIKRELQEIVRILKNEDEFQNKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFANARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKVSTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKFFRSEEEKEALLEEVAVLTEDFTGAELQNILNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDSTEIPEELKLRLAYREAAVAVLACYFPDPYRPFTETDIKSIHSQPNMRYAEFSGKVFLRKSDYINSIVRACAPRVIEEEMFGVDNMCWISAKATLEASRVAEFLILQTGMTAFGKAFYRNQNDLVPNLAAKLEALRDEYIRFSVEKCASVLREFHSAVETITDILLEKGEIKAEEIWDIYNRAPRISQPTVNPVDEYGALIYAGRWGIHGITCPGRATFAPGNAGFATFGAPRPMERLEPLVMKLGS >EOX92509 pep chromosome:Theobroma_cacao_20110822:1:7236253:7251629:-1 gene:TCM_001455 transcript:EOX92509 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 1 MRIPVLGNCQTHFQKQSPTTPQGNIQEKSIKILSLASAPTIFCSSSKTLLIKFPYSFSGNKSFKNSFKPKLTFIKRKNLTITASNASTSSSSSDSAVASNAVEEEDAESIQLFEKLKDAERQRINKLEELERKADLQLERQLVMASCWSRALLTMRGKLKGTEWDPESSHRIDFSDFMGLLNANNVQFMEYSNYGQTISVILPYYKDRKMDRGGGSSKNEIIFRRHVVDRMPIDCWNDVWKKLHEQIVNVDVLNVDTVPAEVYSTIATAVIWSMRLALSIALYLWIDNLMRPIYAKLIPCDLGAPSKKIREPLKRRALGSLGKSRAKFISAEERTGVTFDDFAGQEYIKRELQEIVRILKNEDEFQNKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFANARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKVSTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKFFRSEEEKEALLEEVAVLTEDFTGAELQNILNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDSTEIPEELKLRLAYREAAVAVLACYFPDPYRPFTETDIKSIHSQPNMRYAEFSGKVFLRKSDYINSIVRACAPRVIEEEMFGVDNMCWISAKATLEASRVAEFLILQTGMTAFGKAFYRNQNDLVPNLAAKLEALRDEYIRFSVEKCASVLREFHSAVETITDILLEKGEIKAEEIWDIYNRAPRISQPTVNPVDEYGALIYAGRWGIHGITCPGRATFAPGNAGFATFGAPRPMETRTISDETWKLIDNIWDKRVEEIKAEASMEVEEDKEKPQLLMASHFL >EOX92510 pep chromosome:Theobroma_cacao_20110822:1:7235557:7249489:-1 gene:TCM_001455 transcript:EOX92510 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 1 MNSYLSKPIAFIEAPTIFCSSSKTLLIKFPYSFSGNKSFKNSFKPKLTFIKRKNLTITASNASTSSSSSDSAVASNAVEEEDAESIQLFEKLKDAERQRINKLEELERKADLQLERQLVMASCWSRALLTMRGKLKGTEWDPESSHRIDFSDFMGLLNANNVQFMEYSNYGQTISVILPYYKDRKMDRGGGSSKNEIIFRRHVVDRMPIDCWNDVWKKLHEQIVNVDVLNVDTVPAEVYSTIATAVIWSMRLALSIALYLWIDNLMRPIYAKLIPCDLGAPSKKIREPLKRRALGSLGKSRAKFISAEERTGVTFDDFAGQEYIKRELQEIVRILKNEDEFQNKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFANARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKVSTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKFFRSEEEKEALLEEVAVLTEDFTGAELQNILNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDSTEIPEELKLRLAYREAAVAVLACYFPDPYRPFTETDIKSIHSQPNMRYAEFSGKVFLRKSDYINSIVRACAPRVIEEEMFGVDNMCWISAKATLEASRVAEFLILQTGMTAFGKAFYRNQNDLVPNLAAKLEALRDEYIRFSVEKCASVLREFHSAVETITDILLEKGEIKAEEIWDIYNRAPRISQPTVNPVDEYGALIYAGRWGIHGITCPGRATFAPGNAGFATFGAPRPMETRTISDETWKLIDNIWDKRVEEIKAEASMEVEEDKEKPQLLMASHFL >EOX93972 pep chromosome:Theobroma_cacao_20110822:1:17901319:17906178:-1 gene:TCM_002987 transcript:EOX93972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 1 MSDHNPKEPNINTLSIDLTELILSSLPIPSLLRASSVCKLWHSLISSPSFTSPSLSHPWFFLFGLHNTSSKNNQSFAFDPLSNTWFRLPHLDDSSSSSLLGSNGFFFTTTPKFSYTPVLKTTWRLTSPLKYSRINPLVGVFLDGSSGGYGFKFIVVGGVRFIGGLVDIEDRLAVEIYDPNHDSWELCPALPADFRTGNSSQSLSSALFKGKFYVFGIYSCFVSSFDLQDHVWSEVQTLRPPGVIFSFLISCNEMLVLAGMCNAPRGPSFNLWKIDERTMEFSEIAIMPQSLLYSLVDSEEDEKFASLKCVGMGNLIYVFNEEYHQKYPACLCEISAENGKCSWRRVPQLPSPVNKFHKVISFCSTVSLNHIFRQQEDVGRPQAMYH >EOX93971 pep chromosome:Theobroma_cacao_20110822:1:17900961:17906143:-1 gene:TCM_002987 transcript:EOX93971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 1 MSDHNPKEPNINTLSIDLTELILSSLPIPSLLRASSVCKLWHSLISSPSFTSPSLSHPWFFLFGLHNTSSKNNQSFAFDPLSNTWFRLPHLDDSSSSSLLGSNGFFFTTTPKFSYTPVLKTTWRLTSPLKYSRINPLVGVFLDGSSGGYGFKFIVVGGVRFIGGLVDIEDRLAVEIYDPNHDSWELCPALPADFRTGNSSQSLSSALFKGKFYVFGIYSCFVSSFDLQDHVWSEVQTLRPPGVIFSFLISCNEMLVLAGMCNAPRGPSFNLWKIDERTMEFSEIAIMPQSLLYSLVDSEEDEKFASLKCVGMGNLIYVFNEEYHQKYPACLCEISAENGKCSWRRVPQLPSPVNKFHKVISFCSTVSLNHIFRQQEDVGRPQAMYH >EOX94435 pep chromosome:Theobroma_cacao_20110822:1:28664642:28671741:-1 gene:TCM_004020 transcript:EOX94435 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MDTTSVQCLINSISRFIHLVSCQTIKVVPVEKDYRYMVVVLKLLKPLLDDVVDREIPSDEILCKECEELDLVVNEAREFMENWSPKMSKIRRVLQSEPFLIKMQSSSLQICHMIYKMLQSSPSTSSITSVQNCMREIKCLKQERVSENIEEALRSQRNDAIPCPDHLVEVIKSLNLTSNQELLKETVAVEKERMNAQVNNAKGKLDQINQIVDLISHVRDYLLKIEHFEPTTGVLIPPHFLCPLSLELMLDPVIVASGQTYDRASIQKWLDNGLTICPKTHQTLTHTNLIPNYMVKAMVASWCEENNLQLSNNSGHAKLISISSPSNYISSQDFTHTDNCHCFANSSSSTSRSSLEVGIGLEKQKIDITSRFNGECNRCQSREIDKGDHHSPDQSYFHSRTESALSEISSLDYVPPASNDLSRRSKKHETGNELAEISSQGLSTFPSTKESGFSPWVTGKQFHVSGTKVQEAVNGNHKFNSASSISFSGSGCDDLTTSSHVKKLVDNLKSLSNEVQTTAAAELRLLAKHNMDNRIIIGRCGAIAPLLSLLYSEVKLTQEHAVTALLNLSINEDNKAMIAKSGAIEPLIHVLKSGNDGARENSAAALFSLSVLEEYKARIGRSGAVKALVNLLGSGTLRGKKDAVTALFNLSIFHENKARIVQAGAVKYLVELMDPDSGMVDKAVALLSNLSTIGEGRLAIVREGGIPVLVEAIESGSQRGKENAASVLLQLCLNSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRNQREGATGKGKT >EOX94436 pep chromosome:Theobroma_cacao_20110822:1:28665701:28671741:-1 gene:TCM_004020 transcript:EOX94436 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MVVVLKLLKPLLDDVVDREIPSDEILCKECEELDLVVNEAREFMENWSPKMSKIRRVLQSEPFLIKMQSSSLQICHMIYKMLQSSPSTSSITSVQNCMREIKCLKQERVSENIEEALRSQRNDAIPCPDHLVEVIKSLNLTSNQELLKETVAVEKERMNAQVNNAKGKLDQINQIVDLISHVRDYLLKIEHFEPTTGVLIPPHFLCPLSLELMLDPVIVASGQTYDRASIQKWLDNGLTICPKTHQTLTHTNLIPNYMVKAMVASWCEENNLQLSNNSGHAKLISISSPSNYISSQDFTHTDNCHCFANSSSSTSRSSLEVGIGLEKQKIDITSRFNGECNRCQSREIDKGDHHSPDQSYFHSRTESALSEISSLDYVPPASNDLSRRSKKHETGNELAEISSQGLSTFPSTKESGFSPWVTGKQFHVSGTKVQEAVNGNHKFNSASSISFSGSGCDDLTTSSHVKKLVDNLKSLSNEVQTTAAAELRLLAKHNMDNRIIIGRCGAIAPLLSLLYSEVKLTQEHAVTALLNLSINEDNKAMIAKSGAIEPLIHVLKSGNDGARENSAAALFSLSVLEEYKARIGRSGAVKALVNLLGSGTLRGKKDAVTALFNLSIFHENKARIVQAGAVKYLVELMDPDSGMVDKAVALLSNLSTIGEGRLAIVREGGIPVLVEAIESGSQRGKENAASVLLQLCLNSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRNQREGATGKGKT >EOX93721 pep chromosome:Theobroma_cacao_20110822:1:15427014:15429849:-1 gene:TCM_002647 transcript:EOX93721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein isoform 2 MEFESEEAAKVFYDAYATRVGFIMRVDAFRRSMRDGKVVWRRLVCNKEGFRKLRPRRSENRKPRAITREGCKAMIVVKKEKTGKWVVTRFVKEHNHQLVPIPTSGRRSMLLSQTPDEKDVKIRELTAELQRERKRSAAFQEQLDMVLREMEEHSNQLSRNIDDIVQSVREIESKRVALSQS >EOX93720 pep chromosome:Theobroma_cacao_20110822:1:15427126:15429955:-1 gene:TCM_002647 transcript:EOX93720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein isoform 2 GLELSRKASKPTLSSTPFSLLYFSLQSLCLNPKIHYAVPCASLDFTLDLQSMDENPSIEEAENETVQISDGKELDTTEGTSDEEPYVGMEFESEEAAKVFYDAYATRVGFIMRVDAFRRSMRDGKVVWRRLVCNKEGFRKLRPRRSENRKPRAITREGCKAMIVVKKEKTGKWVVTRFVKEHNHQLVPIPTSGRRSMLLSQTPDEKDVKIRELTAELQRERKRSAAFQEQLDMVLREMEEHSNQLSRNIDDIVQSVREIESKRVALSQS >EOX93121 pep chromosome:Theobroma_cacao_20110822:1:10617414:10690656:1 gene:TCM_001963 transcript:EOX93121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene desaturation 1 isoform 2 MGKETLSNSSQEFKLVGFSKFVRTNPKSDRFNVKRFHHIEFWCSDATNTARRFSWGLGMQLLAKSDLSTGNLTHASYLLRSGDLHFLFTAPYSPSIAHSQSLSPLSTASIPTFDHSACRSFSSSHGLAVRAIAIEVEDAETAFTTSVSHGALPSSPPVVLGDNVTLSEVKLYGDVVLRYISHNKNNDHDFFFLPGFEKIEDNLSYPLDYGIRRLDHAVGNVPELGPAVSYVKSFTGFHEFAEFTAEDVGTTESGLNSLVLANNDEMVLLPMNEPVFGTKRKSQIQTYLEHNEGAGVQHLALVSEDIFKTLREMRKRSLVGGFEFMPSPPPTYYKNLKTRAGDVLSDEQIKDCEELGILVDRDDQGTLLQIFTKPVGDRPTFFLEIIQRVGCMLKDE >EOX93118 pep chromosome:Theobroma_cacao_20110822:1:10617243:10621240:1 gene:TCM_001963 transcript:EOX93118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene desaturation 1 isoform 2 MGKETLSNSSQEFKLVGFSKFVRTNPKSDRFNVKRFHHIEFWCSDATNTARRFSWGLGMQLLAKSDLSTGNLTHASYLLRSGDLHFLFTAPYSPSIAHSQSLSPLSTASIPTFDHSACRSFSSSHGLAVRAIAIEVEDAETAFTTSVSHGALPSSPPVVLGDNVTLSEVKLYGDVVLRYISHNKNNDHDFFFLPGFEKIEDNLSYPLDYGIRRLDHAVGNVPELGPAVSYVKSFTGFHEFAEFTAEDVGTTESGLNSLVLANNDEMVLLPMNEPVFGTKRKSQIQTYLEHNEGAGVQHLALVSEDIFKTLREMRKRSLVGGFEFMPSPPPTYYKNLKTRAGDVLSDEQIKDCEELGILVDRDDQGTLLQIFTKPVGDRPTIFIEIIQRVGCMLKDEQGKQYQKGGCGGFGKGNFSELFKSIEEYEKTLGAKQIAESATA >EOX93119 pep chromosome:Theobroma_cacao_20110822:1:10617479:10620753:1 gene:TCM_001963 transcript:EOX93119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene desaturation 1 isoform 2 MGKETLSNSSQEFKLVGFSKFVRTNPKSDRFNVKRFHHIEFWCSDATNTARRFSWGLGMQLLAKSDLSTGNLTHASYLLRSGDLHFLFTAPYSPSIAHSQSLSPLSTASIPTFDHSACRSFSSSHGLAVRAIAIEVEDAETAFTTSVSHGALPSSPPVVLGDNVTLSEVKLYGDVVLRYISHNKNNDHDFFFLPGFEKIEDNLSYPLDYGIRRLDHAVGNVPELGPAVSYVKSFTGFHEFAEFTAEDVGTTESGLNSLVLANNDEMVLLPMNEPVFGTKRKSQIQTYLEHNEGAGVQHLALVSEDIFKTLREMRKRSLVGGFEFMPSPPPTYYKNLKTRAGDVLSDEQIKDCEELGILVDRDDQGTLLQIFTKPVGDSWELFGRPTIFIEIIQRVGCMLKDEQGKQYQKGGCGGFGKGNFSELFKSIEEYEKTLGAKQIAESATA >EOX93120 pep chromosome:Theobroma_cacao_20110822:1:10617243:10619761:1 gene:TCM_001963 transcript:EOX93120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene desaturation 1 isoform 2 MGKETLSNSSQEFKLVGFSKFVRTNPKSDRFNVKRFHHIEFWCSDATNTARRFSWGLGMQLLAKSDLSTGNLTHASYLLRSGDLHFLFTAPYSPSIAHSQSLSPLSTASIPTFDHSACRSFSSSHGLAVRAIAIEVEDAETAFTTSVSHGALPSSPPVVLGDNVTLSEVKLYGDVVLRYISHNKNNDHDFFFLPGFEKIEDNLSYPLDYGIRRLDHAVGNVPELGPAVSYVKSFTGFHEFAEFTAEDVGTTESGLNSLVLANNDEMVLLPMNEPVFGTKRKSQIQTYLEHNEGAGVQHLALVSEDIFKTLREMRKRSLVGGFEFMPSPPPTYYKNLKTRAGDVLSDEQIKDCEELGILVDRDDQGTLLQIFTKPVGDRDNSESWVHAQG >EOX94919 pep chromosome:Theobroma_cacao_20110822:1:32018736:32023922:-1 gene:TCM_004518 transcript:EOX94919 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing DNA repair-like protein isoform 2 MADYHKLTDTLLFESQSPSGSRLNEDGYGELQNTSVFDDTIVLDSPLNENQTQLENVCFDTEVVDDDDLGNMEDVKAGQLLCEFDMEVVLDSEDEGVRKTKSESFVDGKITGRLEEKEVDLPKRERQTQSLDADAVDKQFGAGKKGFSVNTDRSHGKKDVTRLSVCDHETGRLESVCSQEPEESSQANALCFVDNFLTFNDADMCQRVEERTGARKKSPLVSTAKGTQRLARIINRGSPVKEMVTFEWFESDQHGETDSFSRRMTASSEFGDFRQRTDKRHQNLHSKKERGLSNDHEEKKESVNLDKDIRCPSHSHSSFMEQGSKVSTKIEQESERNLINGSVKELDNLMRKESPWEKVEASGTAGDIPDMFDVGIGTQIAAEAMEALFYGPPIGCKAGDICEGPEDFHTDFPEDKTKSRTDLEQHSLQKPAASELGDKAKQSIRRKRSTRRYSKEVFNSSWNCNYQVLHHTIKPKPCKSKQSEAHAVSSNNLKKCVSCVSPSIPDEQTLFRKQLSRKEPVIDQTRHWDGASMKGTKDQPAKHRVMTNNVKEGRMLIYKRKRKRVVADPPKLLNGKQKCSTLHSNTSAQALDGKLSEQEKISPQEAAIARFLRLIPKGKRTRRKVPVHYGGASNMLASLTSVGTEEHNLHSVRSQKMPEDDETTFNNFNMKGKKCSAISLLSLEHNSDESLSRRNCNEQIAGIVTNSDSAVTSTRISASNLDRSKTVQTGKLDYMDSTLVINGSENYSFGILQKKSVESSGTECNTRVSCRESVNETSFNNMPYVYHRRHCNKNLPKPSILKELNGLGVPDSISDFTRKGFRTRREVAFVRVLFSQHLGDDIIKQQKKISARLGISITSCSMDATHFIADEFVRTKNMLEAIALGKPVVTHLWLDSCGQASCLLDEKNYMLRDSKKEKEIGFSMPVSLARARQYPLLKGRRVCITQNVKPNKEMITSLVRAVGGEVVGTSQKLAAKDQKIPDDLLILSCEEDLAICGPLLDKGAAVYSSELLLSGIVIQKLEYERHQLLVKFVKEKRKISEGNRHSRRLSKR >EOX94918 pep chromosome:Theobroma_cacao_20110822:1:32019592:32023712:-1 gene:TCM_004518 transcript:EOX94918 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing DNA repair-like protein isoform 2 MADYHKLTDTLLFESQSPSGSRLNEDGYGELQNTSVFDDTIVLDSPLNENQTQLENVCFDTEVVDDDDLGNMEDVKAGQLLCEFDMEVVLDSEDEGVRKTKSESFVDGKITGRLEEKEVDLPKRERQTQSLDADAVDKQFGAGKKGFSVNTDRSHGKKDVTRLSVCDHETGRLESVCSQEPEESSQANALCFVDNFLTFNDADMCQRVEERTGARKKSPLVSTAKGTQRLARIINRGSPVKEMVTFEWFESDQHGETDSFSRRMTASSEFGDFRQRTDKRHQNLHSKKERGLSNDHEEKKESVNLDKDIRCPSHSHSSFMEQGSKVSTKIEQESERNLINGSVKELDNLMRKESPWEKVEASGTAGDIPDMFDVGIGTQIAAEAMEALFYGPPIGCKAGDICEGPEDFHTDFPEDKTKSRTDLEQHSLQKPAASELGDKAKQSIRRKRSTRRYSKEVFNSSWNCNYQVLHHTIKPKPCKSKQSEAHAVSSNNLKKCVSCVSPSIPDEQTLFRKQLSRKEPVIDQTRHWDGASMKGTKDQPAKHRVMTNNVKEGRMLIYKRKRKRVVADPPKLLNGKQKCSTLHSNTSAQALDGKLSEQEKISPQEAAIARFLRLIPKGKRTRRKVPVHYGGASNMLASLTSVGTEEHNLHSVRSQKMPEDDETTFNNFNMKGKKCSAISLLSLEHNSDESLSRRNCNEQIAGIVTNSDSAVTSTRISASNLDRSKTVQTGKLDYMDSTLVINGSENYSFGILQKKSVESSGTECNTRVSCRESVNETSFNNMPYVYHRRHCNKNLPKPSILKELNGLGVPDSISDFTRKGFRTRREVAFVRVLFSQHLGDDIIKQQKKISARLGISITSCSMDATHFIADEFVRTKNMLEAIALGKPVVTHLWLDSCGQASCLLDEKNYMLRDSKKEKEIGFSMPVSLARARQYPLLKGRRVCITQNVKPNKEMITSLVRAVGGEVVGTSQKLAAKDQKIPDDLLILSCEEDLAICGPLLDKGAAVYSSELLLSGIVIQKLEYERAIIMLFA >EOX91415 pep chromosome:Theobroma_cacao_20110822:1:2641855:2646273:1 gene:TCM_000619 transcript:EOX91415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of white apricot, putative isoform 2 MDEEGLQHVNQEMEAKVTAPFQSDRSQPAQPANKGSYSQVGFSYDGNGKEEANFSDIDEDEEEEDEEEEEDDEFNSDDSNDEGMDIIAKEFGVKRYGWLVYMDKKAKEEEKRQKEVIKGDPAIRKLSRKERRKASQIERERERETARITGTRVLHNDPYREPRRSPTYEAYPRSRRSRSRSRSYSPSYSRRHARGGYSDDSHRSKPKAPKIEYITEFGGSGDGDGPKLEGFSPPSSPPSQADMLNRPSSGHILEALHVDPASGVSLDKEKSSKVSKPAVSTTSALAKLTKGSTSGGPSKQVQGEKKETPQERLKRIMNRQLNKQIKKDTAAEMAKKREQERQRLEKLAETSRLSRQRHRSRSRSYSHSPPRRYRRSRSPSRSRSSRRYYSRSRSRSRSRSHSYSRSRSRSNSCSPRVRSRSRY >EOX91414 pep chromosome:Theobroma_cacao_20110822:1:2641644:2646915:1 gene:TCM_000619 transcript:EOX91414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of white apricot, putative isoform 2 MWHEARRSERKVHDMMDAARKRAQRRAIFLAKRRGDPQQSIQVIGSRCRIHRDDALYHASEDQQGLIPWNGKQDVLIDRFDGRALLDFIREPGTRHFRNQEKSEEEKEVEEFVNFERYRDLIKHRRRGFMDEEGLQHVNQEMEAKVTAPFQSDRSQPAQPANKGSYSQVGFSYDGNGKEEANFSDIDEDEEEEDEEEEEDDEFNSDDSNDEGMDIIAKEFGVKRYGWLVYMDKKAKEEEKRQKEVIKGDPAIRKLSRKERRKASQIERERERETARITGTRVLHNDPYREPRRSPTYEAYPRSRRSRSRSRSYSPSYSRRHARGGYSDDSHRSKPKAPKIEYITEFGGSGDGDGPKLEGFSPPSSPPSQADMLNRPSSGHILEALHVDPASGVSLDKEKSSKVSKPAVSTTSALAKLTKGSTSGGPSKQVQGEKKETPQERLKRIMNRQLNKQIKKDTAAEMAKKREQERQRLEKLAETSRLSRQRHRSRSRSYSHSPPRRYRRSRSPSRSRSSRRYYSRSRSRSRSRSHSYSRSRSRSNSCSPRVRSRSRY >EOX92348 pep chromosome:Theobroma_cacao_20110822:1:6397418:6400786:-1 gene:TCM_001302 transcript:EOX92348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleotide reductase 1 isoform 1 MFWKWVVNKCRIKIMYNHFNERSGLKASLIADDVYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVIERPQHMLMRVAVGIHKDDIDSAIKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLVCMKDDSIEGIYDTLKECAVISKSAGGIGVSVQNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQSNGQWSLFCPNEAPGLADCWGEEFEKLYTHYEREGKAKKVAQAQNLWFEILKSQIETGTPYMLFKDTCNRKSNQQNLGTIKSSNLCTEVIEYTSPTETAVCNLASIALPRYVREKGVPLESHPSKLVGSRGSQNRYFDFDKLGEVTEIVARNLNKIIDVNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMAFDSPEAQQLNKDIFETIYYHALKASCDIAEKEGPYETYNGSPVSKGILQPDMWDVTPSDRWNWKALRDRIAKSGVRNSLLLAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSPVVKNNIIHEDGSVQNIPEIPHELKAI >EOX92350 pep chromosome:Theobroma_cacao_20110822:1:6397416:6401779:-1 gene:TCM_001302 transcript:EOX92350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleotide reductase 1 isoform 1 MYVVKRDGRQEAVHFDKITARLKKLSYGLSIDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYASNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVIERPQHMLMRVAVGIHKDDIDSAIKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLVCMKDDSIEGIYDTLKECAVISKSAGGIGVSVQNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQSNGQWSLFCPNEAPGLADCWGEEFEKLYTHYEREGKAKKVAQAQNLWFEILKSQIETGTPYMLFKDTCNRKSNQQNLGTIKSSNLCTEVIEYTSPTETAVCNLASIALPRYVREKGVPLESHPSKLVGSRGSQNRYFDFDKLGEVTEIVARNLNKIIDVNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMAFDSPEAQQLNKDIFETIYYHALKASCDIAEKEGPYETYNGSPVSKGILQPDMWDVTPSDRWNWKALRDRIAKSGVRNSLLLAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSPVVKNNIIHEDGSVQNIPEIPHELKAI >EOX92347 pep chromosome:Theobroma_cacao_20110822:1:6395770:6401794:-1 gene:TCM_001302 transcript:EOX92347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleotide reductase 1 isoform 1 MYVVKRDGRQEAVHFDKITARLKKLSYGLSIDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYASLAARIVVSNLHKNTKKSFSETIKIMYNHFNERSGLKASLIADDVYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVIERPQHMLMRVAVGIHKDDIDSAIKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLVCMKDDSIEGIYDTLKECAVISKSAGGIGVSVQNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQSNGQWSLFCPNEAPGLADCWGEEFEKLYTHYEREGKAKKVAQAQNLWFEILKSQIETGTPYMLFKDTCNRKSNQQNLGTIKSSNLCTEVIEYTSPTETAVCNLASIALPRYVREKGVPLESHPSKLVGSRGSQNRYFDFDKLGEVTEIVARNLNKIIDVNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMAFDSPEAQQLNKDIFETIYYHALKASCDIAEKEGPYETYNGSPVSKGILQPDMWDVTPSDRWNWKALRDRIAKSGVRNSLLLAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSPVVKNNIIHEDGSVQNIPEIPHELKAIYRTVWEIKQKTLVDMAVDRGCYIDQSQSLNIHMDQPNFGKLTSLHFYAWSKGLKTGMYYLRSRAAADAIKFTVDTSMLKEKPKVEDDDTKMAQMVCSLTNREECMACGS >EOX92349 pep chromosome:Theobroma_cacao_20110822:1:6397012:6401779:-1 gene:TCM_001302 transcript:EOX92349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleotide reductase 1 isoform 1 MYVVKRDGRQEAVHFDKITARLKKLSYGLSIDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYASLKVQGKVIERPQHMLMRVAVGIHKDDIDSAIKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLVCMKDDSIEGIYDTLKECAVISKSAGGIGVSVQNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQSNGQWSLFCPNEAPGLADCWGEEFEKLYTHYEREGKAKKVAQAQNLWFEILKSQIETGTPYMLFKDTCNRKSNQQNLGTIKSSNLCTEVIEYTSPTETAVCNLASIALPRYVREKGVPLESHPSKLVGSRGSQNRYFDFDKLGEVTEIVARNLNKIIDVNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMAFDSPEAQQLNKDIFETIYYHALKASCDIAEKEGPYETYNGSPVSKGILQPDMWDVTPSDRWNWKALRDRIAKSGVRNSLLLAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSPVVKNNIIHEDGSVQNIPEIPHELKAIYRTVWEIKQKTLVDMAVDRGCYIDQSQSLNIHMDQPNFGKLTSLHFYAWSKVLTLGLCSDYTNVNASGCIILLIHCFYSMSLTI >EOX93806 pep chromosome:Theobroma_cacao_20110822:1:16042205:16044619:-1 gene:TCM_002744 transcript:EOX93806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MPVAFHRLLTSTLQKTPKNLHSSPLPAVFSFHFTRPSLYHRTFSIPPQSPASPFLRPRTRTPLETQFETWIQKLKPGFTTADVDAALRAQPDADLALDIFRWTALQRGYKHTDATYLTIIKLLISAKRYRHAETLIEEVIAGACPISVPLYNTIIRFCCGRKFLFNRAFDVYKKMLKSDDCKPTLETFTLLFNSLLRRFNRQNVCHVYLHAVRSLTKQMKALGIIPDTFVLNMIIKAYSKCLAIDEAIRVFREMGLYGCEPNAYTYGYIFKGLCEKGRVAQGFGLFKEMRDKGLVPKGSAYMILICSLAMEQRLDDAVDVVSDMLANSMAPDLLTYKTVLEEFCRRGRSHDAFELLEDWKKRDLSMGQKNYRILVNALHINN >EOX92939 pep chromosome:Theobroma_cacao_20110822:1:9503098:9514129:1 gene:TCM_001799 transcript:EOX92939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase isoform 1 MLMLVQFCSSFMGNRLSGPFPKVFTYITSLRNLSIEGNNFSGPIPPDIGKLINLQKLILSSNAFNGELPPELANLVNLTDMRISDNNFSGKIPDIISNWKQIQKLQIQGCSLEGPIPSSISALTSLSDLRISDLKGRGSPFPPLHNMDSLKTLILRNCLIYGEIPAYIGDMKKLKTLDISYNNLTGEIPGSFFKLTKTDFLYLTGNQLTGSVPGWILERNKNADISHNNFTWETSSPIECPRGSVNLVESYSTSATKLSRVPACLKHNFPCSASPDKYSLHINCGGKELNVNGNAKYEADREPRGASMFYLGQHWALSSTGNFMDNDIDADDYIVTNTSALSNVSAIHSELYTTARVSPLSLTYYALCLMNGNYTVNLHFAEIIFINDRSFYSLGKRIFDVYIQEELVLKDFNIEDEAGDTGKHIVKNFTAVVTRHTLKIRLYWAGRGTTGIPARGMYGPLISAISVVPNFQPPTVDDDRNDLIVVVGAVSAAILIVLMVLSVMWRKGWLGGKISAEKELRCLDLQTGIFSLRQIKAATKNFNAENKIGEGGFGCVYRGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCVEGNQLLLVYEYMENNCLSRALFGKDATHKLKLDWPTRQKICLGIARGLAYLHEESRIKIVHRDIKTSNVLLDKNLNAKISDFGLAKLNEDDKTHISTRIAGTIGYMAPEYAMRGYLTNKADIYSFGVVALEIVSGKSNTNYRPNEDFVYLLDWGLCFERAGKFVGVG >EOX92938 pep chromosome:Theobroma_cacao_20110822:1:9503098:9514129:1 gene:TCM_001799 transcript:EOX92938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase isoform 1 MGNRLSGPFPKVFTYITSLRNLSIEGNNFSGPIPPDIGKLINLQKLILSSNAFNGELPPELANLVNLTDMRISDNNFSGKIPDIISNWKQIQKLQIQGCSLEGPIPSSISALTSLSDLRISDLKGRGSPFPPLHNMDSLKTLILRNCLIYGEIPAYIGDMKKLKTLDISYNNLTGEIPGSFFKLTKTDFLYLTGNQLTGSVPGWILERNKNADISHNNFTWETSSPIECPRGSVNLVESYSTSATKLVPACLKHNFPCSASPDKYSLHINCGGKELNVNGNAKYEADREPRGASMFYLGQHWALSSTGNFMDNDIDADDYIVTNTSALSNVSAIHSELYTTARVSPLSLTYYALCLMNGNYTVNLHFAEIIFINDRSFYSLGKRIFDVYIQEELVLKDFNIEDEAGDTGKHIVKNFTAVVTRHTLKIRLYWAGRGTTGIPARGMYGPLISAISVVPNFQPPTVDDDRNDLIVVVGAVSAAILIVLMVLSVMWRKGWLGGKISAEKELRCLDLQTGIFSLRQIKAATKNFNAENKIGEGGFGCVYRGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCVEGNQLLLVYEYMENNCLSRALFGKDATHKLKLDWPTRQKICLGIARGLAYLHEESRIKIVHRDIKTSNVLLDKNLNAKISDFGLAKLNEDDKTHISTRIAGTIGYMAPEYAMRGYLTNKADIYSFGVVALEIVSGKSNTNYRPNEDFVYLLDWAYVLRERGSLLELVDPALGSEYSSEEAMVMLNVALLCTNASPTLRPTMSQVVSMLEGRTAVQDILSDPGFSSINSKFKALVNHFWQNPSQTISLSSNGPKTNSSSSNIEEAEDNSQLLRFSSVQSEV >EOX92937 pep chromosome:Theobroma_cacao_20110822:1:9497082:9514375:1 gene:TCM_001799 transcript:EOX92937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase isoform 1 MGRANLTTPNLLLLVNLSCFVALVLFATFGLAAAAATTPSLHPEEVKALKAIGKRLGKKDWDFGVEPCSGKGNWIVQGDEETGFASNVTCSCSFNNYKTCHVVSIVLTALNISATLPPEFSKFRHLKLLDLSRNYFTGSIPQEWATMKLEVLSFMGNRLSGPFPKVFTYITSLRNLSIEGNNFSGPIPPDIGKLINLQKLILSSNAFNGELPPELANLVNLTDMRISDNNFSGKIPDIISNWKQIQKLQIQGCSLEGPIPSSISALTSLSDLRISDLKGRGSPFPPLHNMDSLKTLILRNCLIYGEIPAYIGDMKKLKTLDISYNNLTGEIPGSFFKLTKTDFLYLTGNQLTGSVPGWILERNKNADISHNNFTWETSSPIECPRGSVNLVESYSTSATKLSRVPACLKHNFPCSASPDKYSLHINCGGKELNVNGNAKYEADREPRGASMFYLGQHWALSSTGNFMDNDIDADDYIVTNTSALSNVSAIHSELYTTARVSPLSLTYYALCLMNGNYTVNLHFAEIIFINDRSFYSLGKRIFDVYIQEELVLKDFNIEDEAGDTGKHIVKNFTAVVTRHTLKIRLYWAGRGTTGIPARGMYGPLISAISVVPNFQPPTVDDDRNDLIVVVGAVSAAILIVLMVLSVMWRKGWLGGKISAEKELRCLDLQTGIFSLRQIKAATKNFNAENKIGEGGFGCVYRGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCVEGNQLLLVYEYMENNCLSRALFGKDATHKLKLDWPTRQKICLGIARGLAYLHEESRIKIVHRDIKTSNVLLDKNLNAKISDFGLAKLNEDDKTHISTRIAGTIGYMAPEYAMRGYLTNKADIYSFGVVALEIVSGKSNTNYRPNEDFVYLLDWAYVLRERGSLLELVDPALGSEYSSEEAMVMLNVALLCTNASPTLRPTMSQVVSMLEGRTAVQDILSDPGFSSINSKFKALVNHFWQNPSQTISLSSNGPKTNSSSSNIEEAEDNSQLLRFSSVQSEV >EOX95804 pep chromosome:Theobroma_cacao_20110822:1:35624159:35624821:-1 gene:TCM_005216 transcript:EOX95804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein MPKNKGKGGKNRKRGKNEADDEKRELIFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIAGGIDEEDDGAADDYIEFEDEDIDKI >EOX95080 pep chromosome:Theobroma_cacao_20110822:1:32831401:32833895:-1 gene:TCM_004654 transcript:EOX95080 gene_biotype:protein_coding transcript_biotype:protein_coding description:PETER PAN-like protein isoform 3 MARFRNRKKKVFVKPIVKKKRNNLKDFLNVAGPMGVTHFLILSKTETSPFLRVAKTPQGPTLTFKIHEYSLAVDIAQSQLRPRCPQDLFKNSPLIVLSGFATGDEHLKLTTTLFKNIFPDIDINTVKLSSCQRIVLLNYNKDTKLIDFRHYSIRLQPVGVSRRIRKFVQNHQVPDLRNLQDVSDFVTKSGYGSESEADEEAATVTLTSDLSRVNRASTKSAVKLQEIGPRMTLQLIKVEGGLCSGEVMFSEYGNGGYKKKPDGQEDSEKDNEMEGSDDDNEEEDSDEDIEENEEDDEED >EOX95081 pep chromosome:Theobroma_cacao_20110822:1:32832075:32833953:-1 gene:TCM_004654 transcript:EOX95081 gene_biotype:protein_coding transcript_biotype:protein_coding description:PETER PAN-like protein isoform 3 MARFRNRKKKVFVKPIVKKKQEQQQQPNVDPITGNKIPKSFVFSRGKLPGPLRQLQMDLRKLMLPYTALKLKEKKRNNLKDFLNVAGPMGVTHFLILSKTETSPFLRVAKTPQGPTLTFKIHEYSLAVDIAQSQLRPRCPQDLFKNSPLIVLSGFATGDEHLKLTTTLFKNIFPDIDINTVKLSSCQRIVLLNYNKDTKLIDFRHYSIRLQPVGVSRRIRKFVQNHQVPDLRNLQDVSDFVTK >EOX95082 pep chromosome:Theobroma_cacao_20110822:1:32831513:32833953:-1 gene:TCM_004654 transcript:EOX95082 gene_biotype:protein_coding transcript_biotype:protein_coding description:PETER PAN-like protein isoform 3 MARFRNRKKKVFVKPIVKKKQEQQQQPNVDPITGNKIPKSFVFSRGKLPGPLRQLQMDLRKLMLPYTALKLKEKKRNNLKDFLNVAGPMGVTHFLILSKTETSPFLRVAKTPQGPTLTFKIHEYSLAVDIAQSQLRPRCPQDLFKNSPLIVLSGFATGDEHLKLTTTLFKNIFPDIDINTVKLSSCQRIVLLNYNKDTKLIDFRHYSIRLQPVGVSRRIRKFVQNHQVPDLRNLQDVSDFVTKEMVAIRKSQTVRKIVRKITKWKVVMTIMKRRIVMKILKKMRKMMKKISASAKWKGYNFHWFIKSHDMHNSSCSSHGKDAALKVREILHH >EOX95079 pep chromosome:Theobroma_cacao_20110822:1:32831399:32833951:-1 gene:TCM_004654 transcript:EOX95079 gene_biotype:protein_coding transcript_biotype:protein_coding description:PETER PAN-like protein isoform 3 MARFRNRKKKVFVKPIVKKKQEQQQQPNVDPITGNKIPKSFVFSRGKLPGPLRQLQMDLRKLMLPYTALKLKEKKRNNLKDFLNVAGPMGVTHFLILSKTETSPFLRVAKTPQGPTLTFKIHEYSLAVDIAQSQLRPRCPQDLFKNSPLIVLSGFATGDEHLKLTTTLFKNIFPDIDINTVKLSSCQRIVLLNYNKDTKLIDFRHYSIRLQPVGVSRRIRKFVQNHQVPDLRNLQDVSDFVTKSGYGSESEADEEAATVTLTSDLSRVNRASTKSAVKLQEIGPRMTLQLIKVEGGLCSGEVMFSEYGNGGYKKKPDGQEDSEKDNEMEGSDDDNEEEDSDEDIEENEEDDEED >EOX96444 pep chromosome:Theobroma_cacao_20110822:1:37827456:37830672:1 gene:TCM_005693 transcript:EOX96444 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein isoform 2 MPMILSSPLLSKPSFLSYSRVGIFSTQKKKNAFCCLSHSLKDNGVQNRYVSHQEGNSSRRFLLFLLVSSGLSPTLSSSGKTKSKNPYDEKRLLEQNKRRQKENNAPDDFPNFIREGFEVKVVASEDYKKSDSGLVYRDYEVGKGDCPKAGQQVTFHYIGYNESGRRIDSTYIQGAPARIRMGTNAVVPGFEEGIRDMRPGGKRRIIIPPELGPPVGPSTFFSSKQFEVFDIELLSIQNCQRRTIAFYSDFVCN >EOX96443 pep chromosome:Theobroma_cacao_20110822:1:37826967:37830881:1 gene:TCM_005693 transcript:EOX96443 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein isoform 2 MSIKKIHISQWKRIEQEQHNLPATEDNIRANCKTAIPAWKRSHAHDSLKSSSVEAIVSLVGIFSTQKKKNAFCCLSHSLKDNGVQNRYVSHQEGNSSRRFLLFLLVSSGLSPTLSSSGKTKSKNPYDEKRLLEQNKRRQKENNAPDDFPNFIREGFEVKVVASEDYKKSDSGLVYRDYEVGKGDCPKAGQQVTFHYIGYNESGRRIDSTYIQGAPARIRMGTNAVVPGFEEGIRDMRPGGKRRIIIPPELGPPVGPSTFFSSKQFEVFDIELLSIQNCQRRTIAFYSDFVCN >EOX91867 pep chromosome:Theobroma_cacao_20110822:1:4213071:4218383:-1 gene:TCM_000927 transcript:EOX91867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine transhydroxymethyltransferase 1 isoform 2 MQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPEKWGVNVQPLSGSPANFHVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQMEKSATLFRPKLIVAGASAYARLYDYARIRKVCDKQKAIMLADMAHISGLVAADVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGLKEINKQGKEIFYDYEDKINQAVFPGLQGGPHNHTITGLAVALKQATTPEYKAYQEQVLSNCSKFAQTLAEKGYKLVSGGTENHLVLVNLKNKGIDGSRVEKVLEAVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFVKVAEYFDAAVNLAVKIKAETKGTKLKDFMVTLAAPNFQSEIAKLRHNVEEYAKQFPTIGFEKGTMKYKN >EOX91866 pep chromosome:Theobroma_cacao_20110822:1:4212599:4218399:-1 gene:TCM_000927 transcript:EOX91866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine transhydroxymethyltransferase 1 isoform 2 MAMAMALRRLSSSIDKRFRPLYNATSLYYMSSLPNEAVYEKEKPGITWPKQLNVPLEAVDPEIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPEKWGVNVQPLSGSPANFHVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQMEKSATLFRPKLIVAGASAYARLYDYARIRKVCDKQKAIMLADMAHISGLVAADVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGLKEINKQGKEIFYDYEDKINQAVFPGLQGGPHNHTITGLAVALKQATTPEYKAYQEQVLSNCSKFAQTLAEKGYKLVSGGTENHLVLVNLKNKGIDGSRVEKVLEAVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFVKVAEYFDAAVNLAVKIKAETKGTKLKDFMVTLAAPNFQSEIAKLRHNVEEYAKQFPTIGFEKGTMKYKN >EOX94141 pep chromosome:Theobroma_cacao_20110822:1:22579024:22581674:-1 gene:TCM_003399 transcript:EOX94141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLESEKSLFCASLVHNLMLRRINEPNAIEDELWFAIRRTKARFLKREFYLVTRLKFGALSTLIVNPYEALPGGIHLQYWGPGNEVKIQHILEMFKGGQFQQEGDTTKMALVLMATNVLFGQDYRRSVVPCAAEVPDSPLAALHVVLHVVTTVTLQAAPLSASAAAPHAQARSPSLHLQMTMSIKRELQQMKTQWKKDLQSLQTDMQMQMHGQSMGEEDGARDKKGSGIGVDLDDAEAAQHSQRTPSLEALPPSEVEEAPHPASSRATTSSHSAPVPTPTETPPSPPPPPPRMVRSHLLLRLHLELRQKMHL >EOX96627 pep chromosome:Theobroma_cacao_20110822:1:38446414:38449216:-1 gene:TCM_005840 transcript:EOX96627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAVNTFSNLSTPSFFLQRPSYSHHRHQSFAITTTNSCSCLFTPSLALTVSIARNKFHPAIVFSSGNGGGFSGGTGGGGSGSGGGGGGGGHGDRGDRDRSRNRSEAILALAEEGRTFESLPKDITAAIEAGKVPGSIVSRYFELEKSPIFRWLLNFGGFKERLLADDLFLTKVAIECGVGIFTKSAAELERRRERIVKELDFVFADVVMALIADFMLVWLPAPTVSLRPPLALSSGAISTFFYNCPDNAFQVALAGTSYSFLQRVGAIARNGAKLFAVGTAASLVGVGVTNLLINARKVYDKSFAGESEDVPILSTSVAYGVYMAVSSNLRYQVLAGVIEQRILEPLLHQHKIILSAICFAVRTGNTFLGSLMWVDYARWTGVQKTRE >EOX96629 pep chromosome:Theobroma_cacao_20110822:1:38447290:38449433:-1 gene:TCM_005840 transcript:EOX96629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAVNTFSNLSTPSFFLQRPSYSHHRHQSFAITTTNSCSCLFTPSLALTVSIARNKFHPAIVFSSGNGGGFSGGTGGGGSGSGGGGGGGGHGDRGDRDRSRNRSEAILALAEEGRTFESLPKDITAAIEAGKVPGSIVSRYFELEKSPIFRWLLNFGGFKERLLADDLFLTKVAIECGVGIFTKSAAELERRRERIVKELDFVFADVVMALIADFMLVWLPAPTVSLRPPLALSSGAISTFFYNCPDNAFQVALAGTSYSFLQRVGAIARNGAKLFAVGTAASLVSYYLTDMQEFLFLFSVMCFMVHPDVLQKSFLMNV >EOX96628 pep chromosome:Theobroma_cacao_20110822:1:38447290:38449433:-1 gene:TCM_005840 transcript:EOX96628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAVNTFSNLSTPSFFLQRPSYSHHRHQSFAITTTNSCSCLFTPSLALTVSIARNKFHPAIVFSSGNGGGFSGGTGGGGSGSGGGGGGGGHGDRGDRDRSRNRSEAILALAEEGRTFESLPKDITAAIEAGKVPGSIVSRYFELEKSPIFRWLLNFGGFKERLLADDLFLTKVAIECGVGIFTKSAAELERRRERIVKELDFVFADVVMALIADFMLVWLPAPTVSLRPPLALSSGAISTFFYNCPDNAFQVALAGTSYSFLQRVGAIARNGAKLFAVGTAASLKSFLMNV >EOX93321 pep chromosome:Theobroma_cacao_20110822:1:12069990:12077676:1 gene:TCM_002161 transcript:EOX93321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 2 MMAASANMNGGDHTVELIVRGASESAADGGSATSASEEITPLLTQSERPKINIFSVSRSRRKPRGQVIKVSETEISPVSQFILWVWNGSSYSGLICMTLSSITYFIMEVLSDNFTAQSIPLLETAFARCTVTVILSYIWLRRSGLPIFGATHPRNLLVLRALVGYLSLLSFIYCIQRIPFSQAIVLSFTTPIVASIMARIILQETLKIAEIGGLACSFVGMFFIFQQMLTTQGTEGGLLKAEEASNINLRGSQHMYAVLIGLFSSISGGISYCLIKAAAKESDQPVVTVLSFGILASPAAGICTFAFEGVCAARFLFFISYDCTWYSVLLS >EOX93320 pep chromosome:Theobroma_cacao_20110822:1:12069538:12077605:1 gene:TCM_002161 transcript:EOX93320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 2 MMAASANMNGGDHTVELIVRGASESAADGGSATSASEEITPLLTQSERPKINIFSVSRSRRKPRGQVIKVSETEISPVSQFILWVWNGSSYSGLICMTLSSITYFIMEVLSDNFTAQSIPLLETAFARCTVTVILSYIWLRRSGLPIFGATHPRNLLVLRALVGYLSLLSFIYCIQRIPFSQAIVLSFTTPIVASIMARIILQETLKIAEIGGLACSFVGMFFIFQQMLTTQGTEQTYYCGTFHPSWDVAGGLLKAEEASNINLRGSQHMYAVLIGLFSSISGGISYCLIKAAAKESDQPVVTVLSFGILASPAAGICTFAFEEFVLPGFYSLSLMIALGILSFLAEVLLARGLQLEKISKVANVQFIEAALSQLWGISTSRVAPSFGRLVGCLLILISVSCTMFLGPQKETE >EOX93322 pep chromosome:Theobroma_cacao_20110822:1:12069953:12077732:1 gene:TCM_002161 transcript:EOX93322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 2 MMAASANMNGGDHTVELIVRGASESAADGGSATSASEEITPLLTQSERPKINIFSVSRSRRKPRGQVIKVSETEISPVSQFILWVWNGSSYSGLICMTLSSITYFIMEVLSDNFTAQSIPLLETAFARCTVTVILSYIWLRRSGLPIFGATHPRNLLVLRALVGYLSLLSFIYCIQRIPFSQAIVLSFTTPIVASIMARIILQETLKIAEIGGLACSFVGMFFIFQQMLTTQGGLLKAEEASNINLRGSQHMYAVLIGLFSSISGGISYCLIKAAAKESDQPVVTVLSFGILASPAAGICTFAFEEFVLPGFYSLSLMIALGILSFLAEVLLARGLQLEKISKVANVQFIEAALSQLWGISTSRVAPSFGRLVGCLLILISVSCTMFLGPQKETE >EOX91978 pep chromosome:Theobroma_cacao_20110822:1:4737591:4742715:1 gene:TCM_001010 transcript:EOX91978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSFLFERDVKARVRNQSPTKFGLTMSNASSTGIQLAPKRKIFSSETVHKFLRAIENPYPSLFATMIRGLIYDNCIKCPCSLLQLCFAPLQPYLPKIWYSKFGIDNLLNPSNQGSDSEAQAEDTRAGGLRKSIAMLAYLYRGRSSNHEINAIV >EOX96674 pep chromosome:Theobroma_cacao_20110822:1:38613430:38621181:-1 gene:TCM_005873 transcript:EOX96674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein, putative MTVEEFVGPTMEQEMAMPGTKEDEKEDKKMGLGSTWPRVGEAMVMGTRQASNPFEEEEKSIVKIRMFLQSHCLPLLITLISLSSCFGSYREDPFHNDFRQGHGYRPTTYHPYFRTFGVSNQGNYHNPNSANLFNYNNEIRPRLARARAPVSSKVVNVDDFGAKANGRDDSQNSLVDICVQAFKKAWKYACSSSQGAVLVVPRNKIYRLKPIDFSGPCKSALVLKIYGTIKASVDHSDYQKHGRRWLYFNNVQNLRVEGGGIINGNGRKWWEKSCKINKALPCKDAPTAVTFYECSNLKVAGLRIKNAQQMHLTFQKCVNVKVFNLFVTAPGNSPNTDGIHVTETQNISIKNCVIRTGDDCISIVSGSKLVRATGITCGPGHGISIGSLGAGNSAAYVSDVIVNKAKLSGTTNGVRIKTWQGGSGYAKNIKFQNIVMHNVSNPIIIDQNYCDQRNPCSKQESAVQVSNVLYQNIRGTSASNVAMKFDCSQSFPCRGIFLQNVALAPQEEDIAEASCSNVRLSYQGKVSPPCTT >EOX91693 pep chromosome:Theobroma_cacao_20110822:1:3539151:3544472:1 gene:TCM_000798 transcript:EOX91693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant U-box 49 isoform 6 MGKKQHSKDRMFITKTEWATEWGGAKSKENGTPFKRLPFYCCALTFTPFEAPVCTKDGNIFEIMNIIPYIRKYGKNPVTGAPLKQEELIPLTFHKNSEGEYHCPVLNKVFTEYTHIVAVKTTGNVFCYEAIKELNIKTKNWKELLTDEPFAKEDIITIQNPNALDSKVTLDFDHVKNCLKVDDEELKKMSSDPTYNINVAGDVKQMLAELGTEKARETAMLGGGGSKAQNERAAALAAILAARSRIKEDSKLDANGESKTQPAYSIVDAASASVHGRSAAAAKAASSDKTAARIAMHMAGERAPVNAKLVKSRFTTGAASRSFTSTSYDPNFITLCERGYYNGVAFHRNIRNFMIQGGDPTGTGRGGESIWGKPFKDEVNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSANHLNFKHTVFGGVVGGLTTLSAMEKVPVDDNDRPLEEIKIISVTVFINPYMEPDEEEEEKGRGEKKVEDEENDKVGSWYSNPGTGTAESGAVGGGGVGKYLKARNTPSESATVDTSLAAISVTKKRKVTAGEFKDFSAW >EOX91688 pep chromosome:Theobroma_cacao_20110822:1:3539151:3546459:1 gene:TCM_000798 transcript:EOX91688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant U-box 49 isoform 6 MGKKQHSKDRMFITKTEWATEWGGAKSKENGTPFKRLPFYCCALTFTPFEAPVCTKDGNIFEIMNIIPYIRKYGKNPVTGAPLKQEELIPLTFHKNSEGEYHCPVLNKVFTEYTHIVAVKTTGNVFCYEAIKELNIKTKNWKELLTDEPFAKEDIITIQNPNALDSKVTLDFDHVKNCLKVDDEELKKMSSDPTYNINVAGDVKQMLAELGTEKARETAMLGGGGSKAQNERAAALAAILAARSRIKEDSKLDANGESKTQPAYSIVDAASASVHGRSAAAAKAASSDKTAARIAMHMAGERAPVNAKLVKSRFTTGAASRSFTSTSYDPVTKNEFEYVQVEKNPKKKGYVQLHTTHGDLNIELHCDITPRTCENFITLCERGYYNGVAFHRNIRNFMIQGGDPTGTGRGGESIWGKPFKDEVNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSANHLNFKHTVFGGVVGGLTTLSAMEKVPVDDNDRPLEEIKIISVTVFINPYMEPDEEEEEKGRGEKKVEDEENDKVGSWYSNPGTGTAESGAVGGGGVGKYLKARNTPSESATVDTSLAAISVTKKRKVTAGEFKDFSACEGFKGRWADSNSFLLGKSLLTGSARFRCQLFILQSILLIEVPLYPFIRADRHSGDYGAKLAFLECGHGAAIVRQNTIERQWEIEHRKIYLSSEVCAF >EOX91691 pep chromosome:Theobroma_cacao_20110822:1:3539598:3545416:1 gene:TCM_000798 transcript:EOX91691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant U-box 49 isoform 6 MNIIPYIRKYGKNPVTGAPLKQEELIPLTFHKNSEGEYHCPVLNKVFTEYTHIVAVKTTGNVFCYEAIKELNIKTKNWKELLTDEPFAKEDIITIQNPNALDSKVTLDFDHVKNCLKVDDEELKKMSSDPTYNINVAGDVKQMLAELGTEKARETAMLGGGGSKAQNERAAALAAILAARSRIKEDSKLDANGESKTQPAYSIVDAASASVHGRSAAAAKAASSDKTAARIAMHMAGERAPVNAKLVKSRFTTGAASRSFTSTSYDPVTKNEFEYVQVEKNPKKKGYVQLHTTHGDLNIELHCDITPRTCENFITLCERGYYNGVAFHRNIRNFMIQGGDPTGTGRGGESIWGKPFKDEVNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSANHLNFKHTVFGGVVGGLTTLSAMEKVPVDDNDRPLEEIKIISVTVFINPYMEPDEEEEEKGRGEKKVEDEENDKVGSWYSNPGTGTAESGAVGGGGVGKYLKARNTPSESATVDTSLAAISVTKKRKVTAGEFKDFSAW >EOX91692 pep chromosome:Theobroma_cacao_20110822:1:3539284:3546075:1 gene:TCM_000798 transcript:EOX91692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant U-box 49 isoform 6 MGKKQHSKDRMFITKTEWATEWGGAKSKENGTPFKRLPFYCCALTFTPFEAPVCTKDGNIFEIMNIIPYIRKYGKNPVTGAPLKQEELIPLTFHKNSEGEYHCPVLNKVFTEYTHIVAVKTTGNVFCYEAIKELNIKTKNWKELLTDEPFAKEDIITIQNPNALDSKVTLDFDHVKNCLKVDDEELKKMSSDPTYNINVAGDVKQMLAELGTEKARETAMLGGGGSKAQNERAAALAAILAARSRIKEDSKLDANGESKTQPAYSIVDAASASVHGRSAAAAKAASSDKTAARIAMHMAGERAPVNAKLVKSRFTTGAASRSFTSTSYDPVTKNEFEYVQVEKNPKKKGYVQLHTTHGDLNIELHCDITPRTCENFITLCERGYYNGVAFHRNIRNFMIQGGDPTGTGRGGESIWGKPFKDEVNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSANHLNFKHTVFGGVVGGLTTLSAMEKVPVDDNDRPLEEIKIISVTVFINPYMEPDEEEEEKGRGEKKVEDEENDKVGSWYSNPGTGTAESGAVGGGGVGKYLKARNTPSESATVDTSLAAISVTKKRKVTAGEFKDFSAW >EOX91689 pep chromosome:Theobroma_cacao_20110822:1:3539330:3545509:1 gene:TCM_000798 transcript:EOX91689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant U-box 49 isoform 6 MGKKQHSKDRMFITKTEWATEWGGAKSKENGTPFKRLPFYCCALTFTPFEAPVCTKDGNIFEIMNIIPYIRKYGKNPVTGAPLKQEELIPLTFHKNSEGEYHCPVLNKVFTEYTHIVAVKTTGNVFCYEAIKELNIKTKNWKELLTDEPFAKEDIITIQNPNALDSKVTLDFDHVKNCLKVDDEELKKMSSDPTYNINVAGDVKQMLAELGTEKARETAMLGGGGSKAQNERAAALAAILAARSRIKEDSKLDANGESKTQPAYSIVDAASASVHGRSAAAAKAASSDKTAARIAMHMAGERAPVNAKLVKSRFTTGAASRSFTSTSYDPNFITLCERGYYNGVAFHRNIRNFMIQGGDPTGTGRGGESIWGKPFKDEVNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSANHLNFKHTVFGGVVGGLTTLSAMEKVPVDDNDRPLEEIKIIMEPDEEEEEKGRGEKKVEDEENDKVGSWYSNPGTGTAESGAVGGGGVGKYLKARNTPSESATVDTSLAAISVTKKRKVTAGEFKDFSAW >EOX91690 pep chromosome:Theobroma_cacao_20110822:1:3539235:3545114:1 gene:TCM_000798 transcript:EOX91690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant U-box 49 isoform 6 MGKKQHSKDRMFITKTEWATEWGGAKSKENGTPFKRLPFYCCALTFTPFEAPVCTKDGNIFEIMNIIPYIRKYGKNPVTGAPLKQEELIPLTFHKNSEGEYHCPVLNKVFTEYTHIVAVKTTGNVFCYEAIKELNIKTKNWKELLTDEPFAKEDIITIQNPNALDSKVTLDFDHVKNCLKVDDEELKKMSSDPTYNINVAGDVKQMLAELGTEKARETAMLGGGGSKAQNERAAALAAILAARSRIKEDSKLDANGESKTQPAYSIVDAASASVHGRSAAAAKAASSDKTAARIAMHMAGERAPVNAKLVKSRFTTGAASRSFTSTSYDPVTKNEFEYVQVEKNPKKKGYVQLHTTHGDLNIELHCDITPRTCENFITLCERGYYNGVAFHRNIRNFMIQGGDPTGTGRGGESIWGKPFKDEVNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSANHLNFKHTVFGGVVGGLTTLSAMEKVPVDDNDRPLEEIKIISVTVFINPYMEPDEEEEEKGRGEKKVEDEENDKVGSWYSNPGTGTAESGAVGGGGVGKYLKARNTPSESATVDTSLAAISVTKKRKVTAGEFKDFSAW >EOX92931 pep chromosome:Theobroma_cacao_20110822:1:9476966:9479925:1 gene:TCM_001793 transcript:EOX92931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 43, putative isoform 1 PDHLYLKYRWQPTSCNLPRGDSLSLNQWQSLTCLLHRAVPRAKYTLQRIGGLSTFNFTAYGVSIMFSRNAFLVDIVNGKNGRVLKLNSIGSGQLWKGVDLLIFDTWHWWLHTGRKQPWDYVQDDKITRKDMNRMVAYEKALRTWARWVKLTVNPAKTKVFFQGVSPDHMDSRDWGDPTAKTCRGETHPLMSKRGYPGRPHPAQVVLKKVLRSVTKPVHLLDITSLSKLRKDGHPSAFGYGGRRGTDCTHWCLPGVPDTWNELLFAALIH >EOX92930 pep chromosome:Theobroma_cacao_20110822:1:9476560:9479869:1 gene:TCM_001793 transcript:EOX92930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 43, putative isoform 1 MGPSAFAAVVLFVIEFWPQVHGSKCDIYRGRWVHDASYPLYRSTDCPFIQQEFNCQKNGRPDHLYLKYRWQPTSCNLPRASLWVKPPKQGLYAPNLERFSGRDFLRRFKGKRIMFVGDSLSLNQWQSLTCLLHRAVPRAKYTLQRIGGLSTFNFTAYGVSIMFSRNAFLVDIVNGKNGRVLKLNSIGSGQLWKGVDLLIFDTWHWWLHTGRKQPWDYVQDDKITRKDMNRMVAYEKALRTWARWVKLTVNPAKTKVFFQGVSPDHMDSRDWGDPTAKTCRGETHPLMSKRGYPGRPHPAQVVLKKVLRSVTKPVHLLDITSLSKLRKDGHPSAFGYGGRRGTDCTHWCLPGVPDTWNELLFAALIH >EOX94731 pep chromosome:Theobroma_cacao_20110822:1:31089268:31093001:1 gene:TCM_004343 transcript:EOX94731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRLTSTVEIPDRRHQSGRENGRHTSESDDSPNYCRRRRSPSYEAYERDHQSRNVNQQRNRFGSPEFRNPRRRSPVEIPERRHRFDRENGRYASESDDSTDYRRRRHSPSYDIYNRGQPRKRHPSESPDGRNPRRRSPVHDRPLNSLPKEFGKNRNYLDRDYRNGKHSESESDEELKGLNVEEYRRLKRQKMRKTMKFCVWENTPSPPRNEDDDLEDKADEISENHAEENDNEKSNSDKEKEKKSTKRVKVKTESEKSASSESESQLESDSESESDGSRSRKRKKGSSSKRRSRNSKRGSRKSSSYSDSESDESGSESDDEEDRRRRRKSRRKQSRQNKSSKKSSRGKRSRKNSRYSYSDESGSGESETDVSEMSRSSDDRVKSKKRKSSSNSRTRRSKKRIQSESDSQGSYSDKSSDSGVDAKSKVKADEAKVAEISAEALMFKEMIEAQKKPELDNEPMVGPAPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFESLGFVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREHKVMADLQRLVQRHIGQDVGPTHNPFAGKDGADA >EOX92095 pep chromosome:Theobroma_cacao_20110822:1:5254116:5254801:-1 gene:TCM_001103 transcript:EOX92095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHWRNALHFANEERFMLWLPRKGTILTFGACSTFLCLLMNSCSRKSPIRGI >EOX92775 pep chromosome:Theobroma_cacao_20110822:1:8565855:8567366:1 gene:TCM_001655 transcript:EOX92775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEFLNLLSRQVLHEQFKDNLIWSRNISGNYATKSFCHAVLMQNNVSSNVWKELWEGLAPHKVECFCWQLLKGRLAYWCCGFWNVKWVMHENPIICFQSWCELGSGLKNGKVWKMAFHAIDWSIWLSPNEMVFKGKMWNSEETFGLIKLRLAWWVKAKWPQLNLSVPDLIRDPRCGGMPGEAGMGGVLRTDKGSMLLIFSKSVGVTDSNAAELMALLEGFQIIAALIGQEQNISFESDSYNAATWVLNSQQVPWRLRSLVMKIERLKSKIGSWIVRKVPRSANTVADNLAKTGIRRQSDLMWVIGDDVVVDSTGDELAFLTRSVCC >EOX92593 pep chromosome:Theobroma_cacao_20110822:1:7647444:7650763:-1 gene:TCM_001526 transcript:EOX92593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 14 isoform 1 MEKKGGDSFRFPGKLLFLAVLALVFMTLLLRDRDRNPFISSHESAQNQYTTPPPAPACFLHDPSNSSVSMEPEMKTDEGNSQSMTIEEREEASENVDSSATSYPPEKEDGNKNGTSLVETKVCNYAKGRWVADSRPPLYTVGCKYMQRNWACRLTNRTDFSYEDYRWQPIDCKMPEFEPSDFLRRMQDKTVAFIGDSLSREQFQSMMCMLTRGEGSPDVEDVADKYGFLKLIRKGAYHHHGWAYRFRSTNTTILHTWSARLCDRKPINATDPNTLYAMHLDGQPAFIRENLNQINVLVINTAHHWSKTMVNMDKEVMYVNGTPVHDKFLRNIENAKIFKVNNIVKWLDSELASHPNLQVFFRTTSPRHFFKGEWNTGGKCDNLVPMTRGSEVLGDESSDKIVAAAVQGTRVKILDITALSDLRDEAHISHYGHKANDCLHWCLPGVPDTWNELLSAQV >EOX92594 pep chromosome:Theobroma_cacao_20110822:1:7647548:7650773:-1 gene:TCM_001526 transcript:EOX92594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 14 isoform 1 MEKKGGDSFRFPGKLLFLAVLALVFMTLLLRDRDRNPFISSHESAQNQYTTPPPAPACFLHDPSNSSVSMEPEMKTDEGNSQSMTIEEREEASENVDSSATSYPPEKEDGNKNGTSLVETKVCNYAKGRWVADSRPPLYTVGCKYMQRNWACRLTNRTDFSYEDYRWQPIDCKMPEFEPSDFLRRMQDKTVAFIGDSLSREQFQSMMCMLTRGEGSPDVEDVADKYGFLKLIRKGAYHHHGWAYRFRSTNTTILHTWSARLCDRKPINATDPNTLYAMHLDGQPAFIRENLNQINVLVINTAHHWSKTMVNMDKEVMYVNGTPVHDKFLRNIENAKIFKVNNIVKWLDSELASHPNLQVFFRTTSPRHFFKGEWNTGGKCDNLVPMTRGSEVLGDESSDKIVAAAVQGTRVKILDITALSDLRDEAHISHYGHKANDCLHWCLPGVPDTWNELLSAQV >EOX93951 pep chromosome:Theobroma_cacao_20110822:1:17727319:17730116:-1 gene:TCM_002965 transcript:EOX93951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MSCFSCFSSQDKKARRLNNPSRRSQTSYYSAPPRQALLPRQRPENNPKPKTSAPEPTKDTDAPKAAGNNNIAAQTFTFRELATATRNFRQECLIGEGGFGRVYKGKLEKTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLTGYCADGDQRLLVYEYMPLGSLEDHLLDITPDQKPLDWYARMKTALGAAKGLEYLHDKANPPVIYRDMKSSNILLDNGFNAKLSDFGLAKLGPTGDKTHVSSRVMGTYGYCAPEYQRTGQLTVKSDVYSFGVVLLELITGRRAIDTTRPNKEQNLVTWAQPLFKEPRRFPELADPLLKGDFPIRGLNQAVAVAAMCLQEEASVRPLISDVVTALSFLGNGPDANTATSSFPSLESDKQPIFGNGASYVEDSKKERQRAVAEAIEWGSSSRNAQSQTHSSLL >EOX95850 pep chromosome:Theobroma_cacao_20110822:1:35767383:35775007:-1 gene:TCM_005251 transcript:EOX95850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAKEKAEFLATSFNERNRDMNLEVAIRLSDEVYQQRKSAFYRSTIAAMLLLILVFVLVALLTRKFQVVFEACAALLRPLT >EOX92910 pep chromosome:Theobroma_cacao_20110822:1:9385296:9387610:-1 gene:TCM_001773 transcript:EOX92910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MPVLPHFLVPVKVVMDGTDDIDRISSLPESLLLHILSFPPAKHVAFNTLQPANLSFEWKYLELKLIQMKWHHPVISYLLRSSPLLETLDLYICPKWPDKVKYEAPFGEYLYNMLQEQYHDNGGFWSSQEGTFHCLEHHLKTVRICGDVRELYVIQFIEFLLKNALVLEKLMISTKKTFQPTQLHAFSRAIAHPKDVFSAEKWLEVFQKLCSLPRASRSAVILFY >EOX92911 pep chromosome:Theobroma_cacao_20110822:1:9385296:9387612:-1 gene:TCM_001773 transcript:EOX92911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MPVLPHFLVPVKAFNTLQPANLSFEWKYLELKLIQMKWHHPVISYLLRSSPLLETLDLYICPKWPDKVKYEAPFGEYLYNMLQEQYHDNGGFWSSQEGTFHCLEHHLKTVRICGDVRELYVIQFIEFLLKNALVLEKLMISTKKTFQPTQLHAFSRAIAHPKDVFSAEKWLEVFQKLCSLPRASRSAVILFY >EOX94894 pep chromosome:Theobroma_cacao_20110822:1:31911365:31923539:-1 gene:TCM_004497 transcript:EOX94894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MAINQDVENGESSVKAKMKEPLLQHTSIKDGDRKFHNECNRKGLLKVVFLSTFVAVLGSYEFGSCMGYSAPVQSAITKDLDLSVAEFSVFGSILNVGSMIGAITSGRIAEFLGRKGAMRISSAFSITGWIAIYFSKGALSLDIGRFLSGYGIGIFAYVVPIYVAEIAPKDLRGGLATLNQLMIVIGASVAFLAGTVLKWRLLALTGIIPCLVQLVGLIFIPESPRWLAKAGYRKEFYNALRSLHGDDADISHEAAEIQDYLQTLEHQPKASMLDLFQRKYKQSVIIGVGLMMFQQFGGVNGVGFYASETFTSAGFASGKIGIIAFACVQIPITAGGAFLVDNCGRKPLLLVSSTGTFLGCFLAAISFLMKEHNLLQEWIPLFVLCGMLIFMGSFSIGMGAVPWVLMSEIFPINIKGAAGSLVNLIHWFGAWAVSYTFNFLMDWSPSGTFFLYSGVCAASVLFVAKVVPETKGRALEEIQASMNS >EOX90738 pep chromosome:Theobroma_cacao_20110822:1:498937:503960:-1 gene:TCM_000125 transcript:EOX90738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D delta isoform 4 MEEGSKQVIYLHGDLDLTIIEARSLPNMDSLTNHLRRCVTVCEACKTPSQATTVEEGDTKIRQHRKIITSDPYVTVSVPQATVARTSVLKNAQNPRWDQSFVIPLAHPVCELEITVKDDDLFGAEMIGVAKIPAQKIAMGEPISGWFQLIGPSGKPPKPDTAINVSMKFMPCEKNPLYKQSIASDPEQAGVRHTYFPLRKGGQVTLYQDAHVPDNMLPKIELDDGKVYNQGQCWEDICYAISEAHHMVYIVGWSVFHKIKLVREPTRPLPRGGDLTLGDLLKYKSEEGVRVLLLVWDDKTSHDKFGISTVGVMETHDEETFKFFKHSSVTCVLAPRYASSKLGYFKQQVVGTMFTHHQKCVLVDTQAAGNNRKITAFVGGIDLCDGRYDTPEHRLLRDLETTFKDDFHNPTFPVAAKAPRQPWHDLHSKIEGPAAYDVLINFEQRWRKSTKWKEFSLLFRGKSRWNDDALIRIERISWIQSPPLTATEDDTTIVPEDDPKVHVHSKDDRESWDVQIFRSIDSGSVKGFPKYIKRAQNQHLSYAKDLAIDKSIQTAYIQAIRSAQHYIYIENQYFLGSSYAWPSYKNAGADNLIPMELALKVVSKIRARERFAVYIVIPLWPEGDPKSATVQEILYWQGP >EOX90736 pep chromosome:Theobroma_cacao_20110822:1:497975:503960:-1 gene:TCM_000125 transcript:EOX90736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D delta isoform 4 MEEGSKQVIYLHGDLDLTIIEARSLPNMDSLTNHLRRCVTVCEACKTPSQATTVEEGDTKIRQHRKIITSDPYVTVSVPQATVARTSVLKNAQNPRWDQSFVIPLAHPVCELEITVKDDDLFGAEMIGVAKIPAQKIAMGEPISGWFQLIGPSGKPPKPDTAINVSMKFMPCEKNPLYKQSIASDPEQAGVRHTYFPLRKGGQVTLYQDAHVPDNMLPKIELDDGKVYNQGQCWEDICYAISEAHHMVYIVGWSVFHKIKLVREPTRPLPRGGDLTLGDLLKYKSEEGVRVLLLVWDDKTSHDKFGISTVGVMETHDEETFKFFKHSSVTCVLAPRYASSKLGYFKQQVVGTMFTHHQKCVLVDTQAAGNNRKITAFVGGIDLCDGRYDTPEHRLLRDLETTFKDDFHNPTFPFLFMVICDDLETTFKDDFHNPTFPVAAKAPRQPWHDLHSKIEGPAAYDVLINFEQRWRKSTKWKEFSLLFRGKSRWNDDALIRIERISWIQSPPLTATEDDTTIVPEDDPKVHVHSKDDRESWDVQIFRSIDSGSVKGFPKYIKRAQNQHLSYAKDLAIDKSIQTAYIQAIRSAQHYIYIENQYFLGSSYAWPSYKNAGADNLIPMELALKVVSKIRARERFAVYIVIPLWPEGDPKSATVQEILYWQSQTMRMMYDVIARELKSMEIKDSHPQDYLNFYCLGKREEVSKELLGGNGNTVPDSTKFGRFMIYVHAKGMIIDDEYVILGSANINQRSMAGTKDTEIAMGAYQPHYTWAEKKKHPRGQVYGYRMSLWAEHLDELNKCFKEPESLACVKTVNEIAQENWKKFTNTDYSPLQGHLLMYPVQVDVDGKVNPLPGHENFPDVGGKVLGAHSIQLPDILTT >EOX90737 pep chromosome:Theobroma_cacao_20110822:1:497644:505156:-1 gene:TCM_000125 transcript:EOX90737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D delta isoform 4 MEEGSKQVIYLHGDLDLTIIEARSLPNMDSLTNHLRRCVTVCEACKTPSQATTVEEGDTKIRQHRKIITSDPYVTVSVPQATVARTSVLKNAQNPRWDQSFVIPLAHPVCELEITVKDDDLFGAEMIGVAKIPAQKIAMGEPISGWFQLIGPSGKPPKPDTAINVSMKFMPCEKNPLYKQSIASDPEQAGVRHTYFPLRKGGQVTLYQDAHVPDNMLPKIELDDGKVYNQGQCWEDICYAISEAHHMVYIVGWSVFHKIKLVREPTRPLPRGGDLTLGDLLKYKSEEGVRVLLLVWDDKTSHDKFGISTVGVMETHDEETFKFFKHSSVTCVLAPRYASSKLGYFKQQVVGTMFTHHQKCVLVDTQAAGNNRKITAFVGGIDLCDGRYDTPEHRLLRDLETTFKDDFHNPTFPVAAKAPRQPWHDLHSKIEGPAAYDVLINFEQRWRKSTKWKEFSLLFRGKSRWNDDALIRIERISWIQSPPLTATEDDTTIVPEDDPKVHVHSKDDRESWDVQIFRSIDSGSVKGFPKYIKRAQNQHLSYAKDLAIDKSIQTAYIQAIRSAQHYIYIENQYFLGSSYAWPSYKNAGADNLIPMELALKVVSKIRARERFAVYIVIPLWPEGDPKSATVQEILYWQSQTMRMMYDVIARELKSMEIKDSHPQDYLNFYCLGKREEVSKELLGGNGNTVPDSTKFGRFMIYVHAKGMIIDDEYVILGSANINQRSMAGTKDTEIAMGAYQPHYTWAEKKKHPRGQVYGYRMSLWAEHLDELNKCFKEPESLACVKTVNEIAQENWKKFTNTDYSPLQGHLLMYPVQVDVDGKVNPLPGHENFPDVGGKVLGAHSIQLPDILTT >EOX90739 pep chromosome:Theobroma_cacao_20110822:1:497894:504620:-1 gene:TCM_000125 transcript:EOX90739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D delta isoform 4 MEEGSKQVIYLHGDLDLTIIEARSLPNMDSLTNHLRRCVTVCEACKTPSQATTVEEGDTKIRQHRKIITSDPYVTVSVPQATVARTSVLKNAQNPRWDQSFVIPLAHPVCELEITVKDDDLFGAEMIGVAKIPAQKIAMGEPISGWFQLIGPSGKPPKPDTAINVSMKFMPCEKNPLYKQSIASDPEQAGVRHTYFPLRKGGQVTLYQDAHVPDNMLPKIELDDGKVYNQGQCWEDICYAISEAHHMVYIVGWSVFHKIKLVREPTRPLPRGGDLTLGDLLKYKSEEGVRVLLLVWDDKTSHDKFGISTVGVMETHDEETFKFFKHSSVTCVLAPRYASSKLGYFKQQVVGTMFTHHQKCVLVDTQAAGNNRKITAFVGGIDLCDGRYDTPEHRLLRDLETTFKDDFHNPTFPVAAKAPRQPWHDLHSKIEGPAAYDVLINFEQRWRKSTKWKEFSLLFRGKSRWNDDALIRIERISWIQSPPLTATEDDTTIVPEDDPKVHVHSKDDRESWDVQIFRSIDSGSVKGFPKYIKRAQNQHLSYAKDLAIDKSIQTAYIQAIRSAQHYIYIENQYFLGSSYAWPSYKNAGADNLIPMELALKVVSKIRARERFAVYIVIPLWPEGDPKSATVQEILYWQNVTMGRAS >EOX94935 pep chromosome:Theobroma_cacao_20110822:1:32089873:32108061:1 gene:TCM_004530 transcript:EOX94935 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEGP protease 2 isoform 2 MAIAVAHCCFSVLSSTVKFRYSVSYHRRLATSTSTSQASLDALSPKATNDKKRALKSCSSTSPRKFNVKKDPVSQKKLPGRSKDEKSSLYADGISGRGDMGRPQSTGFKSFGTQRKDREEFQLDLREQQVEPGNLQDATFLNAVVKVYCTHTAPDYSLPWQKQRQFTSTGSAFMIGDGKLLTNAHCVEHDTQVKVKRRGDDTKYVAKVLARGVDCDIALLSVESKEFWRGAEPLRLGHLPGLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNEQGECIGVAFQVYRSEEAENIGYVIPTTVVSHFLSDYERNGKYTGFPCLGVLLQKLENPALRACLHVQSNEGVLVRRVEPTSDANNVLKEGDVIVSFDDVHVGSEGTVPFRSNERIAFRYLISQKFAGDVAELGIVRAGRFMKVQVVLNRRVHLVPYHIDGGQPSYLIIAGLVFTPLSEPLIEEECEDSIGLKLLAKARYSLARFKGEQIVILSQVLANEVNIGYEDMGNQQVGFLCPPYNLRMPIYSHVLKCKNSRF >EOX94933 pep chromosome:Theobroma_cacao_20110822:1:32089773:32109552:1 gene:TCM_004530 transcript:EOX94933 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEGP protease 2 isoform 2 MAIAVAHCCFSVLSSTVKFRYSVSYHRRLATSTSTSQASLDALSPKATNDKKRALKSCSSTSPRKFNVKKDPVSQKKLPGRSKDEKSSLYADGISGRGDMGRPQSTGFKSFGTQRKDREEFQLDLREQQVEPGNLQDATFLNAVVKVYCTHTAPDYSLPWQKQRQFTSTGSAFMIGDGKLLTNAHCVEHDTQVKVKRRGDDTKYVAKVLARGVDCDIALLSVESKEFWRGAEPLRLGHLPGLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNEQGECIGVAFQVYRSEEAENIGYVIPTTVVSHFLSDYERNGKYTGFPCLGVLLQKLENPALRACLHVQSNEGVLVRRVEPTSDANNVLKEGDVIVSFDDVHVGSEGTVPFRSNERIAFRYLISQKFAGDVAELGIVRAGRFMKVQVVLNRRVHLVPYHIDGGQPSYLIIAGLVFTPLSEPLIEEECEDSIGLKLLAKARYSLARFKGEQIVILSQVLANEVNIGYEDMGNQQVLKFNGIRIKNIHHLAHLVACCKDKYLVFEFEDNYLAVLEREAAMAASSRILKDYGIPSEKSDDLLEPYVDSLGDNQAIEQDYGDSPVSNLEIGFEGLLWA >EOX94934 pep chromosome:Theobroma_cacao_20110822:1:32089666:32109552:1 gene:TCM_004530 transcript:EOX94934 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEGP protease 2 isoform 2 MAIAVAHCCFSVLSSTVKFRYSVSYHRRLATSTSTSQASLDALSPKATNDKKRALKSCSSTSPRKFNVKKDPVSQKKLPGRSKDEKSSLYADGISGRGDMGRPQSTGFKSFGTQRKDREEFQLDLREQQVEPGNLQDATFLNAVVKVYCTHTAPDYSLPWQKQRQFTSTGSAFMIGDGKLLTNAHCVEHDTQVKVKRRGDDTKYVAKVLARGVDCDIALLSVESKEFWRGAEPLRLGHLPGLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNEQGECIGVAFQVYRSEEAENIGYVIPTTVVSHFLSDYERNGKYTGFPCLGVLLQKLENPALRACLHVQSNEGVLVRRVEPTSDANNVLKEGDVIVSFDDVHVGSEGTVPFRSNERIAFRYLISQKFAGDVAELGIVRAGRFMKVQVVLNRRVHLVPYHIDGGQPSYLIIAGLVFTPLSEPLIEEECEDSIGLKLLAKARYSLARFKGEQIVILSQVLANEVNIGYEDMGNQQQVLKFNGIRIKNIHHLAHLVACCKDKYLVFEFEDNYLAVLEREAAMAASSRILKDYGIPSEKSDDLLEPYVDSLGDNQAIEQDYGDSPVSNLEIGFEGLLWA >EOX92059 pep chromosome:Theobroma_cacao_20110822:1:5093841:5099881:1 gene:TCM_001076 transcript:EOX92059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARLYMVLSSSGSGLYLPTSSFPFLSGFGFWLFALPLQQGAASLGQLQPPNSPRGLSFEEKTRLGSTPPSCHNKCNGCHPCMAVQVPTLPSHERFQPGLSKGITNSMQFLDPSGNQYSNYKPLGWKCRCGKNFYNP >EOX94778 pep chromosome:Theobroma_cacao_20110822:1:31326899:31329492:-1 gene:TCM_004387 transcript:EOX94778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast stem-loop binding protein of 41 kDa isoform 1 MAALASSSSSLLFSSPPSKLPSPSLYPLPRLSLSTSSFLSSSLSISPSFFAYPTSSRRYSPSSFTVKASGGEKKKVLIVNTNSGGHAVIGFYFAKELLGSGHEVTILTVGDEGSDKMKKPPFNRFSEIVSAGGKTVWGDPADVGKVVAGATFDVVLDNNGKDLDTVRPVIDWAKSSGVDQFLYISSAGIYKPTDEPPHVEGDVVKADAGHVGVEKYIAEIFSSWAIFRPQYMIGSGNNKDCEEWFFDLPIPGSGMQLTNIAHVRDLSSMLTLAVEKPEAASSNIFNCVSDRAVTLDGMARLCAEAAGLPVEIAHYDPKAVGIDAKKAFPFRNMHFYSEPRAAKDILGWNGTTNLPEDLKERFEEYVKIGRDKEPMQFELDDKILESLKVAVAV >EOX94777 pep chromosome:Theobroma_cacao_20110822:1:31326983:31329547:-1 gene:TCM_004387 transcript:EOX94777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast stem-loop binding protein of 41 kDa isoform 1 MAALASSSSSLLFSSPPSKLPSPSLYPLPRLSLSTSSFLSSSLSISPSFFAYPTSSRRYSPSSFTVKASGGEKKKVLIVNTNSGGHAVIGFYFAKELLGSGHEVTILTVGDEGSDKMKKPPFNRFSEIVSAGGKTVWGDPADVGKVVAGATFDVVLDNNGKDLDTVRPVIDWAKSSGVDQFLYISSAGIYKPTDEPPHVEGDVVKADAGHVGVEKYIAEIFSSWAIFRPQYMIGSGNNKDCEEWFFDRIVRKRPVPIPGSGMQLTNIAHVRDLSSMLTLAVEKPEAASSNIFNCVSDRAVTLDGMARLCAEAAGLPVEIAHYDPKAVGIDAKKAFPFRNMHFYSEPRAAKDILGWNGTTNLPEDLKERFEEYVKIGRDKEPMQFELDDKILESLKVAVAV >EOX92505 pep chromosome:Theobroma_cacao_20110822:1:7212126:7227849:-1 gene:TCM_001452 transcript:EOX92505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MVLVQALGHIELNKKLALDQVLRISNFNFNLLSVIKLTQDLNCVLTFWLEFCVIQDLPSRRLIGVGRVHDGLYYLEPTREGKALVALVTRKRTRQIPQKLVDYDFTLPPSLTSFFFFDNAHSHTTANSIVYPFFAVKHAHWRDARAKEIQALEENKTWVLSTLPPRKKAIDSKWVYKIKYNPDGTVEQYKARLVTKGFTQIERVDFHETFTQLAKLVTVRCLLAMALVQN >EOX91348 pep chromosome:Theobroma_cacao_20110822:1:2387922:2393188:1 gene:TCM_000571 transcript:EOX91348 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDPK-related kinase MGLCTSKPSPNPSFPPKSDSRNTPIHPRNNDIHRKSNSIPASPLPDAVNGNQNRNPTEDQGKDDEEKGNSNSNNEGKKSPFFPFYSPSPASYLFSKKSPARSSATSTPKRFFRRPFPPPSPAKHIRAVLARRHGSVKPNEAAIPEGSEAEAAAAAGAGGTGLDKSFGFSKHFGSKYELGDEVGRGHFGYTCAAKFKKGELKGQQVAVKVIPKAKMTTAIAIEDVRREVKILRALSGHNNLVQFYDAYEDHDNIYIVMELCEGGELLDRILSRGGKYTEDDAKAVMIQILNVVAFCHLQGVVHRDLKPENFLFTSKDENSPLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPSLSSEARDFVKRLLNKDPRKRLTAAQALSHPWIKNYSDVKVPLDVLIFKLMKAYLRSSSLRKAALRALSKTLTVDELFYLKEQFALLEPNKNGTISLENVKAALMKNATDAMKESRIPEFLASLNALQYRRMDFDEFCAAALSVHQLEALDRWEQHARCAYELFEKDGNRAIVIEELPSELGLGPSVPVHAVLHDWIRHTDGKLSFLGFVKLLHGVSSRTLAKAP >EOX96395 pep chromosome:Theobroma_cacao_20110822:1:37638948:37639376:-1 gene:TCM_005645 transcript:EOX96395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIDVLDPRLSPPTSQLVAQNIVHVAAIAFACLQADPKLRPTMKLVSQMFLSCQRSLRNPLRTISLLQLVTSGMHMEGSCQAPQ >EOX91551 pep chromosome:Theobroma_cacao_20110822:1:3054518:3056476:-1 gene:TCM_000695 transcript:EOX91551 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein MAVTSSSSPLSTLYLFLRPNRNHRTLSNVVITAASIRCFSSPSQQMDDQRQPETTKRVVVCGGGVIGVCTAYFLAKKGAAVTLIEKSSVACAASGKAGGFLALDWCDGGAVGSLARASFNLHRALSEELNGPESYGYRPLTTLSITVTESRSSSPSGDSTIPSWVDGPARGPRTIGSTQTTAQVHPQLFTRTLLNTAVEKYGVEVVIGKLEQLRVQGGRVGSVVLEGGRVIESDSVVLALEPWTGKFEMLASMFRVYGLKAHSIVLEPKEPGAITPHALFLSYHLQGGKAMDPEVYPRPTGEVYLCGMSAEEEVPDDPEQIVGNPESIQTLKRVASNVSSHLAEGEARVKAEQACFLPCTDDGVPVIGELPGVKGCYVATGHSCWGILNGPATGAAVAELVLDGRASIVDLSRFSPARFVGRGKVTV >EOX92121 pep chromosome:Theobroma_cacao_20110822:1:5328484:5332135:-1 gene:TCM_001118 transcript:EOX92121 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein, putative isoform 3 MGKKKSLRSKAVHFVTDLTTGLLNPISDKPSKPPHPPPENVSESKRSQLESINEADNGDLVDGPDTSSFTAFLYSLLSSSDSGQNLNLEQNDNLEETGDASSDTIMKENGGRKSLLSRGKQSLRALYQATRISGYKNQDCKGDSDMKIDDEADAQFDGLEMRHLQNVKEPLALGDLPETSEPSLLLTEKTRSALYASLPALVQGRKWLLLYSTWRHGISLSTLYRRSMLWPGLSLLVVGDRKGAVFGGLVEAPLKPTNKKYQVMLENTSCIQQIFCINMLLTLHFQQGTNSTFVFTNRPGDPVIFRPTGANRYFTLCSTEFLAIGGGGHFALYLDGDLLNGSSSFSE >EOX92118 pep chromosome:Theobroma_cacao_20110822:1:5327903:5331738:-1 gene:TCM_001118 transcript:EOX92118 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein, putative isoform 3 MGKKKSLRSKAVHFVTDLTTGLLNPISDKPSKPPHPPPENVSESKRSQLESINEADNGDLVDGPDTSSFTAFLYSLLSSSDSGQNLNLEQNDNLEETGDASSDTIMKENGGRKSLLSRGKQSLRALYQATRISGYKNQDCKGDSDMKIDDEADAQFDGLEMRHLQNVKEPLALGDLPETSEPSLLLTEKTRSALYASLPALVQGRKWLLLYSTWRHGISLSTLYRRSMLWPGLSLLVVGDRKGAVFGGLVEAPLKPTNKKYQGTNSTFVFTNRPGDPVIFRPTGANRYFTLCSTEFLAIGGGGHFALYLDGDL >EOX92116 pep chromosome:Theobroma_cacao_20110822:1:5327688:5331997:-1 gene:TCM_001118 transcript:EOX92116 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein, putative isoform 3 MGKKKSLRSKAVHFVTDLTTGLLNPISDKPSKPPHPPPENVSESKRSQLESINEADNGDLVDGPDTSSFTAFLYSLLSSSDSGQNLNLEQNDNLEETGDASSDTIMKENGGRKSLLSRGKQSLRALYQATRISGYKNQDCKGDSDMKIDDEADAQFDGLEMRHLQNVKEPLALGDLPETSEPSLLLTEKTRSALYASLPALVQGRKWLLLYSTWRHGISLSTLYRRSMLWPGLSLLVVGDRKGAVFGGLVEAPLKPTNKKYQGTNSTFVFTNRPGDPVIFRPTGANRYFTLCSTEFLAIGGGGHFALYLDGDLLNGSSSFSETYGNPCLAFSEDFEVKEIENKFLNFTLVSQLWGFVYGSKYEEILALSRTETPGICRW >EOX92117 pep chromosome:Theobroma_cacao_20110822:1:5328484:5332135:-1 gene:TCM_001118 transcript:EOX92117 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein, putative isoform 3 MGKKKSLRSKAVHFVTDLTTGLLNPISDKPSKPPHPPPENVSESKRSQLESINEADNGDLVDGPDTSSFTAFLYSLLSSSDSGQNLNLEQNDNLEETGDASSDTIMKENGGRKSLLSRGKQSLRALYQATRISGYKNQDCKGDSDMKIDDEADAQFDGLEMRHLQNVKEPLALGDLPETSEPSLLLTEKTRSALYASLPALVQGRKWLLLYSTWRHGISLSTLYRRSMLWPGLSLLVVGDRKGAVFGGLVEAPLKPTNKKYQGTNSTFVFTNRPGDPVIFRPTVVVY >EOX92119 pep chromosome:Theobroma_cacao_20110822:1:5328484:5332135:-1 gene:TCM_001118 transcript:EOX92119 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein, putative isoform 3 MGKKKSLRSKAVHFVTDLTTGLLNPISDKPSKPPHPPPENVSESKRSQLESINEADNGDLVDGPDTSSFTAFLYSLLSSSDSGQNLNLEQNDNLEETGDASSDTIMKENGGRKSLLSRGKQSLRALYQATRISGYKNQDCKGDSDMKIDDEADAQFDGLEMRHLQNVKEPLALGDLPETSEPSLLLTEKTRSALYASLPALVQGRKWLLLYSTWRHGISLSTLYRRSMLWPGLSLLVVGDRKGAVFGGLVEAPLKPTNKKYQGTNSTFVFTNRPGDPVIFRPTVVVY >EOX92122 pep chromosome:Theobroma_cacao_20110822:1:5329070:5331633:-1 gene:TCM_001118 transcript:EOX92122 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein, putative isoform 3 MGKKKSLRSKAVHFVTDLTTGLLNPISDKPSKPPHPPPENVSESKRSQLESINEADNGDLVDGPDTSSFTAFLYSLLSSSDSGQNLNLEQNDNLEETGDASSDTIMKENGGRKSLLSRGKQSLRALYQATRISGYKNQDCKGDSDMKIDDEADAQFDGLEMRHLQNVKEPLALGDLPETSEPSLLLTEKTRSALYASLPALVQGRKWLLLYSTWRHGISLSTLYRRSMLWPGLSLLVVGDRKGAVFGGLVEAPLKPTNKKYQGTNSTFVFTNRPGDPVIFRPTGISIFVYHFKIVTVF >EOX92120 pep chromosome:Theobroma_cacao_20110822:1:5328486:5332135:-1 gene:TCM_001118 transcript:EOX92120 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein, putative isoform 3 MGKKKSLRSKAVHFVTDLTTGLLNPISDKPSKPPHPPPENVSESKRSQLESINEADNGDLVDGPDTSSFTAFLYSLLSSSDSGQNLNLEQNDNLEETGDASSDTIMKENGGRKSLLSRGKQSLRALYQATRISGYKNQDCKGDSDMKIDDEADAQFDGLEMRHLQNVKEPLALGDLPETSEPSLLLTEKTRSALYASLPALVQGRKWLLLYSTWRHGISLSTLYRRSMLWPGLSLLVVGDRKGAVFGGLVEAPLKPTNKKYQGTNSTFVFTNRPGDPVIFRPTGANRYFTLCSTEFLAIGGGGHFALYLDGDL >EOX93711 pep chromosome:Theobroma_cacao_20110822:1:15272056:15274775:-1 gene:TCM_002633 transcript:EOX93711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFEYFKLGHFQKMTTESSNSEEEGKITGGNQNLIVEDDLREMGKKAIKTKTISPIQGYYVFVQLQALFSLISPITLIVGSIKAVSRLPPHYPRQSSATSSSAMDSSYELLGMDHHSQGKPITSSLLSEALIGKRGDLRNSLISEALKDSIKGALKDSIKGALKILIDVRNHPVLIHCKCGKEMEDGGIDI >EOX93465 pep chromosome:Theobroma_cacao_20110822:1:13216280:13218369:-1 gene:TCM_002333 transcript:EOX93465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II light harvesting complex gene 2.1 MATSAIQQSAFAGQTALKQSNELVRKVGVFGGGRVTMRRTVKSAPASIWYGPDRPKYLGPFSDQIPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPEILSRNGVKFGEAVWFKAGSQIFSEGGLDYLGNPNLIHAQSILAIWACQVVLMGFVEGYRVGGGPLGEGLDPIYPGGAFDPLGLADDPDAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHLADPATNNAWAYATNFVPGK >EOX91763 pep chromosome:Theobroma_cacao_20110822:1:3756769:3759623:1 gene:TCM_000847 transcript:EOX91763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 44 isoform 1 MGLLIIFLLTCAALPLASSDPNDEACLTHLSESLKDPAKNLQNWTKSTFANPCSGFTSYLPGAICNNGRIYKLSLTNLSLQGSISPFLSNCTNLQSLDLSSNSISGPIPQDLQYLVNLAVLNLSSNRLEGEIPPQLTLCAYLNVIDLHDNLLTGQIPQQLGLLARLSAFDVSYNKLSGPIPAYLGNRSGNLPRFNASSFEGNKDLYGFPLPPMKSKGLSVLAIVGIGLGSGLASLVLSFTGVCIWLKITEQKMAAEEGKSSQFMPEY >EOX91764 pep chromosome:Theobroma_cacao_20110822:1:3756646:3757664:1 gene:TCM_000847 transcript:EOX91764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 44 isoform 1 KKPLLSLLSSSHTTRTSKNQREKLQSQNQASSDPNDEACLTHLSESLKDPAKNLQNWTKSTFANPCSGFTSYLPGAICNNGRIYKLSLTNLSLQGSISPFLSNCTNLQSLDLSSNSISGPIPQDLQYLVNLAVLNLSSNRLEGEIPPQLTLCAYLNVIDLHDNLLTGQIPQQLGLLARLSAFDVSYNKLSGPIPAYLGNRSGNLPRFNASSFEGNKDLYGFPLPPMKSKGLSVLAIVGIGLGSGLASLVLSFTGVCIWLKITEQKMAAEEGKSSQFMPEY >EOX95120 pep chromosome:Theobroma_cacao_20110822:1:32931912:32933137:-1 gene:TCM_004681 transcript:EOX95120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSDINKIPKFAHARIKFAISQRFVNVSVPGVGFLSDSSPSLPSGFVPDPSFLQRLFQSEKVEPTTKPWLLPLMVGRFEVVSRQEQII >EOX93882 pep chromosome:Theobroma_cacao_20110822:1:17112848:17114093:1 gene:TCM_002878 transcript:EOX93882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADQLPIIRFHYDNALIRGGLKYVNGLMEHFTFDPNKIRYILDDGLVEIYIEHAIDKLVFVEDEEKEKAGEVYFVNVKEHLSGSEDEEDGFSPSNERDEDEGNHSEYHDSDEFGDIVSDEEDMVDDAITRRGEIGRLFG >EOX91315 pep chromosome:Theobroma_cacao_20110822:1:2298768:2303064:1 gene:TCM_000555 transcript:EOX91315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein, putative MAAAILGEAVLGAVFGELLKAVVEASKTATQFRDVLAELKSTLSSIQPTINAIESLNIRYDREHETKPLMEIISRGEELVTQCVKIHRWNFSKKTCYTNKLRGLKEELKHFCEINMQTQQTRDIKEVLYNVEQLAGEVSRLRSKEVSDRENGTFSQVAVVGSCEPPKPRGEVVGLDVPLTELKMKLFKDGVSVIVVSAQGGSGKTTLVQELCRDEEVKGKFKENIFYVTVSKAPNLEVILQKLLRLKDYRMPEFQNEEDALNHLEQNFRKMATNPILLILDDVWSGSESLVEKLKFTLPDYKILLTSRFAFPRFDFTYRLKPLNDDDAMTLFRHFAFSKDVDPDISDDLVNKVMKSCKGLPLALDVVGRSLRGQPGTIWRRRAKQRSKGESIFNSSNELLTCLQSSLDALDSKAGIRDCYLDLGSFPEDHWIPATALIDMWVEQYKLDEDDDAIFNLHELSTRNLVNLVVVRKDAREADGYYKEHFVTQHDLLRELAIYQSSLDPVEKRKRLIVELSRNNFPNWWLEEKQQTLGARLLSISTDETFTFSWGNIQAPEVEVVVLNFRTKNYTLPVFMEKMDKLKVLIVTNSSFYPAELSNFLLLSSLSNLRRIRLEKVSVPSLSMSSLHLKNLEKISLYMCNIGQAFGNGTKKMSDIFPNLLEIDIDYCDDLVELTDGLCDLVHLMKLSITNCHKLCALPEGIGKLVNLKVLRLASCTDLVRLPETIGSLSQLNIFDISDCLSIINMPVQIGELHNLRKLYMRGCSSCKLPSTITKLRRLKDVICDEETAYQWDCFKSYLSNLRVTVHEEDINLNWLRTCF >EOX95020 pep chromosome:Theobroma_cacao_20110822:1:32503789:32505379:1 gene:TCM_004607 transcript:EOX95020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASRSCLFAFLLLFLAFQSTRVKGQSLPPQCRQVPGTSAQLMQVALNMEVLRTELFLRASIGRGLNDLAPNLIPGPAPIGARIANLDNVTRRIIEELGYQGIGNIRAILGNRLVTPIQGPQLNLSRQAFSNFMNSALNTTLSPPFDPYVNTTSFLVASTFIPTAIQQYYLGILPDLANIDLRVLGASLLAIESARYGVLRTQLYLRVNATVPPYRFTVANLTERIAQLTNRLGMCGQKDEGLVVPLALGAENRTTSNIIAANVNSLLLPRTILEVFRIFFGTGNASMTGGFFPNGMNGAIAENIIRRGLV >EOX92679 pep chromosome:Theobroma_cacao_20110822:1:8040881:8043296:1 gene:TCM_001589 transcript:EOX92679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger WD40 repeat protein 1, putative isoform 1 MFAVEMPSHTMLSQKIYQGRAPIIALRIHILLLQRPQKQEDKVCKYWMSGHCARGNKCWYLHSWCRGDGFMMLAKLEGHKKAVHGIALPLGSEKLYSGSGDGTLRTWDCHSGHCAHLSNLGDEVSSMITEGLWVFVGMKGVIKAWNTQTAQEFSLKGPVGHVHSMVVSDNMLFAGAQDGFTFAWKSSSEAANPFQLIASMEGHSGAVLCLAVRDKKLYSGSVDHTIRVWDTDTLQCIKTLNGHEDAVTSLLHCNGCLFSCSLDCSIKVWFATEGENWEVIYTHKEENGMLALCGMNDAETKPVLFRSCNDDTVRLYDLPS >EOX92676 pep chromosome:Theobroma_cacao_20110822:1:8039970:8043829:1 gene:TCM_001589 transcript:EOX92676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger WD40 repeat protein 1, putative isoform 1 MFAVEMPSHTMLSQKIYQGRAPIIALRIHILLLQRPQKQEDKVCKYWMSGHCARGNKCWYLHSWCRGDGFMMLAKLEGHKKAVHGIALPLGSEKLYSGSGDGTLRTWDCHSGHCAHLSNLGDEVSSMITEGLWVFVGMKGVIKAWNTQTAQEFSLKGPVGHVHSMVVSDNMLFAGAQDGFTFAWKSSSEAANPFQLIASMEGHSGAVLCLAVRDKKLYSGSVDHTIRVWDTDTLQCIKTLNGHEDAVTSLLHCNGCLFSCSLDCSIKVWFATEGENWEVIYTHKEENGMLALCGMNDAETKPVLFRSCNDDTVRLYDLPSFTERGRLYSEREVRVIHRGPFPLFFTGDGTGSVTVWKWL >EOX92677 pep chromosome:Theobroma_cacao_20110822:1:8039970:8043829:1 gene:TCM_001589 transcript:EOX92677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger WD40 repeat protein 1, putative isoform 1 MFAVEMPSHTMLSQKIYQGRAPIIALRIHILLLQRPQKQEDKVCKYWMSGHCARGNKCWYLHSWCRGDGFMMLAKLEGHKKAVHGIALPLGSEKLYSGSGDGTLRTWDCHSGHCAHLSNLGDEVSSMITEGLWVFVGMKGVIKAWNTQTAQEFSLKGPVGHVHSMVVSDNMLFAGAQDGFTFAWKSSSEAANPFQLIASMEGHSGAVLCLAVRDKKLYSGSVDHTIRVWDTDTLQCIKTLNGHEDAVTSLLHCNGCLFSCSLDCSIKVWFATEGENWEVIYTHKEENGMLALCGMNDAETKPVLFRSCNDDTVRLYDLPWFTERGRLYSEREVRVIHRGPFPLFFTGDGTGSVTVWKWL >EOX92678 pep chromosome:Theobroma_cacao_20110822:1:8040736:8043741:1 gene:TCM_001589 transcript:EOX92678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger WD40 repeat protein 1, putative isoform 1 MFAVEMPSHTMLSQKIYQGRAPIIALRIHILLLQRPQKQEDKVCKYWMSGHCARGNKCWYLHSWCRGDGFMMLAKLEGHKKVIMVRNLGYIQNKESYIILSNISCFSKLQAVHGIALPLGSEKLYSGSGDGTLRTWDCHSGHCAHLSNLGDEVSSMITEGLWVFVGMKGVIKAWNTQTAQEFSLKGPVGHVHSMVVSDNMLFAGAQDGFTFAWKSSSEAANPFQLIASMEGHSGAVLCLAVRDKKLYSGSVDHTIRVWDTDTLQCIKTLNGHEDAVTSLLHCNGCLFSCSLDCSIKVWFATEGENWEVIYTHKEENGMLALCGMNDAETKPVLFRSCNDDTVRLYDLPSFTERGRLYSEREVRVIHRGPFPLFFTGDGTGSVTVWKWL >EOX92774 pep chromosome:Theobroma_cacao_20110822:1:8563455:8564909:1 gene:TCM_001654 transcript:EOX92774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKPFAFLRRASATAVVAPSPVTTPFGQQLQPLLHCLLSQPVSASESAFLCATWFLHALHASTTTQTIALDSLKPIDFRHSDRAIVETYLEDNVTVLDACNQLSEKMQVIQEYAKSLRVVSHLLQGPTPTTLARALGLLESSWEAMERRFREIPDNKYNSRSSSSKMSRKKVAKQQDNSEYGEILNGSMAMALMACVVLGTALSFKSKRLPTNEYCSQSSIPTSWSLSLHELHKQLKGRKLAGNNSSMMLCELRQAVKVAQDLQHHIKIRNKSGVEVAGEELKKRCNGLEEQITALDEGVRELYKRLVSVRMALLGFLSRA >EOX95192 pep chromosome:Theobroma_cacao_20110822:1:33256796:33261890:1 gene:TCM_004744 transcript:EOX95192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like D5 MVKTAASPTSSPVTITVSSGGKETGSRSMGLTSPVPRASIANNPNSPSPLGSRGNRRASSGGRYCSMSKDEPIEEINSEFVSYTVHIPPTPDHQSISASQTSLSEDGKDGLKLKPERSFISGTIFTGGFNSVTRGHVIDCSLERPETVKSGLVCGMKGCDEKAIQGKCECGFKICRDCYLDCVGSGGGHCPGCKEPYKDVSDGDDDEDEVRSEAEDQALPLPSMADFKLDKRLSLVKSFKGQNHSPDFDHTRWLFETKGTYGYGNAVWPKDGYGVGSGANGFEHPPDFGERSKRPLTRKVGVSAAILSPYRLIIILRLVALGFFLTWRIRHPNRDAMWLWGMSITCELWFAFSWLLDQLPKLCPVNRLTDLSVLKERFESPNLRNPKGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAVDYPVEKLACYLSDDGGSLLTFEALAETASFARIWVPFCRKHNIEPRNPEAYFGQKRDFLKNKVRLDFVRERRRVKREYDEFKVRINSLPESIRRRSDAYNAHEELRAKKKQMEMGGNLSDPMKLPKATWMSDGSHWPGTWASAEPDHSKGDHAGIIQAMLAPPNAEPVYGSEADGDNLIDTTEVDTRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYIYNSLALREGMCFMLDRGGDRICYVQFPQRFEGIDPNDRYANHNTVFFDVSMRALDGLQGPMYVGTGCVFRRTALYGFSPPRATEHHGWFGRRKIKLFLRKPKVTKKAEDEIVLPINGEHNDDDDADIESLLLPKRFGNSTSLAASIPVAEYQGRLLQDLQGKGNQGRPAGSLAVPREPLDAATVAEAISVISCFYEDRTEWGKRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASRRMKFLQRIAYFNVGMYPFTSMFLLVYCILPAVSLFSGQFIVQSLSVTFLIFLLTITITLCLLAILEIKWSGITLHDWWRNEQFWLIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKSATPDDGDDEFAELYVVKWSFLMVPPVTIMMVNSIAIAVGVARTMYSPFPQWSKLFGGVFFSFWVLSHLYPFAKGLMGRRGKVPTIVFVWSGLLSIIISLLWVYISPPSGRQDYMKFQFP >EOX92390 pep chromosome:Theobroma_cacao_20110822:1:6654409:6656038:-1 gene:TCM_001347 transcript:EOX92390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAEYEPTHHQTHHHHHHQAIPKETALQALNTIIQLHFEKTLEKKRAIDLQKKELHKLFQLFFIFLGLVFMAQAQSTRLQCRHCWAPITLLSMSHLIFYVSVAQTLRCINGFKYQRRCHKLTLGLATEKLREMKLRINNGEFVDGFGEEGEFEIHYQEPPESYFAKFKRNWALHFGFLILIYAFMVCSSVVLLCF >EOX92391 pep chromosome:Theobroma_cacao_20110822:1:6652446:6656052:-1 gene:TCM_001347 transcript:EOX92391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAEYEPTHHQTHHHHHHQAIPKETALQALNTIIQLHFEKTLEKKRAIDLQKKELHKLFQLFFIFLGLVFMAQAQSTRLQCRHCWAPITLLSMSHLIFYVSVAQTLRCINGFKYQRRCHKLTLGLATEKLREMKLRINNGEFVDGFGEEGEFEIHYQEPPESYFAKFKRNWALHFGFLILIYAFMVCSSVVLLCF >EOX92392 pep chromosome:Theobroma_cacao_20110822:1:6654461:6656038:-1 gene:TCM_001347 transcript:EOX92392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAEYEPTHHQTHHHHHHQAIPKETALQALNTIIQLHFEKTLEKKRAIDLQKKELHKLFQLFFIFLGLVFMAQAQSTRLQCRHCWAPITLLSMSHLIFYVSVAQTLRCINGFKYQRRCHKLTLGLATEKLREMKLRINNGEFVDGFGEEGEFEIHYQEPPESYFAKFKRNWALHFGFLILIYAFMVCSSVVLLCF >EOX93677 pep chromosome:Theobroma_cacao_20110822:1:14965412:14971484:1 gene:TCM_002581 transcript:EOX93677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin isoform 1 MLSSSCFFFFFFFFLSLFLSCASLHIPTRRILHQPFFPLDSIPPSEPPSPSPPSPPTPKYPFSSSTSTPPDSPFFPAFPSAPPPPSPSSFASFPANISSLILPHTPTPKRNSQKLLILAIAAVISATIVAALIVFFYCRRRRQKRNFFDDNKTLTSDNSSRLYPNSNNNPNSNVDARKLRTTSTTSSEFLYLGTLVNSRGGIDDGSNNSRGDARLDPRKMDSPELQPLPPLSRQNTGRNFRDGEVQSLTEEEEEEFYSPRGSLGGRESSSGTGSGSRRVFSAIAAKSIQSSSTCSCSSSSSGSPARSRSLSISPPVSLSPGRSDPKSPELLQVQPSLSPERILADSPRVSNASNGNAQSPSLTLTSTSPDRVFVKESDDQRVHSPSLSPILVENPNSSVVPDRNMGSPSLSSATTSPNRVLIEKLDASIRNFNDLVQNMRTPLIISSAATSSPAKEPPINDLARYETRSPSACSTSTSPDRNVANNLSEFPRMVNDMGRNVVRSPLLTSASTSPDRALNESEQSPLLSSASSSPDRAFEKSPEMSSSPDRNVVNNLSEFPGMVNDMGRNVVRSPLLSSASTSPGRALNENERSPLLSSASSSPDRAFEKSPEMSPLMVGVSNCNKGSLSSSATSSPGRDLEKSPDACPVRISRALEKPILTPPPPPPPPPPPKQRRLWEKPVSSVSFAQQISKPPTLMPPSMPFMTQNSIKISPVELPTSSEPEAVEEVDEASKPKLKPLHWDKVRASSDREMVWDHLRSSSFKLNEEMIETLFVVNTPNSKPKQTTPRSVLPSPNQENRVLDPKKAQNIAILLRALNVTVEEVCEALLEGNADTLGTELLESLLKMAPTKEEERKLKEYKDDSPVKLCPAEKFLKTVLDIPFAFKRVDAMLYIANFDSEVEYLKKSFETLEAACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRTEGARLSNANQNQTPNSTLNEDARCRKLGLQVVSGLSSELTNVKKAAAMDSEVLSGDVSKLSRGLGNISEVLKLNETMGSDESSKKLSESMNRFMEMAEEEIIRIQAHESVALSLVKEITEYFHGNSAKEEAHPFRIFLVVRDFLAVLDRVCKEVGMINERTIISSAHKFPVPVNPMMPQVFPVPVNPMLQQAFPGLQGRPHYGSDDGTASP >EOX93678 pep chromosome:Theobroma_cacao_20110822:1:14965412:14971977:1 gene:TCM_002581 transcript:EOX93678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin isoform 1 MLSSSCFFFFFFFFLSLFLSCASLHIPTRRILHQPFFPLDSIPPSEPPSPSPPSPPTPKYPFSSSTSTPPDSPFFPAFPSAPPPPSPSSFASFPANISSLILPHTPTPKRNSQKLLILAIAAVISATIVAALIVFFYCRRRRQKRNFFDDNKTLTSDNSSRLYPNSNNNPNSNVDARKLRTTSTTSSEFLYLGTLVNSRGGIDDGSNNSRGDARLDPRKMDSPELQPLPPLSRQNTGRNFRDGEVQSLTEEEEEEFYSPRGSLGGRESSSGTGSGSRRVFSAIAAKSIQSSSTCSCSSSSSGSPARSRSLSISPPVSLSPGRSDPKSPELLQVQPSLSPERILADSPRVSNASNGNAQSPSLTLTSTSPDRVFVKESDDQRVHSPSLSPILVENPNSSVVPDRNMGSPSLSSATTSPNRVLIEKLDASIRNFNDLVQNMRTPLIISSAATSSPAKEPPINDLARYETRSPSACSTSTSPDRNVANNLSEFPRMVNDMGRNVVRSPLLTSASTSPDRALNESEQSPLLSSASSSPDRAFEKSPEMSSSPDRNVVNNLSEFPGMVNDMGRNVVRSPLLSSASTSPGRALNENERSPLLSSASSSPDRAFEKSPEMSPLMVGVSNCNKGSLSSSATSSPGRDLEKSPDACPVRISRALEKPILTPPPPPPPPPPPKQRRLWEKPVSSVSFAQQISKPPTLMPPSMPFMTQNSIKISPVELPTSSEPEAVEEVDEASKPKLKPLHWDKVRASSDREMVWDHLRSSSFKLNEEMIETLFVVNTPNSKPKQTTPRSVLPSPNQENRVLDPKKAQNIAILLRALNVTVEEVCEALLEDTLGTELLESLLKMAPTKEEERKLKEYKDDSPVKLCPAEKFLKTVLDIPFAFKRVDAMLYIANFDSEVEYLKKSFETLEAACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRTEGARLSNANQNQTPNSTLNEDARCRKLGLQVVSGLSSELTNVKKAAAMDSEVLSGDVSKLSRGLGNISEVLKLNETMGSDESSKKLSESMNRFMEMAEEEIIRIQAHESVALSLVKEITEYFHGNSAKEEAHPFRIFLVVRDFLAVLDRVCKEVGMINERTIISSAHKFPVPVNPMMPQVFPVPVNPMLQQAFPGLQGRPHYGSDDGTASP >EOX94546 pep chromosome:Theobroma_cacao_20110822:1:29993532:29995397:-1 gene:TCM_004172 transcript:EOX94546 gene_biotype:protein_coding transcript_biotype:protein_coding description:RTE1 isoform 1 MVSKTALRFASAANGAALSSSSSKSTPKGKLSSLFTHPKNPIFSNNPKSSNPNKETVESQDPLNKFLKTSCKSGTITLNEALNFFDEMTQMKPFPPMSSFNLLLGALVKIKQHNHVVVLYKKLGSIGISPDFITLNILLNCLCHMSRVSFGFAVLGRVFRWGYRPNTVTFTSLVKGLCMENKICEATRLFRKMVVFGCQPSIVSYGTLINGLCRMGNTSVALRLYEEMVRGNGVLEPNVVIYGSIIDCLCKEGMLEKAREIFLEMKGKGIHPDVVVYSSLLHGFCCMGDLEEAKGLFVEMVDQGVQPNVVTFNVLIDALCKVEKLEEANGLLDLMIQRGVDPDIFTYNTLMDGYCLAGKLNVARDLFVSMQSKENRQNAISYNIMINGYCKNWKVDEAMSLYMEMICKRIRPTVITYNTLLTGFFQAGRVEEARELFGKLQVDNITLNSCTYNTFVDGLCKNGCVSEALELFHKLENCKFKFSIEMFNSLIDGLCKTGKLQTAWELFYGLPNKGLEPTVVTFSIMIHGLCKEGQLEKANDLLIEMEEKGCSPNVVTFNTLMHGFSQNNETQKMVELLQKMVEKKLSPDASTISAVVDLLSKDEAYHETLKLLPTFPVQEPK >EOX94548 pep chromosome:Theobroma_cacao_20110822:1:29992635:29995561:-1 gene:TCM_004172 transcript:EOX94548 gene_biotype:protein_coding transcript_biotype:protein_coding description:RTE1 isoform 1 MVSKTALRFASAANGAALSSSSSKSTPKGKLSSLFTHPKNPIFSNNPKSSNPNKETVESQDPLNKFLKTSCKSGTITLNEALNFFDEMTQMKPFPPMSSFNLLLGALVKIKQHNHVVVLYKKLGSIGISPDFITLNILLNCLCHMSRVSFGFAVLGRVFRWGYRPNTVTFTSLVKGLCMENKICEATRLFRKMVVFGCQPSIVSYGTLINGLCRMGNTSVALRLYEEMVRGNGVLEPNVVIYGSIIDCLCKEGMLEKAREIFLEMKGKGIHPDVVVYSSLLHGFCCMGDLEEAKGLFVEMVDQGVQPNVVTFNVLIDALCKVEKLEEANGLLDLMIQRGVDPDIFTYNTLMDGYCLAGKLNVARDLFVSMQSKENRQNAISYNIMINGYCKNWKVDEAMSLYMEMICKRIRPTVITYNTLLTGFFQAGRVEEARELFGKLQVDNITLNSCTYNTFVDGLCKNGCVSEALELFHKLENCKFKFSIEMFNSLIDGLCKTGKLQTAWELFYGLPNKGLEPTVVTFSIMIHGLCKEGQLEKANDLLIEMEEKGCSPNVVTFNTLMHGFSQNNETQKMVELLQKMVEKKLSPDASTISAVVDLLSKDEAYHETLKLLPTFPVQEPK >EOX94547 pep chromosome:Theobroma_cacao_20110822:1:29991381:29995561:-1 gene:TCM_004172 transcript:EOX94547 gene_biotype:protein_coding transcript_biotype:protein_coding description:RTE1 isoform 1 MVSKTALRFASAANGAALSSSSSKSTPKGKLSSLFTHPKNPIFSNNPKSSNPNKETVESQDPLNKFLKTSCKSGTITLNEALNFFDEMTQMKPFPPMSSFNLLLGALVKIKQHNHVVVLYKKLGSIGISPDFITLNILLNCLCHMSRVSFGFAVLGRVFRWGYRPNTVTFTSLVKGLCMENKICEATRLFRKMVVFGCQPSIVSYGTLINGLCRMGNTSVALRLYEEMVRGNGVLEPNVVIYGSIIDCLCKEGMLEKAREIFLEMKGKGIHPDVVVYSSLLHGFCCMGDLEEAKGLFVEMVDQGVQPNVVTFNVLIDALCKVEKLEEANGLLDLMIQRGVDPDIFTYNTLMDGYCLAGKLNVARDLFVSMQSKENRQNAISYNIMINGYCKNWKVDEAMSLYMEMICKRIRPTVITYNTLLTGFFQAGRVEEARELFGKLQVDNITLNSCTYNTFVDGLCKNGCVSEALELFHKLENCKFKFSIEMFNSLIDGLCKTGKLQTAWELFYGLPNKGLEPTVVTFSIMIHGLCKEGQLEKANDLLIEMEEKGCSPNVVTFNTLMHGFSQNNETQKMVELLQKMVEKKLSPDASTISAVVDLLSKDEAYHETLKLLPTFPVQEPK >EOX95381 pep chromosome:Theobroma_cacao_20110822:1:33981197:33983711:-1 gene:TCM_004894 transcript:EOX95381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESASKKRKICRDDDDDEDDEKETMEKFYALVKSIREARDRLMINNSEAAETRSKKRKLEEVKQVVVWKPSFQHEDFMEEAQLRKPPVRVASTSRSKEGTDDQKEEVKEGLDLSLSL >EOX96045 pep chromosome:Theobroma_cacao_20110822:1:36421929:36428692:-1 gene:TCM_005393 transcript:EOX96045 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 2 isoform 1 MWNLARFAASRLSRSRRLFSSAIPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYDRFMESYRSLERNTKCQPESVVALAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRILPVMLDVGTNNQKLLEDPLYLGLRQPRLEGEEYLSIVDEFMEAVFTRWPKAIVQFEDFQMKWAFETLERYRKKFCMFNDDIQGTAGVALAGLLGTVRAQGRSLADFANQKIVVVGAGSAGLGVLSMAVQAVARMAGKSETAASNFFLLDKDGLITKERKNLDPAAAPFAKDPGQIVGLREGASLLEVVKKVKPDVLLGLSGVGGVFNEEVLKAMHESDSSKPAIFAMSNPTMNAECTAADAFKHAGENIVFASGSPFENVNLGNGKVGHVNQANNMYLFPGIGLGALLSGAHFITDGMLQAAAECLASYMTDEEIQRGILYPSINSIRHITAEVGASVLRAAVAEELAEGHGDVGPRELAHMSKEETVEYVFRNMWYPIYSPLVHEK >EOX96046 pep chromosome:Theobroma_cacao_20110822:1:36422196:36428070:-1 gene:TCM_005393 transcript:EOX96046 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 2 isoform 1 MWNLARFAASRLSRSRRLFSSAIPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYDRFMESYRSLERNTKCQPESVVALAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRILPVMLDVGTNNQKLLEDPLYLGLRQPRLEGEEYLSIVDEFMEAVFTRWPKAIVQFEDFQMKWAFETLERYRKKFCMFNDDIQGTAGVALAGLLGTVRAQGRSLADFANQKIVVVGAGSAGLGVLSMAVQAVARMAGKSETAASNFFLLDKDGLITKERKNLDPAAAPFAKDPGQIVGLREGASLLEVVKKVKPDVLLGLSGVGGVFNEEVLKAMHESDSSKPAIFAMSNPTMNAECTAADAFKHAGENIVFASGSPFENVNLGSNGKVGHVNQANNMYLFPGIGLGALLSGAHFITDGMLQAAAECLASYMTDEEIQRGILYPSINSIRHITAEVGASVLRAAVAEELAEGHGDVGPRELAHMSKEETVEYVFRNMWYPIYSPLVHEK >EOX96047 pep chromosome:Theobroma_cacao_20110822:1:36422147:36428070:-1 gene:TCM_005393 transcript:EOX96047 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 2 isoform 1 MWNLARFAASRLSRSRRLFSSAIPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYDRFMESYRSLERNTKCQPESVVALAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRILPVMLDVGTNNQKLLEDPLYLGLRQPRLEGEEYLSIVDEFMEAVFTRWPKAIVQFEDFQMKWAFETLERYRKKFCMFNDDIQGTAGVALAGLLGTVRAQGRSLADFANQKIVVVGAGSAGLGVLSMAVQAVARMAGKSETAASNFFLLDKDGLITKERKNLDPAAAPFAKDPGQIVGLREGASLLEVVKKVKPDVLLGLSGVGGVFNEEVVLKAMHESDSSKPAIFAMSNPTMNAECTAADAFKHAGENIVFASGSPFENVNLGSNGKVGHVNQANNMYLFPGIGLGALLSGAHFITDGMLQAAAECLASYMTDEEIQRGILYPSINRVFDISQQRLEHLSCELLLQRNWQKGMEMWVPESWRTCQKRRRWNTYFEICGTLFTAHLFMRSRLRILFSTIWMEAISM >EOX96044 pep chromosome:Theobroma_cacao_20110822:1:36421771:36428634:-1 gene:TCM_005393 transcript:EOX96044 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 2 isoform 1 MWNLARFAASRLSRSRRLFSSAIPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYDRFMESYRSLERNTKCQPESVVALAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRILPVMLDVGTNNQKLLEDPLYLGLRQPRLEGEEYLSIVDEFMEAVFTRWPKAIVQFEDFQMKWAFETLERYRKKFCMFNDDIQGTAGVALAGLLGTVRAQGRSLADFANQKIVVVGAGSAGLGVLSMAVQAVARMAGKSETAASNFFLLDKDGLITKERKNLDPAAAPFAKDPGQIVGLREGASLLEVVKKVKPDVLLGLSGVGGVFNEEVLKAMHESDSSKPAIFAMSNPTMNAECTAADAFKHAGENIVFASGSPFENVNLGNGKVGHVNQANNMYLFPGIGLGALLSGAHFITDGMLQAAAECLASYMTDEEIQRGILYPSINSIRHITAEVGASVLRAAVAEELAEGHGDVGPRELAHMSKEETVEYVFRNMWYPIYSPLVHEK >EOX92887 pep chromosome:Theobroma_cacao_20110822:1:9148703:9156747:-1 gene:TCM_001742 transcript:EOX92887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 4 MVRRLSTRLLNVCIASFCKAHKLEKAESVIIDGIRLGVLPDGVTYNILIDAYCRLVGIDAGYSVLHRMGEAGISPDVISYNSLIAGATRNCQISRSFNLFDEMIQRGIAPDIWSYNTLMHGFFKLGKPDEANRVFRDIILVEHLPCVATFNIMMNGLCKNGYTENAFMLFRNLQRHGFVPELMTYNILVSGLCKIGRLGLAMRIFKEIVESGHVPNAITYTPVLRCCFRKRKFEEGLELLSEMKSKGYTFDGFAYCTVIGALIKIGKMKEATEFMVEMMRTGIELDIVSYNTLINMYCKDNKLEEAYKLLDDIEKKGLECDKYTHTIMIDALCKAGNIEGAARHLKYMSTMGFDSNLAAYNCFIDGLCKVGQIDNAMKVFKSMEVRDSFTYSSLVHNLCRAGRYRSASKLLLSCLRSGMKILKSAQRAVLSGLRYSGFPGEARKVQSKIRIARILNY >EOX92886 pep chromosome:Theobroma_cacao_20110822:1:9148703:9156747:-1 gene:TCM_001742 transcript:EOX92886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 4 MVRRLSTRLLNVCIASFCKAHKLEKAESVIIDGIRLGVLPDGVTYNILIDAYCRLVGIDAGYSVLHRMGEAGISPDVISYNSLIAGATRNCQISRSFNLFDEMIQRGIAPDIWSYNTLMHGFFKLGKPDEANRVFRDIILVEHLPCVATFNIMMNGLCKNGYTENAFMLFRNLQRHGFVPELMTYNILVSGLCKIGRLGLAMRIFKEIVESGHVPNAITYTPVLRCCFRKRKFEEGLELLSEMKSKGYTFDGFAYCTVIGALIKIGKMKEATEFMVEMMRTGIELDIVSYNTLINMYCKDNKLEEAYKLLDDIEKKGLECDKYTHTIMIDALCKAGNIEGAARHLKYMSTMGFDSNLAAYNCFIDGLCKVGQIDNAMKVFKSMEVRDSFTYSSLVHNLCRAGRYRSASKLLLSCLRSGMKILKSAQRAVLSGLRYSGFPGEARKVQSKIRIARILNY >EOX92888 pep chromosome:Theobroma_cacao_20110822:1:9155303:9156775:-1 gene:TCM_001742 transcript:EOX92888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 4 MNIVFLLQVFFFFWNCLALCGLVLECTLRKRKRMVRRLSTRLLNVCIASFCKAHKLEKAESVIIDGIRLGVLPDGVTYNILIDAYCRLVGIDAGYSVLHRMGEAGISPDVISYNSLIAGATRNCQISRSFNLFDEMIQRGIAPDIWSYNTLMHGFFKLGKPDEANRVFRDIILVEHLPCVATFNIMMNGLCKNGYTENAFMLFRNLQRHGFVPELMTYNILVSGLCKIGRLGLAMRIFKEIVESGHVPNAITYTPVLRCCFRKRKFEEGLELLSEMKSKGYTFDGFAYCTVIGALIKIGKMKEATEFMVEMMRTGIELDIVSYNTLINMYCKDNKLEEAYKLLDDIEKKGLECDKYTHTIMIDALCKAGNIEGAARHLKYMSTMGFDSNLAAYNCFIDGLCKVGQIDNAMKVFKSMEVRDSFTYSSLVHNLCRAGRYRSASKLLLSCLRSGMKILKSAQRAVLSGLRYSGFPGEARKVQSKIRIARILNY >EOX92885 pep chromosome:Theobroma_cacao_20110822:1:9148864:9157062:-1 gene:TCM_001742 transcript:EOX92885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 4 MRTSPVLFSSSLPISIAKLAKTKVFFFFWNCLALCGLVLECTLRKRKRMVRRLSTRLLNVCIASFCKAHKLEKAESVIIDGIRLGVLPDGVTYNILIDAYCRLVGIDAGYSVLHRMGEAGISPDVISYNSLIAGATRNCQISRSFNLFDEMIQRGIAPDIWSYNTLMHGFFKLGKPDEANRVFRDIILVEHLPCVATFNIMMNGLCKNGYTENAFMLFRNLQRHGFVPELMTYNILVSGLCKIGRLGLAMRIFKEIVESGHVPNAITYTPVLRCCFRKRKFEEGLELLSEMKSKGYTFDGFAYCTVIGALIKIGKMKEATEFMVEMMRTGIELDIVSYNTLINMYCKDNKLEEAYKLLDDIEKKGLECDKYTHTIMIDALCKAGNIEGAARHLKYMSTMGFDSNLAAYNCFIDGLCKVGQIDNAMKVFKSMEVRDSFTYSSLVHNLCRAGRYRSASKLLLSCLRSGMKILKSAQRAVLSGLRYSGFPGEARKVQSKIRIARILNY >EOX92889 pep chromosome:Theobroma_cacao_20110822:1:9153825:9156916:-1 gene:TCM_001742 transcript:EOX92889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 4 MNIVFLLQVFFFFWNCLALCGLVLECTLRKRKRMVRRLSTRLLNVCIASFCKAHKLEKAESVIIDGIRLGVLPDGVTYNILIDAYCRLVGIDAGYSVLHRMGEAGISPDVISYNSLIAGATRNCQISRSFNLFDEMIQRGIAPDIWSYNTLMHGFFKLGKPDEANRVFRDIILVEHLPCVATFNIMMNGLCKNGYTENAFMLFRNLQRHGFVPELMTYNILVSGLCKIGRLGLAMRIFKEIVESGHVPNAITYTPVLRCCFRKRKFEEGLELLSEMKSKGYTFDGFAYCTVIGALIKIGKMKEATEFMVEMMRTGIELDIVSYNTLINMYCKDNKLEEAYKLLDDIEKKGLECDKYTHTIMIDALCKAGNIEGAARHLKYMSTMGFDSNLAAYNCFIDGLCKVGQIDNAMKVFKSMEVRDSFTYSSLVHNLCRAGRYRSASKLLLSCLRSGMKILKSAQRAVLSGLRYSGFPGEARKVQSKIRIARILNY >EOX94686 pep chromosome:Theobroma_cacao_20110822:1:30868182:30875048:1 gene:TCM_004301 transcript:EOX94686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MVRQISLLSCKYGPVVGKISHSKFLCLTNIAGQVTSVLLFRVKMATSNGEGVEVVGKTEKSGTEEKALGSIGNLSNKDMFQRADKIDFKSWDLHLDKHLSRAWSRDDRDISTKTKKEEWEIDLAKLDIRHVIAHGTYGTVYRGVYDSQDVAVKVLDWGEDGIATAAEAAALRASFRQEVAVWHKLDHPNVTKFIGASMGTSNLKIPTKGATTENNNSLPSRACCVVVEYLPGGTLKNFLIRNRRKKLAFKVVIQIALDLSRGLSYLHSKKIVHRDVKTENMLLDPRRTLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYADLSFAEVSSAVVRQNLRPEIPRCCPSSLASIMRKCWDAHPERRPDMDEVVRLLEAVDTSKGGGMIPDDQAPGCFCFTARGP >EOX94685 pep chromosome:Theobroma_cacao_20110822:1:30868983:30875231:1 gene:TCM_004301 transcript:EOX94685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MRLGWSSHIGAALQIIHATNINIFKKRGKKKGCQLMATSNGEGVEVVGKTEKSGTEEKALGSIGNLSNKDMFQRADKIDFKSWDLHLDKHLSRAWSRDDRDISTKTKKEEWEIDLAKLDIRHVIAHGTYGTVYRGVYDSQDVAVKVLDWGEDGIATAAEAAALRASFRQEVAVWHKLDHPNVTKFIGASMGTSNLKIPTKGATTENNNSLPSRACCVVVEYLPGGTLKNFLIRNRRKKLAFKVVIQIALDLSRGLSYLHSKKIVHRDVKTENMLLDPRRTLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYADLSFAEVSSAVVRQNLRPEIPRCCPSSLASIMRKCWDAHPERRPDMDEVVRLLEAVDTSKGGGMIPDDQAPGCFCFTARGP >EOX95508 pep chromosome:Theobroma_cacao_20110822:1:34507875:34513954:1 gene:TCM_004990 transcript:EOX95508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3754) [Source:Projected from Arabidopsis thaliana (AT2G46915) TAIR;Acc:AT2G46915] MFSWQTLTSVTVPSSSLKRYRLYGARSLDSYRILRAAATLKVPGISPRLRAISTEPIESRSDDVRLTLSDTEDLVPRNENEKEEEEEEEKPGISRIQVSRQKYIPVSKAELLDAIVSRLFDSQDEDARQFRLLSSCLDSILHAEHRSILEQMRTDYYFSHSTERERKKTAVSESEVVANGETSNLTEDSIEPDTRFNYGLDLTNFLSSSAKNVRRYSDDKSRVAVATRFQRAFMQLLNDAQFEELSVRDLMLTNALNTDYLLTLPIYVDWKRASESNAIIFRRGYATERQKGLLIVEKLDYLQSRLLRGIFSIISKPVGKVGKWISYNLNEFVFITLGQALKDASQEEETQNWIGRVKLWLEEVSFFQQSYFNNEQNFENVPGMDQLSDCDLPIWLAAQRAISRYEGFLSPVGPRGRLLRKLLARIGVIRPTSERPFQAHSDSTVSEPYLRPTFLSRISLSDIWRPATRKYCGNDVWKMLKTSVSILLSWSVLQEPAFQELILLYTKDFAEEDTEDNAEAQASQLKIYKRIPIPDLPVNSPNNSMSVIFPHKKLSFRIIDTVRLDVATILGLLAFFINYKFEDILSSPSAIFLDVVAITALIIYVSRVALGYKQTWDRYQLLVNKTLYEKTLASGFGSVHFLLDASEQQQYKEAILTYAILLNMENGQAACHQKVGEKCERFMYDVFKRKVEMPVDKALNTLVRLGLVTETTVDGRLKLDTVPCPKAYEALKDRWHDLLG >EOX95509 pep chromosome:Theobroma_cacao_20110822:1:34508178:34514065:1 gene:TCM_004990 transcript:EOX95509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3754) [Source:Projected from Arabidopsis thaliana (AT2G46915) TAIR;Acc:AT2G46915] MFSWQTLTSVTVPSSSLKRYRLYGARSLDSYRILRAAATLKVPGISPRLRAISTEPIESRSDDVRLTLSDTEDLVPRNENEKEEEEEEEKPGISRIQVSRQKYIPVSKAELLDAIVSRLFDSQDEDARQFRLLSSCLDSILHAEHRSILEQMRTDYYFSHSTERERKKTAVSESEVVANGETSNLTEDSIEPDTRFNYGLDLTNFLSSSAKNVRRYSDDKSRVAVATRFQRAFMQLLNDAQFEELSVRDLMLTNALNTDYLLTLPIYVDWKRASESNAIIFRRGYATERQKGLLIVEKLDYLQSRLLRGIFSIISKPVGKVGKWISYNLNEALKDASQEEETQNWIGRVKLWLEEVSFFQQSYFNNEQNFENVPGMDQLSDCDLPIWLAAQRAISRYEGFLSPVGPRGRLLRKLLARIGVIRPTSERPFQAHSDSTVSEPYLRPTFLSRISLSDIWRPATRKYCGNDVWKMLKTSVSILLSWSVLQEPAFQELILLYTKDFAEEDTEDNAEAQASQLKIYKRIPIPDLPVIFPHKKLSFRIIDTVRLDVATILGLLAFFINYKFEDILSSPSAIFLDVVAITALIIYVSRVALGYKQTWDRYQLLVNKTLYEKTLASGFGSVHFLLDASEQQQYKEAILTYAILLNMENGQAACHQKVGEKCERFMYDVFKRKVEMPVDKALNTLVRLGLVTETTVDGRLKLDTVPCPKAYEALKDRWHDLLG >EOX95510 pep chromosome:Theobroma_cacao_20110822:1:34508060:34511425:1 gene:TCM_004990 transcript:EOX95510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3754) [Source:Projected from Arabidopsis thaliana (AT2G46915) TAIR;Acc:AT2G46915] MFSWQTLTSVTVPSSSLKRYRLYGARSLDSYRILRAAATLKVPGISPRLRAISTEPIESRSDDVRLTLSDTEDLVPRNENEKEEEEEEEKPGISRIQVSRQKYIPVSKAELLDAIVSRLFDSQDEDARQFRLLSSCLDSILHAEHRSILEQMRTDYYFSHSTERERKKTAVSESEVVANGETSNLTEDSIEPDTRFNYGLDLTNFLSSSAKNVRRYSDDKSRVAVATRFQRAFMQLLNDAQFEELSVRDLMLTNALNTDYLLTLPIYVDWKRASESNAIIFRRGYATERQKGLLIVEKLDYLQSRLLRGIFSIISKPVGKVGKWISYNLNEALKDASQEEETQNWIGRVKLWLEEVSFFQQSYFNNEQNFENVPGMDQLSDCDLPIWLAAQRAISRYEGFLSPVGPRGRLLRKLLARIGVIRPTSERPFQAHSDSTVSEPYLRPTFLSRISLSDIWRPATRKYCGNDVWKMLKTSVSILLSWSVLQEPAFQELILLYTKDFAEEDTEDNAEAQASQLKIYKRIPIPDLPVNSPNNSMLFFLTKSCL >EOX91254 pep chromosome:Theobroma_cacao_20110822:1:2056683:2060462:-1 gene:TCM_000501 transcript:EOX91254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 2 MAADKPSSKGQAWFCATGLPSDIMIEVDDMTFHLHKFPLMSKSRKLDQLIAEQGRKSSTTTVTKQRQRETETEIEEEEGEYQEEQENEEEEDQYQISLPDFPGGSETFEGAAKFCYGVKIDLSSSTVVPLRCAAEFLEMTEEYSEAESLGIVQRLIESIAARASSTDPTLFGWPVNDGIVEAKGASSQALWNGIETGLRRKSLGRTNNVESWFEDLALLSLPLFKRLILTLKTRDLSPEIIESCLMCYAKKYIPGTSRSNRKPSTSSSASGVSEGEQRELLETIISNLPLEKSPTRSSTATRFLFGLLRTANILNASESCKAALEKKIGFQLEQATLDDLLIPSYSYLNETLYDVDCIERILGYFLDGLEERNAEGIEAETRGNNNNILNNNSVRPPALMLVGKLIDGYLSEIASDANLKPEKFYNLAISLPDQARLFDDGLYRAVDVYLKAHPWIPESEREKICGVLDCQKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAGTLLAAEAAPTNAGRLSEAMREEEDEEEEERAGVVSVSRGEEGSSTWRAAVRENQVLRLDMDSMRTRVHQLERECSTMKKVIEKIDKEGPRGGGWRGSLNRRFGCKFRTQVCDSHESTVTETRRGRHQSHHHHHEQ >EOX91253 pep chromosome:Theobroma_cacao_20110822:1:2056818:2062483:-1 gene:TCM_000501 transcript:EOX91253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 2 MCYRVDCKQCGKYSWGGCGNHLSTLYASIDEGKRCMCRSWPGVVIPSANNVAANSNQPPATGTLPSGILALGLLFSRFPSLVHNSFSENPRVSDFNKEKMAADKPSSKGQAWFCATGLPSDIMIEVDDMTFHLHKFPLMSKSRKLDQLIAEQGRKSSTTTVTKQRQRETETEIEEEEGEYQEEQENEEEEDQYQISLPDFPGGSETFEGAAKFCYGVKIDLSSSTVVPLRCAAEFLEMTEEYSEGNLISKTERFLSQSVFKSLKESVKALKSCESVMSLAESLGIVQRLIESIAARASSTDPTLFGWPVNDGIVEAKGASSQALWNGIETGLRRKSLGRTNNVESWFEDLALLSLPLFKRLILTLKTRDLSPEIIESCLMCYAKKYIPGTSRSNRKPSTSSSASGVSEGEQRELLETIISNLPLEKSPTRSSTATRFLFGLLRTANILNASESCKAALEKKIGFQLEQATLDDLLIPSYSYLNETLYDVDCIERILGYFLDGLEERNAEGIEAETRGNNNNILNNNSVRPPALMLVGKLIDGYLSEIASDANLKPEKFYNLAISLPDQARLFDDGLYRAVDVYLKAHPWIPESEREKICGVLDCQKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAGTLLAAEAAPTNAGRLSEAMREEEDEEEEERAGVVSVSRGEEGSSTWRAAVRENQVLRLDMDSMRTRVHQLERECSTMKKVIEKIDKEGPRGGGWRGSLNRRFGCKFRTQVCDSHESTVTETRRGRHQSHHHHHEQ >EOX95874 pep chromosome:Theobroma_cacao_20110822:1:35859198:35870033:-1 gene:TCM_005272 transcript:EOX95874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSLENEEQHSLDQPTDINKESQKNSRISYTRDFLLSLSELDVCKKLPPGFDQSIFGGFEDTSQDRQRIPGTLSGFRRNEYGSSPPTRGDSGNFSRGIHGRWDSRSIGRSDRDNDSQSDWDSDSGRRYGNQSRRSWQGPEHDGLLGSGSFPRPSGYAAGASAPKFRANDQYHLNRSNEPYHPPRPYKAVPHSRRETSDSYNDETFGSTECTSEDRAEEERKRRASFESWRKEQQKAFQEKKMNPERRKDDFDISELLVDTKDDKGLLNRSKESDEPIPASNIDSDKCSLPSQAPASRPLVPPGFTSTVLERTVGSKTSMHSYPSQIESSETVGSLSEAKGSLLLNGTSDDIFSKQSKEYAGKTLSEQQVESASIHLSVDDKSGKAQNISSPLHKSNEAISMDSQIYKTSSLSEAFEAPGSNKVTELDSKKVPMDEIVTETNQDGSTSILDKLFGSALTPNGGGSTNFTEPSDSKADETWAPDTSHSSKFAHLFLDEEKKPVDDMSTGRPKDLLSLIQGGEKGGSHVSDRLATKHVPLKFQFQISELADKHVISNLTSPGIENAEQLCNINDVKPAVAPAILTCEDLEKSILSESTENDPNLSPAVGGWKVPDAKAEQQKVNIDNHASQHLLSLLQKGTSMTNIISSTNLDIRSSEQVQNIETASVDTAPHDLIEANAENASSSGKTLTLEALFGSAFMKELQSVGAPASVQRGSIESARVDVLESSRPLLHVADDSLLPSTVHIGSNILPFTQREQIKSDGIEEHLLGYNDARSAMDSLHLRAELGSKLSGFDGSTEIRLPEEDSLIAVSDPVKLQNFMPARNSVKVELLPSQETPIDVAEKLAALKAVLRDERPIIGGQEGPPFLPGPYDIREPDIPFHNQNVQPSSPRLHPPQVNHGGPLIHPLESHPSNINSQVKFMSPEGIIHHDPQPNHQFPASMLRPPFHHPSSGLTGFEPSMHHPMLQQMPMPGNFPPPHLQRGFPGGAPLPPHSNNQATGFIQEVNPMHGFPFGHRQPQPNFAGLGMPPGHDVGSGSHHPEALQRLIEMELRSNSKQIHPFGAAGHSQGMYGHELDMGFRYR >EOX95875 pep chromosome:Theobroma_cacao_20110822:1:35862794:35869554:-1 gene:TCM_005272 transcript:EOX95875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSLENEEQHSLDQPTDINKESQKNSRISYTRDFLLSLSELDVCKKLPPGFDQSIFGGFEDTSQDRQRIPGTLSGFRRNEYGSSPPTRGDSGNFSRGIHGRWDSRSIGRSDRDNDSQSDWDSDSGRRYGNQSRRSWQGPEHDGLLGSGSFPRPSGYAAGASAPKFRANDQYHLNRSNEPYHPPRPYKAVPHSRRETSDSYNDETFGSTECTSEDRAEEERKRRASFESWRKEQQKAFQEKKMNPERRKDDFDISELLVDTKDDKGLLNRSKESDEPIPASNIDSDKCSLPSQAPASRPLVPPGFTSTVLERTVGSKTSMHSYPSQIESSETVGSLSEAKGSLLLNGTSDDIFSKQSKEYAGKTLSEQQVESASIHLSVDDKSGKAQNISSPLHKSNEAISMDSQIYKTSSLSEAFEAPGSNKVTELDSKKVPMDEIVTETNQDGSTSILDKLFGSALTPNGGGSTNFTEPSDSKADETWAPDTSHSSKFAHLFLDEEKKPVDDMSTGRPKDLLSLIQGGEKGGSHVSDRLATKHVPLKFQFQISELADKHVISNLTSPGIENAEQLCNINDVKPAVAPAILTCEDLEKSILSESTENDPNLSPAVGGWKVPDAKAEQQKVNIDNHASQHLLSLLQKGTSMTNIISSTNLDIRSSEQVQNIETASVDTAPHDLIEANAENASSSGKTLTLEALFGSAFMKELQSVGAPASVQRGSIESARVDVLESSRPLLHVADDSLLPSTVHIGSNILPFTQREQIKSDGIEEHLLGYNDARSAMDSLHLRAELGSKLSGFDGSTEIRLPEEDSLIAVSDPVKLQNFMPARNSVKVELLPSQETPIDVAEKLAALKAVLRDERPIIGGQEGPPFLPGPYDIREPDIPFHNQNVQPSSPRLHPPQVNHGGPLIHPLESHPSNINSQVKFMSPEGIIHHDPQPNHQFPASMLRPPFHHPSSGLTGFEPSMHHPMLQQMPMPGNFPPPHLQRGFPGGAPLPPHSNNQATGFIQEVNPMHGFPFGHRQPQPNFAGLGMPPGHDVGSGSHHPEALQRLIEMELRSNSKQIHPFGAAGHSQGMYGHELDMGFRYR >EOX96424 pep chromosome:Theobroma_cacao_20110822:1:37741089:37742554:-1 gene:TCM_005673 transcript:EOX96424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MANTDQESDPLIENNHQPQDSVIEIPIEVLELAPECCIYKVPSRFREANQKAYIPQLISIGPLHHGNENLATMERQKLRYYKKFSERTCEKTLKQFESYIEDHAEVFIIELFLRESEKYSDDDFLSRKALLSVELPTDLMLLENQLPFFVLKELYNLAFPNSDISFLELACWYFDIHTDRSLKEKEIKHFTDLIRYQVVSNRPRNIDRSRIHNIYNASMLHEAGVKFTGVKSGIFKIGRSTINNTINSLLDVDFEKGELKLPAIDVAYESETRFRNLMAFEQCHYPHKAHFCSYIQLLDSLVDTSEDVDLLVKERIIVNRLGSSAAVADMINNLAVGIVHSTTLYGKIGRDLNQYNDNSWNHRWAALKHVYFNNLWRGTATVAAFIVVVLTLTQTILAILERVKPTK >EOX96423 pep chromosome:Theobroma_cacao_20110822:1:37741097:37742957:-1 gene:TCM_005673 transcript:EOX96423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MANTDQESDPLIENNHQPQDSVIEIPIEVLELAPECCIYKVPSRFREANQKAYIPQLISIGPLHHGNENLATMERQKLRYYKKFSERTCEKTLKQFESYIEDHAEGICRCYEIQCILLLEAPKFKKIILHDAVFIIELFLRESEKYSDDDFLSRKALLSVELPTDLMLLENQLPFFVLKELYNLAFPNSDISFLELACWYFDIHTDRSLKEKEIKHFTDLIRYQVVSNRPRNIDRSRIHNIYNASMLHEAGVKFTGVKSGIFKIGRSTINNTINSLLDVDFEKGELKLPAIDVAYESETRFRNLMAFEQCHYPHKAHFCSYIQLLDSLVDTSEDVDLLVKERIIVNRLGSSAAVADMINNLAVGIVHSTTLYGKIGRDLNQYNDNSWNHRWAALKHVYFNNLWRGTATVAAFIVVVLTLTQTILAILERVKPTK >EOX96422 pep chromosome:Theobroma_cacao_20110822:1:37740952:37745001:-1 gene:TCM_005673 transcript:EOX96422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MANTDQESDPLIENNHQPQDSVIEIPIEVLELAPECCIYKVPSRFREANQKAYIPQLISIGPLHHGNENLATMERQKLRYYKKFSERTCEKTLKQFESYIEDHAEGICRCYEIQCILLLEAPKFKKIILHDAVFIIELFLRESEKYSDDDFLSRKALLSVELPTDLMLLENQLPFFVLKELYNLAFPNSDISFLELACWYFDIHTDRSLKEKEIKHFTDLIRYQVVSNRPRNIDRSRIHNIYNASMLHEAGVKFTGVKSGIFKIGRSTINNTINSLLDVDFEKGELKLPAIDVAYESETRFRNLMAFEQCHYPHKAHFCSYIQLLDSLVDTSEDVDLLVKERIIVNRLGSSAAVADMINNLAVGIVHSTTLYGKIGRDLNQYNDNSWNHRWAALKHVYFNNLWRGTATVAAFIVVVLTLTQTILAILERVKPTK >EOX94612 pep chromosome:Theobroma_cacao_20110822:1:30449254:30449745:1 gene:TCM_004240 transcript:EOX94612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRPPMATCQASPAGGYSFLFGLGRVRDFTLFFYLTSGNFCFAVKGRGFSDFSIYVRSLIKVAILHFIVSVLTNKTASSLSDIHFPTITI >EOX95622 pep chromosome:Theobroma_cacao_20110822:1:34930758:34934294:1 gene:TCM_005074 transcript:EOX95622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox 7 MLDGEEYTQEMPEPFASMNEVTTTKKKKNKNKRRFSDEQIKSLELMFESESRLEPRKKVQVARELGLQPRQVAIWFQNKRARWKSKQLEKDYGILQANYNNLASKFESLKKEKQALVIQLQKLNDLLKKPKEEGQCCGQVTTTNSIDGDSEKGEAVKSDSEGQLSLSMERSEHALGVLSDDDSVIKTDYFGLEEEPSLINMVEPADGSLTSPEDWRSFDSVGLFDQSGSGYQCFPGVYHFRPGSRKLEFTVYSEIMGNVCVGMGTERPRKVEQGVHSNMTYGHSARTLKDGIQIPTLQNPIFFLFSLSPCCFSGGVLLFAQLFHPARDSMGLVGQ >EOX94073 pep chromosome:Theobroma_cacao_20110822:1:19967155:19978894:1 gene:TCM_003165 transcript:EOX94073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase family C54 protein isoform 1 MRRIHERVLGPSKIGISSSTSDIWLLGVCYKISQVSSSGDVDASNGLAAFKRDFSSRILMTYRKGFDAIGDTKITSDFGWGCMLRSSQMLVAQALLFHQLGRSWRKPLQKPFEQAYIEILHQFGDSEATAFSIHNLVEAGKIYGLAAGSWVGPYAMCRSWESLARFKREENDLEHQSLPMAVYVVSGDEDGERGGAPVVCVEDASRHCFEFSRCRADWTPILLLVPLVLGLDKVNSRYIPSLQATFTFPQCLGILGGKPGASTYIVGVQEENVFYLDPHDVQLVVNLSQDNQEADTSSYHCDIIRHIPLDSIDPSLAIGFFCRDKDDFDDFCLRASKLADESNGAPLFTVAQTHSSFKPISHGNALDDTGEVREDDSLGVVPDMDGSIHEDDWQLL >EOX94072 pep chromosome:Theobroma_cacao_20110822:1:19967126:19979184:1 gene:TCM_003165 transcript:EOX94072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase family C54 protein isoform 1 MKGFHERNVALKCPSKSSIDSSHSSPSSGSEPGPSDCKFSKSSVWSNLFASAFSIFDTYSESSACEKKALHARNNGWTAAVKRVVSGGSMRRIHERVLGPSKIGISSSTSDIWLLGVCYKISQVSSSGDVDASNGLAAFKRDFSSRILMTYRKGFDAIGDTKITSDFGWGCMLRSSQMLVAQALLFHQLGRSWRKPLQKPFEQAYIEILHQFGDSEATAFSIHNLVEAGKIYGLAAGSWVGPYAMCRSWESLARFKREENDLEHQSLPMAVYVVSGDEDGERGGAPVVCVEDASRHCFEFSRCRADWTPILLLVPLVLGLDKVNSSFCKEDSTFETEGELHLDFAYLEYIPSLQATFTFPQCLGILGGKPGASTYIVGVQEENVFYLDPHDVQLVVNLSQDNQEADTSSYHCDIIRHIPLDSIDPSLAIGFFCRDKGLPVDLVDDFDDFCLRASKLADESNGAPLFTVAQTHSSFKPISHGNALDDTGEVREDDSLGVVPDMDGSIHEDDWQLL >EOX94074 pep chromosome:Theobroma_cacao_20110822:1:19967155:19978894:1 gene:TCM_003165 transcript:EOX94074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase family C54 protein isoform 1 MKGFHERNVALKCPSKSSIDSSHSSPSSGSEPGPSDCKFSKSSVWSNLFASAFSIFDTYSESSACEKKALHARNNGWTAAVKRVVSGGSMRRIHERVLGPSKIGISSSTSDIWLLGVCYKISQVSSSGDVDASNGLAAFKRDFSSRILMTYRKGFDAIGDTKITSDFGWGCMLRSSQMLVAQQALLFHQLGRSWRKPLQKPFEQAYIEILHQFGDSEATAFSIHNLVEAGKIYGLAAGSWVGPYAMCRSWESLARFKREENDLEHQSLPMAVYVVSGDEDGERGGAPVVCVEDASRHCFEFSRCRADWTPILLLVPLVLGLDKVNSRYIPSLQATFTFPQCLGILGGKPGASTYIVGVQEENVFYLDPHDVQLVVNLSQDNQEADTSSYHCDIIRHIPLDSIDPSLAIGFFCRDKDDFDDFCLRASKLADESNGAPLFTVAQTHSSFKPISHGNALDDTGEVREDDSLGVVPDMDGSIHEDDWQLL >EOX94583 pep chromosome:Theobroma_cacao_20110822:1:30327456:30328989:-1 gene:TCM_004215 transcript:EOX94583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primosome PriB/single-strand DNA-binding, putative isoform 3 MAFGQTLMSSRNLLFTVPRNPHTNIISLSPTPQYSSFSLTKRPRSFRTLKCSVDYRDQNYNLQVTYPKPPEIPWSKDLCNTVHLIGNVGAAVDMKHLPSGKVLAWTRLAVKKSSTDTTWINLTFWDELANTAYQHVEKGQQIYVCGRLVSDTVESDDGKQQTYYKIVVQQLNFVERNSSSVASYDRGSSVVPSKSYCPFG >EOX94584 pep chromosome:Theobroma_cacao_20110822:1:30326953:30329007:-1 gene:TCM_004215 transcript:EOX94584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primosome PriB/single-strand DNA-binding, putative isoform 3 MAFGQTLMSSRNLLFTVPRNPHTNIISLSPTPQYSSFSLTKRPRSFRTLKCSVDYRDQNYNLQVTYPKPPEIPWSKDLCNTVHLIGNVGAAVDMKHLPSGKVLAWTRLAVKKSSTDTTWINLTFWDELANTAYQHVEKGQQIYVCGRLVSDTVESDDGKQQTYYKRSPKYPDFKHKDTGEALWIEGRNNPHWVKSQLEILDSRMSYFEDEDERMHASITGDNLTPF >EOX94582 pep chromosome:Theobroma_cacao_20110822:1:30326953:30328867:-1 gene:TCM_004215 transcript:EOX94582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primosome PriB/single-strand DNA-binding, putative isoform 3 MAFGQTLMSSRNLLFTVPRNPHTNIISLSPTPQYSSFSLTKRPRSFRTLKCSVDYRDQNYNLQVTYPKPPEIPWSKDLCNTVHLIGNVGAAVDMKHLPSGKVLAWTRLAVKKSSTDTTWINLTFWDELANTAYQHVEKGQQIYVCGRLVSDTVESDDGKQQTYYKIVVQQLNFVERNSSSVASYDRGSSVVPNRKFGGNVVNNMGSVEELWQAFFANPTEWWDNRKNKRSPKYPDFKHKDTGEALWIEGRNNPHWVKSQLEILDSRMSYFEDEDERMHASITGDNLTPF >EOX92942 pep chromosome:Theobroma_cacao_20110822:1:9544188:9551747:-1 gene:TCM_001804 transcript:EOX92942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase of Uncharacterized protein function (DUF1680), putative MHCQFLILVFFSLCGGAMCKVCTNHNYEIAEELRKAAASASHAQPANISSLDEFNWAKIEQKLQRLQVPRHFLKEIPLQAVRLDPKGLHGRAQQTTLEYILMLDVDRLVWSFRKTAGLPTPGQPYGGWEETTGELRGHFVGHYLSATALMWASTYDQRVQQKMTHLVDALSDCQQKLGTGYLSAFPSEQFDRVEALQNCWAPYYTIHKIMAGLLDQHTVAGNPKALKMLIWMVDYFYNRVQNVISKYSVERHYLMLNEEHGGMNELLYRLYDITGDDLSGYHSNTHIPIVIGSQVRYEITGDPLYEAIGSYFMDIVNSSHCYATGGTSVREFWTDPKQLATTLETQNEETCTTYNMLKVSRHLFRWTKEMAYADYLERTLTNGILSVQRGTKAGVFIYFFPLGRGVSKAISQWGWGTPFDSFWCCYGTATETFSKLGDSIYFEEEGKAPTLYIIQFVSSFLDWRSGNTGLYQMVQPVVSWDPNLRVEILFPIQGTAKQATLNIRVPFWSGSFDAKAAINGQSLDLPPPGSFLSVTKKWNAGDKLTLQLPITLRLEHIQDDRPEYASVQAILFGPYLLAGLSNGDWDIRIGKATNSPLEWITPFPQTYNSHLVSLSQGIGDSTFALSKNAHSITMLTYPQPGTNTSVNATFRIILNDPTFKGFSSIKDVIGKSVVLEPFDLPGMAVAHQGPDKNLVVLNSDTAKDSSSFHLVAGLDGNHDTVSLEADSYKGCFVINSGVNNQTLSTVKADANSTSGPSVKLTCNPALPESKNAVSFRLSKGVSEYHPISFVAKGATRNFLLQPILSIRDEHYTIYFNITA >EOX94466 pep chromosome:Theobroma_cacao_20110822:1:28861562:28862925:1 gene:TCM_004050 transcript:EOX94466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTEPTKIARSPLRKVPCISLNMSYNDPNPNTETQRAQHAFPQASRCHCYIRTVDPTFASGTFVQVGSNFPTKAE >EOX93211 pep chromosome:Theobroma_cacao_20110822:1:11267332:11276285:-1 gene:TCM_002049 transcript:EOX93211 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MSRPYQLSVQTMKKAELVFVPMPGIGHLVSTVGVAKLLVDLNSNLFVTVLIIKPPYDPNLTAYVDSLIADTDSISTRIKFINLPQDETQQGIPLNKFITTIIQSQGPRIKEAVAKIVHFSSSVPDSPRLAGFVLDFFLTALVDLANEFGVASYVFYTSSAASLGFQFYMQALHDEQNVDIVKLKGSDAEFTIPSYFNPISAKFFPTIMFKPETSTIMHNVSRELRKVKGIMVNTFSELESHAVNSLTDGKYPAVYPVGPILNLKGASGVHQNSYIMKWLDEQPLSSVVFLCFGSMGSFGGDQAKEIARALEQSGHRFLWSLRRPSVEGMMLSPTDYENVAEVLPEGFLERTATIGKIIGWAPQVAILGHPAIGGFVSHCGWNSTLESIWFGVPMATWPLYAEQQLNAFQMVMELGLGVEITWTYETMEIVSAENIERGIRCLMEQDSDVRNRAKEMSKQSRKALMEGRSSHSMLCRFINDVIDNMP >EOX94571 pep chromosome:Theobroma_cacao_20110822:1:30247149:30250396:1 gene:TCM_046979 transcript:EOX94571 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase Rpb7 N-terminal domain-containing protein MFYLSLIEHTLRLPPHLLNLPLDEAIKIELEALFLDKVIANLGLCISVYDIRSIKGGFIYPGDGASTYTVEFRLIVFRPFVGEIIVAKLKESDANGLRLSLGFFDDIYIPVHLLPIPSRFESDPNNRNQGRWIWDFGEAEEAQSEEPRFVIDGMDQIKFRVHSVIYPSIPLEQPENSKPFAPMVITGSIDYDGLGPVSWWEEAEMVQEES >EOX92265 pep chromosome:Theobroma_cacao_20110822:1:6001433:6003708:1 gene:TCM_001237 transcript:EOX92265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 HLSPSLPLAWPPPILVYQRPLMGESRPRTSAATSNDIEPPTPTTPKTPRAPSTPRQPVSLVQPSQKHKKHKPRVLRVFRSVFRSFPIITPACKFPSLPGGIPDPHKSITSGTRVTGTLFGYRKGRVSLSVQETPRCLPSLVVELAMQTNVLQKELGAGMVRIALECEKRAEKDRIKLFEEPLWTMYCNGKKTGYGVKRDATEEDLNVMELLKAVSMGAGVLPGNSETEGLDGELAYMRAYFERVVGSKDSETLYMISPDGNNGPELSVSIFSFRKRLFQALPAIAINFCNMGKLAQVLKKRFCHMVEAFISCKNDKGNESGEEPKIRSSEICLPLFYRR >EOX92264 pep chromosome:Theobroma_cacao_20110822:1:6001433:6003708:1 gene:TCM_001237 transcript:EOX92264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGESRPRTSAATSNDIEPPTPTTPKTPRAPSTPRQPVSLVQPSQKHKKHKPRVLRVFRSVFRSFPIITPACKFPSLPGGIPDPHKSITSGTRVTGTLFGYRKGRVSLSVQETPRCLPSLVVELAMQTNVLQKELGAGMVRIALECEKRAEKDRIKLFEEPLWTMYCNGKKTGYGVKRDATEEDLNVMELLKAVSMGAGVLPGNSETEGLDGELAYMRAYFERVVGSKDSETLYMISPDGNNGPELSVSIFSFRKRLFQALPAIAINFCNMGKLAQVLKKRFCHMVEAFISCKNDKGNESGEEPKIRSSETVSIEETAIRIKAPRGPTRPSVPKGPPAQTS >EOX91856 pep chromosome:Theobroma_cacao_20110822:1:4163019:4169603:-1 gene:TCM_000919 transcript:EOX91856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELEKEQELEWIEAQKTEISLDLVAAAKKQLEFLAAVDRNRWLYDGPTLQRAIYRYNAYWLPLLAKYHKEEFSEGPLVVPLDCEWIWHCHRLNPVRYKSDCEELYGRILDNSNVVSSLQCTCKRQTEEIWNRLYPDEPYDFDLTKALSENASQTLSGLEKHTKYDLISAVKRQSPFFYQVSRAHMHNDIFIEGAVARYKGFLHLIKRNRERSIKRFCVPTYDIDLIWHTHQLHPVSYCKDLNKAVGKILEHDDTDSDRTKGKKLDVGFSGTTKQWEETFGIRYWKAGAMYRGSSPSPLTAIPCMPDTLSKEVDATNACQKIIKLPEMKVVEVLLEFVGVKNLPDEKKGNLFVLFSKTQPDVFFKAKQKLTILSKSGQKQVASFQCEPNGELLFELVSHSASNLPGTKTCKTLGTASLSLREFLVPVSKLAVEKWLDLMPSSGNGSSKPIGLRVAVSFTVPAIAPHMLHMVRSRPFSKGSCFQLPLAGRVQAGKGCTRVIDETQAEVIRLQMSESGKAKMKGSCLSRKQVIGTTKHGETHALAEFVGTRWSLMDSQWVLQHSEEVSEHGHLFDLKGNRMVKVFLGRKLDYEPKHCEKKRNEGDFMTAVEFSAEHPYGTAVALLDLKSGCLKAKEKWFVLPGLISAFILSHILKRKGHIGLTIDVKNTKEVDSATEVENDHVNPTASIETEVNLDGDVTLENAMIPKKDSCNGDYGGEKGNEVKSGGCGGCGAECGNMVKSGGCGGCSAGCSGGCGSGCGGGCGSMVNSSGCGAGCGGGCGSRVNSSGCGGCGGCGAGCGSRVKSTGCGGCSLSCGDDIDGGEPGNLVISNGCEKPIYMEEAVKA >EOX95900 pep chromosome:Theobroma_cacao_20110822:1:35941686:35957186:-1 gene:TCM_005289 transcript:EOX95900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinoprotein amine dehydrogenase isoform 1 MYMAYGYPQVIPLEQGQCPSSQNIIYLKVNNRLLLVVSPSHLELWSSSQHRVRLGKYKRDADSVQREGENLQAVWSPDSKLIAVLTSSFFLHIFKVQFTERKVQIGGKQPSNFYLATITCVLIEQVPFAAKDLAVSNIVSDNKHMLLGLSDGSLYSISWKGEFYGAFELDSFQHNNSEVSTLPHSLVNGITSGEAQGAFLSNYKVSRKSAIAQLEFCVPMRLLLVLYSDGQLVSCSVSKKGLKLVESIKAEKSLGSGDAVCTSVAGDQQILAVGTRRGVVELYDLAESGSLIRTVSLYDWGYSMDDTGSVSCIAWTPDNSAFAVGWKLRGLTVWSVSGCRLMSTIRQIGLSSVSSPVVKPNQDCKYEPLMGGTSLMQWDEYGYRLYAIEEGSLERILAFSFGKCCLSRGVSGMTYVRQVIYGEDRLLVVQSEDTDELKMLHLNLPVSYISQNWPVQHVAASKDGMYLAVAGLHGLILYDIRLKKWRVFGDISQEQKIQCKGLLWLGKIVVVCNYIDSSNMYELLFYPRYHLDQSSLLCRKPLLAKPMVMDVYEDYILVTYRRFDVHIFHVKLYGELTPSSTPDLQLSTVRELSIMTAKSHPAAMRFIPDQIPREGALDNHISSSSNFLAREPARCLILRANGELSLLDLDDGRERELTDSVELFWVTCGQSEEKTNLIEDVSWLDYGHRGMQVWYPSPGVDSFKQEDFLQLDPELEFDREVYPLGLLPNAGVVVGVSQRMSFSACTEFPCFEPTPQAQTILHCLLRHLIQRNKSEEALRLAQISAEKPHFSHCLEWLLFTVFDAEISRQNVNKNKISVPKQNVSLLEKTCDLIRNFPEYLDVVVSVARKTDGRHWADLFTAAGRSTELFEDCFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDYEQASTDSDRLSPRFLGYFLFRSSYRRSSLDKSTSFKEQSAHVAPVKNILENHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERYGSARLENFASGLELIGQKLQMGTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRSEVLFDLFRHDMRLWKAYSLTLQSHPSFAEYHDLLDVLEEELSSVAHSEDK >EOX95899 pep chromosome:Theobroma_cacao_20110822:1:35937810:35951808:-1 gene:TCM_005289 transcript:EOX95899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinoprotein amine dehydrogenase isoform 1 MYMAYGYPQVIPLEQGQCPSSQNIIYLKVNNRLLLVVSPSHLELWSSSQHRVRLGKYKRDADSVQREGENLQAVWSPDSKLIAVLTSSFFLHIFKVQFTERKVQIGGKQPSNFYLATITCVLIEQVPFAAKDLAVSNIVSDNKHMLLGLSDGSLYSISWKGEFYGAFELDSFQHNNSEVSTLPHSLVNGITSGEAQGAFLSNYKVSRKSAIAQLEFCVPMRLLLVLYSDGQLVSCSVSKKGLKLVESIKAEKSLGSGDAVCTSVAGDQQILAVGTRRGVVELYDLAESGSLIRTVSLYDWGYSMDDTGSVSCIAWTPDNSAFAVGWKLRGLTVWSVSGCRLMSTIRQIGLSSVSSPVVKPNQDCKYEPLMGGTSLMQWDEYGYRLYAIEEGSLERILAFSFGKCCLSRGVSGMTYVRQVIYGEDRLLVVQSEDTDELKMLHLNLPVSYISQNWPVQHVAASKDGMYLAVAGLHGLILYDIRLKKWRVFGDISQEQKIQCKGLLWLGKIVVVCNYIDSSNMYELLFYPRYHLDQSSLLCRKPLLAKPMVMDVYEDYILVTYRRFDVHIFHVKLYGELTPSSTPDLQLSTVRELSIMTAKSHPAAMRFIPDQIPREGALDNHISSSSNFLAREPARCLILRANGELSLLDLDDGRERELTDSVELFWVTCGQSEEKTNLIEDVSWLDYGHRGMQVWYPSPGVDSFKQEDFLQLDPELEFDREVYPLGLLPNAGVVVGVSQRMSFSACTEFPCFEPTPQAQTILHCLLRHLIQRNKSEEALRLAQISAEKPHFSHCLEWLLFTVFDAEISRQNVNKNKISVPKQNVSLLEKTCDLIRNFPEYLDVVVSVARKTDGRHWADLFTAAGRSTELFEDCFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDYEQASTDSDRLSPRFLGYFLFRSSYRRSSLDKSTSFKEQSAHVAPVKNILENHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERYGSARLENFASGLELIGQKLQMGTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRSEVLFDLFRHDMRLWKAYSLTLQSHPSFAEYHDLLDVLEEELSSVAHSEDK >EOX95901 pep chromosome:Theobroma_cacao_20110822:1:35944859:35951808:-1 gene:TCM_005289 transcript:EOX95901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinoprotein amine dehydrogenase isoform 1 MYMAYGYPQVIPLEQGQCPSSQNIIYLKVNNRLLLVVSPSHLELWSSSQHRVRLGKYKRDADSVQREGENLQAVWSPDSKLIAVLTSSFFLHIFKVQFTERKVQIGGKQPSNFYLATITCVLIEQVPFAAKDLAVSNIVSDNKHMLLGLSDGSLYSISWKGEFYGAFELDSFQHNNSEVSTLPHSLVNGITSGEAQGAFLSNYKVSRKSAIAQLEFCVPMRLLLVLYSDGQLVSCSVSKKGLKLVESIKAEKSLGSGDAVCTSVAGDQQILAVGTRRGVVELYDLAESGSLIRTVSLYDWGYSMDDTGSVSCIAWTPDNSAFAVGWKLRGLTVWSVSGCRLMSTIRQIGLSSVSSPVVKPNQDCKYEPLMGGTSLMQWDEYGYRLYAIEEGSLERILAFSFGKCCLSRGVSGMTYVRQVIYGEDRLLVVQSEDTDELKMLHLNLPVSYISQNWPVQHVAASKDGMYLAVAGLHGLILYDIRLKKWRVFGDISQEQKIQCKGLLWLGKIVVVCNYIDSSNMYELLFYPRYHLDQSSLLCRKPLLAKPMVMDVYEDYILVTYRRFDVHIFHVKLYGELTPSSTPDLQLSTVRELSIMTAKSHPAAMRFIPDQIPREGALDNHISSSSNFLAREPARCLILRANGELSLLDLDDGRERELTDSVELFWVTCGQSEEKTNLIEDVSWLDYGHRGMQVWYPSPGVDSFKQEDFLQLDPELEFDREVYPLGLLPNAGVVVGVSQRMSFSACTEFPCFEPTPQAQTILHCLLRHLIQRNKSEEALRLAQISAEKPHFSHCLEWLLFTVFDAEISRYYLIGKNGCPNYRHETET >EOX93332 pep chromosome:Theobroma_cacao_20110822:1:12134321:12135558:1 gene:TCM_002169 transcript:EOX93332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGNGYWEFPLQRINQDRLSNRLKLDQTTHMKVQDYSNGMNLLLSLKQPISCQGNNERSTCIVGPALDNWKLGMSD >EOX91489 pep chromosome:Theobroma_cacao_20110822:1:2848932:2854316:-1 gene:TCM_000662 transcript:EOX91489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein 7, putative isoform 1 MDHKAWLWRKKSTEKIILATDKLNLSQKDNEDEIQNLEGELENELKVLNIKLSSALSDCNSKDELVKKHKKMAQEALAGREKAEAEAVSLKQALDEALQQRVVNEERLTHLDAALKECMQQLHFVREEQEQRIHDAVMKASQEFEKSQKILEEQLGETVKRLTKLGVENTNLSKVLLAKEKVIDDLNKQRAQMETDFNALMIRLESTEKDNASLKYEVRVLEKELEIRNEEREFNRRTAEASHKQHLESVKKIAKLESECQRLRLLVRKRLPGPAALAKMKNEVEMLGRDSVEMRWRKLNASPTGQGLDSAVDSNSDSPSKRNNILTEQFCAVEEENKALKEALNKKTSELQFSRVMYARTASKLSEVESQLEESSKSRANNESTRNIVMSHDISLASVSDVGSDDKASCGESWASALLSELEYFRYGQSRKSPSRKTVGSSDINLMDDFVEMEKLALVSVDKLSGSSHVFSDEVNGTLGPLQTGSSGNSLEVCKEIVPVPDSQSDHTMLNNEMKSKNPLLSKVPGWLQDILKVISEQNRETERNSDEILEDIRKALACMNYQNTGEIFYEREGLDHPKSSDPSSISGYMSWKPSNGSSKMDSSLGDTDVNICSAEKNNRLLQPDLSKSICRIIELIEGISLPSPDYNIPEILSKKERNCFSYKQSETPSGYVVRVLQWKTSELWAVLQQFLHACYDLLNGKTDVNNFTQELTSSLDWIMNHCFSLQDVSSMRDAIKKHFDWDESRSESEAEAGIVGQSVEADKLHLAALYGNNNFFQKEEPNVREENRKLRDELINVEAAKKLLEDKLQSTTNRSDSLINQLEESEKTIANLQAELGALRKTAEMVEGQVEKQNLINENLDKQLSLTNVEVNEACQKFPSQDLKSQNKNNSHEELEATCLDSVTEKEIPNSELSQEGNKLRTNWEITAASEKLAECQETILNLGKQLKALAAPKEAALFDKVISTPTDTTTTTSTTIPTPPKKLISHRSSLLDQMIAEDNAEANTLESFKAKENNRMTESPENSVVLNGNKHQEDNAAVKSLPIVPSKKQSGSLWKKLLWRKTKGKSKKTPFPFAP >EOX91490 pep chromosome:Theobroma_cacao_20110822:1:2850185:2853541:-1 gene:TCM_000662 transcript:EOX91490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein 7, putative isoform 1 MDHKAWLWRKKSTEKIILATDKLNLSQKDNEDEIQNLEGELENELKVLNIKLSSALSDCNSKDELVKKHKKMAQEALAGREKAEAEAVSLKQALDEALQQRVVNEERLTHLDAALKECMQQLHFVREEQEQRIHDAVMKASQEFEKSQKILEEQLGETVKRLTKLGVENTNLSKVLLAKEKVIDDLNKQRAQMETDFNALMIRLESTEKDNASLKYEVRVLEKELEIRNEEREFNRRTAEASHKQHLESVKKIAKLESECQRLRLLVRKRLPGPAALAKMKNEVEMLGRDSVEMRWRKLNASPTGQGLDSAVDSNSDSPSKRNNILTEQFCAVEEENKALKEALNKKTSELQFSRVMYARTASKLSEVESQLEESSKSRANNESTRNIVMSHDISLASVSDVGSDDKASCGESWASALLSELEYFRYGQSRKSPSRKTVGSSDINLMDDFVEMEKLALVSVDKLSGSSHVFSDEVNGTLGPLQTGSSGNSLEVCKEIVPVPDSQSDHTMLNNEMKSKNPLLSKVPGWLQDILKVISEQNRETERNSDEILEDIRKALACMNYQNTGEIFYEREGLDHPKSSDPSSISGYMSWKPSNGSSKMDSSLGDTDVNICSAEKNNRLLQPDLSKSICRIIELIEGISLPSPDYNIPEILSKKERNCFSYKQSETPSGYVVRVLQWKTSELWAVLQQFLHACYDLLNGKTDVNNFTQELTSSLDWIMNHCFSLQDVSSMRDAIKKHFDWDESRSESEAEAGIVGQSVEADKLHLAALYGNNNFFQKEEPNVREENRKLRDELINVEAAKKLLEDKLQSTTNRSDSLINQLEESEKTIANLQAELGALRKTAEMVEGQVEKQNLINENLDKQLSLTNVEVNEACQKFPSQDLKSQNKNNSHEELEATCLDRYYQFL >EOX95181 pep chromosome:Theobroma_cacao_20110822:1:33230106:33234116:1 gene:TCM_004737 transcript:EOX95181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNSGGFAVTRAQTGDRFYNPPAVRRQQQLLQQQQLQRQQLQRPLQKELQRPSKKESRVNLVDSMAEARTDSDESTLSRPNSVCSASPSSPKIANLTNLDRLMESVTPFVPAQCFSEEKRRGRRTRESDVHPHYCLGDLWECFSEWSCFAAYLVLSSWLTVNSGNPPMYCTIRRPSEDSDAESSRETSSAGSSDCETDRRVKGGVDGAWDQPNSQRMSRPPMSSSSDEVEVGKSPGLLVFEYFEQEQPHHRKPLYDKISSLASQFPDIRMYRSCDLLPASWISVAWYPIYRIPMGPTLQNLDASFLTFHSLSTHFRSKSPLQYPASSSRKVSGIDASSKISLPVFGVASYKLRGSILTPNGSQEWQQASSLLQAADNWLRGLQVHLPDFQFFVSHN >EOX91063 pep chromosome:Theobroma_cacao_20110822:1:1480702:1483101:-1 gene:TCM_000362 transcript:EOX91063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 3 MLRGLHSLETCTYNGMDMTVLERQQARLKWQQQQNYGVQNNNPIELCASSVPQIQGFLGSSFGSGQLVEMKKPEVYLGSDDFPKFVNLSVAGPEFSASKMDLVPPEAAADYCISRTSSCQMTAFQTAVMNEEREDVILKKMESTTGRESFNKRKVEAVQDDKCKDKRIKGEVEGESEVKTKCSTEVSRNSSKGNSKASEVQKPDYIHVRARRGQATDSHSLAERARREKISKKMKCLQDLVPGCNKITGKAGMLDEIINYVQSLQRQFLSMKLAAVNPSVEFNVDNLPAKEFPAYVANFPAAAKSPAMANLACLQFNPLQKAVSCMLDATGHPPQTATEGIASASISIPEQALSSSCITLQPFSTWNTDPQGLYNVYNMGFH >EOX91062 pep chromosome:Theobroma_cacao_20110822:1:1481217:1483063:-1 gene:TCM_000362 transcript:EOX91062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 3 MLRGLHSLETCTYNGMDMTVLERQQARLKWQQQQNYGVQNNNPIELCASSVPQIQGFLGSSFGSGQLVEMKKPEVYLGSDDFPKFVNLSVAGPEFSASKMDLVPPEAAADYCISRTSSCQMTAFQTAVMNEEREDVILKKMESTTGRESFNKRKVEAVQDDKCKDKRIKGEVEGESEVKTKCSTEVSRNSSKGNSKASEVQKPDYIHVRARRGQATDSHSLAERARREKISKKMKCLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPSVEFNVDNLPAKEFPAYVANFPAAAKSPAMANLACLQFNPLQKAVSCMLDATGHPPQTATEGIASASISIPEQALSSSCITQLQPFSTWNTDPQGLYNVYNMGFH >EOX91065 pep chromosome:Theobroma_cacao_20110822:1:1480045:1483337:-1 gene:TCM_000362 transcript:EOX91065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 3 MLRGLHSLETCTYNGMDMTVLERQQARLKWQQQQNYGVQNNNPIELCASSVPQIQGFLGSSFGSGQLVEMKKPEVYLGSDDFPKFVNLSVAGPEFSASKMDLVPPEAAADYCISRTSSCQMTAFQTAVMNEEREDVILKKMESTTGRESFNKRKVEAVQDDKCKDKRIKGEVEGESEVKTKCSTEVSRNSSKGNSKASEVQKPDYIHVRARRGQATDSHSLAERARREKISKKMKCLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPSVEFNVDNLPAKEPPSHQQWPIWPAFSSIPCKRL >EOX91064 pep chromosome:Theobroma_cacao_20110822:1:1481495:1483063:-1 gene:TCM_000362 transcript:EOX91064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 3 MLRGLHSLETCTYNGMDMTVLERQQARLKWQQQQNYGVQNNNPIELCASSVPQIQGFLGSSFGSGQLVEMKKPEVYLGSDDFPKFVNLSVAGPEFSASKMDLVPPEAAADYCISRTSSCQMTAFQTAVMNEEREDVILKKMESTTGRESFNKRKVEAVQDDKCKDKRIKGEVEGESEVKTKCSTEVSRNSSKGNSKASEVQKPDYIHVRARRGQATDSHSLAERARREKISKKMKCLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPSVEFNVDNLPAKEQPPSHQQWPIWPAFSSIPCKRL >EOX91066 pep chromosome:Theobroma_cacao_20110822:1:1480472:1483398:-1 gene:TCM_000362 transcript:EOX91066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 3 MLRGLHSLETCTYNGMDMTVLERQQARLKWQQQQNYGVQNNNPIELCASSVPQIQGFLGSSFGSGQLVEMKKPEVYLGSDDFPKFVNLSVAGPEFSASKMDLVPPEAAADYCISRTSSCQMTAFQTAVMNEEREDVILKKMESTTGRESFNKRKVEAVQDDKCKDKRIKGEVEGESEVKTKCSTEVSRNSSKGNSKASEVQKPDYIHVRARRGQATDSHSLAERARREKISKKMKCLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPSVEFNVDNLPAKGYHSWNPIRLKMECNFRCYYVPSYRIYFKDSDSYHSEILAVSSWRCFFFFLDTIHHLTGFITMISQITQ >EOX92175 pep chromosome:Theobroma_cacao_20110822:1:5630738:5632486:-1 gene:TCM_001169 transcript:EOX92175 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase III polC-type isoform 1 MEAFSVCQEVSTEIVFFDLETTVPNRSGQRFFVLEFGAIVVCPRKLVELESYSTLIRPKDLSVVPVRSGRCDGITREAVANAPEFEQVADKIFSILNGRVWAGHNIQRFDCVRIKEVFAEIGRPAPVSVGIIDSLGVLTEKFGRRAGNMKMATLASYFGLGQQKHRSLDDVRLNLEVLKRCATVLFLEASLPSISNGSWHSPPTITTRSRSNTGKLTYREETSKKSPPTSPGHQRTVPYTRGSLGKHGNFLSVLVTNKEHELIMKQMTERVKNLLCKAQGSQPLNNLLKHSHSVLR >EOX92176 pep chromosome:Theobroma_cacao_20110822:1:5630672:5632445:-1 gene:TCM_001169 transcript:EOX92176 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase III polC-type isoform 1 MEAFSVCQEVSTEIVFFDLETTVPNRSGQRFFVLEFGAIVVCPRKLVELESYSTLIRPKDLSVVPVRSGRCDGITREAVANAPEFEQVADKIFSILNGRVWAGHNIQRFDCVRIKEVFAEIGRPAPVSVGIIDSLGVLTEKFGRRAGNMKMATLASYFGLGQQKHSRSLDDVRLNLEVLKRCATVLFLEASLPSISNGSWHSPPTITTRSRSNTGKLTYREETSKKSPPTSPGHQRTVPYTRGSLGKMTERVKNLLCKAQGSQPLNNLLKHSHSVLR >EOX94862 pep chromosome:Theobroma_cacao_20110822:1:31783159:31786294:1 gene:TCM_004475 transcript:EOX94862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein isoform 1 MKIRSYAGITKPSFLLVHSELPALTFHKPKLTWTSTLRIPRNYERNFGLIARVQCSVADRTFASTSVSSSASKPKSVRLGRKQGDSSSLYSRPSLLEMKKERIANRARVYEFLRGLGIIPDELDGLELPVTVEVMQERIDFLHKLGFTIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKSTFTEFLRRYPQVLHASVVVDLAPVVKYLQGLDIKPNDIPRVLERYPEVLGFKLEGTMSTSVAYLVGIGVSRREVGGVLTGYPEILGMRVGRVIKPFVEYLQGLGIPRLAVARLIEKRPHILGFGLEERVKPNVESLLEFNVRKASLPSIIAQYPEILGIDLIPKLLGQRSLLQSITDLGPEDFGTVVEKMPQVVSLSNTSMVKHVDFLKDCGISLQQVRDMVVRCPQVLALNLDIMKLSFDYFQMEMRRPLDDLVAFPALFTYSLESTIKPRHKIVAKRGFKCSLSWLLNCSDEKFKERMNYDTIEMEEMEMMPSFDMNSLMEPRSDESDSEYGDSDDEYV >EOX94861 pep chromosome:Theobroma_cacao_20110822:1:31783159:31785996:1 gene:TCM_004475 transcript:EOX94861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein isoform 1 MKIRSYAGITKPSFLLVHSELPALTFHKPKLTWTSTLRIPRNYERNFGLIARVQCSVADRTFASTSVSSSASKPKSVRLGRKQGDSSSLYSRPSLLEMKKERIANRARVYEFLRGLGIIPDELDGLELPVTVEVMQERIDFLHKLGFTIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKSTFTEFLRRYPQVLHASVVVDLAPVVKYLQGLDIKPNDIPRVLERYPEVLGFKLEGTMSTSVAYLVGIGVSRREVGGVLTGYPEILGMRVGRVIKPFVEYLQGLGIPRLAVARLIEKRPHILGFGLEERVKPNVESLLEFNVRKASLPSIIAQYPEILGIDLIPKLLGQRSLLQSITDLGPEDFGTVVEKMPQVVSLSNTSMVKHVDFLKDCGISLQQVRDMVVRCPQVLALNLDIMKLSFDYFQMEMRRPLDDLVAFPALFTYSLESTIKPRHKIVAKRGFKCSLSWLLNCSDEKFKERMNYDTIEMEEMEMMPSFDMNSLMEPRSDESDSEYGDSDDEYV >EOX93829 pep chromosome:Theobroma_cacao_20110822:1:16247205:16253813:-1 gene:TCM_002774 transcript:EOX93829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein isoform 1 MAANFWTSSHCKQLLDPEQVDVVHQQDKDKGITLEEFKLIKTHMANYILKLAQHVKVRQRVVATAVTYMRRVYTRKSMTEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLYSDEKYRYEIKDILEMEMKILEALNYYLVVFHPYRTLSQLLQDAGMSDINMTQLSWGLVNDTYKMDLILIHPPYLIALACIYIASVHKEKDITSWFEELRVDMNVVKNISMEILDFYESNKMIADERINTAFSKLALKP >EOX93828 pep chromosome:Theobroma_cacao_20110822:1:16247324:16253792:-1 gene:TCM_002774 transcript:EOX93828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein isoform 1 MAANFWTSSHCKQLLDPEQVDVVHQQDKDKGITLEEFKLIKTHMANYILKLAQHVKVRQRVVATAVTYMRRVYTRKSMTEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLYSDEKYRYEIKDILEMEMKILEALNYYLVVFHPYRTLSQLLQDAGMSDINMTQLSWGLVNDTYKMDLILIHPPYLIALACIYIASVHKEKDITSWFEELRVDMNVVKNISMEILDFYESNKMIADERINTAFSKLALKP >EOX96055 pep chromosome:Theobroma_cacao_20110822:1:36443797:36447551:1 gene:TCM_005398 transcript:EOX96055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGATKLQQSLQESCVLRTPKTEIAVKIEEDDPAPPASPERPDVWTEWRQQRWKSTLSKEELKQRCLRCKEMGHNYQHCPYPIIYVEVPDENGGPGRVKPVVWQPPKGIYRCSRCGRRGHNRRTCHGPVFY >EOX96736 pep chromosome:Theobroma_cacao_20110822:1:38810775:38816342:1 gene:TCM_005922 transcript:EOX96736 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/surp domain-containing protein / D111/G-patch domain-containing protein isoform 1 MEKGVPSSLFVNDGSFMERFKQLQQQKDEKDKAAAALEESKPPKIVKGSSAPKPAIALNKISMDFKHNDARKTSQTSSGGKLAFSLKQKSKLVAPPVKLAADEDEEDQDAGKLSDDTPVKRQKLCQADTSELASKQVDVALPSPSDPNVKKVADKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDESCSDYKYYEFRLAEEEKALVQNKESQTPQSGGMSFSATKSTSSSLRSGLQQSSYQMPASALYENNEEPRSSAMSAGRAGSSSAPTGADPIAMMEFYMKKAAQEEKMRLPKQSKDEMPPPPSLQGAPLKKGHHMGDYIPPEELEKFLAACNDAAAQKAARETAEKAKIQSDNVGHKLLSKMGWKEGEGLGGSRKGISDPIMAGDVKMNNLGVGAHHPGDVTAEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >EOX96735 pep chromosome:Theobroma_cacao_20110822:1:38810775:38816342:1 gene:TCM_005922 transcript:EOX96735 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/surp domain-containing protein / D111/G-patch domain-containing protein isoform 1 MEKGVPSSLFVNDGSFMERFKQLQQQKDEKDKAAAALEESKPPKIVKGSSAPKPAIALNKISMDFKHNDARKTSQTSSGGKLAFSLKQKSKLVAPPVKLAADEDEEDQDAGKLSDDTPVKRQKLCQADTSELASKQVDVALPSPSDPNVKKVADKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDESCSDYKYYEFRLAEEEKALVQNKESQTPQSGGMSFSATKSTSSSLRSGLQQSSYQMPASALYENNEEPRSSAMSAGRAGSSSAPTGADPIAMMEFYMKKAAQEEKMRLPKQSKDEMPPPPSLQGAPLKKGHHMGDYIPPEELEKFLAACNDAAAQKAARETAEKAKIQSDNVGHKLLSKMGWKEGEGLGGSRKGISDPIMAGDVKMNNLGVGAHHPGDVTAEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >EOX96737 pep chromosome:Theobroma_cacao_20110822:1:38813014:38816138:1 gene:TCM_005922 transcript:EOX96737 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/surp domain-containing protein / D111/G-patch domain-containing protein isoform 1 LPSPSDPNVKKVADKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDESCSDYKYYEFRLAEEEKALVQNKESQTPQSGGMSFSATKSTSSSLRSGLQQSSYQMPASALYENNEEPRSSAMSAGRAGSSSAPTGADPIAMMEFYMKKAAQEEKMRLPKQSKDEMPPPPSLQGAPLKKGHHMGDYIPPEELEKFLAACNDAAAQKAARETAEKAKIQSDNVGHKLLSKMGWKEGEGLGGSRKGISDPIMAGDVKMNNLGVGAHHPGDVTAEDDIYEQYKKRMMLGYRYRPNPLVYDMT >EOX96734 pep chromosome:Theobroma_cacao_20110822:1:38810775:38816342:1 gene:TCM_005922 transcript:EOX96734 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/surp domain-containing protein / D111/G-patch domain-containing protein isoform 1 MEKGVPSSLFVNDGSFMERFKQLQQQKDEKDKAAAALEESKPPKIVKGSSAPKPAIALNKISMDFKHNDARKTSQTSSGGKLAFSLKQKSKLVAPPVKLAADEDEEDQDAGKLSDDTPVKRQKLCQADTSELASKQVDVALPSPSDPNVKKVADKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDESCSDYKYYEFRLAEEEKALVQNKESQTPQSGGMSFSATKSTSSSLRSGLQQSSYQMPASALYENNEEPRSSAMSAGRAGSSSAPTGADPIAMMEFYMKKAAQEEKMRLPKQSKDEMPPPPSLQGAPLKKGHHMGDYIPPEELEKFLAACNDAAAQKAARETAEKAKIQSDNVGHKLLSKMGWKEGEGLGGSRKGISDPIMAGDVKMNNLGVGAHHPGDVTAEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >EOX90819 pep chromosome:Theobroma_cacao_20110822:1:715205:718909:-1 gene:TCM_000185 transcript:EOX90819 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome non-ATPase regulatory subunit 4 isoform 1 MVLEATMICIDNSERMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGVLIMAGKGVRVLVTPTSDLGKLLACMHGLEIGGEMDLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPIKPDKKSLEMIGRKLKKNSVALDIVNFGEDDEGKTEKLEALLAAVNNNDSSHIVHVPAGPNALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGVSGFEFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEEASKQEKGGEQQSSSQDATMTEHASGATSEADNKRNDLSDDDNALLQQALAMSMDEPASSHDVQDTEMSDAAADDPELALALQLSVQDSAKDSSSQADVSKLLADQSFVSSILASLPGVDPNDPSVKEVLASMQSQSETQQKQDEDRAPNEEKFGSS >EOX90820 pep chromosome:Theobroma_cacao_20110822:1:715644:719080:-1 gene:TCM_000185 transcript:EOX90820 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome non-ATPase regulatory subunit 4 isoform 1 MVLEATMICIDNSERMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGVLIMAGKGVRVLVTPTSDLGKLLACMHGLEIGGEMDLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPIKPDKKSLEMIGRKLKKNSVALDIVNFGEDDEGKTEKLEALLAAVNNNDSSHIVHVPAGPNALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGVSGFEFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEEASKQEKGGEQQSSSQDATMTEHASGATSEADNKRNDLSDDDNALLQQALAMSMDEPASSHDVQDTEMSDAAADDPELALALQLSVQDSAKDSSSQADVSKLLADQSFVSSILASLPGVDPNDPSVKEVLASMQSQSETQQKQDEDRAPNEEK >EOX96114 pep chromosome:Theobroma_cacao_20110822:1:36635287:36636077:1 gene:TCM_005441 transcript:EOX96114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding LysM domain-containing protein, putative MHINFPSSSLIPLSLSTAMACNLSQRQIYALTISLADEASWCCVFVLLTLLLLNSCKETTTIANDEDVKGRQLLDRPCDEIYVVGEGETLHSISDKCGDPFIVEQNPHIHDPDDVFPGLVIKITPMKSRKSLR >EOX95769 pep chromosome:Theobroma_cacao_20110822:1:35511443:35516771:-1 gene:TCM_005190 transcript:EOX95769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.5 isoform 2 MLEKMEILDVEIVIEEFEAMTKDAGSVQRETLKKILEENACAEYLQNLGLNGRTDPESFKACVPLVTHKDLEPYIQRITDGDSSPILTGKPITTISLSSGTTQGKPKFVPFNDELMETTLQIYRTSYAFRNREFPVGKGKALQFIYSSKQSKTKGGLFAGTATTNVFRNSQFKNAMQAIQSQCCSPDEVIFGPDFHQSLYCHLLCGLIFREEIQLVSSTFAHSIVLAFRTFEQVWEELCADIREGILSSRITFPSVRSAMAKLLKPNPELADLIHKKCTALSNWYGLIPELFPNVKYIYGIMTGSMEPYLKKLRHYAGDLPLLSADYGSSEGWIGANINPNLPPESASYAVLPNIGYFEFIPLNENVEEHVQDKVNASFLSAEPKPVGLTEVKVGEEYEIIMTSFAGLYRYRLGDVVKVMGFHNSTPELKFVCRRNLMLTINIDKNTEKDLQLAVEEAAKLIAGEKMEVVDFSSHVDVSTDPGHYVIFWEISGEVSDEVLKECCNCLDRSFVDAGYISSRKVNAIGPLELRVVWRGTFQKILDHYLGLGAALSQFKTPRCVGPTNNKVLQILCGNVAKSYFSTAF >EOX95770 pep chromosome:Theobroma_cacao_20110822:1:35511725:35517032:-1 gene:TCM_005190 transcript:EOX95770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.5 isoform 2 MLEKMEILDVEIVIEEFEAMTKDAGSVQRETLKKILEENACAEYLQNLGLNGRTDPESFKACVPLVTHKDLEPYIQRITDGDSSPILTGKPITTISLSSGTTQGKPKFVPFNDELMETTLQIYRTSYAFRNREFPVGKGKALQFIYSSKQSKTKGGLFAGTATTNVFRNSQFKNAMQAIQSQCCSPDEVIFGPDFHQSLYCHLLCGLIFREEIQLVSSTFAHSIVLAFRTFEQVWEELCADIREGILSSRITFPSVRSAMAKLLKPNPELADLIHKKCTALSNWYGLIPELFPNVKYIYGIMTGSMEPYLKKLRHYAGDLPLLSADYGSSEGWIGANINPNLPPESASYAVLPNIGYFEFIPLNENVEEHVQDKVNASFLSAEPKPVGLTEVKVGEEYEIIMTSFAGRFVQV >EOX95790 pep chromosome:Theobroma_cacao_20110822:1:35581791:35585181:-1 gene:TCM_005204 transcript:EOX95790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 28 MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >EOX94874 pep chromosome:Theobroma_cacao_20110822:1:31841491:31849744:-1 gene:TCM_004486 transcript:EOX94874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like kinase in in flowers 3 MSVQFHHLLFFLILTFFPSLPLAQEGNVSCPLNFTILRPILSNPNRLRHMNTSVECHYIRQGLRLVLSDYLRRTGSFFPPLNSSESCWQSYQSLVPNFDIRSSCGFQTAWISQGCMNLTTKAEFEVFIGNATLSDVVSNCNQSLQGSACASCTRSVATVQALYMTDNSTANVSDCTAYPSIYAAAVANYLGPTDEDTAPCLFSFGLSDNSTGKSKKKGVILGVVIGVGIGLAVLIGGSWFVYRKYQDAKRRKRRDKIRNLEMGSLGGSGLGSISESTNLVKFTFDEIKKATGNFSRDNIIGRGGYGNVYKGYFPDGSEVALKRFKNCSAAGDASFTHEVEVIASVRHVNLVALRGYCTATTPLEGHQRIIVCDLMKNGSLHDHLFGSMEGRLSWPIRQKIALGTARGLAYLHYGAQPAIIHRDIKASNILLDEMFEAKVADFGLAKFTPEGMTHLSTRVAGTMGYVAPEYALYGQLTERSDVYSFGVVLLELLSGKKALTMSEENQPSLVADWAWSLVKDERTLDVIEDGMPELGPPEVLEKYVLIAVLCSHPELLCRPSMDQVVKMLETDISVPSIPERPIPLVAHIDDIERSISSNGSGQLSSPTGYQMFTHESSHHSGSKEEGTSSGSQIEVL >EOX92301 pep chromosome:Theobroma_cacao_20110822:1:6159011:6162302:1 gene:TCM_001266 transcript:EOX92301 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase G3A MDVSMKRRTLLKVIVLGDSGVGKTSLMNQYVYNKFNQQYKATIGADFVTKELQVDDKLVTLQIWDTAGQERFQSLGSAFYRGADCCVLVYDVNVLRSFETLNNWREEFLKQADPSDPETFPFIVIGNKIDIDGGNSREVSVKKARDWCASRGNIPSFETSAKEDYNVDEAFLCVAKTALASEHEHDIYFQGISETASEVEQRGGCAC >EOX90922 pep chromosome:Theobroma_cacao_20110822:1:1060264:1061650:1 gene:TCM_000260 transcript:EOX90922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEQARELGLVFLLAVALISPCSVDGMHDFEQENGVVGFNSSSSTKVSSENHMFIRDVQDKGTNSSEEILVQSNSNMNNGGKGGGNGGGGGGGGGGGSGGGGGGGGGSGQGNGRGNGRGGGGKGNPHKKRKPKGNGGGQGGGSGGEGGGGGGGGGNGKGHGSGGGNGGGGGGGGGGNGGGGNGKGHGWGGGNGGGGGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWRCRRRRRRRRRGWSRWRLGLGRRWQPWWLLDLGMWWHKKINWREDLALQRQTMHIA >EOX94369 pep chromosome:Theobroma_cacao_20110822:1:28132499:28135372:-1 gene:TCM_003956 transcript:EOX94369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set superfamily protein isoform 1 MESGKRAEAGPSTGASEEKQEREERKMTEKPLAVEVCDDHEEDEEDEDKEDGVGAVAGFVPGPLLSLKEQIEKDKDDDSLRRWKEKLLGCVEGDLNGQMEPEVKFHSIGIISDDLGEVNYPLPIDRNQNGLLLFTLKEGSQYQLKLSFSVLHNIVSGLTYSNTVWKAGLQVDQNKGMLGTFAPQREPYVYILDEETTPSGVLARGIYSAKLKFEDDDRRCHMELNYSFEIKKSS >EOX94370 pep chromosome:Theobroma_cacao_20110822:1:28132936:28135425:-1 gene:TCM_003956 transcript:EOX94370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set superfamily protein isoform 1 MESGKRAEAGPSTGASEEKQEREERKMTEKPLAVEVCDDHEEDEEDEDKEDGVGAVAGFVPGPLLSLKEQIEKDKDDDSLRRWKEKLLGCVEGDLNGQMEPEVKFHSIGIISDDLGEVNYPLPIDRNQNGLLLFTLKEGSQYQLKLSFSVLHNIVSGLTYSNTVWKAGLQVDQNKGMLGTFAPQREPYVYILDEETTPSGVLARGIYSAKLKVCMDACTLKN >EOX93494 pep chromosome:Theobroma_cacao_20110822:1:13413140:13413394:1 gene:TCM_002365 transcript:EOX93494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYCSLLGTSLFLMTRNLRGLFFKLLVAVSISETQQGLAIYWLGSDFIFVTEAFLCYLAFGSYCFQSSFPSCPC >EOX93241 pep chromosome:Theobroma_cacao_20110822:1:11503470:11508722:-1 gene:TCM_002083 transcript:EOX93241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase A1 isoform 1 MGTKFNTIRVTLLLVLLLSPAVFSVPNEGMVRIGLIKKKLDQINRVAGDIDSKEGKLSRTPLRKYHLQGNLGASDDSEIVALKNYMDAQYFGEIGIGTPSQTFTVIFDTGSSNLWVPSSKCYFSVACYFHSKYKSSQSSTYQKNGTSAAIQYGTGAISGFFSQDSVKVGDLVVNNQDFIEATREPGITFLAAKFDGIFGLGFQEISVGGAVPVWYNMVNQGLVKEPVFSFWLNRKIEGEEGGEIVFGGIDSNHYKGEHTYVPVTQKGYWQFDMGDVLVGGATTGFCSSGCAAIADSGTSLLAGPTLIYDFYALLDLLKLSIVSFLKQFYSDAERLCRSNMWTIITQINHAIGASGVVSQECKAIVSQYGKMILELLVSETQPQKICSQIGFCTFDGTRGVSTRIESVADEIVGKSSDGVHDAMCTACEMAVVWMQNKLRRNETEEQILDYVNELCERLPSPNGESVVDCSSLSSMPGVSFTIGGKVFDLAPEEYVLKVGEGAVAQCISGFTALDVPPPRGPLWILGDVFMGRYHTVFDYGNMTVGFAEAA >EOX93242 pep chromosome:Theobroma_cacao_20110822:1:11503034:11510155:-1 gene:TCM_002083 transcript:EOX93242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase A1 isoform 1 MGTKFNTIRVTLLLVLLLSPAVFSVPNEGMVRIGLIKKKLDQINRVAGDIDSKEGKLSRTPLRKYHLQGNLGASDDSEIVALKNYMDAQYFGEIGIGTPSQTFTVIFDTGSSNLWVPSSKCYFSVACYFHSKYKSSQSSTYQKNGTSAAIQYGTGAISGFFSQDSVKVGDLVVNNQDFIEATREPGITFLAAKFDGIFGLGFQEISVGGAVPVWYNMVNQGLVKEPVFSFWLNRKIEGEEGGEIVFGGIDSNHYKGEHTYVPVTQKGYWQFDMGDVLVGGATTGFCSSGCAAIADSGTSLLAGPTTIITQINHAIGASGVVSQECKAIVSQYGKMILELLVSETQPQKICSQIGFCTFDGTRGVSTRIESVADEIVGKSSDGVHDAMCTACEMAVVWMQNKLRRNETEEQILDYVNELCERLPSPNGESVVDCSSLSSMPGVSFTIGGKVFDLAPEEYVLKVGEGAVAQCISGFTALDVPPPRGPLWILGDVFMGRYHTVFDYGNMTVGFAEAA >EOX91682 pep chromosome:Theobroma_cacao_20110822:1:3529980:3533799:1 gene:TCM_000794 transcript:EOX91682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine-5\'-phosphosulfate kinase 3 isoform 1 MVSLKAVRPAISCSSSGLESGPSVPLPKVGFVKLPLPAPSPSLGLNVSNNARLSLVQATEESAVSVANDRAAVISGQNLRQMATNGKATNILWHKSSVGKLHRQELLQQKGCVIWITGLSGSGKSTLACALCQALYSRGKLTYILDGDNVRHGLNRDLSFKAEDRAENIRRIGEVAKLFADAGIICIASVISPYRRDRDACRALLPEGDFIEVFMDVPLQICESRDPKGLYKLARAGKIKGFTGIDDPYEPPLNCELVLPQKGINYASPCEMAETVISFLEEKGFLQA >EOX91683 pep chromosome:Theobroma_cacao_20110822:1:3530254:3533715:1 gene:TCM_000794 transcript:EOX91683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine-5\'-phosphosulfate kinase 3 isoform 1 MATNGKATNILWHKSSVGKLHRQELLQQKGCVIWITGLSGSGKSTLACALCQALYSRGKLTYILDGDNVRHGLNRDLSFKAEDRAENIRRIGEVAKLFADAGIICIASVISPYRRDRDACRALLPEGDFIEVFMDVPLQICESRDPKGLYKLARAGKIKGFTGIDDPYEPPLNCELVLPQKGINYASPCEMAETVISFLEEKGFLQA >EOX96071 pep chromosome:Theobroma_cacao_20110822:1:36493800:36498487:-1 gene:TCM_005412 transcript:EOX96071 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD domain class transcription factor isoform 3 MSFGGFLDNSSGGGGARIVADIPYSNNMPTGAIAQPRLVSPSLAKNMFNSPGLSLALQQPNIDNQGDGTRMGENFEGSVGRRSREEEHESRSGSDNMDGGSGDDQDAADNPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIRDAMRNPICTNCGGPAIIGDISLEEQHLRIENARLKDELDRVCALAGKFLGRPISALATSIAPPMPNSSLELGVGSNGFGGLSTVPTTLPLGPDFGGGITNALPVAPPNRPTTGVTGLDRSVERSMFLELALAAMDELVKMAQTDEPLWIRSLEGGREILNHDEYLRTFTPCIGMKPGGFVTEASRETGVVIINSLALVETLMDSTRWAEMFPCMIARTSTTDVISSGMGGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDTIRETSGAPTFVNCRRLPSGCVVQDMPNGYSKVTWVEHAEYEESQVHQLYRPLLSSGMGFGAQRWVATLQRQCECLAILMSSTVPTRDHTAITASGRRSMLKLAQRMTDNFCAGVCASTLHKWNKLNNAGNVDEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEM >EOX96070 pep chromosome:Theobroma_cacao_20110822:1:36491519:36498555:-1 gene:TCM_005412 transcript:EOX96070 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD domain class transcription factor isoform 3 MSFGGFLDNSSGGGGARIVADIPYSNNMPTGAIAQPRLVSPSLAKNMFNSPGLSLALQPNIDNQGDGTRMGENFEGSVGRRSREEEHESRSGSDNMDGGSGDDQDAADNPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIRDAMRNPICTNCGGPAIIGDISLEEQHLRIENARLKDELDRVCALAGKFLGRPISALATSIAPPMPNSSLELGVGSNGFGGLSTVPTTLPLGPDFGGGITNALPVAPPNRPTTGVTGLDRSVERSMFLELALAAMDELVKMAQTDEPLWIRSLEGGREILNHDEYLRTFTPCIGMKPGGFVTEASRETGVVIINSLALVETLMDSTRWAEMFPCMIARTSTTDVISSGMGGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDTIRETSGAPTFVNCRRLPSGCVVQDMPNGYSKVTWVEHAEYEESQVHQLYRPLLSSGMGFGAQRWVATLQRQCECLAILMSSTVPTRDHTAITASGRRSMLKLAQRMTDNFCAGVCASTLHKWNKLNNAGNVDEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNANQSSMLILQETCIDAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSRGPTSNGHVNGNGGGGGGRSQRVGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALQCES >EOX96069 pep chromosome:Theobroma_cacao_20110822:1:36492191:36498555:-1 gene:TCM_005412 transcript:EOX96069 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD domain class transcription factor isoform 3 MSFGGFLDNSSGGGGARIVADIPYSNNMPTGAIAQPRLVSPSLAKNMFNSPGLSLALQQPNIDNQGDGTRMGENFEGSVGRRSREEEHESRSGSDNMDGGSGDDQDAADNPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIRDAMRNPICTNCGGPAIIGDISLEEQHLRIENARLKDELDRVCALAGKFLGRPISALATSIAPPMPNSSLELGVGSNGFGGLSTVPTTLPLGPDFGGGITNALPVAPPNRPTTGVTGLDRSVERSMFLELALAAMDELVKMAQTDEPLWIRSLEGGREILNHDEYLRTFTPCIGMKPGGFVTEASRETGVVIINSLALVETLMDSTRWAEMFPCMIARTSTTDVISSGMGGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDTIRETSGAPTFVNCRRLPSGCVVQDMPNGYSKVTWVEHAEYEESQVHQLYRPLLSSGMGFGAQRWVATLQRQCECLAILMSSTVPTRDHTAITASGRRSMLKLAQRMTDNFCAGVCASTLHKWNKLNNAGNVDEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNANQSSMLILQETCIDAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSRGPTSNGHVNGNGGGGGGRSQRVGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALQCES >EOX94361 pep chromosome:Theobroma_cacao_20110822:1:28102241:28106243:-1 gene:TCM_003952 transcript:EOX94361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Breast cancer associated RING 1, putative isoform 4 MENIVGIYRSLDAAFSANLSQCVVDGVGKNDISFKCSMQRHVEDKRYKTPNKGGVEYSSAGKVDLLGPISQKPVGASQESGNGHIGMSRGDQTSLGSPPSSGDTKDSDNDSSDQSRGNYPALGLGKRNFEEKLDDSVLGVAHLWDSKRQKGLNYGPLEMGVKNIGHCRPVLPAQNLVTSNFQLGSQNGTPLAGADLPVISDDFYGNRAICGFCQSSRISEATGPMLHYANGKPVTGDAAFCSNVIHVHSSCIEWAPQVYYVGESVKNLKAELARGAKLKCSRCGLKGAALGCYMKSCRRSYHFPCAKEVPKCRWDHDSFLVLCPAHSSVKFPNEKSGNAHSSVKFPNEKSGRCITTDHCEPTEIDPSKFRLFWGQPAEKQEWVFCGSALSSEEKFLLVKFAKNIGVTVSKFWKPDVTHVIASTDENGACTRTLKVLMAISNGKWVLKMDWIKACMKAIHPVNEEPYEVILDNHGCSDGPKTGRLRALDNICLDWMLISSKLFLQLK >EOX94358 pep chromosome:Theobroma_cacao_20110822:1:28101742:28106551:-1 gene:TCM_003952 transcript:EOX94358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Breast cancer associated RING 1, putative isoform 4 MSDFAKRNSNRAMNPWVLHLQKLGLELKCPLCLDLFKQPSLLPCDHLFCDSCIVRSAEFGPECPICKAQYANRDLRPVTFMENIVGIYRSLDAAFSANLSQCVVDGVGKNDISFKCSMQRHVEDKRYKTPNKGGVEYSSAGKVDLLGPISQKPVGASQESGNGHIGMSRGDQTSLGSPPSSGDTKDSDNDSSDQSRGNYPALGLGKRNFEEKLDDSVLGVAHLWDSKRQKGLNYGPLEMGVKNIGHCRPVLPAQNLVTSNFQLGSQNGTPLAGADLPVISDDFYGNRAICGFCQSSRISEATGPMLHYANGKPVTGDAAFCSNVIHVHSSCIEWAPQVYYVGESVKNLKAELARGAKLKCSRCGLKGAALGCYMKSCRRSYHFPCAKEVPKCRWDHDSFLVLCPAHSSVKFPNEKSGNAHSSVKFPNEKSGRCITTDHCEPTEIDPSKFRLFWGQPAEKQEWVFCGSALSSEEKFLLVKFAKNIGVTVSKFWKPDVTHVIASTDENGACTRTLKVLMAISNGKWVLKMDWIKACMKAIHPVNEEPYEVILDNHGCSDGPKTGRLRALDNGLKLFDGFRFYFVGDFVSGYKEDLQNLVVAAGGTVLRIMEELLEQNNGDQAVQTRMIVVYNLDAPKGSELGEEVSIIWQRVNEAQDLATKIGGLVIGHTWLLESVAAYKLQPFVS >EOX94360 pep chromosome:Theobroma_cacao_20110822:1:28101875:28106522:-1 gene:TCM_003952 transcript:EOX94360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Breast cancer associated RING 1, putative isoform 4 MSDFAKRNSNRAMNPWVLHLQKLGLELKCPLCLDLFKQPSLLPCDHLFCDSCIVRSAEFGPECPICKAQYANRDLRPVTFMENIVGIYRSLDAAFSANLSQCVVDGVGKNDISFKCSMQRHVEDKRYKTPNKGGVEYSSAGKVDLLGPISQKPVGASQESGNGHIGMSRGDQTSLGSPPSSGDTKDSDNDSSDQSRGNYPALGLGKRNFEEKLDDSVLGVAHLWDSKRQKGLNYGPLEMGVKNIGHCRPVLPAQNLVTSNFQLGSQNGTPLAGADLPVISDDFYGNRAICGFCQSSRISEATGPMLHYANGKPVTGDAAFCSNVIHVHSSCIEWAPQVYYVGESVKNLKAELARGAKLKCSRCGLKGAALGCYMKSCRRSYHFPCAKEVPKCRWDHDSFLVLCPAHSSVKFPNEKSGNAHSSVKFPNEKSGRCITTDHCEPTEIDPSKFRLFWGQPAEKQEWVFCGSALSSEEKMRMVRALGHLKFSWPFQMGSGFLKWIG >EOX94359 pep chromosome:Theobroma_cacao_20110822:1:28101901:28106834:-1 gene:TCM_003952 transcript:EOX94359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Breast cancer associated RING 1, putative isoform 4 MSDFAKRNSNRAMNPWVLHLQKLGLELKCPLCLDLFKQPSLLPCDHLFCDSCIVRSAEFGPECPICKAQYANRDLRPVTFMENIVGIYRSLDAAFSANLSQCVVDGVGKNDISFKCSMQRHVEDKRYKTPNKGGVEYSSAGKVDLLGPISQKPVGASQESGNGHIGMSRGDQTSLGSPPSSGDTKDSDNDSSDQSRGNYPALGLGKRNFEEKLDDSVLGVAHLWDSKRQKGLNYGPLEMGVKNIGHCRPVLPAQNLVTSNFQLGSQNGTPLAGADLPVISDDFYGNRAICGFCQSSRISEATGPMLHYANGKPVTGDAAFCSNVIHVHSSCIEWAPQVYYVGESVKNLKAELARGAKLKCSRCGLKGAALGCYMKSCRRSYHFPCAKEVPKCRWDHDSFLVLCPAHSSVKFPNEKSGNAHSSVKFPNEKSGRCITTDHCEPTEIDPSKFRLFWGQPAEKQEWVFCGSALSSEEKFLLVKFAKNIGVTVSKFWKPDVTHVIASTDENGACTRTLKVLMAISNGKWVLKMDWIKACMKAIHPVNEEPYEVILDNHGCSDGPKTGRLRALDNGLKLFDGFRFYFVGDFVSGYKEDLQNLVVAAGGTVLRIMEELLEQNNGDQAVQTRMIVVYNLDAPKGSELGEEVSIIWQRVNEAQDLATKIGGLVIGHTWLLESVAAYKLQPFVS >EOX94362 pep chromosome:Theobroma_cacao_20110822:1:28102780:28106243:-1 gene:TCM_003952 transcript:EOX94362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Breast cancer associated RING 1, putative isoform 4 PCDHLFCDSCIVRSAEFGPECPICKAQYANRDLRPVTFMENIVGIYRSLDAAFSANLSQCVVDGVGKNDISFKCSMQRHVEDKRYKTPNKGGVEYSSAGKVDLLGPISQKPVGASQESGNGHIGMSRGDQTSLGSPPSSGDTKDSDNDSSDQSRGNYPALGLGKRNFEEKLDDSVLGVAHLWDSKRQKGLNYGPLEMGVKNIGHCRPVLPAQNLVTSNFQLGSQNGTPLAGADLPVISDDFYGNRAICGFCQSSRISEATGPMLHYANGKPVTGDAAFCSNVIHVHSSCIEWAPQVYYVGESVKNLKAELARGAKLKCSRCGLKGAALGCYMKSCRRSYHFPCAKEVPKCRWDHDSFLVLCPAHSSVKFPNEKSGNAHSSVKFPNEKSGRCITTDHCEPTEIDPSKFRLFWGQPAEKQEWVFCGSALSSEEKFLLVKFAKNIGVTVSKFWKPDVTHVIASTDENGIKACMKAI >EOX95831 pep chromosome:Theobroma_cacao_20110822:1:35703036:35706147:-1 gene:TCM_005234 transcript:EOX95831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid/sphingolipid desaturase MECEKKYITAEELKEHNKPGDLWISIQGKVYNVSGWAKEHPGGEIPLLNLAGQDVTDAFIAYHPGTAWKYLDKLFTGYYLKDFKVSEVSKDYRRLVSEFAKTGMFEKKGHVALFSLTSVALMFLVVLYGVLRCESVWAHLGSAMLLGLLWMQSAYVGHDSGHYQVMASRGYNKLAQIVSGNCLTGISIAWWKWTHNAHHIACNSLDYDPDLQHIPVLAVSSRFFDSMTSYFYGRKLKFDPFARFLISYQHWTFYPVMCVARVNLYLQTFLLLFSNRKVPDRALNIMGILIFWTWFPLLVSCLPNWPERIMFVLASFAVTSIQHVQFCLNHFAANVYVGPPNGNDWFEKQTGGTLDISCSSWMDWFFGGLQFQLEHHLFPRLPRCQLRKVSPIVRDLCKKHNLPYRSLSFWEANRWTIRTLRTAALQARDLTNPVPKNLLWEAVNTHG >EOX92788 pep chromosome:Theobroma_cacao_20110822:1:8617041:8626282:-1 gene:TCM_001665 transcript:EOX92788 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 2 MVVNAMPVKRMKRRVTADLYDFLTFPSSASGSSVAMPFRANIRSFLTKHALMPPPTSLFPHLMTWQILFRVGNATDGSDSSSTMVCLDVVEEDVARSRSIYCDQCRVVGWSGHPVCSKRYHFIIKADGNSIGGYHKPCVRCGDILHLSELRCKSCSHVTTTDDLEDWVYHQLEDTTHLLHGVIHSNGYGHLLRVNGREGGSRVLSGCHIMDFWDRLCQTLAVRKVSVMDVSKKYGLEYRLLHAITKGHPWYGDWGYEFGAGSFALTFDAYKSAVETLSSLPLSIFLPPGCKPSTRLQDVISFYQSLSKSELVNIKDLFCLLMRLIHDAHKSSSRVEDATCKKPRTSSYEVVSWSRADVGRVEEAMFRVLRAVSGSNWVSYRALRGAVCRVACPELLDHCLMELGGKLAAEGMVVSSRRNPDSGALEFRLEPGTVSVTTTGNDFRVANCPPSEEVLKRDLKFLYESILHPQTMLSYGPDLTGNLATSSAEKLLDCKQFVKVYKHEKLSSGNDVVVCLSCQLELVDQSEEIGPDPPAELVVLPLNATVSDLKMEASKAFQEVYLAFRRFQAEELLGYGGVEDSTQVKHLLGSTESVRVRGRCLGKNGLSKFRAERGIERWTVDCICGAKDDDGERMLACDVCGVWQHTRCSGIKDSEAVPAKFVCYRCRESLYMTRTGGQCKDETVSSLGGTGSYGESLTTP >EOX92789 pep chromosome:Theobroma_cacao_20110822:1:8617041:8621287:-1 gene:TCM_001665 transcript:EOX92789 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 2 MDFWDRLCQTLAVRKVSVMDVSKKYGLEYRLLHAITKGHPWYGDWGYEFGAGSFALTFDAYKSAVETLSSLPLSIFLPPGCKPSTRLQDVISFYQSLSKSELVNIKDLFCLLMRLIHDAHKSSSRVEDATCKKPRTSSYEVVSWSRADVGRVEEAMFRVLRAVSGSNWVSYRALRGAVCRVACPELLDHCLMELGGKLAAEGMVVSSRRNPDSGALEFRLEPGTVSVTTTGNDFRVANCPPSEEVLKRDLKFLYESILHPQTMLSYGPDLTGNLATSSAEKLLDCKQFVKVYKHEKLSSGNDVVVCLSCQLELVDQSEEIGPDPPAELVVLPLNATVSDLKMEASKAFQEVYLAFRRFQAEELLGYGGVEDSTQVKHLLGSTESVRVRGRCLGKNGLSKFRAERGIERWTVDCICGAKDDDGERMLACDVCGVWQHTRCSGIKDSEAVPAKFVCYRCRESLYMTRTGGQCKDETVSSLGGTGSYGESLTTP >EOX92659 pep chromosome:Theobroma_cacao_20110822:1:7969456:7981622:1 gene:TCM_001574 transcript:EOX92659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein isoform 2 MQRMRQILGPLFSRDVMKQNHSDDAKPGRALESNQSLLFDNLHSSESSERQQQRICGPLAALTFNLVVAVGIIFMNKWVLKNVGFQFPVFLTVIHYAVSWALMAILNFFSLFSASPSSKVAPLSLFTFGVVNSVSTGLANVSLKYNSVGFYQMAKIAITPLIVLLEFIWYRKRIAFSKVIALTVVSIGVAVATVTDLQFSLFGACVALAWILPSAVNKILWSNVQQRENWTALALMWKTTPITLFFLVFMIPFLDPPGVLAFHWSFSNTSAILMSAFLGATSAISSVVLGQFKTCVVLLGNYYLFGSNPGISSIFGAFIAIGGMSLYTYLNIRHMKRKSSKVLP >EOX92658 pep chromosome:Theobroma_cacao_20110822:1:7969387:7981693:1 gene:TCM_001574 transcript:EOX92658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein isoform 2 MQRMRQILGPLFSRDVMKQNHSDDAKPGRALESNQSLLFDNLHSSESSERQQQRICGPLAALTFNLVVAVGIIFMNKWVLKNVGFQFPVFLTVIHYAVSWALMAILNFFSLFSASPSSKVAPLSLFTFGVVNSVSTGLANVSLKYNSVGFYQMAKIAITPLIVLLEFIWYRKRIAFSKVIALTVVSIGVAVATVTDLQFSLFGACVALAWILPSAVNKILWSNVQQRENWTALALMWKTTPITLFFLVFMIPFLDPPGVLAFHWSFSNTSAILMSAFLGFLLQWSSALTLGATSAISSVVLGQFKTCVVLLGNYYLFGSNPGISSIFGAFIAIGGMSLYTYLNIRHMKRKSSKVLP >EOX93367 pep chromosome:Theobroma_cacao_20110822:1:12445483:12451332:1 gene:TCM_002215 transcript:EOX93367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid-A-disaccharide synthase isoform 2 MLLAAIRCTKIKSSICLKSPSRRYLWVSSRFVTDRAAKEQELRIFIVAGEVSGDTIGSRLMASLKKLSPVPIRFSGVGGNASVSIRSMMSKQGLKSLFPMDNIAVMGIWELLPHLYNFRVKLKETVEAAFMFHPHVVVTVDSKGFSFRLLKQLRARYNQQGLDGPVHFHYVAPSFWAWKGGAERLKGLTEFVDHVLCILPNEEAGCQSKGLAATFVGHPILEDVSELNMGKEIALHEWKITGNGEDFRKTYAIPSGATVITLLPGSRLQEVTRMLPIFSNTMKLLKDSFPELVTVIHVAPNQLVDNFITRAIHKWPVPAILIPGGIPHLKYDAFNASRVALCTSGTVAMELQLARLPCLVTYRAHFLTEWVIRYKAKIPYISLPNILLDSPVIPEALFQACTPTKLASSLKELMNNTVLQQQQVVAAEKVMELICPSNRTVNNLQQQGMRWRPPIYTPTGKADNSAEECARAHPDDSQRCGDNLEDDDDFDDTFKVVNDMKVSSEMIVVGH >EOX93368 pep chromosome:Theobroma_cacao_20110822:1:12445781:12450208:1 gene:TCM_002215 transcript:EOX93368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid-A-disaccharide synthase isoform 2 MLLAAIRCTKIKSSICLKSPSRRYLWVSSRFVTDRAAKEQELRIFIVAGEVSGDTIGSRLMASLKKLSPVPIRFSGVGGSMMSKQGLKSLFPMDNIAVMGIWELLPHLYNFRVKLKETVEAAFMFHPHVVVTVDSKGFSFRLLKQLRGCQSKGLAATFVGHPILEDVSELNMGKEIALHEWKITGNGEDFRKTYAIPSGATVITLLPGSRLQEVTRMLPIFSNTMKLLKDSFPELVTVIHVAPNQLVDNFITRAIHKWPVPAILIPGGIPHLKYDAFNASRVALCTSGTVAMELQLARLPCLVTYRAHFLTEWVIRYKAKIPYISLPNILLDSPVIPEALFQACTPTKLASSLKELMNNTVLQQQQVVAAEKVMELICPSNRTVNNLQQQGMRWRPPIYTPSMIAASTILSYAQR >EOX95800 pep chromosome:Theobroma_cacao_20110822:1:35612176:35615434:1 gene:TCM_005212 transcript:EOX95800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase, putative isoform 1 MSLSLSEIIVVFRQVVVVLLVTMVMLSRCSSGSKCEFKAIFNFGDSNSDTGGFWAAFPAQSGPFGMTYFKRPAGRATDGRLMVDFLAQALGLPFISPYLQSIGSDFRHGANYATLASTVLLPNTSLFVTGISPFSLAIQLNQMKEFKAKVVEYHSGNKKGSTTTKLPSPDIFGKSLYTFYIGQNDFTSNLKAIGIEGVEQYLPQVVSQIAGNVKELYGLGGRTFFVLNLAPIGCYPAFLVQLPHNTSDLDKFGCLTSYNKAVVDYNNMLKEALSQTRRDLPNASLIYVDTHAVLLELFQHPTAHGLRYGTRACCGYGGGTYNFHQEVYCGNTKVINGTNMTASACEDPNNYVSWDGIHASEAANKLTTLAILNGSLFDPPFPLHKLCDLHHIG >EOX95801 pep chromosome:Theobroma_cacao_20110822:1:35611972:35615436:1 gene:TCM_005212 transcript:EOX95801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase, putative isoform 1 MSLSLSEIIVVFRQVVVVLLVTMVMLSRCSSGSKCEFKAIFNFGDSNSDTGGFWAAFPAQSGPFGMTYFKRPAGRATDGRLMVDFLAQALGLPFISPYLQSIGSDFRHGANYATLASTVLLPNTSLFVTGISPFSLAIQLNQMKEFKAKVVEYHSGNKKGSTTTKLPSPDIFGKSLYTFYIGQNDFTSNLKAIGIEGVEQYLPQVVSQIAGNVKLPHNTSDLDKFGCLTSYNKAVVDYNNMLKEALSQTRRDLPNASLIYVDTHAVLLELFQHPTAHGLRYGTRACCGYGGGTYNFHQEVYCGNTKVINGTNMTASACEDPNNYVSWDGIHASEAANKLTTLAILNGSLFDPPFPLHKLCDLHHIG >EOX96088 pep chromosome:Theobroma_cacao_20110822:1:36571039:36572721:-1 gene:TCM_005424 transcript:EOX96088 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MGEDTGNGVLADARSAEEWLSHAQELVPMALVKAREVKGFPGRWKMIMSKLEQIPSRLSDLSSHPCFSKNALCKEQLQAVSKTLKEAIELADLCLKEKYEGKLKMQSDLDALSGKLDLNLRDCGLLIKTGVLGEATLPLSVVGSSSESETATHGHLKELLARLQIGHLEAKHKALDSLVEVMKEDEKCVLSVMGRSNIAALVQLLTATSPRIREKTVTVICSLAESGSCESWLVSEGVLPPLIRLVESGSTVGKEKATISLQRLSMTAETARAIVGHGGVRPLTEICRTGDSVSQAAAACTLKNISAVPEVRQILAEEGIIKVMINLLDCGILLGSKEYAAECLQNLSASNENLRRSVVSEGGIRSLLVYLDGPLPQESAVGALRNLVGSVSMEVLMSLGFLPRLLHVLKSGSLGAQQAAASAICRVCSSSEMKKMVGEAGCIALLITMLEAKSNSAREVAAQALSSLITVSQNCREVKKNDKSVPNLVQLLDPSPQNTAKKYAVSCLASLSSSKKCKKLMISYGAIGYLKKLLEMEIPGVKKLLERLERGKLRSLFSRK >EOX96089 pep chromosome:Theobroma_cacao_20110822:1:36570770:36574619:-1 gene:TCM_005424 transcript:EOX96089 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MGEDTGNGVLADARSAEEWLSHAQELVPMALVKAREVKGFPGRWKMIMSKLEQIPSRLSDLSSHPCFSKNALCKEQLQAVSKTLKEAIELADLCLKEKYEGKLKMQSDLDALSGKLDLNLRDCGLLIKTGVLGEATLPLSVVGSSSESETATHGHLKELLARLQIGHLEAKHKALDSLVEVMKEDEKCVLSVMGRSNIAALVQLLTATSPRIREKTVTVICSLAESGSCESWLVSEGVLPPLIRLVESGSTVGKEKATISLQRLSMTAETARAIVGHGGVRPLTEICRTGDSVSQAAAACTLKNISAVPEVRQILAEEGIIKVMINLLDCGILLGSKEYAAECLQNLSASNENLRRSVVSEGGIRSLLVYLDGPLPQESAVGALRNLVGSVSMEVLMSLGFLPRLLHVLKSGSLGAQQAAASAICRVCSSSEMKKMVGEAGCIALLITMLEAKSNSAREVAAQALSSLITVSQNCREVKKNDKSVPNLVQLLDPSPQNTAKKYAVSCLASLSSSKKCKKLMISYGAIGYLKKLLEMEIPGVKKLLERLERGKLRSLFSRK >EOX96087 pep chromosome:Theobroma_cacao_20110822:1:36571039:36572721:-1 gene:TCM_005424 transcript:EOX96087 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MGEDTGNGVLADARSAEEWLSHAQELVPMALVKAREVKGFPGRWKMIMSKLEQIPSRLSDLSSHPCFSKNALCKEQLQAVSKTLKEAIELADLCLKEKYEGKLKMQSDLDALSGKLDLNLRDCGLLIKTGVLGEATLPLSVVGSSSESETATHGHLKELLARLQIGHLEAKHKALDSLVEVMKEDEKCVLSVMGRSNIAALVQLLTATSPRIREKTVTVICSLAESGSCESWLVSEGVLPPLIRLVESGSTVGKEKATISLQRLSMTAETARAIVGHGGVRPLTEICRTGDSVSQAAAACTLKNISAVPEVRQILAEEGIIKVMINLLDCGILLGSKEYAAECLQNLSASNENLRRSVVSEGGIRSLLVYLDGPLPQESAVGALRNLVGSVSMEVLMSLGFLPRLLHVLKSGSLGAQQAAASAICRVCSSSEMKKMVGEAGCIALLITMLEAKSNSAREVAAQALSSLITVSQNCREVKKNDKSVPNLVQLLDPSPQNTAKKYAVSCLASLSSSKKCKKLMISYGAIGYLKKLLEMEIPGVKKLLERLERGKLRSLFSRK >EOX96086 pep chromosome:Theobroma_cacao_20110822:1:36570600:36574459:-1 gene:TCM_005424 transcript:EOX96086 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MGEDTGNGVLADARSAEEWLSHAQELVPMALVKAREVKGFPGRWKMIMSKLEQIPSRLSDLSSHPCFSKNALCKEQLQAVSKTLKEAIELADLCLKEKYEGKLKMQSDLDALSGKLDLNLRDCGLLIKTGVLGEATLPLSVVGSSSESETATHGHLKELLARLQIGHLEAKHKALDSLVEVMKEDEKCVLSVMGRSNIAALVQLLTATSPRIREKTVTVICSLAESGSCESWLVSEGVLPPLIRLVESGSTVGKEKATISLQRLSMTAETARAIVGHGGVRPLTEICRTGDSVSQAAAACTLKNISAVPEVRQILAEEGIIKVMINLLDCGILLGSKEYAAECLQNLSASNENLRRSVVSEGGIRSLLVYLDGPLPQESAVGALRNLVGSVSMEVLMSLGFLPRLLHVLKSGSLGAQQAAASAICRVCSSSEMKKMVGEAGCIALLITMLEAKSNSAREVAAQALSSLITVSQNCREVKKNDKSVPNLVQLLDPSPQNTAKKYAVSCLASLSSSKKCKKLMISYGAIGYLKKLLEMEIPGVKKLLERLERGKLRSLFSRK >EOX95646 pep chromosome:Theobroma_cacao_20110822:1:35035606:35036300:-1 gene:TCM_005102 transcript:EOX95646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative MADWMIGPRLYNCYKCGNMICRHDDIISKIFQASHGRAFLFTHVQNVVDGPEEDRQLMTGLHTVTDVYCSDCGELLGWRYIKAYEELQKYKEGKIVLERLKIAKESW >EOX90759 pep chromosome:Theobroma_cacao_20110822:1:553583:557278:-1 gene:TCM_000138 transcript:EOX90759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MLSRLVNFLRACLRPSSDRYAQKGSDAAGRQDGLLWYKDSGQHLVGEFSMAVVQANNLLEDQSQIESGSLSTLESGPYGTFVGIYDGHGGPETSRFINDNLFQHLKRFTTEQQSMSVDVIKKAYQATEEGFFSLVTKQWPMKPLIAAVGSCCLVGVICNGTLYIANLGDSRAVLGRAVKATGDVLAIQLSAEHNVGIESVRQEMHSLHPDDSHIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYQKFRLREPFKKPILSSEPSISVHELQPHDQFLIFASDGLWEHLSNQDAVDIVQNHPRNGTARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVYLDSNLVSKASSVKGPSLSLRGGGVNLPAKTLAPCATPMELNIS >EOX90760 pep chromosome:Theobroma_cacao_20110822:1:553326:556870:-1 gene:TCM_000138 transcript:EOX90760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MLSRLVNFLRACLRPSSDRYAQKGSDAAGRQDGLLWYKDSGQHLVGEFSMAVVQANNLLEDQSQIESGSLSTLESGPYGTFVGIYDGHGGPETSRFINDNLFQHLKRFTTEQQSMSVDVIKKAYQATEEGFFSLVTKQWPMKPLIAAVGSCCLVGVICNGTLYIANLGDSRAVLGRAVKATGDVLAIQLSAEHNVGIESVRQEMHSLHPDDSHIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYQKFRLREPFKKPILSSEPSISVHELQPHDQFLIFASDGLWEHLSNQDAVDIVQNHPRNGTARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVYLDSNLVSKASSVKGPSLSLRGGGVNLPAKTLAPCATPMELNIS >EOX94528 pep chromosome:Theobroma_cacao_20110822:1:29475065:29477661:-1 gene:TCM_004137 transcript:EOX94528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTMSIVDDQGEVIHIRITLLLDHEGVAHIMITLLSNRGRVTNVGFTLSLDGEKLLDKLSDCEDKVVLTLLLDGAVNLVFLGKSRR >EOX95160 pep chromosome:Theobroma_cacao_20110822:1:33101053:33111391:-1 gene:TCM_004713 transcript:EOX95160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein MALTRLCLAFSLLLLSLLVIASAGDYSNDDSSKYGFDGIPADSPQAKPEEEEKPTKPDYYKPKPVDKEEPDYGSKPEVVKSKPEGKEKPNYGTKQDIYKPKPEEKEKPEYGRKPYVAKPKPEGEEKPYYGTKPDNYKAKPEEKSGYGGEKKPDYGKKGYLYKPKTEEKEKPEYGRKSYVVKPKPEGEEKPYYDTKPEFNETKSEEKENLLFDGVQGLVLCKSGSKYYPIQGALAKITCKAVDKGGLEKILSICSGATDAKGYFFATLSHSDLVDKLKVKDCKAYLESSPLKTCNIPTNDNKGIDGAPLSNFRVLNKKMNLYSVGPFFYTSEAKSATNGY >EOX92959 pep chromosome:Theobroma_cacao_20110822:1:9591821:9597284:1 gene:TCM_001819 transcript:EOX92959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCQTRLDTKHVKTKSKTYLTRVFSCRVNVLYSKLLSLAFIYDTLVEVRILYKIANLLTRSWQCNSTKLLWNGSHSTPFNPSRGVKQGDSLSPYLFVLCIERFTHRINAVVANSIWKSMKLSPRCPLLTHLFFANDFILFAKASIEHMEVIKEVIDDYCACSGQKVSVEKSLFYCSKSASKGSINNLKLERLCRNFLWGSDENHRKGLSSSGQYTIATVYNYLRNISSSTAVELSGIWQDAWKWQEPQRLRTFLVQCLHGRILTNRERQRRRLTSDSVCLHCKMEDETVIHVLRDCMVATSLWVRLVPQQERYKKGTLNLELNYGEFIKGYVLHGTWVSKRLIYKLIACLLDEPLPQLELTHIIKRLMSFQNKHL >EOX96325 pep chromosome:Theobroma_cacao_20110822:1:37351009:37360143:-1 gene:TCM_005597 transcript:EOX96325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 11 MSQSNPNFPRKQLSNSLTNSLMAQNQVIDSLTSHISLYHSHSLAQNPNPNPNNNPRSLILKWFSSLTVHQRQAHLTTVDFKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRCLLSRVAESNVSERRVFESVRFFGSREGEKIDECSCSVSSLDSMTVTEEFVENVELFVETMDKVSNGAFLRGEQSELGSDWIELEWLKSKGYYSIEAFLVNRLEVALRLAWLNFNNGKRRGVKLKEKVNAAGVAANVYWRKKGCMDWWVNLGDATRRKVLTAIIGKAAKSLTLEVLNAAGSASEDEMWLFSGGAEQPMRYNYSEPLLGTIPKRLEDAEFGIIITAGSRFGKPNSLTNVFSSLFVLQDIVTLVLSYHNKCDMGKVFFSALGSISTFTDSILRKLRGILMVISLDCTKLELLGEGNFNSSSDKSKDKFSACSRKKKGRSRNIKKQIPVAKAEVNDLLPEKPLKEHTQSLIGGKGRAAARKSRKEKNKNKHTCVNGTTELKTSKKAVIEASTSSFIFQDEATNSSGVLDNLNIQGVPTDTMSQSNVLESNSSPNRPHNQPFREEIAMNVQDPEVGSTGQEDYSKDVTENEFIATGQEDSNCRVECNRLPPIIPVPESDSVFTGEGINLQNSHSASKIQENSTSPDASGNTLDVKEEVSVIQVQDKKLYDTAPTSSPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPQIESGCNRILSRPMPMSLDWPPMVRSASGLTPPITCNYGSGFISRRQTAFQQGFASQNFQFNTKNLDDERKYSGDFFDLPDLANTVELADECDSHWISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDHPGTGFSRPPSLSSDDSSWAWHEADMSRAVDDMVAFSSSYSTNGLTSPTAAPFCSPFEPLGPGHQAVSYVVPGNDVPGKVLHSPSPTPDAATEEEASGSLANLSSDVEGKTGDSLPYPILRPIIIPNISRERSRSDFKRGHDHKSPCVPPTRREQPRIKRPPSPVVLCVPRAPRPPPPSPVNDSRKQRGFPTVRSGSSSPRHWGMRGLYHDGTNSEEACVRMDGTEVVWPSWRSKSLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSIHSLLNDEIESFCKQVAAENMARKPYINWAVKRVTRSLQVLWPRSRTNVFGSSATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSAASNLQSPTDEQIEKSAERGNHAHSDTVGLEDSASPKCSKISYGNMKDVKSVRLDISFKSPSHTGLQTTELVRELTEQFPAAMPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMQISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSTLENELTCLSSNINSCFNPPCRMLQKIIPSMNLS >EOX96323 pep chromosome:Theobroma_cacao_20110822:1:37350373:37360205:-1 gene:TCM_005597 transcript:EOX96323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 11 MSQSNPNFPRKQLSNSLTNSLMAQNQVIDSLTSHISLYHSHSLAQNPNPNPNNNPRSLILKWFSSLTVHQRQAHLTTVDFKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRCLLSRVAESNVSERRVFESVRFFGSREGEKIDECSCSVSSLDSMTVTEEFVENVELFVETMDKVSNGAFLRGEQSELGSDWIELEWLKSKGYYSIEAFLVNRLEVALRLAWLNFNNGKRRGVKLKEKVNAAGVAANVYWRKKGCMDWWVNLGDATRRKVLTAIIGKAAKSLTLEVLNAAGSASEDEMWLFSGGAEQPMRYNYSEPLLGTIPKRLEDAEFGIIITAGSRFGKPNSLTNVFSSLFVLQDIVTLVLSYHNKCDMGKVFFSALGSISTFTDSILRKLRGILMVISLDCTKLELLGEGNFNSSSDKSKDKFSACSRKKKGRSRNIKKQIPVAKAEVNDLLPEKPLKEHTQSLIGGKGRAAARKSRKEKNKNKHTCVNGTTELKTSKKAVIEASTSSFIFQDEATNSSGVLDNLNIQGVPTDTMSQSNVLESNSSPNRPHNQPFREEIAMNVQDPEVGSTGQEDYSKDVTENEFIATGQEDSNCRVECNRLPPIIPVPESDSVFTGEGINLQNSHSASKIQENSTSPDASGNTLDVKEEVSVIQVQDKKLYDTAPTSSPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPQIESGCNRILSRPMPMSLDWPPMVRSASGLTPPITCNYGSGFISRRQTAFQQGFASQNFQFNTKNLDDERKYSGDFFDLPDLANTVELADECDSHWISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDHPGTGFSRPPSLSSDDSSWAWHEADMSRAVDDMVAFSSSYSTNGLTSPTAAPFCSPFEPLGPGHQAVSYVVPGNDVPGKVLHSPSPTPDAATEEEASGSLANLSSDVEGKTGDSLPYPILRPIIIPNISRERSRSDFKRGHDHKSPCVPPTRREQPRIKRPPSPVVLCVPRAPRPPPPSPVNDSRKQRGFPTVRSGSSSPRHWGMRGLYHDGTNSEEACVRMDGTEVVWPSWRSKSLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSIHSLLNDEIESFCKQVAAENMARKPYINWAVKRVTRSLQVLWPRSRTNVFGSSATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSAASNLQSPTDEQIEKSAERGNHAHSDTVGLEDSASPKCSKISYGNMKDVKSVRLDISFKSPSHTGLQTTELVRELTEQFPAAMPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMQISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSTLENELTCLSSNINSCFNPPCRMLQKIIPSMNLS >EOX96321 pep chromosome:Theobroma_cacao_20110822:1:37350004:37360528:-1 gene:TCM_005597 transcript:EOX96321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 11 MSQSNPNFPRKQLSNSLTNSLMAQNQVIDSLTSHISLYHSHSLAQNPNPNPNNNPRSLILKWFSSLTVHQRQAHLTTVDFKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRCLLSRVAESNVSERRVFESVRFFGSREGEKIDECSCSVSSLDSMTVTEEFVENVELFVETMDKVSNGAFLRGEQSELGSDWIELEWLKSKGYYSIEAFLVNRLEVALRLAWLNFNNGKRRGVKLKEKVNAAGVAANVYWRKKGCMDWWVNLGDATRRKVLTAIIGKAAKSLTLEVLNAAGSASEDEMWLFSGGAEQPMRYNYSEPLLGTIPKRLEDAEFGIIITAGSRFGKPNSLTNVFSSLFVLQDIVTLVLSYHNKCDMGKVFFSALGSISTFTDSILRKLRGILMVISLDCTKLELLGEGNFNSSSDKSKDKFSACSRKKKGRSRNIKKQIPVAKAEVNDLLPEKPLKDLESVSTNNKKADLKESSKMPVITHGKDVNRKTPSQMEMEHTQSLIGGKGRAAARKSRKEKNKNKHTCVNGTTELKTSKKAVIEASTSSFIFQDEATNSSGVLDNLNIQGVPTDTMSQSNVLESNSSPNRPHNQPFREEIAMNVQDPEVGSTGQEDYSKDVTENEFIATGQEDSNCRVECNRLPPIIPVPESDSVFTGEGINLQNSHSASKIQENSTSPDASGNTLDVKEEVSVIQVQDKKLYDTAPTSSPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPQIESGCNRILSRPMPMSLDWPPMVRSASGLTPPITCNYGSGFISRRQTAFQQGFASQNFQFNTKNLDDERKYSGDFFDLPDLANTVELADECDSHWISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDHPGTGFSRPPSLSSDDSSWAWHEADMSRAVDDMVAFSSSYSTNGLTSPTAAPFCSPFEPLGPGHQAVSYVVPGNDVPGKVLHSPSPTPDAATEEEASGSLANLSSDVEGKTGDSLPYPILRPIIIPNISRERSRSDFKRGHDHKSPCVPPTRREQPRIKRPPSPVVLCVPRAPRPPPPSPVNDSRKQRGFPTVRSGSSSPRHWGMRGLYHDGTNSEEACVRMDGTEVVWPSWRSKSLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSIHSLLNDEIESFCKQVAAENMARKPYINWAVKRVTRSLQVLWPRSRTNVFGSSATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSAASNLQSPTDEQIEKSAERGNHAHSDTVGLEDSASPKCSKISYGNMKDVKSVRLDISFKSPSHTGLQTTELVRELTEQFPAAMPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMQISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSTLENELTCLSSNINSCFNPPCRMLQKIIPSMNLS >EOX96314 pep chromosome:Theobroma_cacao_20110822:1:37350004:37360528:-1 gene:TCM_005597 transcript:EOX96314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 11 MSQSNPNFPRKQLSNSLTNSLMAQNQVIDSLTSHISLYHSHSLAQNPNPNPNNNPRSLILKWFSSLTVHQRQAHLTTVDFKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRCLLSRVAESNVSERRVFESVRFFGSREGEKIDECSCSVSSLDSMTVTEEFVENVELFVETMDKVSNGAFLRGEQSELGSDWIELEWLKSKGYYSIEAFLVNRLEVALRLAWLNFNNGKRRGVKLKEKVNAAGVAANVYWRKKGCMDWWVNLGDATRRKVLTAIIGKAAKSLTLEVLNAAGSASEDEMWLFSGGAEQPMRYNYSEPLLGTIPKRLEDAEFGIIITAGSRFGKPNSLTNVFSSLFVLQDIVTLVLSYHNKCDMGKVFFSALGSISTFTDSILRKLRGILMVISLDCTKLELLGEGNFNSSSDKSKDKFSACSRKKKGRSRNIKKQIPVAKAEVNDLLPEKPLKDLESVSTNNKKADLKESSKMPVITHGKDVNRKTPSQMEMEHTQSLIGGKGRAAARKSRKEKNKNKHTCVNGTTELKTSKKAVIEASTSSFIFQDEATNSSGVLDNLNIQGVPTDTMSQSNVLESNSSPNRPHNQPFREEIAMNVQDPEVGSTGQEDYSKDVTENEFIATGQEDSNCRVECNRLPPIIPVPESDSVFTGEGINLQNSHSASKIQENSTSPDASGNTLDVKEEVSVIQVQDKKLYDTAPTSSPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPQIESGCNRILSRPMPMSLDWPPMVRSASGLTPPITCNYGSGFISRRQTAFQQGFASQNFQFNTKNLDDERKYSGDFFDLPDLANTVELADECDSHWISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDHPGTGFSRPPSLSSDDSSWAWHEADMSRAVDDMVAFSSSYSTNGLTSPTAAPFCSPFEPLGPGHQAVSYVVPGNDVPGKVLHSPSPTPDAATEEEASGSLANLSSDVEGKTGDSLPYPILRPIIIPNISRERSRSDFKRGHDHKSPCVPPTRREQPRIKRPPSPVVLCVPRAPRPPPPSPVNDSRKQRGFPTVRSGSSSPRHWGMRGLYHDGTNSEEACVRMDGTEVVWPSWRSKSLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSIHSLLNDEIESFCKQVAAENMARKPYINWAVKRVTRSLQVLWPRSRTNVFGSSATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSAASNLQSPTDEQIEKSAERGNHAHSDTVGLEDSASPKCSKISYGNMKDVKSVRLDISFKSPSHTGLQTTELVRELTEQFPAAMPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMQISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSTLENELTCLSRALVVWEILSVRKLKSSHNGSFGT >EOX96324 pep chromosome:Theobroma_cacao_20110822:1:37350760:37360205:-1 gene:TCM_005597 transcript:EOX96324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 11 MSQSNPNFPRKQLSNSLTNSLMAQNQVIDSLTSHISLYHSHSLAQNPNPNPNNNPRSLILKWFSSLTVHQRQAHLTTVDFKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRCLLSRVAESNVSERRVFESVRFFGSREGEKIDECSCSVSSLDSMTVTEEFVENVELFVETMDKVSNGAFLRGEQSELGSDWIELEWLKSKGYYSIEAFLVNRLEVALRLAWLNFNNGKRRGVKLKEKVNAAGVAANVYWRKKGCMDWWVNLGDATRRKVLTAIIGKAAKSLTLEVLNAAGSASEDEMWLFSGGAEQPMRYNYSEPLLGTIPKRLEDAEFGIIITAGSRFGKPNSLTNVFSSLFVLQDIVTLVLSYHNKCDMGKVFFSALGSISTFTDSILRKLRGILMVISLDCTKLELLGEGNFNSSSDKSKDKFSACSRKKKGRSRNIKKQIPVAKAEVNDLLPEKPLKDLESVSTNNKKADLKESSKMPVITHGKDVNRKTPSQMEMEHTQSLIGGKGRAAARKSRKEKNKNKHTCVNGTTELKTSKKAVIEASTSSFIFQDEATNSSGVLDNLNIQGVPTDTMSQSNVLESNSSPNRPHNQPFREEIAMNVQDPEVGSTGQEDYSKDVTENEFIATGQEDSNCRVECNRLPPIIPVPESDSVFTGEGINLQNSHSASKIQENSTSPDASGNTLDVKEEVSVIQVQDKKLYDTAPTSSPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPQIESGCNRILSRPMPMSLDWPPMVRSASGLTPPITCNYGSGFISRRQTAFQQGFASQNFQFNTKNLDDERKYSGDFFDLPDLANTVELADECDSHWISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDHPGTGFSRPPSLSSDDSSWAWHEADMSRAVDDMVAFSSSYSTNGLTSPTAAPFCSPFEPLGPGHQAVSYVVPGNDVPGKVLHSPSPTPDAATEEEASGSLANLSSDVEGKTGDSLPYPILRPIIIPNISRERSRSDFKRGHDHKSPCVPPTRREQPRIKRPPSPVVLCVPRAPRPPPPSPVNDSRKQRGFPTVRSGSSSPRHWGMRGLYHDGTNSEEACVRMDGTEVVWPSWRSKSLSAHPMIHPLPGALLQDHLIAMSQLARDQEHMCHFLCNHLSYRAAQHERHLSLQYTASLMMKLNLSASRLQQRIWLVSHTLIGLSSGLQGLSKSYGLGQGQMSLVQVQPAYLFQQVM >EOX96315 pep chromosome:Theobroma_cacao_20110822:1:37351009:37360143:-1 gene:TCM_005597 transcript:EOX96315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 11 MSQSNPNFPRKQLSNSLTNSLMAQNQVIDSLTSHISLYHSHSLAQNPNPNPNNNPRSLILKWFSSLTVHQRQAHLTTVDFKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRCLLSRVAESNVSERRVFESVRFFGSREGEKIDECSCSVSSLDSMTVTEEFVENVELFVETMDKVSNGAFLRGEQSELGSDWIELEWLKSKGYYSIEAFLVNRLEVALRLAWLNFNNGKRRGVKLKEKVNAAGVAANVYWRKKGCMDWWVNLGDATRRKVLTAIIGKAAKSLTLEVLNAAGSASEDEMWLFSGGAEQPMRYNYSEPLLGTIPKRLEDAEFGIIITAGSRFGKPNSLTNVFSSLFVLQDIVTLVLSYHNKCDMGKVFFSALGSISTFTDSILRKLRGILMVISLDCTKLELLGEGNFNSSSDKSKDKFSACSRKKKGRSRNIKKQIPVAKAEVNDLLPEKPLKDLESVSTNNKKADLKESSKMPVITHGKDVNRKTPSQMEMEHTQSLIGGKGRAAARKSRKEKNKNKHTCVNGTTELKTSKKAVIEASTSSFIFQDEATNSSGVLDNLNIQGVPTDTMSQSNVLESNSSPNRPHNQPFREEIAMNVQDPEVGSTGQEDYSKDVTENEFIATGQEDSNCRVECNRLPPIIPVPESDSVFTGEGINLQNSHSASKIQENSTSPDASGNTLDVKEEVSVIQVQDKKLYDTAPTSSPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPQIESGCNRILSRPMPMSLDWPPMVRSASGLTPPITCNYGSGFISRRQTAFQQGFASQNFQFNTKNLDDERKYSGDFFDLPDLANTVELADECDSHWISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDHPGTGFSRPPSLSSDDSSWAWHEADMSRAVDDMVAFSSSYSTNGLTSPTAAPFCSPFEPLGPGHQAVSYVVPGNDVPGKVLHSPSPTPDAATEEEASGSLANLSSDVEGKTGDSLPYPILRPIIIPNISRERSRSDFKRGHDHKSPCVPPTRREQPRIKRPPSPVVLCVPRAPRPPPPSPVNDSRKQRGFPTVRSGSSSPRHWGMRGLYHDGTNSEEACVRMDGTEVVWPSWRSKSLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSIHSLLNDEIESFCKQVAAENMARKPYINWAVKRVTRSLQVLWPRSRTNVFGSSATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSAASNLQSPTDEQIEKSAERGNHAHSDTVGLEDSASPKCSKISYGNMKDVKSVRLDISFKSPSHTGLQTTELVRELTEQFPAAMPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMQISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSTLENELTCLSSNINSCFNPPCRMLQKIIPSMNLS >EOX96320 pep chromosome:Theobroma_cacao_20110822:1:37350373:37360205:-1 gene:TCM_005597 transcript:EOX96320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 11 MSQSNPNFPRKQLSNSLTNSLMAQNQVIDSLTSHISLYHSHSLAQNPNPNPNNNPRSLILKWFSSLTVHQRQAHLTTVDFKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRCLLSRVAESNVSERRVFESVRFFGSREGEKIDECSCSVSSLDSMTVTEEFVENVELFVETMDKVSNGAFLRGEQSELGSDWIELEWLKSKGYYSIEAFLVNRLEVALRLAWLNFNNGKRRGVKLKEKVNAAGVAANVYWRKKGCMDWWVNLGDATRRKVLTAIIGKAAKSLTLEVLNAAGSASEDEMWLFSGGAEQPMRYNYSEPLLGTIPKRLEDAEFGIIITAGSRFGKPNSLTNVFSSLFVLQDIVTLVLSYHNKCDMGKVFFSALGSISTFTDSILRKLRGILMVISLDCTKLELLGEGNFNSSSDKSKDKFSACSRKKKGRSRNIKKQIPVAKAEVNDLLPEKPLKDLESVSTNNKKADLKESSKMPVITHGKDVNRKTPSQMEMEHTQSLIGGKGRAAARKSRKEKNKNKHTCVNGTTELKTSKKAVIEASTSSFIFQDEATNSSGVLDNLNIQGVPTDTMSQSNVLESNSSPNRPHNQPFREEIAMNVQDPEVGSTGQEDYSKDVTENEFIATGQEDSNCRVECNRLPPIIPVPESDSVFTGEGINLQNSHSASKIQENSTSPDASGNTLDVKEEVSVIQVQDKKLYDTAPTSSPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPQIESGCNRILSRPMPMSLDWPPMVRSASGLTPPITCNYGSGFISRRQTAFQQGFASQNFQFNTKNLDDERKYSGDFFDLPDLANTVELADECDSHWISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDHPGTGFSRPPSLSSDDSSWAWHEADMSRAVDDMVAFSSSYSTNGLTSPTAAPFCSPFEPLGPGHQAVSYVVPGNDVPGKVLHSPSPTPDAATEEEASGSLANLSSDVEGKTGDSLPYPILRPIIIPNISRERSRSDFKRGHDHKSPCVPPTRREQPRIKRPPSPVVLCVPRAPRPPPPSPVNDSRKQRGFPTVRSGSSSPRHWGMRGLYHDGTNSEEACVRMDGTEVVWPSWRSKSLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSIHSLLNDEIESFCKQVAAENMARKPYINWAVKRVTRSLQVLWPRSRTNVFGSSATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSAASNLQSPTDEQIEKSAERGNHAHSDTVGLEDSASPKCSKISYGNMKDVKSVRLDISFKSPSHTGLQTTELVRELTEQFPAAMPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMQISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSTLENELTCLSSNINSCFNPPCRMLQKIIPSMNLS >EOX96317 pep chromosome:Theobroma_cacao_20110822:1:37350373:37360205:-1 gene:TCM_005597 transcript:EOX96317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 11 MSQSNPNFPRKQLSNSLTNSLMAQNQVIDSLTSHISLYHSHSLAQNPNPNPNNNPRSLILKWFSSLTVHQRQAHLTTVDFKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRCLLSRVAESNVSERRVFESVRFFGSREGEKIDECSCSVSSLDSMTVTEEFVENVELFVETMDKVSNGAFLRGEQSELGSDWIELEWLKSKGYYSIEAFLVNRLEVALRLAWLNFNNGKRRGVKLKEKVNAAGVAANVYWRKKGCMDWWVNLGDATRRKVLTAIIGKAAKSLTLEVLNAAGSASEDEMWLFSGGAEQPMRYNYSEPLLGTIPKRLEDAEFGIIITAGSRFGKPNSLTNVFSSLFVLQDIVTLVLSYHNKCDMGKVFFSALGSISTFTDSILRKLRGILMVISLDCTKLELLGEGNFNSSSDKSKDKFSACSRKKKGRSRNIKKQIPVAKAEVNDLLPEKPLKEHTQSLIGGKGRAAARKSRKEKNKNKHTCVNGTTELKTSKKAVIEASTSSFIFQDEATNSSGVLDNLNIQGVPTDTMSQSNVLESNSSPNRPHNQPFREEIAMNVQDPEVGSTGQEDYSKDVTENEFIATGQEDSNCRVECNRLPPIIPVPESDSVFTGEGINLQNSHSASKIQENSTSPDASGNTLDVKEEVSVIQVQDKKLYDTAPTSSPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPQIESGCNRILSRPMPMSLDWPPMVRSASGLTPPITCNYGSGFISRRQTAFQQGFASQNFQFNTKNLDDERKYSGDFFDLPDLANTVELADECDSHWISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDHPGTGFSRPPSLSSDDSSWAWHEADMSRAVDDMVAFSSSYSTNGLTSPTAAPFCSPFEPLGPGHQAVSYVVPGNDVPGKVLHSPSPTPDAATEEEASGSLANLSSDVEGKTGDSLPYPILRPIIIPNISRERSRSDFKRGHDHKSPCVPPTRREQPRIKRPPSPVVLCVPRAPRPPPPSPVNDSRKQRGFPTVRSGSSSPRHWGMRGLYHDGTNSEEACVRMDGTEVVWPSWRSKSLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSIHSLLNDEIESFCKQVAAENMARKPYINWAVKRVTRSLQVLWPRSRTNVFGSSATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSAASNLQSPTDEQIEKSAERGNHAHSDTVGLEDSASPKCSKISYGNMKDVKSVRLDISFKSPSHTGLQTTELVRELTEQFPAAMPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMQISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSTLENELTCLSSNINSCFNPPCRMLQKIIPSMNLS >EOX96319 pep chromosome:Theobroma_cacao_20110822:1:37350373:37360205:-1 gene:TCM_005597 transcript:EOX96319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 11 MSQSNPNFPRKQLSNSLTNSLMAQNQVIDSLTSHISLYHSHSLAQNPNPNPNNNPRSLILKWFSSLTVHQRQAHLTTVDFKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRCLLSRVAESNVSERRVFESVRFFGSREGEKIDECSCSVSSLDSMTVTEEFVENVELFVETMDKVSNGAFLRGEQSELGSDWIELEWLKSKGYYSIEAFLVNRLEVALRLAWLNFNNGKRRGVKLKEKVNAAGVAANVYWRKKGCMDWWVNLGDATRRKVLTAIIGKAAKSLTLEVLNAAGSASEDEMWLFSGGAEQPMRYNYSEPLLGTIPKRLEDAEFGIIITAGSRFGKPNSLTNVFSSLFVLQDIVTLVLSYHNKCDMGKVFFSALGSISTFTDSILRKLRGILMVISLDCTKLELLGEGNFNSSSDKSKDKFSACSRKKKGRSRNIKKQIPVAKAEVNDLLPEKPLKEHTQSLIGGKGRAAARKSRKEKNKNKHTCVNGTTELKTSKKAVIEASTSSFIFQDEATNSSGVLDNLNIQGVPTDTMSQSNVLESNSSPNRPHNQPFREEIAMNVQDPEVGSTGQEDYSKDVTENEFIATGQEDSNCRVECNRLPPIIPVPESDSVFTGEGINLQNSHSASKIQENSTSPDASGNTLDVKEEVSVIQVQDKKLYDTAPTSSPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPQIESGCNRILSRPMPMSLDWPPMVRSASGLTPPITCNYGSGFISRRQTAFQQGFASQNFQFNTKNLDDERKYSGDFFDLPDLANTVELADECDSHWISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDHPGTGFSRPPSLSSDDSSWAWHEADMSRAVDDMVAFSSSYSTNGLTSPTAAPFCSPFEPLGPGHQAVSYVVPGNDVPGKVLHSPSPTPDAATEEEASGSLANLSSDVEGKTGDSLPYPILRPIIIPNISRERSRSDFKRGHDHKSPCVPPTRREQPRIKRPPSPVVLCVPRAPRPPPPSPVNDSRKQRGFPTVRSGSSSPRHWGMRGLYHDGTNSEEACVRMDGTEVVWPSWRSKSLSAHPMIHPLPGALLQDHLIAMSQLARDQEHMCHFLCNHLSYRAAQHERHLSLQYTASLMMKLNLSASRLQQRIWLVSHTLIGLSSGLQGLSKSYGLGQGQMSLVQVQPAYLFQQVM >EOX96322 pep chromosome:Theobroma_cacao_20110822:1:37319741:37360205:-1 gene:TCM_005597 transcript:EOX96322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 11 MSQSNPNFPRKQLSNSLTNSLMAQNQVIDSLTSHISLYHSHSLAQNPNPNPNNNPRSLILKWFSSLTVHQRQAHLTTVDFKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRCLLSRVAESNVSERRVFESVRFFGSREGEKIDECSCSVSSLDSMTVTEEFVENVELFVETMDKVSNGAFLRGEQSELGSDWIELEWLKSKGYYSIEAFLVNRLEVALRLAWLNFNNGKRRGVKLKEKVNAAGVAANVYWRKKGCMDWWVNLGDATRRKVLTAIIGKAAKSLTLEVLNAAGSASEDEMWLFSGGAEQPMRYNYSEPLLGTIPKRLEDAEFGIIITAGSRFGKPNSLTNVFSSLFVLQDIVTLVLSYHNKCDMGKVFFSALGSISTFTDSILRKLRGILMVISLDCTKLELLGEGNFNSSSDKSKDKFSACSRKKKGRSRNIKKQIPVAKAEVNDLLPEKPLKEHTQSLIGGKGRAAARKSRKEKNKNKHTCVNGTTELKTSKKAVIEASTSSFIFQDEATNSSGVLDNLNIQGVPTDTMSQSNVLESNSSPNRPHNQPFREEIAMNVQDPEVGSTGQEDYSKDVTENEFIATGQEDSNCRVECNRLPPIIPVPESDSVFTGEGINLQNSHSASKIQENSTSPDASGNTLDVKEEVSVIQVQDKKLYDTAPTSSPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPQIESGCNRILSRPMPMSLDWPPMVRSASGLTPPITCNYGSGFISRRQTAFQQGFASQNFQFNTKNLDDERKYSGDFFDLPDLANTVELADECDSHWISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDHPGTGFSRPPSLSSDDSSWAWHEADMSRAVDDMVAFSSSYSTNGLTSPTAAPFCSPFEPLGPGHQAVSYVVPGNDVPGKVLHSPSPTPDAATEEEASGSLANLSSDVEGKTGDSLPYPILRPIIIPNISRERSRSDFKRGHDHKSPCVPPTRREQPRIKRPPSPVVLCVPRAPRPPPPSPVNDSRKQRGFPTVRSGSSSPRHWGMRGLYHDGTNSEEACVRMDGTEVVWPSWRSKSLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSIHSLLNDEIESFCKQVAAENMARKPYINWAVKRVTRSLQVLWPRSRTNVFGSSATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSAASNLQSPTDEQIEKSAERGNHAHSDTVGLEDSASPKCSKISYGNMKDVKSVRLDISFKSPSHTGLQTTELVRELTEQFPAAMPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMQISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSTLENELTCLSSNINSCFNPPCRMLQKIIPSMNLS >EOX96318 pep chromosome:Theobroma_cacao_20110822:1:37351009:37360143:-1 gene:TCM_005597 transcript:EOX96318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 11 MSQSNPNFPRKQLSNSLTNSLMAQNQVIDSLTSHISLYHSHSLAQNPNPNPNNNPRSLILKWFSSLTVHQRQAHLTTVDFKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRCLLSRVAESNVSERRVFESVRFFGSREGEKIDECSCSVSSLDSMTVTEEFVENVELFVETMDKVSNGAFLRGEQSELGSDWIELEWLKSKGYYSIEAFLVNRLEVALRLAWLNFNNGKRRGVKLKEKVNAAGVAANVYWRKKGCMDWWVNLGDATRRKVLTAIIGKAAKSLTLEVLNAAGSASEDEMWLFSGGAEQPMRYNYSEPLLGTIPKRLEDAEFGIIITAGSRFGKPNSLTNVFSSLFVLQDIVTLVLSYHNKCDMGKVFFSALGSISTFTDSILRKLRGILMVISLDCTKLELLGEGNFNSSSDKSKDKFSACSRKKKGRSRNIKKQIPVAKAEVNDLLPEKPLKEHTQSLIGGKGRAAARKSRKEKNKNKHTCVNGTTELKTSKKAVIEASTSSFIFQDEATNSSGVLDNLNIQGVPTDTMSQSNVLESNSSPNRPHNQPFREEIAMNVQDPEVGSTGQEDYSKDVTENEFIATGQEDSNCRVECNRLPPIIPVPESDSVFTGEGINLQNSHSASKIQENSTSPDASGNTLDVKEEVSVIQVQDKKLYDTAPTSSPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPQIESGCNRILSRPMPMSLDWPPMVRSASGLTPPITCNYGSGFISRRQTAFQQGFASQNFQFNTKNLDDERKYSGDFFDLPDLANTVELADECDSHWISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDHPGTGFSRPPSLSSDDSSWAWHEADMSRAVDDMVAFSSSYSTNGLTSPTAAPFCSPFEPLGPGHQAVSYVVPGNDVPGKVLHSPSPTPDAATEEEASGSLANLSSDVEGKTGDSLPYPILRPIIIPNISRERSRSDFKRGHDHKSPCVPPTRREQPRIKRPPSPVVLCVPRAPRPPPPSPVNDSRKQRGFPTVRSGSSSPRHWGMRGLYHDGTNSEEACVRMDGTEVVWPSWRSKSLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSIHSLLNDEIESFCKQVAAENMARKPYINWAVKRVTRSLQVLWPRSRTNVFGSSATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSAASNLQSPTDEQIEKSAERGNHAHSDTVGLEDSASPKCSKISYGNMKDVKSVRLDISFKSPSHTGLQTTELVRELTEQFPAAMPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMQISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSTLENELTCLSSNINSCFNPPCRMLQKIIPSMNLS >EOX96316 pep chromosome:Theobroma_cacao_20110822:1:37351009:37360143:-1 gene:TCM_005597 transcript:EOX96316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 11 MSQSNPNFPRKQLSNSLTNSLMAQNQVIDSLTSHISLYHSHSLAQNPNPNPNNNPRSLILKWFSSLTVHQRQAHLTTVDFKFTQLLIQMLGKLRTRGHGFFIILPDLPSRDPPFLPGLCYKQSRCLLSRVAESNVSERRVFESVRFFGSREGEKIDECSCSVSSLDSMTVTEEFVENVELFVETMDKVSNGAFLRGEQSELGSDWIELEWLKSKGYYSIEAFLVNRLEVALRLAWLNFNNGKRRGVKLKEKVNAAGVAANVYWRKKGCMDWWVNLGDATRRKVLTAIIGKAAKSLTLEVLNAAGSASEDEMWLFSGGAEQPMRYNYSEPLLGTIPKRLEDAEFGIIITAGSRFGKPNSLTNVFSSLFVLQDIVTLVLSYHNKCDMGKVFFSALGSISTFTDSILRKLRGILMVISLDCTKLELLGEGNFNSSSDKSKDKFSACSRKKKGRSRNIKKQIPVAKAEVNDLLPEKPLKDLESVSTNNKKADLKESSKMPVITHGKDVNRKTPSQMEMEHTQSLIGGKGRAAARKSRKEKNKNKHTCVNGTTELKTSKKAVIEASTSSFIFQDEATNSSGVLDNLNIQGVPTDTMSQSNVLESNSSPNRPHNQPFREEIAMNVQDPEVGSTGQEDYSKDVTENEFIATGQEDSNCRVECNRLPPIIPVPESDSVFTGEGINLQNSHSASKIQENSTSPDASGNTLDVKEEVSVIQVQDKKLYDTAPTSSPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPTMHQARNPQIESGCNRILSRPMPMSLDWPPMVRSASGLTPPITCNYGSGFISRRQTAFQQGFASQNFQFNTKNLDDERKYSGDFFDLPDLANTVELADECDSHWISEEEFEVHAVSGIDYNQYFGGGVMYWNPSDHPGTGFSRPPSLSSDDSSWAWHEADMSRAVDDMVAFSSSYSTNGLTSPTAAPFCSPFEPLGPGHQAVSYVVPGNDVPGKVLHSPSPTPDAATEEEASGSLANLSSDVEGKTGDSLPYPILRPIIIPNISRERSRSDFKRGHDHKSPCVPPTRREQPRIKRPPSPVVLCVPRAPRPPPPSPVNDSRKQRGFPTVRSGSSSPRHWGMRGLYHDGTNSEEACVRMDGTEVVWPSWRSKSLSAHPMIHPLPGALLQDHLIAMSQLARDQEHPDVSFPLQPPELQSCPARKASLSSIHSLLNDEIESFCKQVAAENMARKPYINWAVKRVTRSLQVLWPRSRTNVFGSSATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPDDLITSAASNLQSPTDEQIEKSAERGNHAHSDTVGLEDSASPKCSKISYGNMKDVKSVRLDISFKSPSHTGLQTTELVRELTEQFPAAMPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMQISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSTLENELTCLSSNINSCFNPPCRMLQKIIPSMNLS >EOX92010 pep chromosome:Theobroma_cacao_20110822:1:4888733:4894916:-1 gene:TCM_001036 transcript:EOX92010 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase II isoform 2 MIGVRSPLEEEPSVLPKRGAGFQFSSGEGAKGYNGSNPINTANGCQPVLFSSIWTRKLGLSFKGAGKVSAALVSGEGDVLSYPNSNGAVTGKTGFVNGLVDQPTGIEIQPDAIGFGTLAAEITPMTRGFSSDEYDLDHPTEGFASIPEAIEDIRQGKLVIVVDDEDRENEGDLIMAASLVTPEAMAFIVKHGTGIVCVSMKAEDLERLELPLMVTQKENEEKLCTAFTVSVDAKHGTTTGVSARDRATTVLALASKESNPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAVLAGLEPVAVLCEIVDDDGSMARLPKLREFAQAEKLKIISIADLIRYRRKRDRLVELAAAALIPTMWGPFKAYCYRSLLDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMKQIEAAGQGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVHTMRLMTNNPAKYVGLKGYGLAIAGRVPLLTPITKQNKRYLQTKRAKMGHIYGSDINSTSNTASNDISET >EOX92012 pep chromosome:Theobroma_cacao_20110822:1:4889422:4895075:-1 gene:TCM_001036 transcript:EOX92012 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase II isoform 2 MIGVRSPLEEEPSVLPKRGAGFQFSSGEGAKGYNGSNPINTANGCQPVLFSSIWTRKLGLSFKGAGKVSAALVSGEGDVLSYPNSNGAVTGKTGFVNGLVDQPTGIEIQPDAIGFGTLAAEITPMTRGFSSDEYDLDHPTEGFASIPEAIEDIRQGKLVIVVDDEDRENEGDLIMAASLVTPEAMAFIVKHGTGIVCVSMKAEDLERLELPLMVTQKENEEKLCTAFTVSVDAKHGTTTGVSARDRATTVLALASKESNPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAVLAGLEPVAVLCEIVDDDGSMARLPKLREFAQAEKLKIISIADLIRYRRKRDRLVELAAAALIPTMWGPFKAYCYRSLLDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMKQIEAAGQGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVHTMRLMTNNPAKYVGLKGYGLAIAGRVPLLTPITKQNKRYLQTKRAKMGHIYGSDINSTSNTASNDISET >EOX92011 pep chromosome:Theobroma_cacao_20110822:1:4888729:4895075:-1 gene:TCM_001036 transcript:EOX92011 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase II isoform 2 MTTELEEFCSGDWRERAEACRIFPPMIVIGSSNDRRSFAVGGRTISFTKKGSWFSIFKWRRCKPVLFSSIWTRKLGLSFKGAGKVSAALVSGEGDVLSYPNSNGAVTGKTGFVNGLVDQPTGIEIQPDAIGFGTLAAEITPMTRGFSSDEYDLDHPTEGFASIPEAIEDIRQGKLVIVVDDEDRENEGDLIMAASLVTPEAMAFIVKHGTGIVCVSMKAEDLERLELPLMVTQKENEEKLCTAFTVSVDAKHGTTTGVSARDRATTVLALASKESNPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAVLAGLEPVAVLCEIVDDDGSMARLPKLREFAQAEKLKIISIADLIRYRRKRDRLVELAAAALIPTMWGPFKAYCYRSLLDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMKQIEAAGQGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVHTMRLMTNNPAKYVGLKGYGLAIAGRVPLLTPITKQNKRYLQTKRAKMGHIYGSDINSTSNTASNDISET >EOX92013 pep chromosome:Theobroma_cacao_20110822:1:4888746:4893540:-1 gene:TCM_001036 transcript:EOX92013 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase II isoform 2 MAASLVTPEAMAFIVKHGTGIVCVSMKAEDLERLELPLMVTQKENEEKLCTAFTVSVDAKHGTTTGVSARDRATTVLALASKESNPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAVLAGLEPVAVLCEIVDDDGSMARLPKLREFAQAEKLKIISIADLIRYRRKRDRLVELAAAALIPTMWGPFKAYCYRSLLDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMKQIEAAGQGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVHTMRLMTNNPAKYVGLKGYGLAIAGRVPLLTPITKQNKRYLQTKRAKMGHIYGSDINSTSNTASNDISET >EOX92009 pep chromosome:Theobroma_cacao_20110822:1:4889643:4894389:-1 gene:TCM_001036 transcript:EOX92009 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase II isoform 2 MASINLKFSSMALARFQGYNGSNPINTANGCQPVLFSSIWTRKLGLSFKGAGKVSAALVSGEGDVLSYPNSNGAVTGKTGFVNGLVDQPTGIEIQPDAIGFGTLAAEITPMTRGFSSDEYDLDHPTEGFASIPEAIEDIRQGKLVIVVDDEDRENEGDLIMAASLVTPEAMAFIVKHGTGIVCVSMKAEDLERLELPLMVTQKENEEKLCTAFTVSVDAKHGTTTGVSARDRATTVLALASKESNPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAVLAGLEPVAVLCEIVDDDGSMARLPKLREFAQAEKLKIISIADLIRYRRKRDRLVELAAAALIPTMWGPFKAYCYRSLLDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMKQIEAAGQGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVHTMRLMTNNPAKYVGLKGYGLAIAGRVPLLTPITKQNKRYLQTKRAKMGHIYGSDINSTSNTASNDISET >EOX96412 pep chromosome:Theobroma_cacao_20110822:1:37683581:37774084:-1 gene:TCM_005660 transcript:EOX96412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAANTDQEIAPLIENNDQPQDLEIDIPKEDYEPAPECCIYKVPSPFREANEKAYTPQLISIGPLHCDNQKLDKMEWKMRTFNQFSSRISPETFGKFQSYIGEHGRQIRRCYGLEFFFNAEFEAFKFEKIILYDAVFIIELLLMHYEEGEIYNLVWRSEWFSTKLRLDLMLLENQLPFFVLEDLYNLAFPVSDHSPSFLDLACSYFYKDPTMYQKGIKHFTDLTRCSLVISRPSIKNKISDDNMYTATMLHEAGVKFEVSNDRLLNVTFEKGVLKIPIFVASYATETICRNVMACEQCDFREEAYFCSYIQLLNSFVVSEKDVDLLIKKNIIVNNFGSHRAVAEMINNLTVELVLPTGLYSEIGRDLNLYYERSWNPTIRTLKHVYFKNIWRGTATIVASIVVLLTLIQTVMAILDRAIPMK >EOX96411 pep chromosome:Theobroma_cacao_20110822:1:37683347:37686353:-1 gene:TCM_005660 transcript:EOX96411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAVPLYSRPNSFRDLIARDGPVSFVASLSCFYRLILLLSASEEVAYMENTDQENSPRIETHHRPQVFEIDMVLQLDPECRIYKVPSPFREANEKAYTPQLISIGPLHCDNQKLDKMEWKMRTFNQFSSRISPETFGKFQSYIGEHGRQIRRCYGLEFFFNAEFEAFKFEKIILYDAVFIIELLLMHYEEGEIYNLVWRSEWFSTKLRLDLMLLENQLPFFVLEDLYNLAFPVSDHSPSFLDLACSYFYKDPTMYQKGIKHFTDLTRCSLVISRPSIKNKISDDNMYTATMLHEAGVKFEVSNDRLLNVTFEKGVLKIPIFVASYATETICRNVMACEQCDFREEAYFCSYIQLLNSFVVSEKDVDLLIKKNIIVNNFGSHRAVAEMINNLTVELVLPTGLYSEIGRDLNLYYERSWNPTIRTLKHVYFKNIWRGTATIVASIVVLLTLIQTVMAILDRAIPMK >EOX92988 pep chromosome:Theobroma_cacao_20110822:1:9804464:9809299:-1 gene:TCM_001850 transcript:EOX92988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhamnose biosynthesis 1 isoform 1 MATRYTPKNILITGAAGFIASHVCNRLIRNYPDYKIVVLDKLDYCSSLKNLNPARLSPNFKFIKGDIASADLVQFILLTESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQVKRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMNGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAKDICRLFSLDPDAQIKFVDNRPFNDQRYFLDDQKLKSLGWYEKTTWEEGLKKTMEWYVRNPDWWGDVSGALLPHPRMLMVPGIERQFNAPDTSNNDSAPVTNNFSQSRMLVPAPKNNLPAQKPSLKFLIYGRTGWIGGLLGKICEKQGIPFEYGKGRLEQRSQLLADIQTVKPTHVFNAAGVTGRPNVDWCETHKPETIRTNVVGTLTLADICREHDLLMVNYATGCIFEYDATHPLGSGIGFKEEDKPNFTGSFYSKTKAMVEELLREYDNVCTLRVRMPISSDLSNPRNFITKIARYSKVVDIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILQMYKDYIDPNFNWVNFTLEEQARVIVAPRSNNELDASKLKEEFPEILSIKDSLIKYVFEPNSNRKTFTGGLPNQKYDTSRGGSNDLSDNHEAPPGFGSQFQTVDEPVAFPVKRRPCGRLSITLT >EOX92989 pep chromosome:Theobroma_cacao_20110822:1:9804095:9809421:-1 gene:TCM_001850 transcript:EOX92989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhamnose biosynthesis 1 isoform 1 MATRYTPKNILITGAAGFIASHVCNRLIRNYPDYKIVVLDKLDYCSSLKNLNPARLSPNFKFIKGDIASADLVQFILLTESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQVKRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMNGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAKDICRLFSLDPDAQIKFVDNRPFNDQRYFLDDQKLKSLGWYEKTTWEEGLKKTMEWYVRNPDWWGDVSGALLPHPRMLMVPGIERQFNAPDTSNNDSAPVTNNFSQSRMLVPAPKNNLPAQKPSLKFLIYGRTGWIGGLLGKICEKQGIPFEYGKGRLEQRSQLLADIQTVKPTHVFNAAGVTGRPNVDWCETHKPETIRTNVVGTLTLADICREHDLLMVNYATGCIFEYDATHPLGSGIGFKEEDKPNFTGSFYSKTKAMVEELLREYDNVCTLRVRMPISSDLSNPRNFITKIARYSKVVDIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILQMYKDYIDPNFNWVNFTLEEQARVIVAPRSNNELDASKLKEEFPEILSIKDSLIKYVFEPNSNRKTFTGGLPNQKYDTSRGGSNDLSDNHEAPPGFGSQFQTVDEPVAFPVKRRPCGRLSITLT >EOX92154 pep chromosome:Theobroma_cacao_20110822:1:5524255:5529361:1 gene:TCM_001150 transcript:EOX92154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA oxidase 2 isoform 1 MNPNQTVEDESQPSNSTVARRIQRLSLHLTPLPPLQPSPQLNMLTCAKASKLEVDHGPLSSYMRGKHREIQEKIFEFFNSRPDLQTPIEISMDEHRELCMRQLMGLVREAKIKPFRYVVEDPAKYFAITEAVGSVDMSLGIKFGVQYSLWGGSVLNLGTKKHRDKYFEGIDNLDYPGCFAMTELHHGSNVQGLQTTATFDPITDEFIIDTPNDGAIKWWIGNAAVHGKFATVFARLILPTHDSKGVSDMGVHAFIVPIRDLKTHQTLPGIEIHDCGHKVGLNGVDNGALRFSSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGLAYASVGVLKISVTIAVRYSLLRQQFGPPKQPEVYILDYQSHQHKLMPMLASTYAFHFATQYLVEKYSEMKKTHDEQLVGDVHALSAGLKSYVTSYTAKSLSACREACGGHGYAAVNQFGSLRNDHDIFQTFEGDNTVLLQQVAGDLLKQYKDKFQGGPLSVTWNYLRESMNTYLSQPNPVTARWESTDHLRDPKFQLDAFRYRTSRLLQSVAARLRKHSKTLGSFGAWNRCLNHLLTLAESHIESVILAKFIDAVQNCPDARSRAALKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLTEYLSFQVRNIAGELIDAFDLPPYVTRAPIAMQSEAYAQYTQRVGF >EOX92155 pep chromosome:Theobroma_cacao_20110822:1:5524255:5529804:1 gene:TCM_001150 transcript:EOX92155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA oxidase 2 isoform 1 MNPNQTVEDESQPSNSTVARRIQRLSLHLTPLPPLQPSPQLNMLTCAKASKLEVDHGPLSSYMRGKHREIQEKIFEFFNSRPDLQTPIEISMDEHRELCMRQLMGLVREAKIKPFRYVVEDPAKYFAITEAVGSVDMSLGIKFGVQYSLWGGSVLNLGTKKHRDKYFEGIDNLDYPGCFAMTELHHGSNVQGLQTTATFDPITDEFIIDTPNDGAIKWWIGNAAVHGKFATVFARLILPTHDSKGVSDMGVHAFIVPIRDLKTHQTLPGIEIHDCGHKVGLNGVDNGALRFSSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGLAYASVGVLKISVTIAVRYSLLRQQFGPPKQPEVYILDYQSHQHKLMPMLASTYAFHFATQYLVEKYSEMKKTHDEQLVGDVHALSAGLKSYVTSYTAKSLSACREACGGHGYAAVNQFGSLRNDHDIFQTFEGDNTVLLQQVAGDLLKQYKDKFQGGPLSVTWNYLRESMNTYLSQPNPVTARWESTDHLRDPKFQLDAFRYRTSRLLQSVAARLRKHSKTLGSFGAWNRCLNHLLTLAESHIESVILAKFIDAVQNCPDARSRAALKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLTEYLSFQVRNIAGELIDAFDLPPYVTRAPIAMQSEAYAQYTQRVGF >EOX96275 pep chromosome:Theobroma_cacao_20110822:1:37196406:37197429:1 gene:TCM_005553 transcript:EOX96275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRGGCGFGFGVGAALLSAAAAAAAAAVGNAYGFDAISKPLFVCNVLLWLTFFSGAMKLLETPPVLLTMTAISSHGVIQDYCIHPHSKSCATAEHEQDFGHNPEKDSCIEL >EOX92552 pep chromosome:Theobroma_cacao_20110822:1:7431110:7432617:1 gene:TCM_001486 transcript:EOX92552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGISSDDRNALLVILGLLLTATYQAILSPPGGVWQGAGTETRLSSPSVVWQVGAPTSTPTPFHPRKSRNIVGTSIMDPVEFLVFYLTICAVFIVAFFLTLGLLKPFPRGFKTALQDLISQPSSPPSILG >EOX93830 pep chromosome:Theobroma_cacao_20110822:1:16256978:16257667:-1 gene:TCM_002775 transcript:EOX93830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKPSSQPEFDPKAWTKAIRGPTSTRIPIYKFGTHVLASKLLAAVATFEFACGPNDAPPPTLTPTLELKAYR >EOX91018 pep chromosome:Theobroma_cacao_20110822:1:1355318:1356832:1 gene:TCM_000329 transcript:EOX91018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase (PAP2) family protein, putative MIRASAVRESRDGDDRLQVLEQEAFIEGSARLRGLETTLNRMSKWLVAATFGGVLLWRHDAEAIWVAMGSIVNALLSVVLKRVLNQERPVAGLKSDPGMPSSHAQSIFFNVVFTIASILEWLGVNELSVSISVLALAFGSYFSWLRVSQQFHTMSQILVGAIIGSIFSVLWYMSWKAVVLEAFHSSLLVRVIVLLSSAGFCLGFLLYMIQYWLRDERWAM >EOX95922 pep chromosome:Theobroma_cacao_20110822:1:36028738:36031623:1 gene:TCM_005305 transcript:EOX95922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 5 isoform 4 MGRGVIEKSPKIKGEESWKSTCNCARMMSDYKVEMINDSMQEFYVHFSGPNESPYHGGVWRIRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRGAYEQRVKEYCEKYAKPEDIGAAPEEKTSDEELSGEDYDSSDDAVAGQPDP >EOX95920 pep chromosome:Theobroma_cacao_20110822:1:36029005:36031624:1 gene:TCM_005305 transcript:EOX95920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 5 isoform 4 MSSPSKRREMDLMKLMMSDYKVEMINDSMQEFYVHFSGPNESPYHGGVWRIRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRGAYEQRVKVHHLEYCEKYAKPEDIGAAPEEKTSDEELSGEDYDSSDDAVAGQPDP >EOX95923 pep chromosome:Theobroma_cacao_20110822:1:36029102:36031484:1 gene:TCM_005305 transcript:EOX95923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 5 isoform 4 MSSPSKRREMDLMKLMMSDYKVEMINDSMQEFYVHFSGPNESPYHGGVWRIRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRGAYEQRVKEDIGAAPEEKTSDEELSGEDYDSSDDAVAGQPDP >EOX95921 pep chromosome:Theobroma_cacao_20110822:1:36029007:36031511:1 gene:TCM_005305 transcript:EOX95921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 5 isoform 4 MSSPSKRREMDLMKLMMSDYKVEMINDSMQEFYVHFSGPNESPYHGGVWRIRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRGAYEQRVKEYCEKYAKPEDIGAAPEEKTSDEELSGEDYDSSDDAVAGQPDP >EOX95558 pep chromosome:Theobroma_cacao_20110822:1:34656108:34662089:-1 gene:TCM_005021 transcript:EOX95558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MDTYSSGEELVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALAKGVPIGQALDIEIPPPRPKRKPSNPYPRKTGAATTAQVGAKDGKSETPLSSLRCKQVLDLEKEPLPERPNGDEKPINLKDNQDDSCSEVVTLLHEANCSSVSSVNKNSIPTSAALRNSCTFREFVPSLKETIQDNGTSKASNLDNSCTSHEKAAQGQKKDDVDGGLRADETQATQNYPRHVAVHVLDGSLGTGAQNPSLDMPFQDSVFHPMGDVHGQTNLFANPAASATTEHQNNAPRSTHQNPAAHAAASFAATFWPYANVDSSADSPACSQGGFPSRQMNPAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHTGFTCALASAAAVPPMDNEQAPATKMERKDNNDQDLSMQDQQLDPEYSEALQAQHSASKSPTSSSSDSEACGDAKVNTGVKAADDEKAAAVTEPQDANKTKNRKQVDRSSCGSNTPSSSEVETDVLEKYEKDKEDAKGADANHPQVECCNRRGRSCSNPSDSWKEVSEGGRLAFQALFSREVLPQSFSPPHDGKNKGQQKDKVEDDKQNSDEKDGATSALDLNSQTVRSCSYRQGVEKNGLSRGEDIVGEGLLTIGLEHAKLKARRTGFKPYKRCSVEAKENKVMNAGSQGEEKGPKRIRLEGEAST >EOX95560 pep chromosome:Theobroma_cacao_20110822:1:34656108:34662089:-1 gene:TCM_005021 transcript:EOX95560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MDTYSSGEELVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALAKGVPIGQALDIEIPPPRPKRKPSNPYPRKTGAATTAQVGAKDGKSETPLSSLRCKQVLDLEKEPLPERPNGDEKPINLKDNQDDSCSEVVTLLHEANCSSVSSVNKNSIPTSAALRNSCTFREFVPSLKETIQDNGTSKASNLDNSCTSHEKAAQGQKKDDVDGGLRADETQATQNYPRHVAVHVLDGSLGTAASATTEHQNNAPRSTHQAFPTFHPPFTHVCPNPEDYRSFLHVSSTFSSLIASTLLQNPAAHAAASFAATFWPYANVDSSADSPACSQGGFPSRQMNPAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHTGFTCALASAAAVPPMDNEQAPATKMERKDNNDQDLSMQDQQLDPEYSEALQAQHSASKSPTSSSSDSEACGDAKVNTGVKAADDEKAAAVTEPQDANKTKNRKQVDRSSCGSNTPSSSEVETDVLEKYEKDKEDAKGADANHPQVECCNRRGRSCSNPSDSWKEVSEGGRLAFQALFSREVLPQSFSPPHDGKNKGQQKDKVEDDKQNSDEKDGATSALDLNSQTVRSCSYRQGVEKNGLSRGEDIVGEGLLTIGLEHAKLKARRTGFKPYKRCSVEAKENKVMNAGSQGEEKGPKRIRLEGEAST >EOX95552 pep chromosome:Theobroma_cacao_20110822:1:34656108:34662089:-1 gene:TCM_005021 transcript:EOX95552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MDTYSSGEELVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALAKGVPIGQALDIEIPPPRPKRKPSNPYPRKTGAATTAQVGAKDGKSETPLSSLRCKQVLDLEKEPLPERPNGDEKPINLKDNQDDSCSEVVTLLHEANCSSVSSVNKNSIPTSAALRNSCTFREFVPSLKETIQDNGTSKASNLDNSCTSHEKAAQGQKKDDVDGGLRADETQATQNYPRHVAVHVLDGSLGTGAQNPSLDMPFQDSVFHPMGDVHGQTNLFANPAASATTEHQNNAPRSTHQAFPTFHPPFTHVCPNPEDYRSFLHVSSTFSSLIASTLLQNPAAHAAASFAATFWPYANVDSSADSPACSQGGFPSRQMNPAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHTGFTCALASAAAVPPMDNEQAPATKMERKDNNDQDLSMQDQQLDPEYSEALQAQHSASKSPTSSSSDSEACGDAKVNTGVKAADDEKAAAVTEPQDANKTKNRKQVDRSSCGSNTPSSSEVETDVLEKYEKDKEDAKGADANHPQVECCNRRGRSCSNPSDSWKEVSEGGRLAFQALFSREVLPQSFSPPHDGKNKGQQKDKVEDDKQNSDEKDGATSALDLNSQTVRSCSYRQGVEKNGLSRGEDIVGEGLLTIGLEHAKLKARRTGFKPYKRCSVEAKENKVMNAGSQGEEKGPKRIRLEGEAST >EOX95563 pep chromosome:Theobroma_cacao_20110822:1:34656108:34662089:-1 gene:TCM_005021 transcript:EOX95563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MDTYSSGEELVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALAKGVPIGQALDIEIPPPRPKRKPSNPYPRKTGAATTAQVGAKDGKSETPLSSLRCKQVLDLEKEPLPERPNGDEKPINLKDNQDDSCSEVVTLLHEANCSSVSSVNKNSIPTSAALRNSCTFREFVPSLKETIQDNGTSKASNLDNSCTSHEKAAQGQKKDDVDGGLRADETQATQNYPRHVAVHVLDGSLGTGAQNPSLDMPFQDSVFHPMGDVHGQTNLFANPAASATTEHQNNAPRSTHQAFPTFHPPFTHVCPNPEDYRSFLHVSSTFSSLIASTLLQNPAAHAAASFAATFWPYANVDSSADSPACSQGGFPSRQMNPAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHTGFTCALASAAAVPPMDNEQAPATKMERKDNNDQDLSMQDQQLDPEYSEALQAQHSASKSPTSSSSDSEACGDAKVNTGVKAADDEKAAAVTEPQDANKTKNRKQVDRSSCGSNTPSSSEVETDVLEKYEKDKEDAKGADANHPQVECCNRRGRSCSNPSDSWKEVSEGGRLAFQALFSREVLPQSFSPPHDGKNKGQQKDKVEDDKQNSDEKDGATSALDLNSQTVRSCSYRQGVEKNGLSRGEDIVGEGLLTIGLEHAKLKARRTGFKPYKRCSVEAKENKVMNAGSQGEEKGPKRIRLEGEAST >EOX95556 pep chromosome:Theobroma_cacao_20110822:1:34655575:34663179:-1 gene:TCM_005021 transcript:EOX95556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MDTYSSGEELVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALAKGVPIGQALDIEIPPPRPKRKPSNPYPRKTGAATTAQVGAKDGKSETPLSSLRCKQVLDLEKEPLPERPNGDEKPINLKDNQDDSCSEVVTLLHEANCSSVSSVNKNSIPTSAALRNSCTFREFVPSLKETIQDNGTSKASNLDNSCTSHEKAAQGQKKDDVDGGLRADETQATQNYPRHVAVHVLDGSLGTAASATTEHQNNAPRSTHQAFPTFHPPFTHVCPNPEDYRSFLHVSSTFSSLIASTLLQNPAAHAAASFAATFWPYANVDSSADSPACSQGGFPSRQMNPAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHTGFTCALASAAAVPPMDNEQAPATKMERKDNNDQDLSMQDQQLDPEYSEALQAQHSASKSPTSSSSDSEACGDAKVNTGVKAADDEKAAAVTEPQDANKTKNRKQVDRSSCGSNTPSSSEVETDVLEKYEKDKEDAKGADANHPQVECCNRRGRSCSNPSDSWKEVSEGGRLAFQALFSREVLPQSFSPPHDGKNKGQQKDKVEDDKQNSDEKDGATSALDLNSQTVRSCSYRQGVEKNGLSRGEDIVGEGLLTIGLEHAKLKARRTGFKPYKRCSVEAKENKVMNAGSQGEEKGPKRIRLEGEAST >EOX95562 pep chromosome:Theobroma_cacao_20110822:1:34655575:34663132:-1 gene:TCM_005021 transcript:EOX95562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MDTYSSGEELVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALAKGVPIGQALDIEIPPPRPKRKPSNPYPRKTGAATTAQVGAKDGKSETPLSSLRCKQVLDLEKEPLPERPNGDEKPINLKDNQDDSCSEVVTLLHEANCSSVSSVNKNSIPTSAALRNSCTFREFVPSLKETIQDNGTSKASNLDNSCTSHEKAAQGQKKDDVDGGLRADETQATQNYPRHVAVHVLDGSLGTGAQNPSLDMPFQDSVFHPMGDVHGQTNLFANPAASATTEHQNNAPRSTHQAFPTFHPPFTHVCPNPEDYRSFLHVSSTFSSLIASTLLQNPAAHAAASFAATFWPYANVDSSADSPACSQGGFPSRQMNPAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHTGFTCALASAAAVPPMDNEQAPATKMERKDNNDQDLSMQDQQLDPEYSEALQAQHSASKSPTSSSSDSEACGDAKVNTGVKAADDEKAAAVTEPQDANKTKNRKQVDRSSCGSNTPSSSEVETDVLEKYEKDKEDAKGADANHPQVECCNRRGRSCSNPSDSWKEVSEGGRLAFQALFSREVLPQSFSPPHDGKNKGQQKDKVEDDKQNSDEKDGATSALDLNSQTVRSCSYRQGVEKNGLSRGEDIVGEGLLTIGLEHAKLKARRTGFKPYKRCSVEAKENKVMNAGSQGEEKGPKRIRLEGEAST >EOX95559 pep chromosome:Theobroma_cacao_20110822:1:34656108:34662089:-1 gene:TCM_005021 transcript:EOX95559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MDTYSSGEELVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALAKGVPIGQALDIEIPPPRPKRKPSNPYPRKTGAATTAQVGAKDGKSETPLSSLRCKQVLDLEKEPLPERPNGDEKPINLKDNQDDSCSEVVTLLHEANCSSVSSVNKNSIPTSAALRNSCTFREFVPSLKETIQDNGTSKASNLDNSCTSHEKAAQGQKKDDVDGGLRADETQATQNYPRHVAVHVLDGSLGTGAQNPSLDMPFQDSVFHPMGDVHGQTNLFANPAASATTEHQNNAPRSTHQNPAAHAAASFAATFWPYANVDSSADSPACSQGGFPSRQMNPAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHTGFTCALASAAAVPPMDNEQAPATKMERKDNNDQDLSMQDQQLDPEYSEALQAQHSASKSPTSSSSDSEACGDAKVNTGVKAADDEKAAAVTEPQDANKTKNRKQVDRSSCGSNTPSSSEVETDVLEKYEKDKEDAKGADANHPQVECCNRRGRSCSNPSDSWKEVSEGGRLAFQALFSREVLPQSFSPPHDGKNKGQQKDKVEDDKQNSDEKDGATSALDLNSQTVRSCSYRQGVEKNGLSRGEDIVGEGLLTIGLEHAKLKARRTGFKPYKRCSVEAKENKVMNAGSQGEEKGPKRIRLEGEAST >EOX95554 pep chromosome:Theobroma_cacao_20110822:1:34655575:34663179:-1 gene:TCM_005021 transcript:EOX95554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MDTYSSGEELVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALAKGVPIGQALDIEIPPPRPKRKPSNPYPRKTGAATTAQVGAKDGKSETPLSSLRCKQVLDLEKEPLPERPNGDEKPINLKDNQDDSCSEVVTLLHEANCSSVSSVNKNSIPTSAALRNSCTFREFVPSLKETIQDNGTSKASNLDNSCTSHEKAAQGQKKDDVDGGLRADETQATQNYPRHVAVHVLDGSLGTGAQNPSLDMPFQDSVFHPMGDVHGQTNLFANPAASATTEHQNNAPRSTHQNPAAHAAASFAATFWPYANVDSSADSPACSQGGFPSRQMNPAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHTGFTCALASAAAVPPMDNEQAPATKMERKDNNDQDLSMQDQQLDPEYSEALQAQHSASKSPTSSSSDSEACGDAKVNTGVKAADDEKAAAVTEPQDANKTKNRKQVDRSSCGSNTPSSSEVETDVLEKYEKDKEDAKGADANHPQVECCNRRGRSCSNPSDSWKEVSEGGRLAFQALFSREVLPQSFSPPHDGKNKGQQKDKVEDDKQNSDEKDGATSALDLNSQTVRSCSYRQGVEKNGLSRGEDIVGEGLLTIGLEHAKLKARRTGFKPYKRCSVEAKENKVMNAGSQGEEKGPKRIRLEGEAST >EOX95561 pep chromosome:Theobroma_cacao_20110822:1:34656108:34662089:-1 gene:TCM_005021 transcript:EOX95561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MDTYSSGEELVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALAKGVPIGQALDIEIPPPRPKRKPSNPYPRKTGAATTAQVGAKDGKSETPLSSLRCKQVLDLEKEPLPERPNGDEKPINLKDNQDDSCSEVVTLLHEANCSSVSSVNKNSIPTSAALRNSCTFREFVPSLKETIQDNGTSKASNLDNSCTSHEKAAQGQKKDDVDGGLRADETQATQNYPRHVAVHVLDGSLGTAASATTEHQNNAPRSTHQAFPTFHPPFTHVCPNPEDYRSFLHVSSTFSSLIASTLLQNPAAHAAASFAATFWPYANVDSSADSPACSQGGFPSRQMNPAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHTGFTCALASAAAVPPMDNEQAPATKMERKDNNDQDLSMQDQQLDPEYSEALQAQHSASKSPTSSSSDSEACGDAKVNTGVKAADDEKAAAVTEPQDANKTKNRKQVDRSSCGSNTPSSSEVETDVLEKYEKDKEDAKGADANHPQVECCNRRGRSCSNPSDSWKEVSEGGRLAFQALFSREVLPQSFSPPHDGKNKGQQKDKVEDDKQNSDEKDGATSALDLNSQTVRSCSYRQGVEKNGLSRGEDIVGEGLLTIGLEHAKLKARRTGFKPYKRCSVEAKENKVMNAGSQGEEKGPKRIRLEGEAST >EOX95553 pep chromosome:Theobroma_cacao_20110822:1:34655575:34663179:-1 gene:TCM_005021 transcript:EOX95553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MDTYSSGEELVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALAKGVPIGQALDIEIPPPRPKRKPSNPYPRKTGAATTAQVGAKDGKSETPLSSLRCKQVLDLEKEPLPERPNGDEKPINLKDNQDDSCSEVVTLLHEANCSSVSSVNKNSIPTSAALRNSCTFREFVPSLKETIQDNGTSKASNLDNSCTSHEKAAQGQKKDDVDGGLRADETQATQNYPRHVAVHVLDGSLGTAASATTEHQNNAPRSTHQNPAAHAAASFAATFWPYANVDSSADSPACSQGGFPSRQMNPAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHTGFTCALASAAAVPPMDNEQAPATKMERKDNNDQDLSMQDQQLDPEYSEALQAQHSASKSPTSSSSDSEACGDAKVNTGVKAADDEKAAAVTEPQDANKTKNRKQVDRSSCGSNTPSSSEVETDVLEKYEKDKEDAKGADANHPQVECCNRRGRSCSNPSDSWKEVSEGGRLAFQALFSREVLPQSFSPPHDGKNKGQQKDKVEDDKQNSDEKDGATSALDLNSQTVRSCSYRQGVEKNGLSRGEDIVGEGLLTIGLEHAKLKARRTGFKPYKRCSVEAKENKVMNAGSQGEEKGPKRIRLEGEAST >EOX95557 pep chromosome:Theobroma_cacao_20110822:1:34655845:34663071:-1 gene:TCM_005021 transcript:EOX95557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MDTYSSGEELVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALAKGVPIGQALDIEIPPPRPKRKPSNPYPRKTGAATTAQVGAKDGKSETPLSSLRCKQVLDLEKEPLPERPNGDEKPINLKDNQDDSCSEVVTLLHEANCSSVSSVNKNSIPTSAALRNSCTFREFVPSLKETIQDNGTSKASNLDNSCTSHEKAAQGQKKDDVDGGLRADETQATQNYPRHVAVHVLDGSLGTAASATTEHQNNAPRSTHQNPAAHAAASFAATFWPYANVDSSADSPACSQGGFPSRQMNPAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHTGFTCALASAAAVPPMDNEQAPATKMERKDNNDQDLSMQDQQLDPEYSEALQAQHSASKSPTSSSSDSEACGDAKVNTGVKAADDEKAAAVTEPQDANKTKNRKQVDRSSCGSNTPSSSEVETDVLEKYEKDKEDAKGADANHPQVECCNRRGRSCSNPSDSWKEVSEGGRLAFQALFSREVLPQSFSPPHDGKNKGQQKDKVEDDKQNSDEKDGATSALDLNSQTVRSCSYRQGVEKNGLSRGEDIVGEGLLTIGLEHAKLKARRTGFKPYKRCSVEAKENKVMNAGSQGEEKGPKRIRLEGEAST >EOX95555 pep chromosome:Theobroma_cacao_20110822:1:34655575:34663179:-1 gene:TCM_005021 transcript:EOX95555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MDTYSSGEELVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEALAKGVPIGQALDIEIPPPRPKRKPSNPYPRKTGAATTAQVGAKDGKSETPLSSLRCKQVLDLEKEPLPERPNGDEKPINLKDNQDDSCSEVVTLLHEANCSSVSSVNKNSIPTSAALRNSCTFREFVPSLKETIQDNGTSKASNLDNSCTSHEKAAQGQKKDDVDGGLRADETQATQNYPRHVAVHVLDGSLGTAASATTEHQNNAPRSTHQNPAAHAAASFAATFWPYANVDSSADSPACSQGGFPSRQMNPAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHTGFTCALASAAAVPPMDNEQAPATKMERKDNNDQDLSMQDQQLDPEYSEALQAQHSASKSPTSSSSDSEACGDAKVNTGVKAADDEKAAAVTEPQDANKTKNRKQVDRSSCGSNTPSSSEVETDVLEKYEKDKEDAKGADANHPQVECCNRRGRSCSNPSDSWKEVSEGGRLAFQALFSREVLPQSFSPPHDGKNKGQQKDKVEDDKQNSDEKDGATSALDLNSQTVRSCSYRQGVEKNGLSRGEDIVGEGLLTIGLEHAKLKARRTGFKPYKRCSVEAKENKVMNAGSQGEEKGPKRIRLEGEAST >EOX96715 pep chromosome:Theobroma_cacao_20110822:1:38741445:38742981:1 gene:TCM_005905 transcript:EOX96715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger A20 and AN1 domain-containing stress-associated protein 4, putative isoform 1 MEQNQRGCQAPKLCANNCGFFGTAATMNLCSKCHKDLVMKQQGDKLASSPNGSILDGSPGNNHEPVSVAVDPQSTSVKSTAITAQANSASISMNTGREEEVKRGPNKCSICGKRVGLTGFTCRCGDLFCAVHRYSDKHDCPYDYHCAARDAIAKANPVLKANKLDKI >EOX96713 pep chromosome:Theobroma_cacao_20110822:1:38741331:38742884:1 gene:TCM_005905 transcript:EOX96713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger A20 and AN1 domain-containing stress-associated protein 4, putative isoform 1 MEQNQRGCQAPKLCANNCGFFGTAATMNLCSKCHKDLVMKQQGDKLASSPNGSILDGSPGNNHEPVSVAVDPQSTSVKSTAITAQANSASISMNTGREEEVKRGPNKCSICGKRVGLTGFTCRCGDLFCAVHRYSDKHDCPYDYHCAARDAIAKANPVLKANKLDKI >EOX96716 pep chromosome:Theobroma_cacao_20110822:1:38741331:38742884:1 gene:TCM_005905 transcript:EOX96716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger A20 and AN1 domain-containing stress-associated protein 4, putative isoform 1 MEQNQRGCQAPKLCANNCGFFGTAATMNLCSKCHKDLVMKQQGDKLASSPNGSILDGSPGNNHEPVSVAVDPQSTSVKSTAITAQANSASISMNTGREEEVKRGPNKCSICGKRVGLTGFTCRCGDLFCAVHRYSDKHDCPYDYHCAARDAIAKANPVLKANKLDKI >EOX96714 pep chromosome:Theobroma_cacao_20110822:1:38741437:38743049:1 gene:TCM_005905 transcript:EOX96714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger A20 and AN1 domain-containing stress-associated protein 4, putative isoform 1 MEQNQRGCQAPKLCANNCGFFGTAATMNLCSKCHKDLVMKQQGDKLASSPNGSILDGSPGNNHEPVSVAVDPQSTSVKSTAITAQANSASISMNTGREEEVKRGPNKCSICGKRVGLTGFTCRCGDLFCAVHRYSDKHDCPYDYHCAARDAIAKANPVLKANKLDKI >EOX96712 pep chromosome:Theobroma_cacao_20110822:1:38741331:38742884:1 gene:TCM_005905 transcript:EOX96712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger A20 and AN1 domain-containing stress-associated protein 4, putative isoform 1 MEQNQRGCQAPKLCANNCGFFGTAATMNLCSKCHKDLVMKQQGDKLASSPNGSILDGSPGNNHEPVSVAVDPQSTSVKSTAITAQANSASISMNTGREEEVKRGPNKCSICGKRVGLTGFTCRCGDLFCAVHRYSDKHDCPYDYHCAARDAIAKANPVLKANKLDKI >EOX91622 pep chromosome:Theobroma_cacao_20110822:1:3332299:3334559:1 gene:TCM_000752 transcript:EOX91622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase isoform 1 MSSNEMFDDYTPKKKAKSRAYSLCWNSKANIGFPGVFLFCCLFFLAGFFASNLLSQRGRRLESLDYDLMARGETGDDSVSVIPFQVISWRPRAFYFPNFATPAQCQHIIDMAKPKLEPSTVLLAKGETQQPNDVRTSAYEDETGVLDDIEEKIAKATKLPRVNYEAFNVLRYGVGQKYDSHYDVFDPERYGPQKSQRVATFLLYLSDVEGGGETAFPFEGWLEYG >EOX91623 pep chromosome:Theobroma_cacao_20110822:1:3332472:3334157:1 gene:TCM_000752 transcript:EOX91623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase isoform 1 MSSNEMFDDYTPKKKAKSRAYSLCWNSKANIGFPGVFLFCCLFFLAGFFASNLLSQRGRRLESLDYDLMARGETGDDSVSVIPFQVISWRPRAFYFPNFATPAQCQHIIDMAKPKLEPSTVLLAKGETQQPNDVRTSAYEDETGVLDDIEEKIAKATKLPRVNYEAFNVLRYGVGQKYDSHYDVFDPERYGPQKSQRHRLQPSCCTYQMLKEEGKPHFHLRMA >EOX91624 pep chromosome:Theobroma_cacao_20110822:1:3332429:3334833:1 gene:TCM_000752 transcript:EOX91624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase isoform 1 MSSNEMFDDYTPKKKAKSRAYSLCWNSKANIGFPGVFLFCCLFFLAGFFASNLLSQRGRRLESLDYDLMARGETGDDSVSVIPFQVISWRPRAFYFPNFATPAQCQHIIDMAKPKLEPSTVLLAKGETQQPNDVRTSMGTFLSAYEDETGVLDDIEEKIAKATKLPRVNYEAFNVLRYGVGQKYDSHYDVFDPERYGPQKSQRVATFLLYLSDVEGGGETAFPFEDGLNMDENYDVKKCIGLKAKPRLGDGLLFYSLFPNNSIDPTSTHGSCPVIKGAKWVATKWIRDQQDF >EOX91625 pep chromosome:Theobroma_cacao_20110822:1:3332299:3334559:1 gene:TCM_000752 transcript:EOX91625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase isoform 1 MSSNEMFDDYTPKKKAKSRAYSLCWNSKANIGFPGVFLFCCLFFLAGFFASNLLSQRGRRLESLDYDLMARGETGDDSVSVIPFQVISWRPRAFYFPNFATPAQCQHIIDMAKPKLEPSTVLLAKGETQQPNDVRTRVATFLLYLSDVEGGGETAFPFEDGLNMDENYDVKKCIGLKAKPRLGDGLLFYSLFPNNSIDPTSTHGSCPVIKGAKWVATKWIRDQQDF >EOX91621 pep chromosome:Theobroma_cacao_20110822:1:3332327:3334820:1 gene:TCM_000752 transcript:EOX91621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase isoform 1 MSSNEMFDDYTPKKKAKSRAYSLCWNSKANIGFPGVFLFCCLFFLAGFFASNLLSQKEVSGARQRGRRLESLDYDLMARGETGDDSVSVIPFQVISWRPRAFYFPNFATPAQCQHIIDMAKPKLEPSTVLLAKGETQQPNDVRTSMGTFLSAYEDETGVLDDIEEKIAKATKLPRVNYEAFNVLRYGVGQKYDSHYDVFDPERYGPQKSQRVATFLLYLSDVEGGGETAFPFEDGLNMDENYDVKKCIGLKAKPRLGDGLLFYSLFPNNSIDPTSTHGSCPVIKGAKWVATKWIRDQQDF >EOX93122 pep chromosome:Theobroma_cacao_20110822:1:10647712:10699731:1 gene:TCM_001968 transcript:EOX93122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene desaturation 1 MDEEHQSSGFKLLGFSKFVRTTPMSDLFKVKGFHHVEFWCTDATNVACRFLLGLGTQMVAKSDLSTGNMIHASYLISSGHLNFLFTAPYSPSIAQAENLSPTATASIPTFDHAACRAFSSSHGLTVRAIAIEVQDAEIAFSTSVAHGAKPSSPPNFLDNRVVLAEVHLYGDVILRFISYKNCDDCSHDDGPGCWFLPGFEKMGEGFSYSLDYGIRRLDHAVGHVPELHPALSYVKAFTGFHEFAEFTAENVGTSESGLNSVVLANNDEMVLLAMSEPNPERNEEENGNWRFRVIPSPPPTYYKNLKKRAGDVLSDEQIKECEELGILVDRDDQGTLLQIFSKPVGDRPTFFLEIIQRVGCMLKDEQGKLYQKVGCGGFGKGNFSELFKSVEEYEKTLESKRIAGPATV >EOX96746 pep chromosome:Theobroma_cacao_20110822:1:38851644:38852413:1 gene:TCM_005930 transcript:EOX96746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 1 MPMKSVDATVSGFDSVFEKFRSDAPNNKANLILFLADKDPSTSLNCVRAEPVIYKKLEASADDVAVLRAYVGDRPTWRNPQHPWRLDSRFKLTGVPTLVRWDWQNDAVKARLEDHEAHLEHKIDALLSA >EOX96745 pep chromosome:Theobroma_cacao_20110822:1:38851644:38852294:1 gene:TCM_005930 transcript:EOX96745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 1 MPMKSVDATVSGFDSVFEKFRSDAPNNKANLILFLADKDPSTSLSWCPDCVRAEPVIYKKLEASADDVAVLRAYVGDRPTWRNPQHPWRLDSRFKLTGVPTLVRWDWQNDAVKARLEDHEAHLEHKIDALLSA >EOX96747 pep chromosome:Theobroma_cacao_20110822:1:38851750:38852393:1 gene:TCM_005930 transcript:EOX96747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 1 MRPFQALTASLRNSDQMRPTTKPISSSSWPIKTLVCLDCVRAEPVIYKKLEASADDVAVLRAYVGDRPTWRNPQHPWRLDSRFKLTGVPTLVRWDWQNDAVKARLEDHEAHLEHKIDALLSA >EOX94346 pep chromosome:Theobroma_cacao_20110822:1:28006111:28012685:1 gene:TCM_003939 transcript:EOX94346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative MEARPALSIQRSGARPLSNLGVSGGLSSSLPALTTPLEETYQKLSDTQQVSADRELMTRPLVHATCVPTNSGVVGHIFSSSSGFSSDLHYSSASPHEKHSRNAPFISQSPTDATALPLPQSSNSALPQSTISSHFNKESSGSWCTDPGFLDFPVNTPIQSSQVESNSCSGIMISEDFSKRNDWQEWADQLITDDEALASDWNELLVDNNVTDLEPKMAYQVAKPCTTMPAQKPQAQQQLPSPSVESRSVVNPSSSANNAPAKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYRPESSEGSSEKKLTPIEDLSSLDLKTGMGITEALRLQMEVQKRLHEQLEIQRNLQLQIEEQGRYLQMMFEKQKSGLDKLKVSSSNHLENPPAPPSDATKESPAKSDLEASQMDHVNSGTETVNANSMLESSSQEIVAKQKAPETGDLEKAETCVSESSSQPSKRPRIEE >EOX94321 pep chromosome:Theobroma_cacao_20110822:1:27758397:27762915:-1 gene:TCM_003907 transcript:EOX94321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein YPR157W MFGCKCFYWNKMDQLLPCEPETFSLPAPLPQWPQGQGFASGKINLGELEVVKISRFEFIWSSNLLRDKKKGVTFYEPVGIPDGFYSLGHYCQSNDQPLRGYVLVAREKPFKSEAAHFSACVSSPALREPLDCSLVWSSNGRSEESLEGCGFFWLPQPPEGYKSMGYLVTNTPKKPKLDKVRCVRADLTDRCENYQVVHNGHMRFSEFPFQVWSTRPSHRGMLGRGVSVGTFSCGSFWTPGQELSIACLKNSDPTLHAMPNCDQIHALINHYGPTVFFHPDEIYLPSSVSWFFKNGALLFKKGDLEGECIDVNGSNLPSGGRNDGEFWIDLPSGDRKNNVKLGNLGSAKLYVHVKPALGGTFTDIAMWIFCPFNGPATLKIGIMDIALSKIGQHVGDWEHFTLRLCNFTGELWSIYFSQHSGGVWVNAYDLEYVQGNTAIVYSSKSGHASYPHPGAYIQGSSKLGIGIRNDAVSSNFYVDSSTHYELVAAEYLGDGVIAEPGWLQFMREWGPTIVYDSRTELDKFINILPVMLRYSVENIFYKLPVELYGEEGPTGPKEKNNWVGDERG >EOX91564 pep chromosome:Theobroma_cacao_20110822:1:3116475:3117227:-1 gene:TCM_000706 transcript:EOX91564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLALLLQEVEILLTVCCLRCYKPSTGLMLEGLPSHQKMIHCGGPGYQMIVSCLIQKLLGTVNFSLIHLNSNLEEPQNMMPQALSIRLQKN >EOX91642 pep chromosome:Theobroma_cacao_20110822:1:3408309:3409662:-1 gene:TCM_000766 transcript:EOX91642 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 38 MSCNGCRVLRKGCSESCILRPCLQWIESPEAQGHATVFVAKFFGRAGLMSFISAVPESQRPALFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGTLRAMPELMAPTPASDETSEATCTDMWKLQETATNLNSNCRFSNSRSKVSPKRKRIEEFKKSQPSDLDLCLTPSFSGKRVPDNRRPGTPSMNSEESVTTTCLDSGFADQQGQGGVAADKKLLNLFP >EOX93474 pep chromosome:Theobroma_cacao_20110822:1:13259686:13265735:1 gene:TCM_002338 transcript:EOX93474 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCCH-type zinc fingerfamily protein with RNA-binding domain, putative isoform 1 MDFTESTKVVYNRIQQLEPENVSKIIGYFLLQDQGDRDMIRLAFCSDTLLLSLINKAKSELSLNKSAVSVPISPSQVIPSPVTDLPVQFTPYSPASSCTVSTPATIRASSPFWDPQVTAEQHVNNLEFVPPGYSDAVAEDYGLQNQMHFLNMGDQLESANSVSSEFLSNYYYSEPALGVRTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGHPMPESLSQLFSRNSNDVGNDDHVISPGTLEKLELELTELLKARRGLPVSIASLPMLYYEMYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHSVILAEDAPKYLEYAGERSDPGGIVAGSRQIYLTFPAESTFTEEDVSNYFNKFGPVQDVRIPCQQKRMFGFVTFVYAETVKQILAKGNPHFVCGARVLVKPYREKSRVVDRKFTEKVQQPMYYSPHLIDGDTELQSMPRVCDNSRLLRKQIIEDNEQVLEFEKRRFSELQLAPKQHLTNHSYFGYSMDELKHTDEQVEFPSAERYNYLLDVLNTGSASGKKSVT >EOX93475 pep chromosome:Theobroma_cacao_20110822:1:13259484:13266074:1 gene:TCM_002338 transcript:EOX93475 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCCH-type zinc fingerfamily protein with RNA-binding domain, putative isoform 1 MDFTESTKVVYNRIQQLEPENVSKIIGYFLLQDQGDRDMIRLAFCSDTLLLSLINKAKSELSLNKSAVSVPISPSQVIPSPVTDLPVQFTPYSPASSCTVSTPATIRASSPFWDPQVTAEQHVNNLEFVPPGYSDAVAEDYGLQNQMHFLNMGDQLESANSVSSEFLSNYYYSEPALGVRTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGHPMPESLSQLFSRNSNDVGNDDHVISPGTLEKLELELTELLKARRGLPVSIASLPMLYYEMYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHSVILAEDAPKYLEYAGERSDPGGIVAGSRQIYLTFPAESTFTEEDVSNYFNKFGPVQDVRIPCQQKRMFGFVTFVYAETVKQILAKGNPHFVCGARVLVKPYREKSRVVDRKFTEKVQQPMYYSPHLIDGDTELQSMPRVCDNSRLLRKQIIEDNEQVLEFEKRRFSELQLAPKQHLTNHSYFGYSMDELKHTDEQVEFPSAERYNYLLDVLNTGSASGKKSVT >EOX93476 pep chromosome:Theobroma_cacao_20110822:1:13259484:13265497:1 gene:TCM_002338 transcript:EOX93476 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCCH-type zinc fingerfamily protein with RNA-binding domain, putative isoform 1 MDFTESTKVVYNRIQQLEPENVSKIIGYFLLQDQGDRDMIRLAFCSDTLLLSLINKAKSELSLNKSAVSVPISPSQVIPSPVTDLPVQFTPYSPASSCTVSTPATIRASSPFWDPQVTAEQHVNNLEFVPPGYSDAVAEDYGLQNQMHFLNMGDQLESANSVSSEFLSNYYYSEPALGVRTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGHPMPESLSQLFSRNSNDVGNDDHVISPGTLEKLELELTELLKARRGLPVSIASLPMLYYEMYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHSVILAEDAPKYLEYAGERSDPGGIVAGSRQIYLTFPAESTFTEEDVSNYFNKFGPVQDVRIPCQQKRMFGFVTFVYAETVKQILAKGNPHFVCGARVLVKPYREKSRVVDRKFTEKVQQPMYYSPHLIDGDTELQSMPRVCDNSRLLRKQIIEDNEQVLEFEKRRFSELQLAPKQHLTNHSYFGYSMDELKHTDEQVEFPSAERYNYLLDVLNTGSASGKKSVT >EOX93477 pep chromosome:Theobroma_cacao_20110822:1:13260918:13262572:1 gene:TCM_002338 transcript:EOX93477 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCCH-type zinc fingerfamily protein with RNA-binding domain, putative isoform 1 MDFTESTKVVYNRIQQLEPENVSKIIGYFLLQDQGDRDMIRLAFCSDTLLLSLINKAKSELSLNKSAVSVPISPSQVIPSPVTDLPVQFTPYSPASSCTVSTPATIRASSPFWDPQVTAEQHVNNLEFVPPGYSDAVAEDYGLQNQMHFLNMGDQLESANSVSSEFLSNYYYSEPALGVRTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGHPMPESLSQLFSRNSNDVGNDDHVISPGTLEKLELELTELLKARRGLPVSIASLPMLYYEMYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHSVILAEDAPKYLEYAGERSDPGGIVAGSRQIYLTFPAESTFTEEDVSNYFNKFGPVQDVRIPCQQKRMFGFVTFVYAETVKQILAKGNPHFVCGARVLVKPYREKSRVV >EOX92672 pep chromosome:Theobroma_cacao_20110822:1:8018808:8021183:1 gene:TCM_001584 transcript:EOX92672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMKMMAVSMIEIPSGMAILPSREAIVGDEKGRGAFVGRGGLNGDHEGEKRGCTVLAGIMAPGDCPRRRHSDPTLNYYAQSSAGLKENQIQHEQPCNPCPNRAFVLDIYPTALQEMPSLKINPTIQFPFASRDIPPAAAKPGSSFALPSIFIFT >EOX94401 pep chromosome:Theobroma_cacao_20110822:1:28326587:28333035:-1 gene:TCM_003986 transcript:EOX94401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 6 MDSARSWFLKLQPRDKMRASTRRKGPSASGEGGGEGNDEANMDEEALSNVTKQKVAAAKQYIENHYKEQMKNLQERRERRTILEKKLADADVSEEDQNNLIKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGHIYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEFLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTLQEHDFSVGGNINGVTQNDERPSAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSAEAKDLISRLLCNVNERLGSKGAGEIKDHPWFQGVDWDRIYQMDAAFIPEVNDELDTQNFEKFEESENQSQTQAKTGPWRKLS >EOX94404 pep chromosome:Theobroma_cacao_20110822:1:28329250:28332725:-1 gene:TCM_003986 transcript:EOX94404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 6 MDSARSWFLKLQPRDKMRASTRRKGPSASGEGGGEGNDEANMDEEALSNVTKQKVAAAKQYIENHYKEQMKNLQERRERRTILEKKLADADVSEEDQNNLIKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGHIYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEFLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTLQEHDFSVGGNINGVTQNDERPSAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKRQKT >EOX94405 pep chromosome:Theobroma_cacao_20110822:1:28329066:28333078:-1 gene:TCM_003986 transcript:EOX94405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 6 MDSARSWFLKLQPRDKMRASTRRKGPSASGEGGGEGNDEANMDEEALSNVTKQKVAAAKQYIENHYKEQMKNLQERRERRTILEKKLADADVSEEDQNNLIKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGHIYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEFLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTLQEHDFSVGGNINGVTQNDERPSAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKRQKT >EOX94399 pep chromosome:Theobroma_cacao_20110822:1:28327119:28332725:-1 gene:TCM_003986 transcript:EOX94399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 6 MDSARSWFLKLQPRDKMRASTRRKGPSASGEGGGEGNDEANMDEEALSNVTKQKVAAAKQYIENHYKEQMKNLQERRERRTILEKKLADADVSEEDQNNLIKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGHIYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEFLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTLQEHDFSVGGNINGVTQNDERPSAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSAEAKDLISRLLCNVNERLGSKGAGEIKDHPWFQGVDWDRIYQMDAAFIPEVNDELDTQNFEKFEESENQSQTQAKTGPWRKMLSSKDINFVGYTYKNFEIVNGYQVPGMAELKKKNTKPRRPSIKSLFEGESESSDTSDSTTNDQPVQGSFLNLLPPQLEATEKQCGSQ >EOX94403 pep chromosome:Theobroma_cacao_20110822:1:28328825:28333038:-1 gene:TCM_003986 transcript:EOX94403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 6 MDSARSWFLKLQPRDKMRASTRRKGPSASGEGGGEGNDEANMDEEALSNVTKQKVAAAKQYIENHYKEQMKNLQERRERRTILEKKLADADVSEEDQNNLIKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGHIYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEFLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTLQEHDFSVGGNINGVTQNDERPSAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSAEAKDLISRLLCNVNERLGSKGAGPPMVSRCGLG >EOX94406 pep chromosome:Theobroma_cacao_20110822:1:28328824:28333078:-1 gene:TCM_003986 transcript:EOX94406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 6 MDSARSWFLKLQPRDKMRASTRRKGPSASGEGGGEGNDEANMDEEALSNVTKQKVAAAKQYIENHYKEQMKNLQERRERRTILEKKLADADVSEEDQNNLIKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGHIYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEFLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTLQEHDFSVGGNINGVTQNDERPSAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKRQKT >EOX94400 pep chromosome:Theobroma_cacao_20110822:1:28327119:28332725:-1 gene:TCM_003986 transcript:EOX94400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 6 MDSARSWFLKLQPRDKMRASTRRKGPSASGEGGGEGNDEANMDEEALSNVTKQKVAAAKQYIENHYKEQMKNLQERRERRTILEKKLADADVSEEDQNNLIKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGHIYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEFLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTLQEHDFSVGGNINGVTQNDERPSAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSAEAKDLISRLLCNVNERLGSKGAGEIKDHPWFQGVDWDRIYQMDAAFIPEVNDELDTQNFEKFEESENQSQTQAKTGPWRKMLSSKDINFVGYTYKNFEIVNGYQVPGMAELKKKNTKPRRPSIKSLFEGESESSDTSDSTTNDQPVQGSFLNLLPPQLEATEKQCGSQ >EOX94402 pep chromosome:Theobroma_cacao_20110822:1:28326587:28332901:-1 gene:TCM_003986 transcript:EOX94402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 6 MDSARSWFLKLQPRDKMRASTRRKGPSASGEGGGEGNDEANMDEEALSNVTKQKVAAAKQYIENHYKEQMKNLQERRERRTILEKKLADADVSEEDQNNLIKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGHIYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEFLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTLQEHDFSVGGNINGVTQNDERPSAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSAEAKDLISRLLCNVNERLGSKGAGEIKDHPWFQGVDWDRIYQMDAAFIPEVNDELDTQNFEKFEESENQSQTQAKTGPWRKLS >EOX95448 pep chromosome:Theobroma_cacao_20110822:1:34250694:34253480:-1 gene:TCM_004942 transcript:EOX95448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein isoform 1 MEHEDPRNGESNHRNSKKPKISSKFISESEIRDEFSHHQPGVARINNGSFGSCPGSVLEAQRQWQLQYLRQPDGFYFNTLRNRITASRKIIKDLINADYVDEVSLVDNATTAAAIVLQQIGRSFAEGKFQKNDTVLMLHCAFQAVKKSIQAYVTRAGGSITEVRLPFPVNSEEEIISEFKKSIEKGKSNGRKIRLAIIDHITSMPSVVIPVKELVRICRAEGVEQVFVDAAHAIGSVKVDVKEVGADFYVSNLHKWFFCPPSVAFLYCKKSNLSSDMHHPVVSHEYGNGLPIESAWIGTRDYSSQLVVSAVLEFVNRFEGGIEGIMKRNHEEVVKMGKMLAESWGTNLGSPPEMCAGMIMVGLPSRLCLNSEEDALRLRSHLRDCYEVEVPIFYQAVKDGEEGVRDKDGFITGYARISHQVYNTLQDYEKFRDAINQLVDDGKTCKMLLIE >EOX95447 pep chromosome:Theobroma_cacao_20110822:1:34250437:34253501:-1 gene:TCM_004942 transcript:EOX95447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein isoform 1 MEHEDPRNGESNHRNSKKPKISSKFISESEIRDEFSHHQPGVARINNGSFGSCPGSVLEAQRQWQLQYLRQPDGFYFNTLRNRITASRKIIKDLINADYVDEVSLVDNATTAAAIVLQQIGRSFAEGKFQKNDTVLMLHCAFQAVKKSIQAYVTRAGGSITEVRLPFPVNSEEEIISEFKKSIEKGKSNGRKIRLAIIDHITSMPSVVIPVKELVRICRAEGVEQVFVDAAHAIGSVKVDVKEVGADFYVSNLHKWFFCPPSVAFLYCKKSNLSSDMHHPVVSHEYGNGLPIESAWIGTRDYSSQLVVSAVLEFVNRFEGGIEGIMKRNHEEVVKMGKMLAESWGTNLGSPPEMCAGMIMVGLPSRLCLNSEEDALRLRSHLRDCYEVEVPIFYQAVKDGEEGVRDKDGFITGYARISHQVYNTLQDYEKFRDAINQLVDDGKTCKMLLIE >EOX95492 pep chromosome:Theobroma_cacao_20110822:1:34442576:34443701:1 gene:TCM_004977 transcript:EOX95492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein 16 MAAVKVLAYVFVFIALFGVVASDPDLLQDLCVANKAAGIKVNGFPCKDEANVTEADFFFSGLANPAVINNSVGSVVTLANVDKIPGLNTLGVSLARIDYEAGGLNPPHTHPRATEIIFVLDGELDAGFITTANKLISKSLKKGDIFVFPKGLVHFQKNNGDKSASVIAGFNSQLPGTQSIAATLFTSTPPVPDNVLTKAFQIGTKEVNKIKTKLAPKKS >EOX93778 pep chromosome:Theobroma_cacao_20110822:1:15725224:15730363:-1 gene:TCM_002699 transcript:EOX93778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTPLGRAVRSQQPNIDHLLAELVYEAVLTASADTSYGEDPLTFDGSAGEAGAGFGSFEVNLLFYPYGGAEGKVLMRGIFVLPPSMKTKAITGWLFRRFEGEGVPPEGQADQLTRSIGMIDGGYCNRRLQKPTVAVGCSVAIDRCTLLKAFMFHGFHPIST >EOX95933 pep chromosome:Theobroma_cacao_20110822:1:36074246:36076864:1 gene:TCM_005314 transcript:EOX95933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 86, subfamily A, polypeptide 8 isoform 1 MDISTALLLLAAITAYLLWFTFISRSLRGPRVWPLLGSLPGLIENCDRMHDWISDNLRACGGTYQTCICAIPFLARKQGLVTVTCDPRNLEHILKTRFDNYPKGPTWQAVFHDLLGQGIFNSDGDTWRFQRKTAALEFTTRTLRQAMARWVTRAIKLRFCPILEKAQNKAEPVDLQDVLLRLTFDNICGLAFGKDPQTCAQGLPENGFASAFDRATEASLQRFILPEVLWKLKKWLRLGMEVSLSRSLVHIDKYLSDVINARKQELLNQQKDGNPHDDLLSRFMKKKESYSDEFLQHVALNFILAGRDTSSVALSWFFWLVTQHPTVEDKILREICTVLIETRGVDTSAWLDEPLGFEEVDRLIYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYSVGRMRSTWGDDCLEFRPERWLSADGNKFIMHDSYKFVSFNAGPRICLGKDLAYLQMKSVAAAALLRHKLTLVPGHKVEQKMSLTLFMKYGLKVNVAGRDLGAIVEKIISEEREGELHGKCNGQL >EOX95934 pep chromosome:Theobroma_cacao_20110822:1:36074198:36076536:1 gene:TCM_005314 transcript:EOX95934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 86, subfamily A, polypeptide 8 isoform 1 LPLIIISPINPLSLLHFVLSLSSFSSSSFFSASFPSLFQIFLHPPFFFPHEAAFTYVKEKQTKTLILFSCQSYSFRGSRFHISLFLEYCPFLSLPGLIENCDRMHDWISDNLRACGGTYQTCICAIPFLARKQGLVTVTCDPRNLEHILKTRFDNYPKGPTWQAVFHDLLGQGIFNSDGDTWRFQRKTAALEFTTRTLRQAMARWVTRAIKLRFCPILEKAQNKAEPVDLQDVLLRLTFDNICGLAFGKDPQTCAQGLPENGFASAFDRATEASLQRFILPEVLWKLKKWLRLGMEVSLSRSLVHIDKYLSDVINARKQELLNQQKDGNPHDDLLSRFMKKKESYSDEFLQHVALNFILAGRDTSSVALSWFFWLVTQHPTVEDKILREICTVLIETRGVDTSAWLDEPLGFEEVDRLIYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYSVGRMRSTWGDDCLEFRPERWLSADGNKFIMHDSYKFVSFNAGPRICLGKDLAYLQMKSVAAAALLRHKLTLVPGHKVEQKMSLTLFMKYGLKVNVAGRDLGAIVEKIISEEREGELHGKCNGQL >EOX95932 pep chromosome:Theobroma_cacao_20110822:1:36074246:36076864:1 gene:TCM_005314 transcript:EOX95932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 86, subfamily A, polypeptide 8 isoform 1 MDISTALLLLAAITAYLLWFTFISRSLRGPRVWPLLGSLPGLIENCDRMHDWISDNLRACGGTYQTCICAIPFLARKQGLVTVTCDPRNLEHILKTRFDNYPKGPTWQAVFHDLLGQGIFNSDGDTWRFQRKTAALEFTTRTLRQAMARWVTRAIKLRFCPILEKAQNKAEPVDLQDVLLRLTFDNICGLAFGKDPQTCAQGLPENGFASAFDRATEASLQRFILPEVLWKLKKWLRLGMEVSLSRSLVHIDKYLSDVINARKQELLNQQKDGNPHDDLLSRFMKKKESYSDEFLQHVALNFILAGRDTSSVALSWFFWLVTQHPTVEDKILREICTVLIETRGVDTSAWLDEPLGFEEVDRLIYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYSVGRMRSTWGDDCLEFRPERWLSADGNKFIMHDSYKFVSFNAGPRICLGKDLAYLQMKSVAAAALLRHKLTLVPGHKVEQKMSLTLFMKYGLKVNVAGRDLGAIVEKIISEEREGELHGKCNGQL >EOX93378 pep chromosome:Theobroma_cacao_20110822:1:12529330:12532717:-1 gene:TCM_002230 transcript:EOX93378 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTB domain-containing engulfment adapter protein 1 isoform 1 MSSLSFTKSTLTRGKDEVYVAAVPLRAAKGVAQLFMSTAYSLNFWDLQHFMVIVKPSSSPLPSQAFVFDFQPEDPENIYTALAALSGRAVPDLKVQQPSKVCQSYHILFILLACLVQGDLKIRNLPLVSEECFVQH >EOX93377 pep chromosome:Theobroma_cacao_20110822:1:12528887:12532743:-1 gene:TCM_002230 transcript:EOX93377 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTB domain-containing engulfment adapter protein 1 isoform 1 MSSLSFTKSTLTRGKDEVYVAAVPLRAAKGVAQLFMSTAYSLNFWDLQHFMVIVKPSSSPLPSQAFVFDFQPEDPENIYTALAALSGRAVPGVVLARKLTKLPRRKCWFVGYSNEDAVGKAYKFNNTWGADLRVGLHDCRDYTNGLVEHLTGEKLVLEHLRRTTDGQS >EOX92685 pep chromosome:Theobroma_cacao_20110822:1:8094110:8098844:-1 gene:TCM_001594 transcript:EOX92685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 35 protein isoform 1 MYIYIYTHQLAQAHQPTTNPAARPQLKVKEAVVSADEMVWWIYVVVAVLLSIGREGCRVEGEDVVTYDGRSLIINGQRKILFSGSIHYPRSTPQMWPYLIAKAKEGGVDVIQTYVFWNLHEPQPGQYDFSGRYDLVKFMKEIQAQGLYACLRIGPYIESEWTYGGFPFWLHDVPGIVYRTDNEPFKYYMQNFTTKIVNLMKSEGLYASQGGPIILSQIENEYQNIEAAFHEKGAAYVRWAAKMAVDLGTGVPWVMCKQTDAPDPVINTCNGMRCGETFGGPNSPNKPSMWTENWTSFYQVYGGEPNIRSAQDIAFHVALFIAKKGSYINYYMYHGGTNFGRTASAYVITSYYDQAPLDEYGLLRQPKWGHLKELHAVIKNCSSPLLEGVQTNFSIGQFQQAYVFEEGSAGCVAFLVNNDSTNNATLQFRNNSFELLPKSISILPDCQNIIFNTAKVKTQPNKRITTSSKMFDAVDSWEEFRDVIPNFLDTSLQANSLLEHMNTTKDGSDYLWYTLSFQPNSSCTEPVLHIESLGHVVHSYINNKLSWTWEP >EOX92684 pep chromosome:Theobroma_cacao_20110822:1:8092944:8099758:-1 gene:TCM_001594 transcript:EOX92684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 35 protein isoform 1 MYIYIYTHQLAQAHQPTTNPAARPQLKVKEAVVSADEMVWWIYVVVAVLLSIGREGCRVEGEDVVTYDGRSLIINGQRKILFSGSIHYPRSTPQMWPYLIAKAKEGGVDVIQTYVFWNLHEPQPGQYDFSGRYDLVKFMKEIQAQGLYACLRIGPYIESEWTYGGFPFWLHDVPGIVYRTDNEPFKYYMQNFTTKIVNLMKSEGLYASQGGPIILSQIENEYQNIEAAFHEKGAAYVRWAAKMAVDLGTGVPWVMCKQTDAPDPVINTCNGMRCGETFGGPNSPNKPSMWTENWTSFYQVYGGEPNIRSAQDIAFHVALFIAKKGSYINYYMYHGGTNFGRTASAYVITSYYDQAPLDEYGLLRQPKWGHLKELHAVIKNCSSPLLEGVQTNFSIGQFQQAYVFEEGSAGCVAFLVNNDSTNNATLQFRNNSFELLPKSISILPDCQNIIFNTAKVKTQPNKRITTSSKMFDAVDSWEEFRDVIPNFLDTSLQANSLLEHMNTTKDGSDYLWYTLSFQPNSSCTEPVLHIESLGHVVHSYINNKFVEAGHGSHNIKGFTMDIPIDLIDGMNNVSILSVMVGLPDSGPFLESRFAGLTKVEIQCSETELYDFTNYTWGYQVGLLGEKLQVYKEENLDEVEWSSEIDHSANQSLTWYKTVFDAPTGDDPIALNLSSMGKGEVWVNGQSIGRYWVSFLTSKGVPSQTLYHVPRAFLKPSGNLLIVLEELNGDPLHISLHTISVADFDSQTPYYHLPR >EOX96231 pep chromosome:Theobroma_cacao_20110822:1:37080229:37082977:1 gene:TCM_005527 transcript:EOX96231 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA 2'-phosphotransferase isoform 6 MWASLTNGGIRILRYCGGRLPPVPSQLPRFRALPFMENTNLNNTTSSSFSSFAHSNRSGGRGRGQEMKCDRGGSRGRGGGSGGKDKIDALGRLLTRILRHRATELNLNMRSDGYVKVQDLLKLNLKTFADIPLRSHTVDDIKEAVSKDNKQRFSLLEENGELLIRANQGHTVTTVESESLLKQILSADEVQVCVHGTYKRNLESILESGLKRMKRLHVHFSSGLPTDGEVISGMRRDVNVLIFLNVRKALEEGMKLYISDNKVILTEGFDGVVPVKYFEKIESWPDRRPVPFSL >EOX96237 pep chromosome:Theobroma_cacao_20110822:1:37080115:37083031:1 gene:TCM_005527 transcript:EOX96237 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA 2'-phosphotransferase isoform 6 MWASLTNGGIRILRYCGGRLPPVPSQLPRFRALPFMENTNLNNTTSSSFSSFAHSNRSGGRGRGQEMKCDRGGSRGRGGGSGGKDKIDALGRLLTRILRHRATELNLNMRSDGYVKVQDLLKLNLKTFADIPLRSHTVDDIKETVESESLLKQILSADEVQVCVHGTYKRNLESILESGLKRMKRLHVHFSSGLPTDGEVISGMRRDVNVLIFLNKE >EOX96235 pep chromosome:Theobroma_cacao_20110822:1:37079998:37082710:1 gene:TCM_005527 transcript:EOX96235 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA 2'-phosphotransferase isoform 6 MWASLTNGGIRILRYCGGRLPPVPSQLPRFRALPFMENTNLNNTTSSSFSSFAHSNRSGGRGRGQEMKCDRGGSRGRGGGSGGKDKIDALGRLLATELNLNMRSDGYVKVQDLLKLNLKTFADIPLRSHTVDDIKETVESESLLKQILSADEVQVCVHGTYKRNLESILESGLKRMKRLHVHFSSGLPTDGEVISGMRRDVNVLIFLNVRKALEEGMKLYISDNKVILTEGFDGVVPVKYFEKIESWPDRRPVPFSL >EOX96232 pep chromosome:Theobroma_cacao_20110822:1:37079998:37082710:1 gene:TCM_005527 transcript:EOX96232 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA 2'-phosphotransferase isoform 6 MWASLTNGGIRILRYCGGRLPPVPSQLPRFRALPFMENTNLNNTTSSSFSSFAHSNRSGGRGRGQEMKCDRGGSRGRGGGSGGKDKIDALGRLLATELNLNMRSDGYVKVQDLLKLNLKTFADIPLRSHTVDDIKEAVSKDNKQRFSLLEENGELLIRANQGHTVTTVESESLLKQILSADEVQVCVHGTYKRNLESILESGLKRMKRLHVHFSSGLPTDGEVISGMRRDVNVLIFLNVRKALEEGMKLYISDNKVILTEGFDGVVPVKYFEKIESWPDRRPVPFSL >EOX96236 pep chromosome:Theobroma_cacao_20110822:1:37080165:37082517:1 gene:TCM_005527 transcript:EOX96236 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA 2'-phosphotransferase isoform 6 MWASLTNGGIRILRYCGGRLPPVPSQLPRFRALPFMENTNLNNTTSSSFSSFAHSNRSGGRGRGQEMKCDRGGSRGRGGGSGGKDKIDALGRLLATELNLNMRSDGYVKVQDLLKLNLKTFADIPLRSHTVDDIKEAVSKDNKQRFSLLEENGELLIRANQGHTVTTVESESLLKQILSADEVQVCVHGTYKRNLESILESGLKRMKRLHVHFSSGLPTDGEVISGMRRDVNVLIFLNKE >EOX96234 pep chromosome:Theobroma_cacao_20110822:1:37080115:37083031:1 gene:TCM_005527 transcript:EOX96234 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA 2'-phosphotransferase isoform 6 MWASLTNGGIRILRYCGGRLPPVPSQLPRFRALPFMENTNLNNTTSSSFSSFAHSNRSGGRGRGQEMKCDRGGSRGRGGGSGGKDKIDALGRLLTRILRHRATELNLNMRSDGYVKVQDLLKLNLKTFADIPLRSHTVDDIKEAVSKDNKQRFSLLEENGELLIRANQGHTVTTVESESLLKQILSADEVQVCVHGTYKRNLESILESGLKRMKRLHVHFSSGLPTDGEVISGMRRDVNVLIFLNKE >EOX96233 pep chromosome:Theobroma_cacao_20110822:1:37080122:37083031:1 gene:TCM_005527 transcript:EOX96233 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA 2'-phosphotransferase isoform 6 MWASLTNGGIRILRYCGGRLPPVPSQLPRFRALPFMENTNLNNTTSSSFSSFAHSNRSGGRGRGQEMKCDRGGSRGRGGGSGGKDKIDALGRLLTRILRHRATELNLNMRSDGYVKVQDLLKLNLKTFADIPLRSHTVDDIKETVESESLLKQILSADEVQVCVHGTYKRNLESILESGLKRMKRLHVHFSSGLPTDGEVISGMRRDVNVLIFLNVRKALEEGMKLYISDNKVILTEGFDGVVPVKYFEKIESWPDRRPVPFSL >EOX96238 pep chromosome:Theobroma_cacao_20110822:1:37080165:37082517:1 gene:TCM_005527 transcript:EOX96238 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA 2'-phosphotransferase isoform 6 MWASLTNGGIRILRYCGGRLPPVPSQLPRFRALPFMENTNLNNTTSSSFSSFAHSNRSGGRGRGQEMKCDRGGSRGRGGGSGGKDKIDALGRLLATELNLNMRSDGYVKVQDLLKLNLKTFADIPLRSHTVDDIKETVESESLLKQILSADEVQVCVHGTYKRNLESILESGLKRMKRLHVHFSSGLPTDGEVISGMRRDVNVLIFLNKE >EOX95565 pep chromosome:Theobroma_cacao_20110822:1:34667374:34669720:1 gene:TCM_005023 transcript:EOX95565 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 2 MATTIKPLLTDLVSTVSSVPPNYVRPESDRPKLNEVTFDHSIPLLDLQGLHGPNHSSVIKEIGEACQNYGFFQVKNHGISPEVIDKMLHVSKEFFHLPESERLKNYSDDPMKTTRLSTSFNVKTENVSSWRDYLRLHCFPLEDYVHEWPTNPPSFREAAADYCKNTRGLALRLLEAISESLGLERDYMNSALGKHAQHMAINCYPPCPEPELTYGLPAHADPNVITILLQADVPGLQVLKDGKWTAVSPVPYTFIVNIGDQIQVVSNDRYKSVLHRAVVNCKEERISIPTFYCPSPDAVMRPAPQLIDDDHPPLYRSYSYSEYYQKFWKRGLNAETCLDMFKI >EOX95567 pep chromosome:Theobroma_cacao_20110822:1:34667449:34669469:1 gene:TCM_005023 transcript:EOX95567 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 2 MATTIKPLLTDLVSTVSSVPPNYVRPESDRPKLNEVTFDHSIPLLDLQGLHGPNHSSVIKEIGEACQNYGFFQEFFHLPESERLKNYSDDPMKTTRLSTSFNGKHAQHMAINCYPPCPEPELTYGLPAHADPNVITILLQADVPGLQVLKDGKWTAVSPVPYTFIVNIGDQIQVVSNDRYKSVLHRAVVNCKEERISIPTFYCPSPDAVMRPAPQLIDDDHPPLYRSYSYSEYYQKFWKRGLNAETCLDMFKI >EOX95566 pep chromosome:Theobroma_cacao_20110822:1:34667449:34669469:1 gene:TCM_005023 transcript:EOX95566 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 2 MATTIKPLLTDLVSTVSSVPPNYVRPESDRPKLNEVTFDHSIPLLDLQGLHGPNHSSVIKEIGEACQNYGFFQVKNHGISPEVIDKMLHVSKEFFHLPESERLKNYSDDPMKTTRLSTSFNGKHAQHMAINCYPPCPEPELTYGLPAHADPNVITILLQADVPGLQVLKDGKWTAVSPVPYTFIVNIGDQIQVVSNDRYKSVLHRAVVNCKEERISIPTFYCPSPDAVMRPAPQLIDDDHPPLYRSYSYSEYYQKFWKRGLNAETCLDMFKI >EOX93707 pep chromosome:Theobroma_cacao_20110822:1:15173501:15181165:-1 gene:TCM_002621 transcript:EOX93707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF707) [Source:Projected from Arabidopsis thaliana (AT4G12840) TAIR;Acc:AT4G12840] MKKRMSTSISLKAEPRRQRLFTHRFLPMILLLSAAFFIGSAFIITDYKERILGWRSVIVLQYKRPKICETQCRAYGSEALPKGIISETSDLEMRPLWGLQNKKKPKLSMNLLAIAVGIKQKESVNKIVKKFPASDFVVMLFHYDGIVDQWKDLEWNDLAIHVSAVNQTKWWFAKRFLHPDIVSEYSYIFLWDEDLGVDHFNAARYLSIIKKEGLEISQPALDVEKSELHHPITARDKKSTVHRRTYEVIGRTRCNENSTGPPCTGFVEMMAPVFSRASWRCAWHMIQSDLVYGWGVDFQLGYCAQGDRTQKIGIVDSEYLVHNALPTLGGVAANEVPSPSSEPGGRSEVRKQSFIELEIFKNRWKRAVKQDKCWFDPYEPSTKKQ >EOX96105 pep chromosome:Theobroma_cacao_20110822:1:36618121:36621071:1 gene:TCM_005436 transcript:EOX96105 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine transporter family MKNEEQARSLFGISLSDRPIWKQFLICSSGFFFGYLVNGICEEYVYNRLHFSYGWYFTFVQGFVYLLLIYLQGFTPKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQLMFKSTKFSHYQSPCTIDWTENSSEISEVLPVMVMGAFIPGLRRKYPAHEYISAILLVVGLILFTLADAQTSPNFSVIGVVMVTGALVMDSFLGNLQEAIFTMNPETTQMEMLFCSTVVGLPFLIPPMLLTGELFKAWNSCSQHLYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMVTTARKAVTLLLSYLIFTKPLTEQHGTGLLLIAMGITLKLLPVDSKPVYKSVSSSSSSTDENIGNPSTSSEELRTRSETEERRPLV >EOX93769 pep chromosome:Theobroma_cacao_20110822:1:15635570:15637085:-1 gene:TCM_002686 transcript:EOX93769 gene_biotype:protein_coding transcript_biotype:protein_coding description:YGGT family protein MSLLSQTLLLRASNYLPPRNPISPIFTSKTNSLALSLPIKPSNPNQKHPKFTLLASVSPSRTIPCRPPQIPAQSRLKDSTRTLKTLFSIALSATIIFTKMVQNFALKTISQNPNAFSTVGPLFFASLKDRPSGYLNTPLTVVAAGLAKWLDIYSGVLMVRVLLSWFPNIPWDRQPLSAIRDLCDPYLNLFRNIIPPIFDTLDVSPLLAFAVLGTLGSILNNSRGMY >EOX96311 pep chromosome:Theobroma_cacao_20110822:1:37291067:37292246:1 gene:TCM_005589 transcript:EOX96311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSTRMDTSTISKYHMEMHSYANADIVCNFSKLRWSFQSTLTLIKGIFKAKQQKKQKSLMSLLQKINLFLDEEKKPADDISNGRPKDLLSLVQGGVHVSRRIATKHMPSNFPFRIPELVDRQVISNLTSPGIENSEEWCNVNDVKPAIGPALLTCEDFEKSILSESTEIYPNFLLL >EOX96310 pep chromosome:Theobroma_cacao_20110822:1:37290937:37292246:1 gene:TCM_005589 transcript:EOX96310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSTRMDTSTISKYHMEMHSYANADIVCNFSKLRWSFQSTLTLIKGIFKAKQQKKQKSLMSLLQKINLFLDEEKKPADDISNGRPKDLLSLVQGGVHVSRRIATKHMPSNFPFRIPELVDRQVISNLTSPGIENSEEWCNVNDVKPAIGPALLTCEDFEKSILSESTEIYPNFLVAASLCIQDVSGSPACQLLLS >EOX91513 pep chromosome:Theobroma_cacao_20110822:1:2951276:2954152:-1 gene:TCM_000673 transcript:EOX91513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 3 MPKANSQAFLPLFFFFLFFWTCFSKPITYPRQTNLLLPSDAISILSFKSKADLDNKLLYALNERFDYCQWRGVKCAQGRVVRYIVQNSGLRGIFSANSLTRLDQLRVLSLHNNSLSGNNLTGKIPVTPTLSKFNTTAFSLNPNLCGEIINKACTSRAPFFGSSSASGPLGQSAEARGGGGGATGGIVVLPPPSSPKKHQRTGVVLGFTIGIALIIFSVLLALALVRKQSGKKRVESKETKPTTASLEVTNSNLGNSKTQVVEEVSDRKIVIPEIQKLKKSGNLVFVAGEVEGYSLEQLMRASAELLGRGTMGTTYKAVLDGKLILTVKRLDAGKTAVTSGEVFERHMDAVGGLRHPNLVPIRAYFQAKGERLVIYDYQPNGSVFNLVHGSRSTRAKPLHWTSCLKIAEDVAQGLAYIHQASRLVHGNLKSSNVLLGTEFEACLTDYCLAVLADSSSTEDPDSAAYKAPEIRKSSRRLTPKTDVYAFGVFLLELLTGKHPSQHPVLVPHDMLEWVRTMREDDGGEYNRLGMLTEVASVCSLTSPEQRPAMWQVLKMIQEIKESAMMEDSASFGYSLQ >EOX91512 pep chromosome:Theobroma_cacao_20110822:1:2951006:2957590:-1 gene:TCM_000673 transcript:EOX91512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 3 MPKANSQAFLPLFFFFLFFWTCFSKPITYPRQTNLLLPSDAISILSFKSKADLDNKLLYALNERFDYCQWRGVKCAQGRVVRYIVQNSGLRGIFSANSLTRLDQLRVLSLHNNSLSGPIPDLSSLYNLKSLFLDRNNFSGAFPPSILLLHRITSLDLSYNDLTGPIPANLTALDRLNILRLQWNRFNGTLPPLNQSFLLIFNVSGNNLTGKIPVTPTLSKFNTTAFSLNPNLCGEIINKACTSRAPFFGSSSASGPLGQSAEARGGGGGATGGIVVLPPPSSPKKHQRTGVVLGFTIGIALIIFSVLLALALVRKQSGKKRVESKETKPTTASLEVTNSNLGNSKTQVVEEVSDRKIVIPEIQKLKKSGNLVFVAGEVEGYSLEQLMRASAELLGRGTMGTTYKAVLDGKLILTVKRLDAGKTAVTSGEVFERHMDAVGGLRHPNLVPIRAYFQAKGERLVIYDYQPNGSVFNLVHGSRSTRAKPLHWTSCLKIAEDVAQGLAYIHQASRLVHGNLKSSNVLLGTEFEACLTDYCLAVLADSSSTEDPDSAAYKAPEIRKSSRRLTPKTDVYAFGVFLLELLTGKHPSQHPVLVPHDMLEWVRTMREDDGGEYNRLGMLTEVASVCSLTSPEQRPAMWQVLKMIQEIKESAMMEDSASFGYSLQ >EOX91514 pep chromosome:Theobroma_cacao_20110822:1:2951885:2954537:-1 gene:TCM_000673 transcript:EOX91514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 3 MPKANSQAFLPLFFFFLFFWTCFSKPITYPRQTNLLLPSDAISILSFKSKADLDNKLLYALNERFDYCQWRGVKCAQGRVVRYIVQNSGLRGIFSANSLTRLDQLRVLSLHNNSLSGPIPDLSSLYNLKSLFLDRNNFSGAFPPSILLLHRITSLDLSYNDLTGPIPANLTALDRLNILRLQWNRFNGTLPPLNQSFLLIFNVSGNNLTGKIPVTPTLSKFNTTAFSLNPNLCGEIINKACTSRAPFFGSSSASGPLGQSAEARGGGGGATGGIVVLPPPSSPKKHQRTGVVLGFTIGIALIIFSVLLALALVRKQSGKKRVESKETKPTTASLEVTNSNLGNSKTQVVEEVSDRKIVIPEIQKLKKSGNLVFVAGEVEGYSLEQLMRASAELLGRGTMGTTYKAVLDGKLILTVKRLDAGKTAVTSGEVFERHMDAVGGLRHPNLVPIRAYFQAKGERLVIYDYQPNGSVFNLVHGMILVLIFLFYFWF >EOX93001 pep chromosome:Theobroma_cacao_20110822:1:9881365:9883054:-1 gene:TCM_001865 transcript:EOX93001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tropomyosin-related, putative isoform 1 MADSTIINGETENQTAESFYDADEAKVTELASKIEALESEKLELSNENKEVKEKIKKLTLEIDQLLIKEEEMRGEMDQWEEDKKALESITSRAIDLETEVSRLQHDLITSMSEVDEANTEVFELKRVLEEKGLVIERLDREIDELKKEKAESEKKKRELERNLGLLEVRESEERSKKVRIEEEMREKIDEFKKQVEQLEAEVAKTKGELKRSEEAKRESEEKAMGLELKMFELKKEMEERTGEGINGKAREADESVGCEGKGLNVPVVAAASAGATVVAVAVVYLCCCKRS >EOX93002 pep chromosome:Theobroma_cacao_20110822:1:9881365:9882906:-1 gene:TCM_001865 transcript:EOX93002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tropomyosin-related, putative isoform 1 MADSTIINGETENQTAESFYDADEAKVTELASKIEALESEKLELSNENKEVKEKIKKLTLEIDQLLIKEEEMRGEMDQWEEDKKALESITSRAIDLETEVSRLQHDLITSMSEVDEANTEVFELKRVLEEKGLVIERLDREIDELKKEKAESEKKKRELERNLGLLEVRESEERSKKVRIEEEMREKIDEFKKQVEQLEAEVAKTKGELKRSEEAKRESEEKAMGLELKMFELKKEMEERTGEGINGKAREADESVGCEGKGLNVPVVAAASAGATVVAVAVVYLCCCKRS >EOX93003 pep chromosome:Theobroma_cacao_20110822:1:9881584:9883027:-1 gene:TCM_001865 transcript:EOX93003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tropomyosin-related, putative isoform 1 MADSTIINGETENQTAESFYDADEAKVTELASKIEALESEKLELSNENKEVKEKIKKLTLEIDQLLIKEEEMRGEMDQWEEDKKALESITSRAIDLETEVSRLQHDLITSMSEVDEANTEVFELKRVLEEKGLVIERLDREIDELKKEKAESEKKKRELERNLGLLEVRESEERSKKVRIEEEMREKIDEFKKQVEQLEAEVAKTKGELKRSEEAKRESEEKAMGLELKMFELKKEMEERTGEGINGKAREADESVGCEGKGLNVPVVAAASAGATVVAVAVVYLCCCKRS >EOX92519 pep chromosome:Theobroma_cacao_20110822:1:7274268:7278370:-1 gene:TCM_001462 transcript:EOX92519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase family protein, putative MENVVVIVGAGPSGLATSACLSAHSIPHVILEREDIYASLWKKRAYDRVKLHLAKEFCSLPYMPHPADSPTYIPKDIFLKYLDEYVSTFNIQPQYHRSVESACYDEVDGKWRIEARNMQSGDVEVYVAEFLVIASGENSAKYIPDLPGLDSFKGEMIHSNEYKSGSKYANKDVLVVGCGNSGMEISYDLSTFGAQTSIVIRNPFHVVSKEIVRLGMIFSKYLPVFVVDFMVLMMANIKYGDLSKYEIRRPNQGPFHLKATAGRAPVIDVGSVDKIKSKAIKVVPGISRINGKKVLFEDGAEREFDAIVFATGYRSVAKQWLKDYEHVLNENGLPKNNFPHHWKGEKNLHCCGLSRRGLFGLSMDAKAIAKEINRVINEKNGKMKGSRRISSLILCPWVRSPRTFPPSLLVLTANFLLITPNSYFDTRTRVDFTPPCRYSPFQSIACLPPDPVLQRLAT >EOX95957 pep chromosome:Theobroma_cacao_20110822:1:36151819:36164090:1 gene:TCM_005328 transcript:EOX95957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 12 isoform 1 MQRYHAASCTSAVNNSGIGGASARDTARADSSSLPPNFSLNSRRQTQLSPYKLKCDKEPLNSRLGPPDFHPQSQNCPEETLTRENVQQGYKETIDGLEDSKEISLTQVQAFTKPVVLKCRDAIRKCLRAINESRAQKRKAGQVYGVPLSGALLSKPGVFPEQRPCNEDFRKKWIEGLSQQHKRLRSLADQVPHGYRKKTLIEVLIRNNVPLLRATWFIKVTYLNQVHPGSAISSGAPDKAQLSRTELWTKDVIDYLQTLLDEFFPKSNSHSTQHSRDRLPQMLYAGSLQHRIDSASTILDGGEPSLHFKWWYVVRLLQWHHAEGLVLPSLIIDWLLNQLQVLNLEKELFEILQLLLPIIFGVLETIILCQTYVRNLVGIAIRFIREPSPGGSDLVDNSRRAYTISALVEMLRYLIQAVPDTFVALDCFPLPTCVVSHALNDGGFLSKSSDDAGKIKHNSADAYVLRGKGFDSQYQSLSFDHVVSTIQKRADNLAKGTSAGYPSQSVAKAVQTLDKALLQGDLMEAYKHIFENLCDGAVREGWVAEVSPCLRSSLKWIQTVNLSLICSVFFLCEWATCDFRDFRTAPPRDLKFTGRKDFSQMYLAIQLLKLKIRELQNPEHKNGRASGVNSTAKNISQQNNYSRRNLSGNLFEVKSKVRVVDGRNSNSSDIFDSPGPLHDIIVCWIDQHEGHKGEGGKRLQLFVLELIRSGIFYPQAYVRQLIVSGIIDTNGPVSDFDRRKRHHRILKQLPGQFMCDVLEEARIAVGSELLEAVNVYSNERRLVLHGLLSDQYSNANNAHVSAKKQKYHSTSGRNGASQASGDQRKTVQSSKAFRREVDLEELKASISVLLQFPSLSSASVDSGVDESQGSVKRPIGSTCNKMDLFEVTPGCEDCRRVKRQKLSEEKSSYLQVPSPIPSDDEDTWWVRKGPKNLEPFKVDPPLKSTKQVSRGRQKTVRKTQSLAQLAAARIEGSQGASTSHVCDNKISCPHHRTEVETLKPVDGIRITHSGDIISIGKGLKQLRFVEKRIVTVWLISVVRQLVEESEKSVAKVGQYGRPFVVADEKSPLRWKLGEDELSTILYLMDVSCDLPSAVKFLLWLLPKVISNPSPTIQSGRNILMVPRNVENHACEVGEAYLLSSLRRYENILIAADLIPEALAATMHRAAAVMASNGRITGSGTLVFARYLLKRYGNIASVIEWEKNFKATCDNRLLSELESGQAHDGEFGLPLGVPAGIEDPDDYYRQKLSGARLSRLGLSMRDMVQRHVDDVLHYFLGKERKLFAANAPKGPAIEKGDDGYQVAQQIAIGLLDCIRQTGGAAQEGDPVLVSSAISAIVTNVGPALAKIPDFTGGSNYSNYQPPMNSLNFAKRILRIHLICLSLLKEALGERQSRAFELALGIEASSALAVAFAPAKSSRGQFFLASDAPDTNANISGDNLNGSAKVTLGRTTKMVAAVSALVIGTVIHGVISLDRLVSVLRLREGLDVVQFVRSTKTSSNGNARSVGAFKVDNSVEVCVHWFRLFVGNCRTVCDGLVLELLGEQSVVALSRMQRLLPISLVFPPAYAIFAFVIWKPFILNSNIASREDIHQLYQSLTMAIGDAIKHIPFRDVCMRDSRAFYDILAADTTDAEFAGLPELNGKSMAFVPLRARLFLNAIIDCKMPNSAFTQDDGNRVSGHSEYKALRAESESNLLDKLVRALDTLQPAKFHWQWVELRLLLNEQALIDKTKNQEMSLVDAIRSSSPSSERASPSENEKVLIEIIFTRLLVRPDAAPLFSELVHLFGMSLEDSVLMQAKWFLGGQDVLLGRKTVRQRLINFAEINSRSMKTQFWKPWGWSYSGVDPVTNRGEKKKYEVTSLEEGEVIEEGTESKRYLKGSSQVDVEGSSISLQHVTEKAFSELVLPCIDQSSDDSRNTFASDLIKQFNIIEQQINSVTRGVSKQTGTATSGIEGSTNKGNNRKGIRGSSPGLARRTTATASAESVPPSPAALRASMSLRLQFIVRLLPIICADGEPSTRNMRHMLASVILRLLGSRVVHEDVDLSFNLVQLKRDMELMSSVASSELSGDSLFDRLLLVLHGLLSSSQPSWLGSKPASKHTSEFTGFDREAVESLQNELDSMQLPEMIRWRIQAAMPILFPSFRNLISCHPPSVPIGALSLLQPSIFVPGCYVGNLNAPQRQVPLARNANNILGKSKSMPLLQEYDMEIDPWTLLEDGAGSGPSSNSTVVIGSSDHANLRASSWLKGAVRVRRTDLTYIGAVDDDS >EOX95959 pep chromosome:Theobroma_cacao_20110822:1:36150339:36164001:1 gene:TCM_005328 transcript:EOX95959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 12 isoform 1 MQRYHAASCTSAVNNSGIGGASARDTARADSSSLPPNFSLNSRRQTQLSPYKLKCDKEPLNSRLGPPDFHPQSQNCPEETLTRENVQQGYKETIDGLEDSKEISLTQVQAFTKPVVLKCRDAIRKCLRAINESRAQKRKAGQVYGVPLSGALLSKPGVFPEQRPCNEDFRKKWIEGLSQQHKRLRSLADQVPHGYRKKTLIEVLIRNNVPLLRATWFIKVTYLNQVHPGSAISSGAPDKAQLSRTELWTKDVIDYLQTLLDEFFPKSNSHSTQHSRDRLPQMLYAGSLQHRIDSASTILDGGEPSLHFKWWYVVRLLQWHHAEGLVLPSLIIDWLLNQLQEKELFEILQLLLPIIFGVLETIILCQTYVRNLVGIAIRFIREPSPGGSDLVDNSRRAYTISALVEMLRYLIQAVPDTFVALDCFPLPTCVVSHALNDGGFLSKSSDDAGKIKHNSADAYVLRGKGFDSQYQSLSFDHVVSTIQKRADNLAKGTSAGYPSQSVAKAVQTLDKALLQGDLMEAYKHIFENLCDGAVREGWVAEVSPCLRSSLKWIQTVNLSLICSVFFLCEWATCDFRDFRTAPPRDLKFTGRKDFSQMYLAIQLLKLKIRELQNPEHKNGRASGVNSTAKNISQQNNYSRRNLSGNLFEVKSKVRVVDGRNSNSSDIFDSPGPLHDIIVCWIDQHEGHKGEGGKRLQLFVLELIRSGIFYPQAYVRQLIVSGIIDTNGPVSDFDRRKRHHRILKQLPGQFMCDVLEEARIAVGSELLEAVNVYSNERRLVLHGLLSDQYSNANNAHVSAKKQKYHSTSGRNGASQASGDQRKTVQSSKAFRREVDLEELKASISVLLQFPSLSSASVDSGVDESQGSVKRPIGSTCNKMDLFEVTPGCEDCRRVKRQKLSEEKSSYLQVPSPIPSDDEDTWWVRKGPKNLEPFKVDPPLKSTKQVSRGRQKTVRKTQSLAQLAAARIEGSQGASTSHVCDNKISCPHHRTEVETLKPVDGIRITHSGDIISIGKGLKQLRFVEKRIVTVWLISVVRQLVEESEKSVAKVGQYGRPFVVADEKSPLRWKLGEDELSTILYLMDVSCDLPSAVKFLLWLLPKVISNPSPTIQSGRNILMVPRNVENHACEVGEAYLLSSLRRYENILIAADLIPEALAATMHRAAAVMASNGRITGSGTLVFARYLLKRYGNIASVIEWEKNFKATCDNRLLSELESGQAHDGEFGLPLGVPAGIEDPDDYYRQKLSGARLSRLGLSMRDMVQRHVDDVLHYFLGKERKLFAANAPKGPAIEKGDDGYQVAQQIAIGLLDCIRQTGGAAQEGDPVLVSSAISAIVTNVGPALAKIPDFTGGSNYSNYQPPMNSLNFAKRILRIHLICLSLLKEALGERQSRAFELALGIEASSALAVAFAPAKSSRGQFFLASDAPDTNANISGDNLNGSAKVTLGRTTKMVAAVSALVIGTVIHGVISLDRLVSVLRLREGLDVVQFVRSTKTSSNGNARSVGAFKVDNSVEVCVHWFRLFVGNCRTVCDGLVLELLGEQSVVALSRMQRLLPISLVFPPAYAIFAFVIWKPFILNSNIASREDIHQLYQSLTMAIGDAIKHIPFRDVCMRDSRAFYDILAADTTDAEFAGLPELNGKSMAFVPLRARLFLNAIIDCKMPNSAFTQDDGNRVSGHSEYKALRAESESNLLDKLVRALDTLQPAKFHWQWVELRLLLNEQALIDKTKNQEMSLVDAIRSSSPSSERASPSENEKVLIEIIFTRLLVRPDAAPLFSELVHLFGMSLEDSVLMQAKWFLGGQDVLLGRKTVRQRLINFAEINSRSMKTQFWKPWGWSYSGVDPVTNRGEKKKYEVTSLEEGEVIEEGTESKRYLKGSSQVDVEGSSISLQHVTEKAFSELVLPCIDQSSDDSRNTFASDLIKQFNIIEQQINSVTRGVSKQTGTATSGIEGSTNKGNNRKGIRGSSPGLARRTTATASAESVPPSPAALRASMSLRLQFIVRLLPIICADGEPSTRNMRHMLASVILRLLGSRVVHEDVDLSFNLVQLKRDMELMSSVASSELSGDSLFDRLLLVLHGLLSSSQPSWLGSKPASKHTSEFTGFDREAVESLQNELDSMQLPEMIRWRIQAAMPILFPSFRNLISCHPPSVPIGALSLLQPSIFVPGCYVGNLNAPQRQVPLARNANNILGKSKSMPLLQEYDMEIDPWTLLEDGAGSGPSSNSTVVIGSSDHANLRASSWLKGAVRVRRTDLTYIGAVDDDS >EOX95958 pep chromosome:Theobroma_cacao_20110822:1:36150146:36164436:1 gene:TCM_005328 transcript:EOX95958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 12 isoform 1 MQRYHAASCTSAVNNSGIGGASARDTARADSSSLPPNFSLNSRRQTQLSPYKLKCDKEPLNSRLGPPDFHPQSQNCPEETLTRENVQQGYKETIDGLEDSKEISLTQVQAFTKPVVLKCRDAIRKCLRAINESRAQKRKAGQVYGVPLSGALLSKPGVFPEQRPCNEDFRKKWIEGLSQQHKRLRSLADQVPHGYRKKTLIEVLIRNNVPLLRATWFIKVTYLNQVHPGSAISSGAPDKAQLSRTELWTKDVIDYLQTLLDEFFPKSNSHSTQHSRDRLPQMLYAGSLQHRIDSASTILDGGEPSLHFKWWYVVRLLQWHHAEGLVLPSLIIDWLLNQLQEKELFEILQLLLPIIFGVLETIILCQTYVRNLVGIAIRFIREPSPGGSDLVDNSRRAYTISALVEMLRYLIQAVPDTFVALDCFPLPTCVVSHALNDGGFLSKSSDDAGKIKHNSADAYVLRGKGFDSQYQSLSFDHVVSTIQKRADNLAKGTSAGYPSQSVAKAVQTLDKALLQGDLMEAYKHIFENLCDGAVREGWVAEVSPCLRSSLKWIQTVNLSLICSVFFLCEWATCDFRDFRTAPPRDLKFTGRKDFSQMYLAIQLLKLKIRELQNPEHKNGRASGVNSTAKNISQQNNYSRRNLSGNLFEVKSKVRVVDGRNSNSSDIFDSPGPLHDIIVCWIDQHEGHKGEGGKRLQLFVLELIRSGIFYPQAYVRQLIVSGIIDTNGPVSDFDRRKRHHRILKQLPGQFMCDVLEEARIAVGSELLEAVNVYSNERRLVLHGLLSDQYSNANNAHVSAKKQKYHSTSGRNGASQASGDQRKTVQSSKAFRREVDLEELKASISVLLQFPSLSSASVDSGVDESQGSVKRPIGSTCNKMDLFEVTPGCEDCRRVKRQKLSEEKSSYLQVPSPIPSDDEDTWWVRKGPKNLEPFKVDPPLKSTKQVSRGRQKTVRKTQSLAQLAAARIEGSQGASTSHVCDNKISCPHHRTEVETLKPVDGIRITHSGDIISIGKGLKQLRFVEKRIVTVWLISVVRQLVEESEKSVAKVGQYGRPFVVADEKSPLRWKLGEDELSTILYLMDVSCDLPSAVKFLLWLLPKVISNPSPTIQSGRNILMVPRNVENHACEVGEAYLLSSLRRYENILIAADLIPEALAATMHRAAAVMASNGRITGSGTLVFARYLLKRYGNIASVIEWEKNFKATCDNRLLSELESGQAHDGEFGLPLGVPAGIEDPDDYYRQKLSGARLSRLGLSMRDMVQRHVDDVLHYFLGKERKLFAANAPKGPAIEKGDDGYQVAQQIAIGLLDCIRQTGGAAQEGDPVLVSSAISAIVTNVGPALAKIPDFTGGSNYSNYQPPMNSLNFAKRILRIHLICLSLLKEALGERQSRAFELALGIEASSALAVAFAPAKSSRGQFFLASDAPDTNANISGDNLNGSAKVTLGRTTKMVAAVSALVIGTVIHGVISLDRLVSVLRLREGLDVVQFVRSTKTSSNGNARSVGAFKVDNSVEVCVHWFRLFVGNCRTVCDGLVLELLGEQSVVALSRMQRLLPISLVFPPAYAIFAFVIWKPFILNSNIASREDIHQLYQSLTMAIGDAIKHIPFRDVCMRDSRAFYDILAADTTDAEFAGLPELNGKSMAFVPLRARLFLNAIIDCKMPNSAFTQDDGNRVSGHSEYKALRAESESNLLDKLVRALDTLQPAKFHWQWVELRLLLNEQALIDKTKNQEMSLVDAIRSSSPSSERASPSENEKVLIEIIFTRLLVRPDAAPLFSELVHLFGMSLEDSVLMQAKWFLGGQDVLLGRKTVRQRLINFAEINSRSMKTQFWKPWGWSYSGVDPVTNRGEKKKYEVTSLEEGEVIEEGTESKRYLKGSSQVDVEGSSISLQHVTEKAFSELVLPCIDQSSDDSRNTFASDLIKQFNIIEQQINSVTRGVSKQTGTATSGIEGSTNKGNNRKGIRGSSPGLARRTTATASAESVPPSPAALRASMSLRLQFIVRLLPIICADGEPSTRNMRHMLASVILRLLGSRVVHEDVDLSFNLVQLKRDMELMSSVASSELSGDSLFDRLLLVLHGLLSSSQPSWLGSKPASKHTSEFTGFDREAVESLQNELDSMQLPEMIRWRIQAAMPILFPSFRNLISCHPPSVPIGALSLLQPSIFVPGCYVGNLNAPQRQVPLARNANNILGKSKSMPLLQEYDMEIDPWTLLEDGAGSGPSSNSTVVIGSSDHANLRASNVLM >EOX91255 pep chromosome:Theobroma_cacao_20110822:1:2062664:2065921:-1 gene:TCM_000502 transcript:EOX91255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MAEEEVTAPAASEASVTMTEQTPDLKVGETLGTQGSIEGTIESAVQGGTESTCNNNDNAESLGLASDVDREKTLEFADELAEKGSKAFKENDFAEAADCFSRALEIRVAHHGELAIECLKAYYLYGRALLYKAQEETDPLVSVPKKEGETQQGSNKEESVKSAVNGESSVASVSSDAKQDESSTQHEGATKDGGRDEEEEDEDSDTDDVAEADEDDSDLDLAWKMLDVARAIADKQQLGDTMEKVDILSALAEVALEREDIESSLSDYQKALSILQQLVEPDHRQIAELNFRICMCLEIGSKPQEAIPYCQKAISVCRSRLERLTNEVKSSAGSALSSAASELDDGVQQSSDGSQTVKSITDKEAEITTLAGLAEDLEKKLEDLQQLASNPKSIIAELLGMVSARGRDGEKSAAPTAVSSSRIATANSNGNFDSPTVSTAHSNGTAGVTHLGVIGRGVKRVLMSTGSVESSSAKKPAIEPSSDNGDGSSAS >EOX95099 pep chromosome:Theobroma_cacao_20110822:1:32868032:32872369:1 gene:TCM_004665 transcript:EOX95099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium/proton exchanger isoform 1 MVLVHKQAADDSMLGISSILEDEKCESFLLFYGETLLGNGLRAFLYFLGLAYCFIGLSAITARFFRSMENVVKHTRTVVEIDPVTNTEIYRQEKVWNYTIADITLLAFGTSFPQISLATIDAIRNIGDLYAGGLGPGTLVGSAAFDLFPIHAVCVVVPKAGELKKISDIGVWLVELFWSFWAYAWLYIILEVWTPKVVTLWEALLTVLMYGLLLTHAYAQDKRWPYISLPIERTERPEDWVPAEVASVKHEGDACDGYSEILPVEENEGKDTVDIFSFHSEIGAGSSYQKVSTIEDLVETSTRPFQKEIDLEDPHVLELWKTQFLDALTLESPESRKLNNIHLRLASIVWQSLLAPWRVLFAFVPPYQIAHGWIAFICSLLFISGIAYIVTELTDLISCVTGINAYVIAFTALAAGTSWPDLVASKIAAERQITADSAIANITCSNSVNIYVGIGIPWLIDTAYNFIAYREPLRIQNAGGLSFSLLVFFSTSVGCIMVLVIRRLTLGAELGGPRIWAWVTSVFFMLLWIIFVVLSSLRVSGII >EOX95098 pep chromosome:Theobroma_cacao_20110822:1:32867560:32872282:1 gene:TCM_004665 transcript:EOX95098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium/proton exchanger isoform 1 MVLVHKQAADDSMLGISSILEDEKCESFLLFYGETLLGNGLRAFLYFLGLAYCFIGLSAITARFFRSMENVVKHTRTVVEIDPVTNTEIYRQEKVWNYTIADITLLAFGTSFPQISLATIDAIRNIGDLYAGGLGPGTLVGSAAFDLFPIHAVCVVVPKAGELKKISDIGVWLVELFWSFWAYAWLYIILEVWTPKVVTLWEALLTVLMYGLLLTHAYAQDKRWPYISLPIERTERPEDWVPAEVASVKHEGDACDGYSEILPVEENEGKDTVDIFSFHSEIGAGSSYQKVSTIEDLVETSTRPFQKEIDLEDPHVLELWKTQFLDALTLESPESRKLNNIHLRLASIVWQSLLAPWRVLFAFVPPYQIAHGWIAFICSLLFISGIAYIVTELTDLISCVTGINAYVIAFTALAAGTSWPDLVASKIAAERQITADSAIANITCSNSVNIYVGIGIPWLIDTAYNFIAYREPLRIQNAGGLSFSLLVFFSTSVGCIMVLVIRRLTLGAELGGPRIWAWVTSVFFMLLWIIFVVLSSLRVSGII >EOX93449 pep chromosome:Theobroma_cacao_20110822:1:13155941:13158701:1 gene:TCM_002324 transcript:EOX93449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLPEVKIEERRDSRECMKIPEMDLHHWHKIAAVSGIAALGLGTYGFHMFKPENPIFKEVWQTASLYHLVHTAALLAAPVTKRPHIFGGLLTAGILAFSGSCYTAAYLEDRNYSALAPLGGFAFVAGWASLLF >EOX93448 pep chromosome:Theobroma_cacao_20110822:1:13155893:13158781:1 gene:TCM_002324 transcript:EOX93448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLPEVKIEERRDSRECMKIPEMDLHHWHKIAAVSGIAALGLGTYGFHMFKPENPIFKEVWQTASLYHLVHTAALLAAPVTKRPHIFGGLLTAGILAFSGSFNLACHTEVSDGFEWTGVYVSYSDKCYTAAYLEDRNYSALAPLGGFAFVAGWASLLF >EOX93856 pep chromosome:Theobroma_cacao_20110822:1:16791409:16793075:-1 gene:TCM_002833 transcript:EOX93856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIVDVLKYYHNDLLSCLWWNELVLIPRFQAIIVIFCCYGCCLSRWWNINSFIHNEGIHSIKKFQAVALELALGIRSSKGATFSIVLCWHSCTWILCKMLILTCIWFQILCRLHGQSCDRG >EOX93855 pep chromosome:Theobroma_cacao_20110822:1:16791405:16792660:-1 gene:TCM_002833 transcript:EOX93855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIVDVLKYYHNDLLSCLWWNELVLIPRFQAIIVIFCCYGCCLSRWWNINSFIHNEGIHSIKKFQAVALELALGIRSSKGATFSIVLCWHSCTWILCKMLILTCIWFQILCRLHGQSCDRG >EOX96584 pep chromosome:Theobroma_cacao_20110822:1:38327373:38333657:1 gene:TCM_005809 transcript:EOX96584 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative isoform 2 MAEAVLSALLQVIFEKSTSETFETYALLRGTEKEMRKLQGVLSTIQAVLEDAEDRQAMDKAVKNWLIKLKDVAYDADDLLEEYMTEASRRRLESHDYKKLSRFILNEVRYFFSQSNPILFRYQMRNKLENIAERLDAVADERFKFHLGDRLADSRSQFPQRLQSDSYLLESEVLGREADQEKIVTLLLSSADQRDVSVLPVVGMGGLGKTTLAKLVYNDERVQEHFECRIWVCVSEDFDVKRLMKAIIESMTGNRCDLQETESIHRRVQELIRRLRFLLVLDDVWNDDQEKWDRLKNSVRHGSVGSKILVTTRSEKVALVTGTFAPYHLEGLSDEDCWLLFEHRAFKSGRPEESSSFIAIGKEIAKKCRGVPLAAKSLGSLMYLRRKRSEWLFVKDSEIWRLVEEENGILPVLRLSYDSLPSHLKQCFAYCSLFPKNCRINKDKLILLWIAEGFIQVPPGKSPEEVGNEYFNELLWSSFFQNATTDHDKNIMDCEMHHLLHDLAKAVAGSSCVTVEVSKRLSVPTGTRYLSVFCADNKIPRGSRNACKLRSFLLLSGHWKTAEVSRKLILSLKSLRSLDISNTGIKKISKSIGLMIHLRYLDLSSTLIKRLPNTVCSLFNLQSLILKHCTRLEKLPKDMRKLINLRHLNLSDCRLLNKLPNGIGDLRSLQTLPVFIVGKEASCSIAELQNLDLHGELEIRNLENVSNSRCSKSAKRANLKEKWNLQSLKLWWEHVDEVHVKENVEHVIEGLQPSFELKKLEIKNYVGSKFPGWLMNPCLTNLVELSLIKCQRCVQLPLLQKLPALEVLTINEMEATMYFCNDLQGNAGGNGFVSLKTLSIENMSNLLGWTTNGGQLILPSLKQLVIDGCPNLGSLPELPSVASMKLDDCSMDLLRMVTRITTLSDLIISGFSELVQLPQGLLKSNPSLLSLEIRDCLELRSFSGELQTLGPLQCLTISNCPELESFSELSGLSSLESLWIDRCDSLVSMPGGMTRLNSLRHVSFSDCENLAALPEAIKYLTCLQTLNIFSCPALETLPEWLGNLVALREMELCYCENLLRLPQSMQRLTALQFLLIRGCPCLEMRCKKDTGADWHKIRHIPFIKINGPYIQALSDSKTLQIQMMRLLTATFRSVKHPNPDFIKPSSSLSSGSSPIAKSKTKSWCVYLILSTNAPIKTYVGVTTDFSRRLKQHNGELKGGAKASRAGRPWICACIIRGFNDQSEACEFESKWKRFSRKLPCKRKNSDLTKEVDEDDGSLTLLQHRQTALNRVKGSLDCSHLEIDWQLNTS >EOX96585 pep chromosome:Theobroma_cacao_20110822:1:38327596:38333349:1 gene:TCM_005809 transcript:EOX96585 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative isoform 2 MAEAVLSALLQVIFEKSTSETFETYALLRGTEKEMRKLQGVLSTIQAVLEDAEDRQAMDKAVKNWLIKLKDVAYDADDLLEEYMTEASRRRLESHDYKKLSRFILNEVRYFFSQSNPILFRYQMRNKLENIAERLDAVADERFKFHLGDRLADSRSQFPQRLQSDSYLLESEVLGREADQEKIVTLLLSSADQRDVSVLPVVGMGGLGKTTLAKLVYNDERVQEHFECRIWVCVSEDFDVKRLMKAIIESMTGNRCDLQETESIHRRVQELIRRLRFLLVLDDVWNDDQEKWDRLKNSVRHGSVGSKILVTTRSEKVALVTGTFAPYHLEGLSDEDCWLLFEHRAFKSGRPEESSSFIAIGKEIAKKCRGVPLAAKSLGSLMYLRRKRSEWLFVKDSEIWRLVEEENGILPVLRLSYDSLPSHLKQCFAYCSLFPKNCRINKDKLILLWIAEGFIQVPPGKSPEEVGNEYFNELLWSSFFQNATTDHDKNIMDCEMHHLLHDLAKAVAGSSCVTVEVSKRLSVPTGTRYLSVFCADNKIPRGSRNACKLRSFLLLSGHWKTAEVSRKLILSLKSLRSLDISNTGIKKISKSIGLMIHLRYLDLSSTLIKRLPNTVCSLFNLQSLILKHCTRLEKLPKDMRKLINLRHLNLSDCRLLNKLPNGIGDLRSLQTLPVFIVGKEASCSIAELQNLDLHGELEIRNLENVSNSRCSKSAKRANLKEKWNLQSLKLWWEHVDEVHVKENVEHVIEGLQPSFELKKLEIKNYVGSKFPGWLMNPCLTNLVELSLIKCQRCVQLPLLQKLPALEVLTINEMEATMYFCNDLQGNAGGNGFVSLKTLSIENMSNLLGWTTNGGQLILPSLKQLVIDGCPNLGSLPELPSVASMKLDDCSMDLLRMVTRITTLSDLIISGFSELVQLPQGLLKSNPSLLSLEIRDCLELRSFSGELQTLGPLQCLTISNCPELESFSELSGLSSLESLWIDRCDSLVSMPGGMTRLNSLRHVSFSDCENLAALPEAIKYLTCLQTLNIFSCPALETLPEWLGNLVALREMELCYCENLLRLPQSMQRLTALQFLLIRGCPCLEMRCKKDTGADWHKIRHIPFIKINGPYIQALSV >EOX94276 pep chromosome:Theobroma_cacao_20110822:1:27296914:27300244:-1 gene:TCM_003855 transcript:EOX94276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MALWMERGSEPKTESEIADLEAISALKESAALELKEKGNEFVKKGKKHYQEAIDCYSRAINQKVLNDQDTSVLFSNKAHVNLLLGNYRRAVTEAQDAIKLSPANVKAYYRAAKACLSLNLLSEAKSYCESGIGKDPSNEELKKLAKQIDLKKMVQEQREAQVSKALVQAKDLVSAIEGRSLKIGKAMYRELTGLRKPELDKNGILHWPVLLLYAEVMSSDFIEDFCETEMFSAHLDIMFSESCPPLPWDKENHYTREAIELYYEAGSGVHLSKTKIIHHLLEGTAASNVESVGEEEKDAVDCSTYGDSAGGSSKWVKVNEKGTLHDVLKEPNFIIPMIPVFYVVSKRSCFYKDFRAGKWAPPM >EOX94277 pep chromosome:Theobroma_cacao_20110822:1:27297302:27300231:-1 gene:TCM_003855 transcript:EOX94277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MALWMERGSEPKTESEIADLEAISALKESAALELKEKGNEFVKKGKKHYQEAIDCYSRAINQKVLNDQDTSVLFSNKAHVNLLLGNYRRAVTEAQDAIKLSPANVKAYYRAAKACLSLNLLSEAKSYCESGIGKDPSNEELKKLAKQIDLKKMVQEQREAQVSKALVQAKDLVSAIEGRSLKIGKAMYRELTGLRKPELDKNGILHWPVLLLYAEKVVPLYRGIKKTIIPVKLLNFTMRLVLVCICLRPKLSIIF >EOX94275 pep chromosome:Theobroma_cacao_20110822:1:27296971:27300328:-1 gene:TCM_003855 transcript:EOX94275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MALWMERGSEPKTESEIADLEAISALKESAALELKEKGNEFVKKGKKHYQEAIDCYSRAINQKVLNDQDTSVLFSNKAHVNLLLGNYRRAVTEAQDAIKLSPANVKAYYRAAKACLSLNLLSEAKSYCESGIGKDPSNEELKKLAKQIDLKKMVQEQREAQVSKALVQAKDLVSAIEGRSLKIGKAMYRELTGLRKPELDKNGILHWPVLLLYAEVMSSDFIEDFCETEMFSAHLDIISFLFSLLNIKMLIESCPPLPWDKENHYTREAIELYYEAGSGVHLSKTKIIHHLLEGTAASNVESVGEEEKDAVDCSTYGDSAGGSSKWVKVNEKGTLHDVLKEPNFIIPMIPVFYVVSKRSCFYKDFRAGKWAPPM >EOX94278 pep chromosome:Theobroma_cacao_20110822:1:27297302:27300231:-1 gene:TCM_003855 transcript:EOX94278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MALWMERGSEPKTESEIADLEAISALKESAALELKEKGNEFVKKGKKHYQEAIDCYSRAINQKVLNDQDTSVLFSNKAHVNLLLGNYRRAVTEAQDAIKLSPANVKAYYRAAKACLSLNLLSEAKSYCESGIGKDPSNEELKKLAKQIDLKKMVQEQREAQVSKALVQAKDLVSAIEGRSLKIGKAMYRELTGLRKPELDKNGILHWPVLLLYAEVMSSDFIEDFCETEMFSAHLDIMLVLVCICLRPKLSIIF >EOX95911 pep chromosome:Theobroma_cacao_20110822:1:35991399:35996441:-1 gene:TCM_005299 transcript:EOX95911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MKMPCRLPPPSTVIFARSDPMPSTCSTPSISTVHFKRQLSSSPTLPQKTNQLRATNPNRSLPLSTLRDSTLHSESTRFSSHAVKFSYKMGLLEEGKQLHLHIIKLGLNNVLSLQNQMLNLYVKSKHFSDAEKLFDEMRVRNLVTWNTMICKCSLNLGFSYFKKMLINNVGFDHITLSGLLRASIELNDIVFGRGLHCFIVKSGILFDCFVGSALVDLYGKCGLVEEARRVFDQVLYRDLVLWNVMVSCYASNALTEEAFEVFDMMKKEGVKGDGYTFCSLLNSCGSWGLYEFGSQVHGLIVKLCFNLDVPVASALVDMYVKSGNFDDAQKAFDGMTARNVVSWNTMIVGYGKHGDVEKAMELLREMKLQNFSPDELTLSSILSSCGIVSTSSEMGPVHAYVVKNGFESFLSVANALINAYSKCGSIDAAFQCFVSVAEPDLVTWTSIIGAYAFHGLSKQSIKVFEKMLATSVRPDQIAFIGILSACSHGGLVSEGLDYFNIMMNDYQIIPDSEHYTCLVDLLGRAGLLDEAFNVLTSNPIACTPDTLGAFIGACNIHGNIKLAKWAAEKLVVLEPKKPVNYTLLSNMYAYKGRWLDAASVRNMMMDHCDYKIPGCSWMEIAGGVNVFGSSDKSHPKTLDIYTILGTLLCLMKQECSILTANDTCFSNMDEHAL >EOX93408 pep chromosome:Theobroma_cacao_20110822:1:12734621:12740788:-1 gene:TCM_002266 transcript:EOX93408 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase isoform 1 MARPCWRKIKTTCRFQLSRHLCSSTSLPSRPLSSPRRVVVTGLGMVTPLGCGVETTWKHLIEGKCGIRALTPDDLKMNAFDRETQMLTFDQLTSRVAAIVPCGTNPGEFNEDLWLNSKEHRSIARFISYALCAADEALKDAKWVPTEQEQKERTGVSIGGGTGSIRDILDAAQMICEKRLRRLSPFFIPRILINMASGHVSMKYGFQGPNHAAVTACATGAHSIGDAMRMVQFGDADVMVAGGTESSIDALSIAGFCRARALTTNYNSSPVEASRPFDCGRDGFVIGEGSGVLVLEELEHAKRRGAKIYAEICGYGMSGDAYHITQPHTDGRGAILAMTRALKQSGLHPNQVDYVNAHATSTPLGDAIEANAIKSTFADHAASGALGAVGHLLGAAGAVEAIFTVLAIHHGTAPLTLNVTKPDPIFNNAFTPLTASKEMPLRAALSNSFGFGGTNACLLFASPS >EOX93407 pep chromosome:Theobroma_cacao_20110822:1:12735123:12740479:-1 gene:TCM_002266 transcript:EOX93407 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase isoform 1 MARPCWRKIKTTCRFQLSRHLCSSTSLPSRPLSSPRRVVVTGLGMVTPLGCGVETTWKHLIEGKCGIRALTPDDLKMNAFDRETQMLTFDQLTSRVAAIVPCGTNPGEFNEDLWLNSKEHRSIARFISYALCAADEALKDAKWVPTEQEQKERTGVSIGGGTGSIRDILDAAQMICEKRLRRLSPFFIPRILINMASGHVSMKYGFQGPNHAAVTACATGAHSIGDAMRMVQFGDADVMVAGGTESSIDALSIAGFCRARALTTNYNSSPVEASRPFDCGRDGFVIGEGSGVLVLEELEHAKRRGAKIYAEICGYGMSGDAYHITQPHTDGRGAILAMTRALKQHYNILKGVAASQGMLGSNANVVASDITLLESYVLENSGLHPNQVDYVNAHATSTPLGDAIEANAIKSTFADHAASGALAFSSTKGAVGHLLGAAGAVEAIFTVLAIHHGTAPLTLNVTKPDPIFNNAFTPLTASKEMPLRAALSNSFGFGGTNACLLFASPS >EOX93133 pep chromosome:Theobroma_cacao_20110822:1:10798397:10803249:1 gene:TCM_001986 transcript:EOX93133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase II 3 isoform 2 MLRINTLILWLVKSSPLNGWNLSPQTLTKTTLSFPKPGIKFQTHVFSSASKTCSYTTLCDSSMTRRGLLFRQLFEKESSTYTYLLADVSHPRKPAVLIDPVDKTVERDLHLVKELGLKLIYAMNTHVHADHVTGTGLLKTKVPGMKSIISKASNSKADILVEAGDKIYFGDLFLEVRATPGHTLGCVTYVTGSDQPQPRMAFTGDALLIRGCGRTDFQGGSSRQLYESVHSQIFTLPEDTLVYPAHDYKGFTVSTVGEEKLYNPRLTRDEAAFFFVQLSLRHVTVYVLQI >EOX93134 pep chromosome:Theobroma_cacao_20110822:1:10798568:10803436:1 gene:TCM_001986 transcript:EOX93134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase II 3 isoform 2 MLRINTLILWLVKSSPLNGWNLSPQTLTKTTLSFPKPGIKFQTHVFSSASKTCSYTTLCDSSMTRRGLLFRQLFEKESSTYTYLLADVSHPRKPAVLIDPVDKTVERDLHLVKELGLKLIYAMNTHVHADHVTGTGLLKTKVPGMKSIISKASNSKADILVEAGDKIYFGDLFLEVRATPGHTLGCVTYVTGSDQPQPRMAFTGDALLIRGCGRTDFQGGSSRQLYESVHSQIFTLPEDTLVYPAHDYKVSTVGEEKLYNPRLTRDEETFEKIMENLNLPYPKMIDVAVPANMVCGLQDTAEPVQAASSN >EOX93137 pep chromosome:Theobroma_cacao_20110822:1:10798695:10802715:1 gene:TCM_001986 transcript:EOX93137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase II 3 isoform 2 MLRINTLILWLVKSSPLNGWNLSPQTLTKTTLSFPKPGIKFQTHVFSSASKTCSYTTLCDSSMTRRGLLFRQLFEKESSTYTYLLADVSHPRKPAVLIDPVDKTVERDLHLVKELGLKLIYAMNTHVHADHVTGTGLLKVRATPGHTLGCVTYVTGSDQPQPRMAFTGDALLIRGCGRTDFQGGSSRQLYESVHSQIFTLPEDTLVYPAHDYKGFTVSTVGEEKLYNPRLTRDEAAFF >EOX93136 pep chromosome:Theobroma_cacao_20110822:1:10798568:10803436:1 gene:TCM_001986 transcript:EOX93136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase II 3 isoform 2 MLRINTLILWLVKSSPLNGWNLSPQTLTKTTLSFPKPGIKFQTHVFSSASKTCSYTTLCDSSMTRRGLLFRQLFEKESSTYTYLLADVSHPRKPAVLIDPVDKTVERDLHLVKELGLKLIYAMNTHVHADHVTGTGLLKTKVPGMKSIISKASNSKADILVEAGDKIYFGDLFLEVRATPGHTLGCVTYVTGSDQPQPRMAFTGDALLIRGCGRTDFQGGSSRQLYESVHSQIFTLPEDTLVYPAHDYKVSTVGEEKLYNPRLTRDEETFEKIMENLNLPYPKMIDVAVPANMVCGLQDTAEPVQAASSN >EOX93135 pep chromosome:Theobroma_cacao_20110822:1:10798397:10803249:1 gene:TCM_001986 transcript:EOX93135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase II 3 isoform 2 MLRINTLILWLVKSSPLNGWNLSPQTLTKTTLSFPKPGIKFQTHVFSSASKTCSYTTLCDSSMTRRGLLFRQLFEKESSTYTYLLADVSHPRKPAVLIDPVDKTVERDLHLVKELGLKLIYAMNTHVHADHVTGTGLLKVRATPGHTLGCVTYVTGSDQPQPRMAFTGDALLIRGCGRTDFQGGSSRQLYESVHSQIFTLPEDTLVYPAHDYKGFTVSTVGEEKLYNPRLTRDEETFEKIMENLNLPYPKMIDVAVPANMVCGLQDTAEPVQAASSN >EOX93132 pep chromosome:Theobroma_cacao_20110822:1:10798397:10811370:1 gene:TCM_001986 transcript:EOX93132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase II 3 isoform 2 MLRINTLILWLVKSSPLNGWNLSPQTLTKTTLSFPKPGIKFQTHVFSSASKTCSYTTLCDSSMTRRGLLFRQLFEKESSTYTYLLADVSHPRKPAVLIDPVDKTVERDLHLVKELGLKLIYAMNTHVHADHVTGTGLLKTKVPGMKSIISKASNSKADILVEAGDKIYFGDLFLEVRATPGHTLGCVTYVTGSDQPQPRMAFTGDALLIRGCGRTDFQGGSSRQLYESVHSQIFTLPEDTLVYPAHDYKGFTVSTVGEEKLYNPRLTRDEETFEKIMENLNLPYPKMIDVAVPANMVCGLQDTAEPVQAASSN >EOX96157 pep chromosome:Theobroma_cacao_20110822:1:36791152:36794761:1 gene:TCM_005471 transcript:EOX96157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 18 isoform 1 MINPIRRVHSNSTGLMASDTKLNLTVIALLITTVAAATGYTNHTVGGDAGWFFDSNTNKSAVDYSSWAANRTFNLGDYLVFRTSTNQTVIQTYNETTYQSCTMDDASDTDTFQYNGGNTDFDQLLTITVPLTIEGTNYYFSDADDGVQCQRGMAFEILVNHGIGLPPSLNQPPPPPYVEPPGVDSAQSPPVTISGVSPSLNNGAVGVGAGIMLCSLLFAVAGMLMF >EOX96158 pep chromosome:Theobroma_cacao_20110822:1:36793533:36794707:1 gene:TCM_005471 transcript:EOX96158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 18 isoform 1 MINPIRRVHSNSTGLMASDTKLNLTVIALLITTVAAATGYTNHTVGGDAGWFFDSNTNKSAVDYSSWAANRTFNLVFRTSTNQTVIQTYNETTYQSCTMDDASDTDTFQYNGGNTDFDQLLTITVPLTIEGTNYYFSDADDGVQCQRGMAFEILVNHGIGLPPSLNQPPPPPYVEPPGVDSAQSPPVTISGVSPSLNNGAVGVGAGIMLCSLLFAVAGMLMF >EOX90731 pep chromosome:Theobroma_cacao_20110822:1:472321:479089:-1 gene:TCM_000116 transcript:EOX90731 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like protein tyrosine kinase family protein MAIEEDTESCGSIAVDSLAHANPRHQRQKLDVYNEVLKRIEESKYEEANLPGFDNRLWLHFNRLPARYALDVNVERAEDVLTHKRLLHLAEDPANRPAFEVRIVQVYPVSTAHSVDSVHSDSSMKEDAQSTYHSNRQGVHPPPTFGSSPNLEAIALQASRYHVEDGDSAVNSTSHLFRPMHEITFSTVDRPKLLSQLTSFLAEIGLNIQEAHAFSTVDGYSLDVFVVDGWPFEETEELRNALEKEILKSKEKCYSRKSSISAMTEHIKEGVISLPDCVEIPTDGTDVWEIDTRQLKFENKIASGSYGDLYRGTYCSQEVAIKVLKPECVTEEMLREFSQEVYIMRKIRHKNVVQFIGACTRAPNLCIVTEFMASGSIYDYLHKKRGVFKLPSLLKVAIDVSKGMNYLHQNNIIHRDLKTANLLMDENQVVKVADFGVARVQAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFAIALWELLTGELPYAFLTPLQAAVGVVQKGLRPTIPKNTHPRLGELLERCWQQDPTQRPTFSEIIDILQQIAKEVADEGGDRRKSKSSGGFFSSMIRGHH >EOX93559 pep chromosome:Theobroma_cacao_20110822:1:13968673:13974078:-1 gene:TCM_002449 transcript:EOX93559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLLCLFLDYELCVDISIKGEIVSNQFCKMCVVRIENKDLFADLHILYIGVYTPLRVVFALQARRLVQSGCKRYLNVVIETRMKELMIEEIPISKALYSMALMESKEATQRDLAVRLYMQVHVLLPMLNGKSSCNAQSRNLILHLAKRIISSPKACPILVNGAVRKGKRLVPPSTLKLLMRIAFPAPLARVKVIERFKAIYLTLKEVTFVDSPKSKYLIFNSIAGVCDVFIWCLTQNPKCYKQWDAVMMEVIVLFSWKRSISLRVLYRLMMQGVMMLV >EOX90969 pep chromosome:Theobroma_cacao_20110822:1:1204277:1207714:-1 gene:TCM_000293 transcript:EOX90969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1 isoform 2 MSQSQWQWENATAGAVAGFATVAAMYPLDIVRTRFQVNDGRATNYPTYKNTAHAIFTITRLEGLKGLYSGFFPAVLGSTVSWGLYFFFYGRAKQRYSKNREEKLSPALHLASAAEAGALVSLCTNPIWLIKTRMQLQTPLHQSRPYSGIYDALRTILREEGWTALFKGLGPGLLMVSHGAIQFTTYEELRRIMVDYKERKRKSESDSNLLNSFDYAVLGGSSKIAAILLTYPFQVIRTRSQQQRPSNEGIPRYMSSWHVVKETARFEGLRGFYKGITPNLLKNVPASSITFIVFENVLKLLRRMGRND >EOX90967 pep chromosome:Theobroma_cacao_20110822:1:1203999:1207873:-1 gene:TCM_000293 transcript:EOX90967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1 isoform 2 MSQSQWQWENATAGAVAGFATVAAMYPLDIVRTRFQVNDGRATNYPTYKNTAHAIFTITRLEGLKGLYSGFFPAVLGSTVSWGLYFFFYGRAKQRYSKNREEKLSPALHLASAAEAGALVSLCTNPIWLIKTRMQLQTPLHQSRPYSGIYDALRTILREEGWTALFKGLGPGLLMVSHGAIQFTTYEELRRIMVDYKERKRKSESDSNLLNSFDYAVLGGSSKIAAILLTYPFQVIRTRSQQRPSNEGIPRYMSSWHVVKETARFEGLRGFYKGITPNLLKNVPASSITFIVFENVLKLLRRMGRND >EOX90968 pep chromosome:Theobroma_cacao_20110822:1:1204277:1207714:-1 gene:TCM_000293 transcript:EOX90968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1 isoform 2 MSQSQWQWENATAGAVAGFATVAAMYPLDIVRTRFQVNDGRATNYPTYKNTAHAIFTITRLEGLKGLYSGFFPAVLGSTVSWGLYFFFYGRAKQRYSKNREEKLSPALHLASAAEAGALVSLCTNPIWLIKTRMQLQTPLHQSRPYSGIYDALRTILREEGWTALFKGLGPGLLMQVSHGAIQFTTYEELRRIMVDYKERKRKSESDSNLLNSFDYAVLGGSSKIAAILLTYPFQVIRTRSQQRPSNEGIPRYMSSWHVVKETARFEGLRGFYKGITPNLLKNVPASSITFIVFENVLKLLRRMGRND >EOX96082 pep chromosome:Theobroma_cacao_20110822:1:36560536:36565270:1 gene:TCM_005421 transcript:EOX96082 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MQGGVDFLNCLDGDLSIKILMSLEDPADLVRLGVVSRSWRHFVITNGLCKNLCRRMFPQLSRLDCVNELIGTVKRHAEAGPSNFMEWEALEREHRVFAFLARGCLSFGLRDCISEAIIASSTDNYPEERIDNTLEPRDRVARRASYWSSKGQSNPEVPETLTYKLAADLCVITDINIRPFQAYFQFGYPIYSAKSVRFRTGHIKSSVDNLVDDSCQGSSDNKYAWTYTSQDFPMSQENRLQNFRLPEPVLCIGGILQVELLGRVQRQDMDGLFYICVSHVQVLGRPLSLGFNVQILEPSEKFVLEVLSYAEPTVPEQPSSVANLHAQIVNLLGGNVDVEYGYGWDMDDEDSDEDEEVDEE >EOX96083 pep chromosome:Theobroma_cacao_20110822:1:36561693:36565416:1 gene:TCM_005421 transcript:EOX96083 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MQGGVDFLNCLDGDLSIKILMSLEDPADLVRLGVVSRSWRHFVITNGLCKNLCRRMFPQLSRLDCVNELIGTVKRHAEAGPSNFMEWEALEREHRVFAFLARGCLSFGLRDCISEAIIASSTDNYPEERIDNTLEPRDRVARRASYWSSKGQSNPEVPETLTYKLAADLCVITDINIRPFQAKSVRFRTGHIKSSVDNLVDDSCQGSSDNKYAWTYTSQDFPMSQENRLQNFRLPEPVLCIGGILQVELLGRVQRQDMDGLFYICVSHVQVLGRPLSLGFNVQILEPSEKFVLEVLSYAEPTVPEQPSSVANLHAQIVNLLGGNVDVEYGYGWDMDDEDSDEDEEVDEE >EOX94005 pep chromosome:Theobroma_cacao_20110822:1:18388360:18391830:1 gene:TCM_003036 transcript:EOX94005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSKLLIVDKVREYLFCKGFSSAFIIWNSHSESFNVGQSSRNFVVDNVEKVMYEAKVENSYIEMVMDILHLEFQFNVENENE >EOX95735 pep chromosome:Theobroma_cacao_20110822:1:35404690:35422553:-1 gene:TCM_005168 transcript:EOX95735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MACTSMTTPTSQAASAAKDGCKEEINGLRRSHSGINLHKSVGIQRSYSDNHLCYSINRIRAASTKPTLKYSRSVGIFPTLPFQISSSIIPNTFRSFLFDLETSKDLSLVDKDVNIDKNSMENNEEENKINRANWVNRLLEIRRRWNYKQLEEGVDGVGIYDEHESGDGDGDEGGCEVNYDSDEEGGGGGEVKYDRESFSKLLARVPWSDTKLFSQLAFLCNIAYVIPEIREKDLRKHYGLRLVTSSLEKKAEAATIKAKLDQDSTRVPVAASENTESKSVKAEGSEQKRPIRLSVVYEIAASAACYVQSRAKGLLSPGSKSQEDAGGTDSCRCGDQPEMEGENSPRIYNSEVAAYVAASAMTAVVRAGEREKQETAKDLQSLDSSPCEWFVCDDLSTYTRSFVIQGSDSLASWQANLFFEPTNFEGTDVLVHRGIYEAAKGIYEQLMPEIMDHLKRHGDRAKLQFTGHSLGGSLSLLVNLMLLTRKVVKPSALRPVVTFGSPFVFCGGQKILDELGLDENHVHCVMMHRDIVPRAFSCKYPNHVAVVLKRLPGSLRSHPCLLKNKLLYTPLGKVFILQPNEKLSPPHPLIPPGSALYALDNTHCEYSTKALRAFLNCPHPLDTLSDLTAYGSEGTILRDHDSSNYLKAVNGVLRQDKRVDVRRARIQRSLLWPLLVSPSPHSWSHDRTLESSMLSNKEIMTGV >EOX95530 pep chromosome:Theobroma_cacao_20110822:1:34566569:34571845:1 gene:TCM_005006 transcript:EOX95530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding endonuclease/exonuclease/phosphatase family MNQGIVHPPSNSVHNPRPRPKSNLIKTTLFKTFIFCFIPFIISAYLLAHLNNNQSGKNSEMVILKFHNDPDIANVDSSENESVPSKGTILRTKTGYVSSTMGEPCVSCTTFNILAPIYKRLDQQNQSVRESDFKAFWLARNERIVDWLLYERSSIICLQEFWVGNEELVHMYEERLGGAGYDTFKLARTNNRGDGLLTAIHKEYFRVLNHRELLFNDFGDRVAQLLHVQSVVPFSQNWNDSVEQEILIVNTHLLFPHDSSLSIVRLHQVYQILQYLETYQEENKLSQMPVILCGDWNGSKRGHVYKFLRSQGFVSSYDIAHEYTDSDADAHKWVSHRNHRGNICGVDFIWLHNPNKSQKPLKISWAEAAFGIIKYQLQKASLAENDAFSFLRADNNGNYITYSAFCKALRQVNLTGLSHGLSFQEIKDLWVQADIDGNGVLDYEEFKNQTVSNWLALMQRIWNSTWSEHMDEDCTLEDSDNSIEDEAIGLAVKNAVLFPREVEKGIWPENYSLSDHARLTAVFSPVRLQCSRQSL >EOX93921 pep chromosome:Theobroma_cacao_20110822:1:17468229:17483603:1 gene:TCM_002924 transcript:EOX93921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase/SANT-associated, putative isoform 2 MHGCNSGSALLVNAEVDSMGGVVDGGVGIGVKTSPRRAAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFVTSEAKGSFALTASPHGDSVESSGRPGVRAVCEPNSADNLLLFDGESELPEGERKSMHPRKRNTVAPSEQSSQMDGTQNAKESEDSAIFRPYARRNRSKINRDGARSSSTDMVQGRGGHGSSLPARGASKDVKVLTSEINNQKDKNIPSVNTAKSATSNGDLASKVITSDNQLNMELDGGQAVEDTTEQSKADLSETKVDATASKSVTDDLPNEPAPVEAHESPVNLAFEEPDLVRGKEQVVSTGLECPPGTGMTKAENDIGSNQLNGFGDAKRDRKNIPTEGQNSSIAIGSKGLDSESSCTQNSLSLDVNNDNDMCINPKNVDSNGKPMEQTSEIEESQNLAVAELAKEKNEIKAVDNAAVVCDTNTSQNHSVNDSIVKMEEEIRSELQNEVSCPSNNEAQQSSHAVSEADRKVSTLLGDDTNSNKEIFSTSRPQGTMDNSTCEIPETTLSGRTSTTTADPQTSSDNHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGTLPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICHRVAFTSQLKFEEQNRYWKLKRVALTLANAVMEFWHSAEVLLNSKDSSLGPKKCDHDLVRSRVIEANEVSENKTAELDMDTNKEQQAPGKNNELAIRAYALRFLKYSSSHVPSLQAEAPATPDRISDLGIMDISWDEHLTEESLFYAVPSGAMETYRRSIESYLVQTEKTGSSVQEEVETSVYDAGAEFGYQDFVYDEDEGETSTYYLPGAFEGSKSSKLNQKKRKNPMKSYPARPYEMGADLPYGNCAQQSMLIGKRPASSLNVGPIPTKRVRTGSRQRVLSPFSSAAAAGGLQAPAKTDASSGDTNSFQDDQSTLHGGFQIQKSMEVESIADFERQLPYDCAETPTKPKKKKKTKIPGSAYDQGWQLECTVQNEQRDYSRKRQESHHFDSNGATGLYGQHSAKKPKIMKQQPDNSFDITPSGSIPSPVGSQMSNMSNPSKIIRLIHGRDRGRKAKTPKMSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSTIQFKCIFRKPKECKERHKVLMDRSGDGADSADDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIILIGKKQHFRRSQHDNQDPKQIVPVHNSHVIALSQVCPNNRNGGVLTPLDLCDATSSSQDVLSLGYQAPHASGLAISNQGAVGSMLPASGANSSLQGSSGMVLGSNLPSPSAPLNASVRDGRYGVPRTSLPADEQHRMQYNQMLSGRNVQQSTLSVPGAISGSDRGVRMIPGGNGMGMMCGINRSMPMSRPGFQGIASSAMLNSGSMLSSNMVGMPTPVNMHSGPGSGQGNSILRPRDTVHMMRPGHNPEHQRQLMVPELQMQAQGNSQGISAFNGLSSAYPNQSTAPPVQSYPGHPQQQQQQQQHPMSPQQSHGLSNSHAHLQGSNHATGSQQQAYAMRLAKERQMQQHQQRLMQQHQQQPQQQQQQQFAASSALMPQVQPQTQLPISSLQNSSQIQSQPSTQPVSLPPLTPSSPMTPMSLQHQQKHHLASHGLGRNPQPGASGLTNQIGKQRQRQSQQQQQQFQQSGRHHPQQRQQTQSQQQAKLLKGMGRGNVLMHQNLSVDPAHLNGLTMAPGNQAAEKGEQMMHLMQGQGLYSGSGISPVQPSKPLVSSQPLNHSQPQQKLFSGATPPSTKQLQQMASHSDSGTQGQVSTVPSGHTLSAVHQSVLPAAMGLNHQHLQLQSQPHQKQVNQNQPTIQRILQQNRQVNSDPSGKSQAEPAQVDQQPMNNASQMGTTTTMAMTQAGIDSANNTVQVASQWKSSEPVYDPGRPNVATQVGSRGSPPLTNSAGSDPVPSVSQGLGQRQLSGGLPAHGNNAGAQWTQQPQIQQSSTPSPSQQHQDQLQQDQHNSPPQQLPLQQQSLQQTPHLQAVQGSLVGFACPCNSSVQMKLITPLGHCNVERGGRYLQSEKRPTFDGDSRKLYSMSM >EOX93922 pep chromosome:Theobroma_cacao_20110822:1:17468380:17483416:1 gene:TCM_002924 transcript:EOX93922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase/SANT-associated, putative isoform 2 MHGCNSGSALLVNAEVDSMGGVVDGGVGIGVKTSPRRAAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFVTSEAKGSFALTASPHGDSVESSGRPGVRAVCEPNSADNLLLFDGESELPEGERKSMHPRKRNTVAPSEQSSQMDGTQNAKESEDSAIFRPYARRNRSKINRDGARSSSTDMVQGRGGHGSSLPARGASKDVKVLTSEINNQKDKNIPSVNTAKSATSNGDLASKVITSDNQLNMELDGGQAVEDTTEQSKADLSETKVDATASKSVTDDLPNEPAPVEAHESPVNLAFEEPDLVRGKEQVVSTGLECPPGTGMTKAENDIGSNQLNGFGDAKRDRKNIPTEGQNSSIAIGSKGLDSESSCTQNSLSLDVNNDNDMCINPKNVDSNGKPMEQTSEIEESQNLAVAELAKEKNEIKAVDNAAVVCDTNTSQNHSVNDSIVKMEEEIRSELQNEVSCPSNNEAQQSSHAVSEADRKVSTLLGDDTNSNKEIFSTSRPQGTMDNSTCEIPETTLSGRTSTTTADPQTSSDNHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGTLPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICHRVAFTSQLKFEEQNRYWKLKRVALTLANAVMEFWHSAEVLLNSKDSSLGPKKCDHDLVRSRVIEANEVSENKTAELDMDTNKEQQAPGKNNELAIRAYALRFLKYSSSHVPSLQAEAPATPDRISDLGIMDISWDEHLTEESLFYAVPSGAMETYRRSIESYLVQTEKTGSSVQEEVETSVYDAGAEFGYQDFVYDEDEGETSTYYLPGAFEGSKSSKLNQKKRKNPMKSYPARPYEMGADLPYGNCAQQSMLIGKRPASSLNVGPIPTKRVRTGSRQRVLSPFSSAAAAGGLQAPAKTDASSGDTNSFQDDQSTLHGGFQIQKSMEVESIADFERQLPYDCAETPTKPKKKKKTKIPGSAYDQGWQLECTVQNEQRDYSRKRQESHHFDSNGATGLYGQHSAKKPKIMKQQPDNSFDITPSGSIPSPVGSQMSNMSNPSKIIRLIHGRDRGRKAKTPKMSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSTIQFKCIFRKPKECKERHKVLMDRSGDGADSADDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIILIGKKQHFRRSQHDNQDPKQIVPVHNSHVIALSQVCPNNRNGGVLTPLDLCDATSSSQDVLSLGYQAPHASGLAISNQGAVGSMLPASGANSSLQGSSGMVLGSNLPSPSAPLNASVRDGRYGVPRTSLPADEQHRMQYNQMLSGRNVQQSTLSVPGAISGSDRGVRMIPGGNGMGMMCGINRSMPMSRPGFQGIASSAMLNSGSMLSSNMVGMPTPVNMHSGPGSGQGNSILRPRDTVHMMRPGHNPEHQRQLMVPELQMQAQGNSQGISAFNGLSSAYPNQSTAPPVQSYPGHPQQQQQQQQHPMSPQQSHGLSNSHAHLQGSNHATGSQQQAYAMRLAKERQMQQHQQRLMQQHQQQPQQQQQQQFAASSALMPQVQPQTQLPISSLQNSSQIQSQPSTQPVSLPPLTPSSPMTPMSLQHQQKHHLASHGLGRNPQPGASGLTNQIGKQRQRQSQQQQQQFQQSGRHHPQQRQQTQSQQQAKLLKGMGRGNVLMHQNLSVDPAHLNGLTMAPGNQAAEKGEQMMHLMQGQGLYSGSGISPVQPSKPLVSSQPLNHSQPQQKLFSGATPPSTKQLQQMASHSDSGTQGQVSTVPSGHTLSAVHQSVLPAAMGLNHQHLQLQSQPHQKQVNQNQPTIQRILQQNRQVNSDPSGKSQAEPAQVDQQPMNNASQMGTTTTMAMTQAGIDSANNTVQVASQWKSSEPVYDPGRPNVATQVGSRGSPPLTNSAGSDPVPSVSQGLGQRQLSGGLPAHGNNAGAQWTQQPQIQQSSTPSPSQQHQDQLQQDQHNSPPQQLPLQQQSLQQTPHLQAVQGSLYHRPSNSKLE >EOX93923 pep chromosome:Theobroma_cacao_20110822:1:17470897:17481359:1 gene:TCM_002924 transcript:EOX93923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase/SANT-associated, putative isoform 2 MHPRKRNTVAPSEQSSQMDGTQNAKESEDSAIFRPYARRNRSKINRDGARSSSTDMVQGRGGHGSSLPARGASKDVKVLTSEINNQKDKNIPSVNTAKSATSNGDLASKVITSDNQLNMELDGGQAVEDTTEQSKADLSETKVDATASKSVTDDLPNEPAPVEAHESPVNLAFEEPDLVRGKEQVVSTGLECPPGTGMTKAENDIGSNQLNGFGDAKRDRKNIPTEGQNSSIAIGSKGLDSESSCTQNSLSLDVNNDNDMCINPKNVDSNGKPMEQTSEIEESQNLAVAELAKEKNEIKAVDNAAVVCDTNTSQNHSVNDSIVKMEEEIRSELQNEVSCPSNNEAQQSSHAVSEADRKVSTLLGDDTNSNKEIFSTSRPQGTMDNSTCEIPETTLSGRTSTTTADPQTSSDNHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGTLPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICHRVAFTSQLKFEEQNRYWKLKRVALTLANAVMEFWHSAEVLLNSKDSSLGPKKCDHDLVRSRVIEANEVSENKTAELDMDTNKEQQAPGKNNELAIRAYALRFLKYSSSHVPSLQAEAPATPDRISDLGIMDISWDEHLTEESLFYAVPSGAMETYRRSIESYLVQTEKTGSSVQEEVETSVYDAGAEFGYQDFVYDEDEGETSTYYLPGAFEGSKSSKLNQKKRKNPMKSYPARPYEMGADLPYGNCAQQSMLIGKRPASSLNVGPIPTKRVRTGSRQRVLSPFSSAAAAGGLQAPAKTDASSGDTNSFQDDQSTLHGGFQIQKSMEVESIADFERQLPYDCAETPTKPKKKKKTKIPGSAYDQGWQLECTVQNEQQRDYSRKRQESHHFDSNGATGLYGQHSAKKPKIMKQQPDNSFDITPSGSIPSPVGSQMSNMSNPSKIIRLIHGRDRGRKAKTPKMSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSTIQFKCIFRKPKECKERHKVLMDRSGDGADSADDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIILIGKKQHFRRSQHDNQDPKQIVPVHNSHVIALSQVCPNNRNGGVLTPLDLCDATSSSQDVLSLGYQAPHASGLAISNQGAVGSMLPASGANSSLQGSSGMVLGSNLPSPSAPLNASVRDGRYGVPRTSLPADEQHRMQYNQMLSGRNVQQSTLSVPGAISGSDRGVRMIPGGNGMGMMCGINRSMPMSRPGFQGIASSAMLNSGSMLSSNMVGMPTPVNMHSGPGSGQGNSILRPRDTVHMMRPGHNPEHQRQLMVPELQMQAQGNSQGISAFNGLSSAYPNQSTAPPVQSYPGHPQQQQQQQQHPMSPQQSHGLSNSHAHLQGSNHATGSQQQAYAMRLAKERQMQQHQQRLMQQHQQQPQQQQQQQFAASSALMPQVQPQTQLPISSLQNSSQIQSQPSTQPVSLPPLTPSSPMTPMSLQHQQKHHLASHGLGRNPQPGASGLTNQIGKQRQRQSQQQQQQFQQSGRHHPQQRQQTQSQQQAKLLKGMGRGNVLMHQNLSVDPAHLNGLTMAPGNQAAEKGEQMMHLMQGQGLYSGSGISPVQPSKPLVSSQPLNHSQPQQKLFSGATPPSTKQLQQMASHSDSGTQGQVSTVPSGHTLSAVHQSVLPAAMGLNHQHLQLQSQPHQKQVNQNQPTIQRILQQNRQVNSDPSGKSQAEPAQVDQQPMNNASQMGTTTTMAMTQAGIDSANNTVQVASQWKSSEPVYDPGRPNVATQVGSRGSPPLTNSAGSDPVPSVSQGLGQRQLSGGLPAHGNNAGAQWTQQPQIQQSSTPSPSQQHQDQLQQDQHNSPPQQLPLQQQSLQQTPHLQAVQGSLYHRPSNSKLE >EOX93924 pep chromosome:Theobroma_cacao_20110822:1:17470188:17481359:1 gene:TCM_002924 transcript:EOX93924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase/SANT-associated, putative isoform 2 MLRLIPWEGLLMAESGLVSKPLRAEQQLRRLKQSLGNLFLFYFLLVCNRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFVTSEAKGSFALTASPHGDSVESSGRPGVRAVCEPNSADNLLLFDGESELPEGERKSMHPRKRNTVAPSEQSSQMDGTQNAKESEDSAIFRPYARRNRSKINRDGARSSSTDMVQGRGGHGSSLPARGASKDVKVLTSEINNQKDKNIPSVNTAKSATSNGDLASKVITSDNQLNMELDGGQAVEDTTEQSKADLSETKVDATASKSVTDDLPNEPAPVEAHESPVNLAFEEPDLVRGKEQVVSTGLECPPGTGMTKAENDIGSNQLNGFGDAKRDRKNIPTEGQNSSIAIGSKGLDSESSCTQNSLSLDVNNDNDMCINPKNVDSNGKPMEQTSEIEESQNLAVAELAKEKNEIKAVDNAAVVCDTNTSQNHSVNDSIVKMEEEIRSELQNEVSCPSNNEAQQSSHAVSEADRKVSTLLGDDTNSNKEIFSTSRPQGTMDNSTCEIPETTLSGRTSTTTADPQTSSDNHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGTLPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICHRVAFTSQLKFEEQNRYWKLKRVALTLANAVMEFWHSAEVLLNSKDSSLGPKKCDHDLVRSRVIEANEVSENKTAELDMDTNKEQQAPGKNNELAIRAYALRFLKYSSSHVPSLQAEAPATPDRISDLGIMDISWDEHLTEESLFYAVPSGAMETYRRSIESYLVQTEKTGSSVQEEVETSVYDAGAEFGYQDFVYDEDEGETSTYYLPGAFEGSKSSKLNQKKRKNPMKSYPARPYEMGADLPYGNCAQQSMLIGKRPASSLNVGPIPTKRVRTGSRQRVLSPFSSAAAAGGLQAPAKTDASSGDTNSFQDDQSTLHGGFQIQKSMEVESIADFERQLPYDCAETPTKPKKKKKTKIPGSAYDQGWQLECTVQNEQRDYSRKRQESHHFDSNGATGLYGQHSAKKPKIMKQQPDNSFDITPSGSIPSPVGSQMSNMSNPSKIIRLIHGRDRGRKAKTPKMSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSTIQFKCIFRKPKECKERHKVLMDRSGDGADSADDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIILIGKKQHFRRSQHDNQDPKQIVPVHNSHVIALSQVCPNNRNGGVLTPLDLCDATSSSQDVLSLGYQAPHASGLAISNQGAVGSMLPASGANSSLQGSSGMVLGSNLPSPSAPLNASVRDGRYGVPRTSLPADEQHRMQYNQMLSGRNVQQSTLSVPGAISGSDRGVRMIPGGNGMGMMCGINRSMPMSRPGFQGIASSAMLNSGSMLSSNMVGMPTPVNMHSGPGSGQGNSILRPRDTVHMMRPGHNPEHQRQLMVPELQMQAQGNSQGISAFNGLSSAYPNQSTAPPVQSYPGHPQQQQQQQQHPMSPQQSHGLSNSHAHLQGSNHATGSQQQAYAMRLAKERQMQQHQQRLMQQHQQQPQQQQQQQFAASSALMPQVQPQTQLPISSLQNSSQIQSQPSTQPVSLPPLTPSSPMTPMSLQHQQKHHLASHGLGRNPQPGASGLTNQIGKQRQRQSQQQQQQFQQSGRHHPQQRQQTQSQQQAKLLKGMGRGNVLMHQNLSVDPAHLNGLTMAPGNQAAEKGEQMMHLMQGQGLYSGSGISPVQPSKPLVSSQPLNHSQPQQKLFSGATPPSTKQLQQMASHSDSGTQGQVSTVPSGHTLSAVHQSVLPAAMGLNHQHLQLQSQPHQKQVNQNQPTIQRILQQNRQVNSDPSGKSQAEPAQVDQQPMNNASQMGTTTTMAMTQAGIDSANNTVQVASQWKSSEPVYDPGRPNVATQVGSRGSPPLTNSAGSDPVPSVSQGLGQRQLSGGLPAHGNNAGAQWTQQPQIQQSSTPSPSQQHQDQLQQDQHNSPPQQLPLQQQSLQQTPHLQAVQGSLYHRPSNSKLE >EOX93925 pep chromosome:Theobroma_cacao_20110822:1:17468669:17483052:1 gene:TCM_002924 transcript:EOX93925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase/SANT-associated, putative isoform 2 MHGCNSGSALLVNAEVDSMGGVVDGGVGIGVKTSPRRAAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQAEHFVTSEAKGSFALTASPHGDSVESSGRPGVRAVCEPNSADNLLLFDGESELPEGERKSMHPRKRNTVAPSEQSSQMDGTQNAKESEDSAIFRPYARRNRSKINRDGARSSSTDMVQGRGGHGSSLPARGASKDVKVLTSEINNQKDKNIPSVNTAKSATSNGDLASKVITSDNQLNMELDGGQAVEDTTEQSKADLSETKVDATASKSVTDDLPNEPAPVEAHESPVNLAFEEPDLVRGKEQVVSTGLECPPGTGMTKAENDIGSNQLNGFGDAKRDRKNIPTEGQNSSIAIGSKGLDSESSCTQNSLSLDVNNDNDMCINPKNVDSNGKPMEQTSEIEESQNLAVAELAKEKNEIKAVDNAAVVCDTNTSQNHSVNDSIVKMEEEIRSELQNEVSCPSNNEAQQSSHAVSEADRKVSTLLGDDTNSNKEIFSTSRPQGTMDNSTCEIPETTLSGRTSTTTADPQTSSDNHVKVVDKAHEDSILEEARIIEAKRKRIAELSVGTLPLENRRKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICHRVAFTSQLKFEEQNRYWKLKRVALTLANAVMEFWHSAEVLLNSKDSSLGPKKCDHDLVRSRVIEANEVSENKTAELDMDTNKEQQAPGKNNELAIRAYALRFLKYSSSHVPSLQAEAPATPDRISDLGIMDISWDEHLTEESLFYAVPSGAMETYRRSIESYLVQTEKTGSSVQEEVETSVYDAGAEFGYQDFVYDEDEGETSTYYLPGAFEGSKSSKLNQKKRKNPMKSYPARPYEMGADLPYGNCAQQSMLIGKRPASSLNVGPIPTKRVRTGSRQRVLSPFSSAAAAGGLQAPAKTDASSGDTNSFQDDQSTLHGGFQIQKSMEVESIADFERQLPYDCAETPTKPKKKKKTKIPGSAYDQGWQLECTVQNEQRDYSRKRQESHHFDSNGATGLYGQHSAKKPKIMKQQPDNSFDITPSGSIPSPVGSQMSNMSNPSKIIRLIHGRDRGRKAKTPKMSAGQPGSGSPWSLFEDQALVVLVHDMGPNWELVSDAINSTIQFKCIFRKPKECKERHKVLMDRSGDGADSADDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIILIGKKQHFRRSQHDNQDPKQIVPVHNSHVIALSQVCPNNRNGGVLTPLDLCDATSSSQDVLSLGYQAPHASGLAISNQGAVGSMLPASGANSSLQGSSGMVLGSNLPSPSAPLNASVRNVQQSTLSVPGAISGSDRGVRMIPGGNGMGMMCGINRSMPMSRPGFQGIASSAMLNSGSMLSSNMVGMPTPVNMHSGPGSGQGNSILRPRDTVHMMRPGHNPEHQRQLMVPELQMQAQGNSQGISAFNGLSSAYPNQSTAPPVQSYPGHPQQQQQQQQHPMSPQQSHGLSNSHAHLQGSNHATGSQQQAYAMRLAKERQMQQHQQRLMQQHQQQPQQQQQQQFAASSALMPQVQPQTQLPISSLQNSSQIQSQPSTQPVSLPPLTPSSPMTPMSLQHQQKHHLASHGLGRNPQPGASGLTNQIGKQRQRQSQQQQQQFQQSGRHHPQQRQQTQSQQQAKLLKGMGRGNVLMHQNLSVDPAHLNGLTMAPGNQAAEKGEQMMHLMQGQGLYSGSGISPVQPSKPLVSSQPLNHSQPQQKLFSGATPPSTKQLQQMASHSDSGTQGQVSTVPSGHTLSAVHQSVLPAAMGLNHQHLQLQSQPHQKQVNQNQPTIQRILQQNRQVNSDPSGKSQAEPAQVDQQPMNNASQMGTTTTMAMTQAGIDSANNTVQVASQWKSSEPVYDPGRPNVATQVGSRGSPPLTNSAGSDPVPSVSQGLGQRQLSGGLPAHGNNAGAQWTQQPQIQQSSTPSPSQQHQDQLQQDQHNSPPQQLPLQQQSLQQTPHLQAVQGSLYHRPSNSKLE >EOX94352 pep chromosome:Theobroma_cacao_20110822:1:28082957:28092811:-1 gene:TCM_003947 transcript:EOX94352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein, putative isoform 1 MSNHRNPCLVLFHAVLLSLLPLKITCSARTQAEALVQWKNSLSFSPPSLNSWSLSNLNNLCNWTSITCDGTGTVSEINLSNANMSGSIAQLNFTPFANLTRLDLINSGMEGPIPSAIGTLSKLLVLDLSNNSFEGNIPSEIGRLTELQYLSLFNNNLNGTIPSQVSNLQKLRYLDLGFNYFVSIDWSDFSVMPLLTHLSLDYNDFDQLEFPQFILNYRNLTSLDLSLNKLTGPIPESLYTNLSKLEYLNLTSNVFEGPLSSNISKLSQLIDLRLGTNQLTGSIPESIGTMSNLETVELFENSFEGKIPSSFSQLRKLKKLDLHSSGLNSTIPSELGSCTNLTFLALAGNQMSGKLPMSLSKLTKIIELGLSDNSFDGEIPPSLISNWTNLISLQLQNNLFTGRIPPEIGLLTKLHLLFLYGNKLSGSIPSEIGNLKSMITLDLSGNQLSGPIPRTVWSLSNLTRLQLFYNELSGTIPPEVGNMTSLESLDLNTNLLHGELPDSISSLTNLKSISLFTNSFSGSIPRDFGKYSPHLVYVSFSNNSFSGELPPELCSGFNLQNLTVNGNNFTGSLPACLRSCRQLLRVRFDGNQFTGNITNAFGVHPSLDFITLSDNQFTGEISPNWGECQNLTNLEMDNNKISAEIPTELGKLSRLGVLNLAANELTGDIPFELRNLSMLFNLNLSQNNLIGEIPYIVGNLERLEYLDLSRNKLTGVIPQDLEKCEKLLSLNLSHNNLSGEIPRELGSLSGLQYLLDLSSNSLSGTIPRDLGKLASLEILNVSHNHLSGRIPTLSNMISLRSFDFSYNELTGPIPNDRVFQNASGNAFVGNSGLCGDVEGLTSCTFNLPKSKSNNKKILIAIIVPICGILILATIAAGVLKYHQQSKLLDEETKGSKRTDVFESTIWEREGKFTFGDIAKATECFNDKYCIGRGGFGTVYRAVLPTGQVVAVKKLNLSDSSDIQATNRKSFENEIQMLTEIRHRNIIKLYGYCSREGCMYLVYEYVERGSLGNVLYGAQRGVGLGWATRVRIVQGLAHAISYLHHDCSPPIIHRDISLNNVLLEEEFEPRLSDFGTARLLNPDSLNWTTVAGSYGYMAPELALTMQITAKCDVYSFGVVALEIMMGKHPGELLNSLSSVTLLSNNKELLLKDLLDQRLPPPMDQIAEEVVFVVTMGLACTRSKPEARPTMRFVAQELSARTQACLVEPLGTITISKLTSFQK >EOX94351 pep chromosome:Theobroma_cacao_20110822:1:28083046:28087884:-1 gene:TCM_003947 transcript:EOX94351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein, putative isoform 1 MSNHRNPCLVLFHAVLLSLLPLKITCSARTQAEALVQWKNSLSFSPPSLNSWSLSNLNNLCNWTSITCDGTGTVSEINLSNANMSGSIAQLNFTPFANLTRLDLINSGMEGPIPSAIGTLSKLLVLDLSNNSFEGNIPSEIGRLTELQYLSLFNNNLNGTIPSQVSNLQKLRYLDLGFNYFVSIDWSDFSVMPLLTHLSLDYNDFDQLEFPQFILNYRNLTSLDLSLNKLTGPIPESLYTNLSKLEYLNLTSNVFEGPLSSNISKLSQLIDLRLGTNQLTGSIPESIGTMSNLETVELFENSFEGKIPSSFSQLRKLKKLDLHSSGLNSTIPSELGSCTNLTFLALAGNQMSGKLPMSLSKLTKIIELGLSDNSFDGEIPPSLISNWTNLISLQLQNNLFTGRIPPEIGLLTKLHLLFLYGNKLSGSIPSEIGNLKSMITLDLSGNQLSGPIPRTVWSLSNLTRLQLFYNELSGTIPPEVGNMTSLESLDLNTNLLHGELPDSISSLTNLKSISLFTNSFSGSIPRDFGKYSPHLVYVSFSNNSFSGELPPELCSGFNLQNLTVNGNNFTGSLPACLRSCRQLLRVRFDGNQFTGNITNAFGVHPSLDFITLSDNQFTGEISPNWGECQNLTNLEMDNNKISAEIPTELGKLSRLGVLNLAANELTGDIPFELRNLSMLFNLNLSQNNLIGEIPYIVGNLERLEYLDLSRNKLTGVIPQDLEKCEKLLSLNLSHNNLSGEIPRELGSLSGLQYLLDLSSNSLSGTIPRDLGKLASLEILNVSHNHLSGRIPTLSNMISLRSFDFSYNELTGPIPNDRVFQNASGNAFVGNSGLCGDVEGLTSCTFNLPKSKSNNKKILIAIIVPICGILILATIAAGVLKYHQQSKLLDEETKGSKRTDVFESTIWEREGKFTFGDIAKATECFNDKYCIGRGGFGTVYRAVLPTGQVVAVKKLNLSDSSDIQATNRKSFENEIQMLTEIRHRNIIKLYGYCSREGCMYLVYEYVERGSLGNVLYGAQRGVGLGWATRVRIVQGLAHAISYLHHDCSPPIIHRDISLNNVLLEEEFEPRLSDFGTARLLNPDSLNWTTVAGSYGYMAPELALTMQITAKCDVYSFGVVALEIMMGKHPGELLNSLSSVTLLSNNKELLLKDLLDQRLPPPMDQIAEEVVFVVTMGLACTRSKPEARPTMRFVAQELSARTQACLVEPLGTITISKLTSFQK >EOX92455 pep chromosome:Theobroma_cacao_20110822:1:6922434:6926192:1 gene:TCM_001400 transcript:EOX92455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative isoform 2 MLSSDEVENDVFFDSLDCLSVEEPVVAKELEGGNSDYEIWINEPRSVKERRECFLLGMDLVEFAKSSKIKDLERITDCNGAVPSSSFSSINNGEGSLACCDREMTCQTNLVVDESEQEQNIALESENKMFFSTKGCEQKEAQAHWDACENAKVDRKKFKKWWKHFMTMRKGEVSRRASKVSKSSLKEHKTNRMTVLPNKKGYMEFTALYMRQEIQAHKGFIWTMKFSPDGQYLASGGEDGVVRIWHVTSTDAPRKPFMAEGNLDRKIDKGKSGFGRKKSIHSLVIIPNKIFQIEESPMQEFHGHTSDVLDLAWSTTNLLVSSSIDKTVRLWQVGCDQCLNVFHHNNYVTCIQFNPIDDNYFISGSIDGKVRIWGVSEKRVVDWVDVRDIITAICYRPDGKEFVVGSITGTCHFFEASGSHVNLEVEMHIHGRKKTSGNKITILPG >EOX92454 pep chromosome:Theobroma_cacao_20110822:1:6921238:6926924:1 gene:TCM_001400 transcript:EOX92454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative isoform 2 MLSSDEVENDVFFDSLDCLSVEEPVVAKELEGGNSDYEIWINEPRSVKERRECFLLGMDLVEFAKSSKIKDLERITDCNGAVPSSSFSSINNGEGSLACCDREMTCQTNLVVDESEQEQNIALESENKMFFSTKGCEQKEAQAHWDACENAKVDRKKFKKWWKHFMTMRKGEVSRRASKVSKSSLKEHKTNRMTVLPNKKGYMEFTALYMRQEIQAHKGFIWTMKFSPDGQYLASGGEDGVVRIWHVTSTDAPRKPFMAEGNLDRKIDKGKSGFGRKKSIHSLVIIPNKIFQIEESPMQEFHGHTSDVLDLAWSTTNLLVSSSIDKTVRLWQVGCDQCLNVFHHNNYVTCIQFNPIDDNYFISGSIDGKVRIWGVSEKRVVDWVDVRDIITAICYRPDGKEFVVGSITGTCHFFEASGSHVNLEVEMHIHGRKKTSGNKITSIQFSQDEPHKVMITSEDSKVRIFDGVDMVCKFKGLPKSGSQMSASFTSTGKHIISVGEDCHVYVWNYNGFCLQTSKHTKSVRSCEHFFCEDVSVALPWLGQVADQSHLHINDCRARSPREGQIEGASGIRDSERFSLGNWFSIDGSCKVSATWPEEKLPLCDAEVLEDEYCTYDQQQLCHSSANNCTTLSDTWGLVIVAGGWNGTIRTFHNYGFPVRL >EOX91928 pep chromosome:Theobroma_cacao_20110822:1:4516000:4522576:-1 gene:TCM_000977 transcript:EOX91928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 METRSSNSLEKAEPSRGRGNKLGSMLPKIEPFVPKRDHNPRELRSWAKRTGFVSHLSGETGTASSTGFHAERGGRDQRAGGSSPKIEIDPVLGRTKPNRGIEIEADSGSRSGSGSGQGRRAVPDENGNGLGDKVDEREAGLNGNAKGIGNGNLHVVENGNGNGVPGVTPVISEQKHGEGKGERDVEVGMYPGGEQQGHGGWSGHHPGMKVGLRDNPGFGALIYYGLQHFLSLAGSLIFIPLVMVPAMGGTDKDTATVISTMLLVSGITTILHSYFGTRLPLVQGSSFIYLAPALVIINARDYRNLTEHKFRHIMRELQGAIIIGSVFQSILGFSGLMSLLLRLINPVVVAPTVAAVGLAFFSYGFPRAGSCVEVSVPLILLVLICTLYLRGISIFGHRFFRIYAVPLSVMITWIYAFFLTTGGAYDYKGCSPDIPSSNILVDECKKHAYTMKHCRTDVSNAWRNAAWVRIPYPLQWGVPIFHFRTSLIMIIVSLVASVDSVGTYHSASLLVSSKPPTPAVVSRGIALEGFCSMLAGLWGSGTGSTTLTENTHTINITKMASRRAVVFGALFLILFSFVAKVGAILASIPLSLAASILCFMWALVVALGLSTLQYTQTTSFRNITIVGVSLFLGLSIPAYFQQYQPESSLILPSYFVPYAAASDGPVRTGNKQLDFTINALMSMSMVVTLLVAFVLDNTVPGSQQERGVYIWSRAEDVSMDPSSQADYSLPGKFASPCSSRCLRA >EOX91929 pep chromosome:Theobroma_cacao_20110822:1:4514824:4522576:-1 gene:TCM_000977 transcript:EOX91929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 METRSSNSLEKAEPSRGRGNKLGSMLPKIEPFVPKRDHNPRELRSWAKRTGFVSHLSGETGTASSTGFHAERGGRDQRAGGSSPKIEIDPVLGRTKPNRGIEIEADSGSRSGSGSGQGRRAVPDENGNGLGDKVDEREAGLNGNAKGIGNGNLHVVENGNGNGVPGVTPVISEQKHGEGKGERDVEVGMYPGGEQQGHGGWSGHHPGMKVGLRDNPGFGALIYYGLQHFLSLAGSLIFIPLVMVPAMGGTDKDTATVISTMLLVSGITTILHSYFGTRLPLVQGSSFIYLAPALVIINARDYRNLTEHKFRHIMRELQGAIIIGSVFQSILGFSGLMSLLLRLINPVVVAPTVAAVGLAFFSYGFPRAGSCVEVSVPLILLVLICTLYLRGISIFGHRFFRIYAVPLSVMITWIYAFFLTTGGAYDYKGCSPDIPSSNILVDECKKHAYTMKHCRTDVSNAWRNAAWVRIPYPLQWGVPIFHFRTSLIMIIVSLVASVDSVGTYHSASLLVSSKPPTPAVVSRGIALEGFCSMLAGLWGSGTGSTTLTENTHTINITKMASRRAVVFGALFLILFSFVAASDGPVRTGNKQLDFTINALMSMSMVVTLLVAFVLDNTVPGSQQERGVYIWSRAEDVSMDPSSQADYSLPGKFASPCSSRCLRA >EOX91930 pep chromosome:Theobroma_cacao_20110822:1:4517153:4522576:-1 gene:TCM_000977 transcript:EOX91930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 METRSSNSLEKAEPSRGRGNKLGSMLPKIEPFVPKRDHNPRELRSWAKRTGFVSHLSGETGTASSTGFHAERGGRDQRAGGSSPKIEIDPVLGRTKPNRGIEIEADSGSRSGSGSGQGRRAVPDENGNGLGDKVDEREAGLNGNAKGIGNGNLHVVENGNGNGVPGVTPVISEQKHGEGKGERDVEVGMYPGGEQQGHGGWSGHHPGMKVGLRDNPGFGALIYYGLQHFLSLAGSLIFIPLVMVPAMGGTDKDTATVISTMLLVSGITTILHSYFGTRLPLVQGSSFIYLAPALVIINARDYRNLTEHKFRHIMRELQGAIIIGSVFQSILGFSGLMSLLLRLINPVVVAPTVAAVGLAFFSYGFPRAGSCVEVSVPLILLVLICTLYLRGISIFGHRFFRIYAVPLSVMITWIYAFFLTTGGAYDYKGCSPDIPSSNILVDECKKHAYTMKHCRTDVSNAWRNAAWVRIPYPLQWGVPIFHFRTSLIMIIVSLVASVDSVGTYHSASLLVSSKPPTPAVVSRGIALEGFCSMLAGLWGSGTGSTTLTENTHTINITKMASRRAVVFGALFLILFSFVGKVRIHPSLEKASLCMADR >EOX94248 pep chromosome:Theobroma_cacao_20110822:1:26753292:26755740:-1 gene:TCM_003800 transcript:EOX94248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-binding monooxygenase family protein MYDLKLALSNALTLECHHLVLSRHLPYLSIPLPLSSPQTKNIQLSSQQTSSSSFALPFVFSYINAYFQKTNSLLHILHPSLNHTKQRIPDFSLITMENLFRLVDQDQYFFQRKWTLVNGPVIVGAGPSGLATAACLREQGVPFVVLERAECIASLWQKRTYDRLKLHLPKHFCQLPKLPFPEEFPEYPTKRQFIEYLESYAMHFDINPKFNECVQSARYDETSGFWRVKTVVSSGSSKTEFEYICRWLVVATGENAECVVPDVQGLAEFGGEVIHACDYKSGEKFKGQKVLVVGCGNSGMEVSLDLCNHNASPSMVVRSSVHVLPREIFGKSTFELAILLLKWLPLWLVDKLMLILAWLVLGNIEKYGLKRPSMGPLEHKNTKGKTPVLDIGALEKIRSGDINVVPGIKRFSRGQVELVNGEKLDIDSVVLATGYRSNVPSWLQEGEFFSKNGFPKAPFPHGWKGNAGLYAVGFTRRGLSGASSDAMRIAQDIAKVWKDETKRQKKRTIACHRRCISQF >EOX96550 pep chromosome:Theobroma_cacao_20110822:1:38216095:38219886:1 gene:TCM_005782 transcript:EOX96550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II beta subunit 4 isoform 4 MYSHRDRGGGPSKSELVPLDRKRINDALDKHLEKSSPSTSRGLNSKDKERSSVPSTSTGKSQLDHRDSRSAALSKAKCSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMSAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKY >EOX96549 pep chromosome:Theobroma_cacao_20110822:1:38216095:38220111:1 gene:TCM_005782 transcript:EOX96549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II beta subunit 4 isoform 4 MYSHRDRGGGPSKSELVPLDRKRINDALDKHLEKSSPSTSRGLNSKDKERSSVPSTSTGKSQLDHRDSRSAALSKAKCSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMSAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMSYGHLKPQKPTQNYTPRVFGFKMHKP >EOX96552 pep chromosome:Theobroma_cacao_20110822:1:38216095:38219886:1 gene:TCM_005782 transcript:EOX96552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II beta subunit 4 isoform 4 MYSHRDRGGGPSKSELVPLDRKRINDALDKHLEKSSPSTSRGLNSKDKERSSVPSTSTGKSQLDHRDSRSAALSKAKCSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMSAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIRY >EOX96551 pep chromosome:Theobroma_cacao_20110822:1:38216095:38219849:1 gene:TCM_005782 transcript:EOX96551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II beta subunit 4 isoform 4 MYSHRDRGGGPSKSELVPLDRKRINDALDKHLEKSSPSTSRGLNSKDKERSSVPSTSTGKSQLDHRDSRSAALSKAKCSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMSAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDI >EOX93585 pep chromosome:Theobroma_cacao_20110822:1:14073806:14074951:1 gene:TCM_002464 transcript:EOX93585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSQSNRTHVCILSNLTNIIFGHEPTLHGVSLLLIVTTGNSFFNSEDGWFLGYM >EOX91258 pep chromosome:Theobroma_cacao_20110822:1:2077352:2080965:1 gene:TCM_000506 transcript:EOX91258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSGREEDNDSDAPEEFTNEQGLKQDEEIRKIQKENKARFIREGKERRRHWAQKKTPRQSAKKVENGQEDVETGMDEESQAKKGMLPTNIVEMLASREKQVFLSDSEDEKTEVKHTSRKKKKKSSGLEPVILEDIPPARCLQNSLEFLKKRKMQVPRSSSVLNYSNQALRLNCVLTTSGLRESCKRL >EOX91259 pep chromosome:Theobroma_cacao_20110822:1:2078404:2080888:1 gene:TCM_000506 transcript:EOX91259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MHPKNLQTSRQGLKQDEEIRKIQKENKARFIREGKERRRHWAQKKTPRQSAKKVENGQEDVETGMDEESQAKKGMLPTNIVEMLASREKQVFLSDSEDEKTEVKHTSRKKKKKSSGLEPVILEDIPPARCLQNSLEFLKKRKMQVPRSSSVLNYSNQALRLNCVLTTSGLRESCKRL >EOX92850 pep chromosome:Theobroma_cacao_20110822:1:8955200:8958692:1 gene:TCM_001710 transcript:EOX92850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin domain-containing family N member 1 MFLHSLPSPIPFTSTFNPPNLLPYLAKESLICIDQNEMSSERGKDVAEGSSRIPGDHQQQPATPSRYESQKRRDWNTFGQYLKNQRPPVPLSQCNCNHVLDFLRYLDQFGKTKVHLQGCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPETNPFASGAIRVYLREVRECQAKARGIPYKKKKKKPNQGKGTDESSSTMQFS >EOX90620 pep chromosome:Theobroma_cacao_20110822:1:155095:157704:1 gene:TCM_000037 transcript:EOX90620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIVSSSFLSMKNPPKKLLSGLVDSVHLLSPWHSMLAFDSLQAYSRHSNRSSNSRLNATETPLSVRASAGAGRPTSATVFIGGLISFGGTASWNIRLHKTRKILTEKIAQLNCAIDDASAQLHEDDPSNGATVNLE >EOX91771 pep chromosome:Theobroma_cacao_20110822:1:3780763:3783917:-1 gene:TCM_000851 transcript:EOX91771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MTKVPGFSRKWLTTITDATFNQRQQLINLNTHLAKLTRSTHYEDALNLFNEIQYLHDNVKLDHYTLSTTLKACANLRNVKFGTKLHCYAIKSGLEAYSHVSNTLLLLYSRTQDLGSVKRVFSEIKDPDVYSWTTLLSSCTKLGEIPYACEVFDKMPKKEVAVWNAMITGCVDNGYEDFGFGLFKEMHILGFKHDYYSFASVLSVCSSENLGFGRQVQALVVKTGFSVRASVVNAIITMYFNCEDVVNACLVFDEVESFVRDRITFNVMIDGLMNVGRVEHASIMFREMLEACLSPSELTFVSLMSSCSSRRVGDQVYAQAVMMGFEQCTSVSNAAITMYSSCGDLNTANIVFERLEEKDLVSWNTMVSSYGQGNSGRSAFLVYLEMQRSGIEPDEFTFGSLLSCSEFIEMGEMIHALVFKNGLISRIQVSNALVSSYAKHGKMNQAYQLFQMSPKNLISWNTIISGFFLNGSPAQGLEQLSQLLMLNLRPNAYTLSIAISICANISSLSHGKQLHGYILRHDLFLETSLGNALITMYAKCGTLNWSLRVFNEMIVKDTISWNSLISAFAQHGEGKEAVHCFKAMKDAGRAKPDQATFTSVLSACSHAGLVDDATWIFNSMVNDYGFVPGEDHLSCMVDLLARAGYLDEAERVIDSQHVEAHSNIWWTLFSACAAHTNLRLARTIAGILLETEQNNPSVYVLLSNIYAAAGQWEEAARVRESMKNVGVMKQPGSSWISL >EOX91772 pep chromosome:Theobroma_cacao_20110822:1:3780596:3783865:-1 gene:TCM_000851 transcript:EOX91772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MTKVPGFSRKWLTTITDATFNQRQQLINLNTHLAKLTRSTHYEDALNLFNEIQYLHDNVKLDHYTLSTTLKACANLRNVKFGTKLHCYAIKSGLEAYSHVSNTLLLLYSRTQDLGSVKRVFSEIKDPDVYSWTTLLSSCTKLGEIPYACEVFDKMPKKEVAVWNAMITGCVDNGYEDFGFGLFKEMHILGFKHDYYSFASVLSVCSSENLGFGRQVQALVVKTGFSVRASVVNAIITMYFNCEDVVNACLVFDEVESFVRDRITFNVMIDGLMNVGRVEHASIMFREMLEACLSPSELTFVSLMSSCSSRRVGDQVYAQAVMMGFEQCTSVSNAAITMYSSCGDLNTANIVFERLEEKDLVSWNTMVSSYGQGNSGRSAFLVYLEMQRSGIEPDEFTFGSLLSCSEFIEMGEMIHALVFKNGLISRIQVSNALVSSYAKHGKMNQAYQLFQMSPKNLISWNTIISGFFLNGSPAQGLEQLSQLLMLNLRPNAYTLSIAISICANISSLSHGKQLHGYILRHDLFLETSLGNALITMYAKCGTLNWSLRVFNEMIVKDTISWNSLISAFAQHGEGKEAVHCFKAMKDAGRAKPDQATFTSVLSACSHAGLVDDATWIFNSMVNDYGFVPGEDHLSCMVDLLARAGYLDEAERVIDSQHVEAHSNIWWTLFSACAAHTNLRLARTIAGILLETEQNNPSVYVLLSNIYAAAGQWEEAARVRESMKNVGVMKQPGSSWISL >EOX95379 pep chromosome:Theobroma_cacao_20110822:1:33967995:33969044:-1 gene:TCM_004892 transcript:EOX95379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRKYMEGKTKDRPALVNDDDDEEEKSNTFSTLVRNLRDAHSRMLIGSQDRKGKEKGKEKENKSTWTPSFNGEDFAEDHALLTTISVTLPSSSKTRTKMQNRRT >EOX94936 pep chromosome:Theobroma_cacao_20110822:1:32109041:32111679:-1 gene:TCM_004531 transcript:EOX94936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase-like protein MMQEETVIIVGAGPSGLATAACLNFHSIPCIILEREDCFASLWKKYAYDRLHLHLDKQFCVLPHMPFPDSYPSYISKEQFVSYLDNYVSHFKISPLYRRCVELAKFDQASKKWIVKARNLGSGEVEEFKGRFLAVASGETSNPYTPEIEGLNTFPGNVLHSTQFRNGNAFSDQNVLVVGSGNSGMEIALDLANHGAKTSIVARSPVHILSKEMVYLGLILLKYIPHNMVDSLMVMLSKLAYGDLTKYGITRPKEGPFFMKVAYGKYPVFDVGTYNKIKSGEIQVLPAISSIRGSEVVFGHGVTHPFDTIIFCTGFKRSTNVWLKGDEYLLNDDGLPKPSFPNHWKGKNGLYCVGLSRRGLYGASADAQNIANDIKSLL >EOX95847 pep chromosome:Theobroma_cacao_20110822:1:35760255:35767226:-1 gene:TCM_005248 transcript:EOX95847 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MSTPAPSSPNYLTNLGLGYSIAIALGFLVLLSTILLASYICCRSSSSPRAFSPNPTTAVAASNSDGIILPRIIFVAEDEDNESVVVGLDQAVINSYPKFQFSKEAAAANSTNANTTCSICLCEYRDLEMLRMMPECRHYFHVSCIDAWLKLNGSCPICRNSPLPTPLSTPLSEVVPLSQYAADRRRRRLSNLNGLKLSWSLSPNKIKMPKLNGLGKLGVWKSVHRTIFILGACALLVSVATLSRSYSIRSPLVTDSFCNHVNLENPRRGESEVSVNVEAVVKKIRQELNELRDMSKESSSSEILLRHSAFLADILGLLDSVQASFTSTRQQNIENKGVHPLVKPSQQSDEPADYFLIEEIRKYVRIKPNRLGKQNFMGANGTFTSIGHACFAMKRELEEYMDYDVGEICNDDWKLAQKLMVHGCDPLPRRRCFARAPQLYIQPFPITESLWKLPDDRNVRWSGYRCKNFTCLANNATRKGFFKCADCFNLSDHEMPRWIKPVDVDPETNLTADFLIPEVLDIKPGEIRIGLDFSVGTGTFAARMREFNVTTVSATINLGAPFNEMIALRGLVPLYLTINQRLPLFDNTLDLIHTTRFLDGWIDLVLLDFVLFDWDRVLRPGGLLWIDSFFCLKEDLEDYLEAFKVLRYRKHKWVVVPKRDKDDREVFFSAVLEKPPRPFR >EOX92135 pep chromosome:Theobroma_cacao_20110822:1:5390889:5398412:-1 gene:TCM_001129 transcript:EOX92135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 26, putative MIQSQGLKVIGSPIDLQGQYLRVSPMAPLVYFSFRKVLLLQLALFLLISMLLCASIESHELQTNRFGARRRLLELEDEDQQLIKKKSSSLSTKNNQTKLIKASNQSTKNQTKLIKSNLSTKNQTKLSKPSNSTKAVTLSTLSKSELKKLNSTSQLKKLNSTSQLKKLNATSLLKKNLNSTSQLKKLNSTSKATNSSKTSSLPTKKASDLLKLNPSKNKTTTKLTSIKTLVDKKVTDPESSKPQKNSNKEKNPTTQKPKQQKNQPSWIDQEDDSDLVSEFRDLPTKFQQNLIPDLERISTTSKAYLTKYNKQITKQFKPYVGNKHAPTIATVISCAFILIPLVFVSLIFNRIKTYFSLQKILIFIQVYLSIYFSILCLTSLITGLEPLKFFYATSPSTYVCLQVLQNLGYVLYLLLLLMYLILVFSTESGLGSKMLGLGQTFVGFAVGLHYYVAVFHRVVLGQPPKTNWKVHGMYAACFLVICLCARADRRKKAYLEEGGEEGKKN >EOX91191 pep chromosome:Theobroma_cacao_20110822:1:1904607:1906094:-1 gene:TCM_000463 transcript:EOX91191 gene_biotype:protein_coding transcript_biotype:protein_coding description:GNS1/SUR4 membrane protein family MTAVAYYLSQHPSIITFRWSHTQSWGSTWSFLVTSIALYLALSSLLHLFLSLLVKRGRPVPLGPIPAFHSLIMSLISAVIFAGILLSAAAEIKETRWFWRRSKTPFQWLLCFPLGTRPSGRVFFWSYIFYLSRFLHMFRTIFIIFRTRKLTFFYLFNNSILTIMSFLWLEFSQSFQVLAILFTTLVYAAVYGYRFWTGIGLPSACFPFVLNCQILLLGCNLVCHVGVLTLHFMKGGCNGIGAWVLNSVLNGVILLLFLKFYVQSRRKDGKQAKLQEIVKDKDL >EOX94052 pep chromosome:Theobroma_cacao_20110822:1:19623009:19634593:1 gene:TCM_003140 transcript:EOX94052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1350) [Source:Projected from Arabidopsis thaliana (AT5G47860) TAIR;Acc:AT5G47860] MARCVVTPSPIQTQTRTHRTGIIRCFSVSALKVPLPPAIRPRLTPRIFCNYDDPNSNNQKQPSSVQLYGEIERLLTETVRQSQGAWGGSSDWSQVEGAWVLQPKHSKPKSVVHFVGGIFVGAAPQLTYRWFLERLSEKGVLVIATPYASGFDHFFIADEVQFKFDRCLRFLQETVHDLPTFGIGHSLGSVIHLLIGSRYAVQRSGNVLMAFNNKEASLAIPLFSPVLVPVAQSIGPILSQIASSPTIRMGAEMTLKQIENFSPPIMKQVLPLVEQLPPLYMDLVKGREDFSPKPEETRRLIKSYYGISRNLLIKFKDDSIDETPKLAQVLSSDSAISSMLDMSIRLLPGDHGLPLQQALPDVPPAMADAVNRGSEFLANLTVGTPWETVAKEVSNTLGTDSTVLRAEISKDIALLVDVITSWMASNSGPKLLRG >EOX94053 pep chromosome:Theobroma_cacao_20110822:1:19623047:19629543:1 gene:TCM_003140 transcript:EOX94053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1350) [Source:Projected from Arabidopsis thaliana (AT5G47860) TAIR;Acc:AT5G47860] MARCVVTPSPIQTQTRTHRTGIIRCFSVSALKVPLPPAIRPRLTPRIFCNYDDPNSNNQKQPSSVQLYGEIERLLTETVRQSQGAWGGSSDWSQVEGAWVLQPKHSKPKSVVHFVGGIFVGAAPQLTYRWFLERLSEKGVLVIATPYASGFDHFFIADEVQFKFDRCLRFLQETVHDLPTFGIGHSLGSVIHLLIGSRYAVQRSGNVLMAFNNKEASLAIPLFSPVLVPVAQSIGPILSQIASSPTIRMGAEMTLKQIENFSPPIMKQVLPLVEQLPPLYMDLVKGREDFSPKPEETRRLIKSYYGISRNLLIKFKDDSIDETPKLAQVLSSDSAISSMLDMSIRLLPGDHGLPLQQALPDVPPAMADAVNRGSEFLANLTVGTPWETVAKEVSNTLGPKLLRG >EOX95513 pep chromosome:Theobroma_cacao_20110822:1:34517202:34519149:1 gene:TCM_004992 transcript:EOX95513 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MATGFAISRCSALAYQRAVVYLSRRQQFHALSSLTAKSRFLKLNNLTRNCSSSSNAPFIVAEDEKYGNKQVISITPRLYDYILANVREPQILRQLREETANMRGSQMQVSPDQAQLLAMLVQILGAERCIEIGVYTGYSSLAIALALPESGCLVACERDARSLEVAKKYYELAGVSQKVIVKHGLAADALKSMILNGEACRCLFKAAMILHLWMLKRG >EOX95512 pep chromosome:Theobroma_cacao_20110822:1:34517134:34519854:1 gene:TCM_004992 transcript:EOX95512 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MATGFAISRCSALAYQRAVVYLSRRQQFHALSSLTAKSRFLKLNNLTRNCSSSSNAPFIVAEDEKYGNKQVISITPRLYDYILANVREPQILRQLREETANMRGSQMQVSPDQAQLLAMLVQILGAERCIEIGVYTGYSSLAIALALPESGCLVACERDARSLEVAKKYYELAGVSQKVIVKHGLAADALKSMILNGEACSYDFAFVDAEKRMNQEYFELLLQLVRVGGLIVVDNVLWHGKVADPLVNDAKTVSIRNFNRNVMEDKRVSISMVPIGDGMTICQKR >EOX96528 pep chromosome:Theobroma_cacao_20110822:1:38146659:38148986:-1 gene:TCM_005764 transcript:EOX96528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQRLGETVKCVISLSNENTTVEDNNVRLEGDTTTLEYNIAFDEGNEDLFAIGEDRFDHTSDDRLEQSQDDSSDDNCLYDSDIPICNNVEGETEPVGGVDVGDVQCDDPIYNNPIAGVERFSFQTITTEESTCAEDRLYKGRMFSSKAELKRALNMLAIKEKFAIRVKRSCKARYEVGCKDKACKFSVRAMKLLDRGEYLKVQKFHKVHTCTVDGLQGWFPTKSAKMITELMSHKIRANGVALRPKDIICDMRVQWGLECLYGKAWQVKEYAKRLVFGPPEESFQLLPSYFYMLEQENPGIVTAVATNEEKRFKYCLWSYGACIRGFMDVMRPTIAIDATHLKGRFKGVLFVAVCKDANECVYPIAFGIDHIEDEDSWTWFLSKLRDAVGCLENTMFIFDQHLGIKKTIQNAFPEAHHGLCSYHLKKNFKNKFKSDDVCMLFTLARDCYKVADFNRHMN >EOX95969 pep chromosome:Theobroma_cacao_20110822:1:36185589:36189535:1 gene:TCM_005334 transcript:EOX95969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 2 isoform 2 MKMVVSSVRIFNSLKPPSASLTAYSTVHSRSPSSLDCNFCRLKGGFVSSSISGSCQLRAIVRDKGKRTEDHPLVGDSTDKVDENQVMEPERDFAGTSYVPVYVMLPLDVIDMKCELVDPEGLLDQLRILRSVNVDGVMVDCWWGIVEAHTSQLYNWSGYKRLFQIVRDLGLKLQVVMSFHECGGNVGDNVHIPLPQWIREIGESNPDIYFTDREGKRNPECLTWGIDKERVLKGRTAVEVYFDYMRSFRVEFDEFFVDGVISEIEVGLGPCGELRYPSYPAKHGWRYPGIGEFQCYDKYLMKSLSKAAEIRGHSFWARGPDNAGSYNSTPHETGFFRDGGDYDSYYGRFFLNWYSQVLVDHGDRVLALANLAFEGTCIAAKGAECCMGCFHTGCQ >EOX95967 pep chromosome:Theobroma_cacao_20110822:1:36185876:36189110:1 gene:TCM_005334 transcript:EOX95967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 2 isoform 2 MKMVVSSVRIFNSLKPPSASLTAYSTVHSRSPSSLDCNFCRLKGGFVSSSISGSCQLRAIVRDKGKRTEDHPLVGDSTDKVDENQVMEPERDFAGTSYVPVYVMLPLDVIDMKCELVDPEGLLDQLRILRSVNVDGVMVDCWWGIVEAHTSQLYNWSGYKRLFQIVRDLGLKLQVVMSFHECGGNVGDNVHIPLPQWIREIGESNPDIYFTDREGKRNPECLTWGIDKERVLKGRTAVEVYFDYMRSFRVEFDEFFVDGVISEIEVGLGPCGELRYPSYPAKHGWRYPGIGEFQCYDKYLMKSLSKAAEIRGHSFWARGPDNAGSYNSTPHETGFFRDGGDYDSYYGRFFLNWYSQVLVDHGDRVLALANLAFEGTCIAAKLSGIHWWYKTASHAAELTAGFYNPSNRDGYAPIASMLKKHGVALNFTCVELRTLDQHEDFPEALADPEGLVWQVLNAAWDVSILVASENALPCYDREGYNKILENAKPRNDPDGRHLSAFTYLRLNPVLMETHNFAEFERFVTRMHGEAVPDLQSFPNEKPAKPEGKDGQVDA >EOX95970 pep chromosome:Theobroma_cacao_20110822:1:36185645:36189439:1 gene:TCM_005334 transcript:EOX95970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 2 isoform 2 MKMVVSSVRIFNSLKPPSASLTAYSTVHSRSPSSLDCNFCRLKGGFVSSSISGSCQLRAIVRDKGKRTEDHPLVGDSTDKVDENQVMEPERDFAGTSYVPVYVMLPLDVIDMKCELVDPEGLLDQLRILRSVNVDGVMVDCWWGIVEAHTSQLYNWSGYKRLFQIVRDLGLKLQVVMSFHECGGNVGDNVHIPLPQWIREIGESNPDIYFTDREGKRNPECLTWGIDKERVLKGRTAVEVYFDYMRSFRVEFDEFFVDGVISEIEVGLGPCGELRYPSYPAKHGWRYPGIGEFQCYDKYLMKSLSKAAEIRGHSFWARGPDNAGSYNSTPHETGFFRDGGDYDSYYGRFFLNWYSQVLVDHGDRVLALANLAFEGTCIAAKQVLNAAWDVSILVASENALPCYDREGYNKILENAKPRNDPDGRHLSAFTYLRLNPVLMETHNFAEFERFVTRMHGEAVPDLQSFPNEKPAKPEGKDGQVDA >EOX95968 pep chromosome:Theobroma_cacao_20110822:1:36181470:36189381:1 gene:TCM_005334 transcript:EOX95968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 2 isoform 2 HEVHSSLPISVWLSRKFSRTREKGQKPVAIFFERIFKIKPYSTVHSRSPSSLDCNFCRLKGGFVSSSISGSCQLRAIVRDKGKRTEDHPLVGDSTDKVDENQVMEPERDFAGTSYVPVYVMLPLDVIDMKCELVDPEGLLDQLRILRSVNVDGVMVDCWWGIVEAHTSQLYNWSGYKRLFQIVRDLGLKLQVVMSFHECGGNVGDNVHIPLPQWIREIGESNPDIYFTDREGKRNPECLTWGIDKERVLKGRTAVEVYFDYMRSFRVEFDEFFVDGVISEIEVGLGPCGELRYPSYPAKHGWRYPGIGEFQCYDKYLMKSLSKAAEIRGHSFWARGPDNAGSYNSTPHETGFFRDGGDYDSYYGRFFLNWYSQVLVDHGDRVLALANLAFEGTCIAAKLSGIHWWYKTASHAAELTAGFYNPSNRDGYAPIASMLKKHGVALNFTCVELRTLDQHEDFPEALADPEGLVWQVLNAAWDVSILVASENALPCYDREGYNKILENAKPRNDPDGRHLSAFTYLRLNPVLMETHNFAEFERFVTRMHGEAVPDLQSFPNEKPAKPEGKDGQVDA >EOX94324 pep chromosome:Theobroma_cacao_20110822:1:27784066:27785391:1 gene:TCM_003908 transcript:EOX94324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MCSQNYLTPQASNHHHRSTFRRKGARKHKNMLLRSSSTPLLGSLLSSITDSPSNNNHYETSTPIRHYPSTSFHHNHNRISFHPSPGSLHLSTTVSCGSSPISPSVVHQFSDFDRQGFRRAQSEGNLEGLVHAACDTNEDFYNHNQPKKLSARHQRLMLQTIPSFSFYNSNARCEEEEDESDLEEEEEELKENEELLDSGEERVTAMNGNLEFNAFRMENILLNEEVKGKSWNVGFEDEGGLVGEKMFLARGLSTDGGSAGGVSGGSGGGGGGEFNPAGSGGDGANNHGVEEHYRRMVEENPGNPLFLGNYAQFLYQSKKDLEAAEEYYSRAILADPKDGETLSQYAKLVWELHHDQERASSYFERAVQASPQDRYVLPCQHSCHSVNADLLGFLFWLFQPRSCSIC >EOX94322 pep chromosome:Theobroma_cacao_20110822:1:27783411:27786376:1 gene:TCM_003908 transcript:EOX94322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MCSQNYLTPQASNHHHRSTFRRKGARKHKNMLLRSSSTPLLGSLLSSITDSPSNNNHYETSTPIRHYPSTSFHHNHNRISFHPSPGSLHLSTTVSCGSSPISPSVVHQFSDFDRQGFRRAQSEGNLEGLVHAACDTNEDFYNHNQPKKLSARHQRLMLQTIPSFSFYNSNARCEEEEDESDLEEEEEELKENEELLDSGEERVTAMNGNLEFNAFRMENILLNEEVKGKSWNVGFEDEGGLVGEKMFLARGLSTDGGSAGGVSGGSGGGGGGEFNPAGSGGDGANNHGVEEHYRRMVEENPGNPLFLGNYAQFLYQSKKDLEAAEEYYSRAILADPKDGETLSQYAKLVWELHHDQERASSYFERAVQASPQDSHVHAAYASFLWETEEDEADGCAAPSGSDKQLAWTHSEGSVEAN >EOX94323 pep chromosome:Theobroma_cacao_20110822:1:27783411:27786376:1 gene:TCM_003908 transcript:EOX94323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MLLRSSSTPLLGSLLSSITDSPSNNNHYETSTPIRHYPSTSFHHNHNRISFHPSPGSLHLSTTVSCGSSPISPSVVHQFSDFDRQGFRRAQSEGNLEGLVHAACDTNEDFYNHNQPKKLSARHQRLMLQTIPSFSFYNSNARCEEEEDESDLEEEEEELKENEELLDSGEERVTAMNGNLEFNAFRMENILLNEEVKGKSWNVGFEDEGGLVGEKMFLARGLSTDGGSAGGVSGGSGGGGGGEFNPAGSGGDGANNHGVEEHYRRMVEENPGNPLFLGNYAQFLYQSKKDLEAAEEYYSRAILADPKDGETLSQYAKLVWELHHDQERASSYFERAVQASPQDSHVHAAYASFLWETEEDEADGCAAPSGSDKQLAWTHSEGSVEAN >EOX95877 pep chromosome:Theobroma_cacao_20110822:1:35874762:35880387:1 gene:TCM_005274 transcript:EOX95877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein isoform 1 MVCHYYHLVASVGARFSMGKPSVLRAEHSMAPDLSSDRSVIRRESSSPFRRRDLVFVVNPRGANGRTGKEWKKLLPYLQSRLGSDCNICESLTSGPSHAMDITREAIREGADAVIAVGGDGTLHEVVNGFFWDGKPVANQNTEAVHSTAFGLIPLGTGSDFARTFGWKNDPHEAIERIARGVRSRIDIGVISREGEGSHYFINVADIHLSAKAGYYASGYKKFGNLCYVIGALQAFIGHSNQDLRIKVNEGEWQTYSQVTALCIGNAKYFGGGMKITPNADPHSGSFEVVILQDFKWYDFILKLHKLYNGTHLSVNNVTSRIVYTIEVEEISGSGSIFIQSDGEHLGFLPRKLCVLPAAIEMIC >EOX95878 pep chromosome:Theobroma_cacao_20110822:1:35875047:35879190:1 gene:TCM_005274 transcript:EOX95878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein isoform 1 MAPDLSSDRSVIRRESSSPFRRRDLVFVVNPRGANGRTGKEWKKLLPYLQSRLGSDCNICESLTSGPSHAMDITREAIREGADAVIAVGGDGTLHEVVNGFFWDGKPVANQNTEAVHSTAFGLIPLGTGSDFARTFGWKNDPHEAIERIARGVRSRIDIGVISREGEGSHYFINVADIHLSAKAGYYASGYKKFGNLCYVIGALQAFIGHSNQDLRIKVNEGEWQTYSQVTALCIGNAKYFGGGMKITPNADPHSGSFEVVILQDFKWYDFILKLHKLYNGTHLSVNNVTSRISLFSFCIFQLCSSSVNFETSTISPSLTSAGDLFFICVL >EOX91227 pep chromosome:Theobroma_cacao_20110822:1:1978889:1986378:1 gene:TCM_000479 transcript:EOX91227 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain protein 2 isoform 1 MGIATPPLVPSILSHHSKTLHQIPIQDKSNNSTNSMSQDYHQAAAGIFSFSNGFERPAVSHQEHQQQQQQHHFAQQIRRDKLRVQGFEPPPPPLIGIDEEESNALPVYETAGMLSEMFNFPSGVAAAATASTELLDQPIQPNYRAHRPPGNTNDWYNNRQGVVGGLGQLGESKSHNNRDSLAQQHHQQLPSINADSAAAMHLFLMNPQPRSPSPPPATTSNTLHMLLPNPSSSLQGFNVSGPGGAFGTSAVLSPPQFTWVPDSAHEGGNTGSQLNNPSEIGGVVEGQGLSLSLSSSLQHLEAAKAEELRMGDGGLLYYNQGGGSSSAAQFQYKGLGNHHQPLHLQGGVGQNHQVHVGFGSSLGMVNVLRNSKYVKAAQELLEEFCSVGRGQFKKNKFGRNNTNPSSNPGSSGGGGGGSSSSTKDLPPLSAADRIEHQRRKVKLLSMLDEVDRRYNHYCEQMQMVVNSFDLVMGFGSAVPYTALAQKAMSRHFRCLKDAVSAQLKHSCEVLGEKDGAGTSGITKGETPRLKMLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQETKEGETDKERERNPNNSNNNSNNAQTSTPSTTAEAAAATAASTPTTTTTATTTTTPAGKRSEINAMENDPSLIAINRQCFSENQAKQCTPNTTTTTIISSPSTTTSPTNNNATEVTPPISQPFTTNHDPDMHHRIAGVEDTCRRGSIVTADYGTTTGNTDIGSTLIRFGTTAGDVSLTLGLRHAGNMPEKSSSFSVRDFGGC >EOX91228 pep chromosome:Theobroma_cacao_20110822:1:1978903:1985986:1 gene:TCM_000479 transcript:EOX91228 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain protein 2 isoform 1 MGIATPPLVPSILSHHSKTLHQIPIQDKSNNSTNSMSQDYHQAAAGIFSFSNGFERPAVSHQEHQQQQQQHHFAQQIRRDKLRVQGFEPPPPPLIGIDEEESNALPVYETAGMLSEMFNFPSGVAAAATASTELLDQPIQPNYRAHRPPGNTNDWYNNRQGVVGGLGQLGESKSHNNRDSLAQQHHQQLPSINADSAAAMHLFLMNPQPRSPSPPPATTSNTLHMLLPNPSSSLQGFNVSGPGGAFGTSAVLSPPQFTWVPDSAHEGGNTGSQLNNPSEIGGVVEGQGLSLSLSSSLQHLEAAKAEELRMGDGGLLYYNQGGGSSSAAQFQYKGLGNHHQPLHLQGGVGQNHQVHVGFGSSLGMVNVLRNSKYVKAAQELLEEFCSVGRGQFKKNKFGRNNTNPSSNPGSSGGGGGGSSSSTKDLPPLSAADRIEHQRRKVKLLSMLDEVDRRYNHYCEQMQMVVNSFDLVMGFGSAVPYTALAQKAMSRHFRCLKDAVSAQLKHSCEVLGEKDGAGTSGITKGETPRLKMLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVVSNWFINARVRLWKPMVEEMYQQETKEGETDKERERNPNNSNNNSNNAQTSTPSTTAEAAAATAASTPTTTTTATTTTTPAGKRSEINAMENDPSLIAINRQCFSENQAKQCTPNTTTTTIISSPSTTTSPTNNNATEVTPPISQPFTTNHDPDMHHRIAGVEDTCRRGSIVTADYGTTTGNTDIGSTLIRFGTTAGDVSLTLGLRHAGNMPEKSSSFSVRDFGGC >EOX94979 pep chromosome:Theobroma_cacao_20110822:1:32274752:32284527:1 gene:TCM_004563 transcript:EOX94979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 6 isoform 1 MVGRRKPLVLSSTKILVHSVLSSARLDETGPTNLSADGLHLKAGILRFSKDENDISDPKLASLDDSALVGLSTSVLKRLSIVSGSLVIVRNVETKIQRIAHAVVLDPPNAHVNTSQSKELLSHSPHVMLKFPAYSFPQNDSVLLDCDVAYISPLLAFNLNLHISCLRSLVHEGKETLASLFEADVDDKAGREGTDTSVVSLWLEPLGRLPKYASHLRVSFVKIPECSSLESLRGISSIETEDRQEMIDSALHKYFEVDRYLAGGDVFSIFLNWNCNSFICIPCCSRLQNRSNNIIYFKVVAMEPSDEAVLRVNRTQTALVLGGSAPSAVPPDMLIAGTKGFVPLQGDTVKILASILTPPLCLSPLSLNFRVSVLLHGLPGCGKRTVVRYVAKRLGLHVIEYSCHNLTASSEKKTSAALTQAFNSAQRYSPTILLLRHFDVFRNLASHEGSPSDQIGLSSEVASVIREFTEPDEDGYAEDISNGDFPVKDTGNVGRHQVMLVAAADGSEGLAPAIRRCFTHEVSMGPLTEEQRAEMLSQSLQGVAELLSNTCLKEFVKDIVGQTSGFMPRDLHALIADAGANLVPRSNFQTDEAELSQSDGPLRVKAVQGTSSNTAAYTMGKEDLAKALERSKKRNASALGAPKVPNVKWEDVGGLEDVKKSILDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESERNVRDIFQKARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLNDSTQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNSDASYRERVLKALTRKFRLHEDVSLYSIAKRCPPNFTGADMYALCADAWFHAAKRKVLSSDSDSSCTGQADSIVVQYDDFMKVLGELSPSLSMAELKKYEMLRDQFEGSSS >EOX94982 pep chromosome:Theobroma_cacao_20110822:1:32274832:32281992:1 gene:TCM_004563 transcript:EOX94982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 6 isoform 1 MVGRRKPLVLSSTKILVHSVLSSARLDETGPTNLSADGLHLKAGILRFSKDENDISDPKLASLDDSALVGLSTSVLKRLSIVSGSLVIVRNVETKIQRIAHAVVLDPPNAHVNTSQSKELLSHSPHVMLKFPAYSFPQNDSVLLDCDVAYISPLLAFNLNLHISCLRSLVHEGKETLASLFEADVDDKAGREGTDTSVVSLWLEPLGRLPKYASHLRVSFVKIPECSSLESLRGISSIETEDRQEMIDSALHKYFEVDRYLAGGDVFSIFLNWNCNSFICIPCCSRLQNRSNNIIYFKVVAMEPSDEAVLRVNRTQTALVLGGSAPSAVPPDMLIAGTKGFVPLQGDTVKILASILTPPLCLSPLSLNFRVSVLLHGLPGCGKRTVVRYVAKRLGLHVIEYSCHNLTASSEKKTSAALTQAFNSAQRYSPTILLLRHFDVFRNLASHEGSPSDQIGLSSEVASVIREFTEPDEDGYAEDISNGDFPVKDTGNVGRHQVMLVAAADGSEGLAPAIRRCFTHEVSMGPLTEEQRAEMLSQSLQGVAELLSNTCLKEFVKDIVGQTSGFMPRDLHALIADAGANLVPRSNFQTDEAELSQSDGPLRVKAVQGTSSNTAAYTMGKEDLAKALERSKKRNASALGAPKVPNVKWEDVGGLEDVKKSILDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKCKRA >EOX94980 pep chromosome:Theobroma_cacao_20110822:1:32274832:32281992:1 gene:TCM_004563 transcript:EOX94980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 6 isoform 1 MVGRRKPLVLSSTKILVHSVLSSARLDETGPTNLSADGLHLKAGILRFSKDENDISDPKLASLDDSALVGLSTSVLKRLSIVSGSLVIVRNVETKIQRIAHAVVLDPPNAHVNTSQSKELLSHSPHVMLKFPAYSFPQNDSVLLDCDVAYISPLLAFNLNLHISCLRSLVHEGKETLASLFEADVDDKAGREGTDTSVVSLWLEPLGRLPKYASHLRVSFVKIPECSSLESLRGISSIETEDRQEMIDSALHKYFEVDRYLAGGDVFSIFLNWNCNSFICIPCCSRLQNRSNNIIYFKVVAMEPSDEAVLRVNRTQTALVLGGSAPSAVPPDMLIAGTKGFVPLQGDTVKILASILTPPLCLSPLSLNFRVSVLLHGLPGCGKRTVVRYVAKRLGLHVIEYSCHNLTASSEKKTSAALTQAFNSAQRYSPTILLLRHFDVFRNLASHEGSPSDQIGLSSEVASVIREFTEPDEDGYAEDISNGDFPVKDTGNVGRHQVMLVAAADGSEGLAPAIRRCFTHEVSMGPLTEEQRAEMLSQSLQGVAELLSNTCLKEFVKDIVGQTSGFMPRDLHALIADAGANLVPRSNFQTDEAELSQSDGPLRVKAVQGTSSNTAAYTMGKEDLAKALERSKKRNASALGAPKVPNVKWEDVGGLEDVKKSILDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKCKRA >EOX94981 pep chromosome:Theobroma_cacao_20110822:1:32275172:32281804:1 gene:TCM_004563 transcript:EOX94981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 6 isoform 1 MLKFPAYSFPQNDSVLLDCDVAYISPLLAFNLNLHISCLRSLVHEGKETLASLFEADVDDKAGREGTDTSVVSLWLEPLGRLPKYASHLRVSFVKIPECSSLESLRGISSIETEDRQEMIDSALHKYFEVDRYLAGGDVFSIFLNWNCNSFICIPCCSRLQNRSNNIIYFKVVAMEPSDEAVLRVNRTQTALVLGGSAPSAVPPDMLIAGTKGFVPLQGDTVKILASILTPPLCLSPLSLNFRVSVLLHGLPGCGKRTVVRYVAKRLGLHVIEYSCHNLTASSEKKTSAALTQAFNSAQRYSPTILLLRHFDVFRNLASHEGSPSDQIGLSSEVASVIREFTEPDEDGYAEDISNGDFPVKDTGNVGRHQVMLVAAADGSEGLAPAIRRCFTHEVSMGPLTEEQRAEMLSQSLQGVAELLSNTCLKEFVKDIVGQTSGFMPRDLHALIADAGANLVPRSNFQTDEAELSQSDGPLRVKAVQGTSSNTAAYTMGKEDLAKALERSKKRNASALGAPKVPNVKWEDVGGLEDVKKSILDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESERNVRDIFQKARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLNDSTQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNSDASYRERVLKALTRKFRLHEDVSLYSIAKRCPPNFTGADMYALCADAWFHAAKRKVSQDQRFSLKKPSESVISSMSMQCTLRNLSLSCIKILQALPTNCSLHCLDWFFLCRC >EOX90664 pep chromosome:Theobroma_cacao_20110822:1:271943:273501:-1 gene:TCM_000067 transcript:EOX90664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside-triphosphatase C1orf57 isoform 1 MAGVGKCFLLTGPPGVGKTNLIMRIYESLKYSNPNLKLQGFYTQEIRQGGERVGFEVFTLDGRRGQLACTVIPSPAYVHDSPEAHQWPRVGKYKVDVASFESVALPELKIREDTDLFIIDEVGKMELYSSYFFPAILKILQSNIPLLATVPIPNFGKDIPAVARLKNHPGATIFTLDQYNRDAMHEQIYSQLVDILPKPKLQD >EOX90665 pep chromosome:Theobroma_cacao_20110822:1:271930:273448:-1 gene:TCM_000067 transcript:EOX90665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside-triphosphatase C1orf57 isoform 1 MAGVGKCFLLTGPPGVGKTNLIMRIYESLKYSNPNLKLQGFYTQEIRQGGERVGFEVFTLDGRRGQLACTVIPSPEAHQWPRVGKYKVDVASFESVALPELKIREDTDLFIIDEVGKMELYSSYFFPAILKILQSNIPLLATVPIPNFGKDIPAVARLKNHPGATIFTLDQYNRDAMHEQIYSQLVDILPKPKLQD >EOX93556 pep chromosome:Theobroma_cacao_20110822:1:13944864:13946941:-1 gene:TCM_002445 transcript:EOX93556 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MGSRKGSDNDGASPGKIFIGGLAKDTTLDTFTKYFEKYGEITDFVIMKDRHTGRPRGFGFITFADPSVVDTVMQEDHVINGKQVEIKRTIPKGSSQSNDFKTKKIFVGGIPTSVTEDEFKNFFSKYGKVVEHEIIRDHATKRSRGFGFIVFDSEKVVDNMLANGNMIDMEGTQVEIKKAEPKKASNPAPGPAYGSESRARSYNDGYGGFGDYGGFGSGGGFGAAPYRSFGGFGSRFGDYGGYGGGGADFGGSYAGFGGGAGAFSGYRGESSFGYSSRFGSYAGGLSGSGLGAYGRGGGGYGSYGGSGSSGSYDSGPGAGFGGPGGIYGSRTGYGGSSRYHPYAR >EOX93553 pep chromosome:Theobroma_cacao_20110822:1:13944418:13946941:-1 gene:TCM_002445 transcript:EOX93553 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MGSRKGSDNDGASPGKIFIGGLAKDTTLDTFTKYFEKYGEITDFVIMKDRHTGRPRGFGFITFADPSVVDTVMQEDHVINGKQVEIKRTIPKGSSQSNDFKTKKIFVGGIPTSVTEDEFKNFFSKYGKVVEHEIIRDHATKRSRGFGFIVFDSEKVVDNMLANGNMIDMEGTQVEIKKAEPKKASNPAPGPAYGSESRARSYNDGYGGFGDYGGFGSGGGFGAAPYRSFGGFGSRFGDYGGYGGGGADFGGSYAGFGGGAGAFSGYRGESSFGYSSRFGSYAGGLSGSGLGAYGRGGGGYGSYGGSGSSGSYDSGPGAGFGGPGGIYGSRTGYGGSSRYHPYAR >EOX93555 pep chromosome:Theobroma_cacao_20110822:1:13943904:13947131:-1 gene:TCM_002445 transcript:EOX93555 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MGSRKGSDNDGASPGKIFIGGLAKDTTLDTFTKYFEKYGEITDFVIMKDRHTGRPRGFGFITFADPSVVDTVMQEDHVINGKQVEIKRTIPKGSSQSNDFKTKKIFVGGIPTSVTEDEFKNFFSKYGKVVEHEIIRDHATKRSRGFGFIVFDSEKVVDNMLANGNMIDMEGTQVEIKKAEPKKASNPAPGPAYGSESRARSYNDGYGGFGDYGGFGSGGGFGAAPYRSFGGFGSRFGDYGGYGGGGADFGGSYAGFGGGAGAFSGYRGESSFGYSSRFGSYAGGLSGSGLGAYGRGGGGYGSYGGSGSSGSYDSGPGAGFGGPGGIYGSRTGYGGSSRYHPYAR >EOX93554 pep chromosome:Theobroma_cacao_20110822:1:13943497:13947131:-1 gene:TCM_002445 transcript:EOX93554 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MGSRKGSDNDGASPGKIFIGGLAKDTTLDTFTKYFEKYGEITDFVIMKDRHTGRPRGFGFITFADPSVVDTVMQEDHVINGKQVEIKRTIPKGSSQSNDFKTKKIFVGGIPTSVTEDEFKNFFSKYGKVVEHEIIRDHATKRSRGFGFIVFDSEKVVDNMLANGNMIDMEGTQVEIKKAEPKKASNPAPGPAYGSESRARSYNDGYGGFGDYGGFGSGGGFGAAPYRSFGGFGSRFGDYGGYGGGGADFGGSYAGFGGGAGAFSGYRGESSFGYSSRFGSYAGGLSGSGLGAYGRGGGGYGSYGGSGSSGSYDSGPGAGFGGPGGIYGSRTGYGGSSRYHPYAR >EOX93557 pep chromosome:Theobroma_cacao_20110822:1:13943429:13945865:-1 gene:TCM_002445 transcript:EOX93557 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 DEFKNFFSKYGKVVEHEIIRDHATKRSRGFGFIVFDSEKVVDNMLANGNMIDMEGTQVEIKKAEPKKASNPAPGPAYGSESRARSYNDGYGGFGDYGGFGSGGGFGAAPYRSFGGFGSRFGDYGGYGGGGADFGGSYAGFGGGAGAFSGYRGESSFGYSSRFGSYAGGLSGSGLGAYGRGGGGYGSYGGSGSSGSYDSGPGAGFGGPGGIYGSRTGYGGSSRYHPYARRKSVI >EOX93166 pep chromosome:Theobroma_cacao_20110822:1:10991768:10997172:-1 gene:TCM_002013 transcript:EOX93166 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MGAKCALKMPKIILVPYPAQGHVTPMLKLGSAFLGQGFQPIIVTPEFIHHRITANMDPIDEIRFLSIPDGLSEEGPHDFFAIEKAMENTMPTHLEGLIHRVDEEEEDGRVACVVIDLLASWAIQVAYRCRIPAAGFWPNMQITYRLITAIPDMLRSSLISKTGHVAGCPQRQGTVCCLPGQPMLSTEDLPWLIGTQAARNARFKFWTRTLERSRSLRWLLVNSFPHEFTGDDHNSTDHDNPIVFPVGPLSKPAIVKNPSFWEEDSSCIDWLDKRKPNSVLYISFGSWVSPIGDAKIKTLALTLEALRRPFIWVLAHAWRQGLPNRYLERVSKQGKVVSWAPQLQVLQHKAVGLYLTHCGWNSTVEAIQCQKRLLCFPIAGDQFVNCKYIVKVWKIGVKINGFGQKDVEDALRKVTEDGEMKERLMKLYERTMGEEATSRAVANLKAFLLDSTTKQFNSLKDVYIMSEGYRNASASSSSSLNSSFQDTEDDQTIASILAEEENLHKDGRLAKRLSHLDSIPV >EOX95367 pep chromosome:Theobroma_cacao_20110822:1:33923368:33925393:1 gene:TCM_004881 transcript:EOX95367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactinol synthase 1 MAPELVQAAAKPTAFAKPVTLPKRAYVTFLAGNGDYVKGVVGLAKGLRKVKSAYPLVVAVLPDVPEEHRRVLENQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSRMIYLDGDIQVFDNIDHLFDLPDGHCYAVMDCFCEKTWSHTQQYKIGYCQQCPDKVKWPAEMGQPPSLYFNAGMFVFEPSLFTYKNLLETLKITPPTPFAEQDLLNMFFRDIYKPIPLLYNLVLAMLWRHPENVELDKVKVVHYCAAGSKPWRWTGKEENMHREDIKMLVQKWWDIYNDESLDYKKPTVAEGAAEPVNPQPFLAALSEAGAVQYVTAPSAA >EOX92726 pep chromosome:Theobroma_cacao_20110822:1:8283871:8288065:-1 gene:TCM_001620 transcript:EOX92726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger WD40 repeat protein 1, putative isoform 3 MEIKQAARRYDDHSVQGIYTRHRGKAASLNVYRRNAKPYQAISEDLSRKSSNHGPKNSYVITPKAQKQEDKVCKYWISGHCARGNKCWYLHSWCHGDGFTMLAKLEGHKKAVRGIALPLGSEKLYSASGDGTLRTWDCHSGQCACLSNLGDEVGSMITEGPWVFVGMKDVIEAWNTQTAQELSLKGPVGQVHSMVIANNMLFAGAQNGFIFAWKGSSEVANPFQLVASMEGHSGAVLCLTVGEKKLYSGSVDHTIGVWDTDTLQCIKTLNGHEDAVTSLLHCNGCLFSCSLDCSIKVWFATVGENWEVIYTHKEENGVLALCGMNDAETKPVLFCSCNDNTVRLYDLPSFTERGRLYSKREVRVIHRGPFPLFFTGDGSGSITVWKWL >EOX92727 pep chromosome:Theobroma_cacao_20110822:1:8283917:8288068:-1 gene:TCM_001620 transcript:EOX92727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger WD40 repeat protein 1, putative isoform 3 PLVYVNSSVLPVKPISYINYHINPPNIPKSHSLSNKSKLCFVLPFRRFSSLVLQDPVFSHSQAVRGIALPLGSEKLYSASGDGTLRTWDCHSGQCACLSNLGDEVGSMITEGPWVFVGMKDVIEAWNTQTAQELSLKGPVGQVHSMVIANNMLFAGAQNGFIFAWKGSSEVANPFQLVASMEGHSGAVLCLTVGEKKLYSGSVDHTIGVWDTDTLQCIKTLNGHEDAVTSLLHCNGCLFSCSLDCSIKVWFATVGENWEVIYTHKEENGVLALCGMNDAETKPVLFCSCNDNTVRLYDLPSFTERGRLYSKREVRVIHRGPFPLFFTGDGSGSITVWKWL >EOX92728 pep chromosome:Theobroma_cacao_20110822:1:8283920:8287116:-1 gene:TCM_001620 transcript:EOX92728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger WD40 repeat protein 1, putative isoform 3 MEIKQAARRYDDHSVQGIYTRHRGKAASLNVYRRNAKPYQAISEDLSRKSSNHGPKNSYVITPKAQKQEDKVCKYWISGHCARGNKCWYLHSWCHGDGFTMLAKLEGHKKVVIVRNLGYNQNEESYIILSNISCFSLLQAVRGIALPLGSEKLYSASGDGTLRTWDCHSGQCACLSNLGDEVGSMITEGPWVFVGMKDVIEAWNTQTAQELSLKGPVGQVHSMVIANNMLFAGAQNGFIFAWKGSSEVANPFQLVASMEGHSGAVLCLTVGEKKLYSGSVDHTIGVWDTDTLQCIKTLNGHEDAVTSLLHCNGCLFSCSLDCSIKVWFATVGENWEVIYTHKEENGVLALCGMNDAETKPVLFCSCNDNTVRLYDLPSFTERGRLYSKREVRVIHRGPFPLFFTGDGSGSITVWKWL >EOX94554 pep chromosome:Theobroma_cacao_20110822:1:30142184:30144556:-1 gene:TCM_004187 transcript:EOX94554 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 21 MEEVEDANKAAVESCHRVLSILSQPQDQVHYRNLMAETGEAVFRFKRVVSLLNAGLGHARVRKLRKLQTPFPQSILLDNPHHTATDYPSKNLQLGYHESSVQELSSHAKTSLYLGNPSLELSSNGKNPLQVAQQGPSGHYLYLQQQQLQQRLQLQQQQQQQQQQQQQQMKHQAEMMFRKRNSGINLNFDSSSCTPTRSSTRSFISSLSIDGSVANLDGGSAFNLIGAPRSSDQGSQPKKKCSARGEDGSVKCGSSGRCHCSKKRKHRVKRSIKVPAISSKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEEPSMLIVTYEGEHNHPRLPSQSTT >EOX93349 pep chromosome:Theobroma_cacao_20110822:1:12215198:12216817:1 gene:TCM_002186 transcript:EOX93349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRDKSETVYINRQGTLSHLSCHCSTIPIFSLVLKSPKHFFIRFLGHKPPSMAPRPAPFLVMDPIIVRAAGQNHSLRKQFYSRPFLGSSRSLEHRSSIKGSSKFFLIFYPS >EOX96225 pep chromosome:Theobroma_cacao_20110822:1:37053955:37057527:1 gene:TCM_046703 transcript:EOX96225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flower, cultured cell, putative MQKERQGRNDLFDMGEPFDAFRRFGGLGSRRTMMPSLFGGRDPFDDPFFTRTFGSMFESSIFDSSATFRDTLDSNREKGIVIEELNPDGEEDEGKDKGATEHAGSGKEPSVEHPDDDDNADGKIQNVNPRNDYDRVEGPKSQARGFSVQTCKVTYGGVDGAYYTSTKSRRTGSDGVVIEERKEADRTTGQATHRVSRGIHDKGHSVTRKLNSDGKVDTTQTLHNLNEDELEDFEQAWKGNSQGHLPGWSDGFSMHANAGSSSDEHMGKAVWDSWRLPSREHARNTGGQAQGAADSEARTNSGGRTKKIVRINIE >EOX95745 pep chromosome:Theobroma_cacao_20110822:1:35439208:35441396:1 gene:TCM_005175 transcript:EOX95745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid rhodanese-like, putative isoform 1 MEALNAASLTPLSVLCERRAKTRKVSSLSIASPPKVPTSAAFIKTPPSLQECLSRSLHRGIVLLSSVLTSGAAKALSYEEALQQTKDFSSSFGLDSNGVVDSVVSFVTENPTVVAGGAVALAVPLILSQLLKNPKPWGVESAKSAYAKLGDDATAQLLDIRAIKEFREVGSPDVRGFGKKPVSITFKNEDKPGFLKKLSLKFREPENTTLFVIDKFDGNSELVAELVTANGFKAAYAVKDGAEGQRGWMNSGLPWIPPKKGLDLSNLTETITGALGEGSDGLSVTLGIAAAAGLGFLAFSELETILQLLGSAAIVQLVSKKLLFAEASLHF >EOX95747 pep chromosome:Theobroma_cacao_20110822:1:35439208:35441396:1 gene:TCM_005175 transcript:EOX95747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid rhodanese-like, putative isoform 1 MEALNAASLTPLSVLCERRAKTRKVSSLSIASPPKVPTSAAFIKTPPSLQECLSRSLHRGIVLLSSVLTSGAAKALSYEEALQQTKDFSSSFGLDSNGVVDSVVSFVTENPTVVAGGAVALAVPLILSQLLKNPKPWGVESAKSAYAKLGDDATAQLLDIRAIKEFREVGSPDVRGFGKKPVSITFKNEDKPGFLKKLSLKFREPENTTLFVIDKFDGNSELVAELVTANGFKAAYAVKDGAEGQRGWMNSGLPWIPPKKGLDLSNLTETITGALGEGSDGLSVTLGIAAAAGLGFLAFSELETILQLLGSAAIVQLVSKKLLFAEASLHF >EOX95743 pep chromosome:Theobroma_cacao_20110822:1:35438918:35443286:1 gene:TCM_005175 transcript:EOX95743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid rhodanese-like, putative isoform 1 MEALNAASLTPLSVLCERRAKTRKVSSLSIASPPKVPTSAAFIKTPPSLQECLSRSLHRGIVLLSSVLTSGAAKALSYEEALQQTKDFSSSFGLDSNGVVDSVVSFVTENPTVVAGGAVALAVPLILSQLLKNPKPWGVESAKSAYAKLGDDATAQLLDIRAIKEFREVGSPDVRGFGKKPVSITFKNEDKPGFLKKLSLKFREPENTTLFVIDKFDGNSELVAELVTANGFKAAYAVKDGAEGQRGWMNSGLPWIPPKKGLDLSNLTETITGALGEGSDGLSVTLGIAAAAGLGFLAFSELETILQLLGSAAIVQLVSKKLLFAEDRKQTLQQVDEFLTTKIAPNEFVDEIKQIGVALLPTTTGKSLPAPTEAKPEPRVEAAAEPPPQINSVPTTGAKADEPSGFPRPLSPYASYPDLKPPTSPTPSQS >EOX95746 pep chromosome:Theobroma_cacao_20110822:1:35438883:35442957:1 gene:TCM_005175 transcript:EOX95746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid rhodanese-like, putative isoform 1 MEALNAASLTPLSVLCERRAKTRKVSSLSIASPPKVPTSAAFIKTPPSLQECLSRSLHRGIVLLSSVLTSGAAKALSYEEALQQTKDFSSSFGLDSNGVVDSVVSFVTENPTVVAGGAVALAVPLILSQLLKNPKPWGVESAKSAYAKLGDDATAQLLDIRAIKEFREVGSPDVRGFGKKPVSITFKNEDKPGFLKKLSLKFREPENTTLFVIDKFDGNSELVAELVTANGFKAAYAVKDGAEGQRGWMNSGLPWIPPKKGLDLSNLTETITGALGEGSDGLSVTLGIAAAAGLGFLAFSELETILQLLGSAAIVQLVSKKLLFAEDRKQTLQQVDEFLTTKIAPNEFVDEIKQIGVALLPTTTGKSLPAPTEFSSSHDDFWIKKLVKRGRREPLKIYK >EOX95744 pep chromosome:Theobroma_cacao_20110822:1:35438883:35442477:1 gene:TCM_005175 transcript:EOX95744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid rhodanese-like, putative isoform 1 MEALNAASLTPLSVLCERRAKTRKVSSLSIASPPKVPTSAAFIKTPPSLQECLSRSLHRGIVLLSSVLTSGAAKALSYEEALQQTKDFSSSFGLDSNGVVDSVVSFVTENPTVVAGGAVALAVPLILSQLLKNPKPWGVESAKSAYAKLGDDATAQLLDIRAIKEFREVGSPDVRGFGKKPVSITFKNEDKPGFLKKLSLKFREPENTTLFVIDKFDGNSELVAELVTANGFKAAYAVKDGAEGQRGWMNSGLPWIPPKKGLDLSNLTETITGALGEGSDGLSVTLGIAAAAGLGFLAFSELETILQLLGSAAIVQLVSKKLLFAEDRKQTLQQVDEFLTTKIAPNEFVDEISKLEWPYYQQLQASLFLHLPRLNQSRE >EOX95748 pep chromosome:Theobroma_cacao_20110822:1:35438883:35442957:1 gene:TCM_005175 transcript:EOX95748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid rhodanese-like, putative isoform 1 MEALNAASLTPLSVLCERRAKTRKVSSLSIASPPKVPTSAAFIKTPPSLQECLSRSLHRGIVLLSSVLTSGAAKALSYEEALQQTKDFSSSFGLDSNGVVDSVVSFVTENPTVVAGGAVALAVPLILSQLLKNPKPWGVESAKSAYAKLGDDATAQLLDIRAIKEFREVGSPDVRGFGKKPVSITFKNEDKPGFLKKLSLKFREPENTTLFVIDKFDGNSELVAELVTANGFKAAYAVKDGAEGQRGWMNSGLPWIPPKKGLDLSNLTETITGALGEGSDGLSVTLGIAAAAGLGFLAFSELETILQLLGSAAIVQLVSKKLLFAEDRKQTLQQVDEFLTTKIAPNEFVDINK >EOX91144 pep chromosome:Theobroma_cacao_20110822:1:1733948:1737261:-1 gene:TCM_000425 transcript:EOX91144 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPESGNSRGFGFISYDSFEASDAAIEAMNGQYLCNRQITVSYAYKKDTKGERHGTPAERVLAASNPNAQKSRPHTLFASAPPSLPSGPQANGAIGAPVPPRPFANGTVPPGPMPVLRPPPPQSVPFPPMQVPGQAPWQGQPQQPGQPIPPPVMPQHMQFRPPPNMPPPPPPQAASALSRPPPPMGMGAPQWPPPPPPQQMVGRPPMPQMSMPPPPPPPSTS >EOX91143 pep chromosome:Theobroma_cacao_20110822:1:1733464:1737706:-1 gene:TCM_000425 transcript:EOX91143 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MTTRIAPGVGANLLGQHSAERNQDATAYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKVLNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPESGNSRGFGFISYDSFEASDAAIEAMNGQYLCNRQITVSYAYKKDTKGERHGTPAERVLAASNPNAQKSRPHTLFASAPPSLPSGPQANGAIGAPVPPRPFANGTVPPGPMPVLRPPPPQSVPFPPMQVPGQAPWQGQPQQPGQPIPPPVMPQHMQFRPPPNMPPPPPPQAASALSRPPPPMGMGAPQWPPPPPPQQMVGRPPMPQMSMPPPPPPPSTS >EOX91597 pep chromosome:Theobroma_cacao_20110822:1:3237242:3241009:1 gene:TCM_000735 transcript:EOX91597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MGPDLEPKDKSETMMEVVLNNNENGSLPHDSKDKLMHCVSNCEDHIFAEETLYGEGQAKIPEGDEYMEINITECTNSGGDRLAVAECQDDTENSSSFGGTASGVENDSAISDAEVESALCGASPLGSVFDGLFPMRKRKLTDHWRRFIRPLMWRCKWLELQLKEFKSQALTYDRELAEYDQRKKFEYEKFTFEGLDVKSQPFPRQIQRKKVMKRRKRKRVEETADLASYMSFHNLFSYYESKKSVVATATLDNDNGNLENKTGNGNGDVWLNDGLACLEFRDGDTWSGQILRQIDLVQSQVRRLKTRVDKVVNESPRKFSSINMLSSLVPSDALNSSRNRPSPRESGERIPHRSQYASSQHLSECNMGDLFMPGSAVSSHGEVTPFPDMVEGTGQHLAGISYENTEDNILIHNQAAKEELRNFRSGLTEQAVEPRKPMEKPKTVSIVLAPGDDLPTNPSVQPNEKIPLTSKSKGPNNKRKRGKRKSGSGRWSRRSSG >EOX91599 pep chromosome:Theobroma_cacao_20110822:1:3237369:3240858:1 gene:TCM_000735 transcript:EOX91599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MRKRKLTDHWRRFIRPLMWRCKWLELQLKEFKSQALTYDRELAEYDQRKKFEYEKFTFEGLDVKSQPFPRQIQRKKVMKRRKRKRVEETADLASYMSFHNLFSYYESKKSVVATATLDNDNGNLENKTGNGNGDVWLNDGLACLEFRDGDTWSGQILRQIDLVQSQVRRLKTRVDKVVNESPRKFSSINMLSSLVPSDALNSSRNRPSPRESGERIPHRSQYASSQHLSECNMGDLFMPGSAVSSHGEVTPFPDMVEGTGQHLAGISYENTEDNILIHNQAAKEELRNFRSGLTEQAVEPRKPMEKPKTVSIVLAPGDDLPTNPSVQPNEKIPLTSKSKGPNNKRKRGKRKSGSGRWSRRSSG >EOX91598 pep chromosome:Theobroma_cacao_20110822:1:3237369:3240858:1 gene:TCM_000735 transcript:EOX91598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MGPDLEPKDKSETMMEVVLNNNENGSLPHDSKDKLMHCVSNCEDHIFAEETLYGEGQAKIPEGDEYMEINITECTNSGGDRLAVAECQDDTENSSSFGGTASGVENDSAISDAEVESALCGASPLGSVFDGLFPMRKRKLTDHWRRFIRPLMWRCKWLELQLKEFKSQALTYDRELAEYDQRKKFEYEKFTFEGLDVKSQPFPRQIQRKKVMKRRKRKRVEETADLASYMSFHNLFSYYESKKSVVATATLDNDNGNLENKTGNGNGDVWLNDGLACLEFRDGDTWSGQILRQIDLVQSQVRRLKTRVDKVVNESPRKFSSINMLSSLVPSDALNSSRNRPSPRESGERIPHRSQYASSQHLSECNMGDLFMPGSAVSSHGEVTPFPDMVEGTGQHLAGISYENAAKEELRNFRSGLTEQAVEPRKPMEKPKTVSIVLAPGDDLPTNPSVQPNEKIPLTSKSKGPNNKRKRGKRKSGSGRWSRRSSG >EOX96615 pep chromosome:Theobroma_cacao_20110822:1:38410818:38792899:1 gene:TCM_005832 transcript:EOX96615 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative isoform 3 MECGLAVVGENLLSTLLDVLSEELAAPMLLEFARKEQVHAHLKKWETILFKIQAVLEDAEERQFTDRVVKIWLDELKDLAYDIEDVLDDFSTEALRQKSKEQSQSITGKIRKFVTSFLNHFTFNYKMASKIKEITARLEDVVKQKDVLGLTESVGGRRDRVLRRIPSTSLVNESLVFGRESDRDHIINELILKEEESSDGGISVIPIVGMGGLGKTTLAQLVYNDARVETFFKLRAWICVSEEFDVVRVMKTLLESLTSRACNVIDLNGLQVKVKEILSEKRFLIVLDDVWNENYNDWTVLRSPLEVGSAESKIIITTRSQRAASMMGTVSAYHLKEMSHDHCLSLFTQHALGSRNFDNYPHLKEIGEAIVKRCKGLPLAVKTLAGLLRCKIGYHEWEDILNSRIWDLPEDNGAILPALRLSYHYLPFHLKPCFAYCSLFPKDYEFEKDELVQLWIAEGFIHQLKGMKQVEGLGFEYFHELLSRSFFQQSSVSKSCYMMHDLINDLAQYVAGEVCFRLEDKISSNGKCYVSKRARHSSFIRQKYDVHKKFESFYKMKCLRTFLALPVFVSDLEGECYLTKMLFQDLLPKLRCLRVLSFSGYCISELPDSIGDLNHLRYLNLSRTRVKCLPESLCALCNLQTLNLSGCKKLTKLPQRMENLISLHYLDIADTDNLREMPLHIGNLINLKKLSKFIVAKGSGPSIRELKGLSRLQGQLSLFELQNVAVIRDVRVANLKEKRGLDELVMKWSDAFNGFQSKVDELDVLDMLEPHQNLKKLSILYYAGSKFPSWIRIPSFVNMVCLNFRDCSKITSLPSLGRLPSLKYLHIEGMTGLSFVDSEFYGATSYSDELFPSLETLTFGKMLKWENWSQPQVFEAANKNFPHLQELVMWNCPKLVEALPNSLTSLVKLSICECPQLAASFLSLPSLRELNLEQCNEQFLTKFINLTALTRLKIENISNLSYLPKDFTCLVSLEGLEVEDCSQLTSLLQEGARLENLYRLKRLAIMKCPQLLWLIDDEDELPSSLEYLEIEDCTKLEKLPNGLEKLRSLKDLSVKWCPKLRSFPNRDLPSMLKNLAILGCESLESLPKGLVHYDNGRITTCHLENLEILGCPSLSLFPPGELPAALKQLEIWDCKQLECIPERLLQNSRSLEFIRIGNCEKLKAFPQCMYSFEHLTELHVNQCPSLQSFPESGLPIRTLRTVSISNCVNLKSLPNKMHDLTSLQYLTIFGCPSVTYFPEGGFPPNVLSLSIWGCKQLKQPFAEWCLNKLTSLKDLNVGDFDIDMTSFPEDSTIPRTLVHLRVQSLPNLRFLSKGLQDLVFLEGLDVWDCPKLQFLPKDGLPIMLGVLHIRNCPLLENQCLDEKDWILRWTAKTEVPIPPTVQPGKTLCCLNNSSERERCKVYLMNMLMRRFSH >EOX96613 pep chromosome:Theobroma_cacao_20110822:1:38404830:38416388:1 gene:TCM_005832 transcript:EOX96613 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative isoform 3 MRLSFLLLFLKLLPIGRSYLIYHPLLFTKRLCFCAMECGLAVVGENLLSTLLDVLSEELAAPMLLEFARKEQVHAHLKKWETILFKIQAVLEDAEERQFTDRVVKIWLDELKDLAYDIEDVLDDFSTEALRQKSKEQSQSITGKIRKFVTSFLNHFTFNYKMASKIKEITARLEDVVKQKDVLGLTESVGGRRDRVLRRIPSTSLVNESLVFGRESDRDHIINELILKEEESSDGGISVIPIVGMGGLGKTTLAQLVYNDARVETFFKLRAWICVSEEFDVVRVMKTLLESLTSRACNVIDLNGLQVKVKEILSEKRFLIVLDDVWNENYNDWTVLRSPLEVGSAESKIIITTRSQRAASMMGTVSAYHLKEMSHDHCLSLFTQHALGSRNFDNYPHLKEIGEAIVKRCKGLPLAVKTLAGLLRCKIGYHEWEDILNSRIWDLPEDNGAILPALRLSYHYLPFHLKPCFAYCSLFPKDYEFEKDELVQLWIAEGFIHQLKGMKQVEGLGFEYFHELLSRSFFQQSSVSKSCYMMHDLINDLAQYVAGEVCFRLEDKISSNGKCYVSKRARHSSFIRQKYDVHKKFESFYKMKCLRTFLALPVFVSDLEGECYLTKMLFQDLLPKLRCLRVLSFSGYCISELPDSIGDLNHLRYLNLSRTRVKCLPESLCALCNLQTLNLSGCKKLTKLPQRMENLISLHYLDIADTDNLREMPLHIGNLINLKKLSKFIVAKGSGPSIRELKGLSRLQGQLSLFELQNVAVIRDVRVANLKEKRGLDELVMKWSDAFNGFQSKVDELDVLDMLEPHQNLKKLSILYYAGSKFPSWIRIPSFVNMVCLNFRDCSKITSLPSLGRLPSLKYLHIEGMTGLSFVDSEFYGATSYSDELFPSLETLTFGKMLKWENWSQPQVFEAANKNFPHLQELVMWNCPKLVEALPNSLTSLVKLSICECPQLAASFLSLPSLRELNLEQCNEQFLTKFINLTALTRLKIENISNLSYLPKDFTCLVSLEGLEVEDCSQLTSLLQEGARLENLYRLKRLAIMKCPQLLWLIDDEDELPSSLEYLEIEDCTKLEKLPNGLEKLRSLKDLSVKWCPKLRSFPNRDLPSMLKNLAILGCESLESLPKGLVHYDNGRITTCHLENLEILGCPSLSLFPPGELPAALKQLEIWDCKQLECIPERLLQNSRSLEFIRIGNCEKLKAFPQCMYSFEHLTELHVNQCPSLQSFPESGLPIRTLRTVSISNCVNLKSLPNKMHDLTSLQYLTIFGCPSVTYFPEGGFPPNVLSLSIWGCKQLKQPFAEWCLNKLTSLKDLNVGDFDIDMTSFPEDSTIPRTLVHLRVQSLPNLRFLSKGLQDLVFLEGLDVWDCPKLQFLPKDGLPIMLGVLHIRNCPLLENQCLDEKDWILRWTAKTEVPIPPTVQPDCWFEHLCS >EOX96614 pep chromosome:Theobroma_cacao_20110822:1:38410527:38416948:1 gene:TCM_005832 transcript:EOX96614 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative isoform 3 MRLSFLLLFLKLLPIGRSYLIYHPLLFTKRLCFCAMECGLAVVGENLLSTLLDVLSEELAAPMLLEFARKEQVHAHLKKWETILFKIQAVLEDAEERQFTDRVVKIWLDELKDLAYDIEDVLDDFSTEALRQKSKEQSQSITGKIRKFVTSFLNHFTFNYKMASKIKEITARLEDVVKQKDVLGLTESVGGRRDRVLRRIPSTSLVNESLVFGRESDRDHIINELILKEEESSDGGISVIPIVGMGGLGKTTLAQLVYNDARVETFFKLRAWICVSEEFDVVRVMKTLLESLTSRACNVIDLNGLQVKVKEILSEKRFLIVLDDVWNENYNDWTVLRSPLEVGSAESKIIITTRSQRAASMMGTVSAYHLKEMSHDHCLSLFTQHALGSRNFDNYPHLKEIGEAIVKRCKGLPLAVKTLAGLLRCKIGYHEWEDILNSRIWDLPEDNGAILPALRLSYHYLPFHLKPCFAYCSLFPKDYEFEKDELVQLWIAEGFIHQLKGMKQVEGLGFEYFHELLSRSFFQQSSVSKSCYMMHDLINDLAQYVAGEVCFRLEDKISSNGKCYVSKRARHSSFIRQKYDVHKKFESFYKMKCLRTFLALPVFVSDLEGECYLTKMLFQDLLPKLRCLRVLSFSGYCISELPDSIGDLNHLRYLNLSRTRVKCLPESLCALCNLQTLNLSGCKKLTKLPQRMENLISLHYLDIADTDNLREMPLHIGNLINLKKLSKFIVAKGSGPSIRELKGLSRLQGQLSLFELQNVAVIRDVRVANLKEKRGLDELVMKWSDAFNGFQSKVDELDVLDMLEPHQNLKKLSILYYAGSKFPSWIRIPSFVNMVCLNFRDCSKITSLPSLGRLPSLKYLHIEGMTGLSFVDSEFYGATSYSDELFPSLETLTFGKMLKWENWSQPQVFEAANKNFPHLQELVMWNCPKLVEALPNSLTSLVKLSICECPQLAASFLSLPSLRELNLEQCNEQFLTKFINLTALTRLKIENISNLSYLPKDFTCLVSLEGLEVEDCSQLTSLLQEGARLENLYRLKRLAIMKCPQLLWLIDDEDELPSSLEYLEIEDCTKLEKLPNGLEKLRSLKDLSVKWCPKLRSFPNRDLPSMLKNLAILGCESLESLPKGLVHYDNGRITTCHLENLEILGCPSLSLFPPGELPAALKQLEIWDCKQLECIPERLLQNSRSLEFIRIGNCEKLKAFPQCMYSFEHLTELHVNQCPSLQSFPESGLPIRTLRTVSISNCVNLKSLPNKMHDLTSLQYLTIFGCPSVTYFPEGGFPPNVLSLSIWGCKQLKQPFAEWCLNKLTSLKDLNVGDFDIDMTSFPEDSTIPRTLVHLRVQSLPNLRFLSKGLQDLVFLEGLDVWDCPKLQFLPKDGLPIMLGVLHIRNCPLLENQCLDEKDWILRWTAKTEVPIPPTVQPDCWFEHLCS >EOX92757 pep chromosome:Theobroma_cacao_20110822:1:8466331:8469632:-1 gene:TCM_001641 transcript:EOX92757 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1 MAQQAVQKNTLYVGGLAEEVNEAILHAAFIPFGDIKDVKTPLDQATQKHRSFGFVTFLEKEDASAAMDNMDGAELYGRVLTVNYALPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRIQAENQAAMRAAEELHRKKMAEEREGEKEDDTEMKDDPMAMAEAEVLKQNN >EOX92174 pep chromosome:Theobroma_cacao_20110822:1:5617422:5628834:1 gene:TCM_001168 transcript:EOX92174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Slufate transporter 2,1 MTSPLTDTTADQEMLDLEKYDCVERVQWVLNTPKPPGLGHELMETAFSWRNKIPFLNKQSGWKGEVLSMLQATLPILSWCQNYKATKFKHDLMAGLTLASLCIPQSIGYATLAKLDPQYGLYTSVVPPLIYAVMGTSREIAIGPVAVISLLLSSMVQKLQDPVANPIAYQKLVLTATFFAGTFQAAFGLFRSGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLGFTQFTNKTDIISVMKAMWSSFHHPWSPHNFILGSSFLIFILITRFLGKRNRKLFWLPAIAPLLSVILATLIVFLTKADKHGVKIIKHIKGGLNPSSVHQLQFNGPHVGEVAKIGLVVAIIALTEAIAVGRSFAAIKGYHLDGNKEMVAMGFMNIIGSFTSCYVATGSFSRTAVNFSAGCETAVSNIVMAITVFISLELFTRLLYYTPTAILASIILSALPGLIDLNEAYNIWKVDKLDFLACIGAFLGVLFATVEIGLLVAVTISFAKIILISIRPGTETLGRLPGSDMFGDVNQYPMAVKTPGVLTMRLKSALLCFANANFVRERIIKWVVEEEKDSKGNAEKTIQLVILDISNLMDIDTSGIASLEELHKNLDSNGMKLAIANPRWQVIHKLKLANFVDKIGGRVYLSVGEAMDSFSL >EOX91736 pep chromosome:Theobroma_cacao_20110822:1:3657064:3659519:1 gene:TCM_000823 transcript:EOX91736 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MPMFQPSKRDAVVAGFDGSGDGQVLDLDTAVKDGVLGGVGGGGVVGAGVGEKLDLKKMIEELDLPEIPSVFICPISLEPMQDPVTLCTGQTYEMSNILKWFNLGHYTCPTTMQELWDDSVTPNRTLYHLIYTWFSQKYSLMKKRSEDVQGRVSELLETLKKVKGQARIQALKELKQVVAAHATARKTVVDEGGVAVISSLLGPFTSHAVGSEAIGILVNLELDSESNTNLMQPTKISLMVDMLNEGSVETKINCTRLMDKLMEEKEFRSESISSHRLLVGVMRLVKDKRHSNGILPGLSFLRSICLLKQVRSLIVSIGAVSQLVELLPSLEPDCLELALFILDTLSSLPEGKVALKDCANTIPNTVRLLMRVSESCTQYALSILWSVCKLAPEECSSVAVEAGLAAKLLLVIQSGCNPVLKQRSAELLKLCSLNYTDTIFISKCKLTRTIQ >EOX91737 pep chromosome:Theobroma_cacao_20110822:1:3657613:3659457:1 gene:TCM_000823 transcript:EOX91737 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MKKRSEDVQGRVSELLETLKKVKGQARIQALKELKQVVAAHATARKTVVDEGGVAVISSLLGPFTSHAVGSEAIGILVNLELDSESNTNLMQPTKISLMVDMLNEGSVETKINCTRLMDKLMEEKEFRSESISSHRLLVGVMRLVKDKRHSNGILPGLSFLRSICLLKQVRSLIVSIGAVSQLVELLPSLEPDCLELALFILDTLSSLPEGKVALKDCANTIPNTVRLLMRVSESCTQYALSILWSVCKLAPEECSSVAVEAGLAAKLLLVIQSGCNPVLKQRSAELLKLCSLNYTDTIFISKCKLTRTIQ >EOX91758 pep chromosome:Theobroma_cacao_20110822:1:3731092:3733846:1 gene:TCM_000840 transcript:EOX91758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 1 MATVSSQILLCCSTPKSKFPTINSSPSRFLTPTKVTYKPTKLMTFNSKGNFRFITRASSSLANEFTANIGDVLGDVSVFTAAGQRVFFKDLWDQKEGIAVVALLRHFGCFCSWELASTLKEAMPKFDSAGVKLIAVGVGTPDKARILAERAYDVLGLYYGLGRTFFNPASAKLLSRLDKLQKAMENYTMQATPDDKSSVLQQGGMFVFKGKELLYARKDEGTGDHASLDDIFDICCKSPVA >EOX91757 pep chromosome:Theobroma_cacao_20110822:1:3731104:3733877:1 gene:TCM_000840 transcript:EOX91757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 1 MATVSSQILLCCSTPKSKFPTINSSPSRFLTPTKVTYKPTKLMTFNSKGNFRFITRASSSLANEFTANIGDVLGDVSVFTAAGQRVFFKDLWDQKEGIAVVALLRHFGCFCSWELASTLKEAMPKFDSAGVKLIAVGVGTPDKARILAERLPFPMDCLYADPDRKAYDVLGLYYGLGRTFFNPASAKLLSRLDKLQKAMENYTMQATPDDKSSVLQQGGMFVFKGKELLYARKDEGTGDHASLDDIFDICCKSPVA >EOX96146 pep chromosome:Theobroma_cacao_20110822:1:36768449:36773157:-1 gene:TCM_005465 transcript:EOX96146 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein MAPENPSHPSENDLPYVLILKPPPSFVIFGDRFFNSTKFRFLKAYESPLPLAHFLLAHAQSVQAILSSGGAPVTADTIRLMPLLRLVVTTSQGLNHIDLSECRRRGIVVASAGTIYSADCADSVVALLIDVLRKVSAADRFVKQGLWSSQGEYPLGSKLGGKRVGIVGLGSIGSEVAKRLEAFGCSISYNSRKKKPFCSYPFYLNVRELAANCDALIICCALTDETHHLINKEVLSALGKDGVIVNIARGPIMDEKELVRCLVEGEIRGAGLDVFEHEPDVPNELFALDNVVMSPHNAVFTWESFEDLRKLVVGNLEAFFSNEPLLTPVGLDDQPHFSG >EOX91629 pep chromosome:Theobroma_cacao_20110822:1:3341117:3344414:-1 gene:TCM_000755 transcript:EOX91629 gene_biotype:protein_coding transcript_biotype:protein_coding description:F22C12.10, putative isoform 3 MDLFLEFLFTISLSFILSFILAKLLSLSSVIDQHLEAVSRSCMEVTAKSEKYVLECEKGLGFVSEVVKVDALGDSADRKNTLEEASSGSYGSPKITGEPTEESYGQENFVKETTEIELAKDEEEELEVLECEKENGGDCLKGGLSDEEDDDDDWERIERTELKKDFGAAVRFLECKSNADQTYDLKIANDVKMQFYGLHKIATEGPCHEPQPMALNLSARAKWNAWKRLGNMSPEAAMEQYITLLSRSIPGWMQDDICGESKQHYADNRACRKLPLDVNTMPENQTITVDYRSLNEMKAHV >EOX91631 pep chromosome:Theobroma_cacao_20110822:1:3341638:3344411:-1 gene:TCM_000755 transcript:EOX91631 gene_biotype:protein_coding transcript_biotype:protein_coding description:F22C12.10, putative isoform 3 MDLFLEFLFTISLSFILSFILAKLLSLSSVIDQHLEAVSRSCMEVTAKSEKYVLECEKGLGFVSEVVKVDALGDSADRKNTLEEASSGSYGSPKITGEPTEESYGQENFVKETTEIELAKDEEEELEVLECEKENGGDCLKGGLSDEEDDDDDWERIERTELKKDFGAAVRFLECKSNADQTYDLKIANDVKMQFYGLHKIATEGPCHEPQPMALNLSARAKWNAWKRLGNMSPEAAMEQYITLLSRSIPGWMQDDICGESKQHYADNRACRKLPLDVNTMPENQTITVDYR >EOX91630 pep chromosome:Theobroma_cacao_20110822:1:3341214:3344330:-1 gene:TCM_000755 transcript:EOX91630 gene_biotype:protein_coding transcript_biotype:protein_coding description:F22C12.10, putative isoform 3 MDLFLEFLFTISLSFILSFILAKLLSLSSVIDQHLEAVSRSCMEVTAKSEKYVLECEKGLGFVSEVVKVDALGDSADRKNTLEEASSGSYGSPKITGEPTEESYGQENFVKETTEIELAKDEEEELEVLECEKENGGDCLKGGLSDEEDDDDDWERIERTELKKDFGAAVRFLECKSNADQTYDLKIANDVKMQFYGLHKIATEGPCHEPQPMALNLSARAKWNAWKRLGNMSPEAAMEQYITLLSRSIPGWMQDDICGESKQHYADNRACRKLPLDVNTMPENQTITVDYSTGL >EOX95249 pep chromosome:Theobroma_cacao_20110822:1:33539994:33540955:-1 gene:TCM_004802 transcript:EOX95249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEAQPQVKATLRLGSEFYTVNAKKDGALSEQLSSMKEESMSILREFITKHNVPNDVPDELVVSSSEDEEEVPKKPNVKSKKAKIN >EOX94624 pep chromosome:Theobroma_cacao_20110822:1:30545091:30549539:1 gene:TCM_004258 transcript:EOX94624 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein MSSLDEFRLSDYSTLLLNVTTSSLTKAQRRWRIAYAAIYSFRVMLSLAKDIISKRRSQHSSVFSHLHPDVALNIEPSSSQLWGENFLSSSSAPKIDQKRLLETVKGKDLVSLSQVGGVEGVAAALGTNPENGIGDDDQEVKKRQEMFGTNTYHKPPPKGLLYFVLDAFKDTTILILLVCAALSLGFGIKEHGAEEGWYEGGSIFVAVFLVVVVSALSNFRQETQFDKLSKISNNITVEVVRGGRRQQISIFDLVVGDVVFLKIGDQIPADGLFLDGYSLQVDESSMTGESDHVEVDTTRNPFLFSGSKVVDGYAQMLVASVGMDTAWGEMMSSITSDKNERTPLQTRLDKLTSSIGKVGLAVAFLVLVVLLIRYFTGNTEDDNGKKEYIGSKTDVDDILNAVVRIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATIICTDKTGTLTLNQMKVTQFWLGEEAIEEDLANIIAPSVLELFYQGVGLNTTGSVCKPVSGSLPEFSGSPTEKAILSWAVLGLGMDMENLKQRYSILHVETFNSEKKRSGVSVRKKADETIHVHWKGAAEMIVAMCSQYYESNGIIRPMNEDGRSRIETIIQGMAASSLRCIAFAHKQVSAEEMEYDDDRRKTHQRIKEGGLTLLGIVGLKDPCRPGVKKAVEACQSAGVGIKMITGDNVFTAKAIATECGILRPDYQEDSGEVVEGNEFRNYTPDERMEKVDKIRVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFSSVATVLRWGRSVYNNIQKFIQFQLTVNVAALVINFIAAVSAGEVPLTAVQLLWVNLIMDTLGALALATDRPTKELMQKPPVGRTEPLITNVMWRNLLAQALYQIAVLLILQFKGESLFNVTEEVKDTLIFNTFVLCQVFNEFNARKLEKQNVFKGILTNRLFLGIVGITIILQVVMVEFLKKFADTEKLKLWQWGVCILLAAFSWPIAWFVKLIPVSDKPFFSYLKRSRIIFTSVKQAIYHRKPSSCRLGSGCGIM >EOX94444 pep chromosome:Theobroma_cacao_20110822:1:28706643:28707987:-1 gene:TCM_004026 transcript:EOX94444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQVVLSTVYTYPPMSEKDVTSVKRLLFYDRILHLIVSYTLHPYSINYSTIKSEDFWAIRAIIDSVGINTRCDPPKQHVMHIKINEHAINKLGFVFVNNYCVCKETINDLEFVGNEDREDTFAKLDVAFSTNSSTHLSVSPSYPSMSTAFDNEQTYSQLLSFMESMDTPVVHWLDALEARTRSSFIANSFLRSNSLCFALNFHCLLEPLTWDIGCSLPLSCLIGLDGHILFMIIIAHLLDFF >EOX95670 pep chromosome:Theobroma_cacao_20110822:1:35146405:35147436:1 gene:TCM_005120 transcript:EOX95670 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 11 MDFGYGTFSESSKMGLEALARARTHQPCAACKMLRRRCDGKCILAPYFPSDEIEKFAGVHKVFGASNVIKMIQMVEETNREDAAKALVYEATARIRDPVYGSTGAIFQLQKMVQELTMQLESTKALVLELQHQKDQLLGILLNVNHRDPLSPIDGGSFSLDHDDSMAYDPAKFPVECDWIF >EOX95945 pep chromosome:Theobroma_cacao_20110822:1:36099920:36104242:1 gene:TCM_005319 transcript:EOX95945 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-like protein 21 isoform 2 MSEVDMAVIKPEMMKSYIWLQTADGSIQQVEQEVAMFCPMICHEVIQKGMGSSKNYAISLPQRVNPAMLSLILDYCRFHQVPGRSNKERKSFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIREIFHLPDDLTEEEKLEPLKNTTDDPRIRLLNRLYAKKRKELKEREKLKNVEVEEERVDDRSVDDLLSFINGDNGDSKGIKTSKSKKKNRRRKDQQKSTSANEANKNHSEESNGLNSVCHSSEVGQKIRPSIGATSNLQDVEDDIFANKNEFDDGDIDDEIDPALKEKLDREVEDFARRLNSDWPERMQEILSLGQERKAVHFPLNGNGSVRRYSNQEQR >EOX95943 pep chromosome:Theobroma_cacao_20110822:1:36097498:36104866:1 gene:TCM_005319 transcript:EOX95943 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-like protein 21 isoform 2 MSEVDMAVIKPEMMKSYIWLQTADGSIQQVEQEVAMFCPMICHEVIQKGMGSSKNYAISLPQRVNPAMLSLILDYCRFHQVPGRSNKERKSFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIREIFHLPDDLTEEEKLEPLKNTTDDPRIRLLNRLYAKKRKELKEREKLKNVEVEEERVDDRSVDDLLSFINGDNGDEVREFCFADSKGIKTSKSKKKNRRRKDQQKSTSANEANKNHSEESNGLNSVCHSSEVGQKIRPSIGATSNLQDVEDDIFANKNEFDDGDIDDEIDPALKEKLDREVEDFARRLNSDWPERMQEILSLGQERKAVHFPLNGNGSVRRYSRAEMISK >EOX95941 pep chromosome:Theobroma_cacao_20110822:1:36097360:36104465:1 gene:TCM_005319 transcript:EOX95941 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-like protein 21 isoform 2 MSEVDMAVIKPEMMKSYIWLQTADGSIQQVEQEVAMFCPMICHEVIQKGMGSSKNYAISLPQRVNPAMLSLILDYCRFHQVPGRSNKERKSFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIREIFHLPDDLTEEEKLEPLKNTTDDPRIRLLNRLYAKKRKELKEREKLKNVEVEEERVDDRSVDDLLSFINGDNGDSKGIKTSKSKKKNRRRKDQQKSTSANEANKNHSEESNGLNSVCHSSEVGQKIRPSIGATSNLQDVEDDIFANKNEFDDGDIDDEIDPALKEKLDREVEDFARRLNSDWPERMQEILSLGQERKAVHFPLNGNGSIRSRDDFKVRILPQLSSEPGQF >EOX95944 pep chromosome:Theobroma_cacao_20110822:1:36097532:36104465:1 gene:TCM_005319 transcript:EOX95944 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-like protein 21 isoform 2 MSEVDMAVIKPEMMKSYIWLQTADGSIQQVEQEVAMFCPMICHEVIQKGMGSSKNYAISLPQRVNPAMLSLILDYCRFHQVPGRSNKERKSFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIREIFHLPDDLTEEEKLEPLKNTTDDPRIRLLNRLYAKKRKELKEREKLKNVEVEEERVDDRSVDDLLSFINGDNGDSKGIKTSKSKKKNRRRKDQQKSTSANEANKNHSEESNGLNSVCHSSEVGQKIRPSIGATSNLQDVEDDIFANKNEFDDGDIDDEIDPALKEKLDREVEDFARRLNSDWPERMQEILSLGQERKAVHFPLNGNGSVRRYSNQEQR >EOX95942 pep chromosome:Theobroma_cacao_20110822:1:36097468:36104465:1 gene:TCM_005319 transcript:EOX95942 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-like protein 21 isoform 2 MSEVDMAVIKPEMMKSYIWLQTADGSIQQVEQEVAMFCPMICHEVIQKGMGSSKNYAISLPQRVNPAMLSLILDYCRFHQVPGRSNKERKSFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIREIFHLPDDLTEEEKLEPLKNTTDDPRIRLLNRLYAKKRKELKEREKLKNVEVEEERVDDRSVDDLLSFINGDNGDSKGIKTSKSKKKNRRRKDQQKSTSANEANKNHSEESNGLNSVCHSSEVGQKIRPSIGATSNLQDVEDDIFANKNEFDDGDIDDEIDPALKEKLDREVEDFARRLNSDWPERMQEILSLGQERKAVHFPLNGNGSVRRYSNQEQR >EOX91789 pep chromosome:Theobroma_cacao_20110822:1:3869230:3874401:-1 gene:TCM_000865 transcript:EOX91789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase S28 family protein isoform 2 MASLTVSFILIIITIVLLPSPSSSLTSQPSNVFPSNKPPRFLGKHSHSIKTNHQQYRYETRYFSQRLDHFSFSDLPNFRQRYLINTEHWVGASRLGPIFLYCGNEGDIEWFAVNTGFVWDIAPHFGAMVLFPEHRYYGESMPYGSKEEAYKNATTLSYLTTEQALADFAVLITDLKRNLSAEGCPVVLFGGSYGGMLAAWMRLKYPHIAIGALASSAPILQFEDIVPPDTFYNIVSNSFKHESNSCFDTIKQSWNALTSAGQREDGLQQLSQTFHLCRELKSVEDLSNWLESAYSYLGMVNYPYPSNFLMPLPGHPIREVCRKIDSSPDGSSILERIFNGVSVYYNYTGEVDCFQLDDDPHGMDGWNWQACTEMVMPMSSDRNTSMFPAYDYDYSAFQEECRRDFQVTPRPRWITTEFGGHDIEHVLKFFGSNIIFSNGLLDPWSGGGVLKNISQTIVALVTEEGAHHIDLRASTTEDPDWLVEQRETEIELIEGWLDNYGEKMKATFKI >EOX91790 pep chromosome:Theobroma_cacao_20110822:1:3871387:3874189:-1 gene:TCM_000865 transcript:EOX91790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase S28 family protein isoform 2 PPPPKMASLTVSFILIIITIVLLPSPSSSLTSQPSNVFPSNKPPRFLGKHSHSIKTNHQQYRYETRYFSQRLDHFSFSDLPNFRQRYLINTEHWVGASRLGPIFLYCGNEGDIEWFAVNTGFVWDIAPHFGAMVLFPEHRYYGESMPYGSKEEAYKNATTLSYLTTEQALADFAVLITDLKRNLSAEGCPVVLFGGSYGGMLAAWMRLKYPHIAIGALASSAPILQFEDIVPPDTFYNIVSNSFKHESNSCFDTIKQSWNALTSAGQREDGLQQLSQTFHLCRELKSVEDLSNWLESAYSYLGMVNYPYPSNFLMPLPGHPIREVCRKIDSSPDGSSILERIFNGVSVYYNYTGEVDCFQLDDDPHGMDGWNWQEGFPGDTK >EOX91373 pep chromosome:Theobroma_cacao_20110822:1:2494386:2500106:-1 gene:TCM_000590 transcript:EOX91373 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal LisH motif isoform 2 MKTPNSFFFFLTSYRRLFCCRGIASFPFLNAKDPQLHTEKLINRTRILHFPSLSRSLFKTFPRELTKHMDSSPVNWEALDALILDFAKSENLIEDSSPPSSPSLTSPSSPSLSSSSYRSRLIIRQIRRLLEAGDIDAAIDLLGAHAPFILDDHRFLFRLQKQFEFASVIEFFFFFLCFVLLKKFIELLRNGTKEDRGFAIDYLRTSLAPCALNAYPEAYEEFKHVLLAFIYDKDDQTSPVANEWDEKRRYEIAGLLSSVLRAHLHAYNPIFSMTMRYLISIHKGFCFQQGISSPISDLTERLLLEERDPPAIPQESFYEAPPFYEVDIQALAHAVELTRQGAIDSLRFAKGDLFQAFQNEICRMRLDAAMLDELVREYCIYRGIVESGMQTLSESFKVNQQESRNCSSQDCSLDVDYSSTKRSNSESSATTDLSTMQGTDVELRYASEPANNLEDCSTSGSNQSENSRLLRNRSHVAGERSKRKRWRGRHDELDISDIHSNRCGKQEVGTAMQVDGTNIPKTQQGVEKINGEEKYEIVLGMRELASRGMAAEVVEEINALDPSFFVQNPVLLFQLKQVEFLKLVGLDDHSGALRVASSHLGPLAASDPNLLKPLKETLLSLLRPNEDALVTGLPLHALATSLQVAFGKRLGIEEPQLMRIMRATLHTHTEWFKLQMCKDRFESLLRIDSLKENNAPVLTSLATSKSNTDSCTLGSSQVTISSTTRISDDGSSPNQASSRDVICDENAILKVMEFLALPRADAIHLLAQNNGNAETVIQQIFA >EOX91374 pep chromosome:Theobroma_cacao_20110822:1:2494494:2500029:-1 gene:TCM_000590 transcript:EOX91374 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal LisH motif isoform 2 MKTPNSFFFFLTSYRRLFCCRGIASFPFLNAKDPQLHTEKLINRTRILHFPSLSRSLFKTFPRELTKHMDSSPVNWEALDALILDFAKSENLIEDSSPPSSPSLTSPSSPSLSSSSYRSRLIIRQIRRLLEAGDIDAAIDLLGAHAPFILDDHRFLFRLQKQKFIELLRNGTKEDRGFAIDYLRTSLAPCALNAYPEAYEEFKHVLLAFIYDKDDQTSPVANEWDEKRRYEIAGLLSSVLRAHLHAYNPIFSMTMRYLISIHKGFCFQQGISSPISDLTERLLLEERDPPAIPQESFYEAPPFYEVDIQALAHAVELTRQGAIDSLRFAKGDLFQAFQNEICRMRLDAAMLDELVREYCIYRGIVESGMQTLSESFKVNQQESRNCSSQDCSLDVDYSSTKRSNSESSATTDLSTMQGTDVELRYASEPANNLEDCSTSGSNQSENSRLLRNRSHVAGERSKRKRWRGRHDELDISDIHSNRCGKQEVGTAMQVDGTNIPKTQQGVEKINGEEKYEIVLGMRELASRGMAAEVVEEINALDPSFFVQNPVLLFQLKQVSRFYTGSGFIK >EOX96419 pep chromosome:Theobroma_cacao_20110822:1:37719662:37721341:-1 gene:TCM_005668 transcript:EOX96419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRKVANMANTDQEIAPLIENNRQPQDLEIDIPEEDFELAPECCIYNVPSRFREANQKAFTPQLISIGPIHHGNTNLARMERQKQRYYNKFYQRTSKKILEEFASFIKAHVSDICRCYDVEFVFDTELEVSKFVKMILFDSVFIIELFLRNSEKEVNDFLFDKVWLRVELLMDLLLLENQLPFFILEALYNLAFATSDKPSFPRLACLYFNANEDHLFNKMGIKHFMDLTRSILVGARPSDSIERIDNMYSAMMLREAGVKFEAIRDNFNVNKDVDLLVKKGIFVNDMGSSAAVANMINNLMTGVVALSPCYDKIGKDLNEYYDNSWNRTKATLKHVYFNNLWRGTATVAAFIVVVLTVTQTVLAILDRAPLT >EOX96438 pep chromosome:Theobroma_cacao_20110822:1:37813589:37816170:1 gene:TCM_005689 transcript:EOX96438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein 4 / HD-ZIP protein MGAEKDDGLGLSLSLGCAQNHPSLKLNLMPLASPRMQNLQQKNTWNELFQSSDRNLDTRSFLRGIDVNRAPATVDCEEEGGVSSPNSTISSISGKRNERDPVGDETEAERASCSRASDDEDGGAGGDASRKKLRLSKEQSLLLEETFKEHSTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCENLTEENRRLQKEVQELRALKLSPQLYMHMNPPTTLTMCPSCERVAVSSSSSSAAATASSTPTSTVPNRHHRTSSVSPWAAMPIGHRPFHAPASRS >EOX96516 pep chromosome:Theobroma_cacao_20110822:1:38076577:38079293:1 gene:TCM_005749 transcript:EOX96516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MVYDWMNNRGERFRLSASDAAIQLDLIAKVRGVSSAEDFFVQLPDTMKDKRIYGALLNAYVRAKMRDKAETLIDNMRGKGYAMHPLPFNVMMTLYMNLKEYDKVESMVSEMMEKNIRLDIYSYNIWLSSCGSQGSVEKMEEVYEQMKQDQSINPNWTTFSTMATMYIKMGLTEKAEECLRNVESRITGRDRIPYHYLISLYGGVGNREEVYRVWKVYKSIFPSIPNLGFHAVISSLVRAGDIQGAERIYEEWLTVKTSYDPRIANLLMGWYVKEGNLDKAESLFSHIAEVGGKPNSSSWEILAEGHILEKRIPDALSCLKDAFATEGSRGWRPKPTSVSAFFNLCEEKVDMASREVFVGLLRQSGCLKNEAYASLIGLSEEALSESELPRDKNRKSSYSSSDENQDDGSEVLINQLQGTV >EOX96515 pep chromosome:Theobroma_cacao_20110822:1:38076833:38079293:1 gene:TCM_005749 transcript:EOX96515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MVYDWMNNRGERFRLSASDAAIQLDLIAKVRGVSSAEDFFVQLPDTMKDKRIYGALLNAYVRAKMRDKAETLIDNMRGKGYAMHPLPFNVMMTLYMNLKEYDKVESMVSEMMEKNIRLDIYSYNIWLSSCGSQGSVEKMEEVYEQMKQDQSINPNWTTFSTMATMYIKMGLTEKAEECLRNVESRITGRDRIPYHYLISLYGGVGNREEVYRVWKVYKSIFPSIPNLGFHAVISSLVRAGDIQGAERIYEEWLTVKTSYDPRIANLLMGWYVKEGNLDKAESLFSHIAEVGGKPNSSSWEILAEGHILEKRIPDALSCLKDAFATEGSRGWRPKPTSVSAFFNLCEEKVDMASREVFVGLLRQSGCLKNEAYASLIGLSEEALSESELPRDKNRKSSYSSSDENQDDGSEVLINQLQGTV >EOX96514 pep chromosome:Theobroma_cacao_20110822:1:38075781:38079305:1 gene:TCM_005749 transcript:EOX96514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MLLQPSSLLNHRVSLSSTSSYSRQLPCQVPQLILSQTQSYQKLPVTCSISQIHSYGTVDYERRPMIKWNAIYKKISLMENPELGSASVLNEWEKGGRKLTKWELCRVVKELRKYKRYKQALEVYDWMNNRGERFRLSASDAAIQLDLIAKVRGVSSAEDFFVQLPDTMKDKRIYGALLNAYVRAKMRDKAETLIDNMRGKGYAMHPLPFNVMMTLYMNLKEYDKVESMVSEMMEKNIRLDIYSYNIWLSSCGSQGSVEKMEEVYEQMKQDQSINPNWTTFSTMATMYIKMGLTEKAEECLRNVESRITGRDRIPYHYLISLYGGVGNREEVYRVWKVYKSIFPSIPNLGFHAVISSLVRAGDIQGAERIYEEWLTVKTSYDPRIANLLMGWYVKEGNLDKAESLFSHIAEVGGKPNSSSWEILAEGHILEKRIPDALSCLKDAFATEGSRGWRPKPTSVSAFFNLCEEKVDMASREVFVGLLRQSGCLKNEAYASLIGLSEEALSESELPRDKNRKSSYSSSDENQDDGSEVQGKFLSIYGSHERGNIH >EOX91131 pep chromosome:Theobroma_cacao_20110822:1:1696503:1698766:-1 gene:TCM_000412 transcript:EOX91131 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MDKGKMRPNFYGNNNCKMYLDLKDIIRENALRYLPAKSLFRCTGVCREWELQISTPFFAHNQSNSFHSTSGFFYQTQAGVPSFMSLDPMAYGVPDPPLTFLPEPVDIRASCNGLLCCQGRTGYRAYYICNPVTKRWKELPKPGADHGPDPAVVLLFEPSLLNFIANYKLVCAFPSELGGYEFDIYSSEKGSWRNPGEICFGDWKLLPKSGVHVNGTVYWLSSQGVIAFDLTSERSQLLSSAPGALGMMSGKLCAAYVRGQRLVVSLLSNTHSNTMRLHSDARTWVEIQSDINLDPTVAAESYSYTPYHFSGRYGHGSGGVVFIGDDMVLLRNGNKFYSYDMKKKASNSLGEVNIDSDAGIVVYVNSLVEL >EOX92834 pep chromosome:Theobroma_cacao_20110822:1:8799279:8805535:1 gene:TCM_001697 transcript:EOX92834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MRRTSPRSTTPSLKTMNHQESQRPEKVNPLEMRDLGFIESGNNDGLDDNHDQDELADSSQSRQAVDESDSSEDEVAPRNTIGDVPLEWYKDEKHIGYDIAGKKIKKKERQDKLDSFLASADDSKNWRKIYDEYNDEEVELTKEETKLIRRLLKGKAPHAEFDPYAPYVDWFKWDDAKHPLSNAPEPKRRFIPSKWESKKVVEYVRAIRKGLIKFDKPKEEPRLYLLWGDDSSSADRTKHLAYIPPPKPKLPGHEESYNPSLEYLPTQEEINTYQLMYEEDRPKFIPKRFTSFRSIPAYENAIKDSFERCLDLYLCPRVRKKRINIDPESLKPKLPSRKDLRPYPVTCYLEYRGHEGAVLSISVEPSGQWIASGSKDGTVRIWEVETGRCLRVWELGEAVQHVAWNPLLELPILAVSVGSDVLILNTGFGNEEQQRKAKELLQIGTPTSSDDSDDISSVLSWLQDEKHDCIRLRHFRTVSSIEWHRKGDYLSTVMPAGESRAILIHQLSKKLTQRLPFKHHGLPVSSVFHPTRSIFFVATKKNVRVYDLLRQKLIKKLETQLREVSSIAVHPAGDNVIVGSKEGKLCWFDMDLSSKPYKTLKCHPKDITNVAFHRSYPLFASCSDDCTAYVFHGMVYADLNQNPLIVPLEILRGHTSSDGRGVIDCKFHPRQPWLFTAGADSSIKLYCH >EOX92835 pep chromosome:Theobroma_cacao_20110822:1:8795788:8804933:1 gene:TCM_001697 transcript:EOX92835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MRRTSPRSTTPSLKTMNHQESQRPEKVNPLEMRDLGFIESGNNDGLDDNHDQDELADSSQSRQAVDESDSSEDEVAPRNTIGDVPLEWYKDEKHIGYDIAGKKIKKKERQDKLDSFLASADDSKNWRKIYDEYNDEEVELTKEETKLIRRLLKGKAPHAEFDPYAPYVDWFKWDDAKHPLSNAPEPKRRFIPSKWESKKVVEYVRAIRKGLIKFDKPKEEPRLYLLWGDDSSSADRTKHLAYIPPPKPKLPGHEESYNPSLEYLPTQEEINTYQLMYEEDRPKFIPKRFTSFRSIPAYENAIKDSFERCLDLYLCPRVRKKRINIDPESLKPKLPSRKDLRPYPVTCYLEYRGHEGAVLSISVEPSGQWIASGSKDGTVRIWEVETGRCLRVWELGEAVQHVAWNPLLELPILAVSVGSDVLILNTGFGNEEQQRKAKELLQIGTPTSSDDSDDISSVLSWLQDEKHDCIRLRHFRTVSSIEWHRKGDYLSTVMPAVVNHANQEQFLYTSSLRNLLKDSLLSTMAFQYHQFFILLVQSSS >EOX91307 pep chromosome:Theobroma_cacao_20110822:1:2272522:2273966:1 gene:TCM_000549 transcript:EOX91307 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MIQELLGGAGLIGGERKISIGGSILEGTPTATPSPSPSSSTTSSSTTTTTNSTAPPNSENQNLRCPRCDSPNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKNTTVSASVGKSSAAKMKTVASEIGRSGLGNGFDHEIQSSPILWASPQSSHFLALLRTTQNPNPSTLSNSVSIKEEVSLLGSHMMNEPAAVSTGALNARTLGLDPLSQVPSLGLCSPFWKNNQHQAQQHQQNNGFLLGEVQNTGIQELYQRLKSSASYYSDSSAVVLSNVASSSSSSSSILESAPVAGGELGYWNPVFSSSWSDLPTTNGAYP >EOX96365 pep chromosome:Theobroma_cacao_20110822:1:37526492:37529788:-1 gene:TCM_005624 transcript:EOX96365 gene_biotype:protein_coding transcript_biotype:protein_coding description:P53 and DNA damage-regulated protein 1 MDENMKQFQQGLIELETEAEHLLLARHQLVENDKVRNGNREALTALRKRARTTKTSVPSPFESMMKNIGDPGSRTLVKEVCATCGNHDSNERTWMMFPGTDVFAKIPFHAAHTILETDQAQLDYEAKKLQSYVKEKSFLISEKGVLADKISPGVLKSLVMLKDKP >EOX90717 pep chromosome:Theobroma_cacao_20110822:1:438884:441688:1 gene:TCM_000107 transcript:EOX90717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein isoform 1 MTVASAATAHSKKLMKLTLSLFRNGFNSSKCKTAAKMAVARIKLLRNKRQVVVKQMRRDIALLLQSGQDATARIRVEHVIREQNVLAANEFIELFCELVVARLSIIAKRRECPADLKEGIASLIFAAPRCAEIPELVAIRDIFQKKYGKDFVSAATDLRPNCGVNRLLIDKLSVKTPTGEVKLKVMKEIAKEHNIEWDTTESEKELLKLPEELIEGPRTFVSATSLPVKPATNHSLETKQLMTRGEGSMHFKDTVSAAEAAEESAKKAIAAAQAAAYLANRDSNLFNEASGHVKRLNDSSIITGFGNLSANSAGGFLPTYSPVNSQHMDPPVPGRTYESRSFERSNHYPGSEEARPNNVEVGKTFRRHSNNSPSAHSDIKFDESDCDEEIETEDASGSIKCPPERSPPPVPSSYDKQDSIHRVHPKLPDYDDLAARFEALKYRKSLP >EOX90718 pep chromosome:Theobroma_cacao_20110822:1:438885:441473:1 gene:TCM_000107 transcript:EOX90718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein isoform 1 MTVASAATAHSKKLMKLTLSLFRNGFNSSKCKTAAKMAVARIKLLRNKRQVVVKQMRRDIALLLQSGQDATARIRVEHVIREQNVLAANEFIELFCELVVARLSIIAKRRECPADLKEGIASLIFAAPRCAEIPELVAIRDIFQKKYGKDFVSAATDLRPNCGVNRLLIDKLSVKTPTGEVKLKVMKEIAKEHNIEWDTTESEKELLKLPEELIEGPRTFVSATSLPVKPATNHSLETKQLMTSTWILRSLGEHMNLGVLKGLITIRVVRKQDLTMWKLERPLGDTATIPLLHIQI >EOX95154 pep chromosome:Theobroma_cacao_20110822:1:33073210:33076014:-1 gene:TCM_004706 transcript:EOX95154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKQKRSCGQGRRKFQLSMSNAAGGSGIEGVVFLSGALAIASLVASFMIKKGRKDSNKDTTNLAVTDCGREEEDGSQGLRFILQDSNSTLHQNSCCTNHGTSTIGITQIETCELVSTQNLTTEENTMHCQQKDSHSINGHQEILISDTEQESIAMTDDYGDIEELSRPVIDHSLHKPKNVVKNDTDDSAVMETIEIEIEGEAVDVGAKPTAEEKSTLQSSLSSVPSTEEEKCSPKQSSFTAEEKEEEEKEGYSLMQSSSSAEEEEEEEEYSLMQSSFSAEEEEEEEEEYSIMQSAFSAEEEEEEEEEEYSVMHSSFSAEEEEEEEEEEYSLMQSSFSAEEEEEEEECSLMESSEECSLMQSSFSTEEEEDEECSLMQSSFSAEDEDEEEYSPIRSSFSTEEEEGYSPTESSFSTEEEEDSPLQSSFSTEGTGSSSSESNMEAIWPAEMMGVLSPESKEMTISHLISVKKFEETDTTAKIEACHYSANMLDESGSNNGKKKEETQEHVEKNDQKEHSAAKRQIWVRLGLLLLLLLLLANCLLQPNYLFNDVSFVFPMK >EOX95600 pep chromosome:Theobroma_cacao_20110822:1:34793784:34796522:1 gene:TCM_005048 transcript:EOX95600 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 17 isoform 2 MGKKGGTSWLTAVKRAFRSPTKESHDKRSNRRREEPEQEEDEEKREKRRWIFRKPTSQETVTQQTPVKATASTQATGNGAGAATDSATAAADQRHAIVVAVAKAAAAEAAVATAQAAVEVARLTRPSNYAREHHYAAIVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLRCMQALVRVQARVLDQRIRLSHDGSRKSAFSDTNSVWESRYLQDISDRKSLSRDGSSIADDWDERPHTIEEVKAMLQHRKEAALKREKNLSQAFSQQTRRARRSPSMGDEGDLEGRPKWLDRWMPAKPWDNRGRASTDQRDPVKTVEMDTSQPYSYLAPNYRRTNSNQYHQAQPQNQRPSSPLHRAQHNAPLHHSPITPSPSKTRPIQVRSASPRCPREERSSISSQTPSLRSNYYYTGRVGTNYSGSGNNAATLPNYMAATESAKARIRSQSAPRQRPSTPERDRTGSARKRLSFPVPEPYGVGMGYGGYGHNLRSPSFKSVSGSRFGLEQQSNYSSCYTDSLGGEISPSSTSDLRRWLR >EOX95599 pep chromosome:Theobroma_cacao_20110822:1:34793680:34796854:1 gene:TCM_005048 transcript:EOX95599 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 17 isoform 2 MGKKGGTSWLTAVKRAFRSPTKESHDKRSNRRREEPEQEEDEEKKREKRRWIFRKPTSQETVTQQTPVKATASTQATGNGAGAATDSATAAADQRHAIVVAVAKAAAAEAAVATAQAAVEVARLTRPSNYAREHHYAAIVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLRCMQALVRVQARVLDQRIRLSHDGSRKSAFSDTNSVWESRYLQDISDRKSLSRDGSSIADDWDERPHTIEEVKAMLQHRKEAALKREKNLSQAFSQQTRRARRSPSMGDEGDLEGRPKWLDRWMPAKPWDNRGRASTDQRDPVKTVEMDTSQPYSYLAPNYRRTNSNQYHQAQPQNQRPSSPLHRAQHNAPLHHSPITPSPSKTRPIQVRSASPRCPREERSSISSQTPSLRSNYYYTGRVGTNYSGSGNNAATLPNYMAATESAKARIRSQSAPRQRPSTPERDRTGSARKRLSFPVPEPYGVGMGYGGYGHNLRSPSFKSVSGSRFGLEQQSNYSSCYTDSLGGEISPSSTSDLRRWLR >EOX93252 pep chromosome:Theobroma_cacao_20110822:1:11660456:11682234:1 gene:TCM_002099 transcript:EOX93252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like B4, putative isoform 2 MAKPLSLPLYERVSCKGIMIRRTLDVTVFCLLLSLLAYRLRSLSNQGFTWLLAFLCESWFAFLWLLNLSTRWNPVEYKTYPENLLLRSLELPPIDMFVTTADPVLEPPLVTVNTVLSLLAVDYPAEKLACYVSDDGCSPLTFYSLVEASKFAKLWVPFCKKYSIQIRAPFQYFSAKSLPPVDGLSGFELEWKKMKDEYEHLCRKIEDAVHKLTLGPHSTEYAVFKNIERDNHPSIVKVIFENKEDHSSCLPHLVYVSREKRPNHPHHFKAGAMNVLTRVSGVMTNAPFMLNVDCDMFASNPKIILHAVCLLVGVDDEQECAFVQCPQIFYDALKDDPFGNRMTVPFTIFVGGMAGIQGPPYAGTGCFHRRKAIYGLPPNHDFDIKDCKILEERFGKSTEFSESVAEILFGSGEKHFPCDISSITGSACRVANCSYENNTCWGIEVGLTYGSVTEDVLTGLRIHTMGWKSVLLMPSPPAFMGCAPPGGPVSMTQMKRWGTGLLEVLFSKHSPILSAFSTKLHFRQALAYLQIVMWASRSIPQFCYNMLSAYCITTDSQFLPKVHEPFFYIPVSFSLISNLHSLSQYLQYGESIQAWWNHQRMERIHAVSSMLFACLALAIWLLGLQPLARGGDGSGLGEISCSVWVVLNFWPLVRGLFGKGKYGIPLSTLCKSATLALLFVQLSKSSSAIVWRPLEAKRLGGLGSSSFCGVHGLE >EOX93254 pep chromosome:Theobroma_cacao_20110822:1:11662198:11678453:1 gene:TCM_002099 transcript:EOX93254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like B4, putative isoform 2 MAKPLSLPLYERVSCKGIMIRRTLDVTVFCLLLSLLAYRLRSLSNQGFTWLLAFLCESWFAFLWLLNLSTRWNPVEYKTYPENLLLRSLELPPIDMFVTTADPVLEPPLVTVNTVLSLLAVDYPAEKLACYVSDDGCSPLTFYSLVEASKFAKLWVPFCKKYSIQIRAPFQYFSAKSLPPVDGLSGFELEWKKMKDEYEHLCRKIEDAVHKLTLGPHSTEYAVFKNIERDNHPSIVKVIFENKEDHSSCLPHLVYVSREKRPNHPHHFKAGAMNVLTRVSGVMTNAPFMLNVDCDMFASNPKIILHAVCLLVGVDDEQECAFVQCPQIFYDALKDDPFGNRMTVPFTIFVGGMAGIQGPPYAGTGCFHRRKAIYGLPPNHDFDIKDCKILEERFGKSTEFSESVAEILFGSGEKHFPCDISSITGSACRVANCSYENNTCWGIEVGLTYGSVTEDVLTGLRIHTMGWKSVLLMPSPPAFMGCAPPGGPVSMTQMKRWGTGLLEVLFSKHSPILSAFSTKLHFRQALAYLQIVMWASRSIPQFCYNMLSAYCITTDSQFLPKHWLYGYLGCNHWLVVEMDQG >EOX93253 pep chromosome:Theobroma_cacao_20110822:1:11662197:11678337:1 gene:TCM_002099 transcript:EOX93253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like B4, putative isoform 2 QNPNTVNSKPKPLKFSAVPMAKPLSLPLYERVSCKGIMIRRTLDVTVFCLLLSLLAYRLRSLSNQGFTWLLAFLCESWFAFLWLLNLSTRWNPVEYKTYPENLLLRSLELPPIDMFVTTADPVLEPPLVTVNTVLSLLAVDYPAEKLACYVSDDGCSPLTFYSLVEASKFAKLWVPFCKKYSIQIRAPFQYFSAKSLPPVDGLSGFELEWKKMKDEYEHLCRKIEDAVHKLTLGPHSTEYAVFKNIERDNHPSIVKVIFENKEDHSSCLPHLVYVSREKRPNHPHHFKAGAMNVLTRVSGVMTNAPFMLNVDCDMFASNPKIILHAVCLLVGVDDEQECAFVQCPQIFYDALKDDPFGNRMTVPFTIFVGGMAGIQGPPYAGTGCFHRRKAIYGLPPNHDFDIKDCKILEERFGKSTEFSESVAEILFGSGEKHFPCDISSITGSACRVANCSYENNTCWGIEVGLTYGSVTEDVLTGLRIHTMGWKSVLLMPSPPAFMGCAPPGGPVSMTQMKRWGTGLLEVLFSKHSPILSAFSTKLHFRQALAYLQIVMWASRSIPQFCYNMLSAYCITTDSQFLPKVHEPFFYIPVSFSLISNLHSLSQYLQYGESIQAWWNHQRMERIHAVSSMLFACLGMFVKLLGFSETVFEITPKTQSVFNDENDVEKVGRFSFDESPIFIPITALLLVHLTALAIWLLGLQPLARGGDGSGLGEISCSVWVVLNFWPLVRGLFGKGKYGIP >EOX94842 pep chromosome:Theobroma_cacao_20110822:1:31679871:31681236:-1 gene:TCM_004455 transcript:EOX94842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFYSILIASVLVRLCHSWLYQSQFSISSHPLGLMSLLLLSLYICFSHFNIDRLNVMSFCLVTAFSEELFYQRLGCEICPATS >EOX91265 pep chromosome:Theobroma_cacao_20110822:1:2121277:2125677:-1 gene:TCM_000512 transcript:EOX91265 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY domain/Divergent CCT motif family protein, putative isoform 2 MFRAIGIGYSRSLPSAAALNWVLKDGLGRLSRCIYTASLASAFDTNLKRVRFSTSLLFTLSIGVELLTPIFPQHFLLLASLANIAKQMSLACYIATSSAIHRSFAIADNLAEVSAKSQIQSVCFDNLGLMLAAALNMLLKNNQRLQTGLPFILYPFFSMIDLFGIYQGLKHVHLQTLTKDRLEIILDTWIAMGYVPSPEEVSKEEDINFMWRKGKEPWCIRMGGLNPKGQLPKLSMSTMQSVRNEDYYFICIEIFYRGLPRTREQGILLCLREGARTADVIMGLLQACYVRKALHSSRLESMMKASDSSDFFLKEWFKLIEDSRQYVQREFVPLNEQMAALGWAIKNILLNTEEQARYSYIDD >EOX91264 pep chromosome:Theobroma_cacao_20110822:1:2121229:2125677:-1 gene:TCM_000512 transcript:EOX91264 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY domain/Divergent CCT motif family protein, putative isoform 2 MQSTLYYTPSNSHHFPLPWKSPKNPISRTPKFSLKLLTLTNTLRTQITYELDGGLNDRPAPSKPAQLPIEIRRYGKVSRYFWDGNRVLLHSVDGGGGAAASFFFNFDKVVEVSSLAVGNFFIPKQVSENYMGYVKWKFLHRVFSSALQVLATQAMFRAIGIGYSRSLPSAAALNWVLKDGLGRLSRCIYTASLASAFDTNLKRVRFSTSLLFTLSIGVELLTPIFPQHFLLLASLANIAKQMSLACYIATSSAIHRSFAIADNLAEVSAKSQIQSVCFDNLGLMLAAALNMLLKNNQRLQTGLPFILYPFFSMIDLFGIYQGLKHVHLQTLTKDRLEIILDTWIAMGYVPSPEEVSKEEDINFMWRKGKEPWCIRMGGLNPKGQLPKLSMSTMQSVRNEDYYFICIEIFYRGLPRTREQGILLCLREGARTADVIMGLLQACYVRKALHSSRLESMMKASDSSDFFLKEWFKLIEDSRQYVQREFVPLNEQMAALGWAIKNILLNTEEQARYSYIDD >EOX95663 pep chromosome:Theobroma_cacao_20110822:1:35109918:35114801:1 gene:TCM_005113 transcript:EOX95663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxamine 5'-phosphate oxidase family protein isoform 2 MGTVTAPWKQLLLNALESNSHIKHSSFFQLATIGSNGRPSNRTVVFRGFQENSDKFQINTDSRSHKIEELKHCPFAEQREKSWFASSVKSRLQYLGPIPGFPCISEHPNQEIALDPSTGPVGAFCLLVLDPDQVDYLNLKSNQRLKFISTLGLNGVKSWTSERINP >EOX95664 pep chromosome:Theobroma_cacao_20110822:1:35110150:35114867:1 gene:TCM_005113 transcript:EOX95664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxamine 5'-phosphate oxidase family protein isoform 2 ATIGSNGRPSNRTVVFRGFQENSDKFQINTDSRSHKVPIFNYSPFSKICWYFTDSWEQFRFSGRIDVIDGSNPEALKLQQREKSWFASSVKSRLQYLGPIPGFPCISEHPNQEIALDPSTGPVGAFCLLVLDPDQVDYLNLKSNQRLKFISTLGLNGVKSWTSERINP >EOX95662 pep chromosome:Theobroma_cacao_20110822:1:35109916:35114765:1 gene:TCM_005113 transcript:EOX95662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxamine 5'-phosphate oxidase family protein isoform 2 MGTVTAPWKQLLLNALESNSHIKHSSFFQLATIGSNGRPSNRTVVFRGFQENSDKFQINTDSRSHKIEELKHCPFAEICWYFTDSWEQFRFSGRIDVIDGSNPEALKLQQREKSWFASSVKSRLQYLGPIPGFPCISEHPNQEIALDPSTGPVGAFCLLVLDPDQVDYLNLKSNQRLKFISTLGLNGVKSWTSERINP >EOX95159 pep chromosome:Theobroma_cacao_20110822:1:33095956:33101050:1 gene:TCM_004712 transcript:EOX95159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MCGGAIIADFIPRKHGRNVTASDLWPNPPFAKTDGFNFELCQIGHSDSLSHFKGPQTKPSLAEIRDPGKGVRVWLGTFNTAEEVARAYDRKARKIRGKKAKVNFPDEENDFSIQYNQDHFNNHEINNHNSAATWNSIPNSNPPVYPQPCKNLDNYGFGYDLNQFDGYATDPIVISGEKNSVSGSEGSYSCNPNVNNGCLYGPVKVEEEDEEKREEPVNRVVLQVQEENQVERFSEELMAYENYMKFFQIPYLDGQSTTQTVAVPSENAIGELWSFDDGGVPVTSTAM >EOX96717 pep chromosome:Theobroma_cacao_20110822:1:38744487:38745071:1 gene:TCM_005907 transcript:EOX96717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWGWAARTVRLGGLKPVAGNAFVTVALPRGVSSCTQFPIIPSYGEKVSCQHGGDIRKSVYIEFKPAIRIRGVIR >EOX91426 pep chromosome:Theobroma_cacao_20110822:1:2676097:2680329:-1 gene:TCM_000623 transcript:EOX91426 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 3 MDRKLVVLGIPWEVDTEGLRKYMSKFGDLEDCIVMKERSTGRSRGFGYVTFASADDAKNVLSIEHFLGERMLEVKIATPKEEMRAPAKKVTRIFVARIPPSVDESTFRSHFEEYGEITDLYMPKDQASKAHRGIGFITFASADSVENLMTDTHELGGSTVVVDRATPKANDFKPIGRMSQGGYGAYNAYISAATRYAALGAPTLYDHPGPVYGRGESTRGMGKKIFVGRLPQEANAEDLRQYFGRFGRILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGQQVAIDSATPVDDAGPSFMMNPAGPYRGFGGPMRPFGRMYGGLHFDDWGYAIGSARPSRAEWRYRPY >EOX91425 pep chromosome:Theobroma_cacao_20110822:1:2675222:2680329:-1 gene:TCM_000623 transcript:EOX91425 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 3 MDRKLVVLGIPWEVDTEGLRKYMSKFGDLEDCIVMKERSTGRSRGFGYVTFASADDAKNVLSIEHFLGERMLEVKIATPKEEMRAPAKKVTRIFVARIPPSVDESTFRSHFEEYGEITDLYMPKDQASKAHRGIGFITFASADSVENLMTDTHELGGSTVVVDRATPKEDDFKPIGRMSQGGYGAYNAYISAATRYAALGAPTLYDHPGPVYGRGESTRGMGKKIFVGRLPQEANAEDLRQYFGRFGRILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGQQVAIDSATPVDDAGPSFMMNPAGPYRGFGGPMRPFGRMYGGLHFDDQWGYAIGSARPSRAEWRYRPY >EOX91423 pep chromosome:Theobroma_cacao_20110822:1:2676107:2680445:-1 gene:TCM_000623 transcript:EOX91423 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 3 MDRKLVVLGIPWEVDTEGLRKYMSKFGDLEDCIVMKERSTGRSRGFGYVTFASADDAKNVLSIEHFLGERMLEVKIATPKEEMRAPAKKVTRIFVARIPPSVDESTFRSHFEEYGEITDLYMPKDQASKAHRGIGFITFASADSVENLMTDTHELGGSTVVVDRATPKASYITASVFCFSNLPVLPLSSSSLIFSYRTKHNLEDDFKPIGRMSQGGYGAYNAYISAATRYAALGAPTLYDHPGPVYGRGESTRGMGKKIFVGRLPQEANAEDLRQYFGRFGRILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGQQVAIDSATPVDDAGPSFMMNPAGPYRGFGGPMRPFGRMYGGLHFDDWGYAIGSARPSRAEWRYRPY >EOX91424 pep chromosome:Theobroma_cacao_20110822:1:2676097:2680284:-1 gene:TCM_000623 transcript:EOX91424 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 3 MDRKLVVLGIPWEVDTEGLRKYMSKFGDLEDCIVMKERSTGRSRGFGYVTFASADDAKNVLSIEHFLGERMLEVKIATPKEEMRAPAKKVTRIFVARIPPSVDESTFRSHFEEYGEITDLYMPKDQASKAHRGIGFITFASADSVENLMTDTHELGGSTVVVDRATPKEDDFKPIGRMSQGGYGAYNAYISAATRYAALGAPTLYDHPGPVYGRGESTRGMGKKIFVGRLPQEANAEDLRQYFGRFGRILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGQQVAIDSATPVDDAGPSFMMNPAGPYRGFGGPMRPFGRMYGGLHFDDWGYAIGSARPSRAEWRYRPY >EOX90609 pep chromosome:Theobroma_cacao_20110822:1:130116:132522:-1 gene:TCM_000031 transcript:EOX90609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial phosphate carrier protein isoform 3 VITPLDVLKVNPVKYNSIASCFTTLLREQGPSVFWRGWAGKFLGYGAQGGCRFGLYEYFKSLYSNIFGDCNRSIIFFLSSASAEVFANVALCPFEAVKIRVQAQPHFAKGLLDAFPKLYSSGGVFGLFSFLLSSLNISLYFYYSNIFPCCSIGFACSFYRGLLPLWGRNLPFSMVMFSTFEHSVDFMYRNVIQRRKEDFSKPQQLGVTCLAGYASGSIGCLISNPTDNIVASLYYRKADSLKLAIKKIGLLNLFTRSLRIRIMLVGPVVTLQWLFYDTIKVFSGLPTSGKVSTK >EOX90610 pep chromosome:Theobroma_cacao_20110822:1:130059:132845:-1 gene:TCM_000031 transcript:EOX90610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial phosphate carrier protein isoform 3 MMGEGEVRVSSGGLGYFGVCAFGGMLSAGTTHLVITPLDVLKVNMQVNPVKYNSIASCFTTLLREQGPSVFWRGWAGKFLGYGAQGGCRFGLYEYFKSLYSNIFGDCNRSIIFFLSSASAEVFANVALCPFEAVKIRVQAQPHFAKVSMVMFSTFEHSVDFMYRNVIQRRKEDFSKPQQLGVTCLAGYASGSIGCLISNPTDNIVASLYYRKADSLKLAIKKIGLLNLFTRSLRIRIMLVGPVVTLQWLFYDTIKVFSGLPTSGKVSTK >EOX90608 pep chromosome:Theobroma_cacao_20110822:1:130251:132845:-1 gene:TCM_000031 transcript:EOX90608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial phosphate carrier protein isoform 3 MMGEGEVRVSSGGLGYFGVCAFGGMLSAGTTHLVITPLDVLKVNMQVNPVKYNSIASCFTTLLREQGPSVFWRGWAGKFLGYGAQGGCRFGLYEYFKSLYSNIFGDCNRSIIFFLSSASAEVFANVALCPFEAVKIRVQAQPHFAKGLLDAFPKLYSSGGVFGLFSFLLSSLNISLYFYYSNIFPCCSIGFACSFYRGLLPLWGRNLPFSMVMFSTFEHSVDFMYRNVIQRRKEDFSKPQQLGVTCLAGYASGSIGCLISNPTDNIVASLYYRKADSLKLAIKKIGLLNLFTRSLRIRIMLVGPVVTLQWLFYDTIKVFSGLPTSGKVSTK >EOX92702 pep chromosome:Theobroma_cacao_20110822:1:8182034:8188265:-1 gene:TCM_001606 transcript:EOX92702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGFSCWFLVLGLLQQFFGLNCAQLLMHGESTTNYVSAIGDPGMENPNVRVALEAWNFCNEVGFEAPNMGSPRWADCADLDCSSNTGHLGDGLVNDGRQCRVLHQVNDSDNSLRAGDKFPIMGFESYTDPDLFAKEKELYLGSLCEVPESPNPWQFWMIMVKNGNFDKNTTLCPENGQKVSKIVTDRNFPCFGEGCMNQPLVYHKYSILDSFDGQKVYLTGEFYGTYDLDANLSEDATDGFNGGYHYNGRGIMKKLPESPNFLVRLTLDIKLGGGPNSQFYLLDIGSCWKNNGEPCDGDVLTDVTRYSEMIINPATTSWCRADNLVFCPPYHISPTGKMIYRNETAQFPYSAYHLYCSPGNAKYLEEPYDICDPYSNPQSQELVQILPHPEWAVHGYPEKQGDGWIGDSRTWELDVGALSSRLYFYQDPGTKPARRIWSSLNVGTEIYVSPTGETAEWTVSDFDVVVPEVMKTKP >EOX92703 pep chromosome:Theobroma_cacao_20110822:1:8183670:8188140:-1 gene:TCM_001606 transcript:EOX92703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGFSCWFLVLGLLQQFFGLNCAQLLMHGESTTNYVSAIGDPGMENPNVRVALEAWNFCNEVGFEAPNMGSPRWADCADLDCSSNTGHLGDGLVNDGRQCRVLHQVNDSDNSLRAGDKFPIMGFESYTDPDLFAKEKELYLGSLCEVPESPNPWQFWMIMVKNGNFDKNTTLCPENGQKVSKIVTDRNFPCFGEGCMNQPLVYHKYSILDSFDGQKVYLTGEFYGTYDLDANLSEDATDGFNGGYHYNGRGIMKKLPESPNFLVRLTLDIKLGGGPNSQFYLLDIGSCWKNNGEPCDGDVLTDVTRYSEMIINPATTSWCRADNLVFCPPYHISPTGKMIYRNETAQFPYSAYHLYCSPGNAKYLEEPYDICDPYSNPQSQELVQILPHPEWAVHGYPEKQGDGWIGDSRTWELDVGALSSRLYFYQLNRVHKAHTGMDPVKDPNLRKKGWSGQYHPTVGSGRHILH >EOX92628 pep chromosome:Theobroma_cacao_20110822:1:7809735:7832606:-1 gene:TCM_001544 transcript:EOX92628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-responsive family protein isoform 1 MEEADKSIGVDGESSSRVSAASPSWLFASRQRFNIELRPGETTIVSWKRLVKDAQNTSPPSTTRKTEDSLDECLQDDKSTIKQNGLLVNSVECINKPELSVAQQSRKRSKNMAKAQGEKVDDYVPSKHAKVELGRLNFAATDALPEEQSSAFLQNLAANSEQDQKLHNLLSSPVRSSIKKPADIGTASENSSYTGISNNDASISPLNLKDAGKCRSVAIHSRDIGNNSNSGATHQKHLEKHSCKQLESPVRKLMTDIDEVGIPTKVEQRETRGSCGELPDLNLPVYPVQPEKSLSVHSKDVSNLRPKGTMLERAIRELEKVVAESRLATMDVQDVDASAAAIKRRLPREVKQKLAKVARLAQSSQGRISEELINRLMNILGHSVQLRTLKRNLREMVLLGLSAKREKADRFRQIKMEVTEMIKLQASKQRDVATDDYQEVLNSEEQVVLKEQYSMDNAMEDKICDLYDLYVQGMDEDKGPQIRKLYVELSELWPNGIMDNHGIKTAIRRAKERRRALCDNDKREGQEKEACTETGGWCSRGS >EOX92626 pep chromosome:Theobroma_cacao_20110822:1:7809709:7832821:-1 gene:TCM_001544 transcript:EOX92626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-responsive family protein isoform 1 MEEADKSIGVDGESSSRVSAASPSWLFASRQRFNIELRPGETTIVSWKRLVKDAQNTSPPSTTRKTEDSLDECLQDDKSTIKQNGLLVNSVECINKPELSVAQQSRKRSKNMAKAQGEKVDDYVPSKHAKVELGRLNFAATDALPEEQSSAFLQNLAANSEQDQKLHNLLSSPVRSSIKKPADIGTASENSSYTGISNNDASISPLNLKDAGKCRSVAIHSRDIGNNSNSGATHQKHLEKHSCKQLESPVRKLMTDIDEVGIPTKVEQRETRGSCGELPDLNLPVYPVQPEKSLSVHSKDVSNLRPKGTMLERAIRELEKVVAESRLATMDVQDVDASAAAIKRRLPREVKQKLAKVARLAQSSQGRISEELINRLMNILGHSVQLRTLKRNLREMVLLGLSAKREKADRFRQIKMEVTEMIKLQASKQRDVATDDYQEVLNSEEQVVLKEQYSMDNAMEDKICDLYDLYVQGMDEDKGPQIRKLYVELSELWPNGIMDNHGIKTAIRRAKERRRALCDNDKVREKDRRKKPAQKLEVGVRGEASSISQLQAVQEKQTSEPSSHVLALPCRMISCTETMDEHLAAPLEISSISLKGSSMDISKQEEIEKMTIPMLKEQTKQQQRELENRVKKLSLKPEKESRKSHKRAIGHPDTAKYELAAPPSCGRPL >EOX92627 pep chromosome:Theobroma_cacao_20110822:1:7810110:7832284:-1 gene:TCM_001544 transcript:EOX92627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-responsive family protein isoform 1 MEEADKSIGVDGESSSRVSAASPSWLFASRQRFNIELRPGETTIVSWKRLVKDAQNTSPPSTTRKTEDSLDECLQDDKSTIKQNGLLVNSVECINKPELSVAQQSRKRSKNMAKAQGEKVDDYVPSKHAKVELGRLNFAATDALPEEQSSAFLQNLAANSEQDQKLHNLLSSPVRSSIKKPADIGTASENSSYTGISNNDASISPLNLKDAGKCRSVAIHSRDIGNNSNSGATHQKHLEKHSCKQLESPVRKLMTDIDEVGIPTKVEQRETRGSCGELPDLNLPVYPVQPEKSLSVHSKDVSNLRPKGTMLERAIRELEKVVAESRLATMDVQDVDASAAAIKRRLPREVKQKLAKVARLAQSSQGRISEELINRLMNILGHSVQLRTLKRNLREMVLLGLSAKREKADRFRQIKMEVTEMIKLQASKQRDVATDDYQEVLNSEEQVVLKEQYSMDNAMEDKICDLYDLYVQGMDEDKGPQIRKLYVELSELWPNGIMDNHGIKTAIRRAKERRRALCDNDKVREKDRRKKPAQKLEVGVRGEASSISQLQAVQEKQTSEPSSHVLALPCRMISCTETMDEHLAAPLEISSISLKGSSMDISKQEEIEKMTIPMLKEQTKQQQRELENRVKKLSLKPEKESRKSHKRAIGHPDTAKYELAAPPSCGRPL >EOX92629 pep chromosome:Theobroma_cacao_20110822:1:7810936:7832606:-1 gene:TCM_001544 transcript:EOX92629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-responsive family protein isoform 1 MEEADKSIGVDGESSSRVSAASPSWLFASRQRFNIELRPGETTIVSWKRLVKDAQNTSPPSTTRKTEDSLDECLQDDKSTIKQNGLLVNSVECINKPELSVAQQSRKRSKNMAKAQGEKVDDYVPSKHAKVELGRLNFAATDALPEEQSSAFLQNLAANSEQDQKLHNLLSSPVRSSIKKPADIGTASENSSYTGISNNDASISPLNLKDAGKCRSVAIHSRDIGNNSNSGATHQKHLEKHSCKQLESPVRKLMTDIDEVGIPTKVEQRETRGSCGELPDLNLPVYPVQPEKSLSVHSKDVSNLRPKGTMLERAIRELEKVVAESRLATMDVQDVDASAAAIKRRLPREVKQKLAKVARLAQSSQGRISEELINRLMNILGHSVQLRTLKRNLREMVLLGLSAKREKADRFRQIKMEVTEMIKLQASKQRDVATDDYQEVLNSEEQVVLKEQYSMDNAMEDKICDLYDLYVQVLLSTNYSCSLQY >EOX96623 pep chromosome:Theobroma_cacao_20110822:1:38433691:38437109:-1 gene:TCM_005837 transcript:EOX96623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma-membrane choline transporter family protein isoform 1 MGATEHVVEGESEEQRREERENDTKKEEEEEREEEGEEEEREGEEEKIDLEKGQAGAVVEEMALERIEDQRDHFNVSMMQRLNPTNPLRIVINGGTRVATPSPSQSSRFQPPPPAPPRSQPRSTPTPQQSITTLNSRRYTNKISLFLFVVHMFVAVALVCFLIFKGIQGLIEASNPAKRNEERVLKFLLPQVEAASLLSITLAFAWQKALREWPQIMVYVILWGSFFMSLSAGILLICFQKPATDGVGVCFIAFAIGNGLYACWVSQRIGFCFKILLKSLEPVSKFPDLNQPAYWMLGSGFLWMSLWILAVVGALNFYYPPLIIIALGLSLAWTTEVMRNVVNITISRVIALYYLRGMQSNTQFCFQRALTRNLGSACLGSLFVPTIETLRIIARGLNLLEGEDEFMFSCAHCCLNVMESIFRYGNGWAYVQQIAAYGKGFVRASQDTWELFQREEMVPIVDSDMTSAICFLTGVCSGSICVIVVAAWTAKVHQPFTATISFLAFFVGYLMTRIAMALPHACVSCYYVCYAENPENRLFDKTIKDRINLIKTGRDIVVPTPRVPRRFTR >EOX96622 pep chromosome:Theobroma_cacao_20110822:1:38433626:38438475:-1 gene:TCM_005837 transcript:EOX96622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma-membrane choline transporter family protein isoform 1 MKKNHEFWGLLDHFSYVKRVYTTQLDSNSNDVCMSALSGTPWQRAVEPACLFISNPTTFDNNPSPPPPPPPPPRPEFEVITTGMGATEHVVEGESEEQRREERENDTKKEEEEEREEEGEEEEREGEEEKIDLEKGQAGAVVEEMALERIEDQRDHFNVSMMQRLNPTNPLRIVINGGTRVATPSPSQSSRFQPPPPAPPRSQPRSTPTPQQSITTLNSRRYTNKISLFLFVVHMFVAVALVCFLIFKGIQGLIEASNPAKRNEERVLKFLLPQVEAASLLSITLAFAWQKALREWPQIMVYVILWGSFFMSLSAGILLICFQKPATDGVGVCFIAFAIGNGLYACWVSQRIGFCFKILLKSLEPVSKFPDLNQPAYWMLGSGFLWMSLWILAVVGALNFYYPPLIIIALGLSLAWTTEVMRNVVNITISRVIALYYLRGMQSNTQFCFQRALTRNLGSACLGSLFVPTIETLRIIARGLNLLEGEDEFMFSCAHCCLNVMESIFRYGNGWAYVQIAAYGKGFVRASQDTWELFQREEMVPIVDSDMTSAICFLTGVCSGSICVIVVAAWTAKVHQPFTATISFLAFFVGYLMTRIAMALPHACVSCYYVCYAENPENRLFDKTIKDRINLIKTGRDIVVPTPRVPRRFTR >EOX90746 pep chromosome:Theobroma_cacao_20110822:1:507603:507966:-1 gene:TCM_000129 transcript:EOX90746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLALHRFPLGCSSNFQRFLKILGWVPLNLTFDEQCCITTKHSLGFYVTFFQ >EOX93808 pep chromosome:Theobroma_cacao_20110822:1:16047163:16060417:1 gene:TCM_002746 transcript:EOX93808 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein isoform 2 MGLLRLSVLFLFFSISRGEISSQVGINYGQLGDNLPSPKQSVKLIQSLGAKRVKIYDANPDILNALKDTNLQVSIMVPNQLLTNISTNQKLADSWVESNVVPFYPKTKIRYLLVGNEVISSSPKETWYSIVPAMRKLKNSLKIHSLNKIKVGTSMAMDVLESSFPPSNGTFRSDIAGPIVKPMLQFLSRTKSFYFLDVYPYFPWSSEPKNINLDYALFESRTITYTDPVSNLTYTNMFDQMVDSVVFAMKKLGYPDVRIWIAETGWPNAGDIDQIGANIYNAATYNRNAIKKLTAKPAIGTPARPGWVLPSFIFALYNENQKPGPGTERHFGLLYPNGSNVYGIDLSGETPDSDFEPLPKPDNNEPYKGKIWCVAARGVNASELGSALSYACSQGNKTCDPIQPGKECFKPDSLVWHASYAFSSYWSQLKQTGATCYFNGLATQTAKDPSFGHCKFPSVTL >EOX93809 pep chromosome:Theobroma_cacao_20110822:1:16047758:16049475:1 gene:TCM_002746 transcript:EOX93809 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein isoform 2 MRKLKNSLKIHSLNKIKVGTSMAMDVLESSFPPSNGTFRSDIAGPIVKPMLQFLSRTKSFYFLDVYPYFPWSSEPKNINLDYALFESRTITYTDPVSNLTYTNMFDQMVDSVVFAMKKLGYPDVRIWIAETGWPNAGDIDQIGANIYNAATYNRNAIKKLTAKPAIGTPARPGWVLPSFIFALYNENQKPGPGTERHFGLLYPNGSNVYGIDLSGETPDSDFEPLPKPDNNEPYKGKIWCVAARGVNASELGSALSYACSQGNKTCDPIQPGKECFKPDSLVWHASYAFSSYWSQLKQTGATCYFNGLATQTAKDPSFGHCKFPSVTL >EOX95133 pep chromosome:Theobroma_cacao_20110822:1:32954723:32957002:1 gene:TCM_004688 transcript:EOX95133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18 isoform 2 MGIDLVAGGKSKKSKRTAPKSDDIYLKLLQLYRFLVRRTGSKFNAVILKRLFMSKVNKPPLSLSRLIEFMKCKEDKIAVVVGTVTDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNSREAVKHFGPAPGVPHSHTKPYVRSKGRKFERARGRRNSKGFRV >EOX95132 pep chromosome:Theobroma_cacao_20110822:1:32954733:32957001:1 gene:TCM_004688 transcript:EOX95132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18 isoform 2 MGIDLVAGGKSKKSKRTAPKSDDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKVNKPPLSLSRLIEFMKCKEDKIAVVVGTVTDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTNSREAVKHFGPAPGVPHSHTKPYVRSKGRKFERARGRRNSKGFRV >EOX95131 pep chromosome:Theobroma_cacao_20110822:1:32954723:32957342:1 gene:TCM_004688 transcript:EOX95131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18 isoform 2 MGIDLVAGGKSKKSKRTAPKSDDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKVNKPPLSLSRLIEFMKCKEDKIAVVVGTVTDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNSREAVKHFGPAPGVPHSHTKPYVRSKGRKFERARGRRNSKGFRV >EOX95459 pep chromosome:Theobroma_cacao_20110822:1:34280715:34284132:1 gene:TCM_004948 transcript:EOX95459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 3 MKRSFSLLDVLLLLAFVSHTTWAVKGSLHCKRTNLGETRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAKLFVPAGRWLTGSFDLISHLTLWLDKDAVILGSKNSDDWPVVDPLPSYGRGRELPGGRHRSLIYGRNLTDVIITGDNGTVDGQGSVWWNWFRSKTLNYTRPHLVELMNSTGVLITNLTFLNSPFWTIHPVYCSHVTVQNVTILAPLDSPNTDGIDPDSSDDVCIEDCYISTGDDLIAIKSGWDEYGISFAHPSTNITIRGLTGHNRNGSGIAIGSEMSGGVSEVYAENIFFFNTSTAIIIKTARGRGGYVRNIYISNVTLAGVDTALKFNSNFSQHPDEFYDPNALPVIERITIKDVVGDNIKVAGLLKGIEGDSFRNVCLLNITLNVTSESSWNCSNIRGYSALVSPETCEPLKESIFPKHYSDCYRLSNHLWSSAHYLAKEMMPDIRS >EOX95462 pep chromosome:Theobroma_cacao_20110822:1:34281878:34284132:1 gene:TCM_004948 transcript:EOX95462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 3 MLYMNSDDWPVVDPLPSYGRGRELPGGRHRSLIYGRNLTDVIITGDNGTVDGQGSVWWNWFRSKTLNYTRPHLVELMNSTGVLITNLTFLNSPFWTIHPVYCSHVTVQNVTILAPLDSPNTDGIDPDSSDDVCIEDCYISTGDDLIAIKSGWDEYGISFAHPSTNITIRGLTGHNRNGSGIAIGSEMSGGVSEVYAENIFFFNTSTAIIIKTARGRGGYVRNIYISNVTLAGVDTALKFNSNFSQHPDEFYDPNALPVIERITIKDVVGDNIKVAGLLKGIEGDSFRNVCLLNITLNVTSESSWNCSNIRGYSALVSPETCEPLKESIFPKHYSDCYRLSNHLWSSAHYLAKEMMPDIRS >EOX95461 pep chromosome:Theobroma_cacao_20110822:1:34280808:34283594:1 gene:TCM_004948 transcript:EOX95461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 3 MINSKIIYFQLLDVLLLLAFVSHTTWAVKGSLHCKRTNLGETRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAKLFVPAGRWLTGSFDLISHLTLWLDKDAVILGSKNSDDWPVVDPLPSYGRGRELPGGRHRSLIYGRNLTDVIITGDNGTVDGQGSVWWNWFRSKTLNYTRPHLVELMNSTGVLITNLTFLNSPFWTIHPVYCSHVTVQNVTILAPLDSPNTDGIDPDSSDDVCIEDCYISTGDDLIAIKSGWDEYGISFAHPSTNITIRGLTGHNRNGSGIAIGSEMSGGVSEVYAENIFFFNTSTAIIIKTARGRGGYVRNIYISNVTLAGVDTALKFNSNFSQHPDEFYDPNALPVIERITIKDVVGDNIKVAGLLKGIEGDSFRNVCLLNITLNVTSESSWNCSNIR >EOX95460 pep chromosome:Theobroma_cacao_20110822:1:34280151:34284045:1 gene:TCM_004948 transcript:EOX95460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 3 MKRSFSLLDVLLLLAFVSHTTWAVKGSLHCKRTNLGETRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAKLFVPAGRWLTGSFDLISHLTLWLDKDAVILGSKNSDDWPVVDPLPSYGRGRELPGGRHRSLIYGRNLTDVIITGDNGTVDGQGSVWWNWFRSKTLNYTRPHLVELMNSTGVLITNLTFLNSPFWTIHPVYCSHVTVQNVTILAPLDSPNTDGIDPDSSDDVCIEDCYISTGDDLIAIKSGWDEYGISFAHPSTNITIRGLTGHNRNGSGIAIGSEMSGGVSEVYAENIFFFNTSTAIIIKTARGRGGYVRNIYISNVTLAGVDTALKFNSNFSQHPDEFYDPNALPVIERITIKDVVGDNIKVAGLLKGIEGDSFRNVCLLNITLNVTSESSWNCSNIRGYSALVSPETCEPLKESIFPKHYSDCYRLSNHLWSSAHYLAKEMMPDIRS >EOX96137 pep chromosome:Theobroma_cacao_20110822:1:36720344:36727109:1 gene:TCM_005456 transcript:EOX96137 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIKC mads-box transcription factor SOC1 isoform 1 MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFASSSMQETIERYNRHTKDTRTKPSEQNMQLLKTEAANMVKKIELLEVSRRKLLGEGLGSCTLEELLQIEQQLERSVSSIRARKGTSFQGTDRAIKRKGKSPSS >EOX96138 pep chromosome:Theobroma_cacao_20110822:1:36720915:36727244:1 gene:TCM_005456 transcript:EOX96138 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIKC mads-box transcription factor SOC1 isoform 1 MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFASSSMQETIERYNRHTKDTRTKPSEQNMQLLKTEAANMVKKIELLEVSRRKLLGEGLGSCTLEELLQIEQQLERSVSSIRARKAQVFKEQIEQLKEKEKVLAAENARLCEKVRNWDSLQGSLFDIVSSISLIQETLHACLLLSVWYAAVAR >EOX96135 pep chromosome:Theobroma_cacao_20110822:1:36719705:36727409:1 gene:TCM_005456 transcript:EOX96135 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIKC mads-box transcription factor SOC1 isoform 1 MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFASSSMQETIERYNRHTKDTRTKPSEQNMQLLKTEAANMVKKIELLEVSRRKLLGEGLGSCTLEELLQIEQQLERSVSSIRARKAQVFKEQIEQLKEKEKVLAAENARLCEKCGMQPWQGSKEQKENVPCDESSPSIDVETELFIGPPERRTKCFLL >EOX96136 pep chromosome:Theobroma_cacao_20110822:1:36719705:36727719:1 gene:TCM_005456 transcript:EOX96136 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIKC mads-box transcription factor SOC1 isoform 1 MFLCLLDFPNFASQASYLLCFFHFFSLLLLLLPQDKKSSKFFPCLFGAEMVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFASSSMQETIERYNRHTKDTRTKPSEQNMQLLKTEAANMVKKIELLEVSRRKLLGEGLGSCTLEELLQIEQQLERSVSSIRARKAQVFKEQIEQLKEKEKVLAAENARLCEKCGMQPWQGSKEQKENVPCDESSPSIDVETELFIGPPERRTKCFLL >EOX96139 pep chromosome:Theobroma_cacao_20110822:1:36720411:36727244:1 gene:TCM_005456 transcript:EOX96139 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIKC mads-box transcription factor SOC1 isoform 1 KFKRDQITRRNAEMVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFASSSMQETIERYNRHTKDTRTKPSEQNMQLLKTEAANMVKKIELLEVSRRKLLGEGLGSCTLEELLQIEQQLERSVSSIRARKAQVFKEQIEQLKEKEKVLAAENARLCEKVRNWDSLQGSLFDIVSSISLIQETLHACLLLSVWYAAVAR >EOX92104 pep chromosome:Theobroma_cacao_20110822:1:5296127:5301568:1 gene:TCM_001111 transcript:EOX92104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MAAKSIEQEKPFLPGMVDGSTNLPVNISCFELIKPSFDETHQHCSLDVLPILIEETSFPVREKCSLKTSNGQDVYSISVLPEEGNTSPKCTPQLTFLSLLEVPFSSKNQMCLDAQLSCQNCIDLKVDTEDDYSSCILDINIEKENSDILKSSNETVGNTKSEGVVTHLQKVLQRQASLVDKSSTERVHDAPTNRWRRYKRAASFDSRKIVLLFSILSSVGTLILIYLTLRVRQNGDGFNHV >EOX92106 pep chromosome:Theobroma_cacao_20110822:1:5296191:5301561:1 gene:TCM_001111 transcript:EOX92106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MAAKSIEQGQDVYSISVLPEEGNTSPKCTPQLTFLSLLEVPFSSKNQMCLDAQLSCQNCIDLKVDTEDDYSSCILDINIEKENSDILKSSNETVGNTKSEGVVTHLQKVLQRQASLVDKSSTERVHDAPTNRWRRYKRAASFDSRKIVLLFSILSSVGTLILIYLTLRVRQNGDGFNHV >EOX92107 pep chromosome:Theobroma_cacao_20110822:1:5296191:5301561:1 gene:TCM_001111 transcript:EOX92107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MAAKSIEQGQDVYSISVLPEEGNTSPKCTPQLTFLSLLEVPFSSKNQMCLDAQLSCQNCIDLKVDTEDDYSSCILDINIEKENSDILKSSNETVGNTKSEGVVTHLQKVLQRQASLVDKSSTERVHDAPTNRWRRYKRAASFDSRSSVGTLILIYLTLRVRQNGDGFNHV >EOX92105 pep chromosome:Theobroma_cacao_20110822:1:5295789:5301534:1 gene:TCM_001111 transcript:EOX92105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MVDGSTNLPVNISCFELIKPSFDETHQHCSLDVLPILIEETSFPVREKCSLKTSNGQDVYSISVLPEEGNTSPKCTPQLTFLSLLEVPFSSKNQMCLDAQLSCQNCIDLKVDTEDDYSSCILDINIEKENSDILKSSNETVGNTKSEGVVTHLQKVLQRQASLVDKSSTERVHDAPTNRWRRYKRAASFDSRKIVLLFSILSSVGTLILIYLTLRVRQNGDGFNHV >EOX94751 pep chromosome:Theobroma_cacao_20110822:1:31193617:31199897:-1 gene:TCM_004361 transcript:EOX94751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Time for coffee, putative isoform 4 MERNREARRSNLASSNGLHRRRQRSNNLRDSPEAGEMEMQETVRLRERASKRERDRDLLNRSKRRRADKVVLQGSNNREEGEESTEESSGEEEDYETEQLSNRKISPSARVSRQVPPLKSTDEMISFPVPRKARSASVKRSLENWVAGNGGFVEEQNHRRASISPARWSVESDRVSPSSSNGSFRKKMKPNGPKTRFPKATKSSSSAQEDIEIEIAEVLYGLMKQSQSSKKEDSAGNPFPKLECEDANGFSTETKPSGSSQIASSAQSQSQTTVLADPLVGVASKKKKVESENSPTPMKVENEQRAKIENFSPKQGQISGLNVGDSKPSVEEPNSIDGAVTREKSVSTEKESAKLDVDFQDSTVTKAVGYHIISTVSKVENQREEKFKIDLMAPPPMASSPERDGPVDIALDPKYKVLDMELKIETLVKDEAKVVKKEMRAEDSKDKMDTIREKRDSLKLDLEKPYQDNGSDCCKFEHGQKQQLSKPGIPKVEKTAQSSSVPVPITLTGWPNGLPPLGYMPPFQTIPPMDGSTKSSTALQPPHFLLSQPWPKRCAMHHYIARNIHLHQQFTKMNQFWPSAPGSASPCGAKPSNLHVVPSAENLILGNPLQGSFPVVNLNSTEEKGKVMASFPGLTRKDKSSDCTNFVDTAQRKQVVLQLASQPAAAGNLMHGPAFLFPLSQHQNAANQSGPSKCATSTNKASLSNNSTPGISTGSAALPGVAAAVSFNYPNLGANEAPYLTILQNNGYPFAISAPAGNPSAIRGGTPTQALPFFNGSFYSSQMFHPQLQQQQAHSQPVVQPAYQNAVTSSGSSTSHKQPESHQPRGAQISGNNFFSSTSMQSQQLQKYHMLTSNQSRKLEPEMNGENTTSDTQKSVYGQNPPLPHQPLNYALVPSATIGGGSVNGNHSEKQLSQQKNLKGGVDLVPPQAFAVSFASFTGNNIPSNLNFSSMAQNATIFHSVPEMGRQGYQVAPVPQAAQQKNHQISDGKNGGGSTNLDDGKRVSLGKSHTTNGQTFVFDNSARSLNFVSSPVTGNWPPRSITSTTVTTNPPIAANSSNSQQQLLLLQKQLMMQQHQQQPATASRSKSQTANTMPASFVAAKFSSNTAIFPQTAPQSNRSAQSTQWKNSARTSAAQVACTSVAATNASAVKNLPQQPSRLPQGQTQISFGVNTTSSLSPQVQEIPTGSQPASPMIVGSPPSSGNLRTSSTGSKVGSSVPTIQSQQSENSSPGNGQKSSPVCGRNVPSILSTCPSHLSELKY >EOX94750 pep chromosome:Theobroma_cacao_20110822:1:31193545:31200126:-1 gene:TCM_004361 transcript:EOX94750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Time for coffee, putative isoform 4 MERNREARRSNLASSNGLHRRRQRSNNLRDSPEAGEMEMQETVRLRERASKRERDRDLLNRSKRRRADKVVLQGSNNREEGEESTEESSGEEEDYETEQLSNRKISPSARVSRQVPPLKSTDEMISFPVPRKARSASVKRSLENWVAGNGGFVEEQNHRRASISPARWSVESDRVSPSSSNGSFRKKMKPNGPKTRFPKATKSSSSAQEDIEIEIAEVLYGLMKQSQSSKKEDSAGNPFPKLECEDANGFSTETKPSGSSQIASSAQSQSQTTVLADPLVGVASKKKKVESENSPTPMKVENEQRAKIENFSPKQGQISGLNVVISESSFDTGKTASVLMESRENVVMIKQGDSKPSVEEPNSIDGAVTREKSVSTEKESAKLDVDFQDSTVTKAVGYHIISTVSKVENQREEKFKIDLMAPPPMASSPERDGPVDIALDPKYKVLDMELKIETLVKDEAKVVKKEMRAEDSKDKMDTIREKRDSLKLDLEKPYQDNGSDCCKFEHGQKQQLSKPGIPKVEKTAQSSSVPVPITLTGWPNGLPPLGYMPPFQTIPPMDGSTKSSTALQPPHFLLSQPWPKRCAMHHYIARNIHLHQQFTKMNQFWPSAPGSASPCGAKPSNLHVVPSAENLILGNPLQGSFPVVNLNSTEEKGKVMASFPGLTRKDKSSDCTNFVDTAQRKQVVLQLASQPAAAGNLMHGPAFLFPLSQHQNAANQSGPSKCATSTNKASLSNNSTPGISTGSAALPGVAAAVSFNYPNLGANEAPYLTILQNNGYPFAISAPAGNPSAIRGGTPTQALPFFNGSFYSSQMFHPQLQQQQAHSQPVVQPAYQNAVTSSGSSTSHKQPESHQPRGAQISGNNFFSSTSMQSQQLQKYHMLTSNQSRKLEPEMNGENTTSDTQKSVYGQNPPLPHQPLNYALVPSATIGGGSVNGNHSEKQLSQQKNLKGGVDLVPPQAFAVSFASFTGNNIPSNLNFSSMAQNATIFHSVPEMGRQGYQVAPVPQAAQQKNHQISDGKNGGGSTNLDDGKRVSLGKSHTTNGQTFVFDNSARSLNFVSSPVTGNWPPRSITSTTVTTNPPIAANSSNSQQQLLLLQKQLMMQQHQQQPATASRSKSQTANTMPASFVAAKFSSNTAIFPQTAPQSNRSAQSTQWKNSARTSAAQVACTSVAATNASAVKNLPQQPSRLPQGQTQISFGVNTTSSLSPQVQEIPTGSQPASPMIVGSPPSSGNLRTSSTGSKVGSSVPTIQSQQSENSSPGNGQKSSPVCGRNVPSILSTCPSHLSELKY >EOX94749 pep chromosome:Theobroma_cacao_20110822:1:31193617:31199897:-1 gene:TCM_004361 transcript:EOX94749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Time for coffee, putative isoform 4 MERNREARRSNLASSNGLHRRRQRSNNLRDSPEAGEMEMQETVRLRERASKRERDRDLLNRSKRRRADKVVLQGSNNREEGEESTEESSGEEEDYETEQLSNRKISPSARVSRQVPPLKSTDEMISFPVPRKARSASVKRSLENWVAGNGGFVEEQNHRRASISPARWSVESDRVSPSSSNGSFRKKMKPNGPKTRFPKATKSSSSAQEDIEIEIAEVLYGLMKQSQSSKKEDSAGNPFPKLECEDANGFSTETKPSGSSQIASSAQSQSQTTVLADPLVGVASKKKKVESENSPTPMKVENEQRAKIENFSPKQGQISGLNVVISESSFDTGKTASVLMESRENVVMIKQGDSKPSVEEPNSIDGAVTREKSVSTEKESAKLDVDFQDSTVTKAVGYHIISTVSKVENQREEKFKIDLMAPPPMASSPERDGPVDIALDPKYKVLDMELKIETLVKDEAKVVKKEMRAEDSKDKMDTIREKRDSLKLDLEKPYQDNGSDCCKFEHGQKQQLSKPGIPKVEKTAQSSSVPVPITLTGWPNGLPPLGYMPPFQTIPPMDGSTKSSTALQPPHFLLSQPWPKRCAMHHYIARNIHLHQQFTKMNQFWPSAPGSASPCGAKPSNLHVVPSAENLILGNPLQGSFPVVNLNSTEEKGKVMASFPGLTRKDKSSDCTNFVDTAQRKQVVLQLASQPAAAGNLMHGPAFLFPLSQHQNAANQSGPSKCATSTNKASLSNNSTPGISTGSAALPGVAAAVSFNYPNLGANEAPYLTILQNNGYPFAISAPAGNPSAIRGGTPTQALPFFNGSFYSSQMFHPQLQQQQAHSQPVVQPAYQNAVTSSGSSTSHKQPESHQPRGAQISGNNFFSSTSMQSQQLQKYHMLTSNQSRKLEPEMNGENTTSDTQKSVYGQNPPLPHQPLNYALVPSATIGGGSVNGNHSEKQLSQQKNLKGGVDLVPPQAFAVSFASFTGNNIPSNLNFSSMAQNATIFHSVPEMGRQGYQVAPVPQAAQQKNHQISDGKNGGGSTNLDDGKRVSLGKSHTTNGQTFVFDNSARSLNFVSSPVTGNWPPRSITSTTVTTNPPIAANSSNSQQQLLLLQKQLMMQQHQQQPATASRSKSQTANTMPASFVAAKFSSNTAIFPQTAPQSNRSAQSTQWKNSARTSAAQVACTSVAATNASAVKNLPQQPSRLPQGQTQISFGVNTTSSLSPQVQEIPTGSQPASPMIVGSPPSSGNLRTSSTGSKVGSSVPTIQSQQSENSSPGNGQKSSPVCGRNVPSILSTCPSHLSELKY >EOX94752 pep chromosome:Theobroma_cacao_20110822:1:31194306:31199870:-1 gene:TCM_004361 transcript:EOX94752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Time for coffee, putative isoform 4 MKPNGPKTRFPKATKSSSSAQEDIEIEIAEVLYGLMKQSQSSKKEDSAGNPFPKLECEDANGFSTETKPSGSSQIASSAQSQSQTTVLADPLVGVASKKKKVESENSPTPMKVENEQRAKIENFSPKQGQISGLNVVISESSFDTGKTASVLMESRENVVMIKQGDSKPSVEEPNSIDGAVTREKSVSTEKESAKLDVDFQDSTVTKAVGYHIISTVSKVENQREEKFKIDLMAPPPMASSPERDGPVDIALDPKYKVLDMELKIETLVKDEAKVVKKEMRAEDSKDKMDTIREKRDSLKLDLEKPYQDNGSDCCKFEHGQKQQLSKPGIPKVEKTAQSSSVPVPITLTGWPNGLPPLGYMPPFQTIPPMDGSTKSSTALQPPHFLLSQPWPKRCAMHHYIARNIHLHQQFTKMNQFWPSAPGSASPCGAKPSNLHVVPSAENLILGNPLQGSFPVVNLNSTEEKGKVMASFPGLTRKDKSSDCTNFVDTAQRKQVVLQLASQPAAAGNLMHGPAFLFPLSQHQNAANQSGPSKCATSTNKASLSNNSTPGISTGSAALPGVAAAVSFNYPNLGANEAPYLTILQNNGYPFAISAPAGNPSAIRGGTPTQALPFFNGSFYSSQMFHPQLQQQQAHSQPVVQPAYQNAVTSSGSSTSHKQPESHQPRGAQISGNNFFSSTSMQSQQLQKYHMLTSNQSRKLEPEMNGENTTSDTQKSVYGQNPPLPHQPLNYALVPSATIGGGSVNGNHSEKQLSQQKNLKGGVDLVPPQAFAVSFASFTGNNIPSNLNFSSMAQNATIFHSVPEMGRQGYQVAPVPQAAQQKNHQISDGKNGGGSTNLDDGKRVSLGKSHTTNGQTFVFDNSARSLNFVSSPVTGNWPPRSITSTTVTTNPPIAANSSNSQQQLLLLQKQLMMQQHQQQPATASRSKSQTANTMPASFVAAKFSSNTAIFPQTAPQSNRSAQSTQWKNSARTSAAQVACTSVAATNASAVKNLPQQPSRLPQGQTQISFGVNTTSSLSPQVQEIPTGSQPASPMIVGSPPSSGNLRTSSTGSKVGSSVPTIQSQQSENSSPGNGQKSSPVCGRNVPSILSTCPSHLSELKY >EOX94617 pep chromosome:Theobroma_cacao_20110822:1:30477645:30492129:-1 gene:TCM_004246 transcript:EOX94617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein, putative isoform 3 MSKKKNPLVFLDVSVDGDPVERIIIELFADVVPKTAENFRALCTGEKGVGKSTGKPLHYKGSFLHRIIKGFMAQGGDFSKGNGTGGESIYGGKLVDENFKLAHDGPGVLSMANSGPNTNGSQFFITFKRQPHLDGKHVVFGKVIKGMDVLKKIEQVGTGDGKPAKPVKISDCGETSESKIQDAVGKAKGKGKKSGKVPSSDSSDGQARGRGKNSLKDRRKKRKRRYSSSDSYSSDSYSDSYSSDSDTDVSASESSSSSDGRRHRRRRSAKRNKQQRGNKRKDGRRERKRGRQEKRSKRKSKWSSGSSSDTESESTSTSSGSDDEKAGSFRVSTHANRIPKDAENESPQNLDVEKNQPSRLFGKDANAGQGRKNESKVIEDDTSHEEGELSPKGVDVPNNGHGNKAANQRNYLDGSDRSRSVSPTLKRRPNNHRSSQSMSPPRKSVLQNRERTSRSPLGSPARKASASKQGHISSRSPSPGGASKRIRKGRGFTDRYSFARRYRTPSPESSPRRSYRYGGRNFQKRNHDRYSSYRNHSDRSPSRRYRSPPRGRSPHRYERRSRSRSVSRSPVGYRGQYRDHSHSHSQSPIRSPTPRDKRPAISEGLKSRLGPRMDDQHSPYKDKSKSRSSRSRSRGSSRSRSPTISTPRRRNRKSSSPSMSKSSSPSGQRGLVSYGD >EOX94618 pep chromosome:Theobroma_cacao_20110822:1:30477732:30484258:-1 gene:TCM_004246 transcript:EOX94618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein, putative isoform 3 MSKKKNPLVFLDVSVDGDPVERIIIELFADVVPKTAENFRALCTGEKGVGKSTGKPLHYKGSFLHRIIKGFMAQGGDFSKGNGTGGESIYGGKLVDENFKLAHDGPGVLSMANSGPNTNGSQFFITFKRQPHLDGKHVVFGKVIKGMDVLKKIEQVGTGDGKPAKPVKISDCGETSESKIQDAVGKAKGKGKKSGKVPSSDSSDGQARGRGKNSLKDRRKKRKRRYSSSDSYSSDSYSDSYSSDSDTDVSASESSSSSDGRRHRRRRSAKRNKQQRGNKRKDGRRERKRGRQEKRSKRKSKWSSGSSSDTESESTSTSSGSDDEKAGSFRVSTHANRIPKDAENESPQNLDVEKNQPSRLFGKDANAGQGRKNESKVIEDDTSHEEGELSPKGVDVPNNGHGNKAANQRNYLDGSDRSSVSPTLKRRPNNHRSSQSMSPPRKSVLQNRERTSRSPLGSPARKASASKQGHISSRSPSPGGASKRIRKGRGFTDRYSFARRYRTPSPESSPRRSYRYGGRNFQKRNHDRYSSYRNHSDRSPSRRYRSPPRGRSPHRQI >EOX94619 pep chromosome:Theobroma_cacao_20110822:1:30477657:30483261:-1 gene:TCM_004246 transcript:EOX94619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein, putative isoform 3 MANSGPNTNGSQFFITFKRQPHLDGKHVVFGKVIKGMDVLKKIEQVGTGDGKPAKPVKISDCGETSESKIQDAVGKAKGKGKKSGKVPSSDSSDGQARGRGKNSLKDRRKKRKRRYSSSDSYSSDSYSDSYSSDSDTDVSASESSSSSDGRRHRRRRSAKRNKQQRGNKRKDGRRERKRGRQEKRSKRKSKWSSGSSSDTESESTSTSSGSDDEKAGSFRVSTHANRIPKDAENESPQNLDVEKNQPSRLFGKDANAGQGRKNESKVIEDDTSHEEGELSPKGVDVPNNGHGNKAANQRNYLDGSDRSRSVSPTLKRRPNNHRSSQSMSPPRKSVLQNRERTSRSPLGSPARKASASKQGHISSRSPSPGGASKRIRKGRGFTDRYSFARRYRTPSPESSPRRSYRYGGRNFQKRNHDRYSSYRNHSDRSPSRRYRSPPRGRSPHRYERRSRSRSVSRSPVGYRGQYRDHSHSHSQSPIRSPTPRDKRPAISEGLKSRLGPRMDDQHSPYKDKSKSRSSRSRSRGSSRSRSPTISTPRRRNRKSSSPSMSKSSSPSGQRGLVSYGD >EOX94329 pep chromosome:Theobroma_cacao_20110822:1:27847344:27849857:-1 gene:TCM_003916 transcript:EOX94329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPPPLLPHSITDRAKPFEFETTNKDKMYPRVRVKERVEEDDHFPSSKDSESFLFLRLLESVSKQEKENQINSPPSVARIAKAYVTSLVTKSLSASEGVGSVQNNKQIGKDTKSNTKANSIPAPRAVLSSPDNDGMIGSRNKLNYARSAACKKRQTEQTKPAAGQAHINDNLKGNITQSSSNVRKAPKTENRGLAGRMRKDPLKPVVQRRKHKLEMENQVNSLEI >EOX94330 pep chromosome:Theobroma_cacao_20110822:1:27847302:27848708:-1 gene:TCM_003916 transcript:EOX94330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNLSMYPRVRVKERVEEDDHFPSSKDSESFLFLRLLESVSKQEKENQINSPPSVARIAKAYVTSLVTKSLSASEGVGSVQNNKQIGKDTKSNTKANSIPAPRAVLSSPDNDGMIGSRNKLNYARSAACKKRQTEQTKPAAGQAHINDNLKGNITQSSSNVRKAPKTENRGLAGRMRKDPLKPVVQRRKHKLEMENQVNSLEI >EOX92947 pep chromosome:Theobroma_cacao_20110822:1:9563033:9564549:-1 gene:TCM_001809 transcript:EOX92947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEQPQQEYEPGSESKAGSNDMRQEIWEDLIINLLGLKSEYNHLLPTEDENTVDLLTMQDETISRVNHTPSMEDSFHSNPIASPPPPTQHIVLTVVNDQGTPNKTINGCFTRAASKWGFVITNLVLETLTAACDQLSSPRQPHYALIGLLTSLSALLICIGELIYKSRKEIVSWTRPGRFCYTFPHKAIFSNFAETFGFICAVFQCIFSTVEYNYFKQHENNPIKLNIFPVVFAACLACSITCRKQDIETDHTD >EOX96440 pep chromosome:Theobroma_cacao_20110822:1:37818820:37819866:-1 gene:TCM_005690 transcript:EOX96440 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP2/3 complex 16 kDa subunit (p16-Arc) isoform 1 MAGVEKFVEADNAEAIITRIEHKSRKIESLLKQNKPVEALKTALEGSPPMTRDERCKSANWIVVHRALMAIKDVDGMFSSLDPEYYDILMKILCDDFLSFWPNGYPLIGGLFMLC >EOX96439 pep chromosome:Theobroma_cacao_20110822:1:37818134:37820025:-1 gene:TCM_005690 transcript:EOX96439 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP2/3 complex 16 kDa subunit (p16-Arc) isoform 1 MAGVEKFVEADNAEAIITRIEHKSRKIESLLKQNKPVEALKTALEGSPPMTRDERCKSANWIVVHRALMAIKDVDGMFSSLDPEYYDILMKYLYRGLSTGDRPTCDQCLRIHEKLTERAGLGCILRSLADTVNTV >EOX91641 pep chromosome:Theobroma_cacao_20110822:1:3406626:3407756:-1 gene:TCM_000765 transcript:EOX91641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRIKFVWKKTGDLSPLDRQTADWRRLLASAKRRGRGQAKEGGRVGPTKVCSFYVSFAPTGMPMVRSLSASVLAVICLSVPPYFYCEFISDIRITS >EOX93274 pep chromosome:Theobroma_cacao_20110822:1:11842098:11846791:-1 gene:TCM_002123 transcript:EOX93274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein, putative isoform 1 MKKKRATSSLARPPFKFGSKFVILVCAVLFLLAFLRLHFQTTLQSVISFYQASRVFGDDHFKCTPKIAFLFLARRNLPLDFLWGTFLKNVDTSMFSIYVHSEPGFVFNESNTRSAFFYGRQLNNSIQVEWGESSMIEAERLLFEAALDDPANQRFVLLSDSCIPLYSFGYVYSYLTSSSKSFVDSFIDVKENRYSPEMSPVIPKEKWRKGSQWIALIRRHAVIVVDDDFVFPVFRKFCKRWPPADLIKRRQILQAFVFQNGHNCIPDEHYVQTLLKMSGLENELERRTLTYSLWNQSAEAGERRSWHPFRFNHADASPQLIQEIKVINHVYYDSENRKELCHVNITIAPCFLFARKFTPLAAVRLLTERSVDLQDAASVFHAAP >EOX93275 pep chromosome:Theobroma_cacao_20110822:1:11843096:11846346:-1 gene:TCM_002123 transcript:EOX93275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein, putative isoform 1 LQSVISFYQASRVFGDDHFKCTPKIAFLFLARRNLPLDFLWGTFLKNVDTSMFSIYVHSEPGFVFNESNTRSAFFYGRQLNNSIQVEWGESSMIEAERLLFEAALDDPANQRFVLLSDSCIPLYSFGYVYSYLTSSSKSFVDSFIDVKENRYSPEMSPVIPKEKWRKGSQWIALIRRHAVIVVDDDFVFPVFRKFCKRWPPADLIKRRQILQAFVFQNGHNCIPDEHYVQTLLKMSGLENELERRTLTYSLWNQSAEAGERRSWHPFRFNHADASPQLIQEIKEVHTIGSRAPVD >EOX93276 pep chromosome:Theobroma_cacao_20110822:1:11843097:11846346:-1 gene:TCM_002123 transcript:EOX93276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein, putative isoform 1 LQSVISFYQASRVFGDDHFKCTPKIAFLFLARRNLPLDFLWGTFLKNVDTSMFSIYVHSEPGFVFNESNTRSAFFYGRQLNNSIQVEWGESSMIEAERLLFEAALDDPANQRFVLLSDSCIPLYSFGYVYSYLTSSSKSFVDSFIDVKENRYSPEMSPVIPKEKWRKGSQWIALIRRHAVIVVDDDFVFPVFRKFCKVFQNGHNCIPDEHYVQTLLKMSGLENELERRTLTYSLWNQSAEAGERRSWHPFRFNHADASPQLIQEIKVINHVYYDSENRKELCHVNITIAPCFLFARKFTPLAAVRLLTERSVDLQDAASVFHAAP >EOX95822 pep chromosome:Theobroma_cacao_20110822:1:35674569:35675963:1 gene:TCM_005227 transcript:EOX95822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitinase A, putative MGSGTTRESRGWEFNSRPLGSAVLDGIDFDIERGEPHYAALARRLTELSQVGKKVYLTAAPQCPFPDQWLNGALQTGLFDYVWVQFYNNPPCQFSSSNPGAFKDSWNKWTSSIKADKFFVGLPASHAAASSGYVPSNDLRTQLLPFVKASAKYGGVMLWDRYNDIQSGYSSKIKDAV >EOX92061 pep chromosome:Theobroma_cacao_20110822:1:5104229:5108046:1 gene:TCM_001078 transcript:EOX92061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 MASHSTLLFSPPNLSPKFSSLFHYSLQSLTFQTKKPSSLSLTNTRKRRQLSVSVVSASHSLQALILDCDGVILESEHLHRQAYNDAFSHFNVRCPPSSQPLNWVPEFYDVLQNRIGGGKPKMRWYFKEHGWPSSTIFETPPEGDAERTKLIDTLQDWKTERYKEIIKSGTVEPRPGVLRLMDEAKAAGRKVAVCSAATKSSVILCLENLIGMERFQGLDCFLAGDDVKEKKPDPSIYLTAAKRLGVSEKDCLVVEDSVIGLQAATKAGMACVITYTSSTADQDFKGSIAIYPDLSNVRLSDLELLLQNVAAAN >EOX92062 pep chromosome:Theobroma_cacao_20110822:1:5104249:5106761:1 gene:TCM_001078 transcript:EOX92062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 MASHSTLLFSPPNLSPKFSSLFHYSLQSLTFQTKKPSSLSLTNTRKRRQLSVSVVSASHSLQALILDCDGVILESEHLHRQAYNDAFSHFNVRCPPSSQPLNWVPEFYDVLQNRIGGGKPKMRWYFKEHGWPSSTIFETPPEGDAERTKLIDTLQDWKTERYKEIIKSGTVEPRPGVLRLMDEAKAAGRKVAVCSAATKSSVILCLENLIGMVCSVLLTHVLVVRCIVHLCESKHVLNAGFSV >EOX92063 pep chromosome:Theobroma_cacao_20110822:1:5104279:5108060:1 gene:TCM_001078 transcript:EOX92063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 VRKLPGDIHSHTNQTRQISPPQLKNQNSPLYTNHSSQAMASHSTLLFSPPNLSPKFSSLFHYSLQSLTFQTKKPSSLSLTNTRKRRQLSVSVVSASHSLQALILDCDGVILESEHLHRQAYNDAFSHFNVRCPPSSQPLNWVPEFYDVLQNRIGGGKPKMRGDDVKEKKPDPSIYLTAAKRLGVSEKDCLVVEDSVIGLQAATKAGMACVITYTSSTADQDFKGSIAIYPDLSNVRLSDLELLLQNVAAAN >EOX95582 pep chromosome:Theobroma_cacao_20110822:1:34711906:34717186:-1 gene:TCM_005034 transcript:EOX95582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo response regulator, putative isoform 1 MGEVGMEVEMEVGTAGERKKRNKNEEKDGSSAVVRWERFLPRMVLRVLLVEADDSTRQIITALLRKCSYKVAAVPDGLTAWETLKGGPHNIDLILTEVELPSISGFALLTLVMEHDICRNIPVIMMSSHDSISMVLKCMLKGAADFLIKPVRRNELRNLWQHVWRRHSLGGGRVPHNLPATEHEVEATAENNAESNQSSDYGSSTQKNKEGSDTQGLSQLKCTSSNFSDTGGEQLGNVIKLCQGSLQAEGQAEENSNRLQKEVAYCSVACESTASRLEENPASVKGMTHDTAVGLQSDRDNENVMIRVGCNDELVGPPTGAIDLIGSFDNRPKGTFGLSILSDGANKFEFSPQLELSLRRSCLSSTKNQGTSERPTLNHSDASAFSWYNNSKSLQPIFPTLAGNRAALKSWENLPNPVTGQSELTCPSAQPGLIPVPGVRLDDMYAGCKNVFPHIYYAQSGLPPAWSPKPAGQREYSSFPTVQEQNKQDPVGEPSSAQGGVSTRSDASASATFVAAVDNGTITESFNDSNFFNHDGLKGMDTHRSSQREAALTKFRLKRKDRCFEKKVRYQSRKRLAEQRPRVRGQFVRQVQNETPVGDADGPKI >EOX95583 pep chromosome:Theobroma_cacao_20110822:1:34712135:34717023:-1 gene:TCM_005034 transcript:EOX95583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo response regulator, putative isoform 1 MGEVGMEVEMEVGTAGERKKRNKNEEKDGSSAVVRWERFLPRMVLRVLLVEADDSTRQIITALLRKCSYKVAAVPDGLTAWETLKGGPHNIDLILTEVELPSISGFALLTLVMEHDICRNIPVIMMSSHDSISMVLKCMLKGAADFLIKPVRRNELRNLWQHVWRRHSLGGGRVPHNLPATEHEVEATAENNAESNQSSDYGSSTQKNKEGSDTQGLSQLKCTSSNFSDTGGEQLGNVIKLCQGSLQAEGQAEENSNRLQKEVAYCSVACESTASRLEENPASVKGMTHDTAVGLQSDRDNENVMIRVGCNDELVGPPTGAIDLIGSFDNRPKGTFGLSILSDGANKFEFSPQLELSLRRSCLSSTKNQGTSERPTLNHSDASAFSWYNNSKSLQPIFPTLAGNRAALKR >EOX93360 pep chromosome:Theobroma_cacao_20110822:1:12334382:12341166:1 gene:TCM_002202 transcript:EOX93360 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 2-2 MLRSRSPSLIALSFFFTFFSISYALYGPSSPVVQLTPSNFKSKVLNSNGVVLVEFFAPWCGHCQALTPTWEKAANVLKGVATVAALDADAHKSLAQEYGIRGFPTIKFFAPGKPPVDYQGARDVKPIAEFALQQVKALLKDRLAGKASGGSSEKSEPSSSVELNSRNFDELVLKSKELWIVEFFAPWCGHCKKLAPEWKKAANNLKGKVKLGHVDCDSEKSLMSRFNVQGFPTILVFGADKDSPIPYEGARTASAIESFALEQLETNVGPAEVTELTGPDVMEEKCGSAAICFVAFLPDILDSKAEGRNKYLEMLLSVAEKFKRSPYSYVWAAAGKQPDLERRVGVGGYGYPALVALNVKKGAYAPLKSAFGLEHIIEFVKEAGRGGKGNLPLEGTPDIAKTEPWNGKDGEIIEEDEFSLEELMGEDIASKDEL >EOX95182 pep chromosome:Theobroma_cacao_20110822:1:33234576:33237530:1 gene:TCM_004738 transcript:EOX95182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGIRGRGNLDRLRTIWTPEMDRYFIDLMLEQVNNGNRFDDHVFSKTAWKNMISMFNERFNFEYDKDVLKNRHKTLRNLYKGVKNLLNQKGFTWDATRQMVIADGKVWDEYIKVNPDVRPYRVKTVPYYNDLCFIYGDRRAGEKGDNVSETSSHLGEDETGSAAQPECANEGALKAVHEIAADEDCISVSQEVKDTPETMPNVTTTPLYSRTRTNWHPPMDRSFIDLMLEQVHKGNQVDGVFRKQAWVEMIASFNAKFGFNYDMDILKNRYKTLRRQYNLIKNILQLDGFAWDDARQMVTADDSVWQDYIKGQKDARQFMTRPVPYYKDLCLICNDPDPDESDCFSLHCLEPQNTVQEVKPRREAKNSQSLAASVSSEDEIGNVLEPAHIGSKTIGTKLKYKRQLENQLNSAHSKKSRGEDDSMASALREMATAVTSLTEKKDDGSSNPISIENVIIAVQALPDLDEDLILDACDFLEDEIKAKTFLALDAKLRKKWLLRKLRPQQ >EOX95183 pep chromosome:Theobroma_cacao_20110822:1:33234946:33236934:1 gene:TCM_004738 transcript:EOX95183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGIRGRGNLDRLRTIWTPEMDRYFIDLMLEQVNNGNRFDDHVFSKTAWKNMISMFNERFNFEYDKDVLKNRHKTLRNLYKGVKNLLNQKGFTWDATRQMVIADGKVWDEYIKVNPDVRPYRVKTVPYYNDLCFIYGDRRAGEKGDNVSETSSHLGEDETGSAAQPECANEGALKAVHEIAADEDCISVSQEVKDTPETMPNVTTTPLYSRTRTNWHPPMDRSFIDLMLEQVHKGNQVDGVFRKQAWVEMIASFNAKFGFNYDMDILKNRYKTLRRQYNLIKNILQLDGFAWDDARQMVTADDSVWQDYIKDARQFMTRPVPYYKDLCLICNDPDPDESDCFSLHCLEPQNTVQEVKPRREAKNSQSLAASVSSED >EOX91667 pep chromosome:Theobroma_cacao_20110822:1:3485491:3489058:-1 gene:TCM_000785 transcript:EOX91667 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB and TAZ domain protein 4 MGKMEMSAKQPPQDANNICPAPPPLPGPATNCGRKGLFMMNGSQIRGYSCVSTATRDLWDSLFDGGYRADIAIKTDYGGIVYAHSNILGMASPVLRGMLKQAKGFGKKRSITIHGVPQDAVRVFIRFLYSSCYEKEEMKEYVLQLLVLSHAFVVPQLKQICEQHLEHGLLTIENVIDVLQLALLCDAPRLSLISHRMILSNFKSVSATEGWKAMKKSHPALEKELLESVIDEENMRKNKIRKSTERKIYLQLYEAMEALVHICRDGCRTIGPHDKDFKEDQAPCNYAACKGLELLIRHFAGCKLRVPGGCVHCKRMWQLLELHSRLCADSSLCRVPLCRNFKEKIRKQSKKDEIKWKMLVKKILRTKRIGGAPFFVSLNSISS >EOX90904 pep chromosome:Theobroma_cacao_20110822:1:998054:1000388:-1 gene:TCM_000246 transcript:EOX90904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase 2 isoform 2 MASASLLKTSPVVDKSEWVRGQTLRQPSVSVVRCHLVAPSGLTVRASSYADELVKTAKTIASPGRGILAMDESNATCGKRLASIGQENTEANRQAYRTLLVSAPGLGQYISGAILFEETLYQSTTDGKKMVDVLVEQNIVPGIKVDKGLVPLAGSNNESWCQGLDGLAPRSAAYYQQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAKKVWAEVFFYLAQNNVMFEGILLKPSMVTPGAECKDRATPQQVADYTLSLLRQRIPPAVPGIMFLSGGQSEVEATLNLNAMNQAPNQWHVSFSYARALQNTCLKKWGGRPENVKEAQEALLVRAKANSLAQLGKYTGEGESEEAKQGMFVKGYVY >EOX90905 pep chromosome:Theobroma_cacao_20110822:1:998724:1000157:-1 gene:TCM_000246 transcript:EOX90905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase 2 isoform 2 VVDKSEWVRGQTLRQPSVSVVRCHLVAPSGLTVRASSYADELVKTAKTIASPGRGILAMDESNATCGKRLASIGQENTEANRQAYRTLLVSAPGLGQYISGAILFEETLYQSTTDGKKMVDVLVEQNIVPGIKVDKGLVPLAGSNNESWCQGLDGLAPRSAAYYQQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAKKVWAEVFFYLAQNNVMFEGILLKPSMVTPGAECKDRATPQQVADYTLSLLRQRIPPAVPG >EOX95269 pep chromosome:Theobroma_cacao_20110822:1:33614783:33617115:-1 gene:TCM_004818 transcript:EOX95269 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor, putative MTSFHNSKMASGLFSFSTPFDFSGIQGSYNLEDYEKEAAVIKGKEDHLFGVQEIGEDRDYDPLFPEYGLYDQENVAKKPVDQGEQQQQLGQATKLDYLDEFDFSSAFSTTLAFQEFPRQENIQELVKPIKEIPSSLYLSSLELLSSYGNSFKNLKMGKSSGTGNETNARGHKKLSTEEIMRVAGARYVQFSDQRYDDFSMVMHPFGHALSGLSADETKDVELVHLLLAAAEKVGYEQFERASRLLSRCEWIASERANPVQRVVYNFAEALRERIDKGTGRIISNELEAKVKNEIDHGLGTNVTSVSVHQYIPFVQVMQFSGIQAIIENVASASKIHVIDLQIRSGVQWTGLMQALAEREQCRVELLKITAVELVGNQKIEESGKRLESVAASLNLPFSFRVTYVDDMKDIKEELFKIGSDESLAVYCPLVLRTLVWRPSCLENLMRVIKNLNPVIMVLRTKTESVFYNGIRSIVAMEGDERIARSVKMEVWSAFFARFRMVELGFSDSSLYQANLVIKRFPCASSCTLGRIGKSLVVGWKGTPVHSVSAWKFSRDRGRAFAKYRF >EOX94796 pep chromosome:Theobroma_cacao_20110822:1:31413395:31420264:-1 gene:TCM_004403 transcript:EOX94796 gene_biotype:protein_coding transcript_biotype:protein_coding description:BHLH-like DNA binding protein MAAPPSSRLQNMLQEAVQSVQWTYSLFWQICPQQGILIWSDGYYNGAIKTRKTVQPMEVSAEEASLQRSQQLRELYESLSAGETNQPARRPCAALSPEDLTESEWFYLMCVSFSFPPGVGLPGKAYARRQHVWLTGANEVDSKTFSRAILAKSARIQTVLCIPLLDGVVELGSTEKVQEDLGMVQHVKTFFTDSHNQNPPPPKPALSEHSTSNPATSSDHTRFRSPSIPPMYAAADPPVNANQEDDDDEDEEEEEEEEDDEEEPESDSAETGQNSRQVPAQDTQAVAAAAEPSELMQLEMSEDIRLGSPDDASNNLDSDFHMLAVSQTGNPADQQRRAESSRRWAMLQEPTGSSLQPPCSGPLPLDELSQEDTHYSQTVSTILQNQPSRWADSSSTGYPTYSSHSAFAKWTTRSDHHVHVAVEGTSQWLLKYILFSVPFLHSKYRDENSPKSRDSEAAARFRKGTPQDELSANHVLAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLRKKIQELETHNRQMEADKQRSRSADSPQRTSSFKDQRSGVTVSERARSVGPGTGSDKRKMRIVEGSGGGAKPRTVELSQAMEETSVEVSIIESDALLELQCAYKEGLLLDIMQMLREQLRIEITAVQSSLNNGVFAAELRAKVKDNVNGKKVSITEVKRAINQIIPQC >EOX91797 pep chromosome:Theobroma_cacao_20110822:1:3908462:3909401:1 gene:TCM_000871 transcript:EOX91797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFPSMELIWLEPNKVQTSTFATKLCLKILVNSPLGLNAVAILIQSSFSDISVDSREIAIDMERPVVGIRPVQAPPPILWSHLIVWAGPGLVFALNC >EOX95162 pep chromosome:Theobroma_cacao_20110822:1:33117611:33119412:-1 gene:TCM_004716 transcript:EOX95162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein, putative MKFAQCPCTSSYCVSSHRAYSNIVTVLDMAVTSPLFTTSLLLLSLLVIATANDYNYDSSKNQAYNYGTKPDGEEKPEYGTKPELYKPQPEDKEKPGPIDSEKPDYGRKPVYQTQPEKPESGKEEKPDYGRKPVYQPQPEKPESGKEEKPDDGRKPVYQQQPEKPESEKEEKPDNGTKQNYKPQPEEKENPESEEEEKPDYSTKPDFYKPQPEVNKKPESSEEVEKPNYSTKPDSYKPKSEEKEESSYGGKPYVAKPKAEGEENADHYGIEVPDLYPLKPEILPIGVQGLVLCKSGPKYNPIQGALVRITCLAVDENGYEKTHSVCSEETDAKGYFFAPLSPLGLDDDSQLKLTECKAFLESSPSETCNVPADVNKGISGAPLSDFRVLNQKRMKLYSVGPFFFTDEPNSVSNGY >EOX91849 pep chromosome:Theobroma_cacao_20110822:1:4129787:4130481:1 gene:TCM_000913 transcript:EOX91849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein MENQDLSPPHVDASRPSLGFPLGTALLLIIIFSLSGIFSCCYHWDKLRSLRRSISDGTGPDADIEASPSKSKPEYMDLKENQSQSLPVLMPGDQIPKFIAFPCPCEPPGPDKVEVKVQKPPKPPRFPVPLY >EOX94009 pep chromosome:Theobroma_cacao_20110822:1:18410254:18414869:1 gene:TCM_003040 transcript:EOX94009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase family protein MPRQSKTYKQQPMPKQSFTTQHHYHLLPLFFLVLLPYAVSAANNEVLALLYWLHSSPSPPDALSNWHPSDPYPCSWSYITCSSKNSVIEINIQFVELALPFPSNLSSLPSLQKLVISGANLTGTIPPNIGDCIQLTVIDVSSNSLVGGIPSSIGKLQNLQDLILNSNQLTGEIPAEIGECSSLMNLLIFDNFLSGNLPVDLGKLSNLEVIRAGGNKDIAGKIPEEIGDCQNLKVLGLADTKISGSIPVSLGKLSKLQVLSVYTTMLSGEVPPHIGNCSELVNVYLYENDLSGSVPPELGKLQKLEKLLLWQNNFDGSIPEEIGNCKSLITIDLSLNYFSGSIPRSFGNLSNLQELMLSNNNITGTIPPVLSNATSLVQLQLDTNQISGFIPKELGMWTKLAVFFAWQNKLEGSIPAALAGCRSLEALDLSHNALIGSLPSSLFQLQNLTKLLLTSNDISGIIPPEIGNCSSLIRVRLANNRISGEIPKDIGFLDNLSFLDLSNNHLGGSVPDEIGNCTQLQMLNLSNNTIGGSLPSSLSSLTRLQVLDVSVNQFKGQIPQSFGQLTSLNRLILSRNSLSGSIPSTLGHCLNLLFLDLGSNALSGTIPEELFDIQALDIALNLSWNALSGVIPPQISTLNKLSILDLSHNKLEGDLVVLSGLENLVSLNISYNNFTGYLPDSKLFQQLSATEMAGNQGLCSKGHESCFLSNATATRLQNDNGFRRSQKLKIAIALLITLTIALTIFGAFAVLRARKIIGDYNDSEMGGDSWPWQFTPFQKLNFSVDQVLKCLVEANVIGKGCSGMVYRAELGNGEIIAVKKLWPTTMAAGYDCHSDKIGIGGVRDSFSAEVKTLGSIRHKNIVRFLGCCWNRSTRLLMYDYMENGSLGSLLHERSGSCLEWELRYRIVLGASHGLAYLHHDCVPPIVHRDIKANNILIGPQFEPYLADFGLAKLVDSGDFAWSSSTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHIVDWVRQKRGRIEVLDPSLQARPESEIEEMLQTLGVGLLCVNPSPDDRPTMKDIAAMLKEIRQEREC >EOX93214 pep chromosome:Theobroma_cacao_20110822:1:11316660:11325728:-1 gene:TCM_002056 transcript:EOX93214 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIE subunit 1 isoform 3 MSIEPFNRLVKLAARAFYDDVTTKGDNQPKTGRGDNRGIAVVVLDALTRRQWVREEDLAKELKLHSKQLRRTLRLFEEEKLVTRDHRRETAKGAKIYSAAVAATVDVQHGGKEGEEKIKLHTHSYCCLDYAQIYDVVRYRLHRMKKKLKDELEDKNTVQEYVCPNCGKRYNALDALRLVSLEDEYFHCERCNGELVAESDKLVAQDVGDGDDNARRRRREKLKDMLQKMELKVQLKPLMEQLSRVKDLPVPEFGSLQAWEARASAAGRAINGDSGSNDLSRSSQGYGGTPMPFLGETKVEVAFSGLDGKEEDAKSESAGTSLKVLPPWMIKQGMNLTKEQRGEVKQESKTDGTSAQPDFSDDKKSTIGTDDKKNLQDEYLKAYYAALYKKQQELEEAAKKQEELSDIPLSSSHSDPSKRQVGMKVKRDDDEGDDDIDWEEAPLAGNTTESYKVNDLNVEAEASGDDEDDVDWEEG >EOX93216 pep chromosome:Theobroma_cacao_20110822:1:11316660:11325871:-1 gene:TCM_002056 transcript:EOX93216 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIE subunit 1 isoform 3 MSIEPFNRLVKLAARAFYDDVTTKGDNQPKTGRGDNRGIAVVVLDALTRRQWVREEDLAKELKLHSKQLRRTLRLFEEEKLVTRDHRRETAKGAKIYSAAVAATVDVQHGGKEGEEKIKLHTHSYCCLDYAQIYDVVRYRLHRMKKKLKDELEDKNTVQEYVCPNCGKRYNALDALRLVSLEDEYFHCERCNGELVAESDKLVAQDVGDGDDNARRRRREKLKDMLQKMEVQLKPLMEQLSRVKDLPVPEFGSLQAWEARASAAGRAINGDSGSNDLSRSSQGYGGTPMPFLGETKVEVAFSGLDGKEEDAKSESAGTSLKVLPPWMIKQGMNLTKEQRGEVKQESKTDGTSAQPDFSDDKKSTIGTDDKKNLQDEYLKAYYAALYKKQQELEEAAKKQEELSDIPLSSSHSDPSKRQVGMKVKRDDDEGDDDIDWEEAPLAGNTTESYKVNDLNVEAEASGDDEDDVDWEEG >EOX93215 pep chromosome:Theobroma_cacao_20110822:1:11317019:11325871:-1 gene:TCM_002056 transcript:EOX93215 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIE subunit 1 isoform 3 MSIEPFNRLVKLAARAFYDDVTTKGDNQPKTGRGDNRGIAVVVLDALTRRQWVREEDLAKELKLHSKQLRRTLRLFEEEKLVTRDHRRETAKGAKIYSAAVAATVDVQHGGKEGEEKIKLHTHSYCCLDYAQIYDVVRYRLHRMKKKLKDELEDKNTVQEYVCPNCGKRYNALDALRLVSLEDEYFHCERCNGELVAESDKLVAQDVGDGDDNARRRRREKLKDMLQKMEVQLKPLMEQLSRVKDLPVPEFGSLQAWEARASAAGRAINGDSGSNDLSRSSQGYGGTPMPFLGETKLLGPAWQVEVAFSGLDGKEEDAKSESAGTSLKVLPPWMIKQGMNLTKEQRGEVKQESKTDGTSAQPDFSDDKKSTIGTDDKKNLQDEYLKAYYAALYKKQQELEEAAKKQEELSDIPLSSSHSDPSKRQVGMKVKRDDDEGDDDIDWEEAPLAGNTTESYKVNDLNVEAEASGDDEDDVDWEEG >EOX93463 pep chromosome:Theobroma_cacao_20110822:1:13200576:13206362:-1 gene:TCM_002331 transcript:EOX93463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 2 MINIFCKKGKVHDAELIFNKIFQYNMCPDTFTYTSLILGYCRNQNLDLAFEVFYKMVKEGCDPNSVTYSNLINGLCNVGRVDEALGMFEEMVEKGIKPTVYTYTVPISSLCEFGRVDEAIEIVGSMRTWGCYPNVQTYTALISGLFRVQKLEMAVGFYHKMVKNGLVPSTVTYNVLINELCAEGRFAIALDIFNWMLRHSTLPNTQTYNEIIKALCLMGDTEKAMALFHKMLRIGPSPTLITYNTLIGGYLRKGNLNNAMRLLDMIKETERGPDEWTYSELISGFCKWGQLDSAVSLFCEMIERGLTPNQVSYTAMIDGYCKEGKMDAAVSLFERMEQHGCCPEIETYNAIINGLSQNNQFSEVEKLISKMVEKGLRPNVITYTCMIDGICKNGGTDLAFRVFLEMKERNCSPNVYTYSSLIHGLCQEGKANHAENLLDEMIGKELAPDEVTFTSLIDGFVMLGRLDHAFLLLRRMLDAGCKPNYRTFSVLSKGLQKEFKLLTEKVVSQNRVVCGGRIDDRFANFGLMRNLLSTLSGNGCEPNVDIYSALVTGLCREGRYYEASQLVAHMKEKGLCPNKDILFSLIFAQCRNLEVDHALETFNLTLIKGWEPPLSNYREVICALCKAGRVKEVQNLFESLIEKQWSSDEIVWTVLIDGLLKEGESDLCMKLLHVMESRNCPPNFQTYVILAREFSKYGLIEVDQIGNKLRIVRNTQ >EOX93462 pep chromosome:Theobroma_cacao_20110822:1:13204211:13206361:-1 gene:TCM_002331 transcript:EOX93462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 2 MINIFCKKGKVHDAELIFNKIFQYNMCPDTFTYTSLILGYCRNQNLDLAFEVFYKMVKEGCDPNSVTYSNLINGLCNVGRVDEALGMFEEMVEKGIKPTVYTYTVPISSLCEFGRVDEAIEIVGSMRTWGCYPNVQTYTALISGLFRVQKLEMAVGFYHKMVKNGLVPSTVTYNVLINELCAEGRFAIALDIFNWMLRHSTLPNTQTYNEIIKALCLMGDTEKAMALFHKMLRIGPSPTLITYNTLIGGYLRKGNLNNAMRLLDMIKETERGPDEWTYSELISGFCKWGQLDSAVSLFCEMIERGLTPNQVSYTAMIDGYCKEGKMDAAVSLFERMEQHGCCPEIETYNAIINGLSQNNQFSEVEKLISKMVEKGLRPNVITYTCMIDGICKNGGTDLAFRVFLEMKERNCSPNVYTYSSLIHGLCQEGKANHAENLLDEMIGKELAPDEVTFTSLIDGFVMLGRLDHAFLLLRRMLDAGCKPNYRTFSVLSKGLQKEFKLLTEKVVSQNRVVCGGRIDDRFANFGLMRNLLSTLSGNGCEPNVDIYSALVTGLCREGRYYEASQLVAHMKEKGLCPNKDILFSLIFAQCRNLEVDHALETFNLTLIKGWEPPLSNYREVICALCKAGRVKEVQNLFESLIEKQWSSDEIVWTVLIDGLLKEGESDLCMKLLHVMESRNCPPNFQTYVILAREFSKYGLIEVDQIGNKLRIVRNTQ >EOX93461 pep chromosome:Theobroma_cacao_20110822:1:13203124:13207789:-1 gene:TCM_002331 transcript:EOX93461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 2 MLNPLLKNPSPKLFSLSLLRHHILAFSSQPTNQSSLVSKICNILSHRQWKQNLELLHLTSDLKPHHVSQIITTHKNTDSVLEFFFWVSKRHFYKHDMGCYVLMLNRLAKDRKFPPVDHVRILMIKACRNEEEVKRVIEFLNGFNQNSGFGFTLYSFNTLLIQCGKFEMVSLAQDVYSQMLNTGIKPSLLTCNTMINIFCKKGKVHDAELIFNKIFQYNMCPDTFTYTSLILGYCRNQNLDLAFEVFYKMVKEGCDPNSVTYSNLINGLCNVGRVDEALGMFEEMVEKGIKPTVYTYTVPISSLCEFGRVDEAIEIVGSMRTWGCYPNVQTYTALISGLFRVQKLEMAVGFYHKMVKNGLVPSTVTYNVLINELCAEGRFAIALDIFNWMLRHSTLPNTQTYNEIIKALCLMGDTEKAMALFHKMLRIGPSPTLITYNTLIGGYLRKGNLNNAMRLLDMIKETERGPDEWTYSELISGFCKWGQLDSAVSLFCEMIERGLTPNQVSYTAMIDGYCKEGKMDAAVSLFERMEQHGCCPEIETYNAIINGLSQNNQFSEVEKLISKMVEKGLRPNVITYTCMIDGICKNGGTDLAFRVFLEMKERNCSPNVYTYSSLIHGLCQEGKANHAENLLDEMIGKELAPDEVTFTSLIDGFVMLGRLDHAFLLLRRMLDAGCKPNYRTFSVLSKGLQKEFKLLTEKVVSQNRVVCGGRIDDRFANFGLMRNLLSTLSGNGCEPNVDIYSALVTGLCREGRYYEASQLVAHMKEKGLCPNKDILFSLIFAQCRNLEVDHALETFNLTLIKGWEPPLSNYREVICALCKAGRVKEVQNLFESLIEKQWSSDEIVWTVLIDGLLKEGESDLCMKLLHVMESRNCPPNFQTYVILAREFSKYGLIEVDQIGNKLRIVRNTQ >EOX95089 pep chromosome:Theobroma_cacao_20110822:1:32856165:32857323:-1 gene:TCM_004659 transcript:EOX95089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGHTSFFGYPNLLIPVIVSGYICPKLRRNPEASTNRPWIWTMDWCRMEASAHSLIAIHYEGRPHTAIRESYYEILTKGKVRRFD >EOX90600 pep chromosome:Theobroma_cacao_20110822:1:115232:120064:-1 gene:TCM_000027 transcript:EOX90600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MGLELPKYPEMEEDNFVNFTDSEIVSHVKDALTSVLSGDSNSYNQLVAVMHHSKRLAPDEVALLETTLKALGGAVSCIDTTLHDSLLSAIFSMSMWNYGPHVADALAELIVSLAISNGKYLDLCLGMLVSNFTPPPYFLDKLKLPRGLERKGQVLSLVHAAFKKISDYIPLAPLRLSNIVLQGMPTIYHKDRAIVIYVENMLKLESGEIGELVGSTMLMAVVDQLIELDLEIGWDAILQDDFSKGIFEMELEDVDEVEESAEQDTGEFRLSRKSLAGNSIAELLDNLLVLTFEHLESCERAGRLAKVFETLLQSFQITVMSAYKSKFTQFVMFYACALDPDYCGMRFATMLADLFVQDSHPQPIRMSAVAYLASYLSRAKFLSASLISSMLKRLVDWCLEYGKTPDGDINPNAHRVFYSGCQAIMYVLCFRMKVFMDVPRLKMQLLMPMEQILKHKLNPLKVCLPSVVEEFLRQSKAACLFTVSKTFVFDGLLESELSRAFGGLERLDTFFPFDPCLLKRCDSFIRPMFVFWSMVKPTYDDAYDDDNDDGSSDDELIQDFVNENEDIMDDGVARSFDEQGLDLDEFDCALKKMSITPKNGFSYKFGGGFQEPTRMPCRIRPSTSPESL >EOX90602 pep chromosome:Theobroma_cacao_20110822:1:114968:120232:-1 gene:TCM_000027 transcript:EOX90602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MGLELPKYPEMEEDNFVNFTDSEIVSHVKDALTSVLSGDSNSYNQLVAVMHHSKRLAPDEVALLETTLKALGGAVSCIDTTLHDSLLSAIFSMSMWNYGPHVADALAELIVSLAISNGKYLDLCLGMLVSNFTPPPYFLDKLKLPRGLERKGQVLSLVHAAFKKISDYIPLAPLRLSNIVLQGMPTIYHKDRAIVIYVENMLKLESGEIGELVGSTMLMAVVDQLIELDLEIGWDAILQDDFSKGIFEMELEDVDEVEESAEQDTGEFRLSRKSLAGNSIAELLDNLLVLTFEHLESCERAGRLAKVFETLLQSFQITVMSAYKSKFTQFVMFYACALDPDYCGMRFATMLADLFVQDSHPQPIRMSAVAYLASYLSRAKFLSASLISSMLKRLVDWCLEYGKTPDGDINPNAHRVFYSGCQAIMYVLCFRMKVFMDVPRLKMQLLMPMEQILKHKLNPLKVCLPSVVEEFLRQSKAACLFTVSKTFVFDGLLESELSRAFGGLERLDTFFPFDPCLLKRCDSFIRPMFVFWSMVKPTYDDAYDDDNDDGSSDDELIQDFVNENEDIMDDGVARSFDEQGLDLDEFDCALKKMSITPKNGFSYKFGGGFQEPTRMPCRIRPSTSPESL >EOX90601 pep chromosome:Theobroma_cacao_20110822:1:114835:120350:-1 gene:TCM_000027 transcript:EOX90601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MGLELPKYPEMEEDNFVNFTDSEIVSHVKDALTSVLSGDSNSYNQLVAVMHHSKRLAPDEVALLETTLKALGGAVSCIDTTLHDSLLSAIFSMSMWNYGPHVADALAELIVSLAISNGKYLDLCLGMLVSNFTPPPYFLDKLKLPRGLERKGQVLSLVHAAFKKISDYIPLAPLRLSNIVLQGMPTIYHKDRAIVIYVENMLKLESGEIGELVGSTMLMAVVDQLIELDLEIGWDAILQDDFSKGIFEMELEDVDEVEESAEQDTGEFRLSRKSLAGNSIAELLDNLLVLTFEHLESCERAGRLAKVFETLLQSFQITVMSAYKSKFTQFVMFYACALDPDYCGMRFATMLADLFVQDSHPQPIRMSAVAYLASYLSRAKFLSASLISSMLKRLVDWCLEYGKTPDGDINPNAHRVFYSGCQAIMYVLCFRMKVFMDVPRLKMQLLMPMEQILKHKLNPLKVCLPSVVEEFLRQSKAACLFTVSKTFVFDGLLESELSRAFGGLERLDTFFPFDPCLLKRCDSFIRPMFVFWSMVKPTYDDAYDDDNDDGSSDDELIQDFVNENEDIMDDGVARSFDEQGLDLDEFDCALKKMSITPKNGFSYKFGGGFQEPTRMPCRIRPSTSPESL >EOX96363 pep chromosome:Theobroma_cacao_20110822:1:37515683:37517831:-1 gene:TCM_005621 transcript:EOX96363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDMDKKQWSKTNRNGTSFPFPTMCGQSNESNVSRIASRKECSKSLGSPISSFVGPALPTSEHYLFPSFIAAGSYPHSDDHTLCEWDPLIFQCKYANYGSRREYFSKLALSTWTLSFGVAVV >EOX90953 pep chromosome:Theobroma_cacao_20110822:1:1167514:1169095:1 gene:TCM_000281 transcript:EOX90953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLGQREERAGIKRPKTKNEPLKGKRYVGTVKRVTVVETETRNCVVSKRKGAKDNESSTSLMDLDLDGIVWLANVMVHT >EOX94468 pep chromosome:Theobroma_cacao_20110822:1:28877420:28890359:-1 gene:TCM_004052 transcript:EOX94468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response factor 1, putative MISVSLQDMDSSFLESLNSEFQLEYGQDLLESFSPNNELFLDQDFLSFDIIDSKELPPQDTTLYHHGSRESSISSCGLIIDEEHVVSSAKKREEQQQPKGEKSYRGVRKRPWGKYAAEIRDSTRHGIRVWLGTFDSAEAAALAYDQAALSTQGPKAVLNFPVERVRESLRNMNYYCKDGASPAVELKQRNYVQRKSMARKNKKKQSQAKNVVVLEDLGSEYLEELLSSSQITSSW >EOX92506 pep chromosome:Theobroma_cacao_20110822:1:7232956:7236781:1 gene:TCM_001454 transcript:EOX92506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic NIFS-like cysteine desulfurase isoform 1 MELAQPMFRETPEARKLKPPNNGKTSIIRNGNSSSAYSHVPSKLCHGSESFRTLEMGVPKVDSTDKKLSWLRSQIIGGDAQFDTPFGTRKLTYADHTASGRCLHYIENFIVTNVLPFYGNTHTCDSYVGHRTTKMVHEASNYVKRCLGGGQDDAILFCGSGSTAAIKRLQEVMGIAVPSILRDRLLNCLINEKRWVVFVGPYEHHSNLLSWRQSLAEVVEIGLDENGLINIEALRRQLELYKHANRPLLGSFSACSNVTGIQSDTREIAKLVHGYGGFVCFDFAASGPYVEIDMRSGEFDGYDAIFLSPHKFLGGPGSPGILLMNKALYQLGASPPSTCGGGTVNYVNGFNEKDTLYVDDVEERENAGTPQIIQIIRAALTFWVKEYIGYEVIEKQEKSYIQQALERLLPNQNIGILGNTSTETERQAILSFLVFSTTNSCPGPVNKEGNHVDELDGRMKRGLFMWGETGNRRDKPLHGPFVVTLLNDLFGIQARGGCACAGPYGHSLLHIDEPRSLAFREAIEKGYAGAKPGWARVSFPYYMSNEEFEFILAAIEFIAIYGQRFLSLYHFNLRTGSWTFKKKALKDLVGKEMSQGSHVLPLASAFPVTSVDRDQPSQYDDADVSVIRTYASYLKSAKRIAALLPKFPSQRRLHEDIDLDLLPYRL >EOX92507 pep chromosome:Theobroma_cacao_20110822:1:7232875:7236743:1 gene:TCM_001454 transcript:EOX92507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic NIFS-like cysteine desulfurase isoform 1 MELAQPMFRETPEARKLKPPNNGKTSIIRNGNSSSAYSHVPSKLCHGSESFRTLEMGVPKVDSTDKKLSWLRSQIIGGDAQFDTPFGTRKLTYADHTASGRCLHYIENFIVTNVLPFYGNTHTCDSYVGHRTTKMVHEASNYVKRCLGGGQDDAILFCGSGSTAAIKRLQEVMGIAVPSILRDRLLNCLINEKRWVVFVGPYEHHSNLLSWRQSLAEVVEIGLDENGLINIEALRRQLELYKHANRPLLGSFSACSNVTGIQSDTREIAKLVHGYGGFVCFDFAASGPYVEIDMRSGEFDGYDAIFLSPHKFLGGPGSPGILLMNKALYQLGASPPSTCGGGTVNYVNGFNEKDTLYVDDVEERENAGTPQIIQIIRAALTFWVKEYIGYEVIEKQEKSYIQQALERLLPNQNIGILGNTSTETERQAILSFLVFSTTNSCPGPVNKEGNHVDELDGRMKRGLFMWGETGNRRDKPLHGPFVVTLLNDLFGIQARGGCACAGPYGHSLLHIDEPRSLAFREAIEKGYAGAKPGWARVSFPYYMSNEEFEFILAAIEFIAIYGQRFLSLYHFNLRTGSWTFKKKALKDLVGKEMSQGSHVLPLASAFPVTSVDRDQPSQYDDADVSVIRTYASYLKSAKRIAALLPKFPSQRRLHEDIDLDLLPYRL >EOX92508 pep chromosome:Theobroma_cacao_20110822:1:7232956:7235370:1 gene:TCM_001454 transcript:EOX92508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic NIFS-like cysteine desulfurase isoform 1 MGVPKVDSTDKKLSWLRSQIIGGDAQFDTPFGTRKLTYADHTASGRCLHYIENFIVTNVLPFYGNTHTCDSYVGHRTTKMVHEASNYVKRCLGGGQDDAILFCGSGSTAAIKRLQEVMGIAVPSILRDRLLNCLINEKRWVVFVGPYEHHSNLLSWRQSLAEVVEIGLDENGLINIEALRRQLELYKHANRPLLGSFSACSNVTGIQSDTREIAKLVHGYGGFVCFDFAASGPYVEIDMRSGEFDGYDAIFLSPHKFLGGPGSPGILLMNKALYQLGASPPSTCGGGTVNYVNGFNEKDTLYVDDVEERENAGTPQIIQIIRAALTFWVKEYIGYEVIEKQEKSYIQQALERLLPNQNIGILGNTSTETERQAILSFLVFSTTNSCPGPVNKEGNHVDELDGRMKRGLFMWGETGNRRDKPLHGPFVVTLLNDLFGIQARGGCACAGPYGHSLLHIDEPRSLAFRE >EOX94551 pep chromosome:Theobroma_cacao_20110822:1:30059462:30060816:-1 gene:TCM_004181 transcript:EOX94551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Winged-helix DNA-binding transcription factor family protein MATEEPTVAVDSAPEAAEDKPAENKSGGKSGKTKKSKESKAKKAAAPKKPRAPPSHPPYEEMIKDAVVTLKEKTGSSQYAITKFIEEKQKHLPGTFKKLLLFHLKKLVAAGKLVKVKNSFKLPSARSSKLSTAASAPAKKKPAATKSKPKPASKAKEGKSTKAASKAPAKTKAASKTKTKAAAIPKAPRKSKSSTTKTKAVSTLKPKATAAAKSKAVAKPKPKPKPKEKPVKAARTSTRTSPGKKAAATKPAPKKAPARAPAKSVKPKTVKSPAKKGTSKRGKK >EOX93646 pep chromosome:Theobroma_cacao_20110822:1:14496641:14508147:-1 gene:TCM_002532 transcript:EOX93646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like transcriptional regulator isoform 3 MKRKSSLQLQALESFYEEEKYPTQRQMEGYAAALGLTLKEVKGWFVERRRRDKRDYGIMLPIHSMKKLHAPNARNVGGVSAGRKNPKGQGSLFHNRSNTGAALCSRYKSAFSTANKRKKKMLLLQDLSSPQYILKKVFRKDGPPLGVEFDSLPSQAFCHCKGSKNSHPADQEDQRATRRRTVSELTTIDYQNNCNESAPVKKHGIGKGLMTVWRVVNPEGGDIPTGVDFSNKQIIAPPQTSSPVVRKPPARNKRRQPLVSLMKQRSLEKKLQEKKRPSIKRREMKSNKDDSNRQLHKEKCELALEGSTSNKSLDQLLMLVDDEELELRELQAGPNPLTCSDHLGTSGVLGCSLCKDLLAKFPPSSVKMKQPFSMQPWDSSPDTVKKLFKVFHFLYTYSVTLDICSFTLDEFAQAFHDKDSLLLGKIHVALLQLLLSDVKLELSGVLLPHFGLSCKFLALLHSVENQEFVVEFWKTSLNPLTWTEILRQVLVAAGFGSKQGLLRREALSKEMSLMARYGLRPGSLKGELFRILSERGNNGLKVSDLAKSLPVTELNLTSTTEELEELICSTLSSDITLFEKISSSAYRLRSNSVAKEGNDFHSDTEDSGSVDDDTDDSSASSSSEDSDCDLGNYYQRKLKHKNYRKSKNNMMTVYTEIDESHPGEVWLLGLMEGEYSDLSIEEKLNALVALIDLLRAGSSVRMENPSEVIAECVPNIPHYGSGAKIKRSSNQHNFPRPSWVYGGPKNGVQEAHTSSDSHPLDSSSILKFCEKEKCPSSRMDAKETQTGVDIHPMQSIFLGSDRRYNRYWLFLGPCNAYDPGHRRIYYESSEDGHWEVIDTEEALRALLAVLDDRGKREALLIESLEKREASLCQEMSTRHLYDAGIRRMPSESPELDLVREDSSSPVSDVDNNLSLTIAMNESLTPFGAIVLEAGKKGEEQNRKWRRLQEFDMWIWKCFYLKLNAVKYSKRSYLDSLNRCESCHDLYWRDEKHCKICHTTFELDFDLEERYAIHVATCREKGDNSMFPKFKVLSSQLQSLKAAVHAIEEKSSERIKPASVWSFYRWEAHLLLFKAFDFRVLSPLSS >EOX93644 pep chromosome:Theobroma_cacao_20110822:1:14497181:14507445:-1 gene:TCM_002532 transcript:EOX93644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like transcriptional regulator isoform 3 MKRKSSLQLQALESFYEEEKYPTQRQMEGYAAALGLTLKEVKGWFVERRRRDKRDYGIMLPIHSMKKLHAPNARNVGGVSAGRKNPKGQGSLFHNRSNTGAALCSRYKSAFSTANKRKKKMLLLQDLSSPQYILKKVFRKDGPPLGVEFDSLPSQAFCHCKGSKNSHPADQEDQRATRRRTVSELTTIDYQNNCNESAPVKKHGIGKGLMTVWRVVNPEGGDIPTGVDFSNKQIIAPPQTSSPVVRKPPARNKRRQPLVSLMKQRSLEKKLQEKKRPSIKRREMKSNKDDSNRQLHKEKCELALEGSTSNKSLDQLLMLVDDEELELRELQAGPNPLTCSDHLGTSGVLGCSLCKDLLAKFPPSSVKMKQPFSMQPWDSSPDTVKKLFKVFHFLYTYSVTLDICSFTLDEFAQAFHDKDSLLLGKIHVALLQLLLSDVKLELSGVLLPHFGLSCKFLALLHSVENQEFVVEFWKTSLNPLTWTEILRQVLVAAGFGSKQGLLRREALSKEMSLMARYGLRPGSLKGELFRILSERGNNGLKVSDLAKSLPVTELNLTSTTEELEELICSTLSSDITLFEKISSSAYRLRSNSVAKEGNDFHSDTEDSGSVDDDTDDSSASSSSEDSDCDLGNYYQRKLKHKNYRKSKNNMMTVYTEIDESHPGEVWLLGLMEGEYSDLSIEEKLNALVALIDLLRAGSSVRMENPSEVIAECVPNIPHYGSGAKIKRSSNQHNFPRPSWVYGGPKNGVQEAHTSSDSHPLDSSSILKFCEKEKCPSSRMDAKETQTGVDIHPMQSIFLGSDRRYNRYWLFLGPCNAYDPGHRRIYYESSEDGHWEVIDTEEALRALLAVLDDRGKREALLIESLEKREASLCQEMSTRHLYDAGIRRMPSESPELDLVREDSSSPVSDVDNNLSLTIAMNESLTPFGAIVLEAGKKGEEQNRKWRRLQEFDMWIWKCFYLKLNAVKYSKRSYLDSLNRCESCHDLYWRDEKHCKICHTTFELDFDLEERYAIHVATCREKGDNSMFPKFKVLSSQLQSLKAAVHAIESVMPEGALVGAWTKSAHRLWVKRLRRTSSLSELLQVVADFVAAINENWLNQCNTDQGGCAVIEEIIAFFPTIPQTSSAVALWLVKLDEFIAPYLRKVHSKKELENGTRCSERRAPKE >EOX93645 pep chromosome:Theobroma_cacao_20110822:1:14497264:14508205:-1 gene:TCM_002532 transcript:EOX93645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like transcriptional regulator isoform 3 MKRKSSLQLQALESFYEEEKYPTQRQMEGYAAALGLTLKEVKGWFVERRRRDKRDYGIMLPIHSMKKLHAPNARNVGGVSAGRKNPKGQGSLFHNRSNTGAALCSRYKSAFSTANKRKKKMLLLQDLSSPQYILKKVFRKDGPPLGVEFDSLPSQAFCHCKGSKNSHPADQEDQRATRRRTVSELTTIDYQNNCNESAPVKKHGIGKGLMTVWRVVNPEGGDIPTGVDFSNKQIIAPPQTSSPVVRKPPARNKRRQPLVSLMKQRSLEKKLQEKKRPSIKRREMKSNKDDSNRQLHKEKCELALEGSTSNKSLDQLLMLVDDEELELRELQAGPNPLTCSDHLGTSGVLGCSLCKDLLAKFPPSSVKMKQPFSMQPWDSSPDTVKKLFKVFHFLYTYSVTLDICSFTLDEFAQAFHDKDSLLLGKIHVALLQLLLSDVKLELSGVLLPHFGLSCKFLALLHSVENQEFVVEFWKTSLNPLTWTEILRQVLVAAGFGSKQGLLRREALSKEMSLMARYGLRPGSLKGELFRILSERGNNGLKVSDLAKSLPVTELNLTSTTEELEELICSTLSSDITLFEKISSSAYRLRSNSVAKEGNDFHSDTEDSGSVDDDTDDSSASSSSEDSDCDLGNYYQRKLKHKNYRKSKNNMMTVYTEIDESHPGEVWLLGLMEGEYSDLSIEEKLNALVALIDLLRAGSSVRMENPSEVIAECVPNIPHYGSGAKIKRSSNQHNFPRPSWVYGGPKNGVQEAHTSSDSHPLDSSSILKFCEKEKCPSSRMDAKETQTGVDIHPMQSIFLGSDRRYNRYWLFLGPCNAYDPGHRRIYYESSEDGHWEVIDTEEALRALLAVLDDRGKREALLIESLEKREASLCQEMSTRHLYDAGIRRMPSESPELDLVREDSSSPVSDVDNNLSLTIAMNESLTPFGAIVLEAGKKGEEQNRKWRRLQEFDMWIWKCFYLKLNAVKYSKRSYLDSLNRCESCHDLYWRDEKHCKICHTTFELDFDLEERYAIHVATCREKGDNSMFPKFKVLSSQLQSLKAAVHAIESVMPEGALVGAWTKSAHRLWVKRLRRTSSLSELLQVVADFVAAINENWLNQCNTDQGGCAVIEEIIAFFPTIPQTSSAVALWLVKLDEFIAPYLRKVHSKKELENGTRCSD >EOX93238 pep chromosome:Theobroma_cacao_20110822:1:11461582:11466454:-1 gene:TCM_002076 transcript:EOX93238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coproporphyrinogen III oxidase isoform 3 SSSSSLSLFPFSSYSCSKQPQLRFCPSPTPTRLTHFTPLTTPNYPIGKLMTPSAVSIEKETMISERPHTFLRETDGKDDGSIRARFQRMIMEVQDSVCGALEALDGTGKFKEDSWTRPGGGGGISRVLQDGAVFEKAGVNISVVYGVMPPEAYRAAKAAATDLKPGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDASKDAPGAPRQWWFGGGTDLTPAYIFEEDVKHFHSIQKRACDKFDSSFYPRFKKWCDDYFYIKKPEEGSEEWKLLDACINPKEWI >EOX93235 pep chromosome:Theobroma_cacao_20110822:1:11461678:11467021:-1 gene:TCM_002076 transcript:EOX93235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coproporphyrinogen III oxidase isoform 3 MPPPTATLSVASSSSSLSLFPFSSYSCSKQPQLRFCPSPTPTRLTHFTPLTTPNYPIGKLMTPSAVSIEKETMISERPHTFLRETDGKDDGSIRARFQRMIMEVQDSVCGALEALDGTGKFKEDSWTRPGGGGGISRVLQDGAVFEKAGVNISVVYGVMPPEAYRAAKAAATDLKPGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDASKDAPGAPRQWWFGGGTDLTPAYIFEEDVKHFHSIQKRACDKFDSSFYPRFKKWCDDYFYIKHRGERRGLGGIFFDDLNDYDQEMLLSFATECANSVIPAYIPIVKKRKDTPFNESHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEEGSEEWKLLDACINPKEWI >EOX93236 pep chromosome:Theobroma_cacao_20110822:1:11461582:11466769:-1 gene:TCM_002076 transcript:EOX93236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coproporphyrinogen III oxidase isoform 3 MPPPTATLSVASSSSSLSLFPFSSYSCSKQPQLRFCPSPTPTRLTHFTPLTTPNYPIGKLMTPSAVSIEKETMISERPHTFLRETDGKDDGSIRARFQRMIMEVQDSVCGALEALDGTGKFKEDSWTRPGGGGGISRVLQDGAVFEKAGVNISVVYGVMPPEAYRAAKAAATDLKPGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDASKDAPGAPRQWWFGGGTDLTPAYIFEEDVKHFHSIQKRACDKFDSSFYPRFKKWCDDYFYIKHRGERRGLGGIFFDDLNDYDQEMLLSFATECANSVIPAYIPIVKKRKDTPFNESHKAWQQLRRGRYVEFNLEEGSEEWKLLDACINPKEWI >EOX93237 pep chromosome:Theobroma_cacao_20110822:1:11462293:11466486:-1 gene:TCM_002076 transcript:EOX93237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coproporphyrinogen III oxidase isoform 3 MPPPTATLSVASSSSSLSLFPFSSYSCSKQPQLRFCPSPTPTRLTHFTPLTTPNYPIGKLMTPSAVSIEKETMISERPHTFLRETDGKDDGSIRARFQRMIMEVQDSVCGALEALDGTGKFKEDSWTRPGGGGGISRVLQDGAVFEKAGVNISVVYGVMPPEAYRAAKAAATDLKPGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDASKDAPGAPRQWWFGGGTDLTPAYIFEEDVKHFHSIQKRACDKFDSSFYPRFKKWCDDYFYIKHRGERRGLGGIFFDDLNDYDQEMLLSFATECANSVIPAYIPIVKKRKDTPFNESHKAWQQLRRGRYVEFNLVLNPVIIKLDRFDILDFSKSDR >EOX95991 pep chromosome:Theobroma_cacao_20110822:1:36248463:36253127:1 gene:TCM_005351 transcript:EOX95991 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif DNA-binding family protein isoform 1 MDRRDAMALSGSASYYMQQRGITGSGSGTQSGIHGSPGIHPLSSPNVQYQSSISATTMGSTLSVEPSSGITPHSVNVGTPSAVPPSETVKRKRGRPRKYGPDGTVSLALTPPSATHPGTITPTQKRGRGRPPGTGRKQQLASLGEWLSGSAGMGFTPHVITIAIGEDIATKIMSFSQQGPRAVCILSANGAVSTVTLRQPSSSGGTVTYEGRFEILCLSGSYLLTSNGGSRNRTGGLSVSLASPDGRVIGGGVGGMLIAASPVQVIVGSFLWGGSKTKNKKGGGQEGVKDSDHQTVDNIVTPPGISPSQNLTPTSAGVWPGSRSMDMRNTHVDIDLMRG >EOX95992 pep chromosome:Theobroma_cacao_20110822:1:36248415:36253208:1 gene:TCM_005351 transcript:EOX95992 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif DNA-binding family protein isoform 1 MDRRDAMALSGSASYYMQQRGITGSGSGTQSGIHGSPGIHPLSSPNVQYQSSISATTMGSTLSVEPSSGITPHSVNVGTPSAVPPSETVKRKRGRPRKYGPDGTVSLALTPPSATHPGTITPTQKRGRGRPPGTGRKQQLASLGEWLSGSAGMGFTPHVITIAIGEDIATKIMSFSQQGPRAVCILSANGAVSTVTLRQPSSSGGTVTYEGRFEILCLSGSYLLTSNGGSRNRTGGLSVSLASPDGRVIGGGVGGMLIAASPVQVIVGSFLWGGSKTKNKKGGGQEGVKDSDHQTVDNIVTPPGISPSQNLTPTSAGVWPGSRSMDMRNTHVDIDLMRG >EOX95989 pep chromosome:Theobroma_cacao_20110822:1:36248247:36253127:1 gene:TCM_005351 transcript:EOX95989 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif DNA-binding family protein isoform 1 MDRRDAMALSGSASYYMQQRGITGSGSGTQSGIHGSPGIHPLSSPNVQYQSSISATTMGSTLSVEPSSGITPHSVNVGTPSAVPPSETVKRKRGRPRKYGPDGTVSLALTPPSATHPGTITPTQKRGRGRPPGTGRKQQLASLGEWLSGSAGMGFTPHVITIAIGEDIATKIMSFSQQGPRAVCILSANGAVSTVTLRQPSSSGGTVTYEGRFEILCLSGSYLLTSNGGSRNRTGGLSVSLASPDGRVIGGGVGGMLIAASPVQVIVGSFLWGGSKTKNKKGGGQEGVKDSDHQTVDNIVTPPGISPSQNLTPTSAGVWPGSRSMDMRNTHVDIDLMRG >EOX95990 pep chromosome:Theobroma_cacao_20110822:1:36248020:36252964:1 gene:TCM_005351 transcript:EOX95990 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif DNA-binding family protein isoform 1 MDRRDAMALSGSASYYMQQRGITGSGSGTQSGIHGSPGIHPLSSPNVQYQSSISATTMGSTLSVEPSSGITPHSVNVGTPSAVPPSETVKRKRGRPRKYGPDGTVSLALTPPSATHPGTITPTQKRGRGRPPGTGRKQQLASLGEWLSGSAGMGFTPHVITIAIGEDIATKIMSFSQQGPRAVCILSANGAVSTVTLRQPSSSGGTVTYEGRFEILCLSGSYLLTSNGGSRNRTGGLSVSLASPDGRVIGGGVGGMLIAASPVQVIVGSFLWGGSKTKNKKGGGQEGVKDSDHQTVDNIVTPPGISPSQNLTPTSAGVWPGSRSMDMRNTHVDIDLMRG >EOX93050 pep chromosome:Theobroma_cacao_20110822:1:10162102:10169338:1 gene:TCM_001902 transcript:EOX93050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein METSPSASIGYGLHQNISRRSPLPSVKANNSRLTFSTTLVGNPLPPKLYFSTKHAILKVGQSPRLLKADGNNPLVGVISMGMLAPRKFLRKRKKVEHFKDAADEANQKSWRRLMKDIEDTGSASTVLRRQRTNEQYLPRDLVLGTLVRFKQMKKWQYVSEILEWLRAQSWWDFSEMDFIMLITAYGKQGDFNKAERIMSFMNKKGYAPSVVSHTALMEAYGRGGRYNNAEAIFRRMQSSGPEPSALTYQIILKILVEGKKFKEAEEVFETVLDKEKSPLKPDQKMFHMMIYMYKKAGSYEKARKLFALMAERGVKQSTVTYNSLMTFETNYKEVSKIYDQMQRAGLRPDVVSYALLINAYGKARREEEALAVFEEMLDAGIRPTHKSYNILLDAFAISGMVEQARTVFKSMRRDGYTPDICSYTTMLLAYVNASNLEGAENFFKRLKQDGLQPNVVTYGTLMKGYAKVNNLEKMMETYEEMQLNGIKANQTIFTTLMDAYGKNRDFGSAVIWYKEMESSGVPPDRKAKNILLSLAKTADEQKEANQLVGCMEAKVNGFSRFLNEEDYGDIEEARSCEKLGQL >EOX91075 pep chromosome:Theobroma_cacao_20110822:1:1511022:1514721:1 gene:TCM_000370 transcript:EOX91075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, putative MGKLVVVVMVMVMLLVGVCWGWGEDKAQEIKQGAGEAMQDAEDENENSWFDSAFDNFPEVFGQKYENVREAANDVMNKAGDAASRTTETINSAASEASNYAAEKAREGANMAYEKARDGLNIANQKLNIVTDVASDQGNSARQTMAGAMAYGKDKAADAYNEAKEKMKVDSDMASDKAYDAKEKIAGGIEYGRDRAADAYDQAAEKFEMASNVASEKAHDAKETIAGAMGYVRDKAVNAYEEGSQKMNTAFNEEYDVKEKMAGAINYGRDKASNAYDEAKETVTESMGYGRDKVANAYEEAKNRVGETYAAAKETMNEEAKANYEAAKEKASQAVGDLGAKMRGSSAEL >EOX93460 pep chromosome:Theobroma_cacao_20110822:1:13199517:13204099:-1 gene:TCM_002330 transcript:EOX93460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATKLVQIDELMDHLPWMALPGQEAKLEKDGSIKTNHILSNDGNLDGQGRQELGPGESGSTKSNNSNMFHDKNGDARTIFYLDFILILIFPGDEIYWCYCLTPGSSRFMIDIICSIRKVSIHGDIIIDKWIHIYGILLYASLTMVKNLNRMTHKHSSCSSFLYKVQSGSTSYWGTQYFFPIPDDWGRIPPHLSMAASGGISLSCLGSRKSIPTYLNTQEYVRLNLLVKTFLDLLVA >EOX94096 pep chromosome:Theobroma_cacao_20110822:1:21036906:21045860:1 gene:TCM_003239 transcript:EOX94096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRMPPKTRAASRRAGEQDVPIEMADRPRASTQRGRGRRGRVTRPVGLDTPVSRQEEGQSSGDVDRHPARGITIEDLAAGLQGVNRVVEMMATRMEDIQRVVEGRPTVQESPSSQGQADHQHHEEERGHLDISLPDFLKLKPPTFSGSDASEKPQVFLDKMEKICKALGCSSVRSVELAAFQLEDVAQEWFSSLCRGRPTNATPLAWSEFSVAFLDRFLPLSVRNARAREFETLVQTSSMTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVEPLFRAVASRDFTTYSAAVDRAQRIEMRTNESRAARDRAKRGKTEGYQGCRDFSSGGSSSSRQGPQRDSRDNIVNSSTLLFVKVVRRPEKAKELRLRTISQSSPRIRIFNTCEWTAYQNCFGNMTILNKVFE >EOX96434 pep chromosome:Theobroma_cacao_20110822:1:37800954:37803513:-1 gene:TCM_005686 transcript:EOX96434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative MHLFSKFLYSHLSRHFSTLPKLPSLTKIPSKYRPQAIQDAQQALTDYLHGTRYVPFVYAEHIAKNSLFSLSGLISDIDFSPTSFTRNVLKFLRYHPINEFEFFFESIGIDYKEVSGFLPAKKFFFSEDERVLDAACALSGFGFPWNKLGKLYKEEVSIFRISSRELKANLFRIKECGFSNISVIGVCLAFPYVLRGDGELDGEVGGLLDDLKKVFVEFDLGSCVEANVDAWYEICRKMRVFYDLGFEKGKTGELMGRSKNLFLLYPEQVMVQKVEYFCRFSVRKEDVGLLILQRPEILDFDLETPVISVMGLLKHFGLSTEERNAIAQEYPYVLGRNKMGNLPHMMRALRLHEWFFNRIRDGNHKLLANYAISHPGEDLDDDFRDCLERIQFSRTPIHTMSKLSFLHGIGFGENSLTVKVLAHVHGTSCELQERFDCLLGTGIEFSKLCKMIQMTPKVLNQSPETLEQKVNFLSHEMGVSLDYLNIFPAFLCFNLEYRIKPRYRFHKWLTEKGLCTKNYSIASMVATSEKSFVARLYGIHPDAPKQWFENFSCRKPSDSS >EOX96343 pep chromosome:Theobroma_cacao_20110822:1:37433162:37451528:-1 gene:TCM_005611 transcript:EOX96343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ccr4-not transcription complex, putative isoform 1 MLELSSTLSTHVRFLLQSLTEANADSISRELFQFIEYGIEGSILVLQTCLDCLNSHKTDAKNLQSDQVVAAIFRHTMDKPNFCTVFCQSLRSTDISEEFLLNFSKTMQLSVSEKIGIGLALSDSENPDTRMCGKNFCMAQIEELHANSASFDSSEQIQNIVMFLQCSDALSKHVDSFMQMLSLVQAKDVAQFVLTPILSDELRGANFLRNMDFFNESGENDFDALLAEMEKEMSMGDIIKELGYGCTVDAARCKDILSLCLPLTEMTISRILGTIAHTYVGLEDNPTAFSTFCLALGCSTSSELPPLCSWNIDVLIKTIKQLAPGTNWIRVIENLDHEGFYIPNEAAFSFFMSVYRHASQEPFPLHAICGSVWKNIEGQLSFLKYAVSALPEVFTFAHSLRQLAYMDAVHGHKLPLGNANHAWLCLDLLDILCQLAERGHTSFVRSMLDYPLKHCPEVLLLGMAHINTAYNLLQHDVTYTVFPMIIKNALGAGVILQLWHVNPNLVLRGFVEVHNTEPDSMIRILEICQELKILSSVLEMIPFPSGIRLAVLASQKEVLDLENWLGGNLNTYKDVFFEECLKFLKEIQFGGSQEFSAKPFHHTTAVLNLYLEASSTFFKVLKANTGMIASTQLLEEMERLHAMIMDSNPKLQNGGTTDSSTSDGYGDDIEAEANSYFHQMFSGQLTIDSMVQMLARFKESSVKREQSIFECMIANLFEEYRFFPKYPERQLKIAAVLFGSVIKQQLVTHLTLGIALRGVLDALRKPADSKMFLFGTKALEQFVDRLIEWPQYCNHILQISHLRATHSELVAFIERALARISSGHLESDGSNNPSVQHQVSSQVTSGNGELNSSTIAQPGSQLSSPLKLQRHDSSLDDRNKLPATSSNDVKPLLSSVGQPSVASLSDASSIHKLQNAVSGSSMLSASPGFVRPSRGVTSTRFGSALNIETLVAAAERRETPIEAPASEIQDKISFIINNISAANIEAKGKEFNEILKEQYYPWFAEYMVMKRASIEPNFHDLYLKFLDKVNSKALNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILALLAEIYSMPNLKMNLKFDIEVLFKNLGVDMKDITPTSLLKDRKREIEGNPDFSNKDVGACQPQMVAEVKSGIISPLNHVELPLEVASPPNSGGHTHLLSQYAGPLRLSSGALMEDEKLAALGLSDQLPSAQGLFQATPSQSPFSVNQLSAAIPNIGTHVIINQKLSALGLHLHFQRVVPIAMDRAIKEIVAGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRGSISSQLRSSLQGLNVASDLLEQAVQLVTNDNLDLGCAVIEQAATDKAIQTIDGEIANQLALRRKHRDPSFFDPSMYGQGSMGVVPEALRPKPGHLSLSQQRVYEDFVRLPWQNQSGQSSHSMSAGPSSLSGDGGLTGTFGSTSGQVTPGYASSQGNLGQLDVASEAIESTSAALLSASSIHIGSAAGLTQQTTENDPLNASFSSTISAPELHSVDTTDAVKELGPTAQPLPSPAATDRLGSTISETSLSTRDALDKYQIVAQKLETSVTSDSREVDIQGVISEVPEIILRCVSRDEAALAVAQKVFKGLYENASNSLHVSAHLAILAAVRDVCKLAVKELTSWVIYSDEERKFNKDITVGLIRSELLNLAEYNVHMAKLIDGGRNKAAMEFAMSLLQTLVTDESRVISELHNLVDALAKVVPKPGSPESLQQLIEMIRNPSASAAALSSATAGKEDKARQSRDKKVPGHTSANRDDNSNVENLEPDPAGFKEQVSMLFAEWYQICEIPGANDGPCNHYIVQLHQNGLLKGDDMTERFFRIITELSVSHCLSSEVMSSGTLQSPQQAQTLSFLAIDIYAKLVLSILKYCPVEQGSSKLFLMSKILTVTLRFIQKDAEDKKASFNPRPYFRLFINWLSDLGCLDPVTDGASFQILIAFANAFHALQPLKVPAFSFAWLELVSHRSFMPKLLTGNAQKGWAYIQRLLVDLLQFLEPFLRNAELGVPCLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLPEIREPPRILSEVDAALKAKQMKADVDEYLKTRPQGGSSFLTELKQRLLLSPSEAASAGTHYNVPLINSLVLYVGMQAIQQLQSRGSHAQSTGNTVPLSVFLVSAALDIFQSLIGELDTEGRYLFLNAIANQLRYPNNHTHYFSFILLYLFAESNQEIIQEQITRVLLERLIVNKPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGGLKPVDESMVSGWVSDSAH >EOX96344 pep chromosome:Theobroma_cacao_20110822:1:37434847:37451528:-1 gene:TCM_005611 transcript:EOX96344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ccr4-not transcription complex, putative isoform 1 MLELSSTLSTHVRFLLQSLTEANADSISRELFQFIEYGIEGSILVLQTCLDCLNSHKTDAKNLQSDQVVAAIFRHTMDKPNFCTVFCQSLRSTDISEEFLLNFSKTMQLSVSEKIGIGLALSDSENPDTRMCGKNFCMAQIEELHANSASFDSSEQIQNIVMFLQCSDALSKHVDSFMQMLSLVQAKDVAQFVLTPILSDELRGANFLRNMDFFNESGENDFDALLAEMEKEMSMGDIIKELGYGCTVDAARCKDILSLCLPLTEMTISRILGTIAHTYVGLEDNPTAFSTFCLALGCSTSSELPPLCSWNIDVLIKTIKQLAPGTNWIRVIENLDHEGFYIPNEAAFSFFMSVYRHASQEPFPLHAICGSVWKNIEGQLSFLKYAVSALPEVFTFAHSLRQLAYMDAVHGHKLPLGNANHAWLCLDLLDILCQLAERGHTSFVRSMLDYPLKHCPEVLLLGMAHINTAYNLLQHDVTYTVFPMIIKNALGAGVILQLWHVNPNLVLRGFVEVHNTEPDSMIRILEICQELKILSSVLEMIPFPSGIRLAVLASQKEVLDLENWLGGNLNTYKDVFFEECLKFLKEIQFGGSQEFSAKPFHHTTAVLNLYLEASSTFFKVLKANTGMIASTQLLEEMERLHAMIMDSNPKLQNGGTTDSSTSDGYGDDIEAEANSYFHQMFSGQLTIDSMVQMLARFKESSVKREQSIFECMIANLFEEYRFFPKYPERQLKIAAVLFGSVIKQQLVTHLTLGIALRGVLDALRKPADSKMFLFGTKALEQFVDRLIEWPQYCNHILQISHLRATHSELVAFIERALARISSGHLESDGSNNPSVQHQVSSQVTSGNGELNSSTIAQPGSQLSSPLKLQRHDSSLDDRNKLPATSSNDVKPLLSSVGQPSVASLSDASSIHKLQNAVSGSSMLSASPGFVRPSRGVTSTRFGSALNIETLVAAAERRETPIEAPASEIQDKISFIINNISAANIEAKGKEFNEILKEQYYPWFAEYMVMKRASIEPNFHDLYLKFLDKVNSKALNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILALLAEIYSMPNLKMNLKFDIEVLFKNLGVDMKDITPTSLLKDRKREIEGNPDFSNKDVGACQPQMVAEVKSGIISPLNHVELPLEVASPPNSGGHTHLLSQYAGPLRLSSGALMEDEKLAALGLSDQLPSAQGLFQATPSQSPFSVNQLSAAIPNIGTHVIINQKLSALGLHLHFQRVVPIAMDRAIKEIVAGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRGSISSQLRSSLQGLNVASDLLEQAVQLVTNDNLDLGCAVIEQAATDKAIQTIDGEIANQLALRRKHRDPSFFDPSMYGQGSMGVVPEALRPKPGHLSLSQQRVYEDFVRLPWQNQSGQSSHSMSAGPSSLSGDGGLTGTFGSTSGQVTPGYASSQGNLGQLDVASEAIESTSAALLSASSIHIGSAAGLTQQTTENDPLNASFSSTISAPELHSVDTTDAVKELGPTAQPLPSPAATDRLGSTISETSLSTRDALDKYQIVAQKLETSVTSDSREVDIQGVISEVPEIILRCVSRDEAALAVAQKVFKGLYENASNSLHVSAHLAILAAVRDVCKLAVKELTSWVIYSDEERKFNKDITVGLIRSELLNLAEYNVHMAKLIDGGRNKAAMEFAMSLLQTLVTDESRVISELHNLVDALAKVVPKPGSPESLQQLIEMIRNPSASAAALSSATAGKEDKARQSRDKKVPGHTSANRDDNSNVENLEPDPAGFKEQVSMLFAEWYQICEIPGANDGPCNHYIVQLHQNGLLKGDDMTERFFRIITADFDSLCQCISCIAAP >EOX96342 pep chromosome:Theobroma_cacao_20110822:1:37433208:37451531:-1 gene:TCM_005611 transcript:EOX96342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ccr4-not transcription complex, putative isoform 1 MLELSSTLSTHVRFLLQSLTEANADSISRELFQFIEYGIEGSILVLQTCLDCLNSHKTDAKNLQSDQVVAAIFRHTMDKPNFCTVFCQSLRSTDISEEFLLNFSKTMQLSVSEKIGIGLALSDSENPDTRMCGKNFCMAQIEELHANSASFDSSEQIQNIVMFLQCSDALSKHVDSFMQMLSLVQAKDVAQFVLTPILSDELRGANFLRNMDFFNESGENDFDALLAEMEKEMSMGDIIKELGYGCTVDAARCKDILSLCLPLTEMTISRILGTIAHTYVGLEDNPTAFSTFCLALGCSTSSELPPLCSWNIDVLIKTIKQLAPGTNWIRVIENLDHEGFYIPNEAAFSFFMSVYRHASQEPFPLHAICGSVWKNIEGQLSFLKYAVSALPEVFTFAHSLRQLAYMDAVHGHKLPLGNANHAWLCLDLLDILCQLAERGHTSFVRSMLDYPLKHCPEVLLLGMAHINTAYNLLQHDVTYTVFPMIIKNALGAGVILQLWHVNPNLVLRGFVEVHNTEPDSMIRILEICQELKILSSVLEMIPFPSGIRLAVLASQKEVLDLENWLGGNLNTYKDVFFEECLKFLKEIQFGGSQEFSAKPFHHTTAVLNLYLEASSTFFKVLKANTGMIASTQLLEEMERLHAMIMDSNPKLQNGGTTDSSTSDGYGDDIEAEANSYFHQMFSGQLTIDSMVQMLARFKESSVKREQSIFECMIANLFEEYRFFPKYPERQLKIAAVLFGSVIKQQLVTHLTLGIALRGVLDALRKPADSKMFLFGTKALEQFVDRLIEWPQYCNHILQISHLRATHSELVAFIERALARISSGHLESDGSNNPSVQHQVSSQVTSGNGELNSSTIAQPGSQLSSPLKLQRHDSSLDDRNKLPATSSNDVKPLLSSVGQPSVASLSDASSIHKLQNAVSGSSMLSASPGFVRPSRGVTSTRFGSALNIETLVAAAERRETPIEAPASEIQDKISFIINNISAANIEAKGKEFNEILKEQYYPWFAEYMVMKRASIEPNFHDLYLKFLDKVNSKALNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILALLAEIYSMPNLKMNLKFDIEVLFKNLGVDMKDITPTSLLKDRKREIEGNPDFSNKDVGACQPQMVAEVKSGIISPLNHVELPLEVASPPNSGGHTHLLSQYAGPLRLSSGALMEDEKLAALGLSDQLPSAQGLFQATPSQSPFSVNQLSAAIPNIGTHVIINQKLSALGLHLHFQRVVPIAMDRAIKEIVAGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRGSISSQLRSSLQGLNVASDLLEQAVQLVTNDNLDLGCAVIEQAATDKAIQTIDGEIANQLALRRKHRDPSFFDPSMYGQGSMGVVPEALRPKPGHLSLSQQRVYEDFVRLPWQNQSGQSSHSMSAGPSSLSGDGGLTGTFGSTSGQVTPGYASSQGNLGQLDVASEAIESTSAALLSASSIHIGSAAGLTQQTTENDPLNASFSSTISAPELHSVDTTDAVKELGPTAQPLPSPAATDRLGSTISETSLSTRDALDKYQIVAQKLETSVTSDSREVDIQGVISEVPEIILRCVSRDEAALAVAQKVFKGLYENASNSLHVSAHLAILAAVRDVCKLAVKELTSWVIYSDEERKFNKDITVGLIRSELLNLAEYNVHMAKLIDGGRNKAAMEFAMSLLQTLVTDESRVISELHNLVDALAKVVPKPGSPESLQQLIEMIRNPSASAAALSSATAGKEDKARQSRDKKVPGHTSANRDDNSNVENLEPDPAGFKEQVSMLFAEWYQICEIPGANDGPCNHYIVQLHQNGLLKGDDMTERFFRIITELSVSHCLSSEVMSSGTLQSPQQAQTLSFLAIDIYAKLVLSILKYCPVEQGSSKLFLMSKILTVTLRFIQKDAEDKKASFNPRPYFRLFINWLSDLGCLDPVTDGASFQILIAFANAFHALQPLKVPAFSFAWLELVSHRSFMPKLLTGNAQKGWAYIQRLLVDLLQFLEPFLRNAELGVPVQCLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLPEIREPPRILSEVDAALKAKQMKADVDEYLKTRPQGGSSFLTELKQRLLLSPSEAASAGTHYNVPLINSLVLYVGMQAIQQLQSRGSHAQSTGNTVPLSVFLVSAALDIFQSLIGELDTEGRYLFLNAIANQLRYPNNHTHYFSFILLYLFAESNQEIIQEQITRVLLERLIVNKPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGGLKPVDESMVSGWVSDSAH >EOX92708 pep chromosome:Theobroma_cacao_20110822:1:8198670:8204333:1 gene:TCM_001609 transcript:EOX92708 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase C1 isoform 1 MAFVASASLLPFNRIFGGGKKWNQLFPGYSTRLRVGPSGLSKFRTCSFASAATGGKAGGALTEISQADETPRIFSWPHNKRPRVCILGGGFGGLYTALRLESLVWPDDKKPQVLLVDQSERFVFKPMLYELLSGEVDAWEIAPLFSELLANTGVEFVQDRVKLLHPSDHWGTNGPKQSSCGGTVLLESGLLIEYDWLVLALGAEAKLDIVPGALEFALPFSTLEDACKVDKKLRALERKNFGKGSLIRVAVVGCGYSGVELAATVSERLQDRGIVQAINVETTICPTAPTGNREAALKVLSSRKVQLLLGYFVRCIQRVSDVEASAEASADATGIREGKDIAECNSEKYVLELQPAERGLESQILEADLVLWTVGSKALLPELEPCDKPHELPLNARGQAETDETLQVKGHPRIFALGDSSALRDPTGRLLPATAQQVAFQQADFAGWNLWAAINQRPLLPFRFQNLGEMMTLGRNDAAISPSFIEGLTLEGAIGHAARKIAYLIRLPTDEHRFKVGLSWFAKSAIDSVATVQSTITKVLSGS >EOX92706 pep chromosome:Theobroma_cacao_20110822:1:8198565:8204958:1 gene:TCM_001609 transcript:EOX92706 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase C1 isoform 1 MAFVASASLLPFNRIFGGGKKWNQLFPGYSTRLRVGPSGLSKFRTCSFASAATGGKAGGALTEISQADETPRIFSWPHNKRPRVCILGGGFGGLYTALRLESLVWPDDKKPQVLLVDQSERFVFKPMLYELLSGEVDAWEIAPLFSELLANTGVEFVQDRVKLLHPSDHWGTNGPKQSSCGGTVLLESGLLIEYDWLVLALGAEAKLDIVPGALEFALPFSTLEDACKVDKKLRALERKNFGKGSLIRVAVVGCGYSGVELAATVSERLQDRGIVQAINVETTICPTAPTGNREAALKVLSSRKVQLLLGYFVRCIQRVSDVEASAEASADATGIREGKDIAECNSEKYVLELQPAERGLESQILEADLVLWTVGSKALLPELEPCDKPHELPLNARGQAETDETLQVKGHPRIFALGDSSALRDPTGRLLPATAQVAFQQADFAGWNLWAAINQRPLLPFRFQNLGEMMTLGRNDAAISPSFIEGLTLEGAIGHAARKIAYLIRLPTDEHRFKVGLSWFAKSAIDSVATVQSTITKVLSGS >EOX92707 pep chromosome:Theobroma_cacao_20110822:1:8198670:8204333:1 gene:TCM_001609 transcript:EOX92707 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase C1 isoform 1 MVLNNQVVGVLCCLKVAFSLSMTARLVLALGAEAKLDIVPGALEFALPFSTLEDACKVDKKLRALERKNFGKGSLIRVAVVGCGYSGVELAATVSERLQDRGIVQAINVETTICPTAPTGNREAALKVLSSRKVQLLLGYFVRCIQRVSDVEASAEASADATGIREGKDIAECNSEKYVLELQPAERGLESQILEADLVLWTVGSKALLPELEPCDKPHELPLNARGQAETDETLQVKGHPRIFALGDSSALRDPTGRLLPATAQVAFQQADFAGWNLWAAINQRPLLPFRFQNLGEMMTLGRNDAAISPSFIEGLTLEGAIGHAARKIAYLIRLPTDEHRFKVGLSWFAKSAIDSVATVQSTITKVLSGS >EOX94288 pep chromosome:Theobroma_cacao_20110822:1:27538067:27553033:1 gene:TCM_003878 transcript:EOX94288 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein isoform 5 METKEEAEGQEDRAPSPYWYHLSDEEAMKVDGEALHAVHSGSSDPPRLPPPGHRRSQSEVSTTGHRRDNSFQRLKTQMQKAWRWGGNSRDERYRSTFNPEVLANQKRLWYQLHSKTMDQIKYEEPKSIFEHFIIVGIHPDANLGAVEEAFAKRKKWEMEMTRSGIVDLKMLQHRGPPFPTFEPQILFRYPPGKRLAMRLKDLAAFCFPGGVKARLLERTPSFSDLNELLYGQEHLGRDDQAFTFSLKVVGNATLYGVCLHVPELVQRQPGILGGTSPISLSSGACSRFMVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLNRITEFVSEMSLSLTDYVPSVSKLDEQMNDTTDCPNGEYVNDWMASAIPVNSAVTLTAAAAGIIADDEVSSASLKISSPQSPESVTASEASDLGHVREIEKDARKNVLNFDDNISEASENRSDASERIYGTYENGQVSPDIGTVVSSRSRTLERLGSSQSLFSPARSVASEDEDEDDELFLNHEKDFGDDLILEWARENKNDLLQIICGYHALSLPPRGSEIVFQPLEHLQAIEYVRPPVSALDMDESYLYSFEAAEVNAKLAAAEEALALSVWTTATICRALSLDSILAVVAGVLLEKQVVVVCPNLGVLSAVVLSLVPLIRPFEWQSLLLPVLPMRMLDFLDAPVPFLVGVQHKPTDLKLKTSNLVQVNVLKNQVKTCHLPTLPRHKELVSQLGSIHSRLSFEGSIAKKHPTYRCNEVQAEAATEFLTIMRHYLESLCANLRSHTITSVQSNYDRVSLLLKDSFIDSFPSKDRPFIKLFVDTQLFTVLSDSRLSSFENEH >EOX94289 pep chromosome:Theobroma_cacao_20110822:1:27537560:27550624:1 gene:TCM_003878 transcript:EOX94289 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein isoform 5 METKEEAEGQEDRAPSPYWYHLSDEEAMKVDGEALHAVHSGSSDPPRLPPPGHRRSQSEVSTTGHRRDNSFQRLKTQMQKAWRWGGNSRDERYRSTFNPEVLANQKRLWYQLHSKTMDQIKYEEPKSIFEHFIIVGIHPDANLGAVEEAFAKRKKWEMEMTRSGIVDLKMLQHRGPPFPTFEPQILFRYPPGKRLAMRLKDLAAFCFPGGVKARLLERTPSFSDLNELLYGQEHLGRDDQAFTFSLKVVGNATLYGVCLHVPELVQRQPGILGGTSPISLSSGACSRFMVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLNRITEFVSEMSLSLTDYVPSVSKLDEQMNDTTDCPNGEYVNDWMASAIPVNSAVTLTAAAAGIIADDEVSSASLKISSPQSPESVTASEASDLGHVREIEKDARKNVLNFDDNISEASENRSDASERIYGTYENGQVSPDIGTVVSSRSRTLERLGSSQSLFSPARSVASEDEDEDDELFLNHEKDFGDDLILEWARENKNDLLQIICGYHALSLPPRGSEIVFQPLEHLQAIEYVRPPVSALDMDESYLYSFEAAEVNAKLAAAEEALALSVWTTATICRALSLDSILAVVAGVLLEKQVVVVCPNLGVLSAVVLSLVPLIRPFEWQSLLLPVLPMRMLDFLDAPVPFLVGVQHKPTDLKLKTSNLVQVNVLKNQVKTCHLPTLPRHKELVSQLGSIHSRLSFEGSIAKKHPTYRCNEVQAEAATEFLTIMRHYLESLCANLRSHTITSVQSNYDRVSLLLKDSFIDSFPSKDRPFIKLFVDTQLFTVLSDSRLSSFENEH >EOX94290 pep chromosome:Theobroma_cacao_20110822:1:27538067:27550943:1 gene:TCM_003878 transcript:EOX94290 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein isoform 5 METKEEAEGQEDRAPSPYWYHLSDEEAMKVDGEALHAVHSGSSDPPRLPPPGHRRSQSEVSTTGHRRDNSFQRLKTQMQKAWRWGGNSRDERYRSTFNPEVLANQKRLWYQLHSKTMDQIKYEEPKSIFEHFIIVGIHPDANLGAVEEAFAKRKKWEMEMTRSGIVDLKMLQHRGPPFPTFEPQILFRYPPGKRLAMRLKDLAAFCFPGGVKARLLERTPSFSDLNELLYGQEHLGRDDQAFTFSLKVVGNATLYGVCLHVPELVQRQPGILGGTSPISLSSGACSRFMVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLNRITEFVSEMSLSLTDYVPSVSKLDEQMNDTTDCPNGEYVNDWMASAIPVNSAVTLTAAAAGIIADDEVSSASLKISSPQSPESVTASEASDLGHVREIEKDARKNVLNFDDNISEASENRSDASERIYGTYENGQVSPDIGTVVSSRSRTLERLGSSQSLFSPARSVASEDEDEDDELFLNHEKDFGDDLILEWARENKNDLLQIICGYHALSLPPRGSEIVFQPLEHLQAIEYVRPPVSALDMDESYLYSFEAAEVNAKLAAAEEALALSVWTTATICRALSLDSILAVVAGVLLEKQVVVVCPNLGVLSAVVLSLVPLIRPFEWQSLLLPVLPMRMLDFLDAPVPFLVGVQHKPTDLKLKTSNLVQVNVLKNQVKTCHLPTLPRHKELVSQLGSIHSRLSFEGSIAKKHPTYRCNEVQAEAATEFLTIMRHYLESLCANLRSHTITSVQSNYDRVSLLLKDSFIDSFPSKDRPFIKLFVDTQLFTVLSDSRLSSFENEH >EOX94291 pep chromosome:Theobroma_cacao_20110822:1:27538067:27551394:1 gene:TCM_003878 transcript:EOX94291 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein isoform 5 METKEEAEGQEDRAPSPYWYHLSDEEAMKVDGEALHAVHSGSSDPPRLPPPGHRRSQSEVSTTGHRRDNSFQRLKTQMQKAWRWGGNSRDERYRSTFNPEVLANQKRLWYQLHSKTMDQIKYEEPKSIFEHFIIVGIHPDANLGAVEEAFAKRKKWEMEMTRSGIVDLKMLQHRGPPFPTFEPQILFRYPPGKRLAMRLKDLAAFCFPGGVKARLLERTPSFSDLNELLYGQEHLGRDDQAFTFSLKVVGNATLYGVCLHVPELVQRQPGILGGTSPISLSSGACSRFMVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLNRITEFVSEMSLSLTDYVPSVSKLDEQMNDTTDCPNGEYVNDWMASAIPVNSAVTLTAAAAGIIADDEVSSASLKISSPQSPESVTASEASDLGHVREIEKDARKNVLNFDDNISEASENRSDASERIYGTYENGQVSPDIGTVVSSRSRTLERLGSSQSLFSPARSVASEDEDEDDELFLNHEKDFGDDLILEWARENKNDLLQIICGYHALSLPPRGSEIVFQPLEHLQAIEYVRPPVSALDMDESYLYSFEAAEVNAKLAAAEEALALSVWTTATICRALSLDSILAVVAGVLLEKQVVVVCPNLGVLSAVVLSLVPLIRPFEWQSLLLPVLPMRMLDFLDAPVPFLVGVQHKPTDLKLKTSNLVQVNVLKNQVKTCHLPTLPRHKELVSQLGSIHSRLSFEGSIAKKHPTYRCNEVQAEAATEFLTIMRHYLESLCANLRSHTITSVQSNYDRVSLLLKDSFIDSFPSKDRPFIKLFVDTQLFTVLSDSRLSSFENEH >EOX94292 pep chromosome:Theobroma_cacao_20110822:1:27538367:27551049:1 gene:TCM_003878 transcript:EOX94292 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein isoform 5 METKEEAEGQEDRAPSPYWYHLSDEEAMKVDGEALHAVHSGSSDPPRLPPPGHRRSQSEVSTTGHRRDNSFQRLKTQMQKAWRWGGNSRDERYRSTFNPEVLANQKRLWYQLHSKTMDQIKYEEPKSIFEHFIIVGIHPDANLGAVEEAFAKRKKWEMEMTRSGIVDLKMLQHRGPPFPTFEPQILFRYPPGKRLAMRLKDLAAFCFPGGVKARLLERTPSFSDLNELLYGQEHLGRDDQAFTFSLKVVGNATLYGVCLHVPELVQRQPGILGGTSPISLSSGACSRFMVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLNRITEFVSEMSLSLTDYVPSVSKLDEQMNDTTDCPNGEYVNDWMASAIPVNSAVTLTAAAAGIIADDEVSSASLKISSPQSPESVTASEASDLGHVREIEKDARKNVLNFDDNISEASENRSDASERIYGTYENGQVSPDIGTVVSSRSRTLERLGSSQSLFSPARSVASEDEDEDDELFLNHEKDFGDDLILEWARAIEYVRPPVSALDMDESYLYSFEAAEVNAKLAAAEEALALSVWTTATICRALSLDSILAVVAGVLLEKQVVVVCPNLGVLSAVVLSLVPLIRPFEWQSLLLPVLPMRMLDFLDAPVPFLVGVQHKPTDLKLKTSNLVQVNVLKNQVKTCHLPTLPRHKELVSQLGSIHSRLSFEGSIAKKHPTYRCNEVQAEAATEFLTIMRHYLESLCANLRSHTITSVQSNYDRVSLLLKDSFIDSFPSKDRPFIKLFVDTQLFTVLSDSRLSSFENEH >EOX90708 pep chromosome:Theobroma_cacao_20110822:1:415432:417630:1 gene:TCM_000098 transcript:EOX90708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MGKIPPSFRPAISNSLIKRQPLESPTAIPHQFPEKTTKKRPSQHSKTAQASLGSRKAEPLFKSPDLSSAKKVFNSIVVTTKAPIDLRFHNTLLQSYASVSTVNDSISFLHHMVKAHPSFSPDRSTYHILLSQSCKAPDSSLSPVRQTLNLMVTNGLKPNQVTTDVAIRSLCEAGRIDHAVELVKELSLKHSPPDNFTFNFLVKNLCKCRTLSTVYGFIDDMKSSFGIKPDLVTYTILIDNVCNSKNLREAMRLVGVLNESGFKPDCFVYNTIMKGYCMLSKGSEIIEVYKKMKEEGVEPDLVTYNTLIFGLSKSGRVKEARKYLDIMVESGHFPDAVTYTSLMNGMCREGNALGAVLLLEEMERKGCSPNSCTYNTLLHGLCKGRLMEKGMELYRAMKSAGMMLEKASYATLVRALCREGRVAEAYEVFDYAVESKSLTDVAAYSTLEVTLKWLKKAREQGLAV >EOX94462 pep chromosome:Theobroma_cacao_20110822:1:28838900:28851884:-1 gene:TCM_004047 transcript:EOX94462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERVDTIIHCNCLYLSVDQPYTYYSILDSEGAPTRMPLSLFSPPQGSLKLNIDRASKGKHGPYDIVGVLRDHLAYIRGTFSNHIGIEDSNYAEFHAILEGVSFFLSSLWATTHHLKIKSDSSNSIQWANDHLKVPWSMKNISNATECSHYFCFLALCAEFDAEYWVVMSLACIRQARDTKLYALVWSPSTSSGLPITDASADSSSLGFLCSASLFMAMPTISHHNETLLLQ >EOX94721 pep chromosome:Theobroma_cacao_20110822:1:31035171:31036532:1 gene:TCM_004332 transcript:EOX94721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein D-34, putative isoform 1 MSQRQPRRPQGDQTSDQEAIKYGDVFDVTGGLASKPVAPRDTETMRIAENQVLGKTLDAGAAAVMQSAADVNVMSGVVCPSPGNKMVEREGVAVPKSRDLQGKVVVTEAIAGQIVGQYTPSDVRGITPFPSPTPVRGDVGWTTSPTPTGAVDHNGITIGEALEATAISVGDKPVDQGDAAAIRVAEVRAAGSNVTQRNGLGATAQAAATFNDRVSYDYNKMTISNVLSDASSKFPQDKAVTSEDADGVRGAELRNKLDMIPTPGGVADTMATAARGLIPKGALS >EOX94720 pep chromosome:Theobroma_cacao_20110822:1:31035127:31036488:1 gene:TCM_004332 transcript:EOX94720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein D-34, putative isoform 1 MSQRQPRRPQGDQTSDQEAIKYGDVFDVTGGLASKPVAPRDTETMRIAENQVLGKTLDAGAAAVMQSAADVNVMSGVVCPSPGNKMVEREGVAVPKSRDLQGKVVVTEAIAGQVKYILIVGQYTPSDVRGITPFPSPTPVRGDVGWTTSPTPTGAVDHNGITIGEALEATAISVGDKPVDQGDAAAIRVAEVRAAGSNVTQRNGLGATAQAAATFNDRVSYDYNKMTISNVLSDASSKFPQDKAVTSEDADGVRGAELRNKLDMIPTPGGVADTMATAARVNRDDTP >EOX91493 pep chromosome:Theobroma_cacao_20110822:1:2876775:2882146:1 gene:TCM_000664 transcript:EOX91493 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL03K20.1 protein isoform 1 MVIVSSVSRALADQLEGDEEQHGKYRSMVVQYIVKNREMFEPFIEDDVPFDEYCQSMEKDGTWAGHMELQAASLVIRRNICIHRNMSPRWYIKNFDGRGALMVHLSYHDEEHYNSVRLKEDPCNGPAMPIIITADADISASTNQAKAAVSKPKGAAGKDSNNTGSIKLVLAGSGCESAEKVEQVLLQVDGDVDAAIEFLVAEQGTEDYAAENDSLTCHVDGSYGNDEDVNSEKLKQEALKKTFEQDPSSDSIKTLDDSSSRKDDKVSCYKIPRNKICPCGSKKKYKACCGSVSGRSSTKFIANQTMDARKGRKVQKQGKKGASAKSAASSGSDGGPPDMGALCI >EOX91492 pep chromosome:Theobroma_cacao_20110822:1:2876775:2881899:1 gene:TCM_000664 transcript:EOX91492 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL03K20.1 protein isoform 1 MVIVSSVSRALADQLEGDEEQHGKYRSMVVQYIVKNREMFEPFIEDDVPFDEYCQSMEKDGTWAGHMELQAASLVIRRNICIHRNMSPRWYIKNFDGRGALMVHLSYHDEEHYNSVRLKEDPCNGPAMPIIITADADISASTNQAKAAVSKPKGAAGKDSNNTGSIKLVLAGSGCESAEKVEQVLLQVDGDVDAAIEFLVAEQGTEDYAAENDSLTCHVDGSYGNDEDVNSEKLKQEALKKTFEQDPSSDSIKTLDDSSSRKDDKKIPRNKICPCGSKKKYKACCGSVSGRSSTKFIANQTMDARKGRKVQKQGKKGASAKSAASSGSDGGPPDMGALCI >EOX92259 pep chromosome:Theobroma_cacao_20110822:1:5982942:5983924:1 gene:TCM_001233 transcript:EOX92259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSCHGSITISISIFSNPACVESTSCHQTLSIADPSSTIVIVVWMSTLSSKRKEAIAITLEMICTPSWHSCIDFVEFNSTTMVDCRISSKALIFLPSHGILLSFSFPVPVEINLERSNIILKSQRCHSPQKIIPVYSFPLFPLTLIRSLTRDEADELGYTFLDSFFGFFGYFCICWEGFFHDSTYISYGKETVLFFGRTIRDVPRRWAADGAAGLVSFSSHGRRGEEGWL >EOX91922 pep chromosome:Theobroma_cacao_20110822:1:4481924:4485831:-1 gene:TCM_000971 transcript:EOX91922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 8, putative isoform 1 MALLGDDGRGYDLARRLESCGVWRAWLGDSTYASFIHFLSSPSAWESFMRVDDSKSRSQIHLQLRARALLFDKATVALFLRSNSSNPANNTSSSSVAVSKLNPNYLQLHGDDVYFTLEGSLQDGGAAANAAPSKSKSSFSAGSRYGESEFDSLSQRYRKEELPETWYNQFIEKYRLSRPYKLFLGDRESEKRTPEEMTTYLRIVEKHKRRRVAFQEDQYMGYGSTGLESNSVLDGNNSGDDEIPFFPEIMSMMNCVPDSALPPATRVWDKKTIEFYGVLDTLPQVSTRSPVMIERLGIRPEYLNMEQGGNTHRGKNNRKLLGQEQASQMSRKVIARLLNGVGFEGATEAPVEVFSQFLSCHICRLGRNIKVLTDNYRKQCSAIELIRMFLQTSGYSNFGTLAELVKDSTRNVVQQTPQQMHGIQSQLQPQHQNALRMAQQEHGYC >EOX91921 pep chromosome:Theobroma_cacao_20110822:1:4482355:4485746:-1 gene:TCM_000971 transcript:EOX91921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 8, putative isoform 1 MALLGDDGRGYDLARRLESCGVWRAWLGDSTYASFIHFLSSPSAWESFMRVDDSKSRSQIHLQLRARALLFDKATVALFLRSNSSNPANNTSSSSVAVSKLNPNYLQLHGDDVYFTLEGSLQDGGAAANAAPSKSKSSFSAGSRYGESEFDSLSQRYRKEELPETWYNQFIEKYRLSRPYKLFLGDRESEKRTPEEMTTYLRIVEKHKRRRVAFQEDQYMGYGSTGLESNSVLDGNNSGDDEIPFFPEIMSMMNCVPDSALPPATRVWDKKTIEFYGVLDTLPQVSTRSPVMIERLGIRPEYLNMEQGGNTHRGKNNRKLLGQEQASQMSRKVIARLLNGVGFEGATEAPVEVFSQFLSCHICRLGRNIKVLTDNYRKQCSAIELIRMFLQTSGYSNFGTLAELVKDSTRNVVQQTPQQMHGIQSQLQPQHQNALRMAQQLPMRQMHPQNMGIVRAPPVKVEGFQELMGGDTTLKHDSEENKLTSPPNK >EOX91920 pep chromosome:Theobroma_cacao_20110822:1:4481453:4485766:-1 gene:TCM_000971 transcript:EOX91920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 8, putative isoform 1 MALLGDDGRGYDLARRLESCGVWRAWLGDSTYASFIHFLSSPSAWESFMRVDDSKSRSQIHLQLRARALLFDKATVALFLRSNSSNPANNTSSSSVAVSKLNPNYLQLHGDDVYFTLEGSLQDGGAAANAAPSKSKSSFSAGSRYGESEFDSLSQRYRKEELPETWYNQFIEKYRLSRPYKLFLGDRESEKRTPEEMTTYLRIVEKHKRRRVAFQEDQYMGYGSTGLESNSVLDGNNSGDDEIPFFPEIMSMMNCVPDSALPPATRVWDKKTIEFYGVLDTLPQVSTRSPVMIERLGIRPEYLNMEQGGNTHRGKNNRKLLGQEQASQMSRKVIARLLNGVGFEGATEAPVEVFSQFLSCHICRLGRNIKVLTDNYRKQCSAIELIRMFLQTSGYSNFGTLAELVKDSTRNVVQQTPQQMHGIQSQLQPQHQNALRMAQQLPMRQMHPQMQQMVHPQNLTFQQQQQLERIRRRHPSTPRPVMDMDKDRPMVQVKIENPSELPMDSNAFNPINTRHSQMQFRQQQFAAISNLHAQPSNQFRQLMSPQIHQMQTQNMGIVRAPPVKVEGFQELMGGDTTLKHDSEENKLTSPPNK >EOX94075 pep chromosome:Theobroma_cacao_20110822:1:19982605:19983863:1 gene:TCM_003166 transcript:EOX94075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVAAARGEVKKQGIKELGRATLEEWRPWYEEVMQLYMGQQAGRLDTRKWRLQCQHDIIFRLFSRVDLN >EOX93598 pep chromosome:Theobroma_cacao_20110822:1:14176403:14183715:1 gene:TCM_002479 transcript:EOX93598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein isoform 2 MLNGIVLGVWPESKSFSDDGYGPIPSKWKGICQNDKDDGFHCNRKLIGARYFNKGYASIVGKLNSSFDTPRDKEGHGTHTLSTAGGNLVAKASVLGFGKGTAKGGSPRARVAAYKVCWPPVSGDECFDADILAAFDVAIHDGVDVLSVSLGGDPTPFFNDSVAIGSFHAIKHGIVVVCSAGNSGPAYGTVTNIAPWQITVGASTMDREFPSIVVLGNNMRYKGQSLSANDLPDKKFFPLISAADAKAANASIENALLCQAGTIDPEKASGKFLVCLRGQNARVDKGQQAALAGAVGMVLANNILAGNEIIADAHVLPASHINYTDGLAVFTYINSTKYPTAYITPVTTQIGTKPAPFMAAFSSKGPNTITPEILKPDITAPGVSVIAAYTEAQGPTNEDFDKRRVQFNCVSGTSMSCPHVSGIVGLLKTLYPDWSPAAIKSAIMTSATTWDNMKEPILNASNIKAGPFSYGAGHIQPNLAMDPGLVYDLAATDYLNFLCTLGYNETLISMFSQNPYKCPKPISLANFNYPSIAVPNLVGSITVTRTVKNVGSPGTYRAQVQRPTGISVQVKPKKLKFKKVGEEKTFSVTLNVMKAHPVKEYVFGQLIWSDHVHHVSSPIVVKAV >EOX93597 pep chromosome:Theobroma_cacao_20110822:1:14155420:14182831:1 gene:TCM_002479 transcript:EOX93597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein isoform 2 MRKKQGVKQSRDRTSTLLKEVAGESMRLSNATLCLVSYIVFSLLQKPTFAAKKSYVVYLGGHSHGLESATVDLDAVMESHYEFLGSFLGSRDYAREAIFYSYTRHINGFAANLEDEVAAEIARHPKVVSLFLNKGRNLHTTRSWEFLGLEQKGVVPSNSIWNKARYGEDTIIGNLDTGVWPESKSFSDDGYGPIPSKWKGICQNDKDDGFHCNRKLIGARYFNKGYASIVGKLNSSFDTPRDKEGHGTHTLSTAGGNLVAKASVLGFGKGTAKGGSPRARVAAYKVCWPPVSGDECFDADILAAFDVAIHDGVDVLSVSLGGDPTPFFNDSVAIGSFHAIKHGIVVVCSAGNSGPAYGTVTNIAPWQITVGASTMDREFPSIVVLGNNMRYKGQSLSANDLPDKKFFPLISAADAKAANASIENALLCQAGTIDPEKASGKFLVCLRGQNARVDKGQQAALAGAVGMVLANNILAGNEIIADAHVLPASHINYTDGLAVFTYINSTKYPTAYITPVTTQIGTKPAPFMAAFSSKGPNTITPEILKPDITAPGVSVIAAYTEAQGPTNEDFDKRRVQFNCVSGTSMSCPHVSGIVGLLKTLYPDWSPAAIKSAIMTSATTWDNMKEPILNASNIKAGPFSYGAGHIQPNLAMDPGLVYDLAATDYLNFLCTLGYNETLISMFSQNPYKCPKPISLANFNYPSIAVPNLVGSITVTRTVKNVGSPGTYRAQVQRPTGISVQVKPKKLKFKKVGEEKTFSVTLNVMKAHPVKEYVFGQLIWSDHVHHVSSPIVVKAV >EOX92543 pep chromosome:Theobroma_cacao_20110822:1:7380515:7382927:1 gene:TCM_001478 transcript:EOX92543 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MFSLLKELPSISTLLSIYASVSAMAMLIRTICNEVIPKPMRNYISSKVFDLASRCLSSDFTFIIEERWQAVNNETFRAVEAYLPTRIGPSTDSLLIGSNEPLNPRAPPKQSIPVDCKIIDEFQGMRLEWTLRSTDTKKYFPREKRYFRLACKKGDRDRVMQSYFPQIAKKAESILRERETLNIYTYDQESSMWESTVFKHPATFETLAMEPELKQLVKEDLDSFVARKDFFEGVGRAWKRGYLLYGPPGTGKSSLVAAIANYLRYNIYDLQVQSARSDADLRHILTSTTNRSILLIEDIDCGTKVSRDRAKVQDDQQDKEDEQPNRISSSDPGVTLSGLLNFLDGLWSSCGDERIIIVTTNHKEKLDPALLRPGRMDVHIHMGYCTPAAFRKLAATYLGIKHRSSFVTIENLLQSTTVTPAEVAQQLMKRDEPEAVLESFIHFLEAKRNENGESGAQTQKQDGKNG >EOX95529 pep chromosome:Theobroma_cacao_20110822:1:34560236:34561743:-1 gene:TCM_005005 transcript:EOX95529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSQILHPKVAPIQPEWDLEDIRMAFFKCTRWQVEETLDPINCPFHYFCDSIYPGNYPPAVDVLVLLLTTASYLATLVIMLIDISRRGRPCLSQSKRFLLPSGPVSLPLILLALANGQRINILFPLSCIAPAILQLIQISALAFDIGADKDPRYAFFEASTISGILHASVYLDSIILPYYTGFDALASSTFSGVCLSCVCRKEVLVAGGKLITYRGCSVTTFCVIGALCLRIICRLCVKNKGKFLSIKSLLESLAWILITKDCIYLVANSPPEQSVPQAAAFGGMLLLICLHALKKFCTRITQGH >EOX93843 pep chromosome:Theobroma_cacao_20110822:1:16445415:16451499:1 gene:TCM_002795 transcript:EOX93843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 82, subfamily C, polypeptide 2, putative MGDCKHQKKEKIFPAEVGAAAGAAQPWPTSQKKMMGWGIKSSSGRYGRGGVVSAAGIAREKPTFLRTAIEHLPLVTQLCKALQISRSKRAVATYKPYLIMDVSSYLPAVVAGFLGLLILYNLTVTLARTLAAMADKYGPVFTIRIGIYRTLIVSNHEAVKECFTTNDKILANRPRSNAGTYLAYDHAGFGFAPYGTYWRQMRKLAVLELLSVHRLATLTHVRVSEVDAFIKNLCFFCKKSEQSPNQKISISQRLEVLNLNMMLRTIAGTRYFSDADGENDKEAQRAMKLIKEFSYLLGVTALSEVVPFLKWIDFWGHQVKSMKRISKEMDSLIESWVDEHKLRRFKIGAKNNQDFIDVLLSAIEDDSMFGHTRETIIKATVTVLISAGSDSTSRTLTWILSNLMNNRNAMKRAQEELDLKIGRDRWVEDCDIEKLVYLQAIIKETFRLYPAAPLSVPHQAMEYCRISGYHIPKGTRLFVNVWKLQRDPRIWSNPEEFEPERFLTSYQNVDILGQHFELIPFGSGRRSCPGMSWALQVIRLTIARLLQGFDLATPLNAPVDMTEEPGGTMSKATPLQLVLTPRLPDHLYQL >EOX90793 pep chromosome:Theobroma_cacao_20110822:1:645053:650378:1 gene:TCM_000163 transcript:EOX90793 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS35 A isoform 1 MYKDTVLPRILEQVVNCKDELAQYYLMDCIIQVFPDEYHLQTLDVLLGAFPQLQPTVDIKTVLSRLMERLSNYAASSADVLPEFLQVEAFLKLNNAIGKVIEAQPDMPILGVITLYSSLLTFTLHVHPDRLDYADQVLGACVRKLSGKGKLEDNKATKQIVALLSAPLEKYNDIVTALKLSNYPRVMEYLDSETNKVMATVIIQSIMKNKTHISTADRVEALFELIKGLIKDLDGTLDDEVDEDDFKEEQNSVSRLIQMLYNDDPEEMFKIICTVRKHILAGGPKRLSFTVPPLVFSSLKLVRQLQGREENPFGEEESTTPKKIFQLLNQTVETLSNVPAPELALQLYLQCAEAANDCDLEPVAYEFFTQAYILYEEEISDSRAQVTAIHLIIGTLQRMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDNVKDGERYVEVLLCLKRALRIANAAQQMSNAARGSTGSVTLFVEILNKYLYFFEKGNPQITVAAIQSLLELITTEMQSDSSTPDPAADAFFASTLRYIEFQKQKGGAVGEKYEPIKV >EOX90792 pep chromosome:Theobroma_cacao_20110822:1:643757:650378:1 gene:TCM_000163 transcript:EOX90792 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS35 A isoform 1 MRAFDELRKLEMFFKEETRRGCSIIDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQNPVRGLFLRSYLAQVSRDKLPDIGSEYEGDADTVVDAVEFVLQNFTEMNKLWVRMQQQGPAREKEKREKERSELRDLVGKNLHVLSQIEGVDLDMYKDTVLPRILEQVVNCKDELAQYYLMDCIIQVFPDEYHLQTLDVLLGAFPQLQPTVDIKTVLSRLMERLSNYAASSADVLPEFLQVEAFLKLNNAIGKVIEAQPDMPILGVITLYSSLLTFTLHVHPDRLDYADQVLGACVRKLSGKGKLEDNKATKQIVALLSAPLEKYNDIVTALKLSNYPRVMEYLDSETNKVMATVIIQSIMKNKTHISTADRVEALFELIKGLIKDLDGTLDDEVDEDDFKEEQNSVSRLIQMLYNDDPEEMFKIICTVRKHILAGGPKRLSFTVPPLVFSSLKLVRQLQGREENPFGEEESTTPKKIFQLLNQTVETLSNVPAPELALQLYLQCAEAANDCDLEPVAYEFFTQAYILYEEEISDSRAQVTAIHLIIGTLQRMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDNVKDGERYVEVLLCLKRALRIANAAQQMSNAARGSTGSVTLFVEILNKGTPRSLLLQSRACLN >EOX90791 pep chromosome:Theobroma_cacao_20110822:1:643285:650807:1 gene:TCM_000163 transcript:EOX90791 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS35 A isoform 1 MIADGVEDEEKWLAAGIAGLQQNAFYMHRALDSNNLRDALKYSAQMLSELRTSRLSPHKYYELYMRAFDELRKLEMFFKEETRRGCSIIDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQNPVRGLFLRSYLAQVSRDKLPDIGSEYEGDADTVVDAVEFVLQNFTEMNKLWVRMQQQGPAREKEKREKERSELRDLVGKNLHVLSQIEGVDLDMYKDTVLPRILEQVVNCKDELAQYYLMDCIIQVFPDEYHLQTLDVLLGAFPQLQPTVDIKTVLSRLMERLSNYAASSADVLPEFLQVEAFLKLNNAIGKVIEAQPDMPILGVITLYSSLLTFTLHVHPDRLDYADQVLGACVRKLSGKGKLEDNKATKQIVALLSAPLEKYNDIVTALKLSNYPRVMEYLDSETNKVMATVIIQSIMKNKTHISTADRVEALFELIKGLIKDLDGTLDDEVDEDDFKEEQNSVSRLIQMLYNDDPEEMFKIICTVRKHILAGGPKRLSFTVPPLVFSSLKLVRQLQGREENPFGEEESTTPKKIFQLLNQTVETLSNVPAPELALQLYLQCAEAANDCDLEPVAYEFFTQAYILYEEEISDSRAQVTAIHLIIGTLQRMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDNVKDGERVLLCLKRALRIANAAQQMSNAARGSTGSVTLFVEILNKYLYFFEKGNPQITVAAIQSLLELITTEMQSDSSTPDPAADAFFASTLRYIEFQKQKGGAVGEKYEPIKV >EOX92180 pep chromosome:Theobroma_cacao_20110822:1:5633951:5639438:-1 gene:TCM_001170 transcript:EOX92180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase isoform 1 MANSLHSSTSISRVGFSSQFLGSNLGSKASVSVSFPSSHTNYSLKSVEILRQQSYRISAMSKVESSSFEQVKVDISLSPRVNSVKPSKTVAITDHATALVQAGIPVIRLAAGEPDFDTPAVIAEAGINAIREGYTRYTPNAGTLELRTAICHKLKEENGISYTPDQILVSNGAKQSIIQAVLAVCSPGDEVIIPAPYWVSYPEMARLADATPVILPTRISENFLLDPKLLESKITEKSRLLILCSPSNPTGSVYPKKLLERFAEIVAKHPRLLVLSDEMYEHIIYAPATHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYIAGPKHFVAACNKIQSQYTSGASSISQKAGVAALGLGYAGGEAVSTMVKAFRERRDFLVKSLGELEGVKISEPQVLVPV >EOX92178 pep chromosome:Theobroma_cacao_20110822:1:5633135:5638959:-1 gene:TCM_001170 transcript:EOX92178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase isoform 1 MSKVESSSFEQVKVDISLSPRVNSVKPSKTVAITDHATALVQAGIPVIRLAAGEPDFDTPAVIAEAGINAIREGYTRYTPNAGTLELRTAICHKLKEENGISYTPDQILVSNGAKQSIIQAVLAVCSPGDEVIIPAPYWVSYPEMARLADATPVILPTRISENFLLDPKLLESKITEKSRLLILCSPSNPTGSVYPKKLLERFAEIVAKHPRLLVLSDEMYEHIIYAPATHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYIAGPKHFVAACNKIQSQYTSGASSISQKAGVAALGLGYAGGEAVSTMVKAFRERRDFLVKSLGELEGVKISEPQGAFYLFIDFSSYYGIEAEGFGKIENSESLCRYLLDRAQVALVPGDAFGDDTCVRISYAASLTTLQAAFERIKKALISLRPAVPV >EOX92179 pep chromosome:Theobroma_cacao_20110822:1:5633353:5639438:-1 gene:TCM_001170 transcript:EOX92179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase isoform 1 MANSLHSSTSISRVGFSSQFLGSNLGSKASVSVSFPSSHTNYSLKSVEILRQQSYRISAMSKVESSSFEQVKVDISLSPRVNSVKPSKTVAITDHATALVQAGIPVIRLAAGEPDFDTPAVIAEAGINAIREGYTRYTPNAGTLELRTAICHKLKEENGISYTPDQILVSNGAKQSIIQAVLAVCSPGDEVIIPAPYWVSYPEMARLADATPVILPTRISENFLLDPKLLESKITEKSRLLILCSPSNPTGSVYPKKLLERFAEIVAKHPRLLVLSDEMYEHIIYAPATHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYIAGPKHFVAACNKIQSQYTSGASSISQKAGVAALGLGYAGGEAVSTMVKAFRERRDFLVKSLGELEGVKISEPQGAFYLFIDFSSYYGIEAEGFGKIENSESLCRYLLDRAQVALVPGDAFGDDTCVRISYAASLTTLQAAFERIKKALISLRPAVPV >EOX92177 pep chromosome:Theobroma_cacao_20110822:1:5632628:5639448:-1 gene:TCM_001170 transcript:EOX92177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase isoform 1 MANSLHSSTSISRVGFSSQFLGSNLGSKASVSVSFPSSHTNYSLKSVEILRQQSYRISAMSKVESSSFEQVKVDISLSPRVNSVKPSKTVAITDHATALVQAGIPVIRLAAGEPDFDTPAVIAEAGINAIREGYTRYTPNAGTLELRTAICHKLKEENGISYTPDQILVSNGAKQSIIQAVLAVCSPGDEVIIPAPYWVSYPEMARLADATPVILPTRISENFLLDPKLLESKITEKSRLLILCSPSNPTGSVYPKKLLERFAEIVAKHPRLLVLSDEMYEHIIYAPATHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYIAGPKHFVAACNKIQSQYTSGASSISQKAGVAALGLGYAGGEAVSTMVKAFRERRDFLVKSLGELEGVKISEPQGAFYLFIDFSSYYGIEAEGFGKIENSESLCRYLLDRAQVALVPGDAFGDDTCVRISYAASLTTLQAAFERIKKALISLRPAVPV >EOX95347 pep chromosome:Theobroma_cacao_20110822:1:33788998:33796763:-1 gene:TCM_004860 transcript:EOX95347 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 1 MEEMKVFSAKVADGREGQDGKPSVGPIYRNLLAKNGYPPPDPDMSTTWSLFSSSVQKHRGNRMLGWRKMVDGKAGAYIWKTYGEVYDEVLHIGSALRASGAEPGCRVGIYGANCPQWILAMEACGAHSVVCVPLYDTLGPRAVNFIIDHAEVDFVFVQDIKVKELLDPNCKSAQRLKAIVCFTSLTEEDNAKASQLGIKTFSWIEFLCMGKENPQETSPPQPFNICTIMYTSGTSGDPKGVVLTHETIATFVYGVDLFLDQFEDKMTVNDVYLSFLPLAHILDRVIEEYFFHRGASVGYYHGNLKELRDDIMELKPTFLAGVPRVFDMIHEGIKKALEELSPLRRRIFDFLYKYKLSWMNRGYKHKQASPLADLLAFRKVKAKLGGRLRLLISGGAPLSSEVEEFLRVTCCAFVVQGYGLTETCGACAVGYPDEMCMVGTVGSPAVYNELRLEEVSEMGYNPLGNPPCGEICVRGKTIFSEYYKNPELTRESIKDGWFHTGDIGQVLPNGVVKIIDRKKNLIKLSQGEYVALEYLENVYGTTPIVDDVWVYGNSFKSMLVAVVVLHEDNAKKWANLNGHTGSLSELCSVNQLQSYILSELKSTAEKNKMRGFEFIKGVILEPYPFDMERDLVTATLKKKRNNLLKYYQAEIDALYQKLTAKK >EOX95346 pep chromosome:Theobroma_cacao_20110822:1:33788443:33796990:-1 gene:TCM_004860 transcript:EOX95346 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 1 MEEMKVFSAKVADGREGQDGKPSVGPIYRNLLAKNGYPPPDPDMSTTWSLFSSSVQKHRGNRMLGWRKMVDGKAGAYIWKTYGEVYDEVLHIGSALRASGAEPGCRVGIYGANCPQWILAMEACGAHSVVCVPLYDTLGPRAVNFIIDHAEVDFVFVQDIKVKELLDPNCKSAQRLKAIVCFTSLTEEDNAKASQLGIKTFSWIEFLCMGKENPQETSPPQPFNICTIMYTSGTSGDPKGVVLTHETIATFVYGVDLFLDQFEDKMTVNDVYLSFLPLAHILDRVIEEYFFHRGASVGYYHGNLKELRDDIMELKPTFLAGVPRVFDMIHEGIKKALEELSPLRRRIFDFLYKYKLSWMNRGYKHKQASPLADLLAFRKVKAKLGGRLRLLISGGAPLSSEVEEFLRVTCCAFVVQGYGLTETCGACAVGYPDEMCMVGTVGSPAVYNELRLEEVSEMGYNPLGNPPCGEICVRGKTIFSEYYKNPELTRESIKDGWFHTGDIGQVLPNGVVKIIDRKKNLIKLSQGEYVALEYLENVYGTTPIVDDVWVYGNSFKSMLVAVVVLHEDNAKKWANLNGHTGSLSELCSVNQLQSYILSELKSTAEKNKMRGFEFIKGVILEPYPFDMERDLVTATLKKKRNNLLKYYQAEIDALYQKLTAKK >EOX92671 pep chromosome:Theobroma_cacao_20110822:1:8011301:8018623:1 gene:TCM_001583 transcript:EOX92671 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein TNFAIP1 isoform 2 TSTPPSTSPSYHHKNPFPSFPVSIRISSNSSASALLPLCFAAKSQTGGPVKKRSSSVAGNKKRRKGRSGDDDNLKELSLRDVEIVEENVDDDEGSSSSSSSTTATHALAYHPPPLPKPPAGFVVDDTGRVLMASNKRIATMVDSANNNPLECVIRRVFESSRGDECMLLCPVDTPVQILKSTNIDGWSAVSDEEVEAILPTAAYALAKIHMHLVHSGFCYTARGGFCYSEDDIFDFRSDDGQDIDGLPTEGIEITCFHLDGSHYMIYTPSDPLLFVALIA >EOX92670 pep chromosome:Theobroma_cacao_20110822:1:8011113:8018692:1 gene:TCM_001583 transcript:EOX92670 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein TNFAIP1 isoform 2 MASSISLTSTPPSTSPSYHHKNPFPSFPVSIRISSNSSASALLPLCFAAKSQTGGPVKKRSSSVAGNKKRRKGRSGDDDNLKELSLRDVEIVEENVDDDEGSSSSSSSTTATHALAYHPPPLPKPPAGFVVDDTGRVLMASNKRIATMVDSANNNPLECVIRRVFESSRGDECMLLCPVDTPVQILKSTNIDGWSAVSDEEVEAILPTAAYALAKIHMHLVHSGFCYTARGGFCYSEDDIFDFRSDDGQDIDGLPTEGIEITCFHLDGSHYMIYTPSDPLLFVAVKDQNGMLQIADDDLLEDPAIMSAIDEETEFNALVEEEAALLESLLGER >EOX94575 pep chromosome:Theobroma_cacao_20110822:1:30282880:30284946:-1 gene:TCM_004208 transcript:EOX94575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MICFSWQRRVPGVLFLVRVGKGSWDLGLAHSETLVGSSDQDVSERDGVVGSGGPCFGCLGRHPCVPFGAWEVNGSPCFNGPLSPFVPPEALCGFLGRLSRKGMEQQRLGSVDCIRAVPLTFLIRSGSNLL >EOX92739 pep chromosome:Theobroma_cacao_20110822:1:8329802:8344426:-1 gene:TCM_001625 transcript:EOX92739 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 snRNA-specific terminal uridylyltransferase 1, putative MTFSAKVLLKKAKKLEVLGFEKNKIALTCASDLDQLLNEVYISRLPKQIDYDNRTDLVRIFNAMVKEIYGNPNGSPVVEGFGSFVMGIFSRESDLDLSINFRDSAAKMPKEKKIQTLHKLAQKLYSIQRGGHVSGIQTIMTARVPIVKVIDRGTGIECDVSVENRDGMVKSLIIRAISTIDDRFQKLSFLMKAWAKAHDINSSKERTLNSLSLISLVAFHLQTRNPPILPPFSALLKDGSDPAAVMKIVQNYLNYGKRNTESLAELYGTLLIKLASVEKLWQKGLCVSLCEGSWISKAWGSRICSMSVEDFTDQSQNVARAVGTEGFGKIRGCIRRSLFYLKAFSDGQMQGTKLKELLFGGDTLCSVGDSVASNLDKSTTKLSVPNDSNQIKRMKLTENLGAKNQSKTEVVVHHGTHQTKKRHFTGDLDKSKGAKRAKGPQLSDRMVGVQFMEGGRREWNNFSENRGHLTTHFAAQVPYLNPLSHSLDSLRCHGPVMLPSSAPNFTSFQGIAPPLVPLLNSLGLNVSQGIAPPLVPLLISLGCRLCPSLEIKSLHFIDEFGLSNDGLSFVCLIECAIKL >EOX96431 pep chromosome:Theobroma_cacao_20110822:1:37786616:37789129:-1 gene:TCM_005681 transcript:EOX96431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIVVCLHEKEVAYMAANTDQEIAPLSENNDPPNDLVIDISGEVSEPGPECCIYKVPIRFRAGNEAAYAPHLISIGPTHRGDPNLAPMESQKERYYYEFLRKTSEKTLKHFESSIKLNVTRIRRCYDVQSIYMLEASQLIKIIFRDAVFIFTLFLGDWAKKQGFTSKDNNHDEEEDDYYDLNQSALLRVKLLTDLMLLENQLPFFVLEDLHKLVFLPSNEYSSHDDLAALASRKYSFLDLACSYFDIKKNPSFERKKIKHFTDLIRCQVLRDSRMMDDDAIVGNYNMHNATKLREAGVKFKCTTAVIGFCVMFNGVSYNCKKMGDFDLEGFGVINVVTESVLGRISYSLLDVEFKEGVLKLLAIDVEYETEIRFRNLMAFEQYYYPKKAYFCSYIKLLDSLVDTSEDVDLLVKEGIIVNRLGSSAAVAEMINKLAVGVVHSTLLYGEIGRKLDQHYKNPWNHTMATLRHVYFSDLWRGTGTAAAFIVVILTLMQTILAILEKAAPTK >EOX95975 pep chromosome:Theobroma_cacao_20110822:1:36201706:36204450:1 gene:TCM_005339 transcript:EOX95975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L36e family protein isoform 2 FLLCSRGASRFSRRYSVGAVWLVMAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGKTSKRVHFVKSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKTAGGAEKKK >EOX95976 pep chromosome:Theobroma_cacao_20110822:1:36201703:36204321:1 gene:TCM_005339 transcript:EOX95976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L36e family protein isoform 2 HFLLCSRGASRFSRRYSVGAVWLVMAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGKTSKRVHFVKSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMR >EOX95974 pep chromosome:Theobroma_cacao_20110822:1:36201706:36204288:1 gene:TCM_005339 transcript:EOX95974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L36e family protein isoform 2 MAPKQPNTGLFVGLNKGHVVTKKELAPRPSDRKGKTSKRVHFVKSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRSAGGAEKKK >EOX94709 pep chromosome:Theobroma_cacao_20110822:1:30984210:30985250:1 gene:TCM_004322 transcript:EOX94709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative isoform 1 MAARSMKGAMLAAVVIVAATLWTGAVAQSSSSCTNVLVSMSPCLDYIQGNSSKPSSSCCSQLANVVRSQPQCLCEVLNGGASSLGVSVNQTQAMALPTACNVKTPPASQCNGGASKTVPTTDDGISTGNSTKLSFSKLFVLLFIAFQASSFITV >EOX94708 pep chromosome:Theobroma_cacao_20110822:1:30984215:30985250:1 gene:TCM_004322 transcript:EOX94708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative isoform 1 MAARSMKGAMLAAVVIVAATLWTGAVAQSSSSCTNVLVSMSPCLDYIQGNSSKPSSSCCSQLANVVRSQPQCLCEVLNGGASSLGVSVNQTQAMALPTACNVKTPPASQCNASSPADSPSGTSDSPSGGASKTVPTTDDGISTGNSTKLSFSKLFVLLFIAFQASSFITV >EOX91586 pep chromosome:Theobroma_cacao_20110822:1:3197972:3200897:-1 gene:TCM_000725 transcript:EOX91586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane isoform 1 MLIRRLITQMSLEAGFANHPSNTSRTNKMGIDSRFQEVQKKIVICITQLEDVEKQLQCFLRNPSCLKSMREIQYHEMILEQTLKLVHFRKRFLEANCSVQPTPKGFGDTIRPQLISCREKASAGGSISQSLSYNLSWQSQNDLHAPRNQSNGLQPSRNLPESLVEKLQSPMTYLQGHRYLARNEVSSKPEVDGYMHHWASGIVNANFPPRMDIVQQARATSNPAQRKRGVL >EOX91585 pep chromosome:Theobroma_cacao_20110822:1:3197919:3202566:-1 gene:TCM_000725 transcript:EOX91585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane isoform 1 MGRVKVQLKKIEHKTYRHITFAKRKTGLVKKAYELSTLCDVEVALIIFSPAGKLFLFDGKKRVEEILAHHVELPARRRGWVQNQELIRRLITQMSLEAGFANHPSNTSRTNKMGIDSRFQEVQKKIVICITQLEDVEKQLQCFLRNPSCLKSMREIQYHEMILEQTLKLVHFRKRFLEANCSVQPTPKGFGDTIRPQLISCREKASAGGSISQSLSYNLSWQSQNDLHAPRNQSNGLQPSRNLPESLVEKLQSPMTYLQGHRYLARNEVSSKPEVDGYMHHWASGIVNANFPPRMDIVQQARATSNPAQRKRGVL >EOX92359 pep chromosome:Theobroma_cacao_20110822:1:6434078:6434881:-1 gene:TCM_001308 transcript:EOX92359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSESNSLVKLQRLLLISDSDMAAAAQQLIQLSDEDNNSSSSNNSSNGDNNKIIKAKKSKRLFEQSQDEITSSKIEEIFGKEEEVVRPIKQRRYRFLDSIYKETKPMKVSYGMNLWY >EOX94454 pep chromosome:Theobroma_cacao_20110822:1:28746393:28747617:1 gene:TCM_004033 transcript:EOX94454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVVWSLKQAKKMATSLLLSPLILLLLVTCLAPTASAATTTTTIKRQPGFLYTRTRGRCTPQFWSSRREAWPRMVPQTSTVLNVFGSRAFERYRSEMTLLESTGVNEGGNVYDKLLTQASAALLNSYARKGFPYSAWEVKTLMIQGLVSEDAAARLTERFSIANDACN >EOX96591 pep chromosome:Theobroma_cacao_20110822:1:38344516:38354480:-1 gene:TCM_005815 transcript:EOX96591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 1 MACIKGVNRSASVALAPDAPYMAAGTMAGAVDLSFSSSANLEIFKFDFQNDDRELPVVGECPSSERFNRLAWGKNGSGFDEFSLGLIAGGLVDGNIDLWNPLSLIRSEASEQALVGHLSRHKGPVRGLEFNAIAPNLLASGADDGEICIWDLGAPAQPSHFPPLRGSGSASQGEISFLSWNSKVQHILASTSYNGTTVVWDLKKQKPVISFADSVRRRCSVLQWHPDVATQLVVASDEDGSPALRLWDMRNIMSPVKEFVGHTKGVIAMAWCPSDSSYLLTCAKDNRTICWDTITGEIVCELPAGSNWNFDVHWYPKIPGVISASSFDGKIGIYNIEGCSRYGVGEGDIGAVPLRAPKWYKRPVGASFGFGGKIVSFHPRTSSLSTSAPSEVFLHNLVTEDSLVSRSSEFESAIQNGERSSLRALCEKKSQESESQDDQETWGFLKVMFEDDGTARTKLLMHLGFSLPAEEKDTVQDDLSQSVNDITLEDKVTEKVAHESEKEATLFGADNGEDFFNNLPSPKADTPVSTSENNFAVENVVPSADLIPQESDGLEESEDPSFDDAVQRALVVGDYKGAVAQCIAANKMADALVIAHVGGASLWESTRDQYLKMSRSPYLKVVSAMVNNDLMSLVNTRPLKFWKETLALLCTFAQREEWTVLCDTLASKLMAAGNTLAATLCYICAGNIDKTVEIWSRCLTTEHDGKCYVDLLQDLMEKTIVLALATGQKRFSASLCKLVEKYAEILASQGLLTTAMEYLKLLGSDELSPELVILKDRIALSTEPEKETKSAVFDNSHLTSGSAFESPQHIYQNQAATDIQPNVHSAFDENYQRSFSQYGGYAPVASYQPQPQPANMFVPSEAPHVSSTNFAPPPGTTQPAVRPFVPSNPPVLRNADLYQQPTTLGSQLYPGGANPTYPVPPGAGSLAPVPSQMGSVPGLKMSQVVAPTPTPRGFMPVTNTPVQRPGMSPMQPPSPTQSAPVQPAAPPAAPPPTVQTVDTSNVPAHQKPVITTLTRLFNETSQALGGTRANPAKKREIEDNSRKIGALFAKLNSGDISKNASDKLIQLCQALDNNDFGTALQIQVLLTTSEWDECNFWLATLKRMIKTRQSVR >EOX96592 pep chromosome:Theobroma_cacao_20110822:1:38346560:38354654:-1 gene:TCM_005815 transcript:EOX96592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 1 MACIKGVNRSASVALAPDAPYMAAGTMAGAVDLSFSSSANLEIFKFDFQNDDRELPVVGECPSSERFNRLAWGKNGSGFDEFSLGLIAGGLVDGNIDLWNPLSLIRSEASEQALVGHLSRHKGPVRGLEFNAIAPNLLASGADDGEICIWDLGAPAQPSHFPPLRGSGSASQGEISFLSWNSKVQHILASTSYNGTTVVWDLKKQKPVISFADSVRRRCSVLQWHPDVATQLVVASDEDGSPALRLWDMRNIMSPVKEFVGHTKGVIAMAWCPSDSSYLLTCAKDNRTICWDTITGEIVCELPAGSNWNFDVHWYPKIPGVISASSFDGKIGIYNIEGCSRYGVGEGDIGAVPLRAPKWYKRPVGASFGFGGKIVSFHPRTSSLSTSAPSEVFLHNLVTEDSLVSRSSEFESAIQNGERSSLRALCEKKSQESESQDDQETWGFLKVMFEDDGTARTKLLMHLGFSLPAEEKDTVQDDLSQSVNDITLEDKVTEKVAHESEKEATLFGADNGEDFFNNLPSPKADTPVSTSENNFAVENVVPSADLIPQESDGLEESEDPSFDDAVQRALVVGDYKGAVAQCIAANKMADALVIAHVGGASLWESTRDQYLKMSRSPYLKVVSAMVNNDLMSLVNTRPLKFWKETLALLCTFAQREEWTVLCDTLASKLMAAGNTLAATLCYICAGNIDKTVEIWSRCLTTEHDGKCYVDLLQDLMEKTIVLALATGQKRFSASLCKLVEKYAEILASQGLLTTAMEYLKLLGSDELSPELVILKDRIALSTEPGMCFRRWLMVTAVMLLHMVI >EOX92235 pep chromosome:Theobroma_cacao_20110822:1:5856802:5863246:1 gene:TCM_001212 transcript:EOX92235 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein isoform 2 DSKAFKCLPYQFNYAKSASIVISRIMNSPSTQFVHSRRMGIYEPIHQIGMWGENFKSNGNPNTSASVIVEVDTKLENESETASHEMLAPSNKYDQEATKPTDKIQRRLAQNREAARKSRLRKKAYVQQLENSRLKLVQLEQELERARQQGLYVGGGLEGSHLGFSGAVNSGIAAFEMEYGHWMEEQNRQICELRTALNAHISDVELRILVESGMSHYFELFRMKSTASKADVFYVMSGMWKTSAERFFSWIGGFRPSELLKVLVPQLEPLTEQQFLEVCNLKQSCQQAEDALSQGMEKLQETVSATVAAGQLGEGSYIPQVATAMEKLEALVSFVNQADH >EOX92234 pep chromosome:Theobroma_cacao_20110822:1:5853671:5863415:1 gene:TCM_001212 transcript:EOX92234 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein isoform 2 MNSPSTQFVHSRRMGIYEPIHQIGMWGENFKSNGNPNTSASVIVEVDTKLENESETASHEMLAPSNKYDQEATKPTDKIQRRLAQNREAARKSRLRKKAYVQQLENSRLKLVQLEQELERARQQGLYVGGGLEGSHLGFSGAVNSGIAAFEMEYGHWMEEQNRQICELRTALNAHISDVELRILVESGMSHYFELFRMKSTASKADVFYVMSGMWKTSAERFFSWIGGFRPSELLKVLVPQLEPLTEQQFLEVCNLKQSCQQAEDALSQGMEKLQETVSATVAAGQLGEGSYIPQVATAMEKLEALVSFVNQADHLRQETLQQMSRILTTRQAARGLLALGEYFQRLRALSTLWATRPREPA >EOX92236 pep chromosome:Theobroma_cacao_20110822:1:5853769:5862624:1 gene:TCM_001212 transcript:EOX92236 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein isoform 2 MNSPSTQFVHSRRMGIYEPIHQIGMWGENFKSNGNPNTSASVIVEVDTKLENESETASHEMLAPSNKYDQEATKPTDKIQRRLAQNREAARKSRLRKKAYVQQLENSRLKLVQLEQELERARQQGLYVGGGLEGSHLGFSGAVNSGIAAFEMEYGHWMEEQNRQICELRTALNAHISDVELRILVESGMSHYFELFRMKSTASKADVFYVMSGMWKTSAERFFSWIGGFRPSELLKVLVPQLEPLTEQQFLEVCNLKQSCQQAEDALSQGMEKLQETVSATVAAGQLGEGSYIPQVA >EOX91242 pep chromosome:Theobroma_cacao_20110822:1:2024649:2025671:-1 gene:TCM_000489 transcript:EOX91242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKGEGTSVNSHHQLTLGNLLRQVMDLLAPCWAYNKLIFSYCLPDTVTLVTGTVICPIYGNKVKLCLQENTKSVPLVLVELPLSTRGFTSSIQYGVLRVVLEPVHGPGSSQGWVTYCNGQKVGFARRLEMGEEKLVLDMMQMVSAGAGIMLHRGTETGGYKYLRGQFERVVGSDDTESYHLTDPSNCFGQEFSIFFLGN >EOX91176 pep chromosome:Theobroma_cacao_20110822:1:1862139:1865614:1 gene:TCM_000452 transcript:EOX91176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MGLNRSHSRFSAALRPKPLFHKHLQAMKARRVFGVSLSLLLINLAAIMERADENLLPSVYKEVSEAFNAGPSDLGYLTFIRNFVQGLASPLTGVLVINYDRPTVLAIGTLCWALSTAAVGASQQFLQVALWRAVNGFGLAIVIPALQSFIADSYTDGVRGAGFGLLSFVGTLGGIGGGVVATIMAGQQFWGMPGWRCAFILMATLSSLIGFLVFLFVVDPRKTVGVNHDAANSFDRDELIEKGNTGASSVWFESWMATRAVIKVPTFQIIVLQGIVGSLPWTAMVFFTMWFELIGFDHNSTAALLSLFAIGCAMGSFLGGLIADKISQIYPHSGRIMCAQFSAFMGIPFSWFLLKVIPQSVSSYYTFAVTLFLMGLTISWNATAANGPMFAEVVPAKHRTMIYAFDRAFEGSFSSFAAPLVGILSEQMFGYDSKSIDPINGSPREAFALSRGLLAMMAIPFGLCSLFYTPLYNIFRRDRDNVRLANLKEEEMI >EOX91177 pep chromosome:Theobroma_cacao_20110822:1:1862142:1866476:1 gene:TCM_000452 transcript:EOX91177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MGLNRSHSRFSAALRPKPLFHKHLQAMKARRVFGVSLSLLLINLAAIMERADENLLPSVYKEVSEAFNAGPSDLGYLTFIRNFVQGLASPLTGVLVINYDRPTVLAIGTLCWALSTAAVGASQQFLQVALWRAVNGFGLAIVIPALQSFIADSYTDGVRGAGFGLLSFVGTLGGIGGGVVATIMAGQQFWGMPGWRCAFILMATLSSLIGFLVFLFVVDPRKTVGVNHDAANSFDRDELIEKGNTGASSVWFESWMATRAVIKVPTFQIIVLQGIVGSLPWTAMVFFTMWFELIGFDHNSTAALLSLFAIGCAMGSFLGGLIADKISQIYPHSGRIMCAQFSAFMGIPFSWFLLKVIPQSVSSYYTFAVTLFLMGLTISWNATAANGPMFAEVVPAKHRTMIYAFDRAFEGSFSSFAAPLVGILSEQMFGYDSKSIDPINGSPREAFALSRGLLAMMAIPFGLCSLFYTPLYNIFRRDRDNVRLANLKEEEMI >EOX91178 pep chromosome:Theobroma_cacao_20110822:1:1862142:1866476:1 gene:TCM_000452 transcript:EOX91178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MGLNRSHSRFSAALRPKPLFHKHLQAMKARRVFGVSLSLLLINLAAIMERADENLLPSVYKEVSEAFNAGPSDLGYLTFIRNFVQGLASPLTGVLVINYDRPTVLAIGTLCWALSTAAVGASQQFLQVALWRAVNGFGLAIVIPALQSFIADSYTDGVRGAGFGLLSFVGTLGGIGGGVVATIMAGQQFWGMPGWRCAFILMATLSSLIGFLVFLFVVDPRKTVGVNHDAANSFDRDELIEKGNTGASSVWFESWMATRAVIKVPTFQIIVLQGIVGSLPWTAMVFFTMWFELIGFDHNSTAALLSLFAIGCAMGSFLGGLIADKISQIYPHSGRIMCAQFSAFMGIPFSWFLLKVIPQSVSSYYTFAVTLFLMGLTISWNATAANGPMFAEVVPAKHRTMIYAFDRAFEGSFSSFAAPLVGILSEQMFGYDSKSIDPINGSPREAFALSRGLLAMMAIPFGLCSLFYTPLYNIFRRDRDNVRLANLKEEEMI >EOX95187 pep chromosome:Theobroma_cacao_20110822:1:33243077:33245573:1 gene:TCM_004740 transcript:EOX95187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 42 isoform 1 MATRNRTVQYKKHRDALKSVRAPLSSSASRSGGPVIEMVNASFLRSNRPSYTPLSTEEDPGPSSSGAFTIGLPPVWIDDSEEIAANIQRAKVKMAELVKAHSKALMPSFGDGKEDQRAIEGLTKEITDLLRKSEKRLQKLSSNGSSEDSNLRKNVQRSLATDLQSLSMDLRRKQSTYLRRLQQQKEGQDGVDLELNLNENRNRLEDSEFSDVGFNEHQMSKLKQSEFSTLEREREITQVVKSVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVATSVEEGFKQLQKAERTQKKGGMVMCATVLVILCFIMIVLLILKELFL >EOX95188 pep chromosome:Theobroma_cacao_20110822:1:33242985:33244860:1 gene:TCM_004740 transcript:EOX95188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 42 isoform 1 MATRNRTVQYKKHRDALKSVRAPLSSSASRSGGPVIEMVNASFLRSNRPSYTPLSTEEDPGPSSSGAFTIGLPPVWIDDSEEIAANIQRAKVKMAELVKAHSKALMPSFGDGKEDQRAIEGLTKEITDLLRKSEKRLQKLSSNGSSEDSNLRKNVQRSLATDLQSLSMDLRRKQSTYLRRLQQQKEDGVDLELNLNENRNRLEDSEFSDVGFNEHQMSKLKQSEFSTLEREREITQVVKSVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVATSVEEGFKQLQK >EOX94044 pep chromosome:Theobroma_cacao_20110822:1:19469134:19471498:1 gene:TCM_003127 transcript:EOX94044 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MENPEGDHNPLEIHDLEDDDEFENENPFHEDGPXXXSLENYFEWKPMAENRKVLFVKLKLKGTALQWWKRVEEQRARQGKLKISTWEHMKSKLRKQFLPADYTMELYEKFHCLKQNNMTVEEYTSEFNNLSIRVGLAESNEQITSRYLAGLNHSIRDEMGVVRLYNIEDARQYALSAEKRVLRYGARKPLYGTHWQNNSEARRGYPTSQQNYQGAATINKTNKGATNVEKNDKGKSIMPYGGQNSSGSSTNKGGSNSHIRCFTCGEKGHISFACPQRRVNLAELGEELEPVYDEYEEEVEEIDVYPAQGESLVVRRVMTTTVNEEAEDWKRRSIFRTRVVCEGKVCDLVIDGGSMENIISKEAVNKLKLPTNKHPYPYKIGWLKKGHEVPVTTQCLVKFTMGNNLDDEALCDVVPMDVGHILVGRPWLYDHDMVHKTKPNTYSFYKNNKRYTLYPLREETKKSANNKISKITGYLSAENFEAEGSEMGITYALVTKHLKSDQMSKSPQYPTEIQQLLKEFGELFNEDLPKSLPPLRSIQHAIDLVP >EOX93966 pep chromosome:Theobroma_cacao_20110822:1:17892862:17895698:-1 gene:TCM_002984 transcript:EOX93966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alba DNA/RNA-binding protein isoform 5 MDRYQKVEKPKPESPINENEIRITSQGAIRNYINYAIALLQDKRVKEIVLKAMGQAISKTVAIAEIIKKRIPRLHQDTAISSVSITDVWEPIEEGLVPVEMTRHVSMISITLSARELNKNSAGYQAPHYVEQPKPQYHYQQQQPPKQARIPYNAVNEDSYGQGRGRGRGRGRNWARGGYGNYQDNGGYSNWGRGGGRGRGWGYRGSGYERGRGGGGRGYSRGRGRMGGGRSRGG >EOX93969 pep chromosome:Theobroma_cacao_20110822:1:17892862:17895698:-1 gene:TCM_002984 transcript:EOX93969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alba DNA/RNA-binding protein isoform 5 LANSLRFLFLVCLFCFKAFTLPLSLCCFVLLLGFNQGFLLLPFPSISTNKQPMDRYQKVEKPKPESPINENEIRITSQGAIRNYINYAIALLQDKRVKEIVLKAMGQAISKTVAIAEIIKVGFLFYHPCILFSHFPGLVICLVKQKRIPRLHQDTAISSVSITDVWEPIEEGLVPVEMTRHVSMISITLSARELNKNSAGYQAPHYVEQPKPQYHYQQQQPPKQARIPYNAVNEDSYGQGRGRGRGRGRNWARGGYGNYQDNGGYSNWGRGGGRGRGWGYRGSGYERGRGGGGRGYSRGRGRMGGGRSRGG >EOX93968 pep chromosome:Theobroma_cacao_20110822:1:17892430:17895726:-1 gene:TCM_002984 transcript:EOX93968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alba DNA/RNA-binding protein isoform 5 PGVQARSKGLANSLRFLFLVCLFCFKAFTLPLSLCCFVLLLGFNQGFLLLPFPSISTNKQPMDRYQKVEKPKPESPINENEIRITSQGAIRNYINYAIALLQDKRVKEIVLKAMGQAISKTVAIAEIIKKRIPRLHQDTAISSVSITDVWEPIEEGLVPVEMTRHVSMISITLSARELNKNSAGYQAPHYVEQPKPQYHYQQQQPPKQARIPYNAVNEDSYGQGRGRGRGRGRNWARGGYGNYQDNGGYSNWGRGGGRGRGWGYRGSSGYERGRGGGGRGYSRGRGRMGGGRSRGGGRSRGGGY >EOX93970 pep chromosome:Theobroma_cacao_20110822:1:17892432:17894498:-1 gene:TCM_002984 transcript:EOX93970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alba DNA/RNA-binding protein isoform 5 KRIPRLHQDTAISSVSITDVWEPIEEGLVPVEMTRHVSMISITLSARELNKNSAGYQAPHYVEQPKPQYHYQQQQPPKQARIPYNAVNEDSYGQGRGRGRGRGRNWARGGYGNYQVRPYLILRSFLKDNGGYSNWGRGGGRGRGWGYRGSGYERGRGGGGRGYSRGRGRMGGGRSRGGGRSRGGGY >EOX93965 pep chromosome:Theobroma_cacao_20110822:1:17892705:17901824:-1 gene:TCM_002984 transcript:EOX93965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alba DNA/RNA-binding protein isoform 5 MDRYQKVEKPKPESPINENEIRITSQGAIRNYINYAIALLQDKRVKEIVLKAMGQAISKTVAIAEIIKKRIPRLHQDTAISSVSITDVWEPIEEGLVPVEMTRHVSMISITLSARELNKNSAGYQAPHYVEQPKPQYHYQQQQPPKQARIPYNAVNEDSYGQGRGRGRGRGRNWARGGYGNYQDNGGYSNWGRGGGRGRGWGYRGSGYERGRGGGGRGYSRGRGRMGGGRSRGGGRSRGGGY >EOX93967 pep chromosome:Theobroma_cacao_20110822:1:17892862:17895954:-1 gene:TCM_002984 transcript:EOX93967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alba DNA/RNA-binding protein isoform 5 LANSLRFLFLPMDRYQKVEKPKPESPINENEIRITSQGAIRNYINYAIALLQDKRVKEIVLKAMGQAISKTVAIAEIIKKRIPRLHQDTAISSVSITDVWEPIEEGLVPVEMTRHVSMISITLSARELNKNSAGYQAPHYVEQPKPQYHYQQQQPPKQARIPYNAVNEDSYGQGRGRGRGRGRNWARGGYGNYQDNGGYSNWGRGGGRGRGWGYRGSGYERGRGGGGRGYSRGRGRMGGGRSRGG >EOX91538 pep chromosome:Theobroma_cacao_20110822:1:3014837:3018278:-1 gene:TCM_000687 transcript:EOX91538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease, putative isoform 1 MDEKLDTAEKKVLVEIVKLVQKRGLKGTEGGWKEFLNSYDKKFGASLSDPSRRSNDVLVSFLKTFTKANDLKLFDRMLQGHLNRATVNQFGKQSLGNESPEQRLVRLTLEHPQYPLDYTFPTGDEGWIITKLPKKSGMLRSNAMVAVDCEMVLCEDGTEALVRVCVVDRDLQVKIDKLVNPNKAVADYRTEITGVAAGDLDCVTCSVADIQQSMKKLLSNGTILVGHSLHNDLQVLKIDHARVIDTSYIFKYLDASISKRPSLNNLCKSVLGYEVRKPGAAHNCLDDACAAMKLVLAKLEHGDIPLVQEDVPQAEMEKLLLHRIPINVPREEISRVIPGDVAIEMKPSKKAQGRHYAVFAVFNSPQEANRAFENIEGNEEKDSSGLPQKLVTFQFGKGVTACMYIRKMAQDDSQCQVLSNKRAFQGEEKSIESKRLKTDQKP >EOX91536 pep chromosome:Theobroma_cacao_20110822:1:3013559:3018278:-1 gene:TCM_000687 transcript:EOX91536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease, putative isoform 1 MDEKLDTAEKKVLVEIVKLVQKRGLKGTEGGWKEFLNSYDKKFGASLSDPSRRSNDVLVSFLKTFTKANDLKLFDRMLQGHLNRATVNQFGKQSLGNESPEQRLVRLTLEHPQYPLDYTFPTGDEGWIITKLPKKSGMLRSNAMVAVDCEMVLCEDGTEALVRVCVVDRDLQVKIDKLVNPNKAVADYRTEITGVAAGDLDCVTCSVADIQQSMKKLLSNGTILVGHSLHNDLQVLKIDHARVIDTSYIFKYLDASISKRPSLNNLCKSVLGYEVRKPGAAHNCLDDACAAMKLVLAKLEHGDIPLVQEDVPQAEMEKLLLHRIPINVPREEISRVIPGDVAIEMKPSKKAQGRHYAVFAVFNSPQEANRAFENIEGNEEKDSSGLPQKLVTFQFGKGVTACMYIRKMAQDDSQCQVLSNKRAFQGEEKSIESKRLKTDQKPVEETMVNFNQLDDHLRD >EOX91537 pep chromosome:Theobroma_cacao_20110822:1:3012436:3018278:-1 gene:TCM_000687 transcript:EOX91537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease, putative isoform 1 MDEKLDTAEKKVLVEIVKLVQKRGLKGTEGGWKEFLNSYDKKFGASLSDPSRRSNDVLVSFLKTFTKANDLKLFDRMLQGHLNRATVNQFGKQSLGNESPEQRLVRLTLEHPQYPLDYTFPTGDEGWIITKLPKKSGMLRSNAMVAVDCEMVLCEDGTEALVRVCVVDRDLQVKIDKLVNPNKAVADYRTEITGVAAGDLDCVTCSVADIQQSMKKLLSNGTILVGHSLHNDLQVLKIDHARVIDTSYIFKYLDASISKRPSLNNLCKSVLGYEVRKPGAAHNCLDDACAAMKLVLAKLEHGDIPLVQEDVPQAEMEKLLLHRIPINVPREEISRVIPGDVAIEMKPSKKAQGRHYAVFAVFNSPQEANRAFENIEGNEEKDSSGLPQKLVTFQFGKGVTACMYIRKMAQDDSQCQVLSNKRAFQGEEKSIESKRLKTDQKPVEETMVNFNQLDDHLRD >EOX92442 pep chromosome:Theobroma_cacao_20110822:1:6856797:6861431:-1 gene:TCM_001392 transcript:EOX92442 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglucosaminylphosphatidylinositol de-N-acetylase family protein isoform 1 MGWILIIVSILVVWVASLCKIFLAPSSHSKPTFLDDGPAFRKRNVLLVVAHPDDESMFFSPTISYLTSRGHNLYLLCLSVGNADGIGSIRKDELYRACAVHKVQLQQVKVFDHPDLQDGFGHVWNHDLLAKIIEEEAYSHGIDVLITFDSYGVSGHCNHGDVHYGVRKFLHDSSPRNIEAWELKLQVFSKVVASSQAKGKNP >EOX92441 pep chromosome:Theobroma_cacao_20110822:1:6855815:6861524:-1 gene:TCM_001392 transcript:EOX92441 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglucosaminylphosphatidylinositol de-N-acetylase family protein isoform 1 MGWILIIVSILVVWVASLCKIFLAPSSHSKPTFLDDGPAFRKRNVLLVVAHPDDESMFFSPTISYLTSRGHNLYLLCLSVGNADGIGSIRKDELYRACAVHKVQLQQVKVFDHPDLQDGFGHVWNHDLLAKIIEEEAYSHGIDVLITFDSYGVSGHCNHGDVHYGVRKFLHDSSPRNIEAWELVSINILRKYSGPLDIWLSNLDSMRHPRGVMHCLLNEHLRKSFLAMAQHSSQWVWFRKLFVSFSSYTYVNTLRKMK >EOX92443 pep chromosome:Theobroma_cacao_20110822:1:6855815:6861524:-1 gene:TCM_001392 transcript:EOX92443 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglucosaminylphosphatidylinositol de-N-acetylase family protein isoform 1 MGWILIIVSILVVWVASLCKIFLAPSSHSKPTFLDDGPAFRKRNVLLVVAHPDDESMFFSPTISYLTSRGHNLYLLCLSVGNADGIGSIRKDELYRACAVHKVQLQQVKVFDHPDLQDGFGHVWNHDLLAKIIEEEAYSHGIDVLITFDSYGVSGHCNHGDVHYGQVLA >EOX92444 pep chromosome:Theobroma_cacao_20110822:1:6855421:6861585:-1 gene:TCM_001392 transcript:EOX92444 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglucosaminylphosphatidylinositol de-N-acetylase family protein isoform 1 MGWILIIVSILVVWVASLCKIFLAPSSHSKPTFLDDGPAFRKRNVLLVVAHPDDESMFFSPTISYLTSRGHNLYLLCLSVGNADGIGSIRKDELYRACAVHKVQLQQVKVFDHPDLQDGFGHVWNHDLLAKIIEEEAYSHGIDVLITFDSYGVSGHCNHGDVHYGVRKFLHDSSPRNIEAWELVSINILRKYSGPLDIWLSNLDSMRHPRGVMHCLLNEHLRKSFLAMAQHSSQWVWFRKLFVSFSSYTYVNTLRKMK >EOX95233 pep chromosome:Theobroma_cacao_20110822:1:33456037:33458995:-1 gene:TCM_004784 transcript:EOX95233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ with tetratricopeptide repeat isoform 1 MAVSPCLSGSDQKKHWWLSNRKLVDKYIKDARCLIATQEQNEIASALHLLDAALALSPRFEIALELKARSLLYLRRFKDVADMLQDYIPSLKMSSEDSGSVSSDNSSQQLSRERVKLLPSNNSSSDSPGRDPSFKCFSVSDLKRKVMAGLCKNCEKEGQWRYLVLGQACCHLGLMEDAMVLLQTGKRLASAAFRRESICWSDDSFSLPTTINTSDISSATTPPSTPPRNPTSLSESENISQLLSHIKLLIRRRTAAIAALDAGLYSEAIRHFSKIVDGRRPAPQGFLAECYMHRASAYKASGRIAESISDCNKTLALDPTCIQALDTRALLLETIRCLPDCLHDLEHLKLLYNSILRDRKLPGPAWKRHNVRYREIPGKLCALTTKIQQLKQRVASGETGNVDYYTLIGLRRGCSRSELERAHLLLCLRHKPDKATNFIDRCEFADERDLDSVKDRAKMSALLLYRLLQKGYSSVMTTIMDEEAAEKQRKKAAAALQAAQAAIQVQQTQYSNSTTEPETSPASSTNSSDVSGCNNRVNSSENKATTTTSNTNVFQGVFCRDLATVGNLLSQVGFNRPLPVKYEALSC >EOX95234 pep chromosome:Theobroma_cacao_20110822:1:33455832:33459408:-1 gene:TCM_004784 transcript:EOX95234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ with tetratricopeptide repeat isoform 1 MAVSPCLSGSDQKKHWWLSNRKLVDKYIKDARCLIATQEQNEIASALHLLDAALALSPRFEIALELKARSLLYLRRFKDVADMLQDYIPSLKMSSEDSGSVSSDNSSQQLSRERVKLLPSNNSSSDSPGRDPSFKCFSVSDLKRKVMAGLCKNCEKEGQWRYLVLGQACCHLGLMEDAMVLLQTGKRLASAAFRRESICWSDDSFSLPTTINTSDISSATTPPSTPPRNPTSLSESENISQLLSHIKLLIRRRTAAIAALDAGLYSEAIRHFSKIVDGRRPAPQGFLAECYMHRASAYKASGRIAESISDCNKTLALDPTCIQALDTRALLLETIRCLPDCLHDLEHLKLLYNSILRDRKLPGPAWKRHNVRYREIPGKLCALTTKIQQLKQRVASGETGNVDYYTLIGLRRGCSRSELERAHLLLCLRHKPDKATNFIDRCEFADERDLDSVKDRAKMSALLLYRLLQKGYSSVMTTIMDEEAAEKQRKKAAAALQAAQAAIQVQQTQYSNSTTEPETSPASSTNSSDVSGCNNRVNSSENKATTTTSNTNVFQGVFCRDLATVGNLLSQVGFNRPLPVKYEALSC >EOX93202 pep chromosome:Theobroma_cacao_20110822:1:11143089:11144353:1 gene:TCM_002034 transcript:EOX93202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSETMSGPTDWAQFYQQNLSVQEAPNRGRVVSSESAFGDQGSDATVVTTTITSSSAPSPLASGPAGSSAGHLSPEGRVSKPLRRRSRASRRTPTTLLNTDTTNFRAMVQQFTGGPSAPFPGHSGGPNFGFGFGGRQPHVNPGSLMIPPGGFHLQYQQQQQPQQQHQFQQQNQPYMFSLSSNNPGAGDLFLQRLGGNPRPNMEGSDGFVVEGASSQVPPSRTPSSNENRSNATFMF >EOX91595 pep chromosome:Theobroma_cacao_20110822:1:3229819:3236907:1 gene:TCM_000733 transcript:EOX91595 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein with ARM repeat domain isoform 1 MEISLLKALLSNISSFLNLSSSENINSEPVQKYYQRAEEVLKLLKPILNAIVDSEITSDEVLSKAFEGLGLSVEELREQFESWQPLLSKVYFVLQVESLISNIRNSSLDIFQFLKSSHQQLPDELSSASLEHCLQKIKHVGYEQTSSVIREAIRDQVDSVGPSSEMLVKIAESLSLSSNQEILIEAVALEKLKENAEQAEKTTEAEFIDQMIALVTRMHDRLVLIKQSQSCSPVPIAADFCCPLSLELMTDPVIVASGQTYERAFIKKWIDLGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPVKSMSLNQPSPLLVHAESGLPRDSNSFPHSRSSQPVSPESRPTGSSGKNLIISSGLHQEGTSPLHPCSTSEGSLPGVAGNGECLDVARITLNSAEDRSNLEQENRDSVGQPSMSPSSIEFHSAGQSSQNHTRSDSASSTLSNSDFPRGVVGDANETSEGSTQLAAYSSDGSGEVKSDTQPAASSAIPQREPEFPPRLMDARSRSQTIWRRPSERFIPRIVSSPGIENRADLSGIETQVKKLVEDLKNTSVDTQRDATSELRLLAKHNMDNRVIIANCGAISLLVDLLHSPDTKTQENAVTALLNLSINDNNKSAIANADAIKPLIHVLETGSPEAKENSAATLFSLSVIEDNKVKIGRSGAIRPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVQAGAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQENGIPVLVEVVELGSARGKENAAAALLQLCTTNGKFCSKVLQEGAVPPLVALSQSGTPRAKEKAQALLSYFRTQRHGNAGRG >EOX91594 pep chromosome:Theobroma_cacao_20110822:1:3229817:3236880:1 gene:TCM_000733 transcript:EOX91594 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein with ARM repeat domain isoform 1 MEISLLKALLSNISSFLNLSSSENINSEPVQKYYQRAEEVLKLLKPILNAIVDSEITSDEVLSKAFEGLGLSVEELREQFESWQPLLSKVYFVLQVESLISNIRNSSLDIFQFLKSSHQQLPDELSSASLEHCLQKIKHVGYEQTSSVIREAIRDQVDSVGPSSEMLVKIAESLSLSSNQEILIEAVALEKLKENAEQAEKTTEAEFIDQMIALVTRMHDRLVLIKQSQSCSPVPIAADFCCPLSLELMTDPVIVASGQTYERAFIKKWIDLGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPVKSMSLNQPSPLLVHAESGLPRDSNSFPHSRSSQPVSPESRPTGSSGKNLIISSGLHQEGTSPLHPCSTSEGSLPGVAGNGECLDVARITLNSAEDRSNLEQENRDSVGQPSMSPSSIEFHSAGQSSQNHTRSDSASSTLSNSDFPRGVVGDANETSEGSTQLAAYSSDGSGEVKSDTQPAASSAIPQREPEFPPRLMDARSRSQTIWRRPSERFIPRIVSSPGIENRADLSGIETQVKKLVEDLKNTSVDTQRDATSELRLLAKHNMDNRVIIANCGAISLLVDLLHSPDTKTQENAVTALLNLSINDNNKSAIANADAIKPLIHVLETGSPEAKENSAATLFSLSVIEDNKVKIGRSGAIRPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVQAGAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQENGIPVLVEVVELGSARGKENAAAALLQLCTTNGKFCSKVLQEGAVPPLVALSQSGTPRAKEKAQALLSYFRTQRHGNAGRG >EOX91593 pep chromosome:Theobroma_cacao_20110822:1:3229391:3236612:1 gene:TCM_000733 transcript:EOX91593 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein with ARM repeat domain isoform 1 MEISLLKALLSNISSFLNLSSSENINSEPVQKYYQRAEEVLKLLKPILNAIVDSEITSDEVLSKAFEGLGLSVEELREQFESWQPLLSKVYFVLQVESLISNIRNSSLDIFQFLKSSHQQLPDELSSASLEHCLQKIKHVGYEQTSSVIREAIRDQVDSVGPSSEMLVKIAESLSLSSNQEILIEAVALEKLKENAEQAEKTTEAEFIDQMIALVTRMHDRLVLIKQSQSCSPVPIAADFCCPLSLELMTDPVIVASGQTYERAFIKKWIDLGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPVKSMSLNQPSPLLVHAESGLPRDSNSFPHSRSSQPVSPESRPTGSSGKNLIISSGLHQEGTSPLHPCSTSEGSLPGVAGNGECLDVARITLNSAEDRSNLEQENRDSVGQPSMSPSSIEFHSAGQSSQNHTRSDSASSTLSNSDFPRGVVGDANETSEGSTQLAAYSSDGSGEVKSDTQPAASSAIPQREPEFPPRLMDARSRSQTIWRRPSERFIPRIVSSPGIENRADLSGIETQVKKLVEDLKNTSVDTQRDATSELRLLAKHNMDNRVIIANCGAISLLVDLLHSPDTKTQENAVTALLNLSINDNNKSAIANADAIKPLIHVLETGSPEAKENSAATLFSLSVIEDNKVKIGRSGAIRPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVQAGAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQENGIPVLVEVVELGSARGKENAAAALLQLCTTNGKFCSKVLQEGAVPPLVALSQSGTPRAKEKAQALLSYFRTQRHGNAGRG >EOX91596 pep chromosome:Theobroma_cacao_20110822:1:3229815:3236880:1 gene:TCM_000733 transcript:EOX91596 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein with ARM repeat domain isoform 1 MEISLLKALLSNISSFLNLSSSENINSEPVQKYYQRAEEVLKLLKPILNAIVDSEITSDEVLSKAFEGLGLSVEELREQFESWQPLLSKVYFVLQVESLISNIRNSSLDIFQFLKSSHQQLPDELSSASLEHCLQKIKHVGYEQTSSVIREAIRDQVDSVGPSSEMLVKIAESLSLSSNQEILIEAVALEKLKENAEQAEKTTEAEFIDQMIALVTRMHDRLVLIKQSQSCSPVPIAADFCCPLSLELMTDPVIVASGQTYERAFIKKWIDLGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPVKSMSLNQPSPLLVHAESGLPRDSNSFPHSRSSQPVSPESRPTGSSGKNLIISSGLHQEGTSPLHPCSTSEGSLPGVAGNGECLDVARITLNSAEDRSNLEQENRDSVGQPSMSPSSIEFHSAGQSSQNHTRSDSASSTLSNSDFPRGVVGDANETSEGSTQLAAYSSDGSGEVKSDTQPAASSAIPQREPEFPPRLMDARSRSQTIWRRPSERFIPRIVSSPGIENRADLSGIETQVKKLVEDLKNTSVDTQRDATSELRLLAKHNMDNRVIIANCGAISLLVDLLHSPDTKTQENAVTALLNLSINDNNKSAIANADAIKPLIHVLETGSPEAKENSAATLFSLSVIEDNKVKIGRSGAIRPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVQAGAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQENGIPVLVEVVELGSARGKENAAAALLQLCTTNGKFCSKVLQEGAVPPLVALSQSGTPRAKEKAQALLSYFRTQRHGNAGRG >EOX93765 pep chromosome:Theobroma_cacao_20110822:1:15594963:15608098:-1 gene:TCM_002681 transcript:EOX93765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAB97010.1 isoform 1 MSGARLCALLGELGYEAAGKLDPDSFEWPFQYDDARSILDWICSSLRPSNVLSLSELSQYEQFVQEGKLLEGEDLDFAYDSISAFSSRRDNQEAVFGAEEGLKDIRDATVAYKAEALELQKQLRHLQSQFDMLTGQASALIQGRRARVAATSTVNGHLTTIDDSLSGRNLQMNAVLGRIASTAQELAHYHSGDEEGIYLAYSDFHPYLVGDSSCIKELNQWFAKQLDTVPFRLVAEEGKSKCSWVSLDDVSNILVRDIEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMALKSQISLDEAHIHLDLHSLRRKHAELVGELSNLYHKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEFYISRQKAFINHLINQLARHQLLKVACQLEKKNMLGAYSLLKVIESELQGYLSATKGRVGHCLALIQAASDVQEQGAVDDRDTFLHGVRDLLSIHSNAQAGLSTYVSAPGIVQQISGLHSDLMALQSDLENSLPEDRNRRINELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHCKKNEIVKHHSQEVGLQRRVFVDFFCNPERLRSQVRELTARVRALQVS >EOX93764 pep chromosome:Theobroma_cacao_20110822:1:15594963:15608554:-1 gene:TCM_002681 transcript:EOX93764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAB97010.1 isoform 1 MSGARLCALLGELGYEAAGKLDPDSFEWPFQYDDARSILDWICSSLRPSNVLSLSELSQYEQFVQEGKLLEGEDLDFAYDSISAFSSRRDNQEAVFGAEEGLKDIRDATVAYKAEALELQKQLRHLQSQFDMLTGQASALIQGRRARVAATSTVNGHLTTIDDSLSGRNLQMNAVLGRIASTAQELAHYHSGDEEGIYLAYSDFHPYLVGDSSCIKELNQWFAKQLDTVPFRLVAEEGKSKCSWVSLDDVSNILVRADIEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMALKSQISLDEAHIHLDLHSLRRKHAELVGELSNLYHKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEFYISRQKAFINHLINQLARHQLLKVACQLEKKNMLGAYSLLKVIESELQGYLSATKGRVGHCLALIQAASDVQEQGAVDDRDTFLHGVRDLLSIHSNAQAGLSTYVSAPGIVQQISGLHSDLMALQSDLENSLPEDRNRRINELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHCKKNEIVKHHSQEVGLQRRVFVDFFCNPERLRSQVRELTARVRALQVS >EOX93766 pep chromosome:Theobroma_cacao_20110822:1:15594499:15608116:-1 gene:TCM_002681 transcript:EOX93766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAB97010.1 isoform 1 MLTGQASALIQGRRARVAATSTVNGHLTTIDDSLSGRNLQMNAVLGRIASTAQELAHYHSGDEEGIYLAYSDFHPYLVGDSSCIKELNQWFAKQLDTVPFRLVAEEGKSKCSWVSLDDVSNILVRADIEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMALKSQISLDEAHIHLDLHSLRRKHAELVGELSNLYHKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEFYISRQKAFINHLINQLARHQLLKVACQLEKKNMLGAYSLLKVIESELQGYLSATKGRVGHCLALIQAASDVQEQGAVDDRDTFLHGVRDLLSIHSNAQAGLSTYVSAPGIVQQISGLHSDLMALQSDLENSLPEDRNRRINELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHCKKNEIVKHHSQEVGLQRRVFVDFFCNPERLRSQVRELTARVRALQVS >EOX91475 pep chromosome:Theobroma_cacao_20110822:1:2817447:2825383:-1 gene:TCM_000655 transcript:EOX91475 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein isoform 5 MKEEKDAGASKNADIEAQNWNSFLEKDLEAGLDSFDNLFCRRCLVFDCRLHGCSQDLIFPADKQTPWSHPDEENAPCGPHCYRLVLKSERNGTVSSPINTEEKSNSSSDGVGAQTSFRKKPSGPSARRKVKSCQSESASSNAKNLSESSDSEIGPRPEDSSSIPQLSPSKNKIAGKSGIRKRNSKRVAERVLVCMRKRQKKMAASDSDSVVSGGVMPADIKLRSNARKENEETMSSSQKNVKSPNTGWSRRKEWPLQEGLQGEVPEVPSSETINDLPRTSSNDGLRKEEFVDENICKQEASDHKSWKAIEKGLFEKGVEIFGRNSCLIARNLLNGLKTCWEVFQYMTCSDNKLACHAADGVISLLEGYSKFDLNGNMGNNEVRRRSRFLRRRGRVRRLKYTWKSAAYHSIRKRITERKDQPCRQYNPCSCQTACGKQCSCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTGSLGVPPQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERINAGEELFYDYRYEPDRAPAWARKPEASGSKKEDGAPSGRAKKLA >EOX91479 pep chromosome:Theobroma_cacao_20110822:1:2818401:2825192:-1 gene:TCM_000655 transcript:EOX91479 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein isoform 5 MAEKSSPSASANRSEAPKDSSMTQSEENNLTVKEVLSVIALLKKQVTVDRSVSVKKRLEENRQKVGGITSHLYKLSNERRSSWISDSDSASDLLTKRQKDALGMQNGIDVSNGDKDSHSYQEASTAVLMGSSIPVKNAVRPIKLTEVKKLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEEVIEEEEEKRDFVESEDFILRMTIKEVGLSDPVLESLAQCFSRSPPEVKARYETLMKEEKDAGASKNADIEAQNWNSFLEKDLEAGLDSFDNLFCRRCLVFDCRLHGCSQDLIFPADKQTPWSHPDEENAPCGPHCYRLVVLKSERNGTVSSPINTEEKSNSSSDGVGAQTSFRKKPSGPSARRKVKSCQSESASSNAKNLSESSDSEIGPRPEDSSSIPQLSPSKNKIAGKSGIRKRNSKRVAERVLVCMRKRQKKMAASDSDSVVSGGVMPADIKLRSNARKENEETMSSSQKNVKSPNTGWSRRKEWPLQEGLQGEVPEVPSSETINDLPRTSSNDGLRKEEFVDENICKQEASDHKSWKAIEKGLFEKGVEIFGRNSCLIARNLLNGLKTCWEVFQYMTCSDNKLACHAADGVISLLEGYSKFDLNGNMGNNEVRRRSRFLRRRGRVRRLKYTWKSAAYHSIRKRITERKDQPCRQYNPCSCQTACGKQCSCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTGSLGVPPQRGDNYECRNMKLLLKQQQRGLAWKI >EOX91477 pep chromosome:Theobroma_cacao_20110822:1:2817466:2825192:-1 gene:TCM_000655 transcript:EOX91477 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein isoform 5 MAEKSSPSASANRSEAPKDSSMTQSEENNLTVKEVLSVIALLKKQVTVDRSVSVKKRLEENRQKVGGITSHLYKLSNERRSSWISDSDSASDLLTKRQKDALGMQNGIDVSNGDKDSHSYQEASTAVLMGSSIPVKNAVRPIKLTEVKKLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEEVIEEEEEKRDFVESEDFILRMTIKEVGLSDPVLESLAQCFSRSPPEVKARYETLMKEEKDAGASKNADIEAQNWNSFLEKDLEAGLDSFDNLFCRRCLVFDCRLHGCSQDLIFPADKQTPWSHPDEENAPCGPHCYRLVLKSERNGTVSSPINTEEKSNSSSDGVGAQTSFRKKPSGPSARRKVKSCQSESASSNAKNLSESSDSEIGPRPEDSSSIPQLSPSKNKIAGKSGIRKRNSKRVAERVLVCMRKRQKKMAASDSDSVVSGGVMPADIKLRSNARKENEETMSSSQKNVKSPNTGWSRRKEWPLQEGLQGEVPEVPSSETINDLPRTSSNDGLRKEEFVDENICKQEASDHKSWKAIEKGLFEKGVEIFGRNSCLIARNLLNGLKTCWEVFQYMTCSDNKLACHAADGVISLLEGYSKFDLNGNMGNNEVRRRSRFLRRRGRVRRLKYTWKSAAYHSIRKRITERKDQPCRQYNPCSCQTACGKQCSCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTGSLGVPPQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVHNRLPLHGRKDLVVLCFSHFLLLLLCRTSGAPFRCLCHYLVHAQAQPLSCRSLWLQGIIGWVYLPKNELMQERNFSMTIVMSLTGLLLGLESLRHLGPKKRMVLQVDVLRSLLNLTIYLHPKFKFFAILSQVEGSFSLIDDRKGECSIILLEGPLSSPLITYLIFAFNSSLLPD >EOX91474 pep chromosome:Theobroma_cacao_20110822:1:2817109:2825432:-1 gene:TCM_000655 transcript:EOX91474 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein isoform 5 MAEKSSPSASANRSEAPKDSSMTQSEENNLTVKEVLSVIALLKKQVTVDRSVSVKKRLEENRQKVGGITSHLYKLSNERRSSWISDSDSASDLLTKRQKDALGMQNGIDVSNGDKDSHSYQEASTAVLMGSSIPVKNAVRPIKLTEVKKLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEEVIEEEEEKRDFVESEDFILRMTIKEVGLSDPVLESLAQCFSRSPPEVKARYETLMKEEKDAGASKNADIEAQNWNSFLEKDLEAGLDSFDNLFCRRCLVFDCRLHGCSQDLIFPADKQTPWSHPDEENAPCGPHCYRLVLKSERNGTVSSPINTEEKSNSSSDGVGAQTSFRKKPSGPSARRKVKSCQSESASSNAKNLSESSDSEIGPRPEDSSSIPQLSPSKNKIAGKSGIRKRNSKRVAERVLVCMRKRQKKMAASDSDSVVSGGVMPADIKLRSNARKENEETMSSSQKNVKSPNTGWSRRKEWPLQEGLQGEVPEVPSSETINDLPRTSSNDGLRKEEFVDENICKQEASDHKSWKAIEKGLFEKGVEIFGRNSCLIARNLLNGLKTCWEVFQYMTCSDNKLACHAADGVISLLEGYSKFDLNGNMGNNEVRRRSRFLRRRGRVRRLKYTWKSAAYHSIRKRITERKDQPCRQYNPCSCQTACGKQCSCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTGSLGVPPQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERINAGEELFYDYRYEPDRAPAWARKPEASGSKKEDGAPSGRAKKLA >EOX91478 pep chromosome:Theobroma_cacao_20110822:1:2817447:2825360:-1 gene:TCM_000655 transcript:EOX91478 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein isoform 5 MAEKSSPSASANRSEAPKDSSMTQSEENNLTVKEVLSVIALLKKQVTVDRSVSVKKRLEENRQKVGGITSHLYKLSNERRSSWISDSDSASDLLTKRQKDALGMQNGIDVSNGDKDSHSYQEASTAVLMGSSIPVKNAVRPIKLTEVKKLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEEVIEEEEEKRDFVESEDFILRMTIKEVGLSDPVLESLAQCFSRSPPEVKARYETLMKEEKDAGASKNADIEAQNWNSFLEKDLEAGLDSFDNLFCRRCLVFDCRLHGCSQDLIFPADKQTPWSHPDEENAPCGPHCYRLVLKSERNGTVSSPINTEEKSNSSSDGVGAQTSFRKKPSGPSARRKVKSCQSESASSNAKNLSESSDSEIGPRPEDSSSIPQLSPSKNKIAGKSGIRKRNSKRVAERVLVCMRKRQKKMAASDSDSVVSGGVMPADIKLRSNARKENEETMSSSQKNVKSPNTGWSRRKEWPLQEGLQGEVPEVPSSETINDLPRTSSNDGLRKEEFVDENICKQEASDHKSWKAIEKGLFEKGVEIFGRNSCLIARNLLNGLKTCWEVFQYMTCSDNKLACHAADGVISLLEGYSKFDLNGNMGNNEVRRRSRFLRRRGRVRRLKYTWKSAAYHSIRKRITERKDQPCRQYNPCSCQTACGKQCSCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTGSLGVPPQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKCWQA >EOX91476 pep chromosome:Theobroma_cacao_20110822:1:2816548:2825759:-1 gene:TCM_000655 transcript:EOX91476 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein isoform 5 MAEKSSPSASANRSEAPKDSSMTQSEENNLTVKEVLSVIALLKKQVTVDRSVSVKKRLEENRQKVGGITSHLYKLSNERRSSWISDSDSASDLLTKRQKDALGMQNGIDVSNGDKDSHSYQEASTAVLMGSSIPVKNAVRPIKLTEVKKLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEEVIEEEEEKRDFVESEDFILRMTIKEVGLSDPVLESLAQCFSRSPPEVKARYETLMKEEKDAGASKNADIEAQNWNSFLEKDLEAGLDSFDNLFCRRCLVFDCRLHGCSQDLIFPADKQTPWSHPDEENAPCGPHCYRLVLKSERNGTVSSPINTEEKSNSSSDGVGAQTSFRKKPSGPSARRKVKSCQSESASSNAKNLSESSDSEIGPRPEDSSSIPQLSPSKNKIAGKSGIRKRNSKRVAERVLVCMRKRQKKMAASDSDSVVSGGVMPADIKLRSNARKENEETMSSSQKNVKSPNTGWSRRKEWPLQEGLQGEVPEVPSSETINDLPRTSSNDGLRKEEFVDENICKQEASDHKSWKAIEKGLFEKGVEIFGRNSCLIARNLLNGLKTCWEVFQYMTCSDNKLACHAADGVISLLEGYSKFDLNGNMGNNEVRRRSRFLRRRGRVRRLKYTWKSAAYHSIRKRITERKDQPCRQYNPCSCQTACGKQCSCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTGSLGVPPQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERINAGEELFYDYRYEPDRAPAWARKPEASGSKKEDGAPSGRAKKLA >EOX95147 pep chromosome:Theobroma_cacao_20110822:1:33049210:33052664:-1 gene:TCM_004701 transcript:EOX95147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MECLNHIQLDEEMEDKLIWKSMLSGHYTPNSFSELALGNNGSEEVLWREMWARLAPPKVEVFVWQLMKGRIVCKEELVKRNLLHREAAVCNVCNNDVESINHLFFICRAVWDVWCMWLADWGNISCFPGDAPAFFLAWNNCPVDIARRKVWRMSFFTIVWSIWLYKNKMVFDGLTWDACKVLEIIKIRMAWWVKSKWPQDNLDTLKIVRFSLLVAIPTKRDKVKVQVQWKIPPNGWLKFNTDGAARGYPGPLGIWGVLRNEKGMVKMLFSKTEDWDDANLMEMLAIQEALILFMVTDWCHPFGLIIETDSINAVTWVSKPLSSPWRLRNLVLKIKALLSKIPKWQIIHTPRYGNELADSLTELGVERATDLLQVIP >EOX95369 pep chromosome:Theobroma_cacao_20110822:1:33930495:33931663:-1 gene:TCM_004883 transcript:EOX95369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIESISPLIASTISYMNSTCQIWPTLKKRFSQPDDAQICHLQFILSNTNQGTKGIDAYFTKLNALWEELRIFRPSPSCDCGTYNERCFEKYINQYEKDMVFKFLNGLNESFAALRSQLLLMKPFPTLDEAYNLVLRKETQRSITHTQIFHESSAMATIIDGRKKEKFVSVVVCSYCGKP >EOX91499 pep chromosome:Theobroma_cacao_20110822:1:2915552:2919694:1 gene:TCM_000668 transcript:EOX91499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase family protein isoform 4 MDSILHTESLSLTRLRAASTSTSTATIHHMPCQLIFRPVHRLLLPISAVTAGSGSRRASTSVVAANGTLTANSVSPTGGVYTVGDFMTRKENLHVVKPTTTVDEALETLVEHRITGFPVIDDDWKLVGLVSDYDLLALDSISGRRTENDMFPEVDSTWKTFHELQKLLSKTNGQLVGDLMTPAPVVVRETTNLEDAARLLLETKYRRLPVVDVEGKLVGIITRGNVVRAALQIKRAIEGEA >EOX91500 pep chromosome:Theobroma_cacao_20110822:1:2915412:2919554:1 gene:TCM_000668 transcript:EOX91500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase family protein isoform 4 MDSILHTESLSLTRLRAASTSTSTATIHHMPCQLIFRPVHRLLLPISAVTAGSGSRRASTSVVAANGTLTANSVSPTGGVYTVGDFMTRKENLHVVKPTTTVDEALETLVEHRITGFPVIDDDWKLVGLVSDYDLLALDSISGRRTENDMFPEVDSTWKTFHELQKMLLDYCSRQNIVDFQLLMLRVSWSVSSQEEML >EOX91502 pep chromosome:Theobroma_cacao_20110822:1:2915552:2919694:1 gene:TCM_000668 transcript:EOX91502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase family protein isoform 4 MDSILHTESLSLTRLRAASTSTSTATIHHMPCQLIFRPVHRLLLPISAVTAGSGSRRASTSVVAANGTLTANSVSPTGGVYTVGDFMTRKENLHVVKPTTTVDEALETLVEHRITGFPVIDDDWKLVGLVSDYDLLALDSISVDSTWKTFHELQKLLSKTNGQLVGDLMTPAPVVVRETTNLEDAARLLLETKYRRLPVVDVEGKLVGIITRGNVVRAALQIKRAIEGEA >EOX91501 pep chromosome:Theobroma_cacao_20110822:1:2915412:2919554:1 gene:TCM_000668 transcript:EOX91501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase family protein isoform 4 MDSILHTESLSLTRLRAASTSTSTATIHHMPCQLIFRPVHRLLLPISAVTAGSGSRRASTSVVAANGTLTANSVSPTGGVYTVGDFMTRKENLHVVKPTTTVDEALETLVEHRITGFPVIDDDWKLVGLVSDYDLLALDSISVDSTWKTFHELQKMLLDYCSRQNIVDFQLLMLRVSWSVSSQEEML >EOX92110 pep chromosome:Theobroma_cacao_20110822:1:5307051:5311876:1 gene:TCM_001113 transcript:EOX92110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein, putative MKKSLQMAQPRSPGGSDAGGSDHQAYDQSIIVPNKLITVADSLERKELSWFATSQIPTDLAIQVQEAIFNVHKYPLVSKSGYLGQLELQPSISNFGYDLKLENFPGGSETFEIILKFCYGLPVDLNPNNIAALRCASEYLEMTEEFEDGNLIAKTEAFLTFVVLASWKDTITVLKSCETLSPWAENLQIVRRCCDSIAYKASRENSSTGDIVSEETWWFSDVATLRIDHFMRIMTAIKAKGTKAEIIGKCIMNYADNWLPDMDEELEGLRGYGLGKSELQFSILRRKEEEGAGQNKEQKTIIESLVSILPSQHGAVSCKFLLQMLKTAMVYSASPALISDLEKRVGMMLEDASVNDLLIPNYKNEDQGIMVNSPEQRTMHNVEVVQRILDYFLMHEQRQQQQQQKIGKTNVSKLLDNYLAEIARDPYLSITKFQVLAESLPENARSCDDGLYRAIDTYLKTHPSLSEHDRRRLCKIMNSEKLSFDACMHAAQNDRLPLRTIVQVLFLEQAKMRMATQGKETLTREENSEQEEHRQSTNTEIKNLKSELENVKTKMTELQNDYSDLQQEYEKISNKHKNASSWSLNWRKIKNSFHVKPENDDNGDGQQRSNPAGFRLSFRRRRSAS >EOX90857 pep chromosome:Theobroma_cacao_20110822:1:826086:827779:-1 gene:TCM_000211 transcript:EOX90857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIMCKQSRLQLVNVRTMPGSAMVIASSAADGTKRFFPVSEDRRARRKQAEEELNRKEGKSNKIRNRSVMEAAIKIPHSSTMAIASTADHTKKFFPVTEERRARRDQAEEELNRKEGKSNKIRNSSVMQAAIKTPHSSALAIASTADDTKKFFPTSEERRDRRKQAEEELNRKEGKSIKIRNSSVMEGAIKIRHSSAMTMPSTADGIKKFFPVNEERRARRRQAEEELNRKEGKSIKIRNSSVMEGAIKIRHSSAMTMPSTADGIKKFFPVSEERRARRKQAEEELNRKEGMSNKIRNSSVMQGAIRIRHSSAMAIASTADGTNKCSFLEYQVSEERKAKRKQAEEELNLRGDKRIKIQSVAEDFKLSKTLAPLSLPLVKSNTDSDHASIEGTNNKGQRGVQIDGLPNQINAVNPTVEEGFLVGGISKCQREDDGNFYISLHENLFSDQIYDFNLDSDSPEDLLVGSVCAMESQVLANDQEINIVSDEFNHFENDSWINALL >EOX93344 pep chromosome:Theobroma_cacao_20110822:1:12200498:12233422:-1 gene:TCM_002185 transcript:EOX93344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MEEFSTGTEPRVPAVKPDSKPSSFEEVPPLLEAATDVTAAAAVEVAEVDKDLLCPICMQIIKDAFLTSCGHSFCYMCIITHLRNKSDCPCCSQFLTNNQLFPNFLLDKLLKKTSSRQISKTASPVEQFRQALQQGYEVSIKELDNLLSLLAEKKRKMEQEEAERNMQILLDFLNCLRKQKVDELNEVQSDLQFIKEDINSVERHRIDLYRARDRYSVKLRMLGDDSGTGKPWPSSIDKNSSGIVSSSLNVRGGMSAGYLQNKKIDGKAQVSGHGPQRKDALSGADSQGFNQSGLSIARKKRVHVQFNDLQECYLQKRRQSANQLHVKQESDKNVIHREGYNAGLADFQSVLSTFTRYSRLRVIAELRLGDIFHSANIVSSIEFNRDDELFATAGVSRRIKVFDFSMVLNDQADVHCPVVEMSTRSKLSCLSWNKYSKNHIASSDYEGIVTVWDVQTRQSLMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASVLNIDMKANICCVKYNPGSSNYIAVGSADHHIHYYDLRNISSPLHVFSGHKKAVSYVKFLSENELASASTDSTLRLWDVKESLPLRTFRGHTNEKNFVGLTVNSEYIACGSEKNEVYVYHKEISKPVTWHRFGSQDMDDADEDAGSHFISAVCWKSDSPTMLTANSQGTIKVLVLAA >EOX93347 pep chromosome:Theobroma_cacao_20110822:1:12217480:12233258:-1 gene:TCM_002185 transcript:EOX93347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MEEFSTGTEPRVPAVKPDSKPSSFEEVPPLLEAATDVTAAAAVEVAEVDKDLLCPICMQIIKDAFLTSCGHSFCYMCIITHLRNKSDCPCCSQFLTNNQLFPNFLLDKLLKKTSSRQISKTASPVEQFRQALQQGYEVSIKELDNLLSLLAEKKRKMEQEEAERNMQILLDFLNCLRKQKVDELNEVQSDLQFIKEDINSVERHRIDLYRARDRYSVKLRMLGDDSGTGKPWPSSIDKNSSGIVSSSLNVRGGMSAGYLQNKKIDGKAQVSGHGPQRKDALSGADSQGFNQSGLSIARKKRVHVQFNDLQECYLQKRRQSANQLHVKQESDKNVIHREGYNAGLADFQSVLSTFTRYSRLRVIAELRLGDIFHSANIVSSIEFNRDDELFATAGVSRRIKVFDFSMVLNDQADVHCPVVEMSTRSKLSCLSWNKYSKNHIASSDYEGIVTVWDVQTRQSLMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASVLNIDMKANICCVKYNPGSSNYIAVGSADHHIHYYDLRNISSPLHVFSGHKKAVSYVKFLSENELASASTDSTLRLWDVKESLPLRTFRGHTNEKNFVGLTVNSEYIACGSEKNEVYVYHKVRNTATGNPHAC >EOX93345 pep chromosome:Theobroma_cacao_20110822:1:12216957:12233422:-1 gene:TCM_002185 transcript:EOX93345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MEEFSTGTEPRVPAVKPDSKPSSFEEVPPLLEAATDVTAAAAVEVAEVDKDLLCPICMQIIKDAFLTSCGHSFCYMCIITHLRNKSDCPCCSQFLTNNQLFPNFLLDKLLKKTSSRQISKTASPVEQFRQALQQGYEVSIKELDNLLSLLAEKKRKMEQEEAERNMQILLDFLNCLRKQKVDELNEVQSDLQFIKEDINSVERHRIDLYRARDRYSVKLRMLGDDSGTGKPWPSSIDKNSSGIVSSSLNVRGGMSAGYLQNKKIDGKAQVSGHGPQRKDALSGADSQGFNQSGLSIARKKRVHVQFNDLQECYLQKRRQSANQLHVKQESDKNVIHREGYNAGLADFQSVLSTFTRYSRLRVIAELRLGDIFHSANIVSSIEFNRDDELFATAGVSRRIKVFDFSMVLNDQADVHCPVVEMSTRSKLSCLSWNKYSKNHIASSDYEGIVTVWDVQTRQSLMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASVLNIDMKANICCVKYNPGSSNYIAVGSADHHIHYYDLRNISSPLHVFSGHKKAVSYVKFLSENELASASTDSTLRLWDVKESLPDLFHMVTRYHLVHLQLRTFRGHTNEKNFVGLTVNSEYIACGSEKNEVYVYHKEISKPVTWHRFGSQDMDDADEDAGSHFISAVCWKSDSPTMLTANSQGTIKVLVLAA >EOX93348 pep chromosome:Theobroma_cacao_20110822:1:12216957:12233205:-1 gene:TCM_002185 transcript:EOX93348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MEEFSTGTEPRVPAVKPDSKPSSFEEVPPLLEAATDVTAAAAVEVAEVDKDLLCPICMQIIKDAFLTSCGHSFCYMCIITHLRNKSDCPCCSQFLTNNQLFPNFLLDKLLKKTSSRQISKTASPVEQFRQALQQGYEVSIKELDNLLSLLAEKKRKMEQEEAERNMQILLDFLNCLRKQKVDELNEVQSDLQFIKEDINSVERHRIDLYRARDRYSVKLRMLGDDSGTGKPWPSSIDKNSSGIVSSSLNVRGGMSAGYLQNKKIDGKAQVSGHGPQRKDALSGADSQGFNQSGLSIARKKRVHVQFNDLQECYLQKRRQSANQLHVKQESDKNVIHREGYNAGLADFQSVLSTFTRYSSRLRVIAELRLGDIFHSANIVSSIEFNRDDELFATAGVSRRIKVFDFSMVLNDQADVHCPVVEMSTRSKLSCLSWNKYSKNHIASSDYEGIVTVWDVQTRQSLMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASVLNIDMKANICCVKYNPGSSNYIAVGSADHHIHYYDLRNISSPLHVFSGHKKAVSYVKFLSENELASASTDSTLRLWDVKESLPEISKPVTWHRFGSQDMDDADEDAGSHFISAVCWKSDSPTMLTANSQGTIKVLVLAA >EOX93346 pep chromosome:Theobroma_cacao_20110822:1:12216829:12232897:-1 gene:TCM_002185 transcript:EOX93346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MEQEEAERNMQILLDFLNCLRKQKVDELNEVQSDLQFIKEDINSVERHRIDLYRARDRYSVKLRMLGDDSGTGKPWPSSIDKNSSGIVSSSLNVRGGMSAGYLQNKKIDGKAQVSGHGPQRKDALSGADSQGFNQSGLSIARKKRVHVQFNDLQECYLQKRRQSANQLHVKQESDKNVIHREGYNAGLADFQSVLSTFTRYSRLRVIAELRLGDIFHSANIVSSIEFNRDDELFATAGVSRRIKVFDFSMVLNDQADVHCPVVEMSTRSKLSCLSWNKYSKNHIASSDYEGIVTVWDVQTRQSLMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASVLNIDMKANICCVKYNPGSSNYIAVGSADHHIHYYDLRNISSPLHVFSGHKKAVSYVKFLSENELASASTDSTLRLWDVKESLPLRTFRGHTNEKNFVGLTVNSEYIACGSEKNEVYVYHKEISKPVTWHRFGSQDMDDADEDAGSHFISAVCWKSDSPTMLTANSQGTIKVLVLAA >EOX94411 pep chromosome:Theobroma_cacao_20110822:1:28351702:28354676:-1 gene:TCM_003991 transcript:EOX94411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic ABC protein 4 MSFDEEESFEHTLLVVREVSVYKIPPRSTSGGYKCGEWLQSDKIWTGRLRVVSCKDRCEIRLEDPNSAELFAACFVHPGQRESFVEPALDSSRYFVLKIEDGHGKHAFIGLGFNERNEAFDFNVALSDHEKYVRRENEKETGETSESDSHIDIHPAVNHRLKEGETIRINVKNKPSSGTGMLSAAGLSGGLSGSGKPKPLGLAPPPTGAGKIRSPLPPPPNDPATARMTSTSQGVGLRAPKENARRTDPLTDFSQLERNLPATGSGSKKTTASGWAAF >EOX93124 pep chromosome:Theobroma_cacao_20110822:1:10699735:10713847:-1 gene:TCM_001972 transcript:EOX93124 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL Lipase/Acylhydrolase superfamily protein MARMNIPWIFFVQILVLASKSEAKVSAIIVFGDSTVDSGNNNYIPTIAKSNFEPYGRDFPGGSPTGRFCNGRLSPDFISEGFGLKPIIPAYLDPTLNISDFASGVCFASAATGYDNATADVLKVIPLWKEVEYYKEYQKKLRAYLGVQKANGIIAEALYLISIGTNDFLENYYALLDRQSHFTVQQYEDFLIGIAENFVTQIYDLGARKMSLTGLPPMGCLPVQRATNFEDPLNCVEERNKVALEFNGKLNVLVAKLNKELPGLKVFFADAYDLLLQLIQNPSQNGFEVAEEGCCGTGLFEMGILCNRHLTCTDANNFQR >EOX92641 pep chromosome:Theobroma_cacao_20110822:1:7892804:7896296:1 gene:TCM_001558 transcript:EOX92641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLRTFWVVAFIMDHNNHLHILTCLSAQSLMISSSLVLNGSLANDPNARLFGAACPRLDDVHPKAPLIIGLRCMQLAIMHLVSACSFGVWAFGVHDHVQYLVYSFLVLLLLVHGPGVPSLPIHVALQSLYGSALLVQLDFANVLSVKKPPIDGGLMLVVDGPKVDMCPYGMCGTSVCYWSEPFIKLYKSRDHGQ >EOX91971 pep chromosome:Theobroma_cacao_20110822:1:4687047:4689708:1 gene:TCM_001003 transcript:EOX91971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRLMNCKLFDSFGPSESGLGCYVRSLIQFISVHIYHCQSPFSLVALAPCLQSRNAELPCVPKFGQNGVTRTGSRS >EOX94357 pep chromosome:Theobroma_cacao_20110822:1:28096716:28101673:1 gene:TCM_003950 transcript:EOX94357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide transporter PTR3-A MSVSLPLCPAKLVDKLEKNVTQGKRRGPIIANSSLISSQVLATLRPYSSPAFIMAKVEEKGSVDGRDDYTEDGSVDLKGRPVLRSETGRWKACSFIVGYEVFERMAYYGIASNLVLYLTKKLHEGTVKSANNVNNWVGTVWMTPILGAYIADAHLGRYWTFVIASTIYLTGMSLLTLAVSVPALRPPSCGQGIKEENCHKRASDFQEGIFYCALYIIAIGTGGTKPNISTMGADQFDDFEPKERVQKLSFFNWWMFSIFFGTLFSNTFLIYIQDNVGWSLGYGLPTIGLLVSVLVFLVGTPFYRHKLPLGSPFTRIFQVLVAAVRKWNVPVPSDPKELHELSLEDYSKSTKSRIDYTPSLRFLDKAAVKSGQNSPWMLCTVTQVEETKQMGKMIPVLMVTFIPSTLLAQVGTLFVKQGTTLDCRMGPHFEIPPACLTAFVTIFMLITIAIYDRYFVPTVRRYTKNPRGITLIQRMGIGLTLQIIIMTTACFAERKRLSVVREHQKFGKNDTVPLSIFILLPQFALMGIADAFVEVAKLEFFYDQAPDGMKSLGTSYFTSSIGIGHFLGSFILTTVSNMTRRHGHGGWILDNLNVSHLDYYYAFLAALGFFNFLFFLIVAKHFVYNADVIHSERELQEAMETSLDKASPKRQASKTGRS >EOX91635 pep chromosome:Theobroma_cacao_20110822:1:3365222:3369589:1 gene:TCM_000760 transcript:EOX91635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein MVDLDQEETAPSSLNMSSKKKELLSTAMKRTSEWIFSQEIPSDVTVQVGGASFSLHKFPLVSKCGFIRKVVSESNDADVSVIEIPDVPGGADSFELAAKFCYGINFEISTENIAMLRCAAEYLEMTEDYAVGNLVGRTEAYLNEVALKSLAGAVSVLHTSENLLPLAEKVKLVSQCIDAIAYLACKESQFCISGRSESGNESAISSTISNSKPIVDWWAEDLAVLRIDIFQRVLVAMIARGFKQYALGPVLMLYAQKALRGLEIFGKGRKKIEPRQEHEKRVVLETIVSLLPREKNAMSVSFLSVLLRAAIYLETTVACRLDLEKRMALQLGQAVLDDLLIPSYSFTGDTLFDVDTVQRIMMNYLEYETEGTHFGYKEEDEYISPPPSDMERVGKLMESYLAEIASDRNLSVSKFIGLAELIPEQSRVTEDGMYRAIDIYLKAHPTISDLERKKVCSLMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDVMNGSISSGDSPAISSRVNLYTADSHPVSDELSSLKRENEDLKLELVKMKMRLKEIEKSSSVKSAVSSPMGIILPSSDKPPLPRKSFMNSVSKKLGRLYPFVRSDGVSPSSAKGRTRPSKDRRHSIS >EOX91453 pep chromosome:Theobroma_cacao_20110822:1:2764102:2765574:-1 gene:TCM_000645 transcript:EOX91453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase isoform 4 MSDRKTGLMADQLSNTTSIFGLRLWVVVGVCVGAAFVLLLFLISLWLASKRTKHKPSSKPKIPAVSKEIQEIKIDPPKPHRTQIQADPYPDPDPSTGIERQALLLPLEEESPTGYHGIHIEIGKDHRISYPGLSPHTSGEARGDYVAGVGPEVSHLGWGHWYTLRELEVSTNGFAHEKIIGEGGYGIVYHGVLEDNTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYDYVNNGNLEQWLHGDVGPCSPLTWEIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNPKVSDFGLAKLLGSDSSYITTRVMGTFGFVKYLLFAGRCDFVYLGTTNI >EOX91449 pep chromosome:Theobroma_cacao_20110822:1:2762542:2765907:-1 gene:TCM_000645 transcript:EOX91449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase isoform 4 TSGEARGDYVAGVGPEVSHLGWGHWYTLRELEVSTNGFAHEKIIGEGGYGIVYHGVLEDNTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYDYVNNGNLEQWLHGDVGPCSPLTWEIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNPKVSDFGLAKLLGSDSSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYGRPPEEVNLIEWLKKMVANRNPEGVLDPKLPEKPASRALKRALLIALRCVDPNAQKRPKMGHVVHMLEAEESPFKDDCRAGKDAGQACRDSPKKELIEKRVIESGGYEGAIPPNDA >EOX91450 pep chromosome:Theobroma_cacao_20110822:1:2762511:2765907:-1 gene:TCM_000645 transcript:EOX91450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase isoform 4 TKHKPSSKPKIPAVSKEIQEIKIDPPKPHRTQIQADPYPDPDPSTGIERQALLLPLEEESPTGYHGIHIEIGKDHRISYPGLSPHTSGEARGDYVAGVGPEVSHLGWGHWYTLRELEVSTNGFAHEKIIGEGGYGIVYHGVLEDNTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYDYVNNGNLEQWLHGDVGPCSPLTWEIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNPKVSDFGLAKLLGSDSSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYGRPPEEVNLIEWLKKMVANRNPEGVLDPKLPEKPASRALKRALLIALRCVDPNAQKRPKMGHVVHMLEAEESPFKDDCRAGKDAGQACRDSPKKELIEKRVIESGGYEGAIPPNDA >EOX91454 pep chromosome:Theobroma_cacao_20110822:1:2764057:2765901:-1 gene:TCM_000645 transcript:EOX91454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase isoform 4 MSDRKTGLMADQLSNTTSIFGLRLWVVVGVCVGAAFVLLLFLISLWLASKRTKHKPSSKPKIPAVSKEIQEIKIDPPKPHRTQIQADPYPDPDPSTGIERQALLLPLEEESPTGYHGIHIEIGKDHRISYPGLSPHTSGEARGDYVAGVGPEVSHLGWGHWYTLRELEVSTNGFAHEKIIGEGGYGIVYHGVLEDNTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYDYVNNGNLEQWLHGDVGPCSPLTWEIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNPKVSDFGLAKLLGSDSSYITTRVMGTFGFVNYVAPEYAST >EOX91451 pep chromosome:Theobroma_cacao_20110822:1:2764102:2765574:-1 gene:TCM_000645 transcript:EOX91451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase isoform 4 MSDRKTGLMADQLSNTTSIFGLRLWVVVGVCVGAAFVLLLFLISLWLASKRTKHKPSSKPKIPAVSKEIQEIKIDPPKPHRTQIQADPYPDPDPSTGIERQALLLPLEEESPTGYHGIHIEIGKDHRISYPGLSPHTSGEARGDYVAGVGPEVSHLGWGHWYTLRELEVSTNGFAHEKIIGEGGYGIVYHGVLEDNTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYDYVNNGNLEQWLHGDVGPCSPLTWEIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNPKVSDFGLAKLLGSDSSYITTRVMGTFGFVKYLLFAGRCDFVYLGTTNI >EOX91452 pep chromosome:Theobroma_cacao_20110822:1:2763942:2765574:-1 gene:TCM_000645 transcript:EOX91452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase isoform 4 MSDRKTGLMADQLSNTTSIFGLRLWVVVGVCVGAAFVLLLFLISLWLASKRTKHKPSSKPKIPAVSKEIQEIKIDPPKPHRTQIQADPYPDPDPSTGIERQALLLPLEEESPTGYHGIHIEIGKDHRISYPGLSPHTSGEARGDYVAGVGPEVSHLGWGHWYTLRELEVSTNGFAHEKIIGEGGYGIVYHGVLEDNTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYDYVNNGNLEQWLHGDVGPCSPLTWEIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNPKVSDFGLAKLLGSDSSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYGRPPEEVCIT >EOX91448 pep chromosome:Theobroma_cacao_20110822:1:2762511:2765907:-1 gene:TCM_000645 transcript:EOX91448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase isoform 4 MSDRKTGLMADQLSNTTSIFGLRLWVVVGVCVGAAFVLLLFLISLWLASKRTKHKPSSKPKIPAVSKEIQEIKIDPPKPHRTQIQADPYPDPDPSTGIERQALLLPLEEESPTGYHGIHIEIGKDHRISYPGLSPHTSGEARGDYVAGVGPEVSHLGWGHWYTLRELEVSTNGFAHEKIIGEGGYGIVYHGVLEDNTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYDYVNNGNLEQWLHGDVGPCSPLTWEIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNPKVSDFGLAKLLGSDSSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYGRPPEEVNLIEWLKKMVANRNPEGVLDPKLPEKPASRALKRALLIALRCVDPNAQKRPKMGHVVHMLEAEESPFKDDCRAGKDAGQACRDSPKKELIEKRVIESGGYEGAIPPNDA >EOX93880 pep chromosome:Theobroma_cacao_20110822:1:17105046:17109425:1 gene:TCM_002876 transcript:EOX93880 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-cell receptor-associated protein 31-like MIQLLFLVLFAEGVMAFLLLVKIGPLRELVIKSLDQLKMGKGPATMKTIAGTMSVILLSSLMSIVKIQNKGAKLGTMSPMDQVLWRTHLLEASLMGFTLFLGFIIDRMHHYLRKLIGLRSKVGSSKEEVERLQKERIELKEKDDKASKEIKLLKEEISTLSENLKKLKLESEEKDKKIETAEAHVASLQKQAADLLLEYDRLLEDNQNLQIKL >EOX91163 pep chromosome:Theobroma_cacao_20110822:1:1815101:1821569:1 gene:TCM_000440 transcript:EOX91163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase P1 isoform 1 MAEILAALRSLMASHSPPLDALVVPSEDYHQSEYVSARDKRREFVSGFTGSAGLALITKNEARLWTDGRYFLQATQQLSDQWQLMRIGEDPAVDAWMSDNLPREAAIGVDPWCVSVDTAQRWERAFAKKQQKLVQTSTNLVDEVWKTRPPLEINPVIVHPLEFAGRSVADKLKNLREKLGNEKARGIIITALDEVAWLYNIRGTDVSYCPVVHAFAIVTLNSAFLYVDKRKVSTKVSSFMQENGIEVREYGAVSSDVALLASNQLDQDRGVSSGQNRVCEKDTSEEEENYNDLIWVDPASCCYALFSKLDADKVLLQQSPLALAKALKNPVELDGLRNAHIRDGAAVVQFLVWLDKKMQEIYGASGYFLEREGASKKRLETNKLTEVTVSDKLEEFRASKEHFRGLSFPTISSVGPNAAIIHYSPQAETCAELDPDSIYLFDSGAQYLDGTTDITRTVHFGKPSAHEKACYTAVLKGHIALGNARYPNGTNGHALDILARIPLWRYGLDYRHGTGHGIGSYLNVHEGPHLISFRPQARNVPLQASMTVTDEPGYYEDGSFGIRLENVLVIKEADTEFNFGDKGYLSFEHITWAPYQIKLIDLSLLTPQEIEWVNSYHSKCREILEPYMEKHQMEWLKNATEPVSA >EOX91164 pep chromosome:Theobroma_cacao_20110822:1:1815895:1821040:1 gene:TCM_000440 transcript:EOX91164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase P1 isoform 1 MAEILAALRSLMASHSPPLDALVVPSEDYHQSEYVSARDKRREFVSGFTGSAGLALITKNEARLWTDGRYFLQATQQLSDQWQLMRIGEDPAVDAWMSDNLPREAAIGVDPWCVSVDTAQRWERAFAKKQQKLVQTSTNLVDEVWKTRPPLEINPVIVHPLEFAGRSVADKLKNLREKLGNEKARGIIITALDEVAWLYNIRGTDVSYCPVVHAFAIVTLNSAFLYVDKRKVSTKVSSFMQENGIEVREYGAVSSDVALLASNQLDQDRGVSSGQNRVCEKDTSEEEENYNDLIWVDPASCCYALFSKLDADKVLLQQSPLALAKALKNPVELDGLRNAHIRDGAAVVQFLVWLDKKMQEIYGASGYFLEREGASKKRLETNKLTEVTVSDKLEEFRASKEHFRGLSFPTISSVGPNAAIIHYSPQAETCAELDPDSIYLFDSGAQYLDGTTDITRTVHFGKPSAHEKACYTAVLKGHIALGNARYPNGTNGHALDILARIPLWRYGLDYRHGTGHGIGSYLNVHEGPHLISFRPQARNVPLQASMTVTDEPGYYEDGSFGIRLENVLVIKEADTEFNFGDKGYLSFEHITWVRLS >EOX90744 pep chromosome:Theobroma_cacao_20110822:1:507260:513687:-1 gene:TCM_000127 transcript:EOX90744 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit RPB1 isoform 1 MGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPAILKPRPLWTGKQVFNLIIPKQINLLRNSAWHSETETGFITPGDTQVRIEKGELLSGTLCKKALGTSSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSIGIGDTIADAATMEKINETISKAKEEVKNLIVKAQNKDLEPEPGRTMMESFENKVNQVLNKARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDSVWIESQKLDSLKMKKSEFDRVFRYNIDDESWNPTSYMLPEHIEDLRTIQELRDVFEAEVQKLDADRYQLGTEIAVTGDSNWPLPVNLKRLIWNAQKTFKVDFRRVSDLHPVEIVDSVDKLQERLKVVPGTDPLSVEAQKNATLFFSILLRSTLASKRVLQEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLSPEASKTKEKAKNVQCALEYTTLRSVTHATEVWYDPDPTSTIIEEDIDFVKSYYEMPDEEVAPEKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEGPKGELNDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKHSKASKFDEADGYKTGEEWVLDTEGVNLLAVMCHEDVDARRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGDCALYLNDEMLKNAIELQLPSYMEGLEFGMTPARSPVSGTPYHEGMMSPSYLLSPNLRLSPITDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGVSPDYSPSSPQYSPSAGYSPSAPGYSPSSTSQYTPQTSNKDDRATKDDRSSKDDRSKR >EOX90743 pep chromosome:Theobroma_cacao_20110822:1:507602:516288:-1 gene:TCM_000127 transcript:EOX90743 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit RPB1 isoform 1 MDLRFPYSPAEVAKVRMVQFGILSPDEIRQMSVVQIEHGETTERGKPKVGGLSDPRLGTIDRKMKCETCTANMAECPGHFGHLELAKPMFHIGFMKTVLSIMRCVCFNCSKILADEEEHKFKQALKIKNPKNRLKKILDACKNKSKCEGGDEIDVQGQDTEEPVKKSRGGCGAQQPKLSIDGMKMIAEYKPQRKRNDDQEQLPEPVERKQTLTAERVLSVLKRISDEDCQLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHALAMIIRHNENLRRQERNGSPAHIISEFAQLLQFHVATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPAILKPRPLWTGKQVFNLIIPKQINLLRNSAWHSETETGFITPGDTQVRIEKGELLSGTLCKKALGTSSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSIGIGDTIADAATMEKINETISKAKEEVKNLIVKAQNKDLEPEPGRTMMESFENKVNQVLNKARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDSVWIESQKLDSLKMKKSEFDRVFRYNIDDESWNPTSYMLPEHIEDLRTIQELRDVFEAEVQKLDADRYQLGTEIAVTGDSNWPLPVNLKRLIWNAQKTFKVDFRRVSDLHPVEIVDSVDKLQERLKVVPGTDPLSVEAQKNATLFFSILLRSTLASKRVLQEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLSPEASKTKEKAKNVQCALEYTTLRSVTHATEVWYDPDPTSTIIEEDIDFVKSYYEMPDEEVAPEKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEGPKGELNDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKHSKASKFDEADGYKTGEEWVLDTEGVNLLAVMCHEDVDARRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGDCALYLNDEMLKNAIELQLPSYMEGLEFGMTPARSPVSGTPYHEGMMSPSYLLSPNLRLSPITDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGVSPDYSPSSPQYSPSAGYSPSAPGYSPSSTSQYTPQTSNKDDRATKDDRSSKDDRSKR >EOX90745 pep chromosome:Theobroma_cacao_20110822:1:507802:513687:-1 gene:TCM_000127 transcript:EOX90745 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit RPB1 isoform 1 MGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPAILKPRPLWTGKQVFNLIIPKQINLLRNSAWHSETETGFITPGDTQVRIEKGELLSGTLCKKALGTSSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSIGIGDTIADAATMEKINETISKAKEEVKNLIVKAQNKDLEPEPGRTMMESFENKVNQVLNKARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDSVWIESQKLDSLKMKKSEFDRVFRYNIDDESWNPTSYMLPEHIEDLRTIQELRDVFEAEVQKLDADRYQLGTEIAVTGDSNWPLPVNLKRLIWNAQKTFKVDFRRVSDLHPVEIVDSVDKLQERLKVVPGTDPLSVEAQKNATLFFSILLRSTLASKRVLQEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLSPEASKTKEKAKNVQCALEYTTLRSVTHATEVWYDPDPTSTIIEEDIDFVKSYYEMPDEEVAPEKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEGPKGELNDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKHSKASKFDEADGYKTGEEWVLDTEGVNLLAVMCHEDVDARRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGDCALYLNDEMLKNAIELQLPSYMEGLEFGMTPARSPVSGTPYHEGMMSPSYLLSPNLRLSPITDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSSPYNSGVSPDYSPSSPQYSPSAGYSPSAPGYSPSSTSQYTPQTSNKDDRATKDDRSSKDDRSKR >EOX90741 pep chromosome:Theobroma_cacao_20110822:1:508003:515965:-1 gene:TCM_000127 transcript:EOX90741 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit RPB1 isoform 1 MDLRFPYSPAEVAKVRMVQFGILSPDEIRQMSVVQIEHGETTERGKPKVGGLSDPRLGTIDRKMKCETCTANMAECPGHFGHLELAKPMFHIGFMKTVLSIMRCVCFNCSKILADEEEHKFKQALKIKNPKNRLKKILDACKNKSKCEGGDEIDVQGQDTEEPVKKSRGGCGAQQPKLSIDGMKMIAEYKPQRKRNDDQEQLPEPVERKQTLTAERVLSVLKRISDEDCQLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHALAMIIRHNENLRRQERNGSPAHIISEFAQLLQFHVATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPAILKPRPLWTGKQVFNLIIPKQINLLRNSAWHSETETGFITPGDTQVRIEKGELLSGTLCKKALGTSSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSIGIGDTIADAATMEKINETISKAKEEVKNLIVKAQNKDLEPEPGRTMMESFENKVNQVLNKARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDSVWIESQKLDSLKMKKSEFDRVFRYNIDDESWNPTSYMLPEHIEDLRTIQELRDVFEAEVQKLDADRYQLGTEIAVTGDSNWPLPVNLKRLIWNAQKTFKVDFRRVSDLHPVEIVDSVDKLQERLKVVPGTDPLSVEAQKNATLFFSILLRSTLASKRVLQEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLSPEASKTKEKAKNVQCALEYTTLRSVTHATEVWYDPDPTSTIIEEDIDFVKSYYEMPDEEVAPEKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEGPKGELNDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKHSKASKFDEADGYKTGEEWVLDTEGVNLLAVMCHEDVDARRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGDCALYLNDEMLKNAIELQLPSYMEGLEFGMTPARSPVSGTPYHEGMMSPSYLLSPNLRLSPITDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGVSPDYSPSSPQYSPSAGYSPSAPGYSPSSTSQYTPQTSNKDDRATKDDRSSKDDRSKR >EOX90742 pep chromosome:Theobroma_cacao_20110822:1:506233:516288:-1 gene:TCM_000127 transcript:EOX90742 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit RPB1 isoform 1 MDLRFPYSPAEVAKVRMVQFGILSPDEIRQMSVVQIEHGETTERGKPKVGGLSDPRLGTIDRKMKCETCTANMAECPGHFGHLELAKPMFHIGFMKTVLSIMRCVCFNCSKILADEEEHKFKQALKIKNPKNRLKKILDACKNKSKCEGGDEIDVQGQDTEEPVKKSRGGCGAQQPKLSIDGMKMIAEYKPQRKRNDDQEQLPEPVERKQTLTAERVLSVLKRISDEDCQLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHALAMIIRHNENLRRQERNGSPAHIISEFAQLLQFHVATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPAILKPRPLWTGKQVFNLIIPKQINLLRNSAWHSETETGFITPGDTQVRIEKGELLSGTLCKKALGTSSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSIGIGDTIADAATMEKINETISKAKEEVKNLIVKAQNKDLEPEPGRTMMESFENKVNQVLNKARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDSVWIESQKLDSLKMKKSEFDRVFRYNIDDESWNPTSYMLPEHIEDLRTIQELRDVFEAEVQKLDADRYQLGTEIAVTGDSNWPLPVNLKRLIWNAQKTFKVDFRRVSDLHPVEIVDSVDKLQERLKVVPGTDPLSVEAQKNATLFFSILLRSTLASKRVLQEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLSPEASKTKEKAKNVQCALEYTTLRSVTHATEVWYDPDPTSTIIEEDIDFVKSYYEMPDEEVAPEKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEGPKGELNDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKHSKASKFDEADGYKTGEEWVLDTEGVNLLAVMCHEDVDARRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGDCALYLNDEMLKNAIELQLPSYMEGLEFGMTPARSPVSGTPYHEGMMSPSYLLSPNLRLSPITDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGVSPDYSPSSPQYSPSAGYSPSAPGYSPSSTSQYTPQTSNKDDRATKDDRSSKDDRSKR >EOX93164 pep chromosome:Theobroma_cacao_20110822:1:10980725:10981707:1 gene:TCM_002010 transcript:EOX93164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPNAITMFKRGLASWGDANLAKILTVREAMVLFTASSWANSVGIIIESDSKNVALWMTHPEKTRWKLQQLIFQMVSLKDKVADWMIQHTPRSFCMLRPVSFIGLFCLYMDFILTSTGFFVRQASGRALGPSFP >EOX92015 pep chromosome:Theobroma_cacao_20110822:1:4907410:4909258:1 gene:TCM_001039 transcript:EOX92015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrimidine 4 isoform 1 MKRLGSSDSLGALMSICPTTDEHSPRNNHIYSREFQSMLDGLDEEGCVEESGHVAEKKRRLSVDQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGLLKTSYETLKVNYDTLQHDNEALLKEIRELKAKLNGESTESNLSVKEEVIVHETDNKTLEQSEPPPVSSLVTSSEPAELNYESFNNSIGSVGATLFPDLKDGSSDSDSSAILNEDNNNCSPNNAAISSSGVLQSQQHLLMSPTTTSSLNFNSSSSSPSSMNCFQFSKSTYQPSHQYVKMEEHNFFSADEACNFFSDEQAPSLHWYSPEQWN >EOX92017 pep chromosome:Theobroma_cacao_20110822:1:4907849:4909418:1 gene:TCM_001039 transcript:EOX92017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrimidine 4 isoform 1 MSICPTTDEHSPRNNHIYSREFQSMLDGLDEEGCVEESGHVAEKKRRLSVDQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGLLKTSYETLKVNYDTLQHDNEALLKEIRELKAKLNGESTESNLSVKEEVIVHETDNKTLEQSEPPPVSSLVTSSEPAELNYESFNNSIGSVGATLFPDLKDGSSDSDSSAILNEDNNNCSPNNAAISSSGVLQSQQHLLMSPTTTSSLNFNSSSSSPSSMNCFQFSKSTYQPSHQYVKMEEHNFFSADEACNFFSDEQAPSLHWYSPEQWN >EOX92016 pep chromosome:Theobroma_cacao_20110822:1:4907660:4909965:1 gene:TCM_001039 transcript:EOX92016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrimidine 4 isoform 1 MKRLGSSDSLGALMSICPTTDEHSPRNNHIYSREFQSMLDGLDEEGCVEESGHVAEKKRRLSVDQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGLLKTSYETLKVNYDTLQHDNEALLKEIRELKAKLNGESTESNLSVKEEVIVHETDNKTLEQSEPPPVSSLVTSSEPAELNYESFNNSIGSVGATLFPDLKDGSSDSDSSAILNEDNNNCSPNNAAISSSGVLQSQQHLLMSPTTTSSLNFNSSSSSPSSMNCFQFSKSTYQPSHQYVKMEEHNFFSADEACNFFSDEQAPSLHWYSPEQWN >EOX95618 pep chromosome:Theobroma_cacao_20110822:1:34910393:34911435:1 gene:TCM_005068 transcript:EOX95618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoxyloglucan transferase A3-like protein MNRFRPFLEIKIFISLMRMGRLSKYPSLNLQAVDLDLVSFTIMPSSVLPLNFLLITLLELLSRFILPMLMFSEPTMTKLDFEFLGNVPGQEWLVQTNLFGNESVTRGREERQVRRVDAMGGDYPSKPMSLYAIIWDGSTWATGEGKHKVNYRYAPFKADFTFFVIQGHECSTEGQIQRCKNATASSVESFNGLRPDERSKMKDFRKNFMIYSHCQDRRRYPIALPECSIQ >EOX95864 pep chromosome:Theobroma_cacao_20110822:1:35808598:35812750:1 gene:TCM_005262 transcript:EOX95864 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MIGDNSFSKTICSICYEDLKPIVEDLQSISICGHVFHELCLQQWFEYCTNSTKKYNCPVCKQRCVGHNATRLYFQSVGDQSNSLCSQKLIDREEDAEALRCEVKRLLVKVSGLSSALERKEKEHEEINEELCLCKEQIKKEAALKNEALREKAFIQQKLNSKSEELTISNSECSRLEQRNMALAKELAVLKLVSDLDLEQDEILKLASLGNEANSHDTIDNLIRSLASHKKSYKELMAKCNLLGRGEARLQKKLEKAKEKIGKLKTRIQELETSIEVKDNEDLRALKASKKTDRRAIVDDNSKTLIAKNSSTEHQMQQPILPLNNLDLSGRSPKDSSCLMKENIHFGSPIFANHTREGSSTVVLDEERNPLMGENAYRHSSPDLKCRNGENIVQEPAPSMSKAVSDVNGVAAACRPDSLVGFSGSRTCSSTDKSATLAAATKPMFNIKTETPSSLPLSEHGNICFSGGLLGPDGTKWHLGKWCKRGKMLGSMPTQGLTKSSGDLIAVGADGRGGRIKVMRSVNHSLDDKQNSLSAKRLKYGAKTNGLQSQGCLQIEHFFGKSRF >EOX95863 pep chromosome:Theobroma_cacao_20110822:1:35803568:35812901:1 gene:TCM_005262 transcript:EOX95863 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MIGDNSFSKTICSICYEDLKPIVEDLQSISICGHVFHELCLQQWFEYCTNSTKKYNCPVCKQRCVGHNATRLYFQSVGDQSNSLCSQKLIDREEDAEALRCEVKRLLVKVSGLSSALERKEKEHEEINEELCLCKEQIKKEAALKNEALREKAFIQQKLNSKSEELTISNSECSRLEQRNMALAKELAVLKLVSDLDLEQDEILKLASLGNEANSHDTIDNLIRSLASHKKSYKELMAKCNLLGRGEARLQKKLEKAKEKIGKLKTRIQELETSIEVKDNEDLRALKASKKTDRRAIVDDNSKTLIAKNSSTEHQMQQPILPLNNLDLSGRSPKDSSCLMKENIHFGSPIFANHTREGSSTVVLDEERNPLMGENAYRHSSPDLKCRNGENIVQEPAPSMSKAVSDVNGVAAACRPDSLVGFSGSRTCSSTDKSATLAAATKPMFNIKTETPSSLPLSEHGNICFSGGLLGPDGTKWHLGKWCKRGKMLGSMPTQGLTKSSGDLIAVGADGRGGRIKVMRSVNHSLDDKQNSLSAKRLKYGAKTNGLQSQGCLQIEHFFGKSRF >EOX95300 pep chromosome:Theobroma_cacao_20110822:1:33698525:33703925:1 gene:TCM_004836 transcript:EOX95300 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP citrate lyase subunit B 2 isoform 1 MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQQEIAIPVNSTIEAACAAHPTADVFINFASFRSAAASSLAALKQPTIRVVAIIAEGVPESDTKQLVAYAQANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIHCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNHALKEAGAVVPTSYEAFETAIKETFEKLVEEGKITAAKEFNPPQIPEDLNSAIKSGKVRAPTHIISTISDDRGEEPRYAGVPMSSIIEQGLGVGDVISLLWFKRSLPHYCTRFIEICIMLCADHGPCVSGAHNAIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDACDRGLSPYEFVEGMKKKGIRVPGIGHRIKRGDNRDKRVEILQLFARTHFPSVKYMEYAVQVESYTLSKANNLVLNVDGAIGSLFLDLLVSSGMFSKPEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >EOX95299 pep chromosome:Theobroma_cacao_20110822:1:33698949:33703448:1 gene:TCM_004836 transcript:EOX95299 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP citrate lyase subunit B 2 isoform 1 MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQQEIAIPVNSTIEAACAAHPTADVFINFASFRSAAASSLAALKQPTIRVVAIIAEGVPESDTKQLVAYAQANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIHCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNHALKEAGAVVPTSYEAFETAIKETFEKLVEEGKITAAKEFNPPQIPEDLNSAIKSGKVRAPTHIISTISDDRGEEPRYAGVPMSSIIEQGLGVGDVISLLWFKRSLPHYCTRFIEICIMLCADHGPCVSGAHNAIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDACDRGLSPYEFVEGMKKKGIRVPGIGHRIKRGDNRDKRVEILQLFARTHFPSVKYMEYAVQVESYTLSKANNLVLNVDGAIGSLFLDLLVSSGMFSKPEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >EOX95301 pep chromosome:Theobroma_cacao_20110822:1:33698865:33702779:1 gene:TCM_004836 transcript:EOX95301 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP citrate lyase subunit B 2 isoform 1 MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQQEIAIPVNSTIEAACAAHPTADVFINFASFRSAAASSLAALKQPTIRVVAIIAEGVPESDTKQLVAYAQANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIHCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNHALKEAGAVVPTSYEAFETAIKETFEKLVEEGKITAAKEFNPPQIPEDLNSAIKSGKVRAPTHIISTISDDRGEEPRYAGVPMSSIIEQGLGVGDVISLLWFKRSLPHYCTRFIEICIMLCADHGPCVSGAHNAIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDACDRGLSPYEFVEGMKKKGIRVPGIGHRIKRGDNRDK >EOX94512 pep chromosome:Theobroma_cacao_20110822:1:29314979:29316042:-1 gene:TCM_004120 transcript:EOX94512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) polymerase 2, putative MANPPKPWKAEYAKSARLSCKTYRNTIDKEVFRLVKMAPATQFDAFMPMWSHANCVPRKANQIKSIDDVEGIESLRWEDQQRVRNYVEDCGPANTKAVTLTAMDMLLKFPKLLVLLASIAAKRL >EOX90577 pep chromosome:Theobroma_cacao_20110822:1:36800:38539:-1 gene:TCM_000008 transcript:EOX90577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complex small chain family protein, putative isoform 1 MVMNTHGKPRLAKFYEYLWKSSRSLHEAFLRVPISYQHSSSSSSSSYFSFLCCRAENVSNFIEAESIFGPDSRLLYKHFATLDFVVVYSSEIELAVLDLIQVFVEMLDKCFKNVCKLDIVFNYSKVIEDDVFGKRIWGMLQVQVSNVT >EOX90578 pep chromosome:Theobroma_cacao_20110822:1:35942:38612:-1 gene:TCM_000008 transcript:EOX90578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complex small chain family protein, putative isoform 1 MVMNTHGKPRLAKFYEYLWKSSRSLHEAFLRVPISYQHSSSSSSSSYFSFLCCRAENVSNFIEAESIFGPDSRLLYKHFATLDFVVVYSSEIELAVLDLIQDMLSWMR >EOX90807 pep chromosome:Theobroma_cacao_20110822:1:683621:684731:1 gene:TCM_000174 transcript:EOX90807 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB domain class transcription factor MGRSPCCEKGHTNKGAWTREEDELLIAYIQAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTDEEDELIVKLHSLLGNKWSIIAARLPGRTDNEIKNHWNTHIKRKLLSRGIDPLTHRQINEQAATRSIDRASSAAVLRQDAKTDNEFPYLNQNNPDGEKYPDLNLELQISPPSSHPPRKEETTDIKIICFCSSLGLQNSKGCTCGAVGIEHLRFYA >EOX92648 pep chromosome:Theobroma_cacao_20110822:1:7916451:7931049:1 gene:TCM_046974 transcript:EOX92648 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: Pentatricopeptide repeat (PPR) superfamily protein (TAIR:AT4G21190.1); Has 111 Blast hits to 111 proteins in 15 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 109; Viruses - 0; Other E /.../tes - 2 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G04590) TAIR;Acc:AT1G04590] MMLLRIARQNILGHSMQRIFPPAASALMRGYSFAAYQAISKGQGSEAHQIVKDQGGNQAENLSSKPNIGGILKHQIGQNVSRKDKIKFLVTTLLDLKDGKEAVYGALDAWVAWEQNFPIGPLKNVILALEKEHQWHRVVQVIKWMLSKGQGNTMGTYVQLIRALDMDNRAEEAHQFWLKKVSADLHSVPWQLCRQMISVYYRNNMLENLVKLFKGLEAFDRKPPEKSIVQRVADAYEMLGLLEEKERVLEKYKDIPTKTDKVHKKSKQASSKRKKNSGRRKQAST >EOX95813 pep chromosome:Theobroma_cacao_20110822:1:35639455:35643585:-1 gene:TCM_005219 transcript:EOX95813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane-like protein isoform 1 MQHHRSGERNGHALQPVSPASCGSSMEVAPYNPAITPSSSLDFKGRIGGSGYSLKTSTELIKVLNRIWALEEQHVSNRSLVKALKMELDHSRAQIKELLQEKQTERQEMDDLMKQVAEDKLVRKNKEQDRIKAVIQPVRDELENERKLRKRSESLHRKLARELSEVKSSFANTLKELERERKARILLENLCDEFARGIREYEQEVRFLKHKHEIDQVDGENPERLILHISEAWLDERMQMKLAEGQTDPAEKNTIVDKLSLDIESFLEAKRSTGSRKSELKENCSRRHSLESFPLNEAVSAPQGAADGEDSSDSDSRCYELNKTANRIQSKGSSKHHGDNALVSHPEELVNPILTRKKVGSRNAMKGSKLHSLRGHFDEQMATGEGIHDKKVKRNGTHGLSSSRVLDSLIRNHSLSSEGDKVHPEISLKEDSCVQSVFKGHASPVRQWVSKLTSPDFEKSESSLKLPQGIKENTLKAKLLEARLEGQQSRAKASKGSM >EOX95812 pep chromosome:Theobroma_cacao_20110822:1:35635133:35643721:-1 gene:TCM_005219 transcript:EOX95812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane-like protein isoform 1 MERKEKGVEREGEKKEFMVKKLERGILVGKRAGPSTPSPTWRLEFSSQNATAQEFLQLPRGPSISARKLCANLWELQPHYPLPNMRKGAAKLRLHHFKDKGLDADVDPPDSPLDQPASASSLRRHIAASLMQHHRSGERNGHALQPVSPASCGSSMEVAPYNPAITPSSSLDFKGRIGGSGYSLKTSTELIKVLNRIWALEEQHVSNRSLVKALKMELDHSRAQIKELLQEKQTERQEMDDLMKQVAEDKLVRKNKEQDRIKAVIQPVRDELENERKLRKRSESLHRKLARELSEVKSSFANTLKELERERKARILLENLCDEFARGIREYEQEVRFLKHKHEIDQVDGENPERLILHISEAWLDERMQMKLAEGQTDPAEKNTIVDKLSLDIESFLEAKRSTGSRKSELKENCSRRHSLESFPLNEAVSAPQGAADGEDSSDSDSRCYELNKTANRIQSKGSSKHHGDNALVSHPEELVNPILTRKKVGSRNAMKGSKLHSLRGHFDEQMATGEGIHDKKVKRNGTHGLSSSRVLDSLIRNHSLSSEGDKVHPEISLKEDSCVQSVFKGHASPVRQWVSKLTSPDFEKSESSLKLPQGIKENTLKAKLLEARLEGQQSRAKASKGSM >EOX90585 pep chromosome:Theobroma_cacao_20110822:1:59885:63579:1 gene:TCM_000014 transcript:EOX90585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol acyltransferase family isoform 2 MMGEEMEERKATGYREFSGRHEFPSNTMHALLAMGIWLGAIHFNALLLLFSFLFLPFSKFLVVFGLLLLFMILPIDPYSKFGRRLSRYICKHACSYFPITLHVEDIHAFHPDRAYVFGFEPHSVLPIGVVALADLTGFMPLPKIKVLASSAVFYTPFLRHIWTWLGLTPATKKNFSSLLDAGYSCILVPGGVQETFHMEPGSEDLLYPINIQCTWWWVNLLT >EOX90583 pep chromosome:Theobroma_cacao_20110822:1:59941:63857:1 gene:TCM_000014 transcript:EOX90583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol acyltransferase family isoform 2 MMGEEMEERKATGYREFSGRHEFPSNTMHALLAMGIWLGAIHFNALLLLFSFLFLPFSKFLVVFGLLLLFMILPIDPYSKFGRRLSSRYICKHACSYFPITLHVEDIHAFHPDRAYVFGFEPHSVLPIGVVALADLTGFMPLPKIKVLASSAVFYTPFLRHIWTWLGLTPATKKNFSSLLDAGYSCILVPGGVQETFHMEPGSEIAFLRARRGFVRIAMEMGSPLVPVFCFGQSHVYKWWKPGGKFYLQFSRAIKFTPIFFWGIFGSPLPYQHPMHVVVGKPIDVKKNPQPIVEEVIEVHDRFVEALQDLFERHKAQVGFADLPLKIL >EOX90582 pep chromosome:Theobroma_cacao_20110822:1:59886:63745:1 gene:TCM_000014 transcript:EOX90582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol acyltransferase family isoform 2 MMGEEMEERKATGYREFSGRHEFPSNTMHALLAMGIWLGAIHFNALLLLFSFLFLPFSKFLVVFGLLLLFMILPIDPYSKFGRRLSRYICKHACSYFPITLHVEDIHAFHPDRAYVFGFEPHSVLPIGVVALADLTGFMPLPKIKVLASSAVFYTPFLRHIWTWLGLTPATKKNFSSLLDAGYSCILVPGGVQETFHMEPGSEIAFLRARRGFVRIAMEMGSPLVPVFCFGQSHVYKWWKPGGKFYLQFSRAIKFTPIFFWGIFGSPLPYQHPMHVVVGKPIDVKKNPQPIVEEVIEVHDRFVEALQDLFERHKAQVGFADLPLKIL >EOX90584 pep chromosome:Theobroma_cacao_20110822:1:59886:63857:1 gene:TCM_000014 transcript:EOX90584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol acyltransferase family isoform 2 MILPIDPYSKFGRRLSRYICKHACSYFPITLHVEDIHAFHPDRAYVFGFEPHSVLPIGVVALADLTGFMPLPKIKVLASSAVFYTPFLRHIWTWLGLTPATKKNFSSLLDAGYSCILVPGGVQETFHMEPGSEIAFLRARRGFVRIAMEMGSPLVPVFCFGQSHVYKWWKPGGKFYLQFSRAIKFTPIFFWGIFGSPLPYQHPMHVVVGKPIDVKKNPQPIVEEVIEVHDRFVEALQDLFERHKAQVGFADLPLKIL >EOX92345 pep chromosome:Theobroma_cacao_20110822:1:6378146:6378803:1 gene:TCM_001300 transcript:EOX92345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLFNTLGYYDFWALSLRYNPSWNPSNAKSMPGRVRSSLKLKLHLLIKGQIAKQENTIQIPKLDLKCKKSNDRQMLRQYKMHLNNNQKQKIMSKVSDIQGRNEAAQALKPGEGESS >EOX96268 pep chromosome:Theobroma_cacao_20110822:1:37172646:37176223:1 gene:TCM_005547 transcript:EOX96268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi snare 12 isoform 2 MTDPILDLQESGWEELRREARKIEGDLDVKLSSYAKLGARFTQGGYLDTGSPTVGSSRSWKSMEMEIQSLLEKLLDTNDAMSRCAASAAPTTSVTQKLARHRDILHEFTQEFRRIKGNINSMREHAELLSSVRDDISEYKASGSMSPRMQLLRERAAIHGSIAHIDDVINQAQTTRAVLGSQRALFGDVQGKVKLLSDKFPVIRGLLGSIRRRRSRDTLILSAVIAACTLFLIIYWLSK >EOX96269 pep chromosome:Theobroma_cacao_20110822:1:37172412:37176017:1 gene:TCM_005547 transcript:EOX96269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi snare 12 isoform 2 MTDPILDLQESGWEELRREARKIEGDLDVKLSSYAKLGARFTQGDTGSPTVGSSRSWKSMEMEIQSLLEKLLDTNDAMSRCAASAAPTTSVTQKLARHRDILHEFTQEFRRIKGNINSMREHAELLSSVRDDISEYKASGSMSPRMQLLRERAAIHGSIAHIDDVINQAQTTRAVLGSQRALFGDVQGKVKLLSDKFPVIRGLLGSIRRRRSRDTLILSAVIAACTLFLIIYWLSK >EOX94120 pep chromosome:Theobroma_cacao_20110822:1:22019051:22039175:-1 gene:TCM_003334 transcript:EOX94120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase 2 isoform 1 MISGRIQSCTSSSASSSSTIPHPPCLTSAARHYKFPLRLSPRALSISQRVSRNCVVPRYSSGWAEAQLSFSKSDSKHLLPVAVGALVTSNTQAIPTGPLVAEEKIGVLLLNLGGPETLEDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVLRAPKSKEGYASIGGGSPLRRITDAQAEELRKSLWVKNVPAKVYVGMRYWHPFTEEAIEQIKKDGITKLVVLPLYPQFSISTSGSSLRLLESIFRDDEYLVNMRHTVIPSWYQREGYIKSMANLIEKELQKFDLPEKVVIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIIEELEKRKITNAYTLAYQSRVGPVEWLKPYTDETIVELGRKGVKSLLAVPISFVSEHIETLEEIDVEYKELAFESGIENWGRVPALGCEPMFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDSQRRELPPPVTVWEWGWTKSAETWNGRAAMLAVLVLLLLEVTTGEGFLHQWGVLPLFR >EOX94121 pep chromosome:Theobroma_cacao_20110822:1:22018840:22034593:-1 gene:TCM_003334 transcript:EOX94121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase 2 isoform 1 MISGRIQSCTSSSASSSSTIPHPPCLTSAARHYKFPLRLSPRALSISQRVSRNCVVPRYSSGWAEAQLSFSKSDSKHLLPVAVGALVTSNTQAIPTGPLVAEEKIGVLLLNLGGPETLEDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVLRAPKSKEGYASIGGGSPLRRITDAQAEELRKSLWVKNVPAKVYVGMRYWHPFTEEAIEQIKKDGITKLVVLPLYPQFSISTSGSSLRLLESIFRDDEYLVNMRHTVIPSWYQREGYIKSMANLIEKELQKFDLPEKSRVGPVEWLKPYTDETIVELGRKGVKSLLAVPISFVSEHIETLEEIDVEYKELAFESGIENWGRVPALGCEPMFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDSQRRELPPPVTVWEWGWTKSAETWNGRAAMLAVLVLLLLEVTTGEGFLHQWGVLPLFR >EOX94122 pep chromosome:Theobroma_cacao_20110822:1:22018840:22034566:-1 gene:TCM_003334 transcript:EOX94122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase 2 isoform 1 MISGRIQSCTSSSASSSSTIPHPPCLTSAARHYKFPLRLSPRALSISQRVSRNCVVPRYSSGWAEAQLSFSKSDSKHLLPVAVGALVTSNTQAIPTGPLVAEEKIGVLLLNLGGPETLEDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVLRAPKSKEGYASIGGGSPLRRITDAQAEELRKSLWVKNVPAKVYVGMRYWHPFTEEAIEQIKKDGITKLVVLPLYPQFSISTSGSSLRLLESIFRDDEYLVNMRHTVIPSWYQREGYIKSMANLIEKELQKFDLPEKVSFVSEHIETLEEIDVEYKELAFESGIENWGRVPALGCEPMFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDSQRRELPPPVTVWEWGWTKSAETWNGRAAMLAVLVLLLLEVTTGEGFLHQWGVLPLFR >EOX96619 pep chromosome:Theobroma_cacao_20110822:1:38426330:38430978:1 gene:TCM_005835 transcript:EOX96619 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein with WD40/YVTN repeat doamin, putative isoform 1 MKMGLLLTGVGDKVMRLWSLSGYNCVGEYYIPDSAPVVDFDFDETKIVGLLGTRVGIWRRNGKRSIFPSCEGTFSKGLCMRYTDPEAVIGCEDGTVRAFDMYSRTCSRIIKMHAGPVTCLSLSDDHLILSGSSLGSVSISNLSSDQRVATLRSTNSGGIRTLCFNPSSLLVFAGTTIGYTHCWDLRTMKSLWETRISPNVLYSMQHLQNDTSTLAVGGIDGVLRVLDQNTGVLSSCIIGDDRPMDSSKNTNVFIKEKKGIRLSEDARIDKIPRTARPPITCLAVGMKKVVTAHNINYIRMWKFNV >EOX96618 pep chromosome:Theobroma_cacao_20110822:1:38426330:38430978:1 gene:TCM_005835 transcript:EOX96618 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein with WD40/YVTN repeat doamin, putative isoform 1 MGPSPSAGSSARRKRSGVSGGTRMERLDHDILCIIFSFLNVFDLARCTAVCKSWNAVVKKSELLQALYFKLRRDSMDNISTESNSSRQSWQLGLEEAAMKHHSLSLRRGRIDIHQWKTHSVGVDQCRMKMGLLLTGVGDKVMRLWSLSGYNCVGEYYIPDSAPVVDFDFDETKIVGLLGTRVGIWRRNGKRSIFPSCEGTFSKGLCMRYTDPEAVIGCEDGTVRAFDMYSRTCSRIIKMHAGPVTCLSLSDDHLILSGSSLGSVSISNLSSDQRVATLRSTNSGGIRTLCFNPSSLLVFAGTTIGYTHCWDLRTMKSLWETRISPNVLYSMQHLQNDTSTLAVGGIDGVLRVLDQNTGVLSSCIIGDDRPMDSSKNTNVFIKEKKGIRLSEDARIDKIPRTARPPITCLAVGMKKVVTAHNINYIRMWKFNV >EOX96620 pep chromosome:Theobroma_cacao_20110822:1:38426569:38430910:1 gene:TCM_005835 transcript:EOX96620 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein with WD40/YVTN repeat doamin, putative isoform 1 MGPSPSAGSSARRKRSGVSGGTRMERLDHDILCIIFSFLNVFDLARCTAVCKSCDQCRMKMGLLLTGVGDKVMRLWSLSGYNCVGEYYIPDSAPVVDFDFDETKIVGLLGTRVGIWRRNGKRSIFPSCEGTFSKGLCMRYTDPEAVIGCEDGTVRAFDMYSRTCSRIIKMHAGPVTCLSLSDDHLILSGSSLGSVSISNLSSDQRVATLRSTNSGGIRTLCFNPSSLLVFAGTTIGYTHCWDLRTMKSLWETRISPNVLYSMQHLQNDTSTLAVGGIDGVLRVLDQNTGVLSSCIIGDDRPMDSSKNTNVFIKEKKGIRLSEDARIDKIPRTARPPITCLAVGMKKVVTAHNINYIRMWKFNV >EOX92427 pep chromosome:Theobroma_cacao_20110822:1:6770056:6790975:-1 gene:TCM_001379 transcript:EOX92427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 3 MVICWAVVILSGFILGYDIGVSGLITVEKSFSWNNIHGLSFEKIHFEENNFCKYNDQRVRLLISSSYLIALICGLISMALNSKWGRRPLLQIGSFSLLVGVILNAASNNIFMLIGGRVVLGLGFGFCSQVIPLYILEFPDSVSQDSMFIFHSFANCLGTLVANILGLVFMSHLENGWAVLIGCLSVPALFLFIFSFSIDETPVSLVLHGNLRKGKEVLRKIRGIGDVDSEFNKILVDFGPAKSLKSQYQYMVKRSSRPTLTIALLHLIFQQLGGPTVILFFGPVFFVSVGFSGVLSKISAQIVLAASAVGTLLSPYLISRFGRRNMLLGGCTLMFMTELLIGVMLHSLEPFGFLKKKAAVGLIVLAIICSISFASTSGPLDWSSTTFPPESQVMGSFLSTGFSMMLSFVISQGYLSAICWFHFWIFIVIAIFISLFWIVIYSLIPETTNIPADELVHKVWNKHWFWKRFTVEHVVE >EOX92425 pep chromosome:Theobroma_cacao_20110822:1:6770056:6795951:-1 gene:TCM_001379 transcript:EOX92425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 3 MLQGGGTDRKDSSQDAVCTCGTCPPPRVLNYGRFVKLQKKYEKKIRKREEPTAVCTCGTCHLETRSNLDVKNDTGHGSTSVSASTSQETRCNLDVKNDVAQGSTSVSASTSQETSRLLQGSSEIEIDNGTASTSASVSGSTETKFNQDVKDVGQGSTSVSATHPQETNWLLLGTTEIEIDNSTGSLETNSSLAHHSLIDVLEGPATIGAFRSRVTGAMVICWAVVILSGFILGYDIGVSGLITVEKSFSWNNIHGLSFEKIHFEENNFCKYNDQRVRLLISSSYLIALICGLISMALNSKWGRRPLLQIGSFSLLVGVILNAASNNIFMLIGGRVVLGLGFGFCSQVIPLYILEFPDSVSQDSMFIFHSFANCLGTLVANILGLVFMSHLENGWAVLIGCLSVPALFLFIFSFSIDETPVSLVLHGNLRKGKEVLRKIRGIGDVDSEFNKILVDFGPAKSLKSQYQYMVKRSSRPTLTIALLHLIFQQLGGPTVILFFGPVFFVSVGFSGVLSKISAQIVLAASAVGTLLSPYLISRFGRRNMLLGGCTLMFMTELLIGVMLHSLEPFGFLKKKAAVGLIVLAIICSISFASTSGPLDWSSTTFPPESQVMGSFLSTGFSMMLSFVISQGYLSAICWFHFWIFIVIAIFISLFWIVIYSLIPETTNIPADELVHKVWNKHWFWKRFTVEHVVE >EOX92426 pep chromosome:Theobroma_cacao_20110822:1:6770056:6795294:-1 gene:TCM_001379 transcript:EOX92426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 3 MLQGGGTDRKDSSQDAVCTCGTCPPPRVLNYGRFVKLQKKYEKKIRKREEPTAVCTCGTCHLETRSNLDVKNDTGHGSTSVSASTSQETSRLLQGSSEIEIDNGTASTSASVSGSTETKFNQDVKDVGQGSTSVSATHPQETNWLLLGTTEIEIDNSTGSLETNSSLAHHSLIDVLEGPATIGAFRSRVTGAMVICWAVVILSGFILGYDIGVSGLITVEKSFSWNNIHGLSFEKIHFEENNFCKYNDQRVRLLISSSYLIALICGLISMALNSKWGRRPLLQIGSFSLLVGVILNAASNNIFMLIGGRVVLGLGFGFCSQVIPLYILEFPDSVSQDSMFIFHSFANCLGTLVANILGLVFMSHLENGWAVLIGCLSVPALFLFIFSFSIDETPVSLVLHGNLRKGKEVLRKIRGIGDVDSEFNKILVDFGPAKSLKSQYQYMVKRSSRPTLTIALLHLIFQQLGGPTVILFFGPVFFVSVGFSGVLSKISAQIVLAASAVGTLLSPYLISRFGRRNMLLGGCTLMFMTELLIGVMLHSLEPFGFLKKKAAVGLIVLAIICSISFASTSGPLDWSSTTFPPESQVMGSFLSTGFSMMLSFVISQGYLSAICWFHFWIFIVIAIFISLFWIVIYSLIPETTNIPADELVHKVWNKHWFWKRFTVEHVVE >EOX93817 pep chromosome:Theobroma_cacao_20110822:1:16103364:16108956:1 gene:TCM_002757 transcript:EOX93817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MFLTKRLVVKLPRGFPALISASILYSFSAVAPKDAAHHASSLINHPNWKTNQTLKSLVSHMNPRVAAQVILLQNDNASLALQFFRWVCQHSTYCYPITGRIHLLNLLIFSHSFQIAHKAIIDLIKNCSTCENDLLKLMEALDEMRKTGFRLNYPCYSILLVSLAKLNMGVLASSVYKRMVAEGFVLSAIDYRTIINALSKIGFVCQAEMFISKALKLGFGLGTHISTSLVLGYCRQNDLREAFRVLDVMSKRDGCGANSVTYSILIHGLCEVGRVEEAFSLKEGMKEKGCQPSTRTYTVLVKALCDNGLIGKAFDLVGEMSGKGCKPNVYTYTVLIDALCREGKIEDANGMFRQMLKEDVYPGIVTYNALINGYCKEGKIISAFELLSLMEKRNCKPNIRTYNELIEGLCKINRPYKAMLLLGKIVDNGLLPNSITYNILIDGFCKEGHFYMASKIFELMNSLGVNPDGHSYTAIIDGLCKQGSLKLANGLWGKMIKKGINPDEVTFTALMDGFCKIGNTGDASKLFKMMIVNGCLKTCHAFNVFLHILSKECKLTEEYAFFGKILKHGLVPSVVTYTILVGALFQAGKVEQSLSMLKLMKQVGCPPNVYTYTVVVNGLCQIGRVDDAERILHLMFDLGVPPNHVTYTILVKAHVNAGRLNRALDITSFMVKNGYEPNCHIYSALLAGFVSSNKVTKAGSSSFISPLDFGSPPTAENYDECVSRNVLKEMDLDHALKLRAEIEKFGGSVLDFYNFLIVGLCKGGRIVVAEHLTKDILKDGLYPDKACFSIIDWHSKNSNCNECLEVLDLILSHGFLPSFASYCSVIHCMRNKGKIKEAQRLFSDLMKDNSIGEAKAVLPHIEFLVNCDEPEKCIEHLKLIEQMANRERPVI >EOX93363 pep chromosome:Theobroma_cacao_20110822:1:12352788:12362601:-1 gene:TCM_002205 transcript:EOX93363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKLYSKGKVHPSPPPPPPTITDHLSLLPTTILSLTTALSLEDKEVLAYLISCCSFYATNNNNFPNHRGTTSKSIIDVGGDNKEHDPMFKCNCFGCYMCFWARWDTSPNRQLIHEIIEAYEEGLFQEKKQGKKNKKGKRKRICIPDAKHGNDQGSFGKRLVNLNEQLKFVEMNCRGGEEGEVEFERGSIRKIVSFIEDSIWGVWNRN >EOX92263 pep chromosome:Theobroma_cacao_20110822:1:5993291:5997827:-1 gene:TCM_001236 transcript:EOX92263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFDFGDELTIESYRIPWLVWIQILVMLLLVVLLFCFSLSALDLPDRTSSASASLCPESQLDKKPVFKQNTRLVSTCFQASQATGLSTTSTNCMVGVKIVNAFGLTCLKLSLQFQHVGLFEEGIMINILDIGYWQVGEIHSMKGEIATGTSRRIGRGEDTVEKEGPSSKEANQNCYHPCHYLRLAKLAFLKCLGLDSSSESSLTNEQRNER >EOX95973 pep chromosome:Theobroma_cacao_20110822:1:36197789:36201613:-1 gene:TCM_005337 transcript:EOX95973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MSIVCCCPILECVYCLACARWVWQKCLYTAGHESEHWGLATAEEFEAVPRLCRLILSVYEDDLHNPLWAPPGGYGIEPDWVILRKNDQETQGRAPPYMIYLDHDHADIVLAVRGLNLAKESDYAVLLDNKLGQAKFDGGYVHNGLLKAAGWVFDAECEVLRELLEKNPSYTLTFAGHSLGAGVVALLVMVAVKNRGKLGFMERKRIRCYAIAPARCMSLNLAVRYADVINSVVLQDTCTVEEKMLKDPRRLYAPGRLYHIVERKPCRIGRYPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIERESQRALDLMLEKDGMMQIPAKQKMERQESLAREHSEEHKAAMRRAVALDIPQAYTPLSYGTFHEMEEAEFSGRSSEEVTELSSRKRRESWDEFVERLFEVDESGHMVFKKSVS >EOX95972 pep chromosome:Theobroma_cacao_20110822:1:36198056:36200603:-1 gene:TCM_005337 transcript:EOX95972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MSIVCCCPILECVYCLACARWVWQKCLYTAGHESEHWGLATAEEFEAVPRLCRLILSVYEDDLHNPLWAPPGGYGIEPDWVILRKNDQETQGRAPPYMIYLDHDHADIVLAVRGLNLAKESDYAVLLDNKLGQAKFDGGYVHNGLLKAAGWVFDAECEVLRELLEKNPSYTLTFAGHSLGAGVVALLVMVAVKNRGKLGFMERKRIRCYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTTTALEDVFKSLFCLPCLLCLICLKDTCTVEEKMLKDPRRLYAPGRLYHIVERKPCRIGRYPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIERESQRALDLMLEKDGMMQIPAKQKMERQESLAREHSEEHKAAMRRAVALDIPQAYTPLSYGTFHEMEEAEFSGRSSEEVTELSSRKRRESWDEFVERLFEVDESGHMVFKKSVS >EOX92322 pep chromosome:Theobroma_cacao_20110822:1:6276279:6281251:1 gene:TCM_001282 transcript:EOX92322 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 13 MSSSSQAMLNQGLFEEQEMPTQMGFFAFPANLSCPAPLGCHQSLKAFSIESADAPSAANLTETLLSSATTAKHRDDSASDFGGPQLLSLQRSSANFWAWGEVNECLGSKKIGVDDHLGVSAMKMKRIKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHVHSPSHDLEDSQAPSQLNNFFW >EOX92458 pep chromosome:Theobroma_cacao_20110822:1:6931000:6937097:-1 gene:TCM_001403 transcript:EOX92458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-containing-like protein MDHAYMIYNFFFFKVFPDHKICECHVLFTRLEILNISGNHLTDACGSYLSTILEKCKALYSLNVERCSITSRTIQKVVDALDIGSALTITLLAGYNNPISGNFALVGLSQQTNAIAITNLLRKLAKMKRFSNLSLNGLKLSKPVVAGLCHLSKTSCLSRLMLEGTGIGTDGALGLTQTFFSSTHEPLKLDLSYCGVTSTYVYQINTDVTFISGILELNLGGNPIMLEVLDFGCETQVQFICSGANKIDWNMIGAMNTGWQCISITAHQSSMLSKSFDPEQVSAGDGWNSSNNSGTSRACSKLEVADSEDDEIRVGTVASEFDDSCASSCQRNSSMEGQFIQELSTAIGMVKQVQGFGS >EOX95997 pep chromosome:Theobroma_cacao_20110822:1:36264550:36265950:1 gene:TCM_005356 transcript:EOX95997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRKVYSTVFLLICFLFLVADCFLVEAYTCKPSGKIRGKKPPPKKCNKEHDSDCCVEGKLYDTYKCSPEVSNHTKGFLTLNGFDKGQDGGGPSECDKRYHKNSELVVALSTGWFHKQKRCLKFINIYGNGRSVQAKVVDECDSTMGCDDEHDYQPPCDNDIVDASDAVWEALGVSKGQRGGMDIYWSDA >EOX96095 pep chromosome:Theobroma_cacao_20110822:1:36587956:36591561:1 gene:TCM_005428 transcript:EOX96095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MFEAEAIGLGAMYETGTIRVPKPFKVGPLRNSGSYIIMEFIEFGVSRSNQSVLGRKLAEMHKAGKSEKGFGFPVDNTIGSTPQINTWCSDWIQFYGEQRLGYQLKLAQDQYGDSTIYQKGQRLIKNMAPLFENISIEPCLLHGDLWSGNISSDKNGEPVILDPACYYGHSEAEFGMSWCAGFGGSFYGSYFEVMPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKV >EOX96094 pep chromosome:Theobroma_cacao_20110822:1:36587956:36591810:1 gene:TCM_005428 transcript:EOX96094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MVAHLGLISLGVSFPSLPSLPRLGFTKRRPFATAAMSEDPIQEWILTEGKATQITRIRPIGGGCINLANCYDTDAGSFFVKTNRSIGPSMFEAEAIGLGAMYETGTIRVPKPFKVGPLRNSGSYIIMEFIEFGVSRSNQSVLGRKLAEMHKAGKSEKGFGFPVDNTIGSTPQINTWCSDWIQFYGEQRLGYQLKLAQDQYGDSTIYQKGQRLIKNMAPLFENISIEPCLLHGDLWSGNISSDKNGEPVILDPACYYGHSEAEFGMSWCAGFGGSFYGSYFEVLQQQPLEALLNSFNVVPADETAQA >EOX96093 pep chromosome:Theobroma_cacao_20110822:1:36587956:36591810:1 gene:TCM_005428 transcript:EOX96093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MVAHLGLISLGVSFPSLPSLPRLGFTKRRPFATAAMSEDPIQEWILTEGKATQITRIRPIGGGCINLANCYDTDAGSFFVKTNRSIGPSMFEAEAIGLGAMYETGTIRVPKPFKVGPLRNSGSYIIMEFIEFGVSRSNQSVLGRKLAEMHKAGKSEKGFGFPVDNTIGSTPQINTWCSDWIQFYGEQRLGYQLKLAQDQYGDSTIYQKGQRLIKNMAPLFENISIEPCLLHGDLWSGNISSDKNGEPVILDPACYYGHSEAEFGMSWCAGFGGSFYGSYFEVMPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKV >EOX93217 pep chromosome:Theobroma_cacao_20110822:1:11328347:11331355:1 gene:TCM_002057 transcript:EOX93217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyubiquitin 10 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVDSSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >EOX95545 pep chromosome:Theobroma_cacao_20110822:1:34637908:34641472:-1 gene:TCM_005017 transcript:EOX95545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphorylase 1 MSEADENAAKEVEASRQPVPRLNERILSSLSRRSVAAHPWHDLEIGPGAPHVFNCVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDCLVIMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYKHYTDIKDLPPHRLSEIRRFFEDYKKNENKEVAVNDFLPSTTAFEAIQYSMDLYAEYILHTLRR >EOX93929 pep chromosome:Theobroma_cacao_20110822:1:17498996:17506398:1 gene:TCM_002928 transcript:EOX93929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVIAVFSNWFSSYPPKPVPSSFHRRPLKQPGCFIFPPYRVSADNTRQLSAYHFFRQPTFRTRSFQHEDTENIGAAEPKDKLEKGDRAADEVLENQTSGTDRTGSSFLAKLAIALGVAAALTVISFGLKGASFGSLLGVQHLAEGSSSSIMDSSLGFTFKAFGYRFVLPEYAPGWIYFWLLMAAGFGLFISEEALNIWVGITLARMLSLDGTWHSFVESLSRNTPYIISTVLWVYWGVCISDMIPFYFGKLFRQSGASDDVCSKLGIGEEKVLHITRVVQKYGNLIGFIERFSLGVRNPTAFLAGSLGISPEFFFAGVCCGGLVTVPIQLGIGFLMRKRPVFALATVATVVGIWTALPYVVAAATALFLFLRHRYST >EOX96455 pep chromosome:Theobroma_cacao_20110822:1:37865018:37868234:1 gene:TCM_005701 transcript:EOX96455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor serine/threonine kinase MNSKRQSLSPKKKNQHDELGLTGTSPFPGGFLSMPSHESSGKHNKQQTPFASLLAMPVLNLLIIALFFLPICNSMKESSCSSYCGNLSIQYPFRLRTDPANCGHFLYELACEHERTVLKIDHGRFYVESISYDDETLQAVDPGLKKNDCSSLPRYSWTQDNMSYVNVYRDNDYSHKCEFSEYLDASPCITNSSVPQAHHLYVFLGNLPAASLSYSCDYFMMTPAVIQTIGNLTYADIHKQLTKGYELSWSQFFCLICAFNGGYCLYSFNLGEYKSDKRICRRGPLAGVNFSGVGRYLWSKIKGKPPKLSTIQGYFTVARTTVGILCMSVFLIYKWRKSASIDEYVEEFLRNYENLKLRKFSYSDIKKMTGGFKEQLGQGGFGSVFKGKISDGCLVAVKMLTKAKGDGRDFMNEVATIGIVRHVNVVQLLGFCFEGSKKALIYEYMPNGSLDKYLFSQEDVCALSWSRMYEIALAIAHGIEYLHRGCPVRILHLDIKPHNILLNEDFTPKISDFGLAKLYPRHDSVVSLTNARGTMGYMAPELLYKNIGGISTKSDVYSFGMLLMEMAGRRKNLDPFVENLSQIYFPSWIYDQLEQKGEVEIKDATAEGKDIGNRMIIIALWCIQLKPADRPSMTKVVEMLEDTSEPLQLPPKPALAPDRKRS >EOX94143 pep chromosome:Theobroma_cacao_20110822:1:22716188:22740708:-1 gene:TCM_003416 transcript:EOX94143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSHEEEVFSICVSGMAPKKRTHKSGSKTRFDHWKFVSTDAIERHMQFLVHKVLIQESGIDLNLELYSFVHKVITRWQWEKFCDQPEAAVLPRVYNILRQCR >EOX94611 pep chromosome:Theobroma_cacao_20110822:1:30447847:30452432:-1 gene:TCM_004239 transcript:EOX94611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine repetitive matrix protein 2 isoform 2 MFEFKEGSARSPWKFSKEAPRLSLDSRAVVDAKGSLKPREIRTNATILSANQCENIGEEDGMDDNDKQRRSPSVIARLMGLEPLQDSDPEPNRKAELRRSASEARGRDLFQYRFIDGVNFHLKQSQQPNFQNGGASSNVVREKGAKQDQVISNRSEGLRNARAEPVKAPVRGMGQRKCFYDSADFFPEPKQTVSIYGEIEKRLKLRGIDEPSKDLETLKQILEALQLKGLLHTRKPPNQTNNRNFVYEREESPIVVIKPGRSPSSPVRRIGNDSPPSSYRSRPGARRNMNLESPPTMSPRRDRPEGERNVRNQSRGRGAISPTRSECGVKSPNRRQLSVENQRRNGNVEQRRVSPVQSPRVNVRRTGLDQTTSRSPRNRKSTAEIYQKEEKVFFPAEDEASTVSESSIGTCSQTDTERSKVEEYKEGKSLLERCDKLLHSIAEMTATNELQPSPVSVLDSSFYKEESSPSPVMKRSIDFKDQLVESEDDMWSPAISSAESKFEDKTDDCDFIYISDILRASNYFPEDSDVFLLLEKQQYLKGKDTSKVSRLQRKLIFDTINEILNRKRQLPPWKLISCTNSWAGQTSLQQIWSEFQKIRERDSSDDLFEVICGVLRKDLAGDGWGDCPIEMSEAVLDLERLIFKDLIGETIRDLAAIFGKSNKIPAPRRKLVF >EOX94610 pep chromosome:Theobroma_cacao_20110822:1:30447666:30452319:-1 gene:TCM_004239 transcript:EOX94610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine repetitive matrix protein 2 isoform 2 MTTGLVQDQNLEKQMGCMAGFLQIFDRHQLLTGKRLYSTKRLPPTPASETTPEDEKTVESPAISRELEKQPQGRSAPSPDRSKQSPVISELRSPAPEPSTPTGNQNKTPLPFPMFEFKEGSARSPWKFSKEAPRLSLDSRAVVDAKGSLKPREIRTNATILSANQCENIGEEDGMDDNDKQRRSPSVIARLMGLEPLQDSDPEPNRKAELRRSASEARGRDLFQYRFIDGVNFHLKQSQQPNFQNGGASSNVVREKGAKQDQVISNRSEGLRNARAEPVKAPVRGMGQRKCFYDSADFFPEPKQTVSIYGEIEKRLKLRGIDEPSKDLETLKQILEALQLKGLLHTRKPPNQTNNRNFVYEREESPIVVIKPGRSPSSPVRRIGNDSPPSSYRSRPGARRNMNLESPPTMSPRRDRPEGERNVRNQSRGRGAISPTRSECGVKSPNRRQLSVENQRRNGNVEQRRVSPVQSPRVNVRRTGLDQTTSRSPRNRKSTAEIYQKEEKVFFPAEDEASTVSESSIGTCSQTDTERSKVEEYKEGKSLLERCDKLLHSIAEMTATNELQPSPVSVLDSSFYKEESSPSPVMKRSIDFKDQLVESEDDMWSPAISSAESKFEDKTDDCDFIYISDILRASNYFPEDSDVFLLLEKQQYLKGKDTSKVSRLQRKLIFDTINEILNRKRQLPPWKLISCTNSWAGQTSLQQIWSEFQKIRERDSSDDLFEVICGVLRKDLAGDGWGDCPIEMSEAVLDLERLIFKDLIGETIRDLAAIFGKSNKIPAPRRKLVF >EOX92960 pep chromosome:Theobroma_cacao_20110822:1:9597286:9604769:-1 gene:TCM_001820 transcript:EOX92960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 29 MWCTFPISLPLLFRVLLLALFSIPFVSTVSIHAKKQSQPPNADLQPTTMVPSEAEILFKIMESMSSDQTWRVSYPNPCKPGSSWPGIECKPGSDNFLHVSRLDFGSSPNPTCKRTATFPSQIFTLPYLQSVFFFNCFTHTKTSLSFPPNNLSNSSLQQLSLRSNPALFGPIQPQISSLKALQILTLSQNHFTGPIPVEIFSLTSLVHIDLSYNMLKGSIPTQVGNLINLVGLDLSYNSLTGSIPDMIGQLAMLQKLDLSSNSLVGSIPDSIEKLKFLVFLALSNNRLGGNFPKGLFMLQSLQYFIMDDNPMFITLPVELGMLVKLQELRLANSGYSGSIPPSLSMLMNLSTLSLQNNRLTGEIPMSFGSFSHIYHLNLSKNLLDGVVPFNANFLERLGRNLDLHGNPGLCLSSAEADSVKIGVGICSKNLSLSKPVKKSQAAPGLSYSFFLFGVLGVFRLLLAQSKALDNYIRSKH >EOX92857 pep chromosome:Theobroma_cacao_20110822:1:8995454:9015218:-1 gene:TCM_001715 transcript:EOX92857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen activated protein kinase kinase isoform 1 MEETRDDAGPAEQGPSNATWWSSDFIEKFGSVSLGSHEESLSNRESPRNFEQDVLSSQTASQILWNTGMLSEPIPNGFYSVIPDKRLKEMFDTIPTLEELHALGGEGYRADIILVDFEKDKKLSMLKQLIVALVKGLNSNPPAMIKKIAGLVSDFYKRPTAESPAKAALEETSDMFENRSVQMLGQIKHGSCRPRAILFKVLADTVGLESRLMVGLPNDGAVECADSYKHMSVIVVLNSVELLVDLMRFPGQLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSDKVDHPDSAEKDENLQFHRKLDGSSNITGASLRNMMLRSSASIDRKLSLSHSEPNIATTFWRRSRRKVIAEQRTASSSPEHPSFRARARSMLSGDRNPLRDYADDVATSSYRSDGASTSEARRIRRRSISMTPEIGDDIVRAVRAMNETLKQNRLLQERGEDRSFAHSSNSRNESPDLQNVPNFHLDGHDDITGGRSVLYNLSRDQINSQKAISLPSSPHDYRSQTSGRSGVSGYVTNDEMVATWNKVLESPLFNNNPLLAYPEWNIDFSELTVGTRVGIGFFGEVFRGLWNGTDVAIKVFLEQDLTAENMEDFCNEISILSLCCCE >EOX92854 pep chromosome:Theobroma_cacao_20110822:1:8995609:9016285:-1 gene:TCM_001715 transcript:EOX92854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen activated protein kinase kinase isoform 1 MEETRDDAGPAEQGPSNATWWSSDFIEKFGSVSLGSHEESLSNRESPRNFEQDVLSSQTASQILWNTGMLSEPIPNGFYSVIPDKRLKEMFDTIPTLEELHALGGEGYRADIILVDFEKDKKLSMLKQLIVALVKGLNSNPPAMIKKIAGLVSDFYKRPTAESPAKAALEETSDMFENRSVQMLGQIKHGSCRPRAILFKVLADTVGLESRLMVGLPNDGAVECADSYKHMSVIVVLNSVELLVDLMRFPGQLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSDKVDHPDSAEKDENLQFHRKLDGSSNITGASLRNMMLRSSASIDRKLSLSHSEPNIATTFWRRSRRKVIAEQRTASSSPEHPSFRARARSMLSGDRNPLRDYADDVATSRSDGASTSEARRIRRRSISMTPEIGDDIVRAVRAMNETLKQNRLLQERGEDRSFAHSSNSRNESPDLQNVPNFHLDGHDDITGGRSVLYNLSRDQINSQKAISLPSSPHDYRSQTSGRSGVSGYVTNDEMVATWNKVLESPLFNNNPLLAYPEWNIDFSELTVGTRVGIGFFGEVFRGLWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPQLSMITEYMEMGSLYFLIHLSGQKKRLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMTSRPMRDSASAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWEFCFLNRPWEGVPPERVVYAVANEGSRLEIPEGPLGRLISDCWAEPEERPSCEQILSRLLDCEYSLC >EOX92855 pep chromosome:Theobroma_cacao_20110822:1:8996630:9015218:-1 gene:TCM_001715 transcript:EOX92855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen activated protein kinase kinase isoform 1 MEETRDDAGPAEQGPSNATWWSSDFIEKFGSVSLGSHEESLSNRESPRNFEQDVLSSQTASQILWNTGMLSEPIPNGFYSVIPDKRLKEMFDTIPTLEELHALGGEGYRADIILVDFEKDKKLSMLKQLIVALVKGLNSNPPAMIKKIAGLVSDFYKRPTAESPAKAALEETSDMFENRSVQMLGQIKHGSCRPRAILFKVLADTVGLESRLMVGLPNDGAVECADSYKHMSVIVVLNSVELLVDLMRFPGQLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSDKVDHPDSAEKDENLQFHRKLDGSSNITGASLRNMMLRSSASIDRKLSLSHSEPNIATTFWRRSRRKVIAEQRTASSSPEHPSFRARARSMLSGDRNPLRDYADDVATSSYRSDGASTSEARRIRRRSISMTPEIGDDIVRAVRAMNETLKQNRLLQERGEDRSFAHSSNSRNESPDLQNVPNFHLDGHDDITGGRSVLYNLSRDQINSQKAISLPSSPHDYRSQTSGRSGVSGYVTNDEMVATWNKVLESPLFNNNPLLAYPEWNIDFSELTVGTRVGIGFFGEVFRGLWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPQLSMITEYMEMGSLYFLIHLSGQKKRLSWRRRLKMLRDICRGLMCIHRMK >EOX92856 pep chromosome:Theobroma_cacao_20110822:1:8995970:9014784:-1 gene:TCM_001715 transcript:EOX92856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen activated protein kinase kinase isoform 1 MEETRDDAGPAEQGPSNATWWSSDFIEKFGSVSLGSHEESLSNRESPRNFEQDVLSSQTASQILWNTGMLSEPIPNGFYSVIPDKRLKEMFDTIPTLEELHALGGEGYRADIILVDFEKDKKLSMLKQLIVALVKGLNSNPPAMIKKIAGLVSDFYKRPTAESPAKAALEETSDMFENRSVQMLGQIKHGSCRPRAILFKVLADTVGLESRLMVGLPNDGAVECADSYKHMSVIVVLNSVELLVDLMRFPGQLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSDKVDHPDSAEKDENLQFHRKLDGSSNITGASLRNMMLRSSASIDRKLSLSHSEPNIATTFWRRSRRKVIAEQRTASSSSRDQINSQKAISLPSSPHDYRSQTSGRSGVSGYVTNDEMVATWNKVLESPLFNNNPLLAYPEWNIDFSELTVGTRVGIGFFGEVFRGLWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPQLSMITEYMEMGSLYFLIHLSGQKKRLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMTSRPMRDSASAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWEFCFLNRPWEGVPPERVVYAVANEGSRLEIPEGPLGRLISDCWAEPEERPSCEQILSRLL >EOX96496 pep chromosome:Theobroma_cacao_20110822:1:38008571:38012597:-1 gene:TCM_005729 transcript:EOX96496 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELMO/CED-12 family protein isoform 1 MDDRGGSFVAVRRISQGLERGNTCHSTSAEVVAGSAAWLGRGLSCVCAQRRESDARPSFDLTPAQEDCLQRLQNRIDIAYDSSIPEHQNALRALWNAAFPEEQLRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARSFPVLINISMQKSFQDLLRKQEGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPR >EOX96495 pep chromosome:Theobroma_cacao_20110822:1:38008450:38012014:-1 gene:TCM_005729 transcript:EOX96495 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELMO/CED-12 family protein isoform 1 MDDRGGSFVAVRRISQGLERGNTCHSTSAEVVAGSAAWLGRGLSCVCAQRRESDARPSFDLTPAQEDCLQRLQNRIDIAYDSSIPEHQNALRALWNAAFPEEQLRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARSFPKSFQDLLRKQEGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPRTMVGATFLKFLSGNASFPVILFESLVKFALAKNHARAVCF >EOX96494 pep chromosome:Theobroma_cacao_20110822:1:38007972:38012659:-1 gene:TCM_005729 transcript:EOX96494 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELMO/CED-12 family protein isoform 1 MDDRGGSFVAVRRISQGLERGNTCHSTSAEVVAGSAAWLGRGLSCVCAQRRESDARPSFDLTPAQEDCLQRLQNRIDIAYDSSIPEHQNALRALWNAAFPEEQLRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARSFPKSFQDLLRKQEGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPRTMVGATFLKFLSENESAFDLLYCITFKLMDHQWLAMRASYMDFNTVMKATRRQLERELLLEDITRLEDLPSYSLLAR >EOX92680 pep chromosome:Theobroma_cacao_20110822:1:8044246:8059780:-1 gene:TCM_001590 transcript:EOX92680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 10, putative MAPFCLRGRFRNNKRSTLPCKNKKKKSGMKDMQERFEKLKVEMEEISDEQKNIREGQRQVREKFEAIESECEELKRETRLIIQQSARTQIKLVLMFRILKAREQGDLATAANFTQLLRGIVGRENEERQTSGTADQLKLFWLQCSTRITEEDFFRKNSKGWVSDNISNTVNYENLPWNMFFIHAQWYFISGKQPRSPYVRINMDGSAKGQAGLAAAGGVSRDANGNWMADVYDDGSGACAAFPANMDDKTDKNVVFRNVSYHLLAWSVSILPDCKNVVFNTAKISSQVSVVEMVPEELQPSVALPSKDLKALKWDIFVENAGICGAADFTKNGFVDHINTTKDTSDYVWYTTSIIVGENEEFLKKGSHPVLLIESKGHAFHAFVNQELQGSASGNGSHSPFKFENPISLNAGENEIALLSMTVGLQNAGGLYEWVGAGLTSVKIEGLNNGTVDLSMSSWTYKIGLQGEHLGIYKREILAGVNWVSTSEPPKNQPLTWYKVVVDPPSGDEPVGLDMIRVGKGLAWLNGEEIGRYWPIESCKHLECVQECDYRGKFFPDKCLTGCGEPTQRWYHVPRSWFKPSGNILVIFEEKGGDPTKIRFSKRKTSGLCSHIAEDYPMVDQESISKDGNGNDKTRPTVHLKCPKNTWISNVRFGKNECAIELTEEKFDKSLCPGTTKKLAIEAVCS >EOX93608 pep chromosome:Theobroma_cacao_20110822:1:14255280:14259834:-1 gene:TCM_002491 transcript:EOX93608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSSLVSKGEDRLALLLLHHWRLTLELYSLALLKPFHHEARTLNNETVSIELKNGTVVHGTITGVKVRVRNGRGSVGEGSSSCVDAGTSVVAYGIIESSSDSRDTDMSEGMDLSSENIDWMPKSKSEEDVSLSRGNSWENVEEDGDNDGLSNIGSGVEKGKVRLAKNILFNIVHRLREVMIGLMILEGIELYGAKNEKTRYRVYCKGVDVSMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPAAGRPLVRGRGRGRGRGRGRGESLVKQSVNRVAFTLIFLRERKSRQMSLADAADIEQGDYKFPGMAIVVSVASFIILTTVGLYRKQYPVMV >EOX91783 pep chromosome:Theobroma_cacao_20110822:1:3846336:3854235:-1 gene:TCM_000861 transcript:EOX91783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 84, putative MGRAPCCDKANVKKGPWSPEEDAKLKAYIEANGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLFISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKEQQARRAASCLTLKQEMKRESEDYMIPGMVNQASYWPQLPAVMPLTSTNQDPQFKDQESIRNLLVKLGGRFSDDYPQSSASTNPMNLRYPLDVSFAQDQLYENTMNMISSPSSISPINSTCTSQVINSTHFNVNEAAAGPNNMFQGLDGFPAELSELIYSLEGFCGTDNMVDGSSTGTSSVESSSWGDINSLGYPQIVSGFEACQQSMPQVSTFDESSYFGPQ >EOX92682 pep chromosome:Theobroma_cacao_20110822:1:8070962:8090758:-1 gene:TCM_001592 transcript:EOX92682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 3 MKLFLPLLFCFFTLFNSCSAANVTYDRRSLIIDGQRKLLISAAIHYPRSVPGMWPGLVQTAKEGGVDVIESYVFWNGHELSPGKYNFEGRYDLVKFVKIVQQAGMYMILRIGPFVAAEWNFGGVPVWLHYVPGSVFRSDNEPFKYYMQKFMTFIVNLMKQEKLFASQGGPIIIAQVENEYGFYEQYYGEGAKRYVTWAAKMAVSQNIGVPWIMCQQDDAPDPVINTCNSFYCDQFKPNSPNKPKIWTENWPGWFKTFGARDPHRPPEDIAFSVARFFQKGGSVQNYYMYHGGTNFGRTSGGPFITTSYDYEAPIDEYGLPRLPKWGHLKELHRAIKLSEHALLKSEPTNLSLGPSLEADVYDDGSGACAAFLANMDDKTDKNAVFRNVSYHLPAWSVSILPDCKNVVFNTAKISSQASVVEMVPEELQPSVALPSKDLKALKWDIFVENAGIWGAADFTKNGFLDHINTTKDTTDYLWYTTSIIVGENEEFLKKGSHPVLLIESKGHALHAFVNQELQGSASGNGSHSPFKFENPISLKAGKNEIALLSMTVGLQNAGGLYEWVGAGLTSVKIEGLNNGTIDLSMSSWTYKIGLQGEHLGLYKPEILASVNWVSTSEPPKNQPLTWYKVVVDPPSGDEPVGLDMIHMGKGLAWLNGEEIGRYWPIKSSKHLECVQECDYRGKFFPDKCLTGCGEPTQRWYHVPRSWFKPSGNILVIFEEKGGDPTTIRFSKRKTSGLCSHIAEDYPMVDQESISKDGNGNDKTRPTVHLKCPKNTWISNVKFASYGNPTGRCGLYSMGDCHDPNSTFVVEKVCLGKNECAIELTEKNFDKSLCPGTTKKLAIEAVCS >EOX94306 pep chromosome:Theobroma_cacao_20110822:1:27682398:27683029:1 gene:TCM_003895 transcript:EOX94306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRRSTPEIGLGSFNRVNLEGSNAGATMFIRRGTAGEPEGCCCINIYTNSNIQGANNSLLLGSNIKMKNPGVHLYFGDLTLDPGSITRRTAGATLEFGSLFLFVFIPVILFLLLSSLLL >EOX91844 pep chromosome:Theobroma_cacao_20110822:1:4115629:4120337:-1 gene:TCM_000910 transcript:EOX91844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative isoform 2 MSQPLLGVEEEGQSNVSSPSDDCISQNGLGLKERNYLGLSDCSSVDSSGVSSLTEDNKNNLNLKATELRLGLPGSQSPEREPELCLLNSGKLDEKPLFPLLPSKDGICSSSQKTVVSGNKRGFSDTMDGFSEVKGSVYTEKIWMFPGAGSDSESPQTVGHGKYPGNPGVNVMLSARSSGAQASVKKDGPPNALQERPRAPNGTSLKQTGISNNNSSAPAAKAQVVGWPPIRSFRTKTLATSSKNNDEVDGKPGPGALFIKVSMDGAPYLRKVDLRTYSTYRELSSALEKMFSCFTLGQCGSHGSPGKEILSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIETCKRLKIMKSSDAIGLAPRAMEKSKNSN >EOX91845 pep chromosome:Theobroma_cacao_20110822:1:4116260:4119117:-1 gene:TCM_000910 transcript:EOX91845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative isoform 2 MSQPLLGVEEEGQSNVSSPSDDCISQNGLGLKERNYLGLSDCSSVDSSGVSSLTEDNKNNLNLKATELRLGLPGSQSPEREPELCLLNSGKLDEKPLFPLLPSKDGICSSSQKTVVSGNKRGFSDTMDGFSEVKGSVYTEKIWMFPGAGSDSESPQTVGHGKYPGNPGVNVMLSARSSGAQASVKKDGPPNALQERPRAPNGTSLKQTGISNNNSSAPAAKAQVVGWPPIRSFRTKTLATSSKNNDEVDGKPGPGALFIKVSMDGAPYLRKVDLRTYSTYRELSSALEKMFSCFTLGQCGSHGSPGKEILSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIETCKRLKIMKSSDAIGLAKMSICCLDI >EOX91846 pep chromosome:Theobroma_cacao_20110822:1:4115548:4119107:-1 gene:TCM_000910 transcript:EOX91846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative isoform 2 LLGVEEEGQNCSSVDSSGVSSLTEDNKNNLNLKATELRLGLPGSQSPEREPELCLLNSGKLDEKPLFPLLPSKDGICSSSQKTVVSGNKRGFSDTMDGFSEVKGSVYTEKIWMFPGAGSDSESPQTVGHGKYPGNPGVNVMLSARSSGAQASVKKDGPPNALQERPRAPNGTSLKQTGISNNNSSAPAAKAQVVGWPPIRSFRTKTLATSSKNNDEVDGKPGPGALFIKVSMDGAPYLRKVDLRTYSTYRELSSALEKMFSCFTLGQCGSHGSPGKEILSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIETCKRLKIMKSSDAIGLAPRAMEKSKNSN >EOX91847 pep chromosome:Theobroma_cacao_20110822:1:4115548:4120429:-1 gene:TCM_000910 transcript:EOX91847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative isoform 2 MSQPLLGVEEEGQSNVSSPSDDCISQNGLGLKERNYLGLSDCSSVDSSGVSSLTEDNKNNLNLKATELRLGLPGSQSPEREPELCLLNSGKLDEKPLFPLLPSKDGICSSSQKTVVSGNKRGFSDTMDGFSEVKGSVYTEKIWMFPGAGSDSESPQTVGHGKYPGNPGVNVMLSARSSGAQASVKKDGPPNALQERPRAPNGTSLKQTGISNNNSSAPAAKAQVVGWPPIRSFRTKTLATSSKNNDEVDGKPGPGALFIKVSMDGAPYLRKVDLRTYSTYRELSSALEKMFSCFTLGQCGSHGSPGKEILSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIETCKRLKIMKSSDAIQEQQLERLWM >EOX94616 pep chromosome:Theobroma_cacao_20110822:1:30472685:30477312:1 gene:TCM_004245 transcript:EOX94616 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MASSMLNGAGNFTLIRGVTPNKNGFWGSYFHGKHFSSVGLVSSGRISRLGTAMTPKGSISASRPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLNDRNMIVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKEPLKECKIIEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKLGGKACLIGPVYPTFWLSQFFADVWMLFPKEEEYIEWFQKAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLELGPKAEDVSKPVNPFVFLLRFILGAMAATYYVLVPIYMWVKDQIVPEGQPI >EOX90892 pep chromosome:Theobroma_cacao_20110822:1:947131:955594:-1 gene:TCM_000235 transcript:EOX90892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vascular related NAC-domain protein 1 isoform 1 MTGISLKVTPAENPPSGKSRVLVGGGSPVLGNRGRLGEKRKRKPCERRAESGAGRSDSVDGRSFGGNTWPSHQPCAIERSSPPSPPSNLLPLLYSLHISLSLLSLYSLIPSLLHIFFFFFFFFFSFSFSSFVFFFFIASVSNELTNSPAPTDMMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKSKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENGPPQEEGWVVCRAFKKRTNGNGQSKSIEGWDSSYFYDEPSGVSSVVDPIDYISRQPQSFLPQSFLCKQETEADNLNFIHSDQFVELPQLESPSLPLIKRPSSISLISENTSYEEEEQNRMCNTTKKVTDWRALDKFVASQLSQEDRYDGEGVPSFEANNNSDMALLLLQSSGREEGNKLNEFLNSSSDCDIGICIFDK >EOX90893 pep chromosome:Theobroma_cacao_20110822:1:947179:951296:-1 gene:TCM_000235 transcript:EOX90893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vascular related NAC-domain protein 1 isoform 1 MMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKSKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENGPPQFLQEEGWVVCRAFKKRTNGNGQSKSIEGWDSSYFYDEPSGVSSVVDPIDYISRQPQSFLPQSFLCKQETEADNLNFIHSDQFVELPQLESPSLPLIKRPSSISLISENTSYEEEEQNRMCNTTKKVTDWRALDKFVASQLSQEDRYDGEGVPSFEANNNSDMALLLLQSSGREEGNKLNEFLNSSSDCDIGICIFDK >EOX90710 pep chromosome:Theobroma_cacao_20110822:1:423491:424696:1 gene:TCM_000100 transcript:EOX90710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Selection and upkeep of intraepithelial T-cells protein 6, putative MAAFPALPDVHQPVRSISLPSRVHPASVKLEAALNHLEAWKTSSHSAAAVSSGETIQIGLVGLAELYNCVQEIISSPQTKQKLLHYQNGKLVEEALDESVTFLDTCGKGRDLLLKMKEHVQTLQSALRRRRGDLSIEIEVAAYINFRKKVKKELAKCLGALKEIESKIGSSTLLDVDQHLLMVVKALREASSITISVFQSLLLFLSMPSMKTRVRGWSKISKLIPTRFLSSEKEQKVMNEVGSVDLAVYSINGHLKIGDSMAEVEMMQMMLKTLDASIDGFEAGLDCIFKCLVQNRVTFLNIITQQ >EOX95538 pep chromosome:Theobroma_cacao_20110822:1:34591633:34594316:1 gene:TCM_005011 transcript:EOX95538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nam protein 1, putative MNYVKGFRFHPTDAEAIEHLWDKRVLDRDSIVQVGDFLVPVITQLEDICEFQPWELPGRSELEAGDNLWYFFCSPKYKYRNSTRKNRVTREGYWKPTGTPREILTTYNGQEIRGSRQTLVFYRGRVSDQKKNENKTQWVIHEFEIPLNLPNQKSIALCRLKKKYGKVDVSRGEEGQSSHSLPPNLENQATNNAIPKDQLNSNEPLTQSEAFNEYSGNQTTFTTNEQDDDEFVDSLINNDEINTEQRSNQPFFVDENEGPKLPSNFPDHAADDDIPNNQEDFGGLVNQKPLNDCVETLNSGRTSEHDNSSQSSIFIPNDQTTSIGGGNQQATLLAENESSSLAFENHVAESSVPMEHSEFDKFLREGLFMAELSHAPEGVEYYEFSANEQDDEFWNKICFTTDEVLGSKQQNLAESFNFSSVSTMEPLYDPCPMESSRKRLRIESERLNRGEDIEAAPSQE >EOX96701 pep chromosome:Theobroma_cacao_20110822:1:38713726:38715401:1 gene:TCM_005893 transcript:EOX96701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALAYSISQSLVYKCKPLEAVKIKLSSEADERKQSKMVSEIQAKKRCLRCKTLYLDTDNSPTACSFHGHATGEKGFFALAPPHQGIDGDWTDRSGVIVYKWNEKNDRPNTGRANWKKRWSCCQEYDENAPPCSRGWHVSYDDGFTLY >EOX94848 pep chromosome:Theobroma_cacao_20110822:1:31714763:31720998:1 gene:TCM_004460 transcript:EOX94848 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 Ubiquitin ligase family protein isoform 1 MIPWGGLSCCLSGAALYLLGRSSGRDAELLKTVTRVNQLKELAQLLDLESKVLPLIVTISGRVGSETPISCEHSGLRGVIVEETAEQHFLKHNDAGSWIQDSALMLSMSKEVPWYLDDGTGRVYVVGARSAAGFALTVGSEVFEESGRSLVRGTLDYLQGLKMLGVKRIERVLPTGSSLTVVGEAVKDDIGTIRIQKPHKGPFYVSPKTIEQLISNLGKWARLYKYASFGLTIFGVFLITKHAIRYVLERRRRWELQRRVLAAAAKRSGPDNEGNSLAV >EOX94847 pep chromosome:Theobroma_cacao_20110822:1:31714763:31722722:1 gene:TCM_004460 transcript:EOX94847 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 Ubiquitin ligase family protein isoform 1 MIPWGGLSCCLSGAALYLLGRSSGRDAELLKTVTRVNQLKELAQLLDLESKVLPLIVTISGRVGSETPISCEHSGLRGVIVEETAEQHFLKHNDAGSWIQDSALMLSMSKEVPWYLDDGTGRVYVVGARSAAGFALTVGSEVFEESGRSLVRGTLDYLQGLKMLGVKRIERVLPTGSSLTVVGEAVKDDIGTIRIQKPHKGPFYVSPKTIEQLISNLGKWARLYKYASFGLTIFGVFLITKHAIRYVLERRRRWELQRRVLAAAAKRSGPDNEDSIEKAENGSESKRDRIMPDLCVICLEQEYNAVFIQCGHMCCCTTCSSHLTNCPLCRRRIDQVVKVFRH >EOX91409 pep chromosome:Theobroma_cacao_20110822:1:2605416:2606392:-1 gene:TCM_000612 transcript:EOX91409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSHLDAPPPLPPPMPPPPDKLQAVLESPQPPAPAPLMMSPLLPLYKQRSWSPDIFRDEAWLRRKGNSKNRRSKSVTEEDLDELKACIELGFGFDSPEVDQRLSDTLPALGLYYAVTKNYIDTVSKSTSSPSAASDCDSIPSPIGSPHTIFGPGDNPQTVKTRLRQWAQVVACSVRQSS >EOX94937 pep chromosome:Theobroma_cacao_20110822:1:32118474:32120348:1 gene:TCM_004532 transcript:EOX94937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinogalactan protein 26, putative MASLCSLWGIFMVFMAYFSSLAFSSSQLHVKYSTISAAPAFLPSAPPAHPPGAAPYIEPLFPTPRGMAPSPTDSSLPTIPSNPSPPNPDNILAPGPGFALSPSGSSPAATSVSLTSAGALNLTLFLGLLVVYLLQQLSGV >EOX92487 pep chromosome:Theobroma_cacao_20110822:1:7124736:7133348:1 gene:TCM_001432 transcript:EOX92487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative isoform 1 MSVDNHTALIRIRATTFGEMSGSRKRCSKWDSKEERQYSLENVRDAAWPAKAGVSFHDRESEHGYFSPEVGRNGNKWSFMEASDMMKSKHGLPSRESLTGGRGARKDDNINVDCVKNWKTTSPWDGDETYSMRMSPGLDDWRQQNRRHSPKSDWSRSQSFTHKSRSRSWSRSRSRSRSRSPVRGIRRQSGFHERTRSRSGVSTQICKEFMAGRCRRGSQCQFLHQDIQSHEDGWDNRQKKAGGSKYFTPNDGKEYLIKSGRSTDCCNDYLKGNCRRGASCRFAHDGASDGFSRGSINEVSRERESNKRNRVATPERDGEREARRSDVPCKYYAAGNCRNGKYCRFSHHGQARASPERSRGDRGGWGQSLVSVDKLRDGAKFRDADASYNVEKSRNGPKWSDADASNEAEKSWAGPKWSDADASNDVDKSWTGSKWGDTGTYSGAANMSKDINGKVGASESRFPDWSMDERWQHNYDVSGKSSETKVHYETVDIDKDEAIPRKIENAGLSTGVSEPRGAEESLGDMEMSPEWNYRIPSSVKKETSHSSKSQAPIDTSLPAHEKDIAEEASGRVCDGLAASQPISIQKSNFQHDHVMRGSSAVALPSDSNAASRNSAISHIDLNFSSNILQMKSFDQPGPSSSSLPYSNLKVVGQSQVAIPSDSNEVNVKVTQNNLLFQEEKPSNKMNFGDTNTSNGNSGTQSTQNMVNSFASSGGPIEPDSMPTVQPGQDVTFLKQYDPISDSIEPVKKQDTNTKPLGFSIHPVAQKNTADGKPELSANMLLPSSLVGSTNGGDYHNDHSCKREPDSDSHMPNRVEPVASSEVTKENEGVEETKKAQEENKNGPSENVDADDRTDEGKKSKDGKGIRAFKFALVEFVKDLLKPTWKEGQIGKDAYKNIVKKVVDKVTATMQGANIPQTPEKIDQYLSFSKPKLSKLVQAYVEKFQKN >EOX92488 pep chromosome:Theobroma_cacao_20110822:1:7124869:7132759:1 gene:TCM_001432 transcript:EOX92488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative isoform 1 MSGSRKRCSKWDSKEERQYSLENVRDAAWPAKAGVSFHDRESEHGYFSPEVGRNGNKWSFMEASDMMKSKHGLPSRESLTGGRGARKDDNINVDCVKNWKTTSPWDGDETYSMRMSPGLDDWRQQNRRHSPKSDWSRSQSFTHKSRSRSWSRSRSRSRSRSPVRGIRRQSGFHERTRSRSGVSTQICKEFMAGRCRRGSQCQFLHQDIQSHEDGWDNRQKKAGGSKYFTPNDGKEYLIKSGRSTDCCNDYLKGNCRRGASCRFAHDGASDGFSRGSINEVSRERESNKRNRVATPERDGEREARRSDVPCKYYAAGNCRNGKYCRFSHHGQARASPERSRGDRGGWGQSLVSVDKLRDGAKFRDADASYNVEKSRNGPKWSDADASNEAEKSWAGPKWSDADASNDVDKSWTGSKWGDTGTYSGAANMSKDINGKVGASESRFPDWSMDERWQHNYDVSGKSSETKVHYETVDIDKDEAIPRKIENAGLSTGVSEPRGAEESLGDMEMSPEWNYRIPSSVKKETSHSSKSQAPIDTSLPAHEKDIAEEASGRVCDGLAASQPISIQKSNFQHDHVMRGSSAVALPSDSNAASRNSAISHIDLNFSSNILQMKSFDQPGPSSSSLPYSNLKVVGQSQVAIPSDSNEVNVKVTQNNLLFQEEKPSNKMNFGDTNTSNGNSGTQSTQNMVSNEQLTQLTNLSASLAQLFGKGQQLPLLHVALNAHDAMQVNSFASSGGPIEPDSMPTVQPGQDVTFLKQYDPISDSIEPVKKQDTNTKPLGFSIHPVAQKNTADGKPELSANMLLPSSLVGSTNGGDYHNDHSCKREPDSDSHMPNRVEPVASSEVTKENEGVEETKKAQEENKNGPSENVDADDRTDEGKKSKDGKGIRAFKFALVEFVKDLLKPTWKEGQIGKDAYKNIVKKVVDKVTATMQGANIPQTPEKIDQYLSFSKPKLSKLVQAYVEKFQKN >EOX96142 pep chromosome:Theobroma_cacao_20110822:1:36750373:36756811:1 gene:TCM_005461 transcript:EOX96142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase 1 MALKRGLSGVGVQRNRSSGSRLPIAILVFFSVLAPLGFLVGRGLYISDQNSIQSDSSKQNVDWRERLALQSIKSLLTKEVIDVVTSSTADLGPLSLDSFRKGNLSASWKVIGVEASVEDNATSQSNQKATDFKQETSQVKEGKVLDDDHSHDTPAKTLRRQLREKRREKRAAELVQQDAEATVKLENAAIERSKAVDSAVLGKYNIWRKENENDNSDSTVRLMRDQIIMAKVYVSIAKMKNKLELLQELQIRLKESQRALGESTADADLPRSASEKIKAMGQVLSKAREQLYDCKLVTGKLRAMLQIAEEQVRSLKKQSTFLSQLAAKTIPNAIHCLSMRLTIEYYLLPLEKRKFPRSENLENPNLYHYALFSDNVLAASVVVNSTILNAKDPSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLETSAMKEYYFKADHPTTSGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWSVDLHGKVNGAVETCGESFHRFDKYLNFSNPHISRNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQNMNEDRVLWKLGTLPPGLITFYGLTHPLEKSWHVLGLGYNPSVDRREIDRAAVIHYNGNMKPWLELAMTKYRPYWAKYIKYDHPYLRSCNLSE >EOX93789 pep chromosome:Theobroma_cacao_20110822:1:15838417:15845193:-1 gene:TCM_002716 transcript:EOX93789 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein, putative MVETIAQFSSSTATTYLPQPMLTHNGENVVNVVNNKNENGRNGNNKIDPLLNTTNPSINKSLNFLEFNLKLSYPTKVPTKSYPKDYTTPKFKRFNRKTDDAREYVMRRQDEEIVAPFPFSAPLDRVKALLQKWIRDEQINLPYVLRPLIVEEKSNPHYCDYHHVVGHPFAESKNMHKIFHKRT >EOX95640 pep chromosome:Theobroma_cacao_20110822:1:35027639:35030703:1 gene:TCM_005096 transcript:EOX95640 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 2 MRPVFVGNFEYETRQSELERLFSKYGRIERVDMKSGFAFVYFEDERDAEDAIRGLDNIPFGYDRRRLSVEWAKGERGRHRDGSRSTTNQRPTKTLFVINFDPIRTRERDILRHFEPYGKVLHVRIRRNFAFVQFATQEDATKALEATQRSKLLDRVVSVEYALRDDDERDDRYESPRRGGGYRRRGDSPYGRSPSPAYRRRPSPDYGRARSPVYDRYNGPAYDRRKSPEYGRHRSPEHGSGRYRSSQSPARRSRT >EOX95639 pep chromosome:Theobroma_cacao_20110822:1:35027751:35030674:1 gene:TCM_005096 transcript:EOX95639 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 2 MRPVFVGNFEYETRQSELERLFSKYGRIERVDMKSGFAFVYFEDERDAEDAIRGLDNIPFGYDRRRLSVEWAKGERGRHRDGSRSTTNQRPTKTLFVINFDPIRTRERDILRHFEPYGKVLHVRIRRNFAFVQFATQEDATKALEATQRSKLLDRVVSVEYALRDDDERDDRYESPRRGGGYRRRGDSPYGRSPSPAYRRRPSPDYGRARSPVYDRYNGPAYDRRKSPEYGRHRSPEHGSGRYRSQSPARRSRT >EOX95641 pep chromosome:Theobroma_cacao_20110822:1:35027541:35030703:1 gene:TCM_005096 transcript:EOX95641 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 2 MRPVFVGNFEYETRQSELERLFSKYGRIERVDMKSGFAFVYFEDERDAEDAIRGLDNIPFGYDRRRLSVEWAKGERGRHRDGSRSTTNQRPTKTLFVINFDPIRTRERDILRHFEPYGKVLHVRIRRNFAFVQFATQEDATKALEATQRSKLLDRVVSVEYALRDDDERDDRYESPRRGGGYRRRGDSPYGRSPSPAYRRRPSPDYGRARSPVYDRYNGPAYDRRKSPEYGRHRSPEHGSGRYRSQSPARRSRT >EOX95642 pep chromosome:Theobroma_cacao_20110822:1:35029134:35030519:1 gene:TCM_005096 transcript:EOX95642 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 2 YVYQPFLHIHAGFAFVYFEDERDAEDAIRGLDNIPFGYDRRRLSVEWAKGERGRHRDGSRSTTNQRPTKTLFVINFDPIRTRERDILRHFEPYGKVLHVRIRRNFAFVQFATQEDATKALEATQRSKLLDRVVSVEYALRDDDERDDRYESPRRGGGYRRRGDSPYGRSPSPAYRRRPSPDYGRARSPVYDRYNGPAYDRRKSPEYGRHRSPEHGSGRYRSQSPARRSRT >EOX95638 pep chromosome:Theobroma_cacao_20110822:1:35027423:35030792:1 gene:TCM_005096 transcript:EOX95638 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 2 MRPVFVGNFEYETRQSELERLFSKYGRIERVDMKSGFAFVYFEDERDAEDAIRGLDNIPFGYDRRRLSVEWAKGERGRHRDGSRSTTNQRPTKTLFVINFDPIRTRERDILRHFEPYGKVLHVRIRRNFAFVQFATQEDATKALEATQRSKLLDRVVSVEYALRDDDERDDRYESPRRGGGYRRRGDSPYGRSPSPAYRRRPSPDYGRARSPVYDRYNGPAYDRRKSPEYGRHRSPEHGSGRYRSQSPARRTSTLYFHLFSNIRIEKSPPRNQRCLWLCGIMK >EOX96241 pep chromosome:Theobroma_cacao_20110822:1:37083149:37087112:1 gene:TCM_005528 transcript:EOX96241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKACAGWRRFLFCLPLIFILTHLFSVLELLQNQTVGDAPKKNTKKFDDQNLVLGDVPKKNGKKFDHLVLGPAAGEGLPNRLQCQGVKALNKPYFSNPSHASGVGDGIAFVTVFTIYNNSPDSLVNSRSSNLVTVGNASYSKLDRSMAILNTFINFIQVRMPQSNVIILTDPESDLSVHRNRVTVHPIQGEYSRDKLMLQRIRSYITFLEARLEKLSQEQGRITHFIFTDSDIAVIDDLGQIFDKYQNFHLALTFRNNKDQPLNSGFIAVQGTHDGILRAIHFLQKVFEVYSSRYMKASRMLGDQLALAWVVKSHPSFDAKRFSKAQAFTEDIDGASVLFLPCAMYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLEAWNFLSSSADISDMLCLILKSGRTKYDF >EOX96240 pep chromosome:Theobroma_cacao_20110822:1:37083149:37087112:1 gene:TCM_005528 transcript:EOX96240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKACAGWRRFLFCLPLIFILTHLFSVLELLQNQTVGDAPKKNTKKFDDQNLVLGDVPKKNGKKFDHLVLGPAAGEGLPNRLQCQGVKALNKPYFSNPSHASGVGDGIAFVTVFTIYNNSPDSLVNSRSSNLVTVGNASYSKLDRSMAILNTFINFIQVRMPQSNVIILTDPESDLSVHRNRVTVHPIQGEYSRDKLMLQRIRSYITFLEARLEKLSQEQGRITHFIFTDSDIAVIDDLGQIFDKYQNFHLALTFRNNKDQPLNSGFIAVQGTHDGILRAIHFLQKVFEVYSSRYMKASRMLGDQLALAWVVKSHPSFDAKRFSKAQAFTEDIDGASVLFLPCAMYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLEAWNFLSSSADISDMLCLILKSGRTKYDF >EOX96242 pep chromosome:Theobroma_cacao_20110822:1:37083149:37087112:1 gene:TCM_005528 transcript:EOX96242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKACAGWRRFLFCLPLIFILTHLFSVLELLQNQTVGDAPKKNTKKFDDQNLVLGDVPKKNGKKFDHLVLGPAAGEGLPNRLQCQGVKALNKPYFSNPSHASGVGDGIAFVTVFTIYNNSPDSLVNSRSSNLVTVGNASYSKLDRSMAILNTFINFIQVRMPQSNVIILTDPESDLSVHRNRVTVHPIQGEYSRDKLMLQRIRSYITFLEARLEKLSQEQGRITHFIFTDSDIAVIDDLGQIFDKYQNFHLALTFRNNKDQPLNSGFIAVQGTHDGILRAIHFLQKVFEVYSSRYMKASRMLGDQLALAWVVKSHPSFDAKRFSKAQAFTEDIDGASVLFLPCAMYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLEAWNFLSSSADISDMLCLILKSGRTKYDF >EOX96239 pep chromosome:Theobroma_cacao_20110822:1:37083149:37087112:1 gene:TCM_005528 transcript:EOX96239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKACAGWRRFLFCLPLIFILTHLFSVLELLQNQTVGDAPKKNTKKFDDQNLVLGDVPKKNGKKFDHLVLGPAAGEGLPNRLQCQGVKALNKPYFSNPSHASGVGDGIAFVTVFTIYNNSPDSLVNSRSSNLVTVGNASYSKLDRSMAILNTFINFIQVRMPQSNVIILTDPESDLSVHRNRVTVHPIQGEYSRDKLMLQRIRSYITFLEARLEKLSQEQGRITHFIFTDSDIAVIDDLGQIFDKYQNFHLALTFRNNKDQPLNSGFIAVQGTHDGILRAIHFLQKVFEVYSSRYMKASRMLGDQLALAWVVKSHPSFDAKRFSKAQAFTEDIDGASVLFLPCAMYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLEAWNFLSSSADISDMLCLILKSGRTKYDF >EOX93087 pep chromosome:Theobroma_cacao_20110822:1:10350844:10354232:1 gene:TCM_001933 transcript:EOX93087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKLMLSLAGFRSAFGVMSAYRDVAAVVTGPMGVSGRDTMFMISAKSMDSHTWQNILKSRDVLSKGIEVNINNGHSTSFWFDVWLDSSRLVNLATRSLSKVEISLLVASYCDDTGNWDFDFLS >EOX91377 pep chromosome:Theobroma_cacao_20110822:1:2506304:2512044:-1 gene:TCM_000593 transcript:EOX91377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 1D isoform 3 MVGASSLQPRMISSFVGDRLVQSRQPLSQLFNYNPGCKHVSMQSSRTFSGLTNLLFNRRCNLEEVPNSKRKRLRPGKISPRRLVPNHIQRPPYVKTRMAPGIASGHEVHDLKGIECMRASGRLAAQVLNYAGTLVKPGITTDEIDQAVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSCPLEDGDIINIDVTVYLNGYHGDTSATFFCGDVDEEASNLVKVTKECLDKAISICAPGVEFKKIGKTIHDHADKFRYGVVRQFVGHGVGRVFHADPVVLHFRNNGGGCMVLNQTFTIEPMLTIGSINPVMWDDNWTVITADGSLSAQFEHTILITEHGAEILTHCED >EOX91379 pep chromosome:Theobroma_cacao_20110822:1:2506289:2511757:-1 gene:TCM_000593 transcript:EOX91379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 1D isoform 3 MVGASSLQPRMISSFVGDRLVQSRQPLSQLFNYNPGCKHVSMQSSRTFSGLTNLLFNRRCNLEEVPNSKRKRLRPGKISPRRLVPNHIQRPPYVKTRMAPGIASGHEVHDLKGIECMRASGRLAAQVLNYAGTLVKPGITTDEIDQAVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSCPLEDGDIINIDVTVYLNGYHGDTSATFFCGDVDEEASNLVKVTKECLDKAISICAPGVEFKKIGKTIQQISLWCCPAICWPWGWTGFSR >EOX91378 pep chromosome:Theobroma_cacao_20110822:1:2506289:2511562:-1 gene:TCM_000593 transcript:EOX91378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 1D isoform 3 MQSSRTFSGLTNLLFNRRCNLEEVPNSKRKRLRPGKISPRRLVPNHIQRPPYVKTRMAPGIASGHEVHDLKGIECMRASGRLAAQVLNYAGTLVKPGITTDEIDQAVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSCPLEDGDIINIDVTVYLNGYHGDTSATFFCGDVDEEASNLVKVTKECLDKAISICAPGVEFKKIGKTIHDHADKFRYGVVRQFVGHGVGRVFHADPVVLHFRNNGGGCMVLNQTFTIEPMLTIGSINPVMWDDNWTVITADGSLSAQFEHTILITEHGAEILTHCED >EOX96638 pep chromosome:Theobroma_cacao_20110822:1:38478819:38485258:-1 gene:TCM_005846 transcript:EOX96638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase 1 isoform 2 MDSSTRSSVVVIGAGISGISAAKVLAENGIEDLVILEASDRIGGRIRKENFGGVSVELGAGWIAGVGGKESNPVWEIAAQLGLRTCFSDYSNARYNIYDRSGKIFPSGIAADSYKKAVDSAIQKLRDLDANCVDDASKGTDQPLTPKTPIELAIDFILHDFEMAEVEPISTYVDFGEREFLVADERGYEYLLHKMAEDFLFTSEGKILDSRLKLNKVVRELQHSRNGVTVKTEDGCVYEANYVILSASIGVLQSDLISFRPPLPRWKTEAIEKCDVMVYTKIFLKFPCKFWPCGPEKEFFIYAHERRGYYTFWQHMENAYPGSNILVVTLTNGESKRVEAQSDEETLKEAMGVLRDMFGPDIPNATDILVPRWWNNRFQRGSYSNYPIISNNQVVNDIKAPVGRIFFTGEHTSERFNGYVHGGYLAAGIDTSKAALEEIRKDERKNENQTFLLEPLLALTLTQTDAVSGLHKCDVPTQLYLSSKLGAIPEAIL >EOX96637 pep chromosome:Theobroma_cacao_20110822:1:38478015:38485324:-1 gene:TCM_005846 transcript:EOX96637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase 1 isoform 2 MDSSTRSSVVVIGAGISGISAAKVLAENGIEDLVILEASDRIGGRIRKENFGGVSVELGAGWIAGVGGKESNPVWEIAAQLGLRTCFSDYSNARYNIYDRSGKIFPSGIAADSYKKAVDSAIQKLRDLDANCVDDASKGTDQPLTPKTPIELAIDFILHDFEMAEVEPISTYVDFGEREFLVADERGYEYLLHKMAEDFLFTSEGKILDSRLKLNKVVRELQHSRNGVTVKTEDGCVYEANYVILSASIGVLQSDLISFRPPLPRWKTEAIEKCDVMVYTKIFLKFPCKFWPCGPEKEFFIYAHERRGYYTFWQHMENAYPGSNILVVTLTNGESKRVEAQSDEETLKEAMGVLRDMFGPDIPNATDILVPRWWNNRFQRGSYSNYPIISNNQVVNDIKAPVGRIFFTGEHTSERFNGYVHGGYLAGIDTSKAALEEIRKDERKNENQTFLLEPLLALTLTQTDAVSGLHKCDVPTQLYLSSKLGAIPEAIL >EOX93687 pep chromosome:Theobroma_cacao_20110822:1:15076002:15076868:-1 gene:TCM_002597 transcript:EOX93687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVLYHLLHALLWCVSAYIAKKHTRRCKYIICYCSWHELTTWFSAHLSLNIIFNSLIKGADKFNWIWTA >EOX94188 pep chromosome:Theobroma_cacao_20110822:1:25008324:25015835:1 gene:TCM_003625 transcript:EOX94188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGEMLVSLENLGTSKGGLKGNAQQRERPQDRGLCSGEKKRALRRAINNSRPNMVFVQETKIQNPYDGLDEKIWLKESVQGRAIKSEGRASGLLCIWQEGFFKVEEVISHKNYLFLIGMARGIRTKVGFGNVYTSNDEKEQNVVWDELSQIIAGGDISWVLGGDFKSVRNEEERIGRGEVNRTAASFDHFINEVGLIDLSLTGSKFTWCNNKEEPAFSKLDKKKENKLNSTLEIRNHNVSELEGVKNEVARYFTRFYKNQKVLTFREFNCDVRAISGETLDFLERKFSVEEVWELFVVVMETKLWVENNLQMAVGNGCINEFGHWKHDLWRWDVELRRMPLGWEERQWSQFRATVEEYHLNKEIEDMLMWNRSALGNYIVKAFGKELMHSNDSNREGWKEVWAKLAPFRIKVFCWQLLHERVVVKHELVRRGLLSVDVARCALCHEQQETVEEWVKPSQGKMKFNVDGAAKRSLGEVGIRGVLKDENESFKITFSKAIRMGDASFAENAVKWVNNPREAPWRIRKWILHIERLKKSIQKWKDCPYDSVMNMLTDVYSCANFLVIYEWD >EOX90624 pep chromosome:Theobroma_cacao_20110822:1:167888:172296:-1 gene:TCM_000041 transcript:EOX90624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MAAALECWSSRATTDDDMVEQVLMRTNDRSEESSSSSASAKAEAPSAMHKRFQRLSRNVSEAIASLKNSLNLDSSQRDQHLPPPVSVHSASKVETCRKVVWGTVVRNLTQLYPGSQLPEKLVSNIRKHYDSLPLSYAQAGFDMKEVFLHIKLIEQASGDDHPAIFIQQVSEDEVQGSVFKLTFACNSSFSWSAMSGALDTASICCKKIQIFEKKGFTLGIILFLVQPAQDKLFKSHIENALKSAIKKPKMTTVKLPFGLCGCQEENTKGRDFGEIEEDAIEQTHRNGIENSNTKIQLQMPLPTSSFVVLVDEWQTIQSGGDEIGKWLLNSDNLEFVDQIGPNSFKGVYKGKRVGIDKLKGCDKGNSYEFELRKDLLELMTCGHRNILQFYGVCVDENNGLCVVTKLMEGGSVHDLMLKNKKLQIKEIIRIAADVAEGVKFMNDHGVAYRDLNTQRILLDKHSNACLGDMGIVTACKNVGEAMEYETDGYRWLAPEIIAGDPENVTETWMSNSYSFGMVIWEMVTGEAAYSACSPVQAAVGIAACGLRPDIPKDCPQILKSLMTKCWNNCPSKRPQFSEILSLLLRPNNNSKHL >EOX90625 pep chromosome:Theobroma_cacao_20110822:1:167838:172254:-1 gene:TCM_000041 transcript:EOX90625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MAAALECWSSRATTDDDMVEQVLMRTNDRSEESSSSSASAKAEAPSAMHKRFQRLSRNVSEAIASLKNSLNLDSSQRDQHLPPPVSVHSASKVETCRKVVWGTVVRNLTQLYPGSQLPEKLVSNIRKHYDSLPLSYAQAGFDMKEVFLHIKLIEQASGDDHPAIFIQQVSEDEIFEKKGFTLGIILFLVQPAQDKLFKSHIENALKSAIKKPKMTTVKLPFGLCGCQEENTKGRDFGEIEEDAIEQTHRNGIENSNTKIQLQMPLPTSSFVVLVDEWQTIQSGGDEIGKWLLNSDNLEFVDQIGPNSFKGVYKGKRVGIDKLKGCDKGNSYEFELRKDLLELMTCGHRNILQFYGVCVDENNGLCVVTKLMEGGSVHDLMLKNKKLQIKEIIRIAADVAEGVKFMNDHGVAYRDLNTQRILLDKHSNACLGDMGIVTACKNVGEAMEYETDGYRWLAPEIIAGDPENVTETWMSNSYSFGMVIWEMVTGEAAYSACSPVQAAVGIAACGLRPDIPKDCPQILKSLMTKCWNNCPSKRPQFSEILSLLLRPNNNSKHL >EOX94627 pep chromosome:Theobroma_cacao_20110822:1:30578327:30586063:-1 gene:TCM_004262 transcript:EOX94627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MRLQSYPSPRLVFSCTHSLVPTPFAHVYTNNSSSILVHPPMLPQTQIHPPLKIPTLPHQPNTLKDICLRGNLKEAFRSLSVSFNDYPDEIYAPVLELCANKKALSQGLQIHAHVIKSWSVSESVFLGTKLVFMYGKCGSIENAEQVFDQMGQRSIFTWNAMIGAYVSNGEPLGALETYKQMRVLGVSLDAYTFPSLLKASRLLKNLRLGTEIHGLAVKFGCSSTVFVANSLVAMYAKCDDLYGARRLFERMAQKNDVVSWNSIVSAYAANGKSMEALKLFREMQKAGLDRNTYTFVASLQACADYSFRKLGMEIHAAVLKSTQHLDVYVANALISMYVRCCKMSEAVRIFNELDEKDKVSRNSMLTGFIQNGMYHEAMYFFHDFLNAGQKPDQVTIISILVACGRLGYLLNGRELHAHAIKYGFDFDLQVGNTLIDMYAKCGCVNHMGRAFDRMPYKDIISWTTIIAGYAQNNYGLKAFESFREVQLVGMDADPMMIGSLLLACSEVKCVSQVKEIHGYIMRRGLSDVVLENTIIDVYGECGNIDYAVQTFELIKFKDVVSWTSMISACVRNGLANEALKLFHIMNKTGIQPDSVALISLLSGASNLSGLKNGKEIHSFVIRKGFILEGSIASSLVDMYSHCGMVENAYKVFKSVQNKGLVLWTSMINAYGMHGHGKAAIDLFNKMKENLTPDHVTFLAVLYACSHSGLIDEGRRVFEIMKYEYQLEPWTEHYACLVDLLGRANCLEEAYEIVESMQMEPTAEIWCALLGACQVHANKELGEIAAHKLLELDPKNPGHYVLISNVFAARGRCKDAEEIRTRMKERGLKKNPGCSWIEVGNRIHTFMARDKSHPECLEINKKLDQVTKKLEKEGGYVAQTKFVLHNVEENEKVIMLYGHSERLAIAYGLLRTAEGTPIRITKNLRICGDCHTFCKLVSELFGRELVVRDANRFHHFEGGVCSCGDFW >EOX92780 pep chromosome:Theobroma_cacao_20110822:1:8582455:8586702:1 gene:TCM_001657 transcript:EOX92780 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein, putative isoform 1 MRQTVKEDQALLYEDWKENILPIVYSAPLKLSPSSFSVEEYFAAKSLITSRSFEIDEYHGSGMVPLADLFNHKTGAEDVHFTSHQESDDDADSDNTDNSKLSKISGENKKALGAASTGENLFFHGDSESLSVFGDDPVMLEMIMVRDVISGVEVFNTYGSLGNAALLHRYGFTEPNNPFDIVNIDLELVLKWGCSLFSNRYCRARLSLWRRLDYSGCVSENSEYFEITFDGEPQTELLTLLYIMLLPEDACHKLDISICMADKVNGCVGIILSEKHDITWDRSSEISKDLLLTEKVCSALLALADIRESCYGSKSINDDVEALKRCCMKERKLYHSLVLRISERTILEKLRTYATVGAQTFQTAKKKRMKRH >EOX92781 pep chromosome:Theobroma_cacao_20110822:1:8581831:8586767:1 gene:TCM_001657 transcript:EOX92781 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein, putative isoform 1 MRQTVKEDQALLYEDWKENILPIVYSAPLKLSPSSFSVEEYFAAKSLITSRSFEIDEYHGSGMVPLADLFNHKTGAEDVHFTSHQESDDDADSDNTDNSKLSKISGENKKALGAASTGENLFFHGDSESLSVFGDDPVMLEMIMVRDVISGVEVFNTYGSLGNAALLHRYGFTEPNNPFDIVNIDLELVLKWGCSLFSNRYCRARLSLWRRLDYSGCVSENSEYFEITFDGEPQTELLTLLYIMLLPEDACHKLDISICMADKVNGCVGIILSEKHDITWDRSSEISKDLLLTEKVCSALLALADIRESCYGSKSINDDVEALKRCCMKERKLYHSLVLRISERTILEKLRTYATVGAQTFQTAKKKRMKRH >EOX92779 pep chromosome:Theobroma_cacao_20110822:1:8581682:8586923:1 gene:TCM_001657 transcript:EOX92779 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein, putative isoform 1 MASRRLRAFKRWMKSQGIEFSDAIEFTDCPEQGISVRALCDLKGGDVVAQIPKTACLTIKTSGAREMIEAGGLDGSLGLSVALMYEKSLGQDSPWAGYLQLLPSQECLPLVWTLEEVDSLLCGTELHETVKEDQALLYEDWKENILPIVYSAPLKLSPSSFSVEEYFAAKSLITSRSFEIDEYHGSGMVPLADLFNHKTGAEDVHFTSHQESDDDADSDNTDNSKLSKISGENKKALGAASTGENLFFHGDSESLSVFGDDPVMLEMIMVRDVISGVEVFNTYGSLGNAALLHRYGFTEPNNPFDIVNIDLELVLKWGCSLFSNRYCRARLSLWRRLDYSGCVSENSEYFEITFDGEPQTELLTLLYIMLLPEDACHKLDISICMADKVNGCVGIILSEKHDITWDRSSEISKDLLLTEKVCSALLALADIRESCYGSKSINDDVEALKRCCMKERKLYHSLVLRISERTILEKLRTYATVGAQTFQTAKKKRMKRH >EOX93539 pep chromosome:Theobroma_cacao_20110822:1:13851653:13854947:1 gene:TCM_002427 transcript:EOX93539 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1-interacting protein 4 (RIN4) family protein isoform 2 MADKGRPLPKFGEWDVNDPASAEGFTVIFNKARNEKKTGGKVDSPGRKDPTYKQGAVLGKPQSICKLILVSSGSEKMVLLYTSCSCRLKRWLLQRKSFQKKGLIIYTMAMPVSNDPEDWSCMSSYDRSWWNVLVASLSNGDDVSVGREKNVVLCLCALLGCYLHIFCSLVYSNMLCYGLTLLFVTFVM >EOX93538 pep chromosome:Theobroma_cacao_20110822:1:13851336:13854830:1 gene:TCM_002427 transcript:EOX93538 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1-interacting protein 4 (RIN4) family protein isoform 2 MSMTLHQLRDLQLSSTRLEMRKRQVARLTHQGERTPHTSKGLFLESLNLTMFMPPLDASFSNLSKREWSYLEWIAEKMVLLYTSCSCRLKRWLLQRKSFQKKGLIIYTMAMPVSNDPEDWSCMSSYDRSWWNVLVASLSNGDDVSVGREKNVVLCLCALLGCYLHIFCSLVYSNMLCYGLTLLFVTFVM >EOX92699 pep chromosome:Theobroma_cacao_20110822:1:8152010:8157757:1 gene:TCM_001602 transcript:EOX92699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGMCDMSRQYEDLDNLRIVLREKWAFNVTINTHYRIHAQYWKGQQSVKLLALLHTFHGGNFERLGDTTKMALILIANNILFGQDYRRQVMPWLLSLVEDIDAWNAFPWGHYVWRLMLNFFLKGFEVPSSKRAEGSFRLPLLLRRTSTHECANGNTTRSQKTSTRQLRCWSHLNKHIEDRADWSLGAREKRRNLKHKRATGFVKRRRTTTAVVDELSVSKLMEEGDDHGNGSEELLDNATIAPQPLRGPPQTHSANNPSIRSGGPSSHGAGEDHDELGVHIHDDAAGVDGYPVLDADTVIDASAEGEGDRYSVMESSDVHHCATQISDPTEQARVKMASKYRASPYVDPSVSCQDVKSTTVEPYEGFKKDECARRKIRILGDQGVDFFTTLKDLKKEMTSEHIDTCLSVLCKRITRSKSKLYTTRACVVDTIFFDTIHMLHALFPTEYAWSTTEF >EOX94869 pep chromosome:Theobroma_cacao_20110822:1:31820592:31821379:-1 gene:TCM_004481 transcript:EOX94869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7e family protein MDTSRKKIHKDKDAEPTEFEETFAQALFDLENTNQYFKAELQDLYINSAVQMDVSGNRKAVVIYVPYRLRKAFCKVHLRLVRELEKKFSGKDVVLLATRRILRPPKKGFAV >EOX91739 pep chromosome:Theobroma_cacao_20110822:1:3661326:3661939:-1 gene:TCM_000825 transcript:EOX91739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLKNFLMTKMLIVGCDEAGRCPKYFTESSLHSSSKRDPSYNLMQISHCNSNRPQSNGSWLFHNSIVIFENFILKFRNKYFYIRNNYY >EOX93983 pep chromosome:Theobroma_cacao_20110822:1:18076974:18081603:-1 gene:TCM_003008 transcript:EOX93983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal 3-ketoacyl-CoA thiolase 3 isoform 5 MEKALNRQRILLHHLRPSSSSSHQSFDSAAAAAAALFPSICAAGDGSAYHGTAAFANDVVIVAACRTAICKAKRGGFKDTPADDLLAPVLKALIDRTKLNPSEVGDIVVGTVLAPGSQRGIECRMAAFYAGFPDTVPIKTVNRQCSSGLQAVADVALCIKAGLYDIGIAAGLESMTTDKVVPGVSKVNPQVESFAQARDCLLPMGITSENVAQRYGVTRQEQDQAAVESHRRAAAATASGKFKDEIIPVSTKIVDPKTGEEKPVTIVVDDGIRPNTNMADLAKLKPAFRKDGATTAGNASQVSDGAGAVLLMKRSLAMQKGLPILGVFRSFAAVGVDPAVMGIGPAVAIPAAVKSAGIELADIDLFEINEAFASQYVYCCKKLELDSEKVNVNGGAIALGHPLGATGARCVGTLLYEMKRRGKDCRFGVISMCIEAVEWGLQLFLKEETVLMNSAMFELSNITSFYPRMLDR >EOX93984 pep chromosome:Theobroma_cacao_20110822:1:18077394:18081573:-1 gene:TCM_003008 transcript:EOX93984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal 3-ketoacyl-CoA thiolase 3 isoform 5 MEKALNRQRILLHHLRPSSSSSHQSFDSAAAAAAALFPSICAAGDGSAYHGTAAFANDVVIVAACRTAICKAKRGGFKDTPADDLLAPVLKALIDRTKLNPSEVGDIVVGTVLAPGSQRGIECRMAAFYAGFPDTVPIKTVNRQCSSGLQAVADVALCIKAGLYDIGIAAGLESMTTDKVVPGVSKVNPQVESFAQARDCLLPMGITSENVAQRYGVTRQEQDQAAVESHRRAAAATASGKFKDEIIPVSTKIVDPKTGEEKPVTIVVDDGIRPNTNMADLAKLKPAFRKDGATTAGNASQVSDGAGAVLLMKRSLAMQKGLPILGVFRSFAAVGVDPAVMGIGPAVAIPAAVKSAGIELADIDLFEINEVMGFFD >EOX93982 pep chromosome:Theobroma_cacao_20110822:1:18076647:18081835:-1 gene:TCM_003008 transcript:EOX93982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal 3-ketoacyl-CoA thiolase 3 isoform 5 MEKALNRQRILLHHLRPSSSSSHQSFDSAAAAAAALFPSICAAGDGSAYHGTAAFANDVVIVAACRTAICKAKRGGFKDTPADDLLAPVLKALIDRTKLNPSEVGDIVVGTVLAPGSQRGIECRMAAFYAGFPDTVPIKTVNRQCSSGLQAVADVALCIKAGLYDIGIAAGLESMTTDKVVPGVSKVNPQVESFAQARDCLLPMGITSENVAQRYGVTRQEQDQAAVESHRRAAAATASGKFKDEIIPVSTKIVDPKTGEEKPVTIVVDDGIRPNTNMADLAKLKPAFRKDGATTAGNASQVSDGAGAVLLMKRSLAMQKGLPILGVFRSFAAVGVDPAVMGIGPAVAIPAAVKSAGIELADIDLFEINEAFASQYVYCCKKLELDSEKVNVNGGAIALGHPLGATGARCVGTLLYEMKRRGKDCRFGVISMCIGSGMGAAAVFERGDCVDEFCNVRAVKYNEFLSKDAR >EOX93985 pep chromosome:Theobroma_cacao_20110822:1:18076747:18081573:-1 gene:TCM_003008 transcript:EOX93985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal 3-ketoacyl-CoA thiolase 3 isoform 5 MEKALNRQRILLHHLRPSSSSSHQSFDSAAAAAAALFPSICAAGDGSAYHGTAAFANDVVIVAACRTAICKAKRGGFKDTPADDLLAPVLKALIDRTKLNPSEVGDIVVGTVLAPGSQRGIECRMAAFYAGFPDTVPIKTVNRQCSSGLQAVADVALCIKAGLYDIGIAAGLESMTTDKVVPGVSKVNPQVESFAQARDCLLPMGITSENVAQRYGVTRQEQDQAAVESHRRAAAATASGKFKDEIIPVSTKIVDPKTGEEKPVTIVVDDGIRPNTNMADLAKLKPAFRKDGATTAGNASQVSDGAGAVLLMKRSLAMQKGLPILGVFRSFAAVGVDPAVMGIGPAVAIPAAVKSAGIELADIDRQWNGGCSCF >EOX93986 pep chromosome:Theobroma_cacao_20110822:1:18076742:18081451:-1 gene:TCM_003008 transcript:EOX93986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal 3-ketoacyl-CoA thiolase 3 isoform 5 AAAAALFPSICAAGDGSAYHGTAAFANDVVIVAACRTAICKAKRGGFKDTPADDLLAPVLKALIDRTKLNPSEVGDIVVGTVLAPGSQRGIECRMAAFYAGFPDTVPIKTVNRQCSSGLQAVADVALCIKAGLYDIGIAAGLESMTTDKVVPGVSKVNPQVESFAQARDCLLPMGITSENVAQRYGVTRQEQDQAAVESHRRAAAATASGKFKDEIIPVSTKIVDPKTGEEKPVTIVVDDGIRPNTNMADLAKLKPAFRKDGATTAGNASQVSDGAGAVLLMKRSLAMQKGLPILGVGSGMGAAAVFERGDCVDEFCNVRAVKYNEFLSKDAR >EOX90674 pep chromosome:Theobroma_cacao_20110822:1:299491:307920:-1 gene:TCM_000073 transcript:EOX90674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein isoform 2 MMERAESCQKLYTRMRLWEFPDEYVIEPTDGSSASSLSISRVDASIKLIDGVPECSSVRVPKIQTIFGVVGMLKLIAGSYLIVIAERECVGTYLGHPIFKVISLKVLPCDHSVKNSPAEQKKVENEFYGLLKIAERTSGLYFSYDTNLTLSAQRLYDLGDESKLLPLWRQAEPRFLWNNYMMEVLIDYKLDPYLLPVVQGSFHHFQAAIGKEIVDVTLIARRCTRRNGTRMWRRGADPDGYVANFVETEQIVQMNGFTSSFVQVRGSIPFLWEQIVDLTYKPKFEIVRSEEAPRVAERHFLDLRKKYGSVLAVDLVNKHGGEGRLSEKFTNAMQPIVGDGLRYLHFDFHKICGHVHFERLSFLYDQIADFLEKNGYLLLNEKGEKMKEQLGVVRTNCIDCLDRTNVTQSMIGRKMLELQLRRIGVFAAEETISSHANLDESFKILWANHGDDISIQYSGTHALKGDFVRFGQRTVQGILKDGYNSLVRYYLNNFRDGTKQDAIDLLQGHYIVSVSRDMTPPSQTGGLEAIASFPLALCLVSIGLLFTAMSLRQARYDLRHLIFSVFWASISVAITAFVRANGRIFCNRPRLHKPRC >EOX90673 pep chromosome:Theobroma_cacao_20110822:1:299491:307920:-1 gene:TCM_000073 transcript:EOX90673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein isoform 2 MMERAESCQKLYTRMRLWEFPDEYVIEPTDGSSASSLSISRVDASIKLIDGVPECSSVRVPKIQTIFGVVGMLKLIAGSYLIVIAERECVGTYLGHPIFKVISLKVLPCDHSVKNSPAEQKKVENEFYGLLKIAERTSGLYFSYDTNLTLSAQRLYDLGDESKLLPLWRQAEPRFLWNNYMMEVLIDYKLDPYLLPVVQGSFHHFQAAIGKEIVDVTLIARRCTRRNGTRMWRRGADPDGYVANFVETEQIVQMNGFTSSFVQVRGSIPFLWEQIVDLTYKPKFEIVRSEEAPRVAERHFLDLRKKYGSVLAVDLVNKHGGEGRLSEKFTNAMQPIVGDGLRYLHFDFHKICGHVHFERLSFLYDQIADFLEKNGYLLLNEKGEKMKEQLGVVRTNCIDCLDRTNVTQSMIGRKMLELQLRRIGVFAAEETISSHANLDESFKILWANHGDDISIQYSGTHALKGDFVRFGQRTVQGILKDGYNSLVRYYLNNFRDGTKQDAIDLLQGHYIVSVSRDMTPPSQTGGLEAIASFPLALCLVSIGLLFTAMSLRQARYDLRHLIFSVFWASISVAITAFVRANGRIFCNRPRLHKPRC >EOX90676 pep chromosome:Theobroma_cacao_20110822:1:300168:307920:-1 gene:TCM_000073 transcript:EOX90676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein isoform 2 MMERAESCQKLYTRMRLWEFPDEYVIEPTDGSSASSLSISRVDASIKLIDGVPECSSVRVPKIQTIFGVVGMLKLIAGSYLIVIAERECVGTYLGHPIFKVISLKVLPCDHSVKNSPAEQKKVENEFYGLLKIAERTSGLYFSYDTNLTLSAQRLYDLGDESKLLPLWRQAEPRFLWNNYMMEVLIDYKLDPYLLPVVQGSFHHFQAAIGKEIVDVTLIARRCTRRNGTRMWRRGADPDGYVANFVETEQIVQMNGFTSSFVQVRGSIPFLWEQIVDLTYKPKFEIVRSEEAPRVAERHFLDLRKKYGSVLAVDLVNKHGGEGRLSEKFTNAMQPIVGDGLRYLHFDFHKICGHVHFERLSFLYDQIADFLEKNGYLLLNEKGEKMKEQLGVVRTNCIDCLDRTNVTQSMIGRKMLELQLRRIGVFAAEETISSHANLDESFKILWANHGDDISIQYSGTHALKGDFVRFGQRTVQGILKDGYNSLVRYYLNNFRDGTKQDAIDLLQGHYIVSVSRDMTPPSQTGGLEAIAVSIN >EOX90672 pep chromosome:Theobroma_cacao_20110822:1:298351:308013:-1 gene:TCM_000073 transcript:EOX90672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein isoform 2 MMERAESCQKLYTRMRLWEFPDEYVIEPTDGSSASSLSISRVDASIKLIDGVPECSSVRVPKIQTIFGVVGMLKLIAGSYLIVIAERECVGTYLGHPIFKVISLKVLPCDHSVKNSPAEQKKVENEFYGLLKIAERTSGLYFSYDTNLTLSAQRLYDLGDESKLLPLWRQAEPRFLWNNYMMEVLIDYKLDPYLLPVVQGSFHHFQAAIGKEIVDVTLIARRCTRRNGTRMWRRGADPDGYVANFVETEQIVQMNGFTSSFVQVRGSIPFLWEQIVDLTYKPKFEIVRSEEAPRVAERHFLDLRKKYGSVLAVDLVNKHGGEGRLSEKFTNAMQPIVGDGLRYLHFDFHKICGHVHFERLSFLYDQIADFLEKNGYLLLNEKGEKMKEQLGVVRTNCIDCLDRTNVTQSMIGRKMLELQLRRIGVFAAEETISSHANLDESFKILWANHGDDISIQYSGTHALKGDFVRFGQRTVQGILKDGYNSLVRYYLNNFRDGTKQDAIDLLQGHYIVSVSRDMTPPSQTGGLEAIASFPLALCLVSIGLLFTAMSLRQARYDLRHLIFSVFWASISVAITAFWLQLESPSPYLPLEPDSDDEDNNKRESNVKLKGRAIALAKKRKFENPEHELPVTVRPLRFTGDDLTVILATDMLRSPSKPEVMELPSAMPTTFEDRFAVTVMGSWKTNMVPKGVVVSLIPGPATPLTTM >EOX90675 pep chromosome:Theobroma_cacao_20110822:1:299963:307237:-1 gene:TCM_000073 transcript:EOX90675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein isoform 2 MMERAESCQKLYTRMRLWEFPDEYVIEPTDGSSASSLSISRVDASIKLIDGVPECSSVRVPKIQTIFGVVGMLKLIAGSYLIVIAERECVGTYLGHPIFKVISLKVLPCDHSVKNSPAEQKKVENEFYGLLKIAERTSGLYFSYDTNLTLSAQRLYDLGDESKLLPLWRQAEPRFLWNNYMMEVLIDYKLDPYLLPVVQGSFHHFQAAIGKEIVDVTLIARRCTRRNGTRMWRRGADPDGYVANFVETEQIVQMNGFTSSFVQVRGSIPFLWEQIVDLTYKPKFEIVRSEEAPRVAERHFLDLRKKYGSVLAVDLVNKHGGEGRLSEKFTNAMQPIVGDGLRYLHFDFHKICGHVHFERLSFLYDQIADFLEKNGYLLLNEKGEKMKEQLGVVRTNCIDCLDRTNVTQSMIGRKMLELQLRRIGVFAAEETISSHANLDESFKILWANHGDDISIQYSGTHALKGDFVRFGQRTVQGILKDGYNSLVRYYLNNFRDGTKQDAIDLLQGHYIVSVSRDMTPPSQTGGLEAIASFPLALCLVSIGLLFTAMSLRQGEFTSAYVIIKYRHKTVLDISFIFVLCSSIRSSTLNFFSFLGKHKCCHYGFCEG >EOX93285 pep chromosome:Theobroma_cacao_20110822:1:11893596:11895029:-1 gene:TCM_002133 transcript:EOX93285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASAIATLMVNVFTTSHYTKSHSSKNQQIDGDEEKTGEHERHVHIHTHATHGHAQDSVSLVDHSGSFELLRHRVVSQVLELRILVHSVIATELHFLLYIDVGMESTIKVTVASQYCR >EOX92828 pep chromosome:Theobroma_cacao_20110822:1:8773856:8777636:1 gene:TCM_046686 transcript:EOX92828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketol-acid reductoisomerase MAAATSFSPSISTTPSHNSSSKTHKTLATPSTLGFLSSTSKSLSSLRATRLTSRNGCGSALASRMVSVPAVKPLTSLDFETSVFKREKISLAGHDEYIVRGGRDLFKLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSRSFAEARAAGFSEENGTLGDIWETISGSDLVLLLISDAAQADSHEKIFSHMKPNSILGLSHGFLLGHLQSKGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFGVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGLVECLFRRYTENGMSEDLAYKNTVECVTGIMSKTISTQGMLSVYNSFSEEGKKEFEAAYSASYYPCMDILYECYEDVASGSEIRSVVLAGQRFYEKDGLPAFPMGKIDQTRMWKVGERVRKSRPAGDLGPLYPFTAGVYVALMMAQIEILRKKGHSYSEIINESVIEAVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVAVDKGTPINQDLISNFLSDPVHGAIEVCAQLRPTVDISVPPDADFVRPELRQSGN >EOX95208 pep chromosome:Theobroma_cacao_20110822:1:33317634:33319268:-1 gene:TCM_004759 transcript:EOX95208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endo-1,4-beta-glucanase, putative MKVEDLGIDNGRYCDKAVYQSPSEEEVKQGWLLRPPMEMERKRKKKKKRCMNSMVLVAKLLGFLALIAFLVTRSCHRRPLPVQDNYTIASSTGAYVPSCIKIGRLPANNNASRRGNSCLDDGNGYLNGLEVGYYDGRDGDAVKHQNCQEGLECRDSKKPNPNAIVGAIVTGPDKGDGFQDNRSNYNYTEPTIAGSAGLVAELVALLDGSTLRIDNNTTFYAIPPLSTLQAPPPPPYKP >EOX95016 pep chromosome:Theobroma_cacao_20110822:1:32480836:32481495:1 gene:TCM_004602 transcript:EOX95016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKPQSLSGDNSLIGESERRIWRGRLKQMCQAAMDAMVPSGVQRGHRILISKVVERRSWRCSTEDPIRTMMFLGSWSHT >EOX96486 pep chromosome:Theobroma_cacao_20110822:1:37987082:37990284:1 gene:TCM_005721 transcript:EOX96486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyaluronan / mRNA binding family isoform 1 MATMNPFDLLGDDDTGELSLLIAAQQKAVAAATNTASAAAPKKGPAQSQGKQQQQPAIQAKPAKLPSKPLPPAQAVREATNEGPRGGGRGGRGFGRGRGGSGGYRRDSASDETSFSNSAVSAGQGAPEEGESGKPSERRSYGGPRPYRGGRRGGFSNGEVGQGERPRRMYERHSGTGRGNELKREGSGRGNWGTQTDELAQVTEEVVNESEKNLGDEKPAGEEDAGDASKESPTNEPEEKEPEDKEMTLEEYEKVLEEKRKALQALKTEERKVDAKEFATMQQLSNKKSNDEVFIKLGSEKDKRKEAFDKEERAKKSVSINEFLKPAEGERYYNPSGRGRGRGRGSRGFGGGSAAGNVSAPSIEDPGQFPTLGGK >EOX96485 pep chromosome:Theobroma_cacao_20110822:1:37985903:37990867:1 gene:TCM_005721 transcript:EOX96485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyaluronan / mRNA binding family isoform 1 MATMNPFDLLGDDDTGELSLLIAAQQKAVAAATNTASAAAPKKGPAQSQGKQQQQPAIQAKPAKLPSKPLPPAQAVREATNEGPRGGGRGGRGFGRGRGGSGGYRRDSASDETSFSNSAVSAGQGAPEEGESGKPSERRSYGGPRPYRGGRRGGFSNGEVGQGERPRRMYERHSGTGRGNELKREGSGRGNWGTQTDELAQVTEEVVNESEKNLGDEKPAGEEDAGDASKESPTNEPEEKEPEDKEMTLEEYEKVLEEKRKALQALKTEERKVDAKEFATMQQLSNKKSNDEVFIKLGSEKDKRKEAFDKEERAKKSVSINEFLKPAEGERYYNPSGRGRGRGRGSRGFGGGSAAGNVSAPSIEDPGQFPTLGGK >EOX96487 pep chromosome:Theobroma_cacao_20110822:1:37986850:37990305:1 gene:TCM_005721 transcript:EOX96487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyaluronan / mRNA binding family isoform 1 MRPHSATVLYLLVKVLLKRERVESPLKGVAMVALVLTVVVAAVVSVMGKLDKGSDLAGCMNAIVGLDAGKKNELKREGSGRGNWGTQTDELAQVTEEVVNESEKNLGDEKPAGEEDAGDASKESPTNEPEEKEPEDKEMTLEEYEKVLEEKRKALQALKTEERKVDAKEFATMQQLSNKKSNDEVFIKLGSEKDKRKEAFDKEERAKKSVSINEFLKPAEGERYYNPSGRGRGRGRGSRGFGGGSAAGNVSAPSIEDPGQFPTLGGK >EOX96484 pep chromosome:Theobroma_cacao_20110822:1:37986943:37990996:1 gene:TCM_005721 transcript:EOX96484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyaluronan / mRNA binding family isoform 1 MATMNPFDLLGDDDTGELSLLIAAQQKAVAAATNTASAAAPKKGPAQSQGKQQQQPAIQAKPAKLPSKPLPPAQAVREATNEGPRGGGRGGRGFGRGRGGSGGYRRDSASDETSFSNSAVSAGQGAPEEGESGKPSERRSYGGPRPYRGGRRGGFSNGEVGQGERPRRMYERHSGTGRGNELKREGSGRGNWGTQTDELAQVTEEVVNESEKNLGDEKPAGEEDAGDASKESPTNEPEEKEPEDKEMTLEEYEKVLEEKRKALQALKTEERKVDAKEFATMQQLSNKKSNDEVFIKLGSEKDKRKEAFDKEERAKKSVSINEFLKPAEGERYYNPSGRGRGRGRGSRGFGGGSAAGNVSAPSIEDPGQFPTLGGK >EOX90941 pep chromosome:Theobroma_cacao_20110822:1:1113234:1114658:1 gene:TCM_000273 transcript:EOX90941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMHGWTAHTVIRKKDVVFVVCPTKEETQYSSPFETGKHTGKQRKSSFQVEKQPGSVMEIQNPPDADDNISSKQHSPCYMLRTFSYKKNDFTFHSRSSRRGRRCRRR >EOX94769 pep chromosome:Theobroma_cacao_20110822:1:31301996:31307629:1 gene:TCM_004382 transcript:EOX94769 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat protein interacting with ABF2 isoform 1 MDHLKKPQTRRSLKRKLEQDLEEGKADRCKVPVVESRLTHQDLLHEVRLYVGLLNSTLSFSKADRAAAKRATHFLSELAKNEDIVNLIVECDAVPALVKHLQTPPPLREGDAMPLEHEVEKGSAFALGLLAVKPEHQQLIVDAGALPYLLNLLKRHRDGCNSRAVNGVIRKAADAITNLAHENADIKTLIRIEGGIPPLVELLEHHDVKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLCSEDVSIHYEAVGVIGNLVHSSPGIKKQVLLAGALQPVIGLLSSSCLESQREAALLIGQFAAADSDCKVHIVQRGAIPPLIEMLQSSDVQLQEMSAFALGRLAQDTHNQAGIVQNGGLVPLLRLLDSKNGPLQHNSAFALYGLADNEDNVVDLIRTGGAQKLQEGEFIVQPTKDCVAKTLKRLEEKIHGRVLNHLLYLMRLADKAVQRRVALALAHLCVPDDRQYIFVDNNGLELLLGLLESTSFKQQQDGSAALYKLATKGNPLSSADAAPPSPTPQVYLGEQYVNNPTLSDITFLVEGKRFYAHRICLLASSDTFRAMFDGGYRERDAKDVEIPNIRWHVFELMMRYIYTGSVNVEIDIAQDLLRAADQYLLDGLKHLCEYAISQDISVENVTLMYELAEAFNAMTLREACILFILEQFERLSTKPWYSRLIQRMVPDIRNYFIKALAKPVQIDATQL >EOX94770 pep chromosome:Theobroma_cacao_20110822:1:31302056:31307966:1 gene:TCM_004382 transcript:EOX94770 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat protein interacting with ABF2 isoform 1 MDHLKKPQTRRSLKRKLEQDLEEGKADRCKVPVVESRLTHQDLLHEVRLYVGLLNSTLSFSKADRAAAKRATHFLSELAKNEDIVNLIVECDAVPALVKHLQTPPPLREGDAMPLEHEVEKGSAFALGLLAVKPEHQQLIVDAGALPYLLNLLKRHRDGCNSRAVNGVIRKAADAITNLAHENADIKTLIRIEGGIPPLVELLEHHDVKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLCSEDVSIHYEAVGVIGNLVHSSPGIKKQVLLAGALQPVIGLLSSSCLESQREAALLIGQFAAADSDCKVHIVQRGAIPPLIEMLQSSDVQLQEMSAFALGRLAQDTHNQAGIVQNGGLVPLLRLLDSKNGPLQHNSAFALYGLADNEDNVVDLIRTGGAQKLQEGEFIVQPTKDCVAKTLKRLEEKIHGRVLNHLLYLMRLADKAVQRRVALALAHLCVPDDRQYIFVDNNGLELLLGLLESTSFKQQQDGSAALYKLATKGNPLSSADAAPPSPTPQVYLGEQYVNNPTLSDITFLVEGKRFYAHRICLLASSDTFRAMFDGGYRERDAKDVEIPNIRWHVFELMMRYIYTGSVNVEIDIAQDLLRAADQYLLDGLKHLCEYAISQDISVENVTLMYELAEAFNAMTLREACILFILEQFERLSTKPWYSRLIQRMVPDIRNYFIKALAKPVQIDATQL >EOX94768 pep chromosome:Theobroma_cacao_20110822:1:31302056:31307966:1 gene:TCM_004382 transcript:EOX94768 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat protein interacting with ABF2 isoform 1 MDHLKKPQTRRSLKRKLEQDLEEGKADRCKVPVVESRLTHQDLLHEVRLYVGLLNSTLSFSKADRAAAKRATHFLSELAKNEDIVNLIVECDAVPALVKHLQTPPPLREGDAMPLEHEVEKGSAFALGLLAVKPEHQQLIVDAGALPYLLNLLKRHRDGCNSRAVNGVIRKAADAITNLAHENADIKTLIRIEGGIPPLVELLEHHDVKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLCSEDVSIHYEAVGVIGNLVHSSPGIKKQVLLAGALQPVIGLLSSSCLESQREAALLIGQFAAADSDCKVHIVQRGAIPPLIEMLQSSDVQLQEMSAFALGRLAQDTHNQAGIVQNGGLVPLLRLLDSKNGPLQHNSAFALYGLADNEDNVVDLIRTGGAQKLQEGEFIVQPTKDCVAKTLKRLEEKIHGRVLNHLLYLMRLADKAVQRRVALALAHLCVPDDRQYIFVDNNGLELLLGLLESTSFKQQQDGSAALYKLATKGNPLSSADAAPPSPTPQVYLGEQYVNNPTLSDITFLVEGKRFYAHRICLLASSDTFRAMFDGGYRERDAKDVEIPNIRWHVFELMMRYIYTGSVNVEIDIAQDLLRAADQYLLDGLKHLCEYAISQDISVENVTLMYELAEAFNAMTLREACILFILEQFERLSTKPWYSRLIQRMVPDIRNYFIKALAKPVQIDATQL >EOX94771 pep chromosome:Theobroma_cacao_20110822:1:31302056:31307966:1 gene:TCM_004382 transcript:EOX94771 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat protein interacting with ABF2 isoform 1 MDHLKKPQTRRSLKRKLEQDLEEGKADRCKVPVVESRLTHQDLLHEVRLYVGLLNSTLSFSKADRAAAKRATHFLSELAKNEDIVNLIVECDAVPALVKHLQTPPPLREGDAMPLEHEVEKGSAFALGLLAVKPEHQQLIVDAGALPYLLNLLKRHRDGCNSRAVNGVIRKAADAITNLAHENADIKTLIRIEGGIPPLVELLEHHDVKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLCSEDVSIHYEAVGVIGNLVHSSPGIKKQVLLAGALQPVIGLLSSSCLESQREAALLIGQFAAADSDCKVHIVQRGAIPPLIEMLQSSDVQLQEMSAFALGRLAQDTHNQAGIVQNGGLVPLLRLLDSKNGPLQHNSAFALYGLADNEDNVVDLIRTGGAQKLQEGEFIVQPTKDCVAKTLKRLEEKIHGRVLNHLLYLMRLADKAVQRRVALALAHLCVPDDRQYIFVDNNGLELLLGLLESTSFKQQQDGSAALYKLATKGNPLSSADAAPPSPTPQVYLGEQYVNNPTLSDITFLVEGKRFYAHRICLLASSDTFRAMFDGGYRERDAKDVEIPNIRWHVFELMMRYIYTGSVNVEIDIAQDLLRAADQYLLDGLKHLCEYAISQDISVENVTLMYELAEAFNAMTLREACILFILEQFERLSTKPWYVIPSLPFYNKRTNCVLLSKNLSGYKLFPLSWFESCRYSRLIQRMVPDIRNYFIKALAKPVQIDATQL >EOX95815 pep chromosome:Theobroma_cacao_20110822:1:35652718:35653929:-1 gene:TCM_005222 transcript:EOX95815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 1 MEGETSWISHYIDDMSKDIGDFDSFSELSDEGNKEATTISVDLILPDDLLERILAYLPIASIFRAGSVCKRWHEIVSSKRFLWNFSHVLSQKPWYFMFTSSDEPVGYAYDPILRKWYSIELPCIQTPNWFIASSCGLVCFMDNDSRSELHICNPITKNCKKLEEPPGLKFSDYSALAIAVNRTSHNYTVSIVKSKQVPGNFFQWDLSIHIYDSETMMWATSLTEVLTGWRGGDESVICDGVLYFLIYSTGGGAPENRHGLVTYNLSSRASPLIRSFIPVPGPLTCGRLMNLKEKLVMVGGIGKQDRPDIIKGIGIWVLNGRNWVEVARMPHKFFQGFGELDDVFASSGTDNLIYIQSYGAPALLVFDMNQKLWKWSLKCPVSKKFPLQLFTGFCFEPRLEIAP >EOX95817 pep chromosome:Theobroma_cacao_20110822:1:35652484:35655460:-1 gene:TCM_005222 transcript:EOX95817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 1 MEGETSWISHYIDDMSKDIGDFDSFSELSDEGNKEATTISVDLILPDDLLERILAYLPIASIFRAGSVCKRWHEIVSSKRFLWNFSHVLSQKPWYFMFTSSDEPVGYAYDPILRKWYSIELPCIQTPNWFIASSCGLVCFMDNDSRSELHICNPITKNCKKLEEPPGLKFSDYSALAIAVNRTSHNYTVSIVKSKQVPGNFFQWDLSIHIYDSETMMWATSLTEVLTGWRGGDESVICDGVLYFLIYSTGGGAPENRHGLVTYNLSSRASPLIRSFIPVPGPLTCGRLMNLKEKLVMVGGIGKQDRPDIIKGIGIWVLNGRNWVEVARMPHKFFQGFGELDDVFASSGTDNLIYIQSYGAPALLVFDMNQKLWKWSLKCPVSKKFPLQLFTGFCFEPRLEIAP >EOX95816 pep chromosome:Theobroma_cacao_20110822:1:35652484:35654660:-1 gene:TCM_005222 transcript:EOX95816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 1 MEGETSWISHYIDDMSKDIGDFDSFSELSDEGNKEATTISVDLILPDDLLERILAYLPIASIFRAGSVCKRWHEIVSSKRFLWNFSHVLSQKPWYFMFTSSDEPVGYAYDPILRKWYSIELPCIQTPNWFIASSCGLVCFMDNDSRSELHICNPITKNCKKLEEPPGLKFSDYSALAIAVNRTSHNYTVSIVKSKQVPGNFFQWDLSIHIYDSETMMWATSLTEVLTGWRGGDESVICDGVLYFLIYSTGGGAPENRHGLVTYNLSSRASPLIRSFIPVPGPLTCGRLMNLKEKLVMVGGIGKQDRPDIIKGIGIWVLNGRNWVEVARMPHKFFQGFGELDDVFASSGTDNLIYIQSYGAPALLVFDMNQKLWKWSLKCPVSKKFPLQLFTGFCFEPRLEIAP >EOX92999 pep chromosome:Theobroma_cacao_20110822:1:9873666:9880180:1 gene:TCM_001863 transcript:EOX92999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MQSFKIPTPFKKHCRLASFTETCAQSTAVAIMTAWKFAFMPKVHYDEPGKAALKEAMHAKQVDKHLCASAAYDWNRICSEAAPNKRCSMPGTSVLKFKFEHGLHVLKPVGSSNGRLEETPRAEAHDEEKEVILDDSPAPKLDVEFVRDITPDGNFKSINNTPDAEDFRSSSSSSDEEAAPEEKSPRVEKSENFEEKVVSDSVVESVELEPESVEKSGDSGVAELDSKETEENIPPSLDETNADSPIVADLSINRSNERTQQLSSAETSGDSALLTDVESKGIDEETTLPGLNETDASAVAVDAVCKGIEETTISCLDGNGGVPAAEAVQGITETEIPASDKSAGGSSGSPDLASKENVEDSLQAANVPIVETRDVGELVNKPEIHESTGNKPIISLSHRPVEPTSWKSCCGLFEVLRRSNR >EOX93000 pep chromosome:Theobroma_cacao_20110822:1:9877059:9880229:1 gene:TCM_001863 transcript:EOX93000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEGSSNGRLEETPRAEAHDEEKEVILDDSPAPKLDVEFVRDITPDGNFKSINNTPDAEDFRSSSSSSDEEAAPEEKSPRVEKSENFEEKVVSDSVVESVELEPESVEKSGDSGVAELDSKETEENIPPSLDETNADSPIVADLSINRSNERTQQLSSAETSGDSALLTDVESKGIDEETTLPGLNETDASAVAVDAVCKGIEETTISCLDGNGGVPAAEAVQGITETEIPASDKSAGGSSGSPDLASKENVEDSLQAANVPIVETRDVGELVNKPEIHESTGNKPIISLSHRPVEPTSWKSCCGLFEVLRRSNR >EOX96031 pep chromosome:Theobroma_cacao_20110822:1:36384695:36387679:-1 gene:TCM_005381 transcript:EOX96031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomannomutase MAVRKPGLIALFDVDGTLTAPRKVATPKLLEFMKELRKVVTVGVVGGSDLSKISEQLGKSVINDYDYVFSENGLVAHKDGKLIGTQSLKSFLGDEKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDHEIFESERTVGHTVTSPEDTVEQCTALFLCNP >EOX94058 pep chromosome:Theobroma_cacao_20110822:1:19704712:19715152:-1 gene:TCM_003146 transcript:EOX94058 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDT domain-containing protein, putative isoform 1 MPFFKRKPFGLAEPPKDLEPYELVYQVRFTKEIFRDYHCQVGCYCMFVSLTWIREYLNRINFYRQRVWMCKYTGKSNMTYEEALVSEKHATRKVQELPNELVAPALCIIQFSMLSLRDLADVIAKKLQKDLFVGANLYGRKDGSLYPCKVLKVVENGVEKIQYEVAWVDKNKKITENSIVLGEDLVWKKTPFSRNILKSFIRESTCRSIPWVIHEKLAQKHGISTDPPQELRSRFFFQDGQLVAKMKKRKNGNGNGKNVGEVNDESGKSKRKKVETGKSDAVKNSKDENNQPKEEPVKYPIDDLLVQPGPDDPLFTDRPSPSRDFNVPIDCTGDLLMVWDFCSSFSRLLHLWPFSLEDFENAICHKDSNLVLIAETHSALLRLLIKDDGEYSFALQKRKRKPKISLITWTDYVCDFLEMINITELSSSMTTIKRGHYGLLDANAKLWVLRELVSHALETDLIRGKLDEHIEQRQMLGAIRRGEALEYARKRREEKEQLKGESVADGLVKENSLESTGSNPHIAENGNPIIETGDMVEEVISSQQSNAFYNRRQLDCPSMKTEEKQNVDPKVQAENVTNSCEKEAQKQLSGDKKEAEERKSKEKREQRREYFEREMEKRSIRTSPLGKDRDYNRYWWFRRDGRIFIESSDTKQWGYYNTKEEVDALIGSLNRKGERERALQIQLEKFYGKICLELQKRSKDLAHKIALEEAVLRRSTRVRAPPRENPANAFLRWKDG >EOX94061 pep chromosome:Theobroma_cacao_20110822:1:19706778:19715147:-1 gene:TCM_003146 transcript:EOX94061 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDT domain-containing protein, putative isoform 1 MPFFKRKPFGLAEPPKDLEPYELVYQVRFTKEIFRDYQEYLNRINFYRQRVWMCKYTGKSNMTYEEALVSEKHATRKVQELPNELVAPALCIIQFSMLSLRDLADVIAKKLQKDLFVGANLYGRKDGSLYPCKVLKVVENGVEKIQYEVAWVDKNKKITENSIVLGEDLVWKKTPFSRNILKSFIRESTCRSIPWVIHEKLAQKHGISTDPPQELRSRFFFQDGQLVAKMKKRKNGNGNGKNVGEVNDESGKSKRKKVETGKSDAVKNSKDENNQPKEEPVKYPIDDLLVQPGPDDPLFTDRPSPSRDFNVPIDCTGDLLMVWDFCSSFSRLLHLWPFSLEDFENAICHKDSNLVLIAETHSALLRLLIKDDGEYSFALQKRKRKPKISLITWTDYVCDFLEMINITELSSSMTTIKRGHYGLLDANAKLWVLRELVSHALETDLIRGKLDEHIEQRQMLGAIRRGEALEYARKRREEKEQLKGESVADGLVKENSLESTGSNPHIAENGNPIIETGDMVEEVISSQQSNAFYNRCGLFISIYMDLLLRS >EOX94060 pep chromosome:Theobroma_cacao_20110822:1:19706363:19715147:-1 gene:TCM_003146 transcript:EOX94060 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDT domain-containing protein, putative isoform 1 LLTTFPEAVWKMPFFKRKPFGLAEPPKDLEPYELVYQVRFTKEIFRDYQEYLNRINFYRQRVWMCKYTGKSNMTYEEALVSEKHATRKVQELPNELVAPALCIIQFSMLSLRDLADVIAKKLQKDLFVGANLYGRKDGSLYPCKVLKVVENGVEKIQYEVAWVDKNKKITENSIVLGEDLVWKKTPFSRNILKSFIRESTCRSIPWVIHEKLAQKHGISTDPPQELRSRFFFQDGQLVAKMKKRKNGNGNGKNVGEVNDESGKSKRKKVETGKSDAVKNSKDENNQPKEEPVKYPIDDLLVQPGPDDPLFTDRPSPSRDFNVPIDCTGDLLMVWDFCSSFSRLLHLWPFSLEDFENAICHKDSNLVLIAETHSALLRLLIKDDGEYSFALQKRKRKPKISLITWTDYVCDFLEMINITELSSSMTTIKRGHYGLLDANAKLWVLRELVSHALETDLIRGKLDEHIEQRQMLGAIRRGEALEYARKRREEKEQLKGESVADGLVKENSLESTGSNPHIAENGNPIIETGDMVEEVISSQQSNAFYNRREYFEREMEKRSIRTSPLGKDRDYNRYWWFRRDGRIFIESSDTKQWGYYNTKEEVDALIGSLNRKGERERALQIQLEKFYGKI >EOX94059 pep chromosome:Theobroma_cacao_20110822:1:19702651:19715273:-1 gene:TCM_003146 transcript:EOX94059 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDT domain-containing protein, putative isoform 1 MPFFKRKPFGLAEPPKDLEPYELVYQVRFTKEIFRDYQEYLNRINFYRQRVWMCKYTGKSNMTYEEALVSEKHATRKVQELPNELVAPALCIIQFSMLSLRDLADVIAKKLQKDLFVGANLYGRKDGSLYPCKVLKVVENGVEKIQYEVAWVDKNKKITENSIVLGEDLVWKKTPFSRNILKSFIRESTCRSIPWVIHEKLAQKHGISTDPPQELRSRFFFQDGQLVAKMKKRKNGNGNGKNVGEVNDESGKSKRKKVETGKSDAVKNSKDENNQPKEEPVKYPIDDLLVQPGPDDPLFTDRPSPSRDFNVPIDCTGDLLMVWDFCSSFSRLLHLWPFSLEDFENAICHKDSNLVLIAETHSALLRLLIKDDGEYSFALQKRKRKPKISLITWTDYVCDFLEMINITELSSSMTTIKRGHYGLLDANAKLWVLRELVSHALETDLIRGKLDEHIEQRQMLGAIRRGEALEYARKRREEKEQLKGESVADGLVKENSLESTGSNPHIAENGNPIIETGDMVEEVISSQQSNAFYNRRQLDCPSMKTEEKQNVDPKVQAENVTNSCEKEAQKQLSGDKKEAEERKSKEKREQRREYFEREMEKRSIRTSPLGKDRDYNRYWWFRRDGRIFIESSDTKQWGYYNTKEEVDALIGSLNRKGERERALQIQLEKFYGKICLELQKRSKDLAHKIALEEAVLRRSTRVRAPPRENPANAFLRWKDG >EOX94057 pep chromosome:Theobroma_cacao_20110822:1:19705250:19714231:-1 gene:TCM_003146 transcript:EOX94057 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDT domain-containing protein, putative isoform 1 MPFFKRKPFGLAEPPKDLEPYELVYQVRFTKEIFRDYQEYLNRINFYRQRVWMCKYTGKSNMTYEEALVSEKHATRKVQELPNELVAPALCIIQFSMLSLRDLADVIAKKLQKDLFVGANLYGRKDGSLYPCKVLKVVENGVEKIQYEVAWVDKNKKITENSIVLGEDLVWKKTPFSRNILKSFIRESTCRSIPWVIHEKLAQKHGISTDPPQELRSRFFFQDGQLVAKMKKRKNGNGNGKNVGEVNDESGKSKRKKVETGKSDAVKNSKDENNQPKEEPVKYPIDDLLVQPGPDDPLFTDRPSPSRDFNVPIDCTGDLLMVWDFCSSFSRLLHLWPFSLEDFENAICHKDSNLVLIAETHSALLRLLIKDDGEYSFALQKRKRKPKISLITWTDYVCDFLEMINITELSSSMTTIKRGHYGLLDANAKLWVLRELVSHALETDLIRGKLDEHIEQRQMLGAIRRGEALEYARKRREEKEQLKGESVADGLVKENSLESTGSNPHIAENGNPIIETGDMVEEVISSQQSNAFYNRRQLDCPSMKTEEKQNVDPKVQAENVTNSCEKEAQKQLSGDKKEAEERKSKEKREQRREYFEREMEKRSIRTSPLGKDRDYNRYWWFRRDGRIFIESSDTKQWGYYNTKEEVDALIGSLNRKGERERALQIQLEKFYGKICLELQKRSKDLAHKIALEEAVLRRSTRVRAPPRENPANAFLRYVNKWKED >EOX90755 pep chromosome:Theobroma_cacao_20110822:1:539630:541768:1 gene:TCM_000134 transcript:EOX90755 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MDYYHYPSRFSLSSLSLFGDFVEKVKDFFNFAVSAIIGNIFSAILTFFFALVGTFLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLVLWQSDESGIGCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAAETTFEEVQNIFDTGGAKGLAGDLVEKIPKIVITNNNNVDASGEKVSCSVCLQDFQLGETVRSLPQCHHMFHLPCIDKWLLRHGSCPLCRRDL >EOX91724 pep chromosome:Theobroma_cacao_20110822:1:3622803:3627533:1 gene:TCM_000816 transcript:EOX91724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heptahelical protein 4 isoform 2 MGRVQQVCEDTNMTVETTEKCKVCYSKEGKGKKLWKKVKYQLVEYHSLPGYLRDNEYIVGHYRSEWPMKQVLLSIFKIHNETLNVWTHLIGFFIFLSLTIYTATKVPKVVDLHSLQHIPDVLRKADLHKLQSELITCLPSLPNMPNLHKLRQELKTSLPSMDLFPSLSGWHVLELLYNCLPECFSSGNHTDVCVLQSVKEDVANIIAPLMGRPITRWPFFAFLVGAMFCLLASSTCHLLSCHSKRLSYIMLRLDYTGIAALISTSFYPPVYYSFMCDPFFCNLYLGFITILGIATILFSLLPVFQNPEFRTIRASLFFGMGMSGIAPILHKLFLFWNQPEALHTTGYEVLMGLFYGIGALVYATRIPERWKPGKFDIAGHSHQLFHIMVVAGAYTHYRAGLVYLKWRDLNGC >EOX91721 pep chromosome:Theobroma_cacao_20110822:1:3619321:3627533:1 gene:TCM_000816 transcript:EOX91721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heptahelical protein 4 isoform 2 MACFGYFMRGRHHVSMRRNVDVMKEASLATVITIYSIGLTFLAIFYCFSNIHIVLRLRILFLSKDPHLGFHSQLVILQNFFIFRNMGRVQQVCEDTNMTVETTEKCKVCYSKEGKGKKLWKKVKYQLVEYHSLPGYLRDNEYIVGHYRSEWPMKQVLLSIFKIHNETLNVWTHLIGFFIFLSLTIYTATKVPKVVDLHSLQHIPDVLRKADLHKLQSELITCLPSLPNMPNLHKLRQELKTSLPSMDLFPSLSGWHVLELLYNCLPECFSSGNHTDVCVLQSVKEDVANIIAPLMGRPITRWPFFAFLVGAMFCLLASSTCHLLSCHSKRLSYIMLRLDYTGIAALISTSFYPPVYYSFMCDPFFCNLYLGFITILGIATILFSLLPVFQNPEFRTIRASLFFGMGMSGIAPILHKLFLFWNQPEALHTTGYEVLMGLFYGIGALVYATRIPERWKPGKFDIAGHSHQLFHIMVVAGAYTHYRAGLVYLKWRDLNGC >EOX91722 pep chromosome:Theobroma_cacao_20110822:1:3622873:3627533:1 gene:TCM_000816 transcript:EOX91722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heptahelical protein 4 isoform 2 MGRVQQVCEDTNMTVETTEKCKVCYSKEGKGKKLWKKVKYQLVEYHSLPGYLRDNEYIVGHYRSEWPMKQVLLSIFKIHNETLNVWTHLIGFFIFLSLTIYTATKVPKVVDLHSLQHIPDVLRKADLHKLQSELITCLPSLPNMPNLHKLRQELKTSLPSMDLFPSLSGWHVLELLYNCLPECFSSGNHTDVCVLQSVKEDVANIIAPLMGRPITRWPFFAFLVGAMFCLLASSTCHLLSCHSKRLSYIMLRLDYTGIAALISTSFYPPVYYSFMCDPFFCNLYLGFITILGIATILFSLLPVFQNPEFRTIRASLFFGMGMSGIAPILHKLFLFWNQPEALHTTGYEVLMGLFYGIGALVYATRIPERWKPGKFDIAGHSHQLFHIMVVAGAYTHYRAGLVYLKWRDLNGC >EOX91725 pep chromosome:Theobroma_cacao_20110822:1:3622970:3627137:1 gene:TCM_000816 transcript:EOX91725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heptahelical protein 4 isoform 2 MGRVQQVCEDTNMTVETTEKCKVCYSKEGKGKKLWKKVKYQLVEYHSLPGYLRDNEYIVGHYRSEWPMKQVLLSIFKIHNETLNVWTHLIGFFIFLSLTIYTATKVPKVVDLHSLQHIPDVLRKADLHKLQSELITCLPSLPNMPNLHKLRQELKTSLPSMDLFPSLSGWHVLELLYNCLPECFSSGNHTDVCVLQSVKEDVANIIAPLMGRPITRWPFFAFLVGAMFCLLASSTCHLLSCHSKRLSYIMLRLDYTGIAALISTSFYPPVYYSFMCDPFFCNLYLGFITILGIATILFSLLPVFQNPEFRTIRASLFFGMGMSGIAPILHKLFLFWNQPEALHTTGYEVLMGLFYGIGALVYATRIPERWKPGKFDIAGHSHQLFHIMVVAGAYTHYRAGLVYLKWRDLNGC >EOX91723 pep chromosome:Theobroma_cacao_20110822:1:3622892:3627533:1 gene:TCM_000816 transcript:EOX91723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heptahelical protein 4 isoform 2 MGRVQQVCEDTNMTVETTEKCKVCYSKEGKGKKLWKKVKYQLVEYHSLPGYLRDNEYIVGHYRSEWPMKQVLLSIFKIHNETLNVWTHLIGFFIFLSLTIYTATKVPKVVDLHSLQHIPDVLRKADLHKLQSELITCLPSLPNMPNLHKLRQELKTSLPSMDLFPSLSGWHVLELLYNCLPECFSSGNHTDVCVLQSVKEDVANIIAPLMGRPITRWPFFAFLVGAMFCLLASSTCHLLSCHSKRLSYIMLRLDYTGIAALISTSFYPPVYYSFMCDPFFCNLYLGFITILGIATILFSLLPVFQNPEFRTIRASLFFGMGMSGIAPILHKLFLFWNQPEALHTTGYEVLMGLFYGIGALVYATRIPERWKPGKFDIAGHSHQLFHIMVVAGAYTHYRAGLVYLKWRDLNGC >EOX96508 pep chromosome:Theobroma_cacao_20110822:1:38055276:38056596:-1 gene:TCM_005743 transcript:EOX96508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFTHFVSMGEPKGQGIDLVNVLSSQIVQNQKGRYGSVSTLDHILQPIAIGLGVCTSFCSSLASHYKYLFDH >EOX92228 pep chromosome:Theobroma_cacao_20110822:1:5843280:5846286:-1 gene:TCM_001209 transcript:EOX92228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEADHGSMAVTVSFAALTCAGETLATMFLSALVKTHLLALNSFGLLLTNKPRVKGDHARNQPKPEDADGEDGNEDDREDDDDGDGGFGEGEEELSSEEGGDYGNNPNSNKNNPKKGPGGGAEQNGEEEDDEEDGEDPEDEDDDDEDDDDEDEDEEEDGGEEDEEDVDGVENEDEDEDDEDEEALQPPKKRKK >EOX93874 pep chromosome:Theobroma_cacao_20110822:1:16995151:16996266:1 gene:TCM_002862 transcript:EOX93874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTTYLYYKSDHAILGACYHSQMISNKWSCLRHSQIMLNGLPAENQITLRAKPLVEKQIVCVPKQLAKNKSLVVSKQFVENQIIDRRNLPMVGITRGNING >EOX96566 pep chromosome:Theobroma_cacao_20110822:1:38265683:38268104:1 gene:TCM_005793 transcript:EOX96566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11-beta isoform 1 MAEQTEKAFLKQPKVFLSSKKSGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRILAGTCHSAKMMRTIIVRRNYLHYIKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSGGGKKAFAGM >EOX96565 pep chromosome:Theobroma_cacao_20110822:1:38265683:38268569:1 gene:TCM_005793 transcript:EOX96565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11-beta isoform 1 MAEQTEKAFLKQPKVFLSSKKSGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRILAGTCHSAKMMRTIIVRRNYLHYIKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSGGGKKAFAGRSMNASLFCWRLGPGDRAHYAFGLPFMQ >EOX92256 pep chromosome:Theobroma_cacao_20110822:1:5968843:5975570:1 gene:TCM_001230 transcript:EOX92256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-H heavy chain isoform 1 MRKGAKRKVASQKQAEKEAKASSSSQENQKENHKPAPKAKRVKTSKPEPEPEYFEDKRNLEDLWKAAFPVGTEWDQLDSVYQVSWNFSNLEDAFEEGGKLYGKKVYLFGCTEPQLVPYKGENKVICIPVVVAVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRDSQVDRLKSQIFILGCTQRRAALKQMKIDRLKKYEYCLPYFYQPLKEDELEQSTEVQIIFPAEPKPVFCEFDWELDELDEFTDKLIEADELDKDQKDAFKEFVKEKVREAKKANRQAREARKKALEEMSEETKAAFENIRFYKFYPVQTPDTPDVSNVKAPFINRYYGKAHEVL >EOX92257 pep chromosome:Theobroma_cacao_20110822:1:5968802:5973522:1 gene:TCM_001230 transcript:EOX92257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-H heavy chain isoform 1 MRKGAKRKVASQKQAEKEAKASSSSQENQKENHKPAPKAKRVKTSKPEPEPEYFEDKRNLEDLWKAAFPVGTEWDQLDSVYQVSWNFSNLEDAFEEGGKLYGKKVYLFGCTEPQLVPYKGENKVICIPVVVAVVSPFPPSDKIGINSVQREAEEIVPMKQMKMDWVPYIPLENRDSQVDRLKSQIFILGCTQRRAALKQMKIDRLKKYEYCLPYFYQPLKEDELEQSTEVQIIFPAEPKPVMFSYIFEKIDDH >EOX91695 pep chromosome:Theobroma_cacao_20110822:1:3549395:3551682:1 gene:TCM_000801 transcript:EOX91695 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor MDTLFRLVSLQSSDQSFNSSRTSSSSRSSRQNHHYQQEDEECFNFFMDEEDFSSSSSKHYYPYHHHQQHQPHPSTTTTTPTTTTTNTSTPTTHHAFDPSDFSFSPVRDLSFDFSGKWATDILLETATAIADRNSARVQQLMWMLNELSSPYGDTDQKLGSHFLQALFSRMTDSGERCYRTLASVSEKTCSFESTRKMVLKFQEVSPWTTFGHVACNGAIMEAFEGESKLHIIDISNTYCTQWPTLLEALATRTDETPHLRLTTIVASKTGAVSGAAGAGGLVAVQKVMKEIGNRMEKFARLMGVPFKFNVIHHAGDLCDLDLSELNIKEDEALAINCVGTLHSITAVDNRRDIMISNFRRLQPRIITVVEEEADLDVGVDGLEFVKGFQECLRWCRVYFEALDESFARTSNERLMLERAAGRAIVDLVACPPSESIERRESATRWSRRFHASGFSPVVLSDEVCDDVRALLRRYKEGWSMAQCPDAGIFLSWKDQPVVWASAWRP >EOX96750 pep chromosome:Theobroma_cacao_20110822:1:38860677:38863229:-1 gene:TCM_005932 transcript:EOX96750 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein MASISSLISPPGLPNPAKLSLTLQSKLFLQILQPTTNPFANLPQNLLLNSRPKKVRLFAVAEEQTSVVSSPSSEAARRLYIGNIPRTVNNDELTKIVEEHGAVEKAEVMYDKYSGRSRRFAFVTMKTVEDANAAIEKLNGTEIGQREIKVNITEKPLPQVDLSLLQDEDSQFVDSPHKVYVGNLAKTITTDTLKKFFSEKGKVLSAKVSRVPGTSKSGGFGFVTFSSEEEVEAAISAFNNSLLEGQQVRVNKA >EOX92027 pep chromosome:Theobroma_cacao_20110822:1:4976840:4981260:1 gene:TCM_001050 transcript:EOX92027 gene_biotype:protein_coding transcript_biotype:protein_coding description:GCR2-like 1 MSSVVELAASKESHEEGNNERLGMLNMDPTVANNLSLSADTLLKAAIALKDQVVEVTWKEGGGSCAGSGMDPTVNTGLLGTAFTCLRSYEVTGNQQDLLLSAKIVDTCASVARASTRHVTFLCGRGGVYSLGAVVANYMGDPQRLNFFLNQFLEVAQERALPVGPEEGGFGMSYDLLYGRAGFLWAALFLNKHLGKETVPSDVLMPIIDAILAGGRTGASDHPACPLMYRWHGTRYWGAANGLAGILHVLLHFPLSENDAEDVKGTLRYMMSNRFPHSGNYPSSEGNPRDKLVQWSHGATSMAITLAKASQVYPSEREFRDAAIEAGEVVWKNGLVKKVGLADGVAGNAYAFLSLYRLTGETIYEERAKAFASFLYHNARKLVNVGHACGADHAYSLFQGLAGTACLWFDLLEPQNSKFPGFEL >EOX92364 pep chromosome:Theobroma_cacao_20110822:1:6464281:6465384:-1 gene:TCM_001313 transcript:EOX92364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 18, putative MEIFSSEPTTLLLLLLLTAPCTTADLTSQELDAALFALRSNGYTLFPNAITTSDLQPRLLSSQNSSFTLLSPPDSLLFSLDLLSSARLYTFSLLLHVSPYLLSTSDLLSLRRPYIETLLPNRHLFIEKTQVSHNGSVLASVAVDGVRVSVPDLFLGSNIAVHGLDGILVPRYRARDNDGALSELGPVMAPKSRCEMCISPVNPPESLPPMGSRVPEMVTVGTGLKKDGGSDPDDDRGTNKGSRHSTFFQFKHLFTR >EOX94298 pep chromosome:Theobroma_cacao_20110822:1:27654406:27655631:1 gene:TCM_003890 transcript:EOX94298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRVVLDYAQLEFIVSLATACSLAPTTKDRWSHTCHYPTLVFFVCRHHQSESEHRTSKMLRSRWVLGQPQP >EOX94693 pep chromosome:Theobroma_cacao_20110822:1:30917233:30920951:1 gene:TCM_004305 transcript:EOX94693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRLYLRRDAERRKRQLAMFKFYMEICRAVSSFLTLLSAASTLCTYRPRVRSYALDFATNREYVRRLVYDNDISCISQIRMNRVTFLKLCEMLESIGGLKSTKNMLVDEQVAIFLHIIAHHVKNRVISLNFRRSGESISRHFHNVLAAVLKLQEHLFRKPEPIPTNSTDNRWKWFKNCLGALDGTYIRVKKTISTSSARASQSSKGTKRKWNHHEDVALVTALIDLHNIGKYNADTGFRGGYLIELENMLATKLPDANLKAKPHIESRIKTLKKEWAIIYDMVQGTHTSGFGWDDQRNMVVADDPVWEAYIQSHKEAAPFRRKSFPFFNELXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIAAATILGENIKEAEIEFSRSVGAEVNIQQKAQELDGILSQVEGLTAMKRVLASIKLPESPTLMFVFFSIDPDRRLEWLRTFLADR >EOX93071 pep chromosome:Theobroma_cacao_20110822:1:10259704:10264892:-1 gene:TCM_001916 transcript:EOX93071 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein isoform 5 MAMSVSSACFSVFSYKKVNRRTRAVASEDFAVTLKTEDKVKLGGSELKVTKLGIGAWSWGDTTYWNNFEWDDRKMKAAKAAFDASVDCGITFFDTAEVYGSTLSLGAINSETLLGRFIKERKEKYPDTEVAVATKFAALPWRFGRQSVLNALKDSICRLGVSSIELYQLHWPGVWGNEGYIDGLGDAVEQGLVKAVGVSNYNERRLRDAYERLKKRGIPLASNQVNYSLIYRAPEQNGVKAACDELGITLIAYSPIAQGALTGKYTPENLPTGPRSRIYTPEFLTKLQPLVNRIKEIGENYGKTPTQVVVLNWLIAQESVVPIPGAKNAEQAEEFTGALGWRLSNEQVDELRSLASEISPAIGFPLENL >EOX93073 pep chromosome:Theobroma_cacao_20110822:1:10260024:10263531:-1 gene:TCM_001916 transcript:EOX93073 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein isoform 5 MSSMIPFFQLSLGAINSETLLGRFIKERKEKYPDTEVAVATKFAALPWRFGRQSVLNALKDSICRLGVSSIELYQLHWPGVWGNEGYIDGLGDAVEQGLVKAVGVSNYNERRLRDAYERLKKRGIPLASNQVNYSLIYRAPEQNGVKAACDELGITLIAYSPIAQGKYTPENLPTGPRSRIYTPEFLTKLQPLVNRIKEIGENYGKTPTQVVLNWLIAQESVVPIPGAKNAEQAEEFTGALGWRLSNEQVDELRSLASEISPAIGFPLENL >EOX93072 pep chromosome:Theobroma_cacao_20110822:1:10260464:10263443:-1 gene:TCM_001916 transcript:EOX93072 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein isoform 5 MSSMIPFFQLSLGAINSETLLGRFIKERKEKYPDTEVAVATKFAALPWRFGRQSVLNALKDSICRLGVSSIELYQLHWPGVWGNEGYIDGLGDAVEQGLVKAVGVSNYNERRLRDAYERLKKRGIPLASNQVNYSLIYRAPEQNGVKAACDELGITLIAYSPIAQGALTGKYTPENLPTGPRSRIYTPEFLTKLQPLVNRIKEIGENYGKTPTQVVLNWLIAQESVVPIPGAKNAEQAEEFTGALGWRLSNEQVDELRSLASEISPAIGFPLENL >EOX93070 pep chromosome:Theobroma_cacao_20110822:1:10259695:10264888:-1 gene:TCM_001916 transcript:EOX93070 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein isoform 5 MAMSVSSACFSVFSYKKVNRRTRAVASEDFAVTLKTEDKVKLGGSELKVTKLGIGAWSWGDTTYWNNFEWDDRKMKAAKAAFDASVDCGITFFDTAEVYGSTLSLGAINSETLLGRFIKERKEKYPDTEVAVATKFAALPWRFGRQSVLNALKDSICRLGVSSIELYQLHWPGVWGNEGYIDGLGDAVEQGLVKAVGVSNYNERRLRDAYERLKKRGIPLASNQVNYSLIYRAPEQNGVKAACDELGITLIAYSPIAQGALTGKYTPENLPTGPRSRIYTPEFLTKLQPLVNRIKEIGENYGKTPTQVVLNWLIAQESVVPIPGAKNAEQAEEFTGALGWRLSNEQVDELRSLASEISPAIGFPLENL >EOX93074 pep chromosome:Theobroma_cacao_20110822:1:10260808:10264888:-1 gene:TCM_001916 transcript:EOX93074 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein isoform 5 MAMSVSSACFSVFSYKKVNRRTRAVASEDFAVTLKTEDKVKLGGSELKVTKLGIGAWSWGDTTYWNNFEWDDRKMKAAKAAFDASVDCGITFFDTAEVYGSTLSLGAINSETLLGRFIKERKEKYPDTEVAVATKFAALPWRFGRQSVLNALKDSICRLGVSSIELYQLHWPGVWGNEGYIDGLGDAVEQGLVKAVGVSNYNERRLRDAYERLKKRGIPLASNQVNYSLIYRAPEQNGVKAACDELGITLIAYSPIAQGALTGKYTPENLPTGPRSRIYTPEFLTKLQPLVNRIKEIGENYGKTPTQCISCELGSITPIDTLQSTITSSPRR >EOX95729 pep chromosome:Theobroma_cacao_20110822:1:35380124:35384839:-1 gene:TCM_005163 transcript:EOX95729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein 1589 of Uncharacterized protein function isoform 1 MKNLQSTQDIKPSTQASHEPKTEQQNNQTTDAPLADSGSVSASSNDGRKVSRQDIELVQNLIERCLQLYMNRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNHFLEHQYHLMKYPVPPKVPLAPIQNGIHPMPVNNLPMGYPVLQQPPIPATGQPHLDSMGISSCHVVNGVPAPSNFQPMCMNSGNDMVMENNATNVTPAVPPTTTMSSMSEMPVSPTSVASSGNFPFTASDMSGMGVDTSVLDSAFTTDVASSVGLQLGPDNGAGNSRDSLRSLDQIQWNFSLTDLTADLSNLGDLGALGNYSGSPFLPSDSEILLDSPEQEDIVEEFFVDSVPGQPCSQSDEEKS >EOX95730 pep chromosome:Theobroma_cacao_20110822:1:35379814:35384713:-1 gene:TCM_005163 transcript:EOX95730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein 1589 of Uncharacterized protein function isoform 1 MKNLQSTQDIKPSTQASHEPKTEQQNNQTTDAPLADSGSVSASSNDGRKVSRQDIELVQNLIERCLQLYMNRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNHFLEHQYHLMKYPVPPKVPLAPIQNGIHPMPVNNLPMGYPVLQQPPIPATGQPHLDSMGISSCHVVNGVPAPSNFQPMCMNSGNDMVMENNATNVTPAVPPTTTMSSMSEMPVSPTSVASSGNFPFTASDMSGMGVDTSVLDSAFTTDVASSVGLQLGPDNGAGNSRDSLRSLDQIQWNFSLTDLTADLSNLGDLGALGNYSGSPFLPSDSEILLDSPEQEDIVEEFFVDSVPGQPCSQSDEEKS >EOX95731 pep chromosome:Theobroma_cacao_20110822:1:35379390:35384022:-1 gene:TCM_005163 transcript:EOX95731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein 1589 of Uncharacterized protein function isoform 1 MKNLQSTQDIKPSTQASHEPKTEQQNNQTTDAPLADSGSVSASSNDGRKVSRQDIELVQNLIERCLQLYMNRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNHFLEHQYHLMKYPVPPKVPLAPIQNGIHPMPVNNLPMGYPVLQQPPIPATGQPHLDSMGISSCHVVNGVPAPSNFQPMCMNSGNDMVMENNATNVTPAVPPTTTMSSMSEMPVSPTSVASSGNFPFTASDMSGMGVDTSVLDSAFTTDVASSVGLQLGPDNGAGNSRDSLRSLDQIQWNFSLTDLTADLSNLGDLGALGNYSGSPFLPSDSEILLDSPEQEDIVEEFFVDSVPGQPCSQSDEEKS >EOX92971 pep chromosome:Theobroma_cacao_20110822:1:9658348:9661136:-1 gene:TCM_001828 transcript:EOX92971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MSLISQNPVSRNRVKAKRDDGPSIHEDNKDIDNGLESDSEVSRLKKRRKEKEKQIEMEQEKEIKKLENFLFGSIYSPVEFGKAGEENAQVVAQDGSTLFFLDRSVNNLELPEESDDEEKNKERKAVWVDEEEEKTTINIAKVNRLRKLRKEEDESLISGSEYISRLRAQHTKLNPGTEWADLDSGIRNDTIYDDELSDEEKGVVAAQGYGNVEVDDDILRTNEDLVVKSNVKLLPGLLEYSRLVDANAEEPSSGPINSVQFHRNAQLLLAAGLDRRVRFFQIDGKRNTKIQSIFLEDCPVRKASFLPDGSQVIIAGRRKFFYCFDLVKAKIDKIGPLVGREEKSLEVFEVSPDSSTIAFLGNEGYILLVSTKTKELIGTLKMNGTVRSLAFADDGKQLLSSGGDGQVYHWDLRTRTCFRKAVDEGCINGTALCTSPNGRMFAAGSDSGIVNIYNRDEFLGGKRKPIKTIENLTTKVDFMKFNSDAQILAICSTMKKNSLKLIHVPSFTVYSNWPPPNVNLHYPRCLDFSPGGGFVTVGNAAGKVLLYKLHHYSHA >EOX94010 pep chromosome:Theobroma_cacao_20110822:1:18677542:18680516:1 gene:TCM_003053 transcript:EOX94010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRYAHKREKQAEGDDNDDDDEDMPPQAKTKPSSSGMPSSLIRHISTDARLDAMRKTLEKMDSNLIYSMMQLRRNMTPSIMPWLHNLRMLIRIFSSCSNTKNP >EOX94105 pep chromosome:Theobroma_cacao_20110822:1:21346211:21353713:-1 gene:TCM_003272 transcript:EOX94105 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING finger protein, putative MASPSHFEKTHEDYNRPSGEEQAKDKDWLELGLGFSIACRKEEEEEEEEHQRNAVSASPSSQLQQVKQKPTGCGAGLELGLSLGRDLESMPGLELDHEHGVRVIGMAPPGNYDQGSWQNQDEDHCDEDYDDMAWWPCDMNSGSFLGLDDWQMPVPNTSHDYLAGTRPHSGLWFTLQSYTNRNGEALPQIPKAYIRVKDENVTIFMVKKYLVTKLGLSNEAEVDISCMGQKLLHTLTLKQVRDCVWLPRLVESVNPTTVSFENYSHQSCVNDHLMSLQYGRRC >EOX92632 pep chromosome:Theobroma_cacao_20110822:1:7841630:7846600:1 gene:TCM_001550 transcript:EOX92632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 20.2 isoform 2 MGNLLVKKPKITEVDRAILSLKTQRRKLGQYQQQLEAVIEAEKQAARDLIREKRKDRALLALKKKKAQEELLKQVDTWLINVEQQLADIELASKQKAVFESLKSGNNAIKAIQSEINLEDVQKLMDDTEEAKAYQDEINAILGEKLSAEDEEEILAEFETLETQMAVQHMPEVPTTAPSEEQNLDLPNVPTKKPVASNAARDDDEIASAEVSTKRRGFCLSLSLSFYTFMHRHKHSLSLSHTHFQSAHAFTHITHACTPYLIETFKRLTVFENAVMEEPLPA >EOX92634 pep chromosome:Theobroma_cacao_20110822:1:7840821:7846001:1 gene:TCM_001550 transcript:EOX92634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 20.2 isoform 2 MGNLLVKKPKITEVDRAILSLKTQRRKLGQYQQQLEAVIEAEKQAARDLIREKRKDRALLALKKKKAQEELLKQVDTWLINVEQQLADIELASKQKAVFESLKSGNNAIKAIQSEINLEDVQKLMDDTEEAKAYQDEINAILGEKLSAEDEEEILAEFETLETQMAVQHMPEVPTTAPSEEQNLDLPNVPTKKPVASNAARDDDEIASAEVSTKRRVFEN >EOX92633 pep chromosome:Theobroma_cacao_20110822:1:7841569:7846433:1 gene:TCM_001550 transcript:EOX92633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 20.2 isoform 2 MGNLLVKKPKITEVDRAILSLKTQRRKLGQYQQQLEAVIEAEKQAARDLIREKRKDRALLALKKKKAQEELLKQVDTWLINVEQQLADIELASKQKAVFESLKSGNNAIKAIQSEINLEDVQKLMDDTEEAKAYQDEINAILGEKLSAEDEEEILAEFETLETQMAVQHMPEVPTTAPSEEQNLDLPNVPTKKPVASNAARDDDEIASAEVSTKRRELWRNHCLHD >EOX91731 pep chromosome:Theobroma_cacao_20110822:1:3638140:3641611:-1 gene:TCM_000820 transcript:EOX91731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 18, putative isoform 1 MAVETLDPKLPRTFSKYFHLVCFYIIFVTICSRPALSFSTIRALPGFSASLPFKLETGYIGVGDVEFFFYFIESERNPAEDPLFLWLTGGPGCSALSGLFFEIGPLQFNMVEYNGSLPTFALNPYSWTKVASIIFLDAPVGTGFSYSRTLQGFKTGDKQYADDAYNFLRKWLDSHRKFITNPFYIGGDSYSGMIVPIIAQAISDGIEDKGVPSINLKGYLLGNPGTDSKFDDNSKIPFYHRVALISDELYESAKRNCKEEYVEVDISNVDCAKDLQAISECTVHINEGHILEPDCPTEFKPLNSLDNNRKYFLETHEEYLRVAAEFPQFGCRNYNGYLCKVWATDISVQKALYVRQGTVTEWVRCNSSLLYDKDVESAVGYHRYLNTKGYRALIYSGDHDTVVPYVGTEAWIKSLNFSIVDDWRPWFVDGQVGGYSREYGNNFTFATVKGGGHTAPEYKPKECLAMFKRWISQEHL >EOX91732 pep chromosome:Theobroma_cacao_20110822:1:3637949:3641110:-1 gene:TCM_000820 transcript:EOX91732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 18, putative isoform 1 MVEYNGSLPTFALNPYSWTKVASIIFLDAPVGTGFSYSRTLQGFKTGDKQYADDAYNFLRKWLDSHRKFITNPFYIGGDSYSGMIVPIIAQAISDGIEDKGVPSINLKGYLLGNPGTDSKFDDNSKIPFYHRVALISDELYESAKRNCKEEYVEVDISNVDCAKDLQAISECTVHINEGHILEPDCPTEFKPLNSLDNNRKYFLETHEEYLRVAAEFPQFGCRNYNGYLCKVWATDISVQKALYVRQGTVTEWVRCNSSLLYDKDVESAVGYHRYLNTKGYRALIYSGDHDTVVPYVGTEAWIKSLNFSIVDDWRPWFVDGQVGGYSREYGNNFTFATVKARVPVFLIILFTA >EOX91733 pep chromosome:Theobroma_cacao_20110822:1:3637949:3641110:-1 gene:TCM_000820 transcript:EOX91733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 18, putative isoform 1 MVEYNGSLPTFALNPYSWTKVASIIFLDAPVGTGFSYSRTLQGFKTGDKQYADDAYNFLRKWLDSHRKFITNPFYIGGDSYSGMIVPIIAQAISDGIEDKGVPSINLKGYLLGNPGTDSKFDDNSKIPFYHRVALISDELYESAKRNCKEEYVEVDISNVDCAKDLQAISECTVHINEGHILEPDCPTEFKPLNSLDNNRKYFLETHEEYLRVAAEFPQFGCRNYNGYLCKVWATDISVQKALYVRQGTVTEWVRCNSSLLYDKDVESAVGYHRYLNTKGYRALIYSGDHDTVVPYVGTEAWIKSLNFSIVDDWRPWFVDGQVGG >EOX91730 pep chromosome:Theobroma_cacao_20110822:1:3637914:3641757:-1 gene:TCM_000820 transcript:EOX91730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 18, putative isoform 1 MAVETLDPKLPRTFSKYFHLVCFYIIFVTICSRPALSFSTIRALPGFSASLPFKLETGYIGVGDVEFFFYFIESERNPAEDPLFLWLTGGPGCSALSGLFFEIGPLQFNMVEYNGSLPTFALNPYSWTKVASIIFLDAPVGTGFSYSRTLQGFKTGDKQYADDAYNFLRKWLDSHRKFITNPFYIGGDSYSGMIVPIIAQAISDGIEDKGVPSINLKGYLLGNPGTDSKFDDNSKIPFYHRVALISDELYESAKRNCKEEYVEVDISNVDCAKDLQAISECTVHINEGHILEPDCPTEFKPLNSLDNNRKYFLETHEEYLRVAAEFPQFGCRNYNGYLCKVWATDISVQKALYVRQGTVTEWVRCNSSLLYDKDVESAVGYHRYLNTKGYRALIYSGDHDTVVPYVGTEAWIKSLNFSIVDDWRPWFVDGQVGGYSREYGNNFTFATVKGGGHTAPEYKPKECLAMFKRWISQEHL >EOX91734 pep chromosome:Theobroma_cacao_20110822:1:3637810:3642011:-1 gene:TCM_000820 transcript:EOX91734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 18, putative isoform 1 MVEYNGSLPTFALNPYSWTKVASIIFLDAPVGTGFSYSRTLQGFKTGDKQYADDAYNFLRKWLDSHRKFITNPFYIGGDSYSGMIVPIIAQAISDGIEDKGVPSINLKGYLLGNPGTDSKFDDNSKIPFYHRVALISDELYESAKRNCKEEYVEVDISNVDCAKDLQAISECTVHINEGHILEPDCPTEFKPLNSLDNNRKYFLETHEEYLRVAAEFPQFGCRNYNGYLCKVWATDISVQKALYVRQGTVTEWVRCNSSLLYDKDVESAVGYHRYLNTKGYRALIYSGDHDTVVPYVGTEAWIKSLNFSIVDDWRPWFVDGQVGGEGVTQLQSTSLRNALPCLRDGFPRNICDQQLAPI >EOX94310 pep chromosome:Theobroma_cacao_20110822:1:27707568:27711553:1 gene:TCM_003899 transcript:EOX94310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein isoform 1 MEAKIQLFCSTLFLYLVSVRANTLQTYIVQLHPHGVTSSLFPTKLQWHLSFLEQTLSSEEDPSSRLLYSYGSAMDGFAAQLSETELELLRSLPDVVAIRPDRLLQIHTTYSYKFLGLSTTRDGAWFKSGLGRGTIIGVLDTGVWPESPSFNDQGMPPVPKKWRGICQEGQSFNALNCNRKLIGARFFIKGHHVSSVSRSANMIQEYISPRDSSGHGTHTSSTAGGVSVPMASVLGNGAGVARGMAPGAHIAVYKVCWFNGCYSSDILAAMDVAIADGVDVLSLSLGGFPLPLFDDSIAVGSFRAVEHGISVICAAGNNGPIQSSVANIAPWIATIGASTLDRKFPAIVRMGNGEFIYGESVYPGNRLRSAKKELELVYVTGGDSGSEFCFKGSLPRAKVGGKMVICDRGVNGRAEKGIAVKEAGGAAMILANTEINLEEDSVDAHVLPATEIGYAEAVRLKAYINTTSRPRARIIFGGTVIGRSRAPTVALFSARGPNLYDSSILKPDVIAPGVNIIAAWPQNLGPTGLPEDARRVNFTVMSGTSMACPHVSGIAALIHSAHPTWTPAAIKSALMTSADANDHRGKPITDGNKPADVFAIGAGHVNPDRAIDPGLIYDIRPDEYVIHLCTLGYTRSEIFTITHKNVSCSEILRMNRGFTLNYPSITVAFKQGMKSKMITRRLTNVGSPHSVYSVEVKAPEGVKVKVKPQRLIFEHINQSLSYRIWVISRKKTKTKRISFAEGQLTWVNAHNNFYRVRSPISVTWK >EOX94311 pep chromosome:Theobroma_cacao_20110822:1:27707568:27712092:1 gene:TCM_003899 transcript:EOX94311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein isoform 1 MEAKIQLFCSTLFLYLVSVRANTLQTYIVQLHPHGVTSSLFPTKLQWHLSFLEQTLSSEEDPSSRLLYSYGSAMDGFAAQLSETELELLRSLPDVVAIRPDRLLQIHTTYSYKFLGLSTTRDGAWFKSGLGRGTIIGVLDTGVWPESPSFNDQGMPPVPKKWRGICQEGQSFNALNCNRKLIGARFFIKGHHVSSVSRSANMIQEYISPRDSSGHGTHTSSTAGGVSVPMASVLGNGAGVARGMAPGAHIAVYKVCWFNGCYSSDILAAMDVAIADGVDVLSLSLGGFPLPLFDDSIAVGSFRAVEHGISVICAAGNNGPIQSSVANIAPWIATIGASTLDRKFPAIVRMGNGEFIYGESVYPGNRLRSAKKELELVYVTGGDSGSEFCFKGSLPRAKVGGKMVICDRGVNGRAEKGIAVKEAGGAAMILANTEINLEEDSVDAHVLPATEIGYAEAVRLKAYINTTSRPRARIIFGGTVIGRSRAPTVALFSARGPNLYDSSILKPDVIAPGVNIIAAWPQNLGPTGLPEDARRVNFTVMSGTSMACPHVSGIAALIHSAHPTWTPAAIKSALMTSADANDHRGKPITDGNKPADVFAIGAGHVNPDRAIDPGLIYDIRPDEYVIHLCTLGYTRSEIFTITHKNVSCSEILRMNRGFTLNYPSITVAFKQGMKSKMITRRLTNVGSPHSVYSVEVKAPEGVKVKVKPQRLIFEHINQSLSYRIWVISRKKTKTKRISFAEGQLTWVNAHNNFYRVRSPISVTWK >EOX94420 pep chromosome:Theobroma_cacao_20110822:1:28379674:28396547:1 gene:TCM_003995 transcript:EOX94420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase 19 isoform 1 MEKGKKRTREENKEEIEAESSDADHSLSLEQNLTFSDTLVALRIMRAQFPQIDKVAIQPFILQSQLYSSVKDRTQVDRELESLRREKVVRIFKLNTGQDDHGIMLLDDYIKQIEHAVKRMEERKQRDLKVFEWFKVYVIESKLEPSIEHQELCSLLSLGGRVKDDHISLLINAGLLTRQLIDPSMYWFAIPNIGSILKGISQGRKELLSLLNRRRYKEMMLVPLEKKRLRLSPLDMRFHLRDLIGSGHLKTAHSPTGIVVRVSKD >EOX94421 pep chromosome:Theobroma_cacao_20110822:1:28378778:28394997:1 gene:TCM_003995 transcript:EOX94421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase 19 isoform 1 MEKGKKRTREENKEEIEAESSDADHSLSLEQNLTFSDTLVALRIMRAQFPQIDKVAIQPFILQSQLYSSVKDRTQVDRELESLRREKVVRIFKLNTGQDDHGIMLLDDYIKQIEHAVKRMEERKQRDLKVFEWFKVYVIESKLEPSIEHQELCSLLSLGGRVKDDHISLLINAGLLTRQLIDPSMYWFAIPNIGSILKGISQGRKELLSLLNRRRYKEMMLVPLEKKRLRLSPLDMRFHLRDLIGSGHLKTAHSPTGIVVRVSKD >EOX94419 pep chromosome:Theobroma_cacao_20110822:1:28378778:28394997:1 gene:TCM_003995 transcript:EOX94419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase 19 isoform 1 MEKGKKRTREENKEEIEAESSDADHSLSLEQNLTFSDTLVALRIMRAQFPQIDKVAIQPFILQSQLYSSVKDRTQVDRELESLRREKVVRIFKLNTGQDDHGIMLLDDYIKQIEHAVKRMEERKQRDLKVFEWFKVYVIESKLEPSIEHQELCSLLSLGGRVKDDHISLLINAGLLTRQLIDPSMYWFAIPNIGSILKGISQGRKELLSLLNRRRYKEMMLVPLEKKRLRLSPLDMRFHLRDLIGSGHLKTAHSPTGIVVRVSKD >EOX91383 pep chromosome:Theobroma_cacao_20110822:1:2525570:2529306:-1 gene:TCM_000596 transcript:EOX91383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVSKFSWYSGMDVGNRTQAGWLKIVYVVFAFCSALCLGALKGLLVGPIAGLVMIIGNVGVILGLLPAHIAWTVYTIIRTNRFDAPLKVAILIALPALFGIWLALSIAGSVLLGIGYGFLTPWVSAFEAFRHGDKSKRFFHCVVDGTWETVEGSCTVVRDFADLCFHSFPLYLKELRESPVSNELQTLRLILVPGLIVVGLLGLVVDIPFYTIIAVVKSPYMLFKGWFRLTHDLISREGPFLETVCIPIAGLTILFWPIVVIGSILVAIFSSFFIGLYGSVIVYQERSFQRGVAYVIAMIAEFDEYTNDWLYLREGTIFPKPRYRKKSGSELEYSVGGLGGKFSSASGEAPAMLMPSLVHSVSVREAIQEVKMVQIWTNIMKSCEIKGKELLDADVITPVDLGEWLKAKNGNEAVIIGVGLPCYCFLHTLLNSIRSGSNGLLMLDDVEINHLNRPKDKLLDWFFNPIMVLKEQIRVIKLGDNEVRLLEKLLLFGSNTERMDGWDNGSSVPQDSLRAAQIEGISRRMVGMVRSVSKLPTYRRRFRQVVKDLVAHASEKQDIARCNSMVSTSCPEEV >EOX93145 pep chromosome:Theobroma_cacao_20110822:1:10847074:10849135:1 gene:TCM_001993 transcript:EOX93145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane family protein, putative MQALAGKLVSFTMCMGLIILFLPFISSSQEHVGSIGSHTNNKGNIHKVRSHKLMFEITLHGFLLWASMGFLMPVGILAIRMSNREECGRRLKILFYVHAVSQVLSVLLSTAGAVMSIKSFNNSFNNHHQRLGVALYGIIWLQALTGVLRPWRGSKGRSAWFFAHWSLGTAVAILGVINIYTGLGAYHEKTSRSIKLWTILFTVQISSIVFIYLFQDKWLYIQKQGVILGHEPVRPTEQDISPREKQKESTAEHC >EOX92337 pep chromosome:Theobroma_cacao_20110822:1:6346324:6356386:-1 gene:TCM_001297 transcript:EOX92337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Essential meiotic endonuclease 1B, putative isoform 2 MSDPIILSDEDDSNTPLPSISKRPRSEPYHPFPPILVLDDDPTPQKPSLGPAIVSVSTPSFVAETPISEPSIVRCSDASHSVRVSDPQLEGISRLICLESDNESESCSRRDNEQDNGSKGFCIDESKELEWRSRFVDYESHLDSAPQPIYTQDNADPLLPPWISDDQDKENFSMEQMGNALKQKRKTKANCDQKSSTDGETVKRKMTKEERIHMLEEKKLKKEQEKLQKAALKAEAAEFKKLQKERQKWEKGKFALKSIVAEIDTKVVELGSIGGHLLSRFAEKGFTYRITSNPIEKSIVWTMTVPEHISQLSPEGLEIQYVLIVFEAAEFCDLVTNDTLLDHVSRVRSKYPSYTVCYLTNRLRAYVNKRENEQYKSPANDSGWSRPPIEEVLAKLTAYYAGIHSRQCADEAELAEHIVGLTCSLASCRFRKKLTRLCVSANGTIIPKDCIDKNIINGNLWGIAYYC >EOX92336 pep chromosome:Theobroma_cacao_20110822:1:6346602:6356386:-1 gene:TCM_001297 transcript:EOX92336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Essential meiotic endonuclease 1B, putative isoform 2 MSDPIILSDEDDSNTPLPSISKRPRSEPYHPFPPILVLDDDPTPQKPSLGPAIVSVSTPSFVAETPISEPSIVRCSDASHSVRVSDPQLEGISRLICLESDNESESCSRRDNEQDNGSKGFCIDESKELEWRSRFVDYESHLGSPNLFKMCKEDSAPQPIYTQDNADPLLPPWISDDQDKENFSMEQMGNALKQKRKTKANCDQKSSTDGETVKRKMTKEERIHMLEEKKLKKEQEKLQKAALKAEAAEFKKLQKERQKWEKGKFALKSIVAEIDTKVVELGSIGGHLLSRFAEKGFTYRITSNPIEKSIVWTMTVPEHISQLSPEGLEIQYVLIVFEAAEFCDLVTNDTLLDHVSRVRSKYPSYTVCYLTNRLRAYVNKRENEQYKSPANDSGWSRPPIEEVLAKLTAYYAGIHSRQCADEAELAEHIVGLTCSLASCRFRKKLTRLCVSANGTIIPKDCIDKNIINGNLWLKALLAIPKVQPRFALAIWRKYPTMKSLLRVYMDPTKSVHEKEFLLKDLVIEGLLGKDKRLGEVCSKRVYRILMAQSGSIKTDDIEDGADFFIH >EOX92342 pep chromosome:Theobroma_cacao_20110822:1:6347881:6356582:-1 gene:TCM_001297 transcript:EOX92342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Essential meiotic endonuclease 1B, putative isoform 2 MSDPIILSDEDDSNTPLPSISKRPRSEPYHPFPPILVLDDDPTPQKPSLGPAIVSVSTPSFVAETPISEPSIVRCSDASHSVRVSDPQLEGISRLICLESDNESESCSRRDNEQDNGSKGFCIDESKELEWRSRFVDYESHLGSPNLFKMCKEDSAPQPIYTQDNADPLLPPWISDDQDKENFSMEQMGNALKQKRKTKANCDQKSSTDGETVKRKMTKEERIHMLEEKKLKKEQEKLQKAALKAEAAEFKKLQKERQKWEKGKFALKSIVAEIDTKVVELGSIGGHLLSRFAEKGFTYRITSNPIEKSIVWTMTVPEHISQLSPEGLEIQYVLIVFEAAEFCDLVTNDTLLDHVSRVRSKYPSYTVCYLTNRLRAYVNKRENEQYKSPANDSGWSRPPIEEVKLLTT >EOX92339 pep chromosome:Theobroma_cacao_20110822:1:6346324:6356386:-1 gene:TCM_001297 transcript:EOX92339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Essential meiotic endonuclease 1B, putative isoform 2 MSDPIILSDEDDSNTPLPSISKRPRSEPYHPFPPILVLDDDPTPQKPSLGPAIVSVSTPSFVAETPISEPSIVRCSDASHSVRVSDPQLEGISRLICLESDNESESCSRRDNEQDNGSKGFCIDESKELEWRSRFVDYESHLDSAPQPIYTQDNADPLLPPWISDDQDKENFSMEQMGNALKQKRKTKANCDQKSSTDGETVKRKMTKEERIHMLEEKKLKKEQEKLQKAALKAEAAEFKKLQKERQKWEKGKFALKSIVAEIDTKVVELGSIGGHLLSRFAEKGFTYRITSNPIEKSIVWTMTVPEHISQLSPEGLEIQYVLIVFEAAEFCDLVTNDTLLDHVSRVRSKYPSYTVCYLTNRLRAYVNKRENEQYKSPANDSGWSRPPIEEVLAKLTAYYAGIHSRQCADEAELAEHIVGLTCSLASCRFRKKLTRLCVSANGTIIPKDCIDKNIINGNLWLKALLAIPKVQPRFALAIWRKYPTMKSLLRVYMDPTKSVHEKEFLLKDLVIEGLLGKDKRLGEVCSKRVYRILMAQSGSIKTDDIEDGADFFIH >EOX92340 pep chromosome:Theobroma_cacao_20110822:1:6350309:6356357:-1 gene:TCM_001297 transcript:EOX92340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Essential meiotic endonuclease 1B, putative isoform 2 MSDPIILSDEDDSNTPLPSISKRPRSEPYHPFPPILVLDDDPTPQKPSLGPAIVSVSTPSFVAETPISEPSIVRCSDASHSVRVSDPQLEGISRLICLESDNESESCSRRDNEQDNGSKGFCIDESKELEWRSRFVDYESHLGSPNLFKMCKEDSAPQPIYTQDNADPLLPPWISDDQDKENFSMEQMGNALKQKRKTKANCDQKSSTDGETVKRKMTKEERIHMLEEKKLKKEQEKLQKAALKAEAAEFKKLQKERQKWEKGKFALKSIVAEIDTKVVELGSIGGHLLSRFAEKGFTYRITSNPIEKSIVWTMTVPEHISQLSPEGLEIQYVLIVFEAAEFCDLVTNDTLLDHVSRVRSKYPSYTVCYLTNRLRAYVNKRGKMNNTRALQMTVVGVVHQLRRCWQS >EOX92341 pep chromosome:Theobroma_cacao_20110822:1:6347877:6356416:-1 gene:TCM_001297 transcript:EOX92341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Essential meiotic endonuclease 1B, putative isoform 2 TPPNLLVFQSPVLESTVASMSDPIILSDEDDSNTPLPSISKRPRSEPYHPFPPILVLDDDPTPQKPSLGPAIVSVSTPSFVAETPISEPSIVRCSDASHSVRVSDPQLEGNKLYGISRLICLESDNESESCSRRDNEQDNGSKGFCIDESKELEWRSRFVDYESHLGSPNLFKMCKEDSAPQPIYTQDNADPLLPPWISDDQDKENFSMEQMGNALKQKRKTKANCDQKSSTDGETVKRKMTKEERIHMLEEKKLKKEQEKLQKAALKAEAAEFKKLQKERQKWEKGKFALKSIVAEIDTKVVELGSIGGHLLSRFAEKGFTYRITSNPIEKSIVWTMTVPEHISQLSPEGLEIQYVLIVFEAAEFCDLVTNDTLLDHVSRVRSKYPSYTVCYLTNRLRAYVNKRENEQYKSPANDSGWSRPPIEEVLAKLTAYYAGIHSRQCADEAELAEHIVGLTCSLASCRFRKKLTRLCVSANGTIIPKDCIDKNIINGNLWLKALLAIPK >EOX92338 pep chromosome:Theobroma_cacao_20110822:1:6346184:6359815:-1 gene:TCM_001297 transcript:EOX92338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Essential meiotic endonuclease 1B, putative isoform 2 MSDPIILSDEDDSNTPLPSISKRPRSEPYHPFPPILVLDDDPTPQKPSLGPAIVSVSTPSFVAETPISEPSIVRCSDASHSVRVSDPQLEGISRLICLESDNESESCSRRDNEQDNGSKGFCIDESKELEWRSRFVDYESHLGSPNLFKMCKEDSAPQPIYTQDNADPLLPPWISDDQDKENFSMEQMGNALKQKRKTKANCDQKSSTDGETVKRKMTKEERIHMLEEKKLKKEQEKLQKAALKAEAAEFKKLQKERQKWEKGKFALKSIVAEIDTKVVELGSIGGHLLSRFAEKGFTYRITSNPIEKSIVWTMTVPEHISQLSPEGLEIQYVLIVFEAAEFCDLVTNDTLLDHVSRVRSKYPSYTVCYLTNRLRAYVNKRENEQYKSPANDSGWSRPPIEEVLAKLTAYYAGIHSRQCADEAELAEHIVGLTCSLASCRFRKKLTRLCVSANGTIIPKDCIDKNIINGNLWLKALLAIPKVQPRFALAIWRKYPTMKSLLRVYMDPTKSVHEKEFLLKDLVIEGLLGKDKRLGEVCSKRVYRILMAQSGSIKTDDIEDVFRLGGG >EOX95916 pep chromosome:Theobroma_cacao_20110822:1:36006854:36009864:1 gene:TCM_005303 transcript:EOX95916 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MENQLNSTAPLISNLESAELHPAPSSLISEGGDYPPIQSFEDAKNICFIESSKLWAIATPIAFNIWCNYGINSFTNIFVGHIGDIELSAVAIALSVVANFSFGFMLGMASALETLCGQAFGAGQIDLLGVYMQRSWIILFGACFALLPLYLYATPILKLLGQEHDIADLAGEFTMQVIPQMFSLAINFPTQKFLQAQSKVGILAWIGFVALFGHIVIIYLFVNVFKWGTAGAAAAYDISAWAISVAQVVYVVGWCKEGWTGLSWLAFKDLWGFVKLSVASAVMLCLEIWYFMMIIVLTGHLDDPVIAVGSLSICMNINGWEGMLFIGINAAISVRVSNELGSAHPRAAKYSVVVTVVESLLIGLLSAVIILATRNKFSIIFTDSKEMQRAVAHLAHLLGITMVLNSVQPVISGVAVGGGWQALVAYINLFCYYIIGLPLGFLLGYHFQFGVEGIWVGMIFGTFLQTLILLFIIYKTNWNSEVEQASERMRQWGAELNDNHGSNLPN >EOX90894 pep chromosome:Theobroma_cacao_20110822:1:957525:961289:1 gene:TCM_000237 transcript:EOX90894 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein, putative isoform 2 MISPSERVAPCPWLCFTITIKKKSRLVAQLFYVQLQTQPSDPLLHPHRHVVPAHGYKNPASFSLICHCSAATTTPGRMEVVGVLVEAFSLRSMDTIKTCFIWLLRAGWVAGTLPILIASLPSSRLGSFHTLLLGFAKRGKIMPSSSHKYTVPQSFFLHFYLLAVVWTTVLLMGIWWFAYEVAPLSVESLSYSAAASHLTGGFHVFSLHKSRFASAEDRFNVWKSVFLLLLMEIHVLRRLYETLFVFNYSSSARMHIFGYFTGICFYIAAPLSLCTFCALEVVNFAADQVAEFKVEGQEMLSFTDFDLWGYVKPITRLGWCQWAGAAIFAWGWFHQYCCHAILVDASLCPDFGGLNEFNVGKLILMGSLRGHRDQTVEYEIPLGDWFNIVSSPHYLAEMIIYVGLLVASGGTDFTIWLLLGFVVANLAFAAAETHRWYLQKFEDYPPDRWAVLPFVY >EOX90895 pep chromosome:Theobroma_cacao_20110822:1:958844:961073:1 gene:TCM_000237 transcript:EOX90895 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein, putative isoform 2 MEVVGVLVEAFSLRSMDTIKTCFIWLLRAGWVAGTLPILIASLPSSRLGSFHTLLLGFAKRGKIMPSSSHKYTVPQSFFLHFYLLAVVWTTVLLMGIWWFAYEVAPLSVESLSYSAAASHLTGGFHVFSLHKSRFASAEDRFNVWKSVFLLLLMEIHVLRRLYETLFVFNYSSSARMHIFGYFTGICFYIAAPLSLCTFCALEVVNFAADQVAEFKVEGQEMLSFTDFDLWGYVKPITRLGWCQWAGAAIFAWGWFHQYCCHAILGSLRGHRDQTVEYEIPLGDWFNIVSSPHYLAEMIIYVGLLVASGGTDFTIWLLLGFVVANLAFAAAETHRWYLQKFEDYPPDRWAVLPFVY >EOX96163 pep chromosome:Theobroma_cacao_20110822:1:36804859:36825300:1 gene:TCM_005474 transcript:EOX96163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beige-related and WD-40 repeat-containing protein isoform 2 MEEEEEKKFGETSVRGSDTPEVASVVDDRIGRSYQQNVNVTDGEEAIVRNDNAVPQGAHSASLIGDEDQFEPVSLENQDKAAGESGHADSNRSSNSDYERGSSGGVEEYSEHLTRTYGKEYDSSSMPEPWHDRSTSSSGPERQTDYTIKQSSSATSLDSAFYADSVYSPLGSPIIPKAKAAMPNVSPELLHLVDSAIMGKPESLDKLKNIVSGAETFGSGEDMESIPFLVVDSLIATMGGVESFEEDEDNNPPSVMLNSRAAIVAGELIPWLPWEGDSDILMSARTRMVRGLLAILRACTRNRAMCSMAGLLGVLLRSAENIFAQDVGSTEQMKWDGTPLCYCIQHLAGHSLSVIDLHKWFQVITGTLTTAWSPRLMLAFEKAVSGRESKGPACTFEFDGESSGLLGPGESRWPFSNGYAFATWIYIESFADTLNAATAAAAIAVAAAAKSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGIEAYFHAQFLVVESGSGKGKKASLHFTHAFKPQCWYFIGLEHVCRQGLIGKAESELRLYIDGSLYESRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMARMASRGGDVLPSFGNGAGLPWLATNDYVQRMAEESSLLDAEIGGCIHLLYHPCLLSGRFCPDASPSGAAGMLRRPAEVLGQVHVATRMRPVEALWALAYGGPMSLLPLAVSNVRKDSLEPEQGSLPLSLATATLAAPIFRIISFAIHHPGNNEELCRTRGPEILSRILNYLLQTLSSFGPGKHNGVGDEELVAAVVSLCQSQKHDHALKVQLFSTLLLDLKIWSLCSYGLQKKLLSSVADMVFTESSVMRDANAMQMLLDGCRRCYWTIREKDSLDTFSLNDDIRPMGEVNALVDELLVVIELLIGAAPPSVAADDVRRLLGFMVDCPQPNQVCRVLHLMYRLVVQPNTARAQTFAEAFMGSGGIETLLVLLQQEAKAGDHHIPETSSKPDESLSVRRSEPELDSGGRDSEGIQDGGSPKERDQILQKKNFESQPLDSSSGLVDISPIVKMERMSSVSENSFMKNLGGISLSISADNARNNVYNVDKSDGIVVGIIGLLGALVACGHLKFGSHVSSEMTSSLFGGALNDAGGSMFEDKVSLLLFALQKAFQAAPNRLMTSNVYTALLGASINASSTEDGLNFYDSGHRFEHLQLLLVLLRSLPCAYRAFQSRALQDLLILACSHPENRSSLTKMEEWPEWILEVLISNHELDARKQSNSASLWDLEDLVHNFLVIMLEHSMRQKDGWKDIEATIHCAEWLSIVGGSSTGDQRVRREESLPIFKRRLLGGLLDFAARELQAQTQVIAAAAAGVAAEGLSPKDAKVEAENAAQLSVFLVENAIVILMLVEDHLRLQSKLSCASHKAEGKASPLSLASPPNTHSNSTASIGRESFEAVDDSGSGNSGGLALDVLASMADANGQISATVMERLTAAAAAEPYDSVSSAFVSYGSCAMDIAEGWKYRSRLWYGVGLPSKSACIGGGGSGWESWNAALQKDANGNWIELPLVKKSVSMLQALLLDDSGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCMLRMVLLSMREEDNGEDSMLMRNVGIDDGMSEGLYRQGGNIISLDNSARMAVRKPRSALLWSVLSPILNMPISDSKRQRVLVASCVLYSEVWHAVGRDRKPLRKQYLEAIVPPFVAVLRRWRPLLAGIHELATADGLNPLTVDDRALAADALPLEAALAMISPAWAAAFASPPAAMALAMIAAGASGAETPAPPTTTQLKRDSSMLERKTTKFQTFSSFQKPLEVPNKSPSLPKDKAAAKAAALAAARDLERSAKIGSGRGLSAVAMATSAQRRNASDMERVKRWNASEAMGVAWMECLQPVDTKSVYGKDFNALSYKFIAVLVASFALARNIQRSEIDRRTQVDLVARHRLFTGIRAWRKLIHCLIEMKCLFGPSGDQISSQERIFWKLDFMESSSRMRPCLRRNYIGTDHFGAAANFEDQSEVKNNQEDVISSSNAPILAAEAISTELMNEDDEQAEIDHVDNRSYENDQSGEDQPRLSDISEQPLQKSVESIDSKLASEQDLVQSSSAVAPGYVPSELDERIVFELPSSMVRPLKVIRGTFQVTTKKINFIVDNTESNITMDGSEGNSEVRNDEKDRSWLMASLHQMYSRRYLLRRSALELFMVDRSTFFFDFGSSEGRRNAYRAIVQARPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDNSSKSLDLSDPSAYRDLSKPVGALNPDRLKKFQERYASFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLSIQLQGGKFDHADRMFSDVAATWNGVLEDMSDVKELVPELFYLPEMLTNENSIDFGTTQLGGKLGSVKLPPWAQNPVDFIHKHRMALESEHVSAHLHEWIDLIFGYKQRGKEAILANNMFFYITYEGTVDIDKISDPVQQRATQDQIAYFGQTPSQLLTVPHMKKMPLSEVLHLQTIFRNPREIKPYAVPGPERCNLPAAAIHASSDAIIIVDTNAPAAHIAQHKWQPNTPDGQGTPFLFQHGKSITSSAGGALIRMFKGPAGPGTDEWQFPQALAFASSGIRSSSIVSITSDKEIITGGHADNSIKLLSSDGAKTLETAFGHCAPVTCLALSSDSNYLVTGSRDTTVLLWRIHRAFTSRSSSTSEPTAGTGTPTSTSSGTLANILADKSRKRRIEGPIHVLRGHHREILCCCVSSDLGIVVSCGHSSDVLLHSTRRGRLMRQFVGVEADAVCLSSEGIVLTWNQFQHTLSTFTLNGVLIARAELPSLGGVSCMEISVDGESALIGMNSSLGNNGVCNSNQDLSFKKPVIDNLDLESEETNESNRLDIPSPSICFLNLHTLKVFHVLKLGERQDITALALNKDNTNLLVSTADKQLIIFTDPAVS >EOX96162 pep chromosome:Theobroma_cacao_20110822:1:36803373:36825995:1 gene:TCM_005474 transcript:EOX96162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beige-related and WD-40 repeat-containing protein isoform 2 MEEEEEKKFGETSVRGSDTPEVASVVDDRIGRSYQQNVNVTDGEEAIVRNDNAVPQGAHSASLIGDEDQFEPVSLENQDKAAGESGHADSNRSSNSDYERGSSGGVEEYSEHLTRTYGKEYDSSSMPEPWHDRSTSSSGPERQTDYTIKQSSSATSLDSAFYADSVYSPLGSPIIPKAKAAMPNVSPELLHLVDSAIMGKPESLDKLKNIVSGAETFGSGEDMESIPFLVVDSLIATMGGVESFEEDEDNNPPSVMLNSRAAIVAGELIPWLPWEGDSDILMSARTRMVRGLLAILRACTRNRAMCSMAGLLGVLLRSAENIFAQDVGSTEQMKWDGTPLCYCIQHLAGHSLSVIDLHKWFQVITGTLTTAWSPRLMLAFEKAVSGRESKGPACTFEFDGESSGLLGPGESRWPFSNGYAFATWIYIESFADTLNAATAAAAIAVAAAAKSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGIEAYFHAQFLVVESGSGKGKKASLHFTHAFKPQCWYFIGLEHVCRQGLIGKAESELRLYIDGSLYESRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMARMASRGGDVLPSFGNGAGLPWLATNDYVQRMAEESSLLDAEIGGCIHLLYHPCLLSGRFCPDASPSGAAGMLRRPAEVLGQVHVATRMRPVEALWALAYGGPMSLLPLAVSNVRKDSLEPEQGSLPLSLATATLAAPIFRIISFAIHHPGNNEELCRTRGPEILSRILNYLLQTLSSFGPGKHNGVGDEELVAAVVSLCQSQKHDHALKVQLFSTLLLDLKIWSLCSYGLQKKLLSSVADMVFTESSVMRDANAMQMLLDGCRRCYWTIREKDSLDTFSLNDDIRPMGEVNALVDELLVVIELLIGAAPPSVAADDVRRLLGFMVDCPQPNQVCRVLHLMYRLVVQPNTARAQTFAEAFMGSGGIETLLVLLQQEAKAGDHHIPETSSKPDESLSVRRSEPELDSGGRDSEGIQDGGSPKERDQILQKKNFESQPLDSSSGLVDISPIVKMERMSSVSENSFMKNLGGISLSISADNARNNVYNVDKSDGIVVGIIGLLGALVACGHLKFGSHVSSEMTSSLFGGALNDAGGSMFEDKVSLLLFALQKAFQAAPNRLMTSNVYTALLGASINASSTEDGLNFYDSGHRFEHLQLLLVLLRSLPCAYRAFQSRALQDLLILACSHPENRSSLTKMEEWPEWILEVLISNHELDARKQSNSASLWDLEDLVHNFLVIMLEHSMRQKDGWKDIEATIHCAEWLSIVGGSSTGDQRVRREESLPIFKRRLLGGLLDFAARELQAQTQVIAAAAAGVAAEGLSPKDAKVEAENAAQLSVFLVENAIVILMLVEDHLRLQSKLSCASHKAEGKASPLSLASPPNTHSNSTASIGRESFEAVDDSGSGNSGGLALDVLASMADANGQISATVMERLTAAAAAEPYDSVSSAFVSYGSCAMDIAEGWKYRSRLWYGVGLPSKSACIGGGGSGWESWNAALQKDANGNWIELPLVKKSVSMLQALLLDDSGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCMLRMVLLSMREEDNGEDSMLMRNVGIDDGMSEGLYRQGGNIISLDNSARMAVRKPRSALLWSVLSPILNMPISDSKRQRVLVASCVLYSEVWHAVGRDRKPLRKQYLEAIVPPFVAVLRRWRPLLAGIHELATADGLNPLTVDDRALAADALPLEAALAMISPAWAAAFASPPAAMALAMIAAGASGAETPAPPTTTQLKRDSSMLERKTTKFQTFSSFQKPLEVPNKSPSLPKDKAAAKAAALAAARDLERSAKIGSGRGLSAVAMATSAQRRNASDMERVKRWNASEAMGVAWMECLQPVDTKSVYGKDFNALSYKFIAVLVASFALARNIQRSEIDRRTQVDLVARHRLFTGIRAWRKLIHCLIEMKCLFGPSGDQISSQERIFWKLDFMESSSRMRPCLRRNYIGTDHFGAAANFEDQSEVKNNQEDVISSSNAPILAAEAISTELMNEDDEQAEIDHVDNRSYENDQSGEDQPRLSDISEQPLQKSVESIDSKLASEQDLVQSSSAVAPGYVPSELDERIVFELPSSMVRPLKVIRGTFQVTTKKINFIVDNTESNITMDGSEGNSEVRNDEKDRSWLMASLHQMYSRRYLLRRSALELFMVDRSTFFFDFGSSEGRRNAYRAIVQARPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDNSSKSLDLSDPSAYRDLSKPVGALNPDRLKKFQERYASFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLSIQLQGGKFDHADRMFSDVAATWNGVLEDMSDVKELVPELFYLPEMLTNENSIDFGTTQLGGKLGSVKLPPWAQNPVDFIHKHRMALESEHVSAHLHEWIDLIFGYKQRGKEAILANNMFFYITYEGTVDIDKISDPVQQRATQDQIAYFGQTPSQLLTVPHMKKMPLSEVLHLQTIFRNPREIKPYAVPGPERCNLPAAAIHASSDAIIIVDTNAPAAHIAQHKWQPNTPDGQGTPFLFQHGKSITSSAGGALIRMFKGPAGPGTDEWQFPQALAFASSGIRSSSIVSITSDKEIITGGHADNSIKLLSSDGAKTLETAFGHCAPVTCLALSSDSNYLVTGSRDTTVLLWRIHRAFTSRSSSTSEPTAGTGTPTSTSSGTLANILADKSRKRRIEGPIHVLRGHHREILCCCVSSDLGIVVSCGHSSDVLLHSTRRGRLMRQFVGVEADAVCLSSEGIVLTWNQFQHTLSTFTLNGVLIARAELPSLGGVSCMEISVDGESALIGMNSSLGNNGVCNSNQDLSFKKPVIDNLDLESEETNESNRLDIPSPSICFLNLHTLKVFHVLKLGERQDITALALNKDNTNLLVSTADKQLIIFTDPALSLKVVDQMLKLGWEGEGLSPLIKS >EOX93138 pep chromosome:Theobroma_cacao_20110822:1:10807585:10815539:1 gene:TCM_001987 transcript:EOX93138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 1 MKFKLMEQETERKKPINEKTRIFFVTKQEKISAMLVKVSLSLLLLLLSISSGAFEAIARVHHDHIKNGNSNISTLTGIELPDHMSFNAVSSSTSNSGCSLSKQKKAKPSQKIASQEVSSYLDDEDEEDEQQKPKKSVKLHLKHRQIDGKAEPKNSVLESTMRDLTRIRTFHTRVIEKKNQNVISRLNNDRKQSKQHLKPVVEKAAAPEPYTSGVPGQLVATLESGVSLGSGEYFIDVFVGTPPKHFSLILDTGSDLNWIQCVPCYDCFEQNGPHYDPRESSSFRNISCHDPRCQLVSSPDPPQPCKAENQTCPYYYWYGDSSNTTGDFAVETFTVNLTSPSGKSEFRQVENVMFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLQSLYGHSFSYCLVDRNSDANVSSKLIFGEDKDLLSHPNLNFTALVAGKENSVDTFYYVQIKSVIVGGEVLNIPEETWQLSADGAGGTIIDSGTTLSYFADPTYQIIKDAFVKKTKGYPVLKDFPVLDPCYNVSGVENVELPDFGIQFVDGAVWNFPVENYFIWLEEDVVCLAILGTPRSALSIIGNYQQQNFHILYDTKKSRLGYAPMKCADV >EOX93139 pep chromosome:Theobroma_cacao_20110822:1:10812579:10815617:1 gene:TCM_001987 transcript:EOX93139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 1 MSFNAVSSSTSNSGCSLSKQKKAKPSQKIASQEVSSYLDDEDEEDEQQKPKKSVKLHLKHRQIDGKAEPKNSVLESTMRDLTRIRTFHTRVIEKKNQNVISRLNNDRKQSKQHLKPVVEKAAAPEPYTSGVPGQLVATLESGVSLGSGEYFIDVFVGTPPKHFSLILDTGSDLNWIQCVPCYDCFEQNGPHYDPRESSSFRNISCHDPRCQLVSSPDPPQPCKAENQTCPYYYWYGDSSNTTGDFAVETFTVNLTSPSGKSEFRQVENVMFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLQSLYGHSFSYCLVDRNSDANVSSKLIFGEDKDLLSHPNLNFTALVAGKENSVDTFYYVQIKSVIVGGEVLNIPEETWQLSADGAGGTIIDSGTTLSYFADPTYQIIKDAFVKKTKGYPVLKDFPVLDPCYNVSGVENVELPDFGIQFVDGAVWNFPVENYFIWLEEDVVCLAILGTPRSALSIIGNYQQQNFHILYDTKKSRLGYAPMKCADV >EOX93212 pep chromosome:Theobroma_cacao_20110822:1:11311788:11314112:-1 gene:TCM_002054 transcript:EOX93212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase MSPKSIFSTVLVAIFAVFASRVVINPSPEFLKYLVDFSITTCSSSSIIATFIPFYFDSLHRHHRKHPDKGKTGSICDDFPPNFPPPETNTTLTLCVDRNGCCNFTTVQSAVDAVANFSMRRTVIWINSGIYYEKVTIPKTKPNITFQGQGYTSTAVVWNDTANSANGTFYSGSVQVFSANFIAKNISFMNVAPIARPGDVGAQAVAIRIAGDQAAFWGCGFFGAQDTLHDDRGRHYFKDCYIQGSIDFIFGNGRSLYENCQLVSMANPVAPGSKGINGAVTAHGRASGDENTGFAFVNCSVGGTGRIWLGRAWRPFSRVVFALTSMTDIIAPEGWNDFNDPARDQTISYGEYNCTGDGANTTLRAPYVQKLDDTQASIFLNTSYIDGDQWLQSYNN >EOX92836 pep chromosome:Theobroma_cacao_20110822:1:8807909:8813251:1 gene:TCM_001698 transcript:EOX92836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopetide-repeat thioredoxin-like 3, putative MSSHSKRPSLDSLTSRYRDSLSFKAADHNINEKEMNKPDFKELDLGSPVSPLLTTRVSINNNGNATATTTSSSSSSSSVGSVSGKNNNSTTTHLPKSNGLNTNNSGELSETSPPIPGSTPTNRILRPGHRRSVSAGPPLIYSGSSFSAATSSATSSVCSNPSTTNVLPSGNICPSGKILKTMASRTSNKTDTLGAGKGNYGHGSIMRGGGGCAKLGNTSDPEEVKRAGNEMYKRGNFVEALALYDRAISISPENAAFRSNRAAALTAVGRLGEAVRECEEAVRLDHAYGRARQRLSSLYLRLGLPENARHHLCFPGQNPDQAELQKLQLLEKHINRCADARKIGDWKSALGEMDAAIAVGADSSPQLIACKAEALLKLHQIEDADSCLSNIPKLEQYTPSSQTRFFGMVAEAYALFVQAQVEMALGRFENAVSAAEKAGRIDYNIEIAMVLNNVKMVARARTRGNDFFNAGRFAEACSAYGEGLSYDSSNSILYCNRAVCWSKLGLWEKSVEDCNQALKIQPNYIKALLRRAASNGKLGRWADAVRDYELLRKELPGDNEVAESLHNAQIALKKSRGVDVHSMKISGEVEEVSSLDRFKTAISSPGISVVHFKVAANEQCEEISPFINLLCVRYPSVHFFKVDVEESLAVAKAESIRMVPTFKIYKNGDKVKEMICPSHQFLEDSVRNYIV >EOX94203 pep chromosome:Theobroma_cacao_20110822:1:25729801:25738723:1 gene:TCM_003698 transcript:EOX94203 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MPPRHGRPPLTRSVGREKGHSQRRQLDAVGEESAASTIRAPPTAEQADSPPHPPPPPPPTGTPTMPTEAAQALAAFFTAMAGEAPTGQVPPIVPPVTPLVPPPVQDVSISKKLKEARQRGCVSFTGELDATMAKDWINQVSETLSDMRLDDDMKLMVAMRLLEKRAQEHETRFNELMLYVPDLVKSEQDQASYFEEGLRNEIRERMAVTGREPHKEVVQMALRAEKLATENRRIRTEFAKRRIPGMSSSQLVKRSKDSAISGSTTSVSVTSPRPPFPPSQQRPSRFSRSAMTSSGKSFGGVAIRSSVESNTSAHPPSRPQTRTSTRVFAVTEDEAWVRPGAVTGTMSLFDKDAYVLIDSGSDRSYVSTTFASIAARNLSPLEEEIVIHTPLGEKLVRNSCYRDCGVRVGEEEFRGDLIPLKILDFDLILGMDWLTTHRANVDCFRKEVVLRNSEGAEIVFVGKHRVLPSCVISAIKASKLVQKGYPTYLAYVIDTSKGEPKLEDVPIVSEFPDVFPDDLPGLPPDRELEFPIDLLPGTAPISIPPYRMAPAELKELKVQLQELVDKGFIRPSISPWGAPILFVKKKDGTLRLCIDYRQLNRMTIKNKYPLPRIDDIFDQLQGATVFSKVNLRSGYHQLRIKEQDVLKTEFRTRYGHYEFLVMPFGLTNAPATFMDLMSRVFHPYLDKFVIVFIDDILVYLRDNDEHAAHLRIVLQTLRERQLYAKFSKCEFWLQEVVFLGHVVSRTGIYVDPKKVEAILQWEQPKTVTEIRSFLGLAGYYRRFVQGFSLIAAPLTRLTRKGVKFVWDDVCENRFQELKNRLTFAPVLTLPVNGKGFVVYSDASKLGLGCVLMQDEKVVAYASRQLKRHEANYPTHDLELAAVVFALKIWRHYLYGEHCQIFTDHKSLKYLLTQKEINLRQRRWLELIKDYDLVIDYHPGKANVVADALSRKSSSSLAALQNCYFPALIEMKSLRVQLRNGEDGSLLANFIVRPSLLNQIKDIQRSDDELRKEIQKLTDGGVSEFRFGEDNVLMFRDRRDVAEFVAKCLICQQVKAEHQRPAGTLQSLPVPEWKWEHVTMDFVLGLSRTQRGKDVIWVIVDQLTKSAHFLAVHSTYSIEKLAQLYIDEIVRLHGVPVSIVSDRDPRFTSRFWPKFQEALGTKLKFSTAFHPQTDGQSERTIQTLEDMLRACVIDFIGSWDRHLPLVEFAYNNSFQSSIGMAPYEALYGRKCRTPLCWDEVGERKLVSVKLIELTNDKIKVIRERLKVAQDRQKSYADKRRKDLEFEIDDKVFLKVSPWKGNNLNTLKRAFRKRQRSRTRRVVIGALRKLLVCTNGSI >EOX96529 pep chromosome:Theobroma_cacao_20110822:1:38154469:38155436:-1 gene:TCM_005766 transcript:EOX96529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGTTFKDWCRYFQYKEGANEEDRDKNRADARNALLVVATLIAAVTFQAGVNPPGGVWQETKADHIAGTAICASDSDAYYVFLTSNTLAFSAAVLVIMSLTHNFPFKFEVRVAGVSMIITYGSAIFAVTPDGETFQLALITAAAPLILRCLIQLLVKLKNRKPEPPCLIQAFVGPRNKTAEPPKDQTQQANP >EOX95704 pep chromosome:Theobroma_cacao_20110822:1:35291058:35297729:1 gene:TCM_005147 transcript:EOX95704 gene_biotype:protein_coding transcript_biotype:protein_coding description:DYNAMIN-like 1B isoform 2 MENLITLVNKIQRACTALGDHGEESALPTLWDSLPSIAVVGGQSSGKSSVLESIVGKDFLPRGAGIVTRRPLVLQLHRIDEGKEYAEFMHLPRKKFTDFAAVRKEISDETDRETGRTKQISSVPIHLSIFSPNVVNLTLVDLPGLTKVAVEGQPESIVQDIENMVRAFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDQGTNAVDILEGKSYKLQFPWIGVVNRSQADINKSVDMIAARRREREYFQNSPEYSHLANRMGSEHLGKMLSKHLELVIKSRLPGLQSLISKTIIDLEAELSRLGKPIAADAGGKLYMIMEICRAFDQNFKEHLDGVRPGGDKVYGVFDNQLPAALKRLQFDKHLSMDNVRKLITEADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDAVHSILKDLVNKAMAETMELKQYPTLRVEVRNAAIESLERLREESKRATLQLVDMECGYLTVEFFRKLPQDIEKGGNPTHSVFDRYNDSYLRRVGSTVLSYVNMTCASLRNSIPKSVVYCQVREAKRSLLDFFFTELGKKETKQLAKLLDEDPAVMQRRTNLAKRLELYRSAQAEIDTVAWSK >EOX95705 pep chromosome:Theobroma_cacao_20110822:1:35291173:35297736:1 gene:TCM_005147 transcript:EOX95705 gene_biotype:protein_coding transcript_biotype:protein_coding description:DYNAMIN-like 1B isoform 2 MENLITLVNKIQRACTALGDHGEESALPTLWDSLPSIAVVGGQSSGKSSVLESIVGKDFLPRGAGIVTRRPLVLQLHRIDEGKEYAEFMHLPRKKFTDFAAVRKEISDETDRETGRTKQISSVPIHLSIFSPNVVNLTLVDLPGLTKVAVEGQPESIVQDIENMVRAFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDQGTNAVDILEGKSYKLQFPWIGVVNRSQADINKSVDMIAARRREREYFQNSPEYSHLANRMGSEHLGKMLSKHLELVIKSRLPGLQSLISKTIIDLEAELSRLGKPIAADAGGKLYMIMEICRAFDQNFKEHLDGVRPGGDKVYGVFDNQLPAALKRLQFDKHLSMDNVRKLITEADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDAELKQYPTLRVEVRNAAIESLERLREESKRATLQLVDMECGYLTVEFFRKLPQDIEKGGNPTHSVFDRYNDSYLRRVGSSTVLSYVNMTCASLRNSIPKSVVYCQVREAKRSLLDFFFTELGKKETKQLAKLLDEDPAVMQRRTNLAKRLELYRSAQAEIDTVAWSK >EOX91118 pep chromosome:Theobroma_cacao_20110822:1:1659410:1663453:-1 gene:TCM_000403 transcript:EOX91118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITRSKLVEQLRDYQIRSQNKFPALTFFSPTPHITSWADVAVAIFWAFAFIVLVISAYFSLYFRHFWLSCITICLGVFLPIRLRSCRQALFKKRERKFLLPLSM >EOX91653 pep chromosome:Theobroma_cacao_20110822:1:3430406:3434441:1 gene:TCM_000773 transcript:EOX91653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 EQDDYEVVRKVGRGKYSEVFEGVHCTDNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYRIELDPHLAALVGRHSRKPWSKFINVDNQHLALPEAVDFLDKLLRYDHQERPTAKEAMAHPYFYPIRNAESSRTRT >EOX91654 pep chromosome:Theobroma_cacao_20110822:1:3429622:3434684:1 gene:TCM_000773 transcript:EOX91654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MAIRPLNFTTISLHHHRHRLHLSLLFFPSPISSSASPLSPPSSSPLSFIRGVASKTFSGPLSQHQQKQKKDLLLRELKETETLAQKIGKSIRRPGAPSKSRVYSDVNVVRPKEYWDYESLTVQWGEQDDYEVVRKVGRGKYSEVFEGVHCTDNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYRIELDPHLAALVGRHSRKPWSKFINVDNQHLALPEAVDFLDKLLRYDHQDFVSLPREILFYSDVHICPTIADNPLCVLQMGNNS >EOX91651 pep chromosome:Theobroma_cacao_20110822:1:3429761:3434125:1 gene:TCM_000773 transcript:EOX91651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MAIRPLNFTTISLHHHRHRLHLSLLFFPSPISSSASPLSPPSSSPLSFIRGVASKTFSGPLSQHQQKQKKDLLLRELKETETLAQKIGKSIRRPGAPSKSRVYSDVNVVRPKEYWDYESLTVQWGEQDDYEVVRKVGRGKYSEVFEGVHCTDNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYRIELDPHLAALVGRHSRKPWSKFINVDNQHLALPEAVDFLDKLLRYDHQERPTAKEAMAHPYFYPIRNAESSRTRT >EOX91650 pep chromosome:Theobroma_cacao_20110822:1:3429664:3434659:1 gene:TCM_000773 transcript:EOX91650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MAIRPLNFTTISLHHHRHRLHLSLLFFPSPISSSASPLSPPSSSPLSFIRGVASKTFSGPLSQHQQKQKKDLLLRELKETETLAQKIGKSIRRPGAPSKSRVYSDVNVVRPKEYWDYESLTVQWGEQDDYEVVRKVGRGKYSEVFEGVHCTDNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYRIELDPHLAALVGRHSRKPWSKFINVDNQHLALPEAVDFLDKLLRYDHQERPTAKEAMAHPYFYPIRNAESSRTRT >EOX91652 pep chromosome:Theobroma_cacao_20110822:1:3429664:3434659:1 gene:TCM_000773 transcript:EOX91652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MAIRPLNFTTISLHHHRHRLHLSLLFFPSPISSSASPLSPPSSSPLSFIRGVASKTFSGPLSQHQQKQKKDLLLRELKETETLAQKIGKSIRRPGAPSKSRVYSDVNVVRPKEYWDYESLTVQWGREQDDYEVVRKVGRGKYSEVFEGVHCTDNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYRIELDPHLAALVGRHSRKPWSKFINVDNQHLALPEAVDFLDKLLRYDHQERPTAKEAMAHPYFYPIRNAESSRTRT >EOX92466 pep chromosome:Theobroma_cacao_20110822:1:6992241:6994155:-1 gene:TCM_001412 transcript:EOX92466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLKERLGMSLTAGGPTEQKTFLIGFSVRKKKEDILCMWTVEVGHIKMMMDSLWFCVSLFGVKFGPSSIGGFSLRGRVKGFFDFCFQGTVHRRGLSLSGRGKGFLGCRFTGNDLGSYEMRMKEETELRKEGVTGVLERRELGGGVRSPWESSFGGVQLMQEEGKVSFKKGRVTACMRLQNSTGASRDPKLKKEWILLKGET >EOX92171 pep chromosome:Theobroma_cacao_20110822:1:5588815:5590609:1 gene:TCM_001163 transcript:EOX92171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pol polyprotein-like protein MPPRRQNRQRDDYEIEIAELRQQIQELQEQLARRDAQINNSNSSDEENDTNPFHQNLSSDEEVPIRRLRTAAARDLGIKVDIPEFEGRLHPDDFLDWLYTVERVFELKDIPDEKSVKLVAIKLKKHASIWWENLKRQREREGLYKIRTWDKMRRELKRKFLPKHYRQEIFIKFHNLRQKTMTVEEYTMEFEQLHMKCDVQEPEEQTVARYLGGLNVEIADIVQLQPYWNLNDVIRLALKSSVTIPPPKVNSSKTASSNDKKTTFTRASNVNKKCFKCQGFGHIASDCSNRRIISLVEEEDYANWEKLKPVYDEYDDEEIEEVSADHGEALIVRRNLNTAMMTKDESWFRHNIFYTRCTSQGKVCNVIIDSGSYENVIANYMVEKLKLPTEVHPHPYKLQ >EOX96030 pep chromosome:Theobroma_cacao_20110822:1:36381038:36384482:-1 gene:TCM_005380 transcript:EOX96030 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein MESQVGGEAVPQKPRFFVGVHVGAGYHAPSNEKALRLAMKRACLAAASVLRKGPGGCVDAVAAAIQVLEDDPSTNAGRGSNLTEDGTVECDASLMDGHSGTFGAVGAVPGVPNAIQIAALLVKEQMKGSSLLGRLAPMLLVGEGARMWAKSKGIPLPETIGKADQWLVTPKAKAQWKHYKAMLVGAKAEIDISSEKNSCNAQQTASIQDSQAQTCDTLEENRAGQSCTLNTTEEDSIMDTVGVICVDTEGHIASGASSGGIALKVSGRVGLASMYGSGCWASFKGPFGAPFIVGSCATGAGEYLMKGFAARECCVSSSLSQAGPASACVKVIRSVVHESSQNDTDRSAGILLVQADAPITVPGNPPKLKAIEIGAAYSSLSFGIGFLGSSMERPKVSILRRTKQQNRTGIDHFEARIDLSTENLL >EOX96533 pep chromosome:Theobroma_cacao_20110822:1:38170085:38172316:-1 gene:TCM_005770 transcript:EOX96533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MALRSTGKSWFKHFQYDEGRDSPSDVRNILLIVATLIAAVTFQAGVNPPGGVWQDNGNGHYAGRAIYASQTVPFYVFLISNTLALSASVLVIISLTYRFPFHLEVIVATISMIVTYASAVFAVTPREFVKFRYVMAAAAVPFAIRRLSTGKSWYKHFQYDEGRDKPSDLRNVMLIVATLIAAVTFQAGVSPPGGVWQDSEHGHVAGRAIYASQAPAYYVFLIANTLALSASILVIISLTYRFPFHLEVIVATISMMVTYGSAVFAVTPHESVRFRYIMAAAAVPFAIRFLIQLFNIVFRNG >EOX91974 pep chromosome:Theobroma_cacao_20110822:1:4700847:4707367:-1 gene:TCM_001005 transcript:EOX91974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphofructokinase 5 isoform 1 METLSHAITPSSSPKFTLPRNDNVSRRLLRYRHGFSSLNLRTLRSQRRSRVFSKVECSQSSNNNIDFSDPDWKSKFQQDFEKRFNIPHITDIFPDAHSFPSTFCLRMRTPVTEDFAEGYPSDEEWHGYINNKDRVLLKVIHYSSPTSAGAECIDPNCSWVEQWVHRAGPREKIYFKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVKNIVGIPFGYRGFSDKDLSEMPLSRKVVQNIHLSGGSLLGVSRGGPPVSEIVTRMEERGINMLFVLGGNGTHAGANAIHNECRKRKLKVAVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGIVKLMGRSSGFIAMQSSLASGQVDICLIPEVPFHLHGPHGVLRHLKYLIETKGSAVVCVAEGAGQNLVEKTNATDASGNIVLGDFGVHIQQETKKYFKEIGIPADVKYIDPTYMIRACRANASDGILCTVLGQNALTRSLLKCSSMSRPWHILAYRVVQVCPS >EOX91975 pep chromosome:Theobroma_cacao_20110822:1:4700541:4707332:-1 gene:TCM_001005 transcript:EOX91975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphofructokinase 5 isoform 1 METLSHAITPSSSPKFTLPRNDNVSRRLLRYRHGFSSLNLRTLRSQRRSRVFSKVECSQSSNNNIDFSDPDWKSKFQQDFEKRFNIPHITDIFPDAHSFPSTFCLRMRTPVTEDFAEGYPSDEEWHGYINNKDRVLLKVIHYSSPTSAGAECIDPNCSWVEQWVHRAGPREKIYFKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVKNIVGIPFGYRGFSDKDLSEMPLSRKVVQNIHLSGGSLLGVSRGGPPVSEIVTRMEERGINMLFVLGGNGTHAGANAIHNECRKRKLKVAVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGIVKLMGRSSGFIAMQSSLASGQVDICLIPEVPFHLHGPHGVLRHLKYLIETKGSAVVCVAEGAGQNLVEKTNATDASGNIVLGDFGVHIQQED >EOX91973 pep chromosome:Theobroma_cacao_20110822:1:4700013:4707540:-1 gene:TCM_001005 transcript:EOX91973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphofructokinase 5 isoform 1 METLSHAITPSSSPKFTLPRNDNVSRRLLRYRHGFSSLNLRTLRSQRRSRVFSKVECSQSSNNNIDFSDPDWKSKFQQDFEKRFNIPHITDIFPDAHSFPSTFCLRMRTPVTEDFAEGYPSDEEWHGYINNKDRVLLKVIHYSSPTSAGAECIDPNCSWVEQWVHRAGPREKIYFKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVKNIVGIPFGYRGFSDKDLSEMPLSRKVVQNIHLSGGSLLGVSRGGPPVSEIVTRMEERGINMLFVLGGNGTHAGANAIHNECRKRKLKVAVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGIVKLMGRSSGFIAMQSSLASGQVDICLIPEVPFHLHGPHGVLRHLKYLIETKGSAVVCVAEGAGQNLVEKTNATDASGNIVLGDFGVHIQQETKKYFKEIGIPADVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGITVGICNTHYVYFPIPEVISHPREVDPNSRMWHRCLTSTGQPDFV >EOX94499 pep chromosome:Theobroma_cacao_20110822:1:29191999:29192494:1 gene:TCM_004101 transcript:EOX94499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METIPATLERPKIFIHPPENRPKSTSEGGSESCLPVPIIDFEGLENGKRMEIVKEIREAASTRGLFQMVDRGVPHATMDKLLDSVRQFH >EOX96511 pep chromosome:Theobroma_cacao_20110822:1:38066564:38075617:1 gene:TCM_005746 transcript:EOX96511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine-linked glycosylation 12 isoform 3 MASKFLQFYGYDLLLGSIAAFYVLMVPYTKVEESFNIQAMHDILYHRHHLDNYDHLEFPGVVPRTFIGALLVSILASPMVLAMQLLHLPKIYSLIIGMPCGRYGSAVRLALGCIILYTLRFLRTQVRNKFGHQVEAFFVILTAIQFHLLFYCTRALPNILAMGVGDNWKEPCYMFKKDGLIHNHKPGCFLPGHCATKAVEIGPEESLMQIAVIILYLCKVNLAYGHWLKGSFYTALNYLVFATITFRCDIVLLLCPLGLEFLLTKSIAFWKALKCCIMISLLCIGLTILVDSIMWKRFLWPEFEVFWFNSVLNRSSEWGTHSIHWYFTSALPRSLLAAYPLFMLGVLLDRRLLTLVLPVFSFVVLYSKLPHKELRFIISSVPIFNLSAAVAASRIYNNRKKSFWKFLNLIMLGLLLISLGCTIITFMASYENYPSGYALKELHQIGRLANNTNELWVHIDPFSAMNGISLFCEDKFPWRYSKEEGIKLEEFGQRNFTYLINEHSAVDGYKCLFKVNGFSRVRIQPGFPPIFVDKEPAVYIHGNIRNEELMFKHWPGCS >EOX96513 pep chromosome:Theobroma_cacao_20110822:1:38066556:38075371:1 gene:TCM_005746 transcript:EOX96513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine-linked glycosylation 12 isoform 3 MASKFLQFYGYDLLLGSIAAFYVLMVPYTKVEESFNIQAMHDILYHRHHLDNYDHLEFPGVVPRTFIGALLVSILASPMVLAMQLLHLPKIYSLIIVRLALGCIILYTLRFLRTQVRNKFGHQVEAFFVILTAIQFHLLFYCTRALPNILAMGVVNLAYGHWLKGSFYTALNYLVFATITFRCDIVLLLCPLGLEFLLTKSIAFWKALKCCIMISLLCIGLTILVDSIMWKRFLWPEFEVFWFNSVLNRSSEWGTHSIHWYFTSALPRSLLAAYPLFMLGVLLDRRLLTLVLPVFSFVVLYSKLPHKELRFIISSVPIFNLSAAVAASRIYNNRKKSFWKFLNLIMLGLLLISLGCTIITFMASYENYPSGYALKELHQIGRLANNTNELWVHIDPFSAMNGISLFCEDKFPWFLTDGQ >EOX96512 pep chromosome:Theobroma_cacao_20110822:1:38066578:38075371:1 gene:TCM_005746 transcript:EOX96512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine-linked glycosylation 12 isoform 3 MASKFLQFYGYDLLLGSIAAFYVLMVPYTKVEESFNIQAMHDILYHRHHLDNYDHLEFPGVVPRTFIGALLVSILASPMVLAMQLLHLPKIYSLIIVRLALGCIILYTLRFLRTQVRNKFGHQVEAFFVILTAIQFHLLFYCTRALPNILAMGVVNLAYGHWLKGSFYTALNYLVFATITFRCDIVLLLCPLGLEFLLTKSIAFWKALKCCIMISLLCIGLTILVDSIMWKRFLWPEFEVFWFNSVLNRSSEWGTHSIHWYFTSALPRSLLAAYPLFMLGVLLDRRLLTLVLPVFSFVVLYSKLPHKELRFIISSVPIFNLSAAVAASRIYNNRKKSFWKFLNLIMLGLLLISLGCTIITFMASYENYPSGYALKELHQIGRLANNTNELWVHIDPFSAMNGISLFCEDKFPWRYSKEEGIKLEEFGQRNFTYLINEHSAVDGYKCLFKVNGFSRVRIQPGFPPIFVDKEPAVYIHGNIRNEELMFKHWPGCS >EOX95585 pep chromosome:Theobroma_cacao_20110822:1:34723391:34727036:-1 gene:TCM_005037 transcript:EOX95585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 alpha, ALPHA MALSMCRYVWWLSLSFPLHQFLPTLPARRLFPRPFPRCFRPPFFFLSPPSSLLYLSQSIFHSLLHLPFSLLSSSPANTPHQKPKGSRKVERDRTMSSAFSAGKFTTQPFPLNTSSPRSHDKPFNIPSATSPFFGSSRKLRLNSSSKLNLHRRSGTVVAVSDVVKEKKSKFAPNLLITKEEGLVLYEDMVLGRAFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKHLKKEDSVVSTYRDHVHALSKGVPARAVMSELFGKTTGCCRGQGGSMHMFSSEHNLLGGFAFIGEGIPVATGAAFTSKYKREVLKEADCDHVTLAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHLRATSDPQIYKKGPAFGMPGIHVDGMDVLKVREVAKEAIGRARRGEGPTLVECETYRFRGHSLADPDELRDPAEKAHYAARDPITALKKYLIENSLASEAELKAIDKKIDEVVEDSVEFADESPLPSRSQLLENVFADPKGFGIGPDGQYRCEDPKFTEGTAHV >EOX93698 pep chromosome:Theobroma_cacao_20110822:1:15144673:15147463:1 gene:TCM_002612 transcript:EOX93698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagocytosis-associated family protein, putative isoform 1 MMDVFNWDGTVSAKDFRIGALSFAQKWESLNSAFPPWSWVPCPKHPWLASPEVDIDQNSQTDPGEEETSCSEKEDDIDDATLVQSNHHELHYCDFHIVYSYTFRVPVLYLRAYCSDGRPLLLDEIEKELPACSSKESPETKWAFITQEEHPYLKRPWYKLHPCGTSEFMKLLFLGGDTGQPKLEVVLELYLLSWFSAVGQVVGLRIPCKMLNDRR >EOX93697 pep chromosome:Theobroma_cacao_20110822:1:15145134:15147801:1 gene:TCM_002612 transcript:EOX93697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagocytosis-associated family protein, putative isoform 1 HPWLASPEEEGYLSLENICISRPYEVDIDQNSQTDPGEEETSCSEKEDDIDDATLVQSNHHELHYCDFHIVYSYTFRVPVLYLRAYCSDGRPLLLDEIEKELPACSSKESPETKWAFITQEEHPYLKRPWYKLHPCGTSEFMKLLFLGGDTGQPKLEVVLELYLLSWFSAVGQVVGLRIPCKMLNDRR >EOX93696 pep chromosome:Theobroma_cacao_20110822:1:15144592:15147481:1 gene:TCM_002612 transcript:EOX93696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagocytosis-associated family protein, putative isoform 1 MMDVFNWDGTVSAKDFRIGALSFAQKWESLNSAFPPWSWVPCPKHPWLASPEEEGYLSLENICISRPYEVDIDQNSQTDPGEEETSCSEKEDDIDDATLVQSNHHELHYCDFHIVYSYTFRVPVLYLRAYCSDGRPLLLDEIEKELPACSSKESPETKWAFITQEEHPYLKRPWYKLHPCGTSEFMKLLFLGGDTGQPKLEVVLELYLLSWFSAVGQVVGLRIPCKMLNDRR >EOX90655 pep chromosome:Theobroma_cacao_20110822:1:246334:251037:-1 gene:TCM_000062 transcript:EOX90655 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 13 isoform 2 MMEWENQEQQQQHHQENQNQRESVNVNVNNGGVMYVKVMTDEQLETLRKQIAVYASICEQLVEMHKNLTAQQDLAGGRLGNLYCDPLMTSAGHKITARQRWTPTPVQLQILERIFDQGTGTPSKQKIKEITSELSQHGQISETNVYNWFQNRRARSKRKQLVSSSNNAESEVETEVESPNEKKTKPENLLSQQNPAPRAEDLCFQNPEITSELHFLGVLSNPNTYNVSIVLLEAHGSPRLWLLDLDETKDFGLIMEP >EOX90656 pep chromosome:Theobroma_cacao_20110822:1:247252:249176:-1 gene:TCM_000062 transcript:EOX90656 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 13 isoform 2 MMEWENQEQQQQHHQENQNQRESVNVNVNNGGVMYVKVMTDEQLETLRKQIAVYASICEQLVEMHKNLTAQQDLAGGRLGNLYCDPLMTSAGHKITARQRWTPTPVQLQILERIFDQGTGTPSKQKIKEITSELSQHGQISETNVYNWFQNRRARSKRKQLVSSSNNAESEVETEVESPNEKKTKPENLLSQQNPAPRAEDLCFQNPEITSELHFLGVLSNPNTYNG >EOX90654 pep chromosome:Theobroma_cacao_20110822:1:246735:249317:-1 gene:TCM_000062 transcript:EOX90654 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 13 isoform 2 MMEWENQEQQQQHHQENQNQRESVNVNVNNGGVMYVKVMTDEQLETLRKQIAVYASICEQLVEMHKNLTAQQDLAGGRLGNLYCDPLMTSAGHKITARQRWTPTPVQLQILERIFDQGTGTPSKQKIKEITSELSQHGQISETNVYNWFQNRRARSKRKQLVSSSNNAESEVETEVESPNEKKTKPENLLSQQNPAPRAEDLCFQNPEITSELHFLGVLSNPNTYNGDDHLSGKMGMPGSYNIYDQADDYSMAG >EOX95595 pep chromosome:Theobroma_cacao_20110822:1:34755545:34756914:-1 gene:TCM_005043 transcript:EOX95595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFQQLGPLRQIARSYRYLLQALLLLLVLVLSPTLASRGKEKGRDDAKVIGNTSPPRYSRTLPLITCRGRPHPQSPTLSPTFCNAILLSPPSDFPTHVS >EOX92404 pep chromosome:Theobroma_cacao_20110822:1:6684837:6688133:-1 gene:TCM_001357 transcript:EOX92404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion binding isoform 2 MIRIASHPKPLTTLGHRLLQRCTVSGTAKGKAKLKAGQSLKRSKVSTKKGKGGAPTDDSLPKGGRIPDEKQRLYDQCLNAPTPVRHLSPKERAREAEREKLGLISKERQREMEILKKGGRKAMGVPDDPMIMGTPGLDLITLGLIDADKIPKYELTVEDGRRLAKEYSRVLMRKHRARQAAESNLLRMKKEAIEALPEKLKEAALVPDLTPFPANRFMATLTPPIEGYIEKVKEAARKSSGKQKLRTKGRSLP >EOX92403 pep chromosome:Theobroma_cacao_20110822:1:6687227:6687967:-1 gene:TCM_001357 transcript:EOX92403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion binding isoform 2 MIRIASHPKPLTTLGHRLLQRCTVSGTAKGKAKLKAGQSLKRSKVSTKKGKGGAPTDDSLPKGGRIPDEKQRLYDQCLNAPTPVRHLSPKERAREAEREKLGLISKERQREMEILKKGGRKAMGVPDDPMIMGTPGLDLITLGLIDADKIPKYELTVEDGRRLAKEYSRVLMRKHRARQAAESNLLRMKKEAIEALPEKLKEAALVPDLTPFPANRFMATLTPPIEGYIEKVKEAARKSSGKQKLR >EOX95261 pep chromosome:Theobroma_cacao_20110822:1:33582718:33583824:1 gene:TCM_004810 transcript:EOX95261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNFLRSGKVQPVLFIFILIIVLTAKKCRELNDDEQALHKTRPQQYNCFDMRTGSALCIAEAAMKAYYLMSYNLDPEQRSKPGVPFVSKHNLAPYVGSGWDLFGSLPFQSRPKGEVASKAIGAFIGDNVGRFVAEKLVGGETNYIAAKDAWGRNKVRLAFVSGSLLGGYVGREVGVMAYDVYHGFEHLYNYLFHHPEAKSPSPALDREL >EOX96413 pep chromosome:Theobroma_cacao_20110822:1:37687858:37689793:-1 gene:TCM_005661 transcript:EOX96413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANLEQEIAPLGENNDRPKDLDSNISEKSVGLRDECCIYKVSRRIRAANDEAYTPQLTSIGPVHHEKNFESYMEKEVQRIYRCYDIQFVFGLEASKFKKIILRDAIFIIVLFLVKVGEHIIDEDDNFLIRRVLLTVELSTDLMLLENQLPFFVFEDLYYLAFGNTGKPFLYLDYHFFNVYRSLSFEEKKVAHFTDLIRYDAVKNCPSISHLAWQNTYNATMLREAGVKFKRTYRFGKVKFEKRVLEFPFICVTYETDITFRNLIAFEQCHYSNDAHFASYVQLLDSLIDPEEDVDILVKEGIIKNKLGSSTALANMINNLAVGIVNISFVYGDIGWNLNNYYANSWNRIMATLKHVYFNDLWRGTAIVAASIVVLLTITQTLLAILDRAMLTK >EOX91972 pep chromosome:Theobroma_cacao_20110822:1:4693600:4696322:1 gene:TCM_001004 transcript:EOX91972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin d4,2, putative MAENLDCSASNLLCSENTSSCFDGGLDFDAINEFGVSPSDHHLKNQIFNQQDPCFINDRSTSLMGSSGFAIHSDERIQEMIGKEMEHLPRADYLKRLRGGDLDLSVRREALEWIWKASAYCRFGPLTICLSMNYLDRFLSVYELPRGKTWTVQLLAVACLSIAAKMEETKVPLSVDLQVGEPKFVFEAKTIQRMELLLLSTLKWKMQVLTPCSFIDYFLSKIKNGQHPSPSLISSSLQLILSTIKGIDFLEFRPSEICAAVAISVSGEMQTLAIDKAISSFTLVEKDRVLKCVELMKDLLLINGSANANVAAPPSASSVPQSPIGVLDAACLSYKSDEITVGSCANSSHTSPDTKRRKQDKPSQVDFKS >EOX94363 pep chromosome:Theobroma_cacao_20110822:1:28117359:28123512:-1 gene:TCM_003954 transcript:EOX94363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDAAHSDTSSGMSSVSSDLDAETELESMAGKGIKHLCSELLELKAESDEDFHRNIFSNYSSFVRIFDEVKGMENELMQLKSQVFTQKRLVKDLIDGIHLKLLSEETIDSILQESESAELSSPSELEVHIDNISETLDILMLENRVDEAIDILEMQDENLQRMQYEDNSSADLLLLYNSAFSEKKAMLTLQLTLSAENPRISAAELQKVLVGICRLGDSHLSTQLLLKYYHSRIATGMHNLQCSQSFLDGLYVKELAKFVFSMISQAARSFMMLYGETSPHASELIQWAREETKLFVASFNTYVKSNSDISKGLSTAVESMQFAMSYCSLLKSQRLFLRPYLIKHIRPCVEEVLQIHIDHFKKVISMFTATDTWVLGRYLISEILSEGNYMVFGQQPEYCHLTNSGWKFVTLLQAIIADVTPLLAIQIEGSILKGLMNLFTEYIDILEKAITFETHGSERGSRRTSAESLPQQISMLANLSTLQNFFFKIIRSFFRGTGYMNSELRKKKSIDLQQKELDGCILFIQEAAAQLRSHFCQQFINGMMSLETGSKLIKETCTDNQEELTTFHDAMPSIAFQVLFLELRKVDKLAEENVFEEDWLMELLRELIEAIFSWIVNNKEIWRYTKENTPVQLSDIFSQFVLDMHFLVEIVKYGGYFSKIPLVLLSLVDSAFTSAGLDPKSDIDCDGCAKNAATEAIQKLLEIEKMQLFSKDDSVDILEESHENEPVQNESRRTMKDSLLLDNYGGIEDVESENGISQACDEHEKTDLPDPSSFDGYGDASELRVPNKEDSGNEADATDSVVNELEDDGKKVVRDYTCFCNSGKPTWRYLAP >EOX90949 pep chromosome:Theobroma_cacao_20110822:1:1152698:1154591:1 gene:TCM_000278 transcript:EOX90949 gene_biotype:protein_coding transcript_biotype:protein_coding description:RTE1 isoform 1 MEEHVDSDHQLMVEGGLTFPRSMQIDPRRERFPCCIVWTPLPVLSWLVPFIGHVGICREDGVILDFAGPNFVCVDNFTFGAVARYIQINIDKECCISPHPSAFKGEQEYQHDDPRRERLTWDDTLRKSTQEFQHRSYSLFTCNCHSFVANNLNRLGFRSGGWNVVNLAVLIFLKGCWVSKTAFLRSFLPFVIVSGLGLAFGGTTYLTFLALFAFLLVGWFLLGTYCFKSLIHL >EOX90948 pep chromosome:Theobroma_cacao_20110822:1:1152698:1154584:1 gene:TCM_000278 transcript:EOX90948 gene_biotype:protein_coding transcript_biotype:protein_coding description:RTE1 isoform 1 MEEHVDSDHQLMVEGGLTFPRSMQIDPRRERFPCCIVWTPLPVLSWLVPFIGHVGICREDGVILDFAGPNFVCVDNFTFGAVARYIQINIDKECCISPHPSAFKGEQEYQHDDPRRERLTWDDTLRKSTQEFQHRSYSLFTCNCHSFVANNLNRLGFRSGGWNVVNLAVLIFLKGCWVSKTAFLRSFLPFVIVSGLGLAFGGTTYLTFLALFAFLLVGWFLLGTYCFKSLIHL >EOX90921 pep chromosome:Theobroma_cacao_20110822:1:1043363:1046069:-1 gene:TCM_000258 transcript:EOX90921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferulic acid 5-hydroxylase 1 MSVKQAMDSLIQTMEPLPMALLFVIPLLLLLGLISRLRRKPFPPGPKGLPLIGNMMMMDQLTHRGLARLAQKYGGIFHLKMGFLHMVAISNPEMARQVLQVQDNIFSNRPATIAISYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRKRAESWESVRDEVETLIKAVSANTGKAINVGELIFNLTKNITYRAAFGCSSQEGQEEFIKILQEFSKLFGAFNIADFIPWLGWADPQGLNTRLENARHALDKFIDTIIDDHIQKRKRNDGCDEGDTDMVDDLLAFYSEEAKVNESEDLQNSIKFTRDNIKAIIMDVMFGGTETVASAIEWALTELMRSPEDLKRVQQELADVVGLDRQVEESDFDKLTFLKCTLKETLRLHPPIPLLLHETAEDAVVAGYQIPAKSRVMINAWAIGRDKNSWEDPDTFKPSRFLKEGVPDFKGSNFEFIPFGSGRRSCPGMQLGLYALDLAVAHMLHCFTWELPDGMKPSELDMSDVFGLTAPRATRLIAIPKKRLLCPLF >EOX95986 pep chromosome:Theobroma_cacao_20110822:1:36233084:36235196:1 gene:TCM_005348 transcript:EOX95986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEPKAAQNPPPIQDPNPPAAAQTGPPPPQQPPPSTAPEQPAGKKRPPETSNDQLQHSEYHKMRLILRDLRPHFIEVLRTPDFRNCKAADEIREKVKLLMELYKQMPAGTVPTEKCNNAADETGMKQKPEEQPQVVQPAVSSENKTFQSSNVSDKQQSEDGEAPKTYIVGGSAFGWNFITFAGSKPVHYGVTKESFRSAQATLRGE >EOX94893 pep chromosome:Theobroma_cacao_20110822:1:31905646:31908447:1 gene:TCM_004496 transcript:EOX94893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSMNALKLYIKQLVESMTRFAYSLTLVKHTELLLTSGSLEKSEKSSRQACRLLKTQTIFSPIEIPGASFQQGLEITKVRTIIARGPV >EOX91510 pep chromosome:Theobroma_cacao_20110822:1:2934700:2950372:1 gene:TCM_000672 transcript:EOX91510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med10 isoform 4 MEAAENCSVKVAVHIRPLIGDERTQGCKESVTVTSGKPQVQIGTHSFTFDHVYGNGGSPSSSMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTAMKDGCQTGLIPQVMNALFKKIETLKHQTEFQLHVSFIEILKEEVRDLLDSEPVSKSVTPNGNAVKVTVPGRPPIQIRESSNGVITLAGSTEVAVTTLQEMATCLEQGSISRATGSTNMNNQSSRSHAIFTITLEQMCKIQSVSSGNDTLDNDMGEEYLCAKLHLVDLAGSERAKRTGSDGLRLKEGIYINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEESLNTLKYANRARNIQNKPVVNRDLISNEMQKMRQQLQYLQAQLCARGGPTSDMQILKERISWLEATNEDLRRELHEYRSRRAVVECCESDAQEGHSCFMKSDGHKRGFQSMDSSDYQMDEAVSDESPEEIDEVAKELEHALRRNTMDRELIELNKRLEQKESEMKLVGGADAEALKQHFRKKIMELEDEKRIVQIERDHLLVAVENRAAHSDGQVQKTQEMNVQKLKALEAQISDLKKKQENQVELLKQKQRSDEAAKRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLEALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSTRDNSVNHNGHAPNGQSNEKSVRRWLDQELEVMVNVREVRFEYEKQTQVQTALTEELTLLKQVDELSSNGDIPHIVNNGQSRLLSMSPNARMERIASLEHMLSMSSNVLKAMASQLSEAEERERGLVGRGRWNQVRSINDAKNLLQHLFNATAEARCQLQEKDLEIKDLKQQLKDLTALLWQSEAQKKELVKEQKMREQAVAIALATSASGNSRSSSKHFVDDLSGPLSPMSLPAPKQLKFTPGVVNGSVRDSAAFLDQSRKMVPVGHLSMKKLTTMGQTGKLWRWKRSHHQWLLQFRWKWQKPWKLSEWIKHSDETIMRSRPRAQALIELI >EOX91509 pep chromosome:Theobroma_cacao_20110822:1:2939955:2950530:1 gene:TCM_000672 transcript:EOX91509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med10 isoform 4 MEAAENCSVKVAVHIRPLIGDERTQGCKESVTVTSGKPQVQIGTHSFTFDHVYGNGGSPSSSMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTAMKDGCQTGLIPQVMNALFKKIETLKHQTEFQLHVSFIEILKEEVRDLLDSEPVSKSVTPNGNAVKVTVPGRPPIQIRESSNGVITLAGSTEVAVTTLQEMATCLEQGSISRATGSTNMNNQSSRSHAIFTITLEQMCKIQSVSSGNDTLDNDMGEEYLCAKLHLVDLAGSERAKRTGSDGLRLKEGIYINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEESLNTLKYANRARNIQNKPVVNRDLISNEMQKMRQQLQYLQAQLCARGGPTSDMQILKERISWLEATNEDLRRELHEYRSRRAVVECCESDAQEGHSCFMKSDGHKRGFQSMDSSDYQMDEAVSDESPEEIDEVAKELEHALRRNTMDRELIELNKRLEQKESEMKLVGGADAEALKQHFRKKIMELEDEKRIVQIERDHLLVAVENRAAHSDGQVQKTQEMNVQKLKALEAQISDLKKKQENQVELLKQKQRSDEAAKRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLEALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSTRDNSVNHNGHAPNGQSNEKSVRRWLDQELEVMVNVREVRFEYEKQTQVQTALTEELTLLKQVDELSSNGDIPHIVNNGQSRLLSMSPNARMERIASLEHMLSMSSNVLKAMASQLSEAEERERGLVGRGRWNQVRSINDAKNLLQHLFNATAEARCQLQEKDLEIKDLKQQLKDLTALLWQSEAQKKELVKEQKMREQAVAIALATSASQGNSRSSSKHFVDDLSGPLSPMSLPAPKQLKFTPGVVNGSVRDSAAFLDQSRKMVPVGHLSMKKLTTMGQTGKLWRWKRSHHQWLLQFRWKWQKPWKLSEWIKHSDETIMRSRPRAQALIELI >EOX91511 pep chromosome:Theobroma_cacao_20110822:1:2939955:2949598:1 gene:TCM_000672 transcript:EOX91511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med10 isoform 4 MEAAENCSVKVAVHIRPLIGDERTQGCKESVTVTSGKPQVQIGTHSFTFDHVYGNGGSPSSSMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTAMKDGCQTGLIPQVMNALFKKIETLKHQTEFQLHVSFIEILKEEVRDLLDSEPVSKSVTPNGNAVKVTVPGRPPIQIRESSNGVITLAGSTEVAVTTLQEMATCLEQGSISRATGSTNMNNQSSRSHAIFTITLEQMCKIQSVSSGNDTLDNDMGEEYLCAKLHLVDLAGSERAKRTGSDGLRLKEGIYINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEESLNTLKYANRARNIQNKPVVNRDLISNEMQKMRQQLQYLQAQLCARGGPTSDMQILKERISWLEATNEDLRRELHEYRSRRAVVECCESDAQEGHSCFMKSDGHKRGFQSMDSSDYQMDEAVSDESPEEIDEVAKELEHALRRNTMDRELIELNKRLEQKESEMKLVGGADAEALKQHFRKKIMELEDEKRIVQIERDHLLVAVENRAAHSDGQVQKTQEMNVQKLKALEAQISDLKKKQENQVELLKQKQRSDEAAKRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLEALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSTRDNSVNHNGHAPNGQSNEKSVRRWLDQELEVMVNVREVRFEYEKQTQVQTALTEELTLLKQVDELSSNGDIPHIVNNGQSRLLSMSPNARMERIASLEHMLSMSSNVLKAMASQLSEAEERERGLVGRGRWNQVRSINDAKNLLQHLFNATAEARCQLQEKDLEIKDLKQQLKDLTALLWQSEAQKKELVKEQKMREQAVAIALATSASAAHPIGA >EOX91508 pep chromosome:Theobroma_cacao_20110822:1:2934700:2950921:1 gene:TCM_000672 transcript:EOX91508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med10 isoform 4 MEAAENCSVKVAVHIRPLIGDERTQGCKESVTVTSGKPQVQIGTHSFTFDHVYGNGGSPSSSMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTAMKDGCQTGLIPQVMNALFKKIETLKHQTEFQLHVSFIEILKEEVRDLLDSEPVSKSVTPNGNAVKVTVPGRPPIQIRESSNGVITLAGSTEVAVTTLQEMATCLEQGSISRATGSTNMNNQSSRSHAIFTITLEQMCKIQSVSSGNDTLDNDMGEEYLCAKLHLVDLAGSERAKRTGSDGLRLKEGIYINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEESLNTLKYANRARNIQNKPVVNRDLISNEMQKMRQQLQYLQAQLCARGGPTSDMQILKERISWLEATNEDLRRELHEYRSRRAVVECCESDAQEGHSCFMKSDGHKRGFQSMDSSDYQMDEAVSDESPEEIDEVAKELEHALRRNTMDRELIELNKRLEQKESEMKLVGGADAEALKQHFRKKIMELEDEKRIVQIERDHLLVAVENRAAHSDGQVQKTQEMNVQKLKALEAQISDLKKKQENQVELLKQKQRSDEAAKRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLEALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSTRDNSVNHNGHAPNGQSNEKSVRRWLDQELEVMVNVREVRFEYEKQTQVQTALTEELTLLKQVDELSSNGDIPHIVNNGQSRLLSMSPNARMERIASLEHMLSMSSNVLKAMASQLSEAEERERGLVGRGRWNQVRSINDAKNLLQHLFNATAEARCQLQEKDLEIKDLKQQLKDLTALLWQSEAQKKELVKEQKMREQAVAIALATSASQGNSRSSSKHFVDDLSGPLSPMSLPAPKQLKFTPGVVNGSVRDSAAFLDQSRKMVPVGHLSMKKLTTMGQTGKLWRWKRSHHQWLLQFRWKWQKPWKLSEWIKHSDETIMRSRPRAQALIELI >EOX92705 pep chromosome:Theobroma_cacao_20110822:1:8192837:8194891:1 gene:TCM_001608 transcript:EOX92705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFQNLDAKCQWHPSPRVSLLAKNWASSQNLEVLRKMGKHTGITSKCAIPMNSSDYIPITKRPPITAQVVIGTPGTIKKWMSAVVSCVKILVFDEADHMLAEKGRKKELMVKLHSEQFPVIMSKIENHFGTKVAEIANWRNGEAALRSAGLLSTNLLSKLSW >EOX93341 pep chromosome:Theobroma_cacao_20110822:1:12189263:12191179:1 gene:TCM_002182 transcript:EOX93341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, unclassified, putative MSLCKVVSTPFTTGAKFSKEDGSPKANGQIYRSIIGSLLYLFATKPDIMFATWLLSRFMQEPSQIHFTAAKRIPRYVKGTLNFSLTYVKQDSGNLIGHCDSDWVGSLDDSKTTNHALWLRKRLLDLGFEQSQGTLICVDNLFVVSIAKNPVQYRRTTHIRVKYHALKGAVKENEGELKHCKTENQLADIFTKSLGRERFEHLRSCLGVQQIMNHGAVLKY >EOX91658 pep chromosome:Theobroma_cacao_20110822:1:3451594:3457241:-1 gene:TCM_000776 transcript:EOX91658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 1 MKFMKLGSKPDSFQTDGDNIRYVATELATDLVVNIGDVKFYLHKFPLLSKSARLQKLVATSSDENSDEIHIQDIPGGPAAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMYETVEKGNLIYKIDVFLNLSIFRSWKDSIIVLQTTKSLLPWSEEIKVVSHCLDSIASKASIDTSKVEWSYTYNRKKLPSENGNSPQWNGVRKPQMVPKDWWVEDLCELHIDLYKRVITTIKTKSRVSGDVIGEALNAYALRRLPGFSKGTVQNNDFIKYRSLVETIVRLLPTERGTVSCSFLLRLLRAAILLDCGEMERNELMRRIGQQLPEATVTDLLIRAPAGEATIYDVDIVHNLVEEFVTHSSQIDPTDNEFQKSRSPKFGPDACKVLVAKLIDGYLAEIARDPNLHLSKFVYLAEIVASFSRPSHDGLYRAIDMYLKEHPGISKSERKRICRLMDCRKLSAEACMHAVQNERLPLRVVVQVLFFEQVRATASAGNSTPDLPGSIRALLPGGSHGSSRSTTTNTEEDWDSVPTAEDIKALKGELVTLRLGSSGTDRNGNDAAKSDAEKIAANRMKGLVMSKIFSKLWSSKERHGEISSSDTSESPGSVNAEETKSTPSRSRRHSLS >EOX91661 pep chromosome:Theobroma_cacao_20110822:1:3451340:3455828:-1 gene:TCM_000776 transcript:EOX91661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 1 MTVTLNAYNVVAARCAAEYLEMYETVEKGNLIYKIDVFLNLSIFRSWKDSIIVLQTTKSLLPWSEEIKVVSHCLDSIASKASIDTSKVEWSYTYNRKKLPSENGNSPQWNGVRKPQMVPKDWWVEDLCELHIDLYKRVITTIKTKSRVSGDVIGEALNAYALRRLPGFSKGTVQNNDFIKYRSLVETIVRLLPTERGTVSCSFLLRLLRAAILLDCGEMERNELMRRIGQQLPEATVTDLLIRAPAGEATIYDVDIVHNLVEEFVTHSSQIDPTDNEFQKSRSPKFGPDACKVLVAKLIDGYLAEIARDPNLHLSKFVYLAEIVASFSRPSHDGLYRAIDMYLKEHPGISKSERKRICRLMDCRKLSAEACMHAVQNERLPLRVVVQVLFFEQVRATASAGNSTPDLPGSIRALLPGGSHGSSRSTTTNTEEDWDSVPTAEDIKALKGELVTLRLGSSGTDRNGNDAAKSDAEKIAANRMKGLVMSKIFSKLWSSKERHGEISSSDTSESPGSVNAEETKSTPSRSRRHSLS >EOX91659 pep chromosome:Theobroma_cacao_20110822:1:3451106:3457269:-1 gene:TCM_000776 transcript:EOX91659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 1 MKFMKLGSKPDSFQTDGDNIRYVATELATDLVVNIGDVKFYLHKFPLLSKSARLQKLVATSSDENSDEIHIQDIPGGPAAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMYETVEKGNLIYKIDVFLNLSIFRSWKDSIIVLQTTKSLLPWSEEIKVVSHCLDSIASKASIDTSKVEWSYTYNRKKLPSENGNSPQWNGVRKPQMVPKDWWVEDLCELHIDLYKRVITTIKTKSRVSGDVIGEALNAYALRRLPGFSKGTVQNNDFIKYRSLVETIVRLLPTERGTVSCSFLLRLLRAAILLDCGEMERNELMRRIGQQLPEATVTDLLIRAPAGEATIYDVDIVHNLVEEFVTHSSQIDPTDNEFQKSRSPKFGPDACKVLVAKLIDGYLAEIARDPNLHLSKFVYLAEIVASFSRPSHDGLYRAIDMYLKEHPGISKSERKRICRLMDCRKLSAEACMHAVQNERLPLRVVVQVLFFEQVRATASAGNSTPDLPGSIRALLPGGSHGSSRSTTTNTEEDWDSVPTAEDIKALKGELVTLRLGSSGTDRNGNDAAKSDAEKIAANRMKGLVMSKIFSKLWSSKERHGEISSSDTSESPGSVNAEETKSTPSRSRRHSLS >EOX91657 pep chromosome:Theobroma_cacao_20110822:1:3451106:3457820:-1 gene:TCM_000776 transcript:EOX91657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 1 MKFMKLGSKPDSFQTDGDNIRYVATELATDLVVNIGDVKFYLHKFPLLSKSARLQKLVATSSDENSDEIHIQDIPGGPAAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMYETVEKGNLIYKIDVFLNLSIFRSWKDSIIVLQTTKSLLPWSEEIKVVSHCLDSIASKASIDTSKVEWSYTYNRKKLPSENGNSPQWNGVRKPQMVPKDWWVEDLCELHIDLYKRVITTIKTKSRVSGDVIGEALNAYALRRLPGFSKGTVQNNDFIKYRSLVETIVRLLPTERGTVSCSFLLRLLRAAILLDCGEMERNELMRRIGQQLPEATVTDLLIRAPAGEATIYDVDIVHNLVEEFVTHSSQIDPTDNEFQKSRSPKFGPDACKVLVAKLIDGYLAEIARDPNLHLSKFVYLAEIVASFSRPSHDGLYRAIDMYLKEHPGISKSERKRICRLMDCRKLSAEACMHAVQNERLPLRVVVQVLFFEQVRATASAGNSTPDLPGSIRALLPGGSHGSSRSTTTNTEEDWDSVPTAEDIKALKGELVTLRLGSSGTDRNGNDAAKSDAEKIAANRMKGLVMSKIFSKLWSSKERHGEISSSDTSESPGSVNAEETKSTPSRSRRHSLS >EOX91660 pep chromosome:Theobroma_cacao_20110822:1:3451340:3457442:-1 gene:TCM_000776 transcript:EOX91660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 1 MTVTLNAYNVVAARCAAEYLEMYETVEKGNLIYKIDVFLNLSIFRSWKDSIIVLQTTKSLLPWSEEIKVVSHCLDSIASKASIDTSKVEWSYTYNRKKLPSENGNSPQWNGVRKPQMVPKDWWVEDLCELHIDLYKRVITTIKTKSRVSGDVIGEALNAYALRRLPGFSKGTVQNNDFIKYRSLVETIVRLLPTERGTVSCSFLLRLLRAAILLDCGEMERNELMRRIGQQLPEATVTDLLIRAPAGEATIYDVDIVHNLVEEFVTHSSQIDPTDNEFQKSRSPKFGPDACKVLVAKLIDGYLAEIARDPNLHLSKFVYLAEIVASFSRPSHDGLYRAIDMYLKEHPGISKSERKRICRLMDCRKLSAEACMHAVQNERLPLRVVVQVLFFEQVRATASAGNSTPDLPGSIRALLPGGSHGSSRSTTTNTEEDWDSVPTAEDIKALKGELVTLRLGSSGTDRNGNDAAKSDAEKIAANRMKGLVMSKIFSKLWSSKERHGEISSSDTSESPGSVNAEETKSTPSRSRRHSLS >EOX95102 pep chromosome:Theobroma_cacao_20110822:1:32873882:32877431:-1 gene:TCM_004667 transcript:EOX95102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photolyase/blue-light receptor 2 isoform 2 MDSSLRSSENPETKSTDEQTQTQNQLEIFPSQSPFATASLSFSSLPTTLPTHFFTHPKISSLFSSQSPDKVKVPTQASSLSHLSLSSTSPSPSKISFKSTLSNNPLQSPLSLGPRRPLDPSNGAAIRRASIVWFRNDLRVHDNECLNTANNESMSVLPVYCFDPRDYGKSSSGFDKTGPYRATFLIESVSDLRKNLQARGSDLVVRIGKPESVLVELAKAIGADAIYAHREVSHDEVKAEEKIEAAMKEEGVEVKYFWGSTLFHVDDLRFKLEDMPSNYGGFREKVKALEIRKTIEALDQMKGMPSRGDVETGDIPSLTDLGLNPSATTVQEGRPYVNSSMAGGETEALQRLKKFAGECQAQPHRGSKDGSHDSIYGANFSCKISPWLAMGCLSPRFMFDELKKNANRYAVKAFSAASNKKDGGSGSPDTGMNWLMFELLWRDFFSCLQIHHQEIQFYKGWNCSCHSLYGSPCLSKEFALVLRRIIVLG >EOX95101 pep chromosome:Theobroma_cacao_20110822:1:32873572:32877345:-1 gene:TCM_004667 transcript:EOX95101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photolyase/blue-light receptor 2 isoform 2 MDSSLRSSENPETKSTDEQTQTQNQLEIFPSQSPFATASLSFSSLPTTLPTHFFTHPKISSLFSSQSPDKVKVPTQASSLSHLSLSSTSPSPSKISFKSTLSNNPLQSPLSLGPRRPLDPSNGAAIRRASIVWFRNDLRVHDNECLNTANNESMSVLPVYCFDPRDYGKSSSGFDKTGPYRATFLIESVSDLRKNLQARGSDLVVRIGKPESVLVELAKAIGADAIYAHREVSHDEVKAEEKIEAAMKEEGVEVKYFWGSTLFHVDDLRFKLEDMPSNYGGFREKVKALEIRKTIEALDQMKGMPSRGDVETGDIPSLTDLGLNPSATTVQEGRPYVNSSMAGGETEALQRLKKFAGECQAQPHRGSKDGSHDSIYGANFSCKISPWLAMGCLSPRFMFDELKKNANRAFSAASNKKDGGSGSPDTGMNWLMFELLWRDFFRFITKKYSSTKVGTAPATACTGALA >EOX91243 pep chromosome:Theobroma_cacao_20110822:1:2026978:2027875:-1 gene:TCM_000491 transcript:EOX91243 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAP MAYALVAVLVLAICHDRAQGVVPAASPGTTAPAVLLPSAAREFLEAHNQARAAVGVGPLRWSEQLANATSRLARYQRNKMGCQFANLTDHKYGANQLWSGGAAVTPRMAVDTWVKEKNYYNYASNSCAPNHMCGVYTQVVWKNSSELGCAQATCKEQITLTICFYNPPGNYIGEKPY >EOX90747 pep chromosome:Theobroma_cacao_20110822:1:517268:517823:1 gene:TCM_000130 transcript:EOX90747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASIYCCTECGTNLNLSTTHLFPPDFYFEAGNKGTLSFALIDTTKFKLEKEDKIRPFFETVDYWGIQRKRTKIKCNSCGKLVGYVYDDGPPLTNGTGQYHFGPSQVIPRAPRYRFKTKALRITSET >EOX94679 pep chromosome:Theobroma_cacao_20110822:1:30815032:30820283:-1 gene:TCM_004295 transcript:EOX94679 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIMA-related kinase 4 isoform 2 MAEAIKRANGVHFSEEKLCKWLVQLLMALDYLHANHILHRDVKASELLTHPHLQPYVLKIHLKLNSPRCNSFPTRWSDSNFIKKTRFVEQDVMLLTDMGRRRSFSNDRALNPSVSEAEQDSFCSAQREQEIPSYLFEKFTEFSVSIDNEEITIDKSTATKFPTVAKTPRLTPAKVSFTPRKHPTPAKMYRTGQKHDLVPVSNTPASKSSYSSRRVSLPLPTRTAALVTPYRANVGLLRSVDSPDVSVNAPRIDKIAEFPLASSDDPLLPIRGTSSTSAKCSSSSIDSADRSITKDKCTVQILDKVVMTMNGSDHSLGVAQDGSEGSVHNRAAVSTHSSSESRQRRFDTSSYQQRAEALEGLLEFSARLLQQQRYDELGVLLKPFGPEKVSPRETAIWLAKSFKETQV >EOX94678 pep chromosome:Theobroma_cacao_20110822:1:30815012:30821788:-1 gene:TCM_004295 transcript:EOX94678 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIMA-related kinase 4 isoform 2 MEQYDILEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRARRSAHQEMELISKVKNPFVVEYKDSWVERGCYVCIIIGYCEGGDMAEAIKRANGVHFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTKDQDIRLGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCLYEMTAHKPAFKAFDMRALINKINRSIVAPLPTMYSGAFRGLIKSMLRKNPELRPSASELLTHPHLQPYVLKIHLKLNSPRCNSFPTRWSDSNFIKKTRFVEQDVMLLTDMGRRRSFSNDRALNPSVSEAEQDSFCSAQREQEIPSYLFEKFTEFSVSIDNEEITIDKSTATKFPTVAKTPRLTPAKVSFTPRKHPTPAKMYRTGQKHDLVPVSNTPASKSSYSSRRVSLPLPTRTAALVTPYRANVGLLRSVDSPDVSVNAPRIDKIAEFPLASSDDPLLPIRGTSSTSAKCSSSSIDSADRSITKDKCTVQILDKVVMTMNGSDHSLGVAQDGSEGSVHNRAAVSTHSSSESRQRRFDTSSYQQRAEALEGLLEFSARLLQQQRYDELGVLLKPFGPEKVSPRETAIWLAKSFKETQV >EOX93863 pep chromosome:Theobroma_cacao_20110822:1:16876835:16882482:-1 gene:TCM_002843 transcript:EOX93863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional activator, putative isoform 1 MGTTGGSDVEAGFAKLQGEDFEYYMQTYSIMLGRNSKKSSVDVDLASLGGGMNISRHHARIFYDFTRRRFALEVLGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKEFYFLLPVRSILGGPLAPRHYVSIYQTAGAGAGAAAAAGGVPHHGFHGGAETGRTVGSVAGSAVVAVGVKKGRGREYYEDEYGEEEDVGSGGKKVRREDWYSGAEAGSGGKAGLAGGLVPVEKKGEGRSRVDRESDNQQLMQLEEKDVVSSVATVLSDLCGPGEWMPMEKLHTEPS >EOX93862 pep chromosome:Theobroma_cacao_20110822:1:16876461:16882315:-1 gene:TCM_002843 transcript:EOX93862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional activator, putative isoform 1 MGTTGGSDVEAGFAKLQGEDFEYYMQTYSIMLGRNSKKSSVDVDLASLGGGMNISRHHARIFYDFTRRRFALEVLGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKEFYFLLPVRSILGGPLAPRHYVSIYQTAGAGAGAAAAAGGVPHHGFHGGAETGRTVGSVAGSAVVAVGVKKGRGREYYEDEYGEEEDVGSGGKKVRREDWYSGAEAGSGGKAGLAGGLVPVEKKGEGRSRVDRESDNQQLMQLEEKDVVSSVATVLSDLCGPGEWMPMEKLHTELVDQFSNVWHHSRVRRYLTSEDWPGPESKGKPWYGLLMLLRKYPEHFVINTRSKGRITLEFVSLVSLLS >EOX91836 pep chromosome:Theobroma_cacao_20110822:1:4070136:4073292:1 gene:TCM_000903 transcript:EOX91836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIEIGDPDRLKYCGKTEGPRTDSIVRLGLEYQFIFFRVREAKNACREAQNQQTVRFAVTDNKITVWAVEARNKQAQNRNPGMEGEEQKKLKGETKEKIVQIFKDFLTSVAKLDELVAVGGRLLTGFQQGLEFLRRPPINTTSKLIESIIKANETKRLKSYLQAGCIKSHDSVQNTNKLHTSLLGLRNHLIKVKSLLNDLESLLEDARAALQTANEHFSPLLDKEPVDGLDLQESSGEDEMAPSPLREPEVTDYAALMGIIYSMVKQDYVMQDKIVASLNLKSSSGELESYCLMWSLRPYINDQIMHLAWKLVP >EOX95891 pep chromosome:Theobroma_cacao_20110822:1:35910452:35912245:1 gene:TCM_005283 transcript:EOX95891 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MARDLHVVMLPWSAFGHLIPFFQLSIALAKAGVKVSFISTPRNIQRLPKVPPTLATLIDIVALPLPVLDNQLLPEGAEATVDIPSEKIPYLKIAYDLLQHPVKQFISDQRPDWVFTDVISYWVAEAAQEKQIPVINFSVCPASTNAFFLQKDFPVAAAQEGTKPSPESLTKPLEWGNFQSSVSYRSFEATGTYKGLYTQNASGITDNERVIRVILASNAMAIRTCPEYESEYLNKCKEITGKQVIPIGLLLPEKPEEVKRITDKSWSENFEWLDGQKPKSVVFVGFGSECKLSKEQVHEIAHGLELSGLPFLWALRKPDWATDDHDALPPGFSDGTRGRGVVCIGWAPQLEILGHPSIGGSLFHAGWGSIIETLQFGHCLVVLPLIIDQPLNARLLVEKGLAVEVERSNDGSFTRADIAKALRLAMVSEEGENLRVRAKEVAEVFGNRNLQHNYFNRFVEYLEKNGAATG >EOX96077 pep chromosome:Theobroma_cacao_20110822:1:36527171:36531784:-1 gene:TCM_005417 transcript:EOX96077 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MAVMAWFPTRLSHITTFNAKKPSLYYYTLVAILCTTFYLIGIWKHSVGLIPNSAAADGYLTDVPCSSLHSTIELDFLPHHLPPDPPPAAARVLHLPPCDLSFSEYTPCEDVKRSLKFDRGMLVYRERHCPEKNELLKCRVPAPYGYKVPFRWPRSREFAWFANVPHKELTVEKKNQNWVKVEGELFRFPGGGTMFPRGADAYIDDIDELINLKDGSIRTAIDTGCGVASWGAYLLSRNILAVSFAPRDTHEAQVQFALERGVPALIGVLASIRLPYPSRAFDMAHCSRCLIPWGQYDGLYLIEVDRILRPGGYWILSGPPINWENHWKGWNRTRDNLRAEQNLIETGAKSLCWKKLVQKDDLAIWQKPTNHIHCRANRKVFKQPRFCQTQNPDMAWYTKLETCLTPLPEVSNIKEIAGGQLAKWPERLNAIPPRISSGRLTGITEKMFVENTELWKKRVEYYKRVDHQLAETGRYRNLLDMNAHLGGFAAALVDDPVWTMNVVPIEAEINTLGAVYERGLIGTYQSWCEAMSTYPRTYDFIHADSIFSLYQDRCEMEDILLEMDRILRPEGSVIIRDDVDVLVKVKNIIDVMQWDGRIVDHEDGPHEREKILFAVKQYWTVPAPEQSQQGIKTIS >EOX96078 pep chromosome:Theobroma_cacao_20110822:1:36528310:36531950:-1 gene:TCM_005417 transcript:EOX96078 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MAVMAWFPTRLSHITTFNAKKPSLYYYTLVAILCTTFYLIGIWKHSVGLIPNSAAADGYLTDVPCSSLHSTIELDFLPHHLPPDPPPAAARVLHLPPCDLSFSEYTPCEDVKRSLKFDRGMLVYRERHCPEKNELLKCRVPAPYGYKVPFRWPRSREFAWFANVPHKELTVEKKNQNWVKVEGELFRFPGGGTMFPRGADAYIDDIDELINLKDGSIRTAIDTGCGVASWGAYLLSRNILAVSFAPRDTHEAQVQFALERGVPALIGVLASIRLPYPSRAFDMAHCSRCLIPWGQYDGLYLIEVDRILRPGGYWILSGPPINWENHWKGWNRTRDNLRAEQNLIETGAKSLCWKKLVQKDDLAIWQKPTNHIHCRANRKVFKQPRFCQTQNPDMAWYTKLETCLTPLPEVSNIKEIAGGQLAKWPERLNAIPPRISSGRLTGITEKMFVENTELWKKRVEYYKRVDHQLAETGRYRNLLDMNAHLGGFAAALVDDPVWTMNVVPIEAEINTLGAVYERGLIGTYQSWCEAMSTYPRTYDFIHADSIFS >EOX94280 pep chromosome:Theobroma_cacao_20110822:1:27347070:27349216:-1 gene:TCM_003859 transcript:EOX94280 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1 interacting protein 13, putative isoform 2 MIACRDFPHPRHDCAKFPFSSTSHEQHCELCHCFVCDMRAPCSYWYSGISKSDHCHATDKEEMWKTLRKNFRLGRNIPVPVSVSKAAVTSHPTSVPQLNQASHHDIIRLTSQSQVSRLTSTRAAGNCIPQNHVPRPSIIRSCSSSTRYGNPYNPSVGSRHALNKNTMQSRSVSQQLLGVHNTVIRRDRGIKLSNLGSQFVSSNTMSKRLDTGITSAMNRTAYVPSENITSAHGSHYQQNPASVTTSNDGNPIGWSNVCSGSNLGAYTHQSSSQPSMDSVFSNSAPSQSSLYSQFVPQSNEHQDANQLQNLNQPATNYGFSDFDFNWVNNISQSNQKSSVDHLQLQTTGPTNEEEIFKEVNERDKSYYELDSLFFDNQSIPEGSVTAELNAPSPEHISFDAGMLFFDIDTSWDQLTRA >EOX94279 pep chromosome:Theobroma_cacao_20110822:1:27347032:27357300:-1 gene:TCM_003859 transcript:EOX94279 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1 interacting protein 13, putative isoform 2 MESNPLIFDISSDDDEATSAWEDPKADDYDWLSEVLEAVDKGFDESDEVVVVGEVNPKKSKSCNSTVRKVVDDDDDDCVVLEGDPDKALSDINDPQEDSDELLIVGQKGQIACRDFPHPRHDCAKFPFSSTSHEQHCELCHCFVCDMRAPCSYWYSGISKSDHCHATDKEEMWKTLRKNFRLGRNIPVPVSVSKAAVTSHPTSVPQLNQASHHDIIRLTSQSQVSRLTSTRAAGNCIPQNHVPRPSIIRSCSSSTRYGNPYNPSVGSRHALNKNTMQSRSVSQQLLGVHNTVIRRDRGIKLSNLGSQFVSSNTMSKRLDTGITSAMNRTAYVPSENITSAHGSHYQQNPASVTTSNDGNPIGWSNVCSGSNLGAYTHQSSSQPSMDSVFSNSAPSQSSLYSQFVPQSNEHQDANQLQNLNQPATNYGFSDFDFNWVNNISQSNQKSSVDHLQLQTTGPTNEEEIFKEVNERDKSYYELDSLFFDNQSIPEGSVTAELNAPSPEHISFDAGMLFFDIDTSWDQLTRA >EOX93357 pep chromosome:Theobroma_cacao_20110822:1:12317358:12320561:1 gene:TCM_002199 transcript:EOX93357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myzus persicae-induced lipase 1 MEVANSLTCIILLIFFCGSAAGSRTKLFSFNAQGNGIGVAALAPSSDDGICKSMVETQGYACEEHTVNMPTFFSSSVQVTTQDGYILSMQRIPVGRSGGTPGNRPPVLLQHGLLMVAKSVMDGITWLLLPPEQSLAFVLADNGYDVWLANSRGTKYSKGHTSLSPADSAYWNWSWDELVAYDLPATFQYVNDRTGQKLHYVGHSQGTLIALAAFSKDQLLNMLRSAALLSPIAFVGQMTSPLARNAADNFIAETLYWLGLSEFDPRGEAVVKLLKDICRKPGVDCTNLLTAFTGQNCCLNSSIVDIFLDHEPQPSATKNMVHLAQMIRQGTITMYDYNDANENTKHYGQPTPPAYNMTSIPNDLPLFLSYGGEDALSDVNDVKLLLDSLKDHDGDKLVVQYRDDYAHADYVMAENAKQDVYDPLIAFFKLKRT >EOX92056 pep chromosome:Theobroma_cacao_20110822:1:5089702:5092206:-1 gene:TCM_001074 transcript:EOX92056 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box with wd-40 2 isoform 1 MEEDGEIRHWDELIPDALGLIFKNLSLQEILTVIPRVCKSWRRAVTGPYCWQDIDIEQWSQHCLPETLDRMLQMLINRSSGSLRKLCVTGLPNDRSFSFIADNAKSLQTLRLPRSEISDAVVEQVAGKLSSVTFLDVSYCRNIGAPALEAIGKHCKSLMGLRRTMHPLEVIDKQSQDDEALAIATTMPKLKQLEMAYLLISTEGVLKILENCPKLELLDVRGCWNVKLDDNFVKKFSRLKVVGPLVVDYFGMKGWDDCSNYSGSSGYLAWDFIAGDVGVDYDEISDGDWEDDQSMEDVEMRFYDGFDLDNAAFDWPLSP >EOX92058 pep chromosome:Theobroma_cacao_20110822:1:5089534:5092215:-1 gene:TCM_001074 transcript:EOX92058 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box with wd-40 2 isoform 1 MEEDGEIRHWDELIPDALGLIFKNLSLQEILTVIPRVCKSWRRAVTGPYCWQDIDIEQWSQHCLPETLDRMLQMLINRSSGSLRKLCVTGLPNDRSFSFIADNAKSLQTLRLPRSEISDAVVEQVAGKLSSVTFLDVSYCRNIGAPALEAIGKHCKSLMGLRRTMHPLEVIDKQSQDDEALAIATTMPKLKQLEMAYLLISTEGVLKILENCPKLELLDVRGCWNVKLDDNFVKKFSRLKVVGPLVVDYFGMKGWDDCSNYSGSSGYLAWDFIAGDVGVDYDEISDGDWEDDQSMEDVEMRFYDGFDLDNAAFDWPLSP >EOX92057 pep chromosome:Theobroma_cacao_20110822:1:5089534:5092226:-1 gene:TCM_001074 transcript:EOX92057 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box with wd-40 2 isoform 1 MEEDGEIRHWDELIPDALGLIFKNLSLQEILTVIPRVCKSWRRAVTGPYCWQDIDIEQWSQHCLPETLDRMLQMLINRSSGSLRKLCVTGLPNDRSFSFIADNAKSLQTLRLPRSEISDAVVEQVAGKLSSVTFLDVSYCRNIGAPALEAIGKHCKSLMGLRRTMHPLEVIDKQSQDDEALAIATTMPKLKQLEMAYLLISTEGVLKILENCPKLELLDVRGCWNVKLDDNFVKKFSRLKVVGPLVVDYFGMKGWDDCSNYSGSSGYLAWDFIAGDVGVDYDEISDGDWEDDQSMEDVEMRFYDGFDLDNAAFDWPLSP >EOX93831 pep chromosome:Theobroma_cacao_20110822:1:16267490:16273121:-1 gene:TCM_002776 transcript:EOX93831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLTIGLKVLYLEILNPILEKKVKSIVKQLHSKVEKSITYDVFMKNYSNNPLEASLISTYESDDPFIEEPPTLEFKPLPTYVRYAFLGNSSTLSILVSSPFTNVQEDKLLHVLRKFKKAIGWTIADIKGISPSICIHKILLKDNHKATIKHQCKLNPIMRDVVKKEIIKWLDAALEDQEKTTFTCPYGTFAFRRMLFGFCNALTTFQRCKMAIFSNMVEKYLSKACHLLIELEHKAYWAIKD >EOX94799 pep chromosome:Theobroma_cacao_20110822:1:31463132:31463816:1 gene:TCM_004410 transcript:EOX94799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase-related family protein MGFLPKLAAAVIGLLLLCSNVRSVPNTSVTTVLCNSGVYSKGDPFATSLAFVLEELETVTPTRKGYDYFNISPYPNAFAYGHAACNQNLTSSDCATCLSAAKTAMFGTCQSRIGARSVLHDCTLSTKCLQQ >EOX91444 pep chromosome:Theobroma_cacao_20110822:1:2758201:2761855:1 gene:TCM_000642 transcript:EOX91444 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein isoform 2 MERLNLSTSLSLFLLQLLLITVYLFSMSSSLKIGETCSSSSSSNSACDSGLSCQTCSVNGNTRPRCTRIQPLSPTSKVKGLPFNKYSWLTTHNSFALKGAIPAIGPAIIAPANQEDSITNQLKNGVRGLMLDMYDFNGDIWLCHSFGGQCYNVTAFQPAINVLKEIQAFLEANPSEIITIFIEDYVASPQGLTKVFNAAGLKKYWFPVAKMPKNGEDWPTVDDMVKQNERLVVFTSKSAKEASEGIAYEWRYVVENEYGNDGMKAGSCPNRAESSPMNTKTRSLVLENYFPTNPNQTTACSENSAPLVSMLNTCHKAAGNRWPNFIAVDFYQRSDGGGAPEAVDIANGHLTCGCDNLAYCKVNATFGSCDVPKLSPPPPAAATQTTTENPSLSNSSFANMDVRPVQLQWMLATVLTISLLFRL >EOX91446 pep chromosome:Theobroma_cacao_20110822:1:2758201:2761855:1 gene:TCM_000642 transcript:EOX91446 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein isoform 2 MERLNLSTSLSLFLLQLLLITVYLFSMSSSLKIGETCSSSSSSNSACDSGLSCQTCSVNGNTRPRCTRIQPLSPTSKVKGLPFNKYSWLTTHNSFALKGAIPAIGPAIIAPANQEDSITNQLKQPAINVLKEIQAFLEANPSEIITIFIEDYVASPQGLTKVFNAAGLKKYWFPVAKMPKNGEDWPTVDDMVKQNERLVVFTSKSAKEASEGIAYEWRYVVENEYGNDGMKAGSCPNRAESSPMNTKTRSLVLENYFPTNPNQTTACSENSAPLVSMLNTCHKAAGNRWPNFIAVDFYQRSDGGGAPEAVDIANGHLTCGCDNLAYCKVNATFGSCDVPKLSPPPPAAATQTTTENPSLSNSSFANMDVRPVQLQWMLATVLTISLLFRL >EOX91445 pep chromosome:Theobroma_cacao_20110822:1:2758100:2761904:1 gene:TCM_000642 transcript:EOX91445 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein isoform 2 MERLNLSTSLSLFLLQLLLITVYLFSMSSSLKIGETCSSSSSSNSACDSGLSCQTCSVNGNTRPRCTRIQPLSPTSKVKGLPFNKYSWLTTHNSFALKGAIPAIGPAIIAPANQEDSITNQLKNGVRGLMLDMYDFNGDIWLCHSFGGQCYNVTAFQPAINVLKEIQAFLEANPSEIITIFIEDYVASPQGLTKVFNAAGLKKYWFPVAKMPKNGEDWPTVDDMVKQNERLVVFTSKSAKEASEGIAYEWRYVVENEYGNDGMKAGSCPNRAESSPMNTKTRSLVLENYFPTNPNQTTACSENSAPLVSMLNTCHKAAGNRWPNFIAVDFYQRSDGGGAPEAISGVTWGLF >EOX92957 pep chromosome:Theobroma_cacao_20110822:1:9583586:9590317:-1 gene:TCM_001817 transcript:EOX92957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coenzyme Q 3 isoform 2 MASSKLLSQPRWFRFLPRNLSNVRHFSDLPSQLPVSEPQNNIESKSNNRFSKPSSTPSSLKEPELAKFSAIADTWWDSEGPFKPLHIMNPTRLAFIRSTLCRHFGKDPLCARPFEGLRIIDVGCGGGILSEPLARMGATVTGIDAVEQNIKIARLHANLDPTTKTIEYCCTTAEKLVDEQRKFDAVVALEVIEHVADPAAFCKSLAALTVHEGATVVSTINRSMRSYATAIVAAEYLLQWNLYGYCHWNKHDLAVTSKLPKGTHEWSSFLTPEELTMILKRASIDVKEMAGFVYNPLTRRWSLSDDISVNFIAFGTKEN >EOX92958 pep chromosome:Theobroma_cacao_20110822:1:9583510:9590317:-1 gene:TCM_001817 transcript:EOX92958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coenzyme Q 3 isoform 2 MASSKLLSQPRWFRFLPRNLSNVRHFSDLPSQLPVSEPQNNIESKSNNRFSKPSSTPSSLKEPELAKFSAIADTWWDSEGPFKPLHIMNPTRLAFIRSTLCRHFGPFEGLRIIDVGCGGGILSEPLARMGATVTGIDAVEQNIKIARLHANLDPTTKTIEYCCTTAEKLVDEQRKFDAVVALEVIEHVADPAAFCKSLAALTVHEGATVVSTINRSMRSYATAIVAAEYLLQWLPKGTHEWSSFLTPEELTMILKRASIDVKEMAGFVYNPLTRRWSLSDDISVNFIAFGTKEN >EOX91157 pep chromosome:Theobroma_cacao_20110822:1:1788213:1792785:-1 gene:TCM_000436 transcript:EOX91157 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-box binding factor 1 isoform 1 MGTEEESTPAKPSKPTASTQEIPTTPSYPDWSSSIQAYYGAGATPPPFFASTVASPTPHPYIWGGQHPLMPPYGTPVPYPAIYPPGGVYAHPNMATTPTSAQNNVDHEVKGTDGKDRGATKKSKGTSGSKVGESGKVASGSGNDGGSQSGESGSEGTSDGSDENNQQELAAGKKGSFDQMLADASAQSNTAGASQALVPGKPIVSMPATTLNIGMDLWSGSAAAAGAAKMRPNSSGAVATVAPGGVMPDQWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQARVESLANENCNLRDELKKLSDECEKLTSENNSIKDELTRMCGPDALTDVEQDNPSSVLQSRGGEGNS >EOX91158 pep chromosome:Theobroma_cacao_20110822:1:1788518:1792471:-1 gene:TCM_000436 transcript:EOX91158 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-box binding factor 1 isoform 1 MGTEEESTPAKPSKPTASTQEIPTTPSYPDWSSSIQAYYGAGATPPPFFASTVASPTPHPYIWGGQHPLMPPYGTPVPYPAIYPPGGVYAHPNMATTPTSAQNNVDHEVKGTDGKDRGATKKSKGTSGSKVGESGKVASGSGNDGGSQSGESGSEGTSDGSDENNQQELAAGKKGSFDQMLADASAQSNTAGASQALVPGKPIVSMPATTLNIGMDLWSGSAAAAGAAKMRPNSSGAVATVAPGGVMPDQWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQARVESLANENCNLRDELKKLSDECEKLTSENNSIKV >EOX96035 pep chromosome:Theobroma_cacao_20110822:1:36398695:36400939:-1 gene:TCM_005385 transcript:EOX96035 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MAEVTKRYAVVTGANKGIGLEICKQLASKGITVLLTARDEKRGLEAVEKLKQYGLSDTVVFHQLDVADPASIASLVDFIKKQFGKLDILVNNAGINGVEADADALRAAGFAQEGSSTIWSKVSQTYEAAEECLKINYYGAKNTAEALAPLLQLSDLPRIVNVSSSVVMVKRMTGERLKGILTGFTTEEKLDDLVAEYLKDFKEGSLDSKGWPTALSAYTVSKVAMNAHTRILAKKYPNFCINCVCPGHVKTDINFNTGKLTVEEGAASPVMLALLPSGGPSGLFFNRSEPASFDSEN >EOX94946 pep chromosome:Theobroma_cacao_20110822:1:32146346:32147391:-1 gene:TCM_004539 transcript:EOX94946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGPGGPGGGPGGPGGPGGPGGWGPGPGGPGGWGPGPGGPGGFGPGPGGPWGPGFGGPGFWPGGFFGGFADGLCNMISSCFYCLCCCWLLQDCFGGPRGYGPPGPPF >EOX92675 pep chromosome:Theobroma_cacao_20110822:1:8029371:8039778:-1 gene:TCM_001587 transcript:EOX92675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCLNRLIDCWSNKNLSMAGRVSLVNSVTNIMSNYLMQTILLLDNVLKEIDKLNRDFIWGHFGALERFTDLVGLNFVKGKKMGDCISKSRKKFNLALLAKLCWKLWRDTDSLWVDVLKKKYLKHQTFMAATIRPTDCQVWRKSWLPCGPLLDHVGADLSGAEAELPVASFCDEYGRWNLESIKQLLPQNLILMISVVMIDPSGEEMDDSYWLHSSTSMFTVKSAYEMQISDPIHQTICWKKVWALNSSNKIILFSSLTMDVRCITGFIPFHPRSRKRRLLKWLARRSRKRRLLNLLASRVLEFCIEPITRSCSYVFSYKGYVKRLNYEISRLCEIRAMLRQEVDRAKSEKKSISPEVESWLAEVDAFVEPISRFINDVESRKHLYDPMARYSLSHKSVEMIRQLVERHEEGMMFHNVTDPAPPPRIGSTFVRVLNPEFSTPN >EOX92267 pep chromosome:Theobroma_cacao_20110822:1:6007612:6014846:1 gene:TCM_001239 transcript:EOX92267 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase, chloroplast, putative isoform 2 MAILSARSLLSSSPFSPKSHHSSSPLSPLTYTLFFKPKTVRPQSLNHSFRNDAVSSSFTGIEVGEDLPLDYGDWLPKPDPNQRRRAGILLHPTSFRGPYGIGDLGEEAFRFIDWLRLAGCSVWQVLPLVPPDEGGSPYAGQDANCGNTLLISLEELVKDGLLTKEELPQPIDSDRVNYPIIANLKNSLISKAAERLLLSDGEIKTQLEAFRRDPIISSWLEDSAYFAAIDDSLKAFSWYDWPEPLKNRHLSALENIYQEKKDFIDLFIAKQFLFQRQWQKVRNYAQMKGISIMGDMPIYVGYHSADVWANKKHFLLNRHGFPRLLSGVPPDAFSDTGQLWGSPLYDWKAMEKDGFSWWIRRIRRAQNLYDEFRIDHFRGFAGFWAVPSEAKVAMVGRWKVGPQKSLFDAISRTVGKIDIIAEDLGVITEDVTELRKSIGAPGMAVLQFGFGSDSRNPHLPHNHEHNQVIYTGTHDNDTIQGWWNNIMEEEKSNVLKYLHITEEDEISWALIQAALSSVAQTAVITMQDVLGLGSSARMNIPATEIGNWSWRIPNSMSFDSLQTEALKLRDMLSMYGRM >EOX92268 pep chromosome:Theobroma_cacao_20110822:1:6008585:6014497:1 gene:TCM_001239 transcript:EOX92268 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase, chloroplast, putative isoform 2 MAILSARSLLSSSPFSPKSHHSSSPLSPLTYTLFFKPKTVRPQSLNHSFRNDAVSSSFTGIEVGEDLPLDYGDWLPKPDPNQRRRAGILLHPTSFRGPYGIGDLGEEAFRFIDWLRLAGCSVWQVLPLVPPDEGGSPYAGQDANCGNTLLISLEELVKDGLLTKEELPQPIDSDRVNYPIIANLKNSLISKAAERLLLSDGEIKTQLEAFRRDPIISSWLEDSAYFAAIDDSLKAFSWYDWPEPLKNRHLSALENIYQEKKDFIDLFIAKQFLFQRQWQKVRNYAQMKGISIMGDMPIYVGYHSADVWANKKHFLLNRHGFPRLLSGVPPDAFSDTGQLWGSPLYDWKAMEKDGFSWWIRRIRRAQNLYDEFRIDHFRGFAGFWAVPSEAKVAMVGRWK >EOX92269 pep chromosome:Theobroma_cacao_20110822:1:6008585:6014497:1 gene:TCM_001239 transcript:EOX92269 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase, chloroplast, putative isoform 2 MAILSARSLLSSSPFSPKSHHSSSPLSPLTYTLFFKPKTVRPQSLNHSFRNDAVSSSFTGIEVGEDLPLDYGDWLPKPDPNQRRRAGILLHPTSFRGPYGIGDLGEEAFRFIDWLRLAGCSVWQVLPLVPPDEGGSPYAGQDANCGNTLLISLEELVKDGLLTKEELPQPIDSDRVNYPIIANLKNSLISKAAERLLLSDGEIKTQLEAFRRDPIISSWLEDSAYFAAIDDSLKAFSWYDWPEPLKNRHLSALENIYQEKKDFIDLFIAKQFLFQRQWQKVRNYAQMKGISIMGDMPIYVGYHSADVWANKKHFLLNRHGFPRLLSGVPPDAFSDTGQLWGSPLYDWKAMEKDGFSWWIRRIRRAQNLYDEFRIDHFRGFAGFWAVPSEAKVAMVGRWK >EOX92270 pep chromosome:Theobroma_cacao_20110822:1:6008541:6016294:1 gene:TCM_001239 transcript:EOX92270 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase, chloroplast, putative isoform 2 MAILSARSLLSSSPFSPKSHHSSSPLSPLTYTLFFKPKTVRPQSLNHSFRNDAVSSSFTGIEVGEDLPLDYGDWLPKPDPNQRRRAGILLHPTSFRGPYGIGDLGEEAFRFIDWLRLAGCSVWQVLPLVPPDEGGSPYAGQDANCGNTLLISLEELVKDGLLTKEELPQPIDSDRVNYPIIANLKNSLISKAAERLLLSDGEIKTQLEAFRRDPIISSWLEDSAYFAAIDDSLKAFSWYDWPEPLKNRHLSALENIYQEKKDFIDLFIAKQFLFQRQWQKVRNYAQMKGISIMGDMPIYVGYHSADVWANKKHFLLNRHGFPRLLSGVPPDAFSDTGQLWGSPLYDWKAMEKDGFSWWIRRIRRAQNLYDEFRIDHFRGFAGFWAVPSEAKVAMVGRWKVGPQKSLFDAISRTVGKIDIIAEDLGVITEDVTELRKSIGAPGMAVLQFETEALKLRDMLSMYGRM >EOX91035 pep chromosome:Theobroma_cacao_20110822:1:1413590:1416475:1 gene:TCM_000344 transcript:EOX91035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroflavonol-4-reductase, putative MGNSYSLWRRGPKRSFYQESMGSTEKRVYICLFFYVAMSITNAFTRVHHHNGITTYAPLHRTSAQNHLLIESQDPEVVHKQLNFVAPTSSLMLSSVLFFGQNEVIKPTVDGALSILKSCANAKTVERLVFVSSGGTVAMQERKLVQYDETSWSDVDFIRAKKMTGWMYFASKTLAEKAAWGAAQENDIDFISVIPTLVVGPFIIPSMPPSLITALSLITRNEGHYSIIKQCQYVHLDDLCNALVFLYENPEAHGRYICSSHDATIFDLAEMLRQKYPGYDIPIQYTINFVFNL >EOX95702 pep chromosome:Theobroma_cacao_20110822:1:35281853:35285771:-1 gene:TCM_005145 transcript:EOX95702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MGSSCSKDSGAVNDPTPENFDNLASETPRRTATCIIHKQPRLKPRTQCSVSGYLPPKVLLSPVTYLQMFDVFDDNRNGQVDFGEFVCSLGIFHPKTPQETKTGYAFKLYDLRHTSCIEHEETFTEADAKGDGWIDEEEWKGYVTKSPLLLKNMTCPYLISDVYSPPRVIAQAFPSFVLNGEAEHSKQHT >EOX96301 pep chromosome:Theobroma_cacao_20110822:1:37262443:37265054:-1 gene:TCM_005578 transcript:EOX96301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQGMSSLAQSPSTMSITRIPQAHSKSMQLLKASKVTHVRTVRHIHIRQSPVCCTKLSKWEPSPVTYAPTEKAGDNFLEKSSEIFESLRSDNRGESSAAKAEDLTDATNQPVVQLQFLKWPLWLLGPSLLLSTGMVPTLWLPISTIFLGPNVVSLLSLIGLDCIFNLGATLFLLMADYCARSKNLGQASKSKPPFSYQFWNIVATLTGLVIPLMILFGSQKGYLQPQLPFIPYAVLLGPYILLLSVQMLTEMLTWHWQSPVWLVTPVVYEAYRVLQLMRGLKLGAELSAPAWMMHTIRGLVCWWVLILGVQLMRVAWFAGFTARARQQQSSASADA >EOX92915 pep chromosome:Theobroma_cacao_20110822:1:9404483:9406457:-1 gene:TCM_001777 transcript:EOX92915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFTLEMPSHTMLYQKISQERTPIIALRIHMFLLQRSESKKIKFASTGCQATVPEAINADICIHGIVGMDSRCWQSWRATRRLFVECPSSRV >EOX92869 pep chromosome:Theobroma_cacao_20110822:1:9102976:9104904:-1 gene:TCM_001731 transcript:EOX92869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MATTAQEPSWEELIGSNDWESLLDPLNLSLRKLLLRCGDFCQATYDSFVNDQNSKYCGTSRYGKSTLFEKVMLDSASDYVITNFLYATARVSVPESLLLHSHSRESWDRESNWIGYIAVTSDERSKALGRREIYVVWRGTTRDYEWVNVFKGKPESAECLLNDKFGDSEQNKQGKSSNDESQQTPNVMFGWLTLYTSDDPKSPFTKLSARKQLLGKIMKLREQYKDENPTIVFTGHSLGASLAVLSAFDTVENCIHDIPVTAFVFGCPQVGDKAFNERLKRHLNLKILHTKNTIDVIPRYPGELLGYVNTGTELIIDTRKSPSLKDSKNNGDWHNLQAMLHIVAGWNGKDGEFELKVKRSLALVNKSCAFLKDELLVPGSWWVEKNKGLIKTEDGEWIMAPSDEDLLVPEI >EOX94100 pep chromosome:Theobroma_cacao_20110822:1:21196478:21208745:1 gene:TCM_003259 transcript:EOX94100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MKFGSKQNDLASSPGKSLNGSFRKFTSGFLRNDLDSSPGQSLDGSFRKSNSVISTHSVSGISSSSKFFPTSRRVYKALKDCGRKLVDQELFKQNLEDWVLENSCVEHVTGEQSFFRSPFLIDELRKLDLALEGVLFQQLYRMPCSLYASKALKEDEYLALEDFLHTIVNGLWRTFWRKSGPLPFFLSCSHHPKSKFYAVEKAISRGRLEELRGLALISKIGSDLKVHWDQVVQFALFRQDILSGNELRLSTSSICEALFYGVHILISRSLSKSRTIESDSVFLMVFDSKFGAVVKLGGDLGKLELNTADPYQSVVQWIKCHAEVFVSSVDRIWNKLGNANWRDLGTLQVLLATFYSIIQWNGPPRKSIASLASNHSLRLQKRRIECRLAENENALVPYHQAGFQHGEIVELDHSDNHPVKNSSRLKLKQGEILLLEDQQQGQKSFQIQESFIGGNSFLYGAISLDYPTQLLTLYAGAHPSRLEPSWEDMSLWYQVQRQTKVLNILKQQGISSKYLPEIIASGRLLHSGPCKKQSPSGRCDHPWCGTPVLVTYPVGEPLSYVVAKDGPFSSDDALRCCRDCLAGLRSAAAANVQHGDISPENIIRVLDTQGMRNKVLYIPISWGRAVLEDKDSPAINLQFSSSHALQHGKLCPASDAESLVYLLFFVCGGTMQQQDSIESALQWREKSWATRSIQQQLGELSPLLKAFADYVDSLCGTPYPVDYDIWLKRLNKAVDGAVSADRGKMIEEVLP >EOX94101 pep chromosome:Theobroma_cacao_20110822:1:21196821:21206120:1 gene:TCM_003259 transcript:EOX94101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MKFGFLRNDLDSSPGQSLDGSFRKSNSVISTHSVSGISSSSKFFPTSRRVYKALKDCGRKLVDQELFKQNLEDWVLENSCVEHVTGEQSFFRSPFLIDELRKLDLALEGVLFQQLYRMPCSLYASKALKEDEYLALEDFLHTIVNGLWRTFWRKSGPLPFFLSCSHHPKSKFYAVEKAISRGRLEELRGLALISKIGSDLKVHWDQVVQFALFRQDILSGNELRLSTSSICEALFYGVHILISRSLSKSRTIESDSVFLMVFDSKFGAVVKLGGDLGKLELNTADPYQSVVQWIKCHAEVFVSSVDRIWNKLGNANWRDLGTLQVLLATFYSIIQWNGPPRKSIASLASNHSLRLQKRRIECRLAENENALVPYHQAGFQHGEIVELDHSDNHPVKNSSRLKLKQGEILLLEDQQQGQKSFQIQESFIGGNSFLYGAISLDYPTQLLTLYAGAHPSRLEPSWEDMSLWYQVQRQTKVLNILKQQGISSKYLPEIIASGRLLHSGPCKKQSPSGRCDHPWCGTPVLVTYPVGEPLSYVVAKDGPFSSDDALRCCRDCLAGLRSAAAANVQHGDISPENIIRVLDTQGMRNKVLYIPISWGRAVLEDKDSPAINLQFSSSHALQHGKLCPASDAESLVYLLFFVCGGTMQQQDSIESALQWREKSWATRSIQQQLGELSPLLKAFADYVDSLCGTPYPVDYDIWLKRLNKAVDGAVSADRGKMIEEVLP >EOX94441 pep chromosome:Theobroma_cacao_20110822:1:28687667:28691527:-1 gene:TCM_004024 transcript:EOX94441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKFYMEICHAVSSFLTLLSAALTLCTYTSKVRSYALDFVANREYVRRLVYDNDISCISQIMMNRAAFFKLCEMLKSIGGLKSTKNMLVDEHVVIFLHIIAHHVKNRVISLNFKRSGETISRHFHNVLNAVLKLQKHLFKKPESIPTNSTDNRWKWFKNCLGTLDGTNIRVKVPRADKPRYRTRKRDIATNMLGVCTLDILFYIGCYYLVDAGYINCEGFLAHFRGQRYHLHEWHQGHLPHSPEKFFNMKHATARNVIESCFRLLKMRWGILRSPSFYPIRIHNQIIIVCCLLHNFIRREMSFDPIEMDLGEYFETNTAVDEDFISIIDPTDNWGNLRMELANQMFNEWQTSRQNDD >EOX95819 pep chromosome:Theobroma_cacao_20110822:1:35665571:35669181:-1 gene:TCM_005224 transcript:EOX95819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMPVYRYMDSNPHRRDQVPFPQHFFPGFEAVPPHLKVDPSNSPMMFESWPCSSNYGYSVPSYSCYNHGNFPACYSFRPPCPHFAPPPAFHHYPNYPTFPVAYPVYYFPPPHHSNEQPRYEYDKDAHAKHHCCGCPNHPCNQKNERSLKIEEQEPDAEKKEGDSVVSIQPRSYPYPVVWIPPEYVKNKEYGKRIDQPEVSDWDKAPQFTKSFKSLKPTEQEPRVWNGWFPLDMNGLKSLMQGEGERKTQNQQNEDKMRQFLFPIFGVPSDTKQEEDENQDKMKWKTASDHSKQAPNSFEFVPVESSGNDGRTDKPQVNEEFSHNKSASEIVGKADKKCASVKQMEVHREDKSEGTEKRGRDASVKRIEDTAKNELGGTTAKGKSPSPQKTSKLPPVCLRVDPLPKKRNGNGSSRSPSPPKGQAQGTSTKACTALGLQEEFAVCPQNLNGSLGKVEPGKKERKNIQVIEKTCKENKAGECTSASQAQVLGNLSFDSQGVSRTPISERTESYSHKNKLGEEKSASSEEVVGAEKAAETIKATNLDKSAPGQCKAETKRMSDAEAAKLIQSAYRGFEVRKWDPLKKLKQIAKAREQVDEIRNRIQALESSSDPNKDDRQRLLIGEMIMSLLLKLDSIQGLHSCVRDARKSLARELVTAQEKLDSLSSKFAEEKVKELATAASTDYPRVDACRNASIEKENKKTSGGCISSFEDTNENGNNVKEPEQENLSDKEDKKPDAKDEETTEPPIADQELDGKIENEPTEVSNDIERHTAQSTPIMELENEDMSRIQDGDLSPNLECITHLPSAPEQKSNADEFSEMKDLTKEGKSEVVEVNDLILVSNNSEEDKLRSLPKEMIDCMHTVCEPEKKIGNSNGEKESDLPINQAFPAEVENLRCTKKDQEIDLLEELPLGIIDEEPAISKFEKCELHETGENNILSSTEGHLAGCQADEQLPEAASDNCVKGQNENDFTKSPALIEVEQTQEKEVNNVNKLEISLVPGLVFDSPALFLPSLQFLPADPLVLSSPQTTLLFPELQQFQLLILKRPYDQLVSFHSHPLLDALCHCFLHLLLKNRILLASNLALTRISMKSNSAESKMKGR >EOX95818 pep chromosome:Theobroma_cacao_20110822:1:35664176:35669783:-1 gene:TCM_005224 transcript:EOX95818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMPVYRYMDSNPHRRDQVPFPQHFFPGFEAVPPHLKVDPSNSPMMFESWPCSSNYGYSVPSYSCYNHGNFPACYSFRPPCPHFAPPPAFHHYPNYPTFPVAYPVYYFPPPHHSNEQPRYEYDKDAHAKHHCCGCPNHPCNQKNERSLKIEEQEPDAEKKEGDSVVSIQPRSYPYPVVWIPPEYVKNKEYGKRIDQPEGEGERKTQNQQNEDKMRQFLFPIFGVPSDTKQEEDENQDKMKWKTASDHSKQAPNSFEFVPVESSGNDGRTDKPQVNEEFSHNKSASEIVGKADKKCASVKQMEVHREDKSEGTEKRGRDASVKRIEDTAKNELGGTTAKGKSPSPQKTSKLPPVCLRVDPLPKKRNGNGSSRSPSPPKGQAQGTSTKACTALGLQEEFAVCPQNLNGSLGKVEPGKKERKNIQVIEKTCKENKAGECTSASQAQVLGNLSFDSQGVSRTPISERTESYSHKNKLGEEKSASSEEVVGAEKAAETIKATNLDKSAPGQCKAETKRMSDAEAAKLIQSAYRGFEVRKWDPLKKLKQIAKAREQVDEIRNRIQALESSSDPNKDDRQRLLIGEMIMSLLLKLDSIQGLHSCVRDARKSLARELVTAQEKLDSLSSKFAEEKVKELATAASTDYPRVDACRNASIEKENKKTSGGCISSFEDTNENGNNVKEPEQENLSDKEDKKPDAKDEETTEPPIADQELDGKIENEPTEVSNDIERHTAQSTPIMELENEDMSRIQDGDLSPNLECITHLPSAPEQKSNADEFSEMKDLTKEGKSEVVEVNDLILVSNNSEEDKLRSLPKEMIDCMHTVCEPEKKIGNSNGEKESDLPINQAFPAEVENLRCTKKDQEIDLLEELPLGIIDEEPAISKFEKCELHETGENNILSSTEGHLAGCQADEQLPEAASDNCVKGQNENDFTKSPALIEVEQTQEKEVNNVNKLEISLVPGLVFDSPALFLPSLQFLPADPLVLSSPQTTLLFPELQQFQLLILKRPYDQLVSFHSHPLLDALCHCFLHLLLKNRILLASNLALTRISMKSNSAESKMKGR >EOX93824 pep chromosome:Theobroma_cacao_20110822:1:16188746:16190174:-1 gene:TCM_002768 transcript:EOX93824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTIDSIATTLSEGQLIVRPHLFNGENYSYWKNRMELFVQDNDNHDWKIIANGLLKPTKIIDGKEVIKEEKKENFDVKKKFEDLKNEKHKVFMDSSFSRMIKCNHCTFHDHSSFACPIRKHLFYRIRQMWVPKETLCYETNFQGSKEFGI >EOX94460 pep chromosome:Theobroma_cacao_20110822:1:28774892:28776522:1 gene:TCM_004039 transcript:EOX94460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFASPPSPVNSFQAWPPYGFLIIPACNSHLIPLGAAGFGGERSPPAATPKSPFARSLGSLRVCFWALRGRGVGEFSKKATGSQPIDVRRKRKTGLRV >EOX93388 pep chromosome:Theobroma_cacao_20110822:1:12581333:12588523:1 gene:TCM_002243 transcript:EOX93388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fimbrin-like protein 2 MSSYQGVIVSDPWLQSQFTQVELRTLKSKFLSVRTQNGRVTGGDLPPVFAKLKAFSEMFNEDEIKTILGESNNDMGEEIDFEAFLRAFLDLQGRATAKSGGSKSSFLKATTTTVHHAINESEKASYVAHINSYLAEDKFLKNFLPIDPATNALFDLAKNGVLLCKLINVAVPGTIDERAINTKKVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLVLGLISQIIKIQLLADLNLKKTPQLVELVDDSNDVEELLGLPPEKVLLKWMNFHLKKVGYEKQVTNFSSDLKDGEAYAYLLNALAPEHSTPSTLDTKDPTERANMVLQQAEKLDCKRYLTPKDIVEGSPNLNLAFVAQIFQHRNGLTTDSKKMSFAEMMTDDAQTSREERCFRLWINSLGVAMYVNNLFEDVRNGWVLLEVLDKISPGSVNWKHANKPPIKMPFKKVENCNQVIKIGKELNFSLVNVAGNDVVQGNKKLILAFLWQLMRFSMLQLLKNLRSHSQGKEITDADILNWANNKVKKAGRTSQMDSFKDKNLSNGIFFLELLSAVEPRVVNWSLVTKGETDEDKKLNATYIISVARKLGCSIFLLPEDVIEINMIFFTRSYGNKQAIVNTAENGVILTLVNQKMMLTLTASIMYWSLQQQVAESEDGEVPDESCVSPKEGENETALAGEVSSLAIDDSVSDTALSPHVKNEEIPKDNGSEEQSPKIEEKDGNE >EOX93658 pep chromosome:Theobroma_cacao_20110822:1:14599250:14600944:1 gene:TCM_002545 transcript:EOX93658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEEVYELEGNGLKKEGKINVFDRPIERKLSEAYEVITCEDGKVKGYGEKKNEKNLEEVIERLVELEAVESKDNVGN >EOX92463 pep chromosome:Theobroma_cacao_20110822:1:6987476:6990873:1 gene:TCM_001411 transcript:EOX92463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 1 MRPTEATPGAPKRSTSNVDENEDLKDAYIRYLPLYKAVDSGDLEATKKFLDQHPSALSSSLSADGDTALHIAVLAGHVEIVEELVGHMSAQEIAVKQKFGSTALNFAAVGGVTEIAELLLKKNRELLTITNEYDQIPLVVAALYGHRNLVQYLYEETPKEELDPTNKNHGALLLTACIIDEFYDIALDLVQGYPQLAIAEDTDGDTALRILAQKPSAFPSGTQLKTWQWWIYKCIQLHPHNASLNNSGDIEKPPEGPTHPKNLTKRGFVYAALHLLSQIFWKCLKLTVPGVRSIYELKLTHVQAKELLTCVCREASTIEDENRLVSLVKKALFEAVKQGLVEFVTEIMKHYPEVIWFHDDKDRNIFFVATAERQEKIFSLIYKMGAKKNYVATHWDKDFNNMLHQAAFLAPSSQLDRVSGAALQMQRELQWFKEVESAVQPKYKEMINNHFKTPRALFTDHHRKLVEQGEQWTKKTAESCTVVTALIVTIMFSVVFTVPGGYDEAGVPLYLHRSSFLILMISDALSLFTSTTSLLMFLGILTSRYGEEDFLQSVPTKLMIGLSMLFFSIATMMIAFGVALFIVLHERIAWVSFPIILLASLPVTLFALLQFPLLVEIFFATYGPGIFDKPKKPCFRSGSTRSSTRCVR >EOX92465 pep chromosome:Theobroma_cacao_20110822:1:6987121:7004392:1 gene:TCM_001411 transcript:EOX92465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 1 MRPTEATPGAPKRSTSNVDENEDLKDAYIRYLPLYKAVDSGDLEATKKFLDQHPSALSSSLSADGDTALHIAVLAGHVEIVEELVGHMSAQEIAVKQKFGSTALNFAAVGGVTEIAELLLKKNRELLTITNEYDQIPLVVAALYGHRNLVQYLYEETPKEELDPTNKNHGALLLTACIIDEFYDIALDLVQGYPQLAIAEDTDGDTALRILAQKPSAFPSGTQLKTWQWWIYKCIQLHPHNASLNNSGDIEKPPEGPTHPKNLTKRALHLLSQIFWKCLKLTVPGVRSIYELKLTHVQAKELLTCVCREASTIEDENRLVSLVKKALFEAVKQGLVEFVTEIMKHYPEVIWFHDDKDRNIFFVATAERQEKIFSLIYKMGAKKNYVATHWDKDFNNMLHQAAFLAPSSQLDRVSGAALQMQRELQWFKEVESAVQPKYKEMINNHFKTPRALFTDHHRKLVEQGEQWTKKTAESCTVVTALIVTIMFSVVFTVPGGYDEAGVPLYLHRSSFLILMISDALSLFTSTTSLLMFLGILTSRYGEEDFLQSVPTKLMIGLSMLFFSIATMMIAFGVALFIVLHERIAWVSFPIILLASLPVTLFALLQFPLLVEIFFATYGPGIFDKPKKPCFRSGSTRSSTRCVR >EOX92464 pep chromosome:Theobroma_cacao_20110822:1:6987018:7004392:1 gene:TCM_001411 transcript:EOX92464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 1 MRPTEATPGAPKRSTSNVDENEDLKDAYIRYLPLYKAVDSGDLEATKKFLDQHPSALSSSLSADGDTALHIAVLAGHVEIVEELVGHMSAQEIAVKQKFGSTALNFAAVGGVTEIAELLLKKNRELLTITNEYDQIPLVVAALYGHRNLVQYLYEETPKEELDPTNKNHGALLLTACIIDEFYDIALDLVQGYPQLAIAEDTDGDTALRILAQKPSAFPSGTQLKTWQWWIYKCIQLHPHNASLNNSGDIEKPPEGPTHPKNLTKRGFVYAALHLLSQIFWKCLKLTVPGVRSIYELKLTHVQAKELLTCVCREASTIEDENRLVSLVKKALFEAVKQGLVEFVTEIMKHYPEVIWFHDDKDRNIFFVATAERQEKIFSLIYKMGAKKNYVATHWDKDFNNMLHQAAFLAPSSQLDRVSGAALQMQRELQWFKEVESAVQPKYKEMINNHFKTPRALFTDHHRKLVEQGEQWTKKTAESCTVVTALIVTIMFSVVFTVPGGYDEAGVPLYLHRSSFLILMISDALSLFTSTTSLLMFLGILTSRYGEEDFLQSVPTKLMIGLSMLFFSIATMMIAFGVALFIVLHERIAWVSFPIILLASLPVTLFALLQFPLLVEIFFATYGPGIFDKPKKPCFRSGSTRSSTRCVR >EOX93955 pep chromosome:Theobroma_cacao_20110822:1:17781024:17788126:-1 gene:TCM_002972 transcript:EOX93955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWSLVDSDGITASDWIPFGDQVLLMASIFLTYLAGVIPVQKSSSTSQKNIADDDDFPKSSTSSGSARTNCDQNNLKHAWDVVRGKLLDSLDTIERGSDFRIGVLDEQQHAKRPLSLYAVSEGPKIRLLWASLRQLEEEVKNNVGTSDTGNMDDWLIAFSRIIQKSCKPVCFAWLEKELGLESNNMELVSLITEKLNGDDTVLQNIRKSGKENLYAEMLYFLRFGSLRKGCCYDQSLFTLYGDSILEDLVITLADGIASTYLELISVDGNLSDEVNSLGLAICNLSTRALQRLRNEVALNQWLYQNLEAIVSMYEDRFDLYTLKSQLIEDKSSDVAETYSWWKKFTLRKYESLSPSLHYVLISHFSMSVKRTKELRALTGWRYYFSLLLEFSDISMPIFRAIINKVSSAISFFLVCLIGRSLGLIYTGIRQSLKWK >EOX95603 pep chromosome:Theobroma_cacao_20110822:1:34812787:34817996:1 gene:TCM_005051 transcript:EOX95603 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 3 MAENAEVDERVDLDDNYMEEEDDDVEEHLEEDVVDDGGDGNGEENDEEEYEDSKSGVSGKDQSSEIERSHIETEHVEDEEKPVASVSEEEKEKHAQLLALPPHGSEVFIGGLPKDVSEEDLRELCEPIGEIFEIRLMKDRESGESKGYAFVAFKSKEVAQKAIEELHSKEFRGKTIRCSLSETKNRLFIGNVPKSWTEDEFKKIIEGVGPGVENVELIKDPQNTSRNRGFAFILYYNNACADYSRQKMSSANFKLDGNTPTVTWADPKSTPDHSAASQVKALYVKNIPDNTSTEKLKEVFQRHGEVIKVVMPPGKAGKRDFGFIHYAERSSALKAVKDTEKYEIDGQVLEVVLAKPQTDKKTDAAYPYSAGLHPNQLSHPGYGGFTGAPYASVSAGFGVATSFQQPVIYGRGPMPSGMQMVPMVLPDGRIGYVLQQPGVQMPPPRPRRVDRSNGPGGPAGRGGSSGGDDANRSRRLIFLRSSSTPRIQLIEYRKEHGRIQIPLSEV >EOX95604 pep chromosome:Theobroma_cacao_20110822:1:34812787:34817996:1 gene:TCM_005051 transcript:EOX95604 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 3 MAENAEVDERVDLDDNYMEEEDDDVEEHLEEDVVDDGGDGNGEENDEEEYEDSKSGVSGKDQSSEIERSHIETEHVEDEEKPVASVSEEEKEKHAQLLALPPHGSEVFIGGLPKDVSEEDLRELCEPIGEIFEIRLMKDRESGESKGYAFVAFKSKEVAQKAIEELHSKEFRGKTIRCSLSETKNRLFIGNVPKSWTEDEFKKIIEGVGPGVENVELIKDPQNTSRNRGFAFILYYNNACADYSRQKMSSANFKLDGNTPTVTWADPKSTPDHSAASQVKALYVKNIPDNTSTEKLKEVFQRHGEVIKVVMPPGKAGKRDFGFIHYAERSSALKAVKDTEKYEIDGQVLEVVLAKPQTDKKTDAAYPYSAGLHPNQLSHPGYGGFTGAPYASPVIYGRGPMPSGMQMVPMVLPDGRIGYVLQQPGVQMPPPRPRRVDRSNGPGGPAGRGGSSGGDDANRSRRLIFLRSSSTPRIQLIEYRKEHGRIQIPLSEV >EOX95605 pep chromosome:Theobroma_cacao_20110822:1:34812787:34816520:1 gene:TCM_005051 transcript:EOX95605 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 3 MAENAEVDERVDLDDNYMEEEDDDVEEHLEEDVVDDGGDGNGEENDEEEYEDSKSGVSGKDQSSEIERSHIETEHVEDEEKPVASVSEEEKEKHAQLLALPPHGSEVFIGGLPKDVSEEDLRELCEPIGEIFEIRLMKDRESGESKGYAFVAFKSKEVAQKAIEELHSKEFRGKTIRCSLSETKNRLFIGNVPKSWTEDEFKKIIEGVGPGVENVELIKDPQNTSRNRGFAFILYYNNACADYSRQKMSSANFKLDGNTPTVTWADPKSTPDHSAASQVKALYVKNIPDNTSTEKLKEVFQRHGEVIKVVMPPGKAGKRDFGFIHYAERSSALKAVKDTEKYEIDGQVLEVVLAKPQTDKKTDAAYPYSAGLHPNQLSHPGYGGFTGAPYASVSAGFGVATSFQQPVIYGRGPMPSGMQMVPMVLPDGRIGYVLLECKCH >EOX96503 pep chromosome:Theobroma_cacao_20110822:1:38037672:38040746:-1 gene:TCM_005738 transcript:EOX96503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor serine/threonine kinase, putative MSVYIIYECRKSSSIDEYVEEFLRNYENLKLRKFSYSDIKKMTDGFKKQLGQGGFGSVFKGKLSNGCPVAVKMLAEAKGDGRDFINEVATIGMIRHVNVVQLLGFCFEGSKKALIYEYMPNGSLDKHLFSQDDVCTLSWSRILYSKNSDFGLAKLYPRNDSVVSLTNARGTMGFMAPELLYKNIGGISSKSDVYSFGMELMEMAGRRKNLNPFAENLSQIYFPSWIYDQLEQKGEVEIKDATAEEKDVGNRMIIIALWCIQLKLADRPSMTNVVGMLEDTSEPLQMPPKPALAPERTRS >EOX96691 pep chromosome:Theobroma_cacao_20110822:1:38660535:38665799:-1 gene:TCM_005883 transcript:EOX96691 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MADTGSIHPPQSKWSLWRTPVFIFFKDARLIFKMDPLGLEILRIAFPAALALAADPIASLIDTAFVGRIGPVELAAVGVSIAIFNQASRITIFPLVSITTSFVAEEDTLGKISPEAEKCEDLEKSGHKDNEMKELMPEDVAAENLEKGAATNTDTKEPEDGSNTSTCKSSSATASRAENTEVKKGKRHIPSASTALILGSMLGLLQAIFLVFGAKILLGVMGVKPGSPMLKPALKYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDLTNIILDPIFIFVLRLGVSGAAIAHVLSQYLITVILLWQLMKLVNLLPPSLKDLQFGRFLKNGFLLLARVIAVTFCVTLAASMAARLGSTPMAAFQICLQVWLTSSLLADGLAVAGQAILACAFAEKDYKKVTAAATRVLQMSFVLGVGLAVVVGVGLYYGSGIFSKDASVLHLISIGVPFVAATQPINSLAFVFDGVNFGASDFAFTAYSMVLVAGGSIASILLLSRSNGYIGIWVALTIYMALRTFAGAWRMGTGTGPWKFLTSRSMQ >EOX91565 pep chromosome:Theobroma_cacao_20110822:1:3117705:3122657:-1 gene:TCM_000707 transcript:EOX91565 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative MHVYHLILIHPTRILLHFPSQKKQQPPVSVEMLHSFSVLFLLLPLLLFCSLFLFSPAEAVDDTYTLPYEHFINCGSKSNATFGIRNFTQDQNSGPFSGKGSKPVKDTKPSADTPMLYQTARIFGRPYPYKFKISQKGTYLVRLHFCAFTSSVSLADAVFDVSAQPTASGPWLLLLSNFTMKNSNESTVIKEFLLTVGAGKFEIYFIPSQISRLAFVNAIEVFLAPTGFIPDNATRINFLGIKGNYHGLLSNVLQKIYRINVGGSDITAKTDTLMRYWIPDDQFLVFPGSAENWHRQYVKLEYEQGANGSTKFIAPEDVYRTAKKLTSGQTNFSNITWRFKVNEHARHFVRAHFCDLISPSLHYPGLNLCINGIFCQLISPLEIVKRLAAPFYADFVVDSGKSGNIDVSVGPYSNTDQTAFLNGLEIMQLMENSYSSFVPPKRKKKILVIIAGSLGLAFAFIIIVIVLVCLVCLKGDPGKIQRLPSSLALLGGWSVNEMSTARTAGLSLCLELRIPFAEIQQATQDFDSTFFIGEGGFGKVYCGTLRAEKVAVKRRAPGHKQGLAEFQTEIMVLSRTRHRNLVSLIGYCDERSEMMLVYEFMEKGTLRDNLYDTNGSSKQNGLSWTERLEICIGTAAALHYLHTGSAVKIIHRDVKSTNILLDENYTAKVSDFGISKSGHSDLTLNHTDVKGSFGYLDPEYFVSSELTEKSDVYSFGVVLLEVLCARPAIINSPQKEEVNLADWGLLWLKKGQLEKIIDPVLVDKINPDSLRKFGELAEKCLEAKGTKRPTMHEVLWDLEYALSLHTGISREQPDESITNSSLQFGPPVLLDVPTCSFLIEENEQSIVGNHGSDTSASELFSQLKFAGAR >EOX96770 pep chromosome:Theobroma_cacao_20110822:1:38921208:38926029:-1 gene:TCM_005944 transcript:EOX96770 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal asparagine amidohydrolase family protein, putative isoform 2 MIFVGGLPFSSNSSSSSSAPSSQSQPMNLLMALMEHPILVSASHSFKSIQERRVSASMDSDLTTSSNNPKYVYLFQREYATVDPALVHISIFLLLHIKVLTCDVQPAMLSLSISQLLFLHQLGVKCHGEVILNAFTLFPSLHKKYVGTDEATTCVGLVIRNRENGMTSVAHMDSPKFVDIGIIQMLSLVVDHNSHVDLDVHLVGGFEDVSPNHAKGTRQPEGNARMDGFSFPLCTKMVETLQKRQENFHVQTLCVLGHNTKTDSQGNAYPIFSGFLVECSTGSLIPASFDRNSQCPDEIVRRLRVSASYEDPSWHRKLLDTYDTKTDRFIIAPCSWTIRLVQIALSLQNLSDSEILSTCSTSPSAEGPDFVDNERRVWNYLIKHPDWKKTFPLRQPHVFERTADGGWKRADSQHQDKDIGPHEHISMDNVISLSLRDIASRDM >EOX96771 pep chromosome:Theobroma_cacao_20110822:1:38921362:38925948:-1 gene:TCM_005944 transcript:EOX96771 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal asparagine amidohydrolase family protein, putative isoform 2 MQHAKGTRQPEGNARMDGFSFPLCTKMVETLQKRQENFHVQTLCVLGHNTKTDSQGNAYPIFSGFLVECSTGSLIPASFDRNSQCPDEIVRRLRVSASYEDPSWHRKLLDTYDTKTDRFIIAPCSWTIRLVQIALSLQNLSDSEILSTCSTSPSAEGPDFVDNERRVWNYLIKHPDWKKTFPLRQPHVFERTADGGWKRADSQHQDKDIGYKS >EOX96635 pep chromosome:Theobroma_cacao_20110822:1:38470128:38479080:1 gene:TCM_005845 transcript:EOX96635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase family / NAGLU family isoform 2 MKIIAACNCLFNVIIFILFLTLLPQSFARTEAVEPILTRLDSKRSSPSVQESAAKAVLGRLLPTHFHSFHFEIVPKDVCGGRSCFLIENYNRTSQDGPEIIIKGTTAVEIASGLHWYIKYFCGAHVSWDKTGGVQIASVPKPGSLPLVKDGGVLIQRPIPWNYYQNVVTSSYSYVWWDWQRWEKEIDWMALQGINLPLAFTGQEAIWQKVFTGFNISMEDLNNFFGGPAFLAWARMGNLHGWGGPLSKNWLKQQLVLQKKILSRMLELGMTPVLPSFSGNVPAALKTIFPSANITRLGDWNTVNGDPRWCCTYLLNPSDPLFVKIGEAFIRQQIEEYGDVTDIYNCDTFNENSPPTNDPTYISSLGAAVYKAMSNGDKDAVWLMQGWLFYSDSTFWKPPQMKALLHSVPQGKMIVLDLFADVKPIWAASSQFFGTPYVWCLLHNFGGNIEMYGTLDAISSGPVDAHISENSTMVGVGLCMEGIEQNPVVYELMSEMAFRKEKVQVLEWLKTYTHRRYGKSIQQIEEAWEILYHTVYNCTDGIADHNTDFIVKFPDWDPSTNSGSQTSKLDNMHKLHTITENRRFLFQETISDLPQAHLWYSTHEVVNALKLFLAAGNDLAGSLTYRYDLVDLTRQVLSKLANQVYLDAVKAFRRKDVKALNVHSQKFLQLIKDIDILLASDDNFLLGTWLESAKTLAENPSEMQQYEWNARTQVTMWFDTTTTNQSKLHDYANKFWSGLLEGYYLPRASSYFSCLSKSLKENESFKLVEWRKEWVAFSNKWQEGVELYPLKAKGDFLSIAKALFEKYFN >EOX96636 pep chromosome:Theobroma_cacao_20110822:1:38471118:38485297:1 gene:TCM_005845 transcript:EOX96636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase family / NAGLU family isoform 2 MALQGINLPLAFTGQEAIWQKVFTGFNISMEDLNNFFGGPAFLAWARMGNLHGWGGPLSKNWLKQQLVLQKKILSRMLELGMTPVLPSFSGNVPAALKTIFPSANITRLGDWNTVNGDPRWCCTYLLNPSDPLFVKIGEAFIRQQIEEYGDVTDIYNCDTFNENSPPTNDPTYISSLGAAVYKAMSNGDKDAVWLMQGWLFYSDSTFWKPPQMKALLHSVPQGKMIVLDLFADVKPIWAASSQFFGTPYVWCLLHNFGGNIEMYGTLDAISSGPVDAHISENSTMVGVGLCMEGIEQNPVVYELMSEMAFRKEKVQVLEWLKTYTHRRYGKSIQQIEEAWEILYHTVYNCTDGIADHNTDFIVKFPDWDPSTNSGSQTSKLDNMHKLHTITENRRFLFQETISDLPQAHLWYSTHEVVNALKLFLAAGNDLAGSLTYRYDLVDLTRQVLSKLANQVYLDAVKAFRRKDVKALNVHSQKFLQLIKDIDILLASDDNFLLGTWLESAKTLAENPSEMQQYEWNARTQVTMWFDTTTTNQSKLHDYANKFWSGLLEGYYLPRASSYFSCLSKSLKENESFKLVEWRKEWVAFSNKWQEGVELYPLKAKGDFLSIAKALFEKYFN >EOX91574 pep chromosome:Theobroma_cacao_20110822:1:3144086:3145765:-1 gene:TCM_000713 transcript:EOX91574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome p450 81d1, putative MLAGVLMDEIKILLRKLHSISAHKFTKVELGPLISGLTFNIVTRMIAGKRYYGEDVVGIEEARQFRELVGEMFADGRATYPGDFLPIFKYFDRQGGTHTVAATIEWAMSNLLNHPGVLKKARAEVDDFLCPKQLQDETDLSKLQYLQNIVSETFRLYPATPLLVPHISSDNCTIGVDGYKLLTFGLGRRACPGMGLANRIIGLTLGSLIQCFEWERVDGKAIDMVEGSADIAMPKLGPWKPNAKLVQ >EOX96025 pep chromosome:Theobroma_cacao_20110822:1:36356380:36360561:1 gene:TCM_005376 transcript:EOX96025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVYELGWNWDDLELLAQGSLAGHLLECGCQLTGGYFMHPADKHRNLSFSHLLDLSLPYAEISFSGEVCVMKAEGSGGVLNFSTCAEQLLYEVGDPSAYITPDVVIDFQGVSFQPLTSSKVLCIGAKPSAHPVPDKLLQLVPKDCGWKGWGEISYGGYECVKRAKAAEFLVRSWMEEVFPGVSCCVLSYIIGLDSLKATSIDNYSSTWKASEDIRLRMDGLFQEKKHAEQLVKEFTALYTNGPASGGGISTGLKKEIVLEKQLIGREHIFWRIAAKQTEVSESKCQKHVFRDVMKDCVLHEPTLPPFPEEDIHNSSSPEIGLSATQSRQKIPLYSVAHSRAGDKGNDLNFSIIPYVVQDVERLKIIITPQWVKGVVSVLLDSSPKAIDETEKWMDEHVKVEIYEVKGIHSLNVVVRNILDGGVNCSRRIDRHGKTISDLILCQHVVLPS >EOX96026 pep chromosome:Theobroma_cacao_20110822:1:36356632:36360547:1 gene:TCM_005376 transcript:EOX96026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVYELGWNWDDLELLAQGSLAGHLLECGCQLTGGYFMHPADKHRNLSFSHLLDLSLPYAEISFSGEVCVMKAEGSGGVLNFSTCAEQLLYEVGDPSAYITPDVVIDFQGVSFQPLTSSKVLCIGAKPSAHPVPDKLLQLVPKDCGWKGWGEISYGGYECVKRAKAAEFLVRSWMEEVFPGVSCCVLSYIIGLDSLKATSIDNYSSTWKASEDIRLRMDGLFQEKKHAEQLVKEFTALYTNGPASGGGISSTGLKKEIVLEKQLIGREHIFWRIAAKQTEVSESKCQKHVFRDVMKDCVLHEPTLPPFPEEDIHNSSSPEIGLSATQSRQKIPLYSVAHSRAGDKGNDLNFSIIPYVVQDVERLKIIITPQWVKGVVSVLLDSSPKAIDETEKWMDEHVKVEIYEVKGIHSLNVVVRNILDGGVNCSRRIDRHGKTISDLILCQHVVLPS >EOX96022 pep chromosome:Theobroma_cacao_20110822:1:36354987:36360591:1 gene:TCM_005376 transcript:EOX96022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEKQSCGEIYNCMIKQRTNRQRRKDKVYIGCGAGFGGDRPMAALKLLNRVKELDYIVLECLAERTLAERYRAMVSGGDGYDSNISEWMSLLLPLAVERGTCIITNMGAMDPLGAQEKVLEIASSLGLNVSVAVAHEVFVNESGSGPLSEKPVVMDGKGISTYLGAAPIVECLERYQPNVIIASRVADAALFLAPMVYELGWNWDDLELLAQGSLAGHLLECGCQLTGGYFMHPADKHRNLSFSHLLDLSLPYAEISFSGEVCVMKAEGSGGVLNFSTCAEQLLYEVGDPSAYITPDVVIDFQGVSFQPLTSSKVLCIGAKPSAHPVPDKLLQLVPKDCGWKGWGEISYGGYECVKRAKAAEFLVRSWMEEVFPGVSCCVLSYIIGLDSLKATSIDNYSSTWKASEDIRLRMDGLFQEKKHAEQLVKEFTALYTNGPASGGGISTGLKKEIVLEKQLIGREHIFWRIAAKQTEVSESKCQKHVFRDVMKDCVLHEPTLPPFPEEDIHNSSSPEIGLSATQSRQKIPLYSVAHSRAGDKGNDLNFSIIPYVVQDVERLKIIITPQWVKGVVSVLLDSSPKAIDETEKWMDEHVKVEIYEVKGIHSLNVVVRNILDGGVNCSRRIDRHGKTISDLILCQHVVLPS >EOX96024 pep chromosome:Theobroma_cacao_20110822:1:36355491:36360561:1 gene:TCM_005376 transcript:EOX96024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MRSLLMSQKGISTYLGAAPIVECLERYQPNVIIASRVADAALFLAPMVYELGWNWDDLELLAQGSLAGHLLECGCQLTGGYFMHPADKHRNLSFSHLLDLSLPYAEISFSGEVCVMKAEGSGGVLNFSTCAEQLLYEVGDPSAYITPDVVIDFQGVSFQPLTSSKVLCIGAKPSAHPVPDKLLQLVPKDCGWKGWGEISYGGYECVKRAKAAEFLVRSWMEEVFPGVSCCVLSYIIGLDSLKATSIDNYSSTWKASEDIRLRMDGLFQEKKHAEQLVKEFTALYTNGPASGGGISTGLKKEIVLEKQLIGREHIFWRIAAKQTEVSESKCQKHVFRDVMKDCVLHEPTLPPFPEEDIHNSSSPEIGLSATQSRQKIPLYSVAHSRAGDKGNDLNFSIIPYVVQDVERLKIIITPQWVKGVVSVLLDSSPKAIDETEKWMDEHVKVEIYEVKGIHSLNVVVRNILDGGVNCSRRIDRHGKTISDLILCQHVVLPS >EOX96023 pep chromosome:Theobroma_cacao_20110822:1:36356632:36360547:1 gene:TCM_005376 transcript:EOX96023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVYELGWNWDDLELLAQGSLAGHLLECGCQLTGGYFMHPADKHRNLSFSHLLDLSLPYAEISFSGEVCVMKAEGSGGVLNFSTCAEQLLYEVGDPSAYITPDVVIDFQGVSFQPLTSSKVLCIGAKPSAHPVPDKLLQLVPKDCGWKGWGEISYGGYECVKRAKAAEFLVRSWMEEVFPGVSCCVLSYIIGLDSLKATSIDNYSSTWKASEDIRLRMDGLFQEKKHAEQLVKEFTALYTNGPASGGGISTGLKKEIVLEKQLIGREHIFWRIAAKQTEVSESKCQKHVFRDVMKDCVLHEPTLPPFPEEDIHNSSSPEIGLSATQSRQKIPLYSVAHSRAGDKGNDLNFSIIPYVVQDVERLKIIITPQWVKGVVSVLLDSSPKAIDETEKWMDEHVKVEIYEVKGIHSLNVVVRNILDGGVNCSRRIDRHGKTISDLILCQHVVLPS >EOX90790 pep chromosome:Theobroma_cacao_20110822:1:640696:642241:1 gene:TCM_000162 transcript:EOX90790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein TLQVIQWGIIQEVAIYFFHKKRRPRKKGRKKRETRKRKEGRRKKEIRSGTVGKLKVKSMVIRKGMKMRGAKKIR >EOX94759 pep chromosome:Theobroma_cacao_20110822:1:31245098:31247886:1 gene:TCM_004371 transcript:EOX94759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein, putative MSHLKGIQISIPQKFYLFTIKKELRGQLIAFIITTIFPLEFEGLDSVKLTHSWFARMSSAKRTVAFFLMLAFLGVSLGAVYKVGDSTGWTSLGNTNYGKWASTKSFHVGDSLFFAYNSQFHNVMQVNHNDFQSCNGTSAIASYNSGSDSVSLKRPGHYYFLCGVPGHCQAGQKVDVLVTPLSVGPSASPSPSLFGPTPANPPSEMLAPGPAQSSASSLTSSELSLALGLVAVYVFGFAF >EOX96521 pep chromosome:Theobroma_cacao_20110822:1:38108623:38109746:-1 gene:TCM_005755 transcript:EOX96521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGTTFKDWCRYFQYKEGANEEDRDKNRADARNALLVVATLIAAVTFQAGVNPPGGVWQETNDYHTAGTAICASDSDAYYVFLTSNTLAFSAAVLVIMSLTHNFPFKFEVRVACVSMIITYGSAIFAVTGDEDKFQLALITAAAPLILRCLIQLLVKLKNREPEPPCLIQAFVGPRNKTAEPPKDETQQAKP >EOX93033 pep chromosome:Theobroma_cacao_20110822:1:10039277:10040061:1 gene:TCM_001887 transcript:EOX93033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 KHSEPHSNHSKQLTIRLHEERPPSFHRLQCENGALLLGELHYTAPLERAGGYQTYLLSSVGTESKNQNASKGTKQSRLTNVQMPSTTRLAELDWTKPPNFDRYEWDCGSKQRWLLGRLR >EOX93032 pep chromosome:Theobroma_cacao_20110822:1:10039330:10039847:1 gene:TCM_001887 transcript:EOX93032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MRKDHQASIGCNVRTVPCFWENSTTQLLWKELEDIKHTCSAQLEQRAKTKTPAREQSSLGCKTNVQMPSTTRLAELDWTKPPNFDRYEWDCGSKQRWLLGRLR >EOX94733 pep chromosome:Theobroma_cacao_20110822:1:31103132:31103425:-1 gene:TCM_004344 transcript:EOX94733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKSKQQRKQINALKREDNSWRSDPLELERLVVHFFMNLYTNNGVSKSLLSYTYWRLDLEKSSTLAMLITSEEIKHAFFNVHPNKTSGYNGFPASFF >EOX94732 pep chromosome:Theobroma_cacao_20110822:1:31097633:31104310:-1 gene:TCM_004344 transcript:EOX94732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDIGDFNQVISPDEKQGRKNVNLIQCNLLLNCMSYCNLFDLKTSGCEYTWWNKRDGLDYTKVCLDRVLINDKWHSMFSCADAINLPRTRSNHHPVLTTFIGPLSRSSPENLGLDKGPEKINKFRPISLCSVPLKLLSKTLVDRLRPLLNELISNTQSSFIPRRQAIDNVIVVQEAIHTMRGMKRRERAMAIKIDLEKDYDRLKWYFLQDVLVEIGFPPQWISLIVYIVRTPFFSVIWNGKQSQKFNPFRGIRQGDPLSSSLFVLCLEKLFQLKNEKDLFSVKAKSSDSHIWHSILKSREVFTKGLGMIVNNELNTKFWLDSWLPCGPLIGFATRDFSLAEIDLPVACFCDAYGNWDLDFLSDSLPAFETQMIAASFIYPSNTKSNISFWTLTSNGNFSVKIACESQVKTNLPKMEQWCQNG >EOX90966 pep chromosome:Theobroma_cacao_20110822:1:1200620:1204000:1 gene:TCM_000292 transcript:EOX90966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPH8A, putative MAEFAVSLVVEKLTNLLAMQAAYLDGVSQKIVQLRNELRWMQSFLKDADMKQEEDELMQQWVSDVRDVAYDTEEVIETYVSRAASQKPFDLVTKPFYHYKVGRRIESIRSRIRAITGRRETYGGLGNGRSGREGAAANDRLRWWRQPSPHVEEDDIIELVEDTKALLTKLTSMESRRRVVSIVGMGGLGKTTLAKRLYNHNDVKNHFDCRAWIYVSKEYRRKEILQGIITDVNAVNRDEMEVLEKLKEEVLLKKLHEFLEERRYLVVLDDVWSMEVWDCLENAFPSGKTGSKVMVTTRNKEVALHADGGGIPHEPRILTEDESLKLFCQKAFHGMKSLPPELEKLGRDMVVRCGGLPLAVVVLGGLLSRKIKSTEEWHRVLRNITWHLTKGQDRIAAILALSYSDLPSHLKSCFLYLGLFPEDVSVQTRKLIHLWVAEGFLPQEGEETAEGVAEKCLTELIDRCMIQVGRLSSLGRVKTVRIHDLLRDLAISQGRKEIFLEIHHGNKAESTESISTKSRRHAIHSRHDRYAFLKHFAPHLRSLLFFNREYNVDVARKIMKVGYRSEKKLNVIYKNFKLLRVLDLEGVRVVSLPDTIGSLIQLRYLGLRKTNLEEELPLSIGNLQNLQTLDLRYSCFLKRIPNVIWKLVHLRHLLLYTPFDSPDSWHLKMDTLCNLQSLPYIEAGSWIDDGGLANMTNLRQLGIDGLSREQVTSVISTMEKLQDLQSLSLLLVSELEMFPTLIGLSSCEHLQKLCFYGKMEKLPDPQEFPPSLIKLTLYNSQLQRDSITKLERLPNLEMLVLGEGSYNLRDMTFSSESFPKLEILRLHLLKELEEWTVEGRAMPKLKHLVINRCEKLKRIPDGLKLATSLKELEIVGMPVEFEYRLRTKDFLEFKHTPSIKSTTDMLAIGLGSHQNAGWPEAQFS >EOX93622 pep chromosome:Theobroma_cacao_20110822:1:14403721:14412891:-1 gene:TCM_002512 transcript:EOX93622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MFTEGLDESAINWINQGKETDQEPRIRSPLTEKLSPHDSFPKSPLIYNTAPLFSPHILPTPLKFRSGLLGPHSLIAQDEDDDESVASVSDDIGGGDSANGNFSDEEDVFRSNKCSSNLSRGFSKQNLKVELPDTNRRFTDGDLGVRDFAEKNYTSAGIGGIFGLRERVQVHNAHGTTIPSHVKSTFKEVEDLGTPSAPPILDIGREGSDMEVEEETEQIQDGIYKSVQADHFDGNKEGLADSKSRSFNCAELGEERVNETVNGDKEEKIPYWQSNTSDDLHHYNASGQYAWQTLIAYDACIRLCLYEWARGCPEAPEFLRDECLLLRSAFGLHKFLLQPRGVQPVEVSTTKNVEQFSLKAKKVAGKIRVEVKKLRIIPRRKLKSTYSQRSAMYMQVGADYVRHVSSLVKTGMNSLKIASFSVTSEEPLSCLFQLKSTTEDTKVEPSSTICLHPGCGDYHVFFPETEADALLVEVQDKKKSVQGRTTIPVSSLTDNPNDRIRWWPIYHDDEECVGKIQLSIGSTITCDETSQIKSAPVVETLAYDLLLEASMRAQHFHSQNLRLQGPWQWLLTEFADYYGVSDSYTKLRYLLHVMNVATPTKDCLELVHELLVPILKARSEKSLTRQEKSILLDCETQIESLLANAFENYKSLDEKSPTGLADLFGPTQETAAPALAPAVKVYTLLHDILSPDAQTMLRNYLQTAAKKRCRKHMIETDEFVSSNSEGFLLDTITISTAYLKMKNLCINISKEIQADIKIHNQHILPSSIDLSNITAAVYSTELCNRLTGFLAAWPPSSPASHVNELLIAIADFERDLESWNISPVQGGVDSKTLFHNYIMVWVEDMQLKLLDLCKSEKVPWSGVTTNHSTSPFAEEMYEKIKDSLSGYEVVINRWPQYSLVLENAVANVERAIVKALEKQYNDILTPLKDSIPKRLNMHVQKLTRRQSTALYSVPSQLGIFLNTVKRILDVLHCKVEDILKSWASYLPLMGDKKLLFGEQMNGITVMLRTKYKNYLQATVEKLVNNTQANRNTRLKRILEEIKEEDGEAEIRERMQMLSSQLIDSISNLHEVFTSRIFVATCRGFWDRMGQIVLKFLEGRKENRVWYNGSYFALGILDDTFASKMQRLQGNLLQEKDLEPPRSVIEARSILCRDTANATDASTYFYV >EOX93623 pep chromosome:Theobroma_cacao_20110822:1:14401793:14412992:-1 gene:TCM_002512 transcript:EOX93623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MFTEGLDESAINWINQGKETDQEPRIRSPLTEKLSPHDSFPKSPLIYNTAPLFSPHILPTPLKFRSGLLGPHSLIAQDEDDDESVASVSDDIGGGDSANGNFSDEEDVFRSNKCSSNLSRGFSKQNLKVELPDTNRRFTDGDLGVRDFAEKNYTSAGIGGIFGLRERVQVHNAHGTTIPSHVKSTFKEVEDLGTPSAPPILDIGREGSDMEVEEETEQIQDGIYKSVQADHFDGNKEGLADSKSRSFNCAELGEERVNETVNGDKEEKIPYWQSNTSDDLHHYNASGQYAWQTLIAYDACIRLCLYEWARGCPEAPEFLRDECLLLRSAFGLHKFLLQPRGVQPVEVSTTKNVEQFSLKAKKVAGKIRVEVKKLRIIPRRKLKSTYSQRSAMYMQVGADYVRHVSSLVKTGMNSLKIASFSVTSEEPLSCLFQLKSTTEDTKVEPSSTICLHPGCGDYHVFFPETEADALLVEVQDKKKSVQGRTTIPVSSLTDNPNDRIRWWPIYHDDEECVGKIQLSIGSTITCDETSQIKSAPVVETLAYDLLLEASMRAQHFHSQNLRLQGPWQWLLTEFADYYGVSDSYTKLRYLLHVMNVATPTKDCLELVHELLVPILKARSEKSLTRQEKSILLDCETQIESLLANAFENYKSLDEKSPTGLADLFGPTQETAAPALAPAVKVYTLLHDILSPDAQTMLRNYLQTAAKKRCRKHMIETDEFVSSNSEGFLLDTITISTAYLKMKNLCINISKEIQADIKIHNQHILPSSIDLSNITAAVYSTELCNRLTGFLAAWPPSSPASHVNELLIAIADFERDLESWNISPVQGGVDSKTLFHNYIMVWVEDMQLKLLDLCKSEKVPWSGVTTNHSTSPFAEEMYEKIKDSLSGYEVVINRWPQYSLVLENAVANVERAIVKALEKQYNDILTPLKDSIPKRLNMHVQKLTRRQSTALYSVPSQLGIFLNTVKRILDVLHCKVEDILKSWASYLPLMGDKKLLFGEQMNGITVMLRTKYKNYLQATVEKLVNNTQANRNTRLKRILEEIKEEDGEAEIRERMQMLSSQLIDSISNLHEVFTSRIFVATCRGFWDRMGQIVLKFLEGRKENRVWYNGSYFALGRLQGNLLQEKDLEPPRSVIEARSILCRDTANATDASTYFYV >EOX93532 pep chromosome:Theobroma_cacao_20110822:1:13826741:13833892:1 gene:TCM_002422 transcript:EOX93532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MAAILASHGCYLRNCELMNQGRTMETLSFSSSISNQFVKFERQIHNLPMTDKSFRFQVDMQQTESAPKVGINGRAIKMVPASEVVKRKAPATSKVEKVNGVKQVINGASIVRRDNSPSLVKKPKPRVSAELPPLEELNVLPSDESFSWANENYSTLQRTIDVWSFVLSLRVRVLLDNAKWAYVRGFTEDKQKKRRRKTASWLRERVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPKKARSFIESELGAPIKVLFKEFEDQPIAAASLGQVHRAILHNGEKVVVKVQRPGLRKLFDIDLRNLKLIAEYFQNSETFGGLTRDWIGIYEECSTILYQEIDYINEGKNADRFRRDFRNIKWVRVPMVFWDYTATKVLTLEYVPGIKIDQLAALDSRGYNRSRISSRAVEAYLIQILKTGFFHADPHPGNLAIDVDEAIIYYDFGMMGDIKSFTRERLLGLFYAVYEKDAKKVMQSLIDLGALQPTGDLSSVRRSVQFFLDNLLDQRPDQETTLTAIGEDLFAIAQDQPFRFPSTFTFVLKAFSTLEGIGYTLDPNFSFAKIAAPYAQELLDIRQRQQTGTQLVEQIRKQADDARSYTMSMPYRVQQIEEFVKQLESGDLKLRVRVLESERAARKARILQMATMYTVLGGTLLNLGVTFGSQGSQIIANGSFLGAGVFFTLLLRSMQRLKTLDKFEKMI >EOX93533 pep chromosome:Theobroma_cacao_20110822:1:13827553:13833086:1 gene:TCM_002422 transcript:EOX93533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MAAILASHGCYLRNCELMNQGRTMETLSFSSSISNQFVKFERQIHNLPMTDKSFRFQVDMQQTESAPKVGINGRAIKMVPASEVVKRKAPATSKVEKVNGVKQVINGASIVRRDNSPSLVKKPKPRVSAELPPLEELNVLPSDESFSWANENYSTLQRTIDVWSFVLSLRVRVLLDNAKWAYVRGFTEDKQKKRRRKTASWLRERVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPKKARSFIESELGAPIKVLFKEFEDQPIAAASLGQVHRAILHNGEKVVVKVQRPGLRKLFDIDLRNLKLIAEYFQNSETFGGLTRDWIGIYEECSTILYQEIDYINEGKNADRFRRDFRNIKWVRVPMVFWDYTATKVLTLEYVPGIKIDQLAALDSRGYNRSRISSRAVEAYLIQILKTGFFHADPHPGNLAIDVDEAIIYYDFGMMGDIKSFTRERLLGLFYAVYEKDAKRLCRAS >EOX94118 pep chromosome:Theobroma_cacao_20110822:1:21990535:22008195:-1 gene:TCM_003331 transcript:EOX94118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKCMQVVVGSMKVEENFIRSFWILDNGTPFINANVRELLALYDVDHVKSTPYYPKGNGQAEATNKTLLKVLSRMVHEEPKMWHDALPVALWAYRTSKREPTKATPFSLVYGTEAVLPAEILVSSVRLALDDELDNDNLRMLELEALEERRDRAKKNLSVYQRRLSRAYDKLVKRRNFEEGDLVLRTAEHIRRGTPSYKFSPKWEGPYIVHEVNESGYCKLINPKNNTITAPINFQYIKKYHV >EOX90567 pep chromosome:Theobroma_cacao_20110822:1:10785:16031:1 gene:TCM_000003 transcript:EOX90567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein isoform 2 MGRKMEWAAREKHMGGIPRKIVFLAVGSFAKAVTSLLNTSSIHNAETLIRLVRSRPPGIPLLTVSNHMSTLDDPLLWGFKGFPSLDAKLARWVLAAEDICFKNFLLTYFFRLVNCAGKCIPITRGAGIYQEHMNEALECLNNGAWLHTFPEGKVSQEDAPIRRLKWGTASLIVRAHVTPIVLPIVHCGLEEVMPEKFFPDRRPPFPLCNKNIKIIIGEPLEFDIPRMRQTAISISRDLSCTYRGWPIISPYGLDEAAQRCLYSAISEQIQTAMEGLRNAGKSFLK >EOX90566 pep chromosome:Theobroma_cacao_20110822:1:10785:16031:1 gene:TCM_000003 transcript:EOX90566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein isoform 2 MGRKMEWAAREKHMGGIPRKIVFLAVGSFAKAVTSLLNTSSIHNAETLIRLVRSRPPGIPLLTVSNHMSTLDDPLLWGFKGFPSLDAKLARWVLAAEDICFKNFLLTYFFRLGKCIPITRGAGIYQEHMNEALECLNNGAWLHTFPEGKVSQEDAPIRRLKWGTASLIVRAHVTPIVLPIVHCGLEEVMPEKFFPDRRPPFPLCNKNIKIIIGEPLEFDIPRMRQTAISISRDLSCTYRGWPIISPYGLDEAAQRCLYSAISEQIQTAMEGLRNAGKSFLK >EOX90568 pep chromosome:Theobroma_cacao_20110822:1:12382:15650:1 gene:TCM_000003 transcript:EOX90568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein isoform 2 MPKPSFVLSALDRLAYHFSPLAITCLRGLDDPLLWGFKGFPSLDAKLARWVLAAEDICFKNFLLTYFFRLVNCAGKCIPITRGAGIYQEHMNEALECLNNGAWLHTFPEGKVSQEDAPIRRLKWGTASLIVRAHVTPIVLPIVHCGLEEVMPEKFFPDRRPPFPLCNKNIKIIIGEPLEFDIPRMRQTAISISRDLSCTYRGWPIISPYGLDEAAQRCLYSAISEQIQTAMEGLRNAGKSFLK >EOX90569 pep chromosome:Theobroma_cacao_20110822:1:12382:15650:1 gene:TCM_000003 transcript:EOX90569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein isoform 2 MPKPSFVLSALDRLAYHFSPLAITCLRGLDDPLLWGFKGFPSLDAKLARWVLAAEDICFKNFLLTYFFRLGKCIPITRGAGIYQEHMNEALECLNNGAWLHTFPEGKVSQEDAPIRRLKWGTASLIVRAHVTPIVLPIVHCGLEEVMPEKFFPDRRPPFPLCNKNIKIIIGEPLEFDIPRMRQTAISISRDLSCTYRGWPIISPYGLDEAAQRCLYSAISEQIQTAMEGLRNAGKSFLK >EOX92778 pep chromosome:Theobroma_cacao_20110822:1:8578781:8580860:1 gene:TCM_001656 transcript:EOX92778 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAI-1 autoinducer sensor kinase/phosphatase cqsS isoform 1 MLDGHKRQSATPSSSGRRKRSRKATGDAIVDAMLEIAAASKMRASAIMKNEDRFSISKCIKVLDEMQDKSTGMDDLDLELDEMELVAAAAGYYYYNCITRQTRCSSSPSGSGFMNEVLEGPDDLCREMFRMDKHVFHKLCNTLRHRGMLRDTAGVMIEEQLAIFLNIVGHNERNRVIQERFQHSGETISRHFNNVLKAIKSLSREFLQPPNISTASEILNSNRFYPYFKDCVGVIDGMHIPAHVPAKDQSRFRNRKGVLSQNVLAACTFDLQFIFVYPGWEGSAADSRVLRAVLDDPDQNFPHIPEGKYYLVDAGYSNMEGFLSPYPGVRYHLHEYRGRG >EOX92776 pep chromosome:Theobroma_cacao_20110822:1:8577830:8581499:1 gene:TCM_001656 transcript:EOX92776 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAI-1 autoinducer sensor kinase/phosphatase cqsS isoform 1 MSVDPSATMNYVEDEASSGSGDDVNMLDGHKRQSATPSSSGRRKRSRKATGDAIVDAMLEIAAASKMRASAIMKNEDRFSISKCIKVLDEMQDKSTGMDDLDLELDEMELVAAAAGYYYYNCITRQTRCSSSPSGSGFMNEVLEGPDDLCREMFRMDKHVFHKLCNTLRHRGMLRDTAGVMIEEQLAIFLNIVGHNERNRVIQERFQHSGETISRHFNNVLKAIKSLSREFLQPPNISTASEILNSNRFYPYFKDCVGVIDGMHIPAHVPAKDQSRFRNRKGVLSQNVLAACTFDLQFIFVYPGWEGSAADSRVLRAVLDDPDQNFPHIPEGKYYLVDAGYSNMEGFLSPYPGVREGVTVDELPDFDEQPELQFASSIEEQIASSLRESIAAVMWNDFLNKWDQW >EOX92777 pep chromosome:Theobroma_cacao_20110822:1:8577827:8581329:1 gene:TCM_001656 transcript:EOX92777 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAI-1 autoinducer sensor kinase/phosphatase cqsS isoform 1 MSVDPSATMNYVEDEASSGSGDDVNMLDGHKRQSATPSSSGRRKRSRKATGDAIVDAMLEIAAASKMRASAIMKNEDRFSISKCIKVLDEMQDKSTGMDDLDLELDEMELVAAAAGYYYYNCITRQTRCSSSPSGSGFMNEVLEGPDDLCREMFRMDKHVFHKLCNTLRHRGMLRDTAGVMIEEQLAIFLNIVGHNERNRVIQERFQHSGETISRHFNNVLKAIKSLSREFLQPPNISTASEILNSNRFYPYFKDCVGVIDGMHIPAHVPAKDQSRFRNRKGVLSQNVLAACTFDLQFIFVYPGWEGSAADSRVLRAVLDDPDQNFPHIPEGKYYLVDAGYSNMEGFLSPYPGVRYHLHEYRGANQLPRNAKELFNHRHSSLRNVIQRSFDVLKARFPILKLAPQYAFHIQRDIVIAACVLHNYIRREERHDWLFSSREGVTVDELPDFDEQPELQFASSIEEQIASSLRESIAAVMWNDFLNKWDQW >EOX92412 pep chromosome:Theobroma_cacao_20110822:1:6703551:6709199:-1 gene:TCM_001364 transcript:EOX92412 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein isoform 1 MCSSALSKVESNQTDLNALAAMSLSGRHGHWRRTWGWGGDGNKSCQPGHPIFSHGGGNDSPFGFPNSFQFATNFICISKICQLCLYYINTPFLLFHFPFPPPAPERRENREKASSFSASSTTLSLSKTSSSFLFFSIVKMADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >EOX92411 pep chromosome:Theobroma_cacao_20110822:1:6703296:6705763:-1 gene:TCM_001364 transcript:EOX92411 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein isoform 1 MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >EOX92410 pep chromosome:Theobroma_cacao_20110822:1:6702656:6709435:-1 gene:TCM_001364 transcript:EOX92410 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein isoform 1 MCSSALSKVESNQTDLNALAAMSLSGRHGHWRRTWGWGGDGNKSCQPGHPIFSHGGGNDSPFGFPNSFQFATNFICISKICQLCLYYINTPFLLFHFPFPPPAPERRENREKASSFSASSTTLSLSKTSSSFLFFSIVKMADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >EOX93502 pep chromosome:Theobroma_cacao_20110822:1:13574339:13575747:1 gene:TCM_002389 transcript:EOX93502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pro-like protein MGDEHSERMDKIEKKQEEIMGQLSKILELISTDKGKKVAGSSGTPEAVQQTETNTDPVYPPGFTPPPARNASIPMPSMGQYPFFGMPIGPPPTYAQQRPIGGASPSDPISVPDLDDPKEQEKLKCGSVESKDNPDTHQKFDLFEERLRMVEGMGMYCSMDAIELCLVSDVVIPPKFKVPDFEKYDGTKCPVTHITMYCRRMVAYAHDDKLLIHCFQDSLTGAAAKWYVQLDRNRIHTWKDLARAFIAQYKHVTDMAPDRLSLQNMEKKATESFKEYAQRWRNVASQVQPPLTEKETTVMFVNTLRAPYYERLVGSATKNFANMVISGEMIETAIKQGKIE >EOX91295 pep chromosome:Theobroma_cacao_20110822:1:2218379:2222012:-1 gene:TCM_000535 transcript:EOX91295 gene_biotype:protein_coding transcript_biotype:protein_coding description:MybPA2, putative MGRKPCCLKEGVNKGAWSAQEDKILTNYIELHGEGKWNTLPQKAGLNRCGKSCRLRWMNYLRPGIKRGNISPDEEDLIIRLHRLLGNRWSLIAGRLPGRTDNEIKNYWNSVLSKKLNGDASKPSNRQCECEGKESVEKYRSGSTPNVIAPKARRCTGTLLSLDKGNHLPNNPCLASSTPANDELTLESQKDDVQMPNVEDEALIDSELYMPNTLDYLDFSQTRIEDMFENGNMHESELAPMLEMELENLTSLLGLEDEWRELDVEND >EOX91459 pep chromosome:Theobroma_cacao_20110822:1:2779332:2786545:-1 gene:TCM_000648 transcript:EOX91459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate phosphoribosyltransferase 2 isoform 2 METEANGASENAGRVMDGPTNPMVTPLLTDLYQFTMAYAYWKAGKHNDRAVFDLYFRKNPFGGEFTVFAGLEECIKLIANFKLTEEEISFIRKSLPGSCEVKQTTLGMIQEGFFSYLREIDCSDVEVYAISEGTVVFPKVPLLRVEGPVAVVQLLETPVLNLVNFASLVATNAARHRLVAGNSKMLLEFGLRRAQGPDGAIGASKYCYMGGFDATSNVAAGRLFGIPLRGTHSHAFVSSFMSPDEILDRSLCSSDGSSTCEDFVSLVQSWLSKIQWSKPLSGIFGETNQSELAAFMSYALAFPNNFLALVDTYDVMRSGVPNFCAVALALRDLGYKAVGIRLDSGDLAYLSSEARKIFHTIEKEFGVPGFGKMSITASNDLNEETLDALNKQGHEVDCFGIGTYLVTCYAQAALGCVFKLVEINNQPRIKLSEDVSKVSIPCKKRCYRLYGKEGYSLVDIMTGENEPCPKVGERILCRHPFNESKRAYVVPQRVEMLLKCYWHGKSDKVREELPALKDIRDHCIKQLEQMRPDHIRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >EOX91460 pep chromosome:Theobroma_cacao_20110822:1:2778968:2785266:-1 gene:TCM_000648 transcript:EOX91460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate phosphoribosyltransferase 2 isoform 2 METEANGASENAGRVMDGPTNPMVTPLLTDLYQFTMAYAYWKAGKHNDRAVFDLYFRKNPFGGEFTVFAGLEECIKLIANFKLTEEEISFIRKSLPGSCEEGFFSYLREIDCSDVEVYAISEGTVVFPKVPLLRVEGPVAVVQLLETPVLNLVNFASLVATNAARHRLVAGNSKMLLEFGLRRAQGPDGAIGASKYCYMGGFDATSNVAAGRLFGIPLRGTHSHAFVSSFMSPDEILDRSLCSSDGSSTCEDFVSLVQSWLSKIQWSKPLSGIFGETNQSELAAFMSYALAFPNNFLALVDTYDVMRSGVPNFCAVALALRDLGYKAVGIRLDSGDLAYLSSEARKIFHTIEKEFGVPGFGKMSITASNDLNEETLDALNKQGHEVDCFGIGTYLVTCYAQAALGCVFKLVEINNQPRIKLSEDVSKVSIPCKKRCYRLYGKEGYSLVDIMTGENEPCPKVGERILCRHPFNESKRAYVVPQRVEMLLKCYWHGKSDKVREELPALKDIRDHCIKQLEQMRPDHIRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >EOX93845 pep chromosome:Theobroma_cacao_20110822:1:16595765:16599608:1 gene:TCM_002811 transcript:EOX93845 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor family protein isoform 1 MGRKRSSPVTQLFLWIRGQSTEVKIFLAAVPLLFSLVALKLLVKDHNHFFIASELIHVAGISVLAYKLTTKKTCSGLSLKSQELTAIFLAVRLVCSFMLEGDIHTLLDFATLIFTAWVVFMIRYKLKSTYIKELDNFPIYYMVVPSAILAVLIHPYNTHIYISQVLWAFCVYLEAVSVLPQLRMMQNAKMIEPFTAHYVFALGVARFLACAHWIIKVYETGGRYLFLVGAGHFWFPVAVFAEIVQTFILADFCYYYIKSFMEGRLIMRMPV >EOX93846 pep chromosome:Theobroma_cacao_20110822:1:16595882:16599170:1 gene:TCM_002811 transcript:EOX93846 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor family protein isoform 1 MGRKRSSPVTQLFLWIRGQSTEVKIFLAAVPLLFSLVALKLLVKDHNHFFIASELIHVAGISVLAYKLTTKKTCSGLSLKSQELTAIFLAVRLVCSFMLEGDIHTLLDFATLIFTAWVVFMIRYKLKSTYIKELDNFPIYYMVVPSAILAVLIHPYNTHIYISQVLWAFCVYLEAVSVLPQLRMMQNAKKMIEPFTAHYVFALGVARFLACAHWIIKVYETGGRYLFLVGAGHFWFPVAVFAEIVQTFILADFCYYYIKSFMEGRLIMRMPV >EOX93126 pep chromosome:Theobroma_cacao_20110822:1:10742422:10744825:1 gene:TCM_001977 transcript:EOX93126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene desaturation 1, putative MPPRFFKTCPFSKAISNLSYQLKVDKNGQRNREQWLQLCRILQVCAHQPHVRPLQSQAVSSCRVLVQRCHKCCSPVLMGPWHAIGCQVRPSTGNMAQASYLIRSGECNFLFTAPYSLSIAQAENLSPTATASIPTFDHAICRAFVASHGLAPRAIFVEVEDAETAFFISVSHGAKPSSPPTVLDNQAVLAEVFLFGDVVLRYISFKNSDHESPNDDENCTWFLPRFEKMEDTLSYPLDYGIRRLDHLVGSVPELGPAVSYLKEVTGFHEFAEFTTEDVGTLESGLNSLVLASNNEMVLLVICEPVFGTKRRSQIQTFLEHNDGEGVQHLALIKECEELGVLVDRDDQGTLLQIFTKPFGDRPTTFIEIIQRIGCMLKDDKGKMYQKGGCGGFGKGNFSELFKSVEEYEKSLERKKTTERAAA >EOX96757 pep chromosome:Theobroma_cacao_20110822:1:38890980:38899738:-1 gene:TCM_005939 transcript:EOX96757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vicianin hydrolase, putative MRRAPMCSGASFLFCLLVLFALILATCTHAAKPSYLRQFNRNSFPAGFLFGSGSAAYQVCSTAFVYAFRCEGAANEHGRGPSIWETFVREHPEKIADQSTGDVAVDFYHRYKEDIKLMKKFGFDSFRFFISWTRILPKGKISGGVNQQGVQFYNNLINELLANALEDEYGGFLSSKIVHDFEEYADFCFKTFGDRVKLWVTTNEPNGWSTNGYNSGSFAPGRCSSYVGNCSSGDSATEPYVVSDHLLLSHAAAVKLYKQKYQASQKGKIGITIVTNWYKPISNTAANRMATSRALDFFFGWFVRPITFGDYPKSMRSAVGSRLPKFSEAQSKMLKGSLDFLGVNYCTTNYVENAPSSNKVNLSYADDRRIKLTTEKKGVSIGLPTALSWLFIYPKGLRELLLYINENYNNPAIYITENGVADANNASLPIKDALKDNVRIRYLHGHLTYLLKAIKEGVDVKAYYVWTFLDDFEWDAGYTVRFGLTYIDFKNNLKRYLKYSAYWLKMFLLK >EOX96589 pep chromosome:Theobroma_cacao_20110822:1:38340393:38342876:1 gene:TCM_005813 transcript:EOX96589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase protein MGYLSCKAESAISIIPTSTTTQNSSSKTATNKQEKPIKIQQFDYGDLEAATNGFSDQRLLGKGSHGCVYKAVIRGRHVAIKKPSSRNQETNPEADNEIEILSKIQSPRLVNLLGFTNGTKDRLLVVEFMSNGTLYDVLHSNSSRPLYWGRRIRLALQVAKALETLHSQKPPIIHRDVKSANVLIDRNFNARLGDFGLALRCGVDDYRLRSTPPAGTIGYLDPCYVTPDNLSTKTDVFSFGILLLEIISGRKAIDVAHSPPSIVDWAIPLVKKGKIVAVYDPRIAPPKDPIVRKQLAVIAAKCVRSYRERRPAMKEVVGWLTGLSKLVPLHSWNGFSNPCLMVETVGRPVEFRNPQENLDGNFGRQTMRDSRRVYSDLGFSSNLMELMGMTGVDGESEVWREADVVERPESKPGSSVSRRRFGSKRYVNHGRGQQKSLGNENGVLGLRRNQSAGESSELFSRNDAFAQSSFSTKAVGDI >EOX91687 pep chromosome:Theobroma_cacao_20110822:1:3536308:3538839:-1 gene:TCM_000797 transcript:EOX91687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKLSRPAESNHVTLRQGLWHQRRGNEMQAVIAEALAMKGPRPTSSGSSSGEEDGDAEWKAAIQSIAAATTAIFTPNGFNTSFSNSSTTTTITKAKTTRNSSVSRPTPDTLNDDADDGEKTQQPQKLKHFQIKMIFNDPQRDQKSFLGEGFMRTRKRRQLRSIAVDGKDILAAARQSSQKSLARLEAKDAAAKEKAKREEERVAELKRIRGERWLPSVAREMQLNRSSDQQV >EOX91685 pep chromosome:Theobroma_cacao_20110822:1:3537026:3538817:-1 gene:TCM_000797 transcript:EOX91685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKLSRPAESNHVTLRQGLWHQRRGNEMQAVIAEALAMKGPRPTSSGSSSGEEDGDAEWKAAIQSIAAATTAIFTPNGFNTSFSNSSTTTTITKAKTTRNSSVSRPTPDTLNDDADDGEKTQQPQKLKHFQIKAQKLLDEMLEKSLVIVKDANNVPDDDSVVNEGGVRLFKNSTPGIVFDCGDDIQRPTKRPKILPGRGIHENSKEFRRQLRSIAVDGKDILAAARQSSQKSLARLEAKDAAAKEKAKREEERVAELKRIRGERWLPSVAREMQLNRSSDQQV >EOX91686 pep chromosome:Theobroma_cacao_20110822:1:3537056:3538839:-1 gene:TCM_000797 transcript:EOX91686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKLSRPAESNHVTLRQGLWHQRRGNEMQAVIAEALAMKGPRPTSSGSSSGEEDGDAEWKAAIQSIAAATTAIFTPNGFNTSFSNSSTTTTITKAKTTRNSSVSRPTPDTLNDDADDGEKTQQPQKLKHFQIKAQKLLDEMLEKSLVIVKDANNVPDDDSVVNEGGVRLFKNSTPGIVFDCGDDIQRPTKRPKILPGRGIHENSKETTTAVYSSGWERYIGCSKTIKPEITS >EOX94286 pep chromosome:Theobroma_cacao_20110822:1:27490221:27498596:-1 gene:TCM_003875 transcript:EOX94286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAYDKCGMFKITLELIKDVFGLQCAPDAMTHISDNLIVQDSKRELFMNNIGAPDSCSRVSMMNRILDLITSWTLRPVLSKYSFICKEELWFIHYIKPLTLVDLPYFMFSDIKKIVVGTRSNLISSGDSRLNANLAIVNKNAWHLDLLQDIFEGRFDEKDHAIISCFNDVDQHI >EOX93466 pep chromosome:Theobroma_cacao_20110822:1:13221547:13231646:-1 gene:TCM_002334 transcript:EOX93466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin, Nup133/Nup155-like, putative isoform 2 MFSPGLKRSKLSSRKERNLGQNLATPDSPVTPYTVNRKSAHETSIPDRPNTGTPAPWAPRLSVLARIPPANKNEKGDELDPIKPVFVGEFPQVVHDEQTSFLRKCLPADVCISGGMEKGTCLSWIICGNKIFIWSYLSSAASKKCITLELPSDVLENADVGRNSYHCNNWLLTVVNWNSTSKGTNKVPKDCYSAGIVLCNQKTRAVVYWSDIFADVGNAPVTSFASSDESLVTSSPIDGNNTTSRQQQRSRHGMSFIGSSSFNSLIASAIPGTQHVCVALACSSSGELWQFYCSPSGIQCDKVYQNIQNSQGTGIGQLVGSKGYPRSMIWRLRYFSVSDHNRQFLLLTDREIQCFNIKLCPDIEVSKLWSQEIVGNDGDLGIKKDLAGQKRIWPLDLQVDDPGKVITVLVATFCKDRVSSSSYTQYSLLTMQHKSGVRVSISSDVHERVLEKKAPIQVIIPKARVEDEDFLFSMRLQVGGKPSGSTIILSGDGTATVSHYYRNSTRLYQFDLPYDAGKVLDASVLPSTDDGEDGAWVVLTEKAGIWAIPEKAVVLGGVEPPERSLSRKGSSNEGSAQEERRNLMFAGNVAPRRASSDAWDAGDRQPPVMTGIIRRTAQDEESEALLGQFFHEFLISGKVDGSLEKLKNSGAFERDGETSIFVRTSKSIVDTLAKHWTTTRGAEIVSLGIISAQLMDKQQKHQKFLQFLALSKCHEELCSGQRHSLQIILEHGEKLSAIIQLRELQNVISQNRSTGVGSTHLSSETLISGALWDLIQLVGERARRNTVLLMDRDNAEVFYSKVSDFDQVFYCLERHLEYIISLEQPVEIQIQRSCELSNACVTIFRAAMDYKNEYHLWYPPPEGLTPWYCQLVVRNGLWSIASFMLQLLKETSELDVSAKSELYSHLEALTEVLLEVSSGAITAKIERGEEHKGLLNEYWSRRDALLDSLYQQVKGLVEAGNQDITESIEENNQEILRKLSSSLLSTSKQHEAYQTMWNICCDLNDSGLLRNLMHESVGPRGGFSYFVFKQLYEKKQFSKLLRLGEEFQEDLSNFLNHHRDLLWLHEVFLHQFSAASETLHILALSQEEDSISTTEDETDADHANPVPTLADRRRILNLSMIAAFAGKDPDSQPKVKRIEADLKILRLQEEIMEVLPTDDTMQHVEKHLLRPEELIELCLQSRSRELALQVFDVFAWTSSSFRKSHRNLLEECWKNAADQDPWSQLYEASVTEGWSDEETLQQLSQTILFQASNRCYGPKAETIEEGFDEVLPLRQENLEAASLNDKRSSVEAILMQHRDFPYAGKLMLTAIMLGCVQDHAKKEEGLSPVV >EOX93469 pep chromosome:Theobroma_cacao_20110822:1:13222319:13230890:-1 gene:TCM_002334 transcript:EOX93469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin, Nup133/Nup155-like, putative isoform 2 MEKGTCLSWIICGNKIFIWSYLSSAASKKCITLELPSDVLENADVGRNSYHCNNWLLTVVNWNSTSKGTNKVPKDCYSAGIVLCNQKTRAVVYWSDIFADVGNAPVTSFASSDESLVTSSPIDGNNTTSRQQQRSRHGMSFIGSSSFNSLIASAIPGTQHVCVALACSSSGELWQFYCSPSGIQCDKVYQNIQNSQGTGIGQLVGSKGYPRSMIWRLRYFSVSDHNRQFLLLTDREIQCFNIKLCPDIEVSKLWSQEIVGNDGDLGIKKDLAGQKRIWPLDLQVDDPGKVITVLVATFCKDRVSSSSYTQYSLLTMQHKSGVRVSISSDVHERVLEKKAPIQVIIPKARVEDEDFLFSMRLQVGGKPSGSTIILSGDGTATVSHYYRNSTRLYQFDLPYDAGKVLDASVLPSTDDGEDGAWVVLTEKAGIWAIPEKAVVLGGVEPPERSLSRKGSSNEGSAQEERRNLMFAGNVAPRRASSDAWDAGDRQPPVMTGIIRRTAQDEESEALLGQFFHEFLISGKVDGSLEKLKNSGAFERDGETSIFVRTSKSIVDTLAKHWTTTRGAEIVSLGIISAQLMDKQQKHQKFLQFLALSKCHEELCSGQRHSLQIILEHGEKLSAIIQLRELQNVISQNRSTGVGSTHLSSETLISGALWDLIQLVGERARRNTVLLMDRDNAEVFYSKVSDFDQVFYCLERHLEYIISLEQPVEIQIQRSCELSNACVTIFRAAMDYKNEYHLWYPPPEGLTPWYCQLVVRNGLWSIASFMLQLLKETSELDVSAKSELYSHLEALTEVLLEVSSGAITAKIERGEEHKGLLNEYWSRRDALLDSLYQQVKGLVEAGNQDITESIEENNQEILRKLSSSLLSTSKQHEAYQTMWNICCDLNDSGLLRNLMHESVGPRGGFSYFVFKQLYEKKQFSKLLRLGEEFQEDLSNFLNHHRDLLWLHEVFLHQFSAASETLHILALSQEEDSISTTEDETDADHANPVPTLADRRRILNLSMIAAFAGKDPDSQPKVKRIEADLKILRLQEEIMEVLPTDDTMQHVEKHLLRPEELIELCLQSRSRELALQVFDVFAWTSSSFRKSHRNLLEECWKNAADQDPWSQLYEASVTEGWSDEETLQQLSQTILFQASNRCYGPKAETIEEGFDEVLPLRQENLEAASLNDKRSSVEAILMQHRDFPYAGKLMLTAIMLGCVQDHAKKEEGLSPVV >EOX93467 pep chromosome:Theobroma_cacao_20110822:1:13224575:13231337:-1 gene:TCM_002334 transcript:EOX93467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin, Nup133/Nup155-like, putative isoform 2 MFSPGLKRSKLSSRKERNLGQNLATPDSPVTPYTVNRKSAHETSIPDRPNTGTPAPWAPRLSVLARIPPANKNEKGDELDPIKPVFVGEFPQVVHDEQTSFLRKCLPADVCISGGMEKGTCLSWIICGNKIFIWSYLSSAASKKCITLELPSDVLENADVGRNSYHCNNWLLTVVNWNSTSKGTNKVPKDCYSAGIVLCNQKTRAVVYWSDIFADVGNAPVTSFASSDESLVTSSPIDGNNTTSRQQQRSRHGMSFIGSSSFNSLIASAIPGTQHVCVALACSSSGELWQFYCSPSGIQCDKVYQNIQNSQGTGIGQLVGSKGYPRSMIWRLRYFSVSDHNRQFLLLTDREIQCFNIKLCPDIEVSKLWSQEIVGNDGDLGIKKDLAGQKRIWPLDLQVDDPGKVITVLVATFCKDRVSSSSYTQYSLLTMQHKSGVRVSISSDVHERVLEKKAPIQVIIPKARVEDEDFLFSMRLQVGGKPSGSTIILSGDGTATVSHYYRNSTRLYQFDLPYDAGKVLDASVLPSTDDGEDGAWVVLTEKAGIWAIPEKAVVLGGVEPPERSLSRKGSSNEGSAQEERRNLMFAGNVAPRRASSDAWDAGDRQPPVMTGIIRRTAQDEESEALLGQFFHEFLISGKVDGSLEKLKNSGAFERDGETSIFVRTSKSIVDTLAKHWTTTRGAEIVSLGIISAQLMDKQQKHQKFLQFLALSKCHEELCSGQRHSLQIILEHGEKLSAIIQLRELQNVISQNRSTGVGSTHLSSETLISGALWDLIQLVGERARRNTVLLMDRDNAEVFYSKVSDFDQVFYCLERHLEYIISLEQPVEIQIQRSCELSNACVTIFRAAMDYKNEYHLWYPPPEGLTPWYCQLVVRNGLWSIASFMLQLLKETSELDVSAKSELYSHLEALTEVLLEVSSGAITAKIERGEEHKGLLNEYWSRRDALLDSLYQQVKGLVEAGNQDITESIEENNQEILRKLSSSLLSTSKQHEAYQTMWNICCDLNDSGLLRNLMHESVGPRGGFSYFVFKQLYEKKQFSKLLRLGEEFQEDLSNFLNHHRDLLWLHEVFLHQFSAASETLHILALSQEEDSISTTEDETDADHANPVPTLADRRRILNLSMIAAFAGKDPDSQPKVKRIEADLKILRLQVMISSDSY >EOX93468 pep chromosome:Theobroma_cacao_20110822:1:13222355:13231151:-1 gene:TCM_002334 transcript:EOX93468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin, Nup133/Nup155-like, putative isoform 2 MFSPGLKRSKLSSRKERNLGQNLATPDSPVTPYTVNRKSAHETSIPDRPNTGTPAPWAPRLSVLARIPPANKNEKGDELDPIKPVFVGEFPQVVHDEQTSFLRKCLPADVCISGGMEKGTCLSWIICGNKIFIWSYLSSAASKKCITLELPSDVLENADVGRNSYHCNNWLLTVVNWNSTSKGTNKVPKDCYSAGIVLCNQKTRAVVYWSDIFADVGNAPVTSFASSDESLVTSSPIDGNNTTSRQQQRSRHGMSFIGSSSFNSLIASAIPGTQHVCVALACSSSGELWQFYCSPSGIQCDKVYQNIQNSQGTGIGQLVGSKGYPRSMIWRLRYFSVSDHNRQFLLLTDREIQCFNIKLCPDIEVSKLWSQEIVGNDGDLGIKKDLAGQKRIWPLDLQVDDPGKVITVLVATFCKDRVSSSSYTQYSLLTMQHKSGVRVSISSDVHERVLEKKAPIQVIIPKARVEDEDFLFSMRLQVGGKPSGSTIILSGDGTATVSHYYRNSTRLYQFDLPYDAGKVLDASVLPSTDDGEDGAWVVLTEKAGIWAIPEKAVVLGGVEPPERSLSRKGSSNEGSAQEERRNLMFAGNVAPRRASSDAWDAGDRQPPVMTGIIRRTAQDEESEALLGQFFHEFLISGKVDGSLEKLKNSGAFERDGETSIFVRTSKSIVDTLAKHWTTTRGAEIVSLGIISAQLMDKQQKHQKFLQFLALSKCHEELCSGQRHSLQIILEHGEKLSAIIQLRELQNVISQNRSTGVGSTHLSSETLISGALWDLIQLVGERARRNTVLLMDRDNAEVFYSKVSDFDQVFYCLERHLEYIISLEQPVEIQIQRSCELSNACVTIFRAAMDYKNEYHLWYPPPEGLTPWYCQLVVRNGLWSIASFMLQLLKETSELDVSAKSELYSHLEALTEVLLEVSSGAITAKIERGEEHKGLLNEYWSRRDALLDSLYQQVKGLVEAGNQDITESIEENNQEILRKLSSSLLSTSKQHEAYQTMWNICCDLNDSGLLRNLMHESVGPRGGFSYFVFKQLYEKKQFSKLLRLGEEFQEDLSNFLNHHRDLLWLHEVFLHQFSAASETLHILALSQEEDSISTTEDETDADHANPVPTLADRRRILNLSMIAAFAAGKDPDSQPKVKRIEADLKILRLQEEIMEVLPTDDTMQHVEKHLLRPEELIELCLQSRSRELALQVFDVFAWTSSSFRKSHRNLLEECWKNAADQDPWSQLYEASVTEGWSDEETLQQLSQTILFQASNRCYGPKAETIEEGFDEVLPLRQENLEAASLNDKRSSVEAILMQHRDFPYAGKLMLTAIMLGCVQDHAKKEEGLSPVV >EOX95360 pep chromosome:Theobroma_cacao_20110822:1:33873673:33879488:-1 gene:TCM_004872 transcript:EOX95360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like transcription factor family protein isoform 1 MDAKDILGLPKTPLPITQEKKPRPQKDSQRKPDGISREVYALTGGLAPLMPSIDASQLKRRPPSDEKITWQWLPFTSSARKDDLQLYHWVRVVNGVPPTGDYSFAKYNKSVDVIKYTDEEYEKYLTDPVWTKEETDQLFDLCERFDLRFIVIADRFPTFRTVEELKDRYYSVSRAILIARAPSPGEVAGHPLVKEPYNVSQETERKRALSMVLSQTKQQERKDAEVLAEAKRIAESRMAAQGAEEPDLPVTSDIGPESVERAIGHGDTISPSSVQVPSAAVAPSTSIMADNASTLASLRMLRVYLRTYALEQMVQAASSSAGLRTIKRVEQTLQDLEVNLKPKVPTKAVCAEHLELRKEILTLLNLQKQLQYKEAEGSSFRDGSYGDMPGTPKRSHRVVDQDRTFVPDAISFGGERAGKREQKRKGPGRVSETPSSPAHKRPRKMKASDL >EOX95357 pep chromosome:Theobroma_cacao_20110822:1:33873523:33879504:-1 gene:TCM_004872 transcript:EOX95357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like transcription factor family protein isoform 1 MDAKDILGLPKTPLPITQEKKPRPQKDSQRKPDGISREVYALTGGLAPLMPSIDASQLKRRPPSDEKITWQWLPFTSSARKDDLQLYHWVRVVNGVPPTGDYSFAKYNKSVDVIKYTDEEYEKYLTDPVWTKEETDQLFDLCERFDLRFIVIADRFPTFRTVEELKDRYYSVSRAILIARAPSPGEVAGHPLVKEPYNVSQETERKRALSMVLSQTKQQERKDAEVLAEAKRIAESRMAAQGAEEPDLPVTSDIGPESVERAIGHGDTISPSSVQVPSAAVAPSTSIMADNASTLASLRMLRVYLRTYALEQMVQAASSSAGLRTIKRVEQTLQDLEVNLKPKVPTKAVCAEHLELRKEILTLLNLQKQLQYKEAEGSSFRDGSYGDMPGTPKRSHRVVDQDRTFVPDAISFGGERAGKREQKRKGPGRVSETPSSPAHKRPRKMKASDL >EOX95358 pep chromosome:Theobroma_cacao_20110822:1:33873250:33879504:-1 gene:TCM_004872 transcript:EOX95358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like transcription factor family protein isoform 1 MDAKDILGLPKTPLPITQEKKPRPQKDSQRKPDGISREVYALTGGLAPLMPSIDASQLKRRPPSDEKITWQWLPFTSSARKDDLQLYHWVRVVNGVPPTGDYSFAKYNKSVDVIKYTDEEYEKYLTDPVWTKEETDQLFDLCERFDLRFIVIADRFPTFRTVEELKDRYYSVSRAILIARAPSPGEVAGHPLVKEPYNVSQETERKRALSMVLSQTKQQERKDAEVLAEAKRIAESRMAAQGAEEPDLPVTSDIGPESVERAIGHGDTISPSSVQVPSAAVAPSTSIMADNASTLASLRMLRVYLRTYALEQMVQAASSSAGLRTIKRVEQTLQDLEVNLKPKVPTKAVCAEHLELRKEILTLLNLQKQLQYKEAEGSSFRDGSYGDMPGTPKRSHRVVDQDRTFVPDAISFGGERAGKREQKRKGPGRVSETPSSPAHKRPRKMKASDL >EOX95359 pep chromosome:Theobroma_cacao_20110822:1:33874226:33879224:-1 gene:TCM_004872 transcript:EOX95359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like transcription factor family protein isoform 1 MDAKDILGLPKTPLPITQEKKPRPQKDSQRKPDGISREVYALTGGLAPLMPSIDASQLKRRPPSDEKITWQWLPFTSSARKDDLQLYHWVRVVNGVPPTGDYSFAKYNKSVDVIKYTDEEYEKYLTDPVWTKEETDQLFDLCERFDLRFIVIADRFPTFRTVEELKDRYYSVSRAILIARAPSPGEVAGHPLVKEPYNVSQETERKRALSMVLSQTKQQERKDAEVLAEAKRIAESRMAAQGAEEPDLPVTSDIGPESVERAIGHGDTISPSSVQVPSAAVAPSTSIMADNASTLASLRMLRVYLRTYALEQMVQAASSSAGLRTIKRVEQTLQDLEVNLKPKVPTKAVCAEHLELRKEILTLLNLQKQLQYKEAEGSSFRDGSYGDMPGTPKRSHRVVDQDRTFVPDAISFGGNISLLVFI >EOX95623 pep chromosome:Theobroma_cacao_20110822:1:34941913:34945969:1 gene:TCM_005077 transcript:EOX95623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease family C19-related protein isoform 1 MHFGVSTSSTPRCLPPFEETKGKKRVMNDLSNAAVREQQSSYSCKPIPSLALYILVTLFFIGLSVSIFILVVVHNAAFLLSFLFLSALVASFLAWNAVNWRHHNKAAFGFFLNSFPDSDLRLARQGQLVKITGVASCGSHSLETSYERVARCIYASTLLYEYGQFGLKPVNVNRSCFQWNLAYCERFSTDFYITDQKSGVRAVVKAGSGCEVMPLIIESELVNTTKQCRTLSPHLSNWLRDKNLSAEARLLRLEEGYVQEGSTVSIIGMLHKSNDILMIVQPPEIISTGCLWRRLLLPVDVDGLILGIPDTKPTLDLNSIQHPEQ >EOX95624 pep chromosome:Theobroma_cacao_20110822:1:34941952:34944998:1 gene:TCM_005077 transcript:EOX95624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease family C19-related protein isoform 1 MHFGVSTSSTPRCLPPFEETKGKKRVMNDLSNAAVREQQSSYSCKPIPSLALYILVTLFFIGLSVSIFILVVVHNAAFLLSFLFLSALVASFLAWNAVNWRHHNKAAFGFFLNSFPDSDLRLARQGQLVKITGVASCGSHSLETSYERVARCIYASTLLYEYGQFGLKPVNVNRSCFQWNLAYCERFSTDFYITDQKSGVRAVVKAGSGCEVMPLIIESELVNTTKQCRTLSPHLSNWLRDKNLSAEARLLRLEEGFE >EOX95917 pep chromosome:Theobroma_cacao_20110822:1:36020002:36026526:1 gene:TCM_005304 transcript:EOX95917 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain,ELM2 domain protein, putative isoform 3 MRADGSSLDCAKTPEKLEPAGYWVDLEPFSEGSFLKSEPDKLRFWFNKFLASFLKEICAQGCFWPLPPMLGDGQPVDLFKLFLVVREKGGYNAVSESGLWDLVAEESGLGLNVASSVKLVYVKYLVSLERWLERIIESEDSKSESDYSGHLMELGAELKGFLLASKKKVVEYSQVEESVVAGSDGGEKCVKNEESMHIDLTKRVLNYEGVGKLQNDDDSKSVVVDSDGDKKCMDGDECEESPSDLAKSAVNSSDVEKICNEDEVKSAIMEDFVDCKKCTDSDDDDNVVILDSNDTKEKFSSHKRKRESMWGMLNWITEIAKDPCDPVIGSLPERSKWKSYGNEELWKQVLLFREAAFHKKDDHSGVDQSSWQKNQKMHPCLYDDPTRFGYNLRERLSCPKKLLLGKMVSKGKNYSQSSSSGNHSDLDNSMVGIDKQSHGTYDSATPGSVFDYDNDMQVPIGPYFQVEVPDWTGLASESDPKWLGTRVWPLEKKEKRFLIERDHIGKGRQDSCGCHIQGSIQCVKFHVAEKRLKVKLELGSAFNQWKFDKMGEEVAFSWKEEEQRKFSSIVKSNPPLLDKCFWDEIYKYFRSKKSREELVCYYYNVFLLQRRAYQNRITPNNINSDDEESEAESGANGFGHEAVKSHTSILISPKKSQKKSRYSSSSKYGTL >EOX95919 pep chromosome:Theobroma_cacao_20110822:1:36020002:36026526:1 gene:TCM_005304 transcript:EOX95919 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain,ELM2 domain protein, putative isoform 3 MAGWSMRADGSSLDCAKTPEKLEPAGYWVDLEPFSEGSFLKSEPDKLRFWFNKFLASFLKEICAQGCFWPLPPMLGDGQPVDLFKLFLVVREKGGYNAVSESGLWDLVAEESGLGLNVASSVKLVYVKYLVSLERWLERIIESEDSKSESDYSGHLMELGAELKGFLLASKKKVVEYSQVEESVVAGSDGGEKCVKNEESMHIDLTKRVLNYEGVGKLQNDDDSKSVVVDSDGDKKCMDGDECEESPSDLAKSAVNSSDVEKICNEDEVKSAIMEDFVDCKKCTDSDDDDNVVILDSNDTKEKFSSHKRKRESMWGMLNWITEIAKDPCDPVIGSLPERSKWKSYGNEELWKQVLLFREAAFHKKDDHSGVDQSSWQKNQKMHPCLYDDPTRFGYNLRERLSCPKKLLLGKMVSKGKNYSQSSSSGNHSDLDNSMVGIDKQSHGTYDSATPGSVFDYDNDMQVPIGPYFQVEVPDWTGLASESDPKWLGTRVWPLEKKEKRFLIERDHIGKGRQDSCGCHIQGSIQCVKFHVAEKRLKVKLELGSAFNQWKFDKMGEEVAFSWKEEEQRKFSSIVKSNPPLLDKCFWDEIYKYFRSKKSREELVCYYYNVFLLQRRAYQNRITPNNINSDDEESEAESGANGFGHEAVKSHTSILISPKKSQKKSRYSSSSKYGTL >EOX95918 pep chromosome:Theobroma_cacao_20110822:1:36020087:36026084:1 gene:TCM_005304 transcript:EOX95918 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain,ELM2 domain protein, putative isoform 3 MRADGSSLDCAKTPEKLEPAGYWVDLEPFSEGSFLKSEPDKLRFWFNKFLASFLKEICAQGCFWPLPPMLGDGQPVDLFKLFLVVREKGGYNAVSESGLWDLVAEESGLGLNVASSVKLVYVKYLVSLERWLERIIESEDSKSESDYSGHLMELGAELKGFLLASKKKVVEYSQVEESVVAGSDGGEKCVKNEESMHIDLTKRVLNYEGVGKLQNDDDSKSVVVDSDGDKKCMDGDECEESPSDLAKSAVNSSDVEKICNEDEVKSAIMEDFVDCKKCTDSDDDDNVVILDSNDTKEKFSSHKRKRESMWGMLNWITEIAKDPCDPVIGSLPERSKWKSYGNEELWKQVLLFREAAFHKKDDHSGVDQSSWQKNQKMHPCLYDDPTRFGYNLRERLSCPKKLLLGKMVSKGKNYSQSSSSGNHSDLDNSMVGIDKQSHGTYDSATPGSVFDYDNDMQVPIGPYFQVEVPDWTGLASESDPKWLGTRVWPLEKKEKRFLIERDHIGKGRQDSCGCHIQGSIQCVKFHVAEKRLKVKLELGSAFNQWKFDKMGEEVAFSWKEEEQRKFSSIVKSNPPLLDKCFWDEIYKYFRSKKSREELVCYYYNVFLLQRRAYQNRITPNNINSDDEESEAESGANGFGHEAVKSHTSILISPKKSQKKSRYSSSSKYGTL >EOX96753 pep chromosome:Theobroma_cacao_20110822:1:38871831:38874997:-1 gene:TCM_005935 transcript:EOX96753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urophorphyrin methylase 1 isoform 2 MALPYNHHYKLSLSSLFSRKPISSRLQPICCLQCNSSVSSSPFTEKHSFQRYQRDRWVYDNNQRLSLNNNDHAGSCSIPPDTHSIRLNDIALQLPELRKLLQVLKHKRESCGGQVSRNGPGNVFLVGTGPGDPDLLTLKAVRVIQNADLLLYDRLVSNAVLDLVGPDARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIQVKVIPGITAASGIAAELGIPLTHRGVANSVRFLTGHSRKGGTDPLFVAENAADPDSTLVVYMGLSTLPSLALKLMHHGLPPDTPAAAVERGTTPQQRMVFAEVKDLADKIKMAELVSPTLIIIGKVVALSPFWRQSLKEESCLVEA >EOX96754 pep chromosome:Theobroma_cacao_20110822:1:38871904:38875174:-1 gene:TCM_005935 transcript:EOX96754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urophorphyrin methylase 1 isoform 2 MALPYNHHYKLSLSSLFSRKPISSRLQPICCLQCNSSVSSSPFTEKHSFQRYQRDRWVYDNNQRLSLNNNDHAGSCSIPPDTHSIRLNDIALQLPELRKLLQVLKHKRESCGGQVSRNGPGNVFLVGTGPGDPDLLTLKAVRVIQNADLLLYDRLVSNAVLDLVGPDARLLYVGKTAGYHSRTQQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIQVKVIPGSITAASGIAAELGIPLTHRGVANSVRFLTGHSRKGGTDPLFVAENAADPDSTLVVYMGLSTLPSLALKLMHHGLPPDTPAAAVERGTTPQQRMVFAEVKDLADKIKMAELVSPTLIIIGKVVALSPFWRQSLKEESCLVEA >EOX93903 pep chromosome:Theobroma_cacao_20110822:1:17269656:17272196:-1 gene:TCM_002903 transcript:EOX93903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLISPFDCCRNIESKLSRAFLFFAPIGHEFLILVDNQSWRMNKHSRSAQIKESMSTRYRMSPFKNSRTLLRSPSLRSRSSRSGKSSHWWFPTVSMATLKDSCPFSVVNLYETLHGFIVFEVAWEDVRGINYSNVLQNDASLALQGKSMRKWEFNDIDQALRSISSWFLGTPTETLTLQRNLILVQEKVPSHSPQGITISSGGLLFDDSSQVFQPLRNIVRGLVAFFTSCNQHRLSIPNHLREILGHPLRLAPHSGSFQQASDQVECNRKDVNIGPGEVDLKKM >EOX96561 pep chromosome:Theobroma_cacao_20110822:1:38251066:38254608:-1 gene:TCM_005788 transcript:EOX96561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MSAKVRRVRCSFQVHNSSLFLSSLPKPRAYAHSSISLISCSLIHFLNALNSQTRHSSSSSSTGFDYLNQFSLFRNSNKAAATDSFNLINSNERRRITVGLSKIIKSKRGYLLKGFSSEFCPWLIVEIMKHLETRETAFAFFKFAFRDDSESTIRSSCTVAHILAAQNLRFLAQDVVSWVIRRIGQNRGEDLVEFMWEGHHVFESDFSVLDTLMRAFLIVDMGSQALEILTRMREVGVRPSSSAMTILFKLLLRVGDYGSVWKLFRDMIHKGPCPSNYTFNVMIFGFCRKGHVRVGESLLNVMGKFKINPDVYAYNILINAYFISGRTSDALAWVHWMIERGCKPNIVTFSTIVNALCSEGNVVEARKVFDVIQELGLSPNVVICNTLINGYVKARDIGKANMLYEEMISKGIAPDAVTFNILVAGHYKYGREEDGDRLLRELAVLDLLPDYSLCDISVAGLCWAGHLDEAMEFLENMLEKGMTPSVVAFNSVIAAYSRAGLEDDAYKVYKIMIKSSLSPSSSTCSSLLMGLSKKGRLQDARELLYKMMEKGFLINRVAFTVLLDGYFRNGDLAGAQDLWNEMECRGISPDAVAFSAFINGLSKAGLMEEAYDLFLEMSKKGLIPNNFLYNSLIGGFCNGGKIHEALKLERGMRQKGLLPDIYTSNIIINGFCKQARMKSAFDAFMDIHLVGLAPDIVTYNTLIGGYCEALDMVRVDEFMNNLYAIGWEPDITTYNIRIHSFCRSRMMNRAVMMLDELVTAGVIPDTVTYNTMMNGVCRDILDRAMIITAKLLKMAFIPNVITTNVLLSHYCKQGMPERALMWGQKLSEISFDFDEVSYKIMDRAYCNVHKDVGFFKATSGKSLLLEFLMYITYDYFSRNRLKQKTSQETLKFLV >EOX94392 pep chromosome:Theobroma_cacao_20110822:1:28270416:28273420:1 gene:TCM_003980 transcript:EOX94392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 2.3 isoform 2 MSIFSKKPNPKEALRESKREMVHATRVDLKQDFVNGIEKEIGTLQLEEKKLVAEIKRTAKNGNENATKTLARQLVRLRQQIAKLQSSQAQMRGIATHTQAMHAQSSVAVGMKSATKAMSSMNKQMAPAKQAKIIQEFQRQSAQMDMTTEMMSDAIDGALDNDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIAGKNTEGVGSSGVDELEKRLAALRNA >EOX94393 pep chromosome:Theobroma_cacao_20110822:1:28270460:28273484:1 gene:TCM_003980 transcript:EOX94393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 2.3 isoform 2 MVHATRGIEKEIGTLQLEEKKLVAEIKRTAKNGNENATKTLARQLVRLRQQIAKLQSSQAQMRGIATHTQAMHAQSSVAVGMKSATKAMSSMNKQMAPAKQAKIIQEFQRQSAQMDMTTEMMSDAIDGALDNDEAEEETEELTNQVLDEIGVDVASQQLSSAPKGRIAGKNTEGVGSSGVDELEKRLAALRNA >EOX95654 pep chromosome:Theobroma_cacao_20110822:1:35071559:35072926:-1 gene:TCM_005109 transcript:EOX95654 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MDAAKWSQVQGFQDIGLVKPMEEMVSNTCTRPMLERKTRPPEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSTISSSSASSASAKVPDLNPTNLSQFSSQNPKAHKGQDLNLAFPAMQESHGISQYLQLPKMENNNEQQNYPSSCNTSSPLSALELLRTGMASRGLNSFIPAPTPDSNTLYSTGFSMQDYKPTLSFSIDTVGNRAGIHGVQENGGRLFFPFGEMKPISSTNEVDQNKGQGNSAGYWNNGVLGGGSW >EOX95655 pep chromosome:Theobroma_cacao_20110822:1:35071043:35072930:-1 gene:TCM_005109 transcript:EOX95655 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MWMINPFWKVQGFQDIGLVKPMEEMVSNTCTRPMLERKTRPPEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSTISSSSASSASAKVPDLNPTNLSQFSSQNPKAHKGQDLNLAFPAMQESHGISQYLQLPKMENNNEQQNYPSSCNTSSPLSALELLRTGMASRGLNSFIPAPTPDSNTLYSTGFSMQDYKPTLSFSIDTVGNRAGIHGVQENGGRLFFPFGEMKPISSTNEVDQNKGQGNSAGYWNNGVLGGGSW >EOX95653 pep chromosome:Theobroma_cacao_20110822:1:35071013:35073008:-1 gene:TCM_005109 transcript:EOX95653 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MDAAKWSQVQGFQDIGLVKPMEEMVSNTCTRPMLERKTRPPEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSTISSSSASSASAKVPDLNPTNLSQFSSQNPKAHKGQDLNLAFPAMQESHGISQYLQLPKMENNNEQQNYPSSCNTSSPLSALELLRTGMASRGLNSFIPAPTPDSNTLYSTGFSMQDYKPTLSFSIDTVGNRAGIHGVQENGGRLFFPFGEMKPISSTNEVDQNKGQGNSAGYWNNGVLGGGSW >EOX95656 pep chromosome:Theobroma_cacao_20110822:1:35070936:35073093:-1 gene:TCM_005109 transcript:EOX95656 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MEEMVSNTCTRPMLERKTRPPEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSTISSSSASSASAKVPDLNPTNLSQFSSQNPKAHKGQDLNLAFPAMQESHGISQYLQLPKMENNNEQQNYPSSCNTSSPLSALELLRTGMASRGLNSFIPAPTPDSNTLYSTGFSMQDYKPTLSFSIDTVGNRAGIHGVQENGGRLFFPFGEMKPISSTNEVDQNKGQGNSAGYWNNGVLGGGSW >EOX93716 pep chromosome:Theobroma_cacao_20110822:1:15401010:15401787:1 gene:TCM_002644 transcript:EOX93716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEGSEEYPKEYYTNNGNPRRLTPTSSSSSSTTSVHVTALDGLVNVNSLFTIAVFVGLSLTTPGQHSLENRAPCDADIDVAKKLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSKDVDEAFRAHINLKVLRFGMMGSAVGSVMGCLFLMLSMVNVIEIRLGMLSCGSKSAVHAVTALVILVSSALLVYISTAVYAFLH >EOX93717 pep chromosome:Theobroma_cacao_20110822:1:15400821:15403313:1 gene:TCM_002644 transcript:EOX93717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEGSEEYPKEYYTNNGNPRRLTPTSSSSSSTTSVHVTALDGLVNVNSLFTIAVFVGLSLTTPGQHSLENRAPCDADIDVAKKLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSKDVDEAFRAHINLKVLRFGMMGSAVGSVMGCLFLMLSMVNVIEIRLGMLSCGRSILQTYPFKVVIYQVATIIVFVALKITGI >EOX91804 pep chromosome:Theobroma_cacao_20110822:1:3940802:3945566:-1 gene:TCM_000878 transcript:EOX91804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mads box protein, putative MQGFSLLCTSEWSSQTKRGLVSLNCSFSMAGLSSKGRRRTQMWMIPGADARQVAFSKRRSGLFKKARELCTLCAVETALVVFSPGGKAFSFGHPGVDTIMNRLPNLSKPDLEAIQCTEAEYEASLRELNKEYSDIMEKLRAEKLRGERLKQMRMESQGQGQSLVERPVDELNLEELLTLKSMLEEFKVKLRKRMQELSVEAGALTLISAGEIDASLGGSTEGNSSGDPCDHDVGHAS >EOX91312 pep chromosome:Theobroma_cacao_20110822:1:2287298:2291062:1 gene:TCM_000552 transcript:EOX91312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulan like protein B13, putative MSLSREMLANGLEHLTRGWEPRGFATDAPLNHHNAKWCSRIGVGEDLNVNKARFARNFVVIPWIPPCPTFVYDLCLYIHFLSEKKSPKIEYSFNGSVTVLKETCSQLEAGVSSEQKPAALLASIRSMSATPRSALDAFRDIILWRRKKLSATVLLVSTATWVLLEVYQLNFITVASWLAMFIVASLFLWGNVLRLLGKEPPNLSDLELSKETALEIENTCRTFIEEVIGWMFHVTVEGDWLVFARTVVGLLLLSYVGSDLLTLLYIGIKTAMTVPLIYVKYGDQIKRCGERVKDQFRRLYEMFDEKVIRKMKSKIVREENKEKKVE >EOX92996 pep chromosome:Theobroma_cacao_20110822:1:9846528:9850528:-1 gene:TCM_001858 transcript:EOX92996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase superfamily protein isoform 2 MQPVAVAAFPNCCYPVPNRFLHFHFKENPSNLSFPRNQSHIRNLCSLTLPTTTSNFSKPHQENQNRTNSHTYPDQKSTFLDQFYSSFSKINVNNQDPDPQNEDKEENKHPRKTKFWHFLGVIFTNMWWTDLKAAVGQRINVEGIVSSVGVFVRDRHLALPHVAVRDIRCIDWNELHRRGFKGVVFDKDNTITAPYSLTLWPPLEPSIEQCKDVFGPDIAVFSNSAGLLEYDHDGSKAKKLEGTIGIKVIRHRVKKPAGTAEEIEKHFGCQSSQLIMVWVIGPSLM >EOX92995 pep chromosome:Theobroma_cacao_20110822:1:9845228:9851356:-1 gene:TCM_001858 transcript:EOX92995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase superfamily protein isoform 2 MQPVAVAAFPNCCYPVPNRFLHFHFKENPSNLSFPRNQSHIRNLCSLTLPTTTSNFSKPHQENQNRTNSHTYPDQKSTFLDQFYSSFSKINVNNQDPDPQNEDKEENKHPRKTKFWHFLGVIFTNMWWTDLKAAVGQRINVEGIVSSVGVFVRDRHLALPHVAVRDIRCIDWNELHRRGFKGVVFDKDNTITAPYSLTLWPPLEPSIEQCKDVFGPDIAVFSNSAGLLEYDHDGSKAKKLEGTIGIKVIRHRVKKPAGTAEEIEKHFGCQSSQLIMVGDRPFTDVVYGNRNGFLTILTEPLSLVEEPFIVRQVRRLELTLINRWFRRGLKPISHNLLPDTMHCVKDPSPLCCANNCMLENVKLWKHSG >EOX91553 pep chromosome:Theobroma_cacao_20110822:1:3072075:3079230:-1 gene:TCM_000697 transcript:EOX91553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal atpase 1 METHSISLTKFSPLSRPRCRLSRVNTFKFKPFILSPVIIRHKSLSLTLRSPHLRIRCVANHDHDHHFNHHHHHDHDHHHHHHHDSAKLSGPQGAVIGFAKAVRWMDLANYLREHLQLCCCATALFLAAAACPYLLPKPAVKPLQNSFLFVAFPLVGVSAALDAITDIAGGKVNIHVLMALAAFASVFMGNALEGGLLLAMFNLAHIAEEFFTSRSMVDVKELKENYPDSVLVLNLDDDNLPNVSNLSYRNVPVHDVEVGSYILVGTGEAVPVDCEVFQGSATITTEHLTGEIKPLEAKVGDRIPGGARNLDGRMIVKVTKTWKESTLSRIVQLTEEAQLNKPKLQRWLDEFGERYSKVVVVLSVTIAVLGPFLFKWPFISTAVCRGSIYRALGLMVAASPCALAVAPLAYAIAVSSCARKGILLKGGQVLDALASCHTVAFDKTGTLTTGGLMFKAIEPIYGHFIGNKKTNFTSCCIPSCEVEALAVAAAMEKGTTHPIGRAVVDHSIGKDLPSVSVESFEYFPGRGLIATLNSAKSGTREGKMLKASLGSVEFITSLCKSEDESRKIRAAVNASTYGSDFVHAALSVNEKVTLIHLEDRPRPGVLDVISELKDQAKLRVMMLTGDHKSSAWRVANAVGINEVYCSLKPEDKLNHVKRISRETGGGLSMVGEGINDAPALAAATVGIVLAHRASATAIAVADVLLLRDNISCVPFSIAKARQTTSLVKQNVALALTCIILASLPSVLGFLPLWLTVLLHEGGTLLVCLNSVRALNDPSWSWKQDLLHLISKLKSELTLLRHNTSSSTTQPAPL >EOX96673 pep chromosome:Theobroma_cacao_20110822:1:38609925:38612107:-1 gene:TCM_005872 transcript:EOX96673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase family protein isoform 1 MEREGTSTANEMKRRFKKICVFCGSNAGYKASFGDAALELGKQLVERKIDLVYGGGSVGLMGLISQTVFNGGCHVLGVIPRALLPQEISGETVGELKTVADMHQRKSEMAKHAEAFIALPGGYGTMEELLEMITWSQLGIHEKPVGLLNVDGYYNCLLDLFDKGVEEGFIDSSARHIVVIADTAEELIRKMEEYAPVHDKVAPRQSWEVDQLSESTGSGEPLSS >EOX96672 pep chromosome:Theobroma_cacao_20110822:1:38609918:38612065:-1 gene:TCM_005872 transcript:EOX96672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase family protein isoform 1 MEREGTSTANEMKRRFKKICVFCGSNAGYKASFGDAALELGKQLVERKIDLVYGGGSVGLMGLISQTVFNGGCHVLGVIPRALLPQEVKAIHLKRKKKTSLQISGETVGELKTVADMHQRKSEMAKHAEAFIALPGGYGTMEELLEMITWSQLGIHEKPVGLLNVDGYYNCLLDLFDKGVEEGFIDSSARHIVVIADTAEELIRKMEEYAPVHDKVAPRQSWEVDQLSESTGSGEPLSS >EOX94274 pep chromosome:Theobroma_cacao_20110822:1:27294692:27296488:1 gene:TCM_003854 transcript:EOX94274 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MKSPHFSMLPFLLVVLFTLCDQTLAHSHDDFLHCFSLHSADSASMSKVIYTQNNSSYLSILDVSIQNFSRCHTVGSGGHFSGRGYGILIRKYGLAADHIIDGHLIDDTGRILDRKSMAEDLFWAIKLPDDIFTDATITKVNSSQVGKKTIQAPFKALHVGGIDELIPLIQDRKRLDILLDNALPKSIFKGKSNNAKEPIPESGFEGIWSKFLEEEAEFALMMMVALGGKMDVIPDTQLPYPHRSGNLYQASYLVGWSKEENAESHKYISWIRRLYSNMAIYISKSPREAYFNYRDLDIRTNNIGYTSYAQASIWSLKYFKNNFNRLIHVKTMVDFENFFKNEQSVPPLSSFMEEQR >EOX91193 pep chromosome:Theobroma_cacao_20110822:1:1918467:1923133:1 gene:TCM_000465 transcript:EOX91193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein MSKLALFPPHHLHRHHLLLVLLLFFLLASATNAAATTAYHRFKEAPQFYNSPSCRSLGSSGASDMCSDEGVHVAMTLDAAYLRGSMAAILSVLQHSSCPQNILFHFIASSTANHHHLRHKISHSFPSLKFQIYPYASSAVSGLISTSIRSALDCPLNYARNYLANILPPCLRRVVYLDSDLVLVDDIAKLAATPLGDNSVLAAPEYCNANFTSYFTPTFWSNPTLSLTFAGRKACYFNTGVMVIDMQRWREGDYTTKIIEWMELQKRMRIYELGSLPPFLLVFAGNIAPVDHRWNQHGLGGDNYRGLCRDLHPGPVSLLHWSGKGKPWVRLDANRPCPLDALWAPYDLLQAPFALDWRLCSRLIPIALFLFLSIILFRRRVSYLPNQESDFGASSHGSYRLVALETWFVLPCEKE >EOX94508 pep chromosome:Theobroma_cacao_20110822:1:29302845:29303769:-1 gene:TCM_004117 transcript:EOX94508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHEENKKPECSVMETEKIIRTVNSSHSQVLCRIKIVGRSRNLDNRPQLNSSFHAIYTHAPRSERRIIDP >EOX95295 pep chromosome:Theobroma_cacao_20110822:1:33666500:33672090:-1 gene:TCM_004832 transcript:EOX95295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleases P/MRP protein subunit POP1, putative MAIDGSKTSQVSASQPPRKINVKKFAEARATELESLHSTISTRLNDDFRSRRNKRRRTTAFDNQVAKKRNRKRLRLVDKRYVSALETEQKEKSPLPRRVRRRLELKRNPGSGFVTSGDGTKRLRTHVWHAKRFTMTKRWGFYLPLGLQGRGRGSKAVLRWFEQGVVVHDASYNVAVQLEGPEDSLMATLQMVLVPSPSVQSQGVSSSVLSGITYGTAMLHYVGAPFSQPIAPVTYMWRPHEKSKEDGNNNCHDVIECNEPCRIGFRSCFRQLWIWIHASAFSKGYDAIKCACQKLMIERGITINCFSREGQLAELELIGSKAFQLLQKIVHPVTCILETCWQQQKCSNAKDSDDFQKKNSFTLENEEHVPSCAILSFTIKDPRILPAERTTDFLEPDSILDMQEVEANEHVNLTRTVYENEEVASPSCLKPEGNEILSINKNLWDVSSRIDPPEEDNILCMEKHQQRMDFFCLDDPKSGPPKTSNKVQCKRSCPILLLKNNNEKGSPLGWSVILPLSWTRVFWFFLVSKGAHAIGLREKRWISCEVGLPSFPSDFPDCNAYLALKEIEETASRQNAEQRPLAVRPFRISIPPPWDVVHVALDKLTMRVKEAQNSSGENMVGKNSLKNSSYERSDVTRCRNSFDGIVARTSSMLTDFLNGIHGEHLLLFPQFQNRKSSLIKFMKDKSMMGRGENGITQISYSHKLCYVRVHLHAYKEGVFEEGAVVCAPCLTDISVWTSSSGSIECGLKLPDSAVRSYFKEQSSGKWELQVPEDSASREYHRWPVGFVTTGFVRGSKKPIAEAFCEAVLLACLRKEQWNEIPVHRRRKEIFVLVRNLRSSACRLALATIVLEQREEDVGFL >EOX95909 pep chromosome:Theobroma_cacao_20110822:1:35980112:35987459:1 gene:TCM_005297 transcript:EOX95909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein-related, putative MAESSILCLVSSLIPSLFTLFSWFFGHCNSVEQLGGYDTFTISSFKYPETQIRPFDMRYVRVDLPPWFSSVLISLKSSVDLDIESIERVPKSMLPMICFRDGSLPLPDVSNTSFKALVALSNGSFEGIKVLQNTEQCYPVPKNMTIKLTNEQIAAGVLYFGLFNGVGPTRTQSKMIVRGPAYSFAANISVEGCTTSTMQGQYCNQTVDLLSCGRSGSYNSSGNLSVLGFHNQSMVSCRNNFETSCHGAGEMKIYSLEILRIAELLTISVKNVRLRPLNSTGNSSRIDVMCFARYGAMPSATLHDYSGNLNKSPLVIHSPKAGRLYITILPLNLSKEIGVAQGNASTVCYSLELQALECPLGKAGPTCSAERYMLQTVLRKDSTPFESYYLPDVEKVMSDAANFLLEPLLSNYTYGEGVIDTWTYFLLDVPRGAAGGNLHVRLTSDRKINYEIYARNGGLPALDNWDYYYVNKTSSSHGSMFFVLYHSSEQKIDFYILYVREGIWNIALRHLYNPGGTSDGQTTMSISLERCPKRCSYHGDCRSALDASGLTSYSFCACDRNHGGFDCSIQIVSHQGHIWQSIALIASNGAAVLPAFWALRQKAFAEWVLFTASGISSGLYHACDVGTWCALSFGVLQFMDFWLSFMAVVSTFVYLTTIDEVFKRTIHTVVAILTALMAITKATRSSNIILVMGIGALGLFVGWLIEFSTNYRSLSFSMGLCLNRLERWQIRDWLSNLVKTVLKRFRWGFVLAGFTALAMAAISWKLETSQNYWIWHSVWHVTIYSSSFFFLCSKVTTINSGNEGPSNGNYQLTQQDSISRGA >EOX91048 pep chromosome:Theobroma_cacao_20110822:1:1447106:1450721:-1 gene:TCM_000351 transcript:EOX91048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MSSSCAVSPSVRTEFLNPISNRFFAPSRNYHRPRSKCEMNRRGFALKGIVASGVSVVGSSLTTEPVQGLERLPFKPEGYNFWTWRGRKIHYVVQGEGLPIVLIHGFGASAFHWRYNIPELAKNYKVYAVDLLGFGWSEKAIIEYDAMIWRDQVVDFLKEIVKEPAVLVGNSLGGFTALVSAVGLPEQVVGLALLNSAGQFGDTKAKTTKPEETVLQKFILKPLKQIFQRLILGVLFWQAKQSARIESVLKTVYINTSNVDDYLVETIKFPANDPNAGEVYYRLMTRFMLNQTEYTLDSFLSKLTCPLLLLWGDLDPWVGPAKATRIKEFYPNTTLVNLQAGHCPHDEVPELVNKALEDWLSTLAAKSSL >EOX91753 pep chromosome:Theobroma_cacao_20110822:1:3714703:3717537:1 gene:TCM_000837 transcript:EOX91753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSWVKGATVFSNSCFHSWLSLPCKGNNMHSTDKRRGPPALSFLRPWVWDHGIVGNFTSVLDSRLFLYPGQARESSCSTLRQWANQLSGEQLLCLPGGQATNVPPAWSPGNSILIFFSDLNNVSNLPTGKDGKRRFCNTVTQQSQ >EOX94915 pep chromosome:Theobroma_cacao_20110822:1:32010454:32012704:1 gene:TCM_004516 transcript:EOX94915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSGSFSAERSTDTVMSFVVWKGRGIGNRKEEAKTSHCWIARALIGELNGNHGLLLKECQKAQQKSQNIVADEAVGLGAGCIQDFASGSIILSNNHWGDVKSEELI >EOX93605 pep chromosome:Theobroma_cacao_20110822:1:14191299:14198108:1 gene:TCM_002484 transcript:EOX93605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQMNMLKQQVNRLMNLISENGLISNNVDSVTPTVDCDNANTSTAQEIKMLTRKSTRQKQPPKYLDAYYVDLPSQTNFVTLHHITKHMSSKQVKLKENGEIERYKTRLVAKGYNQIPGFDYQETFNPVAKYSTVKVFFALVATNGLSLSQSNVNNVFLNGDLDEEVYIEIPQGYVVQEECPKGSKLVYKLHKSLYGLKQASKKWNAKLTTSLLQFGFNQSLVDYSLFTMKTSIIDFVALLRLEIMDIIEGISISQRKYTLDFLEEHGMLGAKPISTPIDYNHKLQKSQNGELLADPTGYRQLIGNLLYLTFSRPDITYAVQILSQFVDKPGQKHLVATHRLKHLLSDFGIDHDDPIILYSDSQSAIHISKNPVYHERTKHIELDYHFIKEKVLTDIIIPLYISTDLQTADIFSKALLSKQFYKLLSKMSVHDIHTSS >EOX91794 pep chromosome:Theobroma_cacao_20110822:1:3900866:3905055:1 gene:TCM_000869 transcript:EOX91794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding isoform 1 MEVNEQARCRELAKSSSFYRTVYSEVEEVGWEHLVRLGGDLTFLSFRVLDKKGRVHIMEIQLDKAYPRVPPMVSADVPYIFNLKWSMNSRLKNLVQQFQEHLEKLQGFWSTLDEIDRSLWVVDSKQASLAMSCRQIHVGNDCLIMLCININDPRSLPECRFMGSGTIVNSLRKTWKRNGHRWMSNKPFTENIECILKTQLPRPPDKEENNLLVECGICYAQYLPIGDELVPKSGSGTDYTCENNNCSKAFHSMCLVDWLRSITTTRQSFNVLFGNCPYCSEPVAVKTNTTKN >EOX91795 pep chromosome:Theobroma_cacao_20110822:1:3902209:3905183:1 gene:TCM_000869 transcript:EOX91795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding isoform 1 MEIQLDKAYPRVPPMVSADVPYIFNLKWSMNSRLKNLVQQFQEEFSFCIQHLEKLQGFWSTLDEIDRSLWVVDSKQASLAMSCRQIHVGNDCLIMLCININDPRSLPECRFMGSGTIVNSLRKTWKRNGHRWMSNKPFTENIECILKTQLPRPPDKEENNLLVECGICYAQYLPIGDELVPKSGSGTDYTCENNNCSKAFHSMCLVDWLRSITTTRQSFNVLFGNCPYCSEPVAVKTNTTKN >EOX92533 pep chromosome:Theobroma_cacao_20110822:1:7320683:7326949:1 gene:TCM_001471 transcript:EOX92533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit A isoform 1 MANFARAENALKRADELINVGQKQDALQALHDLITSKRYRAWQKTLERIMFKYVELCVDMRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMHLSSEKAEQARTQAQALEEALDVDDLEADNRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAQEAFRSVEDIHGLMCMVKKTPKSSLMVVYYAKLTEIFWISASHLYHAFAWFKLFTLQKNFNKNLSQKDLQLIASSVVLAALSVAPYNQTRGASHLKHENEKEHRIRMANLIGFNLDPKVDNREVVSRSLLLSELVSKGVLSCATQEVKDLYHLLEHEFLPLDAASKIQPLLTKISKLGGKLSSASSVPEVQLSQYIPALEKLATLRLLQQVSQVFQTMKMESLSQIIPFFDFSMVEKISVDAVKHNFIAMKFDHMKGIVVFGNMGLESDGLRVHLTNFAESLNKARAMIHPPVEKASKLAEILPGLGEVVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESRRLKMQKITEEAEQKRLAAEFEQRRAERIRQEIEERELEEAQALLEETEKRIRKGGKKKSILEGEKLTKQVLMERALTEQLKERQEMEKKLHKLAKTMDYLERAKREEAAPLIEAAFQQQLVEERVLHEREQQLEVELSRQHHDGDLREKNRLARMMDNKIIFQERVMSCRQVEFDRRREEREERISQIIQARKKEREFKRKKIFYVRSEEERLRKLHEEEEARKLEEAERRRKEEAERKAKLDEIAEKQRQRERELEEKERLRREALLGRSTEGLSRPSELPAGSHPSEPGAAAAPTTGKYVPRFKRERAVGSGQAPPSESDHWGSGSQAPPSQSDRWGSGSRAPPQDPERVGSGASRPLPHDSDRMGGSRAPQEPDKWGGNSSKTEPWRPSRARNPPRG >EOX92535 pep chromosome:Theobroma_cacao_20110822:1:7321677:7326949:1 gene:TCM_001471 transcript:EOX92535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit A isoform 1 MTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLHLYLDTRFEQLKIATELKLWQVSGLLYLQFLFMYSGIAFCFCLLMDFLCASSYCLQEAFRSVEDIHGLMCMVKKTPKSSLMVVYYAKLTEIFWISASHLYHAFAWFKLFTLQKNFNKNLSQKDLQLIASSVVLAALSVAPYNQTRGASHLKHENEKEHRIRMANLIGFNLDPKVDNREVVSRSLLLSELVSKGVLSCATQEVKDLYHLLEHEFLPLDAASKIQPLLTKISKLGGKLSSASSVPEVQLSQYIPALEKLATLRLLQQVSQVFQTMKMESLSQIIPFFDFSMVEKISVDAVKHNFIAMKFDHMKGIVVFGNMGLESDGLRVHLTNFAESLNKARAMIHPPVEKASKLAEILPGLGEVVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESRRLKMQKITEEAEQKRLAAEFEQRRAERIRQEIEERELEEAQALLEETEKRIRKGGKKKSILEGEKLTKQVLMERALTEQLKERQEMEKKLHKLAKTMDYLERAKREEAAPLIEAAFQQQLVEERVLHEREQQLEVELSRQHHDGDLREKNRLARMMDNKIIFQERVMSCRQVEFDRRREEREERISQIIQARKKEREFKRKKIFYVRSEEERLRKLHEEEEARKLEEAERRRKEEAERKAKLDEIAEKQRQRERELEEKERLRREALLGRSTEGLSRPSELPAGSHPSEPGAAAAPTTGKYVPRFKRERAVGSGQAPPSESDHWGSGSQAPPSQSDRWGSGSRAPPQDPERVGSGASRPLPHDSDRMGGSRAPQEPDKWGGNSSKTEPWRPSRARNPPRG >EOX92531 pep chromosome:Theobroma_cacao_20110822:1:7320343:7326989:1 gene:TCM_001471 transcript:EOX92531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit A isoform 1 MANFARAENALKRADELINVGQKQDALQALHDLITSKRYRAWQKTLERIMFKYVELCVDMRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMHLSSEKAEQARTQAQALEEALDVDDLEADNRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLHLYLDTRFEQLKIATELKLWQEAFRSVEDIHGLMCMVKKTPKSSLMVVYYAKLTEIFWISASHLYHAFAWFKLFTLQKNFNKNLSQKDLQLIASSVVLAALSVAPYNQTRGASHLKHENEKEHRIRMANLIGFNLDPKVDNREVVSRSLLLSELVSKGVLSCATQEVKDLYHLLEHEFLPLDAASKIQPLLTKISKLGGKLSSASSVPEVQLSQYIPALEKLATLRLLQQVSQVFQTMKMESLSQIIPFFDFSMVEKISVDAVKHNFIAMKFDHMKGIVVFGNMGLESDGLRVHLTNFAESLNKARAMIHPPVEKASKLAEILPGLGEVVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESRRLKMQKITEEAEQKRLAAEFEQRRAERIRQEIEERELEEAQALLEETEKRIRKGGKKKSILEGEKLTKQVLMERALTEQLKERQEMEKKLHKLAKTMDYLERAKREEAAPLIEAAFQQQLVEERVLHEREQQLEVELSRQHHDGDLREKNRLARMMDNKIIFQERVMSCRQVEFDRRREEREERISQIIQARKKEREFKRKKIFYVRSEEERLRKLHEEEEARKLEEAERRRKEEAERKAKLDEIAEKQRQRERELEEKERLRREALLGRSTEGLSRPSELPAGSHPSEPGAAAAPTTGKYVPRFKRERAVGSGQAPPSESDHWGSGSQAPPSQSDRWGSGSRAPPQDPERVGSGASRPLPHDSDRMGGSRAPQEPDKWGGNSSKTEPWRPSRARNPPRG >EOX92532 pep chromosome:Theobroma_cacao_20110822:1:7320683:7326949:1 gene:TCM_001471 transcript:EOX92532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit A isoform 1 MANFARAENALKRADELINVGQKQDALQALHDLITSKRYRAWQKTLERIMFKYVELCVDMRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMHLSSEKAEQARTQAQALEEALDVDDLEADNRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAQEAFRSVEDIHGLMCMVKKTPKSSLMVVYYAKLTEIFWISASHLYHAFAWFKLFTLQKNFNKNLSQKDLQLIASSVVLAALSVAPYNQTRGASHLKHENEKEHRIRMANLIGFNLDPKVDNREVVSRSLLLSELVSKGVLSCATQEVKDLYHLLEHEFLPLDAASKIQPLLTKISKLGGKLSSASSVPEVQLSQYIPALEKLATLRLLQQVSQVFQTMKMESLSQIIPFFDFSMVEKISVDAVKHNFIAMKFDHMKGIVVFGNMGLESDGLRVHLTNFAESLNKARAMIHPPVEKASKLAEILPGLGEVVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESRRLKMQKITEEAEQKRLAAEFEQRRAERIRQEIEERELEEAQALLEETEKRIRKGGKKKSILEGEKLTKQVLMERALTEQLKERQEMEKKLHKLAKTMDYLERAKREEAAPLIEAAFQQQLVEERVLHEREQQLEVELSRQHHDGDLREKNRLARMMDNKIIFQERVMSCRQVEFDRRREEREERISQIIQARKKEREFKRKKIFYVRSEEERLRKLHEEEEARKLEEAERRRKEEAERKAKLDEIAEKQRQRERELEEKERLRREALLGRSTEGLSRPSELPAGSHPSEPGAAAAPTTGKYVPRFKRERAVGSGQAPPSESDHWGSGSQAPPSQSDRWGSGSRAPPQDPERVGSGASRPLPHDSDRMGGSRAPQEPDKWGGNSSKTEPWRPSRARNPPRG >EOX92534 pep chromosome:Theobroma_cacao_20110822:1:7321048:7326949:1 gene:TCM_001471 transcript:EOX92534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit A isoform 1 MANFARAENALKRADELINVGQKQDALQALHDLITSKRYRAWQKTLERIMFKYVELCVDMRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMHLSSEKAEQARTQAQALEEALDVDDLEADNRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAQEAFRSVEDIHGLMCMVKKTPKSSLMVVYYAKLTEIFWISASHLYHAFAWFKLFTLQKNFNKNLSQKDLQLIASSVVLAALSVAPYNQTRGASHLKHENEKEHRIRMANLIGFNLDPKVDNREVVSRSLLLSELVSKGVLSCATQEVKDLYHLLEHEFLPLDAASKIQPLLTKISKLGGKLSSASSVPEVQLSQYIPALEKLATLRLLQQVSQVFQTMKMESLSQIIPFFDFSMVEKISVDAVKHNFIAMKFDHMKGIVVFGNMGLESDGLRVHLTNFAESLNKARAMIHPPVEKASKLAEILPGLGEVVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESRRLKMQKITEEAEQKRLAAEFEQRRAERIRQEIEERELEEAQALLEETEKRIRKGGKKKSILEGEKLTKQVLMERALTEQLKERQEMEKKLHKLAKTMDYLERAKREEAAPLIEAAFQQQLVEERVLHEREQQLEVELSRQHHDGDLREKNRLARMMDNKIIFQERVMSCRQVEFDRRREEREERISQIIQARKKEREFKRKKIFYVRSEEERLRKLHEEEEARKLEDEGKKKLNARQNWMRLLKSRGRENENWKKRKG >EOX91581 pep chromosome:Theobroma_cacao_20110822:1:3174369:3175340:-1 gene:TCM_000720 transcript:EOX91581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRHITSSRTKTATFKLLKLGLMISTVPYYRIAKFWRGDQSLYVEPDVVLCRLSTRAPTAACAQESMALHLPLCRSITPQS >EOX94606 pep chromosome:Theobroma_cacao_20110822:1:30435355:30437685:1 gene:TCM_004235 transcript:EOX94606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A 11 MAGKGGKGLLAAKTTAANKDKDKDKDKKRPVSRSSRAGIQFPVGRIHRHLKSRTSANGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKD >EOX95115 pep chromosome:Theobroma_cacao_20110822:1:32915218:32916285:1 gene:TCM_004676 transcript:EOX95115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-induced protein ARG2, putative MARSFSNAKLFSTFVADGISNAISRRGYAAASQGVVSGGAARNAAVVKKTGEEMAGAKEKVSWVPDPVTGFYRPENTAKEIDVAELRAMLLKKN >EOX92711 pep chromosome:Theobroma_cacao_20110822:1:8217447:8223337:-1 gene:TCM_001611 transcript:EOX92711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF869) [Source:Projected from Arabidopsis thaliana (AT3G05270) TAIR;Acc:AT3G05270] MDRRSWLWRRKSTEKSPGETDSSGGSISSYSERFSDEQAGATHSSLSLEVTSKAVPMDEEVNDNVKSLTEKLSAALINISAKEDLVKQHAKVAEEAVSGWEKAEKDVLALKQQLDAAIKKTAALEDRVGHLDGALKECVRQLRQAREEQERRIHEAVAKKCHEWESSKSELESQLVDLKAQLQTTKSETAASVDPDLHPKLEAFEKENSALKLQLLSRAEELQLRIIERDLSTQAAETASKQHLESIKKLAKLEAECRKLKVIARKASPANDQKSYAASSICVDSFTDSQSDSGDRLLAVETNMRKMSGLEMNECETSRSESWTSALITELDQFRNEKAVGRNIMAPSVEINLMDDFLEMERLAALPDTESATGFNEAGLVSDQTSTVENPLKAEVETFIHRIAELEGKLAMTEAEKLELKLAFTESQKQLETLQNQLREAETKLADLQTQLALADNSKQAAEDEVKVANMNREVAESRFRDAEIEVKTLLSKVTSLEEEVGREQALSARNVSKCKELEDELSKLKREAELRLDAERQLVASYNEELKAQQDKELAIAASKLAECQKTIASLGRQLKSLATLDDFLIDPDKPLELVDGGLQCPKNGEEQPKPGSTYMDFSKRGAESSKLVGEYVKYSQNGNAVESTLPL >EOX92710 pep chromosome:Theobroma_cacao_20110822:1:8217013:8223310:-1 gene:TCM_001611 transcript:EOX92710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF869) [Source:Projected from Arabidopsis thaliana (AT3G05270) TAIR;Acc:AT3G05270] MDRRSWLWRRKSTEKSPGETDSSGGSISSYSERFSDEQAGATHSSLSLEVTSKAVPMDEEVNDNVKSLTEKLSAALINISAKEDLVKQHAKVAEEAVSGWEKAEKDVLALKQQLDAAIKKTAALEDRVGHLDGALKECVRQLRQAREEQERRIHEAVAKKCHEWESSKSELESQLVDLKAQLQTTKSETAASVDPDLHPKLEAFEKENSALKLQLLSRAEELQLRIIERDLSTQAAETASKQHLESIKKLAKLEAECRKLKVIARKASPANDQKSYAASSICVDSFTDSQSDSGDRLLAVETNMRKMSGLEMNECETSRSESWTSALITELDQFRNEKAVGRNIMAPSVEINLMDDFLEMERLAALPDTESATGFNEAGLVSDQTSTVENPLKAEVETFIHRIAELEGKLAMTEAEKLELKLAFTESQKQLETLQNQLREAETKLADLQTQLALADNSKQAAEDEVKVANMNREVAESRFRDAEIEVKTLLSKVTSLEEEVGREQALSARNVSKCKELEDELSKLKREAELRLDAERQLVASYNEELKAQQDKELAIAASKLAECQKTIASLGRQLKSLATLDDFLIDPDKPLELVDGGLQCPKNGEEQPKPGSTYMDFSKRGAESSKLVGEYVKYSQNGNAVESTLPLKPVTASDKSRTGFGKIVPRSRSGKQV >EOX91945 pep chromosome:Theobroma_cacao_20110822:1:4560760:4569143:1 gene:TCM_000986 transcript:EOX91945 gene_biotype:protein_coding transcript_biotype:protein_coding description:MSCS-like 2 MSLAGSLQLSHDLGLCRNQGYNKKFKSVMGRSKPHLLSATLSSRSLVLQQDSWRIRLSDSLYRPIHSVPYRNNAFRCHAFRVPGQIFELPGVKAVSVAVTRSYNILQGSPLVFKLVPAFSIIIFALWGVAPLIRQGRSLLFHKSDNSWKKSRTLFITTSYFQPLLLWTGAILICRTLDPLVLPSEASQVVKQRLLNFVRSLSTVLAFAYCLSSMIQQLQKFFMETNETTDDTRNMGFQFAGKAIYSAVWIAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSAMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIVRGEDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPQVEQQRLHRRVFLENVNPENQALLILVSCFVKTSHLEEYLCVKEAILLDLLRVISHHRARLATPIRTLQKIYSDADLENIPFADSVYSHGGVPSNRPLLLIEPSYKINGEDRIKGRSSRPAGEQDSKTTARPRADTKADKAGATQKPDSKAKGAPSIEPKADAKIGETPNSDTKEDLKVAFASTSDLKTDDKVAMKSPSKSVPKKSSNAIETYSPDQKVLDSISDNLPQNKMVTDKQQKIARQSSKLDNPSGSSPDAGVDKAGGLREPLQSKQEGEKLPVTQPPIARPVLEENIVLGVALEGSKRTLPIEEGMTPSPADAKEIASASRNGSGSTAEDKKDGQVRSSPSTPDEQ >EOX95239 pep chromosome:Theobroma_cacao_20110822:1:33481625:33484355:-1 gene:TCM_004789 transcript:EOX95239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNCTSGCFIQDSGHKKMAKVIDSQGNLRKVKLPAKAAELMLEEPGHIISPVEELKQTRRVAAMRADDELLAGKFYVLVPIGRVHCKVTDADMAIIEAVCNGKRRRKSGAKVSPTVTEGLREEDESEVKVLGAKCMKGIPGYRLGNHRPWTPVLEPISEVL >EOX96090 pep chromosome:Theobroma_cacao_20110822:1:36578955:36580230:-1 gene:TCM_005425 transcript:EOX96090 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor MAGSETAKATTTGITTTDNTMSQPTKPMVRRFVGVRQRPSGRWVAEIKDSSQRVRLWLGTYDTPEEAARAYDEAARALRGENARTNFAPVNHNSSQSGSSPSNGGFVSQSDGRHGLSFSSLKAKLSKNLQSIMARTTENKSTKSRVSDHFTFASIFHFRNYQYQNPPVDMKNIEKVVQPSIIVPRAVDEPPSSNWDSSSVSDCSNEWVGFRQHGFDSDGSDIGEVSFGDQGFADQMMGWMDSPEISPRIMSPADGGSRSKRFKVSSSVVVPPTFSGSTCNTDN >EOX94609 pep chromosome:Theobroma_cacao_20110822:1:30439945:30444857:-1 gene:TCM_004237 transcript:EOX94609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDFIHKVDKKNHMPAFMFMQIMTQVVWLAVAFLRLCYPPSYHWLSVHLLKDLNETSL >EOX93082 pep chromosome:Theobroma_cacao_20110822:1:10288003:10288857:1 gene:TCM_001926 transcript:EOX93082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVGAPFVGNSCGGKLAGQTLDRLQMIQVRPAVEIHADIGHLRIECRKSAEGAFAWNYWAFNAAVCWKAGGTYLPGCRPLRMRPSV >EOX90898 pep chromosome:Theobroma_cacao_20110822:1:966820:971298:-1 gene:TCM_000240 transcript:EOX90898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein MAKGLSFFLSLLLCLPFLSQGEKNQAEIQALTSFKLNLHDPLGALNGWDPSTPAAPCDWHGVACTNNRVTELRLPHLQLSGRLSDRLSDLKFLTKLSLRSNSFNGTIPSTLSQCKLLRAVFLQYNSLSGTLPSEISNLTDLTILNVAQNHLSGEISGDLPQNIKYLDLSSNSFSGSIPRSIANLSQLQLINLSYNQFSGAIPASFGELQQLQYLWLDYNLLEGTLPSALANCCSLIHFSAEANALGGVIPAAIGALPKLQVVSLSHNNLSGTVPASLFCNVTAYPPSVRIVQLGFNLFTNVVGPASGICYSVLQVLDLSGNQIGGGFPLWLTGVTTLTMLDVSGNLLSGEVPVQIGNLSRLEELKMANNSFTGVIPVEIKQCGSLHVLDLEGNRFSGEIPGFFGGMTGLKVLSLGGNLFSGSVPGSLRNLTALETLNLGHNNLSGSLPEEIMGLSNLSTLDISGNKFSGEIPASIGNLSRVAVLNLSANGFSGKMPASLGNLFKLTTLDLSKQNLSAELPFELSGLPNLQVIALQENMLSGDVPEGFSSLMSLRYVNLSSNSFSGHIPENFGFLRSLVVLSLSNNHITGVIPPELGNCTELEALELGSNSLTGHIPADISRLSRLNVLELDGNNLTGEIPDEISKCLSLTTLLLDANQLSGSIPDSLSKLSNLTMLDLSSNNLSGEIPANLSLLSGLVYFNVSRNSLAGEIPVTLGSRFNSPSAFADNQDLCGKPLHKCEDIAEKNRRKRLILLIVAVVCAAFLLSFCCCFYVFSLLRWRKRLKEAAGEKKRSPARASSGASGGRGSTDNGGPKLVMFNNKITLAETIEATRQFAEENVLSRTRYGLVFKACYSDGMVLSVRRLPDGSLDENMFRKEAEFLGKVKHRNLTVLRGYYAGPPDLRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHTSNMVHGDVKPQNVLFDADFEAHLSDFGLDRLTLATPAEASTSTSVGTLGYVSPEAVLTGEATKESDVYSFGIVLLELLTGKRPVMFTQDEDIVKWVKKQLQRGQITELLEPGLLELDPESSEWEEFLLGVKVGLLCTAPDPLDRPTMSDIVFMLEGCRVGPDIPSSADPTSQPSPA >EOX95471 pep chromosome:Theobroma_cacao_20110822:1:34321606:34331810:1 gene:TCM_004958 transcript:EOX95471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiosis-specific protein ASY3 [Source:Projected from Arabidopsis thaliana (AT2G46980) UniProtKB/Swiss-Prot;Acc:Q0WR66] MTLRANSLFPPLFPIRKTHLKIHHPLFFFCFFHYYSTIFLFTSPYFSQFVSFKIPKNNRKPIDLQVLARDRPDQMSDCRSFGSNYHPSSQSRKISIGVTVDSLAKRKTGTTKENEGKLPNTERSKPSTGISTEGKTKGEAVKTAKGRQTEDAEQVKSPWITPRSFHKKSLAPETVFFPEETSNSRQKKLNAVKDVALTHSVQFFSNQTLNPQNVCCNQNKHDGLTYKRKGGKDGNSQTVEDFNFSNAHREFLESDKVVLEDKADKRQNVQTEALKIKLQELLGTVSSPKSQQSSSQSHEFNANNLRPEIITNNMGDTVEKPRQNSDTIETDSDNPDNTIKRPVTRSLTRKRAAAKVQPDKTKVGLSSNQKHRESIFSFGEGRPMKLGGSANGGSSLSRKMKIQKKSSKIDPRRICFPEEDNADEIQQTTYRSETSVPAEKTSLLGNKIESFPGSFNEKSRENFEKVQDKDSVYSPVINNTNQQVNFDNPTSPEKGEKQEDLANISLRNVVRTQHDFQSPTFECRTPTLNTSPSPTPKTVDIEQGDCSFVPLDRGFIIGNIRSFRTFQCSKPVCNKFIAQSQSPDDAEKHIDSSLRNPMPIKENIDAVNEHSEPSSEERWSESFEEGSPIIKRYDCHRENIISPETVIAEKPNLVHCPIKRLQNHEDVGLSEFSPTSPSQKEGVGDGESYWFQEPSEQDQEDELTRAVTLFALALETFKQKMDSATRKKSSEILMSISEEIKSLLLNAESQIESDIWKLTSLSKTKRKRLETRFQEKQEQLKLILEKFMEDIHHHLLDCRSTLEGMETHQIELKGIMKKQKASHQKLLVHVEEAVENQINNAERRITAIHESAREKMLQLKHVIAECLKDNIS >EOX95473 pep chromosome:Theobroma_cacao_20110822:1:34321606:34331810:1 gene:TCM_004958 transcript:EOX95473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiosis-specific protein ASY3 [Source:Projected from Arabidopsis thaliana (AT2G46980) UniProtKB/Swiss-Prot;Acc:Q0WR66] MTLRANSLFPPLFPIRKTHLKIHHPLFFFCFFHYYSTIFLFTSPYFSQFVSFKIPKNNRKPIDLQVLARDRPDQMSDCRSFGSNYHPSSQSRKISIGVTVDSLAKRKTGTTKENEGKLPNTERSKPSTGISTEGKTKGEAVKTAKGRQTEDAEQVKSPWITPRSFHKKSLAPETVFFPEETSNSRQKKLNAVKDVALTHSVQFFSNQTLNPQNVCCNQNKHDGLTYKRKGGKDGNSQTVEDFNFSNAHREFLESDKVVLEDKADKRQNVQTEALKIKLQELLGTVSSPKSQQSSSQSHEFNANNLRPEIITNNMGDTVEKPRQNSDTIETDSDNPDNTIKRPVTRSLTRKRAAAKVQPDKTKVGLSSNQKHRESIFSFGEGRPMKLGGSANGGSSLSRKMKIQKKSSKIDPRRICFPEEDNADEIQQTTYRSETSVPAEKTSLLGNKIESFPGSFNEKSRENFEKVQDKDSVYSPVINNTNQQVNFDNPTSPEKGEKQEDLANISLRNVVRTQHDFQSPTFECRTPTLNTSPSPTPKTVDIEQGDCSFVPLDRGFIIGNIRSFRTFQCSKPVCNKFIAQSQSPDDAEKHIDSSLRNPMPIKENIDAVNEHSEPSSEERWSESFEEGSPIIKRYDCHRENIISPETVIAEKPNLVHCPIKRLQNHEDVGLSEFSPTSPSQKGVGDGESYWFQEPSEQDQEDELTRAVTLFALALETFKQKMDSATRKKSSEILMSISEEIKSLLLNAESQIESDIWKLTSLSKTKRKRLETRFQEKQEQLKLILEKFMEDIHHHLLDCRSTLEGMETHQIELKGIMKKQKASHQKLLVHVEEAVENQINNAERRITAIHESAREKMLQLKHVIAECLKDNIS >EOX95472 pep chromosome:Theobroma_cacao_20110822:1:34324588:34331718:1 gene:TCM_004958 transcript:EOX95472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiosis-specific protein ASY3 [Source:Projected from Arabidopsis thaliana (AT2G46980) UniProtKB/Swiss-Prot;Acc:Q0WR66] MSDCRSFGSNYHPSSQSRKISIGVTVDSLAKRKTGTTKENEGKLPNTERSKPSTGISTEGKTKGEAVKTAKGRQTEDAEQVKSPWITPRSFHKKSLAPETVFFPEETSNSRQKKLNAVKDVALTHSVQFFSNQTLNPQNVCCNQNKHDGLTYKRKGGKDGNSQTVEDFNFSNAHREFLESDKVVLEDKADKRQNVQTEALKIKLQELLGTVSSPKSQQSSSQSHEFNANNLRPEIITNNMGDTVEKPRQNSDTIETDSDNPDNTIKRPVTRSLTRKRAAAKVQPDKTKVGLSSNQKHRESIFSFGEGRPMKLGGSANGGSSLSRKMKIQKKSSKIDPRRICFPEEDNADEIQQTTYRSETSVPAEKTSLLGNKIESFPGSFNEKSRENFEKVQDKDSVYSPVINNTNQQVNFDNPTSPEKGEKQEDLANISLRNVVRTQHDFQSPTFECRTPTLNTSPSPTPKTVDIEQGDCSFVPLDRGFIIGNIRSFRTFQCSKPVCNKFIAQSQSPDDAEKHIDSSLRNPMPIKENIDAVNEHSEPSSEERWSESFEEGSPIIKRYDCHRENIISPETVIAEKPNLVHCPIKRLQNHEDVGLSEFSPTSPSQKGVGDGESYWFQEPSEQDQEDELTRAVTLFALALETFKQKMDSATRKKSSEILMSISEEIKSLLLNAESQIESDIWKLTSLSKTKRKRLETRFQEKQEQLKLILEKFMEDIHHHLLDCRSTLEGMETHQIELKGIMKKQKASHQKLLVHVEEAVENQINNAERRITAIHESAREKMLQLKHVIAECLKDNIS >EOX92580 pep chromosome:Theobroma_cacao_20110822:1:7600646:7602086:-1 gene:TCM_001514 transcript:EOX92580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylem NAC domain 1, putative MEMKDGCINLPPGFRFCPTDEELVLHFLYPKALLLPCHPNIIPELDLHLLHPWELNGKALLSGNHCFFFTQMMENRVLENGYWKQLDTEEPIFGGAGKKIGMKKFFVFYINEAPFGIETNWLMQEYHLCNWDSTLTSYKTTGNQKLDCSKWVLCRVEESKGNSQSFSYSDEDDGTELSCLDEMFLSMDDDLDDISSSKFF >EOX95352 pep chromosome:Theobroma_cacao_20110822:1:33862141:33869419:-1 gene:TCM_004869 transcript:EOX95352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of Uncharacterized protein function 724 6, putative isoform 1 MVNPPPDTDALQQQPFGKATPVEVSSDEEGFRGAWYLATILEFPPKSTSKKRKKALVQYKTLLAEDGSSPLTEHVDPAFVRPLPPQEKEEDGQVFEVNDVVDARYRDGWWTGVVRRVLEKSKYRVYFDNPPDVIEFESKDLRVHWDWIDGKWVRPEKQQSTGSIFSSGTAVEVSIDKESIRDVWFPALVIKELGENSFLVKYQSSRNDDESGTVKVVVDSLHIRPTPPRYADRNYELLERVDTTYNFGWRSGVITKVLTGRRYNVFFKHGNEDKELTHTDIRPNVEWINGKWVSKSKEVLIASEEQEQIGNAHCGTQNPVVAGEHGSLFATKDSTEDKTPLTSISKNFMEQPTPADENNALLSSKKKIKLETPNGNTLRSRPSKKSTEGNTVETRSLVSGDQLKDMLNETSCKEGTPKTGGTGTRLTKKTVIVDQPCAKSESPLTGSTTQTASNDCLFCQHHRSNWKTKRQKVGSVDSKISNLVKRNVRARKSPSEGPQVSTAGKEGITGTAEEINEGEVKTKEVEMPIILGLTAKSTKTSQAENSFQIPNDESLKLKGDPRNSVNDSVGNENMEIKEQKVGVSNQKRKRGRPRKSVVTSPKAFDAGKEQNRTGGLADEKALKDCTSNETELSKHKGVDLSDEDQPLRTWIGGMHSSVDEESRLSSGRLVNGWNEEREGLVDVPVESLAIDARGRSPFDDDRSLPFVKKSPVWRTIESMDVFQIVPQKPHFQPLVGNKEEFIEGSAIGIMVTFAGLFEKISMLHFDDPRNTFDSILESLNDLEKHGFDVTLLQHRLNELLSIKEGQGQHLGERENAGREIIENTKETTKFEDEMEEIEKKITELQERHTAIKSEKETKDLKIASLRLQVDVLNDVIQTASQDFKKVATAPWKLP >EOX95351 pep chromosome:Theobroma_cacao_20110822:1:33862035:33869064:-1 gene:TCM_004869 transcript:EOX95351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of Uncharacterized protein function 724 6, putative isoform 1 MVNPPPDTDALQQQPFGKATPVEVSSDEEGFRGAWYLATILEFPPKSTSKKRKKALVQYKTLLAEDGSSPLTEHVDPAFVRPLPPQEKEEDGQVFEVNDVVDARYRDGWWTGVVRRVLEKSKYRVYFDNPPDVIEFESKDLRVHWDWIDGKWVRPEKQQSTGSIFSSGTAVEVSIDKESIRDVWFPALVIKELGENSFLVKYQSSRNDDESGTVKVVVDSLHIRPTPPRYADRNYELLERVDTTYNFGWRSGVITKVLTGRRYNVFFKHGNEDKELTHTDIRPNVEWINGKWVSKSKEVLIASEEQEQIGNAHCGTQNPVVAGEHGSLFATKDSTEDKTPLTSISKNFMEQPTPADENNALLSSKKKIKLETPNGNTLRSRPSKKSTEGNTVETRSLVSGDQLKDMLNETSCKEGTPKTGGTGTRLTKKTVIVDQPCAKSESPLTGSTTQTASNDCLFCQHHRSNWKTKRQKVGSVDSKISNLVKRNVRARKSPSEGPQVSTAGKEGITGTAEEINEGEVKTKEVEMPIILGLTAKSTKTSQAENSFQIPNDESLKLKGDPRNSVNDSVGNENMEIKEQKVGVSNQKRKRGRPRKSVVTSPKAFDAGKEQNRTGGLADEKALKDCTSNETELSKHKGVDLSDAFKGRTTDISAYKTKEVHLAIADISNMADEDQPLRTWIGGMHSSVDEESRLSSGRLVNGWNEEREGLVDVPVESLAIDARGRSPFDDDRSLPFVKKSPVWRTIESMDVFQIVPQKPHFQPLVGNKEEFIEGSAIGIMVTFAGLFEKISMLHFDDPRNTFDSILESLNDLEKHGFDVTLLQHRLNELLSIKEGQGQHLGERENAGREIIENTKETTKFEDEMEEIEKKITELQERHTAIKSEKETKDLKIASLRLQVDVLNDVIQTASQDFKKVATAPWKLP >EOX95353 pep chromosome:Theobroma_cacao_20110822:1:33862035:33869144:-1 gene:TCM_004869 transcript:EOX95353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of Uncharacterized protein function 724 6, putative isoform 1 MVNPPPDTDALQQQPFGKATPVEVSSDEEGFRGAWYLATILEFPPKSTSKKRKKALVQYKTLLAEDGSSPLTEHVDPAFVRPLPPQEKEEDGQVFEVNDVVDARYRDGWWTGVVRRVLEKSKYRVYFDNPPDVIEFESKDLRVHWDWIDGKWVRPEKQQSTGSIFSSGTAVEVSIDKESIRDVWFPALVIKELGENSFLVKYQSSRNDDESGTVKVVVDSLHIRPTPPRYADRNYELLERVDTTYNFGWRSGVITKVLTGRRYNVFFKHGNEDKELTHTDIRPNVEWINGKWEVLIASEEQEQIGNAHCGTQNPVVAGEHGSLFATKDSTEDKTPLTSISKNFMEQPTPADENNALLSSKKKIKLETPNGNTLRSRPSKKSTEGNTVETRSLVSGDQLKDMLNETSCKEGTPKTGGTGTRLTKKTVIVDQPCAKSESPLTGSTTKTKRQKVGSVDSKISNLVKRNVRARKSPSEGPQVSTAGKEGITGTAEEINEGEVKTKEVEMPIILGLTAKSTKTSQAENSFQIPNDESLKLKGDPRNSVNDSVGNENMEIKEQKVGVSNQKRKRGRPRKSVVTSPKAFDAGKEQNRTGGLADEKALKDCTSNETELSKHKGVDLSDEDQPLRTWIGGMHSSVDEESRLSSGRLVNGWNEEREGLVDVPVESLAIDARGRSPFDDDRSLPFVKKSPVWRTIESMDVFQIVPQKPHFQPLVGNKEEFIEGSAIGIMVTFAGLFEKISMLHFDDPRNTFDSILESLNDLEKHGFDVTLLQHRLNELLSIKEGQGQHLGERENAGREIIENTKETTKFEDEMEEIEKKITELQERHTAIKSEKETKDLKIASLRLQVDVLNDVIQTASQDFKKVATAPWKLP >EOX94249 pep chromosome:Theobroma_cacao_20110822:1:26770050:26797960:-1 gene:TCM_003802 transcript:EOX94249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin 2 isoform 2 MAITAGLGVGSLVWVEDSDIAWIDGEVVEVKREDIKVLCTSGKTIVVKASNVYPKDAEAPPCGVDDMTKLAYLHEPGVLQNLRSRYDMNEIYTYTGNILIAVNPFRKLPHLYDSHMMAQYKGAAFGELSPHPFAVADAAYRLMINEGISQSILVSGESGAGKTESTKLLMRYLAYMGGRAAAEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDRRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDIQRYKLGNPRTFHYLNQSNCYELDGVDDCKEYIATRRAMDVVGINSDEQDAIFRVVAAILHLGNIEFAKGKEIDSSVPKDEKSWFHLRTAAELLMCDEKLLENSLCKRIIVTRDETITKWLDPESAALSRDALAKIVYSRLFDWIVDKINSSIGQDPDSKFLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFSKPKLSRCDFTISHYAGDVTYQTELFLDKNKDYVVAEHQALLGASKCSFVSGLFPPLAEESSKSSKFSSIGSRFKQQLQALLETLSATEPHYMRCVKPNNLLKPAIFENKNVLQQLRCGGVMEAIRISCAGYPTKKPFDEFVDRFGLLAPDVLDGSSDEIAACKKLLEKVGLQGYQIGKTKVFLRAGQMAELDTRRSEVLGRSASIIQRKIRSYLARRSFIVLRRSALQMQSACRGQLARKVYEGMRREAASLRVQRDLRMHLARKGYKELCSSAVSIQTGMRGMAARNELRFRRQTRAAIIIQSQTRKYLAQLHYLKLKKAAIATQCAWRGRLARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRVDLEEAKTQENAKLQSALQDIQLRFKETKELLAKEREAAKRAAEVVPVIQEVPVVDHAMLEKLTSENEKLKAMVSSLEKKIDETEKKFEETNKISEERLKQALDAESKIVQLKTVMHRLEEKISDMESENQVLRQQTLLKSPVKKILEHPPIPVIPNLENGHHMDEGNKSNEPQSVTPVKKFGTESDGKLRRSNLERQHENVDALINCVTKDIGFSHGKPVAAFTIYKCLLHWKSFEAERTNVFDRLIQMIGSAIENEENNGHMAYWLSNTSALLFLLQKSLKAAGSSGATPSRKPPPATSLFGRMTMGFRSSPSSNNLTAAAALAVVRQVEAKYPALLFKQQLAAYVEKIYGIIRDNLKKELSSLLSLCIQAPRTSKGSVLRSGRSFGKDSPSTHWQSIIDSLNTLLSTLKENFVRNLIFFFNFMNFVPPVLIQKIFTQTFSYINVQLFNSLLLRRECCTFSNGEYVKAGLAELELWCCQAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPILSVQQLYRICTLYWDDNYNTRSVSPTVISSMRVLMTEDSNDAVGSSFLLDDNSSIPFSVDDLSNSLQEKDFLEVKPAEELIGNPAFQFLHE >EOX94250 pep chromosome:Theobroma_cacao_20110822:1:26770200:26785439:-1 gene:TCM_003802 transcript:EOX94250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin 2 isoform 2 MKNCCFRSCRLMINEGISQSILVSGESGAGKTESTKLLMRYLAYMGGRAAAEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDRRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDIQRYKLGNPRTFHYLNQSNCYELDGVDDCKEYIATRRAMDVVGINSDEQDAIFRVVAAILHLGNIEFAKGKEIDSSVPKDEKSWFHLRTAAELLMCDEKLLENSLCKRIIVTRDETITKWLDPESAALSRDALAKIVYSRLFDWIVDKINSSIGQDPDSKFLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFSKPKLSRCDFTISHYAGDVTYQTELFLDKNKDYVVAEHQALLGASKCSFVSGLFPPLAEESSKSSKFSSIGSRFKQQLQALLETLSATEPHYMRCVKPNNLLKPAIFENKNVLQQLRCGGVMEAIRISCAGYPTKKPFDEFVDRFGLLAPDVLDGSSDEIAACKKLLEKVGLQGYQIGKTKVFLRAGQMAELDTRRSEVLGRSASIIQRKIRSYLARRSFIVLRRSALQMQSACRGQLARKVYEGMRREAASLRVQRDLRMHLARKGYKELCSSAVSIQTGMRGMAARNELRFRRQTRAAIIIQSQTRKYLAQLHYLKLKKAAIATQCAWRGRLARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRVDLEEAKTQENAKLQSALQDIQLRFKETKELLAKEREAAKRAAEVVPVIQEVPVVDHAMLEKLTSENEKLKAMVSSLEKKIDETEKKFEETNKISEERLKQALDAESKIVQLKTVMHRLEEKISDMESENQVLRQQTLLKSPVKKILEHPPIPVIPNLENGHHMDEGNKSNEPQSVTPVKKFGTESDGKLRRSNLERQHENVDALINCVTKDIGFSHGKPVAAFTIYKCLLHWKSFEAERTNVFDRLIQMIGSAIENEENNGHMAYWLSNTSALLFLLQKSLKAAGSSGATPSRKPPPATSLFGRMTMGFRSSPSSNNLTAAAALAVVRQVEAKYPALLFKQQLAAYVEKIYGIIRDNLKKELSSLLSLCIQAPRTSKGSVLRSGRSFGKDSPSTHWQSIIDSLNTLLSTLKENFVPPVLIQKIFTQTFSYINVQLFNSLLLRRECCTFSNGEYVKAGLAELELWCCQAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPILSVQQLYRICTLYWDDNYNTRSVSPTVISSMRVLMTEDSNDAVGSSFLLDDNSSIPFSVDDLSNSLQEKDFLEVKPAEELIGNPAFQFLHE >EOX94251 pep chromosome:Theobroma_cacao_20110822:1:26771362:26787647:-1 gene:TCM_003802 transcript:EOX94251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin 2 isoform 2 MAITAGLGVGSLVWVEDSDIAWIDGEVVEVKREDIKVLCTSGKTIVVKASNVYPKDAEAPPCGVDDMTKLAYLHEPGVLQNLRSRYDMNEIYTYTGNILIAVNPFRKLPHLYDSHMMAQYKGAAFGELSPHPFAVADAAYRLMINEGISQSILVSGESGAGKTESTKLLMRYLAYMGGRAAAEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDRRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDIQRYKLGNPRTFHYLNQSNCYELDGVDDCKEYIATRRAMDVVGINSDEQDAIFRVVAAILHLGNIEFAKGKEIDSSVPKDEKSWFHLRTAAELLMCDEKLLENSLCKRIIVTRDETITKWLDPESAALSRDALAKIVYSRLFDWIVDKINSSIGQDPDSKFLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFSKPKLSRCDFTISHYAGDVTYQTELFLDKNKDYVVAEHQALLGASKCSFVSGLFPPLAEESSKSSKFSSIGSRFKQQLQALLETLSATEPHYMRCVKPNNLLKPAIFENKNVLQQLRCGGVMEAIRISCAGYPTKKPFDEFVDRFGLLAPDVLDGSSDEIAACKKLLEKVGLQGYQIGKTKVFLRAGQMAELDTRRSEVLGRSASIIQRKIRSYLARRSFIVLRRSALQMQSACRGQLARKVYEGMRREAASLRVQRDLRMHLARKGYKELCSSAVSIQTGMRGMAARNELRFRRQTRAAIIIQSQTRKYLAQLHYLKLKKAAIATQCAWRGRLARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRVDLEEAKTQENAKLQSALQDIQLRFKETKELLAKEREAAKRAAEVVPVIQEVPVVDHAMLEKLTSENEKLKAMVSSLEKKIDETEKKFEETNKISEERLKQALDAESKIVQLKTVMHRLEEKISDMESENQVLRQQTLLKSPVKKILEHPPIPVIPNLENGHHMDEGNKSNSVTPVKKFGTESDGKLRRSNLERQHENVDALINCVTKDIGFSHGKPVAAFTIYKCLLHWKSFEAERTNVFDRLIQMIGSAIENEENNGHMAYWLSNTSALLFLLQKSLKAAGSSGATPSRKPPPATSLFGRMTMGFRSSPSSNNLTAAAALAVVRQVEAKYPALLFKQQLAAYVEKIYGIIRDNLKKELSSLLSLCIQAPRTSKGSVLRSGRSFGKDSPSTHWQSIIDSLNTLLSTLKENFVPPVLIQKIFTQTFSYINVQLFNSLLLRRECCTFSNGEYVKAGLAELELWCCQAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPILSVQQLYRI >EOX94366 pep chromosome:Theobroma_cacao_20110822:1:28124830:28130907:1 gene:TCM_003955 transcript:EOX94366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid sterol acyl transferase 1 isoform 5 MLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCIEFGIEANAIIAVPYDWRLPPSLLEERDLYFHKLKLTFETALKLRGGPSVVFAHSLGNHVFRYFLEWLKLEIAPKQYIQWLDEHIYAYFAVGAPLLGAIETVKAALSGNTFGLPVSEGTARLMFNSFGSSLWMMPFSKYCRADGKYLKHFSGGIRKDHHTYQCEQEEFHSNYSGWPTNIINIEIPSIRGFDAYPSVSEFAETNLSSMECGLPTQLSFSAREVSDGTFFKAIEDYDSDSKRILYQLKKSYHDDPVLNPFTPWDRPPIKNVFCIYGIDSKTEVGYYFAPSGKPYPDNWIITDVIYEIEGSLISRSGNQVEGNPGPASGDETVPYHSLSWCKNWLGQRVNITRAPQSEHDGSDVQVELNVEHHYEQDIVPNMTRSPRVKYITYYEDSESIPGQRTAVWELDKANHRNIVRSPVLMRELWLQIWHDIHLDTKSNFVTKG >EOX94367 pep chromosome:Theobroma_cacao_20110822:1:28123780:28131631:1 gene:TCM_003955 transcript:EOX94367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid sterol acyl transferase 1 isoform 5 MGGEEQQRRRLAVALAAVLLLGTFELSEGEFNGDYSKLSGIIIPGFASTQLRAWSILDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCIEFGIEANAIIAVPYDWRLPPSLLEERDLYFHKLKLTFETALKLRGGPSVVFAHSLGNHVFRYFLEWLKLEIAPKQYIQWLDEHIYAYFAVGAPLLGAIETVKAALSGNTFGLPVSEGTARLMFNSFGSSLWMMPFSKYCRADGKYLKHFSGGIRKDHHTYQCEQEEFHSNYSGWPTNIINIEIPSIRGFDAYPSVSEFAETNLSSMECGLPTQLSFSAREVSDGTFFKAIEDYDSDSKRILYQLKKSYHDDPVLNPFTPWDRPPIKNVFCIYGIDSKTEVGYYFAPSGKPYPDNWIITDVIYEIEGSLISRSGNQVEGNPGPASGDETVPYHSLSWCKNWLGQRVNITRAPQSEHDGSDVQVELNVEHHYEQDIVPNMTRSPRVKYITYYEDSESIPGQRTAVWELDKANHRNIVRSPVLMRELWLQIWHDIHLDTKSNFVTKAKRGPLRNEDCYWDYGKARCAWPEYCEYRYVFGDVHLGQSCRLRNSSADTLLHYV >EOX94364 pep chromosome:Theobroma_cacao_20110822:1:28123588:28131812:1 gene:TCM_003955 transcript:EOX94364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid sterol acyl transferase 1 isoform 5 MGGEEQQRRRLAVALAAVLLLGTFELSEGEFNGDYSKLSGIIIPGFASTQLRAWSILDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCIEFGIEANAIIAVPYDWRLPPSLLEERDLYFHKLKLTFETALKLRGGPSVVFAHSLGNHVFRYFLEWLKLEIAPKQYIQWLDEHIYAYFAVGAPLLGAIETVKAALSGNTFGLPVSEGTARLMFNSFGSSLWMMPFSKYCRADGKYLKHFSGGIRKDHHTYQCEQEEFHSNYSGWPTNIINIEIPSIRGFDAYPSVSEFAETNLSSMECGLPTQLSFSAREVSDGTFFKAIEDYDSDSKRILYQLKKWVQFLMYDVVFHQKLILRVLSSILPHKIMWSCRKVVRHGTITCSGMCFNAIFFRSYHDDPVLNPFTPWDRPPIKNVFCIYGIDSKTEVGYYFAPSGKPYPDNWIITDVIYEIEGSLISRSGNQVEGNPGPASGDETVPYHSLSWCKNWLGQRVNITRAPQSEHDGSDVQVELNVEHHYEQDIVPNMTRSPRVKYITYYEDSESIPGQRTAVWELDKANHRNIVRSPVLMRELWLQIWHDIHLDTKSNFVTKAKRGPLRNEDCYWDYGKARCAWPEYCEYRYVFGDVHLGQSCRLRNSSADTLLHYV >EOX94368 pep chromosome:Theobroma_cacao_20110822:1:28123706:28131348:1 gene:TCM_003955 transcript:EOX94368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid sterol acyl transferase 1 isoform 5 MGGEEQQRRRLAVALAAVLLLGTFELSEGEFNGDYSKLSGIIIPGFASTQLRAWSILDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCIEFGIEANAIIAVPYDWRLPPSLLEERDLYFHKLKLTFETALKLRGGPSVVFAHSLGNHVFRYFLEWLKLEIAPKQYIQWLDEHIYAYFAVGAPLLGAIETVKAALSGNTFGLPVSEGTARLMFNSFGSSLWMMPFSKYCRADGKYLKHFSGGIRKDHHTYQCEQEEFHSNYSGWPTNIINIEIPSIRGFDAYPSVSEFAETNLSSMECGLPTQLSFSAREVSDGTFFKAIEDYDSDSKRILYQLKKSYHDDPVLNPFTPWDRPPIKNVFCIYGIDSKTEVGYYFAPSGKPYPDNWIITDVIYEIEGSLISRSGNQVEGNPGPASGDETVPYHSLSWCKNWLGQRVNITRAPQSEHDGSDVQVELNVEHHYEQDIVPNMTRSPRVKYITYYEDSESIPGQRTAVWELDKGT >EOX94365 pep chromosome:Theobroma_cacao_20110822:1:28124087:28133467:1 gene:TCM_003955 transcript:EOX94365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid sterol acyl transferase 1 isoform 5 MLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCIEFGIEANAIIAVPYDWRLPPSLLEERDLYFHKLKLTFETALKLRGGPSVVFAHSLGNHVFRYFLEWLKLEIAPKQYIQWLDEHIYAYFAVGAPLLGAIETVKAALSGNTFGLPVSEGTARLMFNSFGSSLWMMPFSKYCRADGKYLKHFSGGIRKDHHTYQCEQEEFHSNYSGWPTNIINIEIPSIRGFDAYPSVSEFAETNLSSMECGLPTQLSFSAREVSDGTFFKAIEDYDSDSKRILYQLKKSYHDDPVLNPFTPWDRPPIKNVFCIYGIDSKTEVGYYFAPSGKPYPDNWIITDVIYEIEGSLISRSGNQVEGNPGPASGDETVPYHSLSWCKNWLGQRVNITRAPQSEHDGSDVQVELNVEHHYEQDIVPNMTRSPRVKYITYYEDSESIPGQRTAVWELDKANHRNIVRSPVLMRELWLQIWHDIHLDTKSNFVTKAKRGPLRNEDCYWDYGKARCAWPEYCEYRYVFGDVHLGQSCRLRNSSADTLLHYV >EOX91005 pep chromosome:Theobroma_cacao_20110822:1:1304839:1307345:-1 gene:TCM_000317 transcript:EOX91005 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative MIKAPIYVSETLGKNISTMRSSKSNNLSSESIMNKFPKSLSTMFPSAASAFTTYASISTSLMLFRSIFNDLIPDPLRRQIVSALSSLFRFCTGKQTLVIEQTDGIEPNQVFNASEAYLSTKISPESKRLKVSKRSKDKALTFNLVKGQSITDSFQGVELKWRHVCHEVEMKGNRNDSQAVEKRYFDAEMIESESRVLKIYTLGTNRYYYCKLWESIKLEHPATFDTLALDTELKNMIREDLNRFLRRKEFYKRVGKSWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLQLETIKSDSELRKLLLAIANKSILVIEDIDCDASLPDRPGANASAHRRSGQEKQLTLSGLLNFIDGLWSSCGDERIIVLTTNHKQRLDPALWRPGRMDMHINMSYCTTQAFRILASNYLGTKGEHRLFRDIDELLEATKVTPAQVAEELMKNEDADTALEAFFTFLKQKKIEDDELEQEASKGNEIQESREQEKIECKSLKKLETTPCKNTA >EOX95226 pep chromosome:Theobroma_cacao_20110822:1:33405225:33407735:-1 gene:TCM_004777 transcript:EOX95226 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-like DNA-binding domain protein MGRAPCCEKVGLKKGRWTTEEDEILTKYIQANGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNITAEEEELIINLHATLGNRWSLIASHLPGRTDNEIKNYWNSHLSRKIHSFRRPSCQSLPVILDMTKAGIAKRKGGRTNRWEMKKTKSYSTQEDDGSSSQKTVENVSVNEVVPLPSTPILEKETLSTTAIEDRMVLDPYGEDKERMIPVRTSPCQDTGEGMLGSSEERERLVPMSSSGEERTIENSMLCPSGNAETETDIAPFESIESGGMLCFNDIMDNELLEPNGDLTLSEWGENKVTVNVEERENSGILSPNKTAANNEEIESGNLSSNVDCGDLNSCSSITSCFVDECNIDNNLDWDWERVVQGNELWDEKEYMPSWLWESDDDGKGERHKLEDKDFKRQNSLVAWLFY >EOX90661 pep chromosome:Theobroma_cacao_20110822:1:262978:271958:1 gene:TCM_000066 transcript:EOX90661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 3 MFVKKLVEKASKKPGGNSDGLKASDVDPHMVFHYGIPLGCCMLAYDSIQKILAISTMDGRIKLFGRDNSQALLESDDMVPSKFMEVMQNQGILVNVNYKNDIEVWDLDKKLLSHVHVFKEEITSFTVMQSGPYMYVGDSVGNIKVLKIDQELCHVVQMKYAIPFSASHGNPTEVASDRAVISIMPQPTAESKRILIIFKDGFITLWEIRESKAILVAGGSMFQSVHNEAKHVTSACWVCPFGSKVAVGYNNGEILIWSVPTSKLKNEPASEISIQNAPTCKLVLGFRSEKIPIASLKWAYADGKATRLYVMGASDVASTSLLQVVLLNEHTESRTIKLGLHLSEPCVDMVITSSTTEQSKLKQDFLLLVGKSGNIYMYDDCSIEKYLLQSQSRSPPSLPKEVMLKMPFADSNITVAKLIADNPYALSSDEDYILLSKDFPSLVPLETKSKDGGHSNSYQFSGFGRVKNLYITGHSDGAINFWDLSCPFPIPILSLKQQSEDDFSLSGIALTALYFDGNSRILISGDQSGTVRIFKLKPEPYAAENSFISFQGSTKKGNNQIIHSVKVLNVSGSVLSLNISHSTRHLAIGSDEGDVSVFDMDGPSIIFQSHIASDICLGIISMQFKTCTMQNFEKNVLVVATKDSSVLAFDSDTGNMLSASMVRPKKPSRALFMQILDWQDTSARGANISIGADMNRGSPIEEGIPKQSYILICSEKAAYVYSLIHAIQGVKKVHYKRKFNSTSCCWASTFYTASDVGLLLLFANGKVEIRSLPELSLLKETSIRGFRYSTPKPNSLSDSSMCSSNCGDLVMVNGDQEFLIISVLLQKESFRILDSVSRIYRKDLMLSQEVLASGTAVQKEKKKGIFGSVLKEMKGSKKHVHEVETEDTRESIEQLSTIFSTANFPCEVENRDNQATDEDEVDLDIDDIDLDDPGEKPKEQNILAALNKHKLKFQAFTAGKLKQMKVKNEKTITKEEQQDEKSSAVDQIKKRYGFSLHGESSAAKMAESKLHENLKKLQVLVFWKTH >EOX90663 pep chromosome:Theobroma_cacao_20110822:1:263159:271171:1 gene:TCM_000066 transcript:EOX90663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 3 MFVKKLVEKASKKPGGNSDGLKASDVDPHMVFHYGIPLGCCMLAYDSIQKILAISTMDGRIKLFGRDNSQALLESDDMVPSKFMEVMQNQGILVNVNYKNDIEVWDLDKKLLSHVHVFKEEITSFTVMQSGPYMYVGDSVGNIKVLKIDQELCHVVQMKYAIPFSASHGNPTEVASDRAVISIMPQPTAESKRILIIFKDGFITLWEIRESKAILVAGGSMFQSVHNEAKHVTSACWVCPFGSKVAVGYNNGEILIWSVPTSKLKNEPASEISIQNAPTCKLVLGFRSEKIPIASLKWAYADGKATRLYVMGASDVASTSLLQVVLLNEHTESRTIKLGLHLSEPCVDMVITSSTTEQSKLKQDFLLLVGKSGNIYMYDDCSIEKYLLQSQSRSPPSLPKEVMLKMPFADSNITVAKLIADNPYALSSDEDYILLSKDFPSLVPLETKSKDGGHSNSYQFSGFGRVKNLYITGHSDGAINFWDLSCPFPIPILSLKQQSEDDFSLSGIALTALYFDGNSRILISGDQSGTVRIFKLKPEPYAAENSFISFQGSTKKGNNQIIHSVKVLNVSGSVLSLNISHSTRHLAIGSDEGDVSVFDMDGPSIIFQSHIASDICLGIISMQFKTCTMQNFEKNVLVVATKDSSVLAFDSDTGNMLSASMVRPKKPSRALFMQILDWQDTSARGANISIGADMNRGSPIEEGIPKQSYILICSEKAAYVYSLIHAIQGVKKVHYKRKFNSTSCCWASTFYTASDVGLLLLFANGKVEIRSLPELSLLKETSIRGFRYSTPKPNSLSDSSMCSSNCGDLVMVNGDQEFLIISVLLQKESFRILDSVSRIYRKDLMLSQEVLASGTAVQKEKKKGIFGSVLKEMKGSKKHVHEVETEDTRESIEQLSTIFSTANFPCEVENRDNQATDEDEVDLDIDDIDLDDPGEKPKEQNILAALNKHKLKFQAFTAGKLKQMKVKNEKTITKEEQQDEKSSAVDQIKKRYGFSLHGVSIFAQWKHRECV >EOX90660 pep chromosome:Theobroma_cacao_20110822:1:262978:271958:1 gene:TCM_000066 transcript:EOX90660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 3 MFVKKLVEKASKKPGGNSDGLKASDVDPHMVFHYGIPLGCCMLAYDSIQKILAISTMDGRIKLFGRDNSQALLESDDMVPSKFMEVMQNQGILVNVNYKNDIEVWDLDKKLLSHVHVFKEEITSFTVMQSGPYMYVGDSVGNIKVLKIDQELCHVVQMKYAIPFSASHGNPTEVASDRAVISIMPQPTAESKRILIIFKDGFITLWEIRESKAILVAGGSMFQSVHNEAKHVTSACWVCPFGSKVAVGYNNGEILIWSVPTSKLKNEPASEISIQNAPTCKLVLGFRSEKIPIASLKWAYADGKATRLYVMGASDVASTSLLQVVLLNEHTESRTIKLGLHLSEPCVDMVITSSTTEQSKLKQDFLLLVGKSGNIYMYDDCSIEKYLLQSQSRSPPSLPKEVMLKMPFADSNITVAKLIADNPYALSSDEDYILLSKDFPSLVPLETKSKDGGHSNSYQFSGFGRVKNLYITGHSDGAINFWDLSCPFPIPILSLKQQSEDDFSLSGIALTALYFDGNSRILISGDQSGTVRIFKLKPEPYAAENSFISFQGSTKKGNNQIIHSVKVLNVSGSVLSLNISHSTRHLAIGSDEGDVSVFDMDGPSIIFQSHIASDICLGIISMQFKTCTMQNFEKNVLVVATKDSSVLAFDSDTGNMLSASMVRPKKPSRALFMQILDWQDTSARGANISIGADMNRGSPIEEGIPKQSYILICSEKAAYVYSLIHAIQGVKKVHYKRKFNSTSCCWASTFYTASDVGLLLLFANGKVEIRSLPELSLLKETSIRGFRYSTPKPNSLSDSSMCSSNCGDLVMVNGDQEFLIISVLLQKESFRILDSVSRIYRKDLMLSQEVLASGTAVQKEKKKGIFGSVLKEMKGSKKHVHEVETEDTRESIEQLSTIFSTANFPCEVENRDNQATDEDEVDLDIDDIDLDDPGEKPKEQNILAALNKHKLKFQAFTAGKLKQMKVKNEKTITKEEQQDEKSSAVDQIKKRYGFSLHGESSAAKMAESKLHENLKKLQGISLKTTEMQDTAKSFSSMARELLRTTEQEKRIS >EOX90662 pep chromosome:Theobroma_cacao_20110822:1:262978:271958:1 gene:TCM_000066 transcript:EOX90662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 3 MFVKKLVEKASKKPGGNSDGLKASDVDPHMVFHYGIPLGCCMLAYDSIQKILAISTMDGRIKLFGRDNSQALLESDDMVPSKFMEVMQNQGILVNVNYKNDIEVWDLDKKLLSHVHVFKEEITSFTVMQSGPYMYVGDSVGNIKVLKIDQELCHVVQMKYAIPFSASHGNPTEVASDRAVISIMPQPTAESKRILIIFKDGFITLWEIRESKAILVAGGSMFQSVHNEAKHVTSACWVCPFGSKVAVGYNNGEILIWSVPTSKLKNEPASEISIQNAPTCKLVLGFRSEKIPIASLKWAYADGKATRLYVMGASDVASTSLLQVVLLNEHTESRTIKLGLHLSEPCVDMVITSSTTEQSKLKQDFLLLVGKSGNIYMYDDCSIEKYLLQSQSRSPPSLPKEVMLKMPFADSNITVAKLIADNPYALSSDEDYILLSKDFPSLVPLETKSKDGGHSNSYQFSGFGRVKNLYITGHSDGAINFWDLSCPFPIPILSLKQQSEDDFSLSGIALTALYFDGNSRILISGDQSGTVRIFKLKPEPYAAENSFISFQGSTKKGNNQIIHSVKVLNVSGSVLSLNISHSTRHLAIGSDEGDNFEKNVLVVATKDSSVLAFDSDTGNMLSASMVRPKKPSRALFMQILDWQDTSARGANISIGADMNRGSPIEEGIPKQSYILICSEKAAYVYSLIHAIQGVKKVHYKRKFNSTSCCWASTFYTASDVGLLLLFANGKVEIRSLPELSLLKETSIRGFRYSTPKPNSLSDSSMCSSNCGDLVMVNGDQEFLIISVLLQKESFRILDSVSRIYRKDLMLSQEVLASGTAVQKEKKKGIFGSVLKEMKGSKKHVHEVETEDTRESIEQLSTIFSTANFPCEVENRDNQATDEDEVDLDIDDIDLDDPGEKPKEQNILAALNKHKLKFQAFTAGKLKQMKVKNEKTITKEEQQDEKSSAVDQIKKRYGFSLHGESSAAKMAESKLHENLKKLQGISLKTTEMQDTAKSFSSMARELLRTTEQEKRIS >EOX93652 pep chromosome:Theobroma_cacao_20110822:1:14511618:14520030:-1 gene:TCM_002534 transcript:EOX93652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 1 MDDFPGLLAKDFGIKPQGKSAPMAPPRNPSSGTNISSGSNYGFRSDFTRSSSGNAKSSSNSIFDDHDRDGLIFNDVFGGPPKYSSESRATTAQTSSFDYDSIFKDPKPPVFDKPVYDDDLFDGLPGIKSSSSAASAAKYDDVFASISGSSPPPKHRSVSSSPFDELLGNLGRKETDTKTKSERVKAEKDAPVFDDLLPGFGRSSSPAPARSTSDAHRSQKPASNSIKTGSNSMEDPFVVIESTSVPADSSSGLFTDPLEEINKINGSGKSRVESSSGSGGVFDDIDPLDGLGKSVPPVSSEINKRGKDRSPLRTASGAQTPASKESIDEEYEHHTKKRMPSVDSFLESHQPVFDMPNVSTDFHSSVGQTTSPPPYSNVSSNDTSSQVNTTPRSEENFDTSDDVWLTVSEIPLFTEPTSAPPPSRPPPPRPPRVSKSETGSFSSTNAKKVNEFSSFPNSTQYSHSTQSARAAGRSSVTSQFDELEDFAMGRARNNVEHADVFPGDDFETNSVAAASAAAMKEAMDRAEAKFRHAKEMREKENLKAARSKEAGQMDKDERAMQDALDREYREKQERLEHERQQREREEEEREQRRRELEREREEKEREQRRLEKERERVREMEREREKARQAVERATREARERAAAEARARAERAAVGKVAAEARERAERAAVQRAQAEARERAAAEARERAERAAAEAREREARERTATAKIEAETRLRAERAAVERAAAEARERAAAAARASQQKNDNDLESFFSMGSRPSSAPRPRANSSDPLFDGQNKGGPEVARRTSVGSSSSMRKVSSTANIVDDLSSIFGAAASSSGEFQEVEGETEERRRARLERHQRTQERAAKALAEKNQRDLQVQREQAERHRIAETLDVEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITGAAVKKAYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFNSEELF >EOX93653 pep chromosome:Theobroma_cacao_20110822:1:14511581:14526546:-1 gene:TCM_002534 transcript:EOX93653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 1 MDDFPGLLAKDFGIKPQGKSAPMAPPRNPSSGTNISSGSNYGFRSDFTRSSSGNAKSSSNSIFDDHDRDGLIFNDVFGGPPKYSSESRATTAQTSSFDYDSIFKDPKPPVFDKPVYDDDLFDGLPGIKSSSSAASAAKYDDVFASISGSSPPPKHRSVSSSPFDELLGNLGRKETDTKTKSERVKAEKDAPVFDDLLPGFGRSSSPAPARSTSDAHRSQKPASNSIKTGSNSMEDPFVVIESTSVPADSSSGLFTDPLEEINKINGSGKSRVESSSGSGGVFDDIDPLDGLGKSVPPVSSEINKRGKDRSPLRTASGAQTPASKESIDEEYEHHTKKRMPSVDSFLESHQPVFDMPNVSTDFHSSVGQTTSPPPYSNVSSNDTSSQVNTTPRSEENFDTSDDVWLTVSEIPLFTEPTSAPPPSRPPPPRPPRVSKSETGSFSSTNAKKVNEFSSFPNSTQYSHSTQSARAAGRSSVTSQFDELEDFAMGRARNNVEHADVFPGDDFETNSVAAASAAAMKEAMDRAEAKFRHAKEMREKENLKAARSKEAGQMDKDERAMQDALDREYREKQERLEHERQQREREEEEREQRRRELEREREEKEREQRRLEKERERVREMEREREKARQAVERATREARERAAAEARARAERAAVGKVAAEARERAERAAVQRAQAEARERAAAEARERAERAAAEAREREARERTATAKIEAETRLRAERAAVERAAAEARERAAAAARASQQKNDNDLESFFSMGSRPSSAPRPRANSSDPLFDGQNKGGPEVARRTSVGSSSSMRKVSSTANIVDDLSSIFGAAASSSGEFQEVEGETEERRRARLERHQRTQERAAKALAEKNQRDLQVQREQAERHRIAETLDVEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITGAAVKKAYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFNSEELF >EOX90897 pep chromosome:Theobroma_cacao_20110822:1:963691:965369:1 gene:TCM_000239 transcript:EOX90897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARGKLIVKFIENEKARATTLRKRMKGLKKKAYEFSILCDVKVCMIIFEPKLKGSPAKVEVWPSDPVQVKSIVDEYKIKVASDAQKKIFSLCDFFNVRMREVQDEVAQVRKANFKAKFQTWDDRIDDFSPEQIASFLTKLDLNLEVVKKKITMMKGDDWNHMLSAKSRIVGGFNTQSTLKPSDDIPASFHPKNLSHSDNHIQAHAPVKILNHLDRVQAFALKNLDFGVSREQPPVPAEPLNIQLPSHSPADEALVKLSLNLNPMENSMNPIDKSLRMSMMNHLDFRLQSGVASSSSKIPNKVLYNPPPSLSVCHDPRIAMPSNVMFNSPTIPVHHDPRTAMQNNVMFKDPRAFQTRFYVPSMQPEAAHSRQQLTMPLMFPQMHPFQFTDFHLDINEYEMKSKKQRL >EOX96085 pep chromosome:Theobroma_cacao_20110822:1:36565640:36568846:-1 gene:TCM_005423 transcript:EOX96085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 11c isoform 1 MSTLDVTRAELALIVLYLNKAEARDKICRSIQYGSKFLSNGQPGTAQNVDKSTSLARKVFRLFKFVNDLHALVSPVPQGTSLPLVLLGKSKNALLSTFLFLDQIVWLGRSGIYKNKERAELIGRISLFCWMGSSVCSTLVELGELGRLSTSMKKLEKDLKNNDKYKNEEYCAKLQKSNERTLALVKAGMDIVVAVGLLQLAPKKVTPRVTGAFGFVSSLISCYQLLPSPPKSKTH >EOX96084 pep chromosome:Theobroma_cacao_20110822:1:36565601:36568931:-1 gene:TCM_005423 transcript:EOX96084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 11c isoform 1 MSTLDVTRAELALIVLYLNKAEARDKICRSIQYGSKFLSNGQPGTAQNVDKSTSLARKVFRLFKFVNDLHALVSPVPQGTSLPLVLLGKSKNALLSTFLFLDQIVWLGRSGIYKNKERAELIGRISLFCWMGSSVCSTLVELGELGRLSTSMKKLEKDLKNNDKYKNEEYCAKLQKSNERTLALVKAGMDIVVAVGLLQLAPKKVTPRVTGAFGFVSSLISCYQLLPSPPKSKTH >EOX95682 pep chromosome:Theobroma_cacao_20110822:1:35197459:35203941:-1 gene:TCM_005128 transcript:EOX95682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellular apoptosis susceptibility protein / importin-alpha re-exporter, putative isoform 1 MEWNPETLQFLSQCFLHTLSPQPEPRRAAESSLSEAADRPNYGLAVLRLLAEPSVDEQIRQAAAVNFKNHLRTRWAPSNEPNAGPAFSPILQPEKDQIKTLIVSLMLSSSPRIQSQLSEALAVIGKHDFPKSWPTLLPELISNLQKAAQSADYASINGILGTANSIFKKFRYQYKTNDLLLDLKYCLDNFAAPLLEIFLKTASLIDSTVASAGDGSPVTLRPLFESQRLCCRIFYSLNFQELPEFFEDHMREWMGEFKKYLTVSYPSLDSSANELALVDELRAAVCENISLYMEKNEEEFQGYLNDFASAVWSLLTNVSQSSSRDKLAVTAMKFLTTVSTSVHHTLFANEGVIPQICQSIVIPNVRLRDEDEELFEMNYVEFIRRDMEGSDLDTRRRIACELLKGIATHYKKQVTDIVSIQIQNLLSSFATNPSANWKNKDCAIYLVVSLATKKAGGTNVSTDLVDVQTFFTSVIVPELQSQDVNGFPMLKAGALKFFTMFRGQIQKPVAFQLFSDLVRYLGSESNVVHSYAASCIEKLLLVKEEGGKGRYTSADITPCLPVLMNNLFNALKFPESEENQYVMKCIMRVLGIADISSDIAGPCIGGLTSILNEVCKNPKNPIFNHYLFESVASLIRRACERDASLISAFEASLFPSLQTILANDVTEFLPYAFQLLAQLVELNRPPISPSYMQIFVLLLSPDSWTRSSNVPALVRLLQAFLQKAPHELNQEGRLNQVLGIFNMLISSPSTDEQGFYVLNTVIENLEFGVISSYMSNIWNVLFMRLQNRRTVKFQKSLVIFMSLFLVKHGATNLVDTMNAVQANIFLVILEQFWIPNLKLIAGAIELKLTAVASTRLICESPVLLDATAARHWGKMLDSIVTLLSRPEQDRVDEEPEMPDIAENVGYTATFVKLYNAGKKEDDPLTDIKDPKHFLVASLAKVSALTPGRFPQIINENLEPANQAALLQLCSTYNCTIV >EOX95681 pep chromosome:Theobroma_cacao_20110822:1:35198968:35203999:-1 gene:TCM_005128 transcript:EOX95681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellular apoptosis susceptibility protein / importin-alpha re-exporter, putative isoform 1 MEWNPETLQFLSQCFLHTLSPQPEPRRAAESSLSEAADRPNYGLAVLRLLAEPSVDEQIRQAAAVNFKNHLRTRWAPSNEPNAGPAFSPILQPEKDQIKTLIVSLMLSSSPRIQSQLSEALAVIGKHDFPKSWPTLLPELISNLQKAAQSADYASINGILGTANSIFKKFRYQYKTNDLLLDLKYCLDNFAAPLLEIFLKTASLIDSTVASAGDGSPVTLRPLFESQRLCCRIFYSLNFQELPEFFEDHMREWMGEFKKYLTVSYPSLDSSANELALVDELRAAVCENISLYMEKNEEEFQGYLNDFASAVWSLLTNVSQSSSRDKLAVTAMKFLTTVSTSVHHTLFANEGVIPQICQSIVIPNVRLRDEDEELFEMNYVEFIRRDMEGSDLDTRRRIACELLKGIATHYKKQVTDIVSIQIQNLLSSFATNPSANWKNKDCAIYLVVSLATKKAGGTNVSTDLVDVQTFFTSVIVPELQSQDVNGFPMLKAGALKFFTMFRGQIQKPVAFQLFSDLVRYLGSESNVVHSYAASCIEKLLLVKEEGGKGRYTSADITPCLPVLMNNLFNALKFPESEENQYVMKCIMRVLGIADISSDIAGPCIGGLTSILNEVCKNPKNPIFNHYLFESVASLIRRACERDASLISAFEASLFPSLQTILANDVTEFLPYAFQLLAQLVELNRPPISPSYMQIFVLLLSPDSWTRSSNVPALVRLLQAFLQKAPHELNQEGRLNQVLGIFNMLISSPSTDEQGFYVLNTVIENLEFGVISSYMSNIWNVLFMRLQNRRTVKFQKSLVIFMSLFLVKHGATNLVDTMNAVQANIFLVILEQFWIPNLKLIAGAIELKLTAVASTRLICESPVLLDATAARHWGKMLDSIVTLLSRPEQDRVDEEPEMPDIAENVGYTATFVKLYNAGKKEDDPLTDIKDPKHFLVASLAKVSALTPGRFPQIINENLEPANQAALLQLCSTYNCTIV >EOX96555 pep chromosome:Theobroma_cacao_20110822:1:38231156:38233960:1 gene:TCM_005785 transcript:EOX96555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase 1 isoform 2 MYPQGMQYHYPPPMLINCSNCRTPLQLPQGARSIRCAICQAVTNIADPRSVPPPPSQSPAPSTHGPPPPSSPSPYNHAPPGPPAHAYGRKRAVICGISYRYSRHELKGCINDAKCMRYLLINKFKFPEDSILMLTEEETDPNRLPTKQNLRMAFYWLVQGCQPGDSLLFHYSGHGSRQRNYNGDEVDGYDETLCPLDFETQGMIVDDEINATIVRPLPHGVKLHAMIDACHSGTVLDLPFLCRMNRSGQYIWEDHRPASGLWKGTSGGEVISFSGCDDHQTSADTSALSKITSTGAMTFCFIQAIERGHGATYGSILTAMRNAIRSVGGGDVGGGAVTSLITMLLTGGSVGIGGGLRQEPQLTACEPFDVYAKPFSF >EOX96556 pep chromosome:Theobroma_cacao_20110822:1:38231156:38233979:1 gene:TCM_005785 transcript:EOX96556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase 1 isoform 2 MYPQGMQYHYPPPMLINCSNCRTPLQLPQGARSIRCAICQAVTNIADPRSVPPPPSQSPAPSTHGPPPPSSPSPYNHAPPGPPAHAYGRKRAVICGISYRYSRHELKGCINDAKCMRYLLINKFKFPEDSILMLTEEETDPNRLPTKQNLRMAFYWLVQGCQPGDSLLFHYSGHGSRQRNYNGDEVDGYDETLCPLDFETQGMIVDDEINATIVRPLPHGVKLHAMIDACHSGTVLDLPFLCRMNSIYGRTIVQHQVYGREQVVEK >EOX91071 pep chromosome:Theobroma_cacao_20110822:1:1485541:1490927:-1 gene:TCM_000364 transcript:EOX91071 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 1 MGTDAAEVVETPTIPSFFKVHHMMCTELGKFVDRIVRIFPEIEAARPRCDSGIKALCSLNNAIVKAKSLLQYCSESSKLYLAITTDAIVSRCQRSKNLFEQSLCQIQSMVPVMLAVEISQIVDDLRAANFVPDKFEEEAGKVVRELLQRGAAASDSMEYAEMKALQIAASRLHISSSKAILIEQRSIRKLLEKVKDTDQQKGKILKYLLYLLRKYANLIIREQTDNTTDQNEGSFAVNDPCTNFVHTHSVDVESHREYKQYEAQADRFSRIIPPEEYQCPISSRLMYDPVVIASGQTFERIWIQKWFDDGNNTCPKTKKILSNLSLTPNAVMKDLISKWCMKYGITIQDPSIQSHVLQSLETSSTSIASLGSSMNDLRFPVDLSNISLGSLDTSYTSDASRNKFLDGLNLIPEQTIDDLCQYQSPTDASKMDLESLSNLAELDWESQYNMVEEMKNNLRCDDLACLSMSSKNFIEPVTKFVSCASDLHDIRAQRAGFQLLLTFLSKKRSGTRYLNENVYSLLSLFINSEVTKEVLDIMEVLSGHDSCRSKIAASGALASFLIILDSKIKDFQEQVIKILRNLSSSNDVCSNLVSLECIPKFVPFLQDTTLARHCLVMLRNLCSNQEARISITQTAGCINSIATLLETGSYEDQEHALAILLVLCSQRVEYCHLVMDECDIFPSLFDVSVNGSERGKASAFELLRLLRDTNSNDDEQECFQSDNVTSDDAYQEKKSHKKLFGVKFPLFSKSSAPKKKK >EOX91072 pep chromosome:Theobroma_cacao_20110822:1:1485491:1491650:-1 gene:TCM_000364 transcript:EOX91072 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 1 MGTDAAEVVETPTIPSFFKVHHMMCTELGKFVDRIVRIFPEIEAARPRCDSGIKALCSLNNAIVKAKSLLQYCSESSKLYLAITTDAIVSRCQRSKNLFEQSLCQIQSMVPVMLAVEISQIVDDLRAANFVPDKFEEEAGKVVRELLQRGAAASDSMEYAEMKALQIAASRLHISSSKAILIEQRSIRKLLEKVKDTDQQKGKILKYLLYLLRKYANLIIREQTDNTTDQNEGSFAVNDPCTNFVHTHSVDVESHREYKQYEAQADRFSRIIPPEEYQCPISSRLMYDPVVIASGQTFERIWIQKWFDDGNNTCPKTKKILSNLSLTPNAVMKDLISKWCMKYGITIQDPSIQSHVLQSLETSSTSIASLGSSMNDLRFPVDLSNISLGSLDTSYTSDASRNKFLDGLNLIPEQTIDDLCQYQSPTDASKMDLESLSNLAELDWESQYNMVEEMKNNLRCDDLACLSMSSKNFIEPVTKFVSCASDLHDIRAQRAGFQLLLTFLSKKRSGTRYLNENVYSLLSLFINSEVTKEVLDIMEVLSGHDSCRSKIAASGALASFLIILDSKIKDFQEQVIKILRNLSSSNDVCSNLVSLECIPKFVPFLQDTTLARHCLVMLRNLCSNQEARISITQTAGCINSIATLLETGSYEDQEHALAILLVLCSQRVEYCHLVMDECDIFPSLFDVSVNGSERGKASAFELLRLLRDTNSNDDEQECFQSDNVTSDDAYQEKKSHKKLFGVKFPLFSKSSAPKKKK >EOX91070 pep chromosome:Theobroma_cacao_20110822:1:1485463:1491827:-1 gene:TCM_000364 transcript:EOX91070 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 1 MGTDAAEVVETPTIPSFFKVHHMMCTELGKFVDRIVRIFPEIEAARPRCDSGIKALCSLNNAIVKAKSLLQYCSESSKLYLAITTDAIVSRCQRSKNLFEQSLCQIQSMVPVMLAVEISQIVDDLRAANFVPDKFEEEAGKVVRELLQRGAAASDSMEYAEMKALQIAASRLHISSSKAILIEQRSIRKLLEKVKDTDQQKGKILKYLLYLLRKYANLIIREQTDNTTDQNEGSFAVNDPCTNFVHTHSVDVESHREYKQYEAQADRFSRIIPPEEYQCPISSRLMYDPVVIASGQTFERIWIQKWFDDGNNTCPKTKKILSNLSLTPNAVMKDLISKWCMKYGITIQDPSIQSHVLQSLETSSTSIASLGSSMNDLRFPVDLSNISLGSLDTSYTSDASRNKFLDGLNLIPEQTIDDLCQYQSPTDASKMDLESLSNLAELDWESQYNMVEEMKNNLRCDDLACLSMSSKNFIEPVTKFVSCASDLHDIRAQRAGFQLLLTFLSKKRSGTRYLNENVYSLLSLFINSEVTKEVLDIMEVLSGHDSCRSKIAASGALASFLIILDSKIKDFQEQVIKILRNLSSSNDVCSNLVSLECIPKFVPFLQDTTLARHCLVMLRNLCSNQEARISITQTAGCINSIATLLETGSYEDQEHALAILLVLCSQRVEYCHLVMDECDIFPSLFDVSVNGSERGKASAFELLRLLRDTNSNDDEQECFQSDNVTSDDAYQEKKSHKKLFGVKFPLFSKSSAPKKKK >EOX91069 pep chromosome:Theobroma_cacao_20110822:1:1485491:1491666:-1 gene:TCM_000364 transcript:EOX91069 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 1 MGTDAAEVVETPTIPSFFKVHHMMCTELGKFVDRIVRIFPEIEAARPRCDSGIKALCSLNNAIVKAKSLLQYCSESSKLYLAITTDAIVSRCQRSKNLFEQSLCQIQSMVPVMLAVEISQIVDDLRAANFVPDKFEEEAGKVVRELLQRGAAASDSMEYAEMKALQIAASRLHISSSKAILIEQRSIRKLLEKVKDTDQQKGKILKYLLYLLRKYANLIIREQTDNTTDQNEGSFAVNDPCTNFVHTHSVDVESHREYKQYEAQADRFSRIIPPEEYQCPISSRLMYDPVVIASGQTFERIWIQKWFDDGNNTCPKTKKILSNLSLTPNAVMKDLISKWCMKYGITIQDPSIQSHVLQSLETSSTSIASLGSSMNDLRFPVDLSNISLGSLDTSYTSDASRNKFLDGLNLIPEQTIDDLCQYQSPTDASKMDLESLSNLAELDWESQYNMVEEMKNNLRCDDLACLSMSSKNFIEPVTKFVSCASDLHDIRAQRAGFQLLLTFLSKKRSGTRYLNENVYSLLSLFINSEVTKEVLDIMEVLSGHDSCRSKIAASGALASFLIILDSKIKDFQEQVIKILRNLSSSNDVCSNLVSLECIPKFVPFLQDTTLARHCLVMLRNLCSNQEARISITQTAGCINSIATLLETGSYEDQEHALAILLVLCSQRVEYCHLVMDECDIFPSLFDVSVNGSERGKASAFELLRLLRDTNSNDDEQECFQSDNVTSDDAYQEKKSHKKLFGVKFPLFSKSSAPKKKK >EOX91068 pep chromosome:Theobroma_cacao_20110822:1:1485463:1491914:-1 gene:TCM_000364 transcript:EOX91068 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 1 MGTDAAEVVETPTIPSFFKVHHMMCTELGKFVDRIVRIFPEIEAARPRCDSGIKALCSLNNAIVKAKSLLQYCSESSKLYLAITTDAIVSRCQRSKNLFEQSLCQIQSMVPVMLAVEISQIVDDLRAANFVPDKFEEEAGKVVRELLQRGAAASDSMEYAEMKALQIAASRLHISSSKAILIEQRSIRKLLEKVKDTDQQKGKILKYLLYLLRKYANLIIREQTDNTTDQNEGSFAVNDPCTNFVHTHSVDVESHREYKQYEAQADRFSRIIPPEEYQCPISSRLMYDPVVIASGQTFERIWIQKWFDDGNNTCPKTKKILSNLSLTPNAVMKDLISKWCMKYGITIQDPSIQSHVLQSLETSSTSIASLGSSMNDLRFPVDLSNISLGSLDTSYTSDASRNKFLDGLNLIPEQTIDDLCQYQSPTDASKMDLESLSNLAELDWESQYNMVEEMKNNLRCDDLACLSMSSKNFIEPVTKFVSCASDLHDIRAQRAGFQLLLTFLSKKRSGTRYLNENVYSLLSLFINSEVTKEVLDIMEVLSGHDSCRSKIAASGALASFLIILDSKIKDFQEQVIKILRNLSSSNDVCSNLVSLECIPKFVPFLQDTTLARHCLVMLRNLCSNQEARISITQTAGCINSIATLLETGSYEDQEHALAILLVLCSQRVEYCHLVMDECDIFPSLFDVSVNGSERGKASAFELLRLLRDTNSNDDEQECFQSDNVTSDDAYQEKKSHKKLFGVKFPLFSKSSAPKKKK >EOX93353 pep chromosome:Theobroma_cacao_20110822:1:12291412:12292531:1 gene:TCM_002193 transcript:EOX93353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTLVLRHGPSWVCLAVSTERFPLCFFFHNHLRRRSPEGMDGLAPHQVLVNVLPRGGKITHKHVFLVVLRQ >EOX91792 pep chromosome:Theobroma_cacao_20110822:1:3887870:3900616:1 gene:TCM_000867 transcript:EOX91792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-leucine zipper protein HD4 MGLDDACNTGLVLGLGFSSTLETPSKANNQTPKKSSCLKFEPTAMAAASFEPSLTLGLSGESYQVVTASKKIDVNKGGYHHHEEPAAAGDLYRQASPHSAVSSFSSGRVKRERDLSSEEVEVEKNSSRVSDEDEDGVNARKKLRLTKDQSALLEESFKQHSTLNPKQKQALARQLSLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENRRLQKELQELKALKLAQPFYMHMPAATLTMCPSCERIGGVGDGNSKSPFSMASKPHFYNPFTNPSAAC >EOX95012 pep chromosome:Theobroma_cacao_20110822:1:32457687:32461104:-1 gene:TCM_004596 transcript:EOX95012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MINTCTSPSHIHQIQAQLILQNHLKNPFIAHHFINSCNSSGLLSHAHTLLFLSKPPAHVFIYNSLIRAFSHSKTPHLPFSLYTHMRNASVMPNNYTFPFLLKSLSDFHQLLKGQMVQTHVIKLGHSHDIYIQNSLMNLYASSGEMGLCRQVFDEIPEKDVVSWTVLITGYRNDKKYDDALIAFEQMQYAGVVPNRVTMVNALAACGSFGATEMGVWIHDFITKKGWELDLILGTALIDMYGKCGRIEEGLRVFHNMKEKNNFTWNAVINGLALAKNGEQAVWWFYRMEQEGFKVDDVTLVGVLCACSLSGLVDTGRKIFSFLVEGRYGFLPGVKHYACIIDLLTRAGFLDDAFRFIQDMPFEPTRSIWGSLLAGCRTHGNLELSEFAAKKLVELEPANSAYYVVLSNLYADMGRWDDAEKVRALMKERGLKKDLGCSSVDLEPQEQVYELVAEQSP >EOX93004 pep chromosome:Theobroma_cacao_20110822:1:9884728:9886077:-1 gene:TCM_001866 transcript:EOX93004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phy rapidly regulated 1, putative MGGTWAVIPENPFDHHSRPTAFGDFHQLAPRQLSTAACARLYILPALAPLPWHMQALPDNPSHALLFALPLPSYLLSMESALTQTNIPTFQSKETKTHQSPLMGNALHEPLPASFQRNRGTRRKRREYLKAKKKDHLSEKQGAGGEEDDDDDDKAEVKRKIVALQRIVPGGESLGVDKLFEETAGYILALQCQIKAMRVLSSFIEGLEKQKRKLGG >EOX94119 pep chromosome:Theobroma_cacao_20110822:1:22004585:22010008:-1 gene:TCM_003333 transcript:EOX94119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSKTFILLALLAAVVLLISSEVAARDLAETTTKINNEQDAEPQAPRENAPAAQVKQYEEEVAKKFRALSFIQSAVFEDIFNQILKLTNQIKLFGEELKEVRVAEKILNSVPGKFEPIIASLLQSKDLSDISITEIVSALQVAKLRISARDEAPGEKAFLAKGKGKAKAESFTKKNYKDKDKKTAHSGQSSNKKNKFKPCSFCKKRNHTNDYCCFRPDAKCKICSQSGHTDKSDSESDREPSIKSQNKEWWLPINIWYREGLNSKLNWTQIKLAEFITPQKTWSLQVQDLGTSQQLNTSRSKSKLELIHSDIGGPLSEESLNGKKDASKFWAEAANTTVYLQNLLPTHALNNQIPFEAWYGFMPSVVHLKVFGCNWNEPQPQLSSPSQHDQTSAFDAQLEIQEDESIDDLPVRGTRSLEDIYQNSLVTIEEPSCYTEAFDRPADRNIIGVKWIFKKKLNPDGSLNRCKARLVAKGYSQLLGVDYGETFAPVARYDTIKLLLALAAALKWNVYHLDIKFAFLNGILEEEIYIDQPEGFELLSGENKHMIAAKRILRYLKKTELYGIHYTKIADFALCGYIDSDFAGSSEDVKSTSESKYVVAAEAANQALWLRKLLVDIKFEQKFPTDLFIDNKSAIAIAKNPIWHGKTKHINVKYHAIRNAVEKNEINVQYCPSELQLAYILTKPLQKLKFEALRTKLNLSIASEALETTEVGTNDAKYGGYGGHGGYEGYDGYSGPSGYGGYGSAVAMADMVDVEGLEDVALSVAVVLIIMEEVATSAALILARQSMLKLMRILTTNVAFARV >EOX95103 pep chromosome:Theobroma_cacao_20110822:1:32877890:32880779:1 gene:TCM_004668 transcript:EOX95103 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MECLLRFACSPPPPTAIVPPSLPFPLNTKKKRNGWLFRAKLSDDNDPLLQSAMDSASLRFHETHRPEPLFVDLYAGCFAQVPSHTRIDLKKRLQHYCIATKFIDDKLLRAVNHMDGLKQVVLLSDGMDTRPYRLNWPSSTIIFDISPERVFQKAAEKLKGVGAKIPRSCLYLHVPLESSNIQETLGMKGFNGHRPSIWAIQGLPVMTLASFEEILFLVSGMAMNGCLFLGELPAWLAETELGTRSSTEKWMGNLFMSNGFNVEIISYNEIAKSLGKEVVPRDYGNVLFVAEQLRFSDDQMETWRRELQRVEEDGDEEGFEEL >EOX93609 pep chromosome:Theobroma_cacao_20110822:1:14265145:14269737:1 gene:TCM_002492 transcript:EOX93609 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP glucose pyrophosphorylase 1 MASMAAIGALRLPSTTSCNASSSSSSCKSAAPRSLSFSASALSGDKVVSKTVTGSRQTERTPSIVSPKAVSDSKNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYERFIQAHRETDADITVAALPMDEKRASAFGLMKIDEEGRIIEFAEKPKGDQLKAMQVDTTILGLDDQRAKEMPYIASMGIYVVSKDVMLNLLREKFPGANDFGSEVIPGATSIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETDADRRFLAAKGSVPIGIGKNSHIKRAIIDKNARIGDNVKIINSDCVQEAARETDGYFIKSGIVTVIKDALIPSGTVI >EOX91310 pep chromosome:Theobroma_cacao_20110822:1:2281395:2285635:-1 gene:TCM_000551 transcript:EOX91310 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 17 isoform 3 MGKAVMLRLLFGVLAVLSVSLVNAEDPYLYYTWTVTYGTRSLLGVPQQVILINDQFPGPKLEVVTNNNIILNLINKLDQPFLLTWNGVKQRKNSWQDGVLGTNCPIPPNSNYTYKFQAKDQIGSYTYFPSTLMHRAAGGFGALNIYHRSVIPIPYANPDGDFTLLIGDWYKTSHKTLQQTLDSGKSLPFPDGVLINGQTQTTFSGEQGKTYMFRISNVGLSTSLNFRIQGHTMKLVEVEGSHVIQNLYDSLDVHVGQSVTVLVTLNQPPKDYYIVASTRFTKTVLTATAVLHYTNSQTPVSGPLPAAPAYQYHWSMQQARTYRWNLTSNAARPNPQGSFHYGKITTTKTIVLANSGSLINGKLRYTVNGVSFVNPDTPLKLADYFNIPGVFSMSSIQDLPSGGAATVATSVVPTSLHDFIEVVFQNNENTMQSWHLDGYDFWVVGFGSGQWAPKKRRTYNLIDALTRHTTQVVYPNSWTAILVSLDNQGMWNMRSAMWERQYLGQQFYLRVWTPVRSFANEYDIPSNVLLCGKAVGRHP >EOX91311 pep chromosome:Theobroma_cacao_20110822:1:2281111:2285425:-1 gene:TCM_000551 transcript:EOX91311 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 17 isoform 3 MHRAAGGFGALNIYHRSVIPIPYANPDGDFTLLIGDWYKTSHKTLQQTLDSGKSLPFPDGVLINGQTQTTFSGEQGKTYMFRISNVGLSTSLNFRIQGHTMKLVEVEGSHVIQNLYDSLDVHVGQSVTVLVTLNQPPKDYYIVASTRFTKTVLTATAVLHYTNSQTPVSGPLPAAPAYQYHWSMQQARTYRWNLTSNAARPNPQGSFHYGKITTTKTIVLANSGSLINGKLRYTVNGVSFVNPDTPLKLADYFNIPGVFSMSSIQDLPSGGAATVATSVVPTSLHDFIEVVFQNNENTMQSWHLDGYDFWVVGFGSGQWAPKKRRTYNLIDALTRHTTQVYPNSWTAILVSLDNQGMWNMRSAMWERQYLGQQFYLRVWTPVRSFANEYDIPSNVLLCGKAVGRHP >EOX91309 pep chromosome:Theobroma_cacao_20110822:1:2281111:2285635:-1 gene:TCM_000551 transcript:EOX91309 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 17 isoform 3 MGKAVMLRLLFGVLAVLSVSLVNAEDPYLYYTWTVTYGTRSLLGVPQQVILINDQFPGPKLEVVTNNNIILNLINKLDQPFLLTWNGVKQRKNSWQDGVLGTNCPIPPNSNYTYKFQAKDQIGSYTYFPSTLMHRAAGGFGALNIYHRSVIPIPYANPDGDFTLLIGDWYKTSHKTLQQTLDSGKSLPFPDGVLINGQTQTTFSGEQGKTYMFRISNVGLSTSLNFRIQGHTMKLVEVEGSHVIQNLYDSLDVHVGQSVTVLVTLNQPPKDYYIVASTRFTKTVLTATAVLHYTNSQTPVSGPLPAAPAYQYHWSMQQARTYRWNLTSNAARPNPQGSFHYGKITTTKTIVLANSGSLINGKLRYTVNGVSFVNPDTPLKLADYFNIPGVFSMSSIQDLPSGGAATVATSVVPTSLHDFIEVVFQNNENTMQSWHLDGYDFWVVGFGSGQWAPKKRRTYNLIDALTRHTTQVYPNSWTAILVSLDNQGMWNMRSAMWERQYLGQQFYLRVWTPVRSFANEYDIPSNVLLCGKAVGRHP >EOX94409 pep chromosome:Theobroma_cacao_20110822:1:28341752:28344209:-1 gene:TCM_003989 transcript:EOX94409 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MAEQACCKSREEEGNGESKGIRVCGMQFAYELQPPLFVDFDVDIAPGSRCLLVGANGSGKTTLLKILAGKHMVGGRDVVRVLNRSAFHDTQLVCSGDLAYLGGSWSKTIGSAGEVPLQGDFSAEHMIFGVEGIDPVRRGKLIELLDIDLQWRMHKVSDGQRRRVQICMGLLHPFQVLLLDEVTVDLDVVARMDLLDFFKEECEQRGATIVYATHIFDGLETWATHLAYIQDGELKRSEKLTEVNELKSSENLLSVVEAWLRSETKSEKKKPIKTPAQVQKASPFGTSPFMSSRHMAYYR >EOX94049 pep chromosome:Theobroma_cacao_20110822:1:19479229:19484347:-1 gene:TCM_003130 transcript:EOX94049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 1, mitochondrial isoform 4 MYRFATSLASKARLAKNNTQLIGSRLSWSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVLEQSFGAPKVTKDGVTVAKSIEFKDRVKNIGASLVKQVASATNDVAGDGTTCATVLTRAIFVEGCKSVAAGMNAMDLRRGITMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGKTLCNELEVVEGMKLDRGYISPYFITNQKNQKCELENPLILIHEKKISNLPALVKFLELSLSKQRPLLIVAEDLESEALATLILNKLRVGIKVCAIKAPGFGENRKAGLQDLAALTGGEVVTEELGLNIEKVGAEVLGSCKKVTVSKDDTIILDGAGGKAVPVHAIASNAGVEGAVIVGKLLEQDNPDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTEAIVTELPNDEKAGPAAPGGMGGLDY >EOX94047 pep chromosome:Theobroma_cacao_20110822:1:19474726:19484146:-1 gene:TCM_003130 transcript:EOX94047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 1, mitochondrial isoform 4 MYRFATSLASKARLAKNNTQLIGSRLSWSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVLEQSFGAPKVTKDGVTVAKSIEFKDRVKNIGASLVKQVASATNDVAGDGTTCATVLTRAIFVEGCKSVAAGMNAMDLRRGITMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGKTLCNELEVVEGMKLDRGYISPYFITNQKNQKCELENPLILIHEKKISNLPALVKFLELSLSKQRPLLIVAEDLESEALATLILNKLRVGIKVCAIKAPGFGENRKAGLQDLAALTGGEVVTEELGLNIEKVGAEVLGSCKKVTVSKDDTIILDGAGGKAAIEERCDQIRSAMESSTSDYDKDKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELENLHTANFDQKIGVQIIQNALMMPVHAIASNAGVEGAVIVGKLLEQDNPDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTEAIVTELPNDEKAGPAAPGGMGGLDY >EOX94050 pep chromosome:Theobroma_cacao_20110822:1:19479982:19484120:-1 gene:TCM_003130 transcript:EOX94050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 1, mitochondrial isoform 4 MYRFATSLASKARLAKNNTQLIGSRLSWSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVLEQSFGAPKVTKDGVTVAKSIEFKDRVKNIGASLVKQVASATNDVAGDGTTCATVLTRAIFVEGCKSVAAGMNAMDLRRGITMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGKTLCNELEVVEGMKLDRGYISPYFITNQKNQKCELENPLILIHEKKISNLPALVKFLELSLSKQRPLLIVAEDLESEALATLILNKLRVGIKVCAIKAPGFGENRKAGLQDLAALTGGEVVTEELGLNIEKVGAEVLGSCKKVTVSKDDTIILDGAGGKAVPVHAIASNAGVEGAVIVGKLLEQDNPDLGYDAAKGLFCFLQKHIKKISGLEMIL >EOX94048 pep chromosome:Theobroma_cacao_20110822:1:19480121:19484347:-1 gene:TCM_003130 transcript:EOX94048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 1, mitochondrial isoform 4 MYRFATSLASKARLAKNNTQLIGSRLSWSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVLEQSFGAPKVTKDGVTVAKSIEFKDRVKNIGASLVKQVASATNDVAGDGTTCATVLTRAIFVEGCKSVAAGMNAMDLRRGITMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGKTLCNELEVVEGMKLDRGYISPYFITNQKNQKCELENPLILIHEKKISNLPALVKFLELSLSKQRPLLIVAEDLESEALATLILNKLRVGIKVCAIKAPGFGENRKAGLQDLAALTGGEVVTEELGLNIEKVGAEVLGSCKKVTVSKDDTIILDGAGGKAAIEERCDQIRSAMESSTSDYDKDKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGKHLNWHCNWLILLVYVVLEYQKSPIYRWWCCTSLCLKGA >EOX91208 pep chromosome:Theobroma_cacao_20110822:1:1936813:1938737:1 gene:TCM_000468 transcript:EOX91208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P protein subunit P38-related isoform 2 MQTNLLVLYLFTSFPTVLFKILRGQFGCWVAFLSVAANLFFPRTFPVARFILFVITPDWLADRLRDDIVPGILCLIILILLVLTEICGIGGLENCQCNCHCFGYWFGVAFLFFFTILYVAS >EOX91207 pep chromosome:Theobroma_cacao_20110822:1:1937585:1938740:1 gene:TCM_000468 transcript:EOX91207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P protein subunit P38-related isoform 2 MNRTGRRSHMQTNLLVLYLFTSFPTVLFKILRGQFGCWVAFLSVAANLFFPRTFPVARFILFVITPDWLADRLRDDIVPGILCLIILILLVLTEICGIGGLENCQCNCHCFGYWFGVAFLFFFTILYVAS >EOX91206 pep chromosome:Theobroma_cacao_20110822:1:1936433:1938687:1 gene:TCM_000468 transcript:EOX91206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P protein subunit P38-related isoform 2 MEMEVVSNFANSDFGSTRARSAFQWGGTVFALFLLLMNRTGRRSHMQTNLLVLYLFTSFPTVLFKILRGQFGCWVAFLSVAANLFFPRTFPVARFILFVITPDWLADRLRDDIVPGILCLIILILLVLTEICGIGGLENCQCNCHCFGYWFGVAFLFFFTILYVAS >EOX93522 pep chromosome:Theobroma_cacao_20110822:1:13732679:13733720:1 gene:TCM_002409 transcript:EOX93522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELEKTVGDQMASPLFFLNNSLSWIVVLAPLVTFATFFIFGSSSILFTSTVLILSTVVFIFSKRKSKLVEKSVKEEVHKSVLESSPQMEDILASKQLYENKINTQQKQASEGEGQSCQIHDYLVRSPDVLSENECVDQLSTTEDSEVDWPFQDNIDQSPDYSDGSISDEDSLIEISLPGGHYVGHKEEKPKFSMQQKLPDFMPESFFQQRSLMELLAEFNEMNEEDNLIEIDISMGSIKCSRFEIEA >EOX96128 pep chromosome:Theobroma_cacao_20110822:1:36689354:36698417:-1 gene:TCM_005452 transcript:EOX96128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein METVTRIFIATLLILAFVAVSSTAQANKQTYIVHLDRTKIKTAYQSLDNSRPWYEAMLNSIADVSSQEEDESEAAPPQLLYAYETIMFGFAARLSSKQLELLSKIDGFQYASLDEELISLHTTHSPQFLGLEKGKGLWHASNLKSDVIIGVVDTGIWPEHPSFQDHGLSAIPSRWKGACMKGTKFSSSNCNRKLIGAKFFFKGYEAIGGKINETEDFKSARDSNGHGTHTASTAAGSFVENASLFGLANGSAAGLRYTSRIAVYKACWATCTTPDVLAAMEQAILDGVDVLSLSLGAAVQPYYRDLLALASFWAIANGIFVSFSAGNEGPSKSTVKNTAPWIMTVGASSVDRSFPTIVKLGNGQTFEGSSLSVGKGTEKLPLVYGKTAGGQGAQYCIAGSLNRKLVQGKMVVCQRGKNARAEKGEVVKMAGGAGMLLINTEREGEELLADSHVLPATSLGASAGNALKKYMNSTKSPTASIAFKGTTYGNRAPIAAALSSRGPNLVGLDVIKPDVTAPGVNILAAWPAITSPNELKSDKRRVLFNILTGTSMSCPHVSGIAALLKSRHKDWSPAAIKSALMTTAYVTDNKGSPILDVAFSSSATPFALGSGHVDPERASDPGLIYDISPKDYIYYLCSLKYNASQISLFVDNFTCPKHAIMQPGDLNYPSFAVNFKSSAAENVTYKRTVTNVGTPKSTYKVLVEEPKGVSVIVKPEILTFKMLGKKLSYKVTFIGLKRTKPVAASSFGSLVWVSGKYRVRSPIAASWM >EOX96418 pep chromosome:Theobroma_cacao_20110822:1:37713784:37717787:1 gene:TCM_005666 transcript:EOX96418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLGDSSQPIWVEFKPAFNQNDGLRVYFCTKNGLLLELSEVEPLRWENHGRPPGADVATIADVVTVRTEVVYTISFKPPVEGKVTEKDKAAGKGKSLERSESEATARERKGKKKMMGGIASGGKRYLGFWVIELQATGGGEGERGGESGRRREEFREKSDGGFQLVKHLLDRIELVRTTEEKEVKPGETAQGSKCKMG >EOX96518 pep chromosome:Theobroma_cacao_20110822:1:38084135:38090506:-1 gene:TCM_005751 transcript:EOX96518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGTTFKDWCRYFQYKEGANEEDRDKNRADARNALLIVATLIAAVTFQAGVNPPGGVWQDNEDNQTAVAANPPVAVRQDTKDRIPGTAIFASDSSAYYVFLTSNTLAFSAAVLLIMSLTHTFPFKFEVRVACVSMIITYGSAIFAVTRDGDKFQLALIIAAAPLILRCLIQLLVKLVNRKPEPPCLIQAFVGPRNKTAEPPKDQTQRAKP >EOX91923 pep chromosome:Theobroma_cacao_20110822:1:4491809:4495775:1 gene:TCM_000972 transcript:EOX91923 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP binding protein kinases 1, putative MAVEETGRETQENPEKESKTGVMRSKSLNDQPSPRGVLEIPVLGSDSDTSSCSSNSSSYSPKKRVFQKGSRDSSGLQWKNIIESIKKKSVRRFSVIPLLTSYEISRKNLRRKLAKLQGSEEEVDIDCLPVPKPSWKNFCYSELAAATDNFSPENLLGRGGHAEVYKGHLSDGQIVAVKKLTKNDKEQEDRASDFLSELGIIAHINHPNAAHLIGFSIDGGMHLVLQFSPHGSLASVLFGSPESLDWKTRFKVAVGVADGLKYLHHDCQRRIIHRDIKASNILLTQDYEAQISDFGLAKWLPENWPHHVVHPIEGTFGYLAPEYFMHGIVDEKTDVFAFGVLLLELLTGRRAVDSSRQSLVIWAKPLLESNKVNELVDPRLEDNYDPAEMKRALLTASMCINHLATMRPSMIRVVELLKNEGGPVECQQKSCRGKAVIVDGCDLQDYTRTSYLDDLNRHMQLVME >EOX90833 pep chromosome:Theobroma_cacao_20110822:1:733346:738338:1 gene:TCM_000190 transcript:EOX90833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 7 isoform 3 TGKTFRYLRILVYISKRALHEKEERAKGRLTRNQFFLIAFICSFAYYVLPGYLFPALSSLSWLCWVFPTSILAHQLGSGLRGLGIGAIGFDWSSISAYLGSPLASPWFATVNIAAGFALIMYIITPIAYWLNVFKAKNFPIFSDGLFTSTGQSYNISAIIDSNFHIDMEAYEREGPLYLSTVFAMSYGVGFACLTATVVHVIIFNGREIWQLSKSAFREKKMDVHTKLMRKYKQVPEWWFTCILAVNISATIFTCQYYNDQLQLPWWGILLACGLAIFFTLPVGVIAATTNQTPALNIFTEYIIGYIYPGYPVASMCFKVYGYISMKQGITFLQDFKLGHYMKIPPRAMFIAQVGGTMISAFAHLGTAWWLMATVPDICNRELLPTGSPWTCPSDHVFYDASVIWGLIGPRRIFGDLGYYSAINWFFLAGAVAPVLVWLATKTFPNKPWIKLITMPVLLGATVNMPPATAVNYTSWVLIGFASGFIAYRYHRGWWSRHNYVLSGALDAGLAFMAVLLYLCLGMEHVSLSWWGSDWDRCPLASCPTA >EOX90831 pep chromosome:Theobroma_cacao_20110822:1:733562:738416:1 gene:TCM_000190 transcript:EOX90831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 7 isoform 3 MTTAINEVTAPLIQKSRSDQIQPDASGSIPLSPDDLENSPIEQVALTVPTTDDLSLPTLTFRTWILGTLACVLLSFLNQFFWYRREPLSISSISAQIAVVPLGHLMAAMVTDRVFFKGRKFEFTLNPGPFNVKEHVLITIFTNSGAGNVYAIHLISTVKIFYKKEMSFLVALLVVFTTQVLGFGWAGVFRRYLVEPAAMWWPQNLVQVSLFRALHEKEERAKGRLTRNQFFLIAFICSFAYYVLPGYLFPALSSLSWLCWVFPTSILAHQLGSGLRGLGIGAIGFDWSSISAYLGSPLASPWFATVNIAAGFALIMYIITPIAYWLNVFKAKNFPIFSDGLFTSTGQSYNISAIIDSNFHIDMEAYEREGPLYLSTVFAMSYGVGFACLTATVVHVIIFNGREIWQLSKSAFREKKMDVHTKLMRKYKQVPEWWFTCILAVNISATIFTCQYYNDQLQLPWWGILLACGLAIFFTLPVGVIAATTNQTPALNIFTEYIIGYIYPGYPVASMCFKVYGYISMKQGITFLQDFKLGHYMKIPPRAMFIAQVGGTMISAFAHLGTAWWLMATVPDICNRELLPTGSPWTCPSDHVFYDASVIWGLIGPRRIFGDLGYYSAINWFFLAGAVAPVLVWLATKTFPNKPWIKLITMPVLLGATVNMPPATAVNYTSWVLIGFASGFIAYRYHRGWWSRHNYVLSGALDAGLAFMAVLLYLCLGMEHVSLSWWGSDWDRCPLASCPTA >EOX90832 pep chromosome:Theobroma_cacao_20110822:1:733346:738338:1 gene:TCM_000190 transcript:EOX90832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 7 isoform 3 TGKTFRYLRILVYISKRALHEKEERAKGRLTRNQFFLIAFICSFAYYVLPGYLFPALSSLSWLCWVFPTSILAHQLGSGLRGLGIGAIGFDWSSISAYLGSPLASPWFATVNIAAGFALIMYIITPIAYWLNVFKAKNFPIFSDGLFTSTGQSYNISAIIDSNFHIDMEAYEREGPLYLSTVFAMSYGVGFACLTATVVHVIIFNGREIWQLSKSAFREKKMDVHTKLMRKYKQVPEWWFTCILAVNISATIFTCQYYNDQLQLPWWGILLACGLAIFFTLPVGVIAATTNQTPALNIFTEYIIGYIYPGYPVASMCFKVYGYISMKQGITFLQDFKLGHYMKIPPRAMFIAQVGGTMISAFAHLGTAWWLMATVPDICNRELLPTGSPWTCPSDHVFYDASVIWGLIGPRRIFGDLGYYSAINWFFLAGAVAPVLVWLATKTFPNKPWIKLITMPVLLGATVNMPPATAVNYTSWVLIGFASGFIAYRYHRGWWSRHNYVLSGALDAGLAFMAVLLYLCLGMEHVSLSWWGSDWDRCPLASCPTA >EOX90830 pep chromosome:Theobroma_cacao_20110822:1:733562:738416:1 gene:TCM_000190 transcript:EOX90830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 7 isoform 3 MTTAINEVTAPLIQKSRSDQIQPDASGSIPLSPDDLENSPIEQVALTVPTTDDLSLPTLTFRTWILGTLACVLLSFLNQFFWYRREPLSISSISAQIAVVPLGHLMAAMVTDRVFFKGRKFEFTLNPGPFNVKEHVLITIFTNSGAGNVYAIHLISTVKIFYKKEMSFLVALLVVFTTQVLGFGWAGVFRRYLVEPAAMWWPQNLVQVSLFRALHEKEERAKGRLTRNQFFLIAFICSFAYYVLPGYLFPALSSLSWLCWVFPTSILAHQLGSGLRGLGIGAIGFDWSSISAYLGSPLASPWFATVNIAAGFALIMYIITPIAYWLNVFKAKNFPIFSDGLFTSTGQSYNISAIIDSNFHIDMEAYEREGPLYLSTVFAMSYGVGFACLTATVVHVIIFNGREIWQLSKSAFREKKMDVHTKLMRKYKQVPEWWFTCILAVNISATIFTCQYYNDQLQLPWWGILLACGLAIFFTLPVGVIAATTNQTPALNIFTEYIIGYIYPGYPVASMCFKVYGYISMKQGITFLQDFKLGHYMKIPPRAMFIAQVGGTMISAFAHLGTAWWLMATVPDICNRELLPTGSPWTCPSDHVFYDASVIWGLIGPRRIFGDLGYYSAINWFFLAGAVAPVLVWLATKTFPNKPWIKLITMPVLLGATVNMPPATAVNYTSWVLIGFASGFIAYRYHRGWWSRHNYVLSGALDAGLAFMAVLLYLCLGMEHVSLSWWGSDWDRCPLASCPTA >EOX94762 pep chromosome:Theobroma_cacao_20110822:1:31262137:31263635:-1 gene:TCM_004374 transcript:EOX94762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRHANTFTALASKIQMQEEDQSTISVLKRSKRLAQSKLYIYPTIKVLHSHPWCVYHKGGNGVLARCISNHEVEEKLKEMYAQWCGEERPPLHRLLERARHTWILIAIKCFTKWVRVVPSKNAIGSTVANFIKENIICRFGIPKRILSDNGILFVNFSVNELLAFYDVDHVKSILYYPKINGQAEATNKTLLKMLNIMVHDDLNM >EOX94374 pep chromosome:Theobroma_cacao_20110822:1:28175762:28176322:1 gene:TCM_003962 transcript:EOX94374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNTKTMRLPPRRVSMPNNKRKERDDFDLKHLNPPTTKLLKPAAPLAGSDKAAYPVHSNQLLAGYLAHEFLTRGTLFGQPQLTAAESRKGIREEAEPSERSRAGDAEPKPKEEKHQRYVEVASLLKTDGAHIPGIVNPSQLARFLQM >EOX96607 pep chromosome:Theobroma_cacao_20110822:1:38393050:38401457:1 gene:TCM_005826 transcript:EOX96607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 4 MLPVQIPAWEKDKNTILELLLKNEESGVGVISIVGMGGIGKTTLAQLVYNDVSVEGFFDLKAWVSVSEEFDVVRVTKTILQSVSFEFCDLNDLNLLQVKLSQLLKRKRFLIVLDDIWNEKYEDLMILFSPFQGGYTGSKVIVTTRSQTVASMVGTVPAYHLNEMSFASCLSLLTQHALGRTNFDDHPNLKVVGEEIVKRCKGLPLAAKTLGGLLRRKADYHEWESILNSKLWDLPEEKIDILPALWLSYQHLPSHLKECFAFCAIFPKDYEFDKDELVQLWIGEGFISQTKGMKQIEDLGAEYFRDLLSRSFFQQSSSDESFYVMHDLINDLAQSVAAEVCIHLEDKMEVGQHKFFERVRHTSYIRHKYDVRKRFDLFYTMRRLRTFLALPLSMSDLGADSYLSTTVLQELLPNLKRLRVLTLSGYCISELPDSIGYLKHLRYLNLSHTKIKCLPHSVSALYNLQTLNLSGCKKLIELPRGIENLVNLLYLDIVDTDDLKAMPLKIGNLVNLKKLPKFIVGKGNGPRIGELGSLSKLQGLLFIFELQNVTDIQDAGLANLKEKHGLDELVLKWSNNSNDSSIREDQMSILEMLEPHRNLKNLKISCYSGAEFPSWIGDPSFDNMVYLSLCDCQNISSLPSLGSLPFLKELHIEGMSGVKRVGPEFLRANSFSDKLFPSLKILRFGNMLEWEEWSLPTLFEVAKGKFPCLHELRVWKCPRLVRDIPSHLTSLVKLHIFECPRLEGSLVSLPSLRELHLEQCDYLFLTRVVDLTSLTTLKIERISNLSCLHKNFINCLVLLEILEIEDCVELVSLWQKGVNLEKLSCLKRLVIVNCPQLVQLTDGEQELPCNLEYMEIDDCVNLEKLPNDLHRLRSLKDLKIKWCPKLLSFPNTGLPSKIKSLAICGCTNLGSVPKGLMHDDNSSIHKGNLECLEIVECPSLRSFPEGELSGALKKLEIWDCMELESLSERLLQKNSLLEFIVIGNCNLQAFPECRYWFEYLTGLHVIGCPSLVCFPESGLPIPNFRRFYIYNCEKLQSLPNNLHNLTSLQYLTVFGCPSLTSFPDGGFPPNLLSLTIRNCRKITLSFPKWGLYKLTSLKDLNVGDCNLNVTSFPEDFTLPLTLVHLRIHHLEHLKFLSKRLQDLTSLEALDVWDCPQLQSLPKDGLPVMLGVLDIRNCPLLEKHYFKERGVCWPIVSHIPCVKIDYVEIH >EOX96608 pep chromosome:Theobroma_cacao_20110822:1:38396717:38401867:1 gene:TCM_005826 transcript:EOX96608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 4 MLPVQIPAWEKDKNTILELLLKNEESGVGVISIVGMGGIGKTTLAQLVYNDVSVEGFFDLKAWVSVSEEFDVVRVTKTILQSVSFEFCDLNDLNLLQVKLSQLLKRKRFLIVLDDIWNEKYEDLMILFSPFQGGYTGSKVIVTTRSQTVASMVGTVPAYHLNEMSFASCLSLLTQHALGRTNFDDHPNLKVVGEEIVKRCKGLPLAAKTLGGLLRRKADYHEWESILNSKLWDLPEEKIDILPALWLSYQHLPSHLKECFAFCAIFPKDYEFDKDELVQLWIGEGFISQTKGMKQIEDLGAEYFRDLLSRSFFQQSSSDESFYVMHDLINDLAQSVAAEVCIHLEDKMEVGQHKFFERVRHTSYIRHKYDVRKRFDLFYTMRRLRTFLALPLSMSDLGADSYLSTTVLQELLPNLKRLRVLTLSGYCISELPDSIGYLKHLRYLNLSHTKIKCLPHSVSALYNLQTLNLSGCKKLIELPRGIENLVNLLYLDIVDTDDLKAMPLKIGNLVNLKKLPKFIVGKGNGPRIGELGSLSKLQGLLFIFELQNVTDIQDAGLANLKEKHGLDELVLKWSNNSNDSSIREDQMSILEMLEPHRNLKNLKISCYSGAEFPSWIGDPSFDNMVYLSLCDCQNISSLPSLGSLPFLKELHIEGMSGVKRVGPEFLRANSFSDKLFPSLKILRFGNMLEWEEWSLPTLFEVAKGKFPCLHELRVWKCPRLVRDIPSHLTSLVKLHIFECPRLEGSLVSLPSLRELHLEQCDYLFLTRVVDLTSLTTLKIERISNLSCLHKNFINCLVLLEILEIEDCVELVSLWQKGVNLEKLSCLKRLVIVNCPQLVQLTDGEQELPCNLEYMEIDDCVNLEKLPNDLHRLRSLKDLKIKWCPKLLSFPNTGLPSKIKSLAICGCTNLGSVPKGLMHDDNSSIHKGNLECLEIVECPSLRSFPEGELSGALKKLEIWDCMELESLSERLLQKNSLLEFIVIGNCNLQAFPECRYWFEYLTGLHVIGCPSLVCFPESGLPIPNFRRFYIYNCEKLQSLPNNLHNLTSLQYLTVFGCPSLTSFPDGGFPPNLLSLTIRNCRKITLSFPKWGLYKLTSLKDLNVGDCNLNVTSFPEDFTLPLTLVHLRIHHLEHLKFLSKRLQDLTSLEALDVWDCPQLQSLPKDGLPVMLGVLDIRNCPLLEKHYFKERGVCWPIVSHIPCVKIDYVEIH >EOX96609 pep chromosome:Theobroma_cacao_20110822:1:38396690:38401104:1 gene:TCM_005826 transcript:EOX96609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 4 MVSEEFDVVRVTKTILQSVSFEFCDLNDLNLLQVKLSQLLKRKRFLIVLDDIWNEKYEDLMILFSPFQGGYTGSKVIVTTRSQTVASMVGTVPAYHLNEMSFASCLSLLTQHALGRTNFDDHPNLKVVGEEIVKRCKGLPLAAKTLGGLLRRKADYHEWESILNSKLWDLPEEKIDILPALWLSYQHLPSHLKECFAFCAIFPKDYEFDKDELVQLWIGEGFISQTKGMKQIEDLGAEYFRDLLSRSFFQQSSSDESFYVMHDLINDLAQSVAAEVCIHLEDKMEVGQHKFFERVRHTSYIRHKYDVRKRFDLFYTMRRLRTFLALPLSMSDLGADSYLSTTVLQELLPNLKRLRVLTLSGYCISELPDSIGYLKHLRYLNLSHTKIKCLPHSVSALYNLQTLNLSGCKKLIELPRGIENLVNLLYLDIVDTDDLKAMPLKIGNLVNLKKLPKFIVGKGNGPRIGELGSLSKLQGLLFIFELQNVTDIQDAGLANLKEKHGLDELVLKWSNNSNDSSIREDQMSILEMLEPHRNLKNLKISCYSGAEFPSWIGDPSFDNMVYLSLCDCQNISSLPSLGSLPFLKELHIEGMSGVKRVGPEFLRANSFSDKLFPSLKILRFGNMLEWEEWSLPTLFEVAKGKFPCLHELRVWKCPRLVRDIPSHLTSLVKLHIFECPRLEGSLVSLPSLRELHLEQCDYLFLTRVVDLTSLTTLKIERISNLSCLHKNFINCLVLLEILEIEDCVELVSLWQKGVNLEKLSCLKRLVIVNCPQLVQLTDGEQELPCNLEYMEIDDCVNLEKLPNDLHRLRSLKDLKIKWCPKLLSFPNTGLPSKIKSLAICGCTNLGSVPKGLMHDDNSSIHKGNLECLEIVECPSLRSFPEGELSGALKKLEIWDCMELESLSERLLQKNSLLEFIVIGNCNLQAFPECRYWFEYLTGLHVIGCPSLVCFPESGLPIPNFRRFYIYNCEKLQSLPNNLHNLTSLQYLTVFGCPSLTSFPDGGFPPNLLSLTIRNCRKITLSFPKWGLYKLTSLKDLNVGDCNLNVTSFPEDFTLPLTLVHLRIHHLEHLKFLSKRLQDLTSLEALDVWDCPQLQSLPKDGLPVMLGVLDIRNCPLLEKHYFKERGVCWPIVSHIPCVKIDYVEIH >EOX96606 pep chromosome:Theobroma_cacao_20110822:1:38394879:38401867:1 gene:TCM_005826 transcript:EOX96606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 4 MLPVQIPAWEKDKNTILELLLKNEESGVGVISIVGMGGIGKTTLAQLVYNDVSVEGFFDLKAWVSVSEEFDVVRVTKTILQSVSFEFCDLNDLNLLQVKLSQLLKRKRFLIVLDDIWNEKYEDLMILFSPFQGGYTGSKVIVTTRSQTVASMVGTVPAYHLNEMSFASCLSLLTQHALGRTNFDDHPNLKVVGEEIVKRCKGLPLAAKTLGGLLRRKADYHEWESILNSKLWDLPEEKIDILPALWLSYQHLPSHLKECFAFCAIFPKDYEFDKDELVQLWIGEGFISQTKGMKQIEDLGAEYFRDLLSRSFFQQSSSDESFYVMHDLINDLAQSVAAEVCIHLEDKMEVGQHKFFERVRHTSYIRHKYDVRKRFDLFYTMRRLRTFLALPLSMSDLGADSYLSTTVLQELLPNLKRLRVLTLSGYCISELPDSIGYLKHLRYLNLSHTKIKCLPHSVSALYNLQTLNLSGCKKLIELPRGIENLVNLLYLDIVDTDDLKAMPLKIGNLVNLKKLPKFIVGKGNGPRIGELGSLSKLQGLLFIFELQNVTDIQDAGLANLKEKHGLDELVLKWSNNSNDSSIREDQMSILEMLEPHRNLKNLKISCYSGAEFPSWIGDPSFDNMVYLSLCDCQNISSLPSLGSLPFLKELHIEGMSGVKRVGPEFLRANSFSDKLFPSLKILRFGNMLEWEEWSLPTLFEVAKGKFPCLHELRVWKCPRLVRDIPSHLTSLVKLHIFECPRLEGSLVSLPSLRELHLEQCDYLFLTRVVDLTSLTTLKIERISNLSCLHKNFINCLVLLEILEIEDCVELVSLWQKGVNLEKLSCLKRLVIVNCPQLVQLTDGEQELPCNLEYMEIDDCVNLEKLPNDLHRLRSLKDLKIKWCPKLLSFPNTGLPSKIKSLAICGCTNLGSVPKGLMHDDNSSIHKGNLECLEIVECPSLRSFPEGELSGALKKLEIWDCMELESLSERLLQKNSLLEFIVIGNCNLQAFPECRYWFEYLTGLHVIGCPSLVCFPESGLPIPNFRRFYIYNCEKLQSLPNNLHNLTSLQYLTVFGCPSLTSFPDGGFPPNLLSLTIRNCRKITLSFPKWGLYKLTSLKDLNVGDCNLNVTSFPEDFTLPLTLVHLRIHHLEHLKFLSKRLQDLTSLEALDVWDCPQLQSLPKDGLPVMLGVLDIRNCPLLEKHYFKERGVCWPIVSHIPCVKIDYVEIH >EOX96695 pep chromosome:Theobroma_cacao_20110822:1:38687605:38688611:1 gene:TCM_005887 transcript:EOX96695 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/WD repeat-containing protein 4 MLQLFFTIAFSAAPLTLYVPPIRSLNLFVETMEDLVRESRVYTNRLYPRARLVWSRILDCMLCNLRRSAWKLGSVF >EOX90776 pep chromosome:Theobroma_cacao_20110822:1:585540:587590:1 gene:TCM_000147 transcript:EOX90776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFAHCLVAVPVETSKHSKPSILTCSSNESLASLAFTSSSSFSLSSKARNLSFRPRNHRIRPKANAEPQESEVNIAADAFTHFKHLLLPITDGNPYLSEGTRQAATTTAALAKKYGADITVVVIDDKQKEALPEHETQLSSIRWHLSEGGFQEFKLLERLGEGSKPTAIIGEVADDLNLDLVVMSMEAIHSKHVDANLLAEFIPCPVLLLPL >EOX93964 pep chromosome:Theobroma_cacao_20110822:1:17884150:17889259:-1 gene:TCM_002983 transcript:EOX93964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MEGSTVMVSSKNKMMGSSKEQLYHVIHKVPQGDTPYVKAKHAQLVQKDPEAALVLFWKAINAGDRVDSALKDMAVVMKQLNRTEEAIEAIKSFRGRCSKQAQESLDNVLIDLYKKCGKVDEQIDLIKRKLRLIYQGEIFNGKPTKTARCHGKKFQVSVKQETSRLLGNLGWAYMQKSNYLTAEVVYRKAQMIDPDANKACNLGLCLTKQGRYDDARSVLGEILRGQIPGSEDIRARRRAEELLMELKAVQPPLELSDILGLEDEFVNGLDMLMNEWAPVRSKRLPIFEEISSFRDQLAC >EOX92981 pep chromosome:Theobroma_cacao_20110822:1:9736135:9740843:1 gene:TCM_001839 transcript:EOX92981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKQEKMEEGQAITQKPIKSWYEICTQEEQESQKEVGTSSNPLQIFQDSQYPYELNTQIKQWIESLSQSPEVALALASQKDQTPLKQIAVSALESSKKKEIVLHRPKTLQTIFPESQTLGQTQS >EOX92138 pep chromosome:Theobroma_cacao_20110822:1:5401681:5408974:-1 gene:TCM_001132 transcript:EOX92138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MLNITSPIFAEIVPEKSRTSIYALDQSFESILASFAPSLVGILAQRVYGYKPIPKASSDSIEIETNRENAASLAKALYTATGIPMAICCFIYSFLYCTYPRDRERARMQALIESETQQLEENNSPSSGKQSKFCIAVPKELNDEERDGINNIEFGGEESLDLDDNDEMSLLNQERPFTMKSETLTLVLVNLAGIMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQSSCYPLAAYLAMHYNRAHVIALGAFLWAAATCLVAISTTFLQVAVSRGLNGIGLAIVIPAIQSLVADSTDDSNRGMAFGWLQLTGNIGSILGGLCSVLIASKTIMGIPGWRFAFHLVWVISVVVGILVRLFANDPRFSDSDTGAKEQVAHKSFSLQLKDIIEEAKSVMRIPTFQIIVAQGVSGSFPWSALSFAPMWLELIGFSHETTAFIMTLFVISGSLGGLFGGRMGDILAKRLPNSGRIILSQISSGSAIPIAAILLLALPDDPSTAFMHGLVFFIMGLCISWNAPATNNPIFAEIVPEKSRTSIYALDRSFESILASFAPPIVGILAQHVYGYKPIPKGSSDSIEIETDRENAESLAKALYTAIGIPMAICCSIYSFLYGTYPRDRERARMQALIESEMRQLEENNSPSGDKESKFCVADSKELTNKERSEIDMEFGGEESLNLDDNDEKSLLNHQHASQS >EOX91096 pep chromosome:Theobroma_cacao_20110822:1:1568745:1572437:-1 gene:TCM_000385 transcript:EOX91096 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD-like 1 MGSSQFSSSTYDSNWTPEQNKMFENALAIYDTDVPDRWQKIAKLVGGTNEQEVKRQYEILLDDIKRIESGKVRLPKYRRNEGSSRINDISNEEQSRWGDEASSPTSLPQE >EOX91293 pep chromosome:Theobroma_cacao_20110822:1:2213030:2214978:1 gene:TCM_000533 transcript:EOX91293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 10, putative MEKKQRHFVLVHGAFHGAWCWYKVATLLKSTGHKVTALDLAASGVHPKQVHELHSISDYYEPLMEFMASLPVEGKVILVGHSLGGVSLSAAMERFPEKVSVAIFATAVMPGPDFSFASIEEEFTRKGIFSQMDGKYIFDNGPDHPPTSVLAGHNYISSNVYQLSPPEDLMLATVLIRPTALYGGKISLELTKERYGSVRRVYIVCDQDHGIPENLQRWIIENNPPDEVKLVSGSDHMVMFSKPKELCSCLQRIAEKYS >EOX93890 pep chromosome:Theobroma_cacao_20110822:1:17233889:17236868:-1 gene:TCM_002895 transcript:EOX93890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated (UBA)/TS-N domain-containing protein, putative isoform 2 MQTQREVPVMEVKMKLLGELEAVGFPRARAVRALHHSGNTSLDAAVNWLIDHENDADIDQMPLVAINLDIESPQPSDITEAIKIKEQELRDRVRIRKEEEEKKSERERKKERIRAGKAFLQAKRIAEENEQQRLLALRKAEKEEERRAREKVLQKLEVDKLERKRAHGLPLESRAVKPSGPIIQEKKSSLPVKSVQKDEPMRECLRSLKHTYKDDHARVKRAFQTLLIYVGNVARMPDEEKFRKIRLGNPHFQERVGSLKGGIEFLELCGFERSEGSDFLIFPRDKVEIEVLNSAGSLLGSAITNPFFGLFEKMRED >EOX93891 pep chromosome:Theobroma_cacao_20110822:1:17234143:17236485:-1 gene:TCM_002895 transcript:EOX93891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated (UBA)/TS-N domain-containing protein, putative isoform 2 MPLLLTLRDRVRIRKEEEEKKSERERKKERIRAGKAFLQAKRIAEENEQQRLLALRKAEKEEERRAREKVLQKLEVDKLERKRAHGLPLESRAVKPSGPIIQEKKSSLPVKSVQKDEPMRECLRSLKHTYKDDHARVKRAFQTLLIYVGNVARMPDEEKFRKIRLGNPHFQERVGSLKGGIEFLELCGFERSEGSDFLIFPRDKVEIEVLNSAGSLLGSAITNPFFGLFEKMRED >EOX92792 pep chromosome:Theobroma_cacao_20110822:1:8630243:8635446:1 gene:TCM_001667 transcript:EOX92792 gene_biotype:protein_coding transcript_biotype:protein_coding description:XS domain-containing protein / XS zinc finger domain-containing protein-related isoform 1 MMESGRLFRGSLRIELEAVLQDPGVLKILILNHLEACGVMLDQEEPLAMPGRHIMLILGWQLAEGIQDPKHSTRVLRTIMCLRILSFAHLLSMDGIGNLELVLIQPRVYKMAMGKTVLALRSKKTMILKMLRMTQMIMLLMSLMMNFLQMTLIQILVKRAMVMIMNTQLEQDENDKWIGMGNQELLDYFSSYAAVKARHSYGPHGHRGMSVLIFESTARGYLEAERLHKHFAEQGTDREAWERRRVLFHPGGKRQLYGYMAIKEDLDSFNQHCQGKFRLKFDMRSYQEMVVQQIRQMSEDNQQLIFYKNKVAKERRLKSALQESLGIVREKLLKTVEENRIVRQRTKMQHEQNKEEMDFQEQFFKEQIKFIHEARDEKEEDFEKLQQQEREKVTQSNPNASNTEEYRRRASEIAKFIKFQNEEMEAFVAERDKLIKVHEEKMTAMRQRHWNEEVELEKEFDSELSHLMEKYTPDSTKVSTKNA >EOX92791 pep chromosome:Theobroma_cacao_20110822:1:8630240:8635458:1 gene:TCM_001667 transcript:EOX92791 gene_biotype:protein_coding transcript_biotype:protein_coding description:XS domain-containing protein / XS zinc finger domain-containing protein-related isoform 1 MSSRKGSGNSFVAGGMNNPSSKGKDVTGVSSPKVEQLSQGVTDMSLDSAQDDGEWEVIQRKSKNRAGSSAARPRGPQNSNPKPFGGMRGNAGSGRASGNAWATHNADSRMATGRGNTRPQTFNKGFENNHVPPHPVIRAPLEHGWNWQSRAGSNPAKGLQDGHGKDSVSTEVEKDNDIEDVEDDSDDNAVDESDDELLTDDFDSDTSEKSHETRKKNRWFKKLFESLDSLTIEEINDSARQWHCPACQGGPGAIDWYRGLQPLMTHAKTKGAKRVKLHRELAELLDEELCRRGTTVIPAGEAFGKWKGLKDEEKDYEIVWPPMVMIMNTQLEQDENDKWIGMGNQELLDYFSSYAAVKARHSYGPHGHRGMSVLIFESTARGYLEAERLHKHFAEQGTDREAWERRRVLFHPGGKRQLYGYMAIKEDLDSFNQHCQGKFRLKFDMRSYQEMVVQQIRQMSEDNQQLIFYKNKVAKERRLKSALQESLGIVREKLLKTVEENRIVRQRTKMQHEQNKEEMDFQEQFFKEQIKFIHEARDEKEEDFEKLQQQEREKVTQSNPNASNTEEYRRRASEIAKFIKFQNEEMEAFVAERDKLIKVHEEKMTAMRQRHWNEEVELEKEFDSELSHLMEKYTPDSTKVSTKNA >EOX94726 pep chromosome:Theobroma_cacao_20110822:1:31045794:31060808:1 gene:TCM_004338 transcript:EOX94726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase tnp2 MNCEEMLPYIKMFDEMIKGDVMHISEDELEKVCDARFVKWFKNYVATRMDEIDPRILEISHGPGRMIRCYKEYFVNPLHELDNFTVLASGNYEEVNLLIEDEEDDMQRDEDEEDDMEGDENEDDHEEEDELEDDTCEAFSDDSDNNKEHEFVYSESD >EOX92103 pep chromosome:Theobroma_cacao_20110822:1:5267791:5277406:-1 gene:TCM_001108 transcript:EOX92103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator protein with CG-1 and Ankyrin domains, putative isoform 1 MAETRRYGLSNQLDIEQILMEAQHRWLRPAEICEILKDYKKFHIAPEPAHMPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKAGSIDVLHCYYAHGEDNENFQRRSYWMLEEDLSHIVLVHYREVKGNRTNFNRIKETEEAIPYSQDTEGILPNSEMESSVSSSFHPNNGQIPSKTTDTTSLNSVQASEYEDAESDYNHQASSQFNSFLELQQPVVGRVDSGFSDPYVPLSHSNDYHGKPSGTGFQLTQPDKSREYNDAGLTYEPQKNLDFTSWEDVLENCTPGVESAQHQPPFSSTQRDTMGQLFNNSFLTKQEFDNQAPVQEEWQASEGDSSHLSKWPLNQKLHPDLRYDLTFRFHEQEVNHHVHPDKQHDNSMQNNEQIEPSNGKHGYALKPDPESHLTLEGKSINSSAMRQHLFDGSLVEEGLKKLDSFNRWMSKELGDVDESHMQSSSGAYWDAVEGQNGVDVSTIPSQGQLDTFLLGPSLSQDQLFSIIDFSPNWAYVGSEIKVLITGRFLKSRDEAENCKWSCMFGEVEVPAEVIADGVLRCHTPIHKAGRVPFYVTCSNRLACSEVREFEYRVNHMETMDYPRSNTNEILDMRFGRLLCLGPRSPYSITYNVADVSQLSDEINSLLKEDIKEWDQMLMHNSAEEISPEKMKEQLLQKLLKEKLRVWLLQKVAEGGKGPNILDDGGQGVIHFAAALGYDWALEPTIVAGVSVNFRDVNGWTALHWAASYGRERTVASLISLGAAPGALTDPTPKYPLGRTPADLASTNGHKGISGYLAESDLSFHLRSLNLDNQGNNDTVDSRADAIQKILERSTAPLGCGDASDGPSLKDSLAAVRNATQAAARIHQVFRVQSFQKRQLKEYGDGKFGMSNERALSLIAVKSNKPGQHDEHVQAAAIRIQNKFRGWKGRKEFLIIRQRIRSCTIRFSAVQKQFWMKT >EOX92102 pep chromosome:Theobroma_cacao_20110822:1:5267266:5277369:-1 gene:TCM_001108 transcript:EOX92102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator protein with CG-1 and Ankyrin domains, putative isoform 1 MAETRRYGLSNQLDIEQILMEAQHRWLRPAEICEILKDYKKFHIAPEPAHMPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKAGSIDVLHCYYAHGEDNENFQRRSYWMLEEDLSHIVLVHYREVKGNRTNFNRIKETEEAIPYSQDTEGILPNSEMESSVSSSFHPNNGQIPSKTTDTTSLNSVQASEYEDAESDYNHQASSQFNSFLELQQPVVGRVDSGFSDPYVPLSHSNDYHGKPSGTGFQLTQPDKSREYNDAGLTYEPQKNLDFTSWEDVLENCTPGVESAQHQPPFSSTQRDTMGQLFNNSFLTKQEFDNQAPVQEEWQASEGDSSHLSKWPLNQKLHPDLRYDLTFRFHEQEVNHHVHPDKQHDNSMQNNEQIEPSNGKHGYALKPDPESHLTLEGKSINSSAMRQHLFDGSLVEEGLKKLDSFNRWMSKELGDVDESHMQSSSGAYWDAVEGQNGVDVSTIPSQGQLDTFLLGPSLSQDQLFSIIDFSPNWAYVGSEIKVLITGRFLKSRDEAENCKWSCMFGEVEVPAEVIADGVLRCHTPIHKAGRVPFYVTCSNRLACSEVREFEYRVNHMETMDYPRSNTNEILDMRFGRLLCLGPRSPYSITYNVADVSQLSDEINSLLKEDIKEWDQMLMHNSAEEISPEKMKEQLLQKLLKEKLRVWLLQKVAEGGKGPNILDDGGQGVIHFAAALGYDWALEPTIVAGVSVNFRDVNGWTALHWAASYGRERTVASLISLGAAPGALTDPTPKYPLGRTPADLASTNGHKGISGYLAESDLSFHLRSLNLDNQGNNDTVDSRADAIQKILERSTAPLGCGDASDGPSLKDSLAAVRNATQAAARIHQVFRVQSFQKRQLKEYGDGKFGMSNERALSLIAVKSNKPGQHDEHVQAAAIRIQNKFRGWKGRKEFLIIRQRIVKIQAHVRGHQVRKTYRKIVWSVGILEKVILRWRRKGSGLRGFKPEALTEGPSIRAPPPKEDDYDFLKEGRKQTEERLQKALARVKSMAQNPAGRDQYSRMKNVVTEIQETKVMYDKVLSSTETVLDEDLIDLEKLLDADTFMHTHLE >EOX95910 pep chromosome:Theobroma_cacao_20110822:1:35987669:35991227:-1 gene:TCM_005298 transcript:EOX95910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 2 MTSSTTSSSRSRNHSSGVRSTPDRFAHSPSPSYSESSASRKSGSGRNPVTVAARSIAGAFVACFTPPETADSKNFGVSDEFGAPSVASDTSRTKSEGRELNRGIYSNSTKERATGSMKFTMEEIFKATRNFSPAFKVGQGGFGTVYKGRLDDGTFVAIKRAKKSVYDKHLGVEFQSEIKTLAQVEHLNLVKFYGYLEHGDERIVVVEYVPNGTLREHLDGVQGKFLDLASRLDIAIDVAHAITYLHMYTDHPIIHRDIKSSNILLTEKLRAKVADFGFARMAADTDSGATHVSTQVKGTAGYLDPEYLRTYQLTEKSDVYSFGVLLVELVTGRRPIEPKRELKERITARWAIKNFTDGDAISALDPKLELTAGTNLALEKILELALQCLAPRRQSRPSMRRCGEILWSIRKDYREQSAFDIRSLSSNSQRSASVREN >EOX93131 pep chromosome:Theobroma_cacao_20110822:1:10787412:10798224:-1 gene:TCM_001982 transcript:EOX93131 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOL1/NOP2/sun family protein isoform 2 MKKKGRDLLEAFRKTFLTLQNPTPRQIKMDASSERYCYNPILRWNPQVEDYFIKAYGSLHFAQISKALTRPSCYSCIRVNTLKTTSDAVIEKLQEIMRKSGSDNDVEDINFEEIKANKSIDLDMAEKQSCLQNGSVSKCQIPGLEYVVFVKGSGPHTIDYGYAPDKPPKEVLVSRKCAEAVLRGAQVYVPGVMACSAHVEEGDAVAVSVAVEQPAPDGGWGLGITRGTVLQGLQTDPYYFERNGLYIGQGTTMLSRARIFRASQGIAVDMNNQVFKLPSFHDVLEGEIFLQNLPSIVAAHALDPRKGERILDMCAAPGGKTTAIAILMKDEGEVIAADRSHNKVMDIQKLAAEMGLTCITTYKLDALKAVCKRNGSSDMTTLCGNKDNNDVVIQSSDLRPLENGGSSTTTVGLNADTKCKENVSNEKANERTYISKADIRKNMRRMRNGPGRNQSLGGRVENSKGFLPNSFDRVLLDAPCSALGLRPRLFAGEVAWTIDKTAVAKHTHNMKRTSYIWIQLFPF >EOX93129 pep chromosome:Theobroma_cacao_20110822:1:10784165:10798702:-1 gene:TCM_001982 transcript:EOX93129 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOL1/NOP2/sun family protein isoform 2 MKKKGRDLLEAFRKTFLTLQNPTPRQIKMDASSERYCYNPILRWNPQVEDYFIKAYGSLHFAQISKALTRPSCYSCIRVNTLKTTSDAVIEKLQEIMRKSGSDNDVEDINFEEIKANKSIDLDMAEKQSCLQNGSVSKCQIPGLEYVVFVKGSGPHTIDYGYAPDKPPKEVLVSRKCAEAVLRGAQVYVPGVMACSAHVEEGDAVAVSVAVEQPAPDGGWGLGITRGTVLQGLQTDPYYFERNGLYIGQGTTMLSRARIFRASQGIAVDMNNQVFKLPSFHDVLEGEIFLQNLPSIVAAHALDPRKGERILDMCAAPGGKTTAIAILMKDEGEVIAADRSHNKVMDIQKLAAEMGLTCITTYKLDALKAVCKRNGSSDMTTLCGNKDNNDVVIQSSDLRPLENGGSSTTTVGLNADTKCKENGRLYKSWGLCMRRFSSLPVIQECQRVGYPLISSKMPRVTYLSLILNILVSNEKANERTYISKADIRKNMRRMRNGPGRNQSLGGRVENSKGFLPNSFDRVLLDAPCSALGLRPRLFAGEETIETLRNHGKYQRRMFDQAVQLVRPGGVLVYSTCTINPGENEALVRYALDTYKFLSLAPQHPRIGGPGLVGSCQFPDGYIEEWLRPGEEELVQRFDPSSPLDTIGFFIAKFVVGPKET >EOX93130 pep chromosome:Theobroma_cacao_20110822:1:10784249:10798348:-1 gene:TCM_001982 transcript:EOX93130 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOL1/NOP2/sun family protein isoform 2 MKKKGRDLLEAFRKTFLTLQNPTPRQIKMDASSERYCYNPILRWNPQVEDYFIKAYGSLHFAQISKALTRPSCYSCIRVNTLKTTSDAVIEKLQEIMRKSGSDNDVEDINFEEIKANKSIDLDMAEKQSCLQNGSVSKCQIPGLEYVVFVKGSGPHTIDYGYAPDKPPKEVLVSRKCAEAVLRGAQVYVPGVMACSAHVEEGDAVAVSVAVEQPAPDGGWGLGITRGTVLQGLQTDPYYFERNGLYIGQGTTMLSRARIFRASQGIAVDMNNQVFKLPSFHDVLEGEIFLQNLPSIVAAHALDPRKGERILDMCAAPGGKTTAIAILMKDEGEVIAADRSHNKVMDIQKLAAEMGLTCITTYKLDALKAVCKRNGSSDMTTLCGNKDNNDVVIQSSDLRPLENGGSSTTTVGLNADTKCKENVSNEKANERTYISKADIRKNMRRMRNGPGRNQSLGGRVENSKGFLPNSFDRVLLDAPCSALGLRPRLFAGEETIETLRNHGKYQRRMFDQAVQLVRPGGVLVYSTCTINPGENEALVRYALDTYKFLSLAPQHPRIGGPGLVGSCQFPDGYIEEWLRPGEEELVQRFDPSSPLDTIGFFIAKFVVGPKET >EOX91135 pep chromosome:Theobroma_cacao_20110822:1:1701880:1704992:-1 gene:TCM_000415 transcript:EOX91135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein isoform 1 MDEIWERAVETALDGQTDHAAARTLTLDGAVKCVQGRLPPPSLLEKFENLQHLSIANIGVSSLEQFPRLRNLQKLILSDNRIAGGLEFLVEAGLDSLRDLDLSNNRIQYIEDLAPLAQLKLVSLDLYECPVTRVKDYRSRVFGLIKSLKYLDKMDAEENERPESDDEEEDEEEDDEDDPGSGEIDGEDRPYRINNGHSEGGEGIVDVDEDEESDADEEETETGRRVNGQSHEANGFRIEEVGRDEDEEEEDDDDDDNDSGEEVDDDYEEDDVVEVHEIGDSDDEEDGVEDDEDDDDDEDDEEEEVDNDEGDFAEPESTGRLTSTEGEIDGHEHGEDDGDDDDNGETGEEEQGVEDDGEFEDEEEGEDERMKTLVKVTWFNQWARLKNMMLEVVIWTQGMKKKMQKRRRKLKMMRKFRCCHRLPILREREVMMLRTVMVRMMRRKTMLLSLQRHRRSIVSSF >EOX91134 pep chromosome:Theobroma_cacao_20110822:1:1701003:1705473:-1 gene:TCM_000415 transcript:EOX91134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein isoform 1 MDEIWERAVETALDGQTDHAAARTLTLDGAVKCVQGRLPPPSLLEKFENLQHLSIANIGVSSLEQFPRLRNLQKLILSDNRIAGGLEFLVEAGLDSLRDLDLSNNRIQYIEDLAPLAQLKLVSLDLYECPVTRVKDYRSRVFGLIKSLKYLDKMDAEENERPESDDEEEDEEEDDEDDPGSGEIDGEDRPYRINNGHSEGGEGIVDVDEDEESDADEEETETGRRVNGQSHEANGFRIEEVGRDEDEEEEDDDDDDNDSGEEVDDDYEEDDVVEVHEIGDSDDEEDGVEDDEDDDDDEDDEEEEVDNDEGDFAEPESTGRLTSTEGEIDGHEHGEDDGDDDDNGETGEEEQGVEDDGEFEDEEEGEDEDEDFGEGYLVQPVGQAEEHDAGGSDMDPGNEEEDAEEEEEVEDDEEVQVLPSTSHLKRKRSDDAENGDGEDDEEEDDVVEFAKASKKHR >EOX91133 pep chromosome:Theobroma_cacao_20110822:1:1700922:1705286:-1 gene:TCM_000415 transcript:EOX91133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein isoform 1 MDEIWERAVETALDGQTDHAAARTLTLDGAVKCVQGRLPPPSLLEKFENLQHLSIANIGVSSLEQFPRLRNLQKLILSDNRIAGGLEFLVEAGLDSLRDLDLSNNRIQYIEDLAPLAQLKLVSLDLYECPVTRVKDYRSRVFGLIKSLKYLDKMDAEENERPESDDEEEDEEEDDEDDPGSGEIDGEDRPYRINNGHSEGGEGIVDVDEDEESDADEEETETGRRVNGQSHEANGFRIEEVGRDEDEEEEDDDDDDNDSGEEVDDDYEEDDVVEVHEIGDSDDEEDGVEDDEDDDDDEDDEEEEVDNDEGDFAEPESTGRLTSTEGEIDGHEHGEDDGDDDDNGETGEEEQGVEDDGEFEDEEEGEDEDEDFGEGYLVQPVGQAEEHDAGGSDMDPGNEEEDAEEEEEVEDDEEVQVLPSTSHLKRKRSDDAENGDGEDDEEEDDVVEFAKASKKHR >EOX95247 pep chromosome:Theobroma_cacao_20110822:1:33502553:33511450:-1 gene:TCM_004795 transcript:EOX95247 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS isoform 2 MASSRRQSNGRSPLVNQQRQITSFFSKTNSPSPSPTISKQTSKLNPNSKPNRSPSKSPSPSPTTPSPVQSKLKKPLLVIGQTPSPTPSTPADKSYGKEVVDKRIRVYWPLDKAWYEGVVKSFDKESGRHLVQYDDAEEEELDLGKEKIEWIKESTGRLRRLRRGGSSSVFKKVVIDDEDEGVTENVEPESDDNDDDSSDEDWGKNVEQEVSEDAEVEDMDLEDGEEEEEENEEEMKISKRKSSGKTEAKKRKASGGGKLESGKKSKTNANVSKQELKVSLVEPVKKIESDKASNGFDNALVGDASERFGKREAEKLHFLTPKERRDANRKRPEDVNYNPKTLYLPLDFLKSLSGGQRQWWEFKSKHMDKVLFFKMGKFYELFEMDAHIGAKELDLQYMKGEQPHCGFPERNFSMNVEKLARKGYRVLVVEQTETPEQLELRRKEKGAKDKVVKREICAVVTKGTLTEGEMLSANPDPSYLMAVTECCQSSTNQNEDRIFGVCAVDVATSRIILGQFGDDFECSGLCSLLAELRPVEIIKPTKLLSLETERAMLRHTRNLLVNELVPSAEFWDAGKTVCEVKTIYKRINDQSAARSVNHVGPNAANSCEGDGSCCLPAILSNLLSAGADGSLALSALGGTLYYLKQAFLDETLLRFAKFESLPSSGFSGIAQNPYMLLDAAALENLEIFENSRNGDSSGTLYAQLNHCVTAFGKRLLKTWLARPLYHVDLIKERQDAVAGLKGENLSYALEFRKALSRLPDMERLLARIFASSKAIGRNANKVILYEDAAKKQLQEFISALRCCELMVQACSSLGVILENVESTQLHHLLTAGKGLPNIHSILKHFKDAFDWVDANNSGRIIPHEGVDMEYDSACERVKEIESSLTKHLKEQRKLLGDSSITYVTVGKDVYLLEVPENLRGSVPRDYELRSSKKGFFRYWTQYIKKVIGELSQAESEKEMALKNILQRLIGQFCEDHNKWRQLVSTTAELDVLISLAIASDFYEGPTCRPLILGSSCSNEVPCLSAKSLGHPILRSDSLGNGAFVPNDITIGGSGHASFILLTGPNMGGKSTLLRQVCLAVILAQEPMSLQNISNYLLLIESLSGWVPKIILWRDRVHF >EOX95246 pep chromosome:Theobroma_cacao_20110822:1:33502546:33512255:-1 gene:TCM_004795 transcript:EOX95246 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS isoform 2 MASSRRQSNGRSPLVNQQRQITSFFSKTNSPSPSPTISKQTSKLNPNSKPNRSPSKSPSPSPTTPSPVQSKLKKPLLVIGQTPSPTPSTPADKSYGKEVVDKRIRVYWPLDKAWYEGVVKSFDKESGRHLVQYDDAEEEELDLGKEKIEWIKESTGRLRRLRRGGSSSVFKKVVIDDEDEGVTENVEPESDDNDDDSSDEDWGKNVEQEVSEDAEVEDMDLEDGEEEEEENEEEMKISKRKSSGKTEAKKRKASGGGKLESGKKSKTNANVSKQELKVSLVEPVKKIESDKASNGFDNALVGDASERFGKREAEKLHFLTPKERRDANRKRPEDVNYNPKTLYLPLDFLKSLSGGQRQWWEFKSKHMDKVLFFKMGKFYELFEMDAHIGAKELDLQYMKGEQPHCGFPERNFSMNVEKLARKGYRVLVVEQTETPEQLELRRKEKGAKDKVVKREICAVVTKGTLTEGEMLSANPDPSYLMAVTECCQSSTNQNEDRIFGVCAVDVATSRIILGQFGDDFECSGLCSLLAELRPVEIIKPTKLLSLETERAMLRHTRNLLVNELVPSAEFWDAGKTVCEVKTIYKRINDQSAARSVNHVGPNAANSCEGDGSCCLPAILSNLLSAGADGSLALSALGGTLYYLKQAFLDETLLRFAKFESLPSSGFSGIAQNPYMLLDAAALENLEIFENSRNGDSSGTLYAQLNHCVTAFGKRLLKTWLARPLYHVDLIKERQDAVAGLKGENLSYALEFRKALSRLPDMERLLARIFASSKAIGRNANKVILYEDAAKKQLQEFISALRCCELMVQACSSLGVILENVESTQLHHLLTAGKGLPNIHSILKHFKDAFDWVDANNSGRIIPHEGVDMEYDSACERVKEIESSLTKHLKEQRKLLGDSSITYVTVGKDVYLLEVPENLRGSVPRDYELRSSKKGFFRYWTQYIKKVIGELSQAESEKEMALKNILQRLIGQFCEDHNKWRQLVSTTAELDVLISLAIASDFYEGPTCRPLILGSSCSNEVPCLSAKSLGHPILRSDSLGNGAFVPNDITIGGSGHASFILLTGPNMGGKSTLLRQVCLAVILAQVGADVPAEHFKLSPVDRIFVRMGAKDHIMAGQSTFLTELSETALMLSSATQHSLVALDELGRGTSTSDGQAIAESVLEHFVHKVQCRGMFSTHYHRLAVDYENNSKVSLCHMACQVGNGVAGVEEVTFLYRLTTGACPKSYGVNVARLAGLPDSVLLTAAAKSREFESAYGKHRKGSEDDLPMQSCADKMVAFIRELISLTANANCLNTYEDSCINSLTELQHRARILLQQH >EOX91557 pep chromosome:Theobroma_cacao_20110822:1:3086662:3092251:-1 gene:TCM_000700 transcript:EOX91557 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRG family protein isoform 2 MGSSSKEETATDADTSSGDTPPSNSNVFSEGERVLAYHGPRIYEAKVQKAELRKKEWKYFVHYLVSIKGKQKSLLWLGHLANSWDEWVGADRLMKHTEENVIKQQALDKKQGVDKSSKSGRSAQTKPKSSADAKADKEDLKNTVAKGKKRKSDSGVEKDNSSMEKLVKIQIPSTLKKQLVDDWEFVTQQDKLVKLPRSPNVDDILTKYLEYRSKKDGTMTDSIGEILKGIRCYFDKALPVMLLYKKERQQYQDVVLDDVSPSTIYGAEHLLRLFVKFPELLAYVNIEEETLTRLQQKLMDFLKFLQKNQSTFFLSAYDGSRGSEGKGKGKDD >EOX91556 pep chromosome:Theobroma_cacao_20110822:1:3086562:3092210:-1 gene:TCM_000700 transcript:EOX91556 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRG family protein isoform 2 MGSSSKEETATDADTSSGDTPPSNSNVFSEGERVLAYHGPRIYEAKVQKAELRKKEWKYFVHYLGWNKNWDEWVGADRLMKHTEENVIKQQALDKKQGVDKSSKSGRSAQTKPKSSADAKADKEDLKNTVAKGKKRKSDSGVEKDNSSMEKLVKIQIPSTLKKQLVDDWEFVTQQDKLVKLPRSPNVDDILTKYLEYRSKKDGTMTDSIGEILKGIRCYFDKALPVMLLYKKERQQYQDVVLDDVSPSTIYGAEHLLRLFVKFPELLAYVNIEEETLTRLQQKLMDFLKFLQKNQSTFFLSAYDGSRGSEGAR >EOX91558 pep chromosome:Theobroma_cacao_20110822:1:3086556:3092278:-1 gene:TCM_000700 transcript:EOX91558 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRG family protein isoform 2 MEILCSLPCNWDEWVGADRLMKHTEENVIKQQALDKKQGVDKSSKSGRSAQTKPKSSADAKADKEDLKNTVAKGKKRKSDSGVEKDNSSMEKLVKIQIPSTLKKQLVDDWEFVTQQDKLVKLPRSPNVDDILTKYLEYRSKKDGTMTDSIGEILKGIRCYFDKALPVMLLYKKERQQYQDVVLDDVSPSTIYGAEHLLRLFVKFPELLAYVNIEEETLTRLQQKLMDFLKFLQKNQSTFFLSAYDGSRGSEGKGKGKDD >EOX93976 pep chromosome:Theobroma_cacao_20110822:1:18014887:18015295:-1 gene:TCM_003000 transcript:EOX93976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKIEKKQEEIMDQLARILELMSTDKEKRVMGSSSMPEKVQPSKFNAEPKYLLGFTPLPLRNALVPMLQAGPYPFFIMSLFTGPPPIYVQPRLIRGTSLSDPISVPDLDDPKEQ >EOX94660 pep chromosome:Theobroma_cacao_20110822:1:30732885:30735967:-1 gene:TCM_004280 transcript:EOX94660 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETO1-like protein 1 isoform 5 MRRSLRSAWQKAGTVHERLVFGAWLKYEKQGEELIADLLATCNRCAQEFGPIDVVSQHPIKVNGSSQETAVMNGDQSLKNVNFRIGDEKIVCDRQKIASLSAPFHAMLNGYFTESLCEDIDLSENNISPLGMRTIGEFSMTGTLSEVPPDLLLEILVFANKFCCERLKDDCDRKLASLVCTKDDAVELMEYAIEENSPVLAASCLQVFLHELPDCLNDEQVAEIFSHADRQQRSIIVGQASFSLYCLLSEVAMNLDPRSDKTVCFLEQLIESAETDRQRLLAFHQLGCVRLLRKEYDEAERLFEAAVSLGHVYSIAGLARLSYIKGHKLWSYEKLSSVISSVNPLGWMYQERSLYCEGDKRWEDLEKATELDPTLTYPYMYRAASLMMKQNVQVALAEINRVLGFKLALECLELRFCLYLAIEDYKAAIRDVQAILTLSPDYRMFEGRVAASQLRTLVREHVDNWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESGGAKGVLYFRQSLLLLRLNCPDAAMRSLELARQHASSEHERLVYEGWILYDTGHCEEGLRKAEESIKIKRSFEAFFLKAYALADSSLDLSCSSTVISLLENALKCPSDNLRKGQTQQLIATLMPLRSDTLEPIKALHVSIFSETIRLQHTRK >EOX94657 pep chromosome:Theobroma_cacao_20110822:1:30732003:30737241:-1 gene:TCM_004280 transcript:EOX94657 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETO1-like protein 1 isoform 5 MRTFFPSDSCKESQLNAINPQSWLQVERGKLSKFSSSHTTSSSIESFIKVPEPPVVPFFKPIDYVEVLAQIHEELESCSPQERSNLYLLQFQIFRGLGETKLMRRSLRSAWQKAGTVHERLVFGAWLKYEKQGEELIADLLATCNRCAQEFGPIDVVSQHPIKVNGSSQETAVMNGDQSLKNVNFRIGDEKIVCDRQKIASLSAPFHAMLNGYFTESLCEDIDLSENNISPLGMRTIGEFSMTGTLSEVPPDLLLEILVFANKFCCERLKDDCDRKLASLVCTKDDAVELMEYAIEENSPVLAASCLQVFLHELPDCLNDEQVAEIFSHADRQQRSIIVGQASFSLYCLLSEVAMNLDPRSDKTVCFLEQLIESAETDRQRLLAFHQLGCVRLLRKEYDEAERLFEAAVSLGHVYSIAGLARLSYIKGHKLWSYEKLSSVISSVNPLGWMYQERSLYCEGDKRWEDLEKATELDPTLTYPYMYRAASLMMKQNVQVALAEINRVLGFKLALECLELRFCLYLAIEDYKAAIRDVQAILTLSPDYRMFEGRVAASQLRTLVREHVDNWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESGGAKGVLYFRQSLLLLRLNCPDAAMRSLELARQHASSEHERLVYEGWILYDTGHCEEGLRKAEESIKIKRSFEAFFLKAYALADSSLDLSCSSTVISLLENALKCPSDNLRKGQALNNLGSVYVDCGKLDSAADCYINALKIRHTRAHQGLARVHFLRNDKAAAYEEMTKLIEKAKNNASAYEKRSEYCDRDLTKADLEMVTRLDPLRVYPYRYRAAVLMDSYKEKEAIAELSKAIAFKADLHILHLRAAFHEHVGDVLGALRDCRAALSVDPNHQEMLELHSRVNSHEP >EOX94658 pep chromosome:Theobroma_cacao_20110822:1:30732631:30737460:-1 gene:TCM_004280 transcript:EOX94658 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETO1-like protein 1 isoform 5 MRTFFPSDSCKESQLNAINPQSWLQVERGKLSKFSSSHTTSSSIESFIKVPEPPVVPFFKPIDYVEVLAQIHEELESCSPQERSNLYLLQFQIFRGLGETKLMRRSLRSAWQKAGTVHERLVFGAWLKYEKQGEELIADLLATCNRCAQEFGPIDVVSQHPIKVNGSSQETAVMNGDQSLKNVNFRIGDEKIVCDRQKIASLSAPFHAMLNGYFTESLCEDIDLSENNISPLGMRTIGEFSMTGTLSEVPPDLLLEILVFANKFCCERLKDDCDRKLASLVCTKDDAVELMEYAIEENSPVLAASCLQVFLHELPDCLNDEQVAEIFSHADRQQRSIIVGQASFSLYCLLSEVAMNLDPRSDKTVCFLEQLIESAETDRQRLLAFHQLGCVRLLRKEYDEAERLFEAAVSLGHVYSIAGLARLSYIKGHKLWSYEKLSSVISSVNPLGWMYQERSLYCEGDKRWEDLEKATELDPTLTYPYMYRAASLMMKQNVQVALAEINRVLGFKLALECLELRFCLYLAIEDYKAAIRDVQAILTLSPDYRMFEGRVAASQLRTLVREHVDNWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESGGAKGVLYFRQSLLLLRLNCPDAAMRSLELARQHASSEHERLVYEGWILYDTGHCEEGLRKAEESIKIKRSFEAFFLKAYALADSSLDLSCSSTVISLLENALKCPSDNLRKGQTQQLIATLMPLRSDTLEPIKALHVSIFSETIRLQHTRK >EOX94661 pep chromosome:Theobroma_cacao_20110822:1:30732029:30736230:-1 gene:TCM_004280 transcript:EOX94661 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETO1-like protein 1 isoform 5 MRRSLRSAWQKAGTVHERLVFGAWLKYEKQGEELIADLLATCNRCAQEFGPIDVVSQHPIKVNGSSQETAVMNGDQSLKNVNFRIGDEKIVCDRQKIASLSAPFHAMLNGYFTESLCEDIDLSENNISPLGMRTIGEFSMTGTLSEVPPDLLLEILVFANKFCCERLKDDCDRKLASLVCTKDDAVELMEYAIEENSPVLAASCLQVFLHELPDCLNDEQVAEIFSHADRQQRSIIVGQASFSLYCLLSEVAMNLDPRSDKTVCFLEQLIESAETDRQRLLAFHQLGCVRLLRKEYDEAERLFEAAVSLGHVYSIAGLARLSYIKGHKLWSYEKLSSVISSVNPLGWMYQERSLYCEGDKRWEDLEKATELDPTLTYPYMYRAASLMMKQNVQVALAEINRVLGFKLALECLELRFCLYLAIEDYKAAIRDVQAILTLSPDYRMFEGRVAASQLRTLVREHVDNWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESGGAKGVLYFRQSLLLLRLNCPDAAMRSLELARQHASSEHERLVYEGWILYDTGHCEEGLRKAEESIKIKRSFEAFFLKAYALADSSLDLSCSSTVISLLENALKCPSDNLRKGLLMDSYKEKEAIAELSKAIAFKADLHILHLRAAFHEHVGDVLGALRDCRAALSVDPNHQEMLELHSRVNSHEP >EOX94659 pep chromosome:Theobroma_cacao_20110822:1:30732974:30737460:-1 gene:TCM_004280 transcript:EOX94659 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETO1-like protein 1 isoform 5 MRTFFPSDSCKESQLNAINPQSWLQVERGKLSKFSSSHTTSSSIESFIKVPEPPVVPFFKPIDYVEVLAQIHEELESCSPQERSNLYLLQFQIFRGLGETKLMRRSLRSAWQKAGTVHERLVFGAWLKYEKQGEELIADLLATCNRCAQEFGPIDVVSQHPIKVNGSSQETAVMNGDQSLKNVNFRIGDEKIVCDRQKIASLSAPFHAMLNGYFTESLCEDIDLSENNISPLGMRTIGEFSMTGTLSEVPPDLLLEILVFANKFCCERLKDDCDRKLASLVCTKDDAVELMEYAIEENSPVLAASCLQVFLHELPDCLNDEQVAEIFSHADRQQRSIIVGQASFSLYCLLSEVAMNLDPRSDKTVCFLEQLIESAETDRQRLLAFHQLGCVRLLRKEYDEAERLFEAAVSLGHVYSIAGLARLSYIKGHKLWSYEKLSSVISSVNPLGWMYQERSLYCEGDKRWEDLEKATELDPTLTYPYMYRAASLMMKQNVQVALAEINRVLGFKLALECLELRFCLYLAIEDYKAAIRDVQAILTLSPDYRMFEGRVAASQLRTLVREHVDNWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESGGAKGVLYFRQSLLLLRLNCPDAAMRSLELARQHASSEHERLVYEGWILYDTGHCEEGLRKAEESIKIKRSFEAFFLKAYALADSSLDLSCSSTVISLLENALKCPSDNLRKGS >EOX94103 pep chromosome:Theobroma_cacao_20110822:1:21333735:21336817:-1 gene:TCM_003268 transcript:EOX94103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic region, Zinc finger, CCHC-type, Peptidase aspartic, catalytic-like protein MNFKLYQMDVKSAFLNRLIQEEVFVEQPPDFEDFEKSDHVFKLHKALYGLKQAPRAWYKRLSKFLVENGYDRGNIDTTLFNKRYLNNLIVVQIYVDDIIFSATNEALCKNFAKGMQGEFEMSMMGELKCFLGLQIKQSEEGIFINQERYTHDMLKKFDVLKLKSISTPMSPSTKLDLDEKGKDVDQKLYRGMVGSFLYLTKKGIWVKRYDMDLVKARDQAIHYGSLIKMGYVLDGDKFMKTFKSGLRKDRSVLVQLEEAPSRFSSKMIFNLLMRIDGKLTDQGVKLQKMEDKITELENKLKEKEKMASEPVVVHSSVTSYTAPAQQGAKGSAEPAEKSASSGTQAEGFTYKFDSPILQIEDSPQGVDQPAKSPSPKTQSKNDSE >EOX94104 pep chromosome:Theobroma_cacao_20110822:1:21307376:21341066:-1 gene:TCM_003268 transcript:EOX94104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic region, Zinc finger, CCHC-type, Peptidase aspartic, catalytic-like protein MLEKSEEKMKEAIARCGYHPRKVSTVRNFPLGCGRGAASVSREECIRIHQAWIKDNMGKPQEIEEDPEEDPSMCSDQGDDDPYDV >EOX92738 pep chromosome:Theobroma_cacao_20110822:1:8326948:8330928:1 gene:TCM_001624 transcript:EOX92738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MTMGTQGLLSRGKRRLLILLCILEVFFIQMTSISAGRSSPSTQNLQVQTQLKLLNKPAVKSIKSPDGDIIDCIKITHQPAFDHPLLQNHTIQMTPNFYPEGVFGQNKVSSRMKKGSRTITQMWHLNGRCPQGTISVRRTKEDDLLRASSIKAFGRKKHRSVPQPRSADPDLISQSGHQHAIAYVDGDKYYGAKGTMNVWEPKIQQSNEFSLSQIWILGGSFGEDLNSIEAGWQDPKEGNWWMQFGNDYVLGYWPGFLFSYLADSASMIEWGGEVVNSESDGQHTSTQMGSGHFPKEGFGRASYFKNIQIVDGSNNLRAPKGLATFTEQSDCYDVHIGKGGNWGSYFYFGGPGRSPNCP >EOX92737 pep chromosome:Theobroma_cacao_20110822:1:8325381:8329796:1 gene:TCM_001624 transcript:EOX92737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MTMGTQGLLSRGKRRLLILLCILEVFFIQMTSISAGRSSPSTQNLQVQTQLKLLNKPAVKSIKSPDGDIIDCIKITHQPAFDHPLLQNHTIQMTPNFYPEGVFGQNKVSSRMKKGSRTITQMWHLNGRCPQGTISVRRTKEDDLLRASSIKAFGRKKHRSVPQPRSADPDLISQSGHQHAIAYVDGDKYYGAKGTMNVWEPKIQQSNEFSLSQIWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNEIAMGASIYPLSKYHGSQYDISILVWKDPKEGNWWMQFGNDYVLGYWPGFLFSYLADSASMIEWGGEVVNSESDGQHTSTQMGSGHFPKEGFGRASYFKNIQIVDGSNNLRAPKGLATFTEQSDCYDVHIGKGGNWGSYFYFGGPGRSPNCP >EOX92736 pep chromosome:Theobroma_cacao_20110822:1:8326948:8330928:1 gene:TCM_001624 transcript:EOX92736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MTMGTQGLLSRGKRRLLILLCILEVFFIQMTSISAGRSSPSTQNLQVQTQLKLLNKPAVKSIKSPDGDIIDCIKITHQPAFDHPLLQNHTIQMTPNFYPEGVFGQNKVSSRMKKGSRTITQMWHLNGRCPQGTISVRRTKEDDLLRASSIKAFGRKKHRSVPQPRSADPDLISQSGHQHAIAYVDGDKYYGAKGTMNVWEPKIQQSNEFSLSQIWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNEIAMGASIYPLSKYHGSQYDISILVWKDPKEGNWWMQFGNDYVLGYWPGFLFSYLADSASMIEWGGEVVNSESDGQHTSTQMGSGHFPKEGFGRASYFKNIQIVDGSNNLRAPKGLATFTEQSDCYDVHIGKGGNWGSYFYFGGPGRSPNCP >EOX91963 pep chromosome:Theobroma_cacao_20110822:1:4652175:4657415:-1 gene:TCM_000999 transcript:EOX91963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 17, putative isoform 2 MLVPGFLGFNGVFLVSLLVVFLVIRHKLRNAAARKEEVTRLLEMVSHEAAVVEAQATNEYGYYPKNQCAVCFAPTTTRCSQCKSVRYCSSKCQIIHWRQGHKDECRPPTDFAVTKQCGIHSNDFEVERIFRTASDTSSVVGDDDIDANLKPNADAKATHNGFAPPSSSLLAGVSPSGASSESLVNVSPSRTLLSGPNDELGRQLPDDIATAISRSKTAAKKMEEAISPSSESNLTYSVNNLSNLNMKKSTHNAEEVEFQMPFAKGNNLMSDDVHPAKSVYKKSTVAVLSEMLVTDASKKSNSASLSSSRPKTVPNDREDDLQPCETKPVKTSSCSASDDHSTSAAGGHSVPSSKLGLPAKSSATPTLPQTGSNGLKTSMRKAVQQFKASKQSKSYLFGFGNEFNVKHNYKIIFPYELFMELYSYDAVELCPFGLNNCGNSCYANAVLQCLAFTRPLTSYLVRGLHSRACRKKEWCFICEFECLILKAKEGESPLSPIRILSKIQKIGSHLGPGKEEDAHEFLRYAVDAMQSVCLKEARAAGPLAEETTLVGLTFGGYLHSKIKCMKCLGKSERYERMMDLPVEIDGDIGSLEEALAQFTATEILDAENKYHCSVNLTSKQERS >EOX91962 pep chromosome:Theobroma_cacao_20110822:1:4651431:4657465:-1 gene:TCM_000999 transcript:EOX91962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 17, putative isoform 2 MLVPGFLGFNGVFLVSLLVVFLVIRHKLRNAAARKEEVTRLLEMVSHEAAVVEAQATNEYGYYPKNQCAVCFAPTTTRCSQCKSVRYCSSKCQIIHWRQGHKDECRPPTDFAVTKQCGIHSNDFEVERIFRTASDTSSVVGDDDIDANLKPNADAKATHNGFAPPSSSLLAGVSPSGASSESLVNVSPSRTLLSGPNDELGRQLPDDIATAISRSKTAAKKMEEAISPSSESNLTYSVNNLSNLNMKKSTHNAEEVEFQMPFAKGNNLMSDDVHPAKSVYKKSTVAVLSEMLVTDASKKSNSASLSSSRPKTVPNDREDDLQPCETKPVKTSSCSASDDHSTSAAGGHSVPSSKLGLPAKSSATPTLPQTGSNGLKTSMRKAVQQFKASKQSKSYLFGFGNEFNVKHNYKIIFPYELFMELYSYDAVELCPFGLNNCGNSCYANAVLQCLAFTRPLTSYLVRGLHSRACRKKEWCFICEFECLILKAKEGESPLSPIRILSKIQKIGSHLGPGKEEDAHEFLRYAVDAMQSVCLKEARAAGPLAEETTLVGLTFGGYLHSKIKCMKCLGKSERYERMMDLPVEIDGDIGSLEEALAQFTATEILDAENKYHCSRCKSYVKARKKLTVLDAPNILTIVLKRFQSGNFGKLNKSVQIPEVLDLAPYMSGTSDKAAVYNLYAVVVHLDVMNAAFSGHYVCYVKSFHGEWFRIDDSTVIPVELERVLLEGAYMLLYARRSPRAPALVRNNLECHGLRFKKRNLEAVPCSQNTSKTRSDSNFSRLDPSIAQRKHKYPSDTSTRKHLFDQEDWRFHSTQRTPPADSSSESSSIFSGSDASSCSTASTKDSSRSEDFSDYLFGDMGPEWYSQYGISSDSGAEMDGRDRWLERDGNSTFLYTDSSRHRRNSNSRASDFEQVGWSNPFDVRSSGISLRRASVDGSSQTFY >EOX93157 pep chromosome:Theobroma_cacao_20110822:1:10912659:10934011:1 gene:TCM_002005 transcript:EOX93157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 7, putative MASSSGTKNEDYNILPFDESPIMKLPEVEVAVEFVSKMENLPRIERVVERDIFHGHLPRIERVVEKDIFHWLSSVCGFQRGNTLNQREHLVLLLASIYTREKDLNQDGAITRTIKQLKDDFFNNYQLWCNYMHLRPQIWNHEQYTEQQLHVIYIALYLLIWGEASNIRFMPECICYIFHKMANDVHQVLSKIATDGKPADYFLRTVITPIYQILSKEAEKNKGGRASHSLWSNYDDLNECFWSEKCSSELRKQKEGKPLRSSDEILNQFIVGNRCIISGRKQKINFVEVRTFWQLYRSFDRMWIFFIMALQAMIIVAWTHSGSNVANNEDVLRRVLSIFITYAILSLLQAILDIVLSVHSWRSSEFTQLLRCFLKLVVASFWVVVLLVGYSKSVQDPTGLMKFLNRWARDLHNQSLYNYLVVLFMIPDVLATILFFLPPLREKMELSDWPIINIVMWWVQPKLYVGRGMHVGTFSLLKYTIFWILVLSVKLAFSYYVEILPLVRPSKAIMKIYVEDYLLHKFIPNVKHNMGVIVAIWAPIVLVYFMDVQIWYTIFSTLLGGALGAFRHLGEIRTIGMLQSRFKSMPSAFDRCFVPPSGPATKTKDWRTSKEPKNMAKFSQMWNEFIYSMRMEDLISNRDRDLLLLPFPSSDESMVQQPLFLLASKIPATLNMAKEFKGKADHELKKMIGSDKYIRSAIQDFYYTLRDLIFFLLEDEDDKKFVTEIYDAIAKSLTDETFLENFRMSGMPLLAVKLENFLNILMADCRDDKEFKAQITIALQDIVESIMLDIMIDNTLRGDQEHDQVSQMYNKEQRFRKINISDIKGTIWSEKVNRLHLLLTVKESATNVPLNSEARRRITFFANSLFMNMRRAPKVSSMRSFSVLTPHYNEEVLYSDKELKKENEDGVSILFYLKTIYPDEWTNFLERMNCKPDEEGVRKWVSYRGQTLSRSVRGMMYYKKALELQCSLEYTNSDLSEDGIRKQALPDLKFTYVVSCQIYGTLKKSNDSRQKDILNLMLLYPSLRVAYIDEVDEPVKENSGGKTQKVYYSVLLKGDRMNSHEREIYRIKLPGPPTKIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFLHSHGVQKPTILGLREHVFTGSVSSLAWFMSNQETSFVTIGQRFLASPLRVRFHYGHPDIFDRIFHITRGGISKASKTINLSEDIFAGFNSTLRGGNVTHHDYIQVGKGRDVGMNQISLFEAKVANGNGEQTLTRDVHRLGCRFDFFRMLSFYHTTVGFYFNSMVTVLIVYVFLYGRLYMVMTGLERAILENPSIKQNKALEAALLTQSFIQMGMLLVLPMLMEIGLEKGFRTALGNFIIMQLQLSSVFFTFQLGTKAHYFGRTILHGGSKYRATGRGFVVVHATFADNYRLYSRSHFVKALELGLLLVIYEVYGESYRSSSLYLFITFSMWFLVGSWLFAPFIFNPSGFEWQKTVDDWTDWKRWMGIRGGVGIQPEKSWESWWDGEQEHLRYTSIRGRLLEILLAIRFLIYQYGIVYHLDIAHHSRSFLVYGLSWCAVLVILIVPKMVSVRRLQMFHMDLQLALRMLKGLLFLVFLAIIILLFKLCGLTVSDIFASILAFMPTGWAFILIGQACRPLLYKLIWDAVKELARAYDYIMGLLLFTPIAFLSWLPSDLLSFSVIIL >EOX95625 pep chromosome:Theobroma_cacao_20110822:1:34947155:34948869:1 gene:TCM_005078 transcript:EOX95625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B5, n2,ATCB5-E,CB5-E, putative MGSGQKVFAYEEVAKNKERTGCWLLISGKVYDVTPFLEEHPGGDEVLLAASGKDATEDFEDVGHSDDAKQMMEKYYIGEIDSATVPAPKKDKAQTSAVTHKPDEPGCLIRILQLLVPLLILGLAFGLQFLGKKEKAED >EOX91880 pep chromosome:Theobroma_cacao_20110822:1:4293522:4294375:-1 gene:TCM_000941 transcript:EOX91880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGYVGVRFIVAVVCLEWTGGWRGFRPDSMCSFVQVKFWCIWSLVDTLSREGSLAYVIEDGSAFSLHLSVVLQFGLVACDESFIALRRVVLLELFKLGTASSGWSRGLIVILLLAVYDCETQRGS >EOX96665 pep chromosome:Theobroma_cacao_20110822:1:38594692:38598927:1 gene:TCM_005867 transcript:EOX96665 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-linked oxidoreductases superfamily protein isoform 2 MVARRYLPPLFSVAPMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQKGNLDRFLAYSPEQHPIVLQIGGNNLENLAKATELANAYHYDEINFNCGCPSPKVAGHGCFGVRLMLDPKFVGEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSLSPTRHFIIHSRKALLNGISPADNRRIPPLKYEYYYALLRDFPDLKFTINGGINSVVEVNAALREGAHGVMVGRAAYNYPWQTLGHVDTAIYGQPSSGLTRRKILERYQEYGDSVLGRNGSNRPNVREVAKPLLNLFYSEPGNSLWKRKADSAFQHCTTMKSFFEETLVAIPDSVLDSPIAGVPSGHEDLFANVQGLLPPPYNVREQEAVYA >EOX96666 pep chromosome:Theobroma_cacao_20110822:1:38594694:38598939:1 gene:TCM_005867 transcript:EOX96666 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-linked oxidoreductases superfamily protein isoform 2 MVARRYLPPLFSVAPMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQKGNLDRFLAYSPEQHPIVLQIGGNNLENLAKATELANAYHYDEINFNCGCPSPKVAGHGCFGVRLMLDPKFVGEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSLSPTRHFIIHSRKALLNGISPADNRRIPPLKYEYYYALLRDFPDLKFTINGGINSVVEVNAALREGAHGVMVGRAAYNYPWQTLGHVDTAIYGQPSSGLTRRKILERYQEYGDSVLGRNGSNRPNVREVAKPLLNLFYSEPGNSLWKRKADSAFQHCTTMKSFFEETLVAIPDSVLDSPIAGVPSGHEDLFANVQGLLPPPYNVREQEAVYA >EOX96664 pep chromosome:Theobroma_cacao_20110822:1:38594659:38599271:1 gene:TCM_005867 transcript:EOX96664 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-linked oxidoreductases superfamily protein isoform 2 MVKFSAYSLMISSFTPLHSVTLKNHRRFSINIPYNYSTKARTISSYTQNAQIHTEDAMVARRYLPPLFSVAPMMEWTDNHYRTLARLISKHAWLYTEMLAAETIVYQKGNLDRFLAYSPEQHPIVLQIGGNNLENLAKATELANAYHYDEINFNCGCPSPKVAGHGCFGVRLMLDPKFVGEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSLSPTRHFIIHSRKALLNGISPADNRRIPPLKYEYYYALLRDFPDLKFTINGGINSVVEVNAALREGAHGVMVGRAAYNYPWQTLGHVDTAIYGQPSSGLTRRKILERYQEYGDSVLGRNGSNRPNVREVAKVGNFPLLNLFYSEPGNSLWKRKADSAFQHCTTMKSFFEETLVAIPDSVLDSPIAGVPSGHEDLFANVQGLLPPPYNVREQEAVYA >EOX91110 pep chromosome:Theobroma_cacao_20110822:1:1622628:1623590:-1 gene:TCM_000398 transcript:EOX91110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRAIRSVRAQPPAIFSSSLQSSLGHKRSVISFRPVTSSSKGSGNPQTMDETEKNPNEKTGDVMSHSFGEGYATRSDEEGFGGTYGGNQSNSKIEMDKEIHENHPAYDKTQGSEVKEKEKARHQTQASS >EOX91073 pep chromosome:Theobroma_cacao_20110822:1:1500842:1502513:1 gene:TCM_000367 transcript:EOX91073 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD-like 6 MISFPYRPLTSVIFGEKNSPSFPLHPFCKFQLTHPSFQIQAPTYFFNFQPVILQSLSLNPLISFAVLRFSIMASNSLTSSRSSSSSWTPKQNKLFEKALAKYDQDTPDRWHNIAKAVGGTSAAEVKLQYEILVKDLKDIESGRYPYPYPSGSSN >EOX91576 pep chromosome:Theobroma_cacao_20110822:1:3152381:3154869:-1 gene:TCM_000715 transcript:EOX91576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 81, subfamily D, polypeptide 5, putative MFFIQAISSTPRRISFIQKFPFSVLHHRSMEQTLLCSLASVLFLLVAIRFLLQARKHKNLPPSPFALPILGHLHLLKEPLHRTLFTLSQKHGPIFSLRFGSRLAVVITSPSAVEECFNKNDITLANRPRLIMGKYVGYNYTILALASYGDHWRNLRRLATLEILSSNNLSMSSSIRRDEINSLIRRLYRVSVNGFAKVELKTLFAELTFNIIMRIVAGKRYYGDEVRGSEEARGFRAMIEELFELTVSSYPGDFLPLLSWVDYNGYIKKVKLVAKNADAFTQGLIDEHRRNKGNLELKNTMISHLLTLQKSQPEYYTDEIIKGLVLVMLLAGTDTTAVTLEWAMSNLLNHPRILEKARAELDAQIGQERWVEETDLSKLHYLQNIISETLRLYPAAPLLIPHLASDYCTVGGYDLPPEAIVFVNAWAIQRDPELWEDSTSFKPERFEGREGTTHNKLMPFGLGRRACPGMGLAHRAVGVALGSLIQCFEWEKVSDKEIDMTEGTGVTMRKVEPLEAMCKTRHIINKQLVEVN >EOX91366 pep chromosome:Theobroma_cacao_20110822:1:2468344:2469277:-1 gene:TCM_000585 transcript:EOX91366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEFRAMHRCAVFLLALIACHLVLSVEGRQLKLVNKKELKQSSQTDNSAMHNEDHQPAAPSYIPNVSHHSVSGKKEVLPPAIPTKSSDFGDSLAGYKDDFRPTTPGNSPGVGHQFVEDDEDVEQKSGSFSPSNDSHSSAGGKEDFRPTNPGHSPGVGHAFLIKNSETSP >EOX92060 pep chromosome:Theobroma_cacao_20110822:1:5101930:5104175:1 gene:TCM_001077 transcript:EOX92060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 18 MECVVQGIIETQYVEALEILLQGLCGVHRQRLGVHEICLKSGPNLGFVASEVRLLCDIEQAEPTWTVKHVGGAMRGAGAEQISVLVRSMVESKASKNVLRLFYALGYKLDYELLRVGFTFHFHRGAPITVTVSSVNKMLKLHATDEAVPVTPGIQLVEVTAPATSENYNEVVAAVSSFCEYLAPLLHLSKPGASTGVVPTAAAAAASLMSDGGGTTL >EOX96621 pep chromosome:Theobroma_cacao_20110822:1:38431070:38433554:1 gene:TCM_005836 transcript:EOX96621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Silencing group B protein MVCIRKATIDDLLAMQACNLLCLPENYQMKYYLYHILSWPQLLYVAEDYNGRIVGYVLAKMEEESNECHGHITSLAVLRTHRKLGLATKLMNAAQAAMEQVFGAEYVSLHVRKSNRAAFNLYTETLGYKIHDVEAKYYADGEDAYDMRKQLKGKQSHHHGHHHHHHHHHHGGGCCLGEARSTEVTQTRGDSKSESKASTKSDSKAA >EOX92085 pep chromosome:Theobroma_cacao_20110822:1:5191274:5192574:1 gene:TCM_001092 transcript:EOX92085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKPPLPIEHNSQPLFKDAGCEPASPCQPERTDPPPPSKPFTFPLSTDEAGNGPANPEETEQKESFFPLH >EOX94736 pep chromosome:Theobroma_cacao_20110822:1:31112234:31113544:1 gene:TCM_004346 transcript:EOX94736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGQGLSVAIDDSANQCLCKVDIKPWLFSKRKGSKNLEVDSGKIDICWDLSNARFGSGPEPVEGFYLAVAFDQEMMLLLGDLKKEAYKKIDTSAPVNSDAIFIAKREHIFGKKFYGAKAQFCDKGQMHDVIIECDTVDLKDPCLVIRIDSKTVMQVKRLKWKFRGNHTILVDGLPVEVFWDVHNWLFGNAMGNAVFMFQTCLSAEKLWASQSIFDPSVLTWSSSQKFRDHQVQGHGFSLILYAWKHE >EOX94735 pep chromosome:Theobroma_cacao_20110822:1:31112115:31113614:1 gene:TCM_004346 transcript:EOX94735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGQGLSVAIDDSANQCLCKVDIKPWLFSKRKGSKNLEVDSGKIDICWDLSNARFGSGPEPVEGFYLAVAFDQEMMLLLGDLKKEAYKKIDTSAPVNSDAIFIAKREHIFGKKFYGAKAQFCDKGQMHDVIIECDTVDLKDPCLVIRIDSKTVMQVKRLKWKFRGNHTILVDGLPVEVFWDVHNWLFGNAMGNAVFMFQTCLSAEKLWASQSIFDPSVLTWSSSQKFRDHQVQGHGFSLILYAWKHE >EOX94734 pep chromosome:Theobroma_cacao_20110822:1:31112021:31113918:1 gene:TCM_004346 transcript:EOX94734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVIIMKDFPSCFGENGVQVADSSSSSSSSSSSAAKAAQNLVTCVYQCKLHGRSCLITVTWTKSLMGQGLSVAIDDSANQCLCKVDIKPWLFSKRKGSKNLEVDSGKIDICWDLSNARFGSGPEPVEGFYLAVAFDQEMMLLLGDLKKEAYKKIDTSAPVNSDAIFIAKREHIFGKKFYGAKAQFCDKGQMHDVIIECDTVDLKDPCLVIRIDSKTVMQVKRLKWKFRGNHTILVDGLPVEVFWDVHNWLFGNAMGNAVFMFQTCLSAEKLWASQSIFDPSVLTWSSSQKFRDHQVQGHGFSLILYAWKHE >EOX93338 pep chromosome:Theobroma_cacao_20110822:1:12151320:12156358:1 gene:TCM_002174 transcript:EOX93338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 isoform 1 MAYKGIKLGTISSNQIFTTLNKWHGLNTALRRILNRNAFKTAVSDKVSGSGLWDRAVFALSARSNAEEIDGVLGFEEKGKSLSIEEASYFREAIVALRLAKEVIKVQQGWRGNAIADLNRSGRFSRSLANSCTDWPCLLFDLLSQAAEIDHFQPKLVINNIEILRNAMLTDDSTVCGSMYHDSLIWRIIALGANERCLPVVLVTSDSYYSYQAFMDFGFPDIFISRETFGWTPQEAKMHVVSDYFTHAEWMVIDDVLGPNPRHLFELYVLKQSNYYQKLMDNEASTFEDIVDAYLAYLQVTVVNPSMEKALMLLQKFADDARSGKILDDRLRFGAPWRHPPSSKDHTTRLEWAKIQLMDFIQSLVNTEFGINYLADCSLEILDDPAAVALVEVGLLYAQRDPSFIRPISKGIQRCLVRWLVQERMQLSYRNLLQYLWQRVIRGRSYRHLMLQEGYNK >EOX93337 pep chromosome:Theobroma_cacao_20110822:1:12151320:12156277:1 gene:TCM_002174 transcript:EOX93337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 isoform 1 MAYKGIKLGTISSNQIFTTLNKWHGLNTALRRILNRNAFKTAVSDKVSGSGLWDRAVFALSARSNAEEIDGVLGFEEKGKSLSIEEASYFREAIVALRLAKEVIKVQQGWRGNAIADLNRSGRFSRSLANSCTDWPCLLFDLLSQAAEIDHFQPKLVINNIEILRNAMLTDDSTVCGSMYHDSLIWRIIALGANERCLPVVLVTSDSYYSYQAFMDFGFPDIFISRETFGWTPQEAKMHVVSDYFTHAEWMVIDDVLGPNPRHLFELYVLKQSNYYQKLMDNEASTFEDIVDAYLAYLQVTVVNPSMEKALMLLQKFADDARSGKILDDRLRFGAPWRHPPSSKDHTTRLEWAKIQLMDFIQSLVNTEFGINYLADCSLEILDDPAAVALVEVGLLYAQRDPSFIRPISKGIQRCLVRWLVQERMQLSYRNLLQYLWQRVIRGRSYRHLMLQEGYNK >EOX93336 pep chromosome:Theobroma_cacao_20110822:1:12151074:12158155:1 gene:TCM_002174 transcript:EOX93336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 isoform 1 MVNKAWKIIPRPLLETILNNHAQHHRVPQPLILHGPRGVGKTTLILDRLLGEWNKGPHLTGYVDFAQSIKDHHPNFDGSFPWTSWSTCDLPSLSNCRTQLENCLESMAYKGIKLGTISSNQIFTTLNKWHGLNTALRRILNRNAFKTAVSDKVSGSGLWDRAVFALSARSNAEEIDGVLGFEEKGKSLSIEEASYFREAIVALRLAKEVIKVQQGWRGNAIADLNRSGRFSRSLANSCTDWPCLLFDLLSQAAEIDHFQPKLVINNIEILRNAMLTDDSTVCGSMYHDSLIWRIIALGANERCLPVVLVTSDSYYSYQAFMDFGFPDIFISRETFGWTPQEAKMHVVSDYFTHAEWMVIDDVLGPNPRHLFELYVLKQSNYYQKLMDNEASTFEDIVDAYLAYLQVTVVNPSMEKALMLLQKFADDARSGKILDDRLRFGAPWRHPPSSKDHTTRLEWAKIQLMDFIQSLVNTEFGINYLADCSLEILDDPAAVALVEVGLLYAQRDPSFIRPISKGIQRCLVRCFRILLAWAWFKVHIKFFTMWGTFGKYMKA >EOX95738 pep chromosome:Theobroma_cacao_20110822:1:35424408:35425019:1 gene:TCM_005170 transcript:EOX95738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 FCFVSFFFFLFNASVVIFRGFKFITRLRNFCSIMGFPTSRRWGSFSPLFLFIWVLCNGGNYVRYYGRICKYLCKIRKDGSFYFHIVAADVGARWIWRTILSEPLTYAFQLSSTLSSVVSVLSL >EOX95737 pep chromosome:Theobroma_cacao_20110822:1:35424470:35425677:1 gene:TCM_005170 transcript:EOX95737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGFPTSRRWGSFSPLFLFIWVLCNGGNYVRYYGRICKYLCKIRKDGSFYFHIVAADVGARWIWRTILSEPLTYAFQLSSTLSSVVSVLSL >EOX94978 pep chromosome:Theobroma_cacao_20110822:1:32272577:32274415:1 gene:TCM_004562 transcript:EOX94978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein MAVHQNFQTVRLNYLSIPSVLLISKKPTQLKSPLAELKPTLVSLQNEASVKPITSKTTTKQHSFTITYLINSCGLSSESAISASQKVRFQSPERPDLVLALLENYGFSKIQISNLIRKRPVLLLANPENILLPKLEFFQSIGLSSCDLARTLSSDPTLLTRSLENQIIPSYDFLKSVLLSNEKIVSALKRTTWIFLEDPSKNLMPNVAFLRELGVPQKCVALLLTHFPEAVMQKHEDFCGIVREVKEIGFEPRKSMFVLAVHALSGKGNKSIWEKCFEVYKRWGWSKDDILTAFKKHPHSMMLSEKKIMKSMDYFVNKMGWPSRMIAQCPVVLFFSLERRIIPRCSVFQILLSKGLIKEDFSLTTVLLPVEKRFLERFVTKYQEEVPDLLSVYQGKVKFEGA >EOX95050 pep chromosome:Theobroma_cacao_20110822:1:32719887:32722097:-1 gene:TCM_004634 transcript:EOX95050 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein isoform 4 MSRHPEVKWAQRSDKVFITVLLPDSKNAKVNLEPEGVFTFSANAGADNNLYELKLELHDKVNVEESKINIGVRSIFCILEKAEKVWWNKLLRGDGKTPHYVKVDWDKWVDEDEENGIGDLDLGGMDFSNFGNMGGMGDGGMGDFEDSDDEEQEVTKPEGDAKPEEVVAGTSEKKEAAPST >EOX95052 pep chromosome:Theobroma_cacao_20110822:1:32719834:32722050:-1 gene:TCM_004634 transcript:EOX95052 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein isoform 4 MSRHPEVKWAQRSDKVFITVLLPDSKNAKVNLEPEGVFTFSANAGADNNLYELKLELHDKVNVEESKINIGVRSIFCILEKAEKVWWNKLLRGDGKTPHYVKVDWDKWVDEDEENGIGDLDLGGMDFSNFGNMGGMGDGGMGDFEDSDDEGKNAQEVTKPEGDAKPEEVVAGTSEKKEAAPST >EOX95051 pep chromosome:Theobroma_cacao_20110822:1:32720151:32721984:-1 gene:TCM_004634 transcript:EOX95051 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein isoform 4 MSRHPEVKWAQRSDKVFITVLLPDSKNAKVNLEPEGVFTFSANAGADNNLYELKLELHDKVNVEFLFFFGKKESKINIGVRSIFCILEKAEKVWWNKLLRGDGKTPHYVKVDWDKWVDEDEENGIGDLDLGGMDFSNFGNMGGMGDGGMGDFEDSDDEEQEVTKPEGDAKPEEVVAGTSEKKEAAPST >EOX95053 pep chromosome:Theobroma_cacao_20110822:1:32720222:32722050:-1 gene:TCM_004634 transcript:EOX95053 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein isoform 4 MSRHPEVKWAQRSDKVFITVLLPDSKNAKVNLEPEGVFTFSANAGADNNLYELKLELHDKVNVEESKINIGVRSIFCILEKAEKVWWNKLLRGDGKTPHYVKVDWDKWVDEDEENGIGDLDLGGMDFSNFGNMGGMGDGGMGDFEDSDDEGKNAVRLSEQYYACDYLFFPLIHWFGNFGLWVN >EOX92499 pep chromosome:Theobroma_cacao_20110822:1:7179013:7182837:-1 gene:TCM_001445 transcript:EOX92499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase 1 MPSTTVGQVIRCKAAVAWEAGKPLVIEEVEVAPPQAMEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTDLEPGDHVLPVFTGECKECRHCKSEESNMCDLLRINTDRGVMLHDGKSRFSINGQPIYHFVGTSTFSEYTVVHVGCVAKINPAAPLDKVCVLSCGISTGLGATLNVAKPGKGSTVAIFGLGAVGLAAAEGARMAGASRIIGVDLNSKRFNEAKKFGVTEFVNPKDHGKPVQEVIAEMTGGGVDRSVECTGNINAMISAYECVHDGWGVAVLVGVPNKDDTFKTHPMNVLNEKTLKGTFFGNYKPRSDLPLVVEKYMNKELQLEKFITHEVPFSEINKAFDYMLRGDSLRCVIRMDA >EOX93535 pep chromosome:Theobroma_cacao_20110822:1:13846106:13850877:1 gene:TCM_002426 transcript:EOX93535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetoacetyl-CoA thiolase 2 isoform 1 MAPTAAAASDSIKPRDVCVVGVARTPMGGFLGSLSSLSATKLGSIAIEAALKRANVDPSLVQEVIFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNAPKYLAEARKGSRLGHDTLVDGMMKDGLWDVYNDCGMGSCAELCAEKHVFTREDQDNFSIQSFERGIAAQQGGAFAWEIVPVEVSGGRGKPSTIVDKDEGLGKFDAAKLRKLRPSFKDNGGTVTAGNASSISDGAAALVLVSGEKALKLGLQVIAKITGYADAAQAPEFFTTAPALAIPKAISNAGLDASQIDYYEINEAFAVVALANQKLLDLNPEKVNVNGGAVSLGHPLGCSGARILVTLLGVLKQRNGKYGVGGVCNGGGGASALVVELL >EOX93537 pep chromosome:Theobroma_cacao_20110822:1:13848038:13850662:1 gene:TCM_002426 transcript:EOX93537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetoacetyl-CoA thiolase 2 isoform 1 MESMSNAPKYLAEARKGSRLGHDTLVDGMMKDGLWDVYNDCGMGSCAELCAEKHVFTREDQDNFSIQSFERGIAAQQGGAFAWEIVPVEVSGGRGKPSTIVDKDEGLGKFDAAKLRKLRPSFKDNGGTVTAGNASSISDGAAALVLVSGEKALKLGLQVIAKITGYADAAQAPEFFTTAPALAIPKAISNAGLDASQIDYYEINEAFAVVALANQKLLDLNPEKVNVNGGAVSLGHPLGCSGARILVTLLGVLKQRNGKYGVGGVCNGGGGASALVVELL >EOX93536 pep chromosome:Theobroma_cacao_20110822:1:13847072:13851077:1 gene:TCM_002426 transcript:EOX93536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetoacetyl-CoA thiolase 2 isoform 1 MAPTAAAASDSIKPRDVCVVGVARTPMGGFLGSLSSLSATKLGSIAIEAALKRANVDPSLVQEVIFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNAPKYLAEARKGSRLGHDTLVDGMMKDGLWDVYNDCGMGSCAELCAEKHVFTREDQDNFSIQSFERGIAAQQGGAFAWEIVPVVEVSGGRGKPSTIVDKDEGLGKFDAAKLRKLRPSFKDNGGTVTAGNASSISDGAAALVLVSGEKALKLGLQVIAKITGYADAAQAPEFFTTAPALAIPKAISNAGLDASQIDYYEINEAFAVVALANQKLLDLNPEKVNVNGGAVSLGHPLGCSGARILVTLLGVLKQRNGKYGVGGVCNGGGGASALVVELL >EOX91959 pep chromosome:Theobroma_cacao_20110822:1:4646711:4648048:1 gene:TCM_000997 transcript:EOX91959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MVRLKQLEQDTQHPLGGYYSSALCSRPNSLSQQVIPTIDILLKSLPRKTKSKAETNIANAVRHRIAERHRRKRICQQYDILRSILPNLIKMDKASVLGETIRQVRELKKRLKETKAVCRGSLEGVLPGESDNLSLGYCESDGSLLKATFSCDDRAELISDLTRAVRTVNGRVVRAEMVFVGGRNKSVLWVKGLSGNEGICMLKRALKMAVDRPKMKNGKPRFTH >EOX94755 pep chromosome:Theobroma_cacao_20110822:1:31205494:31209583:-1 gene:TCM_004363 transcript:EOX94755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome recycling factor isoform 1 MAAPFSSTSTVGSIFRPNPNPPKSFLSPSDSFQKGFDCVKCRSTDVNVYSWSSAANYARLRHGASKLSGKPFVVLRKRAGVLRCATIEEIEAEKSSIEKDAKARMEKTIETVRTNFNSIRTGRANPAILDKVEVEYYGTPVSLKSIAQISTPDSSSLLVQPYDKSSLKAIEKAIVNSDLGMTPNNDGEVIRLSLPQLTTERRKELSKVVAKQAEEGKVAVRNIRRDALKAYEKLEKEKKLSEDNVKDLSSDLQISQLGGLQIPLSTQVCEIFSFMQKLTDEYMKKIDSIFKQKEKELLTV >EOX94754 pep chromosome:Theobroma_cacao_20110822:1:31204912:31223408:-1 gene:TCM_004363 transcript:EOX94754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome recycling factor isoform 1 MAAPFSSTSTVGSIFRPNPNPPKSFLSPSDSFQKGFDCVKCRSTDVNVYSWSSAANYARLRHGASKLSGKPFVVLRKRAGVLRCATIEEIEAEKSSIEKDAKARMEKTIETVRTNFNSIRTGRANPAILDKVEVEYYGTPVSLKSIAQISTPDSSSLLVQPYDKSSLKAIEKAIVNSDLGMTPNNDGEVIRLSLPQLTTERRKELSKVVAKQAEEGKVAVRNIRRDALKAYEKLEKEKKLSEDNVKDLSSDLQKLTDEYMKKIDSIFKQKEKELLTV >EOX94756 pep chromosome:Theobroma_cacao_20110822:1:31204966:31209699:-1 gene:TCM_004363 transcript:EOX94756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome recycling factor isoform 1 MAAPFSSTSTVGSIFRPNPNPPKSFLSPSDSFQKGFDCVKCRSTDVNVYSWSSAANYARLRHGASKLSGKPFVVLRKRAGVLRCATIEEIEAEKSSIEKDAKARMEKTIETVRTNFNSIRTGRANPAILDKVEVEYYGTPVSLKSIAQISTPDSSSLLVQPYDKSSLKAIEKAIVNSDLGMTPNNDGEVIRLSLPQLTTERRKELSKVVAKQAEEGKVAVRNIRRDALKAYEKLEKACIFTAIPSFHFWLMFLFFLFPCSCSLVTSSVDIVFSILIRRKSFLKTM >EOX95873 pep chromosome:Theobroma_cacao_20110822:1:35852103:35859017:1 gene:TCM_005271 transcript:EOX95873 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 4-like protein MYVKAMVEWGAMDPYFPWLSPPSNFIHRVHTVTCTQPESRSQLCQKVQVIDIVEKLDHLLLCFLHRFLISTLPEDIITEGGQQFCAANVSNTSFANRLESFFHQAYTTTKDKSIKLSPRLLLGRKPARSPAAEAKTVTGFVMDPSLLKLLEEDEDETTHSESALEALQAALQRVLEGDTSTSQPFDSNTGDHSQLFIVLFAIAVLSQGIDQTGSVDGRTINFHSQQAPQPQSALQPEQSFPVIEQEPRGFIRGISQQQQNDVLEETNRLPRQQKESQDAEQIASQVFQMTGTQSSQRNAIPARESGSESQGLRLERMGNQQTRGGKEVPFGVLMLTLLPQLNKDKAMQLSILHDKMQRNEITNEAFDRHMRDIVGNEKLKLAYNQLQSQMSSNTFLSPSPTFALQNLPRMPSFTAGIPRFAGPLSIGQLPKKSPNFPANSSHATSLGVSMLNNPSYPSIGNDTQNSLEMDRQSYPRLGVLRSQIPSSSSSAVNQGRDHSPIYGQGLNKQQQQQLASYSQPDVNTSGSFLKSQPHDSQRRQLTHHQSMGSNLVGGSTRAMDMMSGPMFERQNSISDPTRFQGGSLSHISSNPVPCQVSASNELKSGPLLSVTDIKQQSVGHGAELQQKSHLHTPQGPSIAQVVQGNAIPATSKEKTLEKQLASFGFTTTTNMTPINSVSPSLSSQLEYNAPLSSQNPSVTSPASVNARTPEKKPDVGQKKPPEAPGPSPPLPSKKQKVSGTFSDQSIDQLNDVTAVSGVNLQEEEERLLSGPKKDFRISEAYRLIVHEEEERGILLKAPLQKKLAEITAKLGLKNISNDAERCLSLSVEERMRGLICNLIRHSKQRADAEKPMHLKLTTSDVRQQIIRLNCKAKEEWEKKQVEVKKLQKLDEPEGPRVDGNKEKNEGQVKSLKMNKEDDDKMRTNAANVAARAAVGGDDLLSKWQLMAEQARQKREKEAEKGGLVSPLASGAIRKFGARTISVKDVIAVLEREPQMSRSTLIHRLYDRIHSMAAAY >EOX91105 pep chromosome:Theobroma_cacao_20110822:1:1599576:1602032:-1 gene:TCM_000392 transcript:EOX91105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF59) [Source:Projected from Arabidopsis thaliana (AT3G50845) TAIR;Acc:AT3G50845] MTLGLINANPVVHAKKERVARSEDPHGEDAVDPLEIYDIVRDIRDPEHPYSLEQLSVLSEESITVDEKLGRILITFTPTIQHCSMAAVIGLCLRVKLKECFPPHFKVDIKVAPGSHADEESVNKQLNDKERVAAALENPNLRQLVDECLYSNEL >EOX91103 pep chromosome:Theobroma_cacao_20110822:1:1599421:1602063:-1 gene:TCM_000392 transcript:EOX91103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF59) [Source:Projected from Arabidopsis thaliana (AT3G50845) TAIR;Acc:AT3G50845] MTLGLINANPVVHAKKERVARSEDPHGEDAVDPLEIYDILLVPFSFHVVSDLLACIYSAIKQSISHFYPEHPYSLEQLSVLSEESITVDEKLGRILITFTPTIQHCSMAAVIGLCLRVKLKECFPPHFKVDIKVAPGSHADEESVNKQLNDKERVAAALENPNLRQLVDECLYSNEL >EOX91104 pep chromosome:Theobroma_cacao_20110822:1:1599576:1602032:-1 gene:TCM_000392 transcript:EOX91104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF59) [Source:Projected from Arabidopsis thaliana (AT3G50845) TAIR;Acc:AT3G50845] MTLGLINANPVVHAKKERVARSEDPHGEDAVDPLEIYDFVRDIRDPEHPYSLEQLSVLSEESITVDEKLGRILITFTPTIQHCSMAAVIGLCLRVKLKECFPPHFKVDIKVAPGSHADEESVNKQLNDKERVAAALENPNLRQLVDECLYSNEL >EOX95695 pep chromosome:Theobroma_cacao_20110822:1:35263146:35266355:1 gene:TCM_005140 transcript:EOX95695 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS MAMEMEGEEERGKVRALIEKATNSTAAEVDPRLLKAIKSVVRFSDSELRVAAHTLMDLMKRDHSQVRYLTLLIIDELFMRSKLFRTLIVENLDQLLTLSIGFRRNMPLPAPPAVASTLRSKAIEFLEKWNVSFGVHYRQLRLGFDYLKNSLRFQFPNLQENAARIERERTERERRTQEILRNKFETLKTNFGSIKEEMQSTVNEIGECLYIARTKEESVPLGLLDDEDFEEFRSSELRQIRLDSLKEGEKVCENSDNKVVLDALRELYKLLVTKHLVSVQEWISLLIRVEVADNRLRDSMLKELIDIRSRLLSVKKDCDESGCALLKTVKNNQEEEEDFWEEGNIGSTENGSSTEPEKRKEVQSSNKEEKIKSIEDRNSWKSNKQNENLARVSSSSKVKDKAKECSNLSSKGKESLGSETSVRSKLLTEAPVIRWGSFLDNWGSVSNKDMLANQRGLELESHWGRVDYDAVIPAEKIAELNLQAIVYQENLGEIQPCHAPLSKGGLCKRRDLRVCPFHGPIIPRDDEGNPINRTSSIDDTNPDLASDIVEQLAKKAVKNVRARDKEEARKRKLDKQSLWRAKLAKVREHNEAVLRDAALASTSRSAVVGEEIEETIGEKSGGRNKQTLASMQRKKVTTKGRLAHKLLTTRVTDAAIRQITQREDATYREAFPNQWILHCNVSTSLGKLVVPIGNHGW >EOX93385 pep chromosome:Theobroma_cacao_20110822:1:12577137:12578990:1 gene:TCM_002241 transcript:EOX93385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 7 isoform 1 MEFSRIFMFCCSVLLLCSSLAYGQAASPPAPAAMTPTPTPAPAPAPAYVNLTYLLSVAGPFHTFLDYLESTKVIETFQNQANNTEQGITIFVPKDNAFKALKKPSLSNISDDQLKSLFLFHALPKFYALADFNDLSAKGPVSTLAGGQYTLNFTDNSGTVHLDSGWSKTKITSAVHSTDPVAIYQVDKVLLPEAIFGTDIPPTPAPAPAPDLSPAADTPAAKSKESGSSPKSSPSNSSSHRIMNLGIGSQLVLAVLGGVVLLF >EOX93387 pep chromosome:Theobroma_cacao_20110822:1:12576839:12578943:1 gene:TCM_002241 transcript:EOX93387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 7 isoform 1 MEFSRIFMFCCSVLLLCSSLAYGQAASPPAPAAMTPTPTPAPAPAPAYVNLTYLLSVAGPFHTFLDYLESTKVIETFQNQANNTEQGITIFVPKDNAFKALKKPSLSNISDDQLKSLFLFHALPKFYALADFNDLSAKGPVSTLAGGQYTLNFTDNSGTVHLDSGWSKTKITSAVHSTDPVAIYQVDKVLLPEAIFGTDIPPTPAPAPAPDLSPAADTPAAKSKESGSSPKSSPSNSSSHRIMNLGIGSQLVLAVLGGVVLLF >EOX93386 pep chromosome:Theobroma_cacao_20110822:1:12576845:12578990:1 gene:TCM_002241 transcript:EOX93386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 7 isoform 1 MEFSRIFMFCCSVLLLCSSLAYGQAASPPAPAAMTPTPTPAPAPAPAYVNLTYLLSVAGPFHTFLDYLESTKVIETFQNQANNTEQGITIFVPKDNAFKALKKPSLSNISDDQLKSLFLFHALPKFYALADFNDLSAKGPVSTLAGGQYTLNFTDNSGTVHLDSGWSKTKITSAVHSTDPVAIYQVDKVLLPEAIFGTDIPPTPAPAPAPDLSPAADTPAAKSKESGSSPKSSPSNSSSHRIMNLGIGSQLVLAVLGGVVLLF >EOX93384 pep chromosome:Theobroma_cacao_20110822:1:12576839:12578943:1 gene:TCM_002241 transcript:EOX93384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 7 isoform 1 MEFSRIFMFCCSVLLLCSSLAYGQAASPPAPAAMTPTPTPAPAPAPAYVNLTYLLSVAGPFHTFLDYLESTKVIETFQNQANNTEQGITIFVPKDNAFKALKKPSLSNISDDQLKSLFLFHALPKFYALADFNDLSAKGPVSTLAGGQYTLNFTDNSGTVHLDSGWSKTKITSAVHSTDPVAIYQVDKVLLPEAIFGTDIPPTPAPAPAPDLSPAADTPAAKSKESGSSPKSSPSNSSSHRIMNLGIGSQLVLAVLGGVVLLF >EOX90964 pep chromosome:Theobroma_cacao_20110822:1:1198340:1200235:1 gene:TCM_000291 transcript:EOX90964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MNDVTHQRIKTNGIWLHIAEQGTGPLVLLLHGFPDIWYSWRHQIPFLANHGYHVVAPDLRGYGDSDSPLSPSSYTFMHLVGDIIGLLDHFGEQQAFVVGHDWGAVIAWHLCLFRPERVKGLINISVPYFDRNPKAKYADALIRTYGDGFYISQFQEPGRAERAFARHDYLTVMKKFLLMTQTDNLIAPPGVEIIDYLQTPSWIPPWITEEEIQVYADKFQESGFTGGFNYYRAMNWNWELTAPWQGSKILVPVKFLVGDKDLGFENAGVREYVQGDTFRSLVPNLEVVILDGHHFLQQEKHQEVSQEILSFLRKFPAE >EOX90965 pep chromosome:Theobroma_cacao_20110822:1:1198768:1202899:1 gene:TCM_000291 transcript:EOX90965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MNDVTHQRIKTNGIWLHIAEQGTGPLVLLLHGFPDIWYSWRHQIPFLANHGYHVVAPDLRGYGDSDSPLSPSSYTFMHLVGDIIGLLDHFGEQQAFVVGHDWGAVIAWHLCLFRPERVKGLINISVPYFDRNPKAKYADALIRTYGDGFYISQFQTDNLIAPPGVEIIDYLQTPSWIPPWITEEEIQVYADKFQESGFTGGFNYYRAMNWNWELTAPWQGSKILVPVKFLVGDKDLGFENAGVREYVQGDTFRSLVPNLEVVILDGHHFLQQEKHQEVSQEILSFLRKFPAE >EOX91025 pep chromosome:Theobroma_cacao_20110822:1:1388992:1390909:1 gene:TCM_000338 transcript:EOX91025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase family protein, putative MSSISTLFHPPLFRFKLGTSPKLCKSSNPDFFCRFRTRKPSSLGGFLAEKTGVWDLPRAMIKTSTVRDSRNGDDCLQVLEQEAFIEGSARLRGLETTLNRMSKWLVAATFGGVLLWRHDAEAIWVAMGSIVNALLSVGLKRVLNQERPVAGLKSDPGMPSSHAQSIFFTVVFTIASILEWLGVNELSVSISALALAFGSYFSWLRVSQQLHTMSQILVGAIIGSIFSVLWYKSWKAVVLEAFHSSLLVRVIVLLGSAGFCLGFLLYVIQYWLRDER >EOX92238 pep chromosome:Theobroma_cacao_20110822:1:5879168:5882432:-1 gene:TCM_001215 transcript:EOX92238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyl transpeptidase 1 isoform 2 MKNHKREKKMSFVPLLWTTILFLFFIVSPATSSLDGVSASTKQRREEIVARHGAVATDDGRCSKIGMDVLRIGGHAVDAAVAAALCLGVVSPASSGIGGGAFMLVRESNGKAQAFDMRETAPMKASQNMYAGNATLKASGALSVAVPGELAGLHKAWKEHGRLPWARLVKPAENLARKGFKVSPYLQMQMESTKSAILADKGLRDIFTSNGELLQVGDICYIELAETLRKISIYGVDAFYNGSIGFNLVRDIQKAGGILTVDDLKKYEVKMREPISANILGLKILGMPPPSSGGASMMLVLNILAQYGVPSGISGSLGTHRLIEALKHAFAVRMNLGDPDFVDVSKVVADMLSPKFAEELKKTIYDNMTFGPGHYGGRWNQIHDHGTSHVAIVDIKRNAVSMTNTVNAYFGSKILSPSTGIVLNNEMDDFSMPTNSSGNTPPPAPPNFVRPGKRPLSSMTPTIVLKDERLSGVVGASGGANIIAGTAGVFLNHFARGMDPLSSVMAPRIYHQLIPNVVTYENWTTVIRDHFEVPAHIRRDLQKKGHVLRGLAGGTICQFIVHKLDALKGNGGLVAVSDPRKGGFPAGF >EOX92239 pep chromosome:Theobroma_cacao_20110822:1:5879295:5882010:-1 gene:TCM_001215 transcript:EOX92239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyl transpeptidase 1 isoform 2 MKNHKREKKMSFVPLLWTTILFLFFIVSPATSSLDGVSASTKQRREEIVARHGAVATDDGRCSKIGMDVLRIGGHAVDAAVAAALCLGVVSPASSGIGGGAFMLVRESNGKAQAFDMRETAPMKASQNMYAGNATLKASGALSVAVPGELAGLHKAWKEHGRLPWARLVKPAENLARKGFKVSPYLQMQMESTKSAILADKGLRDIFTSNGELLQVGDICYIELAETLRKISIYGVDAFYNGSIGFNLVRDIQKAGGILTVDDLKKYEVKMREPISANILGLKILGMPPPSSGGASMMLVVLNILAQYGVPSGISGSLGTHRLIEALKHAFAVRMNLGDPDFVDVSKVVADMLSPKFAEELKKTIYDNMTFGPGHYGGRWNQIHDHGTSHVAIVDIKRNAVSMTNTVNAYFGSKILSPSTGIVLNNEMDDFSMPTNSSGNTPPPAPPNFVRPGKRPLSSMTPTIVLKDERLSGVVGASGGANIIAGTAGVFLNHFARGMDPLSSVMAPRIYHQLIPNVVTYENWTTVIRDHFEVPAHIRRDLQKKGHVLRGLAGGTICQFIVHKLDALKGNGGLVAVSDPRKGGFPAGF >EOX96586 pep chromosome:Theobroma_cacao_20110822:1:38333793:38335588:1 gene:TCM_005810 transcript:EOX96586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA sterol acyl transferase 1, putative MEGEIGSFIKVWLSVLTSLCYCYAIGKMVPKGTNRLIFLLPVVCLFLFLPLNLYSPNLGGVTAFFIAWLGNFKLLLFAFDKGPLTAHTSLPLFLAVACLPIKIQRNQPSKSQLNGQVKENPSSKSHQNGHEKENSSTRKSKEGAVNYAIKGLLLVIMIRVYDYSEYMHPKIILLLYSMHMYFHLEIILAISAAMARSLSRLELEPQFNKPYLSTSLQDFWGKRWNLMVTSILRPTVYEPTLRFSSTLIGRKWAPIPSVLSTFVVSALMHELMFYYLGRMTPTGEVTLFFLIHGFFLTVEIALKKALNGKCRLPRLVTGPLTVGFVLGTGFWLFIPQFTRCKVDVRAFEEYAEVGTLLKSASEKVLGILSAK >EOX96106 pep chromosome:Theobroma_cacao_20110822:1:36621281:36623264:1 gene:TCM_005437 transcript:EOX96106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGSVGEITEETTSSPLLEGEDGAKTRPREPYWKGETVKSIVYAGLVAIVTYFSPISSVSASQLSFGKWRDITEPSLGDFLSTSTKKDLAVKERAVTEWDAIKDQQQQLLQHLRIPWHGRRRGQHDNDLAISIGACFMSAFALSLLGIARAKIAGKNYARSVGIVLLNGAVAAAAAAAYSLGWVLRDVAGFEEPIKTKVE >EOX91357 pep chromosome:Theobroma_cacao_20110822:1:2418371:2423797:-1 gene:TCM_000576 transcript:EOX91357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF547 [Source:Projected from Arabidopsis thaliana (AT4G37080) TAIR;Acc:AT4G37080] MMNTRVRTAHQSMKAPLSHDSNKKEKMEKSQGGRALGTGKALTNRRRSNRERKMALLQDVDKLKRKLRHEENVHRALERAFTRPLGALPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYASSKRNVENLNESIEQSPVRSSKHQRSKSLSVNEMSSVTTIGKPQPSLARSVSSRKLLPPDTTNERNGLCFSRPTNGRQASTKLNSASGDVRGKENQSFANAVKDKQSPEKKITKVVTPVKRLPTKHESANKCLDALKSQLDGRLVDQERAQESPSGSSDDKVSEADSTPNKISEDTVRCLCSIFVRLSTLKDRSVESGILPSQSAANSYEISRESEFQDPYGICSDSKTRDIGPYKNLCTIEANTVDLSRRMNALFLIHRLKFLLGKLTSVNLDGLSHQQKLAFWINTYNSCMMNAILEHGIPETPESVVGLMQKATIVVGGHLLNAITIEHFILRLPFHLKFTCSKAAKNDEMKARNIFGLEWSEPLVTYALACGSWSSPAVRVYTASHVEDELETAKRDYLQAAVAISRTNKLIIPKLLDWYLLDFAKDLESLLDWVCLQLTNELRNEAVKCLERKGKEPLSQLVQVMPYDFSFRLLLGR >EOX91358 pep chromosome:Theobroma_cacao_20110822:1:2417668:2423333:-1 gene:TCM_000576 transcript:EOX91358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF547 [Source:Projected from Arabidopsis thaliana (AT4G37080) TAIR;Acc:AT4G37080] MMNTRVRTAHQSMKAPLSHDSNKKEKMEKSQGGRALGTGKALTNRRRSNRERKMALLQDVDKLKRKLRHEENVHRALERAFTRPLGALPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYASSKRNVENLNESIEQSPVRSSKHQRSKSLSVNEMSSVTTIGKPQPSLARSVSSRKLLPPDTTNERNGLCFSRPTNGRQASTKLNSASGDVRGKENQSFANAVKDKQSPEKKITKVVTPVKRLPTKHESANKCLDALKSQLDGRLVDQERAQESPSGSSDDKVSEADSTPNKISEDTVRCLCSIFVRLSTLKDRSVESGILPSQSAANSYEISRESEFQDPYGICSDSKTRDIGPYKNLCTIEANTVDLSRRMNALFLIHRLKFLLGKLTSVNLDGLSHQQKLAFWINTYNSCMMNAILEHGIPETPESVVGLMQKATIVVGGHLLNAITIEHFILRLPFHLKFTCSKAAKNDEMKARNIFGLEWSEPLVTYALACGSWSSPAVRVYTASHVEDELETAKRDYLQAAVAISRTNKLIIPKLLDWYLLDFAKDLESLLDWVCLQLTNELRNEAVKCLERKGKEPLSQLVQVMPYDFSFRLLLGR >EOX95250 pep chromosome:Theobroma_cacao_20110822:1:33541238:33543303:-1 gene:TCM_004803 transcript:EOX95250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA adenine dimethylase family protein MAGGKIKKEKQKGQRAPSNHYQGGVTFHKSKGQHILKNPLLVDSIVQKAGIKSTDVILEIGPGTGNLTKKLLEAGKMVIAVELDPRMVLELQRRFQGTPFSSRLTVIQGDVLKTDLPYFDICVANIPYQISSPLTFKLLFHQPAFRCAVIMFQREFAMRLVAQPGDNLYCRLSVNTQFYARVSHLLKVGKNNFRPPPKVDSSVVRIEPRKPRPEVNHKEWDGFIRICFIRKNKTLGSIFKQKNVLALLEKNYKTLQALQGSRNVSLGGIDDMDVARLGNQSMELDDGMDDEMDVECDEAEGEVSEFKNKVLSVLKEGNFEEQRASKLSQESFLTLLSMFNKAGIHFS >EOX91862 pep chromosome:Theobroma_cacao_20110822:1:4185141:4185843:1 gene:TCM_000923 transcript:EOX91862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTPSKLSSVVNLMSVRKTSLPPYTASFLTISGESIFLPFPAVQGVIYACIVSKALMHHRPFYHLLLVLYLSFILTNDRVSVVVRSANYREPNDVGISAYAAYAIAILAFTARIFLVPWLLL >EOX92943 pep chromosome:Theobroma_cacao_20110822:1:9552615:9553127:-1 gene:TCM_001806 transcript:EOX92943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHCQFLILVFFSLIGTAMCKVRTNHNHEIAEELRKTAASAYHAQPANISSLDEFNWAKMEQKLQRFQVPRHFSKIPLEAMRLDPKGLHGRVQQTTFRVYIDAGRGSLGLEF >EOX93694 pep chromosome:Theobroma_cacao_20110822:1:15124687:15125352:-1 gene:TCM_002608 transcript:EOX93694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNISGYYISPEDWITVTGHFNINTVGSSWGNPAPTGAGFSGRLGDTTSLSAELWAIYGGLEAAANGTINHSCGSPIDDCRRLVKSIGVEAVRHVPRQGNASADILAKAGVTQEQDFVLYNTPPDCISLALLADVVGVVHPRTHTSYWGYISS >EOX91172 pep chromosome:Theobroma_cacao_20110822:1:1848523:1850942:-1 gene:TCM_000449 transcript:EOX91172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthocyanidin 3-O-glucosyltransferase 5 MVLSNKNPHLVLLSSPAHPTPTIELGKRLVTCQSVKVTVFVSCFVKAAAAVSKTIGSIPKTELFDVIQLPPADISGLVEPGHTGLTAVVSGVRVTKPAFLSAISALETPPTALIVHVYAIECLKIADELKIPKYVYTCSHAWYLALILYTPVLDEEVGGEYADKKEPFLLPGCTPVRPEDLPDPMIVQTKKDYLEFLQIGLDFPKVDGILVNTWEELQPKTLAALRDYQLLGSIVKAPIFPIGPIATEGTAGLKSELFYWLDKQPSGSVLYISFGSMGGLSVEQMTELSWGLELTQQRFIWVVRPPMAKTGSGSAPKFGHNADDMSSYVPEGFISRTRDRGVVVPHWAPQVQILSHPSCGGFFTHCGWNSAIECIINGLPMIAWPLYAEQRMNATLLTEELGIAVRSQTIPSKGVVGREEVATMVRKIFVDEEGRKIRGRVKELKLGADKAWSHGGSSYEALHEMVKHCQKSR >EOX95198 pep chromosome:Theobroma_cacao_20110822:1:33293156:33294872:1 gene:TCM_004749 transcript:EOX95198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGSTLYIYRLLLMAKTRRKEKKPSRVVQWSPPSVRWMKFNGDGAARGCPVAAGIGGTKLAGLVVRFVQFVLVFEVCAVVCVYYLVLDLQVYVHMQEEIALILQKACGAGFCHVFLRLVVVYPKCSVRLLYHYAGCGCGRMTVFVSLCLLMSGHILTEWMSSYGFEIF >EOX95669 pep chromosome:Theobroma_cacao_20110822:1:35139301:35144295:1 gene:TCM_005119 transcript:EOX95669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MPGLLSIKTPPNATPLSILLAGKENGGGSKRTPSPSPSVSRSKPTKRTPDKTHIDECSLDNPDLGPFLLKLARDTIASGDNPNKALDYAIRASKSFERCSGPGLELAMSLHVLAAIYSSLGRFEEALPVLERSIEVPALGSEPDQALAKFSGYMQLGDTYSMMGQLDQSIKCYESGLRIQIEALGDSDPRVAETCRYLAEAHVQAMQFDEADNLCKKTLEIHREHSAPASLEEAADRRLMALVCEAKGDYEPALEHLVLASMSMIANGQETEVAAIDVSIGNIYLSLCRFDEAIFAYQKALTVFKSTKGESHPSVASVFIRLADLYNKTGKLRESKSYCENALRIYAKPVPGTTSEEIASGLTELSAIYEALDEPEEALKLLQKAMRLLEDTPGNRSTIAGIEAQMGVMFYMVGRYGEARSSFESAVAKLRASGESKSALFGIVLNQMGLASVQLYRIDEAVELFGEARKILEQECGPCHLETLGVYSNLAATYDAMGRVEDAIEILEYILKMREEKLGTANPEVDDEKKRLAALLKEAGRTRNRKGKSLENLLGTNSYKTKKGSAKRWATFGFRS >EOX90887 pep chromosome:Theobroma_cacao_20110822:1:927427:930250:-1 gene:TCM_000231 transcript:EOX90887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGASESALSGSSPSPADQITTISQRSETVDPILEKLKSLKITSPILTTPPTEGSLTDILVRKPSSSSAQATVNPKVLLELFSMYRDWQEEKVQKISKKQEEIENKIEVADALAVKLFQRFNYSVSAMKTTSQHLSEVHTLQVELGELKGRLTEVISNCDALCKRIAAEGPEPLRSSIKPFAVATANSTVNRSLTAEQRVADQSSTSAEAKLD >EOX93866 pep chromosome:Theobroma_cacao_20110822:1:16930111:16930730:1 gene:TCM_002848 transcript:EOX93866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFCKSLLSVSCLLLFVSTRRAIDESCEQLFQGRSFWPTIDAYIEVITLCLNFPVL >EOX93997 pep chromosome:Theobroma_cacao_20110822:1:18274219:18287731:1 gene:TCM_003027 transcript:EOX93997 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 5 MVASPEHRRNSFATSSSASEEVPEKSEQEICIDVKELDISRDSREVANSDDSRAGFDRSAMNNGGAESSGTSNIEKLGSSQPKLEKSKTEAQRHRNILAEEAAQIFDNKLSAQQKRKLLNRIATVKDDGTVEFEVPGDVEPHALVVGPRDAHTEAAEEESLETDIQCIPPLQIVMLIVGTRGDVQPFIAIGKRLQEYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPTQRHQIKEIIYSLLPACKEPDPDSGVPFKADAIIANPPAYGHTHVAESLQVPLHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSLIWLGIRDMINDVRKKKLKLRPVTYLSGSQASDSDVPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLATNYEPPETLIKWLEAGTKPIYIGFGSLPVQEPEKMTQIIVDALEKTGQRGIINKGWGGLGNLAENKDSVYLLDNVPHDWLFLQCMAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHARGVGPPPIPVDEFSLPKLVDAINFMLDPKVKEKAVELAQAMKNEDGVTGAVKAFLKHLPCKKPSPEPSPERSSIFSVSRCFGCP >EOX93999 pep chromosome:Theobroma_cacao_20110822:1:18276480:18287748:1 gene:TCM_003027 transcript:EOX93999 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 5 PKLEKSKTEAQRHRNILAEEAAQIFDNKLSAQQKRKLLNRIATVKDDGTVEFEVPGDVEPHALVVGPRDAHTEAAEEESLETDIQCIPPLQIVMLIVGTRGDVQPFIAIGKRLQEYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPTQRHQIKEIIYSLLPACKEPDPDSGVPFKADAIIANPPAYGHTHVAESLQVPLHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSLIWLGIRDMINDVRKKKLKLRPVTYLSGSQASDSDVPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLATNYEPPETLIKWLEAGTKPIYIGFGSLPVQEPEKMTQIIVDALEKTGQRGIINKGWGGLGNCSSWRCWNNSCWSQSRLPNNHCTILW >EOX93998 pep chromosome:Theobroma_cacao_20110822:1:18273901:18287731:1 gene:TCM_003027 transcript:EOX93998 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 5 MLIVGTRGDVQPFIAIGKRLQEYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPTQRHQIKEIIYSLLPACKEPDPDSGVPFKADAIIANPPAYGHTHVAESLQVPLHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSLIWLGIRDMINDVRKKKLKLRPVTYLSGSQASDSDVPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLATNYEPPETLIKWLEAGTKPIYIGFGSLPVQEPEKMTQIIVDALEKTGQRGIINKGWGGLGNLAENKDSVYLLDNVPHDWLFLQCMAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHARGVGPPPIPVDEFSLPKLVDAINFMLDPKVKEKAVELAQAMKNEDGVTGAVKAFLKHLPCKKPSPEPSPERSSIFSVSRCFGCP >EOX93996 pep chromosome:Theobroma_cacao_20110822:1:18274248:18287713:1 gene:TCM_003027 transcript:EOX93996 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 5 MVASPEHRRNSFATSSSASEEVPEKSEQEICIDVKELDISRDSREVANSDDSRAGFDRSAMNNGGAESSGTSNIEKLGSSQPKLEKSKTEAQRHRNILAEEAAQIFDNKLSAQQKRKLLNRIATVKDDGTVEFEVPGDVEPHALVVGPRDAHTEAAEEESLETDIQCIPPLQIVMLIVGTRGDVQPFIAIGKRLQEYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPTQRHQIKEIIYSLLPACKEPDPDSGVPFKADAIIANPPAYGHTHVAESLQVPLHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSLIWLGIRDMINDVRKKKLKLRPVTYLSGSQASDSDVPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLATNYEPPETLIKWLEAGTKPIYIGFGSLPVQEPEKMTQIIVDALEKTGQRGIINKGWGGLGNLAENKDSVYLLDNVPHDWLFLQCMAVVFIMEVLEQQLLVSKPLAQQPLYHSLVTNLSGESECMPEEWDPHPSLLMNSHFQSWLMQ >EOX93995 pep chromosome:Theobroma_cacao_20110822:1:18273901:18287977:1 gene:TCM_003027 transcript:EOX93995 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 5 MVASPEHRRNSFATSSSASEEVPEKSEQEICIDVKELDISRDSREVANSDDSRAGFDRSAMNNGGAESSGTSNIEKLGSSQPKLEKSKTEAQRHRNILAEEAAQIFDNKLSAQQKRKLLNRIATVKDDGTVEFEVPGDVEPHALVVGPRDAHTEAAEEESLETDIQCIPPLQIVMLIVGTRGDVQPFIAIGKRLQEYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPTQRHQIKEIIYSLLPACKEPDPDSGVPFKADAIIANPPAYGHTHVAESLQVPLHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSLIWLGIRDMINDVRKKKLKLRPVTYLSGSQASDSDVPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLATNYEPPETLIKWLEAGTKPIYIGFGSLPVQEPEKMTQIIVDALEKTGQRGIINKGWGGLGNLAENKDSVYLLDNVPHDWLFLQCMAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHARGVGPPPIPVDEFSLPKLVDAINFMLDPKVKEKAVELAQAMKNEDGVTGAVKAFLKHLPCKKPSPEPSPERSSIFSGAFFPSLNLTFL >EOX93044 pep chromosome:Theobroma_cacao_20110822:1:10137492:10144104:-1 gene:TCM_001899 transcript:EOX93044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-associated progesterone binding protein 4 MPAKKLITSSCFGIAVLVALIAFLLKFNTRQKLFTAEELAFYNGTDESLPILLGILGSVFDVTKGKSHYGAGGGYNHFAGRDASRAFVSGNFTGDGLTDSLRGLSSTEVHSVVGWRDFYFKSYKFVGRLVGRYYDSQGNPTKYLKGVEAKAARGAQLMEKQKNMEAKQPSCNSRWSQDDGGEVWCDDGFPRLVQRPLEIALTGKMSKRCACFNESQLGQPGLEVYNGCDYLAKRCRV >EOX90996 pep chromosome:Theobroma_cacao_20110822:1:1281618:1284302:-1 gene:TCM_000310 transcript:EOX90996 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MASMFSLSEISKTSSTLFSAYASFAGSMMLVRSMANELIPHQLRSYLFSTFRYFFTPLSPDLTLAIDEKCGMTKNQVYEAAELYLSTKISPKTERLKVSKTRKQKHFTIAIENGETIVDQFEDVKLTWRLVCTEGQKPHSGEKRLFELSFNKKHREKILGFYLPYVLVKAEEIRNQDRMIKLYSRQCPFSDDDDDRRGSWGSIILEHPATFDTLAMDPDLKKMIIDDLERFLGRKEYYKKVGKAWKRGYLLFGPPGTGKSSLVAAMANYLKFDIYDLGLSSVRSDAELRRTLLSTSNRSILLIEDIDCSSEVLGRQVGKKNKEEPAKSGLTLSGILNCIDGLWSSCGDERIIVFTTNYKDRIDPALLRPGRMDMHINMSYCTPDGFKLLASNYLNISSKHNPFFGEIDGLLKSAEATPAEVAEELMKTDDADVALQGLVSFLKRKRDEDNETKNKATDGGEVPPSIRRLNEIVSRFKRLKTDGDKRKIMTTKSKRMVKGGSRLRRIPY >EOX90997 pep chromosome:Theobroma_cacao_20110822:1:1282086:1284494:-1 gene:TCM_000310 transcript:EOX90997 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MASMFSLSEISKTSSTLFSAYASFAGSMMLVRSMANELIPHQLRSYLFSTFRYFFTPLSPDLTLAIDEKCGMTKNQVYEAAELYLSTKISPKTERLKVSKTRKQKHFTIAIENGETIVDQFEDVKLTWRLVCTEGQKPHSGEKRLFELSFNKKHREKILGFYLPYVLVKAEEIRNQDRMIKLYSRQCPFSDDDDDRRGSWGSIILEHPATFDTLAMDPDLKKMIIDDLERFLGRKEYYKKVGKAWKRGYLLFGPPGTGKSSLVAAMANYLKFDIYDLGLSSVRSDAELRRTLLSTSNRSILLIEDIDCSSEVLGRQVGKKNKEEPAKSGQLTLSGILNCIDGLWSSCGDERIIVFTTNYKDRIDPALLRPGRMDMHINMSYCTPDGFKLLASNYLNISSKHNPFFGEIDGLLKSAEATPAEVAEELMKTDDADVALQGLVSFLKRKRDEDNETKNKATDGGEVPPSIRRLNEIVSRFKRLKTDGDKRKIMTTKSKRMVKGGSRLRRIPY >EOX94469 pep chromosome:Theobroma_cacao_20110822:1:28878792:28882645:1 gene:TCM_004053 transcript:EOX94469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGDRFLWEFISSDHAMQRIELIHHKRMFWKRRWHFESAWINDSRCERIVSTEMERCDGNIGNFLHQLSSTKQKLGHQNPNPIKEIQVQIQKKKKEMGIRTLSSFIVGPLNIEKKNTIWAFKKDSGEWAESQEELEDEACDFFTKLFSSSRPTLIEHVLTAIHPKVFEDMNIELNADITVEEVRAALFQMHPTKAPSLDGLPALFFQKYWYKMSKFVHRFVVEFLEGSFPFQDINHTLIVLIPKIAQVEQIFQFRPINLCNVLYKITSKVLTNRIKPILNQVIADNQSAFVPGRLILDNIIVAHEIIHHLHNKRQGSMGKFALKLDMSKAYDRVEWVFVQEIMKKMGFNNLFVDKVMNA >EOX96040 pep chromosome:Theobroma_cacao_20110822:1:36411512:36412752:-1 gene:TCM_005390 transcript:EOX96040 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MPPAGDQVWNEIITAQTYELAEECLKKNYYGIKRVVEALAPCLRLSDSASIVNVTSYLGVLQPLSNEWAKGVLSDIESLTGERVEEVLNEFLKDFKEGRMKSDGWPTYIGPTYAQG >EOX91300 pep chromosome:Theobroma_cacao_20110822:1:2240885:2242079:-1 gene:TCM_000542 transcript:EOX91300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Salicylic acid-binding protein 2, putative MQWTFLGYNGYGKQKKISWRAKHFVLVHGLGHGAWFWYKLKPLVESAGHRVTTVELAASGINMEAIQDVGTFHKYTKPLLELLASNPPIEKVIVGVQSLGAVNLALAMDEFPHKISVGVFLTAVMPDTTHQPSYVIDKSLAEFPQDMLLDNQFATIDSPENPFTITLWAWGPKFLASNLYQLSPVEDLVLAKALVRPGSLFVSDLSKADKFSDEGYGCVP >EOX91393 pep chromosome:Theobroma_cacao_20110822:1:2552738:2555150:-1 gene:TCM_000603 transcript:EOX91393 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase eIF4A isoform 2 MALDAIEASSPPSQSPSNFCSSNQLRHFYVAVDRLHFKMETLIDLLGVAGRRTCLPIVVCCSSRDELDAVCSAVSNLPYVSLSSLYSDQAEAERALIFEKFREATMNWNQQVTVQTGDGHEIGKDEQKSCMIVVTDTCLPLLASGESPISARVLINYELPTKKETYMRRLTSCLAADGIVINIVVGGEVVTLKSLEESSGLIIAEMPINISEIL >EOX91394 pep chromosome:Theobroma_cacao_20110822:1:2553494:2555041:-1 gene:TCM_000603 transcript:EOX91394 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase eIF4A isoform 2 MALDAIEASSPPSQSPSNFCSSNQLRHFYVAVDRLHFKMETLIDLLGVAGRRTCLPIVVCCSSRDELDAVCSAVSNLPYVSLSSLYSDQAEAERALIFEKFREATMNWNQQVTVQTGDGHEIGKDEQKSCMIVVTDTCLPLLASGESPISARVLINYELPTKKETYMRRLTSCLAAGSFPAIILYYSHIMNLIFPK >EOX91395 pep chromosome:Theobroma_cacao_20110822:1:2553000:2555150:-1 gene:TCM_000603 transcript:EOX91395 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase eIF4A isoform 2 MALDAIEASSPPSQSPSNFCSSNQLRHFYVAVDRLHFKMETLIDLLGVAGRRTCLPIVVCCSSRDELDAVCSAVSNLPYVSLSSLYSDQAEAERALIFEKFREATMNWNQQVTVQTGDGHEIGKDEQKSCMIVVTDTCLPLLASGESPISARVLINYELPTKKETYMRRLTSCLAADGIVINIVVGGEVVTLKSLEESSGLIIAEMPINVSTVLALVL >EOX91396 pep chromosome:Theobroma_cacao_20110822:1:2553267:2555124:-1 gene:TCM_000603 transcript:EOX91396 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase eIF4A isoform 2 MALDAIEASSPPSQSPSNFCSSNQLRHFYVAVDRLHFKMETLIDLLGVAGRRTCLPIVVCCSSRDELDAVCSAVSNLPYVSLSSLYSDQAEAERALIFEKFREATMNWNQQVTVQTGDGHEIGKDEQKSCMIVVTDTCLPLIYEALDFMFGSRWNCDQYCCWR >EOX96781 pep chromosome:Theobroma_cacao_20110822:1:38978319:38982553:1 gene:TCM_005952 transcript:EOX96781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHRDLGMYPDRIIWRIMKMLRQLFQGGLLCKWQWKTDLDIAIHWGFNFAQERQARPKIIHWTKPLIGELKLNVDGSSKDEFQNAVGGGVLRDHTGNLIFGFSENFGYQNSLQAELLALHKGLCLCMEYNVSRVWIEMDAQVISVRISHIHKEGNQATDFLSKCGHTHQNLHVFTEAQGEFRGSCNLAEFWFYDCTHKYAHKLKLSNLGEKPPVVPLTKDPFMYKDRPAAAFFEDEIQTLAQPFKRSLVGKFSRMSKLQDVRAAFKGISLAGAYEVRWLDYKHVLIHLSNEQDFNRIWTKQNWFIATQKMRVFKWTPEFESKKESAVVSVWISLPNLKAHLFEKSTLLLIAKMHPKQAIPAPHETAQIEKETAAEALRQAATGQQKHTAEQNEREKHEGGKRVTTITTELNSKQNDANEISLETRVQGQAKIQSTENQERVREFRV >EOX92248 pep chromosome:Theobroma_cacao_20110822:1:5906679:5927358:1 gene:TCM_001223 transcript:EOX92248 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 isoform 1 MASSLPLQELHFLSPTTTSKHRFYLSRYSSRSRISLAGNSHLRNGVVSRSRIRALKEEGVAYEEREKEFIKEVNGRLELNGNGSASKYEYTNGSVEGYSNGGVGVVESESNGSLAKYVNGNGNGNGAAVVTAAAAEVVVVEEEGVVSEAARKKRVEDIGKEEAWFKRSTQEQAEVSVAPGGRWSRFKTYSTIQRTLEIWGFVLTFIFKAWLNNQKFSYRGGMTEEKKVLRRKALAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIVEEELGAPVDDTFDQFDYEPIAAASLGQVHRARLKGQEVVIKVQRPGLKDLFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQVAPLLHQKQSSALLLNCFNQCHIACIFNCYISNFQEIDYTKEAANAELFASNFKGMDYVKVPTIYWEYTTPQVLTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISSNIREGLLETFYGVYEKDPDRVLQAMIQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRKEREMATTELGFKRQLTKEEKMEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLRFREAGVEVVLKDFRKRWDRQSRAFYNLFRQADRVEKLAETIQRLEQGDLKLRVRTLESERAFQRVAAVQKTVGSAVAAGSLINLATILYLNSLRVPAVAAYVFCAFFSFQVLIGIIKVKKLDQRERLITGTA >EOX92250 pep chromosome:Theobroma_cacao_20110822:1:5910395:5919050:1 gene:TCM_001223 transcript:EOX92250 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 isoform 1 MASSLPLQELHFLSPTTTSKHRFYLSRYSSRSRISLAGNSHLRNGVVSRSRIRALKEEGVAYEEREKEFIKEVNGRLELNGNGSASKYEYTNGSVEGYSNGGVGVVESESNGSLAKYVNGNGNGNGAAVVTAAAAEVVVVEEEGVVSEAARKKRVEDIGKEEAWFKRSTQEQAEVSVAPGGRWSRFKTYSTIQRTLEIWGFVLTFIFKAWLNNQKFSYRGGMTEEKKVLRRKALAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIVEEELGAPVDDTFDQFDYEPIAAASLGQVHRARLKGQEVVIKVQRPGLKDLFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANAELFASNFKGMDYVKVPTIYWEYTTPQVLTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISSNIREGLLETFYGVYEKDPDRVLQAMIQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRKEREMATTELGFKRQLTKEEKMEKKKQRLAAIGGRFIGHCSRSTLSIPCHIHICCQSIFSSIRWHWEGP >EOX92249 pep chromosome:Theobroma_cacao_20110822:1:5910202:5921543:1 gene:TCM_001223 transcript:EOX92249 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 isoform 1 MASSLPLQELHFLSPTTTSKHRFYLSRYSSRSRISLAGNSHLRNGVVSRSRIRALKEEGVAYEEREKEFIKEVNGRLELNGNGSASKYEYTNGSVEGYSNGGVGVVESESNGSLAKYVNGNGNGNGAAVVTAAAAEVVVVEEEGVVSEAARKKRVEDIGKEEAWFKRSTQEQAEVSVAPGGRWSRFKTYSTIQRTLEIWGFVLTFIFKAWLNNQKFSYRGGMTEEKKVLRRKALAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIVEEELGAPVDDTFDQFDYEPIAAASLGQVHRARLKGQEVVIKVQRPGLKDLFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANAELFASNFKGMDYVKVPTIYWEYTTPQVLTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISSNIREGLLETFYGVYEKDPDRVLQAMIQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRKEREMATTELGFKRQLTKEEKMEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLRFREAGVEVVLKDFRKRWDRQSRAFYNLFRQADRVEKLAETIQRLEQGDLKLRVRTLESERAFQRVAAVQKTVGSAVAAGSLINLATILYLNSLRVPAVAAYVFCAFFSFQVLIGIIKVKKLDQRERLITGTA >EOX92800 pep chromosome:Theobroma_cacao_20110822:1:8663286:8667125:1 gene:TCM_001674 transcript:EOX92800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein isoform 1 MDMETVKEYLENSANIDGDELPLRFFERLIMHGLRVDLIETSRVICTFKVSPRLLNGSNYLHGGVTATLVDLVGSAAVYTVGAPFTGVSVEINVSYMDAAYADEEIEIEARALHVGKAVAVLSVEFRKKNTGKIIAQGRHTKHLSLPSKM >EOX92801 pep chromosome:Theobroma_cacao_20110822:1:8664650:8667222:1 gene:TCM_001674 transcript:EOX92801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein isoform 1 MDMETVKEYLENSANIDGDELPLRFFERLIMHGLRVDLIETSRVICTFKVSPRLLNGSNYLHGGVTATLVDLVGSAAVYTVGAPFTGVSVEINVSYMDAAYADEEIEIEARALHVGKAVAVLSVEFRKKNTGKIIAQGRHTKHLSLPSKM >EOX92802 pep chromosome:Theobroma_cacao_20110822:1:8663271:8667171:1 gene:TCM_001674 transcript:EOX92802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein isoform 1 MDMETVKEYLENSANIDGDELPLRFFERLIMHGLRVDLIETSRVICTFKNGSNYLHGGVTATLVDLVGSAAVYTVGAPFTGVSVEINVSYMDAAYADEEIEIEARALHVGKAVAVLSVEFRKKNTGKIIAQGRHTKHLSLPSKM >EOX91240 pep chromosome:Theobroma_cacao_20110822:1:2020596:2024786:1 gene:TCM_000486 transcript:EOX91240 gene_biotype:protein_coding transcript_biotype:protein_coding description:G2484-1 protein, putative isoform 1 MGHLKDSAKTQKKQSPTPSTEVQNSLKQEILQLEKRLEDQFEVRRALETALGYRTSSHDDTNETSVSISKPATELIKEIAVLELEVVYLEQYLLLLYRKAFDQQIVSISPSKRDERLKSPVDTPRGRFPDVSRPDDPPKVENSAVKSAYCDKLWKEPSGIGAEKLLDSGVHRCHSSLSQRSAFSSRTSPPDETLDKAVRACHSQPLSMMEYAQNASNIISLAEHLGTRISDHIPETPNKLSEDMIKCMSAIYCKLADPPLIQNGFSSPNSSVSSASAFSPQDQQDMWSPGFRNNSSFDVRLDNPFHVEGLKEFSGPYSTMVEVPWIFRDSQKLGDVEHLLQNFRSLICRLEEVDPSKLKHEEKLAFWINIHNALVMHAFLAYGVPQNNMKRFFLLLRAAYNIGGHTISADTIQGSILGCRMSRPGQWLRLLLSSRAKFKTGDERQAYAIEHPEPLLHFALSSGNHSDPAVRAYTPKRVFQELETAKEEYIRATFGIRKEQKILLPKIVESFAKDSSLCPAGVIEMVQQSLPESLRRSIRKCQLGKSRKSIEWIPHNFTFRYLITKELVR >EOX91235 pep chromosome:Theobroma_cacao_20110822:1:2009524:2024614:1 gene:TCM_000486 transcript:EOX91235 gene_biotype:protein_coding transcript_biotype:protein_coding description:G2484-1 protein, putative isoform 1 MLGLRVTSSRHKRSKSFPDKNRDEEDTLGSSLEASNRIKLDMGHLKDSAKTQKKQSPTPSTEVQNSLKQEILQLEKRLEDQFEVRRALETALGYRTSSHDDTNETSVSISKPATELIKEIAVLELEVVYLEQYLLLLYRKAFDQQIVSISPSKRDERLKSPVDTPRGRFPDVSRPDDPPKVENSAVKSAYCDKLWKEPSGIGAEKLLDSGVHRCHSSLSQRSAFSSRTSPPDETLDKAVRACHSQPLSMMEYAQNASNIISLAEHLGTRISDHIPETPNKLSEDMIKCMSAIYCKLADPPLIQNGFSSPNSSVSSASAFSPQDQQDMWSPGFRNNSSFDVRLDNPFHVEGLKEFSGPYSTMVEVPWIFRDSQKLGDVEHLLQNFRSLICRLEEVDPSKLKHEEKLAFWINIHNALVMHAFLAYGVPQNNMKRFFLLLRAAYNIGGHTISADTIQGSILGCRMSRPGQWLRLLLSSRAKFKTGDERQAYAIEHPEPLLHFALSSGNHSDPAVRAYTPKRVFQELETAKEEYIRATFGIRKEQKILLPKIVESFAKDSSLCPAGVIEMVQQSLPESLRRSIRKCQLGKSRKSIEWIPHNFTFRYLITKELVR >EOX91238 pep chromosome:Theobroma_cacao_20110822:1:2019241:2024786:1 gene:TCM_000486 transcript:EOX91238 gene_biotype:protein_coding transcript_biotype:protein_coding description:G2484-1 protein, putative isoform 1 MGHLKDSAKTQKKQSPTPSTEVQNSLKQEILQLEKRLEDQFEVRRALETALGYRTSSHDDTNETSVSISKPATELIKEIAVLELEVVYLEQYLLLLYRKAFDQQIVSISPSKRDERLKSPVDTPRGRFPDVSRPDDPPKVENSAVKSAYCDKLWKEPSGIGAEKLLDSGVHRCHSSLSQRSAFSSRTSPPDETLDKAVRACHSQPLSMMEYAQNASNIISLAEHLGTRISDHIPETPNKLSEDMIKCMSAIYCKLADPPLIQNGFSSPNSSVSSASAFSPQDQQDMWSPGFRNNSSFDVRLDNPFHVEGLKEFSGPYSTMVEVPWIFRDSQKLGDVEHLLQNFRSLICRLEEVDPSKLKHEEKLAFWINIHNALVMHAFLAYGVPQNNMKRFFLLLRAAYNIGGHTISADTIQGSILGCRMSRPGQWLRLLLSSRAKFKTGDERQAYAIEHPEPLLHFALSSGNHSDPAVRAYTPKRVFQELETAKEEYIRATFGIRKEQKILLPKIVESFAKDSSLCPAGVIEMVQQSLPESLRRSIRKCQLGKSRKSIEWIPHNFTFRYLITKELVR >EOX91239 pep chromosome:Theobroma_cacao_20110822:1:2020386:2023798:1 gene:TCM_000486 transcript:EOX91239 gene_biotype:protein_coding transcript_biotype:protein_coding description:G2484-1 protein, putative isoform 1 MTVFKSCVLQILSIDFWRLILSHSSFPDKNRDEEDTLGSSLEASNRIKLDMGHLKDSAKTQKKQSPTPSTEVQNSLKQEILQLEKRLEDQFEVRRALETALGYRTSSHDDTNETSVSISKPATELIKEIAVLELEVVYLEQYLLLLYRKAFDQQIVSISPSKRDERLKSPVDTPRGRFPDVSRPDDPPKVENSAVKSAYCDKLWKEPSGIGAEKLLDSGVHRCHSSLSQRSAFSSRTSPPDETLDKAVRACHSQPLSMMEYAQNASNIISLAEHLGTRISDHIPETPNKLSEDMIKCMSAIYCKLADPPLIQNGFSSPNSSVSSASAFSPQDQQDMWSPGFRNNSSFDVRLDNPFHVEGLKEFSGPYSTMVEVPWIFRDSQKLGDVEHLLQNFRSLICRLEEVDPSKLKHEEKLAFWINIHNALVMHAFLAYGVPQNNMKRFFLLLRAAYNIGGHTISADTIQGSILGCRMSRPGQVDLGPYPSCP >EOX91236 pep chromosome:Theobroma_cacao_20110822:1:2020386:2024786:1 gene:TCM_000486 transcript:EOX91236 gene_biotype:protein_coding transcript_biotype:protein_coding description:G2484-1 protein, putative isoform 1 MTVFKSCVLQILSIDFWRLILSHSSFPDKNRDEEDTLGSSLEASNRIKLDMGHLKDSAKTQKKQSPTPSTEVQNSLKQEILQLEKRLEDQFEVRRALETALGYRTSSHDDTNETSVSISKPATELIKEIAVLELEVVYLEQYLLLLYRKAFDQQIVSISPSKRDERLKSPVDTPRGRFPDVSRPDDPPKVENSAVKSAYCDKLWKEPSGIGAEKLLDSGVHRCHSSLSQRSAFSSRTSPPDETLDKAVRACHSQPLSMMEYAQNASNIISLAEHLGTRISDHIPETPNKLSEDMIKCMSAIYCKLADPPLIQNGFSSPNSSVSSASAFSPQDQQDMWSPGFRNNSSFDVRLDNPFHVEGLKEFSGPYSTMVEVPWIFRDSQKLGDVEHLLQNFRSLICRLEEVDPSKLKHEEKLAFWINIHNALVMHAFLAYGVPQNNMKRFFLLLRAAYNIGGHTISADTIQGSILGCRMSRPGQWLRLLLSSRAKFKTGDERQAYAIEHPEPLLHFALSSGNHSDPAVRAYTPKRVFQELETAKEEYIRATFGIRKEQKILLPKIVESFAKDSSLCPAGVIEMVQQSLPESLRRSIRKCQLGKSRKSIEWIPHNFTFRYLITKELVR >EOX91237 pep chromosome:Theobroma_cacao_20110822:1:2020605:2024786:1 gene:TCM_000486 transcript:EOX91237 gene_biotype:protein_coding transcript_biotype:protein_coding description:G2484-1 protein, putative isoform 1 MGHLKDSAKTQKKQSPTPSTEVQNSLKQEILQLEKRLEDQFEVRRALETALGYRTSSHDDTNETSVSISKPATELIKEIAVLELEVVYLEQYLLLLYRKAFDQQIVSISPSKRDERLKSPVDTPRGRFPDVSRPDDPPKVENSAVKSAYCDKLWKEPSGIGAEKLLDSGVHRCHSSLSQRSAFSSRTSPPDETLDKAVRACHSQPLSMMEYAQNASNIISLAEHLGTRISDHIPETPNKLSEDMIKCMSAIYCKLADPPLIQNGFSSPNSSVSSASAFSPQDQQDMWSPGFRNNSSFDVRLDNPFHVEGLKEFSGPYSTMVEVPWIFRDSQKLGDVEHLLQNFRSLICRLEEVDPSKLKHEEKLAFWINIHNALVMHAFLAYGVPQNNMKRFFLLLRAAYNIGGHTISADTIQGSILGCRMSRPGQWLRLLLSSRAKFKTGDERQAYAIEHPEPLLHFALSSGNHSDPAVRAYTPKRVFQELETAKEEYIRATFGIRKEQKILLPKIVESFAKDSSLCPAGVIEMVQQSLPESLRRSIRKCQLGKSRKSIEWIPHNFTFRYLITKELVR >EOX94812 pep chromosome:Theobroma_cacao_20110822:1:31555990:31557465:-1 gene:TCM_004429 transcript:EOX94812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVGLVARRLAKAFNHFRGSKTYSLLSDSGTCISLLDHEKLFNIQGVDCAVTLGSHHKFQAKLLLYFADLCVLKMNIRCESCQKKVKKM >EOX95042 pep chromosome:Theobroma_cacao_20110822:1:32700093:32707195:1 gene:TCM_004628 transcript:EOX95042 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein, putative isoform 2 MEGPAPSNSPVIKPIHKGVVHRICAGQVILDLSSAVKELVENSLDAGATGIEVALKEYGEESFQVIDNGCGISPNNFKVVAIKHHTSKLADFSDLQSLTTFGFRGEALSSLCALGNLTVETRTANESVATHLTFDHSGLLIAEKKTARQIGTTVTVKKLFSNLPVRSKEFRRNIRKEYGKLISLMNAYALTAKGVRLVCSNTTGKNAKSLVIKTQGSGSLKDNIIQVFGTNMFSCLEPVSICISDGCKVEGFLSKSGQGSGRNLGDRQYFFVNGRPVDMPKVSKLVNELYKGANSRQYPIAIMNFTVPTGACDVNVTPDKRKVFFSDESLILQSLREGLQQVYSSSNANFFVNKVEESSKEAHFPESILEKSNILPERLSPVGINSKVSMREHSAEDNTSLRTVKISTQSLPLSEGSIASDEENSLRKDFTLRVQGTKKVDGIVEFNGGQLTTDMDGAASKDLSGGTIHSHCENSLRKDFTLRVHGTNKVDGLTESNDEGLTTQMKNIPDKDSSSPSTAIGKGIAVSKYSSSCSGSVQSSLSKFVTVSKRKHESISTVLSEVPVLRNQVLHCQLKSSHSEMHASGPRDQVDDSSEVNENEPGKFLRADSILDEIENPCSTRGNTNDGKPGKELEDQEKAVPSADIELIDSFRKDPEDMPEKASIVKTSKSSSSALVVDVSIPSSGQKICSTLQFSFQDLLTKRQQRMSRLYSGSRFQNMKKKRCYTAATLELSQPENEELKIQALAAATKELEKLFKKEDFGRMKVIGQFNLGFIIGKLDQDLFMVDQHAADEKYNFERLAQSTILNQQPLLRRGKVMSKKRKVYYALVMSISFYIFSKTSGTWPLRLELSPEEEVVASMHMDIIRFNLLLFVVSLVIYHLKNGFLLEEDPHASPGHRFKLRAVPFSKNITFGVEDVKDLISTLADSQGECSIISSYKMDTSDSVCPTRVRAMLASRACRSSVMIGDPLGRNEMQKIIERLADLKSPWNCPHGRPTMRHLVDLTALSKGADVNETRS >EOX95043 pep chromosome:Theobroma_cacao_20110822:1:32700105:32707050:1 gene:TCM_004628 transcript:EOX95043 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein, putative isoform 2 MEGPAPSNSPVIKPIHKGVVHRICAGQVILDLSSAVKELVENSLDAGATGIEVALKEYGEESFQVIDNGCGISPNNFKVVAIKHHTSKLADFSDLQSLTTFGFRGEALSSLCALGNLTVETRTANESVATHLTFDHSGLLIAEKKTARQIGTTVTVKKLFSNLPVRSKEFRRNIRKEYGKLISLMNAYALTAKGVRLVCSNTTGKNAKSLVIKTQGSGSLKDNIIQVFGTNMFSCLEPVSICISDGCKVEGFLSKSGQGSGRNLGDRQYFFVNGRPVDMPKVSKLVNELYKGANSRQYPIAIMNFTVPTGACDVNVTPDKRKVFFSDESLILQSLREGLQQVYSSSNANFFVNKVEESSKEAHFPESILEKSNILPERLSPVGINSKVSMREHSAEDNTSLRTVKISTQSLPLSEGSIASDEENSLRKDFTLRVQGTKKVDGIVEFNGGQLTTDMDGAASKDLSGGTIHSHCENSLRKDFTLRVHGTNKVDGLTESNDEGLTTQMKNIPDKDSSSPSTAIGKGIAVSKYSSSCSGSVQSSLSKFVTVSKRKHESISTVLSEVPVLRNQVLHCQLKSSHSEMHASGPRDQVDDSSEVNENEPGKFLRADSILDEIENPCSTRGNTNDGKPGKELEDQEKAVQVIGQFNLGFIIGKLDQDLFMVDQHAADEKYNFERLAQSTILNQQPLLRPLRLELSPEEEVVASMHMDIIRKNGFLLEEDPHASPGHRFKLRAVPFSKNITFGVEDVKDLISTLADSQGECSIISSYKMDTSDSVCPTRVRAMLASRACRSSVMIGDPLGRNEMQKIIERLADLKSPWNCPHGRPTMRHLVDLTALSKGADVNETRS >EOX91552 pep chromosome:Theobroma_cacao_20110822:1:3070450:3072018:1 gene:TCM_000696 transcript:EOX91552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 73, putative MAITSTSNRKEMDRIKGPWSPEEDDLLQKLVQKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDETIIRAHARFGNKWATIARLLNGRTDNAIKNHWNSTLKRKCLSVGEECNFITNGGYDGNLRGEEQQPLKRSVSAGLYMSPGSPSGSDVSDSSVPVLSSSHVYKPIPRSGGVNVDVNVDVNVMPAGVEAASSSNDPPTSLSLSLPGAESCEVSTHPVSESTQMRNEAKNEERVGGVMGFSSEFMAVMQEMIRVEVRNYMVQMQQQNGGVAGGGMGMCLDGGFRNVMAMNRVGVSKIE >EOX91738 pep chromosome:Theobroma_cacao_20110822:1:3659418:3660240:1 gene:TCM_000824 transcript:EOX91738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLWEKLRTAPIVTSFSITVVMKRKKRKLRTFTAEIGEELLFGISRSSAQYTECSQPCQRYQCLSRKQEGKIYLE >EOX94907 pep chromosome:Theobroma_cacao_20110822:1:31961981:31965303:-1 gene:TCM_004507 transcript:EOX94907 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPL4-like protein 1 MLLRIRSRDGLERIQVDGPHISISQLKTLIESQLQIPIQNQTLSTDQNLLLAKTPVDLLRFTDMADPATPLSALNLSHGSIMFLYYHGERTVRGGPAVSPAGSFGRKMTMDDLIAKQTRITRQESPHCDSVSFDRDSANAFQRYVNETLAFASKRGGFMYGNVTEEGRVEVDFIYEPPQQGMEDDLILLRDPEEEKLVDAIAAGLGRKRVGFIFTQTIMQDKKDYNFSNKEVLQAAELHAESGLKEWVTVVVKLEASEDGGADVHFEAFQMSDMCVKLLKEGWFVTEFGENDDPKLSKMKKDVVVGGKDVKEVDNDFFLVVVKIFDHQGPLSTTFPIENRNTHAAMTALKSHLDRTKSLPFVKRISDFHLLLFLAQSHGLGSDVPALAECVDSQTAVPEGYQLLIESMASTS >EOX91331 pep chromosome:Theobroma_cacao_20110822:1:2351854:2356303:1 gene:TCM_000562 transcript:EOX91331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 5 isoform 2 MRWLQNISFSSSSSSSTAATPATSPRKHLQANNNSNNNTNNHDSNQRNQSRHNYLGFRIAGPKLMRQRELRRLSDQEVTPAKEVAAPLSRSPSTYDTPLSSSAPASRTTSSALAVPLPLPLPLPEGDGDQRLPSPNEVGHGKGLEDRDREKADGTPSNSSMLASRDARKRVEHIETRSPSKLLHQEVNRGDSSQDEFRVNVPVRSAPTSPFTSPVISPHRMSTGDLFPHYVVPTGNQVWSAPEMATLDVPGLPPPAFYDYTAFSSDNTPLHSPPNRSPQRKNRSQSGSASPIHHRLSLEISSSRPENSCPINVHPLPLPPGAAMASPSALIPQVTNKPEPLPMNCQWQKGKLIGRGTFGSVYVASNRETGALCAMKEVEIFPDDPKSAECIKQLEQEIKVLSQLKHPNIVQYYGSEIVEDKFYIYLEYVHPGSINKYARDHCGAITESVVRNFTRHILSGLAYLHSTKTIHRDIKGANLLVDASGVVKLADFGMSKHLSGQRADLSLKGSPYWMAPELMQAVMQKDNSSDLALAVDIWSLGCTIIEMFTGKAPWSEYEGAAAMFKVMRDTPPIPETLSPEGKDFLRCCFRRNPAERPSASMLLEHRFVKSSPQSAASSFNGKKSMDIPLSPRERSEFKLDQLPVQQSLRSTKSVTPDSFTHYSFSPVRLHSDLITRLPT >EOX91330 pep chromosome:Theobroma_cacao_20110822:1:2351542:2357157:1 gene:TCM_000562 transcript:EOX91330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 5 isoform 2 MRWLQNISFSSSSSSSTAATPATSPRKHLQANNNSNNNTNNHDSNQRNQSRHNYLGFRIAGPKLMRQRELRRLSDQEVTPAKEVAAPLSRSPSTYDTPLSSSAPASRTTSSALAVPLPLPLPLPEGDGDQRLPSPNEVGHGKGLEDRDREKADGTPSNSSMLASRDARKRVEHIETRSPSKLLHQEVNRGDSSQDEFRVNVPVRSAPTSPFTSPVISPHRMSTGDLFPHYVVPTGNQVWSAPEMATLDVPGLPPPAFYDYTAFSSDNTPLHSPPNRSPQRKNRSQSGSASPIHHRLSLEISSSRPENSCPINVHPLPLPPGAAMASPSALIPQVTNKPEPLPMNCQWQKGKLIGRGTFGSVYVASNRETGALCAMKEVEIFPDDPKSAECIKQLEQEIKVLSQLKHPNIVQYYGSEIVEDKFYIYLEYVHPGSINKYARDHCGAITESVVRNFTRHILSGLAYLHSTKTIHRDIKGANLLVDASGVVKLADFGMSKHLSGQRADLSLKGSPYWMAPELMQAVMQKDNSSDLALAVDIWSLGCTIIEMFTGKAPWSEYEGAAAMFKVMRDTPPIPETLSPEGKDFLRCCFRRNPAERPSASMLLEHRFVKSSPQSAASSFNGKKSMDIPLSPRERSEFKLDQLPVQQSLRSTKSVTPDSETAQRSHYKTSDLTMAPRYSPRSTLETLPSLSPPRSGQNTYHPNPSGTINVSINQESKKKQTFR >EOX91954 pep chromosome:Theobroma_cacao_20110822:1:4613860:4631452:1 gene:TCM_000993 transcript:EOX91954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin like protein for actin based chloroplast movement 1 isoform 1 MGEQRSNNNNRWNWEVSGFEPRQSSPSPSSPEEQRRLSAAPMMRRYSISAASLSPYSSEFSKQALASKVQRLKDKVKLAKEDYLELRQEASDLQEYSNAKLDRVTRYLGVLAEKTRKLDQVALESEARISPLINEKRRLFNDLLTAKGNIKVFCRTRPLFEEEGSSIVEFPDDCTIRVNTGDDSIANPKKDFEFDRVYGPHVGQAELFSDVQPFVQSALDGYNISIFAYGQTRSGKTHTMEGSSHDRGLYARCFEELFDLANSDSTSTSKFNFSVTAFDLYNEQIRDLLSESGTTLPKVHLGLPESSVELVQDKVDNPLDFSKVLKAAFQSRGSDTSKFNVSHLIITLHIYYNNLISGENIYSKLSLVDLAGSEGQILEDDSGERVTDLLHVMKSLSALGDVLSSLTSKKDTIPYENSMLTNILADSLGGSSKSLMIVNICPNVPNLSETLSSLNFAARARNSVLSLGNRDTIKKWRDVANDARKELYDKDKEIQDLKQEVLGLKQALKESNDQCVLLFNEVQKAWKVSFTLQSDLKSENVMLADKHKIEKEQNAQLRNQVAQLLQSEQDQKVQMQQYDSMIQTLQAKLKSLESQLNEAIHSSEGKSFSSEMAGVSTISKTAADGMDSSTVTKKLEEELKKRDALIERLHEENEKLFDRLTEKASTVGSPQVSSPFSKGAENAQPRDLGRNDYNKGRSMDVVPLQLAVDKTEGAGALIKASSEKLKTTPAGEYLTAALIDFEPDQYDSVAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFAFIRKMEPKRVMDTMLVSRVRILYIRSLLARSPELQSIKVSPVECFLEKPNSGRSRSSSRSSSPGRSPVRYVDEQIQGFKVNIKPEKKSKLSSVVSRIRGLDQDSLRQQQVTGGKLREIQEEAKSFAVGNKALAALFVHTPAGELQRQIRSWLAENFEFLSVTGDEASGGTTGQLELLSTAIMDGWMAGLGAALPPNTDALGQLLSEYAKRVFTSQLQHLKDIAGTLATEEADDAAHVAKLRSALESVDHKRRKILQQMRSDAALLTLENGGSPIQNPSTAAEDARLASLISLDGILKQVKDIMRQSSVSSMSRAKKKAMLASLDELTERMPSLLDIDHPCAQRQIADARRLVESINEEDDHMQETYHARKPSADLGSGTETDVAQWNVLQFNTGSTTPFIIKCGANSNSELVIKADARVQEPKGGEIVRVVPRPSVLENMSLDEMKQVFSELPEALSLLALARTADGTRARYSRLYRTLAMKVPSLRDLVGELEKGGVLKDVKS >EOX91955 pep chromosome:Theobroma_cacao_20110822:1:4613946:4631290:1 gene:TCM_000993 transcript:EOX91955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin like protein for actin based chloroplast movement 1 isoform 1 MGEQRSNNNNRWNWEVSGFEPRQSSPSPSSPEEQRRLSAAPMMRRYSISAASLSPYSSEFSKQALASKVQRLKDKVKLAKEDYLELRQEASDLQEYSNAKLDRVTRYLGVLAEKTRKLDQVALESEARISPLINEKRRLFNDLLTAKGNIKVFCRTRPLFEEEGSSIVEFPDDCTIRVNTGDDSIANPKKDFEFDRVYGPHVGQAELFSDVQPFVQSALDGYNISIFAYGQTRSGKTHTMEGSSHDRGLYARCFEELFDLANSDSTSTSKFNFSVTAFDLYNEQIRDLLSESGTTLPKVHLGLPESSVELVQDKVDNPLDFSKVLKAAFQSRGSDTSKFNVSHLIITLHIYYNNLISGENIYSKLSLVDLAGSEGQILEDDSGERVTDLLHVMKSLSALGDVLSSLTSKKDTIPYENSMLTNILADSLGGSSKSLMIVNICPNVPNLSETLSSLNFAARARNSVLSLGNRDTIKKWRDVANDARKELYDKDKEIQDLKQEVLGLKQALKESNDQCVLLFNEVQKAWKVSFTLQSDLKSENVMLADKHKIEKEQNAQLRNQVAQLLQSEQDQKVQMQQYDSMIQTLQAKLKSLESQLNEAIHSSEGKSFSSEMAGVSTISKTAADGMDSSTVTKKLEEELKKRDALIERLHEENEKLFDRLTEKASTVGSPQVSSPFSKGAENAQPRDLGRNDYNKGRSMDVVPLQLAVDKTEGAGALIKASSEKLKTTPAGEYLTAALIDFEPDQYDSVAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFAFIRKMEPKRVMDTMLVSRVRILYIRSLLARSPELQSIKVSPVECFLEKPNSGRSRSSSRSSSPGRSPVRYVDEQIQGFKVNIKPEKKSKLSSVVSRIRGLDQDSLRQQQVTGGKLREIQEEAKSFAVGNKALAALFVHTPAGELQRQIRSWLAENFEFLSVTGDEASGGTTGQLELLSTAIMDGWMAGLGAALPPNTDALGQLLSEYAKRVFTSQLQHLKDIAGTLATEEADDAAHVAKLRSALESVDHKRRKILQQMRSDAALLTLENGGSPIQNPSTAAEDARLASLISLDGYNEAVLCEQHE >EOX93692 pep chromosome:Theobroma_cacao_20110822:1:15120570:15125258:1 gene:TCM_002607 transcript:EOX93692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MHDSIHKDTFTKKFTPLSNFRARRRKMRIAEISTPELRAPAHDRQSPSHHQDNHLLSQIECKIKQTETHPPDTPLPDSLPSDLRQLLTHLTQLLPFPTTNNSLKLHLWKLSYRLWNACVDLSNAAAAFRSPSSNFYPQNVAELRHVAADMLSLAVDVVGVPSPVIKSASFYYKTGLVWHDLKNFDLASTCFERATDLISKLDIRKISDAGERKLLLDLNIARSLTAWEISDKNLAITLLNRSKTLLFGSPVHFKALANQFLAFAKTALSRTENNGSFNEALKLMNEALELCEKGLSISRTREETVEIKELKSKILRFISAVHLQNGEFESVIKCVKVLRENGGESGDHHASLPVLAMKAWLGLGRYSEAEKELKGMVVNKGIPEGVWLSAVEAYFQAAGNAGLETAKGIFLGLLGRCHVSARAAVRVVHRVVGDDSGIEGSRIRAKMVSELASDERVVALFAGEAVAKERTAMHAVLWNCGSGNFRLKDYETSAEMFEKSMLYVPHDIENRVLRAKGYRVLSLCYLGLAQLDRAQEYVIEAEKLDPNIVCAFLKFKICLQKNDNCGAINQIETMITCICFTPDFLSLSAHEAVASRALPVAVAALSKLLNFYTSGKPMPTAEVVVLRTLVTVLSQDLGKEPEVLKFLKQAYDRASKLGADCFFGKGEVGRREQNWFGVTAWNFGTKCGKEKHYDLCAEFLRLASGFYSFVVDGQLEENHIMTCRSLILTVSAMIASENQRMIPLPDAEILNSLSVGSQLNDDKVNTIESDLFFMYVLNAYDMHGRLNNLESQQRLVKSFAGTKACSPQYLLQIGLNASQGPRFNVEVATFALSECLSGFLSSACPDYQNVALVVRRLIAIASMHKGDTDDDAVLSMYKQAYRIMVGLKEGEYPTEEGKWLAMTAWNRAALPVRMGQIDVAKKWMNAGLELARKVVGMETYQACMEDYVAGFEKKFDMQIAGESRRQLGQ >EOX93693 pep chromosome:Theobroma_cacao_20110822:1:15120641:15125213:1 gene:TCM_002607 transcript:EOX93693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MHDSIHKDTFTKKFTPLSNFRARRRKMRIAEISTPELRAPAHDRQSPSHHQDNHLLSQIECKIKQTETHPPDTPLPDSLPSDLRQLLTHLTQLLPFPTTNNSLKLHLWKLSYRLWNACVDLSNAAAAFRSPSSNFYPQNVAELRHVAADMLSLAVDVVGVPSPVIKSASFYYKTGLVWHDLKNFDLASTCFERATDLISKLDIRKISDAGERKLLLDLNIARSLTAWEISDKNLAITLLNRSKTLLFGSPVHFKALANQFLAFAKTALSRTENNGSFNEALKLMNEALELCEKGLSISRTREETVEIKELKSKILRFISAVHLQNGEFESVIKCVKVLRENGGESGDHHASLPVLAMKAWLGLGRYSEAEKELKGMVVNKGIPEGVWLSAVEAYFQAAGNAGLETAKGIFLGLLGRCHVSARAAVRVVHRVVGDDSGIEGSRIRAKMVSELASDERVVALFAGEAVAKERTAMHAVLWNCGSGNFRLKDYETSAEMFEKSMLYVPHDIENRVLRAKGYRVLSLCYLGLAQLDRAQEYVIEAEKLDPNIVCAFLKFKICLQKNDNCGAINQIETMITCICFTPDFLSLSAHEAVASRALPVAVAALSKLLNFYTSGKPMPTAEVVVLRTLVTVLSQDLGKEPEVLKFLKQAYDRASKLGADCFFGKGEVGRREQNWFGVTAWNFGTKCGKEKHYDLCAEFLRLASGFYSFVVDGQLEENHIMTCRSLILTVSAMIASENQRMIPLPDAEVKCAVELLERAGKILNSLSVGSQLNDDKVNTIESDLFFMYVLNAYDMHGRLNNLESQQRLVKSFAGTKACSPQYLLQIGLNASQGPRFNVEVATFALSECLSGFLSSACPDYQNVALVVRRLIAIASMHKGDTDDDAVLSMYKQAYRIMVGLKEGEYPTEEGKWLAMTAWNRAALPVRMGQIDVAKKWMNAGLELARKVVGMETYQACMEDYVAGFEKKFDMQIAGESRRQLGQ >EOX95661 pep chromosome:Theobroma_cacao_20110822:1:35098001:35099365:1 gene:TCM_005112 transcript:EOX95661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 7, putative MGRAPCCSKVGLHRGPWTPREDTLLVKYIQAHGDGHWRSLPKKAGLLRCGKSCRLRWMNYLRPDIKRGNITPDEDDLIIRLHSLLGNRWSLIAGRLPGRTDNEIKNYWNTHLSKRLLSQGTDPNTHKKLSEPAVQQVKKRKSSRGNSNKKQNNSKGKGAKVEPEKPKVHLPKPVRVTSFSLPRNDSFDQCNTFSTVSSSQGGEGGLGTEVVQGPWSDNVNDDENGTGFLAAYDDHGFVNGSDFECQSHVPASDDDNSLEKLYEEYLQLLKTNDDQVQLDSFAESLLI >EOX95430 pep chromosome:Theobroma_cacao_20110822:1:34190621:34194381:-1 gene:TCM_004932 transcript:EOX95430 gene_biotype:protein_coding transcript_biotype:protein_coding description:MuDR family transposase, putative isoform 1 MAKGKLIAVCQSGGKFTPGSDGSLSYTGGDAHAIRINTDSKFDELKAEVAEMWKYDPDSFTIKYFLPHNNKTLITISNDQDLQHLLDFHGNSATVDVYVLTNVNQMSDQLTMSHSRSRMVDEPVTSPDSFTHAASVSGDTEQLDWLASIASVSRDMNHPNNLTPEAPNDKDNGLQKLVKSWENCLTGLDQQFNNVYDFRVALNRFSIAHGFKYTFKTNNARYVIATCKAEGCPWSIQAARLSTTKLFLIKKMSETHSCGAGKSSARCPQVSSKLVKILVKEKLRDAPHAKPREIADEILQDYGFKARYSQVWRGVETVKEKHQVPYEEGYNQLPSLVKQMVENNPGSIATLFTREDLSFHRLFVSFQASLHGFKNGCRPLLFLDTMTIKSNYQSELLTATALDGNDGVFPVAFAVVDVVNDDNWHWFLVQLKSAISTFQPLTFVADRQIGFKKPISMIFKNSHHSYCLRRLTDKLKKDLDILYTEEVLQVIVTHFYDAACATTLDGFSKCIENIRNISLEACEWILQSGPEHWANALFHGSRYGHFKADVAETFYTWVTELPVLPIVKLIETICHKMMELVKTQLSDSGQWLTKLTPLLEYKLQQDILKANMLKVSVSHASTFEVCDSLGAMDVVNIDLWDCSCREWQLKGFPCCHAVAVLQWSERSLYDYCSEYYTVDAFRLTYSNSIYPVATADRPVQKKSSKIEVRPPPLYPASGPPKKRRIRNRHKGPFKRPLHCSKCQGAGHNRATCRIFS >EOX95431 pep chromosome:Theobroma_cacao_20110822:1:34190761:34194292:-1 gene:TCM_004932 transcript:EOX95431 gene_biotype:protein_coding transcript_biotype:protein_coding description:MuDR family transposase, putative isoform 1 MVDEPVTSPDSFTHAASVSGDTEQLDWLASIASVSRDMNHPNNLTPEAPNDKDNGLQKLVKSWENCLTGLDQQFNNVYDFRVALNRFSIAHGFKYTFKTNNARYVIATCKAEGCPWSIQAARLSTTKLFLIKKMSETHSCGAGKSSARCPQVSSKLVKILVKEKLRDAPHAKPREIADEILQDYGFKARYSQVWRGVETVKEKHQVPYEEGYNQLPSLVKQMVENNPGSIATLFTREDLSFHRLFVSFQASLHGFKNGCRPLLFLDTMTIKSNYQSELLTATALDGNDGVFPVAFAVVDVVNDDNWHWFLVQLKSAISTFQPLTFVADRQIGFKKPISMIFKNSHHSYCLRRLTDKLKKDLDILYTEEVLQVIVTHFYDAACATTLDGFSKCIENIRNISLEACEWILQSGPEHWANALFHGSRYGHFKADVAETFYTWVTELPVLPIVKLIETICHKMMELVKTQLSDSGQWLTKLTPLLEYKLQQDILKANMLKVSVSHASTFEVCDSLGAMDVVNIDLWDCSCREWQLKGFPCCHAVAVLQWSERSLYDYCSEYYTVDAFRLTYSNSIYPVATADRPVQKKSSKIEVRPPPLYPASGPPKKRRIRNRHKGPFKRPLHCSKCQGAGHNRATCRIFS >EOX95432 pep chromosome:Theobroma_cacao_20110822:1:34191121:34193037:-1 gene:TCM_004932 transcript:EOX95432 gene_biotype:protein_coding transcript_biotype:protein_coding description:MuDR family transposase, putative isoform 1 MVDEPVTSPDSFTHAASVSGDTEQLDWLASIASVSRDMNHPNNLTPEAPNDKDNGLQKLVKSWENCLTGLDQQFNNVYDFRVALNRFSIAHGFKYTFKTNNARYVIATCKAEGCPWSIQAARLSTTKLFLIKKMSETHSCGAGKSSARCPQVSSKLVKILVKEKLRDAPHAKPREIADEILQDYGFKARYSQVWRGVETVKEKHQVPYEEGYNQLPSLVKQMVENNPGSIATLFTREDLSFHRLFVSFQASLHGFKNGCRPLLFLDTMTIKSNYQSELLTATALDGNDGVFPVAFAVVDVVNDDNWHWFLVQLKSAISTFQPLTFVADRQIGFKKPISMIFKNSHHSYCLRRLTDKLKKDLDILYTEEVLQVIVTHFYDAACATTLDGFSKCIENIRNISLEACEWILQSGPEHWANALFHGSRYGHFKADVAETFYTWVTELPVLPIVKLIETICHKMMELVKTQLSDSGQWLTKLTPLLEYKLQQDILKANMLKVSVSHASTFEVCDSLGAMDVVNIDLWDCSCREWQLKGFPCCHAVAVLQWSERSLYDYCSEYYTVDAFRLTYSNSIYPVATADRPVQKKSSKIEVRPPPLYPASGPPKKRRIRNRHKGPFKRPLHCSKCQGAGHNRATCRIFS >EOX92987 pep chromosome:Theobroma_cacao_20110822:1:9799797:9800351:-1 gene:TCM_001848 transcript:EOX92987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFTLFVLSFCTSAFTGLENSLPSISAKISAPPEKAFFTTNGPSQFGVHFPRGSCWFGRILFNTNSPSRNCRECAFLSYALIILF >EOX92327 pep chromosome:Theobroma_cacao_20110822:1:6314408:6320272:-1 gene:TCM_001285 transcript:EOX92327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein isoform 4 MSSASKADRKAALDVASWFFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLLTVLLRWLGYIQGSYLPLPELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVFDKVRYSRDTKLSIVVVLLGVAVCTVTDVSVNFKGFLAAVIAVWSTALQQYYVHFLQRKHSLGSFDLLGHTAPVQAASLLLVGPFVDYWLTEKKVYAYDYTVISVFFIILSCT >EOX92325 pep chromosome:Theobroma_cacao_20110822:1:6313617:6320056:-1 gene:TCM_001285 transcript:EOX92325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein isoform 4 MSSASKADRKAALDVASWFFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLLTVLLRWLGYIQGSYLPLPELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVFDKVRYSRDTKLSIVVVLLGVAVCTVTDVSVNFKGFLAAVIAVWSTALQQYYVHFLQRKHSLGSFDLLGHTAPVQAASLLLVGPFVDYWLTEKKVYAYDYTVISVFFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGKEGLNLHVILGMVIAVGGMVWYGNASSKPGGKERRIYPVPSNKSQKQDELSDSTETDEKV >EOX92326 pep chromosome:Theobroma_cacao_20110822:1:6313999:6320276:-1 gene:TCM_001285 transcript:EOX92326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein isoform 4 MSSASKADRKAALDVASWFFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLLTVLLRWLGYIQGSYLPLPELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVFDKVRYSRDTKLSIVVVLLGVAVCTVTDYVHFLQRKHSLGSFDLLGHTAPVQAASLLLVGPFVDYWLTEKKVYAYDYTVISVFFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGKEGLNLHVILGMVIAVGGMVWYGNASSKPGGKERRIYPVPSNKSQKQDELSDSTETDEKV >EOX92324 pep chromosome:Theobroma_cacao_20110822:1:6313617:6320191:-1 gene:TCM_001285 transcript:EOX92324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein isoform 4 MSSASKADRKAALDVASWFFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLLTVLLRWLGYIQGSYLPLPELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVFDKVRYSRDTKLSIVVVLLGVAVCTVTDVSVNFKGFLAAVIAVWSTALQQYYVHFLQRKHSLGSFDLLGHTAPVQAASLLLVGPFVDYWLTEKKVYAYDYTVISVFFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGKEGLNLHVILGMVIAVGGMVWYGNASSKPGGKERRIYPVPSNKSQKQDELSDSTETDEKV >EOX94037 pep chromosome:Theobroma_cacao_20110822:1:19446338:19450460:1 gene:TCM_003123 transcript:EOX94037 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein, putative isoform 1 MGGPSRQCQVCNQAQSKYKCPSCLVPYCSLACFKRHKETPCTKPKSTEEKSGTPCVKSESANDAETTSVKLDSSNDAGTPSLKPESTKERSTASPRFQVEKKLEVDDPSEMLQILQLQAIASSSEVREALKDEHLQKLISDIDSSPDAMNELDKAMGVDVFRIFSDKILSAINP >EOX94038 pep chromosome:Theobroma_cacao_20110822:1:19445939:19450856:1 gene:TCM_003123 transcript:EOX94038 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein, putative isoform 1 MGGPSRQCQVCNQAQSKYKCPSCLVPYCSLACFKRHKETPCTKPKSTEEKSGTPCVKSESANDAETTSVKLDSSNDAGTPSLKPESTKERSTASPRFQVEKKLEVDDPSEMLQILQLQAIASSSEVREALKDEHLQKLISDIDSSPDAMNELDKAMGVDVFRIFSDKILSAINP >EOX94039 pep chromosome:Theobroma_cacao_20110822:1:19445939:19450856:1 gene:TCM_003123 transcript:EOX94039 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein, putative isoform 1 DASSQLRHSQWEVLHGSVKFATKLNPSTSAPLVLFLKTPCTKPKSTEEKSGTPCVKSESANDAETTSVKLDSSNDAGTPSLKPESTKERSTASPRFQVEKKLEVDDPSEMLQILQLQAIASSSEVREALKDEHLQKLISDIDSSPDAMNELDKAMGVDVFRIFSDKILSAINP >EOX94036 pep chromosome:Theobroma_cacao_20110822:1:19446187:19450795:1 gene:TCM_003123 transcript:EOX94036 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein, putative isoform 1 MGGPSRQCQVCNQAQSKYKCPSCLVPYCSLACFKRHKETPCTKPKSTEEKSGTPCVKSESANDAETTSVKLDSSNDAGTPSLKPESTKERSTASPRFQVEKKLEVDDPSEMLQILQLQAIASSSEVREALKDEHLQKLISDIDSSPDAMNELDKAMGVDVFRIFSDKILSAINP >EOX93324 pep chromosome:Theobroma_cacao_20110822:1:12098438:12103558:1 gene:TCM_002164 transcript:EOX93324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein isoform 2 MGGSDGDKARVIQTLLFVAGINTLLQALFGTRLPAILGGSYAYVIPIAYIINDSSLQRISDRHERFIQTMRAIQGALIVASSIQIILGYSQVWGLFSRFFSPLGMAPVVALVGLGLFQRGFPLLGNCVEIGLPMLLLVIGVSQYLKHVRPIRDVPIFERFPVLICVAIIWIYSLILTASGAYRDKPNDTQNNCRTDRANLISSAPWFKFPYPLQWGPPTFSAGHSFAMMSAVLVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGILLDGLFGTCTGSTVSVENVGLLGLSRVGSRRVVQLSAGFMMFFSTLGIHTLLQSPESKENDIPAIRSIYDSLSQCPGIWLRLKQELLFNTHFWQSIKLILSQQEPRFAVLHLISLFSWKPEIYFLSFNRYFNIIFPLYGTSRKIWSCVCIHTLPNICCTVLCSIWPCGFSWIIISSIHKHELHEKPHYYRAISLPWTFHPTIL >EOX93323 pep chromosome:Theobroma_cacao_20110822:1:12096164:12103557:1 gene:TCM_002164 transcript:EOX93323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein isoform 2 MAEMIHPPMDQLQDLEYCIDSNPPWAETILLAFQNYIQLLGTSVMIPSLLVPAMGGSDGDKARVIQTLLFVAGINTLLQALFGTRLPAILGGSYAYVIPIAYIINDSSLQRISDRHERFIQTMRAIQGALIVASSIQIILGYSQVWGLFSRFFSPLGMAPVVALVGLGLFQRGFPLLGNCVEIGLPMLLLVIGVSQYLKHVRPIRDVPIFERFPVLICVAIIWIYSLILTASGAYRDKPNDTQNNCRTDRANLISSAPWFKFPYPLQWGPPTFSAGHSFAMMSAVLVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGILLDGLFGTCTGSTVSVENVGLLGLSRVGSRRVVQLSAGFMMFFSTLGKFGAVFASIPFPIFAALYCVQFGLVASVGLSFLQFTNMNCMRNLIITGLSLFLGLSIPQFFNEYWNPTHRGLVHTNAGWFNAFLNTIFSSPATVGLIIAVFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >EOX96577 pep chromosome:Theobroma_cacao_20110822:1:38302642:38304490:-1 gene:TCM_005803 transcript:EOX96577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRCKKHLTDLSSSVGVCATCLRERLLSLIAAQAQAQAQAQQAQLARAAAAAVEDRRKPDPPPLIFPRSVSPYVSRRKSDDNSATWIHHQRFYSTPQVGPTYRTATATDFEAARSFKKKNRFWLFSNLFRSRSEKFNSDPGVHYHRDSCDEPSSSSSSPSWFSAIFAVRRKKQQSSRTSHVDEFGQFRPIDRRSCKIIDRGMSPAIEADSGDEYDRSPSGSSPEVSPRWKMTPTAARRSRTGPRNVSGLAFCLSPLVRASPNRHWNQKGGLPPDMSFTSEGRPPMKPHLATAAGFCANRSRKLADFGRVKPNR >EOX95787 pep chromosome:Theobroma_cacao_20110822:1:35567656:35571620:-1 gene:TCM_005201 transcript:EOX95787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein MVTSRQGLPSWISAAAATRVDSEGNVENMIKDGHGDSTSQPQSQKSSKAVTDVDLSFGERAFSASGAAFLSAIIVNPLDVAKTRLQAQAAGVPYQTCFETNMFFPELKSSQSCARSVLGSEPVCPPECSRYKGTLDVFYKVIRQEGFARLWRGTNASLALAVPTVGIYMPCYDIFRNSIEEFTTNNAPTLTPYVPLVAGIVARSFACVTCYPVELARTRMQAFKETQSGVKPPGVWKTLVGVINPVMSTNYIQNLQSYRILWTGLSAQLARDVPFSAICWSTLEPIRRKLLVLMGDEAGVGSILGANFTAGFVAGSIAAAVTCPLDVAKTRSQIEKDPTKALKMTTRQTLLEIWRDGGMKGLFTGLGPRVGRAGPSVGIVVSFYEVVKYALHSRHEDFE >EOX92941 pep chromosome:Theobroma_cacao_20110822:1:9515549:9523628:1 gene:TCM_001800 transcript:EOX92941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein C1A isoform 1 MAAVAVHQFAQCITCHAWSPDQSMVAFCPNNNEVHIYKLLEDKWEKVHVLQKHDQIVTGIDWSGRSNRIVTVSHDRNSYVWNQEGAEWVPTLVILRLNRAALCVQWSPRENKFAVGSGAKTVCICYYEQENNWWVSKLIRKKHDSSVTSVAWHPNNILLATTSTDGKCRVFSTFIKGVDTRESKTSSSSDSKFGEQIVQLDLSFSWAFGVKWSPSGNTLAYVGHNSMIYFVDDVGPSPLAQNVAFRNLPLRDVGPICFRENGH >EOX92940 pep chromosome:Theobroma_cacao_20110822:1:9515588:9526817:1 gene:TCM_001800 transcript:EOX92940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein C1A isoform 1 MAAVAVHQFAQCITCHAWSPDQSMVAFCPNNNEVHIYKLLEDKWEKVHVLQKHDQIVTGIDWSGRSNRIVTVSHDRNSYVWNQEGAEWVPTLVILRLNRAALCVQWSPRENKFAVGSGAKTVCICYYEQENNWWVSKLIRKKHDSSVTSVAWHPNNILLATTSTDGKCRVFSTFIKGVDTRESKTSSSSDSKFGEQIVQLDLSFSWAFGVKWSPSGNTLAYVGHNSMIYFVDDVGPSPLAQNVAFRNLPLRDVLFVSEKMVIGVGFDCNPMVFAADDSGIWSFIRFLGERKASSSSSKYGSQFSEAFGKLYGQSKHGLSNDTVEPSRAHGVVHENCINCIVPLRKEGPRTKRFSTSGLDGKVVIWDLENQEVVSDYL >EOX91883 pep chromosome:Theobroma_cacao_20110822:1:4312492:4315373:1 gene:TCM_000944 transcript:EOX91883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein MALSILVSCHLLTKQNHSLIMKIFIFFLLLLPCAMPLSFNFTSFSPNMQNIEFEGDAFSSANVLQLTKNDAIDNLTGSIGRASYNQAVRLWDASNGRLTDFTTHFSFILRAVNLSEYGDGISFFIAPFDSKMPPNSSDGFLALFNRNSTSNSSNNNIVAVEFDSFQNDWDPSDDHVGININSIISVTNVTWKSSIKNGSRANAWVSYNSSTRNLSVFLTYADNPVFSGNSSLAYVVDLRNILPEWVRIGFSASTGRQVEIHNILSWSFDSSLETGGRGNNLGLIVGLAVGFGLLALGLGLLSFIMWRIRARLNKDSDAVDFTIDDEFEKGTGPKRFMYQELTRATNNFAEVGKLGEGGFGGVYKGLLSDSNTEVAVKRVSRGSKQGKKEYISEVKIISRLRHRNLVQLLGWCHEKGELLLVYEFLPNGSLDSHLFGGKIMLTWTVRHKIALGLASALLYLHEEWEQCVVHRDIKCSNVMLDSNFNAKLGDFGLARLVDHDLGSQTTVLAGTMGYLAPECVTTGKASKESDVYSFGVVALEIACGRKPVEPREEPSKVRMLEWVWDLYGKGQLLQAVDKRLDNDFDERQMECLMVTGLWCCHPDYTHRPSIRQVINVLNFEAPLPSLPSKLPVPMYYAPPINLCKFSYTSSTGLTDSEKYRTQCSCSSCSTNTYSSSAADSGKALLNSRMNHV >EOX95138 pep chromosome:Theobroma_cacao_20110822:1:33000548:33001359:1 gene:TCM_004694 transcript:EOX95138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein, putative MKPIIISVALLFSFSLCLSLLPSLNAAGKNNLIAEACQRARYKDLCISSLEAEHASQDADLAALALIALKVASNNGSDTSVYIKKILDGSNLEPTVEQNFQDCSENYLSATQQLDDSLAALVSKAYKDAKVWLEAAITDAITCESGLKQSPGNELELFSRNNIFLKLCSNALDIVNLLATK >EOX90926 pep chromosome:Theobroma_cacao_20110822:1:1080132:1082025:-1 gene:TCM_000264 transcript:EOX90926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein MGAFNFFVSSLVMLVVVTRLLPGAESKTYWGDIQALEQLKNGVDRNSVNPGSCLSSWDFTADPCDSLFSERFTCGFRCDLTVSGLSRVTEVSLDSAGYAGSLSSASWNLPYLQILDLSNNFFSGWIPGSLSNLTRLTRLGLSRNTLSGEIPASIGALSSLEELYLDNNNLQGPIPTTFNGLVSLKRLEIQTNNLSGELPELGSLKNLYFLDASNNAISGYLPTTFPPSLVQISMRNNKIEGTIPQSLKYLSFLQVLDLSHNELTDSVPYFVFNHQSLQQLTLAFNSFTSVQSPPTLGTQSELIAVDLSNNGLQGWLPPFLPLLPKLSALSLENNKFSGMIPAQYALKTILPGSGIAPFARLLLGGNYLFGPIPGPLLSLKPDTANVSLADNCLIRCPLRFFFCQGADQKSSMECKRFSPVIP >EOX94692 pep chromosome:Theobroma_cacao_20110822:1:30889751:30894406:1 gene:TCM_004304 transcript:EOX94692 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 1 MRDGNSKKSKLSWPKTLVKKWFNIKSKADDFHADDVDYQGVDEDWRHNFSEREACTIKKSKTERLSKRYSDRVRRSKIDLDGSQVTDVHSYRIFVATWNVAGKSPPSYLNLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPARKWLALIRKTLNSLPGTSGGCLTPSPIPDPLVELDADFEGSTRQKASSFFHRRSCQSLSRSMRMDNDMVMPQPRLDRRFSVCDRVIFGHRPSDYDPNFRWGSSDDETGPGDSPGNTQYSQYSPMSYGGSFAMEESNRQMGHSRYCLVASKQMVGIFLTVWVKSDLRDDVRNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHGMGDENSPQTILEHDRIIWLGDLNYRIALSYRSAKALVEMRNWKALLENDQLCIEQRRGRVFEGWSEGKIYFPPTYKYSNNSDRYAGEDRHPKEKRRTPAWCDRILWYGRGLHQLSYVRGESKFSDHRPVYSIFAAEVESINRGRIRKSMSCSSARIEVEELLPHSHGYTELSFF >EOX94691 pep chromosome:Theobroma_cacao_20110822:1:30890323:30894776:1 gene:TCM_004304 transcript:EOX94691 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 1 MRDGNSKKSKLSWPKTLVKKWFNIKSKADDFHADDVDYQGVDEDWRHNFSEREACTIKKSKTERLSKRYSDRVRRSKIDLDGSQVTDVHSYRIFVATWNVAGKSPPSYLNLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPARKWLALIRKTLNSLPGTSGGCLTPSPIPDPLVELDADFEGSTRQKASSFFHRRSCQSLSRSMRMDNDMVMPQPRLDRRFSVCDRVIFGHRPSDYDPNFRWGSSDDETGPGDSPGNTQYSQYSPMSYGGSFAMEESNRQMGHSRYCLVASKQMVGIFLTVWVKSDLRDDVRNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHGMGDENSPQTILEHDRIIWLGDLNYRIALSYRSAKALVEMRNWKALLENDQLCIEQRRGRVFEGWSEGKIYFPPTYKYSNNSDRYAGEDRHPKEKRRTPAWCDRILWYGRGLHQLSYVRGESKFSDHRPVYSIFAAEVESINRGRIRKSMSCSSARIEVEELLPHSHGYTELSFF >EOX95913 pep chromosome:Theobroma_cacao_20110822:1:35997674:35998527:1 gene:TCM_005301 transcript:EOX95913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIEQIKLYLVSESNNPPYMRTSSTKYHKNTHARESVYVCEDGAFVLFVYMATRLIEAYLVISNWFRWRSLFIYYLDVRVHENLCLSCIIL >EOX91766 pep chromosome:Theobroma_cacao_20110822:1:3770351:3771932:1 gene:TCM_000849 transcript:EOX91766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHRLVLMRQFLYLSKLCRLIATNPRVGRQVVNIPSFVVRADSQKHIDFSLTSPFGGGRLGRVKRRNQKAAAKKVAGGDGDEEEDE >EOX95617 pep chromosome:Theobroma_cacao_20110822:1:34900018:34906642:1 gene:TCM_005067 transcript:EOX95617 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDPK-related kinase 3 isoform 2 MGQCYGKVNHTGVNETTTSNATATTTTVVVSADQERTPVPSGNGAVNVPSVKNTPARSSNQNPWPSPYPHGVSASPLPPGVSPSPARTSRGSTPRRFFRRPFPPPSPAKHIKASLVKRLGGKPKEGPIPEDRGAEPEQALDKSFGYGKNFGAKYELGKEVGRGHFGHTCSARGKKGELKDQPVAVKIISKAKMTTAISIEDVRREVKILKALSGHKHLVKFYDACEDANNVYIVMELCEGGELLDRILARGGRYTEEDAKAIVVQILSVVSFCHLQGVVHRDLKPENFLFTSGGEDADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSLEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRSDPNFDDLPWPSVSPEAKDFVKRLLNKDYRKRMTAVQALNHPWLQDDSRTIPLDILIYKLVKSYLHATPFKRVALKALSKALTEDELVYLRAQFRLLEPNRDGSVSLENFKMVIDRLYDPINLSLKSKMVNCLKLISILFIDLMW >EOX95616 pep chromosome:Theobroma_cacao_20110822:1:34900119:34907966:1 gene:TCM_005067 transcript:EOX95616 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDPK-related kinase 3 isoform 2 MGQCYGKVNHTGVNETTTSNATATTTTVVVSADQERTPVPSGNGAVNVPSVKNTPARSSNQNPWPSPYPHGVSASPLPPGVSPSPARTSRGSTPRRFFRRPFPPPSPAKHIKASLVKRLGGKPKEGPIPEDRGAEPEQALDKSFGYGKNFGAKYELGKEVGRGHFGHTCSARGKKGELKDQPVAVKIISKAKMTTAISIEDVRREVKILKALSGHKHLVKFYDACEDANNVYIVMELCEGGELLDRILARGGRYTEEDAKAIVVQILSVVSFCHLQGVVHRDLKPENFLFTSGGEDADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSLEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRSDPNFDDLPWPSVSPEAKDFVKRLLNKDYRKRMTAVQALSKFGVITYHPWLQDDSRTIPLDILIYKLVKSYLHATPFKRVALKALSKALTEDELVYLRAQFRLLEPNRDGSVSLENFKMVIDRLYDPINLSLKSKMALVRNATEAMGESRVPDIVNTMGSLAYRKMYFEEFCAAAISTHQLEAVEGWEQIASIAFEHFEQEGNRVISIEELARELNVGPSAYSFLKDWIRISDGKLSLLGYTKFLHGVTLRSSNTRHH >EOX93190 pep chromosome:Theobroma_cacao_20110822:1:11110110:11115427:-1 gene:TCM_002029 transcript:EOX93190 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein isoform 3 MKEVEFAVSSGIISFPTIPMAKEVVVPDEPFVIGEELDHVRLITLNRPRHLNIISSKVVSLLAEYLEKWEKDEQAALILIKGAGRAFSAGGDLKMFYDGRKSKDSCLEVVYRMYWLCYHIHTYKKTQVALVQGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHVDCGFSYVLSHLPGHLGEFLALTGARLNGKELVAAGLATHFVPLEKLPELEKRLISLNSGDEKAVKSTIEEFSLNMQLDEDSVLNKKPIIDECFSKGTVADIIKSFEAEASKEGNGWIGPLLKGLKRSSPTGLKITLRSIREGRKQTLPECLKKEFRLTMNILRTSISADVYEVQSSHH >EOX93189 pep chromosome:Theobroma_cacao_20110822:1:11109913:11115408:-1 gene:TCM_002029 transcript:EOX93189 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein isoform 3 MAKEVVVPDEPFVIGEELDHVRLITLNRPRHLNIISSKVVSLLAEYLEKWEKDEQAALILIKGAGRAFSAGGDLKMFYDGRKSKDSCLEVVYRMYWLCYHIHTYKKTQVALVQGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHVDCGFSYVLSHLPGHLGEFLALTGARLNGKELVAAGLATHFVPLEKLPELEKRLISLNSGDEKAVKSTIEEFSLNMQLDEDSVLNKKPIIDECFSKGTVADIIKSFEAEASKEGNGWIGPLLKGLKRSSPTGLKITLRSIREGRKQTLPECLKKEFRLTMNILRTSISADVYEGIRALTIDKDNAPKWDPPVLDQVGDEKVDLVFQPFQEDLELKIPEQEQCRWDGKYENSAYAN >EOX93188 pep chromosome:Theobroma_cacao_20110822:1:11108766:11115437:-1 gene:TCM_002029 transcript:EOX93188 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein isoform 3 MKEVEFAVSSGIISFPTIPMAKEVVVPDEPFVIGEELDHVRLITLNRPRHLNIISSKVVSLLAEYLEKWEKDEQAALILIKGAGRAFSAGGDLKMFYDGRKSKDSCLEVVYRMYWLCYHIHTYKKTQVALVQGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHVDCGFSYVLSHLPGHLGEFLALTGARLNGKELVAAGLATHFVPLEKLPELEKRLISLNSGDEKAVKSTIEEFSLNMQLDEDSVLNKKPIIDECFSKGTVADIIKSFEAEASKEGNGWIGPLLKGLKRSSPTGLKITLRSIREGRKQTLPECLKKEFRLTMNILRTSISADVYEGIRALTIDKDNAPKWDPPVLDQVGDEKVDLVFQPFQEDLELKIPEQEQCRWDGKYENSAYAN >EOX92383 pep chromosome:Theobroma_cacao_20110822:1:6596040:6603313:-1 gene:TCM_001338 transcript:EOX92383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myrcene synthase, chloroplastic, putative isoform 1 MSLHFHTSVPASCFNRTRSKDISNRSNANKFIVLQARKHVATAKVFDENIARRTANYHPPIWTHDYIQSLQSDFVGESCDERAMKLVAEVRTMLDRVLDPLEKLELVDTLQRLGLSYHYENDTKRILESVNAEESNVVWKKGNLYATALEFRLLRQHGYKVTPEVFSSFMDEMGNFKAGLCEDCKGLLNLYEASYHLVEGESILENARDFAAKHLKQCLKQNKDEYQFMLVEHALELPLHWRIERLEARWFIDVYERREDKIPILLELAKLDFNIVQAVHQDDLRYASNYAQQHDKMNYHLARGHQNNFLLSTANFAKKIANLKKSGWWTDLGLGEKLTFARDRLMGNFLWTVGVASDPQFGHARRTLTKINALITIIDDVYDVYGTLDELELFTEAVERWDVNAMELLPEYMKICFLALYNSINEMAFDTIKEQGFDSIPFLKEMWADLCKAYLVEAKWYYGGYTPTLKEYIDNAWISISAPVILSHAYFLTNSIRKECLECLKEHSQVVYCTSMILRLVNDLETSSDELERGDVPKSIQCYMHETGFSKEEARHYIRNLIDATWKMMNENRIAQSPFSQTFIQIALNLARMAQCMYQYGDGHGIEVNETNNRVLSLLVLPIPLQLGLNTYN >EOX92384 pep chromosome:Theobroma_cacao_20110822:1:6596045:6599118:-1 gene:TCM_001338 transcript:EOX92384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myrcene synthase, chloroplastic, putative isoform 1 MSLHFHTSVPASCFNRTRSKDISNRSNANKFIVLQARKHVATAKVFDENIARRTANYHPPIWTHDYIQSLQSDFVGESCDERAMKLVAEVRTMLDRVLDPLEKLELVDTLQRLGLSYHYENDTKRILESVNAEESNVVWKKGNLYATALEFRLLRQHGYKVTPEVFSSFMDEMGNFKAGLCEDCKGLLNLYEASYHLVEGESILENARDFAAKHLKQCLKQNKDEYQFMLVEHALELPLHWRIERLEARWFIDVYERREDKIPILLELAKLDFNIVQAVHQDDLRYASKWWTDLGLGEKLTFARDRLMGNFLWTVGVASDPQFGHARRTLTKINALITIIDDVYDVYGTLDELELFTEAVERWDVNAMELLPEYMKICFLALYNSINEMAFDTIKEQGFDSIPFLKEMWADLCKAYLVEAKWYYGGYTPTLKEYIDNAWISISAPVILSHAYFLTNSIRKECLECLKEHSQVVYCTSMILRLVNDLETSSDELERGDVPKSIQCYMHETGFSKEEARHYIRNLIDATWKMMNENRIAQSPFSQTFIQIALNLARMAQCMYQYGDGHGIEVNETNNRVLSLLVLPIPLQLGLNTYN >EOX95213 pep chromosome:Theobroma_cacao_20110822:1:33338503:33339391:1 gene:TCM_004764 transcript:EOX95213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLWNRASFLKALSKKQNKNQTRDNKLKKNSKWAITLYFDVPNPTKPSQWKRLQLPLFVGLNTFWKQYFYYYLLNLLHNFMVAF >EOX94438 pep chromosome:Theobroma_cacao_20110822:1:28674772:28681845:-1 gene:TCM_004021 transcript:EOX94438 gene_biotype:protein_coding transcript_biotype:protein_coding description:XB3 in, putative isoform 2 MGQKLDTMGDQQSKDELLYQAASAGNVDAIKALCREGAAIEWIDRDGKTPLIVACMNPELIHVVKTLIEMGANVNAYRPGWVVIIPCGSGNPMKPPRFELAIYSTLQDAQPHIVIALWKAKIEEPKFHQSDPSLAIVDQTKTRYKLASGNEGDKQQLQWLYGACKGIPQVIPSATDLDTQNSSAATARQTAAEPAELDNNQSSGAIRANGWENSAHIERYNGWVPAVGQAHSASSNTGWMDGPARKDYNGWGASDSRPAGKQSHHVQTLGDHSRWMDGPTNKDYNDWGVADSRPSGEQSHHVQNLADPSPLVQTSAVYVTDSSAPSAPPIPKDVLDEGLIHYPSIDPNPADLPVSVTTGYGASANDVKEQGGSSSCIICWEAPIEGACIPCGHMAGCMSCLNEVKAKNGLCPVCRGKIDQVIRLYAV >EOX94437 pep chromosome:Theobroma_cacao_20110822:1:28674618:28681994:-1 gene:TCM_004021 transcript:EOX94437 gene_biotype:protein_coding transcript_biotype:protein_coding description:XB3 in, putative isoform 2 MGQKLDTMGDQQSKDELLYQAASAGNVDAIKALCREGAAIEWIDRDGKTPLIVACMNPELIHVVKTLIEMGANVNAYRPGRNAGTPLHHAAKRGLEQTVKLLLSHGANTLLRNDDCHTPLEVARIKGCTNVVRAIENHICCFCGWLREFRGPGFLGGFAPQLLSRKMVHSRSWVVIIPCGSGNPMKPPRFELAIYSTLQDAQPHIVIALWKAKIEEPKFHQSDPSLAIVDQTSNFLELNPFFFCGYFFPVKTRYKLASGNEGDKQQLQWLYGACKGIPQVIPSATDLDTQNSSAATARQTAAEPAELDNNQSSGAIRANGWENSAHIERYNGWVPAVGQAHSASSNTGWMDGPARKDYNGWGASDSRPAGKQSHHVQTLGDHSRWMDGPTNKDYNDWGVADSRPSGEQSHHVQNLADPSPLVQTSAVYVTDSSAPSAPPIPKDVLDEGLIHYPSIDPNPADLPVSVTTGYGASANDVKEQGGSSSCIICWEAPIEGACIPCGHMAGCMSCLNEVKAKNGLCPVCRGKIDQVIRLYAV >EOX96250 pep chromosome:Theobroma_cacao_20110822:1:37110104:37113258:-1 gene:TCM_005535 transcript:EOX96250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoprotease 1 isoform 1 MSSVLTLPSTFSRLNLVPKSLITIPLAFKPLNLLKSHKQQQARSLNSPRLSSLNSFTTHFSTSRSSVSPKPFHDDLVVLGIETSCDDTAAAIVRGNGEILSQVVSSQADLLARYGGVAPKMAEEAHSQVIDQVVQEALDKSGLTGRDLSAVAVTIGPGLSLCLRVGVQKARRVAGSFNLPIISVHHMEAHALVARLIEKELQFPFMALLISGGHNLLVLAHDLGQYTQLGTTIDDAIGEAFDKTAKWLGLDMRKSGGPAVEQIAQEGDAESVRFSIPMKQHKDCNFSFAGLKTQVRLAIASKNIEAKVPISCASSQDRSSRADIAASFQRVAVLHLEERCERAIEWALKIEPSIKHLVVSGGVASNQYVRARLDRVVKKYSLQLVCPPPKLCTDNGVMIAWTGIENFRVGRYDPPPPANDPEDFMYDLRPRWPLGEEYAEGRSEARSLRRARVHPSLTSLVQASLQQQ >EOX96249 pep chromosome:Theobroma_cacao_20110822:1:37110104:37113258:-1 gene:TCM_005535 transcript:EOX96249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoprotease 1 isoform 1 MSSVLTLPSTFSRLNLVPKSLITIPLAFKPLNLLKSHKQQQARSLNSPRLSSLNSFTTHFSTSRSSVSPKPFHDDLVVLGIETSCDDTAAAIVRGNGEILSQVVSSQADLLARYGGVAPKMAEEAHSQVIDQVVQEALDKSGLTGRDLSAVAVTIGPGLSLCLRVGVQKARRVAGSFNLPIISVHHMEAHALVARLIEKELQFPFMALLISGGHNLLVLAHDLGQYTQLGTTIDDAIGEAFDKTAKWLGLDMRKSGGPAVEQIAQEGDAESVRFSIPMKQHKDCNFSFAGLKTQVRLAIASKNIEAKVPISCASSQDRSSRADIAASFQRVAVLHLEERCERAIEWALKIEPSIKHLVVSGGVASNQYVRARLDRVVKKYSLQLVCPPPKLCTDNGVMIAWTGIENFRVGRYDPPPPANDPEDFMYDLRPRWPLGEEYAEGRSEARSLRRARVHPSLTSLVQASLQQQ >EOX96252 pep chromosome:Theobroma_cacao_20110822:1:37109611:37113401:-1 gene:TCM_005535 transcript:EOX96252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoprotease 1 isoform 1 MSSVLTLPSTFSRLNLVPKSLITIPLAFKPLNLLKSHKQQQARSLNSPRLSSLNSFTTHFSTSRSSVSPKPFHDDLVVLGIETSCDDTAAAIVRGNGEILSQVVSSQADLLARYGGVAPKMAEEAHSQVIDQVVQEALDKSGLTGRDLSAVAVTIGPGLSLCLRVGVQKARRVAGSFNLPIISVHHMEAHALVARLIEKELQFPFMALLISGGHNLLVLAHDLGQYTQLGTTIDDAIGEAFDKTAKWLGLDMRKSGGPAVEQIAQEGDAESVRFSIPMKQHKDCNFSFAGLKTQVRLAIASKNIEAKVPISCASSQDRSSRADIAASFQRVAVLHLEERCERAIEWALKIEPSIKHLVVSGGVASNQYVRARLDRVVKKYSLQLVCPPPKLCTDNGVMIAWTGIENFRVGRYDPPPPANDPEDFMYDLRPRWPLGEEYAEGRSEARSLRRARVHPSLTSLVQASLQQQ >EOX96251 pep chromosome:Theobroma_cacao_20110822:1:37109076:37113371:-1 gene:TCM_005535 transcript:EOX96251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoprotease 1 isoform 1 MAEEAHSQVIDQVVQEALDKSGLTGRDLSAVAVTIGPGLSLCLRVGVQKARRVAGSFNLPIISVHHMEAHALVARLIEKELQFPFMALLISGGHNLLVLAHDLGQYTQLGTTIDDAIGEAFDKTAKWLGLDMRKSGGPAVEQIAQEGDAESVRFSIPMKQHKDCNFSFAGLKTQVRLAIASKNIEAKVPISCASSQDRSSRADIAASFQRVAVLHLEERCERAIEWALKIEPSIKHLVVSGGVASNQYVRARLDRVVKKYSLQLVCPPPKLCTDNGVMIAWTGIENFRVGRYDPPPPANDPEDFMYDLRPRWPLGEEYAEGRSEARSLRRARVHPSLTSLVQASLQQQ >EOX91976 pep chromosome:Theobroma_cacao_20110822:1:4716282:4719945:1 gene:TCM_001007 transcript:EOX91976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDQQAKHSKPADILDLSVLPSADDSRIDFSHENHLRSQIRKQENKGAVKSEEEWKIRGELESDIERDLEEEIKDGIYHLALRLHRLYQHRKERNAKDTSESCDTKEKTFSEVNISIKMEGGTKIEIKETKKESPDHQKGLPLPPRSSRSNNVQGMLGSNGKKFDWAKSLRAGASPAIINRKIGRSDQAKVPSNNRGFCMNHNLENTRNLVSASGQRKGNIGLDDKVLELGWKW >EOX93277 pep chromosome:Theobroma_cacao_20110822:1:11847007:11852368:-1 gene:TCM_002124 transcript:EOX93277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein MDEVMTAADASSSRGSEATSFASSPSSNLLPNNLPLITAFLAFALAQFLKLFTNWFKEGRWDSKRMIDSGGMPSSHSATVTALAVAIGLQDGTGGPAFAIAVVLACVVMYDASGVRLHAGRQAELLNQIVCEFPPEHPLSSVRPLRELLGHTPLQVVAGALLGCIVAFLMRNSG >EOX94071 pep chromosome:Theobroma_cacao_20110822:1:19958271:19960551:-1 gene:TCM_003164 transcript:EOX94071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLATILKEACSGLSENSLEVSNASNDQGMGRYNIGIRALGVEMPPRTRATSRGIRGFNAPDDDTEGSRASFFRSSGRSGLRGRITGPQGSQSFSERRVGTNFGDIGGDYPEGVHQSNDTMGSSFEDSDYQLYEEIDRGDVMVTLGEFMKLKPPSFSGAKSIEDPQVFLDEMDKISIALGYSSHRAVELTGFMLTEVAQIWFATLKSCRPPGSTPLTWEEFTQAFIDRFLPESVRDDKEQEFETLMQALGMIVSDYDIQFTQLSRYAPYLVQTERERIKRFIKGLHKLIYKILVSKRFTSYLEVVDAARKIEVGHAEVGVERDKSKRNRGEGFSRYKDPSRGKDVNIAGQQDKVVSGVLFALFVVRFIQGHEMARGSIRPDFATTQTKNVIRDKGKGVASSSQGRSTRPTQQGAFEGGQARVFALTP >EOX92100 pep chromosome:Theobroma_cacao_20110822:1:5257237:5260454:-1 gene:TCM_001105 transcript:EOX92100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L29 family protein MPYLFFHWKKRLKEEKTFALSTFPSESLERKRAHGETSHEDRAEAKQGKMLSLSVASPTTVTFPPKPKSSIPKTSFNGVRIQHLCPRPLPSQTTSFRKPSASSSVVMMAKREEEMKEIRAKTTEEINEEVVELKGELFMLRLQKSVRNEFKSSEFRRMRKRIARMLTVKREREIEEGINKRLSRKLDRRWKKSIVVKPPPSLIKLREEEAAEQAEKSAA >EOX95668 pep chromosome:Theobroma_cacao_20110822:1:35125796:35130246:-1 gene:TCM_005117 transcript:EOX95668 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 30 MCRSTPTQYLWLTLTLGPTMAPKCPVAYPLGSQLCSLSNQPHCPLASSLPHCPFSSQLAPNRPHSLARSPKSTCTPILPVCEPTLPISEPKVFLCLRTNAARPTAWASCAPKLPVPFICSPRHGLMAEDQPHLRAMLPSIKPACLVKQNGRDTDMIIRFQKCLSQSSPLSLRRSSPLSLRRSSPLSLLATLPRFSLLSLSRSSSLSLSRSSPLSLRSSSPLSLHRSSPLSFNRSSPLSLYRSSTILVMSEDVQGMVKEILENLKKVKGQVRGQALKELRQVVQAHGITKKTVVACGGVGLISSLLGPFTTHAVGSKVVGVLVNLNLDFDSKSDLLQPTKISLMVDILNERSIETKINCTRLIGMLMEGNNYASENVTSLSLLVGLLRLVKDKKRLNGLRYGYSQQPVSRLEDLKIAKRAIELAEHRLPKDEWPEYHDGKSGSYVGKQARKYQTWSVSSYLVAKMMIEILVKLAKKYLQKDE >EOX95978 pep chromosome:Theobroma_cacao_20110822:1:36213423:36215767:1 gene:TCM_005342 transcript:EOX95978 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 interacting partner 4 MDSAEGGSDGNSQTEQTQEPLIGGLPDDIALSCLARVPREYHTVLKCVSRRWRDLVHSEEWHVYRRKHNLDETWIYALCQDKFERVCCYVLDPDSSRRSWKQLRGLPSLSLRRKGIGFEVLGKKLYLMGGCRWSEDATDEAYCYDASIYAWTEANPLSTARCYFACEVLNQKIYAIGGLGLNSNVPHSWDTYDPCTNNWKSFSDPNIVPEIEDSFVLDGKIYIRCGRSAVTSHVYAVVYEPSSCTWQHADADMASGWQGPAVVLNGTLYVLDESSGTRLIMWQKEIREWVPLGRLSPLLTRPPCKLVAVGKSIYVIGKGRSTVVVDVSNAGNMGGLMVSSSIPKLTSNDEILSCKCLSI >EOX93239 pep chromosome:Theobroma_cacao_20110822:1:11467454:11474028:-1 gene:TCM_002078 transcript:EOX93239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 2B MPCPEKIYIFFTFSAFINCVGYVSRSVCMNEDTTPVHKVVSGVSGGSGKLAFFLATACEHWRYLYWCAVMATENVNNEVSVGEIEELETSNGIEKLEISNGNDEVSENSSSMQKDEDGGIGLKEDKFYLGNMEVAKKKKKKNKSKKKKEPLKQTNPPSIPVVELFPSREFPDGEIQQYKDDNLWRTTSEEKRELERLEKPKYTAVRQAAEVHRQVRKYIKSILKPGLLMTDLCETLENTVRKLISENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMYDPLLEASREATNTGIKESGIDVRLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFFAIETFASTGKGYVREDLECSHYMKNFDVGHIPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDAGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >EOX92000 pep chromosome:Theobroma_cacao_20110822:1:4852030:4867089:1 gene:TCM_001031 transcript:EOX92000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 4 MAKISTLPSTRKKSSQNSDREKLREMALEAVVFQQDPFSFGSKDFYSLGGSGSGPCSYNFGFQQEEEKAYGTEETLAKSRGVDFSATWGSSPSLMVQQQPLKEWDSNSSSPDNGFLTGGFSPAEPPAGAMSCRRKRRRTKSVKNKEEIENQRMTHIAVERNRRRQMNDYLAVLKSMMPTSYVQRGDQASIIGGAINFVKELEQLLQSLEARKRMEKRSDNSTLSSLFSDFFSFPQYSTGSTSTHRSYAAATSSQSMAEKRSSSVADVEVTMVESHANLKILSKRHPKQLLKMVAGLHSLGLCVLHLNVTSVEHMVLYSLSVKVEDNCELTTVNEIAAAVYEMVDRFQEEAAA >EOX92001 pep chromosome:Theobroma_cacao_20110822:1:4860169:4861642:1 gene:TCM_001031 transcript:EOX92001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 4 MALEAVVFQQDPFSFGSKDFYSLGGSGSGPCSYNFGFQQEEEKAYGTEETLAKSRGVDFSATWGSSPSLMVQQQPLKEWDSNSSSPDNGFLTGGFSPAEPPAGAMSCRRKRRRTKSVKNKEEIENQRMTHIAVERNRRRQMNDYLAVLKSMMPTSYVQRGDQASIIGGAINFVKELEQLLQSLEARKRMEKRSDNSTLSSLFSDFFSFPQYSTGSTSTHRSYAAATSSQSMAEKRSSSVADVEVTMVESHANLKILSKRHPKQLLKMVAGLHSLGLCVLHLNVTSVEHMVLYSLSVKVEDNCELTT >EOX92002 pep chromosome:Theobroma_cacao_20110822:1:4860244:4862004:1 gene:TCM_001031 transcript:EOX92002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 4 MALEAVVFQQDPFSFGSKDFYSLGGSGSGPCSYNFGFQQEEEKAYGTEETLAKSRGVDFSATWGSSPSLMVQQQPLKEWDSNSSSPDNGFLTGGFSPAEPPAGAMSCRRKRRRTKSVKNKEEIENQRMTHIAVERNRRRQMNDYLAVLKSMMPTSYVQRYSTGSTSTHRSYAAATSSQSMAEKRSSSVADVEVTMVESHANLKILSKRHPKQLLKMVAGLHSLGLCVLHLNVTSVEHMVLYSLSVKVEDNCELTTVNEIAAAVYEMVDRFQEEAAA >EOX92003 pep chromosome:Theobroma_cacao_20110822:1:4860169:4861642:1 gene:TCM_001031 transcript:EOX92003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 4 MALEAVVFQQDPFSFGSKDFYSLGGSGSGPCSYNFGFQQEEEKAYGTEETLAKSRGVDFSATWGSSPSLMVQQQPLKEWDSNSSSPDNGFLTGGFSPAEPPAGAMSCRRKRRRTKSVKNKEEIENQRMTHIAVERNRRRQMNDYLAVLKSMMPTSYVQRYSTGSTSTHRSYAAATSSQSMAEKRSSSVADVEVTMVESHANLKILSKRHPKQLLKMVAGLHSLGLCVLHLNVTSVEHMVLYSLSVKVEDNCELTT >EOX93362 pep chromosome:Theobroma_cacao_20110822:1:12344723:12352649:-1 gene:TCM_002204 transcript:EOX93362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere/kinetochore protein (ZW10), putative MDPLLDRINVRDLLSGHDLSDPSTPLSAPDLRLLINRLESHSLHIKSKVRSYLLSHYNDFASLFSLCNDAILKTDQISNSLSDILSLVSDRPIDVEIRELVDEIGRKTKEAREKRELLGLLRVIVGICERLEGARSALRNGRLSFVAEEVKELNKALRIGDEEEGEPIVYGLLRKQWADLFDEMQELLAKFVENAVRFDQEARSIRVKYRLRVDEIDGIELHTVLEAMDVAGILDYSLAKVADLIIKHVMTPAVNYELPVTFVEDVDQGSEGITEAVLKILPSQDCKIVDVDGDAIYARVIQVIRFIFKHICFENGSWIHSFGRLTWPRISDLIISNFLSKVVPEDASKLADFQKIIKCTAEFEIALKEMMFISASDNKDDRLSNFAENVEVHFAFRKRTEILGKARNLLLQCDFSVPQENTAKGSLLKNDGKVIHSSKHVDLLFSSERCVVSEAASQLMELVHQALQDVCLSSTRVALEFYHAARDAILLYEAVVPVKLERQLDGINQVAVLMHNDCLYLSQEILGLAFEYRSDFPDSIKEHAVFADMAPRFHLMAEEILQGQIQLVIFNLREAIDGADGFQNTHQMQQFESAKFSIDQVAFVLEKVHIIWEPLLLPLTYKRSMCMVLDSVFSRITRDILLLDDLAAEETLQLQRLIHLMLDNLSSLLKSLIAINSKGKSEEDSRRPIDDLVPSLRKIRKLAELLDMPLKSITSEWESAELLRCGFTMVELKDFIRAIFADSPLRKECLWRIENVSL >EOX95491 pep chromosome:Theobroma_cacao_20110822:1:34435420:34438909:1 gene:TCM_004976 transcript:EOX95491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MGNGTSRVVGCFVPFNGKSGVDLDFLEPLDEGLGHSFCYVRPTIFDSPAITPSNSERFTVDSSTLDSETLSGSFRHDAVEDPSGLQRQSKSFPETTFKTISGASVSANVSTARTGNQSAMFATDVQEPAASFESTSSFAAIPLQPVPRGSGPLNGFMSGPLERGFASGPLDRGGGFMSGPIEKGVMSGPLDATDKSNFSAPLARGRRRPRFQRFMRSVSGPMKRTFSKHSMGSGWMQRFFLHPVTQLAWQVKEPKFRSEHSRNCLEGGPSEGEYGNSRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSHLYKAIDKELEGLLWDYDDKSGIDLLKSEISKSGNPDTGLECSTEDQSNFCSDQELSSSIGEFLNRENSKGQSSNNEIVEENDDVRGKVEQNLSDCRNSNLRVESESVPHANLAGQGRKSMRLYELLQMEPWDGQGSLSISQMDRQGKGSCDCQPISDTLDCSSSNSKDDSSNIRGEDPTTSGEDGGVGLDFDNQSTAAAASMSGQRQSTRKSLIGSKIRKIYRKQKSLRKKLFPWSYDWHREEICVDEGIVESSGPIRRCKSGVVDHDAVLRAMARALESTEEAYMEMVEKALDINPELALMGSCVLVMLMKDQDVYVMNLGDSCAILAQERPNDRHPNPNFARDDLRHRNRSRESLVRMELDRISEESPMHNQHGQVSIINKNRDISICRLKMKAVQLSTDHSTSIEEEILRIKAEHPDDEKAISNDRVKGQLKVTRAFGAGFLKK >EOX95490 pep chromosome:Theobroma_cacao_20110822:1:34435730:34441646:1 gene:TCM_004976 transcript:EOX95490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MGNGTSRVVGCFVPFNGKSGVDLDFLEPLDEGLGHSFCYVRPTIFDSPAITPSNSERFTVDSSTLDSETLSGSFRHDAVEDPSGLQRQSKSFPETTFKTISGASVSANVSTARTGNQSAMFATDVQEPAASFESTSSFAAIPLQPVPRGSGPLNGFMSGPLERGFASGPLDRGGGFMSGPIEKGVMSGPLDATDKSNFSAPLARGRRRPRFQRFMRSVSGPMKRTFSKHSMGSGWMQRFFLHPVTQLAWQVKEPKFRSEHSRNCLEGGPSEGEYGNSRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSHLYKAIDKELEGLLWDYDDKSGIDLLKSEISKSGNPDTGLECSTEDQSNFCSDQELSSSIGEFLNRENSKGQSSNNEIVEENDDVRGKVEQNLSDCRNSNLRVESESVPHANLAGQGRKSMRLYELLQMEPWDGQGSLSISQMDRQGKGSCDCQPISDTLDCSSSNSKDDSSNIRGEDPTTSGEDGGVGLDFDNQSTAAAASMSGQRQSTRKSLIGSKIRKIYRKQKSLRKKLFPWSYDWHREEICVDEGIVESSGPIRRCKSGVVDHDAVLRAMARALESTEEAYMEMVEKALDINPELALMGSCVLVMLMKDQDVYVMNLGDSCAILAQERPNDRHPNPNFARDDLRHRNRSRESLVRMELDRISEESPMHNQHGQVSIINKNRDISICRLKMKAVQLSTDHSTSIEEEILRIKAEHPDDEKAISNDRVKGQLKVTRAFGAGFLKKPTCNEALLEMFQIDYVGDAPYVSCIPSVVHHRLSPSDRFLVLSSDGLYQYFSSEEVVAHVTWFMENVPEGDPAQYLVAELLFRAAKKNGMDFHELLDIPHGDRRKYHDDVSVMVVSLEGRIWRSSG >EOX92147 pep chromosome:Theobroma_cacao_20110822:1:5456879:5457716:-1 gene:TCM_001141 transcript:EOX92147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 family protein MATPAQLLLSFFLLLSFSSVALSDDEDCVYSVYIRTGSIFKGGTDSIISLRLYDLYGEFVEITNLEAWGGLMEPGHNYFERGNLDIFSGRGPCLVAPVCAMNLTSDGSGSHHGWYCNYVEVTMTGVHTACSQQQFTVEQWLALDTAPYELTAIRNYCPSAFGADRSDRKSSSTM >EOX94748 pep chromosome:Theobroma_cacao_20110822:1:31190896:31193152:1 gene:TCM_004360 transcript:EOX94748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein isoform 2 SLSLADCSAPVVESKTGVSFPSVLGNSLRLLGIGLRKKSILGLKNIDVYAFGVYANGDDLRKLLSEKYGKLSATELKDNKDFNNDLMEADICMTVRLQIVYSKLSIRSVRSAFEESVGSRLQKFGGSDNKELLQRFTSQFKDEYKIPRGSVIDLIRERSHILKTTIDGKEVGSIQSKLLCRSILDLYIGEDPFDRRAKEDVEVNAASFLQK >EOX94747 pep chromosome:Theobroma_cacao_20110822:1:31190621:31193344:1 gene:TCM_004360 transcript:EOX94747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein isoform 2 MVSLRFPFLFSQPTNLPGTPQNHHRNASSRHFSATLAGATGVGAAAAAGVAVFSQNLNHPFLQNAVNLLFRDHSSPPWGSLSLADCSAPVVESKTGVSFPSVLGNSLRLLGIGLRKKSILGLKNIDVYAFGVYANGDDLRKLLSEKYGKLSATELKDNKDFNNDLMEADICMTVRLQIVYSKLSIRSVRSAFEESVGSRLQKFGGSDNKELLQRFTSQFKDEYKIPRGSVIDLIRERSHILKTTTQQVSFIPSIFSYTTAVFASAYKFLDLQVDGKEVGSIQSKLLCRSILDLYIGEDPFDRRAKEDVEVNAASFLQK >EOX96582 pep chromosome:Theobroma_cacao_20110822:1:38317743:38322856:1 gene:TCM_005807 transcript:EOX96582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation proton exchanger MAHKPEVFMRGKYKIFFGMNNEFRICYNDTRPLTDNYWQSVNPVVKFVPTFMLQFTIIILFSRVLMLFLRPLRQPRFVAEILAGIVLGPSALGDTNWVSNNITPFEAVLCMETMANLGVTFYMFLVGLEMDLTPIRRIGKTALSIAIAGIILPMSAGAGLYYLVWEKQKQRAPFIGAFFWAIALSVTSFPDLARMLSDLKLMYTDLGKTALTAAAVSDVSSWFLLVGTISLINAHEKLHVAIPVILSMTAFWFMMRPLIAWLMKQAAASKETSTEGKYSDKHVYLILSGVVFCGYFTELCGAHSIFGAFMFGLMIPSGELGTTIMDKIEEFVVGILLPPTFLVTGMRTNLLYMFADFPLGLALLVILLACSAKIVSTLLVCLYFKCPKRDSLALGVLMNTKGVLVLIVLNEGRNMKGFDQQTFSWIVSALLIMTIIICPIVSFTHKSARHLKQYYHRNLQKSKDAPLRILACVYSTRNLSGLINLLQISNATRRSPITVFAVHLVELTGRATAMLIFHDKHKEADVGKNATREKAEAEQIVSAFESFENDNHAATVQPLTAVSPYDTMHEDVSNFALDKHANIILIPFHKQPSADGGWTDENLQHKQVNQNLLASAPCTIGLLVDRGLTSSVCSESQNGRLECRIAMLFVEGPDDREALAYAWRMVGTPGLNLTVVRFLPGKDVYDMSENAAEDDESGIFTAMFEREKEKQFDDDYVNEFRFRTMHDKSVAYIEKQVNSGDQIVSIVTSDYNNFDLYIVGRGHGTISPLTSGLSNWSDFPELGPLGETLVSTDFESPTSVLVVQQSAPPPSGSKRFGSSAPSTGIFGNSMQSAVDTFVNHRRSDDDY >EOX91580 pep chromosome:Theobroma_cacao_20110822:1:3171746:3178505:-1 gene:TCM_000719 transcript:EOX91580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MSSTLLFTTPQLPQPPINSSTLSSTTTTSSFPPSPDKLALLLDKSNSIHHLLQIHATLLRRGLHDHPILNFKLQRSYSSLGRLDYSLALFNRTLNPNIFFYTSIINAHALHGLSQEALFIYTRMLFEGVQPNEFTFSSILKSCSFEHGKMLHSQAIKFGFDSDLYVKTGLVDVYARGGDIGSARLVFERMLEKSLVSFTTMLTCYAKHGELEEARLLFDGMVKKDVVCWNVMIDGYAQHGMPNEALVLFRRMLAAKARPNEITILAVLSACAQLGALESGRWLHTYIDNNNIQVNVRVATALIDMYSKCGSLEDARLVFDRIPDKDVVAWNSMIIGYALHGFSQEALELFHGMCRTRLRPTDITFIGVLGACGHAGLVNEGWSLFYAMKDEYGIEPKIEHYGCMVNLLGRAGRLEEAYELAKNMKIEPDPILWGALLGACRLHGNIDLGEKIVEFLVGHNVANSGTYILLSNMYAAKGNWEGVARIRTLMKDSGVQKEPGCSSIEVNSKVHEFLAGDLKHPKSKEIYTMLEEMNGWLKAHGYTPQTDIVLHDIGDVQKEQSLEVHSEKLALAYGLICTQPGTPIKIVKNLRVCSDCHAATKLISKITGRKIVMRDRNRFHHFVNGSCSCGDYW >EOX92636 pep chromosome:Theobroma_cacao_20110822:1:7852110:7857294:1 gene:TCM_001552 transcript:EOX92636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesylcysteine lyase MSSKPSFSFLILFIFSLLPLIFCISTNAPPPPTVCIVGSGIGGSSVAHFLRHYFHPTPTQPNPPIINIFERRSIVGGRMATVSIGGETFEAGASILHPKNYHALNYTKLLGLKIKPPPSSEDDDSMSFGIWDGKKFVFKTLQVDSKFPVVQKIVSFVNSFYLFFRYGFSLLKMNSFVESTVDSFLKYYERPETRPVFETVDEMLKWAGLYNLTTRTLQDELIDIKLCPLLIEELITVITRINYGQSVYVSGLAGAVSLAGSGGGLWSIEGGNWQMAAGLINSSDVSLHLNEEIQSISYLGEYYELNSTKGNSYSCDITVVATPLDEVNIQFTPSISIPERNLQHTHATFVRGLLNPAYFGLKAVAEIPELVGTLEDPDLPFSSISVLKQHYEKDATYKIFSREPMADALLDSIFSARLHTIRINWGAYPHYEVPEVFAPFILDSQHLYYVNAFENAASTMETSAVAAENVARLILSRYFSEASMDSSNLKSFSPAADTSHWDL >EOX96294 pep chromosome:Theobroma_cacao_20110822:1:37230552:37233045:-1 gene:TCM_005569 transcript:EOX96294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein isoform 1 MTKSSFKIEHDFEKRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAERAIFIFVDSVLPPTGAIMSTIYDEKKDEDGFLYVTYSGENTFG >EOX96293 pep chromosome:Theobroma_cacao_20110822:1:37230813:37233062:-1 gene:TCM_005569 transcript:EOX96293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein isoform 1 MTKSSFKIEHDFEKRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAERAIFIFVDSVLPPTGAIMSTIYDEKKDEDGFLYVTYSGENTFG >EOX96292 pep chromosome:Theobroma_cacao_20110822:1:37230820:37232627:-1 gene:TCM_005569 transcript:EOX96292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein isoform 1 MTKSSFKIEHDFEKRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAERAIFIFVDSVLPPTGAIMSTIYDEKKDEDGFLYVTYSGENTFG >EOX96291 pep chromosome:Theobroma_cacao_20110822:1:37230820:37232627:-1 gene:TCM_005569 transcript:EOX96291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein isoform 1 MTKSSFKIEHDFEKRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAERAIFIFVDSVLPPTGAIMSTIYDEKKDEDGFLYVTYSGENTFG >EOX92569 pep chromosome:Theobroma_cacao_20110822:1:7551520:7553900:1 gene:TCM_001506 transcript:EOX92569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGAFKAPIFHLLSSANPNTETLKNPLLNPETTTRRFLLFSLPLSTVSTLFILPSSTPGTRGCSSKLEPSYMSALAASFNPVSQAERDASAVITRRVSEGVELLERGKELQAQGDFPKALQIFTTVVENYKDFAFSDYARVGRALALYEVGDREEAIAEMEDVSISLKGYPEVHAALAAALYVDKHAPLLAENQFAIATLLDPHFTDISYVVETKHWPPSLVSSLQHFITLS >EOX92548 pep chromosome:Theobroma_cacao_20110822:1:7405838:7407085:-1 gene:TCM_001481 transcript:EOX92548 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H:plastoquinone dehydrogenase complex subunit O isoform 2 MAFSAAALSHASFSCLSSFPQTLRRRNHLRFSSIRLIKAAAAEPEKEKATTTTQTKNAEGSSNAQPQTPAAQPRPKKPVYSMKKGQIVRVDKEKYLNSVNYLSVGHPPYYKGLDYIYEDRGEVLL >EOX92547 pep chromosome:Theobroma_cacao_20110822:1:7404713:7407107:-1 gene:TCM_001481 transcript:EOX92547 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H:plastoquinone dehydrogenase complex subunit O isoform 2 MAFSAAALSHASFSCLSSFPQTLRRRNHLRFSSIRLIKAAAAEPEKEKATTTTQTKNAEGSSNAQPQTPAAQPRPKKPVYSMKKGQIVRVDKEKYLNSVNYLSVGHPPYYKGLDYIYEDRGEVLDLRNFETGEYALVAWVGIPTAPAWLPTDMLIKSEKLDYERL >EOX92518 pep chromosome:Theobroma_cacao_20110822:1:7270591:7273205:-1 gene:TCM_001460 transcript:EOX92518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase family protein MENMVVIVGAGPSGLATSVCLSAHSIPHAILEREDIYASLWKKRAYDRLKLHLAKEFCSLPYMPHSADSPTYIPKDMFVEYLDEYVSTFNIQPQYHRSVESACYDEVDGKWRIEARNMQSGDVEVYVAEFLVIASGENSAKYIPDLPGLDSFKGEMIHSNEYKSGSKYENKDVLVVGCGNSGMEISYDLLTFGAQTSIVIRNPFHVVSKDMVRLGMIISKYLPLFVVDFMVLLMANIKYGDLSKYGIRRPKEGPFYLKATAGRAPVIDVGTVDEIKSKEIKVVPGISSINGKKVLFEDGAEREFDAIVFATGYRSIANGWLKDYEHVLNETGLPKNNFPHHWKGEKNLYCCGLSRRGLFGVSMDAKAIAEDIKRVIDEKNGKEKGPRKILSLLPYC >EOX96633 pep chromosome:Theobroma_cacao_20110822:1:38464957:38469925:-1 gene:TCM_005844 transcript:EOX96633 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein / notchless protein, putative isoform 1 MEVETEQGETGKSVMCVLTDPEGITLGGTMYLPQNVGPIQLQQIVNTLLKNEEKLPYAFYISNQELLVPLGTYLEKNKVSMEKTLSIVYQPQAVFRIRPVNRCSATIAGHTEAVLSVAFSPDGRQLASGSGDTTVRLWDLNTQTPMFTCTGHKNWVLCIAWSLDGKHLVSGSKSGELQCWDPHTGKPAGNPLTGHKKWITGISWEPLHLNAPCRRFVSASKDGDARIWDISLKKCVICLSGHTLAVTCVKWGGDGVIYTGSQDCTIKVWETSQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTGKQYSSPEEMKKVALERYNKMKGNAPERLVSGSDDFTMFLWEPAVSKHHKVRMTGHQQLVNHVYFSPDGQWVASASFDKSVKLWNGTTGEFVAAFRGHVGPVYQISWSADSRLLLSGSKDSTLKVWDIRTKKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMG >EOX96634 pep chromosome:Theobroma_cacao_20110822:1:38464466:38469925:-1 gene:TCM_005844 transcript:EOX96634 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein / notchless protein, putative isoform 1 MEVETEQGETGKSVMCVLTDPEGITLGGTMYLPQNVGPIQLQQIVNTLLKNEEKLPYAFYISNQELLVPLGTYLEKNKVSMEKTLSIVYQPQAVFRIRPVNRCSATIAGHTEAVLSVAFSPDGRQLASGSGDTTVRLWDLNTQTPMFTCTGHKNWVLCIAWSLDGKHLVSGSKSGELQCWDPHTGKPAGNPLTGHKKWITGISWEPLHLNAPCRRFVSASKDGDARIWDISLKKCVICLSGHTLAVTCVKWGGDGVIYTGSQDCTIKVWETSQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTGKQYSSPEEMKKVALERYNKMKGNAPERLVSGSDDFTMFLWEPAVSKHHKVRMTGHQQLVNHVYFSPDGQWVASASFDKSVKLWNGTTGEFVAAFRGHVGPVYQISSWSADSRLLLSGSKDSTLKVWDIRTKKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMG >EOX93141 pep chromosome:Theobroma_cacao_20110822:1:10821036:10823450:-1 gene:TCM_001990 transcript:EOX93141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding,transcription regulators, putative MSCKCGSRSTFRDDVTGNLVCSECYTVLDFDNYDAQIGGINGPQGTNIHIGYAGTGSLFSYKDKKIFQAKTLIHEYTLNLNMSYSESDVTSMINEITEGQFGGGDWFNVLIGASCYVVMRRDNRFLPAAEVAEVVGCDVYELGRMIARVVKFLNLTLPEVSIAGLFERELNNSMRLANVDGEKKERMRKQGIFLVNCSVKWFLTTGRRPLPIVAAVMAFVAELNGVEGLKIEDVAKEVHATVATCKLRYKELLEALVKVAQVLPWGKDVTVKNVVKYAPFVIRYMEMKSMEEPGAVERGENFDLDDVVSECLRKVAEFGDDKDYIEGDSQYFDGRDRSEFPRIYNDNNIGNLKLSHECLSMIYTNFLNEVDDDRLEGQSGKVHGRKSRGLELHACKDWWSGKSELSKKLLLKQILEKEIGLDAMPPSFIAGCKANERRRKKINAAKVRINKIIDPSNSGSDGSGNFCSSEVVCAGKKRKRRQASEIDWEDFVIETLLLHQVKEEDIEKGHYKALLGLHVFNSGMK >EOX94911 pep chromosome:Theobroma_cacao_20110822:1:31992168:31995712:1 gene:TCM_004512 transcript:EOX94911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase activating protein with PAK-box/P21-Rho-binding domain MTGLVMVTKGGGCGGGGGGGGGGKGGAKGGVKSCEEEQQNQISVVAVLLAALRKSMVSCRVDRQDEVMSSTVHHMEIGWPTNVRHITHVTFDRFNGFLGLPVELQVEIPGRVPSASASVFGVSAESMQCSFDSKGNSVPTILLLLQERLFSQGGLKAEGIFRINPENSQEEHVRDQLNRGIVPDNIDVHCLAGLIKAWFRELPSGVLDGLSPEQVLQCNTEEDCIELVQQLKPTEAALLNWAVDLMADVVEEEESNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLIMKTLREREETATGGYSPISSHSCDGPTDEEFDSQQEMDTSCELRGPTSDYDNALYSNYSGDEDEVESLGEIEECFLRQLDENKNVPNSCLEESADRLQRTRASPRSCSGGNVESGISFTDSKNENSALSTSDGEDSGASDGLKAQDHGICTKSLAEGCQNTDDVEMIDQLVESKTPNPLSLSTAG >EOX96171 pep chromosome:Theobroma_cacao_20110822:1:36851005:36854258:-1 gene:TCM_005480 transcript:EOX96171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 704, subfamily A, polypeptide 2, putative MEIFSTIFVLISLPLLFLFLILSFVLIRIFTGKSINDPKLLDFAYSLIFTVDTRNVEHILKTNFDKYAKGAYHQELVRDLWGQGIFAVDGDKWRQQRKLASFEFSTRVLRDFSSSVFRKNAVKLVRAVSELTRLGQAMKFQDMLMKYTVESMFKVGFGIDLNCMEGSSEEGTTFVKAFDDANELVYMRYIDPFWKLKRALNIGSEASLKKNIKVIDNFIHNIISTKKKLLPRSLFGCNSDIVMFSCIFQNVKEDILSRFLIESVKNPETMTDQYLRDIILNFLIAGKDASANTLSRFVYMLCKHRLIQEKVAQEVMDIACSQGNDASVDDFITTITDATLEKMHYLHAALTETLRLYPTVPPDGRCSLADDILPMDTKSGKEMRLPIWPIPWVECLPFGERTLRFLDQNDGLKTEFSNPNRHSNSWHSIIYLLAFALLPAGGPSSLFRQGIRVLAEKIFSIALIRYFRFKLADDTKIATYRVTFTLQMRGGLQLYAVPRTT >EOX92525 pep chromosome:Theobroma_cacao_20110822:1:7288606:7304835:-1 gene:TCM_001466 transcript:EOX92525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-OH kinase beta1 isoform 3 MVRLLGLTRGESDLLPREITTSRTPLASESGENGWLIRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLSGIESYLFQICYMMVHKPSPSLDKFVIDMCSKSLKMAMKVHWFLLAELEDSDDNEGISRIQEKCQIAATLMGEWTPLVRPPNAGSSPGSKNQVLNRILSSKQRFLSLTSSPPTQRSLSFSPSLGNHLQEDGGNQLLSPEENKIFKKFIPGPKVRDALLFRKSAEKDEEENEKDGFFKRLLRDNRGGEDEELTSSSDGFFKRLLRDSKGEEEEMTSSSEGFFKKLFRDSKSDSDDKLVSKPAEDDEKEGFFKKLFKDKFEDKKDVNDRIDDEHMVNSEEKASKSAEDDEKEGFFRKFFKDKFEDKKDGNDKIDDGNVHGDFEEKISKSAEDDEKEGFFRKFFKDRFEDKKDGNDKNDDGEEEESSDFPLFRRLFRVHPEENKTSTANERSNSGGLFESSPGTENFFRKLFRDRDRSIEDSELFSSKKQKEKHPGSPKQQNDKSNAKPPLPNNSISQFRKGAYHDSLDFVLSLCETSYGLVDVFPIEDRKTALRESLAEINLHVAAAQNNGGVCFPMGKGMYRVVHIPEDEAVLLNSREKAPFLICVEVLKCELPSSTKDASNAQKLSRGGIPLANGDALLPKPPPWAYPLWTAQEVYRNSSDRMSSSTAQAIDQAMTHKSEAKVKFVNVSFSVEKQSVSQSESVEAPDLQSGKHRGNLGAVSVQGGQDITHKLRAAYASDLEWVRVVLTADPGLRMEDIEGQGLPRRKEHRRVPSTVAIEEVKAAAAKGEAPPGLPLKGAGQDSSDAQPRANGGMPKAGDALSGELWKVKKERIRKASVYGKLPGWDLRSVIVKSGDDCRQEHLAVQLVSHFYDIFQEAGLPLWLRPNEVLVTSSYTALIETITDTASLHSIKSRYPNISSLREFFAAKYKENSPSFKLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLLDEDGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQVT >EOX92524 pep chromosome:Theobroma_cacao_20110822:1:7288606:7304835:-1 gene:TCM_001466 transcript:EOX92524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-OH kinase beta1 isoform 3 MVRLLGLTRGESDLLPREITTSRTPLASESGENGWLIRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLSGIESYLFQICYMMVHKPSPSLDKFVIDMCSKSLKMAMKVHWFLLAELEDSDDNEGISRIQEKCQIAATLMGEWTPLVRPPNAGSSPGSKNQVLNRILSSKQRFLSLTSSPPTQRSLSFSPSLGNHLQEDGGNQLLSPEENKIFKKFIPGPKVRDALLFRKSAEKDEEENEKDGFFKRLLRDNRGGEDEELTSSSDGFFKRLLRDSKGEEEEMTSSSEGFFKKLFRDSKSDSDDKLVSKPAEDDEKEGFFKKLFKDKFEDKKDVNDRIDDEHMVNSEEKASKSAEDDEKEGFFRKFFKDKFEDKKDGNDKIDDGNVHGDFEEKISKSAEDDEKEGFFRKFFKDRFEDKKDGNDKNDDGEEEESSDFPLFRRLFRVHPEENKTSTANERSNSGGLFESSPGTENFFRKLFRDRDRSIEDSELFSSKKQKEKHPGSPKQQNDKSNAKPPLPNNSISQFRKGAYHDSLDFVLSLCETSYGLVDVFPIEDRKTALRESLAEINLHVAAAQNNGGVCFPMGKGMYRVVHIPEDEAVLLNSREKAPFLICVEVLKCELPSSTKDASNAQKLSRGGIPLANGDALLPKPPPWAYPLWTAQEVYRNSSDRMSSSTAQAIDQAMTHKSEAKVKFVNVSFSVEKQSVSQSESVEAPDLQSGKHRGNLGAVSVQGGQDITHKLRAAYASDLEWVRVVLTADPGLRMEDIEGQGLPRRKEHRRVPSTVAIEEVKAAAAKGEAPPGLPLKGAGQDSSDAQPRANGGMPKAGDALSGELWKVKKERIRKASVYGKLPGWDLRSVIVKSGDDCRQEHLAVQLVSHFYDIFQEAGLPLWLRPNEVLVTSSYTALIETITDTASLHSIKSRYPNISSLREFFAAKYKENSPSFKLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLLDEDGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQIRKTKS >EOX92523 pep chromosome:Theobroma_cacao_20110822:1:7286837:7304835:-1 gene:TCM_001466 transcript:EOX92523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-OH kinase beta1 isoform 3 MVRLLGLTRGESDLLPREITTSRTPLASESGENGWLIRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLSGIESYLFQICYMMVHKPSPSLDKFVIDMCSKSLKMAMKVHWFLLAELEDSDDNEGISRIQEKCQIAATLMGEWTPLVRPPNAGSSPGSKNQVLNRILSSKQRFLSLTSSPPTQRSLSFSPSLGNHLQEDGGNQLLSPEENKIFKKFIPGPKVRDALLFRKSAEKDEEENEKDGFFKRLLRDNRGGEDEELTSSSDGFFKRLLRDSKGEEEEMTSSSEGFFKKLFRDSKSDSDDKLVSKPAEDDEKEGFFKKLFKDKFEDKKDVNDRIDDEHMVNSEEKASKSAEDDEKEGFFRKFFKDKFEDKKDGNDKIDDGNVHGDFEEKISKSAEDDEKEGFFRKFFKDRFEDKKDGNDKNDDGEEEESSDFPLFRRLFRVHPEENKTSTANERSNSGGLFESSPGTENFFRKLFRDRDRSIEDSELFSSKKQKEKHPGSPKQQNDKSNAKPPLPNNSISQFRKGAYHDSLDFVLSLCETSYGLVDVFPIEDRKTALRESLAEINLHVAAAQNNGGVCFPMGKGMYRVVHIPEDEAVLLNSREKAPFLICVEVLKCELPSSTKDASNAQKLSRGGIPLANGDALLPKPPPWAYPLWTAQEVYRNSSDRMSSSTAQAIDQAMTHKSEAKVKFVNVSFSVEKQSVSQSESVEAPDLQSGKHRGNLGAVSVQGGQDITHKLRAAYASDLEWVRVVLTADPGLRMEDIEGQGLPRRKEHRRVPSTVAIEEVKAAAAKGEAPPGLPLKGAGQDSSDAQPRANGGMPKAGDALSGELWKVKKERIRKASVYGKLPGWDLRSVIVKSGDDCRQEHLAVQLVSHFYDIFQEAGLPLWLRPNEVLVTSSYTALIETITDTASLHSIKSRYPNISSLREFFAAKYKENSPSFKLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLLDEDGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >EOX93946 pep chromosome:Theobroma_cacao_20110822:1:17665114:17667166:-1 gene:TCM_002957 transcript:EOX93946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteolysis 1, putative isoform 1 MVKVNSQKGSPKYPALPDIKHSKREMGCQPPKFRVEGNPMDSSETCLMEDQSPEALLNCEEIPDNFVCCVCLDLLYKPIVMRCGHIACFWCVQKSMSSRYESHCPICRNPYSHFPGICQMLHFLLLKLFPITCKKRADEILDEEKKAGYFSPEFNSHACELHADGEFNYLRNPAHSSAMCFRSSSYLNSHATSKDNLCKTAGQVESHDNDTIHTKQNSDRDLSQIKPVARQEKEQVSVADLLCAACKQLLFRPVILNCGHVYCQTCIIIPADEMLRCQVCQGLHPRGIPKVCLTLDQFLAAKFPKEYALSRDAVQLKQVSSKHERATTFFRFLGSW >EOX93945 pep chromosome:Theobroma_cacao_20110822:1:17663524:17667251:-1 gene:TCM_002957 transcript:EOX93945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteolysis 1, putative isoform 1 MVKVNSQKGSPKYPALPDIKHSKREMGCQPPKFRVEGNPMDSSETCLMEDQSPEALLNCEEIPDNFVCCVCLDLLYKPIVMRCGHIACFWCVQKSMSSRYESHCPICRNPYSHFPGICQMLHFLLLKLFPITCKKRADEILDEEKKAGYFSPEFNSHACELHADGEFNYLRNPAHSSAMCFRSSSYLNSHATSKDNLCKTAGQVESHDNDTIHTKQNSDRDLSQIKPVARQEKEQVSVADLLCAACKQLLFRPVILNCGHVYCQTCIIIPADEMLRCQVCQGLHPRGIPKVCLTLDQFLAAKFPKEYALSRDAVQLKQVSSKHERATTCSLEAGKQDFSPLQLPSRDHPSFSVQPGAYIHIRVGCDACGMSPIVGDRYRCKDCTEKIGFDLCGDCYKTRPKLPGRFNQRHTPEHKFELMKRGIF >EOX95588 pep chromosome:Theobroma_cacao_20110822:1:34727376:34739073:-1 gene:TCM_005038 transcript:EOX95588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 4 MELDIDSLLDSHLCSDSEDDSNSIIPRRTIDEILNDSDSSTSSSSPSSPPSIRPYSTNHLPRSKTVPQDFAESLKGGDAVSQGPAESSKPSPFSRTGDPIWRVSSSSSSSKQLPTLFGGVRSNAKPGAALAAAAAASRSLPTPHAAAIKSRRAGSGGVLQKVIDSDDHEVSSLNGESIGVSSESSVSGEKLEIDDSNDNNKMGDFQSADTHENGNVVDNKDKESETDKVIEQVDACSKLDFDENLTEEVTISGSVEVFDKEIQSVFVDETSMVLDENDSDKKSVSALTGVDQERSIDKDLVMVDVERENLTNDTGSREDGEAGVGDSADIGGGDDASSISDISELVEERLEQLESERIRKRAEKDSRATMKPLEFAEELEMKQASTGLHWEEGAAAQPMRLEGVRRGSTTLGYFDVEANNAITRTLTSQAFRRDHGSPQVLAVHLNFIAVGMTKGVIILVPSKYSAHHADNMDPKMVILGLQGDRFLAPLTSLCFNQLGDLLLAGYGDGHVTVWDVQRASAAKVITGEHTAPVIHTLFLGQDSQVTRQFKAVTGDSKGLVLLHAFSVVPLLNRFSIKTQCLLDGQRTGTVLSASPLLFDDSCGSTLMTSQGNATSSIGSKMGGVVGGDAGWKLFAEGSSLVEEGVVIFVTYQTALVVRLTPTLEVYAQLSRPDGVREGSMPYTAWTCMTQPRGSSSENTQSETAERVSLLALAWDRKVQVAKLVKSDLKVYGKWSLDSSAIGVTWLDDQMMVVLTVTGQLYLFARDGTVIHQTSFAVDGSGGDDLVAYHTHFINIFGNPEKAYHNCVTVRGASIYILGPMHLAVCRLLPWKERIQVLRKAGDWMGALNMAMTLYDGQAHGVIDLPRNLDLVQEAIMPYLVELLLSYVDEVFSYISVAFCNQIGKKEQPDDPESRNGSVHSEIKEQFTRVGGVAVEFCVHIKRTDILFDEIFSKFMAIQQRETFLELLEPYILKDMLGCLPPEIMQALVEHYSSKGWLQRVEQCVLHMDISSLDFNQVVILCREHGLYGALVYLFNKGLDDFKAPLEELLVVLRNSQRESASGLGYRMLVYLKYCFTGLAFPPGQGTLPPSRLSSLRTELLQFLLEVSDGQDRKSASTLAFGGAYLNLYYLLELDTEATLDVLKCAFIEDKSPKPDSSFSESGNANVEARKENDLMAESDTILVQKTVDALVHVLDKNVSRTDGLPSNDDTESIDAWPSKKDMGYLFEFIAYYVACGRAKISKIVLNQILEYLTLENNIPQSVSTISTETSKRREMQLLALLEVVPESDWDQSYVLQLCENAHFCQVCGLIHAIRRQYLAALDSYMKDVEEPIHAFVFINNTLMQLSGGDHATFRSAVISRIPVLVNLSREGTFFLVIDHFNDESSHILSELNSHPKSLFLYLKTVIEVHLSGTLNFSYLREDEIVDVFSGRRGKDQSEELEAYLERISNFPKFLRSNPLNVTDDMIELYLELLCQFERDSVLKFLETFDSYRVEHCLRLCQEYGIIDGAAFLLERVGDVGSALLLTLSGLNDKFTQLDTAVGSGVSKVSLGGSASMQHFNSVLKMKEVCSIYLLDYGIVKIFYVIFVG >EOX95587 pep chromosome:Theobroma_cacao_20110822:1:34727657:34739073:-1 gene:TCM_005038 transcript:EOX95587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 4 MELDIDSLLDSHLCSDSEDDSNSIIPRRTIDEILNDSDSSTSSSSPSSPPSIRPYSTNHLPRSKTVPQDFAESLKGGDAVSQGPAESSKPSPFSRTGDPIWRVSSSSSSSKQLPTLFGGVRSNAKPGAALAAAAAASRSLPTPHAAAIKSRRAGSGGVLQKVIDSDDHEVSSLNGESIGVSSESSVSGEKLEIDDSNDNNKMGDFQSADTHENGNVVDNKDKESETDKVIEQVDACSKLDFDENLTEEVTISGSVEVFDKEIQSVFVDETSMVLDENDSDKKSVSALTGVDQERSIDKDLVMVDVERENLTNDTGSREDGEAGVGDSADIGGGDDASSISDISELVEERLEQLESERIRKRAEKDSRATMKPLEFAEELEMKQASTGLHWEEGAAAQPMRLEGVRRGSTTLGYFDVEANNAITRTLTSQAFRRDHGSPQVLAVHLNFIAVGMTKGVIILVPSKYSAHHADNMDPKMVILGLQGDRFLAPLTSLCFNQLGDLLLAGYGDGHVTVWDVQRASAAKVITGEHTAPVIHTLFLGQDSQVTRQFKAVTGDSKGLVLLHAFSVVPLLNRFSIKTQCLLDGQRTGTVLSASPLLFDDSCGSTLMTSQGNATSSIGSKMGGVVGGDAGWKLFAEGSSLVEEGVVIFVTYQTALVVRLTPTLEVYAQLSRPDGVREGSMPYTAWTCMTQPRGSSSENTQSETAERVSLLALAWDRKVQVAKLVKSDLKVYGKWSLDSSAIGVTWLDDQMMVVLTVTGQLYLFARDGTVIHQTSFAVDGSGGDDLVAYHTHFINIFGNPEKAYHNCVTVRGASIYILGPMHLAVCRLLPWKERIQVLRKAGDWMGALNMAMTLYDGQAHGVIDLPRNLDLVQEAIMPYLVELLLSYVDEVFSYISVAFCNQIGKKEQPDDPESRNGSVHSEIKEQFTRVGGVAVEFCVHIKRTDILFDEIFSKFMAIQQRETFLELLEPYILKDMLGCLPPEIMQALVEHYSSKGWLQRVEQCVLHMDISSLDFNQVVILCREHGLYGALVYLFNKGLDDFKAPLEELLVVLRNSQRESASGLGYRMLVYLKYCFTGLAFPPGQGTLPPSRLSSLRTELLQFLLEVSDGQDRKSASTLAFGGAYLNLYYLLELDTEATLDVLKCAFIEDKSPKPDSSFSESGNANVEARKENDLMAESDTILVQKTVDALVHVLDKNVSRTDGLPSNDDTESIDAWPSKKDMGYLFEFIAYYVACGRAKISKIVLNQILEYLTLENNIPQSVSTISTETSKRREMQLLALLEVVPESDWDQSYVLQLCENAHFCQVCGLIHAIRRQYLAALDSYMKDVEEPIHAFVFINNTLMQLSGGDHATFRSAVISRIPVLVNLSREGTFFLVIDHFNDESSHILSELNSHPKSLFLYLKTVIEVHLSGTLNFSYLREDEIVDVFSGRRGKDQSEELEAYLERISNFPKFLRSNPLNVTDDMIELYLELLCQFERDSVLKFLETFDSYRVEHCLRLCQEYGIIDGAAFLLERVGDVGSALLLTLSGLNDKFTQLDTAVGSGVSKVSLGGSASMQHFNSVLKMKEVNDICNALRACIELCQRNTPRLNPEESEMLWFRLLDSFCEPLMGSYCEERVSEKENHVGMLVESLGSQEEEDCIIKWRIPKSHKGSHILRKLFSQFIKEIVEGMIGYVRLPTIMSKLLSDNGSQEFGDFKLTILGMLGTYGFERRILDTAKSLIEDDTFYTMSLLKKGASHGYAPRSLLCCICNSILTKNSSSFRVRVFNCGHATHLQCELLENEASTRGFSSGCPVCLPKKNTQKSRNKSALTENSLVSTLPSRTLPAQGSTLYPHESDALDNSHGLQQISRFEILSNLQKDQRLAQIEILPQLKLAPPAIYHEKVKKRSELLAGESSSHLGAIEKPSKSKQLRELKLKGSSSLRFPLKSSIFAGKEKTSKR >EOX95586 pep chromosome:Theobroma_cacao_20110822:1:34726673:34739563:-1 gene:TCM_005038 transcript:EOX95586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 4 MELDIDSLLDSHLCSDSEDDSNSIIPRRTIDEILNDSDSSTSSSSPSSPPSIRPYSTNHLPRSKTVPQDFAESLKGGDAVSQGPAESSKPSPFSRTGDPIWRVSSSSSSSKQLPTLFGGVRSNAKPGAALAAAAAASRSLPTPHAAAIKSRRAGSGGVLQKVIDSDDHEVSSLNGESIGVSSESSVSGEKLEIDDSNDNNKMGDFQSADTHENGNVVDNKDKESETDKVIEQVDACSKLDFDENLTEEVTISGSVEVFDKEIQSVFVDETSMVLDENDSDKKSVSALTGVDQERSIDKDLVMVDVERENLTNDTGSREDGEAGVGDSADIGGGDDASSISDISELVEERLEQLESERIRKRAEKDSRATMKPLEFAEELEMKQASTGLHWEEGAAAQPMRLEGVRRGSTTLGYFDVEANNAITRTLTSQAFRRDHGSPQVLAVHLNFIAVGMTKGVIILVPSKYSAHHADNMDPKMVILGLQGDRFLAPLTSLCFNQLGDLLLAGYGDGHVTVWDVQRASAAKVITGEHTAPVIHTLFLGQDSQVTRQFKAVTGDSKGLVLLHAFSVVPLLNRFSIKTQCLLDGQRTGTVLSASPLLFDDSCGSTLMTSQGNATSSIGSKMGGVVGGDAGWKLFAEGSSLVEEGVVIFVTYQTALVVRLTPTLEVYAQLSRPDGVREGSMPYTAWTCMTQPRGSSSENTQSETAERVSLLALAWDRKVQVAKLVKSDLKVYGKWSLDSSAIGVTWLDDQMMVVLTVTGQLYLFARDGTVIHQTSFAVDGSGGDDLVAYHTHFINIFGNPEKAYHNCVTVRGASIYILGPMHLAVCRLLPWKERIQVLRKAGDWMGALNMAMTLYDGQAHGVIDLPRNLDLVQEAIMPYLVELLLSYVDEVFSYISVAFCNQIGKKEQPDDPESRNGSVHSEIKEQFTRVGGVAVEFCVHIKRTDILFDEIFSKFMAIQQRETFLELLEPYILKDMLGCLPPEIMQALVEHYSSKGWLQRVEQCVLHMDISSLDFNQVVILCREHGLYGALVYLFNKGLDDFKAPLEELLVVLRNSQRESASGLGYRMLVYLKYCFTGLAFPPGQGTLPPSRLSSLRTELLQFLLEVSDGQDRKSASTLAFGGAYLNLYYLLELDTEATLDVLKCAFIEDKSPKPDSSFSESGNANVEARKENDLMAESDTILVQKTVDALVHVLDKNVSRTDGLPSNDDTESIDAWPSKKDMGYLFEFIAYYVACGRAKISKIVLNQILEYLTLENNIPQSVSTISTETSKRREMQLLALLEVVPESDWDQSYVLQLCENAHFCQVCGLIHAIRRQYLAALDSYMKDVEEPIHAFVFINNTLMQLSGGDHATFRSAVISRIPVLVNLSREGTFFLVIDHFNDESSHILSELNSHPKSLFLYLKTVIEVHLSGTLNFSYLREDEIVDVFSGRRGKDQSEELEAYLERISNFPKFLRSNPLNVTDDMIELYLELLCQFERDSVLKFLETFDSYRVEHCLRLCQEYGIIDGAAFLLERVGDVGSALLLTLSGLNDKFTQLDTAVGSGVSKVSLGGSASMQHFNSVLKMKEVNDICNALRACIELCQRNTPRLNPEESEMLWFRLLDSFCEPLMGSYCEERVSEKENHVGMLVESLGSQEEEDCIIKWRIPKSHKGSHILRKLFSQFIKEIVEGMIGYVRLPTIMSKLLSDNGSQEFGDFKLTILGMLGTYGFERRILDTAKSLIEDDTFYTMSLLKKGASHGYAPRSLLCCICNSILTKNSSSFRVRVFNCGHATHLQCELLENEASTRGFSSGCPVCLPKKNTQKSRNKSALTENSLVSTLPSRTLPAQGSTLYPHESDALDNSHGLQQISRFEILSNLQKDQRLAQIEILPQLKLAPPAIYHEKVKKRSELLAGESSSHLGAIEKPSKSKQLRELKLKGSSSLRFPLKSSIFGKEKTSKR >EOX95589 pep chromosome:Theobroma_cacao_20110822:1:34730747:34738942:-1 gene:TCM_005038 transcript:EOX95589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 4 MELDIDSLLDSHLCSDSEDDSNSIIPRRTIDEILNDSDSSTSSSSPSSPPSIRPYSTNHLPRSKTVPQDFAESLKGGDAVSQGPAESSKPSPFSRTGDPIWRVSSSSSSSKQLPTLFGGVRSNAKPGAALAAAAAASRSLPTPHAAAIKSRRAGSGGVLQKVIDSDDHEVSSLNGESIGVSSESSVSGEKLEIDDSNDNNKMGDFQSADTHENGNVVDNKDKESETDKVIEQVDACSKLDFDENLTEEVTISGSVEVFDKEIQSVFVDETSMVLDENDSDKKSVSALTGVDQERSIDKDLVMVDVERENLTNDTGSREDGEAGVGDSADIGGGDDASSISDISELVEERLEQLESERIRKRAEKDSRATMKPLEFAEELEMKQASTGLHWEEGAAAQPMRLEGVRRGSTTLGYFDVEANNAITRTLTSQAFRRDHGSPQVLAVHLNFIAVGMTKGVIILVPSKYSAHHADNMDPKMVILGLQGDRFLAPLTSLCFNQLGDLLLAGYGDGHVTVWDVQRASAAKVITGEHTAPVIHTLFLGQDSQVTRQFKAVTGDSKGLVLLHAFSVVPLLNRFSIKTQCLLDGQRTGTVLSASPLLFDDSCGSTLMTSQGNATSSIGSKMGGVVGGDAGWKLFAEGSSLVEEGVVIFVTYQTALVVRLTPTLEVYAQLSRPDGVREGSMPYTAWTCMTQPRGSSSENTQSETAERVSLLALAWDRKVQVAKLVKSDLKVYGKWSLDSSAIGVTWLDDQMMVVLTVTGQLYLFARDGTVIHQTSFAVDGSGGDDLVAYHTHFINIFGNPEKAYHNCVTVRGASIYILGPMHLAVCRLLPWKERIQVLRKAGDWMGALNMAMTLYDGQAHGVIDLPRNLDLVQEAIMPYLVELLLSYVDEVFSYISVAFCNQIGKKEQPDDPESRNGSVHSEIKEQFTRVGGVAVEFCVHIKRTDILFDEIFSKFMAIQQRETFLELLEPYILKDMLGCLPPEIMQALVEHYSSKGWLQRVEQCVLHMDISSLDFNQVVILCREHGLYGALVYLFNKGLDDFKAPLEELLVVLRNSQRESASGLGYRMLVYLKYCFTGLAFPPGQGTLPPSRLSSLRTELLQFLLEVSDGQDRKSASTLAFGGAYLNLYYLLELDTEATLDVLKCAFIEDKSPKPDSSFSESGNANVEARKENDLMAESDTILVQKTVDALVHVLDKNVSRTDGLPSNDDTESIDAWPSKKDMGYLFEFIAYYVACGRAKISKIVLNQILEYLTLENNIPQSVSTISTETSKRREMQLLALLEVVPESDWDQSYVLQLCENAHFCQVCGLIHAIRRQYLAALDSYMKDVEEPIHAFVFINNTLMQLSGGDHATFRSAVISRIPVLVNLSREGTFFLVIDHFNDESSHILSELNSHPKSLFLYLKTVIEVHLSGTLNFSYLREDEIVDVFSGRRGKDQSEELEAYLERISNFPKFLRSNPLNVTDDMIELYLEVST >EOX93546 pep chromosome:Theobroma_cacao_20110822:1:13885149:13888203:1 gene:TCM_002435 transcript:EOX93546 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1-interacting protein 4 family protein MKGLVLLKATPRISFQSVPSFNHRPNFFICRSNFPGIRAQQSETKSPTREEEEDALKVKEWELECSKTKSLRYKLIPQFCLEIKSVIKDKGRPLPKFGEWNVNDPASAEGFTVIFNKTRNEKKTDGKVDSPGRNDPTYKQGAVLGKPQSQKKWFCCIQAAHAD >EOX91282 pep chromosome:Theobroma_cacao_20110822:1:2184639:2186812:1 gene:TCM_000525 transcript:EOX91282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein isoform 1 MLTYLPLRCLGLKSLVCISFDCGVIGAMAARVKYSWSLALVLLCIVSLSYFGNAADIGASFVFGDSLVDAGNNNYLPTLSKANIPPNGIDFKASGGQPTGRYTNGRTIGEELGVPNYAVPFLSPNSTGKAILYGVNYASGGGGIMNATGRIFVNRLGLDIQIDFYNTTRKQFDKLLGPSKAKDYIRKSSIFSITIGANDFLNNYLLPVLSIGARISETPDGFIDDMINHLRDQLTRLYQLDARKFVIGNVGPIGCIPYQKTINQLNENECVDLANKLAKQYNSRLQNLLTELNGKLPGATFVVANVYDLVMELITNYEKYGFITASKACCGNGGQFAGIIPCGPTSTMCKDRDKHVFWDPYHPSEAANLIIARQLLYGSTKYISPVNLKQLRNL >EOX91283 pep chromosome:Theobroma_cacao_20110822:1:2184754:2186812:1 gene:TCM_000525 transcript:EOX91283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein isoform 1 MAARVKYSWSLALVLLCIVSLSYFGNAADIGASFVFGDSLVDAGNNNYLPTLSKANIPPNGIDFKASGGQPTGRYTNGRTIGDIVGFSITIGANDFLNNYLLPVLSIGARISETPDGFIDDMINHLRDQLTRLYQLDARKFVIGNVGPIGCIPYQKTINQLNENECVDLANKLAKQYNSRLQNLLTELNGKLPGATFVVANVYDLVMELITNYEKYGFITASKACCGNGGQFAGIIPCGPTSTMCKDRDKHVFWDPYHPSEAANLIIARQLLYGSTKYISPVNLKQLRNL >EOX91281 pep chromosome:Theobroma_cacao_20110822:1:2184498:2186812:1 gene:TCM_000525 transcript:EOX91281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein isoform 1 MLTYLPLRCLGLKSLVCISFDCGVIGAMAARVKYSWSLALVLLCIVSLSYFGNAADIGASFVFGDSLVDAGNNNYLPTLSKANIPPNGIDFKASGGQPTGRYTNGRTIGDIVGEELGVPNYAVPFLSPNSTGKAILYGVNYASGGGGIMNATGRIFVNRLGLDIQIDFYNTTRKQFDKLLGPSKAKDYIRKSSIFSITIGANDFLNNYLLPVLSIGARISETPDGFIDDMINHLRDQLTRLYQLDARKFVIGNVGPIGCIPYQKTINQLNENECVDLANKLAKQYNSRLQNLLTELNGKLPGATFVVANVYDLVMELITNYEKYGFITASKACCGNGGQFAGIIPCGPTSTMCKDRDKHVFWDPYHPSEAANLIIARQLLYGSTKYISPVNLKQLRNL >EOX92811 pep chromosome:Theobroma_cacao_20110822:1:8709489:8711457:1 gene:TCM_001681 transcript:EOX92811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSIPFVYLGVPSRVNSRSPRTWKSMAWTGHMNCSNFSLKCLSDLSCTLVEFSAAGLLMESCCRFITGRTVCCGRLLIMERSASKRNLQNGLFLFSHALVCYLSFWGIVDSLTDFVNGCLHR >EOX96295 pep chromosome:Theobroma_cacao_20110822:1:37237590:37239962:-1 gene:TCM_005570 transcript:EOX96295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLEPQLPFLVPSFPFFLFLWLYFGLGFSSSFFLFSFFSLFFLSLCSTSTLSSFCFLLWMRATYKSGKVPLILRSYLPGRVPVVHFNPTLTSAAREFDYISARFHSLTPLPGASLLGFCLSFILFFSFYRGQRYRGKVRSEKGLLGILMEQIPAGLVFETESDDTR >EOX93405 pep chromosome:Theobroma_cacao_20110822:1:12723431:12728920:1 gene:TCM_002264 transcript:EOX93405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid-binding serum glycoprotein family protein isoform 1 MPLGKVEGMEVGLTLGLEKHEGTLKLSLMDCGCYVEEITIKLDGGASWLYQGMINAFEEQIGSAVENAITNKLKDGILKLDSFLQSLPKEIPVDDNASLNVSFVENPLLSSSSIEFGINGLFTAKEKVQIPKHYRQTWQPSVFCSDQSKMLGISLDEAVFNSASALYYDAEFMEWIVDKVPDQALLNTAGWRFIIPQLYKKYPNDDMNLNVSLSSPPLIRISEHNIGATVYADVIIDVVEAGQVIPVACISLEIRGSGSVKIVGNNLGGSVKLDDLAMSLKWSQIGNLRMYLIQPVMWTLVQTVVIPYANSYLGKGFPLPIIHGFTLQNAEITLSSSKVTVCSDVAYSESHNLNQLGIYFE >EOX93404 pep chromosome:Theobroma_cacao_20110822:1:12722090:12729430:1 gene:TCM_002264 transcript:EOX93404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid-binding serum glycoprotein family protein isoform 1 MAHLTFLFFLISTLFLSSQAKLHLQPSRNQEESFTSILVSQQGLDFIKDLLINKAISSIIPLQLPATIEKSARIPFLGNVHMVISNVTIYKIDVLSSFVKPGNTGIAIVASGTTCNLTMNWHYSYSSWLVPIEISDGGRASVQVEGMEVGLTLGLEKHEGTLKLSLMDCGCYVEEITIKLDGGASWLYQGMINAFEEQIGSAVENAITNKLKDGILKLDSFLQSLPKEIPVDDNASLNVSFVENPLLSSSSIEFGINGLFTAKEKVQIPKHYRQTWQPSVFCSDQSKMLGISLDEAVFNSASALYYDAEFMEWIVDKVPDQALLNTAGWRFIIPQLYKKYPNDDMNLNVSLSSPPLIRISEHNIGATVYADVIIDVVEAGQVIPVACISLEIRGSGSVKIVGNNLGGSVKLDDLAMSLKWSQIGNLRMYLIQPVMWTLVQTVVIPYANSYLGKGFPLPIIHGFTLQNAEITLSSSKVTVCSDVAYSESHNLNQLGIYFE >EOX93685 pep chromosome:Theobroma_cacao_20110822:1:15025443:15040886:1 gene:TCM_002592 transcript:EOX93685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLSSAFMDQSTVWRKVRLGYTPYRIELFVWQLMWEKVAMKDELARRGLLEEDETLCVLCWWKFNMDWAHSSGSGQAGIRVCSS >EOX93588 pep chromosome:Theobroma_cacao_20110822:1:14076734:14081376:-1 gene:TCM_002466 transcript:EOX93588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEVPVGFLAKLWSFVSFLPFFSLLFLLGLVKAAIICPVVMGIIVTGNSAVVIGLWPAHSLWTYFCVARTKRLGLVLKIVVLVSLVVPVVLWPVVAVVGSLLGGIGYGFCAPLVTTFEAVGQNAADKCYHCFADGCLSIIKGSCIVVEDFADFCFHSYFSYMDELSEKKPSDEKPMDVNCYSVEKPIYAVQRMEEAVRGFNRQRRTIPGDGVCSVCWFSNPFMAFGCCGSCSGSYHCKLLPGTLWWSCCASARPRYRRNMSNSPDMEKLENNHYNELKNRGEGSSSKKLISENSRTLKWAIQQYRPMQVWDWLFKSCEVNGRILLHDGLITIKDIEECIVKGNCRKLGIKLPALSLLRCLLASAKCDSTGLVISDGVELTRSNVPRDRVCDWFIGPLLIIKEQLNKLQLDESEETCLRKLVMGCKNEKPEDWNDFGYPSCDSVRKAQLQATIRRLQGIVASMSWIPTFHRCIRNLVKLLYIEAIQAGASANHIGGILKARYSGKISSGRGDRKDRYENNAERDRTNENEDVV >EOX93587 pep chromosome:Theobroma_cacao_20110822:1:14076734:14081371:-1 gene:TCM_002466 transcript:EOX93587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEVPVGFLAKLWSFVSFLPFFSLLFLLGLVKAAIICPVVMGIIVTGNSAVVIGLWPAHSLWTYFCVARTKRLGLVLKIVVLVSLVVPVVLWPVVAVVGSLLGGIGYGFCAPLVTTFEAVGQNAADKCYHCFADGCLSIIKGSCIVVEDFADFCFHSYFSYMDELSEKKPSDEKPMDVKLSKLPGFLLVGLIGMLVDVPLITAVTLWKSPYMLFKGWKRLLEDLIGREGPFLETVCVPFAGLAILLWPLAVVGAVVGAIIASFFLGLYGGVVVHQEDSLCMGFSYIISVVSLFDEYVNDLLYLREGSCMPRPRYRRNMSNSPDMEKLENNHYNELKNRGEGSSSKKLISENSRTLKWAIQQYRPMQVWDWLFKSCEVNGRILLHDGLITIKDIEECIVKGNCRKLGIKLPALSLLRCLLASAKCDSTGLVISDGVELTRSNVPRDRVCDWFIGPLLIIKEQLNKLQLDESEETCLRKLVMGCKNEKPEDWNDFGYPSCDSVRKAQLQATIRRLQGIVASMSWIPTFHRCIRNLVKLLYIEAIQAGASANHIGGILKARYSGKISSGRGDRKDRYENNAERDRTNENEDVV >EOX93589 pep chromosome:Theobroma_cacao_20110822:1:14077396:14079596:-1 gene:TCM_002466 transcript:EOX93589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MRSPWMLTVTLWKSPYMLFKGWKRLLEDLIGREGPFLETVCVPFAGLAILLWPLAVVGAVVGAIIASFFLGLYGGVVVHQEDSLCMGFSYIISVVSLFDEYVNDLLYLREGSCMPRPRYRRNMSNSPDMEKLENNHYNELKNRGEGSSSKKLISENSRTLKWAIQQYRPMQVWDWLFKSCEVNGRILLHDGLITIKDIEECIVKGNCRKLGIKLPALSLLRCLLASAKCDSTGLVISDGVELTRSNVPRDRVCDWFIGPLLIIKEQLNKLQLDESEETCLRKLVMGCKNEKPEDWNDFGYPSCDSVRKAQLQATIRRLQGIVASMSWIPTFHRCIRNLVKLLYIEAIQAGASANHIGGILKARYSGKISSGRGDRKDRYENNAERDRTNENEDVV >EOX91166 pep chromosome:Theobroma_cacao_20110822:1:1825717:1829786:-1 gene:TCM_000443 transcript:EOX91166 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 72E1, putative MQGTKPHVALLASPGMGHLIPVLELAKRFVSHHSFKVTVFVVAADHASIAHSQLLQSPDPDLLDIVLLPFVDLSKLVEPDASLATKIVIMMLESVPFLRSEISKMEFRPTALVVDMFGTDALDIADEFNMLKYVFIASNAFFSAVVLYSPEIDRKEIDEHVKNQKPLHIPGCKPVKFEDTLDVFFMDYDDPSYQGYLGVGVKMSLSDGILVNSWDELEHTTLKALAEAKKVPVYPIGPLVRPIEKPVLGNQILDWLDKQPKESVIYVSFGSGGTLSAQQVAELALGLDLSQQRFVWVVRPPSDNDASGSFFTVGNGSDGDPDYLPDGFSTRTQDRGLVVPMWAPQVEVLSHPSIGGFLTHCGWNSTMESITNGVPMIAWPLYAEQNMNAAILTEDIGASIRAKALESSGGVVGREDIKSMVRTILVDSEGQDIRARVKELKNSAAKALSEGGSSCNSLSQVAEICIQHLKTKAYGA >EOX91560 pep chromosome:Theobroma_cacao_20110822:1:3097785:3106290:1 gene:TCM_000702 transcript:EOX91560 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 84B2, putative MENEGVQVIMVTLALQGHMNPMLKLAKVLVTKGVHVTLATNDVARKRMLDSKISTKFTSTKNSSSTSNSPRLNLEFFSDGLSHDFDRDKDTGKFMASLKTYGPQNLSNLIVDLKVNGNKFSCLIFSPFIPWVPTVAAEHGIPCSILWIQSSTVFSIYYHYIKCPNLFPNLKNPNGSVELPGMPGFTVGDLPTFMLPFSPVHFQLWVVEFVSVLDKVKWVLGNSVHELEEEIVNSLASVKSFYPIGPLVSPFLLGKEETVVGSVDMWSAEDSCIEWLDKQSPASVIYISFGSIIMLSVQQIESIAMALKNVNRPFLWVVKGSEVRKIEFPPGFFEETKEKLGLVVSWCPQEKVLMHKALACFVTHCGWNSTLETVVAGVPIVAYPEWTDQPTDAKLLVDVFKMGVRMRNCENGTLSVKEVERCIMEIIDGPRSEEMKARAVELKKAAKEALEDGGSSNQNIDKFISEISVHASDMIGHDIAAILNCSVGSLLFTYLRILLGANPRSVHSWQPRKMINSYGNTTSKVVSQSKSSAHFLIRILATVRYGFNPFENSRFLRKFYAFFGLLLLIRSLPNIFSYLEEFTLTRNNLAVYGTILKWKPVHIFSYHANSVGRFGALFSNGEEPSILSTKKLPLYSHLRVSWQPLRDGDFKFNVDGSVRGKLRPTSCGGILRNSDSFNVGVIFGPLGCQDSNYAKFIVYALHIFASSPYVRSNLTIESNSKNALS >EOX95806 pep chromosome:Theobroma_cacao_20110822:1:35626810:35629831:-1 gene:TCM_005217 transcript:EOX95806 gene_biotype:protein_coding transcript_biotype:protein_coding description:POZ/BTB containin G-protein 1 isoform 6 MNAILNGNQPDMDDGVGCENLDEDAVAMVEETQSGDEDANSNESSWSMDFSTVLRVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASEEAALMELLNFIYSNTLSVTTAPALLDVLMAADKFEVASCMRYCSQLLRNLPMTPESALLYLDLPSSVLMGEAVQPLTDAAKQYLAARYKDMTKFQEEVMALPLAGIEAILSSDDLQIASEDAVYDFVLKWARAQYPKLEERREVLGSRLARFIRFPYMTCRKLKKVLTCNDFDHDAASKLVLEALFFKAEAPHRQRSLAVEESATMNRRFIERAYKYRPVKVVEFELPRQQCVVYLDLKKEECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSLSFAVDYEFAARSKPTEEFVSKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDSLYFINGILHLRAELTIRQ >EOX95807 pep chromosome:Theobroma_cacao_20110822:1:35627198:35629842:-1 gene:TCM_005217 transcript:EOX95807 gene_biotype:protein_coding transcript_biotype:protein_coding description:POZ/BTB containin G-protein 1 isoform 6 MNAVCFVMSAVLLTGFVFHFVFSVLDLSLCPDEQILNGNQPDMDDGVGCENLDEDAVAMVEETQSGDEDANSNESSWSMDFSTVLRVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASALLDVLMAADKFEVASCMRYCSQLLRNLPMTPESALLYLDLPSSVLMGEAVQPLTDAAKQYLAARYKDMTKFQEEVMALPLAGIEAILSSDDLQIASEDAVYDFVLKWARAQYPKLEERREVLGSRLARFIRFPYMTCRKLKKVLTCNDFDHDAASKLVLEALFFKAEAPHRQRSLAVEESATMNRRFIERAYKYRPVKVVEFELPRQQCVVYLDLKKEECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSLSFAVDYEFAARSKPTEEFVSKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDSLYFINGILHLRAELTIRQ >EOX95805 pep chromosome:Theobroma_cacao_20110822:1:35626810:35630692:-1 gene:TCM_005217 transcript:EOX95805 gene_biotype:protein_coding transcript_biotype:protein_coding description:POZ/BTB containin G-protein 1 isoform 6 MRYQSNSDLFDPRTEMDSDFARGASGSDGDFGFAFNDSNFSDRLLRIEIMGGPPECHPDGEGCTSIADWARHRKRRREDIKKENVLDLSLCPDEQILNGNQPDMDDGVGCENLDEDAVAMVEETQSGDEDANSNESSWSMDFSTVLRVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASEEAALMELLNFIYSNTLSVTTAPALLDVLMAADKFEVASCMRYCSQLLRNLPMTPESALLYLDLPSSVLMGEAVQPLTDAAKQYLAARYKDMTKFQEEVMALPLAGIEAILSSDDLQIASEDAVYDFVLKWARAQYPKLEERREVLGSRLARFIRFPYMTCRKLKKVLTCNDFDHDAASKLVLEALFFKAEAPHRQRSLAVEESATMNRRFIERAYKYRPVKVVEFELPRQQCVVYLDLKKEECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSLSFAVDYEFAARSKPTEEFVSKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDSLYFINGILHLRAELTIRQ >EOX95809 pep chromosome:Theobroma_cacao_20110822:1:35627198:35629842:-1 gene:TCM_005217 transcript:EOX95809 gene_biotype:protein_coding transcript_biotype:protein_coding description:POZ/BTB containin G-protein 1 isoform 6 MRESEQRHVTLRINASEEAALMELLNFIYSNTLSVTTAPALLDVLMAADKFEVASCMRYCSQLLRNLPMTPESALLYLDLPSSVLMGEAVQPLTDAAKQYLAARYKDMTKFQEEVMALPLAGIEAILSSDDLQIASEDAVYDFVLKWARAQYPKLEERREVLGSRLARFIRFPYMTCRKLKKVLTCNDFDHDAASKLVLEALFFKAEAPHRQRSLAVEESATMNRRFIERAYKYRPVKVVEFELPRQQCVVYLDLKKEECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSLSFAVDYEFAARSKPTEEFVSKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDSLYFINGILHLRAELTIRQ >EOX95810 pep chromosome:Theobroma_cacao_20110822:1:35627278:35629694:-1 gene:TCM_005217 transcript:EOX95810 gene_biotype:protein_coding transcript_biotype:protein_coding description:POZ/BTB containin G-protein 1 isoform 6 MNAVCFVMSAVLLTGFVFHFVFSVLDLSLCPDEQILNGNQPDMDDGVGCENLDEDAVAMVEETQSGDEDANSNESSWSMDFSTVLRVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASGMHKLQYIFLGYVTYSNRCEQAKLNNNSNITLYTEEAALMELLNFIYSNTLSVTTAPALLDVLMAADKFEVASCMRYCSQLLRNLPMTPESALLYLDLPSSVLMGEAVQPLTDAAKQYLAARYKDMTKFQEEVMALPLAGIEAILSSDDLQIASEDAVYDFVLKWARAQYPKLEERREVLGSRLARFIRFPYMTCRKLKKVLTCNDFDHDAASKLVLEALFFKAEAPHRQRSLAVEESATMNRRFIERAYKYRPVKVVEFELPRQQCVVYLDLKKEECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSLSFAVDYEFAARSKPTEEFVSKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDSLYFINGILHLRAELTIRQ >EOX95808 pep chromosome:Theobroma_cacao_20110822:1:35627198:35629842:-1 gene:TCM_005217 transcript:EOX95808 gene_biotype:protein_coding transcript_biotype:protein_coding description:POZ/BTB containin G-protein 1 isoform 6 MDFSTVLRVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASEEAALMELLNFIYSNTLSVTTAPALLDVLMAADKFEVASCMRYCSQLLRNLPMTPESALLYLDLPSSVLMGEAVQPLTDAAKQYLAARYKDMTKFQEEVMALPLAGIEAILSSDDLQIASEDAVYDFVLKWARAQYPKLEERREVLGSRLARFIRFPYMTCRKLKKVLTCNDFDHDAASKLVLEALFFKAEAPHRQRSLAVEESATMNRRFIERAYKYRPVKVVEFELPRQQCVVYLDLKKEECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSLSFAVDYEFAARSKPTEEFVSKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDSLYFINGILHLRAELTIRQ >EOX90703 pep chromosome:Theobroma_cacao_20110822:1:386134:389882:-1 gene:TCM_000092 transcript:EOX90703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl activating enzyme 1 MEDLLLHCSAPRSPVTFLERAADVYGDQVSIVYGTLCFSWRETHQRCLRLASALAQLENISRGDTVAALAPNIPALYELHFAVPMAGAVLSALNVRLDAATLAVILENLEAKFIFVDYQFVHVVHKALETLSQKKGKQPLLVLIQEYDQEAAPVADEFCPVGIKYNDLLGMGRTDFEIVKPKDEGDPISVNFTSGSTGEPKGVLYSHRAAYLNSLASILRYDMGVAPVFLWTVDMFRCNGWCCTWAMAALGGTSICLRNVSAKVIFDSILLHSVTHLCGAPAVLKMIADAPSREQRPLPCKVHVIIAGVFPMTQALKVEGLGFSVSYAYGMTEVLGPAIVRPWRAEPDSCLSNEHRKDKFHEALRRLLIEEIDVKDPDTMKSVPRNGKTIGEVMFRGNTLMSGYLKNPKATQEAFKEGWYRTRDLGLIHPNGVIQLKDRAMDIIVSGGEIVSTLEVEAVLLSHPKLLEAAVVGKHDDCLKEKPCAFVRLKEGYGASCEEIVKFCEEQLPNSMVPRTVVFGELPVNSTGKVQKFTLREKANTIHSVMSQPCIEP >EOX92291 pep chromosome:Theobroma_cacao_20110822:1:6094224:6098218:1 gene:TCM_001256 transcript:EOX92291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Programmed cell death 2 C-terminal domain-containing protein, putative MSGVVLGLPGPWAEHYREPSDHYTTKIGGLPDWPLPIEALNPSLIHCTKCGSKLCLVAQVYAPVSSETLKIEERLLLVFGCVTPTCGSTPLSWRALRIQKVENDAKEFSSTATQEKVPAAASPVSVSKTNWWQKLGDEDDEDVDLEDLSKAFSEAASLTSQPKKTNSNRNSETAVKHPSPLTAQTIRIDTDTPVMPCFYIYSQAEPSSKNFASMYSNYTSLSVKEKEGDVDDHGQEETWKAENYEYDKALSADRTYLKFKKQLDASPEQCFRYAFGGKPLLAVAEVGDAGKCRLCGASRHFEMQLMPPLIYFLQEEADACHKASLENWNWLMLAVYTCSKSCSKPFDKEKSNSGDWFVVEETVIVQFEKPLNECAERYFS >EOX93941 pep chromosome:Theobroma_cacao_20110822:1:17613281:17616031:-1 gene:TCM_002949 transcript:EOX93941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVKRACPTPPVQQERVPYWSGLVILCSLIIKLALFHPQRSPSLSLSRSSVAPFAALVQNCYSTSSCSAIFSFLKANLVMTQTRGSVTDA >EOX96398 pep chromosome:Theobroma_cacao_20110822:1:37650590:37658853:-1 gene:TCM_005649 transcript:EOX96398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein, putative MSLAITTLVMVMLRSTNVLSSYVASQVATTTSATTLSLEREAKALLESGWWSSYSNDTLQRCNWTGISCNDAGSVIKINPPSDVIKVGDKFKNMDFSCFPSLVFLRLSSHELSGTTEHFMFNISNLSRLTHIDLFNNSLYGLLFPWPDNLTSLKYLDFSYNQIYGPIPVEIGQLKNFVTLNLYDGNLLGPIPSTLGKLTNLETLDLSNNSLDGSIPSTLGRLTNLVTLDLSNNRLHGSIPSTLGKLTNLVTLNLSNNTLHGSIPSTLGKLTNLVTLDLSNNLLHGSIPSTLFSLSNLGKLTNLVTLNLSNNTLRGFIPSTLGKLTNLMTLDLSYNLLHGSIPSTLFSLSNLVTIDLSNNDLIGPIPSSLGFLHKLENLLLDFNRINGSIPSKIGDLKTLRVLRLHSNFLEGLVPEEIGNLKALTLLNLYENELSGPIPPQICNCFNLEKLDLSNNRINGSIPSKIGDLKTLRVLFLHSNLLEGFLPEEIGDLEALTLLNLSQNELSGPIPPQIRNCFNLEELDLSNNRINGSIPSRIEDLKTLRVLFLHSNLLEGLVPEGIGNLEALTLLNLSQNELSGPIPPQIGKCSNLEELDLSNNNLEGLIPAQIKRLQHLDRVDLRNNNFSGVIPFNLIMDGNYYYASFTCVETNGSVFREVFGGNKNLTPYFCSPPYSPATYSSVKVNPTSVLQATTNGDLFSIWNYDGKIAYEDIIAATNDFDIRYCIGTGGYGSVYKAQLPSGKVVALKKLHRLEAEEPAFDRSFRNEIKFLTEVRHRNIVKLHGYCLHKRCMFLIYEYMERGSLFFMLSDDVQAVELVWAKRVNIIKSTAHALSYLHFECTPIIVHRDISSNNILLNSDLEAFVADFGTARIIDPDSSNQTRLVGTYGYVAPEFAYTMVVTEKCDVYSFGVLALETLMGKHPAEILSLLSAPSSLQNIMLTDVLDPRLSSPTSQLVVQNIVHVATIAFACLQADPKLRPTMKHVSRMFLSCQRSLRNPLRTISLLQLVTSGMHMEESCQAPQ >EOX96140 pep chromosome:Theobroma_cacao_20110822:1:36732678:36738813:-1 gene:TCM_005458 transcript:EOX96140 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS LIKE6-like protein MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGMTKTLERYQRCCFTPQDNSLERETQNWYQEVTKLKAKYESLQRTQRHLLGEDLGPLNVKELQNLEKQLEGALALARQRKTQIMIEQMEDLRKKERQLGDLNKQLKLKLEAEGQSLKTIQGLWSSGAAAENSNFPLHPSHANPMECDPEPVLQIGYHHFVQAEGSSVPKNMAGETNFIHGWVI >EOX92144 pep chromosome:Theobroma_cacao_20110822:1:5441157:5444487:1 gene:TCM_001138 transcript:EOX92144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIEAKLCALLNVPIINGTRSEEEVRSRSDLDNVTTGCCFPPKDCGYEFKNLTYWAVPETGLRGETAIARLGTIGKRCCVTIMTQASFLECMAVYRQKKNQDPKGNRLLISITFLGSAGPIRFIVNEEELVAAVIDTALKSYAREGRLPVLGSNLNDFLLYCPSAGSDALSPWETVISQGARNFKLCKKPRTEKMDDDGRSSTQAITTNEDGNWKAWINKFLNLKISSH >EOX94890 pep chromosome:Theobroma_cacao_20110822:1:31901104:31903755:-1 gene:TCM_004494 transcript:EOX94890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MAGSNEVNLNESRRVVPLNTWVLISNFKLAYNLQRRPDGTFNRDLSEFLDRKVPANINPVDGVFSFDHVDGGTGLLNRVYQPSSQNEAQWGIVDLEKPLSTTEIVPVIVFFHGGSFTHSSANSAIYDTFCRRVVSFCKAVVVSVNYRRSPEHRYPCAYDDGWAALKWVKSRTWLQSGKDSKVYVYLAGDSSGGNIAHHVAVRAAEADVEVLGNILLHPMFGGQRRTESEKRLDGKYFVTLLDRDWYWRAYLPEGEDRDHPACNPFGPRGKSLKGLKFPKSLLVVAGLDLIQDWQLAYVEGLRRSGQEVKLLFLEKATIGFYFLPNNDHFYCLMEEIKNFVTSNC >EOX94891 pep chromosome:Theobroma_cacao_20110822:1:31901159:31903669:-1 gene:TCM_004494 transcript:EOX94891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MAGSNEVNLNESRRVVPLNTWVLISNFKLAYNLQRRPDGTFNRDLSEFLDRKVPANINPVDGVFSFDHVDGGTGLLNRVYQPSSQNEAQWGIVDLEKPLSTTEIVPVIVFFHGGSFTHSSANSAIYDTFCRRVVSFCKAVVVSVNYRRSPEHRYPCAYDDGWAALKWVKSRTWLQSGKDSKVYVYLAGDSSGGNIAHHVAVRAAEADVEVLGNILLHPMFGGQRRTESEKRLDGKYFVTLLDRDWYWRAYLPEGEDRDHPACNPFGPRGKSLKGLKFPKSLLVVAGLDLIQDWQLAYVEGLRRSGQEVKLLFLEKATIGFYFLPNNDHFYCLMEEIKNFVTSNC >EOX92197 pep chromosome:Theobroma_cacao_20110822:1:5690911:5692047:-1 gene:TCM_001184 transcript:EOX92197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification domain-containing protein MGVAGTLEYLSDLMSSSGHKYKKKKQLHTVELKVRMDCDGCELKVKKALASLSGVKSVNINRKQQKVTVTGYVETNKVLKKAKSTGKRAEIWPYVPYNLVAQPYAAPAYDKKAPPGYVRNVENNVTTAAVTRYEDPYISLFSDDNPNACSVM >EOX91644 pep chromosome:Theobroma_cacao_20110822:1:3416512:3417213:1 gene:TCM_000768 transcript:EOX91644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELLNIGMREKEKLQKIAQGPKNYVKFCIRAIGKPAMDKKLPIGEGTKINGTSEWEKTAKAAATTVPVREMEEIVDIKNFSPVSKSISSCVC >EOX96400 pep chromosome:Theobroma_cacao_20110822:1:37663828:37665206:1 gene:TCM_005651 transcript:EOX96400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFTWIAVYIPILIPPFTPYVQFENTQNYESSSSFFRENPMEEPRRTRYSGERAPPGGGRGCGRGSGRGHGHGSVNYHAECSDHQPAQPTIMENRVTAVPNAKPVPIKLNPNAKEYYPLPESDRSLFLTFSHGRPIPEMEIAKFFNSTYWECVERVYVHWPAPWNKNQIPLFGKIVFKEASIPLMMTAFGTKQCMFNIDGNPLWCKKFELNKTRSPKWNNKFMSSDGLNGGVSTVSMGL >EOX93081 pep chromosome:Theobroma_cacao_20110822:1:10287291:10294908:-1 gene:TCM_001925 transcript:EOX93081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein MPEKITSEDFLNNLAETIADSVSKQKSVSFFEGEKSNSVVSSFNRLFGRQRPVHHILGGGKSADVLLWRNKKISASVLAGATAIWVLFEWLNYHFLTLLCFAVVLGMLAQFVWSNASGLLNRSPSEVPRLFLPEELFVGIGRSLGGEVNRGLHFLQDVACGGNLKQFLVVVLSLWVAAVIGSWCNFLTVLYIGFIAAHTLPVLYERYDEQIDSFVYNFLDQFRNQYKKLDAGVLSKIPKGKFKLKKHD >EOX94227 pep chromosome:Theobroma_cacao_20110822:1:26452366:26458943:-1 gene:TCM_003764 transcript:EOX94227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MNGIQNRKGQNIEKFPGCLGRMVNLFDLNTGIPGNRLLTDKPHPDGSSLSRSQSDVVRMLSPSFGDQIEDKVVVSELRRTLSNKKANGTPMKMLIAQEMSKEVESKHNPPNVVAKLMGLDALPRQQHNMAAQRRHSKGSSRHSLSHSEIPVEGWERDQGFSNKQMQSKVNLCQELNKYKDVYEIWQQTPRTTNARDSSPQKGRYNDNGNEKKMALVRQKFMEAKHLVTDEKLRQTKEFQDALEVLSSNRELFLKFLEEPNSTFSQHLYNLQSLPLPPETKRITVLRPSKMVDKEKFSGIGKKCDKQTNKPAQMGQVTGWDRNNTACSPPFPSPKVDDYPSQPTRIVVLKPSHGKTQDIKTVAFPSPSSPRILRGEDFYEEPEDDEARESREVAKEITRQMRENLMGHRRDETLLSSVFSNGYIGDDSSFNRSENEYAAENLSDSEVMSPTSRHSWDYINRFGSPYSSSSFSRASCSPESSVCREAKKRLSERWAMMASNGSSQEQRHVRRSSSTLGEMLALSDTKKLVRSEEEGSNKEQEPRGSTSCIVSNLDKEESTSDSPKNLLRSKSVPVSSTVYGARLNVEVSDPEASKEQVSKELTKAKSMKSSLKGKVSSLFFSKNKKTNKENSSGSQSTDGSPSATPGTPGSQVIHPRKNSNDASQCVSDSGIQECLSPVLGESASKTALPDLIGMGQKQGIISMEGGLSVAKPSVAVLISENQDQPSPISVLEPRFEEDESAIPESSGSIKPVHRGLEVPPKSNLIDKSPPIESIARTLSWDDSCSETVTLYPSKHSSVSPGAKEEQDWVFSVQSLLSAAGLSGEVRLESFIGRWHSPESPLEPSLRDKYGNLNDKEPVHAAKRREWRSNRKLVFDCVNAALLEITGYGSSGRAQMRVMEGASGTLVDHVWGRMKEWFSSEVKCLVGDDGDSNSLVVDRVVQKEVVGKGWADRMKLEVDNLGRVIEVKLLEELVEEAVVDLSGSPTRQPQ >EOX94228 pep chromosome:Theobroma_cacao_20110822:1:26453218:26456707:-1 gene:TCM_003764 transcript:EOX94228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MKMLIAQEMSKEVESKHNPPNVVAKLMGLDALPRQQHNMAAQRRHSKGSSRHSLSHSEIPVEGWERDQGFSNKQMQSKVNLCQELNKYKDVYEIWQQTPRTTNARDSSPQKGRYNDNGNEKKMALVRQKFMEAKHLVTDEKLRQTKEFQDALEVLSSNRELFLKFLEEPNSTFSQHLYNLQSLPLPPETKRITVLRPSKMVDKEKFSGIGKKCDKQTNKPAQMGQVTGWDRNNTACSPPFPSPKVDDYPSQPTRIVVLKPSHGKTQDIKTVAFPSPSSPRILRGEDFYEEPEDDEARESREVAKEITRQMRENLMGHRRDETLLSSVFSNGYIGDDSSFNRSENEYAAENLSDSEVMSPTSRHSWDYINRFGSPYSSSSFSRASCSPESSVCREAKKRLSERWAMMASNGSSQEQRHVRRSSSTLGEMLALSDTKKLVRSEEEGSNKEQEPRGSTSCIVSNLDKEESTSDSPKNLLRSKSVPVSSTVYGARLNVEVSDPEASKEQVSKELTKAKSMKSSLKGKVSSLFFSKNKKTNKENSSGSQSTDGSPSATPGTPGSQVIHPRKNSNDASQCVSDSGIQECLSPVLGESASKTALPDLIGMGQKQGIISMEGGLSVAKPSVAVLISENQDQPSPISVLEPRFEEDESAIPESSGSIKPVHRGLEVPPKSNLIDKSPPIESIARTLSWDDSCSETVTLYPSKHSSVSPGAKEEQDWVFSVQSLLSAAGLSGEVRLESFIGRWHSPESPLEPSLRDKYGNLNDKEPVHAAKRREWRSNRKLVFDCVNAALLEITGYGSSGRAQMRVMEGASGTLVDHVWGRMKEWFSSEVKCLVGDDGDSNSLVVDRVVQKEVVGKGWADRMKLEVDNLGRVIEVKLLEELVEEAVVDLSGRLF >EOX94226 pep chromosome:Theobroma_cacao_20110822:1:26452450:26459362:-1 gene:TCM_003764 transcript:EOX94226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MNGIQNRKGQNIEKFPGCLGRMVNLFDLNTGIPGNRLLTDKPHPDGSSLSRSQSDVVRMLSPSFGDQIEDKVVVSELRRTLSNKKANGTPMKMLIAQEMSKEVESKHNPPNVVAKLMGLDALPRQQHNMAAQRRHSKGSSRHSLSHSEIPVEGWERDQGFSNKQMQSKVNLCQELNKYKDVYEIWQQTPRTTNARDSSPQKGRYNDNGNEKKMALVRQKFMEAKHLVTDEKLRQTKEFQDALEVLSSNRELFLKFLEEPNSTFSQHLYNLQSLPLPPETKRITVLRPSKMVDKEKFSGIGKKCDKQTNKPAQMGQVTGWDRNNTACSPPFPSPKVDDYPSQPTRIVVLKPSHGKTQDIKTVAFPSPSSPRILRGEDFYEEPEDDEARESREVAKEITRQMRENLMGHRRDETLLSSVFSNGYIGDDSSFNRSENEYAAENLSDSEVMSPTSRHSWDYINRFGSPYSSSSFSRASCSPESSVCREAKKRLSERWAMMASNGSSQEQRHVRRSSSTLGEMLALSDTKKLVRSEEEGSNKEQEPRGSTSCIVSNLDKEESTSDSPKNLLRSKSVPVSSTVYGARLNVEVSDPEASKEQVSKELTKAKSMKSSLKGKVSSLFFSKNKKTNKENSSGSQSTDGSPSATPGTPGSQVIHPRKNSNDASQCVSDSGIQECLSPVLGESASKTALPDLIGMGQKQGIISMEGGLSVAKPSVAVLISENQDQPSPISVLEPRFEEDESAIPESSGSIKPVHRGLEVPPKSNLIDKSPPIESIARTLSWDDSCSETVTLYPSKHSSVSPGAKEEQDWVFSVQSLLSAAGLSGEVRLESFIGRWHSPESPLEPSLRDKYGNLNDKEPVHAAKRREWRSNRKLVFDCVNAALLEITGYGSSGRAQMRVMEGASGTLVDHVWGRMKEWFSSEVKCLVGDDGDSNSLVVDRVVQKEVVGKGWADRMKLEVDNLGRVIEVKLLEELVEEAVVDLSGRLF >EOX96051 pep chromosome:Theobroma_cacao_20110822:1:36435549:36438743:-1 gene:TCM_005396 transcript:EOX96051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLMGHADSLSELRSSKDQLLADPEELEDDFHLDSSEPILYTASFEELARNNLQYDTIIWVSISLLLVLAWGVGIIMLLYLPIRRYVLQKDISSRKLYVTPSEIVYKVSRPSFIPFWGITTIEKHVLLSKVIDIIIEQGWLQSVYGIYTFRVESVAHGKAAPVDELQVQGVANPALLRKVIVREAAKAIQDVGKSWKLSTVTGEVETISRKTSLTEGQPILRSPAKTKKMAASPHHSSVERRAVMPGELFLQKLDEVNKSVKKIELLIEKSHASSESS >EOX96052 pep chromosome:Theobroma_cacao_20110822:1:36435331:36438680:-1 gene:TCM_005396 transcript:EOX96052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLMGHADSLSELRSSKDQLLADPEELEDDFHLDSSEPILYTASFEELARNNLQYDTIIWVSISLLLVLAWGVGIIMLLYLPIRRYVLQKDISSRKLYVTPSEIVYKVSRPSFIPFWGITTIEKHVLLSKVIDIIIEQGWLQSVYGIYTFRVESVAHGKAAPVDELQVQGVANPALLRKVIVREAAKAIQDVGKSWKLSTVTGEVETISRKTSLTEGQPILRSPAKTKKMAASPHHSSVERRAVMPGELFLQKLDEVNKSVKKIELLIEKSHASSESS >EOX95207 pep chromosome:Theobroma_cacao_20110822:1:33314526:33317704:1 gene:TCM_004758 transcript:EOX95207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein MLLYQKPTHPAALGSRERAMEGKLSSVAKKFAPSPIQELSHLAQRCNAINLAEGFPDFPAPPHIKDAAVSAINSDFNQYRHVQGICDQLAFIMKRTHGLNVDPLTDVAICCGQTEAFAAAIFAVIDRGDEVVLFDPCYETYEGCITMAGGIPVYVALDPPQWTLDPDKLMKSFTSKTKAIVLNSPHNPTGKVFSREELEVIAEACGKWDCLAITDEVYEYITFNNQKHVSIATLPGMQERTIITSSLSKTFSVTGWRIGWAIAPASAASAIRNIHVKITDSAPAPFQEAALTALRSPSEYFETLRREYQLKRDVSVKLLTSVGFRIQFQPQGSFFLFAELPKNCLLSDVEYVKELIKQAGVVVVPGRGFFHTESSLDQPSEENCSYQKRYIRVAFCKSDATLTTAAQKFGELMNAGGCPKLHY >EOX94541 pep chromosome:Theobroma_cacao_20110822:1:29947153:29950503:1 gene:TCM_004166 transcript:EOX94541 gene_biotype:protein_coding transcript_biotype:protein_coding description:IND1(iron-sulfur protein required for NADH dehydrogenase)-like isoform 1 MGLKMLLPLLLVKAVLASLPLQVGLLDADVHGPSVPTMMKINQKPEVTRDLKMIPIENYGVKCMSMGFLVDKDAPIVWRGPMVMSALQKMSREVDWGSLDILVVDMPPGTGDAQLTMSQKLQLSGALIVSTPQDVALIDARRGVRMFSKVQVPILGLVENMSCFKCPHCGEPSFIFGMGGARKTAAEMGLQLLGEDY >EOX94540 pep chromosome:Theobroma_cacao_20110822:1:29947291:29951769:1 gene:TCM_004166 transcript:EOX94540 gene_biotype:protein_coding transcript_biotype:protein_coding description:IND1(iron-sulfur protein required for NADH dehydrogenase)-like isoform 1 MKGFWRPFARLGVRSYSVLGYDQLRINGVKDVIAVASGKGGVGKSTTAVNLAVALANKCQLKVGLLDADVHGPSVPTMMKINQKPEVTRDLKMIPIENYGVKCMSMGFLVDKDAPIVWRGPMVMSALQKMSREVDWGSLDILVVDMPPGTGDAQLTMSQKLQLSGALIVSTPQDVALIDARRGVRMFSKVQVPILGLVENMSCFKCPHCGEPSFIFGMGGARKTAAEMGLQLLGEIPIEVDIRKGCDEGIPIVVSAPGSVISKAYHDVAQNVVVRLEDLAKN >EOX91102 pep chromosome:Theobroma_cacao_20110822:1:1592638:1598454:-1 gene:TCM_000391 transcript:EOX91102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 MVHSAYDSFELLHDCPTKIDAIESYGSKLLLGCSDGSLRIYGPDSSGADRSPPIDQHALRKEPYALERTVARFSKKALLLMQVLESRELLLSLSESIAFHRLPNLEPLLHDGGRGFVEVKDFGVPDTVKSMSWCGENICLGIRKEYMILNATNGVLSEVFSSGKIAPPLVVALPSGELILGKENIGVFVDQNGKLLQADRICWSEAPAVVVIQRPYAIALLTRRVEIRFLRVPYPLIQTIVLQNACHLIKSNNAVIVALENSVCGLFPVPLGAQIVQLTAYGNFEEALALCKLLPPEDASLRAAKEGSIHIRYAHYLFDNGCYEEAMEHFLASQIDITYVLTLYPSIVLPKTTTVPEPEKLLDLSLDASQLSRGSSGLSDDLETFFPQSSESDENAALEFKKMSHNTLMALIKFLQKKRFSVLEKAAAEGTEEVVLDAVGDDFTSARFKKSNKVRGSIPINSAAREMAAILDTALLQALLLTGQSSVALELLKCLNYCDAELIQKFSAAAIIEYLKPLCGTDPMLVLEFSMLVLESCPTETIELFLSGNIPADLVNSYFKQRAPNMQGRYLELMLAMNENGISGNLQNEMVQIYLSEVLEWYTELSAQQIWDENAYLPTRKKLLSALETISGYNLEGLLRRLPPDALFEERAILLGKMNQHELALSLYVHKLHVPELALAYCDRVYESVVHQLPVRSSGNIYLTLLQIYLNSQKTTKNFENRITNLVSSPNTSIPKFGSAASIKGKGGRKKIASIEGAEDIRISPSNTDSGRSDGDAEELGEEGVSTIMLDQVFDLLSRRWDRINGAQALKLLPRETKLENLLPFLGPLPKKSSEAYRNFSVIKSLRQSENLLVKDELYSQRKAVVKISSDSMCSLCNKKIGTSVFAVYPNGKTLVHFVCFRDSQSMKAVAKGSPIRKR >EOX93325 pep chromosome:Theobroma_cacao_20110822:1:12104125:12109069:1 gene:TCM_002165 transcript:EOX93325 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32980) UniProtKB/Swiss-Prot;Acc:O48767] MSMGNDTTWVGKKPLRRIGGMSDALSIAADLGFSVPPPPSQEELQNLSSTTGEKGDDLIKVLRELTTVQRKIADLQVELQGRKDDKNVAHLTHVSEMEKKCETLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTASVTDFQWSQNFKESPAVWGEMLRPIPVALASCTRFFEAMSAMRESFATLQNLRVGHSASSLPTTPAKDPSQRVLGESDCMTPSPWKNESSFDDLAIKSLRTQELEQQEVEDGNSEVGDFHQVDGSSHRRLSWPPSVKKNGL >EOX93326 pep chromosome:Theobroma_cacao_20110822:1:12104125:12109069:1 gene:TCM_002165 transcript:EOX93326 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32980) UniProtKB/Swiss-Prot;Acc:O48767] MSMGNDTTWVGKKPLRRIGGMSDALSIAADLGFSVPPPPSQEELQNLSSTTGEKGDDLIKVLRELTTVQRKIADLQVELQGRKDDKNVAHLTHVSEMEKKCETLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTASVTDFQWSQNFKESPAEMLRPIPVALASCTRFFEAMSAMRESFATLQNLRVGHSASSLPTTPAKDPSQRVLGESDCMTPSPWKNESSFDDLAIKSLRTQELEQQEVEDGNSEVGDFHQVDGSSHRRLSWPPSVKKNGL >EOX92460 pep chromosome:Theobroma_cacao_20110822:1:6939646:6943668:1 gene:TCM_001405 transcript:EOX92460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid kinase family protein MAVCTSFLPIISFSPISSSTSSSSSSSSLLFLGPSPVKTHHLGGLIMSQRSSFRRLFINCSASSDMGSPPDPMNFRHNHMSSMAPFGMQMNDKPSYKWQRVLLKVSGEALAGDHSQNIDPKITMAIAREVASVTRLGIEVAIVVGGGNIFRGSSWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDDDPRRNPNARLHDTLTYNEVTSKDLSVMDMTAITLCQENNIPVVVFNLNKPGNISKAIKGERVGTLIGGTWNSTVART >EOX93825 pep chromosome:Theobroma_cacao_20110822:1:16206752:16228327:1 gene:TCM_002769 transcript:EOX93825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPRREEPPFTRSAGRGRGIGSLLYCIAGQAQAGQALPTIPLAAPLVPPSPPLVPPPVLDVSYSKKLKEARQHSCVSFMGESDATVAKEVVQMALRAKKLANENRSLRAELAKRRNLSVSSSQPPKRGKDSSVSGSSRRCRNCGNYHVGPCRGPAQCFRCDQPGHIRRDCP >EOX92557 pep chromosome:Theobroma_cacao_20110822:1:7499570:7500958:1 gene:TCM_001496 transcript:EOX92557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 69, putative MGNFRGNSSDSEKSCHRGHWRPAEDEKLERLVEQYGPKNWNFIAQHLQGRSGKSCRLRWYNQLDPNINKKPFTEEEEEILLTAHGIQGNKWASIARLFPGRTDNAVKNHYHVIMARRKREKLLIYSKRTGQGNPDHSNKNNVGGKFDGFLRPQSTSDSKLGFCRFQVTRDRQGFSLLSSSNSSPSWLSGRGSTITNDSSGYYDGFGRARKDYVRASDQDELAKKGCSNFIGPKAVHVHHSFTFSNFGSEGETCRRGLVKFSDNRSALWNLHIVSQGEGSIKHKDIPLIDFLGVGIS >EOX94857 pep chromosome:Theobroma_cacao_20110822:1:31765882:31769162:-1 gene:TCM_004471 transcript:EOX94857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum auxin binding protein 1 isoform 1 MVGPCLISFFFFFFNLLPFSQALEASHCSIKGLPLVRNIGELPQDNYGRGGFSHITVAGSLLHGLKEVEVWLQTFAPGSHTPIHRHSCEEVFVVLKGSGTLFLASSSHKHPGKPEEHFIFSNNTVHIPVNDVHQVWNSNEHEDLQVLVIISRPPIKVTKVSSFGFIPPSVCRLKHLHGFFHWFIYEDWFMPHTAAKLKFPYYWDAQCFQEPQKDEL >EOX94859 pep chromosome:Theobroma_cacao_20110822:1:31765848:31769051:-1 gene:TCM_004471 transcript:EOX94859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum auxin binding protein 1 isoform 1 MVGPCLISFFFFFFNLLPFSQALEASHCSIKGLPLVRNIGELPQDNYGRGGFSHITVAGSLLHGLKEVEVWLQTFAPGSHTPIHRHSCEEVFVVLKGSGTLFLASSSHKHPGKPEEHFIFSNNTVHIPVNDVHQQVWNSNEHEDLQVLVIISRPPIKVFIYEDWFMPHTAAKLKFPYYWDAQCFQEPQKDEL >EOX94858 pep chromosome:Theobroma_cacao_20110822:1:31765879:31769066:-1 gene:TCM_004471 transcript:EOX94858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum auxin binding protein 1 isoform 1 MVGPCLISFFFFFFNLLPFSQALEASHCSIKGLPLVRNIGELPQDNYGRGGFSHITVAGSLLHGLKEVEVWLQTFAPGSHTPIHRHSCEEVFVVLKGSGTLFLASSSHKHPGKPEEHFIFSNNTVHIPVNDVHQVWNSNEHEDLQVLVIISRPPIKVFIYEDWFMPHTAAKLKFPYYWDAQCFQEPQKDEL >EOX95761 pep chromosome:Theobroma_cacao_20110822:1:35492154:35495768:-1 gene:TCM_005186 transcript:EOX95761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 25 isoform 1 MLKEMRIDLNPSSLHKRHHVFVKFAISFLLLGLAFRLFVSDSIRFSSSIVETPPLVETNSITESPPLSPSSSSSLPAQAPSSGDLLANESQAFPNAECDIFTGDWVPDPSAPAYTNASCHEIEGHQNCMRNGRPDSGYLYWRWNPRDCELPRFDPGKFLDSTRNKSWAFIGDSISRNHVQSLLCILSQVEQAVEVYHDEEYRSKRWHFPSHNFTLSVVWTPFLLKADIFEDMNGVSSSEIQLHLDKLDETWTEQYKNFDYAVIAGGKWFLKTAIYRENDTVTGCHYCPGKNLTELGFDYAYRRALKTVLNFMTNSGHKAFIFLRTTTPDHFENGEWFSGGTCNRTAPFKDGEVDMKDVDIVMRDIELEEYEKAAPVGAENGVILKLLDTTRLSLLRPDGHPGPYRQFQPFAKDKNAQAQNDCLHWCLPGPIDSWNDLLMEMINRGIHQ >EOX95760 pep chromosome:Theobroma_cacao_20110822:1:35492594:35495511:-1 gene:TCM_005186 transcript:EOX95760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 25 isoform 1 MLKEMRIDLNPSSLHKRHHVFVKFAISFLLLGLAFRLFVSDSIRFSSSIVETPPLVETNSITESPPLSPSSSSSLPAQAPSSGDLLANESQAFPNVAAECDIFTGDWVPDPSAPAYTNASCHEIEGHQNCMRNGRPDSGYLYWRWNPRDCELPRFDPGKFLDSTRNKSWAFIGDSISRNHVQSLLCILSQVEQAVEVYHDEEYRSKRWHFPSHNFTLSVVWTPFLLKADIFEDMNGVSSSEIQLHLDKLDETWTEQYKNFDYAVIAGGKWFLKTAIYRENDTVTGCHYCPGKNLTELGFDYAYRRALKTVLNFMTNSGHKAFIFLRTTTPDHFENGEWFSGGTCNRTAPFKDGEVDMKDVDIVMRDIELEEYEKAAPVGAENGVILKLLDTTRLSLLRPDGHPGPYRQFQPFAKDKNAQAQNDCLHWCLPGPIDSWNDLLMEMINRGIHQ >EOX92653 pep chromosome:Theobroma_cacao_20110822:1:7948747:7952607:-1 gene:TCM_001569 transcript:EOX92653 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAD4, putative MSEMLATFLASTPLLEEAWRLCSIADTTFPGAYLVQQIGSVAYVAFSGRQADPGSDQSRENPARLDAEDGGLFAPLYREAEKPIRVHSGMLRLFLSMYQSLQIQFASLIGKVKSVVITGHSIGGTTASLSALWLLCYLQSMSSPITSVLCITFGSPLLGNEALHRSILRERWGGNFCHVVSKHDIMPRLLFADVVPNHISKIHALISFSHHCMAAPHLVVASLSSQLVDDVEDIFHCVLKDLELLAQAEEPSENVFWPFGSYVFCCQEGAICLDYAASVMKMMYLMLATGSPSCSIEDHLKYGDYVGKVSKHFLRARNFHEEDLPDSSYEAGVALALQSTELVIKEPVEDLCLSVQNSNLTKEVAIRAKDCLQMARDGNKPTVIAANLATKLSKIVPFRIEIEWYKFYCDEADDQMGYYDSFKLKARWKRESRINMNRYRLAVFWNSVIDMLENKKLPHDFHRRGKWVNASQFYKLLVEPLDIADYYRAGKHRERGHYITHGRERRYEFFDKWWRDRGIPEEENKRSKFANFTQDSCFWAKVEEAREWLDNVRSESDVKKRDLLWRKIDLFEQYARKLIENKEISEDVLAKNSSFSRWMEDWKELKS >EOX92385 pep chromosome:Theobroma_cacao_20110822:1:6608792:6611775:-1 gene:TCM_001339 transcript:EOX92385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-induced protein 5NG4, putative MSKNFSDLLRGLKPVILILVVQAALGGVIVFYKLAVIYGMSVRVLVAYRFIFATACVIPLALILERKSKAKLTWKIVFQGFLSGLFGGALGPNLFIASLSLTSATFATAVSNLVPVATLILAVILRLESLGIRKLAGQAKLVGIFLSIGGAMILTFYKGEEINLWSTNINIAQHGADQATRKHALLGNQVLGSLLALAACVSFAIWYIIQAKISENYPYIYSSTVLMCIAASVQATIYTIIAERNWSAWKLGWNIRLLSAVYTGAIGTALTVVLMTWCLRLRGPLFVSIFNPFTLVFVAIVGSLILNERLNTGSILGSVLIVIGVYVVLWGKAKEMKDSTHLALQEYPAESEAVDVVISSLQETHQICDTNKESHPS >EOX94180 pep chromosome:Theobroma_cacao_20110822:1:24521954:24527978:-1 gene:TCM_003574 transcript:EOX94180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein, putative MENAGFTLVLVCALWMSSGVWSRNNRPENCDPENIQERYERWLVQHGRQYKDKEEMTLRFGIYKSNSEFIDSINSQNLSFKLTDNKFADMTNAEFRSAYLGSWSRRSPRESDEFQHDKHYNLSTYIDWREKGAVTPIKDQGQCGSCWAFSAVAAIEGIGKIKTGELTSLSEQELIDCDVNNENQGCKGGYMEKAYEFIIKNGGITTEENYPYIGEDGICDEIKARNLAVAISGYKTVPVNNERSLQDAVAHQPVSVAIDAGGYEFQLYSEGIFTGFCGNQLNHGVTVVGYGEDGGRKYWLVKNSWGTSWGESGYIRMQRDFTDKRGICGIAMEASYPVKS >EOX90692 pep chromosome:Theobroma_cacao_20110822:1:345168:350091:-1 gene:TCM_000082 transcript:EOX90692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine acetyltransferase 3,2 isoform 2 MAYASDKLRWESIPEMLSSGLSLKEREDGEEAKVKYVEFPFEKVFPVYAMGFSKPDTDSVANSGHDQIWDAVREEAKLEAEKEPILSSFLYASILAHDCLEQALGFVLANRLRNPTLLATQLMDIFSDVMMHDKGIQRSIRLDVQAFIDRDPACLSYSSALLYLKGYHSLQSYRVAHALWKQGRKVLALALQSRISEVFGVDIHPAAKIGDGILLDHGTGVVIGETAVVGNRVSLMHGVTLGGTGKEIGDRHPKVGDGALLGACVTILGNINIGEGAMIAAGSLVLKHVPPHSMVAGTPAQVIGSIDEQDPSLTMNHDATKEFFKHVAVNFREGRSNKPLDKGNKDGGT >EOX90693 pep chromosome:Theobroma_cacao_20110822:1:346731:350446:-1 gene:TCM_000082 transcript:EOX90693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine acetyltransferase 3,2 isoform 2 MAYASDKLRWESIPEMLSSGLSLKEREDGEEAKVKYVEFPFEKVFPVYAMGFSKPDTDSVANSGHDQIWDAVREEAKLEAEKEPILSSFLYASILAHDCLEQALGFVLANRLRNPTLLATQLMDIFSDVMMHDKGIQRSIRLDVQAFIDRDPACLSYSSALLYLKGYHSLQSYRVAHALWKQGRKVLALALQSRISEVFGVDIHPAAKIGDGILLDHGTGVVIGETAVVGNRVSLMHGVTLGGTGKEIGDRHPKVGDGALLGACVTILGNINIGEGAMIAAGSLVLKHVPPHR >EOX94924 pep chromosome:Theobroma_cacao_20110822:1:32033150:32035986:-1 gene:TCM_004522 transcript:EOX94924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MKSIYFSFATATATISNSDDLRRSQARFPQSFPTPNFPLKRTQRSLVILRSQSSSETRGNQQGQDPETLVQDLRVPDYWLLPSKALEESEWLRVTLHKWLDDEYCPEETNVEISKVAARSYYESLLEKQPDIGEILLKMARELESISYQESFHGAFSSANAAINLIIQRIEQQ >EOX94927 pep chromosome:Theobroma_cacao_20110822:1:32032935:32035949:-1 gene:TCM_004522 transcript:EOX94927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MKSIYFSFATATATISNSDDLRRSQARFPQSFPTPNFPLKRTQRSLVILRSQSSSETRGNQQGQDPETLVQDLRVPDYWLLPSKALEESEWLRVTLHKWLDDEYCPEETNVEISKVAARSYYESLLEKQPDIGEILLKMARELESISYQESFHGAFSSANAAINLIIQRIEQQ >EOX94926 pep chromosome:Theobroma_cacao_20110822:1:32033281:32035882:-1 gene:TCM_004522 transcript:EOX94926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MKSIYFSFATATATISNSDDLRRSQARFPQSFPTPNFPLKRTQRSLVILRSQSSSETRGNQQGQDPETLVQDLRVPDYWLLPSKALEESEWLRVTLHKWLDDEYCPEETNVEISKVAARSYYESLLEKQPDIGEILLKMARELESISYQESFHGAFSSANAAINLIIQRIEQQ >EOX94928 pep chromosome:Theobroma_cacao_20110822:1:32032691:32035986:-1 gene:TCM_004522 transcript:EOX94928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MKSIYFSFATATATISNSDDLRRSQARFPQSFPTPNFPLKRTQRSLVILRSQSSSETRGNQQGQDPETLVQDLRVPDYWLLPSKALEESEWLRVTLHKWLDDEYCPEETNVEISKVAARSYYESLLEKQPDIGEILLKMARELESISYQESFHGAFSSANAAINLIIQRIEQHSRRLHAQTCYGPILILRNTMLKISSGVFKFKICEVHYIWLLW >EOX94925 pep chromosome:Theobroma_cacao_20110822:1:32035055:32035844:-1 gene:TCM_004522 transcript:EOX94925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MKSIYFSFATATATISNSDDLRRSQARFPQSFPTPNFPLKRTQRSLVILRSQSSSETRGNQQGQDPETLVQDLRVPDYWLLPSKALEESEWLRVTLHKWLDDEYCPEETNVEISKVAARSYYESLLEKQPDIGEILLKMARELESISYQESFHGAFSSANAAINLIIQRIEQQ >EOX93975 pep chromosome:Theobroma_cacao_20110822:1:17924302:17945115:1 gene:TCM_002991 transcript:EOX93975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPPRRGRPPLTRSVGRGKCRSQHHQPNIVEEESAASTIRAAPAAEQADSPPHPPSPQPPTGIPAMPTEAAQALAAFFAAMAGQAQTGQVPPVVPLTTPLVPPPVQDVSISKKLKEVRQLGCVSFTGELDATRAKDWINQVSETLSDMRLDDDMKLMVATRLLQKRARTWWNSVKSRSATAQTWSDFLREFDGQYFTYFHQKEKKREFLSLKQGNLTVEEYETRFNELMLYVPDLVKSEQDQASYFEEGLRNEIRERMTVTGREPHKEVVQMALRAEKLATENRRIRTEFAKRRNPSMSSSQPVKRGKDSAISGSTTSVSVTSPRPPFPPSQQRPSRFSRSAMTGSGRSFGGEEEFRGDLIPLEILDFDLILGMDWLTAHRANVDCFRKEVILRNSEGAEIVFVGKRRVLPSCVISAIKASKLVQKGYSTYLAYVIDTSKGEPKLENVPIVSEFPDVFPDDLPGLPPDRELEFPIDLLSGTAPISIPPYRMAPAELKELKVQLQELVDKGFIRPSISPWGAPVLFVKKKDGKAPKNRRNSVLYLMVHLS >EOX95926 pep chromosome:Theobroma_cacao_20110822:1:36048934:36053137:-1 gene:TCM_005308 transcript:EOX95926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase C1 MAALRSFLKKRSLTCNELMMARRLVSTEPIIESPFAQRLRDLPKDLPGTKIKREVSQLIGRTPLVFLNKVTEGCGAYIAVKQEMMQPTASIKDRPAMAMIADAEKKNLIAPGKTTLIEPTSGNMGISMAFMATMKGYKMVLTMPSYTSLERRVTMRAFGADLILTDPTKGMGGTVKKAYELLESTPNAFMLQQFSNPANTQVHFETTGPEIWEDTLGKVDIFVMGIGSGGTVSGVGQYLKSQNPNVKIYGVEPAESNVLNGGKPGKLFVDLTSMLFPSGPHHITGNGVGFKPDILDMDVMEKVLEVSSEDAVNMARELALKEGLMVGISSGANTVAALKLARLPENKGKLIVTVHPSFGERYLSSVLFQELRKEAENMQPVAVD >EOX96004 pep chromosome:Theobroma_cacao_20110822:1:36281186:36282477:1 gene:TCM_005362 transcript:EOX96004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin depolymerizing factor 11 MANSSSGMAMNDECKLKFLELKAKRNHRYIVFKIDEKTQQVIVEKLGSPGATYDDFTNSLPANECRYAVFDYNFTTNENCQKSKIFFFAWSPDTSRVRSKMLYASSKDKFKRQLDGIQVELQATDPSEMSLDIVKGRAL >EOX91986 pep chromosome:Theobroma_cacao_20110822:1:4771318:4773135:-1 gene:TCM_001019 transcript:EOX91986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAARKTNADDSCKRNPGISLARRVMRNCTGDGGDEVLLKVWIGLTTEFWVNLLWCTIKLGLLACSLPSWNLIQFAVVEGGGGAFLRRRTKDPTGKPTCVGLASRKNSWTFNSNDPKPSRTKEVLIDPRSRLTKRKDTEQTNIFFQKYPKYDNSMR >EOX93222 pep chromosome:Theobroma_cacao_20110822:1:11370876:11374204:-1 gene:TCM_002064 transcript:EOX93222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing-like protein MSVHHVAWIPSSDDSWRLNFAGCIGPDGGGSGCVLRDQNGIFKAAYATPLKDCRSLIMAELIALHRGFIIAIKYGVDYIEIEGNQSTVIRMLVRQIFEYSNEAANNIARISTELDEPSLWDDYPPVEITNILVTDIILLFLTIFSYVFQQTTWLFFVKILLIVEARGFEPNIVMLNVLINAFGIAGRHEEALSIYHHIRECEPFMLCMDMGYFFSNFDFLIVLKGISPNVIAYSTVMKAFIRAKKFDKVLTIIEVSEVT >EOX96336 pep chromosome:Theobroma_cacao_20110822:1:37421055:37426381:-1 gene:TCM_005607 transcript:EOX96336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 2 MSQCVPSWDLDDNPAIARHSLRSNSNSTAPDVPMLDYEVAELTWENGQLAMHSLGPPRVPAKPLNSTSPSKYTWDKPRAGGTLESIVNQATSFPYRNVSLDGGRDELVPWFDHHRAAVAAAAVASSSATMTMDALVPCSNRSEDRTTHVMESIRGLGGTCVVGCSTRVGSCSGPTGTQDDGVLLTGKRAREARVSVAPEWSSKDQNASASATFGTDSQHVTVDSYEKDFGVGFTSTSLGSPENTSSPRPCTKATTADDHDSVCHSRPQRKAGEEDKRKETGKSSVSTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQAQVHMMSRMNIPPMMFPMTMQQQLQMSMMAPMGMGMGMGMGIGMGVMDMSTMGRPNITGISPVLPNPFVTMTPWDGSGDRLQAASAAVMPDPLSAFLACQSQPITMDAYSRMAAMYQQMQHPPASSSKN >EOX96338 pep chromosome:Theobroma_cacao_20110822:1:37421165:37425701:-1 gene:TCM_005607 transcript:EOX96338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 2 MSQCVPSWDLDDNPAIARHSLRSNSNSTAPDVPMLDYEVAELTWENGQLAMHSLGPPRVPAKPLNSTSPSKYTWDKPRAGGTLESIVNQATSFPYRNVSLDGGRDELVPWFDHHRAAVAAAAVASSSATMTMDALVPCSNRSEDRTTHVMESIRGLGGTCVVGCSTRVGSCSGPTGTQDDGVLLTGKRAREARVSVAPEWSSKDQNASASATFGTDSQHVTVDSYEKDFGVGFTSTSLGSPENTSSPRPCTKATTADDHDSVCHSRPQRKAGEEDKRKETGKSSVSTKRSRAAAIHNQSERTDKASMLDEVIEYLKQLQAQVHMMSRMNIPPMMFPMTMQQQLQMSMMAPMGMGMGMGMGIGMGVMDMSTMGRPNITGISPVLPNPFVTMTPWDGSGDRLQAASAAVMPDPLSAFLACQSQPITMDAYSRMAAMYQQMQHPPASSSKN >EOX96337 pep chromosome:Theobroma_cacao_20110822:1:37421394:37425394:-1 gene:TCM_005607 transcript:EOX96337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 2 MCPCKNPLFLFLFLCRVCLCTCVSVCFSIFVVVVDVCDLLCRLDYEVAELTWENGQLAMHSLGPPRVPAKPLNSTSPSKYTWDKPRAGGTLESIVNQATSFPYRNVSLDGGRDELVPWFDHHRAAVAAAAVASSSATMTMDALVPCSNRSEDRTTHVMESIRGLGGTCVVGCSTRVGSCSGPTGTQDDGVLLTGKRAREARVSVAPEWSSKDQNASASATFGTDSQHVTVDSYEKDFGVGFTSTSLGSPENTSSPRPCTKATTADDHDSVCHSRPQRKAGEEDKRKETGKSSVSTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQAQVHMMSRMNIPPMMFPMTMQQQLQMSMMAPMGMGMGMGMGIGMGVMDMSTMGRPNITGISPVLPNPFVTMTPWDGSGDRLQAASAAVMPDPLSAFLACQSQPITMDAYSRMAAMYQQMQHPPASSSKN >EOX92260 pep chromosome:Theobroma_cacao_20110822:1:5986356:5989843:1 gene:TCM_001234 transcript:EOX92260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVTNDKFITRKNGSGLAARSLLPFDLAVRSIISGKGGELFRGGGPNSHIIHRCQRFLEMKLAALCYASKPRSKLLVLVMQKGPASHNLFV >EOX93671 pep chromosome:Theobroma_cacao_20110822:1:14925709:14929311:1 gene:TCM_002572 transcript:EOX93671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L5 B isoform 1 MVQAYVKAQKTKAYSKRYQVKFKRRREGKTDYRARTRLINQDKNKYNTPKYRLVARFTNKDLIAQIVHASIAGDIVLASAYAHELPRYGLEVGLTNYAAAYCVGLLLARRVLKQLEMDAEYEGNVEATGEDFTVEPAETRRPFRALLDVGLIRTTTGNRVFGVLKGALDGGLDIPHSDKRFAGFNKDSKQLDPEVHRKYIYGGHVAAYMRTLMEDEPEKYQSHFSEYIKRNIEPDNMEGLYKKVHAAIRADSEAKKSEREPPMEHKRYNLKKLSYDERKARLIDRLKVLNSAAGVDDDD >EOX93670 pep chromosome:Theobroma_cacao_20110822:1:14924476:14929055:1 gene:TCM_002572 transcript:EOX93670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L5 B isoform 1 MLTLSVQSGASKEKCKLVNLLHRVHKYSVQWEGFSIRAPFSLHSLEARLQTPEVKAMAYVKAQKTKAYSKRYQVKFKRRREGKTDYRARTRLINQDKNKYNTPKYRLVARFTNKDLIAQIVHASIAGDIVLASAYAHELPRYGLEVGLTNYAAAYCVGLLLARRVLKQLEMDAEYEGNVEATGEDFTVEPAETRRPFRALLDVGLIRTTTGNRVFGVLKGALDGGLDIPHSDKRFAGFNKDSKQLDPEVHRKYIYGGHVAAYMRTLMEDEPEKYQSHFSEYIKRNIEPDNMEGLYKKVHAAIRADSEAKKSEREPPMEHKRYNLKKLSYDERKARLIDRLKVLNSAAGVDDDD >EOX96404 pep chromosome:Theobroma_cacao_20110822:1:37669474:37674704:-1 gene:TCM_005654 transcript:EOX96404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding protein isoform 1 MTLLEVNPQTKTVQQDFMLKQEGGGLQRHLTSQRYWCSFGPDDHRKGGIVRPSRNTYIPKKTNAGRPNTKRGCTCHFIVKRLIAEPTVALIIYNQEKHVDKKGLPCHGPQDKKAAGTRAMFAPYISEDLRLRVLSLLYVGVSVETIMQRHNESVEKQGGPYNRDDLLTHRYVRRQERSIRRSTYELDADDAVSVNMWVESHQNHVFFYEDFTDSDPFTLGIQTEWQLQQMIRFGNCSLIASDSRFGTNKLKYPVHSLIVFNSDKKAIPVAWIITPRFASVDAHRWMRALYNRVRTKDPTWKLAGFIVDDPSVDVLTIRDVFECSVLISFWRVRHAWHKNLLKRCSETEMRVEISRRLGMAFDDISRRCGNVDLFEKFMEDFVDCLDFMDYFKAIWYPRIGAWISALGTLPLASLETCAAMEFYHNQLKLRLLNEKDPSVYQRTDWLVNKLGTKVHSYFWLDEYSGKDDFARYWKDEWMSGLTSWRKALKIPDSDVAIEGQCAKVTDQLDRDRVYVVWNPGSQYGICDCTWAEMGYLCEHVFKVIKVFHEKGSILPSVSLFQYNKALIDMLHCPPHDSLIRDHAVSLAIYVQKQLNSLVDPIQKQAKDSSQDASATVVSAKQNRGLVDEGPCVNGIISSNHENGYADCSEAPVSIASDLGSESVDGLVGINGICGKAAGEGISGSEMDVDPPSCISPPELPSLNEVVAGNVFSEHGDSDLINNVPNMKSKVPPKDNALRDESGCEEDIFNKNCHESVMAAELQPDEIPQTRQLLKPCTATHQDGFGSKSSEPSVASKSTPEKGTPSMSVTVEPQVLDTAAASGALNLDISMASESENEITDKNHSTDTALLSDGDHGNLGIVGDLGDEAKALDSCMAEHPETLTNHSKMMNYGDSEPASEVAAQETDDSGEKKFLGDITSTTESEPQKSVEDGRCGNKEICEQVANHQNGAVAVGIVSEEAANDSAISAGSDKQ >EOX96403 pep chromosome:Theobroma_cacao_20110822:1:37669413:37674780:-1 gene:TCM_005654 transcript:EOX96403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding protein isoform 1 MARWDQILSLPVQNPPTLEFSAADLVWSKVEGWRDNIDRVALIPFARVDDFVRGESANKDCPTRFHVEARRRRPPKAPYKPKVDGILEYILYWCSFGPDDHRKGGIVRPSRNTYIPKKTNAGRPNTKRGCTCHFIVKRLIAEPTVALIIYNQEKHVDKKGLPCHGPQDKKAAGTRAMFAPYISEDLRLRVLSLLYVGVSVETIMQRHNESVEKQGGPYNRDDLLTHRYVRRQERSIRRSTYELDADDAVSVNMWVESHQNHVFFYEDFTDSDPFTLGIQTEWQLQQMIRFGNCSLIASDSRFGTNKLKYPVHSLIVFNSDKKAIPVAWIITPRFASVDAHRWMRALYNRVRTKDPTWKLAGFIVDDPSVDVLTIRDVFECSVLISFWRVRHAWHKNLLKRCSETEMRVEISRRLGMAFDDISRRCGNVDLFEKFMEDFVDCLDFMDYFKAIWYPRIGAWISALGTLPLASLETCAAMEFYHNQLKLRLLNEKDPSVYQRTDWLVNKLGTKVHSYFWLDEYSGKDDFARYWKDEWMSGLTSWRKALKIPDSDVAIEGQCAKVTDQLDRDRVYVVWNPGSQYGICDCTWAEMGYLCEHVFKVIKVFHEKGSILPSVSLFQYNKALIDMLHCPPHDSLIRDHAVSLAIYVQKQLNSLVDPIQKQAKDSSQDASATVVSAKQNRGLVDEGPCVNGIISSNHENGYADCSEAPVSIASDLGSESVDGLVGINGICGKAAGEGISGSEMDVDPPSCISPPELPSLNEVVAGNVFSEHGDSDLINNVPNMKSKVPPKDNALRDESGCEEDIFNKNCHESVMAAELQPDEIPQTRQLLKPCTATHQDGFGSKSSEPSVASKSTPEKGTPSMSVTVEPQVLDTAAASGALNLDISMASESENEITDKNHSTDTALLSDGDHGNLGIVGDLGDEAKALDSCMAEHPETLTNHSKMMNYGDSEPASEVAAQETDDSGEKKFLGDITSTTESEPQKSVEDGRCGNKEICEQVANHQNGAVAVGIVSEEAANDSAISAGSDKQ >EOX94126 pep chromosome:Theobroma_cacao_20110822:1:22122407:22137055:1 gene:TCM_003342 transcript:EOX94126 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS/GAT family protein isoform 1 MAAELVNSATSEKLTEMDWTKNIEICELVARDQRQAKDVVKAIKKRLGSKNPNTQLYSVLLLEMLMNNIGENVHKQVIDSGILPILVKIVKKKSDLPIRERIFLLLDATQTSLGGSSGKFPQYYSAYYDLVSAGVQFPQRPHATPSNPPTSLPNKNNTLNGELAAARHEAIAQQTEPQIVPESSIIQKASNALEVLKEVLDAVDPQNPLGVKDEFTLDLVEQCSFQKQRVMHLVMSSQDEKVVSRAIELNEQLQKVLVRHDALLSGRTSVSSRPTSTINHFDPEEEEEEEPEQLFRRIRKGKACARPEDEECSRERPHLGLLGSSIPGEKERLNRPLIRPLSLEPSCENNANPSGVAIPPPPAKHMERERYFQEKKVDGSALAGHMRGMSLHSRNASSSRSGSMDFSD >EOX94124 pep chromosome:Theobroma_cacao_20110822:1:22122264:22137055:1 gene:TCM_003342 transcript:EOX94124 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS/GAT family protein isoform 1 MAAELVNSATSEKLTEMDWTKNIEICELVARDQRQAKDVVKAIKKRLGSKNPNTQLYSVLLLEMLMNNIGENVHKQVIDSGILPILVKIVKKKSDLPIRERIFLLLDATQTSLGGSSGKFPQYYSAYYDLVSAGVQFPQRPHATPSNPPTSLPNKNNTLNGELAAARHEAIAQQTEPQIVPESSIIQKASNALEVLKEVLDAVDPQNPLGVKDEFTLDLVEQCSFQKQRVMHLVMSSQDEKVVSRAIELNEQLQKVLVRHDALLSGRTSVSSRPTSTINHFDPEEEEEEEPEQLFRRIRKGKACARPEDEECSRERPHLGLLGSSIPGEKERLNRPLIRPLSLEPSCENNANPSGVAIPPPPAKHMERERYFQEKKVDGSALAGHMRGMSLHSRNASSSRSGSMDFSD >EOX94125 pep chromosome:Theobroma_cacao_20110822:1:22122264:22137055:1 gene:TCM_003342 transcript:EOX94125 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS/GAT family protein isoform 1 MAAELVNSATSEKLTEMDWTKNIEICELVARDQRQAKDVVKAIKKRLGSKNPNTQLYSVLLLEMLMNNIGENVHKQVIDSGILPILVKIVKKKSDLPIRERIFLLLDATQTSLGGSSGKFPQYYSAYYDLVSAGVQFPQRPHATPSNPPTSLPNKNNTLNGELAAARHEAIAQQTEPQIVPESSIIQKASNALEVLKEVLDAVDPQNPLGVKDEFTLDLVEQCSFQKQRVMHLVMSSQDEKVVSRAIELNEQLQKVLVRHDALLSGRTSVSSRPTSTINHFDPEEEEEEEPEQLFRRIRKGKACARPEDEECSRERPHLGLLGSSIPGEKERLNRPLIRPLSLEPSCENNANPSGVAIPPPPAKHMERERYFQEKKVDGSALAGHMRGMSLHSRNASSSRSGSMDFSD >EOX93028 pep chromosome:Theobroma_cacao_20110822:1:10008528:10010249:1 gene:TCM_001882 transcript:EOX93028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-activated outward-rectifying potassium channel, putative isoform 1 MTDQPFLPKTGQEEECSRRPSIRIPPGYLDLGPARRQSTAHLITNDAIIPIITTPNSSSYVNLIANLNKKRKITRRSYSAPSVFTDVREAFQDSSEQRPSSKSAPFIVRQAFVWLVLYILTGILMYMASASFKGTETFKPVDALYFIVVTLCTIGYGDIVPDTTFTKLFTCFFILIGFGFIDILLNGLVTYICDRQEAVLLSTVDENRFNTMVQTYMIDKAKGRMRIRMKVGLALAVVVICIAIGTIAVHFLENLDWVNSFYLSVTSVTTVGYGDYAFRTVTGRCFAILWLLVSTLAVARAFLYLTELRIDRRNRKIAKWVLQKKMTLGDLVAADLDNDGSIRQEIG >EOX93027 pep chromosome:Theobroma_cacao_20110822:1:10008528:10013522:1 gene:TCM_001882 transcript:EOX93027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-activated outward-rectifying potassium channel, putative isoform 1 MTDQPFLPKTGQEEECSRRPSIRIPPGYLDLGPARRQSTAHLITNDAIIPIITTPNSSSYVNLIANLNKKRKITRRSYSAPSVFTDVREAFQDSSEQRPSSKSAPFIVRQAFVWLVLYILTGILMYMASASFKGTETFKPVDALYFIVVTLCTIGYGDIVPDTTFTKLFTCFFILIGFGFIDILLNGLVTYICDRQEAVLLSTVDENRFNTMVQTYMIDKAKGRMRIRMKVGLALAVVVICIAIGTIAVHFLENLDWVNSFYLSVTSVTTVGYGDYAFRTVTGRCFAILWLLVSTLAVARAFLYLTELRIDRRNRKIAKWVLQKKMTLGDLVAADLDNDGSISKSEFVIYKLKEMGKIAEKDILQICNQFDALDNSNCGKITVADLMDSD >EOX93026 pep chromosome:Theobroma_cacao_20110822:1:10008317:10013139:1 gene:TCM_001882 transcript:EOX93026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-activated outward-rectifying potassium channel, putative isoform 1 MTDQPFLPKTGQEEECSRRPSIRIPPGYLDLGPARRQSTAHLITNDAIIPIITTPNSSSYVNLIANLNKKRKITRRSYSAPSVFTDVREAFQDSSEQRPSSKSAPFIVRQAFVWLVLYILTGILMYMASASFKGTETFKPVDALYFIVVTLCTIGYGDIVPDTTFTKLFTCFFILIGFGFIDILLNGLVTYICDRQEAVLLSTVDENRFNTMVQTYMIDKAKGRMRIRMKVGLALAVVVICIAIGTIAVHFLENLDWVNSFYLSVTSVTTVGYGDYAFRTVTGRCFAILWLLVSTLAVARAFLYLTELRIDRRNRKIAKWVLQKKMTLGDLVAADLDNDGSISKSEFVIYKLKEMGKIAEKDILQICNQFDALDNSNCGKITVADLMDSD >EOX95894 pep chromosome:Theobroma_cacao_20110822:1:35916705:35920406:-1 gene:TCM_005285 transcript:EOX95894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel protein isoform 3 MAGIRLSRLRSLSKSLYPSSQPPLLPLYNSYMKLTRNVDQNYVRSSYAYVDSIYNKRESQSAAKFANTHIKVVVSDPLAHKCFHKINPMAASSLNSSTLRYQSVFPFVLISPVLRNQSHSLSFSSKTDKSVESEVSASSGGGEVDVSNSGVIGSDWVDKVKEAWHNAVDAVGYTGQKAKEASNELKPHVEQLLDSHPYLKDVVVPVGFTLTGTILAWVVMPRLLRRFHKYAMQTSVLPSGSLLGDQVPYEKSFWGALEDPVRYLITFMAFSQIGMMVAPSTIASQYVAQAWRGAAILSFVWFLHRWKTNVLDRALAAQNLAGTDREKLLTMDKVSSVGLFVIGVMALAEACGVAVQSILTVGGIGGVATAFAARDILGNVLSGLSMQFSKPFSLGDTIKAGSIEGQVVDMGLTSTTLLNSEKYPVLVPNSLFSSQVIMNKSRAQWRAVVTKVPLQIEDLDKIPQISNDIKGMLKSNSKVFLGKEAPYCFLSHIESSYAELTIGCNLR >EOX95895 pep chromosome:Theobroma_cacao_20110822:1:35915762:35920555:-1 gene:TCM_005285 transcript:EOX95895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel protein isoform 3 MAGIRLSRLRSLSKSLYPSSQPPLLPLYNSYMKLTRNVDQNYVRSSYAYVDSIYNKRESQSAAKFANTHIKVVVSDPLAHKCFHKINPMAASSLNSSTLRYQSVFPFVLISPVLRNQSHSLSFSSKTDKSVESEVSASSGGGEVDVSNSGVIGSDWVDKVKEAWHNAVDAVGYTGQKAKEASNELKPHVEQLLDSHPYLKDVVVPVGFTLTGTILAWVVMPRLLRRFHKYAMQTSVLPSGSLLGDQVPYEKSFWGALEDPVRYLITFMAFSQIGMMVAPSTIASQYVAQAWRGAAILSFVWFLHRWKTNVLDRALAAQNLAGTDREKLLTMDKVSSVGLFVIGVMALAEACGVAVQSILTVGGIGGSKDELYSTQQDILLQSVQIIKKHGATLGNTFQGTASQ >EOX95893 pep chromosome:Theobroma_cacao_20110822:1:35915701:35920673:-1 gene:TCM_005285 transcript:EOX95893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel protein isoform 3 MAGIRLSRLRSLSKSLYPSSQPPLLPLYNSYMKLTRNVDQNYVRSSYAYVDSIYNKRESQSAAKFANTHIKVVVSDPLAHKCFHKINPMAASSLNSSTLRYQSVFPFVLISPVLRNQSHSLSFSSKTDKSVESEVSASSGGGEVDVSNSGVIGSDWVDKVKEAWHNAVDAVGYTGQKAKEASNELKPHVEQLLDSHPYLKDVVVPVGFTLTGTILAWVVMPRLLRRFHKYAMQTSVLPSGSLLGDQVPYEKSFWGALEDPVRYLITFMAFSQIGMMVAPSTIASQYVAQAWRGAAILSFVWFLHRWKTNVLDRALAAQNLAGTDREKLLTMDKVSSVGLFVIGVMALAEACGVAVQSILTVGGIGGVATAFAARDILGNVLSGLSMQFSKPFSLGDTIKAGSIEGQVVDMGLTSTTLLNSEKYPVLVPNSLFSSQVIMNKSRAQWRAVVTKVPLQIEDLDKIPQISNDIKGMLKSNSKVFLGKEAPYCFLSHIESSYAELTIGCNLRHMSKDELYSTQQDILLQSVQIIKKHGATLGNTFQGTASQ >EOX92429 pep chromosome:Theobroma_cacao_20110822:1:6803941:6804574:-1 gene:TCM_001382 transcript:EOX92429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRRQRGNGTSTLLVLAVVLVAAYDLSLIPDATARSHPYSLPPCEPNPRSPPPAPPAVTQPPPSELSPPPPPRRRSPPRHGHGCPHRRHEDCPCRHRQDCPCHYDVDDYDDCPPLP >EOX94076 pep chromosome:Theobroma_cacao_20110822:1:20020979:20022289:-1 gene:TCM_003170 transcript:EOX94076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic release factor 1-3 isoform 1 MADGHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYSKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSSEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDITRYLLKNSITGEIVIKHLNKEQEANQNNFRDSASSADMEVQEKMPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDELSDDEVYDDSE >EOX94078 pep chromosome:Theobroma_cacao_20110822:1:20020259:20023461:-1 gene:TCM_003170 transcript:EOX94078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic release factor 1-3 isoform 1 MADGHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYSKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSSEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDITRYLLKNSITGEIVIKHLNKEQEANQNNFRDSASSADMEVQEKMPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDELSDDEVYDDSE >EOX94077 pep chromosome:Theobroma_cacao_20110822:1:20020104:20023656:-1 gene:TCM_003170 transcript:EOX94077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic release factor 1-3 isoform 1 MADGHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYSKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSSEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDITRYLLKNSITGEIVIKHLNKEQEANQNNFRDSASSADMEVQEKMPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDELSDDEVYDDSE >EOX91491 pep chromosome:Theobroma_cacao_20110822:1:2862205:2862715:1 gene:TCM_000663 transcript:EOX91491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALHFTKKLSKTDVVEKRLSLPTKYMKHLPGLRRGHAVNLPVMDVHGTEWHFRYSIRRKGHPKPVLSMGWGNFVKSKCLRPGDRIIFKMEANVAAAPGPLYIIGVKRRMRLLGKEVWTAVFLKRK >EOX93161 pep chromosome:Theobroma_cacao_20110822:1:10957393:10968106:1 gene:TCM_002008 transcript:EOX93161 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 3 MSGEVEEDEERRRQRKLEEVLEVKSLRRIISAYLNYPEAAEEDVRRFERSFKKLSPAHKALLSHYPLKFQRLRRCISVNSYFIFNMLQSFEPPLDMSQDVDICEDPHLENFQHEHCHSEERNACFCQSASTSGRMCCSNLAQACSQERSNIISNPTAETTHEEVQSGHQHETISGSCAGEVGNDKEIAECCGNDVTDSNGNVFSSPHDWLDPSLQLNVPLVDVDKVRCIIRNIVRDWAAEGEKERDQCYKPILEELDALFPNRSKESPPACLVPGAGLGRLALEISCLGFISQGNEFSYYMMLCSSFILNHTQTTGEWTIYPWIHSNCNSLSDNDQLRPVSIPDIHPASAGITEGFSMCGGDFVEVYNDSSQIGVWDAVVTCFFIDTAHNIIEYIEIISKILKEGGVWINLGPLLYHFADVYGQEDEMSIELSLEDVKKVALRYGFQFEKEQTIETTYTTNPRSMMQNHYFAVFWTLRKKRTSTT >EOX93160 pep chromosome:Theobroma_cacao_20110822:1:10958736:10967401:1 gene:TCM_002008 transcript:EOX93160 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 3 MVKFIFRCKALLSHYPLKFQRLRRCISVNSYFIFNMLQSFEPPLDMSQDVDICEDPHLENFQHEHCHSEERNACFCQSASTSGRMCCSNLAQACSQERSNIISNPTAETTHEEVQSGHQHETISGSCAGEVGNDKEIAECCGNDVTDSNGNVFSSPHDWLDPSLQLNVPLVDVDKVRCIIRNIVRDWAAEGEKERDQCYKPILEELDALFPNRSKESPPACLVPGAGLGRLALEISCLGFISQGNEFSYYMMLCSSFILNHTQTTGEWTIYPWIHSNCNSLSDNDQLRPVSIPDIHPASAGITEGFSMCGGDFVEVYNDSSQIGVWDAVVTCFFIDTAHNIIEYIEIISKILKEGGVWINLGPLLYHFADVYGQEDEMSIELSLEDVKKVALRYGFQFEKEQTIETTYTTNPRSMMQNHYFAVFWTLRKKRTSTT >EOX93159 pep chromosome:Theobroma_cacao_20110822:1:10957396:10968064:1 gene:TCM_002008 transcript:EOX93159 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 3 MSGEVEEDEERRRQRKLEEVLEVKSLRRIISAYLNYPEAAEEDVRRFERSFKKLSPAHKALLSHYPLKFQRLRRCISVNSYFIFNMLQSFEPPLDMSQDVDICEDPHLENFQHEHCHSEERNACFCQSASTSGRMCCSNLAQACSQERSNIISNPTAETTHELQEVQSGHQHETISGSCAGEVGNDKEIAECCGNDVTDSNGNVFSSPHDWLDPSLQLNVPLVDVDKAPPDFDSYLLLSVLQQLFFHLNLALLVASAYCSTTMANLYEFSILMVLGQACMCSLVYGYFDIRGIITLLQGEKERDQCYKPILEELDALFPNRSKESPPACLVPGAGLGRLALEISCLGFISQGNEFSYYMMLCSSFILNHTQTTGEWTIYPWIHSNCNSLSDNDQLRPVSIPDIHPASAGITEGFSMCGGDFVEVYNDSSQIGVWDAVVTCFFIDTAHNIIEYIEIISKILKEGGVWINLGPLLYHFADVYGQEDEMSIELSLEDVKKVALRYGFQFEKEQTIETTYTTNPRSMMQISIKMGYNSKIVLLMKHQALMFLIKQFISVCFPMLTCAELVDDVSVKGTRETFIPEC >EOX95826 pep chromosome:Theobroma_cacao_20110822:1:35688579:35691531:-1 gene:TCM_005230 transcript:EOX95826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase family protein isoform 1 MSAFLHQRPIHNPFSNAYPISPRPSSAFQRPISIFSPTGLIILLSLMVILGVFLPWSGMPQSMFSNSIKASSLSKWRDYTLAEAASFVAKNGTVIVCAVSQPYLPFLNNWLISITRQKHQDKVLVIAEDYATLYKVNEKWPGHAVLVPPAPDSQTAHKFGSQGFFNFTSRRPRHLLQILELGYNVMYNDVDMVWLGDPFRYLEGNHDVYFTDDMAVVKPPNHSHDLPPPGKKGRTYICSCMIFLRPTDGAKLVMKEWIEELQAQPWSKAKKANDQPAFNWALNRTAGQVDLCLLPQTAFPTGGLYFKNQTWVQETKGTHVIIHNNYITGFEKKIKRFRDYGLWLVDDHFLESPLGRL >EOX95827 pep chromosome:Theobroma_cacao_20110822:1:35689091:35691667:-1 gene:TCM_005230 transcript:EOX95827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase family protein isoform 1 MSAFLHQRPIHNPFSNAYPISPRPSSAFQRPISIFSPTGLIILLSLMVILGVFLPWSGMPQSMFSNSIKASSLSKWRDYTLAEAASFVAKNGTVIVCAVSQPYLPFLNNWLISITRQKHQDKVLVIAEDYATLYKVNEKWPGHAVLVPPAPDSQTAHKFGSQGFFNFTSRRPRHLLQILELGYNVMYNDVDMVWLGDPFRYLEGNHDVYFTDDMAVVKPPNHSHDLPPPGKKGRTYICSCMIFLRPTDGAKLVMKEWIEELQAQPWSKAKKANDQPAFNWALNRTAGQTAFPTGGLY >EOX91554 pep chromosome:Theobroma_cacao_20110822:1:3080705:3083078:1 gene:TCM_000698 transcript:EOX91554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamoyl alcohol dehydrogenase MEGRRVIGLAARDESGHLSPYSFTLRKTGPEDVVLKVLYCGVDHTDLHQMRSEIFPINYPLVPGHEVVGEVVELGSEAKKFKLGDKVGVGCMISSCGKCMACESNSEQYCSERILTYGGTNKDGTPTQGGFSSAMVVHQKFVVKIPEKLAPEQAAPLLCAGVTAYSPLKQFYNPDKAIKAEILGLGGVGHLGVLIAKAMGHHVTVISSSENKRVEALEQLGADAFLVSSNAAEMEGAANSLDYILDTVPALHPLGPYISLLKVAGKLIFVGVATKPLCFNTEHLLLGTKSLTGSFIGSMEETQEILDFWSEKALTSMIEVVKADYINKAFEKMERNEVRYRFVLDVAGSNLE >EOX95501 pep chromosome:Theobroma_cacao_20110822:1:34469012:34487829:-1 gene:TCM_004984 transcript:EOX95501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSLPKEPEQVMKMRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQADSLRVHGVAIPTIVGIQNVLKHIGAQKDGKQAHVLWISLREEPVVYINGRPFVLRDVERPFSNLEYTGINRHRVEQMEARLKEDILMEAARYANKILVTDELPDGQMVDQWERVSFDSVKTPLEVYEELQLEGYLVDYERVPITDEKSPKELDFDILVNKISQADISTEVIFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTNSIGRVFESGSNVTDSMPNSEVAIRRGEYAVIRSLIRVLEGGVEGKRQVDKVIDKCSSMQNLREAIAAYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYFHSERAALRSSSCDHTSFADWMKARPELYSIIRRLLRRDPMGALGYASLKPSLTKVIESGDGRPHEVGVVAALRNGEVLGSQTVLKSDHCPGCQNVSLPERVEGAPNFREVPGFPVYGVANPTIDGILSVIQRIGSAKGGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRERVERMEARLKEDILREAERYEGAIMVIHETDDGQIFDAWEHVNSDSIQTPLEVFKCLGDDGFPIKYARVPITDGKAPKSSDFDTLAANVASASKDTSFVFNCQMGRGRTTTGTVIACLVKLRIDYGRPIKALVDDMSREQADGSSSSGEESGSSATRLTSSTVKVKTENEQGRAFGIDDILLLWKITRLFDNGVECREALDAIIDRCSALQNIRQAVLQYRKVFNQQHVEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFCGQGECMMTFKNWLHQRPEVQAMKWSIRLRPGRFFTVPEELRAPHESQHGDAVMEAIVKARNGSVLGNGSILKMYFFPGQRTSSNIQIHGAPHVFKVDEYPVYSMATPTISGAKEMLAYLGANKSKAEGFAGQKVVVTDLREEAVVYINGTPFVLRELNKPVDTLKHVGITGPVVEHMEARLKEDILSEVRQSGGRMLLHREEYSPLSNQSSVVGYWENIFADDVKSPAEVYAALKNEGYNIAYRRIPLTREREALASDVDEIQNCQDDSSRCYLYISHTGFGGVAYAMAIICSRLDAEVKFGTSSVTQSLADAHLHSTLEENLPSRTSDEEALRMGDYRDILSLTRVLIHGPKSKADVDIIIERCAGAGHLRDDILHYNKELEKVTDDDDEHRAYLMDMGIKALRRYFFLITFRSYLYCTSPIETKFTSWMDARPELGHLCSNLRIDK >EOX95502 pep chromosome:Theobroma_cacao_20110822:1:34469576:34486795:-1 gene:TCM_004984 transcript:EOX95502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSLPKEPEQVMKMRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQADSLRVHGVAIPTIVGIQNVLKHIGAQKDGKQAHVLWISLREEPVVYINGRPFVLRDVERPFSNLEYTGINRHRVEQMEARLKEDILMEAARYANKILVTDELPDGQMVDQWERVSFDSVKTPLEVYEELQLEGYLVDYERVPITDEKSPKELDFDILVNKISQADISTEVIFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTNSIGRVFESGSNVTDSMPNSEVAIRRGEYAVIRSLIRVLEGGVEGKRQVDKVIDKCSSMQNLREAIAAYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYFHSERAALRSSSCDHTSFADWMKARPELYSIIRRLLRRDPMGALGYASLKPSLTKVIESGDGRPHEVGVVAALRNGEVLGSQTVLKSDHCPGCQNVSLPERVEGAPNFREVPGFPVYGVANPTIDGILSVIQRIGSAKGGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRERVERMEARLKEDILREAERYEGAIMVIHETDDGQIFDAWEHVNSDSIQTPLEVFKCLGDDGFPIKYARVPITDGKAPKSSDFDTLAANVASASKDTSFVFNCQMGRGRTTTGTVIACLVKLRIDYGRPIKALVDDMSREQADGSSSSGEESGSSATRLTSSTVKVKTENEQGRAFGIDDILLLWKITRLFDNGVECREALDAIIDRCSALQNIRQAVLQYRKVFNQQHVEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFCGQGECMMTFKNWLHQRPEVQAMKWSIRLRPGRFFTVPEELRAPHESQHGDAVMEAIVKARNGSVLGNGSILKMYFFPGQRTSSNIQIHGAPHVFKVDEYPVYSMATPTISGAKEMLAYLGANKSKAEGFAGQKVVVTDLREEAVVYINGTPFVLRELNKPVDTLKHVGITGPVVWNTWRHG >EOX91988 pep chromosome:Theobroma_cacao_20110822:1:4778926:4785471:-1 gene:TCM_001021 transcript:EOX91988 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein, putative MGRVTILRDLIGIIKDKASQSKAALLSNPKTLSLHLALLRATTHDPFTPPDPRHLAALLSFGHSSRAIAATAIEALMDRLQTTRDASVAIKCLFTIHHIIKRGSFILQDQLSVFPATGGRNYLKLSNFRDNTTPLTWELSSWVRWYALYLESLLSTSRILGFFLCSTSSSVDIDKEEEKVSSLINSELLREINSLVNLLEQISKSPNSLHANGNILVEEIQGLVGEDYLSSINEVSIRVGEVRERLSSLSFVDSVEWVCALKRLEDCKERSLALSQRKKVLIDAVWGSISEIKDQVGSSKVYREEGRLLTMGSRNKASESARFGERVLKHGDSVKFSSGRFLRSNNLSFRSYESVESYA >EOX90808 pep chromosome:Theobroma_cacao_20110822:1:686226:688036:-1 gene:TCM_000175 transcript:EOX90808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEDYEIEEKKQAAADVLFQYSKFAMACIGNQVRPCDLRLHLMKEISGLPTSLKRAPSQAAASPDAMGESSSSGTARIDKPGSLQAILL >EOX92395 pep chromosome:Theobroma_cacao_20110822:1:6664261:6666914:1 gene:TCM_001349 transcript:EOX92395 gene_biotype:protein_coding transcript_biotype:protein_coding description:BolA-like family protein isoform 1 EYWTLGLYPCLEVEPSQQRKKRRRRGTEKEEMGVTKEQVESSLTSKLKPSHLEVIDTSGGWCGASFAIEIVSEQFEGKRLLERHRIVNGALEEEMKQIHALSIKKALTPEQWKQQQESKKI >EOX92394 pep chromosome:Theobroma_cacao_20110822:1:6664266:6666790:1 gene:TCM_001349 transcript:EOX92394 gene_biotype:protein_coding transcript_biotype:protein_coding description:BolA-like family protein isoform 1 MGVTKEQVESSLTSKLKPSHLEVIDTSGGCGASFAIEIVSEQFEGKRLLERHRIVNGALEEEMKQIHALSIKKALTPEQWKQQQESKKI >EOX95078 pep chromosome:Theobroma_cacao_20110822:1:32830385:32831155:1 gene:TCM_046986 transcript:EOX95078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 family protein / LVR family protein MVRGEVLSAYRALLRATRKSFAGDTLMLNASAAEVRKKFNENRHVTSEPEIQRLLDEAREASHFISTMIVQAKLNDRGGYEVKTSKEHAGATLEIPSEEIIRKSA >EOX92563 pep chromosome:Theobroma_cacao_20110822:1:7521396:7524244:1 gene:TCM_001500 transcript:EOX92563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQRQNRSNWLIFLVMDREQEELQFLGFFGILKESIKIIFSWRKIFSQVTLALIFPLSFIFLAHIQISQLLFTNILRDQDALNYTRVGSSRYTKLSDILSSEWSAFWLFKAAYFTFLLILSLLSTSAVVYTIACIYTAKEISFKKVMSVVPKVWKRLVVTFLWSFVIVMVYNVLAAVLLLSSVAFLGLSNVGVAIFVVLFILYLSGFVYITLVWHLASVVSVLEDVYGIKAMIKSKALIKGKMGVAAAIFLLLVLCFVGIQLLFEVGVVFAPVSTEIKIVIGFLCFLLLFKVILFGLVAQTVIYFVCKSYHHENIDKSSLADHLEVYLGEYVPLKAKDVQLEQFHV >EOX93729 pep chromosome:Theobroma_cacao_20110822:1:15463799:15465309:1 gene:TCM_002654 transcript:EOX93729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein isoform 1 MESSSSQGIDSDESVRCLQVENFDEQELVDHDLSDNIELCMGEVDKIIEESTESLPLLSNAVEPYIGMEFKSRDAAREFYVVYGRRTGFTVRIHHNRRSRINNMVIGQDFVCSKEGFREKKYMYRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFVKEHNHSLMSPGKVPWRGSAKNLISEDEKDQRIRELTQELNSEKQKCKRRCAAYQEQLRTILKFVEEHTDQLSKRVQDIVENIRELEDAQLEDSDCSYV >EOX93727 pep chromosome:Theobroma_cacao_20110822:1:15462910:15465241:1 gene:TCM_002654 transcript:EOX93727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein isoform 1 MESSSSQGIDSDESVRCLQVENFDEQELVDHDLSDNIELCMGEVDKIIEESTESLPLLSNAVEPYIGMEFKSRDAAREFYVVYGRRTGFTVRIHHNRRSRINNMVIGQDFVCSKEGFREKKYMYRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFVKEHNHSLMSPGKVPWRGSAKNLISEDEKDQRIRELTQELNSEKQKCKRRCAAYQEQLRTILKFVEEHTDQLSKRVQDIVENIRELEDAQLEDSDCSYV >EOX93730 pep chromosome:Theobroma_cacao_20110822:1:15462844:15465310:1 gene:TCM_002654 transcript:EOX93730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein isoform 1 MESSSSQGIDSDESVRCLQVENFDEQELVDHDLSDNIELCMGEVDKIIEESTESLPLLSNAVEPYIGMEFKSRDAAREFYVVYGRRTGFTVRIHHNRRSRINNMVIGQDFVCSKEGFREKKYMYRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFVKEHNHSLMSPGKVPWRGSAKNLISEDEKDQRIRELTQELNSEKQKCKRRCAAYQEQLRTILKFVEEHTDQLSKRVQDIVENIRELEDAQLEDSDCSYV >EOX93728 pep chromosome:Theobroma_cacao_20110822:1:15462843:15465565:1 gene:TCM_002654 transcript:EOX93728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein isoform 1 MESSSSQGIDSDESVRCLQVENFDEQELVDHDLSDNIELCMGEVDKIIEESTESLPLLSNAVEPYIGMEFKSRDAAREFYVVYGRRTGFTVRIHHNRRSRINNMVIGQDFVCSKEGFREKKYMYRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFVKEHNHSLMSPGKVPWRGSAKNLISEDEKDQRIRELTQELNSEKQKCKRRCAAYQEQLRTILKFVEEHTDQLSKRVQDIVENIRELEDAQLEDSDCSYV >EOX93724 pep chromosome:Theobroma_cacao_20110822:1:15462806:15465310:1 gene:TCM_002654 transcript:EOX93724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein isoform 1 MESSSSQGIDSDESVRCLQVENFDEQELVDHDLSDNIELCMGEVDKIIEESTESLPLLSNAVEPYIGMEFKSRDAAREFYVVYGRRTGFTVRIHHNRRSRINNMVIGQDFVCSKEGFREKKYMYRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFVKEHNHSLMSPGKVPWRGSAKNLISEDEKDQRIRELTQELNSEKQKCKRRCAAYQEQLRTILKFVEEHTDQLSKRVQDIVENIRELEDAQLEDSDCSYV >EOX93725 pep chromosome:Theobroma_cacao_20110822:1:15463439:15465310:1 gene:TCM_002654 transcript:EOX93725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein isoform 1 MESSSSQGIDSDESVRCLQVENFDEQELVDHDLSDNIELCMGEVDKIIEESTESLPLLSNAVEPYIGMEFKSRDAAREFYVVYGRRTGFTVRIHHNRRSRINNMVIGQDFVCSKEGFREKKYMYRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFVKEHNHSLMSPGKVPWRGSAKNLISEDEKDQRIRELTQELNSEKQKCKRRCAAYQEQLRTILKFVEEHTDQLSKRVQDIVENIRELEDAQLEDSDCSYV >EOX93726 pep chromosome:Theobroma_cacao_20110822:1:15463377:15465310:1 gene:TCM_002654 transcript:EOX93726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein isoform 1 MESSSSQGIDSDESVRCLQVENFDEQELVDHDLSDNIELCMGEVDKIIEESTESLPLLSNAVEPYIGMEFKSRDAAREFYVVYGRRTGFTVRIHHNRRSRINNMVIGQDFVCSKEGFREKKYMYRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFVKEHNHSLMSPGKVPWRGSAKNLISEDEKDQRIRELTQELNSEKQKCKRRCAAYQEQLRTILKFVEEHTDQLSKRVQDIVENIRELEDAQLEDSDCSYV >EOX91398 pep chromosome:Theobroma_cacao_20110822:1:2566413:2573571:1 gene:TCM_000604 transcript:EOX91398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MANPGVGNKFVSVNLNKSYGQQSSKYHYHSHHPGSYGSNRARPGASGGGGGGMVVLSRPRSSQKAGPKLSVPPPLNLPSLRKEHERFDSLGPGGVPASGGIPGSGPRPSSSGMGWTKPGTVALQEKEGLVGGGDHVDDGVDQGLNTGDGVSRGSSGVYMPPSARPGVGGSTSSMSVSAQGFPPLDKATVLRGEDFPSLQAALPIVSGNEKKQKDGLNQKQKQLAVEELSNENRDGSRLSSVIDMRPQLQPGRIAVGNELSENGSEGYGVSGSRLVEQDRKQDEYFPGPLPLVRLNPRSDWADDERDTGQGFTDRGRDHGYSKSEAYRDRDLEMPRAGGPLHKPAHSLFDRWGQRDNETRRTPSSEVLKLDPYGRDAKTPSREGREGNGWRASSPLPKEGAGAQEIASDRNGFGTRPSSMNREKENKYIPSPFRDNAQDDIRRDVGYGHGGRQAWNSTTDSFSSRGSERNTRERYGNDQYNRYKGDAFQNSSLSKSSFSLGGKGLPVNDPILNFGREKRPLSKNEKPYIEDPFMKDFVAAGFDGRDPFPGNLVGVVKRKKDMFKQTDFHDPVRESFEAELERVQKLQEQERRRIIEEQERALEQARREEEERLRLAREQEEQLRRLEEEAREAAWRAEQERLEALQRAEEQRIAREEEKCRILMEEERRKQAAKQKLLELEERIAKRQAEAAKGGSHFSAGVDEKISGMVKERDVSKATDVGDWEDGERMVERITTSASSDSSGLNRPFEMTSRPHFSNASSAFSDRGKPFNSWRRDVFENGNSSAFTGQETENGHHSPRRDGSVGVRPFPKKESYGGAAYVSSRPYYRAGVPEPHMDDFGQPKGQRWNVSRDGDQYGRNAEIESEYHENLAENYGDVTWGQQSRGNIYPPYPERFYHNPEGDGLYSLGRSRYSVRQPRVLPPPSLSSMQKTSYRGEPEHPGPSTFLENAIQYNHATRGGSAMERVYDSGHQDDLVQHGIIDTQPENTENEVQKVDGNAAGCDSQSSLSVSSPPDSPVHLSHDDLDESGDSAVLLAEEGKEVDLPRQGFEPLVLPTEAGKENVRTASSSISASNDEEWTVDNNEQLQEQEEYDEDEDAFQEEDEVHEGDDGNIDLAQEFDEMRLEVKESPDMMDNLVLGFNEGVEVGMPNDEFERSSRNEDSTYAIKQIPVEETISFDAMHGDRNTLQSMDAPSQGSLDSSSRIFQETEKAMQDLVVQPNTAPQALIASDLMDHLNATGSTGVLAENSLPSSVSMSSHSSSGQSGMPSAASVPSQAEIPLKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPQVGPSLTQMHPSQPPLFQFGQLRYTSPISQGVLPLAPQAVSFVQPNVPVNFSLNQNPGVCLPVQPSQDTSANSLMKNEVSSLLDNQSGLPRSLDLSQGNVLKEEISIPARKNVMKQHGHVERSNIGDNTARSGSGFPSEDQGQQNSVCRNFKGLSSKQLEGEVQTVLTSSQSVSKERELSGLRGQTYSNRGKKYVFTVKGSNPRSASLASEASRQESSGYQRRARRPRTEFRIRENSDKKQSTGMVSSNHPNELGLDEKSNANGRSTGFSTRNGVRKVVVVNKSKQTIESECSNSALGSSQEIDSGNRNEKGLGKESLMRSQNISRFEEGNLKRNIEEDVDAPLQSGIVRVFEQPGIEAPSDEDDFIEVRSKRQMLNDRREQREKEFKAKSRVAKPPRKPRATPQSTTVSASSNRNSSSASGVVNNVRSDFVSAGFGATVVSQPLAPIGTPAIKTDALADLRTQGVKSLQTTSLPATSGGGPNLVSGFMFESKSKVLDNVQTSLGSWGNSRINQQVMTLTQTQLDDAMKPVQFDTRASIGDRTSSVTEPSMPSSSIVLKDKSFSSAASPINSLLAGEKIQFVTSPTVLTPS >EOX91399 pep chromosome:Theobroma_cacao_20110822:1:2566413:2573278:1 gene:TCM_000604 transcript:EOX91399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MANPGVGNKFVSVNLNKSYGQQSSKYHYHSHHPGSYGSNRARPGASGGGGGGMVVLSRPRSSQKAGPKLSVPPPLNLPSLRKEHERFDSLGPGGVPASGGIPGSGPRPSSSGMGWTKPGTVALQEKEGLVGGGDHVDDGVDQGLNTGDGVSRGSSGVYMPPSARPGVGGSTSSMSVSAQGFPPLDKATVLRGEDFPSLQAALPIVSGNEKKQKDGLNQKQKQLAVEELSNENRDGSRLSSVIDMRPQLQPGRIAVGNELSENGSEGYGVSGSRLVEQDRKQDEYFPGPLPLVRLNPRSDWADDERDTGQGFTDRGRDHGYSKSEAYRDRDLEMPRAGGPLHKPAHSLFDRWGQRDNETRRTPSSEVLKLDPYGRDAKTPSREGREGNGWRASSPLPKEGAGAQEIASDRNGFGTRPSSMNREKENKYIPSPFRDNAQDDIRRDVGYGHGGRQAWNSTTDSFSSRGSERNTRERYGNDQYNRYKGDAFQNSSLSKSSFSLGGKGLPVNDPILNFGREKRPLSKNEKPYIEDPFMKDFVAAGFDGRDPFPGNLVGVVKRKKDMFKQTDFHDPVRESFEAELERVQKLQEQERRRIIEEQERALEQARREEEERLRLAREQEEQLRRLEEEAREAAWRAEQERLEALQRAEEQRIAREEEKCRILMEEERRKQAAKQKLLELEERIAKRQAEAAKGGSHFSAGVDEKISGMVKERDVSKATDVGDWEDGERMVERITTSASSDSSGLNRPFEMTSRPHFSNASSAFSDRGKPFNSWRRDVFENGNSSAFTGQETENGHHSPRRDGSVGVRPFPKKESYGGAAYVSSRPYYRAGVPEPHMDDFGQPKGQRWNVSRDGDQYGRNAEIESEYHENLAENYGDVTWGQQSRGNIYPPYPERFYHNPEGDGLYSLGRSRYSVRQPRVLPPPSLSSMQKTSYRGEPEHPGPSTFLENAIQYNHATRGGSAMERVYDSGHQDDLVQHGIIDTQPENTENEVQKVDGNAAGCDSQSSLSVSSPPDSPVHLSHDDLDESGDSAVLLAEEGKEVDLPRQGFEPLVLPTEAGKENVRTASSSISASNDEEWTVDNNEQLQEQEEYDEDEDAFQEEDEVHEGDDGNIDLAQEFDEMRLEVKESPDMMDNLVLGFNEGVEVGMPNDEFERSSRNEDSTYAIKQIPVEETISFDAMHGDRNTLQSMDAPSQGSLDSSSRIFQETEKAMQDLVVQPNTAPQALIASDLMDHLNATGSTGVLAENSLPSSVSMSSHSSSGQSGMPSAASVPSQAEIPLKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPQVGPSLTQMHPSQPPLFQFGQLRYTSPISQGVLPLAPQAVSFVQPNVPVNFSLNQNPGVCLPVQPSQDTSANSLMKNEVSSLLDNQSGLPRSLDLSQGNVLKEEISIPARKNVMKQHGHVERSNIGDNTARSGSGFPSEDQGQQNSVCRNFKGLSSKQLEGEVQTVLTSSQSVSKERELSGLRGQTYSNRGKKYVFTVKGSNPRSASLASEASRQESSGYQRRARRPRTEFRIRENSDKKQSTGMVSSNHPNELGLDEKSNANGRSTGFSTRNGVRKVVVVNKSKQTIESECSNSALGSSQEIDSGNRNEKGLGKESLMRSQNISRFEEGNLKRNIEEDVDAPLQSGIVRVFEQPGIEAPSDEDDFIEVRSKRQMLNDRREQREKEFKAKSRVAKPPRKPRATPQSTTVSASSNRNSSSASGVVNNVRSDFVSAGFGATVVSQPLAPIGTPAIKTDALADLRTQGVKSLQTTSLPATSGGGPNLVSGFMFESKSKVLDNVQTSLGSWGNSRINQQAGIFCILIMSELVM >EOX91397 pep chromosome:Theobroma_cacao_20110822:1:2565855:2576261:1 gene:TCM_000604 transcript:EOX91397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MANPGVGNKFVSVNLNKSYGQQSSKYHYHSHHPGSYGSNRARPGASGGGGGGMVVLSRPRSSQKAGPKLSVPPPLNLPSLRKEHERFDSLGPGGVPASGGIPGSGPRPSSSGMGWTKPGTVALQEKEGLVGGGDHVDDGVDQGLNTGDGVSRGSSGVYMPPSARPGVGGSTSSMSVSAQGFPPLDKATVLRGEDFPSLQAALPIVSGNEKKQKDGLNQKQKQLAVEELSNENRDGSRLSSVIDMRPQLQPGRIAVGNELSENGSEGYGVSGSRLVEQDRKQDEYFPGPLPLVRLNPRSDWADDERDTGQGFTDRGRDHGYSKSEAYRDRDLEMPRAGGPLHKPAHSLFDRWGQRDNETRRTPSSEVLKLDPYGRDAKTPSREGREGNGWRASSPLPKEGAGAQEIASDRNGFGTRPSSMNREKENKYIPSPFRDNAQDDIRRDVGYGHGGRQAWNSTTDSFSSRGSERNTRERYGNDQYNRYKGDAFQNSSLSKSSFSLGGKGLPVNDPILNFGREKRPLSKNEKPYIEDPFMKDFVAAGFDGRDPFPGNLVGVVKRKKDMFKQTDFHDPVRESFEAELERVQKLQEQERRRIIEEQERALEQARREEEERLRLAREQEEQLRRLEEEAREAAWRAEQERLEALQRAEEQRIAREEEKCRILMEEERRKQAAKQKLLELEERIAKRQAEAAKGGSHFSAGVDEKISGMVKERDVSKATDVGDWEDGERMVERITTSASSDSSGLNRPFEMTSRPHFSNASSAFSDRGKPFNSWRRDVFENGNSSAFTGQETENGHHSPRRDGSVGVRPFPKKESYGGAAYVSSRPYYRAGVPEPHMDDFGQPKGQRWNVSRDGDQYGRNAEIESEYHENLAENYGDVTWGQQSRGNIYPPYPERFYHNPEGDGLYSLGRSRYSVRQPRVLPPPSLSSMQKTSYRGEPEHPGPSTFLENAIQYNHATRGGSAMERVYDSGHQDDLVQHGIIDTQPENTENEVQKVDGNAAGCDSQSSLSVSSPPDSPVHLSHDDLDESGDSAVLLAEEGKEVDLPRQGFEPLVLPTEAGKENVRTASSSISASNDEEWTVDNNEQLQEQEEYDEDEDAFQEEDEVHEGDDGNIDLAQEFDEMRLEVKESPDMMDNLVLGFNEGVEVGMPNDEFERSSRNEDSTYAIKQIPVEETISFDAMHGDRNTLQSMDAPSQGSLDSSSRIFQETEKAMQDLVVQPNTAPQALIASDLMDHLNATGSTGVLAENSLPSSVSMSSHSSSGQSGMPSAASVPSQAEIPLKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPQVGPSLTQMHPSQPPLFQFGQLRYTSPISQGVLPLAPQAVSFVQPNVPVNFSLNQNPGVCLPVQPSQDTSANSLMKNEVSSLLDNQSGLPRSLDLSQGNVLKEEISIPARKNVMKQHGHVERSNIGDNTARSGSGFPSEDQGQQNSVCRNFKGLSSKQLEGEVQTVLTSSQSVSKERELSGLRGQTYSNRGKKYVFTVKGSNPRSASLASEASRQESSGYQRRARRPRTEFRIRENSDKKQSTGMVSSNHPNELGLDEKSNANGRSTGFSTRNGVRKVVVVNKSKQTIESECSNSALGSSQEIDSGNRNEKGLGKESLMRSQNISRFEEGNLKRNIEEDVDAPLQSGIVRVFEQPGIEAPSDEDDFIEVRSKRQMLNDRREQREKEFKAKSRVAKPPRKPRATPQSTTVSASSNRNSSSASGVVNNVRSDFVSAGFGATVVSQPLAPIGTPAIKTDALADLRTQGVKSLQTTSLPATSGGGPNLVSGFMFESKSKVLDNVQTSLGSWGNSRINQQVMTLTQTQLDDAMKPVQFDTRASIGDRTSSVTEPSMPSSSIVLKDKSFSSAASPINSLLAGEKIQFGAVTSPTVLTPSNRAVSHGIGPPGPSRSEIQISRNLSAAENDCTLFFEKEKRSNESCVDLEDCEAEAEAAASAVAVAAITSDEIVGNGMGTCTVSASDNKSFGGADIEVITTGDGDQQLASQSKAEESLSVSLPADLSVENPPISLWPPLPSPQNSSSQMISHFPGGPPSHFPFYEMNPMLGGPIFAFGPHEESSSTQSQSQKSSTPASGPLGTWQQCHSGVDSFYGPPAGFTGHFISPPGGIPGVQGPPHMVVYNHFAPVGQFGLSFMGTTYIPSGKQPDWKHNPASSAMGGGEGDLNNMNMASSQHNSTNIPAQIQHLAPGPGSPLLPMASPLAMFDVSPFQSTPDMSVQARWSHHVPASPLQSVPPSMPLQQQAEGVLASQFSQGPPVDQSLTSNRFPESRTSTPSDSSRKFPVATDATVTQLPDELGLVEPSSSSIAVTAGQNVAKSLAITTVADAGKTDIQNSGGIKSSGQSTNSAYKAQSSQQKNISSQLYSNSSGYSHQRGSGVSQKNSSGEWTHRRMGFHGRNQSMGGDKNFPTSKMKQIYVAKQTTNGTTTSS >EOX95228 pep chromosome:Theobroma_cacao_20110822:1:33428960:33433217:1 gene:TCM_004779 transcript:EOX95228 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein isoform 1 MAHPRTKLLFLLCALSYALAAFAGKSYYEILQVPRGASDEQIKRAYRKLALKYHPDKNPGNEEADKRFAEINNAYEVLSDSEKRGIYDRYGEDGLKQHAASGGRGGMGSNLEDFFGMFFGGGQAEEEEKIVKGDDVIVELDATLEDLYMGGTLKVWREKNILKPAPGKRRCNCRNEVYHKQIGPGMFQQMTEQQVCEQCQNVKYEREGYFVTVDIEKGMQDGQEVVFYEDGEPIIDGEPGDLKFRIHTAPHDHFRREGNDLHTTVTITLVQALVGFEKTIKHLDDHLVDISSKGYY >EOX95227 pep chromosome:Theobroma_cacao_20110822:1:33428860:33433377:1 gene:TCM_004779 transcript:EOX95227 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein isoform 1 MAHPRTKLLFLLCALSYALAAFAGKSYYEILQVPRGASDEQIKRAYRKLALKYHPDKNPGNEEADKRFAEINNAYEVLSDSEKRGIYDRYGEDGLKQHAASGGRGGMGSNLEDFFGMFFGGGQAEEEEKIVKGDDVIVELDATLEDLYMGGTLKVWREKNILKPAPGKRRCNCRNEVYHKQIGPGMFQQMTEQVCEQCQNVKYEREGYFVTVDIEKGMQDGQEVVFYEDGEPIIDGEPGDLKFRIHTAPHDHFRREGNDLHTTVTITLVQALVGFEKTIKHLDDHLVDISSKGITKPKEVRKFKGEGMPLYSSDKKGNLYVTFEVLFPTSLTEDQKAKIKAVLG >EOX92127 pep chromosome:Theobroma_cacao_20110822:1:5341334:5344841:-1 gene:TCM_001123 transcript:EOX92127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hematological and neurological expressed 1-like protein MERSTPVRKPHTSTADLLTWTEAPPSASSNASSAQRSSGRSNQPSDGISKVVFGGQVTDDEAQSLLKKKPCSGYKMKEMTGSGIFAANGANGASEPTATNPTNRTGLRMYQQAVNGISQISFSADGSVSPKKPTSLPEVAKQRELSGTLQADSDAKNKKQISNAKYKEISGHDIFASPSEIKPRSLAAVRSTESRESKDMGEPAPRNVRTSVKVSNPAGGQTSILFSEGPVVKTAKKIHNQKFQELTGNGIFKGDVPPGSAEKPLSRAKLREMSGNNIFSDGKVESRDYLGGVRKPPGGESSIALI >EOX94673 pep chromosome:Theobroma_cacao_20110822:1:30805564:30810083:-1 gene:TCM_004292 transcript:EOX94673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forked1 isoform 2 MEEASPRTRRRPEHISHLSISGTQLPESPRGPMEFLSRSWSVSALEVSKALAPLPPHHHHSVSSTGTAPKSASGSSCTTTSIPEDINGETEELDKAAVANQFSFTSSATSQLVLERIMSQSEVSPLTSGRLSHSSGPLINDSPPVSPSEEFDDVVKYFRTHNSIQPLFNGGRASAGNGVNTPSGAKTVGRWLKDRKEKKKEETRAHNAQLHAAISVAAVASAIAAIAAATAASSSISGKNEQSTKTDMAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSHDDIVTLTAAAATALRGAATLKARALKEVWNIAAVLPAEKGISTGSCAKVHNGHSNRNYSGELAPGEDFLTACSQELLAKGSELLKRTRKGDLHWKVVSVYMNKTGQVILKMKSKHVAGTFTKKKKNVVMEVCKDMPAWPGRHLFDSGEQRHYFGLKTETRGVVEFECRSQREYDMWTQGVSRLLAIVAERKHRRQTSTTWPLGGKNLD >EOX94674 pep chromosome:Theobroma_cacao_20110822:1:30806497:30810221:-1 gene:TCM_004292 transcript:EOX94674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forked1 isoform 2 MEEASPRTRRRPEHISHLSISGTQLPESPRGPMEFLSRSWSVSALEVSKALAPLPPHHHHSVSSTGTAPKSASGSSCTTTSIPEDINGETEELDKAAVANQFSFTSSATSQLVLERIMSQSEVSPLTSGRLSHSSGPLINDSPPVSPSEEFDDVVKYFRTHNSIQPLFNGGRASAGNGVNTPSGAKTVGRWLKDRKEKKKEETRAHNAQLHAAISVAAVASAIAAIAAATAASSSISGKNEQSTKTDMAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSHDDIVTLTAAAATALRGAATLKARALKEVWNIAAVLPAEKGISTGSCAKVHNGHSNRNYSGELAPGEDFLTACSQELLAKGSELLKRTRKG >EOX91280 pep chromosome:Theobroma_cacao_20110822:1:2179039:2184053:-1 gene:TCM_000524 transcript:EOX91280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger domain of monoamine-oxidase A repressor R1, putative MPAVRMKTQTVETSPNNSDHHLQTNNENKTRTPKISLYEQSREERIKENLERMQQLGLKDRSNSLLNSNSHLSSRRGRPRSGSKPPVTPLRSSLLPSGPLRRSSRLQNTTPVSYSEVVLAKKDELLEDVDLKLKESEVYTEEHEKLLGNTERIWTLFVDGCGSDGRRIYDPVKGKTCHQCRQKTLGHRTHCSKCGMVQGQFCGDCLYMRYGEHVLEAIENPNWVCPVCRGICNCSLCRQAKGWAPTGSLYRKISQMGFKSVAHYLIQTRRVQTNNEKNPDTIDQVSAKRSLSFPALELPSKGSSDVNNNQPEISNPQSGEDGLNCEKKDNNAYPEPNPTIIHQNSARKPLLFSNSEAEFEEGKSTEINLNAHGQLGSSESDSGKKRDDGFKCEHEKELHFPDKEPNSSPVTLERYMRPGTNHAFSVEPSPDNAAERHGKDNSCNDDGTMGVNDKVLDVKETANHVVSEKKQVKEREHVDNDNKGEGYIASESSPKLKKRPASAMGHSPDSIAERMKQRRRQGKDHDEQVLAGANESVSDAKQVAENTSSGKESEANLKRTSSGTNVDCIARRLRPRKKLL >EOX95861 pep chromosome:Theobroma_cacao_20110822:1:35794653:35797827:1 gene:TCM_005260 transcript:EOX95861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MNTTVAASKRSKLLLFRLLKPPPTSYLLHRHLCTEPNPEPLPAPPQDEESKIIQAVQLLLETPHEEWSSSQPLQSLLFSSPPLSPRFLLQITRRLPSSSEALNFFKHLQQNSPSQDAQFLSYPFQAVLEQAGREPDSATRLSQLYQDSKQWEIPLTVNAAVLLIRYFGRLEMVDESLLIFNELDPTLKNTHVRNVLIDVSLRDGRVDYALNVLDEMLQPLSEVPPNDVTGDIVFYGLVKRERKGRKLSEEEIIKLVLKFGEHSVFPRTIWLTQLITRLCRSGKINQAWNVLQELLRLRAPLEAAPFNAVLTGLGRSGDVERMNMLLVEMKESDIQPNGVTFGILINQLCKSRRVDEAMEVLNRMGEGTGSDDVSVEADIITYNTLIDGLCKVGRQEEGLRLMERMRCTKGLAPNTVTYNCLIDGFCKVGEIERGKELYDRMKEEGVSPNVITLNTLVDGMCRHGRTSSALEFFNDMQGKGLKGNAVTYTTLISAFCNVNNIDKAVDLFDQLLRSGCSADAIVYYSLISGLCQAGRMDDASNVLSKLKEAGFCPDVACYNVLISGFCKKNKIDKAYEILKEMEEAGMKVDNVTYNTLIAYFSKIGEFGVAHRVMKKMIGEGLVPTVATYGALIHAYCLNGNIKEAMKLFKNMSSISTIPPNTIIYNILIDSLCKNDEVQLALSMMDDMQAKGVKPNTTTYNAILKGLKEKNWLGDAFRLMDSMVEHACNPDYITMEILTEWLSAVGESEKLKSFVQGYKVSTPAA >EOX90713 pep chromosome:Theobroma_cacao_20110822:1:430867:431866:-1 gene:TCM_000103 transcript:EOX90713 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3 transcriptional factor family protein MDSEPFVTLVLFPLPCGDCMSSSTDKGKEDKRSFGVGESNSYERQYQREEHDVNSCQEPEVGGLSLELSLGFNDSNCTSKKRERMENPSSARGVSSSRNKRIKVDREEAKMLELTELRLAHDPYCIKKKLTESDLGNMSRLMLAEECIESHVFPFWNADQLAIIKEGLPVSVWDGDTQTEHELVFKRWNKSANVLIKNWVKDFVKRRELKLGDEIGLYWDTCNSRFQLAVLNRAARH >EOX96700 pep chromosome:Theobroma_cacao_20110822:1:38709781:38712400:1 gene:TCM_005892 transcript:EOX96700 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRANSPARENT TESTA 1 protein, putative MTDPACSNFFNGCLNFMPLNPHYTSFTTPNHPNPSSQAHTSNIIINKSSIQGQSTYPSSPPRKEALPLINYLSPTGQEENEPSSSSVEEDKNMSKEESLFSSTVDDEAVTVALHIGLPSPSNSDLRFRVSPSADVTDKGENGSAVSGYPLSKGQYWIPTPTQILVGPTQFSCPVCGKTFNRYNNLQMHMWGHGSQYRKGPDSLRGSQPTAMLRLPCYCCAPGCKHNIDHPRARPLKDFRTLQTHYKRKHGIKPFMCRKCEKAFAVKGDWRTHEKNCGKIWYCICGSDFKHKRSLKDHIKAFGPGHGAFGIDSLEEDDEPASEFEVDCESSM >EOX91078 pep chromosome:Theobroma_cacao_20110822:1:1528556:1535130:1 gene:TCM_000373 transcript:EOX91078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 3 MDTAPTKLFNYNYSEERTTKIAATSAQHVSFLPYKILRNSTLVIIIILIVSFFSSFNQKPRASSFLQNLIVANRASFFFSLSPLQREAMSFRDDNEEGRDLKKPFLHTGSWYRMSSRQSSVMGSSAQVLRDGSISVVLCVLIVALGPVQFGFTCGYSSPTQAQIINDLKLSISEYSIFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGIISYTVPVYIAEISPQNMRGSLGSVNQLSVTIGIMLAYLLGLFANWRVLAVLGILPCTILIPGLFFIPESPRWLAKMGMMEDFEASLQVLRGFDTDISVEVHEIKRAVASSSKRTTIRFADLKRKRYWYPLMIGIGLLVLQQLSGINGVLFYSSNIFERAGVSSSNVATVGLGAIQVIATGVTTWLVDKAGRRLLLMVSSSGMTLSLLIVAVAFFVEGIVSQDSDLYSIMGILSLVGLVAFVISFSLGIGAIPWVIMSEILPINIKGLAGSVATLANWMTSWAITMTANLLLTWSGGGTFTLYAIVAAFTIVFVALWVPEQRTKFGRDSVILQIKMFFTQGSQKTLKAPIVHTRSLQVNQVASLLYAFECCITSKLPV >EOX91080 pep chromosome:Theobroma_cacao_20110822:1:1528556:1535130:1 gene:TCM_000373 transcript:EOX91080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 3 MDTAPTKLFNYNYSEERTTKIAATSAQHVSFLPYKILRNSTLVIIIILIVSFFSSFNQKPRASSFLQNLIVANRASFFFSLSPLQREAMSFRDDNEEGRDLKKPFLHTGSWYRMSSRQSSVMGSSAQVLRDGSISVVLCVLIVALGPVQFGFTCGYSSPTQAQIINDLKLSISEYSIFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKVPVYIAEISPQNMRGSLGSVNQLSVTIGIMLAYLLGLFANWRVLAVLGILPCTILIPGLFFIPESPRWLAKMGMMEDFEASLQVLRGFDTDISVEVHEIKRAVASSSKRTTIRFADLKRKRYWYPLMIGIGLLVLQQLSGINGVLFYSSNIFERAGVSSSNVATVGLGAIQVIATGVTTWLVDKAGRRLLLMVSSSGMTLSLLIVAVAFFVEGIVSQDSDLYSIMGILSLVGLVAFVISFSLGIGAIPWVIMSEILPINIKGLAGSVATLANWMTSWAITMTANLLLTWSGGGTFTLYAIVAAFTIVFVALWVPEQRTKFGRDSVILQIKMFFTVCTFLFS >EOX91079 pep chromosome:Theobroma_cacao_20110822:1:1528651:1533715:1 gene:TCM_000373 transcript:EOX91079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 3 MDTAPTKLFNYNYSEERTTKIAATSAQHVSFLPYKILRNSTLVIIIILIVSFFSSFNQKPRASSFLQNLIVANRASFFFSLSPLQREAMSFRDDNEEGRDLKKPFLHTGSWYRMSSRQSSVMGSSAQVLRDGSISVVLCVLIVALGPVQFGFTCGYSSPTQAQIINDLKLSISEYSIFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGIISYTVPVYIAEISPQNMRGSLGSVNQLSVTIGIMLAYLLGLFANWRVLAVLGILPCTILIPGLFFIPESPRWLAKMGMMEDFEASLQVLRGFDTDISVEVHEIKRAVASSSKRTTIRFADLKRKRYWYPLMIGIGLLVLQQLSGINGVLFYSSNIFERAGVSSSNVATVGLGAIQVIATGVTTWLVDKAGRRLLLMVSSSGMTLSLLIVAVAFFVEGIVSQDSDLYSIMGILSLVGLVAFVISFSLGIGAIPWVIMSEILPINIKGLAGSVATLANWMTSWAITMTANLLLTWSGGGLSRSLICA >EOX91081 pep chromosome:Theobroma_cacao_20110822:1:1528651:1533715:1 gene:TCM_000373 transcript:EOX91081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 3 MDTAPTKLFNYNYSEERTTKIAATSAQHVSFLPYKILRNSTLVIIIILIVSFFSSFNQKPRASSFLQNLIVANRASFFFSLSPLQREAMSFRDDNEEGRDLKKPFLHTGSWYRMSSRQSSVMGSSAQVLRDGSISVVLCVLIVALGPVQFGFTCGYSSPTQAQIINDLKLSISEYSIFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKVPVYIAEISPQNMRGSLGSVNQLSVTIGIMLAYLLGLFANWRVLAVLGILPCTILIPGLFFIPESPRWLAKMGMMEDFEASLQVLRGFDTDISVEVHEIKRAVASSSKRTTIRFADLKRKRYWYPLMIGIGLLVLQQLSGINGVLFYSSNIFERAGVSSSNVATVGLGAIQVIATGVTTWLVDKAGRRLLLMVSSSGMTLSLLIVAVAFFVEGIVSQDSDLYSIMGILSLVGLVAFVISFSLGIGAIPWVIMSEILPINIKGLAGSVATLANWMTSWAITMTANLLLTWSGGGLSRSLICA >EOX90959 pep chromosome:Theobroma_cacao_20110822:1:1184383:1188561:-1 gene:TCM_000288 transcript:EOX90959 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDK5RAP1-like protein isoform 1 MASIFSQPGSCVRVHQRCCFNTLRFFSSKPSASSSNSRRLFPRRAIGKSFALDVSRSFSQSLRLLNGDGVPTLHHFVAQASLTASQPHPEILSSDAPASEIASKGRIYHETYGCQMNINDMEIVLSIMKNAGYSETVEVPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRHWKSNVAIGRSQSLHPPKVVVLGCMAERLKDKILDSDKMVDVVCGPDAYRDLPRLLEEVDYGQKGINTLLSLEETYADISPVRISKNSVTAFVSVMRGCNNMCSFCIVPFTRGRERSRPVESIVKEVGELWKEGVKEVTLLGQNVNSYNDDSGIEKEVEPGSNWALSEGFKSMCKVKNMGLRFADLLDRLSTEFPEMRFRYTSPHPKDFPDELLYLMRDHRNICKSIHLPAQTGSTTVLERMRRGYSREAYLDLVQKIRRIIPDVGISSDFICGFCGETEEEHTDTLSLIKAVGYDMAYMFAYSMREKTHAHRNYVDDVPEKVKQRRLTELIEAFRESTGQHYDAQLGTIQLVLVEGPNKRAPDTEFIGKSDRGHRVSFINLPLQDRDDQVDNKRNPVVGDYVEVLITKTTRASLFGAALAITKLSTFYNNVEKAAVACGSRS >EOX90960 pep chromosome:Theobroma_cacao_20110822:1:1184628:1188561:-1 gene:TCM_000288 transcript:EOX90960 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDK5RAP1-like protein isoform 1 MASIFSQPGSCVRVHQRCCFNTLRFFSSKPSASSSNSRRLFPRRAIGKSFALDVSRSFSQSLRLLNGDGVPTLHHFVAQASLTASQPHPEILSSDAPASEIASKGRIYHETYGCQMNINDMEIVLSIMKNAGYSETVEVPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRHWKSNVAIGRSQSLHPPKVVVLGCMAERLKDKILDSDKMVDVVCGPDAYRDLPRLLEEVDYGQKGINTLLSLEETYADISPVRISKNSVTAFVSVMRGCNNMCSFCIVPFTRGRERSRPVESIVKEVGELWKEGVKEVTLLGQNVNSYNDDSGIEKEVEPGSNWALSEGFKSMCKVKNMGLRFADLLDRLSTEFPEMRFRYTSPHPKDFPDELLYLMRDHRNICKSIHLPAQTGSTTVLERMRRGYSREAYLDLVQKIRRIIPDVGISSDFICGFCGETEEEHTDTLSLIKAVGYDMAYMFAYSMREKTHAHRNYVDDVPEKVKQRRLTELIEAFRESTGQHYDAQLGTIQLVLVEGPNKRAPDTEFIGKSDRGHRVSFINLPLQDRDDQVDNKRNPVVGDYVEVLITKTTRASLFGAALAITKLSTFYNNVEKAAVACGSRS >EOX90958 pep chromosome:Theobroma_cacao_20110822:1:1184383:1188347:-1 gene:TCM_000288 transcript:EOX90958 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDK5RAP1-like protein isoform 1 MASIFSQPGSCVRVHQRCCFNTLRFFSSKPSASSSNSRRLFPRRAIGKSFALDVSRSFSQSLRLLNGDGVPTLHHFVAQASLTASQPHPEILSSDAPASEIASKGRIYHETYGCQMNINDMEIVLSIMKNAGYSETVEVPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRHWKSNVAIGRSQSLHPPKVVVLGCMAERLKDKILDSDKMVDVVCGPDAYRDLPRLLEEVDYGQKGINTLLSLEETYADISPVRISKNSVTAFVSVMRGCNNMCSFCIVPFTRGRERSRPVESIVKEVGELWKEGVKEVTLLGQNVNSYNDDSGIEKEVEPGSNWALSEGFKSMCKVKNMGLRFADLLDRLSTEFPEMRFRYTSPHPKDFPDELLYLMRDHRNICKSIHLPAQTGSTTVLERMRRGYSREAYLDLVQKIRRIIPDVGISSDFICGFCGETEEEHTDTLSLIKAVGYDMAYMFAYSMREKTHAHRNYVDDVPEKVKQRRLTELIEAFRESTGQHYDAQLGTIQLVLVEGPNKRAPDTEFIGKSDRGHRVSFINLPLQDRDDQVDNKRNPVVGDYVEVLITKTTRASLFGAALAITKLSTFYNNVEKAAVACGSRS >EOX90961 pep chromosome:Theobroma_cacao_20110822:1:1184593:1188002:-1 gene:TCM_000288 transcript:EOX90961 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDK5RAP1-like protein isoform 1 MNINDMEIVLSIMKNAGYSETVEVPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRHWKSNVAIGRSQSLHPPKVVVLGCMAERLKDKILDSDKMVDVVCGPDAYRDLPRLLEEVDYGQKGINTLLSLEETYADISPVRISKNSVTAFVSVMRGCNNMCSFCIVPFTRGRERSRPVESIVKEVGELWKEGVKEVTLLGQNVNSYNDDSGIEKEVEPGSNWALSEGFKSMCKVKNMGLRFADLLDRLSTEFPEMRFRYTSPHPKDFPDELLYLMRDHRNICKSIHLPAQTGSTTVLERMRRGYSREAYLDLVQKIRRIIPDVGISSDFICGFCGETEEEHTDTLSLIKAVGYDMAYMFAYSMREKTHAHRNYVDDVPEKVKQRRLTELIEAFRESTGQHYDAQLGTIQLVLVEGPNKRAPDTEFIGKSDRGHRVSFINLPLQDRDDQVDNKRNPVVGDYVEVLITKTTRASLFGAALAITKLSTFYNNVEKAAVACGSRS >EOX94949 pep chromosome:Theobroma_cacao_20110822:1:32166956:32167909:1 gene:TCM_004542 transcript:EOX94949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIIKQKGNSRDINDLPPPHKKFLRRQQNQKKEAKVVSAQLLRSGMVTVTLFSFLQIYEPRLLRHVIDSVTLVSVHNFLLHSRSLFYTTTCSLMTTYPPPASLGSFISSSHMYNSKHMMKMTMPR >EOX94146 pep chromosome:Theobroma_cacao_20110822:1:22864983:22887770:1 gene:TCM_003433 transcript:EOX94146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTP-binding protein isoform 1 MAKLLCSGLTALLSVNTWQGQQQHWRRSQLSALRISPTHRLSKHSRFLVVSQGVETQTDVKEELYDSQLGAKVGQDRLLKVPVSNIRNFCIIAHIDHGKSTLADKLLQITGTVQKREMKEQFLDNMDLERERGITIKLQAARMRYMYGNEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVLNKIDLPGAEPDRVIKEIEEVIGLDCSNAICCSAKEGIGITEILNGIVERIPPPHDAARSPLRALIFDSYYDPYRGVIVYFRVIDGTIKKGDRIYFMASKKGYFADEVGVLSPNQMQVEELYAGEVGYLSASIRSVADARVGDTITHYGRRAESSLPGYEEATPMVFCGLFPVDADQFPELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLITTAPSVVYRVNCINGDTVECSNPSLLPEPGQRRSIEEPFVKIEMLTPKDYIGSLMELAQDRRGEFKEMKFITENRASIIYELPLAEMVGDFFDQLKSRSKGYASMEYTFLGYKESELIKLDIQINGDHVEPLSAIVHKDKAYAVGRALTQKLKELIPRQMFKVPIQACIGSKVIASESLSAIRKDVLAKCYG >EOX94145 pep chromosome:Theobroma_cacao_20110822:1:22861332:22915171:1 gene:TCM_003433 transcript:EOX94145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTP-binding protein isoform 1 MAKLLCSGLTALLSVNTWQGQQQHWRRSQLSALRISPTHRLSKHSRFLVVSQGVETQTDVKEELYDSQLGAKVGQDRLLKVPVSNIRNFCIIAHIDHGKSTLADKLLQITGTVQKREMKEQFLDNMDLERERGITIKLQAARMRYMYGNEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVLNKIDLPGAEPDRVIKEIEEVIGLDCSNAICCSAKEGIGITEILNGIVERIPPPHDAARSPLRALIFDSYYDPYRGVIVYFRVIDGTIKKGDRIYFMASKKGYFADEVGVLSPNQMQVEELYAGEVGYLSASIRSVADARVGDTITHYGRRAESSLPGYEEATPMVFCGLFPVDADQFPELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLITTAPSVVYRVNCINGDTVISSQPYPHFLFKLYFKNVARKLVECSNPSLLPEPGQRRSIEEPFVKIEMLTPKDYIGSLMELAQDRRGEFKEMKFITENRASIIYELPLAEMVGDFFDQLKSRSKGYASMEYTFLGYKESELIKLDIQINGDHVEPLSAIVHKDKAYAVGRALTQKLKELIPRQMFKVPIQACIGSKVIASESLSAIRKDVLAKCYGGDISRKKKLLKKQAEGKKRMKAIGKVDVPQEAFMAVLKLEKEVL >EOX96706 pep chromosome:Theobroma_cacao_20110822:1:38723218:38727982:-1 gene:TCM_005897 transcript:EOX96706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malonyl CoA:flavonoid malonyltransferase 5, putative MAKRPSTVEVVARYHVSPPPNSAPPTSLPLTFFDIPWLCFSASQPLFFYKYPFPTNHFLSTTLPTLVHSLSLTLQHFFALAGSLVWPPDPFKPLIVYNEGNYVSLVVAESDGDFFHFSGNHQRCVNEFYPLLPQLPSRASESKHEIPLLAAQITIFSNSGICIGFAYHHVVADGRTFNSFIKTWASLFRDPSFLIKSLPFYDRTVVKDTCGLESIFLNDWSNRKSSQEMAIGVDTRVTNLSDSDMVRATFLMCPGDMEKIKQWIVTQCKLKNNPQPPYLTPSNLTCAFVWICLIKAQEKVNGKLFGKNPSYFGFNAGGITRLDYPVPTTYFGNCIAFARTMAIQRELCGEDGIIVAANMIGNRVKELDDGVLAGAETWISDWAVFYESESHVMVTGSPKLDFYDTDFGWGRPKKIEEISNDKANAISFTESRDVKGGIECFGCLLKEIQIYLLTMAASKAFAAVLVIAMLSAMASAQDFGAAPAPSPSMDKGAAYSLGMSGAAICSSLLLSALALLRQ >EOX94224 pep chromosome:Theobroma_cacao_20110822:1:26387982:26416136:1 gene:TCM_003758 transcript:EOX94224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEDLESYFKPLKLKNMSTFKDRMFRRSLVKELYSTIAIDRIELEDQEGFTEDTLNVFLNGRHLQTCAQDLGEFLKT >EOX93480 pep chromosome:Theobroma_cacao_20110822:1:13283115:13286811:-1 gene:TCM_002343 transcript:EOX93480 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein isoform 1 MEANKEEALKAKEIAEKRFCERDFAGAKNYALKAKSLYPGLEGISQMVSTFEVYVASETKCNGEIDYYSILGLKPSADKEAVKKQYRKMAVLLHPDKNKCVGADGAFKLVSEAWTSLSDRIKKGSYDIKRNKQVPSRVVQTTTYTAGVTGVGNCSNPTSQGRLDTFWTVCTSCKVQYEYLRKYVNKRLSCKNCRGTFIAVETGSAPVNGSFPYCPWSYVPSNGYGSHGYDGVTYVPTNATFFPGNGVSGYHPGHGYEYVSNMSFQWSSFSGTSTGIASHNGVSAISTDAVYQTNGDARGAGSKVKLSANAKRSMKNIAMQTTPNVSNGYNESSGSKTGRLEKKRKVVVGSNFRNGYEDKELKSSEAGLANENGVEHDPKLSNPCEPPNRRCSIAPAFDARKLLIDKARSQIRKKLEELRLASEAAATTASAVILGTEDGQPQVAGKALKRTNLAVSVHQSAPNKSAPVSITVPDPDFHDFDKDRSEECFKPKQIWALYDEDDGMPRLYCLIRQVVSVKPFKILISYLNSKTDNEFGSVSWVDSGFTKSCGHFRAWNPDFIDQVNIFSHLLRGQKAGRGGCVRIFPKSGDIWAVYRNWSPDWNRSTPDDVRHQYEMVEVLDDYSEELGVCVAPLIKLAGFKTVYQRSTNKDAIRWIQRREMFRFSHQVPSWLLKGETSNLPDHCWDLDPAATPDELLHAATEAKA >EOX93481 pep chromosome:Theobroma_cacao_20110822:1:13283115:13286793:-1 gene:TCM_002343 transcript:EOX93481 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein isoform 1 MEANKEEALKAKEIAEKRFCERDFAGAKNYALKAKSLYPGLEGISQMVSTFEVYVASETKCNGEIDYYSILGLKPSADKEAVKKQYRKMAVLLHPDKNKCVGADGAFKLVSEAWTSLSDRIKKGSYDIKRNKQVPSRVVQTTTYTAGVTGVGNCSNPTSQGRLDTFWTVCTSCKVQYEYLRKYVNKRLSCKNCRGTFIAVETGSAPVNGSFPYCPWSYVPSNGYGSHGYDGVTYVPTNATFFPGNGVSGYHPGHGYEYVSNMSFQWSSFSGTSTGIASHNGVSAISTDAVYQTNGDARGAGSKVKLSANAKRSMKNIAMQTTPNVSNGYNESSGSKTGRLEKKRKVVVGSNFRNGYEDKELKSSEAGLANENGVEHDPKLSNPCEPPNRRCSIAPAFDARKLLIDKARSQIRKKLEELRLASEAAATTASAVILGTEDGQPQVAGKALKRTNLAVSVHQSAPNKSAPVSITVPDPDFHDFDKDRSEECFKPKQIWALYDEDDGMPRLYCLIRQVVSVKPFKILISYLNSKTDNEFGSVSWVDSGFTKSCGHFRAWNPDFIDQVNIFSHLLRGQKAGRGGCVRIFPKSGDIWAVYRNWSPDWNRSTPDDVRHQYEMVEVLDDYSEELGVCVAPLIKLAGFKTVYQRSTNKDAIRWIQRREMFRFSHQVPSWLLKGETSNLPDHCWDLDPAATPDELLHAATEAKA >EOX94064 pep chromosome:Theobroma_cacao_20110822:1:19722394:19740246:1 gene:TCM_003147 transcript:EOX94064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-imprinted in Prader-Willi/Angelman syndrome region protein isoform 1 MYSSNLKGFILAVVSSAFIGSSFIIKKKGLRKAGVNGPRAGSGGYGYLLEPLWWVGMITMIVGEIANFVAYIYAPAVLVTPLGALSIIVSAILAHFILKEKLQKMGMLGCLLCIVGSTVIVLHAPEERSINSVEEIWELATQPAFLLYTASAVAIALVLILYCAPRYGQTNIMVYIGICSVIGSLTVMSIKAIGIAIKLTLEGTNQAKYFQTWIFAMVAITCIITQLNYLNMALDTFNTAIVSPIYYALFTSFTILASAIMFKDYSGQSASSIASELCGFVTVLSGTAVLHSTRDPDTPLITDLYTPLSPKVSWYIQGNGELWKQKDEDGSSPSFITILRQDYFK >EOX94062 pep chromosome:Theobroma_cacao_20110822:1:19722147:19740693:1 gene:TCM_003147 transcript:EOX94062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-imprinted in Prader-Willi/Angelman syndrome region protein isoform 1 MYSSNLKGFILAVVSSAFIGSSFIIKKKGLRKAGVNGPRAGSGGYGYLLEPLWWVGMITMIVGEIANFVAYIYAPAVLVTPLGALSIIVSAILAHFILKEKLQKMGMLGCLLCIVGSTVIVLHAPEERSINSVEEIWELATQPAFLLYTASAVAIALVLILYCAPRYGQTNIMVYIGICSVIGSLTVMSIKAIGIAIKLTLEGTNQAKYFQTWIFAMVAITCIITQLNYLNMALDTFNTAIVSPIYYALFTSFTILASAIMFKDYSGQSASSIASELCGFVTVLSGTAVLHSTRDPDTPLITDLYTPLSPKVSWYIQGNGELWKQKDEDGSSPSFITILRQDYFKSKRCSQLFNFQGMVPSICSVWPFVF >EOX94065 pep chromosome:Theobroma_cacao_20110822:1:19722190:19740693:1 gene:TCM_003147 transcript:EOX94065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-imprinted in Prader-Willi/Angelman syndrome region protein isoform 1 MYSSNLKGFILAVVSSAFIGSSFIIKKKGLRKAGVNGPRAGSGGYGYLLEPLWWVGMITMIVGEIANFVAYIYAPAVLVTPLGALSIIVSAILAHFILKEKLQKMGMLGCLLCIVGSTVIVLHAPEERSINSVEEIWELATQPAFLLYTASAVAIALVLILYCAPRYGQTNIMVYIGICSVIGSLTVMSIKAIGIAIKLTLEGTNQAKYFQTWIFAMVAITCIITQLNYLNMALDTFNTAIVSPIYYALFTSFTILASAIMFKDYSGQSASSIASELCGFVTVLSGTAVLHSTRDPDTPLITDLYTPLSPKVSWYIQGNGELWKQKDEDGSSPSFITILRQDYFNSQLFNFQGMVPSICSVWPFVF >EOX94063 pep chromosome:Theobroma_cacao_20110822:1:19722147:19740693:1 gene:TCM_003147 transcript:EOX94063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-imprinted in Prader-Willi/Angelman syndrome region protein isoform 1 MYACRYVCIDVLQQSQGFYSGGGLERLHWLQLYHQEKGSSKGRGQRPPCRFWRIWLLVGATLVGWHDYYFNMTVIVGEIANFVAYIYAPAVLVTPLGALSIIVSAILAHFILKEKLQKMGMLGCLLCIVGSTVIVLHAPEERSINSVEEIWELATQPAFLLYTASAVAIALVLILYCAPRYGQTNIMVYIGICSVIGSLTVMSIKAIGIAIKLTLEGTNQAKYFQTWIFAMVAITCIITQLNYLNMALDTFNTAIVSPIYYALFTSFTILASAIMFKDYSGQSASSIASELCGFVTVLSGTAVLHSTRDPDTPLITDLYTPLSPKVSWYIQGNGELWKQKDEDGSSPSFITILRQDYFKSKRW >EOX93683 pep chromosome:Theobroma_cacao_20110822:1:15014523:15016322:1 gene:TCM_002589 transcript:EOX93683 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-xylose phenolic glycosyltransferase, putative MGHENKAKNAHVLIFPYPAQGHINPMLQFAKRLVSKGVKATLVSTVFLSKTTFSDPTSSIDMQTISDGFDEGGYNQAGSPDVYLPTFWSVGSKSLASLIKKLVDAGHPIDAIVYDAFLDFALDVAKQFGIRTAAFFTQACAVNSVYYHVSRGLLQLPLPEPKVSLPGLPPLEVSELPSFVCHHGSYPAWFDVVVNHQFSNINEADWVFLNIFYDLEKEAVDWMSQFWNVMTIGPTIPSMYLDKRLENDKHYGMHLFKPKTSTCMSWLSGKPKSSVVYVSFGSMAELDVEQMAEIAWGLKGSNCYFMWVVRESEEAKLPQNFIEETAEKGLVVSWCPQLEVLSHESIGCFLTHCGFNSVLEALSLGVPLLAMPQWTDQGTNAKYVEDVWEIGMRARPDEENGFVTREIVEHCIKELTEGEKGKEARKNASKWKNLARKAVDEGGRSDKNIDEFVTKLLGD >EOX94309 pep chromosome:Theobroma_cacao_20110822:1:27704466:27707509:1 gene:TCM_003898 transcript:EOX94309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MRRRPVALVTSEAMEKGSPKNQQTRLCLLASLSAFFWILLLYFHFVVLGGSTAVEQLVISEPVKLESPFVNVDSIPARVTDARIEKEKPPVEPVRNTAGERVVNYPFMRALRTVENKSDPCGGRYIYVHNLPPRFNENMLRECKSLSLWTNMCKFTSNEGLGPPLENVEGVFENTGWYATNQFAVDVIFNNRMKQYECLTNDSSIAAAIFVPFYAGFDIARYLWGYDISRRDAASLDLVDWLMKRPEWGIMGGKDHFLVAGRITWDFRRLTDEESDWGNKLLFLPAARNMSMLVVESSPWNANDFGIPYPTYFHPAKDEEVFVWQNRMRNLERKWLFSFAGAPRPGNPKSIRGQIIDQCKNSKVCKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTTYSVFIPEDDIRKRNVSIEERLNQISPEQVRIMREAVINLIPRLIYADPRSKLETLQDAFDVAVQAVIDKVTRLRRNIIKGRTEYDNFVEENSWKYDLLDEGQREVGAHEWDPFFSKPKDEQRDQSAEAAKNSWKNEQRDRSAEAAKNSWKNEQRDKS >EOX91230 pep chromosome:Theobroma_cacao_20110822:1:1986416:1994867:-1 gene:TCM_000481 transcript:EOX91230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protease ftsH isoform 1 MAEIRLTRVEQGQTKIRNVPIAVTPEGFWCCPSPVVFQKTLKSQNPLNKPKSSSPPPKTTVQKKQIPLTEKKPMTTPIRAAVTSDDQRTFTPDNPGISAPVVLERAPRPKVEHVPRKVAIEFGEPGTSDMKVILLGKQGFCVKLSVHKKVLLEHSSFFTDKLSEQESGLSCLELDDCEDVEIYVETVGLMYCKEMKQRLIKQSVSRVLRILKVAELLGFNSCIQSCLEYLEAVPWVGEEEEEKVVSLVLQLQGEGIGVTPVLKRISSDISNPPRDTISHIMELVLKSNEERGRREMKSIVLKLLRENNSLPSYAGSADICNETVYASCRSCLDSLLSLFKQASDPEFTAKPMESKEPVVKQIALQADNLSWLLEILSDRQAADEFALMWASQQELATLHSKLPIVSRHHVSCITARLFVGIGKGELLPVKDTRQLLLQTWLQPLINDYSWLQHSCRSFDRKVVEEGIGRTILTLPLEDQQSILLAWLGSFLKAGDNCPNLQRAFEVWWRRTFIRPYADAQGNALQSDSSVTSKQ >EOX91229 pep chromosome:Theobroma_cacao_20110822:1:1991425:1995734:-1 gene:TCM_000481 transcript:EOX91229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protease ftsH isoform 1 MAEIRLTRVEQGQTKIRNVPIAVTPEGFWCCPSPVVFQKTLKSQNPLNKPKSSSPPPKTTVQKKQIPLTEKKPMTTPIRAAVTSDDQRTFTPDNPGISAPVVLERAPRPKVEHVPRKVAIEFGEPGTSDMKVILLGKQGFCVKLSVHKKVLLEHSSFFTDKLSEQESGLSCLELDDCEDVEIYVETVGLMYCKEMKQRLIKQSVSRVLRILKVAELLGFNSCIQSCLEYLEAVPWVGEEEEEKVVSLVLQLQGEGIGVTPVLKRISSDISNPPRDTISHIMELVLKSNEERGRREMKSIVLKLLRENNSLPSYAGSADICNETVYASCRSCLDSLLSLFKQASDPEFTAKPMESKEPVVKQIALQADNLSWLLEILSDRQAADEFALMWASQQELATLHSKLPIVSRHHVSCITARLFVGIGKGELLPVKDTRQLLLQTWLQPLINDYSWLQHSCRSFDRKVVEEGIGRTILTLPLEDQQSILLAWLGSFLKAGDNCPNLQRAFEVWWRRTFIRPYADAQGNALQSDSSVTSKQ >EOX92254 pep chromosome:Theobroma_cacao_20110822:1:5943763:5957421:-1 gene:TCM_001227 transcript:EOX92254 gene_biotype:protein_coding transcript_biotype:protein_coding description:KPC1 MAEDSLRIGGLSSGLAVILNSEDEKENLSKARLISYCDDFGHQSVERALEYVFGLPNKSLGPLSGPIDSNLIRSIIKNHLCLNSEALVSNRDGVGIVNNGTGPDVVGLEEFSICGEIRIIKPPLLLESLAVFSSARANACVWKGKWMYEVILETSGIQQLGWATISCPFTDHKGVGDADDSYAFDGRRVSKWNKKPEPYGQSWVAGDVIGCCIDLDHDEISFYRNGVSLGLAFSGIRKMGPGFGYYPAVSLSQGERCELNFGARPFKYPIDGYLPLQAPPAFSSFAKQLLDCLSRLLDMQSVERAERTSVEKLRRLKRFVSLEEIFHPVSHGICEEFFSVVEADCKSAEYIGWGPLLLFLMGIFGVQAPHDLLSLDRVLDVFLEFQGSHVMFEHIINALSCGCKTASLVLTECPYSGSYSYLALACHLVRREELMVLWWKSSDFDFLFEGFLSRKSPNKQDLQCMIPSVWWPGSCEDVSSESSMLLTTTALSDAVSKIEEKHRDLCLLVIQFMPPISPPQFPGSVFRTFVQNLLLKNRGADRNVPPPGISSNSVLVSLYTVILHFLSEGFGIGNICGWLKSCDSHGHDIGFLHRGGHQSFPIGLFLKNDSHRADISRLGGSFGHLSKSHPLHDQEAEVIRWEEGCMDDEETRVTHLTKQKPCCCSCYDVEFTKCSKYPVRTATKSSRHHCSSIPERSAQVAAECSTGSLNDEIADKPSSSDQSESEFGYHPVQHMRTVTRDSDVSSTTLREEELLDALLLLYHIGLAPNFKQASYHMSQQSQSISLLEEADKQIREQACSEQLKRLKETRNKNREEVIDCVRHCTWYRVSLFSQWKQRGMYATCMWIVQLLLVLSKLDSVFIYIPEFYLEALVDCFHVLRKSDPPFVPPAIFIKQGLTSFVTFVVTHFNDPRISSADLRDLLLQSISVLVQYREYLAAFENNEAAKQTMPKALLSAFDNRSWVPVTNILLRLCKGSGFGSSKHGESSSSSVIFQRLLREACINDEELFSAFLNRLFNTLSWSMTEFSVSIREMQEKYQVLEFQPRKCCVIFDLSCNLARVLEFCTYEIPQAFLTGPDTNLRRLTELIVFILNHITTAADSEFFDLLLRRHGQSLEKVNRGMILAPLVGIIVNLLDASAESELKEQNDVVSVFASMDCPETMHYGFQYLLEYNWATSFRGEAYLPKLCQLEDFLSLLISHTEPQKIEGLQCGETDADDGMCCICYACEADAQFAPCSHRSCVGCITRHLLNCKRCFFCNATVLEVVRTIEKTVER >EOX94245 pep chromosome:Theobroma_cacao_20110822:1:26612739:26613545:-1 gene:TCM_003782 transcript:EOX94245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVRITILGEGQSIQTSPFFVGNNYPYWKKRMEIFIQSMDLDDEKGESINEMFERFTNILRGLKALEKDFPNTQLVKKILYSLPKSWRPKVTNMKDDRNLNDFKLDEHIGSFLTYEITLRHENEREELKK >EOX94440 pep chromosome:Theobroma_cacao_20110822:1:28686258:28691231:-1 gene:TCM_004023 transcript:EOX94440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLKCLMKTMSTSSAVAFQSSKGTKRKWNYHEDVALISALTDLHNIRKYNADTGFRGGYLMELENMLATKLPNANLKAKPHIESRIKTLKKEWVIIYDMVQGTRTSGFGWNDQRNMVVTDDSVWESYIQSHKEAAPFRTKSFHFFNELSLIYVKDRATGKDAQTTTDILKEMHDCNEAINEEIESENVAAYSLDNEDFSNIQP >EOX96195 pep chromosome:Theobroma_cacao_20110822:1:36961017:36965703:-1 gene:TCM_005500 transcript:EOX96195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLVCLAHQVVEDGQDCFPDTSQPVTILSAPNYCGEFDNAGQLGRLDCWTHNIFSCEGQRVLCFLVGGHNVAGVENALGLK >EOX92251 pep chromosome:Theobroma_cacao_20110822:1:5928418:5929151:-1 gene:TCM_001225 transcript:EOX92251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant stearoyl-acyl-carrier-protein desaturase family protein, putative MHSVRSYSKVCMASSTHSLATAKVEKKAYHPAKEAVGFRRTHSLPPEKLEIFKSLESWATDNILVLLNHVERSWEPQDFLPTSESEEGFFEQVKELRERSKEIPDDCFDQLVGNMVTEEALPTYQTEYLIFIIFLFFSSRIYIFFNYP >EOX96588 pep chromosome:Theobroma_cacao_20110822:1:38338301:38339990:-1 gene:TCM_005812 transcript:EOX96588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MINWHLYLNYYSEFMALDHTVHVPFICYSHNSQLQLALREINETELYQVPFSRNAILYFLVSLTLPLWDGDFGEDKVGWDGRCKVASITFF >EOX90595 pep chromosome:Theobroma_cacao_20110822:1:97080:97720:1 gene:TCM_000023 transcript:EOX90595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSHMRYNRVGRRCQGSGNKGFRLNPRRFSVQGLRARFFYLFRLLSRWRTSYGRALRLIKKLGINIGNSSIKRDNSSGRVSSSSSRTLVTPKELQLPLPNSTTTRLRPSLGRSNSFYSEAIADCLEFIKRSSLSVDQRQPLPACQR >EOX90576 pep chromosome:Theobroma_cacao_20110822:1:31869:35563:1 gene:TCM_000007 transcript:EOX90576 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein MRFISYTIDKILHFFIPFKLFDGSWGDPAYRVTAMVGRNGPLECRSSIIGYDMLTPPDLDREIGLTGRNIIHGAMGFDSLFLMRPVQRTGNRIASFSVFFMRMSACVRCQAFTCAAEVLILRVLRSGVMGASGRNAAQLVQDFNKKWDTKLHFFIIFSRVKIYTI >EOX95060 pep chromosome:Theobroma_cacao_20110822:1:32762298:32768312:1 gene:TCM_004643 transcript:EOX95060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein MATSEKQAMVVGIDDSEHSKYALEWTLDHFFAPFASNPPFKLFVVHAKPTASSAVGLAGPGAADVLPYVDADLRKIAARVVEKAKELCLGKSVNDAVVEVVEGDARNVLCEAVEKHHASMLVVGSHGYGAIKRAVLGSVSDYCAHHAHCSVMIVKRPTIKH >EOX93798 pep chromosome:Theobroma_cacao_20110822:1:15948705:15955226:-1 gene:TCM_002733 transcript:EOX93798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLNPSSDSDLLKPIKKKFKHLKAVPEDGDEDMNNSDAVDDGFVHVHDKSSYRDKLTGSKDPQHSCWKGWVEEGQGDEMQFDEEISDDESDSNQTPCVGGNVPRVCFTKEEKQAMRSPWRKALTVKLLGKWVSNFNPSLDKINKIIVWIHFSGLPTEYYNNLLLSRMGIHVGGVIHIDRNIVEALRGRFARLCFELDLTKSLLSKEACSSVVVAATPTMEEPLNRFVGLTERFLDDATEEIVEMKKSTGGEGPSFMQRIPNKDKLENIAKPSLRKQKDQHGMVNFKVVEPMVTISHGKFPKFTFQAVYRRTPIHKELRPFCFEVAWLSNVSFLEFIRNILYNLNRKSVLVEGELGMGGLCQDNHGYWIFGSMGRLVHHIYRGANFCVDFLDKKAQLGEFGVIKLQSLPAGLPLLLQDDLVGVTRPRVVID >EOX92687 pep chromosome:Theobroma_cacao_20110822:1:8105982:8110278:-1 gene:TCM_001596 transcript:EOX92687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 1 MGKGRMSVLLLLLFFSFCSASDQKWRKAMISTDKGSSMMMNRVGSSILFPIHGNVYPTGYYNVTISIGQPPKPYFLDLDTGSDLTWLQCDAPCVHCVEAPHPLYRPTNDLVPCKDPLCAALHPPGDYKCENPEQCDYEVEYADGGSSLGVLVRDVFSLNYTNGIRLSPRLALGCGYDQIPGSSYHPLDGILGLGRGKASIVSQLQSQGLVRNVVGHCLSGRGGGFLFFGDGLYDSSRVTWTSMSQELTKYYSPGIAELQFGGKATSVKNLIVVFDSGSSYTYLNSQAYQTLTVLLKKELSGRSLKEAPEDQTLPLCWKGRKPFKNVRDVKKYFKTLALAFASSSRTKTQFELPPEAYLIISNKGNVCLGILNGTQVGLQNLNVIGDISMQDRMVIYDNEKQVIGWAPANCDQLPRSTTGYM >EOX92688 pep chromosome:Theobroma_cacao_20110822:1:8106712:8109939:-1 gene:TCM_001596 transcript:EOX92688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 1 MGKGRMSVLLLLLFFSFCSASDQKWRKAMISTDKGSSMMMNRVGSSILFPIHGNVYPTGYYNVTISIGQPPKPYFLDLDTGSDLTWLQCDAPCVHCVEAPHPLYRPTNDLVPCKDPLCAALHPPGDYKCENPEQCDYEVEYADGGSSLGVLVRDVFSLNYTNGIRLSPRLALGCGYDQIPGSSYHPLDGILGLGRGKASIVSQLQSQGLVRNVVGHCLSGRGGGFLFFGDGLYDSSRVTWTSMSQELTKYYSPGIAELQFGGKATSVKNLIVVFDSGSSYTYLNSQAYQTLTVLVSSEAISEHLQNFILLISTDIYFLKLDIVTSCLVVFLNNWEYNNN >EOX92142 pep chromosome:Theobroma_cacao_20110822:1:5433506:5435709:1 gene:TCM_001136 transcript:EOX92142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase 2-3 MSSSLLSHGFNKVARILGRDPKKQNFPVLEDHPAAQAHAEVAIETHGDIQNRVQKLYKEFRIFRWSADHPTNNKPFLQSYYVDLSSCGAMVLDALQKIKAKDDSSLSYRMSCREGICGSCAMNNDGTNTVACLEPINADTSKPTVITPLPHMYVIRYLVVDLTDYYQQYRSIEPWLKTERPPEDGREYRQSPADRKKLDGLYECIFCACCTTSCTSYWWNPEEYPGPAALLHAYRWISESRDDFTEERLQALTEDFKRLYRCRTIKNCTANCPKNLSPAGAIHKMKTKHMFSEPMEKVESL >EOX96348 pep chromosome:Theobroma_cacao_20110822:1:37454065:37457567:1 gene:TCM_005612 transcript:EOX96348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised conserved protein UCP022280 [Source:Projected from Arabidopsis thaliana (AT2G45060) TAIR;Acc:AT2G45060] MRMAPETVKHNHHPMATVSESPEDSPNPNSPAPTPLPPPSDYPSKSESKVLEQATPWIDYAVEQALLYQKIIEQNINATIEASRSRLSEFGSTSAAHFNQTIDSLEDVKSQLAVYENMMFGKVKASHPMITGGIAVGLGVLVLKRPRRFLYYNTLRLFVSEESWLSKADIRVKELRQSIDRLKTESVKLERSASVAEEDLIRGRKKLRHAGKQIQSVIHSAYKIERQAAGLKDILGELPSREASRFRSQVSNLASEAKRERNALAKEVSKISNYGIAV >EOX96345 pep chromosome:Theobroma_cacao_20110822:1:37454067:37457632:1 gene:TCM_005612 transcript:EOX96345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised conserved protein UCP022280 [Source:Projected from Arabidopsis thaliana (AT2G45060) TAIR;Acc:AT2G45060] MRMAPETVKHNHHPMATVSESPEDSPNPNSPAPTPLPPPSDYPSKSESKVLEQATPWIDYAVEQALLYQKIIEQNINATIEASRSRLSEFGSTSAAHFNQTIDSLEDVKSQLAVYENMMFGKVKEGIKIAASHPMITGGIAVGLGVLVLKRPRRFLYYNTLRLFVSEESWLSKADIRVKELRQSIDRLKTESVKLERSASVAEEDLIRGRKKLRHAGKQIQSVIHSAYKIERQAAGLKDILGELPSREASRFRSQVSNLASEAKRERNALAKEVSKISNYGIAV >EOX96346 pep chromosome:Theobroma_cacao_20110822:1:37454067:37457632:1 gene:TCM_005612 transcript:EOX96346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised conserved protein UCP022280 [Source:Projected from Arabidopsis thaliana (AT2G45060) TAIR;Acc:AT2G45060] MRMAPETVKHNHHPMATVSESPEDSPNPNSPAPTPLPPPSDYPSKSESKVLEQATPWIDYAVEQALLYQKIIEQNINATIEASRSRLSEFGSTSAAHFNQTIDSLEDVKSQLAVYENMMFGKVKEGIKIAASHPMITGGIAVGLGVLVLKRPRRFLYYNTLRLFVSEESWLSKADIRVKELRQSIDRLKTESVKLERSASVAEEDLIRGRKKLRHAGKQIQSVIHSAYKIERQAAAGLKDILGELPSREASRFRSQVSNLASEAKRERNALAKEVSKISNYGIAV >EOX96347 pep chromosome:Theobroma_cacao_20110822:1:37453993:37457510:1 gene:TCM_005612 transcript:EOX96347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised conserved protein UCP022280 [Source:Projected from Arabidopsis thaliana (AT2G45060) TAIR;Acc:AT2G45060] MRMAPETVKHNHHPMATVSESPEDSPNPNSPAPTPLPPPSDYPSKSESKVLEQATPWIDYAVEQALLYQKIIEQNINATIEASRSRLSEFGSTSAAHFNQTIDSLEDVKSQLAVYENMMFGKVKEGIKIAASHPMITGGIAVGLGVLVLKRPRRFLYYNTLRLFVSEESWLSKADIRVKELRQSIDRLKTESVKLERSASVAEEDLIRGRKKLRHAGKQIQSVIHSAYKIERQAAGLKDILGELPSREASRFRSQVSFLNISMQALCLKIYIASAIMKLQCSFIPIPVIA >EOX91648 pep chromosome:Theobroma_cacao_20110822:1:3423515:3426819:-1 gene:TCM_000771 transcript:EOX91648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEVRESSAWVARNASHVTIDSSGIEKVVEKMQDSIPKVEWDYEGIHYFDNGPLTVQYLFVLDALNFCFWPDEDLNYDNLAMGLKEALLNDKSAFEADRLQKYTGPKLRELLQWPRPLPLEEERVRLLHEVGFELERSFEGKASKLVESCGKSAVKLVALITRHFPGFRDHSVYKGHQVFLYKRAQIFAADLWGAFKGQGYGEFKDISSITMFADYIVPAVLQQLGVLKYSSTLASIIEARSEIGAGTEEEVELRACSIYAVEKIRELLSKKSGKQVLSVELDLWLWSVGVKRQSLQHHRTLSIYY >EOX91054 pep chromosome:Theobroma_cacao_20110822:1:1460321:1462289:1 gene:TCM_000355 transcript:EOX91054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MASITLTPTKQLSLESNATQTLSVLERCSRMEELKQIHAQMFKTGLVADTITVSRILTFCVSPKYGNLEYAQMVFDRVSRPNTFMYNTMIRGYSNNKEPEKAFLLYQQMLCHSVPHNSYTFPFLLKACSSLLAIEETKQIHAHVIKLGFGSEVFATNSLLHVYATSGSIKAARLLFDLVPERDIVSWNSMIGCYTKCGKMEIAYEFFKDMPTKNVISWTTMISGYVGAGMYKEALNLFHEMQIEGVKPDNVALASTLSACSHLGALDQGRWIHAYIDRIGVEIDPILGCVLIDMFAKCGDMEEALEVFRKVKKKEVSLWTAVISGFAIHGRGKEALVWFDIMQKVGIRPNHITFTAILTACSHSGLVEEGKSLYKSMDRVHKLSPTIEHYGCMVDLLGRAGFLREAMGLIEKMPVKPNAVVWGALLNACRMHKNVELGKKIGKILIEEDPDHGGRYIHLASIHAAAGDWDRAVEARRQMKDRGVSKLPGCSAISLNGVVHEFLAGGQSHPQITDINQMWDSIADRLEKE >EOX90789 pep chromosome:Theobroma_cacao_20110822:1:639566:640623:1 gene:TCM_000161 transcript:EOX90789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesylated protein 6 MGFLDSVSELCDWPHFHSHKKIKKKQLQTVEIKVKMDCEGCEKRVKKSVEGMKGVTQVKVEPKQSKLTVIGYVDADKVLERVRHRTGKKVEFWPYVPYDVVPHPYAPGAYDKKAPPGYVRNVFQDPQAGELARASSFEVKYTTAFSDENPNACVIM >EOX92944 pep chromosome:Theobroma_cacao_20110822:1:9557520:9561649:1 gene:TCM_001808 transcript:EOX92944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus, subunit A, putative isoform 2 MYVEKRSRNIVDMSNVRKPPSLVDLCIRTAIDNVRYLGDVGETDSHLLERILPHCTMDQLIHVEKSTKGRDLSPVTDKLWKNFYELQFGRASTNLVIERMKLKKVSFRWRQLYEAKLKDVQEAENKAIDRLKQLYKKEDARVITREMVPQALSCKHSRKQSRQVQLCTKVPPSSKRSFFAGSGPGYNLSYVKSNIMKKAKIDLMKSQEVKNLAAMKKKAVQTHHRGTTITKSSGLCGKNSASSSKLAKPLDRRF >EOX92945 pep chromosome:Theobroma_cacao_20110822:1:9557535:9561690:1 gene:TCM_001808 transcript:EOX92945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus, subunit A, putative isoform 2 MYVEKRSRNIVDMSNVRKPPSLVDLCIRTAIDNVRYLGDVGETDSHLLERILPHCTMDQLIHVEKSTKGRDLSPVTDKLWKNFYELQFGRASTNLVIERMKLKKVSFRWRQLYEAKLKDVQEAENKAIDRLKQLYKKEDARKQSRQVQLCTKVPPSSKRSFFAGSGPGYNLSYVKSNIMKKAKIDLMKSQEVKNLAAMKKKAVQTHHRGTTITKSSGLCGKNSASSSKLAKPLDRRF >EOX92946 pep chromosome:Theobroma_cacao_20110822:1:9558290:9563547:1 gene:TCM_001808 transcript:EOX92946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus, subunit A, putative isoform 2 MDQLIHVEKSTKGRDLSPVTDKLWKNFYELQFGRASTNLVIERMKLKKVSFRWRQLYEAKLKDVQEAENKAIDRLKQLYKKEDARKQSRQVQLCTKVPPSSKRSFFAGSGPGYNLSYVKSNIMKKAKIDLMKSQEVKNLAAMKKKAVQTHHRGTTITKSSGLCGKNSASSSKLAKPLDRRF >EOX94766 pep chromosome:Theobroma_cacao_20110822:1:31284979:31286987:1 gene:TCM_004380 transcript:EOX94766 gene_biotype:protein_coding transcript_biotype:protein_coding description:PATATIN-like protein 9, IIIB isoform 1 MELSKVTLEIFTKLEQKWLSHCETTKKIRILSIDGGGTTGIVSGAALIHLEDQIRLKTGDPHAQIADFFDMIAGTGVGAVLAAMLSADDGTGHPLFTAREAIKCITQNNSELFKVNKLAGVLHRQKRFSGKSMDKVLKEMFKREDGTVLTLKDTCKPLLVPCFDLKSSAPFVFSRADASESPSFNFELWKVCRATSATPSLFKPFALTSIDGKTSCSAVDGGLVMNNPAAAAVTHVLHNKRDFPSVNSVEDLLVLSLGNGPWCGRSKVRNNCECSTSSVVDIVLDGVSETVDQMLGNAFCWNRTDYVRIQANGLGRERMVVPRMEEVLKERGVESLPFGGKRLLTETNGQRIESFVQRLVASGKSSLPPSPCKESAVSPLANGR >EOX94767 pep chromosome:Theobroma_cacao_20110822:1:31284785:31286740:1 gene:TCM_004380 transcript:EOX94767 gene_biotype:protein_coding transcript_biotype:protein_coding description:PATATIN-like protein 9, IIIB isoform 1 MELSKVTLEIFTKLEQKWLSHCETTKKIRILSIDGGGTTGIVSGAALIHLEDQIRLKTGDPHAQIADFFDMIAGTGVGAVLAAMLSADDGTGHPLFTAREAIKCITQNNSELFKVNKLAGVLHRQKRFSGKSMDKVLKEMFKREDGTVLTLKDTCKPLLVPCFDLKSSAPFVFSRADASESPSFNFELWKVCRATSATPSLFKPFALTSIDGKTSCSAVDGGLVMNNPAAAAVTHVLHNKRDFPSVNSVEDLLVLSLGNGPWCGRSKVRNNCECSTSSVVDIVLDGVSETVDQMLGNAFCWNRTDYVRIQISTILSFLFLLDLIQFGDRRFGTYRPTDWGEK >EOX92136 pep chromosome:Theobroma_cacao_20110822:1:5398652:5399914:-1 gene:TCM_001130 transcript:EOX92136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVQSSTTTDISIMVGLTYQSSPIAYKTLLLYAEQIVYNFYTEKSGMQKLRVVLYLPMEVEKYVCLISVSLVNEIQSPIFAGIVPEKSRTSVESLLASFTPSIVGALAQDFYGYRPIPKISSDSIEMETNRQNAAPLPGHCTQRLGFQWQSVASFTNSFSARIQETGGEQGCMHYLSQKSCNK >EOX94417 pep chromosome:Theobroma_cacao_20110822:1:28374726:28379389:-1 gene:TCM_003994 transcript:EOX94417 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MTRGRADANPKKRLITWIVVLVVCCGCFYAYTRNNSSSALEYGSKSLRKFGSSYWHGDDDTVDTSAKLDEDGDDGVMLRSFPVCDDRHSELIPCLDRNFIYQTRLKLDLSVMEHYERHCPQPERRYNCLIPPPPGYKIPIKWPKSRDEVWKANIPHTHLATEKSDQNWMVVKGDKISFPGGGTHFHYGADKYIASMANMLNFPDNILNNEGNIRTVFDVGCGVASFGGYLLSSGIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRNGILLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWRAMSALVERMCWKIAAKRNQTVIWVKPLTNDCFMQREPGTHPPMCKSDDDPDAVWGVPMEACITPYSSKIQKARGSGLAPWPARLTTPPPRLADLGYSDEMFVKDTDLWQRRVENYWNLLGPHIESDTVRNLMDVKANMGSFAAALNDKDVWVMNVVPEDGPNTLKIIYDRGLIGTVHNWCESFSTYPRTYDLLHAWTVFSDIEKKDCSIVDLLIEMDRILRPKGFIIVNDKRPTVELIKKYLGALHWESVATADSQLDSEQDEDVVLIIQKKMWLTSESLKDSE >EOX94418 pep chromosome:Theobroma_cacao_20110822:1:28374818:28380167:-1 gene:TCM_003994 transcript:EOX94418 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MTRGRADANPKKRLITWIVVLVVCCGCFYAYTRNNSSSALEYGSKSLRKFGSSYWHGDDDTVDTSAKLDEDGDDGVMLRSFPVCDDRHSELIPCLDRNFIYQTRLKLDLSVMEHYERHCPQPERRYNCLIPPPPGYKIPIKWPKSRDEVWKANIPHTHLATEKSDQNWMVVKGDKISFPGGGTHFHYGADKYIASMANMLNFPDNILNNEGNIRTVFDVGCGVASFGGYLLSSGIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRNGILLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWRAMSALVERMCWKIAAKRNQTVIWVKPLTNDCFMQREPGTHPPMCKSDDDPDAVWGVPMEACITPYSSKIQKARGSGLAPWPARLTTPPPRLADLGYSDEMFVKDTDLWQRRVENYWNLLGPHIESDTVRNLMDVKANMGSFAAALNDKDVWVMNVVPEDGPNTLKIIYDRGLIGTVHNWCESFSTYPRTYDLLHAWTVFSDIEKKDCSIVDLLIEMDRILRPKGFIIVNDKRPTVELIKKYLGALHWESVATADSQLDSEQDEDVVLIIQKKMWLTSESLKDSE >EOX95544 pep chromosome:Theobroma_cacao_20110822:1:34627280:34638717:1 gene:TCM_005016 transcript:EOX95544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal, Argonaute and Dicer protein, PAZ, Ribonuclease III, bacterial isoform 2 MLSVFLVPKVPLVYQQAEVIRERTGYQVGHYCGEMGQDFWDARRWQREFETKQVLVMTAQILLNILRHSIIKMEAINLLILDECHHAVKKHPYSLVMSEFYHTTPKENRPSVFGMTASPVNLKGVSSQVDCAIKIRNLESKLDSVVCTIKDRKELERHVPMPSEIVIEYDKAASLWSLHEQIKQMEVAVEEAAQSSSRRSKWQFMGARDAGAKEELRQVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQSFLTALQNDERANYQLDVKFQESYLNKVVSLLQCQLSEGAVTDKDMSTAEAENKSAEDGTSPDEIEEGELPDSYVVSGGEHVDVIIGAAVADGKVTPKVQSLIKILLKYQHTEDFRAIIFVERVVAALVLPKVFAELPSLNFIRCASLIGHNNSQEMRTGQMQDTIAKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMIERGNLSHAAFLKNARNSEETLRKEAIERTDLSHLKDTSRLISVDMVPGTVYQVESTGAIVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMEKHEKPGGPTEYSCKLQLPCNAPFEELEGPICSSMRLAQQAVCLAACKKLHEMGAFTDMLLPDKGSGEEAEKVDQNDERDPLPGTARHREFYPEGVANILQGEWILSGRDGTEDSKILHLYMYTIKCVNSGSSKDPFLNKVSDFAVLFGKELDAEVLSMSVDLFIARAMITKASLVFRGSIDITESQLASLKSFHVRLMSIVLDVDVDPSTTPWDPAKAYLFVPVVGDKFVDPVKEIDWDLVDNIITTDAWSNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGIAFGHKPHPTYGIRGAVAPFDVVKATGVVPTRDVIEVQEGDLTKGKLIMADGFLHAEDLVGKIVTAAHSGKRFYVDSIRYDMTAETSFPRKEGYLGPLEYSSYADYYKQKYGVELRHKQQSLIRGRGVSYCKNLLSPRFEHSEGESEEALDKTYYVFLPPELCFVHPLSGSLVRGAQRLPSIMRRVESMLLAVQLKRIIQFSVPASKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQLMVSNMVLYQYALSKGLQSYIQADRFAPSRWAAPGVLPVFDEDTKDGDTSLFDQEQATVDVIPVKEHSDGFEDEEMEDGEIESDSSSYRVLSSKTLADVVEALIGIYYVEGGKNAANHLMKWIGIQVESDPDEMESMVTPSSVPESILRSVNFDALEGALNIKFKNRALLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTNLPPGRLTDLRAAAVNNENFARVAVKHQLHVHLRHGSSALEKQIRDFVKEVQDELLKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGRDTSVVWRVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRSGNLATVEVFIDGVQIGVAQNPQKKMAQKLAARNALAVLKEKETAEAKENTEENGKKKKNGNQTFTRQTLNDICLRRNWPMPFYRCVNEGGPAHAKRFTFAVKVNTADRGWTDECIGEPMPSVKKAKDSAAVLLLELLNKWYS >EOX95543 pep chromosome:Theobroma_cacao_20110822:1:34624834:34638115:1 gene:TCM_005016 transcript:EOX95543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase, C-terminal, Argonaute and Dicer protein, PAZ, Ribonuclease III, bacterial isoform 2 MEYQKCKGVLSSRKLLRSSNPSIKWWSEIPQRKESKLHNSPQPFNPQTNTQKHKVSGSNGSSYWLDACEDISCDLINDFVDFDAPIVQESVDNASNQDFFGGIDHILDSIKNGGGLPPVGNNNNNSSVVNGDGIQDSIVGDGWFQNEPSGVSKNLAENSVPPPNGVEKNNLESKGQEKNCENSNWNLFDYSSKENGVHREDKSSCESRDRGLDSEEKCGKRARVNGSKNDRQYPSRGQYYPRDRERCSARKRVRDWDEFDRRDREHVRRREHYNGSSRRDGRDRERRDREPRGYWERDRSGSNEVVFRLGTWEADRYREGKAANDKSQECNGKIEKKVEQPKEKLLEEQARQYQLDVLEQAKKKNTIAFLETGAGKTLIAVLLIKSICDDLQKQKKKMLSVFLVPKVPLVYQQAEVIRERTGYQVGHYCGEMGQDFWDARRWQREFETKQVLVMTAQILLNILRHSIIKMEAINLLILDECHHAVKKHPYSLVMSEFYHTTPKENRPSVFGMTASPVNLKGVSSQVDCAIKIRNLESKLDSVVCTIKDRKELERHVPMPSEIVIEYDKAASLWSLHEQIKQMEVAVEEAAQSSSRRSKWQFMGARDAGAKEELRQVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQSFLTALQNDERANYQLDVKFQESYLNKVVSLLQCQLSEGAVTDKDMSTAEAENKSAEDGTSPDEIEEGELPDSYVVSGGEHVDVIIGAAVADGKVTPKVQSLIKILLKYQHTEDFRAIIFVERVVAALVLPKVFAELPSLNFIRCASLIGHNNSQEMRTGQMQDTIAKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMIERGNLSHAAFLKNARNSEETLRKEAIERTDLSHLKDTSRLISVDMVPGTVYQVESTGAIVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMEKHEKPGGPTEYSCKLQLPCNAPFEELEGPICSSMRLAQQAVCLAACKKLHEMGAFTDMLLPDKGSGEEAEKVDQNDERDPLPGTARHREFYPEGVANILQGEWILSGRDGTEDSKILHLYMYTIKCVNSGSSKDPFLNKVSDFAVLFGKELDAEVLSMSVDLFIARAMITKASLVFRGSIDITESQLASLKSFHVRLMSIVLDVDVDPSTTPWDPAKAYLFVPVVGDKFVDPVKEIDWDLVDNIITTDAWSNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGIAFGHKPHPTYGIRGAVAPFDVVKATGVVPTRDVIEVQEGDLTKGKLIMADGFLHAEDLVGKIVTAAHSGKRFYVDSIRYDMTAETSFPRKEGYLGPLEYSSYADYYKQKYGVELRHKQQSLIRGRGVSYCKNLLSPRFEHSEGESEEALDKTYYVFLPPELCFVHPLSGSLVRGAQRLPSIMRRVESMLLAVQLKRIIQFSVPASKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQLMVSNMVLYQYALSKGLQSYIQADRFAPSRWAAPGVLPVFDEDTKDGDTSLFDQEQATVDVIPVKEHSDGFEDEEMEDGEIESDSSSYRVLSSKTLADVVEALIGIYYVEGGKNAANHLMKWIGIQVESDPDEMESMVTPSSVPESILRSVNFDALEGALNIKFKNRALLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTNLPPGRLTDLRAAAVNNENFARVAVKHQLHVHLRHGSSALEKQIRDFVKEVQDELLKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGRDTSVVWRVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRSGNLATVEVFIDGVQIGVAQNPQKKMAQKLAARNALAVLKEKETAEAKENTEENGKKKKNGNQTFTRQTLNDICLRRNWPMPFYRCVNEGGPAHAKRFTFAVKVNTADRGWTDECIGEPMPSVKKAKDSAAVLLLELLNKWYS >EOX90985 pep chromosome:Theobroma_cacao_20110822:1:1243210:1246851:-1 gene:TCM_000303 transcript:EOX90985 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-conjugating enzyme E2C-binding protein (InterPro:IPR019193); Has 26 Blast hits to 25 proteins in 9 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 26; Viruses - 0; Other Eukaryotes - 0 (sourc /.../I BLink). [Source:Projected from Arabidopsis thaliana (AT3G26750) TAIR;Acc:AT3G26750] MPMENPENPRKWRFTWEAQSHSPNLRLFLFDSQTKPSVQCKKLKVHLNLFQSQVLVSWLKEEKEEEVTVRVPIPRVLIDSESPVSFRALDDHIEVKLVLLLPVGHPIVSRFDSVLNSSENGDDALAPDAATPLVMDTDLKSLSSIEEGVHFYCRNCSIRLTENPLRNFVEMPSIDWREVADNWFGACCCSFGGISEKMVTRFANSYKCAKGVCLLSFTAVVLSKDDLVACKLYNRTQEHQPGSDFSSDCVLSEEMLSSRESTNDLCGKLSSMHLKNDSVTKNVLVAKEEANGHKLFSALPVPDVSENETSVLGCCVHTENHIRNHVDEGGQHDVSETCLVDQNTSKLLANQKLFLNGSLGNAFMAKSYNLSMDIEWMEFVCPNCLSLLGAYPFDNGGAPIDGGVRLFKCYISTCTSAGGLGDMFRKYSLERMFTNQLLENAKDELSFRTVVRDLKTKSPLLQIVLLNPNSWCCSGYCLDSASAMESSLKLDLLPVIKVLFSDCSKSAASQLRVCEDWITRNLADVVCMFTRQVDELIQSLASAKDILPPSYNFLQDLPVSSLQR >EOX90986 pep chromosome:Theobroma_cacao_20110822:1:1243485:1246680:-1 gene:TCM_000303 transcript:EOX90986 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-conjugating enzyme E2C-binding protein (InterPro:IPR019193); Has 26 Blast hits to 25 proteins in 9 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 26; Viruses - 0; Other Eukaryotes - 0 (sourc /.../I BLink). [Source:Projected from Arabidopsis thaliana (AT3G26750) TAIR;Acc:AT3G26750] MPMENPENPRKWRFTWEAQSHSPNLRLFLFDSQTKPSVQCKKLKVHLNLFQSQVLVSWLKEEKEEEVTVRVPIPRVLIDSESPVSFRALDDHIEVKLVLLLPVGHPIVSRFDSVLNSSENGDDALAPDAATPLVMDTDLKSLSSIEEGVHFYCRNCSIRLTENPLRNFVEMPSIDWREVADNWFGACCCSFGGISEKMVTRFANSYKCAKGVCLLSFTAVVLSKDDLVACKLYNRTQEHQPGSDFSSDCVLSEEMLSSRESTNDLCGKLSSMHLKNDSVTKNVLVAKEEANGHKLFSALPVPDVSENETSVLGCCVHTENHIRNHVDEGGQHDVSETCLVDQNTSKLLANQKLFLNGSLGNAFMAKSYNLSMDIEWMEFVCPNCLSLLGAYPFDNGGAPIDGGVRLFKCYISTCTSAGGLGDMFSRKYSLERMFTNQLLENAKDELSFRTVVRDLKTKSPLLQIVLLNPNSWCCSGYCLDSASAMESSLKLDLLPVIKVLFSDCSKSAASQLRVCEDWITRNLADVVCMFTRQVDELIQSLASAKDILPPSYNFLQDLPVSSLQR >EOX90653 pep chromosome:Theobroma_cacao_20110822:1:243684:245697:-1 gene:TCM_000061 transcript:EOX90653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositolglycan-related, putative MGKISVADSRYSYTNEGEWPSGSVDIHHVVVRKSFLRKAFFVASVLLPVACYFFFFKENSSTLLSWSFLLSGLLAILLLWKPVDKESVIIMPAFGVQLETHYSSGRVIRRFIPAGEILKPVLVECVTPVTCYWSLSLLLRGKEELVLVFKELRPPMKMLLPVWKALCASIENKESRVTCSEGG >EOX91819 pep chromosome:Theobroma_cacao_20110822:1:4002682:4006662:-1 gene:TCM_000891 transcript:EOX91819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMLVISNCESRYLSWVGKELVATNVSESEQKLKLVANSVRVIWDPSSVCSHLVFGCLTVHPLRLHQTPTGTHSFR >EOX96302 pep chromosome:Theobroma_cacao_20110822:1:37265463:37267446:-1 gene:TCM_005579 transcript:EOX96302 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain gene 3 MKFGKILKQQIEETLPAWRDNFLNYKELKKLVRLISSAPLLSAESMEYGKAEAQFVYLLNNEIDKFNVFFMEQEEDFIIRHKELQQRIKRVIDTWGPDGTKPSETKYKDGMAKIRKDIVDFHGEMVLLENYSNVNYTGNVLGSAGLAKILKKYDKRTGGLLRLPYIQKVLQQPFFTTDLLSKLVKECENTIDEVFPVDEEDRLKERREEITVAGKGIFRNTVAALLTMQEIRRGSSTYGHFSLPPLNLPDSDLIHSFQLSSPIPIL >EOX93762 pep chromosome:Theobroma_cacao_20110822:1:15587213:15589305:1 gene:TCM_002679 transcript:EOX93762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDFYRRSHVPAFGSWDWNNDLPFTQCFESARQAGLLRYSYSEDRDLYVAGDLYENDVVTPAMIVVPRRRTKVRQSHVKEGKKQNWEVSDVKEPASPTPLPRPTPKPVDEDLYKISPELLYAKPKKKRGLGFFSSCLVPTCAL >EOX91505 pep chromosome:Theobroma_cacao_20110822:1:2928299:2930590:-1 gene:TCM_000670 transcript:EOX91505 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MDDMAAYYPPPSGLLPPHYPYYQNPPPPPPPPALAPPPPPPPGATGPLPHPHSYIPQQQPPPLFPCYSVPYVSACSSHDSVRTLFIAGLPEDIKPREIYNLFREFPGYESSHLRNPNSAQNSQPFAFAVFSDQQSAIAAMQALNGMVFDLEKGSTLFIDFAKSNSRSKRPRTDDEWTGSDKKSRGSFSRPTTDSGFGSVHMPGMGNSAHNMIGYPPAQSSGNADANSESTAMKSSASPCPTLF >EOX91506 pep chromosome:Theobroma_cacao_20110822:1:2926484:2930473:-1 gene:TCM_000670 transcript:EOX91506 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MDDMAAYYPPPSGLLPPHYPYYQNPPPPPPPPALAPPPPPPPGATGPLPHPHSYIPQQQPPPLFPCYSVPYVSACSSHDSVRTLFIAGLPEDIKPREIYNLFREFPGYESSHLRNPNSAQNSQPFAFAVFSDQQSAIAAMQALNGMVFDLEKGSTLFIDFAKSNSRSKRPRTDDEWTGSDKKSRGSFSRPTTDSASWGRHAIGICKISDGNAKEKKVITRKHKCIYNGGSCRP >EOX91504 pep chromosome:Theobroma_cacao_20110822:1:2926906:2930446:-1 gene:TCM_000670 transcript:EOX91504 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MDDMAAYYPPPSGLLPPHYPYYQNPPPPPPPPALAPPPPPPPGATGPLPHPHSYIPQQQPPPLFPCYSVPYVSACSSHDSVRTLFIAGLPEDIKPREIYNLFREFPGYESSHLRNPNSAQNSQPFAFAVFSDQQSAIAAMQALNGMVFDLEKGSTLFIDFAKSNSRSKRPRTDDEWTGSDKKSRGSFSRPTTDSGFGSVHMPGMGNSAHNMIGYPPAQSSGNADANSESTAMKSSASPCPTLFVANLGASCTEEELIQVFSRCPGFLKLKMQSTYGAPVAFVDFQDTACSTGAMNSLQGTILYSSPAGDGMRLEYAKSRMGMRRKRK >EOX92353 pep chromosome:Theobroma_cacao_20110822:1:6403605:6407525:-1 gene:TCM_001303 transcript:EOX92353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrodipicolinate reductase 2 isoform 3 MASLLRVSANGFRSEKLPLLSRGKRRQGIAAKKAAFRWVPVAMSLSMSTTAIQHNQKATSLDLAIPIMVNSCTGKMGKAVIKAADSAGLHIVPVSFGAEKESGQTIELCGKEILVHGPSEKESVLASVFHEYPNLIVVDYTVPATVNGNL >EOX92352 pep chromosome:Theobroma_cacao_20110822:1:6402169:6407520:-1 gene:TCM_001303 transcript:EOX92352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrodipicolinate reductase 2 isoform 3 MASLLRVSANGFRSEKLPLLSRGKRRQGIAAKKAAFRWVPVAMSLSMSTTAIQHNQKATSLDLAIPIMVNSCTGKMGKAVIKAADSAGLHIVPVSFGAEKESGQTIELCGKEILVHGPSEKESVLASVFHEYPNLIVVDYTVPATVNDNAELYSKVGVPFVMGTTGGDRDRLYKTVEDSNGYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVTESHQASKLDTSGTAKAVISCFQKLGVSFGMDQIQMIRDPKQQIEMVGVPEEHLAGHAFHLYHLTSPDKTVSFEFQHNVCGRSIYAEGTVDAVLFLSKKVQSSANKRIYNMIDVLREGNMR >EOX92351 pep chromosome:Theobroma_cacao_20110822:1:6402445:6406955:-1 gene:TCM_001303 transcript:EOX92351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrodipicolinate reductase 2 isoform 3 MASLLRVSANGFRSEKLPLLSRGKRRQGIAAKKAAFRWVPVAMSLSMSTTAIQHNQKATSLDLAIPIMVNSCTGKMGKAVIKAADSAGLHIVPVSFGAEKESGQTIELCGKEILVHGPSEKESVLASVFHEYPNLIVVDYTVPATVNDNAELYSKVGVPFVMGTTGGDRDRLYKTVEDSNGYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVTESHQASKLDTSGTAKAVISCFQKLGVSFGMDQIQMIRDPKQQIEMVGVPEEHLAGHAFHLYHLTSPDKTVSFEFQHNVCGRSIYAEGTVDAVLFLSKKVQSSANKRIYNMIDVLREGNMR >EOX93158 pep chromosome:Theobroma_cacao_20110822:1:10942579:10944603:1 gene:TCM_002007 transcript:EOX93158 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein MDIAQRIPTIDLSDFPGQYEKLRKAGEEWGCFRILNHKIPLELMQEMKMVVRSLLDLPMEIKKRNEDVIASSGYMAPSQKNPLYEALGLYDMASSQAVHNFCSQLDATPSQRDTIDKYAQAIHELIMEIGAKLAESMGLVGDYCKEWPCQFRINKYNFTPEAVGSTGVQIHTDSGFLTILQDDENVGGLEVMDKSGEFVAVDPLPGSLLVNLGDTAAAWSNGRLCNVTHRVQCKEATTRVSIATFLLGPTRAAVEPPPELVDSEHPRLYVPFTYEEYRKLRLTTNLQAGEALALVRTCS >EOX93753 pep chromosome:Theobroma_cacao_20110822:1:15549970:15553597:1 gene:TCM_002671 transcript:EOX93753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYVLNLSRQFELMRMKEDESIRVYAYKVMSLVNQLRVLGEEVSDKRVVNKMLVNLPKRFEAKISSLEDSKNLTKISLNELVSPLLAQEQKRALKCENTIENGLVAKTKCLSINGESTKKLGSKGSKPIGDGKQGKKQDKACNQLGHVEKVCKVKKTDVKNKKVVAEEIGESDEVLFMAKLEDQPIEKNTWLINNGYSNHLTDQNLLSVGQLNENHYALLFKNKQCIIFEPHGVELMTIKTRNKCYPLNLLKTEHRVFVSEVDTSETWHRRETLVKTSLVDDSKTINTEDESLVVRGTRSLQDIYGKCKLVMAEPTYYKYAHLDRNWQEAMDVEIKMIKKNGTWIITDRLENQKVIVTRHDIIRMLAALVAKEGRKIYHLDMNPAFLNGYLNKDIYIDQLERYKEPGFEGKVCKLIKAMYGLKQAPRAWYEWMDNHLKSQGFVKSITKSTLYVKKSNESVVLIVALYVDDLLVTRPENDCLEDFKSQMKTDFEMIDLGQMVYFLGMEFI >EOX95325 pep chromosome:Theobroma_cacao_20110822:1:33758215:33758916:1 gene:TCM_004849 transcript:EOX95325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDYHTHLVPFCGKIIGTTVIDKAPIAENWVLNIRSKFNGQKIIARLNCKWKPHPIRSMSSKIATLQLCIDTKCLAIQLLYLNYMPKSIKSFLSDSTVIFVGIEIEETMLKLQNEYGLSCSKKIDVRSLARVHFPLSFYGETWFKSSCKRIGGAA >EOX92375 pep chromosome:Theobroma_cacao_20110822:1:6535428:6539472:-1 gene:TCM_001329 transcript:EOX92375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease or glycosyl hydrolase, putative isoform 2 RTCPDAGAGGFRSLAPLFLKPSPVFLTTVRQNFPEIQNLWYEKNTIFSLTMKLKPFSLETIFSFSSSSSPPIYSLSTFISHFSTSQNHPFHSYYPSRRHEEESRHVKVSVWWDFENCNLPAGVNVFKIAHMITAAVRANGIKGPIQITAFGDIFQLSRTNQEALSSTGVNLAHVPHGGKNSADRSLLVDLMYWVSQNPPPAHLFLISGDRDFASVLHRLRMNNYNVLLASPESAPSVLCSAASIMWNWNALLKGENLTGKHYNQPPDGPSGSWYGHYKVPLENPFLVVEQPACPRTEELSEGCSDSKPRPIPKVVIKQIRQILNSYPKGISITDLRLELDRSNVGLDKDLYGYKKFSRFLLSMRRILTLKSEGDGQFLIRGITPKAGELSETSPCLSAEPVCRYGDDLTVSSRSSGDDSSVGGDLNGKSTLHHSPEVNSGVTPRKVQQSPTENDNLVKVNAEKPPEEVQQPLPVGQKIAEASNEQVTEGHQAPMLEQDSAPEVGFVRKVWRRWFGGSNGISEINSHDLPEKDDDSEVSSEKRNNYTLKKCAGFSSEREGMKEECDAKSCEVSHPVTVSSSSNDSTVDNKVSAETGENHSGKRSGLLNRIANWCKFWRSSKDSKASGDQLIDKLNQININSLKHEVFTQDSFWKDMEILMDSPRGSVLVNLSRTREEMAENLLKEGPLVLRSLSNIDLLQLVDLLISDKKWIEECPSQTSPFRITRAFEKSPCLGHSHAANGLRSIFMHTPSQANLQPKHEGEKKLQNIPDSGASSTIINKKSSDRSRCEIISDCQKLVEQIMKEHPEGYNMGLFRKLFLERYDYPLDIQRLGYKKLASLLEIVPGIKIESCYIIPESMVPDNAGLETDVPNIQGNTSHALGNSAGELPDASTKDDDFDPTWDEL >EOX92374 pep chromosome:Theobroma_cacao_20110822:1:6534423:6539912:-1 gene:TCM_001329 transcript:EOX92374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease or glycosyl hydrolase, putative isoform 2 MHMHMHRTCPDAGAGGFRSLAPLFLKPSPVFLTTVRQNFPEIQNLWYEKNTIFSLTMKLKPFSLETIFSFSSSSSPPIYSLSTFISHFSTSQNHPFHSYYPSRRHEEESRHVKVSVWWDFENCNLPAGVNVFKIAHMITAAVRANGIKGPIQITAFGDIFQLSRTNQEALSSTGVNLAHVPHGGKNSADRSLLVDLMYWVSQNPPPAHLFLISGDRDFASVLHRLRMNNYNVLLASPESAPSVLCSAASIMWNWNALLKGENLTGKHYNQPPDGPSGSWYGHYKVPLENPFLVVEQPACPRTEELSEGCSDSKPRPIPKVVIKQIRQILNSYPKGISITDLRLELDRSNVGLDKDLYGYKKFSRFLLSMRRILTLKSEGDGQFLIRGITPKAGELSETSPCLSAEPVCRYGDDLTVSSRSSGDDSSVGGDLNGKSTLHHSPEVNSGVTPRKVQQSPTENDNLVKVNAEKPPEEVQQPLPVGQKIAEASNEQVTEGHQAPMLEQDSAPEVGFVRKVWRRWFGGSNGISEINSHDLPEKDDDSEVSSEKRNNYTLKKCAGFSSEREGMKEECDAKSCEVSHPVTVSSSSNDSTVDNKVSAETGENHSGKRSGLLNRIANWCKFWRSSKDSKASGDQLIDKLNQININSLKHEVFTQDSFWKDMEILMDSPRGSVLVNLSRTREEMAENLLKEGPLVLRSLSNIDLLQLVDLLISDKKWIEECPSQTSPFRITRAFEKSPCLGHSHAANGLRSIFMHTPSQANLQPKHEGEKKLQNIPDSGASSTIINKKSSDRSRCEIISDCQKLVEQIMKEHPEGYNMGLFRKLFLERYDYPLDIQRLGYKKLASLLEIVPGIKIESCYIIPESMVPDNAGLETDVPNIQGNTSHALGNSAGELPDASTKDDDFDPTWDELGPVLSTSSNKELQSVLGSKRTEDTKVAYSNYEPSVSDDEFSDSEGEISTSEQSGRQQKPGINEEDSSLLQILDSWYSSKEDEERKENSENAEGMVDCSEYHVKPSGAAEVGMRSETSLKDCGQRRRLQKNYSFVADPVGNDKDKLIDGILGSLKKSSESRMQV >EOX93486 pep chromosome:Theobroma_cacao_20110822:1:13322387:13325912:1 gene:TCM_002349 transcript:EOX93486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance-like protein MEFVTGFKHNHQGKVQNLKSQIEKMKYERDRIQHSKGKGEEIEQDVQSWLTHAEDKIKEEEEIVKSLEAEAKKRCFIGLCPNFKSLNQLYKVAEENAKAILELIQQAESHKFNNKSNVQNLENQAEAEKRNRMMDVEQDKKTNDEAEKVNKVEDKEENKSLVWLYPKFKSPNQVSNSAEDDGRVIGSELIEQHRFDGGLYPARSQETESMPIQCYKAFESRKDTMENIMKALKDPDFKIIGVYGMAGVGKTVLVKEVMRKVRAESLFDEVAMATVSRNPNIKEIQGKITDALGLKFDEEIVFGRAMRLQQWLKKEDKRVLLVLDDVWLGLDLEEVGIAFEGYQNIASEEDLGPMIQNINGNAFQKFSAVRLKMLLTSTSQEVLNHMKTEMNFEVEVLTNEEAMVWFEKIVGDTAMQPSNPQIVTRVVKNCAGFPVAISGIAAALKIRGFNILVEALPEERKPIPTKAENLRSVYLTIQLSYSLLKKPKLQSLFQLCALLPQGSDIRVSDLLRYNLGVKIARNVSTLEKASKSVNKLKDAGLLSGDNDELVKMHDIVRDVFIWIASEDKQMFVIEDEIRLEELLKQRKLKNCTAISLPFSNIHELPCRSLDLSNCSKLKVIPAEIISGLSNLEELFLCNSFDQWGVEGNASLIELMPLTCLTTLDLHIRSAQDWPAELFFEKLDRYKILIGEVWKWSGKYEKRRILKLKLTKGIHLDHGVKLLLQKTEDLYLDELKGIKNLLYELDSTGFPQLKNLHIQNGNEIQFIINSTKVVSGKAFPILESLFLQNLINLEKICQGKLEEECFKRMNIISVKCCDGLKNLFSYSMTKMLLHLQEIKVINCKSIEEIVVEVREKSTSVATNKTEFCELRSLTLQLLPELGSFCSKGKSHSIYQQEPVNTRSWLLFNGKVVFPVLENLRLSAINIERIWQKTSYCSQNLTSLVIEGCGNLKHLFSPSIIKGQSQLKSFEIIDCKHIREIIVPEEAEVEEEEKEEEGKKKRKRNV >EOX92769 pep chromosome:Theobroma_cacao_20110822:1:8542182:8542712:-1 gene:TCM_001651 transcript:EOX92769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGTWLEDQEEVRLHAVDFFRKLYYKETKTLPAYPIRGKFPMLNRTDYDRLTTPVGDKEVHEALFAMKPMKTPGIDRIHALFFQSQWDVVGSRVVKYV >EOX92955 pep chromosome:Theobroma_cacao_20110822:1:9576787:9581640:-1 gene:TCM_001815 transcript:EOX92955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase 2 isoform 1 MPSKEELSSKVKARLSVLSAHLAAPDCSSQNLSSTVLEPWCVSAQTVGSVGGSLTIVDERTGKTYEVPVSSEGTVKATDFKKITTGKNDKGLKIYDPGYLNTAPVRSSISYIDGDEGILRYRGYPIEELAESSTFLEVAYLLLYGNLPSEGQLADWEFAVSQHSAVPQGILDIIQSMPHDAHPMGVLVSAISALSVFHPDANPALRGQDLYRSKQVRDKQIARILGKAPTVAAAAYLRMAGRPPVLPSSTLSYAENFLYMLDSMGNRSYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASGGVDVYTALAGAVGALYGPLHGGANEAVLKMLSEIGTVENIPEFIEGVKNRWLLVWRRLHYLMSILLRGSSIQMLISILG >EOX92954 pep chromosome:Theobroma_cacao_20110822:1:9575618:9581512:-1 gene:TCM_001815 transcript:EOX92954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase 2 isoform 1 MPSKEELSSKVKARLSVLSAHLAAPDCSSQNLSSTVLEPWCVSAQTVGSVGGSLTIVDERTGKTYEVPVSSEGTVKATDFKKITTGKNDKGLKIYDPGYLNTAPVRSSISYIDGDEGILRYRGYPIEELAESSTFLEVAYLLLYGNLPSEGQLADWEFAVSQHSAVPQGILDIIQSMPHDAHPMGVLVSAISALSVFHPDANPALRGQDLYRSKQVRDKQIARILGKAPTVAAAAYLRMAGRPPVLPSSTLSYAENFLYMLDSMGNRSYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASGGVDVYTALAGAVGALYGPLHGGANEAVLKMLSEIGTVENIPEFIEGVKNRKQKMSGFGHRVYKNYDPRAKVIKKLAEEVFSIVGRDPLIEVAIGLEKAALSDEYFIKRKLYPNVDFYSGLIYRAMGFPPEFFTVLFAIPRMAGYLAHWRESLDDPDTKIIRPQQVYTGVWLRHYMPLKERMAATEVDKLSQVSISNASRRRLAGSGV >EOX94305 pep chromosome:Theobroma_cacao_20110822:1:27676271:27681158:1 gene:TCM_003894 transcript:EOX94305 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MGSQVLVPFRGSEDNPRHLKLMGDLGQQIVPMKYDPRDENSIKAVMAKANVVINLIGREYETRNYSFEEVNHFMAEQLAVIAREHGGIMRFIQVSCLGSSPSSASRFLRAKAAAEEAVLRELPEATVMKPAIMIGTEDRIMNRWAQFVKKYSFLPLIGDGSTKIQPVYVVDVASAIVAALKDDGTSMGKAYELGGPEIFTVHELAELMHDMIREWPRYVKVPLPVAKAIAMPREVLLKKVPFPLPNPDIFNLDQIHAFATDTIVSENALTFTDLGIVPHKLKGYPVEYLIQYRKGGPQFGSTVSEKVNPEYWP >EOX94303 pep chromosome:Theobroma_cacao_20110822:1:27676309:27681126:1 gene:TCM_003894 transcript:EOX94303 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MQAITRRLGQQSLRPTPSIYSIECIYPLFHHYYGCDHPRYGSTLAAHKGLGHLVRKGTGGRSSVSGIIATVFGATGFFGRYVVQQLAKMGSQVLVPFRGSEDNPRHLKLMGDLGQIVPMKYDPRDENSIKAVMAKANVVINLIGREYETRNYSFEEVNHFMAEQLAVIAREHGGIMRFIQVSCLGSSPSSASRFLRAKAAAEEAVLRELPEATVMKPAIMIGTEDRIMNRWAQFVKKYSFLPLIGDGSTKIQPVYVVDVASAIVAALKDDGTSMGKAYELGGPEIFTVHELAELMHDMIREWPRYVKVPLPVAKAIAMPREVLLKKVPFPLPNPDIFNLDQIHAFATDTIVSENALTFTDLGIVPHKLKGYPVEYLIQYRKGGPQFGSTVSEKVNPEYWP >EOX94302 pep chromosome:Theobroma_cacao_20110822:1:27676254:27681479:1 gene:TCM_003894 transcript:EOX94302 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MQAITRRLGQQSLRPTPSIYSIECIYPLFHHYYGCDHPRYGSTLAAHKGLGHLVRKGTGGRSSVSGIIATVFGATGFFGRYVVQQLAKMGSQVLVPFRGSEDNPRHLKLMGDLGQIVPMKYDPRDENSIKAVMAKANVVINLIGREYETRNYSFEEVNHFMAEQLAVIAREHGGIMRFIQVSCLGSSPSSASRFLRAKAAAEEAVLRELPEATVMKPAIMIGTEDRIMNRWAQFVKKYSFLPLIGDGSTKIQPVYVVDVASAIVAALKDDGTSMGKAYELGGPEIFTVHELAELMHDMIREWPRYVKVPLPVAKAIAMPREVLLKKVPFPLPNPDIFNLDQIHAFATDTIVSENALTFTDLGIVPHKLKGYPVEYLIQYRKGGPQFGSTVSEKVNPEYWP >EOX94304 pep chromosome:Theobroma_cacao_20110822:1:27676271:27681415:1 gene:TCM_003894 transcript:EOX94304 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MQAITRRLGQQSLRPTPSIYSIEYYGCDHPRYGSTLAAHKGLGHLVRKGTGGRSSVSGIIATVFGATGFFGRYVVQQLAKMGSQVLVPFRGSEDNPRHLKLMGDLGQIVPMKYDPRDENSIKAVMAKANVVINLIGREYETRNYSFEEVNHFMAEQLAVIAREHGGIMRFIQVSCLGSSPSSASRFLRAKAAAEEAVLRELPEATVMKPAIMIGTEDRIMNRWAQFVKKYSFLPLIGDGSTKIQPVYVVDVASAIVAALKDDGTSMGKAYELGGPEIFTVHELAELMHDMIREWPRYVKVPLPVAKAIAMPREVLLKKVPFPLPNPDIFNLDQIHAFATDTIVSENALTFTDLGIVPHKLKGYPVEYLIQYRKGGPQFGSTVSEKVNPEYWP >EOX94456 pep chromosome:Theobroma_cacao_20110822:1:28748491:28753244:1 gene:TCM_004034 transcript:EOX94456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase inner membrane subunit 44-2 isoform 1 MKEKISAAKEEVKETFGVGKEEFSEGTGTSAQHGADVKDGDKASSGEQKHEQSASGDTAETIFGKFKSGISSPKVSLAFQRLKEAKVVDLAKKGYDIVKDELSGNPSKRRHLEYTPPPSSTGERSTRTDIVVMPSKQSRWSKKWEAFKEKMQGHPLFKHASGFSEPVVTKGQEIAEDLRERWDTSDNPIVHKIQDINESIFQETDAAASYKEIRRRDPSFSLPEFVTEVQEAIRPVLNAYTKGDVKTLKKYCSPEVLERCKAEHTAYQSNGIFFDNKILHVSDVEVRETKMMGTSPIIIVAFQTQQIYCVRDREGKITEGGKDTIHTVYYAWAMQQVDVEELGEGALYPIWKLREMQLIGVQALI >EOX94455 pep chromosome:Theobroma_cacao_20110822:1:28748174:28753285:1 gene:TCM_004034 transcript:EOX94455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase inner membrane subunit 44-2 isoform 1 MAGRKLVRDFFIARQPLFLNFTSQQGSNVRLRLLSGNGYSSNRRFSVFNEFSNKIKGEANRNPEFKQSVEELKGKAEELKVRTKQTTQQLYKQVDGAWTEAEATAKKVSANMKEKISAAKEEVKETFGVGKEEFSEGTGTSAQHGADVKDGDKASSGEQKHEQSASGDTAETIFGKFKSGISSPKVSLAFQRLKEAKVVDLAKKGYDIVKDELSGNPSKRRHLEYTPPPSSTGERSTRTDIVVMPSKQSRWSKKWEAFKEKMQGHPLFKHASGFSEPVVTKGQEIAEDLRERWDTSDNPIVHKIQDINESIFQETDAAASYKEIRRRDPSFSLPEFVTEVQEAIRPVLNAYTKGDVKTLKKYCSPEVLERCKAEHTAYQSNGIFFDNKILHVSDVEVRETKMMGTSPIIIVAFQTQQIYCVRDREGKITEGGKDTIHTVYYAWAMQQVDVEELGEGALYPIWKLREMQLIGVQALI >EOX94457 pep chromosome:Theobroma_cacao_20110822:1:28748303:28753236:1 gene:TCM_004034 transcript:EOX94457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase inner membrane subunit 44-2 isoform 1 MAGRKLVRDFFIARQPLFLNFTSQQGSNVRLRLLSGNGYSSNRRFSVFNEFSNKIKGEANRNPEFKQSVEELKGKAEELKVRTKQTTQQLYKQVDGAWTEAEATAKKVSANMKEKISAAKEEVKETFGVGKEEFSEGTGTSAQHGADVKDGDKASSGEQKHEQSASGDTAETIFGKFKSGISSPKVSLAFQRLKEAKVVDLAKKGYDIVKDELSGNPSKRRHLEYTPPPSSTGERSTRTDIVVMPSKQSRWSKKWEAFKEKMQGHPLFKHASGFSEPVVTKGQEIAEDLRERWDTSDNPIVHKIQDINESIFQETDAAASYKEIRRRDPSFSLPEFVTEVQEAIRPVLNAYTKGDVKTLKKYCSPEVLERCKAEHTAYQSNGIFFDNKILHVSDVEVRETKMMGTSPIIIVAFQTQQIYCVRDREGKITEGYNSHCLLRLGHATSGCRRTWRGCPLSDLEA >EOX92908 pep chromosome:Theobroma_cacao_20110822:1:9378855:9381418:1 gene:TCM_001771 transcript:EOX92908 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylacetaldehyde oxime monooxygenase, putative MHKYSPNLNLLARYKRKTTRVNSCKQGRQNITMIAIFNLDWFSIIFLFFLLQMLTMVLLRNKRGNQSAKLPPGPPRLPIIGNLHQLGNLPHHSLHKISQKYGPIMFLKLGSTPTIIISSAKMAQEVMKTHDLDCCSRPLSPGPKRLSYNHLDVAFAPYSDYWREMRKLFIFELLSMKRVQSFGYVREAEVDKLITSLSEAFPNPINLNEKIFTLGDGIIGTVAFGKIYATKQFKHKAFQHVLDEAMNMLASFSAEDFFPTIGQFIDYLTGLKTRRERIFKELDAYFEMVLNQHLDPNRPQPEHEDFVDILVQLLKDQSFGLTKDHVKAILLDTFVGGIDTSAITMLWAMSELIKNPRVMKKVQIEIRNTVGKKAKVDGESVANLNYLKMVVKETFRLHPPATLLIPRETMRHCEIGGFDIFPQTRIMVNAWAIGRDPDSWENPKEFHPERFEDKDIDFKGAHFELVPFGAGRRICPGLAMGATNIEFTLANLLYCFDWELPCGMNREDISMEEEGGLTYHRKTPLNLIPIRYNSLE >EOX96021 pep chromosome:Theobroma_cacao_20110822:1:36348727:36355157:-1 gene:TCM_005375 transcript:EOX96021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl Co-enzyme a carboxylase carboxyltransferase alpha subunit isoform 2 MATISLVAGNCGRGRGCEDRRSEFGSNSYFGKDFLASELLIRSFRRANGYWSKALKGSRNDNGKKFRVIAKVKKGKKYDYPWPDDIDPNITDGHLTYLSYFKPLDEKPKPVTLPFEKPLVDLEQKIIEVCRMADETGLDFTDQIGALENKYQQALKDLYTHLTPIQRLTIARHPNRPTVLDHILNITEKWVELHGDRAGYDDPAMVTGIGSMDGKSYMFIGHQKGRNTKENIFRNFAMPTPHGYRKALRMMKYADHHGLPIITFVDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIVTVVVGEGGSGGALAIACPNKLFMLENSVLYVASPEACAAILWKSSQAAPKAAEKLRITAQEHYKRKIADGIIPEPLGGAHADPVWTSQQIKLAITQAMEELTKMDTEELLRHRMLKFRSIGGFQEGKPVEPERKHNMKPSDASMLKAADIESDLERLKKKILEAKVPSDPITDQATEKLKQDVDKEVTRAFISMGLQEKLESLKLELSRAPDNQHLNRNLKEKVDKLMHEFKQNLSRPGAYLGLKQKLEKLSMVSRLVELKEKSEKLKTEINQKIPAEVKAKLEQLENAQEGLLKGDPLDEDLVAEAVKAKKELMEVLKSANLEIVGVRKREVTAAPPEIQEKIVNVNKEIGGEIERIIDVAGLRGKIEELKAEMAKGSSSQKAEELETEIKEGILAALDNTALKQKVEKLRTEFASSLEVATDDKVAAENGRW >EOX96019 pep chromosome:Theobroma_cacao_20110822:1:36348700:36355157:-1 gene:TCM_005375 transcript:EOX96019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl Co-enzyme a carboxylase carboxyltransferase alpha subunit isoform 2 MRGLWTLVVVDRYPQRGLPLSTSPSLPALATHRPTTSIPLQLSRETHVPLSWIIEMATISLVAGNCGRGRGCEDRRSEFGSNSYFGKDFLASELLIRSFRRANGYWSKALKGSRNDNGKKFRVIAKVKKGKKYDYPWPDDIDPNITDGHLTYLSYFKPLDEKPKPVTLPFEKPLVDLEQKIIEVCRMADETGLDFTDQIGALENKYQQALKDLYTHLTPIQRLTIARHPNRPTVLDHILNITEKWVELHGDRAGYDDPAMVTGIGSMDGKSYMFIGHQKGRNTKENIFRNFAMPTPHGYRKALRMMKYADHHGLPIITFVDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIVTVVVGEGGSGGALAIACPNKLFMLENSVLYVASPEACAAILWKSSQAAPKAAEKLRITAQEHYKRKIADGIIPEPLGGAHADPVWTSQQIKLAITQAMEELTKMDTEELLRHRMLKFRSIGGFQEGKPVEPERKHNMKPSDASMLKAADIESDLERLKKKILEAKVPSDPITDQATEKLKQDVDKEVTRAFISMGLQEKLESLKLELSRAPDNQHLNRNLKEKVDKLMHEFKQNLSRPGAYLGLKQKLEKLSMVSRLVELKEKSEKLKTEINQKIPAEVKAKLEQLENAQEGLLKGDPLDEDLVAEAVKAKKELMEVLKSANLEIVGVRKREVTAAPPEIQEKIVNVNKEIGGEIERIIDVAGLRGKIEELKAEMAKGSSSQKAEELETEIKEGILAALDNTALKQKVEKLRTEFASSLEVATDDKVAAENGRW >EOX96020 pep chromosome:Theobroma_cacao_20110822:1:36348700:36354891:-1 gene:TCM_005375 transcript:EOX96020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl Co-enzyme a carboxylase carboxyltransferase alpha subunit isoform 2 MATISLVAGNCGRGRGCEDRRSEFGSNSYFGKDFLASELLIRSFRRANGYWSKALKGSRNDNGKKFRVIAKVKKGKKYDYPWPDDIDPNITDGHLTYLSYFKPLDEKPKPVTLPFEKPLVDLEQKIIEVCRMADETGLDFTDQIGALENKYQQALKDLYTHLTPIQRLTIARHPNRPTVLDHILNITEKWVELHGDRAGYDDPAMVTGIGSMDGKSYMFIGHQKGRNTKENIFRNFAMPTPHGYRKALRMMKYADHHGLPIITFVDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIVTVVVGEGGSGGALAIACPNKLFMLENSVLYVASPEACAAILWKSSQAAPKAAEKLRITAQEHYKRKIADGIIPEPLGGAHADPVWTSQQIKLAITQAMEELTKMDTEELLRHRMLKFRSIGGFQEGKPVEPERKHNMKPSDASMLKAADIESDLERLKKKILEAKVPSDPITDQATEKLKQDVDKEVTRAFISMGLQEKLESLKLELSRAPDNQHLNRNLKEKVDKLMHEFKQNLSRPGAYLGLKQKLEKLSMVSRLVELKEKSEKLKTEINQKIPAEVKAKLEQLENAQEGLLKGDPLDEDLVAEAVKAKKELMEVLKSANLEIVGVRKREVTAAPPEIQEKIVNVNKEIGGEIERIIDVAGLRGKIEELKAEMAKGSSSQKAEELETEIKEGILAALDNTALKQKVEKLRTEFASSLEVATDDKVAAENGRW >EOX95551 pep chromosome:Theobroma_cacao_20110822:1:34652230:34655296:1 gene:TCM_005020 transcript:EOX95551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MAPEIIQNQKYDAKADLWSVGAILFQLVTGKPPFNGNNQLQLFQNILRSTELQFPEGTLEELHPDCVDLCRSLLRHNPVERLTFGEFFNHKFLGESSEEVHVERASSLLQSKSMVEQFDSSASEKKSQLLSGHSVDGSRQNPKSASSVLHDRVLHTNEHGCSSSIKGKSVDNQCSSDQPTADSTESIEKDYVLVNPHFESMETFSYYLDTSLQDNSSIKLFKCPAKKSDQESAVAGQTKETTGSSAGSAKIPQVQGLDPPATSSKSALLMEVQQLSILHPSTRLQLLHQCAQAITELAQEKYNAGLFLESFSVELVVLAIWKKALQICSSWMNSATENELPGSSSGNEPTNVQGSAHLSPNSEYNVDFSRPSSVGIWVQQQFIVACDHAEKLSCHLQDMDATAEMPDAMDIIYEKALTIGKSGAVDEYMENKGSAAASYSKAMLLLSFIIAEATNLPLKPQFSLAPADKMRIQRYINKLQSHQCQFLTSAPFPKLSADSHNK >EOX95547 pep chromosome:Theobroma_cacao_20110822:1:34649961:34655548:1 gene:TCM_005020 transcript:EOX95547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MMTMDLGGTGPGQARLVGDYILGPRIGSGSFAVVWRSRHRQHGLEVAVKEIDKKLLSSKVSENLFKEISILSTINHPNIIQFFEAIETGDKIFLVLEYCDGGDLAAYIHRHGKVSEEVARHFMRQLAAGLQVLQEKHLIHRDLKPQNLLLSTKGATPHLKIGDFGFARSLTPQDLADTLCGSPLYMAPEIIQNQKYDAKADLWSVGAILFQLVTGKPPFNGNNQLQLFQNILRSTELQFPEGTLEELHPDCVDLCRSLLRHNPVERLTFGEFFNHKFLGESSEEVHVERASSLLQSKSMVEQFDSSASEKKSQLLSGHSVDGSRQNPKSASSVLHDRVLHTNEHGCSSSIKGKSVDNQCSSDQPTVADSTESIEKDYVLVNPHFESMETFSYYLDTSLQDNSSIKLFKCPAKKSDQESAVAGQTKETTGSSAGSAKIPQVQGLDPPATSSKSALLMEVQQLSILHPSTRLQLLHQCAQAITELAQEKYNAGLFLESFSVELVVLAIWKKALQICSSWMNSATENELPGSSSGNEPTNVQGSAHLSPNSEYNVDFSRPSSVGIWVQQQFIVACDHAEKLSCHLQDMDATAEMPDAMDIIYEKALTIGKSGAVDEYMENKGSAAASYSKAMLLLSFIIAEATNLPLKPQFSLAPADKMRIQRYINKLQSHQCQFLTSAPFPKLSADSHNK >EOX95548 pep chromosome:Theobroma_cacao_20110822:1:34650141:34655296:1 gene:TCM_005020 transcript:EOX95548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MMTMDLGGTGPGQARLVGDYILGPRIGSGSFAVVWRSRHRQHGLEVAVKEIDKKLLSSKVSENLFKEISILSTINHPNIIQFFEAIETGDKIFLVLEYCDGGDLAAYIHRHGKVSEEVARHFMRQLAAGLQVLQEKHLIHRDLKPQNLLLSTKGATPHLKIGDFGFARSLTPQDLADTLCGSPLYMAPEIIQNQKYDAKADLWSVGAILFQLVTGKPPFNGNNQLQLFQNILRSTELQFPEGTLEELHPDCVDLCRSLLRHNPAVERLTFGEFFNHKFLGESSEEVHVERASSLLQSKSMVEQFDSSASEKKSQLLSGHSVDGSRQNPKSASSVLHDRVLHTNEHGCSSSIKGKSVDNQCSSDQPTADSTESIEKDYVLVNPHFESMETFSYYLDTSLQDNSSIKLFKCPAKKSDQESAVAGQTKETTGSSAGSAKIPQVQGLDPPATSSKSALLMEVQQLSILHPSTRLQLLHQCAQAITELAQEKYNAGLFLESFSVELVVLAIWKKALQICSSWMNSATENELPGSSSGNEPTNVQGSAHLSPNSEYNVDFSRPSSVGIWVQQQFIVACDHAEKLSCHLQDMDGYC >EOX95549 pep chromosome:Theobroma_cacao_20110822:1:34651933:34655541:1 gene:TCM_005020 transcript:EOX95549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MAPEIIQNQKYDAKADLWSVGAILFQLVTGKPPFNGNNQLQLFQNILRSTELQFPEGTLEELHPDCVDLCRSLLRHNPVERLTFGEFFNHKFLGESSEEVHVERASSLLQSKSMVEQFDSSASEKKSQLLSGHSVDGSRQNPKSASSVLHDRVLHTNEHGCSSSIKGKSVDNQCSSDQPTADSTESIEKDYVLVNPHFESMETFSYYLDTSLQDNSSIKLFKCPAKKSDQESAVAGQTKETTGSSAGSAKIPQVQGLDPPATSSKSALLMEVQQLSILHPSTRLQLLHQCAQAITELAQEKYNAGLFLESFSVELVVLAIWKKALQICSSWMNSATENELPGSSSGNEPTNVQGSAHLSPNSEYNVDFSRPSSVGIWVQQQFIVACDHAEKLSCHLQDMDATAEMPDAMDIIYEKALTIGKSGAVDEYMENKGSAAASYSKAMLLLSFIIAEATNLPLKPQFSLAPADKMRIQRYINKLQSHQCQFLTSAPFPKLSADSHNK >EOX95550 pep chromosome:Theobroma_cacao_20110822:1:34652167:34655548:1 gene:TCM_005020 transcript:EOX95550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MAPEIIQNQKYDAKADLWSVGAILFQLVTGKPPFNGNNQLQLFQNILRSTELQFPEGTLEELHPDCVDLCRSLLRHNPVERLTFGEFFNHKFLGESSEEVHVERASSLLQSKSMVEQFDSSASEKKSQLLSGHSVDGSRQNPKSASSVLHDRVLHTNEHGCSSSIKGKSVDNQCSSDQPTVADSTESIEKDYVLVNPHFESMETFSYYLDTSLQDNSSIKLFKCPAKKSDQESAVAGQTKETTGSSAGSAKIPQVQGLDPPATSSKSALLMEVQQLSILHPSTRLQLLHQCAQAITELAQEKYNAGLFLESFSVELVVLAIWKKALQICSSWMNSATENELPGSSSGNEPTNVQGSAHLSPNSEYNVDFSRPSSVGIWVQQQFIVACDHAEKLSCHLQDMDATAEMPDAMDIIYEKALTIGKSGAVDEYMENKGSAAASYSKAMLLLSFIIAEATNLPLKPQFSLAPADKMRIQRYINKLQSHQCQFLTSAPFPKLSADSHNK >EOX94537 pep chromosome:Theobroma_cacao_20110822:1:29913675:29914468:1 gene:TCM_004163 transcript:EOX94537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inhibitor of trypsin and hageman factor MSDCSGKSSWPELVGVNGEAAAAIIVKENPKVGAVIVKEGMMVTMDFRCDRVRVWVDKYGIVKRKPQIG >EOX90918 pep chromosome:Theobroma_cacao_20110822:1:1032655:1037522:1 gene:TCM_000256 transcript:EOX90918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferulic acid 5-hydroxylase 1, putative MRKLCVMKLFSRKKSESWESVRDEVESLVKAVSANTGKAINMGELIFNLTKNITYRAAFGSSSQEGQEEFIKILQEFSKLFVAFNIADFIPWLSWVDPQGLKTRLKNARHALDRFILTPLSTITFKMRKNNNGCDEGDTDMVDDLLAFYREEAKVNESEDIENSIKLTRDSIKAIIMPRGSEASPTGAGGGGGPGPPSRRIRFGQTHLPKVHVERNRPAAPANSTVAPRDGQTAEDAEVAGYRIPSKSLVMINAWAIGRDKNSWEEPDGFKPSRFLKEGVPDFKGSNFEFIKFGSGRRACPGMKLGLYALDLAVANLLHCFTWELPDGMSPGELDMSDVSGLAGPTASPLIAVPKKRLLCPLLKAKGKPFESLQHLTKIVLSCLILIKFINRNPRCIGIPRSLPQGTSYAVGQSEAETSRERRGLVGFHYACAGYSVYMSRNEGSVDKEEDKPELPACLGFEFVAYRRTPAAGPASASAHVHRSGDLMSFNFQQLNAEDRDIRQPRTHKPTHAVGDDFLHRNANLVASGVAKNMRRVGN >EOX91494 pep chromosome:Theobroma_cacao_20110822:1:2889486:2893110:-1 gene:TCM_000665 transcript:EOX91494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor APETALA2 isoform 2 MWDLNDSPDQPRDDESEGCSSQKTSIDGDEDKGKRVGSVSNSSSSAVVIEDGSEEEDGERLRAALKKRSSKIFGFSVPHEEESMESDPAPVTRQFFPLDQDPGMGATSGGSGQGFPRAHWVGVKFCQSEPLAAGKSVEVSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSIEDYEEDLKQMSSLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDRAAIKCNGKDAVTNFDPSIYENELNSGETSGNAGDHNLDLSLGNSTSKQNNLEYGADRQNAMGDQHNLPPEADWRYRGLRPKLNLQQEPCRSDDPRHRRSDGYSEAEAMQLLSQTHIQSPASVKSNEMQRYGQFRRPGENHLFHILPPHINPSTYQSHFPSSSNGSRVGSDLSLSTNDQQWQSGPHQLFANAAASSGFPSQIRPSQTWLQKNGFHSLMRPS >EOX91495 pep chromosome:Theobroma_cacao_20110822:1:2890331:2893534:-1 gene:TCM_000665 transcript:EOX91495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor APETALA2 isoform 2 MWDLNDSPDQPRDDESEGCSSQKTSIDGDEDKGKRVGSVSNSSSSAVVIEDGSEEEDGERLRAALKKRSSKIFGFSVPHEEESMESDPAPVTRQFFPLDQDPGMGATSGGSGQGFPRAHWVGVKFCQSEPLAAGKSVEVSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIMSSLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDRAAIKCNGKDAVTNFDPSIYENELNSGETSGNAGDHNLDLSLGNSTSKQNNLEYGADRQNAMGDQHNLPPEADWRYRGLRPKLNLQQEPCRSDDPRHRRSDGYSEAEAMQLLSQTHIQSPASVKSNEMQRYGQFRRPGENHLFHILPPHINPSTYQVESFSKQQQWKPSWE >EOX91496 pep chromosome:Theobroma_cacao_20110822:1:2890567:2893023:-1 gene:TCM_000665 transcript:EOX91496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor APETALA2 isoform 2 MWDLNDSPDQPRDDESEGCSSQKTSIDGDEDKGKRVGSVSNSSSSAVVIEDGSEEEDGERLRAALKKRSSKIFGFSVPHEEESMESDPAPVTRQFFPLDQDPGMGATSGGSGQGFPRAHWVGVKFCQSEPLAAGKSVEVSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIMSSLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDRAAIKCNGKDAVTNFDPSIYENELNSGETSGNAGDHNLDLSLGNSTSKQNNLEYGADRQNAMGDQHNLPPEADWRYRGLRPKLNLQQEPCRSDDPRHRRSDGYSEAEAMQLLSQTHIQSPASVKSNEMQRYGQFRRPGENHLFHILPPHINPSTYQVGIYN >EOX93634 pep chromosome:Theobroma_cacao_20110822:1:14470885:14475651:1 gene:TCM_002522 transcript:EOX93634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor Ts (EF-Ts), putative isoform 1 MAYLRNAKRPLEILLHNTRLSSCGGHGFSTWATKGTSFAHSSDTKVSKTAYFCWVSRRLSSQASSSAEQISLIKQLRERTSAPIKDVKASLVDCNWDIEAAQKDLRKRGKVLAMKKSSRTASEGVLALAQNEGKAAIVELNCETDFVARNEIFEYLALALAKQALLVENSSQQVPGVFSFGPECLEDLKFNLEHPKISGETTVQNAVTEVAAMMGENVKLRRGFVMSSFPNGVVSAYLHRSPQPGLGRIAGILSLEVESGISQLNALQKVGSELAMHIVATKPLFLAKEFVTSDALNNEREILRSQAESTGKSQMAIEKMVEGRLRKYFEEVVLMEQKYFLNDSLSIKTILDNLSKEVGSTVKIGNFFRMEVGEGIQRLEASSTEEPVAQAV >EOX93633 pep chromosome:Theobroma_cacao_20110822:1:14470819:14475701:1 gene:TCM_002522 transcript:EOX93633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor Ts (EF-Ts), putative isoform 1 MAYLRNAKRPLEILLHNTRLSSCGGHGFSTWATKGTSFAHSSDTKVSKTAYFCWVSRRLSSQASSSAEQISLIKQLRERTSAPIKDVKASLVDCNWDIEAAQKDLRKRGKVLAMKKSSRTASEGVLALAQNEGKAAIVELNCETDFVARNEIFEYLALALAKQALLVENSSQQVPGVFSFGPECLEDLKFNLEHPKISGETTVQNAVTEVAAMMGENVKLRRGFVMSSFPNGVVSAYLHRSPQPGLGRIAGILSLEVESGISQLNALQKVGSELAMHIVATKPLFLAKEFVTSDALNNEREILRSQAESTGKSQMAIEKMVEGRLRKYFEEVVLMEQKYFLNDSLSIKLCLISSWDWELQRAKETILDNLSKEVGSTVKIGNFFRMEVGEGIQRLEASSTEEPVAQAV >EOX93635 pep chromosome:Theobroma_cacao_20110822:1:14470904:14476010:1 gene:TCM_002522 transcript:EOX93635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor Ts (EF-Ts), putative isoform 1 MAYLRNAKRPLEILLHNTRLSSCGGHGFSTWATKGTSFAHSSDTKVSKTAYFCWVSRRLSSQASSSAEQISLIKQLRERTSAPIKDVKASLVDCNWDIEAAQKDLRKRGKVLAMKKSSRTASEGVLALAQNEGKAAIVELNCETDFVARNEIFEYLALALAKQALLVENSSQQVPGVFSFGPECLEDLKFNLEHPKISGETTVQNAVTEVAAMMGENVKLRRGFVMSSFPNGVVSAYLHRSPQPGLGRIAGILSLEVESGISQLNALQKVGSELAMHIVATKPLFLAKEFVTSDALNNEREILRSQAESTGKSQMAIEKMVEGRLRKYFEEVVLMEQKYFLNDSLSIKTILDNLSKEEISMCLPLYRPVHSCNDYGGIFL >EOX93636 pep chromosome:Theobroma_cacao_20110822:1:14470816:14476010:1 gene:TCM_002522 transcript:EOX93636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor Ts (EF-Ts), putative isoform 1 MAYLRNAKRPLEILLHNTRLSSCGGHGFSTWATKGTSFAHSSDTKVSKTAYFCWVSRRLSSQASSSAEQISLIKQLRERTSAPIKDVKASLVDCNWDIEAAQKDLRKRGKVLAMKKSSRTASEGVLALAQNEGKAAIVELNCETDFVARNEIFEYLALALAKQALLVENSSQQVPGVFSFGPECLEDLKFNLEHPKISGETTVQNAVTEVAAMMGENVKLRRGFVMSSFPNGVVSAYLHRSPQPGLGRIAGILSLEVESGISQLNALQKVGSELAMHIVATKPLFLAKEFVTSDALNNEREILRSQAESTGKSQMAIEKMVEGRLRKYFEEVVLMEQKYFLNDSLSIKTILDNLSKEEISMCLPLYRPVHSCNDYGGIFL >EOX93659 pep chromosome:Theobroma_cacao_20110822:1:14604500:14605984:1 gene:TCM_002546 transcript:EOX93659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSQYPDGSDPNVWFGDNNGGGGGDDGVSSTTIVWIVAGILIAILVLVIVYYIAKKGKSFGLCCSCKIEFGHSHHGPSKC >EOX95112 pep chromosome:Theobroma_cacao_20110822:1:32904508:32905814:1 gene:TCM_004672 transcript:EOX95112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSERQELQLDPQIQSAQSSREDMISWVVALETALLPCLPARELQAIDRSPHPSHQIDVERHARDFMEAAKKLQLYFIGLQREDRPTRAEMLRKEIATMEEELKVKSEIINNQERLIQGWRKDLTDQLDKHNTELERV >EOX90694 pep chromosome:Theobroma_cacao_20110822:1:351608:354377:1 gene:TCM_000083 transcript:EOX90694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase 1 isoform 3 MARRSGTILKQLLSSRCSNLTPSRSVTYMPRPGDGAPRGVTLIPGDGIGPLVTGAVEQVMEAMHAPVYFERYDVHGDMNRVPQEVIESIKKNKVCLKGGLATPMGGGVSSLNVQLRRELDLYASLVNCFNLPGLPTRHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGNEKLVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVISEGKYRTKDLGGTSTSQEVVDAVIDALD >EOX90695 pep chromosome:Theobroma_cacao_20110822:1:351327:354431:1 gene:TCM_000083 transcript:EOX90695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase 1 isoform 3 MARRSGTILKQLLSSRCSNLTPSRSVTYMPRPGDGAPRGVTLIPGDGIGPLVTGAVEQVMEAMHAPVYFERYDVHGDMNRVPQEVIESIKKNKVCLKGGLATPMGGGVSSLNVQLRRELDLYASLVNCFNLPGLPTRHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGNEKLVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVISEGKYRTKDLGGTSTSQEVVDAVIDALD >EOX90696 pep chromosome:Theobroma_cacao_20110822:1:351551:353111:1 gene:TCM_000083 transcript:EOX90696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase 1 isoform 3 MARRSGTILKQLLSSRCSNLTPSRSVTYMPRPGDGAPRGVTLIPGDGIGPLVTGAVEQVMEAMHAPVYFERYDVHGDMNRVPQEVIESIKKNKVCLKGGLATPMGGGVSSLNVQLRRELDLYASLVNCFNLPGLPTRHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVLSYPSLCC >EOX94717 pep chromosome:Theobroma_cacao_20110822:1:31016845:31018489:-1 gene:TCM_004329 transcript:EOX94717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSSCRREPPWRRSGAAALLPVPCTVERRLSFLDRRSVGGPPPTNSSLAPLYPISRSPPANPTVNAFPNRQRLSCAGRLFLLLNATVPPLLYTRFPGSGGGTPHCLVPGHPGASSTILLDANSSRLAGERGAWQGASAPCLSVYSCPSLSFSKIRNSAKT >EOX91291 pep chromosome:Theobroma_cacao_20110822:1:2209870:2212933:1 gene:TCM_000532 transcript:EOX91291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 10, putative isoform 1 MVKTERHFVLVHGACHGAWCWYKVATRLKSMGHRVTAMDMAASGVHPKQVHEVHSFSDYFEPLMEFMASLPPEERVILVGHSKGGFCISAAMERFPEKISVAVFATAFMPGPKLTSQTLSQEVNERLDSDKFMDAQFGFHNGLDEPATSLLFGPNFMASKLYQLSPPEDLTLALTLARHVGFHKDEESFKATAVTKEKYGSVRRVYIVCNKDNIIREDFQRWMIGNSPPDEVKLIADSDHMVMFSKPNELCSCLQEIAEKYT >EOX91292 pep chromosome:Theobroma_cacao_20110822:1:2207865:2212891:1 gene:TCM_000532 transcript:EOX91292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 10, putative isoform 1 MEKGKSHFVLVHGACHGAWCWYKVATRLKSMGHRVTAMDMAASGVHPKQVHEVHSFSDYFEPLMEFMASLPPEERVILVGHSKGGFCISAAMERFPEKISVAVFATAFMPGPKLTSQTLSQEVNERLDSDKFMDAQFGFHNGLDEPATSLLFGPNFMASKLYQLSPPEDLTLALTLARHVGFHKDEESFKATAVTKEKYGSVRRVYIVCNKDNIIREDFQRWMIGNSPPDEVKLIADSDHMVMFSKPNELCSCLQEIAEKYT >EOX94156 pep chromosome:Theobroma_cacao_20110822:1:24023518:24030940:-1 gene:TCM_003516 transcript:EOX94156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPPRLGRPPLTRSVGRGKGRSQRRQLDAVGEESTASTIRASPTAEQADSPSHPPPPLPPTSIPTMPTEAAQALAAFFTAMAGGAPTSQVPPMVPPVTPLVPPPVQDVSIFKKLKEARQLGCVSFTGELDATVAKDWINQVSETLSDMRLDDDMKLMVATRLLEKRARTWWN >EOX92115 pep chromosome:Theobroma_cacao_20110822:1:5319774:5326903:1 gene:TCM_001116 transcript:EOX92115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine synthetase 3 isoform 1 MCGILAVFGCVDNSQAKRSRIIELSRRLRHRGPDWSGIHCHQDCYLAHQRLAIVDPTSGDQPLYNEDKTVIVTVNGEIYNHRQLREKLKSHQFRTGSDCEVIAHLYEEYGEDFVDMLDGMFSFVLLDTRDKSFIAARDAIGITPLYIGWGLDGSVWFASEMKALSDDCERFMSFLPGHIYSSKQGGLRRWYNPLWYSEQIPSTPYDPRVLREAFEKAVLKRLMTDVPFGVLLSGGLDSSLVAAVASRHLANSDVACQWGSQLHTFCIGLKGSPDLKAAREVADYIGTRHHEFHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKEEFHEETCRKIKALHLYDCLRANKATSAWGVEARVPFLDKEFINVAMSIDPEWKMIRPDLGRIEKWVLRNAFDDDQKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHADKLVTDAMLMNASFIYPENTPTTKEGYYYRTIFEKFFPKNAARSTVPGGPSVACSTAKAVEWDAAWSKNPDPSGRAALGVHAAAYEEAVDVKPADLSNGPPQKIKKGIVEKTATVA >EOX92113 pep chromosome:Theobroma_cacao_20110822:1:5319768:5326942:1 gene:TCM_001116 transcript:EOX92113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine synthetase 3 isoform 1 MCGILAVFGCVDNSQAKRSRIIELSRRLRHRGPDWSGIHCHQDCYLAHQRLAIVDPTSGDQPLYNEDKTVIVTVNGEIYNHRQLREKLKSHQFRTGSDCEVIAHLYEEYGEDFVDMLDGMFSFVLLDTRDKSFIAARDAIGITPLYIGWGLDGSVWFASEMKALSDDCERFMSFLPGHIYSSKQGGLRRWYNPLWYSEQIPSTPYDPRVLREAFEKAVLKRLMTDVPFGVLLSGGLDSSLVAAVASRHLANSDVACQWGSQLHTFCIGLKGSPDLKAAREVADYIGTRHHEFHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKEEFHEETCRKIKALHLYDCLRANKATSAWGVEARVPFLDKEFINVAMSIDPEWKMIRPDLGRIEKWVLRNAFDDDQKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHADKLVTDAMLMNASFIYPENTPTTKEGYYYRTIFEKFFPKQNAARSTVPGGPSVACSTAKAVEWDAAWSKNPDPSGRAALGVHAAAYEEAVDVKPADLSNGPPQKIKKGIVEKTATVA >EOX92114 pep chromosome:Theobroma_cacao_20110822:1:5319774:5326810:1 gene:TCM_001116 transcript:EOX92114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine synthetase 3 isoform 1 MCGILAVFGCVDNSQAKRSRIIELSRRLRHRGPDWSGIHCHQDCYLAHQRLAIVDPTSGDQPLYNEDKTVIVTVNGEIYNHRQLREKLKSHQFRTGSDCEVIAHLYEEYGEDFVDMLDGMFSFVLLDTRDKSFIAARDAIGITPLYIGWGLDGSVWFASEMKALSDDCERFMSFLPGHIYSSKQGGLRRWYNPLWYSEQIPSTPYDPRVLREAFEKAVLKRLMTDVPFGVLLSGGLDSSLVAAVASRHLANSDVACQWGSQLHTFCIGLKGSPDLKAAREVADYIGTRHHEFHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKEEFHEETCRKIKALHLYDCLRANKATSAWGVEARVPFLDKEFINVAMSIDPEWKMIRPDLGRIEKWVLRNAFDDDQKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHADKLVTDAMLMNASFIYPENTPTTKEGYYYRTIFEKFFPKNAARSTVPGGPSVACSTAKAVEWDAAWSKNPDPSGRAALAMVLPRR >EOX92365 pep chromosome:Theobroma_cacao_20110822:1:6468670:6470134:-1 gene:TCM_001315 transcript:EOX92365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate-binding protein precursor, putative MISELGFYLFCVSTLLVSSFPLRPVPKSLILYRGLPQFLYLNPIFELHKPYDMAANERYRFSNGEHRLWVYSADKPHYQGSTTKPRTEIKIRDYDYSSGVWQYEGYVYVPSGTTGVCIMQIFGGSSRTTTMMLRVYGGSFTYYRSPVLLSNVYNKWIRVNVIHDVGASNVKIYLNHQLRYEGAGAGGSSHYFKFGVYAQNDESNYMESRWVGIKVLRKN >EOX94865 pep chromosome:Theobroma_cacao_20110822:1:31788426:31808516:1 gene:TCM_004478 transcript:EOX94865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGSFLAGFVLPLLLLTAALINWSLVSLVDLIAFLLIQYTAPKIGFRFRRKYLLLWPVIIFSLLVCLSQAVYLVMWAIDGYKQSVGDAWWMKLIGFMIIQSWKSPTVIYFLVVQLLVVFVALLDIHGTKFGLVPWRYSCWGHFLTAVEHLGSHLRVASCLLLPPIQLVVGISHPSWVSLPFFIGSCVGLVDWSLTSNFLGLFRLWKALQLYAGFNIVLLYVYQLPIEFSHMLQRIADFVGLFKISTASEWPEICSAVSLILFYIMLQRRLWSLDNIGVVLVAMLSYVKCDLEEMDFIMSMRESNLTEQLLPSKHSFFIRESRSGVRHTNVLLRRTVFRTFTINFFTYGFPVSLFALSFWSFHFASICAFGLLAYVGYIVYAFPSLFRLHRLNGLLLVFILLWAVSTYIFNVAFAFLNRNFGKDMEIWEMVGFWHYPIPGLFLLAQFCLGILVALGNLVNNSVFLYSSDEDALSSNNNSAVEVDGETKVFIVATIAWGLRKCSRAIMLALIFVIAMKPGFIHAVYVIFFLIYLLSHNISRKIRQFLILLCEAHFALLYLLQIELISNALEQKGSLSLEIILQLGLLKHDSLWDFLEIALLACFCAIHNHGFEMLFSFSAIVQHTPSRPVGFSILRAGLNKSVLLSVYASPNTSGCHDNASYERRIAAFLSEIGQTFLSIYRSCGTYIALLTILLTVYMVTPNYISFGYIFLLLVWITGRQLVERTKKRLWFPLKTYAIMVFIFVYSLSSFTSFKIWLSSFVDLYFYLGYDPEGSLLDNIWQSLAVLIVMQLYSYERRQSKYNWTDDPNPLDSGVLGFAKRFLIWHSQKVLFVSLFYASISPISAFGFLYLLGLVICSILPKASRIPSKSFLVYTGFLMTTEYLYQMWGKQAGMFPGQKHSDLSLFLGFRVYELGFWGIESGLRGKVLVIAACIFQYNIFRWLDNMPSGISNKGKWEEPCPLFLSAEDTFTNGFMSNGEEKPSSSFGAVPIRQDRAVSDSWSSLSPAFSQAPHPVSSKAGGSEVSSFRKFSFGYFWGSTKESHKWNKKRILALRKERFETQKALLKIYLKFWMENMFNLYGLEINMIALLLASFALLNAISMLYISLLAVCVLLNRRIIRKLWPVLVFLFASILILEYFAIWKNMFPLNQKKPSQAEIHCHDCWRSSSSYFQYCRSCWLGLIIDDPRMLFSYFVVFLLACFKLRADHLSDFSGSSTYRQMMSQRKNSFVWRDLSFETKSMWTFLDYLRLYCYCHLLDLVLVLILITGTLEYDILHLGYLAFALVFFRMRLEILKKKNKIFKFLRIYNFAVIVLSLAYQSPFVGEFSSGKCKTVNYIYEVIGFYKYDYGFRITARSAIVEIIIFMLVSLQSYMFSSQESDYVSRYLEAEQIGAIVREQEKKAAWKTAQLQQIRESEEKKRQRNFQVEKMKSEMLNLQIQLHSMNSVATLSDVSPDDEGLRRRRSASVTSNRDVVPPDKEEGTLGKQEQLIREEVYPLEAHAYAARIKGESPEVVQSPKHSMVYAPCEITEIEHDVDSAFCDTEKRKSQAKENPLISAVHLLGDGVSQVQSIGNQAVNNLVNFLNIAPEDSDMNEHSSVEDEAYDEMESQKMQNMCLNRSSSLQSDKSSDATSLQLGRIFCHIWSQMRSNNDVVCYCFFVLVFLWNFSLLSMVYLAALFLYALCVNTGPTYIFWVIMLIYTEVYILLEYLYQILIQHCGLSINSDLLHELGFPAHEIKSSFVVSSLPLFLVYLFTLLQSSISAKDGEWMPFTDFNLHRRSAHYRTEILVSSSWSERVSKSLQFVINMVKLVIRSFCWYWKSLIQGAETPPYFVQVSMDVHLWPEDGIQPERVESGINQLLRVVHDERCTEKIPSHCPFASRVQVQSIERSQENPNVALIVFEVVYASSLTGCTSADWYKSLTPAADVSIEILRAKRAGFVEEMGFPYKILSVIGGGKREFDLYAYIFVADLTVFFLVAIFYQSVIKNKSEFLDVYQLEDQFPKEYVFILMIIFFLIVVDRILYLCSFATGKIIFYLFSLVLFTYSITEYAWQIKSSNQNAGQLALRAIFLAKAVSLALQAVQIRHGIPHKCTLYRQFLTSEVSRINYLGYRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDINASLYLVKCDAVLNRAKHKQGEKQTKMTKCCNGICLFFILLCVIWAPMLMYSSGNPTNMANPIKDATFQTDISTGGGRLTLYQTTLCEKLRWDKLNSDVNLDPLNYLDSYNKNDIQLICCQADASILWLVPDVVQRRFIQSLDWDMDMGITSTWLLTRERPKGKEVVKYEKPVDSKDLPERSDVQKVLNGSTNSFRIYNLYPRYFRVTGSGEVRPFEQEVSSVSADLVINHAAFEWWSFHDINSSNVRGCRDLTGPMAIIVSEETPPQGILGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDIYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTKPD >EOX94866 pep chromosome:Theobroma_cacao_20110822:1:31790880:31802832:1 gene:TCM_004478 transcript:EOX94866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLQRIADFVGLFKISTASEWPEICSAVSLILFYIMLSYVKCDLEEMDFIMSMRESNLTEQLLPSKHSFFIRESRSGVRHTNVLLRRTVFRTFTINFFTYGFPVSLFALSFWSFHFASICAFGLLAYVGYIVYAFPSLFRLHRLNGLLLVFILLWAVSTYIFNVAFAFLNRNFGKDMEIWEMVGFWHYPIPGLFLLAQFCLGILVALGNLVNNSVFLYSSDEDALSSNNNSAVEVDGETKVFIVATIAWGLRKCSRAIMLALIFVIAMKPGFIHAVYVIFFLIYLLSHNISRKIRQFLILLCEAHFALLYLLQIELISNALEQKGSLSLEIILQLGLLKHDSLWDFLEIALLACFCAIHNHGFEMLFSFSAIVQHTPSRPVGFSILRAGLNKSVLLSVYASPNTSGCHDNASYERRIAAFLSEIGQTFLSIYRSCGTYIALLTILLTVYMVTPNYISFGYIFLLLVWITGRQLVERTKKRLWFPLKTYAIMVFIFVYSLSSFTSFKIWLSSFVDLYFYLGYDPEGSLLDNIWQSLAVLIVMQLYSYERRQSKYNWTDDPNPLDSGVLGFAKRFLIWHSQKVLFVSLFYASISPISAFGFLYLLGLVICSILPKASRIPSKSFLVYTGFLMTTEYLYQMWGKQAGMFPGQKHSDLSLFLGFRVYELGFWGIESGLRGKVLVIAACIFQYNIFRWLDNMPSGISNKGKWEEPCPLFLSAEDTFTNGFMSNGEEKPSSSFGAVPIRQDRAVSDSWSSLSPAFSQAPHPVSSKAGGSEVSSFRKFSFGYFWGSTKESHKWNKKRILALRKERFETQKALLKIYLKFWMENMFNLYGLEINMIALLLASFALLNAISMLYISLLAVCVLLNRRIIRKLWPVLVFLFASILILEYFAIWKNMFPLNQKKPSQAEIHCHDCWRSSSSYFQYCRSCWLGLIIDDPRMLFSYFVVFLLACFKLRADHLSDFSGSSTYRQMMSQRKNSFVWRDLSFETKSMWTFLDYLRLYCYCHLLDLVLVLILITGTLEYDILHLGYLAFALVFFRMRLEILKKKNKIFKFLRIYNFAVIVLSLAYQSPFVGEFSSGKCKTVNYIYEVIGFYKYDYGFRITARSAIVEIIIFMLVSLQSYMFSSQESDYVSRYLEAEQIGAIVREQEKKAAWKTAQLQQIRESEEKKRQRNFQVEKMKSEMLNLQIQLHSMNSVATLSDVSPDDEGLRRRRSASVTSNRDVVPPDKEEGTLGKQEQLIREEVYPLEAHAYAARIKGESPEVVQSPKHSMVYAPCEITEIEHDVDSAFCDTEKRKSQAKENPLISAVHLLGDGVSQVQSIGNQAVNNLVNFLNIAPEDSDMNEHSSVEDEAYDEMESQKMQNMCLNRSSSLQSDKSSDATSLQLGRIFCHIWSQMRSNNDVVCYCFFVLVFLWNFSLLSMVYLAALFLYALCVNTGPTYIFWVIMLIYTEVYILLEYLYQILIQHCGLSINSDLLHELGFPAHEIKSSFVVSSLPLFLVYLFTLLQSSISAKDGEWMPFTDFNLHRRSAHYRTEILVSSSWSERVSKSLQFVINMVKLVIRSFCWYWKSLIQGAETPPYFVQVSMDVHLWPEDGIQPERVESGINQLLRVVHDERCTEKIPSHCPFASRVQVQSIERSQENPNVALIVFEVVYASSLTGCTSADWYKSLTPAADVSIEILRAKRAGFVEEMGFPYKILSVIGGGKREFDLYAYIFVADLTVFFLVAIFYQSVIKNKSEFLDVYQLEDQFPKEYVFILMLEDINASLYLVKCDAVLNR >EOX96153 pep chromosome:Theobroma_cacao_20110822:1:36780865:36782276:1 gene:TCM_005468 transcript:EOX96153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MSRFDPYQHLGIRPNPDGTFTRLSNFPNTEANRAVIPGLPTVSKDVTINDETKVWARIFLPAKLPSNDNTVARLPILMYFHGGGFIFYSAANVLTHQACSALSSEIPAVVISVDHRLAPEHKLPAQYEDAVDTILWVKKQVLDPNGEQWVRDYGDFARCYLGGRGSGGNIAFHAALRALNNDIKPLKINGIFLNQPMFGGKQRLPSELKYATDQVIPLPVLDLLWEFALPKGTDRDHRFCNPTEDVPYMSKVSSIIRRCLVIGFSIDPMFDRIQALVGTLVKYGVQVEAQFDEQGFRDVDLVDFRRSQALLNIIQEFII >EOX93162 pep chromosome:Theobroma_cacao_20110822:1:10969405:10977848:1 gene:TCM_002009 transcript:EOX93162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Topoisomerase II-associated protein PAT1, putative isoform 1 MDRSDGKLPNNFSQTSSGNALFDASQYEFFGQNAMEEVELGGLDDGEQDAPVFASAEDDEYHLFDRGEVVGLGSLSDMDDLASTFAKLNRVVTGPRNPGVIGDRSGSFSRESSSTADWAQDGEYVNWLDQHMFDAEDAQEGKRWSSQPQPSSARVAESKPLYRTSSYPQQQPQPHHFSSEAIVGPKSTFTSFPPPGSRGQQSSPAHLKIPALTSGSQSPFSAASLSPLSNSSLHLAGLSHGLHYSGNMSQLTSPGLSFSSRSQNHWVNHSGLLHGDHAGLLQSMLQHQIPHQNGLISPQLISPQQQRLHHSVQPSLAHFAALQSQLYNAHPPSHKMMLGLGDHRDQRTKSSQRNRLSMRFSQQSSDIGSQKSESGLVQFRSKYMTAEEIESILKMQHAATHSNDPYVDDYYHQACLAKRSSGSRAKHHFCPSHLKELHSRSRNSGEQHLHLHVDALGKVPLSSIRRPRPLLEVDPPLGSGDGGSEQKTEKPLEQEPMLAARITIEDGLCLLLDVDDIDRLIQFSQPQDGGAQLRRRRQILLEGMAASLQLVDPLSKGGHAVNCAPKDDIVFLRLVSLPKGRKLLTRFLQLLIPGSELIRIVCMAIFRHLRILFGGLSADTGAAETTTNLAKTVSMCVNGMDLRALSACLVAVVCSSEQPPLRPLGSPAGDGASVILKSVLERATQLLSHPSGNCSMPNYAFWRASFDEFFALLTKYCVSKYETIMQSMHTQTQPTTEVIGSEAIRREMPCELLRASLPHTNEAQRKLLMDFSQRSVPMNGSNSHAGNTSQINSESVRG >EOX93163 pep chromosome:Theobroma_cacao_20110822:1:10969564:10977757:1 gene:TCM_002009 transcript:EOX93163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Topoisomerase II-associated protein PAT1, putative isoform 1 MVRQVGMLGESDKLNRVVTGPRNPGVIGDRSGSFSRESSSTADWAQDGEYVNWLDQHMFDAEDAQEGKRWSSQPQPSSARVAESKPLYRTSSYPQQQPQPHHFSSEAIVGPKSTFTSFPPPGSRGQQSSPAHLKIPALTSGSQSPFSAASLSPLSNSSLHLAGLSHGLHYSGNMSQLTSPGLSFSSRSQNHWVNHSGLLHGDHAGLLQSMLQHQIPHQNGLISPQLISPQQQRLHHSVQPSLAHFAALQSQLYNAHPPSHKMMLGLGDHRDQRTKSSQRNRLSMRFSQQSSDIGSQKSESGLVQFRSKYMTAEEIESILKMQHAATHSNDPYVDDYYHQACLAKRSSGSRAKHHFCPSHLKELHSRSRNSGEQHLHLHVDALGKVPLSSIRRPRPLLEVDPPLGSGDGGSEQKTEKPLEQEPMLAARITIEDGLCLLLDVDDIDRLIQFSQPQDGGAQLRRRRQILLEGMAASLQLVDPLSKGGHAVNCAPKDDIVFLRLVSLPKGRKLLTRFLQLLIPGSELIRIVCMAIFRHLRILFGGLSADTGAAETTTNLAKTVSMCVNGMDLRALSACLVAVVCSSEQPPLRPLGSPAGDGASVILKSVLERATQLLSHPSGNCSMPNYAFWRASFDEFFALLTKYCVSKYETIMQSMHTQTQPTTEVIGSEAIRREMPCELLRASLPHTNEAQRKLLMDFSQRSVPMNGSNSHAGNTSQINSESVRG >EOX91234 pep chromosome:Theobroma_cacao_20110822:1:1999127:2005241:-1 gene:TCM_000485 transcript:EOX91234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding,sequence-specific DNA binding transcription factors,zinc ion binding isoform 3 MTVEIDSDFFSSRDAVDVNMLTPELCKKEDEREETTTMDASNNLTDRWESIVVEARHSELIHTKDVEIKPASEEVKSTSTLNIQPKEVELTPVNEAVVKKSIDTGSKNRQCIAFIESKGRQCVRWANDGDVYCCVHLASRFIGSSGKAEVTPPVDTPMCEGTTVLGTRCKHRSLYGSSFCKKHRPKNDANNISHSLEHTHKRKHVEIIPSSETTYCRDIVLVGDSESPLQVEPVSVIDGDAFHERNSLIEKPEHFSKDHDHRCIGLYSHSGFDPCHESPKRLSLYCDKHLPSWLKRARNGKSRIVSKEVFLDLLKDCYSLEQKLHLHQACELFYKLFKSILSLRNPVPVEVQLQWALSEASKDFRVGEILMKLVYSEKERLQRLWGFTGNEGAPLSTFVEEPVPLPLAINDSFDDDKTIKCKICSVEFLDDQQLGTHWMENHKKEAQWLFRGYACAICLDSFTNKKVLESHVQERHHVQFVEQCMLLRCIPCGSHFGNTEELWLHVLSVHPVDFRLSRVAQQHNISAGDESPLKLELRNSASLENNSENVGSFRKFICRFCSLKFDLLPDLGRHHQAAHMGPSLASSRPPKRGVRYYAYKLKSGRLSRPRFKKGLGAVSYRIRNRATATMKKHLQASKSIDTDIISVQPHATKTANLGRLAEFHCSAIAKILFSKIHKTKPRPNNLDILSIARSSCCKVSLRASLEEKYGVLPECMYLKAAKLCSEHNIQVEWHQEEFVCVNGCKPVKDPDFLSPLMPLPNGFGGHQSGDSLDHADEEWELDECHYIIDSQHFKQWPMQKASVFCDDISFGKESVRVACVVDDDLSDFLCISGDSSDEQNARSSMPWKNFTYVTKSMLHQSLDLDTESLQLRCTCSNSTCCPETCDHVYLFDNDYEDARDIYGKPMRGRFPYDDKGRIILEEGYLVYECNHMCSCSRSCPNRVLQNGVGLKLEVFKTKNKGWGVRAGEPILSGTFVCEYIGEILDEQEANNRLTSRYGRDGCNYMYNIDSHINDMSRLIEGQVRYIIDATKYGNVSRFINHSCSPNLVNHQVLVDSMDCQRAHIGLYASQDIAMGEELTYDYRYELLPGQGYPCQCGASTCRGRLY >EOX91233 pep chromosome:Theobroma_cacao_20110822:1:1998444:2006948:-1 gene:TCM_000485 transcript:EOX91233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding,sequence-specific DNA binding transcription factors,zinc ion binding isoform 3 MLLVRSINEFPQPIAYRSHKVGLKMVRDLTVARRYIMQKLAVGMLNIIDQFHCEALIETARNVIVWKEFAMEASHCSGYSDLGKMLLKLQSMILQRYINADWLQESFHSWVQQCQNAHSAELIELLKEELFDSILWNEVRSLGDAPVQPTLGSEWKTWKHEVMKLFSTSHPVSTAGDIEHRNSDGPLNTNLQVCRKRPKLEVRRAETHASQVQSNGSDQTMTVEIDSDFFSSRDAVDVNMLTPELCKKEDEREETTTMDASNNLTDRWESIVVEARHSELIHTKDVEIKPASEEVKSTSTLNIQPKEVELTPVNEAVVKKSIDTGSKNRQCIAFIESKGRQCVRWANDGDVYCCVHLASRFIGSSGKAEVTPPVDTPMCEGTTVLGTRCKHRSLYGSSFCKKHRPKNDANNISHSLEHTHKRKHVEIIPSSETTYCRDIVLVGDSESPLQVEPVSVIDGDAFHERNSLIEKPEHFSKDHDHRCIGLYSHSGFDPCHESPKRLSLYCDKHLPSWLKRARNGKSRIVSKEVFLDLLKDCYSLEQKLHLHQACELFYKLFKSILSLRNPVPVEVQLQWALSEASKDFRVGEILMKLVYSEKERLQRLWGFTGNEGAPLSTFVEEPVPLPLAINDSFDDDKTIKCKICSVEFLDDQQLGTHWMENHKKEAQWLFRGYACAICLDSFTNKKVLESHVQERHHVQFVEQCMLLRCIPCGSHFGNTEELWLHVLSVHPVDFRLSRVAQQHNISAGDESPLKLELRNSASLENNSENVGSFRKFICRFCSLKFDLLPDLGRHHQAAHMGPSLASSRPPKRGVRYYAYKLKSGRLSRPRFKKGLGAVSYRIRNRATATMKKHLQASKSIDTDIISVQPHATKTANLGRLAEFHCSAIAKILFSKIHKTKPRPNNLDILSIARSSCCKVSLRASLEEKYGVLPECMYLKAAKLCSEHNIQVEWHQEEFVCVNGCKPVKDPDFLSPLMPLPNGFGGHQSGDSLDHADEEWELDECHYIIDSQHFKQWPMQKASVFCDDISFGKESVRVACVVDDDLSDFLCISGDSSDEQNARSSMPWKNFTYVTKSMLHQSLDLDTESLQLRCTCSNSTCCPETCDHVYLFDNDYEDARDIYGKPMRGRFPYDDKGRIILEEGYLVYECNHMCSCSRSCPNRVLQNGVGLKLEVFKTKNKELLTKSCESSSSCGQHGLSACSHWSLCQSRYSYG >EOX91232 pep chromosome:Theobroma_cacao_20110822:1:1999463:2009871:-1 gene:TCM_000485 transcript:EOX91232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding,sequence-specific DNA binding transcription factors,zinc ion binding isoform 3 MEVLPCSGVQYVADSDCAQQSSGTTVIFDGESKCLEHRKEVQVADGRMDELLLGVEGNPMERQDEGQGTRDELPISEEHHSGSSYYDAQAEGQRLSCGSHDYEDDDSNAQNCCTGPYLPSENSNLIVDTIESELLSNNREGELSLSEPKWLERDESVALWVKWRGKWQAGIRCARADWPLSTLKAKPTHDRKQYFVIFFPHTRNYSWADMLLVRSINEFPQPIAYRSHKVGLKMVRDLTVARRYIMQKLAVGMLNIIDQFHCEALIETARNVIVWKEFAMEASHCSGYSDLGKMLLKLQSMILQRYINADWLQESFHSWVQQCQNAHSAELIELLKEELFDSILWNEVRSLGDAPVQPTLGSEWKTWKHEVMKLFSTSHPVSTAGDIEHRNSDGPLNTNLQVCRKRPKLEVRRAETHASQVQSNGSDQTMTVEIDSDFFSSRDAVDVNMLTPELCKKEDEREETTTMDASNNLTDRWESIVVEARHSELIHTKDVEIKPASEEVKSTSTLNIQPKEVELTPVNEAVVKKSIDTGSKNRQCIAFIESKGRQCVRWANDGDVYCCVHLASRFIGSSGKAEVTPPVDTPMCEGTTVLGTRCKHRSLYGSSFCKKHRPKNDANNISHSLEHTHKRKHVEIIPSSETTYCRDIVLVGDSESPLQVEPVSVIDGDAFHERNSLIEKPEHFSKDHDHRCIGLYSHSGFDPCHESPKRLSLYCDKHLPSWLKRARNGKSRIVSKEVFLDLLKDCYSLEQKLHLHQACELFYKLFKSILSLRNPVPVEVQLQWALSEASKDFRVGEILMKLVYSEKERLQRLWGFTGNEGAPLSTFVEEPVPLPLAINDSFDDDKTIKCKICSVEFLDDQQLGTHWMENHKKEAQWLFRGYACAICLDSFTNKKVLESHVQERHHVQFVEQCMLLRCIPCGSHFGNTEELWLHVLSVHPVDFRLSRVAQQHNISAGDESPLKLELRNSASLENNSENVGSFRKFICRFCSLKFDLLPDLGRHHQAAHMGPSLASSRPPKRGVRYYAYKLKSGRLSRPRFKKGLGAVSYRIRNRATATMKKHLQASKSIDTDIISVQPHATKTANLGRLAEFHCSAIAKILFSKIHKTKPRPNNLDILSIARSSCCKVSLRASLEEKYGVLPECMYLKAAKLCSEHNIQVEWHQEEFVCVNGCKPVKDPDFLSPLMPLPNGFGGHQSGDSLDHADEEWELDECHYIIDSQHFKQWPMQKASVFCDDISFGKESVRVACVVDDDLSDFLCISGDSSDEQNARSSMPWKNFTYVTKSMLHQSLDLDTESLQLRCTCSNSTCCPETCDHVYLFDNDYEDARDIYGKPMRGRFPYDDKGRIILEEGYLVYECNHMCSCSRSCPNRVLQNGVGLKLEVFKTKNKGWGVRAGEPILSGTFVCEYIGEILDEQEANNRLTRYGRDGCNYMYNIDSHINDMSRLIEGQVRYIIDATKYGNVSRFINHSCSPNLVNHQVLVDSMDCQRAHIGLYASQDIAMGEELTYDYRYELLPGQGYPCQCGASTCRGRLY >EOX95150 pep chromosome:Theobroma_cacao_20110822:1:33057518:33060886:1 gene:TCM_004704 transcript:EOX95150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative isoform 1 MTTRKRRESIQRAIVVLEGEKVSTEKTGIAPLWRALKYVSNTEDEILVLTLLSVDGSGPSSSKGFHGDHQCNYTCEEYSYSRYLRQEISQRKDDYRRIFRPFYERCKSNGVKFQVKIAAGCQPMDIITEEANNAGATWIIIDSTFARHLTFRLSGTECNVTLVSDVEEAIVQNPLIARDEPESLMLMEVTHNPKSPKLMRGSTSQEEPSISHWPSTSREIEQEKMRRPLLENETAGNVSTRVSEADFMVEKPEQLSWEVIVQITNRFSTRAWNNKDKIYSTYTGYFENQSVLVKKFAAYSGGILEAEIRAALSMHHRNIMSLTGYHQSENGTILIFPLLQGVTLDRYIRAGSGRMELKFQARLKIAKGIAHGVRYMHEECPQGPVVHGDLKACNIFLGRDLQPMISGFGKATWLRFEQVSSNSKNRCIVVDSLCDESMALVKSDILSFGVLLLRLFCRTSAPEDDKRLIEWARPLMLKRKFHELLEEDSDFSDMHGIYRVMTAATACTRTKPSSRPYMTQVICLLKAEQFCAMQTSPSDSSM >EOX95151 pep chromosome:Theobroma_cacao_20110822:1:33057990:33060711:1 gene:TCM_004704 transcript:EOX95151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative isoform 1 IQRAIVVLEGEKVSTEKTGIAPLWRALKYVSNTEDEILVLTLLSVDGSGPSSSKGFHGDHQCNYTCEEYSYSRYLRQEISQRKDDYRRIFRPFYERCKSNGVKFQVKIAAGCQPMDIITEEANNAGATWIIIDSTFARHLTFRLSGTECNVTLVSDVEEAIVQNPLIARDEPESLMLMEVTHNPKSPKLMRGSTSQEEPSISHWPSTSREIEQEKMRRPLLENETAGNVSTRVSEADFMVEKPEQLSWEVIVQITNRFSTRAWNNKDKIYSTYTGYFENQSVLVKKFAAYSGGILEAEIRAALSMHHRNIMSLTGYHQSENGTILIFPLLQGVTLDRYIRGSGRMELKFQARLKIAKGIAHGVRYMHEECPQGPVVHGDLKACNIFLGRDLQPMISGFGKATWLRFEQVSSNSKNRCIVVDSLCDESMALVKSDILSFGVLLLRLFCRTSAPEDDKRLIEWARPLMLKRKFHELLEEDSDFSDMHGIYRVMTAATACTRTKPSSRPYMTQVICLLKAEQFCAMQTSPSDSSM >EOX95601 pep chromosome:Theobroma_cacao_20110822:1:34799839:34803563:-1 gene:TCM_005049 transcript:EOX95601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRDKWWWVEIVLRIISPCSVLISAYHVSLKPTRFHESENRKMVPSASWRCHIKRIGVSGDIMGWQSLNKTPIGHSVALSSLVGGFTLRSVFPRIYALVVKKLGYVSDFDSWTSDDWSWNIEFHRDDFNWEKEQWDSFQQLIHDCFISKDFQEKLTWKGHPSSNYFSHSFRW >EOX96611 pep chromosome:Theobroma_cacao_20110822:1:38396280:38402993:1 gene:TCM_005828 transcript:EOX96611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 2 MAGVCEVFLSALLDVLFDRLASSDLLNFARREKVHKHLKKWEKVLLNIKAVLEDAEEKQYRDRSVKLWLAELRDLAYDVDDLLDEFATEALTKKLNAASPNPSMVRKLVYSLNTKFSPSAVKFDVKMGSKIKEITARFQEIIDQKECLELRERGAGGSTSDRVVRRLPSTSLVNESSVFGREKDKNTILELLLKNEESGVGVISIVGMGGIGKTTLAQLVYNDVSVEGFFDLKAWVSVSEEFDVVRVTKTILQSVSFEFCDLNDLNLLQVKLSQLLKRKRFLIVLDDIWNEKYEDLMILFSPFQGGYTGSKVIVTTRSQTVASMVGTVPAYHLNEMSFASCLSLLTQHALGRTNFDDHPNLKVVGEEIVKRCKGLPLAAKTLGGLLRRKADYHEWESILNSKLWDLPEEKIDILPALWLSYQHLPSHLKECFAFCAIFPKDYEFDKDELVQLWIGEGFISQTKGMKQIEDLGAEYFRDLLSRSFFQQSSSDESFYVMHDLINDLAQSVAAEVCIHLEDKMEVGQHKFFERVRHTSYIRHKYDVRKRFDLFYTMRRLRTFLALPLSMSDLGADSYLSTTVLQELLPNLKRLRVLTLSGYCISELPDSIGYLKHLRYLNLSHTKIKCLPHSVSALYNLQTLNLSGCKKLIELPRGIENLVNLLYLDIVDTDDLKAMPLKIGNLVNLKKLPKFIVGKGNGPRIGELGSLSKLQGLLFIFELQNVTDIQDAGLANLKEKHGLDELVLKWSNNSNDSSIREDQMSILEMLEPHRNLKNLKISCYSGAEFPSWIGDPSFDNMVYLSLCDCQNISSLPSLGSLPFLKELHIEGMSGVKRVGPEFLRANSFSDKLFPSLKILRFGNMLEWEEWSLPTLFEVAKGKFPCLHELRVWKCPRLVRDIPSHLTSLVKLHIFECPRLEGSLVSLPSLRELHLEQCDYLFLTRVVDLTSLTTLKIERISNLSCLHKNFINCLVLLEILEIEDCVELVSLWQKGVNLEKLSCLKRLVIVNCPQLVQLTDGEQELPCNLEYMEIDDCVNLEKLPNDLHRLRSLKDLKIKWCPKLLSFPNTGLPSKIKSLAICGCTNLGSVPKGLMHDDNSSIHKGNLECLEIVECPSLRSFPEGELSGALKKLEIWDCMELESLSERLLQKNSLLEFIVIGNCNLQAFPECRYWFEYLTGLHVIGCPSLVCFPESGLPIPNFRRFYIYNCEKLQSLPNNLHNLTSLQYLTVFGCPSLTSFPDGGFPPNLLSLTIRNCRKITLSFPKWGLYKLTSLKDLNVGDCNLNVTSFPEDFTLPLTLVHLRIHHLEHLKFLSKRLQDLTSLEALDVWDCPQLQSLPKDGLPVMLGVLDIRNCPLLEKHYFKERGVCWPIVSHIPCVKIDYVEIH >EOX96612 pep chromosome:Theobroma_cacao_20110822:1:38396779:38401104:1 gene:TCM_005828 transcript:EOX96612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 2 MVRKLVYSLNTKFSPSAVKFDVKMGSKIKEITARFQEIIDQKECLELRERGAGGSTSDRVVRRLPSTSLVNESSVFGREKDKNTILELLLKNEESGVGVISIVGMGGIGKTTLAQLVYNDVSVEGFFDLKAWVSVSEEFDVVRVTKTILQSVSFEFCDLNDLNLLQVKLSQLLKRKRFLIVLDDIWNEKYEDLMILFSPFQGGYTGSKVIVTTRSQTVASMVGTVPAYHLNEMSFASCLSLLTQHALGRTNFDDHPNLKVVGEEIVKRCKGLPLAAKTLGGLLRRKADYHEWESILNSKLWDLPEEKIDILPALWLSYQHLPSHLKECFAFCAIFPKDYEFDKDELVQLWIGEGFISQTKGMKQIEDLGAEYFRDLLSRSFFQQSSSDESFYVMHDLINDLAQSVAAEVCIHLEDKMEVGQHKFFERVRHTSYIRHKYDVRKRFDLFYTMRRLRTFLALPLSMSDLGADSYLSTTVLQELLPNLKRLRVLTLSGYCISELPDSIGYLKHLRYLNLSHTKIKCLPHSVSALYNLQTLNLSGCKKLIELPRGIENLVNLLYLDIVDTDDLKAMPLKIGNLVNLKKLPKFIVGKGNGPRIGELGSLSKLQGLLFIFELQNVTDIQDAGLANLKEKHGLDELVLKWSNNSNDSSIREDQMSILEMLEPHRNLKNLKISCYSGAEFPSWIGDPSFDNMVYLSLCDCQNISSLPSLGSLPFLKELHIEGMSGVKRVGPEFLRANSFSDKLFPSLKILRFGNMLEWEEWSLPTLFEVAKGKFPCLHELRVWKCPRLVRDIPSHLTSLVKLHIFECPRLEGSLVSLPSLRELHLEQCDYLFLTRVVDLTSLTTLKIERISNLSCLHKNFINCLVLLEILEIEDCVELVSLWQKGVNLEKLSCLKRLVIVNCPQLVQLTDGEQELPCNLEYMEIDDCVNLEKLPNDLHRLRSLKDLKIKWCPKLLSFPNTGLPSKIKSLAICGCTNLGSVPKGLMHDDNSSIHKGNLECLEIVECPSLRSFPEGELSGALKKLEIWDCMELESLSERLLQKNSLLEFIVIGNCNLQAFPECRYWFEYLTGLHVIGCPSLVCFPESGLPIPNFRRFYIYNCEKLQSLPNNLHNLTSLQYLTVFGCPSLTSFPDGGFPPNLLSLTIRNCRKITLSFPKWGLYKLTSLKDLNVGDCNLNVTSFPEDFTLPLTLVHLRIHHLEHLKFLSKRLQDLTSLEALDVWDCPQLQSLPKDGLPVMLGVLDIRNCPLLEKHYFKERGVCWPIVSHIPCVKIDYVEIH >EOX93504 pep chromosome:Theobroma_cacao_20110822:1:13600520:13607011:-1 gene:TCM_002393 transcript:EOX93504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 20 MGEARRITLEIGFEYTSHWPPRETETQPMSRNDCVVRGSEKRIVVLGRVSSAMLLVMWSGLTSAIAGFQGISFCKALSRSLHNLRILSLSNCDLSGPVCSSFSELRFLSHLNLDNNNLHSLPPKLFANSFHLVSLSLANSSLIGSFPDNIFQLPRLQSLDISENPLLAGRVPQFSSNNTWKFLSLHETNSFGNNLKGPIPRSIFELPRLNVLSLACNNFNGSLKLGIFLNLKSLKVLDLSGNNLYSQNDKTSSTSLPQLQELHLSYCNITEFPSFLETQNSLEFLNLSNNKIHGDIPSWIWKANLSLLDLSYNIVDFPEKPFVGIENGSKSIFNGDCLGSIINLSDLNQERNHFHSMSLNFTRGSKLRKNLTILTCVDLSNNSLYGEIPEGIGNLKALIVINLSQKGFTGRIPSSLGSLTELESPDLSHNKLPGKIPSQLTSLNFLEALILPFNQLEGSIPQGKRFNTFLDDSYRGNFRFCWSPLTRKCKIVDNALPPSVPREDDDSWRSSISHWKVVMIGYGFGLIIGFLIGYTTLGKMGGNWLMIFRRKGRRKLGIRLIQG >EOX92076 pep chromosome:Theobroma_cacao_20110822:1:5147826:5153724:-1 gene:TCM_001088 transcript:EOX92076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MLKLKATQMLRHFPSTSLQLSSCSTSGYLNCQLHSFLSNNPSSSTLQSLLQSHALIITTGNSTNNIFIASKLISLYAFFNKPHFSTKVFDSLSIPAKDTFLWNSIIKSHFSNGNYAESFEYHLKMRLHNTPPNDFTIPMVASACAELRWEGCGKYVHGLTLKFGLFAENSAVGSSFVYMYAKCGSMGDACLVFDEIIVKDVVAWTALVIGYVQNGESEKALKRLRDMHRVGGDGEKRPNFRTLEGGLQACGSLCALYEGKCLHGFVVKTGLGFYPVVQSSILSMYSRCGSVGDSYASFSEVVHKDIISWTSIIGVYARFGFLKECLDLISKMQVDGLCADGILISSIVLGFGNFMSVCDGKAFHGLLIRRNFLLDQIVHNALLSMYCKFGLLSIAEKLFGIIPNCNKESWNIMVSGYCKNGQEEQSIELFREMQHLGIETDLNSFVSVIFSCSELGAIRIGHSLHCNIVKSYMVDNITIANSLIDMYGKNGNLTIAWRIFNQTQRDIITWNTMMSAYTRCGHFSEAIALFDQMISGNLTPNLATLLTVLSACSHLASWEKGEIIHCYIKEEGYELCQSLATALIDMYAKCGQLENSRELFNSMKEKDAVSWNVMISGYGMHGDAKSALEIYQQMEKSNVKPNALTFLSLLNSCAHAGLVEEGKFLFGRMEHFLLKPNLKHYACMVDLLGRSGNLQDAEALVMSMPISPDGGIWGALLCACVVHNEIEMGVRIAKCAVASDPENDGYYILISNMCSSMGWWEEAERTREIMKERGIGKKAGWSAM >EOX91992 pep chromosome:Theobroma_cacao_20110822:1:4814357:4815568:1 gene:TCM_001024 transcript:EOX91992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein isoform 1 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHGDPAPLRRYSSGCAGGKTVPFLAPMYLNPFLSPHFSKRRLSIWKLNGVQYPKVSND >EOX91991 pep chromosome:Theobroma_cacao_20110822:1:4814402:4815426:1 gene:TCM_001024 transcript:EOX91991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein isoform 1 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHGDPAPLRRYSSGCAGGKTVPFLAPMYLNPFLSPHFSKRRLSIWKLNGVQYPKVSND >EOX92459 pep chromosome:Theobroma_cacao_20110822:1:6938118:6938885:1 gene:TCM_001404 transcript:EOX92459 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA binding protein 1, putative MADSESGISNQVLEPSSTHPLGSPIVSTVQNVVSTVNLGCTLNLEAIALHARNSEYNPKRFAAVIMRIKEPKTTALIFLSGKIVCTGAKTEQQSLLAARKFARIIQKIGFDVQFKDFKIQNIVASCDMKFPISLVRLAAFHGSSQYMSQSYFQD >EOX94434 pep chromosome:Theobroma_cacao_20110822:1:28597787:28610125:-1 gene:TCM_004015 transcript:EOX94434 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif and SEC7 domain-containing protein 2 MDSIREMDSSNSENASLNVSNNGTASLASSSSPSSSSTSSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPPAQQIPTLPPPPGAN >EOX91389 pep chromosome:Theobroma_cacao_20110822:1:2540816:2541889:-1 gene:TCM_000599 transcript:EOX91389 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF hand calcium-binding protein family, putative MAIILRCIQVHPNREITVDEFKAWLRHFDADNDGRINQEELKEALHSLRVWFGWWKARQAMKEADSNHDGQIENGKEIEKLVNYAQQRLHMKIYQSDCGIELYNFLNILIPQADLRFPSSGKRTDIQPKPGLEGKPKSCEGWSDNYKGLEADVGTEDFIILEENGSI >EOX96193 pep chromosome:Theobroma_cacao_20110822:1:36938242:36940559:-1 gene:TCM_005496 transcript:EOX96193 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 18, putative MSANPSSSGGSVGGGAGSCSSGGGGPCGACKFLRRKCVPGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLHIPVHKRLDAVVTICYEAQARLRDPVYGCVAHIFALQQQEAVPDIDHRKGVSKHPYPFHTSSEGLDGIRNGTYGKEEKMYGVHAASGAGIKEMVVNLQAELSYLQAHLATLELPSPPPPPPPSTTLMAPPPLSISDLPSASSVPATYDLSSLFDPVVQHSWGMQQRQIDPRQFGGSGSSSLTGSGDLQALARELLHRQGSPQHGCLPCTDASPSQSLSK >EOX94328 pep chromosome:Theobroma_cacao_20110822:1:27792587:27799268:-1 gene:TCM_003910 transcript:EOX94328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MHQEWSRLAVIYTRILENPNQQLDRYFNSFKELAGSRPLPELRTAEEAAANASAVVSEADGQVNEGEVHPDVAEQTPKPVTAGFTEAEELEKYVAIREELYKKAKEFDSKILGFETAIRRPYFHVRPLNIAELENWHNYLDFIEREGDFNKVVKLYERCLIACANYPEYWIRYVLCMEASGSMDLADNALARATQVFVKRQPEIHLFAARFKEQNGDISGARAAYQLVHSEISPALLEAIIKHANMERRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMLYAQYSRFIYLVSGNAEKAREILTGALDQVQLSKPFLEALIHFETILPPPRQIDYLESLVDKFIAPNSDGSAADREDLSSIFLEFLSLFGDVQSIKRAEDRHAKLFLPHRPMSELRKRHAEDFLSSDKTKLAKSYSGAPSPGQSLMGAYPNAQNQWPAGYAAQPQTWPPTTQAQAQAQPWTPGYSQQAAYGAYSSYGSNYATQQVPTSVPQSAGYGAYPTTYPVQSFPQQSYAQPTAATTLTPAQQPAATVPQSYYGTYY >EOX94326 pep chromosome:Theobroma_cacao_20110822:1:27793029:27801140:-1 gene:TCM_003910 transcript:EOX94326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MGDSEALVADSSAIMGYTSAAYNSTGPGAYPSEATGDPTASGAPADGAYAAFGGDPNSAGQEGQISAIYDSKPASGSKDDNAADTGNTADASKVAGYSSLNGNAVNEAGNAMTAENGNVFDNVRGASAAPEFVDGSVPPMSGEEERLWSIVRANSLDFNAWTTLVEETEKVAENNILKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCAFAIETYGDPDTIRRLFERGLAYVATDYLSFPLWDKYIEYEYMHQEWSRLAVIYTRILENPNQQLDRYFNSFKELAGSRPLPELRTAEEAAANASAVVSEADGQVNEGEVHPDVAEQTPKPVTAGFTEAEELEKYVAIREELYKKAKEFDSKILGFETAIRRPYFHVRPLNIAELENWHNYLDFIEREGDFNKVVKLYERCLIACANYPEYWIRYVLCMEASGSMDLADNALARATQVFVKRQPEIHLFAARFKEQNGDISGARAAYQLVHSEISPALLEAIIKHANMERRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMLYAQYSRFIYLVSGNAEKAREILTGALDQVQLSKPFLEALIHFETILPPPRQIDYLESLVDKFIAPNSDGSAADREDLSSIFLEFLSLFGDVQSIKRAEDRHAKLFLPHRPMSELRKRHAEDFLSSDKTKLAKSYSGAPSPGQSLMGAYPNAQNQWPAGYAAQPQTWPPTTQAQAQAQPWTPGYSQQAAYGAYSSYGSNYATQQVPTSVPQSAGYGAYPTTYPVQSFPQQSYAQPTAATTLTPAQQPAATVPQSYYGTYY >EOX94327 pep chromosome:Theobroma_cacao_20110822:1:27792221:27801918:-1 gene:TCM_003910 transcript:EOX94327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MGDSEALVADSSAIMGYTSAAYNSTGPGAYPSEATGDPTASGAPADGAYAAFGGDPNSAGQEGQISAIYDSKPASGSKDDNAADTGNTADASKVAGYSSLNGNAVNEAGNAMTAENGNVFDNVRGASAAPEFVDGSVPPMSGEEERLWSIVRANSLDFNAWTTLVEETEKVAENNILKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCAFAIETYGDPDTIRRLFERGLAYVATDYLSFPLWDKYIEYEYMHQEWSRLAVIYTRILENPNQQLDRYFNSFKELAGSRPLPELRTAEEAAANASAVVSEADGQVNEGEVHPDVAEQTPKPVTAGFTEAEELEKYVAIREELYKKAKEFDSKILGFETAIRRPYFHVRPLNIAELENWHNYLDFIEREGDFNKVVKLYERCLIACANYPEYWIRYVLCMEASGSMDLADNALARATQVFVKRQPEIHLFAARFKEQNGDISGARAAYQLVHSEISPALLEAIIKHANMERRLGKLEDAFSLYEQAIAIEKGKEHSQTLPMLYAQYSRFIYLVSGNAEKAREILTGALDQVQLSKPFLEALIHFETILPPPRQIDYLESLVDKFIAPNSDGSAADREDLSSIFLEFLSLFGDVQSIKRAEDRHAKLFLPHRPMSELRKRHAEDFLSSDKTKLAKSYSGAPSPGQSLMGAYPNAQNQWPAGYAAQPQTWPPTTQAQAQAQPWTPGYSQQAAYGAYSSYGSNYATQQVPTSVPQSAGYGAYPTTYPVQSFPQQSYAQPTAATTLTPAQQPAATVPQSYYGTYY >EOX94809 pep chromosome:Theobroma_cacao_20110822:1:31527074:31528739:-1 gene:TCM_046692 transcript:EOX94809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum induced protein with YGL and LRDR motifs MLGVFSREIVTPPEELVAAGNRSPSPRTTALALLKRFIKCNLSSVSIQIGDQVNLAYSRQNESLLQPRSLGVKDDIFCLFQGMLDNLGSLRQEYGLAKSADGVMLVIEAYKALRDRAPYPPSHVIGHLAGNFAFVVFDKTTSTLFAASDRSGRVPLYWGVTADGFVAFSDDAEMLKGACGMSLASFPKGCFFSTAVGGLISYENPKNKITAIPAAEEEVWDATFKVEGTAVLAARE >EOX94600 pep chromosome:Theobroma_cacao_20110822:1:30397482:30405393:-1 gene:TCM_004228 transcript:EOX94600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate-binding family protein isoform 1 MKISFWAFVVSILLLFLASGSFSIDNFHQPFPIVEPDPGHTKLRLSREGLEAISRITTPIAAVAAIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPLELDIDGVRTSVFYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQEMVNEALRHVPNTDGDKNIDQVNQIRDSLAVMGDNSTAFSLPQPHLMRTKLCDLKDGDLDPMYVKKREELKELVASVIRPKIVQGKPLNGKEFVSFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKLYSERMGRLVLPMPDQSLQQIHERSREEAMKAFDEQHFGRHHAKRSAKQLDEEIKEVYKNVIMANEYHSSRLCEALYTKCEDKMDQLQVLRLPSMAKFNAGFLQCNQSFEQECIGPSKTSYEQRMMKMMGKSRSLFIKEYNQRLFNWLVAFSLVMVVVGRFIIKFILIEMAAWILFIFLETYTRMFWSAESLYYNPVWHFIVATWETLVYGPILDLDRWAIPIGCIAAIWILYWRCYGRRKHGSRWLLPLYTSHKGGSNRPRSD >EOX94599 pep chromosome:Theobroma_cacao_20110822:1:30397808:30405206:-1 gene:TCM_004228 transcript:EOX94599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate-binding family protein isoform 1 MKISFWAFVVSILLLFLASGSFSIDNFHQPFPIVEPDPGHTKLRLSREGLEAISRITTPIAAVAAIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPLELDIDGVRTSVFYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQEMVNEALRHVPNTDGDKNIDQVNQIRDSLAVMGDNSTAFSLPQPHLMRTKLCDLKDGDLDPMYVKKREELKELVASVIRPKIVQGKPLNGKEFVSFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKLYSERMGRLVLPMPDQSLQQIHERSREEAMKAFDEQHFGRHHAKRSAKQLDEEIKEVYKNVIMANEYHSSRLCEALYTKCEDKMDQLQVLRLPSMAKFNAGFLQCNQSFEQECIGPSKTSYEQRMMKMMGKSRSLFIKEYNQRLFN >EOX94598 pep chromosome:Theobroma_cacao_20110822:1:30397482:30405393:-1 gene:TCM_004228 transcript:EOX94598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate-binding family protein isoform 1 MKISFWAFVVSILLLFLASGSFSIDNFHQPFPIVEPDPGHTKLRLSREGLEAISRITTPIAAVAAIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPLELDIDGVRTSVFYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQEMVNEALRHVPNTDGDKNIDQVNQIRDSLAVMGDNSTAFSLPQPHLMRTKLCDLKDGDLDPMYVKKREELKELVASVIRPKIVQGKPLNGKEFVSFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKLYSERMGRLVLPMPDQSLQQIHERSREEAMKAFDEQHFGRHHAKRSAKQLDEEIKEVYKNVIMANEYHSSRLCEALYTKCEDKMDQLQVLRLPSMAKFNAGFLQCNQSFEQECIGPSKTSYEQRMMKMMGKSRSLFIKEYNQRLFNWLVAFSLVMVVVGRFIIKFILIEMAAWILFIFLETYTRMFWSAESLYYNPVWHFIVATWETLVYGPILDLDRWAIPIGCIAAIWILYWRCYGRRKHGSRWLLPLYTSHKGGSNRPRSD >EOX94597 pep chromosome:Theobroma_cacao_20110822:1:30397502:30405393:-1 gene:TCM_004228 transcript:EOX94597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate-binding family protein isoform 1 MSGLQLFQFPIVEPDPGHTKLRLSREGLEAISRITTPIAAVAAIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPLELDIDGVRTSVFYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQEMVNEALRHVPNTDGDKNIDQVNQIRDSLAVMGDNSTAFSLPQPHLMRTKLCDLKDGDLDPMYVKKREELKELVASVIRPKIVQGKPLNGKEFVSFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKLYSERMGRLVLPMPDQSLQQIHERSREEAMKAFDEQHFGRHHAKRSAKQLDEEIKEVYKNVIMANEYHSSRLCEALYTKCEDKMDQLQVLRLPSMAKFNAGFLQCNQSFEQECIGPSKTSYEQRMMKWKAGEFHSVFGFRVLEHIPKCVRVNLMLELMKFFFPVEYMMGKSRSLFIKEYNQRLFNWLVAFSLVMVVVGRFIIKFILIEMAAWILFIFLETYTRMFWSAESLYYNPVWHFIVATWETLVYGPILDLDRWAIPIGCIAAIWILYWRCYGRRKHGSRWLLPLYTSHKGGSNRPRSD >EOX94913 pep chromosome:Theobroma_cacao_20110822:1:32001354:32008776:-1 gene:TCM_004515 transcript:EOX94913 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein-defense related 1 isoform 2 MAEGKKEKKATDEESVKLFVGQVPKHMTEAQLLAMFKEFALVDEVNIIKDKTTRASRGCCFVICPSRQEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEAEVSALFSKYGTIKDLQILRGSQQTSKGCAFLKYETKEQALDALEAINGKHKMEWIAECIDTKEVLVFIRQGSNVPLVVKWADTEKERQARRAQKAQSQASNISNADSPHPSLFGALPMGYAAPFNGYGYQAPGSYGLMQYRLPPMQNQPAFHNMIPPVNQGSAMRGITPDLAPNIAPRNYVMPPASYVGSAYPAVPGIQYPMAYPGGIMSHRPLTSSPGSVPPANTTSNSSSSSSVGTSSGGQIEGPPGANLFIYHIPQEFGDQELANAFQGFGRVLSAKVFVDKATGVSKCFGFVSYDSPAAAQNAINVMNGCQLGGIIKSVVRSGPVIFESNQRINSSGITCYLYTCYLYDEYSLYWGREASLTAKGSGSSPVPI >EOX94914 pep chromosome:Theobroma_cacao_20110822:1:32003270:32008868:-1 gene:TCM_004515 transcript:EOX94914 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein-defense related 1 isoform 2 MAEGKKEKKATDEESVKLFVGQVPKHMTEAQLLAMFKEFALVDEVNIIKDKTTRASRGCCFVICPSRQEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEAEVSALFSKYGTIKDLQILRGSQQTSKGCAFLKYETKEQALDALEAINGKHKMEGSNVPLVVKWADTEKERQARRAQKAQSQASNISNADSPHPSLFGALPMGYAAPFNGYGYQAPGSYGLMQYRLPPMQNQPAFHNMIPPVNQGSAMRGITPDLAPNIAPRNYVMPPASYVGSAYPAVPGIQYPMAYPGGIMSHRPLTSSPGSVPPANTTSNSSSSSSVGTSSGGQIEGPPGANLFIYHIPQEFGDQELANAFQGFGRVLSAKVFVDKATGVSKCFGFVSYDSPAAAQNAINVMNGCQLGGKKLKVQLKRDNKQNKPY >EOX95733 pep chromosome:Theobroma_cacao_20110822:1:35391018:35396649:1 gene:TCM_005166 transcript:EOX95733 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MPIKFLPQLRLLSPSLPVTRFPSMRPGASIGSNGSMPVLNRVFPFKLKFIGLSPGFNGHLGVRSLSTRSFRTRPGSSSEFTRKDRGDVGASKSLIEDEAELSDWVGELRTDSFRGRLTSEDEELDADRARNRVRSRDREGNRGSVKRRRESEFDNFRESNRRGTRGNPGYSFSRNSRFSKRFDSELEDEDNDDDEEEEESCSRRKTRGAKRENKKVDSRKGRGNERGLNSRNMGNGRRNLGRKSNFREDKDDDANDFEEERGRVVRATANFLSEEDSDINDDEDDEDDVIFRKNASSALGLDKDVRQTGSPRSSPGKSDSYLSESRFDQSSLSPLSLKGIKDAGYEKMTVVQEATLPVLLKGKDVLAKAKTGTGKTVAFLLPSIEVVSKLPPIDRDVKRPPIHVLVICPTRELASQAAAEANTLLKYHSSIGVQVVIGGTRLALEQKRMQANPCQILVATPGRLRDHIENTAGFATKLMGVKVLVLDEADHLLDMGFRKDIERIIASVPKQRQTLLFSATVPEEVRQICHIALRRDHEFINTVLEGTEETHSQVRQMHMVAPLDKHFSVLYVLLKEHIADDVDYKVLVFCTTAMVTRLVADLLGELNLNVREIHSRKPQSYRTRVSDEFRRSKGLILVTSDVSARGVDYPDVTLVIQVGLPADRQQYIHRLGRTGRKGKEGQGILLLAPWEEYFVSSIKDLPITKAHLPSVDPDTKKKVERALSNVEMKNKEAAYQAWLGYYNSTKNVGRDKYRLVELANEFSRSMGLDNPPPIPKLVLSKMGLRNIPGLRSK >EOX94318 pep chromosome:Theobroma_cacao_20110822:1:27747628:27750544:-1 gene:TCM_003904 transcript:EOX94318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKDLVVLALRPGLFFLRYLHFVPYFCRGDNLLFSSHAWNSLNLSDHFVSELSSMVNVNFDTIGAAECLGA >EOX90619 pep chromosome:Theobroma_cacao_20110822:1:147337:153141:-1 gene:TCM_000035 transcript:EOX90619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein MRLLIWYFAWGWLMVGERVVMGATESSGERKLYQTPTWAVAGVCAVIIIISILLEKVLHKLGTWFTERHKRALYEALDKVKAELMVLGFISLLLTFGQSYIARICIPTNVANTMLPCKADSEQDTSTSSEEEHRRRLLWFERRFLAAVSTARKCKEGHEPLISTEGLHELHILIFFLAVFHVLYSFVTMMLGRLKIRGWKVWEQETLSHDYEFSNDPSRFRLTHETSFVKAHTSFWTRIPFFFYIGCFFRQFFRSVGRVDYLTLRNGFITVHLAPGSKFDFQKYIKRSLEDDFKIVVGVSPVLWASFVIFLLLNVEGWQALLWASIIPVIIILAVGTKLQAILTKMALEITERHAVVQGIPLVQGSNKYFWFGRPQLVLYLIHFALFQNAFQITYFLWILYSFGLDSCFHGNLKIAIIKVSLGVGALCLCSYITLPLYALVTQMGSNMKKSIFDEQTSKALKKWHMAAKRKRGKAGRSPTRTLGGTASPASTVHSTGHTLHRFKTTGHSTRSSYAYEDHDMSDLEAEPLSPTPSTNLIIRADDEEQATEISETHHVEGKNDDDFSFVKPAPVQGA >EOX95779 pep chromosome:Theobroma_cacao_20110822:1:35552476:35555717:1 gene:TCM_005197 transcript:EOX95779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein MKWYLVASLLTVLTSSQGILTTLSQSNGKYKYDYATVPFLAEVFKLIVSSVFLWRECKKSPPAKMTTDWKSVRLFPIPSIIYLIHNNVQFATLMYVDTSTYQIMGNLKIVTTGILFRLFLKKKLSNLQWMAIVLLAVGTTTSQVKGCGEASCDSLFSAPIQGYMLGILSACLSALAGVYTEFLMKKNNDSLYWQNVQLYTFGAIFNMARLVLDDFRGGFEKGPWWQRLFNGYSVTTWMVVLNLGSTGLLVSWLMKYADNIVKVYSTSMAMLLTMVLSVFLFSFKPTVQLFLGIIVCMMSLHMYFAPPNMLVDLPSTVRTDPESLVNVPVDRKTDS >EOX93308 pep chromosome:Theobroma_cacao_20110822:1:12000492:12001525:1 gene:TCM_002151 transcript:EOX93308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERKGYFSWASPVLMHGINYQYHLLKIQLSESTICHLIFQLSDSGPWGMRHPRNGIGYCLGTSITSWNF >EOX95068 pep chromosome:Theobroma_cacao_20110822:1:32788580:32793453:-1 gene:TCM_004647 transcript:EOX95068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 11 MRSLYSLQMIVMINLAVAVLCADKYSRSDFPPGFVFGSATSAYQVEGAASEDGRAPSIWDTFAHAGYANGATGDITVDQYHKYQEDVHLMAEMGLDAYRFSISWSRLIPNGRGPVNPKGLNYYNNLINELISHGIQPHVTLHNFDLPQALEDEYGGWINRRIVNDFTTYAEVCFQEFGDRVPYWTTVNEPDVFAVGGYDGGITPPRHCSPPFGVNCTRGNSSSEPYIAVHNILLAHASAARLYKKKYQGKQHGFIGISIYTTGNFPLTNSVEDAIATQRANDFFVGWIVNPLVFGDYPDTMKKIAGSRIPTFTNHESELVRGSFDFLGVIHYSSYYVEDDPGSWELKQRDYNTDLAVKISNVGNASLTYELPILPWGLQAVLEYFKQVYENPPIYILENGQRNRRNSTMEDTSRVNYLHAYIGSVLDAVRNGSNARGYFAWSFLDVFELLDGYESGYGFYYVDLDDPDLKRYPKLSAHWYSHFLKGGSISSDVLIKLKQNFFALSQGHFSQ >EOX95580 pep chromosome:Theobroma_cacao_20110822:1:34706905:34707791:1 gene:TCM_005032 transcript:EOX95580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGMNALAVILTAKSGYRGEEWEIKVINIAFSVGKSSYQLTAEIGVELNGCCGCMLRYIEKGKVMHCSVFSSYRYLALQRFIWFL >EOX95987 pep chromosome:Theobroma_cacao_20110822:1:36235742:36240165:-1 gene:TCM_005349 transcript:EOX95987 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR-signaling kinase 3 MGCQCSKLTPCCWDSQFKAAVLEAPDVENEEKSEVDHLPVFREFTLEQLKNATSGFAVENIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRMAWPDSRQFLEEARSVGQLRNNRLANLLGCCCEGDERLLVAEYMPNETLAKHLFHWETQPMKWAMRLRVVLHLAQALEYCTNKGRALYHDLNAYRVLFDEDENPRLSTFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTSESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSDTDGMELVRLASRCLQYEPRERPNPKSLVAALTPLQKETEVPSHVLMGIQNSASFTPLSPVAEACSRGDLTAIHEILEKLGYKDDEGVANELSFQMWTDQMQETLNSKKKGDTAFRQKDFKEAIECYTQFIDVGTMVSPTVFARRSLCHLINDMPQEALNDAMQAQVISPVWHIASYLQATALAALGMENEAQTALKEGATLEAKRSSAAGQK >EOX91600 pep chromosome:Theobroma_cacao_20110822:1:3241017:3245350:-1 gene:TCM_000736 transcript:EOX91600 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: Calcium-binding EF hand family protein (TAIR:AT1G64850.1); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Ot /.../karyotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G37445) TAIR;Acc:AT4G37445] MGNSMGGFMGKGLPSTQMLSIVFKSLYEQFTDAEIKDFDDFHAAILDILTVINSALPGKHYDAPARSEVERCFQEWCNEEDPAKKKEVFVKFIKKIKLSKLDNTTMMTGIITPPAAMAAKKAGEFLPHLSMIKAIPDVIFVPSVTVAALVISKLSRRLHQRSVRSQPNRAEPSNVDEIQPVAEQSTPPCPPD >EOX95648 pep chromosome:Theobroma_cacao_20110822:1:35040280:35044298:-1 gene:TCM_005104 transcript:EOX95648 gene_biotype:protein_coding transcript_biotype:protein_coding description:No lysine kinase 6, putative MRGLRDKALGVMNCKRSSLPPNDAVVVAVLECRFRSRWAFDSFSIASEAFSEHHHQQQKQQQQPVWFLAEMNSGSGLVLPSNDGMVDTSEAEPDFVERDPTGRYVRFDEVLGKGAFKTVYRAFDEVDGIEVAWNQVRIDDVLRSPEDLEKLYSEVHLLRSLKNENIIKLYDSWVDDKKKTVNMITELFTSGSLRIYRKKHKNVDMKAIKNWARQILRGLVYLHSHVPPIIHRDLKCDNIFINGNNGEIRIGDLGLAIVMQQSTARSVIGTPEFMAPELYEEEYNELIDVYSFGMCMLEMVTFEYPYNECKNPAQIYKKVISGIKPASLSKVVDPQIKEFIEKCLVPASERLSAKELLDDPFLKVENPKEPIRDPLQLPNPSLKAINVPANLPASGPLSMDIDTDYKQLSVSMCTGSNSESLQLPVLEFQRMHKNNEFRLRGKKDDDNSVSLTLRIADSCGRVRNIHFVFYLDTDTALSVAAEMVEQLELTDHDVAFIAEFIDHLIMKLLPGWKPSFDYPSTGTASPCGEFSVSGNCKNSMACPWDSVRTSDPAVMFAKDIVSGLNTSLQGGVIQAQDGFHNEYHSSLEDQESHASVVSEIPVEETSTKNARSSEAADSNINGISKDLGGYISEQELQDTYYDECNSRRNDSSAEECIPLNIFVKTSGFSFPNLSRESNVMCLPSSCSSLITGDKDLDVELKLELDAIEAQYQNWFQELSRMRDEALEATKKRWMAKKKLADQ >EOX92725 pep chromosome:Theobroma_cacao_20110822:1:8281621:8282686:-1 gene:TCM_001619 transcript:EOX92725 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-deoxy-D-arabino-heptulosonate 7-phosphate synthase 1 MALMLAIRWIQLVKLIGILNPHNKPGRITIITRMGAENMKVKLPHLIRAVGRAGHIVTWVCGPMHGNAAEAPCGLKTRAFDAILAEVRAFLDVHEQEGSHRGGIHLEMTGQNVTESVGGSQTVTYDDLSSCYRTQCDPRLNGS >EOX94995 pep chromosome:Theobroma_cacao_20110822:1:32378523:32383844:-1 gene:TCM_004577 transcript:EOX94995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, putative MSSVFVQHPIQETGEDQAVESKDTHEEVSNGKKHPGHKCLRRYDSLDLESAKVISSHYDRVSQVVEWSVILQLAFQSIGVVYGDIGTPPLYVYAGTFAKGGTFALYSLICRCARMGLIPSQQAEDRGVSNFQLELPTEGLKRASKIKSKLENRQFAKLFLLTITMLGTPMVIGDGVLTPCISVLSAVGGIKEATAAMTEDRIVWISVAIWVCLFMVQRFGTDKVGYRFAPILCVWRNKKDAWVSLGGIVLAITGTEALFADVGHFTVRSIQISICTVTCPALILAYTGQFSFLRKHENFVSDAFFKSIPGPLYWPMFVLDVAAAIIAIVHTSAKYEGQVYIPEVNYLLMLACVGVTVGVRTTEKIGDAYGIAVVFVMTPTSSLLVLLMIMIWKTSILLVTAYILVIGSVELVYLSSVLYKFDQGGYLPLAFAAGLMTMMLREITADTSLCRIPGLAMFYSELVHCIPPIYEHYVANVPALLSVLVFVSIKSLPISKVAAEERFLFRRVEPKELNVFRCVVRYGYADIRNEQESFEWTLVEGLKEFIKENVRLSHVLVSNRKSTATRGEEWDNGLDNKEDGEDNVRQVEEDWQEIMEKEINTVDKT >EOX96041 pep chromosome:Theobroma_cacao_20110822:1:36416090:36417872:-1 gene:TCM_005391 transcript:EOX96041 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative isoform 2 MAETCNFLAAKRYAVVTGANKGIGLEICRQLASKGVMVVLTARDENRGLEAVEKLKESGVSDNVVFHQLDVTDPVSIASLADFIGTQFGKLDILVNNAAIPGVIMNYDSFARAVERFGDWPTGDQVWNEIITAQTYELAEECLKTNYYGMKRMVEALAPFLRLSDSARIVNVTSYLGLLQLMSNEWAKGVLSDVESLTEERVEEVLNVFLKDFKEGRMKSKGWPTYIGPTAYSVSKAAMNAYTRVLAKKYPSFWVICVAPGFAKTDITGGTGYSTAAEGAENVVRPALLPTAGSSGLFFNRQEVSDF >EOX96042 pep chromosome:Theobroma_cacao_20110822:1:36415615:36418188:-1 gene:TCM_005391 transcript:EOX96042 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative isoform 2 MAETCNFLAAKRQLASKGVMVVLTARDENRGLEAVEKLKESGVSDNVVFHQLDVTDPVSIASLADFIGTQFGKLDILVNNAAIPGVIMNYDSFARAVERFGDWPTGDQVWNEIITAQTYELAEECLKTNYYGMKRMVEALAPFLRLSDSARIVNVTSYLGLLQLMSNEWAKGVLSDVESLTEERVEEVLNVFLKDFKEGRMKSKGWPTYIGPTAYSVSKAAMNAYTRVLAKKYPSFWVICVAPGFAKTDITGGTGYSTAAEGAENVVRPALLPTAGSSGLFFNRQEVSDF >EOX92772 pep chromosome:Theobroma_cacao_20110822:1:8548283:8558259:1 gene:TCM_001652 transcript:EOX92772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 CYSLALLLQAACDDGAEKYERAFVLHLLSNSNKEFLKQSASDYINNFDPSSQTFPQQEQLQQQYFEKVHPEPYSCLLKNTAVKNVLADPDLPCGCDANSADLDLLQPGAKPKLGSGDRDEALSGLIANLSLEGLAPHWIRPVPPRFPVDEKELVWLNPDNNHELQWDQGMCADTSRGAAVRDLIAKALKGPLAPNQQEQVLVELANDPKLVYHCGLTPRKLPELVENNPLIAVEVLTKLINSPEISDYFTVLVNMDMSLHSMEVVNRLTTAVELPKEFVRMYITNCISSCENIKDKYMQNRLVRLVCVFLQSLIRNRIIDVKDLFIEVQAFCIEFSRIREAAGLFRLLKTLE >EOX92770 pep chromosome:Theobroma_cacao_20110822:1:8543388:8558438:1 gene:TCM_001652 transcript:EOX92770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MGKKMLNFEEQCQIVNMLKSSSEQKSIEETVTEFFNNSKLRHFNVCYSLALLLQDKIMLSSTERLVACAILHRSYSSQKSAANPFISFLVNAACDDGAEKYERAFVLHLLSNSNKELLIFAGVYHFLKQSASDYINNFDPSSQTFPQQEQLQQQYFEKVHPEPYSCLLKNTAVKNVLADPDLPCGCDANSADLDLLQPGAKPKLGSGDRDEALSGLIANLSLEGLAPHWIRPVPPRFPVDEKELVWLNPDNNHELQWDQGMCADTSRGAAVRDLIAKALKGPLAPNQQEQVLVELANDPKLVYHCGLTPRKLPELVENNPLIAVEVLTKLINSPEISDYFTVLVNMDMSLHSMEVVNRLTTAVELPKEFVRMYITNCISSCENIKDKYMQNRLVRLVCVFLQSLIRNRIIDVKDLFIEVQAFCIEFSRIREAAGLFRLLKTLE >EOX92771 pep chromosome:Theobroma_cacao_20110822:1:8548285:8556712:1 gene:TCM_001652 transcript:EOX92771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 CYSLALLLQAACDDGAEKYERAFVLHLLSNSNKEFLKQSASDYINNFDPSSQTFPQQEQLQQQYFEKVHPEPYSCLLKNTAVKNVLADPDLPCGCDANSADLDLLQPGAKPKLGSGDRDEALSGLIANLSLEGLAPHWIRPVPPRFPVDEKELVWLNPDNNHELQWDQGMCADTSRGAAVRDLIAKALKGPLAPNQQEQVLVELANDPKLVYHCGLTPRKLPELVENNPLIAVEVLTKLINSPEISDYFTVLVNMDMSLHSMEVVNRLTTAVELPKEFVRMYITNCISSCENIKQSNSQNFKKYITTKSICGSPARKVMKSSLSTVILMPCLH >EOX92773 pep chromosome:Theobroma_cacao_20110822:1:8543388:8558438:1 gene:TCM_001652 transcript:EOX92773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MGKKMLNFEEQCQIVNMLKSSSEQKSIEETVTEFFNNSKLRHFNVCYSLALLLQDKIMLSSTERLVACAILHRSYSSQKSAANPFISFLVNAACDDGAEKYERAFVLHLLSNSNKEFLKQSASDYINNFDPSSQTFPQQEQLQQQYFEKVHPEPYSCLLKNTAVKNVLADPDLPCGCDANSADLDLLQPGAKPKLGSGDRDEALSGLIANLSLEGLAPHWIRPVPPRFPVDEKELVWLNPDNNHELQWDQGMCADTSRGAAVRDLIAKALKGPLAPNQQEQVLVELANDPKLVYHCGLTPRKLPELVENNPLIAVEVLTKLINSPEISDYFTVLVNMDMSLHSMEVVNRLTTAVELPKEFVRMYITNCISSCENIKLRISLLKFKPSASSFQGLEKQPVCLGF >EOX93397 pep chromosome:Theobroma_cacao_20110822:1:12699359:12699993:1 gene:TCM_002257 transcript:EOX93397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MSVEVLDSATILAFIEDEEAFHVSIRDHFALLDTDNDGILSYAEMLKELQSLRVFETHFGIDVKTDPDEVTHVYDSLFGQFDRNSNGTVDLEEFKTETKQMMQAMAKGMGFLPVQMVLEEGSFLKKAVERESAKA >EOX92396 pep chromosome:Theobroma_cacao_20110822:1:6664393:6665545:1 gene:TCM_001350 transcript:EOX92396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRRVRYHLSFRSLEKRKVKDNFLFVSKRFDSDFCNSSGSIFKASCIHILIHIVEDDFEDC >EOX92542 pep chromosome:Theobroma_cacao_20110822:1:7374325:7380467:1 gene:TCM_001477 transcript:EOX92542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MISAIAWVPKGASKPEPEVAELPSKEEIEELIKTGALERSEDNGSEDEDQDMDADAEKQTGEVAQALAVADALGKTSNNKSGAQLEDLTDGLKELDMENYDEEDDGIELFSKGLGDLYYPSNDIDPYLKDQDDNDSEEIEDMTIRPMDAVVVCARNEDDVSHLEVWIYEDSDDGDSNMYVHHDIIISAFPLCTAWLDFPLKGGEKGNFVAVGSMEPSIEIWDLDIIDEVQPCVVLGGAVEKKTKKGKKKSQYKEGSHTGPVLGLAWNKEYSNILASASADKQVKIWDMAAGKCSITMEHHEDKVQAVAWNHHSPQVLLSGSFDRSVVMKDGRVPSHSGLKWSVTSEVECLAWDPHTEYSFVVSLEDGTVRGYDIRAAKSNPSSELKPSFTLHAHDKAACTLSYNPKAPNLLATGSMDKMVKLWDLSNNQPSCVASRNPKAGAVFSISFSEDSPFLLAIGGSKGKLGVWDTLSDAGVSGKFGHYSQPNRPKT >EOX90573 pep chromosome:Theobroma_cacao_20110822:1:18443:25907:1 gene:TCM_000005 transcript:EOX90573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like calmodulin-binding protein (ZWICHEL) isoform 3 MAIPAELAGVIPLIDRFQVEGFLRMMQKQINSAGKRSFFSKKSVGPQVREKFTFEDMLCFQKDPIPTSLLKINSDLVSRATKMFHIVLKYMGVDSSERVTPLSLDERIELVAKLYKQTLKRAELRDEFFAQISKQTRNNPDRQNLIKAWELMYLCASSMPPSKDIGGYLSEYVHNVAHSASTDSEVQTLALNTLNALKRSVKAGPRNTIPAREEIEAILTGRKLTTIVFFLDETFEEITYDMATTVSDAVEELASIIKLSAYSSFSMFECRKVVTGSKSPDPGNEEYIGLDDNKYIGDLLAEFKAAKDRSKGEILHCKLIFKKKLFRESDEAVTDPMFVQLSYFQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVGSPESCTDWNTLLERFLPRQIAITRARREWELDILSRYCSMEHLTKDDAKQQFLRILRTLPYGNSIFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSVANGSVNGDDSNNFKPPSLEVYEKRVQDLSKAVEESQKNTNQLLGELHEKQKQELKTQEELECLKNALRKEKEDLMEVMCDRDRIRSLCEEKDTALQAALLEKKAMEVRLAKLSNLVSENNAERDTGGTINQSVQNLQDELKLRTEELHIAEEKKKRLTNEKVILEQRISGLERKKDDEVKILKKSLEQECKALKLQVSELEKKLEGVTKELAVAESTLAIRNADFAALQNNLKELEELRELKEDIDRKNEQTAAILKMQGAQLAELEVLYKEEQVLRKRYFNTIEDMKGKVRVFCRVRPLNEKEMVEKERKVLTGLDEFTVEHPWKDDKIKQHMYDRVYDDNATQEDVFGDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDSNPGLTPRAIAELFKILRRDSNKFSFSLKAYMVELYQDTLVDLLLQKNAKRLKLDIKKDPKFVIFREWWP >EOX90574 pep chromosome:Theobroma_cacao_20110822:1:18447:26803:1 gene:TCM_000005 transcript:EOX90574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like calmodulin-binding protein (ZWICHEL) isoform 3 MAIPAELAGVIPLIDRFQVEGFLRMMQKQINSAGKRSFFSKKSVGPQVREKFTFEDMLCFQKDPIPTSLLKINSDLVSRATKMFHIVLKYMGVDSSERVTPLSLDERIELVAKLYKQTLKRAELRDEFFAQISKQTRNNPDRQNLIKAWELMYLCASSMPPSKDIGGYLSEYVHNVAHSASTDSEVQTLALNTLNALKRSVKAGPRNTIPAREEIEAILTGRKLTTIVFFLDETFEEITYDMATTVSDAVEELASIIKLSAYSSFSMFECRKVVTGSKSPDPGNEEYIGLDDNKYIGDLLAEFKAAKDRSKGEILHCKLIFKKKLFRESDEAVTDPMFVQLSYFQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVGSPESCTDWNTLLERFLPRQIAITRARREWELDILSRYCSMEHLTKDDAKQQFLRILRTLPYGNSIFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSVANGSVNGDDSNNFKPPSLEVYEKRVQDLSKAVEESQKNTNQLLGELHEKQKQELKTQEELECLKNALRKEKEDLMEVMCDRDRIRSLCEEKDTALQAALLEKKAMEVRLAKLSNLVSENNAERDTGGTINQSVQNLQDELKLRTEELHIAEEKKKRLTNEKVILEQRISGLERKKDDEVKILKKSLEQECKALKLQVSELEKKLEGVTKELAVAESTLAIRNADFAALQNNLKELEELRELKEDIDRKNEQTAAILKMQGAQLAELEVLYKEEQVLRKRYFNTIEDMKGKVRVFCRVRPLNEKEMVEKERKVLTGLDEFTVEHPWKDDKIKQHMYDRVYDDNATQEDVFGDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDSNPGLTPRAIAELFKILRRDSNKFSFSLKGMVAVENATVMPISTFEELKSIIHRGSERRHISGTQMNEESSRSHLILSIVIESTNLQTQSVARGKLSFVDLAGSERVKKSGSVGDQLKEAQSINKSLSALGDVISALSSGSQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETYNSLIYASRVRSIVNDPSKNICSKEVARLKKLVAYWKEQAGRRGDDEDYEEIQEERTTKDGADGRHSM >EOX90572 pep chromosome:Theobroma_cacao_20110822:1:18443:25907:1 gene:TCM_000005 transcript:EOX90572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like calmodulin-binding protein (ZWICHEL) isoform 3 MAIPAELAGVIPLIDRFQVEGFLRMMQKQINSAGKRSFFSKKSVGPQVREKFTFEDMLCFQKDPIPTSLLKINSDLVSRATKMFHIVLKYMGVDSSERVTPLSLDERIELVAKLYKQTLKRAELRDEFFAQISKQTRNNPDRQNLIKAWELMYLCASSMPPSKDIGGYLSEYVHNVAHSASTDSEVQTLALNTLNALKRSVKAGPRNTIPAREEIEAILTGRKLTTIVFFLDETFEEITYDMATTVSDAVEELASIIKLSAYSSFSMFECRKVVTGSKSPDPGNEEYIGLDDNKYIGDLLAEFKAAKDRSKGEILHCKLIFKKKLFRESDEAVTDPMFVQLSYFQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVGSPESCTDWNTLLERFLPRQIAITRARREWELDILSRYCSMEHLTKDDAKQQFLRILRTLPYGNSIFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSVANGSVNGDDSNNFKPPSLEVYEKRVQDLSKAVEESQKNTNQLLGELHEKQKQELKTQEELECLKNALRKEKEDLMEVMCDRDRIRSLCEEKDTALQAALLEKKAMEVRLAKLSNLVSENNAERDTGGTINQSVQNLQDELKLRTEELHIAEEKKKRLTNEKVILEQRISGLERKKDDEVKILKKSLEQECKALKLQVSELEKKLEGVTKELAVAESTLAIRNADFAALQNNLKELEELRELKEDIDRKNEQTAAILKMQGAQLAELEVLYKEEQVLRKRYFNTIEDMKGKVRVFCRVRPLNEKEMVEKERKVLTGLDEFTVEHPWKDDKIKQHMYDRVYDDNATQEDVFGDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDSNPGLTPRAIAELFKILRRDSNKFSFSLKAYMVELYQDTLVDLLLQKNAKRLKLDIKKDPKGMVAVENATVMPISTFEELKSIIHRGSERRHISGTQMNEESSRSHLILSIVIESTNLQTQSVARGKLSFVDLAGSERVKKSGSVGDQLKEAQSINKSLSALGDVISALSSGSQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETYNSLMYVFYTSCLH >EOX90571 pep chromosome:Theobroma_cacao_20110822:1:17413:27097:1 gene:TCM_000005 transcript:EOX90571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like calmodulin-binding protein (ZWICHEL) isoform 3 MTIDTLPSMAQSMRASRSSFSSSTGNEDAPLHSAGSISNGDDYDSDGSNFAPPTPTTLSMAIPAELAGVIPLIDRFQVEGFLRMMQKQINSAGKRSFFSKKSVGPQVREKFTFEDMLCFQKDPIPTSLLKINSDLVSRATKMFHIVLKYMGVDSSERVTPLSLDERIELVAKLYKQTLKRAELRDEFFAQISKQTRNNPDRQNLIKAWELMYLCASSMPPSKDIGGYLSEYVHNVAHSASTDSEVQTLALNTLNALKRSVKAGPRNTIPAREEIEAILTGRKLTTIVFFLDETFEEITYDMATTVSDAVEELASIIKLSAYSSFSMFECRKVVTGSKSPDPGNEEYIGLDDNKYIGDLLAEFKAAKDRSKGEILHCKLIFKKKLFRESDEAVTDPMFVQLSYFQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVGSPESCTDWNTLLERFLPRQIAITRARREWELDILSRYCSMEHLTKDDAKQQFLRILRTLPYGNSIFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSVANGSVNGDDSNNFKPPSLEVYEKRVQDLSKAVEESQKNTNQLLGELHEKQKQELKTQEELECLKNALRKEKEDLMEVMCDRDRIRSLCEEKDTALQAALLEKKAMEVRLAKLSNLVSENNAERDTGGTINQSVQNLQDELKLRTEELHIAEEKKKRLTNEKVILEQRISGLERKKDDEVKILKKSLEQECKALKLQVSELEKKLEGVTKELAVAESTLAIRNADFAALQNNLKELEELRELKEDIDRKNEQTAAILKMQGAQLAELEVLYKEEQVLRKRYFNTIEDMKGKVRVFCRVRPLNEKEMVEKERKVLTGLDEFTVEHPWKDDKIKQHMYDRVYDDNATQEDVFGDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDSNPGLTPRAIAELFKILRRDSNKFSFSLKAYMVELYQDTLVDLLLQKNAKRLKLDIKKDPKGMVAVENATVMPISTFEELKSIIHRGSERRHISGTQMNEESSRSHLILSIVIESTNLQTQSVARGKLSFVDLAGSERVKKSGSVGDQLKEAQSINKSLSALGDVISALSSGSQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETYNSLIYASRVRSIVNDPSKNICSKEVARLKKLVAYWKEQAGRRGDDEDYEEIQEERTTKDGADGRHSM >EOX93173 pep chromosome:Theobroma_cacao_20110822:1:11041516:11046784:1 gene:TCM_002021 transcript:EOX93173 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCL domain class transcription factor MLQSLVPQSPINSNPSSNPNSSMKSKRVERDVSAATGDHSSADDPSTKRPNFSSGDKTAADEQEDTVIEGESTGLRLLGLLLQCAECVAMDNLEDATDLLPEISELSSPFGSSPERVGAYFAHALQARVVSSCLRAYSPLDTKSLTLTQSQKIFNALQSYNSISPLVKFSHFTANQAIFQALDGEDRVHVIDLDIMQGLQWPGLFHILASRSKKIRSMRITGFGSSSELLESTGRRLADFATSLGLPFEFHPLEGKIGNVTDLSQLGVQSSEAVVVHWMHHCLYDITGSDLGTLRLLTLVKPKLITIVEQDLSHGGSFLGRFVEALHYYSALFDALGDGLGVDSLERHMVEQQLFGSEIRNIVAVGGPKRTGEVKVERWGEELRRVGFRPVSLGGNPAAQASLLLGMFPWKGYTLVEENGCLKLGWKDLSLLTASAWQPSD >EOX94084 pep chromosome:Theobroma_cacao_20110822:1:20286503:20292631:1 gene:TCM_003188 transcript:EOX94084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MEKYEVVKDLGAGNFGVARLLRHKETKELVAMKYIERGHKIDENVAREIINHRSLRHPNIIRFKEAILTPTHLVIVMEYAAGGELFERICSAGRFSEDEARYFFQQLISGVNYCHSMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQEDPRNFRKTIQRIMAVQYKIPDYVHVSQDCRNLLSRIFVANPSRRITIKEIKNHPWFLKNLPRELTDTAQASYYRRDNPAFSLQSVEEIMKIVEEARSPPPSSVPVKGFGWGQDDDEEEDVDAEVEEDDEDEYDKQVKEVHASGEYQIH >EOX94083 pep chromosome:Theobroma_cacao_20110822:1:20286622:20292772:1 gene:TCM_003188 transcript:EOX94083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MEKYEVVKDLGAGNFGVARLLRHKETKELVAMKYIERGHKIDENVAREIINHRSLRHPNIIRFKEASIISVVLTPTHLVIVMEYAAGGELFERICSAGRFSEDEARYFFQQLISGVNYCHSMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQEDPRNFRKTIQRIMAVQYKIPDYVHVSQDCRNLLSRIFVANPSRRITIKEIKNHPWFLKNLPRELTDTAQASYYRRDNPAFSLQSVEEIMKIVEEARSPPPSSVPVKGFGWGQDDDEEEDVDAEVEEDDEDEYDKQVKEVHASGEYQIH >EOX94085 pep chromosome:Theobroma_cacao_20110822:1:20286609:20292619:1 gene:TCM_003188 transcript:EOX94085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MEKYEVVKDLGAGNFGVARLLRHKETKELVAMKYIERGHKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLVIVMEYAAGGELFERICSAGRFSEDEARYFFQQLISGVNYCHSMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQEDPRNFRKTIQRIMAVQYKIPDYVHVSQDCRNLLSRIFVANPSRRITIKEIKNHPWFLKNLPRELTDTAQASYYRRDNPAFSLQSVEEIMKIVEEARSPPPSSVPVKGFGWGQDDDEEEDVDAEVEEDDEDEYDKQVKEVHASGEYQIH >EOX90806 pep chromosome:Theobroma_cacao_20110822:1:679181:681984:-1 gene:TCM_000173 transcript:EOX90806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MLQDVWNAHPGFRPSKSAPTSPAKPLGVSRTRSESFHAIHKVPVGDTPYVRAKNVQLVDKDPERAIPLFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQIALLKHKLYLIQQGLAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQNNYIEAEDAYRRALSIAPDNNKMCNLGICLMKQGRIGEAKETLRRVKPAVADGPRGVDSHLKAYERAQQMLKDLESEMMNKGGDRIEQSRLFDAFLGSSSIWQPQPCKDPISLPAANAVKPHDDFADENVNSNTMANQMAIPQQTSAKLVAFPFGNSLNIDAPPFYSSKLGIAKDPFGNQLHETLKRTRSGNSANSMRVNEMGDHTKPFSAELEKPEIKTRRLSPSSGEKGDKLTDLLPDSEDFEEAIIAAVLGPTNEPGKGVETSNPKIFPKKIDKRLKVFQDITLSLSPRA >EOX90805 pep chromosome:Theobroma_cacao_20110822:1:679512:681973:-1 gene:TCM_000173 transcript:EOX90805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MLQDVWNAHPGFRPSKSAPTSPAKPLGVSRTRSESFHAIHKVPVGDTPYVRAKNVQLVDKDPERAIPLFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQIALLKHKLYLIQQGLAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQNNYIEAEDAYRRALSIAPDNNKMCNLGICLMKQGRIGEAKETLRRVKPAVADGPRGVDSHLKAYERAQQMLKDLESEMMNKGGDRIEQSRLFDAFLGSSSIWQPQPCKDPISLPAANAVKPHDDFADENVNSNTMANQMAIPQQTSAKLVAFPFGNSLNIDAPPFYSSKLGIAKDPFGNQLHETLKRTRSGNSANSMRVNEMGDHTKPFSAELEKPEIKTRRLSPSSGEKGDKLTDLLPDSEDFEEAIIAAVLGPTNEPGKGVETSNPKIFPKKIDKRLKVFQDITLSLSPRA >EOX96002 pep chromosome:Theobroma_cacao_20110822:1:36271205:36277075:-1 gene:TCM_005361 transcript:EOX96002 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein isoform 1 MSSFKLGVEVVGAHDLVAKDGQGSSNPFVELHFDDQRFRTTTKEKDLNPVWNESFYFNISDPNNLSHLPLEAYVYNHNKANNVKTCLGKVRLTGTSFVPYSDAVVLHYPLEKRSIFARVKGELGLKVFVTDDPSIKSSNPLPAMESSLHTDVDSHYAKIPKSVPNSFPKEKTDKRRTFHHLSNANQSQQKQNFPSVPPQQMNSGVHEMKSGKQPPQIFQMFSGSSSQPLDYALKETSPFLGGGQIVGGRVIRGDRPASTYDLVEQMRYLFVRVVKAQDLPSKDVAGSLDPYVEVKVGNYKGITKHYEKKQNPEWNQVFAFARDTVQTSVLEVILKDKDLVKDDFVGIVRFDLHEVPTRVPPDSPLAPEWYRLEDKKGEKKKGELMLAVWYGTQADEAFPDAWHSDAIAPGDSTSIASTYIRSKVYHSPRLWYVRVTVIEAQDLVAADKNRFPDAYVKVQLGNQILKTKSVQPRNSNPIWKEEFMFVASEPFEEHLIFSVEDRVGPNKDETIGKAVIPLNSVDRRADDRNIRTRWYNLEKSLSDAMDRDHAKKDKFHSRLHVCVCLDGGYHVLDESTQYSSDLRPTAKQLWKPSIGVLELGILNADGLQPMKTREGKGTSDTYCVAKYGHKWVRTRTIVNSLNPKYNEQYTWEVYDPATVLTVGVFDNCQISGSDGNKDMKIGKVRIRISTLETGRVYTHSYPLLVLHPSGVKKIGELHLAIRFSYTSMLNMMFQYSRPLLPKMHYKRPLSVIQQDMLRHQAVTIVAARLGRAEPPLRREVVEYMSDADAHLWSMRRSKANFLRLTSVFSGLFSVGKWVGEVCMWKNPITTVLVHVLFVMLVCFPELILPTVFLYMFLIGVWNYRRRPRYPPHMNTSLSCADAVSPDELDEEFETFPASRSSDIIRVRYDRLRSVAGRIQTVVGDIATQVLVAELVTDGGCLDQILFPRA >EOX96001 pep chromosome:Theobroma_cacao_20110822:1:36271609:36277125:-1 gene:TCM_005361 transcript:EOX96001 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein isoform 1 MSSFKLGVEVVGAHDLVAKDGQGSSNPFVELHFDDQRFRTTTKEKDLNPVWNESFYFNISDPNNLSHLPLEAYVYNHNKANNVKTCLGKVRLTGTSFVPYSDAVVLHYPLEKRSIFARVKGELGLKVFVTDDPSIKSSNPLPAMESSLHTDVDSHYAKIPKSVPNSFPKEKTDKRRTFHHLSNANQSQQKQNFPSVPPQQMNSGVHEMKSGKQPPQIFQMFSGSSSQPLDYALKETSPFLGGGQIVGGRVIRGDRPASTYDLVEQMRYLFVRVVKAQDLPSKDVAGSLDPYVEVKVGNYKGITKHYEKKQNPEWNQVFAFARDTVQTSVLEVILKDKDLVKDDFVGIVRFDLHEVPTRVPPDSPLAPEWYRLEDKKGEKKKGELMLAVWYGTQADEAFPDAWHSDAIAPGDSTSIASTYIRSKVYHSPRLWYVRVTVIEAQDLVAADKNRFPDAYVKVQLGNQILKTKSVQPRNSNPIWKEEFMFVASEPFEEHLIFSVEDRVGPNKDETIGKAVIPLNSVDRRADDRNIRTRWYNLEKSLSDAMDRDHAKKDKFHSRLHVCVCLDGGYHVLDESTQYSSDLRPTAKQLWKPSIGVLELGILNADGLQPMKTREGKGTSDTYCVAKYGHKWVRTRTIVNSLNPKYNEQYTWEVYDPATVLTVGVFDNCQISGSDGNKDMKIGKVRIRISTLETGRVYTHSYPLLVLHPSGVKKIGELHLAIRFSYTSMLNMMFQYSRPLLPKMHYKRPLSVIQQDMLRHQAVTIVAARLGRAEPPLRREVVEYMSDADAHLWSMRRSKANFLRLTSVFSGLFSVGKWVGEVCMWKNPITTVLVHVLFVMLVCFPELILPTVFLYMFLIGVWNYRRRPRYPPHMNTSLSCADAVSPDELDEEFETFPASRSSDIIRVRYDRLRSVAGRIQTVVGDIATQAIVLYVTPFQVLALLAGFYIMRHPRFRHKTPAAPINFFRRLPARTDIRKNQIVLVAELVTDGGCLDQILFPRA >EOX94461 pep chromosome:Theobroma_cacao_20110822:1:28834512:28836598:-1 gene:TCM_004046 transcript:EOX94461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 13, putative MVRAPCCEKMGLKKGPWTPEEDQILINYIKLYGHGNWRALPKQAGLLRCGKSCRLRWTNYLRPDIKRGNFTREEEDTIINLHEMLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLKQNHGSNDNKRQGIDSSQDIKKEQEPVNFLSPVTDSPGCRPVSPPESSSEVSTVTTSENNSNMCMKIETHQDFSEIDENFWSEVLSADNSSMASDFQVVGSDLQPQYLPISPLATFEPVNDYGSNLYVSDTNMDFWYNLFTRAGDLPEQPEI >EOX92715 pep chromosome:Theobroma_cacao_20110822:1:8249760:8253157:1 gene:TCM_001614 transcript:EOX92715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRSLSRSRSRSPSYRSRHSRRSRREHTRRSRSTSPRRRRSRSPSHRRRKSRSPTPRRHRRRTSFSPLPKSPSPALPVHQNNRLQQEAELKLLEEETTKRLEEAIQKNVEERLNSEQVKLDIERRIVEARKKLLDDVNTQLEKEKEAALAEARLKQEQARREREELDKMLEENRRRVEEAQRREALELQRKDEERYRELELIQRQKEEAARRKKLEEEEEHANQMSTLGKNKSRPKVPFGIGL >EOX94781 pep chromosome:Theobroma_cacao_20110822:1:31333202:31335786:-1 gene:TCM_004389 transcript:EOX94781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRRPLAQKLQRKATTSKPDIADPSGGVEKQPESSNPSLEKELQFEKTNPQLETIEPQTKSADLSSVTKAKKHIAGTKLRRSGRLYSAGTPQDKDIDRVIEEMTLTESEKDEEPLNFEEGKLPEPTLTNKSLEEKVDYLLQQFEEQQKTIEELKLRVTIDSSPTGSPRAADTRYRNLYFGSQKKIEALTDENRQLSLKLEHALGKLEAYENGAHVFSEGLDKLKDMILVTNLAKATERAVNLSSQAFTSVDAGAEAKTGAKRKRVLAGK >EOX95484 pep chromosome:Theobroma_cacao_20110822:1:34385291:34394269:-1 gene:TCM_004967 transcript:EOX95484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein MNRVSIWVLSLCCVVGIGLSRSEDAVEELKAKESLLSYLEKDAASPGANTLMVPLTLIQGAASKGAVCLDGTPPGYHLDRGFGSGSNSWLIHLEGGGWCNNIRTCVFRKTTRRGSSKFMAKQTNFTGILSNKAEENPDFYNWNRVKLRYCDGASFAGEGQNEANQLYFRGQRIWSAAMEELMAKGMQNAEQALLSGCSAGGLASILHCDEFKDLFPKTTKVKCLSDAGMFLDATNVAGGHSLRDMYGGVVTLQGVQKNLPNTCTSQMDPTSCFFPQNLVANIRTPLFLLNAAYDAWQVQESLIPSSADPHGSWRECKMDRSHCNSTQMQFLQDFRSQMLNAINVFSKSNQNGLFINSCFAHCQSERQDTWYENDSPRIGNKAVAVSVGDWFFDRTAVKAIDCAYPCDSTCHDLVFNDVTSTITISQSTRLTFTLLNLLSALLITLTCSKCTMEFQFGQ >EOX92489 pep chromosome:Theobroma_cacao_20110822:1:7137634:7138577:1 gene:TCM_001435 transcript:EOX92489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein MDINQTKLPSHTWNLEMSTEDHILHEQVPLNPIWPSYPLQTHQTPSSTSSQIPSATNVYSDQMGQLAEEEEETEEELSAMKEMLYKIAAMQPVDIDPATIRKPKRRNVRISDDPQSVAARHRRERISEKIRILQRLVPGGTKMDTASMLDEAIRYVKFLKRQIRLLQPNNQQPPCHVNWQVTPNKPLVSTSSTSMETQQAGPEFTFGGNGGNPLCFNHEVIND >EOX96261 pep chromosome:Theobroma_cacao_20110822:1:37134486:37139568:-1 gene:TCM_005541 transcript:EOX96261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 1A isoform 1 MAGGSDVAEALSCAQCGKPAHLQCPKCVELKLPREGAAFCTQDCFKASWSSHKSVHLKAKLSALGTSAAGEQDSHLASEGWLYCLTLNYYFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASRQLVQCTYECLDKAISIVKPGVRFREIGEVINRHALMSGLSVVKSYCGHGIGELFHCAPNIPHYGRNKAVGVMKAGQTFTIEPMINAGVWRDRMWPDGWTVVTVDGKRSAQFEHTLLVTETGVEVLTARLPSSPNVF >EOX96260 pep chromosome:Theobroma_cacao_20110822:1:37134121:37139781:-1 gene:TCM_005541 transcript:EOX96260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 1A isoform 1 MAGGSDVAEALSCAQCGKPAHLQCPKCVELKLPREGAAFCTQDCFKASWSSHKSVHLKAKLSALGTSAAGEQDSHLASEGWLYCLRKGQSRSPKLPHFDWTGTLRPYPISIKRIVPAHIDKPDWAVVGIPKVEPNSDLQHVVEIKTPDQIERMRETCRIAREVLDAAARMIRPGVTTDEIDRVVHEATIDAGGYPSPLNYYFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASRQLVQCTYECLDKAISIVKPGVRFREIGEVINRHALMSGLSVVKSYCGHGIGELFHCAPNIPHYGRNKAVGVMKAGQTFTIEPMINAGVWRDRMWPDGWTVVTVDGKRSAQFEHTLLVTETGVEVLTARLPSSPNVFPWLSK >EOX93934 pep chromosome:Theobroma_cacao_20110822:1:17544976:17559965:-1 gene:TCM_002934 transcript:EOX93934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNLPNVKIDLSLDDLNHKALWPIYLHMVYIWMSTIILKHIIIPKSQYEGISSSLMSPKVITHIVKLTLKVDQHYQIILHGTL >EOX93008 pep chromosome:Theobroma_cacao_20110822:1:9935658:9942651:1 gene:TCM_001870 transcript:EOX93008 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2-like protein 5 isoform 5 MEQQSKGLISSQSEGPSNIPSVNISRKWETGAWGIPRGTDTYHSSSDASLFSSSLPVLPHEKLNFADVEHSGHSVDDNSPNLHKLECENEGKDPLEDAETNAIGNLLPDDEDELLAGIMDDFDLSGLPSQLEDLEEYDVFGTGGGMELDFDPQESLNIGISTMNLSDGVPANGIGHYPLPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNDDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQELEQDEARSFRHHVGSPVGNSPPGNWAQFGSPVEHNPLHAFSKSPGLGSFSPVNNNNLPGLASILPHHVPNSPKIAPIGKDQVKTNLTNQIFTNAGAVQGVAYQHSRSFPEQDLSASPGPVSAFGESNSGSTGVGTLTGPQFLWGSPTPYSERASSAWPSGQGFPYTSRHSSFLGSSNHHHVGSAPSGVHLDRHFSYLPESPETSFMSPVSFAGVGLNRSNGSLLMNIGARGTMGAGVGLPGSVTENGSPSFRMMSMPRHSPIFLGNGSYAGQGTAGNEGLADRSRSRRVENNGNQIDNKKQYQLDLDKIMSGEDTRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFLYLPIDFKNKCNVGYAFINMISPSHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPILFHSEGQATGDQVVYFS >EOX93007 pep chromosome:Theobroma_cacao_20110822:1:9935658:9943121:1 gene:TCM_001870 transcript:EOX93007 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2-like protein 5 isoform 5 MEQQSKGLISSQSEGPSNIPSVNISRKWETGAWGIPRGTDTYHSSSDASLFSSSLPVLPHEKLNFADVEHSGHSVDDNSPNLHKLECENEGKDPLEDAETNAIGNLLPDDEDELLAGIMDDFDLSGLPSQLEDLEEYDVFGTGGGMELDFDPQESLNIGISTMNLSDGVPANGIGHYPLPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNDDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQELEQDEARSFRHHVGSPVGNSPPGNWAQFGSPVEHNPLHAFSKSPGLGSFSPVNNNNLPGLASILPHHVPNSPKIAPIGKDQVKTNLTNQIFTNAGAVQGVAYQHSRSFPEQDLSASPGPVSAFGESNSGSTGVGTLTGPQFLWGSPTPYSERASSAWPSGQGFPYTSRHSSFLGSSNHHHVGSAPSGVHLDRHFSYLPESPETSFMSPVSFAGVGLNRSNGSLLMNIGARGTMGAGVGLPGSVTENGSPSFRMMSMPRHSPIFLGNGSYAGQGTAGNEGLADRSRSRRVENNGNQIDNKKQYQLDLDKIMSGEDTRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFLYLPIDFKNKCNVGYAFINMISPSHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPILFHSEGQATGDQEPFLSSNLNICIRQPDGSYSGDSLESPKGLLD >EOX93010 pep chromosome:Theobroma_cacao_20110822:1:9936921:9941404:1 gene:TCM_001870 transcript:EOX93010 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2-like protein 5 isoform 5 MEQQSKGLISSQSEGPSNIPSVNISRKWETGAWGIPRGTDTYHSSSDASLFSSSLPVLPHEKLNFADVEHSGHSVDDNSPNLHKLECENEGKDPLEDAETNAIGNLLPDDEDELLAGIMDDFDLSGLPSQLEDLEEYDVFGTGGGMELDFDPQESLNIGISTMNLSDGVPANGIGHYPLPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNDDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQELEQDEARSFRHHVGSPVGNSPPGNWAQFGSPVEHNPLHAFSKSPGLGSFSPVNNNNLPGLASILPHHVPNSPKIAPIGKDQVKTNLTNQIFTNAGAVQGVAYQHSRSFPEQDLSASPGPVSAFGESNSGSTGVGTLTGPQFLWGSPTPYSERASSAWPSGQGFPYTSRHSSFLGSSNHHHVGSAPSGVHLDRHFSYLPESPETSFMSPVSFAGVGLNRSNGSLLMNIGARGTMGAGVGLPGSVTENGSPSFRMMSMPRHSPIFLGNGSYAGQGTAGNEGLADRSRSRRVENNGNQIDNKKQYQLDLDKIMSGEDTRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFLYLPIDFKNKCNVGYAFINMISPSHIVSFYQVQPVVLLLIVSKD >EOX93009 pep chromosome:Theobroma_cacao_20110822:1:9936921:9941022:1 gene:TCM_001870 transcript:EOX93009 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2-like protein 5 isoform 5 MEQQSKGLISSQSEGPSNIPSVNISRKWETGAWGIPRGTDTYHSSSDASLFSSSLPVLPHEKLNFADVEHSGHSVDDNSPNLHKLECENEGKDPLEDAETNAIGNLLPDDEDELLAGIMDDFDLSGLPSQLEDLEEYDVFGTGGGMELDFDPQESLNIGISTMNLSDGVPANGIGHYPLPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNDDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQELEQDEARSFRHHVGSPVGNSPPGNWAQFGSPVEHNPLHAFSKSPGLGSFSPVNNNNLPGLASILPHHVPNSPKIAPIGKDQVKTNLTNQIFTNAGAVQGVAYQHSRSFPEQDLSASPGPVSAFGESNSGSTGVGTLTGPQFLWGSPTPYSERASSAWPSGQGFPYTSRHSSFLGSSNHHHVGSAPSGVHLDRHFSYLPESPETSFMSPVSFAGVGLNRSNGSLLMNIGARGTMGAGVGLPGSVTENGSPSFRMMSMPRHSPIFLGNGSYAGQGTAGNEGLADRSRSRRVENNGNQIDNKKQYQLDLDKIMSGEDTRTTLMIKNIPNK >EOX93011 pep chromosome:Theobroma_cacao_20110822:1:9935658:9943121:1 gene:TCM_001870 transcript:EOX93011 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2-like protein 5 isoform 5 MEQQSKGLISSQSEGPSNIPSVNISRKWETGAWGIPRGTDTYHSSSDASLFSSSLPVLPHEKLNFADVEHSGHSVDDNSPNLHKLECENEGKDPLEDAETNAIGNLLPDDEDELLAGIMDDFDLSGLPSQLEDLEEYDVFGTGGGMELDFDPQESLNIGISTMNLSDGVPANGIGHYPLPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNDDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQELEQDEARSFRHHVGSPVGNSPPGNWAQFGSPVEHNPLHAFSKSPGLGSFSPVNNNNLPGLASILPHHVPNSPKIAPIGKDQVKTNLTNQIFTNAGAVQGVAYQHSRSFPEQDLSASPGPVSAFGESNSGSTGVGTLTGPQFLWGSPTPYSERASSAWPSGQGFPYTSRHSSFLGSSNHHHVGSAPSGVHLDRHFSYLPESPETSFMSPVSFAGVGLNRSNGSLLMNIGARGTMGAGVGLPGSVTENGSPSFRMMSMPRHSPIFLGNGSYAGQGTAGNEGLADRSRSRRVENNGNQIDNKKQYQLDLDKIMSGEDTRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFLYLPIDFKAFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPILFHSEGQATGDQEPFLSSNLNICIRQPDGSYSGDSLESPKGLLD >EOX90838 pep chromosome:Theobroma_cacao_20110822:1:750174:751477:1 gene:TCM_000195 transcript:EOX90838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKSPDDHYRPTTVQILFAKVVVLLHMGVYTHFFAATPIAGSLVVSFLTALKGFLTFQCRLLCPAFRVTEPLLQIRPPHFVNIPSLIIF >EOX91148 pep chromosome:Theobroma_cacao_20110822:1:1746243:1756063:-1 gene:TCM_000428 transcript:EOX91148 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIN-like family protein, putative isoform 1 MDLDDLGELDGPSQVNTRTTKFAPKSSKFAPRLKPNFKLKPEPSSKQEPLNSGPKPEPPPPPPESISRKKENEEEDIKPPVIAETKTEQSFSNGAVKMEIEEEAKEDVIMNEGNREEEDMVVREIDVFFTPSIDANTQLYVLQYPLRPCWRPYELDERCEEVRVKPASGEVEMDLAVDVDSNNYDSERASRLKMTKQTLSSSWLPPRTTGYAVGVLMGDKLHLNPIHAVVQLRPSMCHLKPSVSKRKNAAAADAEVTVKVEEPNDGKAAGSSTKQNKRMQSSTEQKADDKECWVPLKYHSSKNDFSAQYLQRMMAEESCRIQFTMSPYDYVDSLCPGASNNNKAQGPSRRLLLSIPLEERLKKLLSEGPPFHRFSALKHFAPDASIEDIFEVLQKHALLVQGLWVPKSSLLFPEDPYKSLARDYVLLLFSKNPIISLAQVHALSPSRKEEVKGFLKILAIERPSFKDWKLKENADEGFKKLYPDIVKNQEQIWRAGEDNVINHICRGAKGGPSRTKPGTVIKPEKAMNSDKGVRRVAPAVQAGRTMSDETREAIPKALKKVFQTHKVCSLQLIRKGLRDLALSQSTLPKADARMVVKAAYGADAPEHELQEVVSEVAVELHGGLFVLRSSPEHPEYDPLREVVINLLRVKGKLKKADVSAAALLSLKREISNNEYNKVMSDFCEYKSSWWVLKSGDGKPS >EOX91150 pep chromosome:Theobroma_cacao_20110822:1:1746551:1751470:-1 gene:TCM_000428 transcript:EOX91150 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIN-like family protein, putative isoform 1 MDLDDLGELDGPSQVNTRTTKFAPKSSKFAPRLKPNFKLKPEPSSKQEPLNSGPKPEPPPPPPESISRKKENEEEDIKPPVIAETKTEQSFSNGAVKMEIEEEAKEDVIMNEGNREEEDMVVREIDVFFTPSIDANTQLYVLQYPLRPCWRPYELDERCEEVRVKPASGEVEMDLAVDVDSNNYDSERASRLKMTKQTLSSSWLPPRTTGYAVGVLMGDKLHLNPIHAVVQLRPSMCHLKPSVSKRKNAAAADAEVTVKVEEPNDGKAAGSSTKQNKRMQSSTEQKADDKECWVPLKYHSSKNDFSAQYLQRMMAEESCRIQFTMSPYDYVDSLCPGASNNNKAQGPSRRLLLSIPLEERLKKLLSEGPPFHRFSALKHFAPDASIEDIFEVLQKHALLVQGLWVPKSSLLFPEDPYKSLARDYVLLLFSKNPIISLAQVHALSPSRKEEVKGFLKILAIERPSFKDWKLKENADEGFKKLYPDIVKNQEQIWRAGEDNVINHICRGAKGGPSRTKPGTVIKPEKAMNSDKGVRRVAPAVQAGRTMSDETREAIPKALKKVFQTHKVCSLQLIRKGLRDLALSQSTLPKADARMVVKAAYGADAPEHELQEVVSEVAVELHGGLFVLRSSPEHPEYDPLRLHKYVQLVFKWEEMFMVSMFFLQNPSFSSELFFPCREVVINLLRVKGKLKKADVSAAALLSLKREISNNEYNKVMSDFCEYKSSWWVLKSGDGKPS >EOX91149 pep chromosome:Theobroma_cacao_20110822:1:1746599:1751406:-1 gene:TCM_000428 transcript:EOX91149 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIN-like family protein, putative isoform 1 MEIEEEAKEDVIMNEGNREEEDMVVREIDVFFTPSIDANTQLYVLQYPLRPCWRPYELDERCEEVRVKPASGEVEMDLAVDVDSNNYDSERASRLKMTKQTLSSSWLPPRTTGYAVGVLMGDKLHLNPIHAVVQLRPSMCHLKPSVSKRKNAAAADAEVTVKVEEPNDGKAAGSSTKQNKRMQSSTEQKADDKECWVPLKYHSSKNDFSAQYLQRMMAEESCRIQFTMSPYDYVDSLCPGASNNNKAQGPSRRLLLSIPLEERLKKLLSEGPPFHRFSALKHFAPDASIEDIFEVLQKHALLVQGLWVPKSSLLFPEDPYKSLARDYVLLLFSKNPIISLAQVHALSPSRKEEVKGFLKILAIERPSFKDWKLKENADEGFKKLYPDIVKNQEQIWRAGEDNVINHICRGAKGGPSRTKPGTVIKPEKAMNSDKGVRRVAPAVQAGRTMSDETREAIPKALKKVFQTHKVCSLQLIRKGLRDLALSQSTLPKADARMVVKAAYGADAPEHELQEVVSEVAVELHGGLFVLRSSPEHPEYDPLREVVINLLRVKGKLKKADVSAAALLSLKREISNNEYNKALRNMDIA >EOX96182 pep chromosome:Theobroma_cacao_20110822:1:36895158:36895693:1 gene:TCM_005489 transcript:EOX96182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein HOX9, putative MCMISSESIPSRSLYSFYRKRPSKRHNVLVRRLNRWRRLRKGAGTKKVVVGVQTDMQMKNLKLYMQNQIIIEENERLRKKAILLHQENQTLLAQLQKKLSNPQNSS >EOX96190 pep chromosome:Theobroma_cacao_20110822:1:36922990:36924420:-1 gene:TCM_005493 transcript:EOX96190 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF hand calcium-binding family protein, putative MLSSCKACWISLYKRAKLFLHVKRCKRRNLRRLSSSFDLTTSSFGAMELSGQFRQVFKVIDANGDGKISSLELREVLLCLGHEKSTAFKAAEGMIREMDFNGDGFIDLDEFMHAVNTEGAICSNSEEAYLMDAFHIFDTDKNGLISAKELSKVLISLGFRKCSLQECKRMIRGVDKDGDGFVDFKEFRSMMAAGTT >EOX94046 pep chromosome:Theobroma_cacao_20110822:1:19472939:19473916:1 gene:TCM_003129 transcript:EOX94046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRCLIQNNPKTWDLVIPQAEFAYNNSVNRSIKKTPFEAAYGLKPQHVLDLVPLPQEARVSNEGELFADHIRKIHEEVKAALKASNAEYSFTANQHRRKQEFEEGDQVLVHLRQERFPKGTYHKLKSRKFGPCKVLKKISSNAYLIELPPELQISPIFNILDLYPFDGCDGTASTIDAQIQHLPIAKVEVIEDVLDVKEVRSRRGNPYRRFLVKWLGKPANESTWIAEEELKRVDPDIYEEYVKAYSSESSLF >EOX96641 pep chromosome:Theobroma_cacao_20110822:1:38494201:38497320:-1 gene:TCM_005848 transcript:EOX96641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MQQSPFTPASLRWSLAVSPSSTIRSTKLRVEPVHSSTPQFFTVVSSKRSPERCLTGPQRDPKNALSRIIRREAAIEGIGRKAKSKKQRNRLWPKAVLEALDDAIKENSWESALEIFGLLRKQHWYEPRCQTYTKLIMMLGKCKQSEQASLLFETMLSEGLKPTIDVYTALVNAYGKSGLVDEAFAIVEDMKTVSDCKPDVYTYSILINSCMKLKRFDLIRRILAEMSYLGIECSTVTYNTIIDGYGKAEMFEEMESSLTDMIESGDSPPDIFTFNSIVGAYGNSRQIEKMEKWYDEFQLMGIRPDSKTFNILIKSFGKAGMYEKMGSVMKFMGKRFFSPTVVTYNSVIEILGKAGRVEKMEEYFKEMKHKGMKPNALTYCSLVSAYSKARSIKKVDLILRQVENSDVILDTPFFNCIISAYGQAGDLKKMGELFLMMEEKKCMPDNITFATMIQAYNTHGMIEAAQNLENKLISTNKNSVLVKEMIRNHVRM >EOX96640 pep chromosome:Theobroma_cacao_20110822:1:38494158:38497505:-1 gene:TCM_005848 transcript:EOX96640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MEWIIIPLDQTVITDLGYCYPIPPILVFGLLGVMQQSPFTPASLRWSLAVSPSSTIRSTKLRVEPVHSSTPQFFTVVSSKRSPERCLTGPQRDPKNALSRIIRREAAIEGIGRKAKSKKQRNRLWPKAVLEALDDAIKENSWESALEIFGLLRKQHWYEPRCQTYTKLIMMLGKCKQSEQASLLFETMLSEGLKPTIDVYTALVNAYGKSGLVDEAFAIVEDMKTVSDCKPDVYTYSILINSCMKLKRFDLIRRILAEMSYLGIECSTVTYNTIIDGYGKAEMFEEMESSLTDMIESGDSPPDIFTFNSIVGAYGNSRQIEKMEKWYDEFQLMGIRPDSKTFNILIKSFGKAGMYEKMGSVMKFMGKRFFSPTVVTYNSVIEILGKAGRVEKMEEYFKEMKHKGMKPNALTYCSLVSAYSKARSIKKVDLILRQVENSDVILDTPFFNCIISAYGQAGDLKKMGELFLMMEEKKCMPDNITFATMIQAYNTHGMIEAAQNLENKLISTNKNSVLVKEMIRNHVRIGNLIEDKTSLQNMGCCWKVFVLLK >EOX96642 pep chromosome:Theobroma_cacao_20110822:1:38495130:38497320:-1 gene:TCM_005848 transcript:EOX96642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MQQSPFTPASLRWSLAVSPSSTIRSTKLRVEPVHSSTPQFFTVVSSKRSPERCLTGPQRDPKNALSRIIRREAAIEGIGRKAKSKKQRNRLWPKAVLEALDDAIKENSWESALEIFGLLRKQHWYEPRCQTYTKLIMMLGKCKQSEQASLLFETMLSEGLKPTIDVYTALVNAYGKSGLVDEAFAIVEDMKTVSDCKPDVYTYSILINSCMKLKRFDLIRRILAEMSYLGIECSTVTYNTIIDGYGKAEMFEEMESSLTDMIESGDSPPDIFTFNSIVGAYGNSRQIEKMEKWYDEFQLMGIRPDSKTFNILIKSFGKAGMYEKMGSVMKFMGKRFFSPTVVTYNSVIEILGKAGRVEKMEEYFKEMKHKGMKPNALTYCSLVSAYSKARSIKKVDLILRQVENSDVILDTPFFNCIISAYGQAGDLKKMGELFLMMEEKKCMPDNITFATMIQAYNTHGMIEAAQNLENKLISTNKNSVLVKEMIRNHVRM >EOX96643 pep chromosome:Theobroma_cacao_20110822:1:38494937:38497320:-1 gene:TCM_005848 transcript:EOX96643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MQQSPFTPASLRWSLAVSPSSTIRSTKLRVEPVHSSTPQFFTVVSSKRSPERCLTGPQRDPKNALSRIIRREAAIEGIGRKAKSKKQRNRLWPKAVLEALDDAIKENSWESALEIFGLLRKQHWYEPRCQTYTKLIMMLGKCKQSEQASLLFETMLSEGLKPTIDVYTALVNAYGKSGLVDEAFAIVEDMKTVSDCKPDVYTYSILINSCMKLKRFDLIRRILAEMSYLGIECSTVTYNTIIDGYGKAEMFEEMESSLTDMIESGDSPPDIFTFNSIVGAYGNSRQIEKMEKWYDEFQLMGIRPDSKTFNILIKSFGKAGMYEKMGSVMKFMGKRFFSPTVVTYNSVIEILGKAGRVEKMEEYFKEMKHKGMKPNALTYCSLVSAYSKARSIKKVDLILRQVENSDVILDTPFFNCIISAYGQAGDLKKMGELFLMMEEKKCMPDNITFATMIQAYNTHGMIEAAQNLENKLISTNKNSVLVKEMIRNHVRM >EOX94675 pep chromosome:Theobroma_cacao_20110822:1:30809433:30814797:-1 gene:TCM_004293 transcript:EOX94675 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative isoform 1 MCCPLLKSPMRTASDFDLEQGCHATLKCHIVYTRSYTEVNKRKMEGAEVLELYEIQYSDLMLLSSSCSHHQENMIMENLGPTGPGLLAITNVPDASLFRRKLLPLASKLALLGPEDRKRILREHNLGSDVPLKNPDRNVSSFAMQLKYSQGLESIETKPSHGVGSLLNLENENICRISDFEDDEFDDLENMFKALGFCMMELGLCLARICDRAIGGNELEQSLLESCAAKGRLIHYHSIVDSLVLREAGRRKGSSKRHANNYSRSEQRLSKVANLDTNVNEVRSYDMQANLWQQWHYDYGIFTVLTDPMFLLASQPTTANNEFSISRYQECASPSGHSYLQIFHPNKSKVLTVKSSPESLIIQVGESADILSKGKLRSTLHCVCRPARLDNICRETFVVFLQPAWSKTFSISDYPMEHYNPVCQPLEQAEERNVADQDQNALTQEIQKIVPPLSARFKDGMTFAEFSRETTKQYYGGSGLQSNR >EOX94676 pep chromosome:Theobroma_cacao_20110822:1:30812494:30814244:-1 gene:TCM_004293 transcript:EOX94676 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative isoform 1 MQLKYSQGLESIETKPSHGVGSLLNLENENICRISDFEDDEFDDLENMFKALGFCMMELGLCLARICDRAIGGNELEQSLLESCAAKGRLIHYHSIVDSLVLREAGRRKGSSKRHANNYSRSEQRLSKVANLDTNVNEVRSYDMQANLWQQWHYDYGIFTVLTDPMFLLASQPTTANNEFSISRYQECASPSGHSYLQIFHPNKSKVLTVKSSPESLIIQVGESADILSKGKLRSTLHCVCRPARLDNICRETFVVFLQPAWSKTFSISDYPMEHYNPVCQPLEQAEERNVADQDQNALTQEIQKIVPPLSARFKDGMTFAEFSRETTKQYYGGSGLQSNR >EOX90705 pep chromosome:Theobroma_cacao_20110822:1:398604:400143:1 gene:TCM_000095 transcript:EOX90705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic chitinase MKLYALLTVSTLAIFLLGVSAQQCGSQAGGALCANGLCCSQFGWCGNTPEYCGTGCQSQCGGPTPSGGNDVSSIITRSLFDQMLKYRNDGRCPSNGFYTYDAFITAARSFGGFGTTGDLTTRKRELAAFFGQTSHETTGGWPTAPDGPYAWGYCFIREQSPPGPYCTPGAWPCAPGRQYFGRGPIQLTHNYNYGPAGNAIREDLINNPDLVATDAIISFKTAIWFWMTPQGNKPSSHRVIIGQWTPSAADTAANRLPGYGVITNIINGGLECGRGPDNRVASRIGFYRRYCDLLGVSYGNNLDCYNQRPFG >EOX96644 pep chromosome:Theobroma_cacao_20110822:1:38499212:38499747:1 gene:TCM_005849 transcript:EOX96644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKYVEMLDAGVRIVARFHSHCPQTARLYYHPPANSDDDHHHHRGGATSNVDSITGEVSARVGFYGPKVAAAVGADVKELIIFSV >EOX92186 pep chromosome:Theobroma_cacao_20110822:1:5660570:5662353:1 gene:TCM_001178 transcript:EOX92186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQITTKMVLGMPSTSKCMLLLPTLSSWGISRFIPTQFILFDSMARPISEVVLPSTNNIARELDEIYKDLHELDFISIEGTKTLEKKESLQKEKQISVDPKSLREPSMGEISFNMMLPPINAPPGDTAVPLPPPLLPAKHKFLSCSLPNSATSSPRFSSFLSRKNLKNESQASPRQVDKLVHKHSSAAQYHQALQKDNQFWRSKSCGEGRTCAPSDELDDLWLYNANALQYKNKHHGHGTLISNTNVNNDIRHKSSQNMDNNNEDDFKCSALCLFLPGFGKAKPVRPRKPESVVMENNVISRTVSLEKFECGSWASSTIIPDHDDDGDSMNLYFDLPLELIENLGNDAHLPVSAAFVFDKDIKGVLKNGSTSTRATGRKSHESSRHVRFSTSSPTSYPASPASCITPRLRKAREDFNAFLEAQSA >EOX91677 pep chromosome:Theobroma_cacao_20110822:1:3513620:3516081:1 gene:TCM_000790 transcript:EOX91677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage dependent anion channel 2 MSKGPGLFSDIGKKAKDLLNKDYSSDHKFTVSTYTGAGVALTSTALKKGGLSIGDVAALYKYKNTLFDVKVDTDSNISATLTFTEILPSTKTIASFKVPDYNSGKLEVQYFHDHATFTTAIGLNQTPGVDVTATIGTPAIAFGAEAGYDTTSGNFTRYTAGISVTKPDAYASIILGDKGDSIKASYVHYLDQLKKCAAVGEISRRFSTNENTFTVGGAYAVDHLTLIKAKLNNHGRLGALLQHEVIPKSLLTISGEIDTKALDKSPRFGLALALKP >EOX94390 pep chromosome:Theobroma_cacao_20110822:1:28243681:28245286:1 gene:TCM_003975 transcript:EOX94390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14 MPFKRYVEIGRVALVNYGKDYGKLVVIVDVVDQNRALVDAPDMVRSQMNFKRLTLTDIKIDINRVPKKKTLIDAMEKADVKNKWEKSSWGRKLIVQKRRASLNDFDRFKLMLAKIKRAGILRQEMAKLKKETAA >EOX95170 pep chromosome:Theobroma_cacao_20110822:1:33164152:33171666:-1 gene:TCM_004727 transcript:EOX95170 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein, putative MESSGLPVELMTGQDSWSLEGSDSNALILPTKRSRKRKENNMELEKAKGKQNPKLSKSQMRKLKKLEEEKEKAFLLSKSIETLEKYKIPEDAYSLLRSSKTIGLAETMREKRRRAVQFLKAGLELPYVDQSSKGRDGDNLSSSSEPEPELEEINSRKDISKNHIRQPLIIEIEVARNALGPLASSQEPVFGKDLGPSCSFVDTVPIKEVPLKDNSIPSEEVIKNCIPKLSADDGRESNMSKGPLSASTVVHVLRPDEVENKRKDLPIVMMEQEIMEAINENSTVIICGETGCGKTTQVPQKIGDRCSIKFMTDGILLREVQNDVLLKRYSVIILDEAHERSLNTDILIGMLSRVIRLRQDLYEKQQCMVLSGQSISPENLILPLNLVLMSATLRVEDFISGRRLFHVPPPVIEVPTRQYPVTVHFSKRTELVDYIGQAFKKVMSIHKRLPQGGILVFVTGQREVEYLCRKLCKASRDVITSISEGDKSTDATAPSEINLVEDINMKDISEAFEIHGDSTHQQTDRFSSSDEDQYDYEEDDSDASYDSETESELEIFGEEGNILDQKSMDNGDNLVDAFGGNGSLASLKAAFDALAGKNGLGSSLEGQEAVSINLENSLEQPPAPIEKIGEGNKSLNAGTLRVLPLYAMLPAAAQLRVFEEVKDGERLVVVATNVAETSLTIPGIKYVVDTGREKVKNYNPTNGIETYEVQWISKASAAQRAGRAGRTGPGHCYRLYSSAVFNNILPDFSCAEISKIPVDGVVLLMKSMGIDKVANFPFPTSPGPTALVEADRCLKALEALDSNGRLTSLGKAMAHYPMSPRHSRMLLTVIQIMRRVKNYARANLVLGYAVAAAAVLSSTNPFVMEYEGSYTQTDESKRDDESSPSDSEKVLKKKEKSQKKKLRAMARMSRAKFSNPSSDTLTVAYALQCFELSKSQVQFCNENGLHLKTMEEMSKLRKQLVRLVFNQNVNQDVEQEFLWTHGTMEDVELSWRVSSSKNPLLLNEEELLGQAICAGWADRVAKRIRGVSRSSKGDRKGNTARYQACLVKETVFLHRSSSLSNSAPEFLVYSELLHTKRPYMHGVTSVKSDWLVKYAKSYCTFSAPLTDRKPYYDPQTDEVYCWVVPTFGPHLWELPLHGLRISSDAHRVTVFAFALLEGQVLPCLRCVKQFMAASPDIILKPESYGQRRVGNLLHKLKARSLDSCAQLRKTWEENSRALHSEILDWFQESFHKQFAKLWSEMLSEVLLEPQERFPKRVKRDKRKK >EOX95083 pep chromosome:Theobroma_cacao_20110822:1:32835330:32836165:1 gene:TCM_004655 transcript:EOX95083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein, putative MANANVYLTFLLLLCLLKSGRSARNVANKYVQEACKVTRYRALCINSLASFSSIARRSPSTWARAGVSVTLGETKNVAQYLMKVKNYREMTGRYKIPLSDCMECFQNAIDQLHRSLGVLRKLSARRFYSQMGDVTTWLSAALTDQDTCMDGFENPRGRQAKMLRNRVMRATYFTSNALALANKLATSGLESLNDP >EOX93225 pep chromosome:Theobroma_cacao_20110822:1:11397517:11399044:1 gene:TCM_002067 transcript:EOX93225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein isoform 2 PECPHPTPPPKCPPPKYPPKHHPIVRPPFHPKPPKHPPHPPKPPKPPVVKPPYVPKPPVVKPPPHIPKPPYVPKPPVVKPPPHAPKPPYVPKPPVVKPPPYTPKPPYVPKPPVVKPPPYTPKPPVVKPPPYTPKPPVVKPPPYPPKPPVVKPPYVPVPTPPYVPKPPPYVPKPPIVPVPKPPYVPKPPVVKPPPYYPIPPVISPPILPPKPPVYPSPPIVKPPTPPVLPPTPPVYPSPPIVKPPTPPILPPKPPVYPSPPIVKPPTPPILPPTPPIYPSPPIVKPPPIEKPCPPPPPPLPYPPPPAQQTCSIDTLKLGACVDVLGGLVHVGIGSSAKDTCCPVLQGLLDLDAAICLCTTIKAKLLNINIIIPIALQVLVDCGKTPPAGFQCPA >EOX93224 pep chromosome:Theobroma_cacao_20110822:1:11397517:11399042:1 gene:TCM_002067 transcript:EOX93224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein isoform 2 PECPHPTPPPKCPPPKYPPKHHPIVRPPFHPKPPKHPPHPPKPPKPPVVKPPYVPKPPVVKPPPHIPKPPYVPKPPVVKPPPHAPKPPYVPKPPVVKPPPYTPKPPYVPKPPVVKPPPYTPKPPVVKPPPYTPKPPVVKPPPYPPKPPVVKPPYVPVPTPPYVPKPPPYVPKPPIVPVPKPPYVPKPPVVKPPPYYPIPPVISPPILPPKPPVYPSPPIVKPPTPPVLPPTPPVYPSPPIVKPPTPPILPPKPPVYPSPPIVKPPTPPILPPTPPIYPSPPIVKPPPIEKPCPPPPPPLPYPPPPAQQTCSIDTLKLGACVDVLGGLVHVGIGSSAKDTCCPVLQGLLDLDAAICLCTTIKAKLLNINIIIPIALQVLVDCGKTPPAGFQCPA >EOX93223 pep chromosome:Theobroma_cacao_20110822:1:11397377:11401269:1 gene:TCM_002067 transcript:EOX93223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein isoform 2 MMGKLNLANLIILLLNLGALLTSLACPECPHPTPPPKCPPPKYPPKHHPIVRPPFHPKPPKHPPHPPKPPKPPVVKPPYVPKPPVVKPPPHIPKPPYVPKPPVVKPPPHAPKPPYVPKPPVVKPPPYTPKPPYVPKPPVVKPPPYTPKPPVVKPPPYTPKPPVVKPPPYPPKPPVVKPPYVPVPTPPYVPKPPPYVPKPPIVPVPKPPYVPKPPVVKPPPYYPIPPVISPPILPPKPPVYPSPPIVKPPTPPVLPPTPPVYPSPPIVKPPTPPILPPKPPVYPSPPIVKPPTPPILPPTPPIYPSPPIVKPPPIEKPCPPPPPPLPYPPPPAQQTCSIDTLKLGACVDVLGGLVHVGIGSSAKDTCCPVLQGLLDLDAAICLCTTIKAKLLNINIIIPIALQVLVDCGKTPPAGFQCPA >EOX96756 pep chromosome:Theobroma_cacao_20110822:1:38880006:38881687:-1 gene:TCM_005937 transcript:EOX96756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMLTLCIYPLEPGALALSLSADTPPYKPLSCTPPGAWWRLPKAKKRHGTTFPKAAAKGEKTHEAGTEKSLSANVAQVPACAVHASWRWESSLPLKARSLMLY >EOX96477 pep chromosome:Theobroma_cacao_20110822:1:37947390:37952290:-1 gene:TCM_005716 transcript:EOX96477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MNLSMKAARPCTFPATTTQTPMPSKHVSICCCTITQPVVRPLKKSIDSQRVKSWEHLLDPVDYNQTASLFTSKAVKIGNRWEQYQGIQNWEGLLDPLDENLRGEILRYGFFVEAAYRSFDFDSSSPTYATCRYPKSTFLDRSGLPETGYRLTRNLRATSGIQLPRWVEKAPSWVATQSSWIGYVAVCQDKEEIARLGRRDVVIAYRGTATGLEWLENLRATLTPLPNADSKPGPDGSEPMVESGFLSLYTSGTAESPSLQEMIREEILRLLQTYGDEPLSLTITGHSLGAALATLTAYDIKTTFKRAPLVTVMSFGGPRVGNRSFRRQLEKQGTKVLRIVNSDDLITKVPGFVLEEDADVSKSQDIHVAGLPSWIQKRVEDTQWVYAEVGRELRLRSRDSPYLNTINVATCHELKTYLHLVSGFVSSTCPFRATVSRVLDYHNRGQATA >EOX93381 pep chromosome:Theobroma_cacao_20110822:1:12550716:12551920:1 gene:TCM_002234 transcript:EOX93381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSSAGPLNIYRNDYEIELQMRQIQQEKGDYLTQGHISILPERVHLDLQQNDFTEMVGIWEQWRRAHRDNFQNKYGHIAWLLYVPVDDQMLRAIIQFWDPSYRCFVFNKVDMTPTIEEYSSLLRIDHMQPDKIYWRAQKTGHRRKLAKLLGMTTVEVDQHLKKKGDTECLPWSILNGYIKNTWRMNKVSWLLRWQFTA >EOX96429 pep chromosome:Theobroma_cacao_20110822:1:37783623:37786569:-1 gene:TCM_005679 transcript:EOX96429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERQKHRYYNKFCQRTSKKTLEEFAPFIKAHVSDICRCYDVEFVFDTELEVSKLVKMILFNSVFIIELFLRNSENEVNNFLFDKEWLRVELEMNLLLLENQLPFFIFEAL >EOX92876 pep chromosome:Theobroma_cacao_20110822:1:9116274:9121176:1 gene:TCM_001735 transcript:EOX92876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1p/L10e family, putative isoform 2 MAGVKLLLSQARRLSLAQYSSSPFRPLQRSLSSSSSSNPPHPHADSESSFNKHSQAVPIQPVSYAPKPKDPTPPHPQQHEQQQTQPDQTPQRQPPPPRPTPDGPRPIWSRDDLRYVKDVPNISPVSYPARVAPLPEDRLGVSEEDGEVKKEESEEAEPESRRMEAESRMRRRPIRIAAEEEKVVIPFPMLIKPEKKNEKRPVLELMDAIREVKANAKAKFDETIEAHVRLGIDQKRSELIVRGTMALPHGSKKEVRVAFFAEGADADEARAAGADIVGGTELIEEIASTGKIDFDRCYSTHKFMTRLYKISKILNQHGLMPNPKQGTVTKDVTKAIKEAKQGQLKFRMDKTSIVHVGVGKVSLSEEFLHDNVGAFMNALLQAKPAGLKKTSKYAGYVNSFHICSTMGPGFRVSIQSLSRAADHYNKAYLNA >EOX92879 pep chromosome:Theobroma_cacao_20110822:1:9116264:9120860:1 gene:TCM_001735 transcript:EOX92879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1p/L10e family, putative isoform 2 MAGVKLLLSQARRLSLAQYSSSPFRPLQRSLSSSSSSNPPHPHADSESSFNKHSQAVPIQPVSYAPKPKDPTPPHPQQHEQQQTQPDQTPQRQPPPPRPTPDGPRPIWSRDDLRYVKDVPNISPVSYPARVAPLPEDRLGVSEEDGEVKKEESEEAEPESRRMEAESRMRRRPIRIAAEEEKVVIPFPMLIKPEKKNEKRPVLELMDAIREVKGTGKIDFDRCYSTHKFMTRLYKISKILNQHGLMPNPKQGTVTKDVTKAIKEAKQGQLKFRMDKTSIVHVGVGKVSLSEEFLHDNVGAFMNALLQAKPAGLKKTSKYAGYVNSFHICSTMGPGFRVS >EOX92878 pep chromosome:Theobroma_cacao_20110822:1:9116374:9119302:1 gene:TCM_001735 transcript:EOX92878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1p/L10e family, putative isoform 2 MAGVKLLLSQARRLSLAQYSSSPFRPLQRSLSSSSSSNPPHPHADSESSFNKHSQAVPIQPVSYAPKPKDPTPPHPQQHEQQQTQPDQTPQRQPPPPRPTPDGPRPIWSRDDLRYVKDVPNISPVSYPARVAPLPEDRLGVSEEDGEVKKEESEEAEPESRRMEAESRMRRRPIRIAAEEEKVVIPFPMLIKPEKKNEKRPVLELMDAIREVKANAKAKFDETIEAHVRLGIDQKRSELIVRGTMALPHGSKKEVRVAFFAEGADADEARAAGADIVGGTELIEEIASTGKIDFDRCYSTHKFMTRLYKISKILNQHGLMPNPKVSLSSNIYFYNA >EOX92877 pep chromosome:Theobroma_cacao_20110822:1:9116274:9121177:1 gene:TCM_001735 transcript:EOX92877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1p/L10e family, putative isoform 2 MAGVKLLLSQARRLSLAQYSSSPFRPLQRSLSSSSSSNPPHPHADSESSFNKHSQAVPIQPVSYAPKPKDPTPPHPQQHEQQQTQPDQTPQRQPPPPRPTPDGPRPIWSRDDLRYVKDVPNISPVSYPARVAPLPEDRLGVSEEDGEVKKEESEEAEPESRRMEAESRMRRRPIRIAAEEEKVVIPFPMLIKPEKKNEKRPVLELMDAIREVKANAKAKFDETIEAHVRLGIDQKRSELIVRGTMALPHGSKKEVRVAFFAEGADADEARAAGADIVGGTELIEEIALARLILTDVTQPINS >EOX96288 pep chromosome:Theobroma_cacao_20110822:1:37221597:37224099:-1 gene:TCM_005566 transcript:EOX96288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spindle and kinetochore-associated protein 1 isoform 1 MYLKQAGSSLDSLMSSFNTRIAELQELVIARNMYPASSVTDLSAIDAALKGMELQVQAIKDRLREETEAIPKAKKLIAASLRQQKKLQSMSVYVPSHLPEKMTTLNLDGDRGFVPEASRQQQRQQPNLGSSKVQEEPAALPKEKKGRGSPPLWYITSGELDSLASYMRGRLTLEKVNAAINDMATYAEANAQLVAAPKKKLAENLWERALELRDIATTEAVKGKHFFLESDMKGPSLKLDNTGKAILTVLRHLGRISETRIGHNRVIILLKLQ >EOX96289 pep chromosome:Theobroma_cacao_20110822:1:37222478:37224150:-1 gene:TCM_005566 transcript:EOX96289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spindle and kinetochore-associated protein 1 isoform 1 MYLKQAGSSLDSLMSSFNTRIAELQELVIARNMYPASSVTDLSAIDAALKGMELQVQAIKDRLREETEAIPKAKKLIAASLRQQKKLQSMSVYVPSHLPEKMTTLNLDGDRGFVPEASRQQQRQQPNLGSSKVQEEPAALPKEKKGRGSPPLWYITSGELDSLASYMRGRLTLEKVNAAINDMATYAEANAQLVAAPKKKVYVQAVLSAYL >EOX92701 pep chromosome:Theobroma_cacao_20110822:1:8176621:8177435:-1 gene:TCM_001605 transcript:EOX92701 gene_biotype:protein_coding transcript_biotype:protein_coding description:TGACG-sequence-specific DNA-binding protein TGA-2.1, putative MASAVRSPAPERETFQNFFECWLVEQNQHLQELIAASQQHHSTADDDSLCSLIKRVLENYEHYYKAKARWGKEDVLAMLSPAWTSTFKDAFLWIGGWRPIMAFHLLYFKSGLQLEDQLNELIRGMGRGDLGDLSPCQLSRIDELQAKTIKEEKEVTEKMAKHQETVADSSMLELNHLVSGNDEERGWGRCGSGEGESAMECKEEGLKEMLQRADDLRLRTLKAVIDILTPIQAVHFLIAAAPKDS >EOX93959 pep chromosome:Theobroma_cacao_20110822:1:17847528:17849464:-1 gene:TCM_002978 transcript:EOX93959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSGLASASTPTSTFPSIKIQRKLYINPCNSVLIPGPRLCSSDLNLTSFSFGGARVPQRSSIICRSSTGPGAPGSGDNESRNVLDAFFLGKALAEALNERIESTIGEFLGAVGRLQAEQQKQVQDFQVNLTGKVVGRGVGKGQKSQRESS >EOX93958 pep chromosome:Theobroma_cacao_20110822:1:17847287:17849482:-1 gene:TCM_002978 transcript:EOX93958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSGLASASTPTSTFPSIKIQRKLYINPCNSVLIPGPRLCSSDLNLTSFSFGGARVPQRSSIICRSSTGPGAPGSGDNESRNVLDAFFLGKALAEALNERIESTIGEFLGAVGRLQAEQQKQVQDFQEEVLERAKRAKEKAAREAMEAQGLIPKSTAVNATSVTNGAASNASPPTAKDRISGKSSSYNPTTPGASTNPDNTRS >EOX92596 pep chromosome:Theobroma_cacao_20110822:1:7668451:7676652:-1 gene:TCM_001528 transcript:EOX92596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 14, putative MKTRNNHILGWKQIYIALIVLILMAILLRHSRKGSSESTMSSSQDMFTESSLSGADQLPESSPSGADQFTESSSSAENHFTDPSQSAQDALLVAPNNVSVSMNPEGTFEETNSHATMTEETENKEQNFDPPPRNSTMSHPSGNDKSDLENTYSSKTQVCNYAQGRWVSDSQRPFYSGLGCKRWLPSPWACRLTQRADFSYEGYRWQPINCEMPEFERFAFLRKMQDKTIAFVGDSLGRQQFHSLMCMATGGEESPEVKDVATEYGLVKRSGAIRPDGWAYRFPTTNTTILFYWSSTLCRLERINNTDPDSSFALHLDRVPTFLRKFLHRFDVLVLNTAHHWIKAKFIANRWVMHLNGKPIKYGMLMDMMNVKNFTVHRMVKWLDSQLPLHPGLKAFFRTRSPRHFDNKGNCNNTTPLTGGSEVIQEGSSDKIVETAVKGTRVNILDITALSALRDEAHKSQYRIFGTSAYYDCLHWCLPGIPDTWNELLAAQL >EOX93933 pep chromosome:Theobroma_cacao_20110822:1:17534279:17536515:-1 gene:TCM_002933 transcript:EOX93933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 family protein MVCGSGVCAKKVVVDARHHMLGRLASIVAKELLNGQKVVVVRCEEICMSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKILWRTVRGMIPHKTKRGAAALARLKAYEGIPSPYDKIKRMVIPDALKVLRLQKGHKYCLLGRLSSEVGWNHYDTIRELEKKRKERAQVAYERKKQLNKLRVKAEKAAEEKLGSQLDILAPVKY >EOX95888 pep chromosome:Theobroma_cacao_20110822:1:35905524:35908240:-1 gene:TCM_005281 transcript:EOX95888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase, putative isoform 2 MCTYFLFSVTFLTLQVTRIATILILVAISGLRGAEARKGRTLESFEYTAISCRGHTASITDFGGVGDGKTSNTKAFQDAVNHLSQYASDGGAQLYVPAGQWLTGSFSLTSHFTLYLHKDAVLLASQDMSEWPVLKPLPSYGRGRDAAAGRFTSLIFGTNLTDVIVTGANGTIDGQGAFWWQNFHKGKLKYTRPYLIEFMYSDTIQISNLTLLNAPSWNVHPVYSSNILVQGITIIAPITSPNTDGINPDSCTNVRIEDSYIVSGDDCIAVKSGWDEYGISFGMPTKQLVIRRLTCISPYSAAIALGSEMSGGIQDVRAEDITAVHTESGVRIKTARGRGGFVKDIYVKRMTLHTMKWVFWMTGNYKAHADNHYDPNALPVIQGINYRDVVADNASMAARLEGIEGDPFTQICISNVTIGLAAKAKKVPWTCTDIEGITSGVSPRPCGLLPDQGPGKITACDFPPEPLSIDRVVLKSCTYRVNYM >EOX95889 pep chromosome:Theobroma_cacao_20110822:1:35905440:35908418:-1 gene:TCM_005281 transcript:EOX95889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase, putative isoform 2 MELLGMSPMRIQVTRIATILILVAISGLRGAEARKGRTLESFEYTAISCRGHTASITDFGGVGDGKTSNTKAFQDAVNHLSQYASDGGAQLYVPAGQWLTGSFSLTSHFTLYLHKDAVLLASQDMSEWPVLKPLPSYGRGRDAAAGRFTSLIFGTNLTDVIVTGANGTIDGQGAFWWQNFHKGKLKYTRPYLIEFMYSDTIQISNLTLLNAPSWNVHPVYSSNILVQGITIIAPITSPNTDGINPDSCTNVRIEDSYIVSGDDCIAVKSGWDEYGISFGMPTKQLVIRRLTCISPYSAAIALGSEMSGGIQDVRAEDITAVHTESGVRIKTARGRGGFVKDIYVKRMTLHTMKWVFWMTGNYKAHADNHYDPNALPVIQGINYRDVVADNASMAARLEGIEGDPFTQICISNVTIGLAAKAKKVPWTCTDIEGITSGVSPRPCGLLPDQGPGKITACDFPPEPLSIDRVVLKSCTYRVNYM >EOX94821 pep chromosome:Theobroma_cacao_20110822:1:31586187:31589024:-1 gene:TCM_004435 transcript:EOX94821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MVKLGIPTLFLFTIFLVALPKTLSQLPRSIPPPRPLCATQFALVNYACARVSFFPLPPRTPPPPPPSPPSPEGQKHEHRHGHRHRHRHVPHETPDLHNCCRWLKEVDHECVCEVLVHLPVFLSRPNHDYTVIVDETCSHTFTCGGRIIRP >EOX91548 pep chromosome:Theobroma_cacao_20110822:1:3042505:3044937:-1 gene:TCM_000693 transcript:EOX91548 gene_biotype:protein_coding transcript_biotype:protein_coding description:End binding protein 1C isoform 1 MATNIGMMDSAYFVGRSEILAWINSTLHLNLSKVEEACSGAVHCQLMDAVHPGMVPMHKVNFDAKNEYEMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKRYCDSVNGGGLHNYNALERREASKGGKEAGKKSAPSHSSTKGSTAAPKPPSSHARRNSNDVPSSVNTTNQSAKTSSKSSTSVYDEQITELKLSVDSLEKERDFYFAKLRDIEILCQTPEIEDSPMVMHQW >EOX91547 pep chromosome:Theobroma_cacao_20110822:1:3042273:3044945:-1 gene:TCM_000693 transcript:EOX91547 gene_biotype:protein_coding transcript_biotype:protein_coding description:End binding protein 1C isoform 1 MATNIGMMDSAYFVGRSEILAWINSTLHLNLSKVEEACSGAVHCQLMDAVHPGMVPMHKVNFDAKNEYEMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKRYCDSVNGGGLHNYNALERREASKGGKEAGKKSAPSHSSTKGSTAAPKPPSSHARRNSNDVPSSVNTTNQSAKTSSKSSTSVYDEQITELKLSVDSLEKERDFYFAKLRDIEILCQTPEIEDSPIIAAIKRILYATDGDASVVTEAQAMVSLQPKEAEALSPIAEVSEEKSISETQKRKNILNIDVDAAGITTLSPRQRLSDASDVHCSGSPLMTY >EOX92756 pep chromosome:Theobroma_cacao_20110822:1:8459141:8465692:1 gene:TCM_001640 transcript:EOX92756 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein MHAPVLVLKDSLKRESGTKVHHANIQASKAVADIIRTTLGPRSMLKMLLDAAGGIVVTNDGNAILRELDVAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIDKSYHPTVICRAYNKALEDAIAVLDKIAMPIDVKDRSTMLGLVKSCIGTKFTSQFGDLIADLAIDATTTVGVDIGQGLREVDIKKYIKVEKVPGGQLEDSKVLKGVMINKDVVAPGKMKRKIVNPRIILLDCPLEYKKGENQTNAELVREEDWEVLLKMEEEYIENLCMQILKFKPDLVITEKGLSDLACHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSATLKQKSSSVEGIEKWPYEAAAIAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWTGIDGNTGAIADMKERKIWDAYNVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASQAQKPKIETEGDADGEQILPD >EOX93383 pep chromosome:Theobroma_cacao_20110822:1:12575198:12576725:-1 gene:TCM_002240 transcript:EOX93383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLEPTSIGCRIVAATRRSHPIAHCCIASAFHSILTLSNHDPNVGTHLQYSYTADSRRPNATVFFLTLASRPR >EOX96697 pep chromosome:Theobroma_cacao_20110822:1:38692071:38695927:-1 gene:TCM_005889 transcript:EOX96697 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MNGNRQMEVHYIDTGFPYTATESFMDFFEGLTHVPVNYTHTVPMQDQENIYWSMSMNAYKFGFSGPESTFYSPYEVSDHLPRMDVSRRTWDYPSTLNSEEPATIDMQPGGEAVVGIHAIPEECITNHQSNSNSQVVWQDNIDPDNMTYEELLDLGETIGSQSRGLSQELIDLLPTSKCKFGSFFSTKRERCVICQMRYKRGEQQMKLPCKHVYHSQCITKWLSINKICPVCNNEVFGEESRH >EOX96698 pep chromosome:Theobroma_cacao_20110822:1:38692057:38696913:-1 gene:TCM_005889 transcript:EOX96698 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MNGNRQMEVHYIDTGFPYTATESFMDFFEGLTHVPVNYTHTVPMQDQENIYWSMSMNAYKFGFSGPESTFYSPYEVSDHLPRMDVSRRTWDYPSTLNSEEPATIDMQPGGEAVVGIHAIPEECITNHQSNSNSQVVWQDNIDPDNMTYEELLDLGETIGSQSRGLSQELIDLLPTSKCKFGSFFSTKRERCVICQMRYKRGEQQMKLPCKHVYHSQCITKWLSINKICPVCNNEVFGEESRH >EOX95075 pep chromosome:Theobroma_cacao_20110822:1:32816516:32824881:1 gene:TCM_004652 transcript:EOX95075 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase, putative MASPSSPTASCSSSYSSSFPSSKFSSLPVMALKERIVEKILENRVTLIVGETGCGKSSQVPQFLLEKNVAPVLCSQPRRFAVVAVAKMVAKARNSELGDEVGYHIGHSKLLSSRSKIVFKTAGVLLDELRDKGFHALKYKVIILDEVHERSIESDLVLVCVKQFLLKNKNLRVVLMSATADIGRYRDYFKDLGRGERVEVLGIPSSNRKDIFQRQVSYLEQVTELLGISSELISSRYCSGPCPSMADAEIKPEVHKLIHQLVLYIHENEPDIEKSILVFLPTYYALEQQWYLLQPFSSSFKVHILHRSVDTEQALMAMKIWKSHRKVILATNIAESSVTIPKVAFVIDSCRSLEVFWDTARRKDSTQLVWVSNSQAEQRRGRTGRTCDGHVYRLVTQSFFSKLEDYERPAILKLSLRQQVLQICCAESRVINDPKALLQKALDPPDPEVVEDALNLLAHVKAVEKKSPRGRYEPTFYGRLLASFSLSFDASVFVVKFGKVGMLREGILLGILMDTQPLPILHPFGGEHLFTEHINCYFCEDSDNIVLTGRKEVVLLGNLCAFQFWQRVFKDKHRLEHLKQLLKFDEMKAATLLLPKLEEEWCSFHHLVQSSLHHVSEMYEDIQNSVHCFRPNFLAASDGIPTYYSPYEFGHTCLLQCQPQGETDALSSSDEQLEQSFETRKCVAVPFVASDHFHTNDVAKNLANAIKEIRVQYAGDISGNHQAIIGDYDSHVNGGTPLCVYFVNGHCNRGSLCGFSHSLQAKKPACKFFFSLQGCRNGHLCFFSHDSYQSVSSYSSDVCLPEDEHADASSLLRLLPTSSNGCILLLDDTNMHFTSNLANHCDPSRIISTTSLTETYITDPSLTGVRILWGLHHPYQTIISYTGENPIPWNEVKLVLWFPYLDGYAEDLDTQKILVQNFFEYLAIRVLSDALFEVKVILAMNNIKFSQLQVEKLARESFFFLTESFPFDQTSFGELLDTVTLNKPMLASRSISYVFDLHPPSDIQFGDYASVLHKHLHDRKTS >EOX94757 pep chromosome:Theobroma_cacao_20110822:1:31210542:31216593:-1 gene:TCM_004365 transcript:EOX94757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNQGNVIYDHDRLIHEEMNYNLSELQQLHLNLITTLNIEQKQIYQCIKQSVDNDEGQMIFVYGHGGIGKTYLWNTIISSIRSVGKIVLVVASSGIASLLLPRGRTAHSRFKIALDINEYFTCQIKKGTQLARLVQACSLIVWDETPMVHRHCFEALDRTLKDILNQDSNEAIDKPFGGKTLLLGGDFRQILPVIESGHKTDIINATINRSPLWSKCKVFKLKTNMRLLKPNLSEESRKEIETFAQWLLDVGDGNLHSTSMVINDDESDYIPLPNDLLVLIIHNPINDIIFSVYNDFDKFHADPEYLRQRAIVTPYNETTNSINAYALDILPGYTKTYFSHDSISQTSDQVSNHELLYPTEFLNSLKFLGLPDHALHLKIGAL >EOX91114 pep chromosome:Theobroma_cacao_20110822:1:1634689:1641047:-1 gene:TCM_000401 transcript:EOX91114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase 1-1 isoform 2 MWRCVSRSLRVPYSKRSVSYDSLRSHISKFLDNESVRSPYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHSLYGQAMKHNTQFFVEYFALDLLMNSDGSCQGVIALNMEDGTLHRFRAVSTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNHHGEVVTVRGSNPDSVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIHRPGEKLKPLEKDASEKTIAWLDKIRNSNGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCQLIDEAWESFHDVKLKDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDENWMKHTLGYWENEKVRLDYRPVHMNTLDDEIESFPPKARVY >EOX91115 pep chromosome:Theobroma_cacao_20110822:1:1635074:1640860:-1 gene:TCM_000401 transcript:EOX91115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase 1-1 isoform 2 MWRCVSRSLRVPYSKRSVSYDSLRSHISKFLDNESVRSPYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHSLYGQAMKHNTQFFVEYFALDLLMNSDGSCQGVIALNMEDGTLHRFRAVSTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNHHGEVVTVRGSNPDSVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIHRPGMLYFIFCR >EOX91422 pep chromosome:Theobroma_cacao_20110822:1:2672840:2675577:1 gene:TCM_000622 transcript:EOX91422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein, putative isoform 1 MKKGSVSLSHLATFPSPGASDYRDNGVVVAQKGWSSERVPHPANSTGCSRRHISASSLTPFYSGRTLPSKWEDAERWICSPVLGYGVSKNANYQLQRRPKSKSGPIVPPGIAFYSNYSPSMQLLDGGGSGSVRNLMAGSPFSTGVLMADGVSVHYGGCRGSVAGGDGDGEQSCMVQNDSNVARSAIIPGWSDLVSESSLPSSQDEKLDEIKDAETMISRVVSRRDMATQMSPEGSSSHSSPRERSSFCHSPPPILPLPAMENSDHPSKLDIREVQIDKRATMTNWPKRHGSRRIKKGVPDFEDFYQNNTAGSALSLDVSEAATSISKLHREEAKINAWENLQRAKAEAAIRKLEMKLEKKRSASMDKILSKLRMAQMKAQEMRSSMPAKENEQIPKTSQKVSFFNIRMSSFRSCFTCHAY >EOX91421 pep chromosome:Theobroma_cacao_20110822:1:2669511:2676782:1 gene:TCM_000622 transcript:EOX91421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein, putative isoform 1 MKKGSVSLSHLATFPSPGASDYRDNGVVVAQKGWSSERVPHPANSTGCSRRHISASSLTPFYSGRTLPSKWEDAERWICSPVLGYGVSKNANYQLQRRPKSKSGPIVPPGIAFYSNYSPSMQLLDGGGSGSVRNLMAGSPFSTGVLMADGVSVHYGGCRGSVAGGDGDGEQSCMVQNDSNVARSAIIPGWSDLVSESSLPSSQDEKLDEIKDAETMISRVVSRRDMATQMSPEGSSSHSSPRERSSFCHSPPPILPLPAMENSDHPSKLDIREVQIDKRATMTNWPKRHGSRRIKKGVPDFEDFYQNNTAGSALSLDVSEAATSISKLHREEAKINAWENLQRAKAEAAIRKLEMKLEKKRSASMDKILSKLRMAQMKAQEMRSSMPAKENEQIPKTSQKVQENADFKLLFLADGIFYTQKYLSGNIVRDSFKSPLPRH >EOX91420 pep chromosome:Theobroma_cacao_20110822:1:2669511:2675802:1 gene:TCM_000622 transcript:EOX91420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein, putative isoform 1 MKKGSVSLSHLATFPSPGASDYRDNGVVVAQKGWSSERVPHPANSTGCSRRHISASSLTPFYSGRTLPSKWEDAERWICSPVLGYGVSKNANYQLQRRPKSKSGPIVPPGIAFYSNYSPSMQLLDGGGSGSVRNLMAGSPFSTGVLMADGVSVHYGGCRGSVAGGDGDGEQSCMVQNDSNVARSAIIPGWSDLVSESSLPSSQDEKLDEIKDAETMISRVVSRRDMATQMSPEGSSSHSSPRERSSFCHSPPPILPLPAMENSDHPSKLDIREVQIDKRATMTNWPKRHGSRRIKKGVPDFEDFYQNNTAGSALSLDVSEAATSISKLHREEAKINAWENLQRAKAEAAIRKLEMKLEKKRSASMDKILSKLRMAQMKAQEMRSSMPAKENEQIPKTSQKVSFFNIRMSSFRSCFTCHAY >EOX93932 pep chromosome:Theobroma_cacao_20110822:1:17513456:17517972:1 gene:TCM_002930 transcript:EOX93932 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein MLKQITSGKLRFLAQKCLEVFQGKLHQGVPSVEKPRDIPSAEVPEGVPSAKAPKGVLSAEAPRGVPSTEAPRGVLSVETPRGVSSAEAPMGVPSIEAPKKRYKHKNTQEQAWNNYCNTSFLLTKRILYILATPLGKSNCKLMKMLQASQERMQVLEENNKWIVKTINQFASSTTITSQPPPVLAKNVANVVNNNENGGNGENSTNPLLNTTHPSIVGNPIMVTPATSAQSFVTKEELEKLLDQKNKSLNFSKFDLKLPYSAKVAAKPYLTDCTSPKFKQFNGKIGDAREYVMKFVETLRVAGLDDNLKLEEFSKTLTNKAYTWEKRGSRENLRPRSDNFKRRQDEEIAAPLPFLATLDKVMMNFKMRLIQDQTCFYVIETNAAYHLLIGRKFLHTHYIILSSCHQCIKGYWKGKEIFILVTNAPFERHEVSYTEAYFFEELAKEGETVIARPISVPFP >EOX92890 pep chromosome:Theobroma_cacao_20110822:1:9159447:9161751:1 gene:TCM_001743 transcript:EOX92890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L5P family protein isoform 2 MASEQKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIGS >EOX92891 pep chromosome:Theobroma_cacao_20110822:1:9159401:9161756:1 gene:TCM_001743 transcript:EOX92891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L5P family protein isoform 2 MREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIGS >EOX92032 pep chromosome:Theobroma_cacao_20110822:1:4989767:4992303:1 gene:TCM_001053 transcript:EOX92032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S6e isoform 1 MKSSTLQIQPLVARRSLKSTMTRNSEPFLTRGFHRKLVGMPWVREFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFNTKSGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRVAKAKADAAEYQKLLAQRLKEQRERRSESLAKKRSRLSAASKPSVAA >EOX92031 pep chromosome:Theobroma_cacao_20110822:1:4989763:4992303:1 gene:TCM_001053 transcript:EOX92031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S6e isoform 1 MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFNTKSGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRVAKAKADAAEYQKLLAQRLKEQRERRSESLAKKRSRLSAASKPSVAA >EOX92030 pep chromosome:Theobroma_cacao_20110822:1:4989767:4992412:1 gene:TCM_001053 transcript:EOX92030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S6e isoform 1 MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFNTKSGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRVAKAKADAAEYQKLLAQRLKEQRERRSESLAKKRSRLSAASKPSVAA >EOX95010 pep chromosome:Theobroma_cacao_20110822:1:32449642:32451235:1 gene:TCM_004593 transcript:EOX95010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase 7 isoform 1 MAVIKAHGSLLSTATQRVLLCLYEKEVEFQFVPVNMGAGEHKSENYLSLNPFGQVPAFEEGNLKLFESRAITEYIAHEYTDKGTQLLLPGSNKSMAVLSLWKEVEAHQFDPASSKLAWELFIKPILGMATDSAVVEEYEPKLAKVLDVYETRLSQSKYLACDYFTLADLHHLPNIQCLLGTPAKRLFDSRPHILGRYLTLLAVNE >EOX95009 pep chromosome:Theobroma_cacao_20110822:1:32448264:32451480:1 gene:TCM_004593 transcript:EOX95009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase 7 isoform 1 MSRPFQTFARNDGKVPRPTFIYIHVTNASSAKTHKHFLSLAVPGSVSVVGRTTMAVIKAHGSLLSTATQRVLLCLYEKEVEFQFVPVNMGAGEHKSENYLSLNPFGQVPAFEEGNLKLFESRAITEYIAHEYTDKGTQLLLPGSNKSMAVLSLWKEVEAHQFDPASSKLAWELFIKPILGMATDSAVVEEYEPKLAKVLDVYETRLSQSKYLACDYFTLADLHHLPNIQCLLGTPAKRLFDSRPHVSAWVADIIARPAWCKVLAMQKQ >EOX93848 pep chromosome:Theobroma_cacao_20110822:1:16702457:16733032:1 gene:TCM_002821 transcript:EOX93848 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0737 protein AFP3, putative MENNCGIIGLREDEIELELGLSIGGSFGKAEKLKPIKKESKPRNNSVADLGESVVLDPQTKREIQALRRQEAKKKREEKQQKRRTIISSRGHQNAEFRCKDSDVDATEERECKKNKVEEFSGNVNLNLSAEQNNPLVCPVIPVRVPYPCSYPQVHYVPIVNGFAYPCVNAMPCWDGGAGNDKGLVQPMPGNSGVLPIQAGKESGVNDGNGYDSEQNSSRDERNRKRRSNGSPMCSSSVVSDLQSSSNQGGCSSETGTHTSCCQLEQPQMNCSVASNLKGQSEQSATSHQMDSAQSTDKSTNGIDKTASWKVTGSTPSNPKEEPKPETQPNPKSKPVSTNETPTTTSTKDTRGDMGKTPKPLTPNHDGILSLRNMPCVSTTGNGPNGKTINGFLYRYTKSEVSIICVCHGSSFTPAEFVQHAGGSDVSHPLRHITVIPSAY >EOX93704 pep chromosome:Theobroma_cacao_20110822:1:15168589:15171830:1 gene:TCM_002619 transcript:EOX93704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 3 MAIQGKPPSLFCHQFQCSLYSPTASKSLLFGGSFQSRAQHLCRKPLRLSCSRSNANEPQDDYLIDAPVSAGDGFSFSGGKYSDEANPSDEWFKRGKYVKAHPVGGTGEKAKDPIFGLTMGAGSQASGDVFRWFCVESGNADNHTVILIHGFPSQAYSYRKVLPVLSKNYRAIAFDWLGFGFSDKPQPRYGFDYSLGEYVSALESFVNEVAPNKVSLVVQGYFSPVVVKYASKHQEKLNDLILLNPPLTAKHANLPSTLSIFSNFLLGEIFSQDPLRASDKALTSCGPYAMKEDDAMVYRRPYLTSGSSGFALNAISRAMKKELKVDLSFIILIVYFVQVAPNSFDFESVFTLSRESSTIVNSIVLIH >EOX93703 pep chromosome:Theobroma_cacao_20110822:1:15168514:15173209:1 gene:TCM_002619 transcript:EOX93703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 3 MAIQGKPPSLFCHQFQCSLYSPTASKSLLFGGSFQSRAQHLCRKPLRLSCSRSNANEPQDDYLIDAPVSAGDGFSFSGGKYSDEANPSDEWFKRGKYVKAHPVGGTGEKAKDPIFGLTMGAGSQASGDVFRWFCVESGNADNHTVILIHGFPSQAYSYRKVLPVLSKNYRAIAFDWLGFGFSDKPQPRYGFDYSLGEYVSALESFVNEVAPNKVSLVVQGYFSPVVVKYASKHQEKLNDLILLNPPLTAKHANLPSTLSIFSNFLLGEIFSQDPLRASDKALTSCGPYAMKEDDAMVYRRPYLTSGSSGFALNAISRAMKKELKAYVEEMKVILMDKSWKVQTTVCWGQRDRWLSYDQVEDLCESSNHKLIELPMAGHHVQEDCGEEIGGIISGVISRRILR >EOX93705 pep chromosome:Theobroma_cacao_20110822:1:15168514:15173209:1 gene:TCM_002619 transcript:EOX93705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 3 MAIQGKPPSLFCHQFQCSLYSPTASKSLLFGGSFQSRAQHLCRKPLRLSCSRSNANEPQDDYLIDAPVSAGDGFSFSGGKYSDEANPSDEWFKRGKYVKAHPVGGTGEKAKDPIFGLTMGAGSQASGDVFRWFCVESGNADNHTVILIHGFPSQAYSYRKVLPVLSKNYRAIAFDWLGFGFSDKPQPRYGFDYSLGEYVSALESFVNEVAPNKVSLVVQGYFSPVVVKYASKHQEKLNDLILLNPPLTAKHANLPSTLSIFSNFLLGEIFSQAYVEEMKVILMDKSWKVQTTVCWGQRDRWLSYDQVEDLCESSNHKLIELPMAGHHVQEDCGEEIGGIISGVISRRILR >EOX95084 pep chromosome:Theobroma_cacao_20110822:1:32837860:32838537:1 gene:TCM_004656 transcript:EOX95084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIMWIVGGPSILPSIDRKHNFPLKNKKDFLVNFQRCRNQRKLKNSQNRNQGKRPTTDATGSGHQAGDGKTMLGDPFLDIVSK >EOX96073 pep chromosome:Theobroma_cacao_20110822:1:36508933:36514604:-1 gene:TCM_005414 transcript:EOX96073 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 1 MEFTHMVINEIVRAGNLVPILTRKLPKDVEIKGYTIPAGWYLVVDQTVPHFDPNSFDEPFALNPWRWEFFSFIMDGEDDASNPMMEEMYANGIGGVDETPSSSSSTTTRKNRPIISGEQLDIEAYAGLYTGRTKIMRLIFIADHCDNPGMQLEALRMAYEEIKKGENTQLFREAVQKIDGRLGPNYMMDASWCTMIDKKAEQRKEKLENELNAYRTNLIKESIRMGYNDFGDFYYAHGALGDAFKSYVRTRDYCTTSKHIIQMCLSAIHVSIEMGQFTHVTSYVSKAEQTPEALDPPTVAKLRCAAGLAHLEAKKYKLAARKFLEVGPELGNSYSDVIAPQDVATYGGLCALASFDRTELKASILPLFPSLLLNLPNKVIDNINFRNFLELVPEVRELINDFYSSHYASCLEYLGNLRSNLLLDIHLHDHVGTLYDQIRNKALIQYTHPFVSVDMRMMADAFKTSVAGLEKELEALITDNQIQARIDSHNKILYARHADQRNATFQRVLQTGNEFDKDVRAMLLRANLLKHDYNVRASRKL >EOX94592 pep chromosome:Theobroma_cacao_20110822:1:30359261:30363808:1 gene:TCM_004222 transcript:EOX94592 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein, putative isoform 1 MNTVFSVDDFSDPFWATPALEAAAGMSRSQSEWALQKFLEEFSGAGGATPGSRAGENVIGPCLAAPQPSVSKAEEGDGDSDVVEIKRSNNQNHHPPPSDPTPTVPIDSDEYREILKNKLDQACAAVALSRASAVKAEDSSAQAEDRALQSGSQVQGSSKAQGQGEPDDAPCGTQAVLTTQRKSGVQARQTTSGSSREDSDDDELEGDTETTENMDPADAKRARRMRSNRESARRSRRRKQAHMNDLEAQVGQLRVEHSTLLKRLTDMNHKYDEAAVDNRILKADIETLRAKVKMAEETVKRVTGINPVLLSRPNVPSVGMPFVSSPLEASTAAPVPLQPNTNQFFQQPVPSIAAPMHHQGVDNSFRGNTPVPPIVNPQTEVGVNNVNETSALQHTPSLERVQDQIAHSGMQKQIGPGVSPCGSMPGWEPGLAHAAAKNWEPGLAHAAAKNKKQS >EOX94593 pep chromosome:Theobroma_cacao_20110822:1:30359341:30363901:1 gene:TCM_004222 transcript:EOX94593 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein, putative isoform 1 MNTVFSVDDFSDPFWATPALEAAAGMSRSQSEWALQKFLEEFSGAGGATPGSRAGENVIGPCLAAPQPSVSKAEEGDGDSDVVEIKRSNNQNHHPPPSDPTPTVPIDSDEYREILKNKLDQACAAVALSRASAVKAEDSSAQAEDRALQSGSQVQGSSKAQGQGEPDDAPCGTQAVLTTQRKSGVQARQTTSGSSREDSDDDELEGDTETTENMDPADAKRARRMRSNRESARRSRRRKQAHMNDLEAQVVGQLRVEHSTLLKRLTDMNHKYDEAAVDNRILKADIETLRAKVKMAEETVKRVTGINPVLLSRPNVPSVGMPFVSSPLEASTAAPVPLQPNTNQFFQQPVPSIAAPMHHQGVDNSFRGNTPVPPIVNPQTEVGVNNVNETSALQHTPSLERVQDQIAHSGMQKQIGPGVSPCGSMPGWEPGLAHAAAKNWEPGLAHAAAKNKKQS >EOX95569 pep chromosome:Theobroma_cacao_20110822:1:34672598:34677640:-1 gene:TCM_005025 transcript:EOX95569 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MVGRARGRGRGNQPQQAELAEMRRMIDDLTRAVQALQRQEPVEARMENPEGDHNPLEIHDLEDDDEFENENPFHEDGLANQAARVGLEGRLLHAIDLNSGGIRIEQNNMTVEEYTSEFNNLSIRVGLAESNEQITSRYLAGLNHSIRDEMGVVRLYNIEDARQYALSAEKRVLRYGARKPLYGTHWQNNSEARRGYPTSQQNYQGAATINKTNRGATNVEKNDKGKSIMPYGGQNSSGSSTNKRGSNSHIRCFTCGEKGHTSFACPQRKVNLAELGEELEPVYDEYKEEVEEIDVYPAQGESLVVRRIMTTTVNEEAEDWKRRSIFRTRVVCEGKVCDLVIDGGSMENIISKEAVNKLKLPTNKHPYPYKIGWLKKGHEVPVTTQCLVKFTMGDNSDDEALCDVVPMDVGHILVGRPWLYDHDMVHKTKPNTYSFYKNNKRYTLYPLREETKKSANHKISKITRYLSAENFEAEGSEMGIMYALVTKHLKSDQMSKSPQYPTEIQQLLKEFGELFNEDLPKSLPPLRSIQHAIDLVPGAALPNLPAYRMPPMQRAEVQRQVEELFEKGLVRESKSPCACPALLAPKKDGSWRMCVDSRAINKITIKYRFPIPRLDEMLDQLVGSRVFSKIDLKSGYHQIRMRDGDEWKTAFKTPDGLFEWLVMPFGLSNAPSTFMRVMAEVLKPFLNSFVVVYFDDILIYSHTKEKHLKHLRQVLEVLQKEQLYINLKKCSFMQPEVVFLGFIVSAEGLKPDPEKIRAISEWPAPTSIKEVRSFHGLASFYRRFIRNFSSIMSPITESLKKDGFEWSHSAQKAFERVKALMTEAPVLALPDFEKLFVVECDASYVGIGAVLSQDGRPIEFFSEKLTDSRRRYSTYDLEFYALVRAIRHWQHYLAYREFAVYSDHQALRYLHSQKKLSNQHAKWSSFLNEFNFSLKYKSGQSNTVADALSRRCKMLSVMSTQVTGFEELKNQYSSDSYFSKIIADLQGSLQAENLPYRLHEDYLFKGNQLCIPEGSLREQIIRELHGNGLGGHFGRDKTLVMVADRYYWPKMRRDVERLVKRCPACLFGKGSAQNTGLYVPLPEPDAPWIHLSMDFVLGLPKTTKGFDSIFVVVDRFSKMAHFIPCFRTSDATHIAELFFREIVILHGIPTSIVSDRHVKFMGYFWRTLWRKFGTELKYSSTCHPQTDGQTEVVNRSLGNMLRCLIQNNPKTWDLVIPQAEFAYNNSVNRSIKKTPFEAAYGLKPQHVLDLVPLPQEARVSNEGELFADQIRKIHEEVKAALKASNAEYSFTANQHRRKQEFEEGDQVLVHLRQERFPKGTYHKLKSRKFGPCKVLKKISSNAYLIELPPELQINPIFNILDLYPFDGCDGTASTIDAQIQHLPIAKVEVIEDVLNVKEVRSRRGNPHRRFLVKWLGKPANESTWIAEEELKRVDPDIYEEYVKAYSSESSLF >EOX95636 pep chromosome:Theobroma_cacao_20110822:1:35016071:35018067:1 gene:TCM_005093 transcript:EOX95636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MNQLKQSLAYTLKNGMEQNQTQLLIIQILQTPNIPYAHKLFNLIPQKTVFLYNKLIQAYSSINQSHRCLTLYSQMCLNNCSPNEHSFIFLFPACASLPSLLHGQILHTQFLKSGFGLDCYALTALLVMYAKLRMLPLARKVFDEMRVRNLPTWNALISGYSMCGDMKEALELFKSMPEKNVVSWTTMISGYSQNGQYSKALDMFLRMEKETGVKPNRVTIASVLPACANLGALEVGERIETYARENGLFEDLYVSNTVLEMYARCGKIEVAKLVFDEIGKRRNLCVWNSMIMGLALHGKCIEAFEYYDQMLQEGTAPDDVTFVGVLLACTHGRLVVKGRELFESMGKKYHISPKLEHYGCMVDLLGRSGALQEAYDLIKSMPMKPDAVVWGALLGACSFHNNVELAEKAAQPLFQLEPWNAGNYVILSNIYASWGWWDGVAKLRKLMKGGQITKAAGYSFIEEGGRMHKFIVEDKSHPRCDEIYQILDQVSRVMKLQDKLMDSESELEKFILEQI >EOX92278 pep chromosome:Theobroma_cacao_20110822:1:6044753:6065610:1 gene:TCM_001248 transcript:EOX92278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MRNHYLLKLHLQHIQKSTNKQAFNFSTLVSALLPPKDLCFSPIKPRLKRRQLANLLQKLPSQDNPILYYKRIHAQIIVSGLESDSFLTNILLNLYSKCNNLRHPSKLFDRMPEKNLVSWSSMVSMYTQHGYDEKALILFLGFRRSCEESPNEYILASVIRACIQLRDGGEMGVQIHGFVFKSGFDQDVYVGTSLVDFYTKSGFIYEARLVFDGLNGKNAVTWTTMITGYVKSGKGDVALQLFNQIRETDVVADRYVLSSVLSACSVLDFVEGGKQVHCHVLRRGAEMDVSVINVLIDFYSKCGKVKAARRLFDEMVVRNVISWTTMIAGYMQNSFDREAMKLFSEMSRLGWKPDGFACTSVLTSCGSLEALDQGRQVHAYTIKANLESDDFVTNGLIDMYAKCYSLNDARRALDIMGDQNVVSYNAMIEGYSSQEKLSEALDLFHNMRLRSFSPSLLTFVSLLGASAALCTIELSRQIHTLIIKFGVSLDIFVGSSLIDVYSKCSYVRDARYIFEEMNEKDIVVWNALFFGYTQQLENEEALKLFCKLQLSRQKPNEFTFAALMTASSNLASLQNGQQFHTQLIKHAMDSDPFVTNAIIDMYAKCGSFEDACKTFNSAIWRDVVCWNSMISTYAHHGEAEGALETFERMLKEGIKPNYVTFVGLLSACVHAGFVELGLHHFESMSTFGVEAGIEHYACVVSLLGHAGKLYEAKALIETMPIKTAAVLWRSLLSACRIAGNVELGKYAAERAISIDPMDSGSYTLLSNIFASKGMWADVKRVRARMDLEGVLKEPGCSWIEVNNETNVFIARDRTHHEANLIYLVLDNLIMHIKGAGYVPDIANTPDK >EOX91165 pep chromosome:Theobroma_cacao_20110822:1:1821569:1825644:-1 gene:TCM_000442 transcript:EOX91165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthocyanidin 3-O-glucosyltransferase 5, putative MQSRKPHAALLASPGMGHLTPVLELGKRLVSHHGFSVTIFVVTTDTSLSQSQLLKPSQTSYHLEVVFFPPVDISSQIDQTTSILTQLAMMMREALPSLRSAISAMKVPPIALIVDMFGTEAFAIAEEFMMLKYVFITSNAWFLALTVHAPTIDKKEEDDHVNKQKPLVIPGCKPICFVDSFEPILKPNNQVYEEYLRMGTEISTADGILVNTFHELEPQTLAALNDKRKLGLVANAPVYPIGPLVRPAEPGVRSEVLSWLDMQPNESVIYVSFGSGGTLTAKQTTELAWGLEKSLQRFVWVLRLPVENDSAATVFKTSNGCADYANYLPDGFLNRTSKTGLVVPMWAPQTEILNHPSIGGFLSHCGWNSSLESIVNGVPIIAWPLYAEQKMNAAMLAEHIGIAVRSKVLPSEDVIERSEIEAMVRKLMVGKEGEAIRARVRMLKSWAEKALSNGGGSYNSLANVAKDCEISLQRRITKAGA >EOX93763 pep chromosome:Theobroma_cacao_20110822:1:15592731:15594946:1 gene:TCM_002680 transcript:EOX93763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAWPTLIKCPPNGGEELMKAKKNVMATKASLESKKSCICSPTSHAGSFRCHLHRATAATQNSSCCSTANSSNKLKGAGGQPVLSRFGRASSLKLKPVPESCLPGQ >EOX95823 pep chromosome:Theobroma_cacao_20110822:1:35676898:35680017:1 gene:TCM_005228 transcript:EOX95823 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIN domain-like family protein MGKAKKAPKFASMKKIVTQKAIKNYKEQVLNPNKKDLSKEKLPRNVPNVSSALFFTYNTSLGPPYRVLVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLPCTHKGTYADDCIVERVTQHKCFIVATCDRDLKRRIRKVPGVPIMYITQHKYSIERLPEATIGGAPRF >EOX92209 pep chromosome:Theobroma_cacao_20110822:1:5735333:5742201:1 gene:TCM_001191 transcript:EOX92209 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNase L inhibitor protein-related isoform 1 MGHNKPRRFKTHHSHRGQSSRTHQFQREDDSLPPDQPPEEDPNVPKIQLAMWDFGQCDAKRCTGRKLARFGMLKDLRVNSGFGGIVLSPVGSQCVSKEDYDLMKRKGLAVVDCSWARLSDVPFVKLRGGAPRLLPWLVAANPVNYGRPCQLSCVEALSAALLICGEEETANLLLGKFKWGHAFLSLNRELLKAYSECENSGDIISVQNSWLSQQSQVPKVLPDAEDVSEDEGSSNDSEDGLPPLERNMNHLSLQESDDESE >EOX92210 pep chromosome:Theobroma_cacao_20110822:1:5735367:5742175:1 gene:TCM_001191 transcript:EOX92210 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNase L inhibitor protein-related isoform 1 MGHNKPRRFKTHHSHRGQSSRTHQFQREDDSLPPDQPPEEDPNVPKIQLAMWDFGQCDAKRCTGRKLARFGMLKDLRVNSGFGGIVLSPVGSQCVSKEDYDLMKRKGLAVVDCSWARLSDVPFVKLRGGAPRLLPWLVAANPVNYGRPCQLSCVEALSAALLICGEEETANLLLGKFKWGHAFLSLNRELLKAYSECENSGDIISVQNSWLSQQSQVPKVLPDAEDVSEDEGSSNDSEDGLPPLERNMNHLSLQESDDESE >EOX91529 pep chromosome:Theobroma_cacao_20110822:1:3002615:3004859:1 gene:TCM_000684 transcript:EOX91529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVAVFNKELLSWYLITLKLRETVESGIPRSSTGTTKSLELPEENDQQLQKQQHVPSGSLQVPINEDGENCEEAASSPEPEWIISIKEKLEQARQDDSAGSWEKLSIYRVPHYLREGDIKAYVPQIVSVGPYHHGKRRLRQMDQHKWRSLHRVLKRTNQELQLYLDSMKELEERARACYEGTITLSSNEFVEMLVLDGCFVLELFQGAAVGFQRLGYARNDPVFAMRGSMHAIHRDMIMLENQLSLFVLDMLLGIQLGEPDQKGKVAKLAIRFFDPLMPTDEPLTKSDMNRFGSSLGHTNIFDPFSDLGGLHCLDVFRRSLLSSGPKPVPRIWLKKRPNDIRVADKRRQQLIHCVSELREAGIKFKKRKTDRFWDIKFKNGILRIPRLLIHDGTKSLFLNLIAFEQCHLDCSNDITSYVIFMDNLINSHEDVGYLHYFGIIEHWLGSDAEVADLFNRLCQEVVFDINNSYLSQLSEDVNRYYNHRWNAWRATLKHRYFNNPWAIISFFAACILLVLTFAQTFYGVYGYYRPRS >EOX93772 pep chromosome:Theobroma_cacao_20110822:1:15645449:15648494:-1 gene:TCM_002690 transcript:EOX93772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPLDKRMHRKGCGRAPFVLLAIAVMFDLEFEQLDLKIAFLFSELDKMINMCQLEGFTRNVVRYLRGTEDLCLVYDWSDCSSSVNGFLDSDYAGELDRRISLT >EOX90587 pep chromosome:Theobroma_cacao_20110822:1:64952:70044:-1 gene:TCM_000015 transcript:EOX90587 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like two-component responsive regulator family protein isoform 3 MGHLPLIVVPAICTEKGSPFGTPDICHKYGMAYASLSMAIGAIYLWSYVYNIVRVSSSKVNKDVNGDGSTRILKSTEGMPALLEEDYSEFLLPSNDCSISLKYTHELTFPCTKPNGTVKVSDFDKTWQYLRMISQKLNLKALFAPSTTGAFIGFTIGVVPQIRNLIIGGNAPLHVVQDSASLLGDAAIPIITLIVGGNLLRGLKGPGICLSLVVGVIAVRYVFLPLLGIVIIKTAVRFGLVHSDPLYQFILLLQYALPPAMNIGTITQLFKAGESECSVIMLWTYGLASISLTLWSTVFMWLVG >EOX90586 pep chromosome:Theobroma_cacao_20110822:1:64952:82866:-1 gene:TCM_000015 transcript:EOX90586 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like two-component responsive regulator family protein isoform 3 MRFLDLFVVASMPVLKVLLLTALGSFLALDRIDVMGEDARKQLNNVVFYVFNPAVVSSNLAKTITFESIVLLWFMPFNILNTFIIGSAMGWVLVKITKAPQHLRGLILSCCAAGNMGHLPLIVVPAICTEKGSPFGTPDICHKYGMAYASLSMAIGAIYLWSYVYNIVRVSSSKVNKDVNGDGSTRILKSTEGMPALLEEDYSEFLLPSNDCSISLKYTHELTFPCTKPNGTVKVSDFDKTWQYLRMISQKLNLKALFAPSTTGAFIGFTIGVVPQIRNLIIGGNAPLHVVQDSASLLGDAAIPIITLIVGGNLLRGLKGPGICLSLVVGVIAVRYVFLPLLGIVIIKTAVRFGLVHSDPLYQFILLLQYALPPAMNIGTITQLFKAGESECSVIMLWTYGLASISLTLWSTVFMWLVG >EOX90588 pep chromosome:Theobroma_cacao_20110822:1:64952:70069:-1 gene:TCM_000015 transcript:EOX90588 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like two-component responsive regulator family protein isoform 3 MTYPAPRTFFGARGNMGHLPLIVVPAICTEKGSPFGTPDICHKYGMAYASLSMAIGAIYLWSYVYNIVRVSSSKVNKDVNGDGSTRILKSTEGMPALLEEDYSEFLLPSNDCSISLKYTHELTFPCTKPNGTVKVSDFDKTWQYLRMISQKLNLKALFAPSTTGAFIGFTIGVVPQIRNLIIGGNAPLHVVQDSASLLGDAAIPIITLIVGGNLLRGLKGPGICLSLVVGVIAVRYVFLPLLGIVIIKTAVRFGLVHSDPLYQFILLLQYALPPAMNIGTITQLFKAGESECSVIMLWTYGLASISLTLWSTVFMWLVG >EOX90936 pep chromosome:Theobroma_cacao_20110822:1:1097739:1100462:1 gene:TCM_000268 transcript:EOX90936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 3F1 isoform 3 MGVILKSANYALSCLDKWVAPKKAELPLVFFPAKGEVLPEPVGVVLIFSSWNFPITLALDPLIGAISAGNAVVLKPSELAPACSSFFIETIPLYLDNKAVKVIGGGADVGERLLELKWDKIFFTGSPQVGRLVMTAAARHLTPVTLELGGKCPAVVDAFSSHSKTKVIAKRIAGGKWGLCSGQACIAVDYLLVEEKFASTLIELLKKNIKRFFGGNLGDLKCVSRIVNKHHFERIYHLLKDPHVASSIVHGGSVDEERLFVCDSLPFGGAGQSGFGRYHGKYSFDTFSHEKAVLHRAFFPELEPRYPPWNDFKLRFIKLAYRFDYFGLILLLLGLKKP >EOX90937 pep chromosome:Theobroma_cacao_20110822:1:1097739:1100462:1 gene:TCM_000268 transcript:EOX90937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 3F1 isoform 3 MGVILKSANYALSCLDKWVAPKKAELPLVFFPAKGEVLPEPVGVVLIFSSWNFPITLALDPLIGAISAGNAVVLKPSELAPACSSFFIETIPLYLDNKAVKVIGGGADVGERLLELKWDKIFFTGSPQVGRLVMTAAARHLTPVTLELGGKCPAVVDAFSSHSKTKVIAKRIAGGKWGLCSGQACIAIELLKKNIKRFFGGNLGDLKCVSRIVNKHHFERIYHLLKDPHVASSIVHGGSVDEERLFVCDSLPFGGAGQSGFGRYHGKYSFDTFSHEKAVLHRAFFPELEPRYPPWNDFKLRFIKLAYRFDYFGLILLLLGLKKP >EOX90935 pep chromosome:Theobroma_cacao_20110822:1:1097263:1100328:1 gene:TCM_000268 transcript:EOX90935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 3F1 isoform 3 MEGSIAGLRETFKSGRTRSVAWRKNQLKAVIDLINENEQTIYKVLHQDLGKDPAESYRDEMGVILKSANYALSCLDKWVAPKKAELPLVFFPAKGEVLPEPVGVVLIFSSWNFPITLALDPLIGAISAGNAVVLKPSELAPACSSFFIETIPLYLDNKAVKVIGGGADVGERLLELKWDKIFFTGSPQVGRLVMTAAARHLTPVTLELGGKCPAVVDAFSSHSKTKVIAKRIAGGKWGLCSGQACIAVDYLLVEEKFASTLIELLKKNIKRFFGGNLGDLKCVSRIVNKHHFERIYHLLKDPHVASSIVHGGSVDEERLVIEPTILLDPPLDSEIMTEEIFGPLLPIITLKNIEESIDFINSRPKPLVIYAFTEDGTFKKRILSETSSGTVTFNDVMVQFVCDSLPFGGAGQSGFGRYHGKYSFDTFSHEKAVLHRAFFPELEPRYPPWNDFKLRFIKLAYRFDYFGLILLLLGLKKP >EOX93898 pep chromosome:Theobroma_cacao_20110822:1:17251592:17253024:-1 gene:TCM_002899 transcript:EOX93898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 49 MASYFVCNTIFSGIMAIADDTNYYNIRKKCEGSLCYDFSNMEIFLNQKSVRDALGVWNINFASYSSTAYQAMLVDWIRNLKVGILALLEDGIKLLV >EOX92372 pep chromosome:Theobroma_cacao_20110822:1:6501917:6507662:-1 gene:TCM_001323 transcript:EOX92372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl alcohol dehydrogenase 9 isoform 2 MSKSSEEEHPQKALGWAARDSSGTLSPFHFSRRENGDDDVTVKIFYCGVCHSDLHTVRNDWGFTRYPVVPGHEIVGVATKVGNNVTKFKASDRVGVGVMVGSCKTCECCQQDLENYCPRVIFTYNSHNPDGTINYGGYSDMIVVDQRYVVRFPDNLPMDAGAPLLCAGITVYSPMKYYGMTDAGKHLGVAGLGGLGHVAVKIAKAFGLKVTVISSSPSKESEAINRLGADSFLVSSDPSKMKSAIGTMDYIIDTVSAVHPLLPLLTLLKVNGKLVTVGLPNKSLELPIFPLVLGRKLIGGSDAGGMKETQEMLDFCAKHNISADIELIRMDNINTAMERLAKSDVRYRFVIDVANSLSQ >EOX92373 pep chromosome:Theobroma_cacao_20110822:1:6503228:6507733:-1 gene:TCM_001323 transcript:EOX92373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl alcohol dehydrogenase 9 isoform 2 MSKSSEEEHPQKALGWAARDSSGTLSPFHFSRRENGDDDVTVKIFYCGVCHSDLHTVRNDWGFTRYPVVPGHEIVGVATKVGNNVTKFKASDRVGVGVMVGSCKTCECCQQDLENYCPRVIFTYNSHNPDGTINYGGYSDMIVVDQRYVVRFPDNLPMDAGAPLLCAGITVYSPMKYYGMTDAGKHLGVAGLGGLGHVAVKIAKAFGLKVTVISSSPSKESEAINRLGADSFLVSSDPSKMKSAIGTMDYIIDTVSAVHPLLPLLTLLK >EOX96285 pep chromosome:Theobroma_cacao_20110822:1:37218165:37218898:1 gene:TCM_005563 transcript:EOX96285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein MASKGCKKAATLFILLNILFFTCVSSHKVVTCPPPPTSLPSPPSVPKKPAKCPKDTLKFGVCGSWLGLVHEVVGTPPSKECCTLIAGLADLEAALCLCTAIKANVLGVIKVKTPVALTLLINACGKKVPEGFVCA >EOX95772 pep chromosome:Theobroma_cacao_20110822:1:35533644:35537633:1 gene:TCM_005192 transcript:EOX95772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide transporter 1 MASEDVKTSESAVSTIVNLAEEAKLAREGVKAPSYAVLSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTIQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILYLYQQQTGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTERSPYQYRGMIHALSTVLREEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLIKSKPFGLVEDSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVTGDGRNKGPLEYTGMIDAFRKTVRHEGFGALYKGLVPNFVKVVPSIAIAFVTYEVVKDILGVEIRISD >EOX95219 pep chromosome:Theobroma_cacao_20110822:1:33358173:33365930:-1 gene:TCM_004769 transcript:EOX95219 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase family protein isoform 2 MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDATLTKENNITTGKIYQSVLDKERRGDYLGKTVQVVPHITDAIKDWIESVALIPVDGKQGHADVCVIELGGTVGDIESMPFIEALRQLSFSVGKDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHLLACRSAQQPLLDNTKEKLTQFCHVPAANILSIHDVPNIWHIPLLLRNQNAHHSILKQLDLLSIATIPDLEAWNRRAETFDNLTDSVRIAMVGKYVGLADSYLSVVKALLHACIACSLKPSIDWIAASDLEDDSAQLTPEAHAAAWKTLRNAECVIVPGGFGDRGIRGMILAAKYARENNVPYLGICLGMQISVIEYARSVLGLERANSTEFDEQTPDPVVIFMPEGSRTHMGSTMRLGSRRTLFQTPDCLTSKLYCNPQYVDERHRHRYEVNPDVIGVLEQAGLKFVGKDETGKRMEVLELPGHPFYVGVQFHPEFKSRPGKPSAPFLGLILSARGQLEAYLGRHQNGS >EOX95218 pep chromosome:Theobroma_cacao_20110822:1:33358303:33366237:-1 gene:TCM_004769 transcript:EOX95218 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase family protein isoform 2 MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDATLTKENNITTGKIYQSVLDKERRGDYLGKTVQVVPHITDAIKDWIESVALIPVDGKQGHADVCVIELGGTVGDIESMPFIEALRQLSFSVGKDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHLLACRSAQPLLDNTKEKLTQFCHVPAANILSIHDVPNIWHIPLLLRNQNAHHSILKQLDLLSIATIPDLEAWNRRAETFDNLTDSVRIAMVGKYVGLADSYLSVVKALLHACIACSLKPSIDWIAASDLEDDSAQLTPEAHAAAWKTLRNAECVIVPGGFGDRGIRGMILAAKYARENNVPYLGICLGMQISVIEYARSVLGLERANSTEFDEQTPDPVVIFMPEGSRTHMGSTMRLGSRRTLFQTPDCLTSKLYCNPQYVDERHRHRYEVNPDVIGVLEQAGLKFVGKDETGKRMEVLELPGHPFYVGVQFHPEFKSRPGKPSAPFLGLILSARGQLEAYLGRHQNGS >EOX94247 pep chromosome:Theobroma_cacao_20110822:1:26716329:26718800:-1 gene:TCM_003795 transcript:EOX94247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGIWEQWRRAHRDNFQNKYGHIAWLLYVPVDDQMLRAIVQFWDPSYRCFVFNKVDMTPTIEEYSSLLRIDHMQPDKIYWRAQKTGHRLKLAKLLGMTTIEVDQHLKKKGDTECLPWSFLNGYIKKHMEDEQGLLAFAMAIYDLVVFPKVLGHVEVSVIDFFDQVTRSINPAPSILAETFRSLNFCRRKESKFRKLYLSVSCPILEFCESEWPDYKRKEEWVARLRRLMSIEVTWRAPWMPRMQVMYKCGDKPWVPLMGPWGAISYAPIMVRRQFGSEQFVPMTHQLDQLEFTYGEPETLKKIEEIAQDWKKTYRVDQGRVTDEVTTGYHTWHDQRVKNVIHPPKNPSKHPVNPEPQDVLLESELTRKRLEKEMMNMKRRHEDELEEVKKETARKVRVALKERDEWQSKFEEVSVANSSLLARIQELQSANNALQHEVRRQGQTTQELKNDCNMLETAMEGYKAQYEAIRQEYSQMRERNNSCTQSLQRKEAEMQWILRQMREMAFRARVMADKTE >EOX94216 pep chromosome:Theobroma_cacao_20110822:1:26158397:26710737:-1 gene:TCM_003737 transcript:EOX94216 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase MADALATLAAMFKVGTNIKIQPIMINLRECPAHCSSIEEEIDGKSWYHDIVHYLKFQQYNDQSSENDKKTIRRLAMNFFLDGNILYKRSRDQTLLRCVDSTEARRIVEEVHEGVCGAHASGHKLARQVMRAGYYWLTLEKDCIDFARKCHKCQIYADRIHTPANSLHVLASPWPFSMWGMDVIGLITPKASNGHQFILVAIDYFTKWVEAASYANVTQKVVCKFIQKEIICRYGLPERIITDNASNLNGSMMKEVCAKFKIKHHNSTPYRPKMNGAVKAANKNIKRIIEKMTDIYKDWHEKLPFALHAYRTTVRTSTGATPFSLVYGMEAVLPIEVEIPSLRVLKEVQLEEAEWVNARYEQLNLIEEKRLTALCHGQLYQKRMMRAYDKKAHSRQFREGELVLKRILPNQHDPRGKWTPNWEGPFVVKKAFSGGALILAEMDGREFSNPVNADAVKKYFA >EOX96076 pep chromosome:Theobroma_cacao_20110822:1:36523298:36527650:1 gene:TCM_005416 transcript:EOX96076 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein, putative isoform 1 MSTPTRDSIEGFKSITGASESVALRKLEEYGGNLNAAVSAHFLELERSITNPTYAVPSQNNSVDMNNQNGVGMRGIVPFISAVRRFRPSLLLDANYRRNLLNRSGASNFNHHVTSPHMGEVTGVPVGFSSGNEHPLHSGVRPAITDSTGPPSYYGGGIYGNVSSDDHQHRNDIECEMMQAAIEASKREFEQTHMNEQHGSSYDSSRAGLQQRRLQQEDDELACAISLSLKTADEEKAVRVMKDHYEQVGIYDSSARTQATTNNSLKAGNSSLRQLPVNHDSSHETPPNRLRHSKDSFNSIEWGGISSEELDQAIMLETQLFSQIPEGASYHSSNLSHEQSSPGASVDPGPQALSRPQSFSLMEQRLLRQQQDDEYLASLVADKEKEMNALKKAESHSVKEEKSCRRKLGGKESEMSAKGTSLPPEPAINDENAVTILVRLPDGTRHGRRFLKSDKLQLLFDFIDISKVVKPVTYRLVRPYPRHAFGANDCSLSLNQLGLTGKQEALFLEFI >EOX96075 pep chromosome:Theobroma_cacao_20110822:1:36523285:36527694:1 gene:TCM_005416 transcript:EOX96075 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein, putative isoform 1 MSTPTRDSIEGFKSITGASESVALRKLEEYGGNLNAAVSAHFLELERSITNPTYAVPSQNNSVDMNNQNGVGMRGIVPFISAVRRFRPSLLLDANYRRNLLNRSGASNFNHHVTSPHMGEVTGVPVGFSSGNEHPLHSGVRPAITDSTGPPSYYGGGIYGNVSSDDHQHRNDIECEMMQAAIEASKREFEQTHMNEQHGSSYDSSRAGLQQRRLQQEDDELACAISLSLKVFQTADEEKAVRVMKDHYEQVGIYDSSARTQATTNNSLKAGNSSLRQLPVNHDSSHETPPNRLRHSKDSFNSIEWGGISSEELDQAIMLETQLFSQIPEGASYHSSNLSHEQSSPGASVDPGPQALSRPQSFSLMEQRLLRQQQDDEYLASLVADKEKEMNALKKAESHSVKEEKSCRRKLGGKANQFAINLKLRGQESEMSAKGTSLPPEPAINDENAVTILVRLPDGTRHGRRFLKSDKLQLLFDFIDISKVVKPVTYRLVRPYPRHAFGANDCSLSLNQLGLTGKQEALFLEFI >EOX96727 pep chromosome:Theobroma_cacao_20110822:1:38787755:38791281:1 gene:TCM_005917 transcript:EOX96727 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein with WD40/YVTN repeat doamin, putative MGNISTESNSSRQSWQLGLEEAAMKYHSLSLRRGRIDIHQWKAHSVRVDQCRMKMGLLLTGVGDKVMRLWSLSSYNCEGEYYIPDSAPVVDFDFDKTKIVGLLGMLIDRIVWREYSCLQWWYTDPEAVIGCEDGTVRAFDMYSRTCSRIIKMHAGPVTCLSLSDDHLILSGSSLGSVSISSLSSYQRVATLRSTNSGGIRTLC >EOX95058 pep chromosome:Theobroma_cacao_20110822:1:32752438:32753662:1 gene:TCM_004640 transcript:EOX95058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar protein 16 MGRSRRKYKQSRAKVQVGLPRKNPHVFKPSFTLPPKLRSLVEVEDARSKWDDKASVIQNYKSFGVVSNPNFLGVRSRTSHIVESDSLQVPHPPPYDKSADEVEPIDSGSDLEEDDLKTALGKKRRDGKSTHLQPLTTMQRLHIGLLIEKYGDDYQAMFMDTKLNKMQHSVATLEKLCKRYHMFGHKNPLILPN >EOX94102 pep chromosome:Theobroma_cacao_20110822:1:21231257:21255635:-1 gene:TCM_003262 transcript:EOX94102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCLKVLPLGELAGVHVKSFKVRPTKAWSSLRSDTVSEQLLDELVWCIACMWDWPAPFADGHSAYASPSDAQPSTTRLCGAFSV >EOX90846 pep chromosome:Theobroma_cacao_20110822:1:783998:785667:-1 gene:TCM_000201 transcript:EOX90846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative MLFSLPLSTSPSVFPISPPSSSRARFQAPIVAAANTTTAGNATNTYTSNQEKGSTSGYLSRPGMAACSSLYEVLGISVGASNQEIKAAYRRLARVCHPDVAAIDRKDSSADEFMKIHAAYSTLSDPEKRAVYDSKLNWRRQRPLTSASRFSGYRGRSWETDQCW >EOX93369 pep chromosome:Theobroma_cacao_20110822:1:12455551:12462963:1 gene:TCM_002216 transcript:EOX93369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin and WLM domain-containing protein C1442.07c, putative MAFSKFQAFYNHPAGPKTIHFWAPTFKWALNIANVVDISTKPAETVSYPQQAALACSGLIWAKYSTVITPKNWNLLGVSFAMFATASYQLSRKIQHEFTNASTRLQNFADILFLLSKFQEEYSLLRKRKFPATPQYKMEEQENMVNVTVLWRGNKYVVEMNSGAYLKELGNELQKLTDVKADTMRLILPLLSGKGSRMLHPFSDEHSCLSLQEASIVKGKSVRMMGVPEDEVDQVIQNSKVDLRIAGFNEEERRLRLRMSDGPRASLRLPQGPYIFCNFRTLQIPGLELHPPSSEALKKMHMLASDPGIVSIMNKHRWRVGIMTEMAPVGYVGVSPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVFSEHDSNFYALDKQLNEEAASLDWTKSRGHTLSGIRHLENHEENVYVEDGRSSQKVGGNMSTLLENARSSSVAAAYRRLVDASANNVEASETHDEPDPDDSGSIVPDRNENVDIEGLIRAQGKLAHEPDPDDHSSQQNKFEPDPDDLQHGETLQLKPHSEFTKNNSQKTLGKSAAMSSSEEPDPDDSGVSSSFGNVVEPAVMEILDTKIQTRDTIGEPDPDDGEAQWNNLGCANMARHDKGHYVTTETMEDRAHFSQAHKQPDPDESKANETAQAEPDPDDKLVPQQGISDLKMDEPDPDDQELQRIQDSVTGVCSRLQKAIDMLRAEVNPSEATIVLQTLFKIIGNVIEHPDEMKFRRLRKANPIIQRNIANYKAAVEILLLIGFTEDVILDEIGKAETYLVLKRNDPGLLWLAKSSLETCNAY >EOX90945 pep chromosome:Theobroma_cacao_20110822:1:1135577:1142165:-1 gene:TCM_046676 transcript:EOX90945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Compromised recognition of TCV 1 protein NVVEVTSSEDEGGARTVATQKVCSVTTPPPPLQISGPDMPQSSGAIEPSVLPSDETLESRSFWKAGNYAVGPTSKPLVQSSLEHARVHPKFLHSNATSHKWAFGAIAELMDNAVDEIHRGATFVKVDKIDVMKDNSPALLFQDDGGGMDPELIRKCMSLGYSSKKSNTTIGQYGNGFKTSTMRLGADVVVFSRSIRASKATQSVGLLSYTFLRRTGLDDVIVPMIDFDISGHWAEPIIYSSQDDWSSNLKTILEWSPFTSKEELLLQFDDIGPHGTKIVIYNLWLNDEGIYELAFDDDDEDIRLRDEALRGATKLHKKIAELQSHISYRILYSLRAYASMLYLRKFKNFQIILRGKPVQQFNIADELRYPKVVTYKPQVATGLKECAVETTIGFIKEAPSLGVSGFNVYHKNRLIRPFWKVTGDGSSKGNGVVGVLEADFIEPAHDKQDFERSSLSVRLENRLKQMVSDYWRNHCHLIGYQALVSSARDKQKGHPGQPPVVHAASMEKHLPSYRHNISFNLQAEVDDQAVHIPGDGKQDLSDKQPDVEVPNGLMSEDDLESMSVDQICEENIKLFGRCEEHRKEEAELKQTIDKLEKELEEMRKKCAQISSLLEGKQKLKCLRLLSAVP >EOX90641 pep chromosome:Theobroma_cacao_20110822:1:214531:224029:-1 gene:TCM_000056 transcript:EOX90641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein, putative isoform 2 MAAQNQIPNNGDLFDAYFRKADLDGDGQISGAEAVAFFQGSNLPKNVLAQVWMHADQKKLGYLGRQEFYNALKLVTVAQSKRELTPDMVKAALYGPASARIPAPQINLAATPTPQSRVATPTPQSSGTPSVSSQNFGLRGTPGPGNVGVNQQHFQSQQNQVMRPPQAMPSSSSSQAQQVIAGQGMPRGGNMVAPSLPTSSSSTNWQSGSSGGLTTSGNNQVHDRGVGPSTSQDGFGLTASGLTPFTQPRPQATPGQMPAPKPQDSSMRSSQLAAKDPKALVVSGNGFASDSLFGDVFSATPTQSKQTSLATTSSATSSTVSTASIPASGPHPSVKPSPAQSLQSTLSQQPVGGQYQPSHPTGKQNQQVAVQSNAASGSTGFPARAGNLASGQSTQSLPPWPKMTQSDVQRFTKVFVQVDTDRDGKITGEQARNLFLSWRLPREVLKQVWDLSDQDNDSMLSLREFCTALYLMERYREGRPLPSMLPSTIISDETLVSTSGHPAAPYGNAAWGPGHGSQQPQVFTASRPPLPSARGRPPRPVSVSPTDAQVQPTQQKSKVPVLEKNFVDQLSQEEQDSLNSKFKEATEANKKALPSFSLMSSLEIYITLASILKVEELEKEIHDSKAKTEFFRAKMQELILYKSRCDNRLNEITERVSADKQEVDILARKYEEKYRQTGDVASRLTIEESTFRDIQERKMELYQAIVRIEQGDNKDGALQDRVNHIQSGLEELVKSVNERCKQYGLRCKPTSLVELPFGWQPGIQEGAADWDEDRDKFEDEGFTFVKELTLDVQNVIAPPKPKTSSVQKETPSATADDAKTEKVPSTSERIPEKDLANDQSEDGLAKSPSESPAVSSTADKPSQEFQDSHDTKSSVANGSPHAQKTSDPFDSPHAKKTSDADGSPLAKESRSDQGGAESIFSEDKGFDEPSWGKFDTHDTDSVWGFDSESGKEMEHERHDDNSLFGLSDFNIKPIRTQSSHTDNMFPGKGPFTFADSVPSTPAYTDNMFHGKSSSIFADSVPSTPAYTDNMFKGKSSSIFADSVPSTPAYADNMFKGKSSSIFADSVPSTPAYSDNMFKGQSSSIFADSVPSTPAYNYGSSQRRFSEGSEGHSFDSFSNSFNMQDSGFFQSPSLDRFDSVRSSRDLDQGYGFPPLRFDSFNGHDGHDSGTLQSPRHSLARFDSMRSTTGFDHSHEFPSFDDSDPFGSTGPFRTSLESQTPRRDSDNWSAF >EOX90642 pep chromosome:Theobroma_cacao_20110822:1:215015:223686:-1 gene:TCM_000056 transcript:EOX90642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein, putative isoform 2 MAAQNQIPNNGDLFDAYFRKADLDGDGQISGAEAVAFFQGSNLPKNVLAQVWMHADQKKLGYLGRQEFYNALKLVTVAQSKRELTPDMVKAALYGPASARIPAPQINLAATPTPQSRVATPTPQSSGTPSVSSQNFGLRGTPGPGNVGVNQQHFQSQQNQVMRPPQAMPSSSSSQAQQVIAGQGMPRGGNMVAPSLPTSSSSTNWQSGSSGGLTTSGNNQVHDRGVGPSTSQDGFGLTASGLTPFTQPRPQATPGQMPAPKPQDSSMRSSQLAAKDPKALVVSGNGFASDSLFGDVFSATPTQSKQTSLATTSSATSSTVSTASIPASGPHPSVKPSPAQSLQSTLSQQPVGGQYQPSHPTGKQNQQVAVQSNAASGSTGFPARAGNLASGQSTQSLPPWPKMTQSDVQRFTKVFVQVDTDRDGKITGEQARNLFLSWRLPREVLKQVWDLSDQDNDSMLSLREFCTALYLMERYREGRPLPSMLPSTIISDETLVSTSGHPAAPYGNAAWGPGHGSQQPQVFTASRPPLPSARGRPPRPVSVSPTDAQVQPTQQKSKVPVLEKNFVDQLSQEEQDSLNSKFKEATEANKKVEELEKEIHDSKAKTEFFRAKMQELILYKSRCDNRLNEITERVSADKQEVDILARKYEEKYRQTGDVASRLTIEESTFRDIQQERKMELYQAIVRIEQGDNKDGALQDRVNHIQSGLEELVKSVNERCKQYGLRCKPTSLVELPFGWQPGIQEGAADWDEDRDKFEDEGFTFVKELTLDVQNVIAPPKPKTSSVQKETPSATADDAKTEKVPSTSERIPEKDLANDQSEDGLAKSPSESPAVSSTADKPSQEFQDSHDTKSSVANGSPHAQKTSDPFDSPHAKKTSDADGSPLAKESRSDQGGAESIFSEDKGFDEPSWGKFDTHDTDSVWGFDSESGKEMEHERHDDNSLFGLSDFNIKPIRTQSSHTDNMFPGKGPFTFADSVPSTPAYTDNMFHGKSSSIFADSVPSTPAYTDNMFKGKSSSIFADSVPSTPAYADNMFKGKSSSIFADSVPSTPAYSDNMFKGQSSSIFADSVPSTPAYNYGSSQRRFSEGSEGHSFDSFSNSFNMQDSGFFQSPSLDRFDSVRSSRDLDQGYGFPPLRFDSFNGHDGHDSGTLQSPRHSLARFDSMRSTTGFDHSHEFPSFDDSDPFGSTGPFRTSLESQTPRRDSDNWSAF >EOX94093 pep chromosome:Theobroma_cacao_20110822:1:20795608:20827461:-1 gene:TCM_003224 transcript:EOX94093 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MDMVTSSSTAEVGESSTSKPTSHFWAKLVPLDAQLSDVEICSNEMIVSSQVTSSSQEKHEWCRITRNPDLLTAMMKNKSSNDMLVDDAVVQREDVVEIKCGTEIVLGPNREGYLSYKFKLMPGPKTCKRQLKICVDVEHAKCSICLNIWHDVVTIAPCLHNFCNGCFSEWLKRSQKKHSSVLCPQCRAVVQFAGRNHFLRNIEEDILRADPSLRRSNEEVALIDSYATIRSNLVIRSGRGIQRKRAQAFLDEVLDNEEYDSQESDDAGPQCPQCGSEIGGFQCNQHTIHLQCQVCGGMMPSRADMSELQHCMGCDRTFCGAYWHAQMVTRSDFYPVCNNEIFRPISEHTITGIPFLAHEMNRHEQDITERCIRQTGRTLQEVVAEWIRKLNNREIDRTRMPLNHAERITAATHVCSTCYEKLVSFLLYWFRISIPKYLLPPDALQREDCWYGYACRTQHHNEEHARKRNHVCRPTRGANV >EOX91303 pep chromosome:Theobroma_cacao_20110822:1:2253430:2255031:-1 gene:TCM_000546 transcript:EOX91303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 10, putative MKHFVLVHGMCHGAWCWYKLVSLLKSAGHRVTALDLGASGINPRKISELTSMSDYAQPLMEFMASLPQEEKVILVGHSFGGISMSLAMESYPNKILAAVYLTAFMPNHDSPPGTGVEEFFKSVMAESIMDFQLSFDEGPNHPPTGALFGPNYMVAKVYQLSPKEDLELAKTVLRPGKWFMNDLSKESLLTKEKFGSVNRVFIVCNEDLLIKESLQKWYIEHSRTDDVKVIAGADHMPMFSKPKELCQCLQEIAEKYN >EOX94810 pep chromosome:Theobroma_cacao_20110822:1:31532440:31534974:-1 gene:TCM_004423 transcript:EOX94810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target of rapamycin MGPAMESHVRCLLDVMFSAGLSPTLVEALEQITISIPSLLPTIQDRLLDSISLVLSKSPYFQARPTAALVQGTAANIPQPVSELSGSALVQLALQTLARFNFRGHELLEFARESVVVYLDDEDGAT >EOX92984 pep chromosome:Theobroma_cacao_20110822:1:9770287:9781443:-1 gene:TCM_001843 transcript:EOX92984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase 15 MKFYISTTGIKRVTISNSTGGGGGGKGATVALTGAAAARGRLSSRTVLPVVLVLGIVLPFLFVRIAFLVLESASSSSCSSPIDCIGWRLFSGGDTSQKLREELTRALMELKDGNGVEGGIEGSLDSFDQLVEEMTSKQQDIKAFAFKTKAMLLGMEQKVQSAKKRELMYWYLASRGVPKSLHCLCLKLAEEYAVNAIARSRLPSPEHVSRLADTSFYHVVLLTDNVLAASVVISSTVENAANPEKLVFHIVTDKKTYTPMHAWFAINSVESAAVEVKGLHQYDWSQEVNVGVKQMLEIHRLIWSHYYNNLEEEDFEYEGEHKSCLEALSPSCLSLMNHLRIYIPELFPDLNKIVFLDDDVVVQHDISSLWTLDLSGKVVGAVVDSWCGDNCCPGRKYKDYLNFSQPIISSNLDQDRCAWLSGMNIFDLEAWRRTNITTSYHKWLKLSLNSGLTLWQPGVLPPALLAFEGHVHPIDPLWHVAGLGYRSPSAHGEILEAAAVLHFTGPAKPWLEIGSPEVRSLWNRHVNFSNSFIRKCRILG >EOX93600 pep chromosome:Theobroma_cacao_20110822:1:14182141:14187011:-1 gene:TCM_002483 transcript:EOX93600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein isoform 1 MAEGFWLVVLVGGVVGFLLGLVLNHFLPLLFKGGLVPKGTFGWPLLGETYSFLKPHSSNSVGAFLQDHCSRYGKVFRSHLFFSPTVVSCDPELNYFILQNEGKLFECSYPKPIHGILGKVSMLVAVDDTHKRLRNVALSLVSISKSKPEFLNDIESIAIQILDSWKDKPKVIFCEEARKFTFNVIVKQVLGLTPQEPETSKILEDFLTFMRGLISLPLYIPGTPYARAVQARSRISSSVKAIIEERRRNPENSKKRSDFVEILLSIDTLSEDEKVSFVLDSLLGGYETTSLLVSMVVHFLTHSPTALQQLKQEHQNIRSRKQNDDHLDWEDYKKMEFTQIVINEALRYGNIVKFVHRKALKDVKFRGYLIPSGWKVLPVFTAVHLDPSLHENAPQFHPWRWESQDPMCKKFTPFGGGSRCCPGSDLAKVEVAFFLHHLVQNFRWKAEDEDQPMAYPYVEFQRGLVLNVDRCSETTM >EOX93603 pep chromosome:Theobroma_cacao_20110822:1:14183278:14186940:-1 gene:TCM_002483 transcript:EOX93603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein isoform 1 MAEGFWLVVLVGGVVGFLLGLVLNHFLPLLFKGGLVPKGTFGWPLLGETYSFLKPHSSNSVGAFLQDHCSRYGKVFRSHLFFSPTVVSCDPELNYFILQNEGKLFECSYPKPIHGILGKVSMLVAVDDTHKRLRNVALSLVSISKSKPEFLNDIESIAIQILDSWKDKPKVIFCEEARKFTFNVIVKQVLGLTPQEPETSKILEDFLTFMRGLISLPLYIPGTPYARAVQARSRISSSVKAIIEERRRNPENSKKRSDFVEILLSIDTLSEDEKVSFVLDSLLGGYETTSLLVSMVVHFLTHSPTALQQLKQEHQNIRSRKQNDDHLDWEDYKKMEFTQIVNSCTERLSKMSNLEVT >EOX93604 pep chromosome:Theobroma_cacao_20110822:1:14184642:14186940:-1 gene:TCM_002483 transcript:EOX93604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein isoform 1 MAEGFWLVVLVGGVVGFLLGLVLNHFLPLLFKGGLVPKGTFGWPLLGETYSFLKPHSSNSVGAFLQDHCSRYGKVFRSHLFFSPTVVSCDPELNYFILQNEGKLFECSYPKPIHGILGKVSMLVAVDDTHKRLRNVALSLVSISKSKPEFLNDIESIAIQILDSWKDKPKVIFCEEARKFTFNVIVKQVLGLTPQEPETSKILEDFLTFMRGLISLPLYIPGTPYARAVQARSRISSSVKAIIEERRRNPENSKKRSDFVEILLSIDTLSEDEKVSFVLDSLLGGYETTSLLVSMVVHFLTHSPTALQQLSKNIRT >EOX93601 pep chromosome:Theobroma_cacao_20110822:1:14183203:14186928:-1 gene:TCM_002483 transcript:EOX93601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein isoform 1 MAEGFWLVVLVGGVVGFLLGLVLNHFLPLLFKGGLVPKGTFGWPLLGETYSFLKPHSSNSVGAFLQDHCSRYGKVFRSHLFFSPTVVSCDPELNYFILQNEGKLFECSYPKPIHGILGKVSMLVAVDDTHKRLRNVALSLVSISKSKPEFLNDIESIAIQILDSWKDKPKVIFCEEARKFTFNVIVKQVLGLTPQEPETSKILEDFLTFMRGLISLPLYIPGTPYARAVQARSRISSSVKAIIEERRRNPENSKKRSDFVEILLSIDTLSEDEKVSFVLDSLLGGYETTSLLVSMVVHFLTHSPTALQQLKQEHQNIRSRKQNDDHLDWEDYKKMEFTQIVINEALRYGNIVKFVHRKALKDVKFRGCYLIPSGWKVLPVFTAVHLDPSLHENAPQFHPWRWESQDPMCKKFTPFGGGSRCCPGSDLAKVEVAFFLHHLVQNFRWKAEDEDQPMAYPYVEFQRGLVLNVDRCSETTM >EOX93602 pep chromosome:Theobroma_cacao_20110822:1:14182843:14186940:-1 gene:TCM_002483 transcript:EOX93602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein isoform 1 MAEGFWLVVLVGGVVGFLLGLVLNHFLPLLFKGGLVPKGTFGWPLLGETYSFLKPHSSNSVGAFLQDHCSRYGKVFRSHLFFSPTVVSCDPELNYFILQNEGKLFECSYPKPIHGILGKVSMLVAVDDTHKRLRNVALSLVSISKSKPEFLNDIESIAIQILDSWKDKPKVIFCEEARKFTFNVIVKQVLGLTPQEPETSKILEDFLTFMRGLISLPLYIPGTPYARAVQARSRISSSVKAIIEERRRNPENSKKRSDFVEILLSIDTLSEDEKVSFVLDSLLGGYETTSLLVSMVVHFLTHSPTALQQLKQEHQNIRSRKQNDDHLDWEDYKKMEFTQIVNSCTERLSKMSNLEVT >EOX93599 pep chromosome:Theobroma_cacao_20110822:1:14182969:14187518:-1 gene:TCM_002483 transcript:EOX93599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein isoform 1 MAEGFWLVVLVGGVVGFLLGLVLNHFLPLLFKGGLVPKGTFGWPLLGETYSFLKPHSSNSVGAFLQDHCSRYGKVFRSHLFFSPTVVSCDPELNYFILQNEGKLFECSYPKPIHGILGKVSMLVAVDDTHKRLRNVALSLVSISKSKPEFLNDIESIAIQILDSWKDKPKVIFCEEARKFTFNVIVKQVLGLTPQEPETSKILEDFLTFMRGLISLPLYIPGTPYARAVQARSRISSSVKAIIEERRRNPENSKKRSDFVEILLSIDTLSEDEKVSFVLDSLLGGYETTSLLVSMVVHFLTHSPTALQQLKQEHQNIRSRKQNDDHLDWEDYKKMEFTQIVINEALRYGNIVKFVHRKALKDVKFRGYLIPSGWKVLPVFTAVHLDPSLHENAPQFHPWRWESQDPMCKKFTPFGGGSRCCPGSDLAKVEVAFFLHHLVQNFRWKAEDEDQPMAYPYVEFQRGLVLNVDRCSETTM >EOX93114 pep chromosome:Theobroma_cacao_20110822:1:10572222:10578525:-1 gene:TCM_001957 transcript:EOX93114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin domain-containing protein isoform 2 MAFNGASTEQGVRDATENSLEKIKRQLASGSGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKTRRNEPGVKGIITFDENSTIAVSPVNFHGLPKYDGCCFYIGTPQKKDYFLCAETPGATRAWVSTLHATQLVLKAHKEAVNSLSGNGSAKLGTVATVVAAANSTARECSKEIEAAMQISLRNSLGLVTNRPIDGPMDDLTIMKETLRVKDEELQNLARDLRARDSTIREIAEKLSETAEAAESAASAAHMMDEQRRIAFAEIERITKDSEKQREAFALKLRESEEKFGVLSKERDQLIKQRDSAMQEAHMWRTELAKAREHVVILEAAVVRAEEKVRIAEADAEARIKEATQKEAAAVKEKQDLLAYVNVLQAHIQRQQSDMKQICEEKTESSNTNNCPPETKDVDLSENVDKACLSISRAVPIPGESVVHMAVDQVNIQPVGNGEWSDIQATEARIADVREIAPETEGSSLDIPVVSPAINTHHEQGANSFHQP >EOX93113 pep chromosome:Theobroma_cacao_20110822:1:10572829:10578214:-1 gene:TCM_001957 transcript:EOX93113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin domain-containing protein isoform 2 MAFNGASTKEQGVRDATENSLEKIKRQLASGSGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKTRRNEPGVKGIITFDENSTIAVSPVNFHGLPKYDGCCFYIGTPQKKDYFLCAETPGATRAWVSTLHATQLVLKAHKEAVNSLSGNGSAKLGTVATVVAAANSTARECSKEIEAAMQISLRNSLGLVTNRPIDGPMDDLTIMKETLRVKDEELQNLARDLRARDSTIREIAEKLSETAEAAESAASAAHMMDEQRRIAFAEIERITKDSEKQREAFALKLRESEEKFGVLSKERDQLIKQRDSAMQEAHMWRTELAKAREHVVILEAAVVRAEEKVRIAEADAEARIKEATQKEAAAVKEKQDLLAYVNVLQAHIQRQQSDMKQICEEKTESSNTNNCPPETKDVDLSENVDKACLSISRAVPIPGESVVHMAVDQVNIQPVGNGEWSDIQATEARIADVREIAPETEGSSLDIPVVSPAINTHHEQGANSFHQP >EOX91060 pep chromosome:Theobroma_cacao_20110822:1:1477686:1479285:-1 gene:TCM_000360 transcript:EOX91060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase promoting complex 10 isoform 1 MATESSESEEEGKITGGNQHLIVEDDLREMGKKAAWSVSSCKTGNGVSSLRDDNLETYWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKICIRAGDGFHNLKEIKTVELVKPTGWVYLSLSGNDPRETFVNTFMLQIAVLSNHLNGRDTHVRQIKVYGPRLCIS >EOX91059 pep chromosome:Theobroma_cacao_20110822:1:1477494:1479231:-1 gene:TCM_000360 transcript:EOX91059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase promoting complex 10 isoform 1 MATESSESEEEGKITGGNQHLIVEDDLREMGKKAAWSVSSCKTGNGVSSLRDDNLETYWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKICIRAGDGFHNLKEIKTVELVKPTGWVYLSLSGNDPRETFVNTFMLQIAVLSNHLNGRDTHVRQIKVYGPRLNPIPHQPFQFTSREFITYSTVR >EOX91061 pep chromosome:Theobroma_cacao_20110822:1:1477098:1479314:-1 gene:TCM_000360 transcript:EOX91061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase promoting complex 10 isoform 1 MATESSESEEEGKITGGNQHLIVEDDLREMGKKAAWSVSSCKTGNGVSSLRDDNLETYWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKICIRAGDGFHNLKEIKTVELVKPTGWVYLSLSGNDPRNPIPHQPFQFTSREFITYSTVR >EOX91765 pep chromosome:Theobroma_cacao_20110822:1:3760598:3766187:1 gene:TCM_000848 transcript:EOX91765 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MAKKNKQKPPQDPKPNQEEEEQKQHEKDKEEEAEEQEEKSFEELGLDHRLIRALLKKGVDKPFPIQRVAIPLVLQGKDVVAQARTGTGKTFAYLLPLLHKLFPSDSGSKARLAPAGFILVPSRELCQQVYKEAVSLIELCRVQLKIVQLTSGMPTSDLRAALAGPPDVLVTTPKCIRDCLSAGLLQLTSISESLETLVLDEADLLLQFGFGDDLKALTPVIPRRCQCLLMSATSSPDVDQLKKLILHNPFVLTLSEVEDVKDEVISKNVQQFWISCSASDKLLYILALLKLELVLKKVLIFTNTIDAGFRLKLFFEKFGIKSAILNAELPHNSRIHILEEFNAGLFDYLIATDDSQTKEKEQANGDKHVASRKSRKHLKPKLDSEFGVVRGIDFKNVHTVINFDMPSSASGYVHRIGRTGRAYSAGASVSLVSPDEMEIFDEIKSLLGDEENDDTNIIAPFLLLTKDAVESLRYRAEDVARSVTRIAVRESRAQDLRNEILNSEKLKAHFEVNPRDLDLLKHDKVLSKDPPAPHLRDVPDYLLDQKTRDASKMVKLARAAMGDNKSARRQGSKRKFRKSRDPLKTFSAEAPKRGRKDGMKGEGKGTDFTHHRKKQKSV >EOX92315 pep chromosome:Theobroma_cacao_20110822:1:6194523:6196823:-1 gene:TCM_001274 transcript:EOX92315 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein, putative MARAMALLWACTSILVTTGVVADNIGVNWGLMSSHPLHPSIVVRLLKDNGMKKVKLFDADPWAVGYLAGTGIEVMLGIPNDQLKTMANDYGAAEDWVKENLTRHLHDGGVNIKYVAVGNEPFLSSYNGSFTEYTFPALRNVQKALNDAGIGDKIKATVPLNADVYESGSNIPSEGAFRSDIKDPLTQIVHFYKEQGCPFVINIYPFLSLYQNTNFPRDFAFFDGGHPLNDKNVQYDNVFDANHDTLVWALKKAGVPDLKIIVGEVGWPTDGNKFATKDNAKKFYDGLFKKLASNKGTPLRPGKMEVYVFSLFDEDMKSVQPGNFERHWGIFTFDGKPKFPMDFSGNGTDKPLVAAKGVQYLPKQWCVYDEDAMNQQALPSQMSWACSHADCTCLQEGSSCFTGNTNFKISYAFNSYYQTNDQDVEACNFEGLGKIVNEDPSKGTCQFPIRIKSAGERLSLAYGAIAALVFLASLFTMV >EOX90762 pep chromosome:Theobroma_cacao_20110822:1:567233:568107:1 gene:TCM_000141 transcript:EOX90762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQHLPVKSNLLHSGSETTNIVFGGDEQPLCPKPRRLGPPIPEFLKPLRCSKHSQPNTDGRSGVLNMIAEKTGDGRESVACTGCSPSCYSGSPPGRTGNPLVHDVHFIHQMELLSPFTRTKLSDKFGITSASPV >EOX94410 pep chromosome:Theobroma_cacao_20110822:1:28344341:28351279:1 gene:TCM_003990 transcript:EOX94410 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA excision repair protein E MEEEEDRILLSSLGVTSANPEDIERDILAKAENNAGDGSEVGGSTEEEPTGKSESNDPSSLANQAKLLNKLRAIEFEIDAVASTVEEGTNVVSGDDRADHDADDDSTEKGNIEDDESVMHVSSLELTLQHALATDRLKSLKKTKAQLEKELSGLLVESSSEGIKHDKLIKDLVKEEPRPKRKSKEIQRPSKNQEKRKKTVSFNDDVDFDAVLDAASAGFVETERDQLVRKGILTPFHKLKGFERRLQQPGTSDGHSTPVEEDENDTLVSSSVARAAKSISEAAQARPSTKLLDTEALPKLDAPTFPFQRLRKPLKFPQTKEVEENKGLKRKKKRPLPDKKWRKHISREERDLEEGEDERDKLTSHDEEENQEDREDMDDSEPPYVTLEGGLKIPETIFSKLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTIQVLSFLGALHFSNMYEPSIVVCPVTLLRQWKREARRWYSKFHIEILHDSAQDPAYEKSQAKSHGESDHESEGSLDSDYEGNFSSKSSKKWDSLINRVLRSKSGLLITTYEQLRLLGGKLLDIQWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFAVPISVGGYANASPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNVQLPKKTEHVLFCSLTADQRSVYRAFLASSEVEQILDGSRNSLYGIDVMRKICNHPDLLERDHSCQNQDYGNPERSGKMKVVAQVLKVWKEQGHRVLLFAQTQQMLDILENFLITSDYDYRRMDGHTPVKQRMALIDEFNNSDDIFIFILTTKVGGLGTNLTGADRVIIFDPDWNPSTDMQARERAWRIGQKRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQRRFFKARDMKDLFTLNDDGENGSTETSNIFSQLSADVNIVGAQKDKQHKQKQLKAAVPDADPTASGKGNYSNTGLSKRKGKEKEKDDHGDGEVDEEKNILRSLFDAQGIHSAVNHDAIMSAHDEEKVRLEEQASQVAQRAAEALRQSRMLRSHDSISVPTWTGKSGAAGAPSAVRKKFGSTLNSQLVKPPGESSSNGIAAGAAAGKALSSAELLARIRGNQEEAVGAGLEQQFGLSSSSFNRARSVVNGATRSSSYVSSVQPEVLIRQICTFLQQRGGSTDSASIVDHFKDRIPPSNLPLFKNLLKEIAILEKDPNGSVWILKPEYGQQ >EOX92862 pep chromosome:Theobroma_cacao_20110822:1:9062052:9063088:1 gene:TCM_001723 transcript:EOX92862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARELVNLGGSGAGGEAGVSGGLFLLCMIVFSLSIISMVIFACGDNNSGKPRHNHGAGGGGCGCGGGGCGGCGG >EOX92164 pep chromosome:Theobroma_cacao_20110822:1:5558865:5561479:-1 gene:TCM_001159 transcript:EOX92164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF966) [Source:Projected from Arabidopsis thaliana (AT5G10150) TAIR;Acc:AT5G10150] MDVRSRRARETSPDRAKVCMQPKVVKSIRKVQVVYYLTRNGQFEHPHYMEVTHLVNQPLRLRDVMERFTALRGKGMSSLYSWSCKRSYKNGYVWNDLADNDIIHPSDGAEYVLKGSELVEERLQQLQISNRAPHIQEPSIHAKQKSPSFTLTRHRGAQEAERTAYEEQEFDEEEEEEEEYELDEEKTSYTSSTTPHSRCSRGVSTDELEEQETNNQEPQKNISEPTQQDSSLLSTSSILSEKQNPSKNSTSKRFEDGDPVASGSAPSRNSVLLQLIACGNLAVTKAKNVPTMKQTVPNNVAKKSENLHRGVLCKSALKVAEDDQIISCMSENPRFGNLQAEEKEYFSGSIVESMSSEKRVVAEPVLKKSNSYNEERSCKAGLSETVEEEKKDKAVIGKCIPRKKLPSSKQSRK >EOX92163 pep chromosome:Theobroma_cacao_20110822:1:5559205:5561062:-1 gene:TCM_001159 transcript:EOX92163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF966) [Source:Projected from Arabidopsis thaliana (AT5G10150) TAIR;Acc:AT5G10150] MDVRSRRARETSPDRAKVCMQPKVVKSIRKVQVVYYLTRNGQFEHPHYMEVTHLVNQPLRLRDVMERFTALRGKGMSSLYSWSCKRSYKNGYVWNDLADNDIIHPSDGAEYVLKGSELVEGCSERLQQLQISNRAPHIQEPSIHAKQKSPSFTLTRHRGAQEAERTAYEEQEFDEEEEEEEEYELDEEKTSYTSSTTPHSRCSRGVSTDELEEQETNNQEPQKNISEPTQQDSSLLSTSSILSEKQNPSKNSTSKRFEDGDPVASGSAPSRNSVLLQLIACGNLAVTKAKNVPTMKQTVPNNVAKKSENLHRGVLCKSALKVAEDDQIISCMSENPRFGNLQAEEKEYFSGSIVESMSSEKRVVAEPVLKKSNSYNEERSCKAGLSETVEEEKKDKAVIGKCIPRKKLPSSKQSRK >EOX94779 pep chromosome:Theobroma_cacao_20110822:1:31330099:31331669:1 gene:TCM_004388 transcript:EOX94779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAASVDTPSPPHLTKEPTSSMASSSPLFSPASDKGFWSTLRNRVDALIDDRNAKFSTVQNIDPSLPTQINSGKSNKAKRLKEDSLLLLRGFDSISQTLSQLSNNLDNALQGARELAKPPTLTDIFHSNLKNSEAKEEDPKQKRKEEDRKIGVKRKFDSSELSDDNKGDDSQKENEQSPKDKKMIKKAKNLAISMATKAASLARELKSIKSDLCFVQERCGLLEEENRRLRDGFGKGIRPEEDDLVRLQLEALLAEKSRLANENANLVRENQCLHQLVEYHQMTSQDLSASYEEVIRGMCLDFSSPIAEEEEIDGDCDDADKRVTRTPPRDIFGLSTSLDDYFDEEQQ >EOX94780 pep chromosome:Theobroma_cacao_20110822:1:31329642:31332485:1 gene:TCM_004388 transcript:EOX94780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 NSQSPELPHKLAVTLRCSTSVFSHIAFPSMAASVDTPSPPHLTKEPTSSMASSSPLFSPASDKGFWSTLRNRVDALIDDRNAKFSTVQNIDPSLPTQINSGKSNKAKRLKEDSLLLLRGFDSISQTLSQLSNNLDNALQGARELAKPPTLTDIFHSNLKNSEAKEEDPKQKRKEEDRKIGVKRKFDSSELSDDNKGDDSQKENEQSPKDKKMIKKAKNLAISMATKAASLARELKSIKSDLCFVQERCGLLEEENRRLRDGFGKGIRPEEDDLVRLQLEALLAEKSRLANENANLKKRKSMEI >EOX94498 pep chromosome:Theobroma_cacao_20110822:1:29188964:29191315:1 gene:TCM_004100 transcript:EOX94498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein MLTVNCPTPTASLGFASRPMSLKTITNLNRLYIQPRPFVQPQTLSQQIQTIVDQIKKCSNLNQLETIYATMIKTNANQDCFLTNQFVSACATFCRMDYAILAFTQMQKPNVFVYNALIKGLVHCHNPFQALDYHKHMLRAGVWPSSFTFSSLVKACGLVSELGFGESVHGQVWKHGFESHVFVQTALVDFYANVGKFAESKRVFDEMPDRDVFAWTTMVSGFLKAGDLVSSRRLFDEMPERNTATWNAMIDGYARVGDVESAELFFNQMPVKDIISWTSMINCYSKNKQFREALAVFEEMRRNKVSPDEVTMASVISACAHLGALNTGKEIHHYVMQNGFYLDVYIGSALVDMYAKCGSLERSLLAFFKLREKNLFCWNSVIEGLAVHGYAQEALAMFDSMERHHVKPNGVTFVSVLSACTHAGLVEVGRQRFLSMTRDYSIPPEVEHYGCMVDLLSKAGLLEDALFLIRSMKLEPNPVIWGALLGGCKLHRNLEIAQFAVNELMVLDPHDSGYYTLLLNLYAEVNRWAQVTKIRQMMRELGVKKGCPGSSWIEMESEIHQFAASDKSHLASDEIYSILAELDLQLKLAGYVSDELGSIY >EOX92266 pep chromosome:Theobroma_cacao_20110822:1:6005612:6007093:1 gene:TCM_001238 transcript:EOX92266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress enhanced protein 2 MATVARAIHCELLSSKPGATPRRELGGQVSVPVQRVKVGEAENGKIVLQPRLCTLRSYGSNRGAVMKTRREEGDEVSPFFETLSEYIESSKKSQDFEIISGRLAMIVFAATITTEFVTGNSLFRKMDFQGIAEAVGVCLGAMACAAAFAWLSSARNKVGRIFTVSCNSFIDSVIDQIVDGLFYETDFSDWSDDN >EOX91261 pep chromosome:Theobroma_cacao_20110822:1:2084592:2108511:-1 gene:TCM_000508 transcript:EOX91261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 13C, putative MFFNNFVKRRLSSLLRPWLEQDPELDLQLGLISSIATAQNLRLDTSALNRELVDGSSSPRFIFKEFVIEEFVVRFSNWSATAFTFEARGIKVTLSYEEMEKEGTGKVRKSSNAAFESLKKDLFMIDPEGSALHDILEAILATNCRRNRVKSSFLNLILQHCRLQILSINLQVQVPTLNESFAYLLELEEFNAESLHFVHGCLCRGLANVLFLPLKEGSLVINCSCFKVGYKESNQINHICSSGTLSACIKLYDFKLVEFTLRIPELSFLFSPVDFPVFMELSKVFSKESKRVRNGRHLWRLAAIKIGHVILAPKLSWYKLVGLTILWLHYVNHYEYLLSLIRYRADHLLERSDIKMPRDKVILTSAKHYWEVISDIEKELPAEAIAQARTIARIKAVSSDQHSEDNYRELFVNSCFKTFVWKVMHRIFQSTACLFYLRKSSTQDEQFVGHLGNVSECSYSRLRFILSVGKIYITLSSMSGVQTVSEKVESHIGISYSDVFSFRFSIKVLLLMYIEDIFEQTLSFSCGKLKVKYFISSVGGAKERVKNLKNILHGEPAKIFLLSESNKTSACSHADGGCDPCLESFIGEMCLNWRRACKQFEESEIKCPENPRLLFEMKSFLRHPDLKKLGSGLWKCNLTVGKFNIVLGYLSILSVVMLLRQIQHALNWTQGNGRARDLSYSPRSTEHQPDVSWEKKYECYSSKTKMCLLRMLTGKDIQIGVLVAGPHVQLSSRKIGARNVNVGVNSHVVSGNDFHLGFDICDIEVVVWPTSKSDLAPIHTCAEQDDEDPECLRLQELKILDIPKLENTKYASKDGNSLRFYLRLNGLQAYFEDMVEKQKNQIFVLDPLTFQFSSFRECVHSFSATSIAFSTAFYGLAMGFTILLFFDELYARIQVLTGLFSDLSHPFHNFSFPGNKSVHMFRRQDMVSGVTEDEELNTKATPLIYNNTLFLINGIFKLKSVDIFLCNSGICSKERSSKIGLDAGSSNNPAANYLSDCGIWISFHQICFDILCEERKLELLIDLSGFQSVIVRYQDYIRKSVNRPAFREFVLCADNCLYEVSLSHCILTLLWSLPQISSSSTSVNERLDASTSEANASYAVVDTSFSSEQEPSFQSPDFLQKLGFTSNIQVPSSSHRIFITVVVAEVFMTRCSVKNILIGAQKFNKLLSSLYVGAEFQTIAWGIQGGFLCLETTALAMFVQCFASYIHCLKNVICIVQSTAKHMQRAERDDNHPVGGHAQEMPLTSQQGKRQLPEAFNLDLSQFSLVLVESESNHIQEFLLELDLSLNLDMANMRRKFMFKLSRLSIFSQVIQQSAEDEIQILHFSSAQSNELSSHPISRESALAFQHEDGSCLVDDGCSRGPVSPGAFCLRHQDYILNHLTASLLVEKAEVSPLDPKQVWVGSGSVSGFDMTISLSELQMILSMVSSFSGLSGKGSSGEFVQRNWPYNQQDDNNFEARIPDGAIVAIQDVHQHLYFMVEGGENQYSIGGAVHYSLVGERALFRVKYQKQKWNSSALLFSLVSLHAKNNSGEPLRLNSYPGSGFVELSSTTNNSWALWSILSCKRETYDGDIDWEPYNQGLRNTFYLVNKKNGCAVAFSDTVPVFVRKPGNPFKFKVFSDMSVAQDVVTYSTCPLNSSGTEVNQSAHEDGESYRESRNLPCIDITIDKVAFTVVHELSDTNDRFPLLHGCINGTQLTLQILSTKARVICTSKALLQYFDAQTNSWRDFLRPVEICIFYRSCFQNPHGVPVHVYCRTKELEISLTELSLDILLFVIGKLNLAGPFSVRSSMILANCGKVENQTGLNLLCHFYGKQSVTVGRKQSASFSLRVSAFENQPPEAAAALSIQLSLPGSFTTSPIHLSLLGAQTLAWRTRLVSLKDSKSYPGPFVVVDVSRKSEDGLSISVSPLIRIHNETKFSVELQISRPEPMEDEFASVLLKAGDTFDDSMASFDAINFSGGFRKAVMSLNVGNFLFSFRPEISNDLMHSDSPLSVEWSDEIKGGKAIRLSGIFDKLSYKVRKALSIGSVKCSFSTTCCTIKSAAAHLSDVHFLIQSIGREVPIMKPDKSKDELQNRHEPISLQEEKEVFILPTVRVTNLLHSEIHVLLTETNLCTPTGHDNIGKEATLPCGSTVDFYANPAIMYLFVTLTAFSSTCKPVNSGEWVKKLLKHKKDVPCLDIDLDFGGGKYFASIRLSRGYKGILEATVYTPNTLKNDTDISLFFFAPGQKPSFRNEMGSVRPEFGLVLPPKSTGSWFLKSRKMRLRLLEDHASETQIDLDALSGATEVSLEIEERSGVKYIAKFGVSMGPTLSRVVPSQIITMAPRHVVLNESEETITVRQCNLEVDIDGMISINSRQRRTLWLQTEISKRREYSVFENFIKKHRNDSDSSLIYIQFQLNESQLDWSGPLCITSLGCFFLKFRKQSNQLTIEDKKIVEFAAVHVVEEGSTIVVRFQKPPNGKLPYRIENHLPGVSLTYFQKNSSELEFLGSECSVDYTWDDVTLPHKLVVVINDMNLPREINLDKVRTWKPFYKLTQERLASHMLLDKKSKGRRSNFGDLKGMNAVKVGYEVYADGPTRVLRICEFSDIHKQNKAFHSCAKIRMRVSQFAIQLLEQGKEDLNQSSTPSYTPVIVARLQNICLDSVFTDQQKFNQIVVQSLNVDVKWMGAPFVSMLRGHQLDYSDENDSILKIVFVLLSVGTDVKQVKYSSVILQPIDLNVDEDTLMKIVSFWRRSLSDSNAPSQQFYFDHFEIHPIKIIASFVPGESYSSYNSAQDALRSLLHSVVKVPPIKKMVVELNGVSITHALVTIRELLIRCAQHYSWYTMRAISIAKGSQLLPPAFASIFDDLASSSLDIFFDPSQGLMNLPGIKWGTFKFISKCIHGKGFSGTKRYFGDLGTTLRKAGTNVVFAAVTEISDSVLKGAETSGFDGMVSGFHQGILKLAMEPSVLSTALMGGGPERKIKLDRSPGVDELYIEGYLQAMLDTMYRQEYLRVRVVDDQVILKNLPPSKSLTNEIMDRVKGFLISKALLKGDPSAASRPMRNVQGESEWRIGPTIITLCEHLFVSFAIRKLRKQADKYIRSIQWKKELESDDLKAIIPANTGEEQNVRFVWKWGIAKFVLSGILAYIDGRLCRCIPNPVARRIVSGFLLSFLDQNNG >EOX96660 pep chromosome:Theobroma_cacao_20110822:1:38581897:38583724:-1 gene:TCM_005864 transcript:EOX96660 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit RPB11 MNAPDRYERFVVPEGTKKVSYERDTKIINAASFTIEREDHTIGNIVRMQLHRDENVLFAGYKLPHPLQYKIIVRIHTTSQSSPMQAYNQAINDLDKELDHLKNAFERLSWQSIQGTTNAYLRCLSIVCIYVLTLKGSEPITLRHLSHFDSCDASKAAIYLIETFVTTVL >EOX91890 pep chromosome:Theobroma_cacao_20110822:1:4374318:4375546:1 gene:TCM_000953 transcript:EOX91890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNKAATSPSTYMKTLEVLTRFPILGLLFFLCRFALRCSHLSRHPLAHPPCREGKASNIQPKRKKETKIEEQDPERLTWKSLLSFQILQMVLYSIPGDVSFFSAREQKDNATGDYHI >EOX91262 pep chromosome:Theobroma_cacao_20110822:1:2109566:2111995:1 gene:TCM_000509 transcript:EOX91262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative MNSISNDNMETIPAKHPSKLPGKKEKRNSSLFNLSLYSFGFLYSSLGNRIFLRFPLVPFILHLLVSLVLWFTFSLDCLSPLLFIFFMESIRGRKRGGRIFLEQTSSVGQKFGRLKNSVSTEEDSGDRKSNTPKNSSQDYSSMAIDGGASPYNDSSSSPFKKSPWSSHLAGNCNSSAVEDSNFSPNVLMGSLVREEGHIYSLAASGDLLYTGSDSKNIRVWRNQKEYSGFKSNSGLVKTIVIAGEKIFTGHQDGKIRVWKISNKNASVHKRVGTLPTLKDYLRNSLKPSSYIEVKKNRSAIWLKHLDAISCLSLNEDKTLLYSASWDKTFKVWRVSDSKCLESIQSHDDAVNSVVAGFDGLVFTGSADGTVKVWRRELQGKGTKHFFSQTLLKQECAITALAVNPEATFVYCGSSDGLVNFWERENHLSHGGVMRGHKLAILCLVAAGNLVISGSADMGISVWKRSGSEHLCLSMLTGHSGPVKCLAIERDHESASGEKRWILYSGSLDKSVKMWRISERAPPMMQNQHQPYSSDSKRVPTSLTVAPSFSSQGRISQRKY >EOX95955 pep chromosome:Theobroma_cacao_20110822:1:36139939:36144743:1 gene:TCM_005325 transcript:EOX95955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Recombination initiation defects 3, putative isoform 2 MKLKINKACDLSSISVLPPHTRRSSLVPSGPQSSQLRSQPSQQSFSQGISSQHALFSQISQSSLDEVVTTDQRYGSQERENSVKKFSCLPPTNFTREDSQIPISKTSTNLIRKWNSASNPEHRCQSSQELEHRLSMVETSLNRFGMILDSIQSDVMQVNKGTKEVLLEMERIRQKLIAEDTFLQLLNNGQEDIKASLDGGMKAISDQLNKDIYRDKLQQIFLVLSALPEQTEASLLKLQTEICNTFTNVVKGIASNVKTLGQKGPVGTSLQPKCTSCATPQSKPQPVMKQAVPPKVYEQPTLAPKVETGGWKSVKMKQSTINERAFCKENKRKGVSSIEQEKYRILIESDEEMDGGFSCLLDDKERKDRTNSLIKEAKEETERILRKARRRKRKSQNFIIIN >EOX95952 pep chromosome:Theobroma_cacao_20110822:1:36139939:36144253:1 gene:TCM_005325 transcript:EOX95952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Recombination initiation defects 3, putative isoform 2 MKLKINKACDLSSISVLPPHTRRSSLVPSGPQSSQLRSQPSQQSFSQGISSQHALFSQISQSSLDEVVTTDQRYGSQERENSVKKFSCLPPTNFTREDSQIPISKTSTNLIRKWNSASNPEHRCQSSQELEHRLSMVETSLNRFGMILDSIQSDVMQVNKGTKEVLLEMERIRQKLIAEDTFLQLLNNGQEDIKASLDGGMKAISDQLNKDIYRDKLQQIFLVLSALPEQTEASLLKLQTEICNTFTNVVKGIASNVKTLGQKGPVGTSLQPKCTSCATPQSKPQPVMKQAVPPKVYEQPTLAPKVETGGWKSVKMKQSTINERAFCKENKRKGVSSIEQEKYRILIESDEEMDGGFSCLLDDKERKDRTNSLIKEAKEETERILRKARRRKRKSQNFIIIN >EOX95951 pep chromosome:Theobroma_cacao_20110822:1:36139888:36144561:1 gene:TCM_005325 transcript:EOX95951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Recombination initiation defects 3, putative isoform 2 MKLKINKACDLSSISVLPPHTRRSSLVPSGPQSSQLRSQPSQQSFSQGISSQHALFSQISQSSLDEVVTTDQRYGSQERENSVKKFSCLPPTNFTREDSQIPISKTSTNLIRKWNSASNPEHRCQSSQELEHRLSMVETSLNRFGMILDSIQSDVMQVNKGTKEVLLEMERIRQKLIAEDTFLQLLNNGQEDIKASLDGGMKAISDQLNKDIYRDKLQQIFLVLSALPEQTEASLLKLQTEICNTFTNVVKGIASNVKTLGQKGPVGTSLQPKCTSCATPQSKPQPVMKQAVPPKVYEQPTLAPKVETGGWKSVKMKQSTINERAFCKENKRKGVSSIEQEKYRILIESDEEMDGGFSCLLDDKERNRTNSLIKEAKEETERILRKARRRKRKSQNFIIIN >EOX95953 pep chromosome:Theobroma_cacao_20110822:1:36139955:36144713:1 gene:TCM_005325 transcript:EOX95953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Recombination initiation defects 3, putative isoform 2 MKLKINKACDLSSISVLPPHTRRSSLVPSGPQSSQLRSQPSQQSFSQGISSQHALFSQISQSSLDEVVTTDQRYGSQERENSVKKFSCLPPTNFTREDSQIPISKTSTNLIRKWNSASNPEHRCQSSQELEHRLSMVETSLNRFGMILDSIQSDVMQVNKGTKEVLLEMERIRQKLIAEDTFLQLLNNGQEDIKASLDGGMKAISDQLNKDIYRDKLQQIFLVLSALPEQTEASLLKLQTEICNTFTNVVKGIASNVKTLGQKGPVGTSLQPKCTSCATPQSKPQPVMKQAVPPKVYEQPTLAPKVETGGWKSVKMKQSTINERAFCKENKRKGVSSIEQEKYRILIESDEEMDGGFSCLLDDKERKDRTNSLIKEAKEETERILRKARRRKRKSQNFIIIN >EOX95954 pep chromosome:Theobroma_cacao_20110822:1:36139955:36144713:1 gene:TCM_005325 transcript:EOX95954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Recombination initiation defects 3, putative isoform 2 MKLKINKACDLSSISVLPPHTRRSSLVPSGPQSSQLRSQPSQQSFSQGISSQHALFSQISQSSLDEVVTTDQRYGSQERENSVKKFSCLPPTNFTREDSQIPISKTSTNLIRKWNSASNPEHRCQSSQELEHRLSMVETSLNRFGMILDSIQSDVMQVNKGTKEVLLEMERIRQKLIAEDTFLQLLNNGQEDIKASLDGGMKAISDQLNKDIYRDKLQQIFLVLSALPEQTEASLLKLQTEICNTFTNVVKGIASNVKTLGQKGPVGTSLQPKCTSCATPQSKPQPVMKQAVPPKVYEQPTLAPKVETGGWKSVKMKQSTINERAFCKENKRKGVSSIEQEKYRILIESDEEMDGGFSCLLDDKERNRTNSLIKEAKEETERILRKARRRKRKSQNFIIIN >EOX92252 pep chromosome:Theobroma_cacao_20110822:1:5934136:5942093:1 gene:TCM_001226 transcript:EOX92252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein isoform 2 MNLSFSFAFSFYFLLLILFFGFASASGFDPSELRSLLEFKKGIKTDPFDKVLSVWDPDTQPDPTSWTGVSRDPNSGSIVSLNLDRLGLVGDLKFHTLTPLRNLQNLSLSGNAFTGRVAPALGLITSLQHLDLSDNQFVGTIPGRITDLYGLNYLNLSGNKFAGGLPGGFRNLQQLRVLDLHNNALRGDIGELLGELRNVEHVDLSYNEFYGGLSVAVENVSSLANTLRFMNLSHNQLNGGFLKEEAIGLFKNLQVLDLGDNWITGQLPSFGSLPGLHVLRLGKNQLFGPVPEELLVGFVPLEELDLNHNGFTGSIHVINSTTLKVLNLSSNQLSGDLPSSLRSCETVDLSSNMISGDISVMQNWEASLIVLDLSSNKLSGSLPNLSRFEDLNTFNLRNNSLVGTLPSLLDTCPRLSVVELSLNQLSGPIPGGLFTSTTLKNLNLSGNHFTGPIPLQSSRVNELLVMSSYPQMESLDLSNNSLTGGLPSEIGNIARLKLLSLADNELSGQLPSELSKLSNLEYLDLSGNNFKGKIPDKLSPGLNEFNVSGNDLSGPVPENLRGFPKSSFSPGNSLLIFPNGMPSTDSAQNQVNDHARHHGSKGNIRVAIIVASVVAAVMIVFVLLAYHRAQLKEFHGRSGFTETTTAGDAKLGRLSRPSLFKFHQNAQTPQTSSSFSNDHLLTSNSRSLSGQQEFVAEIVEHSAPERVTTFSASVNPNPLDNQSVTSGRKSSPGSPLPSSPRFIEACEQPVILDVYSPDRLAGELFFLDTSLAFTIEELSRAPAEVLGRGSHGTLYKATLHNGHMLTVKWLRVGLVKHKKEFAKEVKKIGSVRHPNFVPVRAYYWGPREQERLLLADYIQCDSLALHLYETTPRRYSPLSFGQRLKVAVEVAQCLLYLHDRGLPHGNLKPTNILLADPEYHACLTDYCLHRLMTPTGIAEQILNLGALGYCAPELAAASKPVPSFKADVYALGVILMELLTRRSAGDIISGQSGAVDLTDWVRLCDQEGRGMDCIDRDIASGEEHLKAMDDLLAISLRCILPVNERPNIRQVYEDLCSISL >EOX92253 pep chromosome:Theobroma_cacao_20110822:1:5934265:5940945:1 gene:TCM_001226 transcript:EOX92253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein isoform 2 MNLSFSFAFSFYFLLLILFFGFASASGFDPSELRSLLEFKKGIKTDPFDKVLSVWDPDTQPDPTSWTGVSRDPNSGSIVSLNLDRLGLVGDLKFHTLTPLRNLQNLSLSGNAFTGRVAPALGLITSLQHLDLSDNQFVGTIPGRITDLYGLNYLNLSGNKFAGGLPGGFRNLQQLRVLDLHNNALRGDIGELLGELRNVEHVDLSYNEFYGGLSVAVENVSSLANTLRFMNLSHNQLNGGFLKEEAIGLFKNLQVLDLGDNWITGQLPSFGSLPGLHVLRLGKNQLFGPVPEELLVGFVPLEELDLNHNGFTGSIHVINSTTLKVLNLSSNQLSGDLPSSLRSCETVDLSSNMISGDISVMQNWEASLIVLDLSSNKLSGSLPNLSRFEDLNTFNLRNNSLVGTLPSLLDTCPRLSVVELSLNQLSGPIPGGLFTSTTLKNLNLSGNHFTGPIPLQSSRVNELLVMSSYPQMESLDLSNNSLTGGLPSEIGNIARLKLLSLADNELSGQLPSELSKLSNLEYLDLSGNNFKGKIPDKLSPGLNEFNVSGNDLSGPVPENLRGFPKSSFSPGNSLLIFPNGMPSTDSAQNQVNDHARHHGSKGNIRVAIIVASVVAAVMIVFVLLAYHRAQLKEFHGRSGFTETTTAGDAKLGRLSRPSLFKFHQNAQTPQTSSSFSNDHLLTSNSRSLSGQQEFVAEIVEHSAPERVTTFSASVNPNPLDNQSVTSGRKSSPGSPLPSSPRFIEACEQPVILDVYSPDRLAGELFFLDTSLAFTIEELSRAPAEVLGRGSHGTLYKATLHNGHMLTVKWLRVGLVKHKKEFAKEVKKIGSVRHPNFVPVRAYYWGPREQERLLLADYIQCDSLALHLYETTPRRYSPLSFGQRLKVAVEVAQCLLYLHDRGLPHGNLKPTNILLADPEYHACLTDYCLHRLMTPTGIAASKPVPSFKADVYALGVILMELLTRRSAGDIISGQSGAVDLTDWVRLCDQEGRGMDCIDRDIASGEEHLKAMDDLLAISLRCILPVNERPNIRQVYEDLCSISL >EOX92204 pep chromosome:Theobroma_cacao_20110822:1:5704512:5714607:-1 gene:TCM_001188 transcript:EOX92204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding isoform 3 MEKNGDAKIQDSEPPTPHSVVKMGLRDRTSSMEDPDGTLASVAQCIEQLRQSSSSVQEKEHSLRQLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSVGVKIQAASVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSSEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWKLLHNGLKTGDLVDNLLTGALKNLSSSTEGFWSATVQAGGVDILVKLLTTGQSSTQANVCFLLACMMMEDASVCSKVSAAEATKQLLKLIGPGNEAPVRAEAAGALKSLSAQCKEARREIANSNGIPALITATIAPSKEFMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYDSKAESTRASDPLVIEQTLVNQFQPRLPFLVQERTIEALASLYGNTILSIKLANSDAKRLLVGLITMATNEVQEELIRTLLTLCNNEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSVKAKEDSALILKNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLSALLTSDLPESKVYVLDALRSMLSVVPFHDILRDGSAANDAIETMIKILSSTKEETQAKSASALAGIFETRKDLRESNIAVKTLWSVMKLLNVESENILAESCHCLAAVFLSIKENRDVAAVARDAMSPLVALADSSVLEVAEQAVCALANLILDTEVSETAIAEQIILPSTRVLREGTVSGKTYAAAAIARLLHSRQIDYAITDCVNRAGTVLALVSFLESARGGSVATAEALDALAIVSRSEGASGQIKPTWAVLAEFPKCISPIVSSIVDATPLLQDKAIEILSRLCRDQPVVLGDTVASISECIPSIARRVISSSNLKVKIGGTALLICAAKVNHHRVVEDLNQSDSSTHLIQSLVSMLGSGETPLANPQVDNVDAISICRHAKEEARNGELDTGTAVISGANLAIWLLSVLACHDEKSKIAIMEAGAVEVVTERISQRSSQYAQIDFKEDNSIWICALLLAILFQDRDIIRAHATMKSVPVLANLVKSEVLANRYFAAQAMASLVCNGSRGTLLSVANSGAAGGLISLLGCADVDIEELLELSEEFALVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPYLALGLLTQLAKDCPSNKIVMVESGALEALTKYLSLSPQDATEEAATDLLGILFSSAEIRRHEAAFGAVSQLVAVLRLGGRAARYSAAKALESLFSADHIRNAETARQAVQPLVEILNAGMEKEQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCRILSSNCSMELKGDAAELCCVLFVNTRIRSTMAAARCVEPLVSLLVTEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGNNYMLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLCAAFAELLRILTNNATIAKGPSAAKVVEPLFQLLSRPEFGPDGQHSALQVLVNILEHPHCRADYTLTSHQAIEPLIPLLDSPAPAVQQLAAELLSHLLLEEHLQRDAVTQQVIGPLIRILGSGIHILQQRAVKALVSIALTCPNEIAKEGGVNELSKVILQADPSLPHALWESAASVLASILQFSSEFYLEVPVAVLVRLLRSGSEGTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRETKATKTAIVPLSQYLLDPQTQAQQARLLATLALGDLFQNEALARTADAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPETSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKSGSEATQEAALDALFLLRQAWSACPAEVSRAQSVAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVFCKLTLGNNPPRQTKVRYFAVLLHPVLSVFDFELGEFYF >EOX92200 pep chromosome:Theobroma_cacao_20110822:1:5704135:5714771:-1 gene:TCM_001188 transcript:EOX92200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding isoform 3 MEKNGDAKIQDSEPPTPHSVVKMGLRDRTSSMEDPDGTLASVAQCIEQLRQSSSSVQEKEHSLRQLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSVGVKIQAASVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSSEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWKLLHNGLKTGDLVDNLLTGALKNLSSSTEGFWSATVQAGGVDILVKLLTTGQSSTQANVCFLLACMMMEDASVCSKVSAAEATKQLLKLIGPGNEAPVRAEAAGALKSLSAQCKEARREIANSNGIPALITATIAPSKEFMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYDSKAESTRASDPLVIEQTLVNQFQPRLPFLVQERTIEALASLYGNTILSIKLANSDAKRLLVGLITMATNEVQEELIRTLLTLCNNEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSVKAKEDSALILKNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLSALLTSDLPESKVYVLDALRSMLSVVPFHDILRDGSAANDAIETMIKILSSTKEETQAKSASALAGIFETRKDLRESNIAVKTLWSVMKLLNVESENILAESCHCLAAVFLSIKENRDVAAVARDAMSPLVALADSSVLEVAEQAVCALANLILDTEVSETAIAEQIILPSTRVLREGTVSGKTYAAAAIARLLHSRQIDYAITDCVNRAGTVLALVSFLESARGGSVATAEALDALAIVSRSEGASGQIKPTWAVLAEFPKCISPIVSSIVDATPLLQDKAIEILSRLCRDQPVVLGDTVASISECIPSIARRVISSSNLKVKIGGTALLICAAKVNHHRVVEDLNQSDSSTHLIQSLVSMLGSGETPLANPQVDNVDAISICRHAKEEARNGELDTGTAVISGANLAIWLLSVLACHDEKSKIAIMEAGAVEVVTERISQRSSQYAQIDFKEDNSIWICALLLAILFQDRDIIRAHATMKSVPVLANLVKSEVLANRYFAAQAMASLVCNGSRGTLLSVANSGAAGGLISLLGCADVDIEELLELSEEFALVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPYLALGLLTQLAKDCPSNKIVMVESGALEALTKYLSLSPQDATEEAATDLLGILFSSAEIRRHEAAFGAVSQLVAVLRLGGRAARYSAAKALESLFSADHIRNAETARQAVQPLVEILNAGMEKEQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCRILSSNCSMELKGDAAELCCVLFVNTRIRSTMAAARCVEPLVSLLVTEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGNNYMLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLCAAFAELLRILTNNATIAKGPSAAKVVEPLFQLLSRPEFGPDGQHSALQVLVNILEHPHCRADYTLTSHQAIEPLIPLLDSPAPAVQQLAAELLSHLLLEEHLQRDAVTQQVIGPLIRILGSGIHILQQRAVKALVSIALTCPNEIAKEGGVNELSKVILQADPSLPHALWESAASVLASILQFSSEFYLEVPVAVLVRLLRSGSEGTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRETKATKTAIVPLSQYLLDPQTQAQQARLLATLALGDLFQNEALARTADAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPETSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKSGSEATQEAALDALFLLRQAWSACPAEVSRAQSVAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVFCKLTLGNNPPRQTKVVSTGPNPEWDESFSWTFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLLPESKSGPSRNLEIEFQWSNK >EOX92205 pep chromosome:Theobroma_cacao_20110822:1:5705264:5713923:-1 gene:TCM_001188 transcript:EOX92205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding isoform 3 MEKNGDAKIQDSEPPTPHSVVKMGLRDRTSSMEDPDGTLASVAQCIEQLRQSSSSVQEKEHSLRQLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSVGVKIQAASVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSSEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWKLLHNGLKTGDLVDNLLTGALKNLSSSTEGFWSATVQAGGVDILVKLLTTGQSSTQANVCFLLACMMMEDASVCSKVSAAEATKQLLKLIGPGNEAPVRAEAAGALKSLSAQCKEARREIANSNGIPALITATIAPSKEFMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYDSKAESTRASDPLVIEQTLVNQFQPRLPFLVQERTIEALASLYGNTILSIKLANSDAKRLLVGLITMATNEVQEELIRTLLTLCNNEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSVKAKEDSALILKNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLSALLTSDLPESKVYVLDALRSMLSVVPFHDILRDGSAANDAIETMIKILSSTKEETQAKSASALAGIFETRKDLRESNIAVKTLWSVMKLLNVESENILAESCHCLAAVFLSIKENRDVAAVARDAMSPLVALADSSVLEVAEQAVCALANLILDTEVSETAIAEQIILPSTRVLREGTVSGKTYAAAAIARLLHSRQIDYAITDCVNRAGTVLALVSFLESARGGSVATAEALDALAIVSRSEGASGQIKPTWAVLAEFPKCISPIVSSIVDATPLLQDKAIEILSRLCRDQPVVLGDTVASISECIPSIARRVISSSNLKVKIGGTALLICAAKVNHHRVVEDLNQSDSSTHLIQSLVSMLGSGETPLANPQVDNVDAISICRHAKEEARNGELDTGTAVISGANLAIWLLSVLACHDEKSKIAIMEAGAVEVVTERISQRSSQYAQIDFKEDNSIWICALLLAILFQDRDIIRAHATMKSVPVLANLVKSEVLANRYFAAQAMASLVCNGSRGTLLSVANSGAAGGLISLLGCADVDIEELLELSEEFALVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPYLALGLLTQLAKDCPSNKIVMVESGALEALTKYLSLSPQDATEEAATDLLGILFSSAEIRRHEAAFGAVSQLVAVLRLGGRAARYSAAKALESLFSADHIRNAETARQAVQPLVEILNAGMEKEQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCRILSSNCSMELKGDAAELCCVLFVNTRIRSTMAAARCVEPLVSLLVTEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGNNYMLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLCAAFAELLRILTNNATIAKGPSAAKVVEPLFQLLSRPEFGPDGQHSALQVLVNILEHPHCRADYTLTSHQAIEPLIPLLDSPAPAVQQLAAELLSHLLLEEHLQRDAVTQQVIGPLIRILGSGIHILQQRAVKALVSIALTCPNEIAKEGGVNELSKVILQADPSLPHALWESAASVLASILQFSSEFYLEVPVAVLVRLLRSGSEGTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRETKATKTAIVPLSQYLLDPQTQAQQARLLATLALGDLFQNEALARTADAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPETSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKSGSEATQEAALDALFLLRQAWSACPAEVSRAQSVAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVFCKLTLGNNPPRQTKVRYFAVLLHPVLSVFDFELGEFYF >EOX92201 pep chromosome:Theobroma_cacao_20110822:1:5703483:5715530:-1 gene:TCM_001188 transcript:EOX92201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding isoform 3 MEKNGDAKIQDSEPPTPHSVVKMGLRDRTSSMEDPDGTLASVAQCIEQLRQSSSSVQEKEHSLRQLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSVGVKIQAASVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSSEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWKLLHNGLKTGDLVDNLLTGALKNLSSSTEGFWSATVQAGGVDILVKLLTTGQSSTQANVCFLLACMMMEDASVCSKVSAAEATKQLLKLIGPGNEAPVRAEAAGALKSLSAQCKEARREIANSNGIPALITATIAPSKEFMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYDSKAESTRASDPLVIEQTLVNQFQPRLPFLVQERTIEALASLYGNTILSIKLANSDAKRLLVGLITMATNEVQEELIRTLLTLCNNEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSVKAKEDSALILKNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLSALLTSDLPESKVYVLDALRSMLSVVPFHDILRDGSAANDAIETMIKILSSTKEETQAKSASALAGIFETRKDLRESNIAVKTLWSVMKLLNVESENILAESCHCLAAVFLSIKENRDVAAVARDAMSPLVALADSSVLEVAEQAVCALANLILDTEVSETAIAEQIILPSTRVLREGTVSGKTYAAAAIARLLHSRQIDYAITDCVNRAGTVLALVSFLESARGGSVATAEALDALAIVSRSEGASGQIKPTWAVLAEFPKCISPIVSSIVDATPLLQDKAIEILSRLCRDQPVVLGDTVASISECIPSIARRVISSSNLKVKIGGTALLICAAKVNHHRVVEDLNQSDSSTHLIQSLVSMLGSGETPLANPQVDNVDAISICRHAKEEARNGELDTGTAVISGANLAIWLLSVLACHDEKSKIAIMEAGAVEVVTERISQRSSQYAQIDFKEDNSIWICALLLAILFQDRDIIRAHATMKSVPVLANLVKSEVLANRYFAAQAMASLVCNGSRGTLLSVANSGAAGGLISLLGCADVDIEELLELSEEFALVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPYLALGLLTQLAKDCPSNKIVMVESGALEALTKYLSLSPQDATEEAATDLLGILFSSAEIRRHEAAFGAVSQLVAVLRLGGRAARYSAAKALESLFSADHIRNAETARQAVQPLVEILNAGMEKEQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCRILSSNCSMELKGDAAELCCVLFVNTRIRSTMAAARCVEPLVSLLVTEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGNNYMLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLCAAFAELLRILTNNATIAKGPSAAKVVEPLFQLLSRPEFGPDGQHSALQVLVNILEHPHCRADYTLTSHQAIEPLIPLLDSPAPAVQQLAAELLSHLLLEEHLQRDAVTQQVIGPLIRILGSGIHILQQRAVKALVSIALTCPNEIAKEGGVNELSKVILQADPSLPHALWESAASVLASILQFSSEFYLEVPVAVLVRLLRSGSEGTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRETKATKTAIVPLSQYLLDPQTQAQQARLLATLALGDLFQNEALARTADAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPETSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKSGSEATQEAALDALFLLRQAWSACPAEVSRAQSVAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVFCKLTLGNNPPRQTKVVSTGPNPEWDESFSWTFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLLPESKSGPSRNLEIEFQWSNK >EOX92206 pep chromosome:Theobroma_cacao_20110822:1:5704135:5714626:-1 gene:TCM_001188 transcript:EOX92206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding isoform 3 MEKNGDAKIQDSEPPTPHSVVKMGLRDRTSSMEDPDGTLASVAQCIEQLRQSSSSVQEKEHSLRQLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSVGVKIQAASVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSSEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWKLLHNGLKTGDLVDNLLTGALKNLSSSTEGFWSATVQAGGVDILVKLLTTGQSSTQANVCFLLACMMMEDASVCSKVSAAEATKQLLKLIGPGNEAPVRAEAAGALKSLSAQCKEARREIANSNGIPALITATIAPSKEFMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYDSKAESTRASDPLVIEQTLVNQFQPRLPFLVQERTIEALASLYGNTILSIKLANSDAKRLLVGLITMATNEVQEELIRTLLTLCNNEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSVKAKEDSALILKNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLSALLTSDLPESKVYVLDALRSMLSVVPFHDILRDGSAANDAIETMIKILSSTKEETQAKSASALAGIFETRKDLRESNIAVKTLWSVMKLLNVESENILAESCHCLAAVFLSIKENRDVAAVARDAMSPLVALADSSVLEVAEQAVCALANLILDTEVSETAIAEQIILPSTRVLREGTVSGKTYAAAAIARLLHSRQIDYAITDCVNRAGTVLALVSFLESARGGSVATAEALDALAIVSRSEGASGQIKPTWAVLAEFPKCISPIVSSIVDATPLLQDKAIEILSRLCRDQPVVLGDTVASISECIPSIARRVISSSNLKVKIGGTALLICAAKVNHHRVVEDLNQSDSSTHLIQSLVSMLGSGETPLANPQVDNVDAISICRHAKEEARNGELDTGTAVISGANLAIWLLSVLACHDEKSKIAIMEAGAVEVVTERISQRSSQYAQIDFKEDNSIWICALLLAILFQDRDIIRAHATMKSVPVLANLVKSEVLANRYFAAQAMASLVCNGSRGTLLSVANSGAAGGLISLLGCADVDIEELLELSEEFALVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPYLALGLLTQLAKDCPSNKIVMVESGALEALTKYLSLSPQDATEEAATDLLGILFSSAEIRRHEAAFGAVSQLVAVLRLGGRAARYSAAKALESLFSADHIRNAETARQAVQPLVEILNAGMEKEQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCRILSSNCSMELKGDAAELCCVLFVNTRIRSTMAAARCVEPLVSLLVTEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGNNYMLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLCAAFAELLRILTNNATIAKGPSAAKVVEPLFQLLSRPEFGPDGQHSALQVLVNILEHPHCRADYTLTSHQAIEPLIPLLDSPAPAVQQLAAELLSHLLLEEHLQRDAVTQQVIGPLIRILGSGIHILQQRAVKALVSIALTCPNEIAKEGGVNELSKVILQADPSLPHALWESAASVLASILQFSSEFYLEVPVAVLVRLLRSGSEGTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRETKATKTAIVPLSQYLLDPQTQAQQARLLATLALGDLFQNEALARTADAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPETSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKSGSEATQEAALDALFLLRQAWSACPAEVSRAQSVAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVFCKLTLGNNPPRQTKSSFGKVTIQ >EOX92203 pep chromosome:Theobroma_cacao_20110822:1:5704567:5713923:-1 gene:TCM_001188 transcript:EOX92203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding isoform 3 MEKNGDAKIQDSEPPTPHSVVKMGLRDRTSSMEDPDGTLASVAQCIEQLRQSSSSVQEKEHSLRQLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSVGVKIQAASVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSSEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWKLLHNGLKTGDLVDNLLTGALKNLSSSTEGFWSATVQAGGVDILVKLLTTGQSSTQANVCFLLACMMMEDASVCSKVSAAEATKQLLKLIGPGNEAPVRAEAAGALKSLSAQCKEARREIANSNGIPALITATIAPSKEFMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYDSKAESTRASDPLVIEQTLVNQFQPRLPFLVQERTIEALASLYGNTILSIKLANSDAKRLLVGLITMATNEVQEELIRTLLTLCNNEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSVKAKEDSALILKNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLSALLTSDLPESKVYVLDALRSMLSVVPFHDILRDGSAANDAIETMIKILSSTKEETQAKSASALAGIFETRKDLRESNIAVKTLWSVMKLLNVESENILAESCHCLAAVFLSIKENRDVAAVARDAMSPLVALADSSVLEVAEQAVCALANLILDTEVSETAIAEQIILPSTRVLREGTVSGKTYAAAAIARLLHSRQIDYAITDCVNRAGTVLALVSFLESARGGSVATAEALDALAIVSRSEGASGQIKPTWAVLAEFPKCISPIVSSIVDATPLLQDKAIEILSRLCRDQPVVLGDTVASISECIPSIARRVISSSNLKVKIGGTALLICAAKVNHHRVVEDLNQSDSSTHLIQSLVSMLGSGETPLANPQVDNVDAISICRHAKEEARNGELDTGTAVISGANLAIWLLSVLACHDEKSKIAIMEAGAVEVVTERISQRSSQYAQIDFKEDNSIWICALLLAILFQDRDIIRAHATMKSVPVLANLVKSEVLANRYFAAQAMASLVCNGSRGTLLSVANSGAAGGLISLLGCADVDIEELLELSEEFALVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPYLALGLLTQLAKDCPSNKIVMVESGALEALTKYLSLSPQDATEEAATDLLGILFSSAEIRRHEAAFGAVSQLVAVLRLGGRAARYSAAKALESLFSADHIRNAETARQAVQPLVEILNAGMEKEQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCRILSSNCSMELKGDAAELCCVLFVNTRIRSTMAAARCVEPLVSLLVTEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGNNYMLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLCAAFAELLRILTNNATIAKGPSAAKVVEPLFQLLSRPEFGPDGQHSALQVLVNILEHPHCRADYTLTSHQAIEPLIPLLDSPAPAVQQLAAELLSHLLLEEHLQRDAVTQQVIGPLIRILGSGIHILQQRAVKALVSIALTCPNEIAKEGGVNELSKVILQADPSLPHALWESAASVLASILQFSSEFYLEVPVAVLVRLLRSGSEGTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRETKATKTAIVPLSQYLLDPQTQAQQARLLATLALGDLFQNEALARTADAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPETSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKSGSEATQEAALDALFLLRQAWSACPAEVSRAQSVAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVFCKLTLGNNPPRQTKVVSTGPNPEWDESFSWTFESPPKGQKLHISCKNKSKMGKVTISERIILFSFCLVRLGIFRKFSNYF >EOX92202 pep chromosome:Theobroma_cacao_20110822:1:5704135:5714771:-1 gene:TCM_001188 transcript:EOX92202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding isoform 3 MEKNGDAKIQDSEPPTPHSVVKMGLRDRTSSMEDPDGTLASVAQCIEQLRQSSSSVQEKEHSLRQLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSVGVKIQAASVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSSEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWKLLHNGLKTGDLVDNLLTGALKNLSSSTEGFWSATVQAGGVDILVKLLTTGQSSTQANVCFLLACMMMEDASVCSKVSAAEATKQLLKLIGPGNEAPVRAEAAGALKSLSAQCKEARREIANSNGIPALITATIAPSKEFMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYDSKAESTRASDPLVIEQTLVNQFQPRLPFLVQERTIEALASLYGNTILSIKLANSDAKRLLVGLITMATNEVQEELIRTLLTLCNNEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSVKAKEDSALILKNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLSALLTSDLPESKVYVLDALRSMLSVVPFHDILRDGSAANDAIETMIKILSSTKEETQAKSASALAGIFETRKDLRESNIAVKTLWSVMKLLNVESENILAESCHCLAAVFLSIKENRDVAAVARDAMSPLVALADSSVLEVAEQAVCALANLILDTEVSETAIAEQIILPSTRVLREGTVSGKTYAAAAIARLLHSRQIDYAITDCVNRAGTVLALVSFLESARGGSVATAEALDALAIVSRSEGASGQIKPTWAVLAEFPKCISPIVSSIVDATPLLQDKAIEILSRLCRDQPVVLGDTVASISECIPSIARRVISSSNLKVKIGGTALLICAAKVNHHRVVEDLNQSDSSTHLIQSLVSMLGSGETPLANPQVDNVDAISICRHAKEEARNGELDTGTAVISGANLAIWLLSVLACHDEKSKIAIMEAGAVEVVTERISQRSSQYAQIDFKEDNSIWICALLLAILFQDRDIIRAHATMKSVPVLANLVKSEVLANRYFAAQAMASLVCNGSRGTLLSVANSGAAGGLISLLGCADVDIEELLELSEEFALVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPYLALGLLTQLAKDCPSNKIVMVESGALEALTKYLSLSPQDATEEAATDLLGILFSSAEIRRHEAAFGAVSQLVAVLRLGGRAARYSAAKALESLFSADHIRNAETARQAVQPLVEILNAGMEKEQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCRILSSNCSMELKGDAAELCCVLFVNTRIRSTMAAARCVEPLVSLLVTEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGNNYMLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLCAAFAELLRILTNNATIAKGPSAAKVVEPLFQLLSRPEFGPDGQHSALQVLVNILEHPHCRADYTLTSHQAIEPLIPLLDSPAPAVQQLAAELLSHLLLEEHLQRDAVTQQVIGPLIRILGSGIHILQQRAVKALVSIALTCPNEIAKEGGVNELSKVILQADPSLPHALWESAASVLASILQFSSEFYLEVPVAVLVRLLRSGSEGTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRETKATKTAIVPLSQYLLDPQTQAQQARLLATLALGDLFQNEALARTADAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPETSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKSGSEATQEAALDALFLLRQAWSACPAEVSRAQSVAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVFCKLTLGNNPPRQTKVVSTGPNPEWDESFSWTFESPPKGQKLHISCKNKSKMGKSSFGKVTIQ >EOX93794 pep chromosome:Theobroma_cacao_20110822:1:15874805:15882436:1 gene:TCM_002723 transcript:EOX93794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl terminus of HSC70-interacting protein MGPGSALSAAAKQAEQLRKDGNNYFKKGRFGAAIDAYTEAITLCPNVPVYWTNRALCHLRRHNWLRVEQDCRRAIQLDYSSVKAHYMLGLALLQKEEYDEGVKKLQKAMDLGRGANPKGYMVEEIWQELAKAKYLQWEHASTKRLWELQSLKEACETAFREKPIVDGSYSEDDRSKHLEALGQVFREAGEADLPSEVPDYLCCKITLDIFRDPVITPSGVTYERAVILDHLQKVGKFDPITREPLNQSQLIPNLAMKEAVQAYLDKHGWAYRTN >EOX92950 pep chromosome:Theobroma_cacao_20110822:1:9568722:9569507:-1 gene:TCM_001812 transcript:EOX92950 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit d, putative isoform 1 MSGQSQRLNVVPTVTMLGIMKARLVGATRGHALLKKKSDALTVQFRQILKKIVSTKESMGDIMKTSSFALTEAKYVAGENIKHIVLENVQNASLKVRSRQENVAGVKLPKFEYFTDGETKNDLTGLARGGQQVQQCRAAYVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIERQLAAAKQFAEDQFGEKISLQKGVSINSAHNLLSAAMEKDEDIIF >EOX92952 pep chromosome:Theobroma_cacao_20110822:1:9568147:9571565:-1 gene:TCM_001812 transcript:EOX92952 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit d, putative isoform 1 MSGQSQRLNVVPTVTMLGIMKARLVGATRGHALLKKKSDALTVQFRQILKKIVSTKESMGDIMKTSSFALTEAKYVAGENIKHIVLENVQNASLKVRSRQENVAGVKLPKFEYFTDGETKNDLTGLARGGQQVQQCRAAYVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIERQLAAAKQFAEDQFGEKISLQKGVSINSAHNLLSAAMEKDEDIIF >EOX92951 pep chromosome:Theobroma_cacao_20110822:1:9568147:9571565:-1 gene:TCM_001812 transcript:EOX92951 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit d, putative isoform 1 MSGQSQRLNVVPTVTMLGIMKARLVGATRGHALLKKKSDALTVQFRQILKKIVSTKESMGDIMKTSSFALTEAKYVAGENIKHIVLENVQNASLKVRSRQENVAGVKLPKFEYFTDGETKNDLTGLARGGQQVQQCRAAYVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIERQLAAAKQFAEDQFGEKISLQKGVSINSAHNLLSAAMEKDEDIIF >EOX91067 pep chromosome:Theobroma_cacao_20110822:1:1484789:1485222:1 gene:TCM_000363 transcript:EOX91067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAPHEVMLIRLIFSAKTPPLSTVPCQLIELNLPMIETCPSNSFNSTSANFRSMIATDFSSVDPNWGKGRSIMINFKERKALDMNLSSPL >EOX93619 pep chromosome:Theobroma_cacao_20110822:1:14384690:14390424:1 gene:TCM_002509 transcript:EOX93619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar cation/proton exchanger 5 MDDPETNHHINKSIDLGNNRPFVRIQSHAEILSLEERAVLELEDEKLASADSITMKKHFFKETTHCSDIIDMKCNNNVWNSIRIVVFSTKLNILMIFGPAAIFVEKATGYHGLVFPLSLLGIIPLAERLGYATEQLACFTGPTVGGLLNATFGNATELIISIFALRNGMIRVVQQSLLGSILSNMLLVLGCAFFSGGLVIMKREQVFNKAAAGVNSGLLLMSVMGLLFPAVLHSTRTELHFGKSELALSRFSSCVMLLAYAAYLYFQLKSQKKLYAMVKDNEGESETEISEDDEAPEISMWEAIVWLSILTVWIAVLSEYLVDAIEGASTALKIPVAFISVILLPIVGNAAEHAGAIMFAMKDKLDISLGVALGSSTQIAMFGIPFCVIIAWIMGQPLDLNFQLFETATLFLAVIVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHTDIDSVVDKPHKKGE >EOX92601 pep chromosome:Theobroma_cacao_20110822:1:7688290:7694498:1 gene:TCM_001530 transcript:EOX92601 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MALGKYSRVDNNGRRSSSSTYCSTVTIVVFVGLCLVGIWMMTSSSVVPLQNGDDTAQEKKNEVKDQVTPVIDESNGGSNTAQFEDNPGDLPEDATKGDFNVSLTKDDGDGNLNMQENQENSEETKLDESKKDDGPSEGGEKNNDSGENLGGQGDTEENSNDKKTDPEESNEKPDSDENDKKSDSDDGENKQDESSSETNGDNKVDGQIEETVNQNDNKESDKSTDEAKDDAQVKNQSSNEVFPSGAQSELLNENMAQNGSFSTQATESKNEKEAQLSSKEYSWKLCNSTAGPDYIPCLDNWNAIRHLPSTKHYEHRERHCPEEPPTCLVPLPEGYKRPIEWPKSREKIWYYNVPHTKLAQIKGHQNWVKVTGEYLTFPGGGTQFKHGALHYIDFIEESVPDIAWGKRSRVILDVGCGVASFGGFLFDRNVLAMSFAPKDEHEAQVQFALERGIPAVSAVMGTKRLPYPGRVFDIVHCARCRVPWHIEGGKLLLELNRVLRPGGFFVWSATPVYQKIPEDVGIWKAMVDLTKAMCWELVNRTSRDTVNGVAVATFKKPTSNDCYEQRSQQEPPLCPESDDPNAAWNVPLQTCMHKVPVEASERGSQWPEQWPARLEKSPYWLLSSQVGVYGKAAPEDFAADHEHWKRVVTKSYINGMGINWSSVRNVMDMRAVYGGFAAALKDLNLWVLNVVSIDSPDTLPIIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSKVKKRCNLLAVIAEVDRVLRPEGKLIVRDNVETITELENMLRSMQWEVRMTYTKDTEGLLCVQKSMWRPKEVETITYAIA >EOX96430 pep chromosome:Theobroma_cacao_20110822:1:37785266:37786569:-1 gene:TCM_005680 transcript:EOX96430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVEEGGGDGDRTRIQSHRVYLLCILCFDFFFCSQQIKINCDVVRQRDNSEPVVTEMWCKLKKPPYSSVTIWLVPGKELTKQTLSWIF >EOX90727 pep chromosome:Theobroma_cacao_20110822:1:469371:471091:1 gene:TCM_000114 transcript:EOX90727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 3 isoform 3 CPQNLHHRGLFPLAVNSQGCRIAVVRKNLSFCPKAALRGNVQATGVPTSVPVRVAHELHQAGHRYLDVRTPEEFSAGHAPGAINIPYMCKVGSGMTKNPNFLAEVSSHFGTYDEIIVGCQVGKRSLMAATELLAAVSTGFTAVTDIAGGYAAWTQNQLPTE >EOX90728 pep chromosome:Theobroma_cacao_20110822:1:469371:471091:1 gene:TCM_000114 transcript:EOX90728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 3 isoform 3 CPQNLHHRGLFPLAVNSQGCRIAVVRKNLSFCPKAALRGNVQATGVPTSVPVRVAHELHQAGHRYLDVRTPEEFSAGHAPGAINIPYMCKVGSGMTKNPNFLAEVSSHFGTYDEIIVVSSWLYRRYRHCWRVCGLDSESASDRMMMQQACGRISSYYNKVEDKNPRVE >EOX90729 pep chromosome:Theobroma_cacao_20110822:1:469140:471296:1 gene:TCM_000114 transcript:EOX90729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 3 isoform 3 MEAASLISSSCFTVSSLPSTLCPQNLHHRGLFPLAVNSQGCRIAVVRKNLSFCPKAALRGNVQATGVPTSVPVRVAHELHQAGHRYLDVRTPEEFSAGHAPGAINIPYMCKVGSGLYRRYRHCWRVCGLDSESASDRMMMQQACGRISSYYNKVEDKNPRVE >EOX90726 pep chromosome:Theobroma_cacao_20110822:1:469256:471179:1 gene:TCM_000114 transcript:EOX90726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 3 isoform 3 MEAASLISSSCFTVSSLPSTLCPQNLHHRGLFPLAVNSQGCRIAVVRKNLSFCPKAALRGNVQATGVPTSVPVRVAHELHQAGHRYLDVRTPEEFSAGHAPGAINIPYMCKVGSGMTKNPNFLAEVSSHFGTYDEIIVGCQVGKRSLMAATELLAAGFTAVTDIAGGYAAWTQNQLPTE >EOX92817 pep chromosome:Theobroma_cacao_20110822:1:8730693:8737827:-1 gene:TCM_001686 transcript:EOX92817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MISMDLKGITWVGHVYEKFEAMCLEVEEVMYQDTVKYVENRVQTVGASVKKFYSGMMQDVMQDLLLPSSLEPMKAVAASDLPVEIYAETLKKPNVGLKEDAIQGDSEQLTEDSEVIADVNENAAHVPSSCQLHMVDNIFESCSGSFVERASSDLLSGEHNNRCTLNKTNVEHLLPAETSSEAGCVENEFGRMSSFCGNANANHEVSCHQIPATLTPVSVEEDDCDSIEESSNEIKSASDSVPEILPDGLHLVGIVEKNEMEMRCSSSIIESEESNGKLNWTKDASGSSTVGRKEIETVQQLDKIRVDESCFMVNGAELHFHPQREGKHKTYQRKIRDAISSRMRSARKKEYEQLPLWYGDDVKSDQDSEGSSTSALTREDTRRTLNHDDLDSEWELL >EOX92822 pep chromosome:Theobroma_cacao_20110822:1:8731420:8735783:-1 gene:TCM_001686 transcript:EOX92822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MISMDLKGITWVGHVYEKFEAMCLEVEEVMYQDTVKYVENRVQTVGASVKKFYSGMMQDVMQDLLLPSSLEPMKAVAASDLPVEIYAETLKKPNVGLKEDAIQGDSEQLTEDSEVIADVNENAAHVPSSCQLHMVDNIFESCSGSFVERASSDLLSGEHNNRCTLNKTNVEHLLPAETSSEAGCVENEFGRMSSFCGNANANHEVSCHQIPATLTPVSVEEDDCDSIEESSNEIKSASDSVPEILPDGLHLVGIVEKNEMEMRCSSSIIESEESNGKLNWTKDASGSSTVGRKEIETVQQLDKIRVDESCFMVNGAELHFHPQREGKHKTYQVL >EOX92820 pep chromosome:Theobroma_cacao_20110822:1:8731319:8737656:-1 gene:TCM_001686 transcript:EOX92820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MISMDLKGITWVGHVYEKFEAMCLEVEEVMYQDTVKYVENRVQTVGASVKKFYSGMMQDVMQDLLLPSSLEPMKAVAASDLPVEIYAETLKKPNVGLKEDAIQGDSEQLTEDSEVIADVNENAAHVPSSCQLHMVDNIFESCSGSFVERASSDLLSGEHNNRCTLNKTNVEHLLPAETSSEAGCVENEFGRMSSFCGNANANHEVSCHQIPATLTPVSVEEDDCDSIEESSNEIKSASDSVPEILPDGLHLVGIVEKNEMEMRCSSSIIESEESNGKLNWTKDASGSSTVGRKEIETVQQLDKIRVDESCFMVNGAELHFHPQREGKHKTYQIRDAISSRM >EOX92821 pep chromosome:Theobroma_cacao_20110822:1:8731420:8735783:-1 gene:TCM_001686 transcript:EOX92821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MISMDLKGITWVGHVYEKFEAMCLEVEEVMYQDTVKYVENRVQTVGASVKKFYSGMMQDVMQDLLLPSSLEPMKAVAASDLPVEIYAETLKKPNVGLKEDAIQGDSEQLTEDSEVIADVNENAAHVPSSCQLHMVDNIFESCSGSFVERASSDLLSGEHNNRCTLNKTNVEHLLPAETSSEAGCVENEFGRMSSFCGNANANHEVSCHQIPATLTPVSVEEDDCDSIEESSNEIKSASDSVPEILPDGLHLVGIVEKNEMEMRCSSSIIESEESNGKLNWTKDASGSSTVGRKEIETVQQLDKIRVDESCFMVNGAELHFHPQREGKHKTYQVL >EOX92818 pep chromosome:Theobroma_cacao_20110822:1:8730581:8737850:-1 gene:TCM_001686 transcript:EOX92818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MISMDLKGITWVGHVYEKFEAMCLEVEEVMYQDTVKYVENRVQTVGASVKKFYSGMMQDVMQDLLLPSSLEPMKAVAASDLPVEIYAETLKKPNVGLKEDAIQGDSEQLTEDSEVIADVNENAAHVPSSCQLHMVDNIFESCSGSFVERASSDLLSGEHNNRCTLNKTNVEHLLPAETSSEAGCVENEFGRMSSFCGNANANHEVSCHQIPATLTPVSVEEDDCDSIEESSNEIKSASDSVPEILPDGLHLVGIVEKNEMEMRCSSSIIESEESNGKLNWTKDASGSSTVGRKEIETVQQLDKIRVDESCFMVNGAELHFHPQREGKHKTYQRKIRDAISSRMRSARKKEYEQLPLWYGDDVKSDQDSEGSSTSALTREDTRRTLNHDDLDSEWELL >EOX92819 pep chromosome:Theobroma_cacao_20110822:1:8730693:8737811:-1 gene:TCM_001686 transcript:EOX92819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MISMDLKGITWVGHVYEKFEAMCLEVEEVMYQDTVKYVENRVQTVGASVKKFYSGMMQDVMQDLLLPSSLEPMKAVAASDLPVEIYAETLKKPNVGLKEDAIQGDSEQLTEDSEVIADVNENAAHVPSSCQLHMVDNIFESCSGSFVERASSDLLSGEHNNRCTLNKTNVEHLLPAETSSEAGCVENEFGRMSSFCGNANANHEVSCHQIPATLTPVSVEEDDCDSIEESSNEIKSASDSVPEILPDGLHLVGIVEKNEMEMRCSSSIIESEESNGKLNWTKDASGSSTVGRKEIETVQQLDKIRVDESCFMVNGAELHFHPQREGKHKTYQRKIRDAISSRM >EOX91257 pep chromosome:Theobroma_cacao_20110822:1:2066791:2073751:-1 gene:TCM_000504 transcript:EOX91257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MILCQAKLSFSITIAILLVISQAKSVNSEKNRCQGFCGKGNFPTTLPFPFGFSPGCPIQLNCSSDGVRIGEFLVLNVTSTDIIIKLPVECGRRLASLSVLFGENYAVSVANNLLLQNCTKQLPAPCEIRSKFLERSFLPNSCIDKSDNITCFAGGDGEQLLNFKEVSNTQCRFLFSSTSIVVNSASNSVVSLELERVKLAWWVEGTCSCDANANCTNVKKGNRTLGFTCLCHKGYEGDGFKAGGGCRRVPHCNPSKYISGKCGGTTRVGVLVGGLIAGALLTGGVALLCCYVRRRSSSLNKQMSAKRLLCEAAGNSSVPFYAYREIERATNCFSDKQRLGTGAYGTVYAGKLHNDDWVAIKRFRYRDPDSIDQVMNEIKLLSSVSHPNLVRLLGCCIEEGEPILVYEFMPNGTLSQHLQRERGEGLPWTVRLTIAAETAKAIAYLHSVNPPIFHRDIKSSNILLDFSYRSKVADFGLSRLGMSESSHISTAPQGTPGYLDPQYHQYFHLSDKSDVYSFGVVLVEIITALKVVDFSRPHSEVNLAALANDRIGRGCVDEILDPYLEPHRDAWTLSSIHNVAELAFRCLAFHRDMRPTMTEVAEELEHIRLSAWVPGMCIESPTASSCPSSDDESERSLRTKTSKKSVVGSQRLIVKQRGGDCLTSLDEVENSSPVSVQDHWLSEQSSPSTNSLLGNASHRE >EOX96532 pep chromosome:Theobroma_cacao_20110822:1:38165594:38168476:-1 gene:TCM_005769 transcript:EOX96532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MEPRLFEASRSGHISAFHSLLGEDPFLLDRVALNSVDNPLHISTLAGQTEITKAIVSRKPAFARELNENGFSPMHVASAKGHIEIIRELMRVGYDICLLKGKDGKVPLHCAALKGRVDVVKELVGACPESVKEPTAFGETALHLAVKSNQIEAARVLIEEMRRLDMMEILNWKDKDGNTILHQATFNRQHEASFAVLPSNALLNPGFAHGGVQSMIIGLLIGQEAVVSRVNVNAINSSGFTPKDVLDLLLQSGGDCYDIQIHQIFQQAGAVKARDITTGPAHVQTEAENFNKKQKLLSPSSWNQWKELMKEVTESSTDTQNALMVVAVLIATITYQAILSPPSGFWSDPDNKNLPTASTVQKRTMEPGEAVMSDDPPIFSVLIVFNTIGFIASVAMIFLLTSGFPLRAGLRLAMFSMIGTYVVAISYIGPTKMTEIYITVIVMGILFLAEFARFIMWLFKKWRVGPDTRRKH >EOX95193 pep chromosome:Theobroma_cacao_20110822:1:33263806:33264777:1 gene:TCM_004745 transcript:EOX95193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIPNARATSIRAAMKITVHIQYKLGVSMCTWNKGDRFVATQRRPRNVFGGFLDKLMSINCFVSFPTDPSIH >EOX93867 pep chromosome:Theobroma_cacao_20110822:1:16930919:16938208:-1 gene:TCM_002850 transcript:EOX93867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGNDPLPQKLEIAKNTTTDPKTMMMKTNNSLTSFLKKYTESTVSKIFSTKPALQQHYTLSSTTTSKTTECHSDESSDAKSSTLSYISEEYQNPSQFMTTRAGVKVEEMDVDEKVSHIYVPKNSAALTVSKLTFTIDDIPPSRWAERFQEFHSWLETKRLSKNSYYTIRMKFVSRFTGTLRNW >EOX94565 pep chromosome:Theobroma_cacao_20110822:1:30217567:30224888:-1 gene:TCM_004200 transcript:EOX94565 gene_biotype:protein_coding transcript_biotype:protein_coding description:DREB2A-interacting protein 2 isoform 3 MANQVVKVKREAIAACMTCPLCNKLLRDATTISECLHTFCRKCIYDKIQEEELECCPICNTDLGCVPLEKLRPDHNLQDVRAKIFPLKRRKVKAPEIVPPVTVPTRRKERSLSSLVVNAPKVSTQTTMTGRRTKAVARKSGALRGSSFSIEKPVKREEDSMEDHQESASSPETLNKFTQNKRQCTSSAEPSQHMNKEAENGGESWDGKLDLWKPLNCLVEVANRTKTFKSNSQGSDSKLEPSRVASSEAQMCKSKHREDKCKTKIEDEKNSAGPATSEAVNPKKLRRIRRKRASGFGDSGISPQAVLDAAGTEHDRRVGPVWFSLVASEDQEGEAPLPQIPANYLRIKDGNIPVSFIQKYLMKKLDLTDEAEVAIKCMGQPVVPTLHLYNLVDLWLQTASTSQRVPASVGSSHPSHVYDSG >EOX94566 pep chromosome:Theobroma_cacao_20110822:1:30219435:30224888:-1 gene:TCM_004200 transcript:EOX94566 gene_biotype:protein_coding transcript_biotype:protein_coding description:DREB2A-interacting protein 2 isoform 3 MANQVVKVKREAIAACMTCPLCNKLLRDATTISECLHTFCRKCIYDKIQEEELECCPICNTDLGCVPLEKLRPDHNLQDVRAKIFPLKRRKVKAPEIVPPVTVPTRRKERSLSSLVVNAPKVSTQTTMTGRRTKAVARKSGALRGSSFSIEKPVKREEDSMEDHQESASSPETLNKFTQNKRQCTSSAEPSQHMNKEAENGGESWDGKLDLWKPLNCLVEVANRTKTFKSNSQGSDSKLEPSRVASSEAQMCKSKHREDKCKTKIEDEKNSAGPATSEAVNPKKLRRIRRKRASGFGDSGISPQAVLDAAGTEHDRRVGPVWFSLVASEDQEGEAPLPQIPANYLRIKDGNIPVSFIQKYLMKKLDLTDEAEIRSQALFS >EOX94564 pep chromosome:Theobroma_cacao_20110822:1:30218131:30224698:-1 gene:TCM_004200 transcript:EOX94564 gene_biotype:protein_coding transcript_biotype:protein_coding description:DREB2A-interacting protein 2 isoform 3 MANQVVKVKREAIAACMTCPLCNKLLRDATTISECLHTFCRKCIYDKIQEEELECCPICNTDLGCVPLEKLRPDHNLQDVRAKIFPLKRRKVKAPEIVPPVTVPTRRKERSLSSLVVNAPKVSTQTTMTGRRTKAVARKSGALRGSSFSIEKPVKREEDSMEDHQESASSPETLNKFTQNKRQCTSSAEPSQHMNKEAENGGESWDGKLDLWKPLNCLVEVANRTKTFKSNSQGSDSKLEPSRVASSEAQMCKSKHREDKCKTKIEDEKNSAGPATSEAVNPKKLRRIRRKRASGFGDSGISPQAVLDAAGTEHDRRVGPVWFSLVASEDQEGEAPLPQIPANYLRIKDGNIPVSFIQKYLMKKLDLTDEAEVAIKCMGQPVVPTLHLYNLVDLWLQTASTSQRVPASVGSSAKDFVMVLGYARKIPDQ >EOX91057 pep chromosome:Theobroma_cacao_20110822:1:1473188:1476270:1 gene:TCM_000358 transcript:EOX91057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein 5 isoform 1 MSLKSIMRELKEMKDGIGNISRRGGESKVWRSRIRSHVAPDQAPTGSELVEQSPWANLPPELLLDIIQRVEESETAWPARAVVVFCAAVCRSWREITKEIVKTPEQCGRLTFPISLKQPGPRESPIQCYIKRDRATSTYSLFYGLVPSEGENDKLLLAARKVRRATCTDFVISLVADDFSRASNTYVGKLRSNFLGTKFTIYDSQPPCDSIIPSTTRSSRRFHSKQVSPRLPACNYSIGTITYELNVLRTRGPRRMHCIVHSIPISAIQEGGTAPTPSAFPQSFDEQLSPLTSSKGKELVIGASSPSLPATPVFSLGSGEPLTLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPSHNVSPEEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >EOX91058 pep chromosome:Theobroma_cacao_20110822:1:1473188:1476270:1 gene:TCM_000358 transcript:EOX91058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein 5 isoform 1 MSLKSIMRELKEMKDGIGNISRRGGESKVWRSRIRSHVAPDQAPTGSELVEQSPWANLPPELLLDIIQRVEESETAWPARAVVVFCAAVCRSWREITKEIVKTPEQCGRLTFPISLKQPGPRESPIQCYIKRDRATSTYSLFYGLVPSEGENDKLLLAARKVRRATCTDFVISLVADDFSRASNTYVGKLRSNFLGTKFTIYDSQPPCDSIIPSTTRSSRRFHSKQVSPRLPACNYSIGTITYELNVLRTRGPRRMHCIVHSIPISAIQEGGTAPTPSAFPQSFDEQLSPLTSSKGKELVIGASSPSLPATPVFSLGSGEPLTLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPSHNVSPEEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >EOX90855 pep chromosome:Theobroma_cacao_20110822:1:820261:822604:-1 gene:TCM_000209 transcript:EOX90855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavanone 3-hydroxylase isoform 1 MAPSTLTALAEEKTLQASFVRDEDERPKVAYNQFSNEIPVISLAGIDDVDGNRAEICKKIVEACEDWGVFQVIDHGVDTKLISEMTRLAREFFALPAEEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPLKARDYSRWPDKPEGWVEVTKEYSDKLMGLACKLLEVLSEAMDLDKEALTKACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDNGKTWITVQPVEGAFVVNLGDHGHFLSNGRFKNADHQAVVNSDCSRLSIATFQNPAPDATVYPLRIREGEQPILEEPITFAEMYRRKMSKDLELARLKKLAKEQQQVQEIEKTKLEAKPLEKILA >EOX90854 pep chromosome:Theobroma_cacao_20110822:1:819784:822369:-1 gene:TCM_000209 transcript:EOX90854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavanone 3-hydroxylase isoform 1 MAPSTLTALAEEKTLQASFVRDEDERPKVAYNQFSNEIPVISLAGIDDVDGNRAEICKKIVEACEDWGVFQVIDHGVDTKLISEMTRLAREFFALPAEEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPLKARDYSRWPDKPEGWVEVTKEYSDKLMGLACKLLEVLSEAMDLDKEALTKACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDNGKTWITVQPVEGAFVVNLGDHGHFLSNGRFKNADHQAVVNSDCSRLSIATFQNPAPDATVYPLRIREGEQPILEEPITFAEMYRRKMSKDLELARLKKLAKEQQQVQEIEKTKLEAKPLEKILA >EOX91304 pep chromosome:Theobroma_cacao_20110822:1:2257405:2259125:-1 gene:TCM_000547 transcript:EOX91304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 10, putative MERKQHFVLVHGACHGAWCWYKLVSLLKTAGHQVTALDLGASGVDPRRLEESTCISDYLQPLMDFLASLPEPGKVILVGHSYAGLCISLAMERFPNKISVAVFIAAYMPCLGSPPGTLIQEYFKSTPVESLMDCQFTFDKGLEKPPTRVLFGPEFMEAKAYQQCQLEDLELAKMLVRPSGLFLDDLVTGNLLTQEKFGSVDRAFIVLEVDQVMMEEFQRLMIENCPAKEVKVINGAGHMVMLSKPKQLCQLLQDIAEKFC >EOX95724 pep chromosome:Theobroma_cacao_20110822:1:35365490:35366160:1 gene:TCM_005159 transcript:EOX95724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative MAVSINFLAMLVLLMLSALATAETSLLAPSCADVTKAVAPCLNFLKGKGGADPSQACCDGARDLAKEARTKNDRQAICECLKTALGKVGSYDASRVPLIAKKCNVDINIPPINDKTDCSKAVSSIMPKSL >EOX92161 pep chromosome:Theobroma_cacao_20110822:1:5549094:5551960:-1 gene:TCM_001156 transcript:EOX92161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein, putative MEIKVQRCKGAVEAGRRISNTPVDTIYTSLLIRAQMTAMLAMTQHCHRKLPIIMHRVSGQVSSEETKRQAIPVITARRMNERMYIIIDIFLFELTISSKTNKKFNQFESCEHLL >EOX92216 pep chromosome:Theobroma_cacao_20110822:1:5765049:5769014:1 gene:TCM_001196 transcript:EOX92216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQRLLRVFAADCCPTGLLVQKGLTGKQSTCGLVSKNLLELLSRLHWKSCLESLLRYLLQSQCTGIPRSCSADLVVGLCVSMEMQ >EOX91076 pep chromosome:Theobroma_cacao_20110822:1:1514703:1523127:-1 gene:TCM_000371 transcript:EOX91076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein 24, putative MLKQSCLCLLGLNLMELLTVREFLHQKLMSDQFNSSRDSSSKVSSSAPINSGVGERRRDQTVHGDTTVLNPNPPQTLDLHPLYHPELNPQHQIHKPRRDPDPDPDPDPVSAPTATTSATVTASANRSSLKSPQQQPPTSQPPPVAAASPTTISSTPLIRYRECMKNHAASMGSHVMDGCGEFMPSGEEGTPEALKCAACECHRNFHRKEINGETQYAPSCYYSYNPNKNNNRRDTTHPPSQLHPQQPIPLHQQRFSLGLSTSPTAMPIAPVMMNFRGGGPAESSSEDLNMFHSNAGGQISAQPQSSKKRFRTKFSQEQKDKMMEFAEKLGWRIQKQDEQEVQQFCAQVGVKRQVFKVWMHNNKQAMKKKQIWPHQSYRLKETYPS >EOX90593 pep chromosome:Theobroma_cacao_20110822:1:91058:95104:-1 gene:TCM_000021 transcript:EOX90593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine phosphatase 7 isoform 1 MSSENISNTITSPTSRDADASSSTTTTPTSCDNRSPSPSPPPPAAPVPLSWPSDGKLSLEWIQHVMSALDWSSRNLPPSAFPSVLPVPVFDALVLTASKILHKEPNCLQIETLDSDSTVVVVGDLHGQLHDLLYLLKDAGFPAQNRIFVFNGDYVDRGAWGLETFLLLLAWKVFMPHRVYLLRGNHESKYCTSVYGFEKEVLAKYGDKGKHVYRKCLGCFEGLPLASIIAGRVYTAHGGLFRSISVTPSKRSKGKKNRRISLNPVANSLCLGSFEELSKARRSVLDPPWEGLNLIPGDVLWSDPSMSPGLSPNKERGIGLLWGPDCTEEFLKKFKLKLIIRSHEGPDAREKRPGLAGMDEGYTIDHDVESGKLITVFSAPDYPQFQATEERYNNKGAYVVLKPPDFDDPEFHSFEAIAPRPKVNAYYDYEDVIDSDEELDLASMVTAS >EOX90592 pep chromosome:Theobroma_cacao_20110822:1:91132:95024:-1 gene:TCM_000021 transcript:EOX90592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine phosphatase 7 isoform 1 MSSENISNTITSPTSRDADASSSTTTTPTSCDNRSPSPSPPPPAAPVPLSWPSDGKLSLEWIQHVMSALDWSSRNLPPSAFPSVLPVPVFDALVLTASKILHKEPNCLQIETLDSDSTVVVVGDLHGQLHDLLYLLKDAGFPAQNRIFVFNGDYVDRGAWGLETFLLLLAWKVFMPHRVYLLRGNHESKYCTSVYGFEKEVLAKYGDKGKHVYRKCLGCFEGLPLASIIAGRVYTAHGGLFRSISVTPSKRSKGKKNRRISLNPVANSLCLGSFEELSKARRSVLDPPWEGLNLIPGDVLWSDPSMSPGLSPNKERGIGLLWGPDCTEEFLKKFKLKLIIRSHEGPDAREKRPGLAGMDEGYTIDHDVESGKLITVFSAPDYPQFQATEERYNNKGAYVVLKPPDFDDPEFHSFEAIAPRPKVNAYYDYEDVIDSDEELDLASMVTAS >EOX95191 pep chromosome:Theobroma_cacao_20110822:1:33251389:33254691:-1 gene:TCM_004743 transcript:EOX95191 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA protein-7 precursor MANKKLHRIIFTFFFISVSLLNTSLSQTQTPTPAPAPAPASDSCNGIFLSYTYTAGHPIPPTDPSNQAYRFESTLTVLNNGRHELKSWRVFVGFQHKELLVSASNAVLADGNSLPAEVGNGTVFAGFPMSDLKSAVETAGDMTQMEVRVGLVGTQFGVGAPNVPMPLNITLVKDGYSCHNLTKQGNNEMHVCCIQDINSGSNNAPNDEFLPRQEGDLIIMYDVIKASDDNYWAQVSISNHNPLGRLDNWQLSFDWMREEFIHTMKGAYPFVVDTTDCIFGPQGQHYKAMDFSQVLNCERRPTIIDLPPTRANDSILGSIPFCCRNGTILPPLMDPSKSKSSFQMQVFKMPPDLNRTELTPPQNWKIHGTMNPDYECGNPVQVSPSQFPDPSGLPSETAAIASWQVVCNITHSKEAIPKCCVSYSAFFNDSAIPCNTCACGCNGNPSQTCSATEPALLLRPDSLLIPFDNRTVEALKWAEIKRRTVPNPLPCGDNCGVSINWHLLSDYRGGWSARITIFNWGETSFEDWFAAVQFDKAVPGFEEVYTFNGTVLLGSNNTIFMQGRPGLNYLLAETDGANPKKDPRVPGTQQSVISFTKKSTPGINVAKGDGFPSKVLFNGEECALPTILPTNKATRISAATSTFGFLTLALFLLMQ >EOX93667 pep chromosome:Theobroma_cacao_20110822:1:14894733:14895286:1 gene:TCM_002567 transcript:EOX93667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like family protein, putative MGQVKVLSFLLLAALILFIDFGQVQVVAAQARCCNNHPDLGRCLPGQDDDPQKGGKCWNYCSSGCQKGGFCKRMKDGHHECHCYC >EOX95940 pep chromosome:Theobroma_cacao_20110822:1:36091316:36094444:-1 gene:TCM_005318 transcript:EOX95940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane intrinsic protein 1B MEGKEEDVKLGANKFTERQPIGTSAQTDKDYKEQPPAPLFEPGELQSWSFWRAGIAEFVATFLFLYITVLTVMGVNRAPTKCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIVMQCLGAICGAGVVKGFQPSRYEVLGGGANVVNHGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRDHAWDDHWIFWVGPFIGAALAALYHQIVIRAIPFKTRA >EOX95437 pep chromosome:Theobroma_cacao_20110822:1:34211796:34213845:1 gene:TCM_004936 transcript:EOX95437 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein MALSVIRSSRSLVTPSRQTPSDVLELSFIDKVPVLRCYTRTLHVYRHGPKAPKVIREALSKALVPYHPLAGRLKESGENQVQVECSGEGAWFVEASADCTLDSVNYFDNALSIPCDELLPDQVPKRQGMEPLVQMQVTQFACGGFVIGLIFCHTICDGLGSAQFLNAVGEFARGMEHLSTAPVWYRDFFPTPPQQANTNVLPNLPPLMPGYRLEHVNIDISMDAISRLKREFHESTGQGCSAFEIVAANFWSLRTRAINFKPNTEVRLLFFANCRQLLDPPLPKGFYGNCFFPITIAAPCELLKQASIIEVIKLIQEAKTKLPVDFAKFKDGDYFRNGKDPFAPPLGYTTLFISEWGRLGFNQVDFGWGPPVHLVPVPGSGIIPVGIMGSLPLPKKGIRLMTWCVEKDHRRPFLDLIAKLV >EOX96705 pep chromosome:Theobroma_cacao_20110822:1:38717438:38721835:1 gene:TCM_005895 transcript:EOX96705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein isoform 1 MPKRVLCKFFAHGACLKGEHCEFSHDWKDPPNNICTYYQKGICSYGSRCRYEHVRASQSDLSASSSSTVLRQSLISDSVPLGPSLTTTFGGSVVHPSASAEFPGSSRAFLPPTKQAWNLESEHQALSDNRDFVEPRRVNPAERSICSFAAAGNCPRGEKCAHIHGDLCATCGKHCLHPFRPQEREEHIKMCEKKQKHLEALKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSSPSSGMDVNTALRTCPICRKLSYFVIPSVIWYCTPEEKQEIVDSYKAKLRSIDCKHFNFGNGNCPFGTSCFYKHTVKPGSYVWKYHRPPPRRFNFMDMDAIFDVFEQLIAEDEMDIFDAEDFEYDDLTPLEMAFLLMQQDFESSDSSSDEENFLF >EOX96703 pep chromosome:Theobroma_cacao_20110822:1:38717116:38723210:1 gene:TCM_005895 transcript:EOX96703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein isoform 1 MPKRVLCKFFAHGACLKGEHCEFSHDWKDPPNNICTYYQKGICSYGSRCRYEHVRASQSDLSASSSSTVLRQSLISDSVPLGPSLTTTFGGSVVHPSASAEFPGSSRAFLPPTKQAWNLESEHQALSDNRDFVEPRRVNPAERSICSFAAAGNCPRGEKCAHIHGDLCATCGKHCLHPFRPQEREEHIKMCEKKQKHLEALKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSSPSSGMDVNTALRTCPICRKLSYFVIPSVIWYCTPEEKQEIVDSYKAKLRSIDCKHFNFGNGNCPFGTSCFYKHTVKPGSYVWKYHRPPPRRFNFMDMDAIFDVFEQLIAEDEMDIFDAEDFEYDDLTPLEMAFLLMQQDFESMRSSWCFDRLPPFLSGRRWILEFVNLHAYRDGRLEEVVLRHLGAEDGHTIIAKNIRLSDYLSDLHIG >EOX96704 pep chromosome:Theobroma_cacao_20110822:1:38717303:38723248:1 gene:TCM_005895 transcript:EOX96704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein isoform 1 MPKRVLCKFFAHGACLKGEHCEFSHDWKDPPNNICTYYQKGICSYGSRCRYEHVRASQSDLSASSSSTVLRQSLISDSVPLGPSLTTTFGGSVVHPSASAEFPGSSRAFLPPTKQAWNLESEHQALSDNRDFVEPRRVNPAERSICSFAAAGNCPRGEKCAHIHGDLCATCGKHCLHPFRPQEREEHIKMCEKKQKHLEALKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSSPSSGMDVNTALRTCPICRKLSYFVIPSVIWYCTPEEKQEIVDSYKAKLRSIDCKHFNFGNGNCPFGTSCFYKHAYRDGRLEEVVLRHLGAEDGHTIIAKNIRLSDYLSDLHIG >EOX96377 pep chromosome:Theobroma_cacao_20110822:1:37551371:37557704:-1 gene:TCM_005631 transcript:EOX96377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of nuclear pore isoform 2 SLFGASSFTASTTGSSLFGASSFAASTTGSSLFVACSSASTTPLFGSTASSGPSLFGASALAVSSASSPFGASGGSSLFLSSPAPTAAPTTSSFGSSSSSSASTAAAATTPSFSSLLSSSSASNSTSASPFLASTGFSFSSSSSFLKSTASSTSTPTSTTAPSLTAAASSSSSSGFSFAPPSSSASQPTFGYGNAAAMSKPTSLSFGTSSAPLFSTVTTTTSAYTPAASTAAASASSSAAASTPAFPTFNLSSSSATTASSSAAPASSAASSAAVSSFTGFGVTNAAATSGSTSSFTGFSLSTKPSAPTSSSQAQSTTTAPVFSFPGSSSAASITSTSSTTTAQTSSTLVVASSSGTSLSATAAISATPKLPSEITGKTVEEIIKEWNAELQERTGKFRKQASAIAEWDRRILQNRDVLLRLEIEVAKVVEAQASLERQLELIETHQQEVDKALLSMEEEAERIYKDERGLLLDDEAASTRDAMYEQAEIVERELEQMAEQIKSIIETVNSSQGGELEALDGMTPLDVVVRILNNQLTSLMWIDEKAEEFSSRIQKLAMQGSAADRELMAPKFWMS >EOX96376 pep chromosome:Theobroma_cacao_20110822:1:37551371:37558464:-1 gene:TCM_005631 transcript:EOX96376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of nuclear pore isoform 2 MSGFSFPSSSSSQSSSSSSSTPFSLGSSPSPFGSSTSASAPTFGSSLFNSNPSCSSSTAITTTPAFSSNPASGSSPFVGFGQPSSSSSSASSAPVSSFAVGSGSGASPFSSSSSSATSGLFGAASSASASPLPWGAPSSAAPSASPLFVSASSAAGSGSSLFGTSISSTSSPSFGFATASSTVSSALSIFGASSSAASTTGSSLFGASSFTASTTGSSLFGASSFAASTTGSSLFVACSSASTTPLFGSTASSGPSLFGASALAVSSASSPFGASGGSSLFLSSPAPTAAPTTSSFGSSSSSSASTAAAATTPSFSSLLSSSSASNSTSASPFLASTGFSFSSSSSFLKSTASSTSTPTSTTAPSLTAAASSSSSSGFSFAPPSSSASQPTFGYGNAAAMSKPTSLSFGTSSAPLFSTVTTTTSAYTPAASTAAASASSSAAASTPAFPTFNLSSSSATTASSSAAPASSAASSAAVSSFTGFGVTNAAATSGSTSSFTGFSLSTKPSAPTSSSQAQSTTTAPVFSFPGSSSAASITSTSSTTTAQTSSTLVVASSSGTSLSATAAISATPKLPSEITGKTVEEIIKEWNAELQERTGKFRKQASAIAEWDRRILQNRDVLLRLEIEVAKVVEAQASLERQLELIETHQQEVDKALLSMEEEAERIYKDERGLLLDDEAASTRDAMYEQAEIVERELEQMAEQIKSIIETVNSSQGGELEALDGMTPLDVVVRILNNQLTSLMWIDEKAEEFSSRIQKLAMQGSAADRELMAPKFWMS >EOX96378 pep chromosome:Theobroma_cacao_20110822:1:37552449:37558903:-1 gene:TCM_005631 transcript:EOX96378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of nuclear pore isoform 2 MSGFSFPSSSSSQSSSSSSSTPFSLGSSPSPFGSSTSASAPTFGSSLFNSNPSCSSSTAITTTPAFSSNPASGSSPFVGFGQPSSSSSSASSAPVSSFAVGSGSGASPFSSSSSSATSGLFGAASSASASPLPWGAPSSAAPSASPLFVSASSAAGSGSSLFGTSISSTSSPSFGFATASSTVSSALSIFGASSSAASTTGSSLFGASSFTASTTGSSLFGASSFAASTTGSSLFVACSSASTTPLFGSTASSGPSLFGASALAVSSASSPFGASGGSSLFLSSPAPTAAPTTSSFGSSSSSSASTAAAATTPSFSSLLSSSSASNSTSASPFLASTGFSFSSSSSFLKSTASSTSTPTSTTAPSLTAAASSSSSSGFSFAPPSSSASQPTFGYGNAAAMSKPTSLSFGTSSAPLFSTVTTTTSAYTPAASTAAASASSSAAASTPAFPTFNLSSSSATTASSSAAPASSAASSAAVSSFTGFGVTNAAATSGSTSSFTGFSLSTKPSAPTSSSQAQSTTTAPVFSFPGSSSAASITSTSSTTTAQTSSTLVVASSSGTSLSATAAISATPKLPSEITGKTVEEIIKEWNAELQERTGKFRKQASAIAEWDRRILQNRDVLLRLEIEVAKVVEAQASLERQLELIETHQQEVDKALLSMEEEAERIYKDERGLLLDDEAASTRDAMYEQAEIVERELEQMAEQIKSIIETVNSSQGGELEALDGMTPLDVVVRILNNQLTSLMWIDEKAEEFSSRIQKLAMQGSAADRELMAPKFWMS >EOX91926 pep chromosome:Theobroma_cacao_20110822:1:4503357:4506569:1 gene:TCM_000975 transcript:EOX91926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTYYYFIILVETRRAEAFAENFGGQQAVAAAATLRKPAEVGSSPRLFFLLYGFGHGPQLILHGTWPPVALL >EOX92434 pep chromosome:Theobroma_cacao_20110822:1:6823019:6825776:1 gene:TCM_001386 transcript:EOX92434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 1, putative MASVVPALLLLLSSVCLARRVEEKHPTIIGLGSSLKPVTEPTLWASPSGRFAFGFYNQGSGFSVGVWLVGKGTSSNRVVWTANRDDPPVASNATLILNEKGELLLTTESGEKKVIANKTDSASSASMLDSGNFVLYNNDGHIIWESFKNPTDTILGGQNLSTYGQLISSLSENNHSTGRFHLIMQGDGNLVLYPSNDAYIPDNAYWSSQTNGIGSFNLYLNSTTGFLQLINNSDLSISRALGVPFFAEGDSDDGKDNSSIVYSARLDVDGNFRLYTHLFDRSGRLQTFPRFRALKNLCRVKGFCGFNSFCTFNDYQPYCVCLPGTDFIDPYQRTLGCTRNYSEAHCKGGKANEGFYNINSMENLVWNADVFYSKEKMSKDECSRTCLEDCNCEAAQFESGVCKKQKLPLKYMLRDPDRDSFSTAFLKVGVRSLEAENNSIPLELIKPTMITIKRKETMVQLLLLTFSLVACSCVLLSISGLFIYKFRVLRYKMLLENGNLGLNEELTLILFSYNELRRATYGFREELGKGSFGAVYKGTLYKGRKSIAVKRLEKLVEEGEREFQAEMRAIGRTHHRNLVRLLGYCAEDSKRLLVYEYMGNGSLADLLFKTTMRPDWNERIRIALDVARGILYLHEECESPIIHCDIKPQNILMDDTWTAKISDFGLAKFLMGDQTRTFTGVRGTRGYMAPEWQKNTPISVKADIYSFGIVLLEIVCCRRNLDTTVSKTEEIILSNWVYRCFVEKELDKLVLGEEVDKKNLEKMVMVALWCIQDEPALRPSIKSVVMMLEGITDISIPPCPSASSM >EOX91760 pep chromosome:Theobroma_cacao_20110822:1:3739706:3740923:1 gene:TCM_000843 transcript:EOX91760 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MDEPKVVLVTGCAKGGIGYEYCRALAEHNCRVVASDIPRRMDDMLDFNADNIETIELDVSSNESVSSAVNSVISKYGHIDVLINNAGIGSTGPLAELSLDAIKKAWEINTLGQLRMVQQIVPHMASRRRGCIVNVGSVVGRVPTPWAGSYCSSKAAVHAMTNSLRVELRPFGINVVLVVPGAVRSNFGSSSLERLGDHDWKLYKEFKEAIAERARASQGSKATDATMFARHVAKKVLSPKPPKQIVFGHMTGLFAVLSLSPLWVRDLFFSTRFNLNKRV >EOX92382 pep chromosome:Theobroma_cacao_20110822:1:6578498:6579198:1 gene:TCM_001337 transcript:EOX92382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPPNRTDILDGLVNLKKNGYENENFPSCNLYSVFADNLSKKMSWLAIKEAFEEYGRVVDVFIPRESSQDRGRSVNYAFIRYREKQRMERPLSRVIINALMARG >EOX94870 pep chromosome:Theobroma_cacao_20110822:1:31825815:31830157:1 gene:TCM_004482 transcript:EOX94870 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein MQSSTALEPIVFNPHFSTMLKLLNKRIKRFCSRIRWPVRRRSKSKIVIKRFGKSNSRANSDTKDHTIVNGTSKVHQDGQLGGLDSVRPIRIATFNAALFSMAPAIPKAENSSSFDFENEGFKDARRSMDLSLRAKSTNDRPKSILKQSPMHPNSINDKENLSNQQKFVKSKLRVSINLPDNEISLLRNRQLSFAERGKEGSSSGGGSRILRGKAPLRSTVSFSTNMGNGVDSFERYRSRKTVLEVLRELDADILALQDVKAEEEKAMKPLSDLAAALGMNYVFAESWAPEYGNAVLSKWPIKRWKVQKIFDDTDFRNVLKATIDVPQAGEVDFHCTHLDHLDENWRMKQINAIIQSNDGPHILAGGLNSLEETDYSTERWTDIVKYYEEMGKPIPKVEVMKFLKNKQYTDAKDFAGECEPVVVIAKGQSVQGTCKYGTRVDYILASPNSPYKFVPGSYSVLSSKGTSDHHMVKVDIIKVSENVEENVSRKRRQPKQKVVKITNTSPSKTVWKIHT >EOX95130 pep chromosome:Theobroma_cacao_20110822:1:32943801:32950347:-1 gene:TCM_004687 transcript:EOX95130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multifunctional protein 2, putative isoform 1 MALLWVGDWRLRWPVMHAYQHLLHNWACLSFGMEFFLDLVVRARTQRLPRLVGLHKALEMILMSKFVNGDTAKSLGLVDAISSADELITTACHWAKDILNYGRPWAASLYKTDRLEPLAEARMILKHARMEAKKQSPNLKHPLVCIDVIEEGLVHGPRAALWKESEALAELRQSDTCRSLVYFFFAQNRTSKIPGITDLGLIPRKVKTVAVVGGGIMGSSIVTAFILSNYSVTLKEVNEKALLAGIERVKVNLQDHVNKGKLAKAKLDKILCLFKGALHYEGFREVDMVIEAVSENTYLKQKIFAELERYCPPHCILASSSSMINLNLIGERTKSQNRIVGTHFFSQAHLMPLLEIVRTEKTSPQVIVDLLAIGKKMRKIPLVVRNYTGFAINRMFFPYSQAAMRLVEHGVDLYQIDQAVTGFGMTMGPFRMIDFVGFGVVTAMKAQFSKNSGEKYYESKLISVMQEDNRTGGTTQKGFYMYDSESKVTPNPDIKKYVNKARSISGISVDYELMKLSDEEIVEMILFPVVNEACCLLEDRIVVKASDLDIASVKGMGFPEYRGGIIFWADTLGSTYICSKLEKWSKTYGAFFNPCRYLVERASKRMSLGGVGQARSQL >EOX95128 pep chromosome:Theobroma_cacao_20110822:1:32943760:32950659:-1 gene:TCM_004687 transcript:EOX95128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multifunctional protein 2, putative isoform 1 MKPETRKPATEFDRIAGKVGRRMKGKSLLEVGADGVAIITINNPPLNLLSADVLLSFKENVEQALLRDDVKAIVITGSKGKFSGGFDVTAFGKKGNHGKLGFWSIEFITDILEAARKPFVAAIDGLALGGGLEIALACHARISTSSAQLGLPELRYGILPGFGGTQRLPRLVGLHKALEMILMSKFVNGDTAKSLGLVDAISSADELITTACHWAKDILNYGRPWAASLYKTDRLEPLAEARMILKHARMEAKKQSPNLKHPLVCIDVIEEGLVHGPRAALWKESEALAELRQSDTCRSLVYFFFAQNRTSKIPGITDLGLIPRKVKTVAVVGGGIMGSSIVTAFILSNYSVTLKEVNEKALLAGIERVKVNLQDHVNKGKLAKAKLDKILCLFKGALHYEGFREVDMVIEAVSENTYLKQKIFAELERYCPPHCILASSSSMINLNLIGERTKSQNRIVGTHFFSQAHLMPLLEIVRTEKTSPQVIVDLLAIGKKMRKIPLVVRNYTGFAINRMFFPYSQAAMRLVEHGVDLYQIDQAVTGFGMTMGPFRMIDFVGFGVVTAMKAQFSKNSGEKYYESKLISVMQEDNRTGGTTQKGFYMYDSESKVTPNPDIKKYVNKARSISGISVDYELMKLSDEEIVEMILFPVVNEACCLLEDRIVVKASDLDIASVKGMGFPEYRFVLPFLFSFLDGWIFNF >EOX95129 pep chromosome:Theobroma_cacao_20110822:1:32943801:32950347:-1 gene:TCM_004687 transcript:EOX95129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multifunctional protein 2, putative isoform 1 MALLWVGDWRLRWPVMHAYQHLLHNWACLSFGMEFFLDLVVRARTQRLPRLVGLHKALEMILMSKFVNGDTAKSLGLVDAISSADELITTACHWAKDILNYGRPWAASLYKTDRLEPLAEARMILKHARMEAKKQSPNLKHPLVCIDVIEEGLVHGPRAALWKESEALAELRQSDTCRSLVYFFFAQNRTSKIPGITDLGLIPRKVKTVAVVGGGIMGSSIVTAFILSNYSVTLKEVNEKALLAGIERVKVNLQDHVNKGKLAKAKLDKILCLFKGALHYEGFREVDMVIEAVSENTYLKQKIFAELERYCPPHCILASSSSMINLNLIGERTKSQNRIVGTHFFSQAHLMPLLEIVRTEKTSPQVIVDLLAIGKKMRKIPLVVRNYTGFAINRMFFPYSQAAMRLVEHGVDLYQIDQAVTGFGMTMGPFRMIDFVGFGVVTAMKAQFSKNSGEKYYESKLISVMQEDNRTGGTTQKGFYMYDSESKVTPNPDIKKYVNKARSISGISVDYELMKLSDEEIVEMILFPVVNEACCLLEDRIVVKASDLDIASVKGMGFPEYRGGIIFWADTLGSTYICSKLEKWSKTYGAFFNPCRYLVERASKRMSLGGVGQARSQL >EOX95127 pep chromosome:Theobroma_cacao_20110822:1:32943760:32952717:-1 gene:TCM_004687 transcript:EOX95127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multifunctional protein 2, putative isoform 1 MKPETRKPATEFDRIAGKVGRRMKGKSLLEVGADGVAIITINNPPLNLLSADVLLSFKENVEQALLRDDVKAIVITGSKGKFSGGFDVTAFGKKGNHGKLGFWSIEFITDILEAARKPFVAAIDGLALGGGLEIALACHARISTSSAQLGLPELRYGILPGFGGTQRLPRLVGLHKALEMILMSKFVNGDTAKSLGLVDAISSADELITTACHWAKDILNYGRPWAASLYKTDRLEPLAEARMILKHARMEAKKQSPNLKHPLVCIDVIEEGLVHGPRAALWKESEALAELRQSDTCRSLVYFFFAQNRTSKIPGITDLGLIPRKVKTVAVVGGGIMGSSIVTAFILSNYSVTLKEVNEKALLAGIERVKVNLQDHVNKGKLAKAKLDKILCLFKGALHYEGFREVDMVIEAVSENTYLKQKIFAELERYCPPHCILASSSSMINLNLIGERTKSQNRIVGTHFFSQAHLMPLLEIVRTEKTSPQVIVDLLAIGKKMRKIPLVVRNYTGFAINRMFFPYSQAAMRLVEHGVDLYQIDQAVTGFGMTMGPFRMIDFVGFGVVTAMKAQFSKNSGEKYYESKLISVMQEDNRTGGTTQKGFYMYDSESKVTPNPDIKKYVNKARSISGISVDYELMKLSDEEIVEMILFPVVNEACCLLEDRIVVKASDLDIASVKGMGFPEYRGGIIFWADTLGSTYICSKLEKWSKTYGAFFNPCRYLVERASKRMSLGGVGQARSQL >EOX95220 pep chromosome:Theobroma_cacao_20110822:1:33367491:33369001:1 gene:TCM_004770 transcript:EOX95220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGIQSTRGSVVSRADEVDKQPNPAPGRCLKQNLQDPKVWLRGNPVSSHVLFASQIQSPELFVPPLRHPPKYVAGLPYDPWVANSLNALDSGYQHFKPEKENRFHERREAHNMKSESEMCGNRSTITGLVQAKEAQQKGRVLGESVVYRKKPEADSSTIKEKFHGRNSHGLPLVNQSIEPPEVQSKRMPANARQPRNIQDDLGMDGTSFQVTENNKAMEDKWQKITRKVQDLSIKQVDNGGRDTASSQDQGRQGRLGKE >EOX93812 pep chromosome:Theobroma_cacao_20110822:1:16065797:16066535:1 gene:TCM_002749 transcript:EOX93812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSLFLKVLFCIVSTISNLVTRLMFSATAYLLVLLIHAFKVPGEALQGALEQLAEAIKACFEYLLELIIELTSSLISSGFDLFIEAVTSSASVSGAAFGTLVEKTRTSLDELLTDIPEIAEGFSEMISTVVADLWNNYKNALGYVTENA >EOX92421 pep chromosome:Theobroma_cacao_20110822:1:6752518:6755738:-1 gene:TCM_001375 transcript:EOX92421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVEHCQSSQRNMAYMVDKIFTRVLAEEREVEPMADPGQLVLRLFFWNLCSIQQEPKLAQIAETDRSYMEQGNNICPSF >EOX91362 pep chromosome:Theobroma_cacao_20110822:1:2438923:2439947:-1 gene:TCM_000579 transcript:EOX91362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRHRYSNKKGVAESVASNGCLWVLRFQGNYRTYPLGQMGSTIESSTVGSLDHQIFIKACVRYNIPIFLRTNNSFVPASCPAANHRSATWQVIVETSGAWCLLYQQTAYNELKVEGIQISREADVLHSPMTMLPRVHRPSGQRESVAHVGEDPRIAFHKLGLLL >EOX93699 pep chromosome:Theobroma_cacao_20110822:1:15147707:15151262:-1 gene:TCM_002613 transcript:EOX93699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial hemolysin-related isoform 1 MNWVLFWFWLCSGTLVVGIRFPVISVKAHMRSPYRSFAVIKSEKLQIPKKKKRLDEICLERFQQYSRTFIQSWILQGKVYVNGKMVNKAGTPVSDKAVVEIMAEIPKYVCRAGYKLEAAIEQLGVDVAGKVALDSGLSTGGFTDCLLQYGASYVYGVDVGYGQVADKIRRDERVCVIERTNLRHLSGLPQKVDLVTLDLSFISILLVMPAVVNAMKEEATLVTLVKPQFEARRSQVGSGGIVRDPQVHQEVLEKIIKGVENFGFQSKGWIESPLKGAEGNTEFLVCFSRT >EOX93700 pep chromosome:Theobroma_cacao_20110822:1:15147878:15151116:-1 gene:TCM_002613 transcript:EOX93700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial hemolysin-related isoform 1 MRSPYRSFAVIKSEKLQIPKKKKRLDEICLERFQQYSRTFIQSWILQGKVYVNGKMVNKAGTPVSDKAVVEIMAEIPKYVCRAGYKLEAAIEQLGVDVAGKVALDSGLSTGGFTDCLLQYGASYVYGVDVGYGQVADKIRRDERVCVIERTNLRHLSGLPQKVDLVTLDLSFISILLVMPAVVNAMKEEATLVTLVKPQFEARRSQVGSGGIVRDPQVHQEVLEKIIKGVENFGFQSKGWIESPLKGAEGNTEFLVCFSRT >EOX93036 pep chromosome:Theobroma_cacao_20110822:1:10050575:10053172:-1 gene:TCM_001889 transcript:EOX93036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMSLQQQNSSSAATQSLSFDDIADFFSLPLYDAASTLGVCASALKKICRENGLDRWPHRKFLAGKSIEEIKRHAARERRKELTELSKVHRQGSSQPQNNELSKLQGAAALPNLQQQGTKNIQTGQALNFGHRSLMTGMTTSDEFKYGFPSDGLSIATNKWWGSSKSDGHEDVQVDGAETEGEDKHQSVEKPGDMANEKPEENGKLDDGIGPQGSGLLTAVRKRAVEEGGEALKLGVYKGYGIKKLGTREASLLLRIFKSSLQKDWIHGPS >EOX93035 pep chromosome:Theobroma_cacao_20110822:1:10048452:10053440:-1 gene:TCM_001889 transcript:EOX93035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMSLQQQNSSSAATQSLSFDDIADFFSLPLYDAASTLGVCASALKKICRENGLDRWPHRKFLAGKSIEEIKRHAARERRKELTELSKVHRQGSQPQNNELSKLQGAAALPNLQQQGTKNIQTGQALNFGHRSLMTGMTTSDEFKYGFPSDGLSIATNKWWGSSKSDGHEDVQVDGAETEGEDKHQSVEKPGDMANEKPEENGKLDDGIGPQGSGLLTAVRKRAVEEGGEALKLGVYKGYGIKKLGTREASLLLRIFKSSLQKDWIHGPS >EOX94845 pep chromosome:Theobroma_cacao_20110822:1:31699834:31706798:-1 gene:TCM_004458 transcript:EOX94845 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding Elongation factor Tu family protein MDLSLRWEYWAGQQQRRAQIKWTGYDFNRNKRKLALENKPFFSPSKTLDTEKRLEAKTLPKASTEIPFPPLSVHKTLCCLQQIKSKKKNKMAAVVLRNPNSRRLLSFSSPIYWSSRVSVSSSHFSVSDFLSGNEAAISANANPCWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAIAFDEIDKAPEEKKRGITIATAHVEYETVKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNEEIGKNAILKLMEAVDQYIPDPVRQLDKPFLMPIEDVFSIQGRGTVATGRVEQGTIKVGEEVEILGLTQGVPSKTTVTGVEMFKKILDQGQAGDNVGLLLRGLKREDVQRGMVIAKPGSLKTYRRFEAEIYVLTKDEGGRHTAFESNYRPQFYMRTADVTGKVELPENVKMVMPGDNVTATFELISPVPLEAGQRFALREGGRTVGAGVVSKVLS >EOX93364 pep chromosome:Theobroma_cacao_20110822:1:12365385:12368338:-1 gene:TCM_002206 transcript:EOX93364 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein MASIHFLWLLCLQLLVLAAKIRAKNIPAIIVFGDSSVDAGNNNYIPTIARSNFEPYGRDFNGGRPTGRFSNGKIATDFVSEAFGLKPVIPAYLDPAYSISDFATGVTFASAGTGYDNATSNVLSVIPLRKELEYYKEYQMKLRDYLGDRKANAVIREALYMISVGTNDFLENYYAIPGRSSEYTIREYENFLVGIAGNFTKELYDLGARKMSLGGLPPMGCMPLERTSNYMGGSDCVDSYNILAAEFNVKLNDLVIKQNKEFHGMDMVFSDPYGILLEIIRKPAAYGFEVTGVACCATGMFEMGYACSRTNPFTCSDADKFVFWDSFHPTEKTNGIIANHVVKTALAKFL >EOX91086 pep chromosome:Theobroma_cacao_20110822:1:1542866:1556176:1 gene:TCM_000377 transcript:EOX91086 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nrap protein (InterPro:IPR005554); Has 396 Blast hits to 382 proteins in 182 species: Archae - 3; Bacteria - 2; Metazoa - 142; Fungi - 146; Plants - 43; Viruses - 0; Other Eukaryotes - 60 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G63810) TAIR;Acc:AT1G63810] METETYVDSMEFKVQELLKEVRLEYSSPLTKFVDDTVSAIKSAIDKIPEDLQVTADWAPGFVRDIGADKVEFKFKKPKSVEIGGSYSIGCVVKPDVNVDLLLRLPKECFHEKDYLNHRYHAKRCLYLCVIKKYLKSSSSIQKVEWSTLQNEARKPVLVVYPAAKLAEVPGLFIRIIPSATSLFNLSKLNLKRNNIRALNTGGVPQPTPKYNCSILEDMFLEENSKFVKKSFSGWKELGEALILLKVWARLRSSIYVHDCLNGFLISIIVSYLVAEDKVNHDMKATGIFRATLKLIATHPLWKHGLYFPLAGQNAFTEEGNERHNSSTRVNLAFRITCVAYPQLQDEVALTLRCVEKFRDGGFEEIFATKIDNAAKYDYCIRLNLKGNNEVYALGFCLDDECWRVYEQDVHCLLNQGLSDRAKFIRVIWRNTHSEFNVENGLSGLDSEPLFVGISVSSVEKAFRVVDIGPNAEKKDEALLFRKFWGEKSELRRFQDGKIAESTVWESEQWTRHLILKRIIEFLLRHHLSLLKKDIVQIVDQLDFSVLHGGKDPVSYSGRLLGTFEELSKRLRSIEDIPLRVSSVQPLDSAFRFTSVFPPEPHPLANKKVDVARLQNFTPFSVQSLEVMIQLEGSGNWPMDDVSIEKTKLVFLLKIAESLQNNWGMTCTATEEDVDVFMDGYAFRLRILHERGLSLVNREIGRDQTKWVSSDDKKLFIRGQHASMINGLQFCYPIFGPVVRLAKRWLASHLFSACLAEEAVELLVAYLFLKPLPFNVPCSRITGFLRFLRLLAEHDWAFSPLVVDINGDLSQNDEKEIEDNFMQIRKAYEENTQNRSKAMFLATAYDKASEAWTRCSPNPLELKRLVAYARSSANLLTKLILQNQTDSLGWECLFRTPLSLYDAVILLHGDRLPYLKRLLFTSELDQGKHVAHGNASNAFHPFLLPADMKGSLEQLKTKLMVNFDPLRCFVGDVEKEFSNRLKLWYDSLGGDAIGLTWEQSKKRERKEEELGGKYPVDLLRNVGELGKGFVRDVYLIKAPKLTN >EOX93331 pep chromosome:Theobroma_cacao_20110822:1:12130265:12133314:1 gene:TCM_002168 transcript:EOX93331 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein isoform 2 MPLLISSQDSSLFIPYIFPTATPDEPHCQIPSFSRLPAHIVHVEEMEKDDALMLIKVRSIRMWDWGKSPEPKWRIVVRADSASLALPASASLSLSATFGFLSISPDTQNPTISFHFSLPLPLFFSALLPKKVKSRAMGSSFITSLVLLISVFTLADCGSVGVNYGRIANNLPSATKVVELLKSHGLNRVKVYDTDPAVLHALSGSGIKVTVDLPNEQLFAAAKSTSFANSWVERNVAAYYPHTEIEAIAVGNEVFVDPHNTTKFLVPAMKNIHEALVKFNLHSDIKVSSPIALSALQNSYPSSAGSFRPELIEPVFKPMLDFLRQTGSFLMVNAYPFFAYESNTDVISLDYALFRENPGVVDPGNGLRYFSLFDAQIDAVFAAMSALKYDDIKLVVTETGWPSKGDENENGASIENAAAYNGNLVRRILTGGGTPLRPKADLTVYLFALFNENKKFGPTSERNYGLFYPNEEKVYDIPFTLEGVKNYRDKRSPVAGNQQGAAAPVNGGGGSVSKSTTGNTWCVANGEAGKAKLQAALDYACGEGGADCHSIQPGATCYDPNTIQAHASFAFNSYYQKKGRQMGTCYFGGAAYVVTQPPSSY >EOX93330 pep chromosome:Theobroma_cacao_20110822:1:12130265:12134160:1 gene:TCM_002168 transcript:EOX93330 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein isoform 2 MPLLISSQDSSLFIPYIFPTATPDEPHCQIPSFSRLPAHIVHVEEMEKDDALMLIKVRSIRMWDWGKSPEPKWRIVVRADSASLALPASASLSLSATFGFLSISPDTQNPTISFHFSLPLPLFFSALLPKKVKSRAMGSSFITSLVLLISVFTLADCGSVGVNYGRIANNLPSATKVVELLKSHGLNRVKVYDTDPAVLHALSGSGIKVTVDLPNEQLFAAAKSTSFANSWVERNVAAYYPHTEIEAIAVGNEVFVDPHNTTKFLVPAMKNIHEALVKFNLHSDIKVSSPIALSALQNSYPSSAGSFRPELIEPVFKPMLDFLRQTGSFLMVNAYPFFAYESNTDVISLDYALFRENPGVVDPGNGLRYFSLFDAQIDAVFAAMSALKYDDIKLVVTETGWPSKGDENENGASIENAAAYNGNLVRRILTGGGTPLRPKADLTVYLFALFNENKKFGPTSERNYGLFYPNEEKVYDIPFTLEGVKNYRDKRSPVAGNQQGAAAPVNGGGGSVSKSTTGNTWCVANGEAGKAKLQAALDYACGEGGADCHSIQPGATCYDPNTIQAHASFAFNSYYQKKGRQMGTCYFGGAAYVVTQPPKYGNCEFPTGY >EOX95443 pep chromosome:Theobroma_cacao_20110822:1:34225574:34245818:1 gene:TCM_004938 transcript:EOX95443 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette subfamily B4 isoform 1 MAAENGFNGHTDLHEASTSKSQEEPEKVSGVNGENQDSESSKGDEKTNKVPFYKLFAFADSTDILLMIIGTIGAVGNGVCMPLMTILFGDLVDAFGENQSNDKVVDVVSEVALKFVYLAVGAAAAAFLQVSCWMVTGERQAARIRGLYLKTILRQDVAFFDVETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLISTFFGGFIIAFIKGWLLTLVMLSSIPLLVISGAVMAILISKMASRGQTAYAKAATVVEQTIGSIRTVASFTGEKQAISNYNKFLVTAYRSGVHEGAAAGLGLGVVMLIIFCSYALAVWFGGKMILEKGYTGGQVLNVIIAVLTGSMSLGQASPCMSAFAAGQAAAFKMFETIKRKPEIDSYDTRGKIFEDIRGDIELRDVNFSYPARPDEQIFSGFSLAISSGTTSALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKDFQLRWIRGKIGLVSQEPVLFTSSIRDNIAYGKENATTEEIRAAAELANASKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMGNRTTVIVAHRLSTVRNADMIAVIHRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESEHVADVSDINPESFRQSSLRRSLKRSISRGSSMGNSSRHSFSVSFGLPTGMNVTDPAMLDTEDPAELSSERAPEVPIRRLAYLNKPEIPVILLGTVAAAANGVILPIFGILISSVIQTFFKPPDELKKDSRFWALIFMVLGLASLLALPARTYFFSIAGCKLIQRIRSMCFEKVVHMEVGWFDEPAHSSGSVGARLSADAATIRALVGDALAQMVSNLASAVAGLVIAFVASWQLAFIILALIPLIGVNGYVQVKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMQLYKKKCEGPMKTGIRQGLISGSGFGLSFFLLFCVYATSFYAGAQLVKHGHATFSDVFRVFFALTMAAVGISQSSSFAPDSSKAKTAAASIFAIIDRKSKIDPSDESGTTLENVKGDIEFRHVSFKYPLRPDIQILRDLSLSIHAGKTVALVGESGSGKSTVISLLQRFYDPDSGRITLDGVEIQKLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKGGNATEAEILAASELANAHKFISSLQQGYDTVVGERGVQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESEQVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVRNGVIVEKGKHETLINIKDCSYASLVALHLSASTA >EOX95441 pep chromosome:Theobroma_cacao_20110822:1:34225487:34249819:1 gene:TCM_004938 transcript:EOX95441 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette subfamily B4 isoform 1 MAAENGFNGHTDLHEASTSKSQEEPEKVSGVNGENQDSESSKGDEKTNKVPFYKLFAFADSTDILLMIIGTIGAVGNGVCMPLMTILFGDLVDAFGENQSNDKVVDVVSEVALKFVYLAVGAAAAAFLQVSCWMVTGERQAARIRGLYLKTILRQDVAFFDVETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLISTFFGGFIIAFIKGWLLTLVMLSSIPLLVISGAVMAILISKMASRGQTAYAKAATVVEQTIGSIRTVASFTGEKQAISNYNKFLVTAYRSGVHEGAAAGLGLGVVMLIIFCSYALAVWFGGKMILEKGYTGGQVLNVIIAVLTGSMSLGQASPCMSAFAAGQAAAFKMFETIKRKPEIDSYDTRGKIFEDIRGDIELRDVNFSYPARPDEQIFSGFSLAISSGTTSALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKDFQLRWIRGKIGLVSQEPVLFTSSIRDNIAYGKENATTEEIRAAAELANASKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMGNRTTVIVAHRLSTVRNADMIAVIHRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESEHVADVSDINPESFRQSSLRRSLKRSISRGSSMGNSSRHSFSVSFGLPTGMNVTDPAMLDTEDPAELSSERAPEVPIRRLAYLNKPEIPVILLGTVAAAANGVILPIFGILISSVIQTFFKPPDELKKDSRFWALIFMVLGLASLLALPARTYFFSIAGCKLIQRIRSMCFEKVVHMEVGWFDEPAHSSGSVGARLSADAATIRALVGDALAQMVSNLASAVAGLVIAFVASWQLAFIILALIPLIGVNGYVQVKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMQLYKKKCEGPMKTGIRQGLISGSGFGLSFFLLFCVYATSFYAGAQLVKHGHATFSDVFRVFFALTMAAVGISQSSSFAPDSSKAKTAAASIFAIIDRKSKIDPSDESGTTLENVKGDIEFRHVSFKYPLRPDIQILRDLSLSIHAGKTVALVGESGSGKSTVISLLQRFYDPDSGRITLDGVEIQKLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKGGNATEAEILAASELANAHKFISSLQQGYDTVVGERGVQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESEQVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVRNGVIVEKGKHETLINIKDCSYASLVALHLSASTA >EOX95442 pep chromosome:Theobroma_cacao_20110822:1:34225238:34245818:1 gene:TCM_004938 transcript:EOX95442 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette subfamily B4 isoform 1 MAAENGFNGHTDLHEASTSKSQEEPEKVSGVNGENQDSESSKGDEKTNKVPFYKLFAFADSTDILLMIIGTIGAVGNGVCMPLMTILFGDLVDAFGENQSNDKVVDVVSEVALKFVYLAVGAAAAAFLQVSCWMVTGERQAARIRGLYLKTILRQDVAFFDVETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLISTFFGGFIIAFIKGWLLTLVMLSSIPLLVISGAVMAILISKMASRGQTAYAKAATVVEQTIGSIRTVASFTGEKQAISNYNKFLVTAYRSGVHEGAAAGLGLGVVMLIIFCSYALAVWFGGKMILEKGYTGGQVLNVIIAVLTGSMSLGQASPCMSAFAAGQAAAFKMFETIKRKPEIDSYDTRGKIFEDIRGDIELRDVNFSYPARPDEQIFSGFSLAISSGTTSALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKDFQLRWIRGKIGLVSQEPVLFTSSIRDNIAYGKENATTEEIRAAAELANASKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMGNRTTVIVAHRLSTVRNADMIAVIHRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESEHVADVSDINPESFRQSSLRRSLKRSISRGSSMGNSSRHSFSVSFGLPTGMNVTDPAMLDTEDPAELSSERAPEVPIRRLAYLNKPEIPVILLGTVAAAANGVILPIFGILISSVIQTFFKPPDELKKDSRFWALIFMVLGLASLLALPARTYFFSIAGCKLIQRIRSMCFEKVVHMEVGWFDEPAHSSGSVGARLSADAATIRALVGDALAQMVSNLASAVAGLVIAFVASWQLAFIILALIPLIGVNGYVQVKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMQLYKKKCEGPMKTGIRQGLISGSGFGLSFFLLFCVYATSFYAGAQLVKHGHATFSDVFRVFFALTMAAVGISQSSSFAPDSSKAKTAAASIFAIIDRKSKIDPSDESGTTLENVKGDIEFRHVSFKYPLRPDIQILRDLSLSIHAGKTVALVGESGSGKSTVISLLQRFYDPDSGRITLDGVEIQKLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKGGNATEAEILAASELANAHKFISSLQQGYDTVVGERGVQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESEQVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVRNGVIVEKGKHETLINIKDCSYASLVALHLSASTA >EOX95438 pep chromosome:Theobroma_cacao_20110822:1:34215776:34232084:1 gene:TCM_004938 transcript:EOX95438 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette subfamily B4 isoform 1 MAAENGFNGHTDLHEASTSKSQEEPEKVSGVNGENQDSESSKGDEKTNKVPFYKLFAFADSTDILLMIIGTIGAVGNGVCMPLMTILFGDLVDAFGENQSNDKVVDVVSEVALKFVYLAVGAAAAAFLQVSCWMVTGERQAARIRGLYLKTILRQDVAFFDVETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLISTFFGGFIIAFIKGWLLTLVMLSSIPLLVISGAVMAILISKMASRGQTAYAKAATVVEQTIGSIRTVASFTGEKQAISNYNKFLVTAYRSGVHEGAAAGLGLGVVMLIIFCSYALAVWFGGKMILEKGYTGGQVLNVIIAVLTGSMSLGQASPCMSAFAAGQAAAFKMFETIKRKPEIDSYDTRGKIFEDIRGDIELRDVNFSYPARPDEQIFSGFSLAISSGTTSALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKDFQLRWIRGKIGLVSQEPVLFTSSIRDNIAYGKENATTEEIRAAAELANASKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMGNRTTVIVAHRLSTVRNADMIAVIHRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESEHVADVSDINPESFRQSSLRRSLKRSISRGSSMGNSSRHSFSVSFGLPTGMNVTDPAMLDTEDPAELSSERAPEVPIRRLAYLNKPEIPVILLGTVAAAANGVILPIFGILISSVIQTFFKPPDELKKDSRFWALIFMVLGLASLLALPARTYFFSIAGCKLIQRIRSMCFEKVVHMEVGWFDEPAHSSGSVGARLSADAATIRALVGDALAQMVSNLASAVAGLVIAFVASWQLAFIILALIPLIGVNGYVQVKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMQLYKKKCEGPMKTGIRQGLISGSGFGLSFFLLFCVYATSFYAGAQLVKHGHATFSDVFRVFFALTMAAVGISQSSSFAPDSSKAKTAAASIFAIIDRKSKIDPSDESGTTLENVKGDIEFRHVSFKYPLRPDIQILRDLSLSIHAGKTVALVGESGSGKSTVISLLQRFYDPDSGRITLDGVEIQKLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKGGNATEAEILAASELANAHKFISSLQQGYDTVVGERGVQMSGGQKQRIAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHDALINIKDGFYASLVSLHMSASTA >EOX95439 pep chromosome:Theobroma_cacao_20110822:1:34225487:34249819:1 gene:TCM_004938 transcript:EOX95439 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette subfamily B4 isoform 1 MAAENGFNGHTDLHEASTSKSQEEPEKVSGVNGENQDSESSKGDEKTNKVPFYKLFAFADSTDILLMIIGTIGAVGNGVCMPLMTILFGDLVDAFGENQSNDKVVDVVSEVALKFVYLAVGAAAAAFLQVSCWMVTGERQAARIRGLYLKTILRQDVAFFDVETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLISTFFGGFIIAFIKGWLLTLVMLSSIPLLVISGAVMAILISKMASRGQTAYAKAATVVEQTIGSIRTVASFTGEKQAISNYNKFLVTAYRSGVHEGAAAGLGLGVVMLIIFCSYALAVWFGGKMILEKGYTGGQVLNVIIAVLTGSMSLGQASPCMSAFAAGQAAAFKMFETIKRKPEIDSYDTRGKIFEDIRGDIELRDVNFSYPARPDEQIFSGFSLAISSGTTSALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKDFQLRWIRGKIGLVSQEPVLFTSSIRDNIAYGKENATTEEIRAAAELANASKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMGNRTTVIVAHRLSTVRNADMIAVIHRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESEHVADVSDINPESFRQSSLRRSLKRSISRGSSMGNSSRHSFSVSFGLPTGMNVTDPAMLDTEDPAELSSERAPEVPIRRLAYLNKPEIPVILLGTVAAAANGVILPIFGILISSVIQTFFKPPDELKKDSRFWALIFMVLGLASLLALPARTYFFSIAGCKLIQRIRSMCFEKVVHMEVGWFDEPAHSSGSVGARLSADAATIRALVGDALAQMVSNLASAVAGLVIAFVASWQLAFIILALIPLIGVNGYVQVKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMQLYKKKCEGPMKTGIRQGLISGSGFGLSFFLLFCVYATSFYAGAQLVKHGHATFSDVFRVFFALTMAAVGISQSSSFAPDSSKAKTAAASIFAIIDRKSKIDPSDESGTTLENVKGDIEFRHVSFKYPLRPDIQILRDLSLSIHAGKTVALVGESGSGKSTVISLLQRFYDPDSGRITLDGVEIQKLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKGGNATEAEILAASELANAHKFISSLQQGYDTVVGERGVQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESEQVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVRNGVIVEKGKHETLINIKDCSYASLVALHLSASTA >EOX95440 pep chromosome:Theobroma_cacao_20110822:1:34225487:34249819:1 gene:TCM_004938 transcript:EOX95440 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette subfamily B4 isoform 1 MAAENGFNGHTDLHEASTSKSQEEPEKVSGVNGENQDSESSKGDEKTNKVPFYKLFAFADSTDILLMIIGTIGAVGNGVCMPLMTILFGDLVDAFGENQSNDKVVDVVSEVALKFVYLAVGAAAAAFLQVSCWMVTGERQAARIRGLYLKTILRQDVAFFDVETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLISTFFGGFIIAFIKGWLLTLVMLSSIPLLVISGAVMAILISKMASRGQTAYAKAATVVEQTIGSIRTVASFTGEKQAISNYNKFLVTAYRSGVHEGAAAGLGLGVVMLIIFCSYALAVWFGGKMILEKGYTGGQVLNVIIAVLTGSMSLGQASPCMSAFAAGQAAAFKMFETIKRKPEIDSYDTRGKIFEDIRGDIELRDVNFSYPARPDEQIFSGFSLAISSGTTSALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKDFQLRWIRGKIGLVSQEPVLFTSSIRDNIAYGKENATTEEIRAAAELANASKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMGNRTTVIVAHRLSTVRNADMIAVIHRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESEHVADVSDINPESFRQSSLRRSLKRSISRGSSMGNSSRHSFSVSFGLPTGMNVTDPAMLDTEDPAELSSERAPEVPIRRLAYLNKPEIPVILLGTVAAAANGVILPIFGILISSVIQTFFKPPDELKKDSRFWALIFMVLGLASLLALPARTYFFSIAGCKLIQRIRSMCFEKVVHMEVGWFDEPAHSSGSVGARLSADAATIRALVGDALAQMVSNLASAVAGLVIAFVASWQLAFIILALIPLIGVNGYVQVKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMQLYKKKCEGPMKTGIRQGLISGSGFGLSFFLLFCVYATSFYAGAQLVKHGHATFSDVFRVFFALTMAAVGISQSSSFAPDSSKAKTAAASIFAIIDRKSKIDPSDESGTTLENVKGDIEFRHVSFKYPLRPDIQILRDLSLSIHAGKTVALVGESGSGKSTVISLLQRFYDPDSGRITLDGVEIQKLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKGGNATEAEILAASELANAHKFISSLQQGYDTVVGERGVQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESEQVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVRNGVIVEKGKHETLINIKDCSYASLVALHLSASTA >EOX96253 pep chromosome:Theobroma_cacao_20110822:1:37113736:37117370:1 gene:TCM_005536 transcript:EOX96253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter 1.5 MSYQDMETRQPSCSASSVSYVIESNDERKRPDENACTKDGSLDRHGKPATKGRTGGWRCAMFILVNQGLATLAFAAVEVNLVLFSKSVLRHTNAEAADTFSRWMGTVYLFSFMGAFLSDSYLGRYLTCVTFQVLYLIGLVALSLVTQLFLLKPQGCGKLGQLCEPHSPAEIAIFYVAIYLIALGNGAPEPALAAFGADQFDEEDDEEKQSKNSFYSYFYVALNLGSLVAETVLVYVQNIGNWILGFWICATCAMVAFSLLLCGTFRYRHFKTVGNPISKFCQVIVASMRKINLQVPSDVEGLYEVQRKEDKNNGVRRILHTDGFKFLDRAAIITPQDIFLLTNKGETANPWYLCTITQVEEVKCILRLLPIWLCSILSSVVFVQMLSLFVEQGAAMDTTISNFHIPPASMFAFDIVSTSAFILLYDKLMVPLYVRLTKREPKPPSGLQRIGIGIAIAMVSMIIAGTVEQQRLKYANHNGAETSALSIFWQTPQYVLVGVSEALIYVAQMEFFASQTPDGLKSLGIGLSMYSSAMGSYICSIILAAVMAITSKNGKPGWVPPDLNAGHLDRYFFLLAALAALNLALFVACAKRYNPVSFEKRAEGVEMEARHQASEA >EOX93544 pep chromosome:Theobroma_cacao_20110822:1:13869216:13921836:-1 gene:TCM_002432 transcript:EOX93544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein MRSLKHSQTQSIAQPPCCFSIAKMLVLVFLIAIAGISFQSVPSFNHRPNFFIRRFNFPGIRAQQSEAKPPKRGEEADALKLKEWVIGMLQNEVAASQGIRIRRRQPTGPPSHYVGPFEIGLENEDKTPRNTLEEIIWQKDVEVSQMKEKKPLASLEKFIENAAPTRDFVGALKAAHSRTGLPGLIAEVKKASPTRGILREDFDPVEIARAYQKGGAACLSVLTDEKFFKGSFENLEAIRSAGVKCPLLCKEFVIDAWQIYYARIKGADAILLIAAVLPDHEIRYMVEICKMLGLAALVEVHDEREMDRVLGIEGIELIGINNRNLKTFELDISNTKKLLEGERGEMVRQKDIIVVGESGLFTPDHVAYVQEAGVKAVLVGESIVTQRDLGKGITRLFGKDISL >EOX92422 pep chromosome:Theobroma_cacao_20110822:1:6753150:6759476:1 gene:TCM_001376 transcript:EOX92422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEAWTLEVQSIEPNNFVYHLSKALLRSESAPVNKPAPRLATSPALSCKQNLPPSPPLKVTKAGTSNDNIGPVQTGATIEKLFSFGKEDNRKYSGVKLSSNSSPRISFSRSSSRSLQDDFDDSEFPCPFDVEDDDMTDPGLDLVVLTYPGLISLCCYVLFAQNGSSLSSGKSWFRDWDKYLFIIYGELAKKMSDSEKVLIDRKVRLRVARLMIFMVIVLRH >EOX91400 pep chromosome:Theobroma_cacao_20110822:1:2577889:2579831:-1 gene:TCM_000606 transcript:EOX91400 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor-like protein MENYNAGHLRALTRAQEHEIMVSTLMQVINVERPSTSVFASSSRTDNVFNCSDTCVAMQLNGNNEYFNSIFPPSQQRHQQQQLPPRAQSNRRNKRTHYRGVRQRPWGKWAAEIRDPKRAVRVWLGTFYTEEAAARAYDRAAVGFRGDKAKVNFPLSDYKKEREEEEEEEKKKEIPEEKDNSHEEKGQNSKEKDDEEWQIFSDEEFRELMMMD >EOX94572 pep chromosome:Theobroma_cacao_20110822:1:30250181:30256405:1 gene:TCM_046980 transcript:EOX94572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione reductase isoform 1 MRQLPPPQINSTNRCLMGGPHPPAQGSQTSISYIYTKARDGDRKRTNMATTSFTAPKLSSPTLQTLYRNLPVSVPLPKTRSSFSRHLSPSISRFHHHHLHRHRLFSVRAESENGAEPPRHYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFSTISSETTGGVGGTCVLRGCVPKKLMVYASKYSHEFDESNGFGWKYDAEPKHDWSTLMANKNAELQRLTGIYKNILNNAGVILIEGRGKIVDPHTVDVDGKLYTARHILISVGGRPFIPEITGSEYAIDSDAALDLPSKPEKVAIVGGGYIALEFAGIFNGLTSEVHIFIRQKKVLRGFDEEIRDFVGEQMSLRGIEFHTEESPQAIVKSADGSLSLKTNKGTVEGFSHIMFATGRRPNTKNLGLESVGVKMNKNGAIEVDEYSRTTVPSIWAVGDVTDRINLTPVALMEGGALAKTLFQNEPTKPDYRAVPSAVFSQPPIGQVGLTEEQAIKEYGDIDVYTANFRPLKATLSGLPDRVFMKLVVCAETNKVLGLHMCGEDSAEIVQGFAVAVKAGLTKADFDATVGVHPTSAEEFVTMRTPTRKIRQKPDSEGKTGLEAKAAAGV >EOX94573 pep chromosome:Theobroma_cacao_20110822:1:30250193:30256086:1 gene:TCM_046980 transcript:EOX94573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione reductase isoform 1 MRQLPPPQINSTNRCLMGGPHPPAQGSQTSISYIYTKARDGDRKRTNMATTSFTAPKLSSPTLQTLYRNLPVSVPLPKTRSSFSRHLSPSISRFHHHHLHRHRLFSVRAESENGAEPPRHYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFSTISSETTGGVGGTCVLRGCVPKKLMVYASKYSHEFDESNGFGWKYDAEPKHDWSTLMANKNAELQRLTGIYKNILNNAGVILIEGRGKIVDPHTVDVDGKLYTARHILISVGGRPFIPEITGSEYAIDSDAALDLPSKPEKVAIVGGGYIALEFAGIFNGLTSEVHIFIRQKKVLRGFDEEIRDFVGEQMSLRGIEFHTEESPQAIVKSADGSLSLKTNKGTVEGFSHIMFATGRRPNTKNLGLESVGVKMNKNGAIEVDEYSRTTVPSIWAVGDVTDRINLTPVALMEGGALAKTLFQNEPTKPDYRAVPSAVFSQPPIGQVGLTEEQAIKEYGDIDVYTANFRPLKATLSGLPDRVFMKLVVCAETNKVLGLHMCGEDSAEIVQDLQLL >EOX93627 pep chromosome:Theobroma_cacao_20110822:1:14430338:14433991:1 gene:TCM_002515 transcript:EOX93627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPITVACSLNIYEAEAKGASSTAAVLAAAGVIEIRIRLRYQLRIKAYGVEELIEDVITPELSNPFSMPLTFLFPELSITHVWNMLQSLDVDANACDYLSPKIAEFAVDVAKRRGAMENSSERTVITVAEVEITKVDFIFEEEFDKLGDKLC >EOX94738 pep chromosome:Theobroma_cacao_20110822:1:31115374:31118448:1 gene:TCM_004348 transcript:EOX94738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVLFANKTKSNQIEHLIKTSFFNRISKLSLNCFVRLRIKNSNENKIIYFASNKSWSNVARENSYRNLSKRHRLFFFRAIISPRQKISVVSEQTWELYHIYSLSHSLLHPNHHPITLFTKPIFITLFPFLLSPQSFDSKRWLLEGIQPTPNRTSIYSWTKTVSLRTWMVISTTYLSSTIPCGTQSLPGVNHGQLHLLE >EOX94739 pep chromosome:Theobroma_cacao_20110822:1:31115621:31118630:1 gene:TCM_004348 transcript:EOX94739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 REQLSKPLKTTPFILFQSNNFSQTKDFCCLRTNMGALSYLFPLSLSAAPKPPPHHFVYKTHFYNPISFPTFSSKLRFKTVAFGGNPADSKQNEHLFLDENGVVEDMDGYLNYLSLEYDSVWDTKPSWCQPWTITLTGMMVVASSWLILHSVLVTALATVGICTWWYIFLYSYPKAYVDMIAERRERVTNGTEDTFGMRKNQ >EOX92049 pep chromosome:Theobroma_cacao_20110822:1:5079613:5082999:1 gene:TCM_001072 transcript:EOX92049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSIAFQNLFTPSSPHLNPNLKNPNSFPPITTRHLSFTLSNSQILHFRTRNFLNFKSPHPSSHSLLKAYESDSSIAASQEQNPIFNDFNLDSFLSIAEFLCILSSAVVSVVGAVSGWKGVILGGIWRRVMVWGIVGLVSGVAIGAWIRRRQWRRICAETVKGGGGGKNLNLIGRIEKLEEDLRSYATITRALSRQLEKLGIRFRVTRKALKEPIAETAALAQKNSEATRALAVQEDILEKELGEIQKVLLAMQEQQGKQLELILAIGKSGKLFEDKREPSQEKNTVEACNLTEEVNQMEINQTQPLGTSKGSGNDRA >EOX92050 pep chromosome:Theobroma_cacao_20110822:1:5079852:5082674:1 gene:TCM_001072 transcript:EOX92050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSIAFQNLFTPSSPHLNPNLKNPNSFPPITTRHLSFTLSNSQILHFRTRNFLNFKSPHPSSHSLLKAYESDSSIAASQEQNPIFNDFNLDSFLSIAEFLCILSSAVVSVVGAVSGWKGVILGGIWRRVMVWGIVGLVSGVAIGAWIRRRQWRRICAETVKGGGGGKNLNLIGRIEKLEEDLRSYATITRALSRQLEKLGIRFRVTRKALKEPIAETAALAQKNSEATRALAVQEDILEKELGEIQKVLLAMQGKQLELILAIGKSGKLFEDKREPSQEKNTVEACNLTEEVNQMEINQTQPLGTSKGSGNDRA >EOX91174 pep chromosome:Theobroma_cacao_20110822:1:1856919:1858934:-1 gene:TCM_000451 transcript:EOX91174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brassinosteroid signaling positive regulator family protein isoform 1 MTGAAGRLPTWKERENNKRRERRRRAIAAKIYTGLRAQGNYKLPKHCDNNEVLKALCAEAGWIVEEDGTTYRKGCKPPLSELAGASTNISACSSIQPSPQSSSFPSPVPSYHASPSSSLCPSPTRFDANPSTYLLPFLRNIAAIPNNLPPLRISNSAPVTPPLSSPTSRGSKPKADWESLSNGSLNSCRHPLFATSAPSSPTRRHHKTPATIPECDESDASTVDSGRWVSFQTVASTAAAAVAAPPSPTFNLVKRVVQQNPLQDGVTGHGGVTWGTVTEIGRGSEFEFENGRVKPWEGERIHEIGVDDLELTLGTSKTCA >EOX91175 pep chromosome:Theobroma_cacao_20110822:1:1856580:1858582:-1 gene:TCM_000451 transcript:EOX91175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brassinosteroid signaling positive regulator family protein isoform 1 RRERRRRAIAAKIYTGLRAQGNYKLPKHCDNNEVLKALCAEAGWIVEEDGTTYRKGCKPPLSELAGASTNISACSSIQPSPQSSSFPSPVPSYHASPSSSLCPSPTRFDANPSTYLLPFLRNIAAIPNNLPPLRISNSAPVTPPLSSPTSRGSKPKADWESLSNGSLNSCRHPLFATSAPSSPTRRHHKTPATIPECDESDASTVDSGRWVSFQTVASTAAAAVAAPPSPTFNLVKRVVQQNPLQDGVTGHGGVTWGTVTEIGRGSEFEFENGRVKPWEGERIHEIGVDDLELTLGTSKTCA >EOX93550 pep chromosome:Theobroma_cacao_20110822:1:13930332:13934917:1 gene:TCM_002441 transcript:EOX93550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLASTNLICCNFSHLPQDSTKFKTSIQARNLSQQSLLLLKRTSVFLKPHSRQALTFPFHRKGVLQICRSTLNSQNSEEETSQDKGSSVDNGKEGRDWTTSILLFVLYGALMYYVFNLTPDQTPSRDMYFFKKLLNLKGDDGFRMNEVLVSLWYIMGLWPLLYSMLLLPTGRSNDQDSGQREIASCCRNPLMISTRISIRPTYWVLVALTQETIREMFSCHRNLLKVPLKVEQPNAQGSHIVGSANVRCTWPCPFFPQRGLLRLESMTFSSRNSIPAWPFLVLSCFGGAYALLPYFVLWSPPPPPVEENELKKWPLNFLESKLTAGISLGAGIGLIIYAGLANADVWREFCQYFRESKFIHITSLDFGVLSAFAPFWVYNDMTARKWYDKGFWLLPLSLVPFLGPALYLVLRPSLSELPVTVSPTSSEQK >EOX90920 pep chromosome:Theobroma_cacao_20110822:1:1038148:1040408:1 gene:TCM_000257 transcript:EOX90920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1640) [Source:Projected from Arabidopsis thaliana (AT3G51090) TAIR;Acc:AT3G51090] FFFSLFFLCIPNVLKTNPSFHFSSSDLWKDWWKSKGLNLIFFLFSEYISNLMAAVAACKRVGQIGTTLGMIGGVSRFRGFVTPIVVSPLDTAAFPSSGIRLSASSASFSRCRQMSQLVKSNGKRLFLVDTLALVRRLEAEGLPSKQAEAITAAITEVLNDSLENVSLSVVSKAEMQKSEMIQESNLSKLKSDVHSSQENHFSLLQHETEKLWNDIEKMRSELRYEIDKVTAGQRLDLNLERGAGEYEMS >EOX90919 pep chromosome:Theobroma_cacao_20110822:1:1038057:1040630:1 gene:TCM_000257 transcript:EOX90919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1640) [Source:Projected from Arabidopsis thaliana (AT3G51090) TAIR;Acc:AT3G51090] MAAVAACKRVGQIGTTLGMIGGVSRFRGFVTPIVVSPLDTAAFPSSGIRLSASSASFSRCRQMSQLVKSNGKRLFLVDTLALVRRLEAEGLPSKQAEAITAAITEVLNDSLENVSLSVVSKAEMQKSEMIQESNLSKLKSDVHSSQENHFSLLQHETEKLWNDIEKMRSELRYEIDKVTAGQRLDLNLERGRIRDELTNQTAETSNLTNKLDREIHALKAQLEAAKYDLIKYCIGTLVSISAVGLAIVRMLM >EOX91028 pep chromosome:Theobroma_cacao_20110822:1:1394570:1397184:-1 gene:TCM_000340 transcript:EOX91028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein isoform 1 MKHLVLALFLAVLFNQHLLSVQVEARDDFIRTRGVHFLLNGNPYYANGFNAYWLMYVASDPSQRPKVSASFREAAAHGLTVARTWAFSDGGYRPLQYAPGSYNEQMFKGLDFVIAEARRYRIKLILSLANNYESFGGKKQYVNWARSQGQYLTSDDDFFRNPVVKGYYKNHVKTVLNRYNSFTGMHYKDDPTIMAWELMNEPRCTSDSSGRTIQAWIMEMASHVKSIDRNHLLEAGLEGFYGQSTAQKMRLNPNLNIGTDFIANNQIPGIDFATVHSYPDQWLSSSNDQYQLSFLNKWLDAHIQDAQFILRKPVLLTEFGKSWKDPGFSTYKRDQLFNTVYYKIYSSAKRGGPAAGGLFWQLLSEGMDSFRDGYDIVLSESPSTANAISQQSHKLDQIRRIFTRMRNVERWKRARAMRRGQWHGGNGGRHIGN >EOX91029 pep chromosome:Theobroma_cacao_20110822:1:1394228:1397175:-1 gene:TCM_000340 transcript:EOX91029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein isoform 1 MKHLVLALFLAVLFNQHLLSVQVEARDDFIRTRGVHFLLNGNPYYANGFNAYWLMYVASDPSQRPKVSASFREAAAHGLTVARTWAFSDGGYRPLQYAPGSYNEQMFKGLDFVIAEARRYRIKLILSLANNYESFGGKKQYVNWARSQGQYLTSDDDFFRNPVVKGYYKNHVKTVLNRYNSFTGMHYKDDPTIMAWELMNEPRCTSDSSGRTIQAWIMEMASHVKSIDRNHLLEAGLEGFYGQSTAQKMRLNPNLNIGTDFIANNQIPGIDFATVHSYPDQWLSSSNDQYQLSFLNKWLDAHIQDAQFILRKPVLLTEFGKSWKDPGFSTYKRDQLFNTVYYKIYSSAKRGGPAAGGLFWQLLSEGMDSFRDGYDIVLSESPSTANAISQQSHKLDQIRRIFTRMRNVERWKRARAMRRGQWHGGNGGRHIGN >EOX91027 pep chromosome:Theobroma_cacao_20110822:1:1394165:1397179:-1 gene:TCM_000340 transcript:EOX91027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein isoform 1 MKHLVLALFLAVLFNQHLLSVQVEARDDFIRTRGVHFLLNGNPYYANGFNAYWLMYVASDPSQRPKVSASFREAAAHGLTVARTWAFSDGGYRPLQYAPGSYNEQMFKGLDFVIAEARRYRIKLILSLANNYESFGGKKQYVNWARSQGQYLTSDDDFFRNPVVKGYYKNHVKTVLNRYNSFTGMHYKDDPTIMAWELMNEPRCTSDSSGRTIQAWIMEMASHVKSIDRNHLLEAGLEGFYGQSTAQKMRLNPNLNIGTDFIANNQIPGIDFATVHSYPDQWLSSSNDQYQLSFLNKWLDAHIQDAQFILRKPVLLTEFGKSWKDPGFSTYKRDQLFNTVYYKIYSSAKRGGPAAGGLFWQLLSEGMDSFRDGYDIVLSESPSTANAISQQSHKLDQIRRIFTRMRNVERWKRARAMRRGQWHGGNGGRHIGN >EOX91030 pep chromosome:Theobroma_cacao_20110822:1:1394654:1396888:-1 gene:TCM_000340 transcript:EOX91030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein isoform 1 MKHLVLALFLAVLFNQHLLSVQVEARDDFIRTRGVHFLLNGNPYYANGFNAYWLMYVASDPSQRPKVSASFREAAAHGLTVARTWAFSDGGYRPLQYAPGSYNEQMFKGLDFVIAEARRYRIKLILSLANNYESFGGKKQYVNWARSQGQYLTSDDDFFRNPVVKGYYKNHVKTVLNRYNSFTGMHYKDDPTIMAWELMNEPRCTSDSSGRTIQAWIMEMASHVKSIDRNHLLEAGLEGFYGQSTAQKMRLNPNLNIGTDFIANNQIPGIDFATVHSYPDQWLSSSNDQYQLSFLNKWLDAHIQDAQFILRKPVLLTEFGKSWKDPGFSTYKRDQLFNTVYYKIYSSAKRGGPAAGGLFWQLLSEGMDSFRDGYDIVLSESPSTANAISQQSHKLDQIRRIFTRMRNVERWKRARAMRRGQWHGGNGGRHIGN >EOX94863 pep chromosome:Theobroma_cacao_20110822:1:31786812:31788187:-1 gene:TCM_004477 transcript:EOX94863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Resistance to phytophthora 1 isoform 2 MYSLISTPLCNFGVSKFPLPTSFNFSYNNNPRFLSCRKALQIHANAANEVDRQIETVQEEPKEENLVAEPQNESNNISSTSSPSTAPLDKDLKKVLQKTAATFAPRASTATKNPAVPGTALYTVFEVQGYVSMLLGGALSFNLIFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSKNEKEALNYLFLIVPLLNVTIPFFWKSFAIVWSADTIAFFAMYAWKVGWLQKTE >EOX94864 pep chromosome:Theobroma_cacao_20110822:1:31786288:31788199:-1 gene:TCM_004477 transcript:EOX94864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Resistance to phytophthora 1 isoform 2 MYSLISTPLCNFGVSKFPLPTSFNFSYNNNPRFLSCRKALQIHANAANEVDRQIETVQEEPKEENLVAEPQNESNNISSTSSPSTAPLDKDLKKVLQKTAATFAPRASTATKNPAVPGTALYTVFEVQGYVSMLLGGALSFNLIFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSKNEKEALNYLFLIVPLLNVTIPFFWKSFAIVWSADTIAFFAMLDGYRKQSRN >EOX94055 pep chromosome:Theobroma_cacao_20110822:1:19663224:19670385:1 gene:TCM_003143 transcript:EOX94055 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 1 MPSDAQLMQIALDDLNNSSLSLEDRHRALQELLILVEPIDNANDLCKLGGLVAIIRELNHPDTDVRKISAWILGKASQNNPFVQKQVLELGALATLTKMVKSSSADEAIKAFYAVSALIRGNVAGQELFYAEAGDKMLQDILSNPGVDIRLRRKGVFLVADLAECQLENIDQPEMPFFSNHFFLKSVVDLTASTDLDLQEKALVAIKNLLQLRTTEALVFKDFCGLGDALERMKQQLEDLMLDEYHRDYVMDVEGLRKEVELIFQAKLGKRNFAHQEYGRKKIGGREL >EOX94054 pep chromosome:Theobroma_cacao_20110822:1:19662805:19670232:1 gene:TCM_003143 transcript:EOX94054 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 1 MGRIPASIGTGIGIILLLIMGMDMARTSAELENTSSSAGLFWSTAKEEGDLVHKAGPADDSTAASEDLDGGFSSLDGMLQWAIGHSDTAKLKETAQDVQRLSPSELKQRQLELKELVEKLKMPSDAQLMQIALDDLNNSSLSLEDRHRALQELLILVEPIDNANDLCKLGGLVAIIRELNHPDTDVRKISAWILGKASQNNPFVQKQVLELGALATLTKMVKSSSADEAIKAFYAVSALIRGNVAGQELFYAEAGDKMLQDILSNPGVDIRLRRKGVFLVADLAECQLENIDQPEMPFFSNHFFLKSVVDLTASTDLDLQEKALVAIKNLLQLRTTEALVFKDFCGLGDALERMKQQLEDLMLDEYHRDYVMDVEGLRKEVELIFQAKLGKGVRVPT >EOX90822 pep chromosome:Theobroma_cacao_20110822:1:724410:726917:-1 gene:TCM_000187 transcript:EOX90822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein MCGNNQLRLTNSISSSNLKQSQPTCFHLHQVLVFPRQKKPRKQPQDLRNNGRKSAKTLTYIKENFVSVMGSLDKSSGSEVVTIDVIQAKSLLQSGYGYIDVRTVEEYKKGHVDAEKILNIPYMFNTPEGRVKNPEFLKQVSSFCKEDDLLVVGCQSGVRSLYATADLLTIGFKNVSNMGGGYLAWVDNGFPLKMEEPVKVEDKHKEEL >EOX90589 pep chromosome:Theobroma_cacao_20110822:1:69298:70377:1 gene:TCM_000016 transcript:EOX90589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKYAFVRYRTVEELQRPIRNGQNAKLKGRHLLIKEAISPVIGDRRKEQGESLELQTGGASTQKSYKDVLASNGNRNLQMLLFPNRDHGNGEISRGLNVIVTFNETKDMDDGRKYLVWVQVEELPAHLLHQKMFEALGKSWGKFLQVDKATELKNTLDYALIKAEVNSKRMIPDYPQIMVNGKVIKIKAKIIGMETCGLGRKTLTTMEETAIKSTAELVAIGAEGWVTNMGGERSPSPTVEKCKSKSAV >EOX91786 pep chromosome:Theobroma_cacao_20110822:1:3862321:3869181:-1 gene:TCM_000864 transcript:EOX91786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 1 isoform 2 MASPVTPGTSRALSITPGSRVLKSPLSDETIWKRLKEAGFDEESIKKRDKAALIAYIAKLETELFDHQHHMGLLILERKELASKYDQIKSSAEATEIMHKRDQAAHISALAEAKKREDGLKKALGVEKECITSIEKALHEMRAESAETKVAAESRLAEARIMIEDAQKKFVVAEAKFNAAKSLQAEVSLFQRTAERKLQEVEAREDDLGRHILLFKKDCDAKEKEIVQERQSLSERQKIVQQEHERLLDGQASLNQREEYIFSRTQELNLLEKELEASRADIEKERRALKDEKSNLELSLASLSKREEAVIEREALLSKKEEQLLVSEQKLANKESVEIRKAIASHETVLRIRKSEFEAELEIKRKMTEDEIEMKRRTWELKEMDINYREDQIREREHDFEIRSRMLAEKEKDVAEKSNLIDEREKNVSVLDRELELKKALLEKEKEEITKMKLELQKSLSSLEDKRNQVDCAKEKLEAMRSETRELSTLELKLKEELDLVRVQKLELMADADRLKVEKAKFENEWELIDEKREELRKEAARVRDEREAVLKFLKDERDSLRRERDVMREQHKKDVESLNREREDFMNKMVLEHSDWFNKIQQERGEFLLGIETQKRELENCIEKRREELEGSLKEREETFERERKNELQHINALKERVEKELEQATLEMKRLDAERMEIKLDREQREREWAELNKSIEELKVQRHKLKQQRELLHADRKEIHAEIEELKKLGDLKAALDNMMVAQMQQSIIELSQQKASERKNLKQQTLMQNAGSDSDKNMVVADNGNGFNSPMLKPTGASPPSSARFSWIKRCSELIFKHNPDKAQMKPEEGSLISDTENVCLTSAGKLVSSDGQKYKRYGRKPVGFDREPKVIVEVPCEGEVVKGIHDLESEIEKNDAEKSVLVSEQDNQAGKKRRVANSPSRGTKKRRQKKDASLIEEEDITNSINSTEPNASQDQPALTDNRGHGGADETNGLIIDKIINISEVTYEKKSVGDDDIVAESVQDISQSGVMCSHANATQGKNGGSEEPGMVQEAHLGDLSQVIEPCQPMEDISERSEQKLEANVAPKPDDNEKIGMRTRSMQKL >EOX91788 pep chromosome:Theobroma_cacao_20110822:1:3863650:3867357:-1 gene:TCM_000864 transcript:EOX91788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 1 isoform 2 MRAESAETKVAAESRLAEARIMIEDAQKKFVVAEAKFNAAKSLQAEVSLFQRTAERKLQEVEAREDDLGRHILLFKKDCDAKEKEIVQERQSLSERQKIVQQEHERLLDGQASLNQREEYIFSRTQELNLLEKELEASRADIEKERRALKDEKSNLELSLASLSKREEAVIEREALLSKKEEQLLVSEQKLANKESVEIRKAIASHETVLRIRKSEFEAELEIKRKMTEDEIEMKRRTWELKEMDINYREDQIREREHDFEIRSRMLAEKEKDVAEKSNLIDEREKNVSVLDRELELKKALLEKEKEEITKMKLELQKSLSSLEDKRNQVDCAKEKLEAMRSETRELSTLELKLKEELDLVRVQKLELMADADRLKVEKAKFENEWELIDEKREELRKEAARVRDEREAVLKFLKDERDSLRRERDVMREQHKKDVESLNREREDFMNKMVLEHSDWFNKIQQERGEFLLGIETQKRELENCIEKRREELEGSLKEREETFERERKNELQHINALKERVEKELEQATLEMKRLDAERMEIKLDREQREREWAELNKSIEELKVQRHKLKQQRELLHADRKEIHAEIEELKKLGDLKAALDNMMVAQMQQSIIELSQQKASERKNLKQQTLMQNAGSDSDKNMVVADNGNGFNSPMLKPTGASPPSSARFSWIKRCSELIFKHNPDKAQMKPEEGSLISDTENVCLTSAGKLVSSDGQKYKRYGRKPVGFDREPKVIVEVPCEGEVVKGIHDLESEIEKNDAEKSVLVSEQDNQAGKKRRVANSPSRGTKKRRQKKDASLIEEEDITNSINSTEPNASQDQPALTDNRGHGGADETNGLIIDKIINISEVTYEKKSVGDDDIVAESVQDISQSGVMCSHANATQGKNGGSEEPGMVQEAHLGDLSQVIEPCQVRADCPYLQVPFSCMQMTLSTFSWLFICNMNYSLFYPYWCCISGL >EOX91787 pep chromosome:Theobroma_cacao_20110822:1:3862836:3867378:-1 gene:TCM_000864 transcript:EOX91787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 1 isoform 2 MRAESAETKVAAESRLAEARIMIEDAQKKFVVAEAKFNAAKSLQAEVSLFQRTAERKLQEVEAREDDLGRHILLFKKDCDAKEKEIVQERQSLSERQKIVQQEHERLLDGQASLNQREEYIFSRTQELNLLEKELEASRADIEKERRALKDEKSNLELSLASLSKREEAVIEREALLSKKEEQLLVSEQKLANKESVEIRKAIASHETVLRIRKSEFEAELEIKRKMTEDEIEMKRRTWELKEMDINYREDQIREREHDFEIRSRMLAEKEKDVAEKSNLIDEREKNVSVLDRELELKKALLEKEKEEITKMKLELQKSLSSLEDKRNQVDCAKEKLEAMRSETRELSTLELKLKEELDLVRVQKLELMADADRLKVEKAKFENEWELIDEKREELRKEAARVRDEREAVLKFLKDERDSLRRERDVMREQHKKDVESLNREREDFMNKMVLEHSDWFNKIQQERGEFLLGIETQKRELENCIEKRREELEGSLKEREETFERERKNELQHINALKERVEKELEQATLEMKRLDAERMEIKLDREQREREWAELNKSIEELKVQRHKLKQQRELLHADRKEIHAEIEELKKLGDLKAALDNMMVAQMQQSIIELSQQKASERKNLKQQTLMQNAGSDSDKNMVVADNGNGFNSPMLKPTGASPPSSARFSWIKRCSELIFKHNPDKAQMKPEEGSLISDTENVCLTSAGKLVSSDGQKYKRYGRKPVGFDREPKVIVEVPCEGEVVKGIHDLESEIEKNDAEKSVLVSEQDNQAGKKRRVANSPSRGTKKRRQKKDASLIEEEDITNSINSTEPNASQDQPALTDNRGHGGADETNGLIIDKIINISEVTYEKKSVGDDDIVAESVQDISQSGVMCSHANATQGKNGGSEEPGMVQEAHLGDLSQVIEPCQPMEDISERSEQKLEANVAPKPDDNEKIGMRTRSMQKL >EOX92617 pep chromosome:Theobroma_cacao_20110822:1:7768232:7769758:-1 gene:TCM_001537 transcript:EOX92617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane lipoprotein, putative MPEPDPKAGRAYIWTISCILFICIAAGGGCLLAYMTIPDSQSSVLLPALGFTLVCLPWIFWITTVIYRITSRAFGFRMVIGSLYVNGSVHRGGGDRGGGGGAAATVNDINDAQILDVSAKSPQSSPENDGRHVQFGEAMVLGNEKTGDNTRQEGNMKRPGSSSSSSSNDISVTSHESEMPLALSMAS >EOX95343 pep chromosome:Theobroma_cacao_20110822:1:33784484:33789143:1 gene:TCM_004857 transcript:EOX95343 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein MSDPLLERYKMIIFDEAHERTLATDVLSVLLKEVLKYRPDLKLAVTSAALEAEKSQVYFNGALLMKDKIAEKVQLYVGNRTYDVFFVHEIFPEVSIEFPSASSSLEWENKSNGSNESIAKGGGIVLITVVNGKELQELIDVEDDQAKTRKEGETARSVMGTQVMERPPDALPSQVKKGMIVVCGAMVAEVSDSKGEEGRISLKSDKVDGYCQVKIGVKSYVVFGV >EOX95288 pep chromosome:Theobroma_cacao_20110822:1:33656725:33659400:-1 gene:TCM_004827 transcript:EOX95288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMKPLAQALHGPPQTIVSSCPIYLLPPSHSISLLQNRRISRIFCSSKTIEEKGSKPDYKPGILDHFFMNSFRNKLVKEVGWDSAKPGYDGLIELAKALMMNSRSNSRTKDAAVRILKSLFPPFLLELYKILIAPIDGGKVAAVMVARVTVLTCQWLMGTSNVNSVDLPDGTSCNSGVFVERCKYLEESKCVGICINTCKLPTQSFFKDCMGVPLVMEPNFSDYSCQFKFGVFPPLPENDAALKEPCLDICPIANKRREIQRNVDVMKCPKA >EOX95633 pep chromosome:Theobroma_cacao_20110822:1:35005507:35007791:1 gene:TCM_005091 transcript:EOX95633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein MMHRCQMHHRLGIGLLRPVATFRSFSTVDLSNVDPSSPLLQYYTVTPPIKPWPQRLYPKRLVSMITCQQNLDLALQIFLYAGKFHPNFYHNYDTYHSIIHKLCRARAFEPMESLLSQLQDSQIKCGENLFISVIRNYGLASRPKLALKTFLRIENFNVQRSVRSLNTLLNALVQNKRYDLVHIMFKNSKTKFGVVPNVFTCNILIKALCQENDVEAAYKVFDEMPSMGMVPNVVTYTTILGGYVARGDMKNAKRVFGELLDRGWVPDATTYTVLMDGYCRLGKFSEAVKVMDEMEENGVVPNEVTYGVMIEAFCKEKKSGEALNLFDDMLERKYIPSSSLCCKVIDVLCDEGKVEEGCYLWKKMLKNDCLPDNAILSTLIHWLCKKGKVWEARKMFDEFEKGSVPSLLTYNTLINGMCERGELNEAGKLWDDMVEKGCNPNVFTYNMLIKGFCKMGNVMEGIRILEEMLDKGCFPNKVTYSVLIEGLQDMGKEGEVGKVVSMAMSRGRVDGSSWDLFLTKIVGKLDSGVDVLDQLLLESVTNRNT >EOX94910 pep chromosome:Theobroma_cacao_20110822:1:31982011:31984949:-1 gene:TCM_004510 transcript:EOX94910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MKGKKKGRKKKTNYQVEQAHRQATIGCYRLKDIRMKSHILILLCIVVLGVIGSCQGDGIGKNYYKVTCPHAEEIVKNVTEKHVCKNPSLPAKLLRMHFHDCFVRGCDASLLLNSTTNNTAEKQAIPNLTLSGFDVIDDIKAEVEKTCPGVVSCADVLALAARDSVSFELQRPLWEVLTGRRDGRVSRISEALANIPSPFSNFTTLVRNFASKGLDVHDLVVLSGGHTIGIGHCNLFSNRLYNFTGRGDQDPSLNPAYASFLKTKCRSLRDIITTVEMDPRSSLNFDNHYYVILKQKMGLFQSDAALLTDDSALKTVDELLDSSKFFVEFAQSMQKMGAIGVLTGTAGEIRKKCYVVN >EOX92870 pep chromosome:Theobroma_cacao_20110822:1:9106507:9108472:-1 gene:TCM_001732 transcript:EOX92870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MATNQEPEPSWQQLLGSKNWDSLLHPINLSLRKHILRCGDFCQATYDAFNNDQNSKFCGTSRYGKPTFFDKVMLESASDYRVESFLYATARVSLPEAFLLHSKSRESWDRESNWIGYIATTSDERTEALGRREIYVVWRGTTRDYEWVNVLGAKLESAKPLLRVNENTSSTNKEKDDTSSSSDSDDDSEKKPKVMLGWLTIYISDDPKSPFTKVSARTQLLGKIKELKERYKNENLSIVFTGHSLGASLSVISAFDVAENGVADDIPVAAFVFGCPQVGNKAFDERMKKYPNVSVLHIKNSIDLIPHYPSRLLGYVNTGTELVIDTRKSPSLKDSKNPSDWHNLQAMLHIVAGWNGEEGEFKLKVKRSLALVNKSCAFLKDECLVPESWWVEKNKGLVRNEDGEWVMAPQTDEDMPIPET >EOX93123 pep chromosome:Theobroma_cacao_20110822:1:10671878:10672955:1 gene:TCM_001970 transcript:EOX93123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQDQAILIYSIMIGRTIDIGRIVYKTMTHTAQSKCEGLWFPSLITAMCKQAGVHWDASEELLHLKLPIDLNLILRQSQTFIGGSSSSTHHLSPLDQDTNSCQ >EOX96728 pep chromosome:Theobroma_cacao_20110822:1:38790869:38792237:1 gene:TCM_005918 transcript:EOX96728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein YTKVNQFGRHKDPVLKWENWSQPQAFEAANKSFPHLQELVTWNCPKLVEALPNSLTSLVKLSICECPQLAASFLSLPSLCELNLEQCNEQFLARFINLTALARLKIENISNLSYLPKDFTCLVSLERLEVEDCGQLTSLLQEGARLENLSCLKRLAIMKCPQLSWLIDDEDQLPSSLEYLEIEDCTELEKFPNGLEKL >EOX92189 pep chromosome:Theobroma_cacao_20110822:1:5670788:5672918:-1 gene:TCM_001180 transcript:EOX92189 gene_biotype:protein_coding transcript_biotype:protein_coding description:FASCICLIN-like arabinogalactan protein 21 precursor, putative MAIISLNIMIFLALSFLTISHSTLDPTLTSATSPPLFETSPTPQPPEDFPDHTIFSHTSLLAPILSHLGFNELATAAPSLSSDSTTATALSGPYTIFAPSDSSVRTCISCSTSSLLREHMVYGLFTIDYLSKLTFGTKIETLSPGRCITVTATLDNHQNTTIHKIFIGGVEITHPDLFNNGLIIIHGLQGYISPLSPFSCDVERMTSLSFPLQYDRNHNNQLPQQQNVALMRFMLRDAILRLRNNGFSILSLAMKIKYAELVSLNNATIFALDDVSIFSGSFSYINNIRYHIVPNQLLTIADLERLPVGTTLTTLDRGQTLVVTTAGGGVMRGQTRINYVRIKVADVVRNLNIIVHSIYLPFPHVHPTAAANDAILGGGHETTKAGIDGACEALNEHGGCELSQVNHVTTDQVKPPMPEIEDHHGL >EOX93795 pep chromosome:Theobroma_cacao_20110822:1:15886380:15888962:-1 gene:TCM_002724 transcript:EOX93795 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 9 MAKTGVLSSDPTVRARAAELKKELQRLVRTIVDDEDYYSVDAIDQAKDALCALRELKFNKQSTATTTSSLKFHEAVSCPEEFRCPLSKELMRDPIILASGQTYDRPFIQKWLNAGNRTCPRTQQVLSHTALTPNHLVREMISQWCKSQGLELRNPVQYGKEEGVTKAEHDHFFSLLEKLSATLPEQKEAAKELRLLTKKMPSFRALFGESVDAIPQLLTPLSGSKSQSGVHPDLQEDVITTLLNLSIHDSNKKLVAETPMVIPLLMEALRSETIETRSNAAAALFTLSALDSNKALIGKSGALKPLIDLLDEGHPLAMKDVASAIFNLCIIHENKARAVRDGAVRVIIEKIMDGVHVDELLAILAMLSTHQRAVEEIGELEAVPCLLRIVRESTCERNKENCIAILHTVCLNDRTKWKALREEENTYGTISKLAQDGTSRAKRKATGILERLRRAVNITHTA >EOX93393 pep chromosome:Theobroma_cacao_20110822:1:12593526:12605735:1 gene:TCM_002245 transcript:EOX93393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhanced downy mildew 2, putative isoform 2 MASSDEEGEIFPDIHVSEYDFVDQNGAPISFAVLPLQWSENEVIDDLKTQVFIHGDADNGLQKIYKQVVAWKFELSYVLPEILVLSKNKRWITLQKPRKSFQSTVRTILITIHWMHFMKKNTEVSANSVWNHLQKVFSFYEFEPSEGDLLCHKLLIGEAVKRDKNLAKSQNVLNFLEVPQTNITFHQVPQTNITFHQDVHTPKKNNFIVDGDVDEDHDDDDVIGEEFDGVGKSIFDPVCAICDNGGNVLCCEGRCLRSFHPTKADGIDSFCDSLGFVNNAQVDAILSFLCKNCLYKQHQCYACGELGSSNNSSGQEVFACISATCGHFYHPKCVAKLLHADNEAEAETLKEKIASGHAFTCPIHKCFACKQSEDVEVHDLQFAVCRRCPKVYHRKCLPKNICFEYNMCKNILPRAWDGLLPYNRILIYCMEHKIIRELGTPSRDHLVFPDVKVKEKKHNLALLSYRGKNLASKRSEVYEDFATSRNLLKKPKLVPKAYGVIQAGVSSKRTEKHHSGQEFSSLKKPNTCITGRKFLKQDSSSDFDRSLAREKDKLSCPKGNLKVKLQFHASMSKQANETGCKIKNTNQNMPVMKKAESTRPLIDAEIEDGILALMKDADSSFNAEEFMKRHQQFSTADAGGFRNVVDKTITWGRVEASVRKLEAGDSLEDAKTVCGPEVLKQIFKWKENLAVYLGPFLHGMRYTSFGRHFTKVEKLKEVVGRLHWYVQDGDTIVDFCCGSNDFSCLLREKLEKVGKSCSFKNYDLFQPKNDFNFEKRDWMSVNLDELPDGSKLIMGLNPPFGVKASRANKFINKALKFKPKIIILIVPKETRRLDETEAYDLIWEDDRVLSGKSFYLPGSVDVHDRQLEQWNVKAPPLYLWSRNDWTGWHRAIAQEHGHAYAYKYNGEEEMVGNEEEDGYNYLMEEKHDCYGDFSKDVNACGGISSIFDGVPEVKDGFESEGSIHGKHMEGHFPV >EOX93392 pep chromosome:Theobroma_cacao_20110822:1:12593526:12605735:1 gene:TCM_002245 transcript:EOX93392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhanced downy mildew 2, putative isoform 2 MASSDEEGEIFPDIHVSEYDFVDQNGAPISFAVLPLQWSENEVIDDLKTQVFIHGDADNGLQKIYKQVVAWKFELSYVLPEILVLSKNKRWITLQKPRKSFQSTVRTILITIHWMHFMKKNTEVSANSVWNHLQKVFSFYEFEPSEGDLLCHKLLIGEAVKRDKNLAKSQNVLNFLEVPQTNITFHQVPQTNITFHQDVHTPKKNNFIVDGDVDEDHDDDDVIGEEFDGVGKSIFDPVCAICDNGGNVLCCEGRCLRSFHPTKADGIDSFCDSLGFVNNAQVDAILSFLCKNCLYKQHQCYACGELGSSNNSSGQEVFACISATCGHFYHPKCVAKLLHADNEAEAETLKEKIASGHAFTCPIHKCFACKQSEDVEVHDLQFAVCRRCPKVYHRKCLPKNICFEYNMCKNILPRAWDGLLPYNRILIYCMEHKIIRELGTPSRDHLVFPDVKVKEKKHNLALLSYRGKNLASKRSEVYEDFATSRNLLKKPKLVPKAYGVIQAGVSSKRTEKHHSGQEFSSLKKPNTCITGRKFLKQDSSSDFDRSLAREKDKLSCPKGNLKVKLQFHASMSKQANETGCKIKNTNQNMPVMKKAESTRPLIDAEIEDGILALMKDADSSFNAEEFMKRHQQFSTADAGGFRNVVDKTITWGRVEASVRAVRTALQKLEAGDSLEDAKTVCGPEVLKQIFKWKENLAVYLGPFLHGMRYTSFGRHFTKVEKLKEVVGRLHWYVQDGDTIVDFCCGSNDFSCLLREKLEKVGKSCSFKNYDLFQPKNDFNFEKRDWMSVNLDELPDGSKLIMGLNPPFGVKASRANKFINKALKFKPKIIILIVPKETRRLDETEAYDLIWEDDRVLSGKSFYLPGSVDVHDRQLEQWNVKAPPLYLWSRNDWTGWHRAIAQEHGHAYAYKYNGEEEMVGNEEEDGYNYLMEEKHDCYGDFSKDVNACGGISSIFDGVPEVKDGFESEGSIHGKHMEGHFPV >EOX96191 pep chromosome:Theobroma_cacao_20110822:1:36924648:36934410:-1 gene:TCM_005494 transcript:EOX96191 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC7-like guanine nucleotide exchange family protein MASSEADSRMSQVVAPALEKIIKNASWRKHSKLAHQCKSLLERLTSPTKSPVSPSDSEPDSSIPGPLHDGGPVEYSLAESETILSPLINACATAFNKIVDPAVDCIQKLIAYGYLRGEADPTGGPEAQLLSKLIESVCKCHDLGDDAVELLVLKTLLSAVTSISLRIHGDCLLQIVRTCYDIYLGSKNVVNQTTAKASLIQMLVIVFRRMEADSSTVPIQPIVVAELMEPVEKSDADGSMTQFVQGFITKIMQDIDGVLNPVAPSKVSLGGHDGAFETTTVETTNPADLLDSTDKDMLDAKYWEISMYKTALEGRKGELADGEVERDDDLEVQIGNKLRRDAFLVFRALCKLSMKTPPKEALADPQLMRGKIVALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNSASTLMIVFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVAQPNFQQKMIVLRFLDKLCVDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGVPPGTATTLLPPQEATMKLEAMKCLVAILKSMGDWMNKQLRIPDSHSTKRFEVVENSPDPGNVLMANGNGDEPVEGSDSHSEASSEASDVLTIEQRRAYKLELQEGISLFNRKPKKGIEFLIKANKVGDSPEEIAAFLKNASGLNKTLIGDYLGEREDLSLKVMHAYVDSFDFQGMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFISADTAYVLAYSVIMLNTDAHNPMVKNKMSADDFIRNNRGIDDGKDLPEEYLRSLFERISRNEIKMKEDDLSVQQKQSVNSKILGLDSILNIVIRKRDEDQHMETSDDLIRHMQEQFKEKARKSESVYYAATDVVILRFMVEVCWAPMLAAFSVPLDQSDDEVVIALCLEGFRYAIHVTAVMSMKTHRDAFVTSLAKFTSLHSPADIKQKNIDAIKAIVTIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQNESEKSKQAKSAVLPVLKKKGPGRIQYAAAAVMRGSYDSAGIGGNTAGAVTSEQMNNLVSNLNMLEQVGSSEMNRIFTRSQKLNSEAIIDFVKALCKVSMEELRSTSDPRVFSLTKIVEIAHYNMNRIRLVWSSIWLVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSSAVEIRELIIRCVSQMVLSRVNHVKSGWKSMFMVFTTAAYDDHKNIVLLAFEIMEKIIRDYFPYITETETTTFTDCVNCLIAFTNSRFNKDISLNAIAFLRFCATKLAEGDLGSSSKSKDKESGKISPSSPHKGKDGRQDNGELMDKDGHLYFWFPLLAGLSELSFDPRPEIRKSALQVLFETLRNHGHLFSLPLWERVFESVLFPIFDYVRHAIDPSGGDSPEQGIVNDVGELDQDAWLYETCTLALQLVVDLFVNFYNTVNPLLRKVLSLLVSFIKRPHQSLAGIGIAAFVRLMSNAGDLFSEEKWLEVVSSLKEAANATLPDFSYIVSGDSMVGSNEHALNGESNEVSAGSDTPHDDSESLRTQRLYASLSDAKCRAAVQLLLIQAVMEIYNMYRTHLSAKNTLVLFDAMHDVASHAHRINNNTTLRSKLQEFGPMTQMQDPPLLRLENESYQFCLTFLQNLILDRPPRYEEDEVESHLVDLCREVLLFYLETARSGQTSETSLNGQTQWLVPLGSGKRRELAARAPLIVATLQAICSLGDTLFEKNLPLFFPLLSSLISCEHGSNEVQVALSDMLSSSVGPVLLRSC >EOX95242 pep chromosome:Theobroma_cacao_20110822:1:33490095:33491667:1 gene:TCM_004791 transcript:EOX95242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISVRVAERWRNRRITQPITSLTRPTRMGSRNPKGTGTHPPKEWILSSSGTRGMPGSIIRRTADLLLRKSRHFEDFSRFF >EOX92497 pep chromosome:Theobroma_cacao_20110822:1:7162921:7166415:-1 gene:TCM_001441 transcript:EOX92497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene monooxygenase MVCQYLLGAILASVLGLVLLYKKIQKQTVFSSKKIQKETFSMSSDNGLPRDSEIGRSADIIIVGAGVAGSALAYTLGKDGRQVKVIERDLTEPDRIVGELLQPGGYLKLMELGLEDCVNEIDAQRVFGYALFKDGKSTKLSYPLQHFESDVAGRSFHNGRFIQRMREKASTLPNVKLEQGTVTSLITEKGTVKGVQYKTKNGQQLIAKAPLTIVCDGCFSNLRRSLCNPKVDIPSCFVAMVLENCKLPYANHGHVILADPSPILFYPISSTEVRCLVDVPGQNVPSVSNGEMSHFLKTEVAPQIPPELYNAFISAIDKRNIRTMPNRSMPAAPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLRDLNDASALCKYLESFYTLRKPTASTINTLAGALYKVFSGSSDPARREMRKACFDYLSLGGVFSNGPIALLSGMNPRPLNLILHFFAVAIYGVGRLLLPFPSFKRLLLGARLISGASSIIFPILKAEGVRQVFFPVTIPAYYRVPPVNL >EOX95802 pep chromosome:Theobroma_cacao_20110822:1:35615990:35617221:-1 gene:TCM_005214 transcript:EOX95802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1191) [Source:Projected from Arabidopsis thaliana (AT4G01140) TAIR;Acc:AT4G01140] MGSDRSWLIIFMVFSSLLSSIAGGSDSHDPEALDALFHFYANQTLAKHRTGILYKIPLPSNFSGMEVSVIRLRSGSLWARGSNSSFIMIPPRVRAFPHVKRLAIVYENLGEWSSKYYQIPGYTLVSPVVGFNVYDSSNATTLSDRKVTLSTTSDPVSIHFPYIEAEDKNVTELKCVKFGAGGSVKFQNMTARNVCVTGKAGHFSVVIPSMPEKKVRIWKWWVIGFVSATAGLVLLILVAVIIFILLRRMKIKTMEKESDNAETLDTFWVRGDKMPSASMIRTQPVLEHDYVP >EOX95716 pep chromosome:Theobroma_cacao_20110822:1:35350238:35354998:1 gene:TCM_005155 transcript:EOX95716 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein, putative MRKRLKRTKGICSCTSPRCTDRSSFSWYEQDMWTYIARFLDGRSLVMLGAVSKWFNSVIMQDCVWKFACLRDLQVPDPGHVAFSWAKLYASAVDGSHSYMFRQQEKHIDWMRIGAFSFESEVAFLSERLSAPVKIPKQKIVDDMLQSCGTCLLRKVKTGIWIADLQLVRCPVCDQEKCEGTMQMLDARHIELFLSQGYQDGSWDYELVGSHEIKKRVPGAYGSIFDFRYLTSKTAAGGCKSFSELSEVGLLPLLEKMLNNLILFANKLAGVFNYKSWIGRANDFQPKAIITLHAVAVNTNLQENQGILIKYHTMRAGAEGEIVSIRISQQLL >EOX92920 pep chromosome:Theobroma_cacao_20110822:1:9431575:9432558:1 gene:TCM_001783 transcript:EOX92920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGREARFTCCVKVEHEFTVIPHLFQAGFRLQIRKFLKYDNGNVREALEESTQEKFFLPEFVFGCNGHHRYVTYRLREAGWHQSNLQRILDAAFSEAKLILERERITCGLHIIPTIVFCVNKFVRWEDELEARALDLSMQEVPQPVPATKESIQALKKVKLEVDNTEECMICMEQLISSGTDKVITSMPCSHLFHGDCIEEWLNTSHKCPLCRFPMPTDG >EOX95142 pep chromosome:Theobroma_cacao_20110822:1:33027886:33035420:-1 gene:TCM_004698 transcript:EOX95142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 3 isoform 1 MANPKLGRIPSIRERVEDTLSAHRNELVSLLSRYVAQGKGILQPHTLIDELDNIIGDDQARQRLSDGPFSEVLKSAQEAIVLPPYVAIAVRPRPGVWEFVRVNVHELSVEQLSVSEYLRFKEALADGEDNKHFVLELDFEPFNASFPRPNRSSSIGNGVQFLNRHLSSIMFRNKDCLEPLLNFLRAHKYKGHALMLNDRIQSILRLQAALAKAEDHLSKLPPDAPYSEFEYVLQGMGFERGWGDTAVHVLEMMHLLLDILQAPDPSTLETFLGRVPMVFNVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMLLRIQRQGLDITPRILIVTRLIPDAKGTTCNQRLERVSGTEHTHILRVPFRSEKGILRKWISRFDVWPYLETFAEDVASEIAAELQGIPDFIIGNYSDGNLVASLLAYKMGVTQCTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLIAMNNADFIITSTYQEIAGTKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMCIYFPYSDKEKRLTALHGSIEELLYDPQQTDEHIGTLSDRSKPIIFSMARLDRVKNMTGLVECYCKNTKLRELANLVVVAGYIDVKMSKDREEIAEIEKMHGLMKEYQLDGQFRWIAAQTNRARNGELYRYIADTKGIFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEHGVSGFHIDPYHPDQTAELLADFFQRCKEDPSHWTKISDGGLNRIYERYTWKIYSERLMTLAGVYSFWKYVSKLERRETRRYLEMFYILKFRDLVSAL >EOX95143 pep chromosome:Theobroma_cacao_20110822:1:33027831:33034346:-1 gene:TCM_004698 transcript:EOX95143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 3 isoform 1 MANPKLGRIPSIRERVEDTLSAHRNELVSLLSRYVAQGKGILQPHTLIDELDNIIGDDQARQRLSDGPFSEVLKSAQEAIVLPPYVAIAVRPRPGVWEFVRVNVHELSVEQLSVSEYLRFKEALADGEDNKHFVLELDFEPFNASFPRPNRSSSIGNGVQFLNRHLSSIMFRNKDCLEPLLNFLRAHKYKGHALMLNDRIQSILRLQAALAKAEDHLSKLPPDAPYSEFEYVLQGMGFERGWGDTAVHVLEMMHLLLDILQAPDPSTLETFLGRVPMVFNVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMLLRIQRQGLDITPRILIVTRLIPDAKGTTCNQRLERVSGTEHTHILRVPFRSEKGILRKWISRFDVWPYLETFAEDVASEIAAELQGIPDFIIGNYSDGNLVASLLAYKMGVTQCTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLIAMNNADFIITSTYQEIAGTKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMCIYFPYSDKEKRLTALHGSIEELLYDPQQTDEHIGTLSDRSKPIIFSMARLDRVKNMTGLVECYCKNTKLRELANLVVVAGYIDVKMSKDREEIAEIEKMHGLMKEYQLDGQFRWIAAQTNRARNGELYRYIADTKGIFVQQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEHGVSGFHIDPYHPDQTAELLADFFQRCKEDPSHWTKISDGGLNRIYERVYMENLF >EOX95141 pep chromosome:Theobroma_cacao_20110822:1:33027559:33035420:-1 gene:TCM_004698 transcript:EOX95141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 3 isoform 1 MHSISYEMSTTSFYISNRPLLLQSSLKASESVIMANPKLGRIPSIRERVEDTLSAHRNELVSLLSRYVAQGKGILQPHTLIDELDNIIGDDQARQRLSDGPFSEVLKSAQEAIVLPPYVAIAVRPRPGVWEFVRVNVHELSVEQLSVSEYLRFKEALADGEDNKHFVLELDFEPFNASFPRPNRSSSIGNGVQFLNRHLSSIMFRNKDCLEPLLNFLRAHKYKGHALMLNDRIQSILRLQAALAKAEDHLSKLPPDAPYSEFEYVLQGMGFERGWGDTAVHVLEMMHLLLDILQAPDPSTLETFLGRVPMVFNVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMLLRIQRQGLDITPRILIVTRLIPDAKGTTCNQRLERVSGTEHTHILRVPFRSEKGILRKWISRFDVWPYLETFAEDVASEIAAELQGIPDFIIGNYSDGNLVASLLAYKMGVTQCTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLIAMNNADFIITSTYQEIAGTKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMCIYFPYSDKEKRLTALHGSIEELLYDPQQTDEHIGTLSDRSKPIIFSMARLDRVKNMTGLVECYCKNTKLRELANLVVVAGYIDVKMSKDREEIAEIEKMHGLMKEYQLDGQFRWIAAQTNRARNGELYRYIADTKGIFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEHGVSGFHIDPYHPDQTAELLADFFQRCKEDPSHWTKISDGGLNRIYERYTWKIYSERLMTLAGVYSFWKYVSKLERRETRRYLEMFYILKFRDLVKSVPLASDD >EOX95144 pep chromosome:Theobroma_cacao_20110822:1:33027351:33034369:-1 gene:TCM_004698 transcript:EOX95144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 3 isoform 1 MANPKLGRIPSIRERVEDTLSAHRNELVSLLSRYVAQGKGILQPHTLIDELDNIIGDDQARQRLSDGPFSEVLKSAQEAIVLPPYVAIAVRPRPGVWEFVRVNVHELSVEQLSVSEYLRFKEALADGEDNKHFVLELDFEPFNASFPRPNRSSSIGNGVQFLNRHLSSIMFRNKDCLEPLLNFLRAHKYKGHALMLNDRIQSILRLQAALAKAEDHLSKLPPDAPYSEFEYVLQGMGFERGWGDTAVHVLEMMHLLLDILQAPDPSTLETFLGRVPMVFNVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMLLRIQRQGLDITPRILIVTRLIPDAKGTTCNQRLERVSGTEHTHILRVPFRSEKGILRKWISRFDVWPYLETFAEDVASEIAAELQGIPDFIIGNYSDGNLVASLLAYKMGVTQCTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLIAMNNADFIITSTYQEIAGTKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMCIYFPYSDKEKRLTALHGSIEELLYDPQQTDEHIGTLSDRSKPIIFSMARLDRVKNMTGLVECYCKNTKLRELANLVVVAGYIDVKMSKDREEIAEIEKMHGLMKEYQLDGQFRWIAAQTNRARNGELYRYIADTKGVCTFGQ >EOX91656 pep chromosome:Theobroma_cacao_20110822:1:3448424:3451090:1 gene:TCM_000775 transcript:EOX91656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFPKKKGIPMQKSLGFHVILFAYTCKYVGGLALSSLKAFELNQQRLGLGPSLNTDALSQKEPFKHSS >EOX91438 pep chromosome:Theobroma_cacao_20110822:1:2740870:2742313:1 gene:TCM_000635 transcript:EOX91438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 19, putative MDWVRGDTIGCGSFGTVNLVLPKKGFSKSPSVMAVKSCETIKSVSLKSEKEVLDQLGFCPQIIRCFGDGYTLENGESLYNLFLEYADKGSLADQVKKSGGNLIESDVRRYARSILKGLLFVHAKGFAHCDIKVQNVLLFGNGNVKITDFGLAKRIGEEEGDEPRRIEIRGTPLNIAPESVNDNEYDSPVDIWALGCVIVEMFTGKPAWNLKPGTNLAALLIKIGVSDELPEIPAELSEDGKDFLEKCFVKDPNNRWTAEMLLDHPFVAADDETVALEEESPSPNCSCEEFSMSPRCPFDFPDWVSTQYTASSQSTFQENSSLVSSFSSYLSSPLDRIHQLACHQAPNWSVSGSWITLR >EOX92346 pep chromosome:Theobroma_cacao_20110822:1:6386877:6389074:-1 gene:TCM_001301 transcript:EOX92346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MCEIKKVANKKGKVETSGGSVVDQDNQWLLVYQPHMMREEGSSSMLSEDRREREMSAMVSALTHVVAGDVPDDQELAGDGNSDGFDSSIANYINTTSSWGFGGQKRGREGEGGGGGGGGGGAMAVESVSKLCSQFGNFPHGGRGSSSVGVRVTDSNTPAQLVPTYEYRSNDNCREEPRRRYRGVRQRPWGKWAAEIRDPIKAARVWLGTFDTAEAAARAYDEAALRFRGNKAKLNFPENVKLKSPPSSPATTQFITSDSATTLMSIPTSTEPIVHSQSNYLVQSPQVSGGYLDYSQFFLGPSNDFPKQQQEQPLKLYEQRVLSTSVGSLAQSCSSTSSSSSTSYPLAFLSQPSGHHLNLASSQGGGVGDFSVHAWSDSSHYTSTSG >EOX92467 pep chromosome:Theobroma_cacao_20110822:1:7027965:7033258:1 gene:TCM_001416 transcript:EOX92467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MAFTGIVNDKLTEENYENWKECLKSYLISQGLWGVASGDELEPPKTDECRYDCWVKKNAKALHAIQISCGAGTIARIGESESAKYEWDRLAEKLPAPLPKGSGLLLNEGESNVFYYDALYRAIEKGDLEAVKMFLDLKPYAVREKITLKDDTALHVAVLAGKEEIVKELVRRMEKDDLELKNNMGETAFSIATINESKEMVRAMVEKNSNLVTVKNAYGAIPVVVASLFSARDMVRYLYFKTPEEILKPENGDRSGATLLNSLIADGIFDLALSLLKRYPKLGVTEDINKNYAVKLLAHKPSAFLSGKSFVFWKRWIYNSCIKISEVELEDEGITYGESRGDEESIRRERSRPNNVRSSLIKFGWKLLKWFVPDMKQIHYAKLKHDQAVELLRYIFKEIPRLSNKQLDKIGLDKAIYDAIKHGMIEFIDEIIQLHPEVIWRKDKKGRTLFANAIVLRREKIFNHVYHLGSKQRITLLRHDIFRNNFLHLAAKLSPPSRLDHISGAALQMQRELQWFEELRKILPPKFEEEFNENNRTPASLFSAEHKELIKEGEKWMKNNAASCMVVATLIAAVMFTSAFTVPGGNDEKTGAPIFLKSNAFLVFVIANSLSLFASSTSVLVFLGVLTSHYAEKDFLQSLPAKSILGLFTLFFSIVTMMIAFGSAIFITLQKRLAWISVPVIVLSTVPIAFFTLLQFPLLIEMLISTYLIRVFMIGGDEVHLGKNYSNSACAQFFELLEIELNTTAYKMMLVIDLA >EOX94824 pep chromosome:Theobroma_cacao_20110822:1:31598563:31604321:1 gene:TCM_004437 transcript:EOX94824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFNKVYQCLMNIFPQVDSRILKAVAIENSKDVDAAAEIVLSEILPYLSKQIMAASSSSQTQSPQVQPNEAVDEEETDQLRRRKVLLGKRACSSTEPLLETNEVGRDTRLTGAANNVNFLEASNSASTSKFHENNNNEAANIETEELILLGNPLENTAEIGKDKSSVIFNALGIENALLSANLESKESGSPSKDQAIDIEDGFVRSPHVSPVTSAYDYTSPLENTGSSESSNDDLNLDGPLDLNADLCRNSSLNGTMVGEENAVSLLVPSSSQEQVPEGPSASRHSESGSMIHSTDSEKQGSCSVELKSQQDSISEMGDIEDDTFDPVVSRSGQTCRIDLLEEIIEDAKNNKKTLFQAMQSIINLMREVELKEEATEQAKEEAARGGLDILVKVEELKQMLPHAKEANDMHAGEVYGEKAILATEVRELQSRLLSLSEERDKSLAILDEMRQTLEARQAAAEEVMKTAEQEKLEKEESALNALAEQEAIMVKVVEESKILRQEAEENSKLREFLMDRGQIVDSLQGEISVICEDVRLLKEKFDERVPLSKSISSSQTSCILASSGSSLKSMASDLGCEQGEKAKTPEKRSPTPSVNGQSPKSRSLEERCKADGKELLDDGWEIFDRDAEF >EOX96688 pep chromosome:Theobroma_cacao_20110822:1:38654474:38658908:1 gene:TCM_005881 transcript:EOX96688 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCCH-type zinc finger protein with ARM repeat domain isoform 1 MCGGPEQSKSESTPASTREPTPNAKDMDHLTVKTEDSFSSLLELASNNDVEGIKQSIVQDASSIDEVGLWYSREKSSNQIVAKHRTPLMVAATYGSVDAVKLILTHSAADVNLSCDTDKSTALHCAASGGSLHAIDVVKLLSSAGADPNCTDAIGHRPVDVVVVHPKLQSMRAVLEELLSGHVSDDSFREHNLRISIDNSTSSSPTLSSSLENGSPPSPSNLASSPMASKFNDIPVNSAPEKKEYPVDPSLPDIKNSIYATDEFRMFSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHTAEELRPLYVSTGSAVPSPRSSASAANVMDMAAAMSLLPGSPSSVSGMSHSPFNQSMSPSANGLSHSSAAWPQPNVPTLHLPGSNLQSSRLRSSLSARDIPPEDFDMLRDFDAQQQILNDLTCFSQSRNNSVSVSHSGRSKTLTPSNLEELFSAEISSSPRYTDQTAASAVFSPTHKSAVLNQFQQQQSILSPINTNVFSPKNIEHPLLQASFGVGSPGRMSPRSTEPISPMAARISAIVQREKQQQQLRSLSSRELGSNNLASIVGSPVNSSWSKWGSPNGKLDWSVNGDEMGQLRRSSSFELGNNGEEPDLSWVQSLVKESPPEMMKEKLAVSVPGGASSVEVLNNSNSQIDSVDHSVIGAWLEQMQLDQLVV >EOX96689 pep chromosome:Theobroma_cacao_20110822:1:38654096:38658857:1 gene:TCM_005881 transcript:EOX96689 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCCH-type zinc finger protein with ARM repeat domain isoform 1 MCGGPEQSKSESTPASTREPTPNAKDMDHLTVKTEDSFSSLLELASNNDVEGIKQSIVQDASSIDEVGLWYSREKSSNQIVAKHRTPLMVAATYGSVDAVKLILTHSAADVNLSCDTDKSTALHCAASGGSLHAIDVVKLLSSAGADPNCTDAIGHRPVDVVVVHPKLQSMRAVLEELLSGHVSDDSFREHNLRISIDNSTSSSPTLSSSLENGSPPSPSNLASSPMASKFNDIPVNSAPEKKEYPVDPSLPDIKNSIYATDEFRMFSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHTAEELRPLYVSTGSAVPSPRSSASAANVMDMAAAMSLLPGSPSSVSGMSHSPFNQSMSPSANGLSHSSAAWPQPNVPTLHLPGSNLQSSRLRSSLSARDIPPEDFDMLRDFDAQQQILNDLTCFSQSRNNSVSVSHSGRSKTLTPSNLEELFSAEISSSPRYTDQTAASAVFSPTHKSAVLNQFQQQQSILSPINTNVFSPKNIEHPLLQASFGVGSPGRMSPRSTEPISPMAARISAIVQREKQQQQLRSLSSRELGSNNLASIVGSPVNSSWSKWGSPNGKLDWSVNGDEMGQLRRSSSFELGNNGEEPDLSWVQSLVKESPPEMMKEKLAVSVPGGASSVEVLNNSNSQIDSVDHSVIGAWLEQMQLDQLVV >EOX96690 pep chromosome:Theobroma_cacao_20110822:1:38654096:38658919:1 gene:TCM_005881 transcript:EOX96690 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCCH-type zinc finger protein with ARM repeat domain isoform 1 MCGGPEQSKSESTPASTREPTPNAKDMDHLTVKTEDSFSSLLELASNNDVEGIKQSIVQDASSIDEVGLWYSREKSSNQIVAKHRTPLMVAATYGSVDAVKLILTHSAADVNLSCDTDKSTALHCAASGGSLHAIDVVKLLSSAGADPNCTDAIGHRPVDVVVVHPKLQSMRAVLEELLSGHVSDDSFREHNLRISIDNSTSSSPTLSSSLENGSPPSPSNLASSPMASKFNDIPVNSAPEKKEYPVDPSLPDIKNSIYATDEFRMFSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHTAEELRPLYVSTGSAVPSPRSSASAANVMDMAAAMSLLPGSPSSVSGMSHSPFNQSMSPSANGLSHSSAAWPQPNVPTLHLPGSNLQSSRLRSSLSARDIPPEDFDMLRDFDAQQQILNDLTCFSQSRNNSVSVSHSGRSKTLTPSNLEELFSAEISSSPRYTDQTAASAVFSPTHKSAVLNQFQQQQSILSPINTNVFSPKNIEHPLLQASFGVGSPGRMSPRSTEPISPMAARISAIVQREKQQQQLRSLSSRELGSNNLASIVGSPVNSSWSKWGSPNGKLDWSVNGDEMGQLRRSSSFELGNNGEEPDLSWVQSLVKESPPEMMKEKLAVSVPGGASSVEVLNNSNSQIDSVDHSVIGAWLEQMQLDQLVV >EOX93059 pep chromosome:Theobroma_cacao_20110822:1:10211251:10216609:-1 gene:TCM_001909 transcript:EOX93059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase 2 isoform 1 MEPTDKSSRQLRRGLCYSNGARRQARSPSVIVIGAGMAGIAAAHALREASIQVMVLESRDRIGGRVHTDYSFGFPVDLGASWLHGVSKENPLAPLISRLGLPLYRTSGDNSVLYDHDLESYALFDMDGRQVPQELVTKVGEAFECILKETDKVRLEHSDDMSISRAFSIVFERRPELRLDGVAHKVLQWYLCRMEGWFAADADTISLKSWDQEELLPGGHGLMVRGYLPVINTLAKGLDIRLSHRVTKIVRRYNGVKVTVEDGTTFAADAVIVAVPLGVLKSKIINFEPKLPEWKEAAIDDLGVGIENKIILHFDKVFWPNVEFLGVVAETTYDCSYFLNLHKATGHSVLVYMPAGQLARDIEKMSDEAAANFAFMQLRKILPEASAPIQYLVSRWGTDIDTLGSYSYDAVGKAHDLYERLRIPVDNLFFAGEATSMSYPGSIHGAFSTGLKAAEDCRMRVLERYGELDLFQPVMGEEASLSVPLLISRM >EOX93060 pep chromosome:Theobroma_cacao_20110822:1:10211251:10216622:-1 gene:TCM_001909 transcript:EOX93060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase 2 isoform 1 MEPTDKSSRQLRRGLCYSNGARRQARSPSVIVIGAGMAGIAAAHALREASIQVMVLESRDRIGGRVHTDYSFGFPVDLGASWLHGVSKENPLAPLISRLGLPLYRTSGDNSVLYDHDLESYALFDMDGRQVPQELVTKVGEAFECILKEANKVRLEHSDDMSISRAFSIVFERRPELRLDGVAHKVLQWYLCRMEGWFAADADTISLKSWDQAELLPGGHGLMVRGYLPVINTLAKGLDIRLSHRVTKIVRRYNGVKVTVEDGTTFAADAVIVAVPLGVLKSKIINFEPKLPEWKEAAIDDLGVGIENKIILHFDKVFWPNVEFLGVVAETTYDCSYFLNLHKATGHSVLVYMPAGQLARDIEKMSDEAAANFAFMQLRKILPEASAPIQYLVSRWGTDIDTLGSYSYDAVGKAHDLYERLRIPVDNLFFAGEATSMSYPGSIHGAFSTGLKAAEDCRMRVLERYGELDLFQPVMGEEASLSVPLLISRM >EOX93061 pep chromosome:Theobroma_cacao_20110822:1:10211251:10216622:-1 gene:TCM_001909 transcript:EOX93061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase 2 isoform 1 MEPTDKSSRQLRRGLCYSNGARRQARSPSVIVIGAGMAGIAAAHALREASIQVMVLESRDRIGGRVHTDYSFGFPVDLGASWLHGVSKENPLAPLISRLGLPLYRTSGDNSVLYDHDLESYALFDMDGRQVPQELVTKVGEAFECILKETDKVRLEHSDDMSISRAFSIVFERRPELRLDGVAHKVLQWYLCRMEGWFAADADTISLKSWDQAELLPGGHGLMVRGYLPVINTLAKGLDIRLSHRVTKIVRRYNGVKVTVEDGTTFAADAVIVAVPLGVLKSKIINFEPKLPEWKEAAIDDLGVGIENKIILHFDKVFWPNVEFLGVVAETTYDCSYFLNLHKATGHSVLVYMPAGQLARDIEKMSDEAAANFAFMQLRKILPEASAPIQYLVSRWGTDIDTLGSYSYDAVGKAHDLYERLRIPVDNLFFAGEATSMSYPGSIHGAFSTGLKAAEDCRMRVLERYGELDLFQPVMGEEASLSVPLLISRM >EOX93058 pep chromosome:Theobroma_cacao_20110822:1:10211208:10216623:-1 gene:TCM_001909 transcript:EOX93058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase 2 isoform 1 MEPTDKSSRQLRRGLCYSNGARRQARSPSVIVIGAGMAGIAAAHALREASIQVMVLESRDRIGGRVHTDYSFGFPVDLGASWLHGVSKENPLAPLISRLGLPLYRTSGDNSVLYDHDLESYALFDMDGRQVPQELVTKVGEAFECILKEASVVYLLTDKVRLEHSDDMSISRAFSIVFERRPELRLDGVAHKVLQWYLCRMEGWFAADADTISLKSWDQADDVGKAYRNIGSFGLVSFQASFVKLCSKWSSKIQEELLPGGHGLMVRGYLPVINTLAKGLDIRLSHRVTKIVRRYNGVKVTVEDGTTFAADAVIVAVPLGVLKSKIINFEPKLPEWKEAAIDDLGVGIENKIILHFDKVFWPNVEFLGVVAETTYDCSYFLNLHKATGHSVLVYMPAGQLARDIEKMSDEAAANFAFMQLRKILPEASAPIQYLVSRWGTDIDTLGSYSYDAVGKAHDLYERLRIPVDNLFFAGEATSMSYPGSIHGAFSTGLKAAEDCRMRVLERYGELDLFQPVMGEEASLSVPLLISRM >EOX90778 pep chromosome:Theobroma_cacao_20110822:1:588663:590408:-1 gene:TCM_000148 transcript:EOX90778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane intrinsic protein 3 isoform 1 MENSRDGQVHQLHGKDYVDPPPARLLDMEEIRSWSFYRALLAEFVATLLFLYILVATVIGHKKQKGPCDGVGPLGIAWAVGGMIFVLVYCTAGISGGHINPAVTLGLFVARKVSLVRAVAYMVAQCLGSICGAAIAKSIMRRYYNTLGGGANEVASGYSKGSALGAEIIGTFVLVYTVFSATDPKRNARDSHVPVVLAPLPIGFAVFVVHLATIPITGTGINPARSLGAAVIYDNEKAWDDQQWIFWVGPFIGALAAAAYHQFILRATAIKALKSFRSSPTN >EOX90777 pep chromosome:Theobroma_cacao_20110822:1:588670:590484:-1 gene:TCM_000148 transcript:EOX90777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane intrinsic protein 3 isoform 1 MENSRDGQVHQLHGKDYVDPPPARLLDMEEIRSWSFYRALLAEFVATLLFLYILVATVIGHKKQKGPCDGVGPLGIAWAVGGMIFVLVYCTAGISGGHINPAVTLGLFVARKVSLVRAVAYMVAQCLGSICGAAIAKSIMRRYYNTLGGGANEVASGYSKGSALGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFVVHLATIPITGTGINPARSLGAAVIYDNEKAWDDQWIFWVGPFIGALAAAAYHQFILRATAIKALKSFRSSPTN >EOX92837 pep chromosome:Theobroma_cacao_20110822:1:8815376:8816255:-1 gene:TCM_001699 transcript:EOX92837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLYTQTEAYGHKGEEKYVKSIEQYHCNIEVSNQVLSKESVVSMEDNKEGGIVKKGHDDGMKMAVSLLREFELPEGLLPLADVVEVGYVKGTGYMWIVQQKKVEHEFKMISKLVSYDTEIKGFVDKKRIKKLKGVKAKELMLWPPVSEITIDDKQPGKIHFKSLAGITKTFPVEAFAAGQ >EOX93595 pep chromosome:Theobroma_cacao_20110822:1:14115464:14118162:1 gene:TCM_002474 transcript:EOX93595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic, putative MRPSINKPHIPPSSFWSSSLNLVLFLVIPLVVFLVLVSALTPEPSTLSPWTWRFGNLVSHSFGFSPPSLIEETPTNISDFKAHTNNSTVIKGSSIFNGSSTDSSFLDAEPDYFASNRSFSAAFIEKETVQLAMSKNNESEEIDVTSTTRVVKRYSKLERLEANLARARSSIKEAALVRNLTSIHQNHDYVPHGPIYRNSNAFHRSYLEMEKLFKIYVYQEGEPPIFHNGPCRSIYSSEGRFIHELEKGKFYRTQDPDEALVYFLPFSVVMLVHYLYDPETFDTDAIGRAVVDYIDVIASKHPYWNRSLGADHVMLSCHDWGPRASSYVPHLYHKSIRVLCNANTSEGFNPAKDASFPEINLLTGDVEGLLGGPSPSRRSILAFFAGRLHGYIRYLLLNEWKDKRDPDVQVFDQLPKGVSYMSKLKNSRFCLCPSGYEVASPRIVEAIYAECVPVLISDSYVPPFSDVLNWNSFSVQVAAKDIPNIKKILMSISQRRYLRMQRRVKQVQRHFVVNATPKRYDVFHMITHSIWLRRLNIHVQDFDHS >EOX92440 pep chromosome:Theobroma_cacao_20110822:1:6841428:6844427:-1 gene:TCM_046972 transcript:EOX92440 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase epsilon chain, mitochondrial isoform 2 MASNAAVPFWRAAGMTYITYSNICANLVRNCLKEPYKTEALAREKVHFSISKWTDGKPEKPNDV >EOX92439 pep chromosome:Theobroma_cacao_20110822:1:6841401:6844351:-1 gene:TCM_046972 transcript:EOX92439 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase epsilon chain, mitochondrial isoform 2 MASNAAVPFWRAAGMTYITYSNICANLVRNCLKEPYKTEALAREKVHFSISKWTDGKPEKPTLRSDSPVE >EOX93853 pep chromosome:Theobroma_cacao_20110822:1:16762143:16770440:1 gene:TCM_002831 transcript:EOX93853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGTFPCLHAMVPQEGINLLIMVSNPTFDHWTRQYQIHVIIFSATKSVVPFFASTETFFYAWNKITNLYANKSRFRMMNLSENVTKTKRTRSTSKYFQNLRSVANELALVNSPMSEEELVILAFYGIGIDFKKIIASVRAQESYISFSDYEELLKEQDTSSKLNISTAHVVTS >EOX92471 pep chromosome:Theobroma_cacao_20110822:1:7053990:7056170:1 gene:TCM_001421 transcript:EOX92471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVAFDILLTRATEPKGVIWLALVFASCPVFFSSRLFAYVYSSGFRKCGSCYLARFLGLAWIGGMAICFLTSFAMFLVFGPASRVGFSQWHGD >EOX95429 pep chromosome:Theobroma_cacao_20110822:1:34185446:34188670:1 gene:TCM_046695 transcript:EOX95429 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD-like 6 MDNFPKVLCGWSWEENKLFELALALVDEQHPDRWEVVAAMVGGEKSAEEVEKHYVILLEDLQFIESGKLDHKLVEAQSCIQVDCNQSVCWTDEDNNLLVRLDIS >EOX92146 pep chromosome:Theobroma_cacao_20110822:1:5449701:5453835:1 gene:TCM_001140 transcript:EOX92146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein MGENSPETKSGCGLLNAVFGRRNFWPRRSTSTGSLPINNINIKTASSNTKRRRSGSDEASLFNSSLNGPEAPPKSSTKPPPNHPKPPAVLQQNQVRKPHDDATRITPNQRYVNQGKRVPKEVVSISGELESMIVDHQKTKGNSNLVRASSSNVMLYGNLGNLRQPGGGNTNSYNVLDQLPKTAREDVSTPNGRYPNSVMGNVVKKPVEEKRTEEQPGSLCRALSTRMDPETLKIMGNEDYKNGNFAEALALYEAAIAIDPNKASYRSNKSAALTALGRILEAVFECREAIRIEPHYHRAHHRLANLYLRLGEVEKAIYHYKHAGLEADRDDIAKAKTLQAHLNNCTEAKRLRDWNTLLKETDSTINAGADSAPQIYALKTEALLKLHRHQEADEALSKGPSFNVDYCTKYFGPIGNASLLVVRAQVDMAAGRFDDALAAIQRAVRLDTNNKEANSVMRKARAVAAARSNGNELFKASKFSDACVAYGEGLDHDTHNSVLLCNRAACRSKLGLYEKAIEDCTHALNVRPGYAKARLRRADCNFKLGKWEASIQDYDILQRETPDSEDVKRALSEAQMQVKKQRGDVV >EOX94427 pep chromosome:Theobroma_cacao_20110822:1:28446792:28452234:1 gene:TCM_004001 transcript:EOX94427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji family protein / zinc finger family protein, putative isoform 3 MGAEQWKTHIENENPARLSPPPGFASLSSFFLKKVENREEKWGFTASKGASTLEQLQEEAKPDMIDVERLKESVGNRTWILFDKSDQIAKECQPVEPDKGKARQCPGDARRDVLEEAPVFHPSEEEFSDTLKYIESIRLRAEPYGVCRIIPPHSWQPPCLVKEKSKWECSTFGTQYQQFGRFPAQSVESKTAESYKGTSKKRSSGLSFEQGVSSGYSMNPEEVGCSDVERNESKQGPEFTLKAFEKYADDFRKQYFLSKHKDVGRYVNCKQGEPSVESIEDEYRQIVENPTGALEVLYGGNLDTVNFGSGFPTASNPWELCNYPRYVHSSWNLNNVPKLPGSLLSFESDKSSGVLVPQLHIGMCFSSLYWKVEEHQLYSLCYMHVGSAKIWYCVPGRYSFKLDAIMKKYLPDLLVEQKLRDGVITRLSPFVLKSEGVPVYRCIQNPGEFVLNAVALYQEQGRKTSISFDKLLIRAAREAVRAQWELLFRKNTIDNLRWKDACGKNGILVKTLKSRVKQEGTRREYLCPTSQTKRMDKNFNATGKRECSICFFDLYLSAAQCPCSSDRYSCLNHAKQLCFCTWTEKIFLYHYDISELNILVEALEGKFSAVYRWAREDLNLALSIPKGNLCTKDNGQKEHTCQDAGESYGNGWTTASSIKAEVKARVQQSKYLDEQRSKEKTVSTPSLPIVTQDDTSFLLSEMMSEALSSSTSMSSSSESEETAYLGLNDGGKGCILPTSSLSPPSPKREVKLSELLKDISSNHGKAKHFKSTSKGQPMRHPTSKKRKKK >EOX94426 pep chromosome:Theobroma_cacao_20110822:1:28446732:28452317:1 gene:TCM_004001 transcript:EOX94426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji family protein / zinc finger family protein, putative isoform 3 MGAEQWKTHIENENPARLSPPPGFASLSSFFLKKVENREEKWGFTASKGASTLEQLQEEAKPDMIDVERLKESVGNRTWILFDKSDQIAKECQPVEPDKGKARQCPGDARRDVLEEAPVFHPSEEGLESYYQEFSDTLKYIESIRLRAEPYGVCRIIPPHSWQPPCLVKEKSKWECSTFGTQYQQFGRFPAQSVESKTAESYKGTSKKRSSGLSFEQGVSSGYSMNPEEVGCSDVERNESKQGPEFTLKAFEKYADDFRKQYFLSKHKDVGRYVNCKQGEPSVESIEDEYRQIVENPTGALEVLYGGNLDTVNFGSGFPTASNPWELCNYPRYVHSSWNLNNVPKLPGSLLSFESDKSSGVLVPQLHIGMCFSSLYWKVEEHQLYSLCYMHVGSAKIWYCVPGRYSFKLDAIMKKYLPDLLVEQKLRDGVITRLSPFVLKSEGVPVYRCIQNPGEFVLVFPEAYHSAFDCGFNFVEAVNFAPLDWLPHGQNAVALYQEQGRKTSISFDKLLIRAAREAVRAQWELLFRKNTIDNLRWKDACGKNGILVKTLKSRVKQEGTRREYLCPTSQTKRMDKNFNATGKRECSICFFDLYLSAAQCPCSSDRYSCLNHAKQLCFCTWTEKIFLYHYDISELNILVEALEGKFSAVYRWAREDLNLALSIPKGNLCTKDNGQKEHTCQDAGESYGNGWTTASSIKAEVKARVQQSKYLDEQRSKEKTVSTPSLPIVTQDDTSFLLSEMMSEALSSSTSMSSSSESEETAYLGLNDGGKGCILPTSSLSPPSPKREVKLSELLKDISSNHGKAKHFKSTSKGQPMRHPTSKKRKKK >EOX94429 pep chromosome:Theobroma_cacao_20110822:1:28446792:28452234:1 gene:TCM_004001 transcript:EOX94429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji family protein / zinc finger family protein, putative isoform 3 MGAEQWKTHIENENPARLSPPPGFASLSSFFLKKVENREEKWGFTASKGASTLEQLQEEAKPDMIDVERLKESVGNRTWILFDKSDQIAKECQPVEPDKGKARQCPGDARRDVLEEAPVFHPSEEEFSDTLKYIESIRLRAEPYGVCRIIPPHSWQPPCLVKEKSKWECSTFGTQYQQFGRFPAQSVESKTAESYKGTSKKRSSGLSFEQGVSSGYSMNPEEVGCSDVERNESKQGPEFTLKAFEKYADDFRKQYFLSKHKDVGRYVNCKQGEPSVESIEDEYRQIVENPTGALEVLYGGNLDTVNFGSGFPTASNPWELCNYPRYVHSSWNLNNVPKLPGSLLSFESDKSSGVLVPQLHIGMCFSSLYWKVEEHQLYSLCYMHVGSAKIWYCVPGRYSFKLDAIMKKYLPDLLVEQKLRDGVNAVALYQEQGRKTSISFDKLLIRAAREAVRAQWELLFRKNTIDNLRWKDACGKNGILVKTLKSRVKQEGTRREYLCPTSQTKRMDKNFNATGKRECSICFFDLYLSAAQCPCSSDRYSCLNHAKQLCFCTWTEKIFLYHYDISELNILVEALEGKFSAVYRWAREDLNLALSIPKGNLCTKDNGQKEHTCQDAGESYGNGWTTASSIKAEVKARVQQSKYLDEQRSKEKTVSTPSLPIVTQDDTSFLLSEMMSEALSSSTSMSSSSESEETAYLGLNDGGKGCILPTSSLSPPSPKREVKLSELLKDISSNHGKAKHFKSTSKGQPMRHPTSKKRKKK >EOX94428 pep chromosome:Theobroma_cacao_20110822:1:28446859:28452355:1 gene:TCM_004001 transcript:EOX94428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji family protein / zinc finger family protein, putative isoform 3 MGAEQWKTHIENENPARLSPPPGFASLSSFFLKKVENREEKWGFTASKGASTLEQLQEEAKPDMIDVERLKESVGNRTWILFDKSDQIAKECQPVEPDKGKARQCPGDARRDVLEEAPVFHPSEEEFSDTLKYIESIRLRAEPYGVCRIIPPHSWQPPCLVKEKSKWECSTFGTQYQQFGRFPAQSVESKTAESYKGTSKKRSSGLSFEQGVSSGYSMNPEEVGCSDVERNESKQGPEFTLKAFEKYADDFRKQYFLSKHKDVGRYVNCKQGEPSVESIEDEYRQIVENPTGALEVLYGGNLDTVNFGSGFPTASNPWELCNYPRYVHSSWNLNNVPKLPGSLLSFESDKSSGVLVPQLHIGMCFSSLYWKVEEHQLYSLCYMHVGSAKIWYCVPGRYSFKLDAIMKKYLPDLLVEQKLRDGVITRLSPFVLKSEGVPVYRCIQNPGEFVLVFPEAYHSAFDCGFNFVEAVNFAPLDWLPHGQNAVALYQEQGRKTSISFDKLLIRAAREAVRAQWELLFRKNTIDNLRWKDACGKNGILVKTLKSRVKQEGTRREYLCPTSQTKRMDKNFNATGKRECSICFFDLYLSAAQCPCSSDRYSCLNHAKQLCFCTWTEKIFLYHYDISELNILVEALEGKFSAVYRWAREDLNLALSIPKGNLCTKDNGQKEHTCQDAGESYGNGWTTASSIKAEVKARVQQSKYLDEQRSKEKTVSTPSLPIVTQDDTSFLLSEMMSEALSSSTSMSSSSESEETAYLGLNDGGKGCILPTSSLSPPSPKREVKLSELLKDISSNHGKAKHFKSTSKGQPMRHPTSKKRKKK >EOX91298 pep chromosome:Theobroma_cacao_20110822:1:2225144:2226763:-1 gene:TCM_000538 transcript:EOX91298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 3, putative MAENKNQKHFVLVHGMCHGAWCWYKLKPQLESAGHRVSVLDLAASGINMEAIQDVRTFHEYTRPLLGFLASLNERPIVVGHSLGGMSLALAMDLFPNKISVGVFLTAFMPDTTHHPSYVIDKTSAEGSEEDFQTVTIGSPEQPLTVVTMGPKFLASQLYQLSPVEDLELAKTLVRPGSVFQQDLSKAKNFSNEGYGSVTRVFVVCDEDKAINLESQRWMIQNNPPKDVVEIKGADHMAMFSKTKQLCDTLLDIADKYA >EOX96074 pep chromosome:Theobroma_cacao_20110822:1:36518903:36521677:-1 gene:TCM_005415 transcript:EOX96074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein, putative MLELVVLCLGALLLVWFCIWQNPKRNRKLPPGSMGFPIIGETMEYFSLYSLLEISPFMKKRITRYGPMFRTSLVGQKVVMVTDPELISGMLKQEIMPPIQWFTQYSVKVSGEDQFVLSASFHKYLRSFFMHQLSPESLMTGESIREIDQATRRHLNWWATQGAIDARQMVAEYVAKKIGYDEPNAAEKLSENVRAFVDNRTSLIPLNIPGTAYHAELKASRNLMKLIDETFEKRKASKINHHDTFVDHLLEELKKGDTGLDEAIARDLVLLFIITTSEAITLSITLAMKLIADHPKVLAELMKEHEAIIESRVEDKELEITWEEYKSMEFTHMVINEMVRVGNLVPILPRKLPKDVDINGYTIPAGWFLVADQTVLHFDPNYFDEPFAFNPWRWEGKKGHTGTKTFIPFGGGAGFCVGANFGKLQIAIFLHHLVTKYKWSEIKRNDISRGPVLSLPNGFFVEISKRK >EOX96531 pep chromosome:Theobroma_cacao_20110822:1:38163911:38165546:-1 gene:TCM_005768 transcript:EOX96531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGTAFRDWCRYFQYKEGAKEEDKEKNRADARNALLVVATLISAVTFQAGVNPPGGVWQETKDGHTAGTAICESESSAYYVFLTSNTLAFSAAVLVIMSLTHNFPFKFEVRVAAVSMIITYGSAIFAVTPDEETFRLALITAAAPLAMRCLIQLLVKLKNREPEPPCLIQAFSRPRNKSAEPPKDQTLQAQP >EOX91485 pep chromosome:Theobroma_cacao_20110822:1:2846091:2848895:1 gene:TCM_000661 transcript:EOX91485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MAFSSPRASSLLLGHGRCSRFLCSSSSFPDHISFIKEVAATQPPQHLSQLLRILNARGESILSPGAKQGLIPLAIPLAKSSSGAVTALLRWPTAPARMEMPVVEVHKHGVWLLAKNVDQFIHRILVEEDANSCKKGSDELFHAAFDAGKKLYKKGDFSKSQITDVDVYLLRKVGLFPDVLERKVMQHIEKGDHVSALVTGEFYTRKEHFPGFARPFVFNAEVLLKVGRNPEAKDAARGALKSPWWTLGCKYHEVACIAEWEDEQIEYITEKLSKEGRQEDLSKGKPPVQISLDEAAFLLDLASVEGTWDDSLERIAECYKEAGLHDIARFVLYRD >EOX91487 pep chromosome:Theobroma_cacao_20110822:1:2846352:2848770:1 gene:TCM_000661 transcript:EOX91487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MEMPVVEVHKHGVWLLAKNVDQFIHRILVEEDANSCKKGSDELFHAAFDAGKKLYKKGDFSKSQITDVDVYLLRKVGLFPDVLERKVMQHIEKGDHVSALVTGEFYTRKEHFPGFARPFVFNAEVLLKVGRNPEAKDAARGALKSPWWTLGCKYHEVACIAEWEDEQIEYITEKLSKEGRQEDLSKGKPPVQISLDEAAFLLDLASVEGTWDDSLERIAECYKEAGLHDIARFVLYRD >EOX91488 pep chromosome:Theobroma_cacao_20110822:1:2846356:2848745:1 gene:TCM_000661 transcript:EOX91488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MIWLMIGAVTALLRWPTAPARMEMPVVEVHKHGVWLLAKNVDQFIHRILVEEDANSCKKGSDELFHAAFDAGKKLYKKGDFSKSQITDVDVYLLRKVGLFPDVLERKVMQHIEKGDHVSALVTGEFYTRKEHFPGFARPFVFNAEVLLKVGRNPEAKDAARGALKSPWWTLGCKYHEVACIAEWEDEQIEYITEKLSKEGRQEDLSKGKPPVQISLDEAAFLLDLASVEGTWDDSLERIAECYKEAGLHDIARFVLYRD >EOX91486 pep chromosome:Theobroma_cacao_20110822:1:2846250:2848738:1 gene:TCM_000661 transcript:EOX91486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MLQIPLLFFFISSFIKEVAATQPPQHLSQLLRILNARGESILSPGAKQGLIPLAIPLAKSSSGAVTALLRWPTAPARMEMPVVEVHKHGVWLLAKNVDQFIHRILVEEDANSCKKGSDELFHAAFDAGKKLYKKGDFSKSQITDVDVYLLRKVGLFPDVLERKVMQHIEKGDHVSALVTGEFYTRKEHFPGFARPFVFNAEVLLKVGRNPEAKDAARGALKSPWWTLGCKYHEVACIAEWEDEQIEYITEKLSKEGRQEDLSKGKPPVQISLDEAAFLLDLASVEGTWDDSLERIAECYKEAGLHDIARFVLYRD >EOX95938 pep chromosome:Theobroma_cacao_20110822:1:36077378:36084088:-1 gene:TCM_005315 transcript:EOX95938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MAIGGLISNRNFGSFIGSGKLCRGEQAILLHRGERSAVAVVHGKMLYRKLCSQTANGFISGYSFGPFLQTTVHLDENTLKPISISRNGRQPISSLSLRHSEKSHRVYPQQRSRGSFGCYSSSSPSLGRWLEPGDEDRIRKNRTRAYYKSEEYDITEAKVDPLPSPEATNEVVLVEGEMQEAVPWWQSFPKRWFIVLLCFAAFLLCNMDRVNMSIAILPMSKEFNWNSATVGLIQSSFFWGYLLTQILGGIWADKFGGKLVLGFGVIWWSVATILTPIAARIGLPFLLTMRAFMGIGEGVAMPAMNNLLSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPILIHKFGWPSVFYSFGSLGSIWFALWLRKAYSSPNEDPKLSKEEKKLIMGGSISKEPVKIIPWRLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAAFANIGGWIADTLVSKGLSITAVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWNDVFKVSVALYIIGTLVWNLFSTGEKVLD >EOX95935 pep chromosome:Theobroma_cacao_20110822:1:36077207:36084219:-1 gene:TCM_005315 transcript:EOX95935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MAIGGLISNRNFGSFIGSGKLCRGEQAILLHRGERSAVAVVHGKMLYRKLCSQTANGFISGYSFGPFLQTTVHLDENTLKPISISRNGRQPISSLSLRHSEKSHRVYPQQRSRGSFGCYSSSSPSLGRWLEPGDEDRIRKNRTRAYYKSEEYDITEAKVDPLPSPEATNEVVLVEGEMQEAVPWWQSFPKRWFIVLLCFAAFLLCNMDRVNMSIAILPMSKEFNWNSATVGLIQSSFFWGYLLTQILGGIWADKFGGKLVLGFGVIWWSVATILTPIAARIGLPFLLTMRAFMGIGEGVAMPAMNNLLSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPILIHKFGWPSVFYSFGSLGSIWFALWLRKAYSSPNEDPKLSKEEKKLIMGGSISKEPVKIIPWRLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAAFANIGGWIADTLVSKGLSITAVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWNDVFKVSVALYIIGTLVWNLFSTGEKVLD >EOX95937 pep chromosome:Theobroma_cacao_20110822:1:36077872:36082388:-1 gene:TCM_005315 transcript:EOX95937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 KLCSQTANGFISGYSFGPFLQTTVHLDENTLKPISISRNGRQPISSLSLRHSEKSHRVYPQQRSRGSFGCYSSSSPSLGRWLEPGDEDRIRKNRTRAYYKSEEYDITEAKVDPLPSPEATNEVVLVEGEMQEAVPWWQSFPKRWFIVLLCFAAFLLCNMDRVNMSIAILPMSKEFNWNSATVGLIQSSFFWGYLLTQILGGIWADKFGGKLVLGFGVIWWSVATILTPIAARIGLPFLLTMRAFMGIGEGVAMPAMNNLLSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPILIHKFGWPSVFYSFGSLGSIWFALWLRKAYSSPNEDPKLSKEEKKLIMGGSISKEPVKIIPWRLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAAFANIGGWIADTLVSKGLSITAVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWNDVFKVSVALYIIGTLVWNLFSTGEKVLD >EOX95936 pep chromosome:Theobroma_cacao_20110822:1:36076677:36082389:-1 gene:TCM_005315 transcript:EOX95936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 KLCSQTANGFISGYSFGPFLQTTVHLDENTLKPISISRNGRQPISSLSLRHSEKSHRVYPQQRSRGSFGCYSSSSPSLGRWLEPGDEDRIRKNRTRAYYKSEEYDITEAKVDPLPSPEATNEVVLVEGEMQEAVPWWQSFPKRWFIVLLCFAAFLLCNMDRVNMSIAILPMSKEFNWNSATVGLIQSSFFWGYLLTQILGGIWADKFGGKLVLGFGVIWWSVATILTPIAARIGLPFLLTMRAFMGIGEGVAMPAMNNLLSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPILIHKFGWPSVFYSFGSLGSIWFALWLRKAYSSPNEDPKLSKEEKKLIMGGSISKEPVKIIPWRLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAAFANIGGWIADTLVSKGLSITAVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWNDVFKVSVALYIIGTLVWNLFSTGEKVLD >EOX93470 pep chromosome:Theobroma_cacao_20110822:1:13232062:13235322:-1 gene:TCM_002335 transcript:EOX93470 gene_biotype:protein_coding transcript_biotype:protein_coding description:F17A17.10 protein MSKENPPEPLDFFIWTVEDVGMWLEEINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSVVFVKVAKRNRQSRVVSLKLEP >EOX92903 pep chromosome:Theobroma_cacao_20110822:1:9265215:9270712:-1 gene:TCM_001755 transcript:EOX92903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNFWGGPSSSPCSFHVDRIHFLELFLVINHEQADSTAPRIDKLCGQLMRFKPERGK >EOX92624 pep chromosome:Theobroma_cacao_20110822:1:7806502:7808810:-1 gene:TCM_001543 transcript:EOX92624 gene_biotype:protein_coding transcript_biotype:protein_coding description:SsDNA-binding transcriptional regulator isoform 1 MSYRGKRKDGEDHASDENDDHAPPKKSFKTTAAANSDDPDGIVVCEISKNRRVTVRNWQGKIWVDIREFYVKDGKQMPGKKGIALNLDQWNLLRDHVEEIDKALAENS >EOX92625 pep chromosome:Theobroma_cacao_20110822:1:7806775:7808880:-1 gene:TCM_001543 transcript:EOX92625 gene_biotype:protein_coding transcript_biotype:protein_coding description:SsDNA-binding transcriptional regulator isoform 1 MSYRGKRKDGEDHASDENDDHAPPKKSFKTTAAANSDDPDGIVVCEISKNRRVTVRNWQGKIWVDIREFYVKDGKQMPGKKGIQQILYRSKSGSGKHHKVYAFYYDDHGDI >EOX95739 pep chromosome:Theobroma_cacao_20110822:1:35425564:35429273:-1 gene:TCM_005171 transcript:EOX95739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MDKIALFRHYFSLSLHQNTLIQNPTKPTKISPSSVALGPTFTSPEIQLSTFSLPHSWSSLSSSGTFDFSDEVKGVNSLESVKVLHARSLKMCNDWSSDSVAKSLISSYLKFGEFRAATMVFFMAFDRNYVFWSSFLDELHSCGGETRGVLEVFGKFYNKGVSSDSKILTLALKMCGCLMDSWLGLQIHADLIKKGFDLDVYLKCALMNLYGRCWDLESANQVFNEMVEKEDPVWNEAIMVNMRNERWEKAMELFREMQFSPAKTNSSTIAKMLQGCSKVGALEEGKQIHGYVLKFALVSDMSVCNSLINMYSKNNRLELARRVFDLMEDHNLSSWNSIISSYAARGYLNDAWDLLNEMESSAMKPDIITWNCLLSGHALHGSYKAVLTMLRRTQVMGFRPNSSSVTSVLQAAAELGILNLGREIHGYVIRNGLDSDVYVGTSLLDMYVKHDCLGKAQAVFDNMNNRNIVAWNSLISGYSFKGLFEDAMTLLNGMKEEGITPDLVTWNGLISGYSIWGRSNEALALIHQTKNSGMTPNVVSWTALISGSSQNGNYRDSLEFFIQMQQECIRPNSVTISCLLRNCGGLSLLQKGKEIHCVSIKNGFIEDVFAATALIDMYSKSGNLKAAYEVFKRIENKTLASWNCLIMGFAIYGLGKEVVSLFDEMLGAGILPDAITFTAVLSGCKNSGLVDEGWKFFDSMSSDYGIIPTFEHYSCMVDLLGRAGYLDEAWDFIQKMPLRPDATIWGALLISCRIHKNMQFAEIAAKKLFKLEPYNSANYVLMMNLYAMFDRWEDVERIKDLMRNTGVKNGQVWSWIQIDQMVHLFSAGENHPDEGEIYFELYHMVSEMKKLGYKPDVKCVCQNTDDNEKEEMLLSHTEKLAITYGLIKSRNDAPIRVIKNTRICPDCHTAAKYISLVKKREIFLRDGGRFHHFSEGKCSCNDCW >EOX92814 pep chromosome:Theobroma_cacao_20110822:1:8724313:8727065:1 gene:TCM_001684 transcript:EOX92814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 6, putative isoform 2 MGKSTVIERWWLVTFASFIVVLLFFLNHSPGSNNISVLQNLTMPITATNGLAMPTMLSPLSPNIIENEEPEKSPNQFPMSKLQSCIPQNENKDFTEKPSSQIPLSGLQNPKLPDLSDFVKQATPSLQVTISGLQEAKRPSEITDKVEATTTSSSQTPLLDAQHHEPSKYNDDEEPITTEKEGKCNIFEGKWVYDPRESPLYDAAMCPFFSDTVSCRRNGRPDREYEKWRWEANDCKIPRFNAKDMLERLRGKRVVIVGDSINFSQFESLACLLYSAIPDRSYVDARSRVFRAESYNLVIQSHWAEFLVEVILNKTDGKKTLKLDSLVPTAWKWKDADIMVFNTGHWWVNRKRWDWFQYKRKVFDDMKIETAFKVAMKTWARWIDKNVDTNKTKVYFRSMSPPHFGKDWCYKATKPIMDESYQLTFGKSLKEIVEKTLEGMRTPVKYLNITKLSEYRTDAHSSIYGTKQGKLLVATKQKPPAMVADCSHWCLPGVPDTWNHLLYASMVLDSSRDITTS >EOX92815 pep chromosome:Theobroma_cacao_20110822:1:8724311:8726269:1 gene:TCM_001684 transcript:EOX92815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 6, putative isoform 2 MGKSTVIERWWLVTFASFIVVLLFFLNHSPGSNNISVLQNLTMPITATNGLAMPTMLSPLSPNIIENEEPEKSPNQFPMSKLQSCIPQNENKDFTEKPSSQIPLSGLQNPKLPDLSDFVKQATPSLQVTISGLQEAKRPSEITDKVEATTTSSSQTPLLDAQHHEPSKYNDDEEPITTEKEGKCNIFEGKWVYDPRESPLYDAAMCPFFSDTVSCRRNGRPDREYEKWRWEANDCKIPRFNAKDMLERLRGKRVVIVGDSINFSQFESLACLLYSAIPDRSYVDARSRVFRAESYNLVIQSHWAEFLVEVILNKTDGKKTLKLDSLVPTAWKWKDADIMVFNTGHWWDWFQYKRKVFDDMKIETAFKVAMKTWARWIDK >EOX94542 pep chromosome:Theobroma_cacao_20110822:1:29952052:29955591:1 gene:TCM_004168 transcript:EOX94542 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-like carbohydrate kinase family protein MHHQSIALKSPQYPFPPHLHPPKPIPSRPVFAPISFPLHPNTTINSPRSVFCCRNFHIPVPNHPPRSLPSSNATKPAAVKTVDVATLGNLCVDIVLNVPKLPPPSPDARKAFMEQLSSSPPDKQYWEAGGNCNMAIAAARLGLNCITIGHVGNEIYGNFLLDVLHDEGIGMVGMSEETDPVNSPIASYDTLLCWVLVDPLQKHGFCSRADFSKEPAFSWMSTLSEEVKRAIKQSRILFCNGYDFDEFSPSMIISAVDYAVEVGTSVFFDPGPRGKSLLRGTVDEQKALRHFLRMTDVLLLTSDEAESLTGIANPILAGQELLRQGVHTKWVVIKMGPKGSILITTSSITCAPAFKVKVMDTVGCGDSFVAAIAFGFIHKIPLVTTLAFANAVGAATAMGCGAGRNVAAVKQIVELMEAPDLNEDDEFWNELLSEHLDSQDVTVLSKMVLNGSNDRMNQVPLQRVVSELLPKLKSSQLEGKLSC >EOX94157 pep chromosome:Theobroma_cacao_20110822:1:24032027:24034626:1 gene:TCM_003517 transcript:EOX94157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MDVLPSSIDIHIPFNKPKCEHPPCRVSATLNLNKLALTHQLVLEIPLSTSNTFAWNQLIQTHLSNKQLQQVLSVYHGMMLRGVRPDKHTLPRVLTASRLCTNLAFGKQVHAHAFKLGFSSDLYVITALMEMYGRLHGVDAAKWVLDNAPTTNSVAWTILAKLHLIDNKPHLAFEIFDQMLRLKADIDPVGLATAIGACSLLKSLQQARNAHQIARDCGFEFHLLIGNSLLKMYIDCDSLEEARSFFDAMPSKDVISWTEMIRGYVKKGGYNEGLKLFRRMIRAGIKPDSLTISSILPACARVPAHKQGKELHAYLFRNGIDLNLTVQNAIMDMYVKSGFIELASTVFMCMMERDIVSWTIMILGYSLHGQGGRGLDLFFEMEKESSLEIDEFTYAAVLHACVTACRVDVGMFYFNRIQAPTVIHCALMVALLARAGLFNEAWAFIEEHQIVNDAEVLRALLDGCRIHQQLKIGKQIVEQLCELEPLNAENYVLLSNWYADNAKWDMVDKLKITIRDMGLKPKRAYSWIEFRNKIHVFGTGDVSHPRSEIVYCQLQHLMKKMEDEGRRPSSVFSLHDVDEERECIHIGHSEMLAISFGLISTQGRETIRVTKNLRVCRSCHDTAKVISKIVERKIIIKDPNCFHHIQDGVCLCGDLW >EOX95174 pep chromosome:Theobroma_cacao_20110822:1:33192758:33193694:-1 gene:TCM_004731 transcript:EOX95174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVTTYTQEFTCSVAPARMFKALILDSDNLIPKLMPKSIKNVELIHGDGGVGSIKQTNFPEGSHFKYLKNRIDALDVDNCVCKYTTIEGDVIGDKLESISYELKFEASGAGCVCKMTSHYHTKGDFVLKEEEIKAGKDQAVGMYKVVEEYLLANPNVYA >EOX93234 pep chromosome:Theobroma_cacao_20110822:1:11458486:11461339:1 gene:TCM_002075 transcript:EOX93234 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein MEMQQLLSMGFPSELAAQALAATGGKSTLKATEWILSHKSSNPNPNPNPNPNPTPPPFQPKLDRFFHLHTKLPNSPPSPEPTATAPSIQILTQQDLEEDSTTPSPVHNKRRKLQHPKAITQQDKTIKIHEPLSERMRPRTIFDVVGQEHILGNTSLLRSAIDRNRIPSIIFWGPPGTGKTSIAKSIVNSSQERSFYRFVSLSPVTCGVKDVRDAVEEARKMRAKNNKRTVLFVDEVHRFNKSQQDSFLPVIEDGSIVFMGATTENPSFHLITPLLSRCRVLTLNPLKPHHVETLLRRAVNDREKGLSQSVGMRVEAKDAAIEFLSMNCDGDARVALNALEISATTAAAGVADNQLKGVGVEPHRDFNLLTSEETEIGSFEAVITVDDAKEALQCKHLAYDKAGEEHYNLISALHKSMRGSDADAAIYWLARMLEGGEEPLYIARRLIRFASEDVGLADPLALNQAVSCYQACHFLGMPECNVILVQCVAYLALAPKSISVYRAIETAQKVVRESVGQNEGVPLHLRNAPTKLMKELGYGKDYIYPPDNPSSSTQTYLPPSLQGYKFLDWPSENSTQQ >EOX92893 pep chromosome:Theobroma_cacao_20110822:1:9168897:9173878:1 gene:TCM_001745 transcript:EOX92893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jojoba acyl CoA reductase-related male sterility protein MYSFQSFLLIHCTPAIPSGCIVANSLHPKTHISPLFISSCQNSRNIKSALAIRAAGKNVDHDDAISTTTNSTTVPLMESSDGLGIVDFLQGKNYFITGATGFVGKVFVEKMLRAVPNVGKIFLLIKAKDKEAATKRLHDQIMDTELFKSLEQVHGTSYKTFMESKLVPVVGNVNEPDLGMDADMASEIASEVDIIVNSAANTTFDDRYDATLSTNTMGPYRLLGFAKKCKKLSLFLHFSTAYVHGKREGIILERPLCEGQSVAEAEESIPVLDIEAEIKLALNLKRSFSGTEIACNWKMKELGMERARAYGWQNTYSFTKAMGEMLVNSTRGDIPVVIIRPSIIESTFREPFPGWIEGSRMLDPIIIGHAKGHLTGFVGDPETVMDVVPVDMVVNASMAAMAKQGLAGKPGLTVYHVTSSVANPVDFHTILKSSSDHFNCFPVKDLKGPNTTGTSDIKLFRSADIFSSYIWGESTVGQNELKGAAASSDPKLRRRQETECRKIKLYIHLAKLYEPFMLYRG >EOX93485 pep chromosome:Theobroma_cacao_20110822:1:13312001:13313873:-1 gene:TCM_002348 transcript:EOX93485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVEIIGRHKRSSEHVWDSYHSYNEKALYVGRHCIVDATSDGESHIISAVWTTIDRKGHVFVLARRGGAGLGPSIILDMMSIAKHTIKQLIKKLESDKAAVEVGEKES >EOX92233 pep chromosome:Theobroma_cacao_20110822:1:5864335:5870495:-1 gene:TCM_001213 transcript:EOX92233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine--glyoxylate aminotransferase 2 isoform 1 MAMVQRRILRRTVEEAKAKLNFNRNRTLFPCSSFSTVTSSSSAAAAASGGAPRQLPVFDYQPKPYNGPSADEILEKRKKFLGPSLFYYYQKPLNIVEGKMQYLFDESGRRYLDAIAGVVTVSCGHCHPDVLNAIMEQSKLLQHATTIYLHHAIADFAEALASKMPGNLKVVYFVNSGSEANELAMLMARLYTGNLGMISLRNAYHGGSSNTIGLTALNTWKYPIPEGEIHHVVNPDPFRGVFGSDATRYAKDVQDHIDYGTSGKVAGFIAETIQGAGGAVELAPGYLKQVYDIVHKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIANVMAQKIQFNTFGGNPVCSAGGLAVLRVLDKEKRQAHCADVGSHLIGRLRSLQDRHDIIGDVRGRGLMVGVELVTDRKEKTPAKAETAILFEKMRELGVLLGKGGLHGNVFRIKPPMCFTKDDADFLVDALDYAISKL >EOX92230 pep chromosome:Theobroma_cacao_20110822:1:5853450:5869592:-1 gene:TCM_001213 transcript:EOX92230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine--glyoxylate aminotransferase 2 isoform 1 MAMVQRRILRRTVEEAKAKLNFNRNRTLFPCSSFSTVTSSSSAAAAASGGAPRQLPVFDYQPKPYNGPSADEILEKRKKFLGPSLFYYYQKPLNIVEGKMQYLFDESGRRYLDAIAGVVTVSCGHCHPDVLNAIMEQSKLLQHATTIYLHHAIADFAEALASKMPGNLKVVYFVNSGSEANELAMLMARLYTGNLGMISLRNAYHGGSSNTIGLTALNTWKYPIPEGEIHHVVNPDPFRGVFGSDATRYAKDVQDHIDYGTSGKVAGFIAETIQGAGGAVELAPGYLKQVYDIVHKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIANVMAQKIQFNTFGGNPVCSAGGLAVLRVLDKEKRQAHCADVGSHLIGRLRSLQDRHDIIGDVRGRGLMVGVELVTDRKEKTPAKAETAILFEKMRELGVLLGKGGLHGNVFRIKPPMCFTKDDADFLVDALDYAISKL >EOX92232 pep chromosome:Theobroma_cacao_20110822:1:5865051:5869212:-1 gene:TCM_001213 transcript:EOX92232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine--glyoxylate aminotransferase 2 isoform 1 MAMVQRRILRRTVEEAKAKLNFNRNRTLFPCSSFSTVTSSSSAAAAASGGAPRQLPVFDYQPKPYNGPSADEILEKRKKFLGPSLFYYYQKPLNIVEGKMQYLFDESGRRYLDAIAGVVTVSCGHCHPDVLNAIMEQSKLLQHATTIYLHHAIADFAEALASKMPGNLKVVYFVNSGSEANELAMLMARLYTGNLGMISLRNAYHGGSSNTIGLTALNTWKYPIPEGEIHHVVNPDPFRGVFGSDATRYAKDVQDHIDYGTSGKVAGFIAETIQGAGGAVELAPGYLKQVYDIVHKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIANVMAQKIQFNTFGGNPVCSAGGLAVLRVLDKEKRQAHCADVGSHLIGRLRSLQDRHDIIGDVRGRGLMVGVELVTDRKEKTPAKAETAILFEKMRGIKNLEFYLGKVDYMEMFSE >EOX92231 pep chromosome:Theobroma_cacao_20110822:1:5863061:5869446:-1 gene:TCM_001213 transcript:EOX92231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine--glyoxylate aminotransferase 2 isoform 1 MAMVQRRILRRTVEEAKAKLNFNRNRTLFPCSSFSTVTSSSSAAAAASGGAPRQLPVFDYQPKPYNGPSADEILEKRKKFLGPSLFYYYQKPLNIVEGKMQYLFDESGRRYLDAIAGVVTVSCGHCHPDVLNAIMEQSKLLQHATTIYLHHAIADFAEALASKMPGNLKVVYFVNSGSEANELAMLMARLYTGNLGMISLRNAYHGGSSNTIGLTALNTWKYPIPEGEIHHVVNPDPFRGVFGSDATRYAKDVQDHIDYGTSGKVAGFIAETIQGAGGAVELAPGYLKQVYDIVHKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIANVMAQKIQFNTFGGNPVCSAGGLAVLRVLDKEKRQAHCADVGSHLIGRLRSLQDRHDIIGDVRGRGLMVGVELVTDRKEKTPAKAETAILFEKMRELGVLLGKGGLHGNVFRIKPPMCFTKDDADFLVDALDYAISKL >EOX96748 pep chromosome:Theobroma_cacao_20110822:1:38852297:38856848:-1 gene:TCM_005931 transcript:EOX96748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cohesin subunit rad21, putative isoform 1 MFYSQTFLARKGPLGTVWCAAHLQHRLKKSHYTAADIPSTVDLIMFPEVPIALRMSGHLLLGVVRIYSKKVDYLFHDCNIVLIGLRKVFASVQVDLPEDARQAPFQAITLPQTFNLDAMELDGDMCTEGSSDNHLSNQEDITLTDQIPIGGDPYVAITFDEDIMRDASLPEVFQKSGSIQINDKLHGPPEDEHVRSQDPGQHNQIEVPSASAAFQDPGRSNKSQVLESMSNDSSQDFPEIETIRDAPRDFYTENLPPVFSDHRNDTPEMTGTLEEVLNEKEAHTPSLNMLASGVPSMPFQQHSDPPTSAVSKGPPEVSFGCASPQLVIQQSPPVQQPRQRRIPRRRFFDEKLVLPNRLMKRALEDCSDLVRKRRKLPSSALGVWKLNCSQRMGQVFDEPSFTGSCADICIMFNGQYISTKSHLVVPKKVVPEPTLLQSTAPTTDAISELRIGQYDASIPDPRVGFSPVSAPEIECLRRNESNATDNLFPEFGSIPAGSMPSPFRRDDSPFSTNSLQSELAPKAGATSTPDIATFSGTHGSGIDTPMTLLEEQSHLENTGFSAIPEFGSSEADLYFLEEDGNTPTESQGSQGVGSLSVRTRAVAKYLKKQSPITPISEDLHRDLSLNKILEGKTRKICARMFFETLVLKSYGLVDVRQDDANGDITLKLTPTLSKCGI >EOX96749 pep chromosome:Theobroma_cacao_20110822:1:38852992:38856713:-1 gene:TCM_005931 transcript:EOX96749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cohesin subunit rad21, putative isoform 1 MFYSQTFLARKGPLGTVWCAAHLQHRLKKSHYTAADIPSTVDLIMFPEVPIALRMSGHLLLGVVRIYSKKVDYLFHDCNIVLIGLRKVFASVQVDLPEDARQAPFQAITLPQTFNLDAMELDGDMCTEGSSDNHLSNQEDITLTDQIPIGGDPYVAITFDEDIMRDASLPEVFQKSGSIQINDKLHGPPEDEHVRSQDPGQHNQIEVPSASAAFQDPGRSNKSQVLESMSNDSSQDFPEIETIRDAPRDFYTENLPPVFSDHRNDTPEMTGTLEEVLNEKEAHTPSLNMLASGVPSMPFQQHSDPPTSAVSKGPPEVSFGCASPQLVIQQSPPVQQPRQRRIPRRRFFDEKLVLPNRLMKRALEDCSDLVRKRRKLPSSALGVWKLNCSQRMGQVFDEPSFTGSCADICIMFNGQYISTKSHLVVPKKVVPEPTLLQSTAPTTDAISELRIGQYDASIPDPRVGFSPVSAPEIECLRRNESNATDNLFPEFGSIPAGSMPSPFRRDDSPFSTNSLQSELAPKAGATSTPDIATFSGTHGSGIDTPMTLLEEQSHLENTGFSAIPEFGSSEADLYFLEEDGNTPTESQGSQGVGSLSVRTRAVAKYLKKQSPITPISEDLHRDLSLNKILEGKTRKICARMFFETLVSKSISK >EOX93048 pep chromosome:Theobroma_cacao_20110822:1:10154520:10161811:1 gene:TCM_001901 transcript:EOX93048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-containing protein isoform 1 MGLICKLQSNGCNLLLMRTAVSQHAASLVLEGVYLKAKSLQKLGRFTEAAQDCTNVLDAVGRIFPQGIPGVQVENRLQETVSQAVELLPELWKQAGNYQEAMSAYRRGLLHLWNLDNDCCARIQKAFAVFLLYSGLEAGPPSLGAQVDGAYVPKNNLEEAILLLMVLVQKIHQGIIQWDPSVMDHLTYALSLCSQTPVLSKQLEELKPGVVQRIDRWNTLALCCSAAGQNKYALNLLRKSLHKHERPDDLTALLLAAKICSEDSHLAADGVGYAQRAINNAQGVDGHLKGVGFRMLGLCLRQLAKIAFKDTERSHLQSEALLFLDAAIFFEQDNADIIFELGVQHAEQRNLNAALHYAKKYIDVTGGSVLKGWRLLALVLSAQHRFSEAAVVTDAALDETAKWEQGPLLRLKAKLEISQSRPMDAIETYRYLLALVQAQRKSFGPMRIDSQVGDDKVNEFEVWHGLANLYSSLSHWKDVEICLRKAREMKQYSAKLLQTEGVMCQERGEIQEAMSAYINAILLEPSYAPCKVLISAMLSKMGSNSVPVARTLLSDALRIEPTNHKAWYYLGMIQKDDGRLTEAIESLQAAYILEESDPVESFRSLL >EOX93049 pep chromosome:Theobroma_cacao_20110822:1:10154701:10161857:1 gene:TCM_001901 transcript:EOX93049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-containing protein isoform 1 MAEDDSQSQELATVRELCANGVCMRTTDVEAKLDEGNIHEAESSLREGLSLNFEEARALLGRLEYQRGNVEGALRVFDGIDLQAAIQRLQPSTAVSQHAASLVLEGVYLKAKSLQKLGRFTEAAQDCTNVLDAVGRIFPQGIPGVQVENRLQETVSQAVELLPELWKQAGNYQEAMSAYRRGLLHLWNLDNDCCARIQKAFAVFLLYSGLEAGPPSLGAQVDGAYVPKNNLEEAILLLMVLVQKIHQGIIQWDPSVMDHLTYALSLCSQTPVLSKQLEELKPGVVQRIDRWNTLALCCSAAGQNKYALNLLRKSLHKHERPDDLTALLLAAKICSEDSHLAADGVGYAQRAINNAQGVDGHLKGVGFRMLGLCLRQLAKIAFKDTERSHLQSEALLFLDAAIFFEQDNADIIFELGVQHAEQRNLNAALHYAKKYIDVTGGSVLKGWRLLALVLSAQHRFSEAAVVTDAALDETAKWEQGPLLRLKAKLEISQSRPMDAIETYRYLLALVQAQRKSFGPMRIDSQVGDDKVNEFEVWHGLANLYSSLSHWKDVEICLRKAREMKQYSAKLLQTEGVMCQERGEIQEAMSAYINAILLEPSYAPCKVLISAMLSKMGSNSVPVARTLLSDALRIEPTNHKAWYYLGMIQKDDGRLTEAIESLQAAYILEESDPVESFRSLL >EOX93047 pep chromosome:Theobroma_cacao_20110822:1:10154418:10161807:1 gene:TCM_001901 transcript:EOX93047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-containing protein isoform 1 MAEDDSQSQELATVRELCANGVCMRTTDVEAKLDEGNIHEAESSLREGLSLNFEEARALLGRLEYQRGNVEGALRVFDGIDLQAAIQRLQPSVNENSNSSSFSSYSSSISSHKKGRANRDSQTAVSQHAASLVLEGVYLKAKSLQKLGRFTEAAQDCTNVLDAVGRIFPQGIPGVQVENRLQETVSQAVELLPELWKQAGNYQEAMSAYRRGLLHLWNLDNDCCARIQKAFAVFLLYSGLEAGPPSLGAQVDGAYVPKNNLEEAILLLMVLVQKIHQGIIQWDPSVMDHLTYALSLCSQTPVLSKQLEELKPGVVQRIDRWNTLALCCSAAGQNKYALNLLRKSLHKHERPDDLTALLLAAKICSEDSHLAADGVGYAQRAINNAQGVDGHLKGVGFRMLGLCLRQLAKIAFKDTERSHLQSEALLFLDAAIFFEQDNADIIFELGVQHAEQRNLNAALHYAKKYIDVTGGSVLKGWRLLALVLSAQHRFSEAAVVTDAALDETAKWEQGPLLRLKAKLEISQSRPMDAIETYRYLLALVQAQRKSFGPMRIDSQVGDDKVNEFEVWHGLANLYSSLSHWKDVEICLRKAREMKQYSAKLLQTEGVMCQERGEIQEAMSAYINAILLEPSYAPCKVLISAMLSKMGSNSVPVARTLLSDALRIEPTNHKAWYYLGMIQKDDGRLTEAIESLQAAYILEESDPVESFRSLL >EOX90984 pep chromosome:Theobroma_cacao_20110822:1:1241363:1243323:1 gene:TCM_000302 transcript:EOX90984 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MATLLKELPSLSALVSAYASISAMAMLIRTMLNEMLPERMRNYIASKFSELTSAYFSSDFTFVIEDRWQAADNLMFRAAEVYLPTRIGPSSDSLLVGCNDSSDPTAPPKRSIPVDCTITDDFEGMRLKWTFSSIETKKCYVPNKRFFSLTCNKILRERVEQEYLPYISKTAQEILKKRESLSIYTYDQEYSMWECAVFKHPATFETLAMEPELRQFIMDDLDSFVQRKEFFANVGRAWKRGYLLHGPPGTGKSSLVAAMANYMRYDIYDLQFQSVRNDADLRRILTSTTNQSILLIEDIDCSTNVSRDRAKVKEEPGEEDGDESNRPSPIDPGVSNTVRLTQLHRWIVVELRKRKNHNLHHESQGKVRPSSVTSRANGCAYLHGILHSCRIQKTGSYISRNQR >EOX90983 pep chromosome:Theobroma_cacao_20110822:1:1241264:1243323:1 gene:TCM_000302 transcript:EOX90983 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MATLLKELPSLSALVSAYASISAMAMLIRTMLNEMLPERMRNYIASKFSELTSAYFSSDFTFVIEDRWQAADNLMFRAAEVYLPTRIGPSSDSLLVGCNDSSDPTAPPKRSIPVDCTITDDFEGMRLKWTFSSIETKKCYVPNKRFFSLTCNKILRERVEQEYLPYISKTAQEILKKRESLSIYTYDQEYSMWECAVFKHPATFETLAMEPELRQFIMDDLDSFVQRKEFFANVGRAWKRGYLLHGPPGTGKSSLVAAMANYMRYDIYDLQFQSVRNDADLRRILTSTTNQSILLIEDIDCSTNVSRDRAKVKEEPGEEDGDESNRPSPIDPGVTLSGLLNFIDGLWSSCGNERIIIFTTNHKEKLDPALLRPGRMDVHIYMGYCTPAGFRKLAATYLGIKDDKLFACIDDLIKSVEVTPAEMAQQLMISDEPEAALNSLIQFLNTKKTKMKEGGAQEEEKTVEEKDAEKKIGERQKNSEHAEIEKRCIYLT >EOX90982 pep chromosome:Theobroma_cacao_20110822:1:1239754:1243213:1 gene:TCM_000302 transcript:EOX90982 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MATLLKELPSLSALVSAYASISAMAMLIRTMLNEMLPERMRNYIASKFSELTSAYFSSDFTFVIEDRWQAADNLMFRAAEVYLPTRIGPSSDSLLVGCNDSSDPTAPPKRSIPVDCTITDDFEGMRLKWTFSSIETKKCYVPNKRFFSLTCNKILRERVEQEYLPYISKTAQEILKKRESLSIYTYDQEYSMWECAVFKHPATFETLAMEPELRQFIMDDLDSFVQRKEFFANVGRAWKRGYLLHGPPGTGKSSLVAAMANYMRYDIYDLQFQSVRNDADLRRILTSTTNQSILLIEDIDCSTNVSRDRAKVKEEPGEEDGDESNRPSPIDPGVTLSGLLNFIDGLWSSCGNERIIIFTTNHKEKLDPALLRPGRMDVHIYMGYCTPAGFRKLAATYLGIKDDKLFACIDDLIKSVEVTPAEMAQQLMISDEPEAALNSLIQFLNTKKTKMKEGGAQEEEKTVEEKDAEKKIGERQKNSEHAEIEKRCIYLT >EOX95344 pep chromosome:Theobroma_cacao_20110822:1:33784515:33786204:1 gene:TCM_004858 transcript:EOX95344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDSAIDFEGDACINQQPDSKWRGFLRVRVSVDALNPLTTGCPRLDEERVWAELKHEKLWDSCFGCEKFGHLTSSCEDVKMAIFKVSAGWRRDRWQCQVYNGVEATLNGDYVINKWNGRPYSQRYYTIFENRKTLPVWQQKLEFLQALKSNQTLILVDELVLVKPLRVVSGEQKFTLFFFTMNMSCFVSIS >EOX92330 pep chromosome:Theobroma_cacao_20110822:1:6330162:6334152:1 gene:TCM_001291 transcript:EOX92330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAKKRKSDATRLDEFDRSLYTSFCSAANSLSQLYTQAMNHQRLSFQAGERHALEKLYQWILRQQEEGSRVTTVDVVAYLQNELEYGGEEPPMSPRLPLQHPHSQTATQLNNLVGPISSNPFSSAMVGQGVRSGDNQGKNSVFSNALSSPVRRSLQHYHLAQGGYNSNNVLSSVNGQRNNESNYSHQQNRDANSPSSNDSMDMHGDSPGHGFSY >EOX92331 pep chromosome:Theobroma_cacao_20110822:1:6330252:6334322:1 gene:TCM_001291 transcript:EOX92331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAKKRKSDATRLDEFDRSLYTSFCSAANSLSQLYTQAMNHQRLSFQAGERHALEKLYQWILRQQEEGSRVTTVDVVAYLQNELEYGGEEPPMSPRLPLQHPHSQTATQLNNLVGPISSNPFSSAMVGQGVRSGDNQGKNSVFSNALSSPVRRSLQHYHLAQGGYNSNNVLSSVNGQRNNESNYSHQQNRDANSPSSNDSMDMHG >EOX91226 pep chromosome:Theobroma_cacao_20110822:1:1971983:1974558:-1 gene:TCM_000478 transcript:EOX91226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWHAEQGFIMLFQENFSSDRVPCTFRRVNFIIVSPHGPRHAWEFSASYTHAKISENLNHRLSAMVMNRSMTLQPGIEASRANLLARY >EOX94019 pep chromosome:Theobroma_cacao_20110822:1:18859583:18873827:-1 gene:TCM_003070 transcript:EOX94019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVQDGIVLGHRVYSKGLEADKAKIETIDKCPTPTLVKSIRSFLDHVDFYRHFIKIFLNSPSHCETFWRKTHLSTLMMLNLRHLWS >EOX90946 pep chromosome:Theobroma_cacao_20110822:1:1150037:1152053:1 gene:TCM_000277 transcript:EOX90946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 2 MAEAGSASAMVPERAKLHIAMVVFQLGYAVNHVIMRVALNMGVSKLVFPFYRNILALLALAPFAYYLEKNKRPTLTISFLIQFFLLGFIGITLNQGFYIFGLDNTSPTLASATENSVPAVTFFMAALLRMEQVHLNHKAGIAKVLGTLASVSGALVITLYKGPTVYSPNSPSDKSEFFSFGDAEGKNWTVGCICLIGHSLCWSSWIVLQAPVLKKYPARLSFVSYSCFFAVIQFGAIAAYIERDSRAWQVNSGSEVFTIFYAGLIGSAMVFAIQIWVVDRGGPLFVSMYLPLQTLLAAVIATVTLGEEFYLGGILGAALIISGLYLVILGKRKESKLVSEKDPIKSMSENNQVEDPGESSLTQPLLPAPGK >EOX90947 pep chromosome:Theobroma_cacao_20110822:1:1150121:1152196:1 gene:TCM_000277 transcript:EOX90947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 2 MAEAGSASAMVPERAKLHIAMVVFQLGYAVNHVIMRVALNMGVSKLVFPFYRNILALLALAPFAYYLEKNKRPTLTISFLIQFFLLGFIGITLNQGFYIFGLDNTSPTLASATENSVPAVTFFMAALLRMEQVHLNHKAGIAKVLGTLASVSGALVITLYKGPTVYSPNSPSDKSEFFSFGDAEGKNWTVGCICLIGHSLCWSSWIVLQAPVLKKYPARLSFVSYSCFFAVIQFGAIAAYIERDSRAWQVNSGSEVFTIFYAGLIGSAMVFAIQIWVVDRGGPLFVSMYLPLQTLLAAVIATVTLGEEFYLGGKKKREQACVRKGSD >EOX94967 pep chromosome:Theobroma_cacao_20110822:1:32232114:32233035:1 gene:TCM_004555 transcript:EOX94967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYDTIKRLKIDLGANRTKYELYHIRNMRQIDKAQEQLGYQPSVPAVFIVQQLIGGAPQVMNLHVDHQLHPLLTKAGAI >EOX91314 pep chromosome:Theobroma_cacao_20110822:1:2295567:2298673:-1 gene:TCM_000554 transcript:EOX91314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MKSINSRISHSLSLFHKNRAIFASWFSSQTQIHKHSPRKTFFKPNTKDNLINQLHNLCNQKRLKEAIQILNQIEKPPASLYSTLIQLCCQNRALNEGKSVHQHIKISGFSAGLVICNRLLDMYAKCGSLADAQNVFDEMSERDLCSWNTLMSGYAKMGMLKEANKLFDEMPERDNFSWTAMISGYVRFDRPKEALELYRMKEMSMVSKLNKFTVSSAIAASAAMGCLTTGKEIHGRITRAGLDLDEVVWSALMDMYGKCGSIEEARRVFDKIVDRDIVSWTAMIDRYFEDGRWEEGFELFSELMKSGIRPNEFTFAGVLNACADHAAEEIGKQVHGCMTRLGFNPFSFAASALVHMYSKCGNVENAKRVFNGMPLPDLVSWTSLITGYAQNGQPEEALEYFELLLKSGTKPDHITFVGVLSACTHAGLVDKGLEYFHSIKDRHGLTHTADHYACIIDLLARSGRFQEAENIIVKMPMKPDKFLWASLLGGCRIHGNLELAEKAAEALFEIEPENPATYVTMANIYATAGRWDEVAKIRKKMDDKGVVKKPGLSWIEVKRELHVFLVGDTTHPKSKEINEFLVKLSKRMREEGYVPNTNFVLHDVEEEQKEQNLSYHSEKLAVAFGIIATPPGTPIKVFKNLRTCVDCHNAIKYISKIVDRKITVRDSNRFHCFEDGNCSCRDYW >EOX95634 pep chromosome:Theobroma_cacao_20110822:1:35008933:35016106:1 gene:TCM_005092 transcript:EOX95634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spotted leaf protein, putative isoform 1 MAIDIVTSASFVPASEILSQTVEAILETVVAANDVLFKKDSFKELATYLERIVPVLKELNRKYISNSESLNSAIQILNREIKAAKQLTLECSTKSKVYLLMNSRGIVKRLEDTAREISRALSLLPLTSLELSSGIVVEIGNLCDSMQQAEFKAAIGEEEILEKIETGIQERNADRSYANNLLVLIAEAVGIPTERSALKKEFEDFKSEIENVRLRKDKAEAIQMDQIIALLGRADAASSPKEKEMKYFTKRKSLGSQPLEPLQSFYCPITRDVMVDPVETSSGQTFERSAIEKWFTEGNNLCPLTMTPLDTSILRPNKTLRQSIEEWKDRNTMITIASMKPNLTSGNEEEVLHCLGQLKDLCERDLHREWVILENYIPDLIQLLGGKNRDIRNRVLVMLHILTKDNDDAKDRVAKVDNAIESVVRSLGRRIDERRLAVALLLELSKYNLLRDSIGKVQGCILLLVTMANGDDIQAARDAEEILENLSFSDQNIIQMARANYFKHLLQRLSTGPEDVKLVMATTLAEMELTDHNKVVLLEGGALDPLLDWISQGDIQMKSVAVKALRNLSSVPKNGLQMIKGGAARALVDLLRISTPSPSLREQVAATIKHLAVSTMSQESKETPVSLLESDEDIFMLFSLINLTGPEVQQNILQIFQALCQSPFAANIKTKLTQCSAIQVLVQLCERDIENVRPNAVKLFCCLVNDGDEATILEHVHQRCLETLLRIIQSSNDEEEVASAVGIISNLPENAQITQWLVDAGAIPIIFQLLCNGRQNDSHRSQLVENAVGAICRFTAPTNLEWQKRAAEAGVIPILVHLLYLGTTMTKNHAATSLSRFSLSSRELSRPIPKHKGFWCFSAPPETSCQVHGGICSVESSFCLVEAEAVRPLVMVLEESDPGVCEASLDALLTLIEGERLQSGIKVLAEANAITPMIKFLSSPSLRLQEKALHALERIFRLPEFKQKYGPSAQMPLVDLTQRGNSSMKSLSARILAHLNVLHDQSSYF >EOX95635 pep chromosome:Theobroma_cacao_20110822:1:35008933:35016106:1 gene:TCM_005092 transcript:EOX95635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spotted leaf protein, putative isoform 1 MAIDIVTSASFVPASEILSQTVEAILETVVAANDVLFKKDSFKELATYLERIVPVLKELNRKYISNSESLNSAIQILNREIKAAKQLTLECSTKSKVYLLMNSRGIVKRLEDTAREISRALSLLPLTSLELSSGIVVEIGNLCDSMQQAEFKAAIGEEEILEKIETGIQERNADRSYANNLLVLIAEAVGIPTERSALKKEFEDFKSEIENVRLRKDKAEAIQMDQIIALLGRADAASSPKEKEMKYFTKRKSLGSQPLEPLQSFYCPITRDVMVDPVETSSGQTFERSAIEKWFTEGNNLCPLTMTPLDTSILRPNKTLRQSIEEWKDRNTMITIASMKPNLTSGNEEEVLHCLGQLKDLCERDLHREWVILENYIPDLIQLLGGKNRDIRNRVLVMLHILTKDNDDAKDRVAKVDNAIESVVRSLGRRIDERRLAVALLLELSKYNLLRDSIGKVQGCILLLVTMANGDDIQAARDAEEILENLSFSDQNIIQMARANYFKHLLQRLSTESRDCIFREHFDQIKYLKNPGPEDVKLVMATTLAEMELTDHNKVVLLEGGALDPLLDWISQGDIQMKSVAVKALRNLSSVPKNGLQMIKGGAARALVDLLRISTPSPSLREQVAATIKHLAVSTMSQESKETPVSLLESDEDIFMLFSLINLTGPEVQQNILQIFQALCQSPFAANIKTKLTQCSAIQVLVQLCERDIENVRPNAVKLFCCLVNDGDEATILEHVHQRCLETLLRIIQSSNDEEEVASAVGIISNLPENAQITQWLVDAGAIPIIFQLLCNGRQNDSHRSQLVENAVGAICRFTAPTNLEWQKRAAEAGVIPILVHLLYLGTTMTKNHAATSLSRFSLSSRELSRPIPKHKGFWCFSAPPETSCQVHGGICSVESSFCLVEAEAVRPLVMVLEESDPGVCEASLDALLTLIEGERLQSGIKVLAEANAITPMIKFLSSPSLRLQEKALHALERIFRLPEFKQKYGPSAQMPLVDLTQRGNSSMKSLSARILAHLNVLHDQSSYF >EOX94938 pep chromosome:Theobroma_cacao_20110822:1:32119423:32122904:-1 gene:TCM_004533 transcript:EOX94938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase interacting family protein, putative isoform 1 MVEMMNKMETSRWWWFDSHHNGSKRSPWLQSTLSELDKKTKAMLKLIEEDADSFAQRAEMYYKKRPELISLVEDFYRAHRSLAERYDQVKSDPGTRLVTTLGSPFSSMKFCAEKTTNVMDKIYDSFSETTFDTEDYAESEVDDPEHEDETEEEVADPELESKYETNTVTKGGDVEKEDGTLLEQEEREVSSEVCDDEVMKLREEIEKLETENKVQKAQLMQKDEEKREVIRQLSLAVQMLKDENMELRKRIVKESPRKWSPLEFSKLKGGLFGMFFNGSPKSHPSVVAL >EOX94939 pep chromosome:Theobroma_cacao_20110822:1:32119232:32124481:-1 gene:TCM_004533 transcript:EOX94939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase interacting family protein, putative isoform 1 MVEMMNKMETSRWWWFDSHHNGSKRSPWLQSTLSELDKKTKAMLKLIEEDADSFAQRAEMYYKKRPELISLVEDFYRAHRSLAERYDQVKSDPGTRLVTTLGSPFSSMKFCAEKTTNVMDKIYDSFSETTFDTEDYAESEVDDPEHEDETEEEVADPELESKYETNTVTKGGDVEKEDGTLLEQEEREVSSEVCDDEVMKLREEIEKLETENKVQKAQLMQKDEEKREVIRQLSLAVQMLKDENMELRKRIVKESPRKWSPLEFSKLKGGLFGMFFNGSPKSHPSVVAL >EOX94035 pep chromosome:Theobroma_cacao_20110822:1:19440310:19444732:1 gene:TCM_003122 transcript:EOX94035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle AAA-ATPase 2A MGQGTPGGLNRQGLPGDRKSDGSDKKEKKFEPAAPPARVGRRQRKQKGPEAAARLPTVTPLTKCKLRLLKLERIKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >EOX93977 pep chromosome:Theobroma_cacao_20110822:1:18018291:18021333:1 gene:TCM_003001 transcript:EOX93977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLVPCDHRTSRLLNNVHVSKLRFVSYGCWCCDRETGKKGISDYLICKKYKKLPAVSVQLHCKLLVFWLSYRQARIGVGQNCNFTPLDTCPRHEFYPILSSIIEEI >EOX94944 pep chromosome:Theobroma_cacao_20110822:1:32142021:32146024:1 gene:TCM_004538 transcript:EOX94944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby like protein 3 isoform 1 MSFKSIIQDMKGELGSISRKGFDVKFGYGMRSRSHRVVQDSSVTVDAFKQSCWANMPPELLRDVLMRIEASESTWPPRKNVVACSGVCRNWREIMKEIVKTPEISGKLTFPISLKQPGPRDSLLQCYIKRNRSNQTYYLYLGLNQASNDDGKFLLAARKCRRPTCTDYIISLNGDDVSKGSSTYIGKLRSNFLGTKFTVYDAQPPNAGVKVTKRRSTRLINMKQVSPRVPAGNYAVAHISYELNVLGSRRMQCVMDAIPASSIEPGGVAPTQTEFLHSNLDTFPSLPFFRSKSTRTESFQLGPLSGQKDGMLVLRNKAPRWHEQLQCWCLNFNGRVTVASVKNFQLVASPENGATGQEHENVILQFGKVGKDVFTMDYQYPISAFQAFAVCLSSFDTKIACE >EOX94943 pep chromosome:Theobroma_cacao_20110822:1:32142144:32146338:1 gene:TCM_004538 transcript:EOX94943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby like protein 3 isoform 1 MSFKSIIQDMKGELGSISRKGFDVKFGYGMRSRSHRVVQDSSVTVDAFKQSCWANMPPELLRDVLMRIEASESTWPPRKNVVACSGVCRNWREIMKEIVKTPEISGKLTFPISLKQPGPRDSLLQCYIKRNRSNQTYYLYLGLNQASNDDGKFLLAARKCRRPTCTDYIISLNGDDVSKGSSTYIGKLRSNFLGTKFTVYDAQPPNAGVKVTKRRSTRLINMKQVSPRVPAGNYAVAHISYELNVLGSRGPRRMQCVMDAIPASSIEPGGVAPTQTEFLHSNLDTFPSLPFFRSKSTRTESFQLGPLSGQKDGMLVLRNKAPRWHEQLQCWCLNFNGRVTVASVKNFQLVASPENGATGQEHENVILQFGKVGKDVFTMDYQYPISAFQAFAVCLSSFDTKIACE >EOX94945 pep chromosome:Theobroma_cacao_20110822:1:32142021:32146150:1 gene:TCM_004538 transcript:EOX94945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby like protein 3 isoform 1 MSFKSIIQDMKGELGSISRKGFDVKFGYGMRSRSHRVVQDSSVTVDAFKQSCWANMPPELLRDVLMRIEASESTWPPRKNVVACSGVCRNWREIMKEIVKTPEISGKLTFPISLKQPGPRDSLLQCYIKRNRSNQTYYLYLGLNQASNDDGKFLLAARKCRRPTCTDYIISLNGDDVSKGSSTYIGKLRSNFLGTKFTVYDAQPPNAGVKVTKRRSTRLINMKQVSPRVPAGNYAVAHISYELNVLGSRGPRRMQCVMDAIPASSIEPGGVAPTQTEFLHSNLDTFPSLPFFRSKSTRTESFQLGPLSGQKDGMLVLRNKAPRWHEQLQCWCLNFNGRVTVASVKNFQLVASPENGATGQEHENVILQFGKVGKDVFTMDYQYPISAFQAFAVCLSSFDTKIACE >EOX91033 pep chromosome:Theobroma_cacao_20110822:1:1402991:1406862:-1 gene:TCM_000342 transcript:EOX91033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTFSAIALDRFLEPGTSKSVDKSGPNLKPPIPTPKPITNSKLERRNSTSVTERKVNRPQISPALYATPEATPLPDSPSSFPPSPYIINHKRRGPRLLKSFSEDNVSSRKKALEENEVNGIAKLAETKSVDSLKDAVTFSIPEPNEEEHGNDGLNGSMKMEQANGVTNGPIKLEQANGLHGGSIQDEHMNGAHAGEFGSSNREVGSSQMSNGLARDSAVLVPLDLDRCGDSEDFFDPNESMSVTSNTEGDDDTGAESAARLATPRVEFFDAYDELSSESGPQSLLRDIDAELREIRLTLLMEIEKRKQAEEALNKMRCKWQRISQELAVEGLSLPVDPIDVTEDELMIPAEELRQQVGVARFVSLSLGRGIARAEMEMEMEAQIESKNFEIARLWDRLHYYEAVNREMSQRNQEAVEMARRDRQRKNKRQRWVWGSIAAAITLGTAALAWSYLPTGKGSSSTSSSQAPDHDDAAK >EOX94252 pep chromosome:Theobroma_cacao_20110822:1:26831864:26832772:1 gene:TCM_003807 transcript:EOX94252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein, putative MFVAKHEYRDCVVRVKEKGTSANLVLMDMMEFNVILGMDWLSPNYVSVDCHHKRVRFDYIGETPFYIQKDRNMALISMISAMTTNHLMTHGGLGFLAMVIDTLVNAISVVNVPIMREFIDVFSEELLGLPPK >EOX94029 pep chromosome:Theobroma_cacao_20110822:1:19333191:19338922:1 gene:TCM_003109 transcript:EOX94029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METTCARVYGFGIQVPVATLLSGTQSNAVISESKCGPINSNTTNSIIVLEEKVKSLSTNLGKIHEEIKENNANLMTNMKEAMAEIMSEFMALMEAMIVSNALLKQGNVGTLTSNLGNARNVPTAGTPPTSTPARGAAGLNDQKNFVTYGGVGGFSGLGSNGLPFGGVGGVGGITPIGGLGGLGGLGGGVGGIGGITPLGGGDGLGGLGGLGGFGGLGGGVGGGAGGGVGGAVGGGVGVGGGGGAGAGVGGTGVLPFP >EOX91851 pep chromosome:Theobroma_cacao_20110822:1:4140987:4142722:-1 gene:TCM_000915 transcript:EOX91851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 2 MELSQHGFLEELLAPRRDSWTTFSTGVTEFLPNGWNFDSFDENPTLATSNLSFVGFSHPTENPSFECPFGEHQPYPFADGFTVSEMDSSYTKNDTSPFPAQEDYPSMVDDEEFGLLSSDHQHSLEERKSSCKVEMEQTSNIQSFNMGLFGEKKTKTKRLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERINKLQEEETKVGRDQVRLMSNLKELKPNEVMVRNSPKFDVERRENNTRVDICCATKPGLLLSTVNTLEALGLEIQQCVISCFNDFSMQASCSEVAEQGTLISSEDIKQALFRNAGYGGRCL >EOX91852 pep chromosome:Theobroma_cacao_20110822:1:4141383:4142844:-1 gene:TCM_000915 transcript:EOX91852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 2 MELSQHGFLEELLAPRRDSWTTFSTGVTEFLPNGWNFDSFDENPTLATSNLSFVGFSHPTENPSFECPFGEHQPYPFADGFTVSEMDSSYTKNDTSPFPAQEDYPSMVDDEEFGLLSSDHQHSLEERKSSCKVEMEQTSNIQSFNMGLFGEKKTKTKRLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERINKLQEEETKVGRDQVRLMSNLKELKPNEVMVRNSPKREEKTILESISAVQPNQDCCYLQ >EOX94264 pep chromosome:Theobroma_cacao_20110822:1:27037853:27049571:1 gene:TCM_003832 transcript:EOX94264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEWSFRMLLRGSGSTRDYDAHLFESLVSFSMLTLLHGGELRFLYIYPYFNKSFQCLLNNHELVYVVIHVDFFTCHTFWERSCFYTVIHILMANDVFND >EOX90640 pep chromosome:Theobroma_cacao_20110822:1:213793:214799:-1 gene:TCM_000055 transcript:EOX90640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIVSFKHRRRKGLVEVLFNPSKVPCIGIGGRGFIDVILLQTGRVDEQLHTEDQALDAKICVKESQIPGTPNQNQFILPLLSLLFIKGYLTLVYAIKNVSGWLIVDLKQICLPNAEVYLSRLLVSSSFPLVLISQSFTNVAFIQATAAHGYDVNFPVWTIAIAIAIAITIGS >EOX93288 pep chromosome:Theobroma_cacao_20110822:1:11924404:11930333:-1 gene:TCM_002137 transcript:EOX93288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin elicitor receptor kinase 1, RLK1, putative MTLLRFSLPFQCLFFVSLSITVIESKCKKGCDLALASYYAWENTNVTFISEVLHSQLVPKGVADAILDFNKQVEGEDRLKAFTRVNVPFPCDCINGEFLGHVFEYEVKSGDTYEKIASLYYSNLTTVEWLQRSNTYEADEMAENDKMNVTVNCSCGDTSVSKNYGLFITYPLLPGETLEAVLTQTNLSQDVGVLVQSYNEGVNLSGGDGLIFVPGKDASGNYRPLRSSTVGLSGMVVAGISSAVVAGFLLLATGVLYFGFYQKKKLKWAMFPSTSPKILPAQAGDAPSSKANGHKDNIIDTSVEFSHEELAQATNSFSLTHKVGEGGFGAVYYAELRGEKVAIKKMGMQASKEFLAELKVLTRVHHLNLVRLIGYCVEGSLFLVYEYIENGNLSQHLHGSGKDPLPWSTRVQIALDSARGLEYVHEHTVPVYIHRDIKSANILIDKKFHGKVADFGLAKMFPKAGRAALLPTRLVGTFGYMAPEYARFGEVSPEVDVYAFGVVLYELISAKAAIVKTNDSAVGSKGLVALFENVLNQPDPMQDLCKLIDPRLGDNYPVDSVLKMAQLAKACTEENPKLRPSMRSIVIALTILSSSTQ >EOX93230 pep chromosome:Theobroma_cacao_20110822:1:11439361:11445661:-1 gene:TCM_002072 transcript:EOX93230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein isoform 1 MAHNFHRLSTPLSRRLSSSSFSIDATTTTTKGKVPLLYKSPEFNQDTQKEAVTLQVLSWGRGASGQLGGGIEEIRIYPSPVANLLFPPASFSLSPTPGKILNQRQNQIQKGETLPSVGISCGLFHSGLVVDGKLWMWGKGDGGRLGFGHENPAFLPTLNPYLDSVSSVALGGLHSVALTSLGEVFTWGYGGFGALGHHVYHRELIPRLLEGNWSENICHISTSGTHTAAITESGDLYTWGREEGDGRLGLGPGRGPNEGGGLSIPSKVKELPTPIAAVSCGGFFTMALTEEGQLWNWGANSNYELGTGDKVGGWKPKPLPTLESTRIIQIASGGYHSLALTCEGKVFSWGHGGHGQLGHSSIQNQKIPVMIEALADKHVVDIACGGSSSAAITEEGKLYMWGNAKDSQLGVPGLPEVQPSPVEVNFLTEDDGLGPHNVLSVAVGACHAMCLVLRSHC >EOX93231 pep chromosome:Theobroma_cacao_20110822:1:11441178:11445570:-1 gene:TCM_002072 transcript:EOX93231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein isoform 1 MAHNFHRLSTPLSRRLSSSSFSIDATTTTTKGKVPLLYKSPEFNQDTQKEAVTLQVLSWGRGASGQLGGGIEEIRIYPSPVANLLFPPASFSLSPTPGKILNQRQNQIQKGETLPSVGISCGLFHSGLVVDGKLWMWGKGDGGRLGFGHENPAFLPTLNPYLDSVSSVALGGLHSVALTSLGEVFTWGYGGFGALGHHVYHRELIPRLLEGNWSENICHISTSGTHTAAITESGDLYTWGREEGDGRLGLGPGRGPNEGGGLSIPSKVKELPTPIAAVSCGGFFTMALTEEGQLWNWGANSNYELGTGDKVKVKYFRGAM >EOX93974 pep chromosome:Theobroma_cacao_20110822:1:17914235:17915217:-1 gene:TCM_002988 transcript:EOX93974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 QILQWLFKVAHEQGGQNTTSPSNKKTAEDQEGKPREIILSKHRRSKSKKFKPFIFLCNRDAAKACFYSTIYLRRTGSSHRRQHCAQPMKMKKEDIARGLVVGHKSDLATHAGNKVLPLIDAALSSSTDKNDQCSTSGKKEKHKGDKTKAMSRMKELLRWAAAAKSERGKSIGRKVLHFRNRGALKSVPDDDQLSNDSPKISFRWDVESCSTTSSAFSGISATSSLKKDQTCNMVSLNSTPIHAVGLNRCSGRRGNWITTDSE >EOX93973 pep chromosome:Theobroma_cacao_20110822:1:17913824:17915561:-1 gene:TCM_002988 transcript:EOX93973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MQILQWLFKVAHEQGGQNTTSPSNKKTAEDQEGKPREIILSKHRRSKSKKFKPFIFLCNRDAAKACFYSTIYLRRTGSSHRRQHCAQPMKMKKEDIARGLVVGHKSDLATHAGNKVLPLIDAALSSSTDKNDQCSTSGKKEKHKGDKTKAMSRMKELLRWAAAAKSERGKSIGRKVLHFRNRGALKSVPDDDQLSNDSPKISFRWDVESCSTTSSAFSGISATSSLKKDQTCNMVSLNSTPIHAVGLNRCSGRRGNWITTDSEFVVLEL >EOX94442 pep chromosome:Theobroma_cacao_20110822:1:28692001:28696490:-1 gene:TCM_004025 transcript:EOX94442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein isoform 1 MVSLSTWFRYIAHKLEYSVSLSWKSYKRGQISDREVHDAVWKNLFQGKLTYLHWNKGEAMAPTIGAQGGTLLVRKIAAADPTRVFVGDVVVLKDPDSSDNYLVRRLAAIEGYEMVSTDEKDEPFVLEKDQCWVLADNEKLKPKEAEDSRLYGPVPMTDIVGRVIYCLRTAVDHGPVQNSHFSMRKDSPVLEIELDVNEMAKNHKA >EOX94443 pep chromosome:Theobroma_cacao_20110822:1:28692100:28696380:-1 gene:TCM_004025 transcript:EOX94443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein isoform 1 AHKLEYSVSLSWKSYKRGQISDREVHDAVWKNLFQGKLTYLHWNKGEAMAPTIGAQGGTLLVRKIAAADPTRVFVGDVVVLKDPDSSDNYLVRRLAAIEGYEMVSTDEKDEPFVLEKDQCWVLADNEKLKPKVIGSRGQSVIWSGSHDRHCWPSYILSADSC >EOX90842 pep chromosome:Theobroma_cacao_20110822:1:754892:756442:-1 gene:TCM_000197 transcript:EOX90842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein isoform 3 MASLQVSFVLVMFFLLLFSGAHSSTFTIVNKCSYTVWPGVLSGAGTPPISPTEDSSGKFSCLTGDCGSSALECSGGGGIPPATLAEFTLNGAGGLDFYDVSLVDGYNLPMMVSPQGGTGGNCTSAGCAAYLNGDCPLELKVVDGTEGVACKSACDALGDPKYCCSGAYATPNTCKPSSYSEFFKTACPTAYSYAYDDGTSTFTCAGADYVITFCPTPSTSVKSANPMA >EOX90841 pep chromosome:Theobroma_cacao_20110822:1:754775:756255:-1 gene:TCM_000197 transcript:EOX90841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein isoform 3 MASLQVSFVLVMFFLLLFSGAHSSTFTIVNKCSYTVWPGVLSGAGTPPISPTEDSSGKFSCLTGDCGSSALECSGGGGIPPATLAEFTLNGAGGLDFYDVSLVDGYNLPMMVSPQGGTGGNCTSAGCAAYLNGDCPLELKVVDGTEGVACKSACDALGDPKYCCSGAYATPNTCKPSSYSEFFKTACPTAYSYAYDDGTSTFTCAGADYVITFCPTPSTSVKSANPMAVDISVGYRPVSSALIGGAISTLAAIWQLRHLF >EOX90840 pep chromosome:Theobroma_cacao_20110822:1:754379:756255:-1 gene:TCM_000197 transcript:EOX90840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein isoform 3 MASLQVSFVLVMFFLLLFSGAHSSTFTIVNKCSYTVWPGVLSGAGTPPISPTGFILQPGESTSISIPTSWSGRLWGRTFCTEDSSGKFSCLTGDCGSSALECSGGGGIPPATLAEFTLNGAGGLDFYDVSLVDGYNLPMMVSPQGGTGGNCTSAGCAAYLNGDCPLELKVVDGTEGVACKSACDALGDPKYCCSGAYATPNTCKPSSYSEFFKTACPTAYSYAYDDGTSTFTCAGADYVITFCPTPSTSVKSANPMAVDISVGYRPVSSALIGGAISTLAAIWQLRHLF >EOX93421 pep chromosome:Theobroma_cacao_20110822:1:12951057:12954635:-1 gene:TCM_002297 transcript:EOX93421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonyl-tRNA synthetase / threonine--tRNA ligase, putative isoform 1 MAINEPYKMEILDSIKEDPITIYHIGNEWWDLCAGPHVETTGKINKKAVELESVAGAYWRGDETKPMLQRIYGTAWENEEQLRAYLHFKEEAKRRDHRRIGQDLDLFSIQEEAGGGLVFWHPKGAIIRHIIEDSWRKMHIEHGYDLLYTPHVARVDLWKISGHLDFYKENMYDQMEIEDEPYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEEILFQFGFSKYEVNLSTKPEKAVGGDDIWEKATIALKDALDDKGWSYQIDEGGGAFYGPKIDLKIEDALGRKWQCSTIQVDFNLPNRFDITYVDSNSEKRRPIMIHRAVLGSLERFLGVLIEHYAGDFPLWLTPIQARILPVTDTQLGYCKEVSSKLKANGIRAEVCHGERLPKLIRNAEKQKIPLMAVVGPKEVETHSVTVRSRFGGELGTMKIDEFNSSMEQAIKNRSSL >EOX93420 pep chromosome:Theobroma_cacao_20110822:1:12950224:12954777:-1 gene:TCM_002297 transcript:EOX93420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonyl-tRNA synthetase / threonine--tRNA ligase, putative isoform 1 MSILHRMATLHALLYSSRLLPKPTSLPLPNPSLSLRFPPNRLVSFPKRQEVKRIHGAVATDSAQPAVELQNDTVQDIQKQEEAVKLVLPTNESSEKLLKIRHTCAHVMAMAVQKLYPEAKVTIGPWIENGFYYDFDTEPLTDKDLKRIKKEMDRIIKRNLPLVREEVSRDEAQRRIMAINEPYKMEILDSIKEDPITIYHIGNEWWDLCAGPHVETTGKINKKAVELESVAGAYWRGDETKPMLQRIYGTAWENEEQLRAYLHFKEEAKRRDHRRIGQDLDLFSIQEEAGGGLVFWHPKGAIIRHIIEDSWRKMHIEHGYDLLYTPHVARVDLWKISGHLDFYKENMYDQMEIEDEPYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEEILFQFGFSKYEVNLSTKPEKAVGGDDIWEKATIALKDALDDKGWSYQIDEGGGAFYGPKIDLKIEDALGRKWQCSTIQVDFNLPNRFDITYVDSNSEKRRPIMIHRAVLGSLERFLGVLIEHYAGDFPLWLTPIQARILPVTDTQLGYCKEVSSKLKANGIRAEVCHGERLPKLIRNAEKQKIPLMAVVGPKEVETHSVTVRSRFGGELGTMKIDEFNSSMEQAIKNRSSL >EOX91899 pep chromosome:Theobroma_cacao_20110822:1:4403859:4418694:1 gene:TCM_000959 transcript:EOX91899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylmalonate-semialdehyde dehydrogenase METQNQPEFSGQKRMLPPPAGNFQDREELIKHVRDFGASQGYVVTIKKSRKDRRVILGCDRGGVYRNRRKIDESKRKRKACSRLINCPFEAIGKKEDDAWVLTIKNEEHNHEPLKDMSEHPYSRRFTEEEVRQIKLMTEAGIKPRQVLKALKQSNPELQSTPRHLYNLKAKIRQGNLSEKSFKSWRPNRSVPVSTNGTLPGELLRQNNQPVKVPNFIGGKFVHSQGSMVIDVINPATQEVVSQVPSATYEEFKDAVNAAKQAFSSWKNTPVATRQRIMFKLQELIHRNIDKLAMNITMEQGMTLKRAQGDVLRGLEVVEHACGLATLQMGEFVPNASNGIDTYFIREPLGVCAGICPSNFPAMIPLLMFPIAVSCGNTFILKPCEKNPGASMILAALAKEAGLPDGVLNIVHGTNDIVNYICDDEDIKAISFVGSNTAGMHIYARAAARGKRIQSNVGGKNYAIIMPDASIDATLSSLVAGGFGAAGQRCIGLSTAVFVGGSMPWEEELLERAKALKVNVGSDPGADVGPVISKEVKDRINRLVQSSVDGGARLVLDGRNIVVPGYENGNFIGPTIICDVASNMECCKEEIFGPVLLCMQAGSLEGAIAIVNRNKSVNGASIFTTSGYAARKFQNEIESGLVGINVPVPVAIPMPFSSFNGPRTSFAGDLNFCGKSGVHFYTQIKMVAQQWRDLPSLGLSSGLHLSSETDITSRGVSSALPPSSERDSPYRRVSRAMSPESEGNSPNHALLLSVAATSERDLSNPVITSLPPTADGDLPNHGASLLIPPTSEMDLENQDASLTVPLGRETSNQGVSSATSHQSERMYTSQTSQWNETSTLASQRNEPIPPPSERINIPTTSKRNSNAAPTVPRSDTAIGLTHERLYLPTSHKNDSMVPISHGNESMSPTSERIYMMATSHLSDSMGQTFQRTDAPMFPTSERMYVPATPHRTDHMGSTSQRADVALQPAAERLYMPATSQRNDNIASSSHRAESMPQNSEGLYLSPIIHRNAGMPPTSERLYMPAASQRMYAQNTIISMDDYPSQGPPMTLPTSQRI >EOX91470 pep chromosome:Theobroma_cacao_20110822:1:2811855:2813336:-1 gene:TCM_000653 transcript:EOX91470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVRVIEFDYNQRSLEILLLTENQIGCTESELDSGQERPGMPQVVVQQQRQLPPTIRIARNSRLFCC >EOX95401 pep chromosome:Theobroma_cacao_20110822:1:34052416:34056429:1 gene:TCM_004908 transcript:EOX95401 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MDDSCAVCADNLEWVAYGACGHREVCSTCVARLRFICNDGRCCICKTESNVIFVTKALGDYTRMISDFSVLPSEVREGRVGSFWYHEDTQAFFDDVDHYRMIKAMCRLSCSVCDKMEEQSNEGAKRRAKFRNIEQLKGHLFHRHKLVMCSLCLEGRKVFICEQKLYTRAQLNQHINTGDSEVDGTESERGGFMGHPMCEFCKTPFYGDNELYSHMSTEHYTCHICQRQHPGQYEYYKNYDDLEIHFRRDHYLCEDEACLAKKFIVFQSEAELKRHNTMEHGGRMSRAQRNAALQIPTSFRYRRSNEDNRRGRGRTFRRELSDNDYQLSMAIEASLGTAGDLQASSTAQVVSDHADTNDIDALVQPFELLSTTDSESSARYLQALGGGSRGAPLQESSFPPLPIGPSTSQQKPKCSSEGLANNTMAAHLRCRKNGNTNVFNSAQAWPATSRRPMQASSSSTQVGRTTNVAAVTPHGTGNGAAQLSYASSTQAQAQVQARPTTADVLISSGSRMSSGNTSRISHSSSAPNLADSGFSEPSVSDFPPVSAAQRHKQSSSSQGQMNVEDVQTANKSLVEKMRAALEYDEEKYNAFKEISGQYRQGLIDSGRYLDYVKQYGLSHLVLELARLCPDAQKQKELIETYNASSQSNGLQDNGGAKGGVWSKDNIASKKGKGKSLDTASSNSKDTLADSIVSSVRKLQSSYMPSEEEVEVLSKDGYRPSKGKSKVMVDELRVELNSSNQPSVIIGGQNDSLSVKLGSGDGGGGSKQRKKTSKFHRLRLGDGSMAALLDHKSSEPDPEPLDKKFDGSQNSTGGLPIRGVWKKGGSQKLFP >EOX95403 pep chromosome:Theobroma_cacao_20110822:1:34053849:34056400:1 gene:TCM_004908 transcript:EOX95403 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MMTLRQDDSYISLLFFFISFSPLKVKVKCHFGNCLPQIHFRRDHYLCEDEACLAKKFIVFQSEAELKRHNTMEHGGRMSRAQRNAALQIPTSFRYRRSNEDNRRGRGRTFRRELSDNDYQLSMAIEASLGTAGDLQASSTAQVVSDHADTNDIDALVQPFELLSTTDSESSARYLQALGGGSRGAPLQESSFPPLPIGPSTSQQKPKCSSEGLANNTMAAHLRCRKNGNTNVFNSAQAWPATSRRPMQASSSSTQVGRTTNVAAVTPHGTGNGAAQLSYASSTQAQAQVQARPTTADVLISSGSRMSSGNTSRISHSSSAPNLADSGFSEPSVSDFPPVSAAQRHKQSSSSQGQMNVEDVQTANKSLVEKMRAALEYDEEKYNAFKEISGQYRQGLIDSGRYLDYVKQYGLSHLVLELARLCPDAQKQKELIETYNASSQSNGLQDNGGAKGGVWSKDNIASKKGKGKSLDTASSNSKDTLADSIVSSVRKLQSSYMPSEEEVEVLSKDGYRPSKGKSKVMVDELRVELNSSNQPSVIIGGQNDSLSVKLGSGDGGGGSKQRKKTSKFHRLRLGDGSMAALLDHKSSEPDPEPLDKKFDGSQNSTGGLPIRGVWKKGGSQKLFP >EOX95400 pep chromosome:Theobroma_cacao_20110822:1:34052240:34057175:1 gene:TCM_004908 transcript:EOX95400 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MDDSCAVCADNLEWVAYGACGHREVCSTCVARLRFICNDGRCCICKTESNVIFVTKALGDYTRMISDFSVLPSEVREGRVGSFWYHEDTQAFFDDVDHYRMIKAMCRLSCSVCDKMEEQSNEGAKRRAKFRNIEQLKGHLFHRHKLVMCSLCLEGRKVFICEQKLYTRAQLNQHINTGDSEVDGTESERGGFMGHPMCEFCKTPFYGDNELYSHMSTEHYTCHICQRQHPGQYEYYKNYDDLEIHFRRDHYLCEDEACLAKKFIVFQSEAELKRHNTMEHGGRMSRAQRNAALQIPTSFRYRRSNEDNRRGRGRTFRRELSDNDYQLSMAIEASLGTAGDLQASSTAQVVSDHADTNDIDALVQPFELLSTTDSESSARYLQALGGGSRGAPLQESSFPPLPIGPSTSQQKPKCSSEGLANNTMAAHLRCRKNGNTNVFNSAQAWPATSRRPMQASSSSTQVGRTTNVAAVTPHGTGNGAAQLSYASSTQAQAQVQARPTTADVLISSGSRMSSGNTSRISHSSSAPNLADSGFSEPSVSDFPPVSAAQRHKQSSSSQGQMNVEDVQTANKSLVEKMRAALEYDEEKYNAFKEISGQYRQGLIDSGRYLDYVKQYGLSHLVLELARLCPDAQKQKELIETYNASSQSNGLQDNGGAKGGVWSKDNIASKKGKGKSLDTASSNSKDTLADSIVSSVRKLQSSYMPSEEEVEVLSKDGYRPSKGKSKVMVDELRVELNSSNQPSVIIGGQNDSLSVKLGSGDGGGGSKQRKKTSKFHRLRLGDGSMAALLDHKSSEPDPEPLDKKFDGSQNSTGGLPIRGVWKKGGSQKLFP >EOX95399 pep chromosome:Theobroma_cacao_20110822:1:34052277:34056400:1 gene:TCM_004908 transcript:EOX95399 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MDDSCAVCADNLEWVAYGACGHREVCSTCVARLRFICNDGRCCICKTESNVIFVTKALGDYTRMISDFSVLPSEVREGRVGSFWYHEDTQAFFDDVDHYRMIKAMCRLSCSVCDKMEEQSNEGAKRRAKFRNIEQLKGHLFHRHKLVMCSLCLEGRKVFICEQKLYTRAQLNQHINTGDSEVDGTESERGGFMGHPMCEFCKTPFYGDNELYSHMSTEHYTCHICQRQHPGQYEYYKNYDDLEIHFRRDHYLCEDEACLAKKFIVFQSEAELKRHNTMEHGGRMSRAQRNAALQIPTSFRYRRSNEDNRRGRGRTFRRELSDNDYQLSMAIEASLGTAGDLQASSTAQVVSDHADTNDIDALVQPFELLSTTDSESSARYLQALGGGSRGAPLQESSFPPLPIGPSTSQQKPKCSSEGLANNTMAAHLRCRKNGNTNVFNSAQAWPATSRRPMQASSSSTQVGRTTNVAAVTPHGTGNGAAQLSYASSTQAQAQVQARPTTADVLISSGSRMSSGNTSRISHSSSAPNLADSGFSEPSVSDFPPVSAAQRHKQSSSSQGQMNVEDVQTANKSLVEKMRAALEYDEEKYNAFKEISGQYRQGLIDSGRYLDYVKQYGLSHLVLELARLCPDAQKQKELIETYNASSQSNGLQDNGGAKGGVWSKDNIASKKGKGKSLDTASSNSKDTLADSIVSSVRKLQSSYMPSEEEVEVLSKDGYRPSKGKSKVMVDELRVELNSSNQPSVIIGGQNDSLSVKLGSGDGGGGSKQRKKTSKFHRLRLGDGSMAALLDHKSSEPDPEPLDKKFDGSQNSTGGLPIRGVWKKGGSQKLFP >EOX95402 pep chromosome:Theobroma_cacao_20110822:1:34052277:34056400:1 gene:TCM_004908 transcript:EOX95402 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MDDSCAVCADNLEWVAYGACGHREVCSTCVARLRFICNDGRCCICKTESNVIFVTKALGDYTRMISDFSVLPSEVREGRVGSFWYHEDTQAFFDDVDHYRMIKAMCRLSCSVCDKMEEQSNEGAKRRAKFRNIEQLKGHLFHRHKLVMCSLCLEGRKVFICEQKLYTRAQLNQHINTGDSEVDGTESERGGFMGHPMCEFCKTPFYGDNELYSHMSTEHYTCHICQRQHPGQYEYYKNYDDLERHNTMEHGGRMSRAQRNAALQIPTSFRYRRSNEDNRRGRGRTFRRELSDNDYQLSMAIEASLGTAGDLQASSTAQVVSDHADTNDIDALVQPFELLSTTDSESSARYLQALGGGSRGAPLQESSFPPLPIGPSTSQQKPKCSSEGLANNTMAAHLRCRKNGNTNVFNSAQAWPATSRRPMQASSSSTQVGRTTNVAAVTPHGTGNGAAQLSYASSTQAQAQVQARPTTADVLISSGSRMSSGNTSRISHSSSAPNLADSGFSEPSVSDFPPVSAAQRHKQSSSSQGQMNVEDVQTANKSLVEKMRAALEYDEEKYNAFKEISGQYRQGLIDSGRYLDYVKQYGLSHLVLELARLCPDAQKQKELIETYNASSQSNGLQDNGGAKGGVWSKDNIASKKGKGKSLDTASSNSKDTLADSIVSSVRKLQSSYMPSEEEVEVLSKDGYRPSKGKSKVMVDELRVELNSSNQPSVIIGGQNDSLSVKLGSGDGGGGSKQRKKTSKFHRLRLGDGSMAALLDHKSSEPDPEPLDKKFDGSQNSTGGLPIRGVWKKGGSQKLFP >EOX93365 pep chromosome:Theobroma_cacao_20110822:1:12407138:12408433:-1 gene:TCM_002211 transcript:EOX93365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKGERYDWQGADAPCQAPLSPARREFFASGRVVERPLQSPEVGYKWEGENLQQREGTCSKGGTSFLSNGKQPENQKNREGELGRKIEKRGRGVLLPAQEEGAPNGGRERGAAAGAAPEREERRLARHQICK >EOX92461 pep chromosome:Theobroma_cacao_20110822:1:6944558:6946248:-1 gene:TCM_001406 transcript:EOX92461 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase substrate 1, putative MDSQFPAGEPPSKRQLQIQGPRPTPLKVSKDSHKIKKPPHPPSHAAGPAAAAAADQRRREPVIIYAVSPKVIHAEESDFMSIVQRYTGLSSGNFSGDGDVSPAARLAATEKASPSSREKIGDVGVAGEGGMEEGLIRAPPGILSPAPETLPAVAAGTFFSPAIAGGTFLSPASEARMMSALHDWSPMLHGSGFMGSPSALLSGPLISSPTLSPDFFAQIWNP >EOX93948 pep chromosome:Theobroma_cacao_20110822:1:17667979:17674240:-1 gene:TCM_002958 transcript:EOX93948 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-repeat protein isoform 2 MAQSNWEADKMLDVYIHDYLLKRKLHASAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEAQQIKAREQQQLQMQQLQLMQNRNVQLQRRDSNHPALGGSVNTINSEGMMGQSSTSVLAMKMYEESVKHTHSVDSETSSALIDANRMALLKTQANHQGQLVQGSPGNMSAALQQIQSRTPLSTDIKSEVNLGGSQKSLPMDPSSIYGPAILQPKSGLGGAGLSQSVSGLPLRGWPLTGIDQLRPGLGFQMQKPNLQTQNQFLLASQQQHMLAQAQLQGNLGNSTNFGDTDPRRFGQLSRGNLNPKDGQSGRNDGSICCPVQSCSPKQMKMGQMSHSSSQQQDQLQQQQQQSQQVLQNNRKRKQHSSSGAANSTGTGNTVGPSPNSPPSTHTPGDAITTATSLQHVNSVSKSMTYGADATAGLTSSSNLLEDIDRFDPLDENMETLLSHDGGDGRDIYGTVKQSPSEHQKESAKGFTFAEFGCIQTRNSKVTCCHFSSDGKLLASAGHDKKVVLWNMDTLQTESTPEEHKLVITDVRFRPNSSQLATASVDKSVRLWDIANPSFCVQAYNGHTAPVMSLDFHPKKTDLFCFCDNDNEIRYWNLNTFSCTRMFKGGTAQVRFQPRIGHLLAAVSDKVVSIFDVEADRQTFSFQGHSEMVNYICWDANGDYLASVSHSLVKVWSVASGECIQELSSGGNQFHSCVFHPSYSTLLVIGGISSLELWNMAENKSMTIPAHENIVSALAQSPVTGMVASASHDSSVKLWK >EOX93947 pep chromosome:Theobroma_cacao_20110822:1:17667395:17674981:-1 gene:TCM_002958 transcript:EOX93947 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-repeat protein isoform 2 MAQSNWEADKMLDVYIHDYLLKRKLHASAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEAQQIKAREQQQLQMQQLQLMQNRNVQLQRRDSNHPALGGSVNTINSEGMMGQSSTSVLAMKMYEESVKHTHSVDSETSSALIDANRMALLKTQANHQGQLVQGSPGNMSAALQQIQSRTPLSTDIKSEVNLGGSQKSLPMDPSSIYGPAILQPKSGLGGAGLSQSVSGLPLRGWPLTGIDQLRPGLGFQMQKPNLQTQNQFLLASQQQHMLAQAQLQGNLGNSTNFGDTDPRRFGQLSRGNLNPKDGQSGRNDGSICCPVQSCSPKMKMGQMSHSSSQQQDQLQQQQQQSQQVLQNNRKRKQHSSSGAANSTGTGNTVGPSPNSPPSTHTPGDAITTATSLQHVNSVSKSMTYGADATAGLTSSSNLLEDIDRFDPLDENMETLLSHDGGDGRDIYGTVKQSPSEHQKESAKGFTFAEFGCIQTRNSKVTCCHFSSDGKLLASAGHDKKVVLWNMDTLQTESTPEEHKLVITDVRFRPNSSQLATASVDKSVRLWDIANPSFCVQAYNGHTAPVMSLDFHPKKTDLFCFCDNDNEIRYWNLNTFSCTRMFKGGTAQVRFQPRIGHLLAAVSDKVVSIFDVEADRQTFSFQGHSEMVNYICWDANGDYLASVSHSLVKVWSVASGECIQELSSGGNQFHSCVFHPSYSTLLVIGGISSLELWNMAENKSMTIPAHENIVSALAQSPVTGMVASASHDSSVKLWK >EOX92498 pep chromosome:Theobroma_cacao_20110822:1:7168225:7170073:-1 gene:TCM_001442 transcript:EOX92498 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 82, putative MGKNWLAPGFRFHPTDVELVKYYLKRKVLGKKLAFEAIAELDIYKYAPWDLPDFSCLKTGDLKWFFFCPIEKKCARGVKFNRATMYGFWKTTGKDRTVTYNDAVVGMIKTLVFHQGKAPCGDRTDWVMHEYRLEEKELADRGVVQDTYVLCVVFKKDGMGPRNGAQYGAPFKEEDWSDDEDVNLVGTGSLSGMSTLAVGGASSSCVPESLCAGASVASSGLYTLPRVVHTNAAASVTTDIDSNANAAPTVVDAPQVPVVVDKAVPASTEAPQLAQVPQVQESNDDILSMLDFFREDHAFIMYDNGQVTGTANDSFFEAPQVSDDDDILSMLASFTEDNNLNSVLYPFE >EOX94128 pep chromosome:Theobroma_cacao_20110822:1:22226699:22232917:-1 gene:TCM_003357 transcript:EOX94128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRCSAMIEVAFPLDIIKSHDGQLEKNQIMLMVTVGRETNHARVEAMGQESESSSGVISQWTASPRVFSNSWHHNTAANAHNPSRSQLSMVIYSYMFIISFRLPSLGLNNISDLCGLH >EOX95032 pep chromosome:Theobroma_cacao_20110822:1:32674409:32675945:-1 gene:TCM_004624 transcript:EOX95032 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein MLKMENHCELLREVFGESSDSEDDDPQHKQIGDPIPSWEQIKEINGLWLCRDFLSPQHQSSLISAVLNEGWFTEDSHNQAMRFGDLPAWATELSNSIREAVLLGHHVSKSTDLATSNGATGDCLLPLNLLWREPLFDQLIVNVYHPGEGICAHVDLMRFEDGIAIVSLESSCVMHFTRVEAGSNIVEQGELHLRVGKIPVHLTPGSLVLMSGEARYLWKHEINRNPGFQMWGGQELIQEKRISITLRKLRQVE >EOX91977 pep chromosome:Theobroma_cacao_20110822:1:4728954:4730323:-1 gene:TCM_001008 transcript:EOX91977 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZF-HD homeobox protein, putative MDFEDQEEQEEEMGLAPSYDSLANSSRVKMSGVEPGSITPTGQQLQQQQQRKPRYRECLKNHAVGIGGHAVDGCGEFMAAGAEGTLDALKCAACNCHRNFHRKEPELGSPNSVPTTDLYLHHHQPPQFTPYFRAPAGYLHLAGQQRPLALPSTSGGGGGHSRDDQEDVSNQGSSRKRFRTKFTQEQKDKMLGLAESLGWRIQKHDEAVVQQFCNENGVKRHVLKVWMHNNKHTLDAVASSKVETCNAMQCKRLENGREL >EOX93860 pep chromosome:Theobroma_cacao_20110822:1:16838965:16841904:-1 gene:TCM_002839 transcript:EOX93860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nam protein 1, putative MNIVKGFRFRPTDEELIEYLQDKTFDRDSLVQVIAEVHDICELEPWELPERSAFQTGDRLWYFMYQPKYKYRNSKRISRTTLEGYWKPTGNARKIINHHTGEEIGSKKTLVFYKGQCNDKNKNRTCWVMHEYELTAAKPTHSDHKTFNLCKLKKKGDISSSTSEVGQSSQHSLSNLEHVASNAMSEDLLDLKGSSAPEASNGSVGIRTQSSSVETYAGERSHQNNIINEDKGSNLSSNSINHVAEEVIPQGQLHLKALSTEPNGPHDNNKVQNLYSTTKQDDESCNSVLTNNDETDPIETSNQHNAAVTVESFEVPFNLDYLAHEDLIPTDLLYNALQELLEEPEATNNSNWIQNQHITDIGEDGELSNSGFADNNEVSLQEGSRHQSLAAENKGFRLPCIGVMESPIAMEKSRKRPRH >EOX91021 pep chromosome:Theobroma_cacao_20110822:1:1372567:1375597:1 gene:TCM_000334 transcript:EOX91021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MTDTSKDPFLGDDEVDLDDIENERLAAKGTRSLSEIYNRCNVAISEPASYTEAATDKNWVNAMNNEISMIQKNITWMLVDRLKRKNIISVKWIFRIKLNPNGSVNKYKARFVVKGYAQVYGEDYIETFAAVARHDTIKMLIALSTREEWSIYCLDVKSAFLNGYLLEDIFIKQPEGYVEEGFEGKVCKLIKALFDLKQAPRA >EOX95866 pep chromosome:Theobroma_cacao_20110822:1:35806148:35807258:-1 gene:TCM_005264 transcript:EOX95866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSGMRLMVSLNMSMNSLPLSDVLLTSFKELLPSKKELDQISAFLYDRVYGLGSQKGLAFICPNDGGRRNEGLKLNDE >EOX96012 pep chromosome:Theobroma_cacao_20110822:1:36333172:36336735:1 gene:TCM_005370 transcript:EOX96012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein MAEEVKKVEAETPAAPPPVAEAPPPKAVSEEKTAVRPPPTEEKPDDSKALAVVEKAPEPAPKKISGGSHDRDIALAEVEKEKRLSFVRAWEDSEKTKAENKAQKKLSSIVAWENSKKAALEAKLRKIEEQLEKKKAEYAENMKNKVALLHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGQTPKKLLGCF >EOX96766 pep chromosome:Theobroma_cacao_20110822:1:38917968:38921055:1 gene:TCM_005943 transcript:EOX96766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emsy N Terminus/ plant Tudor-like domains-containing protein isoform 5 MLNAGQAVHDPVPSPTVSASHKKQKITQSVPSQSFGGPSPPFHPQAVAPSHQPSSSAAKRGPITGSKGKKHKPSMQYPSTGPAGRGQVVNRVSSGTALVSEPAEGATFDPLIGKKVRTRWPDDNNFYEAVITDYNSVEGRHALVYDIGTANETWEWVNLSEISPEDIQWESEVPGIPHRGVYGGPGHGMNRSVGRDGVPGAGRGRGFAKGPSRKDFLPSQNGIGKKALDDIQILHTDTLIKEVERVFGTNHPDPLEIEKAKKVLKEHEQSLIDAIAKLTDISDGESDEGGLQFGQPMDRT >EOX96768 pep chromosome:Theobroma_cacao_20110822:1:38917805:38921055:1 gene:TCM_005943 transcript:EOX96768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emsy N Terminus/ plant Tudor-like domains-containing protein isoform 5 HQLEQEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLGRVNADDVIRRIREWRQTGALQPSMLNAGQAVHDPVPSPTVSASHKKQKITQSVPSQSFGGPSPPFHPQAVAPSHQPSSSAAKRGPITGSKGKKHKPSLPGAPSMKSMQYPSTGPAGRGQVVNRVSSGTALVSEPAEGATFDPLIGKKVRTRWPDDNNFYEAVITDYNSVGGFAKGPSRKDFLPSQNGIGKKALDDIQILHTDTLIKEVERVFGTNHPDPLEIEKAKKVLKEHEQSLIDAIAKLTDISDGESDEGGLQFGQPMDRT >EOX96767 pep chromosome:Theobroma_cacao_20110822:1:38917968:38921056:1 gene:TCM_005943 transcript:EOX96767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emsy N Terminus/ plant Tudor-like domains-containing protein isoform 5 MLNAGQAVHDPVPSPTVSASHKKQKITQSVPSQSFGGPSPPFHPQAVAPSHQPSSSAAKRGPITGSKGKKHKPSLPGAPSMKSMQYPSTGPAGRGQVVNRVSSGTALVSEPAEGATFDPLIGKKVRTRWPDDNNFYEAVITDYNSVEGRHALVYDIGTANETWEWVNLSEISPEDIQWESEVPGIPHRGVYGGPGHGMNRSVGRDGVPGAGRGRGFAKGPSRKDFLPSQNGIGKKALDDIQILHTDTLIKEVERVFGTNHPDPLEIEKAKKVLKEHEQSLIDAIAKLTDISDGESALSSVIRTLKLGSKRNGSSTHFALGSL >EOX96769 pep chromosome:Theobroma_cacao_20110822:1:38917805:38921055:1 gene:TCM_005943 transcript:EOX96769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emsy N Terminus/ plant Tudor-like domains-containing protein isoform 5 HQLEQEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLGRVNADDVIRRIREWRQTGALQPSMLNAGQAVHDPVPSPTVSASHKKQKITQSVPSQSFGGPSPPFHPQAVAPSHQPSSSAAKRGPITGSKGKKHKPSMQYPSTGPAGRGQVVNRVSSGTALVSEPAEGATFDPLIGKKVRTRWPDDNNFYEAVITDYNSVGGFAKGPSRKDFLPSQNGIGKKALDDIQILHTDTLIKEVERVFGTNHPDPLEIEKAKKVLKEHEQSLIDAIAKLTDISDGESDEGGLQFGQPMDRT >EOX96765 pep chromosome:Theobroma_cacao_20110822:1:38915438:38920944:1 gene:TCM_005943 transcript:EOX96765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emsy N Terminus/ plant Tudor-like domains-containing protein isoform 5 MDYEPYDSSGTDDDLPPSHQNRIPRTGRIAGNGRSAVASVPYPRIYGETDMEAQIHQLEQEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLGRVNADDVIRRIREWRQTGALQPSMLNAGQAVHDPVPSPTVSASHKKQKITQSVPSQSFGGPSPPFHPQAVAPSHQPSSSAAKRGPITGSKGKKHKPSLPGAPSMKSMQYPSTGPAGRGQVVNRVSSGTALVSEPAEGATFDPLIGKKVRTRWPDDNNFYEAVITDYNSVEGRHALVYDIGTANETWEWVNLSEISPEDIQWESEVPGIPHRGVYGGPGHGMNRSVGRDGVPGAGRGRGFAKGPSRKDFLPSQNGIGKKALDDIQILHTDTLIKEVERVFGTNHPDPLEIEKAKKVLKEHEQSLIDAIAKLTDISDGESDEGGLQFGQPMDRT >EOX93807 pep chromosome:Theobroma_cacao_20110822:1:16044621:16047170:1 gene:TCM_002745 transcript:EOX93807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLKGRKSIQIEIGCGLVDGVLHQIQEGHRQQQHQRTAAYLWRSQAQTPLKRLSALFQTGSFFRFFHSCFFLRFLETGN >EOX96358 pep chromosome:Theobroma_cacao_20110822:1:37478552:37480220:1 gene:TCM_005617 transcript:EOX96358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein isoform 1 MTLVAVTLSSPLSLATKLKRRPHPKITCSSVTTQSQSAKQDLLNLISDQDRGLISQRDPAKRDAIIKAIDAMAILGRDTVTTGDSLSATWRLLWTTEKEQLFIIEKAHLLGTRAGDVLQVIDVEKKTLNNVITFPPDGVFFVRSSIEVTSSQRVNFKFTSAVLRGKSWEIPLPPFGQGWFETVYLDDDFRVVKDIRDDYLVVERAPYNWKE >EOX96359 pep chromosome:Theobroma_cacao_20110822:1:37478236:37480275:1 gene:TCM_005617 transcript:EOX96359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein isoform 1 MTLVAVTLSSPLSLATKLKRRPHPKITCSSVTTQSQSAKQDLLNLISDQDRGLISQRDPAKRDAIIKAIDAMAILGRDTVTTGDSLSATWRLLWTTEKEQLFIIEKAHLLGTRAGDVLQVIDVEKKTLNNVITFPPDGVFFVRSSIEVTSSQRVNFKFTSAVLRGKSWEIPLPPFGQGWFETVYLDDDFRVVKDIRDDYLVVERAPYNWKE >EOX95615 pep chromosome:Theobroma_cacao_20110822:1:34888085:34892724:-1 gene:TCM_005065 transcript:EOX95615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase activating protein with PAK-box/P21-Rho-binding domain MTGLFRSKSCGLVGLTEFNDAPPSPFFHQTKTNNEEANEEEEEEEFEEDEEEENDSDGNPIATTPFISPTSRFRGSEGRNSNSTSKDNNQLPFLDILAALLRKSLVTCSVDTNDVSSMDISWPTEVRHVSHVTFDRFNGFLGLPTELEPDVPRRVPSASASVFGVSAKSMQCSYDDRGNSVPTILLMMQKHLYAEGGLKAEGIFRINAENSHEEYVRDKLNKGVVPHGIDVHCLAGLIKAWLRELPSGVLDSLTPEQVVHCNTEDDCTELVKLLPPTEAALLDWAINLMADVVQHEQFNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLCEREELAAKDRLLPSCSDSPTDITDTQNATANGGVFREQALDACASKEPATAKFLRAATLSRLECGPEEELWSFRKSDGEEEFKSLSDNSTPNACEMGTVENECRGGYDNGDRLSLRKGVRRLCRHPVFQLSKSTKKTRNLGIVNTRGGGGEAWA >EOX92917 pep chromosome:Theobroma_cacao_20110822:1:9411493:9413447:1 gene:TCM_001780 transcript:EOX92917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVQVHRNHFSHRVCDQEYGRRKGNSLIVEALFCWKKSFSEYYSKRLGSDSKIPIIDHIIYFRVKFESYISTPEYKRKRQFSTSKAIGD >EOX94480 pep chromosome:Theobroma_cacao_20110822:1:29003506:29007434:-1 gene:TCM_004074 transcript:EOX94480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MKKASLSLLSNFNGRGFRTIVPLHYQNCTSDNSPSSSSSSLHSTARRLQTCGKIHGLIPRTHQFQTNPSRNLDTLVEKPTQSSSRQRKLREKSDLEEAFESAKTAEEMLRAFKEMEACFHERELGLASLKVGLKLDQEGDDPEKALSFADRALKALDQDGKPSLLVAMALQLMGSVNYSLKRFNDSLGYLNRANRLLNRLEEEGVASVEDIRPVLHAVQLELGNVKTAMGRREEALGNLKKALEIKEMTLEKDSKELGVAYRELAEAYVSVLDFKEALPFGLKALVIHRKELGHNSVEVAHDRRILGVIYTGMEEHEKALEQNELSQKVLKNWGLSSELLQAEIDAANMQIALGKYDEAINTLKGVVQQTEKDSENRALVFISMGKALCNQEKFADAKRCLEIACGILDKKETVSPIEVAEAYSEISMQYETMNEFEIAISLLKRTLALLEKQPQEQHSEGSVSARIGWLLLLKGEVPQAIPYLESAAERLKESFGSKHFGVGYIYNNLGAAYLELDRPQSAAQMFAVAKDIMDVSLGPNHADSIEACQNLSKAYGAMGSYALAMEFQQRVIDAWEGHGSSAQDELKEAQRLLEELKTKARGTSTNRLPTKALPLPQNSLASKFSIPGVPVDQNSASSI >EOX90903 pep chromosome:Theobroma_cacao_20110822:1:993248:997807:1 gene:TCM_000245 transcript:EOX90903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase S28 family protein MRLSEAVASVWFLFLVALSGFAHGFVTRRALLDRLSGNSNYLTTKELWFDQTLDHYSPYDHRQFKQRYYEFLDYFQVPDGPIFLKLCGESSCNGIANDYISILAKKFGAAVVSLEHRYYGKSTPFKSHTTENLRYLSSKQSLFDLAIFRQWYQESLNLKLNKTDAENPWFVFGISYSGALSAWFRLKFPHLTCGSLASSAVVLAVYNFTEFDKQIGESAGPECKAALQETTQLVDQRLPSNGKELKTLFGAAELEIDGDFLYFLADAAVIAFQYGNPDKLCTPLVEAKKAGEDLVAAYAKYVKEYYVGSFGVSVETYNQKHLKNTAVTEGSSDRLWWFQVCTEVAYFQVAPSNDSIRSPKVDTKYHLDLCKNVFGEGIYPEVDVTNIYYGGTKIAGSKIIFTNGSQDPWRHASKQTSSPDMPSYIITCHNCGHGTDMRGCPQSPLSIEGNAQNCSSPDAVHKVRQQIIENMDLWLSQCKGTGRTYM >EOX91126 pep chromosome:Theobroma_cacao_20110822:1:1681821:1686998:-1 gene:TCM_000407 transcript:EOX91126 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1 small nuclear ribonucleoprotein 70 kDa MGDYNDAFMRNQNAAVQARVKPPQNRANIQQLKLIGQSHPTGLTANLLKLFEPRPPLEFKPPPEKRKCPPYTGMAQFVSHFAEPGDAEYAPPVQEAETPAQRRARIHKLRLEKGVEKAAEELKNYDPNNDPNISGDPYKTLFVARLNYETSESRMKREFESYGPIKRVRLITDKSTNKPRGYAFIEYMHTRDMKAAYKQADGRKIEGRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGEDVNQREQLQSGPSRSEEPRIREDRHGDRDREKSRERGREREREREKSRERSHDRPRDRDREDRHHRDRERTRDRDRDRERDRGRDRDRARDRARDRGREYERDRERDRDRERERDRDRDYDVGDYDRDRGRSRDRESDYDRVESKHDRDRHSERDYDHGEQDEDRGWYEEHEHGHRRSDLDDQHYEHYQHHRGRGEYDLDAHGGHDRYDQYADHDRDRYDAVEEDDYR >EOX91925 pep chromosome:Theobroma_cacao_20110822:1:4497512:4502505:-1 gene:TCM_000974 transcript:EOX91925 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor AIL6 MAPASNWLSFSLSPMEMLRSSSEPQFVSYEGSSAAAVSSPHYLIDNFYANGWTNPKHQVFFTEGEENQCKEAHPPAMTDESPILTSFHQQAPKLEDFLGDSSSIVRYSDSQTETQDSSLTQIYDHSGSAYFNEHQDLKAITGFQAFSTNSGSEVDDSASMGRTQLTAVEFPGHSIESTGTELGFTNRPTAGALSLGVNQSCENNNNNNTNDNNNKAIVSVDSDCSKKIADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVYLGGYDKEEKAARAYDLAALKYWGPTATTNFPISNYTKELEEMKHVTKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGVNAVTNFEMNRYDVEAIAKSSLPIGGAAKRLKLSLESEQKPIVNHEQQPQCSSNSNNISFAPMQPISAIPCGIPFDTAAAAAFYQQNLYHQLQAANIGISDPPGSSSAMATQLNVMQQPAEFFLWPHQTY >EOX95291 pep chromosome:Theobroma_cacao_20110822:1:33661607:33665620:-1 gene:TCM_004829 transcript:EOX95291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 1 MGRIKPQALLQQSKKKKGPARISVMTIIMSSLIVVLTLFFLYATYRHWSQRSRFQIENKQSVLEGDNSFMDPKKSDLPGYAILETAKGSITVELFKDSSPEVVDQFLDLCQRGHFDGMLFRHVIKHYVIQAGDSDKLGAAEDWTLKGKHYSQLDTSLKHEAFMLGTSKAKHDKRGFELFITTAPIPDLNEKLIVFGKVVKGEDVVQEIEEVDTDEHFRPKSNIGIHRVTLKQSI >EOX95290 pep chromosome:Theobroma_cacao_20110822:1:33661576:33665570:-1 gene:TCM_004829 transcript:EOX95290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 1 MGRIKPQALLQQSKKKKGPARISVMTIIMSSLIVVLTLFFLYATYRHWSQRSRFQIENKQSVLEGDNSFMDPKKSDLPGYAILETAKGSITVELFKDSSPEVVDQFLDLCQRGHFDGMLFRHVIKHYVIQAGDSDKLGAAEDWTLKGKHYSQLDTSLKHEAFMLGTSKAKHDKRGFELFITTAPIPDLNEKLIVFGKVVKGEDVVQEIEEVDTDEHFRPKSNIGIHRVTLKQSI >EOX95292 pep chromosome:Theobroma_cacao_20110822:1:33661881:33664862:-1 gene:TCM_004829 transcript:EOX95292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 1 MSSLIVVLTLFFLYATYRHWSQRSRFQIENKQSVLEGDNSFMDPKKSDLPGYAILETAKGSITVELFKDSSPEVVDQFLDLCQRGHFDGMLFRHVIKHYVIQAGDSDKLGAAEDWTLKGKHYSQLDTSLKHEAFMLGTSKAKHDKRGFELFITTAPIPDLNEKLIVFGKVVKGEDVVQVTSKLQFLSM >EOX91089 pep chromosome:Theobroma_cacao_20110822:1:1560058:1563860:-1 gene:TCM_000381 transcript:EOX91089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf-like protein isoform 1 MAAGESSFKLILGSSSMARQRILAEMGYEFTIMTADIDEKSIRKEKPEDLVTALAEAKADAIISMLQNTGIIEEDAHTTLLITADTVVVYKGMIREKPSSEEEAREYIKGYSGGHAAVVGSVLVTNLRTGASKGGWESAEVYFHDIPDEVINNLIDEGIPFKVAGGLMLEHPLTLPFVEAVIGATDTVMGLSKSLTEKLIQEALFLQSSPPGIPNVS >EOX91091 pep chromosome:Theobroma_cacao_20110822:1:1561231:1563832:-1 gene:TCM_000381 transcript:EOX91091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf-like protein isoform 1 MAAGESSFKLILGSSSMARQRILAEMGYEFTIMTADIDEKSIRKEKPEDLVTALAEAKVVVYKGMIREKPSSEEEAREYIKGYSGGHAAVVGSVLVTNLRTGASKGGWESAEE >EOX91092 pep chromosome:Theobroma_cacao_20110822:1:1560705:1564041:-1 gene:TCM_000381 transcript:EOX91092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf-like protein isoform 1 MAAGESSFKLILGSSSMARQRILAEMGYEFTIMTADIDEKSIRKEKPEDLVTALAEAKVVVYKGMIREKPSSEEEAREYIKGLFNGLQCDVPTTRIRWI >EOX91090 pep chromosome:Theobroma_cacao_20110822:1:1559830:1564041:-1 gene:TCM_000381 transcript:EOX91090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf-like protein isoform 1 MAAGESSFKLILGSSSMARQRILAEMGYEFTIMTADIDEKSIRKEKPEDLVTALAEAKVVVYKGMIREKPSSEEEAREYIKGYSGGHAAVVGSVLVTNLRTGASKGGWESAEVYFHDIPDEVINNLIDEGIPFKVAGGLMLEHPLTLPFVEAVIGATDTVMGLSKSLTEKLIQEALFLQSSPPGIPNVS >EOX96526 pep chromosome:Theobroma_cacao_20110822:1:38136486:38137305:1 gene:TCM_005762 transcript:EOX96526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRKCNRPLFQMHRQMIAMLVVLSSSVSCHTPPKRLTPAWKVMAAIRVATTKRALWVSALFFSLSSFLNLHCTENNDTSP >EOX90766 pep chromosome:Theobroma_cacao_20110822:1:575064:579606:1 gene:TCM_000144 transcript:EOX90766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative isoform 1 MSELSSYSCVLLLVVLGLSAGSCCYGFGTFGFDIHHRYSDPVKDFLTVDELPAKGSLEYYSAMVHRDKIIKGRRLATANDQTPVTFLDGNETYRLSGLGFLYYANVSVGSPALSFLVALDTGSDLFWLPCDCSSCVQGLSTADGQTIDFNIYSPNTSSTSSKVPCSSDMCEQQKRCSSSQSNCPYQILYLSNGTSSTGVLVEDVLHLTTDEDKTKAVQAKITFGCGKVQTGSFLNGAAPNGLFGLGMDNISVPSTLANENITSNSFSMCFGRDGIGRITFGDRGSSYQGETPFNLRKSHPTYNVSITQINVGGNAGDLDFSAVFDSGTSFTYLNDPAYTFISESFNNMAIEKRHTSDSSDLPFDYCYDLSANQTNFTYPVVNLTMKGGDSFFVDDPIVVVSLKVKVHSGDLYCLGVVKSDDVNIIGQNFMTGYRIVFDREKMVLGWNPSDCYDIEAKTLPVRPPTAVPPAVAVNPEATAGNGNTSHISGASPPMANQSPKMKTLSYALIVALIPFFALI >EOX90767 pep chromosome:Theobroma_cacao_20110822:1:575398:579451:1 gene:TCM_000144 transcript:EOX90767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative isoform 1 MSELSSYSCVLLLVVLGLSAGSCCYGFGTFGFDIHHRYSDPVKDFLTVDELPAKGSLEYYSAMVHRDKIIKGRRLATANDQTPVTFLDGNETYRLSGLGLQKRCSSSQSNCPYQILYLSNGTSSTGVLVEDVLHLTTDEDKTKAVQAKITFGCGKVQTGSFLNGAAPNGLFGLGMDNISVPSTLANENITSNSFSMCFGRDGIGRITFGDRGSSYQGETPFNLRKSHPTYNVSITQINVGGNAGDLDFSAVFDSGTSFTYLNDPAYTFISENFMTGYRIVFDREKMVLGWNPSDCYDIEAKTLPVRPPTAVPPAVAVNPEATAGNGNTSHISGASPPMANQSPKMKTLSYALIVALIPFFALI >EOX94079 pep chromosome:Theobroma_cacao_20110822:1:20049192:20051966:-1 gene:TCM_003171 transcript:EOX94079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDQANWVIEFNGKLESMADAETEKEHWMKRSIYRIPACITDLNKKAYMPQVVSLGPYHHGEVHLIPMEEHKQRALLHFLRRSRKPLEMFVHSLAEEVEKLKESYDLLDPKWQDDDKFLQLMILDGCFMLEILRSATHTLEDYAQNDPIFSNHGKLHIMPFIKRDMLMLENQLPMQVLEKLVAVDSNRTKDEEFVNKLILKFCSPNTPVSCLGSCLHVLDVYRKSLLQDVPGRPRRKRHRKPRSTHREDGDDIIRSAMELNEAGIRFKKCKTVSLKDITFHGGILKLPVIIVDDATESMFLNLIAFERLHVGAGNEVTSYIFFMDNIIDSQKDVALLHSTGIIQNALGSDKAVANLFNSLSKDITLDPDSSLDEVHKKVNRYCKKAWNEWRANLIHTYFRNPWAILSLIGAVFLFALTIIQTIYSVYPYYHDSSPPSCTTCPPPIAPPLPRPPIAPPLPRPPIAPPLPSPKQKVPLKSNQTHH >EOX94130 pep chromosome:Theobroma_cacao_20110822:1:22265906:22276670:-1 gene:TCM_003365 transcript:EOX94130 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MPPKTRAASRRAGEQDAPIEMADRPRASTQRGRGRRGRVTRPVGLDTPVSRQEEGQSSSDVDRHPARGITIEDLAAGLQGVNRVVEMMATRMEDIQRVVEGRPTVQESPSSQGQADHQHHEEERGHLDISLPDFLKLKPPTFSGSDASEKPQVFLDKMEKICKALGCSSVRSVELAAFQLEDVSQEWYSSLCRGRPTNATPLAWSEFSVAFLDRFLPLSVRNARAREFETLVQTSSMTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVEPLFRAVASRDFTTYSAVVDRAQRIEMRTSESRAARDRAKRGKTEGYQGRRDFSSGGSSSSRQGPQRDSWLPQQGSDTPGANIRVGQRTFSSRRQQDSRQSSQVIRSCDTCGRRQTSSAPSVAVSSGREVGGSRGRGAGTSSQGRPSGSGHQSSIGRGQARVFALTQQEAQTSNAVVSGILSVCNMNARVLFDPGATHSFISPCFASRLGRGRVRREEQLMVSTPLKEIFVAEWEYESCVVRVKDKDTSVNLVVLDTLDFDVILGMDWLSPCHASVDCYHKLVRFDFPGEPLFSIQGDRSNAPTNLISVISARRLLRQGCIGYLAVVKDSQAKIGDVTQVSVVKEFVDVFPEELPGLPPEREVEFCIDLIPDTRPISIPPYRMAPAELKELKDQLEDLLDKGFIRPSHLKIVLQILREHRLYAKFSKCEFWLESVAFLGHVVSKEGIRVDTKKIEAVEKWPRPTSVTEIRSFVGLAGYYRRFVKDFSKIVAPLTKLTRKDTKFEWSDACENSFEKLKACLTTAPVLSLPQGTGGYTVFCDASRVGLGCVLMQHGKVIAYASRQLKRHEQNYPIHDLEMATIVFALKIWRHYLYGETCEIYTDHKSLKYIFQQRDLNLRQRRWMELLKDYDCTILYHPGKANVVADVLSRKSMGSLAHISIGRRSLVREIHSLGDIGVRLEVAETNALLAHFRVRPILMDKIKEAQSKDEFVIKALEDPQGRKGKMFTKGTDGVLRYGTRLYVLDGDGLRREILEEAHMAAYVVHPGATKMYQDLKEVYWWEGLKRDVAEFVSKCLVCQQVKAEHQKPAGLLQPLPVPKWKWEHIAMDFVTGFPRTSGGYDSIWIVVDRLTKSAHFLPVKTTYGAAQYARVYVDEIVRLHGIPISITLEDMLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRICRSPIGWLEVGERKLFGPELVQDATEKIHMIRQKMLTAQSREKSYADNRRRDLEFQVGDHVFLKVSPTKGVMRFGKKGKLNPRYIGPFEILEKVGAVAYRLALPPDLSNIHPVFHVSMLRKYNPDPSHVIRYETIQSQNDLTYEEQPVAILDRQVKKLRSKDVALVKVLWRNHTSEEVTWEAEDEMRTKHPHLFDM >EOX94623 pep chromosome:Theobroma_cacao_20110822:1:30540141:30541061:1 gene:TCM_004257 transcript:EOX94623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHGAIRRVWSADPIHQCCGSNIEVENARKLRRMAITWYYYYQLGVVMTGDFCRQEITRKLRFKFQLQLL >EOX92961 pep chromosome:Theobroma_cacao_20110822:1:9600982:9616538:1 gene:TCM_001821 transcript:EOX92961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 1, putative MALITSNADRNPARLNISFRISPLSTGYHEPEMWGIEGGEIIKSHLLLFFALPVLVAAQTANISLGSFLVASDDSSPWHSPSKEFAFGFRPVGDQNLFLLAIWFDTIPDKTIVWYANEGKPAPEGSKLELGVDGQFTLTTPQGEEIWKPYSAVYKAAYAAMLNSGNFIIADNESKHIWESFRNPTDTILPTQIMERGGLLSSRRTENSYEKGRFQLRLLPDGNLVLNPIALPTEKAYDAYYISVTYDPADDTNSGFQLVFNESGYLYIVRRNGNIKNLTSGSISSPQDFYYRATLDVDGVFTQNAHPKSPTKGNIWVQSWTPVWFEPKDICSDIKGDLGGGVCGFNSYCTLQSNGRPDCQCLPGFSLLDQDDKFSGCKQDNVQRCDPTTPNPEELYEITVLSNLVWRTSANYEELQPSNEVNCRDSCISDCNCVVAITYSGSCWKKKLPLSSGKVDISTYGKVFIKIPKANHTSRALPSSENINTKEDQATAILVISILLGSSVFLNLLLMAASSIAFFCSYHRRQTLTEVSSILETNLRSFTFENLKKATEGYRDELGRGAFGTVYKGILSSPSSTTLMAVKKLDNLLQDGEKEFVAEARAMAMTYHKNLVRLIGFCDEGQHKLLVYEFMSNGTLASFLFGISRPDWNRRVQIAFGIARGLAYLHDECGTQIIHCDIKPQNILLDDSFTARISDFGLAKLIMNDQTRTLTAIRGTRGYVAPEWFRNMPITAKVDVYSFGVMLLEIVFCRKSLETERENEEELILV >EOX93731 pep chromosome:Theobroma_cacao_20110822:1:15465563:15471418:-1 gene:TCM_002655 transcript:EOX93731 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY domain protein MEGMDPAELRRVFQMFDRNGDGKITKKELSDSLENLGIFIPDKELSQMIEKIDVNGDGYVDIDEFGALYESIMNERDEEEDMKEAFNVFDQNGDGFITVEELRSVLASLGLKQGRTIEECKRMIKKVDVDGDGMVNFKEFKQMMKGGGFAALSSSLNHTNGLNIGAEPWAQACTKPVHSIKGQTQTKGVKKRENREMAGRILGSASVALRKPHGLKILSSYSFIFIPNKSFQITSLGDVICKAFGWQQAFVPIYDIRRFLLEGHVWIHSAPFLNASEKVVETLNHSEKDASVDNAGSGDGKVKRKKLKGKRAVVRWLKYFRWKKKKEYERMTAEEKILYKLRKAQKKEERLGTALKKIEPAESSETTHDPEILTPEEHFFFLKMGLKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLKVVVKTFSPEEVKEIAAELARLTGGIVLDIHDDNTIIMYRGKNYSQPPTEIMSPRVTLSRKKALDKSKYRDGLRAVRKYIPKLEQDLELLHAQAENRPDPMQPVQNTDNDNVDSRNISSLQFEGSNKLKEILDESKELSENESTTDSLMASDSEDLSDIFETESDTETERREERPLYLDEFDKFPVESDREPEHSEEHLCQISMGSKVPTASEKDVDSPNFDEVDRIFIRAASLLKKKRR >EOX96009 pep chromosome:Theobroma_cacao_20110822:1:36327178:36331596:-1 gene:TCM_005369 transcript:EOX96009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine deaminases,RNA binding,RNA binding,adenosine deaminases, putative isoform 4 MDAQSEVDFSSSSPSCSSYFPEKENEWGERVAEKVFALYQSLPKKGKPQGREVTVLATFLLSSPSQDLVVVALGTGTKCIGRSRLSHRGDIVNDSHAEIIARRALLRFFYAEIQRINDDLNKQGQNETRHLQAGGLENSVLELDLDGSGEIKYKLQAGWKLHLYISQLPCGDASLNLAPTSIDELGDGSQLVGLVQRKPGRGDMTLSVSCSDKIARWNVVGVQGALLSYFLQPMYLCSITVGKSPCTSEDFCLEEQLKRSLYDRIIPFSNELIKPFEINKPIFYAAPVPAKEFQHSETAQATLTCGYSICWNKSGLHEVTLGTTGRKQGTSAKGAVYPSTESSLCKKRLLEIFLSLRQECQIKCSSNEVSYRELKGWRI >EOX96010 pep chromosome:Theobroma_cacao_20110822:1:36327186:36331036:-1 gene:TCM_005369 transcript:EOX96010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine deaminases,RNA binding,RNA binding,adenosine deaminases, putative isoform 4 VNDSHAEIIARRALLRFFYAEIQRINDDLNKQGQNETRHLQAGGLENSVLELDLDGSGEIKYKLQAGWKLHLYISQLPCGDASLNLAPTSIDELGDGSQLVGLVQRKPGRGDMTLSVSCSDKIARWNVVGVQGALLSYFLQPMYLCSITVGKSPCTSEDFCLEEQLKRSLYDRIIPFSNELIKPFEINKPIFYAAPVPAKEFQHSETAQATLTCGYSICWNKSGLHEVTLGTTGRKQGTSAKGAVYPSTESSLCKKRLLEIFLSLRQECQIKCSSNEVSYRELKEGNHPSCNSCGLQG >EOX96008 pep chromosome:Theobroma_cacao_20110822:1:36328165:36331464:-1 gene:TCM_005369 transcript:EOX96008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine deaminases,RNA binding,RNA binding,adenosine deaminases, putative isoform 4 MDAQSEVDFSSSSPSCSSYFPEKENEWGERVAEKVFALYQSLPKKGKPQGREVTVLATFLLSSPSQDLVVVALGTGTKCIGRSRLSHRGDIVNDSHAEIIARRALLRFFYAEIQRINDDLNKQGQNETRHLQAGGLENSVLELDLDGSGEIKYKLQAGWKLHLYISQLPCGDASLNLAPTSIDELGDGSQLVGLVQRKPGRGDMTLSVSCSDKIARWNVVGVQGALLSYFLQPMYLCSITVGKSPCTSEDFCLEEQLKRSLYDRIIPFSNELIKPFEINKPIFYAAPVPAKEFQHSETAQATLTCGYSICWNKSGLHEVTLGTTGRKQGTSAKGAVYPSTESSLCKKRLLEIFLSLRQECQIKCSSNEVSYRELKDRAGEYNSASKLFKGRPPFHTWLLKPLNLENFSIISNEGNHPSCNSCGLQG >EOX96011 pep chromosome:Theobroma_cacao_20110822:1:36327186:36331685:-1 gene:TCM_005369 transcript:EOX96011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine deaminases,RNA binding,RNA binding,adenosine deaminases, putative isoform 4 MINFDFGQVESGYWIRVRFSHLYSGFLVPSVKLLWMLSRKLTFLLPLLLVLHIFQRKRTNGGRELEIQRINDDLNKQGQNETRHLQAGGLENSVLELDLDGSGEIKYKLQAGWKLHLYISQLPCGDASLNLAPTSIDELGDGSQLVGLVQRKPGRGDMTLSVSCSDKIARWNVVGVQGALLSYFLQPMYLCSITVGKSPCTSEDFCLEEQLKRSLYDRIIPFSNELIKPFEINKPIFYAAPVPAKEFQHSETAQATLTCGYSICWNKSGLHEVTLGTTGRKQGTSAKGAVYPSTESSLCKKRLLEIFLSLRQECQIKCSSNEVSYRELKEGNHPSCNSCGLQG >EOX96296 pep chromosome:Theobroma_cacao_20110822:1:37239413:37242784:1 gene:TCM_005571 transcript:EOX96296 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor MMKAMPQPFEEFQGKGALDFSSSTSSCSDSSLLLQNQHHQQEEKWQSNNKEGCCYVGSEPTSVLDTRRSPSPPTSSSTLSSSLGGSGGGGGGSGASTDTTGVAAIPAVSGNNNSQSVDIGTEKCGLGMEDWESVLSGSPSQEQSILTLIMGNIEDPSMGLNKILQSGAGSQDMEFNAGFGLVDQGFAFESIASGGNLVSNNDPPLAGSCSDFTRLGTVSTPTNPVFTSSPPNLLPTPLPPGAFPPQQQQLQPLEALDEKPQIFNPQVIINQNQAQFTQNPAMFLPLSYAQLQEHHLLSPPPPKRLNSGSSAPNYQVPRVPFPGSGQEFYLRRQQQQLQMLQQRPTTIGMAAAAKPKIVSDELANQQLQQAIIDLLIQAAELIETGNLVLAQGILARLNHQLSPVGKPFIRAAFYFKEALQLLLRLNTTNNTSALSTYNMIFKIGAYKSFSEISPIVQFANFTCNQALLEVFEGCSRIHIIDFDIGYGGQWASLMQELVLRNGGAPSLKITAFSSPSTHDELELGFTQDNLKHFASEINMAFEIERMSLEALSSGSWPLPLHLPENEAIAVNLPIGCFSNYPSTLPLVLRFVKQLSPKIVVSLDRGCDRTDVSFPHHVVHALQSYSGLLESLDAVNMNLDALQKIERFLLQPGIEKIVLGRHRSLERTPPWRSLFVQSGFSPLTFSNFTESQAECLVQRTPISGFHVEKRQSSLVLCWQRRELIAASAWRC >EOX94765 pep chromosome:Theobroma_cacao_20110822:1:31281326:31282820:1 gene:TCM_004379 transcript:EOX94765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein, putative MVSAKNAMFMFTIIAPFAICFGTVYRVGDASGWHPMFDYQKWASSKKFCVADTIRFEYNAIFHNVKEVTEPNYRACNATNPIATYYTGNDSFTLKQPGHRYFLCGFPNHCKYGQKVDIYVHEVSSPSPAPANSLPLPPSPAAENPATSSVRTNSASSIHISIVQIAIKSLAMVVLAYFV >EOX94445 pep chromosome:Theobroma_cacao_20110822:1:28723762:28727092:1 gene:TCM_004029 transcript:EOX94445 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS/GAT family protein MDKMKLAQWGERLKTGGAQMGRMVSGKMKEILQGPTPESKMVDEATLETLEEPNWGMNMRICAMINSEEFNGTEIVRAIKKKISGKNVVSQRLSLDLLEACTMNCEKVASEVASEKLLEEMVKMIENPHTDNGNRERALQLIRAWGQSEDLAYLPVFHQTYMSLKGRSSHLPVDDENSPLHYTLESYMEEPLPPPENYPVNNTGLHGSDLSTFAYNNGSLSVEQKKELFEVTRNSLEVLSSMLKTDTEPKPTKDELTESMLEKCKQSQPVIQMIIESTTDDEGTLFEALNLNDELQQVISKFEELEAGLKPGNSGTTEANVSAPVETRNKPVIGGSSWTHDETKMGAFPKGDSSSTECSSDKKTLSEK >EOX92992 pep chromosome:Theobroma_cacao_20110822:1:9825019:9827671:-1 gene:TCM_001855 transcript:EOX92992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protodermal factor 1.3, putative MHLLSSTNLMLLHLLLFTSPCPPFIFSPRSSERSQLPIFILFLLHQTEMERERSKLVSLFMWVVVAGLLSQNLVIPVTSTSFGEQKNYYAAPDPPTETPPSGSHGSGGSYGGTTPSHGTPSHGGGYHPTPTPSTPSGGTCGTPPHEPSTPSRPSHPPSHGGYYHSPPTYGGGSPPTYGGGSPPTYGGGSPPTYGGGSPPTPVTVSPPTTPPIDPGTPSIPTPPFFPAPTPPIGGTCNFWRSHPALIWGVLGWWGTLGSAFGVTSIPGFGTTMSLPQALSNTHTDGFGALYREGTASLLNSMVNNRFSFSTKQVRESFVAALGSNSAAAAQAHLFKLANEGHLKPRA >EOX96212 pep chromosome:Theobroma_cacao_20110822:1:37002186:37005216:1 gene:TCM_005510 transcript:EOX96212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase zeta-class 1 isoform 1 MAPKDGGEASSKLVLYSYWQSSCSWRVRFALNLKGLSYEYKAVNLAKGEQFTPEFEKLNPLHFVPVLVDGDVVVSDSYAILMYLEEKYPQRTLLPADPQQKALNLQKYLEEKVGLEERLLFVQTNIEKGFLALEKLLKDFVGKYATGEEVYMADVFMAPQIAVATERFKIDMSKFPTLSRIYESQRALPEFLAASPERQPDAVH >EOX96210 pep chromosome:Theobroma_cacao_20110822:1:37001996:37005330:1 gene:TCM_005510 transcript:EOX96210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase zeta-class 1 isoform 1 MAPKDGGEASSKLVLYSYWQSSCSWRVRFALNLKGLSYEYKAVNLAKGEQFTPEFEKLNPLHFVPVLVDGDVVVSDSYAILMYLEEKYPQRTLLPADPQQKALNLQVASIISSSIQPLLMLSILKYLEEKVGLEERLLFVQTNIEKGFLALEKLLKDFVGKYATGEEVYMADVFMAPQIAVATERFKIDMSKFPTLSRIYESQRALPEFLAASPERQPDAVH >EOX96211 pep chromosome:Theobroma_cacao_20110822:1:37002212:37004861:1 gene:TCM_005510 transcript:EOX96211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase zeta-class 1 isoform 1 MAPKDGGEASSKLVLYSYWQSSCSWRVRFALNLKGLSYEYKAVNLAKGEQFTPEFEKLNPLHFVPVLVDGDVVVSDSYAILMYLEEKYPQRTLLPADPQQKALNLQVASIISSSIQPLLMLSILKYLEEKVGLEERLLFVQTNIEKGFLALEKLLKDFVGKYATGEEVYMGFVICRLMYLWHLRLLWLQNGLRLTCPSSLL >EOX94439 pep chromosome:Theobroma_cacao_20110822:1:28682231:28685251:-1 gene:TCM_004022 transcript:EOX94439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho termination factor, putative MSHALHLVSNNIPGYGTTECRYLSCSGISGRAVTLSPGSSRRDHRICSQVKIRSLKCSSKEISFVCRAGSSGHRRNPDFSRQRHGFRGRNRQNEDRENFESIDESEMLSSKNGPLLSLSGSTKFQATAVPGPREKEIVELFRKVQTQLRERAVAKEAKKTEASQGKGKESETVDSLLKLLRKHSVEQGKRKNSIGSSRDLSLDQPEVNGSSNEDKGSSFFDSNDRVRSEAKEPYAPTLSRPASNFRRKSPVPQMKYQPIYSSEETVNSVEHGNSDGKRNLSSAKSSPAPDHVPELEEDSESETEPELEPESIYQDPDALDEFSEDESSDIDEEDREQQIGHEDLSALKLPELRALAKSRGLKGFSKMKKADLVELLSSSSF >EOX92735 pep chromosome:Theobroma_cacao_20110822:1:8317672:8324804:-1 gene:TCM_001623 transcript:EOX92735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein isoform 2 MWRNSLRKTKFISGELEFLLWRRGLSSSGSGEPARRFAAVWGNGDYGRLGVGTLDSQWRPKPIHCSSFQDQSLKSVACGGAHTLFLTESGRVYATGLNDFGQLGSSDSMNYTPEPIEVSGLPKEIVQISAGYHHSCAITVDGKLYMWGKNSSGQLGLGKKAAKVVHVPTKVECLSGLTIKTAALGSEHTVAVTDGGEALSWGGAASGRLGHGLEPSIFGFLTSTSEYTPRLIKKLEGIKVARVAAGLLHSACIDENGSLFIFGEKVVTNLGFGGAKNATMPSMISALPYSEEVACGGYHTCVVTRGGELYTWGSNENGCLGIGYSN >EOX92734 pep chromosome:Theobroma_cacao_20110822:1:8317056:8324806:-1 gene:TCM_001623 transcript:EOX92734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein isoform 2 MWRNSLRKTKFISGELEFLLWRRGLSSSGSGEPARRFAAVWGNGDYGRLGVGTLDSQWRPKPIHCSSFQDQSLKSVACGGAHTLFLTESGRVYATGLNDFGQLGSSDSMNYTPEPIEVSGLPKEIVQISAGYHHSCAITVDGKLYMWGKNSSGQLGLGKKAAKVVHVPTKVECLSGLTIKTAALGSEHTVAVTDGGEALSWGGAASGRLGHGLEPSIFGFLTSTSEYTPRLIKKLEGIKVARVAAGLLHSACIDENGSLFIFGEKVVTNLGFGGAKNATMPSMISALPYSEEVACGGYHTCVVTRGGELYTWGSNENGCLGIGSIDVFHLPERVQGPFLKSPVCKVSCGWKHTAAISDGKVFTWGWGGSHGTFSEDGHSSGGQLGHGSDVDYIKPTMVHFGENVKALQISCGFNHTGAILEYM >EOX92733 pep chromosome:Theobroma_cacao_20110822:1:8313895:8324804:-1 gene:TCM_001623 transcript:EOX92733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein isoform 2 MWRNSLRKTKFISGELEFLLWRRGLSSSGSGEPARRFAAVWGNGDYGRLGVGTLDSQWRPKPIHCSSFQDQSLKSVACGGAHTLFLTESGRVYATGLNDFGQLGSSDSMNYTPEPIEVSGLPKEIVQISAGYHHSCAITVDGKLYMWGKNSSGQLGLGKKAAKVVHVPTKVECLSGLTIKTAALGSEHTVAVTDGGEALSWGGAASGRLGHGLEPSIFGFLTSTSEYTPRLIKKLEGIKVARVAAGLLHSACIDGIAENGSLFIFGEKVVTNLGFGGAKNATMPSMISALPYSEEVACGGYHTCVVTRGGELYTWGSNENGCLGIGSIDVFHLPERVQGPFLKSPVCKVSCGWKHTAAISDGKVFTWGWGGSHGTFSEDGHSSGGQLGHGSDVDYIKPTMVHFGENVKALQISCGFNHTGAILEYM >EOX95948 pep chromosome:Theobroma_cacao_20110822:1:36114307:36117971:-1 gene:TCM_005322 transcript:EOX95948 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 33, putative isoform 2 MLFHQQQLRGLEEVGNDMMHRIMDDCVLFCREAGVSAEKLFIQRDDVAKGIVELIHRHNIKKLVMGAAAAEHFSERMWLMSEKAQYVNDNAPLSCQIWFICREQLVHKRFETGQSSHALSPSGSGYLTSSSEAAGILDAAVFEEREENECELDRFVLLRSGEDQDSLSMPNEGSGNDQLFDQLEQALQEAETSNRKAYEESNRRVKAEMDAVRAMRQAKAFERLYNERKRETEAALAKQKEELEKMKRQRDEEHLIAIGQKSILDSQVANSDYRIKQLEDQLSSAVEQLQISQKERDELQVELENTRKVTEELLRKQAEETSSTHMQQIYPDQLSASEIHDATLDQLQICQKEKDELQVELENTRKITDEVLRKQAEDTSSTHVQQPYAEFSLSEIQEATEDFDPSFKITEGTRGSVYYRCVLRHTDVAIKVLGQNSLQDPSEFQQEVDELSKSRHPNLVTLIGACPEIWAIIYEYLPNGSLEDRLNCRNDTPPLSSQTRINIATELCSTLMFLHSSKPQSVVHGNLKPGNILLDANFGCKLSDFGARRALSLLEDSSNARPYLDPDFRSTGRLSHSLDLYPFGIILLQLLSGRSTQGIAETAQNALQNLNSFLDSSAGNWPYQVAQLTRLAIRCCDINLSRRPDLASDVLRVLETMRVSSGATLSFLSESDEDNREPPSYFICPILQEVMDDPHVAADGYTYEASALRGWLADHDSSPMTNLRLSHRNLVPNHALRSAIREWQQPH >EOX95947 pep chromosome:Theobroma_cacao_20110822:1:36114038:36118459:-1 gene:TCM_005322 transcript:EOX95947 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 33, putative isoform 2 MASDGTIYVAVGEFDESELTLFWTLHNLSPLKVCILHVHQPSKMISSRVDGNLAVMLFHQQQLRGLEEVGNDMMHRIMDDCVLFCREAGVSAEKLFIQRDDVAKGIVELIHRHNIKKLVMGAAAAEHFSERMWLMSEKAQYVNDNAPLSCQIWFICREQLVHKRFETGQSSHALSPSGSGYLTSSSEAAGILDAAVFEEREENECELDRFVLLRSGEDQDSLSMPNEGSGNDQLFDQLEQALQEAETSNRKAYEESNRRVKAEMDAVRAMRQAKAFERLYNERKRETEAALAKQKEELEKMKRQRDEEHLIAIGQKSILDSQVANSDYRIKQLEDQLSSAVEQLQISQKERDELQVELENTRKVTEELLRKQAEETSSTHMQQIYPDQLSASEIHDATLDQLQICQKEKDELQVELENTRKITDEVLRKQAEDTSSTHVQQPYAEFSLSEIQEATEDFDPSFKITEGTRGSVYYRCVLRHTDVAIKVLGQNSLQDPSEFQQEVDELSKSRHPNLVTLIGACPEIWAIIYEYLPNGSLEDRLNCRNDTPPLSSQTRINIATELCSTLMFLHSSKPQSVVHGNLKPGNILLDANFGCKLSDFGARRALSLLEDSSNARPYLDPDFRSTGRLSHSLDLYPFGIILLQLLSGRSTQGIAETAQNALQNLNSFLDSSAGNWPYQVAQLTRLAIRCCDINLSRRPDLASDVLRVLETMRVSSGATLSFLSESDEDNREPPSYFICPILQEVMDDPHVAADGYTYEASALRGWLADHDSSPMTNLRLSHRNLVPNHALRSAIREWQQPH >EOX94115 pep chromosome:Theobroma_cacao_20110822:1:21939160:21972399:1 gene:TCM_003326 transcript:EOX94115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPKTRAASRRAGEQDVPIEMADRPRASTQRGRGRRGRVTRPVGLDTPVSRQEEGQSSGDVDRHPARGITIEDLAAGLQGVNRVVEMMATRMEDIQRVVEGRPTVQESPSSQGQADHQHHEEEKGHLDISLPDFLKLKPPTFTGSDASEKPQVFLDKMEKICKALGCSSVRSVELAAFQLEDVAQECRQGPQRDSRLPQQGSDAPGANIRVGQRTFSSRRQQDSRQSSQVIRSCDTCGRRHSGRCFLTTKTCYGCGQPGHIRRDCPMAHQSPDSARGSTQPASSAPSVAVSSGREVSGSRGRGAGTSSQGRPSGFGHQSSIGRGQARVFALTQQEAQTSNAVVSGILSVCNMNARVLFDPGATHSFISPCFASRLGRGRVRREEQLVVSTPLKEIFVAEWEYESCVVRVKDKDTSVNLVVLDTLDFDGVSWSHASFNDHSQHEEKHLRGIYSYADDSCA >EOX96472 pep chromosome:Theobroma_cacao_20110822:1:37909384:37913795:-1 gene:TCM_005712 transcript:EOX96472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MERVPDSKMLPRKLPAVSSVSNARMVFGREAGLVPNLHAVLVREEVGRSNVGNSQDSETAAPVRTWKGKDSLLEQEEIMPDVIIFKGSSDSLEEGGPSAFSGASHPPEPVDTDLMRMYVPIGQKKSDPGCLMKSMSVKGPFLEDLSIRVPPKKPSPAVLSPAESLVEEPNDLGALSSPFSVPRASQNTDNSLLPPDSDEKECVWDASLPPSGNVSPHSSIDSTGVVTAMSIVNSCASTYRSDAVTSDGMLSMERNCESTKGSVRADSLESAKTSVSRASDSSGLSDDSNWSNITGSANKPHKGNDPRWKAILAIRARDGILGVSRFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAASYGVK >EOX96471 pep chromosome:Theobroma_cacao_20110822:1:37908115:37914118:-1 gene:TCM_005712 transcript:EOX96471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MERVPDSKMLPRKLPAVSSVSNARMVFGREAGLVPNLHAVLVREEVGRSNVGNSQDSETAAPVRTWKGKDSLLEQEEIMPDVIIFKGSSDSLEEGGPSAFSGASHPPEPVDTDLMRMYVPIGQKKSDPGCLMKSMSVKGPFLEDLSIRVPPKKPSPAVLSPAESLVEEPNDLGALSSPFSVPRASQNTDNSLLPPDSDEKECVWDASLPPSGNVSPHSSIDSTGVVTAMSIVNSCASTYRSDAVTSDGMLSMERNCESTKGSVRADSLESAKTSVSRASDSSGLSDDSNWSNITGSANKPHKGNDPRWKAILAIRARDGILGVSRFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIKTSASDSDPSKRGAGGAFCVQPACIEPTSDCIQPACFIPRIFPQKNKKKTRKPRVEFGLPSSTLPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFSDSPATSYASRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPMESELPGMPGKFGPVEPLGVGSSSKRMVGGTDMKSGGHEHREDCDFSSR >EOX95963 pep chromosome:Theobroma_cacao_20110822:1:36166955:36170739:-1 gene:TCM_005331 transcript:EOX95963 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO guanyl-nucleotide exchange factor 3 isoform 1 PSSIDQNDQSTTGTPGHSPLSGDSFVYCRTYSETSAFSDPVDNHSCCSEASPSHWPPTRSGAQNQAVLSRLGTKQRKSNADDKLDDQDSLDLELDMMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQNLRLEPLNPEKKALWKREMDCLLSVCDYIVEFSSKSQNLCNGTAVEIMESRQRSDIYINLPALRKLDAMLIEILDSFQDREFWYAEQGSMSSNSTRTGSFRRVVQRNDEKWWVPVPCVPPTGLSEKARKCLRHKRDCANQIHKAAMAINSGVLAEMEIPDSYMASLPKSGRASIGDPIYRFMYTTEKFSPDYLLDCLNIASEHEALELADRVEASMYTWRRKACMSHSKSSWGMVKDLMSDVDRSDKNHILAERAESLLFALKQRYPELAQTSLDTCKIQYNRDVGQAILESYSRVLEGLAFNIVAWVEDVLFVDRTIRNQE >EOX95961 pep chromosome:Theobroma_cacao_20110822:1:36167124:36171341:-1 gene:TCM_005331 transcript:EOX95961 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO guanyl-nucleotide exchange factor 3 isoform 1 MDSMSNSDENYDLGYQPSPSSIDQNDQSTTGTPGHSPLSGDSFVYCRTYSETSAFSDPVDNHSCCSEASPSHWPPTRSGAQNQAVLSRLGTKQRKSNADDKLDDQDSLDLELDMMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQNLRLEPLNPEKKALWKREMDCLLSVCDYIVEFSSKSQNLCNGTAVEIMESRQRSDIYINLPALRKLDAMLIEILDSFQDREFWYAEQGSMSSNSTRTGSFRRVVQRNDEKWWVPVPCVPPTGLSEKARKCLRHKRDCANQIHKAAMAINSGVLAEMEIPDSYMASLPKSGRASIGDPIYRFMYTTEKFSPDYLLDCLNIASEHEALELADRVEASMYTWRRKACMSHSKSSWGMVKDLMSDVDRSDKNHILAERAESLLFALKQRYPELAQTSLDTCKIQYNRDVGQAILESYSRVLEGLAFNIVAWVEDVLFVDRTIRNQE >EOX95962 pep chromosome:Theobroma_cacao_20110822:1:36168281:36171735:-1 gene:TCM_005331 transcript:EOX95962 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO guanyl-nucleotide exchange factor 3 isoform 1 MDSMSNSDENYDLGYQPSPSSIDQNDQSTTGTPGHSPLSGDSFVYCRTYSETSAFSDPVDNHSCCSEASPSHWPPTRSGAQNQAVLSRLGTKQRKSNADDKLDDQDSLDLELDMMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQNLRLEPLNPEKKALWKREMDCLLSVCDYIVEFSSKSQNLCNGTAVEIMESRQRSDIYINLPALRKLDAMLIEILDSFQDREFWYAEQGSMSSNSTRTGSFRRVVQRNDEKWWVPVPCVPPTGLSEKARKCLRHKRDCANQIHKAAMAINSGVLAEMEIPDSYMASLPKSGRASIGDPIYRFMYTTEKFSPDYLLDCLNIASEHEALELADRVEASMYTWRRKACMSHSKSSWGMVKDLMSDVDRSDKNHILAERAESLLFALKQRYPELAQTSLDTCKIQYNRDVGQAILESYSRVLEGLAFNIVAWVEDVLFVDRTIRNQE >EOX95964 pep chromosome:Theobroma_cacao_20110822:1:36168645:36171341:-1 gene:TCM_005331 transcript:EOX95964 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO guanyl-nucleotide exchange factor 3 isoform 1 MDSMSNSDENYDLGYQPSPSSIDQNDQSTTGTPGHSPLSGDSFVYCRTYSETSAFSDPVDNHSCCSEASPSHWPPTRSGAQNQAVLSRLGTKQRKSNADDKLDDQDSLDLELDMMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQNLRLEPLNPEKKALWKREMDCLLSVCDYIVEFSSKSQNLCNGTAVEIMESRQRSDIYINLPALRKLDAMLIEILDSFQDREFWYAEQGSMSSNSTRTGSFRRVVQRNDEKWWVPVPCVPPTGLSEKARKCLRHKRDCANQIHKAAMAINSGVLAEMEIPDSYMASLPKSGRASIGDPIYRFMYTTEKFSPDYLLDCLNIASEHEALELADRVEASMYTWRRKACMSHSKSSWGMVKDLMSDVDRSDKNHILAERAESLLFALKQRYPELAQTSLDTCKIQYNRVGEVRTLSEILSSINCQVF >EOX93801 pep chromosome:Theobroma_cacao_20110822:1:16000494:16003469:-1 gene:TCM_002739 transcript:EOX93801 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S8 isoform 3 MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKTAAKKEATEEGEGVAEETKKSNHVVRKLEKRQKDRKLDAHIEEQFGAGRLLACISSRPGQCGRADGYILEGKELEFYTKKIQRKKGKGAGAA >EOX93802 pep chromosome:Theobroma_cacao_20110822:1:16000892:16002347:-1 gene:TCM_002739 transcript:EOX93802 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S8 isoform 3 MILAALVRYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKTAAKKEATEVESFFIWQEGEGVAEETKKSKLDAHIEEQFGAGRLLACISSRPGQCGRADGYILEGKELEFYTKKIQRKKGKGAGAA >EOX94086 pep chromosome:Theobroma_cacao_20110822:1:20310530:20316254:1 gene:TCM_003190 transcript:EOX94086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase 4 MVGNSSWEMVTADGTRFQCSTHAAVAELCALLWALSVCYEESIFVPEVIKLHNPPKRSGFSRLIFKPTYRLTLASVFLIRSSSYLFILPILSFGYMASPQWILLLSLLFMVSGTMGAPPRRPVAVPFGRNYMPTWAFDHIKYFNEGSEIQLHLDKYTGTGFQSKGSYLFGHFSMQMKLVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKGYHDYSVLWNLDQIAFFVDSTPIRVFKNCKDLGVRFPFNQPMKIYSSLWNADDWATRGGLEKTDWSKAPFIASYMGFHIDGCEASVEAKFCATQGKRWWDQREFRDLDSYQWRRLRWVRDKFTIYNYCTDRVRYPTMPPECRRDRDV >EOX91578 pep chromosome:Theobroma_cacao_20110822:1:3160955:3171819:1 gene:TCM_000717 transcript:EOX91578 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein isoform 1 MTSITSVELNYLVFRYLQESGFTHSAFTLGYEAGINKCTIDGNLIPPGALITFVQKGLQYLEMEANLSNNDVEMDEDFSFLQPLDIITKDVNQLRQMIKEKRKSVQKDREKEKDKDKEFDREHEGERGRVREKERHEREKEREKEREKDREKEREKEHEKEREKEHAKEREKECEKEREKVERDKEREKQPEELADRDMVMDQEDKDVVKNEENGTSVGPEPMDIATTSAPASQEACEIPNSDVTILEGHTSEVCACAWSPTGSLLASGSGDSTARIWTIADGLCKSGTQNSPLNVLVLKHVKGRTNEKSKDVTTLDWNVSSVTVKLGSAEMKPVGLGNEGELRTTLSKHKGPIFSLKWNKKGDYLLTGSCDKTAIVWDVKAEEWKQQFEFHTGPTLDVDWRNNVSFATSSTDHMIYVCKIGETRPIKTFAGHQGEVNCVKWDPTGSLLASCSDDITAKIWCMKQDKYVHDLREHSKEIYAIRWSPTGPGTNNPNQQLILASASFDSTVKLWDVELGKLLYSLNGHRDPVYSVAFSPNGEYLASGSLDKSMHVWSLKEGKIVKTYTGNGGIFEVCWNKEGDKIAACFANNTLCVLDFRM >EOX91579 pep chromosome:Theobroma_cacao_20110822:1:3161380:3171816:1 gene:TCM_000717 transcript:EOX91579 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein isoform 1 MEANLSNNDVEMDEDFSFLQPLDIITKDVNQLRQMIKEKRKSVQKDREKEKDKDKEFDREHEGERGRVREKERHEREKEREKEREKDREKEREKEHEKEREKEHAKEREKECEKEREKVERDKEREKQPEELADRDMVMDQEDKDVVKNEENGTSVGPEPMDIATTSAPASQEACEIPNSDVTILEGHTSEVCACAWSPTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPSPLFLSLSLSLSLTQLFLGLGELRTTLSKHKGPIFSLKWNKKGDYLLTGSCDKTAIVWDVKAEEWKQQFEFHTGPTLDVDWRNNVSFATSSTDHMIYVCKIGETRPIKTFAGHQGEVNCVKWDPTGSLLASCSDDITAKIWCMKQDKYVHDLREHSKEIYAIRWSPTGPGTNNPNQQLILASASFDSTVKLWDVELGKLLYSLNGHRDPVYSVAFSPNGEYLASGSLDKSMHVWSLKEGKIVKTYTGNGGIFEVCWNKEGDKIAACFANNTLCVLDFRM >EOX96385 pep chromosome:Theobroma_cacao_20110822:1:37581336:37590950:1 gene:TCM_005636 transcript:EOX96385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone ubiquitination proteins group MGSTGEADRKRRHFSSISPTAVAAKKQPFLPISEEKRLDATVLQYQNQKLIQKLEAQKFERSALENKLSQLKEKQKPYDSTLKVVNKSWEALLTDLESCSAHTRESSRQDVGCAPSMEDGASSPTEDAFLSRLMETGATESSSSNNCPEQMEEDREQIASEKTRNILHNIVIAINNLWHLKDGLYAAVLNEHPKDGSCKQKASSELESEVKNLRLAIGDIHLKHRSLARELQSHRDIDAKNKVELKRIKGELESALAELQESNCKLATLRVEKDATKGAFFPVLNLGSKHVTGDKAKDKQRALQEMESTLKEMLEQASSRLTELKGLHEERIKLLQHSLNLQNTLKSVKCISSSQLYLLVRDQLEKSKSEVFQYQDLFEKLQVEKDNLAWREKELSIKNDIADVFRRSFAVADSRASHLGAEIQRQIEERKRIEAKLEEASREPGRKEIIAEFKSLLSSFPEEMSSMQSQLGKYKEAAVDIHSLRADVQSLSSVLDRKVRECENLSVKSADQVAEMHKLQAMVQDLKDSDVELKLILEMYRREFTDSRDVLEARDSEYKAWAHVQSLKSSLDEQNLELRVKTANEAEARSQQRLAAAEAEIADLRQKLEASKRDTARLSDALKSKNEENEAYLSEIESIGQAYDDMQTQNQQLLQQITERDDYNIKLVLEGVKAKQLQDALLLEKHTMEKEIQQASASLDFYEMKAARIEDQLRFFSDQAQKLAEERFQNSVSLENTQKRLSEVRISSHQARESLEDSQSRIEKSRVALTELQIEIERERFNKKRLEEELGVVKRKVLRLRAETEGSSIVERLQQELREYKEILKCSICLDRPKEVVITRCYHLFCNPCVQKITESRHRKCPVCAASFGANDVKPVYI >EOX96657 pep chromosome:Theobroma_cacao_20110822:1:38569139:38570008:-1 gene:TCM_005861 transcript:EOX96657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPYSEMAGHCEALLMGKQQKMSTVMSAQQNQESSVSNYAKEVQPHSLVESNYVKSENPFIDQNMGAVSWNQYAGTALMLCATEYHQQPYFQLPVSSPYDHFLKAAGC >EOX91088 pep chromosome:Theobroma_cacao_20110822:1:1558679:1559535:1 gene:TCM_000379 transcript:EOX91088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPAPLHASPSPPLPPRFPSSPSYSSLSFFPLIYFFLVCFVFYFQKKKQIEVSLTSVLSLFPLRKQLIFFINVSFIHQKVGKSCNLNRKPVQSCFRRFMNYKNVGISSYKKERKVEM >EOX92667 pep chromosome:Theobroma_cacao_20110822:1:8004419:8011107:-1 gene:TCM_001582 transcript:EOX92667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein isoform 3 MKMKPFKIFLIFLLFLASQTVHSWKKDEFRNCNQTPFCKRARSRKPGACTLIAHDVSISDGDLTAQLIPKAPHDQDGDQIKPLTLSLSVYQDGIMRLKIDEDPSLDPPKKRFQVPDVIIPEFEAKKLWLQSASKEKIDGNDGGFSSVVYLSDGYEAVLRHDPFEIYVREKAGNRRVVSLNSHGLFDFEQLRVKKEDEDWEERFRGHTDTRPYGPQSISFDVSFYGSDFVYGIPEHATSFALKPTRGPGVDESEPYRLFNLDVFEYVHDSPFGIYGSIPFMVSHGKSGKSSGFFWLNAAEMQIDVLANGWDAEDGLLMPTLQSRIDTFWMSEAGIVDTFFFVGPGPKDVVRQYTSVTGLPSMPQLFAIAYHQCRWNYRDEEDVENVDSKFDEHDIPYDVLWLDIEHTDGKRYFTWDKLLFPHPDEMQKKLATKGRHMVTIVDPHIKRDESFQLHKDATQRGYYVKDATGKDYDGWCWPGSSSYPDMLNPEIRSWWGGKFSYENYIGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHLGGVEHRELHNAYGYYFHMATSDGLVKRGDGKDRPFVLSRAFFAGSQRYGAVWTGDNTADWDQLRVSVPMILTLGLTGMSFSGADVGGFFGNPEPELLVRWYQLGAYYPFFRGHAHHDTKRREPWLFGERNTELMRDAIRVRYTLLPYFYSLFREANVTGVPVVRPLWMEFPSDEATFSNDEAFMVGNSLLVQGIFSERAKHASVYLPGKELWYDFRTGSAYKGGKIHKLEVSEESIPAFQRAGTILPRKDRFRRSSTQMVHDPYTLVIALNSSQAAEGELYLDDGKSFDFMHGAYIHRRFVFSNGQLTSSNMASPSLGRK >EOX92666 pep chromosome:Theobroma_cacao_20110822:1:8004388:8010933:-1 gene:TCM_001582 transcript:EOX92666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein isoform 3 MKMKPFKIFLIFLLFLASQTVHSWKKDEFRNCNQTPFCKRARSRKPGACTLIAHDVSISDGDLTAQLIPKAPHDQDGDQIKPLTLSLSVYQDGIMRLKIDEDPSLDPPKKRFQVPDVIIPEFEAKKLWLQSASKEKIDGNDGGFSSVVYLSDGYEAVLRHDPFEIYVREKAGNRRVVSLNSHGLFDFEQLRVKKEDEDWEERFRGHTDTRPYGPQSISFDVSFYGSDFVYGIPEHATSFALKPTRGPGVDESEPYRLFNLDVFEYVHDSPFGIYGSIPFMVSHGKSGKSSGFFWLNAAEMQIDVLANGWDAEDGLLMPTLQSRIDTFWMSEAGIVDTFFFVGPGPKDVVRQYTSVTGLPSMPQLFAIAYHQCRWNYRDEEDVENVDSKFDEHDIPYDVLWLDIEHTDGKRYFTWDKLLFPHPDEMQKKLATKGRHMVTIVDPHIKRDESFQLHKDATQRGYYVKDATGKDYDGWCWPGSSSYPDMLNPEIRSWWGGKFSYENYIGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHLGGVEHRELHNAYGYYFHMATSDGLVKRGDGKDRPFVLSRAFFAGSQRYGAVWTGDNTADWDQLRVSVPMILTLGLTGMSFSGADVGGFFGNPEPELLVRWYQLGAYYPFFRGHAHHDTKRREPWLFGERNTELMRDAIRVRYTLLPYFYSLFREANVTGVPVVRPLWMEFPSDEATFSNDEAFMVGNSLLVQGIFSERAKHASVYLPGKELWYDFRTGSAYKGGKIHKLEVSEESIPAFQRAGTILPRKDRFRRSSTQMVHDPYTLVIALNSSQAAEGELYLDDGKSFDFMHGAYIHRRFVFSNGQLTSSNMASPSLGRSGFSSDCIIERIILLEHTPGPKSALVEPGNKYAEIELGPLRLGGHGAAAVTIRKPGVRVAEDWTIKIL >EOX92669 pep chromosome:Theobroma_cacao_20110822:1:8006822:8010821:-1 gene:TCM_001582 transcript:EOX92669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein isoform 3 MKMKPFKIFLIFLLFLASQTVHSWKKDEFRNCNQTPFCKRARSRKPGACTLIAHDVSISDGDLTAQLIPKAPHDQDGDQIKPLTLSLSVYQDGIMRLKIDEDPSLDPPKKRFQVPDVIIPEFEAKKLWLQSASKEKIDGNDGGFSSVVYLSDGYEAVLRHDPFEIYVREKAGNRRVVSLNSHGLFDFEQLRVKKEDEDWEERFRGHTDTRPYGPQSISFDVSFYGSDFVYGIPEHATSFALKPTRGPGVDESEPYRLFNLDVFEYVHDSPFGIYGSIPFMVSHGKSGKSSGFFWLNAAEMQIDVLANGWDAEDGLLMPTLQSRIDTFWMSEAGIVDTFFFVGPGPKDVVRQYTSVTGLPSMPQLFAIAYHQCRWNYRDEEDVENVDSKFDEHDIPYDVLWLDIEHTDGKRYFTWDKLLFPHPDEMQKKLATKGRHMVTIVDPHIKRDESFQLHKDATQRGYYVKDATGKDYDGWCWPGSSSYPDMLNPEIRSWWGGKFSYENYIGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHLGGVEHRELHNAYGYYFHMATSDGLVKRGDGKDRPFVLSRAFFAGSQRYGAVWTGDNTADWDQLRVSVPMILTLGLTGMSFSGADVGGFFGNPEPELLVRWYQLGAYYPFFRGHAHHDTKRREPWLFGERNTELMRDAIRVRYTLLPYFYSLFREANVTGVPVVRPLWMEFPSDEATFSNDEAFMVGNSLLVQGIFSEVLLLTGSLTFYLFCFLFSLLLFLSSCPVNN >EOX92668 pep chromosome:Theobroma_cacao_20110822:1:8006690:8011107:-1 gene:TCM_001582 transcript:EOX92668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein isoform 3 MKMKPFKIFLIFLLFLASQTVHSWKKDEFRNCNQTPFCKRARSRKPGACTLIAHDVSISDGDLTAQLIPKAPHDQDGDQIKPLTLSLSVYQDGIMRLKIDEDPSLDPPKKRFQVPDVIIPEFEAKKLWLQSASKEKIDGNDGGFSSVVYLSDGYEAVLRHDPFEIYVREKAGNRRVVSLNSHGLFDFEQLRVKKEDEDWEERFRGHTDTRPYGPQSISFDVSFYGSDFVYGIPEHATSFALKPTRGPGVDESEPYRLFNLDVFEYVHDSPFGIYGSIPFMVSHGKSGKSSGFFWLNAAEMQIDVLANGWDAEDGLLMPTLQSRIDTFWMSEAGIVDTFFFVGPGPKDVVRQYTSVTGLPSMPQLFAIAYHQCRWNYRDEEDVENVDSKFDEHDIPYDVLWLDIEHTDGKRYFTWDKLLFPHPDEMQKKLATKGRHMVTIVDPHIKRDESFQLHKDATQRGYYVKDATGKDYDGWCWPGSSSYPDMLNPEIRSWWGGKFSYENYIGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHLGGVEHRELHNAYGYYFHMATSDGLVKRGDGKDRPFVLSRAFFAGSQRYGAVWTGDNTADWDQLRVSVPMILTLGLTGMSFSGADVGGFFGNPEPELLVRWYQLGAYYPFFRGHAHHDTKRREPWLFGERNTELMRDAIRVRYTLLPYFYSLFREANVTGVPVVRPLWMEFPSDEATFSNDEAFMVGNSLLVQGIFSERAKHASVYLPGKELWYDFRTGSAYKGGKIHKLEVS >EOX91741 pep chromosome:Theobroma_cacao_20110822:1:3669370:3672488:-1 gene:TCM_000827 transcript:EOX91741 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MFLRNRLLSLNLTSFSSPPSPPTKSLSFLFSFNKTIAYSTRKRPHPKPKVSASRAAKQPLSDRARFAKTVLFVPPGVDLEEVTDEMILPGSNIVLGPYAGHSQIKEVEFVKSSGRAKDCPKDDRPEFAILGRSNVGKSSLINALVRKKEVALTSKKPGKTQLINHFLVNKSWYIVDLPGYGFARAPDAARTDWSSFTKGYFLNRETLVAALLLIDASVPPQRIDLDCANWLGRNNIPMTFVFTKCDKMKASKGKRPDENIRDFQELIRENYRQHPPWIMTSSVTGLGRDELLLHMSQLRNYWDQ >EOX91742 pep chromosome:Theobroma_cacao_20110822:1:3669576:3672572:-1 gene:TCM_000827 transcript:EOX91742 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MFLRNRLLSLNLTSFSSPPSPPTKSLSFLFSFNKTIAYSTRKRPHPKPKVSASRAAKQPLSDRARFAKTVLFVPPGVDLEEVTDEMILPGSNIVLGPYAGHSQIKEVEFVKSSGRAKDCPKDDRPEFAILGRSNVGKSSLINALVRKKEVALTSKKPAGKTQLINHFLVNKSWYIVDLPGYGFARAPDAARTDWSSFTKGYFLNRETLVAALLLIDASVPPQRIDLDCANWLGRNNIPMTFVFTKCDKMKASKGKRPDENIRDFQELIRENYRQHPPWIMTSSVTGLGRDELLLHMSQLRNYWDQ >EOX95135 pep chromosome:Theobroma_cacao_20110822:1:32984259:32987901:1 gene:TCM_004691 transcript:EOX95135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLWWRFQTCNSIWTKFMKAKYCAGHIPRYIQPKLHDAQTWKRMQACCQVMEQYVRWRIEKVDMRLQAKGLHLASKCQSCHSEESLLHVFWNCPIATQVEVFYEIIQGHWCFGFSEKFGAKNSILAELLALHRGLILCRDYGISRVWIEMDAMVVIQMLKKGHHGSHDSRYVLASINKLLTQFSYRISHIPREGNQATDLLANLGHDRQNLHVFVEAFGTLRGILRLDKLGSPYVRIKQM >EOX93827 pep chromosome:Theobroma_cacao_20110822:1:16245836:16246910:1 gene:TCM_002773 transcript:EOX93827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPSDRCESWRAPYPVSHQHHHHDHHLMILCPHHHHHHRTILCPLHHHHHLITCHSHVSPALAPLPLQNYLNSWSKTVQNEAHNSGTLVLQEQECEGLEEGEVEEEDEEPIFVLTDEWREFFAKSEAKRKLEKKQDKKKQKKLN >EOX91155 pep chromosome:Theobroma_cacao_20110822:1:1778584:1779653:1 gene:TCM_000434 transcript:EOX91155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHDRQKAVWWEPVVGHHPHLPLATPVTVPCRFVGPTVRGNGGVHLACQHEFHELLRGLMGSLACCCCRR >EOX95965 pep chromosome:Theobroma_cacao_20110822:1:36172569:36174639:-1 gene:TCM_005332 transcript:EOX95965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein, putative MKEGILEVLLVNAEDIRHTNLIGTPAYYVILQCGTQEYRSKVSPGKHEKVLWNEKFKFEFPQSEWENLTHITFRIMDKEFFTDNGFVGKTIIHLGGIIAEGTDREFIELRPAPYNVVLEDDTYKGQIKIGFKFIAHKEMQEFALLPNEPRRSFCSSIRNLWKFPWWKFLFLYVQRKSKNEHKDN >EOX94465 pep chromosome:Theobroma_cacao_20110822:1:28859110:28861360:1 gene:TCM_004049 transcript:EOX94465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin depolymerizing factor 6 isoform 1 MSFRGLSRPNATSGMGVADHSKDTFLELKRKKVYRYVIFKIDEKKREVVVEKTGGPVESYDDFTASLPENDCRYAVYDFDFVTSENCQKSKIFFIAWSPSSSRIRAKMLYATSKDRFRRELDGIHYEIQATDATEMDLEVLRDRAH >EOX94464 pep chromosome:Theobroma_cacao_20110822:1:28859136:28861466:1 gene:TCM_004049 transcript:EOX94464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin depolymerizing factor 6 isoform 1 MSFRGLSRPNATSGMGVADHSKDTFLELKRKKVYRYVIFKIDEKKREVVVEKTGGPVESYDDFTASLPENDCRYAVYDFDFVTSENCQKSKIFFIAWSPSSSRIRAKMLYATSKDRFRRELDGIHYEIQATDATEMDLEVLRDRAH >EOX95231 pep chromosome:Theobroma_cacao_20110822:1:33442906:33444695:-1 gene:TCM_004782 transcript:EOX95231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein (LEA) family protein MAWITRFLTAVAFLAVGVIFSPETFGSKLDGPNSPKLSTFIKLAHLLSFATAWGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFSMVGVCCAIAVAAFGYLHPWKSATTAEKYQLGFLVSGFAFNLSNLLVFTPMTIEMMKQRHKVEKEQNIGDEIGWSKNQEAAKSNPKLAAMNKKFGMIHGLSSLANIMSFGSLAMHSWYLAGKLNL >EOX93401 pep chromosome:Theobroma_cacao_20110822:1:12712166:12714326:1 gene:TCM_002260 transcript:EOX93401 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 33, putative isoform 1 MGITGELVRSVFSRNRSFGTQDTSARRQNRETKAKDDKQEPRQGNESPSRESIGTSIEVQTGNSVEVFSAREESKVVHHRMQHKPKAQVLKIKEDWDDSTVSSNISKMRIQNKLAAMTRRERALAYAFSQQLRICSKKKQAKLEGMEENMSWSWLERWMATRVPESSLVENNWSKQFEPMDSNGKFVVRKRIIDVAGEEKESCGSNEVSVHLESLSVTTPKENDGNSPSKNRLNATRSISRRKTGPSYQLSKKSGKVNKKDGSKE >EOX93400 pep chromosome:Theobroma_cacao_20110822:1:12712198:12714745:1 gene:TCM_002260 transcript:EOX93400 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 33, putative isoform 1 MGITGELVRSVFSRNRSFGTQDTSAKSNVNDKKRWSSVRSYICGDEFNSVLAEEDSTSVKSSEATVTQPIPEGLRDKGDIQSDEAKPDITEDKRNSIWKLFHEEDAAIVIQSAFRHFLARRQNRETKAKDDKQEPRQGNESPSRESIGTSIEVQTGNSVEVFSAREESKVVHHRMQHKPKAQVLKIKEDWDDSTVSSNISKMRIQNKLAAMTRRERALAYAFSQQLRICSKKKQAKLEGMEENMSWSWLERWMATRVPESSLVENNWSKQFEPMDSNGKFVVRKRIIDVAGEEKESCGSNEVSVHLESLSVTTPKENDGNSPSKNRLNATRSISRRKTGPSYQLSKKSGKVNKKDGSKESEKYKKHKSKQLGAQKEIKCNEASDQLSLNPLTS >EOX94243 pep chromosome:Theobroma_cacao_20110822:1:26559365:26564030:-1 gene:TCM_003777 transcript:EOX94243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein isoform 3 MAVTSHLAKLSCPGSFSSSSFTQLPCDKFLRFQRNVASTSASWSLGINGSRKQSKPLSVRGMASSFGSRLEENVKKTVADNPVVVYSKTWCSYSAEVKSLFKRLGVNPLVIELDELGPQGPQVQKVLERLTGQHTVPNVFIGGKHIGGCTDTVKLYRKGELETLLSEANVKNTET >EOX94242 pep chromosome:Theobroma_cacao_20110822:1:26560138:26563999:-1 gene:TCM_003777 transcript:EOX94242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein isoform 3 MAVTSHLAKLSCPGSFSSSSFTQLPCDKFLRFQRNVASTSASWSLGINGSRKQSKPLSVRGMASSFGSRLEENVKKTVADNPVVVYSKTWCSYSAEVKSLFKRLGVNPLVIELDELGPQGPQVQKVLERLTGQHTVPNVFIGGKHIGGCTDTVKLYRKGELETLLSEANVKNTET >EOX94244 pep chromosome:Theobroma_cacao_20110822:1:26560117:26563952:-1 gene:TCM_003777 transcript:EOX94244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein isoform 3 MAVTSHLAKLSCPGSFSSSSFTQLPCDKFLRFQRNVASTSASWSLGINGSRKQSKPLSVRGMASSFGSRLEENVKKTVADNPVVVYSKTWCSYSAEVKSLFKRLGVNPLVIELDELGPQGPQVQKVLERLTGQHTVPNVFIDTVKLYRKGELETLLSEANVKNTET >EOX95364 pep chromosome:Theobroma_cacao_20110822:1:33905069:33907307:1 gene:TCM_004877 transcript:EOX95364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLALKGDPKAKLWSWNLLIYTNMVLNPILSHNGGEENSGNAGIAVFQFPKHLAAPRSISMEYKNRPSLVVVSRKLP >EOX96108 pep chromosome:Theobroma_cacao_20110822:1:36623913:36627677:-1 gene:TCM_005438 transcript:EOX96108 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein isoform 4 MASPEEAQLDLFLQWLQANGAQFRGCQIKYCDSNKGFGIYSSDNTHEDGVILVVPLDLAITPMRVLQDPLIGAECRAMFEEGEVDDRFLMILFLTVERLRKNSSWQPYLDMLPTTFGNPLWFMDDELLELRGTALYRATELRKKDLLTVYEDKVKDLVKKLLVLDGDSESDVCFEDFLWANSIFWSRALNLPLPHSYVFPQIQEDQEKEISCSVDKSSEVSTSNIYSGSPINENDGKRFEAHGNDTRMTGVTSTSRQGETVWVEGLLPGIDFCNHDLKAVATWEVDGTGSITEVPLSMYLISALQSPSLVEKEISISYGNKGNEELLYLYGFVIDNNPDDYLMIHYPGEALQNISFSDFKGQLLVAQNAAMRCLLPKNLLDSGFFPAGSSNNKAKNTCEADIICNFSWSGHRRTPYYLNKLVFPEDFMTALRTIAMQEDEVSKVSSMLEELVGSEGERQPSGTEIRTAVWEACGDSGALQLLVDLLQKK >EOX96107 pep chromosome:Theobroma_cacao_20110822:1:36623222:36628035:-1 gene:TCM_005438 transcript:EOX96107 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein isoform 4 MASPEEAQLDLFLQWLQANGAQFRGCQIKYCDSNKGFGIYSSDNTHEDGVILVVPLDLAITPMRVLQDPLIGAECRAMFEEGEVDDRFLMILFLTVERLRKNSSWQPYLDMLPTTFGNPLWFMDDELLELRGTALYRATELRKKDLLTVYEDKVKDLVKKLLVLDGDSESDVCFEDFLWANSIFWSRALNLPLPHSYVFPQIQEDQEKEISCSVDKSSEVSTSNIYSGSPINENDGKRFEAHGNDTRMTGVTSTSRQGETVWVEGLLPGIDFCNHDLKAVATWEVDGTGSITEVPLSMYLISALQSPSLVEKEISISYGNKGNEELLYLYGFVIDNNPDDYLMIHYPGEALQNISFSDFKGQLLVAQNAAMRCLLPKNLLDSGFFPAGSSNNKAKNTCEADIICNFSWSGHRRTPYYLNKLVFPEDFMTALRTIAMQEDEVSKVSSMLEELVGSEGERQPSGTEIRTAVWEACGDSGALQLLVDLLQKKMMDLEASSGTEDCDAALLENALIIGSPEQQTSAETNDIAQHKLMSRNRWSSIVYRRGQKQLTRLFLKEAEHALQLSLSEGH >EOX96110 pep chromosome:Theobroma_cacao_20110822:1:36623773:36627464:-1 gene:TCM_005438 transcript:EOX96110 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein isoform 4 MLPTTFGNPLWFMDDELLELRGTALYRATELRKKDLLTVYEDKVKDLVKKLLVLDGDSESDVCFEDFLWANSIFWSRALNLPLPHSYVFPQIQEDQEKEISCSVDKSSEVSTSNIYSGSPINENDGKRFEAHGNDTRMTGVTSTSRQGETVWVEGLLPGIDFCNHDLKAVATWEVDGTGSITEVPLSMYLISALQSPSLVEKEISISYGNKGNEELLYLYGFVIDNNPDDYLMIHYPGEALQNISFSDFKGQLLVAQNAAMRCLLPKNLLDSGFFPAGSSNNKAKNTCEADIICNFSWSGHRRTPYYLNKLVFPEDFMTALRTIAMQEDEVSKVSSMLEELVGSEGERQPSGTEIRTAVWEACGDSGALQLLVDLLQKKQG >EOX96109 pep chromosome:Theobroma_cacao_20110822:1:36623255:36627464:-1 gene:TCM_005438 transcript:EOX96109 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein isoform 4 MLPTTFGNPLWFMDDELLELRGTALYRATELRKKDLLTVYEDKVKDLVKKLLVLDGDSESDVCFEDFLWANSIFWSRALNLPLPHSYVFPQIQEDQEKEISCSVDKSSEVSTSNIYSGSPINENDGKRFEAHGNDTRMTGVTSTSRQGETVWVEGLLPGIDFCNHDLKAVATWEVDGTGSITEVPLSMYLISALQSPSLVEKEISISYGNKGNEELLYLYGFVIDNNPDDYLMIHYPGEALQNISFSDFKGQLLVAQNAAMRCLLPKNLLDSGFFPAGSSNNKAKNTCEADIICNFSWSGHRRTPYYLNKLVFPEDFMTALRTIAMQEDEVSKVSSMLEELVGSEGERQPSGTEIRTAVWEACGDSGALQLLVDLLQKKMMDLEASSGTEDCDAALLENALIIGSPEQQTSAETNDIAQHKLMSRNRWSSIVYRRGQKQLTRLFLKEAEHALQLSLSEGH >EOX95575 pep chromosome:Theobroma_cacao_20110822:1:34689083:34691780:-1 gene:TCM_005028 transcript:EOX95575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MERLQGPINPCFLEEHLEVEFLEQGFVNSESLRFGEEEEAHFSIPSLEDKMPFLQMLQSVQSPQLFAFKEPNFQTLLRLQHLKKPWEINNNPFIPEMETQIQALELESCVTHEIFDLQSPVQSETKDLKKNPHSISCFEVVSAESNQDQPKSATADNCSREGNSGSSPPKSFTKSPPITRERRKRKRTRPAKNKEEVESQRMTHIAVERNRRRQMNDYLNSLRSLMPPSYIQRGDQASIIGGAIDFVKELEQLLQSLEAQKRMRRIEESSNSNNSVAKSAMEISQPETGMGSEDGNCGKEIKAESKSGAAEIEVNVTHNHVNLKIQCSRRPGQLLQAIVTLESLRLTVLHLNITSSQASILYSFNLKMEDDCKLRSADEIAAAVHQIFS >EOX94166 pep chromosome:Theobroma_cacao_20110822:1:24178925:24195753:-1 gene:TCM_003535 transcript:EOX94166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor family protein isoform 2 MAGPLLLRSLFSASSRKSLSSHLVRPPCLSRGFTAGPASAAAAAATDAKVFLEPSRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSISWKENELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLAKALNYGLRPILLLNKVDRPSVSEERCDEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASSTFTKDPPADVKNMSQLLDAIVRHVPPPKANLDAPFQMLVSMMEKDYYLGRILTGRVSSGIIHVGDRIHGLRSKESGVEKIEEGKVVKLMKKKGTNMVLIDSAGAGDIISMAGMASPSIGHTVANTEVMTALPTVVLDPPTISMTFGVNDSPLAGRDGTHLTGGKIGDRLMAEAETNLAINVLPGLSESYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTENGLKLEPIEEVTIEVNDEHVGLVMEALSHRRAEVADMGPVAGNVGRTRLSLTCPSRGLVGYRSVFSSDTRGTGFMHRAFLTYEKFRGPLGNVRKGVLVSMGYGTITAHALMSLEARGTLFVTPGMETYDGMIVGEHSRDTDLDVNPVRTKELTNVRAACKDENVKLSPPRLMTLEEAIGYVASDELIEVTPKAIRLRKRYLDVNKRKAMSKRPKD >EOX94168 pep chromosome:Theobroma_cacao_20110822:1:24181314:24195755:-1 gene:TCM_003535 transcript:EOX94168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor family protein isoform 2 MAGPLLLRSLFSASSRKSLSSHLVRPPCLSRGFTAGPASAAAAAATDAKVFLEPSRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSISWKENELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLAKALNYGLRPILLLNKVDRPSVSEERCDEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASSTFTKDPPADVKNMSQLLDAIVRHVPPPKANLDAPFQMLVSMMEKDYYLGRILTGRVSSGIIHVGDRIHGLRSKESGVEKIEEGKVVKLMKKKGTNMVLIDSAGAGDIISMAGMASPSIGHTVANTEVMTALPTVVLDPPTISMTFGVNDSPLAGRDGTHLTGGKIGDRLMAEAETNLAINVLPGLSESYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTENGLKLEPIEEVTIEVNDEHVGLVMEALSHRRAEVADMGPVAGNVGRTRLSLTCPSRGLVGYRSVFSSDTRGTGFMHRAFLSIHVLLEILFFPFLLFSLLFQLHLVVMS >EOX94167 pep chromosome:Theobroma_cacao_20110822:1:24180340:24195649:-1 gene:TCM_003535 transcript:EOX94167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor family protein isoform 2 MAGPLLLRSLFSASSRKSLSSHLVRPPCLSRGFTAGPASAAAAAATDAKVFLEPSRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSISWKENELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLAKALNYGLRPILLLNKVDRPSVSEERCDEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASSTFTKDPPADVKNMSQLLDAIVRHVPPPKANLDAPFQMLVSMMEKDYYLGRILTGRVSSGIIHVGDRIHGLRSKESGVEKIEEGKVVKLMKKKGTNMVLIDSAGAGDIISMAGMASPSIGHTVANTEVMTALPTVVLDPPTISMTFGVNDSPLAGRDGTHLTGGKIGDRLMAEAETNLAINVLPGLSESYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTENGLKLEPIEEVTIEVNDEHVGLVMEALSHRRAEVADMGPVAGNVGRTRLSLTCPSRGLVGYRSVFSSDTRGTGFMHRAFLTYEKFRGPLGNVRKGVLVCINGLWYNHRSCIDEFRSSWNSFRDSWDGDI >EOX92055 pep chromosome:Theobroma_cacao_20110822:1:5084016:5087558:1 gene:TCM_001073 transcript:EOX92055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEELRKLEQLQRTFTFMQSRSFVSSSSIDSNRFLANLILLLLQPCGELNLDNKCNLLSEYIPKISVTFLEEASQWVSLETDERDSQENYVELNNPPDKTDCRSLQINHKDVAMVGLEAMERANSTLEDFCRSYFMFHGIDITSPQVIFKYFPVLSFTESFIYQLDGLNEKILYIPMEGVTTPEKELEKEKFQGWAMNVFEKDPFRPLLNVLKCRGLLTERIREEFKSGEVYWALERKLCSALMCKMEISIEDVMRAIHLKSFDYRVLNLLLYQLRGEEGQ >EOX92052 pep chromosome:Theobroma_cacao_20110822:1:5084003:5088918:1 gene:TCM_001073 transcript:EOX92052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEELRKLEQLQRTFTFMQSRSFVSSSSIDSNRFLANLILLLLQPCGELNLDNKCNLLSEYIPKISVTFLEEASQWVSLETDERDSQENYVELNNPPDKTDCRSLQINHKDVAMVGLEAMERANSTLEDFCRSYFMFHGIDITSPQVIFKYFPVLSFTESFIYQLDGLNEKILYIPMEGVTTPEKELEKEKFQGWAMNVFEKDPFRPLLNVLKCRGLLTERIREEFKSGEVYWALERKLCSALMCKMEISIEDVMRAIHLKSFDYRVLNLLLYQLRGEEVNDLHMEFLSVSEFLVEISDDLFDYEDDVLENNFNILRMFAKVYGPSTAPAMLVAKNNVLFQAKYITDSEERYDNLLKTLDPQLSSKYRRRCEEATKEGGKVSGHPLGTWSIPPLIVNEDLYRSNCLNTK >EOX92053 pep chromosome:Theobroma_cacao_20110822:1:5084101:5088631:1 gene:TCM_001073 transcript:EOX92053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MVGLEAMERANSTLEDFCRSYFMFHGIDITSPQVIFKYFPVLSFTESFIYQLDGLNEKILYIPMEGVTTPEKELEKEKFQGWAMNVFEKDPFRPLLNVLKCRGLLTERIREEFKSGEVYWALERKLCSALMCKMEISIEDVMRAIHLKSFDYRVLNLLLYQLRGEEVNDLHMEFLSVSEFLVEISDDLFDYEDDVLENNFNILRMFAKVYGPSTAPAMLAKYITDSEERYDNLLKTLDPQLSSKYRRRCEEATKEGGKVSGHPLGTWSIPPLIVNEDLYRSNCLNTK >EOX92054 pep chromosome:Theobroma_cacao_20110822:1:5084016:5087558:1 gene:TCM_001073 transcript:EOX92054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEELRKLEQLQRTFTFMQSRSFVSSSSIDSNRFLANLILLLLQPCGELNLDNKCNLLSEYIPKISVTFLEEASQWVSLETDERDSQENYVELNNPPDKTDCRSLQINHKDVAMVGLEAMERANSTLEDFCRSYFMFHGIDITSPQVIFKYFPVLSFTESFIYQLDGLNEKILYIPMEGVTTPEKELEKEKFQGWAMNVFEKDPFRPLLNVLKCRGLLTERIREEFKSGEVYWALERKLCSALMCKMEISIEDVMRAIHLKSFDYRVLNLLLYQLRGEELLRSMTCTWNSYQSLNSW >EOX92051 pep chromosome:Theobroma_cacao_20110822:1:5083860:5088890:1 gene:TCM_001073 transcript:EOX92051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEELRKLEQLQRTFTFMQSRSFVSSSSIDSNRFLANLILLLLQPCGELNLDNKCNLLSEYIPKISVTFLEEASQWVSLETDERDSQENYVELNNPPDKTDCRSLQINHKDVAMVGLEAMERANSTLEDFCRSYFMFHGIDITSPQVIFKYFPVLSFTESFIYQLDGLNEKILYIPMEGVTTPEKELEKEKFQGWAMNVFEKDPFRPLLNVLKCRGLLTERIREEFKSGEVYWALERKLCSALMCKMEISIEDVMRAIHLKSFDYRVLNLLLYQLRGEEVNDLHMEFLSVSEFLVEISDDLFDYEDDVLENNFNILRMFAKVYGPSTAPAMLAKYITDSEERYDNLLKTLDPQLSSKYRRRCEEATKEGGKVSGHPLGTWSIPPLIVNEDLYRSNCLNTK >EOX94129 pep chromosome:Theobroma_cacao_20110822:1:22265559:22291823:-1 gene:TCM_003363 transcript:EOX94129 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MRFRSRRQQDSRQSSQVIRSCDTCGRRQSGRCFLTTKTCYGCGQPGHIRRDCPMAHQSPDSARGST >EOX93901 pep chromosome:Theobroma_cacao_20110822:1:17258419:17270573:1 gene:TCM_002902 transcript:EOX93901 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MEEPLKGRPGVRVSIFDCSIENHFRAMDTISKLCEEPESDGPDETDIQRFSSSITFLREWRHFNYEPRIIKFASEVGDSQGKDVSDCIQLPQFSSATVLKQREGLNGKASPKSCKDFVMYVGGSVWALDWCPRVHENPNSTVKCEFIAVAAHPPDSYYHKIGTPLTGRGIIQIWCMLNVGVEEEEAPLSKKRPKWRSQTTEAMEESPSKRPRGRPRKNPIDESQPDKVKRPKGRPRKKPIGESLNDDQNEQSFLPLAVQYPEGSFKPVAIDSALGNTQENAPNKSHHEKEKGEKEGAFTSDATPTTSVQSRKLKSKVQAKTNTHGKCLPLLTQNEETRSSSTINKQIHYNSGQEAMVHNNILDSNSSETPGSSIPRDNSSETPGSSIPRDIELPRTVLCLAHNGKVAWDVKWQPYDINDCECNQRMGYLAVLLGNGSLEVWEVPLPHMISIVYSSSPKQGTDPRFVKLEPVFKCSKLKCGDVQSIPLTVEWSTSPPHNYLLAGCHDGMVALWKFSASGSPTDTRPLLCFSADTVPIRSVAWAPSGSDMESANVVLTAGHGGLKFWDIRDPFLPLWDVHPAPKFIYSLDWLPEPRCVILSFDDGTMKMLSLIQAACDVPVTGKPFTGTKQQGLHLYNCSSFAIWNVQVSRLTGMVAYCGADGNVTRFQLTSKAVDKDFSRNRAPHFVCGSLTEEESAIVVNTPLPDIPLTLKKQTNDYGEGPRSMRAFLTESNQAKNAKDNKAKVPTPDKQTLALCYGNDPGVESESEETLTLAALKGKIKQKSKSDRMKKAGDDQALAVRINEPANTQKEEAGNEIEVFPPKIVAMHRVRWNMNKGSERWLCYGGAAGIVRCQEIIVPDVAKKSARKS >EOX93902 pep chromosome:Theobroma_cacao_20110822:1:17258415:17270573:1 gene:TCM_002902 transcript:EOX93902 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MEEPLKGRPGVRVSIFDCSIENHFRAMDTISKLCEEPESDGPDETDIQRFSSSITFLREWRHFNYEPRIIKFASEVGDSQGKDVSDCIQLPQFSSATVLKQREGLNGKASPKSCKDFVMYVGGSVWALDWCPRVHENPNSTVKCEFIAVAAHPPDSYYHKIGTPLTGRGIIQIWCMLNVGVEEEEAPLSKKRPKWRSQTTEAMEESPSKRPRGRPRKNPIDESQPDKVKRPKGRPRKKPIGESLNDDQNEQSFLPLAVQYPEGSFKPVAIDSALGNTQENAPNKSHHEKEKGEKEGAFTSDATPTTSVQSRKLKSKVQAKTNTHGKCLPLLTQNEETRSSSTINKQIHYNSGQEAMVHNNILDSNSSETPGSSIPRDNSSETPGSSIPRDIELPRTVLCLAHNGKVAWDVKWQPYDINDCECNQRMGYLAVLLGNGSLEVWEVPLPHMISIVYSSSPKQGTDPRFVKLEPVFKCSKLKCGDVQSIPLTVEWSTSPPHNYLLAGCHDGMVALWKFSASGSPTDTRPLLCFSADTVPIRSVAWAPSGSDPFLPLWDVHPAPKFIYSLDWLPEPRCVILSFDDGTMKMLSLIQAACDVPVTGKPFTGTKQQGLHLYNCSSFAIWNVQVSRLTGMVAYCGADGNVTRFQLTSKAVDKDFSRNRAPHFVCGSLTEEESAIVVNTPLPDIPLTLKKQTNDYGEGPRSMRAFLTESNQAKNAKDNKAKVPTPDKQTLALCYGNDPGVESESEETLTLAALKGKIKQKSKSDRMKKAGDDQALAVRINEPANTQKEEAGNEIEVFPPKIVAMHRVRWNMNKGSERWLCYGGAAGIVRCQEIIVPDVAKKSARKS >EOX93227 pep chromosome:Theobroma_cacao_20110822:1:11420517:11422434:1 gene:TCM_002069 transcript:EOX93227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein MAEQAHLFLLLFVLFSLNAAVLSFQKQPKISAIDLAALSAIKDSLTDIPGSRFFSTWDFTAPDPCSTFTGVTCSFDDRVTILSLGTGLSDSPGLAGSLSPALSNLTELTQLVLFPGLVTGPIPPQLGQLTSLRVISLTNNRLTGPIPTSFSSLPYLHTLDLSSNQLTGSIPPGLSKLPSLKVLILSSNELRGKLPRTVSAQLLHMDLKKNSISGPLPKLPLTLRYLSVSENSMWGPLNGLESLSELVYLDVSMNKFSGPVPTSLFFHPTLSSLFLQRNNLSGGLPSINMDPTFPSYGEGSIVDLSHNFITGEITPVLAGVETLFLNNNHLVGSVPEEYVKSVYSGTTKTLYLQHNYLSGFPLPHGVALPDTASLCLSYNCMLPPVGLSACPASAGRQPSRPESQCSVFSHHSSMD >EOX94740 pep chromosome:Theobroma_cacao_20110822:1:31118703:31120430:-1 gene:TCM_004349 transcript:EOX94740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MASGGANQQQTPAYKPYRHIKTLTAHQRAVSCVKFSNDGTLLASASLDKTLIIWSASSLSLIHRLVGHSDGVSDLAWSSDSHYICSASDDCSLRIWDARAPFDCLKILKGHSDFVFCVNFNPQSNLIVSGSFDETIRIWEVKTGKCLRVIRAHSMPVTSVHFNRDGSLIVSGSHEGSCKIWDAKEGTCLKTLIDDKDPAVSFTKFSPNGKFILVATLDSTLKLWNYSTGKFLKIYQGHTNRVYCITSTFSVTSGKYIVSGSEDKCVYLWDLQSKAMIQKLEGHSDTVISVTCHPSENKIASAGLEGDRTIRIWMQDA >EOX91463 pep chromosome:Theobroma_cacao_20110822:1:2786868:2790345:-1 gene:TCM_000649 transcript:EOX91463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MGDNINVNMFHYNNNYTTTNDNNSNNGKCLSSASDDISNLLHQILVHSSSPSSGMAYLEGPTENPRRLSRSPAPAGGEAKQGMILTVDSCGRGSGGSGLVGVAGEINDAEEYDCESEEGLEALVDEAPSKPAPPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTMRNGLSLHPMCLPGVLQPIQLPQTRIDFGEDNGSLPMNASGTAPANQEPSAQIVFDLPNQCSSSNHALVPNMSNIITSETSFSLESIQAPFGPFQLLTPTQDICREDILPHHQLKSNTSEFGSGATSTVSLPFDTRESDLKESSSLDASMKGRDQPNSVLEHDLVLAPHLTRQAGRSDSSDDIKIEKPNF >EOX91462 pep chromosome:Theobroma_cacao_20110822:1:2786868:2790345:-1 gene:TCM_000649 transcript:EOX91462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MGDNINVNMFHYNNNYTTTNDNNSNNGKCLSSASDDISNLLHQILVHSSSPSSGMAYLEGPTENPRRLSRSPAPAGGEAKQGMILTVDSCGRGSGGSGLVGVAGEINDAEEYDCESEFESCHKQEGLEALVDEAPSKPAPPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTMRNGLSLHPMCLPGVLQPIQLPQTRIDFGEDNGSLPMNASGTAPANQEPSAQIVFDLPNQCSSSNHALVPNMSNIITSETSFSLESIQAPFGPFQLLTPTQGRHSATSSIEVQYIRIWFRGYKYSFTSL >EOX91461 pep chromosome:Theobroma_cacao_20110822:1:2786518:2791196:-1 gene:TCM_000649 transcript:EOX91461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MGLYFPSFETCLILNQNVDAVITAGNHWLTKMTSFGELAQACLALPAKNMFPLSLSFLLSNCNNKTPPPTINSFPVVFVQETECQNRRDDDISNLLHQILVHSSSPSSGMAYLEGPTENPRRLSRSPAPAGGEAKQGMILTVDSCGRGSGGSGLVGVAGEINDAEEYDCESEFESCHKQEGLEALVDEAPSKPAPPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTMRNGLSLHPMCLPGVLQPIQLPQTRIDFGEDNGSLPMNASGTAPANQEPSAQIVFDLPNQCSSSNHALVPNMSNIITSETSFSLESIQAPFGPFQLLTPTQDICREDILPHHQLKSNTSEFGSGATSTVSLPFDTRESDLKESSSLDASMKGRDQPNSVLEHDLVLAPHLTRQAGRSDSSDDIKIEKPNF >EOX92387 pep chromosome:Theobroma_cacao_20110822:1:6623308:6626485:-1 gene:TCM_001343 transcript:EOX92387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein, putative isoform 2 MGAKFCNPGQGLKPVVIMLLVQAVLAGVNVMYKLAVVDGMSMRVLISYRFIFATVFILPLALILERGALGQNLFIGSLALTSATFATAMSNLIPAATFILVITLRLERLAIRTLEGTAKLVGTVLSIGGAMVLTFYKGREISLWSTSINLAKYDEDHLIEAHPSLRNQALGSVLALAACLCYAIWYIIQAKMNESYPCKYSSTALMSITASIQATIYAIITDRNWSAWKLGWNIRLFTVFYTGSISTGLMIVVMTWCIRLKGPLFVSIFNPFALIYVAIVGSLILNERLHLGSIIGSALIMGGVYVALWGKIKEIKNSAQLVHLRSMDSEVVEVTLN >EOX92386 pep chromosome:Theobroma_cacao_20110822:1:6623620:6626353:-1 gene:TCM_001343 transcript:EOX92386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein, putative isoform 2 MGAKFCNPGQGLKPVVIMLLVQAVLAGVNVMYKLAVVDGMSMRVLISYRFIFATVFILPLALILERKSKAKLTWKIAFQGFLSGLFGGALGQNLFIGSLALTSATFATAMSNLIPAATFILVITLRLERLAIRTLEGTAKLVGTVLSIGGAMVLTFYKGREISLWSTSINLAKYDEDHLIEAHPSLRNQALGSVLALAACLCYAIWYIIQAKMNESYPCKYSSTALMSITASIQATIYAIITDRNWSAWKLGWNIRLFTVFYTGSISTGLMIVVMTWCIRLKGPLFVSIFNPFALIYVAIVGSLILNERLHLGSIIGSALIMGGVYVALWGKIKEIKNSAQLVHLRSMDSEVVEVTLN >EOX94347 pep chromosome:Theobroma_cacao_20110822:1:28014058:28017101:-1 gene:TCM_003940 transcript:EOX94347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPDANPPSIACSFSRRLNLPILLPIRFPKLQLTSSSPLLLQPIRFVKHFSHYGFWFPHCYYCPIVDFGFLIVAAPIL >EOX91192 pep chromosome:Theobroma_cacao_20110822:1:1911368:1913100:1 gene:TCM_000464 transcript:EOX91192 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MANGFGESTSIPPPSPSCSGSNNANDAGDFECNICFELAQDPIVTLCGHLFCWPCLYRWLHHHSHCQECPVCKALIQEEKLVPLYGRGKNQTDPRSKSYPGMEIPNRPAGQRPATAPPPAETNQFANYGFGLMGGFVPMATARIGNFTMGFGGLLPSLFNIQFHGFPDATVYGTTSGFPYGFNSFHGRHAQGFPQPTTRGHQADNVLKNLLLLIGVFVVLALLYW >EOX93439 pep chromosome:Theobroma_cacao_20110822:1:13136919:13139990:1 gene:TCM_002318 transcript:EOX93439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-dependent activator protein-1, putative isoform 1 MVSSGECITDEVGTDKLQSPDAGNHALQQISDSKVHLPQSNQGGETPSIKSEKAPLPDSMVQTSKSEQGGNVSCMISNKTSVTPDMTPSPLPGTEGSTPIVREKASEDGYNWRKYGQKLVKGNEFVRSYYKCTHPNCLVKKQLERSHDGKMVDTVYFGQHDHPKPLNLPVAVGVVVSVVEEKPYNASPTVVKDKSSDARSQTPCQIEPQDDFRPLAIAASENVKGAPSKSIRIQNVADSDDDHVISKRRKKENSNADASPVEKQTTESRMVVKTLSEVDIVNDGYRWRKYGQKLVKGNPNPRSYYRCSNPGCPVKKHVERDSHDVKLVITTYEGRHDHDIPPTRTVTHNTTGVNVHSAAHNDESGTKVEESETACVDMVVHSSSGLENKSSEQLNGESTTKSEASGAVCVDVVEAPISGPESGSNEQRSGKLQPSKRSKGDGNDMIVHSKSISQNTAKEQLSNKLETKSENDTVCIDKMVHITPHPECNFDEQRMPSAEPVQS >EOX93441 pep chromosome:Theobroma_cacao_20110822:1:13136919:13140055:1 gene:TCM_002318 transcript:EOX93441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-dependent activator protein-1, putative isoform 1 MVSSGECITDEVGTDKLQSPDAGNHALQQISDSKVHLPQSNQGGETPSIKSEKAPLPDSMVQTSKSEQGGNVSCMISNKTSVTPDMTPSPLPGTEGSTPIVREKASEDGYNWRKYGQKLVKGNEFVRSYYKCTHPNCLVKKQLERSHDGKMVDTVYFGQHDHPKPLNLPVAVGVVVSVVEEKPYNASPTVVKDKSSDARSQTPCQIEPQDDFRPLAIAASENVKGAPSKSIRIQNVADSDDDHVISKRRKKENSNADASPVEKQTTESRMVVKTLSEVDIVNDGYRWRKYGQKLVKGNPNPRSYYRCSNPGCPVKKHVERDSHDVKLVITTYEGRHDHDIPPTRTVTHNTTGVNVHSAAHNDESGTKVEESETACVDMVVHSSSGLENKSSEQLNGESTTKSEASGAVCVDVVEAPISGPESGSNEQRSGKLQPSKRSKGDGNDMIVHSKSISQNTAKEQLSNKLETKSENDTVCIDKMVHITPHPECNFDEQRMPSAEPVQS >EOX93440 pep chromosome:Theobroma_cacao_20110822:1:13136653:13139992:1 gene:TCM_002318 transcript:EOX93440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-dependent activator protein-1, putative isoform 1 MVSSGECITDEVGTDKLQSPDAGNHALQQISDSKVHLPQSNQGGETPSIKSEKAPLPDSMVQTSKSEQGGNVSCMISNKTSVTPDMTPSPLPGTEGSTPIVREKASEDGYNWRKYGQKLVKGNEFVRSYYKCTHPNCLVKKQLERSHDGKMVDTVYFGQHDHPKPLNLPVAVGVVVSVVEEKPYNASPTVVKDKSSDARSQTPCQIEPQDDFRPLAIAASENVKGAPSKSIRIQNVADSDDDHVISKRRKKENSNADASPVEKQTTESRMVVKTLSEVDIVNDGYRWRKYGQKLVKGNPNPRSYYRCSNPGCPVKKHVERDSHDVKLVITTYEGRHDHDIPPTRTVTHNTTGVNVHSAAHNDESGTKVEESETACVDMVVHSSSGLENKSSEQLNGESTTKSEASGAVCVDVVEAPISGPESGSNEQRSGKLQPSKRSKGDGNDMIVHSKSISQNTAKEQLSNKLETKSENDTVCIDKMVHITPHPECNFDEQRMPSAEPVQS >EOX96134 pep chromosome:Theobroma_cacao_20110822:1:36710115:36715728:1 gene:TCM_005455 transcript:EOX96134 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL05D22.3 protein isoform 2 MAEHDLTSPLLSPRSSDQPQTVLIVSDDEDSEPSDRPPSNQQSSLQTGIPRQNHNQSYGNGNGNSQVSSRNPYEFLGSDGFSVPAPTTIDPFRNGTPFVSGVYEVIKILLCLPIALARLVLFGVCLAVGYIATRIALEGWKDKQNPMPKWRSRIMWVTRVCARFILFSFGYQWIRRKGKPAPRDVAPIVVSNHVIYVNRFSQASRKNAVNEIKRRASCDTFPRLLLFPEGTTTNGKVLISFQLGAFIPGHPIQPVVVRYPHVHFDQSWGLISLAKLMLRMFTQFHNFMEVEYLPTIMPPDHQKQNAVHFAERTGQAMASALNVVQTSHSYGDLMLLMKAAQLQKEKPWSYMVEMARIESLYHISSLEAVDFLDKFLSMNPDTSGCVKLHDFLRVLRLKACTLSEEIFGFLDVEKNGSITFKQFLFGSAHVLKQPLFRQACELAFAECDVEGENYFMEKDLADILRHAIPELNEDEIHGLFNLFDTDKDGRISRDDFFSCLRKNPLLISLFSPRLLHRDTSKAGDRMLEEIV >EOX96133 pep chromosome:Theobroma_cacao_20110822:1:36710115:36715723:1 gene:TCM_005455 transcript:EOX96133 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL05D22.3 protein isoform 2 MAEHDLTSPLLSPRSSDQPQTVLIVSDDEDSEPSDRPPSNQQSSLQTGIPRQNHNQSYGNGNGNSQVSSRNPYEFLGSDGFSVPAPTTIDPFRNGTPFVSGVYEVIKILLCLPIALARLVLFGVCLAVGYIATRIALEGWKDKQNPMPKWRSRIMWVTRVCARFILFSFGYQWIRRKGKPAPRDVAPIVVSNHVSYIEPIFYFYELFPTIVASESHDSIPFVGTIIRAMQVIYVNRFSQASRKNAVNEIKRRASCDTFPRLLLFPEGTTTNGKVLISFQLGAFIPGHPIQPVVVRYPHVHFDQSWGLISLAKLMLRMFTQFHNFMEVEYLPTIMPPDHQKQNAVHFAERTGQAMASALNVVQTSHSYGDLMLLMKAAQLQKEKPWSYMVEMARIESLYHISSLEAVDFLDKFLSMNPDTSGCVKLHDFLRVLRLKACTLSEEIFGFLDVEKNGSITFKQFLFGSAHVLKQPLFRQACELAFAECDVEGENYFMEKDLADILRHAIPELNEDEIHGLFNLFDTDKDGRISRDDFFSCLRKNPLLISLFSPRLLHRDTSKAGDRMLEEIV >EOX92956 pep chromosome:Theobroma_cacao_20110822:1:9575674:9577569:-1 gene:TCM_001816 transcript:EOX92956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAWVISRKINVPLYQWHSTSKVYFRQKLMHWPLNLVIRVMSHNLDLKILELVSLEMVTCSCCTWLIDFYIKYRCRRMHLLASYLRPKGVPREEELHRRFRFGTPKSCFPGVHFICKKFAMAKEKLIRVSWLSCLRN >EOX94604 pep chromosome:Theobroma_cacao_20110822:1:30430727:30434864:-1 gene:TCM_004233 transcript:EOX94604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase isozyme A, chloroplastic isoform 1 MSESFRFSTSVSPLQSPEFPRMPCLKPRIHVPNHVPVLKPMTAAHSRFLLPEKLKVTASEMPITAAAPVSMMESEGLEVDRVTEAELKENGFRSTRRTKIICTIGPRTCEFEQIEGLAVGGMNVARVNMCHGRREWHRDVIRRVRHLNEEKGFAVAVMMDTEGSEIHMDDLNGAQSAKAEDGEIWTFTVRAFDPTSCPKRTITVNYDGFAEDVKVGDELLVDGGMVRFVVTQKIGPDVICHCTDPGLLLPRANLTFWRNGSLVQERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVIKTLKSYITARSPESQIAVIAKIESIDSLENLEEIIQASDGAMVARGDLGAQIPLEQVPSVQQKVVQLCRQLSKPVIVASQLLESMIEYPIPTRAEVADVSEAVGQQADALMLSSESAMGQYPEKALAVLRSVSLRIERWRREEKQCDTTDLPIVSASMPASISEEICNTASSMANKLKADAIFVYTKTGQTASFLSRNRPDCPIFAFTPTTSIRRCLNLQWGLIPFRLNFSDDMESNLNRTFSLLKARGMINSGDLIIVVSDVLQSIQAIKVP >EOX94605 pep chromosome:Theobroma_cacao_20110822:1:30430735:30435239:-1 gene:TCM_004233 transcript:EOX94605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase isozyme A, chloroplastic isoform 1 MSESFRFSTSVSPLQSPEFPRMPCLKPRIHVPNHVPVLKPMTAAHSRFLLPEKLKVTASEMPITAAAPVSMMESEGLEVDRVTEAELKENGFRSTRRTKIICTIGPRTCEFEQIEGLAVGGMNVARVNMCHGRREWHRDVIRRVRHLNEEKGFAVAVMMDTEGSEIHMDDLNGAQSAKAEDGEIWTFTVRAFDPTSCPKRTITVNYDGFAEDVKVGDELLVDGGMVRFVVTQKIGPDVICHCTDPGLLLPRANLTFWRNGSLVQERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVIKTLKSYITARSPESQIAVIAKIESIDSLENLEEIIQASDGAMVARGDLGAQIPLEQVPSVQQKVVQLCRQLSKPVIVASQLLESMIEYPIPTRAEVADVSEAVGQQADALMLSSESAMGQYPEKALAVLRSVSLRIERWRREEKQCDTTDLPIVSASMPASISEEICNTASSMANKLKADAIFVYTKTGQTASFLSRNRPDCPIFAFTPTTSIRRCLNLQWGLIPFRLNFSDDMESNLNRTFSLLKARGMINSGDLIIVVSDVLQSIQAIKVP >EOX92356 pep chromosome:Theobroma_cacao_20110822:1:6418839:6425791:1 gene:TCM_001305 transcript:EOX92356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor isoform 1 MDFDEYEYLEKTVEEQEDPKKKKKDGGGSGEKSERSYRKRDGEREEDEDEGKSSSKKSRGGRDEDDEERERRDRDKEKEREAVVGRGDTRVIASGRENAKSSTSEIAGRRFKEKKEVVEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNTSGAGAGGVAGPYGAVDRKLYVGNLHFNMTEMQLRQIFEPFGPVELVQLPLDLETGQCKGFGFVQFAQLEHAKAAQSALNGKLEIAGRTIKVSSVTDHVGTQDTAAKSADFDDDEGGGLALNAQSRALLMQKLDRSGIATSITGSLGVPLLNGSAPNLQAVTLPVNGQAAYPAPIVPPIMSTTAVDPTGQPSECLLLKNMFDPATEMEPDFDLEIKEDVEEECSKYGRVKHIHVDKNSAGCVYLRFDSAEAAGKAQRAMHMRWFAGRSISALFMATTRVRSKV >EOX92355 pep chromosome:Theobroma_cacao_20110822:1:6418668:6425758:1 gene:TCM_001305 transcript:EOX92355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor isoform 1 MDFDEYEYLEKTVEEQEDPKKKKKDGGGSGEKSERSYRKRDGEREEDEDEGKSSSKKSRGGRDEDDEERRDRDKDRHRSSRDRERERERERDRDRDLERRSSREREKERERERRDRDKEKERDRERREREKERERREREKEKEREKERRERSSSRSRRHESDREREREREVVDIRDSRRFKEKKEVVEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNTSGAGAGGVAGPYGAVDRKLYVGNLHFNMTEMQLRQIFEPFGPVELVQLPLDLETGQCKGFGFVQFAQLEHAKAAQSALNGKLEIAGRTIKVSSVTDHVGTQDTAAKSADFDDDEGGGLALNAQSRALLMQKLDRSGIATSITGSLGVPLLNGSAPNLQAVTLPVNGQAAYPAPIVPPIMSTTAVDPTGQPSECLLLKNMFDPATEMEPDFDLEIKEDVEEECSKYGRVKHIHVDKNSAGCVYLRFDSAEAAGKAQRAMHMRWFAGRSISALFMQPHEYEARFNG >EOX95199 pep chromosome:Theobroma_cacao_20110822:1:33295287:33296461:-1 gene:TCM_004750 transcript:EOX95199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H1, putative MAKATVASTKKGTKTSNASPTSLHPPYLEMICEAISTLKDRTGSSQPAIAKFIEDKYNKMLPSNFKKLLSVQLKKFVKSEKLVKVKNSYKISSTEKLKLAIKETQKKKGTAKNKASTSQKAANKVSVKSVKTKRLSPVKTPDALKKAKKKVKKPVSGLKLKRLSQVKTPEGLKTKDLKSGDKARVVKKAKKTKK >EOX90909 pep chromosome:Theobroma_cacao_20110822:1:1001346:1004464:-1 gene:TCM_000248 transcript:EOX90909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3353) [Source:Projected from Arabidopsis thaliana (AT3G51140) TAIR;Acc:AT3G51140] MSVSGLTGSPSRCCLRLPDRSRGLVCGQVSAIPSAGKPKEKFGLLHLESSWIVSMTRWKTQKTHLIKCAMDASYGDMASESAGSAIFPRINIRDPYKRLGISREASEDEIQAARNFLISKYGGHKPSVDAIEAAHDKIIMQKFYERKNPKIDIKKKVREVKQSRVVQAVTSRFQTPATKFIVKTSIAFLVLGVLTVLFPTEEGPTLQVAISLIATFYFIHDRLKSKIRALLYGAGAFIFSWLVGTFLMVSVIPPIPVLKGPRSFEVLTSLITYVLLWVSSTYLK >EOX90907 pep chromosome:Theobroma_cacao_20110822:1:1001346:1004477:-1 gene:TCM_000248 transcript:EOX90907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3353) [Source:Projected from Arabidopsis thaliana (AT3G51140) TAIR;Acc:AT3G51140] MSVSGLTGSPSRCCLRLPDRSRGLVCGQVSAIPSAGKPKEKFGLLHLERSSWIVSMTRWKTQKTHLIKCAMDASYGDMASESAGSAIFPRINIRDPYKRLGISREASEDEIQAARNFLISKYGGHKPSVDAIEAAHDKIIMQKFYERKNPKIDIKKKVREVKQSRVVQAVTSRFQTPATKFIVKTSIAFLVLGVLTVLFPTEEGPTLQVAISLIATFYFIHDRLKSKIRALLYGAGAFIFSWLVGTFLMVSVIPPIPVLKGPRSFEVLTSLITYVLLWVSSTYLK >EOX90908 pep chromosome:Theobroma_cacao_20110822:1:1001381:1003831:-1 gene:TCM_000248 transcript:EOX90908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3353) [Source:Projected from Arabidopsis thaliana (AT3G51140) TAIR;Acc:AT3G51140] MSVSGLTGSPSRCCLRLPDRSRGLVCGQVSAIPSAGKPKEKFGLLHLERSSWIVSMTRWKTQKTHLIKCAMDASYGDMASESAGSAIFPRINIRDPYKRLGISREASEDEIQAARNFLISKYGGHKPSVDAIEAAHDKIIMQKFYERKNPKIDIKKKVREVKQSRVVQAVTSRFQTPATKFIVKTSIAFLVLGVLTVLFPTEEGPTLQVAISLIATFYFIHDRLKSKIRALLYGAGAFIFSWLVGTFLMVSVIPPIPVLKGPRSFEVLTSLITYVLLWVSSTYLK >EOX92663 pep chromosome:Theobroma_cacao_20110822:1:7993853:7997855:-1 gene:TCM_001580 transcript:EOX92663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease 2 isoform 1 MGENAATKNHDNHQVFDVSLGIPSKGGSECFDDDGRIKRTGTLWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPAVMFLFSLVTCYTSSLLTDCYRTGDPVSGKRNYTYMDAVRSILGGYKVKACGLIQYLNLCGISVGYTIAASVSMMAIKRSNCFHESGGKNPCHMSSTPYMIMFGVTEILLSQIPDFDQIWWLSIVAAVMSFTYSGIGLGLGIAKVAATGTFKGSLTGISVGTETQAQKIWRSFQALGDIAFAYSYSIVLIEIQDTVKSPPAEAKTMKKATKLSIAITTAFYMLCGCMGYASFGDFAPGNLLTGFGFYNPFWLLDVANAAIVIHLVGAYQVFCQPIFAFIEKWATQRWAESNFITKEFKIPIPGYHPYKLNLFRLVWRTAFVILTTVISMLIPFFNDVMGIMGAFGFWPLTVYFPLEMYIQQKKILKWSTRWICLKMLSMACLLITIVAGAGSIAGVMLDLKVYKPFKTTY >EOX92664 pep chromosome:Theobroma_cacao_20110822:1:7993901:7996555:-1 gene:TCM_001580 transcript:EOX92664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease 2 isoform 1 MGENAATKNHDNHQVFDVSLGIPSKGGSECFDDDGRIKRTGTLWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPAVMFLFSLVTCYTSSLLTDCYRTGDPVSGKRNYTYMDAVRSILGGYKVKACGLIQYLNLCGISVGYTIAASVSMMAIKRSNCFHESGGKNPCHMSSTPYMIMFGVTEILLSQIPDFDQIWWLSIVAAVMSFTYSGIGLGLGIAKVAATGTFKGSLTGISVGTETQAQKIWRSFQALGDIAFAYSYSIVLIEIQDTVKSPPAEAKTMKKATKLSIAITTAFYMLCGCMGYASFGDFAPGNLLTGFGFYNPFWLLDVANAAIVIHLVGAYQVFCQPIFAFIEKWATQRWAESNFITKEFKIPIPGYHPYKLNLFRLVWRTAFVILTTVISMLIPFFNDVMGIMGAFGFWPLTVYFPLEMYIQQKKILKWSTRWICLKMLSMACLLITIVAGAGSIAGVMLDLKVYKPFKTTY >EOX93706 pep chromosome:Theobroma_cacao_20110822:1:15172652:15173316:-1 gene:TCM_002620 transcript:EOX93706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMGLPLPLITISCILLLFLILPSVSSYKSGRKQWMIIVQALLALWALLSLLILNQFKDGLATANFKTTKLSGLRHAGGWDVPWGLVLLLVFFLVTLWYKPSLLSL >EOX92588 pep chromosome:Theobroma_cacao_20110822:1:7629978:7637341:-1 gene:TCM_001522 transcript:EOX92588 gene_biotype:protein_coding transcript_biotype:protein_coding description:U2 small nuclear ribonucleoprotein auxiliary factor 35 kDa subunit-related protein 1, putative isoform 1 MPTVLEEFEPIFGEPKVEWTGSSSGSGQSSGFLFYVHSPDSSHLRICVSDFRDTTWESVRSVSQLEDMRDTVGIGGSWSDFIHYLLASIKSEDVKLLLEAMPNSSDTKSAKLVAQKSKGMPRISFSLTKLTGSAAPDAMASLSLELFKAYKGVQSLFMKEQDRCLQLTKAISAEKEKNETIQSQLELNSKRHKADVSTPSMTNCQNSPDKQAARDPGPTKVTKRVALAYRRAKVRGVILQDSENDKDG >EOX92589 pep chromosome:Theobroma_cacao_20110822:1:7630101:7637395:-1 gene:TCM_001522 transcript:EOX92589 gene_biotype:protein_coding transcript_biotype:protein_coding description:U2 small nuclear ribonucleoprotein auxiliary factor 35 kDa subunit-related protein 1, putative isoform 1 MPTVLEEFEPIFGEPKVEWTGSSSGSGQSSGFLFYVHSPDSSHLRICVSDFRDTTWESVRSVSQLEDMRDTVGIGGSWSDFIHYLLASIKSEDVKLLLEAMPNSSDTKSAKLVAQKSKGMPRISFSLTKLTGSAAPDAMASLSLELFKAYKGVQSLFMKEQDRCLQLTKAISAEKEKNETIQSQLELNSKRHKADVSTPSMTNCQNSPDKQAARDPGPTKVTKRVALAYRRAKVRGVILQDSENDKDG >EOX91984 pep chromosome:Theobroma_cacao_20110822:1:4762714:4765478:1 gene:TCM_001016 transcript:EOX91984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target SNARE coiled-coil domain protein MANPYRSSQGLSARPVANSDEIQLRIDPLHADLDEEISGLHKQVTQLKHVAQEIETEARIQNDIVSDLQMLMSRAQAGVKNGMKRLNRTVAQQRSNHILQVIIFGLACFTIVYLWSKHFKR >EOX93482 pep chromosome:Theobroma_cacao_20110822:1:13290521:13291404:1 gene:TCM_002344 transcript:EOX93482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKLVTFSQIIITTKKYKYNKKKVVKNFYHHFSFLRYTKLQKTTINQTFTGLQRNIRDDGDNSPTSLPLLLLLPPSLS >EOX95398 pep chromosome:Theobroma_cacao_20110822:1:34047795:34049482:1 gene:TCM_004907 transcript:EOX95398 gene_biotype:protein_coding transcript_biotype:protein_coding description:TolB protein-related isoform 2 MLRHLIRKQPTTFDFLCHPLYFWSHLIFKSKEPRHSHGYIPTPTHPTPSSMNPKGTVVFTSVGRPQYGFDIFTVNLNQTPITNSTPEHRLTDCISINFNAQFINEDQSIVFISERSGSPRIYLTHPDLPKPEQLTSAPSSLFHDRPIIQNHRLYFISAHEQPDQPFKSWSALYSTELRGKRDTTRLSPYGVADYSPAISKSGKFVAVASYGSRPWEGDFHELKTDIVVFPVSNPNNRFVVCEKGGWPTWSGDSTIFFHRQADDGWWSIFRLEFPENPIEFSGFPVLPLRVTPPGLHCFTAAAFHDGKRIAVATRRRGKNYRHIEVFDLESKVFHQVTELLNPSFHHYNPFVSLNSEFLGYHRFRGESTQGESTVPHLEPVMSPLKNLRMLRLNGSFPSFSPDGDLLALNPTLDESGGIKVVKSNGSKRWTLIKGRTAFYNSWSPTEKHVIYTSLGPIFESVKTTVQVARITDGPWIDTMPS >EOX95397 pep chromosome:Theobroma_cacao_20110822:1:34047155:34050478:1 gene:TCM_004907 transcript:EOX95397 gene_biotype:protein_coding transcript_biotype:protein_coding description:TolB protein-related isoform 2 MLRHLIRKQPTTFDFLCHPLYFWSHLIFKSKEPRHSHGYIPTPTHPTPSSMNPKGTVVFTSVGRPQYGFDIFTVNLNQTPITNSTPEHRLTDCISINFNAQFINEDQSIVFISERSGSPRIYLTHPDLPKPEQLTSAPSSLFHDRPIIQNHRLYFISAHEQPDQPFKSWSALYSTELRGKRDTTRLSPYGVADYSPAISKSGKFVAVASYGSRPWEGDFHELKTDIVVFPVSNPNNRFVVCEKGGWPTWSGDSTIFFHRQADDGWWSIFRLEFPENPIEFSGFPVLPLRVTPPGLHCFTAAAFHDGKRIAVATRRRGKNYRHIEVFDLESKVFHQVTELLNPSFHHYNPFVSLNSEFLGYHRFRGESTQGESTVPHLEPVMSPLKNLRMLRLNGSFPSFSPDGDLLALNPTLDESGGIKVVKSNGSKRWTLIKGRTAFYNSWSPTEKHVIYTSLGPIFESVKTTVQVARITFDPSDFNGDLEEIPCDVKMLTREDTGNNAFPSCSPDGKSLVFRSGRSGHKNLYILDAVNGEFNGGIRKLTDGPWIDTMPSWSPSGDLIAFSSNMHNPDRVDAFSIYVIKPDGSDLRRIFVAGPRGSSDVDRERINHVCFSQDGEWLLFATNIGGVTVEPVSWPNQFQPYGDLYVVRLDGSGLRRLTWNGFENGTPAWHPWSELDMGRLCLGNEAGVKLKGDFEEPLWITCDFA >EOX92831 pep chromosome:Theobroma_cacao_20110822:1:8787573:8789128:-1 gene:TCM_001693 transcript:EOX92831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated gene 12 MAVANQHGCLCLALIFIFGTNLVFQATSRTLPIQDSAMYERHEQWMAQYGRVYKDSNDKEKRFKIFKENVARIDAFNTANGKPYKLGINQFADLTNEEFTASRNRFKGHMCSNTAASFKYENVTAVPSAMDWRQKGAVTPVKDQGQCGCCWAFSAVAAMEGINMITTGKLISLSEQELVDCDTKGEDQGCQGGLMDDAFKFIQKIQGLTTETDYPYRGVDGTCNTNKEANHAAKINGYEDVPANSETVLLKAVANQPVSVAIDAGGFEFQFYSGGIFTGACETQLDHGVTAVGYGVGDDGTKYWLVKNSWGTSWGEKGYIRMQRDVDAKEGLCGIAMAASYPTA >EOX90957 pep chromosome:Theobroma_cacao_20110822:1:1177884:1184023:-1 gene:TCM_000287 transcript:EOX90957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein MDRGIGLFSVLMGAVLVGYWFWHKKKMKRVGVGGEVVAKKSGVPKGSLGWPFIGETLDFIACGYTSRPVSFMDKRKSLHGTVFKTHILGTPIIVSTDPDVNKVVLQNHGNVFIPAYPKSIRELLGEHSILQMNGNLQKRVHALLGGFLRSPQLKSRVTRYIENSVKLTLGSWQDMQLIHVQEETKKITFNVLVKVLMSVGPGEDLNFLKREFEEFIKGLICLPIKFPGTRLYKSLKAKERLLKMVKKIVEERKLAMEKTDERGMANDAVDVLLRDREEANDKQSLPLDFVSGNIIEMMIPGEETVPMAMTLAVKFLSDCPVALHQLMEENMELKKQKMMNSAEDYSWTDYLSLPFTQNVISETLRLANIINGVWRKALKDIDIKGYLIPQGWCVLASFISVHMDEENYENPYRFDPWRWEKLGAAVNNNSFTPFGGGQRLCPGLELSRLELSIFLHHLVTSYRWVTQEDEIIYFPTVKMKRKLPITVTPLCNYQ >EOX90671 pep chromosome:Theobroma_cacao_20110822:1:295872:298250:1 gene:TCM_000072 transcript:EOX90671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Undecaprenyl pyrophosphate synthetase family protein, putative MGNKVGKYGGNGVNKLFGGIASFLRRCLFRVLSVGPLPAHLAFIMDGNRRYAKKNNLDEGDGHKAGYQALMSLLHYCYELGIKYVTVYAFSIENFKRQPDEVRSLMDLMLEKIEGLLMEESIVNQYGIRVYFIGNLQLLSEPVRAAAENVMQVTANNDKAVLLVCVAYTSSDEIVHAVDESCKDKSGKIRLWNSDEAYNSVIEKECEKINGVHDVQNLCNDRSDESIVLKASRGCNGVIGGFQTENGVTTYHAHESFESKWDEVLTTKATKAGEFSAVEVEGCENMREEHPAIKLVDVEKHMYMAVAPDPDILIRSSGETRLSNFLLWQTSHCPLYSPAVLWPEIGLWQLVWAILNFQRTHSYLEKKKKQL >EOX91388 pep chromosome:Theobroma_cacao_20110822:1:2536709:2538363:-1 gene:TCM_000598 transcript:EOX91388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MACLDMYNSEHKGHHHCAPMSPRISFSNDFVETQQILKQERSAREAPVSSDFEFSVTNYSMMSADELFFKGKLLPFKDNCSNQMQRTLREELLVEDDDDNVTLRPPKGSTRWKGFLGLKRTHIGSKKAGKSEGSVERMGDSKRSGFVHEENHVSKTSEELLSEGGSSCRDVEIGI >EOX93582 pep chromosome:Theobroma_cacao_20110822:1:14044419:14047640:-1 gene:TCM_002460 transcript:EOX93582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Period circadian protein, putative isoform 2 MDLEENLESLSLQNSDQSQSRELWRMKVASNVFFIYDDEDGFANAIAQGLCPTPYPYNKVFELLHLGLREYDHKYLNLTGSVAQLRDEDNAKATMVMLHHLEPPILSHAINAVLAKIADAKRISSTVPSIVAPFLVPASKLKLEGGNLTTNSNKLPLYGIQVGPETDASRVIAAKTQKPPLSLQIHYEPLACFLQIVRAANLPTSIIVGQRSQSPFNEALIEDQEVIILWQL >EOX93581 pep chromosome:Theobroma_cacao_20110822:1:14043961:14047831:-1 gene:TCM_002460 transcript:EOX93581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Period circadian protein, putative isoform 2 MDLEENLESLSLQNSDQSQSRELWRMKVASNVFFIYDDEDGFANAIAQGLCPTPYPYNKVFELLHLGLREYDHKYLNLTGSVAQLRDEDNAKATMVMLHHLEPPILSHAINAVLAKIADAKRISSTVPSIVAPFLVPASKLKLEGGNLTTNSNKLPLYGIQVGPETDASRVIAAKTQKPPLSLQIHYEPLACFLQIVRAANLPTSIIVGQRSQSPFNEALIEDQETLYEIGELMASTTGTYFRREQMKTNWIPTAKSGSGGREPWRAFYG >EOX90725 pep chromosome:Theobroma_cacao_20110822:1:464180:467275:-1 gene:TCM_000113 transcript:EOX90725 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase (quinone)s, putative MATIIGLSASQSQILSRCSPPLPPPGFVSSPARFKKEAPVRRVLVPPLKCTSSGPTQNTESEAQTTSPSSSSASLDSRNSMYNWSAGLGAIGLVETAYLTYLKLSDSDAFCPLGGGSCGDVLNSDFAVIFGVPLPLVGLVAYGFVTALSLQLSAKSSPFGISESYGRLLLLGSTTSMAAASAYFLYILSTQFAGASCSYCLMSALLSFSLFFISLKDVGLQEIQKVVGLQICIASLVVATLSASYSTTLSVPSSLANVDLPYYTTEIRTKSSPFALSLAKHLHSIGAKMYGAFWCSHCLEQKQMFGREASKLLDYVECFPDGVKRGTKMVKACADAKLEGFPTWIINGQVLNGEVELKELAEISGFNFNELVN >EOX95048 pep chromosome:Theobroma_cacao_20110822:1:32712820:32715482:1 gene:TCM_004631 transcript:EOX95048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein isoform 1 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRADRKPPGVGRGRGRSREDGPGGRQPKGVGRGLDDGAKGAGGGRGRGGAGGKTSGNRGGGRGRG >EOX95046 pep chromosome:Theobroma_cacao_20110822:1:32712917:32715505:1 gene:TCM_004631 transcript:EOX95046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein isoform 1 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRADRKPPGVGRGRGRSREDGPGGRQPKGVGRGLDDGAKGAGGGRGRGGAGGKTSGNRGGGRGRG >EOX95047 pep chromosome:Theobroma_cacao_20110822:1:32712781:32715563:1 gene:TCM_004631 transcript:EOX95047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein isoform 1 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRADRKPPGVGRGRGRSREDGPGGRQPKGVGRGLDDGAKGAGGGRGRGGAGGKTSGNRGGGRGRG >EOX95252 pep chromosome:Theobroma_cacao_20110822:1:33544767:33558633:-1 gene:TCM_004804 transcript:EOX95252 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40/YVTN repeat-like-containing domain,Bromodomain isoform 3 MDWKCSSSAGASSLCKAPLNVSTTMPEKALVEQQRRVTDHAAKTNVDIDLREIYFLIMQFLSAGPCQRTFEQLSNELLEHQLLPRRYHAWFSRSGAHSGNKNDDGISFPLSYNNLVERYPHIEKDHLIKLLKQLLCTLCGEVVGDAHAPNAADVPTLLGSGSFSLLNSDSSVGNRQGKPIPAYLRWPHMQADQVRGLSIREIGGGFRKHHRAPSVRSACYAIAKPSTMVQKMQNIKKLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNALVASASNDFVIRVWRLPDGLPVSVLRGHTGAVTAIAFSPRPAFAFQLLSSSDDGTCRIWDARFSHCSPQIYLPKPSEAVTGRSNFPSNNGPSSSNVPQNHQILCCAFNVNGTVFVTGSSDTFARVWSACKPSTDDSQQPVHELDVLAGHENDVNYVQFSGCAVPSRSSMSDTKEENVPKFKNSWFCQDNIVTCSRDGSAIIWIPRSRRSHGKVGRWTKAYHLKVPPPPLPPQPPRGGPRQRFLPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHVASSYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGIPIRIYEIGRFKLVDGKFSPDGTSIVLSDEVGQIYLLNTGQGESQKDAKYDQFFLGDYRPLIWDFGGNALDQETQLPPHRRNMQDLICDASMIPYPEPYQTMYQKRRLGALGIEWRPSSTKFAIGPDISLGQDFEMPALEDLERMMEPPPELIDAMYWEPENEVISDDTDSEYNVAEECSTEGERGALCFSSSRGTESSEEDSDVECSHKDGLRRSRRRKYNPEVEVKTSSGRRVKKRCLDEHDGSISGNNRTKNSKSGRKASKKKSSKSKSLRPQRVAAQNARSMMSRITGTSTDGEDEVDLEGDSSNSESFSEDSSIESSDIERNLESIQLMSMKKEQESEDVAWSHELPESQSNVVNRKRLVLKFSLRDSKKPEAPEATRLLNSDNQINLLDHSGPEGTFDENGNACIKHPGLSCADVELLDHDRIGLADTRQAINTGDYLEEFVGDKENKENKIRWGEVKIRTSMRSRSGDMMPTDVHNENRISTVNGEDGRLGSHAVQDLDRVTMEEFAPDEVHKSLTSEFLSLSDHQLNGSCDKYGNHDSSQTDQVGSVNQSHESKEITPHKLVKLRIRTKAISGDLGSPSKLKSLTVVHDPTCSGGDVRSRDTLSVDHNPGYCMQEIGEGSDRSSSLHLLHSGLNLNKIHGESPYKDKTDSTGLNAINDHDSEIGFSEAAADAVRRTRSMKIKASSQEQHAWNHNLKVRVEHALAGTSTNEDNFSVKAYNDIISEEWMSSSKVRERSRTTRTKRGGDPDNNSKFSSGRKSNPSGRKLSWLMLSEQEEGYRYIPQLGDEVVYFRQGHEECIESGRLKGPGPWSSRGYLSAVEICRVENLAYSHFPGSGESCCKITLKFVDNSSRAFGDAFILTLPELIGFPDFLIEKTRYDAAMRREWTRRDKCLVWWKNDNGEGGSWWDGRIVASQAKSMDFPDSPWERYEVSYKDGCKYRHSAWELHDPNFPWEHPNIDSEIRNRLLFSFAKLDRSVSRNQQDFYGFQKLNEAAERSEFLNRFPVPLYPELIRLRLENNYYRTLEAVKHDINIMLSNAESYFVRSAHLSSKMRRLSDWFTKTLSKL >EOX95253 pep chromosome:Theobroma_cacao_20110822:1:33546006:33558543:-1 gene:TCM_004804 transcript:EOX95253 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40/YVTN repeat-like-containing domain,Bromodomain isoform 3 MDWKCSSSAGASSLCKAPLNVSTTMPEKALVEQQRRVTDHAAKTNVDIDLREIYFLIMQFLSAGPCQRTFEQLSNELLEHQLLPRRYHAWFSRSGAHSGNKNDDGISFPLSYNNLVERYPHIEKDHLIKLLKQLLCTLCGEVVGDAHAPNAADVPTLLGSGSFSLLNSDSSVGNRQGKPIPAYLRWPHMQADQVRGLSIREIGGGFRKHHRAPSVRSACYAIAKPSTMVQKMQNIKKLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNALVASASNDFVIRVWRLPDGLPVSVLRGHTGAVTAIAFSPRPAFAFQLLSSSDDGTCRIWDARFSHCSPQIYLPKPSEAVTGRSNFPSNNGPSSSNVPQNHQILCCAFNVNGTVFVTGSSDTFARVWSACKPSTDDSQQPVHELDVLAGHENDVNYVQFSGCAVPSRSSMSDTKEENVPKFKNSWFCQDNIVTCSRDGSAIIWIPRSRRSHGKVGRWTKAYHLKVPPPPLPPQPPRGGPRQRFLPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHVASSYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGIPIRIYEIGRFKLVDGKFSPDGTSIVLSDEVGQIYLLNTGQGESQKDAKYDQFFLGDYRPLIWDFGGNALDQETQLPPHRRNMQDLICDASMIPYPEPYQTMYQKRRLGALGIEWRPSSTKFAIGPDISLGQDFEMPALEDLERMMEPPPELIDAMYWEPENEVISDDTDSEYNVAEECSTEGERGALCFSSSRGTESSEEDSDVECSHKDGLRRSRRRKYNPEVEVKTSSGRRVKKRCLDEHDGSISGNNRTKNSKSGRKASKKKSSKSKSLRPQRVAAQNARSMMSRITGTSTDGEDEVDLEGDSSNSESFSEDSSIESSDIERNLESIQLMSMKKEQESEDVAWSHELPESQSNVVNRKRLVLKFSLRDSKKPEAPEATRLLNSDNQINLLDHSGPEGTFDENGNACIKHPGLSCADVELLDHDRIGLADTRQAINTGDYLEEFVGDKENKENKIRWGEVKIRTSMRSRSGDMMPTDVHNENRISTVNGEDGRLGSHAVQDLDRVTMEEFAPDEVHKSLTSEFLSLSDHQLNGSCDKYGNHDSSQTDQVGSVNQSHESKEITPHKLVKLRIRTKAISGDLGSPSKLKSLTVVHDPTCSGGDVRSRDTLSVDHNPGYCMQEIGLNAINDHDSEIGFSEAAADAVRRTRSMKIKASSQEQHAWNHNLKVRVEHALAGTSTNEDNFSVKAYNDIISEEWMSSSKVRERSRTTRTKRGGDPDNNSKFSSGRKSNPSGRKLSWLMLSEQEEGYRYIPQLGDEVVYFRQGHEECIESGRLKGPGPWSSRGYLSAVEICRVENLAYSHFPGSGESCCKITLKFVDNSSRAFGDAFILTLPELIGFPDFLIEKTRYDAAMRREWTRRDKCLVWWKNDNGEGGSWWDGRIVASQAKSMDFPDSPWERYEVSYKDGCKYRHSAWELHDPNFPWE >EOX95251 pep chromosome:Theobroma_cacao_20110822:1:33544509:33558825:-1 gene:TCM_004804 transcript:EOX95251 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40/YVTN repeat-like-containing domain,Bromodomain isoform 3 MDWKCSSSAGASSLCKAPLNVSTTMPEKALVEQQRRVTDHAAKTNVDIDLREIYFLIMQFLSAGPCQRTFEQLSNELLEHQLLPRRYHAWFSRSGAHSGNKNDDGISFPLSYNNLVERYPHIEKDHLIKLLKQLLCTLCGEVVGDAHAPNAADVPTLLGSGSFSLLNSDSSVGNRQGKPIPAYLRWPHMQADQVRGLSIREIGGGFRKHHRAPSVRSACYAIAKPSTMVQKMQNIKKLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNALVASASNDFVIRVWRLPDGLPVSVLRGHTGAVTAIAFSPRPAFAFQLLSSSDDGTCRIWDARFSHCSPQIYLPKPSEAVTGRSNFPSNNGPSSSNVPQNHQILCCAFNVNGTVFVTGSSDTFARVWSACKPSTDDSQQPVHELDVLAGHENDVNYVQFSGCAVPSRSSMSDTKEENVPKFKNSWFCQDNIVTCSRDGSAIIWIPRSRRSHGKVGRWTKAYHLKVPPPPLPPQPPRGGPRQRFLPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHVASSYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGIPIRIYEIGRFKLVDGKFSPDGTSIVLSDEVGQIYLLNTGQGESQKDAKYDQFFLGDYRPLIWDFGGNALDQETQLPPHRRNMQDLICDASMIPYPEPYQTMYQKRRLGALGIEWRPSSTKFAIGPDISLGQDFEMPALEDLERMMEPPPELIDAMYWEPENEVISDDTDSEYNVAEECSTEGERGALCFSSSRGTESSEEDSDVECSHKDGLRRSRRRKYNPEVEVKTSSGRRVKKRCLDEHDGSISGNNRTKNSKSGRKASKKKSSKSKSLRPQRVAAQNARSMMSRITGTSTDGEDEVDLEGDSSNSESFSEDSSIESSDIERNLESIQLMSMKKEQESEDVAWSHELPESQSNVVNRKRLVLKFSLRDSKKPEAPEATRLLNSDNQINLLDHSGPEGTFDENGNACIKHPGLSCADVELLDHDRIGLADTRQAINTGDYLEEFVGDKENKENKIRWGEVKIRTSMRSRSGDMMPTDVHNENRISTVNGEDGRLGSHAVQDLDRVTMEEFAPDEVHKSLTSEFLSLSDHQLNGSCDKYGNHDSSQTDQVGSVNQSHESKEITPHKLVKLRIRTKAISGDLGSPSKLKSLTVVHDPTCSGGDVRSRDTLSVDHNPGYCMQEIGEGSDRSSSLHLLHSGLNLNKIHGESPYKDKTDSTGLNAINDHDSEIGFSEAAADAVRRTRSMKIKASSQEQHAWNHNLKVRVEHALAGTSTNEDNFSVKAYNDIISEEWMSSSKVRERSRTTRTKRGGDPDNNSKFSSGRKSNPSGRKLSWLMLSEQEEGYRYIPQLGDEVVYFRQGHEECIESGRLKGPGPWSSRGYLSAVEICRVENLAYSHFPGSGESCCKITLKFVDNSSRAFGDAFILTLPELIGFPDFLIEKTRYDAAMRREWTRRDKCLVWWKNDNGEGGSWWDGRIVASQAKSMDFPDSPWERYEVSYKDGCKYRHSAWELHDPNFPWEHPNIDSEIRNRLLFSFAKLDRSVSRNQDFYGFQKLNEAAERSEFLNRFPVPLYPELIRLRLENNYYRTLEAVKHDINIMLSNAESYFVRSAHLSSKMRRLSDWFTKTLSKL >EOX91745 pep chromosome:Theobroma_cacao_20110822:1:3677078:3679109:-1 gene:TCM_000829 transcript:EOX91745 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 1 isoform 2 MTGRDRPGLMSEISAALYELGCHVTATVAWTHNARMACIIHVEDGFKGGPIMAPKRVAEVQEKLENVVEAPHDSGERRSVRLTAPAAGRTHTERRLHQLMYADRDYEQCQGCDGSCRHWKGCTKTHVTIESCREKGYSVVHARSRDRTKLLFDTVCALTDMQYVVFHAAVSSKGAMADQEYFVRRQDGCSLVTEDERHRLTECLIAAIERRVSRGLRLDVCTQNKRGLLSDLTRVFRENGLSITRAEIGTHGERAIGSFYVTDASGHDVNPRTVELVRQEIGGSALVVNKSPDRTSRASSSSINRSSSSEVEERPRFSLGNLLWSQLERLSGNFGLIKS >EOX91744 pep chromosome:Theobroma_cacao_20110822:1:3677018:3681291:-1 gene:TCM_000829 transcript:EOX91744 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 1 isoform 2 MEIVYQPYIDPEFESLIERIHPPRVCIDNDAYQDCTLVKVDSANRHGILLDMVQVLTDLDLVISKSYISSDGGWFMDVFHVTDQLGNKLTDESLILYIQQAICSTRRGGIPKELQACLKRAVRPCHVSTEHTALEMTGRDRPGLMSEISAALYELGCHVTATVAWTHNARMACIIHVEDGFKGGPIMAPKRVAEVQEKLENVVEAPHDSGERRSVRLTAPAAGRTHTERRLHQLMYADRDYEQCQGCDGSCRHWKGCTKTHVTIESCREKGYSVVHARSRDRTKLLFDTVCALTDMQYVVFHAAVSSKGAMADQEYFVRRQDGCSLVTEDERHRLTECLIAAIERRVSRGLRLDVCTQNKRGLLSDLTRVFRENGLSITRAEIGTHGERAIGSFYVTDASGHDVNPRTVELVRQEIGGSALVVNKSPDRTSRASSSSINRSSSSEVEERPRFSLGNLLWSQLERLSGNFGLIKS >EOX93613 pep chromosome:Theobroma_cacao_20110822:1:14358275:14359206:-1 gene:TCM_002505 transcript:EOX93613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRISRAAVYALLAAYVMLSINAWPLLVEANIPDFSTIQENLVRLSLTRRSMKEDPLPSGRRPSRAPMKQQFSPSIYAPRGR >EOX92974 pep chromosome:Theobroma_cacao_20110822:1:9675740:9686644:-1 gene:TCM_001831 transcript:EOX92974 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair and recombination protein pif1, putative MRKMMGMKFFANAISVYRNYCSHSFSNSIVQKAYESGNKVKKNKPKVHKAKIKLTDEQNNVLDHVRGGLSVFITGSAGTGKTVLLKHIIKILKKTYGESGVFVTASTGIAACALGGQTLHSFAGIRIGDGNRGMLLDLVLNDREARRRWNKAQVLVIDEISLVDADLFDKLEYIAKTVRESDKVWGGIRLVVSGDFFQLPPIFKGHDPSRKEFAFEADCWDASFDIQVELTKIFRQSENRFIKLLQGVRRGNSDPEDLQFLKQSCSASEPHPSAVRLYPRIDDVNKVNEEKMKALSEEQVIYEAIDRGKKPWKRQLALGLAPDKLVLCKGARVMLIKNLNVMHRLVNGATGIVTGFTETKGGTVLSPDNLLPVVKFDSGPEMVIEPQKWYVMVGSRPVAWRLQLPLVLAWALSIHKCQGMSLDRIHTDLSRAFGYGMVYVALSRVRSLDGLHLSGFSPSKIKAHPKVLEFYSHLA >EOX92604 pep chromosome:Theobroma_cacao_20110822:1:7709206:7716839:-1 gene:TCM_001533 transcript:EOX92604 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MTKNLSEQIEEGKMGKRGRACVVVLGDLGRSPRMQYHALSLAHQASLEVDIVAYGGSEPHSAVLENESIHIHTMMQWPKHPHSIPKILYPLILLLKPIIQFIMLLWFLCIKVPAPDVFMVQNPPSVPTLVAVKWASSLRQSAFIVDWHNFGYTLLGLSLGRSSRFVSIYHWFEKHYGQMADGSLCVTRAMQHELGQNWGIKATVLYDQPPEFFRPTLLEEKHKLFCRLNRYLCHPLGIRDCLSAESTNIGGDDQNETLFTTQVGTGILLKPNRPALVVSSTSWTPDEDFGILLEAAVMYDRRVAALLDENDSTDEGVLWKEISVGKQYLYPRLLFVITGKGPEKEKYEEKIKKLNLKRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSFSCIEELVKVEKNGLLFSSSSELADELLMLFRGFPDDCEALKFLRNGALESSARWATEWEEHAEPLISEVISRNLK >EOX92190 pep chromosome:Theobroma_cacao_20110822:1:5675096:5681433:1 gene:TCM_001181 transcript:EOX92190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDNKPEMKRIEDVTRCQVTFSKRRSSLLRKANEISVFCDVDVALVAFSPSGRVSKFCSRERIEDVLERYVKLAPDKRYQHVRCLEWKLQKMKQLDCIRGDENKLLHLDNQIKNLELQIKKTTIELEILQTNLKEYELENVEGASLAQILWCEKNLKAALQGIMDRKKRLLNETYPSLGQTRLQRRGQTPFAYNFPGNQVLNRARQNLNSRSRLLMQHNPRTWPYSSRVHKSLLDQRYQPRGISVGVPGASSSFAFNPLAASFPAIYQHPSFTQRTEIPPTYHPIQHQPFLWDPTAASIQNLQLGVYGNSSMHQEIIGNPAFNCVPYQPPGTLGTSNLYQPIMPGILDQQLGVLGSSSVQQLMREDQITPGIPNQQLGALGDSFPDQSLIGVGTTPYAQFQQAGVLGSPSVGRLANVEPLGPQKNPTPTPYHNYNNSNTHKDVSSLPSTSQLQVDPNEMNLHLLGSPSYNTSQNLVATPLLNSERVSSEPVFTNNIGSITTPTHGDGDNANNGSSGGTSNSNNGNNGIDNCDTNEKHPACLTQENPPPESPTDSMFLNSLLNEAAIENDTSQPAGNQEDSFIDWDGFTLAENLNLEDFEIIF >EOX92191 pep chromosome:Theobroma_cacao_20110822:1:5675265:5681171:1 gene:TCM_001181 transcript:EOX92191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDNKPEMKRIEDVTRCQVTFSKRRSSLLRKANEISVFCDVDVALVAFSPSGRVSKFCSRERIEDVLERYVKLAPDKRYQHVRCLEWKLQKMKQLDCIRGDENKLLHLDNQIKNLELQIKKTTIELEILQTNLKEYELENVEGASLAQILWCEKNLKAALQGIMDRKKRLLNETYPSLGQTRLQRRGQTPFAYNFPGNQVLNRARQNLNSRSRLLMQHNPRTWPYSSRVHKSLLDQRYQPRGISVGVPGASSSFAFNPLAASFPAIYQHPSFTQRTEIPPTYHPIQHQPFLWDPTAASIQNLQLGVYGNSSMHQEIIGNPAFNCVPYQPPGTLGTSNLYQPIMPGILDQQLGVLGSSSVQLMREDQITPGIPNQQLGALGDSFPDQSLIGVGTTPYAQFQQAGVLGSPSVGRLANVEPLGPQKNPTPTPYHNYNNSNTHKDVSSLPSTSQLQVDPNEMNLHLLGSPSYNTSQNLVATPLLNSERVSSEPVFTNNIGSITTPTHGDGDNANNGSSGGTSNSNNGNNGIDNCDTNEKHPACLTQENPPPESPTDSMFLNSLLNEAAIENDTSQPAGNQEDSFIDWDGFTLAENLNLEDFEIIF >EOX95833 pep chromosome:Theobroma_cacao_20110822:1:35712363:35718588:-1 gene:TCM_005236 transcript:EOX95833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hevamine-A precursor MMAFQATILFFLISFLVLMLATCCNAGGIAIYWGQNDEEGTLAETCAAGKYDFVNVAFLPKFGNGRRPMLDLGGHCDPSGNGCTGLSSDIKLCQAKGIKVMLSIGGGAGFYSLASSDDARQVATYLWNNFLGGRSPTPVLDGIDFDIEGGTGEHWDDLARYLSGYSKKGKKVYLTAAPQCPYPDAWVGNALKTGLFDYVWVQFYNNPPCQYTSGDIASLEDAWKQWTSDIPANKIFLGLPASPEAAGSGFIPVNDLTSKVLPAIKSSSKYGGVMLWSKYYDDQSGYSSSIKSHDHQIKMASKPARPLAFFCSMVLLLIVTVDAGGIAIYWGQNGNEGTLAETCATGNYDFVNVAFLATFGNGQTPMINLAGHCDPYSNGCTGLSSDIKSCQEKGIKVILSIGGGAGSYYLSSAEDAKQVATYLWNNFLGGKSSSRPLGDAVLDGIDFDIEGGTNQHWDDLARYLSGYSKRGKKVYLTAAPQCPFPDAWVGGALKTGLFDYVWVQFYNNPPCQYSSGNIGNLQDAW >EOX95225 pep chromosome:Theobroma_cacao_20110822:1:33388334:33393652:-1 gene:TCM_004776 transcript:EOX95225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQRWLHYLLTGRNTVSIQSKGFESLKRLLHTLTDDDDYFVELALPVEGGGVIPKLKTEKPEPFGVSIQGISYTPSHPSVPLWQVCLSGCMCTSMPAYSFPSMKDIALEVGNSSPVASLDFENCNDEECTFKFRTSLSVMIKNVPSIINLLQLKEAISALGKVSKASKRSVPNGLDCCDIEFKSVESRNTAPLIGSITVKNFSLPISLLHSLETVTITIGNISSETADSAIHSTCTLCGRLEGLVRTKEDVVDAIFSVKGETDTKIKRSVIVWKEQVVVYLANTKAKLKKLCLYEGLSVIVCVTVGGQAFE >EOX93542 pep chromosome:Theobroma_cacao_20110822:1:13856557:13859686:-1 gene:TCM_002429 transcript:EOX93542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein, putative MQVFISYGKKSNGELLLSYGFAPKEGTNPSDSVELPLSLKKSDKCYKEKLEALRKHGLSASQCYPIQITGWPLELMAYAYLAMAAAASNKSITKKDLRYPEIEEKARQFILDSCESSISKYSKFLQACGSMDLDVTSPKQLNRRVFMKQLAVDLCTKVRAVKEAMMIFAVSRSNDGHKLVIESDSKIIDFDVKLAHFANQEQHAKDDIKVGGSSLQHPIRTALDRGSD >EOX90629 pep chromosome:Theobroma_cacao_20110822:1:183611:190529:1 gene:TCM_000044 transcript:EOX90629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease/protein kinase IRE1-like, putative MRLLLCFLLLLASAFSTFTANYMSAAENSAILLSTSPTPRVGGRSLLYLPEHETELAARADGTIVLRTKKSKRVIWSFASESPIYSSYQAPPPSDNGNENASQPTAAFFIDCGDDWELYAHATHSNKMKLSVTVEEFVKHMPHVSEDGAITLGSKRTTVYVVDAMSGRLLHVYRSPDSPSMLESDKKETSLYDNDNGNKELLKSAAANPAQQRFHITRTDYTLQSFHPNSDKIAWSLMVAEIGAALLCQDVDVPFITSALNSSYELPEIGSDFDLPFPCQSKGVVIREQDTSENITTSHHHDPMLPLPASHVPTLQANLGWSSDDHHNRKMHLAAAPEAKLQLQPKVDNLSNLSDKSDNKTTVLLPPLENNDSRIADVHDSRITDGQRNFSKYLVVLPFILFFIILVGFVTYRHILVAKELTALKDQPGTNLNVRPSKRKKSRRLGKSNGPVEKKDKHTSSESEDGFSPIYGDNKMLLDLNKFVDGGTDGRRIGKLVLFSTEIAKGSNGTIVLEGLYEGRAVAVKRLVQAHHDVAFKEIQNLIASDRHPNIVRWYGVEYDQDFVYLALERCTCSLGDLVQMYSDTSQNPVLSEDQATSAMIEHKIRLDSVKGIMTDVNLWKPNGHPSPLLLKLMRDVVSGLAHLHDLGIIHRDLKPQNVLIIKEKTVCAKLSDMGISKRLLEDRSSLGHYATACGSSGWQAPEQLLHGRQTRAIDLFSLGCVLFFCITRGRHPFGNHLERDINVVNNQVNLFLVEQIPEAVDLISCLLKPEPELRPSALEVLRHPLFWSSEMRLSFLRDTSDRVELEDREADSDILKALESIATVALCGKWTEKMEPAFIANIGYYRRYKFDSVRDLLRVMRNKLNHYRELPKEIQKLVGPVPEGFDGYFATRFPRLFIEVYKVVYRHCREEESFQKYFKSNAV >EOX93610 pep chromosome:Theobroma_cacao_20110822:1:14299946:14300610:-1 gene:TCM_002494 transcript:EOX93610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRTGLNFAVCTLLLAYLALSMTWCPLTVEARSPQFSAIKENLALQSLARRRLRKDPPPSSPTPHPDPTPRHG >EOX92637 pep chromosome:Theobroma_cacao_20110822:1:7858136:7889215:1 gene:TCM_001553 transcript:EOX92637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Topoisomerase 3alpha isoform 1 MSGTIKVLNVAEKPSVAKSVSGILSRNQGLRVRDGRSRFNRIFEFNYSVRGQPCHMLFTSVTGHLMELEFEDRFRKWHSCDPADLYHAPVRKHVPEDKMDIKRTLEEEARRCQWLVLWLDCDREGENIAFEVIDVCRAVNCHLTIRRARFSALIEREIHHATQNLVDPNPWFSDAVDARQEIDLRIGASFTRFQTMMLRDKFVIDSATDDRNLVLSYGPCQFPTLGFVVERYWEVQSHEPEEFWTINCSHKLDEGVATFNWMRGHLFDHTCAVIVYEMCVQEPNATVTKVRHQEKLKYPPYPLSTIELEKRASRYFRMSSEHTMKVAEDLYQAGFISYPRTETDCFSSRTDLHAIVQEQQDHPEWGSYAQRLLDPGTSLWRNPSSGGHDDKAHPPIHPTKFSAGEHNWSQDHRKLYELVVRHFLACVSQPAVGAETTVEIDIADEQFSTSGRVILERNYLDVYRYESWGGSMIPTYTLGQQFIPTSLTLDTGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRFYATKDSNTRFSPTKLGEALVMGYDDMGYELWKPNLRSMMEFDMKEVSVGNKRKDEVLATCLQQMKACFLDARLNKVKLLEAMAVFFERSNQSAGDDNHVAGEVVRQCGLCRESSMVLKKNRDGNFMVGCLGFPQCRNAIWLPGSVLEAAVTSNICGSCNPGPVYLIQFKFRQLEIPPGFNANHLGCIGGCDDILRQLIEICGTGSRMSARGRGPTTTSSNVQRNSSRQGACLYCQQTGHSSNDCPSQFSGSRNSRSRTSSQNGEPSVSCSTCGTPCVLRTANTANNRGRKFYSCPSQECNFFIWEDEHNNGTGGRSIPRAGINGSASNSSRGGGRGRGRFGQNGARAADVTFVSATGDPISGRRCYVCGDPSHFANVCPNRGM >EOX92638 pep chromosome:Theobroma_cacao_20110822:1:7858136:7888528:1 gene:TCM_001553 transcript:EOX92638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Topoisomerase 3alpha isoform 1 MSGTIKVLNVAEKPSVAKSVSGILSRNQGLRVRDGRSRFNRIFEFNYSVRGQPCHMLFTSVTGHLMELEFEDRFRKWHSCDPADLYHAPVRKHVPEDKMDIKRTLEEEARRCQWLVLWLDCDREGENIAFEVIDVCRAVNCHLTIRRARFSALIEREIHHATQNLVDPNPWFSDAVDARQEIDLRIGASFTRFQTMMLRDKFVIDSATDDRNLVLSYGPCQFPTLGFVVERYWEVQSHEPEEFWTINCSHKLDEGVATFNWMRGHLFDHTCAVIVYEMCVQEPNATVTKVRHQEKLKYPPYPLSTIELEKRASRYFRMSSEHTMKVAEDLYQAGFISYPRTETDCFSSRTDLHAIVQEQQDHPEWGSYAQRLLDPGTSLWRNPSSGGHDDKAHPPIHPTKFSAGEHNWSQDHRKLYELVVRHFLACVSQPAVGAETTVEIDIADEQFSTSGRVILERNYLDVYRYESWGGSMIPTYTLGQQFIPTSLTLDTGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRFYATKDSNTRFSPTKLGEALVMGYDDMGYELWKPNLRSMMEFDMKEVSVGNKRKDEVLATCLQQMKACFLDARLNKVKLLEAMAVFFERSNQSAGDDNHVAGEVVRQCGLCRESSMVLKKNRDGNFMVGCLGFPQCRNAIWLPGSVLEAAVTSNICGSCNPGPVYLIQFKFRQLEIPPGFNANHLGCIGGCDDILRQLIEICGTGSRMSARGRGPTTTSSNVQRNSSRQGACLYCQQTGHSSNDCPSQFSGSRNSRSRTSSQNGMQGEPSVSCSTCGTPCVLRTANTANNRGRKFYSCPSQECNFFIWEDEHNNG >EOX92418 pep chromosome:Theobroma_cacao_20110822:1:6734689:6735920:1 gene:TCM_001372 transcript:EOX92418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMERKGERYDWQGADAPCQAPLSPARREKFASGRLVELAPGCPGTRQYWSAPSKAQKSGGVKAWECLLPVGGVFAAGRRREIWAGLPSVGGATAWLFAAGERSSSWSVSRRWVMI >EOX91761 pep chromosome:Theobroma_cacao_20110822:1:3743864:3749355:1 gene:TCM_000844 transcript:EOX91761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MKSMSNDDNNNNNTNWLGFSLSPHMKMEVTNQDPRHQTQSASVSAAAAGVTTAVPSSFFHSPSHLNYGLYYGVEGENGGLYSHFSAMPLKSDGSLCLMEALGRSQPQAMVPTSTPKLEDFFGAATMGSHHYESGDREAMALSLDSMYYHHNPDHEHNNQTCLDHLQQSSRQQHQQQIQVQQYQYYSGYRNQELLLGEEAKETHVTDCNLQLPTMADDAAPGMKNWVLRNYSTDHAMPQKMIGCMGDNGAESGSIGAMAYGDLQSLSLSMSPGSQSSCVTGSQQISPSATDYAAMDTKKRGPENVDQKQIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQKELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDITRYDVERIMASNTLLAGELARRNKEIGPGNEAVSRNLLTDNSSGDTNISPKNNESQPDWKMALYQSSQQLEQKQPNMIEYKTQTFSLAPENVVAIDTISSGQREVDDSSKMGTHHLSNASSLVTSLSSSREGSPDRSSLPMPFAIPPPASKLFTGSATTVNSWIPSAQLRPALSMPHMPVFAAWTDS >EOX94851 pep chromosome:Theobroma_cacao_20110822:1:31737146:31743460:1 gene:TCM_004463 transcript:EOX94851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport protein sec31 isoform 1 MPMAAGPASPRERAHAVGPTVVPMMQAVPAVADVFAKDSIISWFRGEFAAANAIIDALCAHLAQLHGGGAGGSEYEAVFSAIHRRRLNWIPVLQMQKYHSIADVAAELKKVAAKKTEEGGEDGDHKEEVKGGGGGEGDCLDDEKEKVAEKVMENEGNGEVGGEEEEDSPDSDITDSGNFIIKRKVKYVPEVKWGFEDFEVKAPRERERKGFAALTVTLICILVNDFTCSGDAGSQEVQHVEENVDICSNHEECDARPSQIKLTKGFSAKEHVKGHTVNVVKGLKLYEDVFTDSELAKLGDFVSELRAAGQNGELSGETFILFNKQIKGNKRELIQLGVPIFGHIIEEPMSNKQTINIEPIPALLQGVIEHLVQWQLIPEYKKPNGCIINFFDEGEYSQPFLKPPHLEQPISTLFLSESTMAFGRTLVSDSEGNYRGPLQLSLKEGSLLVMRGNSADMARHVMCPSPRNRVSITFFRVRPDTNQGQLPPASPQPGAMTLWEPGVPGPYAMSNGALNGYDYEALDMMPKWGVLRGPVVMLAPVRPMVVSPRKLPRGGTGVFLPWTMGGSRKPTKHLPPRAQKGRMLALPSPVEPNAAESTSEPGITIEGKSA >EOX94852 pep chromosome:Theobroma_cacao_20110822:1:31737460:31743258:1 gene:TCM_004463 transcript:EOX94852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport protein sec31 isoform 1 VPAVADVFAKDSIISWFRGEFAAANAIIDALCAHLAQLHGGGAGGSEYEAVFSAIHRRRLNWIPVLQMQKYHSIADVAAELKKVAAKKTEEGGEDGDHKEEVKGGGGGEGDCLDDEKEKVAEKVMENEGNGEVGGEEEEDSPDSDITDSGSQEVQHVEENVDICSNHEECDARPSQIKLTKGFSAKEHVKGHTVNVVKGLKLYEDVFTDSELAKLGDFVSELRAAGQNGELSGETFILFNKQIKGNKRELIQLGVPIFGHIIEEPMSNKQTINIEPIPALLQGVIEHLVQWQLIPEYKKPNGCIINFFDEGEYSQPFLKPPHLEQPISTLFLSESTMAFGRTLVSDSEGNYRGPLQLSLKEGSLLVMRGNSADMARHVMCPSPRNRVSITFFRVRPDTNQGQLPPASPQPGAMTLWEPGVPGPYAMSNGALNGLSYYIIYINLLIQ >EOX96299 pep chromosome:Theobroma_cacao_20110822:1:37254949:37258659:1 gene:TCM_005575 transcript:EOX96299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-related, putative isoform 1 MSRRKSKGEIEYTMAKRRISNSESEESESEEKLSEEEEEEEEEEEVKPKRKQQDISEYEKQRLSRIAENRARMEALGLSKMASSLMGSSRNSSKLKGKRKVVEDDEDYRPNDEEDHDDDDNDDKLDDDYDDEEDFRSKKTPQSRKNKVKNKGSKPKRKAPVLKHLSSSDCVDDDDELMKAIALSLQDSGEVSGAVQADVQDATFTERKGNARLKKKKSFTSRVQMTEDEMVVHFFQFDAGKGGISMRDLRRVAIAHDFIWTDKELSDMIHCFDVDRDGKLNLDDFRKIVSRCNMLQTSDNS >EOX96298 pep chromosome:Theobroma_cacao_20110822:1:37254949:37257846:1 gene:TCM_005575 transcript:EOX96298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-related, putative isoform 1 MSRRKSKGEIEYTMAKRRISNSESEESESEEKLSEEEEEEEEEEEVKPKRKQQDISEYEKQRLSRIAENRARMEALGLSKMASSLMGSSRNSSKLKGKRKVVEDDEDYRPNDEEDHDDDDNDDKLDDDYDDEEDFRSKKTPQSRKNKVKNKGSKPKRKAPVLKHLSSSDCVDDDDELMKAIALSLQDSGEVSGAVQADVQDATFTERKGNARLKKKKSFTSRVQMTEDEMVVHFFQFDEAGKGGISMRDLRRVAIAHDFIWTDKELSDMIHCFDVDRDGKLNLDDFRKIVSRCNMLQTSDNS >EOX93655 pep chromosome:Theobroma_cacao_20110822:1:14556180:14559875:1 gene:TCM_002540 transcript:EOX93655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSISTHSLFTVNIWPPPSLSSKEQRHMYALQFAILQDYLKSKRSMDNGKNPIKEEEKVLSFGKKAIKEEKNVFSSGKNYEPKVASSSSKSQMKLETASEQSDSYSAEATIVLGEMEESDDEDGDFILKLLTTDYVDASPAATKNSPVAPTLNPAAVAVAQGDKARKQVALGTVVLAPPARAPASIAKPFEIRKAMPPQELENLAKTDPKRATRIITNRRSAMRAKERKKLYTYTLQHELQKLKSQAAQSSIQLTLLGTEHNALSDENSKLKDRVHFVKRMIAMQESKTDEIRKEIQFYKLLLARQMRGAVDGSRISSSAPSANVNAQHHAVSPSSAAQQGLNLPSQTAHVHQRLQHDSQQPRAGQRPNQVRQA >EOX93656 pep chromosome:Theobroma_cacao_20110822:1:14556361:14558735:1 gene:TCM_002540 transcript:EOX93656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSISTHSLFTVNIWPPPSLSSKEQRHMYALQFAILQDYLKSKRSMDNGKNPIKEEEKVLSFGKKAIKEEKNVFSSGKNYEPKVASSSSKSQMKLETASEQSDSYSAEATIVLGEMEESDDEDGDFILKLLTTDYVDASPAATKNSPVAPTLNPAAVAVAQGDKARKQVALGTVVLAPPARAPASIAKPFEIRKAMPPQELENLAKTDPKRATRIITNRRSAMRAKERKKLYTYTLQHELQKLKSQAAQSSIQLTLLGVNHQIQNLAI >EOX93511 pep chromosome:Theobroma_cacao_20110822:1:13650549:13657083:-1 gene:TCM_002400 transcript:EOX93511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome C isoform 4 MSSKSTNKTNCSRSSSARSKQSARMVAQTPIDAKLHVDFEESNRLFDYSTSIDVNISSSTSNVPSSTVSAYLQKMQRGSLIQSFGCLIAVDEQNFTVLAYSDNAPEMLDLAPHAVPSMEQQESLTFGTDVRTIFRSPGASALQKAANFGEVNLLNPILVHCKMSGKPFYAILHRIDAGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLPSGNISLLCDVLVKEVSELTGYDRVMVYKFHEDEHGEVVAESRSPNLEPYLGLHYPATDIPQASRFLFMRNKVRMICDCFSQPVKVIQDKRLAQPLSLCGSTLRSPHGCHAQYMANMGSIASLVMSVTINEDDDEMNSEQEKGRKLWGLVVCHHTSPRFVPFPLRYACEFLIQVFGVQINKEVELAAQLREKHILRTQTVLCDMLLRDSPVGIVTQSPNVMDLVKCDGAALYYRQKLWLLGVTPTEAQIRDIAEWLLEYHSGSTGLSSDSLMEAGYPGASVLGEAACGMAAVRITAKDFLFWFRSHTAKEIKWGGAKHDPGERDDGRKMHPRSSFKAFLEVVKWRSLPWEDVEMDAIHSLQLILRGSLQDEVADDSKMIVNVPSVDDRIQRVDELRIVTNEMVRLIETAAVPIFAVDSSGNVNGWNSKAAELTGLTVEQAIGRPFADLVEDDSIDIVKNMLSLALEGIEERSVEIKLRTFGCQENNGPIILVVNACCSRDLKENVVGVCFVGQDLTGQKIVMNKYTSIQGDYVGIVRSPCALIPPIFMIDELGRCLEWNDAMQKLSGMKREEAIDRMLLGEVFTVDNFGCRVKDHDTLTKLRILFNGITAGESADKLLFGFFERQGKFIEVLLSANRRTDAEGRITGTLCFLHVASPELQYALQVQRMSEQAAASSLNKLAYIRQEVRKPLKGIVLMQDLMGASDLSGEQRQLLRTSVMCQEQLTKIVDDTDIESIEECYMEMNSAEFNLGEALEAVLKQVMISSQERQVEVIQDLPAEVSSMHLYGDNLRLQQVLSNFLSNALLFTPAFEESSVAFRVIPRKERIGKKIHIVHLEFWITHPAPGIPEDLIQEMFHHSHGVSREGLGLYISQKLVKIMNGTVQYLREAEKSSFIILVEFPLACNVGHH >EOX93513 pep chromosome:Theobroma_cacao_20110822:1:13649974:13657018:-1 gene:TCM_002400 transcript:EOX93513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome C isoform 4 MSSKSTNKTNCSRSSSARSKQSARMVAQTPIDAKLHVDFEESNRLFDYSTSIDVNISSSTSNVPSSTVSAYLQKMQRGSLIQSFGCLIAVDEQNFTVLAYSDNAPEMLDLAPHAVPSMEQQESLTFGTDVRTIFRSPGASALQKAANFGEVNLLNPILVHCKMSGKPFYAILHRIDAGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLPSGNISLLCDVLVKEVSELTGYDRVMVYKFHEDEHGEVVAESRSPNLEPYLGLHYPATDIPQASRFLFMRNKVRMICDCFSQPVKVIQDKRLAQPLSLCGSTLRSPHGCHAQYMANMGSIASLVMSVTINEDDDEMNSEQEKGRKLWGLVVCHHTSPRFVPFPLRYACEFLIQVFGVQINKEVELAAQLREKHILRTQTVLCDMLLRDSPVGIVTQSPNVMDLVKCDGAALYYRQKLWLLGVTPTEAQIRDIAEWLLEYHSGSTGLSSDSLMEAGYPGASVLGEAACGMAAVRITAKDFLFWFRSHTAKEIKWGGAKHDPGERDDGRKMHPRSSFKAFLEVVKWRSLPWEDVEMDAIHSLQLILRGSLQDEVADDSKMIVNVPSVDDRIQRVDELRIVTNEMVRLIETAAVPIFAVDSSGNVNGWNSKAAELTGLTVEQAIGRPFADLVEDDSIDIVKNMLSLALEGIEERSVEIKLRTFGCQENNGPIILVVNACCSRDLKENVVGVCFVGQDLTGQKIVMNKYTSIQGDYVGIVRSPCALIPPIFMIDELGRCLEWNDAMQKLSGMKREEAIDRMLLGEVFTVDNFGCRVKDHDTLTKLRILFNGITAGESADKLLFGFFERQGKFIEVLLSANRRTDAEGRITGTLCFLHVASPELQYALQVQRMSEQAAASSLNKLAYIRQEVRKPLKGIVLMQDLMGASDLSGEQRQLLRTSVMCQEQLTKIVDDTDIESIEECYMEMNSAEFNLGEALEAVLKQVMISSQERQVEVIQDLPAEVSSMHLYGDNLRLQQVLSNFLSNALLFTPAFEESSVAFRVIPRKERIGKKIHIVHLEFWITHPAPGIPEDLIQEMFHHSHGVSREGLGLYISQKLVKIMNGTVQYLREAEKSSFIILVEFPLACNVGHH >EOX93512 pep chromosome:Theobroma_cacao_20110822:1:13651136:13657083:-1 gene:TCM_002400 transcript:EOX93512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome C isoform 4 MSSKSTNKTNCSRSSSARSKQSARMVAQTPIDAKLHVDFEESNRLFDYSTSIDVNISSSTSNVPSSTVSAYLQKMQRGSLIQSFGCLIAVDEQNFTVLAYSDNAPEMLDLAPHAVPSMEQQESLTFGTDVRTIFRSPGASALQKAANFGEVNLLNPILVHCKMSGKPFYAILHRIDAGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLPSGNISLLCDVLVKEVSELTGYDRVMVYKFHEDEHGEVVAESRSPNLEPYLGLHYPATDIPQASRFLFMRNKVRMICDCFSQPVKVIQDKRLAQPLSLCGSTLRSPHGCHAQYMANMGSIASLVMSVTINEDDDEMNSEQEKGRKLWGLVVCHHTSPRFVPFPLRYACEFLIQVFGVQINKEVELAAQLREKHILRTQTVLCDMLLRDSPVGIVTQSPNVMDLVKCDGAALYYRQKLWLLGVTPTEAQIRDIAEWLLEYHSGSTGLSSDSLMEAGYPGASVLGEAACGMAAVRITAKDFLFWFRSHTAKEIKWGGAKHDPGERDDGRKMHPRSSFKAFLEVVKWRSLPWEDVEMDAIHSLQLILRGSLQDEVADDSKMIVNVPSVDDRIQRVDELRIVTNEMVRLIETAAVPIFAVDSSGNVNGWNSKAAELTGLTVEQAIGRPFADLVEDDSIDIVKNMLSLALEGIEERSVEIKLRTFGCQENNGPIILVVNACCSRDLKENVVGVCFVGQDLTGQKIVMNKYTSIQGDYVGIVRSPCALIPPIFMIDELGRCLEWNDAMQKLSGMKREEAIDRMLLGEVFTVDNFGCRVKDHDTLTKLRILFNGITAGESADKLLFGFFERQGKFIEVLLSANRRTDAEGRITGTLCFLHVASPELQYALQVQRMSEQAAASSLNKLAYIRQEVRKPLKGIVLMQDLMGASDLSGEQRQLLRTSVMCQEQLTKIVDDTDIESIEECYMEMNSAEFNLGEALEAVLKQVMISSQERQVEVIQDLPAEVSSMHLYGDNLRLQQVLSNFLSNALLFTPAFEESSVAFRVIPRKERIGKKIHIVHLEFWMHLSYLGYEERTVSNYFRLFSRITHPAPGI >EOX93514 pep chromosome:Theobroma_cacao_20110822:1:13649982:13656653:-1 gene:TCM_002400 transcript:EOX93514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome C isoform 4 MSSKSTNKTNCSRSSSARSKQSARMVAQTPIDAKLHVDFEESNRLFDYSTSIDVNISSSTSNVPSSTVSAYLQKMQRGSLIQSFGCLIAVDEQNFTVLAYSDNAPEMLDLAPHAVPSMEQQESLTFGTDVRTIFRSPGASALQKAANFGEVNLLNPILVHCKMSGKPFYAILHRIDAGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLPSGNISLLCDVLVKEVSELTGYDRVMVYKFHEDEHGEVVAESRSPNLEPYLGLHYPATDIPQASRFLFMRNKVRMICDCFSQPVKVIQDKRLAQPLSLCGSTLRSPHGCHAQYMANMGSIASLVMSVTINEDDDEMNSEQEKGRKLWGLVVCHHTSPRFVPFPLRYACEFLIQVFGVQINKEVELAAQLREKHILRTQTVLCDMLLRDSPVGIVTQSPNVMDLVKCDGAALYYRQKLWLLGVTPTEAQIRDIAEWLLEYHSGSTGLSSDSLMEAGYPGASVLGEAACGMAAVRITAKDFLFWFRSHTAKEIKWGGAKHDPGERDDGRKMHPRSSFKAFLEVVKWRSLPWEDVEMDAIHSLQLILRGSLQDEVADDSKMIVNVPSVDDRIQRVDELRIVTNEMVRLIETAAVPIFAVDSSGNVNGWNSKAAELTGLTVEQAIGRPFADLVEDDSIDIVKNMLSLALEGIEERSVEIKLRTFGCQENNGPIILVVNACCSRDLKENVVGVCFVGQDLTGQKIVMNKYTSIQGDYVGIVRSPCALIPPIFMIDELGRCLEWNDAMQKLSGMKREEAIDRMLLGEVFTVDNFGCRVKDHDTLTKLRILFNGITAGESADKLLFGFFERQGKFIEVLLSANRRTDAEGRITGTLCFLHVASPELQYALQVQRMSEQAAASSLNKLAYIRQEVRKPLKGIVLMQDLMGASDLSGEQRQLLRTSVMCQEQLTKIVDDTDIESIEECYMEMNSAEFNLGEALEAVLKQVMISSQERQVEVIQDLPAEVSSMHLYGDNLRLQQVLSNFLSNALLFTPAFEESSVAFRDHSSSTRYPRRFNSGDVSP >EOX95007 pep chromosome:Theobroma_cacao_20110822:1:32446940:32448144:1 gene:TCM_004592 transcript:EOX95007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase 7, putative isoform 1 MAVKKVYGTPACPATMRVLASMLEHGLDFEFIYVDLKAGDHMKESFLSLSPFGQVPVFQDDQDLTLFESRAIMRFISHHYPKPGKEQVYEAPKEQGIVAAWIDVEDHQFDPPASKLRTELLEKPLNGMSADKQVVAEAEANLARVLDVYEERLKDSKYLGGDKFTSADLTHLPNLYYLMKTPVKRLFDERARVSGWCRDLLARSAWAKVVDMVEKI >EOX95008 pep chromosome:Theobroma_cacao_20110822:1:32446993:32448095:1 gene:TCM_004592 transcript:EOX95008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase 7, putative isoform 1 MAVKKVYGTPACPATMRVLASMLEHGLDFEFIYVDLKAGDHMKESFLSLSFGQVPVFQDDQDLTLFESRAIMRFISHHYPKPGKEQVYEAPKEQGIVAAWIDVEDHQFDPPASKLRTELLEKPLNGMSADKQVVAEAEANLARVLDVYEERLKDSKYLGGDKFTSADLTHLPNLYYLMKTPVKRLFDERARVSGWCRDLLARSAWAKVVDMVEKI >EOX95011 pep chromosome:Theobroma_cacao_20110822:1:32457285:32457879:1 gene:TCM_004595 transcript:EOX95011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPVDLGAREHKKESYLSLNSFGHVPALQDGGLKLFESRAISKYIASTYSDKGIKALILSWLRWKPTSLIL >EOX92451 pep chromosome:Theobroma_cacao_20110822:1:6888893:6889588:-1 gene:TCM_001396 transcript:EOX92451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVQEPPTFSKSSQNLSTQATSWGSCPDIFPYQLRVLQGNTMTLAYKAGDHHEDHSRELFLIIERKVIMTFVFSLSFFGSFNFLFIFLIEMNEELVATVYGVVGLIIFGGLGDLVCQKEIVSFSFDAQGRNSWFNLNFFFECASDVEKKKEKLIAWQ >EOX93914 pep chromosome:Theobroma_cacao_20110822:1:17384291:17387496:1 gene:TCM_002915 transcript:EOX93914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 15 isoform 2 MMFWLSFLFLLSIIAINDVVALVVADAKRIPSTLDGPFDPVTVPYDVSLRGNAVDLPDTDPRVRRRVKGFEPEQISVSLSVSYDSVWISWVTGEFQIGNNIKPLNPNAVASLVRYGRSRFPLTHEAIGYSLVYNQLYPFEGLKNYTSGIIHHVRLTGLKPSTLYYYRCGDPSISAMSDIYHFRTLPTSGPRSYPKRIAVVGDLGLTYNTTDTISHLTRNNPDLVILVGDVTYANLYLTNGTGSDCYDCSFPDTPIHETYQPRWDYWGRFMQSLISKTPIMVVEGNHEIEEQAGNQRFVAYSSRFAFPSKESESSSTFYYSFNAGGIHFIMLGAYIAYNKSAEQYKWLERDLAKVDRSMTPWLVAAWHPPWYSSYKAHYKEAECMRVEMEELLYSYGVDIVLNGHVSDEN >EOX93912 pep chromosome:Theobroma_cacao_20110822:1:17383695:17389793:1 gene:TCM_002915 transcript:EOX93912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 15 isoform 2 MMFWLSFLFLLSIIAINDVVALVVADAKRIPSTLDGPFDPVTVPYDVSLRGNAVDLPDTDPRVRRRVKGFEPEQISVSLSVSYDSVWISWVTGEFQIGNNIKPLNPNAVASLVRYGRSRFPLTHEAIGYSLVYNQLYPFEGLKNYTSGIIHHVRLTGLKPSTLYYYRCGDPSISAMSDIYHFRTLPTSGPRSYPKRIAVVGDLGLTYNTTDTISHLTRNNPDLVILVGDVTYANLYLTNGTGSDCYDCSFPDTPIHETYQPRWDYWGRFMQSLISKTPIMVVEGNHEIEEQAGNQRFVAYSSRFAFPSKESESSSTFYYSFNAGGIHFIMLGAYIAYNKSAEQYKWLERDLAKVDRSMTPWLVAAWHPPWYSSYKAHYKEAECMRVEMEELLYSYGVDIVLNGHVHAYERSNRVYNFTLDPCGPVHITVGDGGNREKMAIEHADETGNCPEASSTYDMYMGGFCAKNFTSGPAAGKFCWDRQPDYSAFRESSFGHGILEVKNETWALWTWYRNQDSNTKVGDQIYIVRQPDICPVHHTLPKRWFADS >EOX93913 pep chromosome:Theobroma_cacao_20110822:1:17383695:17389462:1 gene:TCM_002915 transcript:EOX93913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 15 isoform 2 MMFWLSFLFLLSIIAINDVVALVVADAKRIPSTLDGPFDPVTVPYDVSLRGNAVDLPDTDPRVRRRVKGFEPEQISVSLSVSYDSVWISWVTGEFQIGNNIKPLNPNAVASLVRYGRSRFPLTHEAIGYSLVYNQLYPFEGLKNYTSGIIHHVRLTGLKPSTLYYYRCGDPSISAMSDIYHFRTLPTSGPRSYPKRIAVVGDLGLTYNTTDTISHLTRNNPDLVILVGDVTYANLYLTNGTGSDCYDCSFPDTPIHETYQPRWDYWGRFMQSLISKTPIMVVEGNHEIEEQAGNQRFVAYSSRFAFPSKESESSSTFYYSFNAGGIHFIMLGAYIAYNKSAEQYKWLERDLAKVDRSMTPWLVAAWHPPWYSSYKAHYKEAECMRVEMEELLYSYGVDIVLNGHVHAYERSNRVYNFTLDPCGPVHITVGDGGNREKMAIEHADETGNCPEASSTYDMYMGGFCAKNFTSGPAAGKFCWDRQPDYSAFRESSFGHGILEVSLCISVSLHAFVQQMKHHSEERDLGPMDMVSEPGLKY >EOX95525 pep chromosome:Theobroma_cacao_20110822:1:34554213:34556194:1 gene:TCM_005002 transcript:EOX95525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein, putative MQCCGQWKPVAAMVAVNFALAVTNFLVKRILDEGVSHIIILIYRQAISAVFLAPIAYFWERKSRPKLTARILCHLFITALIGATLSQYFFLLGLEYTTATFSCAFINMVPAVTFMLALPFGLEKVDIRNKAGGAKVFGTLVCIAGAMVLTLYRGKTLVKSNSRATTHIINYANTMISTKKKERWAIGSILLTVGCIFWSSWFLLQARIGKTYPYQYSSTAFLSFFSAIQSAILSLVAERNFSKWILKGKLELITVTYAGMVASGLCYVGMSWCVKQKGPVFTSAFTPLVQIFVAMFDFFILHGQIYFGRNSVVGSILVVIGLYILLWGRNSEAEETNQRKQPQVEQEEDRNARSQV >EOX94871 pep chromosome:Theobroma_cacao_20110822:1:31831824:31834586:1 gene:TCM_004483 transcript:EOX94871 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3 family protein MADDALLKQLEESTKDAVRHQAETLHSILQHQSGVRYLQRYLSDVDDQNAPIDAATFRRSVPLSSYDDYADYINQLADGACSDDHLLSVDPLVCFFYSSGTSSMKPKLIPYFDSALSKAASYIAHQGSAAVLRRFFPPRPEVNRRLAFIYADSITTTKGGFKVMAASSFPLQNSSSNANRSLFTSLTSPKEVILGSNVEHQMYCHLLCGLRNSDYVDAIHAPYAPGLIKALGVLESKWEQLCEDIRKGFPCLEIDDVLMRDSVVEVLDGPQPDLSNRIRLICEEKDWGGILHKLWPNVRYIRCITTGSMKQYYSKLKYYAGVIPLLGGDYFSSECCVAINLDIKQPPDMTKFVMLPTAAYFEFLPFDSTENKVVGEETVDISGVEVGKMYEVVVTTYRGFYRYRLGDIVRVVDFYNSSPLLEFVMRAAKTSYEIVSEGDLMAAMESFQPLLRNIMGMEVEIVEFTSFLDFDLSPKRLKIFVEVKDCDMFSQDKLQESILLLRKCCSALDDSLGSIYKVQRDKGEMHPLSLSILKCGSFDRLLQGAIKNGAASQYKPPKIIRNRDIVNVMEGYVLVTICLESLCA >EOX94300 pep chromosome:Theobroma_cacao_20110822:1:27664563:27675819:1 gene:TCM_003892 transcript:EOX94300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 5 isoform 1 MGFILLLNSITASFSSSSAGQPPHFSLLRAIQGLPILELSSICINLTLFLVFIFIVSARQIFVCLGRIRFLKDDSVTNSSPIRRSVSVDGEVQHLIVGTGFKLSVICCFYVLFVQVVVLGFDGFGLIREAVDRKVVDWSVLALPAAQGLAWFVLSFSALHCKFKVSEKFPLLLRVWWFVSFVICLCSLYVDGKSFLVDGSNHLSSHVVANFAVTPALAFLCFVAIRGVTGIEVCRNSDLQEPLLLEEEAGCLKVTPYSDAGLFSLATLSWLNPLLSVGAKRPLELKDIPLLAPKDRAKTNYKVLNSNWEKLKAENLSKQPSLAWAILKSFWKEAACNALFALLNTLVSYVGPYMISYFVDYLGGKETFPHEGYVLAGIFFASKLVETLTTRQWYLGVDILGMHVRSALTAMVYQKGLKLSSLAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKNVGIASVATLVSTIISIVITVPLAKVQEDYQDKLMAAKDDRMRKTSECLRNMRILKLQAWEDRYQVKLEEMRGVEFKWLRKALYSQAFITFIFWSSPIFVAAVTFATSILLGGQLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQEDATIVLPRGMSKVAIEIKDGEFGWDPSSSRPTLSGIQMKVERGMRVAVCGMVGSGKSSLLSCILGEIPKISGEVRVCGTAAYVSQSAWIQSGNIEENILFGSPMDKAKYKNVIHACSLKKDFELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTSSELFKEYIMTALAYKTVIFVTHQVEFLPTADLILVLRDGRIIQAGKYDELLQAGTDFNTLVSAHHEAIEAMDIPSHSSEDSDENLLLDGPTILNKKCDSAGNNIDSLAKEVQDGASASEQKAIKEKKKAKRRKKQLVQEEERVKGRVSMKVYLSYMVAAYKGILIPLIVLAQTLFQFLQIASNWWMAWANPQTEGDQAKVSPMVLLVVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLKMLRSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTKVTWQVLLLVVPMAVACLWMQKYYMASSRELVRIVSIQKSPIIHLFGESIAGAATIRGFGQEKRFMKRNIYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPAVIENSRPPSSWPENGTIELVDLKVRYGENLPVVLHGVTCAFPGGKKIGIVGRTGSGKSTLIQALFRLIEPAGGRIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDHEIWEALDKSQLGDIVREKDQKLGTPVLENGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDTATDNLIQKIIRTEFKNCTVCTIAHRIPTVIDSDLVLVLSDGRVAEFDTPAHLLEDKSSMFLKLVTEYSSRSSGIPDF >EOX94301 pep chromosome:Theobroma_cacao_20110822:1:27665009:27675702:1 gene:TCM_003892 transcript:EOX94301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 5 isoform 1 MGFILLLNSITASFSSSSAGQPPHFSLLRAIQGLPILELSSICINLTLFLVFIFIVSARQIFVCLGRIRFLKDDSVTNSSPIRRSVSVDGEVQHLIVGTGFKLSVICCFYVLFVQVVVLGFDGFGLIREAVDRKVVDWSVLALPAAQGLAWFVLSFSALHCKFKVSEKFPLLLRVWWFVSFVICLCSLYVDGKSFLVDGSNHLSSHVVANFAVTPALAFLCFVAIRGVTGIEVCRNSDLQEPLLLEEEAGCLKVTPYSDAGLFSLATLSWLNPLLSVGAKRPLELKDIPLLAPKDRAKTNYKVLNSNWEKLKAENLSKQPSLAWAILKSFWKEAACNALFALLNTLVSYVGPYMISYFVDYLGGKETFPHEGYVLAGIFFASKLVETLTTRQWYLGVDILGMHVRSALTAMVYQKGLKLSSLAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKNVGIASVATLVSTIISIVITVPLAKVQEDYQDKLMAAKDDRMRKTSECLRNMRILKLQAWEDRYQVKLEEMRGVEFKWLRKALYSQAFITFIFWSSPIFVAAVTFATSILLGGQLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQEDATIVLPRGMSKVAIEIKDGEFGWDPSSSRPTLSGIQMKVERGMRVAVCGMVGSGKSSLLSCILGEIPKISGEVRVCGTAAYVSQSAWIQSGNIEENILFGSPMDKAKYKNVIHACSLKKDFELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTSSELFKEYIMTALAYKTVIFVTHQVEFLPTADLILVLRDGRIIQAGKYDELLQAGTDFNTLVSAHHEAIEAMDIPSHSSEDSDENLLLDGPTILNKKCDSAGNNIDSLAKEVQDGASASEQKAIKEKKKAKRRKKQLVQEEERVKGRVSMKVYLSYMVAAYKGILIPLIVLAQTLFQFLQIASNWWMAWANPQTEGDQAKVSPMVLLVVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLKMLRSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTKVTWQVLLLVVPMAVACLWMQKYYMASSRELVRIVSIQKSPIIHLFGESIAGAATIRGFGQEKRFMKRNIYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPAVIENSRPPSSWPENGTIELVDLKVRYGENLPVVLHGVTCAFPGGKKIGIVGRTGSGKSTLIQALFRLIEPAGGRIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDHEIWEALDKSQLGDIVREKDQKLGTPVLENGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDTATDNLIQKIIRTEFKNCTVCTIATVIDSDLVLVLSDGRVAEFDTPAHLLEDKSSMFLKLVTEYSSRSSGIPDF >EOX91957 pep chromosome:Theobroma_cacao_20110822:1:4642491:4644286:1 gene:TCM_000995 transcript:EOX91957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTHTSKTKISYEQKQESHFFNMGCDGNEFKRNDLKQERSGKKEPNKAPLESENEKGTFWTVCPYCYYMYEYEKKYEECCLVCQNCRKGFHGVAVAAPPESLLVKGKDGGYYSGYGFFPLGRFGDSFLGDKKEVGGGDTGKKHKVVEISDDSDDETKNMDVKNKGSKVKVEVLNTGEGRRAMTRVKSVPRNTKKMMGKGVKGKKVEKMSVLEMNVEFGNGVGDGDCGSGSGGGVGVGSGCQDGCIENELEFFEGDDDIFVGLKGIY >EOX93752 pep chromosome:Theobroma_cacao_20110822:1:15547940:15548836:-1 gene:TCM_002670 transcript:EOX93752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANPVDSVHVISSLDDPHFAFFMHHSDHHGSISITLKLTSNNYSAWSKSFYLALSIRNKLGFIDGAIPQPLVTDKLYMTWMRCNNLIVAWILECLTPSIASIVFYMNNATQIRKTLKRRFSLPDKVKICNLQHTLNGIA >EOX93993 pep chromosome:Theobroma_cacao_20110822:1:18213762:18218435:-1 gene:TCM_003024 transcript:EOX93993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFFFSSFCSFPLSRVGPNRSLVYEGTHVWLKWMLCFSFFYLDSFFPFFLYRVFFFLSFFLYGTARGSLQHYFFLCYASTSSKFQLLFG >EOX94703 pep chromosome:Theobroma_cacao_20110822:1:30952134:30953965:1 gene:TCM_004315 transcript:EOX94703 gene_biotype:protein_coding transcript_biotype:protein_coding description:20S proteasome beta subunit D1 MLIQRIQVSARFTEYIQKNVALYQFRNGIPLTTAAAANFTRGELATALRQNPFSVNILLAGYDKEIGPSFYYLDYIAILHKIDKGVFDKYIMEIRLRLVVAPPTFVIKIVDKDGAREYAWRESIKDTGFPLPKNLLSLVRLSFFDFTVSKKVNT >EOX93771 pep chromosome:Theobroma_cacao_20110822:1:15640319:15642274:-1 gene:TCM_002688 transcript:EOX93771 gene_biotype:protein_coding transcript_biotype:protein_coding description:RS21-C6, EAR, NTP pyrophosphohydrolase MazG catalytic core, putative MAPVLALARRRDCQCQEGREGRFPAKANKPMKHLFPVHLDKGTRKMTGVQEVDQSVSLDLLKQKMANFAKERDWDQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPDWKEEEKVHLGEELSDVLLYLVRLSDICGIDLAKAALRKVELNAIKYPASKNYTNSNNGTAEAVDDHPKAP >EOX90834 pep chromosome:Theobroma_cacao_20110822:1:738412:740885:1 gene:TCM_000191 transcript:EOX90834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MGPLFSTTYAGKWLGLVTAIWVQAICGNNYTFANYSDALKSLMALTQLELNNLSVAKDVGKAFGLLSGFASDCLPTSVLLIIGSLEGFIGYGVQWLVVSQRIRPLPYWQMCIFLCLGGNSTTWMNTAVLVTCMRNFPKNRGPVSGILKGYVGLSTAIFTDICTALFSSDPSTFLFILAVVPAVVCLTAVFFLHETPPASRPSELQQETQYFHIFNGIAIVLAVYLLAFDITGSHGQFLSLIFAVGLVTLLATPLGVPLYSILSKPRSNSDIEQPMKEPLLLNQSKKPETTTAGVKLEDLDRKRPLIGEDHTIIEMVQTFDFWILSVSFLCGVGTGMCVMNNMGQMGLALGYPDVSIFVSLTSIWGFFGRIASGLLSEYYIWKFGTPRPLWNAASQVLMSTGYVIMAFALPGSLYVGSILVGICYGVRLTVTVPVASELFGLKYYGLVYNILILNLPLGSFLFSGLLAGYLYDAEAAANDGGGNTCIGAHCYCLVFVIMAITCVLGLGLDMLLAARTRTVYAKIHESKKSIIATKTTDHSSSIKC >EOX94192 pep chromosome:Theobroma_cacao_20110822:1:25163976:25165235:-1 gene:TCM_003641 transcript:EOX94192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGVQRTGKEDPKETNKGLVPDRPQKEKAILHQDEQKMNTMGQDGNCGPGGEEKVEEFLAKMQSAEGDGKVIFHQNRAHGQTENIGEEREGTEPKKDELSLSPTEGKIDTQSSLNVHGRLEGKNNSNNNIKNGVMCEPVEELTISKEGDGTLGFKQVNEAMEMNSKNYFSKPSELEMILQYGARHKSVHETIIIVAESVEKGSREMKEASNGTTRNQKRKKTKQKFVKNLEESAQQGNHREKKEEQKKETKGLCSNDKPNGAPRIAKNFAKSQTAESGTQALLPKNGRYGQTKINAEKRELTVHAGEDVHGKGDHDATDHARENVHSKRED >EOX92562 pep chromosome:Theobroma_cacao_20110822:1:7510240:7515068:-1 gene:TCM_001499 transcript:EOX92562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MESEKPNFGAIMVYFSKPSWAFLVLLFTAIAILSLQISTKTILPFQLFPVSGAPKQPDIASGSDHGSCAGFFGELPRRKHVMSIKDFGGVGDGETSNTDAFRKALVYMQRFSEKGGAQLNVPEGRWLTGSFNLTSNFTLFLELGAIILGSQVPEEWPIVEPLPSYGRGRERLGGRHISLIHGDGLTDVVITGQNGTIDGQGRMWWELWWNRTLKHTRGHLLELMNSHNILISNLTFLNSPFWTIHPVYCSNVVIKDMTILAPLNAPNTDGIDPDSSTNVCIEDCYIESGDDLVAVKSGWDQYGITMARPSSNIIVRRISGTTPTCSGIGIGSEMSGGIFNVTIEDMHVWNSAAGVRIKTDKGRGGYIANITINNIKMERVKIPIRFSRGSNDHPDDGWDRKAIPKIEGIFISNIFSLNSTKAPVLAGVQGASFEGICLKNVTLLGLASTATWHCEFVSGCTSAVFPLPCPQLQNNGSSPCCL >EOX92561 pep chromosome:Theobroma_cacao_20110822:1:7510507:7515461:-1 gene:TCM_001499 transcript:EOX92561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MESEKPNFGAIMVYFSKPSWAFLVLLFTAIAILSLQISTKTILPFQLFPVSGAPKQPDIASGSDHGSCAGFFGELPRRKHVMSIKDFGGVGDGETSNTDAFRKALVYMQRFSEKGGAQLNVPEGRWLTGSFNLTSNFTLFLELGAIILGSQLKIREEKNLVISEKEEEEELYIKRNKVGAADVQLQLKGPHQTYLVPEEWPIVEPLPSYGRGRERLGGRHISLIHGDGLTDVVITGQNGTIDGQGRMWWELWWNRTLKHTRGHLLELMNSHNILISNLTFLNSPFWTIHPVYCSNVVIKDMTILAPLNAPNTDGIDPDSSTNVCIEDCYIESGDDLVAVKSGWDQYGITMARPSSNIIVRRISGTTPTCSGIGIGSEMSGGIFNVTIEDMHVWNSAAGVRIKTDKGRGGYIANITINNIKMERVKIPIRFSRGSNDHPDDGWDRKAIPKIEGIFISNIFSLNSTKAPVLAGVQGASFEGICLKNVTLLGLASTATWHCEFVSGCTSAVFPLPCPQLQNNGSSPCCL >EOX95423 pep chromosome:Theobroma_cacao_20110822:1:34167822:34175555:-1 gene:TCM_004927 transcript:EOX95423 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT dimerization domain-containing protein isoform 2 MVEEMAPLRSTGYVDPGWEHGIAQDERKKKVKCNYCGKIVSGGIFRLKQHLARLSGEVTHCEKVPEEVCLNMRKNLEGCRSGRKRRQSEYEQAALNFQSNEYNDAEEASAGYKHKGKKVMGDKNLVIKFTPLRSLGYVDPGWEHCVAQDEKKKRVKCNYCEKIISGGINRFKQHLARIPGEVAYCEKAPEEVYLKIKENMKWHRTGRRHRKPDTKEISAFYLHSDNEDEGGEEDGYLQCISKDILAIDDKVSDSDIRNNNVRGRSPGSSGNGAEPLLKRSRLDSVFLKSLKSQTSAHYKQTRAKIGFEKKTRREVISAICKFFYHAGIPSNAANSPYFHKMLEVVGQYGQGLHGPSSRIISGRLLQEEIANIKEYLAEFKASWAITGCSVMADSWNDAQGRTLINFLVSCPRGVCFLSSVDATDMIEDAANLFKLLDKAVDEVGEEYVVQVITRNTLSFRNAGKMLEEKRRNLFWTPCAVYCIDRMLEDFLNIKWVGECIDKAKKVTRFIYNNTWLLNFMKKEFTKGQELLKPAVTKFGTNFFTLQSMLDQRVGLKKMFQSNRWLSSRFSKLDEGKEVEKIVLNVTFWKKMQYVKKSLEPVAEVLQKIGSDEIRSMPFIYNDICRTKLAIKAIHGDDVRKFGPFWSVIENNWSSLFHHPLYVAAYFLNPSFRYCPDFLMNPEVIRGLNECIVRLESDNGKRISASMQIPDFVSAKADFGTDLAISTRSELDPASWWQQHGISCLELQRIAIRILSQRCSSIGCQHTWSVFDQVHSKRRNCLSRKRLNDHTYVHYNLRLRERQLGRKPDDLVSFDSAMLESVLDDWLVESEKQAMQEDEEIIYNEVEQFYGDDMDEHFIFDVPHQTWSAEGEGSVSATYSHVVRTGVPKAHGGRRNRAFIQIPFLIIVLGTIGLGGLNGTRAYRKQKEECPSHNPFLP >EOX95425 pep chromosome:Theobroma_cacao_20110822:1:34168961:34175446:-1 gene:TCM_004927 transcript:EOX95425 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT dimerization domain-containing protein isoform 2 MAPLRSTGYVDPGWEHGIAQDERKKKVKCNYCGKIVSGGIFRLKQHLARLSGEVTHCEKVPEEVCLNMRKNLEGCRSGRKRRQSEYEQAALNFQSNEYNDAEEASAGYKHKGKKVMGDKNLVIKFTPLRSLGYVDPGWEHCVAQDEKKKRVKCNYCEKIISGGINRFKQHLARIPGEVAYCEKAPEEVYLKIKENMKWHRTGRRHRKPDTKEISAFYLHSDNEDEGGEEDGYLQCISKDILAIDDKVSDSDIRNNNVRGRSPGSSGNGAEPLLKRSRLDSVFLKSLKSQTSAHYKQTRAKIGFEKKTRREVISAICKFFYHAGIPSNAANSPYFHKMLEVVGQYGQGLHGPSSRIISGRLLQEEIANIKEYLAEFKASWAITGCSVMADSWNDAQGRTLINFLVSCPRGVCFLSSVDATDMIEDAANLFKLLDKAVDEVGEEYVVQVITRNTLSFRNAGKMLEEKRRNLFWTPCAVYCIDRMLEDFLNIKWVGECIDKAKKVTRFIYNNTWLLNFMKKEFTKGQELLKPAVTKFGTNFFTLQSMLDQRVGLKKMFQSNRWLSSRFSKLDEGKEVEKIVLNVTFWKKMQYVKKSLEPVAEVLQKIGSDEIRSMPFIYNDICRTKLAIKAIHGDDVRKFGPFWSVIENNWSSLFHHPLYVAAYFLNPSFRYCPDFLMNPEVIRGLNECIVRLESDNGKRISASMQIPDFVSAKADFGTDLAISTRSELDPASWWQQHGISCLELQRIAIRILSQRCSSIGCQHTWSVFDQVHSKRRNCLSRKRLNDHTYVHYNLRLRERQLGRKPDDLVSFDSAMLESVLDDWLVESEKQAMQEDEEIIYNEVEQFYGDDMDEHVSEEKRPTEMVTLASLVEPLDVNPAAGGVTTDDDGLDFLDDDLTD >EOX95426 pep chromosome:Theobroma_cacao_20110822:1:34169963:34173181:-1 gene:TCM_004927 transcript:EOX95426 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT dimerization domain-containing protein isoform 2 MVEEMAPLRSTGYVDPGWEHGIAQDERKKKVKCNYCGKIVSGGIFRLKQHLARLSGEVTHCEKVPEEVCLNMRKNLEGCRSGRKRRQSEYEQAALNFQSNEYNDAEEASAGYKHKGKKVMGDKNLVIKFTPLRSLGYVDPGWEHCVAQDEKKKRVKCNYCEKIISGGINRFKQHLARIPGEVAYCEKAPEEVYLKIKENMKWHRTGRRHRKPDTKEISAFYLHSDNEDEGGEEDGYLQCISKDILAIDDKVSDSDIRNNNVRGRSPGSSGNGAEPLLKRSRLDSVFLKSLKSQTSAHYKQTRAKIGFEKKTRREVISAICKFFYHAGIPSNAANSPYFHKMLEVVGQYGQGLHGPSSRIISGRLLQEEIANIKEYLAEFKASWAITGCSVMADSWNDAQGRTLINFLVSCPRGVCFLSSVDATDMIEDAANLFKLLDKAVDEVGEEYVVQVITRNTLSFRNAGKMLEEKRRNLFWTPCAVYCIDRMLEDFLNIKWVGECIDKAKKVTRFIYNNTWLLNFMKKEFTKGQELLKPAVTKFGTNFFTLQSMLDQRVGLKKMFQSNRWLSSRFSKLDEGKEVEKIVLNVTFWKKMQYVKKSLEPVAEVLQKIGSDEIRSMPFIYNDICRTKLAIKAIHGDDVRKFGPFWSVIENNWSSLFHHPLYVAAYFLNPSFRYCPDFLMNPEVIRGLNECIVRLESDNGKRISASMQIPDFVSAKADFGTDLAISTRSELDPASWWQQHGISCLELQRIAIRILSQRCSSIGCQHTWSVFDQVHSKRRNCLSRKRLNDHTYVHYNLRLRERQLGRKPDDLVSFDSAMLESVLDDWLVESEKQAMQEDEEIIYNEVEQFYGDDMDEHVSEEKRPTEMVTLASLVEPLDVNPAAGGVTTDDDGLDFLDDDLTD >EOX95420 pep chromosome:Theobroma_cacao_20110822:1:34167804:34175654:-1 gene:TCM_004927 transcript:EOX95420 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT dimerization domain-containing protein isoform 2 MVEEMAPLRSTGYVDPGWEHGIAQDERKKKVKCNYCGKIVSGGIFRLKQHLARLSGEVTHCEKVPEEVCLNMRKNLEGCRSGRKRRQSEYEQAALNFQSNEYNDAEEASAGYKHKGKKVMGDKNLVIKFTPLRSLGYVDPGWEHCVAQDEKKKRVKCNYCEKIISGGINRFKQHLARIPGEVAYCEKAPEEVYLKIKENMKWHRTGRRHRKPDTKEISAFYLHSDNEDEGGEEDGYLQCISKDILAIDDKVSDSDIRNNNVRGRSPGSSGNGAEPLLKRSRLDSVFLKSLKSQTSAHYKQTRAKIGFEKKTRREVISAICKFFYHAGIPSNAANSPYFHKMLEVVGQYGQGLHGPSSRIISGRLLQEEIANIKEYLAEFKASWAITGCSVMADSWNDAQGRTLINFLVSCPRGVCFLSSVDATDMIEDAANLFKLLDKAVDEVGEEYVVQVITRNTLSFRNAGKMLEEKRRNLFWTPCAVYCIDRMLEDFLNIKWVGECIDKAKKVTRFIYNNTWLLNFMKKEFTKGQELLKPAVTKFGTNFFTLQSMLDQRVGLKKMFQSNRWLSSRFSKLDEGKEVEKIVLNVTFWKKMQYVKKSLEPVAEVLQKIGSDEIRSMPFIYNDICRTKLAIKAIHGDDVRKFGPFWSVIENNWSSLFHHPLYVAAYFLNPSFRYCPDFLMNPEVIRGLNECIVRLESDNGKRISASMQIPDFVSAKADFGTDLAISTRSELDPASWWQQHGISCLELQRIAIRILSQRCSSIGCQHTWSVFDQVHSKRRNCLSRKRLNDHTYVHYNLRLRERQLGRKPDDLVSFDSAMLESVLDDWLVESEKQAMQEDEEIIYNEVEQFYGDDMDEHFIFDVPHQTWSAEGEGSVSATYSHVVRTGVPKAHGGRRNRAFIQIPFLIIVLGTIGLGGLNGTRAYRKQKEECPSHNPFLP >EOX95422 pep chromosome:Theobroma_cacao_20110822:1:34169963:34173181:-1 gene:TCM_004927 transcript:EOX95422 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT dimerization domain-containing protein isoform 2 MVEEMAPLRSTGYVDPGWEHGIAQDERKKKVKCNYCGKIVSGGIFRLKQHLARLSGEVTHCEKVPEEVCLNMRKNLEGCRSGRKRRQSEYEQAALNFQSNEYNDAEEASAGYKHKGKKVMGDKNLVIKFTPLRSLGYVDPGWEHCVAQDEKKKRVKCNYCEKIISGGINRFKQHLARIPGEVAYCEKAPEEVYLKIKENMKWHRTGRRHRKPDTKEISAFYLHSDNEDEGGEEDGYLQCISKDILAIDDKVSDSDIRNNNVRGRSPGSSGNGAEPLLKRSRLDSVFLKSLKSQTSAHYKQTRAKIGFEKKTRREVISAICKFFYHAGIPSNAANSPYFHKMLEVVGQYGQGLHGPSSRIISGRLLQEEIANIKEYLAEFKASWAITGCSVMADSWNDAQGRTLINFLVSCPRGVCFLSSVDATDMIEDAANLFKLLDKAVDEVGEEYVVQVITRNTLSFRNAGKMLEEKRRNLFWTPCAVYCIDRMLEDFLNIKWVGECIDKAKKVTRFIYNNTWLLNFMKKEFTKGQELLKPAVTKFGTNFFTLQSMLDQRVGLKKMFQSNRWLSSRFSKLDEGKEVEKIVLNVTFWKKMQYVKKSLEPVAEVLQKIGSDEIRSMPFIYNDICRTKLAIKAIHGDDVRKFGPFWSVIENNWSSLFHHPLYVAAYFLNPSFRYCPDFLMNPEVIRGLNECIVRLESDNGKRISASMQIPDFVSAKADFGTDLAISTRSELDPASWWQQHGISCLELQRIAIRILSQRCSSIGCQHTWSVFDQVHSKRRNCLSRKRLNDHTYVHYNLRLRERQLGRKPDDLVSFDSAMLESVLDDWLVESEKQAMQEDEEIIYNEVEQFYGDDMDEHVSEEKRPTEMVTLASLVEPLDVNPAAGGVTTDDDGLDFLDDDLTD >EOX95424 pep chromosome:Theobroma_cacao_20110822:1:34169963:34173181:-1 gene:TCM_004927 transcript:EOX95424 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT dimerization domain-containing protein isoform 2 MVEEMAPLRSTGYVDPGWEHGIAQDERKKKVKCNYCGKIVSGGIFRLKQHLARLSGEVTHCEKVPEEVCLNMRKNLEGCRSGRKRRQSEYEQAALNFQSNEYNDAEEASAGYKHKGKKVMGDKNLVIKFTPLRSLGYVDPGWEHCVAQDEKKKRVKCNYCEKIISGGINRFKQHLARIPGEVAYCEKAPEEVYLKIKENMKWHRTGRRHRKPDTKEISAFYLHSDNEDEGGEEDGYLQCISKDILAIDDKVSDSDIRNNNVRGRSPGSSGNGAEPLLKRSRLDSVFLKSLKSQTSAHYKQTRAKIGFEKKTRREVISAICKFFYHAGIPSNAANSPYFHKMLEVVGQYGQGLHGPSSRIISGRLLQEEIANIKEYLAEFKASWAITGCSVMADSWNDAQGRTLINFLVSCPRGVCFLSSVDATDMIEDAANLFKLLDKAVDEVGEEYVVQVITRNTLSFRNAGKMLEEKRRNLFWTPCAVYCIDRMLEDFLNIKWVGECIDKAKKVTRFIYNNTWLLNFMKKEFTKGQELLKPAVTKFGTNFFTLQSMLDQRVGLKKMFQSNRWLSSRFSKLDEGKEVEKIVLNVTFWKKMQYVKKSLEPVAEVLQKIGSDEIRSMPFIYNDICRTKLAIKAIHGDDVRKFGPFWSVIENNWSSLFHHPLYVAAYFLNPSFRYCPDFLMNPEVIRGLNECIVRLESDNGKRISASMQIPDFVSAKADFGTDLAISTRSELDPASWWQQHGISCLELQRIAIRILSQRCSSIGCQHTWSVFDQVHSKRRNCLSRKRLNDHTYVHYNLRLRERQLGRKPDDLVSFDSAMLESVLDDWLVESEKQAMQEDEEIIYNEVEQFYGDDMDEHVSEEKRPTEMVTLASLVEPLDVNPAAGGVTTDDDGLDFLDDDLTD >EOX95421 pep chromosome:Theobroma_cacao_20110822:1:34169790:34175555:-1 gene:TCM_004927 transcript:EOX95421 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT dimerization domain-containing protein isoform 2 MVEEMAPLRSTGYVDPGWEHGIAQDERKKKVKCNYCGKIVSGGIFRLKQHLARLSGEVTHCEKVPEEVCLNMRKNLEGCRSGRKRRQSEYEQAALNFQSNEYNDAEEASAGYKHKGKKVMGDKNLVIKFTPLRSLGYVDPGWEHCVAQDEKKKRVKCNYCEKIISGGINRFKQHLARIPGEVAYCEKAPEEVYLKIKENMKWHRTGRRHRKPDTKEISAFYLHSDNEDEGGEEDGYLQCISKDILAIDDKVSDSDIRNNNVRGRSPGSSGNGAEPLLKRSRLDSVFLKSLKSQTSAHYKQTRAKIGFEKKTRREVISAICKFFYHAGIPSNAANSPYFHKMLEVVGQYGQGLHGPSSRIISGRLLQEEIANIKEYLAEFKASWAITGCSVMADSWNDAQGRTLINFLVSCPRGVCFLSSVDATDMIEDAANLFKLLDKAVDEVGEEYVVQVITRNTLSFRNAGKMLEEKRRNLFWTPCAVYCIDRMLEDFLNIKWVGECIDKAKKVTRFIYNNTWLLNFMKKEFTKGQELLKPAVTKFGTNFFTLQSMLDQRVGLKKMFQSNRWLSSRFSKLDEGKEVEKIVLNVTFWKKMQYVKKSLEPVAEVLQKIGSDEIRSMPFIYNDICRTKLAIKAIHGDDVRKFGPFWSVIENNWSSLFHHPLYVAAYFLNPSFRYCPDFLMNPEVIRGLNECIVRLESDNGKRISASMQIPDFVSAKADFGTDLAISTRSELDPASWWQQHGISCLELQRIAIRILSQRCSSIGCQHTWSVFDQVHSKRRNCLSRKRLNDHTYVHYNLRLRERQLGRKPDDLVSFDSAMLESVLDDWLVESEKQAMQEDEEIIYNEVEQFYGDDMDEHVSEEKRPTEMVTLASLVEPLDVNPAAGGVTTDDDGLDFLDDDLTD >EOX94688 pep chromosome:Theobroma_cacao_20110822:1:30876934:30879363:1 gene:TCM_004302 transcript:EOX94688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine methyltransferase 2 isoform 3 VHLDYLEAGADIIITASYQATIQGFEAKGFSREESETLLKKSVEIALEARDIYYERCNKNSCDGLGDGRILKIRPILVAASVGSYGAYLADGSEYSGDYGDAMTIEALKEFHGRRVQVLAEAGPDLIAFETVPNKIEAQAYVELLQEEDIKIPAWFSFNSKDGINVVSGDSLLECASIAESCKQVVAVGINCTPPRFIHELILAIKKVTTKPIIIYPNSGERYDADQKEWVENTGVSDEDFVSYVSKWCEIGASLVGGCCRTTPDTIRAIYRTLSPRSPDPPMQ >EOX94687 pep chromosome:Theobroma_cacao_20110822:1:30874332:30879852:1 gene:TCM_004302 transcript:EOX94687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine methyltransferase 2 isoform 3 MEALNIPTTPSLMRDFLRQAGGAAVIDGGLATELERHGADLNDPLWSAKCLLTSPHLIRSVHLDYLEAGADIIITASYQATIQGFEAKGFSREESETLLKKSVEIALEARDIYYERCNKNSCDGLGDGRILKIRPILVAASVGSYGAYLADGSEYSGDYGDAMTIEALKEFHGRRVQVLAEAGPDLIAFETVPNKIEAQAYVELLQEEDIKIPAWFSFNSKDGINVVSGDSLLECASIAESCKQVVAVGINCTPPRFIHELILAIKKVTTKPIIIYPNSGERYDADQKEWVGDHALTNEPNAQENTGVSDEDFVSYVSKWCEIGASLVGGCCRTTPDTIRAIYRTLSPRSPDPPMQ >EOX94689 pep chromosome:Theobroma_cacao_20110822:1:30876937:30879479:1 gene:TCM_004302 transcript:EOX94689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine methyltransferase 2 isoform 3 HLDYLEAGADIIITASYQATIQGFEAKGFSREESETLLKKSVEIALEARDIYYERCNKNSCDGLGDGRILKIRPILVAASVGSYGAYLADGSEYSGDYGDAMTIEALKEFHGRRVQVLAEAGPDLIAFETVPNKIEAQAYVELLQEEDIKIPAWFSFNSKDGINVVSGDSLLECASIAESCKQVVAVGINCTPPRFIHELILAIKKVTTKPIIIYPNSGERYDADQKEWVENTGVSDEDFVSYVSKWCEIGASLVGGCCRTTPDTIRAIYRTLSPRSPDPPMQ >EOX92994 pep chromosome:Theobroma_cacao_20110822:1:9843822:9844971:1 gene:TCM_001857 transcript:EOX92994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MAFKMLAQHPECYSLLLQEHANIINNKRPGENLVLEDVKKMKYTWQAARESMRLFPPIFGSFRKAVAGIEYQRFTTPKGWKVLWTAYGTHYCGVYFQDPQRVDPSRFEEFVPPYVFLPFGGGPSVCAGYQLAKLNILIFVHYVVTRYNWSLIYPDESITMAPLPFPSQGMPVKISPKLFVKPHEDFFFYLIIFFVKIICFGFLLLS >EOX90749 pep chromosome:Theobroma_cacao_20110822:1:518737:520116:1 gene:TCM_000131 transcript:EOX90749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at inner membrane of chloroplasts 21, putative isoform 2 NLYYCLKLHLPVKVFSCLHFCTCMTLHTDQQSAKRSSQETNLSLTVERANWHIQPKSFMIMKQTEVETDTVIGKPKQATPPPPPPPPPLPRFWARKTTADSVTNQEIARFWRQKRVEEEDHLLAAIKAAARIRARNLPEEDYKRFEESLNDEDNNPKEKITAPTNNSEGDEKNNEIRVGIKDWWTKSKYAY >EOX90748 pep chromosome:Theobroma_cacao_20110822:1:519028:520385:1 gene:TCM_000131 transcript:EOX90748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at inner membrane of chloroplasts 21, putative isoform 2 MIMKQTEVETDTVIGKPKQATPPPPPPPPPLPRFWARKTTADSVTNQEIARFWRQKRVEEEDHLLAAIKAAARIRARNLPEEDYKRFEESLNDEDNNPKEKITAPTNNSEGDEKNNEIRVGIKDWWTKSKYAYLNQPAVESMDNPKRRASTYIPNFFNFKPAPLYPTSLGVF >EOX92311 pep chromosome:Theobroma_cacao_20110822:1:6187298:6190577:1 gene:TCM_001272 transcript:EOX92311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 3 MCSIKAYSFISQTELPFPTPKFPNHRPCKTLNPLFTNPLPFHKPNSLPFRTTKLYISLNPTSIFRTKTSSVSPKNQFFKSLSEKIVVFLVGSFIFMGCFNARPCLALPAQTTTSSRANLEGEKETQKGKSEEEERYEKVLEKEPGNVEALKVVLYGKMRRGKTKEAVECVEKLIDIEPNEFEWRLLQALCYEMMGQLSKAKRLFKEILEERPLLLRALHGLAVVMHKNHEGPAVFERLNEALEVALREKRVTEERNIRILIAQMHVVKGELEEGLKKFQDLMNDNPRDFRPYLCQGIIYSLLDKKKEAAEQFEIYQSLVPEEFPQRCFLDDVVLAAKTKSHEWLQKEFEAEFSYKKRRAANVT >EOX92313 pep chromosome:Theobroma_cacao_20110822:1:6187290:6189588:1 gene:TCM_001272 transcript:EOX92313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 3 MCSIKAYSFISQTELPFPTPKFPNHRPCKTLNPLFTNPLPFHKPNSLPFRTTKLYISLNPTSIFRTKTSSVSPKNQFFKSLSEKIVVFLVGSFIFMGCFNARPCLALPAQTTTSSRANLEGEKETQKGKSEEEERYEKVLEKEPGNVEALKVVLYGKMRRGKTKEAVECVEKLIDIEPNEFEWRLLQALCYEMMGQLSKAKRLFKEILEERPLLLRALHGLAVVMHKNHEGPAVFERLNEALEVALREKRVTEERNIRILIAQMHVVKGGGKGGTRL >EOX92312 pep chromosome:Theobroma_cacao_20110822:1:6187290:6190124:1 gene:TCM_001272 transcript:EOX92312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 3 MCSIKAYSFISQTELPFPTPKFPNHRPCKTLNPLFTNPLPFHKPNSLPFRTTKLYISLNPTSIFRTKTSSVSPKNQFFKSLSEKIVVFLVGSFIFMGCFNARPCLALPAQTTTSSRANLEGEKETQKGKSEEEERYEKVLEKEPGNVEALKVVLYGKMRRGKTKEAVECVEKLIDIEPNEFEWRLLQALCYEMMGQLSKAKRLFKEILEERPLLLRALHGLAVVMHKNHEGPAVFERLNEALEVALREKRVTEERNIRILIAQMHVVKGELEEGLKKFQDLMNDNPRDFRPYLCQFT >EOX92690 pep chromosome:Theobroma_cacao_20110822:1:8111312:8131060:-1 gene:TCM_001597 transcript:EOX92690 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC6 isoform 1 MMVEDLGVEAKEAAVREVAKLLPLPELLQSISTIKADYITRQQANDAQLSTMVAEQVEQAQAGLESLALSQKTIHQLHENFISIEKLCQECQNLIENHDQIKLLSNARNNLNTTLKDVEGMMSISVEASEARDSLSDDKEIVNTYERLTALDGKRRFALAAVASHKEEVGRLREYFEDVDRTWETFEKTLWGHIANFYKLSKESPQTLVRALRVVEMQEILDQQLAEEAAEAEGGGAMASIANPRRTGKKSTTSSASSKSLTQQKLKVQGKGYKDKCYEQIRKTVEERFNKLLTELVFEDLKAALEEARMIGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANELTNIEILKVTGWVVEYQENLIGLGVDETLAQVCSESGAMDPLMNSYVERMQATTRKWYLNILEADKVQPPKKTEEGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRIALAIIQVMIDFQAAERKRLEEPASDIGLEPLCAMINNNLRCYDLAMELSNSIIEALPQNYGDQVNFEDTCKGFLEVAKEAVHQTVNVIFEDPGVQELLVKLYQREWSEGQVTEYLVATFGDYFTDVKMYIEERSFRRFVEACLEQTVVVYVDHLLTQKNYIKEETIERMRLDEEVLMDFFREYISVSKVESRVRILSDLRELASAESLDTFTLIYTNILEHQPDCPPDVVEKLVALREGIPRKDAKEVVHECKEIYENSLVGGNPPKAGFVFARVKCLSASKGSIWRKLT >EOX92691 pep chromosome:Theobroma_cacao_20110822:1:8111462:8131060:-1 gene:TCM_001597 transcript:EOX92691 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC6 isoform 1 MMVEDLGVEAKEAAVREVAKLLPLPELLQSISTIKADYITRQQANDAQLSTMVAEQVEQAQAGLESLALSQKTIHQLHENFISIEKLCQECQNLIENHDQIKLLSNARNNLNTTLKDVEGMMSISVEASEARDSLSDDKEIVNTYERLTALDGKRRFALAAVASHKEEVGRLREYFEDVDRTWETFEKTLWGHIANFYKLSKESPQTLVRALRVVEMQEILDQQLAEEAAEAEGGGAMASIANPRRTGKKSTTSSASSKSLTQQKLKVQGKGYKDKCYEQIRKTVEERFNKLLTELVFEDLKAALEEARMIGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANELTNIEILKVTGWVVEYQENLIGLGVDETLAQVCSESGAMDPLMNSYVERMQATTRKWYLNILEADKVQPPKKTEEGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRIALAIIQVMIDFQAAERKRLEEPASDIGLEPLCAMINNNLRCYDLAMELSNSIIEALPQNYGDQQVNFEDTCKGFLEVAKEAVHQTVNVIFEDPGVQELLVKLYQREWSEGQVTEYLVATFGDYFTDVKMYIEERSFRRFVEACLEQTVVVYVDHLLTQKNYIKEETIERMRLDEEVLMDFFREYISVSKVESRVRILSDLRELASAESLDTFTLIYTNILEHQPDCPPDVVEKLVALREGIPRKDAKERLCMNVKKSTRTLLLVETPQRQDLFSQG >EOX92689 pep chromosome:Theobroma_cacao_20110822:1:8110804:8131001:-1 gene:TCM_001597 transcript:EOX92689 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC6 isoform 1 MMVEDLGVEAKEAAVREVAKLLPLPELLQSISTIKADYITRQQANDAQLSTMVAEQVEQAQAGLESLALSQKTIHQLHENFISIEKLCQECQNLIENHDQIKLLSNARNNLNTTLKDVEGMMSISVEASEARDSLSDDKEIVNTYERLTALDGKRRFALAAVASHKEEVGRLREYFEDVDRTWETFEKTLWGHIANFYKLSKESPQTLVRALRVVEMQEILDQQLAEEAAEAEGGGAMASIANPRRTGKKSTTSSASSKSLTQQKLKVQGKGYKDKCYEQIRKTVEERFNKLLTELVFEDLKAALEEARMIGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANELTNIEILKVTGWVVEYQENLIGLGVDETLAQVCSESGAMDPLMNSYVERMQATTRKWYLNILEADKVQPPKKTEEGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRIALAIIQVMIDFQAAERKRLEEPASDIGLEPLCAMINNNLRCYDLAMELSNSIIEALPQNYGDQVNFEDTCKGFLEVAKEAVHQTVNVIFEDPGVQELLVKLYQREWSEGQVTEYLVATFGDYFTDVKMYIEERSFRRFVEACLEQTVVVYVDHLLTQKNYIKEETIERMRLDEEVLMDFFREYISVSKVESRVRILSDLRELASAESLDTFTLIYTNILEHQPDCPPDVVEKLVALREGIPRKDAKEVVHECKEIYENSLVGGNPPKAGFVFARVKCLSASKGSIWRKLT >EOX93897 pep chromosome:Theobroma_cacao_20110822:1:17249848:17251425:-1 gene:TCM_002898 transcript:EOX93897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLETTTCATSNSMTVSSNFEICGRYVAGEGEPTMMNVEYAVDFKAARTMYGGNVILNTPYVSDFDSIATISHTFSKATTCAASNFKTVPSNFKICGRDVNILGL >EOX90704 pep chromosome:Theobroma_cacao_20110822:1:397391:397743:1 gene:TCM_000094 transcript:EOX90704 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1-interacting protein 4 family protein, putative MASDDRERPLPRFGEWDVNDPATAEGFTVIFAKASNDKKAAKASGNTPSKKRYKDSDKQSDKRKWLCCISA >EOX96572 pep chromosome:Theobroma_cacao_20110822:1:38288323:38290375:-1 gene:TCM_005799 transcript:EOX96572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial acyl carrier protein 1 MALRAAVLRHIRVPVRTLAATGSKPQLQWSLCNSIRLFSSDDDHLTKEEVIDRVLDVVKSFPKVDPSKVTPHVHFQNDLGLDSLDNVEIVMALEEEFKLEIPDKEADKIDSCNLAIAYIYNHPMAG >EOX93506 pep chromosome:Theobroma_cacao_20110822:1:13612290:13615896:-1 gene:TCM_002394 transcript:EOX93506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate dehydrogenase 1 isoform 1 MATHFSPCSSSSSSSSSLTFSSEFTVCKPRRYCFSKWVSQVHPRIHARNHFRIKSSNGHPPNAVSMQDGMDGTPLAEGIKPEEQEIFFDLSDSEKVQSTLSITVVGASGDLAKKKIFPALFALYYEDCLPENFMVFGYARTKLTDEELRNVISGTLTCRIDKRANCEDKMEQFLKRCFYHSGQYDSEEHFAELDSKLKEKEAGKLSNRLFYLSIPPNIFVDVVRCVSYKASAVNGWTRVIVEKPFGRDSESSAELTRCLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLEAEDIRNEKVKVLRSMRPLQLEDVIVGQYKGHNKGGKIYPGYSDDSTVPNDSLTPTFAAAALFINNARWDGVPFLMKAGKALHTRRDQSSVQTCSR >EOX93507 pep chromosome:Theobroma_cacao_20110822:1:13612585:13615785:-1 gene:TCM_002394 transcript:EOX93507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate dehydrogenase 1 isoform 1 MATHFSPCSSSSSSSSSLTFSSEFTVCKPRRYCFSKWVSQVHPRIHARNHFRIKSSNGHPPNAVSMQDGMDGTPLAEGIKPEEQEIFFDLSDSEKVQSTLSITVVGASGDLAKKKIFPALFALYYEDCLPENFMVFGYARTKLTDEELRNVISGTLTCRIDKRANCEDKMEQFLKRCFYHSGQYDSEEHFAELDSKLKEKEAGKLSNRLFYLSIPPNIFVDVVRCVSYKASAVNGWTRVIVEKPFGRDSESSAELTRCLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLEAEDIRNEKVKVLRSMRPLQLEDVIVGQYKGHNKGGKIYPGYSDDSTVPNDSLTPTFAAAALFINNARWDGVPFLMKAGKALHTRR >EOX93505 pep chromosome:Theobroma_cacao_20110822:1:13611092:13615976:-1 gene:TCM_002394 transcript:EOX93505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate dehydrogenase 1 isoform 1 MATHFSPCSSSSSSSSSLTFSSEFTVCKPRRYCFSKWVSQVHPRIHARNHFRIKSSNGHPPNAVSMQDGMDGTPLAEGIKPEEQEIFFDLSDSEKVQSTLSITVVGASGDLAKKKIFPALFALYYEDCLPENFMVFGYARTKLTDEELRNVISGTLTCRIDKRANCEDKMEQFLKRCFYHSGQYDSEEHFAELDSKLKEKEAGKLSNRLFYLSIPPNIFVDVVRCVSYKASAVNGWTRVIVEKPFGRDSESSAELTRCLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLEAEDIRNEKVKVLRSMRPLQLEDVIVGQYKGHNKGGKIYPGYSDDSTVPNDSLTPTFAAAALFINNARWDGVPFLMKAGKALHTRRAEIRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLYRARYPKEIPDAYERLLLDAIAGERRLFIRSDELDAAWSLFTPLLRELEEKKIFPELYPYGSRGPVGAHYLAAKYNVRWGDLSGEDL >EOX93125 pep chromosome:Theobroma_cacao_20110822:1:10701276:10703077:1 gene:TCM_001973 transcript:EOX93125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKGQRIARHRGRNDMRKWTSNKERMKHEDIEEWRSKLFTIFVDNIISTVSWREVKKWFDGFEVVVDLYLESKSRSSRGKNFKFAFVKYHYTKEMQRATKYGNGTNMEWLKRSYVGTLKQVIHIKTAQVGMSGKGISTSMKILKGWKVVITFEDEDQMNIILYLYPEVLGVRFEFVNRIKSSQEGNIIKSWFIIEGISLHLWHRNVFNAIVREWGRGVQLDRPTIRSERFDRVRILIEITNEQKVSQTTHLKINRLSISFMIRNEDKRNRVMDVKDIKRLECLK >EOX94375 pep chromosome:Theobroma_cacao_20110822:1:28179101:28181952:1 gene:TCM_003963 transcript:EOX94375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 2-binding protein 2 MLSLQISIAPKSFLPLCAVNIDHNSCPLLPISNFIPGTLSHSYQILPSCTHHSRVFKTFPIFAISSRSDSNPDPDPDPDPDPDPEAGLKPKSKAKTQSRNNKSIFSQNKDENQDKSFPTTLPKKPRRGRRSEAVAVEHFIRDSLERTFESIRHQSPEVFENKVNVVKDRLDNDFESESSSDKDQDEEGSEVGFRRGKKMVVEEDDPDWPLDADVGWGIRASEYFEQHPIKNVVGEDGVEIDWEGEIDDSWVKEINCLEWESFAFHPSPLIVLVFERYNRATNNWKTLKELEKAIQVYWNAKDRLPPRAVKLDINIERDLAYALKVRECPQILFLRGNRIVYREKEFRMADELVQMIAYFYYNAKKPSWIDEAAICHPY >EOX91009 pep chromosome:Theobroma_cacao_20110822:1:1320012:1323598:-1 gene:TCM_000322 transcript:EOX91009 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIM-barrel signal transduction protein isoform 2 MGSAKVFCVGTAETKLDELRFLSESVRSSLNGSSNSSSSKVEVVIVDVSVGQKEIESLNDFKFVSRKEILLCYSESVGENPMLPDDRGKAVGVMSKALEHFIKKAQADGVLAGAIGLGGSGGTSLLSPAFRSLPVGVPKIIVSTVASGQTEPYVGTSDLILFPSVVDICGINSVSRAVLSNAGAALAGMAIGRLERLQDSCSKGKKCTVGITMFGVTTPCVNAVKERLQKEGYETLIFHATGIGGKAMESLVREGYIQGVLDITTTEVADYVVGGVMACDSSRFDVIIEKKIPLVLSVGALDMVNFGPKDTIPSNFQQRKIHVHNAQVSLMRTTADENKKFAGFIADKLNKSSSKICVCLPQKGVSALDASGKPFYDPEATGTLLNELKRHIQINEDRQVKMYPYHINDPEFVDALVDSFIEICSKSPTDSSLPQVASCESSQDLQKDHDYNMNSLSSGTITYSPSNFPDARPETLQRTQGILQQLRDQISKGLPIVGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPQHLVKISF >EOX91010 pep chromosome:Theobroma_cacao_20110822:1:1319000:1323666:-1 gene:TCM_000322 transcript:EOX91010 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIM-barrel signal transduction protein isoform 2 MGSAKVFCVGTAETKLDELRFLSESVRSSLNGSSNSSSSKVEVVIVDVSVGQKEIESLNDFKFVSRKEILLCYSESVGENPMLPDDRGKAVGVMSKALEHFIKKAQADGVLAGAIGLGGSGGTSLLSPAFRSLPVGVPKIIVSTVASGQTEPYVGTSDLILFPSVVDICGINSVSRAVLSNAGAALAGMAIGRLERLQDSCSKGKKCTVGITMFGVTTPCVNAVKERLQKEGYETLIFHATGIGGKAMESLVREGYIQGVLDITTTEVADYVVGGVMACDSSRFDVIIEKKIPLVLSVGALDMVNFGPKDTIPSNFQQRKIHVHNAQVSLMRTTADENKKFAGFIADKLNKSSSKICVCLPQKGVSALDASGKPFYDPEATGTLLNELKRHIQINEDRQVKMYPYHINDPEFVDALVDSFIEICSKSPTDSSLPQVASCESSQDLQKDHDYNMNSLSSGTITYSPSNFPDARPETLQRTQGILQQLRDQISKGLPIVGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKAVPVLAGVCGTDPFRRMDYFLKQLESIGFSGVQNFPTVGLFDGNFRQNLEETGMGYGLEVQMIEKAHKMGFLTTPYAFNTNEAVEMAKAGADIIVAHMGLTTSGSIGAKTAVSIEESVVCVQAIADAAHSINPNVIVLCHGGPISGPLEAEFILKRTKGVNGFYGASSMERLPVEQAITSTVQQYKSISIK >EOX96560 pep chromosome:Theobroma_cacao_20110822:1:38242293:38249865:1 gene:TCM_005787 transcript:EOX96560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MKCFHYFKDKSRSRGQRSAPELKEEREKSGDYSGGPDRITKSSCSAASPRSIPELYEERAHNLRVFSFSELRQATHDFSLLLKIGEGGFGSVYKGTIKPADGKGEPVVVAIKKLNKNGLQGHKQWVAEVQFLGVVDHPNLVKLIGYCAVDGERGIQRLLVYEFMQNKSLEDHLFRRAYPPLPWKTRLQITLGAAEGLAYLHEGLEVQVIYRDFKASNVLLDENFNPKLSDFGLAREGPMAGRTHVSTAVVGTYGYAAPDYIETGHLTDKSDVWSFGVVLYEILTGRRSLERGRPKAEQKLLEWVKQFPADSKKFSSIMDPRLENQFSTAAAREIAKLANTCLLKSPKERPKMSQVVERLKQIIQVSDDGNDRGNESAEVPEIEPAGTAETESNSNQFGVSESWKRRMAHLAKLGEHVEGASRRRLMMLQRAKVP >EOX96559 pep chromosome:Theobroma_cacao_20110822:1:38246696:38254608:1 gene:TCM_005787 transcript:EOX96559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MKCFHYFKDKSRSRGQRSAPELKEEREKSGDYSGGPDRITKSSCSAASPRSIPELYEERAHNLRVFSFSELRQATHDFSLLLKIGEGGFGSVYKGTIKPADGKGEPVVVAIKKLNKNGLQGHKQWVAEVQFLGVVDHPNLVKLIGYCAVDGERGIQRLLVYEFMQNKSLEDHLFRRAYPPLPWKTRLQITLGAAEGLAYLHEGLEVQVIYRDFKASNVLLDENFNPKLSDFGLAREGPMAGRTHVSTAVVGTYGYAAPDYIETGHLTDKSDVWSFGVVLYEILTGRRSLERGRPKAEQKLLEWVKQFPADSKKFSSIMDPRLENQFSTAAAREIAKLANTCLLKSPKERPKMSQVVERLKQIIQVSDDGNDRGNESAEVPEIEPAGTAETESNSNQFGVSESWKRRMAHLAKLGEHVEGASRRRLMMLQRAKVP >EOX93545 pep chromosome:Theobroma_cacao_20110822:1:13878415:13885054:-1 gene:TCM_002434 transcript:EOX93545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-glycerol phosphate synthase, chloroplastic, putative MKEKKPLASLKKFIENAAPTRDFVGALKAAHSRTGLPGLIAEVKKGSPTRGILREDFDPVEIARAYEKGGAACLSVLTDEKYFKGSFENLEAIRSARVKCPLLCKEFVIDAWQIYYARIKGADGIRLIAAVLPDLDIRYMVKICKMLGLAALVGAFIVIIIDLVHDEREMDRVLGIEGIELISVNNRNLVPSFNHRPNFFIRRSNFPGIRAQQSETKSPKRGEDEDALKVKEWEVGKFPNEVAASPGIRTRRRLPTGPPLHYSMLWKLPKNQQR >EOX95375 pep chromosome:Theobroma_cacao_20110822:1:33948891:33950482:1 gene:TCM_046693 transcript:EOX95375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAASKGEEFVYRISTAGEWEALQKNESVFGGDLDKSSGFIHLSSLHQVKPTLQNFFSNVKVDLYLLQIDAKKLGDGLKYEVVDGSNSFPHFYGPSRSFAPLPLDAVTKAEKLSVSDGQFSCSLLN >EOX91367 pep chromosome:Theobroma_cacao_20110822:1:2473610:2474367:-1 gene:TCM_000586 transcript:EOX91367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQNKLLSILVLLLLVFFQEMPCIEGRHLILDQKPKFQKVQSHGRTLAKETGTVLDGKVNLDGVNVKAADASTRSPPSPPSVVVGASQAPPPKPVDDFRPTAPGHSPGVGHSIQS >EOX90764 pep chromosome:Theobroma_cacao_20110822:1:568995:572586:1 gene:TCM_000142 transcript:EOX90764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 1 MVSLLKTVFLFLMPIWVLSFKLSYGRIFTFKMHHRFSEPVKNWSNSTGKLSHWPVKGSFEYYAVLAHRDRLLRGRQLSGINAPISFSDGNSTFRISSLGFLHYTTVQLGTPGVKFMVALDTGSDLFWVPCDCNKCAPTEGTTYASDFELSIYDPKGSSTSKKVTCNSSLCALRNQCLGTFSNCPYMVSYMSAQTSTSGVLVEDVLHLTTEDGHPELVKAYVTFGCGQVQSGSFLDVAAPNGLFGLGMEKISVPSILSQEGLTADSFSMCFGHDGIGRISFGDKGSPDQEETPFNLNPSRPTYNITITQIRVGTTLIDDDFTALFDSGTSFTYLVDPTYSNLSENFHSQAQDRRRPPDSRIPFEYCYDMSPDANASLIPSMSLTMKGESQFPVYDPIIVISTQQSKLVYCLAVVKSTELNIIGQNFMTGYRVVFDRERFVLGWKKFDCYDIDETSASVVESHAASAPPAFAVGIRNYSTPEATKDIGKNNSHTSFALRSCHFQVSPLSCLGFVSILSLLL >EOX90763 pep chromosome:Theobroma_cacao_20110822:1:568792:572662:1 gene:TCM_000142 transcript:EOX90763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 1 MVSLLKTVFLFLMPIWVLSFKLSYGRIFTFKMHHRFSEPVKNWSNSTGKLSHWPVKGSFEYYAVLAHRDRLLRGRQLSGINAPISFSDGNSTFRISSLGFLHYTTVQLGTPGVKFMVALDTGSDLFWVPCDCNKCAPTEGTTYASDFELSIYDPKGSSTSKKVTCNSSLCALRNQCLGTFSNCPYMVSYMSAQTSTSGVLVEDVLHLTTEDGHPELVKAYVTFGCGQVQSGSFLDVAAPNGLFGLGMEKISVPSILSQEGLTADSFSMCFGHDGIGRISFGDKGSPDQEETPFNLNPSRPTYNITITQIRVGTTLIDDDFTALFDSGTSFTYLVDPTYSNLSENFHSQAQDRRRPPDSRIPFEYCYDMSPDANASLIPSMSLTMKGESQFPVYDPIIVISTQSKLVYCLAVVKSTELNIIGQNFMTGYRVVFDRERFVLGWKKFDCYDIDETSASVVESHAASAPPAFAVGIRNYSTPEATKDIGKNNSHTSFALRSCHFQVSPLSCLGFVSILSLLL >EOX91039 pep chromosome:Theobroma_cacao_20110822:1:1427573:1430635:-1 gene:TCM_000346 transcript:EOX91039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma carbonic anhydrase 1, CA1 MGTLGKAIYSVGFWIRETGQALDRLGCRLQGNYYFQEQLSRHRTLMNVFNKAPVVDRDAFVAPSASIIGDVQVGRGSSIWYGCVLRGDVNSISIGSGTNIQDNSLVHVAKSNLSGKVLPTIIGSNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVYVEKHAMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTEEEMAFISQSALNYSNLAQVHAAENAKSFDEIEFEKVLRKKFARRDEEYDSMLGVVREMPPELILPDNILADKVSKTA >EOX95195 pep chromosome:Theobroma_cacao_20110822:1:33265522:33268481:-1 gene:TCM_004746 transcript:EOX95195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase-like 7 isoform 1 MLWIMRFSGFFSAAMVMIVLSPSLQSFPPAEAIRSSHLDSYLRLPSYQLSTSPHSLEDRFSFRKASEFRNADECGFTGHKITGVCDPSLVHVAITLDVEYLRGSIAAVHSILQHSLCPENIFFHFLVSETNLETLVRSTFPQLKFKVYYFDPEIVRNLISSSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVVVDDISKLWSTNLGSRTIGAPEYCHANFTKYFTGGFWSDQRLSGTFNGRKPCYFNTGVMVIDLVKWRRVGYTKRIERWMEIQKSDRIYELGSLPPFLLVFAGRVAPIEHRWNQHGLGGDNVRGSCRDLHPGPVSLLHWSGSGKPWLRLDSKKPCPLDALWAPYDLYGHSE >EOX95194 pep chromosome:Theobroma_cacao_20110822:1:33265418:33268482:-1 gene:TCM_004746 transcript:EOX95194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase-like 7 isoform 1 MLWIMRFSGFFSAAMVMIVLSPSLQSFPPAEAIRSSHLDSYLRLPSYQLSTSPHSLEDRFSFRKASEFRNADECGFTGHKITGVCDPSLVHVAITLDVEYLRGSIAAVHSILQHSLCPENIFFHFLVSETNLETLVRSTFPQLKFKVYYFDPEIVRNLISSSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVVVDDISKLWSTNLGSRTIGAPEYCHANFTKYFTGGFWSDQRLSGTFNGRKPCYFNTGVMVIDLVKWRRVGYTKRIERWMEIQKSDRIYELGSLPPFLLVFAGRVAPIEHRWNQHGLGGDNVRGSCRDLHPGPVSLLHWSGSGKPWLRLDSKKPCPLDALWAPYDLYGHSE >EOX93076 pep chromosome:Theobroma_cacao_20110822:1:10277291:10278536:-1 gene:TCM_001922 transcript:EOX93076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRMAHVRGSGTLPRQLRRTYGDYSPAMSLSFLLYFLVPDPVKQFPSASLLHNSMVLVSGKKPAGVSKMLINNDHHDATCLFTYKENDGDDDDDDDDGVDVAPAA >EOX96604 pep chromosome:Theobroma_cacao_20110822:1:38382354:38385465:-1 gene:TCM_005824 transcript:EOX96604 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MAAFHDQAMLSALFNSLQVQMLNFARREQTCSPFEKWVKPMNDIDTAIADAEEKQKTLLPVEHWLTDLRNLAYDAEACIDEFATEALGNKIMAESDSSEGISKVLLNFILTCFSGFNANTDSDFRRKIESKIEEITVRLQGLVEKKKDLKLGPNCGRRRERVVQQRLPTTSLVNESHIYGREKDKEAVIRLLKSTGEVGIGNIGVVPIVGMGGLGKTTLAQLVYNDARVGSWFQVRVWVNVSAEFDMVKVTKTVLQAITQENCNWKDLNLLQVSLKEKLSGKKFLIVLDEVWNENNEQWDILCRPFQAGAIGSKILVTTRSEGVASIMTTCGSYQLQLLSNDGSFSLFTWHALGLRGFDGYPNLKVIAEEIVRKCNGLPLTAKTLGGLLRNKLNQDEWETIMNSRIWDLPEEVSGIAPTLRLSYQHLPSHLKRCFAYCSIFPKNYKFNKDELIQLWMAEGFLQHLEGAKRMEDLGLEYFNELLSRSFFQQVSANETQFVMHDLINDLAQSVSGDLCFNIEDRFQDGKFCGSIQKMRHFSFTCHHCDVSKRFSGLCRQEKLRTFIALPTYTVPWVTCCYVSDPVMQDLLTSLRHLRVLRLCGYCIDKLPESVGHLKHLRYLNLSHSRINCLPESVGFLFNLQTLILQGCKELTKLPQGIVNLINLHVLDLSGTENLQEMPQWIGHLKNLHICGKGQWIWY >EOX92273 pep chromosome:Theobroma_cacao_20110822:1:6027246:6029639:-1 gene:TCM_001243 transcript:EOX92273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 78, subfamily A, polypeptide 7 MELGLVSKDTTWWVFTLPAFFGSKSLLDVYILFSVFMAFLSLALLTWAFTVGGIAWKNGRNQKGRLPIPGPKGLPVFGSLFTLSRGLAHRSLAAMAWNRTNTQLMAFSLGSTPVVVASDPHTAREILTSPHFADRPVKQSAKSLMFSRAIGFAPSGAYWRLLRRIASSHLFAPTRIIAHEAGRQLDCTIMLRNIANEQNLQGFVSLRKHLQLAALSNIMGSVFGKRYDHKKDNKELDELRDMVKEGFELLGAFNWSDYLPWLSYFYDPFRINQRCLKLVPRVRKLVKGIIEQHQQLSESKKLSDNADFVDVLLSLDGEEKLQEDDMIAVLWEMIFRGTDTTALLTEWVMAELVLHPEVQAKLQLEIDSAVTNKTLTDADVAKLPYLQAVVKETLRIHPPGPLLSWARLSTSDVQLSNGMVVPANTTAMVNMWAITHDPHVWEEPLEFKPERFLEADVDVRGSDLRLAPFGAGRRVCPGKNLGLVTVNLWVAKLVHHFNWVEDSARHPVDLSEVLKLSCEMKFPLHALAVERDGTVCISC >EOX96492 pep chromosome:Theobroma_cacao_20110822:1:38001452:38005676:1 gene:TCM_005726 transcript:EOX96492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mago nashi family protein MAAEEDSGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFLTPAVLKECRRIISESEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQSSKDPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >EOX92026 pep chromosome:Theobroma_cacao_20110822:1:4972720:4976759:1 gene:TCM_001049 transcript:EOX92026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related protein, putative MGQLEEESIRMKERSSAMEEQRDKALDELKGMKLTQELNKRSTAMATGKVADIHTELSAVKDSLTGAIEELKNKERYMESLKIELGKAKEFEVKLAEKEASFNKLKEELIKVQSFESEAMDLLSEGKKRIHELEEEVEKGKISEKKIYDSFVAQTEQLEQTKISLEESRDEIKYLLKKFEELEMSSEAASQSSFGDDHSSKEALETLESELQLAKESLARAQDEEKASSLKAKSLAEEVSLLKNELKSTTEAEANNKKAMDDLALALKEVITKANQAKEKLSLTKNELEETKEEVENLKVKLKNVEEKYSQAKKEADRFKNTSERLRLEAEESLMAWNGKETGFVDCIKKAEDERNAAQEESKALLESLKEAENMYKTAKEENQKLRDIMKQAINEANVAKEAASIAREENSGLKDALAKKDEALNFLSQENENLKINEAAAFENIRELKRLFCEATSTTKEWETEDQEQGKQQKPQNSIDKEHKDDKEQTKKPKHHRYGSTCLSIKIPHKHKDAEEEPKIPLKESDEDSDSDTSDPLRGSIFDVAETPDTAAIATHHRKKSSSAFTDDEALNSEDFDHLDTGHFDEESDRSSRKKRALLRRFGDLIRRRSFQKKEPSLE >EOX96200 pep chromosome:Theobroma_cacao_20110822:1:36980213:36984923:1 gene:TCM_005503 transcript:EOX96200 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear localized protein 1 MESNSGVTVIGAEAPSAYHMAPRTENANQIGGGSTTVDASPVSVGLTGSTEKKKRGRPRKYGPDGTIARALSPMPISTSVPPGGAEFSSGGKRGRGRGSGYQIKHQKGTEMENLGEWAATSVGTNFTPHVITVNAGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTETQGARSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFLPSNQHEQKPKKPKNESVPATIAPNSTIVAEPASNAEKEDGISGLSQQNTSALKPNLTNPAFRRENWATMQEPRNSPTDINISLPAG >EOX90702 pep chromosome:Theobroma_cacao_20110822:1:367368:373091:-1 gene:TCM_000089 transcript:EOX90702 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MTISQFKGRLPCRITERNFGRGESKNAMKARWSCSFLLAYRRRRLSFNNAIKCCGSTGDQHTSDHISLSSSSIRKRSDSNDASVVTDGILDSTRTPLTSQSSLQSVQTELIMLALPAIVGQAIEPLAQLMETAFIGRLGAYQLASAAVSITIFNIISKVFNIPLLSVATSFVAEDISKTRKEFASDESAERQFLPSVSTALLLALAIGIFEASAMYMGSAVFVNIMGLSSASPMYIPAQKFLSVRAIGAPAVVVSLAIQGIFRGFKDTKTPVLCLGLGNLSAIFLFPILMYSYGLGVTGAAISTVLSQYIVAILMIWHLNKRTVLLLPGIKNLQFGGYLKSGGFLLGRTLVSVMTITLSTSMAARLGPLALAAHQICLQVWLSISLLFDAQAASGQALIASSLAKGDYARVKEITYCTLKTGLFTGISLAVILGASFGSLATLFTRDKEVLRIIRSVLLLVSSSLPITALAYTFDGLHYGISDFSYAAFSMMVVGAVSSAFLLFASSVMGLSGVWTGLALFMGLRTGAGLLRISSKNGPWFFLQERH >EOX95980 pep chromosome:Theobroma_cacao_20110822:1:36217268:36221360:1 gene:TCM_005344 transcript:EOX95980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane domain-containing tyrosine-protein kinase 1 MKLVGFCLEQRMVPSKVDSGGTYRLLAYSSKGDKACVIQELEKGVDLNVADYDRRTALHLAACEGWTEVVVLLLDRGADVNSLDRWGRTPLSDARSCGHDEICKILEAKGGIDPVGNDSQAPCFQIDHMEVKMDEATLIGEGAYGEVYLVKWRGTEVAAKTIRSSIASNPRVKNAFLKELALWQRLRHPNIVQFLGVLKHSDRLIFLTEYLRNGSLYDILKKKGRLDPTTTIAYALDIARGMNYLHQHTPHAIIHRDLTPRNVLQDEAGRLKVTDFGLSKIAQEKDSYGYKMTGGTGSYRYMAPEVYRRESYGKSIDVFSFALIVHEMLQGAPSNRVENAEQIADRRAYEDSRPPLSSFIYPEEMKTLLKACWHKNPDCRPTFEEIIVQLEVIQDSFITEKARKSFCSCIVL >EOX92303 pep chromosome:Theobroma_cacao_20110822:1:6168414:6171045:1 gene:TCM_001268 transcript:EOX92303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L4/L1 family MAAAAVRPLVNVQTLEGDMATDAAPTAPLPDVMKAAIRPDVVSHVHDNISKNKRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKINVNLKRYAVVSAISASAIPSLVMARGHRIESVPELPLVISDSAEGVEKTSAAIKVLKQIGAYAEVEKAKDSQAIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEIANVERLNLLKLAPGGHLGRFVIWTKSAFEKLDSIYGTFDKPSEKKKGYVLPRPKMVNADLARIINSDEVQSVVKPIKKDVKRAPMKKNPLKNLNAMLKLNPYAKTARRMSLLAEAQRVKAKKEKLDKKRKPISKEEAVAIKSAGKAWYQTMISDSDYTEFENFSKWLGVSQ >EOX94856 pep chromosome:Theobroma_cacao_20110822:1:31765214:31765777:1 gene:TCM_004470 transcript:EOX94856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMNYVRIRRHHPRGDKRQSNHRAETTLFFLHFPKMRKFATSATYLLWDLSVTGITRKEKGKNCSQGFIFFVSVGDNMLS >EOX90782 pep chromosome:Theobroma_cacao_20110822:1:613392:614209:-1 gene:TCM_000154 transcript:EOX90782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRLCKSLLKDAGTGLSKTLSEILVCPLSKQPLRFSEETASLISDSIGVSFPIKDGIPCLVPRDGKILDIDDTMNDAAADSARTNKELAGSGDPFILLFPCC >EOX96222 pep chromosome:Theobroma_cacao_20110822:1:37044137:37047528:-1 gene:TCM_005519 transcript:EOX96222 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MEEDGRIVCVTGGTGYIASCLVMRLLEHGYFVRATVRSDPDCNRDIRYLTDLPGAAERLQIFNADLNQPDSFEAAIQGCMGVFHVAHPMDVQGKDPEEIVTKRAVDGLQGILKASLNCKTVKRVVYTSTAAAILYNTKGLSVNDESIWSDLDLCRGNELISMSYLGSKIVAEMTALEFAERHELEVVTLVLPLVVGPFICSNMPPSVYILLTMILGKQDDYKYLFGSYNMVHIDDVANAHIFLLEHPDANGRYICSSVYISVHAMFEYLSTKYPEFELLAIDRLKDFKGQMRSKLSSTKLLNSGFKFKYSIDQIFSGAIQSCKDRGIL >EOX96626 pep chromosome:Theobroma_cacao_20110822:1:38441513:38446795:1 gene:TCM_005839 transcript:EOX96626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-fructose-6-phosphate transaminase (isomerizing)s,sugar binding,transaminases isoform 1 MCGTFAYLNYNVTRDRRFILEILFNGLRRLEYRGYDSAGISIDSSSPSFLSADPNGQFADSAPPPLVFRQEGNIESLVKSVYKDVASTELNLEEPFSVHAGLAHTRWATHGEPSPKNSHPQTSGAGNEFLVVHNGIITNYEVLKETLIRHGFNFESDTDTEVIPKLAKYVFDKANEEGDQTVTFSQVVLEVMRHLEGAYALIFKSRHYPNELIACKRGSPLLLGVKELSEDTSSGASFLDLKFISENGRPKELFLSSDASAVVEHTKKVLVIEDGEVVHLKDGGVSILKFENDKGKHGSALSRPSSVQRALSFLEMEVEQINKGKYDHYMQKEIHEQPESLTTTMRGRLIRGGSCKAKTVLLGGLKDHIKTIRRSRRIVFIGCGTSYNAALAARPIIEELSGVPVTMEIASDLVDRQGPIYREDTAVFVSQSGETADTLLALEYALENGALCVGITNTVGSAIARNTHCGIHINAGCEIGVASTKAYTSQIVVMAMLALAIGDDAISSQARREAIIDGLFDLPNKVREALKLDQEMKDLAKLLIAEQSLLVFGRGYNFATALEGALKVKEVSLMHSEGILAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLHARKGRLIVMCSKGDAASVCPGESCRVIEVPQVEDCLQPVVNIIPLQLLAYHLTVLRGHNVDQPRNLAKSVTTQ >EOX96625 pep chromosome:Theobroma_cacao_20110822:1:38441625:38446949:1 gene:TCM_005839 transcript:EOX96625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-fructose-6-phosphate transaminase (isomerizing)s,sugar binding,transaminases isoform 1 MCGTFAYLNYNVTRDRRFILEILFNGLRRLEYRGYDSAGISIDSSSPSFLSADPNGQFADSAPPPLVFRQEGNIESLVKSVYKDVASTELNLEEPFSVHAGLAHTRWATHGEPSPKNSHPQTSGAGNEFLVVHNGIITNYEVLKETLIRHGFNFESDTDTEVIPKLAKYVFDKANEEGDQTVTFSQVVLEVMRHLEGAYALIFKSRHYPNELIACKRGSPLLLGVKELSEDTSSGASFLDLKFISENGRPKELFLSSDASAVVEHTKKVLVIEDGEVVHLKDGGVSILKFENDKGKHGSALSRPSSVQRALSFLEMEVEQINKGKYDHYMQKEIHEQPESLTTTMRGRLIRGGSCKAKTVLLGGLKDHIKTIRRSRRIVFIGCGTSYNAALAARPIIEELSGVPVTMEIASDLVDRQGPIYREDTAVFVSQSGETADTLLALEYALENGALCVGITNTVGSAIARNTHCGIHINAGCEIGVASTKAYTSQIVVMAMLALAIGDDAISSQARREAIIDGLFDLPNKVREALKLDQEMKDLAKLLIAEQSLLVFGRGYNFATALEGALKVKEVSLMHSEGILAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLHARKGRLIVMCSKGDAASVCPGESCRVIEVPQVEDCLQPVVNIIPLQLLAYHLTVLRGHNVDQPRNLAKSVTTQ >EOX96060 pep chromosome:Theobroma_cacao_20110822:1:36459234:36463672:-1 gene:TCM_005404 transcript:EOX96060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle receptor protein, chloroplast (FTSY) isoform 2 MIFGYHGDTMTNGSRQLNSEDQVLTMSTPTLDLDKFRGSFHGLTSPLRRQHSAIITITRAFGNKVPLLSSLAIQLQRAREMAFPTQLSLISKPSPLFSNLPRTRFNPSTTARPTASRFKCLASQTGFFTRLGRLIKEKAKSDVEKIFSGFSKTRDNLAVIDELLLYWNLADTDRVLDELEEALLVSDFGPRITIKIVESLREDILSGKLKSGSEIKDALKKCVLDLLTKKGSKTELRLGFRKPAVIMIVGVNGGGKTTSLGKLAYRLKNEGAKILMAAGDTFRAAASDQLEIWAERTGCEIVVAEKENAKASSVLSQAVKRGKEKGFDIVLCDTSGRLHTNFSLMEELIACKKAVGKVIPGAPNEILLVLDGNTGLNMLPQAREFNEVVGITGFILTKLDGSARGGCVVSVVDELGIPVKFLGVGEGLEDLQPFDAEAFVNAIFP >EOX96061 pep chromosome:Theobroma_cacao_20110822:1:36459211:36462303:-1 gene:TCM_005404 transcript:EOX96061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle receptor protein, chloroplast (FTSY) isoform 2 MAFPTQLSLISKPSPLFSNLPRTRFNPSTTARPTASRFKCLASQTGFFTRLGRLIKEKAKSDVEKIFSGFSKTRDNLAVIDELLLYWNLADTDRVLDELEEALLVSDFGPRITIKIVESLREDILSGKLKSGSEIKDALKKCVLDLLTKKGSKTELRLGFRKPAVIMIVGVNGGGKTTSLGKLAYRLKNEGAKILMAAGDTFRAAASDQLEIWAERTGCEIVVAEKENAKASSVLSQAVKRGKEKGFDIVLCDTSGRLHTNFSLMEELIACKKAVGKVIPGAPNEILLVLDGNTGLNMLPQAREFNEVVGITGFILTKLDGSARGGCVVSVVDELGIPVKFLGVGEGLEDLQPFDAEAFVNAIFP >EOX94544 pep chromosome:Theobroma_cacao_20110822:1:29960215:29968838:-1 gene:TCM_004170 transcript:EOX94544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRNIMAEAASSMRCPPGASISEECYPFLPNAPVYASASSDLTPVVMSAILELETMIQSMALSTVIGIITYDFGGGSFCSPNLLLIWFDSICSPVQGALISYANLVSVAFLKFRIKL >EOX90962 pep chromosome:Theobroma_cacao_20110822:1:1188441:1193814:1 gene:TCM_000289 transcript:EOX90962 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-linked oxidases family protein MEKLRATSNLRRSLKSLLNRRLSSGSDFTHFNQKRSFQTSFNWIRDYKSVGEVNAVQYRYFSSVSTLVQRNPSFSTLNSDDISYFKGLLGEKNVIQDEDRLETVNTDWMHKYKGSSKLLLQPRSTEEVSQILRYCNSRCLAVVPQAGNTGLVGGSVPVFDEVIVNVGSMNNIISFDKVSGILVCEAGCILENLISFLDNQGFVMPLDLGAKGSCQIGGNVSTNAGGLRLVRYGSLHGNVLGLEAVLANGDVLDMLGTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTPPKLSSVNLAFLACKDYSSCQKLLVEAKRKLGEILSAIEFLDDQAMVLVLHHLDGVRNPLPTSMHNFYVLIETIGSDDSFDREKLEAFLLSSMEGGLISDGVLAQDINQLSSFWRIREGVPEALMKAGAVYKYDLSLPVEKMYNLVNDMRVRLGNLAKVVGYGHLGDGNLHLNISAPQYDDAILEQIEPYVYEWTYKHRGSISAEHGLGLMKANKIYYSKTPETVQIMASIKTLLDPNGILNPYKVLPHSINP >EOX94630 pep chromosome:Theobroma_cacao_20110822:1:30615029:30617861:1 gene:TCM_004265 transcript:EOX94630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRLVEIRQAFVAIANGTKSSVARVCIEYDCLKPLMDSVWIIVSKRGSDDITSWYLQKRTETAKSKSVGNHWDKPFGDHATDHFLETDDKNQGRKDRASNTEKRRADQHMEVPKHGKQWQVVADKGLSVLARMVSDQGSTHLRVEESSRTDGDLSDSVENIDTRDYVQWAMENASNIQSQTEMIEGSGEYIPMLGLEMSHLGRGHEVSLDGFLQLGTVHHAGTKQADHDMHEQEHYGLNKEISLTISHATIPHASSEGHSMQDDDDDGRSPSNMDPSLESGSQPIEYHPVASRKRKSDSELSYNPYEDVSSENEAHSLTDRTCEDSVSMQFTLKTYP >EOX94218 pep chromosome:Theobroma_cacao_20110822:1:26219490:26232914:1 gene:TCM_003743 transcript:EOX94218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVCAYIYHNFLSSQLKTVHGGHSDVKISRQNVVYLVDKVGRRVACLSRNRTTRRTIVDGIGGMGRLPIRIQTAQQPVARCVVTVWTGF >EOX92108 pep chromosome:Theobroma_cacao_20110822:1:5302700:5306436:-1 gene:TCM_001112 transcript:EOX92108 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase H1E isoform 1 MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDNKAREFGVMFIETSAKAGFNIKPLFRKIAAALPGMETLSSTKQEDMVDVNLKPTVNSSQKTEQQGGGCAC >EOX92109 pep chromosome:Theobroma_cacao_20110822:1:5303494:5306445:-1 gene:TCM_001112 transcript:EOX92109 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase H1E isoform 1 MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDNKAREFGVMFIETSAKAGFNIKFLQQNRKTWL >EOX91375 pep chromosome:Theobroma_cacao_20110822:1:2500076:2502859:-1 gene:TCM_000591 transcript:EOX91375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MNVWRSQGALSTLSKPRISLSQLNNLLQLCSKSKSLSQGKQIHPQIISNGSHQNTFIITKLVQMYADCDDLVSANKLFDRLPQPNVFSWTAILGLYSRHGMYRKCIESYCEMKMSGVLPDGFVFPKVLRASVQGLCLETGICVHKDVIVCGCEFYLEVCNSLIDMYGRCGDLTSARRVFDEMVGRDLFSWNLMISGYVGNGMLEFGLEILNCMRLDGFEPDVVTWNMVMDGYCRMGRCDEALKIFEYIKEPNIISWTTLISGYSRIGQHESSLRIFKDMLNKGVVLPDLDCLSSALVSCRHLGALLSGKEIHGFGIKMMIGRSFYGSAGPALLTLHSKCGRSRDAGNIFELMDKSDTVTWNAMILGFVDRGLGHMAVDCFGEMQRMGIKNDQTTICTVLPVCELRQGKQLHAYIRRQYSDSICPIWNALVHMYSKCGSIGSAYSVFSNMVARDLVSWNTMIGGFALHGLGEAALQLLKEMNYLGVCPSPVTLTSALSACNHSGLVDEGLKVFSSMTRGFHLSPSMEHFACVVDMLSRAGRLEDAINFIEKMPLKPDKCIWGALLAACRAYHNIDVAKVAAEHLICLEPEQAGHYITLSNIYAKAGRWNDAVRVRKQMETKGSAKPSGQSWLESGS >EOX91410 pep chromosome:Theobroma_cacao_20110822:1:2619924:2624520:-1 gene:TCM_000615 transcript:EOX91410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 2 plant, putative MANTQRGGDSITTIEKKNFSFRQEEMSSTFAKLFFFLLLLLLPSSNCSAIRDGEEKVNEDDQCIMRCNARGDAHQIQLRFNHSHGKVTPIDLDESYQSYVAIRNLTSQNLPILCTVTNYEAAITAAINEVTRRTKATILCALPAKAKSIMENESSTSYISRHMYEITRQIASVIGNYQWLKPGTFVADDSDRVSDLEIVTFNMNSHPKQNAGGIIQMAATLSFSTSRPLQYYTELTIAVPVRSIPWQFANTSHDENHRETQITGFWIDIFKAAVAMMPVNTTYKLVPFYGSEDQLLKEVAHETFDAAVGLTVIKEEQSQLVEFSYPYLEVGPVIVMRKDIELNQVFSFMSPFTDEMWLTLALMTVFTAFVICLVEHRTGNESGGNLPSRQVGAFFWFSFATLFYGGHRESARSSLTYFVLAPWLFLILVVTSTYTASFTSMVTSSETEPSYLDIENLKITNAIIGCDGDSIIFRFIVEVLGFQPNNIKNIAQSSIDDYAKALSSGKIKAAFLLTPYADVFLAKYCKGFTTWRPCRNLRGSIVVFPRGSNLVPEMSEAMLRLIKSGKFKQMQEEMLSFSDCSSSTIDGTMKRGIGPGPFSGLFILSGLASAIAMLITVIRQMKIRLESCIQRMLMGRGLWVWLTTQFSRNQRRNELQIQLSRISSPSQTQGSAAPGTLL >EOX93247 pep chromosome:Theobroma_cacao_20110822:1:11561588:11578741:-1 gene:TCM_002091 transcript:EOX93247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MFAAALISSSPCRTFSRNCVPKPSSFTLSRVLPPFAARFSVRSMADSALKKVQIQRDNTAFDAYVVGKEDAPGIVVLQEWWGVDLEIKNHALKISQLDSGFKALIPDLYRGKVGLDVAEAQHLMDGLDWQGAVKDIRASVNWLKANGSKKAAKALEEKLKASEIPYEMHIYPGNAHAFMNRSPEGIERRKGMGMPDEDEAAVQLAWSRFQSWMTRFLSS >EOX93246 pep chromosome:Theobroma_cacao_20110822:1:11562145:11578674:-1 gene:TCM_002091 transcript:EOX93246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MFAAALISSSPCRTFSRNCVPKPSSFTLSRVLPPFAARFSVRSMADSALKKVQIQRDNTAFDAYVVGKEDAPGIVVLQEWWGVDLEIKNHALKISQLDSGFKALIPDLYRGKVGLDVAEAQHLMDGLDWQGAVKDIRASVNWLKANGSKKVGVTGFCMGGALSIASSVLVPEVDAVVAFYGVPSPELADPALAKAPVQAHFGELDNIVGFSDVTAAKALEEKLKASEIPYEMHIYPGNAHAFMNRSPEGIERRKGMGMPDEDEAAVQLAWSRFQSWMTRFLSS >EOX91439 pep chromosome:Theobroma_cacao_20110822:1:2742548:2743380:1 gene:TCM_000636 transcript:EOX91439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSNFQGLMGEGEEEMNLLLLFDEIMVDILQSELNSRVKLVLVFLWSLLFCPNFKYQAGQPHSQPAGQLKSYK >EOX90596 pep chromosome:Theobroma_cacao_20110822:1:98699:100546:1 gene:TCM_000024 transcript:EOX90596 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent thioredoxin reductase A, putative MDSPLRTKVCIIGSGPAAHTAAIYTARAELKPILFEGWMANDIAPGGQLPPQPTSRTSLDSQTASWAWSLWTAAAINSSALAPPSTLKPSTRLTFLRAVAKRLNFPGSGDGSGGFWNKGISACEVCDGAAPIFRDKPLAVIGGGDSAMEESTYLTKYASKVYIIHRRDTFRASKIMQSRAVSNPKIEVLWNSVVVEAYGDGERGVLGGREVKNLLTGEVSDLKVSGLFFAIGHEPATKFLEGQLQLDSDGYILTKPGTTQTSVRGVFAAGDVQDKKYRQAVTAAGTACLHDINWHGLHMLRSSRLRHGLDICLSFYITRKLENTCACRGGTGELFNLTLDS >EOX94868 pep chromosome:Theobroma_cacao_20110822:1:31814969:31819602:1 gene:TCM_004480 transcript:EOX94868 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MDEKIDSGGGASKPRSSFVLPQDKGSKNKRKLDDPFLENPIHVPLSNTEFSLYELPPEISRGPELGPLEARSSKVPFREDFERSDWDDPIACQLEELLLSNLQTNFQTAIKRIIECGYKEDIAEKVVSRHGLYQGGNDLVSNVMNDALAFLKKGEVGDISGNVFEDLQQLVGYTMLEMIGVLREVKPSLSIAETMWWLLMCDLNISMACESRLETQHLETILPSPNEPNVSKPSFPCSQNYLPETLKFRNFPNSPNPKNPLAYEGLTPEKRSLVSMGAFRDYGLFTSVSEEKPGTGRKGRSKKELAALRQKSFNMKKYKAYGKGAFRAGKLATIGGFVVKKRKKSPSKSPTVNLKNASSKINAKAGDLADESHHVLTNSSLGLIVTDKSPTLPTKKTKSVVPIANTELAPSSSLERKLFPKSESITSVSSKTLDHDAEKKLTSKAKGSTSMSSKTPDYYARIPYDQSLGKYIPQDEKDELILKLVPRVQHLQNELHSWTQWTNQKVMQAIRRLSKDQPELKALRQKKEEAEQIQKKKQTMEENTMKRLAKMEGALNDATTQVEDTNSTVQKLEVEHFMLKKEMKVVKLQAVESAASCQEAFEREQKALKDVRSWDGQRSLLQEELALEKQMAAELQKKVGKAKNIYNQTEMRWKHERLAKENFLAQAASLKKERKGFEVAAKVEGDRIKQKVEKDMHKYEEEIKELKDKLSELKMKLESSKIAALRRGSDGGNGQCLSINEGNQVPSFSERVVDIKDYSGNRGLKQERECIMCLAEEKTMIFLPCAHQVLCVKCNELHEKQRMKDCPACRTLIDWRICARFVKP >EOX92926 pep chromosome:Theobroma_cacao_20110822:1:9452963:9455757:-1 gene:TCM_001788 transcript:EOX92926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLTLGIIILALLGLIFAAIVISAFLICCNKVIRERLRGPLQVIETGQQGNLVQPPEKQQGVMPILAGSVIIYKNGETESNCTDCPKYLEEFKEVKVTCRDVHVNGRLLRETSDNMSSK >EOX92832 pep chromosome:Theobroma_cacao_20110822:1:8790355:8791595:-1 gene:TCM_001694 transcript:EOX92832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dipeptide transport ATP-binding protein dppF METQKSQPEKQPSPASPAPVVASCRKKKNEEATFLEDFKDHIDEFINASMDEHKTCFKKTIQKMFGMSKIVAERSAESKEVESVLPLRTTVTK >EOX93886 pep chromosome:Theobroma_cacao_20110822:1:17133255:17141520:-1 gene:TCM_002881 transcript:EOX93886 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component PIG-S-related MPEISEVPELDPKIMRKTKPGLKRLFLTHSVLFSFLLGFPFLWKSVEIYRSPLPFREIDSLSTHLRSNTLLFPLHFHAIFIGFDSDADRLRYSILSEISKLTSQNLKCFNNYSLSVTVDSGSRCLRAPITSPHSCPYQCGSITAIDFDPVDDVAVDERLGSVFGRKIKEYSVVVVKGENERAVVGKYRHAWMVVGGGMEVEMAAMKVAEVLVKVFVNGGREEGSIRTEFMPVGADGNIVLSFNLLNADPRDWVYDWDFQKIDETLLAPMIGALGPVANISVESQVLYHTPKASFSYWNQKLESHVFSTKDLPFFVNSNEWHLDTSIAAGGRSKILQFVVYVPSAKECPLLLQLPNGEISKTNGFISPMWGGVMVWNPQSCLKNSENKTTARHAIPLQDLENMFEVFIGQFRQLFGFRSVNVYAGGSGIWNLVPSERGFTEWELDVLSRQHTCFNLHSCATTLASLSRLVQSLPRMIIMDEIGKQVKYSLEAAKLAQSNASIGGYGFSTTSSRQARSLAEDAFFHPSIMSVSYYSFEHCFAVYSPFFLPVSMHVVLAALRELKRYKQEKAKYLAWKAKANASS >EOX94892 pep chromosome:Theobroma_cacao_20110822:1:31903963:31905438:1 gene:TCM_004495 transcript:EOX94892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNDNVIMILVSRDKSFLRAADAKARGDKGFRLDSFAQNPREKSRSYPPHPNQNHETATEDDVFCLVAESA >EOX93834 pep chromosome:Theobroma_cacao_20110822:1:16292764:16297285:-1 gene:TCM_002780 transcript:EOX93834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSYLPTILSLKWVERKVLSRIPWPGAIQTESAKTVKKSDINELLAGLHENVCSNAAWTIAYLSEGL >EOX92173 pep chromosome:Theobroma_cacao_20110822:1:5611559:5619526:1 gene:TCM_001165 transcript:EOX92173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 20, putative MEISWQMNLNTSRLMSTASKFGFGQEPYKVQAISCRAGNSAMQRGKTNLYKVLSLDSQNVSLPEIKKAYRRLVLQYHPDVCSPSTKEESTKRFLELQMAYETLSNPDSRKMHDYELGLVDSIGNAGGSCTEEWRSHFPRHVWEEQLRGLKRRSDVRMQRMKNRSRYP >EOX91274 pep chromosome:Theobroma_cacao_20110822:1:2163549:2165183:-1 gene:TCM_000520 transcript:EOX91274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAALKMARKPKQNPSFFKVLIGDFVNKLRIPPAFVKNFQGNVPTNFTLKSNSGSSWRVTVQNTEGSYFFCGGWSNFVEDQGLDSGDFVVFYLVGKSSFDCVIYGPTGCGKKIVLKTKRKRGRPKKSNEVTPSEAGASSFQKATRVSPGCRITRAPARRVINVGQQIVVVSEAISKHPSFTVVLKKYQKFSVVVPSSFAREAGLAEKRSTVIKDPKGRMWPLGISVGSRQVRLSAGWTKFRLENRLVAGDTLLFQYIRGTGNAIHVQIVGKAGYGNSGR >EOX94155 pep chromosome:Theobroma_cacao_20110822:1:23962786:23963948:1 gene:TCM_003511 transcript:EOX94155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit A, putative MGLKLLNLHTIPCWFHLLGNHMSCLHLQPEPRLGTIKIMDSDGIVKIYDRPVHVSELITEFPKHMICRSDSFYIGQKIPALSKDDQLQLGHRYFLLPKHFFQSVLSFVTIASFANARSPQSPVPSSSSRVSSIALVKKAATCQPFQIQKSPSGCLRIRISDEFIWQLMEEGRMKESVDESWSRVCTTPQLQKQYAQLVGSRHWKPKLETIKEKEKRKISSFGMMRKKKSQLKNNLKTQRSSEHHVHVTSAKPPSKPKIRIKSSRK >EOX93096 pep chromosome:Theobroma_cacao_20110822:1:10393795:10399500:1 gene:TCM_001939 transcript:EOX93096 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM, LAG1 and CLN8 (TLC) lipid-sensing domain containing protein isoform 2 PLIFTFSDSECVVILVSKKATMEIKSYQNQAEMLLKEYLLADSFIPYTSVIGGICACKMVYDLTQLFSTVYFKSYPSLSKVQRTEWSNRSISTVHAIYITVMSLYFVFWSNLYSDHQYAGLITFRSSALSTFTLGVSVGYFLADLGMIIWFYPSLGGMEYVIHHLLSGAAVAYSMLTGEGQLYTFMVLISETTTPGINLRWYLDTAGMKKSKAYLINGVVIFVAW >EOX93095 pep chromosome:Theobroma_cacao_20110822:1:10395180:10399332:1 gene:TCM_001939 transcript:EOX93095 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM, LAG1 and CLN8 (TLC) lipid-sensing domain containing protein isoform 2 MEIKSYQNQAEMLLKEYLLADSFIPYTSVIGGICACKMVYDLTQLFSTVYFKSYPSLSKVQRTEWSNRSISTVHAIYITVMSLYFVFWSNLYSDHQYAGLITFRSSALSTFTLGVSVGYFLADLGMIIWFYPSLGGMEYVIHHLLSGAAVAYSMLTGEGQLYTFMVLISETTTPGINLRWYLDTAGMKKSKAYLINGVVIFVAWLVARILLFMYIFYHVYLHYDQVKQLHSCGLFLIFVVPLVLAVMNLMWFGKIIRGLKKTLAKRQ >EOX96597 pep chromosome:Theobroma_cacao_20110822:1:38367808:38369060:-1 gene:TCM_005819 transcript:EOX96597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLLVDTLSKLYSKLENHHHHRQQSEADVLSASLQAFRSDVSNCLNQLLLNSKPGSEILSFSWIQQCFELLPLINRAFAKLVVDIDYPMSSWEVASVDEYLNYGLHLLELLNSVSSSLSHLAHARLSFAHALTLVENSPSLAIKHLKAIQPQSSSKDYKWQENKGDGDEKFSSCKEKVVNLALMEIKAISFWVSGVVLATLSGEAKPYLEIKQLIARFNSALLNGVDSIISEVIVEKGEVLREVKDVNNAAASLASAMASGESSSDAARELERRLGVFEKQLEALEKEVDNLFSKVLAGRNELLHGVCQRKQ >EOX92847 pep chromosome:Theobroma_cacao_20110822:1:8886223:8892709:-1 gene:TCM_001707 transcript:EOX92847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase 1 isoform 2 MAGKSIEKMASIDAQLRLLAPRKVSEDDKLVEYDALLLDRFLDILQDLHGGDIRETVQECYELSAEYEGKHDPLKLEELGKVITSLDPGDSIVVTKSFSHMLNLANLAEEVQIAYRRRIKLKKGDFADESSATTESDIEETIKRLVGQLKKSPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLNAKDITPDDKQELDEALQREIQAAFRTDEIRRNPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRVRADELHRSSRKDAKHYIEFWKQIPPNEPYRVILGDVRDKLYNTRERARYLLANGISDIPEEATFTNVEQFLEPLELCYRSLCSCGDQPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDALNAITKHLDIGSYREWPEDRRQEWLLSELRGKRPLFGPDLPKTEEVADVLDTFHVISELPPDNFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLDAAPAAVARLFSIDWYRDRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELVKVAKQYGIKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAVVATKEYRSVVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIEKDIKNLHMLKEMYNQWPFFRVTMDLIEMVFAKGDPGIAALYDKLLVSKELWPFGENLRKNYEDTKHLLLQVAGHRDLLEGDPYLKQRLCLRDAYITTLNVCQAYTLKRIRDPDYHVKVRPHLSKEYMESSKAAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >EOX92848 pep chromosome:Theobroma_cacao_20110822:1:8885691:8897025:-1 gene:TCM_001707 transcript:EOX92848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase 1 isoform 2 MMLCYWIVFLIFFKICMEAISEKRIRNCLTQLNAKDITPDDKQELDEALQREIQAAFRTDEIRRNPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRVRADELHRSSRKDAKHYIEFWKQIPPNEPYRVILGDVRDKLYNTRERARYLLANGISDIPEEATFTNVEQFLEPLELCYRSLCSCGDQPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDALNAITKHLDIGSYREWPEDRRQEWLLSELRGKRPLFGPDLPKTEEVADVLDTFHVISELPPDNFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLDAAPAAVARLFSIDWYRDRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELVKVAKQYGIKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAVVATKEYRSVVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIEKDIKNLHMLKEMYNQWPFFRVTMDLIEMVFAKGDPGIAALYDKLLVSKELWPFGENLRKNYEDTKHLLLQVAGHRDLLEGDPYLKQRLCLRDAYITTLNVCQAYTLKRIRDPDYHVKVRPHLSKEYMESSKAAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >EOX92590 pep chromosome:Theobroma_cacao_20110822:1:7638109:7640278:-1 gene:TCM_001524 transcript:EOX92590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MIGHLKRLVLTQTKLSKLSAPTHQPKSHVHTHLKPPKANQTLKRCLDSNCNTKAILLFRELIKHSRSSIDSFSFVFVLKACTKKPFTLEGKQLHTQLIKLGFHSIIHLQTVLIRFYSASGDLLDAHYMFDEIPSKSIVSWTALISAYVANQKPQKAVELFRKMQMLNVEPDQVTVTVALSACANLGALEMGEWIHAYVGRKPELKADLSLNNALINMYAKCGEIKTARKLFDSLGEKDVTTWTSMIVGHALHGQANEALQLFGKMEEIKQKNGKSRDEGNRGSSIILPNDVTFIGVLMACSHGGMVEEGKKYYQSMSEDYGLKPRDVHFGCMVDIFCRAGLLKEAYEFILEMPGKANAVIWRTLLGACNLHGEIELGEKVRCRLLELEPGHVGDNVAMSNFYAAKGMWDKKVTVRDQITQRRAPGCSSIEVASEISEFVSADDDHPLTAEICEALNYLTVSIKAHGYSPQISSPAEY >EOX93708 pep chromosome:Theobroma_cacao_20110822:1:15229584:15236480:1 gene:TCM_002626 transcript:EOX93708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 1.1, putative MEMKRKNRILLLFVIINLTSCLGKLSGKPVLTNKTENEMVNEVHVGVILDMGSLEGKILQRCISMAISDFYSVHDHYKTRLVLHTRDSKGETLNALSAAVNLLEHNKVGAILGAQTSTEAKVLAELGNKNKVPVVSFHPPNSPSSINYPYFVEIAQDESSQVKSIASVVEAFKWRNVILVYEDNYDWKDLTPYIVDYLQDKEVHIVRKTAIAMAYEDDQIIEKLHELMALQTSVFVVHMSHSLVTRLFLNAKKIGMISEGYAWILTANSMNLLHFKDLSVLESMQGVVGFKYYVPESEKLQNFTSRWRRTFFAEEPKMEAIESSVLAMRAYDVAWCLAKAAERAWNKIPLIRSPTQLNLRDLHSMRTSVQGSKFLKEILHSKFKGLSGEFQFSKGKLVSNTLEIVNVIGRVRRRVGYWISDGKITKRLHSSNDRRYLLSSSSEHHFEPIIWPGGSASIPRGWTGSRKKLKIGVLQNAGFPELVKVHLDPQTNMTTATGFCIDVFKAALEALEYEVEYEFIPFMKDSEEVAGAYNDIIYQVYLQKYDAAVGDITITPNRSLYVDFTLPYTDLGVGMIAPKDNKNIWIFLRPLTADLWLSIAGLFISIGFVVWLIERPINKEFQGPMSHQIGMIFWFSFSTLVFAHREKLLSNLSRFVVSVWVFVVLILTSSYTATLTSMMTVKQIRLKSEKNYIGYQNNSIIHGVVSNQNFDNYSRHLFSSPKAYADALSKGSKSGGVSAIIDEIPYLKIFLAKFSKDFSLIGSMTTTSGFGFAFPQGSQLARDISTEIVKMRQEGKLIMMEDAWFTSQATFTWEDSSDSVSPLTIDSFRGLFLISGTCSGLALFMFLVFVLYKKWPTVC >EOX95666 pep chromosome:Theobroma_cacao_20110822:1:35116499:35120421:-1 gene:TCM_005115 transcript:EOX95666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase, putative MVARSTTFYYGFRRYILFSCKYVVFLQAMAHEWPGGRSTRFYDFKVQTLRVTKLCNTKEIVTINKMFPGPVVYAQEDDRIIVKVTNETPYNATIHWHGVRQKLSCWFDGPSYITQCPIQAGQTFTYEFTLVKQKGTFFWHAHVSWLRATVYGAIVVYPQTGVPYPFKYPYQEHIVILGEYWLRDVVQLERQVLASGGAAPPADAFTINGHPGPNYNCSRNDIYKIDVVPGKTYLLRLINAGLNMENFFAIANHKLTIVEADAEYTKPFTTDRVMLGPGQTMNVLVTANQPIGKYSMAIGPYMSAQNVAFQNISAVAYFQYLGAVPNSISLPAKLPSFNDNLAVQTVMDGLRSLNPVNVPKEIDTSLFVTVGLNVNKCRSKTPQQNCQGTNNGTMAASMNNISFVKPTISILEAYYKKIGGHFTEDFPGAPLRFYDFVNGAPNNAPNNTQAINGTRTKVLEFGSRVQLIFQDTGTVTTENHPIHLHGYSFYVVGYGTGNFNPQTANFNLVDPPYINTIGVPVGGWAAIRFVADNPGVWFMHCHLDIHQSWGLGAVLIVENGKGEMETLPHPPADLPRC >EOX94872 pep chromosome:Theobroma_cacao_20110822:1:31835114:31841444:-1 gene:TCM_004484 transcript:EOX94872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MAIREDIEKGNDSVHEEARVPLLQEQKTMADEEDGHTDQTSSKASLWMVYLSTFVAVCGSFEFGSCAGYSSPTQTAITEDLSLTLAEYSVFGSILTFGAMIGAITSGPIADFIGRKGAMRTATGFCVAGWLAIYFAKGALPLDIGRLATGYGMGVFSYVVPVFIAEIAPKNLRGALTTINQLMICTGVSVAFIIGTVLTWRTLALTGLIPCAILLCGLFFIPESPRWLAKIGREKEFEAALQDLRGKDADISKEAADIRDYIETLQRLPKAKMLDLFQRRYLRSVIIGVGLMVFQQFGGINGICFYVSNIFESAGFSASVGTIIYAILQVVITGLNATVIDKAGRKPLLLVSSAGLVLGCMLSGISFYLKGHNLALNSVPILAVAGILIYIGSFSAGMGAVPWVVMSEIFPINIKGVAGSLATLVNWFGAWAVSYTFNFLMSWSSYGTFIIYAVINALAILFVVIVVPETKGKTLEQIQAAINA >EOX94873 pep chromosome:Theobroma_cacao_20110822:1:31834687:31840019:-1 gene:TCM_004484 transcript:EOX94873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MAIREDIEKGNDSVHEEARVPLLQEQKTMADEEDGHTDQTSSKASLWMVYLSTFVAVCGSFEFGSCAGYSSPTQTAITEDLSLTLAEYSVFGSILTFGAMIGAITSGPIADFIGRKGAMRTATGFCVAGWLAIYFAKGALPLDIGRLATGYGMGVFSYVVPVFIAEIAPKNLRGALTTINQLMICTGVSVAFIIGTVLTWRTLALTGLIPCAILLCGLFFIPESPRWLAKIGREKEFEAALQDLRGKDADISKEAADIRDYIETLQRLPKAKMLDLFQRRYLRSVIIGVGLMVFQQFGGINGICFYVSNIFESAGFSASVGTIIYAILQVVITGLNATVIDKAGRKPLLLVSSAGLVLGCMLSGISFYLKGHNLALNSVPILAVAGILIYIGSFSAGMGAVPWVVMSEIFPINIKGVAGSLATLVNWFGAWAVSYTFNFLMSWSSYGTFIIYAVINALAILFVVIVVPETKGKTLEQIQAAINA >EOX94025 pep chromosome:Theobroma_cacao_20110822:1:19009922:19033251:1 gene:TCM_003082 transcript:EOX94025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MQVSKILTDMRLDDDIKLMIATRLLEMRAHTWWNSMKSRSTTTLTWLDFLRKFDCQYYTYFHKKEKNRKFLSLKQGSLIVKEYEAHFNELVSYVPDLVKTEWDQANYFEEGLRNEIRDRLTVTCKEPYKEVVQMALRAEKLETENRRIRAEFAKRKNLITSSSQPSKKGKDSFASGSVITTSVASN >EOX96437 pep chromosome:Theobroma_cacao_20110822:1:37807387:37810805:1 gene:TCM_005688 transcript:EOX96437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLRKDERGSLDWFAWIRQEKWEFGFWTKSRVRAPLVRARSGARWGTVGCWWNPHRWPWRRMSGCRRGIGAWKRVSIGEASLKVGS >EOX96570 pep chromosome:Theobroma_cacao_20110822:1:38282934:38286568:-1 gene:TCM_005797 transcript:EOX96570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast, plasma membrane, plastid, chloroplast envelope, putative MANLKSAMDSAFWDQNISTPQTLEGTAKSVPGESFPVDGARASRALRIQQLSLLRNGFPLGIIPSLSPPLQKELGSFSLQSLLLRPSTSNWWLGIIGQFRPKKLISAIKTELQSADELELSVFRDAAKHFLDKSLYSIALATQLSLSPSSSLLWSTERQGERKVYRNKFKLYHQLPDHDITLDAAWPELFMDHKGKYWEVPESISLDVSSLPSDSGLLYHFGLHRNSGHPQAFNALGGEAPSALMPGFCAKAAFSYEKSKDFWRRKETKEDVFVKTNKGSFFRPSYDVCLKEPHAAISGIIGGTCAAWFGGRKNSTSAKSQGEGDIPTTINKRSPLNVDLFGSVCYTFQHGQFRKLYGDLTRVDARLDICSLPSFAKRIFKSSSVSSADNSLSSPRLNLIFQQQVAGPIVVRVDSKFLLDSKSGERGPHIEDLIYSLSYSLRLLHSGKVVAWYSPKRKEGMIELRLFEF >EOX96740 pep chromosome:Theobroma_cacao_20110822:1:38825251:38826914:1 gene:TCM_005926 transcript:EOX96740 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase A4C MSSLQRDFNQKIDYVFKVVLIGDSAVGKSQLLARFARNEFNIDSKATIGVEFQTRTQLIDHKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRPSFDHVAKWLEELRGHADKNIVIMLVGNKSDLGSLRAVPVEDAKEFALRESLFFMETSALEAINVESAFLTVLTEIYRIISKKNLVANDEQESEGNASLLKGTKIIVPGQEPETGGKSSSCCVSS >EOX94899 pep chromosome:Theobroma_cacao_20110822:1:31932563:31941832:-1 gene:TCM_004502 transcript:EOX94899 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRI1 suppressor 1 (BSU1)-like 1 MGSKPWLYPAPTYRTLETYWDSDEDAPGPRCGHTLTAVAATKTHGPRLILFGGATAIEGGASSSAPGIRLAGVTNSVHSYDVLTRKWTRIRPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGQGPGPRYGHVMDLVAQRYLVTVSGNDGKRVLSDAWAFDTAQKPYVWQRLSPEGDRPSARMYATASARSDGMFLLCGGRDSSGAPLADAYGLLMHRNGQWEWTLAPGVSPSPRYQQAAVFVGARLHVTGGTLRGGRAIEGEAAVAVLDTAAGVWLDRNGLVTSSRNTKGHAEYDPSLELMRRCRHASASVGVRIYIYGGLRGDMLLDDFLVAENSPFQSDMSSPILTSERTSNLSSPRFNQPNLSSFGTTPTSDDGPEFPSSGGMSMDKNSMEKLREASAAEAEAANAVWQAAQAASAVPAEETSLSDDNSPAAETASDASDNEADVRLHPRAVVVAKEAVGNLGGMVRQLSLDQFENESRRMIPSNNDLSYPTKKFSRQKSPQGLHKKVISTLLRPRNWKAPVNRRFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRIECIERMGENDGIWAWTRFNQLFNYLPLAALIEKKIICMHGGIGRSIHSVEQIEKLERPITMDAGSIILMDLLWSDPTENDSIEGLRPNARGPGLVTFGPDRVTDFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPIQSPETSPERVADDTWMQELNIQRPPTPTRGRPQPDLDRSSLAYI >EOX91796 pep chromosome:Theobroma_cacao_20110822:1:3905920:3908157:-1 gene:TCM_000870 transcript:EOX91796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglycosylase MTMALRNASLLLFSCILAISLSVSGRPATFLEDFRITWSDSHIRQIDGGRAIQLLLDQNSGCGFASKGQYLFGRVSMKIKLIPGDSAGTVTAFYMNSDTDNVRDELDFEFLGNRSGQPYTVQTNIYAHGKGDREQRVNLWFDPAADFHTYTIMWNHHHIVYYVDDVPIRVYKNNEARNIPYPKFQPMGVYSTLWEADDWATRGGLEKIDWSKAPFLAYYKDFDIEGCPVPGPANCASSPRNWWEGTAYQALSAMEARRYRWVRMNHMIYDYCTDKSRYPVTPPECMAGI >EOX91589 pep chromosome:Theobroma_cacao_20110822:1:3209009:3210543:1 gene:TCM_000728 transcript:EOX91589 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING finger protein B, putative MCSILNGMNPLKLHLDNSQSMTLSSENDLVEWCYALNFFARLAAYVAVLAVMVLVILLILKFVTDFSDESAGQDARTATESETNPLCSEKAMPLTYGTCEEDVETGSCSSGEDLYDGRICVICYDEQRNCFFVPCGHCATCYDCAQRIYDGDNKVCPVCRRVIGKVRKLFAP >EOX96668 pep chromosome:Theobroma_cacao_20110822:1:38600320:38604628:1 gene:TCM_005869 transcript:EOX96668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESLQDDDASWSSSTNWSIAGGSLPSSVTFESSFAPLTDSDEYEHDIHDPSAVGSTPKSPLILCPTSPDSTTPCEITIAFAQKQEVRQVYVRSTARVYEIYHAPKHQGSNEYLCTVRCGIASREEEVLHAANLDEAALAHLKGANKELDEKRLKNDSNSNSNEDDWVEVKAPDTPVLDSGSRVPSNFSVSAQDLYEATAEINDANPCMSITLRLLSLQNKGCVCVDELYVFGDPVESDDSENEVGQMGSAGGSSLMAMLAPTLLQLSKTACLRQIQNEDSFGAKENEKTQENGPKTIEPLNCANEIPQEGRPGLANQQESIAATIELNRHEIPPQLKDGEAKGDLSCGHIEKFLEHLVSRVSRVEGLLLKFEENMLKPISSIDARLQRVEQQLEELTKKPKNSELPSCTRYSAPEFSCHDSDNYTPYNIGNESSCDDLCESHEKDFSSSLQCDEIIYSVNATQSFPSLVVTAPEFSNADDEEDDHASKIDSPKDKPKQTMSIDDALASALASFLSSTSIEPQKYTQALTVKAPDFSNEEDGSSDKKVSPKSHFGVTSESCSLDTSDGMDSTIASVSSNCPSERIVKATCSLNDHDSEQTIKEVVEDCEGQVTWHGTVDCIVSSARHDLHQIAGDVGNGEVSSGTSKTLVLDEADILNQFLENHVDDGSGADEEGVPGDTEIKAEVTKQGLHEEFLQNVLELSYSSSVVDFESPILDVKFTSQDNSNDKSPLEALLFDISDMPFTDNGTSCSKKSDDGSQAGEDFNLISVGDGEPACPATDGHFSVDLDGDGLSSMPLNLEVKYLEDYHACTNQELSTASLI >EOX93770 pep chromosome:Theobroma_cacao_20110822:1:15637820:15638394:-1 gene:TCM_002687 transcript:EOX93770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKRGSMTISMFPNSTVLVGWCPGRRRLRRRRGSTIRLGNKAKRRGFCLGSRPVVQWGVMVGPLRMLKKIIVEITPKGKWIQAYYMYLPFLRPQLFPLC >EOX93419 pep chromosome:Theobroma_cacao_20110822:1:12945047:12950189:1 gene:TCM_002296 transcript:EOX93419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFANILLVGKKLNALVDTGTSDLFASVETAKMLRLNTKAGASYTKTINSKEVPTKGTMSNVIVQQAKWVSKESI >EOX96308 pep chromosome:Theobroma_cacao_20110822:1:37281093:37283418:1 gene:TCM_005587 transcript:EOX96308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLLQKINLFLDEEKKPADDISNGRPKDLLSLVQGGVHVSRRIATKHMPSNFPFRIPELVDRHVISNLTSPGIENSEEWCNVNDVKPAIGPALLTCEDFEKSILSESTEIYPNFLVAASLCIQDVRLGAYVISALLPYIITMNDSIIRSFSCEYINMAAAFSMCD >EOX93910 pep chromosome:Theobroma_cacao_20110822:1:17357736:17358919:-1 gene:TCM_002913 transcript:EOX93910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKRYKSIKKSLNSPRCNVSQAAGFISYLFQVILQHSSCWLLNKQLLLWIYSMFITLLHCSHFMVNLFPFNTNQQFDGSKPSNVDTNECSSSYAY >EOX93500 pep chromosome:Theobroma_cacao_20110822:1:13558142:13567094:-1 gene:TCM_002384 transcript:EOX93500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein, putative MVATRLLENRARTWWNSVKSRSTTPQTWSDFLKEFDEEYETRFNELMLYVLDLVKSEQNQANYFEERLHNEIRERMTVIGREPHKEVVHMALRAKKLEIENRRIWTEFAKRKNSSVSSNQPQKRGKDSSALGSTTFVSVTSPRPPFSQAQHRPPRFNISEMTTFEKSFRGHFRSDCPLLRRATATTSSPPAQCNTSAYPPSRPQTRSSTRVFVVMEDEARVRLGVVTGTMFLFDKDAYVLIDSGSDRSYVSTTFASIADRNLSPLEGEIVVHAPLEEQLIRNTCYKDYGIRVGGEPSGKGKEIVPDE >EOX90944 pep chromosome:Theobroma_cacao_20110822:1:1130100:1135604:-1 gene:TCM_046675 transcript:EOX90944 gene_biotype:protein_coding transcript_biotype:protein_coding description:FG-GAP repeat-containing protein MRKRDLAILMLSAFAIFFSLQHEGDFSFREAWFHLSDEHPIKYEAERLPPPIVADLNGDGKKEILIATHDARIEVLEPHARRVDEGFSEARLLAEVSLLPDKIRVASGRRPVAMATGVIDRTYKTGQPQKQVLVVVTSGWSVMCFDHNLKKLWENNLQEDFPHSAHHREIAISISNYTLRHGDSGLVIVGGRMEMQPHIYLDPFEEIGMAERNAEQHRRSANEKEASENSGTVNLRHFAFYAFDGRKGGLRWSRKNENVEEHSTDPSQLIPQHNYKLDAHALNSRHPGEFECREFRESILGVMPHHWDRREDTLLKLSHFKRHKRKTLKRVPGKSTTYPFHKPEEHHPPGKDETKKISNLIGKAAKYASSAKSKKPMSYIPTITNYTQLWWIPNVVVAHQKEGIEAVHLASGRTICKLHLQEGGLHADINGDGVLDHVQAVGGNGAEQTVVSGSMEVLRPCWAVATSGVPVREQLFNASICHHSPFNLFQHGEFYRNFGRSTDVASLEVATPILIPKSDGHRHRKGSHGDVIFLTNRGEVTAYSPGLHGHDAVWQWQLLTDATWSNLPSPSGMMEGGTVVPTLKPISLRVHDNQQMILAAGDQTGVIISPGGSILTSIDLPAQPTHSLISEDFSNDGLTDLILVTSSGVYGFVQTRQPGALFFSTLVGCLLLVMGVIFVTQHLNSIKGKPRASSGPR >EOX93406 pep chromosome:Theobroma_cacao_20110822:1:12730496:12733865:1 gene:TCM_002265 transcript:EOX93406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein MQVSLPVSPSKLPSIFPFHHPIPPPHHPHKNLAFQTQASPFDALKTSGYLSTISRAIEEEEEYRKARATVTRKGIEVEGCFIEGLSIGGHETCVIVPQFKSAFDIGRCPSRAIQQNFVFITHAHLDHIGGLPIYVASRGLYNLKPPTVFVPPCIKEDVEKLLDIHRTMGQVELNLDLVALDVGETYELRNDIVVRPFRTHHVIPSQGYVIYTIRKKLKKQYIHLKGKQIEKLKKSGVEITDIILSPEVAFTGDTTAEYMLDPRNADALRAKILITEATFLDEGYSIDHARQHGHTHLFEIIEHAQWIRNKAVLLTHFSSRYSIEDIRLAVSKLQSKVSAKVVPLTEGFKSMHS >EOX96417 pep chromosome:Theobroma_cacao_20110822:1:37708753:37712378:-1 gene:TCM_005665 transcript:EOX96417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNATPSEELDNLTVLASGEYEEVNFLIEDEDDDMQRDEDEEDDMEGDENEDDDEKEDELEDDASETLSDDSDNNEEHEFDYSKKFADLSIQQQMQLKFHDVPFETPYLLGASAEQVENETSTHDSCKSPSIDLGASVDGTSSRSRGRGPSVELQTPIDPTNRLCITPIGERRMTRQIEKSKAKWFDALLIGTHINVATSESACGPMPLNATSPAIALEEKVENLLENLGVSYNCYKIGGLEYEGVELIDMLMDDMLKFTIRDVDLPVKEGIIVNRLGSNVAMANMINNLAVGVAHSTVLYGEIGMELGWHYKNSWNHRWTILKQVYFYNLWRRTVTVATFIVVIRTVLQTVLAILEKTTLTK >EOX96433 pep chromosome:Theobroma_cacao_20110822:1:37796284:37798380:-1 gene:TCM_005685 transcript:EOX96433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1639) [Source:Projected from Arabidopsis thaliana (AT3G60410) TAIR;Acc:AT3G60410] MVFHSISEGRKNLNKREPETVMASSSTLKSHPLHNFQLHDLKWAMNHSNNHRLRKLSDSSHKSPQRGDSDSDSDDNRKGNPVREAAPKNGASSGSSADHRSEKSEKKVINGSDVLVDNNSEKKATPSDGRSKIYIRFRTKNQKPADEVADAGDQNLDAEYVEELVPKTWNLRPRKPITKPRNQNGAAPRIGASAHENKIHRPESTRSRNVTEPKAAEKKEKKKKFSISLSREEIDDDIFAMTGSKPSRRPKKRAKNVQKQLDCVFPGLWLSSITPDCYRVSDAPAKG >EOX95467 pep chromosome:Theobroma_cacao_20110822:1:34307018:34308561:-1 gene:TCM_004954 transcript:EOX95467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSICLACDLVGDAGCLDLTLALIMPPLGVFRKRGTKSEFWLSICLTLALFLPGSIYAFNIVTSKENNGTK >EOX95811 pep chromosome:Theobroma_cacao_20110822:1:35634581:35635771:-1 gene:TCM_005218 transcript:EOX95811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATLQQLAHGHPWLRRVDPHRSGPRRLRVCMSWETCLPSSFWIANNLGGTPFWAVAVSISRLRPFEEVQYLQLMVVIVLLDSCVQVVGGNWIAWNQNSDILNSSNYFATREGPSHSCHEVLLKGEDVKINVLVEDVKVLLLSMH >EOX94788 pep chromosome:Theobroma_cacao_20110822:1:31394935:31402305:1 gene:TCM_004400 transcript:EOX94788 gene_biotype:protein_coding transcript_biotype:protein_coding description:SecY protein transport family protein MEATLLSSHRYHPPHFATKPLNVPGGHVAPDLRFYHSLYAKPHHTLKIKLVNPSRSHFLLPNKFLQSRTNRKWLTNSSDQLRSDYMNVKSTPESLNLEVVPSRSDEGSDVSNFNGVNNIDTLHVRPKYFRNRFLNFVRLSSVLNNAAESFFKSEIRRRLFVTAVLLVISRVGYFIPLPGFDRRLIPQDYLSFVSGSVDELGDFSAELKLSFFQLGISPQIIASIIMQVFCHVVPSLVKLRKEGLDGHEKIKSYIWWISLGFAILEAIIVACYSLPYSIYAASYRVKHVMVTAFLLVCGAMTMTWICDTISESGFGQGSSLIICIGILTGYTDTLYKMLTQLSGSAVSWWPYMLAVLGVFTVVTMWAVVVTEGCRKIKLQYYGFKLASAAREDSPITEVEPYIPFNINPSGMQPVLTTTYLLAFPSILASILGSPFWEHVKEILDPGTSVGAEPWVYYSIYAFFVFLFNIFDIANLPKEIADYLNKMGARIPNIKPGKATIEYLSKIQASTRFWGGLLLSILATTSTILDHYLRRINEGFAIGFTSILIIVGSIIELRRSYQAYNVMPSLSKALRRYGV >EOX95885 pep chromosome:Theobroma_cacao_20110822:1:35894898:35897323:1 gene:TCM_005278 transcript:EOX95885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MSRPQEPHRPFFPFANPFRMISPKGSQLSPRLLSLLNTFEAALLERLQKLVPKDKDDILSLSWMKLAMESLSEIHCDIKNLITELELPVTDWDEKWIDVYLDISVKLLDISIAYTSELTRLNQGHLLLQCVLHRLESNTPEQFMRACSSLDSWRQHIGSKNPRVETCRPILDNLVESLNLPKVKNSAKGKVLMRAMYGAKVATVYICSVFSAAFSGSAKNLLDLPVPDTLPWAQVFSNVQTTGNAEIRNIFSCEKFTVLRELDAVDALVKKLYPLLQDGLGPIEEETFKNSVSDLRKSAQKLSQGLDNLSKVVDGFFKIVLGGRDALLCNLRAGGTVPNSIHISPCLGYGSTCGVSCKVCYDTSLHHCTCVCTMLWQKLYIKAYIC >EOX95884 pep chromosome:Theobroma_cacao_20110822:1:35894926:35897986:1 gene:TCM_005278 transcript:EOX95884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MSRPQEPHRPFFPFANPFRMISPKGSQLSPRLLSLLNTFEAALLERLQKLVPKDKDDILSLSWMKLAMESLSEIHCDIKNLITELELPVTDWDEKWIDVYLDISVKLLDISIAYTSELTRLNQGHLLLQCVLHRLESNTPEQFMRACSSLDSWRQHIGSKNPRVETCRPILDNLVESLNLPKVKNSAKGKVLMRAMYGAKVATVYICSVFSAAFSGSAKNLLDLPVPDTLPWAQVFSNVQTTGNAEIRNIFSCEKFTVLRELDAVDALVKKLYPLLQDGLGPIEEETFKNSVSDLRKSAQKLSQGLDNLSKVVDGFFKIVLGGRDALLCNLRAGGTVPNSIHISPCLGYGSTCGVSCKNHYRRNLFFSTGEKVPS >EOX95883 pep chromosome:Theobroma_cacao_20110822:1:35894926:35897986:1 gene:TCM_005278 transcript:EOX95883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MSRPQEPHRPFFPFANPFRMISPKGSQLSPRLLSLLNTFEAALLERLQKLVPKDKDDILSLSWMKLAMESLSEIHCDIKNLITELELPVTDWDEKWIDVYLDISVKLLDISIAYTSELTRLNQGHLLLQCVLHRLESNTPEQFMRACSSLDSWRQHIGSKNPRVETCRPILDNLVESLNLPKVKNSAKGKVLMRAMYGAKVATVYICSVFSAAFSGSAKNLLDLPVPDTLPWAQVFSNVQTTGNAEIRNIFSCEKFTVLRELDAVDALVKKLYPLLQDGLGPIEEETFKNSVSDLRKSAQKLSQGLDNLSKVVDGFFKIVLGGRDALLCNLRAGGTVPNSIHISPCLGYGSTCGVSCKNHYRRNLFFSTGEKVPS >EOX95882 pep chromosome:Theobroma_cacao_20110822:1:35894432:35897986:1 gene:TCM_005278 transcript:EOX95882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MSRPQEPHRPFFPFANPFRMISPKGSQLSPRLLSLLNTFEAALLERLQKLVPKDKDDILSLSWMKLAMESLSEIHCDIKNLITELELPVTDWDEKWIDVYLDISVKLLDISIAYTSELTRLNQGHLLLQCVLHRLESNTPEQFMRACSSLDSWRQHIGSKNPRVETCRPILDNLVESLNLPKVKNSAKGKVLMRAMYGAKVATVYICSVFSAAFSGSAKNLLDLPVPDTLPWAQVFSNVQTTGNAEIRNIFSCEKFTVLRELDAVDALVKKLYPLLQDGLGPIEEETFKNSVSDLRKSAQKLSQGLDNLSKVVDGFFKIVLGGRDALLCNLRAGGTVPNSIHISPCLGYGSTCGVSCKLRLFLTRIACFSNESKTLKIMLPTNHYRRNLFFSTGEKVPS >EOX94027 pep chromosome:Theobroma_cacao_20110822:1:19054565:19055210:1 gene:TCM_003087 transcript:EOX94027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKWSAVLVLALVVVQASARNVPNDSGLNDQKNFLTYGGVGGYSGIGANGMPIGGVGSVGGITGLDGTGGVGGLAGVGFGGGPGGGAAAGVGGGAGGGSGTGVIHFP >EOX93838 pep chromosome:Theobroma_cacao_20110822:1:16331495:16346705:1 gene:TCM_002784 transcript:EOX93838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDLFYNCVQAIEGLLALLVLYVIWRYIARNSNKSRIPEPSGSWPLIGHLHLLGGKETLCKKLGAMADKYGPLYSLKLGHRRVLVVSSWEIAKDCLTNNDRALATRPSIAAGRHIGYNNAIFALAPYGEYWRNIRKIVTIELLSSYRLEKLKHIRFSEMDLFIKELFRLCVENADNCAQVTMSEVLERLTFNINLRMLVGKRFASSSYAQAHSQPWRYEKAIKQTLYLSGIFVLADALPFLEWMDIQGHVRSMKQTAKELDSIISVWLENHLRRKRESQGSCESDFMDVMLANLPQDAVISGHTRDTIVKATTLILTMTGGESTSVTMTWVLSLLLNHPSVLKAAQQELDLHVGIDRWVEESDIKSLKYLQAIVKETLRLYPPGPLTGIREAMEDCCIAGYHVPKGTRLIVNLWKLQRDPRVWENPGEFQPERFLTTHADFDVRGQNFEYIPFSSGRRSCPAITFGLQVVHLTLAKLLQGFDIRTPDGKPVDMGEGLGLALPKRTPLDVVLTPRLAHEFYKCL >EOX95454 pep chromosome:Theobroma_cacao_20110822:1:34261153:34267123:1 gene:TCM_004945 transcript:EOX95454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aaRS and biotin synthetases superfamily protein isoform 3 MIWLDGSRKSLAVVRKKKEVKKETGLGLSFTKDENFGEWYSEVVVNGEMIEYYDISGCYILRPWAISIWENMQTFFDAEIKKMKVKNCYFPLFVSPGVLQKEKDHVEGFAPEVAWVTKSGESDLEVPIAIRPTSETVMYPYYSKWIRGHRDLPLKLNQWCNVVRWEFSHPTPFIRSREFLWQEGHTAFSTKEEADTEVLQILELYRRIYEEFLAIPVIKGRKSELEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKAMVWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVAAVQVIVIPVPYKDADTQGIFDACVATVATLTEAGILAEADLRDNYSPGWKYSDWEMKGVPLRIEIGPRDLANNQVRAVRRDNGAKTDISRVFLVEQVKGMLDKIQQNLFDVAKQKRDACVEVVKTWEEFVTALGQKKMILAPWCDEEDVEKDVKARTKGEMGAAKSLCTPFEQPELPEGTKCFASGKPAKKWTYWGRSY >EOX95455 pep chromosome:Theobroma_cacao_20110822:1:34261132:34266985:1 gene:TCM_004945 transcript:EOX95455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aaRS and biotin synthetases superfamily protein isoform 3 MAGREQKKSGGGGKKKEVKKETGLGLSFTKDENFGEWYSEVVVNGEMIEYYDISGCYILRPWAISIWENMQTFFDAEIKKMKVKNCYFPLFVSPGVLQKEKDHVEGFAPESDLEVPIAIRPTSETVMYPYYSKWIRGHRDLPLKLNQWCNVVRWEFSHPTPFIRSREFLWQEGHTAFSTKEEADTEVLQILELYRRIYEEFLAIPVIKGRKSELEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKAMVWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVAAVQVIVIPVPYKDADTQGIFDACVATVATLTEAGILAEADLRDNYSPGWKYSDWEMKGVPLRIEIGPRDLANNQVRAVRRDNGAKTDISRVFLVEQVKGMLDKIQQNLFDVAKQKRDACVEVVKTWEEFVTALGQKKMILAPWCDEEDVEKDVKARTKGEMGAAKSLCTPFEQPELPEGTKCFASGKPAKKWTYWGRSY >EOX95456 pep chromosome:Theobroma_cacao_20110822:1:34261153:34267123:1 gene:TCM_004945 transcript:EOX95456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aaRS and biotin synthetases superfamily protein isoform 3 MIWLDGSRKSLAVVRKKKEVKKETGLGLSFTKDENFGEWYSEVVVNGEMIEYYDISGCYILRPWAISIWENMQTFFDAEIKKMKVKNCYFPLFVSPGVLQKEKDHVEGFAPEVAWVTKSGESDLEVPIAIRPTSETVMYPYYSKWIRGHRDLPLKLNQWCNVVRWEFSHPTPFIRSREFLWQEGHTAFSTKEEADTEVLQILELYRRIYEEFLAIPVIKGRKSELEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKAMVWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVAAVQVIVIPVPYKDADTQGIFDACVATVATLTEAGILAEADLRDNYSPGWKYSDWEMKGVPLRIEIGPRGTKCFASGKPAKKWTYWGRSY >EOX95453 pep chromosome:Theobroma_cacao_20110822:1:34261132:34266925:1 gene:TCM_004945 transcript:EOX95453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aaRS and biotin synthetases superfamily protein isoform 3 MAGREQKKSGGGGKKKEVKKETGLGLSFTKDENFGEWYSEVVVNGEMIEYYDISGCYILRPWAISIWENMQTFFDAEIKKMKVKNCYFPLFVSPGVLQKEKDHVEGFAPEVAWVTKSGESDLEVPIAIRPTSETVMYPYYSKWIRGHRDLPLKLNQWCNVVRWEFSHPTPFIRSREFLWQEGHTAFSTKEEADTEVLQILELYRRIYEEFLAIPVIKGRKSELEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKAMVWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVAAVQVIVIPVPYKDADTQGIFDACVATVATLTEAGILAEADLRDNYSPGWKYSDWEMKGVPLRIEIGPRDLANNQVRAVRRDNGAKTDISRVFLVEQVKGMLDKIQQNLFDVAKQKRDACVEVVKTWEEFVTALGQKKMILAPWCDEEDVEKDVKARTKGEMGAAKSLCTPFEQPELPEGTKCFASGKPAKKWTYWGRSY >EOX95998 pep chromosome:Theobroma_cacao_20110822:1:36266752:36268146:1 gene:TCM_005357 transcript:EOX95998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLINIHVLRPSECGCLIGVVFFMHHCDSAVLVERYSTDLCMDDVGKDYVKHLSFELRRLFDDDLCFNA >EOX96165 pep chromosome:Theobroma_cacao_20110822:1:36827453:36830805:-1 gene:TCM_005477 transcript:EOX96165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 family protein MSMDLSNPVPLVALVLSLILALFTVKFLTAKLRERQRKNKAKYHPVGGTVFNQLLNFRRLHHYMTDLAGKYRTYRLLSPFRNEIYTSEPDNVEYILKTNFHNYGKGEYNYSLLKGLLGDGIFTVDGDKWRQQRKVSSYEFSTKVLRDFSSVVFRENAAKLATIVSEAANSNEIMDIQDLFMKSTLDSIFKVAFGVELDSMCGSNLEGKQFTAAFDDSSAAILFRYVDIFWKIKRFLNIGSEATLKKSIEVVDNFVYKLIHNKIEQMRNSKDESSIMQMKKEDILSRFLQVSDTDPTYLRDIILNFIIAGKDTTAATLSWFIYMLCKHPDVQEKVAQEVKEATDTKDVKDYAEFAAILSEEALGKMHYLHAAITETLRLYPAVPVDAKVCFSDDTLPDGFSVRKGDMVCYQPYAMGRMQFIWGDDAEEFKPERCLDEEGMFQPESPFKFTAFQAGPRICLGKEFAYRQMKIFSAVLVYCFVFKLSDENKCVTYRTMINLHVDGGLHVRAFHRCQT >EOX91932 pep chromosome:Theobroma_cacao_20110822:1:4527970:4532831:1 gene:TCM_000980 transcript:EOX91932 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGT1 isoform 3 METPPPPYLDPNHLNPFSQASSRLPEDTVFYSIYPDNFLSQDSLQSLHLQILNSISPFTSSYVWQHEPFTLTISFHPFPHLHGHVRYGDNLNDEWFTVFLLFQISLSFPSLSIHVHDSDGEFLLIESAFHLPRWLNPENSQNRAFIRRGKFHIIPKRSLPNPTLTESLNFLIENEQLARAPDSVQSVIRNCIEDYPEKAKLNSHTVRVRVPVSVAHVLRHAPSLISLAVEGFYDRDIDSMKHAAKMERFLKGGKEVEMVTVAVEMSRAMYAQLMQQNFHAPKCYPMPNKGDVEAELGMKIACGFEMMYQEKRKEGEEGKGSGWKKYKESLEKSGYFKGLIPGSTEYKRLMENAEEYYRNSSLFSKTSEMLNAPVRQIDEILSLTYSADDFKNHDIPPSDDDSWLYSGEDELNSVLQDRQKEMELYEVNRKKKKKSKEHQDTGPSSSSKAEGFDLSDIVKTMQGFIHKMSSYEGAEVPEDRDPKEVELDVERFIKDMESVIKNQGGEDVASDVDDDEGSSDMDFDESEDGSDLSDHNADGEDSFMHSYSDAMNYELKNSTLKKSFIHANEQSSLKNEGTSNATEDMDEEFTPVDVDVNLVKNLLDSFSCQQGLPGPTSNLLGLMGVQLPKDDSKGE >EOX91934 pep chromosome:Theobroma_cacao_20110822:1:4527974:4532450:1 gene:TCM_000980 transcript:EOX91934 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGT1 isoform 3 METPPPPYLDPNHLNPFSQASSRLPEDTVFYSIYPDNFLSQDSLQSLHLQILNSISPFTSSYVWQHEPFTLTISFHPFPHLHGHVRYGDNLNDEWFTVFLLFQISLSFPSLSIHVHDSDGEFLLIESAFHLPRWLNPENSQNRAFIRRGKFHIIPKRSLPNPTLTESLNFLIENEQLARAPDSVQSVIRNCIEDYPEKAKLNSHTVRVRVPVSVAHVLRHAPSLISLAVEGFYDRDIDSMKHAAKMERFLKGGKEVEMVTVAVEMSRAMYAQLMQQNFHAPKCYPMPNKGDVEAELGMKIACGFEMMYQEKRKEGEEGKGSGWKKYKESLEKSGYFKGLIPGSTEYKRLMENAEEYYRNSSLFSKTSEMLNAPVRQIDEILSLTYSADDFKNHDIPPSDDDSWLYSGEDELNSVLQDRQKEMELYEVNRKKKKKSKEHQDTGPSSSSKAEGFDLSDIVKTMQGLNIKCNRRYG >EOX91933 pep chromosome:Theobroma_cacao_20110822:1:4527974:4531959:1 gene:TCM_000980 transcript:EOX91933 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGT1 isoform 3 METPPPPYLDPNHLNPFSQASSRLPEDTVFYSIYPDNFLSQDSLQSLHLQILNSISPFTSSYVWQHEPFTLTISFHPFPHLHGHVRYGDNLNDEWFTVFLLFQISLSFPSLSIHVHDSDGEFLLIESAFHLPRWLNPENSQNRAFIRRGKFHIIPKRSLPNPTLTESLNFLIENEQLARAPDSVQSVIRNCIEDYPEKAKLNSHTVRVRVPVSVAHVLRHAPSLISLAVEGFYDRDIDSMKHAAKMERFLKGGKEVEMVTVAVEMSRAMYAQLMQQNFHAPKCYPMPNKGDVEAELGMKIACGFEMMYQEKRKEGEEGKGSGWKKYKESLEKSGYFKGLIPGSTEYKRLMENAEEYYRNSSLFSKTSEMLNAPVRQIDEILSLTYSADDFKNHDIPPSDDDSWLYSGEDELNSVLQDRQKEMELYEVNRKKKKKSKEHQDTGPSSSSKAEGFDLSDIVKTMQGFIHKMSSYEGAEVPEDRDPKEVELDVERFIKDMESVIKNQGGEDVASDVDDDEGSSDMDFGNIKCNRRYG >EOX93793 pep chromosome:Theobroma_cacao_20110822:1:15869546:15873388:1 gene:TCM_002721 transcript:EOX93793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine triad (Hit) protein, putative MEARRLAILSSHLCPSGSGSVPARSSTVSASGCASDRQSLDPDSKTLGNDCVFCQIIRGESPALKLYEDDMCLCILDTSPLSRGHSLIIPKSHFSSLDTTPPSVVAAMCSKVPFIGNAIMKATGSDSFNLLVNNGAAAGQVIFHTHIHIIPRKARDCLWTSESLHRRPLKLDQETSGLASRVRELLLNISEKSKVQVSSLS >EOX96018 pep chromosome:Theobroma_cacao_20110822:1:36340479:36341782:-1 gene:TCM_005374 transcript:EOX96018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQNHVEIPATADQNLAGNLDVFGFNAPFFFLFFRAWTSSFSEALTDGKIQNLDEEMEMNVSIFMVNVEKD >EOX91944 pep chromosome:Theobroma_cacao_20110822:1:4553977:4559464:1 gene:TCM_000985 transcript:EOX91944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MAMEKVYEELDEVKVENEKLRADFKSKSALCEHLKKIQNKQVMKIQEGSSKIEKQAQELLEKEEEISVVKQANEDLKSSLNEKESIIKQLTAANAKLRVERDEKNQNWEQENRRLVLALDEANEKNIDQEQKINVLKAEIEGLKAHLSVSQKKRSEAEKKAKNPKELRERDDLLVKVEEGKRKVEDQLKWKKEQFKHLEEAHDKLRDQFKVSKKEWEQEKSTLLDEICSLQTRLDSQIRITGDLQNRLQMCNQALAHEETRRKYLEVEISEFKTRFENIFAECQDAKSQLDCLNSQRDNEVATLRHLLGTKESFYKEMEYRAAKLEQENQELMTSVRELQEARFQEAGSSSSLSKLKNKLKSVEQMHKECSANLRAKEAEWNSQREEMTKKLNDYSSQLERKDAAFKVLEMELEGYLSSAVQLKLQNEEISVMLLLMKSGMSEAQLKLANVEAELGLYEKERVENLSILRQQLEIKNTALANAQRDIAEEGERTAILTRRVDTLEQLEDKHQLMQKELNRCKEMLEESSRCQLRLKEQALQVDNDSKGKIREVCDALDVANSELAEEQEKVASLLRKVESLDIIEGQRLLMQKELERYKEKLEEASRCQIHLEKQALQMESESREKLQEVCDALEAAKSELTEERERAASLMKRVESLDQIEEQWLQTQKELERYKDLLEETSRSQRQLEEQAVHMKNEYEEKLREVCDALETANFELAEERERTAYLKKRIESSDHLEEQWALRQKELDRYKEMFEESSKCQIQLEKQMSQIESDSERKLAEVCNALDKANSELVEKICERHEIEFESWIWKTIAERLKADLEESQELRKKLESSLLAQVEVGETIKQDLIRITEEKEGRIVNLQQQIVSLEQELKTRELEAVSSAEESILQITREQDKILEDLQKEIGLLEEESLRREMEGAAFAHIGAERKFEHEKENLLRLVEEKDQRIDGLMQAVRSMEEDFNSSLNSFSSELAEKQAQVNLVHEAYEKIARAEILAKLEIEEKKLMIVELEDDIHIVQEKLLSQEKSLSDSKQLALNVEAELEAKRLQMKNLADQMEARLKTSEALVEEFKSEKTNLLEDIMKLSMERESLFGFIGGLGDKISEFSSEDAQLMGILGRIVQSFDNNTSGLKGGDELFDSLKENKNSPVPSPATKKPDSAIEERSPFRQLN >EOX91943 pep chromosome:Theobroma_cacao_20110822:1:4554105:4559565:1 gene:TCM_000985 transcript:EOX91943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MAMEKVYEELDEVKVENEKLRADFKSKSALCEHLKKIQNKQVMKIQEGSSKIEKQAQELLEKEEEISVVKQANEDLKSSLNEKESIIKQLTAANAKLRVERDEKNQNWEQENRRLVLALDEANEKNIDQEQKINVLKAEIEGLKAHLSVSQKKRSEAEKKAKNPKELRERDDLLVKVEEGKRKVEDQLKWKKEQFKHLEEAHDKLRDQFKVSKKEWEQEKSTLLDEICSLQTRLDSQIRITGDLQNRLQMCNQALAHEETRRKYLEVEISEFKTRFENIFAECQDAKSQLDCLNSQRDNEVATLRHLLGTKESFYKEMEYRAAKLEQENQELMTSVRELQEARFQEAGSSSSLSKLKNKLKSVEQMHKECSANLRAKEAEWNSQREEMTKKLNDYSSQLERKDAAFKVLEMELEGYLSSAVQLKLQNEEISVMLLLMKSGMSEAQLKLANVEAELGLYEKERVENLSILRQQLEIKNTALANAQRDIAEEGERTAILTRRVDTLEQLEDKHQLMQKELNRCKEMLEESSRCQLRLKEQALQVDNDSKGKIREVCDALDVANSELAEEQEKVASLLRKVESLDIIEGQRLLMQKELERYKEKLEEASRCQIHLEKQALQMESESREKLQEVCDALEAAKSELTEERERAASLMKRVESLDQIEEQWLQTQKELERYKDLLEETSRSQRQLEEQAVHMKNEYEEKLREVCDALETANFELAEERERTAYLKKRIESSDHLEEQWALRQKELDRYKEMFEESSKCQIQLEKQMSQIESDSERKLAEVCNALDKANSELVEKICERHEIEFESWIWKTIAERLKADLEESQELRKKLESSLLAQVEVGETIKQDLIRITEEKEGRIVNLQQQIVSLEQELKTRELEAVSSAEESILQITREQDKILEDLQKEIGLLEEESLRREMEGAAFAHIGAERKFEHEKENLLRLVEEKDQRIDGLMQAVRSMEEDFNSSLNSFSSELAEKQAQVNLVHEAYEKIARAEILAKLEIEEKKLMIVELEDDIHIVQEKLLSQEKSLSDSKQLALNVEAELEAKRLQMKNLADQMEARLKTSEALVEEFKSEKTNLLEDIMKLSMERESLFGFIGGLGDKISEFSSEDAQLMGILGRIVQSFDNNTSGLKGGDELFDSLKENKNSPVPSPATKKPDSAIEERSPFRQLN >EOX96538 pep chromosome:Theobroma_cacao_20110822:1:38189395:38193097:-1 gene:TCM_005775 transcript:EOX96538 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MPPRMVKRGAGSAGPRRTARSTRGASKAQNPPPEPVEEAVQVEMASVPVVEMREEEEEEVVEDLKADEKRIVEEKVVIEKKGVVPEENAGLNLNSKGSVAMKKEDELKESVEEYEKDERLELDDNEPEYEPEEYVGVDYDEKEMEPDEVGDEVEEEPEEEQDGEEKEGDLSDDEDVHEVEVEGDDDDDDDDDDEHAGEEVEHADFDTAEHDERHEFVQERRKRKEFEVFVGGLDKDATEDDIRKVFSQVGEVVDVRLMMNPQTKKNKGFAFLRFANVEQAKRAVTELKNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVDNVEDLTLVEDSNNEGMNRGFAFLEFASRSDAMDAFKCLQRRDVLFGVDRPAKVSFADSFIDPGDEIMAQVRTIFIDCLPPSWDEDRVRELLKKYGEIEKIELARNMPSADRKDYGFVTFDTHDAAVTCAKSINNTELGEGDSKAKVRARLSRPHQRGRGKHIGRDGFRSGRGSGRVVRGSWGRPPPRGFPPRGVRGISNRVPPPSLKRPVGLRDRRPIMSTPARGRPLAPPPSRSYDRRAPVPPYPKSSLKREYGRRDELPPPRSRAPVDYGSRVVPERRPSYRDDYSARSSGYSDLPRSTSRTAARRPYVDDAYGQRFERPPPSYRDGRGRDYDSMSGSKRPYSAMDDVPPRYADAGARHSRARLDYELATGAPPYADTYGDRLGRSSLGYGGSRSSMSSQDSHGLYGSRQGMGYGGGSFSGSDVGGMYSSSGYSGDYMPRGSDVGGSSYSSMYSSRGMGGSSYMGGGGGSGSYY >EOX96540 pep chromosome:Theobroma_cacao_20110822:1:38186912:38193506:-1 gene:TCM_005775 transcript:EOX96540 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MPPRMVKRGAGSAGPRRTARSTRGASKAQNPPPEPVEEAVQVEMASVPVVEMREEEEEEVVEDLKADEKRIVEEKVVIEKKGVVPEENAGLNLNSKGSVAMKKEDELKESVEEYEKDERLELDDNEPEYEPEEYVGVDYDEKEMEPDEVGDEVEEEPEEEQDGEEKEGDLSDDEDVHEVEVEGDDDDDDDDDDEHAGEEVEHADFDTAEHDERHEFVQERRKRKEFEVFVGGLDKDATEDDIRKVFSQVGEVVDVRLMMNPQTKKNKGFAFLRFANVEQAKRAVTELKNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVDNVEDLTLVEDSNNEGMNRGFAFLEFASRSDAMDAFKCLQRRDVLFGVDRPAKVSFADSFIDPGDEIMAQVRTIFIDCLPPSWDEDRVRELLKKYGEIEKIELARNMPSADRKDYGFVTFDTHDAAVTCAKSINNTELGEGDSKAKVRARLSRPHQRGRGKHIGRDGFRSGRGSGRVVRGSWGRPPPRGFPPRGVRGISNRVPPPSLKRPVGLRDRRPIMSTPARGRPLAPPPSRSYDRRAPVPPYPKSSLKREYGRRDELPPPRSRAPVDYGSRVVPERRPSYRDDYSARSSGYSDLPRSTSRTAARRPYVDDAYGQRFERPPPSYRDGRGRDYDSMSGSKRPYSAMDDVPPRYADAGARHSRARLDYELATGAPPYADTYGDRLGRSSLGYGGSRSSMSSQDSHGLYGSRQGMGYGGGSFSGSDVGGMYSSSGYSGDYMPRGSDVGGSSYSSMYSSRGMGGSSYMGGGGGSGSYY >EOX96539 pep chromosome:Theobroma_cacao_20110822:1:38188327:38193506:-1 gene:TCM_005775 transcript:EOX96539 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MPPRMVKRGAGSAGPRRTARSTRGASKAQNPPPEPVEEAVQVEMASVPVVEMREEEEEEVVEDLKADEKRIVEEKVVIEKKGVVPEENAGLNLNSKGSVAMKKEDELKESVEEYEKDERLELDDNEPEYEPEEYVGVDYDEKEMEPDEVGDEVEEEPEEEQDGEEKEGDLSDDEDVHEVEVEGDDDDDDDDDDEHAGEEVEHADFDTAEHDERHEFVQERRKRKEFEVFVGGLDKDATEDDIRKVFSQVGEVVDVRLMMNPQTKKNKGFAFLRFANVEQAKRAVTELKNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVDNVEDLTLVEDSNNEGMNRGFAFLEFASRSDAMDAFKCLQRRDVLFGVDRPAKVSFADSFIDPGDEIMAQVRTIFIDCLPPSWDEDRVRELLKKYGEIEKIELARNMPSADRKDYGFVTFDTHDAAVTCAKSINNTELGEGDSKAKVRARLSRPHQRGRGKHIGRDGFRSGRGSGRVVRGSWGRPPPRGFPPRGVRGISNRVPPPSLKRPVGLRDRRPIMSTPARGRPLAPPPSRSYDRRAPVPPYPKSSLKREYGRRDELPPPRSRAPVDYGSRVVPERRPSYRDDYSARSSGYSDLPRSTSRTAARRPYVDDAYGQRFERPPPSYRDGRGRDYDSMSGSKRPYSAMDDVPPRYADAGARHSRARLDYELATGAPPYADTYGDRLGRSSLGYGGSRSSMSSQDSHGLYGSRQGSFSGSDVGGMYSSSGYSGDYMPRGSDVGGSSYSSMYSSRGMGGSSYMGGGGGSGSYY >EOX93939 pep chromosome:Theobroma_cacao_20110822:1:17599568:17600774:1 gene:TCM_002946 transcript:EOX93939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQIVRTEYKLFCTMLLGGGIGRPGGRGFAQVVGNVDFVTIDALPSTHKPRSIKNIFAHAWNCSHIIICPVQLPSL >EOX93650 pep chromosome:Theobroma_cacao_20110822:1:14508916:14511421:1 gene:TCM_002533 transcript:EOX93650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 PAAQSLIDKEFIKSTFQEIVSDELKKIKDSSLSDCLESSNSVPKATDELWEYNGLQDAYQGECEELLLEMQRIFYEDLRTEPARKEPEDQIKTWADEEDEYLARAVSEHMQLKDEQIWCPICKQGELQQKHQLIYCTLCNLQLNRDDEVNLDILRDRLAEAHDDHLDRGCRLKPKFCLETRFGLTALYILCQDCNTFEVVI >EOX93647 pep chromosome:Theobroma_cacao_20110822:1:14508206:14511278:1 gene:TCM_002533 transcript:EOX93647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEDDCPTIKRRSLKTHPQFNNYSFWKDKVRENCYKRVREDRTRLLWKMRSPAAQSLIDKEFIKSTFQEIVSDELKKIKDSSLSDCLESSNSVPKATDELWEYNGLQDAYQGECEELLLEMQRIFYEDLRTEPARKEPEDQIKTWADEEDEYLARAVSEHMQLKDEQVHKQIWCPICKQGELQQKHQLIYCTLCNLQLNRDDEVNLDILRDRLAEAHDDHLDRGCRLKPKFCLETRFGLTALYILCQDCNTFEVVI >EOX93651 pep chromosome:Theobroma_cacao_20110822:1:14508916:14511421:1 gene:TCM_002533 transcript:EOX93651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 PAAQSLIDKEFIKSTFQEIVSDELKKIKDSSLSDCLESSNSVPKATDELWEYNGLQDAYQGECEELLLEMQRIFYEDLRTEPARKEDQIKTWADEEDEYLARAVSEHMQLKDEQVHKQIWCPICKQGELQQKHQLIYCTLCNLQLNRDDEVNLDILRDRLAEAHDDHLDRGCRLKPKFCLETRFGLTALYILCQDCNTFEVVI >EOX93649 pep chromosome:Theobroma_cacao_20110822:1:14508239:14511082:1 gene:TCM_002533 transcript:EOX93649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MIAQQSNDVPLKPIPNSTITPFGKTMRENCYKRVREDRTRLLWKMRSPAAQSLIDKEFIKSTFQEIVSDELKKIKDSSLSDCLESSNSVPKATDELWEYNGLQDAYQGECEELLLEMQRIFYEDLRTEPARKEPEDQIKTWADEEDEYLARAVSEHMQLKDEQVQCTSRFGAPSVSKESCNRSINLFIAPFAIFSSTEMMRLIWIYY >EOX93648 pep chromosome:Theobroma_cacao_20110822:1:14508431:14511435:1 gene:TCM_002533 transcript:EOX93648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEDDCPTIKRRSLKTHPQFNNYSFWKDKVRENCYKRVREDRTRLLWKMRSPAAQSLIDKEFIKSTFQEIVSDELKKIKDSSLSDCLESSNSVPKATDELWEYNGLQDAYQGECEELLLEMQRIFYEDLRTEPARKEPEDQIKTWADEEDEYLARAVSEHMQLKDEQVHKQIWCPICKQGELQQKHQLIYCTLCNLQLNRDDEVVNLDILRDRLAEAHDDHLDRGCRLKPKFCLETRFGLTALYILCQDCNTFEVVI >EOX92842 pep chromosome:Theobroma_cacao_20110822:1:8863634:8872257:-1 gene:TCM_001703 transcript:EOX92842 gene_biotype:protein_coding transcript_biotype:protein_coding description:General regulatory factor 9, MU isoform 1 MASSKERENFVYVAKLAEQAERYDEMVEAMKNVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEDAKGNEVNAKRIKEYRHKVESELSSICNDIMTVIDEHLIPSASAGESTVFFYKMKADYYRYLAEFKAGNEKKEAADHSMKAYETATATAEAELPPTHPIRLGLALNFSVFYYEIMNTPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDITEDPEDSMRDSTGRSGAGDDAE >EOX92843 pep chromosome:Theobroma_cacao_20110822:1:8862931:8872712:-1 gene:TCM_001703 transcript:EOX92843 gene_biotype:protein_coding transcript_biotype:protein_coding description:General regulatory factor 9, MU isoform 1 MASSKERENFVYVAKLAEQAERYDEMVEAMKNVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEDAKGNEVNAKRIKEYRHKVESELSSICNDIMTVIDEHLIPSASAGESTVFFYKMKADYYRYLAEFKAGNEKKEAADHSMKAYETATATAEAELPPTHPIRLGLALNFSVFYYEIMNTPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDITEDPEDSMRDSTGRSGAGDDAELVISPKLAGNSALVT >EOX92841 pep chromosome:Theobroma_cacao_20110822:1:8863634:8872257:-1 gene:TCM_001703 transcript:EOX92841 gene_biotype:protein_coding transcript_biotype:protein_coding description:General regulatory factor 9, MU isoform 1 MASSKERENFVYVAKLAEQAERYDEMVEAMKNVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEDAKGNEVNAKRIKEYRHKVESELSSICNDIMTVIDEHLIPSASAGESTVFFYKMKADYYRYLAEFKAGNEKKEAADHSMKAYETATATAEAELPPTHPIRLGLALNFSVFYYEIMNTPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDITEDPDLDEIEKIKDNLSWMVESVNNIMIVLKEKEAMINIWLVRRTGDYSCSRSGELHIKSVRYMTVLPIWLRRNKGKHLAFVIEDSMRDSTGRSGAGDDAE >EOX96245 pep chromosome:Theobroma_cacao_20110822:1:37093412:37097085:-1 gene:TCM_005531 transcript:EOX96245 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA 3'-terminal phosphate cyclase/enolpyruvate transferase, alpha/beta isoform 1 MAHASKIYSGTQKTCVLPNVSKSQKPKCVPSVSFRSNLKGSFSSSWGLVFKSNGKLGTIKVGPLLVSASMATAEKPSSASEIVLQPINEISGTVKLPGSKSLSNRILLLAALSEGTTVVDNLLNSDDVHHMLVALGKLGLRVEHDSEQKRAIVEGCGGQFPVGKGEGQEIELFLGNAGTAMRPLTAAITAAGGNSSYILDGVPRMRERPIGDLVTGLKQLGADVDCTLGTNCPPVLINGKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISIPYVEMTIKLMERFGVSVEHTGSWDRFYIRGRQKYKSPGKAYVEGDASSASYFLAGAAVTGGTVTVEGCGTSSLQGDVKFAEVLEKMGAKVTWTENSVTVTGPPRNSSGKKHLRAIDVNMNKMPDVAMTLAVVALYADGPTAIRDVGG >EOX96244 pep chromosome:Theobroma_cacao_20110822:1:37092519:37097012:-1 gene:TCM_005531 transcript:EOX96244 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA 3'-terminal phosphate cyclase/enolpyruvate transferase, alpha/beta isoform 1 MAHASKIYSGTQKTCVLPNVSKSQKPKCVPSVSFRSNLKGSFSSSWGLVFKSNGKLGTIKVGPLLVSASMATAEKPSSASEIVLQPINEISGTVKLPGSKSLSNRILLLAALSEGTTVVDNLLNSDDVHHMLVALGKLGLRVEHDSEQKRAIVEGCGGQFPVGKGEGQEIELFLGNAGTAMRPLTAAITAAGGNSSYILDGVPRMRERPIGDLVTGLKQLGADVDCTLGTNCPPVLINGKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISIPYVEMTIKLMERFGVSVEHTGSWDRFYIRGRQKYKSPGKAYVEGDASSASYFLAGAAVTGGTVTVEGCGTSSLQGDVKFAEVLEKMGAKVTWTENSVTVTGPPRNSSGKKHLRAIDVNMNKMPDVAMTLAVVALYADGPTAIRDVASWRVKETERMIAICTELRKLGATVEEGPDYCVITPPEKLNVTAIDTYDDHRMAMAFSLAACADVPVTINDPGCTRKTFPDYFEVLEKVTKH >EOX93561 pep chromosome:Theobroma_cacao_20110822:1:13976341:13986695:1 gene:TCM_002450 transcript:EOX93561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell wall protein AWA1 isoform 2 MRFMQCLKNVLWIPMKPLRGSSFRDPFREVKRKRDRKKESLNNKESAEPRWRSGSQGRGSRGGRGNFSPRYTAPEAGGSKSSGSGRDNGTNQVGEKGSCQSLSTSQETKLKESTLVASPVPVMANGPTGVVAEISSSRSRNAAKQPEENSSVGNNELGTAPSPVDAINKPTIAFGSGDISGQPTASSSDCSTLTIPVSSSAICFSSSDPVLVPSCDSRLPGTLGTIKREVGSHRAFTEPNVPTDNNLASATEISSSFMQGKMPGKSSGVVKNSLSESSQPSSTSTYGGSSGSRPSSNYSARSQQILGPQKVGSNKEWKPKPISSNAGQGSGTAGASEVPTISLEANAQSQPVSSILDSEEATSKLQKKLEELHLPQRQHVIIPNHIHVPESERSKLSFGSFDACFGVTSTYVGVQESDKSSTPLSETSQDVDETAEEQASSNQNSLATAEEGDYTDHPPSPAHAPENMSGEGDVSSSVPEYNENKQENALFSGGHQYSVVHTSPNYSFGIVPPILSPFENSESQAREVSRLPSFVVQQPFDPATYYAQFYRSSVDNDGRVSPFPSPGVATKYNGNVAVLPPQTSQSPQEGGNSLVLTTASPTPLVTQAAGLMQSSISVTQQPVPVYRSPAGVHLPHYPPNYIQYAPFYSPFYVPSPAIHQFINNGAFPQQPQAGAVYPSAPAVPTTGVKFSLPQFKPGSNTANSTHIGMPSAYGPYGSSPAGYNPSSTATAGNSTTNEDLGASQFKESNVYITGQQSEGSAVWIAPPGRDMSSLPASSFYSLPPQGQNVTFAPTQVAPGSFAGIYHPQAVTAAAVHPLLQQAQTMAGAVDMVGPAAGVYQQPQHAQMNWPSNY >EOX93560 pep chromosome:Theobroma_cacao_20110822:1:13976299:13986802:1 gene:TCM_002450 transcript:EOX93560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell wall protein AWA1 isoform 2 MSGGGFRVSSIPNSVRKTIQNIKEITGNHSEDEIYAMLKECSMDPNETAQRLLLQDPFREVKRKRDRKKESLNNKESAEPRWRSGSQGRGSRGGRGNFSPRYTAPEAGGSKSSGSGRDNGTNQVGEKGSCQSLSTSQETKLKESTLVASPVPVMANGPTGVVAEISSSRSRNAAKQPEENSSVGNNELGTAPSPVDAINKPTIAFGSGDISGQPTASSSDCSTLTIPVSSSAICFSSSDPVLVPSCDSRLPGTLGTIKREVGSHRAFTEPNVPTDNNLASAATEISSSFMQGKMPGKSSGVVKNSLSESSQPSSTSTYGGSSGSRPSSNYSARSQQILGPQKVGSNKEWKPKPISSNAGQGSGTAGASEVPTISLEANAQSQPVSSILDSEEATSKLQKKLEELHLPQRQHVIIPNHIHVPESERSKLSFGSFDACFGVTSTYVGVQESDKSSTPLSETSQDVDETAEEQASSNQNSLATAEEGDYTDHPPSPAHAPENMSGEGDVSSSVPEYNENKQENALFSGGHQYSVVHTSPNYSFGIVPPILSPFENSESQAREVSRLPSFVVQQPFDPATYYAQFYRSSVDNDGRVSPFPSPGVATKYNGNVAVLPPQTSQSPQEGGNSLVLTTASPTPLVTQAAGLMQSSISVTQQPVPVYRSPAGVHLPHYPPNYIQYAPFYSPFYVPSPAIHQFINNGAFPQQPQAGAVYPSAPAVPTTGVKFSLPQFKPGSNTANSTHIGMPSAYGPYGSSPAGYNPSSTATAGNSTTNEDLGASQFKESNVYITGQQSEGSAVWIAPPGRDMSSLPASSFYSLPPQGQNVTFAPTQVAPGSFAGIYHPQAVTAAAVHPLLQQAQTMAGAVDMVGPAAGVYQQPQHAQMNWPSNY >EOX93562 pep chromosome:Theobroma_cacao_20110822:1:13976230:13986695:1 gene:TCM_002450 transcript:EOX93562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell wall protein AWA1 isoform 2 MSGGGFRVSSIPNSVRKTIQNIKEITGNHSEDEIYAMLKECSMDPNETAQRLLLQDPFREVKRKRDRKKESLNNKESAEPRWRSGSQGRGSRGGRGNFSPRYTAPEAGGSKSSGSGRDNGTNQVGEKGSCQSLSTSQETKLKESTLVASPVPVMANGPTGVVAEISSSRSRNAAKQPEENSSVGNNELGTAPSPVDAINKPTIAFGSGDISGQPTASSSDCSTLTIPVSSSAICFSSSDPVLVPSCDSRLPGTLGTIKREVGSHRAFTEPNVPTDNNLASAATEISSSFMQGKMPGKSSGVVKNSLSESSQPSSTSTYGGSSGSRPSSNYSARSQQILGPQKVGSNKEWKPKPISSNAGQGSGTAGASEVPTISLEANAQSQPVSSILDSEEATSKLQKKLEELHLPQRQHVIIPNHIHVPESERSKLSFGSFDACFGVTSTYVGVQESDKSSTPLSETSQDVDETAEEQASSNQNSLATAEEGDYTDHPPSPAHAPENMSGEGDVSSSVPEYNENKQENALFSGGHQYSVVHTSPNYSFGIVPPILSPFENSESQAREVSRLPSFVVQQPFDPATYYAQFYRSSVDNDGRVSPFPSPGVATKYNGNVAGGNSLVLTTASPTPLVTQAAGLMQSSISVTQQPVPVYRSPAGVHLPHYPPNYIQYAPFYSPFYVPSPAIHQFINNGAFPQQPQAGAVYPSAPAVPTTGVKFSLPQFKPGSNTANSTHIGMPSAYGPYGSSPAGYNPSSTATAGNSTTNEDLGASQFKESNVYITGQQSEGSAVWIAPPGRDMSSLPASSFYSLPPQGQNVTFAPTQVAPGSFAGIYHPQAVTAAAVHPLLQQAQTMAGAVDMVGPAAGVYQQPQHAQMNWPSNY >EOX94479 pep chromosome:Theobroma_cacao_20110822:1:29000050:29003504:1 gene:TCM_004073 transcript:EOX94479 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MVKPSFLLRRGVRKQRNSKMMYTEIKDLTLCIFQISQTVLSSYFLMAPNYRHFHNLGFQSLSPIKDQKNQTSQPPLPTSDPAFPILAIAVLSIMGTAFLLVSYYIFVSRCCGSNWHQLNLLRRISLFRARQEEDTFIAFSPMMWNRGLDESVIREIPTFQFKREGDERSIYGCVVCLNEFQEHDMLRVLPNCGHAFHLDCIDIWLQSSANCPLCRTSISGTTRYPINQIIAPSSSPQDSQPYTDSLMGGDEDFVVIELGGEDGGDLLPHRQQERDNSREELMQLQPRAQSPKKLEQKPGNLKSRKRHHVSIMGDECIDVRQKDDQFSIQPIRRSFSLDSAVDRKLFLSVQAIVQRNRHPGEINTTEECSNGARRSLFPFGHGRRPRNAVLPVEFDL >EOX91077 pep chromosome:Theobroma_cacao_20110822:1:1525038:1527204:-1 gene:TCM_000372 transcript:EOX91077 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase 1 MNPPPQTTTITTRSTVRKLCGNPSTPSKIPFRPRKIRKVISNTPVDNATKSPQPSLTVAPKIPKSLSTKPEIDLALNHLRTSDPLLAALISAHPPPKLSPCNSYFVSLSKSILFQQLATKAANSIYTRFVSLCGNESNVLPNTVLSLTPQKLREIGVSVRKASYLHDLSDKFSTGFLSDTSILTMDDETLFQMLTAVKGIGPWSVHMFMIFSLHRPDVLPVGDLGVRKGVQFLYGLKELPKPLQVEQICEKWKPYRSVGSWYMWRLVEAKPKGNGKAQTVEAEQNGGCKFVIRAVNGVLEDVIKASPPKEENSALGGSFEKSCPFN >EOX92304 pep chromosome:Theobroma_cacao_20110822:1:6171063:6172046:-1 gene:TCM_001269 transcript:EOX92304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKTFPLQGLTYASFSDGFDHCFQLSDDVHNYMEIKRCGSQTLKEFLAESINQGTKFTCIIHCTLVPWVAVVARVSYPSLAPLEPTCQSPNSLDMPFQAYKEHIGILEQETNPRALLRKSRGKWNGLDSKPESSVICVSFGSPSVSARLLLSDGYDQGINQSINDVNHYIVEIKRCGFNTLREFIAQSINQGTRTVCLHFYCTLPHWVSSKSLI >EOX95789 pep chromosome:Theobroma_cacao_20110822:1:35572285:35579861:-1 gene:TCM_005203 transcript:EOX95789 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor, putative MNPASSFRVKYAERRRVTNKLVKPSSKWFSMESSAKAPRIVRISVTDGDATDSSSDESEHERNHRVKRYVNEIRIEDCSTFNFSKSANKQNKQTNSKCNPVNIRSKKQQQQCLSTGVKYRGVRQRPWGRWAAEIRDPTSRTRVWLGTYDTAEEAALVYDRAAIRIKGPDALTNFVKPPVRPSSPEIELETISGYDSGQESQSLCSPTSVLRFQSNEEAELQTESKDDSCELTESEWRPVQERPEEPTNLSDGYLLTDPGALCDYFDCDNLAPIFLDEMRLPEERNLEQDYGDISVKLDVDFGSCTWDVDNYY >EOX93742 pep chromosome:Theobroma_cacao_20110822:1:15499189:15502779:-1 gene:TCM_002662 transcript:EOX93742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-specific ribonuclease PARN-like, putative isoform 3 MVALIPRRLFCTKISRNCHQHQLQWSVKQVTKSNFNDSLHDFHSHLSSSDFVAVSFQNTGSFSAAWHRVSSFDCPETAYLKARRAADRFQLLQFAVCPFTISGSKVTAHPYNFHLFPRDELNIGMPSYSFSCQTSYLTAMAQQGFDFNACIYDGISYLSRAQELVAKVRMGNPIAIHHVVKPTSTPPSVADTIFVERVKSRVKHWKKACADSSSRKTDGERLMEKYTSFDAVIGNGQFLGFFFLSDNILKFVYWLQMLGEFSDDLVALKIPTKGGGTQAVRVVLTSSKEDKSLFERELQNVEEEQNKKIRGFREVIDLISASQKPVVSHNSLNDFSVIHSKFIGPLPLNMDEFLCSLRLVFPHVFDVNHLMKEIGPLENVTNIPAAISYLKNRFFAPIDMEISHGALLNEGKIHGQTVLRICHLFAKLCSVLRITPGAIQSSDDNITSRLGGYAHSFKSCSVSSQESVDGRIRIWTKSPRKVGCKHLVFLWGFRDSLSAGMLKSLLQGSHDVFSEEFDVCLVDKSCAIVVFLQPNLSQAFLDIMSSEGISGSLRELVSEGLRAAGYETYKRACGLGYWEADLASALDKASATPDCFSQSGSETNPSEVYWCNDLMINLATCEV >EOX93743 pep chromosome:Theobroma_cacao_20110822:1:15499189:15502779:-1 gene:TCM_002662 transcript:EOX93743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-specific ribonuclease PARN-like, putative isoform 3 MVALIPRRLFCTKISRNCHQHQLQWSVKQVTKSNFNDSLHDFHSHLSSSDFVAVSFQNTGSFSAAWHRVSSFDCPETAYLKARRAADRFQLLQFAVCPFTISGSKVTAHPYNFHLFPRDELNIGMPSYSFSCQTSYLTAMAQQGFDFNACIYDGISYLSRAQELVAKVRMGNPIAIHHVVKPTSTPPSVADTIFVERVKSRVKHWKKACADSSSRKTDDFSVIHSKFIGPLPLNMDEFLCSLRLVFPHVFDVNHLMKEIGPLENVTNIPAAISYLKNRFFAPIDMEISHGALLNEGKIHGQTVLRICHLFAKLCSVLRITPGAIQSSDDNITSRLGGYAHSFKSCSVSSQESVDGRIRIWTKSPRKVGCKHLVFLWGFRDSLSAGMLKSLLQGSHDVFSEEFDVCLVDKSCAIVVFLQPNLSQAFLDIMSSEGISGSLRELVSEGLRAAGYETYKRACGLGYWEADLASALDKASATPDCFSQSGSETNPSEVYWCNDLMINLATCEV >EOX93741 pep chromosome:Theobroma_cacao_20110822:1:15499193:15502818:-1 gene:TCM_002662 transcript:EOX93741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-specific ribonuclease PARN-like, putative isoform 3 MVALIPRRLFCTKISRNCHQHQLQWSVKQVTKSNFNDSLHDFHSHLSSSDFVAVSFQNTGSFSAAWHRVSSFDCPETAYLKARRAADRFQLLQFAVCPFTISGSKVTAHPYNFHLFPRDELNIGMPSYSFSCQTSYLTAMAQQGFDFNACIYDGISYLSRAQELVAKVRMGNPIAIHHVVKPTSTPPSVADTIFVERVKSRVKHWKKACADSSSRKTDEALVKSLRKLVLGGEQYGSRPCMTIDVCSERQVQLVLEMLGEFSDDLVALKIPTKGGGTQAVRVVLTSSKEDKSLFERELQNVEEEQNKKIRGFREVIDLISASQKPVVSHNSLNDFSVIHSKFIGPLPLNMDEFLCSLRLVFPHVFDVNHLMKEIGPLENVTNIPAAISYLKNRFFAPIDMEISHGALLNEGKIHGQTVLRICHLFAKLCSVLRITPGAIQSSDDNITSRLGGYAHSFKSCSVSSQESVDGRIRIWTKSPRKVGCKHLVFLWGFRDSLSAGMLKSLLQGSHDVFSEEFDVCLVDKSCAIVVFLQPNLSQAFLDIMSSEGISGSLRELVSEGLRAAGYETYKRACGLGYWEADLASALDKASATPDCFSQSGSETNPSEVYWCNDLMINLATCEV >EOX94990 pep chromosome:Theobroma_cacao_20110822:1:32333912:32334509:-1 gene:TCM_004568 transcript:EOX94990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFHFFSDERGEAVMLRTTYFMKGFGLFVIRKSPMDPSEPSLSFLPMMSDSNFANSLLVFLIFLFVLEVNFVQSDSEPLM >EOX96661 pep chromosome:Theobroma_cacao_20110822:1:38584687:38591321:1 gene:TCM_005865 transcript:EOX96661 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein isoform 2 MAFHVACPITCRRICFCSLGFPRNLQSPNSKNGFLQEVIRVEEFLKDPWGVRVSREGTVQVPVPKVAPVPAGDGGGGGGGSGDAAEEVASVSAQAKRLALQRKAAAAMVAAEDYARRVESGDIAVASKNSVVEEQGQSNTNVMCRMCFLGENEGSERARRMLSCRNCGKKYHRSCLKSWAQHRDLFHWSSWTCPYCRTCEVCRSTGDPTRLMFCKRCDGAYHCYCQHPSHKNVTSGPYVCPKHTRCHSCGSNVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDVCQRWVHCQCDGISDEKYLQFQVDGNLQYKCATCRGECYQVTDLEDAVQELWRRRDRVDRDLIASLRAAAGLPTQEEIFSISVYSDDEDNGPVMPKNEFGRSLKFSLKGMADKSPKKNKEYGKKSSSKKYPKKKAYQASFISKGELQLSLEENQDIHSQVYSLGEDRNNEVVSKRNEGQDISSPVAGICSTNQPGVLKHKLVDEVMVSDEDRTSRVLKIKSNKSHDLDSGDDTGKHGSKSKTVKAKKLVINLGARKINVTNSPMSDTSSFQREQDVIPHNGVQDANQQRMDDKFMLDRRDSSAKSGDGDRIDHSTKSRGVKIAGREGNLIKFGKIRSEIPELRSKLGAANSSDRHGIVPHEHTRVTSGKRSIDGSRLAAVPSGEVSTLRGGKVMSGKQLEDRADMYAESNEDYGRTPVLNSLPKDSKPSLKFKLKKPNLENQNSQVHSEEEKSSIKGQRSKRKRPSPFMEKSLFNEDEDLDVTRSHQDSLMDGMMDASWILKKLGKDAIGKKVEIHQASDNSWHKGAVTDVIEGTSALSVRLDDGRVKSLELGKQGVRFVLQKQKRSKI >EOX96662 pep chromosome:Theobroma_cacao_20110822:1:38585157:38591297:1 gene:TCM_005865 transcript:EOX96662 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein isoform 2 MAFHVACPITCRRICFCSLGFPRNLQSPNSKNGFLQEVIRVEEFLKDPWGVRVSREGTVQVPVPKVAPVPAGDGGGGGGGSGDAAEEVASVSAQAKRLALQRKAAAAMVAAEDYARRVESGDIAVASKNSVVEEQGQSNTNVMCRMCFLGENEGSERARRMLSCRNCGKKYHRSCLKSWAQHRDLFHWSSWTCPYCRTCEVCRSTGDPTRLMFCKRCDGAYHCYCQHPSHKNVTSGPYVCPKHTRCHSCGSNVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDVCQRWVHCQCDGISDEKYLQFQVDGNLQYKCATCRGECYQVTDLEDAVQELWRRRDRVDRDLIASLRAAAGLPTQEEIFSISVYSDDEDNGPVMPKNEFGRSLKFSLKGMADKSPKKNKEYGKKSSSKKYPKKKAYQASFISKGELQLSLEENQDIHSQVYSLGEDRNNEVVSKRNEGQDISSPVAGICSTNQPGVLKHKLVDEVMVSDEDRTSRVLKIKSNKSHDLDSGDDTGKHGSKSKTVKAKKLVINLGARKINVTNSPMSDTSSFQREQDVIPHNGVQDANQQRMDDKFMLDRRDSSAKSGDRIDHSTKSRGVKIAGREGNLIKFGKIRSEIPELRSKLGAANSSDRHGIVPHEHTRVTSGKRSIDGSRLAAVPSGEVSTLRGGKVMSGKQLEDRADMYAESNEDYGRTPVLNSLPKDSKPSLKFKLKKPNLENQNSQVHSEEEKSSIKGQRSKRKRPSPFMEKSLFNEDEDLDVTRSHQDSLMDGMMDASWILKKLGKDAIGKKVEIHQASDNSWHKGAVTDVIEGTSALSVRLDDGRVKSLELGKQGVRFVLQKQKRSKI >EOX91855 pep chromosome:Theobroma_cacao_20110822:1:4161207:4162511:1 gene:TCM_000918 transcript:EOX91855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSDPKYAYPYPAQGYYQGPPVMAPPQYAAPPPKRGPGFLEGCLAALCCCCLIDECCCDPSIIFVS >EOX96483 pep chromosome:Theobroma_cacao_20110822:1:37970091:37986092:1 gene:TCM_005719 transcript:EOX96483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-tRNA ligases MAILTFPLVISFLKPHASHLSLLRLAKPNAILLKAPPSLSRRCFSRTTAFAVNTSSIQQNSSTNASDEPQKASVLTFQQAIQRLQEYWASVGCAVMQCSNTEVGAGTMNPLTYLRVLGPEPWNVAYVEPSIRPDDSRFGENPNRLQRHTQFQVILKPDPGNSQDLFIRSLSALGINVSEHDIRFVEDNWESPVLGAWGLGWEIWMNGMEITQFTYFQQAGSLQLSPISVEITYGLERILMLLQGVDHFKKIQYADGITYGELFLENEKEMSAYYLEHASVHHIQKHFDFFEEEARSLLASGLAIPAYDQLLKTSHAFNILDSRGFVGVTERARYFSRMRSLARQCAQLWLKTRESLGHPLGVVSESVDHVCPKEVLEAAAKKVHHDPRLFVLEIGTEEMPPHDVVNASQQLKDLMSELLEKQRLNHGGIQAFATPRRLVISVESLCPRQTENEVEVRGPPVLKAFDQQGNPTKAAEGFCRRYAVPLDSLFRKVDGKTEYVYARVKESARVALKVLSEELPGILAKISFPKSMRWNSQIMFSRPIRWIMSLHGDAVVPFTFAGILSGNLSYGLRNTSAATVMVESAESYPSIMKNAGIGIEIEDRKKIILDHSNLLAKSVNGNVVIQESLLSEVVNLVEAPVPVLGKFKESFLELPDDLLTMVMQKHQKYFAITDDNGKLLPYFIAVANGAINEMVVRKGNEAVLRARYEDAKFFYELDTRKKFVDFRHQLKGILFHEKLGTMLDKMMRVENMVFKLSMYLGVKEDMLQIIKEAASLAMSDLATAVVTEFTPLSGIMARHYALRDGYSEQTAEALLEITLPRFSGDLLPKSDVGIVLAIADKLDSLVGLFAAGCQPSSTNDPFGLRRISYGLVQILVEKNQNMDLKHALELAADNQPIKVDATTIEDVHQFVTRRLEQYLVDKGISPEVVRSTLAERANLPFLAAKTACKMEALSKGNLFPKVVEAYSRPTRIVRGKDVDADMEVDDAAFETNEERALWDTLLSVKNKIHPGVEVDDFIEISSELVQPLEDFFNQVFVMVEDETIRKNRLSLLKKIADLPKGVADFSVLPGF >EOX96124 pep chromosome:Theobroma_cacao_20110822:1:36672018:36676125:-1 gene:TCM_005449 transcript:EOX96124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein MICKTALLLLVFAATTSMASMNRQTYIVHMDKTKIAASHHSLGNSKEWYEAVIDSITDLSAEEEESDSESTSPQLIHVYESAISGFAAKLSSKELESLKKIDGFLSATPDEMFTLQTTRSPQFLGLEYGKGLWNASNLNSDVIIGLVDSGIWPEHVSFQDENMPPVPSRWKGACEEGTNFTKSNCNKKIIGARYFFKGYEATIGKFSKITDYKSARDSVGHGTHTASTAAGNLVDNASMFGLANGTAGGMGYTSRLAIYKVCWNDGAAAGSDIIAGIDQAISDGVDVLSLSLGSFISKPYNLDEIAISTFQAVKKGIFVSCAGGNSGPSSSSVTNTAPWIMTVAASYLDRKFATTVELGDGQTFQGSSLYVGNETKQLPLVYGETAGGNKNTIFCAEGSLNRERVKGKIVVCDSALIFSRFEQGEQVKLAGGAGMLLLNDEKQGEERALVAQPLPDSSLGFSESKAIKEYLKSTTNPTASITFKGTEYGKPAPMMAAFSSRGPNAVGPDLIKPDVTAPGVNILAAWPGLTGPSSFKSDERRVLFNIASGTSMSCPHVSGIAALLKSVHNDWSPAAIKSALMTTAYVHDDKCGEIADVASSNYTAATPFAFGSGHVDPEKASDPGLIYDITPEDYLNYLCSLKYSASDIAKFAGKDFTCPQNLTMQPGDLNYPSFAVNFKRSIDNNTVTFTRTVTHVGIPNVTYEVRGTEPDGVSITVEPEILKFENPGQKLSYKITFTQRNGTVPRKTSFGYIKWSYLDKYHVRSPVAVTW >EOX92621 pep chromosome:Theobroma_cacao_20110822:1:7786943:7788715:1 gene:TCM_001540 transcript:EOX92621 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MAGLFDEQADLYLDARPNYPSEWYSMLAARTLHHHLAWDAGTGNGQAAIGVAEHYEHVIGTDVSEAQLKHAIPHPRVKYLNTSLSISNDELLASIGGENSVDLVTVAQAVHWFDLPKFYSLVTRVLRKPGGVLAVWGYNDIAVSPTFDPVMKRFHDTTLPFWNPNIQYIFDGYRTLPFPFESVGLGSEGQPLALDIPKKLSFEGFLGMLRSWSAVVTAKNQGVDLLSQMVVKEFESAWGGSNLVRSVAYKAFMLAGKVKL >EOX91825 pep chromosome:Theobroma_cacao_20110822:1:4037891:4044703:-1 gene:TCM_000898 transcript:EOX91825 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MPTIRAPAKKKTTTLTVAVKCRPLTERERGRDIVRVKNNKEVVVLDPDLSKDYLDRIQNRTKERKYYFDHAFGPHCTNLDTYQKCISTIISGVVQGLNATVFAYGSTGSGKTYTMVGTQRDPGLMVLSLHTIFNLIKRDNSSDEFEVTCSYLEVYNEVIYDLLEKSSGPLDLREDPEQGIIVAGLRCIKVHSADKILELLNLGNSRRKTESTEANATSSRSHAVLEITVTRKQRNKYRNQVMRGKLALVDLAGSERASETNSGGQKLRDGANINRSLLALANCINALGKQQKKGLAYVPYRNSKLTRILKDGLSGNSQTVMVATISPADGQYHHTVNTLKYADRAKEIKTHIQFFNSTAYARLCITFILPVFLLYLPFLFPQKNIGTIDTHVSDYQRMIDSLQIEVCRLKKELAEKESQLSVKPADKAADDELSWLNILSQEISENVQERINLQKALFELEETNLRNRTELQHLDDAIAKHQATENDGTVVEVLRVRRQDILDNIRDNDEAGVSYQKEIEANEKHRCQLQDMIDEAISNNGNKIYLRILSQYRLLGMTNTELQFEMAMRDQIIHNQREAQRNLWNLLMGLGLEEKQILDLAAKQGITIEDWAMTRYLGLSNREQSPNLASGGYPPLTYGLSISHWHSRSSCIYPNYQRIASKSFSRGRWNLSPTLCREEHRSSYYLLAHDNSPPYVRFTKSSDNWVGGPVSWFGTPDKVPQDWRKSYPEMKSPASSRNESSQLAPALGAAFGQGQKDTIRQNLFNKDPHVGMSGSQDSFRRAIDHGTTSNGFLGFIPSEQGSNQIVQTPKPSSHPGLSRIPSFTSQSPKT >EOX91527 pep chromosome:Theobroma_cacao_20110822:1:2993095:2998698:1 gene:TCM_000682 transcript:EOX91527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein MKLSALQQSYINRRSNSFRSSGPLDSSSDSAIKSPAAIFWLILHGLCCLISLVLGFRFSRLVFFFLFSTSSTNFYTSPFRSTAELAKTLDVHSVLSTNPVTNPDLLLLNKTATNSRVVVGRHGIRIRPWPHPNPIEVMKAHRIIQRVQKEQRLQFGVKDPRTVIVVTPTYVRTFQALHLTGVMHSLMLVPYDLVWIVVEAGGVSNETASLIAKSGLKTIHVGFNQRMLNSWDERNKLESKMRLRALRIIREKKLDGIVMFADDSNMHSMELFDEIQNVKWFGALSVGILANLVNTDEKTDQKKEEEENPRMPVQGPACNASNMLGGWHTFNTLPFAGKSAVYIDDRATVLPRKLEWSGFVLNSRLLWKDGGDKPEWIKDVDMLDGDIENPLGLIKDPSVVEPLGSCGRQVLLWWLRVEARADSKFPPRWIIDPPLEITVPSKRTPWPDAPPELPANEKPVMGIQEPIVKHSTKTRTSRSKRRSKRKHETRTDTQVSTRQSEQN >EOX93498 pep chromosome:Theobroma_cacao_20110822:1:13526975:13535734:-1 gene:TCM_002380 transcript:EOX93498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory laccase MGLLKQDLVLWLLGVLFLSTLLLCRADVHYYEFFVRETNFTSLCNTTKSMLVVNDSYPGPEIRVHREDTVYVNVHNQGNYGLTIHWHGVKQPRNPWSDGPEYVTQCPIEPGTNFTYEVILSDEIGTLWWHAHSDWTRGSVHGAFVILPAENETYPFPTPDADQTIILQSWYNRDYKELIDEATSNGTAVASADAYAINGHLGDTYACANETLFRMQVDYQKTYLLRIINAAMNEQKFFAIANHSLTVVAQDASYVQIFTNDYIMISPGQTMDVLVIANQNIGQYYMATRPFSDSAFPPRNNITTGVFQYTNSVGGLNASLITLPAMTDLDAAANFTRRIKNANVTQNPLMKVPMDIDRRVYIAIATNNLPCDNCNFQQTRSAASLNNVSFDFPQIDILQAYYNRSISGVFTEDFPLQPPEFYNFTGELAGFNPNASLGTKAVVLNYGEAVEIVLQATELGGGGSHPLHLHGFSFYWVGTGSGNFNNVTDPSSYNLVDPPLINTVHVPARGWVAVRFNATNPGVWFMHCHFERHSSWGMDTVFIVKNGTAVATSILPPPASGMPRCPGT >EOX91535 pep chromosome:Theobroma_cacao_20110822:1:3008658:3015043:1 gene:TCM_000686 transcript:EOX91535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-3,4,5-trisphosphate 3-phosphatase and dual-specificity protein phosphatase PTEN isoform 1 MNSESADSSSQPLAKASDGETPAEATDVKPPANTSDAKHQAKANEVEPPARAVDVEPPKASDNPPTVTAKTNSSYDSPPSVLSSTAISSWARNLKFPQPVAPSQGSQAGNAGTSAFARFTSGLGLRLQSMSLPPDDSAEHTSTATQAVLESFKKGIVDSSRSAVKAVQVKARHIVSQNKRRYQEGEFDLDMTYITENIIAMGFPAGDLSSGLFGFFEGFYRNHMEEVIKFFETHHKGRYKVYNLCSERLYDASLFQGKVASFPFDDHNCPQLQLIKSFCQSAYSWLKEDIENVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAIDYFNQKRCIDGKALVLPSQIRYVKYFECILRHFNGENQPGRRCMLRGFRLHKCPYWIRPSITISNHSGTLFSARKHPKTKDLMPEDFWIKAPKKGIVVFALPGQPGLTELVGDFKIQFHDRQGDFYCWLNTTMIENRTILDTSDLDGFDKITVPYPGFKVELVMVDYDGIPQSNSNTNSVNEETQGNSSYTKDGVTARSKHSKVSGNEDNDDVFSDSDGEESGASRSRQTQAAGAGPAVSSHLTNPAAEQIGTSTHGTHQLSVKNQERALSNASKDVSVNGVGKPCSGLEIPNLDSMGASDIKAIAADASVFSFGDEEEDYESE >EOX91534 pep chromosome:Theobroma_cacao_20110822:1:3008658:3014550:1 gene:TCM_000686 transcript:EOX91534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-3,4,5-trisphosphate 3-phosphatase and dual-specificity protein phosphatase PTEN isoform 1 MNSESADSSSQPLAKASDGETPAEATDVKPPANTSDAKHQAKANEVEPPARAVDVEPPKASDNPPTVTAKTNSSYDSPPSVLSSTAISSWARNLKFPQPVAPSQGSQAGNAGTSAFARFTSGLGLRLQSMSLPPDDSAEHTSTATQAVLESFKKGIVDSSRSAVKAVQVKARHIVSQNKRRYQEGEFDLDMTYITENIIAMGFPAGDLSSGLFGFFEGFYRNHMEEVIKFFETHHKGRYKVYNLCSERLYDASLFQGKVASFPFDDHNCPQLQLIKSFCQSAYSWLKEDIENVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAIDYFNQKRCIDGKALVLPSQIRYVKYFECILRHFNGENQPGRRCMLRGFRLHKCPYWIRPSITISNHSGTLFSARKHPKTKDLMPEDFWIKAPKKGIVVFALPGQPGLTELVGDFKIQFHDRQGDFYCWLNTTMIENRTILDTSDLDGFDKITVPYPGFKVELVMVDYDGIPQSNSNTNSVNEETQGNSSYTKDGVTARSKHSKVSGNEDNDDVFSDSDGEESGASRSRQTQAAGAGPAVSSHLTNPAAEQIGTSTHGTHQLSVKNQERALSNASKDVSVNGVGKPCSGLEIPNLDSMGASDIKAIAADASVFSFGDEEEDYESE >EOX93896 pep chromosome:Theobroma_cacao_20110822:1:17243544:17247151:-1 gene:TCM_002897 transcript:EOX93896 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component Gpi16 subunit family protein isoform 1 MTLLQRPLLVLLLLVTHHLLQCWIAFGSVDEEGQKQEEFNEELLLKPLPDRKVLAHFHFQSSAPPSTSNGRHHHLFPKAISQLVQKFRVKEMELSFTQGRWNYERWGGFDPIASSNAKPPGVELWAVFDVPQHQVDASWKNLTHALSGLFCASINFLESTSTYSAPEWSFPPSSGNLRYGTLPREAVCTENLTPWLKLLPCRDKAGLAVLLDRPSIYRGFYHSQRLHLTSTGSGSKGTDSGIILNQALTVVLQPIQPNGLRASMDHASEKHIQPSWSLSSIFGKQVRGRCVLAKSSKVYLQLDRGLVAELKRIHKENEKSVANGLTSENFWSYPSFELSANPDRIVIEENSLHSKSSSILYNFQVEKYSESEPLDLGLTWKIPVVWLCQSAPLHSSRFLMGSGNERGAIAISLKSTQLREGFMGAFADNERCELRVDVFQVVPWYVKVYFHSLQVFIDQQPKAVSDVIEKIHVSPSKDKVSPGMMEMVLKLPCRVKSAALTIEFDKGFLHIDEYPPDANQGFDIPSAIISFPNFHASMFFLEDGSVNKSPLLSKFQLCLSCRKRVL >EOX93893 pep chromosome:Theobroma_cacao_20110822:1:17242295:17247151:-1 gene:TCM_002897 transcript:EOX93893 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component Gpi16 subunit family protein isoform 1 MTLLQRPLLVLLLLVTHHLLQCWIAFGSVDEEGQKQEEFNEELLLKPLPDRKVLAHFHFQSSAPPSTSNGRHHHLFPKAISQLVQKFRVKEMELSFTQGRWNYERWGGFDPIASSNAKPPGVELWAVFDVPQHQVDASWKNLTHALSGLFCASINFLESTSTYSAPEWSFPPSSGNLRYGTLPREAVCTENLTPWLKLLPCRDKAGLAVLLDRPSIYRGFYHSQRLHLTSTGSGSKGTDSGIILNQALTVVLQPIQPNGLRASMDHASEKHIQPSWSLSSIFGKQVRGRCVLAKSSKVYLQLDRGLVAELKRIHKENEKSVANGLTSENFWSYPSFELSANPDRIVIEENSLHSKSSSILYNFQVEKYSESEPLDLGLTWKIPVVWLCQSAPLHSSRFLMGSGNERGAIAISLKSTQLREGFMGAFADNERCELRVDVFQVVPWYVKVYFHSLQVFIDQQPKAVSDVIEKIHVSPSKDKVSPGMMEMVLKLPCRVKSAALTIEFDKGFLHIDEYPPDANQGFDIPSAIISFPNFHASMFFLEDGSVNKSPLLSKFQEKSPVMSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNVLRRRIAEEERFLKDKATKKTGQLPLLLSKLSAKLRGRPWEPPHSPPSTSFFISSKLVFKVILVAGLAVGWQYYFS >EOX93894 pep chromosome:Theobroma_cacao_20110822:1:17242070:17248415:-1 gene:TCM_002897 transcript:EOX93894 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component Gpi16 subunit family protein isoform 1 MTLLQRPLLVLLLLVTHHLLQCWIAFGSVDEEGQKQEEFNEELLLKPLPDRKVLAHFHFQSSAPPSTSNGRHHHLFPKAISQLVQKFRVKEMELSFTQGRWNYERWGGFDPIASSNAKPPGVELWAVFDVPQHQVDASWKNLTHALSGLFCASINFLESTSTYSAPEWSFPPSSGNLRYGTLPREAVCTENLTPWLKLLPCRDKAGLAVLLDRPSIYRGFYHSQRLHLTSTGSGSKGTDSGIILNQALTVVLQPIQPNGLRASMDHASEKHIQPSWSLSSIFGKQVRGRCVLAKSSKVYLQLDRGLVAELKRIHKENEKSVANGLTSENFWSYPSFELSANPDRIVIEENSLHSKSSSILYNFQVEKYSESEPLDLGLTWKIPVVWLCQSAPLHSSRFLMGSGNERGAIAISLKSTQLREGFMGAFADNERCELRVDVFQVVPWYVKVYFHSLQVFIDQQPKAVSDVIEKIHVSPSKDKVSPGMMEMVLKLPCRVKSAALTIEFDKGFLHIDEYPPDANQGFDIPSAIISFPNFHASMFFLEDGSVNKSPLLSKFQEKSPVMSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNVLRRRIAEEERFLKDKATKKTGQLPLLLSKLSAKLRGRPWEPPHSPPSTSFFISSKLVFKVILVAGLAVGWQYYFS >EOX93895 pep chromosome:Theobroma_cacao_20110822:1:17240878:17247259:-1 gene:TCM_002897 transcript:EOX93895 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component Gpi16 subunit family protein isoform 1 MTLLQRPLLVLLLLVTHHLLQCWIAFGSVDEEGQKQEEFNEELLLKPLPDRKVLAHFHFQSSAPPSTSNGRHHHLFPKAISQLVQKFRVKEMELSFTQGRWNYERWGGFDPIASSNAKPPGVELWAVFDVPQHQVDASWKNLTHALSGLFCASINFLESTSTYSAPEWSFPPSSGNLRYGTLPREAVCTENLTPWLKLLPCRDKAGLAVLLDRPSIYRGFYHSQRLHLTSTGSGSKGTDSGIILNQALTVVLQPIQPNGLRASMDHASEKHIQPSWSLSSIFGKQVRGRCVLAKSSKVYLQLDRGLVAELKRIHKENEKSVANGLTSENFWSYPSFELSANPDRIVIEENSLHSKSSSILYNFQVEKYSESEPLDLGLTWKIPVVWLCQSAPLHSSRFLMGSGNERGAIAISLKSTQLREGFMGAFADNERCELRVDVFQVVPWYVKVYFHSLQVFIDQQPKAVSDVIEKIHVSPSKDKVSPGMMEMVLKLPCRVKSAALTIEFDKGFLHIDEYPPDANQGFDIPSAIISFPNFHASMFFLEDGSVNKSPLLSKFQEKSPVMSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNVLRRRIAEEERFLKDKATKKTGQLPLLLSKLSAKLRGRPWEPPHSPPSTSFFISSKLVFKVILVAGLAVGWQYYFS >EOX91181 pep chromosome:Theobroma_cacao_20110822:1:1866405:1867563:-1 gene:TCM_000453 transcript:EOX91181 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUB1 conjugating enzyme 1 isoform 1 FKVKEKQKELAENANGGVPIKKQTAGELRLHKDISELNLPKSCAISFPNGKDDLMNFEVSIRPDEGYYFGGTFLFSFQVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNYEDPLNHDAAAVLRDNPKMFESNVRRAMAG >EOX91179 pep chromosome:Theobroma_cacao_20110822:1:1865710:1868401:-1 gene:TCM_000453 transcript:EOX91179 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUB1 conjugating enzyme 1 isoform 1 MIRLFKVKEKQKELAENANGGVPIKKQTAGELRLHKDISELNLPKSCAISFPNGKDDLMNFEVSIRPDEGYYFGGTFLFSFQVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNYEDPLNHDAAAVLRDNPKMFESNVRRAMAGYHVLINPTRAANRETGLIKQQWLSSWCWQAMCFI >EOX91180 pep chromosome:Theobroma_cacao_20110822:1:1866147:1868310:-1 gene:TCM_000453 transcript:EOX91180 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUB1 conjugating enzyme 1 isoform 1 MIRLFKVKEKQKELAENANGGVPIKKQTAGELRLHKDISELNLPKSCAISFPNGKDDLMNFEVSIRPDEGYYFGGTFLFSFQVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNYEDPLNHDAAAVLRDNPKMFESNVRRAMAGGYVGQTFFPRCI >EOX93111 pep chromosome:Theobroma_cacao_20110822:1:10552405:10558486:1 gene:TCM_001955 transcript:EOX93111 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 42 MEATNMNSTDKGKDDEDLLPGFRFHPTDEELVGFYLRRKVENRPISIEIIKQIDIYRYDPWDLPRVSTVGDKEWYFFCIRGRKYRNSIRPNRVTGSGFWKATGIDKPIYTVKEPHDCIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPNAKDVAQEAEVWTLCRIFKRIPSNKKDAAAAWKDTRNKQNGAYHTSSRTCSLDSENSEQCKSFCDSVLLQNDIKPNIMDQVDARNYFLVGPWTNAMSQQAPFAASCPSFWNPNGDVDIFTNGNWDELRPLVELALEPSPYL >EOX94589 pep chromosome:Theobroma_cacao_20110822:1:30348273:30350457:-1 gene:TCM_004219 transcript:EOX94589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein isoform 1 MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDAQGQPIDPHKIQEHFEDFYEDIFEELSKFGEIESLNVCDNLADHMIGNVYVQFREEDQAAAALQALQGRFYSGRPIIADFSPVTDFREATCRQFEENNCNRGGYCNFMHVKVIGRELRRKLFGRYRKFRGSRSRSRSASPRHRRDKEFRDRDRDRDRGDYRDRNGRRPDRHDRDSGSRRKLGSPRRSRSPAPPAREGSEERRARIEQWNREREEKV >EOX94588 pep chromosome:Theobroma_cacao_20110822:1:30348425:30350652:-1 gene:TCM_004219 transcript:EOX94588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein isoform 1 MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDAQGQPIDPHKIQEHFEDFYEDIFEELSKFGEIESLNVCDNLADHMIGNVYVQFREEDQAAAALQALQGRFYSGRPIIADFSPVTDFREATCRQFEENNCNRGGYCNFMHVKVIGRELRRKLFGRYRKFRGSRSRSRSASPRHRRDKEFRDRDRDRDRGDYRDRNGRRPDRHDRDSGSRRKLGSPRRSRSPAPPAREGSEERRARIEQWNREREEKV >EOX91850 pep chromosome:Theobroma_cacao_20110822:1:4133630:4137627:1 gene:TCM_000914 transcript:EOX91850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative MTKGKGKPTKCLQKMQTRSSVSKSPQPTPLRRSPRLLNQKNPTKSQDLNTQKARSRENPACSTDKISKKLIQSSSGSTNCVNSASGLRRSPRLNGGSLSDKPEESKFIETKKVECRKNKGTSKGRLLDEETKEVERKEREICVGLEAVTVEGREVCENNEDVGVKKIKRKREEGGDENVQGWTREQELALQRAYFSAKPTPNFWKKVSKLVPGKSAQDCFDKIHSDHLTPIQPQPRSRAKSINVSSTEPLSFSASRLLNPTVPRTKRSSCSKQKSHLVQKKTVRHLLQKHYHSDQGDEADLFSILEPNTSPSMHSLSNVVLSTPKNLLEKQGFLQKCYERSSSGSKKHQSKLGNSSTRDLVSPPVLKQIKNRALHEKYIDQLHSREAKRKAEFGKENRVSIQVLNVDKVRAAKNTLVSDARYVINQLQHLQTTIVDNSLDLDNDDEEVGSGDDEGEVQL >EOX91888 pep chromosome:Theobroma_cacao_20110822:1:4349264:4371421:-1 gene:TCM_000950 transcript:EOX91888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geraniol dehydrogenase 1 MTRLPEEEHPNKAFGWAARDTSGVLSPFKFSRRATGEKDVAFKVLYSGICHSDLHMVKNEWGSSVYPLVPGHEIVGEVTAVGSKVQKFKVGDRVGVGCMVGSCQSCDSCTNKLENYCPKMILTYGAKYNDGTITYGGYSNNXXXXXHFLLPLIGLLKTHGKLVLVGAPEKPLELPAFPLLQGRKIVGGSMIGGMKETQEMMDFAAKHSVKPDVEVIPMDYVNTAMERLLKADVKYRFVIDIGNTLKAA >EOX92368 pep chromosome:Theobroma_cacao_20110822:1:6476460:6477214:-1 gene:TCM_001318 transcript:EOX92368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCDWPPLLSGDRRLATLSLSTSSSHKRLTQNFSFLFSLLSPAVTKPKALYPFFLSSTAKISRRRQFRGSSATNKERERAGGKPTSKKRKGGRSGHLGSRRCEGERGLAKGKLWVPSLATAERKEIPQAVSG >EOX93949 pep chromosome:Theobroma_cacao_20110822:1:17677216:17680930:1 gene:TCM_002959 transcript:EOX93949 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPPDE thiol peptidase family protein, putative MAEEGHKVTLYVYDLSQGLARQLSMTFLGKVIEGIWHTGVVVYGNEYYFGGGIQQAPLGTTPYGKPIRVIDLGVTHVPQDVFEMYLREISPRYTAETYSLLTHNCNNFSNEVAQFLVGSNIPDYILQLPNKVMSSPMGALLMPMIQNLETTLKAGAVPQVPQFRPSVSAQPSQPSTVKVNSSSGSAQEKEVDNKVKAEVKTSEKTTAGAQEKSSTNRAAADPLGDARAKVQEEISREFATIMATGTLRASEAAALATRKVMQKYGHLNVAMPQS >EOX92898 pep chromosome:Theobroma_cacao_20110822:1:9231110:9234127:1 gene:TCM_001751 transcript:EOX92898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLREMMAAIPKQALLEKPEAAKIANLHHPDTNRQNRDALSTGSQHAQVTCLGMGLIIREVRAVKAEF >EOX93334 pep chromosome:Theobroma_cacao_20110822:1:12141656:12143606:-1 gene:TCM_002172 transcript:EOX93334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLNIDFDTDVTDLIRRCNDDDVAAYNARQLTKPKASGLKFCFLVFALSMWVMGKESTWASNDIENLKRGKRREKIGKRSPASSRQDQGAGCSSEEKKNRGGGGLRSKARR >EOX90799 pep chromosome:Theobroma_cacao_20110822:1:656670:659297:-1 gene:TCM_000167 transcript:EOX90799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fucosyltransferase 12 isoform 2 MNCRFFYRHVHGKKVLVVTNTTIAPLYLDKVVDALTKGNPNISVENVILPDGEKYKNMETLMKVFDKAIESRLDRRSTFVALGGGVIGDMCGFAAASFLRGVNFIQIPTTVMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLVDTDTLGTLPDRELASGLAEVIKYGLIRDAEFFEWQEKNMEKLLARDPNALAYAIKRSCENKAEVVSLDEKESGLRATLNLGHTFGHAIETGFGYGQWLHGEAIAAGMVMAVDMSYRLGWIDSSLVKRVKDILLQAKLPTAPPETMTVEMFKSVMAVDKKVADGLLRLILLKGPPGNCVFTGDYDRKALADTLSAFCKS >EOX90798 pep chromosome:Theobroma_cacao_20110822:1:656833:659898:-1 gene:TCM_000167 transcript:EOX90798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fucosyltransferase 12 isoform 2 MACSTNALSLSLSSKINTKSSSDLNANSSYFFLRVNKPAKVSFRGSSSGELNPGRVALSRPSGIRGRICANAIPVMDQSVGEKSSKAPTIVEVDLGNRSYPIYIGSGLLEEPELLQKHVHGKKVLVVTNTTIAPLYLDKVVDALTKGNPNISVENVILPDGEKYKNMETLMKVFDKAIESRLDRRSTFVALGGGVIGDMCGFAAASFLRGVNFIQIPTTVMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLVDTDTLGTLPDRELASGLAEVIKYGLIRDAEFFEWQEKNMEKLLARDPNALAYAIKRSCENKAEVVSLDEKESGLRATLNLGHTFGHAIETGFGYGQWLHGEAIAAGMVMAVDMSYRLGWIDSSLVKRVKDILLQAKLPTAPPETMTVEMFKSVMAVDKKVADGLLRLILLKGPPGNCVFTGDYDRKALADTLSAFCKS >EOX96408 pep chromosome:Theobroma_cacao_20110822:1:37678650:37679253:-1 gene:TCM_005656 transcript:EOX96408 gene_biotype:protein_coding transcript_biotype:protein_coding description:StAR-related lipid transfer protein 9, putative MEVEESGGDADRTRIESHWYWATASVAQFGWAVSSYRKGYAGDHRLMPFKAFAVASLFLGASASASVSFLKASGIHKVEDLMEVGASIRAGLGTRPRAGDE >EOX95715 pep chromosome:Theobroma_cacao_20110822:1:35348422:35349216:-1 gene:TCM_005154 transcript:EOX95715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIMGLQLSLLEVLWVPKVYCSIFSLSLSFSFYLHFFPLLSMLLCVPVFTSQFRHLGSTPFVFFELF >EOX94140 pep chromosome:Theobroma_cacao_20110822:1:22562626:22565576:1 gene:TCM_003396 transcript:EOX94140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSSLHFNHYFVWRHRVTSFYVYGELLLEMYCVTLGQYVTGYVGITYCMTGFMYYVTGFMYCMTLGQYGTGYVSIIGLLNVKLMITYGGNWVDDTYKGGETRVRGVGSDLSFLGLVKLLEEVVGVNSHNNEIKLHASFSHAVGVSQAVIRDDGDVASIMRDEKAVVVFVTVKTRNANDIPHEHMGPLSFANDTVMDVSDDDSSDQIEYDVEEDDTVDWNYELHDECEDDYVGRHDDCSEDERGEHTDISDCNHADGSIGHATTVVLEEFQFDDHARTTELEDVEGVDLIYENAIALENNIRSPDDSDQEREKACKFALRAMKLPEGEYWQLQMFQKVHMCIVDGLQCGYRTASARLIGELISTKVQGNYVTLLRLKEIMEKMKRKWGLQCLYGLSIHNKRILDFQRRDSDECTATECRDTYQNMDTSLEDTFPSYWLPRDLKT >EOX93376 pep chromosome:Theobroma_cacao_20110822:1:12509741:12511586:1 gene:TCM_002226 transcript:EOX93376 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein, putative MCFLNLYLAMDSDDEKHAKRRKTNHDDDQPQTTGMEILPHEIIVDILSRLPITSLVQFKFVCRGWRALAQDPLLAGMHLSWKADSNPCLILHCDFPIRNQLYFVDLSAHNQDKEKVKRLYVPFQATMPEFDVVGSCNGLLCLSDSLYNDALYVYNPFTMDYIELPKSRQYPDQEVVFGFGFHPKTKEYKVVKIVYYRNTSSSYNRARRIIYPQSDVQIFTLGSSAWRSLGKVSYQFVRRPSEALVSGRLHWVSRPRRYYPARRLMSFDLADEQFREVPKPDCGGLNRCNFHLSVLRGCLAAAVYGNYGKLEIWVMKDYNVKESWIKEFSIGAYTPKCLKQNLDRDRPLKIWKNASNGKVVRVLCLLENGEILLEYKNRVLVSYDPKKGKFRDLVLQGIPNWFQTVVHAGSFNWINTPP >EOX94602 pep chromosome:Theobroma_cacao_20110822:1:30419735:30421156:-1 gene:TCM_004230 transcript:EOX94602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme/RWD-like protein, putative MAPPSPKEFIETALFATNPYALSYSDSEQKWLILRHLLSLLQEYPSFRPSTGRFLHNDGNEVNLLCASGYVHVSNSTPSIPLTIWLHENYPHKAPLVFVSLDPMTRIHRHHPFVDTSGATTPPYILTWKYPPCNLSDLLHNLVQLFSHDHPFSYSPPTSSFTHPSLVSRKEALDRLVGMLYYDMVALQASTVEEIEELSLLQDNLKKRDRFTTSMILEMEQEWKKLKERSNNWAEEADRLVNWLKVNDRRPLMALAAGDVEIEDAFEIDEKSRVKLDCSAADSAIEDVLYKLDKALELEAVSFDSYIKQVRSLAREQFFLRASEMKLNGLDIPH >EOX94716 pep chromosome:Theobroma_cacao_20110822:1:31012503:31016610:1 gene:TCM_004328 transcript:EOX94716 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H MTTGRINQVYHTTWLIAKLDPIKYIFEKPSLSGRVARWQVLLSEYDIVYVSQKAIKGSAIADFLAERVEEDYEPMEFEFPDEDLMSICQTSGEESEKENWKMFFDGASNALGHGIGVVLVSPEGDHYPVIAKLNFYCTNNVAEYEACVMGIQAAIERKIHILEVYGDSALVIYQLRGEWETRDSKLVRYHKYVSKLIENFDEICFNHLPREENQMADALATLAAIFKVGTNVKIQPIMINLRECPAHCSSVEEEIDGKPWYHDIVHYLKFQQYPDQSSENDKKTIRRLAMNFFLDGNILYKRSRDQTLLRCVDSIEARRIVKEVHEGVCGAHASGHKLARQVMRAGYYWLTLEKDCIDFARKCHKCQIYADRIHTPANSLHVLTSPWPFSMWGMDMIGLITPKASNGHRFILVAIDYFTKIITDNASNLNGSMMKEVCAKFKIKHHNSTPYRPKMNGAVEAANKNIKRIIEKMTDIYKDWHEKLPFALHAYRTTVRTSTGATPFSLVYGMEAVLPIEVEIPSLRVLKEVQLEEAEWVNARYEQLNLIEEKRLTALCHGQLYQKRMMRAYDKKAHSRQFREGELVLKRILPNQHDLRGKWTPNWEGPFVVKKAFSGGALILAEMDGREFSNPVNADAVKKYFA >EOX92861 pep chromosome:Theobroma_cacao_20110822:1:9060309:9061991:-1 gene:TCM_001722 transcript:EOX92861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSKSEERVRRWRSKSQGNNKATTSKANSKNKRRRNLKTEAELDTRNDEKGSQGEESASDPDVRKRNSIIRREAEEIWEWSKKVGLTFREEKEDVLRKIMELEAADRGWDIQDVVDEP >EOX93508 pep chromosome:Theobroma_cacao_20110822:1:13638960:13639910:1 gene:TCM_002397 transcript:EOX93508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLSYNLISNPLSLQLLKLFKSLSQTFSIAKEHKVSMETRKHQGTQAPIQLISSFYFLKLVAKILVPLSVLSVILSYPLLCNFHILAYGLQLFNFSIGKNYMFLLCNGLLVFIATSSGLIRSSSVKTDSKAEKTIKRKGCSQREQLESSEKKGSIEKAKVTIEVDLEARESQMDSLALVQGTEDVPVVVQDEGEEQSSELIVVEEDEDEGLGLMSKEELNKKCEEFIRKMKEGIQFEARQLIMVQ >EOX92825 pep chromosome:Theobroma_cacao_20110822:1:8746696:8751834:-1 gene:TCM_001688 transcript:EOX92825 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MALCASSQKLFLASSAASGRERARHGGRASANGRRDLVVHVRMAAPEQIATAYEEGNLEMPKWAGETPLSRLVKALVSFKPLYSLLKLGARQVLISTAEKNNIPWREMSREILESDVYKELHSIENPSLQYPDYYLSPFHAYDEGNLSWLAAAEAEVATMSVARRAIPHASSIEEATKELRGNWLQVIEQHHMKHSGSTIENIIDIGCSVGVSTRFLADKFPSAKVTGLDLSPYFLSVAQFKEKKRASRENPIRWIHAAGEHTGLPSKSFDLVSFSYVFHECPERAIIALVTEAFRLLRPGGTIVLTDQSPKSKILQELSPALFTLLKSTEPFLNEYYLTDLEGRLREVGFVNIQTVLTDPRHMTMTATVPHQQV >EOX96327 pep chromosome:Theobroma_cacao_20110822:1:37361286:37362889:-1 gene:TCM_005598 transcript:EOX96327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase MCSLQLQSQPFLNLSRTNSYPTLSKSPSSLPFFSTSTCIFKLKFRASSSPVQEFPPNALRRKSNPQWRGGFSLGVDLGLSRTGLALSKGFSVRPLTVLKLRGQKLELQLLEIAENEEADEFIIGLPKSWDGKETPQSNKVRSLAGRLAVRAAERGWRVYLQDEHGTSTDAAYRMINLGLGKSARQKSSDAYAAVILLERYFAMSGEDIEIVLPKQMDLQEKLRRGAPLDIDFS >EOX96450 pep chromosome:Theobroma_cacao_20110822:1:37848164:37856260:-1 gene:TCM_005697 transcript:EOX96450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MVSLSQSTTFLLIIFFFIFILIPITQAIPFIVLHGISDKCSNRGITQFTELLSDWSDSQGYCVEIGDGAWDSWTMPLLEQTSIACEKVKNMTELSEGYNIVGLSQGNMIGRGIIEFCDGGPPVKNFISLAGPHAGTASIPFCGSALICILLDSLIKSEIYSNYVQEHLAPSGYLKIPTDLTDYLKGCRFLPKLNNELNGTRNSTYKERFASLQNLVLIMFEDDTVLIPKETSWFGYYPDGSFDSVLPAQETKLYKEDWIGLKTLDEAGKVKFINVSGNHLQISKSDMKKYIVPYLEDQASTEQTVTQSSSYQWLSGLWKTTLLQIHAFMLRHSIETNLNLFTKFITACASLSTLSAVSHARRLFDVRPHENDTYLCNAMIKAHLGVNQFAQSFTLYKDLGRAEEGFVPNKITFLTLAKSCALNMAIWEGLQIHNHVIKFGFCLDLYVSTALLDMYAKLGIMGSARKVFEEMPERSLVSWTALICGYAKAGDMERAKELLDEMPEKEDSVLYNAMIDGYVKLGDLVSARNLFNQMQDRNVISWTSMINGYCNSGDVESARLLFDSMPEKNLVSWNAMIGGYCQNKQPHEALKLFHEMQSSTFFEPDKVTIVSILPAIADLGALDLGEWVHHFVQRKKLDKAINVCTGLVDMYAKCGEINKAKRIFYEMPEKEIASWNALINGYAVNGCAKEALQVFLEMRNERVMPNYVTMIGVLSACNHAGLVGEGTRWFKAMAEFGITPKIEHYGCMADLLGRAGCVEEAEKLIEGMPYEVNGIILTSLLFAYGSSNNVKKAERVLKKLVHMEPTNHGSYVVLRNLYAAGKRWEDVEEIRGLMRRNGARKEAGCSVIEVDSRVLEFVSGDRVHPKWELMQSVLRQLWVHMRGQPDKAAA >EOX96449 pep chromosome:Theobroma_cacao_20110822:1:37849330:37856153:-1 gene:TCM_005697 transcript:EOX96449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MVSLSQSTTFLLIIFFFIFILIPITQAIPFIVLHGISDKCSNRGITQFTELLSDWSDSQGYCVEIGDGAWDSWTMPLLEQTSIACEKVKNMTELSEGYNIVGLSQGNMIGRGIIEFCDGGPPVKNFISLAGPHAGTASIPFCGSALICILLDSLIKSEIYSNYVQEHLAPSGYLKIPTDLTDYLKGCRFLPKLNNELNGTRNSTYKERFASLQNLVLIMFEDDTVLIPKETSWFGYYPDGSFDSVLPAQETKLYKEDWIGLKTLDEAGKVKFINVSGNHLQISKSDMKKYIVPYLEDQASTEQTVTQSSSYQWLSGLWKTTLLQIHAFMLRHSIETNLNLFTKFITACASLSTLSAVSHARRLFDVRPHENDTYLCNAMIKAHLGVNQFAQSFTLYKDLGRAEEGFVPNKITFLTLAKSCALNMAIWEGLQIHNHVIKFGFCLDLYVSTALLDMYAKLGIMGSARKVFEEMPERSLVSWTALICGYAKAGDMERAKELLDEMPEKEDSVLYNAMIDGYVKLGDLVSARNLFNQMQDRNVISWTSMINGYCNSGDVESARLLFDSMPEKNLVSWNAMIGGYCQNKQPHEALKLFHEMQSSTFFEPDKVTIVSILPAIADLGALDLGEWVHHFVQRKKLDKAINVCTGLVDMYAKCGEINKAKRIFYEMPEKEIASWNALINGYAVNGCAKEALQVFLEMRNERVMPNYVTMIGVLSACNHAGLVGEGTRWFKAMAEFGITPKIEHYGCMADLLGRAGCVEEAEKLIEGMPYEVNGIILTSLLFAYGSSNNVKKAERVLKKLVHMEPTNHGSYVVLRNLYAAGKRWEDVEEIRGLMRRNGARKEAGCSVIEVDSRVLEFVSGDRVHPKWELMQSVLRQLWVHMRGQPDKAAA >EOX96451 pep chromosome:Theobroma_cacao_20110822:1:37848051:37856260:-1 gene:TCM_005697 transcript:EOX96451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MVSLSQSTTFLLIIFFFIFILIPITQAIPFIVLHGISDKCSNRGITQFTELLSDWSDSQGYCVEIGDGAWDSWTMPLLEQTSIACEKVKNMTELSEGYNIVGLSQGNMIGRGIIEFCDGGPPVKNFISLAGPHAGTASIPFCGSALICILLDSLIKSEIYSNYVQEHLAPSGYLKIPTDLTDYLKGCRFLPKLNNELNGTRNSTYKERFASLQNLVLIMFEDDTVLIPKETSWFGYYPDGSFDSVLPAQETKLYKEDWIGLKTLDEAGKVKFINVSGNHLQISKSDMKKYIVPYLEDQASTEQTVTQSSSYQWLSGLWKTTLLQIHAFMLRHSIETNLNLFTKFITACASLSTLSAVSHARRLFDVRPHENDTYLCNAMIKAHLGVNQFAQSFTLYKDLGRAEEGFVPNKITFLTLAKSCALNMAIWEGLQIHNHVIKFGFCLDLYVSTALLDMYAKLGIMGSARKVFEEMPERSLVSWTALICGYAKAGDMERAKELLDEMPEKEDSVLYNAMIDGYVKLGDLVSARNLFNQMQDRNVISWTSMINGYCNSGDVESARLLFDSMPEKNLVSWNAMIGGYCQNKQPHEALKLFHEMQSSTFFEPDKVTIVSILPAIADLGALDLGEWVHHFVQRKKLDKAINVCTGLVDMYAKCGEINKAKRIFYEMPEKEIASWNALINGYAVNGCAKEALQVFLEMRNERVMPNYVTMIGVLSACNHAGLVGEGTRWFKAMAEFGITPKIEHYGCMADLLGRAGCVEEAEKLIEGMPYEVNGIILTSLLFAYGSSNNVKKAERVLKKLVHMEPTNHGSYVVLRNLYAAGKRWEDVEEIRGLMRRNGARKEAGCSVIEVDSRVLEFVSGDRVHPKWELMQSVLRQLWVHMRGQPDKAAA >EOX94746 pep chromosome:Theobroma_cacao_20110822:1:31164498:31182808:-1 gene:TCM_004358 transcript:EOX94746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copalyl diphosphate synthase MSSHSIHHPFLSSSPIPYSSISFSNKHPPIPSAGNLRLWGKDKGENFDIRPLCSAISKPRTQEYAGVFQNGLPVIKWKEIVDDDIEQGEALKVFESNKIKERVGTIKSMLGSMEDGEISSSAYDTAWVALVEDVNGGGTPQFPSSLEWIANNQLPDGSWGDRQIFMAHDRLINTLACVIALKTWDIHPDKCEKGVSFFKENISKLENENAEHMPIGFEVAFPSLLEIARSLNIEVPYDSPVFQDIYAKRSLKLTRIPKEIMYYVPTTLLHSLEGMPGLDWEKLLKLQCQDGSFLFSPSSTAFALMQTKDENCLRYLNRTVQRFNGGVPNVYPVDLFEHIWTVDRLQRLGISRYFQPEIKECLDYVYRYWTEDAISWARNTRVQDIDDTAMGFRLLRLHGYEVSADVFRHFEKDGEFFCFVGQSNQAVTGIFNLFRASQVLFPGDKILEDAKRFSSKFLTEKQAADELLDKWIITKDLPGEVGFALKIPWYASLPRVETRFYIEQYGGEDDVWIGKTLYRMRYVNNNVYLELAKLDYNNCQALHQMEWNSIQKWYSEMNLGDFGVSRRSLLLTYFMAAASIFEPERSQERLAWAKTAFLVEAIACSFDNEMRPSEQRKAFVQVFRSVVDARFSHINGRKLDSNRRVQKLIDTLLRTLNHLSLDALVAHGRDISCSIRRAWEKWMLMWLEEGDRHQGVAELVVQTINLSSGRWSLEELLSHPQYERLSSLTNTVCHQLCHYQKQKVHDNGCYNTDTDNSRSQKIESDMQQLVQSVLQHCSDGINPDIKHTFLTVARSYYYAAHCDLETMTFHIAKVLFEKVR >EOX92287 pep chromosome:Theobroma_cacao_20110822:1:6081536:6082783:-1 gene:TCM_001254 transcript:EOX92287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLCLENILFMSNNGFNRIKKVILGPNQSINLSLLAGSTSLGAVSEGQDRVKPLRGQTAYPLARFMSGVGWGRPCSLGWLLLSTIFHFFIPTQRV >EOX96562 pep chromosome:Theobroma_cacao_20110822:1:38256723:38257500:-1 gene:TCM_005789 transcript:EOX96562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSAYYYSGCPGNYEAHYLDACSLCRKSLHNSDIFMYRGNTPFCSKECRQEQMEMDEAREKNWKSGRSLRKSDAKKTVRTGTVAVA >EOX93593 pep chromosome:Theobroma_cacao_20110822:1:14103886:14108317:1 gene:TCM_002472 transcript:EOX93593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFFESAHHTGGTRDILSPRELSEKLAFANSTNNSRHRGHLSPPFYTRFLGSGGGTLHYLARREFFASGRVREVPGRHDLPYTFVEYDKIRAWAKYVNPYVVMPSRNTVVSNVQRIHLREKEKLKQAMAKLENVKTKLYELFEQYASNTCASSTSSRSTSNLPKQARRGTKPKGSKIFSLK >EOX92496 pep chromosome:Theobroma_cacao_20110822:1:7160896:7162811:-1 gene:TCM_001440 transcript:EOX92496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell wall / vacuolar inhibitor of fructosidase 2 MYNKSRCIKHKRSHELLSIHLGWKDLTFTCYILDLPLTSLNFTLSSFLASPPQENFILTLSKMGSSFFISILVSLALTISVNGDADLIRKTCKTTKYYDLCVSSLKSDPTSLNSDTKGLATILVGVGMANATATSTFLSSQLLSTTNDTILKKVLKECSDKYAHAGDALQASVRDFESESYDYAYMHVMAAAEYPNACHNAFRRYPGLVYTREIVRREEGLKHICDVVLGIIDHLGF >EOX96564 pep chromosome:Theobroma_cacao_20110822:1:38261954:38264947:-1 gene:TCM_005791 transcript:EOX96564 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, delta/epsilon subunit MLRRASGLLARPILAARARPFSTDLPAAQTADATFVEAWKKVIPNMDPPKTPLSFMQPRPPTPSSIPSKLTVNFVLPYASELATKEVDMVIVPATTGQMGVLPGHVATIAELKPGVLSVHEGNEVTKYFISSGFAFIHANSFADVIAVEAVPLDRIDASLVQKGLAEFTQKLSSATTDLEKAEAQIGIDVHSALNSTLTG >EOX91713 pep chromosome:Theobroma_cacao_20110822:1:3587249:3593258:-1 gene:TCM_000809 transcript:EOX91713 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetyl-l-glutamate synthase 1 MAASYSTARVPLFSPARTKLLSSRHGFKKGVVKLKPDLKCRAQSLKPEPGSKRGDSVKRNVINDEDSVEETYNTVDDKQFVRWFREAWPYLWAHRGSTFVVIISGEIVASPSLDAILKDIAFLHHLGIRFVIVPGTHVQIDKLLAERDHEPKYVGRYRITDSESLAAAMEAAGGIRLMIEAKLSPGPSICNIRRHGDSSRWHEVGVSVASGNFLAAKKRGVVEGVDYGATGEVKKVDVARMRERLDGGCIVILSNLGYSSSGEVLNCNTYEVATACALAIGADKLICIIDGPILDENGRLINFLPLQEADMLIRQRAKQSETAAKYVKAVDEEDVTCLGHYDSIAVVPSSQNGKVLNSTHNPTFQNGVGFDNGNGLWSGEQGFAIGGQERLSRLNGYLSELAAAAFVCRGGVQRVHLLDGTIGGVLLLELFKRDGMGTMVASDLYEGTRMAKVTDLLGIKQIIQPLEESGTLVRRSDEELRKAIDSFVVMEREGQIVACAALFPFFKDKCGEVACIAVSPECRGQGQGDKLLDYVEKKASSLGLDMLFLLTTRTADWFVRRGFEECTIDMIPDERRKKINLSRKSKYYMKKLLPDRSGITADRAFK >EOX92709 pep chromosome:Theobroma_cacao_20110822:1:8208398:8216615:1 gene:TCM_001610 transcript:EOX92709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid amide hydrolase MGLFRAAGAVYKPVVQIDLGPASNEFYLQANVKAPRMAGFLVKIFAWFLESRIFGTLLLYILKRNNLIHKLVSNATLEESPMFVPLHPFVDLNEQEVKHFDSEASPPERVQHAINCLPLTLEKSLDDLKRSCFRRWTITDYSRAYNSGEITPRMVAERFIDAVRESSSHPLPMSFFINYDAEDILSQATESTLRYERGEPISALDGVPIAIKDEIDCSPYPTTGGTKWLHKVRPCKGDACCVMRLRSCGAIIVGKTNMHELGAGTSGINPHYGAARNPYDPNKITGGSSSGSAAVVSAGLCPVALGVDGGGSVRMPASLCGVVGFKPTFGRIPHSGVLPLNWTVGMVGILAGTLEDAFIVYAAINGQLPSNQPTTLPPKAYFPLLNSTNLISDIKFARYGEWFNDCSDEIRICCSNALHLLCERYKWKTVEVTIPEIEAMRLAHYMTIGSECSTSLSSHLEKLDFAELGWDARVALSVYGAFNSKEYLKAQKIRNRQMQIHNNIFAEADVIVAPTTGVTAYSIFDDALKTGELDYINGAALVRYQIAGNFLGLPAVTVPVGYDKAGLPIGLQFIGKPWSEPTLMHIAFAMQALSISDYRKPKIFYNLLK >EOX90847 pep chromosome:Theobroma_cacao_20110822:1:789016:793807:-1 gene:TCM_000202 transcript:EOX90847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKPATNFSLSHKHLLRDYTPSPSNGHCRTVVVNHRIVVTTMAATISLCLIVLLICKCFHLSNRSRNPTNVNPRAPSIDDELASIPALVYAESTLWSSQPSCSDDDSLELEEHCAICLEGYVHGDSVSVLPRCKHMFHKKCIEEWLQVPSLHCPICRDQILERCLQSTRSNGRNQRDGIANPFPSLAFNLGGNYALYPSVLSNFT >EOX92932 pep chromosome:Theobroma_cacao_20110822:1:9483225:9487563:1 gene:TCM_001794 transcript:EOX92932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucanase like protein MKDYCKRSPEGRKMTAPRARIIGSSPFVRAMVMMMMIFSGFVLVAESVIGVNWGTLSFHKLKPSTVVDLLKDNNIQKVKLFEADPLVLRALMGSGIQVMVGIPNEMLATLSFSPAAADLWVRQNVSTYIGKGGADIRYIAVGNEPFLTSYAGQFQSYVVPAMVNLQQSLARANLAGYVKLVVPCNADAYESNVPSQGAFRPELSQIMTQLVSFLNSNGSPFVVNIYPFLSLYGNSDFPQDYAFFEGTTHPVIDGSNTYYNAFDGNFDTLVAALSKIGYGQMPIVIGEVGWPTDGAVGANLTAARVFNQGLINHVLSNKGTPLRPGVPPMDIYLFSLLDEGAKSTLPGNFERHWGIFSFDGQAKYALNLGLGNKKLKNARNVQYLPSRWCVASPSKDLSDVANHMKVACNVADCTTLDYGGSCNSIGAKGNISYAFNSYYQLQMQNEQSCNFDGLGVVTFLDPSVGDCRFLVGVTDTSSSFRPYQRWVMSWILILWQVWAFRI >EOX91111 pep chromosome:Theobroma_cacao_20110822:1:1626876:1629212:-1 gene:TCM_000399 transcript:EOX91111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin-inducible gibberellin-responsive protein, putative isoform 1 MAYMCADSGNLMAIAQQVIKQKQQQEQQQQQHHHQQQQLLGLNPFSINPWPNTHHAVSNAPNLGYGLSGPGFADPFQGDTGEGFQFPNIEHQSSGFRFPDFCGGAGGEFDSDEWMDSLMNSGDSTDSSNLPSGCEAWQNNGDFGLYGADPFSACPNRLSVTCSPPSDLNRVIFSTEAQKNPNSLQAQPQLSTWAPSPPPPPPQVTVKETKISAPPSQTPSINDVVGVSSASPEAESAPPLLKALLDCARLAESEPERAIKSLIRLRESISECGDPTERVAFYFTEALYSRVSRQAEKRLTILDTTSEEFTLSYKALNDACPYSKFAHLTANQAILEATERASKIHIVDFGIVQGVQWAALLQALATRSAGKPTQIRISGIPAPVLGKSPASSLYATGNRLRDFAKLLDLNFEFEPILTPIEELNELSFRVDQDEVLAVNFMLQLYNLLDEAPVTVETALLLAKSLNPKIVTLGEYEASLNRVGFENRFKNALRYYSAVFESLEPNLPRDSPERIQVERLLLGRRIAGVIGPEEVEKRRERMEDKEQWKNLLESAGFETVALSHYARSQARILLWNYNYSSSYSLIESQPGFLSLAWNEVPLLTVSSWR >EOX91112 pep chromosome:Theobroma_cacao_20110822:1:1627191:1629017:-1 gene:TCM_000399 transcript:EOX91112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin-inducible gibberellin-responsive protein, putative isoform 1 MAYMCADSGNLMAIAQQVIKQKQQQEQQQQQHHHQQQQLLGLNPFSINPWPNTHHAVSNAPNLGYGLSGPGFADPFQGDTGEGFQFPNIEHQSSGFRFPDFCGGAGGEFDSDEWMDSLMNSGDSTDSSNLPSGCEAWQNNGDFGLYGADPFSACPNRLSVTCSPPSDLNRVIFSTEAQKNPNSLQAQPQLSTWAPSPPPPPPQVTVKETKISAPPSQTPSINDVVGVSSASPEAESAPPLLKALLDCARLAESEPERAIKSLIRLRESISECGDPTERVAFYFTEALYSRVSRQAEKRLTILDTTSEEFTLSYKALNDACPYSKFAHLTANQAILEATERASKIHIVDFGIVQGVQWAALLQALATRSAGKPTQIRISGIPAPVLGKSPASSLYATGNRLRDFAKLLDLNFEFEPILTPIEELNELSFRVDQDEVLAVNFMLQLYNLLDEAPVTVETALLLAKSLNPKIVTLGEYEASLNRVGFENRFKNALRYYSAVFESLEPNLPRDSPERIQVERLLLGRRIAGVIGPEEVEKRRERMEDKEQWKNLLESAGFETVALSHYARSQARILLWNYNYSSSYSLIESQPGFLSLAWNEVPLLTVSSWR >EOX91113 pep chromosome:Theobroma_cacao_20110822:1:1624776:1629200:-1 gene:TCM_000399 transcript:EOX91113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin-inducible gibberellin-responsive protein, putative isoform 1 MAYMCADSGNLMAIAQQVIKQKQQQEQQQQQHHHQQQQLLGLNPFSINPWPNTHHAVSNAPNLGYGLSGPGFADPFQGDTGEGFQFPNIEHQSSGFRFPDFCGGAGGEFDSDEWMDSLMNSGDSTDSSNLPSGCEAWQNNGDFGLYGADPFSACPNRLSVTCSPPSDLNRVIFSTEAQKNPNSLQAQPQLSTWAPSPPPPPPQVTVKETKISAPPSQTPSINDVVGVSSASPEAESAPPLLKALLDCARLAESEPERAIKSLIRLRESISECGDPTERVAFYFTEALYSRVSRQAEKRLTILDTTSEEFTLSYKALNDACPYSKFAHLTANQAILEATERASKIHIVDFGIVQGVQWAALLQALATRSAGKPTQIRISGIPAPVLGKSPASSLYATGNRLRDFAKLLDLNFEFEPILTPIEELNELSFRVDQDEVLAVNFMLQLYNLLDEAPVTVETALLLAKSLNPKIVTLGEYEASLNRVGFENRFKNALRYYSAVFESLEPNLPRDSPERIQVERLLLGRRIAGVIGPEEVEKRRERMEDKEQWKNLLESAGFETVALSHYARSQARILLWNYNYSSSYSLIESQPGFLSLAWNEVPLLTVSSWR >EOX92006 pep chromosome:Theobroma_cacao_20110822:1:4878945:4888474:-1 gene:TCM_001034 transcript:EOX92006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase domain-containing protein / IBR domain-containing protein / zinc finger protein-related, putative isoform 1 MKNFSSSSNHRHQPPAGGHKPYHQQHHPHNYQSNHQPGPNFQPVNNQYRRPYAPPRPTAVASTNSNILGRPNFTILLLVDSSSSSPAKPNDLQTLISQLNPAPENSRIHPTGKTAASLFFREWIHTLSSILSLWRSRLDGSHHFTPNLICNVRVASDMVELKQNLKTLFSNHIKGLMEGELVKKWKEKIEEKSDEIADVAAQTGKRHCSRGRFFELNDKKKGLMAERSMISKRLKEFKGGMRSLLGCLEDGVIGNVEEGDGVEVFRFDGELDWERIHRLILRECRRLEDGLPIYAHRQEILTRIHGEQIMVLIGETGSGKSTQLVQFLTDSAIAANESIVCTQPRKIAAISLAERVREESIGCYDDNSVVCYPTFSSAQQFDSKVIYMTDHCLLQHYMNDRNLSGISCIIVDEAHERSLNTDLLLALVKDLLCRRLELRLVIMSATANANQLSDYFFGCGIFHVMGRHFSVDIKYVPCATEGTSGSSMVASYVSDVTRMAAEVHKTEKEGTILAFLTSQMEVEWACDNFEASNAVALPLHGKLSFEEQFHVFQNYPGKRKVVFATNIAETSLTIPGVKYVIDSGMVKESKFEPGTGMNVLRVCWISQSSANQRAGRAGRTEPGRCYRLYTANNFELMPPNQEPEIRRVHLGVAVLRILALGIKNVQSFDFVDAPSSKAIDMAIRNLIQLGAIVQKNGVLELTDDGRYLVKLGIEPRLGKLILSCFHCRLRREGLVLAAVMANASSIFCRVGNEGDKVKADCLKVQFCHQNGDLFTLLSVYKEWEALPHNRKNKWCWENSINAKSMRRCQDTVTELEICLQKELSVIIPSFLLWDPHKSTEHDKFLKAIILSSLAENVAMYSGYDQLGYEVALTGQHVQLHPSCSLLIFGQKPSWVVFGELLSITNQYLVCVTAFDFESLATLDPPPLFDASRMESRKLQVKAMTGFGSTLLKKFCGKSNHNLRSLVSRLRTACMDERIGVEVNVDQNEILLFASSMDMQKVLAFVNEVLECERKWLLNECMEKCLFHGQGASPSMALFGAGAEIKHLEVDKRCLTLDVFHSNVNDLEDKGLLMLFEKYSNGSICSVHKSQASGHESDDKEKWGKITFLNPDAARKAAELDGVDFAGSALKVLPSRTSFGADHKMFSFPAVKAKVCWPRRPSKGFGIVKCDLLDIGFIIDDFSSLVIGGKNVRCEVSRKSVDAIVIYGIDKELSEAEVWDELQTATKRKIHDFFLVRGDAVENPTCSACEEALHREISPFMPKRNPHANCCWVQVFQPEPKESFMKALITFDGRLHLEAAKALEQLEGKVLPGCLSWQKIRCQQLFHSSISCSSSVYAVIRKQLDSLLASFRHLKGAGCYLEANGNGSYRVRISANATKTVAELRRPVEELMNGKTVKHASLTPSILQHLFSRDGINQMRSLQQETGTYIFFDRHSLNIRIFGSPDNAAVAQQKLIQSLLLYHESKQLEVKLRGRGLPPDLMKEVVKKFGPDLHGLKEKIPGAEFALSTRHHVISIRGDKEMKRKVEEIVLEIVETGKHLAERSDSEVTCPICLCEVEDGYQLEGCSHFFCRLCLVEQCESAIKNLDSFPICCAYQGCKAPILLTDLKSLLSTEKLEELFRASLGAFVASSRGTYRFCPSPDCPSVYRVADPETFGEPFVCGACYAETCIKCHLEYHPYLSCEKYKEFKEDPDSSLKEWCKGKEQVKTCPVCGYTVEKIDGCNHVECKCGRHVCWVCLEFFSSSDDCYGHLRAVHMAII >EOX92007 pep chromosome:Theobroma_cacao_20110822:1:4880364:4888474:-1 gene:TCM_001034 transcript:EOX92007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase domain-containing protein / IBR domain-containing protein / zinc finger protein-related, putative isoform 1 MKNFSSSSNHRHQPPAGGHKPYHQQHHPHNYQSNHQPGPNFQPVNNQYRRPYAPPRPTAVASTNSNILGRPNFTILLLVDSSSSSPAKPNDLQTLISQLNPAPENSRIHPTGKTAASLFFREWIHTLSSILSLWRSRLDGSHHFTPNLICNVRVASDMVELKQNLKTLFSNHIKGLMEGELVKKWKEKIEEKSDEIADVAAQTGKRHCSRGRFFELNDKKKGLMAERSMISKRLKEFKGGMRSLLGCLEDGVIGNVEEGDGVEVFRFDGELDWERIHRLILRECRRLEDGLPIYAHRQEILTRIHGEQIMVLIGETGSGKSTQLVQFLTDSAIAANESIVCTQPRKIAAISLAERVREESIGCYDDNSVVCYPTFSSAQQFDSKVIYMTDHCLLQHYMNDRNLSGISCIIVDEAHERSLNTDLLLALVKDLLCRRLELRLVIMSATANANQLSDYFFGCGIFHVMGRHFSVDIKYVPCATEGTSGSSMVASYVSDVTRMAAEVHKTEKEGTILAFLTSQMEVEWACDNFEASNAVALPLHGKLSFEEQFHVFQNYPGKRKVVFATNIAETSLTIPGVKYVIDSGMVKESKFEPGTGMNVLRVCWISQSSANQRAGRAGRTEPGRCYRLYTANNFELMPPNQEPEIRRVHLGVAVLRILALGIKNVQSFDFVDAPSSKAIDMAIRNLIQLGAIVQKNGVLELTDDGRYLVKLGIEPRLGKLILSCFHCRLRREGLVLAAVMANASSIFCRVGNEGDKVKADCLKVQFCHQNGDLFTLLSVYKEWEALPHNRKNKWCWENSINAKSMRRCQDTVTELEICLQKELSVIIPSFLLWDPHKSTEHDKFLKAIILSSLAENVAMYSGYDQLGYEVALTGQHVQLHPSCSLLIFGQKPSWVVFGELLSITNQYLVCVTAFDFESLATLDPPPLFDASRMESRKLQVKAMTGFGSTLLKKFCGKSNHNLRSLVSRLRTACMDERIGVEVNVDQNEILLFASSMDMQKVLAFVNEVLECERKWLLNECMEKCLFHGQGASPSMALFGAGAEIKHLEVDKRCLTLDVFHSNVNDLEDKGLLMLFEKYSNGSICSVHKSQASGHESDDKEKWGKITFLNPDAARKAAELDGVDFAGSALKVLPSRTSFGADHKMFSFPAVKAKVCWPRRPSKGFGIVKCDLLDIGFIIDDFSSLVIGGKNVRCEVSRKSVDAIVIYGIDKELSEAEVWDELQTATKRKIHDFFLVRGDAVENPTCSACEEALHREISPFMPKRNPHANCCWVQVFQPEPKESFMKALITFDGRLHLEAAKALEQLEGKVLPGCLSWQKIRCQQLFHSSISCSSSVYAVIRKQLDSLLASFRHLKGKLIS >EOX96248 pep chromosome:Theobroma_cacao_20110822:1:37106747:37109651:-1 gene:TCM_005534 transcript:EOX96248 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS associated with diabetes protein 51C MEIWRLPISASQRGKLISAGYTTLSSLSSISSSDLARESKVSESEALDILKFASHSHSGGWEMSSGNCSIFEGAQNAWDMLHEEESLVHITTSSADLDNILGGGIHCKEVTEIGGVPGIGKTQLGIQIAVNVQIPHGFGGLGGKAIYIDTEGSFMVERALQIAEACIEDMSEYNRFIRKDFQACEIRMQPKDILGNIFYFRICSYTEQIALINYLDKFISEHKDVKVVVVDSVTFHFRQDFDDMALRTRVLSGMALKLMKLAKKFSLAVVLLNQVTTKHTEGSFQLALALGDSWSHTCTNRIVLYWNGNERYAYIDKSPSLRSASAAYSVTRRGIRNSSSSCKRIKMM >EOX95209 pep chromosome:Theobroma_cacao_20110822:1:33325432:33332646:1 gene:TCM_004761 transcript:EOX95209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSTLITIAEEQRQPLLLDPSPDGNEEEIAASSSSNGPDAPKLTLDDSNQRLLSLDVFRGLTVALMILVDDAGGAFPSINHAPWFGVTIADFVMPFFLFCVGVSISLVFKKSSSKTLATKKVILRTIKLFLLGLFLQGGYFHGRDNLTYGVDVVKIRWLGVLQRISIGYLLASISEIWLVYNVVVDCPTAFVRKYHVQWIVAALLLSFYMCLLYGLYVPNWEFQAPSLNLSTNGSHTQIVHCGVRGSLEPPCNAVGYIDQYFLGEQHLYQRPVYRRTKECSVNSPDYGPLPPDSPEWCLAPFDPEGILSSLMAVLTCFVGLHFGHVLLHYKGQMQRALLWSMSSFLLLVSGFGLEMLGIPLSKPLYTLSYMCITAGASGLFLTIIFYIVDVKHFRKPVVLLQWMGMNALIVYALAACDIFPAAVQGFYWRSPENNLVDGMESLLQAMLHSSKWGTLVFVLLQILFWCLVAGFLHMKGIYIKL >EOX95210 pep chromosome:Theobroma_cacao_20110822:1:33325415:33332646:1 gene:TCM_004761 transcript:EOX95210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSTLITIAEEQRQPLLLDPSPDGNEEEIAASSSSNGPDAPKLTLDDSNQRLLSLDVFRGLTVAKSSSKTLATKKVILRTIKLFLLGLFLQGGYFHGRDNLTYGVDVVKIRWLGVLQRISIGYLLASISEIWLVYNVVVDCPTAFVRKYHVQWIVAALLLSFYMCLLYGLYVPNWEFQAPSLNLSTNGSHTQIVHCGVRGSLEPPCNAVGYIDQYFLGEQHLYQRPVYRRTKECSVNSPDYGPLPPDSPEWCLAPFDPEGILSSLMAVLTCFVGLHFGHVLLHYKGQMQRALLWSMSSFLLLVSGFGLEMLGIPLSKPLYTLSYMCITAGASGLFLTIIFYIVDVKHFRKPVVLLQWMGMNALIVYALAACDIFPAAVQGFYWRSPENNLVDGMESLLQAMLHSSKWGTLVFVLLQILFWCLVAGFLHMKGIYIKL >EOX92755 pep chromosome:Theobroma_cacao_20110822:1:8443262:8447100:1 gene:TCM_001639 transcript:EOX92755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MAVMSFLAGLSPELETVKSQILSGSKISSLHDTFTRNNRNGNRGGGTGNRNRDVDSRSQESGGIVCYYCHEPGHTKRFCRKLLNKSQRDEFAQFSEYQESLKSTTSLITAIAKLADGSTSCVLDSETINPTPSISLSNDLTTKRIIGKGRESRRLYYLDTQVPGSIACSSVLTPFESYMIQNGILHQSSCIDTPFQNGVAKRKNRHLLEVARALLFHTKVPKQFWVDAVSIACFLINRMPSSVFHSDIPYTIIFPSKSLFPIEPKIFGSTCFVRDVRSQVFRKREEDDLLVYTVTHSVSNTNILAPDPAPAWPPIVHVYSRRPEAQTTCPLLVPSLSDPVSTNHHPSLHLPIALHKGYAQTYGVDYSDTYSPVAKLTSVRLFISMAATYDWPLHQLDIKNALLHGDLQEEVYMEQPPEFVAQGEYGKVYHLRKSLYGLKQNPHAWFGKFSETIQEFGMKKSKCDHSVFYKQSKAGIILLVVYVDDIVITGSDTARKLGAKPCNAPMTPNLQLTKKDGELFEDPEKYRRLVGKLDYLTVTRPDIAYSVSVVSQFMSAPTINYWAALEQILYANWAGSKSDRRSTTGYCVFIGGNLVSWKIWMYQLLSEVGPKSFLPTKLWCDNQAALHIASNPVFHERTKHIEIDYHFIREKIQQKFIATGYVKTKDQLGDIFTKALNGPRVDYIRSKLGMINIYAPA >EOX91363 pep chromosome:Theobroma_cacao_20110822:1:2442804:2444553:1 gene:TCM_000580 transcript:EOX91363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAKPKNVLVICCFCLLLMGALCQISSGASPLNYETLHKDRNSSSPCGPQQSKNCVTARRPAKEHQRGCNKSNGCRT >EOX90667 pep chromosome:Theobroma_cacao_20110822:1:279339:281993:1 gene:TCM_000069 transcript:EOX90667 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: CHD5-like protein (InterPro:IPR007 /.../Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G16444) TAIR;Acc:AT4G16444] MGEGETLEQRRSLAAPLIFFIIVVFQFATKWLQDLKKGASKTDKEIQLRGEIKQLLKEAASLSQPSTFAQAAKLRRSAAAKEKELANYQAQRSKEVKLSYDWYLKVLFMLKVIIYLVLIFWFWRSPVASVSQQLVQPFGKMLSWKTGGSLNNNVMVGIIPWLILCTRVSKFVCRIIK >EOX90668 pep chromosome:Theobroma_cacao_20110822:1:279288:282005:1 gene:TCM_000069 transcript:EOX90668 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: CHD5-like protein (InterPro:IPR007 /.../Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G16444) TAIR;Acc:AT4G16444] MGEGETLEQRRSLAAPLIFFIIVVFQFATKWLQDLKKGASKTDKEIQLRGEIKQLLKEAASLSQPSTFAQAAKLRRSAAAKEKELANCHNLSCANLLVLEVSCCFRVSATCATLWEDVILEDWGFFKQQCHGVGIIPWLILCTRVSKFVCRIIK >EOX92473 pep chromosome:Theobroma_cacao_20110822:1:7077337:7077917:1 gene:TCM_001423 transcript:EOX92473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENRKGNRSVAMSFFTTANFVVLLIISLAFLPEISARRYNPPPVRPPPPASPPTSHKSLPPHQHNTLPPTPKEYKQYGGPGMYYLPPNRD >EOX91861 pep chromosome:Theobroma_cacao_20110822:1:4179427:4182600:1 gene:TCM_000922 transcript:EOX91861 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown-complex ABC transporter family MAHRNGHARDTVIDIGNKPVTLTGGLEYSGLTYTVIKKKKLEGKWLSQEVDLLHKITGYAPKGCITAVMGPSGAGKSTFLDGLAGRIASGSLKGRVSLDGMAMSPSLIKRTSAYIMQDDRLFPMLTVYETLLFAADFRLGPISRVEKRQRVEKLIHQLGLTSSWNTYIGDAGTRGVSGGERRRVSIGVDIIHGPSLLFLDEPTSGLDSTSAYSVIEKVRDIARSGSTVILTIHQPSSRIQLLLDHLIVLARGQLMYQGSPQDVTLHLNRMGRKVPKGENAIEFLIDVIQEYDQSELGVEAVAEFARTGLRPPPLTEEEMSVSTAAPTPPPANRGRRHQMEGRGDERKNDGRLPLQANAHSANDFDRSVRSPYNNTSMSWSASHSGLVHQLIFTPTRQRTDKKSPNPMSSSPGYYTSSSDILPGTPTPHSSDYTVNEDDYLTPDHAAKKISVQHLSPKFANSFFSETWILMRRNFKNIRRTPELFLSRLVVLTVMGLMMATMFMKPKINLQGITNRLSFFIFTVCLFFFSSNDAVPAFIQERFIFVRETSHNAYRASAYTIAGLITYLPFLALQAAVYACIVWKALELRGPFYYFFIVLYVSLLSTNSFVMFVSSVVPNYILGYAAVIAFTALFFLFCGYFLNSHDIPGYWKWMNKISTMTYPYEGLLMNQYQTPQIFGFDPQQRPVTGFGILNSLAISTEEFKKWENVLIMLGWAAFYRICFYIILRFGSKNQRT >EOX90795 pep chromosome:Theobroma_cacao_20110822:1:653283:655169:-1 gene:TCM_000166 transcript:EOX90795 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAC-like GTP binding protein 5 isoform 3 MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFIDHPGAVPISTAQGEELRKLIGSPAYIECSSKTQQNVKAVFDAAIRVVLQPPKQKKKKSKAQKACSIL >EOX90796 pep chromosome:Theobroma_cacao_20110822:1:652926:655472:-1 gene:TCM_000166 transcript:EOX90796 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAC-like GTP binding protein 5 isoform 3 SANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFIDHPGAVPISTAQGEELRKLIGSPAYIECSSKTQQPSELSFNHQNRKKRRAKHRRPAPYCDWKMKM >EOX90797 pep chromosome:Theobroma_cacao_20110822:1:653121:654457:-1 gene:TCM_000166 transcript:EOX90797 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAC-like GTP binding protein 5 isoform 3 SANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFIDHPGAVPISTAQVKIFQLVHTFPETLFRYYSFSCCLDFYYLQLSDPHPSSPFFFPICKGEELRKLIGSPAYIECSSKTQQNVKAVFDAAIRVVLQPPKQKKKKSKAQKACSIL >EOX95229 pep chromosome:Theobroma_cacao_20110822:1:33433270:33439749:1 gene:TCM_004780 transcript:EOX95229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MDGLCLKTGIHGMTPAISVTGALESRTNATQVSAMGRSSVDHKSTSASVVPPQKTAFSMFSFRYPLKSLWPRGGAGNDKRYNGMAVDDVVLVENKSNEEARKVYEENVNGGETKGTSEGQKGNWVLKILHVKSLWREERKSVDEERETEEENNRNGIVNEEEEICEFCRVDDDDDDEENEKKEIEIDKDSFSKMLRRVSLAEAKLYAQMSYLGSLAYAIPKIKPESLLKYRGLRLVTSSIEKRESAMKAEKNHEKTGVSSENQELERNRKDDTVGNEQKNIGYRISASAAYQIAASAASYLHSHTKTILPFKSSKPESSKDSSDDGSGSESSAEMRNSDVASLIATTDSVTAVVAAKEEVKQAVADDLNSTHSSPCEWFICDNDQSATRFFVVQGSESLASWQANLLFEPIQFEGLDVLVHRGIYEAAKGMYEQMLPEVRSHLKSHGKHATFRFTGHSLGGSLSLLVNLMLLIRGELPASSLLPVIMFGSPSIMCGGDRLLRKLGLPRSHVQAITMHRDIVPRAFSCNYPNHVAELLKALNGNFRHHPCLNSQKLLYAPMGQLLILQPDEKFSPHHHLLPSGTGLYFLSCPLSDVDNEEKLLQAAWRIFFNSPHPLEILSDRTAYGSEGTIQRDHDMNSYLVSVRGVIRQELNRIRKTKREHRRKVWWPLVLPCGINAGIILGRPVATINVGQEQFNLVGVLQTGRESLKRFGRLVASQHMHLLVILLFPAKLLLLGAYSVINFR >EOX90657 pep chromosome:Theobroma_cacao_20110822:1:250031:262892:-1 gene:TCM_000063 transcript:EOX90657 gene_biotype:protein_coding transcript_biotype:protein_coding description:RB1-inducible coiled-coil protein 1, putative isoform 2 MGGIFHLFDFNQGSMARKILAHKRHVGGLEAPRNSLELQLETSQSSCAVGDLPYSNHVEEDWAAKNCYQREASMKKLISEEMSKQSNTSHNAPSIVARLMGMDALPLDTKSVVQPVEKKNDNQQVKFSKREKYVKGSAAHLSSNSTYSKQMDLDSIYSSRDRDAERWSTSQKFGKPRSREHPQEEELQKFKKEFEAWQAARLRECSKVVDVGSISTQQLAQEKLNKEKMALYADSERVMHKKPLESKRITVNENLHEIGLHHHRRNSELFTAEKKESRRGSMNKDFHLPSMIGYNQKVDAAPTRIVILKPGPDRICDHEESWTSSSGTFEERASIEDFLEEVRERLKLELQGKTLKKSSVVRGSGIETPFSEKPSDPRQIAKHIAQKVRENVSRDLGMNLVRSESTRSYRSEIQFNGPGSPEFINKDARRFLSERLRNVLKQETQLDVPIVSSGSSRSSVFDNGRDRLKRLRDRSKSEIEQSYWEIVKDEQAMQARSFRQGDDVGLLNRELSPRNLVRSLSAPVSGTSFGKLLLEDRHILTGAQIRRKHEGIENVSVDIRKRKKEKFNLKEKVSNIKYGLTLRRRLFGKKIQSMVESLGAENDPEKDILSGPTVVMNLGERHENSTEVPPSPASVCSSNHEEFWRQVDYLSPMSTPDVTLREDNAVPQVFKEISSNLSELRRQLNELESDGADDISIEQEPIESEMGDLEDHAEGYVKDLLVASGLYDGSCDKSLSRWDPLAKPISNCVFEQVEESYGKLAKENDSTRNDQNENVDHKLLLDLLNEALSIILGPPVTMSRFRRKLLGSSILRPPRGRKLLNSVWEIIHMNLDPPNDRRYCSLDDMVGQDLGSTPWSGLMDDETSVLGREVECHIIGDMVQEIVKDMHS >EOX90658 pep chromosome:Theobroma_cacao_20110822:1:253343:259989:-1 gene:TCM_000063 transcript:EOX90658 gene_biotype:protein_coding transcript_biotype:protein_coding description:RB1-inducible coiled-coil protein 1, putative isoform 2 MPYLENDLVDPLAAWEAYFTFLTSIKAAWPEKYLHTRDMSVYSNHVEEDWAAKNCYQREASMKKLISEEMSKQSNTSHNAPSIVARLMGMDALPLDTKSVVQPVEKKNDNQQVKFSKREKYVKGSAAHLSSNSTYSKQMDLDSIYSSRDRDAERWSTSQKFGKPRSREHPQEEELQKFKKEFEAWQAARLRECSKVVDVGSISTQQLAQEKLNKEKMALYADSERVMHKKPLESKRITVNENLHEIGLHHHRRNSELFTAEKKESRRGSMNKDFHLPSMIGYNQKVDAAPTRIVILKPGPDRICDHEESWTSSSGTFEERASIEDFLEEVRERLKLELQGKTLKKSSVVRGSGIETPFSEKPSDPRQIAKHIAQKVRENVSRDLGMNLVRSESTRSYRSEIQFNGPGSPEFINKDARRFLSERLRNVLKQETQLDVPIVSSGSSRSSVFDNGRDRLKRLRDRSKSEIEQSYWEIVKDEQAMQARSFRQGDDVGLLNRELSPRNLVRSLSAPVSGTSFGKLLLEDRHILTGAQIRRKHEGIENVSVDIRKRKKEKFNLKEKVSNIKYGLTLRRRLFGKKIQSMVESLGAENDPEKDILSGPTVVMNLGERHENSTEVPPSPASVCSSNHEEFWRQVDYLSPMSTPDVTLREDNAVPQVFKEISSNLSELRRQLNELESDGADDISIEQEPIESEMGDLEDHAEGYVKDLLVASGLYDGSCDKSLSRWDPLAKPISNCVFEQVEESYGKLAKENDSTRNDQNENVDHKLLLDLLNEALSIILGPPVTMSRFRRKLLGSSILRPPRGRKLLNSVWEIIHMNLDPPNDRRYCSLDDMVGQDLGSTPWSGLMDDETSVLGREVECHIIGDMVQEIVKDMHS >EOX93267 pep chromosome:Theobroma_cacao_20110822:1:11829833:11830553:-1 gene:TCM_002121 transcript:EOX93267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTWGSRYIDSLSHLWILENGEEKSSKGNWKKKENENITCHRVEIYYIILS >EOX96721 pep chromosome:Theobroma_cacao_20110822:1:38754730:38759272:1 gene:TCM_005912 transcript:EOX96721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma response gene 1, putative isoform 1 MEGHLEQSPKLGLPIDSDCVKYISGLSTILVATIQEAKDRISQIEYIFCSQLYPNFQLKSNGLQKIYTEIKKAAEDAWKEKENEFILQIEKLELEKKQALEENHSFTLEREKLVKEQEEKMSQLLVKLRCQERIEELKGELMLKSKEVDEGIELQNKLVQLVQTKASVIANKNKEVKEHEEKTNVLLSDLNSLQKKVEALQQELREKTQEVADRKKLSENLLKKIELQSFDIMHNEEQLINCNNEKKLVVANFEKLKESYDELHVVHRKKTKEVEEGRKLQEQLLRQIDLKGSEMLKNKQQLEEQEKKKELLAKVKVLEEKVNELQAKLRESGDDAAEERDSYEKLLKQIESKSADLMAEKKKKRDLLDAYKRLKSQYNFLCRKNGLTTENMTFPNKLEDESDSARHHHNPKPSLGNYFLLYPIADAENKILNTRMIAHDTKGMKDVGLNVALEDGKGAKSFETSSSHSPTSRFPTQKCPSSVKSNPIAGTKRPVSGWRDTRSHQCQAGPDPHDDFLDTPLENIRGNLKKAMKEEAGGLPVPEDMNVDSSDDETQDVSVDKRPREQEIPFQMADKGSFKYVEPVRKKADREKLRGFECNQCKKFYDAVLNNGDQDNEDHKKNFRCEHHDGVSRHRYKYVPPMTPEGFWNIGFESEM >EOX96722 pep chromosome:Theobroma_cacao_20110822:1:38755846:38758669:1 gene:TCM_005912 transcript:EOX96722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma response gene 1, putative isoform 1 MSQLLVKLRCQERIEELKGELMLKSKEVDEGIELQNKLVQLVQTKASVIANKNKEVKEHEEKTNVLLSDLNSLQKKVEALQQELREKTQEVADRKKLSENLLKKIELQSFDIMHNEEQLINCNNEKKLVVANFEKLKESYDELHVVHRKKTKEVEEGRKLQEQLLRQIDLKGSEMLKNKQQLEEQEKKKELLAKVKVLEEKVNELQAKLRESGDDAAEERDSYEKLLKQIESKSADLMAEKKKKRDLLDAYKRLKSQYNFLCRKNGLTTENMTFPNKLEDESDSARHHHNPKPSLDAENKILNTRMIAHDTKGMKDVGLNVALEDGKGAKSFETSSSHSPTSRFPTQKCPSSVKSNPIAGTKRPVSGWRDTRSHQCQAGPDPHDDFLDTPLENIRGNLKKAMKEEAGGLPVPEDMNVDSSDDETQDVSVDKRPREQEIPFQMADKGSFKYVEPVRKKADREKLRGFECNQCKKFYDAVLNNGDQDNEDHKKNFRCEHHDGVSRHRYKYVPPMTPEGFWNIGFESEM >EOX91189 pep chromosome:Theobroma_cacao_20110822:1:1884117:1887751:1 gene:TCM_000458 transcript:EOX91189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MFRINYLHGFVTIFRRWKYPIKCLHSFDQHKPETPTKYKFTKTLKNPMKPLEVEPKVYMRDTISNIYKILKYSTWDAAETQLKRLRIKWDSFTINQVLKTHPPMEKAWLFFNWVGKVKGFKHDQFTYTTMLDIFGEAGRVSSMKYLFQQMQEKGLKIDAVAYTSVLHWLSKSRDVDGAVEMWEEMRGKGCFPTVVSYTAYMKVLFDNKRVKEGTDVYKEMLQSGISPNCHTYTVLMEYLFEAGKSEEALEIFNKMQEAGVKPDKAACNILVEKCCKAAETRAMTQILQYMKENYLVLRYPIFLEALETFKVAGESNVLLREVHPHISVECIGNETEAEYKGNASEAPLSFDRGLMWALLKKQNLLAIDSLLTELMDKNIRLDSEMISTIIDINCNHCRLDGSLLAFKYSVKAGINLERAAYLTLIGSLIRSNTFTDVVEIVVEMTRAGHSPGVYLGSLLIYRLGCARRPTCAAKIFNLLPDDQKCVATYTALVGVYFAAGTADKGLKIYKTMRSKGISPSLGTYCVLLAGLEKLGRVSTAETYRKEKKSLQKDAYFRESIPIEEKICDLLFARDVVS >EOX93309 pep chromosome:Theobroma_cacao_20110822:1:12007729:12018424:-1 gene:TCM_002153 transcript:EOX93309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 55 kDa regulatory subunit B prime gamma, putative isoform 1 MERETQQQGIPNNPTANQNAALINSFIEITSSSKEEALFFLESHQWDLDAAVSTFLDNNSTATTAAHQPHPIAPPPSRDLNNSPTPSPSDSPDYSPSQSPSRSRSPSPVRPSRAPYQLRSRRNADKKPSGSNNARGVRTLADLNRTPPGGSDSDSDEAQDYYTGGEKSGMVIRDPSKHNDVDSIFNQARQAGAVEGSDDYFRPSSSSTRSFTGTARLLSGETVAPAPPPPPEVVTHNVTFWRNGFTVDDGPLRRLDDPANASFLESVMNSECPKELEPTDRSTKLDLHLFRRDENYSEPKKHQSVFQGVGRTLGSSSSPSLTASEPTASASSIITAPVPSMGLVVDSSLPTTSIQLRLADGTRMVSRFNYHHTIRDIRGFIDASRPGGARNYQLLTMGFPPEQLSDLDQTVEQAGIASSVVIQKY >EOX93310 pep chromosome:Theobroma_cacao_20110822:1:12016704:12018260:-1 gene:TCM_002153 transcript:EOX93310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 55 kDa regulatory subunit B prime gamma, putative isoform 1 STMERETQQQGIPNNPTANQNAALINSFIEITSSSKEEALFFLESHQWDLDAAVSTFLDNNSTATTAAHQPHPIAPPPSRDLNNSPTPSPSDSPDYSPSQSPSRSRSPSPVRPSRAPYQLRSRRNADKKPSGSNNARGVRTLADLNRTPPGGSDSDSDEAQDYYTGGEKSGMVIRDPSKHNDVDSIFNQARQAGAVEGSDDYFRPSSSSTRSFTGTARLLSGETVAPAPPPPPEVVTHNVTFWRNGFTVDDGPLRRLDDPANASFLESVMNSECPKELEPTDRSTKLDLHLFRRDENYSQEPKKHQSV >EOX93101 pep chromosome:Theobroma_cacao_20110822:1:10439470:10442560:-1 gene:TCM_001945 transcript:EOX93101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase MAGVLLWVICPKENATALLSLIPKSGKQKRSRLCPTLKFATGVSAFSSAVANPARSSEEKVYEVVLKQAALVKEQRQKKALDLKRTAETDGMTDWDLLNEAYDRCGEVCAEYAKTFYLGTLLMTPERRRAVWAIYVWCRRTDELVDGPNAPHITPRALDRWEKRLDDLFEGRPYDMFDAALSDTVSKYPVDIQPFKDMIEGMRLDLRKSRYKNFDELYLYCYYVAGTVGLMSVPVMGIAPESKASTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELARAGLSDDDIFCGQVTDKWRSFMKDQIKRARMFFDEAEKGVAELNAASRWPVWASLLLYRQILDAIEANDYNNFTKRAYVGKAKKFASLPVAYGRALMGTSKLSDLART >EOX92134 pep chromosome:Theobroma_cacao_20110822:1:5373961:5381467:-1 gene:TCM_001127 transcript:EOX92134 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM-repeat/Tetratricopeptide repeat (TPR)-like protein isoform 1 MDKVSPDCPYPGCFFCVMKEGNPSKRRASILKFFRDLPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAVHASVIPPLVELLRGRLTWVEQRVAVRALGHLATYTSTFPAVASHGEILEVSIQLAMSSLEIVYSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLVKLPGMWGGLVNENSPAGIGLLRTICHHKLGRGPVASCPGIIEALCNIARSSDDWQYMAIDCLLWLLQDPSTCHKVIDKAVPALVDLAEITTLGDHKKLGDSIVNVLQECIQSQGTGRSSLSNRTKELIEELLSSRQRLKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAASKYSEALSLCPMRSKKERVVLYSNRAQCHLLLQQPSAAISDATHALCLHNPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAIKLGGVHCEGDAGDMYGQDTDDSEWETASESDIGNDGRDEMGDDDDDDDSEWKNEDERKDKYEKPSMKDFEIH >EOX92133 pep chromosome:Theobroma_cacao_20110822:1:5373203:5390486:-1 gene:TCM_001127 transcript:EOX92133 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM-repeat/Tetratricopeptide repeat (TPR)-like protein isoform 1 MDKVSPDCPYPGCFFCVMKEGNPSKRRASILKFFRDLPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAVHASVIPPLVELLRGRLTWVEQRVAVRALGHLATYTSTFPAVASHGEILEVSIQLAMSSLEIVYSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLVKLPGMWGGLVNENSPAGIGLLRTICHHKLGRGPVASCPGIIEALCNIARSSDDWQYMAIDCLLWLLQDPSTCHKVIDKAVPALVDLAEITTLGDHKKLGDSIVNVLQECIQSQGTGRSSLSNRTKELIEELLSSRQRLKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAASKYSEALSLCPMRSKKERVVLYSNRAQCHLLLQQPSAAISDATHALCLHNPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAIKLGGVHCEGDAGDMYGQDTDDSEWETASESDIGNDGRDEMGDDDDDDDSEWKNEDERKDKYEKPSMKDIKHGFNVQLTDEP >EOX95445 pep chromosome:Theobroma_cacao_20110822:1:34243214:34245955:-1 gene:TCM_004940 transcript:EOX95445 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal MADESSSSIKLMNFVSEQQLDEAKRTRGERIEDGTAQRDRPLYEILKENKDKKDAEFNERFKHRPPKALDEDETEFLDNLETSRREYEQQVADDEAEQLRSFQAAVAAQSNIVHELKETPPVPADQEQKSVGRKNPAARPLGMIIKVKPQAKKAKIDQEQELPNTMKAPNVDTLKTPNPVNTLNGDTNNPPDVVKTGLVSYSDESEDD >EOX95678 pep chromosome:Theobroma_cacao_20110822:1:35179946:35182106:1 gene:TCM_005125 transcript:EOX95678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLPASIRKVELLGLTPLNKLFGSRGRSFFRSILFLLQGFCETPWKGGLSGNIGEGYPLEANGNTSHYFLNSVLYPDLYF >EOX95803 pep chromosome:Theobroma_cacao_20110822:1:35620024:35622297:1 gene:TCM_005215 transcript:EOX95803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G01150) UniProtKB/Swiss-Prot;Acc:O04616] MAAAASSSMAATAVLIPRVPTALRTTRCSALPLLPPRVSTASFSSSVKLIPESRRFSLLQTKASEETTSVDAGELFTDLKEKWEKIENKSTVLIYGGGAIVAVWLSAILVGAINSVPLLPKIMELVGLGYTGWFVYRYLLFKSSRKELATDIEALKKKISGTE >EOX91241 pep chromosome:Theobroma_cacao_20110822:1:2010551:2013761:-1 gene:TCM_000487 transcript:EOX91241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLQCSFGLLSSVTIWISLEFNIGCWSEYCSLPSHPRIGKRIKAGCGQVTFKPVHCCCKRYFRRQKLKGRR >EOX93606 pep chromosome:Theobroma_cacao_20110822:1:14198429:14206108:-1 gene:TCM_002485 transcript:EOX93606 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MPPRRGRPPLTRSVRRGRGRSQRHQPNTVEEESTASTIRAAPAAEQADSPPHPPSPQPPTGIPTMPTEAAQTLAAFFVAMAGQAQTSQVPRVVPPATPLVPPPVQDVFISKKLKEARQLGCVSFTGELDATVAKDWINQVLETLSDMGLDDDMKLMVATRLLEKRARTWWNSMKSHSATPQTWSDFLREFDEEYETRFNELILYVPDLVKSEQDQASYFEEGLRNEIRERMTVTGREPHKEVVQMALRAEKLATENKRIQTEFAKRKNPGMSSSQPVKRGKDSTTSESTTSVSVTSPRPPFPPSQQRLSRFNRSAMTGSRKSFGGRATVAASSPPARTDIQMRDSSRLPPRQVVAIRSDVESNTPSHPPSRPQTRTATIVFAVTEDEARVRPRAVTGLPPDLELEFPIDLLPGTAPISIPPYRMAPAELKELKLRIKEQDVPKTAFRTRYGHYEFLVMPFGLTNAPAVFMDLMNRVFHPYLDKFVIVFIDDILVYSKNDDEHAAHLRIVLQTLRERQLYAKFSKREFWLKEVVFLGHVVSGVGIYVDPKKIEAILQWEQPRTVTEIRSFLGLAGYYRRFVQGFFLIAAPLTRLTRKGVKFEWDDVCENRFQELKNRLTSAPILTLPVSGKEFVVYSDTSKLGLGCVLMQDEKVIAYASRQLKKHETNYPTHDLELAAVVFALKIWRHYLYGERCRIFSDHKSLKYLLTQKELNLRQRRWLELIKDYDLVIDYHPGKANVVADALSRKSSLSLATLRSSHFSMLLEMKSLGIQLNNGEDGTLLASFVVRPSLLNQIRELQKSDDWLKQEVQKLQDGETSEFRLSDDAPYKALYGRKCQTLLCWDEVGERKLVNVELIDLTNDKVKVIRERLKTAQDRQKNYSDKRRKDLEFEVDDKVFLKVSPWKGGGFSDKGKEIAFDDQ >EOX94209 pep chromosome:Theobroma_cacao_20110822:1:25789194:25791136:-1 gene:TCM_003704 transcript:EOX94209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFMGMGHLTALAVSMGYLDEECQRLCICVLICLNYFLHRFPHCSSVNTTLSCPAISPSAESIRARRRKKKSIPRVRNWRRDLAFFQMNKDKNDKSIFFKSGDLVILFK >EOX94008 pep chromosome:Theobroma_cacao_20110822:1:18406342:18409009:-1 gene:TCM_003039 transcript:EOX94008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASSAMVHNQCSLSPHSPKCYYMNQTPALTHSQFLSSTSFLGLKRKTLSSSIKFNKPLSPKPLVYALQSNFFKVLQTAWKVGKDGIEAGTNLVPDAVPRPIARVSVTIVALTVTLFVLKSFLSTAFFALATMGLVYFIFIALNKDRGPRGGSGTESMEDPVEEARKIMEKYK >EOX94007 pep chromosome:Theobroma_cacao_20110822:1:18405986:18408729:-1 gene:TCM_003039 transcript:EOX94007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASSAMVHNQCSLSPHSPKCYYMNQTPALTHSQFLSSTSFLGLKRKTLSSSIKFNKPLSPKPLVYALQSNFFKVLQTAWKVGKDGIEAGTNLVPDAVPRPIARVSVTIVALTVTLFVLKSFLSTAFFALATMGLVYFIFIALNKDRGPRGGSGTESMEDPVEEARKIMEKYK >EOX94622 pep chromosome:Theobroma_cacao_20110822:1:30534949:30538733:1 gene:TCM_004256 transcript:EOX94622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPSLPQNLTDYSASSTIIKFDRPIPLLRGPIPAGSSDDPSSGSYLLAFKDLPSWAAAYKSCQSKIISQCEEGARIGCAVTASNKCKRPWWQSLVGWKSVDLKERERCEDREMEGCLVAAKEKCVGFAKEKCTMPFLDARIAVGEREVRNIVVGRMVLVASMPEESTWHDLIGWVKLGECEFTVTNYRASHFLRSSARLQT >EOX94921 pep chromosome:Theobroma_cacao_20110822:1:32024564:32026948:1 gene:TCM_004520 transcript:EOX94921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFFHANEKEKVINRIMDSWMGDLSWKKFFLGSRARDEMAAREWSDLPCELLQSIADRLGLIEFLSFRGVCKDWNSASSTASAEIEALPSHEPWFLLYGENSECVLIAESGRKYTITIPELNGATCLASKQGWLLLLREGSMFFFCPFSRARIDLRGPFPHKAISDDHVAVFSSPPTSQDCVVCVVTKSDETHLKVHVIRRGDNAWTEMYTIGFASKIENAAYCNGAFYFFDDTDLMLYLGLENRRLTITKVRYTQSPKEKGIGLRFSTDFEKNDMKKRLGLAEGFPLSTCGTIVSGKNADKMVPYENTGNAKESGSRGLKGVWFQPRFHQIDQNHSW >EOX96356 pep chromosome:Theobroma_cacao_20110822:1:37465386:37470576:-1 gene:TCM_005615 transcript:EOX96356 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain-containing protein / MA3 domain-containing protein isoform 1 MARQYGESSDDDSRDESGGRRNRDSRHSRSDRDRGRRGRERERNHDEKEENRRREHSGSSDEEEDKRANRDRRRESKRGGGKERNQDGDVGENRQRERPGSFDEEDNRANRDRKRERQRIHDGDDEEGDKLDNRDRRREREGIRDDDGKSKRREHSRSSDEEEDKHAARDRKRERERLRDDDRNRRRDAVEENSESSDEEEKRGKRDRRGERDRRNRHDNGHRDRHNHRQRESEEDRYRHRDRHRHSRHDSDDDEDYRRRSQRNKERENKSDRRDNDNQPSRRSRDDNSFKEKEEGEIKQKQPALQQNNLNGDTANLGRSGGVYIPPFKLARMMKETEDKSSVEYQRLTWDALRKSINGLVNKVNATNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAAFVAVVNTKFPEVGDLLLRRIVLQLKRAYKRNDKPQLLAAVKFIAHLVNQQVAHEIIGGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRSELDLVEHEDQLTHEISLQDEIDPEITLDIFKPDPQFLENEKRYEELKKTILGEESDDEEGSDVASGDEEDDDDDDETDEEDEQQMKIRDETETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCVMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFDKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRRLNERLSDPTMLDSFESIFPRDNPKNTRFSINFFTSIGLGGITENLREYLKNMPRLIMQQQKTASDSEAEPESGSSSSSESGGSSSSDSETASSDSESNSSGSDESERRRKRRRR >EOX96351 pep chromosome:Theobroma_cacao_20110822:1:37464904:37470950:-1 gene:TCM_005615 transcript:EOX96351 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain-containing protein / MA3 domain-containing protein isoform 1 MARQYGESSDDDSRDESGGRRNRDSRHSRSDRDRGRRGRERERNHDEKEENRRREHSGSSDEEEDKRGNRGGRSGSVREGGQERNQDGDGDENRWRERSGAFDEEDKRANRDRKQERERIRDDDVKDRRREHSGSSDEEDKLGNRDGRREREKIHDEEEENRRREHSGSSDEEEGKRANRDRRRESKRGGGKERNQDGDVGENRQRERPGSFDEEDNRANRDRKRERQRIHDGDDEEGDKLDNRDRRREREGIRDDDGKSKRREHSRSSDEEEDKHAARDRKRERERLRDDDRNRRRDAVEENSESSDEEEKRGKRDRRGERDRRNRHDNGHRDRHNHRQRESEEDRYRHRDRHRHSRHDSDDDEDYRRRSQRNKERENKSDRRDNDNQPSRRSRDDNSFKEKEEGEIKQKQPALQQNNLNGDTANLGRSGGVYIPPFKLARMMKETEDKSSVEYQRLTWDALRKSINGLVNKVNATNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAAFVAVVNTKFPEVGDLLLRRIVLQLKRAYKRNDKPQLLAAVKFIAHLVNQQVAHEIIALELLTVLLENPTDDSVEVAVGFVTECGSLLQDLSPKGLHGIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRSELDLVEHEDQLTHEISLQDEIDPEITLDIFKPDPQFLENEKRYEELKKTILGEESDDEEGSDVASGDEEDDDDDDETDEEDEQQMKIRDETETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCVMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFDKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRRLNERLSDPTMLDSFESIFPRDNPKNTRFSINFFTSIGLGGITENLREYLKNMPRLIMQQQKTASDSEAEPESGSSSSSESGGSSSSDSETASSDSESNSSGSDESERRRKRRRR >EOX96354 pep chromosome:Theobroma_cacao_20110822:1:37465767:37470414:-1 gene:TCM_005615 transcript:EOX96354 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain-containing protein / MA3 domain-containing protein isoform 1 MARQYGESSDDDSRDESGGRRNRDSRHSRSDRDRGRRGRERERNHDEKEENRRREHSGSSDEEEDKRANRDRRRESKRGGGKERNQDGDVGENRQRERPGSFDEEDNRANRDRKRERQRIHDGDDEEGDKLDNRDRRREREGIRDDDGKSKRREHSRSSDEEEDKHAARDRKRERERLRDDDRNRRRDAVEENSESSDEEEKRGKRDRRGERDRRNRHDNGHRDRHNHRQRESEEDRYRHRDRHRHSRHDSDDDEDYRRRSQRNKERENKSDRRDNDNQPSRRSRDDNSFKEKEEGEIKQKQPALQQNNLNGDTANLGRSGGVYIPPFKLARMMKETEDKSSVEYQRLTWDALRKSINGLVNKVNATNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAAFVAVVNTKFPEVGDLLLRRIVLQLKRAYKRNDKPQLLAAVKFIAHLVNQQVAHEIIALELLTVLLENPTDDSVEVAVGFVTECGSLLQDLSPKGLHGIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRSELDLVEHEDQLTHEISLQDEIDPEITLDIFKPDPQFLENEKRYEELKKTILGEESDDEEGSDVASGDEEDDDDDDETDEEDEQQMKIRDETETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCVMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFDKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRRLNERLSDPTMLDSFESIFPRDNPKNTRFSINFFTSIGLGGITENLREYLKNMPRLIMQQQKTASDSEAEPESGSSSSSESGGSSSSDSETASSDSESNSSGSDESERRRKRRRR >EOX96352 pep chromosome:Theobroma_cacao_20110822:1:37465767:37470414:-1 gene:TCM_005615 transcript:EOX96352 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain-containing protein / MA3 domain-containing protein isoform 1 MARQYGESSDDDSRDESGGRRNRDSRHSRSDRDRGRRGRERERNHDEKEENRRREHSGSSDEEEDKRGNRGGRSGSVREGGQERNQDGDGDENRWRERSGAFDEEDKRANRDRKQERERIRDDDVKDRRREHSGSSDEEDKLGNRDGRREREKIHDEEEENRRREHSGSSDEEEGKRANRDRRRESKRGGGKERNQDGDVGENRQRERPGSFDEEDNRANRDRKRERQRIHDGDDEEGDKLDNRDRRREREGIRDDDGKSKRREHSRSSDEEEDKHAARDRKRERERLRDDDRNRRRDAVEENSESSDEEEKRGKRDRRGERDRRNRHDNGHRDRHNHRQRESEEDRYRHRDRHRHSRHDSDDDEDYRRRSQRNKERENKSDRRDNDNQPSRRSRDDNSFKEKEEGEIKQKQPALQQNNLNGDTANLGRSGGVYIPPFKLARMMKETEDKSSVEYQRLTWDALRKSINGLVNKVNATNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAAFVAVVNTKFPEVGDLLLRRIVLQLKRAYKRNDKPQLLAAVKFIAHLVNQQVAHEIIALELLTVLLENPTDDSVEVAVGFVTECGSLLQDLSPKGLHGIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRSELDLVEHEDQLTHEISLQDEIDPEITLDIFKPDPQFLENEKRYEELKKTILGEESDDEEGSDVASGDEEDDDDDDETDEEDEQQMKIRDETETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCVMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFDKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRRLNERLSDPTMLDSFESIFPRDNPKNTRFSINFFTSIGLGGITENLREYLKNMPRLIMQQQKTASDSEAEPESGSSSSSESGGSSSSDSETASSDSESNSSGSDESERRRKRRRR >EOX96353 pep chromosome:Theobroma_cacao_20110822:1:37464904:37470950:-1 gene:TCM_005615 transcript:EOX96353 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain-containing protein / MA3 domain-containing protein isoform 1 MARQYGESSDDDSRDESGGRRNRDSRHSRSDRDRGRRGRERERNHDEKEENRRREHSGSSDEEEDKRGNRGGRSGSVREGGQERNQDGDGDENRWRERSGAFDEEDKRANRDRKQERERIRDDDVKDRRREHSGSSDEEDKRREHSGSSDEEEGKRANRDRRRESKRGGGKERNQDGDVGENRQRERPGSFDEEDNRANRDRKRERQRIHDGDDEEGDKLDNRDRRREREGIRDDDGKSKRREHSRSSDEEEDKHAARDRKRERERLRDDDRNRRRDAVEENSESSDEEEKRGKRDRRGERDRRNRHDNGHRDRHNHRQRESEEDRYRHRDRHRHSRHDSDDDEDYRRRSQRNKERENKSDRRDNDNQPSRRSRDDNSFKEKEEGEIKQKQPALQQNNLNGDTANLGRSGGVYIPPFKLARMMKETEDKSSVEYQRLTWDALRKSINGLVNKVNATNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAAFVAVVNTKFPEVGDLLLRRIVLQLKRAYKRNDKPQLLAAVKFIAHLVNQQVAHEIIALELLTVLLENPTDDSVEVAVGFVTECGSLLQDLSPKGLHGIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRSELDLVEHEDQLTHEISLQDEIDPEITLDIFKPDPQFLENEKRYEELKKTILGEESDDEEGSDVASGDEEDDDDDDETDEEDEQQMKIRDETETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCVMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFDKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRRLNERLSDPTMLDSFESIFPRDNPKNTRFSINFFTSIGLGGITENLREYLKNMPRLIMQQQKTASDSEAEPESGSSSSSESGGSSSSDSETASSDSESNSSGSDESERRRKRRRR >EOX96355 pep chromosome:Theobroma_cacao_20110822:1:37465386:37470576:-1 gene:TCM_005615 transcript:EOX96355 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain-containing protein / MA3 domain-containing protein isoform 1 MARQYGESSDDDSRDESGGRRNRDSRHSRSDRDRGRRGRERERNHDEKEENRRREHSGSSDEEEDKRANRDRRRESKRGGGKERNQDGDVGENRQRERPGSFDEEDNRANRDRKRERQRIHDGDDEEGDKLDNRDRRREREGIRDDDGKSKRREHSRSSDEEEDKHAARDRKRERERLRDDDRNRRRDAVEENSESSDEEEKRGKRDRRGERDRRNRHDNGHRDRHNHRQRESEEDRYRHRDRHRHSRHDSDDDEDYRRRSQRNKERENKSDRRDNDNQPSRRSRDDNSFKEKEEGEIKQKQPALQQNNLNGDTANLGRSGGVYIPPFKLARMMKETEDKSSVEYQRLTWDALRKSINGLVNKVNATNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAAFVAVVNTKFPEVGDLLLRRIVLQLKRAYKRNDKPQLLAAVKFIAHLVNQQVAHEIIALELLTVLLENPTDDSVEVAVGFVTECGSLLQDLSPKGLHGIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRSELDLVEHEDQLTHEISLQDEIDPEITLDIFKPDPQFLENEKRYEELKKTILGEESDDEEGSDVASGDEEDDDDDDETDEEDEQQMKIRDETETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCVMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFDKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRRLNERLSDPTMLDSFESIFPRDNPKNTRFSINFFTSIGLGGITENLREYLKNMPRLIMQQQKTASDSEAEPESGSSSSSESGGSSSSDSETASSDSESNSSGSDESERRRKRRRR >EOX90707 pep chromosome:Theobroma_cacao_20110822:1:409562:411063:-1 gene:TCM_000097 transcript:EOX90707 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein, putative MQEKQTRLYLTSVLLVSSFNMENVTPELALLPGFRFHPTEEELVDYYLKGTAMGKIFHSGIIGFLNIYKHNPWDLPGMAEIGEREWYFFVPRERRAGHGGKPNRTTETGYWKATGSDRHIRCPKEPRKTVGLRKTLVFYTGKAPKGRRTDWVMNEYRLISNTNIATEDIVLAKIYRKATSLRVLEQRAEELVRTSHQSLPSNGASQSNFDAPLSLQGLDFGAERGEELFEAAKKELQKDANSEALTRVGSMPAAEPIMDWTSESVWAQLRSPSSYAMW >EOX90913 pep chromosome:Theobroma_cacao_20110822:1:1015568:1026078:1 gene:TCM_000253 transcript:EOX90913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear receptor binding set domain containing protein 1, nsd, putative isoform 1 MENCQQVQSSTALYKPCLQDNGGGDVVGNNGDSLNRDCLQGAEFMSVDQCQKVPEMDDSQLVGNADVAVRGDAGAATETGAGGEVKVVEQSAGKRRRGRPPRNQVRTTLSSAPPPPPPQKKKNDEEDVCFICFDGGSLVLCDRRGCPKAYHPACIKRDEAFFKSKAKWNCGWHICSTCQKASYYMCYTCTYSLCKNCTKDADYVNVRGNKGFCGTCLRTVMLIENSTSGNNEMVQVDFDDRTSWEYLFKVYWIVLKEKLSLSLDELTKAKNPWKETAVMGTKGESSCELLNNGSNAKGANMDKSCGDLGASNSKRRKTMKQQKFLNKAESLGAEKAGVMKGMPLPEGTIWATKELLEFVAHMRNGDTSVLSQFDVQALLLEYITRSNLRDPRQKSHIVCDSRLIKLFGKERVGHFEMLKLLESHFLIQDHSRAIDTIRGRGTKAVATQLAVDGNSDSQPIIANDKRRKTRKKVDERGQKANPDDFAAIDVHNTNLIYLKRNLMENLVNDADKFNEKVVGSFVRIRIPGSDWKQDTYRLVQVVGTRKVAEPYKIGARTIDVMLEILNLDKKEVVSIDGISDQEFSEDECQRLHQSIKCGLIKWFTVGEIQEKAMALQAVRVNDWLESEILRIKNLRDRANEKGHLKELRECVEKLQLLNSPVERQRRLHETPEIHSDPNMNLYLKSEEVARELDEKKKENNMKSRNSGFGVKEKEPASPLKGGDVFSDIGSRENSIPHSKGLEPSVNNVETEKIWHYQDPLGKIQGPFAMTMLRRWSKSGHFPPELRIWRVSEKQDDSILLVDALCGRNSQEQQLFHNSCLPTEDIKVASDDRSKNGDGDVRESGDMKVNQMESKMVEGSSNSMQNDTSGHCCGNNESARSKELGSQSSPCTAPMDVVNSNAAQTRCSLPHRDSVKGDNDFPCQPQVSSSLPSSTLSGEPCETQSRQLSEGHGVERWDCGSINMNENLKQTSEGQIIAGNVKQDDSEGKSGKSCGQNWRSPPLHDSSNGWDPNSGLISLAKALEASEHNQGIDFPDLPTSTSKLTHEDSKSQATENKQSLSSNVPHQDSGPSWSTASSLVGNGPQLPGVAGEWGGYSSTPAKPSAEEWDSELVPESSLKRTDLASDHAATPTSGSGQLTHSSPTDPANNPSGWDSIVPEQHEYSLGDESVSDLLAEVEAMESLNGLASPTSILRCDGELAQGSEPDCFSPVGGLSPAPDPGKSDALSSTNDLQKPSQSTVTNEPFGVSQSEVLDAQKSSGGHSSTSADMDEDPRPSDVSVNQYEAGSDMPPAAPPVTTWAMATVDNAWRSGPETTGTNWGAAQGNAHFNWGGLGQGTPNVNWGTVQGTFQGNGSINSGTSAGNPPIWGSQPRYSGPRDRDFQGRDSSFGRGRSLWNRQSSLSSSYGGPNGVCSFRPPPKGQRVCKFYESGYCKKGASCSYWHHP >EOX90914 pep chromosome:Theobroma_cacao_20110822:1:1015568:1026078:1 gene:TCM_000253 transcript:EOX90914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear receptor binding set domain containing protein 1, nsd, putative isoform 1 MENCQQVQSSTALYKPCLQDNGGGDVVGNNGDSLNRDCLQGAEFMSVDQCQKVPEMDDSQLVGNADVAVRGDAGAATETGAGGEVKVVEQSAGKRRRGRPPRNQVRTTLSSAPPPPPPQKKKNDEEDVCFICFDGGSLVLCDRRGCPKAYHPACIKRDEAFFKSKAKWNCGWHICSTCQKASYYMCYTCTYSLCKNCTKDADYVNVRGNKGFCGTCLRTVMLIENSTSGNNEMVQVDFDDRTSWEYLFKVYWIVLKEKLSLSLDELTKAKNPWKETAVMGTKGESSCELLNNGSNAKGANMDKSCGDLGASNSKRRKTMKQQKFLNKAESLGAEKAGVMKGMPLPEGTIWATKELLEFVAHMRNGDTSVLSQFDVQALLLEYITRSNLRDPRQKSHIVCDSRLIKLFGKERVGHFEMLKLLESHFLIQDHSRAIDTIRGRGTKAVATQLAVDGNSDSQPIIANDKRRKTRKKVDERGQKANPDDFAAIDVHNTNLIYLKRNLMENLVNDADKFNEKVVGSFVRIRIPGSDWKQDTYRLVQVVGTRKVAEPYKIGARTIDVMLEILNLDKKEVVSIDGISDQEFSEDECQRLHQSIKCGLIKWFTVGEIQEKAMALQAVRVNDWLESEILRIKNLRDRANEKGHLKELRECVEKLQLLNSPVERQRRLHETPEIHSDPNMNLYLKSEEVARELDEKKKENNMKSRNSGFGVKEKEPASPLKGGDVFSDIGSRENSIPHSKGLEPSVNNVETEKIWHYQDPLGKIQGPFAMTMLRRWSKSGHFPPELRIWRVSEKQDDSILLVDALCGRNSQEQQLFHNSCLPTEDIKVASDDRSKNGDGDVRESGDMKVNQMESKMVEGSSNSMQNDTSGHCCGNNESARSKELGSQSSPCTAPMDVVNSNAAQTRCSLPHRDSVKGDNDFPCQPQVSSSLPSSTLSGEPCETQSRQLSEGHGVERWDCGSINMNENLKQTSEGQIIAGNVKQDDSEGKSGKSCGQNWRSPPLHDSSNGWDPNSGLISLAKALEASEHNQGIDFPDLPTSTSKLTHEDSKSQATENKQSLSSNVPHQDSGPSWSTASSLVGNGPQLPGVAGEWGGYSSTPAKPSAEEWDSELVPESSLKRTDLASDHAATPTSGSGQLTHSSPTDPANNPSGWDSIVPEQHEYSLGDESVSDLLAEVEAMESLNGLASPTSILRCDGELAQGSEPDCFSPVGGLSPAPDPGKSDALSSTNDLQKPSQSTVTNEPFGVSQSEVLDAQKSSGGHSSTSADMDEDPRPSDVSVNQYEAGSDMPPAAPPVTTWAMATVDNAWRSGPETTGTNWGAAQGNAHFNWGGLGQGTPNVNWGTVQGTFQGNGSINSGTSAGNPPIWGSQPRYSGPRDRDFQGRDSSFGRGRSLWNRQSSLSSSYGGPNGVCSFRPPPKGQRVCKFYESGYCKKGASCSYWHHP >EOX95853 pep chromosome:Theobroma_cacao_20110822:1:35777984:35780187:-1 gene:TCM_005255 transcript:EOX95853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein MELLDKAKNFVAEKVANIKKPEASVTDVDLKHVTRECVEYNAKVSVSNPYNQPLPICQISFTLKSAGRTIASGTIPDPGSLKASDTTMLDVPVKVPYNILISLARDIGADWDIDYELELGLTIDLPLIGDITIPLSQKGEIKLPTLSDIF >EOX94256 pep chromosome:Theobroma_cacao_20110822:1:26891299:26898109:-1 gene:TCM_003813 transcript:EOX94256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MPALTPLFVLLVYLLSFLSCSCSGLQIYLASVQPVHQMSAMESIVDHARLISMRALFSRPRSPRKHVNPSFGPATAPAPSPIHQAPVASPSSHVVPRHRHGGHHHHRHVKPQASAPSPSEQGCDQICVEPLTATPFGSPCGCVFPMKVRLLLTVAPYAVFPVMNELEIEVAAGIYLQQSQVKIMGATADTQNQGRTMVEINLVPLGEKFDNTTAILTYDRLWHKRVSLNSTLFGTYEVVSISYPGIPASPPHGNFLGNGPTGSAGDLPITANFLNKNQKMNIRIIAIIVLSAFVLLLVLVGVISALIKWRKVGRPSNAVGPALPSSVNKRSGIGSIFSSSIASSASMSLMSTMATCALSVKTFALVELEKATDKFSSKRILGEGGFGRVYHGVMEDGNVVAVKLLTRDNQNGDREFIAEVEMLSRLHHRNLVKLVGICIEGRIRCLVYELVPNGSVESHLHGVDKKKGPLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLENDFTPKVSDFGLAREATEGSQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSFGVVLLELLTGRKPVDMSQPQGQENLVTWARPLLTSREGVEQLVDPSLAGTYDFDDMAKVAAIASMCVHPEVAHRPFMGEVVQALKLIYNDTDETGGDCCSQKESSAPESDFKGDFAPSDSSWWNAGGVTPRLTYGQTTSFITMEYSSGPLEEMENRQFSTSSLVGDGTSLPIRHGNRSGPLRTVRSKPSFYRLRGSMSEHGGLLPRQNWSDAYWV >EOX94257 pep chromosome:Theobroma_cacao_20110822:1:26889237:26895460:-1 gene:TCM_003813 transcript:EOX94257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MKVRLLLTVAPYAVFPVMNELEIEVAAGIYLQQSQVKIMGATADTQNQGRTMVEINLVPLGEKFDNTTAILTYDRLWHKRVSLNSTLFGTYEVVSISYPGIPASPPHGNFLGNGPTGSAGDLPITANFLNKNQKMNIRIIAIIVLSAFVLLLVLVGVISALIKWRKVGRPSNAVGPALPSSVNKRSGIGSIFSSSIASSASMSLMSTMATCALSVKTFALVELEKATDKFSSKRILGEGGFGRVYHGVMEDGNVVAVKLLTRDNQNGDREFIAEVEMLSRLHHRNLVKLVGICIEGRIRCLVYELVPNGSVESHLHGVDKKKGPLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLENDFTPKVSDFGLAREATEGSQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSFGVVLLELLTGRKPVDMSQPQGQENLVTWARPLLTSREGVEQLVDPSLAGTYDFDDMAKVAAIASMCVHPEVAHRPFMGEVVQALKLIYNDTDETGGDCCSQKESSAPESDFKGDFAPSDSSWWNAGGVTPRLTYGQTTSFITMEYSSGPLEEMENRQFSTSSLVGDGTSLPIRHGNRSGPLRTVRSKPSFYRLRGSMSEHGGLLPRQNWSDAYWV >EOX91781 pep chromosome:Theobroma_cacao_20110822:1:3824572:3829268:1 gene:TCM_000859 transcript:EOX91781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCGRGINVTKKRQRDHSWGVGEGVPRYLKKGLANGGMVHGCVSSEECEEWTNVQRHVTCHQWSNKPPLESKSTL >EOX94151 pep chromosome:Theobroma_cacao_20110822:1:23661562:23665621:-1 gene:TCM_003484 transcript:EOX94151 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein MADEVQNTASDVVPQVDEVVVVPDVPQAEKSPAAAAVGKEPPPVPESDEEPVKPKQVEEALETEVSKPDVDDQEKVPQSGSFKEESSRVADLLENEKKALEELKQLVQEALNKHESGGLAMPQQPEAAKEDEKKELVVTENEKKEVVVTEDEKEPAKEEPKDEVVTDEPPIPKTETETETETVAEAKKEPEKEETINLLETEGIEEKVAATAVSDTVEDDGAKTVEAIEETIVSVSSSVQPEQPAAAKEPAEADVVLEETQEDAKTEDQVPPEDMSIWGIPLLADERSDVILLKFLRARDFKVKDAFAMLKNTIRWRKEFGIDELIEQDLGDDLEKVVFMHGFDKEGHPVCYNVYGEFQNKELYQKTFSDEEKRQKFLRWRIQFLEKSIRILDFRPGGICTIVQVNDLKNSPGPAKWELRQATKQALQLLQDNYPEFVARQVFINVPWWYLAVNRMISPFLTQRTRSKFVFAGPSKSAETLFRYIAAEQVPVKYGGLSKDGEFANTDAVTEITVKPSAKHTVEFPVTETCLLTWEVRVVGWDVSYGAEFVPSAEDSYTVIIQKARKVASTEEPVVCNNFKIGEPGKVILTIDNPTSKKKKLLYRLKTKPTSD >EOX96221 pep chromosome:Theobroma_cacao_20110822:1:37042443:37044182:-1 gene:TCM_005518 transcript:EOX96221 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein MEGILSATDEQRMVSSFLEIAVGQTAETATQFLQATSWKLDEAIQLFYVGNEGGVVGSSSVSRQAENMDSWAYQNSGALNEHDNVGSTGGDAVRPPLPVVRETLHDDAMLHGASRLGYPPQEFRNFDEETIRPGVWQSDEGASSTVDAPRDNLASLYRPPFHLMFQGPFRNAKAAASLEDKWLVVNLQSTKEFSSHMLNRDTWGNETVSQTIKTNFIFWQAYDDASEGRKVCTYYKLDSIPVVLVIDPITGEKMRSRCGMVEPESLLEDLVRFMDGGPRDYHATLSLKRPRGSSVTPQQRVKMSTDETMEDEEMLRAVAASLENVKDKEEPCSTKKPTYPPLPEEPKGDRSLLCRVGVRLPGGRRVQRNFLRTDSIQLLWSFCYAQLGEAESKPFRLTQPIPGASKSLDYDSKLTFEESGLANSMISVAWE >EOX91863 pep chromosome:Theobroma_cacao_20110822:1:4195758:4202154:1 gene:TCM_000924 transcript:EOX91863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MVELLVLIGRVSLVVGESSATCIHWIPDDQWFRDRKSCRNLNKGIERVRIFDIESGKRCYSLPTIKDQDYLIRGSFPVGETEGAQLDSLFRVSIGVTPLHLVNSSEGLVVEGIFRAADSYTDFCLVHEKGDPYISSLELRHLNGSAYLKDKSSSILKLLNRTDLGGIEETRYPEDGYDRIWKPASSLYSPANSSITIHNNANTTVPLKVLQTAVTDSNRLEFLQNGLDNEDYNYTVILYFLELNDTVTRIGQRVFDIYINNERKEENFDILANGSNYREGFFNLTAKGSLNLTLAKVSNRSEFGPICNAFEILQVRPRDQQTDYDDVIVIKKVKEELLLLNQGNTLIDSWSGDPCLPDHWQGLTCNSNGSTVITDLDLSASKFQGPIPPSITELTHLKTLNLSDNYFRGEIPSFPQSSNLTSVDISKNELVGSLPESLISLPNLSILYYGCNPQLDNDLPYSLNSSKLTTDSGACHRKSSGPTKGIVIGTAACGSAVVTIALGTVIVCLYRQKLMARRKCNRNRISTAKNPMFSMPSTDDVVVKSISIQTYTLEDIEIATQKYRTLIGEGGFGSVYQGTLPDGQEVAVKVRSATSTQGTREFENELNLLSAIRHENLVPLLGYCCENDQQILVYPFMSNGSLQDRLYGEAAKRKILDWPTRLSIALGLMYLHTYAGRSVIHRDVKSSNILLDNSMCAKVADFGFSKYAPQEGDSNASLEVRGTAGYMDPEYYSTQQLSAKSDVFSFGVVLLEIISGREPLNIQRPRNEWSLVEWAKPYIRESKIDEIVDPNIKGGYHAEAMWRVVEAALACIEPFSAYRPCMADIIRELEDALIIENNASEYMKSIDSIYSLGGSNRYSINMEKKMALMPTPTTSEPSPINTQALAPPEPR >EOX95645 pep chromosome:Theobroma_cacao_20110822:1:35031195:35033854:1 gene:TCM_005099 transcript:EOX95645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGLIRNDEKIFFHFMVEYSLYIKSYFEEGAYVGRMGDAVDRRNPL >EOX95416 pep chromosome:Theobroma_cacao_20110822:1:34158683:34163187:1 gene:TCM_004923 transcript:EOX95416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MSCFGCCEEDDFHKAADNGGQYMVKNSAGNPVVDIHIEADKIAYNIFTIVKWTLLIVCLSIGVIWVEFAHSGNDGGYHTSETASKSAQTVKVQPIEVPAIPADELKEITDNFGTNSLIGEGSYGRVYYGVLKSGQAAAIKKLDASKQPDDEFLAQVSMVSRLKHENFVQLLGYCVDGSSRILAYEFASNGSLHDILHGRKGVKGAQPGPVLTWAQRVKIAVGAAKGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVRQCVDQRLGGEYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARPGPAGETPST >EOX94505 pep chromosome:Theobroma_cacao_20110822:1:29233925:29242779:-1 gene:TCM_004107 transcript:EOX94505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) polymerase 2 isoform 1 MANPPKPWKAEYAKSGRSSCKTCKNTIDKEVFRLGKMVPATQFDGFMPMWNHANCVLKKANQIKSIDDVEGIESLRWEDQQRVRNYVEDGGPANTKAVTLTAMEYAIEVSQTSRATCKHCGQKIMKEEVRISTKPKGQGSKGLVWNHAICFMELSPATQVEKFPGWESLSSSDQATVRALVKKVPSSAKNDKGTEVPEDKQLQSTSRAGTKRKKNVGDDQNSKVTKLEGDVPTSRVGSTKNTSDLNKKPKDSDLESKMEAQTKELWALKDDLKKHVTTGELREMLEANGQDATGSELDLRDHCADGMMFGALGKCPMCSGSLRFSGGMYRCHGYLSAWSKCSYSSYEPEHVKGKWKVPDETNNEFLRKWFKSQKIKKPVRILPPSASSSQAANGQSQTSKVESLADLKVSIAGLPQESMEEWKGKIKGAGGIVHAKIKKDTNCFVVSGELDGHDAEVRKARRMKLPIVREDYLVDCFKRQKKLPFDLYKVEAIGEASSMVTVKVKGRSAVHEASGLQDSCHILEDGRSIYNTTLNMSDLSTGVNSYYVLQIIQEDKASDCYVFRKWGRVGNEKIGGNKLEEMSKLDAISEFKRLFLEKTGNTWEAWEQKQNFQKQPGRFFPLDIDYGVNKQVSKNKHSDADSRLPPPLLDLMKMLFNVETYRAAMMEFEINMSEMPLGKLSKSNIQKGFEALTEIQNLLNSNAYDPSVKESLIIDASNRFFTVIPSIHPHVIRDEDDFKSKVKMLEALEDIEIASRIVGFDNNSDDSLDEKYKKLNCDVVPLPHDSEEYRLIEKYLLTTHAPTHTDWTLELEEVFSLEREGEFDKFAPYREKLINRMLLWHGSRLTNFVGILSQGLRIAPPEAPATGYMFGKGIYFADLVSKSAQYCYTHKQSPVGLMLLSEVALGEVYELTKAKYIEKLPKGKHSTKGLGKKVPQESEFVKWKDNIIVPCGKPVSSRVKASELMYNEYIVYNTAQVKMQFLLKVRFHHKR >EOX94506 pep chromosome:Theobroma_cacao_20110822:1:29236000:29242779:-1 gene:TCM_004107 transcript:EOX94506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) polymerase 2 isoform 1 MANPPKPWKAEYAKSGRSSCKTCKNTIDKEVFRLGKMVPATQFDGFMPMWNHANCVLKKANQIKSIDDVEGIESLRWEDQQRVRNYVEDGGPANTKAVTLTAMEYAIEVSQTSRATCKHCGQKIMKEEVRISTKPKGQGSKGLVWNHAICFMELSPATQVEKFPGWESLSSSDQATVRALVKKVPSSAKNDKGTEVPEDKQLQSTSRAGTKRKKNVGDDQNSKVTKLEGDVPTSRVGSTKNTSDLNKKPKDSDLESKMEAQTKELWALKDDLKKHVTTGELREMLEANGQDATGSELDLRDHCADGMMFGALGKCPMCSGSLRFSGGMYRCHGYLSAWSKCSYSSYEPEHVKGKWKVPDETNNEFLRKWFKSQKIKKPVRILPPSASSSQAANGQSQTSKVESLADLKVSIAGLPQESMEEWKGKIKGAGGIVHAKIKKDTNCFVVSGELDGHDAEVRKARRMKLPIVREDYLVDCFKRQKKLPFDLYKVEAIGEASSMVTVKVKGRSAVHEASGLQDSCHILEDGRSIYNTTLNMSDLSTGVNSYYVLQIIQEDKASDCYVFRKWGRVGNEKIGGNKLEEMSKLDAISEFKRLFLEKTGNTWEAWEQKQNFQKQPGRFFPLDIDYGVNKQVSKNKHSDADSRLPPPLLDLMKMLFNVETYRAAMMEFEINMSEMPLGKLSKSNIQKGFEALTEIQNLLNSNAYDPSVKESLIIDASNRFFTVIPSIHPHVIRDEDDFKSKVKMLEALEDIEIASRIVGFDNNSDDSLDEKYKKLNCDVVPLPHDSEEYRLIEKYLLTTHAPTHTVSPFSCDWTLELEEV >EOX94504 pep chromosome:Theobroma_cacao_20110822:1:29233833:29243935:-1 gene:TCM_004107 transcript:EOX94504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) polymerase 2 isoform 1 MANPPKPWKAEYAKSGRSSCKTCKNTIDKEVFRLGKMVPATQFDGFMPMWNHANCVLKKANQIKSIDDVEGIESLRWEDQQRVRNYVEDGGPANTKAVTLTAMEYAIEVSQTSRATCKHCGQKIMKEEVRISTKPKGQGSKGLVWNHAICFMELSPATQVEKFPGWESLSSSDQATVRALVKKVPSSAKNDKGTEVPEDKQLQSTSRAGTKRKKNVGDDQNSKVTKLEGDVPTSRVGSTKNTSDLNKKPKDSDLESKMEAQTKELWALKDDLKKHVTTGELREMLEANGQDATGSELDLRDHCADGMMFGALGKCPMCSGSLRFSGGMYRCHGYLSAWSKCSYSSYEPEHVKGKWKVPDETNNEFLRKWFKSQKIKKPVRILPPSASSSQAANGQSQTSKVESLADLKVSIAGLPQESMEEWKGKIKGAGGIVHAKIKKDTNCFVVSGELDGHDAEVRKARRMKLPIVREDYLVDCFKRQKKLPFDLYKVEAIGEASSMVTVKVKGRSAVHEASGLQDSCHILEDGRSIYNTTLNMSDLSTGVNSYYVLQIIQEDKASDCYVFRKWGRVGNEKIGGNKLEEMSKLDAISEFKRLFLEKTGNTWEAWEQKQNFQKQPGRFFPLDIDYGVNKQVSKNKHSDADSRLPPPAAMMEFEINMSEMPLGKLSKSNIQKGFEALTEIQNLLNSNAYDPSVKESLIIDASNRFFTVIPSIHPHVIRDEDDFKSKVKMLEALEDIEIASRIVGFDNNSDDSLDEKYKKLNCDVVPLPHDSEEYRLIEKYLLTTHAPTHTDWTLELEEVFSLEREGEFDKFAPYREKLINRMLLWHGSRLTNFVGILSQGLRIAPPEAPATGYMFGKGIYFADLVSKSAQYCYTHKQSPVGLMLLSEVALGEVYELTKAKYIEKLPKGKHSTKGLGKKVPQESEFVKWKDNIIVPCGKPVSSRVKASELMYNEYIVYNTAQVKMQFLLKVRFHHKR >EOX95541 pep chromosome:Theobroma_cacao_20110822:1:34606338:34608702:-1 gene:TCM_005014 transcript:EOX95541 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein, putative MNFVLEEKGYCNNEEEDDEEVIRETSNFPFSSSSSSASSKYKDIAPQQHQNLWLRTLDSQADYKSQESAINFDKKLELMDLSLGNNNEESNIGSCRGGDSSRSIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSSNEKGLLLNFEDRNGKSWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFQRGVGESGKDRLFIDWRRRPNAPDPTSLAHFQLQNQLNFPQSVRWGRLYSLPPQPMCMPRNYEPLHRLNYSIYPYNHHHHHQQQQQLQLQQQQQQHHQAFTYGNVAQYHLRSSSGSHHHHQYHQIGAVQEGGGEPMVIDSVPVVQGNKTAAKRLRLFGVNMECPTQDESSSTFPHGTNTIGSDDSPHFSFSSLQSRLSNNNNTPLSGMEAEYSKKGKSSLSIDLDL >EOX91588 pep chromosome:Theobroma_cacao_20110822:1:3205412:3205906:-1 gene:TCM_000727 transcript:EOX91588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSISKLVDQQSILDPHFHKVLCRQLIHFNQMVDP >EOX95152 pep chromosome:Theobroma_cacao_20110822:1:33064279:33073559:1 gene:TCM_004705 transcript:EOX95152 gene_biotype:protein_coding transcript_biotype:protein_coding description:RELA/SPOT isoform 1 MASAPSLSVSVQCVNMCKLTKGEGSGRYDCSVLSCAWKAPRVLTGFLASTANPSHSSSFAYTRYGSRNRIKSVSLFFFFPLCLCDNVCAGSFFCFHQALDGGGCYSADISEFVLLRKLFKSSLLYVGCKRWQLHCSSSVSSEGSDDVSPERLWEDLKPTISYLSPKELELVYNALRLAFEAHDGQKRRSGEPFIIHPVEVARILGELELDWESIAAGLLHDTVEDTNVVTFERIEEEFGPTVRRIVEGETKVSKLGKLKYKNENDSVKDVKADDLRQMFLAMTEEVRVIIVKLADRLHNMRTLSHMPLHKQSSIAMETLQVFAPLAKLLGMYQIKSELENLSFMYTNPEDYAKVKRRVADLYKEHEKELVEADKILMKKIENDQFLDLMTLKTEIRAVCKEPYSIYKSVLKSKGSISEVNQIAQLRIIIKPKPSVGVGPLCSPQQICYHVLGLVHGIWTPVPRAMKDYIATPKPNGYQSLNTTVIPFLYESMFRLEVQIRTEEMDLIAERGIAAHYSGRVFVTGLVGHAVPNGRSSRGKTVCLNNANIALRVGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRIFVFTPRGEIKNLPRGATVIDYAYMIHTDIGNKMVAAKVNGNLVSPMHVLANAEVVEIITYNALSSKSAFQRHKQWLQHAKTHSARHKIMKFLREQAALSAAEITTDRVNDFIADSEEESELEEPSHISRWSKPLWEKILRNVVDFSSPGRSCEDALMAKNGSIWVPKVNGKHNKHMQQVSLKANGDLLSLGNGAANMIPANIPPHKEVLPGLESWQASKIASWHNLEGHSIQWFSVVCIDRRGIMADVTTALAAVGITICSCVAEIDRGRGMAVMLFHVEADLEILVDACSRVDLILGVLGWSIGCSWPSSIQNDQLREC >EOX95153 pep chromosome:Theobroma_cacao_20110822:1:33064428:33073490:1 gene:TCM_004705 transcript:EOX95153 gene_biotype:protein_coding transcript_biotype:protein_coding description:RELA/SPOT isoform 1 MASAPSLSVSVQCVNMCKLTKGEGSGRYDCSVLSCAWKAPRVLTGFLASTANPSHSSSFAYTRYGSRNRIKSALDGGGCYSADISEFVLLRKLFKSSLLYVGCKRWQLHCSSSVSSEGSDDVSPERLWEDLKPTISYLSPKELELVYNALRLAFEAHDGQKRRSGEPFIIHPVEVARILGELELDWESIAAGLLHDTVEDTNVVTFERIEEEFGPTVRRIVEGETKVSKLGKLKYKNENDSVKDVKADDLRQMFLAMTEEVRVIIVKLADRLHNMRTLSHMPLHKQSSIAMETLQVFAPLAKLLGMYQIKSELENLSFMYTNPEDYAKVKRRVADLYKEHEKELVEADKILMKKIENDQFLDLMTLKTEIRAVCKEPYSSIYKSVLKSKGSISEVNQIAQLRIIIKPKPSVGVGPLCSPQQICYHVLGLVHGIWTPVPRAMKDYIATPKPNGYQSLNTTVIPFLYESMFRLEVQIRTEEMDLIAERGIAAHYSGRVFVTGLVGHAVPNGRSSRGKTVCLNNANIALRVGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRIFVFTPRGEIKNLPRGATVIDYAYMIHTDIGNKMVAAKVNGNLVSPMHVLANAEVVEIITYNALSSKSAFQRHKQWLQHAKTHSARHKIMKFLREQAALSAAEITTDRVNDFIADSEEESELEEPSHISRWSKPLWEKILRNVVDFSSPGRSCEDALMAKNGSIWVPKVNGKHNKHMQQVSLKANGDLLSLGNGAANMIPANIPPHKEVLPGLESWQASKIASWHNLEGHSIQWFSVVCIDRRGIMADVTTALAAVGITICSCVAEIDRGRGMAVMLFHVEADLEILVDACSRVDLILGVLGWSIGCSWPSSIQNDQLREC >EOX91584 pep chromosome:Theobroma_cacao_20110822:1:3195391:3196466:1 gene:TCM_000724 transcript:EOX91584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFSARATYSSVNKGFIYFLIFLWIEFVLMTDYVSLVHVIPLEACQEICTEWMDMGNMASVLRLYQYSISRLRFWKRLNFLKFSPRACKFDLVCELLKPFSFCLVWCYLAELYNPALDFVALGSLFWLKAYILRLQW >EOX94337 pep chromosome:Theobroma_cacao_20110822:1:27917352:27926805:1 gene:TCM_003925 transcript:EOX94337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Set domain protein, putative isoform 2 MAPNPRVVQAFRSMKEIGITEEKVKPVLKKLLKVYDKNWELIAAENYRVLADAIFEEEDNKVSEPKKGQKCDEEEDTMEEGAVPDELVRPLKRIRLKNQEGLASSSHNNGSTDVAGPFLKKPKVEEDELPPASLRQQSLQCNVGNMTECLPASPGCVSLQPTAPGPVSPHQGGRNKGKQPVAPMPLAVLEGYDQNLHSTQMHVSYKGKEPMSPHVTYNEKGPERVSLALCIKDPAPCPGIITKKRMPDTHALIIPKEEPFTDDMPQDEVPIAVIHPDSLSRRDSPIGHVSTGKSNWQEHPESLFADENVGAGASASMSERHISCELATVPDEIPSSLEIASSPLGEVKISLSYNSALGRSNFQLPSIDELRELMEQRCLRSYKLIDPTFDVIKILNDMCECISELATNSSNQSQEGNVMPALDLLKKSPARDALDAESNKENGCLPAKMLNGALDVQCSSNGCVDNVEGKELVVVQQHQLTSNELRWLHDASDITKGEEKVEISWVNEINKDFPPPFHYISENLVFQNAYVKFSLSRIGDESCCPTCFGDCLLSEQPCACACQAGGKFVYTSAGVVREDFLEECISMTRDPQRQCFLNCTECPLERSKKDDFPEPCKGHLKRKVIKECWSKCGCNKQCGNRVVHRGVNYKLQVFLTPDGKGWGLRTLEKLPKGAFICEFVGEILTISELYARNTEKHTCPILLDAYWGLKGVSKDEEALCLDATGYGNVARFINHRCLDANLIEIPVEVETPDLHYYHLAFFTTREIDALEELTWVNSISYSNLTLPVPYPFVISSLLIHKGDYGIDFDDLDHPVKAFRCRCGSKFCRNMKRSTTSIPGILFRESFDAKSLHPFNLSKNWGCHLQANAFVEGINLQ >EOX94338 pep chromosome:Theobroma_cacao_20110822:1:27917565:27925297:1 gene:TCM_003925 transcript:EOX94338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Set domain protein, putative isoform 2 MAPNPRVVQAFRSMKEIGITEEKVKPVLKKLLKVYDKNWELIAAENYRVLADAIFEEEDNKVSEPKKGQKCDEEEDTMEEGAVPDELVRPLKRIRLKNQEGLASSSHNNGSTDVAGPFLKKPKVEEDELPPASLRQQSLQCNVGNMTECLPASPGCVSLQPTAPGPVSPHQGGRNKGKQPVAPMPLAVLEGYDQNLHSTQMHVSYKGKEPMSPHVTYNEKGPERVSLALCIKDPAPCPGIITKKRMPDTHALIIPKEEPFTDDMPQDEVPIAVIHPDSLSRRDSPIGHVSTGKSNWQEHPESLFADENVGAGASASMSERHISCELATVPDEIPSSLEIASSPLGEVKISLSYNSALGRSNFQLPSIDELRELMEQRCLRSYKLIDPTFDVIKILNDMCECISELATNSSNQSQEGNVMPALDLLKKSPARDALDAESNKENGCLPAKMLNGALDVQCSSNGCVDNVEGKELVVVQQHQLTSNELRWLHDASDITKGEEKVEISWVNEINKDFPPPFHYISENLVFQNAYVKFSLSRIGDESCCPTCFGDCLLSEQPCACACQAGGKFVYTSAGVVREDFLEECISMTRDPQRQCFLNCTECPLERSKKDDFPEPCKGHLKRKVIKECWSKCGCNKQCGNRVVHRGVNYKLQVFLTPDGKGWGLRTLEKLPKGAFICEFVGEILTISELYARNTEKHTCPILLDAYWGLKGVSKDEEALCLDATGYGNVARFINHRCLDANLIEIPVEVETPDLHYYHLAFFTTREIDALEELTWVSEWDYGIDFDDLDHPVKAFRCRCGSKFCRNMKRST >EOX94339 pep chromosome:Theobroma_cacao_20110822:1:27917665:27924032:1 gene:TCM_003925 transcript:EOX94339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Set domain protein, putative isoform 2 MAPNPRVVQAFRSMKEIGITEEKVKPVLKKLLKVYDKNWELIAAENYRVLADAIFEEEDNKVSEPKKGQKCDEEEDTMEEGAVPDELVRPLKRIRLKNQEGLASSSHNNGSTDVAGPFLKKPKVEEDELPPASLRQQSLQCNVGNMTECLPASPGCVSLQPTAPGPVSPHQGGRNKGKQPVAPMPLAVLEGYDQNLHSTQMHVSYKGKEPMSPHVTYNEKGPERVSLALCIKDPAPCPGIITKKRMPDTHALIIPKEEPFTDDMPQDEVPIAVIHPDSLSRRDSPIGHVSTGKSNWQEHPESLFADENVGAGASASMSERHISCELATVPDEIPSSLEIASSPLGEVKISLSYNSALGRSNFQLPSIDELRELMEQRCLRSYKLIDPTFDVIKILNDMCECISELATNSSNQSQEGNVMPALDLLKKSPARDALDAESNKENGCLPAKMLNGALDVQCSSNGCVDNVEGKELVVVQQHQLTSNELRWLHDASDITKGEEKVEISWVNEINKDFPPPFHYISENLVFQNAYVKFSLSRIGDESCCPTCFGDCLLSEQPCACACQAGGKFVYTSAGVVREDFLEECISMTRDPQRQCFLNCTECPLERSKKDDFPEPCKGHLKRKVIKECWSKCGCNKQCGNRVVHRGVNYKLQVFLTPDGKGWGLRTLEKLPKGAFICEFVGEILTISELYARNTEKHTCPILLDAYWGLKGVSKDEEALCLDATGYGNVARFINHRCLDANLIEIPVEVETPDLHYYHLAFFTTREIDALEELTWVSE >EOX93931 pep chromosome:Theobroma_cacao_20110822:1:17506292:17513064:-1 gene:TCM_002929 transcript:EOX93931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MALKCFWVSLTLFCGFWVVVFCDQDESQGRNCYKLPVNNVSSVVLVRAQFVYKNYDGRWKPPAFSVSLGTAITSTVNLTNKDPWNEEFIWPVSKDTPPFCLNAIPMGGSPVISSLEVRPLPQGAYQGGMEDFPNKALRKCYRINSGYTNGSLRYPVDPFDRIWDADQSYTPFHVSSGFDILLSFNLSSQKESPPLDVLQTARVLARGEVLYYNLPLQTLGDYYIVLYFAGILPVSASFDILINGDVQQSDCTVSTSEASTLYFTKKGVTSLDIALRSIRFYPQINAFEVYEIINIPPEASSTTVSALQVIEQSTGFDLGWQDDPCFPTPWDHIECEGSVVTSLDLSGINLRSISPTFGDLLDLKILNLHNTSLSGAIQNLGSLQHLEKLNLSFNELTSFGSDLDNLVNLQVLDLHNNSLQGIVPDSLGELENLHLLNLENNKLQGTLPLSLNRESLEVRTSGNLCLSFSTMACNDVSSDPSIETPQVTIVTNRKHTRRSHLLIILGATGGALFALLLTSLLLLLYIKKRKTEATYTTSPAIDMRNWNAARIFSYKEIKAATNNFKEVIGRGSFGSVYLGKLSDGKLVAVKVRFDRTQLGADSFINEVHLLSQIRHQNLVCLEGFCHESKQQILVYEYLPGGSLADHLYGPNSQKVSLGWVRRLKIAVDAAKGLDYLHNGSDPRIIHRDIKCSNILLDGQMNAKVCDFGLSKQVTQADASHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLTHSGTPDSFNLVLWAKPYLQAGALEIVEDSLKGSFDVESMRKAALIAVRSVERDASRRPTIAEVLAELKEAYSIQLSYLAALGHSG >EOX93930 pep chromosome:Theobroma_cacao_20110822:1:17506403:17513064:-1 gene:TCM_002929 transcript:EOX93930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MALKCFWVSLTLFCGFWVVVFCDQDGFLSLSCGGARSYIDSSKITWVPDNTFISTGNTTTVEYVEGTSSSSVPLRFFPESQGRNCYKLPVNNVSSVVLVRAQFVYKNYDGRWKPPAFSVSLGTAITSTVNLTNKDPWNEEFIWPVSKDTPPFCLNAIPMGGSPVISSLEVRPLPQGAYQGGMEDFPNKALRKCYRINSGYTNGSLRYPVDPFDRIWDADQSYTPFHVSSGFDILLSFNLSSQKESPPLDVLQTARVLARGEVLYYNLPLQTLGDYYIVLYFAGILPVSASFDILINGDVQQSDCTVSTSEASTLYFTKKGVTSLDIALRSIRFYPQINAFEVYEIINIPPEASSTTVSALQVIEQSTGFDLGWQDDPCFPTPWDHIECEGSVVTSLDLSGINLRSISPTFGDLLDLKILNLHNTSLSGAIQNLGSLQHLEKLNLSFNELTSFGSDLDNLVNLQVLDLHNNSLQGIVPDSLGELENLHLLNLENNKLQGTLPLSLNRESLEVRTSGNLCLSFSTMACNDVSSDPSIETPQVTIVTNRKHTRRSHLLIILGATGGALFALLLTSLLLLLYIKKRKTEATYTTSPAIDMRNWNAARIFSYKEIKAATNNFKEVIGRGSFGSVYLGKLSDGKLVAVKVRFDRTQLGADSFINEVHLLSQIRHQNLVCLEGFCHESKQQILVYEYLPGGSLADHLYGPNSQKVSLGWVRRLKIAVDAAKGLDYLHNGSDPRIIHRDIKCSNILLDGQMNAKVCDFGLSKQVTQADASHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLTHSGTPDSFNLVLWAKPYLQAGALEIVEDSLKGSFDVESMRKAALIAVRSVERDASRRPTIAEVLAELKEAYSIQLSYLAALGHSESWFLGR >EOX91779 pep chromosome:Theobroma_cacao_20110822:1:3803056:3823927:-1 gene:TCM_000856 transcript:EOX91779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MTQMSWTIQTFRNLLKTCITHRDLLTGKSLHALYIKSLIPSSTYLSNHFILLYSKCGHLTAAHNAFHQTQDPNTFSFNAIIAAYAKESFPFVAHQLFDQIPQPDLVSYNTLISAYADCGKTEPALGLFKKMRELGFEMDGFTLSGVITACLNDLFLLRQLHCFLVFCGFHSYASVNNALLSCYSKEGLLEEAKRVFYGMGEGKDEVSWNSMIVAYGQHKEGAKALALFQEMVRIGLVVDMFTLASVLTAFTSLEDLLGGLQFHAMLIKTGFHQNAHVGSGLIDLYSKCGGGMSDCRKVFDEVSGPDLVLWNTVISGYSLNEELAEEALECFQEMRCVGYHPDDCSFVNVISACSNLSSPSQGRQIHALAIKSETHNRIQVNNALVAMYSKCGNLHDARWLFDRMPEHNTVSLNSMIAGYAEHGIGMESLLLFEQMLERNISPTSVTFISVLSACAHTGKLEEGQKYFNIMKEKFGIEPEVEHYSCMIDLLGRAGKLSEAERLIETMPFSPGSIGWAALLSACKMHGNIELASRAANQLLELEPSNAVPYVMLANMYASSGKWEEAATVRKLMRDRGVRKKPGCSWIEVNKRIHVFVAEDISHPMIKEIYQYLEEMGKKMRLAGYVPDLRWTLVKDDEIEPGEKEIRLGQHSEKLAVAFGLLSTKDGEPILVIKNLRICGDCHNAIKFISAIAGREITVRDTRRFHSFKDGKCSCGDYW >EOX96544 pep chromosome:Theobroma_cacao_20110822:1:38203056:38206763:-1 gene:TCM_005778 transcript:EOX96544 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAC-like 9 isoform 2 MSTATAAAAATQTKFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVMVDGRTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRPSYENVSKKWVPELRHYAPSVPIVLVGTKLDLREDRQFHLDYPGACTISTEQQGLELKKQIGALAYIECSSKTQQNVKAVFDAAIKVVLQPPKQKRQKKKSRICRVL >EOX96543 pep chromosome:Theobroma_cacao_20110822:1:38202438:38207041:-1 gene:TCM_005778 transcript:EOX96543 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAC-like 9 isoform 2 MSTATAAAAATQTKFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVMVDGRTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRPSYENVSKKWVPELRHYAPSVPIVLVGTKLDLREDRQFHLDYPGACTISTEQGLELKKQIGALAYIECSSKTQQNVKAVFDAAIKVVLQPPKQKRQKKKSRICRVL >EOX92332 pep chromosome:Theobroma_cacao_20110822:1:6334270:6338145:-1 gene:TCM_001294 transcript:EOX92332 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein isoform 1 MGANRKRRVKALEPHSEIDATNGCNGVEKSGKRSVRQQFQRATGKIEKDEHSSPSGRGEALTSRLETKITSCVEESTELPVLDINTGEKLHPSAKIKLQLFPIDESTRLGLEKDGFHPYLELTLSARKKISSVLKHLDSKWGSSSIAVGEPMLFPYNIAENLASYRWTKNDICISARDVHLTIGSPTVFRLRYGWMSDPEMKTLGQPPALAPFNASSKFEDVQKDCNSNMQKTYGIGEKTELRGDESEKPVIKSDEIDAVVAEKMPSNGAVDSMDTEVKIDSGIGQSLALWADSLTNISIGGLLSEASLQGRFSNFDPKSNGSNAGLQSSQLISDSFDAFLSGQINPPQNPRPPAQASHSSILDAEDTCHAFSFQKFSSLHKDSIASSGSAYSHASSQETSSKSFKHPNAIEANIQPQGQACQQSDTDLLLCSRVYSDESTLGLSGIKWTDSLGPFDLGVNSSHKIISGDNISIPSSAK >EOX92333 pep chromosome:Theobroma_cacao_20110822:1:6333401:6338018:-1 gene:TCM_001294 transcript:EOX92333 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein isoform 1 MGANRKRRVKALEPHSEIDATNGCNGVEKSGKRSVRQQFQRATGKIEKDEHSSPSGRGEALTSRLETKITSCVEESTELPVLDINTGEKLHPSAKIKLQLFPIDESTRLGLEKDGFHPYLELTLSARKKISSVLKHLDSKWGSSSIAVGEPMLFPYNIAENLASYRWTKNDICISARDVHLTIGSPTVFRLRYGWMSDPEMKTLGQPPALAPFNASSKFEDVQKDCNSNMQKTYGIGEKTELRGDESEKPVIKSDEIDAVVAEKMPSNGAVDSMDTEVKIDSGIGQSLALWADSLTNISIGGLLSEASLQGRFSNFDPKSNGSNAGLQSSQLISDSFDAFLSGQINPPQNPRPPAQASHSSILDAEDTCHAFSFQKFSSLHKDSIASSGSAYSHASSQETSSKSFKHPNAIEANIQPQGQACQQSDTDLLLCSRVYSDESTLGLSGIKWTDSLGPFDLGVNSSHKIISGDNISIPSSAK >EOX93552 pep chromosome:Theobroma_cacao_20110822:1:13934715:13940237:-1 gene:TCM_002442 transcript:EOX93552 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 1 MEDSEGKAFNTPMPESLDANDYLLSFGKSYGTYGIVVAVIVATIIPVLLSTIFMGKKKGKKRGVSVEVGGEAGYAVRNARMTELIQVPWAGATTMAALFEQCCKKYSRDRFIGTRKLIKKDFVTASDGRKFEKLHLGDYEWQTYRQVYHRVCNFASGLVNFGHNVDTRAAIFSETRAEWQIAFQGCFRQNITVVTIYASLGEDALIHSLNETQVSTLICESKQLKKLAAISSSLKTIANVIYFEDDEAANVSGLSGSMSDWKVSSFHDVEKLGKRAPIPPSLPSKDGVAVIMYTSGSTGLPKGVMITHGNIVATAAAVTTVIPRVGRNDVYLAYLPLAHVFELAAESVMLCAGCAIGYGSPLTLTDTSNKVMKGTKGDASVLKPTLMAAVPAILDRVREGVLKKVDEKGGLAKKLFDIAYNRRLAAIEGSWFGAWGLERWLWDVIVFERVRAVLGGHIRFMLCGGAPLSGNSQHFINICMGAPIGQGYGLTETCAGAAFSEWDDTNVGRVGPG >EOX93551 pep chromosome:Theobroma_cacao_20110822:1:13934611:13942164:-1 gene:TCM_002442 transcript:EOX93551 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 1 MEDSEGKAFNTPMPESLDANDYLLSFGKSYGTYGIVVAVIVATIIPVLLSTIFMGKKKGKKRGVSVEVGGEAGYAVRNARMTELIQVPWAGATTMAALFEQCCKKYSRDRFIGTRKLIKKDFVTASDGRKFEKLHLGDYEWQTYRQVYHRVCNFASGLVNFGHNVDTRAAIFSETRAEWQIAFQGCFRQNITVVTIYASLGEDALIHSLNETQVSTLICESKQLKKLAAISSSLKTIANVIYFEDDEAANVSGLSGSMSDWKVSSFHDVEKLGKRAPIPPSLPSKDGVAVIMYTSGSTGLPKGVMITHGNIVATAAAVTTVIPRVGRNDVYLAYLPLAHVFELAAESVMLCAGCAIGYGSPLTLTDTSNKVMKGTKGDASVLKPTLMAAVPAILDRVREGVLKKVDEKGGLAKKLFDIAYNRRLAAIEGSWFGAWGLERWLWDVIVFERVRAVLGGHIRFMLCGGAPLSGNSQHFINICMGAPIGQGYGLTETCAGAAFSEWDDTNVGRVGPPLPCCYIKLVSWEEGGYTTSDKPMPRGEVVVGGFSVTTGYFNNPGKTNEVYKVDERGMRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEAALILSNFVDNMMVYADPFHNYCVALIVPSRPVLEKWALEAGIKYKDFPELCGKPETVGEVQRLLSKVGKDAKLDKFEIPAKIKLLSDPWTPESGLVTAALKIKREQLKAKFKDELQKLYE >EOX95780 pep chromosome:Theobroma_cacao_20110822:1:35557112:35557430:1 gene:TCM_005198 transcript:EOX95780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSMESVARQSPSLGSGPNGQQARNWCGHFQMPLHYPRYTKADYEAMPEWKLDCLLKEYGLLITGDVEQKRRFAMGAFLWAR >EOX91520 pep chromosome:Theobroma_cacao_20110822:1:2978505:2980795:-1 gene:TCM_000679 transcript:EOX91520 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-linked oxidoreductases superfamily protein MKHPKPHLFSFLSFYQKPLFSNPISLMAQTQIRTIRSDPPQNDNSNDDDALLCSNPQQNQEEQSSSQVDDTPSSIGSPGRYLSWESRVERAWAHWAKLGRPKLIVAPMVDNSELPFRMLCRKFGAEAAYTPMLHSRIFTENEKYRNEEFTTCKEDRPLFVQFCANDPDVLLEAARRVEPYCDYVDINLGCPQRIARRGYYGAFLMDNLPLVKSLVEKLALNLNVPVSCKIRVFPNLQDTIKYAKMLEDAGCSLLAVHGRTRDEKDGKRFRADWNAIKAVKNALGIPVLANGNIRHMEDVQNCLEETGADGVLSAESLLENPALFAGFRTADWVGNNEKDNIEGKLDQAELLVEYLKICERYPVPWRMIRSHVHKMLGDWFRIQPHVREDLNSQSRLTFEFLYNLVDQLRELGVRIPLYQKDTSAAGSSAEDVAI >EOX96271 pep chromosome:Theobroma_cacao_20110822:1:37180473:37183139:1 gene:TCM_005549 transcript:EOX96271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein isoform 1 MRGLLLPAANQLHLGHSFFTPQNLLEEIRSAPPNMLINQPNPSDTVMPAIRGGVEEIPKPPVVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQPVKKTNVRQQEGEDVLMKDGFFASTNVGVTPY >EOX96270 pep chromosome:Theobroma_cacao_20110822:1:37180086:37182733:1 gene:TCM_005549 transcript:EOX96270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein isoform 1 MSSSSAAFSPDHLSPSDQLCYVHCNFCDTVLAVSVPCTSLFKTVTVRCGHCTNLLSVNMRGLLLPAANQLHLGHSFFTPQNLLEEIRSAPPNMLINQPNPSDTVMPAIRGGVEEIPKPPVVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQPVKKTNVRQQEGEDVLMKDGFFASTNVGVTPY >EOX90570 pep chromosome:Theobroma_cacao_20110822:1:16143:17240:1 gene:TCM_000004 transcript:EOX90570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVEGRLICLSDSPFNCILPQPTQYAKAHVYLRVLCRVHPCPLEMHLWSRDEEDPDISNKSRVFSSAFNHLRLLFIFYFI >EOX90979 pep chromosome:Theobroma_cacao_20110822:1:1230680:1233874:1 gene:TCM_000299 transcript:EOX90979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MVALSRKFSTSSLKFIPKQFCQYHLQQIQSQTTQPFIPFSQLQNQRNLLESQLISTLNGCTSLTQFKQTHAYIIRKGLDQCCYILAKLVRNLTKMGIPMDNYAKLVFDQVEYPNPFLWTALIRGYALQGHVKESVSVYSCMREEGSLPVSFTFSALFKACCTVLDVNLGRQIHAQTILIGGFGSDLYVNNSLIEMYVKLGFLGCARKVFDELPERDLISWTELIVAYAKLGDMESAGELFDELPIKDMVAWTTMVTGYAQNAKPREALEFFERMQNEGVETDEVTLVGVISACAQLGTAKYANWVRGIAENSGFDPTRCVVVGSALIDMYSKCGSVEDAYKVFEAMEERNVFSYSSMIAGFAMHGCAYAALELFREMVKTGIKPNRVTFIGVLTACSHSGMVEQGRQIFASMEEEFGVSPAVDHYACIVDLLGRAGCLEEALNLAETMPVEPNGGVWGALLGACRTYGNPDMAQIGANHLFELEPNAIGNYILLSNIYASAGRWNDVSMVRKLMREKGLRKNPACSWLEAKKGVIHEFFAGDITNPRSGQMKQVLEDLLNRLKGLGYQPNMSSVAYDVNDEDKRRLLMAHSEKLALAFGLLTISADCPIRIMKNLRICEDCHSFMCGVSQITERVIIVRDNLRFHHFHAGKCSCGNFW >EOX94879 pep chromosome:Theobroma_cacao_20110822:1:31873017:31876295:1 gene:TCM_004489 transcript:EOX94879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine-containing phosphotransfer factor 5 isoform 2 MDIVSQLQRQFIDYSASLHHEQGFVDDQFTQLQKLQDENSPDFVVEVASLFFEDCEKLINNMARALEQQQGVDFKQVDASVHQLKGSSSSIGAVRVKNVCISFRSFCEAQNREGWSNSFLQQVDQLNNIHVILW >EOX94878 pep chromosome:Theobroma_cacao_20110822:1:31873524:31876218:1 gene:TCM_004489 transcript:EOX94878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine-containing phosphotransfer factor 5 isoform 2 GFVDDQFTQLQKLQDENSPDFVVEVASLFFEDCEKLINNMARALEQQQGVDFKQVDASVHQLKGSSSSIGAVRVKNVCISFRSFCEAQNREGWSNSFLQQVDQLNNIHVILW >EOX94877 pep chromosome:Theobroma_cacao_20110822:1:31873057:31876295:1 gene:TCM_004489 transcript:EOX94877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine-containing phosphotransfer factor 5 isoform 2 MDIVSQLQRQFIDYSASLHHEGFVDDQFTQLQKLQDENSPDFVVEVASLFFEDCEKLINNMARALEQQQGVDFKQVDASVHQLKGSSSSIGAVRVKNVCISFRSFCEAQNREGCLRCLQQVTHEYSLLKNKLQTLFRLEQQLLAAGGSIK >EOX96575 pep chromosome:Theobroma_cacao_20110822:1:38295839:38298040:1 gene:TCM_005801 transcript:EOX96575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease 11 isoform 1 MDQTPFLKLKRWQWWLLVAMNIFFLIAGQAAAVLLGRFYYDQGGKSKWMATLVQTAGFPILCFPFFLLRPSRESSASTTSPSIKTLALLYFVLGVLVAGDNMLYSVGLLYLSASTYSLICATQLAFNAVFSFFLNSQKFTALILNSVVILSLSAALIAVNDDSEGPSGVSKGKFLLGFLCTVGASALYSLLLSLMQLSFQKVLKKETFSVVLEMQIYTSLVASCVSTVGLFASGEWKTLHHEMEDFNSGRVSYVLTLVWTAVTWQVCAVGVVGLIFVVSSLFSNVISTLSLAVTPLAALVVFHDKMNGVKVIAMLLALCGFASYIYQNYLDDKKARRSQTDVQENHDNSSH >EOX96574 pep chromosome:Theobroma_cacao_20110822:1:38295820:38298158:1 gene:TCM_005801 transcript:EOX96574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease 11 isoform 1 MTDNQEPILNKDETLMDQTPFLKLKRWQWWLLVAMNIFFLIAGQAAAVLLGRFYYDQGGKSKWMATLVQTAGFPILCFPFFLLRPSRESSASTTSPSIKTLALLYFVLGVLVAGDNMLYSVGLLYLSASTYSLICATQLAFNAVFSFFLNSQKFTALILNSVVILSLSAALIAVNDDSEGPSGVSKGKFLLGFLCTVGASALYSLLLSLMQLSFQKVLKKETFSVVLEMQIYTSLVASCVSTVGLFASGEWKTLHHEMEDFNSGRVSYVLTLVWTAVTWQVCAVGVVGLIFVVSSLFSNVISTLSLAVTPLAALVVFHDKMNGVKVIAMLLALCGFASYIYQNYLDDKKARRSQTDVQENHDNSSH >EOX93775 pep chromosome:Theobroma_cacao_20110822:1:15700148:15707061:-1 gene:TCM_002695 transcript:EOX93775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase-related family protein, putative MNLLYILLLSLFLSSCRADPLGNFCNEDTFINISSPTSENIDRLLAEVVSKTSLTAYIATSSGRGPDRVYGLAQCRGDVSSKDCSSCIQDAATQIRQRCPNQSDARIWYDYCFLRYSKENFIGEVDTSFGIFYYNVENVTDPESFNQELGALTNKIRSEAVMPKNEGLGKGKTKLSPFVTLYALVQCTRDLSEIDCAQCLAIAVGNFPNFCDNRKGCRVLYSSCYVRYELYPFFFPIDSDNGTSFGKTVMIVHP >EOX90711 pep chromosome:Theobroma_cacao_20110822:1:425704:426914:1 gene:TCM_000101 transcript:EOX90711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAKYHVRSISLPSRSHPTTLRIEDELNRLKTWEASPLSTSESICAGLSGLEDLYQCMDDLLNLASTQQVLSQHQHEKCIDELLDGSVRLLDICSIARDYMFQLKERVHALQSALRRRKRDSSIENDIINYTCFRKEMKKQGKKLITELKQMDNKLGASPLLDQDHHFSAVIRVLREVNAMNTSIFQSLFSFLSALVSSKQTRWSLVSKLMHKGVISCEEKQENVNELESVDAALCRHTSDVEKMQIAHKRLVALESSIEGLENRLECVFRHLIKARTSLLNIVSQ >EOX94424 pep chromosome:Theobroma_cacao_20110822:1:28406287:28410493:1 gene:TCM_003998 transcript:EOX94424 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441 MGLRDIGATLPPGFRFYPSDEELVCHYLYKKIANEEVLKGTLVEIDLHTCEPWQLPEVAKLNANEWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRTVVDPRTQEVVGMRKTLVFYRNRAPNGIKTGWIMHEFRLETPHMPPKEDWVLCRVFHKSKGENSSKLSPPMMFETSTHAPSLTDQTMACAYQQISSLSTTPTHQSHGQSLLNLLQYSQEKINNNPANEVSSKVDDEYEFLWDMNMEENSLGDHGVASNMEDMRFEIDNSMVFL >EOX96181 pep chromosome:Theobroma_cacao_20110822:1:36885395:36891545:1 gene:TCM_005488 transcript:EOX96181 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein, putative isoform 2 MATKEVKKPETPISLKPSPMPKDHDSQSATSRPKQNDASSRSKVPLSTKQFIVSVAANISSQPLPTYDPNVWGVLTAISKNARKRPQGMNMLLTADEHSIGRLVEDVSFRIESISVSAEHCKIYRKRVTNEDTEQSSNSYPSVFLKDMSTNGTYLNWERFRKNSPELKIQHGDIISFSAPPQHELAFAFVYREVLRFAPSVKGACAKRKAEELACENKRLKGIGIGAPEGPLSLDDFRSLQRSNRELRRQLEDQVLTIDTLRNENRATVERHENAIKEIKESVANSYLDQLQELNNLLDVKQKELVEVSRISAEQKHAIEDLNERLTASIQSCTEANEIMKSQKASIAELKVQLDEERDQRREEREKAAVDLKAAVQRAQSEAQEELQRLSDVALKREKEQQEVINKLEESLRKSSSQVEGLVSKLEETRQKLVNSDNKVRQLETQFCEAQHASATARNKVEELEHAMTGLRKEIEAEKQAAREEAWAKVSALELEVNAAMRDLDYERRRLKGARERIMLRETQLRAFYSTTEEISVLLAKQQEQLKAMQRTLEDEENYDNTSVDIDINVPNRTVKRIAVRDKATACYHGNNTTKAGSNTSAQRVNFSGDEASATEKHDCDMRSQEVGENTQEAEFTSAERFVKGGFGSDIDGVGTEPVPERDLIGTERVLETESLGIEVERNIDLNRCETLGGDTMQCDYETNGNAPESNEQIHTTCPDTSVHSQLNKLFETQNSVEDAEAGGTIRTADLLASEVLGSWAQSTAPSVHGENESPKIGHNEEDRAMALHDSTGLVAESQRMPPAEAAAARRNDERQALTEMIGIVAPDLKEQFGVAANDDFDQQRKNLTVNSGSDTEDCVDSDDDNNKVAAISGSISDAETEGSDQAGEDQKHNEAMVEDDETSAEDSVG >EOX96180 pep chromosome:Theobroma_cacao_20110822:1:36885395:36891545:1 gene:TCM_005488 transcript:EOX96180 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein, putative isoform 2 MATKEVKKPETPISLKPSPMPKDHDSQSATSRPKQNDASSRSKVPLSTKQFIVSVAANISSQPLPTYDPNVWGVLTAISKNARKRPQGMNMLLTADEHSIGRLVEDVSFRIESISVSAEHCKIYRKRVTNEDTEQSSNSYPSVFLKDMSTNGTYLNWERFRKNSPELKIQHGDIISFSAPPQHELAFAFVYREVLRFAPSVKGACAKRKAEELACENKRLKGIGIGAPEGPLSLDDFRSLQRSNRELRRQLEDQVLTIDTLRNENRATVERHENAIKEIKESVANSYLDQLQELNNLLDVKQKELVEVSRISAEQKHAIEDLNERLTASIQSCTEANEIMKSQKASIAELKVQLDEERDQRREEREKAAVDLKAAVQRAQSEAQEELQRLSDVALKREKEQQEVINKLEESLRKSSSQVEGLVSKLEETRQKLVNSDNKVRQLETQFCEAQHASATARNKVEELEHAMTGLRKEIEAEKAAREEAWAKVSALELEVNAAMRDLDYERRRLKGARERIMLRETQLRAFYSTTEEISVLLAKQQEQLKAMQRTLEDEENYDNTSVDIDINVPNRTVKRIAVRDKATACYHGNNTTKAGSNTSAQRVNFSGDEASATEKHDCDMRSQEVGENTQEAEFTSAERFVKGGFGSDIDGVGTEPVPERDLIGTERVLETESLGIEVERNIDLNRCETLGGDTMQCDYETNGNAPESNEQIHTTCPDTSVHSQLNKLFETQNSVEDAEAGGTIRTADLLASEVLGSWAQSTAPSVHGENESPKIGHNEEDRAMALHDSTGLVAESQRMPPAEAAAARRNDERQALTEMIGIVAPDLKEQFGVAANDDFDQQRKNLTVNSGSDTEDCVDSDDDNNKVAAISGSISDAETEGSDQAGEDQKHNEAMVEDDETSAEDSVG >EOX94814 pep chromosome:Theobroma_cacao_20110822:1:31561489:31564478:-1 gene:TCM_004431 transcript:EOX94814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenazine biosynthesis PhzC/PhzF protein isoform 2 MNNMAKTPVKYYVVDAFTDSAFKGNPAAVCLLEEERDEKWLQAVAAEFNVSQTCYLTRITNPASPNPRFRLRWFTPVAEVKLCGHATLASAHTLFTTGLVNSNIIEFDTLSGILTAKKDADVNPTDVSRVQNAITKALNGAPLIDVKRTTTASDLFVVLASGKSVIEIEPRFDDILKCPGRGLIVSGVAPPDSEFDFISRFFCPKYGINEDPVCGSAHCALAPYWSQKLGKCDFVAHAASPRGGIVKIHLDEQNQRVLLRGKAVTVMEGSLLV >EOX94815 pep chromosome:Theobroma_cacao_20110822:1:31561386:31564333:-1 gene:TCM_004431 transcript:EOX94815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenazine biosynthesis PhzC/PhzF protein isoform 2 MNNMAKTPVKYYVVDAFTDSAFKGNPAAVCLLEEERDEKWLQAVAAEFNVSQTCYLTRITNPASPNPRFRLRWFTPVAEVKLCGHATLASAHTLFTTGLVNSNIIEFDTLSGILTAKKDADVNPTDVSRVQNGGAHDCFLIELNFPTVPITEFNSTEVSAITKALNGAPLIDVKRTTTASDLFVVLASGKSVIEIEPRFDDILKCPGRGLIVSGVAPPDSEFDFISRFFCPKYGINEDPVCGSAHCALAPYWSQKLGKCDFVAHAASPRGGIVKIHLDEQNQRVLLRGKAVTVMEGSLLV >EOX95985 pep chromosome:Theobroma_cacao_20110822:1:36229645:36231755:-1 gene:TCM_005347 transcript:EOX95985 gene_biotype:protein_coding transcript_biotype:protein_coding description:UvrABC system protein A MMNPRADKLVRRTTMVATATASYFLLTADYGPEPNVLDPIKKAILSAQSSLKEFILGSRKEHQESSVSSSNAKEHP >EOX94042 pep chromosome:Theobroma_cacao_20110822:1:19452514:19458305:-1 gene:TCM_003125 transcript:EOX94042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome interacting factor 3-like 5, putative isoform 3 MMKLRNRPEDEIMELLWQNGQVVVQSQNQRSFKKSPPFKFHDAHQSAPKEIRSSPSHHQHHQQQQQQSVTDHLFMQEDEMASWLHYPLNDANFDHDFCADLLYPSSSAAAAANPCVTSTATAAPPPLGRVSQVSASAAASAPRPPIPPARRNELESTRIQNFVHFSRHKTARVEQSGPSNSKSVVRESTVVDSSETPAMAPDSRGSQAVPSNTEAASGGNNNNASATVSAAAVASTQSAGVSVGATKDNLATCEVTVTSSPGGSSASAEPATQKAAPAEDRKRKGREPDDAECHSEDAEFESADTKKQTRGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGVQQYMPTMGMGIGMGMGMDMGISRPMMPFPNVLAGSALPTPAAAAHLGPRFPLPAFHMPLPVPAPDPSRIQPNNQSEAMLNTLGMQNPTQPRAPNFADPYQQYLGLQQMQLPPLQSQGMAQPSSRKPK >EOX94040 pep chromosome:Theobroma_cacao_20110822:1:19452179:19458384:-1 gene:TCM_003125 transcript:EOX94040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome interacting factor 3-like 5, putative isoform 3 MNHCVPDFEMGDDYSIPSSSSLTRSKKPPMPEDEIMELLWQNGQVVVQSQNQRSFKKSPPFKFHDAHQSAPKEIRSSPSHHQHHQQQQQQSVTDHLFMQEDEMASWLHYPLNDANFDHDFCADLLYPSSSAAAAANPCVTSTATAAPPPLGRVSQVSASAAASAPRPPIPPARRNELESTRIQNFVHFSRHKTARVEQSGPSNSKSVVRESTVVDSSETPAMAPDSRGSQAVPSNTEAASGGNNNNASATVSAAAVASTQSAGVSVGATKDNLATCEVTVTSSPGGSSASAEPATQKAAPAEDRKRKGREPDDAECHSEDAEFESADTKKQTRGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGVQQYMPTMGMGIGMGMGMDMGISRPMMPFPNVLAGSALPTPAAAAHLGPRFPLPAFHMPLPVPAPDPSRIQPNNQSEAMLNTLGMQNPTQPRAPNFADPYQQYLGLQQMQLPPLQSQGMAQPSSRKPK >EOX94041 pep chromosome:Theobroma_cacao_20110822:1:19451864:19458384:-1 gene:TCM_003125 transcript:EOX94041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome interacting factor 3-like 5, putative isoform 3 MNHCVPDFEMGDDYSIPSSSSLTRSKKPPMPEDEIMELLWQNGQVVVQSQNQRSFKKSPPFKFHDAHQSAPKEIRSSPSHHQHHQQQQQQSVTDHLFMQEDEMASWLHYPLNDANFDHDFCADLLYPSSSAAAAANPCVTSTATAAPPPLGRVSQVSASAAASAPRPPIPPARRNELESTRIQNFVHFSRHKTARVEQSGPSNSKSVVRESTVVDSSETPAMAPDSRGSQAVPSNTEAASGGNNNNASATVSAAAVASTQSAGVSVGATKDNLATCEVTVTSSPGGSSASAEPATQKAAPAEDRKRKGREPDDAECHSEDAEFESADTKKQTRGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGVQQYMPTMGMGIGMGMGMDMGISRPMMPFPNVLAGSALPTPAAAAHLGPRFPLPAFHMPLPVPAPDPSRIQPNNQSEAMLNTLGMQNPTQPRAPNFADPYQQYLGLQQMQLPPLQSQGMAQPSSRKPK >EOX93822 pep chromosome:Theobroma_cacao_20110822:1:16162891:16175274:1 gene:TCM_002766 transcript:EOX93822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQVCYFFNNDEWDVDKLNSVLPEEMVAEILKIPLNTSSTNMAYWVSTSDGDFTIKSAWEIIWQKDLFKRWQWRGDLQIAQAWGLMFQRASPPSPKIFSWHKPLTGEFKLNVDDSSKHNCQNAAGSGLLRDHTGIVIFGFSKNFRLYISLQAELMALHRGLLLCIEYNVSRIWIEMNAKVVVQMIHEGNKGSSQTRYLLASIRKCLNAISYCISHIHREGNQVVDHLSNQGHSDKNLHVLS >EOX91964 pep chromosome:Theobroma_cacao_20110822:1:4661935:4663527:1 gene:TCM_001000 transcript:EOX91964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicarboxylate carrier 2 MGFKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGETQVPNPAVQTLRPALAFPTTTATSSSIHVPPSAAVRVGPVATGIRIFQTEGVAALFSGVSATVLRQTLYSTTRMGLYDILKQKWTDKETKTMPLSRKIAAGLIAGGIGAAVGNPADVAMVRMQADGRLPLSQRRNYTSVIDAITRMSKQEGITSLWRGSSLTVNRAMLVTASQLASYDQIKEMILENGLMKDGLGTHVAASFSAGFVAAVASNPVDVIKTRVMNMKVEPGQKPPYAGALDCALKTVKAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKEF >EOX94271 pep chromosome:Theobroma_cacao_20110822:1:27243180:27245970:-1 gene:TCM_003851 transcript:EOX94271 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding dehydrogenase family protein isoform 1 MATQGQVITCKAAVAYEPNKPLVIEDVQVAPPQAGEVRIKIFFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRAATGAGVMMNDRKSRFSINGKPIYHFMGTSTFSQFTVVHDVSVAKIDPQAPLDKVSLLGCGVPTGLGAVWNTAKVEPGAIVAIFGLGTVGLAVAEGAKSAGASRIIGVDIDSKRFDVAKNFGVTEFVNPKDYDKPIQQVLVDVTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIIGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVDKYMKKEIKIDEYITHNLTLGEINSAFDLMHEGGCLRCVLKMHE >EOX94272 pep chromosome:Theobroma_cacao_20110822:1:27242723:27246111:-1 gene:TCM_003851 transcript:EOX94272 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding dehydrogenase family protein isoform 1 MATQGQVITCKAAVAYEPNKPLVIEDVQVAPPQAGEVRIKIFFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRAATGAGVMMNDRKSRFSINGKPIYHFMGTSTFSQFTVVHDVSVAKIDPQAPLDKVSLLGCGVPTGLGAVWNTAKVEPGAIVAIFGLGTVGLAVAEGAKSAGASRIIGVDIDSKRFDVAKNFGVTEFVNPKDYDKPIQQVLVDVTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIIGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVDKYMYV >EOX95088 pep chromosome:Theobroma_cacao_20110822:1:32850340:32854737:-1 gene:TCM_004658 transcript:EOX95088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MVAFLFSKGSCFPLPWLWRGVQRIHERLAAAGYAVFGIDYEGHGRSKGARCYIKKFENIVNDCTEFFKSICALEEYREKSRFLYGESMGGAVALLLHKKDPSFWNGAVLVAPMCKISEKVKPHPVVVNILTKMEEIIPKWKIVPTKDVIDSAFKDPVKREVIRNNKLIYQDKPRLKTALEMLRTSMSLEDGLNEVTLPFFVLHGEADIVTDPEVSKALYDKASSRDKTIKLYPGMWHGLTSGEPDENIEIVFADITAWLDKRCNAVTFEQILHPFNNGFEKFASTTISMAATNGRRQSNGSYLCGLKGLRTQQLSAM >EOX95087 pep chromosome:Theobroma_cacao_20110822:1:32850303:32854522:-1 gene:TCM_004658 transcript:EOX95087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MAFEYLEEHIRNSRGALLFTCRWLPFSSPKALVFLCHGYGVECSGFMRECGTRLAAAGYAVFGIDYEGHGRSKGARCYIKKFENIVNDCTEFFKSICALEEYREKSRFLYGESMGGAVALLLHKKDPSFWNGAVLVAPMCKISEKVKPHPVVVNILTKMEEIIPKWKIVPTKDVIDSAFKDPVKREVIRNNKLIYQDKPRLKTALEMLRTSMSLEDGLNEVNIFPSRALPHSKISDFCAFKSQVTLPFFVLHGEADIVTDPEVSKALYDKASSRDKTIKLYPGMWHGLTSGEPDENIEIVFADITAWLDKRCNAVTFEQILHPFNNGFEKFASTTISMAATNGRRQSNGSYLCGLKGLRTQQLSAM >EOX93171 pep chromosome:Theobroma_cacao_20110822:1:11036355:11037136:1 gene:TCM_002019 transcript:EOX93171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 KTLKTLFLVFAIGSRCPPRPASTSSSLHAVKAADLQKPRLLRNLQYPLLSSIYIHRNLSAFSYISYLFITQSFLLPFFPPIYIISFL >EOX93170 pep chromosome:Theobroma_cacao_20110822:1:11036332:11037462:1 gene:TCM_002019 transcript:EOX93170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 LKNQQRWTKTLKTLFLVFAIGSRCPPRPASTSSSLHAVKAADLQKPRLLRNLQYPLLSSIYIHRNLSAFSYISYLFITQSFLLPFFPPIYIISFL >EOX90843 pep chromosome:Theobroma_cacao_20110822:1:755810:759946:-1 gene:TCM_000198 transcript:EOX90843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold shock domain protein 1, putative MAEQQQEQQQSRSAGKVLWFNDQKGFGFIRPDDESEDLFVHQSSIKSDGYRSLAEGESVEFTVSQGNGGKTQAVDVTAIGGSPISKKDRRGGGGGGFGGGWRGGNDRRNGNGCFNCGDLNHLARDCSKNASNYNDSDNYSNVPNGGGGGSYCYNCGEAGHFARECRRDSGGVGGGGSGKCYNCGKYGHFARDCNRNSGGGGGSSGSCFVCGGFGHLARDCDNNRELKCFNCGEAGHYARECANFSGKKD >EOX94503 pep chromosome:Theobroma_cacao_20110822:1:29230176:29231612:-1 gene:TCM_004106 transcript:EOX94503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral organ boundaries-domain 16 MASSGSATATGSPCGACKFLRRKCASDCIFAPYFCSEQGPARFAAIHKVFGASNVSKLLLHIPAHDRCEAVVTIAYEAQARIRDPVYGCVAHIFALQQQVACLQAQLMQVKAQLAQNAMNSHNIESQWQGNLSGLPSIPTYPSYMNPISPQSSLESVELNSADSMNMQEIQSRDEFSFQGYPKKRPCNSDLGELQALALRMMRN >EOX92371 pep chromosome:Theobroma_cacao_20110822:1:6497724:6501361:-1 gene:TCM_001322 transcript:EOX92371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate-binding protein precursor MFTSFARDAMTLPTYDGLKDTGLTVGPVLLQDIYNWLKLNAVHDVGAAKVKVYIDGWPVDPTKGFVELPLNKSNFHNDKPYDLPVSDRYSFVDGVHKLWVYSTDKPLFKNSPTKPRSEIRIRGYDYSSGVWQFEGYGYVPCGTTGVCIMQVFGSTPPQATTLMLRVYNGSLYYYKAGPVVLENIYDRWFRVNVIHDVNASKLQVYIDGVLKLEPPGHGGTNHYFKFGVYEQNDSSYYIESRWKGIKVLKKM >EOX94672 pep chromosome:Theobroma_cacao_20110822:1:30802421:30804480:1 gene:TCM_004291 transcript:EOX94672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor-1 family protein MMWNQPYRKNDTEAGPRPLYPMMLEPPEMRWAFIRKIYSIVAIQLLATIAVASTVVTVRPIAHFFVSTGAGLALYIVLIITPFIVLCPLYYYHQRHPVNYLLLGVFTVSLAFAVGLTCSFTSGKVILESVILTAVVVVALTLYTFWAARRGHDFNFLGPFLFGAILVLMVFALIQILFPLGRLSVMIYGCLASIIFCGYIIYDTDNLIKRYSYDEYIWAAVSLYLDIINLFLSLLTVFRAADS >EOX92043 pep chromosome:Theobroma_cacao_20110822:1:5020138:5026969:1 gene:TCM_001059 transcript:EOX92043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGRTPSHPSPTTPHDGVLATNSLVPEPHRWGGPNAADLGSRGPPSWVSPSPEKKSICHLTTINKVDPVAYKESSWPSSSYDGIYEVTQHMASAQQSEGDCLSKDHFSSLPDRVHLDLKQNDFTDLLNIWDKWGATTRANFDRKYGHIARLLKVDKFRKPFHPQTAPIREFCESEWPENRTKEQWISRLRELMSVEVTWRAPWMPHHPVLYKCRNEPWVPLMGPWGAISYAPIMVRRQFGSEQFVPMTHRLNTLEFAYGEPGFLKRIEEIAQAWKKTNRVDQGRYTDEVTTGYQMWHDQ >EOX92874 pep chromosome:Theobroma_cacao_20110822:1:9111108:9115627:1 gene:TCM_001734 transcript:EOX92874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle docking involved in exocytosis isoform 2 MGAFPFLLDLGVRSVCSLENMCSLDAAVDWNASFDPARKIVIMASRLLSDAHRYVLRCLSTHRGVHCCSIFTSISEVAHSVYPDSPLGPDAYHEYETLLLQDYEELVKKCETKSGQPVDSNTQENLTFEDEGWSQFTSTEEEFPSHEASPTGKNIYKDNPRGKKVDLGRRLIVSVHHFPMILCPFSPRVFVLPSEGSVAEACLSAEHEDSLSAGLPSLSTGLPSDGDEVPPAATLTAHFLYHLAAKMDLKMEIFSLGDLSKTVGKILTDMSSLYDVGRRKRTVGLLLIDRTLDLLTPCCHGDSLVDRMFSSLPRKERTSSSASIKGSQAQLKLGPSSLERAPLEVQIPIGKIITEEDSNIDDSRLSDCIEAFLCGWDSYNSASQMVDLINFSEKTSNEKLCPAELLKGSFVSTENFRGTPYLEAILDRTTKDGAILVKKWLQETLRQENITINVRTRPGFASKSELQPMIKALAKSQSSLIRNRGIIQLATAALYALDESCSARWDAFISAEKILSVNAGDTSQSLVAQIGDLINKSAFAGSDGKKSGKMELSQGLLSFQDALLLTITGYILAGENFPTSGSGGPFSWQEEHFLKEAIVDAILENPSVARLKFLHGITQELEANLNKTKADKTKETSTDQLDIDDFDDDQWGKWGDEDEDNDSKEQAYDDMQLKLELRDRVDNLFKHLHKLSSLKSKNVPLREGPLAFESNLSSNPYTNKGLLYKLLTKILGKYDVPGLEYHSSTVGRLFKSGFGRFGLGQTGKTKSC >EOX92872 pep chromosome:Theobroma_cacao_20110822:1:9109762:9115562:1 gene:TCM_001734 transcript:EOX92872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle docking involved in exocytosis isoform 2 MALIDVTKSCLDSISQISQHIEGAIIYLDAGCTESFQLMGAFPFLLDLGVRSVCSLENMCSLDAAVDWNASFDPARKIVIMASRLLSDAHRYVLRCLSTHRGVHCCSIFTSISEVAHSVYPDSPLGPDAYHEYETLLLQDYEELVKKCETKSGQPVDSNTQENLTFEDEGWSQFTSTEEEFPSHEASPTGKNIYKDNPRGKKVDLGRRLIVSVHHFPMILCPFSPRVFVLPSEGSVAEACLSAEHEDSLSAGLPSLSTGLPSDGDEVPPAATLTAHFLYHLAAKMDLKMEIFSLGDLSKTVGKILTDMSSLYDVGRRKRTVGLLLIDRTLDLLTPCCHGDSLVDRMFSSLPRKERTSSSASIKGSQAQLKLGPSSLERAPLEVQIPIGKIITEEDSNIDDSRLSDCIEAFLCGWDSYNSASQMVDLINFSEKTSNEKLCPAELLKGSFVSTENFRGTPYLEAILDRTTKDGAILVKKWLQETLRQENITINVRTRPGFASKSELQPMIKALAKSQSSLIRNRGIIQLATAALYALDESCSARWDAFISAEKILSVNAGDTSQSLVAQIGDLINKSAFAGSDGKKSGKMELSQGLLSFQDALLLTITGYILAGENFPTSGSGGPFSWQEEHFLKEAIVDAILENPSVARLKFLHGITQELEANLNKTKADKTKETSTDQLDIDDFDDDQWGKWGDEDEDNDSKEQAYDDMQLKLELRDRVDNLFKHLHKLSSLKSKNVPLREGPLAFESNLSSNPYTNKGLLYKLLTKILGKYDVPGLEYHSSTVGRLFKSGFGRFGLGQAKPSLADQNAILVFVVGGINGVEAREAQEALSESGRPDIELILGGTTLLTPDDMLDLLLGQSSYI >EOX92875 pep chromosome:Theobroma_cacao_20110822:1:9110083:9115580:1 gene:TCM_001734 transcript:EOX92875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle docking involved in exocytosis isoform 2 MASRLLSDAHRYVLRCLSTHRGVHCCSIFTSISEVAHSVYPDSPLGPDAYHEYETLLLQDYEELVKKCETKSGQPVDSNTQENLTFEDEGWSQFTSTEEEFPSHEASPTGKNIYKDNPRGKKVDLGRRLIVSVHHFPMILCPFSPRVFVLPSEGSVAEACLSAEHEDSLSAGLPSLSTGLPSDGDEVPPAATLTAHFLYHLAAKMDLKMEIFSLGDLSKTVGKILTDMSSLYDVGRRKRTVGLLLIDRTLDLLTPCCHGDSLVDRMFSSLPRKERTSSSASIKGSQAQLKLGPSSLERAPLEVQIPIGKIITEEDSNIDDSRLSDCIEAFLCGWDSYNSASQMVDLINFSEKTSNEKLCPAELLKGSFVSTENFRGTPYLEAILDRTTKDGAILVKKWLQETLRQENITINVRTRPGFASKSELQPMIKALAKSQSSLIRNRGIIQLATAALYALDESCSARWDAFISAEKILSVNAGDTSQSLVAQIGDLINKSAFAGSDGKKSGKMELSQGLLSFQDALLLTITGYILAGENFPTSGSGGPFSWQEEHFLKEAIVDAILENPSVARLKFLHGITQELEANLNKTKADKTKETSTDQLDIDDFDDDQWGKWGDEDEDNDSKEQAYDDMQLKLELRDRVDNLFKHLHKLSSLKSKNVPLREGPLAFESNLSSNPYTNKGLLYKLLTKILGKYDVPGLEYHSSTVGRLFKSGFGRFGLGQAKPSLADQNAILVFVVGGINGVEAREAQEALSESGRPDIELILGGTTLLTPDDMLDLLLGQSSYI >EOX92873 pep chromosome:Theobroma_cacao_20110822:1:9110294:9115607:1 gene:TCM_001734 transcript:EOX92873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle docking involved in exocytosis isoform 2 MASRLLSDAHRYVLRCLSTHRGVHCCSIFTSISEVAHSVYPDSPLGPDAYHEYETLLLQDYEELVKKCETKSGQPVDSNTQENLTFEDEGWSQFTSTEEEFPSHEASPTGKNIYKDNPRGKKVDLGRRLIVSVHHFPMILCPFSPRVFVLPSEGSVAEACLSAEHEDSLSAGLPSLSTGLPSDGDEVPPAATLTAHFLYHLAAKMDLKMEIFSLGDLSKTVGKILTDMSSLYDVGRRKRTVGLLLIDRTLDLLTPCCHGDSLVDRMFSSLPRKERTSSSASIKGSQAQLKLGPSSLERAPLEVQIPIGKIITEEDSNIDDSRLSDCIEAFLCGWDSYNSASQMVDLINFSEKTSNEKLCPAELLKGSFVSTENFRGTPYLEAILDRTTKDGAILVKKWLQETLRQENITINVRTRPGFASKSELQPMIKALAKSQSSLIRNRGIIQLATAALYALDESCSARWDAFISAEKILSVNAGDTSQSLVAQIGDLINKSAFAGSDGKKSGKMELSQGLLSFQDALLLTITGYILAGENFPTSGSGGPFSWQEEHFLKEAIVDAILENPSVARLKFLHGITQELEANLNKTKADKTKETSTDQLDIDDFDDDQWGKWGDEDEDNDSKEQAYDDMQLKLELRDRVDNLFKHLHKLSSLKSKNVPLREGPLAFESNLSSNPYTNKGLLYKLLTKILGKYDVPGLEYHSSTVGRLFKSGFGRFGLGQAKPSLADQNAILVFVVGGINGVEAREAQEALSESGRPDIELILGGTTLLTPDDMLDLLLGQSSYI >EOX96631 pep chromosome:Theobroma_cacao_20110822:1:38455660:38460418:1 gene:TCM_005842 transcript:EOX96631 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MNEAEKVVCVTGASGYVASWLVKLLLQRGYTVKATVRDPNDPKKTEHLLSLDGAKERLHLFKAELVDEGCFDSVVDGCQGVFHTASPVFISAADPQAEIIDPAVKGTLNVLKSCAKVPSIKRVVITSSLASVIYNGKPLTPDVVVDETWFSDPRLSEENKEWYTLSKTLAEEAAWRFAKENGIDLVSINPGLVFGPLLQPALNSSPLVILHLAKGEHIFPTSSYYRVVDVRDVAYAHIQAFEVPSASGRYCLVERVIQFPEVQKILCEIYPTNLHFKAKYEDYHNKPLERTYQISKEKAKGLGVSFIPFELEMSSAAEKVVCVTGASGYIASWLVKLLLQRGYTVKATVRDPNDPKKTEHLLALDGAKERLHLFKAELVDEGCQGIAADTLGKFVCQWSSLDCVASCYQS >EOX95005 pep chromosome:Theobroma_cacao_20110822:1:32434569:32436880:1 gene:TCM_004589 transcript:EOX95005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phi class glutathione transferase GSTF3 MEDWVISFTLTADYYINTVPLPFTFTNTSAGFLASFITVFAIVFFTVSLSLKLSNLIEMALKLYGAAMSTCTSRVLTCLHEKEADFEFISVNLFAGEHKESPFLAKNPFGQIPVLEDGDLTLFESRAISAYVAEKFKESGYDLIRYQNVKEGAQVKVWMEVESQQYHPAISPIVFQFFVAPLQGKSPEQAIIDEHLEKLGKVLDIYEDKLSRTKYLAGDFYSLADLFHLSYTYYFMKTPWANQINDRPHVKAWWEDISSRPAFLKVASAMNFGEKQN >EOX91620 pep chromosome:Theobroma_cacao_20110822:1:3327198:3331482:-1 gene:TCM_000751 transcript:EOX91620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1230) [Source:Projected from Arabidopsis thaliana (AT5G67370) TAIR;Acc:AT5G67370] MLRLNVYCSLNIPSPRHIKLGSSYGSCLDKYHRGQRLPPGFSVRALKDEMDGGMSNSFPGRSWEPGLEIEVPFEQRPVNEYSSLKDGPLYSWGELGPGPFFLRLGGLWLATFTALGVPIAAASFNPSREPLRFVLAAGTGTLFLVSLIVLRIYLGWSYVGDRLLSAVIPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVIKLLKQTLVGTGALLVTAVFLFIFATPVEDFFRTTFSANETTSNVLASKTNTKFNIRKEELLQLPVEVKADDDLAAAAAEAADGRPVYCRDRFYRALAGGQYCKWEDLLK >EOX93218 pep chromosome:Theobroma_cacao_20110822:1:11331589:11332500:-1 gene:TCM_002058 transcript:EOX93218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQNPTMNEQEEQESLIIATARVVEYLEPLMSRELLCKFPDNSAFDFDYTQSSLWSPLVPRAYSPEDLAFDPITPRKLAFEFGFGLELAKSKNSGKKSIPNIKKKIGTAVLNINLLRNKNKKRKKRTSEFSPTPIKGGCASIITKGWSQMLKASSKHFKRKKKDSTVHHVKLPNYLMRDSNI >EOX93657 pep chromosome:Theobroma_cacao_20110822:1:14563077:14564027:1 gene:TCM_002541 transcript:EOX93657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGHSWTPRKKMEGEWDVFTYIISAVDVPEKANLCRRSGVIHLGKENALMEACKTMLNKKEETVGKQRIFKFNP >EOX95591 pep chromosome:Theobroma_cacao_20110822:1:34743781:34745516:-1 gene:TCM_005040 transcript:EOX95591 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein family MHYRFLTTEAEFEIRASLSNGPQKSREPNSHFFFKTLFHKAEGKTLEILASERRCTACCEPSVSYFFSQFETMSISELACSYAAMILIDDDISVTADKIAAIIKAANLSVESYWPNLFAKLAEKRNLEDLIANVGAGGGAAAVAVAAPPAGGGGGGAAAPPPAEEKKKEEPEEESDDDMGFSLFD >EOX94853 pep chromosome:Theobroma_cacao_20110822:1:31744620:31746749:-1 gene:TCM_004466 transcript:EOX94853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synapse-associated protein, putative MDFFKSVFADDPDPPKRQSESGFPKAEDCVVDSPPKQPDPNPNPNPTGWSFGGLIKTITTRSESVIETYRRDLKEFGSGLKKEIEVAQGSLENVGHVIDEFGNSVLKGTAQIINQGKDVILAADNESDSSSSESTSKTFTTQRGLNSKRYSRFDAQVRAIQGDTNTFSEAPEDLEDYKKWKSGFGLEDKKEEIERLMEENGELRSIYKRVVGVSNGVDHETFWCRYFYKVFKLKQAEDMRVKLVKRAISREEEEELSWDVDDDEDEEEEEVNERNVGSDASVKKDDVGRKRKDEIVELKAVNVESKDKEGKGSADQSSVGSVVTEKVNLEKDEGVCKEDSVSKSVEKVASEGKGDNAESSNGNGKDSDFSVVSSHPSMPEEEDLGWDEIEDLSSIDDKRETHGGSPSSRADLRKRLSTAEEDEDLSWDIEDDDETVKA >EOX93881 pep chromosome:Theobroma_cacao_20110822:1:17109557:17112231:-1 gene:TCM_002877 transcript:EOX93881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MQPLKWPKRLKSLLLACKDRKPIAKIHTLMILTGLYIHKTSVGNLIAAYARAGDILSARKVFDQLSNRGVGSWNAMIIAYSRNNFPKQVLGFYHQMILEGTRPDSSTFTVALKACVSLMDLEMGEEIWHKAVDFGYENDVFVASSVLNLYAKCGKMDEAMVVFSKMPRKDLVCWTTIVTGFAQSGLAREAIDLYRNMQVEGMEGDGVVMLGLIQACANLGDSKLGLTIHGFMIRKGFSMDVVVQTSLVDMYAKNGYLEYASRVFKKISSKNVISWGALISGYAQNGFARNALELLVEMQCYGFKPDLVSLVSTLMACSQVGLLKLGKSIHGYITRRVNFEQVSATAVIDMYAKCGALSYARALFDQIDSRDRISWNAMIASYGVHGHGKEALSLFLQMRNTNLKPDHATFAALLSALSHSGLVNESQYWFNLMVSEYKIQPTEKHYVCMVDLLARSGLLEEAYKLIDSMKNEPGLAVWVALLSGCCNHGKPSIGETAAMKVLELNPDDLGIYALVSNFFAMGNMWDEVAAVRKLMKETGMKKVPGYSVVDVNGKLHAFLKGDKSHNEYEAIASALDKLDYEMRLHDQNAGLAVAFGL >EOX94975 pep chromosome:Theobroma_cacao_20110822:1:32265177:32272116:1 gene:TCM_004561 transcript:EOX94975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermatogenesis-associated protein 20 isoform 1 MLKTLLLFSSSSPPPAFFSRHNRFSSLPRKFPYTYAHCPSLSWPFSSVFPRPIHAFKVLAMAEGTTTSSSHSHNYTNRLAAEHSPYLLQHAHNPVDWYPWSEEAFAEARKKDVPIFLSSRIFSYIVCHVMEVESFENEEVAKLLNDWFVSIKVDREERPDVDKVYMTYVQALYAGGGWPLSVFLSPDLKPLMGGTYFPPEDKYGRPGFKTILRKVKDAWDNKRDMLVKSGAFAIEQLSEALSTSAGSNQLPDGLPQNALRLCAEQLSRSYDSRLGGFGSAPKFPRPVEIQLMLYHSKKLEESGKPGGAKESQKMVFFSLECMARGGIHDHVGGGFHRYSVDEFWHVPHFEKMLYDQGQLVNVYLDAFSITKDVFYSFVSRDILDYLRRDMIGSEGEIFSAEDADSAEFEGETQKKEGAFYIWTSKEIDDILGKHASLFREHYYVKPSGNCDLSRMSDPHNEFKGKNVLIERNDSSALASKLDMPIQEYLDILGESRKKLFDVRSKRPRPHLDDKVIVSWNGLAISAFARGSKILKGESQGMCVNFPVVGCDPMEYLEVAEKAANFIRRHLYNEQTHRLQHSFRNGPSKAPGFLDDYAFLISGLLDLYECGGSTDWLVWATELQDMQDELFLDREGGAYFNTPGEDPSVLLRVKEDHDGAEPSGNSVSAINLVRLASMVSGSKSDRYRENAGHLLAVFETRLKDMAMAVPLMCCAADMLCVSSRKQVVLVGHKSSVEFENMLAAAHASYDPNKTVIPIDPTNTGEMEFWEANNSKVALMARQNFAADKVVALVCQNFTCSPPASSSKSLEDLLSKKTTSVV >EOX94976 pep chromosome:Theobroma_cacao_20110822:1:32265535:32271683:1 gene:TCM_004561 transcript:EOX94976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermatogenesis-associated protein 20 isoform 1 MLKTLLLFSSSSPPPAFFSRHNRFSSLPRKFPYTYAHCPSLSWPFSSVFPRPIHAFKVLAMAEGTTTSSSHSHNYTNRLAAEHSPYLLQHAHNPVDWYPWSEEAFAEARKKDVPIFLSIGYSTCHWCHVMEVESFENEEVAKLLNDWFVSIKVDREERPDVDKVYMTYVQALYAGGGWPLSVFLSPDLKPLMGGTYFPPEDKYGRPGFKTILRKVKDAWDNKRDMLVKSGAFAIEQLSEALSTSAGSNQLPDGLPQNALRLCAEQLSRSYDSRLGGFGSAPKFPRPVEIQLMLYHSKKLEESGKPGGAKESQKMVFFSLECMARGGIHDHVGGGFHRYSVDEFWHVPHFEKMLYDQGQLVNVYLDAFSITKDVFYSFVSRDILDYLRRDMIGSEGEIFSAEDADSAEFEGETQKKEGAFYIWTSKEIDDILGKHASLFREHYYVKPSGNCDLSRMSDPHNEFKGKNVLIERNDSSALASKLDMPIQEYLDILGESRKKLFDVRSKRPRPHLDDKVIVSWNGLAISAFARGSKILKGESQGMCVNFPVVGCDPMEYLEVAEKAANFIRRHLYNEQTHRLQHSFRNGPSKAPGFLDDYAFLISGLLDLYECGGSTDWLVWATELQDMQDELFLDREGGAYFNTPGEDPSVLLRVKEDHDGAEPSGNSVSAINLVRLASMVSGSKSDRYRENAGHLLAVFETRLKDMAMAVPLMCCAADMLCVSSRKQVVLVGHKSSVEFENMLAAAHASYDPNKTVIPIDPTNTGEMEFWEANNSKVALMARQNFAADKVVALVCQNFTCSPPASSSKSLEDLLSKKTTSVV >EOX94977 pep chromosome:Theobroma_cacao_20110822:1:32265309:32271062:1 gene:TCM_004561 transcript:EOX94977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermatogenesis-associated protein 20 isoform 1 MLKTLLLFSSSSPPPAFFSRHNRFSSLPRKFPYTYAHCPSLSWPFSSVFPRPIHAFKVLAMAEGTTTSSSHSHNYTNRLAAEHSPYLLQHAHNPVDWYPWSEEAFAEARKKDVPIFLSIGYSTCHWCHVMEVESFENEEVAKLLNDWFVSIKVDREERPDVDKVYMTYVQALYAGGGWPLSVFLSPDLKPLMGGTYFPPEDKYGRPGFKTILRKVKDAWDNKRDMLVKSGAFAIEQLSEALSTSAGSNQLPDGLPQNALRLCAEQLSRSYDSRLGGFGSAPKFPRPVEIQLMLYHSKKLEESGKPGGAKESQKMVFFSLECMARGGIHDHVGGGFHRYSVDEFWHVPHFEKMLYDQGQLVNVYLDAFSITKDVFYSFVSRDILDYLRRDMIGSEGEIFSAEDADSAEFEGETQKKEGAFYIWTSKEIDDILGKHASLFREHYYVKPSGNCDLSRMSDPHNEFKGKNVLIERNDSSALASKLDMPIQEYLDILGESRKKLFDVRSKRPRPHLDDKVIVSWNGLAISAFARGSKILKGESQGMCVNFPVVGCDPMEYLEVAEKAANFIRRHLYNEQTHRLQHSFRNGPSKAPGFLDDYAFLISGLLDLYECGGSTDWLVWATELQDMQASVIDGPISIDSSTIFLPNILLAYFSLFVVQDELFLDREGGAYFNTPGEDPSVLLRVKEDHDGAEPSGNSVSAINLVRLASMVSGSKSDRYRENAGHLLAVFETR >EOX91466 pep chromosome:Theobroma_cacao_20110822:1:2809630:2811817:1 gene:TCM_000652 transcript:EOX91466 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 2 MEEEAEIDRLPIDLLAHILVMITSFTDLAQASGVCRKWKQGVKQALARRKTLSFAGCKMDDDSTARLVRHAYSLKELDISRSRWGCQITDNGLYKISLAKCVSNLTSISLWGMTGITDKGVVQLITSANSLQHLNVGGTFITDESLSAIADSCPRLNSIVLWSCRHVTENGLIVLVSKCRKLESINVWGTRVPLDCFIGLLTISPALKIKP >EOX91468 pep chromosome:Theobroma_cacao_20110822:1:2809886:2811381:1 gene:TCM_000652 transcript:EOX91468 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 2 MEEEAEIDRLPIDLLAHILVMITSFTDLAQASGVCRKWKQGVKQALARRKTLSFAGCKMDDDSTARLVRHAYSLKELDISRSRWGCQITDNGLYKISLAKCVSNLTSISLWGMTGITDKGVVQLITSANSLQHLNVGGTFITDESLSAIADSCPRLNVSYISTFFFTETLFFKIFEILKISVAV >EOX91467 pep chromosome:Theobroma_cacao_20110822:1:2809951:2811819:1 gene:TCM_000652 transcript:EOX91467 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 2 MWMDGYVCRASGVCRKWKQGVKQALARRKTLSFAGCKMDDDSTARLVRHAYSLKELDISRSRWGCQITDNGLYKISLAKCVSNLTSISLWGMTGITDKGVVQLITSANSLQHLNVGGTFITDESLSAIADSCPRLNSIVLWSCRHVTENGLIVLVSKCRKLESINVWGTRVPLDCFIGLLTISPALKIKP >EOX91469 pep chromosome:Theobroma_cacao_20110822:1:2809603:2811819:1 gene:TCM_000652 transcript:EOX91469 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 2 MEEEAEIDRLPIDLLAHILVMITSFTDLAQASGVCRKWKQGVKQALARRKTLSFAGCKMDDDSTARLVRHAYSLKELDISRSRWGCQITDNGLYKISLAKCVSNLTSISLWGMTGITDKGVVQLVCGGFCSFCNQCPLTFMHFPFLHGDLIFFSFFSSSIDNLKQITSANSLQHLNVGGTFITDESLSAIADSCPRLNSIVLWSCRHVTENGLIVLVSKCRKLESINVWGTRVPLDCFIGLLTISPALKIKP >EOX92240 pep chromosome:Theobroma_cacao_20110822:1:5886403:5889864:1 gene:TCM_001216 transcript:EOX92240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF-2, putative isoform 1 MAKRRAKRTVKEVPASAERKVEDDDKKGSQTEENEEGLIDQEVERQCAAIRAIRDVEIEHMLTALRLLRSYCSEEQLQTPALQFFNENLPNLSVVRNAENGQFEVRWKHEDGNISVHNADGRDIHSSLLHRMSIAYPHGAGIPSFGGFELTTETERTSLLQGDNLQIKDFLLEGTSDNQMFGMHDALRTPGVTSQRLSIGMTPKTLRLPKPGEMLLSVHGSPLGVYKEDNMEAIHGVRGGVIDFKLFSQL >EOX92241 pep chromosome:Theobroma_cacao_20110822:1:5886403:5889864:1 gene:TCM_001216 transcript:EOX92241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF-2, putative isoform 1 MAKRRAKRTVKEVPASAERKVEDDDKKGSQTEENEEGLIDQEVERQCAAIRAIRDVEIEHMLTALRLLRSYCSEEQLQTPALQFFNENLPNLSVVRNAENGQFEVRWKHEDGNISVHNADGRDIHSSLLHRMSIAYPHGAGIPSFGGFELTTETAERTSLLQGDNLQIKDFLLEGTSDNQMFGMHDALRTPGVTSQRLSIGMTPKTLRLPKPGEMLLSVHGSPLGVYKEDNMEAIHGVRGGVIDFKLFSQL >EOX96361 pep chromosome:Theobroma_cacao_20110822:1:37499777:37501471:-1 gene:TCM_005619 transcript:EOX96361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Matrixin family protein MLLPSTPPLSLLLIFFIHNLPPYMAARPAPEFASNQLTETTPSNYYYYYYYYYYHNRFLNSRRGSHVSGLSEIKKYFHYFGYLTSQDYDVSDDMFDAPLESAVIYYQSKLGLQVTGKLDFDTLSQILAPRCGVPDAGSSPALHATKNYVYFPGKPRWARRIPMTLTYGFSPDYMIRYLSLSDIRKTFKGAFSKWESVIPVRFVETDDYGFADIKIGFYAGDHGDGEPFDGVLGILGHSFSPESGKLHLDAAETWAVDFQVEKSKVAIDLESVAVHEIGHLLGLAHSPVKGAVMYPSLKPRVKKVDLSLDDIQGVQSLYGTNPNFTFSSSLESDTSANPAVGSRFEPSLWAMSMLLAACFCI >EOX96214 pep chromosome:Theobroma_cacao_20110822:1:37008937:37012449:1 gene:TCM_005512 transcript:EOX96214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol-4alpha-methyl oxidase 1-1 MLPYQTLEEATLALGRNLTLAERLWYNYSAQKSDFLLYSHNALFMILVFSLVPLPSAFMELSQSKRMDKFKIQPNVKRTFSDMFNCYKYVMKMLAFVLGPLQFVSFPAIKWLGIHTSLPLPSLWEVLSQLLVYFLIEDYASYWFHRLLLHSKWGYHKIHYVHHEYRASFGFTALYTHWAEILVFGIPTFLGPAMVPCHMITLCLWTSLRLVEAIEAHNGFEFPWSPTKFIPFYVGAEYHDYHHYVGGQSQSNFASVLTYCDFIYGTNKGYRHRKQALEKLKGK >EOX94792 pep chromosome:Theobroma_cacao_20110822:1:31405963:31413551:1 gene:TCM_004402 transcript:EOX94792 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein isoform 4 MKFQIEDVTVYFPYDHIYPEQYSYMIELKRALDAKGHCLLEMPTGTGKTIALLSLITSYSLSKPQSPIKLIYCTRTVHEMEKTLAELKLLHNYQIKHLGPQARILAIGLSSRKNLCVNPTVLAAENRDSVDAGCRKLTASWVRAMAAENPNVPTCQFFENYEKAASAAVLPPGVYTLQDLRAFGKEKGWCPYFLARHMVQFANVVVYSYQYLLDPKVAGIISKEMQKESVVVFDEAHNIDNVCIEALSVSVRRQTLEGATRNLNRISQEIDSRKNLFHKLGPKPTYAVGLFHGRFKATDASRLRSEYNRLVEGLALRGNLPITDTWLSNPALPDDILKEAVPGNIRRAEHFLHVLRRLVQYLRGRLETDNVEKESPVGFVASISSHAGIDQKTLKFCYDRLHSLMLTLEITDTDEFLHIQTICDFATLIGTYARGFSIIIEPFDERMPHIPDPVLQLSCHDASLAIKPVFDRFQSVVITSGTLSPIDLYPRLLNFHPVVSRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGKLLLEMVSVVPDGIVCFFVSYSYMDGIINTWNDSGILKEIMQHKLVFIETQDVVETTLALDNYRRACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSKILLARLEYLRDTFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPSWILSHLRDANLNLSTDMALHIAREFLRKMAQPYDKTGGSDRKTLLSQEDLEKMGDGSVHEMLY >EOX94794 pep chromosome:Theobroma_cacao_20110822:1:31406131:31413365:1 gene:TCM_004402 transcript:EOX94794 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein isoform 4 MKFQIEDVTVYFPYDHIYPEQYSYMIELKRALDAKGHCLLEMPTGTGKTIALLSLITSYSLSKPQSPIKLIYCTRTVHEMEKTLAELKLLHNYQIKHLGPQARILAIGLSSRKNLCVNPTVLAAENRDSVDAGCRKLTASWVRAMAAENPNVPTCQFFENYEKAASAAVLPPGVYTLQDLRAFGKEKGWCPYFLARHMVQFANVVVYSYQYLLDPKVAGIISKEMQKESVVVFDEAHNIDNVCIEALSVSVRRQTLEGATRNLNRISQEIDRFKATDASRLRSEYNRLVEGLALRGNLPITDTWLSNPALPDDILKEAVPGNIRRAEHFLHVLRRLVQYLRGRLETDNVEKESPVGFVASISSHAGIDQKTLKFCYDRLHSLMLTLEITDTDEFLHIQTICDFATLIGTYARGFSIIIEPFDERMPHIPDPVLQLSCHDASLAIKPVFDRFQSVVITSGTLSPIDLYPRLLNFHPVVSRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGKLLLEMVSVVPDGIVCFFVSYSYMDGIINTWNDSGILKEIMQHKLVFIETQDVVETTLALDNYRRACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSKILLARLEYLRDTFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPSWILSHLRDANLNLSTDMALHIAREFLRKMAQPYDKTGGSDRKTLLSQEDLEKMGDGSVHEMLY >EOX94793 pep chromosome:Theobroma_cacao_20110822:1:31406297:31411948:1 gene:TCM_004402 transcript:EOX94793 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein isoform 4 MKFQIEDVTVYFPYDHIYPEQYSYMIELKRALDAKGHCLLEMPTGTGKTIALLSLITSYSLSKPQSPIKLIYCTRTVHEMEKTLAELKLLHNYQIKHLGPQARILAIGLSSRKNLCVNPTVLAAENRDSVDAGCRKLTASWVRAMAAENPNVPTCQFFENYEKAASAAVLPPGVYTLQDLRAFGKEKGWCPYFLARHMVQFANVVVYSYQYLLDPKVAGIISKEMQKESVVVFDEAHNIDNVCIEALSVSVRRQTLEGATRNLNRISQEIDRFKATDASRLRSEYNRLVEGLALRGNLPITDTWLSNPALPDDILKEAVPGNIRRAEHFLHVLRRLVQYLRGRLETDNVEKESPVGFVASISSHAGIDQKTLKFCYDRLHSLMLTLEITDTDEFLHIQTICDFATLIGTYARGFSIIIEPFDERMPHIPDPVLQLSCHDASLAIKPVFDRFQSVVITSGTLSPIDLYPRLLNFHPVVSRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGKLLLEMVSVVPDGIVCFFVSYSYMDGIINTWNDSGILKEIMQHKLVFIETQDVVETTLALDNYRRACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSKILLARLEYLRDTFQIKEGDFLTFDALEASCSMCRSSYPFKG >EOX94795 pep chromosome:Theobroma_cacao_20110822:1:31406131:31413365:1 gene:TCM_004402 transcript:EOX94795 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein isoform 4 MKFQIEDVTVYFPYDHIYPEQYSYMIELKRALDAKGHCLLEMPTGTGKTIALLSLITSYSLSKPQSPIKLIYCTRTVHEMEKTLAELKLLHNYQIKHLGPQARILAIGLSSRKNLCVNPTVLAAENRDSVDAGCRKLTASWVRAMAAENPNVPTCQFFENYEKAASAAVLPPGVYTLQDLRAFGKEKGWCPYFLARHMVQFANVVVYSYQYLLDPKVAGIISKEMQKESVVVFDEAHNIDNVCIEALSVSVRRQTLEGATRNLNRISQEIDRFKATDASRLRSEYNRLVEGLALRGNLPITDTWLSNPALPDDILKEAVPGNIRRAEHFLHVLRRLVQYLRGRLETDNVEKESPVGFVASISSHAGIDQKTLKFCYDRLHSLMLTLEITDTDEFLHIQTICDFATLIGTYARGFSIIIEPFDERMPHIPDPVLQLSCHDASLAIKPVFDRFQSVVITSGTLSPIDLYPRLLNFHPVVSRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGKLLLEMVSVVPDGIVCFFVSYSYMDGIINTWNDSGILKEIMQHKLVFIETQDVVETTLALDNYRRACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSKILLARLEYLRDTFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKR >EOX91268 pep chromosome:Theobroma_cacao_20110822:1:2128881:2131763:-1 gene:TCM_000514 transcript:EOX91268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDPFLQHLFTTVCSLVALLSCIYFYQSKKASTHGKRCCTPPQAGGALPVIGHMHLLGGQQLTHKTLGAMADKYGPVFSIRLGSHRVLVLNSWEMAKECFTVHDKVFSTRPSIAASKHLGYDFAMFGFAPYGSYWREMRKIATIELLSSHRIDMLKHIRASEVKTAIRELYKSWLSKGSAETEVFVDMKQWFGDLTHNIALRMVGGRRYFGPNADCEEADARRCQKVMRDFVYLFGVFVLSDAIPFLGWLDFQGYEKSMKRTAKQLDSLVERWLEEHKQKRLSGGGVIEEQDFMDVMLNILEDGKITGFDADTINKATCLNLILAGSDTTMVTLTWALSLLLNNPRVLKRAQDELDMHVGKDRPLEESDISNLVYLQSIVKETLRLYPPSPIIGLRAALEDCTLSTGYHIPSGTRLMVNAWKIQRDERVWPDPHDFQPERFLTSHKDMDFRGQTFELIPFGSGRRSCPGTSLALKMVHFILGRFLHSFDVAKPSKLEDVDMTESAGLTNLKATPLEVLVTPRLNSQLYVV >EOX96091 pep chromosome:Theobroma_cacao_20110822:1:36582646:36584339:-1 gene:TCM_005426 transcript:EOX96091 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MFGSGMNLITTIIGFGMSATFIVFVCTRIICGRIRGAQARQMFQTESRIDLEQPEQQIGGLEPVVVAAIPTLRFNREAFSSIEDAQCSICLGDYQEKEILRIMPKCGHNFHLSCIDVWLRKQSTCPVCRLPLQESSEAKSARPATLTMTQSMESPETSTYHSGQWLLPGPDRSVGNQINQPHLDSATRTPEMNTGQAET >EOX93306 pep chromosome:Theobroma_cacao_20110822:1:12001148:12007332:-1 gene:TCM_002152 transcript:EOX93306 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein / bromo-adjacent (BAH) domain-containing protein isoform 1 MAKTKPGKKDLDSYTIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHTFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDQFLCSDCSSEDDAKRSLNAFAVSPSLEAKVEPKRRKR >EOX93305 pep chromosome:Theobroma_cacao_20110822:1:11999358:12007142:-1 gene:TCM_002152 transcript:EOX93305 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein / bromo-adjacent (BAH) domain-containing protein isoform 1 MAKTKPGKKDLDSYTIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHTFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDQFLCSDCSSEDDAKRSLNAFAVSPSLEAKVEPKRRKR >EOX93307 pep chromosome:Theobroma_cacao_20110822:1:12001362:12007142:-1 gene:TCM_002152 transcript:EOX93307 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein / bromo-adjacent (BAH) domain-containing protein isoform 1 MAKTKPGKKDLDSYTIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHTFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDCMFQVSSFLHGYDH >EOX91640 pep chromosome:Theobroma_cacao_20110822:1:3391693:3393667:-1 gene:TCM_000764 transcript:EOX91640 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCL domain class transcription factor MMQFTETPPQPLHQITPFSIPTMNKNQIHRARPWPGFPTSKGLGSFGDANCMEQLLVHCANAIESNDATLAQQILWVLNNIAPPDGDSNQRLTCAFLRALIVRAAKSGTCKMLAAMANAHCNLSIDIHTFSVIELASFVDLTPWHRFGFTAANAAILEAVEGYSVIHIVDLSLTHCMQIPTLIDAIANRLEGPPLLKLTVAGGATEDIPPMLDLSYEELGSKLVNFARSRNVMLEFRVVASTYADGFSSLIEQLRVQHLVYAESGEALVINCHMMLHYIPEETLSPLPNANSNLYSFEPSSTSSFRTMFLKALRGLDPTVVVLVDEDADLTSNNLVCRLRSAFNYLWIPYDTVDTFLPQGSKQRQWYEADICWKIENVIAHEGLQRVDRLEPKSRWVQRMRNANFRGLSFGEDAVSEVKTMLDEHAAGWGFKKEEDDLVLTWKGHNVVFATAWVPA >EOX91684 pep chromosome:Theobroma_cacao_20110822:1:3535147:3536452:-1 gene:TCM_000796 transcript:EOX91684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGMQDQRLVLPSFNILILLSSSILVHIYRLFKHILFYSSVSCCNLRFLLINKNIYIHGIYQYIWFSLY >EOX96630 pep chromosome:Theobroma_cacao_20110822:1:38451903:38455613:1 gene:TCM_005841 transcript:EOX96630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1p/L10e family MTTCTTPSSSLMLAYAASTVHGQDLTPSLLSFKSNKPTFSLYPLVLKTSKDRKSSLKWANLCPQRDSKHVVVAAVAAEAEVAEAAEGKEGVAEGATATATAPAPPKPKRGKAALPLKRDRTRSKRFLEIQKLRENKKEYDLKTAISLLKEMTSAKFVESAEAHFRLNIDPKYNDQQLRATVNLPKGTGQTVKVAVLTQGEKFDEAKNAGADIVGGEDLIEQIKKGFMEFDKLIASPDMMVKVASLGKILGPRGLMPNPKAGTVTPNLPQAIEEFKKGKVEYRADKTGIVHIPFGKVDFSEEDLLLNFLAAVKSIETNKPKGAKGVYWKSAHICTSMGPSIRLSIREMLDYKPPSNI >EOX92298 pep chromosome:Theobroma_cacao_20110822:1:6126720:6127104:1 gene:TCM_001263 transcript:EOX92298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKQAKMSGTKPTTDNASTWSPPMDGLPEPSRAEQATMDARFTSYESRRLKAFQEIWPGSTYSSPSSNDVVEPSKRNGEATRSR >EOX92704 pep chromosome:Theobroma_cacao_20110822:1:8192419:8193135:-1 gene:TCM_001607 transcript:EOX92704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic release factor 1 (eRF1) family protein MALIKDTKAVMEIRAYKEFSDLLLTDSDRACYGPRSVETANEMMAIETLLFTDDLLRNKEIALRKKYMELVKSVKKAGGNAFLFSSMHVSGEQLAQSTGIASILKFLLPHLDELVL >EOX94797 pep chromosome:Theobroma_cacao_20110822:1:31443129:31445477:1 gene:TCM_004408 transcript:EOX94797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHPGQYFNALLMVLGLVRLRPCLKRNADRLDKLIGQVLFKALYFHRCNHMGHELVSLPPNPSLGPKNGQA >EOX95844 pep chromosome:Theobroma_cacao_20110822:1:35746544:35756721:1 gene:TCM_005246 transcript:EOX95844 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRIP-related ARF-binding domain-containing protein 1 isoform 1 MWSSIANLKENLNKIALDVHDDDDEELEIYGSGNGDHSPFFDRRNSNRFAHSKPVSLSPVANGIDSPFNFEIERYRAEIKKLQESEAEIKALSVNYAALLKEKEEQISRLNQENGSLKQNLNVTNAALSAARSESSKVSSNGINALKGSSDQSPNRQHRSTSLVKNCYAGNQMSNGLSSKHDEKEKELADLLEEKNRSLEAVQASHESQIKQFNMELEKERDKLANVQIRLHEERKLNESFQEELKLLKSDKDKSVTELSKIRNELNEKIIEIRRLQMELNRRENDSADDTLENLRRVIATLEKENTHLKKEKNELEAALEISKKSLTGKIHPDAAETLDIDSSGCFPGKKEMELSLQKLEDDLKETCRERDKALQELTRLKQHLLEKESEESEKMDEDSKIIEELHESNEYQRAQIAHLEKALKLAMANQEEVKMMNNNEIQKSKEIIDDLNQKLANCMRTIDLKNVELLNLQTALGQYYAEIEAKEHLERDLALAREESAKLSGLLKDADERAELLKREKEEILVKLSQTERMLAEGKARVNKLEEDNGKLRRALEQSMTRLNRMSMDSDYLVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSDEDKQRIGVAQQGTGKGVVRGVLGLPGRLVGGILGGSSTDVHANMASDNQSIADLWVDFLLKETEEREKRESAEDASRSKENLHGRSPDATGTSPSVPNQRTTTAGSGFSRSSFSPSQNSGPVPPQGNFRQFEHSDSEFSTVPLTSSESSSRLSRLLPKY >EOX95845 pep chromosome:Theobroma_cacao_20110822:1:35745951:35756923:1 gene:TCM_005246 transcript:EOX95845 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRIP-related ARF-binding domain-containing protein 1 isoform 1 MWSSIANLKENLNKIALDVHDDDDEELEIYGSGNGDHSPFFDRRNSNRFAHSKPVSLSPVANGIDSPFNFEIERYRAEIKKLQESEAEIKALSVNYAALLKEKEEQISRLNQENGSLKQNLNVTNAALSAARSESSKVSSNGINALKGSSDQSPNRQHRSTSLVKNCYAGNQMSNGLSSKHDEKEKELADLLEEKNRSLEAVQASHESQIKQFNMELEKERDKLANVQIRLHEERKLNESFQEELKLLKSDKDKSVTELSKIRNELNEKIIEIRRLQMELNRRENDSADDTLENLRRVIATLEKENTHLKKEKNELEAALEISKKSLTGKIHPDAAETLDIDSSGCFPGKKEMELSLQKLEDDLKETCRERDKALQELTRLKQHLLEKESEESEKMDEDSKIIEELHESNEYQRAQIAHLEKALKLAMANQEEVKMMNNNEIQKSKEIIDDLNQKLANCMRTIDLKNVELLNLQTALGQYYAEIEAKEHLERDLALAREESAKLSGLLKDADERAELLKREKEEILVKLSQTERMLAEGKARVNKLEEDNGKLRRALEQSMTRLNRMSMDSDYLVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSDEDKQRIGVAQQGTGKGVVRGVLGLPGRLVGGILGGSSTDVHANMASDNQICGLIFCSRKLKKEKRGSLQKMLVDPRKTFMEEVQMLPELVHLCLTKGLQPLVLDSLGLVSLQAKTQAPYPHKEIFGNLNIPILNSQLFLSRHQRVVLVYQDYSQNTEKSISCFDDVIIQRYSIFVDVVAMMKFTPINSFILEMRRNPSIVHCFLLICPIYLKMDLGDR >EOX96401 pep chromosome:Theobroma_cacao_20110822:1:37665795:37667359:1 gene:TCM_005652 transcript:EOX96401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein MAARLPNCFIASIRTQNYTRVKTRDRQPLIRRESLILKATAMDSSVTKTYYQNVVVMRHGDRMDNFDPTWVVTAERPWDPPLIHDGLVRAFRTGRALRAHLGFPIHRVFVSPFLRCVQTASEAVAALCAVDDDPNAKSACGVISIDPSKVKVSIEYGLCEMLSREAIRLDVAPKDGIFRFDVPQLEAMLPSGTVDPTVERVYKELPQWEETVTGSRTRYEQTIKALADKYPSENLLLVTHGEGVGVSVSGFLEDTIVVEVDYCAYSELRRPISCKYEPVAAGKFEVLTQSGKTGVTYYPTSNLT >EOX96493 pep chromosome:Theobroma_cacao_20110822:1:38006102:38007454:1 gene:TCM_005727 transcript:EOX96493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase family protein MEQTFIMIKPDGVQRGLVGEIIGRFEKKGFYMKGLKLINVDRAFAEKHYADLSAKPFFNGLVEYIISGPVVAMVWEGKNVVTTGRKIIGATNPAESAPGTIRGDLAIDIGRNVIHGSDSVESARKEIALWFPECPVNWESSLHPWIYE >EOX96573 pep chromosome:Theobroma_cacao_20110822:1:38292272:38294994:1 gene:TCM_005800 transcript:EOX96573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEAKARDLNVMFIETSAKAGFNIKALFRKIAAALPGMETLSSTKQEDMVDVNLKSTNANASQSQQQSGGCAC >EOX92909 pep chromosome:Theobroma_cacao_20110822:1:9382654:9384998:-1 gene:TCM_001772 transcript:EOX92909 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing-like protein MKEKLPLEIVENIFTRLPVKSLLRFRCVCKSCNSFIKSSNFIAAHLSQSIVATKNRALLLLSYGPQRNNKIHTTTKETVIKVQDLDSSFSHCRLIGCCHGLICLTGRQRFGGFVFIIWNPLIRKSVTFTEPDNYFGSCFISNGFGFDSRTNDYKAVSIVQFAHKAPVSPVVRIYSLNAGSWKDISERAPTCKMIEINWTQPFVKGAIHWLASRREGNNSSSDYHMILSLDMNDEAFHEMILPRSLAHGYSPQEIHVSAFQDSIALLHFFNTKYLSIWVIKEYGVAESWIKNLVVDLEINMPHNVSRLLSFRSNGELLLEIDDGEVILYEPETESKQISFLRLLGDQDYRFLDSYNESLLLLDINSNVASPSVTNEEEHTGS >EOX94098 pep chromosome:Theobroma_cacao_20110822:1:21089199:21091733:1 gene:TCM_003246 transcript:EOX94098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVYPTPHNVDFYDHDENDYTFDELQDAYDDLMFEFEERISKYENIIRKLKIENENLIKARIELDNNMKGMQNEIDLLKKK >EOX94097 pep chromosome:Theobroma_cacao_20110822:1:21091071:21091277:1 gene:TCM_003246 transcript:EOX94097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKNKQKGLVLKSLVKKEKGSIKSESENEGDLAMLGRKFKKFIVKNSRARKTIKRDVPKEECPRNHLT >EOX95100 pep chromosome:Theobroma_cacao_20110822:1:32867902:32868537:1 gene:TCM_004666 transcript:EOX95100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MHVCGCLHWKHHQPSPGSAFVGGELGRSYVSLLSCCGEQMLMFEQ >EOX93832 pep chromosome:Theobroma_cacao_20110822:1:16279787:16280213:-1 gene:TCM_002777 transcript:EOX93832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHFCHSQKLSSGEGIALALVSLQRESCGAFRCSQNLARNSQVWVRNLCSEFRYSENGFSLQRETLGWLHSKPFLEFRCNEKASGN >EOX91917 pep chromosome:Theobroma_cacao_20110822:1:4473730:4475528:-1 gene:TCM_000968 transcript:EOX91917 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MANCTKLHIAMFPSLAFGHMIPYLELAKLRAQKGHKISFISTPRNVDRLPKLPPNVASSIQFVKLPQPHVENLPENAEATIDLPYEKPPSPLIDIQDDRKKPEDFTKPPKWVPFPSSVAFRLFEILRIFDSIAGEGSHTSDLYRFEKAIERSDAIAVRSCWEFETEYLNLLKELHQKAVIPVGLLPPTAYNNGNHDDEIWKPIKEWLDKQQPGSVVYVAFGSEAKPNNESIELPDGFEERTVGRGVVCTSWGPQLKILAHDLVGLNARVMEEKKMGYVIPRNEDDGAFTRDSVAESLRLVMVEEEGKIYRAKAKEMKEIFGDWEKQECYIDQLLSFLVTNKNLIKGSRMEE >EOX92554 pep chromosome:Theobroma_cacao_20110822:1:7455014:7464956:1 gene:TCM_001490 transcript:EOX92554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MITGWRETALAGNIEALYASIQEDGDVLKRIDEVEFVDTPLHIAAAAGHTDFVMEMMNLKPSFAKKLNQRGFSSLHLALQNGHKETVLRLLEINKDLVRVKGKEGYTPLHYVTREGNLDLLAKFLEDCPECIFDVTIHNQTAFHIAVENNRLAALRVLSKMLKKTDCCQDVVDRKDKDGNTALHRAAAKNYPQMLKLLLACKADNNVTNQAGLTALDVARGQVNNRESINILGVCSFPGVSTLYELWQLIVKFLTKASTEIFQDIDSISSEDRNALLVILGLLLTATYQAILSPPGGVWQGEVSETRLSPPSGAPTSTPTPTHSKKCRNMVGTSIMDPGEFLVFYLTICAVFIVAFFLTLGLLKPFPRGFKTALQMLKLLLACKADKNVTNQAGLTALDVARGQVNNGESINILRVCSFPGVSTIGGEQITLVKVQKLCLKTGIRSTSTPGTSLQWWGFPWTAYDRGTVTQLYICLREEMLGEVSPEAQDSLLIVD >EOX93100 pep chromosome:Theobroma_cacao_20110822:1:10433759:10435623:-1 gene:TCM_001944 transcript:EOX93100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase Sec MSTRIVPARESVATIASMRRRRTASGAASKGVVETMLQFYTNDGLGLKISPNVMLVMSIGFIAFVAVLQIMASALDVTRTKAKAEDVTRQG >EOX92806 pep chromosome:Theobroma_cacao_20110822:1:8685805:8693458:-1 gene:TCM_001678 transcript:EOX92806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MLPGASELYRSCEGVAETSKKGFCWFELKRLGMAEWGVCRQVKFVSSQGDSTAKQSSGIIEEEYDEDDKKNVKTELISCPEVRKRKCPSSNQLRKEKAARCGKQRLNSGPNKCKQNKRNNSAERWSTERYKLAEESMLEVMKAEGAVFENPISRPALRMAARKHIGDTGLLDHLLKHIDGKVAPGGTDRFRRCYNTSGVMEYWLESADLVNIRKEAGISPFWRKPDGVPFQDSACAVELKLLKEEMAKMKREMEELVSKQQEQGQANLIEGMQKELLKWKAKTDERLMEFSSSLNGLQDMCKELVTWKAGVEQQLLEISNSLSSLQASKQCTIFSPSASERWEDWLESTNLDNFQGGNLAPWLENPELINFGHDAAVQDTDLAPLAWSRPGHSPFQGPICAQELDTLNEDMAKIKRDVQEVVPRRQEEDQANVTPDSSVTANSKLDLDNSVLLFQEMLKDLVKWKAKIEQQLMEISSAVSAMQTSRFYGLIICSVILLSMDVKQQLKKSTYMQGDDERSNWIAFVSLRRMGQCHAGART >EOX92807 pep chromosome:Theobroma_cacao_20110822:1:8687148:8693408:-1 gene:TCM_001678 transcript:EOX92807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MLPGASELYRSCEGVAETSKKGFCWFELKRLGMAEWGVCRQVKFVSSQGDSTAKQSSGIIEEEYDEDDKKNVKTELISCPEVRKRKCPSSNQLRKEKAARCGKQRLNSGPNKCKQNKRNNSAERWSTERYKLAEESMLEVMKAEGAVFENPISRPALRMAARKHIGDTGLLDHLLKHIDGKVAPGGTDRFRRCYNTSGVMEYWLESADLVNIRKEAGISPFWRKPDGVPFQDSACAVELKLLKEEMAKMKREMEELVSKQQEQGQANLIEGMQKELLKWKAKTDERLMEFSSSLNGLQDMCKELVTWKAGVEQQLLEISNSLSSLQASKQCTIFSPSASERWEDWLESTNLDNFQGGNLAPWLENPELINFGHDAAVQDTDLAPLAWSRPGHSPFQGPICAQELDTLNEDMAKIKRDVQEVVPRRQEEDQANVTPDSSVTANSKLDLDNSVLLFQEMLKDLVKWKAKIEQQLMEISSAVSAMQTSRQ >EOX92808 pep chromosome:Theobroma_cacao_20110822:1:8687593:8693408:-1 gene:TCM_001678 transcript:EOX92808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MLPGASELYRSCEGVAETSKKGFCWFELKRLGMAEWGVCRQVKFVSSQGDSTAKQSSGIIEEEYDEDDKKNVKTELISCPEVRKRKCPSSNQLRKEKAARCGKQRLNSGPNKCKQNKRNNSAERWSTERYKLAEESMLEVMKAEGAVFENPISRPALRMAARKHIGDTGLLDHLLKHIDGKVAPGGTDRFRRCYNTSGVMEYWLESADLVNIRKEAGISPFWRKPDGVPFQDSACAVELKLLKEEMAKMKREMEELVSKQQEQGQANLIEGMQKELLKWKAKTDERLMEFSSSLNGLQDMCKELVTWKAGVEQQLLEISNSLSSLQASKQCTIFSPSASERWEDWLESTNLDNFQGGNLAPWLENPELINFGHDAAVQDTDLAPLAWSRPGHSPFQGPICAQELDTLNEDMAKIKR >EOX92809 pep chromosome:Theobroma_cacao_20110822:1:8687144:8693458:-1 gene:TCM_001678 transcript:EOX92809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAEWGVCRQVKFVSSQGDSTAKQSSGIIEEEYDEDDKKNVKTELISCPEVRKRKCPSSNQLRKEKAARCGKQRLNSGPNKCKQNKRNNSAERWSTERYKLAEESMLEVMKAEGAVFENPISRPALRMAARKHIGDTGLLDHLLKHIDGKVAPGGTDRFRRCYNTSGVMEYWLESADLVNIRKEAGISPFWRKPDGVPFQDSACAVELKLLKEEMAKMKREMEELVSKQQEQGQANLIEGMQKELLKWKAKTDERLMEFSSSLNGLQDMCKELVTWKAGVEQQLLEISNSLSSLQASKQCTIFSPSASERWEDWLESTNLDNFQGGNLAPWLENPELINFGHDAAVQDTDLAPLAWSRPGHSPFQGPICAQELDTLNEDMAKIKRDVQEVVPRRQEEDQANVTPDSSVTANSKLDLDNSVLLFQEMLKDLVKWKAKIEQQLMEISSAVSAMQTSRQ >EOX92606 pep chromosome:Theobroma_cacao_20110822:1:7730438:7736465:1 gene:TCM_001534 transcript:EOX92606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAGSIGGTGSSNPSPNPSAPKILLAKPSAGPVPGKFGRGGVEDETAPHRARLPPVGSLNLLSDSWEFHIDRFLPFLTENTDFMVIGIIGPPGVGKSMIMNELYGFDGISPGMLPPFAIQSEDTRAMARHCTVGIEPRISAERLILLDTQSVFSPSVLSEMMRPDGSSTVSVLSGESLSAELAHEIMNIQLGVLLASICHILLVVSEGVHDNNMWHLMLTVDLLKHGIPDPSLITPPHSQSSTVGPDKEGKDNVNEGEEYMATPVFVHTKLQDQDLSPPNIVQMRKALLRYFSSSSFMRHKCGNTKINAKDTELLNLFVIPYKDKDDSPSAQCESYVSSLWKLRDQVSFYTSFFLHQCLRLPCLLRNAAIF >EOX92605 pep chromosome:Theobroma_cacao_20110822:1:7730234:7738548:1 gene:TCM_001534 transcript:EOX92605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAGSIGGTGSSNPSPNPSAPKILLAKPSAGPVPGKFGRGGVEDETAPHRARLPPVGSLNLLSDSWEFHIDRFLPFLTENTDFMVIGIIGPPGVGKSMIMNELYGFDGISPGMLPPFAIQSEDTRAMARHCTVGIEPRISAERLILLDTQSVFSPSVLSEMMRPDGSSTVSVLSGESLSAELAHEIMNIQLGVLLASICHILLVVSEGVHDNNMWHLMLTVDLLKHGIPDPSLITPPHSQSSTVGPDKEGKDNVNEGEEYMATPVFVHTKLQDQDLSPPNIVQMRKALLRYFSSSSFMRHKCGNTKINAKDTELLNLFVIPYKDKDDSPSAQCESYVSSLWKLRDQVLSMTCPSFTRNVSERDWLKSSAKIWELVKSSPIISEYSRTLLSSGMFRR >EOX96653 pep chromosome:Theobroma_cacao_20110822:1:38544975:38549281:-1 gene:TCM_005855 transcript:EOX96653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1423) [Source:Projected from Arabidopsis thaliana (AT3G63500) TAIR;Acc:AT3G63500] MKRLRSSDDLDSYEKNASKESNQNQNRSSSHRSSSSSGFYYKPSTASESNARTKSNLISSSLSRYDRDRSVADEDSGREKERERLVRKRSEHDFESFDRRKVGFDRYRESGSNSSSQHRSESFCGPRRDFPKGFRSERDRTRRESGSGSSWRRFGIDENRGSKVQLREVRDVKSPTWSRDSLGPGRLVGETREREDLRRRSSKSKSKSRSPTLSRDSGSEQSKSVGGGGGGEPKKSEETPVESETSSEMEEGEFDPEPQAETEPELATEGGVEKEGKECSHREVENEPGEMNSTVEVVEEGNKEMGNEKKDEGKEDDELQDCGKSMNGGSSGSGDKMDDVGGDEVRKEEGVKVGGECEENSSKDAVVQKSSCLEENSKEDKGIDLEVQVEECEAAESNKEVAVENGDHNVNMDVVEIGLSQNVKDKGKGVAVESTNVTDSAENSVWIERESKNVEVDMEGPSTRGFELFSCSPVRRVEKAEQSGLDKPKDEKLALESLDLSLSLPNVLLPIGARDTDAVPGSPSHGRSVQSLTNTFRTNSDGFTASMSFSGSQSFYHNPSCSLTQNSMDNYEQSVHSRPIFQGVDQVSQGAWQSQNESRHKDVPMFQRILMNGNVSFSQSQALQGIANSPAVQAQNIHSLEGSSKMPNGLERQLSFHKQNDVRSPSQSVGSHEIGSNYSFEKKRAMREKHGLYRSSSQKEQEQLLIGGADFVETVISKMVSEPIYVMARKFHEMTGQSIACLKESIREIMLNAEKHGQLRASQEALRSRSDLTLETLLKSHRAQLEILVALKTGLPEYLQVDNSISSSDLAEIFLNLRCRNLMCRSSVPVDECDCKVCSKKNGFCSACMCLVCSKFDMASNTCSWVGCDVCLHWCHADCGLRESYIRNGHGAAEMQFHCVACDHPSEMFGFVKEVFQNFAKEWTLETFSKELEYVKRVFSGSKDVRGKRLHEIANQMIVRLAKKSDLFEVYSQMMGFLTDSDSSKPSNTTVLSGKEQGKGINGIAGPSQDATWLKSVYSDKAPQLESSSSLLPSFHVERTERPDKHRLESELQRSAQKQSFLPELESFVRIKQEEAKMYQTRADDARREAEGLKRIAMAKNEKIEEEYMSRITKLRLVEAEEMRKQKFDEFQALDRAYREYNGMKTRMEADIKDLLLKMEATRRNLAM >EOX96652 pep chromosome:Theobroma_cacao_20110822:1:38544317:38549815:-1 gene:TCM_005855 transcript:EOX96652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1423) [Source:Projected from Arabidopsis thaliana (AT3G63500) TAIR;Acc:AT3G63500] MKRLRSSDDLDSYEKNASKESNQNQNRSSSHRSSSSSGFYYKPSTASESNARTKSNLISSSLSRYDRDRSVADEDSGREKERERLVRKRSEHDFESFDRRKVGFDRYRESGSNSSSQHRSESFCGPRRDFPKGFRSERDRTRRESGSGSSWRRFGIDENRGSKVQLREVRDVKSPTWSRDSLGPGRLVGETREREDLRRRSSKSKSKSRSPTLSRDSGSEQSKSVGGGGGGEPKKSEETPVESETSSEMEEGEFDPEPQAETEPELATEGGVEKEGKECSHREVENEPGEMNSTVEVVEEGNKEMGNEKKDEGKEDDELQDCGKSMNGGSSGSGDKMDDVGGDEVRKEEGVKVGGECEENSSKDAVVQKSSCLEENSKEDKGIDLEVQVEECEAAESNKEVAVENGDHNVNMDVVEIGLSQNVKDKGKGVAVESTNVTDSAENSVWIERESKNVEVDMEGPSTRGFELFSCSPVRRVEKAEQSGLDKPKDEKLALESLDLSLSLPNVLLPIGARDTDAVPGSPSHGRSVQSLTNTFRTNSDGFTASMSFSGSQSFYHNPSCSLTQNSMDNYEQSVHSRPIFQGVDQVSQGAWQSQNESRHKDVPMFQRILMNGNVSFSQSQALQGIANSPAVQAQNIHSLEGSSKMPNGLERQLSFHKQNDVRSPSQSVGSHEIGSNYSFEKKRAMREKHGLYRSSSQKEQEQLLIGGADFVETVISKMVSEPIYVMARKFHEMTGQSIACLKESIREIMLNAEKHGQLRASQEALRSRSDLTLETLLKSHRAQLEILVALKTGLPEYLQVDNSISSSDLAEIFLNLRCRNLMCRSSVPVDECDCKVCSKKNGFCSACMCLVCSKFDMASNTCSWVGCDVCLHWCHADCGLRESYIRNGHGAAEMQFHCVACDHPSEMFGFVKEVFQNFAKEWTLETFSKELEYVKRVFSGSKDVRGKRLHEIANQMIVRLAKKSDLFEVYSQMMGFLTDSDSSKPSNTTVLSGKEQGKGINGIAGPSQDATWLKSVYSDKAPQLESSSSLLPSFHVERTERPDKHRLESELQRSAQKQSFLPELESFVRIKQEEAKMYQTRADDARREAEGLKRIAMAKNEKIEEEYMSRITKLRLVEAEEMRKQKFDEFQALDRAYREYNGMKTRMEADIKDLLLKMEATRRNLAM >EOX94704 pep chromosome:Theobroma_cacao_20110822:1:30955637:30957119:-1 gene:TCM_004316 transcript:EOX94704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein MEGFKVFQVIAILAAVSVISVNGQISTSCTASMISSFTPCLNFITGSTSNGSSPTQGCCGAVKSLMSGSMDCACLIITANVPFQLPINRTLALGLPRACNMGGVPVQCKGSGTPLPAPGPVPFLLPPTLPPTAASPLSPRASKASAEGPASESDTPLDLIPASAPGDEDEPEDPSATINPGIRPVVQPSASNPSYISPPSLLILLIGITIFKLY >EOX91161 pep chromosome:Theobroma_cacao_20110822:1:1812291:1814694:1 gene:TCM_000439 transcript:EOX91161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinone reductase family protein isoform 1 MGKGGGCVPSKKKHPSSAEEAARHRGSTTTTITNNAPIVADEEINETPSVTVDSTNTQSVTATLKIFIVFYSMYGHVEKLAKRMKKGVDGVQGVEAVIYRVPETLPADVLENMKAPPKDPEVPEIQAAELAEADGLLFGFPTRYGCMAAQMKAFFDSTGQLWKEQTLAGKPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLFVPIGYTFGAGMFKMDSIRGGSPYGAGVYAGDGTREPTETELGLAEHQGKYMASVVKRLSHA >EOX91162 pep chromosome:Theobroma_cacao_20110822:1:1812192:1813357:1 gene:TCM_000439 transcript:EOX91162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinone reductase family protein isoform 1 MGKGGGCVPSKKKHPSSAEEAARHRGSTTTTITNNAPIVADEEINETPSVTVDSTNTQSVTATLKIFIVFYSMYGHVEKLAKRMKKGVDGVQGVEAVIYRVPETLPADVLENMKAPPKDPEVPEIQAAELAEADGLLFGFPTRYGCMAAQMKAFFDSTGQLWKEQTLAGKPAGFFVSTGTQGGGQETTAWLLMNHVIAS >EOX92065 pep chromosome:Theobroma_cacao_20110822:1:5120082:5122455:1 gene:TCM_046679 transcript:EOX92065 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 85A2 MGSIGASKPHAVCVPYPSQGHVTPMMHLAKLLHSKGFHITFVNTEFNYRRLVRFKGAEAVKGLPDFRFDSIPDGLPHSDRDATQDIPALCDSTRKNCLAPFLELIAKLNSSSEVPPVSCIISDGVMSFGIKAGEILGIPEFQFWTASVCGFMGYLQYSELAKRGIVPFKDGNFISDGTLDTPIDWIPGMSNMRLKDIPSFIRTTDPNDIMFDFLGSEAQNCLKSSAIIFNTFDELEQEVLEAIVAKFPSVYTIGPLTLLGRHVPQSQLRSARSSLWKEDTSCIEWLNKREPNSVVYVNYGSVTVMSDHHLKEFAWGLANSKHPFLWIIRPDVVMGESAILPEEFFEEIKDRGLITSWCPQDEVLCHPSVGVFLTHCGWNSTLESISGGVPVICWPFFAEQQTNCRYACTKWGIGMEVNPDVKRDDVKALVKEMMEGDNGKQMRQKALEWRSKAEVATDIGGSSYNNFDRFINEALSIMDKNETF >EOX94906 pep chromosome:Theobroma_cacao_20110822:1:31951182:31961419:-1 gene:TCM_004506 transcript:EOX94906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister-chromatid cohesion protein 3 MDDDAPLASEITTRRYKRARVQPRGAGDEPSKANGNDRENQERSSDASDGSPNPEEREGSPDDFEEIRPKAKRNRAAEGTSDAPTDERLIEVIKGDGKRIPQAVKCWVERYEKNPKPAMVELLMMLFEACGAKYYIKEEFLDETDVDDVVVALVNLARKGEVEDYQSSKRKEFRNFKENLVSFWDNLVVECQNGPLFDKDLFDKCMDYIIALSCTPPRVYRQVASVMGLQLVTSFISVTKRLAAQRDTTQRQLNAERKKRADGPRVESLNNRLSATHEQILLMDEMMRKIFTGLFVHRYRDIDPNIRMSCIQSLGVWILSYPSLFLQDLYLKYLGWTLNDKSAGVRKAAVLALQNLYEVEDNVPTLSLFTERFSNRMIELADDIDVSVAVCAIGLVKQLLRHQLLPDDDLGPLYDLLIDDPPEIRRAIGELVYDHLIAQKFNSSQSGSKGNDSEIHLGRMLQILREFSTDAILSIYVIDDVWEYMKAMKDWKCIISMLLDENPLIELTDEDATNLTRLLFASVRKAVGERIVPASDNRKQYFNKAQKEIFENNRRDITVAMMKNYPLLLRKFMADKAKISSLVEIIVYMNLELYSLKRQEQSFKTVLQLIKDAFFKHGEKDALRSCVKAIKFCSTESRGELQDFARNKLKDLEDELLDKLKSAIKEVIDGEDEYSLFVNLKRLYELQLSRPVSIESLYGDSITILHSFRNLDDEVVSFLLLNMYLDVAWSLHSIINSEIVTEGSLSSLLSKRDTLLEELEYFLNAPPEVREGGKSGNQLACRVCTILADVWCLFRNTNFSPTKLERLGYCPDVSILYKFWRLCELQLNISDETEDEDVNKEYIEETNRDAVMIAAAKLIASDTVPKDYLAPEIISHFVMHGAGIAEIVKSLITVLKKKDDDVSVVFLGALKTAYHRHVECSKSDDVSLKSQSFQECKNLAARLAGMFIGAARNKHRPEILKIVKEGIEHAFEDAPKQLSFLEASVLHFASRLSLPDIRDILKDVQKRTEYVNTDEDPSGWRPYNTFYDSLQEKCAKNEGIQDEKELTTARRRGRPRKRRNIEGKRLFDEHSSSEEEDSISTSDQENAQGEGDKEVEEEEDDAPLIHSLKSSSKLRSLRVSREENRGHSRAGASGRATDNLAASRM >EOX91266 pep chromosome:Theobroma_cacao_20110822:1:2125778:2128665:1 gene:TCM_000513 transcript:EOX91266 gene_biotype:protein_coding transcript_biotype:protein_coding description:DSBA oxidoreductase family protein isoform 1 MQSQGMAPKSQNCRMMEKHKYWKEFQSNRHQFFKIMIGDFRNQLRIPRKFMSNFRENLSGTVYLRGPSGFMWAVEVERMFDEVVFGNGWQNFVKDHSLADADFVVFRYDGNSTFNVVIFDLSGCEREGSYFVKKHTSACSNGRCGFRREDGEGSEEVIDLDKVHENHMQKEKLTKGKGNTISKAVDTISQLKATQEKHLRVGKSAIASGVIEILMDESEESSGSATEASEDWSNNSVSLKCKSKKKEVGCLTNDSGKMKRPLDLPGSYNLYFISNRRKITEEEKQRPRRLAKQYSSTRPSFSIVMKPTHVCKAFTVNIREKWLDMHVPDKVRIALLRVAPDEKRWPVRIMRTKWRRGFARGWGKFVLDNNLEEHDVCVFELNEEGQANKKSIGFNVVIFRVLDEIVPLTRFSNTQPNASD >EOX91267 pep chromosome:Theobroma_cacao_20110822:1:2126710:2128313:1 gene:TCM_000513 transcript:EOX91267 gene_biotype:protein_coding transcript_biotype:protein_coding description:DSBA oxidoreductase family protein isoform 1 MMNDEPLSMNMIPVLASLLLSNAFGLTNFLLVLQRIPRKFMSNFRENLSGTVYLRGPSGFMWAVEVERMFDEVVFGNGWQNFVKDHSLADADFVVFRYDGNSTFNVVIFDLSGCEREGSYFVKKHTSACSNGRCGFRREDGEGSEEVIDLDKVHENHMQKEKLTKGKGNTISKAVDTISQLKATQEKHLRVGKSAIASGVIEILMDESEESSGSATEASEDWSNNSVSLKCKSKKKEVGCLTNDSGKMKRPLDLPGSYNLYFISNRRKITEEEKQRPRRLAKQYSSTRPSFSIVMKPTHVCKAFTVNIREKWLDMHVPDKVRIALLRVAPDEKRWPVRIMRTKWRRGFARGWGKFVLDNNLEEHDVCVFELNEEGQANKKSIGFNVVIFRVLDEIVPLTRFSNTQPNASD >EOX93873 pep chromosome:Theobroma_cacao_20110822:1:16955559:16958845:-1 gene:TCM_002857 transcript:EOX93873 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MSTLEVRGPSLRGYRRRKAVLDLNVPPSEIREQEGTSQQAGSEELTSQPLPPVPPATIDVEAFDDDVIESSARAFAEAKNNSRRSRGRTVLVDLDSGQLARSTNNNHNRRRRLPPSQTVINCDHYINLESTAQTMVEEIIKPQPPPKEPTFNCPICMGPLVEEMSTRCGHIFCKACIKAAIAAQGKCPTCRKRVTVKELIRVFLPSAS >EOX91843 pep chromosome:Theobroma_cacao_20110822:1:4109478:4114852:1 gene:TCM_000909 transcript:EOX91843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPIEFIIIINVVELIEEMWKPEEHMCLKSDFGPMINLWSLNETKAVDTRQPCRVSLAKSLNLVFQYSSPVASHPLIHTFHSSSMNLQKYLVLGGNVMRFNRGIFSRLRNQNKELI >EOX93673 pep chromosome:Theobroma_cacao_20110822:1:14930077:14934594:-1 gene:TCM_002573 transcript:EOX93673 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MGYAQLVIGPAGSGKSTYCSSLYHHCETLGRSINIVNLDPAAENFDYPVAMDIRELISLDDVMEELGLGPNGGLMYCMEHLEENLDDWLTEELDNYLDDDYLVFDCPGQIELFSHVPVLRNFVEHLKRKNFNVCAVYLLDSQFITDVTKFISGCMASLSAMVQLELPHVNILSKMDLVTNKRDVENYLDPEPRLLLSELNERMAPRFKKLNKSLIELVDEYSMVSFIPLDLRKESSIQYVLAQIDNCIQYGEDADVKIRDFDPEDDE >EOX93672 pep chromosome:Theobroma_cacao_20110822:1:14929860:14934987:-1 gene:TCM_002573 transcript:EOX93672 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MGYAQLVIGPAGSGKSTYCSSLYHHCETLGRSINIVNLDPAAENFDYPVAMDIRELISLDDVMEELGLGPNGGLMYCMEHLEENLDDWLTEELDNYLDDDYLVFDCPGQIELFSHVPVLRNFVEHLKRKNFNVCAVYLLDSQFITDVTKFISGCMASLSAMVQLELPHVNILSKMDLVTNKRDVENYLDPEPRLLLSELNERMAPRFKKLNKSLIELVDEYSMVSFIPLDLRKESSIQYVLAQIDNCIQYGEDADVKIRDFDPEDDE >EOX93232 pep chromosome:Theobroma_cacao_20110822:1:11446648:11453615:1 gene:TCM_002073 transcript:EOX93232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSDESESTQSRSQTSSQISPIEDPQSPYYLHHSDHPPSVIINPKRTTNNYVAWSRSFILALSIRNKTGFINGSIPKPATTDPLYHMWNTLKQNYAQPDDTRLCNLQYTLGNITQGTRSVDSYFIELKAVREEIRSYRPLPHCECGRCNANCFKRYIDQYHKDMVFRFLNGLNESFSAIRSHIILMDPIPTLDRVYNFMLREETQKNLLFQSQSVLESSTMLTTTDSKKKLKKDLVCSHCGKKGHNKEKCYRLIGFPYDFKFTTRKANIKKGKTAVNNVTASNEISIDEFQVDSDGKGISSNSQQGKQSLVNLAFLGIIHHLSCVVTLQQNGIVERKHQHILMVARALMHQSKVPVHFLGDAMLTVVHIINRNRKKLDKRATKCIFLGYPYGIKGYRVYDLCAQKTLISRNVVFHEDIFPFHSSQHDTSHPAFDQKLGVHADYFDYFDSNSSHYPHPVQEPIETHLSETISEIPETSTSNVDELPINSLPDSELAASNINSLEPDTTSDTTQPIRKSARLKHTPKYLEAYYTDLPSHSNTATAHLITKYLSCDKLSPAQRIFTASLSSVHEPSSYHQAINYPYWRDAMAVELKALEDNGTWSIVPLPSNCHAVGCKWIYKVKVNVAGEFKRELEEEVYMELPQGRHIHMPKKIHPGPFRRAWPAWIKTLQVLSQFMDKPSNEHFMAAYRVIRYLKRAPSQDILMKSKSNMKISAYSDSDWAGCPDSRKSITGFSIFIGDSFVSWKSNKQTVVARSSAEAEYRSMASTCCEIIWLQYLLADFRISHKEAIADIFTKALQPRQFYKLLGKMSVHNIHSSS >EOX90723 pep chromosome:Theobroma_cacao_20110822:1:461855:463419:-1 gene:TCM_000112 transcript:EOX90723 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein isoform 1 MSSQISESDQEQLIQKLDIFKIHGKDKRGRKILRVTGKFFPARFLSVEVLKKYLEENIFPRLGKKPFSVLYVHTGVQRSENFPGISALRSIYDAIPVNVKDNLQAVYFLHPGLQARLFLATFGRLLFSGGLYGKLRYVNRLDYVWEHVRRNEIEIPDFVYDHDEDLEYRPMMDYGLESDHPRVYGAPAVDSPVSMYSMRCIS >EOX90724 pep chromosome:Theobroma_cacao_20110822:1:461737:463347:-1 gene:TCM_000112 transcript:EOX90724 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein isoform 1 MSSQISESDQEQLIQKLDIFKIHGKDKRGRKILRVTGKFFPARFLSVEVLKKYLEENIFPRLGKKPFSVLYVHTGVQRSENFPGISALRSIYDAIPVNVKDNLQAVYFLHPGLQARLFLATFGRLLFSGGLYGKLRYVNRLDYVWEHVRRNEIEIPDFVYDHDEDLEYRPMMDYGLESDHPRVYGAPAVDSPVSMYSMRCIS >EOX93804 pep chromosome:Theobroma_cacao_20110822:1:16009644:16013009:-1 gene:TCM_002740 transcript:EOX93804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rotamase FKBP 1 isoform 2 MDEDFDIPAAEDMMNDDMDLPDESPIWKVGEEKEIGKQGLKKKLVKEGEGWETPDNGDEVEVHYTGTLLDGTQFDSSRDRGTPFKFTLGQGQVIKGWDQGIKKMKKGENAIFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKILIEGDKWETPKDLDEVLVNYEAKLEDGTVVAKADGVEFTVKEGHFCPALARAVKTMKKGEKVLLTVKPQYGFGEKGKPATGAEGAVPPNASLQITLELVSWKTVTEVTDDKKVIKKILKEGEGYDRPNEGADVQVKLIGKLQDGTVFLKKGHNEGEELFEFKTDEEQVIDGLDRAVMKMKKGEVAQLTIAPEYAFGSSESQQDLGLVPPNSTVYYEVELVSFVKEKESWDINTQEKIEAAGKKKEEGNVLFKAGKYVRASKRYEKALKCIEYDSSFSEEEKKQAKVLKVACNLNNAACKLKLKDYKQAEKLCTKV >EOX93803 pep chromosome:Theobroma_cacao_20110822:1:16008660:16013233:-1 gene:TCM_002740 transcript:EOX93803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rotamase FKBP 1 isoform 2 MDEDFDIPAAEDMMNDDMDLPDESPIWKVGEEKEIGKQGLKKKLVKEGEGWETPDNGDEVEVHYTGTLLDGTQFDSSRDRGTPFKFTLGQGQVIKGWDQGIKKMKKGENAIFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKILIEGDKWETPKDLDEVLVNYEAKLEDGTVVAKADGVEFTVKEGHFCPALARAVKTMKKGEKVLLTVKPQYGFGEKGKPATGAEGAVPPNASLQITLELVSWKTVTEVTDDKKVIKKILKEGEGYDRPNEGADVQVKLIGKLQDGTVFLKKGHNEGEELFEFKTDEEQVIDGLDRAVMKMKKGEVAQLTIAPEYAFGSSESQQDLGLVPPNSTVYYEVELVSFVKEKESWDINTQEKIEAAGKKKEEGNVLFKAGKYVRASKRYEKALKCIEYDSSFSEEEKKQAKVLKVACNLNNAACKLKLKDYKQAEKLCTKVLELESANVKALYRRAQAYIHLADLDLAEFDIKKALELDPDNREVKLEYKVLKEKMKEYNKKESKFYGNMFAKMKKMESIDSSKSAAKEPEPMSVDSKA >EOX93427 pep chromosome:Theobroma_cacao_20110822:1:12981987:12983944:1 gene:TCM_002301 transcript:EOX93427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein MILLFLLFLFSSHVNTAFSAHCSTTTATKSFQKCMTLPTQQASIAWTFHPHNATLDLCFFGTFISPSGWVGWGINPTSAEMTGTRALVAFPDPNSGQLVLLPYILDPTVKLQKSPLLSRPLDIHLLSSSATLYGGKMATIHNGAAVQIYATVKLETNKTKIHHVWNRGLYVQGYSPTIHPTTSNDLSSIATFDIFSGSAATQHNNINILKLVHGIINAIAWGLLLPMGAVTARYLRHVQALGPTWFYVHSGIQLSAFSLGTVGFAIGIRLGEMSPGITYGLHRKLGFAAFCLGALQTLALLFRPKTTHKFRKYWKSYHHFVGYACVVLGVVNVFQGFEVMGESRSYAKLGYCLCLSTLVGVSIALEVNSWVIFCRKSKEDKMRREGLISGSDKGSGIHSGIHS >EOX93250 pep chromosome:Theobroma_cacao_20110822:1:11651386:11660409:1 gene:TCM_002098 transcript:EOX93250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like B3, putative isoform 1 MADELFVPLYEKIARKNTIQRSLDITLLFLLVSLLGYRLFCLNNHGLPWFIALVCELWFTFNWVLVVNCKWSPVEYKTYPENLERRFPELPNVDMFVTTADPVLEPPIIAVNNVLSLLAADYPADKLACYVSDDGCFPLTFYSLVEASKFAKLWVPFCKKYKIQVRAPFRYFFGDSEPSSTDGNLEFQQDWLKMKAEYEVLARKIEEAARKSVPCDLTGDFAVFCDVERRNHPTIIKIIVDNKEIHSNAVVPNFVYISREKAPKQPHHYKAGAMNVLYMCRGIAGIQGPFYGGTGCFHRRKVIYGVWPRDRENEARNCTSINGKSVDDTLLNEFGNSEELINSAVQALKGKKGFPNNLSNSLEAACKVASCSYEYGTSWGTKCGWIYGATAEDLLTGLKIHAKGWNSALHMPELPGFMGCTPSGGPEAMAQQKRWATGLAEILVGKNCPIIATLTAKLQFRMCLAYLWILFWGLRSIPQLCYAALPAYCILANSHFLPKVEEPAIWIPIATFVTYNLHTLREYLKAGLSIRAWWNNLRMGSITATSAYLFGLFAAILKLLRISETVFEVTQKDQSCDGDDPDVTKFTFNESAVFVPGTTLLLVHLISLLCLSSKLPTPVHDMHGVGLGEVFCSLWVVLCFWPFLNGLFERGKYGIPLSTILKSAALALLFVHFCRT >EOX93249 pep chromosome:Theobroma_cacao_20110822:1:11651386:11660409:1 gene:TCM_002098 transcript:EOX93249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like B3, putative isoform 1 MADELFVPLYEKIARKNTIQRSLDITLLFLLVSLLGYRLFCLNNHGLPWFIALVCELWFTFNWVLVVNCKWSPVEYKTYPENLERRFPELPNVDMFVTTADPVLEPPIIAVNNVLSLLAADYPADKLACYVSDDGCFPLTFYSLVEASKFAKLWVPFCKKYKIQVRAPFRYFFGDSEPSSTDGNLEFQQDWLKMKAEYEVLARKIEEAARKSVPCDLTGDFAVFCDVERRNHPTIIKIIVDNKEIHSNAVVPNFVYISREKAPKQPHHYKAGAMNVLTRVSGVMTNAPFILNVDCDMFVNNPQVFRHAMCQLLGSKNERETAFVQYPQVFYDGLRDDPYGNQFVVPYQYMCRGIAGIQGPFYGGTGCFHRRKVIYGVWPRDRENEARNCTSINGKSVDDTLLNEFGNSEELINSAVQALKGKKGFPNNLSNSLEAACKVASCSYEYGTSWGTKCGWIYGATAEDLLTGLKIHAKGWNSALHMPELPGFMGCTPSGGPEAMAQQKRWATGLAEILVGKNCPIIATLTAKLQFRMCLAYLWILFWGLRSIPQLCYAALPAYCILANSHFLPKVEEPAIWIPIATFVTYNLHTLREYLKAGLSIRAWWNNLRMGSITATSAYLFGLFAAILKLLRISETVFEVTQKDQSCDGDDPDVTKFTFNESAVFVPGTTLLLVHLISLLCLSSKLPTPVHDMHGVGLGEVFCSLWVVLCFWPFLNGLFERGKYGIPLSTILKSAALALLFVHFCRT >EOX93251 pep chromosome:Theobroma_cacao_20110822:1:11651013:11655958:1 gene:TCM_002098 transcript:EOX93251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like B3, putative isoform 1 MADELFVPLYEKIARKNTIQRSLDITLLFLLVSLLGYRLFCLNNHGLPWFIALVCELWFTFNWVLVVNCKWSPVEYKTYPENLERRFPELPNVDMFVTTADPVLEPPIIAVNNVLSLLAADYPADKLACYVSDDGCFPLTFYSLVEASKFAKLWVPFCKKYKIQVRAPFRYFFGDSEPSSTDGNLEFQQDWLKMKAEYEVLARKIEEAARKSVPCDLTGDFAVFCDVERRNHPTIIKDDTLLNEFGNSEELINSAVQALKGKKGFPNNLSNSLEAACKVASCSYEYGTSWGTKCGWIYGATAEDLLTGLKIHAKGWNSALHMPELPGFMGCTPSGGPEAMAQQKRWATGLAEILVGKNCPIIATLTAKLQFRMCLAYLWILFWGLRSIPQLCYAALPAYCILANSHFLPKVEEPAIWIPIATFVTYNLHTLREYLKAGLSIRAWWNNLRMGSITATSAYLFGLFAAILKLLRISETVFEVTQKDQSCDGDDPDVTKFTFNESAVFVPGTTLLLVHLISLLCLSSKLPTPVHDMHGVGLGEVFCSLWVVLCFWPFLNGLFERGKYGIPLSTILKSAALALLFVHFCRT >EOX96333 pep chromosome:Theobroma_cacao_20110822:1:37399392:37402152:-1 gene:TCM_005604 transcript:EOX96333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin B2, BETA 1.4 MEGSSGFTRSIGWGMLNGINHHQRQNQRTLSLIEVFMAFALRNMLSFFTFAATFSLLFNSCYCFYPKLLNASLAASESDWSPAGATWYGSPTGAGSDGGACGYGGAVEQAPFSAMVSAGGPSLFKSGKGCGACYEVQCTTNSACSENPVTVVITDECPGCVSESVHFDLSGTAFGAMAKSGQADQLRNAGVLQIQYRRVQCNYPGTSIAFKVDAGSNPYYFATLVIYQNGDGDLALVELKQALNSDPWLPMQQSWGAVWKLDSGSTLRPPFSIRLTSLTSGQTLVATGVIPAGWQPGQTYTSVVNF >EOX96520 pep chromosome:Theobroma_cacao_20110822:1:38093919:38097036:-1 gene:TCM_005753 transcript:EOX96520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MEPRLFEASRSGDISAFHSLLGEDPFLLDRVALNSVDNPLHISAMAGQTEITKEIVSRKPAFARELSENGFSPMHVASAKGHVEIIRELMRVGYDICLLKGKDGKVPLHCAALKGRVDVVKELVWACPESVKELTAFGETALHLAVKSNQIEAARVLIEEIRRLDMMEILIWKEKDGNTILHQATFNRQPEASFAVLPASLLIGQEAVVSGVNVNAINSSGFTPKDVLDLLLQSGGDCYDIQIHQIFQQAGALKARESTTGPAHVQTEAENFNNKQKLLSSSSWNLWKELMKEVTESSSETKNSLMIVAVLIARITYQAILNSPSGFWSDSDNKNNPTVSTVQKRSRTMSPGEAVMSKNPPIFSVFIGFNSIGFIASVAMIFLLTSGFPFRAGLRLAMFSMIGTYVVAIIYIGPTKMRDIYITVTVMGILFLVEFARFTIWLLKKWRVGPDTRRKH >EOX91778 pep chromosome:Theobroma_cacao_20110822:1:3799474:3802893:1 gene:TCM_000855 transcript:EOX91778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MSRRQVSSTRRFVDSGNFPFAGALHSKSRSSPILSIGLVVVGAILLIGYAYSGSAGKFRGEAVSRIEGDYSCTLEVQRAIPYLKKAYGDSMHKVLHVGPDTCSVVSKLLKEEETEAWGVEPYDIEDAEANCKSLVRKGIVRVADIKFPLPYRPKSFSLVIVSDALDYLSPKYLNKTLPELARVASDGLVIFAGYPGHQRAKVAELSKFGRPAKMRSSSWWIRFFVQTSLEENEAAIKKFEQAASKKSFQSACQVFHLNPYH >EOX91777 pep chromosome:Theobroma_cacao_20110822:1:3799474:3803038:1 gene:TCM_000855 transcript:EOX91777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MSRRQVSSTRRFVDSGNFPFAGALHSKSRSSPILSIGLVVVGAILLIGYAYSGSGKFRGEAVSRIEGKVCDYSCTLEVQRAIPYLKKAYGDSMHKVLHVGPDTCSVVSKLLKEEETEAWGVEPYDIEDAEANCKSLVRKGIVRVADIKFPLPYRPKSFSLVIVSDALDYLSPKYLNKTLPELARVASDGLVIFAGYPGHQRAKVAELSKFGRPAKMRSSSWWIRFFVQTSLEENEAAIKKFEQAASKKSFQSACQVFHLNPYH >EOX91776 pep chromosome:Theobroma_cacao_20110822:1:3799372:3802878:1 gene:TCM_000855 transcript:EOX91776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MSRRQVSSTRRFVDSGNFPFAGALHSKSRSSPILSIGLVVVGAILLIGYAYSGSGKFRGEAVSRIEGDYSCTLEVQRAIPYLKKAYGDSMHKVLHVGPDTCSVVSKLLKEEETEAWGVEPYDIEDAEANCKSLVRKGIVRVADIKFPLPYRPKSFSLVIVSDALDYLSPKYLNKTLPELARVASDGLVIFAGYPGHQRAKVAELSKFGRPAKMRSSSWWIRFFVQTSLEENEAAIKKFEQAASKKSFQSACQVFHLNPYH >EOX94263 pep chromosome:Theobroma_cacao_20110822:1:27008851:27014082:-1 gene:TCM_003828 transcript:EOX94263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIEGKRKVEMRYTKDIEFGLLLRSTKVKVVYCGSVPEETTSDRQVAITRYASKLIAMFSELKVTGPRTRCSSLSNAQ >EOX92358 pep chromosome:Theobroma_cacao_20110822:1:6428244:6432035:1 gene:TCM_001307 transcript:EOX92358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alba DNA/RNA-binding protein MDRYQRVEKPKAETPINENEIRITTQGRMRNYITYATTLLQSNSNSMLSEANSGYSEKGSTEIVLKAMGRAINKTVMIAELIKRRIADLHQNTSIGSTDITDMWEPLEEGLLPLETTRHVSMITIALSKKELDTSSTGYQPPLPADQVKPLNEFEDDVEASPRTRGRGRSGRGRVRGRGNYGVGEYNGDGWDGGRGFGGRGRGRGRGRSFPGRGRGYGVGGYYGYGESDAPHVQGRGRGRGRGRGRGRGRYFRSDGPVQENAA >EOX94607 pep chromosome:Theobroma_cacao_20110822:1:30438294:30446430:1 gene:TCM_004236 transcript:EOX94607 gene_biotype:protein_coding transcript_biotype:protein_coding description:DWARF27, putative isoform 1 MEAKLLPQSRSPIATALRRKHKQGCSPILAVLASPAENIAGITEKLILKPAESKSEVVQKTSVRCDNWFELMAISHLSQSLQAATGLKIRKSGYESLVEAAAMMSKKFNPSRQQELVIQALNSAIPRIILNMIKTLLPQSQFTREYFAAFTTVFFAWLIGPSEVRASELNGRREKNVVHVRKCRFLEQSNCVGMCINLCKMPSQAFIKDSLGMPVNMVPNFDDMSCEMIFGQDPPASNDDPALKQPCYKLCRANQKHAVKCSS >EOX94608 pep chromosome:Theobroma_cacao_20110822:1:30438597:30444842:1 gene:TCM_004236 transcript:EOX94608 gene_biotype:protein_coding transcript_biotype:protein_coding description:DWARF27, putative isoform 1 LAVLASPAENIAGITEKLILKPAESKSEVVQKTSVRCDNWFELMAISHLSQSLQAATGLKIRKSGYESLVEAAAMMSKKFNPSRQQELVIQALNSAIPRIILNMIKTLLPQSQFTREYFAAFTTVFFAWLIGPSEVRASELNGRREKNVVHVRKCRFLEQSNCVGMCINLCKMPSQAFIKDSLGMPVNMVPNFDDMSCEMIFGQDPPASNDDPALKQPCYKLCNNLIKL >EOX91465 pep chromosome:Theobroma_cacao_20110822:1:2804198:2805714:1 gene:TCM_000651 transcript:EOX91465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeoyl-CoA O-methyltransferase 1 MAPTQEGQQNQAGRHQEVGHKSLLQSDNLYQYILETSVYPREPEPMKELRELTAKHPWNIMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPDDGKILAMDINRENYELGLPVIQKAGVAHKIDFKEGPSLPVLDQMIEAGKYHGTFDFIFVDADKDNYLNYHKRLIELVKVGGVIGYDNTLWNGSVVAPPDAPLRKYVLYYRDFVLELNRALAADPRIEICQLPVGDGITLCRRIS >EOX94711 pep chromosome:Theobroma_cacao_20110822:1:30993758:31003146:1 gene:TCM_004325 transcript:EOX94711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative isoform 1 MEDPPIEECEHDDINKTEQDTQMEESKQNNKDVEVAPALIAVHPTQNSVAVSVGSDLRVFNVPEDCAVTLVDESGGASHNDSIRAIKYGANGKLFVSAGDDKLVKVWSTESWRCISTVYSEKRVSALAVSNDGLHVCFADKFGVVWVVDLPGVDGSEAPLNRKAVPLLGHYCSIITSLEFSPDGRFIVSADRDFKIRVTVFPKKPLDGAHEIQSFCLGHKEFVSCLAFICTPDYLQGILVSGSGDSTVRMWDVISGSLLDTCEVGAKAEQLKFDTSEANCSTVTDICTIPDCTLIAVAIQSLQGIMLLSCNLPSETLSVVKVVSIVGENFIPTSLGSSISGGLLWMVTGASKLSGSDHSSLSRVKVISGFRKSSPDSLEQEPVVLGDCEIPGGAKLLEKLQGSISIDEKVFLAAAEAVKTAMCNLLIKKQYSVEKRECRKRTRNDKKMR >EOX94712 pep chromosome:Theobroma_cacao_20110822:1:30993683:30997036:1 gene:TCM_004325 transcript:EOX94712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative isoform 1 MEDPPIEECEHDDINKTEQDTQMEESKQNNKDVEVAPALIAVHPTQNSVAVSVGSDLRVFNVPEDCAVTLVDESGGASHNDSIRAIKYGANGKLFVSAGDDKLVKVWSTESWRCISTVYSEKRVSALAVSNDGLHVCFADKFGVVWVVDLPGVDGSEAPLNRKAVPLLGHYCSIITSLEFSPDGRFIVSADRDFKIRVTVFPKKPLDGAHEIQSFCLGHKEVVSIVGENFIPTSLGSSISGGLLWMVTGASKLSGSDHSSLSRVKVISGFRKSSPDSLEQEPVVLGDCEIPGGAKLLEKLQGSISIDEKVFLAAAEAVKTAMCNLLIKKQYSVEKRECRKRTRNDKKMR >EOX90622 pep chromosome:Theobroma_cacao_20110822:1:160756:166072:1 gene:TCM_000039 transcript:EOX90622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 1 MSFWTLFEVASMPILQVLIISVLGAFMATDHCKLLSADTRRSLNKLVFVVFTPSLMFASLAKTVTLQDIISWWFMPVNIGITFLVGGIVGWIVVKILRPKPHLEGLIIATCSSGNLGNLLLIVVPAVCNEDGSPFGNRNVCSSVGLSYASFSMALGGFYVWTITYQMVKTSAVKFKALEAAEDLASKEPNKNLDATAESHLLKGKGHQEQVAITAATKSVADPEKQLNVSQETGPNEKGQASFWIKVVRFLHQILEELMAPPTLGAILGFIFGATTWLRNLIIGEGAPLRVIQDSIKLLGDGTIPCITLILGANLIQGLRSSAIKPLIIVGVVCVRYVILPAVGIWIVKAAGNLGFLPPDPLFHYVLMIQFTVPPAMNIGTMTQLFDVGQEECSVLFLWTYLAAGLALTTWSTVFMWILT >EOX90623 pep chromosome:Theobroma_cacao_20110822:1:160699:166160:1 gene:TCM_000039 transcript:EOX90623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 1 MSFWTLFEVASMPILQVLIISVLGAFMATDHCKLLSADTRRSLNKLVFVVFTPSLMFASLAKTVTLQDIISWWFMPVNIGITFLVGGIVGWIVVKILRPKPHLEGLIIATCSSGNLGNLLLIVVPAVCNEDGSPFGNRNVCSSVGLSYASFSMALGGFYVWTITYQMVKTSAVKFKALEAAEDLASKEPNKNLDATAESHLLKGKGHQEQVAITAATKSVADPEKQLQNVSQETGPNEKGQASFWIKVVRFLHQILEELMAPPTLGAILGFIFGATTWLRNLIIGEGAPLRVIQDSIKLLGDGTIPCITLILGANLIQGLRSSAIKPLIIVGVVCVRYVILPAVGIWIVKAAGNLGFLPPDPLFHYVLMIQFTVPPAMNIGTMTQLFDVGQEECSVLFLWTYLAAGLALTTWSTVFMWILT >EOX91480 pep chromosome:Theobroma_cacao_20110822:1:2830938:2834756:-1 gene:TCM_000656 transcript:EOX91480 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MGGIRYISSTIVQAANHKGKTERVELTPWDLQLLLVGSIQKGLIFPKPKQPQERQTGNYTLIIHHLNTSLSHTLDYFPPLSGRLASTEHDDDTISFFIDCNNAGALFVHAAADGVTISDILEPGGYVPHIVHSFFPLNGVKNYEGTFQPLLAVQVTELVDGIFIGCTINHMVVDGTSFWHFFNFWAEISRGSVHLSKPPVLQRWFLDGTDLPIHIPQAYVKQVHEELFTRPPLRERVCHFTKENIAKLKAKANAEAGTDKISSLQALLSHIWQSVIRNKTLDPNEEINYRMLVGARPRLNELPEQYFGNAVQAGSITMKVKELLEQGLGNVAWEMNRLVATQTVEKLKKFLEDWTASPKLMSMGSMASNALVTSSSPWFNIYGNDFGWGRPVAVRSGCGNKHDGKITVFRGVEEGSIDIEACLCPDTLEAMANDEEFMEAVTISI >EOX91095 pep chromosome:Theobroma_cacao_20110822:1:1567435:1568574:1 gene:TCM_000384 transcript:EOX91095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNSFTRFIHASMDEHMGCFVGSLGQLLDNREFGSYNANTNMLIFFSEALNLMKLRVLSVPFYSSN >EOX93355 pep chromosome:Theobroma_cacao_20110822:1:12296343:12301638:1 gene:TCM_002195 transcript:EOX93355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein isoform 1 MPDNRQVQNNAVSNQSADNIEEAILRLKINDNNQEVGYSKSTAYPDRPGEPDCSYYLRTGLCGYGTNCRFNHPTYAAQGGQYREELPERVGQPDCGYFLKTGTCKYGPTCKYHHPKDRNGAGPVTFNIVGLPMRQDEKPCPYYMRTGSCKFGVACKFHHPQPPSASAGLPVNGPVSSSILPPSGVPYAGGLPTWSLPRAPYVSGPRLQGPQSYMPVVVSPSQSIIPGQAVCSSYTMYGLCKYGPTCRFDHPYVGYPYNYGLSLPLSVFDTSLLTYQRISPTAHTSEAPLSSKLPDWARNTDSVSKKHQNLETKNSDDPPEQAASPPHSLQSSSKASHD >EOX93354 pep chromosome:Theobroma_cacao_20110822:1:12296343:12301638:1 gene:TCM_002195 transcript:EOX93354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein isoform 1 MPDNRQVQNNAVSNQSADNIEEAILRLKINDNNQEVGYSKSTAYPDRPGEPDCSYYLRTGLCGYGTNCRFNHPTYAAQGGQYREELPERVGQPDCGYFLKTGTCKYGPTCKYHHPKDRNGAGPVTFNIVGLPMRQDEKPCPYYMRTGSCKFGVACKFHHPQPPSASAGLPVNGPVSSSILPPSGVPYAGGLPTWSLPRAPYVSGPRLQGPQSYMPVVVSPSQSIIPAHGWSTYMGNMSSVSSTGILGSNFAYNSMNPAESGSSGQVFLSSTSASNFPERPDQPECRYYMNTGTCKYGSDCKYHHPKERIANSAVNNIGPLGLPSRPGQAVCSSYTMYGLCKYGPTCRFDHPYVGYPYNYGLSLPLSVFDTSLLTYQRISPTAHTSEAPLSSKLPDWARNTDSVSKKHQNLETKNSDDPPEQAASPPHSLQSSSKASHD >EOX94760 pep chromosome:Theobroma_cacao_20110822:1:31251726:31254511:-1 gene:TCM_004372 transcript:EOX94760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIAISLGKEMPNGVPSTEMPKDVPRAEVPRGISSMKVPRDVPKRNRNKHGTTIPYEEVTVTQNEWQSS >EOX96534 pep chromosome:Theobroma_cacao_20110822:1:38172364:38176426:-1 gene:TCM_005771 transcript:EOX96534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MDNERLFEAARTGNIEVLYDLLVKNPLILTDVALSCSTETPLHVAVKAGQLDFVHQIMKHKPEFAAEMSKDGYRPLDIAVVTGHIDIVRQLLKTEFQICRLPGQDQRTALHYAAAKGRVEIINELISTCPRCITDVTSYGETALHLAVKNNQFPAFSVLVNWLENLKEKTVINFRDRDGNSVLHLAAARRQYSSLELLIGKNNVFNGMLEVNAPNSKGLTAMDILDIVMEEPNDIQMRKILQNDTVIAKRKQSWHDLAEEPLKDWFKYFKFQLERDSPSDTRNVLLVVAALIASVTFQAGLNPPSGLLEYDSQSNPASGNVTRAGPAVTSALVAASALLGTQATSYLFLFWNSFGITASLSIIIYLTGGFPFQRELLISMLSMMFSYGFSIYGMTEKDGVAFVLLTVAFVLPFALRWLPTWVNKAWNWWRGPPTSWSFLAIGG >EOX96649 pep chromosome:Theobroma_cacao_20110822:1:38530410:38533464:1 gene:TCM_005852 transcript:EOX96649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDNDNNDSDLTFCPCLRAAPRPCPGATTNKDEPSSGSDGNENGGNFPWKDKQQNNATFGDQATIGSLTFNVITVTLKPSSESSRQVASGDVGASGKSAEKP >EOX90890 pep chromosome:Theobroma_cacao_20110822:1:938158:939153:1 gene:TCM_000233 transcript:EOX90890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H1-3 MATAEPEVPVTEQPSEAAKEQKPAEKPVKEKKPKAPKEKKPKVPKEKKPRQPKAAAHPPYFQMIKESLLALNEKSGSSPYAIAKYMEEKHKAVLPANFRKILALQLKNSAARGKLIKIKASYKLSEAGKMEKAASRVTKAKTEKKSTPDAKPKKSEATKKAAKKVGAKKKSTPAKPKQPKSIRSPAAKKAKKASA >EOX92894 pep chromosome:Theobroma_cacao_20110822:1:9215270:9226482:1 gene:TCM_001749 transcript:EOX92894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jojoba acyl CoA reductase-related male sterility protein MYSFQSLLSTHCAPAIPSGCIVANSFHPKTHISPLLISSCQTSRNIKSALAIRAAGKNVDHDDAISTTTNSTTVPLMESTDGLGIVDFLQGKNYFITGATGFVGKVFVEKMLRAVPNVGKIFLLIKAKDKEAATKRLQDQIMDTELFKSLEKVHGTSYKTFMKNKLVPVVGNVNEPDLGMDADMASEIANEVDIIVNSAANTTFDDRYDATLNTNTMGPYRLLGFAKKCKKLSLFLHFSTAYVHGKRKGIILERPLCEGQSVAEAEESSPVLDIEAEIKLALNLKRSFSGTEIAWNQKMKELGMERARAYGWQNTYSFTKAMGEMLINSTRGDIPVVIIRPSIIESTFREPFPGWIEGSRMLDPIIIGHAKGHLTGFVGHPETVIDVVPVDMVVNASMAAMAKQGLAGKPGLIVYHITSSVANPVNFHTILKFSSDHFNCFPIKGLKGLKTTGTTDIKLFRSADIFSSNIWGESTVGQNELKGAAAPSDPKLRRRQETEYRKIKLYIHLAKLYEPYSHYGGWFDSGNTIKLIRDMSMEERENFGFDVQSIDWEHYFLNIHIPGLRRHVMKEPHSLCFNRTDENS >EOX92369 pep chromosome:Theobroma_cacao_20110822:1:6476668:6479964:1 gene:TCM_001319 transcript:EOX92369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHWCQAFFFNFSKCDVIDNNMCETFNGVIIEARCKPIISILEEIKLYVMRRLVQNKEYRMKWKTEYGLRILTKLDKNKGLAGKWDLDWKGAYICQAWDISGVPCQHDINAIYYEGEDLTKYLANSFKRNEYLKAYEQPLHLVRGLIFWPKWNVEVDLPPYVKRFVEQPKKEKRREPIEGLKKTRMLRVGRIMKYAVCYQQGHVGQIVQYSSVAGSTTSNAIKRKKVTTAERTQFVVGSMEGFGSQDVAIPRLKLKHSSPKGKGTIAYKCQTRSASTPTAPQRQTFAGRPNQVVVATGHGDSRKLQNRSNPVDWIQLVIAHLRKH >EOX96155 pep chromosome:Theobroma_cacao_20110822:1:36786271:36790141:-1 gene:TCM_005470 transcript:EOX96155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich receptor-like protein kinase 40 isoform 1 MPSRFSPPEIAVETPPHHHRHLHKNFHLLPPLLAGTITITIILLIVLIIFIYRKLYRNRTAPSESPSHRHCRRFSYSLLRRATSSFSPSNRLGHGGFGSVYKGTLPSSPQPLAIKVMNSTDSLQGEREFHNELSLSRALDSPHIVPLIGFSSDSRRRRFVLVYELMENRSVQDALLDRKCEELMGWRKRFCVINDVAKGLEYLHHFCDPPVIHGDIKPSNILLDVEFKAKIGDFGLARLKTEDLIEGLEEGEGLRKKDVVGDDNGSILEETESVLTGFEEGASLTVADRSPESYVLRVLDSEASPAVSPEMAVEKGSVLSEGFFDKVSVESGRDLVSNKKGSSRRDWWWKQDPGGGSESGRIKDYVMEWIGNEIKKERPKNEWLASPSSVDNINDSKVSSSNVEQKKEKIRRKERNRKPREWWKEEFCEELTKKKKKKKREPSSSSNGEFWWQRDEEMIERKKKKKSSRGSIDWWLDGFSGEFKIGRRNSQDWASGDIPKSGGISSTPSMRGTVCYIAPEYGGGGLLSEKCDVYSFGVLVLVIISGRRPLQVTASPMSEFERANLISWARQLAYNGRLLELVDPSIHSLDKDQALLCITIALLCLQRSPSKRPTMKEIVEMLSGEAEPPQLPFEFSPSPPSNFLFKSRKKGR >EOX96156 pep chromosome:Theobroma_cacao_20110822:1:36786395:36789959:-1 gene:TCM_005470 transcript:EOX96156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich receptor-like protein kinase 40 isoform 1 MPSRFSPPEIAVETPPHHHRHLHKNFHLLPPLLAGTITITIILLIVLIIFIYRKLYRNRTAPSESPSHRHCRRFSYSLLRRATSSFSPSNRLGHGGFGSVYKGTLPSSPQPLAIKVMNSTDSLQGEREFHNELSLSRALDSPHIVPLIGFSSDSRRRRFVLVYELMENRSVQDALLDRKCEELMGWRKRFCVINDVAKGLEYLHHFCDPPVIHGDIKPSNILLDVEFKAKIGDFGLARLKTEDLIEGLEEGEGLRKKDVVGDDNGSILEETESVLTGFEEGASLTVADRSPESYVLRVLDSEASPAVSPEMAVEKGSVLSEGFFDKVSVESGRDLVSNKKGSSRRDWWWKQDPGGGSESGRIKDYVMEWIGNEIKKERPKNEWLASPSSVDNINDSKVSSSNVEQKKEKIRRKERNRKPREWWKEEFCEELTKKKKKKKREPSSSSNGEFWWQRDEEMIERKKKKKSSRGSIDWWLDGFSGEFKIGRRNSQDWASGDIPKSGGISSTPSMRGTVCYIAPEYGGGGLLSEKCDVYSFGVLVLVIISGRRPLQVTASPMSEFERANLISWARQLAYNGRLLELVDPSIHSLDKDQALLCITIALLCLQRSPSKRPTMKEIVEMLSGEAEPPQLPFEFSPSPPSNFLFKSRKKGRRREESREEVAGRVV >EOX90786 pep chromosome:Theobroma_cacao_20110822:1:629996:632944:1 gene:TCM_000159 transcript:EOX90786 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MGKVSPKDREFKTAKKNRRLKSSSSKYLKPGTLAQLRYSKASAAKLCTDLGRKRVAVLDAKKPEDDNLLIENTVIERSPLMLSPVDLHKQNSMVRTPKTPRPEDFESESRLESLPMDLLVKILCHLHHDQLRAVFHVSQRIRKAVCLARQFHFNYTTPDRSRQEMLSTMTPRPTEHWPFMSKGDGKSILMRSPHTPKAPRHGPRPPSRVKVTELRQIAAVLFQDSGFPSRCMIPSVIPKPLCKSLASNRVLFYEDELCQAVAQNKLR >EOX94425 pep chromosome:Theobroma_cacao_20110822:1:28414533:28415473:1 gene:TCM_003999 transcript:EOX94425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVALCGVGRQVVNIPSFMVRVDSQKHIDFSFTSPFGGGRLGRVQRRNQRAAVKNAAGGDGDEEEDD >EOX91272 pep chromosome:Theobroma_cacao_20110822:1:2151218:2154991:-1 gene:TCM_000518 transcript:EOX91272 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein, putative MASPSNKASCSQREINPSMFTPKTPHFFKIILEDTIRHSKLRFPRKFVTKYGDSLSSPVLLMVPSGSTWHVELIKSDGDVWLQNGWKEFAEHYSLKHGHFLVFKYQGDCNFQVLIFDMSASEIEYPHISPNMDRDEVCQEPNKEEDAKDDSVEVLYETPRVRKTRQNSQTPCLRPRKILRRTTLSDKYKRDCEDVSSGEGYLKTKVPRGRHAFGDNENATALQRASAFKSENFFFVVEMQPSYINPGRKLCLPSSFITKCLKEKVGDVTLCTLDGKTWPAKYCCYLTNNKYTKAALHCGWTAFMQDNKLELGDVCVFELIEQTKILLKVIIYRVSQDSSLVELYMFFPNVYWISIKLMYPRLYNIMRTNGSGGLNSLANCDNGRLSTQGSTKSRHFLMPPLSSHEKARAMLRASSFRSENPFFKVVMQPAYLGARCSVNIPYKFAKRHVDEKEDRVILQVSNGRRWIVKFSVKVTNSGQRKARFYDTWRAFAQDNNLEVGDVCVFELINRDETSFKVSIF >EOX93994 pep chromosome:Theobroma_cacao_20110822:1:18225816:18237586:-1 gene:TCM_003025 transcript:EOX93994 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MHVCHDMYLYGRVLQIVFVGERRVLPYCVISAIKALKLVQKRYSAYLAYVIDTSNGEPKLEDIPIVSEFSDVFPDDLPGLPPDRELEFPIDLLPGTAPISIPPYRMAPAELKELKVQLQDLVDKGFIRSSISPWGAPVLFVKKKDGTLRLCIDYRQLNRVTIKNKYPLPWIDDLFDQLRGAMVFSKIDLRFGYYQLRIKEQDVPKTAFRMRYGHYEFLVMPFGLTNAPAVFMDLMNRVFHPYLDKFVIVFIDDILVYSKNDDEHVAHLHIVLQTLRERQLYAKFSKCEFWLKEVVFLGHVVSGAGIYVDPKKIEAILQWEQPRTVTEIRSFLGLAGYYRRFVQGFSMIVAPLTRLTRKGVKFEWDDVCENRFQELKNWLTSAPILTPPVSGKEFVVYSDASKLGLGCVLMQDEKVIAYASRQLKKHETNYPTHDLELAAVVFALKIWRHYLYGERCRIFSDHKIQKLQDGEASEFRLSDDGTLMLRDRICVPKDDQLRRAILEEAHSSAYALHPGSTKMYRTIKESYWWPGMKRDIAKFVAKCLTCQQIKAEHQKSSGTLQPLPIPEWKWEHVTMDFVLGLPRTQSGKDAIWVIVDRLTKSAHFLAIHSTYSIERLARLYIDEVVRLHGVPISIVSDRDPRFTSRFWPKFQEALGTKLRFSTSFHPQTDGQSERTIQTLEDMLRACVIDFIGSWDRHLPLVEFAYNNSFQSSIGMAPYEALYGRKCRTPLCWDEVGERKLVNVELIDLTNDKVKVIQERLKTTQDRQKNYSDKRRKDLEFEVDDKVFLKVSPWKGNNLKFPSIKSYLIILL >EOX94903 pep chromosome:Theobroma_cacao_20110822:1:31942471:31947382:-1 gene:TCM_004503 transcript:EOX94903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, aldo/keto reductase family protein, expressed isoform 2 MSVPLFNLSPNLKVSRLCLGTMTFGQQNSLPQSFRLLDQAFDAGINFFDSAEMYPVPQCAETQGKSEEYLGQWVRKRKISRDLVVIATKVSGPSGQMSWIRDGPKCLDARNITEAVDNSYVPMFGETEYDPVRQFSSVHIEEQLDALGRAVDAGKIRYIGLSNETPYGVMKFLHCAERNAHCPKINSYSLLCRTFDSGMAECCHHERINLLGYSPLAMGILSGKYFASDGGPPDARLNLFRGRYSEGESRYNLTRNMLKAAMMGISWYCKKIWSSSCISCNCLCLEPSSGCKCRIWSDQTIAASGGNQCMQC >EOX94902 pep chromosome:Theobroma_cacao_20110822:1:31942471:31947382:-1 gene:TCM_004503 transcript:EOX94902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, aldo/keto reductase family protein, expressed isoform 2 MSVPLFNLSPNLKVSRLCLGTMTFGQQNSLPQSFRLLDQAFDAGINFFDSAEMYPVPQCAETQGKSEEYLGQWVRKRKISRDLVVIATKVSGPSGQMSWIRDGPKCLDARNITEAVDNSYVPMFGETEYDPVRQFSSVHIEEQLDALGRAVDAGKIRYIGLSNETPYGVMKFLHCAERNAHCPKIVCVQNSYSLLCRTFDSGMAECCHHERINLLGYSPLAMGILSGKYFASDGGPPDARLNLFRGRYSEGESRYNLTRNMLKAAMMEYLGIAKKYGLHPVSLAIAFVLSHPLVASAVFGVTKPLQLQEVISACNVELTSDIIADINKVHAKFPNPCP >EOX94901 pep chromosome:Theobroma_cacao_20110822:1:31942770:31947226:-1 gene:TCM_004503 transcript:EOX94901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, aldo/keto reductase family protein, expressed isoform 2 MSVPLFNLSPNLKVSRLCLGTMTFGQQNSLPQSFRLLDQAFDAGINFFDSAEMYPVPQCAETQGKSEEYLGQWVRKRKISRDLVVIATKVSGPSGQMSWIRDGPKCLDARNITEAVDNSLKRLQMDYIDLYQIHWPDRYVPMFGETEYDPVRQFSSVHIEEQLDALGRAVDAGKIRYIGLSNETPYGVMKFLHCAERNAHCPKIVCVQNSYSLLCRTFDSGMAECCHHERINLLGYSPLAMGILSGKYFASDGGPPDARLNLFRGRYSEGESRYNLTRNMLKAAMMEYLGIAKKYGLHPVSLAIAFVLSHPLVASAVFGVTKPLQLQEVISACNVELTSDIIADINKVHAKFPNPCP >EOX94900 pep chromosome:Theobroma_cacao_20110822:1:31942471:31947382:-1 gene:TCM_004503 transcript:EOX94900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, aldo/keto reductase family protein, expressed isoform 2 MSVPLFNLSPNLKVSRLCLGTMTFGQQNSLPQSFRLLDQAFDAGINFFDSAEMYPVPQCAETQGKSEEYLGQWVRKRKISRDLVVIATKVSGPSGQMSWIRDGPKCLDARNITEAVDNSLKRLQMDYIDLYQIHWPDRYVPMFGETEYDPVRQFSSVHIEEQLDALGRAVDAGKIRYIGLSNETPYGVMKFLHCAERNAHCPKINSYSLLCRTFDSGMAECCHHERINLLGYSPLAMGILSGKYFASDGGPPDARLNLFRGRYSEGESRYNLTRNMLKAAMMEYLGIAKKYGLHPVSLAIAFVLSHPLVASAVFGVTKPLQLQEVISACNVELTSDIIADINKVHAKFPNPCP >EOX92948 pep chromosome:Theobroma_cacao_20110822:1:9564157:9565170:1 gene:TCM_001810 transcript:EOX92948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSSDVQISSLPFSLSHSQKGGKGCSLVLCYRQGSLFIHNNSVKNGCDEMSTPSLTWSHNNQSMTTKLAR >EOX94710 pep chromosome:Theobroma_cacao_20110822:1:30986727:30993666:1 gene:TCM_004323 transcript:EOX94710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein MENPEGDHNPLEIHDLEDDDEFENENPFHEDGLANQAARIGLEGRLLHALDLNGGGIRIEGTALQWWKRVEEKRARQAESNEQITSRYLAGLNHSIRDEMGVVRLYNIEDARQYALSAERKVSRYGARKALYGTDWQNDFGARQGYQTSQQNYQEATTTNKTNGVATNVEKNDKGKSIMPYGRQNSSGSSTNKRCSNSHIRCFTCGEKGHTSFACPQRRVNLVEFKEELEPIYDKYDEEIEEIDVYPVQGKVCDIVIDGESVQNTISKEAVDKLKLLTSKHPHPYKIRWIKKGHEVPINTQCLLKFTMGDNLDDEALCDVVPMDVGHIFIGRPWLYDHDMDHKTKPNTYSFYKDNKMYTLYSLKEEIKKSAISSCTNNKISKITGHLKTVDEMISYTWNSCEESLESDETLVSMSGLIEGLPDAVALRCIARVPFYLYPKLELVSRSWRAAIHSPELFKARQEVGSAEELLCVCAFDPENLWQMYDPLRDLWISLPVLPSKIRHLAHFGVVSTGGKLFVLGGGSDAVDPSTGDQDGSFATDEVWSYDPIMRRWAQRASMILPRAMFACCVLKGKVIVAGGFTSCRKSISQAEMYDPEKDVWIPIPDLHRTHNSACSGLVIGGKVHVLHKGLSTVQVLDHMGSGWTVEDYGWLQGPMAVVQGALYVMSHGLIFKQEKEVRKVVISASEFRRRIGFAMTGLGDEIYVIGGVIGPDRFNWDIKPMSDVDSWTVGGDRPTWRQAAPMTKCRGTIFGCTQLRI >EOX91719 pep chromosome:Theobroma_cacao_20110822:1:3618433:3620392:1 gene:TCM_000815 transcript:EOX91719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin d1,1, putative isoform 1 MALSLNLPSLPNLYCNEAASEIVSLEADVDDCGSVTFASSHSFMDYDADSLINMFDSEVDQMLESKVVSRFYDLPDIVTARQGALQWILKVHSFYRFRPETAYLSINYLDRFLSARALPGKGWPMQLLSVACVSLAAKMEETTVPFLLDLQILKPRFLFKPKTVQRMELLVMNALKWRLRTITPFDFVHYFISGISCIHKTQQNSLSHVFSCASDLIIDTCKAIDSLDYPPSAIAAAVALWLTDHRVDNQSLGFLHNRVNKEIVKKIYNTIQRKISGLPRINHKKLESLPPSPTGVLDAAI >EOX91720 pep chromosome:Theobroma_cacao_20110822:1:3618450:3620374:1 gene:TCM_000815 transcript:EOX91720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin d1,1, putative isoform 1 MALSLNLPSLPNLYCNEAASEIVSLEADVDDCGSVTFASSHSFMDYDADSLINMFDSEVDQMLESKVVSRFYDLPDIVTARQGALQWILKVHSFYRFRPETAYLSINYLDRFLSARALPGKGWPMQLLSVACVSLAAKMEETTVPFLLDLQILKPRFLFKPKTVQRMELLVMNALKWRLRTITPFDFVHYFISGISCIHKTQQNSLSHVFSCASDLIIDTCKAAIDSLDYPPSAIAAAVALWLTDHRVDNQSLGFLHNRVNKEIVKKIYNTIQRKISGLPRINHKKLESLPPSPTGVLDAAI >EOX90963 pep chromosome:Theobroma_cacao_20110822:1:1194777:1197378:1 gene:TCM_000290 transcript:EOX90963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 16 MTSSSASARKALSKIACNRLQKELVEWQVNPPSGFKHKVTDNLQRWVIEVNGAPGTLYANETYQLQVDFPEHYPMEAPQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSTVKQRPEDNDRYVKNCRNGRSPKETRWWFHDDKV >EOX91249 pep chromosome:Theobroma_cacao_20110822:1:2045413:2047939:-1 gene:TCM_000497 transcript:EOX91249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMERVPGALGTSASLALRLGQTIFSSASLLFMCLDVEFYSYTSFSYLVTVMGLVTPWSVSLALVDAYSVFVKCLPRHPRVLLIIIVGDWALSFLSLAAACSTASVTSLIVSSAGPSGCPSRLCSRYQLSAAMAFLSWFLSFASTLFNLWLLPSL >EOX92618 pep chromosome:Theobroma_cacao_20110822:1:7770820:7779900:-1 gene:TCM_001538 transcript:EOX92618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-inhibited division family A protein isoform 2 MSMLSVHLSRLTRHHFPFPSRSSLISPSSRRHHHVFAPFPRNIRLSTLKKSSLRCFCSFSATGSNWNGHVGAGEEKYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGLAKSQLVHEVDALGGEIGKIADRCYLQKRVLNASRGPAVRALRAQTDKREYAMQMKNVVESTPNLSIREAMVTDILLGKNDNVEGVCTFFGMNFYASAVILTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQHLGFESDRLKTGTPARVDCRTVDFSGLEPQHGDEEVTWFSFDPDFHIEREQMCCYLTRTTKITHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRTVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDYLPAHQCSRSLMTKKVEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGRDIGLIDDRRWKIYQDKQARISEEKKRLKTVRISGGDLAADVSLLSGQPVKDSSTLESLLKKPHIEYKILDKHGFGNKMLSRLEKECVEIDIKYEGFIIRQQIQLQQMVHKQHRQLPEDLDYYAMTTLSHEAREKLSKVRPQTIGQASRVGGVSPADITALMIILETNRRKGQEQKRHQMLASVMADTVENMSDTLTETVSN >EOX92619 pep chromosome:Theobroma_cacao_20110822:1:7770378:7780210:-1 gene:TCM_001538 transcript:EOX92619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-inhibited division family A protein isoform 2 MSMLSVHLSRLTRHHFPFPSRSSLISPSSRRHHHVFAPFPRNIRLSTLKKSSLRCFCSFSATGSNWNGHVGAGEEKYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGLAKSQLVHEVDALGGEIGKIADRCYLQKRVLNASRGPAVRALRAQTDKREYAMQMKNVVESTPNLSIREAMVTDILLGKNDNVEGVCTFFGMNFYASAVILTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQHLGFESDRLKTGTPARVDCRTVDFSGLEPQHGDEEVTWFSFDPDFHIEREQMCCYLTRTTKITHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDYLPAHQCSRSLMTKKVEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGRDIGLIDDRRWKIYQDKQARISEEKKRLKTVRISGGDLAADVSLLSGQPVKDSSTLESLLKKPHIEYKILDKHGFGNKMLSRLEKECVEIDIKYEGFIIRQQIQLQQMVHKQHRQLPEDLDYYAMTTLSHEAREKLSKVRPQTIGQASRVGGVSPADITALMIILETNRRKGQEQKRHQMLASVMADTVENMSDTLTETVSN >EOX96173 pep chromosome:Theobroma_cacao_20110822:1:36860631:36862345:1 gene:TCM_005482 transcript:EOX96173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataurora3 MISQSEEGEGNPKRKWSLEDFEIGKPLGKGKFGRVYLAREVKSKYIVALKVIFKEQIEKYRIHHQLRREMEIQTSLRHPNILRLYGWFHDSERIFLILEYAFGGELYKELRKNGHLSEKQAATYIASLTKALAYCHEKHVIHRDIKPENLLLDHEGRLKIADFGWSVQSTSKRRTMCGTLDYLAPEMVENKAHDYAVDNWTLGILCYEFLYGAPPFEAESQSDTFKRIRDVDLSFPSTPHVSMEAKNLISRLLVKDSSKRLPLQKIMEHPWIIKNADPMGTCKK >EOX91318 pep chromosome:Theobroma_cacao_20110822:1:2312380:2315823:1 gene:TCM_000557 transcript:EOX91318 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB complex BAF60b domain-containing protein, putative isoform 1 MVLDSELIARLREFLKESDLNTTTTAIVRRRLEEDFRIDLSEKKKFIREQVDLYLQSQFENAEEQEQEQEDENNEEDDQTAKIKSEETDGSDSNEEEEESERARNKRASAKKGSKRVNNEVKRRGGGFNKVCSLSPQLQELVGVPALARTEVVKQIWAYIREKNLQDPLNKKNIICDEPLLALFGVRSIDMFQMNKALSKHIWPLDSDEVVSVKSTEREKQRKHVREEGRDDPDEPKRKEK >EOX91317 pep chromosome:Theobroma_cacao_20110822:1:2312242:2316600:1 gene:TCM_000557 transcript:EOX91317 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB complex BAF60b domain-containing protein, putative isoform 1 MVLDSELIARLREFLKESDLNTTTTAIVRRRLEEDFRIDLSEKKKFIREQVDLYLQSQFENAEEQEQEQEDENNEEDDQTAKIKSEETDGSDSNEEEEESERARNKRASAKKGSKRVNNEVKRRGGGFNKVCSLSPQLQELVGVPALARTEVVKQIWAYIREKNLQDPLNKKNIICDEPLLALFGVRSIDMFQMNKALSKHIWPLDSDEVVSVKSTEREKQRKHVREEDPDEPKRKEKRQKGFLAPLQLSDALVKFLGTGESVLTRADVIKRMWDYIKENNLQDPSDKRKVICDEKLKELFEVETFTGFTVSKLLAAHFLKT >EOX92515 pep chromosome:Theobroma_cacao_20110822:1:7258981:7261894:-1 gene:TCM_001457 transcript:EOX92515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPHRTRPMTALLVFTGLNVVLVSTITPVYDFVCFLPYWERRCRSTLKFGPTIACNLFFHFVASQIVINCSKTGFTHRSSAYTNKDVQSACWGRERRRLEREALAHRSQSS >EOX94696 pep chromosome:Theobroma_cacao_20110822:1:30938073:30941359:-1 gene:TCM_004308 transcript:EOX94696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MRRPIMAVSPAFLFALLPDSLYAVVRICRCQFPVYWSHRPICGISDSFSNNIKTQIASSSLRNRLTLFSTMAAPILVQARDPAKLNMELQNAIDERRYIDTWKLYEQHMQMEGFPRKSVVNRLLTGFAESLDVQWLEKAYGMVEQAFEESKQNLLEKEPLLYLSLALAKCGLSVPASTILRKLVATEQFPPTTAWSAILAHMSQTAPGAYLASELILEIGYLFQDGRVDPRKKSNVPLIAMKPNATAFSIALAGCLLFGTTRKAEQLLDMMPRIGIKADANLLIVMAHIYERNGRREELRKLKRHIDEACNLTDIQFRQFYNCLLTCHLNFGDLDSASNMVLEMLRKAKEAQNSLAAATLVLETAGNDNRPPFTQISGPNQSQQEPNVSHNISLIENKIISYNEFSRDRTFAKLGNEAKEVLLSLLAKLQTQVELITTEHGILQPTEKIYVKLVKAFLEAGKIKDLAQFLIKAEKEDSPVSNDDSALVHVIKSCISLGWLDQAHDLLDEMRFAGVRTGSSTYASLLKAYCKANRLGEVTSLLRDAQKAGIQLDSSCYDTMIQLRVLQQDTQGALHLFKEMKEAKIPRGGNQEFERLVQGCTGNGEAGLMAKLLQEIREGQKLDSGLHDWNNVIHFFCKKRLMQDAEKALMKMRSLGHAPNAQTFHSMVTGYAAIGGKYIEVTELWGEMKSIASSTSMKFDQELLDSLLYTFVRGGFFVRANEVVDVMEKGNMFIDKYKYRTLFLKYHKTLYKGKAPKFQTEAQLKKREAALTFKKWVGLY >EOX95203 pep chromosome:Theobroma_cacao_20110822:1:33305335:33308761:-1 gene:TCM_004754 transcript:EOX95203 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MKSQVSCVDEDDCESCRLAYCWWRTAAKFDECAKLKLDFPDVSILTPRLRLLRELERLALIAPEGLNELRHKLLGYRSGDFWVPTGGIKKEDMDIPPVSTILLVGFSGSGKSSLINLMYSILGRSGLIPFAQTSSGSRSNYTTMYMEEHNVLRSMRSGFCVYDSRGFDYVRTTEALGELSSWMIEGIHHNQLCFRYGDCTTMTDDVENIVTRSSSKFVQRRVNCVMVVANIAEIYNALKAGDFKPLEATRQLFCSPALRKSNENPLLILTHGDLLSTEERIDGRLKICECLDISETNGVYDIVCLTEYGFLVEESDPVSAYALTEAVYRALLISDRGHFPKKKFQDWALLILLWLVRFIGVCFAILADVCSKLGQKEKLKM >EOX91263 pep chromosome:Theobroma_cacao_20110822:1:2114671:2121275:1 gene:TCM_000510 transcript:EOX91263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extra-large G-protein 1 MPPEEGTTFPSLENEEEQQYSFAVEYTGPPLPYDLPRAVPINVEKIPVAAVVSKVPLSNTELHIPVVPPILAPDRNKFSKELLLQPTVSPTSVIAFEERVSEDTNNCLLSGELSSYESGELAELVNNNDSASRRLGACSISNEHSSTLDYCDSFDKSRESSSQARVSNDDDLNQPDWGSNESVLSLDYPSSRVSSLKTGDCNNESNGDVRRPQVVTFLDIESDDGLDEEFSQDEVQPQVVRAKREPQTKGKKGSCYRCFKGNRFTEKEVCIVCDAKYCSNCVLRAMGSMPEGRKCVTCIGFPIDESKRGSLGKCSRMLKRLLNDLEVRQVMKAEKLCEANQLPPEYIYVNGQPLCHEELAILQSCPNPPKKLKPGNYWYDKVSGLWGKEGQKPSKIISPHLNVGGSIRPDASNGNTQVFINGREITKVELRMLQLAGVQVAGNPHFWVNEDGSYQEEGQKNTKGYIWGKAGTKLVCAVLSLPVPSKSSNNCGEQLNSMASRSVPDYLEQRTLQKILLVGSTGSGSSTIFKQAKILYKDVPFSEDECENIKWTIQTNLYGYLGILLEGRERFEEESLAEMRKRKCSKETDPEGSSNDSDGKTIYSIGPRLKAFSDWLLKTMVSGNLEAIFPAATREYAPLVGELWKDAAIQATYNRRSELEMLPSVASYYLERAVEILTLDYEPSDLDILYAEGVTSSNGLACVDFSFPQSSPDETIDTADQHDSLLRYQLIRVQARGLGENCKWLEMFEDVGMVIFCVSLSDYDQFSADGTNKMLLSKKFFESIVTHPTFYEMDFLLMLNKFDLFEEKIERVPLSRCKWFDDFQPVISNHRSNANSNSINHNPTQGQLGFHYIAVKFKRLYSSLTGRKLYVSNVKGLEPNSVDAALKFARDILKWDDERANFSLSEHSFYSTEASSFSH >EOX93229 pep chromosome:Theobroma_cacao_20110822:1:11436817:11438373:1 gene:TCM_002071 transcript:EOX93229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative MFHSKKPTFNTYDRTCVQGDSGLVLTTDPKPRLRWTVELHERFVEAVTQLGGPDKATPKTILKIMGVKGLTLYHLKSHLQKFRLGRQPQKDFNDQSVSDSRKVSEFYFSKTATGIRACCLSDQSVQQTGGVRLQMEGGGILNEELEVQKHLQMRIDAQGKYMQTILEKAAAQTLSEENTNSQCYKYFGIQKYGNMGSMNNLDFVSHVNVPSLEELHSIPASETAHMEKQWKKLNIENLENPIVFWSDDLLPENIVIATADYNSDGDSFKDDHL >EOX93732 pep chromosome:Theobroma_cacao_20110822:1:15472238:15473057:1 gene:TCM_002656 transcript:EOX93732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNKVSWEDLQDKRMRVKAHEAKLSMEPKLELRLWNNNEVHQESRKVLNWLDSLDKRPWNILEPINKEQKREFLCKYCNKKFSNSQALGGHQNAHKRERALSRKEKGRMDLYNPYGHICSHLCDPFSPMARVPNPETSQKSLRTNVEAMIQKPYNDSPGYVNNPNFMSSQIAMPPSDDHFYWSPGGDLSQPATTPFHSRAPPFKNFGGFQNTNLHKF >EOX94314 pep chromosome:Theobroma_cacao_20110822:1:27726541:27737359:1 gene:TCM_003902 transcript:EOX94314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase MGGMDVEGGIARKLWNKFRSESVFVNYTPFFVCLAAGNLNADAFLHYLSQDVHLLNAFANAYELAEECADDEEDKKAIRKLRKHVKDKLKSHDSRVREWGFELPEESSSTNATAKYTDFLLATASGRIEGEKVPGKIATPFEKTKVAAYTLGAIAPCMRLFAFINKEIQALLDPNDSSHIYKKWIDHYCSQNFEAYAFRIEELLDTLSISLTGEELDVIEKLYHQSMRLEVDFFSSQLIIQEAVVPLSRMLDPAAGGQLTIFCDFDLTCTALDSSAILAELAIITMQKAEPDGPETQLAQMSLADLRSTWDALSAQYTEEFEQCVESITPTETVKSFSYEGLCEALQQFADFEKKANSRVVQSGVLKGLNQEDIKRAGQSLILQDGCKWFFQKIMKVENPTAAVHILSYCWCGDLIRSAFSSGDLKALNVHSNELACEESITTGEITKKLESPMEKLQAFNNILNSRGNDCQHLTVYIGGSVGDLLCLLEADIVKEKNMQLKIHFLLLFFCYCIGSCSFGLANVVEKTKLNEEVSALLSIKASLIDPLNSLQDWKLPDSLALKYSAHCNWTGVWCNSDGTVEKLDLSRMNLSGRVSDDIQQLKSLTALNLCCNELSSTLPKSVSNLTSLNSIDVSQNFFTGSFPVGFGRASALTSLNASSNNFSGVLPEDLGNATSLDTLDLRGSFFQGSVPKSFKNLRKLKFLGLSGNNLTGQIPGELGQLSSLETIILGYNVFEGGIPVEFGNLSSLKYLDMAVGSLSGEIPAELGRLKLLETVFLYKNNFKGKIPPSIGNLTSLQLLDLSDNDLSGEIPAEIAELKNLQLLNLMRNQLSGSVPAGLGGLAQLEVLELWNNSLSGPLPIDLGKNSPLQWLDISSNSFSGEIPATLCNGGNLTKLILFNNSLSGPVPVSLSTCLSLVRVRMQNNKLSGTIPVGLGKLGKLQRLELANNSLTGEIPNDIASSTSLSFIDLSSNHLRSSLPSTILSIPSLQTFIASNNNLIGEIPDQFQDCPSLSVLDLSTNHFTGSIPKSIASCVKLVTLNLRNNQLTGDIPKSIAMMPTLAVLDLSNNSLTGGIPDNFGTSPALEMLNVSYNKLEGPVPANGVLRTINTDDLAGNAGLCGGVLRPCDRYSPTSSRQRSLRAKHIVAEWLIGISSVLAAGILLIGGRLLYKKWYSSGGCFEERFEAGSGEWPWRLMAFQRLGFTAADILACIKESNVVGMGATGVVYKAELPQSNAVVAVKKLWRSGTDIETGNSGDFVGEVNLLGKLRHRNIVRLLGFLHNDNSMMIVYEFMQNGSLGEALHGKQAGRLLLDWVSRYNIALGVAQGLAYLHHDCHPPVIHRDIKSNNILLDANLEARIADFGLARMMVRKNETVSMVAGSYGYIAPEYGYTLKVDEKTDIYSFGVVLLELLTGKRPLDPEFGESVDIVEWIRRKVGDNKALEEVLDPNLGNCKHIQEEMLLVVRIALLCTAKLPRDRPSMRDVITMLGEAKPRRKSISNNDGNASSKEKPVPVFSTSPVNGLV >EOX93456 pep chromosome:Theobroma_cacao_20110822:1:13190311:13196723:-1 gene:TCM_002328 transcript:EOX93456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 89.1 isoform 1 MHRLSRRSVSAALRAPATHYRNAAVAPISSSTPVPDSAVGSDNNTRWYSAITGGKCDTTRYSNQLNLKSGLFLGSRYESTAAASDSANQPPPPAEKYEYQAEVSRLMDLIVNSLYSNKEVFLRELIRHVLGSHLSILGYIKLPCNASDALDKLRYLSVTEPQLLKDAVDLNIRIQTDKDNGRITIIDSGIGMTRQELVDCLGTIAQSGTAKFLKAVKESKDAGTDNNLIGQFGVGFYSAFLVSDKVVVSTKSPKSDKQYVWEGEANASSYTIREETDPGSLIPRGTRLTLYLKRDDKGFAHPERIQKLVKNYSQFVSFPIYTWQEKGITKEVEVDEDPVEAKEDGQDENTEVKKKKTKKVVERFWDWELANETQPIWLRNPKEVTTEEYNDFYKKTFNEYSDPLASSHFTTEGEVEFRSVLYVPAVAPMGKDDIINPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISMSENRGDYETFWENFGKHLKLGCIEDRENHKRLAPLLRFFSSQSEEEMISLDEYVENMKPEQKDIYYIAADSVTSARNAPFLERLLEKDLEVLYLVDPIDEVAIQNLKSYKEKNFVDISKEDLDLGDKNEEKEKVVKEEFGQTCDWIKKRLGEKVASVQISNRLSSSPCVLVSGKFGWSANMERLMKAQTVGDTSTLEFMKGRKVFEINPEHPIIRDLNAAYRSNPDDEDALRAIDLLHDAALVSSGYTPDNPAQLGGKIYEMMGMALSGKWSTPEVQHSGLQPPRTETLEAEVVEPVQAGGQK >EOX93458 pep chromosome:Theobroma_cacao_20110822:1:13191133:13196374:-1 gene:TCM_002328 transcript:EOX93458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 89.1 isoform 1 MHRLSRRSVSAALRAPATHYRNAAVAPISSSTPVPDSAVGSDNNTRWYSAITGGKCDTTRYSNQLNLKSGLFLGSRYESTAAASDSANQPPPPAEKYEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRYLSVTEPQLLKDAVDLNIRIQTDKDNGRITIIDSGIGMTRQELVDCLGTIAQSGTAKFLKAVKESKDAGTDNNLIGQFGVGFYSAFLVSDKVVVSTKSPKSDKQYVWEGEANASSYTIREETDPGSLIPRGTRLTLYLKRDDKGFAHPERIQKLVKNYSQFVSFPIYTWQEKGITKEVEVDEDPVEAKEDGQDENTEKKKKTKKVVERFWDWELANETQPIWLRNPKEVTTEEYNDFYKKTFNEYSDPLASSHFTTEGEVEFRSVLYVPAVAPMGKDDIINPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISMSENRGDYETFWENFGKHLKLGCIEDRENHKRLAPLLRFFSSQSEEEMISLDEYVENMKPEQKDIYYIAADSVTSARNAPFLERLLEKDLEVLYLVDPIDEVAIQNLKSYKEKNFVDISKEDLDLGDKNEEKEKVVKEEFGQTCDWIKKRLGEKVASVQISNRLSSSPCVLVSGKFGWSANMERLMKAQTVGDTSTLEFMKGRKVFEINPEHPIIRDLNAAYRSNPDDEDALRAIDLLHDAALVSSGYTPDNPAQLGGKIYEMMGMALSGKWSTPEVQHSGLQPPRTETLEAEVVEPVQAGGQK >EOX93457 pep chromosome:Theobroma_cacao_20110822:1:13190721:13196346:-1 gene:TCM_002328 transcript:EOX93457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 89.1 isoform 1 MHRLSRRSVSAALRAPATHYRNAAVAPISSSTPVPDSAVGSDNNTRWYSAITGGKCDTTRYSNQLNLKSGLFLGSRYESTAAASDSANQPPPPAEKYEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRYLSVTEPQLLKDAVDLNIRIQTDKDNGRITIIDSGIGMTRQELVDCLGTIAQSGTAKFLKAVKESKDAGTDNNLIGQFGVGFYSAFLVSDKVVVSTKSPKSDKQYVWEGEANASSYTIREETDPGSLIPRGTRLTLYLKRDDKGFAHPERIQKLVKNYSQFVSFPIYTWQEKGITKEVEVDEDPVEAKEDGQDENTEKKKKTKKVVERFWDWELANETQPIWLRNPKEVTTEEYNDFYKKTFNEYSDPLASSHFTTEGEVEFRSVLYVPAVAPMGKDDIINPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISMSENRGDYETFWENFGKHLKLGCIEDRENHKRLAPLLRFFSSQSEEEMISLDEYVENMKPEQKDIYYIAADSVTSARNAPFLERLLEKDLEVLYLVDPIDEVAIQNLKSYKEKNFVDISKEDLDLGDKNEEKEKVVKEEFGQTCDWIKKRLGEKVASVQISNRLSSSPCVLVSGKFGWSANMERLMKAQTVGDTSTLEFMKGRKVFEINPEHPIIRDLNAAYRSNPDDEDALRAIDLLHDAALVSSGYTPDNPAQLGGKIYEMMGMALSGKWSTPEVQHSGLQPPRTETLEAEVVEPVQAGGQK >EOX90924 pep chromosome:Theobroma_cacao_20110822:1:1065369:1067333:-1 gene:TCM_000262 transcript:EOX90924 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 5, putative MLYQTHHHPFFFHFRSFTSIPPPLPSTTPSLLPSSLQEMECVEAALKTSFRKEMALKSSPQAFLEDIWLANGQNGVSSDDFSVDDLFDFTNEEGFLEQQQQPQHEEEEEEEDEGAPISSSSSSPKRQKLSQEEHLSNDTTTNFDYGSLPTSELAVPADDVANLEWLSHFVEDSFSEHSTAYPTGTLTENPKLQADILAEPEKPVITTCFKTPVPAKARSKRTRTGGRVWSLVASPSLTESSSSSTSSSSSSSPSSPWLLYPNSGSGSTFEPSEPLSVEKPPAKKHKKRPATDSTGGNGTQPTRRCSHCGVTKTPQWRAGPMGAKTLCNACGVRFKSGRLLPEYRPACSPTFSSELHSNHHRKVLEMRRKKETLGQAGPGLAPPVVPSFG >EOX94287 pep chromosome:Theobroma_cacao_20110822:1:27518241:27525571:-1 gene:TCM_003876 transcript:EOX94287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSLGVQLRNGEDGSLLANFIVRPSLLNQIKDIQRSDDELRKEIQKLTDGGVSEFRFGEDNILMFKDRVCVTEGNQLRQAIMEEAHSSAYALHLGSTKMYRTIRENYWWPGMKRDVAEFVAKCLVCQQVKAEHQRPAGTLQKGKKLICKPCGVSVGIPDNECQSGRHGDCHRLEGGFES >EOX95610 pep chromosome:Theobroma_cacao_20110822:1:34852016:34855859:-1 gene:TCM_005056 transcript:EOX95610 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein MEINLFRLCSGLRVLGYFMILLVTAIIGVSYYAVVLLTWGPQLLGGGFHSFLSFAIIITFHVLLILLLWSYFRVVFKDPGSVPGNWRPVSPEENLEVGSSFAAADDGLERSRGGYCSHCQNGKPPRCHHCSVCQRCVLKMDHHCVWVVNCVGARNYKFFLLFLLYTFLETTMDTIVLLPSFINFFDEAKNHSSTPANLAIIFLAFVLNLAFALSLLCFLVMHASLLSSNTTSVEVHEKKGAVRWKYDLGRKKNFDQVFGTKKTLWFFPMFSEDDLDNIPALHGIDFPTRSDVEA >EOX92376 pep chromosome:Theobroma_cacao_20110822:1:6545599:6550338:1 gene:TCM_001330 transcript:EOX92376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mtn21-like protein, putative MVKGIISNVKKKRNNKCEKKSELLACCYPKVVEKMGSKKPFLVALLVHALSSGMILLSKAAFNMGMSTSVFVFYRQVAGTIFLVPFAMIFEGKNAKPLSLLTFCKIFMLALLGITLTLNLYGVALIYTSASLGAATINCIPVITFAFAVLLRQTAHLHDGLPCRMEKVTVKTVPGIAKVAGIMVCMAGVVTLAFYKGPVLQPLCHLHFQPHSGQDHHADGQGSSGKKWILGCFLLLVSCICWALWLVLQAQILKSYPSKITFTSIQCLSSAVQSFIVAIALERDPHQWKLGWNFRLLAVVYCGIFVTGIAYYLQAWVIATKGPVFHAVMTPSNLVMTILGSVFLLGETINLGSVLGAILLVISLYSVLWGKSKEQSMDNNLGCLPVQAQTDRSTQVKETPVLSSNPRPSLSM >EOX92839 pep chromosome:Theobroma_cacao_20110822:1:8818453:8862716:1 gene:TCM_001701 transcript:EOX92839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 13A, putative MLERVVHQVLLGYLGRYVKDFSKDQVKVTLWNIEVELKDIDLILEAFDYLQLPFALKQGRVGRLSIKVPWNLIGGEPILIALENVFFSVSQRDDHEWRMDAVETRELAGKKAKLAAAELAKLSRRVCDNKGGWSFIPFVTAKVLENIQVSIRNFHVLYSDMQSDSEQFMFGLRFSSLTMLKQNPIGLRMGQVSKIVEIEGLEIYCSISKEAANVLSLNQVEDSKPWCNSHFVGDKSDHILEPVNVSLSLLVNRSGKLNDLPQYSISAKITCLVVSLNEIQLQQILILSDYLSTSQLREKYGRYRPWYCPLSRKEDGWQKLWWHYAQESILSDVREKLKKTSWRYLGQRLSNRRKYVNLYKTKLEFLQQDQPIDESIIRELEQMEKESDIDDILSYRSAAEHELQEVLSKPSTANISVEKSRQDGQSSGKSRGWLNWLSRGMLGAGGTDDSSQFSGVVSDEDVQDIYEATKFYPPVFSAVDADTNEKMYTRVIEFSIDEISATLWSMNFCQEIAKLNLHEAVIKCNLQEELGTVIAFVKSGEMGNASNKNVIRLMSCMEKNAGEDLPLYRVQVDLSPKEDVELSVNVMLQSLEVAYETTFFRDVTEFFTVVKSFEFQHERVLSSLNGIEDAKSRLLAKAEYILSAHKKVTWNVSITNIMINIPLRNAVSEEFNMVFDLGSLLFASKPELGSHGSSIEGQSFFQKNSLDFAFSSDWLTSFQLQHLYNYFETKLVDFEVKLVEPNYLQTISIVKKFCACITLASCIIPNESRLKQLEVYVAVSSLDANFSLSIYESVIALVVLLNIQWSRSEPAMLENPNSLNTVSSHPGAPLFGFSVTANIKSANFLVDLANDGENSSFITLALKNLDVWYSLIDYERCWICLKAVEVTAHTLSGENNNHVLCSLGDVSALNTANQYDMAIKLGDASNNLCEKNKSTEACFLLHYEAHGNIDFINHKFTVYLNNADLHCYPYIFGLLVGFYDRICSSSPFNAAENSLGPTFDAQSTKKMPGFQFQRFGFSNFSEIGTSDYASISLDCFPFVTIHNSGSLGSPDSSLRYSIPDWRKLFNLRDKKLRSPNCNLKKGSNPFHPSPLKSKMDMVAFPVSGSSTDANLYAIDINLSGVKLHFHDSSCIVGTITLPTSKSSINIFDDCMDLVSSSEGVILTSSWWTNNLHEFLWGPSLPNLSPILNIRVRKGSFGSLSSPLEVSFGIQHACCILPFQYLAIIIGYFSLPDWSSKSSMQPVSKNIESMDSQSENAIIYKFEVLESTLILPVESDDHQFLKTEIQQLYGSFIDECALSDVLKDIPPEYVVPENKVARTNHCLNIFGRDLSLSLLLFEDDHITFIPGNKPRNFSLITPFSADVWIRIPSETESFSARSSDSTCIMARIGICQVFVDDFYFIGGFEALLEIIDLFSFVQDESKSYMSDVLQFLQSKRLRKEKRAVSLLDSAMTFTEVRCYVESLLIQLNRLGKDLVLLEPIAKAEMNFICSMSLINETPRSLDLSFFSLALSSLLNSVILAHCTNTCSTSLVLDLSLSKSDQCQSEFRIGLPSLDIWLHCSEWTEVLDLYNSYGRRVVKTAKLDSSSGSLAVNTICPVQNVSESVPQISVKKSGASTYSAALSMMQETVVIVRSEDIGITFHLPIHVTKEACTELVFNEEGPQKVPSTGIEGKHCKLLTFTMHSKNSELIISGKNAKLKCILDKTGGTVGFQGNENVNSWPFFQIFQVSVETEICNIQEKPVHFNLGVQCERLDVWLSHQTFFFLHDARFDVPGSRSSRHNFGSMEFKIQLRKGSLLLSDGRWSCSGPLLEILLSNFLLCANMTQNSMESAVACDLQVNYNNIQKVFWEPFLEPWKFEMEIIRKKELNALLDNSIITDVHLLSTGQLNFNFTESLIETVFRTIEMLKDAWGFVEQDFSEKQRYLNPQLTENVSGGRYAPYILQNLTSSPLVYRVYQGLADSDQFDVSKEKDGKIVQPGAAVPIYLNDTPVEQLFGYRPTCSSDNLTERQSNGVAHHLMTIQLDGMSVPSASVSMDLVGLTYFEVDFSNTSQYNVNTKENGVVDAKNGFVVPVVFDVSMLRYSKLIRLYSTVIILNATSMPLELRFDIPFGISPKILDPVYPGQEFPLPLHLAEAGRMRWRPLGNSYLWSEAHNVSDLLSSESKIGFLRSFVCYPSHPSSDPFRCCLSLQHISLPAADRLKKSPVSHVDHTLNQSIQSCSKMLNGQGKSKNRFIHQMTLSTPLVINNYLPEAISLTIESGGITRTTLLSKVVTFFHHVDLSHDLLLEFSMHGYRPSVIKFPRTETFSSTAKFSGTKFCQSETMTFDPDMCNGAIYVTVEKMMDAFSGARELFIYVPFLLYNCTAFPLIISEFTNEMDGTVCTLPSCYNQVDDELFQGRRDGLSLLLSDQHSYVGAPQIDNLGCSLLKDHIVSTRKTVDPLFGRFLKNPLISFSQKQTDQHDLVDQKTSSNILKNQLCSSTQSLSGNNDYVEKECGMVKACIFSPHNISAASEIVVCIGNCHHGHISENIPNSPWSGPFPLVPPSGSTTVLVRQPSSNATFILSVTSSAIAGPFAGRTRAITFQPRYVISNACSKDIYYKQKGTDIVYHLGVGQHSQLHWTDTTRELLISMLFDEPGWQWSGSFLPDHLGDTQVKTRNYASGAMNMIRVEVQNADVSVRDEIVGSLQGSSGTNLILLSEDDTGYMPYRIDNFSKERLRIYQQRCESLDTIVHPYTSCPYAWDEPYYPHRVTIEVPGERIVGSFSLDDLKEYMPVHLQSTSEKPERMLLLSVRAEGATKVLSIIDSTYHILKDMEDHSTIRFQEKQKQEEKQEKSVDYKEKFSLTIPYMGISLVNSYPQELLFASAKNIKIDLLQSVDHQKLSFQISSLQIDNQLHNTPYPVILSFNSDYRSHQVGQITKDDGPKSKAERGLQISSDSSFEPVFYLAVAKWRRKDVSLVSFEYISLRVADFCLELEQEVILSLLYFFKAVSPGLQSQVLPFSDPIYNVGFAHGQTCEHVKAREQLHGTGTPVLSKSDETGGLLPLIVPLGAPWQQIHLLARRHRKIYVESFDLAPIKFTLSFSSSPWMLRNGVLTSGESLIHRGLMALADVEGARIHLKQLSIMHQMASWESIQEILIRHYTRQLLHEMYKVFGSAGVIGNPMGFARSLGVGIRDFLAVPAKSILKSPTGLITGMAQGTTSLLSNTVYALSDAATQFSKAAHKGIVAFTFDDQAVARMEKQLKGEASHSKGIINEVFEGLTGLLQSPVKEAEKHGLPGILSGIALGVTGLVGRPAASILEVTGRTAQSIRNRSRVYHMGSQQYRVRFPRPLSRELPLRPYSWEEAVGISVLTEADDGKLKDEVYVMCKALRKPGKFVIVTERLVLVVNCPSLVDFEKPEFRGVAVDPEWVIETEISLHSVIHTDADDGVVHIVGSSSDALLRQKQQLSRKGGGTRKRWNNPSTPLPLFQTNLEVASEGDAEDFLLVLLSTIEQGKEHGGRGYLLHRNNIK >EOX90818 pep chromosome:Theobroma_cacao_20110822:1:714460:715669:1 gene:TCM_000184 transcript:EOX90818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDRIIKDGTIRSWELKLSCQHRKQYPSCLNVDWSLECMGIHASALWYNGPTISKPFFLSFWYALDFSTREGVSYHRRGNLQLEGPNVISRMWKTYINIQF >EOX95576 pep chromosome:Theobroma_cacao_20110822:1:34694734:34698599:-1 gene:TCM_005030 transcript:EOX95576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter of isoform 1 MDLQNPQHRQFVEISVDAADEERSVGGSKFCGETTCGFSDAGTNSKDAEERSASMRKLFIAVALCIIFMSVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQSYGFFRIEILGALVSIQMIWLLAGILVYEAIVRLINNTGEVNGFLMFLVATFGLVVNIIMALLLGHDHGHSHGDHGHGIGHSHGVSITTHHHHEGHSTGEHHHHHHEEHSKTEHHLQSDDAEHTTNEHHYDHEDQSEPLLNKPKGRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYEPKWKIVDLICTLVFSVVVLGTTIKMLRSILEVLMESTPREIDATKLERGLLEMGDVVAIHELHIWAITVGKVLLACHVKIRPEADADMVLDNVIEYIRREYNISHVTIQVER >EOX95578 pep chromosome:Theobroma_cacao_20110822:1:34694734:34698462:-1 gene:TCM_005030 transcript:EOX95578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter of isoform 1 MDLQNPQHRQFVEISVDAADEERSVGGSKFCGETTCGFSDAGTNSKDAEERSASMRKLFIAVALCIIFMSVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQSYGFFRIEILGALVSIQMIWLLAGILVYEAIVRLINNTGEVNGFLMFLVATFGLVVNIIMALLLGHDHGHSHGDHGHGIGHSHGVSITTHHHHEGHSTGEHHHHHHEEHSKTEHHLQSDDAEHTTNEHHYDHEDQSEPLLNKPKGRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYEPKWKIVDLICTLVFSVVVLGTTIKMLRSILEVLMESTPREIDATKLERGLLEMGDVVAIHELHIWAITVGKVLLACHVKIRPEADADMVLDNVIEYIRREYNISHVTIQVER >EOX95577 pep chromosome:Theobroma_cacao_20110822:1:34694609:34698477:-1 gene:TCM_005030 transcript:EOX95577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter of isoform 1 MDLQNPQHRQFVEISVDAADEERSVGGSKFCGETTCGFSDAGTNSKDAEERSASMRKLFIAVALCIIFMSVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQSYGFFRIEILGALVSIQMIWLLAGILVYEAIVRLINNTGEVNGFLMFLVATFGLVVNIIMALLLGHDHGHSHGDHGHGIGHSHGVSITTHHHHEGHSTGEHHHHHHEEHSKTEHHLQSDDAEHTTNEHHYDHEDQSEPLLNKPKGRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYEPKWKIVDLICTLVFSVVVLGTTIKMLRSILEVLMESTPREIDATKLERGLLEMGDVVAIHELHIWAITVGKVLLACHVKIRPEADADMVLDNVIEYIRREYNISHVTIQVER >EOX93022 pep chromosome:Theobroma_cacao_20110822:1:9981762:9983250:-1 gene:TCM_001878 transcript:EOX93022 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook DNA-binding family protein MGEGTDLRVTMDQNQEQDKGNLHRPGIDAILMAPKITKAVTPVSAAEGENIRRPRGRPAGSKNKPKPPIIITRDSANALRAHALEVSSGCDVNESLANFARRKQRGICVLSGSGCVTNVTLRQPASSGAIVTLHGRYEILSLLGSILPPPAPPGITGLTIYLAGAQGQVVGGGVVGALIASGPVLVMAASFMNATFDRLPLDDDEVAAAMPNQYHQNARHHHLDISDLYGMPQNLITNGTMPPEIYSWAPGRNMSKA >EOX94003 pep chromosome:Theobroma_cacao_20110822:1:18345114:18349046:-1 gene:TCM_003033 transcript:EOX94003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane kinase-like 1 isoform 2 MEVFKLYSLYIFFFFLFTIGLSTTLTESLSSSSSSPDVELLLGKVKASLQGNTENLLLSSWNFSVALCQWRGLKWAFSDGTPLSCNDFSSPQWTNLSLSKDPSLHLVSLQLPSANLTGSLPRELGEFSMLQSLYLNINSLSGTIPLELGYSSSLSDIDLSNNLLTGALAPSIWNLCDRLVSLRLQGNSLSGLLPEPALPNSTCKNLQSLDLGNNKFSGNFPEFITRFQSLKQLDLSGNMLSGSIPESLATLNLEKLNLSHNNFTGVLPVFGERKFGAEVFEGNNPGLCGLPLNSCSGRSQLSPGAIAGIVIGLMTGVVVLASLFIGYVQNKKRRSRGDSEEEVEEGEEDENGVGVGGGGGESKLILFQGGEHLTLEDVLNATGQVMEKTNYGTVYKAKLADGGNIALRLLREGSCKDRSSCLPVIKQLGKVRHENLVPLRAFYQGKRGEKLLIYDYLPNRSLHDFLHGKPVLNWARRHKIALGIARGLAYLHTGLEIPITHGNVRSKNVLVDDFFVARLTEFGLDKLMIPAVADEMVALAKTDGYKAPELQRMKKCNSRTDVYAFGILLLEILIGKKPGKNGRGNDAVDLPSMVKVAVLEETTMEVFDVEVLKGIRSPMEDGLVQALKLAMGCCAPVASVRPTMDEVVKQLEENRPRNRSALYSPTETRSEVGTPF >EOX94002 pep chromosome:Theobroma_cacao_20110822:1:18345998:18349003:-1 gene:TCM_003033 transcript:EOX94002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane kinase-like 1 isoform 2 MEVFKLYSLYIFFFFLFTIGLSTTLTESLSSSSSSPDVELLLGKVKASLQGNTENLLLSSWNFSVALCQWRGLKWAFSDGTPLSCNDFSSPQWTNLSLSKDPSLHLVSLQLPSANLTGSLPRELGEFSMLQSLYLNINSLSGTIPLELGYSSSLSDIDLSNNLLTGALAPSIWNLCDRLVSLRLQGNSLSGLLPEPALPNSTCKNLQSLDLGNNKFSGNFPEFITRFQSLKQLDLSGNMLSGSIPESLATLNLEKLNLSHNNFTGVLPVFGERKFGAEVFEGNNPGLCGLPLNSCSGRSQLSPGAIAGIVIGLMTGVVVLASLFIGYVQNKKRRSRGDSEEEVEEGEEDENGVGVGGGGGESKLILFQGGEHLTLEDVLNATGQVMEKTNYGTVYKAKLADGGNIALRLLREGSCKDRSSCLPVIKQLGKVRHENLVPLRAFYQGKRGEKLLIYDYLPNRSLHDFLHESRAGKPVLNWARRHKIALGIARGLAYLHTGLEIPITHGNVRSKNVLVDDFFVARLTEFGLDKLMIPAVADEMVALAKTDGYKAPELQRMKKCNSRTDVYAFGILLLEILIGKKPGKNGRGNDAVDLPSMVKVAVLEETTMEVFDVEVLKGIRSPMEDGLVQALKLAMGCCAPVASVRPTMDEVVKQLEENRPRNRSALYSPTETRSEVGTPF >EOX94916 pep chromosome:Theobroma_cacao_20110822:1:32012244:32016213:-1 gene:TCM_004517 transcript:EOX94916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein ucpB isoform 2 MEGNSASRNSSFTGTVKRNEKQNWATSPSGALYHFGTSGFSVAVATGLTHPLDVLKVRLQMQLIGQRGPLIGMGPLFVQVLKNEGPKSLYLGLTPALTRSLLYGGLRLGLYEPSKYACDWAFDSTNILVKIASGAFAGGISTALTNPVEVLKVRLQMNSDMRQRGPIAELRKIVSKEGIGALWKGVGPATARAAALTASQLATYDESKRILMKWTPLEEGFYLHLTSSTIAGLVSTLITAPIDMVKTRLMLQRDRAGNYKNGFHCAYQVLRTEGPRGLYKGGLRHFCKIGSTNYNYLYTLRAAAQACGIECNLVVG >EOX94917 pep chromosome:Theobroma_cacao_20110822:1:32012708:32016424:-1 gene:TCM_004517 transcript:EOX94917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein ucpB isoform 2 MEGNSASRNSSFTGTVKRNEKQNWATSPSGALYHFGTSGFSVAVATGLTHPLDVLKVRLQMQLIGQRGPLIGMGPLFVQVLKNEGPKSLYLGLTPALTRSLLYGGLRLGLYEPSKYACDWAFDSTNILVKIASGAFAGGISTALTNPVEVLKVRLQMNSDMRQRGPIAELRKIVSKEGIGALWKGVGPATARAAALTASQLATYDESKRILMKWTPLEEGFYLHLTSSTIAGLVSTLITAPIDMVKTRLMLQRDRAGNYKNGFHCAYQGLRHFCKIG >EOX95734 pep chromosome:Theobroma_cacao_20110822:1:35396653:35404953:-1 gene:TCM_005167 transcript:EOX95734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) RNA polymerase cid14, putative MEENEGWAAQQPPSELLPNGLLPNETASVIQILDSERWMKAEERTADLIARIQPNAPSEKRRKDVAEYVQGLICQCFPCQVFTFGSVPLKTYLPDGDIDLTAFSENQNLKDTWAHQVRDMLENEEKNENAKFLVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDLLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNKSFSGPLEVLYYFLEFFSKFDWENFCVSLWGPVPISSLPDITAEPPRKDGGELLLSKYFLDTCSSRYAVCQENQGQPFVSKHFNVIDPLRINNNLGRSVSKGNFFRIRSAFAFGAKKLARLLDPKEDLYDEVNQFFMNTWERHGSGERPDAPRNDLWRLGLSNSDHTHGSKNVRNNSSSKVNDMSSGHETQAEGAQGLCGVSSQHVNYPSECTSKISDVSTASRAQSQKSYGSMSNSNTSDQVRRDSNSNQNVHNDTGQRNSKAENIVTDVQGRYLFARTRSSPELTETYGEVASRGRRNRVPESGKTHIASMRSDNNGRKNMESDMTASNNIKSSCDDPSSIRHTSTHQSIDATADPNSLLNSYQDDLGLGAMGQDFSSIPGAQGMHQEEQDLVNMMASSTAHGFNGQVPIPLNLAAGHLPFPIQSSGLATMGNNQRNLGGIVPTNIHMFPQRLVSSPLAHYFSGIGLASNPEDSIEPGSENFGSSEMNPGEAEHELWHEQDRGSSGGFDLDNGSFEMLQSDDKQLSTSGGYNFDPSSRVGSSGSSTKVQQKFTKETRGSNREDHVDVCQYQDNRGNDVYFDERTASSRSMPASHSSSLRSKTSSENSWEGSSAKVSKPAREKRGRKTAASALPSAACGKGKSVSEHSSQAGDDGRDWNLPPTVGTEMAERTSGPQPVGSLPVPRHQMPGFEAAQTSGSDSLIPMAPILLGPGSGQRAMDNSGVPPLAFTITGPPIPFFLCPVYNIPAETGTPDASTSHFSWDEGLDNNDSGQNFDSSEGLDQSDVLSTSSSTRKVASLKPSESKRDILNGDIASHWKNLQYGRICQNSRYRPPLIYPSSVMVPPVCLQGHFPWDGPGRPLSTDVNLFSQLMNYGPRVVPVTPFQSVSNRPASVYQRYADEMPRYRGGTGTYLPNPKVPMRERHSTNTRRGKYNYDRNDHHGDREGNWTANSKSRAAGRSHSRNQNEKSRFTIDHLAAVAGESRAERPWSSHRHDSFTSYQSHNGPVRSNSSQSSSASMPYGMYPLPAMNPSGVSSNGPTIPSVVMLYPYDHNSGYSSPAEQLEFGSLGPVGFPGMNEVSQLSDGSSSGGVFDEQRFHGSSAQQSSPDQPSSPHLQRGL >EOX96499 pep chromosome:Theobroma_cacao_20110822:1:38024030:38027318:-1 gene:TCM_005733 transcript:EOX96499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor serine/threonine kinase MPVLNLLIVALFFLPICNSRKQSSCSSLCGNLSIQYPFRLRNDPANCGDSSYELACEHERPVLTIGYGRFYVESISYDNETLHAVDPGLKTNDCSSLPRYAWTANNLSYVSEYYNDSYYHLPYWLSLETEDTMIYLNCSTTVNSPEYLDASPCITNSSLPQAHHLYVFLGNLQAASLSNSCDYFLMTPAVIQTPGNLTYADIHKKLTIGYELSWSYSSFSSICESNGGYCPFSDGIGDSKRICCRGPLTSVNCFVHYLWIAIQGCIGLVLKIVGYYTLIRAAVGILCMSLYLIYKWWKRASIDEYVEEFLRNYENLKLRKFSYSDIKRMTGGFNEQLGQGGFGSVFKGKLSNGCLVAVKMLAEAKGDGGDFINELRTIGTIRHVNVVQLLGFCFEGSKKVLIYEYMPNGSLDKYLFSQEDVCTLSWSRMYEIALAIAHGLEYLRRGCHMRILHLDIKPHNILLNEDFTPKISDCGLAKLYPRNDSVVSLTNARGTRGYMAPELLYKNIGGISSKSDVYSFGMLLMEMAGRRKNLNPFVKNLSQIYFPSWIHDQLEQKGEVEIKDATAEEKDIGKRMIMIALWCIQLKPADRPSMTKVVEMLEDTSEPLQMPPKPALTPERKRS >EOX90802 pep chromosome:Theobroma_cacao_20110822:1:671326:675314:-1 gene:TCM_000170 transcript:EOX90802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630) ;Protein of unknown function (DUF632) [Source:Projected from Arabidopsis thaliana (AT3G51290) TAIR;Acc:AT3G51290] MGCWYSRIDREETVSRCKARKRYMKQLVNARQALAASHSMYLRSLRGTGSALLQFSNNETTLHLHHHVPPPPQPPAPPPPPMSPGSDTWTSATTTSPALPPPPPPPPSSSWDFWDPFGPATASRSATEEEWEAATLASEVAVTATTTATGAASMAAPPSVVSGFSKDTGSELAMVVSRNSKELVEIVKEVDEYFLKAADAGSQLSVLLEVSNSNFSNQSKGDKVYNYGCNLTPTTWTWNWNPKMEGIGKLGEDRIGGNVGGSVHSSSHCSTIERLYAWEKKLYQEVKHAEAIKIEHEKRVAQLRKLEVKRADYVKTEKTKKEVEKLESQMMVAAQAIETTSTEIIKLRESELYPQLLDLVKGLICMWRSMYEIHQVHTHIVQQLKYLNFIPSNEPTSEIHRQSTLQLELEVQQWHLSFCNLVKAQRDYIQSLAGWLRLSLFQFSKNPLLRTSQESKIYSFCEEWHLAVDRIPDKVASEGIKSFLTVIHAIVVQQADEYKQKKKADCTFKDFEKRAAELRSLESKYGPFSMPEMNKDPVAQKRAKVEMLRAKAEEEKNKHEKSVSVTRAMTLNNLQMGFPHVFQAMVGFSSVCMQAFEAVYNQAKSFDQEHDVKRILP >EOX91941 pep chromosome:Theobroma_cacao_20110822:1:4552731:4560529:-1 gene:TCM_000984 transcript:EOX91941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 MGGEEKAKKEALEIISQFQKLPRLVVFDLDYTLWPFYCECCDEDETPYLYQHAKAILLAQIAKTFLDKLGIRSMFVAEEIFSSWTHKTEHFQRIHRRTGVPFSSMLFFDDEDRNIEAVSKMGVTSIYVGNGVNFRALRQGLSEFLQKSEPSSGSRQMYCLLSERIISTGSISTKL >EOX91942 pep chromosome:Theobroma_cacao_20110822:1:4558599:4560560:-1 gene:TCM_000984 transcript:EOX91942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 MGGEEKAKKEALEIISQFQKLPRLVVFDLDYTLWPFYCECCDEDETPYLYQHAKAILLALKEKGIDIAIASRSPTPQIAKTFLDKLGIRSMFVAEEIFSSWTHKTEHFQRIHRRTGVPFSSMLFFDDEDRNIEADGNTCIQQAFLKIKYFSNNHPLPQRRRKSEDNMINYELVLQNETMNHVVNCYWQIQTLIQA >EOX91940 pep chromosome:Theobroma_cacao_20110822:1:4552731:4560560:-1 gene:TCM_000984 transcript:EOX91940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 MGGEEKAKKEALEIISQFQKLPRLVVFDLDYTLWPFYCECCDEDETPYLYQHAKAILLALKEKGIDIAIASRSPTPQIAKTFLDKLGIRSMFVAEEIFSSWTHKTEHFQRIHRRTGVPFSSMLFFDDEDRNIEAVSKMGVTSIYVGNGVNFRALRQGLSEFLQKSEPSSGSRQMYCLLSERIISTGSISTKL >EOX96230 pep chromosome:Theobroma_cacao_20110822:1:37077616:37079643:1 gene:TCM_005525 transcript:EOX96230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S13A MGRMHSRGKGISASALPYKRTPPSWLKISSQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >EOX92357 pep chromosome:Theobroma_cacao_20110822:1:6426523:6427277:1 gene:TCM_001306 transcript:EOX92357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKSMLVSLFLCIMILLNVVEEAKTQTTGEPTCLDKCGLKHCDRPGSFVCQLACQFFYGCPPPDGSPFASKYNDAVAKYLADHAFSPTQPLPSSSRKNVNN >EOX95236 pep chromosome:Theobroma_cacao_20110822:1:33461494:33466652:-1 gene:TCM_004786 transcript:EOX95236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESYTHVVIFCSLNVKMSTPKRDTHEEHVVVIRGCLAKRVYQRKNAEWTPNSVQLAKTAPSSAIKRVPSASTTWNPLAVPELAAAMFMKGTNP >EOX95983 pep chromosome:Theobroma_cacao_20110822:1:36228085:36229338:-1 gene:TCM_005346 transcript:EOX95983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bestrophin-like protein isoform 2 MAQSPNPSKLFLSSNFTPKPLLRLHPNSSLLTKLKQPPSFKILSSLSPPPPPPSSKPTPTKTLNLVSLLRAIPDWADRIQERGMQQNRALYNHENWVYHRSSLRHLRHLLSSLQSRVILSLVPPVLAFTSVAVVIAGYNTAVDLHWLPGFFPVLRASSLPYQLTAPALALLLVFRTEASYSRFEEGRKAWTKVIAGTNDFARQVIAGVENSGDECMKNALLHYIMAFPVALKCHVMYGSDIGRDLQNLLEGDDLAVVLNSKHRPRCIIEFISQSLKVLNLEESKRTMSQRSHVSMKELVYVNNSWVFPSLYHTLA >EOX95984 pep chromosome:Theobroma_cacao_20110822:1:36227853:36229581:-1 gene:TCM_005346 transcript:EOX95984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bestrophin-like protein isoform 2 MAQSPNPSKLFLSSNFTPKPLLRLHPNSSLLTKLKQPPSFKILSSLSPPPPPPSSKPTPTKTLNLVSLLRAIPDWADRIQERGMQQNRALYNHENWVYHRSSLRHLRHLLSSLQSRVILSLVPPVLAFTSVAVVIAGYNTAVDLHWLPGFFPVLRASSLPYQLTAPALALLLVFRTEASYSRFEEGRKAWTKVIAGTNDFARQVIAGVENSGDECMKNALLHYIMAFPVALKCHVMYGSDIGRDLQNLLEGDDLAVVLNSKHRPRCIIEFISQSLKVLNLEESKRTMSQRSHVSMKELVYVNNSWVFPSLYHTLA >EOX95982 pep chromosome:Theobroma_cacao_20110822:1:36227092:36229375:-1 gene:TCM_005346 transcript:EOX95982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bestrophin-like protein isoform 2 MAQSPNPSKLFLSSNFTPKPLLRLHPNSSLLTKLKQPPSFKILSSLSPPPPPPSSKPTPTKTLNLVSLLRAIPDWADRIQERGMQQNRALYNHENWVYHRSSLRHLRHLLSSLQSRVILSLVPPVLAFTSVAVVIAGYNTAVDLHWLPGFFPVLRASSLPYQLTAPALALLLVFRTEASYSRFEEGRKAWTKVIAGTNDFARQVIAGVENSGDECMKNALLHYIMAFPVALKCHVMYGSDIGRDLQNLLEGDDLAVVLNSKHRPRCIIEFISQSLKVLNLEESKRTMLESKISCFHEGIGVCEQLMGIPIPLSYTRLTSRFLVLWHLTLPIILWDDCHWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDELCSVVQNNICEAIATEKVIEARLNGKRKRHSYKHSPNGWPNTQ >EOX92827 pep chromosome:Theobroma_cacao_20110822:1:8759295:8763857:-1 gene:TCM_001690 transcript:EOX92827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MDQFSWSSNTHSSGFIVNSGCRGKTRNVSFSSSSSSSSLVLDSERGELVEATMNLPRKGVAAERNMAALKNHSEAERRRRARINAHFDTLRDILPDAKKMDKASLLTEVIRHMRELKKNAEEACKGILIPTDTDEVIVEKQEDELDGKPCLIRVSLCCDYKPGLLSDLRQALDALHLIIVKAEMATWEGRMKNVFLVASCKERDSKDPAVRISTSTLDQTLRSVLDKFSASEEFSFKATLSNKRQRISLFNSSLSSSSKDLWFNTSTSWYHNHHSCFTLPLTSISISKKF >EOX92082 pep chromosome:Theobroma_cacao_20110822:1:5184479:5186568:1 gene:TCM_001091 transcript:EOX92082 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylacetaldehyde oxime monooxygenase, putative isoform 2 MLIANFSQLEWLSGILLFLLPVFTYLLLKKKKKMGGIKLPPSPPKLPIIGNLHLLGNFPHRSLEKLSKKHGPVMLLQLGRIPTVIVSSAKTAKQVLKTHDIDCCTRPASPGPNRFSYNGLDVVFASYGDYWKEMRKCFVSELLSMRRGRSFADAREAEVDKLITSLSQASPKPFNLDEKIFALADGIIGTVAFGKIYGKDEFQNQVFQNVLGEAMNMLASFSAEDFFPRIGRFIDALTGFHARLEKSFYELDAFLQMVLDQHLDPARPRPEHEDLVDFLIRLFKDQSSTFKVRENNVKAMLFDTFVGGIVTTSVTILWAMSELIKNPRVMNKVQAEIRNCIGRKAKVEGEDVAKLKYLKMVVKETFRLHPPLTMLLPREAMRHFKIGDYDILPKTRILVNVWAIGRDPNNWENPDEFYPERFEENDIDFKGSDFDLLPFGAGRRICPGLAMGATNVEFTLANLLHCFDWELPSGMKREDISMEEEGRLTYQRKIPLCLVPIRNNGQNLNSV >EOX92080 pep chromosome:Theobroma_cacao_20110822:1:5184195:5189567:1 gene:TCM_001091 transcript:EOX92080 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylacetaldehyde oxime monooxygenase, putative isoform 2 MWMNTSHISIMLIANFSQLEWLSGILLFLLPVFTYLLLKKKKKMGGIKLPPSPPKLPIIGNLHLLGNFPHRSLEKLSKKHGPVMLLQLGRIPTVIVSSAKTAKQVLKTHDIDCCTRPASPGPNRFSYNGLDVVFASYGDYWKEMRKCFVSELLSMRRGRSFADAREAEVDKLITSLSQASPKPFNLDEKIFALADGIIGTVAFGKIYGKDEFQNQVFQNVLGEAMNMLASFSAEDFFPRIGRFIDALTGFHARLEKSFYELDAFLQMVLDQHLDPARPRPEHEDLVDFLIRLFKDQSSTFKVRENNVKAMLFDTFVGGIVTTSVTILWAMSELIKNPRVMNKVQAEIRNCIGRKAKVEGEDVAKLKYLKMVVKETFRLHPPLTMLLPREAMRHFKIGDYDILPKTRILVNVWAIGRDPNNWENPDEFYPERFEENDIDFKGSDFDLLPFGAGRRICPGLAMGATNVEFTLANLLHCFDWELPSGMKREDISMEEEGRLTYQRKIPLCLVPIRNNGQNLNSV >EOX92084 pep chromosome:Theobroma_cacao_20110822:1:5184479:5186183:1 gene:TCM_001091 transcript:EOX92084 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylacetaldehyde oxime monooxygenase, putative isoform 2 MLIANFSQLEWLSGILLFLLPVFTYLLLKKKKKMGGIKLPPSPPKLPIIGNLHLLGNFPHRSLEKLSKKHGPVMLLQLGRIPTVIVSSAKTAKQVLKTHDIDCCTRPASPGPNRFSYNGLDVVFASYGDYWKEMRKCFVSELLSMRRGRSFADAREAEVDKLITSLSQASPKPFNLDEKIFALADGIIGTVAFGKIYGKDEFQNQVFQNVLGEAMNMLASFSAEDFFPRIGRFIDALTGFHARLEKSFYELDAFLQMVLDQHLDPARPRPEHEDLVDFLIRLFKDQSSTFKVRENNVKDTFVGGIVTTSVTILWAMSELIKNPRVMNKVQAEIRNCIGRKAKVEGEDVAKLKYLKMVVKETFRLHPPLTMLLPREAMRHFKIGDYDILPKTRILVNVWAIGRDPNNWENPDEFYPERFEENDIDFKGSDFDLLPFGAGRRICPGLAMGATNVEFTLANLLHCFDWELPSGMKREDISMEEEGRLTYQRKIPLCLVPIRNNGQNLNSV >EOX92081 pep chromosome:Theobroma_cacao_20110822:1:5184500:5186384:1 gene:TCM_001091 transcript:EOX92081 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylacetaldehyde oxime monooxygenase, putative isoform 2 MGGIKLPPSPPKLPIIGNLHLLGNFPHRSLEKLSKKHGPVMLLQLGRIPTVIVSSAKTAKQVLKTHDIDCCTRPASPGPNRFSYNGLDVVFASYGDYWKEMRKCFVSELLSMRRGRSFADAREAEVDKLITSLSQASPKPFNLDEKIFALADGIIGTVAFGKIYGKDEFQNQVFQNVLGEAMNMLASFSAEDFFPRIGRFIDALTGFHARLEKSFYELDAFLQMVLDQHLDPARPRPEHEDLVDFLIRLFKDQSSTFKVRENNVKAMLFDTFVGGIVTTSVTILWAMSELIKNPRVMNKVQAEIRNCIGRKAKVEGEDVAKLKYLKMVVKETFRLHPPLTMLLPREAMRHFKIGDYDILPKTRILVNVWAIGRDPNNWENPDEFYPERFEENDIDFKGSDFDLLPFGAGRRICPGLAMGATNVEFTLANLLHCFDWELPSGMKREDISMEEEGRLTYQRKIPLCLVPIRNNGQNLNSV >EOX92083 pep chromosome:Theobroma_cacao_20110822:1:5184500:5186398:1 gene:TCM_001091 transcript:EOX92083 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylacetaldehyde oxime monooxygenase, putative isoform 2 MGGIKLPPSPPKLPIIGNLHLLGNFPHRSLEKLSKKHGPVMLLQLGRIPTVIVSSAKTAKQVLKTHDIDCCTRPASPGPNRFSYNGLDVVFASYGDYWKEMRKCFVSELLSMRRGRSFADAREAEVDKLITSLSQASPKPFNLDEKIFALADGIIGTVAFGKIYGKDEFQNQVFQNVLGEAMNMLASFSAEDFFPRIGRFIDALTGFHARLEKSFYELDAFLQMVLDQHLDPARPRPEHEDLVDFLIRLFKDQSSTFKVRENNVKAMLFDTFVGGIVTTSVTILWAMSELIKNPRVMNKVQAEIRNCIGRKAKVEGEDVAKLKYLKMVVKETFRLHPPLTMLLPREAMRHFKIGDYDILPKTRILVNVWAIGRDPNNWENPDEFYPERFEENDIDFKGSDFDLLPFGAGRRICPGLAMGATNVEFTLANLLHCFDWELPSGMKREDISMEEEGRLTYQRKIPLCLVPIRNNGQNLNSV >EOX92192 pep chromosome:Theobroma_cacao_20110822:1:5681767:5684968:-1 gene:TCM_001182 transcript:EOX92192 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 104, putative MGRVKLQIKRIENNTNRQVTFSKRRNGLIKKAYELSILCDIDIALIMFSPSGRLSHFSGKRRIEDVLSRYINLPDQDRGSLVRNKEFLLSTLKKLKDENEIALQLASSTTTNSNAEEIHREISNLQHQLQMAEEQLRVYEPDPWTLNSMAELESCEKNLEQALTRVNQRKNYLMSNHLSTFSDPSTVQMYLDSQEGEPSSFENEVVRWLPENGQNPTQYCAGSESSCIPVRNQSSSTIYDPMPHGANMTVDACDMGGCHVSTSSNDGLSPWHHSYTSTELLSAFMSPTSFPLMKDIAGPSIPQVVVSQQQVETASNCPQMPPTGEGANYESNLPHLN >EOX90851 pep chromosome:Theobroma_cacao_20110822:1:801889:807658:-1 gene:TCM_000206 transcript:EOX90851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium dependent protein kinase 16 MGACLSTTKVSGSSSNAGVNHRKEQQSTTTTTNEKKESQKPSGQQGQQQQQQVRNSQPLKVKGKPSARRQSGIIPCGKRTDFGYHKDFDKRYTIGKLLGHGQFGYTYVATDKANGDRVAVKKIEKNKMVLPIAVEDVKREVKILEALKGHENVVQFYNAFEDDSYVYIVMDFALAISLVLALQLYTFISILLSLDSIICLLSDARLCEGGELLDRILANCQYFLSVDVSNSVTTSSTLCLPSSVRKDSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSTRADSPLKATDFGLSDFIRPGKRFQDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGKRPFWDKTEDGIFKEVLKNKPDFRRKPWPTISNSAKDFVKKLLVKDPQARLTAAQALSHPWVREGGNASEIPVDISVLNNLRQFVKYSRLKQFALRALAGTLNEEEIADLRDQFDAIDVDKNGSISLEEMRQALAKDLPWKLKESRVLEILQAIDSNTDGLVDFTEFVAAALHVNQMEEHDSDKWQQRSQAAFEKFDVDRDGFITPEELRMHTGLRGSIDPLLEEADIDKDGKISLSEFRRLLRTASMGSKNVPSPSGQRNTCKL >EOX95021 pep chromosome:Theobroma_cacao_20110822:1:32511868:32519536:-1 gene:TCM_004608 transcript:EOX95021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPAKPLKTTLFCLVLPTSACLSETTSFCPRTLGVEQTVVERWAECITCKVGSLLSSCLVASWARALWPKTNITTLDIFRKPKVVILPKKPKRLIKGIEWRKPKASTFKSNMDSAAKGSSREVGIGGVLKDENGAARIVFSKSNGIADANQAKVCAIKEAMTINVVSRCTFTHHLMVESDSLNSIKWVTNPVNASWKFRRWIT >EOX93020 pep chromosome:Theobroma_cacao_20110822:1:9974826:9977014:-1 gene:TCM_001875 transcript:EOX93020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein, putative isoform 1 PPVLSLALSSSSFSLPNDLVFISIVLCLNVVSISIPFLCPSDARKVDISTDKKDITTPITTVPTIIPSIPTSSDPVLNPNSNPDSSSPVTMTPMTVPTTMTSPVSSGGSWCVASQSASKTALQVALDYACGYGGADCAAIQTGGGCYNPNTVRDHASYAFNSYYQKNPVPSSCNFGGTAVTTSTDPSGGTCQYQSTSTSSSVLNTTNSNGSHVFGAVPSHPSPSAAAATKLTRSLPFLPVACLILLLARFYQ >EOX93019 pep chromosome:Theobroma_cacao_20110822:1:9974798:9977282:-1 gene:TCM_001875 transcript:EOX93019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein, putative isoform 1 MDRREIFTKIFLLFCLLLCPGPSDARKVDISTDKKDITTPITTVPTIIPSIPTSSDPVLNPNSNPDSSSPVTMTPMTVPTTMTSPVSSGGSWCVASQSASKTALQVALDYACGYGGADCAAIQTGGGCYNPNTVRDHASYAFNSYYQKNPVPSSCNFGGTAVTTSTDPSGGTCQYQSTSTSSSVLNTTNSNGSHVFGAVPSHPSPSAAAATKLTRSLPFLPVACLILLLARFYQ >EOX96220 pep chromosome:Theobroma_cacao_20110822:1:37037782:37039815:-1 gene:TCM_005517 transcript:EOX96220 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MLKGNLHLKTNNTESDRVRRKRYGDYKIVGDKGTVCVTVGTGYIGSWLIKVLLEKGYAVHTTIRPDPGNKRDVSFLTSLSGADERLKIFRADLSDPETFGAAIEGCKGVLHVASPMDFQDNEPEAVVTKRAIDGALGILKTCLRSKTVKRVVFTSSIASVYFNNKDVDMMDETEHGLDLLTVIPPLVVGPFVCPKLTGSVRAALAPILGNKDDYSLLLNTAIVRVDDLSRAFIFLLEHPEAKGRYNCSSDTVTIQKIVEILSANDPEFPLPIADSLEEIEGTKMPGLSSKKLLDLGFKFKYGIEDMYDGGIKCCKEKGFL >EOX94240 pep chromosome:Theobroma_cacao_20110822:1:26557227:26558400:1 gene:TCM_003775 transcript:EOX94240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7-like protein MEEVKVLGSWPSPYGYRVQWALELKGVESQFLFITGNQLQNPLSFLSTLRRHGLTKPLLPKDPYERAMARFWINFVDDKDKAAPFVSFFITAGEEHKKAAKEAKELLKMLEEQALGEKKYFGGEEIGIVDLAMGWMAASFGVIEEIVDAKILDAESFPRLHAWIHNFRAHPVIKNNLPDHDRLFVSYSKKREMFLASTTT >EOX96741 pep chromosome:Theobroma_cacao_20110822:1:38827316:38831949:-1 gene:TCM_005927 transcript:EOX96741 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein MELPVIDLAPYLEIAGNRDGESAKRASGLSELCREVSRLLKETGALLVKDPRCTAEDNDRFIDMMEKYFEKPAEFKRLQERPYLHYQVGVTPEGVEVPRSLVDKEMQEKLRAMPKEHQPYTPKGPDPKWRYMWRVGPRPLNTRFQELNSEPVIPEGFPEWKETMDTWGYKMISAIEAVAEMAAIGFGLPKDAFTSLMKQGPHLLAPTGSDLRCYGHEGTVFAGYHYDLNFLTIHGRSRFPGLNIWLRNGQKVEVKVPVGCLLIQTGKQIEWLTAGECTAGMHEVVVTKRTIDAIKLASEQNHSLWRVSSTLFAHIASDAVVKPLGHFAESPLASKYPPICAGEFVEQELALIPCRAAVAPFSPIPPNEACCNAIKALGQPCLCVLVNGPPISGVDRNMALQLPEKCTANFEPCDIMK >EOX91902 pep chromosome:Theobroma_cacao_20110822:1:4427900:4430622:1 gene:TCM_000962 transcript:EOX91902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 2 MIVGKQQLQQSQLFSLKGSILTLSIVTLISFTYFSFKSLRPPLPLSPPTPQLTLLPSATATIPFARKVADREENNVDKGKDDDNDELFTDIYHSPKLYKLNFKEMERKFKVYIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTDYPDQAHLFFIPISCHKMRGKGTSYENMTIIVQNYLDSLIGKYPYWNRTLGADHFFVTCHDVGVRATEGVPFLVKNAIRVVCSPSYDVGFIPHKDVALPQVLQPFALPAGGNDVENRTRLGFWAGHRNSKIRVILARVWENDTELDISNNRISRATGHLVYQKRFYRTKFCICPGGSQVNSARIADSIHYGCVPDDLC >EOX91903 pep chromosome:Theobroma_cacao_20110822:1:4427900:4431014:1 gene:TCM_000962 transcript:EOX91903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 2 MIVGKQQLQQSQLFSLKGSILTLSIVTLISFTYFSFKSLRPPLPLSPPTPQLTLLPSATATIPFARKVADREENNVDKGKDDDNDELFTDIYHSPKLYKLNFKEMERKFKVYIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTDYPDQAHLFFIPISCHKMRGKGTSYENMTIIVQNYLDSLIGKYPYWNRTLGADHFFVTCHDVGVRATEGVPFLVKNAIRVVCSPSYDVGFIPHKDVALPQVLQPFALPAGGNDVILSNYYDLPFNDILDWRKFAVVLKESDVYQLKQILKNISDEEFFSLHKNLVKVQKHFQWNSPPIKYDAFHMVMYELWLRHHVIKY >EOX91901 pep chromosome:Theobroma_cacao_20110822:1:4427860:4431221:1 gene:TCM_000962 transcript:EOX91901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 2 MIVGKQQLQQSQLFSLKGSILTLSIVTLISFTYFSFKSLRPPLPLSPPTPQLTLLPSATATIPFARKVADREENNVDKGKDDDNDELFTDIYHSPKLYKLNFKEMERKFKVYIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTDYPDQAHLFFIPISCHKMRGKGTSYENMTIIVQNYLDSLIGKYPYWNRTLGADHFFVTCHDVGVRATEGVPFLVKNAIRVVCSPSYDVGFIPHKDVALPQVLQPFALPAGGNDVENRTRLGFWAGHRNSKIRVILARVWENDTELDISNNRISRATGHLVYQKRFYRTKFCICPGGSQVNSARIADSIHYGCVPVILSNYYDLPFNDILDWRKFAVVLKESDVYQLKQILKNISDEEFFSLHKNLVKVQKHFQWNSPPIKYDAFHMVMYELWLRHHVIKY >EOX91904 pep chromosome:Theobroma_cacao_20110822:1:4427900:4431136:1 gene:TCM_000962 transcript:EOX91904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 2 MIVGKQQLQQSQLFSLKGSILTLSIVTLISFTYFSFKSLRPPLPLSPPTPQLTLLPSATATIPFARKVADREENNVDKGKDDDNDELFTDIYHSPKLYKLNFKEMERKFKVYIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTDYPDQAHLFFIPISCHKMRGKGTSYENMTIIVQNYLDSLIGKYPYWNRTLGADHFFVTCHDVGVRATEGVPFLVKNAIRVVCSPSYDVGFIPHKDVALPQVLQPFALPAGGNDVENRTRLGFWAGHRNSKIRVILARVWENDTELDISNNRISRATGHLVYQKRFYRTKFCICPGGSQVNSARIADSIHYGCVQVQKHFQWNSPPIKYDAFHMVMYELWLRHHVIKY >EOX93459 pep chromosome:Theobroma_cacao_20110822:1:13198705:13199449:-1 gene:TCM_002329 transcript:EOX93459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRQGGDPTMVSSSIALLQERFRQLQKVREKREEKELLKLFAESERLSPTMRYEPNRLSFQPEVILPYRQPPQDSLSLGLNPQSRQTDFRAMGIPASPSSWPNSAATSSRSKNFENSDVDTSLHL >EOX94377 pep chromosome:Theobroma_cacao_20110822:1:28186250:28189782:-1 gene:TCM_003965 transcript:EOX94377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol oxidase MEREESGHPLLQGGRRRSSYTHGFSSAQIQSLAAICETFVPPLPLDAKFDDKQNSAALDAFHKASGAEPPFPDEVADFLMKRGQPKAVSFAKLVLTLVSFRLGTLLLCGWDCCDWKWPFIHKFSEIPVERREKILIKWSWKVHPLPLRAVFALIKTYCLFIFFSMTDENSGNPAWKAIGYQVDNRQKKAYPQGRPLQKGIIETMHEDDSTFVQSLTEKGLQVMEDPEHNVYKIKCDVVIVGSGCGGGVAAAVLASSGQKVVVIEKGNYFAPQDYTSLEGPSMSELYESGGFLTTTNGKIMIMAGSTVGGGSAINWSASIKTPKNVLREWCVDHKIPFFGSSEYEIAMDAVRKRIGVTENCTEEGFQNQVLRKGCEKLGLKVEAVPRNTADNHYCGSCNLGCRTGDKKGTDSTWLVDAVGCGAVILTACKADKFILVNNNDARRRKKCLGVIATSWNKKLTKKLHIEAKATVSACGSLLTPPLMISSGLKNPNIGRNLHLHPVLVGWGYFPEDASGLKGKTYEGGIITSFHKVVSEETNVHAIIQTPALGPASVAALSPWVSGSEFKERMVRYPRLAHLFTLIRDQGSGEVMEEGKIRYRFSEMDKENLKIGLRQVLRIIIAAGAVEVGTHRSDGQRIKCKGLTEESLQEFLDDVPVVGGASSKEEYWTMYVSAHQMGSCRIGATQEEGAVDENGQSWEAEGLFVCDASVLPTAIGINPMLTIQSTSYCISNKIADLLNKE >EOX90849 pep chromosome:Theobroma_cacao_20110822:1:798236:801466:1 gene:TCM_000204 transcript:EOX90849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 1 MDHQWKSNDFSKSVAADLGPSNPEPRQRQEVEVKDPIAARKVQKADREKLRRDRLNEQFLELGNTLDPDRPKNDKATILMDTIQMLKDLTAEVSRLKDECSSLTEESRELTQEKNELREEKASLKADIDNLNVQYQQRLRVMFPWNGIDPSVVMPPPYSYPVHLPLPTGPIPIHPSLQPYPLYGNHNPGAIANPCSTFMPYSATTNTPIDQPSSQHASSSHTSIKRDSRSKSMDEQRGSNGDRCDGSNDVATELELKMPGSSTNQELSAGEKKGKQTQKERSMVNGSSSSRYSLSQGLQDSSSDSVDDVPNSNK >EOX90850 pep chromosome:Theobroma_cacao_20110822:1:798742:801545:1 gene:TCM_000204 transcript:EOX90850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 1 MDTIQMLKDLTAEVSRLKDECSSLTEESRELTQEKNELREEKASLKADIDNLNVQYQQRLRVMFPWNGIDPSVVMPPPYSYPVHLPLPTGPIPIHPSLQPYPLYGNHNPGAIANPCSTFMPYSATTNTPIDQPSSQHASSSHTSIKRDSRSKSMDEQRGSNGDRCDGSNDVATELELKMPGSSTNQELSAGEKKGKQTQKERSMVNGSSSSRYSLSQGLQDSSSDSVDDVPNSNK >EOX93751 pep chromosome:Theobroma_cacao_20110822:1:15542714:15544564:-1 gene:TCM_002669 transcript:EOX93751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKPSEAHLQATCRVLRYLKTTLSQGILFSIDSDICLQAYSDSDWVGCPDTRRAVTGYGVLLGNSLISWKAKKQSVVARSSTEAAYRAMFQGEMSPDPRALVKLGHYHMPHKNATGDDLSIFTPQSTPKFLEVALDLELIHSSCNFKCTAFV >EOX95914 pep chromosome:Theobroma_cacao_20110822:1:36001622:36006805:1 gene:TCM_005302 transcript:EOX95914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 4 isoform 1 MSLLTTSPSFMSSLSFLLFILLLAFHTQHANFVAKSPLNEHFSEYRIDSSSEFPISHKETFKIHSKARKLKSANVIIRGNETIQSVNRTFQLGFFSTNGESNWYLGIWYAIPTQTRVWVANRENPIKNISQSSLEITETGQLAVKESPDSIVWQSTNTEKAKRFALLESGNLVLYSTEGSKIWQSFDHPTDTWLPGMNITTQRSLTSWKSLFDPSPGHFSLRLNPQAFNEFQLVYNSTNVYWSTGKWTGTAFANVPEMTIRYIYKFHFSDPYLPTASFWYTERALDNGLELPLTRFQVDVNGQLKQFTWSSQTENWNMFWSEPEDKCKVYGLCGFFGSCVSTSLKPCVCLNGFRPVDDEGWKSEDFTSGCRRESDDFCKDKDGFEEVADVGFDGGTTVSFQGSRSSCEKSCLSNCSCIGLFHNGRSNLCKNVYGSLLNLRNLSSDGLNEDVFYIRVPKEGIVKENVSKTMVLVGSIVGSIAAFGFMGVILLVLKKRRENKKGKDDDGVFPGLNMKVFTYKELNSVTRGFSEKLGHGGFGAVFRGELSDSTPVAVKRLERPGSGEKEFRAEVCTIGNIQHVNLVRLRGFCSENSRRLLVYDYMPNGPLSAYLRRDGPNLCWDVRFRVAVGTARGIAYLHEECRDCIIHCDIKPENILLDGDYMAKVSDFGLAKLVGRDFSRVLATMRGTWGYVAPEWISGLAITPKADVYSYGMTLLELIGGRRNVEAPQSAGNANVYGEGGYGEKWFFPPWAARQIIEGNVAAIVDSRLGVAYNVEEAERLALVAIWCIQDDEETRPTMGMVVKMLEGVVEVAIPPPPKLIQALVAGESYRGVRMDSGMSTAGGCSDYNVGFSSAGSRSSLDAKECPVSKGEVTVKTLDPIYGRANITHEPEEDSGLKIPPRRTARADGQFDLSPDLTPPQEANGAREITERSISILNRILTSRGEQNTGVSLADVDLTPSSTTGNEVMSSRIHSLYLQRQSQIRGAARFTSLTSALSSAERLVEAYFRSNPVGRNQEQPPPVDDGDSFSSIAAVINSES >EOX95915 pep chromosome:Theobroma_cacao_20110822:1:36002354:36005713:1 gene:TCM_005302 transcript:EOX95915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 4 isoform 1 MSLLTTSPSFMSSLSFLLFILLLAFHTQHANFVAKSPLNEHFSEYRIDSSSEFPISHKETFKIHSKARKLKSANVIIRGNETIQSVNRTFQLGFFSTNGESNWYLGIWYAIPTQTRVWVANRENPIKNISQSSLEITETGQLAVKESPDSIVWQSTNTEKAKRFALLESGNLVLYSTEGSKIWQSFDHPTDTWLPGMNITTQRSLTSWKSLFDPSPGHFSLRLNPQAFNEFQLVYNSTNVYWSTGKWTGTAFANVPEMTIRYIYKFHFSDPYLPTASFWYTERALDNGLELPLTRFQVDVNGQLKQFTWSSQTENWNMFWSEPEDKCKVYGLCGFFGSCVSTSLKPCVCLNGFRPVDDEGWKSEDFTSGCRRESDDFCKDKDGFEEVADVGFDGGTTVSFQGSRSSCEKSCLSNCSCIGLFHNGRSNLCKNVYGSLLNLRNLSSDGLNEDVFYIRVPKEGIVKENVSKTMVLVGSIVGSIAAFGFMGVILLVLKKRRENKKGKDDDGVFPGLNMKVFTYKELNSVTRGFSEKLGHGGFGAVFRGELSDSTPVAVKRLERPGSGEKEFRAEVCTIGNIQHVNLVRLRGFCSENSRRLLVYDYMPNGPLSAYLRRDGPNLCWDVRFRVAVGTARGIAYLHEECRDCIIHCDIKPENILLDGDYMAKVSDFGLAKLVGRDFSRVLATMRGTWGYVAPEWISGLAITPKADVYSYGMTLLELIGGRRNVEAPQSAGNANVYGEGGYGEKWFFPPWAARQIIEGNVAAIVDSRLGVAYNVEEAERLALVAIWCIQDDEETRPTMGMVVKMLEGVVEVAIPPPPKLIQALVAGESYRGVRMDSGMSTAGGCSDYNVGFSSAGSRSSLDAWIWLGNLL >EOX92883 pep chromosome:Theobroma_cacao_20110822:1:9135510:9140608:1 gene:TCM_001738 transcript:EOX92883 gene_biotype:protein_coding transcript_biotype:protein_coding description:GSK3/SHAGGY-like protein kinase 1 isoform 2 MASNPLGTQLQQQQPPPADNDSLKLRRSDMDSDKEMSAPVVEGNNQVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETSETVAIKKVLQDRRYKNRELQLMRLMDHPNVVSLKHCFFSTTSKDELFLNLVMEYVPETMYRVLKHYNNMNQRMPLIYVKLYTYQIFRGLAYIHAVQGVCHRDVKPQNLLVDPLTHQVKLCDFGSAKVLVKGEVNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQVKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEALAHPFFDELREPNARLPNCRPLPPLFNFKQELELPLS >EOX92882 pep chromosome:Theobroma_cacao_20110822:1:9135506:9141119:1 gene:TCM_001738 transcript:EOX92882 gene_biotype:protein_coding transcript_biotype:protein_coding description:GSK3/SHAGGY-like protein kinase 1 isoform 2 MASNPLGTQLQQQQPPPADNDSLKLRRSDMDSDKEMSAPVVEGNNQVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETSETVAIKKVLQDRRYKNRELQLMRLMDHPNVVSLKHCFFSTTSKDELFLNLVMEYVPETMYRVLKHYNNMNQRMPLIYVKLYTYQIFRGLAYIHAVQGVCHRDVKPQNLLVDPLTHQVKLCDFGSAKVLVKGEVNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQVKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEALAHPFFDELREPNARLPNCRPLPPLFNFKQELAGASPELINRLIPEHVRRQMGLGFPHPAGT >EOX93382 pep chromosome:Theobroma_cacao_20110822:1:12568679:12572363:1 gene:TCM_002239 transcript:EOX93382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set superfamily protein MSLIVGAVPSCRNMGFDDDKEAAEDKRETLEKKATHPEESDDDGGGKIGSRQMSESSFYATEDDDNDDEHRTSIQLGPQCTLKEQLEKDKDDESLRKWKEQLLGSVDIDNIGETLEPEVKFLSVSIVSPDRTDIVLSIPEDGNPQGLWFTLKEGSHYRLKFSFQVGNNIVSGLRYTNTVWKTGVKVFSTKEMIGTFSPQLEPYTHEMPEETAPSGFFARGSYTAKSKFLDDDNKCYLEINYTFDIRKEWASGP >EOX92916 pep chromosome:Theobroma_cacao_20110822:1:9406145:9411477:-1 gene:TCM_001779 transcript:EOX92916 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-domain-2 5, putative MVTGKNTGSATASLIILLVSSSSCLINAQDITYPSVAHHPNSWINVPVFNFGFWDSAGVTPILASGTYVCGFHCESSKGKCLFAVSIFQINSSISPKIVWSANRNNPVKLRATLQLSGKGYLILQDLDGTPVWNTNTTGKFVSGLKLSEEGNLVLFDRNNETVWQSFDHPTDTLVPGQALVPGQKLTANVSTSNSSAGLYSVALTNDSLIAFLEPDPQQVYFGPLRVKHMNQGNIKVQYLNGSFDRFLLPSTSAAQFIQLGSDGHLRAYQLRESKWEPVSDLLINYTGACGFPLVCGEYGVCSAGSCTCPKAEGNESLLYFSKVSEGCHENIPVSCKPSDLHSHSLLELKDYDYFNFIPHIKNTDREHCTKACLQNCSCKAAIYRQQLNSSNGYCCLLSKIFSFKRKEENITDYNSLSYIKVQNPPYTFFAREKGPKSIAAILGSTLGVLFTLFLVVSIFFLRGNKIDLKAVENASRGNKKKDSKAVGKRSTRFSFEDLKSMTENFSAKLGEGGFGSVFYGTLPDGSKIAVKRLDGPGHFRKSLLAEVETLGSVHHINLVTLIGFCAEKSHWLLVYEYMHNGSLDKWIFPKDRELRLSWNLRRKIILDIARGLAYLHEGWTQKILHLDIKPQNILLDENFNAQLADFGLSKVIARDQDKAFTTMRGTPGYMAPEWSSAVITEKVDVYSFGIVVLEILCGRRNVDRSQSEEEMHLLSLFRQKAEEGKLLDLVDELAEDMQPNEAEVVKMMRIAAWCLQVDYTRRPSMTDVLRVLEGFMDVKPNLIYDFLNPQAPAAPCIRAGTTSVATPSILSGPR >EOX91003 pep chromosome:Theobroma_cacao_20110822:1:1301314:1304489:1 gene:TCM_000316 transcript:EOX91003 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative isoform 1 MFSTKEMPSPSSIFSAYASMTASIMLFRSMANDLIPYPIRNYLFSTVRYFFKPRSPILTLVIEESNGMARNQVYDASEIYLCTRISPNTERLKISKTPKEKNLTIRLEKGEKIVDFYEGVELKWRFVCAEAEKSNNPNDHFPPRAEKRSFELSFHKKHKNIVLNSYVSYVLERSKAVKDEQRVLKMFTLNMQNYGGIKWESINLEHPATFETLAMDPEVKNDVMDDLNRFVKRKEFYKRVGRAWKRGYLLYGPPGTGKSSLVAAVANYLKFDVYDLQLANIMRDSDLRKLLLATGNRSILVIEDIDCSVDLPDRRHGDGRKQPDQHVQSVFLFHEDGPYDRELERRFTPQDCLTDYARSGLQHTLTLSGLLNFIDGLWSSCGDERIIIFTTNHKDRLDPALLRPGRMDMHIHMSYCKPQGFRLLASNYLGIRGHHHLFDEIEGLLKNTEITPAQVAEELMKSEDVDIALGGLVKLLKRKKLEGNEPMDKDATKFGVRESKRQKVENKRRSPRITRRKCSRRRNL >EOX91004 pep chromosome:Theobroma_cacao_20110822:1:1301314:1304489:1 gene:TCM_000316 transcript:EOX91004 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative isoform 1 MFSTKEMPSPSSIFSAYASMTASIMLFRSMANDLIPYPIRNYLFSTVRYFFKPRSPILTLVIEESNGMARNQVYDASEIYLCTRISPNTERLKISKTPKEKNLTIRLEKGEKIVDFYEGVELKWRFVCAEAEKSNNPNDHFPPRAEKRSFELSFHKKHKNIVLNSYVSYVLERSKAVKDEQRVLKMFTLNMQNYGGIKWESINLEHPATFETLAMDPEVKNDVMDDLNRFVKRKEFYKRVGRAWKRGYLLYGPPGTGKSSLVAAVANYLKFDVYDLQLANIMRDSDLRKLLLATGNRSILVIEDIDCSVDLPDRRHGDGRKQPDQHVQLTLSGLLNFIDGLWSSCGDERIIIFTTNHKDRLDPALLRPGRMDMHIHMSYCKPQGFRLLASNYLGIRGHHHLFDEIEGLLKNTEITPAQVAEELMKSEDVDIALGGLVKLLKRKKLEGNEPMDKDATKFGVRESKRQKVENKRRSPRITRRKCSRRRNL >EOX91678 pep chromosome:Theobroma_cacao_20110822:1:3517112:3517826:-1 gene:TCM_000792 transcript:EOX91678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation protein SH3-like family protein MKYNPRVSSSRRKNRKAHFTAPSSVRRVLMSASVSSDLRSKYNVRSMPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGINPSKVVITKLRLDKDRKSLLDRKAKGRAAADKDKGTKFTAEDIMQSVD >EOX91698 pep chromosome:Theobroma_cacao_20110822:1:3560838:3564426:-1 gene:TCM_000803 transcript:EOX91698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSEPNGAVASLIFLLVFSSMFSPQLVVFGSPLHEHSFKRPDPLRHLKDYRGVYNVTDTHYWASAAFTGVHGYAMAGVWTLCGICFGIFLIFKNISSSESSSSSSFTDHLDRYYLLLFMMFLLLTLLAIVAASFVIAANQRSLQRIKKLKNTIVSAGDDVSKSIRRLITAMTRIQYLLLPYDRKTSQELNVTTHRLGKESRTIQNFVRSHERPIDVAIQTSYVAHLVIAMVNLLLLIAALECTTTTNLIRLMDCCFGSVLLLSHWHPGLIFIICFCWILTALCWVLTGFDFSLHTFAQDSCSAFEDYVQDPQNNILSSILPCMNSTNSDEILTGIGSTVHDFIGELNLQITEVYSRIKLNEQNDGLFGFGMICDPFSGAPNYSYVPEVCPEDAIPIGSIPDILSRFTCYNENSTQVCSRNGKILPEDTYNEASAYSHSVQYMLNVFPDLQNLAECSMVKDAFSDVFLHQCRPFRTSLRWLWASMLSLSISMMLLELTWIVKAFQEKGRCFSRCSIFPRQSNPL >EOX91212 pep chromosome:Theobroma_cacao_20110822:1:1947234:1950308:-1 gene:TCM_000473 transcript:EOX91212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MAMCFKQFSPGKNLFSLLNQQVRSFARDPFPNKLTHYLHRAKLIDSIRLALRSDSPNSLNPLLQTRLLDSFVVTNALRSAPSADSAVSLIENLEQVPNFEHSQNTIFAFATVLAKFKRKDELKALIVDIKGGKFNHVKVSFMNLLFWYATVGDLEEVLSRWEEYRSEENRLSTEAHNILMGLYAQKGMNLEAVEAFRGMIDEGVIPNSRTYTILIEHLVRLEKLDAAMEVFSVLPLIRIKRTLKQYSVLIEGFVGGEQFDVVKRLLKEMKEDGKLPGRAMRVYLERMKEAGCVDETDEFLVEMLPDGRIKSIGSCEDSSDEDENEDDDMNEGVDVHKVELKPWLDPKALANALKEWVPEVVAVLEDAKFVWTSRLVCKVLRNFSSAETAWNFFCWVASQPGFTHDIYTVQRMMTLLARHGNVELVNKLINKVRREGMRLPFSTIRLLIEFYGISKNADAALKVFHDDRTLCGPISKFNLMLLYSSLLRALTKCQRNSDALDVLDEMILNGICPDIQTFSGLLYHFALQGNIKTVQQLFLMVRQSGLEPDAYMYKLLIQAYCKCQRAALAWRVFEDMRNSNLMPDSATKDLLVKSLWQEGRRKEAVTVEERYEDTDGILPLALRGHVWTVSSEDLTRVYSIYSNSVTATT >EOX95039 pep chromosome:Theobroma_cacao_20110822:1:32685114:32695670:1 gene:TCM_004626 transcript:EOX95039 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 3 ASEPASSSTNGAVVSGPLNEALGPPKESGSDSRVTELRSSDLRVSDSAKAVDASVTDKSADADVENGTLVSPGSLGEAAMDVEKAKAVGAGFTGRVKKRPTKPAKSGSKVPWGKLLSQHSQNPHLVMCGTLFTVGQSRQCNLCLKDPNVSTVLCKVKHIESDGTSIALLEISGGKGSVQVNGRIYRKSNSLILNAGDELIFTSTGNHAYIFQQLTNDNLAAPGIPSSVSILEAQAAPIKGIIAARSGDPSAVAGAATILASLSTKENSDMSTLPSGCDVSDDRVPEVDMKDSASNNDPATVSSREKTVAPPPEAANENPNLDRLGLDDTMDADNSKVPGAGYPLRPLLRILAGTSSTDFDLSGSIAKILDEQREFREMLKEFDPPMVLISTKRQAFKDSLQEGILNPDNIDVSFENFPYYLSDTTKNVLIASTYVHLKCNKFAKYASDLPTMSPRILLSGPAGSEIYQETLAKALAKHFGARLLIVDSLLLPGGSTSKEADGVKETSRAERASIYAKRAAQASAAAALQQKRPTSSVEADITGGSSLSSQALPKQEVSTATSKNYTFKKGDRVKFVGATAPSGLSSLQPALRGPTIGFRGKVVLAFEENGSSKIGVRFDRSIPEGNDLGGLCEEDHGFFCAASSLRLDSSGGDDVDKLAVNELFEVALNESKGSPLILFVKDIEKSMAGNTDVYSALKCKVEKLPANVVVIGSHTQMDNRKEKSHPGGLLFTKFGANQTALLDLAFPDNFGRLHDRSKETPKTMKQVTRLFPNKVTIQLPQDEALLLDWKQQLERDIETLKAQSNIVSIRSVLNRNGLDCPDLETLCIKDQTLTNESVEKVVGWALSHHFMHSSEALHQIWAEHF >EOX95037 pep chromosome:Theobroma_cacao_20110822:1:32685310:32695670:1 gene:TCM_004626 transcript:EOX95037 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 3 MDVEKAKAVGAGFTGRVKKRPTKPAKSGSKVPWGKLLSQHSQNPHLVMCGTLFTVGQSRQCNLCLKDPNVSTVLCKVKHIESDGTSIALLEISGGKGSVQVNGRIYRKSNSLILNAGDELIFTSTGNHAYIFQQLTNDNLAAPGIPSSVSILEAQAAPIKGIIAARSGDPSAVAGAATILASLSTKENSDMSTLPSGCDVSDDRVPEVDMKDSASNNDPATVSSREKTVAPPPEAANENPNLDRLGLDDTMDADNSKVPGAGYPLRPLLRILAGTSSTDFDLSGSIAKILDEQREFREMLKEFDPPMVLISTKRQAFKDSLQEGILNPDNIDVSFENFPYYLSDTTKNVLIASTYVHLKCNKFAKYASDLPTMSPRILLSGPAGSEIYQETLAKALAKHFGARLLIVDSLLLPGGSTSKEADGVKETSRAERASIYAKRAAQASAAAALQQKRPTSSVEADITGGSSLSSQALPKQEVSTATSKNYTFKKGDRVKFVGATAPSGLSSLQPALRGPTIGFRGKVVLAFEENGSSKIGVRFDRSIPEGNDLGGLCEEDHGFFCAASSLRLDSSGGDDVDKLAVNELFEVALNESKGSPLILFVKDIEKSMAGNTDVYSALKCKVEKLPANVVVIGSHTQMDNRKEKSHPGGLLFTKFGANQTALLDLAFPDNFGRLHDRSKETPKTMKQVTRLFPNKVTIQLPQDEALLLDWKQQLERDIETLKAQSNIVSIRSVLNRNGLDCPDLETLCIKDQTLTNESVEKVVGWALSHHFMHSSEALVNDAKLVVSTESIKYGLNILQGIQSESKSLKKSLKDVVTENEFEKKLLADVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEELSPDVDLEAIANMTDGYSGSDLKNLCVSAAHCPIREILEKEKKERAAAVTENRPLPSLYSSADIRSLKMDDFKYAHEQVCASVSSESTNMSELHQWNELYGEGGSRKKKPLSYFM >EOX95036 pep chromosome:Theobroma_cacao_20110822:1:32684693:32696514:1 gene:TCM_004626 transcript:EOX95036 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 3 MVETRRSSSSSKRSLSSPVTSPPTSSKRSKASEPASSSTNGAVVSGPLNEALGPPKESGSDSRVTELRSSDLRVSDSAKAVDASVTDKSADADVENGTLVSPGSLGEAAMDVEKAKAVGAGFTGRVKKRPTKPAKSGSKVPWGKLLSQHSQNPHLVMCGTLFTVGQSRQCNLCLKDPNVSTVLCKVKHIESDGTSIALLEISGGKGSVQVNGRIYRKSNSLILNAGDELIFTSTGNHAYIFQQLTNDNLAAPGIPSSVSILEAQAAPIKGIIAARSGDPSAVAGAATILASLSTKENSDMSTLPSGCDVSDDRVPEVDMKDSASNNDPATVSSREKTVAPPPEAANENPNLDRLGLDDTMDADNSKVPGAGYPLRPLLRILAGTSSTDFDLSGSIAKILDEQREFREMLKEFDPPMVLISTKRQAFKDSLQEGILNPDNIDVSFENFPYYLSDTTKNVLIASTYVHLKCNKFAKYASDLPTMSPRILLSGPAGSEIYQETLAKALAKHFGARLLIVDSLLLPGGSTSKEADGVKETSRAERASIYAKRAAQASAAAALQQKRPTSSVEADITGGSSLSSQALPKQEVSTATSKNYTFKKGDRVKFVGATAPSGLSSLQPALRGPTIGFRGKVVLAFEENGSSKIGVRFDRSIPEGNDLGGLCEEDHGFFCAASSLRLDSSGGDDVDKLAVNELFEVALNESKGSPLILFVKDIEKSMAGNTDVYSALKCKVEKLPANVVVIGSHTQMDNRKEKSHPGGLLFTKFGANQTALLDLAFPDNFGRLHDRSKETPKTMKQVTRLFPNKVTIQLPQDEALLLDWKQQLERDIETLKAQSNIVSIRSVLNRNGLDCPDLETLCIKDQTLTNESVEKVVGWALSHHFMHSSEALVNDAKLVVSTESIKYGLNILQGIQSESKSLKKSLKDVVTENEFEKKLLADVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEELSPDVDLEAIANMTDGYSGSDLKNLCVSAAHCPIREILEKEKKERAAAVTENRPLPSLYSSADIRSLKMDDFKYAHEQVCASVSSESTNMSELHQWNELYGEGGSRKKKPLSYFM >EOX95038 pep chromosome:Theobroma_cacao_20110822:1:32685475:32693820:1 gene:TCM_004626 transcript:EOX95038 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 3 MDVEKAKAVGAGFTGRVKKRPTKPAKSGSKVPWGKLLSQHSQNPHLVMCGTLFTVGQSRQCNLCLKDPNVSTVLCKVKHIESDGTSIALLEISGGKGSVQVNGRIYRKSNSLILNAGDELIFTSTGNHAYIFQQLTNDNLAAPGIPSSVSILEAQAAPIKGIIAARSGDPSAVAGAATILASLSTKENSDMSTLPSGCDVSDDRVPEVDMKDSASNNDPATVSSREKTVAPPPEAANENPNLDRLGLDDTMDADNSKVPGAGYPLRPLLRILAGTSSTDFDLSGSIAKILDEQREFREMLKEFDPPMVLISTKRQAFKDSLQEGILNPDNIDVSFENFPYYLSDTTKNVLIASTYVHLKCNKFAKYASDLPTMSPRILLSGPAGSEIYQETLAKALAKHFGARLLIVDSLLLPGGSTSKEADGVKETSRAERASIYAKRAAQASAAAALQQKRPTSSVEADITGGSSLSSQALPKQEVSTATSKNYTFKKGDRVKFVGATAPSGLSSLQPALRGPTIGFRGKVVLAFEENGSSKIGVRFDRSIPEGNDLGGLCEEDHGFFCAASSLRLDSSGGDDVDKLAVNELFEVALNESKGSPLILFVKDIEKSMAGNTDVYSALKCKVEKLPANVVVIGSHTQMDNRKEKSHPGGLLFTKFGANQTALLDLAFPDNFGRLHDRSKETPKTMKQVTRLFPNKVTIQLPQDEALLLDWKQQLERDIETLKAQSNIVSIRSVLNRNGLDCPDLETLCIKDQTLTNESVEKVVGWALSHHFMHSSEALVNDAKLVVSTESIKYGLNILQGIQSESKSLKKSLKDVVTENEFEKKLLADVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRSCAD >EOX91754 pep chromosome:Theobroma_cacao_20110822:1:3717105:3721282:-1 gene:TCM_000838 transcript:EOX91754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 1, putative isoform 1 MDFGVLGLEGIVGPDNGAPFQTQLPPPPLLSAEAKPKLLGSGFTKQERSSDSAEDHCKSSKLPRTDDLPTPKTMPLHQGTPLLRSNSLVSADTSHQEHMLSFSSLKSEVPFISKDVGFVERSTQNSGFSYYQHTPSTYTRNAGELTLQCLVSGYGSGSLNASMHGPLTGVRGPFTPSQWIELEHQALIYKYITANVPVPSNLLIALKKTLYPYGLSSSSAGSLPPNSLGWGSFHLGYASNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHINRGRHRSRKPVEGQTGHAASGTTNSKVVPMSSSMSASVITSGGASNSLAIAQQHQLKNLQSGAANPSADTLVNRLFLNKEDVHGRVQDPRGLPMMSSSTNLKSNGSTFTVTKQGIPFAESSQSVFGHVASDSLVNPSHRSSYINSKEYGAFLDFSNQETQDENPLRQFIDDWPKDQSSSSVITWPEELKSDWTQLSMSIPMASSEFSSSSSSPAQEKLALSPLRLSREFDPIQMGLGVNSDISDQNHKQANWIPISWGSSMGGPLGEVLTHTTSNVGSCKNSSALSLLSEGWDGSPQLGSSPTGVLQKAPFGSLSNSSSGSSPIAENKKPHGGGSLCDDVLGSTLVSSASIPSVIRSL >EOX91755 pep chromosome:Theobroma_cacao_20110822:1:3717845:3721399:-1 gene:TCM_000838 transcript:EOX91755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 1, putative isoform 1 MDFGVLGLEGIVGPDNGAPFQTQLPPPPLLSAEAKPKLLGSGFTKQERSSDSAEDHCKSSKLPRTDDLPTPKTMPLHQGTPLLRSNSLVSADTSHQEHMLSFSSLKSEVPFISKDVGFVERSTQNSGFSYYQHTPSTYTRNAGYGSGSLNASMHGPLTGVRGPFTPSQWIELEHQALIYKYITANVPVPSNLLIALKKTLYPYGLSSSSAGSLPPNSLGWGSFHLGYASNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHINRGRHRSRKPVEGQTGHAASGTTNSKVVPMSSSMSASVITSGGASNSLAIAQQHQLKNLQSGAANPSADTLVNRLFLNKEDTQLSMSIPMASSEFSSSSSSPAQEKLALSPLRLSREFDPIQMGLGVNSDISDQNHKQANWIPISWGSSMGGPLGEVLTHTTSNVGSCKNSSALSLLSEGWDGSPQLGSSPTGVLQKAPFGSLSNSSSGSSPIAENKKPHGGGSLCDDVLGSTLVSSASIPSVIRSL >EOX94772 pep chromosome:Theobroma_cacao_20110822:1:31307568:31311692:1 gene:TCM_004383 transcript:EOX94772 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein MTVQLSGLWLSSPKIPIHFIQCPTSPSLDPKLSRTTVLSATASLSSPPPTIRIVGGKTPMWRDNENVDGAVEDEADWVEMDADLCYWTRALRPVQWYPGHIAKTEKKLKEQLKLMDVVIEVRDARIPLSTTHPQMDLWLGNRRRILVLNREDMISTADRNAWAMYFSKQGTKVVFSNGQLGMGTMKLGRLAKSLASGVNVKRRAKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGKDLELLDSPGILPMRISDQAAAIKLAICDDIGERSYDVADVAVILVQMLAKLPTVGMKALQNRYKVDVDGQCGKIFIEKVALRLFNGDIQQAAFRVLSDFRKGKFGWVALERPPR >EOX93016 pep chromosome:Theobroma_cacao_20110822:1:9946893:9952681:-1 gene:TCM_001872 transcript:EOX93016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyltransferase family protein isoform 4 MENNNNMGELKAQNQNKKQVRLFYCMECEELAAKVAAQSQLITLQSINWRNFDDGFPNLFINNAHDIRGQHVAFLASFSSPSVIFEQLSVIYNLPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPISRGGPTSLVIYDIHALQKVLVAHGAAKVSAYVTHGVFPKRSWERFTPKNDEGSEKGFAFFWITDSCPHTVKAIGNRAPFEVLSLAGSIADALQI >EOX93015 pep chromosome:Theobroma_cacao_20110822:1:9946893:9952681:-1 gene:TCM_001872 transcript:EOX93015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyltransferase family protein isoform 4 MENNNNMGELKAQNQNKKQVRLFYCMECEELAAKVAAQSQLITLQSINWRNFDDGFPNLFINNAHDIRGQHVAFLASFSSPSVIFEQLSVIYNLPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPLLKQRLHQLPDAEKIVVAFPDDGAWKRFHKLLDHFPMVICTKVREGDKRIVRLKEGNPAGCHVVIVDDLVQSGGTLIECQKVLVAHGAAKVSAYVTHGVFPKRSWERFTPKNDEGSEKGFAFFWITDSCPHTVKAIGNRAPFEVLSLAGSIADALQI >EOX93013 pep chromosome:Theobroma_cacao_20110822:1:9946890:9952770:-1 gene:TCM_001872 transcript:EOX93013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyltransferase family protein isoform 4 MENNNNMGELKAQNQNKKQVRLFYCMECEELAAKVAAQSQLITLQSINWRNFDDGFPNLFINNAHDIRGQHVAFLASFSSPSVIFEQLSVIYNLPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPISRGGPTSLVIYDIHALQAIFRRMIMKILFRYTVLVILERFYFGDHVLPLFETGIPLLKQRLHQLPDAEKIVVAFPDDGAWKRFHKLLDHFPMVICTKVREGDKRIVRLKEGNPAGCHVVIVDDLVQSGGTLIECQKVLVAHGAAKVSAYVTHGVFPKRSWERFTPKNDEGSEKGFAFFWITDSCPHTVKAIGNRAPFEVLSLAGSIADALQI >EOX93014 pep chromosome:Theobroma_cacao_20110822:1:9947249:9952576:-1 gene:TCM_001872 transcript:EOX93014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyltransferase family protein isoform 4 MENNNNMGELKAQNQNKKQVRLFYCMECEELAAKVAAQSQLITLQSINWRNFDDGFPNLFINNAHDIRGQHVAFLASFSSPSVIFEQLSVIYNLPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPISRGGPTSLVIYDIHALQERFYFGDHVLPLFETGIPLLKQRLHQLPDAEKIVVAFPDDGAWKRFHKLLDHFPMVICTKVREGDKRIVRLKEGNPAGCHVVIVDDLVQSGGTLIECQKVLVAHGAAKVSAYVTHGVFPKRSWERFTPKNDEGSEKGFAFFWITDSCPHTVKAIGNRAPFEVLSLAGSIADALQI >EOX92693 pep chromosome:Theobroma_cacao_20110822:1:8131566:8139843:-1 gene:TCM_001598 transcript:EOX92693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 3I1 isoform 2 MIIVSAGLFKDCGSSIVGVGLGDTLAYHHTYKINQVSFSSSIPSSYYCCATLSKTMEEAKQTFDVDKAAVLVKELRKTFSSGKTKSYEWRMSQLESISKMIDEKEKEIIEALHKDLSKPELEAFISEISMTKSSCKLALKELKHWMMPKKVETSMATYPSSAKIVSEPLGVVLVISTWNFPFLLSLDPVIGAIAAGNAVVLKPSEIAPATSSLLARLLVEYADESAIRVVEGAVAETSALLEQKWDKIFFTGGARVGRIVMTAAAKHLTPVTLELGGKCPVLVDSNVDLQVTARRIVAGKWACNNGQACIGVDYIITTKDFAPQLIDALRSVLEECFGKDPMESKDRSRIVNSFHFMRLVNLLDEDKVSDKIVVGGQRDRSHLQIAPTILLDVPDDSRIMQEEIFGPLLPITTVEKLEDAFDMINRKPKALAAYLFSDDEQIERKFVQNVSAGGIAINDTILQLTVPTLPFGGVGESGMGSYHGKFSFDAFSHNKAVLYRSFAGESPTRYPPYTPGKQKQMKALISGDVFNIMLALLGWFKD >EOX92694 pep chromosome:Theobroma_cacao_20110822:1:8131566:8137841:-1 gene:TCM_001598 transcript:EOX92694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 3I1 isoform 2 MEEAKQTFDVDKAAVLVKELRKTFSSGKTKSYEWRMSQLESISKMIDEKEKEIIEALHKDLSKPELEAFISEISMTKSSCKLALKELKHWMMPKKVETSMATYPSSAKIVSEPLGVVLVISTWNFPFLLSLDPVIGAIAAGNAVVLKPSEIAPATSSLLARLLVEYADESAIRVVEGAVAETSALLEQKWDKIFFTGGARVGRIVMTAAAKHLTPVTLELGGKCPVLVDSNVDLQVTARRIVAGKWACNNGQACIGVDYIITTKDFAPQLIDALRSVLEECFGKDPMESKDRSRIVNSFHFMRLVNLLDEDKVSDKIVVGGQRDRSHLQIAPTILLDVPDDSRIMQEEIFGPLLPITTVEKLEDAFDMINRKPKALAAYLFSDDEQIERKFVQNVSAGGIAINDTILQLTVPTLPFGGVGESGMGSYHGKFSFDAFSHNKAVLYRSFAGESPTRYPPYTPGKQKQMKALISGDVFNIMLALLGWFKD >EOX92692 pep chromosome:Theobroma_cacao_20110822:1:8131983:8139335:-1 gene:TCM_001598 transcript:EOX92692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 3I1 isoform 2 MIIVSAGLFKDCGRCFKSSSIVGVGLGDTLAYHHTYKINQVSFSSSIPSSYYCCATLSKTMEEAKQTFDVDKAAVLVKELRKTFSSGKTKSYEWRMSQLESISKMIDEKEKEIIEALHKDLSKPELEAFISEISMTKSSCKLALKELKHWMMPKKVETSMATYPSSAKIVSEPLGVVLVISTWNFPFLLSLDPVIGAIAAGNAVVLKPSEIAPATSSLLARLLVEYADESAIRVVEGAVAETSALLEQKWDKIFFTGGARVGRIVMTAAAKHLTPVTLELGGKCPVLVDSNVDLQVTARRIVAGKWACNNGQACIGVDYIITTKDFAPQLIDALRSVLEECFGKDPMESKDRSRIVNSFHFMRLVNLLDEDKVSDKIVVGGQRDRSHLQIAPTILLDVPDDSRIMQEEIFGPLLPITTVEKLEDAFDMINRKPKALAAYLFSDDEQIERKFVQNVSAGGIAINDTILQLTVPTLPFGGVGESGMGSYHGKFSFDAFSHNKAVLYRSFAGESPTRYPPYTPGKQKQMKALISGDVFNIMLALLGWFKD >EOX94495 pep chromosome:Theobroma_cacao_20110822:1:29151943:29159157:1 gene:TCM_004096 transcript:EOX94495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 3 MASSTSSSGLHQILRSLCLNTEWKYAVFWKLKHRARMVLTWEDAYYDNHDQHDPSENNCFHHTLDNLQSGYCSHDPLGLAVAKMSYHVYSLGEGIVGQVAVSGKHQWIFADKHVNSSCSLFEFCDGWQSQFAAGIRTIVVVAVVQHGVVQLGSLNKVFEDVKLVSHIRDVFFALQDSSVGHIASPIECSMKSSLFQLDLPTKLLDSDGIPLDKTVDEQGPDALLPEFSHPRKYSDRLFVLPLSNNHPKGAVEVENKHEGLELSSARNDESAKLLTPRSNVSNLEHQNQLGRILINNGVWKGENSGWKNSSLVPENVYANNPVGGRERYGVDHAYFSSNFLNSAHSDTVKSSSLSSYPNEVLDIPESSDMKFQKDLKKLGNQNEISHLDPMNTSLKFSVGCELYEALGPAFIRKSIYADWQAENMEAGGNIEMPEGMSSSQLTFESGSENLLEAVVANVCHSGSDIKAERSSCRSAPSLLTTGNTPEPSSQSKHTINSAGYSINQSSLVEDNTQHCLNSSELCGAMSSKGFSSTCPSNCSEQFERSSEPAKNNKKRARPGENPRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERTIKHMVFLQGITKHADKLSKCAESKIHHKGAGMLGSSNYEQGSSWAVEVGSHLKVCSIVVENTNKNGQILVEMLCEECSHFLEIAEAIRSLGLTILKGVTEAHGEKTWICFVVEGQNNRVMHRMDILWSLVQILQSQATN >EOX94494 pep chromosome:Theobroma_cacao_20110822:1:29151943:29159157:1 gene:TCM_004096 transcript:EOX94494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 3 MASSTSSSGLHQILRSLCLNTEWKYAVFWKLKHRARMVLTWEDAYYDNHDQHDPSENNCFHHTLDNLQSGYCSHDPLGLAVAKMSYHVYSLGEGIVGQVAVSGKHQWIFADKHVNSSCSLFEFCDGWQSQFAAGIRTIVVVAVVQHGVVQLGSLNKVVFEDVKLVSHIRDVFFALQDSSVGHIASPIECSMKSSLFQLDLPTKLLDSDGIPLDKTVDEQGPDALLPEFSHPRKYSDRLFVLPLSNNHPKGAVEVENKHEGLELSSARNDESAKLLTPRSNVSNLEHQNQLGRILINNGVWKGENSGWKNSSLVPENVYANNPVGGRERYGVDHAYFSSNFLNSAHSDTVKSSSLSSYPNEVLDIPESSDMKFQKDLKKLGNQNEISHLDPMNTSLKFSVGCELYEALGPAFIRKSIYADWQAENMEAGGNIEMPEGMSSSQLTFESGSENLLEAVVANVCHSGSDIKAERSSCRSAPSLLTTGNTPEPSSQKLCGAMSSKGFSSTCPSNCSEQFERSSEPAKNNKKRARPGENPRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERTIKHMVFLQGITKHADKLSKCAESKIHHKGAGMLGSSNYEQGSSWAVEVGSHLKVCSIVVENTNKNGQILVEMLCEECSHFLEIAEAIRSLGLTILKGVTEAHGEKTWICFVVEGQNNRVMHRMDILWSLVQILQSQATN >EOX94493 pep chromosome:Theobroma_cacao_20110822:1:29151943:29159157:1 gene:TCM_004096 transcript:EOX94493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 3 MASSTSSSGLHQILRSLCLNTEWKYAVFWKLKHRARMVLTWEDAYYDNHDQHDPSENNCFHHTLDNLQSGYCSHDPLGLAVAKMSYHVYSLGEGIVGQVAVSGKHQWIFADKHVNSSCSLFEFCDGWQSQFAAGIRTIVVVAVVQHGVVQLGSLNKVFEDVKLVSHIRDVFFALQDSSVGHIASPIECSMKSSLFQLDLPTKLLDSDGIPLDKTVDEQGPDALLPEFSHPRKYSDRLFVLPLSNNHPKGAVEVENKHEGLELSSARNDESAKLLTPRSNVSNLEHQNQLGRILINNGVWKGENSGWKNSSLVPENVYANNPVGGRERYGVDHAYFSSNFLNSAHSDTVKSSSLSSYPNEVLDIPESSDMKFQKDLKKLGNQNEISHLDPMNTSLKFSVGCELYEALGPAFIRKSIYADWQAENMEAGGNIEMPEGMSSSQLTFESGSENLLEAVVANVCHSGSDIKAERSSCRSAPSLLTTGNTPEPSSQKLCGAMSSKGFSSTCPSNCSEQFERSSEPAKNNKKRARPGENPRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERTIKHMVFLQGITKHADKLSKCAESKIHHKGAGMLGSSNYEQGSSWAVEVGSHLKVCSIVVENTNKNGQILVEMLCEECSHFLEIAEAIRSLGLTILKGVTEAHGEKTWICFVVEGQNNRVMHRMDILWSLVQILQSQATN >EOX93077 pep chromosome:Theobroma_cacao_20110822:1:10280621:10281288:-1 gene:TCM_001923 transcript:EOX93077 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL5F, putative MSSAQTPLSDLQLAIWVTIIALLIIVAFLFCCDKGSRESLRTHPPQDIETGRPSNNQATQRQPPASQQAAAVPVLAGTIVIYKDGETKSNCADCAICLEELKDGDECRVPSKCKHIYHKLCIDKWLVKDTHCPLCRASVHDSEPTTQIVNTS >EOX92101 pep chromosome:Theobroma_cacao_20110822:1:5263039:5267883:1 gene:TCM_001107 transcript:EOX92101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 3 MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYSSNKNVADAEENLLTCLADLFTQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEAQAAKNDAETSSPPEKTANGPKNPQANGVLKEPLVTWVHKNFQGILTNETRCLRCETVTARDEIFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEDADSEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYESLGANRS >EOX93450 pep chromosome:Theobroma_cacao_20110822:1:13158866:13160264:-1 gene:TCM_002325 transcript:EOX93450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase 1 MSLLLIRITLLLLLLSMIPQKSVGEFEQWCIADEQTPDDELQAALDWACGKGGADCSKIQVNQPCYFPNTMRNHASYAFNDYFQKFKHKGGSCYFKGAAMITELDPSYNSCQYEFIP >EOX91919 pep chromosome:Theobroma_cacao_20110822:1:4477341:4481135:-1 gene:TCM_000970 transcript:EOX91919 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MLPSARLPWFSTSHEAAAVVGRTPHATLSGSADGFRAFASVALPDSSTSEKQKKKKPITVAGESKLHIAMFPWLAFGHMIPFLEFAKLVAEKGHKISFSSTPKNIDRLPKLPPHLASLIYFIKLPLPQINHLPQNAEATIDVPLDDVWYFKKAYDGLQQPMAQLLQSLSPDWLLLDFAAYWLPSIARSLNIPNAFFSIVTAACLGYLGPPSFLMHGDEDHKKPEDYAVLPKWVTFPSTVRLKLYEVLKIYNGITGDKTNTSDFYRFGATLKDCDMVAVRSCMELEPEWLKLLEQLYEKPVIPVGELPTTDYNNSEETEGWKSMKEWLDKQEKGSVVYIAFGSEAKPSQEELNEIAQGLEFSGLPFLWVLRKSRGSTDAEPIKLPEGFEERTKERGVVLTTWAPQLKILAHDSIGGFLTHTGWSSVVEAPQFLRPLILFTFLADQGINARLLEEKKIGYSIPRKEQDGSFTRNSVAESLRLVVVEDEGKIYRDKAKEMKRVFGDRDKQNWYLDNFLGYLENHRRLKNPEVDGIDQN >EOX94204 pep chromosome:Theobroma_cacao_20110822:1:25739836:25742072:1 gene:TCM_003699 transcript:EOX94204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERVFEFKDIPDEKCVKLVAIKLKKHASIWWENLKRQQEREGRNKIKIWDKIRQELKQAFVVYHNLNTTMMIVDESSLCHNLFYIRCISQGKVCNVIINSGSCENVVANYMVEKLKLPTKVHLHPYKLQWLRKGNEVKVMKHCCVQFYIGNKYQDEIWCDVIPMDACHLFLGRPCQYDCQAHHDGYKNTYSFIKDGVKIMLTPLKLKDRPKRQEEDKAFITMSGLNKAYHESSLLCLLLVCEKNEVSSPLSKDVKSII >EOX92986 pep chromosome:Theobroma_cacao_20110822:1:9797661:9800000:1 gene:TCM_001847 transcript:EOX92986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNKWGGIRERELEDVFRWSFECLGAWHRGRVSITRRRPLSRYS >EOX92537 pep chromosome:Theobroma_cacao_20110822:1:7328068:7329022:-1 gene:TCM_001473 transcript:EOX92537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 18, putative isoform 2 VICLILRQPSHKLHKRKLLSFLVFEICVSFSFSLSIMEAKSALRIDRKSSIESEPRTLNINEIQCAREAAMYVVRTRTIEEAMSIFTEGLEPVVSVARDNIGTMMNPKDMQYLEELLHLQEIRDVVSAPF >EOX92536 pep chromosome:Theobroma_cacao_20110822:1:7328220:7328995:-1 gene:TCM_001473 transcript:EOX92536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 18, putative isoform 2 MEAKSALRIDRKSSIESEPRTLNINEIQCAREAAMYVVRTRTIEEAMSIFTEGLEPVVSVARDNIGTMMNPKDMQGLEPVVSVARDNIGTMMNPKDMQYLEELLHLQEIRDVVSAPF >EOX93024 pep chromosome:Theobroma_cacao_20110822:1:9991357:10000815:1 gene:TCM_001880 transcript:EOX93024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter CorA family protein MNTPGNDYYASESNERKKHHHPKNHPSRNNGIGGDLWTDGLICAFEYVHGQPKAVQQSSGAKIWSKQYASKDASRKQIYAYKPANSLTESVTSNNLAKSAPLTESALTAKDNEKNCSRNLNPGEEVPRTYWKPIGWDRVSELVQMLQVDDGWVSQPIDLTENEDDVTVADLAAPYWERPVGPTWWCHVAADHPSVNAWLSNAHWLHPAISNALLDESRLISERMKHLLYEVPVIVAGGLLFELLGQSAGDPLADEDDIPVVLRSWHAQNFLITSLHVKGSASNINVLGIAEVQEMLIAGSTNIPRTIHEVIAHLTCRLARWDDRLFRKSVFGEADEVELKFMDRRNHEDLHLFTIIMNQEIRRLSTQVIRVKWSLHAREEIVFELLQYLRGNATRALLEGVRKSTREMISEQEAVRGRLFTIQDVMQSTVRAWLQDRSLTVTHNLGIFGGCGLILSIITGLFGINVDGIPGNEASPYAFVLFSGILFLLGVALIAIGLLYLGLKKPIVDEDVEVRKLELQELVSIFQKEAESHAQVRKSVARAIKPPKTASILPNGEGYVLIG >EOX92024 pep chromosome:Theobroma_cacao_20110822:1:4967986:4971460:1 gene:TCM_001047 transcript:EOX92024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MEGVALSFSHKLNLPQFVLFPCLMLLSLRISLLNSTSLPPIFQIPPLSKSLHRYFSFTPHSLLTPPKQRFSPRDVFNSNVRIRQLSRAGNITAARQLFDNMPNNDVVSWNSIITGYWQNGCLQESKKLFDSMPERNIVSWNSMIAGCVENGSVDEAFQYFQAMPERNTASYNAMISGFIRWDRVNEAGMLFQEMPRKNVISYTAMMDGYMKVGEVDKARDLFDGMPCRNVVSWTVMISGYVDNCEFNEARELYERMPNKNVVPMTAMITGYSKQKRVEDARVLFDGIRYKDRVCWNAMITGYAQNGIGEEALKLCSEMLKLGIQPDNLTLVSVFTACSGLASLKEGRQIHVLVIKNGFDMDLSLCNSLITMYSKCGGILDAEQAFRQINGADLVSWNTIIAAFAQHGLYEKAVFFFNQMELVGVKPDGVTFLSLLSACGHAGKVNESMDFFDLMVKNYGIYPRSEHFSCLVDILSRAGQLEKASKIIQEMPFQADGGVWGALLAACSVYLNVELGELAAQKIVEVDPHHSGAYIVLSNIYAAAGMWDEVTRVRLQMKEQGVKKQCAYSWMEIGSKTHHFLGGDVSHPDTDKIHLEIKSISLQMKALVNIAEIDLLWSCFA >EOX92566 pep chromosome:Theobroma_cacao_20110822:1:7547310:7547914:-1 gene:TCM_001503 transcript:EOX92566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKSMKSCSSRQAASTQNQESDSEVQPESGNKAVELEREASGYVLGETADSFAQGFMAFSEWGHDHELEEHGGPFKGLATSLGSFYDDSMLEQNSIMFPNSISDYYDENLMQQMTVLEPLPSSIPPFRSFRSMDKLPSVSHNFDFSSQFFDL >EOX93714 pep chromosome:Theobroma_cacao_20110822:1:15377132:15380699:1 gene:TCM_002641 transcript:EOX93714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MSYSTLAVVGNFTYPPNSNPYSFNSTLQRKEFISLLKNCKRINQTLPIHAKIIRTGHDQDPFIVFELLRLSSTFHSINYASKIFQQTHNPNVFLYTALIDGFVLAGSYSDGISLYVQMINRFIVPDKYVITSVLKACGSHFALREGKEFHCQALKLGLSSNRSITMKLLEFYGKCGEFDDARKVFDEMVERDVVASTIMINCYLDHGLVEQAIEVFDRVRIKDTVCWTAMIDGLVRNGEMNRALEMFREMQKENVRPNEITIVCVLSACSHLGALELGRWVHSYMGKEHGIVLSHFVGGALINMYSRCGDIDEAERVFAMMKERNVITYNLMISGLAMHGKSIEAIEIFQVMIKKGLLPTGVTFVAVLNACSHGGLVDFGFEIFLSMTRDYGIQPQVEHYGCIVDLLGRVGRLKEAFDFIRSMKIAPDHVMLVAFLSACKIHGNLELGEQVARILVYHGVVDSGTYILLSNVYASLGKWKEAAHIRAKMKEDGIQKEPGCSSIEVNNEIHEFLLGDLRHPQKGKIYEKLEELNQILREAGHTPATEVVLHDIEDWEKEQALAIHSERLAICYGLISTKPFTTIRVVKNLRVCDDCHSTIKLIAKITRRKIVVRDRRRFHHFENGNCSCGDYW >EOX95177 pep chromosome:Theobroma_cacao_20110822:1:33203035:33210071:-1 gene:TCM_004734 transcript:EOX95177 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop nucleoside triphosphate hydrolases superfamily protein with CH (Calponin ) domain isoform 2 MAAEGTLSFSVASVVEDVLQQHGNRSKDLDLESRKAEEAASRRYEAAGWLRKMVGVVAAKDLPAEPSEEEFRLGLRSGIILCNVLNKVQPGAVPKVVESPCDAVLIPDGAALSAFQYFENVRNFLVAGQELGLPTFEASDLEQGGKSARVVNCVLALKSYNEWKLTGGNGVWKFGGNVKPATTTLGKAFVRKNSEPFMNSLQRTSSVNEKLLNGQSNEIDPNKMASSGSLSMLVRAILIDKKPEEVPMLVESVLSKVVEEFEHRIASQSEMMKMTSKDITASLCNKSPLKPTPGDKKIEEKNIKVWRKEDSFHKNLIDDEELKGRSQKQKILFDQQQRDIQELKHTINATKAGMQFIQMKFHEEFNNLGMHIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLSGQSSYLSTVDHIEEGNITINTPSKYGKGRKSFTFNKVFGQSATQAEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPRDLTEKNEGVNYRALGDLFLLAEQRKDTFRYDVAVQMIEIYNEQVRDLLVTDGKIRNSSQTGLNVPDANLVPVSSTSDVIDLMNLGHRNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGSILRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNPHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPDAIGETISTLKFAERVATVELGAARVNKDTADVKELKEQIATLKAALARKEGETEQSLHSVSASSEKYRTKASDLSPFSTNQRVGAMLSSRQPMGDVGNIEVCTNATLRQKRQSFDLDELLANSPPWPPVISPAQNFRDDEKEPGSGEWVDKVMVNKQDAINRVGNPLGCWEAENGNLSDVFYQKYLQDSSKIYPEQSYNMFMGGNRFNMAGADDIDDLDAATSDSSEPDLLWQFNQSKLSSITNGIESKTKKPTSKSARNPELTKNLNTMSGPSPSRKLANGVSQPLHRNGRQPAPADGKRKTGSRK >EOX95178 pep chromosome:Theobroma_cacao_20110822:1:33203236:33209897:-1 gene:TCM_004734 transcript:EOX95178 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop nucleoside triphosphate hydrolases superfamily protein with CH (Calponin ) domain isoform 2 MAAEGTLSFSVASVVEDVLQQHGNRSKDLDLESRKAEEAASRRYEAAGWLRKMVGVVAAKDLPAEPSEEEFRLGLRSGIILCNVLNKVQPGAVPKVVESPCDAVLIPDGAALSAFQYFENVRNFLVAGQELGLPTFEASDLEQGGKSARVVNCVLALKSYNEWKLTGGNGVWKFGGNVKPATTTLGKAFVRKNSEPFMNSLQRTSSVNEKLLNGQSNEIDPNKMASSGSLSMLVRAILIDKKPEEVPMLVESVLSKVVEEFEHRIASQSEMMKMTSKDITASLCNKSPLKPTPGDKKIEEKNIKVWRKEDSFHKNLIDDEELKGRSQKQKILFDQQQRDIQELKHTINATKAGMQFIQMKFHEEFNNLGMHIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLSGQSSYLSTVDHIEEGNITINTPSKYGKGRKSFTFNKVFGQSATQAEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPRDLTEKNEGVNYRALGDLFLLAEQRKDTFRYDVAVQMIEIYNEQVRDLLVTDGSNKRLEIRNSSQTGLNVPDANLVPVSSTSDVIDLMNLGHRNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGSILRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNPHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPDAIGETISTLKFAERVATVELGAARVNKDTADVKELKEQIATLKAALARKEGETEQSLHSVSASSEKYRTKASDLSPFSTNQRVGAMLSSRQPMGDVGNIEVCTNATLRQKRQSFDLDELLANSPPWPPVISPAQNFRDDEKEPGSGEWVDKVMVNKQDAINRVGNPLGCWEAENGNLSDVFYQKYLQDSSKIYPEQSYNMFMGGNRFNMAGADDIDDLDAATSDSSEPDLLWQFNQSKLSSITNGIESKTKKPTSKSARNPELTKNLNTMSGPSPSRKLANGVSQPLHRNGRQPAPADGKRKTGSRK >EOX92468 pep chromosome:Theobroma_cacao_20110822:1:7032028:7038128:1 gene:TCM_001417 transcript:EOX92468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MRPTEATHGAPKRSTSNIDDNKDLKDAYIRYLPLYKAVDSGDLEATKKFLDQHPSALSGSLSADGDTALHIAVLAGHVEIVEELVGHMSAQEIAVKQKFGSTALNFAAVGGVTEIAELLLKKNRELLTITNEYDQIPLVVAALYGHRDLVQYLYEETPKEELDPTNKNHGALLLTACIIDEFYDIALDLVQRYSQLAIAEDTDGDTALRILAQKPSAFSSGTQLETWQWWIYKCIHIHPHNASLNNSGDIEKPREGPTHPKNLTKRALHLLSQIFWKCLTLSVPGVTSIYELKLKHVQAKELLTCVCREVSTIEDENRVQSLVKKPLFDAVKNGLVEFVTDLMKHYPEVLWFYDDKERNIFFVAAAERQEKIFSLIYKMGAKKNYMATHWDKDSNNMLHQAAFLAPSSQLDRVSGAALQMQRELQWFKEVESVVQPKYREMVNNHFKTPRALFTDQHKKLVEQGEQWTKETAESCTVVTALIVTIMFSAVFTAPGGYDEAGVPLYLHRSSFLIFIISDALSLFTSTTSLLMFLGILTSRYREEDFLKDVPTKLMIGLSMLFFSLATMMIAFGVALFIVLHERIAWVSFPIILLASLPVTLFALLQFPLLVEIFFSTYGPGIFDKPKKPWFRSGSTRCVR >EOX91515 pep chromosome:Theobroma_cacao_20110822:1:2957687:2960611:1 gene:TCM_000674 transcript:EOX91515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein DDB_G0271118 MKNSNGNTKLILLHPYIQKQGSSNRLWLLAFISFFTIAFLLTLIYTRESISGKTTATSTTVTGPSSAVSAFGSAPLPTNVINTLLHYASKSNDSFHMTYSELKPISDVLRKCSSPCNFLVFGLTQETLLWKALNHNGRTVFIDENRYYAAYFEEIHPEIDAFDVQYTTKISETRELIASAKEQIRNECRPVQNLLFSECKLGINDLPNHVYEVDWDVILIDGPRGDGPEGPGRMQPIFTSGVLARSKKGGNTKTHIFVHDYYRDVEQMSGDEFLCKENLVEHNDTLAHFVVERMEENSFQYCRSKNNTSTKASSS >EOX95506 pep chromosome:Theobroma_cacao_20110822:1:34504402:34507188:-1 gene:TCM_004989 transcript:EOX95506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGIQKIFHWKSGVDNCHGNISGSLKGEGKEDNPIFGADSGDDLDDCDFQGLSCELGMVEGQICSIPYELFDLPDLREIFSLDTWNSCLTEEERFSLSAYLPDMDQQTFWLTMKELFSGSDMFFGNPMDTFFKRLKGGFYPPQMTCLRESLQFLERRKYYHALRSYHDKMAQMFIDMRRLWDECDMSTGVEERLYIWRTRRKHRDANLLDLNAVPNDGYMLNEDVNSDAIMCYLPKRMKTWETVRAKNIFAGPSANGMNIIAPNCSTKGVLKVRTTGNAIHSHNQKMVLGDIVEQCRSVPKGLLKVVPKVPSVQPELSKVFSRRSQTALLVGAQDLQDRKSSCLPASAYVGNAGGFSGSPILWQKVAEQPQCILSCQDGTLRSSRYLQNSGENISKEVDIVDLGKHKPIGHDEERASNVGYESLVDVIDSKRYNFGGQNLWQNFDMGKKGLFERSLESYPFAAQYHEGERQTRIMQTDCITILPRVPQAVSRNSGIGGGMHQKLMASPNQKKSPCDYNVENSEKSSKPCVPERLKYDLTLPLTYKRRKSKAKNSSDFTNSLIPGTDLRSGTPKESNQPMEENVKALKIKFTGWEKTPLNRES >EOX95507 pep chromosome:Theobroma_cacao_20110822:1:34504386:34507662:-1 gene:TCM_004989 transcript:EOX95507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGIQKIFHWKSGVDNCHGNISGSLKGEGKEDNPIFGADSGDDLDDCDFQGLSCELGMVEGQICSIPYELFDLPDLREIFSLDTWNSCLTEEERFSLSAYLPDMDQQTFWLTMKELFSGSDMFFGNPMDTFFKRLKGGFYPPQMTCLRESLQFLERRKYYHALRSYHDKMAQMFIDMRRLWDECDMSTGVEERLYIWRTRRKHRDANLLDLNAVPNDGYMLNEDVNSDAIMCYLPKRMKTWETVRAKNIFAGPSANGMNIIAPNCSTKGVLKVRTTGNAIHSHNQKMVLGDIVEQCRSVPKGLLKVVPKVPSVQPELSKVFSRRSQTALLVGAQDLQDRKSSCLPASAYVGNAGGFSGSPILWQKVAEQPQCILSCQDGTLRSSRYLQNSGENISKEVDIVDLGKHKPIGHDEERASNVGYESLVDVIDSKRYNFGGQNLWQNFDMGKKGLFERSLESYPFAAQYHEGERQTRIMQTDCITILPRVPQAVSRNSGIGGGMHQKLMASPNQKKSPCDYNVENSEKSSKPCVPERLKYDLTLPLTYKRRKSKAKNSSDFTNSLIPGTDLRSGTPKESNQPMEENVKALKIKFTGWEKTPLNRES >EOX90732 pep chromosome:Theobroma_cacao_20110822:1:484233:484697:1 gene:TCM_000120 transcript:EOX90732 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROTUNDIFOLIA like 6, putative MEMGQCASRAAATGREHWNDCSCSVVSSESERMRGCLAMVKERRSRFYIARRCLVMLLCWHKYGKS >EOX92933 pep chromosome:Theobroma_cacao_20110822:1:9488298:9488852:-1 gene:TCM_001795 transcript:EOX92933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARRQTILITCLMWLLVVSSITLCAYATAGARLESFEQGFHPQGCRCCFFIWKPMIRCGKVCCGDDCCI >EOX96435 pep chromosome:Theobroma_cacao_20110822:1:37803597:37806928:1 gene:TCM_005687 transcript:EOX96435 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 2 MVQTSEFPINPPMPPAAPIQSQPPPPGQPQPQPQTDLFRTAKRRREEEDPAEAGDTGESASKRQAKSQDVIFRIVVPSRQIGKVIGKAGHRIQKIREDTKATIKIADAIVRHEERVIIISSRDNEDMISDAENALQQIATLILKEDDSGTEAAKVGAVSAGHVAANTIRLLIAGSQAGCLIGMSGQNIEKLRSSSGASVTILAPNQLPLCASAHESDRVVQISGDVPAVLKALAEIGYQLRENPPRQVISISPAYNFNLLRPPQPQPYVDPTSADYVTFEMVVSETFIGGLIGRCGSNISRIRIESGATIKVYGGKGEQKVRHIQFSGSSQQVALAKQRVDECIYSQMIQQAGTQQPGLQM >EOX96436 pep chromosome:Theobroma_cacao_20110822:1:37803735:37806537:1 gene:TCM_005687 transcript:EOX96436 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 2 APIQSQPPPPGQPQPQPQTDLFRTAKRRREEEDPAEAGDTGESASKRQAKSQDVIFRIVVPSRQIGKVIGKAGHRIQKIREDTKATIKIADAIVRHEERVIIISSRDNEDMISDAENALQQIATLILKEDDSGTEAAKVGAVSAGHVAANTIRLLIAGSQAGCLIGMSGQNIEKLRSSSGASVTILAPNQLPLCASAHESDRVVQISGDVPAVLKALAEIGYQLRENPPRQVISISPAYNFNLLRPPQPQPYVDPTSGQPLLQLIMLLSRWWFRRHLLVD >EOX96159 pep chromosome:Theobroma_cacao_20110822:1:36791521:36794707:-1 gene:TCM_005472 transcript:EOX96159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYASDRINHSSGYGVLNFNRRKAVKGNGNVVRVRWDPQMVPLFPFISELELKPDEKPQVITGIVNDFYWYLSWRWAWACAGAGTGTSAGVGLLGVASAIPL >EOX92759 pep chromosome:Theobroma_cacao_20110822:1:8492060:8500591:1 gene:TCM_001643 transcript:EOX92759 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-methyltransferase family protein MKKNRKGKQRSAIPPTKKEIEADQKGKRRNVPQENSEPAGSLKRPKRAAACTDFKEKSVRISEKSSIIETKKDMLADDEIVAVGLTSEKDDGRPNRRLNDFVLHDSSGLPHPLEMLEVHDMFITGLILPLEESSDKVKEKEKSFRCEGFGRVESWAISGYEDGCPVIWLSTDVADYSCCKPASSYKKFYEHFFEKARACVEVYKKLSKSSGGNPDLSLDELLAGVVRSMTGSKCFSGGASIKDFVISQGEFIYNQLIGLDETSKKNDQVFAGLPVLAALRDESQKRENIGHERAAFLGGTLTIGKIFGEGDSKLDQSNSSAFAAEEDEDAKFARVLQEEEYWKSMKQKKNQGSASMSNKFYIKINEDEIANDYPLPAYYKTSNEETDELVVFDNDFDVCDSEDLPRSMLHNWSFYNSDSRLISLELLPMKPCADIDVTIFGSGVMTADDGSGFCLDNDPSHSTSGSSTALNVDGIPIYLSAIKEWMIEFGSSMIFISVRTDMAWYRLGKPSKQYLPWYEPVLKTARLAISIITLLKEQSRISRLSFNDVIRRVSEFKKDNCAFLSSDPAAVERYIVVHGQIILQLFAVFPDENIKKCAFVAGLTTKMEERHHTKWLVKKKKVVHNSEPNLNPRAAMVPVASKRKVMQATTTRLINRIWGEYYSNYLPEESKEETGSVEKEEEDENEEQEANEDDDAEEDKSILKETQKSPSVSRRSRRCSTKEEIRWDGEPVSKTSSDEPLYKQAIIYGEVIVVGSAVLVEVDSYELPTIYFVEYMFESSEGSKMFHGRMMQRGSETVLGNAANEREVFLTNDCGDFELEDVKQTVAVDIRLVPWGYQHRKDNANMAKSDKTKAEERKRKGLPMEYYCKSLYCPDRGAFFRLPFDSLGLGSGFCYSCKVKDAGKDKEMFKVNSLKTGFVYRGIEYSVHDYVYVSPHQFALERAENENFKGGRNIGLKPYVVCQVLEIIVLKELEKAGKESTQIKVRRFFRPEDISAEKAYSSDIREVYYSEETHMLSVEAIEGKCEVRKRNDLPEASAPAIFHDIFFCDRIYDPSKGSLKQLPTQIKLRYSTGIVDNDIAYQKKKGKSKEGENESEVKKQGEAAQENRLATLDIFAGCGGLSEGLHQSGASLTKWAIEYEEPAGDAFKLNHPGSLVFINNCNVILRAIMEKCGDADDCISTSEAAELAGSLDEKEINNLPLPGQVDFINGGPPCQGFSGMNRFNQSTWSKVQCEMILAFLSFADYFRPRYFLLENVRNFVSFNKGQTFRLTLASLLDMGYQVRFGILEAGAYGVSQSRKRAFIWAASPEETLPEWPEPMHVFAVPELKITLSNNLQYAAVRSTASGAPFRAITVRDTIGDLPAVGNGASKTNLEYQNEPISWFQKKIRGNMAVLTDHISKEMNELNLIRCQKIPKRPGADWHDLPDEKVKLSTGQVVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPEQDRILTVRECARSQGFPDGYQFAGNIQHKHRQIGNAVPPPLAFALGRKLKEALDSKKPI >EOX91364 pep chromosome:Theobroma_cacao_20110822:1:2449964:2451089:1 gene:TCM_000583 transcript:EOX91364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHLWDGGWVRGAALVNPKTACGLLPDTREDATLTNSAVVERAPARRMKRRTRWSSTSDGRKNGFLCSLAWAQIINFALCKVYLSTLTWVGTQIN >EOX93816 pep chromosome:Theobroma_cacao_20110822:1:16097746:16099705:1 gene:TCM_002756 transcript:EOX93816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGSVKSLDAGTLESVYLSRWAPHLEFLVTVSPPVFVLLSLLCLFAFAPNFPLRKKWRRI >EOX91156 pep chromosome:Theobroma_cacao_20110822:1:1781208:1788332:1 gene:TCM_000435 transcript:EOX91156 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor, putative MRVPVTSPQNNHSPSPKTNNNSSSNNNSIARNISFHGLNGVQTSCYEPTSVLDPRRSPSPVPEKPVTNSVDVSNCISSDPHHHQAPSPEWGEYVLRNMDWDSIMKDLGLDDESVPPIKTINPQVISPCENQIQSLPEFTSCELTHQSVHSDFNNLYDFYSEELIRAADCFDTHELQLAHVILARLNQRLRSPSGKPLQRAAFYFNEALQSLFTGSTRSTRLSSWSEIVQTIKAYKAFSGINPIPMFNHFTTNQALLEALDGSAPLIHIIDFDIGLGGQYASLMREMAERNDHSCKFIRITAVVPEEYAIETRLIKDNLFQFAQDLKLRFQIEFVLLRTFEMLSLKAFKFIDGEKTAILLSPSIFRCLSLNVAAFLSDLRRVNPSVVVFVDSEVWMESGTTSFRKNFVNGLEFYAMMFESLDAAVAGGEWVRKIETLLLRPRILAAVEAAARRTTQPWRELFVGAGMRAVHLSQFADFQAECLLGKVQNPAMAFLGSNVASEVGLRLLLCPLGSNIVTRAACCSVGIVLPVYSTFRAIERNDENEQQKWLTYWAAYGSFTIVEVFSDKLLSWFPYYYHFKFAFLVWLQLPSTEGAKQIYKNRLRPFLLRHQAKVDQLMGFACSEMARFISTHQEEFRFVRIMFRKMTGSANAKVRGAAEPDKPRRLPEIKGQTRMISNPESDHNE >EOX92305 pep chromosome:Theobroma_cacao_20110822:1:6172278:6176862:1 gene:TCM_001270 transcript:EOX92305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion binding,cobalt ion binding,zinc ion binding isoform 1 MAFSTRLVSKSRQLFGSQAIMRQEHAIPVRFYAKEAAPAALKGDQMLKDIFLEVKKKFETALGILKKEKITIDPDDPAAVSQYAKVMKTVREKADLFSESQRIQHTIQTRTQGIRDARTYLLTLQEIRIKRGLVDELGIEPMMMEALEKVEKQIKKPLMRDDKESMSLLMAEFDKINKKLGISRENLPKYEEELELKIAKAQLEELKKDALEAMETQKKREEFKDEPMPDVKSLDIRNFL >EOX92306 pep chromosome:Theobroma_cacao_20110822:1:6172278:6176989:1 gene:TCM_001270 transcript:EOX92306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion binding,cobalt ion binding,zinc ion binding isoform 1 MAFSTRLVSKSRQLFGSQAIMRQEHAIPVRFYAKEAAPAALKGDQMLKDIFLEVKKKFETALGILKKEKITIDPDDPAAVSQYAKVMKTVREKADLFSESQRIQHTIQTRTQGIRDARTYLLTLQEIRIKRGLVDELGIEPMMMEALEKVEKQIKKPLMRDDKESMSLLMAEFDKINKKLGISRENLPKYEEELELKIAKAQLEELKKDALEAMETQKKREEFKDEPMPDVKSLDIRNFL >EOX95630 pep chromosome:Theobroma_cacao_20110822:1:34987671:34990289:-1 gene:TCM_005085 transcript:EOX95630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAATLSLLKLPFLPQKPHYPQPKLPLLSNPTKKIISNESINPPKLFTETIDSLKSASLPLTTLALPFFLDTKDALAVDGEFGILEGRSFALLHPIVMGGLFFYTLWAGYLGWQWRRVRTIQNEINELKKQVKPTPVTPEGKPVEAAPSPVELKIQQLTEERKELLKGSYRDRHYNAGSILLGFGVLEAVSGGVNTWFRTGKLFPGPHLFAGTAITVLWAAAAALVPSMQKGSETARSLHIALNAVNVTLFIWQIPTGIEIVFKVFEFTKWP >EOX91390 pep chromosome:Theobroma_cacao_20110822:1:2542404:2544418:1 gene:TCM_000600 transcript:EOX91390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cadmium resistance 2 MYNSIKLIIQGSLTTNSELITKSSNLLTREKEMYTSSHGNAKYAPAGYPATAMKAQPSHVSPFHGTASATGWSTGLCHCCDDPANCLITCLCPCVTFGQIAEIVNKGSISCAASGAVYGLLALAGLSCLYSCVYRSRLRGQYDLEEAPCADCLVHFCCETCSLCQEYRELKNRGFDMGIETSYNLTSISKGWQANMDRQGRGITVAPVVGRMAR >EOX91759 pep chromosome:Theobroma_cacao_20110822:1:3734342:3739103:1 gene:TCM_000842 transcript:EOX91759 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein MEFENPRLFIGGISEEVKEETLRQHFSKYGEVTQSLKISKKGIGFVTFADPSMAKTALQEEEHIILGRKASLIRTLFFLLFFFVDVKPAKPRVQTKDTRNKIFVGGLPPTITLPEFRNYFESYGTITDAVVIYDKKSHRFRGFGFVTFDSEEAAENVLLKSFHELNNKMVEVKKAEPRDKKTSNSDPHENGLVPLGLPYGIYNVNTQPNYFPAESYLCMWNWSPHGGQPPFGSFHVVYFNFNSWTQVGQTDFVYEQSDCLDVLL >EOX91386 pep chromosome:Theobroma_cacao_20110822:1:2531617:2536452:1 gene:TCM_000597 transcript:EOX91386 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein isoform 1 MIRAEEVQSNLEPEFPSFAKSLVRSHVGSCFWMGLPGMFCKIHLPRKDTMIILEDESGHQFHVKYYSDKTGLSAGWRQFCSVHNLLEGDVLIFQLVEPTKFKVYIIRANDLTELDGALGLLNLDAHTKQSDAEDAEIGITACKSTKRKRPKSLPLAVVQKKNKRSGLQTNLGQPAEQSENDSEEVGSEVLEGFKLSVPTIQFKDITSFENFSILVGDLVIDSELSEDIRNKYFKLCCSQNSFLHENIIQGINFKLIVGTISETVNIADAIRACKLTTSQDEFDSWDKTLKAFDLLGMNVGFLRTRLRRLVNLAFESEGAADARRYVEAKMERAQTEDEIRNLEAKLAELKDTCKTFGVEIESLQSQAETYELRFEEEVQASW >EOX91385 pep chromosome:Theobroma_cacao_20110822:1:2531523:2536477:1 gene:TCM_000597 transcript:EOX91385 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein isoform 1 MDQRVKKEAEEIHQRTMSFAGRRLKSTGEEDLTLAQLSHTPKLKPSSSGKKKKKKKKDKALTERKEKQKSQSESRIKHEVSGPGGKHMSSKKNKGAGDGKCTPEIKSPAMIRAEEVQSNLEPEFPSFAKSLVRSHVGSCFWMGLPGMFCKIHLPRKDTMIILEDESGHQFHVKYYSDKTGLSAGWRQFCSVHNLLEGDVLIFQLVEPTKFKVYIIRANDLTELDGALGLLNLDAHTKQSDAGKLLVWYLEYYACISDGLHYNRIFEKKKKEDAEIGITACKSTKRKRPKSLPLAVVQKKNKRSGLQTNLGQPAEQSENDSEEVGSEVLEGFKLSVPTIQFKDITSFENFSILVGDLVIDSELSEDIRNKYFKLCCSQNSFLHENIIQGINFKLIVGTISETVNIADAIRACKLTTSQDEFDSWDKTLKAFDLLGMNVGFLRTRLRRLVNLAFESEGAADARRYVEAKMERAQTEDEIRNLEAKLAELKDTCKTFGVEIESLQSQAETYELRFEEEVQASW >EOX91384 pep chromosome:Theobroma_cacao_20110822:1:2531505:2536664:1 gene:TCM_000597 transcript:EOX91384 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein isoform 1 MDQRVKKEAEEIHQRTMSFAGRRLKSTGEEDLTLAQLSHTPKLKPSSSGKKKKKKKKDKALTERKEKQKSQSESRIKHEVSGPGGKHMSSKKNKGAGDGKCTPEIKSPAMIRAEEVQSNLEPEFPSFAKSLVRSHVGSCFWMGLPGMFCKIHLPRKDTMIILEDESGHQFHVKYYSDKTGLSAGWRQFCSVHNLLEGDVLIFQLVEPTKFKVYIIRANDLTELDGALGLLNLDAHTKQSDAEDAEIGITACKSTKRKRPKSLPLAVVQKKNKRSGLQTNLGQPAEQSENDSEEVGSEVLEGFKLSVPTIQFKDITSFENFSILVGDLVIDSELSEDIRNKYFKLCCSQNSFLHENIIQGINFKLIVGTISETVNIADAIRACKLTTSQDEFDSWDKTLKAFDLLGMNVGFLRTRLRRLVNLAFESEGAADARRYVEAKMERAQTEDEIRNLEAKLAELKDTCKTFGVEIESLQSQAETYELRFEEEVQASW >EOX91387 pep chromosome:Theobroma_cacao_20110822:1:2531505:2536664:1 gene:TCM_000597 transcript:EOX91387 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein isoform 1 MDQRVKKEAEEIHQRTMSFAGRRLKSTGEEDLTLAQLSHTPKLKPSSSGKKKKKKKKDKALTERKEKQKSQSESRIKHEVSGPGGKHMSSKKNKGAGDGKCTPEIKSPAMIRAEEVQSNLEPEFPSFAKSLVRSHVGSCFWMGLPGMFCKIHLPRKDTMIILEDESGHQFHVKYYSDKTGLSAGWRQFCSVHNLLEGDVLIFQLVEPTKFKVYIIRANDLTELDGALGLLNLDAHTKQSDAEIGITACKSTKRKRPKSLPLAVVQKKNKRSGLQTNLGQPAEQSENDSEEVGSEVLEGFKLSVPTIQFKDITSFENFSILVGDLVIDSELSEDIRNKYFKLCCSQNSFLHENIIQGINFKLIVGTISETVNIADAIRACKLTTSQDEFDSWDKTLKAFDLLGMNVGFLRTRLRRLVNLAFESEGAADARRYVEAKMERAQTEDEIRNLEAKLAELKDTCKTFGVEIESLQSQAETYELRFEEEVQASW >EOX92824 pep chromosome:Theobroma_cacao_20110822:1:8739919:8745614:-1 gene:TCM_001687 transcript:EOX92824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin ear-binding coat-associated protein 1 NECAP-1 isoform 2 MMEKEKQPKGKAAREEGKVDESEETAEALELVLFQVSECYVYLIPPRKSAASYRADEWNVNKWAWEGILKVISKGEECIIRLEDKSSGELYARAFLRNGEPHPVEPVIDSSRYFVLRIEENIGGRLRHAFIGIGFRERTEAYDFQAALHDHMKYLNKKKTAEEMEQQFQKTSSVDYSLKEGETLVLQIKNSGSSSVKSKILEQGVDNLSLEDKGNRTEPKISIKPPPPPPAPLSPVASSQKSPTSSPPNLSLESTSKDNSPVSAKEHSHEQHSPESQSTEDIVDDDFGDFQAAA >EOX92823 pep chromosome:Theobroma_cacao_20110822:1:8739632:8745618:-1 gene:TCM_001687 transcript:EOX92823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin ear-binding coat-associated protein 1 NECAP-1 isoform 2 MMEKEKQPKGKAAREEGKVDESEETAEALELVLFQVSECYVYLIPPRKSAASYRADEWNVNKWAWEGILKVISKGEECIIRLEDKSSGELYARAFLRNGEPHPVEPVIDSSRYFVLRIEENIGGRLRHAFIGIGFRERTEAYDFQAALHDHMKYLNKKKTAEEMEQQFQKTSSVDYSLKEGETLVLQIKNSGSSSVKSKILEQGVDNLSLEDKGNRTEPKISIKPPPPPPAPLSPVASSQKSPTSSPPNLSLESTSKDNSPVSAKEHSHEQHSPESQSTEDIVDDDFGDFQAAASSVKFAIRLVNICTNSQILMDGGRDAASGVTRFLNNPHLHAFKKEKVVVFESRKSTFL >EOX94477 pep chromosome:Theobroma_cacao_20110822:1:28982538:28994075:-1 gene:TCM_004070 transcript:EOX94477 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative MDKLVSDWCTDQSLPESYIFPPETRPGKLSIPSGDSIPVVDLGEASINRSNTIQKVLKASQDFGFFQVVNHGVSQKVLNGTMSVVKEFFEMPTEDKTSLYSEDPKKVCRLYTSNANYGCEKFHFWRDVLKHPCHPLEECIKLWPDKPTSYREVIATFSVEAKKLGLRILELLSEGLGLESGHFEKDLSEALLLVANHYPPCPDPSLTLGLPKHCDPNLITILLQGDACGLQVFKDGEWIGIEPLPNAFVVNIGHQLRIVSNNKLKSAEHRVVTNSEMARTTVGLFISSCDDSIIEPAKSLTNAGDPPLYRAFQYKDFISNYVSMMGDTELALQPFKLQFH >EOX90980 pep chromosome:Theobroma_cacao_20110822:1:1232984:1235233:-1 gene:TCM_000300 transcript:EOX90980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAHFQNQHPATEVSQSDEFGNPVRRTDKYGNPIAKHKTGRGIAGIGGHHHGLHRTDSSSSSSSSEDEGTGRKRKGLKDKLKEKLPGLTHTRNKKLSFKLISREKKNSRLYLKMACCGDQSQPAQVEARMTTEEPMPKLEQPKYEGNKANDKYPDASTAAPGQQQEHNEKKGMLDKIKEMLPGMS >EOX93487 pep chromosome:Theobroma_cacao_20110822:1:13325997:13327685:1 gene:TCM_002350 transcript:EOX93487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance-like protein MHKSLRTDITTVSQAVEINTEKDYHISTQALFSDKVAFPNLKILKISHLKTVKIIWHNLLHTDSFCKLKEMKVGFCNDLLTIFPSNMVGTFQRIKTLIVSNCESLQHIFEIKVLDIKDTNPVATKLRELYIFHLPNLKHVWNEDPRDIHTFQNIRIVYVWDCWSLKSLFPASVAKGLKQLKDLTINCCGLEEVVSEEEGLKQAVNNFVFPQVCYLTLWNLPELKCFYPAMHETELPKLKVLKTYHCGKENILGIQEHHSSIQKPPVLFERVLNSNIPLKMFTYDVQTE >EOX92414 pep chromosome:Theobroma_cacao_20110822:1:6711545:6714003:1 gene:TCM_001367 transcript:EOX92414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRIFFIFILTTIQINKLLKLQGSFLVVGGGGGGGGGGGRGGGGRGREVGLDWEIPI >EOX93201 pep chromosome:Theobroma_cacao_20110822:1:11128777:11138236:-1 gene:TCM_002032 transcript:EOX93201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 97B2 isoform 1 MAATANASSLQLSSTAVYGSFRTSDFTFLGVSKPPPFPYQKLKTSAAIRCQSTSTDEPKAKRNLLDNASNLLTNFLSGGSLGSMPVAEGAVSDLFGQPLFFSLYDWFLEHGSVYKLAFGPKAFVVVSDPIVARHILRENAFSFDKGVLADILEPIMGKGLIPADLDTWKQRRRVIAPGFHALYLEAMVKVFTDCSERSTLKFENLLDGEHSCGGEAIELDLEAEFSSLALDIIGLGVFNYDFGSITKESPVIKAVYGTLFEAEHRSTFYIPYWKIPLARWVVPRQRKFQYDLKIINDCLDGLIRNAKESREEADVEKLQQRDYLNIKDASLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWAVFLLAQNPSKIRKAQAEIDSVLGQGRPTFESVKKLEYIRLIVVEALRLYPQPPLLIRRALKADVIPAGGYKGDKDGYKIPAGTDIFLSVYNLHRSPYFWDQPHDFVPERFLVEKKSEGIEGWAGFDPSRSPGALYPNEIISDFAFLPFGGGPRKCIGDQFALMESTVALAMLLQKFDVELKGSPESVELVTGATIHTKNGMWCKLRKRSNGH >EOX93200 pep chromosome:Theobroma_cacao_20110822:1:11129473:11142193:-1 gene:TCM_002032 transcript:EOX93200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 97B2 isoform 1 MAATANASSLQLSSTAVYGSFRTSDFTFLGVSKPPPFPYQKLKTSAAIRCQSTSTDEPKAKRNLLDNASNLLTNFLSGGSLGSMPVAEGAVSDLFGQPLFFSLYDWFLEHGSVYKLAFGPKAFVVVSDPIVARHILRENAFSFDKGVLADILEPIMGKGLIPADLDTWKQRRRVIAPGFHALYLEAMVKVFTDCSERSTLKFENLLDGEHSCGGEAIELDLEAEFSSLALDIIGLGVFNYDFGSITKESPVIKFIFNLSTPGLRKDAGGIAGHNVGGFVALEKGKYYLMLVYFEAVYGTLFEAEHRSTFYIPYWKIPLARWVVPRQRKFQYDLKIINDCLDGLIRNAKESREEADVEKLQQRDYLNIKDASLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWAVFLLAQNPSKIRKAQAEIDSVLGQGRPTFESVKKLEYIRLIVVEALRLYPQPPLLIRRALKADVIPGGYKGDKDGYKIPAGTDIFLSVYNLHRSPYFWDQPHDFVPERFLVEKKSEGIEGWAGFDPSRSPGALYPNEIISDFAFLPFGGGPRKCIGDQFALMESTVALAMLLQKFDVELKGSPESVELVTGATIHTKNGMWCKLRKRSNGH >EOX94920 pep chromosome:Theobroma_cacao_20110822:1:32024044:32024432:-1 gene:TCM_004519 transcript:EOX94920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERNQVKEKPEKILSAFENRKGVWSSGMILALGARGPEFDSRNAPYFWFYLFLWLLGIILDPAAHAYEAWASSSFNFAQCGHGCHWEVGMK >EOX92517 pep chromosome:Theobroma_cacao_20110822:1:7266945:7269514:1 gene:TCM_001459 transcript:EOX92517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMEYIGATGVPVRFDPVPIEDGIDFHFILSFAVDADPSGSAQNGKFSTYWADTLTPESVAAIKKQHPNVKVMASLSGWSLGDKVLRWYNPVNIQLWISNAFSSLKSIAEEYHLDGVDIDYENFPKRNSTFAYCIGELITLLKNQSVISIATIAPFHTTTTPYIELFEKYGDVIDFVNYQFYTDKVRKPKGYLEAFELRAEQFDKDKLLPSYEVNGRGIQGDAFFDALSLLEANEFTVNGVMIFSADASSSNDYYYERKSQDFLLNSTSV >EOX90934 pep chromosome:Theobroma_cacao_20110822:1:1090479:1092016:-1 gene:TCM_000266 transcript:EOX90934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein DEFICIENS, putative isoform 2 MGRGKIEIKKIENTTNRQVTYSKRRNGIFKKAQELTVLCDAKVSLIMFSSSGKFHEFISPNISTKTFFDLYQKTLGIDLWSSHYEKMQENYRRLKEINNRLRREMQRIGEDLDDLNIKELQALEAKMASSLEAVRQRKYHVIKTQTDTYKKSKELGAKTCKSCAR >EOX90933 pep chromosome:Theobroma_cacao_20110822:1:1089828:1092138:-1 gene:TCM_000266 transcript:EOX90933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein DEFICIENS, putative isoform 2 MGRGKIEIKKIENTTNRQVTYSKRRNGIFKKAQELTVLCDAKVSLIMFSSSGKFHEFISPNISTKTFFDLYQKTLGIDLWSSHYEKMQENYRRLKEINNRLRREIRQRIGEDLDDLNIKELQALEAKMASSLEAVRQRKYHVIKTQTDTYKKKVRNLEQRHANLVLDLEAKLEDGIVENEAYYESSMGLANGASNLYALRLHQNHQVNLLHHGGRFGPNDLRLA >EOX90932 pep chromosome:Theobroma_cacao_20110822:1:1089867:1092074:-1 gene:TCM_000266 transcript:EOX90932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein DEFICIENS, putative isoform 2 MGRGKIEIKKIENTTNRQVTYSKRRNGIFKKAQELTVLCDAKVSLIMFSSSGKFHEFISPNISTKTFFDLYQKTLGIDLWSSHYEKMQENYRRLKEINNRLRREISRQRIGEDLDDLNIKELQALEAKMASSLEAVRQRKYHVIKTQTDTYKKKVRNLEQRHANLVLDLEAKLEDGIVENEAYYESSMGLANGASNLYALRLHQNHQVNLLHHGGRFGPNDLRLA >EOX90931 pep chromosome:Theobroma_cacao_20110822:1:1089912:1092093:-1 gene:TCM_000266 transcript:EOX90931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein DEFICIENS, putative isoform 2 MGRGKIEIKKIENTTNRQVTYSKRRNGIFKKAQELTVLCDAKVSLIMFSSSGKFHEFISPNISTKTFFDLYQKTLGIDLWSSHYEKMQENYRRLKEINNRLRREISKVDQGMYAFSRQRIGEDLDDLNIKELQALEAKMASSLEAVRQRKYHVIKTQTDTYKKKVRNLEQRHANLVLDLEAKLEDGIVENEAYYESSMGLANGASNLYALRLHQNHQVNLLHHGGRFGPNDLRLA >EOX92516 pep chromosome:Theobroma_cacao_20110822:1:7263003:7266059:1 gene:TCM_001458 transcript:EOX92516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene monooxygenase MVCQYLVGGLLASVLGLVLMYKKIHNSFSSKNVQKENCLMSFDKGITHDSEIGESADIIIVGAGVAGSALAYTLGKDGRRVKVIERDLTEPDRIVGELLQPGGYLKLMELGLEDCVNEIDAQRVFGYALFKDGKSTKLSYPLQHFESDVAGRSFHNGRFIQRMREKASTLPNVKLEQGTVTSLIAENGTVKGVQYKTKNGQELIAKAPLTVVCDGCFSNLRRSLCNPKVDIPSCFVALVLENCKLPYANHGHVILADPSPILFYPISSTEVRCLVDVPGQKVPSISNGEMSHFLKTVVAPQIPPEVYNAFISAIDNGNIRTMPNRSMPAAPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLHDLNDASALCNYLESFYTLRKPTASTINTLAGALYKVFSGSSDPARREMRQACFDYLSLGGGFSNGPIALLSGMNPRPVNLILHFFAVAIYGVGRLLLPFPSFKRLLLGARLISGASSIIFPILKAEGVRQVFFPVTIPAYYRVPPVNL >EOX92033 pep chromosome:Theobroma_cacao_20110822:1:4992850:4995297:-1 gene:TCM_001054 transcript:EOX92033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MMSLLPSLFLLLVTMAAAIPLRPGFYSETCPPAEVIVRDVMRKAMIREPRSVASVMRFQFHDCFVNGCDASLLLDDTPNMTGEKLALSNINSLRSFEVIDEVKEALEKACPGTVSCADIVIMAARDAVALTGGPDWIVRLGRKDSLTASQEDSDNIMPSPRANASFLIDLFSQFNLSVKDLVALSGSHSIGEGRCFSIMFRLYNQSGSGKPDPAIEPRFREKLDKLCPLGGDENVTGDLDATPRLFDNQYFKDLVAGRGFLNSDQTLFTFPQTRKYVKLFSEDQNAFFNAFVEGMLKMGDLQSGRPGEVRTNCRVANSRPVDV >EOX96554 pep chromosome:Theobroma_cacao_20110822:1:38229341:38230701:-1 gene:TCM_005784 transcript:EOX96554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding ribosomal protein family protein MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >EOX94106 pep chromosome:Theobroma_cacao_20110822:1:21590206:21599332:1 gene:TCM_003298 transcript:EOX94106 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MLPRHGRPPLTRSVGRGRGLSQRHQLDTVEEESAASTIRAAPAAEQADSPPHPPSPQPPTGIPAMPTEAAQALAAFFAAMVGQAQTGQVPPVVPPATPLVPPPVQDVSISKKLKEARQLGCVSFTGELDATVAKDWINQVSETLSDMGLDDDMKLMVATRLLKKRARTWWNSVKSRSATPQTWSDFLKEFDEEYETRFNELMLYVPNLVKSEQDQASYFEEGLRNEIRERMIVTGREPYKEVVQMALRAEKLAIENRRIRTEFAKMRNPNMSSSQPRPSRFSRSAMTGFGKSSGGSDRCRNCGNYHSGLYRGPTRCFQCGQTGHIRSNCPQLGRATVVASSPPARTNMQRRDSSRLPPRQGVAIRPDVESNTPSHPPLRPQTIPRQESYVSTTFASIADRNLSPLEGEIVVHTPLGEQLIRNTCYRDCGVRVGEEEFRGDLIPLEILDFDLILGIDWLTAHRANVDCFQKKVVLRNSKGAEIVFVGERRVLPSCVISAIKASKLVQKRYPAYLAYVIDTSKGEHKLEDVPIVSEFPDVFLDDLPGLPLDRELEFPIDLLPSIAPISIPPYRMALAELKELKVQLQDLVDKGFIRPSISPWGAPVLFVKKKDGTLRLCIDYHQLNRVTIKNKYPLPRIDDLFHQLRGAMVLSKIDLRSGYYQLRIKEQDVPKTAFRTRYGHYEFLVMPFGLTNAPAVFMDLMNRVFHPYLDKFVIVFIDDILVYSKNDDEHAAHLRIVLQTLRERQLYAKFSKCEFWLKEVVFLGHVVSGAGIYDEKVIAYAFRQLKKHETNYPTHDLELAAVVFALKIWRHYLYADALSRKSSSSLATLRSSYFSMLLEMKSLGIQLNNVQKLQDGEASEFRLSDDGTLMLRDRICVPKDDQLRRAILEEAHSSAYALHPRSTKMYRTIKKSTLQPLPIPEWKWEHVTMDFVLGLPRTQSGKDAIWVIVDRLTKFTHFLAIHSTYSIERLASLYIDEVVRLHGVPVSIVSDRDPRFTSRFWSWDKHLPLVEFAYNNSFQSNIEMAPYEALYGRKCRTSLCWDEVGERKLVIVELIDLTNDKVKVIRERLKIAQDRQNNYSDKRRKDLEFEVNDKVFLKVSPWKVSEDEFDFILGELVKE >EOX93371 pep chromosome:Theobroma_cacao_20110822:1:12497815:12499503:1 gene:TCM_002223 transcript:EOX93371 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein, putative MERLPQEIVVDILSRLPIPSLVQSKSVCRAWRSLIRDLVLVNKHIWRMIQNDPSFILQSYNPMQNQLYFGDFSSQNDGNVIMKKLAIPPLPKFHVVGSCNGLLCLHTSQQSFEICIYNPFTRDYTELPKLTEHPRYNVGVRGFGFDLTTKEYKVVKISYQIRTCGGSFPRIARSTNSIIRAPVTSPDPIEAVVHILTLGSPTWRNLGTVPFHLMTSQQSQVLINGKLHWVAYPNRNEKNNPIISFDLATEQFQEVPRPDCISLSGRRFEQLVALRGCLSSASYHDDNERLEIWVMKEYNVKESWSKEFSIGAYVPPILQQDDTFNNSRFYMYKKCMRVLWQLRSGEILFECRNKALVLYDPHCRTFKDLHLTFEGISKYFKVVVHVASLNWINTFINT >EOX91679 pep chromosome:Theobroma_cacao_20110822:1:3518324:3523339:1 gene:TCM_000793 transcript:EOX91679 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit RPB1 isoform 3 MKPWGNLGVVETIYEEEYEYSSNSPSLSPSVLSSPPTPLHSRVEAWSSAMGRKTDVLIHVHGTAFHLHKDPLSSRSTYLRRQLTEQSELTLSPPLNITAETFSLVAEFCYGTHLLVTPFNVASLLLASELLGMTETKGDGDQNLKQITEGYFRRFVAVNGEYAAIVFRSCLALLPEAETTAFLVSRCVEVMNSSEDGDGVDVYFDDVVSLHAEDFKIVAESMHQRFEYHDLLYRIVDFYLEEHNGKITEEQKTQICNSIDCNKLSPQLLLHAVQNPRMPLRFVVRAMLVEQLNTRRSIFSAANHYSSRPHRPARDNITLGAILQRDATMRETAQLKAAMDATSSRIQTLEKQLHCMKKILQDSDHNGGVGAGSRDVLGSGRSASFHYGSGNTIERADRGASSSASFRFSRPEDKAFGSSSSENSCVDSPRIKKNIGQRLIEGLKSALRVPNSSTKNGSAKKISSKGENGTLYFNQ >EOX91681 pep chromosome:Theobroma_cacao_20110822:1:3519580:3523294:1 gene:TCM_000793 transcript:EOX91681 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit RPB1 isoform 3 MSMEQLFTFTRSTYLRRQLTEQSELTLSPPLNITAETFSLVAEFCYGTHLLVTPFNVASLLLASELLGMTETKGDGDQNLKQITEGYFRRFVAVNGEYAAIVFRSCLALLPEAETTAFLVSRCVEVMNSSEDGDGVDVYFDDVVSLHAEDFKIVAESMHQRFEYHDLLYRIVDFYLEEHNGKITEEQKTQICNSIDCNKLSPQLLLHAVQNPRMPLRFVVRAMLVEQLNTRRSIFSAANHYSSRPHRPARDNITLGAILQRDATMRETAQLKAAMDATSSRIQTLEKQLHCMKKILQDSDHNGGVGAGSRDVLGSGRSASFHYGSGNTIERADRGASSSASFRFSRPEDKAFGSSSSENSCVDSPRIKKNIGQRLIEGLKSALRVPNSSTKNGSAKKISSKGENGTLYFNQ >EOX91680 pep chromosome:Theobroma_cacao_20110822:1:3519580:3523294:1 gene:TCM_000793 transcript:EOX91680 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit RPB1 isoform 3 MKPWGNLGVVETIYEEEYEYSSNSPSLSPSVLSSPPTPLHSRVEAWSSAMGRKTDVLIHVHGTAFHLHKDPLSSRSTYLRRQLTEQSELTLSPPLNITAETFSLVAEFCYGTHLLVTPFNVASLLLASELLGMTETKGDGDQNLKQITEGYFRRFVAVNGEYAAIVFRSCLALLPEAETTAFLVSRCVEVMNSSEDGDGVDVYFDDVVSLHAEDFKIVAESMHQRFEYHDLLYRIVDFYLEEHNGKITEEQKTQICNSIDCNKLSPQLLLHAVQNPRMPLRFVVRAMLVEQLNTRRSIFSAANHYSSRPHRPARDNITLGAILQRDATMRETAQLKAAMDATSSRIQTLEKQLHCMKKILQDSDHNGGVGAGSRDVLGSGRSASFHYGSGNTIERADRGASSSASFRFSRPEDKAFGSSSSENSCVDSPRIKKNIGQRLIEGLKSALRVPNSSTKNGSAKKISSKGENGTLYFNQ >EOX94158 pep chromosome:Theobroma_cacao_20110822:1:24069084:24089717:-1 gene:TCM_003521 transcript:EOX94158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQQKTIVAEGQSTNRPPLFDGSNYPYWSTRMSIYIRAIDYEMWDVIIDGPFMPLTMNVVTNELMPKLRSEWTEVETKKVQINFKAINTLHCALTPTEFNKVSSCTTAKQVWEKLRIIHEGTSQVNESKIALLTHSYEMFKMEPGEDITSMFDREAKDLNIITLDEICGSFLTHELELKEEEEEDRREAKEKKKSIALKASILEEELEELSCDDDEDLALVTRKFQKLMSRRNRRLTRKGFRKDQGASWKIRNKNDSNKKEEMICYECKKPGHFKSECPLLKDETPKKNKRSKKAMVATAWSDSDTSSSETDDEKFEERANIYLMAQEDESEVSSSPCINSYDDLQDGYECIYDEFEKLFSKYKSLKKNATLLENDLEQIKQEFTSVFEQRNILQVELEHSKTDFEFLIQELENKSEALQIALDENTALKCLKNESSKRDAYHIKYLAKALPRCHNCGKHGHLSYDCFKKRKVQKVELDLKETCTRAQLKKKQPWYLDSGCSRHMTRHEMLFAQLDKRKGGTVSFGDDSKGRIHGIGKRLKNMYVIFLEGLKVNSEVCLVANAENDSWLWHRRLGHVSMHTMSKLIKKNLVVGLPDLKFEKGRICDACQLGKQVRTSFKTKKIVTTSRPLELLHIDLFGPISTTSLEGKSYGFVIVYDYSRYTWVYFFAHKNDALQAFLSYCKKVENEKGLAIVSIKSDHGGEFENDEFEKFCNEKGLDHNFLAPRTPQQNGVVESKNRTLKEMAMTMLCEKTLPKYLWVEAVNTTAYILNRVLIRPLISKTPYELYKALCKNFAKEMQGEFEMSMMGELKYFLGLQIKQREEGIFINQERYTYDILKKFDMLKLKSISTLISPSTKLDLDEKGKNVDQKLYRGIIDFLFYLTASRLDIQFCVCLCARFQSQPKESHLTAVKRIFRYLIDTQEQGIWYSRNSTLSLVGYSDSDFAGSRIDRKSTSGTCQFLGRMLVSWSSKKQNSVALSATEAEYVSLGSCCAQILWIKQQLKDYEITMHNVSIYCDNTSAINISKNSVQHSKTKHIEIRHHFIRDHVVKNDIKIDFVNTLYQLVDIFTKPLSEDRFCEIRRNLGMVNVKEL >EOX92700 pep chromosome:Theobroma_cacao_20110822:1:8167943:8175933:1 gene:TCM_001604 transcript:EOX92700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGMGISTSKMDSSPLLRLCRERKELIGAAADCRYQLAAAHIVYLQSLLDVGNALNHFVEEEMLVLSDCSFESSEENNSDAELISLTDNTHDHSEASGCSPLNLRTSPSATFPASHEQSGKLDVFSSRFQYGHGESFGTSTSVSPSYVRYDQSNNQVHSQPGDVSFSHYGCKVIAGAAIEASMNNNVSYPQDENWVASGSPVLESPQSFPQFENEVEQADPYHERTKSVTPAPSTSPINNIVDWYYLNPFKIIDDINYYSQNYCFSEFNSDQDFREVREREGIPDLEDETVPNSVDVSRGEESAEAIRESSGTGTGTSQAPISQEKEKSDPITIQEDVRYRLDTLAVNITEDKNITRDGLRMQSEDTVTQDGESLESSSLTTLDDCVSKNITEIVKEIGDIFETVFNYGKEVAVFFEAGKLPYQPMGAKLKEVASRIFGFTSLQLNQLAPRTMMMDKAINGDVEDISRKFGDLSSTLEQLFAWEKKLYAEVLGEEKLRVIYDKKYNQQKVLDDKGAEYSKIDDIHVSLRRLLSKINVAVTAVDVISRRIHRLRDEDLLAQLKELIEGLLRMWDFMVKCHRKQFQTIIGTKAYVHMANADSRKSSNMKATQRLEKEMLNWGSSFRDYVSTQKAFVKFLNEWLLRCIFQEPEATPDGIPTFSPSSIRAPPVFIICSDWYYAIEKVSDNGVYEAIHSFATSLHQLWEKQNEEQHERQKAMHLSKDFEKLLRIIHEESGLQWHQDALLNHLAASEFPAESVAQAGGLDIDLVSMNKRLEEQKARHEEVVRQLNDAASSCLQDGLVPIFEALEKFCWENLKAYEQIRFLNRQ >EOX95680 pep chromosome:Theobroma_cacao_20110822:1:35183317:35188800:-1 gene:TCM_005126 transcript:EOX95680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 11 isoform 2 MAHVEGNPRGSSIAHAESGLGGDDLYPELWKLCAGPVVEIPRVQERVFYFAQGHMEQLEASTNQELNHQAPLFNLHSKILCRVLHVQLMAEQETDEVYAQITLQPEADQSEPTSPDPCPTEAPKRTVNSFCKILTASDTSTHGGFSVLRKHATDCLPPLDMSQATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLARQQSTMPSSVISSQSMHLGVLATAAHAVTTHTLFVVYYKPRTSQFIIGVNKYLEAIKNGFSVGMRFKMRFEGEDSPERRFTGTIVGVGDISPHWSESKWRSLKIQWDEPATIQRPERVSPWEIEPFVPSASINLVQPAVKSKRPRPVDIPASEITTNSAGSAFWCRGSTQSHELTQVGSTVEVQSSETQVMWPIRQKEIDKCLVNSSGGYNSRTRPENVWPPPHVNVSLNLFRDSMDDNNKTGTPRTVLTGYASSVQSRPSNGLMLDQVEKAKKSETSTSCRLFGFNLTDSSSAAGPLDKEQTSTTVDYNGVKGSTLAASDVDQNPETSKPSKEQKLVASETSTKEMQGKLGAATSMRTRTKVEFCKMVRKIFIYSSEEVKKMSARCKFPASSLEGEGTVVSLDSEHRSET >EOX95679 pep chromosome:Theobroma_cacao_20110822:1:35180465:35189021:-1 gene:TCM_005126 transcript:EOX95679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 11 isoform 2 MNSYITVVSKTFVCFLAIVFLVLVLLLSLHRRYDLVWMAHVEGNPRGSSIAHAESGLGGDDLYPELWKLCAGPVVEIPRVQERVFYFAQGHMEQLEASTNQELNHQAPLFNLHSKILCRVLHVQLMAEQETDEVYAQITLQPEADQSEPTSPDPCPTEAPKRTVNSFCKILTASDTSTHGGFSVLRKHATDCLPPLDMSQATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLARQQSTMPSSVISSQSMHLGVLATAAHAVTTHTLFVVYYKPRTSQFIIGVNKYLEAIKNGFSVGMRFKMRFEGEDSPERRFTGTIVGVGDISPHWSESKWRSLKIQWDEPATIQRPERVSPWEIEPFVPSASINLVQPAVKSKRPRPVDIPASEITTNSAGSAFWCRGSTQSHELTQVGSTVEVQSSETQVMWPIRQKEIDKCLVNSSGGYNSRTRPENVWPPPHVNVSLNLFRDSMDDNNKTGTPRTVLTGYASSVQSRPSNGLMLDQVEKAKKSETSTSCRLFGFNLTDSSSAAGPLDKEQTSTTVDYNGVKGSTLAASDVDQNPETSKPSKEQKLVASETSTKEMQGKLGAATSMRTRTKVHMQGIAVGRAVDLTVLKGYDDLINELEKMFDIKGELHPRGKWAVVFTDDEGDMMLVGDDPWTEFCKMVRKIFIYSSEEVKKMSARCKFPASSLEGEGTVVSLDSEHREPKHLWIPFTCEADFTRYRRRRKAMGLCRARAKRRKSLFAKEVLWRKNGCARKTGADAGMGARGNERMGSRARWVSCPVPFAKIFKMRRGKLGQGVVFMEILLLLKANCGRQKCDFLLGWWKIHLILTFKIWDDHHSSSPQRFNFPTLFYYFLRNKVYIQSLGEKLRRQPPVIIIYRENYSSFF >EOX90787 pep chromosome:Theobroma_cacao_20110822:1:633412:636658:-1 gene:TCM_000160 transcript:EOX90787 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative isoform 1 MALSLLSFILVAEEGLCIIREHKLHEKMIFLSQSQAPSSCRAIIFSFLVALCCHGNEAALVLPNNETVPAVLVFGDSIVDPGNNNNLNTIAKSNFPPYGRDFEGGPTGRFSNGKVPSDLIAEEFGVKELVPAYLDPSLQLEDLLTGVSFASGAAGYDPIAAKISNVLSTSDQLDLFEQYKTKIKSAVGEERAATIISKAIYIVVFGSNDVANIYFGTPIRRTHYDFNSYTDFTVSYASKFLQELYGLGARRIGVLGLPPIGCVPSQRTLGGGPNRDCYKGENQLASLYNAKLSGMIDSLRTSLNLPGTKLIFLDIYYPLLSLIQNAAKYGFEVTNKGCCGTGLIEASILCNPLSIPKSCPDASKYVFWDGYHPSDKAYKILVPIILNMHLNEFF >EOX90788 pep chromosome:Theobroma_cacao_20110822:1:633095:636063:-1 gene:TCM_000160 transcript:EOX90788 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative isoform 1 MSLPSFINSEEGLCIIREHKLHEKMIFLSQSQAPSSCRAIIFSFLVALCCHGNEAALVLPNNETVPAVLVFGDSIVDPGNNNNLNTIAKSNFPPYGRDFEGGPTGRFSNGKVPSDLIAEEFGVKELVPAYLDPSLQLEDLLTGVSFASGAAGYDPIAAKISNVLSTSDQLDLFEQYKTKIKSAVGEERAATIISKAIYIVVFGSNDVANIYFGTPIRRTHYDFNSYTDFTVSYASKFLQELYGLGARRIGVLGLPPIGCVPSQRTLGGGPNRDCYKGENQLASLYNAKLSGMIDSLRTSLNLPGTKLIFLDIYYPLLSLIQNAAKYGFEVTNKGCCGTGLIEASILCNPLSIPKSCPDASKYVFWDGYHPSDKAYKILVPIILNMHLNEFF >EOX95104 pep chromosome:Theobroma_cacao_20110822:1:32880954:32888545:-1 gene:TCM_004669 transcript:EOX95104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin gamma complex-associated protein isoform 2 MLHELLLALVGYTGDLIIDEREHHKSLGLHLSPDAPISDQRSFKLASDISFIDSSERDLIERLITLGFYYRELDRFATKSRNLSWIRSADVSPLERAAGLSKPKTEKPSVYRRAIANGLVEILSVYRSAVLQIEQKLLSETMPILATVTQGLNKFFVILPPLYELILEIERDDIRGGQLLNLLHKRCHCGVPELQACIQRLLWHGHQVLYNQLASWMVYGILQDQHGEFFIRRQEDRDVDYGSSISDMSEKLARLSTDDSSLTDWHLGFHIFLDMLPEYIPMHVAESILFAGKAVRVLRNPSPAFQFQDALRNQQIKKGSQKVQGSSGRVPFHKEPFLDIKMIGEELLPQSEADKIETMLQDLKESSEFHKRSFECSVDSIRAVAASHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRHLMRLPPRQSTAEADLMVPFQLAAIKTISEEDKYFSLVSLRMPSFGITVKSSQVDLPNTKTYTDGSSGAVLSSTSSETSLDGWDGIALEYSVDWPLQLFFTQEVLSKYRRIFQYLLRLKRTQMELEKSWASVMHQDHTDFAKHRNDRMNCSISQPRRQRCRPMWHVREHMAFLIRNLQFYIQVDVIESQWNVLQSHIQDSHDFTELVGFHQEYLSALISQSFLDIGSVSRILDSIMTVCLQFCWNIENQESSQNTSELERITEEFNKKSNSLYTILRSSRLAGSQRAPFLRRFLLRMNFNSFFEATARGVLNVVRPRPSLPVLNQR >EOX95105 pep chromosome:Theobroma_cacao_20110822:1:32881107:32888201:-1 gene:TCM_004669 transcript:EOX95105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin gamma complex-associated protein isoform 2 MLHELLLALVGYTGDLIIDEREHHKSLGLHLSPDAPISDQRSFKLASDISFIDSSERDLIERLITLGFYYRELDRFATKSRNLSWIRSADVSPLERAAGLSKPKTEKPSVYRRAIANGLVEILSVYRSAVLQIEQKLLSETMPILATVTQGLNKFFVILPPLYELILEIERDDIRGGQLLNLLHKRCHCGVPELQACIQRLLWHGHQVLYNQLASWMVYGILQDQHGEFFIRRQEDRDVDYGSSISDMSEKLARLSTDDSSLTDWHLGFHIFLDMLPEYIPMHVAESILFAGKAVRVLRNPSPAFQFQDALRNQQIKKGSQKVQGSSGRVPFHKEPFLDIKMIGEELLPQSEADKIETMLQDLKESSEFHKRSFECSVDSIRAVAASHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRHLMRLPPRQSTAEADLMVPFQLAAIKTISEEDKYFSLVSLRMPSFGITVKSSQVDLPNTKTYTDGSSGAVLSSTSSETSLDGWDGIALEYSVDWPLQLFFTQEVLSKYRRIFQYLLRLKRTQMELEKSWASVMHQDHTDFAKHRNDRMNCSISQPRRQRCRPMWHVREHMAFLIRNLQFYIQVDVIESQWNVLQSHIQDSHDFTELVGFHQE >EOX95903 pep chromosome:Theobroma_cacao_20110822:1:35957011:35958301:1 gene:TCM_005292 transcript:EOX95903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative MGFPVGYTEVFLPKLFVHTLSFLGFIRSLIFALFNYLGLSDFLETDTVWPENPTRTTPENPPVSALLIREILPVIKFEELVVVGDPPESCAVCLYEFEGGEEIRWLRNCRHVFHRACLDRWMDHDQKTCPLCRTPFVPDELQDEFNQRLWAASGVGDFYSEYSSVPGL >EOX92683 pep chromosome:Theobroma_cacao_20110822:1:8091123:8092828:-1 gene:TCM_001593 transcript:EOX92683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVVCSSSILSSSVFKEFGKAYLFFLGRFSRKWFSFSWKGKIGSARGAPVQCDSICLPESEGVLEPKIPLIGILHAR >EOX94448 pep chromosome:Theobroma_cacao_20110822:1:28727872:28740928:1 gene:TCM_004030 transcript:EOX94448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosome 1 protein, putative isoform 1 MERKQKKEQKEEAEKHFRLQDELKSLKKEHYLWQLLNIEKDIDKITEELNSEKRNREDVMRELEHFETEAAKKKKEQAKYLKEIAHCEKKISERSIRLDKSQPELLKLNEEMSRINSKIKSSRKELERKKEERRKHTNDIKELQKGIQDLTAKLEDLNEKSRDGTGKLPLLDSQLTEYFQIKEDAGMKTAKLRDEKEVLDRQQHADIEAQKNLEENLQQLSNREHELEAQEDQMRARLKKILDTSAKQKDELADLKKELREMQDRHQNARSKHENLKSKIGEIENQLRELKADRYENERDARLSQAVETLKRLFQGVHGRMTDLCRPTQKKYNLAITVAMGRFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPVIERLRTLGGTAKLIFDVIQFDPALEKAVLFAVGNALVCDDLEEAKVLSWTGERFKVVTVDGILLTKSGTMTGGTSGGMEARSNKWDDKKIEGLKRKKEQFESELEELGSIREMQLKESETSGRISGLEKKIQYANIEKKSIEDKLKNLKQEKQNIKKEIGLITPEFRKLKDLIDKRSTDIRKLEKRINEIVDRLFKNFSQSVGVANIREYEENQLKAAQNMAEERLSLSNQLAKLKYQLEYEHKRDVESRIKKLESSLSSLENDLKLVQKKEAEVKVATEKASDEINRWKEEVKEWKLKSEECEKEIQEWKKQASAATTSISKLNRQLNSKETQITQLDERKQEITEKCDLERIELPLISDPMETESSTGKEFDFSQLNRSLLQDRRPSDREKLEAEFKQKIDALVSEIERTAPNLKALDQYKTLQEKERDVTEEFEAARKEEKRVADEYNSVKQRRYELFMEAFNHISSNIDRIYKQLTKSGTHPLGGTAYLNLENEDDPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFSIHSYKPSPFFILDEVDAALDNLNVAKVAGFIRSKSCDGARASQDSDGGSGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTKYRES >EOX94449 pep chromosome:Theobroma_cacao_20110822:1:28727872:28740928:1 gene:TCM_004030 transcript:EOX94449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosome 1 protein, putative isoform 1 MERKQKKEQKEEAEKHFRLQDELKSLKKEHYLWQLLNIEKDIDKITEELNSEKRNREDVMRELEHFETEAAKKKKEQAKYLKEIAHCEKKISERSIRLDKSQPELLKLNEEMSRINSKIKSSRKELERKKEERRKHTNDIKELQKGIQDLTAKLEDLNEKSRDGTGKLPLLDSQLTEYFQIKEDAGMKTAKLRDEKEVLDRQQHADIEAQKNLEENLQQLSNREHELEAQEDQMRARLKKILDTSAKQKDELADLKKELREMQDRHQNARSKHENLKSKIGEIENQLRELKADRYENERDARLSQAVETLKRLFQGVHGRMTDLCRPTQKKYNLAITVAMGRFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPVIERLRTLGGTAKLIFDVIQFDPALEKAVLFAVGNALVCDDLEEAKVLSWTGERFKVVTVDGILLTKSGTMTGGTSGGMEARSNKWDDKKIEGLKRKKEQFESELEELGSIREMQLKESETSGRISGLEKKIQYANIEKKSIEDKLKNLKQEKQNIKKEIGLITPEFRKLKDLIDKRSTDIRKLEKRINEIVDRLFKNFSQSVGVANIREYEENQLKAAQNMAEERLSLSNQLAKLKYQLEYEHKRDVESRIKKLESSLSSLENDLKLVQKKEAEVKVATEKASDEINRWKEEVKEWKLKSEECEKEIQEWKKQASAATTSISKLNRQLNSKETQITQLDERKQEITEKCDLERIELPLISDPMETESSTGKEFDFSQLNRSLLQDRRPSDREKLEAEFKQKIDALVSEIERTAPNLKALDQYKTLQEKERDVTEEFEAARKEEKRVADEYNSI >EOX94446 pep chromosome:Theobroma_cacao_20110822:1:28726833:28741113:1 gene:TCM_004030 transcript:EOX94446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosome 1 protein, putative isoform 1 MPSLTSPGKILRLELENFKSYKGLQSIGPFSDFTAIIGPNGAGKSNLMDAISFVLGVRTGQLRGAQLKDLIYAYDDREKEQRGRRAFVRLVYQLAGGSELCFTRTITPAGISEYRIDGSVVNWDDYNGKLRSLGILVKARNFLVFQGDVESIASKNPKELTGLLEQISGSEELKRDYEDLEEQKARAEEKSALIYQRKRTIVMERKQKKEQKEEAEKHFRLQDELKSLKKEHYLWQLLNIEKDIDKITEELNSEKRNREDVMRELEHFETEAAKKKKEQAKYLKEIAHCEKKISERSIRLDKSQPELLKLNEEMSRINSKIKSSRKELERKKEERRKHTNDIKELQKGIQDLTAKLEDLNEKSRDGTGKLPLLDSQLTEYFQIKEDAGMKTAKLRDEKEVLDRQQHADIEAQKNLEENLQQLSNREHELEAQEDQMRARLKKILDTSAKQKDELADLKKELREMQDRHQNARSKHENLKSKIGEIENQLRELKADRYENERDARLSQAVETLKRLFQGVHGRMTDLCRPTQKKYNLAITVAMGRFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPVIERLRTLGGTAKLIFDKAVLFAVGNALVCDDLEEAKVLSWTGERFKVVTVDGILLTKSGTMTGGTSGGMEARSNKWDDKKIEGLKRKKEQFESELEELGSIREMQLKESETSGRISGLEKKIQYANIEKKSIEDKLKNLKQEKQNIKKEIGLITPEFRKLKDLIDKRSTDIRKLEKRINEIVDRLFKNFSQSVGVANIREYEENQLKAAQNMAEERLSLSNQLAKLKYQLEYEHKRDVESRIKKLESSLSSLENDLKLVQKKEAEVKVATEKASDEINRWKEEVKEWKLKSEECEKEIQEWKKQASAATTSISKLNRQLNSKETQITQLDERKQEITEKCDLERIELPLISDPMETESSTGKEFDFSQLNRSLLQDRRPSDREKLEAEFKQKIDALVSEIERTAPNLKALDQYKTLQEKERDVTEEFEAARKEEKRVADEYNSVKQRRYELFMEAFNHISSNIDRIYKQLTKSGTHPLGGTAYLNLENEDDPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFSIHSYKPSPFFILDEVDAALDNLNVAKVAGFIRSKSCDGARASQDSDGGSGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTKYRES >EOX94450 pep chromosome:Theobroma_cacao_20110822:1:28728042:28739718:1 gene:TCM_004030 transcript:EOX94450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosome 1 protein, putative isoform 1 MERKQKKEQKEEAEKHFRLQDELKSLKKEHYLWQLLNIEKDIDKITEELNSEKRNREDVMRELEHFETEAAKKKKEQAKYLKEIAHCEKKISERSIRLDKSQPELLKLNEEMSRINSKIKSSRKELERKKEERRKHTNDIKELQKGIQDLTAKLEDLNEKSRDGTGKLPLLDSQLTEYFQIKEDAGMKTAKLRDEKEVLDRQQHADIEAQKNLEENLQQLSNREHELEAQEDQMRARLKKILDTSAKQKDELADLKKELREMQDRHQNARSKHENLKSKIGEIENQLRELKADRYENERDARLSQAVETLKRLFQGVHGRMTDLCRPTQKKYNLAITVAMGRFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPVIERLRTLGGTAKLIFDVIQFDPALEKAVLFAVGNALVCDDLEEAKVLSWTGERFKVVTVDGILLTKSGTMTGGTSGGMEARSNKWDDKKIEGLKRKKEQFESELEELGSIREMQLKESETSGRISGLEKKIQYANIEKKSIEDKLKNLKQEKQNIKKEIGLITPEFRKLKDLIDKRSTDIRKLEKRINEIVDRLFKNFSQSVGVANIREYEENQLKAAQNMAEERLSLSNQLAKLKYQLEYEHKRDVESRIKKLESSLSSLENDLKLVQKKEAEVKVATEKASDEINRWKEEVKEWKLKSEECEKEIQEWKKQASAATTSISKLNRQLNSKETQITQLDERKQEITEKCDLERIELPLISDPMETESSTGKEFDFSQLNRSLLQDRRPSDREKLEAEFKQKIDALVSEIERTAPNLKALDQYKTLQEKERDVTEEFEAARKEEKRVADEYNSVKQRRYELFMEAFNHISSNIDRIYKQLTKSGTHPLGGTAYLNLENEDDPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFSIHR >EOX94447 pep chromosome:Theobroma_cacao_20110822:1:28727149:28740928:1 gene:TCM_004030 transcript:EOX94447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosome 1 protein, putative isoform 1 MPSLTSPGKILRLELENFKSYKGLQSIGPFSDFTAIIGPNGAGKSNLMDAISFVLGVRTGQLRGAQLKDLIYAYDDREKEQRGRRAFVRLVYQLAGGSELCFTRTITPAGISEYRIDGSVVNWDDYNGKLRSLGILVKARNFLVFQGDVESIASKNPKELTGLLEQISGSEELKRDYEDLEEQKARAEEKSALIYQRKRTIVMERKQKKEQKEEAEKHFRLQDELKSLKKEHYLWQLLNIEKDIDKITEELNSEKRNREDVMRELEHFETEAAKKKKEQAKYLKEIAHCEKKISERSIRLDKSQPELLKLNEEMSRINSKIKSSRKELERKKEERRKHTNDIKELQKGIQDLTAKLEDLNEKSRDGTGKLPLLDSQLTEYFQIKEDAGMKTAKLRDEKEVLDRQQHADIEAQKNLEENLQQLSNREHELEAQEDQMRARLKKILDTSAKQKDELADLKKELREMQDRHQNARSKHENLKSKIGEIENQLRELKADRYENERDARLSQAVETLKRLFQGVHGRMTDLCRPTQKKYNLAITVAMGRFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPVIERLRTLGGTAKLIFDVIQFDPALEKAVLFAVGNALVCDDLEEAKVLSWTGERFKVVTVDGILLTKSGTMTGGTSGGMEARSNKWDDKKIEGLKRKKEQFESELEELGSIREMQLKESETSGRISGLEKKIQYANIEKKSIEDKLKNLKQEKQNIKKEIGLITPEFRKLKDLIDKRSTDIRKLEKRINEIVDRLFKNFSQSVGVANIREYEENQLKAAQNMAEERLSLSNQLAKLKYQLEYEHKRDVESRIKKLESSLSSLENDLKLVQKKEAEVKVATEKASDEINRWKEEVKEWKLKSEECEKEIQEWKKQASAATTSISKLNRQLNSKETQITQLDERKQEITEKCDLERIELPLISDPMETESSTGKEFDFSQLNRSLLQDRRPSDREKLEAEFKQKIDALVSEIERTAPNLKALDQYKTLQEKERDVTEEFEAARKEEKRVADEYNSVKQRRYELFMEAFNHISSNIDRIYKQLTKSGTHPLGGTAYLNLENEDDPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFSIHSYKPSPFFILDEVDAALDNLNVAKVAGFIRSKSCDGARASQDSDGGSGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTKYRES >EOX91532 pep chromosome:Theobroma_cacao_20110822:1:3005298:3007947:-1 gene:TCM_000685 transcript:EOX91532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rer1 family protein isoform 2 METRPTGAALGGDDLSQSSRATAMSRWTFEVSRRYQHVLDKTVPHILNRWIGCLAVALIYAVRVYFVQGFYIITYGLGIYLLNLLIGFLSPQVDPEMQDGPTLPTRGSDEFRPFVRRLPEFKFWYSITKAFCISFVMTFFSVFDVPVFWPILLFYWLMLFILTMKKQILHMIKYKYVPFSFGKQRYDGKKSSSTENMNLPRD >EOX91531 pep chromosome:Theobroma_cacao_20110822:1:3005194:3007827:-1 gene:TCM_000685 transcript:EOX91531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rer1 family protein isoform 2 METRPTGAALGGDDLSQSSRATAMSRWTFEVSRRYQHVLDKTVPHILNRWIGCLAVALIYAVRVYFVQGFYIITYGLGIYLLNLLIGFLSPQVDPEMQDGPTLPTRGSDEFRPFVRRLPEFKFWYSITKAFCISFVMTFFSVFDVPVFWPILLFYWLMLFILTMKKQILHMIKYKYVPFSFGKQRYDGKKSSSTENMNLPRD >EOX91533 pep chromosome:Theobroma_cacao_20110822:1:3005040:3007796:-1 gene:TCM_000685 transcript:EOX91533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rer1 family protein isoform 2 METRPTGAALGGDDLSQSSRATAMSRWTFEVSRRYQHVLDKTVPHILNRWIGCLAVALIYAVRVYFVQGFYIITYGLGIYLLNLLIGFLSPQVDPEMQDGPTLPTRGSDEFRPFVRRLPEFKFWYSITKAFCISFVMTFFSVFDVPVFWPILLFYWLMLFILTMKKQILHMIKYKYVPFSFGKQRYDGKKSSSTENMNLPRD >EOX91530 pep chromosome:Theobroma_cacao_20110822:1:3004997:3007955:-1 gene:TCM_000685 transcript:EOX91530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rer1 family protein isoform 2 METRPTGAALGGDDLSQSSRATAMSRWTFEVSRRYQHVLDKTVPHILNRWIGCLAVALIYAVRVYFVQGFYIITYGLGIYLLNLLIGFLSPQVDPEMQDGPTLPTRGSDEFRPFVRRLPEFKFWFAISLFILTHTIRYSITKAFCISFVMTFFSVFDVPVFWPILLFYWLMLFILTMKKQILHMIKYKYVPFSFGKQRYDGKKSSSTENMNLPRD >EOX91296 pep chromosome:Theobroma_cacao_20110822:1:2221130:2222422:1 gene:TCM_000536 transcript:EOX91296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNFRRGVAPVQKTKELRNRVWQHSENINAPNNICRMGKHKHNERNYSPNLRTWGNKKSIAESPRSQNEQSA >EOX90756 pep chromosome:Theobroma_cacao_20110822:1:543956:546635:1 gene:TCM_000135 transcript:EOX90756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 MVKRFQLLGGSETSGHAHSNKQMWGVLIIAIIAVLVGSSLFFFCGRKLSRRWSRKGGLKAEKLRLRRFQLEELEKATRNFSADCLLGSGAFGNVYKGIFEAEGTLAIKRAHAESYQSVEEFRNEVRLLSTVNHPNLIGLVGYCEEPGPKGAKVLVYEYVPHGSLLEYIMGRGGRNLTWRQRVNIAIGAAKGIAHLHDGIRPSIIHRDIKPSNILIGDGFEPKVSDFGLVKLGPVGDQSHVSSQIKGTPGYLDPAYCTSFHLSPFSDVYSFGVILLQLVCARPAVDSTRNQPNYHIIDWARPSIERGSIEEILDASLLSEPCNMEMMLKMGELGLRCVVKLPKDRPTMTQVFQELEDTLYSVDNSINKQPWRSSRRTIFGMFSRPTEQGHRRSLDQDYSQSFVSIDGVGFQKFRVEMDSVSFQSTSLRCFEINSVSVDVDKNNLRGISDETGRERDRLDK >EOX95223 pep chromosome:Theobroma_cacao_20110822:1:33380835:33383187:1 gene:TCM_004773 transcript:EOX95223 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: 3'-5' exonuclease domain-containing protein / K homology domain-containing protein / KH domain-containing protein (TAIR:AT2G25910.2); Has 131 Blast hits to 125 proteins in 54 species: Archae - 0; Bacteria /.../Metazoa - 12; Fungi - 12; Plants - 41; Viruses - 0; Other Eukaryotes - 16 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G25920) TAIR;Acc:AT2G25920] MGPESSSATTTSTSSPSAKRSRDPEDEVYLDNLHSHKRYLSEIMASSLNGLTVGDPLPENLMESPARAEGMFYPRDEMSWQYSPMSEDSDDSRFCETPMNTCLSHSDSLPTSPVSPYRYQRPLNGFCSPPSTSSYPSHGNVSAVASSQPRQRGSDTEGRFPSSPSDICHSADLRRAALLRSVQMRAQPSAPSSFELPFGSGQENVPNIEVEERPCSYMKSLVDDREYQIEECSSLGISEPEFSQDDSCRVSNMNLKGDESGD >EOX95435 pep chromosome:Theobroma_cacao_20110822:1:34198326:34207749:1 gene:TCM_004934 transcript:EOX95435 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family, putative MKNNLILLLILYRLNMIRGKRLSYPSLSIAHTSYHFTLFHQMIAVRFLMVFSVLYSLLFSLLRPQCAVSLGMITLDKTFADRDELNEKIVRAIDEAAEKWGPKCLKYEIWDISPPSGVERAMKMQAEAERKKRAQILELEGERQANINMADGTKSSVILAFEAARMDQINRADGEAEAILAKANATAKGIQIVSQAIQESGGIEIVSLRVADKYIDAFRKLA >EOX94953 pep chromosome:Theobroma_cacao_20110822:1:32184566:32185775:1 gene:TCM_004546 transcript:EOX94953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNIACKNYELYIDEYVLGAHHKDKRRYTGMLFGAYGGSFLSEQRLGRVGYLVGSHLGSWVGGRIGLMVYDVVNGVHYLLQFVQMEKNENHEALVYEKSKVSEDSYVYENSDIYESPPDESSESEESWGRPARRAKKLN >EOX94635 pep chromosome:Theobroma_cacao_20110822:1:30638613:30641680:-1 gene:TCM_004270 transcript:EOX94635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDALKTVEFVKNQTNIKAVERTGGQKQAAGTLSKEDKEELPELCFESDAEVDTMLYGRTSTFLEDVKASLKLKELKNKVMEERGILMFVRAMGVVHFEIYGGMVRELTIHHVSGLRQNLLSLSLLEEVTYYEFSGEGCTHVGDLEVSPWLRPSLACSAGMVDSSYACPSWVASNGGSTLGLPNCGIESIVCLGKGKHERFYMGCAVENYTVRKTLVQQSCGMECWSLVLSMGRVQWLSELLVVGWVSLVDITIVFWFKHVVFVDMGHKQVAFGKEQSKNGARCDMVIWQGEFRLRLKLGSFSWSMVTSWWMAGIEEFLSRRDWLKKMQAKVEICWVFCLDS >EOX93713 pep chromosome:Theobroma_cacao_20110822:1:15374073:15376938:1 gene:TCM_002640 transcript:EOX93713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane HRF1 family protein MHDNRGPLPGVSGPLLNPPSSPFGNSFYGAGSGLIRGGLGAYGGKLFGSGSDYVQSNPNSATLAVLIAQCFQEISKYFSDPQYYFQVNDHYVRNKLKIILLPFLHRGHWTRITEPVGGKLSYKAPVNDINAPDLYIPFMAFATYLVLAGISLGLSGKFSPEALNWQFVKGMLGWFLQVMLLKISLLSLGGGESPLLDMIAYAGYSFTGMCVAVVARITLSYAYYFIVLWICLCMGTFLVKTMKRTLFAEIRSYDSSRHHYLLLSIALAQFPLIFWLSNTTGNWFF >EOX92316 pep chromosome:Theobroma_cacao_20110822:1:6197493:6202783:-1 gene:TCM_001275 transcript:EOX92316 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein MIEDNGIRKVKLFDADPYTLNALAGTDIEVMLGIPNCFSQNLSEQYSTAQAWVKQNVTAYLGKGGVNIRYVAVGNEPFLTNYNGSFTSSTFPAMKNIRKALNEAGVVKQIKVSTALNGDVYDPRFPREYAFFDNQITVDENGIEYHNDFDKSIDTLVAALQKAKAPAVPVIVGEVGWPTDGDFYSTRKNGQRFYNGLIKKMVSNEGTPRRPNKNSDVYMFCLLDEDFKNIDPGMCERHWGIFSFDGQPKFPMDLSGKGENKSLVGAKGVPYLARQWCVHNKEANNQEDLAKKVEYASNNTDCTSLVAGASCSGMGTDMNASVAFNMYYQMQDQAEGACDFQGLAKLVKRDPSIGTCQFPIMIEKYQATHPPGAPNSKSASGPSGSDSDSPGLRVAFTLAVYKRMEVESHLRMGCVLQKGEELVCYGYQTKYVNAVIVCEFRIDTGNIFASQVADESAQAEVSSVGLRCLASARLPLSLLVGWHLKKNLFAMELCVLTKKVFLAGVGVLLSNEGLVKLRNVPPLLDRNEGWNGSMFKEVRKVGVLGCCFGMPWVSKTQRMDSDQLLVI >EOX93643 pep chromosome:Theobroma_cacao_20110822:1:14491974:14496629:1 gene:TCM_002531 transcript:EOX93643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxylate reductase 1 MEVGFLGLGIMGKAMSMNLLRHGFKVTVWNRTLSKCNELVAHGALVGETPAEVVKKCKITIAMLSDPAAALSVVFDKDGVLEQICSSKGYIDMSTVDPETSCKISEAITSKGGHFLEAPVSGSKQPAETGQLVILAAGEKALYEEAIPAFDVLGKKSFFLGQVGNGAKMKLVVNMIMGSMMNAFSEGLVLAERSGLSPHSLLDVLDLGGIANPMFKGKGPAMLQDNYSPAFPLKHQQKDMRLALALGDENAVSMPVAAAANEAFKKARSMGLGDLDFSAVFETVKVLKHSS >EOX95546 pep chromosome:Theobroma_cacao_20110822:1:34643065:34647241:-1 gene:TCM_005018 transcript:EOX95546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probably inactive receptor-like protein kinase MLALLLSSFTLLSLNQPGISLQQRQQTQSFLLPNQCNDKCGNLHIPFPFHLNTSCASVSNAFHLSCLNSTTLYLHIGIESYGILEFFPDGILVDFPGSSTCRQYNDLNAFGFAGNDYFGISGDNVIGLYDCEDSSLCKADCETTDLPGCDGNSGGSLACCYSLSDHTIWHYGDGFSSFSKFGCRGFSSWVVPRGTNTGERGVKLEWAIPRNTSGGVCASNADVVNATTVEAGVRCSCQDGFVGDGFANGAGCLKSCIKEGQEVYGEECDSRRHSQRKLVIVAGVLAPAFILASLFLFLCILKRPVKPGAFLDQAHFHSTISFRKACGTRLFSYRELEEATRVFEDGQKLVDGTNGTIHAGVLGDGSHIAVQKVQCDNERDLIHVLSIIELLSAVLHRNLARLLGCCIDSGYSLMVVYEYPANGTLEEHLQHSRGQKFGLDWYKRLSIAAETASVLAYLQHEISPPIFHHGLKSSGYIFLDADFSVKVAGFALLSSSLGDGSDLCNNYENPHIHKNDVYDFGLLLLEIISGSKYSDMPSVALQKIKSGKIEEIVDPSLYYHEQPIFRREQIEIVADIATRCLLFGGDGKIGMFDVARELVHIAKESIDGGSKRGPALEETFSNSSLLQMISMSPDSIHVP >EOX91022 pep chromosome:Theobroma_cacao_20110822:1:1374604:1375342:-1 gene:TCM_000335 transcript:EOX91022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFSLQNPQNSPQKSRQLMGWLLAGNSQTLGTVGRDKQQRCYSGGLGCLGRTRQAAWALGAGAALGLSQTGCLGCAGCLPGALRAGSPRGLWSAPLPATSS >EOX92447 pep chromosome:Theobroma_cacao_20110822:1:6867838:6871953:1 gene:TCM_001394 transcript:EOX92447 gene_biotype:protein_coding transcript_biotype:protein_coding description:No lysine kinase 6 isoform 1 MLGTESSEDGGAHAEPPDPDVLEIDPTSRYIRYNEVLGRGAFKTVYKAFDEANGIEVAWNQVRIDEVLQKPEDLERLYSEVRLLKSLKHSNIVRFYNSWIDDKKKTVNIITELFTSGSLRQYRKKHKKVDMKAVKSWARQILTGLNYLHSHDPPIIHRDLKCDNIFINGNQGEVKIGDLGLATVLEQSNAKSVIGTPEFMAPELYDENYNELVDIYSFGMCMLEMVTFEYPYSECRNSAQIYKKVSCGIKPAALSRVNDQEMKLFIEKCLVPAPQRLSAKELLMDPFLQANGSAKNRPFPLPDIVMPKLGAFGDRCLMSEAPASARNRPSFVDLDSDSELPVIHSLDNSLGMEVRRTKKGNLFLLKGEGNDENSVSLILRIADQNGRVRNIHFLFFLDSDTALSVSSEMVEQLELADQNDVFIAELIDLLLLNLIPSWKPCVPISHLVPPNKTQTSSRDYQNSKPQEYRETSLGSFQGTCKADDGSQSKLCCDVSTLRGSDESTRQAFSSVRLNGKMSHADFGNQNTIMAEDSGSEMSFASANSNELNDKLSSIHSSTSVESGSMGSSGCRFKGGVSKLLSGAELSFDVKSKNANPTAVSSPTRPDGDEELRLELEMIELQYQEAMKEISKKRHEAIMDTRRRLSQKKKLSLKLCSSFCFILKSIVDDMTIKLLRKFHDGYVTWFTCILSPGLLPN >EOX92446 pep chromosome:Theobroma_cacao_20110822:1:6867273:6872006:1 gene:TCM_001394 transcript:EOX92446 gene_biotype:protein_coding transcript_biotype:protein_coding description:No lysine kinase 6 isoform 1 MLGTESSEDGGAHAEPPDPDVLEIDPTSRYIRYNEVLGRGAFKTVYKAFDEANGIEVAWNQVRIDEVLQKPEDLERLYSEVRLLKSLKHSNIVRFYNSWIDDKKKTVNIITELFTSGSLRQYRKKHKKVDMKAVKSWARQILTGLNYLHSHDPPIIHRDLKCDNIFINGNQGEVKIGDLGLATVLEQSNAKSVIGTPEFMAPELYDENYNELVDIYSFGMCMLEMVTFEYPYSECRNSAQIYKKVSCGIKPAALSRVNDQEMKLFIEKCLVPAPQRLSAKELLMDPFLQANGSAKNRPFPLPDIVMPKLGAFGDRCLMSEAPASARNRPSFVDLDSDSELPVIHSLDNSLGMEVRRTKKGNLFLLKGEGNDENSVSLILRIADQNGRVRNIHFLFFLDSDTALSVSSEMVEQLELADQNDVFIAELIDLLLLNLIPSWKPCVPISHLVPPNKTQTSSRDYQNSKPQEYRETSLGSFQGTCKADDGSQSKLCCDVSTLRGSDESTRQAFSSVRLNGKMSHADFGNQNTIMAEDSGSEMSFASANSNELNDKLSSIHSSTSVESGSMGSSGCRFKGGVSKLLSGAELSFDVKSKNANPTAVSSPTRPDGDEELRLELEMIELQYQEAMKEISKKRHEAIMDTRRRLSQKKKLSLKLCSSFCFILKSIVDDMTIKLLRKFHDGYVTWFTCILSPGLLPN >EOX91703 pep chromosome:Theobroma_cacao_20110822:1:3571392:3575765:1 gene:TCM_000805 transcript:EOX91703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 3 MGQAGLLKELLNIIERMRQRPYKRIKNMRRKNWDPVLEPDLVVYNAVLNACVPVHQWKGVSWVFEQLRKSGLRPNGATYGLAMEVMLQSGKYDLVHEFFRKMKRSGEAPRALSYRVLVKAFWEEGKINEAVEAVRDMEQRGVIGTASVYYELACCLCKNGRWRDAIIEVDKMKKLSQRKPLEITFTGLIMASLDGGHFNDCISIFQYMKDHCAPNIGTINAMLKVYGQNDMFSKAKELFEEINKAKSGPYDSQNGKSTNLIPDGYTYSLMLGASASALQWEYFEYVYKEMTLSGYHLDQTKHAILLVEASRARKWYLLEHAFDTFLEVGEIPHPLLFTEMIIQATAQSNYEKVVTLVNTMAHALYQVSEKQWTEAFEENGDRISHGSLSKLLDALSNCELSSEITASNLIRSLQYLCGSAKSEPNSNDGETYGSERLNIQSISQDMRGEKIIAAMDPPLKATDVSFAVFSANCNGKNEEGGVDADLIHRLSNYDMDDSASKTFTCMEDFANDTASGDPTSMGKQVSLLNLDEYTKDVDEAEVDLPIDDDEAEMELLINEDGDSSTSKLPSANEILESWKESSKNDGIFFPIHLGLK >EOX91704 pep chromosome:Theobroma_cacao_20110822:1:3571657:3575705:1 gene:TCM_000805 transcript:EOX91704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 3 MQCCWLDTYPEIVQGDCHIYPDLAAYHSIAVTMGQAGLLKELLNIIERMRQRPYKRIKNMRRKNWDPVLEPDLVVYNAVLNACVPVHQWKGVSWVFEQLRKSGLRPNGATYGLAMEVMLQSGKYDLVHEFFRKMKRSGEAPRALSYRVLVKAFWEEGKINEAVEAVRDMEQRGVIGTASVYYELACCLCKNGRWRDAIIEVDKMKKLSQRKPLEITFTGLIMASLDGGHFNDCISIFQYMKDHCAPNIGTINAMLKVYGQNDMFSKAKELFEEINKAKSGPYDSQNGKSTNLIPDGYTYSLMLGASASALQWEYFEYVYKEMTLSGYHLDQTKHAILLVEASRARKWYLLEHAFDTFLEVGEIPHPLLFTEMIIQATAQSNYEKVVTLVNTMAHALYQVSEKQWTEAFEENGDRISHGSLSKLLDALSNCELSSEITASNLIRSLQYLCGSAKSEPNSNDGETYGSERLNIQSISQDMRGEKIIAAMDPPLKATDVSFAVFSANCNGKNEEGGVDADLIHRLSNYDMDDSASKTFTCMEDFANDTASGDPTSMGKQVSLLNLDEYTKDVDEAEVDLPIDDDEAEMELLINEDGDSSTSKLPSANEILESWKESSKNDGIFFPIHLGLK >EOX91702 pep chromosome:Theobroma_cacao_20110822:1:3569993:3575816:1 gene:TCM_000805 transcript:EOX91702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 3 MDASIVPSPQLPPPQFEPNTENIKRKLLRKGVYPTPKIIRTLRKREIQKHTRKTKHSQPQTPPLTAFQLQSLAEESHFLTLKREYKRFSKELNPKKEPRSPSLLGKPWERIERAKLAELVSKNGEFDGQSLKRENLVELREMFEKDLRWVLDDDVDVEDDGGLLPREKPARDRDPSKRWRNEKEAIRFLVDRLSEREITERHWKFVRIMKQSGLQFTEWQLLRIVEGLGKNGKWRQAMAVVQWLYGNKEHKEFKSRFVYTKLLSVLGKARKPQEALRVFNLMLGDCHIYPDLAAYHSIAVTMGQAGLLKELLNIIERMRQRPYKRIKNMRRKNWDPVLEPDLVVYNAVLNACVPVHQWKGVSWVFEQLRKSGLRPNGATYGLAMEVMLQSGKYDLVHEFFRKMKRSGEAPRALSYRVLVKAFWEEGKINEAVEAVRDMEQRGVIGTASVYYELACCLCKNGRWRDAIIEVDKMKKLSQRKPLEITFTGLIMASLDGGHFNDCISIFQYMKDHCAPNIGTINAMLKVYGQNDMFSKAKELFEEINKAKSGPYDSQNGKSTNLIPDGYTYSLMLGASASALQWEYFEYVYKEMTLSGYHLDQTKHAILLVEASRARKWYLLEHAFDTFLEVGEIPHPLLFTEMIIQATAQSNYEKVVTLVNTMAHALYQVSEKQWTEAFEENGDRISHGSLSKLLDALSNCELSSEITASNLIRSLQYLCGSAKSEPNSNDGETYGSERLNIQSISQDMRGEKIIAAMDPPLKATDVSFAVFSANCNGKNEEGGVDADLIHRLSNYDMDDSASKTFTCMEDFANDTASGDPTSMGKQVSLLNLDEYTKDVDEAEVDLPIDDDEAEMELLINEDGDSSTSKLPSANEILESWKESSKNDGIFFPIHLGLK >EOX90943 pep chromosome:Theobroma_cacao_20110822:1:1123680:1125553:-1 gene:TCM_000275 transcript:EOX90943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSAALPRVGRSHYHKENPDAQKIELPAMASGKESKGNVKKKLGRARMEAGNQIFSRIYFDFPLLPPPACHMPVPINSRPHLLPLTVTPCPLSIAASEAYSTLLSPHIVS >EOX95122 pep chromosome:Theobroma_cacao_20110822:1:32934729:32935772:-1 gene:TCM_004683 transcript:EOX95122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALFLLIQSSLATLFPLNITDDTPSAYEVLQGYNFPVGILPKGVVKYDLDESTGQFHAYLDGSCSFSLEGSYQLKYKSKISGYISNNRLRDLSGISVKILFLWLNIVEVTRDGDELEFSVGIASASFPIDNFYECPQCGCGLDCVNGKVSKLRIKSSFSSI >EOX95979 pep chromosome:Theobroma_cacao_20110822:1:36215769:36216933:-1 gene:TCM_005343 transcript:EOX95979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALDNKTNTLEARIDNRGDESDPESEEDLEELESDVKKMAEKILEYRATIPDQLKTTLDSILSSQRPNLPGIDDGSEAGPSGEHNADSEAIELDKEQRTEEKIRLLREKISSNISAMPVVLKRMKECIARIEKVDSSNGIIHPAFKKRKIS >EOX95631 pep chromosome:Theobroma_cacao_20110822:1:34995213:34997587:1 gene:TCM_005088 transcript:EOX95631 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MTILYNPFFLLLIAFISLFLFRVVLIKTGLIYIVKKKWCFIQDCFHVYQFFKVSEFNESMQRNQLYHKVLVYLNSLTSIEDSDFTNLFTGKKPNEIVLRLDRNQVIEDDFLGAKIFWINEDKTLVLKIRKADKRRVLRPYLQHIHTVFDELDEKKRDLKLYMNVRHHHDDQNGRWRSVPFTHPSTFETIAMESDLKNKVKSDLDSFLKAKQYYHRLGRVWKRSYLLYGPSGTGKSSFVAAMANFLCYDVYDIDLSKVSDDSDLKFLLLQSTTKSVIVIEDLDRYLAERSTAVSLSGILNFMDGILSSSCGEERVMVFTMNGKDNVDPAILRPGRIDVHIHFPLCDFTAFKTLANSYLGLKDHKLFPQVEEIFQNGASLSPAEIGELMIANRNSPSRALKSVINALQTDGDGRGALNIGRRLGENGSRKSVEEIGEPSGVFCKEGGAHAVREFKKWYGLLRVKSSRKSQSFDLSSGQKEG >EOX96675 pep chromosome:Theobroma_cacao_20110822:1:38621277:38627389:1 gene:TCM_005874 transcript:EOX96675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid cleavage dioxygenase 1 isoform 1 MAVEEERLVSGVRRGVGPGIVEVNPKPQKGLSSKLIDWLEKLIVKLMYDSSQPQHYLSGNFAPSPNETPPTKDLPVKGHLPECLNGEFVRVGPNPKFAPVAAYHWFDGDGMIHGMRIKDGKATYVSRFVKTSRIKQEEYFGGSKFMKVGDLKGFFGLLMVNIQKLREKAKVLDLSYGYGTANTALIYHHGKLLALQEADKPYVLKVFEDGDLQTLGMLDYDKRLAHSFTAHPKVDPVTGEMFTFGYSHEPPYITYRVISKDGFMHDPVPITISEPIMMHDFAITENYAIFLDLPLYFRPKEMVKEKKMIFSFDASKKARFGVLPRYAKDDLLIRWFELPNCFIFHNANAWEEEDEIVLITCRLENPDLDLVSGEVKEKLDNFNNELYEMRFNMKTGLASQKQLSVSAVDFPRVNEYYTGRKQRYVYGTTLDSIANVTGIVKFDLHAEPEAGKPKIEVGGNVQGLFDLGPGRFGSEAIFVPREPGTTSEEDDGYLIFFVHDENTGKSSVNVIDAKTMSADPVAVVELPHRVPYGFHAFFVTEEQLHEQAK >EOX96676 pep chromosome:Theobroma_cacao_20110822:1:38621545:38628052:1 gene:TCM_005874 transcript:EOX96676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid cleavage dioxygenase 1 isoform 1 MAVEEERLVSGVRRGVGPGIVEVNPKPQKGLSSKLIDWLEKLIVKLMYDSSQPQHYLSGNFAPSPNETPPTKDLPVKGHLPECLNGEFVRVGPNPKFAPVAAYHWFDGDGMIHGMRIKDGKATYVSRFVKTSRIKQEEYFGGSKFMKVGDLKGFFGLLMVNIQKLREKAKVLDLSYGYGTANTALIYHHGKLLALQEADKPYVLKVFEDGDLQTLGMLDYDKRLAHSFTAHPKVDPVTGEMFTFGYSHEPPYITYRVISKDGFMHDPVPITISEPIMMHDFAITENYAIFLDLPLYFRPKVFSFDASKKARFGVLPRYAKDDLLIRWFELPNCFIFHNANAWEEEDEIVLITCRLENPDLDLVSGEVKEKLDNFNNELYEMRFNMKTGLASQKQLSVSAVDFPRVNEYYTGRKQRYVYGTTLDSIANVTGIVKFDLHAEPEAGKPKIEVGGNVQGLFDLGPGRFGSEAIFVPREPGTTSEEDDGYLIFFVHDENTGKSSVNVIDAKTMSADPVAVVELPHRVPYGFHAFFVTEEQLHEQAK >EOX94841 pep chromosome:Theobroma_cacao_20110822:1:31677977:31679218:-1 gene:TCM_004454 transcript:EOX94841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSFSWFYLLFADLCSQSEYPMLCSMSHESEEKVAENRWHVCSMKEYYSVYAIDIDAKNGLVSVWGMVQPSMLIQTISEKVGKKAELYAYEKNPKVPSKMLAQGNSCSPCKYEKNNQPCSFPDGSNDHDKGKDNHDQAVHNEVKGNIPKGPEGTLSWHHPQNGMKKKKHGFAGWFGKKSTVEPRVIGNYGGPRPGYARLPPPPPPIPPPSYRYGQHAPLYPYSPPYRITRPPRPYPYDFYEKKEAPIGNSAFHSFRDDNVNACSMM >EOX96169 pep chromosome:Theobroma_cacao_20110822:1:36831591:36834238:-1 gene:TCM_046700 transcript:EOX96169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 family protein MDLSNPATLVALFLNLILTLFTVKFFAAKLREKQKKNKTKYHPVGGTVFSQLLNFKRLHHYMTDLAGKYRTYRLLSPFRSEVYTSEPDNVEYILKTNIQNYGKGDYNYSLLKGLLGDGIFAVDGDKWRQQRKVSSYEFSTKVLRDFSTVVFRKNAAKLANIVSDAAKSNKIMDIQDLFMKSTLDSIFKVGFGVELDSVCGSNLEGKEFTAAFDDSSAAILFRYVDIFWKIKRFLNIGSEAKLKKSTKVVDNFVYKLIHNKIEQMRNSNDSSMKKEDILSRFLQLNDTDPTYMRDIILNFIIAGKDTTATTLSWFIYMLCKHPDVQEKVAQEVKEATDTMEVKDFAEFAAILSEEALGKMHYLHAALTETLRLYPAVPVDPKICFSDDTLPDGFSVRKGDMVCYQPYAMGRMKFIWGDDAEEFRPERWLDEDGMFQPESPFKFTAFQAGPRICLGKEFAYRQMKIFSAVLVHYFVFKLSDENKSVTYRTMITLHVDGGLHVRAFPRCQT >EOX91615 pep chromosome:Theobroma_cacao_20110822:1:3304851:3306037:-1 gene:TCM_000748 transcript:EOX91615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRAKIDRLEWCGLSLFNSIQPLCPNYAKRSTITIEGSQSEIDPNDQQPLRRNSGQKKSNKEEHEAPKIPLLHHLGFQLEYPNCIYRT >EOX91573 pep chromosome:Theobroma_cacao_20110822:1:3140901:3142898:-1 gene:TCM_000712 transcript:EOX91573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 81, subfamily D, polypeptide 8, putative MLVVSSASAVEECFSKNDIIFADRPRFTISTLASSSYGDHWRNLRHIITLEVLSSNHLNMTTGIRKDEIKNLIRKLYHISADGLTKVELRPLFSELTFNIAIRMITGKRKGCVKNVKKLGRKIDVFFQGSIDEHKRNKGDSESGSTMIDHLLSLQELQPEYYTDEIIKRPCTEYNRAGSHTSAVTVEWAMSNLLNHPDVLKKVRDELDAFLDSKQLLDETDLSKLHYLQNTISETFRLYPTTPVITPHMSSDYCTIGGYSIPPKTILLVNSWTIHRDHKLWDDPTCFNPERFDTAEVNAYKLLPFGLGRRACPAMGLANRVIAWTLGSLIQCFE >EOX94839 pep chromosome:Theobroma_cacao_20110822:1:31674756:31677634:-1 gene:TCM_004453 transcript:EOX94839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease family protein isoform 1 MASEPDPSESRTQRHKCPACYKQFKRKLHLIEHMKISYHSVHQPRCGVCQKHCKSFESVREHISGPLAKSNCSKIFLNQGCNLCLKVLDSPAALNEHKQKCCLNAPVPLGTKIMPCIESHVILSGSIMDERHNGKGHGAIAIDCEMVGGGSDGSIDLCARVCLVDEDENLIFHTYVQPQIPVSNYRYEVTGVTEDHLRDAMPLNEVQDKILKILYNGESVGRIRLDGEKVRLLVGHGIQHDLDCLRMKYPGQLLRDTAKYRPLMKTNLLSHSLKHLTKTYLGINVHCFTGMISSQAF >EOX94840 pep chromosome:Theobroma_cacao_20110822:1:31674198:31676619:-1 gene:TCM_004453 transcript:EOX94840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease family protein isoform 1 MPCIESHVILSGSIMDERHNGKGHGAIAIDCEMVGGGSDGSIDLCARVCLVDEDENLIFHTYVQPQIPVSNYRYEVTGVTEDHLRDAMPLNEVQDKILKILYNGESVGRIRLDGEKVRLLVGHGIQHDLDCLRMKYPGQLLRDTAKYRPLMKTNLLSHSLKHLTKTYLGYDIQSGIHDPYEDCISVMRLYKRMRGQDHQVEGLGNERANSGFDSIRSTELEKLTPDELYEISISDYKCWCLDLSKECSLGS >EOX94838 pep chromosome:Theobroma_cacao_20110822:1:31674198:31677635:-1 gene:TCM_004453 transcript:EOX94838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease family protein isoform 1 MASEPDPSESRTQRHKCPACYKQFKRKLHLIEHMKISYHSVHQPRCGVCQKHCKSFESVREHISGPLAKSNCSKIFLNQGCNLCLKVLDSPAALNEHKQKCCLNAPVPLGTKIMPCIESHVILSGSIMDERHNGKGHGAIAIDCEMVGGGSDGSIDLCARVCLVDEDENLIFHTYVQPQIPVSNYRYEVTGVTEDHLRDAMPLNEVQDKILKILYNGESVGRIRLDGEKVRLLVGHGIQHDLDCLRMKYPGQLLRDTAKYRPLMKTNLLSHSLKHLTKTYLGYDIQSGIHDPYEDCISVMRLYKRMRGQDHQVEGLGNERANSGFDSIRSTELEKLTPDELYEISISDYKCWCLDLSKECSLGS >EOX92572 pep chromosome:Theobroma_cacao_20110822:1:7568268:7575746:1 gene:TCM_001508 transcript:EOX92572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 isoform 1 MAWCGENICLGIRKEYMILNAMNGALSEVFSSGKIAPPLVVALPSGELILGKENIGVFVDQNGKLLQADRICWSEAPTVVVIEKPYAIALFPRRVEIRSLRVPYPLIQTIVLQNARHLIKSNNAVVVALNNSVYGLFPVPLGAQIVQLTASGNFEEALALCKLLPPEDASLRAAKEGSIHIRYAHYLFDNGCYEEAMEHFLASQVDITYVLSLYPSIVLPKTTAIPEPEKLMDLSLDASQLSRGSSGLSDDLETLLPQLSESDENAALEFKKMSHNTLMALIKFLQKKRYSIVEKAAAEGTEEVVLDAVGDNFSSTRFKKSNKGRGTIPINSAAREMAAILDTALLQALLLTGQSSAALELLKGLNYCDVKICEEILQKGNHYTALLELYRSNSMHREALILLHRLVEESKSNQLQAELIQKFSPEAIIEYLKPLRGTDPMLVLEFSMLVLESCPTQTIELFLSGNIPADLVNSYLKQHAPNMQTRYLELMLAMNENGISGNLQNEMVQIYLAEVLEWYSELSAQQIWDEKAYSPTRKKLLSALESISGYNPEALLRRLPPDALFEERAILLGKMNQHELALSLYVHKLHVPELALAYCDRVYESAVRQPLVKSSSNIYLTLLQIYLNPQKTTKNFEKRITNLVSSPNTSTPKFGSAASIKAKGGRKKIASIEGAEDMRISPGNTDSGRSDGDAEESSEEGGSAIMLDQVFDLLSRRWDRINGAQALKLLPRETKLQNLLPFLGPLLKKSSEAYRNFSVIKSLRQSENLQVKDELYNQRKAVVKISSDSMCSLCNKKIGTSVFAVYPNGKTLVHFVCFRDSQSMKAVAKGSPLRKR >EOX92571 pep chromosome:Theobroma_cacao_20110822:1:7568144:7574345:1 gene:TCM_001508 transcript:EOX92571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 isoform 1 MVHSAYDYFELLNDCPTKIDAIESYGSKLLLGCSDGSLRIYGPDSSGADRSPPSDQHALRKEPYALERTVEGFSKKALLSMQVLQSRELLLSLSESIAFHRLPNLETIAVITKAKGANVYSWDDRRGFLCFARQKRVCIFRHDGGRGFVEVKDFGVPDTVKSMAWCGENICLGIRKEYMILNAMNGALSEVFSSGKIAPPLVVALPSGELILGKENIGVFVDQNGKLLQADRICWSEAPTVVVIEKPYAIALFPRRVEIRSLRVPYPLIQTIVLQNARHLIKSNNAVVVALNNSVYGLFPVPLGAQIVQLTASGNFEEALALCKLLPPEDASLRAAKEGSIHIRYAHYLFDNGCYEEAMEHFLASQVDITYVLSLYPSIVLPKTTAIPEPEKLMDLSLDASQLSRGSSGLSDDLETLLPQLSESDENAALEFKKMSHNTLMALIKFLQKKRYSIVEKAAAEGTEEVVLDAVGDNFSSTRFKKSNKGRGTIPINSAAREMAAILDTALLQALLLTGQSSAALELLKGLNYCDVKICEEILQKGNHYTALLELYRSNSMHREALILLHRLVEESKSNQLQAELIQKFSPEAIIEYLKPLRGTDPMLVLEFSMLVLESCPTQTIELFLSGNIPADLVNSYLKQHAPNMQTRYLELMLAMNENGISGNLQNEMVQIYLAEVLEWYSELSAQQIWDEKAYSPTRKKLLSALESISGYNPEALLRRLPPDALFEERAILLGKMNQHELALSLYVHKLHVPELALAYCDRVYESAVRQPLVKSSSNIYLTLLQIYLNPQKTTKNFEKRITNLVSSPNTSTPKFGSAASIKAKGGRKKIASIEGAEDMRISPGNTDSGRSDGDAEESSEEGGSAIMLDQVFDLLSRRWDRINGAQALKLLPRETKLQNLLPFLGPLLKKSSEAYRNFSVIKSLRQSENLQVKDELYNQRKAVVKISSDSMCSLCNKKIGTSVFAVYPNGKTLVHFVCFRDSQSMKAVAKGSPLRKR >EOX91607 pep chromosome:Theobroma_cacao_20110822:1:3265417:3278606:-1 gene:TCM_000742 transcript:EOX91607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 3 MNSAISERVELAKLCSSRDWSKAIRVLDSLLTQSCAIQDICNRAFCYSQLELHKHVIKDCDKALELDPTLLQAYILKGCAFSALGRKEDAIAVWEHGYDHALRQSADLKQLLELEELLTVAKPGKQDRSITSDNHVAEPKLSTPVSESRPYANGKSNETLKHQNNYNTSRLFEEHMDVSKFHNKSPDNFNTHNRTSEDERNMSSISLSEFASDPNGKTYKSLNELSDGSKLGTESADASENSSTTGDNCDIGFSDQTSANEMNRTHINFDKPSDDSDACTDLIEKSEQCSKSSVISSNSSDITGSHSQSNNISDIHSELSDETKRSKKFCVAKISKTKSISVDFRLSRGIAQVNEGNYAYAISIFDQILKEDPTYPEALIGRGTAYAFQRELEAAIADFTKAIQSKPSAGEAWKRRGQARAALGESVEAIQDLTKALEFDPNSADILHERGIVNFKFKDFNAAVEDLSSCVKLDKNNKSAYTYLGLALSSIGEYKRAEEAHLKSIKLDQSFLEAWAHLTQFYQDLANSEKALECLEQVIQIDGRYFKAYHLRGLLLHGMGEHRKAIKDLSIGLSIENSNIECLYLRASCYHAIGEYAEAIKDYDAALDVELDSMEKFVLQCLAFYQKEIALYTASKVNSEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVYRQPPLRDSLKKGRLRKQDFAVTKHKTALLLAADSIGKKIQYDCPGFLPNRRQHRMAGLAAIEIAQKVSKAWRSLQADWKHSNRSSKNGKRVRRKERISMASQNRGGAGCSTSNSSETSATYGITEDRSSSRLMMSWQDVFSLAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMVLGQAKVVRYFPNHERTFDIAKTIMKDKLFVHNKADEIIDLSKEGKSEKIVHAKSCDDLYELVGEDFWLATWCNSTACEGKQLEGTRITLVKMGERGYDFAIRTPCTPARWEEFDAEMAMAWEAICNAYCGETYGSTDFNVLENVREAILRMTYYWYNFMPLSRGTAVVGFIVLLGLFLAANMEFTGNIPKGVQVDWEAILNFDPNSFVDSVKSRLYPSVKMTTSWKDFPDVASTLATTGSVVAALSPYDD >EOX91609 pep chromosome:Theobroma_cacao_20110822:1:3266916:3278304:-1 gene:TCM_000742 transcript:EOX91609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 3 MNSAISERVELAKLCSSRDWSKAIRVLDSLLTQSCAIQDICNRAFCYSQLELHKHVIKDCDKALELDPTLLQAYILKGCAFSALGRKEDAIAVWEHGYDHALRQSADLKQLLELEELLTVAKPGKQDRSITSDNHVAEPKLSTPVSESRPYANGKSNETLKHQNNYNTSRLFEEHMDVSKFHNKSPDNFNTHNRTSEDERNMSSISLSEFASDPNGKTYKSLNELSDGSKLGTESADASENSSTTGDNCDIGFSDQTSANEMNRTHINFDKPSDDSDACTDLIEKSEQCSKSSVISSNSSDITGSHSQSNNISDIHSELSDETKRSKKFCVAKISKTKSISVDFRLSRGIAQVNEGNYAYAISIFDQILKEDPTYPEALIGRGTAYAFQRELEAAIADFTKAIQSKPSAGEAWKRRGQARAALGESVEAIQDLTKALEFDPNSADILHERGIVNFKFKDFNAAVEDLSSCVKLDKNNKSAYTYLGLALSSIGEYKRAEEAHLKSIKLDQSFLEAWAHLTQFYQDLANSEKALECLEQVIQIDGRYFKAYHLRGLLLHGMGEHRKAIKDLSIGLSIENSNIECLYLRASCYHAIGEYAEAIKDYDAALDVELDSMEKFVLQCLAFYQKEIALYTASKVNSEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVYRQPPLRDSLKKGRLRKQDFAVTKHKTALLLAADSIGKKIQYDCPGFLPNRRQHRMAGLAAIEIAQKVSKAWRSLQADWKHSNRSSKNGKRVRRKERISMASQNRGGAGCSTSNSSETSATYGITEDRSSSRLMMSWQDVFSLAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMVLGQAKVVRYFPNHERTFDIAKTIMKDKLFVHNKADEIIDLSKEGKSEKIVHAKSCDDLYELVGEDFWLATWCNSTACEGMTTSWKDFPDVASTLATTGSVVAALSPYDD >EOX91610 pep chromosome:Theobroma_cacao_20110822:1:3266573:3278707:-1 gene:TCM_000742 transcript:EOX91610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 3 MNSAISERVELAKLCSSRDWSKAIRVLDSLLTQSCAIQDICNRAFCYSQLELHKHVIKDCDKALELDPTLLQAYILKGCAFSALGRKEDAIAVWEHGYDHALRQSADLKQLLELEELLTVAKPGKQDRSITSDNHVAEPKLSTPVSESRPYANGKSNETLKHQNNYNTSRLFEEHMDVSKFHNKSPDNFNTHNRTSEDERNMSSISLSEFASDPNGKTYKSLNELSDGSKLGTESADASENSSTTGDNCDIGFSDQTSANEMNRTHINFDKPSDDSDACTDLIEKSEQCSKSSVISSNSSDITGSHSQSNNISDIHSELSDETKRSKKFCVAKISKTKSISVDFRLSRGIAQVNEGNYAYAISIFDQILKEDPTYPEALIGRGTAYAFQRELEAAIADFTKAIQSKPSAGEAWKRRGQARAALGESVEAIQDLTKALEFDPNSADILHERGIVNFKFKDFNAAVEDLSSCVKLDKNNKSAYTYLGLALSSIGEYKRAEEAHLKSIKLDQSFLEAWAHLTQFYQDLANSEKALECLEQVIQIDGRYFKAYHLRGLLLHGMGEHRKAIKDLSIGLSIENSNIECLYLRASCYHAIGEYAEAIKDYDAALDVELDSMEKFVLQCLAFYQKEIALYTASKVNSEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVYRQPPLRDSLKKGRLRKQDFAVTKHKTALLLAADSIGKKIQYDCPGFLPNRRQHRMAGLAAIEIAQKVSKAWRSLQADWKHSNRSSKNGKRVRRKERISMASQNRGGAGCSTSNSSETSATYGITEDRSSSRLMMSWQDVFSLAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMVLGQAKVVRYFPNHERTFDIAKTIMKDKLFVHNKADEIIDLSKEGKSEKIVHAKSCDDLYELVGEDFWLATWCNSTACEGMTTSWKDFPDVASTLATTGSVVAALSPYDD >EOX91608 pep chromosome:Theobroma_cacao_20110822:1:3266232:3278502:-1 gene:TCM_000742 transcript:EOX91608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 3 MNSAISERVELAKLCSSRDWSKAIRVLDSLLTQSCAIQDICNRAFCYSQLELHKHVIKDCDKALELDPTLLQAYILKGCAFSALGRKEDAIAVWEHGYDHALRQSADLKQLLELEELLTVAKPGKQDRSITSDNHVAEPKLSTPVSESRPYANGKSNETLKHQNNYNTSRLFEEHMDVSKFHNKSPDNFNTHNRTSEDERNMSSISLSEFASDPNGKTYKSLNELSDGSKLGTESADASENSSTTGDNCDIGFSDQTSANEMNRTHINFDKPSDDSDACTDLIEKSEQCSKSSVISSNSSDITGSHSQSNNISDIHSELSDETKRSKKFCVAKISKTKSISVDFRLSRGIAQVNEGNYAYAISIFDQILKEDPTYPEALIGRGTAYAFQRELEAAIADFTKAIQSKPSAGEAWKRRGQARAALGESVEAIQDLTKALEFDPNSADILHERGIVNFKFKDFNAAVEDLSSCVKLDKNNKSAYTYLGLALSSIGEYKRAEEAHLKSIKLDQSFLEAWAHLTQQFYQDLANSEKALECLEQVIQIDGRYFKAYHLRGLLLHGMGEHRKAIKDLSIGLSIENSNIECLYLRASCYHAIGEYAEAIKDYDAALDVELDSMEKFVLQCLAFYQKEIALYTASKVNSEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVYRQPPLRDSLKKGRLRKQDFAVTKHKTALLLAADSIGKKIQYDCPGFLPNRRQHRMAGLAAIEIAQKVSKAWRSLQADWKHSNRSSKNGKRVRRKERISMASQNRGGAGCSTSNSSETSATYGITEDRSSSRLMMSWQDVFSLAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMVLGQAKVVRYFPNHERTFDIAKTIMKDKLFVHNKADEIIDLSKEGKSEKIVHAKSCDDLYELVGEDFWLATWCNSTACEGKQLEGTRITLVKMGERGYDFAIRTPCTPARWEEFDAEMAMAWEAICNAYCGETYGSTDFNVLENVREAILRMTYYWYNFMPLSRGTAVVGFIVLLGLFLAANMEFTGNIPKGVQVDWEAILNFDPNSFVDSVKSRLYPSVKMTTSWKDFPDVASTLATTGSVVAALSPYDD >EOX95149 pep chromosome:Theobroma_cacao_20110822:1:33055367:33056830:-1 gene:TCM_004703 transcript:EOX95149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYVYRGMTMVGGIMPLTEEMTNLNRFGAVGTTHEGRSFKDVVSGNCFPPLNRRAPTVNPTKTSGGAAYLELTCDDIYDGDDM >EOX93290 pep chromosome:Theobroma_cacao_20110822:1:11930692:11938573:-1 gene:TCM_002139 transcript:EOX93290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin elicitor receptor kinase 1, RLK1 isoform 1 MANKIGEGGFGAVFYANLRGEEAAIKKMDMQASKEFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEYIENGNLSQHLRGSSREPLPWSTRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKKFRGKVADFGLTKLTEVGSASLPTRLVGTFGYMPPEYAQYGDVSPKVDVYAFGVVLYELISAKEAIVKENGSVAESKGLVALFEDALNEPDPKEGLCKLIDPRLGDNYPLDSVFKMAQLAKACTQENPQLRPSMRSIVVALMTLSSSTEDWDVGSFYENHALVNLMSGR >EOX93291 pep chromosome:Theobroma_cacao_20110822:1:11930767:11938641:-1 gene:TCM_002139 transcript:EOX93291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin elicitor receptor kinase 1, RLK1 isoform 1 MANKIGEGGFGAVFYANLRGEEAAIKKMDMQASKEFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEYIENGNLSQHLRGSSREPLPWSTRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKKFRGKVADFGLTKLTEVGSASLPTRLVGTFGYMPPEYAQYGDVSPKVDVYAFGVVLYELISAKEAIVKENGSVAESKGLVALFEDALNEPDPKEGLCKLIDPRLGDNYPLDSVFKMAQLAKACTQENPQLRPSMRSIVVALMTLSSSTEDWDVGSFYENHALVNLMSGR >EOX92400 pep chromosome:Theobroma_cacao_20110822:1:6673598:6675808:1 gene:TCM_001353 transcript:EOX92400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein MACKLLQHPVPTSQAIPSIPMIRKIITAQKLAPAMQSTTKSIRLFGPPSGLKHILKNTTKVAEDSCSLVNDDMEVEEKGKEPLQNRTPDLIKTNFYQAIKGINGGIFGVQSARKSEIERLVALLQTQNPTPDPALHLEKVGGCWKLVYAVNMIEFNIPGLNLLNGRLKIEFNTLKTASITRVDMCYDKSTITPDQLRNVLGKKYDLLLSIFNPEGWLEISFVDDTMMIGRDDKGNTFVLERSE >EOX96367 pep chromosome:Theobroma_cacao_20110822:1:37530480:37532981:-1 gene:TCM_005626 transcript:EOX96367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactate/malate dehydrogenase family protein isoform 1 MAKDPVRVLVTGAAGQIGYALVPMIARGVMLGPDQPVILHMLDIEPAAEALNGVKMELIDAAFPLLRGVVATTDVAEACKGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEQHAAPDCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISERLNVHVGEVKNVIIWGNHSSTQYPDVNHASVITTNGAEKSVKDAIANDDWLKTEFITTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPKGTWVSMGVYSDGSYGIQPGIIYSFPVTCEKGQWSIVQGLKVDEFSREKMDATAKELMEEKSLAYSCLNR >EOX96366 pep chromosome:Theobroma_cacao_20110822:1:37530667:37532849:-1 gene:TCM_005626 transcript:EOX96366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactate/malate dehydrogenase family protein isoform 1 MAKDPVRVLVTGAAVLNSKEEFVVLFDCLVFSIENMDQEHIILLQKILVLLFCVTLFWKIIKYMCGLLNLEKEPVTVLVTGAAGQIGYALVPMIARGVMLGPDQPVILHMLDIEPAAEALNGVKMELIDAAFPLLRGVVATTDVAEACKGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEQHAAPDCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISERLNVHVGEVKNVIIWGNHSSTQYPDVNHASVITTNGAEKSVKDAIANDDWLKTEFITTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPKGTWVSMGVYSDGSYGIQPGIIYSFPVTCEKGQWSIVQGLKVDEFSREKMDATAKELMEEKSLAYSCLNR >EOX93042 pep chromosome:Theobroma_cacao_20110822:1:10124627:10135554:-1 gene:TCM_001897 transcript:EOX93042 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MENSVAEHQRSEASSSDPPNHAQGGDGFEVCIEMEKSIEASHQTKRPNLSSLQIPLRSLESSFYDFTSVDIPSDPSPSSTKVGLPPRPHSAKIRSSVRSILPQRSFRANNLCQKGEKMVLIVPDTPPSDGSLEKPSTSRSFSLNKVLFPSTKAAHSLPVTPIAYSGPKSIPERHIDAQSHVSKSVAQQHMTRSLSVPVHAKPRSLRRADSGRGLVRVISATFHPVQVEGALPNDVPSTEITSEDVGEDIPEEEAVCRICLVELCEGGETLKMECSCKGELALAHKECAVKWFSIKGNKTCDVCKQDVQNLPVTLLKMNDPRIVTRRPPTVPQQAQVARYRVWQDVPVLVMVSILAYFCFLEQLLVSNLGPRALAISLPFSCALGLLSSTIASTMVSRSYIWAYSSFQFAMVILFAHIFYTVLDVNAILSVLLSSFTGFGIAISTNSLLVEYLRWRRRGHTQSPQQRINGTRQQRVQQPENQQDSNQQRQP >EOX93043 pep chromosome:Theobroma_cacao_20110822:1:10125336:10135381:-1 gene:TCM_001897 transcript:EOX93043 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MENSVAEHQRSEASSSDPPNHAQGGDGFEVCIEMEKSIEASHQTKRPNLSSLQIPLRSLESSFYDFTSVDIPSDPSPSSTKVGLPPRPHSAKIRSSVRSILPQRSFRANNLCQKGEKMVLIVPDTPPSDGSLEKPSTSRSFSLNKVLFPSTKAAHSLPVTPIAYSGPKSIPERHIDAQSHVSKSVAQQHMTRSLSVPVHAKPRSLRRADSGRGLVRVISATFHPVQVEGALPNDVPSTEITSEDVGEDIPEEEAVCRICLVELCEGGETLKMECSCKGELALAHKECAVKWFSIKGNKTCDVCKQDVQNLPVTLLKMNDPRIVTRRPPTVPQQAQVARYRVWQDVPVLVMVSILAYFCFLEQLLVSNLGPRALAISLPFSCALGLLSSTIASTMVSDDGSEQELHMGLFFVPVCNGYPVRSHLLYSP >EOX94386 pep chromosome:Theobroma_cacao_20110822:1:28236173:28241754:-1 gene:TCM_003974 transcript:EOX94386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 4 MWKTECQNMVPVIGSGKFITTPIITDDGQPIEDGGWHVTSAVADKKVAQWMLSLHQIGLDVVRTDRALTFYENETNQAKLWDVLAIYSWVDDDIGYVQGMNDICSPMVILLENEADAFWCFERAMRKLRENFRCSTNSIGVQSQLSTLSQVIRTVDPKLHQHLEDLDGGEYLFAFRMLMVLFRREFSFIDALYLWEVMWAMEYNPNIFSSYELPSTASDSNSAQTFDQKLLKQYGKFERINVKNGHTDKNSALAVYLAASVLESKNKVILKEAKGLDDVVKIMGDITGNLDAKKACKEALKIQDKYLKKAKKS >EOX94389 pep chromosome:Theobroma_cacao_20110822:1:28236174:28240852:-1 gene:TCM_003974 transcript:EOX94389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 4 AGKTLSARRWHAAFSEDGHLDIAKVLRRIQRGGIHPSIKGLVWEFLLGCFDPNSSFEDRNQLRQQRRERYGMWKTECQNMVPVIGSGKFITTPIITDDGQPIEDGGWHVTSAVADKKVAQWMLSLHQIGLDVVRTDRALTFYENETNQAKLWDVLAIYSWVDDDIGYVQGMNDICSPMVILLENEADAFWCFERAMRKLRENFRCSTNSIGVQSQLSTLSQVIRTVDPKLHQHLEDLDGGEYLFAFRMLMVLFRREFSFIDALYLWEVMWAMEYNPNIFSSYELPSTASDSNSAQTFDQKLLKQYGKFERINVKNGHTDKNSALAVYLAASVLESKNKVILKEAKGLDDVVKVLYSLSISLLCTGCSNSNISLMMEAFSQIMGDITGNLDAKKACKEALKIQDKYLKKAKKS >EOX94385 pep chromosome:Theobroma_cacao_20110822:1:28236814:28241104:-1 gene:TCM_003974 transcript:EOX94385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 4 MVGWEAIAGMFGKNAGTEDLEAFYPVRPECKADIPKTRFRPRAGKTLSARRWHAAFSEDGHLDIAKVLRRIQRGGIHPSIKGLVWEFLLGCFDPNSSFEDRNQLRQQRRERYGMWKTECQNMVPVIGSGKFITTPIITDDGQPIEDGGWHVTSAVADKKVAQWMLSLHQIGLDVVRTDRALTFYENETNQAKLWDVLAIYSWVDDDIGYVQGMNDICSPMVILLENEADAFWCFERAMRKLRENFRCSTNSIGVQSQLSTLSQVIRTVDPKLHQHLEDLDGGEYLFAFRMLMVLFRREFSFIDALYLWETQIGSR >EOX94384 pep chromosome:Theobroma_cacao_20110822:1:28235859:28241752:-1 gene:TCM_003974 transcript:EOX94384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 4 MVGWEAIAGMFGKNAGTEDLEAFYPVRPECKADIPKTRFRPRAGKTLSARRWHAAFSEDGHLDIAKVLRRIQRGGIHPSIKGLVWEFLLGCFDPNSSFEDRNQLRQQRRERYGMWKTECQNMVPVIGSGKFITTPIITDDGQPIEDGGWHVTSAVADKKVAQWMLSLHQIGLDVVRTDRALTFYENETNQAKLWDVLAIYSWVDDDIGYVQGMNDICSPMVILLENEADAFWCFERAMRKLRENFRCSTNSIGVQSQLSTLSQVIRTVDPKLHQHLEDLDGGEYLFAFRMLMVLFRREFSFIDALYLWEVMWAMEYNPNIFSSYELPSTASDSNSAQTFDQKLLKQYGKFERINVKNGHTDKNSALAVYLAASVLESKNKVILKEAKGLDDVVKIMGDITGNLDAKKACKEALKIQDKYLKKAKKS >EOX94387 pep chromosome:Theobroma_cacao_20110822:1:28236173:28241754:-1 gene:TCM_003974 transcript:EOX94387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 4 MVGWEAIAGMFGKNAGTEDLEAFYPVRPECKADIPKTRFRPRVCIFYLPLLLLKLQFNSVCMPFFTRRISLYGSCTSSTYLFSQAGKTLSARRWHAAFSEDGHLDIAKVLRRIQRGGIHPSIKGLVWEFLLGCFDPNSSFEDRNQLRQQRRERYGMWKTECQNMVPVIGSGKFITTPIITDDGQPIEDGGWHVTSAVADKKVAQWMLSLHQIGLDVVRTDRALTFYENETNQAKLWDVLAIYSWVDDDIGYVQGMNDICSPMVILLENEADAFWCFERAMRKLRENFRCSTNSIGVQSQLSTLSQVIRTVDPKLHQHLEDLDGGEYLFAFRMLMVLFRREFSFIDALYLWEVMWAMEYNPNIFSSYELPSTASDSNSAQTFDQKLLKQYGKFERINVKNGHTDKNSALAVYLAASVLESKNKVILKEAKGLDDVVKIMGDITGNLDAKKACKEALKIQDKYLKKAKKS >EOX94388 pep chromosome:Theobroma_cacao_20110822:1:28236790:28241754:-1 gene:TCM_003974 transcript:EOX94388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 4 MVGWEAIAGMFGKNAGTEDLEAFYPVRPECKADIPKTRFRPRAGKTLSARRWHAAFSEDGHLDIAKVLRRIQRGGIHPSIKGLVWEFLLGCFDPNSSFEDRNQLRQQRRERYGMWKTECQNMVPVIGSGKFITTPIITDDGQPIEDGGWHVTSAVADKKVAQWMLSLHQIGLDVVRTDRALTFYENETNQAKLWDVLAIYSWVDDDIGYVQGMNDICSPMVILLENEADAFWCFERAMRKLRENFRCSTNSIGVQSQLSTLSQVIRTVDPKLHQHLEDLDGGEYLFAFRMLMVLFRREFSFIDALYLWEIGSR >EOX94307 pep chromosome:Theobroma_cacao_20110822:1:27693897:27694681:1 gene:TCM_003896 transcript:EOX94307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFVKFEKYWFEFSLILAIAVIFDSRYKIQFVRWSYTKLYGSNSVEFKKVKDHLFALYDEYAVKVLNTPSYLNDIHFDGKKVQKGKKEFLKEFDNFQREFGTVKNKSQLEQYLDERRIETTIELDIL >EOX94989 pep chromosome:Theobroma_cacao_20110822:1:32328491:32333914:1 gene:TCM_004567 transcript:EOX94989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein MGFRFQNLNPMYRSYLSRLSSPKNPHPNPVIVQSLNPHFHIYSPPLFSDNPNYSIPRRWHFGHSHDHHDHHHNITKEGEKIFRLGLGADIGLATTKALTGYLSGSTAIIADAAHSISDVVLSGVALWSFKAAKAPKDEEHPYGHGKFETLGALGISCMLLATAGGIAWHALDLLIGLLSTVPEVVNNHLLAHGHIDHHGGNHHGIDMDHPILALNVTIVAICVKEGLYWITKRAGERQGSGLMKANAWHHRADAISSVVALIGVGGSILGVKFLDPLAGLVVSGMILKAGLETGYQRHVSFKMIVAILQFKSYHAHVFLIEWIYTSPVFAVFLDFSVLELVDAAIPAEQLEPINQTILQVEGVKGCHRLRGRRAGSNLYLDVHIVVDPFSSISAAHGIGENVRHQIHKSHPEVTEVFIHIDPAYVEFSPDVMDQKESLKRIMEQKSNISAREEDVEAIVCGTFSSKFPEKFVVERITRHMLRGKLLLEVEVGMPPDIMICDAMEAAKEAEKEILNAASNIVHVNVQLRLGRPIPEFRYI >EOX92296 pep chromosome:Theobroma_cacao_20110822:1:6123150:6125560:-1 gene:TCM_001262 transcript:EOX92296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MFTVEIVIVALVGESEVLLIIMLNKEVREEERACLLQFVMVEVWWSLLGAAIPAVIAGQAFRMKKRRAEEQRIKSARGREKSSDDIFVCERVCTSKRMLKKVGAFSKDPIRDTCVTVCGVSELDACSDACARTVCVNQHQVPNWNDICLRRCQSECLRLSASHSS >EOX92297 pep chromosome:Theobroma_cacao_20110822:1:6123560:6125580:-1 gene:TCM_001262 transcript:EOX92297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVEVWWSLLGAAIPAVIAGQAFRMKKRRAEEQRIKSARGREKSSDDIFVCERVCTSKRMLKKVGAFSKDPIRDTCVTVCGVSELDACSDACARTVCVNQHQVPNWNDICLRRCQSECLRLSASHSS >EOX91053 pep chromosome:Theobroma_cacao_20110822:1:1458442:1460046:1 gene:TCM_000354 transcript:EOX91053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin, putative MNDLMTNSFVSYVELKKQAQKDLEADLEIEEGQGQLNPADEKNLSQFFREVESIKITMEEITNLVFDLQTLNEETKSSHSAKVLRGLRERMEADTVFILRKAKIVKTRLESLDRSNVTNRRLSEAYKEGSCVDRTRMSVTNSLRVKLRQMMNDFQALREKMMLDHKEDLKRRYYNATGELPSEAVLEKVVSGGEKDQLFAEKVEMDLRSKERHEAMLDIQRSLQRLHQVFLDMAVLVEAQGENMDDIEEHVANAGNFVSGGTNSLYYANQMKKKKKAWVYWVWAVGLIVLLVCIISMLAS >EOX95287 pep chromosome:Theobroma_cacao_20110822:1:33652671:33655778:1 gene:TCM_004826 transcript:EOX95287 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MSKRKFGFEGFGINRQPTYNFERAQAPQRLYVPPSSRHGHDNYEDTDLDNIDYADNDTSREADKNNPNNNGNGAEDDEIDPLDAFMQGIQEDLKAPPPPKPKEKAERYRDDDEEDDPVESFLRAKKDVGLTLAADALRAGYDSDEEVYAVAKAVDAGLLEYDSDDNPVVVDKKKIEPIPALDHSVIEYEPFNKDFYEEKASISGMSEQEVAEYRKSLAIRVSGFDVPRPVKTFEDCGFAPELMRAIAKQGYEKPTTIQCQALPIVLSGRDIIGMAKTGSGKTAAFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIFLETKKFAKAYGIRASAVYGGMSKLDQFRELKAGCEIVVATPGRLIDMLKMKALSMMRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTSLFSATMPRKVEKLAREILTDPVRVTVGEVGMANEDITQLVHVIPSDSEKLPWLLEKLPGMIDEGDVLVFASKKATVDEIESQLSQKGFKVAALHGDKDQASRMEILQKFKSGIYHVLIATDVAARGLDIKSIKSVVNFDIAKDMDMHVHRIGRTGRAGDKDGIAYTLITQKEARFAGELVNSLIAAGQNVSMELMDLAMKVGRKAEEGV >EOX95285 pep chromosome:Theobroma_cacao_20110822:1:33652429:33657370:1 gene:TCM_004826 transcript:EOX95285 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MSKRKFGFEGFGINRQPTYNFERAQAPQRLYVPPSSRHGHDNYEDTDLDNIDYADNDTSREADKNNPNNNGNGAEDDEIDPLDAFMQGIQEDLKAPPPPKPKEKAERYRDDDEEDDPVESFLRAKKDVGLTLAADALRAGYDSDEEVYAVAKAVDAGLLEYDSDDNPVVVDKKKIEPIPALDHSVIEYEPFNKDFYEEKASISGMSEQEVAEYRKSLAIRVSGFDVPRPVKTFEDCGFAPELMRAIAKQGYEKPTTIQCQALPIVLSGRDIIGMAKTGSGKTAAFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIFLETKKFAKAYGIRASAVYGGMSKLDQFRELKAGCEIVVATPGRLIDMLKMKALSMMRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTSLFSATMPRKVEKLAREILTDPVRVTVGEVGMANEDITQLVHVIPSDSEKLPWLLEKLPGMIDEGDVLVFASKKATVDEIESQLSQKGFKVAALHGDKDQASRMEILQKFKSGIYHVLIATDVAARGLDIKSIKSVVNFDIAKDMDMHVHRIGRTGRAGDKDGIAYTLITQKEARFAGELVNSLIAAGQNVSMELMDLAMKDGRFRSKRDARKGGGKKGRGRGGGGGGGGGGRGVRGVDYGLGIGYNPESSISSSQAAQSRTAAVNSLKTGMMAQFKSSFVAASSTSQGQGFSNSSSIRRPTLAGFVSGGTIGGDINRAQTASSLTTAPTSGLNTSQNTGQNTSQKNSESSRDRPRERRRPSGWDRCLQLWGILDPRQWRVFVEYTLRVAIHDLRFICPRLLFIFKLGNTAKKIVGQEQQPCTTSC >EOX95286 pep chromosome:Theobroma_cacao_20110822:1:33652767:33656586:1 gene:TCM_004826 transcript:EOX95286 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MSKRKFGFEGFGINRQPTYNFERAQAPQRLYVPPSSRHGHDNYEDTDLDNIDYADNDTSREADKNNPNNNGNGAEDDEIDPLDAFMQGIQEDLKAPPPPKPKEKAERYRDDDEEDDPVESFLRAKKDVGLTLAADALRAGYDSDEEVYAVAKAVDAGLLEYDSDDNPVVVDKKKIEPIPALDHSVIEYEPFNKDFYEEKASISGMSEQEVAEYRKSLAIRVSGFDVPRPVKTFEDCGFAPELMRAIAKQGYEKPTTIQCQALPIVLSGRDIIGMAKTGSGKTAAFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIFLETKKFAKAYGIRASAVYGGMSKLDQFRELKAGCEIVVATPGRLIDMLKMKALSMMRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTSLFSATMPRKVEKLAREILTDPVRVTVGEVGMANEDITQLVHVIPSDSEKLPWLLEKLPGMIDEGDVLVFASKKATVDEIESQLSQKGFKVAALHGDKDQASRMEILQKFKSGIYHVLIATDVAARGLDIKSIKSVVNFDIAKDMDMHVHRIGRTGRAGDKDGIAYTLITQKEARFAGELVNSLIAAGQNVSMELMDLAMKDGRFRSKRDARKGGGKKGRGRGGGGGGGGGGRGVRGVDYGLGIGYNPESSISSSQAAQSRTAAVNSLKTGMMAQFKSSFVAASSTSQGQGFSNSSSIRRPTLAGFVSGGTIGGDINRAQTASSLTTAPTSGLNTSQNTGQNTSQKNSERVPEIDLGKGGGPLGGTVSL >EOX90952 pep chromosome:Theobroma_cacao_20110822:1:1157853:1164139:-1 gene:TCM_000280 transcript:EOX90952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 3 isoform 1 METSSFSRLLIAFCLALCLGCQVTQCSVTYDRKAVVINGQRRILFSGSIHYPRSTPDMWEDLIQKAKDGGLDVIETYVFWNVHEPSPGNYNFEGRYDLVRFMKTIQRAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTEKIVGLMKSHNLFESQGGPIILSQIENEYGAQSKLLGASGYNYVTWAAKMAIETGTGVPWVMCKEEDAPDPVINTCNGFYCDTFQPNKPYKPTMWTEAWSGWFTEFGGPLHHRPAEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHRAIKMSERALVSADPIVTSLGSFQQAYMYTSESGDCAAFLSNYDTKSAARVLFNNMHYNLPPWSISILPDCRNAVFNTAKVGVQTSQMQMLPTNAEMFSWESYDEDTSSLDDSSTITADGLLEQINVTRDASDYLWYITSVNIGSSESFLHGGELPTLIVQSTGHAVHIFINGQLSGSAFGTRQNRRFTYTGKVNLRAGTNRIALLSVAVGLPNVGGHFETWNTGILGPVALHGLDQGKWDLSWQKWTYQVGLKGEAMNLVSPNSISSVEWMEGSLAAQKQQPLRWHKAYFNAPEGDEPLALDMESMGKGQIWINGQSIGRYWTAYAHGDCNGCSYAGTFRPPKCQLGCGQPTQRWYYTKSVGYF >EOX90951 pep chromosome:Theobroma_cacao_20110822:1:1157289:1163837:-1 gene:TCM_000280 transcript:EOX90951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 3 isoform 1 METSSFSRLLIAFCLALCLGCQVTQCSVTYDRKAVVINGQRRILFSGSIHYPRSTPDMWEDLIQKAKDGGLDVIETYVFWNVHEPSPGNYNFEGRYDLVRFMKTIQRAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTEKIVGLMKSHNLFESQGGPIILSQIENEYGAQSKLLGASGYNYVTWAAKMAIETGTGVPWVMCKEEDAPDPVINTCNGFYCDTFQPNKPYKPTMWTEAWSGWFTEFGGPLHHRPAEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHRAIKMSERALVSADPIVTSLGSFQQAYMYTSESGDCAAFLSNYDTKSAARVLFNNMHYNLPPWSISILPDCRNAVFNTAKVGVQTSQMQMLPTNAEMFSWESYDEDTSSLDDSSTITADGLLEQINVTRDASDYLWYITSVNIGSSESFLHGGELPTLIVQSTGHAVHIFINGQLSGSAFGTRQNRRFTYTGKVNLRAGTNRIALLSVAVGLPNVGGHFETWNTGILGPVALHGLDQGKWDLSWQKWTYQVGLKGEAMNLVSPNSISSVEWMEGSLAAQKQQPLRWHKAYFNAPEGDEPLALDMESMGKGQIWINGQSIGRYWTAYAHGDCNGCSYAGTFRPPKCQLGCGQPTQRWYHVPRSWLKPTQNLLVIFEELGADPSRISVMKRSVSSVCAEVSEYHPNIKNWQIESYGKAEEFHRPKVHLHCNPGQAISFIKFASFGTPLGTCGSYQQGPCHAPASYAILEKKCIGKQRCAVTIANSNFGQDPCPNVLKRLSVEAACAPITSTTGQPNRGG >EOX90872 pep chromosome:Theobroma_cacao_20110822:1:880722:884489:1 gene:TCM_000220 transcript:EOX90872 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MASTLSVLATFTIIRSTFNDFIPKEIREYLWSFIRRFSSEFALVIEESHDGSSNHLFKAAMAYLGSHVLSASSAADSPKRLTVGKNENVRMFTFGLDRHSEIVDFFHGVPMKWKYGSDVNTNNNQLTSESRWYELSFQKKHAAMVKSKYLPHVVAMARKLKNQNRMVKFHSIRRERWCSSAVNLEHPMTFNTLAMDGDLKKDVIEDLDSFINGKEYYKKIGKVWKRGYLLYGPPGTGKSSLIAAMANHLNFDIYNLNLSAVNSDSSLEYLLLHVSNRSILVIEDIDCTVKLQNREAGDETGSYPHVQVTLSGLLNAIDGLLSCCGDERIIVFTTNYKDRIDPALLRAGRMDKHICLSYCTVSTFKQLAANYLGLSNHDLFCHIEKIIEGIKVSPAEVAGQLMKSRDPKTCLNGLIQFLESKTLGMRDLQVKEVSELLLFLFVALLCACNNHPLTCLHQASEATSERTKPEGEGSSNPKQEEGCKSKAATKTDMTNGDSPNHNAITFLAVGSNPTTAGEYTVKAELAPILRAVLLKYGDIVANSLLNSMQCRSSLLEIACGIIQKLQAVKLEDVTELELQSMLTSVSDLESLKLQISWLHKRLDEIVEALQLVKQSSTLKEDMSKNLQEIVELEKELESCDIQKPEQQKKSLQIQEMKAVTEKLSETISSTESKLSYFQQRSLVDGLL >EOX94811 pep chromosome:Theobroma_cacao_20110822:1:31554363:31556358:1 gene:TCM_004428 transcript:EOX94811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MPFHSFSFLLFFLLSIFSVPSPAHAISFVAPIGKDNSTLLYSLTLYLKTPLQPTRLHLDLGSSFTWVDCDTDYNSSTYQHIPCGSPLCSSLGHNLSCSNCFNPPSPSCANNTCSLFPENSITRKTAISTALTDSLALPTSDGSTHGPPLLISAYIFSCSPPSLLEGLAKNVTGLAAFGRSNYSLPVQVSDTFSIPRCFALCLPGSTADPGVVLVGSLGPYYFSSQKIDLSKSLIYTPLILNPVGSTVITYVGQPSDEYYINLTAINVNGKPIQINGSSLNVDKNGFGGTKLSTSTTYTVLETSIYNALTDAFVNESSALNLTVTNAVKPFSVCYSAADIIVTRVGPGVPTVDLVMQSDDVFWRVFGSNSMVQIAGDGGDVWCLGFVDGGVNPRTSVVIGGHQMEDNLLQFDLDSNRLGFTSSVLLKGTTCADFNFAYQGHF >EOX95215 pep chromosome:Theobroma_cacao_20110822:1:33348982:33349484:1 gene:TCM_004766 transcript:EOX95215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESYGSGQRPCGVDRRAGIVSGKRSNVGPNQIFSSRSRSPDLQPVPNRTARPSQGASKPWGFTDPEMKRKKRIAKYKVYTVEGKVKASLRKGLCWIKNKCSQIVHGY >EOX93416 pep chromosome:Theobroma_cacao_20110822:1:12893463:12898813:-1 gene:TCM_002289 transcript:EOX93416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase MAVLLNIPVLSMLLLLFTTSIPKAQSTVVDVVAKYGAKADEKTDLSTPLLNAWKEACASTTPSKIVIPKGTYRLSRASLDGPCKSAIELQVSGIIKAPADPSAFKDPNWVVFNHVDHLTISGGGVFDGQGAAVWGKNNCAKNKYCAALPINLRFNYVSNAIVQGITTKDSKQFHVNVLGCRNFTFQHFTVSAPENSINTDGIHIGRSNGVKVLDTNIKTGDDCVSLGDGSKNVIVERVTCGPGHGISVGSLGKFNDEEPVSGIFVRNCTLSNTMNGVRVKTWPDSHPGSATDMHFEDIILNNVGNPILIDQEYCPWNLCNLKVPSRIKLSNISFKKIRGTSSTQQAVRLVCSRGLPCDRVELADIDITYKGPGGPATSECTNVKPRTSGKQNPPACSSPAKRTGA >EOX95677 pep chromosome:Theobroma_cacao_20110822:1:35175903:35177821:-1 gene:TCM_005124 transcript:EOX95677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF688) [Source:Projected from Arabidopsis thaliana (AT4G00950) TAIR;Acc:AT4G00950] MGSEAEKESSCTPKLPLFSASHAHMQSPERSGMLTPPLHALASVPFRWEEEPGKPKPCTTLATIFSNPNDFAQKCLELPPRLLLDAKITDKLPSPTTVLEGPYRGRARFQSSSFRMASECYGSFRAGSYSPEMVHLDTMVLSKRGYKEKGFLGSWRRRALKARREVGGKSYVFPSSGDRDSECCREGEESSGSTSVKITRIRRVGSFSSLSHSKSHFWASIYEGLKQVVPWSKRERKDGLLG >EOX95579 pep chromosome:Theobroma_cacao_20110822:1:34700430:34701252:1 gene:TCM_005031 transcript:EOX95579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative MIQPFNSIKFSQLMVITLLTHLLTHLKFVLMVKDFGWCQVQKLSKLFQEHGSSYHQDHQGYAEADNEERPSPALVPVPFMSHVVSTLIKTKLPVVEFSRSKLQGIGEQSVVCAICLACIKGSEETRELANCSHAYHRECVDGWVDQGHGTCPLCRLKLLPCQADGDAIKGEKDPWRSERFAYLFGEDYLFDTY >EOX96262 pep chromosome:Theobroma_cacao_20110822:1:37139861:37145231:-1 gene:TCM_005542 transcript:EOX96262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily, putative MFFSSCCTSSYLLSDLFVKLSSNATTNSGELPHISVLVVRVIDSAPNVHVVVVTDRSVELFPKLPHIPTSDELFPTNTDEEFFPTNTDEVSPNFTSNTSNELTHVPALVILVLLLKARMTPGLQWSMCFLPHRATYIQKRSPGTKSMQAISLIHVNKMSASVTTFLLLLAMATTSCSQELAEVVQMAKTMVVQSRNWAKASETLHGLDRSRSCSLVKAIRTLDDARTWLSGVPANHRTCLEGLGERGVVIQDHADAHQNLTTLLSEALALYGKHVVIKADFVVATDGSGTHKTINEAVAAVARMGNRRPQRVIIYVKSGVYSENVDIDRNVKNVWLVGDGMDKTIVTGSRNVPDGATILGSATFGVSGDGFWARDMTFENTAAPNKLQAVVLRVSSDHSVFYRCSFKGYQNTLFVQSLRQFYRDCHIDRTIDFIFSDAQVVLKNCDIFVKRPMNQQANMITAQGREDSNENTGISIQGSRVRPAADFDSVKHLFKSYLGRPWKRYSRTVFLKTDLDGLIDPKEWTEWSGSFALSTLYYAEYMNTGSGASIAHRVNWPGFHVLDSAQEAVPFTVSRLIQGEAWIPETGVPFWPQI >EOX94741 pep chromosome:Theobroma_cacao_20110822:1:31120958:31125778:-1 gene:TCM_004350 transcript:EOX94741 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MDTLLHPPPTSSMAKRPCPSSSQNPRLPSHFSQMDHLLNFLLSLADSSPPISLDLSFDRLLESSPSDAEQSILIDRAHKLGSLLLGAANRSARKRASLHNSIAWVLPPDLTIKVFSMLDSQSLCYAAATCSMFNKCAMDPLCYANIDLTTVVPKVNNAVVSTMIQRAGKSLQSLKLGIVPGPTASRGSCQPLVYTIRNSVDVSSISWNDKKTRQGKESSILTRSCLYPLTGDNGAAGTLLRRLHLYNIERMDNASLCVALAACPSLLDLEIVGLHVELRQTLMSVSSNCHLIERLFFESSKTGRDDSLKSPTCVDLVNNCPNLSSLSLRGFKLHDYKVRILVKGFRKLKYADFSTSYSITGTFLRNLGSGSGGNLLEVLVLRDCMHLKEMEVARFLTAVLAGDFKFLRHLDISNREGLASEGDWYQRSYNSSIIPLKEVFEVRPNICVLAEFPSEGSFIDIDHMIDSDINSDVSLPSQLSIHTSDGSLLMSSSESSYNSDQGSGNEEYQDSGFVIFEESSDEVDFLVV >EOX94069 pep chromosome:Theobroma_cacao_20110822:1:19804481:19810410:-1 gene:TCM_003153 transcript:EOX94069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKFQWTGLLEGHALADSVGCPGPTCHRIGISQVCNHRRVWSTVDSGLTGLSSQACLGLNGSFPKVSNVGNDREGSLQGWYQSPRFSRVLGYCCVKQRSSGVDVKSCYSQ >EOX94831 pep chromosome:Theobroma_cacao_20110822:1:31626691:31630646:-1 gene:TCM_004443 transcript:EOX94831 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MIIRARNLSPSKLKPLSSLSLFFTEPFTSTTAAKTSIPLLPMTKPQISNKTPHLLFFTNHFTFQSTATPSPPKKYQKHQQKQESSSSKSLTLNRDGNYDDATPHNVVCPGCGVHMQGSDPKHPGFFIKPPTKTKDLMLKTGSRYLVPVSTEPEFTVFLKKGVLLEEKGESTDIEEENLDKEMPEKPVVCARCHSLRHYGKVRDPTVENLLPEFDFDHTVGRRLGAVSGARSVVLMVVDASDFDGSFPRKVAKLVSDVTEENYTAWKQGKSGNVPRIVLVVTKIDLLPSSLSPTRYEHWVRQRAREGGASKIAKLHFVSPVRDWGVKSLVEDVVEMAGPRGTVWAVGAQNAGKSTLINAVGKCVGGKIGFLTEAPVPGTTLGIVRVEGVLPGQAKLFDTPGLLQPHQMTTRLTREEQKLVYVSKELKPRTYRIKAGHTVHIAGLMRLDIEESSVESLYVTVWASPYLPLHMGRTENAHRMLEDHFGRQLQPPIGEERVEELGSWVRKEFHVSGHSWDSSSVDLAAAGIGWFAIGLNGEAVLGVWTYDGVDVVLRNALIPHRAQLFEEAGFTVSKIVSKADQALNKSQKQNEKKKKQSDQKTAITAEF >EOX92766 pep chromosome:Theobroma_cacao_20110822:1:8518884:8520245:-1 gene:TCM_001648 transcript:EOX92766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyesterase 18, putative MKESISLSQSDSQQHKIARPSLPWTVRLPVSIVSTLIDNVCRPNGTSNRRLINFLDYQTPPKPANSVSSTDISLDATRDLWFRLYSPSINQLLPVLIFFHGGGFSFLSPASLPYAMLCRKFAFNLPAIVISVNYRLAPEHRYPSQYQDGFDVLKFLDENSATVLPKNADLSRCFFAGDSAGANLAHHVAVGACRTELRTMKVIGLISIQPFFGGEERTEAEFQLGGPSLLVSVPRTDWCWKAFLPEGSNRDHGAANVSGPNAEDISGLDFPKTMVVVGGFDPLKDWQRRYYTWLRKSGIEASLVEHPNMIHGFYVFPHLAEASLLVLQIKDFIAKCTSKVPNSKPGTFAAPIQVSP >EOX91994 pep chromosome:Theobroma_cacao_20110822:1:4825196:4827131:-1 gene:TCM_001026 transcript:EOX91994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVAMATRNNTLMNGKAIRMTWPHDEYKPNDDANLFVKDSSIDNMKLQAIFANYGNILSCKVQVFDNGNSKGYGYVQFETPESAQKAIEELNGRDIKGKNMYHVSPQASTCFCTDCRLCKSTWERMNGHTIAHDRNHPFQHSYPQRVVGANNMRNGHQIVGNNEGVVRVPTATAAFSAFNPFGF >EOX90781 pep chromosome:Theobroma_cacao_20110822:1:611730:612801:-1 gene:TCM_000153 transcript:EOX90781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein, putative MVLSKLVAFIYNLIGVRVHARIKEAAAAYAVIKPDISKKVKEGQSNFQARPCAGEASEGEICCVCLSGMKEGDDMRVLPCLHQFHRVCVDRWLDGCRKNCPVCRFSMGEEERFHRREAFTEEMLIWFSSFHVAGF >EOX94463 pep chromosome:Theobroma_cacao_20110822:1:28851473:28857967:-1 gene:TCM_004048 transcript:EOX94463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT2G31190) TAIR;Acc:AT2G31190] MDHDGQRGSSTPSVVLPSLLSKRAKLQEELRNIERQVYDMETSYLQDPSQCGNVLKGFEGFLSSSKNTALLKRSRKFQPEDRLFSLSSVTSPAAEEIAAGRDDGKSDYGPGRPKGGGYFANGQGKPKKGRAREAKRMRHSSEPDFDYDDDPDVAPSLFRFLCSDFPRLSRREYLSYPLPPAAPALVKGILISFRMNILEKLKMQKKEPDEGKAPKVEVPVHWLETSDSVTRRYEFEPDGYLSVKVVNDSRPVYHRVVESFLNKFFPSGYPYSVNEGYLRYTQFRALQHLSSAALSVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHMGKLICSNLGARMDSEPKRWRILADVLYDLGTGLEVLSPLCPHLFLEVAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVVGIGVGIQLAATLCSSMQGKLVVGPLLSIIHVYSVVEEMRAAPVNTLNPQRTAMIVADFLKTGKVSGPADLRYREDLLFPGRLIEDAGNVKVGRALHKVVKPSKLNEWKEMFPEEKFVLSHGNKWCDMLLEHNATAEDALRGWLVAAFAARMEKSLNEPIGSVLQDAYDRMNTMFTPFLSELQAKGWHTDRFLDGTGSRFVF >EOX96334 pep chromosome:Theobroma_cacao_20110822:1:37405335:37407071:-1 gene:TCM_005605 transcript:EOX96334 gene_biotype:protein_coding transcript_biotype:protein_coding description:F1E22.6 MAFVLRNMFSLFTFVATFSLLFNSCYCFYPKLLNASLAATESDWSPAGATWYGSPTGAGSDGGACGYGAAVEQGPFSAMVSAGGPSLFKSGKGCGACYEVKCTTNSACSENPVTVAITDECPGCVSESVHFDLSGTAFGAMAKSGQAEQLRNAGVLQIQYRKVKCNYPGKTIAFHVDAGSNPNYFATLVEYENGDGDLGSVDLKQALDSDTWLPMQQSWGAVWKLDAGSRLRSPFSIRLTSLDSRQTLVAAGVIPAGWEPGQTYRSVVNFNG >EOX94671 pep chromosome:Theobroma_cacao_20110822:1:30764165:30765219:-1 gene:TCM_004285 transcript:EOX94671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin-repeat containing-like protein MKDSAFRAVDQKGNSALHLAAMLGDHKPWLIPGAALQMQWEIKWYEFVKKSMPVHFFARYNKDNKTPRDIFTETHKNLLQKGGDWLTNTSESCSVVAALIATVAFAASTTVPGGVKSENGKPIFENHPAFEAFAISSLIALCFSVTAVVMFLSILTSRYEEKDFGKDLPRKLLLGLTSLFVSIASVLVSFCAGHFFNLKDKLKDAAFPVYTVTCLPVTLFAMAQFPLYIDLAWALFKKVPQRSDRAIAL >EOX94254 pep chromosome:Theobroma_cacao_20110822:1:26865794:26872868:1 gene:TCM_003811 transcript:EOX94254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) plant phosphoribosyltransferase family protein, putative MYSSDVKPTTKQLWEPHIGVLEMGILGATRLMPMKIKEGKGGTTDAYCVSKCGYKCMRTRTVVDSLSLKWNEQYIYEVFGPCTVITIDALCALFECEPIGEPEVSSYECGGILGSDLDIHPTWTPDSPMLRMFIQMNCMRNLTHSQQVKVHMWLE >EOX96421 pep chromosome:Theobroma_cacao_20110822:1:37734010:37734622:-1 gene:TCM_005670 transcript:EOX96421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFEQYYYPKKAYFCSYIKLLDSLVDTNEDVDLLVKEGIIVNRLGSSEAVAKMINKLAVGVVHSTLLYGEIGMDLDQHYKNSWNRRMATLKHVYFNDLWRGTATVAAFIVVILTLMQTVLAILEKGALSK >EOX91664 pep chromosome:Theobroma_cacao_20110822:1:3470261:3472558:-1 gene:TCM_000782 transcript:EOX91664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase, putative MLKMGLIFCFSFFCFLGLTGAGQESIEYLTLYDATPEVVHALSHSGLPVAVPVSGNVLSEVSSSVLKAESWLRLHVLAHFPATKITTILVGDTLFCQKDQEDSLGLLLPSLKNIYHSLTRWGLEKDIKVSASLSSSCLHQSSALFGDDLTEKVIRPLLEFLQNTNSTYSITAPPNLSPFTHESLTSLTSHLDFMKRFGSFDRTKVNVIVSGQQEKTPRSRKLSVVDSKLVKPYPARPTPLPEISPSSLHSSIGFSVPANVAKKPHPPQYPTSSPPPFSFPSGSSPPPVSFPNGSPPPFSIPIAPELPPPFVPASSPDGLYLPPCNPVDNTAPAPKTGVVQKLWCVAKPSVPAETLQEAMDFACGEGGGDCQEIMPHGSCFYPDTVVAHASYAFNSYWQKTKRDGGTCNFGGTAMIINADPSFLQCRFVLS >EOX92520 pep chromosome:Theobroma_cacao_20110822:1:7279435:7280817:-1 gene:TCM_001463 transcript:EOX92520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRVSNSIEVLKRDVKAILFKHIFREDNNFADGLTKAAVMRLGSSGLRLGLRRWRLVLVGVASAFSCMALGRSQMSKVRVFALGPLHVLPVEGNGFQFRRSLEF >EOX95876 pep chromosome:Theobroma_cacao_20110822:1:35872338:35874352:1 gene:TCM_005273 transcript:EOX95876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L5P family protein MACPPLLQSTASSFHGQSPFLSPPFSVRLPYGNLRNGYGGVVSVKATADVVLVEKSDAEKSNRLKTTYLEKIIPLLKEEFNYTNIHQVPKIEKIVVNCGIGDAAQNAKGLEAAMNEMAMITGQRPVKTRARNSIATFKIREGQPLGIAVTLRGNVMYSFLDRLINLGLPRTRDFQGLNPNSFDGHGNYSIGIREQSVFPEIRFDALGRPRGMDVCITTTAKSDKEGQKLLALMGMPFREGGGPSVQPRKKKLKAHHFEKKSGRGRR >EOX92162 pep chromosome:Theobroma_cacao_20110822:1:5552185:5553147:-1 gene:TCM_001157 transcript:EOX92162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen-specific protein C13, putative MATKLFLLLALCVLPVLVNARREPFRIVGKVYCDGCRAGFETSKCSYIPGATVEVKCYDRTTLSLKFSVGAVTDSTGTYDILVEDDHQDQICYASLVSSPIPSCRNADPRRNKATVILTRSNGVISNLHYANAMGFLQDEPEAGCQELLQKLLEDDA >EOX91001 pep chromosome:Theobroma_cacao_20110822:1:1294840:1299355:-1 gene:TCM_000313 transcript:EOX91001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVTLVLHCLLNSIDGLRSSCGEERIVVFATNQKDKPDPALLRPGRIDVLINMSYCSNQGFRLVSNYLDVHGGKQTELATWSFRKGFQFATMRSPSFATWRLEERSSDEKESYDGFGVSSRAPFQKRV >EOX93709 pep chromosome:Theobroma_cacao_20110822:1:15236664:15261968:1 gene:TCM_002627 transcript:EOX93709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 1.1, putative MEMKRKNQNLLLFVIIYLTICLENLSAKPVLTNKTGNEMAYEVHVGVILDMGSLEGKILQSCISMAISDFYSGHHYYKTRLVLHTRDSKGETLNALSAALNLLEDAKVGAILGAQTSWEAKFLAEFGDKYKIPVISFSTPSSFPISTRSPYFVQIAHDQTSQVKGVAALIEIYKWRNVILIHEENDGLNLDDTISYMAAFFEEKNIRIAFMSAIAASSEDDQIIEQLHELRTLQTTVFIVHLSYFLTSRLFINAKRLGMMSEGYAWIVTSKGMNHWHLRDSSIVESMQGVLGFRSYTPASEELHNFTSRLRTKFFTEGPLEIQDMQLNILGLLAYDVAWSLKSAAERVAVKVSFNSNLDARSNSMDLDIYRTSMYGSMLLQEILRSNFKGLSGEFRFINGKLISNAFEIVNVISNGEKRVGFCTSTGKITREIYESNHRRQLPFTNNLESIIWPGGSSTIPQGRMLQTSGKILKIGVPLQVGYPQLVEVKRDPRTNATTFTGFCIDVFKAALEGLDYQLPYQFIAFEDPNGKRAGTYNELIYQVYLQKFDAVVGDTTITANRSLYVDFTTPYTDIGIGILAPTENKDMWIFFKPLAPKLWLTIVGFHIITGFLIWLIESQSPRPSAESLPSQRNGFPFSILVGRWEQLRNNWSTFLAVVSLFVMFILSSSYTATLASMMTVQHIELNSKRSYIGYHVSSNITKGVLINNLNFEDDSLKPFNSIKEFANALSKGSKNGGVSAILDELPYIKIFLAEHSADYSLLKSLSTTNGFGFVLPKGSPLVPDISKEIARLRESGWLNMLENTWFKSKASLTSGDSAGNVRPLTPTNFGGLFLISGILSVVAFLIFQIPLLNKYWLAVRNWMINSVNVWRQVHQFGKENFSINIFRRIRTANSAATHPQV >EOX95349 pep chromosome:Theobroma_cacao_20110822:1:33835275:33839314:-1 gene:TCM_004866 transcript:EOX95349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSFPRSLVLNFPVIGSNHYPIVINACTWDTTALEMFWFENMWVENIEYDKGRCCNFVFSMVVQYNLGKLLLYIMKSTFQTKEVLIKAVASVIHVHVMNCCKISKKIYDQVNFEMAGFWQEK >EOX91209 pep chromosome:Theobroma_cacao_20110822:1:1939516:1942309:1 gene:TCM_000470 transcript:EOX91209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein / peptidoglycan-binding LysM domain-containing protein, putative MGSFSLISLFTLSLFCCSYLIHAQQPYVGRKTTDCTNPDTSDSVLGYTCNGLNRSCQSYLIFRSQPSFNTVASISNLLSSDPSQIAEINEVSETASFETNQMVIVPVNCSCSGDYYQVNTTYTVQSGDGYFSIANNTFQALSTCQAIQNQQPDIPSQSLTIGLRITVPLRCACPTKNQTDAGINYLLSYLIAEGDSVPGISQLFGADTAKTLEANELSDPTINFFTTLLVPLQDPPSKITVPSPPAPPPSSPPPPPPPPSGSSNKTWIYILVGVLGGGALILVVGAVIFCVFFRKSKKKPDLIITSESFEAHGKPLKKKLDDESQDVLDSMSNIAQSLNLKLYKFEELQVATDNFSPSCQIKGSVYCGVIKGDFAAIKKVHGDVSKEIELLNKVNHSNLIRLSGVCFQDGHWYLVYEYAVNGALSDWIFHNDNSGKYLSWKQRIQIALDVATGLNYLHSFTNPPHVHKDLKCSNVLLDGDFRAKIANFALARATEGREGQFALTRHIVGTKGYMAPEYVENGFVSTKLDVYAFGVLLLEIITGKEAAAFYGEEYMNLSDILSNVLHEKDGQESLKHFIDPFMLENYPSELAILVVKLINTCLKKDPTARPAMHEIVQSLSRILTTSSRDSSRNMSWSQASSGSY >EOX94163 pep chromosome:Theobroma_cacao_20110822:1:24174714:24176091:-1 gene:TCM_003533 transcript:EOX94163 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta-hydroxysteroid-Delta(8), Delta(7)-isomerase isoform 2 MEHPYVPRDLQLPGYVAISLSQSTILGVFGLASLFVVSLVWIVSGRLRKVSNLDRFLMCWWAFTGLTHMILEGYFAFSPEFYKDKAGFYLAEVWKEYSKGDSRYAGRDAAIVTVEGITAVLEGPTSLLAVYAIAKGKGYSYTLQFAISLGQLYGTLVYFITAYLDGDNFAASPFYYYAYYVLANSFWVLIPSLIAVRCWKKISLAVQGQSQKKNKVR >EOX94164 pep chromosome:Theobroma_cacao_20110822:1:24174135:24176253:-1 gene:TCM_003533 transcript:EOX94164 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta-hydroxysteroid-Delta(8), Delta(7)-isomerase isoform 2 MEHPYVPRDLQLPGYVAISLSQSTILGVFGLASLFVVSLVWIVSGRLRKVSNLDRFLMCWWAFTGLTHMILEGYFAFSPEFYKDKAGFYLAEVWKEYSKGDSRYAGRDAAIVTVEGITAVLEGPTSLLAVYAIAKGKGYSYTLQFAISLGQLYGTLVYFITAYLDENFSGGAGPKPEEEQGSLR >EOX94648 pep chromosome:Theobroma_cacao_20110822:1:30706805:30707974:1 gene:TCM_004277 transcript:EOX94648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYTSPRPKTASFRQREEFKGSTPKRRRLRESLGEGQADQGSGPGRPPRGPDNLGHPSGPSKIPSFYSVIARHSYFAYGAGIPMMGFPRGARRDEFFRGYL >EOX91892 pep chromosome:Theobroma_cacao_20110822:1:4387819:4389724:1 gene:TCM_000956 transcript:EOX91892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger DNA-binding family protein MMSPDNPPVKPATKEENQSGSNRKAASTRPQEQALKCPRCDSPNTKFCYYNNYSLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKKIKSSSRLSGDSKDSTSSSEMGGLKFFHGLSPAMDFQLGGLSFPRLNPSPAGIYNQFASFADSTSPSFSLDPSGSSNSLMGLSNYPLSSVTSGLSGAIQEMGSLNVNSSLTSSIESLSSINQDLHWKLQQQRLAMLFGGENQKENSTVSSVPLDNQAQKPQPILFQNLEVSKPEISAVGNPRKGTSNETATEWFFGSSYAPVTPTPTTSSNGNDNTSNWNGVQAWNDLHQYSTLP >EOX92452 pep chromosome:Theobroma_cacao_20110822:1:6904473:6906313:1 gene:TCM_001398 transcript:EOX92452 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYS, MET, PRO, and GLY protein 2, putative MRRDDLYITVPSFFRCPISLDVMKSPVSLCTGVTYDRASIQRWLDSGHNTCPATMQVLQSKEFVPNRNLQRLIQIWSDSVARRQVEADSAATSVVVPSPDQVKVLVKQLDNNCFSSLAKIVCFAKESEENCEFLARMDGFLNAVFDFMRNADSDIKLIEQVVKILDLMSSKILDKKPLLESNCLSTILLVFQGGCLDSQIQSVRLLESIAVDGESMLKIAEKDGLVSELVKSIRKEKDPRLIEASLSCLIAITMPKRIKTRLIQSRTIPELKNLVSEPNTTVSIMEKSLKLLETLSSCKEGRAEIWHDTILLQAIMQKVLKVSSKATEHAVTILWSVCYLFRDEKAQEAVVSSNGMTKFLLLMQSNCSPAVRQMSADLLKIFRVNSKSCLSSYDTKTTHIMPF >EOX91952 pep chromosome:Theobroma_cacao_20110822:1:4592817:4596203:1 gene:TCM_000991 transcript:EOX91952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine phosphatase-like, PTPLA isoform 1 NFLLCFLFSLSRYLLFQFPFTPIPLYNLLYSVAKLGLLFVQVNSLSFRCVSKCPICHGHPFRCSQAPLPFHLQLDRLHRLVLFLALKSLKESGHEHVYNAVEKPLLLAQSAAALEILHGLIGLVRSPVSSTLPQVGSRLYLTWGILWSFPEIRTHILVSSLVISWSITEIIRYSFFGMKEAFGFAPSWHLWLRYSSFLVLYPTGISSEVGLVYFALPYIKGSEKYSVRMPNKWNFSLDYFYLAILALGFYVPGSPHLYRYMLAQRKRALSKSKKE >EOX91951 pep chromosome:Theobroma_cacao_20110822:1:4593085:4595461:1 gene:TCM_000991 transcript:EOX91951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine phosphatase-like, PTPLA isoform 1 MATLFAALRRLYLSIYNWTVFIGWFQVLFLALKSLKESGHEHVYNAVEKPLLLAQSAAALEILHGLIGLVRSPVSSTLPQVGSRLYLTWGILWSFPEIRTHILVSSLVISWSITEIIRYSFFGMKEAFGFAPSWHLWLRYSSFLVLYPTGISSEVGLVYFALPYIKGSEKYSVRMPNKWNFSLDYFYLAILALGFYVPGSPHLYRYMLAQRKRALSKSKKE >EOX92935 pep chromosome:Theobroma_cacao_20110822:1:9493133:9494485:1 gene:TCM_001797 transcript:EOX92935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein isoform 1 MDGEMDPEITSLFMDFLMWEPVDLMLMKKRLESAPPLDGNPRPKKVFLLLSIKAKILSGNISEEILDHLEMIERIDRSQCLRITDSMNQAYCAVALECTAKYLAVNWDGNSRYLDAVNRIWRGRIANLEKSKASKLVTTDELRSRRDQVEAAIEDEEVANVLIATNSLNEAIRMIKVYLKEAQALMGISSLERECELFLERECESRFPVVEAE >EOX92936 pep chromosome:Theobroma_cacao_20110822:1:9493415:9495931:1 gene:TCM_001797 transcript:EOX92936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein isoform 1 MDGEMDPEITSLFMDFLMWEPVDLMLMKKRLESAPPLDGNPRPKKVFLLLSIKAKILSGNISEEILDHLEMIERIDRSQCLRITDSMNQAYCAVALECTAKYLAVNWDGNSRYLDAVNRIWRGRIANLEKSKASKLVTTDELRSRRDQVEAAIEDEEVANVLIATNSLNEAIRMIKVYLKEAQALMGISSLERECELFLERECESRFPVVEAE >EOX94132 pep chromosome:Theobroma_cacao_20110822:1:22422955:22471892:1 gene:TCM_003380 transcript:EOX94132 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein MGFSSIARTAICRKLLFSKPNYRSILSSSRWFSSHVGDISKNADSIPPSSAAYHVSSGGYMRGTVFWEPTKPLTIEEFHMPRPKAGELLIKTKGCGVCHSDLHVIKGEIPFSSPCVLGHEITGEVVEHGPLTDSKITQRFPIGSYVVGAFIMPCGNCFFCSKGHDDLCEDFFAYNRAKGTLYDGETRLFLRSSGKPVYMYSMGGLAEYCIIPAHALSILPKSLPYTESAILGCAVFTAYGAMRHAAEVCPGDSIAVIGVGGVGSSCLQIARAFGASDVIAVDVQNDKLQKAKTLGATHTINAIEEDAVERIKEITGGPGVDIAVEALGKPQTFLQCIQSVRDGGKAVMIGLAKSGAIGEVDINRLVRRKIKVIGSYGARARQDLPKLVKLAETGIFNLSSAVSQKYKFEEASKAFQDLNQGKIVSRAVIEIM >EOX90565 pep chromosome:Theobroma_cacao_20110822:1:7821:10437:1 gene:TCM_000002 transcript:EOX90565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase (CBS) family protein isoform 2 MIGAVQAFLLHGNTVKNAVLQRIRVVNPVKRPIIFSRFESVSSARMEEHGFESTTIADILKAKGKGADGSWLWCTTDDTVYNAVKSMTQHNVGALVVVKPGEQESIADYLRKIIVQGRSSKSAKVGDIMTEENKLITVTPETKVLRAMQLMTDNRIRHIPVIDEKGMVGMVSIGDVVRAVVIEHREELDRLNAYIQGGY >EOX90564 pep chromosome:Theobroma_cacao_20110822:1:7897:10405:1 gene:TCM_000002 transcript:EOX90564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase (CBS) family protein isoform 2 MIGAVQAFLLHGNTVKNAVLQRIRVVNPVKRPIIFSRFESVSSARMEEHGFESTTIADILKAKGKGADGSWLWCTTDDTVYNAVKSMTQHNVGALVVVKPGEQESIAGIITERDYLRKIIVQGRSSKSAKVGDIMTEENKLITVTPETKVLRAMQLMTDNRIRHIPVIDEKGMVGMVSIGDVVRAVVIEHREELDRLNAYIQGGY >EOX96415 pep chromosome:Theobroma_cacao_20110822:1:37695272:37696314:-1 gene:TCM_005663 transcript:EOX96415 gene_biotype:protein_coding transcript_biotype:protein_coding description:StAR-related lipid transfer protein 9, putative MEVEESGGDGDRPRVQSHWYWATASVAQFGWAVSSYRKGYAGDHRLMPMKTFAVASLFLGASASASVAFLNASGIHKVEDLMEVGAGKRTGLGIRPMAGDE >EOX93031 pep chromosome:Theobroma_cacao_20110822:1:10038381:10039212:-1 gene:TCM_001886 transcript:EOX93031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDWFRYGHGVIFTIATQFLLLVFLLSSKPVEAEDDKTLVRKICSQTLEPNVCLNCISADTGRGTSNITDLTFSVLFCMYSQAIYAHQCADHLFQNTAAVQLKKSFQVCKDVLFSASNTLWDGLTKLEVSDYKNAHLSAGIAHLDLFQCVFAFRRYANVPIPSVLLNYMVQTKRLFDVAQFMFLLI >EOX92271 pep chromosome:Theobroma_cacao_20110822:1:6014848:6019137:-1 gene:TCM_001241 transcript:EOX92271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNTMASLSNSKLSFQYHFYFKQSGKCFKQSPRRDLQVRASSSSAAPDVDLKTLESAISEKDSDAVKEALDQLSEVGWAKKWCSQPYVSRRTTSLRELTSLGIKNAETLAIPSVRNDAAFLFTVVGTTGFLGLLAGQLPGDWGFFVPYLIGSISLIVLAVGSISPGLLQAAIGGFSSFFPDYQERIARHEAAHFLIAYLLGLPILGYSLDIGKEHVNLIDEKLEKLIYSGQLDAELLDRLAAVAMAGLAAEGLKYDKVIGQSADLFTLQRFINRSNPKLSNDQQQNLTRWAVLFAGSLLKNNKVIYEALMSAMSKKSTVLECIEAIEKAA >EOX92272 pep chromosome:Theobroma_cacao_20110822:1:6014561:6018906:-1 gene:TCM_001241 transcript:EOX92272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNTMASLSNSKLSFQYHFYFKQSGKCFKQSPRRDLQVRASSSSAAPDVDLKTLESAISEKDSDAVKEALDQLSEVGWAKKWCSQPYVSRRTTSLRELTSLGIKNAETLAIPSVRNDAAFLFTVVGTTGFLGLLAGQLPGDWGFFVPYLIGSISLIVLAVGSISPGLLQAAIGGFSSFFPDYQERIARHEAAHFLIAYLLGLPILGYSLDIGKEHVNLIDEKLEKLIYSGQLDAELLDRLAAVAMAGLAAEGLKYDKVIGQSADLFTLQRFINRSNPKLSNDQQQNLTRWAVLFAGSLLKNNKVIYEALMSAMSKKSTVLECIEAIEKAA >EOX93240 pep chromosome:Theobroma_cacao_20110822:1:11482450:11484981:-1 gene:TCM_002079 transcript:EOX93240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MERSISLVFLSLFLFFNHSFFFQAHASEAITPPNEKVRFKLIHRHSPELGEDHGTTLGPPTSTRERIKQLVHSDNARLHTISQRLGPRRMTFEMKMMGSSNLVELPMRSAADIGTGQYFVSFRVGSPPKKFIMIADTGSSLTWMRCSYKCKNFSMDRTKLHERIFYANQSRTFKPIPCSSDVCKVELSQSFSLALCPTPMAPCAYDYRYADGTRVVGIFGNDTVKVRLSGGQKIKVTDVMVGCSEAIRGNFHDIDGVMGLGFDQHSFAVKAAKEFGDKFSYCLVDHLSPSNLVNFLVFGGVTSSPLPNMQFTQLILGIVNPYYAVNVSGISVNGKMLDIPSYIWDVKGDGGVIMDSGSSLTYLVKPLFDKVIAAFQAPLSKFKKLELNLGPDYCFSAAGFEESLMPKLAFHFADGAKLVPPVKSYVIDAEEAVKCLGFSSTSWPGPSVIGNILQQNHLWEFDLLNSRLGFAASSCTFD >EOX90590 pep chromosome:Theobroma_cacao_20110822:1:72783:81691:1 gene:TCM_000018 transcript:EOX90590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIEPEKPGFDADNGAGNQRLLLNPRGLVSSVFELGNYERVIFVSMSWFDMLAWLICLAGDLELITIVFLSEKFYANEFHIDETLRPKFVPGLVGCCLQDLSLEDPDKLLPQMQSA >EOX94186 pep chromosome:Theobroma_cacao_20110822:1:24942860:24946917:1 gene:TCM_003621 transcript:EOX94186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger family protein / calcium-binding EF hand family protein isoform 2 MHINCFQVDFGSLLSLRFTYLKLCLLPWAQIYRRHDIFRAGRSIRNNSNLAMVSDGIQNVWQPTYIQLKSLISSESCEQTYGFLPCTTTVLGNVFLILVYGYLMFRAAKLLCDGCEILLEILGPGIIGGLFLPVLSSLPDAIIILASGLSGSQETAQSQVSVGIGLLAGSTVMLLTVLWGSCLILGKCDLESALSKDLVDTKGFSLTGSGVSTDVWTSYAARIMAISIIPFIIVQLPAVLYTTSQSRIAILIALVVSISLLLSYSLYQVFQPWIQKRRIAFAKHKHVIAGILKHLSVRALGRLLTDGGEPNTSVIEKLFMMIDENGDGLLSPAELRALVLGIQFEEIDMDIEDAVDKVLKEFDTSCDSFIDIDEFVKGISKWLNEVRRTAEGQATSIHLHHFHEQTRKEHHLLGGQSGHFGKTVKNPVWNAFKAVMTLLLGTIVAAVFADPLVDAVSSFSTASSIPSFFVSFVILPIASTSEAVSALNFTGRKQLTTASLTYSQIYGSVTMSNILSLSVFLGLVYFRDLTWDFAAEVLVILIVCIVMGAIASFRTTFPLWMSLVAFALYPFSLLLVYVLDYIFGWS >EOX94187 pep chromosome:Theobroma_cacao_20110822:1:24943122:24946725:1 gene:TCM_003621 transcript:EOX94187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger family protein / calcium-binding EF hand family protein isoform 2 MANKFILFTVSTMFLLLMGSPGQGRSIRNNSNLAMVSDGIQNVWQPTYIQLKSLISSESCEQTYGFLPCTTTVLGNVFLILVYGYLMFRAAKLLCDGCEILLEILGPGIIGGLFLPVLSSLPDAIIILASGLSGSQETAQSQVSVGIGLLAGSTVMLLTVLWGSCLILGKCDLESALSKDLVDTKGFSLTGSGVSTDVWTSYAARIMAISIIPFIIVQLPAVLYTTSQSRIAILIALVVSISLLLSYSLYQVFQPWIQKRRIAFAKHKHVIAGILKHLSVRALGRLLTDGGEPNTSVIEKLFMMIDENGDGLLSPAELRALVLGIQFEEIDMDIEDAVDKVLKEFDTSCDSFIDIDEFVKGISKWLNEVRRTAEGQATSIHLHHFHEATNQERTSSVGGSEWSFWEDREKSSVECLQSGDDVASRNDCSGCVCRSSGGCCFQLLHCLQHSFFLCFFRYFAHC >EOX95348 pep chromosome:Theobroma_cacao_20110822:1:33797051:33803110:-1 gene:TCM_004861 transcript:EOX95348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAWLLLFKGDLDIAIHWGFNFAQERQARPKIIHWIKPLIGELKLNVDGSSKDEFQNAAGGGVLRDHTGNLIFGFSENFGYQNSLQAELLALHRGLCLCMEYNVSRVWIEVDAQVISVRISHIHREGNQAADFLSKYGHTHQNLQVFTEAQGELRGFCNLAEFRFYDCTHKYAHKLKLSILSAFQNSPRLHVLLEDLGLILKALWYV >EOX92261 pep chromosome:Theobroma_cacao_20110822:1:5989937:5992951:-1 gene:TCM_001235 transcript:EOX92261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLCRLKLQVADLSLTSIDLAYPTNKNIRPLRRFSDPRLPLLPFASLSVRSEYGSASPSSSSSFSSEPPVSTHYVSSVGSSSLQLSQWTLTNRHIRVLNVIACAVAVSATWLFFSAIPTLLAFKRAAESVEKLMDVTREELPDTMAAVRLSGMEISDLTMELSDLGQEITRGVRSSTSAVRLAEERLRWLTNIPPSASVQVVANQKTEVSGPVIARTARSMRQGIVKGRSILKIFFTLTRFSRMAINYFASRRKR >EOX92262 pep chromosome:Theobroma_cacao_20110822:1:5990159:5992922:-1 gene:TCM_001235 transcript:EOX92262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLCRLKLQVADLSLTSIDLAYPTNKNIRPLRRFSDPRLPLLPFASLSVRSEYGSASPSSSSSFSSEPPVSTHYVSSVGSSSLQLSQWTLTNRHIRVLNVIACAVAVSATWLFFSAIPTLLAFKRAAESVEKLMDVTREELPDTMAAVRLSGMEISDLTMELSDLGQEITRGVRSSTSAVRLAEERLRWLTNIPPSGGSQSEN >EOX90783 pep chromosome:Theobroma_cacao_20110822:1:614463:615344:1 gene:TCM_000156 transcript:EOX90783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCHLNLCSGLPCSAHVVSGKVWLLEKEFFDCRRAVGTSMLWQSSGRMLIVYGLKAGAYWGGEVLKPDDTKVS >EOX94595 pep chromosome:Theobroma_cacao_20110822:1:30372183:30374887:-1 gene:TCM_004224 transcript:EOX94595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRTVVFVNSIDEDEAAYSPEPTILPNQVQTTISKDTAKPESGGAVQPTPLNGDNKDEKQQPDPGMVMRARKWIGVLVYKESDDGSKHTGEVVDYSEETELYKIEYENDVSEDLDYEALLPIVAPPPVVCQYLESIGPSENEKRKKKRHLSDTTVPIHPRRPAAPVEPEPKKRKAKKPAGSASTYVPLAYRETKKDAYYYYY >EOX94067 pep chromosome:Theobroma_cacao_20110822:1:19767526:19770610:1 gene:TCM_003150 transcript:EOX94067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRMPPKTRAASRRAGEQDVPIEMADRPRASTQRGRGRRGRVTRPVGLDTPVSRQEEGQSSGDVDRHPARGITIEDLAAGLQGVNRVVEMMATRMEDIQRVVEGRPTVQESPSSQGQADHQHHEEERGHLDISLPDFLKLKPPTFSGSDASEKPQVFLDKMEKICKALGCSSVRSVELAAFQLEDVAQEWYSSLCRGRLTNATPLAWSEFSVAFLDRFLPLSVRNARAREFETLVQTSSMTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVEPLFRAVASRDFTTYSAAVDRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHRDEDQ >EOX93899 pep chromosome:Theobroma_cacao_20110822:1:17253756:17255324:-1 gene:TCM_002900 transcript:EOX93899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase 2 MEYYSFLLGLLAFFLFGRLSADADIVRFDENYEITWGYDHVLLFNQGREVQLSMDISSGAGFGSKLRYGSGFFQMRIKLPPKDSAGVITAFYLTSQGDKHDELDLEFLGNREGKPITLQTNVFADGVGNREQRILLWFDPTANFHTYQILWNQHQIVFYVDNVPIRVFKNNKNIGVGYPSQAVQVEASLWDGDSWATDGGKTKTNWSMAPFKAHFQGFDIGGCPIIQNSNVQECYSPKYWWNSEKYWKLDSKQQIELENVRNKYMSYDYCSDRPRYPVPPPECLLQ >EOX96752 pep chromosome:Theobroma_cacao_20110822:1:38869843:38871751:1 gene:TCM_005934 transcript:EOX96752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFFSLSAIFRCLMPSSRVSDNANGANLAKKSSSSSKRKSSKAPVIVSYFPANPYISRLYSVSRPCFYSSAKQSSKHPLHQFNSFNKLINIHDTHRNVSFLSLGFVELLSAKASSRVSDDAQVASMKKWSSEKTETKVKGAPIVVSYFPVNSYLTRL >EOX96068 pep chromosome:Theobroma_cacao_20110822:1:36490468:36492189:1 gene:TCM_005411 transcript:EOX96068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMGLGIRLQARYRSKNLPEIVEAQTKMGLGVAGVTVKCDGIAMKQLDGGDMHAAHDPLSSSQRCLVWMKEEHIGKRNGSTSRLLAKPAKQSWHTNQTTRIHFAHHNPKECRYCQSTLANACQIRSKYVALFHWLVHAIPETMPNPPH >EOX94820 pep chromosome:Theobroma_cacao_20110822:1:31582287:31584011:1 gene:TCM_004434 transcript:EOX94820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyltransferase 3, putative MMRISLSMCKQTVVLPDRASGMLNLVDFFSPRRQKEKVVILLGATGTGKSRLSIDLATRFPAEIINSDKIQAHEGLDIATNKITEEERCGIPHHLLGVINPNTDFTATNFVDMATHAMDSILFRSQLPIIAGGSNSYIEALVDDKDYRFRSKYECCFLWVDVAMPVLHHYVSERVDKMVENGMVDEVRKYFDSNANYTRGIRKAIGVPEFDRYFRAEPFLDKQSRAKLLQEAIQEIKRNTCKLASRQLEKIHRLRNKKNWKIHRLDATGVFLRRGKEADEAWEEFVAGPSTEMVAEFLYNVSSEALVTKAALRECIAQCLVA >EOX92900 pep chromosome:Theobroma_cacao_20110822:1:9236260:9242650:1 gene:TCM_001752 transcript:EOX92900 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein isoform 2 MAQLLSPVCTDALKLHNPYLNMCKRGSWKAMAKRGASWSVMGHGGKRRGCGRVKVATGNSAYTDSLADDYYTVLGLLPDARPEEIKKAYYNCMKACHPDLSGNDPETTNFCMFINEVYGVLSDPVQRMVYDEIHGYALTAINPFMDDSAPKDHVFVDEFSCIGCKNCANVTSDVFRIEEDFGRARVHSQCGNPELIEQAIESCPVDCIHRTSAAQLSLLEDEMRRVERVNVALMLSGMGSASMDVFRMACSRWEKRQAKVLEQAKIKMTWQKDSGKTDSYWSNLWGKPQEYKKSEEEVKERARTAAAAARRWREYSRRGVDKPPTSKLPEAVSNKEK >EOX92901 pep chromosome:Theobroma_cacao_20110822:1:9236591:9242776:1 gene:TCM_001752 transcript:EOX92901 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein isoform 2 MLFQFIFNARPEEIKKAYYNCMKACHPDLSGNDPETTNFCMFINEVYGVLSDPVQRMVYDEIHGYALTAINPFMDDSAPKDHVFVDEFSCIGCKNCANVTSDVFRIEEDFGRARVHSQCGNPELIEQAIESCPVDCIHRTSAAQLSLLEDEMRRVERVNVALMLSGMGSASMDVFRMACSRWEKRQAKVLEQAKIKMTWQKDSGKTDSYWSNLWGKPQEYKKSEEEVKERARTAAAAARRWREYSRRGVDKPPTSKLPEAVSNKEK >EOX92899 pep chromosome:Theobroma_cacao_20110822:1:9236307:9242849:1 gene:TCM_001752 transcript:EOX92899 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein isoform 2 MAQLLSPVCTDALKLHNPYLNMCKRGSWKAMAKRGASWSVMGHGGKRRGCGRVKVATGNSAYTDSLADDYYTVLGLLPDARPEEIKKAYYNCMKACHPDLSGNDPETTNFCMFINEVYGVLSDPVQRMVYDEIHGYALTAINPFMDDSAPKDHVFVDEFSSLGCKNCANVTSDVFRIEEDFGRARVHSQCGNPELIEQAIESCPVDCIHRTSAAQLSLLEDEMRRVERVNVALMLSGMGSASMDVFRMACSRWEKRQAKVLEQAKIKMTWQKDSGKTDSYWSNLWGKPQEYKKSEEEVKERARTAAAAARRWREYSRRGVDKPPTSKLPEAVSNKEK >EOX93810 pep chromosome:Theobroma_cacao_20110822:1:16050734:16053399:1 gene:TCM_002747 transcript:EOX93810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIHSNPSFKEVLLSSEGEKTDLDVNSDDENELMDEDDTDTAEEDANFESDDEVQFGLVSGIPSIIVSDRIQEVLAKKWQQSVIVLLLGRPLSYKTLCENILLLRKPRGHYLTVHPWSQTYSSDMHNISKWWLGFVFQIEYEGLPRICFNCGMYEHTKEVCTKQAISPASKEYPQESTQRIEAEESIYGPWMIASRRKQRRNKSRSNQRVMVAINRVEHKGSRFSILDDVSHDLTNEDQNGSHIGERLNDERSIEPTPNVIVSQKNLINISNNVIQGNTHTNKATTNIDYMDANNRGNAIGKAKTNDKVNQEPHSTMDVDPSVLFS >EOX95362 pep chromosome:Theobroma_cacao_20110822:1:33879709:33882043:1 gene:TCM_004874 transcript:EOX95362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDAIIAKTGMVGSIILRRFCRRAIFMDDDQCLASSFFIAKNFHFESYAISIRCSHLTHHLRLCLSLLSYIINKSHYRNLVFTCQNPLYQNSEAIAVAAASYSFRKGMQWQ >EOX93509 pep chromosome:Theobroma_cacao_20110822:1:13642378:13647656:-1 gene:TCM_002398 transcript:EOX93509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MLRSLLPSTHSYRRRPATYYSTLAFTSLFTPKPSNQLLGKPQNLNSHINPSLPSPIPLEHLLNLYKSSQSQPSSLPFSASNFELYESLVTRYRGSLSLTDAKEFHLQVFKHGFDGDLFLSNSLINVYVRAGDLTSARKLFDEMPERNSVTWACLISGYNQNGMPNEACEAFKEMLCTSFWPTHYAFGSVLRACQELGSCGLQFGLQIHGLIAKSRYSFDVVVCNVLMSMYGSCLGSIADARRVFDELQVKNSISWNSIISVYSQSGDAVSTYQLFSRMQKEGIGFSFEPNEYTFGSLITAACSSMDFGLCLLQQMLSRITKSGFLSDLYVGSALVSGFARLGLSNYAMKIFGQMSQRNAVSMNGLMVGLVRQKFGEDAAEVFMEMTNLVDINFDSYVILLSSFAEFSALEQGRRKGREVHGYLIRRGLNDAVVAIGNGLINMYAKCGDIVASTSVFRLMLNKDLVSWNSMISGLDQNECFEDAVTSFCAMRRTGLMPSNYTVISALSSCASLGWSMLGLQIHGEGMKLGLDVDVSVSNALLALYATIGCLSECKNIFSLMLDHDQVSWNSVIGALADSESSVLEAVKYFLDMMRTGWDPNRITFINILAAVSSLSLSELSRQIHTLIIKYHLANDSSIENALLACYGKCGEMDECEKIFSRMSERRDEVSWNSMISGYIHNERLHKAVNLVWFMMQRGQKLDGFTFATVLSACASVATLERGMEVHACAVRACLNSDVVVGSAIVDMYSKCGRIDYASRFFSMMPIRNVYSWNSMISGYARHGHGEKALKLFTHMKLDGLLPDHVTFVGVLSACSHVGLVDEGFTHFNSMTNMYGLAPKMEHFSCMVDLLGRAGELDKIEDFINTMPMKPNVLIWRTVLGACCRANGDKTELGRKAAEMLFDLEPQNGVNYVLLANMYASGGKWEGVAEARVALRRAVAKKEAGCSWVTMKDGVHVFVAGDKSHPDNDMIYAKLKELNRKMRDAGYVPQTRFALYDLEPESKEELLSYHSEKLAVAFVLTRNSALPIMIMKNLRVCGDCHMAFKYISKIVGRLIILRDSNRFHHFNDAAKTVLRYLKRTMDYGLIYEHQNTIKIVSYLEFDWAGSIDNSNQETRGEIHVEYCTSEHQLSDIMTKGLTRQRFEYLRSRLGVFKACSKEESWS >EOX95136 pep chromosome:Theobroma_cacao_20110822:1:32994352:32995196:1 gene:TCM_004692 transcript:EOX95136 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL5, putative MDDYTDLPRKHINYAVNGKVMLCTGIVLFVALLVILCFHNYTRILFRNRRLRYIRRRAQHLLSISTATPTTVAPKGLDPSVIKTIPTFIYSAKANHFPPLECAVCLAEFENDEEARVLPKCNHTFHVDCIDMWFYSHSNCPLCRAPVQADTLVNPPKTLDQTVFPVAEATSSEPPREDIEMNSSSAAASSSSSSPSSLKPETP >EOX94144 pep chromosome:Theobroma_cacao_20110822:1:22756944:22757804:1 gene:TCM_003421 transcript:EOX94144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKVISYLNEVALYGVEIITEMQISMIVHSLNSSFSQFKLDYELYIRDYTLSGLMNYLQNMEKFLELKKKPKPHAVSTSKPKPKGKKKKARDKNSVKGSMGVKKKPMKKFTSKDNTQGKCFNYGEKGH >EOX93826 pep chromosome:Theobroma_cacao_20110822:1:16243165:16243957:-1 gene:TCM_002772 transcript:EOX93826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKDVLMVSMMLSSTLRRLVLKNSCYLLSKIDHMRYMSIVYAIPLCSENFQWTTVSWFFPLRFKGFST >EOX92813 pep chromosome:Theobroma_cacao_20110822:1:8715810:8719746:1 gene:TCM_001683 transcript:EOX92813 gene_biotype:protein_coding transcript_biotype:protein_coding description:20S proteasome alpha subunit C1 MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGTAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYTYAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYSGWKAAAIGANNQAAQSMLKQDYKDDIMREEAVQLALKVLSKTMDSTSLTSEKLELAEVFLTPSGNVKYQVCSPDSLSKLLLKFGVTQPAAEAS >EOX90911 pep chromosome:Theobroma_cacao_20110822:1:1006093:1008817:1 gene:TCM_000251 transcript:EOX90911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MIMKLFLFILLLEAASFPVTSNRPGHEFVLIEKEYVNWVRQMSSFKHSLFGRAKNKLKPCLSIRVNKKPRLGQFATVQKAISSLPVVNNCRVVIYIGAGTYREKVEIPATMAYITLEGAGAGKTVIEWDDTADRMGQSGRPLGTYGSATFAVNSPYFIAKNITFKNKAPSPPSGALGKQAVALRISADTAAFIGCKFIGAQDTLYDHIGRHYFKDCYIEGSVDFIFGNGLSLYRDCHLHAVTNSYGALTAQKRESFLEETGFSFVNCKVTGSGALFLGRAWGTFSRVVFVYTYMDKIITPRGWYDWGDKNREMTVFYGQYKCSGPGANFGGRVSWARELTQQEAKPFISVDFIDGRSWLPVS >EOX90910 pep chromosome:Theobroma_cacao_20110822:1:1007168:1009697:1 gene:TCM_000251 transcript:EOX90910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MIMKLFLFILLLEAASFPVTSNRPGHEFVLIEKEYVNWVRQMSSFKHSLFGRAKNKLKPCLSIRVNKKPRLGQFATVQKAISSLPVVNNCRVVIYIGAGTYREKVEIPATMAYITLEGAGAGKTVIEWDDTADRMGQSGRPLGTYGSATFAVNSPYFIAKNITFKNKAPSPPSGALGKQAVALRISADTAAFIGCKFIGAQDTLYDHIGRHYFKDCYIEGSVDFIFGNGLSLYRDCHLHAVTNSYGALTAQKRESFLEETGFSFVNCKVTGSGALFLGRAWGTFSRVVFVYTYMDKIITPRGWYDWGDKNREMTVFYGQYKCSGPGANFGGRVSWARELTQQEAKPFISVDFIDGRSWLPVS >EOX94372 pep chromosome:Theobroma_cacao_20110822:1:28146610:28159081:-1 gene:TCM_003960 transcript:EOX94372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESSWPSSSYDGIYQVTQHMASTQQSEGDCLSKDHFSSLPDRVHLDLKQNDFTDLLNIWDKWGATTQANFDRKYGHIARLLKVQIDEHLLKAIVQFWDPSYRCFVFNKVDMVPTIEEYSALLQIDLDNPDKIYWRGQKTGHRRKLAKMMGITSAEIDHNLRKKGDNECIPWSFLRSYIMKHRDTEQAQLVMALGIYGLVIFPKILGHIEVGIIDFFEQVVNKANPSPSILAKTLRSLNYCRRKGEGRFVGCAQLLSIWIVSHFECKIDKFRKPFHLQTAPIREFCESEWPENRTKEQWISRFRKLMSVEVTWRAPWMPHHPVLYKCENEPWVPLMGPWGAISYAPIMVRRQFGSEQFVPMTHRLNTLEFAYGEPGFLKRIEEIAQAWKKTSRVDQGRYTDEVTTGYQMWHDQRVKDVVYPKEDAIRGPVDPEPRDALLESELARKKSEAENASWKQRYEDLQKECEKMKREVSQQRKKVQKMEGKYESLNDKFSATTSELQREIQVKENRGNELQTHNDGLRRQVRFQQESIQILRQEYEELEGVMTTYQQEYESLKQQSTRIQKWGESYRQAYTEKYDQMDYLVWQMREVAYKARSMAWETDILRSQIFPVGKQEQQLIKHLDERARIMEEEQGERMDRMERAQEEMREQLAKMMELMMSLSKGKRAIEEPAPSENPPAQDSGNQRDDPSYPPGFTPPHAQTFQRVHPQVMPSIYYNAPPPLGHQPTHGQFGPYPGINPAEPINVPDLDDPKEQEKLRKDSSQTGENEKDQKKYDLLEERLRAIEGVDRFGTMDATELCLVPDVLIPAKFKVPKFEKYDGTKCPMAHITMYCRKMAAQSHDDKLLIHFFQDSLTGSAARCSKKGSTPKKKEGDVQAVAHDSQQAHNFNPYYPYPPYQPFYPHIGSITQNPYVYQPIPQPTFQTNVLPQTPPPRPVASTNNPGNGQRGPKTTLERPKFDPIPVPYTTLLPQLIENRLLARTPLEPLRPSFPKWYDPNAHCDYHFGIQGHSTENCTALKHKVQVLIKAGLLNFTKKDSSGVDGNPLPNHGRPTVNAIHEGMIRMVKKGIDEIQTPMDKVFEALSKINAVTPEPIDTKELGHDLTYSCKFHMGAIGHSIQNYDGFRRKLQELMDSSVIEFYEGAEENLVGTINGDTPAEMASSSFGANKPKPLTIFYEENRSPMNDTSPTMIRSGITIEVPSPFPYKSDKAVPWNYECNILGTASSAPQASFEDLTGVGDITRSGRCYSPEVAERVGKGKPAQGEGGLKKADTFSKDQVDESVVAPNNEVKNPVTKKEAGEFLKFIKHNEYSVVEQLTKMPARISLLSLLLNSEAHRDALLKVLNQAYVAQDILVEKLDHIVGNITVGNSIAFNDEEIPSGGRGSNKALYITIKCKDHAVPRVLVDNGSALNVMPCSTLTKLPVDVSYMRTSRMVVRAFDGTTREVVGDIELPIKIDPCIFEVQFQVMDIAPSYNCLLGRPWIHMAGAIPSSLHQKVKFIAEGQLISVCAEEDILAIQPSSAPYVEATEEVPEYSFRSFEFVNATYVGERKVIPTPRLSVATKMRVKQTNEERFGLGYKPTKEERRKLTAQKKIKRMAQLEGKEEEFGERTIPHLYETFRSTGFIHPEAPPKVNQVLRIFDELSIHMIRYEEPDGKILVPINTCPYTRPTLPVCRSLNNEHEDNLDNDLNIDFEIIPNIDELKNEEEVDDYSLPPDLSRMLEQEEKEILPHQELTKMINLGNGEEKKEVKVGTSLSSDERQKLEELLREYVDVFAWSYQDMPGLNTDMVVHKLPLEPDCKPIKQKLRRMKPEMLLKIKEEVKRQFDAGFLEVAKYPEWVANIVPVPKKDGKVRMCVDYRDLNRASPKDSFPLPHIDTLVDNTAKHALFSFMDGFSGYNQIKMAPEDMEKTTFVTMWGTFCYKVMPFGLKNAGATYQRAMVALFHDMMHKEIEVYVDDMIAKSHTERDHTVNLKKLFERLRKFQLKLNPAKCTFGVISGKLLGFIVSEKGIEVDPDKIRAIQELPPPKTQKEVRGFLRRLNYIARFISQLTCKCDPIFKLLRKRDPGEWNEECQIAFDKIKEYLTNPPVLVPLTVGKPLILYLTVNKNSMGCVLGQHDETGKKERAVYYLSKKFMEYESKYSALEKMCCALAWTAQRLRQYMLYHTTWLVAKLDPIKYIFEKPCLSGRIARWQVLLSEYDIVYVSQKSIKGSAIADFLADRANEDYESVSFNFPDEDLMAVLHVEEVGPNELNPWKMYQRRMMRAYEKKVHPRQFREGELVLKRILPNQTDFRGKWMPNWEGPYVVKKAFSGGALILTDMDGGDLPNPINADAVKKYYA >EOX96402 pep chromosome:Theobroma_cacao_20110822:1:37667422:37669190:-1 gene:TCM_005653 transcript:EOX96402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein, putative MEGKRVEMSQIRKGPWKAEEDEVLISHVKKYGPRDWSSIRSKGLLQRTGKSCRLRWVNKLRPNLKNGCKFTAEEERVVIELQSQFGNKWAKIATYLPGRTDNDVKNFWSSRQKRLARILQNSGTPSSYSKSPKIKKEVPVFHNVPALEAPKFSSSMEEASYSKGQSCSSSYIDNSETTKLEQLPDLVNPKLYNIDANGAQLELMSRGQNPYAEAQPQFFFPQIPQPQPYLTLSLESQDLLAKFEDPYFSQVFAPMDVPELGSGHVGQPFLEPVRCSGFGAREETDNPVTPDTFFDDFPADMFDHMEPLPSPSE >EOX93065 pep chromosome:Theobroma_cacao_20110822:1:10243010:10247104:-1 gene:TCM_001913 transcript:EOX93065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome interacting factor 3-like 6, putative isoform 5 MNHCIPDWNFDQDNELVELLWQNGQVVLHSQTQRKHVQGQKREQPTLRGGATCLTYGNSSHLINDDETTSWIQYPLEDSFEKEFCSNFFSELPSSDPLETDDSKPNRQLDQHQPQFVKSSSASPALHNMTVNSQQLNVSSAVPEFRGNPMPPPKFQFDSAQLNKSSEGLSKVCNFSPGAEHTGVNSSGGNLIQREVKECSGMTVGSSYCGSNQVRNDIDFSRGSSNGFGTTTTGLSAGTSKDDAPKAIVQNETGKTETIEPTVTSSSGGSGSSLDRTCKQSTGVISSHKRKRRDGEDYECQSETAELQSAAGNKPTQRSGSSRRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYMKSLQLQLQVMWMGSGMAPMMFPGIQHYMSRMGIGMGPPPLPSIHNPLHLSRVPVVDQSMSMAPSQNQAATCQTQQLNQVNYQHPMQNPTFSEQYARFLGFHHMQTASQPMNMFGYGPQTTGQSPVVSAPSGSGPFTGGAATTNNTSPSGKMG >EOX93067 pep chromosome:Theobroma_cacao_20110822:1:10243010:10247104:-1 gene:TCM_001913 transcript:EOX93067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome interacting factor 3-like 6, putative isoform 5 MNHCIPDWNFDQDNELVELLWQNGQVVLHSQTQRKHVQGQKREQPTLRGGATCLTYGNSSHLINDDETTSWIQYPLEDSFEKEFCSNFFSELPSSDPLETDDSKPNRQLDQHQPQFVKSSSASPALHNMTVNSQQLNVSSAVPEFRGNPMPPPKFQFDSAQLNKSSEGLSKVCNFSPGAEHTGVNSSGGNLIQREVKECSGMTVGSSYCGSNQVRNDIDFSRGSSNGFGTTTTGLSAGTSKDDAPKAIVQNETGKTETIEPTVTSSSGGSGSSLDRTCKQSTGVISSHKRKRRDGEDYECQSETAELQSAAGNKPTQRSGSSRRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYMKSLQLQLQVFQVMWMGSGMAPMMFPGIQHYMSRMGIGMGPPPLPSIHNPLHLSRVPVVDQSMSMAPSQNQAATCQTQQLNQVNYQHPMQNPTFSEQYARFLGFHHMQTASQGKVQWYQPLAVVDLSPEEQLQPITLLLVARWVNS >EOX93069 pep chromosome:Theobroma_cacao_20110822:1:10243081:10245956:-1 gene:TCM_001913 transcript:EOX93069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome interacting factor 3-like 6, putative isoform 5 MTVNSQQLNVSSAVPEFRGNPMPPPKFQFDSAQLNKSSEGLSKVCNFSPGAEHTGVNSSGGNLIQREVKECSGMTVGSSYCGSNQVRNDIDFSRGSSNGFGTTTTGLSAGTSKDDAPKAIVQNETGKTETIEPTVTSSSGGSGSSLDRTCKQSTGVISSHKRKRRDGEDYECQSETAELQSAAGNKPTQRSGSSRRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYMKSLQLQLQVVMWMGSGMAPMMFPGIQHYMSRMGIGMGPPPLPSIHNPLHLSRVPVVDQSMSMAPSQNQAATCQTQQLNQVNYQHPMQNPTFSEQYARFLGFHHMQTASQPMNMFGYGPQTTGQSPVVSAPSGSGPFTGGAATTNNTSPSGKMG >EOX93068 pep chromosome:Theobroma_cacao_20110822:1:10244615:10246381:-1 gene:TCM_001913 transcript:EOX93068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome interacting factor 3-like 6, putative isoform 5 MNHCIPDWNFDQDNELVELLWQNGQVVLHSQTQRKHVQGQKREQPTLRGGATCLTYGNSSHLINDDETTSWIQYPLEDSFEKEFCSNFFSELPSSDPLETDDSKPNRQLDQHQPQFVKSSSASPALHNMTVNSQQLNVSSAVPEFRGNPMPPPKFQFDSAQLNKSSEGLSKVCNFSPGAEHTGVNSSGGNLIQREVKECSGMTVGSSYCGSNQVRNDIDFSRGSSNGFGTTTTGLSAGTSKDDAPKAIVQNETGKTETIEPTVTSSSGGSGSSLDRTCKQSTGVISSHKRKRRDGEDYECQSETAELQSAAGNKPTQRSGSSRRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYMKSLQLQLQVWILLDYHLS >EOX93066 pep chromosome:Theobroma_cacao_20110822:1:10243524:10246381:-1 gene:TCM_001913 transcript:EOX93066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome interacting factor 3-like 6, putative isoform 5 MNHCIPDWNFDQDNELVELLWQNGQVVLHSQTQRKHVQGQKREQPTLRGGATCLTYGNSSHLINDDETTSWIQYPLEDSFEKEFCSNFFSELPSSDPLETDDSKPNRQLDQHQPQFVKSSSASPALHNMTVNSQQLNVSSAVPEFRGNPMPPPKFQFDSAQLNKSSEGLSKVCNFSPGAEHTGVNSSGGNLIQREVKECSGMTVGSSYCGSNQVRNDIDFSRGSSNGFGTTTTGLSAGTSKDDAPKAIVQNETGKTETIEPTVTSSSGGSGSSLDRTCKQSTGVISSHKRKRRDGEDYECQSETAELQSAAGNKPTQRSGSSRRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYMKSLQLQLQVFQVMWMGSGMAPMMFPGIQHYMSRMGIGMGPPPLPSIHNPLHLSRVPVVDQSMSMAPSQNQAATCQTQQLNQVNYQHPMQNPTFSEQYARFLGFHHMQTASQPMNMFGYGPQTTGQSPVVSAPSGSGPFTGGAATTNNTSPSGKMG >EOX95505 pep chromosome:Theobroma_cacao_20110822:1:34501800:34503822:-1 gene:TCM_004988 transcript:EOX95505 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH interacting protein 1 MGTPMLPQPQPPSSSSNNQFNMSSYNNNPYVAISPVAASGRTPMGRICDALNRCGKKVEDATRKAEALADNVWNHLRVSPSLADAAVARLAQGTKLLTEGGHDRLFQQTFQILPGEKLLHAYVCYLSTTSGPVIGTLYTSTERLAFCSDYPLCYHASPGYQQWMYYKVVLELDKLATVNPSANRLNPSEKYIHIVTRDGYEFWFMGFISYDKALKSLTEALQHSRHASVLF >EOX90856 pep chromosome:Theobroma_cacao_20110822:1:825178:825868:1 gene:TCM_000210 transcript:EOX90856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAISRNIAASLVVFSLLLLHLTQAEELMSFDGAVAPSPSPQPQTIDCGVACQGRCKLSKRPNLCKRTCGSCCAKCNCVPPGTAGNHEACPCYARLTTRNNIRKCP >EOX91837 pep chromosome:Theobroma_cacao_20110822:1:4073317:4079351:-1 gene:TCM_000904 transcript:EOX91837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxykinase 1 MAANANGVPTPRSPLARIQTQKKQNGICHDDSGKPVKAQTIDELHSLQKKRSAPTTPLEGAQGAFASLSEEERQRQQLQSISASLASLTRETGPKLVKGDPAKTQAVARVAHHHHVEAPTISVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRAPRDKRVVIDDSTQDELWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDPQNRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDNGVSNIEGGCYAKCIGLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYEEKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPTATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSGGSYGYGSRIKLPYTRKIIDAIHSGSLLNATYLKTEVFGLEIPTEIEGVPSQILRPENTWADKKAYKDTLLKLAGLFKKNFETFTNYKIGKDNKLAEEILAAGPNF >EOX93744 pep chromosome:Theobroma_cacao_20110822:1:15502866:15510308:-1 gene:TCM_002663 transcript:EOX93744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 20 isoform 1 MAKATTLGFYEVISFCLFFSFVAVVTQSAPESALVTQLPGFADTFLSKHYSGYVNIDQSHGKNLFYYFVESERKPSEDPVVLWLNGGPGCSSFDGFVYEHGPFNFEAAKTNGSLPQLHLNLYSWSKVSNIIYLDSPVGVGLSYSKNQSDYVTGDLQTATDTHAFLLKWFQLYSEFLSNPFFIAGESYAGVYVPTLSYEVVKGIDAGAKPVINFKGYLVGNGVADDEFDGNALVPFAHGMGLISDDLYEEVKNECRGNFYNPLSDTCESKLQKVDEDIRGLNMYDILEPCYHEPETPETADIKIRLPSSFRKLGETDRPLAVRKRMFGRAWPLRAPVRDGIVPTWPQLLDSQSVPCMDDEIATKWLNDAAVRKAIHAEEETVIGTWQLCTDNILYDHDAGSMIKYHKNLTSRGYRALIFSGDHDMCVPFTGSQAWTRSVGYKIVDEWRPWTSHGQVAGYLQGYENNLTFLTIKGAGHTVPEYKPREALDFYSRFLAGKAI >EOX93745 pep chromosome:Theobroma_cacao_20110822:1:15503057:15510202:-1 gene:TCM_002663 transcript:EOX93745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 20 isoform 1 MAKATTLGFYEVISFCLFFSFVAVVTQSAPESALVTQLPGFADTFLSKHYSGYVNIDQSHGKNLFYYFVESERKPSEDPVVLWLNGGPGCSSFDGFVYEHGPFNFEAAKTNGSLPQLHLNLYSWSKVSNIIYLDSPVGVGLSYSKNQSDYVTGDLQTATDTHAFLLKWFQLYSEFLSNPFFIAGESYAGVYVPTLSYEVVKGIDAGAKPVINFKGYLVGNGVADDEFDGNALVPFAHGMGLISDDLYEEVKNECRGNFYNPLSDTCESKLQKVDEDIRGLNMYDILEPCYHEPETPETADIKIRLPSSFRKLGETDRPLAVRKRMFGRAWPLRAPVRDGIVPTWPQLLDSQSVPCMDDEIATKWLNDAAVRKAIHAEEETVIGTWQLCTDNILYDHDAGSMIKYHKNLTSRGYRALIFSGDHDMCVPFTGSQAWTRSVGYKIVDEWRPWTSHGQVAG >EOX95396 pep chromosome:Theobroma_cacao_20110822:1:34043964:34046205:1 gene:TCM_004906 transcript:EOX95396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferases isoform 3 MENRCKFWLPKKNRFCANAPLHNSSFCGNHTPRTAGQWIPCPIDPSHSVLQENLEGHVRRCPLLKQVQSLSTQPFYQKGVNAGKDDEQKEPETLIPTSGSFDNVTSEMKRNALYSLNISQFFDLIRKIESVHAQICKDIKDSYKIPEACGIWIKREVDRKLPFQEKHVMQQASILGNLEEFGVLESSDGKEQCGDAEVEQVEDSNGVPAVVEFGAGRGYLTQMLADCYGIGRVFLVERKSYKLKADRSLRQKESLILERLRIDIEDLNLNAVESLQGLPYVAIGKHLCGPATDLTLRCCLANQRNDDRCRDKKYLTHLGI >EOX95394 pep chromosome:Theobroma_cacao_20110822:1:34043745:34047089:1 gene:TCM_004906 transcript:EOX95394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferases isoform 3 MENRCKFWLPKKNRFCANAPLHNSSFCGNHTPRTAGQWIPCPIDPSHSVLQENLEGHVRRCPLLKQVQSLSTQPFYQKGVNAGKDDEQKEPETLIPTSGSFDNVTSEMKRNALYSLNISQFFDLIRKIESVHAQICKDIKDSYKIPEACGIWIKREVDRKLPFQEKHVMQQASILGNLEEFGVLESSDGKEQCGDAEVEQVEDSNGVPAVVEFGAGRGYLTQMLADCYGIGRVFLVERKSYKLKADRSLRQKESLILERLRIDIEDLNLNAVESLQGLPYVAIGKHLCGPATDLTLRCCLANQRNDDRCRGNCHLRGLAVATCCHHLCQWKHYINKKYLTHLGISKEEFHAITWFTSWAVDADHGSDLSDVTDFKLHPDSIGSEKEEYSGDANGVEGMARNMKAIERAKLGFMCKQIIDMGRLMWVKEHGLVTQLVKYVPATISPENHLLIARHVCHF >EOX95395 pep chromosome:Theobroma_cacao_20110822:1:34043745:34047089:1 gene:TCM_004906 transcript:EOX95395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferases isoform 3 MENRCKFWLPKKNRFCANAPLHNSSSVLQENLEGHVRRCPLLKQVQSLSTQPFYQKGVNAGKDDEQKEPETLIPTSGSFDNVTSEMKRNALYSLNISQFFDLIRKIESVHAQICKDIKDSYKIPEACGIWIKREVDRKLPFQEKHVMQQASILGNLEEFGVLESSDGKEQCGDAEVEQVEDSNGVPAVVEFGAGRGYLTQMLADCYGIGRVFLVERKSYKLKADRSLRQKESLILERLRIDIEDLNLNAVESLQGLPYVAIGKHLCGPATDLTLRCCLANQRNDDRCRGNCHLRGLAVATCCHHLCQWKHYINKKYLTHLGISKEEFHAITWFTSWAVDADHGSDLSDVTDFKLHPDSIGSEKEEYSGDANGVEGMARNMKAIERAKLGFMCKQIIDMGRLMWVKEHGLVTQLVKYVPATISPENHLLIARHVCHF >EOX92838 pep chromosome:Theobroma_cacao_20110822:1:8816564:8817785:1 gene:TCM_001700 transcript:EOX92838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERSSKANSWRMKAKKQKISLEEYIAFLSSHKQLPLTLSSLNQIIFIHGLKKSTNMPKKALSEAVEKLNLIDPSRSTLKSTMSSSAWLTEEEIIGDLNRLEWQECCVTSIQTLNSSPEQQSIPKAKAKAKAKRKRSASVAVAEGADSFSSAVVSFQSS >EOX95795 pep chromosome:Theobroma_cacao_20110822:1:35595271:35598481:-1 gene:TCM_005209 transcript:EOX95795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein MGHSAAVWDYRAATEISKDWNGIDKVVLRNPRGASASVSLHGGQVTSWRNEQGEELLFTSSKAIFKPPKAVRGGIPICFPQFGNCGSLEQHGFARNKIWTIDENPPPLSPNDSHGKSFIDLLLKPSEEDLKCWPYSFEFRLRVSLAADGSLTQISRVRNVNGKPFSFSFAYHTYLLVSDISEVRIEGLETLDYLDNICQKERFTEQGDAITFESEVDRVYLSTPNVVAVLDHERKRTYVIRKEGLPDVVVWNPWEKKSKSMVDFGDDEYKQMLCVDGAAIEKPVTLKPGEEWTGRQELSVVPSTFCSEHFDLQSKGF >EOX95126 pep chromosome:Theobroma_cacao_20110822:1:32938911:32943216:-1 gene:TCM_004686 transcript:EOX95126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec15B isoform 1 MAPSPLPLKEMQSTRSRRKVAPAAADGGDSGDKLEQLLLSSAICNGEDLGPFVRKAFASSRPETLLHHLRHFARSKESEIEEVCKAHYQDFILAVDDLRSLLSDVDSLKSSLSDSNSRLQSVAGPLLSSLDSFVEAQNVSKNVDFALTSVTSCINLMELCSRANHHLSNGSFYMALKCLDSIENEFQVKTPSSTLKRMLERKIPEIRSHIERKISKEFGDWLVEIRVVSRNLGQLAIGQASAARQREEDLRMKQRQAEEQSRLSLRDCVYALEEEDEEGGLGGDESDGYSNGNNGLLGFDLTPLYRAYHIHQTLGLEDRFKQYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFIVEDRVLRTGGGLISKMEVENLWETAVSKMCSVLEDQFSRMQTANHLLLIKDYVSLLGVTLRRYGYPVDALLDVLSKHRDKYHELLLSDCRKQIAEALAADKFEQMLMKKEYEYSMNVLSFQIQTSDIIPAFPYVAPFSSTVPDCCRIVRSFIEDSVSFMSYGGQLDFYDVVKKYLDRLLSEVLDGALLKLISSSVHGVSQAMQVAANMAVLERACDFFFRHAAQLSGIPLRMAERGRRQFPLNKARDAAEEMLSGMLKTKVDGFMTLIENVNWMTDEPSQGGNEYVNEVIIYLETLVSTAQQILPPQVLKRVLQDVLSHISEKIVGTLLGDSVKRFNVNAIIGIDVDIRLLESFADNLAPLFSEGDANQLNNALAESRQLINLLLSNHPENFLNLVIRERSYNTLDYRKVVTISEKLRDPSDRLFGTFGSRGARQNPKKKSLDALIKRLKDVS >EOX95125 pep chromosome:Theobroma_cacao_20110822:1:32939310:32943534:-1 gene:TCM_004686 transcript:EOX95125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec15B isoform 1 MAPSPLPLKEMQSTRSRRKVAPAAADGGDSGDKLEQLLLSSAICNGEDLGPFVRKAFASSRPETLLHHLRHFARSKESEIEEVCKAHYQDFILAVDDLRSLLSDVDSLKSSLSDSNSRLQSVAGPLLSSLDSFVEAQNVSKNVDFALTSVTSCINLMELCSRANHHLSNGSFYMALKCLDSIENEFQVKTPSSTLKRMLERKIPEIRSHIERKISKEFGDWLVEIRVVSRNLGQLAIGQASAARQREEDLRMKQRQAEEQSRLSLRDCVYALEEEDEEGGLGGDESDGYSNGNNGLLGFDLTPLYRAYHIHQTLGLEDRFKQYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFIVEDRVLRTGGGLISKMEVENLWETAVSKMCSVLEDQFSRMQTANHLLLIKDYVSLLGVTLRRYGYPVDALLDVLSKHRDKYHELLLSDCRKQIAEALAADKFEQMLMKKEYEYSMNVLSFQIQTSDIIPAFPYVAPFSSTVPDCCRIVRSFIEDSVSFMSYGGQLDFYDVVKKYLDRLLSEVLDGALLKLISSSVHGVSQAMQVAANMAVLERACDFFFRHAAQLSGIPLRMAERGRRQFPLNKARDAAEEMLSGMLKTKVDGFMTLIENVNWMTDEPSQGGNEYVNEVIIYLETLVSTAQQILPPQVLKRVLQDVLSHISEKIVGTLLGDSVKRFNVNAIIGIDVDIRLLESFADNLAPLFSEGDANQLNNALAESRQLINLLLSNHPENFLNLVIRERSYNTLDYRKVVTISEKLRDPSDRLFGTFGSRGARQNPKKKSLDALIKRLKDVS >EOX91818 pep chromosome:Theobroma_cacao_20110822:1:3993928:4001741:-1 gene:TCM_000890 transcript:EOX91818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein MRLLLLLLFLLLPISHSSAARPVSEFRALLAVKSSFTDDPESYLSNWNATTRFCSFTGVACDYTGRHVTSIDLSNFNLSGTLSPSFSHLRFLQSLSLAANQISGPIPTELAALSSLRYFNLSNNVFNGSFPSQLSQLKNLQVLDLYNNNMTGELPISVTELPNLLHLHLGGNFFSGQIPSSYGRWEFLEYLAVSGNELDGKIPPEIGNLTKLQQLYIGYYNSFEGGLPPEIGNLSELVRFDAANCMLSGEIPPEIGKLQKLDTLFLQVNALSGSLTPELGTLKSLKSMDLSNNMLAGEIPESFANLKNLTLLNLFRNKLHGQIPEFIGELPELEVLQLWENNFTGSIPQQLGSNKKLQLLDLSSNKLTGTLPLDMCSGNTLHTLITLGNFLFGPIPESLGKCESLSRIRMGENFLNGSIPKGLFGLPKLTQVELQDNYLTGEFPVTESSISANLGQISLSNNKLSGTLPASVGNFSGVQKLLLDGNKFSGRIPAEIGKLQQLSKMDFSHNKFSGTIAPEISKCKLLTFVDLSRNELSGEIPTEITGMRILNYLNLSRNHLIGSIPSSIATMQSLTSVDFSYNNLSGLVPGTGQFSYFNYTSFLGNPELCGPYLGPCKDGVANGTHQTHVKGGLSASLKLLLVIGLLVCSILFAVAAIIKARSLKKASESRSWKLTAFQRLDFTCDDVLDCLKEDNIIGKGGAGIVYKGAMPNGDQVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPLIVHRDVKSNNILLDCDFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVSGRKPVGEFGDGVDIVQWVRKMTDSNKEGVLKILDPRLPSVPLHEVMHVFYVAMLCVEEQAVERPTMREVVQILTELPKPPNSKQGDSTVTESLPSPGTSLDSPNATTKDQKDQQQPPAPKSPPPDLLSI >EOX93943 pep chromosome:Theobroma_cacao_20110822:1:17621864:17624784:-1 gene:TCM_002951 transcript:EOX93943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kip-related cyclin-dependent kinase inhibitor 3, putative MGKYMKKSKITGDIAVMEVSHQSTLGARTRAAKTLALQRLQKTTPSPAPAQVVASTPDVSSFCYLQLRSRRLEKLPSPVSNDTKQKQQGKESGCREEKKNKNGEKISEGCFSKSRKMVEGVGLCYETEETSFGENNLDFEPRDRNTRESTPCSLLKDSETVATPGSTTRRRSCPSTQRRGWNEMQRFIPTTHEMEEFFACAEQQQQQQFIEKYNFDVVKDMPLPGRYEWVQVTP >EOX90940 pep chromosome:Theobroma_cacao_20110822:1:1104470:1108366:-1 gene:TCM_000271 transcript:EOX90940 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MAIGGKSRSNKRSSSVSYASTITTVVFVTLCVFGVWMLTSNSVAPPQTTTTRTAADNNADSTFPSSNEEQITKNNDHKDKAVFEDNPGQLPDDAIKPDEGKSEEDKGSESEDKGTEGGAVEEDPHGLQGKESTEEQEKQSETQISEESVLTQNQQPEENSQKELEDNRETGNEETNRNPEANNNPDSEEDQSQSAEQQQGNEKSKEWQYNQESEDQQQREDKQKIQEVESHEETQETTNEKSKEDDQEKEKNDTTELEEERKGNKQQSQEQQELQTQEIAQTTFSDETKDKQKEILNATQTDAFNILKPASNQETTEKETQSEAQNQETQQQDTPKEEISNDSNSGESLGSSIPKESSESKKSWKTQKTQSENEKERRKDESNGKESIYGYTWQLCNVTAGPDYIPCLDNEKALKKLHSTKHFEHRERHCPEEAPTCLVPLPEGYKRSIPWPQSRDKIWYHNVPHTKLAQVKGHQNWVKVSGEFLTFPGGGTQFIHGARHYIDFVEQSVPDIKWGKHTRVILDVGCGVASFGGFLFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGSQRLPFPSRVFDVVHCARCRVPWHAEGGLLLLELNRVLRPGGYFVWSATPVYQNLEEDVEIWNAMSALTECMCWELVTIKKDQLNSIGAAIYRKPTSNECYDKRKENNPPMCENSDDANAIWHVPLQACMHRVPVNQAERGARWPADWPARLQNIPYWLNSSQMGIYGKPAPQDFARDYEHWTRVVSKLYMSGLGISWSNVRNVMDMRAVYGGFAAALKDIQVWVLNVVNFDSPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLTKRCKLEPVMAEVDRIVRPGGKLIVRDESKAIGEVENLLKSLHWEVHLTFSKDDEGILSAQKGDWRPTTYQASS >EOX94591 pep chromosome:Theobroma_cacao_20110822:1:30351540:30354904:-1 gene:TCM_004220 transcript:EOX94591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATPase subunit F family protein isoform 1 MASRAQIPTSNSALIAMIADEDTVVGFLLAGVGNVDLRRKTNYLIVDSKTTVKQIEDAFKEFTAREDIAVVLISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASGRR >EOX94590 pep chromosome:Theobroma_cacao_20110822:1:30351250:30354901:-1 gene:TCM_004220 transcript:EOX94590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATPase subunit F family protein isoform 1 MASRAQIPTSNSALIAMIADEDTVVGFLLAGVGNVDLRRKTNYLIVDSIAFRSKTTVKQIEDAFKEFTAREDIAVVLISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASGRR >EOX94451 pep chromosome:Theobroma_cacao_20110822:1:28740732:28743019:1 gene:TCM_004031 transcript:EOX94451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein, putative isoform 1 MVKRRAKMKKGKESGKIQDHNMANEIDGEAQLDANEKVNGQLATNEEKLEPPSGEEDEKKLAAVMEMKRRKKKALIEFRCRIEDAILGNSLLEKPKHNTLSPRERAEAIEQLKEITLWGVPMMPSKRHGGTDVVFLKFLKAKDYKVHEAFEMLRKTLKWRQEFKADEILQENFGPDLEKAAYLNSRDREGHPLYYNIYGALRNTQMHDKILGSEEDCEKFLRWRVQYMEKGIKELRFEAGGADSIVQIIDLKNSPGPATKELRSLSRKAWMLFQDHYPELIHRSIIINVPLWYYVSHVLSSRLKTQRRNSKIVFARPGRVSETLLKFVSPENLPVEYGGLKRENDNEFSPEDKVLERNVRASISERIQIPAPEAGVTILWDLTVVGWDVSYKEEFVPDDEGSYKVLLQIHKEKKGGESVRNSFYIREPGKIVITIDNFMLKRKKVLYRYKTKPTVPMYVFLKK >EOX94452 pep chromosome:Theobroma_cacao_20110822:1:28740732:28743149:1 gene:TCM_004031 transcript:EOX94452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein, putative isoform 1 MVKRRAKMKKGKESGKIQDHNMANEIDGEAQLDANEKVNGQLATNEEKLEPPSGEEDEKKLAAVMEMKRRKKKALIEFRCRIEDAILGNSLLEKPKHNTLSPRERAEAIEQLKEITLWGVPMMPSKRHGGTDVVFLKFLKAKDYKVHEAFEMLRKTLKWRQEFKADEILQENFGPDLEKAAYLNSRDREGHPLYYNIYGALRNTQMHDKILGSEEDCEKFLRWRVQYMEKGIKELRFEAGGADSIVQIIDLKNSPGPATKELRSLSRKAWMLFQDHYPELIHRSIIINVPLWYYVSHVLSSRLKTQRRNSKIVFARPGRVSETLLKKPSS >EOX90901 pep chromosome:Theobroma_cacao_20110822:1:986358:988102:1 gene:TCM_000243 transcript:EOX90901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein MMEIEEVNNCEALPLLSLNHVSLLCRSVWDSVRFYEEVLGFVLIKRPSSFKFNGAWLYNYGIGIHLIENPAIDDFDTIVEPRPINPKDNHISFQCTDVGLVKRRLQEMGMKYVTAVVEDDGNRVDQVFFHDPDGYMIELCNCENIPILPLSSCSFKPRLSSFKKAAPANCGFMENVMMESLSMDMLNISF >EOX96053 pep chromosome:Theobroma_cacao_20110822:1:36439997:36443597:1 gene:TCM_005397 transcript:EOX96053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Digalactosyl diacylglycerol deficient 2 isoform 2 MDRKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKDGERKITLVIPWLSLKDQRLVYPNNTTFSSPSEQDTYLRQWLGERIAFISDFDIRFYPGKFAVDKRSILPVGDISEIIPDEEADIAVLEEPEHLTWFHHGKRWKTKFRIVVGIIHTNYLEYVKREKNGRFQAFFLKYVNSWVVSIYCHKVIRLSAATQDYPRSIICNVHGVNPKFLDIGKKKYEQQHRNEQAFTKGAYYIGKMVWSKGYEELLKLLCDHQKELAGLEVDLYGTGEDSDQVKEAAEKLKLMVRVHPGRDHADPLFHDYKVFLNPSTTDVVCTTTAEALAMGKIVVCANHPSNDFFKQFPNCRIYDDGNGFVEATRKALSEEPAPLTDAQRHELSWEAATKRFLRVSELNQVSAKKMEKNSSKKFASMSLNLWKNLEDTSAYFHHVALGSETTRRAFGAIPGSLYPDEEQRKELGLASHMGRGGSIKITD >EOX96054 pep chromosome:Theobroma_cacao_20110822:1:36440200:36443550:1 gene:TCM_005397 transcript:EOX96054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Digalactosyl diacylglycerol deficient 2 isoform 2 MDRKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKDGERKITLVIPWLSLKDQRLVYPNNTTFSSPSEQDTYLRQWLGERIAFISDFDIRFYPGKFAVDKRSILPVGDISEIIPDEEADIAVLEEPEHLTWFHHGKRWKTKFRIVVGIIHTNYLEYVKREKNGRFQAFFLKYVNSWVVSIYCHKVIRLSAATHYKVFLNPSTTDVVCTTTAEALAMGKIVVCANHPSNDFFKQFPNCRIYDDGNGFVEATRKALSEEPAPLTDAQRHELSWEAATKRFLRVSELNQVSAKKMEKNSSKKFASMSLNLWKNLEDTSAYFHHVALGSETTRRAFGAIPGSLYPDEEQRKELGLASHMGRGGSIKITD >EOX92650 pep chromosome:Theobroma_cacao_20110822:1:7923191:7930555:1 gene:TCM_001564 transcript:EOX92650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprotein, putative MPSIPASIYPTKYSQPIPVIAFFDTGVVETVINPKILPEKFWIPFDRHFKTASDQTFTAHLISKPITIQIFPQCSITTQVLGSELLGKDIVVGFDIYHQSQHLRILPNGIRYKYSFKPFVPIPKIYSFHQPDEQVQLIVETLRQKACANSHLEFLHKCENPFWKNPEFFVKLPFKHNEDINPTKATPSGMNPDHQNLAEQECKELLQQGLIEASNSQRAC >EOX96399 pep chromosome:Theobroma_cacao_20110822:1:37661256:37663130:1 gene:TCM_005650 transcript:EOX96399 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein, putative MDDNSKKLGIRRTIRDFPPAHYLFKVESFSLLAKTGVDKYESDVFEAAGYKWRLSLYPNGDNKSNGSGFISLYLVIDETENLPHTWEVNVSFRLFMLDQIRDKYLTIEDADGAVKRFHWMKTEWGFAQLLSLESFNNTSNGYLIGDCCIFGAEVFLMERNCKWECLSMIKEPEDNTITFKMDNFSKLDKKYYESSVHTIGDSKWKLTVYPKGNVKFKGKALSLFLELVEAEKLPPKRKVYAEYKLRVRNQINGNHMEFTVERWFSATSVNWGYPQFIALKLLHDASKGYIVYDSLIVEAEIALVSKVKRFS >EOX94818 pep chromosome:Theobroma_cacao_20110822:1:31565144:31568368:-1 gene:TCM_004432 transcript:EOX94818 gene_biotype:protein_coding transcript_biotype:protein_coding description:F15K9.15 protein, putative isoform 1 MIEKKIELLETLTGKVTNRRSRRWLNDRLLMELVPRLSAEEIRGLFAPPPWGDDVPPSAFCMTNIGEWDKFRNIDMDKEANIIGALSNLSTKKKFHGDGDKMAVLNAWQRIDCRTREALRRSFLSELIAGYEDCIRTFIKESGDGDVLELQVQDPFHRLLLHGVCEFYNLVSVTVTQSKDAKSLKVTRIKRKKTGVVELPNITLSHFLKMSKEGIW >EOX94817 pep chromosome:Theobroma_cacao_20110822:1:31565230:31568453:-1 gene:TCM_004432 transcript:EOX94817 gene_biotype:protein_coding transcript_biotype:protein_coding description:F15K9.15 protein, putative isoform 1 MIEKKIELLETLTGKVTNRRSRRWLNDRLLMELVPRLSAEEIRGLFAPPPWGDDVPPSAFCMTNIGEWDKFRNIDMDKEANIIGALSNLSTKKKFHGDGDKMAVLNAWQRIDCRTREALRRSFLSELIAGYEDCIRTFIKESGDGDVLELQVQDPFHRLLLHGVCEFYNLVSVTVTQSKDAKSLKVTRIKRKKTGVVELPNITLSHFLKMSKEGIW >EOX94816 pep chromosome:Theobroma_cacao_20110822:1:31564790:31568315:-1 gene:TCM_004432 transcript:EOX94816 gene_biotype:protein_coding transcript_biotype:protein_coding description:F15K9.15 protein, putative isoform 1 MASPEFLQREADLLLSSPLIELRKGENGRSRGLMIEKKIELLETLTGKVTNRRSRRWLNDRLLMELVPRLSAEEIRGLFAPPPWGDDVPPSAFCMTNIGEWDKFRNIDMDKEANIIGALSNLSTKKKFHGDGDKMAVLNAWQRIDCRTREALRRSFLSELIAGYEDCIRTFIKESGDGDVLELQVQDPFHRLLLHGVCEFYNLVSVTVTQSKDAKSLKVTRIKRKKTGVVELPNITLSHFLKMSKEGIW >EOX94500 pep chromosome:Theobroma_cacao_20110822:1:29194077:29200190:1 gene:TCM_004102 transcript:EOX94500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MTVIPSSEQPSPSLCQSFRRHREWAERHVSGSLRTAAYICYFYLVCFLLSLVMANQFAKRFLRNLYYTNILIKRQKLYGRFVYGILNRCQSQWSKADGIKELNKEKEAKDEAQVSLKPEVNEKAGYDTRLFKYSSGDEDYIGDTKWKLELAWLTKALEPALQLCRWALPIGNELGDKPPPSTRSVSEIISSIQRSKIGIEGWSLSDLTIGLYLIYLRQASLNPFEDVKGVKITSDSIVQDLIYHIELAKGCYKDNAAILARTSMLRECNVLKFVKNSSVMRPGYYIGIDPRKKLVIFGIRGTHTVYDLITDIVSSSDGEVTFEGYSTHFGTAEAARWFLHHEMGTIRKCLEKYEGFRLRLVGHSLGAATASLLAIMLRKKSQMELGFSPDIVSAVGYATPPCVSKELAENCSDFVTTIVMQDDIVPRLSTSSLARLRNEILQTDWMSVVEKADWKNLIDLVTNAKQVVSSVQDVARKLADYANFKSKKESSDSPIEEESTLVLLASKSKANNVAELKKDEGAHAVPEELFVPGTVYYLKRNVDNHAESSNNRGREYFSLWRRHPGEHFQRIVLSSNLLSDHRCDSHYYALRDVLKGLPMSHDGGI >EOX95283 pep chromosome:Theobroma_cacao_20110822:1:33644332:33649886:-1 gene:TCM_004825 transcript:EOX95283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MSVFALSNPSGALGNVCSFLVKVMVVLSCAISVSNADITRNLLQWPAQSPTRVPESAPAVSDRPLPLNRPRQKHFSPRSAPTLVAPAQPPLYGPLITSSHPPTSSRLSKPLMKRSTSVPPGADLPNIAPTQISPGTIPAGLAQPPLSPEVSYCCKPDSILKQGTHGCHCVYPIKLDLLLLNVSQNWNGFLPELAAQLDLLPNQIEIINFYVPSLSRLNISMDITPHTGISFSASEASKIKSLLVMHRVHFDPNVVGDYKILNFTWFEPPVPSPAPVVVSEPVEAPAHQSSYSTSLSPSNKGKHSNLILIFGISAGILVFAIISVLIICSCTFREGKPKASLKESVKPRTIDAVARAGSLPHPSSTRFLQYEELKEATNNFAPASILGEGGFGRVFKGVLSDGTAVAIKRLTSGGAQGDKEFLVEVEMLSRLHHRNLVKLVGYYSSRDSSQNLLCYELVPNGSLEAWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRTNCLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRTPVDMSQPSGQENLVTWARPILRDKDRLEELADPRLGGKYPKEDYVRVCTIAAACVAPEASQRPTMGEVVQSLKMVQRVTEYQDSMLSISNNRPNQRQSSTTFESDETSSMFSSGPYSGLSAFDNDNISRTAVSSEDLHEGR >EOX95284 pep chromosome:Theobroma_cacao_20110822:1:33644332:33649888:-1 gene:TCM_004825 transcript:EOX95284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MSVFALSNPSGALGNVCSFLVKVMVVLSCAISVSNADITRNLLQWPAQSPTRVPESAPAVSDRPLPLNRPRQKHFSPRSAPTLVAPAQPPLYGPLITSSHPPTSSRLSKPLMKRSTSVPPGADLPNIAPTQISPGTIPAGLAQPPLSPEVSSPVVVSEPVEAPAHQSSYSTSLSPSNKGKHSNLILIFGISAGILVFAIISVLIICSCTFREGKPKASLKESVKPRTIDAVARAGSLPHPSSTRFLQYEELKEATNNFAPASILGEGGFGRVFKGVLSDGTAVAIKRLTSGGAQGDKEFLVEVEMLSRLHHRNLVKLVGYYSSRDSSQNLLCYELVPNGSLEAWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRTNCLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRTPVDMSQPSGQENLVTWARPILRDKDRLEELADPRLGGKYPKEDYVRVCTIAAACVAPEASQRPTMGEVVQSLKMVQRVTEYQDSMLSISNNRPNQRQSSTTFESDETSSMFSSGPYSGLSAFDNDNISRTAVSSEDLHEGR >EOX93800 pep chromosome:Theobroma_cacao_20110822:1:15993149:16000353:1 gene:TCM_002738 transcript:EOX93800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose-synthase-like C6 MSRAPNYEFQEWWNKQREKNHELFTNDSNNPNNGFLTVEICSPNPDRTAEKDRARSARQLSWVCLLRFQQLANSLAWITNASILLLRTANRRISSADSPSDSSASRLYRIIKFFLFLVVLLLCVELAAYFKGWHFSPPSVVSAEAAVELVYAKWLEIRADYLAPPLQSLANVCIVLFLIQSVDRVVLMLGCFWIKFRRVKPTATMEYPVGRVEGENVEDYPMVLVQIPMCNEREVYQQSIAAVCIMDWPKERMLVQVLDDSDDLDVQLLIKAEVQKWQQRGVHILYRHRLIRTGYKAGNLKSAMSCDYVKKYEFVAIFDADFQPVPDFLKKTVPYFKGNDDLALVQTRWAFVNKDENLLTRLQNINLSFHFEVEQQVNSVFINFFGFNGTAGVWRIKALEECGGWLERTTVEDMDIAVRAHLCGWKFIYLNDVKCLCELPESYEAYKKQQHRWHSGPMQLFRLCFVDILRSKVSMAKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFLPEAQLPAWVVCYVPGIMSVLNILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFRFGSSYEWIVTKKLGRSSEADLVAYEKESDTLVEATSLLRSSSDSGLEELSKLEVTKKSVKTKRNRLYRKELALAFILLTASVRSLLSAQGIHFYFLLFQGVSFLVVGLDLIGEQVS >EOX94175 pep chromosome:Theobroma_cacao_20110822:1:24433423:24442277:1 gene:TCM_003560 transcript:EOX94175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERGFSDVITWKWVHELIIYDYLLESDIFLGFKVHILSHSKKISFSQLLYLDDGFVFNTLSMSSFICLRISIDIA >EOX94954 pep chromosome:Theobroma_cacao_20110822:1:32186074:32191708:1 gene:TCM_004547 transcript:EOX94954 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP protein GSVIVT01010992001, putative MDGVGSNVKVVESSRRVTHCDLILRILGLILTLVAAIVTGVDKETKTISVSITKTLPTLHVPVTAKWHYMSAFVYFLISNAVASLYAAASLVASMAVRTSKEKAAMALVILDMTIMGLLFSSNGAAIAVGVLGQYGNSHARWNKVCELFGGFCHEMTAAIILSLVGSLVFFWLVALAILNLHKKSG >EOX96773 pep chromosome:Theobroma_cacao_20110822:1:38926221:38930530:1 gene:TCM_005945 transcript:EOX96773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 3 MSNTSSTPTTTIRPSSSSTNAAAAVTMSMRGPCPTTSYQEQQCPTTAGVMYPVASSGRGFLPTNHPCRPLLPYHHHPHPHPHHFANPRPPSPSLSLPHPTHFHPPLKALSLSLHPKVAPSPSSLSETNGYKNVRDRTKDDSLVNVRDRKVRITDGASVYALCRSWLRNGFPDETQPQYGDVSKSLPQPLPIPVTDNLLKDTEDEEEQEQEDKKEDEQSVENLSAQDLLKRHIDRAKKVRSRLRQERLKRIARYKTRLALLLPPLVEQFRSDAAAGN >EOX96772 pep chromosome:Theobroma_cacao_20110822:1:38926208:38930684:1 gene:TCM_005945 transcript:EOX96772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 3 MSNTSSTPTTTIRPSSSSTNAAAAVTMSMRGPCPTTSYQEQQCPTTAGVMYPVASSGRGFLPTNHPCRPLLPYHHHPHPHPHHFANPRPPSPSLSLPHPTHFHPPLKALSLSLHPKVAPSPSSLSETNGYKNVRDRTKDDSLVNVRDRKVRITDGASVYALCRSWLRNGFPDETQQPQYGDVSKSLPQPLPIPVTDNLLKDTEDEEEQEQEDKKEDEQSVENLSAQDLLKRHIDRAKKVRSRLRQERLKRIARYKTRLALLLPPLVEQFRSDAAAGN >EOX96774 pep chromosome:Theobroma_cacao_20110822:1:38926362:38930431:1 gene:TCM_005945 transcript:EOX96774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 3 MYPVASSGRGFLPTNHPCRPLLPYHHHPHPHPHHFANPRPPSPSLSLPHPTHFHPPLKALSLSLHPKVAPSPSSLSETNGYKNVRDRTKDDSLVNVRDRKVRITDGASVYALCRSWLRNGFPDETQPQYGDVSKSLPQPLPIPVTDNLLKDTEDEEEQEQEDKKEDEQSVENLSAQDLLKRHIDRAKKVKTRTFKTNCEVQN >EOX94876 pep chromosome:Theobroma_cacao_20110822:1:31862809:31870922:1 gene:TCM_004488 transcript:EOX94876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 18 G MLYPIVGDRRCSLADEEEEEEEVVVVVVKAEVGGGGSSGGGGGGGGEETVVVAVENSRTTRSVVITVSTNASNVASTVRSAGASVAASISNSSEDHKDQVTWAGFDTLELGPSHLKHVLLLGYQNGFQVLDVEDASNYSELVSKRDGPVSFLQMQPCPLSSDGQEGFRASHPMLLVVAGDDTNSSSLGRSAGHLAGVAQDCRMESQSGNSVNSPTAVRFYSLRSHCYVHVLRFRSSVCMIRCSSRIVAVGLATQIYCFDSLTLENKFSVLTYPVPQLAGQVAIGVNVGYGPMAVGPRWLAYASNNPLLSKTGRLSPQNLTPSPGISPSTSPGGTSLVARYAMESSKHLATGLINLGDMGYRTLSKCCQELLPDGSNSPVSQNSVWKVGRLAGTDMDNAGMVVVKDFVSRDVISQFKAHTSPISALSFDSSGTLLVTASVYGNNINVFRIMPSCVRSGSGVQSYEWRSSHVHLYKLHRGITSAMIQDICFSHYSQWVAIVSSKGTCHIFVLSPFGGDAGFQTLSSQGEEPSLFPVLSLPWWSMASCAINQQPFPPPLPVTLSVVSRIKYSSFGWLNTVNNAAATATGKVFVPSGAVAAVFHNSISHSPQHINPRTNCLEHLLVYTPSGHVVQHELLPSIGADSGAKNSRTETASYTHIQEDDLRVKVEPVQWWDVCRRSDWPEREECISQTTLERQDVAEVIQSKSCCEENRIDSLEINDSVSGEKTSKPFSMKPRESFRWYLSNAEVQVNSWRLPIWQKSKISFYMMDSPRADICKGGEFEIEKVSVHEVEIKRKELLPVYDHFHSIKSGWNDRCFAVGKHPQSLSPDPYQGEYKVSQETIICHSKPASLSSTESSEGGSSRRMENLLDLDQINCEKSYTTTYQALNEICRGKSGNGIIEPLLPNQDSLTIISSPFQHSENIYSDTGNSITNSFSSLESKLPPLRSLAEGKPSFNAGGIGDASMLHVDHYDAPTNILMDGSSISTEQNLVDFGHFQEEQYEVLQRNECGELTKDVNNDVDSGSNNCENGKLEEDGENDEMLGGVFDFSEEG >EOX92527 pep chromosome:Theobroma_cacao_20110822:1:7309123:7312887:-1 gene:TCM_001468 transcript:EOX92527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERLQLLFDVKSFLINLVDAEVSSKGETWRLTGFYGNPNNTDRFLNQELIRTLKVQSQLPWLFFGDLNEILFTSEKKGRPKRSNARMEAFRNICDDCGWRDLGYKGPNLHDGIINRKKIMLDVVWIEPLQLMGGAINFLELRSLIKL >EOX95924 pep chromosome:Theobroma_cacao_20110822:1:36031708:36043907:-1 gene:TCM_005306 transcript:EOX95924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit MRSGGRGGGGPSRNPGVGPVGRAASTSSAASPSSSSSAVSTPHLGFHSVPQQQQQQQQQQQQQQQHITSRQSLQQQLLRKPEGNEAILAYQASGLQGMMGGSNFPSSPGSMQLPQQSRKFFDLAQQHPSAQEGQNRSQGVDQQMLTPVQQAYYQYAYQAAQQQKSMLVHQQAKMAMLGSTSGKDQDMRIGNLKLQELISMQAANQAQASSSKNASEQLSRVEKQMDQGPQSVSDQRNEPKPPAQATVIGQLMPGNVLRAMQAQQAQQTVQNMGSNQLAMAAQLQAWALERNIDLSQPANANLMAQLIPLMQSRMAAQQKTNESNMGSQSSPVPVSRQQVTSPSVPSESSPRGNSSSDISGQSGTAKTRPTVPPSPFGSTSSTGVVNNANNIAMQQLAIHGRDNQVPPRQPVVQGNGMPPMHPPQSSVNVSQGVDPSLPAKNLLGSTETVQMQYLKQLNRSSPQPAAPNDGGSVNNLSSQGGAATQIPQQRFGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIVPPLLEQQQQQQQQQQQQQQLPPLGGNNQERNGGKIIEDQVKHLETKEKVSQAGPSTNGQNIPKEEAYAGDDKATASTAHMQGVSASAKEFSSTLPAGKEEQQSSVLSAKSDQEVERGLPKTPVRSDLTVDRGKAVASQVSASDGAQVKKPMQANSAPQPKDPGSARKYHGPLFDFPFFTRKHDSYGSAVPNSNNNLTLAYDVKDLLFEEGMEVLSKKRSENLRKIGGLLAVNLERKRIRPDLVLRLQIEEKKLRLIDVQARLRDEVDQQQQEIMAMPDRPYRKFVRLCERQRTELARQVQVTQKALREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYREMLLEQQTSIPGDAAERYAVLSSFLTQTEEYLHKLGSKITAAKNQQEVEEAANAAAVAARLQGLSEEEVRVAAACAGEEVMIRNRFMEMNAPRDSSSVSKYYNLAHAVNERVIRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALVAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIYYVGGKDQRSKLFSQEVLAMKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYHCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSQPFQKEGPTHNAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLRCRMSSIQSAIYDWIKSTGTLRVDPEDEKRRVQKNPIYQAKVYKTLNNRCMELRKTCNHPLLNYPYYNDFSKDFLVRSCGKLWILDRILIKLQKTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEERESAIVDFNSPDSDCFIFLLSIRAAGRGLNLQTADTVVIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKISCHQKEDELRSGGTVDFEDDFAGKDRYMGSIEGLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLHQVNRMIARSEEEVELFDQMDEELDWTEQMTSHEQVPKWLRASTREVNAAIATLSKKPSKNILFTAGVGAESNEVETERKRGRPKGKKHPNYKEIDDENGEYSEASSDERNGYSGNEEEGEIGEFEDDEFSGAVGAPPTNKDQSEEDGPLCDGGYEYAQTSENIRNNHILEEGGSSGSSLDSRRPTQIVSPISPQKFGSLSALDARPGSVARRLPDELEEGEIAVSGDSHMDHRQSESWVHERDEGEEEQVVQPKIKRKRSIRVRPRHTVERAEEKSVNEVPHLQRGDSSLLAFQLDQKYQSQQRTDTETKPTRDRNAFKHDPNDSSSKSRRNLPSRKIANTSKLHASPKSGRMNSMSAPAEDAGEPSRESWDSKLVNTSGYSDFGAKMSDVIQRKCKNVISKLQRRIDKEGQQIVPLLTDLWKRIENSGYMGGSGSNHLDLRKIDQRVDRLEYSGVMELVSDVQLVLKSAMQFYGFSHEVRSEARKVHDLFFDLLKIAFPDTDFREARSAVSFANPVSTSTSTPSPRQVAVGKRQKPINEVEPDSGLAQKSLQRGSTHAGEDARVRVHVPQKESRLGSGSGITREQYQQDDSLLTHPGELVICKKKRKDREKSMVKPRTGSAGPVSPPSMGRNIRSPAAGSISKDSRLTQQTTHQQGWPNQPAHPANGGGGSVGWANPVKKLRTDAGKRRPSHL >EOX95868 pep chromosome:Theobroma_cacao_20110822:1:35829481:35831811:-1 gene:TCM_005266 transcript:EOX95868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein MKKVVLKVDLHDDKAKQKALKTVSTLSGIDSIAMDMKAKQLTVIGTVDPINVVSKLRKYWPTDIVSVGPAKEPEKKEEPKKEEPKKEEEAKKEEPKKEEEPKKEEPKKEEAKKEEPKKEEEKKEEEKKPQPPPDPVMELVKAYKQYNPHMTTYYYVQSMEENPNACVIC >EOX93258 pep chromosome:Theobroma_cacao_20110822:1:11772016:11773313:1 gene:TCM_002111 transcript:EOX93258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copia-like pol polyprotein, putative MKYLQGTKDFMLTYKRSDNLEVVKYLNLDFVGCVDSSKSTSGYMFIFVERAISWKSTNQSRIATFTKEAEFVSCFEATLQDPLTKGTLAKLFKDHVSRMGLSSILSHTV >EOX90917 pep chromosome:Theobroma_cacao_20110822:1:1029895:1032153:1 gene:TCM_000255 transcript:EOX90917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKWDHMRLKLKRRGHALNDFRYACAGCSVYVSRNKGSVDRGGDSPELPGCAGIQVGGRREIPRAATASAPVHVHSREDRDICQPPTHKPTHAVGDDFLNRFANLVASGVARNMRRVGNYMKETVDDILFTNRKRPK >EOX96656 pep chromosome:Theobroma_cacao_20110822:1:38556790:38559394:1 gene:TCM_005859 transcript:EOX96656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNHLFFNFIFQYFVRIFLFFSMAYPSDSDSDEARLDELYYGEADISNADLRKYNEQVKATGGYDVDDYPGFAIGKIFNIQLTQANHEELTPYAEKAINYFNEQNHANFKFLELLKANVQACCGVKYYLTFKVFVSWIKPNHYPANRVLGISQSLSYESGLWIFEFFSMASYLCNLEEEMTRLDVLYYGEADMSIENMMKYCAQVASTRGYDVDDYPSFANGLITPIKLDQAEY >EOX94031 pep chromosome:Theobroma_cacao_20110822:1:19401267:19403768:1 gene:TCM_003115 transcript:EOX94031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIPFEHEKVVKMKVLSLSLIFLLAQALHQLDFGSESYAQNTASCSLHADCLIASNSTRILTVVRSESGKVIEMKVYKGLIKYNKRIKTYFNVFIYNTFFILLVKKHIKEEQGHEGGIFTVKAPPHASNVQVIDLVIIIIDVRSLVRSELNIWKMELKVRISK >EOX96152 pep chromosome:Theobroma_cacao_20110822:1:36774456:36779696:-1 gene:TCM_005467 transcript:EOX96152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 1 MTGNGGEAPSPPAANGGEFLLSLLQKPQQHLQQQQSPLFSRATPVTIPQPQQQQQQQQQQPLVIDPAVAAVGPTLPFRPLWPSNGRDLPGLWPQTLSPPLAPNFLGFPLSPWSSPGNQFAGNQGALMDDLRRLGLSGIDNNKNHVIQNRVQQKHQDQKLVFGSFPSDIQTLKTPEGSPNGNLLENSKLNLSNQQLDSRLNSNPNTSPYVFQHRNSGDRGKQQQHGGSYRPTPSPEARRSPPGFLGKPRGGGGNRDFGNRRRHFEHNVDKAKAEYSQPSSDNEVGLSGQLDRPGPPAGSNLQSVSATDIEESLLELHSDGGRDRFSRRDKFRREDGGEVDEVGEQLLESLLIEDESDDKNDKKQHRREKESRIDNRGQRLLSQRMRMLKRQMECRSDIHRLNAPFLALYESLIPPEEERAKQKQLLALLEKLVCKEWPEARLYLYGSCANSFGVSKSDIDVCLAFNEMDVNKSEILLKLADILQSDNLQNVQALTRARVPIVKLMDPATGISCDICINNVLAVVNTKLLRDYAKLDARLRQLAFIVKHWAKSRGVNETYQGTLSSYAKQEKDWTRRIGNDRHLICIEDPFEISHDLGRVVDKFSIRVIREEFERAADVMQYDPNPCVTLFEPYVPS >EOX96150 pep chromosome:Theobroma_cacao_20110822:1:36775728:36779983:-1 gene:TCM_005467 transcript:EOX96150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 1 MTGNGGEAPSPPAANGGEFLLSLLQKPQQHLQQQQSPLFSRATPVTIPQPQQQQQQQQQQPLVIDPAVAAVGPTLPFRPLWPSNGRDLPGLWPQTLSPPLAPNFLGFPLSPWSSPGNQFAGNQGALMDDLRRLGLSGIDNNKNHVIQNRVQQKHQDQKLVFGSFPSDIQTLKTPEGSPNGNLLENSKLNLSNQQLDSRLNSNPNTSPYVFQHRNSGDRGKQQQHGGSYRPTPSPEARRSPPGFLGKPRGGGGNRDFGNRRRHFEHNVDKAKAEYSQPSSDNEVGLSGQLDRPGPPAGSNLQSVSATDIEESLLELHSDGGRDRFSRRDKFRREDGGEVDEVGEQLLESLLIEDESDDKNDKKQHRREKESRIDNRGQRLLSQRMRMLKRQMECRSDIHRLNAPFLALYESLIPPEEERAKQKQLLALLEKLVCKEWPEARLYLYGSCANSFGVSKSDIDVCLAFNEMDVNKSEILLKLADILQSDNLQNVQALTRARVPIVKLMDPATGISCDICINNVLAVVNTKLLRDYAKLDARLRQLAFIVKHWAKSRGVNETYQGTLSSYAVDLLSFHACRGWRQLTLS >EOX96151 pep chromosome:Theobroma_cacao_20110822:1:36775727:36779983:-1 gene:TCM_005467 transcript:EOX96151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 1 MTGNGGEAPSPPAANGGEFLLSLLQKPQQHLQQQQSPLFSRATPVTIPQPQQQQQQQQQQPLVIDPAVAAVGPTLPFRPLWPSNGRDLPGLWPQTLSPPLAPNFLGFPLSPWSSPGNQFAGNQGALMDDLRRLGLSGIDNNKNHVIQNRVQQKHQDQKLVFGSFPSDIQTLKTPEGSPNGNLLENSKLNLSNQQLDSRLNSNPNTSPYVFQHRNSGDRGKQQQHGGSYRPTPSPEARRSPPGFLGKPRGGGGNRDFGNRRRHFEHNVDKAKAEYSQPSSDNEVGLSGQLDRPGPPAGSNLQSVSATDIEESLLELHSDGGRDRFSRRDKFRREDGGEVDEVGEQLLESLLIEDESDDKNDKKQHRREKESRIDNRGQRLLSQRMRMLKRQMECRSDIHRLNAPFLALYESLIPPEEERAKQKQLLALLEKLVCKEWPEARLYLYGSCANSFGVSKSDIDVCLAFNEMDVNKSEILLKLADILQSDNLQNVQALTRARVPIVKLMDPATGISCDICINNVLAVVNTKLLRDYAKLDARLRQLAFIVKHWAKSRGVNETYQGTLSSYASVDLLSFHACRGWRQLTLS >EOX96149 pep chromosome:Theobroma_cacao_20110822:1:36775585:36779595:-1 gene:TCM_005467 transcript:EOX96149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 1 MTGNGGEAPSPPAANGGEFLLSLLQKPQQHLQQQQSPLFSRATPVTIPQPQQQQQQQQQQPLVIDPAVAAVGPTLPFRPLWPSNGRDLPGLWPQTLSPPLAPNFLGFPLSPWSSPGNQFAGNQGALMDDLRRLGLSGIDNNKNHVIQNRVQQKHQDQKLVFGSFPSDIQTLKTPEGSPNGNLLENSKLNLSNQQLDSRLNSNPNTSPYVFQHRNSGDRGKQQQHGGSYRPTPSPEARRSPPGFLGKPRGGGGNRDFGNRRRHFEHNVDKAKAEYSQPSSDNEVGLSGQLDRPGPPAGSNLQSVSATDIEESLLELHSDGGRDRFSRRDKFRREDGGEVDEVGEQLLESLLIEDESDDKNDKKQHRREKESRIDNRGQRLLSQRMRMLKRQMECRSDIHRLNAPFLALYESLIPPEEERAKQKQLLALLEKLVCKEWPEARLYLYGSCANSFGVSKSDIDVCLAFNEMDVNKSEILLKLADILQSDNLQNVQALTRARVPIVKLMDPATGISCDICINNVLAVVNTKLLRDYAKLDARLRQLAFIVKHWAKSRGVNETYQGTLSSYAGWRQLTLSLWMMLSVLTLIKLKDYVILDLLTRKVLLNWCGHSLIIGHMVMIMPIQ >EOX96148 pep chromosome:Theobroma_cacao_20110822:1:36774456:36779688:-1 gene:TCM_005467 transcript:EOX96148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein isoform 1 MTGNGGEAPSPPAANGGEFLLSLLQKPQQHLQQQQSPLFSRATPVTIPQPQQQQQQQQQQPLVIDPAVAAVGPTLPFRPLWPSNGRDLPGLWPQTLSPPLAPNFLGFPLSPWSSPGNQFAGNQGALMDDLRRLGLSGIDNNKNHVIQNRVQQKHQDQKLVFGSFPSDIQTLKTPEGSPNGNLLENSKLNLSNQQLDSRLNSNPNTSPYVFQHRNSGDRGKQQQHGGSYRPTPSPEARRSPPGFLGKPRGGGGNRDFGNRRRHFEHNVDKAKAEYSQPSSDNEVGLSGQLDRPGPPAGSNLQSVSATDIEESLLELHSDGGRDRFSRRDKFRREDGGEVDEVGEQLLESLLIEDESDDKNDKKQHRREKESRIDNRGQRLLSQRMRMLKRQMECRSDIHRLNAPFLALYESLIPPEEERAKQKQLLALLEKLVCKEWPEARLYLYGSCANSFGVSKSDIDVCLAFNEMDVNKSEILLKLADILQSDNLQNVQALTRARVPIVKLMDPATGISCDICINNVLAVVNTKLLRDYAKLDARLRQLAFIVKHWAKSRGVNETYQGTLSSYAYVLMCIHFLQQRRPAILPCLQGMETTYSVTVDDVECAYFDQVERLRNFGSSNKESVAQLVWAFFNYWAYGHDYANSVISVRTGSIISKQEKDWTRRIGNDRHLICIEDPFEISHDLGRVVDKFSIRVIREEFERAADVMQYDPNPCVTLFEPYVPS >EOX93755 pep chromosome:Theobroma_cacao_20110822:1:15557556:15564524:-1 gene:TCM_002673 transcript:EOX93755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin binding Calponin (CH) domain-containing protein isoform 1 MSGYVGILVSDPWLQNQFTQVELRSLKTHFMSMRRESGKLTVGDLASRMSRLKVVGENLSEQERADFIADLYPNLNDEVDFEFFLKVYLKLHAHESARTGSPAKNSSAFLKAATTTLLHTISESEKASYVAHINNYLAQDGFLNKYLPINPSSNDLFEIVKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGLISQIIKIQLLADLNLKKTPQLVELVDDSKDVEELMSLPPEKILLRWMNFQLRKSSYKKIVTNFSSDVKDAEAYAYLLNVLAPEHSNPSTLAVKDPLQRAKLVLEHADRMGCKRYLTAKDIVDGSPNLNLAFVAHIFQHRNGLSTQTKQISFLETLPDDAQISREERVFRFWINSLGNSTYIDNVFEDLRNGWILLETLDKVSPGIVNWKVANKPPIKLPFKKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRYNILQLLKNLRFHSHGKEITDVDILRWANTKVSNSGNQSRMDSFKDKSLSDGIFFLELLSAVQPRSVNWSLVTKGVTDEQKKMNATYIISIARKLGCSIFLLPEDITEVNQKMILTLTASIMYWFLKQPVEEKPSATSDSENGSPLETISNSTIDDSASESSLE >EOX93756 pep chromosome:Theobroma_cacao_20110822:1:15557346:15568140:-1 gene:TCM_002673 transcript:EOX93756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin binding Calponin (CH) domain-containing protein isoform 1 MSGYVGILVSDPWLQNQFTQVELRSLKTHFMSMRRESGKLTVGDLASRMSRLKVVGENLSEQERADFIADLYPNLNDEVDFEFFLKVYLKLHAHESARTGSPAKNSSAFLKAATTTLLHTISESEKASYVAHINNYLAQDGFLNKYLPINPSSNDLFEIVKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGLISQIIKIQLLADLNLKKTPQLVELVDDSKDVEELMSLPPEKILLRWMNFQLRKSSYKKIVTNFSSDVKDAEAYAYLLNVLAPEHSNPSTLAVKDPLQRAKLVLEHADRMGCKRYLTAKDIVDGSPNLNLAFVAHIFQHRNGLSTQTKQISFLETLPDDAQISREERVFRFWINSLGNSTYIDNVFEDLRNGWILLETLDKVSPGIVNWKVANKPPIKLPFKKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRYNILQLLKNLRFHSHGKEITDVDILRWANTKVSNSGNQSRMDSFKDKSLSDGIFFLELLSAVQPRSVNWSLVTKGVTDEQKKMNATYIISIARKLGCSIFLLPEDITEVNQKMILTLTASIMYWFLKQPVEEKPSATSDSENGSPLETISNSTIDDSASESSLE >EOX92094 pep chromosome:Theobroma_cacao_20110822:1:5242419:5247075:-1 gene:TCM_001102 transcript:EOX92094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 93 MGRSPCCDESGLKKGPWTPEEDQKLVKYIQENGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILNLHSILGNKWSAIASHLPGRTDNEIKNFWNTHLKKKLIQMGIDPMTHRPRTDIFSSLPHLIALANLKELMDHQPWEEHAVRLQAEAVQMAKLQCLQYLFQTPASISAGSNNINNTFTDMETINLSNSLSPVKDNSVLSSPQLDIAAASLQGFNDSILFPRLPDLQIPCEYPTSTNKDMAQAQEYTVLSQGENKCNSPWLTSSATPSPSVAPSVTETLIDNSVWPDHHLLFDDPLFHEIA >EOX92226 pep chromosome:Theobroma_cacao_20110822:1:5827806:5835380:-1 gene:TCM_001207 transcript:EOX92226 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein MSNESEELPLMAPPPITEPSEIDLEAGPADQIQCRICLETDGRDFIAPCKCKGTSKYVHRECLDHWRAVKEGFAFAHCTTCKAPYHLRVHVAADRKWRTLKFRFFVTRDILSIFLAVQLVIASLAYLVHLIDSYQQSWLRLAWGFDSELSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFESCASAATECGCLGGAGEAGLPLLFIMALIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEMTGSDWSPPPLPPEHIQQLKTLGLL >EOX96081 pep chromosome:Theobroma_cacao_20110822:1:36555316:36558209:1 gene:TCM_005420 transcript:EOX96081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-A13 MRLGPNLGLPNHDKILGFGVQTRRDLMGANISGAVADGDGDGPPSRPRLGDIPESCAALVLAQLDPPEICKLSRLNRSFCGASSADFIWESKLPSNYRFIVEKVLGDTTLLTLQRKELYARLCRPILFDAGTKEVWLDKSTGGVCLSISSKALTITGIDDRRYWSHISTEESRYPTVAYLQQIWWFEVDGELEFQFPAGTYCLFFRLQLGKSSKRLGRRFCNAEHVHGWDIKPARFQLTTSDGQRAVSQCYLDNPGNWVLYHVGDFIVENPNALTKIKFSMTQIDCTHTKGGLCVDTALIYPSSVGKEFRSSCP >EOX92413 pep chromosome:Theobroma_cacao_20110822:1:6711427:6714368:1 gene:TCM_001366 transcript:EOX92413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFTLSDLHSSLSFQLPTSLCDCMQHFVFWVLYCFLYAGKKTLKNISLIVIVLVCLVCSLSQWIVYLHLHAEALFKSEVEAM >EOX95820 pep chromosome:Theobroma_cacao_20110822:1:35664253:35665407:-1 gene:TCM_005225 transcript:EOX95820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein, putative MENNYVLEITLISAQGLKEPSGKLRRMQTYALAWIDSSVKLRTCVDRVGGENPTWNDKFLFKVSSEFLSSETSGISVEIFAVGVLRDSLVGTVRFLVSNFLPSGSAFNAAKTHSFNAVQIRRPSGRFQGVLNIGATVLDGAYVPSWTGVSAMGFRDLIGHRSLKKSKSALPISRENLFPDSDDQSDGCDSTTSSSSSASASTALKEWNVMVRELKGTNHVRSSSEGGRVLCGLMTSSPRKAAYLCPFMFSQSFNEGKLTNERSRFA >EOX94613 pep chromosome:Theobroma_cacao_20110822:1:30455678:30457359:-1 gene:TCM_004242 transcript:EOX94613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNPSLIMEKASCLYYFFSFRFLWLQQKKELHYRRNVLSDLDNCEILTNVGDDSYSTYLNWHKKLGNDVRMQLHKVEDGLTSPAK >EOX93911 pep chromosome:Theobroma_cacao_20110822:1:17373619:17381022:1 gene:TCM_002914 transcript:EOX93911 gene_biotype:protein_coding transcript_biotype:protein_coding description:X-ray induced transcript 1, putative MDYNNDQEPWNWHGENYGVEKNSNFDASQGVWTQVTLNEEDLSYMFDETTPVKECGDLSYHVAHNDNISKEPEDRRETSSQVKRRRMLQFDTHAIDSSLVCEEMPSAFLKSRERDESFEEVLPDASQWAAGFSEDASASSYEGLDQSCEGWLAEYFNDAEMLLSSDDMNLTGASDVPIDISELCNAQPEPGADAVQKQATRTPRNVVFKGRKSFIRTPPKLASSVAYPFAFIKPCGFHGDVTLKDINQRIRTPPPSKSKQSNEDLAAAFPTSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >EOX95851 pep chromosome:Theobroma_cacao_20110822:1:35770411:35771876:-1 gene:TCM_005252 transcript:EOX95851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSNSFSKEDLLSNILALDLQSWGHSSLNPKLQASLKSCNICCNSFCEVLINGAWEMTKALPNISIMKQITSTFFTKEKHYLHLCVLALGRKKHEESLE >EOX91334 pep chromosome:Theobroma_cacao_20110822:1:2357782:2358482:1 gene:TCM_000563 transcript:EOX91334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEAPATQSIETSTNNFQVEEDEQDKAVDECCSCCYDCTETCFDYLCCFNLC >EOX94024 pep chromosome:Theobroma_cacao_20110822:1:18943228:18945898:-1 gene:TCM_003077 transcript:EOX94024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSALAVHKKGIPKMIRTFLSSSISSTMKSTRKMNCLTFASTSSTIPPKYVRVRAVSCSIEEKVVVMESPLPLFLDTAHQNLQSVSHFQLSYLSVQLAFGCFQLPYYFSRYKQVRFDRHTINQFYNLPEIDNDYYTQYLNGNVNLDEVWNHLLVAKMLPVKHLKDVTKDRVVCFMPSSQQAKVHWSSDEELLHLKGLLDSSIIQRFKAHDHFVACESSSFTPRPPPKTINLTIP >EOX93525 pep chromosome:Theobroma_cacao_20110822:1:13767528:13769647:1 gene:TCM_002413 transcript:EOX93525 gene_biotype:protein_coding transcript_biotype:protein_coding description:FASCICLIN-like arabinogalactan 2 MPPLTPTLFPSLALFILFIFSSSTTSNAHNITRILAKHPEFSTFNHYLTTTHLASEINRRQTITVLALDNAAMSALLDKQLSLYTVKNVLSLHVLVDYFGSKKLHQITNGTALTSTMFQATGAAPGSSGYINITDLKGGKVGFGAEDNNGKLDAVYVKSVAEIPYNISVLQISQVLNSAEAEAPTAEPSQLNLTEIMSKQGCKAFADLLKASGADATFNENIDGGLTVFCPTDPVIKGFMPKYENLTAAQKVSLQLYHGIPVYQSMQTLKSSNGIVNTLATDGANKFDFTVQNDGEVVTLKTKVMTAKITGTLKDEEPLIVYKIDKVLLPRELFKTVEAAEAPKASKSKHKAADAPESDAPAESDPADQSADDENGVAGLDGRRLVMVLFSLCIGVSLM >EOX92551 pep chromosome:Theobroma_cacao_20110822:1:7430051:7431060:1 gene:TCM_001485 transcript:EOX92551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MITGWRGAALAGNIEALYASIQEDGDVLKRVDEVEFVDTPLHIAAAAGHTDFVMEMMNLKPSFAKKLNQHGFSALHLALQNGHKETVLRLLEINKDLVRVKGKNGYTPLHYVTREGNLDLLAKFLEDCPECIFDVTIHSQTAFHIAVENDRLAALHVLSKMLKKTDCCQGVVNRKDKDGNTALHRAAAKNYPQVIKSH >EOX94021 pep chromosome:Theobroma_cacao_20110822:1:18887462:18892499:1 gene:TCM_003073 transcript:EOX94021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKCKHPKGRGQLIAASGSMGQHHVPSPPMPTSTQVVMALVPQSTVAAPSSVSPLIKSRDRGPSVRILTPINSRVKCMFDCSWKGDGATCDILRAFQLHHKRLKGSGDFVDEKPKNETYAYALLQKYSDELASELAYDLSHECYNIHDAAHTSATISKSTYGPTPSSNVVIEPPIFNEAYEMLEQEVNFVKTTIFAVNHCRARSMSKETPLVLFLLIFF >EOX93954 pep chromosome:Theobroma_cacao_20110822:1:17755571:17757101:-1 gene:TCM_002969 transcript:EOX93954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clavata3/esr-related 41, putative MDIEPLWALGGWLFLFLDCMAAPKTLSETYAKPQSLLLFLTLFFIFLLLIHPTNPLNSSNVSSSSSSIPFRRRLLATSSKSTSYSTNHFRPKQTHNAHTSSSSSSPSSSSSASSKPSRREFGAKAHEVPSGPNPISNR >EOX93425 pep chromosome:Theobroma_cacao_20110822:1:12977455:12980537:-1 gene:TCM_002300 transcript:EOX93425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein isoform 1 MGMSLEGQKVIMVPYMEAHVPKYHVWMQDPALLQATGSEPLSLQEEYDMQLSWNEDPLKKTFIVLDKGMVEGKFVHGGPHVEAMVGDVNIYMNDLDDPQLAEIEIMIAEPKSRGKGLGKESVLMMMAYAVQNFGIHVFRAKIGESNGSSLSLFRKLVFDYFWFPSA >EOX93426 pep chromosome:Theobroma_cacao_20110822:1:12973072:12980631:-1 gene:TCM_002300 transcript:EOX93426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein isoform 1 MGMSLEGQKVIMVPYMEAHVPKYHVWMQDPALLQATGSEPLSLQEEYDMQLSWNEDPLTMVGDVNIYMNDLDDPQLAEIEIMIAEPKSRGKGLGKESVLMMMAYAVQNFGIHVFRAKIGESNGSSLSLFRKLKLPDQPNLMTLPSSFHHEALAQGNIGVGSDRAKAGGAFAVVW >EOX93424 pep chromosome:Theobroma_cacao_20110822:1:12973072:12980517:-1 gene:TCM_002300 transcript:EOX93424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein isoform 1 MGMSLEGQKVIMVPYMEAHVPKYHVWMQDPALLQATGSEPLSLQEEYDMQLSWNEDPLKKTFIVLDKGMVEGKFVHGGPHVEAMVGDVNIYMNDLDDPQLAEIEIMIAEPKSRGKGLGKESVLMMMAYAVQNFGIHVFRAKIGESNGSSLSLFRKLKLPDQPNLMTLPSSFHHEALAQGNIGVGSDRAKAGGAFAVVW >EOX96391 pep chromosome:Theobroma_cacao_20110822:1:37613217:37614094:1 gene:TCM_005641 transcript:EOX96391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRFKLRLMDQIGSNHKEITGKSFSPANILYGFSHFESLENLRIASKGFLLNDALTVQAEITLLSNFKCFS >EOX91908 pep chromosome:Theobroma_cacao_20110822:1:4442285:4456382:1 gene:TCM_000964 transcript:EOX91908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyxylulose-5-phosphate synthase isoform 3 MAVARDLLGKKNNVVSVIGDGAMTAGLAFEAMNNAGFLDANLIVILNDNKQVSLPTATLDGPSTPVGALSRAFTKIQASTKLRKLREKAKDLAKQIGVQAHELAAKIDEYARGMISASGSTLFEELGLYYIGPVNGHNIEDLVLIFEKVKAMPAPGPVLIHIVTEKGKGYPPAEASADKMHGVVKFDTKTGKQFKPKSSTLSYTQYFAESLIKEAEDDDKIVAIHAAMGGGTGLNFFQKRFPERCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDITYMACLPNMVVMAPSDEAELMHMVATAAAIDDRPSCFRFPRGNGTGVALPPNYKGTPLEIGRGRIIMEGNRVAILGYGSIIQQCIEAAHVLRSQDIYITVADARFCKPLDRDLIKQLAHEHEILITVEEGSIGGFGSHVSHFLSLTGILDGSLKLRAMVLPDRYIDHGSPKDQIEEAGLSSRHIATTVLSMLGRPKEALQFN >EOX91906 pep chromosome:Theobroma_cacao_20110822:1:4438667:4444606:1 gene:TCM_000964 transcript:EOX91906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyxylulose-5-phosphate synthase isoform 3 MASGSLVRPSQSPAPFLKPTRPNLSCKKQFCLRASAGSLDDEERKTMITKQNDGWKIDFFGKKPATPLLDIIKYPVHMKNLSTRDLEQLAAELRADIVHTVSETGGHLSSSLGVVELTVALHHVFNTPEDKIIWDVGHQAYAHKILTGRRSRMHTIRKTSGLAGFPKRDESVYDAFGAGHSSTSISAGLGMAVARDLLGKKNNVVSVIGDGAMTAGLAFEAMNNAGFLDANLIVILNDNKQVSLPTATLDGPSTPVGALSRAFTKIQASTKLRKLREKAKDLAKQIGVQAHELAAKIDEYARGMISASGSTLFEELGLYYIGPVNGHNIEDLVLIFEKVKAMPAPGPVLIHIVTEKGKGYPPAEASADKMHGVVKFDTKTGKQFKPKSSTLSYTQYFAESLIKEAEDDDKIVAIHAAMGGGTGLNFFQKRFPERCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDITYMACLPNMVVMAPSDEAELMHMVATAAAIDDRPSCFRFPRGNGTGVALPPNYKGTPLEIGRGRIIMEGNRVAILGYGSIIQQCIEAAHVLRSQDIYITVADARFCKPLDRDLIKQLAHEHEILITVEEGSIGGFGSHVSHFLSLTGILDGSLKLRAMVLPDRYIDHGSPKDQIEEAGLSSRHIATTVLSMLGRPKEALQFN >EOX91907 pep chromosome:Theobroma_cacao_20110822:1:4438667:4444907:1 gene:TCM_000964 transcript:EOX91907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyxylulose-5-phosphate synthase isoform 3 MASGSLVRPSQSPAPFLKPTRPNLSCKKQFCLRASAGSLDDEERKTMITKQNDGWKIDFFGKKPATPLLDIIKYPVHMKNLSTRDLEQLAAELRADIVHTVSETGGHLSSSLGVVELTVALHHVFNTPEDKIIWDVGHQAYAHKILTGRRSRMHTIRKTSGLAGFPKRDESVYDAFGAGHSSTSISAGLGMAVARDLLGKKNNVVSVIGDGAMTAGLAFEAMNNAGFLDANLIVILNDNKQVSLPTATLDGPSTPVGALSRAFTKIQASTKLRKLREKAKDLAKQIGVQAHELAAKIDEYARGMISASGSTLFEELGLYYIGPVNGHNIEDLVLIFEKVKAMPAPGPVLIHIVTEKGKGYPPAEASADKMHGVVKFDTKTGKQFKPKSSTLSYTQYFAESLIKEAEDDDKIVAIHAAMGGGTGLNFFQKRFPERCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDITYMACLPNMVVMAPSDEAELMHMVATAAAIDDRPSCFRFPRGNGTGVALPPNYKGTPLEIGRGRIIMEGNRVAILGYGSIIQQCIEAAHVLRSQDIYITVADARFCKPLDRDLIKQLAHEHEILITVEEGSIGGFGSHVSHFLSLTVESNGAS >EOX95995 pep chromosome:Theobroma_cacao_20110822:1:36260800:36261608:1 gene:TCM_005354 transcript:EOX95995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKQVCSSVFLLLCVLFLFLSAKAQTCNPSGKIKGKNPPPGQCYQENDSDCCKVGKCFEAGGEGGGPSECDNQYHSDDDPVVALSTGWFNHKKRCLKYINIHGNGKSVRAKVVDECDSTMGCDSDHDYQPPCPNNIVDASKAVWKALGVPESDWGDMDIYLSDTD >EOX92381 pep chromosome:Theobroma_cacao_20110822:1:6576444:6591932:-1 gene:TCM_001336 transcript:EOX92381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMQMLMIILMRFINRTRTDPRFPEIGLGVRLRTEKVSKFSSPRDIPERTRLYKLFWEIPSSELPHHLQDKYIKFAFLNGMLEEEIYVQQPKDFEFLIWLEAGPRYWCNRIDTYLTKKGFIKSCNEAIFYILSLEELHYGSLKNISHRSIMIGCLLYICLFCPDIMFPVSLFSRFMEEPTNLHLIVANQILKYLKDTLNYVLHFSQKFDIVLTGYFDNDKGRNVDDSKNTTSYVFHLEMGFSHGTHINKMCDNNSKQVAGFMGYFYLRMFWRVWLGGSDMEIVKSYLIKYNSLNSYTIERFIDLGGDSSCRALGPWVYKGKGRSMLWDLAHVVMFSPLSPPLRVVMCLGFEGLESWDFAGLCGWSVQRQGLCLFVEVKGASFFGASVLFSRLSFDRGFNFLRAFVGMISLFFFFCKFLMICVARLLCVYRGFFLFTAAKGFLCSIFAYCTRVM >EOX96247 pep chromosome:Theobroma_cacao_20110822:1:37101027:37105131:-1 gene:TCM_005533 transcript:EOX96247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transketolase MASTSSTTLSQALLARAISYHGSTQSSDHRVSLSTLSLPTFSGLKSTTSRASAFRRRPPVRSYQNRQVRAAAVETIGTAAETSLVEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVLEEDLKNFRQWGSKTPGHPENFETLGVEVTTGPLGQGVANAVGLALAEKHLAARFNKPDNEIVDHYTYVILGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTESVDKRFEGLGWHVIWVKNGNTGYDDIRAAIKEAKAVKDKPTLIKLTTTIGYGSPNKANSYSVHGSALGAKEVDATRKNLGWPYEPFHVPEDVKTHWSRHVPQGAALEAEWNAKFAEYEKKYKEEAAELKTIITGELPAGWEKALPTYTPESPPDATRNLSQQNLNALVKVLPGLLGGSADLASSNMTLLKMYGDFQKDTPEERNVRFGVREHGMGAISNGIALHSPGLIPYCATFFVFTDYMRAAIRISALCEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNILMLRPADGNETAGAYKVAVLNRKRPSILALSRQKLPQLAGTSIEGVEKGGYIVSDNSSGNKPDVILIGTGSELEIAAKAAEELRNGGKAVRVVSLVSWELFDEQSDAYKESVLPSAVSARVSIEAGSTFGWEKIVGSKGKSIGIDRFGASAPAGRIYKEFGLTPEAVVTAAKELC >EOX96650 pep chromosome:Theobroma_cacao_20110822:1:38533968:38536572:-1 gene:TCM_005853 transcript:EOX96650 gene_biotype:protein_coding transcript_biotype:protein_coding description:F17A17.37 protein MTMATSFLLVCTLLVTGCALGPKPFVSAKRGVRPYGSAKIAAKPFEGYLENGNFEEQPKPTDLKKTVLLGKYALPKWTISGLVEYITGGPQPGGMFFPVAHGVHAVKLGNEASISQTIPVKPGTLYALTFGASRTCAQDEVLRVSVPAQSGDLPLQTLYSSYGDDVYAWGFIAKSKYITVTFHNPGVQEDPTCGPLLDAVAIKELVRPMPTRDNLVKNPGFEEGPHRLVNSTNGVLLPPRQEDSTSPLPGWIIESLKAVKFIDSKHFNVPAGKAAVELVAGRESAIAQILRTVPNQLYDLTFIIGDARNGCHGEMMVEAFADKNTVKVPFTSRGKGEFKTASLKFKAVTARTRITFFSSYYHTRINDFGSLCGPVLDEVRVSPVA >EOX94478 pep chromosome:Theobroma_cacao_20110822:1:28997905:28999954:-1 gene:TCM_004072 transcript:EOX94478 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative MELLSSWCYDGSLPESYVMPPESRPGKLIVPLGQSIPVVDLERHDRNETIQQILKAGEEYGFFQVINHGVSEDLMDKTMNVAEEFHAMPAVDKERECSKDPSGGCKLYTSSYVYPKEDFHYWRDAMTHPCLPLEEYIQFWPEKPTRYREVVGAYSVELRKLSCRILELICEGLGLSAGYFSSDLIQAPKIMINHYPPCPEPSLTLGLSKHRDPSIITILLQGHIHGLQVFKDGHWIGVEPLPHAFVVNIGFLLQIISNGKLKGAEHRVVTNSRDARTTISYFVYPSDQTLVEPAKALVNACNPTLYKAFKFFDFVSAFLLKPGNTGEAVKELISAPHTLNC >EOX94830 pep chromosome:Theobroma_cacao_20110822:1:31624863:31626388:1 gene:TCM_004442 transcript:EOX94830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTFSALALILSKRFNSQHFKQGKVWESQRKLTMVILPKPNPCTIKLQPNNNPFSMSSLVSIGTGKHAPARIAIVSTLIISYQTKSLGRFINGNRVLEEPSSRRGSLFADQTLTTRDLGENEGKSGYSTKLKTKQNDHEEFEKEVESVICRLKAAVERIRELEIQKLMGRFKGRISDEDRILVENTSREIVDRFLGRPLHYLRSGNGDLKEKLTDLKLLIPVNLTMIFHVGLKSGCHSHTRYVDCRLVQCLRGSNLVDDEPYFVFEIYCKSVDIHLA >EOX96590 pep chromosome:Theobroma_cacao_20110822:1:38342923:38344837:1 gene:TCM_005814 transcript:EOX96590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVESHYYQPNLLLLQYHNNIVLDPMISIKGHRFLPVNMFESSTKMVGNLENWSAKLNCSFKPDKKLELADKFISYSCSNGALGKSFAVREKDCTNTNLTPFC >EOX91170 pep chromosome:Theobroma_cacao_20110822:1:1845521:1846965:-1 gene:TCM_000447 transcript:EOX91170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthocyanidin 3-O-glucosyltransferase 5 MEFSNTKPHVALLSSPAHLTPMVELGKRLVTYQNVKVTTFVTSFLGSAATISRMVQSAQSEKLFDVIQLPPADISGLISPGQTGLASLPAVVRVSEPAFRSAISALETPPTALVAHVYAVDCLGIADELKIPKFVYMSSHAWYLALFLYVPILDKNVGGELGDDDQKEPFVLPGCTPVRPEDLPDPMLVQTKNNYLELIRMATEIPKADGILVNTWEELQPTTLAALRDDKLLGSVAKAPIFPIGPVTAEGAVGSKSELSGWLDKQPNESVLYISFGSMGALSLEQMTELAWGLELSQQRFIWVVRPPTTKTGIGSVPKFGFDVNDTSTYLPEGFMSRTHGKGLVVPQWAPQQWIANDRLAAVR >EOX90871 pep chromosome:Theobroma_cacao_20110822:1:877608:880716:1 gene:TCM_000219 transcript:EOX90871 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MNSLWAFLASLALIRSILYNYLPEKLRLYISSRFEEWTSRFTTDVTMVFRDNQSSNMNQLFQAANLYLGESLPTISIPRVMVEKTENVRNLSFAMEKNSEMVDVFENVPMKWKYFSEYSQGMSKHEIRWYELSFHKEHKDMVTRSYLPHILERANKIKERNRVVKLHTAAHDFWTPKPVIIQHPMTFETLAMNGDLKKIIVEDLDRFMDSKEYYQQIGKVWKRGYLLHGPPGTGKSSLIAAMANHLNFDIYNLNLSAVSSDLVLQNLLLSMANRSLLAIEDIDCSVKLQNRESKIEQPIKYQQHNKVTLSGLLNFFDGIFSCCGEGKILVATTNYKERIDPALLRAGRMDMHIYLTYCTFSAFKQLALKYLKISDHSLFHHIEKLLPEVKVSPAAVAGELMKTRDPKASLEGLIKHLENKLLADGNSEVSPGHPSSHPSNCLVEESSISLPLASNETNMDNAKSPGPNPDVSADEQKATKESNLTENGPPEEDSNNSDKKDLLQGQSSGNNILEIIEDMEKELLSNKEAIIALLNKSTLTLNRLSKIKSNI >EOX96213 pep chromosome:Theobroma_cacao_20110822:1:37005664:37007809:1 gene:TCM_005511 transcript:EOX96213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol-4alpha-methyl oxidase 1-1 MLPYQTLEEAALVLGRNLTLAEKLWYNYSADKSDFLLYGHNIFFLCLVFSLAPLPYAFIELSKFEYMAKFKVQTKINISFSGMFKCYKAVMKKFIVVVGPLQIISFPTVKWVGIHTSLPLPSFWEISSQLVVYFLIEDYTNYWLHRLLHSKWGYEKIHYMHHEYSAPFGFAAPYAHWAEILILGIPTFLGPLMIPCHIITLCLWTILRHVEAIQTHSGYEFPWSPTRFIPFYVGAEYHDYHHYVGGQSQSNFASVFTYCDRIYGTDRGYRHHKQALNKLKGM >EOX91284 pep chromosome:Theobroma_cacao_20110822:1:2191016:2191921:1 gene:TCM_000526 transcript:EOX91284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger DNA-binding family protein, putative MPSDSGDQNRRLTKALNSGAPPPEQEQLPCPRCDSTNTKFCYYNNYNFSQPRHFCKSCRRYWTHGGTLRDIPVGGGTRKNAKRSRTNTHATAISATNAATTTTSHNNFPLPATPVLLPLSANQGTFGIGGESKGNGGGNICGSFTSLLNTQGPGFLALGGFGLGIGPGLEDVGFGLGRGMWPFSGMGDGAAAVGGNVSAANGMGNTWQFESGETGFVGGDCFSWPDLAISTPGNGLK >EOX92420 pep chromosome:Theobroma_cacao_20110822:1:6748058:6755154:-1 gene:TCM_001374 transcript:EOX92420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDYKSLTISIAVTIAVAILANHVVSTRGFSGQKETEQMAEVKTINKHATTKTIKTTFGDIFDCVDIHRQPTLLHPLLKNHKIEMRPGSALHRNLKNSSFSTESSSSTNVAKLVGLGKGCPKGTVPIRRTVGKENMIRSFDYNKFGKNLSIDFPIKESGGTGYDYAGIVLRSESGKLIKGAAANLIIYQPKVKDHQFSGAIIEVSNGMPGNAGAIHLGWMVDPLYFGDGQPRLFAAWAQASNGKISGCYNMDCPGFVQVNRYATFGSTFSRVSVIEGPQYGTHMSLTVEPSGNWWVNIQNVGIGYFANEFFTGLRAGADLTLWGGTVYSPFPSSPPMGSGLKYNGGPFRRTCYMIQVGYVNQDDGHRYSDPNPSSVQVKESRCYLVGKNRYVDDKWRYTFFFGGEGGDFHSCRY >EOX93312 pep chromosome:Theobroma_cacao_20110822:1:12024567:12027271:-1 gene:TCM_002154 transcript:EOX93312 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MKKSLMRFIAARASTIVDSRTYSSWRFYCSPTSSSTRPPPNGKLFVAGLSWSVDEKSLKDAFSSFGHVSEGQEALGLFIFLKKMKPDVLKMLWMERRC >EOX93311 pep chromosome:Theobroma_cacao_20110822:1:12024487:12027273:-1 gene:TCM_002154 transcript:EOX93311 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MKKSLMRFIAARASTIVDSRTYSSWRFYCSPTSSSTRPPPNGKLFVAGLSWSVDEKSLKDAFSSFGHVSEVRIMYDKDTGRSRGFGFVHFSKEDEARCAKDAMDGKALLGRPLRISFALEKIRGAPVVVPRLPNGNA >EOX96547 pep chromosome:Theobroma_cacao_20110822:1:38212881:38215090:-1 gene:TCM_005781 transcript:EOX96547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative isoform 1 MEGELNLMVKLILSIVLGGFVGLLCFLYNGVLMKPKKLRLKLEKQGIKGPCPSLLYGNIHEMRRIQLEALSAASSTQKDHQAAIAHDWFPTLFPYLDKWRNEYDYSSAAFTWLFWCTGSVFLYSTGNLQLLCTTDMEMVREIGLHKSLSLGKPSYLTRDRGPLLGQGILSSSGPIWAHQRKIIAPEFFPDRVKGMVNLMVDATTSLLNTWESRVEQEGGILEIVVDEDLRSLSADIISRACFGSSYSNGEEIFSKLKALQMAMSKANIGITGMRYLPSKHNREIWKLEKEIDSMILKVVKQRIEEGIHEKDLLQMILEGAKSCDDYKGLSKERFIVDNCKNMYFAGYETTATTASWTLMLLATNPDWQACVRAEVLETCKDGLPDADALRNMKMLTMVIQETLRLYPPATFVIRQALEDIDFKGIIIPKDMNIQIPIPALQQSVDLWGPHAHEFNPERFANGVVEACKVPQAYMPFGVGARICAGQHFAMAELKVALSLLLSRFSFSLSPAYCHSPAFRLVIQPEHGICLRVKRV >EOX96548 pep chromosome:Theobroma_cacao_20110822:1:38212337:38215265:-1 gene:TCM_005781 transcript:EOX96548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative isoform 1 MEGELNLMVKLILSIVLGGFVGLLCFLYNGVLMKPKKLRLKLEKQGIKGPCPSLLYGNIHEMRRIQLEALSAASSTQKDHQAAIAHDWFPTLFPYLDKWRNEYGSVFLYSTGNLQLLCTTDMEMVREIGLHKSLSLGKPSYLTRDRGPLLGQGILSSSGPIWAHQRKIIAPEFFPDRVKGMVNLMVDATTSLLNTWESRVEQEGGILEIVVDEDLRSLSADIISRACFGSSYSNGEEIFSKLKALQMAMSKANIGITGMRYLPSKHNREIWKLEKEIDSMILKVVKQRIEEGIHEKDLLQMILEGAKSCDDYKGLSKERFIVDNCKNMYFAGYETTATTASWTLMLLATNPDWQACVRAEVLETCKDGLPDADALRNMKMLTMVIQETLRLYPPATFVIRQALEDIDFKGIIIPKDMNIQIPIPALQQSVDLWGPHAHEFNPERFANGVVEACKVPQAYMPFGVGARICAGQHFAMAELKVALSLLLSRVNSLQLM >EOX92651 pep chromosome:Theobroma_cacao_20110822:1:7931456:7939211:1 gene:TCM_046975 transcript:EOX92651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein MASQICLYLSNQSPFSYSYKHTHSSPKFPSFKPKARQHYQENNNVPNKDPLLQSLSLSKRRLNFSALALIFNGFLPDISKALSDKELELQRYTDVDEGFTFLRPSSWIKIEKAGATVLFEEANKGSNNVGVVVNPVRLNSLGEFGTPQFVADKLIQAEKRKESTKDAEVIGVAERLGQGGLQVYEFEYKVDSTRGGMKRIFSAAFVASKKLYLLNIAHSDKPKSPLDTHTRAVLEEILHSFDAAPST >EOX91668 pep chromosome:Theobroma_cacao_20110822:1:3489536:3491133:1 gene:TCM_000786 transcript:EOX91668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGAKEKETRVSSHWISPGRCINLFMGFNKQVFAWVSLKVHQLWLKKMSPMQKGHSKERHGVARTRTGDLQCVRLT >EOX93112 pep chromosome:Theobroma_cacao_20110822:1:10558616:10572311:-1 gene:TCM_001956 transcript:EOX93112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIRRQCWMLGAGEVNVFGGGEHNDKVRTSDVAKEWVQSMEEPYRIALLNPKEEGITNEDIQRCNVTIFREVEAMYDVGVQLGLVYKQDRQTMGTLESCDSSRALGLKDFSPSFFKRQWGTIKDEVMKLIDEFYKLVDMDDKINTSFITLVLKCNNPSSINEYRPIILVSSLYKIITKPLANRLKFSIKKVVSENQFTFIGGRQLLDCHLIANEVIDYLKKDGRGWLLFKVNFEKVYDSVEWDFLDVNLDKIGFDNSVLIKKVVNLGLCQGVEIGRNRMVVSHIQFTDDTINFQNSCLCDMSLDHEIIEGWAHHITVKVGKLPMVYLGLPFRSPADSLRVWQPIVDKFDAKLSTRKAKTLSLRDRFTLLRSVLSILSAFFMSMFSIFARVKNEIDKIQRCFLWEDTLDRRRLHYVNWDSTCFHRDEGGIGLIDLKLNPLRDSFNISCVTCERMIVAVGNEKKINFWMEKWIPRVLLKEIQLRKNVFDWELKQWRNSFAFFRRLTTLTNNKVEEFTMFPNEGLHPQRIDSGMRMASWVAPLPGSLKLNVDAVANGCPGEAGIGGVLRDEKASRWDASPNFVFESDSQNAVSWILNPQKAS >EOX96390 pep chromosome:Theobroma_cacao_20110822:1:37607894:37611051:-1 gene:TCM_005640 transcript:EOX96390 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ MFGRVPKKSDNTKYYEVLGVSKTASQDELKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGASSHNPFDIFESFFGGGAFGGGGSSRGRRQKRGEDVVHSLKVSLEDLYNGTTKKLSLSRNALCSKCKGKGSKSGASSRCYGCQGTGMKVTTRQIGLGMIQQMQHVCPECRGSGEVISERDRCPQCKGNKVTQEKKVLEVHIEKGMQNGQKITFEGQADEAPDTITGDIVFLLQLKKHPKFERKFDDLYVEHNLSLTEALCGYQFALTHLDGRQLLIKSNPGEVIKPGQYKAINDEGMPHHQRPFMKGRLFIHFNVDFPESGVFSPEQCRTLETILPMRSSKHLTDMEVDDCEETTLHDVNIEEEMRRKEQQQHRHHEAYDEDDDEPSMPRVQCAQQ >EOX90659 pep chromosome:Theobroma_cacao_20110822:1:252838:253439:1 gene:TCM_000064 transcript:EOX90659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKFSFVISVCWKGSLKMFGMVFGRMFRTSGEERDDQMVVEALLQLLWPVRAQGDSPISEKFKTESYDDLKGKRGTHQSNSTSWRRDFCTATFPTKGSLDSQPSPSSST >EOX95077 pep chromosome:Theobroma_cacao_20110822:1:32827089:32829624:1 gene:TCM_046985 transcript:EOX95077 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR motif-containing protein 7 isoform 2 MSHKALEPRHSIDSCTFQLHSWRPFQLQQTLDSSDPQQTPPKRASTNCFHSKRPCLSDRTTSFSIDLSKLTLLDDDNNSSYNPIAANPKRGSFRLFARKRRRRGSRSVSGRSSDRSGTRRCCSVGASAAYGTCSDFPVAVGTDSSGELFGNGADAYWASDVSEARNSRRERGDGGSGEKESLGGQFGGFDAQGNESGYGSEPGYRGDGEFGYGDEVDEEEEDARLLFWGHHFGDTDSKMEMVGENTFSDQKAHHRCRRKKHDYRMVDSVR >EOX95076 pep chromosome:Theobroma_cacao_20110822:1:32827316:32829624:1 gene:TCM_046985 transcript:EOX95076 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR motif-containing protein 7 isoform 2 MSHKALEPRHSIDSCTFQLHSWRPFQLQQTLDSSDPQQTPPKRASTNCFHSKRPCLSDRTTSFSIDLSKLTLLDDDNNSSYNPIAANPKRGSFRLFARKRRRRGSRSVSGRSSDRSGTRRCCSVGASAAYGTCSDFPVAVGTDSSGELFGNGADAYWASDVSEARNSRRERGDGGSGEKESLGGQFGGFDAQGNESGYGSEPGYRGDGEFGYGDEVDEEEEDARLLFWGHHFGADTDSKMEMVGENTFSDQKAHHRCRRKKHDYRMVDSVR >EOX95013 pep chromosome:Theobroma_cacao_20110822:1:32461317:32464614:1 gene:TCM_004597 transcript:EOX95013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dephospho-CoA kinase family isoform 1 MRIVGLTGGIASGKSTVSSMFKYNQIPVVDADVIARDVLKKGTGGYKRVVAAFGPDILQDDGQVDRLKLGRIVFSDASKRELLNRLLAPYISSGIFLEILKFWIKGHKVIVLDIPLLFEAKMDKWTKPIVVVWVDPETQLRRLMERDNSTEDDARNRINAQMSLDFKRTRADLLIDNTGSLEDLQGRFREVLLQVKRPMTWTEFWLSRDGALSALLGVIIGVLASRKILSTL >EOX95014 pep chromosome:Theobroma_cacao_20110822:1:32461541:32464254:1 gene:TCM_004597 transcript:EOX95014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dephospho-CoA kinase family isoform 1 MFKYNQIPVVDADVIARDVLKKGTGGYKRVVAAFGPDILQDDGQVDRLKLGRIVFSDASKRELLNRLLAPYISSGIFLEILKFWIKGHKVIVLDIPLLFEAKMDKWTKPIVVVWVDPETQLRRLMERDNSTEDDARNRINAQMSLDFKRTRADLLIDNTGSLEDLQGRFREVLLQVKRPMTWTEFWLSRDGALSALLGVIIGVLASRKILSTL >EOX94082 pep chromosome:Theobroma_cacao_20110822:1:20186820:20189587:1 gene:TCM_003182 transcript:EOX94082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRDLIIVAHRGDAKVDAKPYGVSIGIRGEEYLSRPRGSCHGLDGEFRVVTLV >EOX90581 pep chromosome:Theobroma_cacao_20110822:1:55696:59839:1 gene:TCM_000013 transcript:EOX90581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar iron transporter family protein, putative MASSQTFESCSENKIPVADETYQPTEHVQRAQWLRAAILGANDGLLSTTSLMLGVGAAKDDRWSMILSGLAGALAGACSMAVGEFVSVSTQRDIEQATAACCTSKTDTGMQHDHVKNLDMISSPTTVKASKLRETNLGMLDTPTPTRTAPCRNLTCSEPTEKIPPPVIILEPKLPQVLSPGRSSIIKVITKDLSTKAVESVQNNMEKALPNPYKAAAASALAFLCGSVVPLATAVFIAENIIRIVVIAVVTSIALALFGCFGAHLGGLPVRVSAARVLVGGWIAMAITYDMDQQEEEFSPPLAVLPRLDRLDRLLQFLEERRRCLLARQSSNYPSLTSMEVQCKTLSSALEEVSHKGTLMERLAALENRVLQFSLELDIGNTSRSSSSTIPIPEQNVPGNNELNGTLKMAPQKNQDPLIKGQESSTTKACERKPPKGGRRKSILITEAVRRKKLLRWFQMGC >EOX92407 pep chromosome:Theobroma_cacao_20110822:1:6698387:6700765:-1 gene:TCM_001361 transcript:EOX92407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 2 MHYALAQLASSSSDEQETVSIPNMNHNSDHFFELDRVEVVQTLNNLIKQPNKALSFFNQLNEDGFFHDLCTYTAIVRILCYWGWDRKLDSVLLEIIRKEKRLGFEIMDLCEALEEGLEGEDSYLLVRLSNALVKAYVSVEMFDEVINILFQTRRCGFVPHIFSCNFLMNRLIHCGKIDMAVATYQQLKRIGLKPNDYTYSILIKALCKKGSLEEAFNVFREMEEAEVRPNAFAYTTYIEGLCMHGRTELGYEVLKVCRKAKVPLDPFAYSVVIRGFSKEMKLKVAEDVLFDAENNGVVPDVTSYGALIRGYCKCGNILKALDIHHEMVSKGIKTNCVILTSILQSLCQMGLDFKAVNQFKEFRDIGIFLDEVCHNVIADALCKGGQVEEAKKLLDEMKGKQISPDVINYTTLINGYCRQGKVEDAWNLFKEMKNNGHKPDIVFYSVLAGGLARNGHAQKAVDLLNSMEAQGLKCDTVIHNMIIKGLCMGDKVKEAENFLDSLPGKCLENYAALVDGYREACLTKEAFKLFVKLSEQGFLVTKASCSKLLSSLCMKGDNDKALMLLKIMFSLNAEPTKLMYCKLIGAFCQAGNLSIAQLLFNIMIKKGLTPDLVTYTIMINGYCKVKLLQKALDLFNNMKERGIKPDVITYTVLLNSHMKMNLRSLSNPDVTQKNGKTIMVASPFWSEMKHMGVEPDVVCYTVLIDQFCKTNNLQDASRIFDEMIDRGLEPDTVTYTALISGYFKGGYIDKAVTLVNELLSKGIQPDTHTMLHHCILIAKRVVRSKHLCDSSG >EOX92408 pep chromosome:Theobroma_cacao_20110822:1:6698289:6702350:-1 gene:TCM_001361 transcript:EOX92408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 2 MLGQSLQKIRHALSAFPTYSPTATKEMHYALAQLASSSSDEQETVSIPNMNHNSDHFFELDRVEVVQTLNNLIKQPNKALSFFNQLNEDGFFHDLCTYTAIVRILCYWGWDRKLDSVLLEIIRKEKRLGFEIMDLCEALEEGLEGEDSYLLVRLSNALVKAYVSVEMFDEVINILFQTRRCGFVPHIFSCNFLMNRLIHCGKIDMAVATYQQLKRIGLKPNDYTYSILIKALCKKGSLEEAFNVFREMEEAEVRPNAFAYTTYIEGLCMHGRTELGYEVLKVCRKAKVPLDPFAYSVVIRGFSKEMKLKVAEDVLFDAENNGVVPDVTSYGALIRGYCKCGNILKALDIHHEMVSKGIKTNCVILTSILQSLCQMGLDFKAVNQFKEFRDIGIFLDEVCHNVIADALCKGGQVEEAKKLLDEMKGKQISPDVINYTTLINGYCRQGKVEDAWNLFKEMKNNGHKPDIVFYSVLAGGLARNGHAQKAVDLLNSMEAQGLKCDTVIHNMIIKGLCMGDKVKEAENFLDSLPGKCLENYAALVDGYREACLTKEAFKLFVKLSEQGFLVTKASCSKLLSSLCMKGDNDKALMLLKIMFSLNAEPTKLMYCKLIGAFCQAGNLSIAQLLFNIMIKKGLTPDLVTYTIMINGYCKVKLLQKALDLFNNMKERGIKPDVITYTVLLNSHMKMNLRSLSNPDVTQKNGKTIMVASPFWSEMKHMGVEPDVVCYTVLIDQFCKTNNLQDASRIFDEMIDRGLEPDTVTYTALISGYFKGGYIDKAVTLVNELLSKGIQPDTHTMLHHCILIAKRVVRSKHLCDSSG >EOX92409 pep chromosome:Theobroma_cacao_20110822:1:6694805:6701484:-1 gene:TCM_001361 transcript:EOX92409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 2 MHYALAQLASSSSDEQETVSIPNMNHNSDHFFELDRVEVVQTLNNLIKQPNKALSFFNQLNEDGFFHDLCTYTAIVRILCYWGWDRKLDSVLLEIIRKEKRLGFEIMDLCEALEEGLEGEDSYLLVRLSNALVKAYVSVEMFDEVINILFQTRRCGFVPHIFSCNFLMNRLIHCGKIDMAVATYQQLKRIGLKPNDYTYSILIKALCKKGSLEEAFNVFREMEEAEVRPNAFAYTTYIEGLCMHGRTELGYEVLKVCRKAKVPLDPFAYSVVIRGFSKEMKLKVAEDVLFDAENNGVVPDVTSYGALIRGYCKCGNILKALDIHHEMVSKGIKTNCVILTSILQSLCQMGLDFKAVNQFKEFRDIGIFLDEVCHNVIADALCKGGQVEEAKKLLDEMKGKQISPDVINYTTLINGYCRQGKVEDAWNLFKEMKNNGHKPDIVFYSVLAGGLARNGHAQKAVDLLNSMEAQGLKCDTVIHNMIIKGLCMGDKVKEAENFLDSLPGKCLENYAALVDGYREACLTKEAFKLFVKLSEQGFLVTKASCSKLLSSLCMKGDNDKALMLLKIMFSLNAEPTKLMYCKLIGAFCQAGNLSIAQLLFNIMIKKGLTPDLVTYTIMINGYCKVKLLQKALDLFNNMKERGIKPDVITYTVLLNSHMKMNLRSLSNPDVTQKNGKTIMVASPFWSEMKHMGVEPDVVCYTVLIDQFCKTNNLQDASRIFDEMIDRGLEPDTVTYTALISGYFKGGYIDKAVTLVNELLSKGIQPDTHTMLHHCILIAKRVVRR >EOX93607 pep chromosome:Theobroma_cacao_20110822:1:14251363:14255088:1 gene:TCM_002490 transcript:EOX93607 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase B1C MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIENKPIKLQIWDTAGQESFRSITWSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFISSAAKIYKKIQDGIIDISNESYGIKIGHEAIAASSGGRDATASQAGGCCG >EOX96143 pep chromosome:Theobroma_cacao_20110822:1:36756895:36760996:-1 gene:TCM_005462 transcript:EOX96143 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein MPICPGCWRCVLVCCTPLPSSLVCPGFPRIAYVPQRCLGIKFKSQPLLLVHHQAGSRTLEPQSLAIKLAHSQVQNGYDISTTPHWLPSSLSKPKTQTFKSQLKMVHYLPMDCANPDKPMPLAGQGPNTLPTSAAKHENQPLVFDASVLGSESNIPSQFIWPDHEKPCLEAPELVIPTIDMGVLLSGDPLAVSKAAELVNEACKKHGFFLVVNHGVDSGLIDKAQQYMDLFFGMQLSEKQRAQRKTGENYGYASSFVGRFSSKLPWKETLSFRYCSDSQNIVEEYLVNVMGADFRHFGRLYQDYCEAMGKLSQGIMQLIGISLGLDQAYFRDFFEGHDSILRLNHYPPCQKPELALGTGPHSDPTALTILHQDQVGGLQVFADGKWHAVTPIPGSFVVNIGDTFMALSNGIYKSCLHRAVVNSQTVRRSLAFFLCPRMDKPVTPPAGLLSSENPRIYPDFTWATLLEFTQKYYRADMKTLDAFSHWLQEQDTNKKTP >EOX95496 pep chromosome:Theobroma_cacao_20110822:1:34451237:34452213:-1 gene:TCM_046988 transcript:EOX95496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESGRNEAMRKRMKPDMQEKEDDHVSNETVMETTEKEQSETNIVGSEEMELNISHIFEKIERFTQLVSELLESWKTMFKELSDEFEERLIVIHKEHMEKWQEEINELRLVDGSNEEASAMLNNARSLLQNPLFES >EOX96617 pep chromosome:Theobroma_cacao_20110822:1:38423766:38425286:1 gene:TCM_005834 transcript:EOX96617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGEFLLKIWVNALKDFHYDVEDLLDEYSIETPQCRWSTECQESTAEVRKLVSCCYIELDPSLVMFRSRLQKLVLLMAETVVVLKANDVYELDLLQERVEEIVRMKSFIVLAYWHYKAWNSPMQGLSSPLLPYYLDIIGYLMMTRGLLASSLNFWLSIQGFFAPEMCL >EOX91313 pep chromosome:Theobroma_cacao_20110822:1:2292508:2295616:1 gene:TCM_000553 transcript:EOX91313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulan like protein B13 MKMNAAALRDAGVGVTLATAVNALLRAISGVITTTKRFKPLLNHLNDTVNWITPRINEISRSTDSQEIERLLDLLNEAKEAVDKCAGVHSWNYYKKYKFAKELIELDNSIRTTLQVFFPVMILGDTRQILDAVDELKLMFSFFFYIILEDFQSSARNTSGVIFDLIRSNVSFENLGLKKIAQSQTAEIVNDIALWKRKKLSGTLLFSSTATWLLQQVYQYNFITIASWVAIFFVTSLFIWRNVNRFLDREEATKSRLENIREKVAMEVANACRELTDQIIGWIFHATDVEGEWFVFPQTVALLLIFSCVGSFFDLPTLCKGVMMGTTVPPIVAKHGDKMKTFGNMVRMQSGRVCEMVLELLIDAIMSSSSTLSSLVLELASILASRK >EOX92556 pep chromosome:Theobroma_cacao_20110822:1:7490786:7493513:1 gene:TCM_001495 transcript:EOX92556 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose transporter, putative MEASQASTNATPNPNPNNDFTNNGNSILSSTPVWPTIDGPLGLSEEESLSYARRFYKFGYALLPWLWAVNCFYFWPVLRHSRSFPRIRPYVLGSAVGFAVFSALLSSWALTFAIGGERLFGPIWDQLVMYNVADQLGLTGWS >EOX93586 pep chromosome:Theobroma_cacao_20110822:1:14074982:14076486:-1 gene:TCM_002465 transcript:EOX93586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFHFPMRAQSVGSSAFYAERITEEPHPHTNSKNAQSAVTLVYQTNILGYWRNVTIMWCKNLMNHALSIMVNSMEGDAQYSCKIDLKPWHFWSKKGYKSFEVEGKQVDVYWDLRSAKFTTGPEPAAEYYVALVADEEVVLLLGDYKKKAYKRTKSRPALVEPLLFYKQENVFAKKSFATRARFDERRKEHDIVVESSTNGFKDPEMWISMDGVVLIHVRNLQWKFRGNQTVLVDKQPVQVLWDVHDWLFTSAGTGHGLFIFKPIAAEAESDREGSGHGGDSDTSTGTRYYSTHSPTMTSEFSLFLHAWKIE >EOX93417 pep chromosome:Theobroma_cacao_20110822:1:12918318:12924552:-1 gene:TCM_002293 transcript:EOX93417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGICETPELRVGDTPWWECVTRQRSRLIQRRQYEDLESLLIVLREKWAFNVAINTHCKWSQLHYITKTLQQKGEYDLVKHTYFGMLLDVYPQGYFCVGLLHSIMIHRITERQSMDHELWFTIGKSKARLSKQEFCLITGLKFGSMPDVFRRLYEVAADGIHARYWNGEDSVKLQALLDTFRGGNFQRLGDESKMALVLIANNILFGQDYRRRMTPWLLSLVEDIDAWNVFPWGHYVWKLTLDYLLKGFEVLDLSVTKETRLRYNIYGFAWVIQFWAMEAISTLRKIVAPSGLKDNVHPRMCRWDCNQKPKDFYKTIQKLESFDQLSEGNEYVPIGHMEDRSAWGLGARQKKRNLKEKRAFSGTKRMRTIAALVDELMDEGDDHGRGSEQPTMGRQLQNHPPVLLRCRVERPVEVTTGPQAPISLAQPQTANEPTFTKTMTGPEAPISPAQLQTANELTLTQLRTVNDGAVTTRQLRRIMGKHEKDMLELKASIQSLSVAMQTIEDRIVGRILDGLKSQGGPSHDAGLEHDDADDGQHHEPGVDIDDDVLGADGEHVTHVDDVVKEAVAVDVTLQSNDVEGEHIPSTDAFIDAAAGAIVLYRESTPDAVKIRLSSPESSAVHHGAAEISDPTERAWLKMASKYMASPFVNPLVTGRDVRDKIVEDYEAFKKEESVRCNVGILGDQGADFFIILEDPNEEMTSEHINACLSLLYELRGYVEGESPTYAKKWEDVDFILTPCNVGGHWVVAKIDLVRWTIKVVDSAITSDAKDNGVRAGQMTPLTTMMPFICH >EOX93046 pep chromosome:Theobroma_cacao_20110822:1:10147558:10154173:1 gene:TCM_001900 transcript:EOX93046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter protein isoform 1 MGVKQALKSLDAFPRAEEHLLQKTQSGALVVSIVGLVIMAALFFHELTYYLTTYTVHQMSVDLKRGETLPIHINMTFPSLPCDVLSVDAIDMSGKHEVDLDTNIWKLRLNSLGQIVGTEYLSDLVEKEHAAHKHDDEKEHHDDSDKKLHALGFDQEAENMIKKVKQALANGEGCRVYGVLDVQRVAGNFHISVHGLNIYVAQMIFGGATHVNVSHMIHDLSFGPKYPGLHNPLDGTVRILHDTSGTFKYYIKIVPTEYRYISKEVLPTNQFSVSEYFSPMHEFDRTWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAVLGGTFALTGMLDRWMFRLIEGLTKPSHKGVLR >EOX93045 pep chromosome:Theobroma_cacao_20110822:1:10147722:10154133:1 gene:TCM_001900 transcript:EOX93045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter protein isoform 1 MGVKQALKSLDAFPRAEEHLLQKTQSGALVSIVGLVIMAALFFHELTYYLTTYTVHQMSVDLKRGETLPIHINMTFPSLPCDVLSVDAIDMSGKHEVDLDTNIWKLRLNSLGQIVGTEYLSDLVEKEHAAHKHDDEKEHHDDSDKKLHALGFDQEAENMIKKVKQALANGEGCRVYGVLDVQRVAGNFHISVHGLNIYVAQMIFGGATHVNVSHMIHDLSFGPKYPGLHNPLDGTVRILHDTSGTFKYYIKIVPTEYRYISKEVLPTNQFSVSEYFSPMHEFDRTWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAVLGGTFALTGMLDRWMFRLIEGLTKPSHKGVLR >EOX92665 pep chromosome:Theobroma_cacao_20110822:1:8002233:8004277:1 gene:TCM_001581 transcript:EOX92665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MSGGDIHAAARSGDLSTVQSILASNPLAVNSRDKHSRTPLHLAAWSGQAQVVSYLCKQKADVGAAAMDDMGAIHFAAQKGHIEIVRTLLSLGVSVKAGTRKGFSPLHYAVQGSHLELIKLLLKKGASLSAKTKAGKTPLDLAKSEEIRSFLKECEQSPKKVNLNGQEKAEKSDSRPPLPDKMESPDNEAPAAEHDRQEDERVKRKGDDDNQEALSEPKKPRVALKHLLSADDTQEDEETS >EOX94615 pep chromosome:Theobroma_cacao_20110822:1:30462766:30469229:-1 gene:TCM_004244 transcript:EOX94615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter 2 MLYIYALSSCSSYLQAWLCFASGYSLSNRTPIQMSLPENLQPDPASPYWLNKGDNAWQLTAATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVLVCWVGWGYRMAFGDKFIHFLGMPNVSLDAHYLLERAFLGYLPNATMIYFQSVFAAITLILVAGALLGRMNFLAWMLFVPLWLTFSYTITAYSIWCPDGWLAKHGIIDYSGGFVIHLSSGVAGFTAAYWVGPRATRDRERFPPNNILLMLAGAGLLWMGWTGFNGGDPYTVSTDASLAVLNTHVCTATSLLTWLMLDIIFFGKPSVIGATQGMITGLVCITPAAGVVQGWAAILMGMMSGSIPWYTMMVLHKKIWLLKQVDDTMAVFHTHAVAGSLGGILTGLFAVPKLNRLFYLVEDWQHYIGLFYGIHNSHTTAGFKQLGIQLLGILFVVCFNIFITSAICLLIRLVVPLRLAEDELQTGDDAIHGEEAYALWGDGEKYESKLNSVYEEFPPATKSEGRQAGRRVAMESETASSADEQQGAGSASDTRGKHRILAELKRVEQESKFLEDEMEELEGTDNVSTLCEELLLSMETRPDPLLPLTNGPINPSWDRWFEGPQDSQGCRCQIL >EOX91643 pep chromosome:Theobroma_cacao_20110822:1:3415167:3416585:1 gene:TCM_000767 transcript:EOX91643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKYGAKFGGSCRPEEEENVGSRNIPFHKRCHPWHTSCNLLQRSREGSFLELQWWTVMELNENGDHLEGLSLPFVSHGIPRLIKANGLDEEALGANSTTIHPWLQGRGCELERRPRYLSGPIRFVDKDGIPAKQGDLLAVKICNLGPLPGDE >EOX94470 pep chromosome:Theobroma_cacao_20110822:1:28883860:28885420:-1 gene:TCM_004054 transcript:EOX94470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIRSLKINLESNRNFRYSWAKWYKISPFSSYGRPSKEEREREEEQREKQENLGGKFKEKVKKSRKQARW >EOX96331 pep chromosome:Theobroma_cacao_20110822:1:37392843:37394851:-1 gene:TCM_005602 transcript:EOX96331 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-type zinc finger family protein MAFIVDQQSNFKHFCKICKKGFGCGRALGGHMRAHGIGDESGHIDDDDPASDWEDKLGGNVPPSNKRMYALRTNPNRLKSCRVCENCGKEFLSWKSFLEHGKCSSEDAESLVSSPGSDGDDGVTRRGCGWSKRKRSLRAKVGNFNSNCPSSEEEDLANCLMMLSNATVDPFFTEPEESCASASKEEERRNTMNFIAPIACRVPMDKAKAKGVAKGLFECKACKKVFNSHQALGGHRASHKKVKGCFAARLDHIDDSQADEDHDVITHEEFFPTKSTSTLQFDQGTSAPLASTSKRKSKVHECSICHRVFSSGQALGGHKRCHWITSNSPDTSSLAKFHQFQGHMEPIQQTPKFIDNSEPLDLKLDLNLPAPADDLVRRNHANPSSFDVSTEIYLQPWIGVDAKEKEENHQHQLQVDNDNKNDKNNNCNSSMQNADDEADSKVKLAKLSELKDINMSGGSSPWLQVGIGSTTHVGSDP >EOX95025 pep chromosome:Theobroma_cacao_20110822:1:32633091:32633610:1 gene:TCM_004618 transcript:EOX95025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ralf-like 33, putative MSNPSGFILAVSLVLTTLIISLSTVEAGGDHHLINWVPTKAGCQGSMAECITNDEFDMDSEINRRILATTQYISYGALQRNTVPCSLRGASYYNCQPGAQANPYSRGCSAITRCRS >EOX91854 pep chromosome:Theobroma_cacao_20110822:1:4154787:4159688:1 gene:TCM_000917 transcript:EOX91854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein MSRQHRSLFFLLQLLTLLISISSSNPNPQFPCEPPYFNSYPFCNTSLSITDRAQSLISLLTLREKIQQLSNNASGIPRLGIPPYEWWSESLHGVASNGPGVSFNGIVKAATSFPQVLVMAASFNRTLWFKIGLAVGVEAKAMYNVGQAGLTFWAPNINIFRDPRWGRGQETPGEDPMVVSAYAIEFVKGFQGGSWGGSGTFRDRFRGKRALRGDNHDDDERGDGLMNSACCKHFIAYDLEKWENFSRYSFNAVVTKQDMEDTYEPPFRSCIQQGKASCLMCSYNAINGVPACARGDLLQKARNEWGFKGYITSDCDAVATVQEDQNYTRIPEDAVADVLKAGMDINCGSYLVRHTQTTIEQGKLQEMDIDRALLNLFSVQLRLGLFDGDPRKGQFGKLGQQEICTSQHKMLALEAARQGIVLLKNKKRFLPLNRNAVSSLAIIGPMANNISNMGGGYSGVPCDPKSLFEGLQGYVKQASYASGCSDVPCDSDAGFNEAILTAKKADFVIVIAGLDLSQETEDHDRVSLLLPGKQMALVSSIAAASEKPIILVLTGGGPLDVSFAEGDLKIASILWVGYPGEAGGKALAEVIFGDYNPGGRLPMTWYPESFTRIPMNDMDMRADPFRGYPGRTYRFYTGKRVYGFGQGLSYTDFTYKFLFAPRKLSLSRSFTGTSSKNILHQRQVLDSVHIDEVTSCDSLRFYVHISVTNVGDMDGSHVVMLFSRAPKIFQGTAEKQLIAFDRIHTSSYRSTKTSILVDPCNHLSIANEHGERILPLGDHVLTLGDLEHSLSLQFLWLYQSEGSEMDRDRDRDSERIEVYRVGLAGHLS >EOX93318 pep chromosome:Theobroma_cacao_20110822:1:12061001:12069390:1 gene:TCM_002160 transcript:EOX93318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MIEKIKMLFTPKTFKLRCIPAKFSYSLYLDRSILVLACTPNKFRHVAYPYISIEERKKKKEKLYCPFFLLDFPLSPALRDPMWVATVLHQPVVPVPPIFTSHLNPKLFHKPKTFGFGFRFPPVKLKVSATKKQLQTQNPDGEDLPTQEKSNDRSVDLGWLPAFPHVLTASMSNFLFGYHIGVMNGPIISIARELGFEGDPILEGLVVSIFIAGAFVGSISCGSLVDKLGCRRTFQIDTIPLILGAIVSAQAHSLDEILLGRFLVGLGIGVNTVLVPIYISEVAPTKYRGSLGTLCQIGTCLGIILSLLLGIPAEDDPHWWRTMFYIASIPGFLLSFGMQFAVESPRWLCRAGKINDAAAIIHNLWGYSEVDKAIEEFQSVIKNDGSDADSRWLELLEEPHSRVAFIGGVLFVLQQFAGINGVLYFSSLTFQDVGIASGAWASLFVGLTNFVGALCASFFMDTQGRKKLLIGSYLGMAVSMFVIVFAINFPLDEDFSHNLSILGTLMYIFTFAIGAGPVTGLIIPELSSNRTRGKIMGFSFSVHWVCNFLVGLFFLDLVEIFGVASVYAGFGSVSLLSAIFAYYFIVETKGRSLEEIEMSLNSNFPPKR >EOX93319 pep chromosome:Theobroma_cacao_20110822:1:12061240:12068880:1 gene:TCM_002160 transcript:EOX93319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MIEKIKMLFTPKTFKLRCIPAKFSYSLYLDRSILVLACTPNKFRHVAYPYISIEERKKKKEKLYCPFFLLDFPLSPALRDPMWVATVLHQPVVPVPPIFTSHLNPKLFHKPKTFGFGFRFPPVKLKVSATKKQLQTQNPDGEDLPTQEKSNDRSVDLGWLPAFPHVLTASMSNFLFGYHIGVMNGPIISIARELGFEGDPILEGLVVSIFIAGAFVGSISCGSLVDKLGCRRTFQIDTIPLILGAIVSAQAHSLDEILLGRFLVGLGIGVNTVLVPIYISEVAPTKYRGSLGTLCQIGTCLGIILSLLLGIPAEDDPHWWRTMFYIASIPGFLLSFGMQFAVESPRWLCRAGKINDAAAIIHNLWGYSEVDKAIEEFQSVIKNDGSDADSRWLELLEEPHSRVAFIGGVLFVLQQFAGINGVLYFSSLTFQDVGIASGAWASLFVGLTNFVGALCASFFMDTQGRKKLLIGSYLGMAVSMFVIVFAINFPLDEDFSHNLSILGTLMYIFTFAIGAGPVTGLIIPELSSNRTRGKIMGFSFSVHWCLVDLGL >EOX90939 pep chromosome:Theobroma_cacao_20110822:1:1102074:1103348:1 gene:TCM_000270 transcript:EOX90939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-1, grx1, putative MTRSRFILTIFGTVVVLLLAGNALRQAKANNSASAFVQNTIFSHKIVIFSKSYCPYCFRAKRIFSELSEKPYVVELDLRDDGGKIQYVLLDLVGRSTVPQVFVKGKHIGGSDDLKDAVHSGKLQKLLAAS >EOX91026 pep chromosome:Theobroma_cacao_20110822:1:1390933:1393867:-1 gene:TCM_000339 transcript:EOX91026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MVLSIRSCNLRKLCIAFVENRDILVSQTTPSISSLKAHVPNYINMPQLNSHLKQLVKKGHLNEARQMFDEMPHRDEISWTTMISGYVNAMKSNEALLLFSKMWVSPGLSMDPFSLSIALKVCALDFNLSYGESLHGYLVKSGFINSVFVGSALLDMYTKFGKIEQGIKVFDEMPFKNVVSWTAIITGLVHGGYFKKGLVYLSEMRKSGVGYDSYTLAIALKACACLGALNMGREIHTHTIKRGFHDTSFVANSLSTMYNKCGKLDYGLHLFEKMHSRDVVSWTSIITTYVQTGEEKNAIEAFIRMRESGVSPNEFTFAAVISGCSGLVRISWGEQLHAHVVHTGLADSLSVANSLMTMYSKCGQISSASMVFHEMTRKDIISWSTIIAVYSQGGCGEEALEYLSWMRREGPKPTEFAFASVLSVCGNMSILEQGRQVHAHVLSVGLQQDASIQSALINMYSKCGCIKEAERVFNEAKNYDIVSWTAMINGYAEHGYSHETINLFEMLTKVGLKPDSVTFIGLLTACSHAGLADLGFYYFNLMSNEHQISPSKEHYGCMIDLLCRAGRLTEAERMIRSMPFHRDDVVWSTLLRACRVHGDVDCGRRAAEKLLEMDPNCAGTHITLANIYAAKGKWREAADVRKMMRSKGVIKEPGWSWIKVKDQVSAFVAGERSYPEGELIYGMLDLLASRVDMSVQELGSLLDLED >EOX95294 pep chromosome:Theobroma_cacao_20110822:1:33665925:33667192:1 gene:TCM_004831 transcript:EOX95294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVIRSGGQLPNQGSSMPQIPFILFNINYQRLWKIGTAYSSVVPFLSKTVAEFCSILALLGQLATAMKNLL >EOX95821 pep chromosome:Theobroma_cacao_20110822:1:35671159:35672532:1 gene:TCM_005226 transcript:EOX95821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitinase A MATQFLTSLPLFCLLLAALINNSNAAQISIYWGQNGNEGSLADACATGNYGIVNIAFLVTFGNNQTPMLNLAGHCDPAANTCTGLSSDIEACQNQGIKVLLSLGGGGGSYVLSSPEDARQVADYLWNNFLGGQSSSRPLGNAVLDGIDFDIEGGTTQYWDELAKALSGFGQQSQKKIYLSAAPQCPFPDAWLDGAIRTGLFDFVWVQFYNNPPCQYSGDANNLINAWNNQWTTIQAGQVFLGLPAAPEAAGSGYIPPDVLTSQVLPSIKASPKYGGVMLWSRQYDQSYSSAIKGSL >EOX96445 pep chromosome:Theobroma_cacao_20110822:1:37831185:37835179:-1 gene:TCM_005694 transcript:EOX96445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter MGSQQKDATSSSSCFSHFCSSALRAKTLNPSFGRATSGGDGLIRRLGILDLLLLGVGASIGAGIFVVTGTVARDAGPGVTISFILAGASCVLNALCYAELASRFPAVVGGAYLYAYTAFNEITAFLVFMQLMLDYHIGAASIARSLASYVATFFELIPALKGNIPAWIGHGGEEFFGGTLSINILAPILLALLTIVLCRGVGESSAVNSFMTATKIVIVIFVIIAGAFEVDVDNWSPFAPNGFKEILTGATVVFFAYVGFDAVANSAEESKRPQRDLPIGILGSLLICVALYIGVCLVITGMVPYNLLGEDAPLAEAFTSKGLKYVSILISIAAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSLFAKVHPKRHTPIHSQIWVGIIAAVLGGLFNVHILSHILSVGSLTGYSVVAACVVTLRWKNKTGNQASSKCMSTWCEGVICIVTVACCGFATGVLYRYGASFIFMIVAVVIAILACAALCYRQAYSDPPGFSCPVVPIVPSVCIFFNIFLFAQLHYEAWIRFVILSVISVGIYAFYGQYHANPSSDETIIYHMAPEEDAH >EOX94849 pep chromosome:Theobroma_cacao_20110822:1:31722341:31736908:1 gene:TCM_004461 transcript:EOX94849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKNQTTCPKAVCEKIFKAITFAPAFSTIRRISSRRHTPLPVKHPRNPSLPNSDPPIRPLDIKPKLTSPQRPKPTSAEGSEVPINFDYTTRSPTPPTESPKPIALNGSIQNINGQVPHACTAQQAKAPKPSTDMVQSGKPTVPGNGQQVSGVASRTEPGTSVLSAESKPKHKSENSKGQIQVQIERRQANREEEGKKPTHYDDTFTAYISRTKKRITSHDQERGENSSKVLGHNHGHGHRGTGKDHHFSDYIDRTKRTIRTTSSIKDKSESFVK >EOX94108 pep chromosome:Theobroma_cacao_20110822:1:21690513:21693152:-1 gene:TCM_003307 transcript:EOX94108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Response regulator 24, putative MNVNQTLSRVIKMFCRMVELGLLQAYGVETQAVDSGMAAVEIIASGANFNLIVIDMVLPVLNGLVTTRQIRAMGVRCKMLGVTAHFYERERQAFLAAGVDEFIEKPLTPDILVPILRELDGQ >EOX95740 pep chromosome:Theobroma_cacao_20110822:1:35430806:35435243:-1 gene:TCM_005173 transcript:EOX95740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein MEPGLVLASNKASMSTSLPLFLSYKSPFHGKPQLSMKSHFRSSTKFPEKTPFLGQSLVFQDKDFTSLGNLNKTHVPFEPVRAAVKRRKELPFDNVIQRDKKVKLVLKIRKILVSQPDRIMSLRSLGKYRRDLGLQKRRRFIALLRKFPGVFEIMEEGAFSLRFRLTPEAERLYLDELRVRNEMEGLLVVKLRKLLMMSLEKRILLEKIAHLRTDLGLPLEFRNTICLQYPQYFRVVQTERGPALELTHWDPDLAVSAAELAEEENRARELAEKNLIIDRPLKFNRIKLPKGLNLSKGEMRRLCQFRDMPYMSPYSDFSGLRSGTPEKEKHACGVVHEILSLTVEKRTLVDHLTHFREEFRFSQQLRGMIIRHPDMFYVSLKGDRDSVFLREAYRDSQLIDKDPLLLIKEKFRSLVAIPRFPKRGGLKKAADGNEERDMSEEASDDEGGWSDMDSYLSDGGFNDDKGDEDDDDYEEDWSDEDDDAPPDFDEDDEPIKIGLSKSTKQADYLAKKEEKLLVPAFPDGQPRERCLMVSETETLKDSEEPYIICWVCLSCLPSVAFGEAYNRMFSANPREGKALDGAQRKNYGAGSGKTYIRFVQDMVYIFRTGEMFHSIWILLFLRGLNKEMDVTEMPKLLYSLLRCQNFGSNSFFAIWILESVRETQILRISAWGSNCAFDSHFNRFVNYRLSRETHLMNDCDEMNEIS >EOX95620 pep chromosome:Theobroma_cacao_20110822:1:34919066:34930653:-1 gene:TCM_005072 transcript:EOX95620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELTSSDASQIWELKMAGVERGRILWFHYNIPKHAMIAWMAILNNLPTLSKLSTLENPNCKQSFLWMERSSRIHGKLAQDVDALSNYSVSSVKIQIPRLLTGGYR >EOX91716 pep chromosome:Theobroma_cacao_20110822:1:3600593:3604690:-1 gene:TCM_000812 transcript:EOX91716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDTGKPVRSSAWLLGLIFITFSTFSSIAFSVEPSLVVGEFNKILQLTPGLQVEKSPGLKPGTRVVCERVHIDGLSRFRNLKKFAHSVKVKVSQSNSSTRRTNVEVCFHRNTSLGIGMCPQANWEKVSRSIWVNSMSPFDHKLLDIRMTSSSLETLEVSIQEEFFLYRVIFLILGIVLLSSASTLSQSLVFYYGSAMAVGVILVVLIVLFQGMKLLPTGRKNSLAIFIYSSTVGINCTTEVGLGSMLLHYLPRLLHSILSEMGISEDMYNPLAIFLLGFVVLAGAWLGFWVVRKLVLTEDGSIDISTSQFVAWSIRILALIMILQSSVDPLLVAVALLSGVLLSPVLRKITRLRFLRRVYKKLFKLVKNIGRNTQLPDLSPDRYSHDEYIYKRPKDSNFLKRQSKHFPLASCNTSVQGITKSSPSQLSESDSYLSTFHDTPERRQFSKSEWEKFTRETTKKAVEELVSSPDFSKWAIANAERITVTPSKISASSSAHRRRWFLWF >EOX95106 pep chromosome:Theobroma_cacao_20110822:1:32888488:32898013:-1 gene:TCM_004670 transcript:EOX95106 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein, putative MAEKKRKERAGGGESRTNKKFKKHSNSKGLTKKSKDKSNMRRKRTGPRLPSALRTELDRLNARISSNSDDEINSDVEKDVYEYEEEVPQEESRKNRRFDPVENYEYELPEDFEDENVSSDDDDDDNDDDFDVGGNEGNLIEDLDDSDGVEEEDDGRHLRMLQGITGMSNDAFEGKKKKNNVVISEAHPESEYNPTRDVLEGDGHITVQDLLEPIQGKPGYSKLRKRVQYMDRKSTSIQAPLPKADREKLERMAVYEHSKKDITKWEHLVKRNREAPTIFFGEDVDLGFSTVGAIASEFEPRTEFEKKIASLVYDDKVIEAHKADGSKLLELNKISAEDYMKHRNHIAKMRSLLFHHEMKRKRVKKIKSKTYHRLKNKDKLKAASAEMLMDPEAAKEQARKQEFKRAEERMTLKHKNKSKWARRILERGLNAQDEGTRAAMAEQLHHHALLTRKINTVKDSSSSSSDSSSDEDDEGSDQDRASELLEKAKEKTLKVLEDDEEVPNSGVLSLPFMVRGMKKRKEEAIEEAKLALQEYEQLEGTVGAVNLKPATASGRRVFGMANNEASESNNKIKTDNKKMKMDNYYGNSDSEDDLEAKENLNITGGRKNDVEKDAGPNCVHKEAADVRQDSVFKNFDDIVRDPGPKTTYEVAIFTSDSWRKMKSENGVDANVKKSQEIKEPIVQNQDLKEGEEESDSDSEEQMVDGILSTGPKDSYELPSQSELIRHAFAGDDVEEEFEKDKQEILNDENPEPDKPVLLPGWGQWTHIQQKKGLPSWMLKEHDDAKRKREETLKKRKDAHLKHVIISEKLDKKAEKLQTKTLPYPFTSKERFEQSVRMPIGSEFNPETAIRALNRPDVVKKPGVIIKPIKFEEVHQHEKPEDHKRSGQKRKPNRSKGAVGKMKKQ >EOX93315 pep chromosome:Theobroma_cacao_20110822:1:12034465:12039526:-1 gene:TCM_002157 transcript:EOX93315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MVLVGSHGEKDGSNDGTVVDFRGNPVDKSKTGGWLAAGLILGSELSERICVMGISMNLVTYLVGDLHISSAKSATIVTNFMGTLNLLGLLGGFLADAKLGRYLTVAISASVTALGVILLTLATTISSMRPPPCDDYRRQHHECIEANGRQLALLYLALYTTALGGGGIKSNVSGFGSDQFDVTDPEEEKAMIFFFNRFYFGISIGSLFAVIVLVYIQDNVGRGWGYGISAGTMVIAVAVLLCGTPWYRFKKPQGSPLTVIWRVLFLALKKRNQSYPSHPSLLNDYENRKVPYTQRFKCLDKAAILDDNCAANANKSNPWMVSTVTQVEEVKMVLKLLPIWSTCILFWTIYSQMTTFTIEQATFMYRKVGSFEIPAGSFSAFLIISILLFTSLNEKVFVPLARKITHTVQGLTSLQRIGIGLILSIVAMVGAAIVEKERREMANQKNVKKSAFWLLVQFFLVGAGEAFAYVGQLEFFIREAPDRMKSMSTGLFLSTISMGFFVSSLLVSIVDHVTKKSWLRSNLNKGKLNNFYWLLAVLGSLNFLVFLTLASRHQYKTQLPIKPDSGERELKSLNDEMIEDLEKKASIQSVGNAEP >EOX93316 pep chromosome:Theobroma_cacao_20110822:1:12034961:12037516:-1 gene:TCM_002157 transcript:EOX93316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MFSLANRNGNFGPGSELSERICVMGISMNLVTYLVGDLHISSAKSATIVTNFMGTLNLLGLLGGFLADAKLGRYLTVAISASVTALGVILLTLATTISSMRPPPCDDYRRQHHECIEANGRQLALLYLALYTTALGGGGIKSNVSGFGSDQFDVTDPEEEKAMIFFFNRFYFGISIGSLFAVIVLVYIQDNVGRGWGYGISAGTMVIAVAVLLCGTPWYRFKKPQGSPLTVIWRVLFLALKKRNQSYPSHPSLLNDYENRKVPYTQRFKCLDKAAILDDNCAANANKSNPWMVSTVTQVEEVKMVLKLLPIWSTCILFWTIYSQMTTFTIEQATFMYRKVGSFEIPAGSFSAFLIISILLFTSLNEKVFVPLARKITHTVQGLTSLQRIGIGLILSIVAMVGAAIVEKERREMANQKNVKKSAFWLLVQFFLVGAGEAFAYVGQLEFFIREAPDRMKSMSTGLFLSTISMGFFVSSLLVSIVDHVTKKSWLRSNLNKGKLNNFYWLLAVLGSLNFLVFLTLASRHQYKTQLPIKPDSGERELKSLNDEMIEDLEKKASIQSVGNAEP >EOX92246 pep chromosome:Theobroma_cacao_20110822:1:5901166:5903157:1 gene:TCM_001221 transcript:EOX92246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative MQILKSSSIFSTSHFLNFPLKPRSFILLLYCSSSSSTSVSNSPLLNYLVKNLDFTETQALSIANRYPNVKSFEKPQAVANFFRNVGFSNAEIAASVRNAPQILFANVETKLRPKIKFFQDLGFVGPHLGKFLSGNSALLACSLDKKLIPSFQIVKKVLGNNDNKDLIKVFNRSSGFIARDCILKLSRNIGYLESCGIVGSQLSKLLKRQPRIFRMRESALRDLVSRVLDMGFSTDSRMLVYAIHTMNCLSEQTFKKKWELLQSFGFSENERVDMFRKAPGLLRTSEEKMKLGIAFFTNVAKFDKNVLVSRPRLLMNSLEDRVIPRYRVLQIIKSKKLLKKELSFLNILDYTENEFLKFISRFTDNVEELLIAYKAYLLHTSEEEEEKTC >EOX91885 pep chromosome:Theobroma_cacao_20110822:1:4329349:4332153:1 gene:TCM_000946 transcript:EOX91885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl alcohol dehydrogenase 6 MANSPEKEHPVKSFGWAARDASGHLSPFNFSRRATGEEDVRFKVLYCGICHSDLHNIKNEWGLSIYPMVPGHEIVGEVTEVGSKVKKVRVGDKVGVGCMVGACHSCESCANNLENYCPKVILTYNGIYYDGTMTYGGYADSMVANERYVVQIPDGMPLDAAAPLLCAGITVYSPLKYFGLGEAGKHIGIVGLGGLGHVAVKFAKALGSKVTVISTSPNKKTDALEHLGADSFLVSRDQGEMQAAMGSFDGIIDTVSAVHPIMPLLGLLKSHGKLIMVGAPYEPLELPVFSLIIGRKTMAGSGIGGMKETQEMIDFAAKHNIKADIEVISMDYVNKAMERLGKGDVRYRFVIDIGNTLVATKP >EOX94371 pep chromosome:Theobroma_cacao_20110822:1:28137497:28139899:-1 gene:TCM_003958 transcript:EOX94371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEMHSSTHEGYHKTLQRAESVVFYWPGMRRTICEYIRAYDTCQSKAWVLYSTGGIEFYCQLSWVT >EOX95777 pep chromosome:Theobroma_cacao_20110822:1:35546078:35551821:-1 gene:TCM_005196 transcript:EOX95777 gene_biotype:protein_coding transcript_biotype:protein_coding description:F22L4.1 protein, putative isoform 1 MPPGFRPKMEQFRQIGEVLGSLKALMVFRDSIQINQRQCILLHDMFSFAYRSIADEMRENLKFEERNIKWKVLEMPFRELHRVFKEGEAYIRQSLESRDWWAKAITLYQNSDCVELHIHNLLSCIPVVIEAIETAAELCGWEQDEMQKKRRVYSNKYHKEWIDPQLFQWRFAKQYLITQDFCNRIDTVWKEDRWILLNKILEKKSMGSRKQERKLADLLLRNLDSSESLNGRLLPSTMLLGSKDYQVRRRLGNGSQYKEVYWLGESFALRHFFGDVEAVAPDISSLLSLSHPNILHFLCGFTDDEKKECHLVMELMNKSLRNYVKEICGPRKRVPFPLAVAVDLMLQIARGMEYLHSNKIYHGDLNPSNIHVKSRGMFTEGYMQAKVSGFGLSSIVHLPQKNTLMNQNETLPFIWHAPEVLEEQEQTGSKGNSKYTEKADVYSFGMICFQLLTGKVPFEDSHLQGDKMSPNIRAGERPLFPFQSPKCVTNLTKKCWHADPNLRPSFLSICRILRYVKRSLLMNPDYYNNQSELPMPVVDYCDIESKLQRKFPTWEASNPLPISQIPFQMFVYRVLEKEKIGHSLKDTSESGSDRTSVSGDENATADDPLSSTTERRSLPSPESTPRRLSALKKSPDIRAKHPVTPKGRAVRPPQLSRCGRSLRMNSESQLLLTSPRIRRTASGHASDSELS >EOX95778 pep chromosome:Theobroma_cacao_20110822:1:35548165:35551821:-1 gene:TCM_005196 transcript:EOX95778 gene_biotype:protein_coding transcript_biotype:protein_coding description:F22L4.1 protein, putative isoform 1 MPPGFRPKMEQFRQIGEVLGSLKALMVFRDSIQINQRQCILLHDMFSFAYRSIADEMRENLKFEERNIKWKVLEMPFRELHRVFKEGEAYIRQSLESRDWWAKAITLYQNSDCVELHIHNLLSCIPVVIEAIETAAELCGWEQDEMQKKRRVYSNKYHKEWIDPQLFQWRFAKQYLITQDFCNRIDTVWKEDRWILLNKILEKKSMGSRKQERKLADLLLRNLDSSESLNGRLLPSTMLLGSKDYQVRRRLGNGSQYKEVYWLGESFALRHFFGDVEAVAPDISSLLSLSHPNILHFLCGFTDDEKKECHLVMELMNKSLRNYVKEICGPRKRVPFPLAVAVDLMLQIARGMEYLHSNKIYHGDLNPSNIHVKSRGMFTEGYMQAKVSGFGLSSIVHLPQKNTLMNQNETLPFIWHAPEVLEEQEQTGSKGNSKYTEKADVYSFGMICFQLLTGKVPFEDSHLQGDKMSPNIRAGERPLFPFQSPKCVTNLTKKCWHADPNLRPSFLSICRILRYVKRSLLMNPDYYNNQSELPMPVVDYCDIESKLQRKFPTWEASNPLPISQIPFQMFVYRVLEKEKIGHSLKDTSESGSDRTSVSGDENATADDPLSSTTERRSLPSPESTPRRLSALKKSPDIRAKHPVTPKGRAVRPPQLSRCGRSLRMNSESQLLLTSPRIRRTASGHASDSELS >EOX95675 pep chromosome:Theobroma_cacao_20110822:1:35167612:35169401:1 gene:TCM_005122 transcript:EOX95675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 IQAPTVLQPSYGPQKGLTSEQLHALEDEVESLKAEIKSPSKVSGVHLQDAQDATGIDRNSDKGYSLDSTEILKNYEFLEMESVECPVFKKTNDLCYDPESPWVQGGKGEPWWRTTDKDELASLVAQKSSYFIENCDLPPPQKMHVRRSSHACSGSSDGDEVSSLAWKSQTGPIPRPIVNSRAFTDSVRTHGRLMSSVGEGKVQCASDTSFSTTKEDTVEQVTESDPTKAQLLEALCHSQTRAREAERAAKQAYAEKEHIIKLFFKQASQLFAYKQWFQMLQLEALYVQIKNNEQPVSTLFPAVLPWTPYNSRKLRKSWQKTGKARRVKNGQPRPDITKYAVAFALGLSLVGAGLLLGWTVGWMLPF >EOX95674 pep chromosome:Theobroma_cacao_20110822:1:35167608:35169401:1 gene:TCM_005122 transcript:EOX95674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 RLIQAPTVLQPSYGPQKGLTSEQLHALEDEVESLKAEIKSPSKVSGVHLQDAQDATESPWVQGGKGEPWWRTTDKDELASLVAQKSSYFIENCDLPPPQKMHVRRSSHACSGSSDGDEVSSLAWKSQTGPIPRPIVNSRAFTDSVRTHGRLMSSVGEGKVQCASDTSFSTTKEDTVEQVTESDPTKAQLLEALCHSQTRAREAERAAKQAYAEKEHIIKLFFKQASQLFAYKQWFQMLQLEALYVQIKNNEQPVSTLFPAVLPWTPYNSRKLRKSWQKTGKARRVKNGQPRPDITKYAVAFALGLSLVGAGLLLGWTVGWMLPF >EOX95673 pep chromosome:Theobroma_cacao_20110822:1:35166182:35169356:1 gene:TCM_005122 transcript:EOX95673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAAAEARAVWQRTANRCFVQEDAKRAPKLACCQSSSSSKQADSSPNGAAGACDHPAVGFMPLNRSPSYSNLPPDMRWWLQLQPSYGPQKGLTSEQLHALEDEVESLKAEIKSPSKVSGVHLQDAQDATESPWVQGGKGEPWWRTTDKDELASLVAQKSSYFIENCDLPPPQKMHVRRSSHACSGSSDGDEVSSLAWKSQTGPIPRPIVNSRAFTDSVRTHGRLMSSVGEGKVQCASDTSFSTTKEDTVEQVTESDPTKAQLLEALCHSQTRAREAERAAKQAYAEKEHIIKLFFKQASQLFAYKQWFQMLQLEALYVQIKNNEQPVSTLFPAVLPWTPYNSRKLRKSWQKTGKARRVKNGQPRPDITKYAVAFALGLSLVGAGLLLGWTVGWMLPF >EOX90845 pep chromosome:Theobroma_cacao_20110822:1:766827:773735:-1 gene:TCM_000200 transcript:EOX90845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat only 2 MADIVKQILVKPIQLADQVTKAADEASSFKQECAELKSKTEKLAGLLRQAARASSDLYERPTRRIIDDTEQVLERALNLVLKCRANGLMKRVFTIIPAAAFRKMSAQLENSIGDVSWLLRVSASADDRDDEYLGLPPIAANEPILCLIWEQIAILFTGSPDDRSDAAASLVSLARDNDRYGKLIIEEGGVGPLLKLIKEGKIEGQENAARAIGLLGRDPESVEQMIHAGVCTVFVKILKEGPMKVQAVTAWAVSELAANYPKCQDLFAQHNIIRQLVSHLAFETIQEHSKYAIASNKATSIHAVVMASSNHSNVRNVVDEEHQTQIPHPMGNQTPNQMHNVVISTMAMKGGAKQPQKPSNNHVRSNSQGNVKQIHQVYYQHQQNVSISGANIKGRELEDPATKAYMKAMAARALWHLAKGNAPICRSITESRALLCFAVLLEKGTDDVQFNSAMALMEITAVAERDTDLRRSAFKPNSHACKLVVDQLLKIIEKADSELLIPCIKAIGNLARTFRATETRVIAPLVKLLDEREADVSKEAAVALTNFACTENYLHLDHSKAIISAGGAKHLIQLVYFGEQIVQLSALVLLCYIALHVPDSEELAQAEVLTVLEWASKQSYMTQDETIDTLLQEAKSRLELYQSRGSRGFH >EOX93660 pep chromosome:Theobroma_cacao_20110822:1:14634054:14636174:1 gene:TCM_002549 transcript:EOX93660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi anemia group D2 protein, putative MRKLMLSLAGFRSAFGVMSAYRDVAAVVTGSMGVPGRFKYMLDKSYRLERQWGAGRRMELGSNVLVGAWRIEWAEGSGNSNSERRNKQPVEGLGLVSAVFRLYKIRYRLTGKECIKLLKGAQATPSAETPQHHHPE >EOX93661 pep chromosome:Theobroma_cacao_20110822:1:14627514:14641775:1 gene:TCM_002549 transcript:EOX93661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi anemia group D2 protein, putative MYRSRLLWFTLGFSLTAASISQFIYKDLWTDRYALKSDMKQKFDSLEARVLNLESLPPENPNSAQVEG >EOX95027 pep chromosome:Theobroma_cacao_20110822:1:32637525:32641611:-1 gene:TCM_046984 transcript:EOX95027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine-linked glycosylation 3 MAVKNSASAHAKRSRRKDAGAFSLIPKLLKNPKTAMALALLFIDALLISFIIAYVPYTKIDWDAYMSQVSGFLGGERDYKNLKGDTGPLVYPAGFLYVYSAIQYVTGGQVFPAQILFGILYIINLGIVLLIYVKTDVLPWWALSLVSLSKRVHSIFVLRLFNDCFAMTLLHAAMASILYQRWHLGLIIFSAAVSIKMNVLLYAPPLLILMLQAMNISGIISALAGAALVQIVLGLPFLVSYPIEYISQAFNLGRVFIHFWSVNFKFIPEPIFVSKEFAVSLLIAHLVLLAFFAHYKWCKHEGGLLNFLCSRIRSYFSTSSSSLPKALKKEYITTTMFVGNFIGIVCARSLHYQFYSWYFYSLPYLLWRTPFPTLLRVFLFLGVELCWNVYPSNSCSSVLLLCLHLVILWGLWSSSPENPCVSDKSLSRKDK >EOX90990 pep chromosome:Theobroma_cacao_20110822:1:1261707:1264755:1 gene:TCM_000306 transcript:EOX90990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MESANLHPHPKVQEQYVKYSSLATQTGHHQVSTSDEWNSNLVPNIGSKYNRNLTETIPKSRDLWAPPLIRTSMNQDSFNQQSTSEFLLTNIKDEMSDSFPKLSEMMYCHSGAEDSYLPFRKHYIYPQSSDLGGNLWHSNFSIANHMTELQLSSGDSYRNAHQSPCLGTAAATSRYDFNHIFPSTNISTSDLCSTLFSSSLDLNLKALDLLTSTYDGGSCNQSLLDSPGKLSRSVLVGHDHIRERSDSPSTSSNKISTLVSGSTTSTKRPGSFSETKEFQQDAKKHRSSTSRSPCPTLKVRKEKLGDRVAALQKLVAPFGKTDTATVLTEAIGYIQFLHDQVQTLSVPFMKSSQSRLYRTVQVGSNEAEGQEEQKRDLRSRGLCLVPQSYASYFINSCGGGI >EOX95189 pep chromosome:Theobroma_cacao_20110822:1:33246483:33254293:1 gene:TCM_004741 transcript:EOX95189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSDSSRCLPTEFSSHDLSRTNLLYHKDFAGCVAISNEEYFYRNGGNVTELSNPPPAVDELIYEPSFLVRQFDQEDIFRGPFV >EOX91431 pep chromosome:Theobroma_cacao_20110822:1:2711151:2712392:1 gene:TCM_000629 transcript:EOX91431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQQVVANQSSTSPAPYPEAEREHPESPRREVRTATRNDKPPISSLIILFHLLFFIYVEQPLKLCITSGPWEWSILIHKYENPPIFITFLSFLKGTFLLNGK >EOX91889 pep chromosome:Theobroma_cacao_20110822:1:4358722:4370436:1 gene:TCM_000952 transcript:EOX91889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geraniol dehydrogenase 1 MIYRATGEKDVALKVLYCGICHSDVHLINNDWGLSYYPLVPGHEIVCEVNEVGRKVEKFGVGDKVGDYDGTITYGGYSDILVVNEHFVVHIPEKLTLDAAAPLLCAGITVYSPLRYFELDKPGFYLGVVGLGGLGHMAVKCAKVMGAKVTVISTSPHKKKEAMENLGADSFLVSYEQDQLEAARGSMDGIINTISAQHSLLPLIRVLKSHGKLIMFGGTVKPLELPIIPFLHM >EOX92067 pep chromosome:Theobroma_cacao_20110822:1:5129120:5131178:-1 gene:TCM_001084 transcript:EOX92067 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 85A2 MGSLNKPHAVFVPYPAQGHVNPLMQLAKLLHSRGFYITFVNTEFNHKRLVRSKGPGFVKGLPDFQFETIPDGLPPSARDATQDIWALSDSVQKNCLGPFRELLAKLNSSTGSPPVSCIISDGSMTFTIKAAEELGIPEAQFWTTSACGFMAYLHFSELIKAGIVPFKDENFIKDGTLDTPVPGVPGMMNMRLKDFPTLIRTTDPRDIMLNFMSEEAQNCLKSSAIILNTLEELEHQALGAIAARSPNIYTIGPLSLLERHTPLSQAESLRSSLWKEDSKCLGWLDKRQSSSVLFVSFGSITVMSDQHFKEFAWGLANSKVPFLWVVRPDVVMGNSGILPKEYYEEIEDRGLMVKWCPQDQVLTHPSVGAFLTHCGWNSTLESISGGRPVICWPFFDEQPTNCLYSCTLWGIGIEISHDVKRDEVTTLVKEVMEGDKGKQMKNNALEWKKKAEEATNIGGSSYNNFNKFIKEILQIH >EOX95869 pep chromosome:Theobroma_cacao_20110822:1:35837042:35839435:1 gene:TCM_005267 transcript:EOX95869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erythronate-4-phosphate dehydrogenase family protein, putative MDHQEHDLSPILVPKTSNSYNLMNNSSVWLEIRLIYVRIAPCVIDSVPDHLTLCHLRREIGVSLEINGCRVPASDSASLTLRRDRLNRESSEVTYLNTDSVRITGGFEFEVYEDEKKVLLCGSLERMEGEWSMDCYIAAAAMEPGNSAFFQPKVGVSAPGIEVYIAGCCAGVPVILTKTILVSPRRKGGSRLSGTLDAIPEDEEIGKGNNKDGNGLIRHRKFQITEAGAEDYDSDGKLGHSYYSEEMYASEDGQLSWFNAGVRVGVGIGLGMCLGIGIGVGLLMRSYQATTRNFRRKFF >EOX93835 pep chromosome:Theobroma_cacao_20110822:1:16306945:16311506:-1 gene:TCM_002781 transcript:EOX93835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTTRSSPEDYKRIEKIETVQRELSEIINTLNDKTRDTLSTFQGEIGELKTQVNLLVIATSNASANLGDRGLPKVVDMTTILAVVDRFSNGIVTTQYSPRARDNHRDVSIDIHCSKCQPEPRKALISVFAPPLGILVIVSQKSRN >EOX94269 pep chromosome:Theobroma_cacao_20110822:1:27239067:27240507:1 gene:TCM_003849 transcript:EOX94269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLTIPGGCSVADPREAVIWDLLPLGFPLDATSWLRSSKYDGPFGGSTTSWRDNLEDRMGSHERWRTALSSTYSPDLSGGRVGMDLTSHGGLAYAVYIMMNTMMKN >EOX92743 pep chromosome:Theobroma_cacao_20110822:1:8356195:8369138:-1 gene:TCM_001629 transcript:EOX92743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MAKSGVQRLLGPSPLENKGTCNFQAFPKWRPLKLMTIWLIVLFVRISYSSDEPDVEGEALIGFLKVLNDSRGRITDWNDHFTSPCFSWSYITCRNGHVISLDLASYGLSGTLSHSITKLKFLVNLDLQNNDLSGLLPGFLGDMVHLETLNLANNKFSGSIPANWGQLSNLKHLDLSSNNLTGRIPMQLFSVPTFNFTGTHLACGSSLEQPCVSTITFPVSTNRSKIKIVITSSSCVALILLSLGALFVYRFNQVHKLRRDVFVDVAGEDDNKISFSQLRRFSWREIQLATDNFSECNIIGQGGFGKVYKGVLSDNTKVAVKRLADYYSPGGEAAFQREVQLISVAVHKNLLRLIGFCTTSSEKILVYPFMQNLSVAYQLRDLKPGEKGLDWPMRKRVAFGAAHGLEYLHEHCNPKIIHRDLKAANILLDENFEAVLGDFGLAKLADTKLTHVTTQVRGTMGHIAPEYLSTGKSSVKTDVFGYGVMLLELVTGQRAIDFARLEEEEDVLLLDHIKKLLREDRIDDIVDGNLKIYDPKEVKTIVRVALLCTQSSFEDRPTMAEVVKMLEGVGVAERWAEWEELEQARNQEFMLYSHQFIWAEDSSVDQEAIQLSRAR >EOX92744 pep chromosome:Theobroma_cacao_20110822:1:8356240:8367225:-1 gene:TCM_001629 transcript:EOX92744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MTIWLIVLFVRISYSSDEPDVEGEALIGFLKVLNDSRGRITDWNDHFTSPCFSWSYITCRNGHVISLDLASYGLSGTLSHSITKLKFLVNLDLQNNDLSGLLPGFLGDMVHLETLNLANNKFSGSIPANWGQLSNLKHLDLSSNNLTGRIPMQLFSVPTFKFTGTHLACGSSLEQPCVSTITFPVSTNRSKIKIVITSSSCVALILLSLGALFVYRFNQVHKLRRDVFVDVAGEDDNKISFSQLRRFSWREIQLATDNFSECNIIGQGGFGKVYKGVLSDNTKVAVKRLADYYSPGGEAAFQREVQLISVAVHKNLLRLIGFCTTSSEKILVYPFMQNLSVAYQLRDLKPGEKGLDWPMRKRVAFGAAHGLEYLHEHCNPKIIHRDLKAANILLDENFEAVLGDFGLAKLADTKLTHVTTQVRGTMGHIAPEYLSTGKSSVKTDVFGYGVMLLELVTGQRAIDFARLEEEEDVLLLDHIKKLLREDRIDDIVDGNLKIYDPKEVKTIVRVALLCTQSSFEDRPTMAEVVKMLEGVGVAERWAEWEELEQARNQEFMLYSHQFIWAEDSSVDQEAIQLSRAR >EOX91381 pep chromosome:Theobroma_cacao_20110822:1:2521404:2523545:1 gene:TCM_000595 transcript:EOX91381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor family protein, putative isoform 1 MGRKCRTIGEITVMEIANVGVRTRAMAATETVKVKKKRRRLNDDEEAQVKVTSSTTSYIQLRSRRILVDHRRKENRCSSHNSDHDDDVSCCSSNIGSSEKRIIELPDLEDESIEVETSPYFSSRESSMNSSRRETTPSSELRAEPEDQDSMSKPSEVNSRRRSTVEKINPIKAEIEEFFASAEKDLQKHFTEKYNFDFVKEEPLEGRYEWVRLKPLGKSQNEKIGEKSNE >EOX91382 pep chromosome:Theobroma_cacao_20110822:1:2521639:2522917:1 gene:TCM_000595 transcript:EOX91382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor family protein, putative isoform 1 MGRKCRTIGEITVMEIANVGVRTRAMAATETVKVKKKRRRLNDDEEAQVKVTSSTTSYIQLRSRRILVDHRRKENRCSSHNSDHDDDVSCCSSNIGSSEKRIIELPDLEDESIEVETSPYFSSRESSMNSSRRETTPSSELRAEPEDQDSMSKPSEVNSRRRSTVEKINPIKAEIEEFFASAEKDLQKHFTEKYVHARSLQKPRFSFPSLQFLSNQPEIRN >EOX91380 pep chromosome:Theobroma_cacao_20110822:1:2521404:2523545:1 gene:TCM_000595 transcript:EOX91380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor family protein, putative isoform 1 MGRKCRTIGEITVMEIANVGVRTRAMAATETVKVKKKRRRLNDDEEAQVKVTSSTTSYIQLRSRRILVDHRRKENRCSSHNSDHDDDVSCCSSNIGSSEKRIIELPDLEDESIEVETSPYFSSRESSSMNSSRRETTPSSELRAEPEDQDSMSKPSEVNSRRRSTVEKINPIKAEIEEFFASAEKDLQKHFTEKYNFDFVKEEPLEGRYEWVRLKPLGKSQNEKIGEKSNE >EOX96204 pep chromosome:Theobroma_cacao_20110822:1:36993059:36994713:-1 gene:TCM_005508 transcript:EOX96204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASMAATPSIYSHYNNKYKNKAFNQKISTVSRIVLSQSQQSDNQSDNQIPRREIILRSSELAVIGAIFSFSGKKPDYLGVQKNPPALALCPATKNCVSTSENVSDLTHYTPPWNYNGGRNRKKPVTREVAMEELLQVIKSTKPDKFTPKIVEKKDDYVRVEYESLILGFVDDVEFWFPPGKNSIVEYRSASRIGSFDFDVNRKRIKALRQELEKKGWASEETF >EOX96205 pep chromosome:Theobroma_cacao_20110822:1:36992766:36994296:-1 gene:TCM_005508 transcript:EOX96205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 NQSDNQIPRREIILRSSELAVIGAIFSFSGKKPDYLGVQKNPPALALCPATKNCVSTSENVSDLTHYTPPWNYNGGRNRKKPVTREVAMEELLQVIKSTKPDKFTPKIVEKKDDYVRVEYESLILGFVDDVEFWFPPGKNSIVEYRSASRIGSFDFDVNRKRIKALRQELEKKGWASEETF >EOX91154 pep chromosome:Theobroma_cacao_20110822:1:1773486:1776235:-1 gene:TCM_000432 transcript:EOX91154 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein, putative MLKGTLIFPFSFLTVLCVLSLFLVIAEASHRNASAVGHWVQKHERTPLIETEYGEISAVKFTDGTRGPYHLQFITLEPSSLFLPVLLHADMVFYVHTGSGTLSWTDDNEIRNVNLRKGDIYRLHPGSVFYVQSSLKPEREKFRIHAIFSNAEDDIYEPWVGAYSSITDLVLGFDSKVLQAAFKVPEDVIEEVMKATKPPGIIHAVQKKKENCEWQARLLKAFLGRNRDGFDSINGKKKRTKAYNVLEADPDFKNSVLVEFAKSENVVARIKKILLVIFLKGSMMGPHWNPRASEIAIVLQGQGMVRVVCPGNASESECKSMRIRVKEGDVLAIRRFHPMAQMSFNNDSFVFMGFRTSTMRNYPQFLAGKSSVLQVLDRQILAMSLNVTNTTIHQLLTPQKGSVILECTSCAEEEESKMDKEIEREREQEEEARKKEEEEEARKREEEEAKKRREEDARKEQREARRQEEERQRRARQEEEEKGKQEGEKKQEEERRRKEEKEQVEPKRRKGEKERHEERSKREEAAAREQEEARRQEKERQRRAEQEEEEARREREKPGREPGEGDDAGERTVLKKVWKI >EOX93878 pep chromosome:Theobroma_cacao_20110822:1:17095467:17099957:-1 gene:TCM_002874 transcript:EOX93878 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1 interacting protein 4, putative MAQHSHVPKFGNWESEENVPYTAYFDKARKGRTGGKIVNPNDPQESPDLHYDYVAPTRASPASRAKPELDEPVGHGPTRRAHERGRSREEGELKQYADSPSHHDNVNRRASGDSTPSRYGRGVNVGEAPKRPARSSIGSENSIDRSPLHHQARVGRGSMASPAWEGKNSYDSSHGTPGRSRMRPSTRGDESPDKGAAVPKFGDWDENNPASADGYTHIFNKVREERNNGGRVPGMPGEQSPYHTGQNRKPTNSSAKSCCLPWCRK >EOX91430 pep chromosome:Theobroma_cacao_20110822:1:2704672:2710026:-1 gene:TCM_000628 transcript:EOX91430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MEAQAETRECDKGFSCNYMILKPEEVKFVDLFRILFSSSLEDRKFVDSSAGTEESFRYRWLIFISIVAQKFLMFTSKPMSWMGSLIEMWLNLLSCNRNFFVLIFNLVRGKAVIPDKNSATFISFIGNLDKRMKLDGDIKPGDGCRYYSALSMMASKASYENRAYIETIVKDHWKMEYLGFYDYWNDYQEKATTQMFFLRDKNDDHDTIVVAFRGTEPFDADAWCSDFDLSWYELPNMGKIHGGFMKALGLQKNVGWPTECKENEIRKEALAYYDIRDKLKALLSESEKTKYILTGHSLGGALAILFPAILFLHDEKLLLQRLEGVYTYGQPRAGDENFGKFMEIKLEEHKIRYFRVVYGNDMVPRLPYDDKDLLFKHFGTCLYYNRHYHGKVVPELPNKNYFSPLSAVPMMINAVFELIRSFTICYSKGPDYQEGWFLRVFRIIGLVIPGVSAHSTQDYVNSTRLGSANVFHPPEEIIP >EOX90950 pep chromosome:Theobroma_cacao_20110822:1:1155501:1157275:1 gene:TCM_000279 transcript:EOX90950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin H-type 1, H1,TRX1 MAAEEGQVIGCHTVESWNEQLQKGNESKKLVVVDFTASWCGPCRFIAPFLAELAKKLPNVMFLKVDVDELKEVATDWAVEAMPTFMFLKEGKIVDKVVGAKKDDLQQTVAKHMASASA >EOX91455 pep chromosome:Theobroma_cacao_20110822:1:2767875:2779781:1 gene:TCM_000647 transcript:EOX91455 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha catalytic subunit isoform 1 MGDDQPIDQPTANGSRRRGRGAEAEKRAEALERLKAFRQGERRSAASEPASYVKLDEPIIEYVDNDQYKEVLNKRRKEAADFIEADEANGDFGYLDDGGEDEWSQPAHYLSSGDDESDGERYSRGKKVKKKEKRDNNNNSNRVSKSSAALSAAAAMMGKQRVSSMFTSSAFNKKGKETDKVKCESIVDDVIKQFAPDESDRERRRRGQISHLASVRPSKVVSTVVHPVRSEDELVRINKGLEEFVEEDKYPENNEGAILEFNDTKVKEVEAEVEMKVEVVGERKEEKEDKEGSVHKLNAKISEEKKDEALSAMAGWKAVKSEGNGNLGGSVEGNTGFSGEGQSEFELDMDSSLPFYIIDAHEEFYGANMGTLYLFGKVKVGNEYQSCCVVVKNMQRCVYAIPNNSVFHNDEMVKLEKDGEESKISSSGFRTKLHDVASGLKNEVAKHLLNLNVSSFTMAPVKRRYAFERSDIPVGENYVLKINYPFKDPPLPADRKGETFCALHGTCSSALELFLVKRKVKGPSWLSVSNFSTCSASQRISWCKYEVIIDSPKDIRISSSSKSTTEIPPIVVSAINLKTIINGRLNINEVVSASIICCRRAKIDTPMLASEWKKPGLLSHFTVVRKLDGGIFPMGFTKEVTDKNSKAGSNVLVSESSERALLNRLMIELYKLDSDVLVGHNISGFDLDVLLHRAQACKVPSSMWSKIGRLKRSMMPKLTKGSTIFGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLSKTQLNKDRKEIAPHDIPRMFQTSELLMELIEYGETDAWLSMELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHAKKYIVPDKSSSYTKETKVSKKRINDGVGEGNVDEVDNNDVNFDETHNDRGKGKKGPAYAGGLVLEPKRGLYDKYVLLLDFNSLYPSIIQEYNICFTTVERFPDGSVPRLPSSKTTGVLPELLKNLVQRRRMVKSWMKNASGIKVQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITQQGREILQSTVDLVQNNLNLEVIYGDTDSIMVYSGLDDIAKAKTIAGKVIQEVNKRYKCLEIDLDGLYKRMLLLKKKKYAAVKVQFKDGTPYEVIERKGLDMVRRDWSLLSKELGDFCLGQILSGGSCEDVVESIHNSLMKSQEEMRNGQVALEKYIITKTLTKPPEAYPDAKNQPHVQVALRLKQSGYSTGCSAGDTIPYIICCEQGTSLSNSAGIAHRARHPDELIKDEGKWMIDIDYYLSQQIHPVVSRLCASIQGTSPERLADCLGLDPSKFQSKSSEAVSNDPVSSFLFAVDDNERYQGCEPLTLSCPSCSGTFDCPAVFSSVCMLTGEKPTKLQQEESTCNFWLKLRCPKCPAEGDLGRISPGMIANQVKRQVDGFISRYYKGLMMCDDETCKHTTRSLNLQLVGDSEKGTVCPNYPRCNGRLVRKYTEADLYKQLSYFCHLLDTTSCIEKMETSARIPLEKELAKVRPLVDLAASTVQRIRDRCAFGWVRMIDLIIAV >EOX91456 pep chromosome:Theobroma_cacao_20110822:1:2767875:2779781:1 gene:TCM_000647 transcript:EOX91456 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha catalytic subunit isoform 1 MGDDQPIDQPTANGSRRRGRGAEAEKRAEALERLKAFRQGERRSAASEPASYVKLDEPIIEYVDNDQYKEVLNKRRKEAADFIEADEANGDFGYLDDGGEDEWSQPAHYLSSGDDESDGERYSRGKKVKKKEKRDNNNNSNRVSKSSAALSAAAAMMGKQRVSSMFTSSAFNKKGKETDKVKCESIVDDVIKQFAPDESDRERRRRGQISHLASVRPSKVVSTVVHPVRSEDELVRINKGLEEFVEEDKYPENNEGAILEFNDTKVKEVEAEVEMKVEVVGERKEEKEDKEGSVHKLNAKISEEKKDEALSAMAGWKAVKSEGNGNLGGSVEGNTGFSGEGQSEFELDMDSSLPFYIIDAHEEFYGANMGTLYLFGKVKVGNEYQSCCVVVKNMQRCVYAIPNNSVFHNDEMVKLEKDGEESKISSSGFRTKLHDVASGLKNEVAKHLLNLNVSSFTMAPVKRRYAFERSDIPVGENYVLKINYPFKDPPLPADRKGETFCALHGTCSSALELFLVKRKVKGPSWLSVSNFSTCSASQRISWCKYEVIIDSPKDIRISSSSKSTTEIPPIVVSAINLKTIINGRLNINEVVSASIICCRRAKIDTPMLASEWKKPGLLSHFTVVRKLDGGIFPMGFTKEVTDKNSKAGSNVLVSESSERALLNRLMIELYKLDSDVLVGHNISGFDLDVLLHRAQACKVPSSMWSKIGRLKRSMMPKLTKGSTIFGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLSKTQLNKDRKEIAPHDIPRMFQTSELLMELIEYGETDAWLSMELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHAKKYIVPDKSSSYTKETKVSKKRINDGVGEGNVDEVDNNDVNFDETHNDRGKGKKGPAYAGGLVLEPKRGLYDKYVLLLDFNSLYPSIIQEYNICFTTVERFPDGSVPRLPSSKTTGVLPELLKNLVQRRRMVKSWMKNASGIKVQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITQQGREILQSTVDLVQNNLNLEVIYGDTDSIMVYSGLDDIAKAKTIAGKVIQEVNKRYKCLEIDLDGLYKRMLLLKKKKYAAVKVQFKDGTPYEVIERKGLDMVRRDWSLLSKELGDFCLGQILSGGSCEDVVESIHNSLMKSQEEMRNGQVALEKYIITKTLTKPPEAYPDAKNQPHVQVALRLKQSGYSTGCSAGDTIPYIICCEQGTSLSNSAGIAHRARHPDELIKDEGKWMIDIDYYLSQQIHPVVSRLCASIQGTSPERLADCLGLDPSKFQSKSSEAVSNDPVSSFLFAVDDNERYQGCEPLTLSCPSCSGTFDCPAVFSSVCMLTGEKPTKLQQEESTCNFWLKLRCPKCPAEGDLGRISPGMIANQVKRQVDGFISRYYKGLMMCDDETCKHTTRSLNLQLVGDSEKGTVCPNYPRCNGRLVRKYTEADLYKQLSYFCHLLDTTSCIEKMETSARIPLEKELAKVRPLVDLAASTVQRIRDRCAFGWVRMIDLIIAV >EOX91458 pep chromosome:Theobroma_cacao_20110822:1:2767944:2778742:1 gene:TCM_000647 transcript:EOX91458 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha catalytic subunit isoform 1 MGDDQPIDQPTANGSRRRGRGAEAEKRAEALERLKAFRQGERRSAASEPASYVKLDEPIIEYVDNDQYKEVLNKRRKEAADFIEADEANGDFGYLDDGGEDEWSQPAHYLSSGDDESDGERYSRGKKVKKKEKRDNNNNSNRVSKSSAALSAAAAMMGKQRVSSMFTSSAFNKKGKETDKVKCESIVDDVIKQFAPDESDRERRRRGQISHLASVRPSKVVSTVVHPVRSEDELVRINKGLEEFVEEDKYPENNEGAILEFNDTKVKEVEAEVEMKVEVVGERKEEKEDKEGSVHKLNAKISEEKKDEALSAMAGWKAVKSEGNGNLGGSVEGNTGFSGEGQSEFELDMDSSLPFYIIDAHEEFYGANMGTLYLFGKVKVGNEYQSCCVVVKNMQRCVYAIPNNSVFHNDEMVKLEKDGEESKISSSGFRTKLHDVASGLKNEVAKHLLNLNVSSFTMAPVKRRYAFERSDIPVGENYVLKINYPFKDPPLPADRKGETFCALHGTCSSALELFLVKRKVKGPSWLSVSNFSTCSASQRISWCKYEVIIDSPKDIRISSSSKSTTEIPPIVVSAINLKTIINGRLNINEVVSASIICCRRAKIDTPMLASEWKKPGLLSHFTVVRKLDGGIFPMGFTKEVTDKNSKAGSNVLVSESSERALLNRLMIELYKLDSDVLVGHNISGFDLDVLLHRAQACKVPSSMWSKIGRLKRSMMPKLTKGSTIFGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLSKTQLNKDRKEIAPHDIPRMFQTSELLMELIEYGETDAWLSMELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHAKKYIVPDKSSSYTKETKVSKKRINDGVGEGNVDEVDNNDVNFDETHNDRGKGKKGPAYAGGLVLEPKRGLYDKYVLLLDFNSLYPSIIQEYNICFTTVERFPDGSVPRLPSSKTTGVLPELLKNLVQRRRMVKSWMKNASGIKVQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITQQGREILQSTVDLVQNNLNLEVIYGDTDSIMVYSGLDDIAKAKTIAGKVIQEVNKRYKCLEIDLDGLYKRMLLLKKKKYAAVKVQFKDGTPYEVIERKGLDMVRRDWSLLSKELGDFCLGQILSGGSCEDVVESIHNSLMKSQEEMRNGQVALEKYIITKTLTKPPEAYPDAKNQPHVQVALRLKQSGYSTGCSAGDTIPYIICCEQGTSLSNSAGIAHRARHPDELIKDEGKWMIDIDYYLSQQIHPVVSRLCASIQGTSPERLADCLGLDPSKFQSKSSEAVSNDPVSSFLFAVDDNERYQGCEPLTLSCPSCSGTFDCPAVFSSVCMLTGEKPTKLQQEESTCNFWLKLRCPKCPAEGDLGRISPGMIANQVKRQVDGFISRYYKGLMMVICLLQNTCVTMKLASTQLEV >EOX91457 pep chromosome:Theobroma_cacao_20110822:1:2767877:2780398:1 gene:TCM_000647 transcript:EOX91457 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha catalytic subunit isoform 1 MMGKQRVSSMFTSSAFNKKGKETDKVKCESIVDDVIKQFAPDESDRERRRRGQISHLASVRPSKVVSTVVHPVRSEDELVRINKGLEEFVEEDKYPENNEGAILEFNDTKVKEVEAEVEMKVEVVGERKEEKEDKEGSVHKLNAKISEEKKDEALSAMAGWKAVKSEGNGNLGGSVEGNTGFSGEGQSEFELDMDSSLPFYIIDAHEEFYGANMGTLYLFGKVKVGNEYQSCCVVVKNMQRCVYAIPNNSVFHNDEMVKLEKDGEESKISSSGFRTKLHDVASGLKNEVAKHLLNLNVSSFTMAPVKRRYAFERSDIPVGENYVLKINYPFKDPPLPADRKGETFCALHGTCSSALELFLVKRKVKGPSWLSVSNFSTCSASQRISWCKYEVIIDSPKDIRISSSSKSTTEIPPIVVSAINLKTIINGRLNINEVVSASIICCRRAKIDTPMLASEWKKPGLLSHFTVVRKLDGGIFPMGFTKEVTDKNSKAGSNVLVSESSERALLNRLMIELYKLDSDVLVGHNISGFDLDVLLHRAQACKVPSSMWSKIGRLKRSMMPKLTKGSTIFGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLSKTQLNKDRKEIAPHDIPRMFQTSELLMELIEYGETDAWLSMELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHAKKYIVPDKSSSYTKETKVSKKRINDGVGEGNVDEVDNNDVNFDETHNDRGKGKKGPAYAGGLVLEPKRGLYDKYVLLLDFNSLYPSIIQEYNICFTTVERFPDGSVPRLPSSKTTGVLPELLKNLVQRRRMVKSWMKNASGIKVQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITQQGREILQSTVDLVQNNLNLEVIYGDTDSIMVYSGLDDIAKAKTIAGKVIQEVNKRYKCLEIDLDGLYKRMLLLKKKKYAAVKVQFKDGTPYEVIERKGLDMVRRDWSLLSKELGDFCLGQILSGGSCEDVVESIHNSLMKSQEEMRNGQVALEKYIITKTLTKPPEAYPDAKNQPHVQVALRLKQSGYSTGCSAGDTIPYIICCEQGTSLSNSAGIAHRARHPDELIKDEGKWMIDIDYYLSQQIHPVVSRLCASIQGTSPERLADCLGLDPSKFQSKSSEAVSNDPVSSFLFAVDDNERYQGCEPLTLSCPSCSGTFDCPAVFSSVCMLTGEKPTKLQQEESTCNFWLKLRCPKCPAEGDLGRISPGMIANQVKRQVDGFISRYYKGLMMCDDETCKHTTRSLNLQLVGDSEKGTVCPNYPRCNGRLVRKYTEADLYKQLSYFCHLLDTTSCIEKMETSARIPLEKELAKVRPLVDLAASTVQRIRDRCAFGWVRMIDLIIAV >EOX92490 pep chromosome:Theobroma_cacao_20110822:1:7139156:7139857:-1 gene:TCM_001436 transcript:EOX92490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCIVAGFRICCCFVFLGACVLLCACDLFYVFLALTFNLPMHCLRSVIFEDFFLRGFFLMIFCNNKISFVLLLVRRTIKLTLL >EOX94221 pep chromosome:Theobroma_cacao_20110822:1:26264182:26268169:1 gene:TCM_003748 transcript:EOX94221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFGVVFPNRSFPMDISSFTQIDTFHWILDMNTFVGEAYDQTREICIFLLNNFTLPPDKALAVYVQSPGSPFVFCGAVTLQRPSAVLSLLWPELGGQMQLTAPDSATLSAKIGVSVEDLAALPSLDVAAEKKIERLALKVGENLFNFMQSFCGVDGSRLVVPMDILDRWFKKFQEKAKRDPDYLKGFAL >EOX91008 pep chromosome:Theobroma_cacao_20110822:1:1314515:1318713:1 gene:TCM_000320 transcript:EOX91008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MADAVGKKSVLYAKQESHKRIRRLLSVPFSMDSLSTFVKKIDKMPIERLNKLEESGKSFSVLDFGMKMNFDAMCNMLMSVTDDSLLRQIEKDCIDVDRSVLAFPVLIPGTTYYRGMKARKRLMESFREMIARRRSGMETSEDFLHSILQRDSCAPNEELDDSEIIDNLITLLIAGKTTTASAIMWCVKFLDENREVQYRLREEQHAIARNKPEGTSLTLEDLKSMSYGLKETQKPYSFIPFGSGPRTCLGMNMAKVIMLVFLHRLITAYNWTVDDLDPSLEKKSHIPRLRSGCPITLKALNKAKQAWMT >EOX95096 pep chromosome:Theobroma_cacao_20110822:1:32862192:32868049:1 gene:TCM_004662 transcript:EOX95096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 1 MRASARHISPTHPTLHFAHPTLESFIWNTLIRGNAQATARPTFPTISIYIRMRFHGVSPDFHTFPFLLQSFNSSFHLLSGKQIHAQTILFGLVQDPFVQTSLINMYSSCGDLIVSRQAFDEITQPDVASWNSIIHAYVKVGLIDLARGLFDKMPERNVRSWSSLINGFVRCGKYKEALALFREMQMLAVNDVRPNEFTMSAVLSACGRLGALEHGKWAHAYIDKCGIKIDVVLGTSLIDMYGKCGSIEKARDVFSNLGPDKDVMAWSAMISGLAMHGHGDECLKLFSEMIKRQVRPNAVTFLGVLCACVHGGLVNDGKEYFRRMSKEFGIIPSIQHFGAMVDLYGRAGLIDEAWNVVKSMPMEPDVLVWGSLLSGSRMCGNIETCEVALRKLIELDPTNSGAYVLLSNVYAKTGRWTEVRRVRDVMEGRGIKKVPGCSLVEMGGVLHEFFVGDDSLPESREIYMMLDEIMKRLKLEGYVGNTKEVLLDLDEEGKELALSLHSEKIAIAFCFLKTSPGNCFVWHKS >EOX95097 pep chromosome:Theobroma_cacao_20110822:1:32862238:32864732:1 gene:TCM_004662 transcript:EOX95097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 1 MRASARHISPTHPTLHFAHPTLESFIWNTLIRGNAQATARPTFPTISIYIRMRFHGVSPDFHTFPFLLQSFNSSFHLLSGKQIHAQTILFGLVQDPFVQTSLINMYSSCGDLIVSRQAFDEITQPDVASWNSIIHAYVKVGLIDLARGLFDKMPERNVRSWSSLINGFVRCGKYKEALALFREMQMLAVNDVRPNEFTMSAVLSACGRLGALEHGKWAHAYIDKCGIKIDVVLGTSLIDMYGKCGSIEKARDVFSNLGPDKDVMAWSAMISGLAMHGHGDECLKLFSEMIKRQVRPNAVTFLGVLCACVHGGLVNDGKEYFRRMSKEFGIIPSIQHFGAMVDLYGRAGLIDEAWNVVKSMPMEPDVLVWGSLLSGSRMCGNIETCEVALRKLIELDPTNSGAYVLLSNVYAKTGRWTEVRRVRDVMEGRGIKKVPGCSLVEMGGVLHEFFVGDDSLPESREIYMMLDEIMKRLKLEGYVGNTKEVLLDLDEEGKELALSLHSEKIAIAFCFLKTSPGNCFVWHKS >EOX91403 pep chromosome:Theobroma_cacao_20110822:1:2585968:2587675:-1 gene:TCM_000608 transcript:EOX91403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centrin2 isoform 1 VYYFLFKLNLQIVFLNSFGWGTFHERVAISSKLAMATLYRGASRKDKPRGRHHGLSQQKRQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGAIDFDEFVHMMTAKIGERDTKEELMKAFQIIDQDNNGKISPQDIKHIAKELGEHFSEKEIQDMIEEADRDRKLTFLLES >EOX91405 pep chromosome:Theobroma_cacao_20110822:1:2585702:2587037:-1 gene:TCM_000608 transcript:EOX91405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centrin2 isoform 1 RHHGLSQQKRQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGAIDFDEFVHMMTAKIGERDTKEELMKAFQIIDQDNNGKISPQDIKHIAKELGEHFSEKEIQDMIEEADHDGEVSIDEFMRMMKRTTYGY >EOX91402 pep chromosome:Theobroma_cacao_20110822:1:2585742:2587711:-1 gene:TCM_000608 transcript:EOX91402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centrin2 isoform 1 MATLYRGASRKDKPRGRHHGLSQQKRQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGAIDFDEFVHMMTAKIGERDTKEELMKAFQIIDQDNNGKISPQDIKHIAKELGEHFSEKEIQDMIEEADRDHDGEVSIDEFMRMMKRTTYGY >EOX91404 pep chromosome:Theobroma_cacao_20110822:1:2586249:2587675:-1 gene:TCM_000608 transcript:EOX91404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centrin2 isoform 1 VYYFLFKLNLQIVFLNSFGWGTFHERVAISSKLAMATLYRGASRKDKPRGRHHGLSQQKRQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGAIDFDEFVHMMTAKIGERDTKEELMKAWERYLPKTLNTLQRSLANTSQKKRFKT >EOX93839 pep chromosome:Theobroma_cacao_20110822:1:16388863:16403042:1 gene:TCM_002788 transcript:EOX93839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAGGSPNLSSSSPPIAVPPYIQLEAAHSHLPPNKSVAAGERPPVIPLMRDPGVYKDRPAATFYEDEIQILARPFTHSVIGKFNRMPKIQEIRISTAYGSSSNALLLIAKIVGKPLYVDEATANGSRPSVARVCIEYDCRQPLVEEVWIVIRNRETGAVTGGYSQRVDFSRLSDYCNHCFHVGHKESECLVLGNRSSNKKSSEKNATDSIKQLNGMFGHVDHKTIEERLKGTEGRATVIGEKRKNLGDEAEKFLLAKEADRDPDLSRYAVLESLEEPEQLNRVKQWQTGEENSVEDRPHVSQHPSGKRQPRSDLSFSIKDGKSGGQRGGTTSDEPAAATQHTMRSRGQKLVHKLVQWDLANSGSNIREKDLTATAEEDETLVPKPMQEGEGKISKNYFTK >EOX95602 pep chromosome:Theobroma_cacao_20110822:1:34804940:34810383:1 gene:TCM_005050 transcript:EOX95602 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-arabinono-1,4-lactone oxidase family protein MLYSKRSKSPELLLRSKCLFLFVIMVSCTPPEEPVKCSSKDSNCTISNSYGSFPDRTICRAGNVAYPTTEKELVSIVSAAAEAKRKMKVITRFSHSIPKLVCPDGEDGLLISTKYLNHTLKIDAAAMTMTVESGVTLRQLINDAAKAGLALPHAPYWWGLTIGGLLGTGAHGSSLWGKGSSVHDYVVEMRMVSPAGAKEGYAKVRVLNESDKDLDAAKVSLGVLGVISQVTFKLQPLFKRSISYVTKDDADLGDEAITFGKQHEFADVSWYPSQRKAVYRIDDRVSSNASGCGLYDFIPFRSTPSLALAFIRTTEETQESLRDADGKCLSGKLIVSTLQTAAYGLTNNGIIFTGYPVIGFHNRLQSSGTCLDSPEDSLITACPWDPRIKGEFFQQSTFSVGLSVVKSFIQDVQKLVALEPKALCGLELYSGILMRYVKASSAYLGKQEDAIDFDITYYRSKDPMAPRLYQDILEEIEQIALFKYGALPHWGKNRNLAFDGVIKRYKNAGEFLKVKNMYDPSRLFSSEWTDQILGLRNGVTTLKEGCALEGLCICSHDIHCAPSKGYLCRPGKTFQNAKVCTRVSSN >EOX94662 pep chromosome:Theobroma_cacao_20110822:1:30738452:30750082:1 gene:TCM_004281 transcript:EOX94662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIMHNNPSFRDVLLSLDGEGLGSKTNDQQENNFLDDDDVDWLNGLDVSNSDHIQMSSIKEEEDEDSPYGPWMLVTRRKQCGTNARGKAREMINDNGSTSSSSRDAEYFVKEGNNRDVWYDGLKGQKMVPQRKDNDLRAIATRLPDALAKEISLCDHDATSGMKAKAFINVQLFQNQLNDVQVDKLAITPITLDTTKHFMVVIVDKTYLVNNVDSKDYYHVRDLVSAKMNVESVVCSGLSIVRYVHDVFQGGRIGDELRRFLIVLIPKAFISQMEIIRGVLDDFYACSSKKVSVSKSIFYCSNNVTTRNSHRARDNRRDVSIDIHYPECQPKPRKALISASHFPCGGILVIYPKNLKII >EOX92790 pep chromosome:Theobroma_cacao_20110822:1:8626890:8629903:1 gene:TCM_001666 transcript:EOX92790 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative MEISCYAVSQITVYLVSFSESDGMEVGRAPAQFPAMFVLGDSLVDNGNNNGLSSLAKSNYLPYGIDFPGGPTGRFSNGNTIVDFLGDLLGLPLLPAFTATFTGERDVRSGVNFASAAAGILDESGLNLVNSYPTLVIVTHGDRFSLSQQVQNLGSTLNQLRNQMDEVELKQYLRKSLVVMNIGSNDYINNYLKPSFYSSSSTYNPEDYADLLIMNYAGQIMALYRLGLRKFLLAGIGPLGCIPNQLATGLAPPGKCVSAVNDMVRIFNKRLKSLVDQLNANHTDAGAIFVYGNTYGAFNDILGSPATYGFSATDRGCCGIGRNRGQITCLPFSIPCSNRDEYIFWDAYHPTQAFNEIIAQEAYSGSQSACYPINVKQMAQV >EOX95387 pep chromosome:Theobroma_cacao_20110822:1:34013790:34016481:-1 gene:TCM_004900 transcript:EOX95387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein MSSAAISFISSPNSPRLSHVSPTNRYAILLTATPSCRISSLKPPSLSSIFIRIPYGSFKKSSFFTSSRTFSPVMEWQDCTVKTEIDVPASMAYKCYSDREAIPNWMPFISSVKILEDKPNLSRWSLKYNAFGRDIEYSWLARNMQPIPNQKIHWRSLEGLPNRGVVRFYPKGPSSCVVELTVSYEVPQLLAPVASALQPFLENLLKRGLDRFENFAKSSGSTR >EOX94955 pep chromosome:Theobroma_cacao_20110822:1:32191086:32196119:-1 gene:TCM_004548 transcript:EOX94955 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box type zinc finger protein with CCT domain, putative MTDQKNNNQKQRRLCDYCNQSKALLYCRADSAKLCFSCDREVHSANQLFTRHPRSQLCDACDKSPASIFCETEQSVFCSNCDWESHKCSSSSLHNRRPIEGFSGCPSVSELVNSFGIEDLGSKTLFLSEEKVGCGDGGEDDGLLDLLSWETPEFSSLDDLIVSSDFGHGFKPTDVPPLRKNRNASCGRHKEEMLLQLRELAKSEPNLSTDFENVTGFLPLLPNTYNSQPGSVHTSCKIDKDPIPFPAYELSAPQCFSDNVEMANQVFLPFSQLRGYTEESAVVPNEHLDTSRTAHVNDSLEDQLQHQIAAGTTSALPKIVVHELNSQERDSAISRYKEKRKTRRYDKHIRYESRKVRAESRTRIKGRFAKVEH >EOX91152 pep chromosome:Theobroma_cacao_20110822:1:1762310:1767303:1 gene:TCM_000430 transcript:EOX91152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 6, putative MDMPKNKTQKSCCRFFLFLLFFLFINGAVLEAWPKGSVTRCYELKGPRSQYQLFILFFKLKILLNEQVQTASIAKLCKTSTVVNVNGMYPGPKISAREDDRVVVKVTNRTPYNTSIHWHGVRQRLTCWSDGPAYITQCPIQSGQSFKYRFTLLNQRGTLFWHAHISWPRATVHGPLIIYPKQGVSYPFEPPFEEHVIVLGEYWLRDIQDLERHVKESGGGPPSSDAYILNGRPGPLHNCSRDDVYTVSVTKGKKNGWRVHKTLQHLVLMLTPGQTYNVLIEADQPIGKYYMAMAPYMPAKNVPFLNKTSYAILNYVTHTKSIASPPTVEVDKLARKSCSRRSMILHEPILPNANDTESVQAFADQVKSLYPNQHWSPIDVPLKIDKSLFFAIGLNVFSCNSSDADRCQGPNGGIFAASVNNITFRRPRVSLLNAYYNNLEGYYSTDFPDKPEKMYDFANEAPNNIGVDTQPAIGTRVSVLEYGWAVQVIFQDTGTVGTENHPIHLHGFSFYLLGKGLGNFNSSTAVLNFYDPPYRNTVGVPVGGWAVIRFRADNPGVWFMHCHQDVHTTWGLSMAFLVKNGKGKMQTLPPPPPDLLPC >EOX95651 pep chromosome:Theobroma_cacao_20110822:1:35055519:35058303:-1 gene:TCM_005107 transcript:EOX95651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum activated malate transporter family protein, putative MAKEAAGRLEWRTNVPDETSKKLEPDYGLVGKTWLRLKCVMGGLVMKVWGFLEKVWTLGVAEPRKVIHCARVGLALSIVSLFYYIRPLYDDFGGNVMWAVMTVVVVFEYTVGATLSKCINRATGTILAGALGVGIHWVADRSGDKLKPIVLGVSVFFFASAATFSRFIPSVKARFDYGAMIFILTFSLVSVSAYRMVELFELAQDRLSTVAIGASLCILVTMLFCPIWAGCELHRLIHQNMEKLVDSLDGCVAHYFKDSGNLTISEEDLNKKIQGYKCVLNSKATEESMCNFARWEPTHGRFNFGHPWKQYLKIGASLRRCAYCIETLNSCVGSDIEAPPCIRKHLSDKCLKVSSYSTNVLKELAITIMKMKKSSKIEFRVAEMNFGVQELRDALKSLPSHLLAPPSSTGEESTEATSVPIKKADVLPPIMKVLPLITVVSLLEEIAARIGGVADAVEELASLAEFKPAKDRKPKQNLPTNKIISDNLNRQTI >EOX91799 pep chromosome:Theobroma_cacao_20110822:1:3916189:3924161:1 gene:TCM_000872 transcript:EOX91799 gene_biotype:protein_coding transcript_biotype:protein_coding description:EPIDERMAL PATTERNING FACTOR-like protein 2 [Source:Projected from Arabidopsis thaliana (AT4G37810) UniProtKB/Swiss-Prot;Acc:Q9T068] MVCSHSFIFFQRPCCFSLLILLILSTTQLRYKAEGKPNPKSDSFSQTVTDEKAILRAQIGSRPPRCERRCSSCGHCEAIQVPTNPQVQNGNRNSSTLLSDVAYARGDGSSNYKPMSWKCKCGNFIFNP >EOX91798 pep chromosome:Theobroma_cacao_20110822:1:3922990:3924153:1 gene:TCM_000872 transcript:EOX91798 gene_biotype:protein_coding transcript_biotype:protein_coding description:EPIDERMAL PATTERNING FACTOR-like protein 2 [Source:Projected from Arabidopsis thaliana (AT4G37810) UniProtKB/Swiss-Prot;Acc:Q9T068] MVCSHSFIFFQRPCCFSLLILLILSTTQLRYKAEGKPNPKSDSFSQTVTDEKAILRAQIGSRPPRCERRCSSCGHCEAIQVPTNPQVQNGNRNSSTLLSDVAYARGDGSSNYKPMSWKCKCGNFIFNP >EOX93754 pep chromosome:Theobroma_cacao_20110822:1:15556029:15557485:1 gene:TCM_002672 transcript:EOX93754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFFFILLSSLFSFLSSLLPAVLSPFSLKMLPFPSLLNSSPPAVTPTPSLPSLGLSLSSLPYVDNSFSPYLLPQQIVQALTLTAHTFFGAPSPLYPVPGLLRGHAPLLCLGAPGDKFHYPARREFFASGR >EOX92199 pep chromosome:Theobroma_cacao_20110822:1:5696461:5702454:-1 gene:TCM_001186 transcript:EOX92199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein METRRSSVLILSVLLAFAAVDVAAVVTYSSRLIQRFSDEAKLLKVPRDGGEVRWPERKSLEYYKLLVNSDFQRQKMKLGPKYDLIFPSQGSKTMSFGNDFGWLHYTWIDIGTPNVSFLVALDVGSDLLWVPCDCVQCAPLSASYYSSLDKDLSEYRPSGSSTSKHVPCSHQLCDSSPNCKSSKQQCPYTIDYYTENTSSSGLLVEDTLHLVSASDHTLNTSVQAPVIIGCGMKQSGGYLDGVAPDGLMGLGPGEISVPSFLAKAGLIRNSFSMCFDEEDSGRIYFGDEGPATQQSTQFLPSDGKYMTYTVGVEACCIGDSCLKQTGFSAVVDSGTSFTFLPNEVYERIAKEFDRRVNSTITSYPGYPWEYCYKSSSQELPNVPYLKLMFPLNNSFVVYNPVFVIYGLQGVSGFCLAIQPGERDVGTIGQNFMTGYRMVFDREKMKLGWSPSNCQDLADGKRMPLSPNGTRSNPLPTNVQQSTPGGRAVAPAVAGRAPSKPSFAPTRLVSSQFCYLKLLPLLVLLHLLVSFFPLDTDTHC >EOX91159 pep chromosome:Theobroma_cacao_20110822:1:1793394:1798066:-1 gene:TCM_000437 transcript:EOX91159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase HT1 MQQGNYKDKGGSEMDGREEDDLFELSSPYSLQNIGNTISKLTSTFCDDAEDEEDDIDNSFAFQIDRSLLIDPRLVLVDKMIGEGSYSTVHEGFYKLKPVAVKIIQPSNTSAVTRDHKERFQREVLLLSRMNHENVVKFIGASVEPTMMIVTELMKGETLQKYLWSVRPKRLDLKLSISLALDISRAMEYLHANGIIHRDLKPSNLLLTEDRMQIKLADFGLAREEAMGGMTCEAGTYRWMAPELYSRDPLPVGAKKHYDHKVDVYSFSIVLWELLTNKAPFKGRDNVTVAYAAAKNERPSVESLPGDIVSLLQSCWAEDPKIRPEFKEITGSLTNFLQNLCSTETTPPKIVSMDYSESHVQEDSIDAGHVPNKADDKGKKQKTSSTFFSCFDDCLSD >EOX94510 pep chromosome:Theobroma_cacao_20110822:1:29306864:29314932:-1 gene:TCM_004119 transcript:EOX94510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) polymerase 2, putative isoform 2 MCFMELSPATQVEKLPGWESLSSPDQATVRALVKKVPSAAKTGKGTGVPQDKQLQSTSRAGTKRKKDDAKRFGSGSKIEAQNKDLWTLKDDLKKHFTTAELHEMLEANGQDATGSELDLRDHCADGMCSSRLQFSGGMYRCHGYLSAWSKCSYSSYEPEHVKGKWKVPNETNTEYLSKWFKSQKIKKPVRLLPPSASSSQAANGQFQTSKVESLADLKVSITILPQESMIFAPQEEWKGKIKGVGGIIHAKIKDDTNCFVVSGKLDDHDAEVRKARMMKLPIVREDYLVDCFKRQKKLPIDLYKVEAIGWGKYNNTTLNMSDLSTGVNSYYILQIIQEDKASDCYVFRKLGRVGNEEIGGSKLEEMSKLGAISEFKRLFLKKTGNTWEAWEQKQNFQKQPGRFFPLDIVLAAMMEFKINMSEMPLGKLSKSNIQKGVKFLLHMQSRNFSDHISLAQMKMLESFEDIAIASRLVGFDDDSDDSLDEKYKKLNCVLFPFLMMVNIGSLRNISLPLMPLLILIGLLNWREYSHLKDKENLKSLLLIEKSLKTECFSGMVSGSWLTNFVGILSQGLRIAPPQVPATGYMFGKGVYFADLVSKSDQYCYTHKKSPVGLMLLSEVALGDVYELTKAECIETLPKGKHSTKGLGKKVPRESDFLKWKDDIIVPCGKPVSSKVKASELMYNEYVVYNTAQVKMQFLLKVRFHHKR >EOX94511 pep chromosome:Theobroma_cacao_20110822:1:29308378:29314012:-1 gene:TCM_004119 transcript:EOX94511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) polymerase 2, putative isoform 2 MCFMELSPATQVEKLPGWESLSSPDQATVRALVKKVPSAAKTGKGTGVPQDKQLQSTSRAGTKRKKDDAKRFGSGSKIEAQNKDLWTLKDDLKKHFTTAELHEMLEANGQDATGSELDLRDHCADGMCSSRLQFSGGMYRCHGYLSAWSKCSYSSYEPEHVKGKWKVPNETNTEYLSKWFKSQKIKKPVRLLPPSASSSQAANGQFQTSKVESLADLKVSITILPQESMIFAPQEEWKGKIKGVGGIIHAKIKDDTNCFVVSGKLDDHDAEVRKARMMKLPIVREDYLVDCFKRQKKLPIDLYKVEAIGWGKYNNTTLNMSDLSTGVNSYYILQIIQEDKASDCYVFRKLGRVGNEEIGGSKLEEMSKLGAISEFKRLFLKKTGNTWEAWEQKQNFQKQPGRFFPLDIVLAAMMEFKINMSEMPLGKLSKSNIQKGVKFLLHMQSRNFSDHISLAQMKMLESFEDIAIASRLVGFDDDSDDSLDEKYKKLNCVLFPFLMMVNIGSLRNISLPLMPLLILIGLLNWREYSHLKDKENLKSLLLIEKSLKTECFSGMVSGSWLTNFVGILSQGLRIAPPQVPATGYMVLLHPRFMNAHTSLHM >EOX93814 pep chromosome:Theobroma_cacao_20110822:1:16086298:16102534:1 gene:TCM_002752 transcript:EOX93814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, zinc-binding dehydrogenase family protein MAETLMHAVQYSRYGGGAAGLKHVEVPIPTPKQDEVLLKLEATSLNPVDWKMQKGLLRPFLPRKFPYIPGTDVAGEVIKVGPGVKNYNAGDKVVAMLSHATGGGLAEFAVAKESLTVARPPEVSAAEGAGLPIAGLTAHQALTQSAGIKLDRSGQQVNLLITAASGGVGQYAVQLAKLGNTHVTATCGARNMDLVKSLGADEVLDYKTPDGAALKSPSGRKYDAVIHCAMGIFWSTFEPNLSANGKVIDITPGPSALMTFALKKLTFSKKQLVPMIMTPKKENLDYLVKLVKEGKLKTVIDSKHPLSKAEDAWAKSIAGHATGKIIVEP >EOX94220 pep chromosome:Theobroma_cacao_20110822:1:26246172:26248605:-1 gene:TCM_003746 transcript:EOX94220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFRDRVCVPEGNQLRQAIMEEAHSSAYALHPGSTKMYRTIRENYWWPSMKRDVAEFVAKCLVCQQVKAEHQRPAAIHSTYSIEKLAQLYIDEIVRLHGVPVSIVSDRDPRFTSRFWPKFQEALGTKLKFSTAFHPQTDGQLERTIQTLEDMLRACVIDFIGSWDRHLPLVEFAYNNSFQSIIGMAPYEALYGRKCRTPLCWDEVGERKLVSVELIELTNDKIKVIRERLKVAQDRRKSYADKRRKDLEFEIDDNVFLKVSPWKGAKS >EOX91093 pep chromosome:Theobroma_cacao_20110822:1:1564173:1565689:1 gene:TCM_000382 transcript:EOX91093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKIQSATQAPESESAPRSISSRGRVNYDNTRLVATMRKSLCRIHAGYFDQVYQEPSFPSAFSHPWVLDQMESKKNQSATPESESTPRSISPCGGVKYDNAGLVATMRNSFSRFIHASMDEHMTCFVESLGQLLDNREFGSYTAYTNMLIFFPKSWA >EOX92783 pep chromosome:Theobroma_cacao_20110822:1:8587610:8590633:1 gene:TCM_001659 transcript:EOX92783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic LigB subunit of aromatic ring-opening dioxygenase family isoform 1 MIKMALTMKDTFYISHGSPTLSIDDSLPARHFLQSWKDTVFGQTPKSILVVSGHWDTSYPAVNMVQRNDTIYDFYGFPDKMYKLKYPAPGAPELAKRVKELLMASGLKRVDEDKKRGLDHGAWVPLMLMYPEADIPVCQLSVQSRRDGTYHYNLGKALAPLKDEGVLIIGSGAATHNLRALGNLNGAVVPWASEFDTWLKDALLEGRYEDVNHFQEKAPYAKMAHPWPDHFYPLHVAMGAAGESSKAKLIHQSWELGSLSYASYQFTAAS >EOX92782 pep chromosome:Theobroma_cacao_20110822:1:8588084:8590925:1 gene:TCM_001659 transcript:EOX92782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic LigB subunit of aromatic ring-opening dioxygenase family isoform 1 MIKMALTMKDTFYISHGSPTLSIDDSLPARHFLQSWKDTVFGQTPKSILVVSGHWDTSYPAVNMVQRNDTIYDFYGFPDKMYKLKYPAPGAPELAKRVKELLMASGLKRVDEDKKRGLDHGAWVPLMLMYPEADIPVCQLSVQSRRDGTYHYNLGKALAPLKDEGVLIIGSGAATHNLRALGNLNGAVVPWASEFDTWLKDALLEGRYEDVNHFQEKAPYAKMAHPWPDHFYPLHVAMGAAGESSKAKLIHQSWELGSLSYASYQFTAAS >EOX90716 pep chromosome:Theobroma_cacao_20110822:1:435706:438338:-1 gene:TCM_000106 transcript:EOX90716 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3 transcriptional factor family protein MDSEPFVTLVLFPLPCGDCMSSSTDKGKEDEKREEHDVNSCQEPEVGGLSLELSLGFNDSTCTSKKRKRMENPSSARGVSSYRNKRTKVDREEARMLELTELRLGNDPYCIKKTLTGSDLGNMSRLMLAAEYIESHVFPFWNADQLANIKEGLAVSVWDCDTQTEHELVFKRWNKRANVLIKNWVKDFVKRRELKLGDEIGLYWDTCNSRFQFSVLNRFLVSLFSCVPELEACGFLHISCTAQPVSCPGCSLAFSRRSRFAVMGCLTANPPLSRPLINHNHSHHCSSSPIQDTSWHAGQHTACTVMADSMPSYLAKSAMFLSIIPSIFLIKPSRFTHRRTSVDPSALMNNLTRSH >EOX95929 pep chromosome:Theobroma_cacao_20110822:1:36063311:36066732:1 gene:TCM_005311 transcript:EOX95929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mesoderm induction early response protein 1, putative isoform 1 MADNEEGEENTPRGNEWEVVSLTASAYAAAPGPKEVETKDDNKGDSYKEEEAETSRALFMSGHFVFPPIEHENLPLEPLEPDNSSENVGKDVVPELGVEEGGRSRTKEEEDWTLKGLNVHDEFPGMQFFDKKHGTEFEEGTTLQGLDLIDKDQSLYSAATFSSFHSDEALGGSTTYDEDTTVSELIEASEQGLGFPADISQSPKSLQDDKYDGSDLPCEAWWKRRAVSLYAHAKEANAFWSIFIAAAVMGIVILGQRWQQERWQALQHKWQLSLNNEKTGRVLGSISRLKDVIVGGHRRGSFVRGTAPK >EOX95930 pep chromosome:Theobroma_cacao_20110822:1:36063311:36066732:1 gene:TCM_005311 transcript:EOX95930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mesoderm induction early response protein 1, putative isoform 1 MADNEEGEENTPRGNEWEVVSLTASAYAAAPGPKEVETKDDNKGDSYKEEEAETSRALFMSGHFVFPPIEHENLPLEPLEPDNSSENVGKDVVPELGVEEGGRSRTKEEEDWTLKGLNVHDEFPGMQFFDKKHGTEFEEGTTLQGLDLIDKDQSLYSAATFSSFHSDEALGGSTTYDEDTTVSELIEASEQGLGFPADISQSPKSLQDDKYDGSDLPCEAWWKRRAVSLYAHAKEANAFWSIFIAAAVMGIVILGQRWQQERWQALQHKWQLSLNNEKTGRVLGSISRLKDVIVGGHRRGSFVRGTAPK >EOX94296 pep chromosome:Theobroma_cacao_20110822:1:27560016:27566139:1 gene:TCM_003880 transcript:EOX94296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLEVIEGKNERSVHGMVISQLMDSLEVDTSADLMIRCQNQKIVEEAMKEFEYHSIEKNMTLEQGFELRDARTDDSRNFTNTEDPPSQNTMNSLIAKMDNYFDN >EOX94467 pep chromosome:Theobroma_cacao_20110822:1:28862107:28875240:-1 gene:TCM_004051 transcript:EOX94467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 1,4 MAEGQLQVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHIDGAEKPGTLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKLGRKKVYGMTLMLMVICSIASGLSFGHTPKSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIFAIIISSAFKARFDAPPYEVDALGSTVPQADYVWRIILMVGALPAALTYYWRMKMPETARYTALVAKNAKQAASDMSKVLQMDIEAEPQKIEQLDRERSKFGLFSKEFAKRHGFHLLGTTTTWFLLDIAFYSQNLFQKDIFSAIGWIPAAKTMNALDEVFRIARAQTLIALCSTVPGYWFTVAFIDKIGRFSIQLMGFFFMTVFMFALAIPYDHWTHKDNRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKLGAIVGAFGFLYLAQNKDKAKADAGYPAGIGVKNSLLVLGAINALGFLFTFLVPESKGKSLEEMSGENEDNGAEVEAELSSHNHRTVPVA >EOX91145 pep chromosome:Theobroma_cacao_20110822:1:1738413:1740048:-1 gene:TCM_000426 transcript:EOX91145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MSSSIPLRHLRHLSTTTTTAATASSSISISISQAKNKLRTEYDPDKALEIYSSVSKHYSSPSSSRYAQDLTVRRLAKSRRFSDIESLIESHKTDPKITQEPFLSTLIRSYGIAGMLDHAIKTFDQMDQFGTPRSTISFNSLLSAGNHSRQFDKVPQLFEEIPKKYGSVSPDKVSYGILIKSYCEAGHPDKGIEVLREMERKSVEVTAVTFTTILNALYKKGKTEEAEKLWSDMMKNGCELDVASYNVRIMNLQGGDPEKVKELIDEMSTMGLKPDTISYNYLMTCYCKNGMLDEAKKVYEGLEGNGCNPNAATFRTLVFYLCLNGLHEQGYKVFKESVRLHKIPDFNTLKHLVEGLVKNKKIKEAKGLIRTVKKKFPPNFLNAWKKLEEELGLVSGNAGGGEAQEAKEATG >EOX92549 pep chromosome:Theobroma_cacao_20110822:1:7407405:7412614:1 gene:TCM_046684 transcript:EOX92549 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter family protein MNLSTLLRTSLPHPHLPPLHSTSSTRDNATENVAIEGRKINFSVNTRQGKMVPILKDCSIRIPSGQLWMLLGPNGCGKSTLLKILAGLLTPSNGTLFVRRPKSYVFQNPDHQVVMPTVEADVAFGLGRLNLTNAEVRMRVSKALDAVGMSEYVQRPVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDESDQIGVIKAVQNLLHISGEVTALWVTHRLEELEYADGAVYMEDGRVVMHGDAASIRNKKPASKRHPGSLCLTLIKGWKETSPSYNLILSLNISGKNLKNKRISL >EOX92553 pep chromosome:Theobroma_cacao_20110822:1:7436700:7439430:1 gene:TCM_001487 transcript:EOX92553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MITGWRGAALAGNIEALYASIQEDGDVLKRVDEVEFVDTPLHIAAAAGHTDFVMEMMNLKPSFAKKLNRRGFSSLHLALQNGHKETVLRLLEINKDLVRVKGKEGYTPLHYVTREGNLDLLAKFLEDCPECIFDVTIHNQTAFHIAVENNRLAALRVLSKMLKKTDCCQDVVNRKDKDGHTALHRAAAKNYPQMLKLLLACKADKNVTNQAGLTALDVARGQVNNRESINILGVCSFAGVSTLYKLWQLIVKFLAKASIEIFRDMDSISSEDRNASLVILGLLLTATYQAILSPPGGVWQGQVSETRLSPPSGAPTSTSTPTHSKKSRNMVGTSIMDPGEFLVFYLTICAVFIVAFFLTLGLLKPFPRGFKTALQCAKELSAYKR >EOX92224 pep chromosome:Theobroma_cacao_20110822:1:5820353:5821504:1 gene:TCM_001205 transcript:EOX92224 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 zinc finger protein RHA2a MAALSEYFSHLYTMTIVFFTLLLLEVVILIRSVTGGVCDSEKRLITTTQYLKFIEEKNPTVRYSSRSSSRLESNECAVCLSELEEGEEVRKLKCKHTFHKDCLDRWLQQYWATCPLCRTKVLPDEIVANYHRLQNRVEYDGSDEEMIFLLSALHGNSLHRLF >EOX93052 pep chromosome:Theobroma_cacao_20110822:1:10176549:10181212:-1 gene:TCM_001904 transcript:EOX93052 gene_biotype:protein_coding transcript_biotype:protein_coding description:TT12-2 MATE transporter MSTGEQQPLLVWRNNLYDLPSDAIEEVLEKREAVGVGWWARLVVWESRLLWVLSGASIIVSVFNYLLSFVTLMFTGHLGALELAGASIASVGIQGLAYGIMKYAAMGIICQRAIVLHIGAAVLLTFLYWYSGAVLKAIGQSESIAEQGQVFARGLIPQLYAFALSCPMQRFLQAQNIVNPLAFMSVGVLLLHILLTWLAIDVLECGIIAAALTLSLSWWFLVIATGLYIVLSPSCKETWTGLSMKAFKGVWPYCKLTAASAVMLCLEIWYNQGLVLISGLLPNPTISLDAISICMNYWNCDINFVLGLSAAASVRVSNELGAGHPRLTKFSVIVVNATSISISVVFTAIILIFRVGLSRAFTSDAEVIKAVSNLTPLLAISVFLNGVQPILSGVAIGSGWQHIVAYVNLTTYYIIGLPIGCILGFKTSLGVAGIWWGMIIGVLLQTSTLIILTARTNWNTEVEKAADRLKKSANEETLQLVTAV >EOX92472 pep chromosome:Theobroma_cacao_20110822:1:7064390:7076404:1 gene:TCM_001422 transcript:EOX92472 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein MAAMLQPQIILLKEGTDTSQGKAQLVSNINACTAVADVVRTTLGPRGMDKLIHDDKGNVTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAGEFLKEAKPFVEDGVHPQNLIRSYRTACYLAIEKVKELAVSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKEFFASMVVDAVIAIGNDDRLNLIGVKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCVKSGAKVVLSRLAIGDLATQYFADRDIFCAGRVAEEDLQRVAAATGGTIQTSVNNIIDEVLGTCEVFEERQVGNERFNIFSGCPSGRTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVAGGGAIDMEISRYLRQHARTIAGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNKLRQKHALPSGEGAPYGVDINTGGIEDSFANFVWEPSVVKINAINAATEAACLILSVDETVKNPKSESAQGEAAASAMAGRGHGGGFRGRGRGMRRR >EOX91740 pep chromosome:Theobroma_cacao_20110822:1:3665568:3666433:1 gene:TCM_000826 transcript:EOX91740 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein, putative MEAYSSYSSSSSTLQEARGLKVAQPFHASLHEVRKPLAKPWKKPIAPLPPTPPRVYKVDPINFRDLVQKLTGAPEFMSQSQSSSQLQLPHHQRLQRVAPPPLSVASSPLSRAEVSAQLHLLSGFDHSAKTQNQKFLDNAKITSNSSLGLNLSPSSYNWCAFPILSPGTLSSLEQSTVP >EOX93889 pep chromosome:Theobroma_cacao_20110822:1:17228059:17233253:1 gene:TCM_002894 transcript:EOX93889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copia-like retrotransposable element, putative MTILPLGHENFNWTPNRFMKEPTDHHYTAAKRVLKYLKGSLNHGIHFTRFPKFKLIGYSESDWAGNIDDSKSTSGYVFTLGNGPFSWNTHKQSVVAQSSAEAKYIYAASASNQAIWLRKLLTDLQFPHNHATNLFVDNKSAISITKILLVMGKRSTSMLSFTPLEMPRREKRFVFSTVLLNHSLLTS >EOX92568 pep chromosome:Theobroma_cacao_20110822:1:7549746:7551317:1 gene:TCM_001505 transcript:EOX92568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFLERAYVSNWKNIEWWRVDGVLTELTGGSNIEWWRNDRWVGFYIPSQSWLVSLDTGSSRVVICTQLKSSRNRVGSISTRIQTRRSCCHRGFIYRVDKNYSF >EOX92881 pep chromosome:Theobroma_cacao_20110822:1:9131329:9134274:1 gene:TCM_001737 transcript:EOX92881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MAFVVSYFSLSLILLVLLSSSSAANAQLSPKFYANSCPNLQTIVRNAMTQAVNRETRIGASILRLFFHDCFVNGCDASILLDDTATFTGEKNAAPNRNSARGFEVIDTIKTSVEAACSATVSCADILALAARDGVVLLKGPSWQVPLGRRDARTASQSAANNQLPSPFSNLSALTSSFAAKGLSARDLTALSGGHTIGLARCTTFRGRIYNDTNIDPNFAANRRANCPASGGDNNLAPLDIQTPTRFDNYYFRNLVAQRGLLHSDQELFNGGSQDALVRTYSTNPEVFSSDFAAAMVRMGNISPLTGTRGEIRRNCRVVN >EOX96166 pep chromosome:Theobroma_cacao_20110822:1:36835126:36845412:-1 gene:TCM_005479 transcript:EOX96166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 family protein isoform 1 MDLSNPATLVALFLNLILTLFTVKFFAAKLREKQKKNKTKYHPVGGTVFNQLLNFKRLHHYMTDLAGKYRTYRLLSPFRSEVYTSEPDNVEYIPKTNFQNYGKGEYNYSLLKGLLGDGIFAVDGDKWRQQRKVSSYEFSTKVLRDFSTVVFRKNAAKLANIVSDAAKSNKIMDIQDLFMKSTLDSIFKVGFGVELDSVCGSNLEGKEFTAAFDDSSAAILFRYVDIFWKIKRFLNIGSEAKLKKSTKVADNFVYKLIHNKIEQMRNSNDSSMKKEDILSRFLQLNDTDPTYVRDIILNFIIAGKDTTATTLSWFIYMLCKHPDVQEKVAQEVKKATDTKEVKDFSEFAAILSEEALGKMHYLHAALTETLRLYPSVPVDAKICLSDDTLPDGFSVRKGDMVCYQPYAMGRMKFIWGDDAEEFRPERWVGEDGMFQPESPFKFTAFQAGPRICLGKEFAYRQMKIFSAVLVHYFVFKLSDENKSVTYRTMITLHVDGGLHVRAFPRCQT >EOX96167 pep chromosome:Theobroma_cacao_20110822:1:36842630:36848672:-1 gene:TCM_005479 transcript:EOX96167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 family protein isoform 1 MDLSNPATLVALFLNLILTLFTVKFFAAKLREKQKKNKTKYHPVGGTVFNQLLNFKRLHHYMTDLAGKYRTYRLLSPFRSEVYTSEPDNVEYIPKTNFQNYGKGEYNYSLLKGLLGDGIFAVDGDKWRQQRKVSSYEFSTKVLRDFSTVVFRKNAAKLANIVSDAAKSNKIMDIQDLFMKSTLDSIFKVGFGVELDSVCGSNLEGKEFTAAFDDSSAAILFRYVDIFWKIKRFLNIGSEAKLKKSTKVADNFVYKLIHNKIEQMRNSNDSSMKKEDILSRFLQLNDTDPTYVRDIILNFIIAGKDTTATTLSWFIYMLCKHPDVQEKVAQEVKKATDTKEVKDFSEFAAILSEEALGKMHYLHAALTETLRLYPSVPVDAKICLSDDTLPDGFSVRKGDMVCYQPYAMGRMKFIWGDDAEEFRPERWVGEDGMFQPESPFKFTAFQAGPRICLGKEFAYRQMKIFSAVLVHYFVFKLSDENKSVTYRTMITLHVDGGLHVRAFPRCQT >EOX96168 pep chromosome:Theobroma_cacao_20110822:1:36828165:36845373:-1 gene:TCM_005479 transcript:EOX96168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 family protein isoform 1 MDLSNPATLVALFLNLILTLFTVKFFAAKLREKQKKNKTKYHPVGGTVFNQLLNFKRLHHYMTDLAGKYRTYRLLSPFRSEVYTSEPDNVEYIPKTNFQNYGKGEYNYSLLKGLLGDGIFAVDGDKWRQQRKVSSYEFSTKVLRDFSTVVFRKNAAKLANIVSDAAKSNKIMDIQDLFMKSTLDSIFKVGFGVELDSVCGSNLEGKEFTAAFDDSSAAILFRYVDIFWKIKRFLNIGSEAKLKKSTKVADNFVYKLIHNKIEQMRNSNDSSMKKEDILSRFLQLNDTDPTYVRDIILNFIIAGKDTTATTLSWFIYMLCKHPDVQEKVAQEVKKATDTKEVKDFSEFAAILSEEALGKMHYLHAALTETL >EOX92985 pep chromosome:Theobroma_cacao_20110822:1:9790481:9792789:1 gene:TCM_001845 transcript:EOX92985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSSAGPLNIYRNDYEIELQMRQIQQEKGDCLTQGHISILPERVHLDLQQNDFTEMVGIWEQWRRAHRDNFQNKYGHIAWLLYVPVDDQMLRAIVQFWDPSYRCFVFNKVDMTPTIEEYSSLLRIDHMQPDKIYWRAQKTGHRRKLAKLLGITTVEVDQHLKKKGDTECLPWSFLNGYIKKHMEDEQGLLAFAMAIYGLVVFSKVLGHVEVSVIDFFDQVTRSINPASSILAETFRSLNFCRRKGEGRFIGCAQLLTIWIKSHFECKESKFRKLYLSASCPILEFCESEWPDYKRKEEWVARLQRLMSIEVTWRAPWMPRMQVMYKCGDKPWVPLMGPWGAISYAPIMVRIQFGSEQFVPMTHQLDQLEFTYGEPETLKRIEEIARDWKKTCRVDQGRVTDEVTTGYHTWHDQRVKNVIHPPKDPSKHPVNPEPQDVLLESELTRKRLEKEMMNMKRRHEDELEEVKKETARKVRVALK >EOX96681 pep chromosome:Theobroma_cacao_20110822:1:38634546:38640644:-1 gene:TCM_005877 transcript:EOX96681 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Folate-sensitive fragile site protein Fra10Ac1 (InterPro:IPR019129); Has 8455 Blast hits to 5700 proteins in 376 species: Archae - 6; Bacteria - 264; Metazoa - 3820; Fungi - 744; Plants - 645; Viruses - 76; Other Eukaryot /.../900 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G15030) TAIR;Acc:AT4G15030] MASFRSLKSAIFDREEKKQQYQAHIRGLNAYDRHKKFLNDYVDFYGKEKSTDVKLPVKTDHDTLREGYRFIRTEEDDMNPSWEQRLVKRYYDKLFKEYCIADMSQYRSGKIGLRWRTEKEVISGKGQFICGNKHCNEKEDLASYEEVKGLHLQLSIMKVMMTTKTLIGSLRACFHESRYQLLRFRRILFFAGYSIGLERV >EOX96680 pep chromosome:Theobroma_cacao_20110822:1:38634558:38640775:-1 gene:TCM_005877 transcript:EOX96680 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Folate-sensitive fragile site protein Fra10Ac1 (InterPro:IPR019129); Has 8455 Blast hits to 5700 proteins in 376 species: Archae - 6; Bacteria - 264; Metazoa - 3820; Fungi - 744; Plants - 645; Viruses - 76; Other Eukaryot /.../900 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G15030) TAIR;Acc:AT4G15030] MASFRSLKSAIFDREEKKQQYQAHIRGLNAYDRHKKFLNDYVDFYGKEKSTDVKLPVKTDHDTLREGYRFIRTEEDDMNPSWEQRLVKRYYDKLFKEYCIADMSQYRSGKIGLRWRTEKEVISGKGQFICGNKHCNEKEDLASYEVNFSYFEAGENKQALVKLVTCERCAEKLHYKKRKEKEELDRKQKKEYKRKRDLSKDKDNTDEECERSKGKKKGKRASSSAVNHESDDDNEDFDRFLEGMFP >EOX91279 pep chromosome:Theobroma_cacao_20110822:1:2172052:2176773:-1 gene:TCM_000523 transcript:EOX91279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein MHLSLWKPISHCAALILDKKSRRRDGSESAAEIKKNPSILRKLHENKLREALEEASEDGSLFKSQDMEPDSLGNQDESLGRSRSLARLHAQREFLRATALAAERIFESEDSIPDVREAFNKFLTMYPKYHSSEKIDQLRSDEYAHLSPKVCLDYCGFGLFSYVQTLHYWESSTFSLSEITANLSNHALYGGAEKGTVEYDIKSRIMDYLNIPEHEYGLVFTVSRGSAFKLLADSYPFHTNKKLLTMFDYESQSVNWMAQSAREKGAKVYSAWFKWPTLKLCSTDLRKQISNKKRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMGSLQNQSGCTGSGMVKITPEYPLYLSDSVDGLDGLGGIEDDEVGANGDKPSESRPGSQLPAFSGAFTSAQVRDVFETEMDPDNSSDRDGASTIFEETESISVGEVMKSPVFSEDESSDNSLWIDLGQSPLGSDSAGQLNKQKIASPLPPFWFSGKKNHKRLSPKPTSKIYGSPIYDDKDVNLGHDDHHVLSFDAAVLSVSQELDRVREIPEEEQLAGTNITSRNHKKTSHYSHVLEIQEEQGTSKPLSVGSVSSSAINGARLNNSSVFRNNGLANGSTSEISSEIKESAIRRETEGEFRLLGRREGNRYNGGRFFGLEDEHPSRGRRVSFSMEEGRKERLSHTLEPGEVSVTSLDDEDYTSDGEYGDGQDWDRREPEITCRHLDHVNMLGLNKTTLRLRFLINWLVTSLLQLKLPSSDGDGRVNLVHIYGPKIKYERGAAVAFNVRDKNRGLINPEIVQKLAEREGISLGIGFLSHIRILDSPRQQRGALNLEDTTLCRPMENGRHDGKSGFIRVEVVTASLGFLTNFEDVYKLWAFVAKFLNTAFIREGTLPTVAEEESET >EOX93085 pep chromosome:Theobroma_cacao_20110822:1:10298389:10313393:-1 gene:TCM_001929 transcript:EOX93085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MGGVEDDEPASKRMKLSSEELRRLSNGSTIKEPVAGSSGDLMARPLQSEGGEEVVGSKGVIKKVEFVRIITKALYSLGYIKSGAHLEEESGIPLHSSVVNVFMRQILEGNWDESVVTLHNIGLTDERTIKSASFLILEQKFFELLDEEKVMDALKTLRTEIAPLCINNGRVRELSSSIVSPSDWFSVRSPKRDIKRARSRTKLLEELQKLLPPTVMIPERRLEHLVEQALVLQRDACMFHNSLEKEMSLYADHQCGRDQIPSQTLQILQAHTDEVWFLQFSHNGKYLASSSNDQSTIIWEVDANGVSLKHILSGHQKPISAVSWSPDDHQLLTCGVEEVVRRWDVSSGECLNVYEKAGLGMVSCGWSPDGKWIFSGVNDKSICMWELEGKELECWKGQRTLKISDLEITSDGKQIISICRETAILLLDREAKVERLIEEDQTITSFSLSRDNRFLLVNLLNQEIHLWNIEGDLKLVSKYKGHKRTRFIIRSCFGGLEQAFIASGSEDSLVYIWHRGTGELIEALPGHSGAVNCVSWNPANPHMLASASDDRTIRIWGLNNLSTKLKDTHSNGIHYCNGGT >EOX93084 pep chromosome:Theobroma_cacao_20110822:1:10298669:10313393:-1 gene:TCM_001929 transcript:EOX93084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MGGVEDDEPASKRMKLSSEELRRLSNGSTIKEPVAGSSGDLMARPLQSEGGEEVVGSKGVIKKVEFVRIITKALYSLGYIKSGAHLEEESGIPLHSSVVNVFMRQILEGNWDESVVTLHNIGLTDERTIKSASFLILEQKFFELLDEEKVMDALKTLRTEIAPLCINNGRVRELSSSIVSPSDWFSVRSPKRDIKRARSRTKLLEELQKLLPPTVMIPERRLEHLVEQALVLQRDACMFHNSLEKEMSLYADHQCGRDQIPSQTLQIQSLATLTRHGGAMATDVGLACRSQMWQSHVCFLVTIVGILQAHTDEVWFLQFSHNGKYLASSSNDQSTIIWEVDANGVSLKHILSGHQKPISAVSWSPDDHQLLTCGVEEVVRRWDVSSGECLNVYEKAGLGMVSCGWSPDGKWIFSGVNDKSICMWELEGKELECWKGQRTLKISDLEITSDGKQIISICRETAILLLDREAKVERLIEEDQTITSFSLSRDNRFLLVNLLNQEIHLWNIEGDLKLVSKYKGHKRTRFIIRSCFGGLEQAFIASGSEDSLVYIWHRGTGELIEALPGHSGAVNCVSWNPANPHMLASASDDRTIRIWGLNNLSTKLKDTHSNGIHYCNGGT >EOX93086 pep chromosome:Theobroma_cacao_20110822:1:10298389:10313393:-1 gene:TCM_001929 transcript:EOX93086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MGGVEDDEPASKRMKLSSEELRRLSNGSTIKEPVAGSSGDLMARPLQSEGGEEVVGSKGVIKKVEFVRIITKALYSLGYIKSGAHLEEESGIPLHSSVVNVFMRQILEGNWDESVVTLHNIGLTDERTIKSASFLILEQKFFELLDEEKVMDALKTLRTEIAPLCINNGRVRELSSSIVSPSDWFSVRSPKRDIKRARSRTKLLEELQKLLPPTVMIPERRLEHLVEQALVLQRDACMFHNSLEKEMSLYADHQCGRDQIPSQTLQILQAHTDEVWFLQFSHNGKYLASSSNDQSTIIWEVDANGVSLKHILSGHQKPISAVSWSPDDHQLLTCGVEEVVRRWDVSSGECLNVYEKAGLGMVSCGWSPDGKWIFSGVNDKSICMWELEGKELECWKGQRTLKISDLEITSDGKQIISICRETAILLLDREAKVERLIEEDQTITSFSLSRDNRFLLVNLLNQEIHLWNIEGDLKLVSKYKGHKRTRFIIRSCFGGLEQAFIASGSEDSLVYIWHRGTGELIEALPGHSGAVNCVSWNPANPHMLASASDDRTIRIWGLNNLSTKLKDTHSNGIHYCNGGT >EOX90954 pep chromosome:Theobroma_cacao_20110822:1:1170453:1171365:-1 gene:TCM_000282 transcript:EOX90954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKLPRRLKTSLKNSETRISWDSQGAFDQNPTLFSNGAWPSSYLLPCRVYLPAVRDLNRAHPEVPIHGSFT >EOX91905 pep chromosome:Theobroma_cacao_20110822:1:4431265:4438572:-1 gene:TCM_000963 transcript:EOX91905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nramp transporter, putative MTSNRQQLPRLLEAAGSYKLQRSAAQLQDLQAYDREDCQTAVTKAVFSYQMQPNLIQLIGTAFALQILFKVPMWVGVLLAGLSTLLLLGLQRYGIRKLEIVIGSLVAVVGGCFFSVMVRASPSAKEIMTGMFVPKLSSDQATKNAIALLGALIVPHKPFLPSALVISRKIPHSFEGIRIRNALGDWSSKPYAISLLASGQSSTTNLKLALLFSPRGFLGMKMELWLRNLITRFIAIAPSLLACIIGGSSGPARLIIIASVTLITWLFVTGINMYFISASFMGWTKNNNRTGDYATSILAGIVVIPIMMLYTTNSVI >EOX93488 pep chromosome:Theobroma_cacao_20110822:1:13327747:13329280:1 gene:TCM_002351 transcript:EOX93488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein phosphatase, putative MPARILKQIRKLKLDSLPNPRHIWKQNSQLDHVLPNLETLEVWRCDGLISLGSSSVSFQNLTTLDVWQCKGIVTLITFSAARNLVQLVKMRIRECIMLTGIVSNWGDGSETEIIFSKLKCLELHYVPNLKSFCSGKYAFQFPSLEKIIVRQCPKLKIFCQGVLSTPELHKVQLAEEDYKGFWAGDLNTTIYQLHENLVRYYEPEYLKLHEFPELQEIWNKIPQGIMDFKRLKFLELYNCNNLSYIITAPMTLELVQLQQIKVKDCKAIKESSKMREQLQRRSYALSLTQ >EOX94549 pep chromosome:Theobroma_cacao_20110822:1:30043195:30055388:1 gene:TCM_004179 transcript:EOX94549 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MIPPVDFYHCLQTDITINMQLQDMQRDHNSKPTFTQIMVASSIGFIIAAAVRYHLRKLRDQKIIPRLRSRDKGHGRIEKLERFPHYVARQMGFKDRRECPLLCKLASEYIRKSEGCEDDIYTFFSNEPDVDSLFVKLVEEFERCILSYFAFHWSHADLMISQVLSSDVEPKKKLKQIVMAATREQRFERVTKNLKVARVFTTLVEEMKAMGLTSTDDSQCTEVMAPVAHSDRSPVLLLMGGGMGAGKSTVLKDILKEPFWAGAAGNAVVIEADAFKESDVIYRALSSTGHVDMVHTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWIPFVVQTITMARCVHRRRYRMGAGYKEGPDGTVTENYWEQIEEEDQVQEGGKMRKPYRIELVGVVCEAYLAVIRGIRRAIMCRRAVRVKSQLTSHKRFANAFPTYCQLVDNARLYCTNALEGPPKLIGWKDRDKTLLVDPDEIHCLKKVGRLNEKAESIYELYKHPNPACEAGSIWKDIVLSPSRLNIQQELKYSIQKVERMAQL >EOX96764 pep chromosome:Theobroma_cacao_20110822:1:38913338:38914473:1 gene:TCM_005942 transcript:EOX96764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLLLITQPFFYINSSISQAAFSSRHGKGVPHRLELSMQLSNTWGFRKVIILSVAYYLQIIPRHQYSKGVKCHLENNEYPRSCEKAFLASYQNLPTSNLFPQQKKAEDDEEAILYQRQSHASIKDHQVGYHLSGSHPSFCIQAEKHVRNLPYGPIAISNNKYK >EOX95524 pep chromosome:Theobroma_cacao_20110822:1:34549326:34552520:-1 gene:TCM_005001 transcript:EOX95524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MRNGRTGLSSVSSPLLKSPSIHLGNILLIASLTKTLSESGTRNLDPNSIPISEPLVIQILRKHSLEPSKKLDFFNWCRSVKPNFKHSAVTYSHIFRTLCRSGFVEEVPNLLFAMKEDGVLVDSDTFKFLLDAFIRSGKFDSALEILDFMEELGAGLNLRVYDSVLVALIRKDQVGLALSLFFKLLEACNGNDDGNSVDSSLPGSIAINELLVALRKAHMRREFKQVFDILREKREFEFDTCGYNICIHSFGCWGDLGASLKLFKEMKEKEKSFGSFGPDLCTYNSLIDVLCLVGKVKDALVVWEELKVSGHEPDAFTYRILIQGCSKSYRMDDATKIFSEMQYNGFAMDTVVYNSLLNGLFKARKVMEACQFFEKMVQDGVRASCWTYNILIDGLFRNGRAEAAYTLFCDLKKKGQFVDGITYSIVVLQLCREGQLEGALRLVEEMEARGFIVDLVTITSLLIGFHKQGRWDWTERLMKHIRDGNLVPNVLKWKANMEASMKNPPKNRKDYTPLFPSKGDFREIMNLLGSVGQAMGTNLDSEDCDEKDQEKPSIDTDQWSSSPYMDQLANQGKSTERSSQLFSLIRGQRVQEKGIGSFDVDMVNTFLSIFLAKGKLSLACKLFEVFTDMGVDPVSYTYNSIMSSFVKKGYFNEAWGVLNEMDEKVCPADIATYNLIIQGLGKMGRADIASSVLDKLMKQGGYLDVVMYNTLVNALGKAGRVDEASKLFEQMRTSGINPDVITYNTLIEVHTKAGQLQDAYKFLKMMLDAGCSPNHVTDTILDNLGKEIEKMRLQKASMVRTDNGDET >EOX93631 pep chromosome:Theobroma_cacao_20110822:1:14452212:14455456:-1 gene:TCM_002520 transcript:EOX93631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endomembrane protein 70 protein family MTVSESKMPGICSFFVLVILFVHSCHGFYLPGSYMHTYSTSDTIVAKVNSLTSIETELPFSYYSLPYCKPLGGIKKSAENLGELLMGDQIDNTPYRFRMNVNESLYLCTTNALNEHEVKLLKQRTRDLYQVNMILDNLPVMRIAKQNGINIQWTGFPVGYTPPNSNDDYIINHLKFKVLVHEYEGSGVEIIGTGEEGMGVISEADKKKASGFEIVGFEVVPCSVKYDPEVMTKLHMYDNISSVNCPLELEKSQIIREHERISFTYEVEFVKSDIRWPSRWDAYLKMEGARVHWFSILNSLMVITFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPDCPKLLCVMIGDGVQITGMAAVTIVFAALGFMSPASRGMLLTGMIILYLFLGIAAGYVAVRLWRTLKGTSEGWRSVSWSVACFFPGIVFVILTVLNFILWGSKSTGAIPISLYFILLSLWFCISVPLTLVGGFLGTRAEAIQYPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLLLIIVCAEVSVVLTYMHLCVEDWRWWWKAFCASGSVALYVFLYSINYLVFDLQSLSGPVSAILYLGYSMIMAIAIMLSTGTIGFITSFYFVHYLFSSVKID >EOX91827 pep chromosome:Theobroma_cacao_20110822:1:4047269:4054481:-1 gene:TCM_000899 transcript:EOX91827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MASPSPSSTLPKEEEKESSAQQSNAQPEAMARSSSTKDPPPAPSWFTPKRLLIIFCVINMINYVDRGAIASNGVNGSARSCDDKGICTSGSGIQGEFQLTNFEDGLLSSAFMVGLLVASPIFASLAKSHNPFRLIGVGLSVWTLAAAGCGSAFSFWFIAICRMLVGVGEASFISLAAPFIDDNAPASQQKTAWLAMFYMCIPSGVALGYVYGGFVGGHFNWRYAFWGEALLMLPFAVFGFVVKPLQLKGFAHVESKKALTSVETVSAVNDDSEASKVDGRSLVGRDGISADDLNTPCKSVGICNIRNQFSRFAKDMKVLLVDKVYVINVLGYIAYNFVIGAYSYWGPKAGYNIYHMKSADMMFGGITIVCGILGTISGGFILDRMSATISHAFILLSGATFLGAIFCFLAFCLRSLYGFIVLFAVGELLVFATQAPVNYVCLHCVKPSLRPLSMAISTVSIHIFGDVPSSPLVGVLQDHVNNWRETALILTSILFLAAGIWFIGVFLHGVDKSNDESESQVSANAVANRKPLLDGNEDESPCEV >EOX91828 pep chromosome:Theobroma_cacao_20110822:1:4047095:4054581:-1 gene:TCM_000899 transcript:EOX91828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MASPSPSSTLPKEEEKESSAQQSNAQPEAMARSSSTKDPPPAPSWFTPKRLLIIFCVINMINYVDRGAIASNGVNGSARSCDDKGICTSGSGIQGEFQLTNFEDGLLSSAFMVGLLVASPIFASLAKSHNPFRLIGVGLSVWTLAAAGCGSAFSFWFIAICRMLVGVGEASFISLAAPFIDDNAPASQKTAWLAMFYMCIPSGVALGYVYGGFVGGHFNWRYAFWGEALLMLPFAVFGFVVKPLQLKGFAHVESKKALTSVETVSAVNDDSEASKVDGRSLVGRDGISADDLNTPCKSVGICNIRNQFSRFAKDMKVLLVDKVYVINVLGYIAYNFVIGAYSYWGPKAGYNIYHMKSADMMFGGITIVCGILGTISGGFILDRMSATISHAFILLSGATFLGAIFCFLAFCLRSLYGFIVLFAVGELLVFATQAPVNYVCLHCVKPSLRPLSMAISTVSIHIFGDVPSSPLVGVLQVFSYTVSTSLMMKVKAKSRQTQ >EOX91826 pep chromosome:Theobroma_cacao_20110822:1:4047644:4054365:-1 gene:TCM_000899 transcript:EOX91826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MASPSPSSTLPKEEEKESSAQQSNAQPEAMARSSSTKDPPPAPSWFTPKRLLIIFCVINMINYVDRGAIASNGVNGSARSCDDKGICTSGSGIQGEFQLTNFEDGLLSSAFMVGLLVASPIFASLAKSHNPFRLIGVGLSVWTLAAAGCGSAFSFWFIAICRMLVGVGEASFISLAAPFIDDNAPASQKTAWLAMFYMCIPSGVALGYVYGGFVGGHFNWRYAFWGEALLMLPFAVFGFVVKPLQLKGFAHVESKKALTSVETVSAVNDDSEASKVDGRSLVGRDGISADDLNTPCKSVGICNIRNQFSRFAKDMKVLLVDKVYVINVLGYIAYNFVIGAYSYWGPKAGYNIYHMKSADMMFGGITIVCGILGTISGGFILDRMSATISHAFILLSGATFLGAIFCFLAFCLRSLYGFIVLFAVGELLVFATQAPVNYVCLHCVKPSLRPLSMAISTVSIHIFGDVPSSPLVGVLQDHVNNWRETALILTSILFLAAGIWFIGVFLHGVDKSNDESESQVSANAVANRKPLLDGNEDESPCEV >EOX91829 pep chromosome:Theobroma_cacao_20110822:1:4047328:4054640:-1 gene:TCM_000899 transcript:EOX91829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MASPSPSSTLPKEEEKESSAQQSNAQPEAMARSSSTKDPPPAPSWFTPKRLLIIFCVINMINYVDRGAIASNGVNGSARSCDDKGICTSGSGIQGEFQLTNFEDGLLSSAFMVGLLVASPIFASLAKSHNPFRLIGVGLSVWTLAAAGCGSAFSFWFIAICRMLVGVGEASFISLAAPFIDDNAPASQKTAWLAMFYMCIPSGVALGYVYGGFVGGHFNWRYAFWGEALLMLPFAVFGFVVKPLQLKGFAHVESKKALTSVETVSAVNDDSEASKVDGRSLVGRDGISADDLNTPCKLGELLVFATQAPVNYVCLHCVKPSLRPLSMAISTVSIHIFGDVPSSPLVGVLQDHVNNWRETALILTSILFLAAGIWFIGVFLHGVDKSNDESESQVSANAVANRKPLLDGNEDESPCEV >EOX91637 pep chromosome:Theobroma_cacao_20110822:1:3370121:3372716:-1 gene:TCM_000761 transcript:EOX91637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEKLLRPYDKECMRMAMLKHEETFKEQQVYELHRLYRIQKTLMKSIENGRHNGGFLQNDHHGNMHQNSRMRLDLERPAEEYNIAEADHGNRMLEVIDESEIELTLGPTRYVPRRKHGTPPTSDSGPSFSSSSTESSHMNRTSSMTKRKTNTTTGELSGRDQLGLFQVTDMTLGYQSGSKNNIDLEEQLRQERLKQPPWLYQVLSMNIT >EOX91636 pep chromosome:Theobroma_cacao_20110822:1:3370388:3372969:-1 gene:TCM_000761 transcript:EOX91636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEKLLRPYDKECMRMAMLKHEETFKEQVYELHRLYRIQKTLMKSIENGRHNGGFLQNDHHGNMHQNSRMRLDLERPAEEYNIAEADHGNRMLEVIDESEIELTLGPTRYVPRRKHGTPPTSDSGPSFSSSSTESSHMNRTSSMTKRKTNTTTGELSGRDQLGLFQVTDMTLGYQSGSKNNIDLEEQLRQERLKQPPWLYQVLSMNIT >EOX95871 pep chromosome:Theobroma_cacao_20110822:1:35846508:35851042:1 gene:TCM_005269 transcript:EOX95871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein MVSVNPNPAQGFYFFDPMNMGLPGLKTLPPANTVAPPHPPPANSMSTSTAGNTAPYSEDPSKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKASHPYPQKAPKSAPVVSQAAGPFQSSAALLESGYTFRPDSSSVLGNPVATASLSSWSYNSVPTVAMSQATKDDAVLAGPTVAHNACYSSSNESTPRTWSFGEAIDLGDHGKKSRVIPDFSQVYSFIGSVFDPSASGHLQKLKQMDPINLETVLLLMRNLSVNLTSPEFEDHRRLLSSYDAESERVKLGSSYKDIHTRKSASAVPTA >EOX93669 pep chromosome:Theobroma_cacao_20110822:1:14900488:14903168:-1 gene:TCM_002569 transcript:EOX93669 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein MEEPLMDGATSIMHLPDDCLSFIFNYLDCRSDRESFGLTCHRWLNIQNLNRRSLQFPCSFSIIGPSSLSQSSIDINSFHLYKILTRFQHLEYLSLSGCIELPDSGLTYLKYYGSRLQTLCLDCCFGITDYGLSLVGIGCPSLTVISLYRCNTTDAALEALASTCLALKHVNLAYCPRISDSGLRALSQGCCQLSAIKISNCRAVSGVGFRGCSSTLVYLDAEFCNLELEGIMGIVSGGGLKFLNIAGLSSLSLKDELAAIGNGFAAGLKILSLRMCRTVSDASIVAIAKGCPLLTEWNLALCHEVRFSGWASIGLNCHNLEKLHVNRCRNLCDRGLQALRDGCERLSVLYMNRNSRISDIAVELFKLYRGDVEIKGEEVMSIGPHWDRLDYDE >EOX94905 pep chromosome:Theobroma_cacao_20110822:1:31948817:31951164:1 gene:TCM_004505 transcript:EOX94905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein MAEIRPEQQTTTISKTFLIKPKLKPKPRTQSQTPESKYWSSFKSTQIPRLISSISSLSFSPSPPHIFAAAHSTSLSLFSPLSLSHDNVSPSSAISSFTDVVSSLSFRLDGLLLAASDFSGLIQVFDVKTRTPLRRLRSHIRPVRFVKYPVLDKLHLLSGGEDAVVKFWDVAGESVVLDLMGHKDYVQCGDCSPVSADMFITGSYDHTVKVWDVRVENSRSVLEVNHGKPVEDVIYLPSGGLIATAGGNSVKIWDLIGGGRMVYSMESHNKTVTSICVGRVGKENGGGEAMEDRILSVGLDGYMKVFDYGKMKITHSMRFPAPLMSVSFSPDCRTRVIGSSNGIIFAGRRKGKENVESGGGDLLGFGSIAEPGRRVLKPTYFRYFHRGQSEKPAEGDYLVMRTKKVKLAEHDKLLKKFRHKDALVSVLGRKNPENVVAVMEELVARKKLLKCVSNLDAEELGLLLMFLHKHATMPRYSGLLMGLTQKVIEMRAEDIRSSDALKGHIRNLKRSIEEEIRIQHSLLEIQGIISPLLRIAGRT >EOX90683 pep chromosome:Theobroma_cacao_20110822:1:332368:335756:1 gene:TCM_000079 transcript:EOX90683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin B2,1 isoform 4 MDHISDENNQTNFVKPTNFQEGGAVMGSRKFGQETRHNRRALSVINQNLVGAKAYPCVVNKRGLSERNENFENNRLDPVHRPITRKFAAQIFSSQRHCPEETKKLKPSVPSSNEFGDCIFIDVEEHKASVDHPVPMFLEETETRLSEPQEMEEIEMEDIVEEPVVDIDGSDAKNPLAVVDYVEDMYAYYRKMETFSCVSPNYMAQQSDINEKMRAILIDWLIEVHDKFDLMGETLFLTVNLIDRFLSQQTVVRKKLQLVGLVAMLLACKYEEVSVPVVGDLILISDKAYSRKEVLEMERLMLNTMQFNMSFPTPYVFMRRFLKAAQSDKKQVELLSFFLIELALVEYEMLKFQPSLLAAAAIYTAQCSLYGFKQWSKTCEWHSSYSEDQLLECSRLMVGFHQKAATGKLTGVHRKYCTSKFDYTAKCEPAKFLVETLP >EOX90684 pep chromosome:Theobroma_cacao_20110822:1:332538:335634:1 gene:TCM_000079 transcript:EOX90684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin B2,1 isoform 4 MKILKTTGLIQCTDLLPGSLLPKFLVVNGIAPRETKKLKPSVPSSNEFGDCIFIDVEEHKASVDHPVPMFLEETETRLSEPQEMEEIEMEDIVEEPVVDIDGSDAKNPLAVVDYVEDMYAYYRKMETFSCVSPNYMAQQSDINEKMRAILIDWLIEVHDKFDLMGETLFLTVNLIDRFLSQQTVERLMLNTMQFNMSFPTPYVFMRRFLKAAQSDKKVELLSFFLIELALVEYEMLKFQPSLLAAAAIYTAQCSLYGFKQWSKTCEWHSSYSEDQLLECSRLMVGFHQKAATGKLTGVHRKYCTSKFDYTAKCEPAKFLVETLP >EOX90685 pep chromosome:Theobroma_cacao_20110822:1:332368:335637:1 gene:TCM_000079 transcript:EOX90685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin B2,1 isoform 4 MDHISDENNQTNFVKPTNFQEGGAVMGSRKFGQETRHNRRALSVINQNLVGAKAYPCVVNKRGLSEEEIEMEDIVEEPVVDIDGSDAKNPLAVVDYVEDMYAYYRKMETFSCVSPNYMAQQSDINEKMRAILIDWLIEVHDKFDLMGETLFLTVNLIDRFLSQQTVVRKKLQLVGLVAMLLACKYEEVSVPVVGDLILISDKAYSRKEVLEMERLMLNTMQFNMSFPTPYVFMRRFLKAAQSDKKVELLSFFLIELALVEYEMLKFQPSLLAAAAIYTAQCSLYGFKQWSKTCEWHSSYSEDQLLECSRLMVGFHQKAATGKLTGVHRKYCTSKFDYTAKCEPAKFLVETLP >EOX90682 pep chromosome:Theobroma_cacao_20110822:1:331740:335756:1 gene:TCM_000079 transcript:EOX90682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin B2,1 isoform 4 MSKSNDLNLKLLILISYSIYSTNMDHISDENNQTNFVKPTNFQEGGAVMGSRKFGQETRHNRRALSVINQNLVGAKAYPCVVNKRGLSERNENFENNRLDPVHRPITRKFAAQIFSSQRHCPEETKKLKPSVPSSNEFGDCIFIDVEEHKASVDHPVPMFLEETETRLSEPQEMEEIEMEDIVEEPVVDIDGSDAKNPLAVVDYVEDMYAYYRKMETFSCVSPNYMAQQSDINEKMRAILIDWLIEVHDKFDLMGETLFLTVNLIDRFLSQQTVVRKKLQLVGLVAMLLACKYEEVSVPVVGDLILISDKAYSRKEVLEMERLMLNTMQFNMSFPTPYVFMRRFLKAAQSDKKVELLSFFLIELALVEYEMLKFQPSLLAAAAIYTAQCSLYGFKQWSKTCEWHSSYSEDQLLECSRLMVGFHQKAATGKLTGVHRKYCTSKFDYTAKCEPAKFLVETLP >EOX92151 pep chromosome:Theobroma_cacao_20110822:1:5504758:5507291:-1 gene:TCM_001147 transcript:EOX92151 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor, putative MAAAIDMYNSSSSSVFSDPFREELMKALEPFMKSASSTSPLASSSSSSSSPSSSPTCSFFSYPSCSYSQLTSPSQPNMYPEFCSPSSTHLFSNHGFSNYNNLMGFEQTGSIGLNQLTPSQILQIQAQIYQQQQQQQQQQLASMATTSSLQNQRLNFLSPKAVPMKRVSTPSKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGEFARLNFPHLKHQGAHVSGEFGDYKPLHSSVDAKLQAICQSLQKQGNSGKTCSVSDSKPNSVVPSQPKVEFDYPVKTEELDQSPRFSDPKVENSLSSSSSPELSDESLAGSSSPESDISFLDFSDSKWEDNENFGLEKYPSVEIDWEAIRELSES >EOX90884 pep chromosome:Theobroma_cacao_20110822:1:915889:918062:1 gene:TCM_000228 transcript:EOX90884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl cis/trans isomerase MKERHVSSLPKAAKIDSDRKRKKASISSADRTEAAEKQKGKNPTSTSNHHHQSHHHRKKSKMSSSTAANQVRAAHILIKHQGSRRKASWKDPEGRVISNTTRDAAVSQLKALRDDIVSGKAKFDEVASRYSDCSSAKRGGDLGPFGRGQMQKPFENATYALKVGEISDIVDTDSGVHIIMRTG >EOX93620 pep chromosome:Theobroma_cacao_20110822:1:14391651:14393032:1 gene:TCM_002510 transcript:EOX93620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARIFEAMENDESIVNLSGGILLLCQLFIAISIISMIIFGCVDGANNDNENKEKKDNEKDKPPPNQVTIGCCDGGCCSTGDGGDGGGCGGCGGD >EOX91865 pep chromosome:Theobroma_cacao_20110822:1:4203771:4211939:-1 gene:TCM_000926 transcript:EOX91865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zincin-like metalloproteases family protein MRITRHLPNRVLFKTTLVMVNVLMATTRFSLSRSVHPIPKFSSPSPHFTPKLLRKSYACPLWSSSFSFCLESFHHSTSPSLSFSSFSSCSSLSPPSMASSASIDENMESNPLLQDFDFPPFDVVEAKHVRPGIRALLKKLENDLDELEKTVEPSWPKLVEPLEKIVDRLTVVWGMVNHLKSVKDTAELRAAIEEVQPEKVKFQLRLGQSKPIYNAFKAIKESPDWQSLSEARKRIVETQIKEAVLNGVSLEDDKREEFNKIEQELERLSHKFSENVLDATKKFEKLITDKKEIEGLPATALGLAAQTAVSKGHENATAENGPWMITLDAPSFISVMQHARNRALREEVYRAYVTRASSGDLDNTPIINQILQLRLEKAKLLNYKNYAEVSMATKMATVNKAEELLEKLRSASWNAAVQDVEDLKDYSKSQGALEADNLSHWDINFWSERLRESKYNINEEELRPYFSFSKVMDGLFNLAKTLFGIDIEPADGLAPVWNKDVRFYCVKDSSGSPIAYFYFDPYSRPSEKREGAWMDEVVSRSHVLSSNGTTARLPVAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRGIEWDAVELPSQFMENWCYHRETLMSIAKHYETGETLPEEVYLKLLAARTFRAGSLSLRQLRFASVDLELHTKYIPGGSESVYDVDQRVSKRTQVIPPLPEDRFLCGFNHIFAGGYAAGYYSYKSGQKCCLQMLSQHLRMLDWKTARLLKKLATSSGRPFLLLEVEKHH >EOX94835 pep chromosome:Theobroma_cacao_20110822:1:31658800:31663483:-1 gene:TCM_004450 transcript:EOX94835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pale cress protein MEAKLLPLTGAPPLQSFLSPTNVRISRLKTTYPSGAVLRRSMNKEEQLLEGMPKEYYDDEWQARQWEKTKELHRRRQEEEEEEERKIEEYREIGTRLMGYPEEDVRKARKLVSSFIRAEQEVEEKIEEAAERGELTELVLMVIWNRLDLARRDDEKDAIRSLDLLYRRVETEILKREATPAMRLLNDLLNMHDGFNDEGWLKECKKRMVDTFPCEDPFSILVPAGFDIDKHHGPLSLPLEADDVLLRVDFVREVDALLQEVRSEQNEAQSIEGLDPESVAVRLKEQEKKQTIHQVETLLDLAINLKW >EOX94992 pep chromosome:Theobroma_cacao_20110822:1:32342372:32349447:-1 gene:TCM_004570 transcript:EOX94992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDTIHLDNDMKGIEDESAEQLLASCSFLDKIFGDPEMIPRVGDEYQAKIPPLVGECHSLQVINKPIDSEVIISVPNPFPMGLPIPFIWTSTEVESTGGAFEFENSEESQITSSHGCKEYKVQALDSVLGDGKDMRGCSKHQPTTGTEKMDVDLHFPQEPKSKLNQVDRGPYPLPGSPGEVWKDIEHDSFLLGLYIFGKNLVLVKNFVKSKGMGEILSFYYGKFYRSDGYRRWSECRKLRGRRGIHGQKLFTGWRQQELLSRLFSHLSKDCQDMLLEVSKTFGEGKISFEEYVFTIKNAVGIHTLIEAIGIGKGKQDLTGNAMEPVKANHVVSVRPEIPVGKACSALTSADIIKFLTGGFRLSKARSSDLFWEAVWPRLLARGWHSEQSKDHVFSGSKNSLVFLIPGVKKFSRRRLVKGNHYFDSVPDVLNKVASEPWLLELEIEVTKGSREKEENKWDPVIKQDPGFMSNKCNGYLKPRNSGCNRDLMKFTIVDTSSVEGRERSKVRELRSLPLEATSLSSPSSISSDCEDTSSDSEDEAEETSTSTAAEVMADRGECVDLSDCVNSNSNVGIPHASGTTILSVENYESHNTSLLDEEEQKVMKYLAPVTKQQGSTDYIHEESICSVENISADRILNDDNSLCRSNSPDACEDTAFQMGSQNLSPASSLAKGSPDERKEGTVTGNCPHREESPTKTQSHTLIDLNVPQVSIDMETDEPFLMETMQNSDNSCAHMSFIQSETTVQPEPLKLPDKDAEVNQQPIMHNRRQSTRNRPLTTKALEALECGFFSPKRKRRAAEAPQNNSRRVRARPAVSAIFRNGASNPNIEENKDGFCSTKK >EOX96525 pep chromosome:Theobroma_cacao_20110822:1:38125143:38129846:-1 gene:TCM_005759 transcript:EOX96525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKGFKGSSSLYSVFGSFLFRGLRDRDYFVTLLIDEVILLVYVRSGEPVCSCLFCQAGFHFMPMRVSGSSSSRLSMVLQGRLAVKELLVSRGILKSEAAICLICRSEIESVGHLFFNCSETWRVWQKWCALWKTEWVSYSKLEIWFQRWNNAASCFENGLVWRMAFYAITLSIWITRNDMVFKGKSWDSSQVSELVIVRIAWWMHAKWPEVNLGVDDLIKDPCNATNPRRVSHAGIGSILKDWSGDVMALFSKSMGMIDSNLEELLAVKEAAVIFAASTWRSSVKLMIECDSSNVVKWVRNPSVVPWRLRKEIIFIHNMLTRIDEWQIGHILRSANGDADTLAKGGVQRA >EOX95905 pep chromosome:Theobroma_cacao_20110822:1:35959758:35961261:1 gene:TCM_005293 transcript:EOX95905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MPIISFPQHRCLNSKALSQISTLHCFKTKQREENFTTLKSLSTFSLFLSLNLKMSISIEFMHIAVIVISLLLGSLNVHSLPCEDSRCKTGSCNSTGACICNLPDPSTILGGDRPFLGGEYCDEEMTMCDGTNSFWCQNGGICEEIVQGEDYSCKCPPGYAGEHCEHRGAPCGRIFCFHEAECLAESDVCECSLNWKGSADCSLPTKNRTGQLSSPTLFII >EOX95904 pep chromosome:Theobroma_cacao_20110822:1:35959578:35961692:1 gene:TCM_005293 transcript:EOX95904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MPIISFPQHRCLNSKALSQISTLHCFKTKQREENFTTLKSLSTFSLFLSLNLKMSISIEFMHIAVIVISLLLGSLNVHSLPCEDSRCKTGSCNSTGACICNLPDPSTILGGDRPFLGGEYCDEEMTMCDGTNSFWCQNGGICEEIVQGEDYSCKCPPGYAGEHCEHRGAPCGRIFCFHEAECLAESDVCECSLNWKGSADCSLPTKNRTDSFMNSTMSQLPHWGSSNSGTSWIVVVVAISFSVGAVAGGAIYAKKLFGKKESAPKFQQLSRMQSHGILDDDEGDSMVPEMTRNGNQRL >EOX95041 pep chromosome:Theobroma_cacao_20110822:1:32696781:32699431:1 gene:TCM_004627 transcript:EOX95041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamin pyrophosphokinase1 isoform 1 MPRLFPQEDASDVRRRYKPDVIKGDMDSIRTEVLDFYTTLGTEIIDKSHDQDTTDLHKCVTYIRDFAPDLDKSNLCILVAGALGGRFDHEMGNLNVLCRFSSLRIVLLSDDSLIHLLPRTHHHEIHILSSIEGPHCGLIPIGMPSSSSTTTGLQWDLNETEMRFGGLISTSNIVTGEKVTVQSDSDLLWTISIKKL >EOX95040 pep chromosome:Theobroma_cacao_20110822:1:32696561:32699463:1 gene:TCM_004627 transcript:EOX95040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamin pyrophosphokinase1 isoform 1 MDLMHHSSTFLLPTIPSDHRPSLTYALVVLNQNLPRFTPLLWKHAQLRLCADGGANRVYDEMPRLFPQEDASDVRRRYKPDVIKGDMDSIRTEVLDFYTTLGTEIIDKSHDQDTTDLHKCVTYIRDFAPDLDKSNLCILVAGALGGRFDHEMGNLNVLCRFSSLRIVLLSDDSLIHLLPRTHHHEIHILSSIEGPHCGLIPIGMPSSSSTTTGLQWDLNETEMRFGGLISTSNIVTGEKVTVQSDSDLLWTISIKKL >EOX94001 pep chromosome:Theobroma_cacao_20110822:1:18306411:18310963:1 gene:TCM_003029 transcript:EOX94001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MGISHRLLSLCILLLFMLFVYVRASAEKTELNSRLGEEEKVQGMKNSSMADGLDDETWINEHAVDNPEEIASMVDMSIRNSTERRKLGYFSCGTGNPIDDCWRCDRRWYLRRKRLANCGIGFGRNAIGGRDGKYYVVSNPGDDDPVNPKPGTLRHAVIQDRPLWIVFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQFVTNIIIHGVHIHDCKPTGNAMVRSSPSHYGWRTMADGDAISIFGSSHIWIDHNSLSNCADGLIDAIMGSTAITISNNYFTHHNEVMLLGHSDSYVRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWVMYAIGGSANPTINSQGNRYLAPANAFAKEVTKRVATSSNQWRHWNWRSDGDLLLNGAYFTPSGAGAAASYARASSLGAKSSSLVGIITSNAGALTCRHGRMC >EOX93289 pep chromosome:Theobroma_cacao_20110822:1:11929407:11930643:1 gene:TCM_046688 transcript:EOX93289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSQGKGTLTLVKALSLSSPSTCLLKSRMASATPFGTSWECKTSDMKVTFVFSQA >EOX95514 pep chromosome:Theobroma_cacao_20110822:1:34520084:34522338:1 gene:TCM_004993 transcript:EOX95514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MMGFPGDEEAAAEVPVRSSLFRYNSPLVQVSLIGLVCFCCPGMFNALSGMGGGGQVDPTAANNANTALYTTFSVFGILGGGIYNIFGPRITLAVGCSTYVLYAGSFLYYNHHHDQTFPIIAGGLLGVGAGLLWAGQGAIMTSYPTPDRKGTYISLFWTIFNLGGVMGGLIPFILNYHRENSESVNDKTYITFMCFMSAGALISSAILSPDRVVRDDGTRCTNIKYSNVYIEAFEIVKLFRNWKLRLIIPAAWASNFFYTYQFNNFNGLKFNLRTRGLNNVFYWGAQMIGSVGIGYVLDFSFKSRRTRGLVGIGVVAVLGTAIWGGGLASQLNYSFHKLPKRLDFKNSGNDFAGPFVLYFCYGLLDAMFQSLVYWVIGALADNSETLSRYAGFYKGAQSAGAAVAWQVDKHKVPLLNQLIINWALTTLSYPLLGLLVYLAVKDDSKSAEDAETASGFPPPASIKDGYEEVGKSTPAAERDASQVPGKST >EOX94295 pep chromosome:Theobroma_cacao_20110822:1:27550580:27560177:-1 gene:TCM_003879 transcript:EOX94295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MEDDADIYDGIRAQFPLTFGKQQKSQTSLEAIHNATRRSTTDAANNSNNKTKEGLPSLSSSSQAWLDSLRNSKPSNPNTNDSLIGPPRPHAAVPDEDDGDVMVGPPRPPPGSGDDDDDVMIGPPRPPVGPSSDSDEEEEENRYRIPMSNEIILKGHTKIVSALAIDHSGSRVLSGSYDYTVRMFDFQGMNSRLQSFRQLEPFDGHQVRNLSWSPTADRFLCVTGCAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPKTKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRIPVTTCAWDREGKFIVGGIGDGSIQIWNLKPGWGSRPDIYIEKSHSDDITALKFSTDGRILLSRSFDGSLKVWDLRQIKVPLKVFDDLPNHYAQTNIAFSPDEQLFLTGTSIERESTTGGLLCFYDRSKLELVQRVGVSPTCSVVQCTWHPRLNQIFATAGDKSQGGTHVLYDPTLSERGALVCVARAPRKKSVDDFEAPLVIHNPHALPLFRDQPSRKRQREKILKDPIKSHKPELPITGPGYGGRVGASKGSLLTQYLLKQGGMIKETWMEEDPREAILKYADVAAKDPKFIAPAYAQTQPEPVFAKSDSEDEEK >EOX94293 pep chromosome:Theobroma_cacao_20110822:1:27550541:27555342:-1 gene:TCM_003879 transcript:EOX94293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MEDDADIYDGIRAQFPLTFGKQQKSQTSLEAIHNATRRSTTDAANNSNNKTKEGLPSLSSSSQAWLDSLRNSKPSNPNTNDSLIGPPRPHAAVPDEDDGDVMVGPPRPPPGSGDDDDDVMIGPPRPPVGPSSDSDEEEEENRYRIPMSNEIILKGHTKIVSALAIDHSGSRVLSGSYDYTVRMFDFQGMNSRLQSFRQLEPFDGHQVRNLSWSPTADRFLCVTGCAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPKTKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRIPVTTCAWDREGKFIVGGIGDGSIQIWNLKPGWGSRPDIYIEKSHSDDITALKFSTDGRILLSRSFDGSLKVWDLRQIKVPLKVFDDLPNHYAQTNIAFSPDEQLFLTGTSIERESTTGGLLCFYDRSKLELVQRVGVSPTCSVVQCTWHPRLNQIFATAGDKSQGGTHVLYDPTLSERGALVCVARAPRKKSVDDFEAPLVIHNPHALPLFRDQPSRKRQREKILKDPIKSHKPELPITGPGYGGRVGASKGSLLTQYLLKQGGMIKETWMEEDPREAILKYADVAAKDPKFIAPAYAQTQPEPVFAKSDSEDEEK >EOX94294 pep chromosome:Theobroma_cacao_20110822:1:27550582:27555294:-1 gene:TCM_003879 transcript:EOX94294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MEDDADIYDGIRAQFPLTFGKQQKSQTSLEAIHNATRRSTTDAANNSNNKTKEGLPSLSSSSQAWLDSLRNSKPSNPNTNDSLIGPPRPHAAVPDEDDGDVMVGPPRPPPGSGDDDDDVMIGPPRPPVGPSSDSDEEEEENRYRIPMSNEIILKGHTKIVSALAIDHSGSRVLSGSYDYTVRMFDFQGMNSRLQSFRQLEPFDGHQVRNLSWSPTADRFLCVTGCAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPKTKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRIPVTTCAWDREGKFIVGGIGDGSIQIWNLKPGWGSRPDIYIEKSHSDDITALKFSTDGRILLSRSFDGSLKVWDLRQIKVPLKVFDDLPNHYAQTNIAFSPDEQLFLTGTSIERESTTGGLLCFYDRSKLELVQRVGVSPTCSVVQCTWHPRLNQLGIKAKEGHMCCTIQPLAREVLLFVLHVHLGRNLLMILRHRL >EOX93390 pep chromosome:Theobroma_cacao_20110822:1:12588567:12593162:-1 gene:TCM_002244 transcript:EOX93390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat and BTB/POZ domain-containing protein 1 isoform 3 MPPSPPHSSSWTISPDLDDIDLDASDFTASVPLKKVPNGDIFEASRAGDVDRLRYLLESGVNVNARDNWDSVALYYACLAGHLDAARMLLENGAICSEHTFDGDRCHYAALNLKVRKLLKAFEARPPPLGPLQGALRDTFLSCGANQAYLDQAAESGLHFEVSGLASNGASSSYQFPPDVVFFVQGRPIEAHRVILSARSPFFKRKFETDWKDRSEVRFSREKLSYPALYSLIHFFYSDRLEVAVDDMEDLVRICKVCKCDSLQRVLEKELIHQKYAEYKALRDVDNSQKRFILQGLSLPEEDRLPAALHRVLQISLAKSPKECNLDNGVDTLQYYVGAMQISDSLDDLADVCVRVDKRIFRCHQVVLASRSEYFNARLSRMKDFHEWKDELTSDTLPFLEEHDLSAEAFEKMIEYMYTDGLTDIDPDQQAEEMFDAASRYLLFPLKRAVADVLLPHLEMVSPAELCHWLILSDMYGVLKIRESCLDTIACNFETFADICEFRAMLLTLPPPSGDSSLRTTVPSAPGAAINTDQANLLDDLREKWLEAEGAELDKRDESALLFDKRLEMLMLVAEQEKSVPSAEDGEDCII >EOX93389 pep chromosome:Theobroma_cacao_20110822:1:12588452:12593331:-1 gene:TCM_002244 transcript:EOX93389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat and BTB/POZ domain-containing protein 1 isoform 3 MPPSPPHSSSWTISPDLDDIDLDASDFTASVPLKKVPNGDIFEASRAGDVDRLRYLLESGVNVNARDNWDSVALYYACLAGHLDAARMLLENGAICSEHTFDGDRCHYAALNLKVRKLLKAFEARPPPLGPLQGALRDTFLSCGANQAYLDQAAESGLHFEVSGLASNGASSSYQFPPDVVFFVQGRPIEAHRVILSARSPFFKRKFETDWKDRSEVRFSREKLSYPALYSLIHFFYSDRLEVAVDDMEDLVRICKVCKCDSLQRVLEKELIHQKYAEYKALRDVDNSQKRFILQGLSLPEEDRLPAALHRVLQISLAKSPKECNLDNGVDTLQYYVGAMQISDSLDDLADVCVRVDKRIFRCHQVVLASRSEYFNARLSRMKDFHEWKDELTSDTLPFLEEHDLSAEAFEKMIEYMYTDGLTDIDPDQAEEMFDAASRYLLFPLKRAVADVLLPHLEMVSPAELCHWLILSDMYGVLKIRESCLDTIACNFETFADICEFRAMLLTLPPPSGDSSLRTTVPSAPGAAINTDQANLLDDLREKWLEAEGAELDKRDESALLFDKRLEMLMLVAEQEKSVPSAEDGEDCII >EOX93391 pep chromosome:Theobroma_cacao_20110822:1:12589153:12593126:-1 gene:TCM_002244 transcript:EOX93391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat and BTB/POZ domain-containing protein 1 isoform 3 MPPSPPHSSSWTISPDLDDIDLDASDFTASVPLKKVPNGDIFEASRAGDVDRLRYLLESGVNVNARDNWDSVALYYACLAGHLDAARMLLENGAICSEHTFDGDRCHYAALNLKVRKLLKAFEARPPPLGPLQGALRDTFLSCGANQAYLDQAAESGLHFEVSGLASNGASSSYQFPPDVVFFVQGRPIEAHRVILSARSPFFKRKFETDWKDRSEVRFSREKLSYPALYSLIHFFYSDRLEVAVDDMEDLVRICKVCKCDSLQRVLEKELIHQKYAEYKALRDVDNSQKRFILQGLSLPEEDRLPAALHRVLQISLAKSPKECNLDNGVDTLQYYVGAMQISDSLDDLADVCVRVDKRIFRCHQVVLASRSEYFNARLSRMKDFHEWKDELTSDTLPFLEEHDLSAEAFEKMIEYM >EOX91047 pep chromosome:Theobroma_cacao_20110822:1:1444097:1446314:-1 gene:TCM_046677 transcript:EOX91047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoflavone reductase-like protein 4 isoform 1 MAEKSKILIIGGTGYIGKFIVEASTKVGHPTFLLVRERKVSDPGKAKLIESFEGSGVTLLYGDIYDHESLLRAIKQVDIVISTVGTQQLADQVRIIEAIKEAGNVKTEEARELDCDNKMVGFQRFLPSEFGMDADRVRAVEPAASIFRIKAKIRRAIEAEGIPYTYISSNAFAGHFLPNLMQENATVPPRDKVVILGDGNSKAVFVQEDDIAMYTIKAAEDPRTLNKILYIRPPSNVLSFNEIVSLWERKIGKTLVKSYVPEDQLLQIIQEAPIPWNFILSFYHPMLVKGEASNFKIEACFAVEASELYPEVKYTTVDAYLHQFV >EOX91046 pep chromosome:Theobroma_cacao_20110822:1:1443996:1446345:-1 gene:TCM_046677 transcript:EOX91046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoflavone reductase-like protein 4 isoform 1 MAEKSKILIIGGTGYIGKFIVEASTKVGHPTFLLVRERKVSDPGKAKLIESFEGSGVTLLYGDIYDHESLLRAIKQVDIVISTVGTQQLADQVRIIEAIKEAGNVKRFLPSEFGMDADRVRAVEPAASIFRIKAKIRRAIEAEGIPYTYISSNAFAGHFLPNLMQENATVPPRDKVVILGDGNSKAVFVQEDDIAMYTIKAAEDPRTLNKILYIRPPSNVLSFNEIVSLWERKIGKTLVKSYVPEDQLLQIIQEAPIPWNFILSFYHPMLVKGEASNFKIEACFAVEASELYPEVKYTTVDAYLHQFV >EOX96357 pep chromosome:Theobroma_cacao_20110822:1:37470715:37477767:-1 gene:TCM_005616 transcript:EOX96357 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 alpha subunit MSIAAQAPDILGERQSGQDVRTQNVVACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVIVAAEFLKRANDLVRNKIHPTSIISGYRLAMREACKYVEEKLAVKVEKLGKDSLINCAKTSMSSKLIAGDSDFFANLVVEAVLAVKMTNARGEVKYPIKGINILKAHGKSARESYLLNGYALNTGRAAQGMPLRVAPAKIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQREADMTKERIEKLLRAGANVVLTTKGIDDMALKYFVEAGAIAVRRVRKEDMRHVAKATGATMVSTFADMEGEETFDSSFLGYADEVVEERIADDDVVMIKGTKSTSAVSLILRGANDYMLDEMERALHDALSIVKRTLESNTVVAGGGAVEAALSVYLEYLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKKHFSSMGLDLSNGTVRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDDMIRLVKDESQDQD >EOX96545 pep chromosome:Theobroma_cacao_20110822:1:38208253:38209738:1 gene:TCM_005779 transcript:EOX96545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19 family protein MSTRKNTCSSGSFRLSGRKQNGGNLFVSLENSERKRGMASRAAATPPYPSAARIADSPCYPQYTASLKCLEENGSEDKSKCQEHFDVYKECKKKEREARLERNKSRSFFS >EOX96272 pep chromosome:Theobroma_cacao_20110822:1:37184506:37186469:1 gene:TCM_005550 transcript:EOX96272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEENGNCCLMLVGYQVAQRTSNLEQRSPDTDTTQPDKSIKANDIENPKLGLSSMISQNNENSNIYVNYGPLEFYLTAWL >EOX94022 pep chromosome:Theobroma_cacao_20110822:1:18909589:18913530:-1 gene:TCM_003074 transcript:EOX94022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ribosomal L11-like protein MGGAQPAPLVGPALGQYRLNLMAFRKDFNTQTQKYKPNTLMAVTITAFKDNTFEFTVKSPSVTWYLKKTVGLVSGSSWPSRVIATTLSVRHIYEIAKVKQSDPYCQYMSLESIYFCIVMVKYKTTASSQVQSNRVGNLAEQPPIPPPHSSPSAVKPPIPPQSLIPLISILMPTPAATPFDLEETLPTLTTATTKCIDFVVLCGDWNDSNDHKNNQESLQWALAYMKESFTWVDTDATMVRNIWEKIFQDATKTNDILRGFRAHSQVIKRYRRV >EOX95017 pep chromosome:Theobroma_cacao_20110822:1:32482736:32484840:1 gene:TCM_004603 transcript:EOX95017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein MASPSDHKATIIDGKAIAQTIRSEIADEVHHLFQKYGKVPGLAVVIVGGRKDSQSYVGMKRKACAEVGIKSFDVDLPEEVPESELISKVHELNANRDVHGILVQLPLPKHINEEKVLSEISLAKDVDGFHPLNIGKLAMKGREPLFQPCTPKGCLELLARSGISIKGKNAVVVGRSNIVGLPVSLLLLKADSTVTIVHSRTPDPERLVREADIIIAAAGQAMMIKGSWIKPGAAVIDVGTNAVDDPSKKSGYRLVGDVDFHEACKVAGWITPVPGGVGPMTVAMLLRNTLDGAKRVIEQ >EOX90714 pep chromosome:Theobroma_cacao_20110822:1:432946:433948:1 gene:TCM_000104 transcript:EOX90714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNELERVGASVGNLLLQSSRVAFEEEKIQSAKVRLESMDAIFEGFIDGLECLYYELDPCYSFSVKYTRQLTINGSKNRRSFTP >EOX91000 pep chromosome:Theobroma_cacao_20110822:1:1289821:1294076:-1 gene:TCM_000312 transcript:EOX91000 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative isoform 2 MSSPSEISNTVSKVFSVCASLAGFIMLLWPMAQELISDQVRSYLSSNLPHFFSHISPIVTVEIDEKCGVTKNEVYEAATLYVSTRVSTEKMRHKVNKTREQKYFTIAVAKGEPVVDQYDGVKLTWRLVSEKDEFGEQRLFKLSFNKKHHAKVLTSYLPYVWDEANMIRTRNRMIKLYSRQCPFSDDNDDRRGSWGSIILEHPATFETLAMDPDLKKMIMDDLERFVRRKEYYNKVGKAWKRGYLLFGPSGTGKSSLVAAIANYLKFDIYDFALNSVSSDVELRKMLLSTSNRSIVLFEDIDCSSEVLGRQIGMQNEQEPPKFGLTLSGILNCIDGVCSSCGDERIIVFTTRYKDRLDPALLRPGRMDMHINMSYCTTYGFKLLAFNHLGISNEHIPFLGEIDGLLKSTETTPAEVAEELMRYDDADVALQGLVDFLKRKRDEGNET >EOX90999 pep chromosome:Theobroma_cacao_20110822:1:1289808:1292702:-1 gene:TCM_000312 transcript:EOX90999 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative isoform 2 MTNDACNVSTLTKNNYLISGLFALGIAHKNRQSLGVSGIRSHPTSELFASLLLIPQTASMSSPSEISNTVSKVFSVCASLAGFIMLLWPMAQELISDQVRSYLSSNLPHFFSHISPIVTVEIDEKCGVTKNEVYEAATLYVSTRVSTEKMRHKVNKTREQKYFTIAVAKGEPVVDQYDGVKLTWRLVSEKDEFGEQRLFKLSFNKKHHAKVLTSYLPYVWDEANMIRTRNRMIKLYSRQCPFSDDNDDRRGSWGSIILEHPATFETLAMDPDLKKMIMDDLERFVRRKEYYNKVGKAWKRGYLLFGPSGTGKSSLVAAIANYLKFDIYDFALNSVSSDVELRKMLLSTSNRSIVLFEDIDCSSEVLGRQIGMQNEQEPPKFGQLTLSGILNCIDGVCSSCGDERIIVFTTRYKDRLDPALLRPGRMDMHINMSYCTTYGFKLLAFNHLGISNEHIPFLGEIDGLLKSTETTPAEVAEELMRYDDADVALQGLVDFLKRKRDEGNET >EOX91946 pep chromosome:Theobroma_cacao_20110822:1:4568863:4571192:-1 gene:TCM_000987 transcript:EOX91946 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent thioredoxin reductase A MNYRSNPSRLKTLWRKARTLVGLATTSAAATVTAAVSFSNSATSAMDSPLRTKVCIIGSGPAAHTAAIYTARAELKPILFEGWMANDIAPGGQLTTTTDVENFPGFPDGIMGMELMDRCRNQSLRFGTAIYTETVNKVDLSSSPFRIITDAKTVLADSVIVTTGAVAKRLNFQGSGDGPGGFWNKGISACAVCDGAAPIFRDKPLAVIGGGDSAMEESTYLTKYASKVYIIHRRDTFRASKIMQSRAVSNPKIEVIWNSVVVEAYGDGERGVLGGLKVKNLLTGEVSDLKVSGLFFAIGHEPATKFLEGQLQLDSDGYILTKPGTTQTSVRGVFAAGDVQDKKYRQAVTAAGTGCMAALEAEHYLQEIGSQEGKSD >EOX93413 pep chromosome:Theobroma_cacao_20110822:1:12818033:12819723:-1 gene:TCM_002277 transcript:EOX93413 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing-like protein MHRKHFFDRPKSKTSIYDDLSSASLPSLMMKDGIDHGKDQSCQDRPTSSQTAPNMMERLPQEIILGILSRLLIASLVQSKLVCRAWKSLIQDPLLVNFSNHSQGNVNSKRPPNSSVPMCLVDSCNGLLCMRDSRGIYICNPFTRLFIELSEFIKSPAQLGHLEFGFHPTTKEYKVVQTVYRKSLGNRDGSNVDASTIIQSEFHILTIGSPGWRNLGKISYRFIWQTSKVMVKGRLHWLSRPNKYSQASLLISFDLATEQFQEMPKPDCCGLGRCFHHLMVLRGCLSAGAYHDKQLEVWIMKEYGMKESWVKEFNIGTYLPQTLQQENFRRLKYSRVGFPNSFVRVVCNLKSDEILLDYKSRAFVLYDPQQGTFKELTLPEIPDHFKIVAHVGSLNWLDTPVNI >EOX93962 pep chromosome:Theobroma_cacao_20110822:1:17876268:17879060:-1 gene:TCM_002982 transcript:EOX93962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantoate-beta-alanine ligase, putative isoform 1 MAAKDPVIITEKGEMRNWSRKIRSQGKTVGLVPTMGYLHQGHLSLIQEAHKHSQLIVVSIYVNPGQFSPSEDLSTYPSDFHGDILKLKSVLGGVDAVFCPKNLYDYSNNSKQKNKNENENCASDGGGWVVSCVEEKGSGHETWVRIERLEKGLCGKSRPVFFRGVATIVTKLFNIVEPDVAVFGKKDYQQWRIIQRMVRDLDFAIEIVGSEVIRDSDGLALSSRNVHLSPEEREKALSISRSLVSAKSAAEEGQVKCGELKDLAVKTITQAGGRIDYAEIVEQESLEAVEEIKSPVVFCIAAWFGKQHQSMGGNGI >EOX93961 pep chromosome:Theobroma_cacao_20110822:1:17876674:17882878:-1 gene:TCM_002982 transcript:EOX93961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantoate-beta-alanine ligase, putative isoform 1 MAAKDPVIITEKGEMRNWSRKIRSQGKTVGLVPTMGYLHQGHLSLIQEAHKHSQLIVVSIYVNPGQFSPSEDLSTYPSDFHGDILKLKSVLGGVDAVFCPKNLYDYSNNSKQKNKNENENCASDGGGWVVSCVEEKGSGHETWVRIERLEKGLCGKSRPVFFRGVATIVTKLFNIVEPDVAVFGKKDYQQWRIIQRMVRDLDFAIEIVGSEVIRDSDGLALSSRNVHLSPEEREKALSISRSLVSAKSAAEEGQVKCGELKDLAVKTITQAGGRIDYAEIVEQESLEAVEEIKSPVVFCIAAWFGKVRLIDNMEINI >EOX93963 pep chromosome:Theobroma_cacao_20110822:1:17875442:17879060:-1 gene:TCM_002982 transcript:EOX93963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantoate-beta-alanine ligase, putative isoform 1 MAAKDPVIITEKGEMRNWSRKIRSQGKTVGLVPTMGYLHQGHLSLIQEAHKHSQLIVVSIYVNPGQFSPSEDLSTYPSDFHGDILKLKSVLGGVDAVFCPKNLYDYSNNSKQKNKNENENCASDGGGWVVSCVEEKGSGHETWVRIERLEKGLCGKSRPVFFRGVATIVTKLFNIVEPDVAVFGKKDYQQWRIIQRMVRDLDFAIEIVGSEVIRDSDGLALSSRNVHLSPEEREKALSISRSLVSAKSAAEEGQVKCGELKDLAVKTITQAGGRIDYAEIVEQESLEAVEEIKSPVVFCIAAWFGKQHQSMGGNGI >EOX91247 pep chromosome:Theobroma_cacao_20110822:1:2037128:2038161:-1 gene:TCM_000495 transcript:EOX91247 gene_biotype:protein_coding transcript_biotype:protein_coding description:HTH-type transcriptional regulator protein ptxE MGICSSCESTHVATAKLILQDGRLQEFPYPVKASYVLQRNPMCFICNSDEMDFDDVASAIQEDEELQPGQLYFALPLSWLQHPLQAEEMAALAVKASSALMKSGGEKCGCRRKTVTPLVFPEESPRRKDAGGNGAGNGGEKRGRGGRGRRKFEAMLSAIPE >EOX96719 pep chromosome:Theobroma_cacao_20110822:1:38747631:38752665:-1 gene:TCM_005909 transcript:EOX96719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFLRDPDDPLKTTDQTRRLGLIVCRGTAVMLVSPTDGTDEIANPFIQPDGA >EOX96129 pep chromosome:Theobroma_cacao_20110822:1:36697842:36703755:-1 gene:TCM_005453 transcript:EOX96129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylem serine peptidase 1 isoform 1 MCYQYKAWSSMKVTGEFQEPVSMARMRFLCSALTCQLLLLVLILIILNVVASGDETKNFYIVYLGASPLSKDSAVQKHIALLSYVKGSEHDAKESMIYSYTKTFNAFAAKLSKDEADMLQEMDEVVSVFPNRYHKLHTTRSWDFIGLPQTARRKLKMERNIVVGLLDTGITPQSESFKDDGFGPPPSKWKGTCGHFANFSGCNNKIVGAKYFKLDGTADPADILSPIDVDGHGTHTSSTLAGNLVPNASLYGLAKGTARGAVPSARIAIYKVCWASSGCADMDILAAFDDAASDGVDVISVSIGGATQDFVTDSISVGAFHALKKGIITVASAGNEGPSLGSLSNYSPWLLTVAASGIDRQFTSTVKLGNGRRVSGIGINAFEPKEKIYPIVSGADVPVNSQSKEGARFCYDNTLDPGKVKGRLVYCILGQWGADSVVRGIGGIGTIIASEQYLDIAQIFMAPATMVNGTVGETIDKYIHSTRSPSAVIYKSQAVKISAPFVASFSSRGPNPGSQHLLKPDIAAPGVDILAAYTLLKSLTGLKGDTQHSKFTIMSGTSMACPHVAGVAAYVKSFHPSWSAAAIKSAIMTTAKPMSRRVNSDAEFAYGVGQLNPTRALNPGLVYDMDEMSYIQFLCHEGFSGSSIALLVGAKSFNCSSLLPGLGYDALNYPSMQLSMKNGRPQTIGVFHRRVTNVGPPSVYNATIKAPQGVEITIKPTCLFFTRPLQKRSFKVVVKAKPVASTTFTILSASLVWKSTRHTVRSPIVIYSLRD >EOX96130 pep chromosome:Theobroma_cacao_20110822:1:36698401:36702417:-1 gene:TCM_005453 transcript:EOX96130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylem serine peptidase 1 isoform 1 MVSLKAICKHIALPGITPQSESFKDDGFGPPPSKWKGTCGHFANFSGCNNKIVGAKYFKLDGTADPADILSPIDVDGHGTHTSSTLAGNLVPNASLYGLAKGTARGAVPSARIAIYKVCWASSGCADMDILAAFDDAASDGVDVISVSIGGATQDFVTDSISVGAFHALKKGIITVASAGNEGPSLGSLSNYSPWLLTVAASGIDRQFTSTVKLGNGRRVSGIGINAFEPKEKIYPIVSGADVPVNSQSKEGARFCYDNTLDPGKVKGRLVYCILGQWGADSVVRGIGGIGTIIASEQYLDIAQIFMAPATMVNGTVGETIDKYIHSTRSPSAVIYKSQAVKISAPFVASFSSRGPNPGSQHLLKPDIAAPGVDILAAYTLLKSLTGLKGDTQHSKFTIMSGTSMACPHVAGVAAYVKSFHPSWSAAAIKSAIMTTAKPMSRRVNSDAEFAYGVGQLNPTRALNPGLVYDMDEMSYIQFLCHEGFSGSSIALLVGAKSFNCSSLLPGLGYDALNYPSMQLSMKNGRPQTIGVFHRRVTNVGPPSVYNATIKAPQGVEITIKPTCLFFTRPLQKRSFKVVVKAKPVASTTFTILSASLVWKSTRHTVRSPIVIYSLRD >EOX95835 pep chromosome:Theobroma_cacao_20110822:1:35729530:35731257:1 gene:TCM_005240 transcript:EOX95835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMLHLKQTKRELCGTLSSLLKAKFTLGIEVDDFIKISSELIQPLEYFFQSNICDGGRWNN >EOX90631 pep chromosome:Theobroma_cacao_20110822:1:196287:204778:-1 gene:TCM_000047 transcript:EOX90631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor isoform 2 MNKVGILVFVLFSSEFFPFGNSVNVTTRPDVVNIGALFSFNSTVGKVARVAIEAALEDVNSEPGVLNGTKLKLTMQDTNYSGFLGIVEALKLMQNETVAIIGPQLSVTAHLVSHIANELHVPLLSCAATDPALSSLQYRFFVRTTQSDLFQMAAIADIIEYYEWRNVIAVFVDDDHGRNGISVLGDKLEESRAKISYKAPMRPGATRNEITNLLVKVDLMDSRIFVLHTYPDWGLEVLDVAENLGMLGSGYVWIVTDWLSTVLDTYSPVSSNVIANVQGVVTLRMHTPDSKQKTNLVTGWSNLTSRKASNSPFGLSTYGLYAYDTVWLLAHAIDKFFSQGGNISFSKDSRLAQLGLGGGKLPFDALSIFNGGELLLKSISEVNMTGVTGPIKFTSDGYLNHPAYQVINVVGNGYRRIGYWSNYSGLSIVPPEILYRKPPNRSSSTQQLHDVIWPGQTTQKPRGWVFPNNGRELRIGVPNRVVYREFVSLVQGPDTFGGYCIDVFTAALNFLPYALPYKLIPFGDGHNNPKVSDLISQVSAGVYDAAVGDFAITTNRTRMVDFTQPYIESGLVVVAPVRKRNPNEWAFLRPFTPMMWCVTGIFFLVVGVVVWILEHRINDDFRGPPKRQIVTVLWFSFSTLFFSHRERTLSTLGRVVLFIWLFIVLILTSSYTASLTSILTVEQLSSPVKGIDSLISTGDPIGYQRGSFAENYLSEELSIPKSRLVPLNSADDYAKALKDGPKKGGVAAVIDEHAYMELFLSTQCEFSIVGSEFSKMGWGFAFPRDSQLAVDMSTAILKLSENGDLQRIHNKWLKGIACRSQGTKEEVDRLQLNSFWGLFVLCGFACLLALLVYLVQIVVQFARHYPDSEELASSSSGSSRPARIQTFLSFVGEKEEVVVSRSKRRQMERASKRHRSDDGSLSNLNTSVRNEV >EOX90632 pep chromosome:Theobroma_cacao_20110822:1:196565:201971:-1 gene:TCM_000047 transcript:EOX90632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor isoform 2 MNKVGILVFVLFSSEFFPFGNSVNVTTRPDVVNIGALFSFNSTVGKVARVAIEAALEDVNSEPGVLNGTKLKLTMQDTNYSGFLGIVEALKLMQNETVAIIGPQLSVTAHLVSHIANELHVPLLSCAATDPALSSLQYRFFVRTTQSDLFQMAAIADIIEYYEWRNVIAVFVDDDHGRNGISVLGDKLEESRAKISYKAPMRPGATRNEITNLLVKVDLMDSRIFVLHTYPDWGLEVLDVAENLGMLGSGYVWIVTDWLSTVLDTYSPVSSNVIANVQGVVTLRMHTPDSKQKTNLVTGWSNLTSRKASNSPFGLSTYGLYAYDTVWLLAHAIDKFFSQGGNISFSKDSRLAQLGLGGGKLPFDALSIFNGGELLLKSISEVNMTGVTGPIKFTSDGYLNHPAYQVINVVGNGYRRIGYWSNYSGLSIVPPEILYRKPPNRSSSTQQLHDVIWPGQTTQKPRGWVFPNNGRELRIGVPNRVVYREFVSLVQGPDTFGGYCIDVFTAALNFLPYALPYKLIPFGDGHNNPKVSDLISQVSAGVYDAAVGDFAITTNRTRMVDFTQPYIESGLVVVAPVRKRNPNEWAFLRPFTPMMWCVTGIFFLVVGVVVWILEHRINDDFRGPPKRQIVTVLWGKNSQHFRPCSAIHLAVHSFNTDLELHC >EOX90633 pep chromosome:Theobroma_cacao_20110822:1:197067:200029:-1 gene:TCM_000047 transcript:EOX90633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor isoform 2 MQNETVAIIGPQLSVTAHLVSHIANELHVPLLSCAATDPALSSLQYRFFVRTTQSDLFQMAAIADIIEYYEWRNVIAVFVDDDHGRNGISVLGDKLEESRAKISYKAPMRPGATRNEITNLLVKVDLMDSRIFVLHTYPDWGLEVLDVAENLGMLGSGYVWIVTDWLSTVLDTYSPVSSNVIANVQGVVTLRMHTPDSKQKTNLVTGWSNLTSRKASNSPFGLSTYGLYAYDTVWLLAHAIDKFFSQGGNISFSKDSRLAQLGLGGGKLPFDALSIFNGGELLLKSISEVNMTGVTGPIKFTSDGYLNHPAYQVINVVGNGYRRIGYWSNYSGLSIVPPEILYRKPPNRSSSTQQLHDVIWPGQTTQKPRGWVFPNNGRELRIGVPNRVVYREFVSLVQGPDTFGGYCIDVFTAALNFLPYALPYKLIPFGDGHNNPKVSDLISQVSAGVYDAAVGDFAITTNRTRMVDFTQPYIESGLVVVAPVRKRNPNEWAFLRPFTPMMWCVTGIFFLVVGVVVWILEHRINDDFRGPPKRQIVTVLWFSFSTLFFSHRERTLSTLGRVVLFIWLFIVLILTSSYTASLTSILTVEQLSSPVKGIDSLISTGDPIGYQRGSFAENYLSEELSIPKSRLVPLNSADDYAKALKDGPKKGGVAAVIDEHAYMELFLSTQCEFSIVGSEFSKMGWGFAFPRDSQLAVDMSTAILKLSENGDLQRIHNKWLKGIACRSQGTKEEVDRLQLNSFWGLFVLCGFACLLALLVYL >EOX90635 pep chromosome:Theobroma_cacao_20110822:1:197511:200029:-1 gene:TCM_000047 transcript:EOX90635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor isoform 2 MQNETVAIIGPQLSVTAHLVSHIANELHVPLLSCAATDPALSSLQYRFFVRTTQSDLFQMAAIADIIEYYEWRNVIAVFVDDDHGRNGISVLGDKLEESRAKISYKAPMRPGATRNEITNLLVKVDLMDSRIFVLHTYPDWGLEVLDVAENLGMLGSGYVWIVTDWLSTVLDTYSPVSSNVIANVQGVVTLRMHTPDSKQKTNLVTGWSNLTSRKASNSPFGLSTYGLYAYDTVWLLAHAIDKFFSQGGNISFSKDSRLAQLGLGGGKLPFDALSIFNGGELLLKSISEVNMTGVTGPIKFTSDGYLNHPAYQVINVVGNGYRRIGYWSNYSGLSIVPPEILYRKPPNRSSSTQQLHDVIWPGQTTQKPRGWVFPNNGRELRIGVPNRVVYREFVSLVQGPDTFGGYCIDVFTAALNFLPYALPYKLIPFGDGHNNPKVSDLISQVSAGVYDAAVGDFAITTNRTRMVDFTQPYIESGLVVVAPVRKRNPNEWAFLRPFTPMMWCVTGIFFLVVGVVVWILEHRINDDFRGPPKRQIVTVLWFSFSTLFFSHRERTLSTLGRVVLFIWLFIVLILTSSYTASLTSILTVEQLSSPVKGIDSLISTGDPIGYQRGSFAENYLSEELSIPKSRLVPLNSADDYAKALKDGPKKGGVAAVIDEHAYMELFLSTQCEFSIVGSEFSKMGWGFVSFYSII >EOX90634 pep chromosome:Theobroma_cacao_20110822:1:197066:202172:-1 gene:TCM_000047 transcript:EOX90634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor isoform 2 MQNETVAIIGPQLSVTAHLVSHIANELHVPLLSCAATDPALSSLQYRFFVRTTQSDLFQMAAIADIIEYYEWRNVIAVFVDDDHGRNGISVLGDKLEESRAKISYKAPMRPGATRNEITNLLVKVDLMDSRIFVLHTYPDWGLEVLDVAENLGMLGSGYVWIVTDWLSTVLDTYSPVSSNVIANVQGVVTLRMHTPDSKQKTNLVTGWSNLTSRKASNSPFGLSTYGLYAYDTVWLLAHAIDKFFSQGGNISFSKDSRLAQLGLGGGKLPFDALSIFNGGELLLKSISEVNMTGVTGPIKFTSDGYLNHPAYQVINVVGNGYRRIGYWSNYSGLSIVPPEILYRKPPNRSSSTQQLHDVIWPGQTTQKPRGWVFPNNGRELRIGVPNRVVYREFVSLVQGPDTFGGYCIDVFTAALNFLPYALPYKLIPFGDGHNNPKVSDLISQVSAGVYDAAVGDFAITTNRTRMVDFTQPYIESGLVVVAPVRKRNPNEWAFLRPFTPMMWCVTGIFFLVVGVVVWILEHRINDDFRGPPKRQIVTVLWFSFSTLFFSHRERTLSTLGRVVLFIWLFIVLILTSSYTASLTSILTVEQLSSPVKGIDSLISTGDPIGYQRGSFAENYLSEELSIPKSRLVPLNSADDYAKALKDGPKKGGVAAVIDEHAYMELFLSTQCEFSIAFPRDSQLAVDMSTAILKLSENGDLQRIHNKWLKGIACRSQGTKEEVDRLQLNSFWGLFVLCGFACLLALLVYL >EOX94526 pep chromosome:Theobroma_cacao_20110822:1:29467092:29469531:-1 gene:TCM_004135 transcript:EOX94526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLFHFIMLPLFLLLHFSNLDLILATNSEYVSFLILPCNIGMPRNFPKSFVNGILTTLLSKLALALLMFEETSNSDFESLTFWPDALQN >EOX90809 pep chromosome:Theobroma_cacao_20110822:1:688508:691037:-1 gene:TCM_000176 transcript:EOX90809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome alpha subunit D2 MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDIVVLGVEKKSTAKLQDSRTVRKIVNLDDHIALACAGLKADARVLINRARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTGIPSLYQTDPSGTFSAWKANATGRNSNSIREFLEKNYKETSGQETIKLAIRALLEVVESGGKNIEVAVMTKEHGLRQLDEAEIDAIAAEIEAEKAAAEAAKKAPPKET >EOX95775 pep chromosome:Theobroma_cacao_20110822:1:35540297:35548018:1 gene:TCM_005195 transcript:EOX95775 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA family protein, putative isoform 1 MERDGEQMAASGIAENTEFTRKGFNFPLKSEGHILMESPKIYESQRRNWPVNDVSGFAPQCPSTSSMIETETGVTVEELTVENYKTSGLSLPKSSSNLRQGQWQRMHHLESMSEHEALDGNVDHVLLRAKEQLARMSYENQKSKDTDQTTGGISLHLKATDNMAISSNTSSVAATPLKTSTRPSFSQLFVKKGLKGKGIVRRDPESCTTADNDQPCLLGVDRSATAPCPNGITLREWLKRGRCKEDKAESLLLFWQIVKLVDSAHSQGVVLQDLQPSCFYLSSSNRVTYTGLSAKKGLVSAVNSDLRRKRFLEESMNAANCSLRAKQLKVNENMRSLGHQTEFTSPHESRIEMGNKIGFHTSVKQDSNCFLNQPPSFRYPTASVSHSISAAIQSEEKWYVCPEVLNGRSCTFSSNIYSLGVFLFELLCCFESWELHSAMMLDMSQRILPPKFLSENPKEAGFCLWLLHPKPLSRPTTREILQSDLFCGSQEWFCGNNLSESPDSDMAESEILPQFLIRLEEQKQKHASKLIEDIRFLEEDIKEAERRHLLKTSSVFPQKQNEFPDAGKNWLHFEDPRTSVAHYRSNLKSEVNEGWLSKNIRLLEHAYFSMRSEIHSSEPDAAACFNKDLLRNGDRLSKVQNANGEMRMNQKSIDPLGVFFEGLCKFACYSKFEACGTIRNGDLLNSANVICTLSFDRDEDYIATAGISKRIKIFEFDAFMNDSIDVHYPVVEMSNKSKLSCVCWNNYIKNYLASTDYDGIVQTWDAGTGQGLCQYTEHQKRAWSVDFSQADPTKFASGSDDCSVKLWSINERSSLGTIGSPANVCCVQFSTFSPHLLAFGSADYKVYCYDLRHARIPLCTLAAHEKAVSYVKFLDSTTLLSASTDNTLKLWHLNKTSSDALPSSTCGLTFSGHKNEKNFVGLSVMDGYIACGSETNEVYCYYRSLPMPITSYKFGSVDPISGNQITDENGQFVSSVCWRQKSNMLVAANSTGSIKLLKLV >EOX95776 pep chromosome:Theobroma_cacao_20110822:1:35540297:35548463:1 gene:TCM_005195 transcript:EOX95776 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA family protein, putative isoform 1 MERDGEQMAASGIAENTEFTRKGFNFPLKSEGHILMESPKIYESQRRNWPVNDVSGFAPQCPSTSSMIETETGVTVEELTVENYKTSGLSLPKSSSNLRQGQWQRMHHLESMSEHEALDGNVDHVLLRAKEQLARMSYENQKSKDTDQTTGGISLHLKATDNMAISSNTSSVAATPLKTSTRPSFSQLFVKKGLKGKGIVRRDPESCTTADNDQPCLLGVDRSATAPCPNGITLREWLKRGRCKEDKAESLLLFWQIVKLVDSAHSQGVVLQDLQPSCFYLSSSNRVTYTGLSAKKGLVSAVNSDLRRKRFLEESMNAANCSLRAKQLKVNENMRSLGHQTEFTSPHESRIEMGNKIGFHTSVKQDSNCFLNQPPSFRYPTASVSHSISAAIQSEEKWYVCPEVLNGRSCTFSSNIYSLGVFLFELLCCFESWELHSAMMLDMSQRILPPKFLSENPKEAGFCLWLLHPKPLSRPTTREILQSDLFCGSQEWFCGNNLSESPDSDMAESEILPQFLIRLEEQKQKHASKLIEDIRFLEEDIKEAERRHLLKTSSVFPQKQNEFPDAGKNWLHFEDPRTSVAHYRSNLKSEVNEGWLSKNIRLLEHAYFSMRSEIHSSEPDAAACFNKDLLRNGDRLSKVQNANGEMRMNQKSIDPLGVFFEGLCKFACYSKFEACGTIRNGDLLNSANVICTLSFDRDEDYIATAGISKRIKIFEFDAFMNDSIDVHYPVVEMSNKSKLSCVCWNNYIKNYLASTDYDGIVQTWDAGTGQGLCQYTEHQKRAWSVDFSQADPTKFASGSDDCSVKLWSINERSSLGTIGSPANVCCVQFSTFSPHLLAFGSADYKVYCYDLRHARIPLCTLAAHEKAVSYVKFLDSTTLLSASTDNTLKLWHLNKTSSDALPSSTCGLTLCDCCMNPI >EOX95774 pep chromosome:Theobroma_cacao_20110822:1:35540075:35548103:1 gene:TCM_005195 transcript:EOX95774 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA family protein, putative isoform 1 MERDGEQMAASGIAENTEFTRKGFNFPLKSEGHILMESPKIYESQRRNWPVNDVSGFAPQCPSTSSMIETETGVTVEELTVENYKTSGLSLPKSSSNLRQGQWQRMHHLESMSEHEALDGNVDHVLLRAKEQLARMSYENQKSKDTDQTTGGISLHLKATDNMAISSNTSSVAATPLKTSTRPSFSQLFVKKGLKGKGIVRRDPESCTTADNDQPCLLGVDRSATAPCPNGITLREWLKRGRCKEDKAESLLLFWQIVKLVDSAHSQGVVLQDLQPSCFYLSSSNRVTYTGLSAKKGLVSAVNSDLRRKRFLEESMNAANCSLRAKQLKVNENMRSLGHQTEFTSPHESRIEMGNKIGFHTSVKQDSNCFLNQPPSFRYPTASVSHSISAAIQSEEKWYVCPEVLNGRSCTFSSNIYSLGVFLFELLCCFESWELHSAMMLDMSQRILPPKFLSENPKEAGFCLWLLHPKPLSRPTTREILQSDLFCGSQEWFCGNNLSESPDSDMAESEILPQFLIRLEEQKQKHASKLIEDIRFLEEDIKEAERRHLLKTSSVFPQKQNEFPDAGKNWLHFEDPRTSVAHYRSNLKSEVNEGWLSKNIRLLEHAYFSMRSEIHSSEPDAAACFNKDLLRNGDRLSKVQNANGEMRMNQKSIDPLGVFFEGLCKFACYSKFEACGTIRNGDLLNSANVICTLSFDRDEDYIATAGISKRIKIFEFDAFMNDSIDVHYPVVEMSNKSKLSCVCWNNYIKNYLASTDYDGIVQTWDAGTGQGLCQYTEHQKRAWSVDFSQADPTKFASGSDDCSVKLWSINERSSLGTIGSPANVCCVQFSTFSPHLLAFGSADYKVYCYDLRHARIPLCTLAAHEKAVSYVKFLDSTTLLSASTDNTLKLWHLNKTSSDALPSSTCGLTFSGHKNEKNFVGLSVMDGYIACGSETNEVYCYYRSLPMPITSYKFGSVDPISGNQITDENGQFVSSVCWRQKSNMLVAANSTGSIKLLKLV >EOX95204 pep chromosome:Theobroma_cacao_20110822:1:33307601:33308409:1 gene:TCM_004756 transcript:EOX95204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKAVVQSPPKHEFTGSAFRRDPKPTSRLLPGADDGSFTCSTNCSLFYTITLTKSFVICYGMGIFVTLNYHMVTALPPCL >EOX92168 pep chromosome:Theobroma_cacao_20110822:1:5575729:5580482:1 gene:TCM_046682 transcript:EOX92168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein isoform 1 MAVTSNSLVSFTPGSLSQPRRLFQPLPSSSLSFPGSRSLSLKLTHRSNSALGCSLNVPNSTDNDAPIETRYPAFPTVMDINQIREILPHRFPFLLVDRVIEYNPGVSAVAIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRENFFFAGVDKVRFRKPVIAGDTLVMRMTLIKLQKRFGIAKMEGKAYVGGDLVCEGEFLMATGSD >EOX92169 pep chromosome:Theobroma_cacao_20110822:1:5575363:5581798:1 gene:TCM_046682 transcript:EOX92169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein isoform 1 MAVTSNSLVSFTPGSLSQPRRLFQPLPSSSLSFPGSRSLSLKLTHRSNSALGCSLNVPNSTDNDAPIETRYPAFPTVMDINQIREILPHRFPFLLVDRVIEYNPGVSAVAIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRENFFFAGVDKVRFRKPVIAGDTLVMRMTLIKLQKRFGIAKMEGKAYVGGDLVCEVKSALLLIKHLKGLRFKQLRAWKQLLLTS >EOX94614 pep chromosome:Theobroma_cacao_20110822:1:30459263:30462520:1 gene:TCM_004243 transcript:EOX94614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPLFGGIHKKRKSPLCPRGCDESLKRKLPFFNQVDDISCQHVGVDEKFIKQSTNRNYRMENTAPHPLHDSSRPLWSTEDSDQCSVASCSFNGVADYAGQVSHKSSENTPDNSDAESSFPSLCGKKNLPLSPLDEAVDVHELELRAYKSTVEALYASGPLTWEQESLLTNLRLSLNISDEEYLLHLRHLLSPQVP >EOX96742 pep chromosome:Theobroma_cacao_20110822:1:38832974:38844978:1 gene:TCM_005928 transcript:EOX96742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein isoform 1 MAGKSNKGRNRRGSNNSTTSSEPAVSSDAPLKDNVTASEPPKVESNGVPDMAESSGPKSELTEHESSNLSNQPKQGDLHLYPVSVKTQSGEKLELQLNPGDSVMDIRQFLLDAPETCYFTCYDLLLHVKDGSTYHLEDYNEISEVADITIAGCSLEMVAALYDDRSIRAHVHRTRDLLSLSTLNASLSTSLALQYENAQSKPPNSGDAARTDVPELDGLGFMEDVAGSLGKLLSSSSKEIKCVESIVFSSFNPPPSYRRLVGDLIYLDIITLEGSKYCITGTTKMFYVNSSTGNVLDPRPSKAGSEATTLIGLLQKISSKFKKAFREIMERKASAHPFENVQSLLPPNSWLELYPVPDHKRDAARAEDALTLSYGSELIGMQRDWNEELQSCREFPHTTPQERILRDRALYKVTSDFVDAAISGAIGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDADLEQLSKKRAADTNSNNQSANESISFCSSERVANEMLHGDSMDSNGERYRGSSIGDSNNVKESGQVSAETQLAESEQATYASANNDLKGTRAYQEADVPGLHNLAMAIIDYRGHRVVAQSVLPGILQGDKSESLLYGSVDNGKKICWNEDFHLKVLEAAKCLHLKEHTVLDASGNVFKLAAPVECKGIVGSDDRHYLLDLMRATPRDANYTGPGSRFCILRPELITAFCQRAQAAEKSKSERKSEGEANVTTDSSKVAGVEVPVGTEAHEAATSDDNQGITKEGTDKECVSASVKSCETYEDIFFNPNVFTEFKLAGSQEEIAADEENVRKVSSYLLDVVLPKFIQDLCTLEVSPMDGQTLTEALHAHGINIRYIGKVAIGTKHLPHLWDLCSNETVVRSAKHILKDVLRDTEDHDLGPAISHFLNCFFGSCQAVGAKLTSSVQSKNQKKEQASHQSSGKTSRGPARWKGKASARKNISSHMNVSSETLWSDIQKFAKLKYQFELPEDARLRVKKVSVLRNLCQKVGITIGARKYDFNTATPFQTSDILNLQPVVKHSVPVCSEAKDLVETGKVQLAEGMLTEAYTMFSEAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILVKQLGEEDSRTRDSQNWMKTFKMRELQMNAQKQKGQALNAASAQKAIDILKAHPDLIHAFQAAAAAGGSASSSASFNKSLNAAMIGETLPRGRGFDERAARAAAEVRKKAAARGLATRSHGMPVQAVPPLTQLLNMINLGAAPEAGDGEEAGEKGEANGHHPNGPVDAKNDTATSKEGEQAPVGLGTGLASLDLKKQRTKPKATS >EOX96743 pep chromosome:Theobroma_cacao_20110822:1:38832989:38844205:1 gene:TCM_005928 transcript:EOX96743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein isoform 1 MAGKSNKGRNRRGSNNSTTSSEPAVSSDAPLKDNVTASEPPKVESNGVPDMAESSGPKSELTEHESSNLSNQPKQGDLHLYPVSVKTQSGEKLELQLNPGDSVMDIRQFLLDAPETCYFTCYDLLLHVKDGSTYHLEDYNEISEVADITIAGCSLEMVAALYDDRSIRAHVHRTRDLLSLSTLNASLSTSLALQYENAQSKPPNSGDAARTDVPELDGLGFMEDVAGSLGKLLSSSSKEIKCVESIVFSSFNPPPSYRRLVGDLIYLDIITLEGSKYCITGTTKMFYVNSSTGNVLDPRPSKAGSEATTLIGLLQKISSKFKKAFREIMERKASAHPFENVQSLLPPNSWLELYPVPDHKRDAARAEDALTLSYGSELIGMQRDWNEELQSCREFPHTTPQERILRDRALYKVTSDFVDAAISGAIGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDADLEQLSKKRAADTNSNNQSANESISFCSSERVANEMLHGDSMDSNGERYRGSSIGDSNNVKESGQVSAETQLAESEQATYASANNDLKGTRAYQEADVPGLHNLAMAIIDYRGHRVVAQSVLPGILQGDKSESLLYGSVDNGKKICWNEDFHLKVLEAAKCLHLKEHTVLDASGNVFKLAAPVECKGIVGSDDRHYLLDLMRATPRDANYTGPGSRFCILRPELITAFCQRAQAAEKSKSERKSEGEANVTTDSSKVAGVEVPVGTEAHEAATSDDNQGITKEGTDKECVSASVKSCETYEDIFFNPNVFTEFKLAGSQEEIAADEENVRKVSSYLLDVVLPKFIQDLCTLEVSPMDGQTLTEALHAHGINIRYIGKVAIGTKHLPHLWDLCSNETVVRSAKHILKDVLRDTEDHDLGPAISHFLNCFFGSCQAVGAKLTSSVQSKNQKKEQASHQSSGKTSRGPARWKGKASARKNISSHMNVSSETLWSDIQKFAKLKYQFELPEDARLRVKKVSVLRNLCQKVGITIGARKYDFNTATPFQTSDILNLQPVVKHSVPVCSEAKDLVETGKVQLAEGMLTEAYTMFSEAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILVKQLGEEDSRTRDSQNWMKTFKMRELQAHPDLIHAFQAAAAAGGSASSSASFNKSLNAAMIGETLPRGRGFDERAARAAAEVRKKAAARGLATRSHGMPVQAVPPLTQLLNMINLGAAPEAGDGEEA >EOX93815 pep chromosome:Theobroma_cacao_20110822:1:16093583:16093934:1 gene:TCM_002755 transcript:EOX93815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKKNIGFFGTQVKREALLAFSSSLITKIYIKKWTAKLCIKGDAAIYFLPGSVAANSKVFSIP >EOX93761 pep chromosome:Theobroma_cacao_20110822:1:15580490:15582022:-1 gene:TCM_002677 transcript:EOX93761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in induced resistance 1 protein MATGKLVASMLIMVALMFEGSRAMTLCDIDDKGLADCKPSVTQPNPVDPSPDCCEALKGADLACLCSYKNSMWLPSFGIDPMLALSLPPKCNLQMPTNC >EOX96569 pep chromosome:Theobroma_cacao_20110822:1:38280542:38282875:1 gene:TCM_005796 transcript:EOX96569 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like family protein MASTFLAVPKAFTLKKPTLPSLSNHKFLGSRRNSLRINAVASKWEPTKVVPQADRVLIRLQELPEKSAGGLLLPKSAVKFERYLMGEIVSVGTDVGKVEPGKKVLFSDINAYEIDLGTDTRHVFCKVSDLLAEVE >EOX91270 pep chromosome:Theobroma_cacao_20110822:1:2141385:2145763:-1 gene:TCM_000516 transcript:EOX91270 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein, putative MASSHRKGNDHSTFTTESPHFFKIILPETLRDGKLGIPTKFVKKYGNGMSSPALLKVPNGEVWKVELTKSDGKVWLKNGWQEFLNHYSLEYGHFLVFRYEGNCNFHVIIFDRSASEIEYPYTSNNHGQHKELPEEKIEESEGDNSIQILEDIAPSRKTREKSHLSCLRPHKMMRSTNSANKTESNLKSESLFPQFRHDGSPARKGDKSTSRHRIQKLKADNKAKALQRARAFKSENPFFLLVMQPSYVGFKSTCRLAIPNNFVRKHLMKEDCVVNLCNSNGKTWTVSFHCREKERKLNASLQSGWKTFANDNNIQVGDVCVFELTNCIEISFKVCIYQGKTDVFHPVKRNAGKSSTGQDYQKPLNAFEKAKAIQIASAFRSENPSFAVVLQPSYVHLNKLSVPEKFARKFFKKKHNEVILRLSNGKSWPVKYYQHSIRTPSAKLCNGWRKFVLDNKLEVGNVCVFELTEGIETSFKVTIYRKQAIEDANLGSSLADKSTENQVESKVSLVINVDSDSVHDNGNMNQEAQNLNFSGLRPFIVSQVLTGLKLTLNEVKNGSSSKFEEMV >EOX91839 pep chromosome:Theobroma_cacao_20110822:1:4092570:4097230:1 gene:TCM_000906 transcript:EOX91839 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH/CRA/RING-U-box domains-containing protein MELNSLKDAFDRVAKKQKLSFSKTQEVMDLIFQEIEKAIEMMQSTTFDYKSVLAELKKKLHEIAPLSQLEGTQKELNIALSKYPKALEKILNPDISKAYRNIEFDSNTVNQIIASHFYRLGLFDVGDCFINETGEAEAAVAMRSLFQEMYQMLEAMKSRNLEPALKWAAVNSDKLKENGSDLQLKLHHLQFVKILQKGSRDEALKYARTNFAPFAANHMAEIQKLMGCLLYSDRLQDSPYAHLLSPTNWDVVAEELTRQFCNLLGQSYESPLNVTIAAGVQGLPPLLKFMTVMAGKKQEWQSMKQLPVPVELDKEFQFHPIFVCPVSKEQSTDDNPPMLMSCGHVLCKQSINKMSKNSSKSFKCPYCPSDIDATQCRQLNF >EOX94532 pep chromosome:Theobroma_cacao_20110822:1:29499316:29501313:-1 gene:TCM_004140 transcript:EOX94532 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MGEKFWVNEEDKAKVESVLGAEACEFLISLASKQVLSELVTRPPSDLGVQQRLCQIVDGSNWNYAIFWQVSSLKSGGSILIWGDGHCRDPKLGGVGDASTSGDGKLEGVEDKNEVKKLVLQKLHACFGGSEEDNYAAKLDGVSDMEMFYLTSMHFTFHCDSSYGPGESYKSSRSIWTSDVNNCSDHYQSRSFLARSAGLQTVVFIPVKSGVVELGSINLIPEEQNSVEMVNNVFGGSSSVQTKTIPKIFGRELSLGGSKSRSISINFSPKVEDESGFTLETYDVQALGSNQIYGNSSNGCRSDDGEAKLFPQLLVGGFNAQARISGLEQPKDDSPSLPDERKPRKRGSQPMGEKNH >EOX94531 pep chromosome:Theobroma_cacao_20110822:1:29498797:29501820:-1 gene:TCM_004140 transcript:EOX94531 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MGEKFWVNEEDKAKVESVLGAEACEFLISLASKQVLSELVTRPPSDLGVQQRLCQIVDGSNWNYAIFWQVSSLKSGGSILIWGDGHCRDPKLGGVGDASTSGDGKLEGVEDKNEVKKLVLQKLHACFGGSEEDNYAAKLDGVSDMEMFYLTSMHFTFHCDSSYGPGESYKSSRSIWTSDVNNCSDHYQSRSFLARSAGLQTVVFIPVKSGVVELGSINLIPEEQNSVEMVNNVFGGSSSVQTKTIPKIFGRELSLGGSKSRSISINFSPKVEDESGFTLETYDVQALGSNQIYGNSSNGCRSDDGEAKLFPQLLVGGFNAQARISGLEQPKDDSPSLPDERKPRKRGSQPMGEKNH >EOX94231 pep chromosome:Theobroma_cacao_20110822:1:26530301:26533723:-1 gene:TCM_003769 transcript:EOX94231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MRREKNQSNKLNSIECHEVVEGTKIVRNISKSSEGTNIITSCSKDFRSPLSVRNVSSKELRSLLSSQHASSKDSGSSLPVNNVTCINFDSFLSPVYGAANNWGMEGSRSDQVELFSKKRQKLHQWAHNSSPEMEELCSEGYDLISMILSRLLPQNNEKNSFRSAESAPAESDTKTQLLACPKADIPSKKLYRMPTRNIMEVECMPYLENGTSCYWSDRSKETRCSNICSPTFIDHNTLQKNLELPSCELRGKNLISCVEGDSTFSFPFVRHGSFPPFSPFKELDDFHDLNGSLPGREPLLLLEWDSINMNERSLSATCQKTNWTIVPAVQSSWDHHQSLNMLSESYGTFRFCSSPVLRNYPQDFYTRVPPTSTSCDKQELGGSVLEEKEDTVADMNPLPLSLSHSANYLNLIGDCNYYEIACKGSETSDILPSLGNHLGFLKKAFGEEDSSRGSGTQLSFALDVEWKCLHSSGLVRDQYSSTYNDHQFPEKEIIYSTFLSEDEFGSSLDGSSYRSLIHSSQDMLGIHDRRSFIFQISRDKDKAYPLLLDKSCLEDCTEEVYACGNEVKCL >EOX94229 pep chromosome:Theobroma_cacao_20110822:1:26529103:26534319:-1 gene:TCM_003769 transcript:EOX94229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MKRRNHDINTESPIRTSFPAALHRVHSSVTRPDSRSNRDKRLKKFVYDAGQKFDSHSMRREKNQSNKLNSIECHEVVEGTKIVRNISKSSEGTNIITSCSKDFRSPLSVRNVSSKELRSLLSSQHASSKDSGSSLPVNNVTCINFDSFLSPVYGAANNWGMEGSRSDQVELFSKKRQKLHQWAHNSSPEMEELCSEGYDLISMILSRLLPQNNEKNSFRSAESAPAESDTKTQLLACPKADIPSKKLYRMPTRNIMEVECMPYLENGTSCYWSDRSKETRCSNICSPTFIDHNTLQKNLELPSCELRGKNLISCVEGDSTFSFPFVRHGSFPPFSPFKELDDFHDLNGSLPGREPLLLLEWDSINMNERSLSATCQKTNWTIVPAVQSSWDHHQSLNMLSESYGTFRFCSSPVLRNYPQDFYTRVPPTSTSCDKQELGGSVLEEKEDTVADMNPLPLSLSHSANYLNLIGDCNYYEIACKGSETSDILPSLGNHLGFLKKAFGEEDSSRGSGTQLSFALDVEWKCLHSSGLVRDQYSSTYNDHQFPEKEIIYSTFLSEDEFGSSLDGSSYRSLIHSSQDMLGIHDRRSFIFQISRDKDKAYPLLLDKSCLEDCTEEVYACVQNLENECSSPHQVLRLLGPDSASTP >EOX94230 pep chromosome:Theobroma_cacao_20110822:1:26530301:26534319:-1 gene:TCM_003769 transcript:EOX94230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MRREKNQSNKLNSIECHEVVEGTKIVRNISKSSEGTNIITSCSKDFRSPLSVRNVSSKELRSLLSSQHASSKDSGSSLPVNNVTCINFDSFLSPVYGAANNWGMEGSRSDQVELFSKKRQKLHQWAHNSSPEMEELCSEGYDLISMILSRLLPQNNEKNSFRSAESAPAESDTKTQLLACPKADIPSKKLYRMPTRNIMEVECMPYLENGTSCYWSDRSKETRCSNICSPTFIDHNTLQKNLELPSCELRGKNLISCVEGDSTFSFPFVRHGSFPPFSPFKELDDFHDLNGSLPGREPLLLLEWDSINMNERSLSATCQKTNWTIVPAVQSSWDHHQSLNMLSESYGTFRFCSSPVLRNYPQDFYTRVPPTSTSCDKQELGGSVLEEKEDTVADMNPLPLSLSHSANYLNLIGDCNYYEIACKGSETSDILPSLGNHLGFLKKAFGEEDSSRGSGTQLSFALDVEWKCLHSSGLVRDQYSSTYNDHQFPEKEIIYSTFLSEDEFGSSLDGSSYRSLIHSSQDMLGIHDRRSFIFQISRDKDKAYPLLLDKSCLEDCTEEVYACGNEVKCL >EOX94232 pep chromosome:Theobroma_cacao_20110822:1:26530130:26533726:-1 gene:TCM_003769 transcript:EOX94232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MEGSRSDQVELFSKKRQKLHQWAHNSSPEMEELCSEGYDLISMILSRLLPQNNEKNSFRSAESAPAESDTKTQLLACPKADIPSKKLYRMPTRNIMEVECMPYLENGTSCYWSDRSKETRCSNICSPTFIDHNTLQKNLELPSCELRGKNLISCVEGDSTFSFPFVRHGSFPPFSPFKELDDFHDLNGSLPGREPLLLLEWDSINMNERSLSATCQKTNWTIVPAVQSSWDHHQSLNMLSESYGTFRFCSSPVLRNYPQDFYTRVPPTSTSCDKQELGGSVLEEKEDTVADMNPLPLSLSHSANYLNLIGDCNYYEIACKGSETSDILPSLGNHLGFLKKAFGEEDSSRGSGTQLSFALDVEWKCLHSSGLVRDQYSSTYNDHQFPEKEIIYSTFLSEDEFGSSLDGSSYRSLIHSSQDMLGIHDRRSFIFQISRDKDKAYPLLLDKSCLEDCTEEVYACGNEVKCL >EOX91774 pep chromosome:Theobroma_cacao_20110822:1:3787837:3790953:-1 gene:TCM_000853 transcript:EOX91774 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein with a domain of Uncharacterized protein function (DUF21) MAIEYHCCETGFFIQIIIVAGLVLFAGLMSGLTMGLMSMSLVDLEVLARSGTPTDSKHATKILPVVRRQHLLLCSLLICNAAAMEALPIFLDSLVSAWGAILISVTLILLFGEIVPQAVCTRYGLAIGAKVAPFVQILVWICFPVAYPISKLLDLLLGKGHEALFRRAELKTLVDLHGNEAGKGGELTRDETTIIAGALELSEKTAMDAMTSISETFAININAKLDRDLMKLILEKGHSRIPVYHERLENIIGLVLVKNLLTIHPEDEVPVKNITLRRIPRVPETMPLYDILNEFQKGHSHMAVVIKQNNKTEHTASEHSDRDVRLDIDGEKHPPGKCLPSKRTLKKWKSLDGNSHRASSKGKKWARDIHSEILQINDDSLPLTSGDGEAIGIITLEDVIEELLQEEIFDETDHRHES >EOX91440 pep chromosome:Theobroma_cacao_20110822:1:2743588:2744925:1 gene:TCM_000638 transcript:EOX91440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTCHEEKETAIALNADFVFIQASSWKLLVEAVLSFFLTFLQRVSPPLLLLCIQLKRMMGLIPGIDQQRT >EOX94212 pep chromosome:Theobroma_cacao_20110822:1:25864751:25868309:1 gene:TCM_003712 transcript:EOX94212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPKKKVRGVPLSFKASAMKDEYKLWYHFLVAQLLLVKHLNDVTRDKAILLHAIIFRMSINVGQVMFNNIVQAAHSPHDALWYPSLITALCKKMGVIRDKMKKSCIPKFFWM >EOX94170 pep chromosome:Theobroma_cacao_20110822:1:24245353:24254844:1 gene:TCM_003542 transcript:EOX94170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGGMFLLFTYKVLIFFPLLILSLPSIAKPISGDKENEIDLNKEVYQENDVSISINITPSEDLDIPIVLTSGDYEEFNVLIEDKEDDMEEDGEELEDQDEETFRMMVKGKHSKPRPRAPNASRSMLSLTKVGPFPNLSIHPQRQYANDLPSKPPSSFDASTELLINVTFVHDSHGYTSVDLGVSGHGTSSKLRGRGLGANEMNRDVSFVEVFNRTYRRLRGHDDFITNKSKTISIEIIGRMMTTCTHVHGFNSRKPTTTRLNDGTTSEPVGRPCTDLDISGVPIVRLVIRHGGQWVDGIYKGVHPEELSHPIIKDNEDVDSARIEEYFDCGVMLFSNETATLEDNTATLEDNTASDEGNKNLFFASENRFDDNSYDGIDGWHDDSLDDDWLYDSDILICNNVEGETELVGGVDVEDVQGDDPTYN >EOX90869 pep chromosome:Theobroma_cacao_20110822:1:873378:876760:-1 gene:TCM_000218 transcript:EOX90869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 2B4 isoform 2 MGEGRGDWECSGCRNRNYAFRCFCNRCKQPRLLVDTKTPADSKWLPRIGDWICTDKFAIAKAYPLLLSVHECNLFTEHVDMCFVKLRLGNQYQLACTNNNYASREKCKKCGQPKEIAAIPAIAMPGASLPTYSHYFARAPGALEQKINVGFVGSVAPQQSLPLSSNWSVGGVDNYGLHSTSTWPVAGNQTFGVPYVNSSYQPLSIPKGWRNGDWICNCGFHNYSSRSQCKNCNASITPALGTKRLASEEFVHDWDNKRLNSGHGNGQLQPYPGFDQMVGATSDPKPGAYPPYPSLNPGVASNWQAPISFPHQATTPTLLGKGAKQWRNGDWICTKCSNHNYASRAQCNRCKTQRDPVTQPVNAA >EOX90870 pep chromosome:Theobroma_cacao_20110822:1:873578:876384:-1 gene:TCM_000218 transcript:EOX90870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 2B4 isoform 2 MPGASLPTYSHYFARAPGALEQKINVGFVGSVAPQQSLPLSSNWSVGGVDNYGLHSTSTWPVAGNQTFGVPYVNSSYQPLSIPKGWRNGDWICNCGFHNYSSRSQCKNCNASITPALGTKRLASEEFVHDWDNKRLNSGHGNGQLQPYPGFDQMVGATSDPKPGAYPPYPSLNPGVASNWQAPISFPHQATTPTLLGKGAKQWRNGDWICTKCSNHNYASRAQCNRCKTQRDPVTQPVNAA >EOX95469 pep chromosome:Theobroma_cacao_20110822:1:34312545:34313589:-1 gene:TCM_004956 transcript:EOX95469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid inducible 20 MGRATSSSSSSIESSNHFAFSNTGASSSSQRDLSTDLRLGLSISTSPSYGREQQSDWPPIKPLLGQALAEDENECNSATFFVKVYMEGIPIGRKLDLLAHESYYDLIKTLEQMFNANIIWAEAEVDADHYEKYHVLTYEDKEGDWMMVGDVPWEMFLSAVRRLKITKC >EOX93219 pep chromosome:Theobroma_cacao_20110822:1:11335947:11338812:-1 gene:TCM_002059 transcript:EOX93219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAELIALRHGLIIAIKYGVDYIEIEGDQSLVIQMLVRQAIPFSKICQSILADCVKYIKSFRSVKVHQIDEYSNDAANNIARIATELEETSHWDGWVPTKIANILVTDANLAHSKCENFYWQVMKGMIAVKREFLRRGFIDKNVALYHICNADIDIVEHLFIDYYGVWCVWMLWVNFWRFSWTSLKNLRDYFSIWNEAVNGRDHFLIWKLSFFTIPWMIRIQRNNLVSNGKPWDSVKLATGSLKFNVDGATRGCPSPSRIEGALRDHEGFFSQSHIELDFSNAVKWINCPFSSPWQFKCILRLIDFLIKNIKNWYIIHIPYEANYLADRLAKLAINCTSERIQVFG >EOX94154 pep chromosome:Theobroma_cacao_20110822:1:23811405:23814333:-1 gene:TCM_003497 transcript:EOX94154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFGWITTGVNSEVVNNLTNQNVLKEVFDAPLYGVEHVIHDKFGEINATVEFDIKKEPKVLLSDSEVV >EOX91900 pep chromosome:Theobroma_cacao_20110822:1:4418748:4422237:1 gene:TCM_000961 transcript:EOX91900 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA/rRNA methyltransferase family protein MICAYEPWVQASISYPPQLKRLNQVPNLSITKTKTQAQRKSLDNRFDDIEGDDKIDNGGGSWLMKFTLPSHVKSITSTSNPFIKHCLKLKSSSSYRHSHASALVVGTSPLREVYRYQDSSQEQIVGMDCLLLLDKAKIPKGLDKHSVHVVHVSSMVMKKLSGVQSAESIEAIAIMRFPTSFLNLDVDLNNSDCQSWFPSTHRILVLDGIQDPGNLGTLLRSAMAFRWGGIFLLPGCCDPFNEKALRASRGACFQLPIVSGSWYHLETLKDAFNMKMLAGHPDSDGKTEKVSSLSQEFVHSLAHVPLCLVLGSEGRGLSEKAQQECELVRIPMAGEFESLNVSVAGGIFLYMLQPKN >EOX94430 pep chromosome:Theobroma_cacao_20110822:1:28459579:28461849:-1 gene:TCM_004002 transcript:EOX94430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar isomerase domain-containing protein isoform 1 MNGHSKPKTKAQKGRQYHPTLAPFFISKHIEKKTSDLRPSIMGSLPPSLDLPTPPLKKPSHQHIPETALLNLFKSQQEHLNYFFQNLNLSQTLSFTETLLNSRGTIFFSGVGKSGFVAHKISQTLVSLGIRSSFLSPLDALHGDIGALSSADVLVLFSKSGSTEELLRLVPCARSKGAYLIAVTSVSNNALANACDMNVHLPLQRELCPFDLAPVTSTAIQMVFGDTVAIALMGARNLTKEQYAANHPAGRIGKSLIFKVKDVMKKQDELPICKEGDLIMDQLVELTSKGCGCLLVVDEEYHLLGTFTDGDLRRTLKASGEGIFKLTVGEMCNRKPRTIGPDAMAVEAMQRMESPPSPVQFLPVIDHQNILIGIVTLHGLVSAGL >EOX94431 pep chromosome:Theobroma_cacao_20110822:1:28460200:28461852:-1 gene:TCM_004002 transcript:EOX94431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar isomerase domain-containing protein isoform 1 MNGHSKPKTKAQKGRQYHPTLAPFFISKHIEKKTSDLRPSIMGSLPPSLDLPTPPLKKPSHQHIPETALLNLFKSQQEHLNYFFQNLNLSQTLSFTETLLNSRGTIFFSGVGKSGFVAHKISQTLVSLGIRSSFLSPLDALHGDIGALSSADVLVLFSKSGSTEELLRLVPCARSKGAYLIAVTSVSNNALANACDMNVHLPLQRELCPFDLAPVTSTAIQMVFGDTVAIALMGARNLTKEQYAANHPAGRIGKSLIFKVKDVMKKQDELPICKEGDLIMDQLVELTSKGCGCLLVVDEEYHLLGTFTDGDLRRTLKASGEGIFKLTVGEMCNR >EOX95407 pep chromosome:Theobroma_cacao_20110822:1:34074061:34076874:1 gene:TCM_004913 transcript:EOX95407 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthetase 2 isoform 1 METFLFTSESVNEGHPDKLCDQISDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANIDYEKIVRDTCRTIGFVSDDVGLDADKCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGSCPWLRPDGKTQVTVEYYNDNGAMVPVRVHTVLISTQHDETVTNDEIAADLKELVIKPVVPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCLVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILQIVKQNFDFRPGMITIKLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQS >EOX95408 pep chromosome:Theobroma_cacao_20110822:1:34074057:34076839:1 gene:TCM_004913 transcript:EOX95408 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthetase 2 isoform 1 METFLFTSESVNEGHPDKLCDQISDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANIDYEKIVRDTCRTIGFVSDDVGLDADKCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGSCPWLRPDGKTQVTVEYYNDNGAMVPVRVHTVLISTQHDETVTNDEIAADLKELVIKPVVPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCLVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILQIVKQNFDFRPGMITIKLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQS >EOX90669 pep chromosome:Theobroma_cacao_20110822:1:282752:290848:1 gene:TCM_000070 transcript:EOX90669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab escort protein MNDLPPYPPIDPTTFDLIVIGTGLPESIVAAAASTASKSVLHLDPNPFYGSHFSSIPLTDLSSFLSFHSTSPSPSPSPSSNGHHDFTALDFAIRPLYSSLDISNFSPQLLDQHSRRFNIDVAGPRVLFCADKSIDLMLKSGASQYMEFKSIDASFVGDDKGNLWSVPDSRAAIFKDKSLGLMEKNQLMRFFKLVQGHLAGEEGLKISEEDLESPFVDFLNKMGLPPKIKSFILYAIAMADYDQEDVGVCQDLLKTKDGIDQLALYNASVGRFSNALGALIYPVYGQGELSQAFCRRAAVKGCLYVLRMPVTSLLVDKDSGCYKGVRLASGQDIFSKKLILDPSFTVPLPLGSPSPHPLQEKLPLFSLRDDRGKVARGICITKTSLKPDVSNFLVVYPPRSLFPEQVTSIRLLQMGSNLAVCPPDMFVIYISALCTDDDQGKKLLHAVMNTLVTLPVSTNSESNAAVQSESAESGGAAQNETAESSFTVQSDLGEGKPTLLWSALYIQKLTLGQVDFICSGPMPDGKLNYNDLLDATVKLFQEIYPDEEFFPETSTLEFSEDDVQFLTCHATLQTCGQGPKVVGEQSWFAAFLLTLMISSSSSSSSWSCLKTPSLTTLLVFENTNNKELIRSLHVYRLENGEENEVEREFVFRKDGPYVEMATSPFLRLQGFRPQELFEGRVTGLWLCVFAFHAHRLPRFFFIPSLLSISRNPKLKSIPTLANDLQVIFQRCCGTEDRGPSRKSSKETCTRDGDNYCQLKRSLLVLDQDLNCLPNSIATSELLKSQHTEQSATGVAVKKKKRADSKDIARIALEDLAKYFDLPIVEASRNLNVGLTVLKRKCREFGIPRWPHRKIKSLDGLIRDLQEEAEQRQQEDEAAAFAVAKRRMMLETEKESIEREPFIELKSETKRFRQDIFKRRHKAKALKNQCLSVLHNPVTELDGNT >EOX96718 pep chromosome:Theobroma_cacao_20110822:1:38746050:38747110:1 gene:TCM_005908 transcript:EOX96718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger A20 and AN1 domain-containing stress-associated protein 5, putative MAQRTEKEETEFKVPETLTLCINNCGVTGNPATNNMCQKCFNATTATPSSSSSSSSSATSPSATGGAIAGGASIPKFSDDQSSRSTPSRSQQNRSDSSPPTTAATVTNSRTTAWNRSGNDPAAAAEKKVVNRCSGCRKRVGLTGFRCRCGELFCAEHRYSDRHDCSYDYKTAGREAIARENPVVKAAKIIRV >EOX95169 pep chromosome:Theobroma_cacao_20110822:1:33158788:33163326:-1 gene:TCM_004726 transcript:EOX95169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response sensor 1 METCDCIDSQWPPEELLVKYQYISDVLIALAYFSIPLELIYFVQKSAFFPYRWVLMQFGAFIILCGATHFINLWTFTMHSKAVAVVMTIAKVSCAIVSCATALMLVHIIPDLLSVKTRELFLRNKAEELDREMGLILTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRTGMNLQLSHTLNYQIQVGATVPLNLPIVNEVFNSARAVRIPYTCPLASRIRPLVGRFVAPEVVAVRVPLLNLSNFQINDWPDLSAKSYAVMVLILPTDSARKWRDHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVDLDLARREAEKAIHARNDFLAVMNHEMRTPMHAIIALCSLLLETELTPEQRVMIETVLKSSNLLATLINDVLDLSRLEDGSLELDFGMFNLHGIFKEVINLIKPIASVKKLSMTMILAPDLPMYAVGDEKRLMQTILNVTGNAVKFTKEGFVSIVASVAKPESLRDWRPPDFYPVSSEGHFYLQVQVKDSGCGVLPQDMPTLFTKFAQPRSGSSRNSGGAGLGLAICKRFVNLMGGHIWIESEGVDKGSTATFLVKLGICNNPNDSSIHQVPSHVKAYRGSADLSGQKPILRDYDGGTSSTTRYQRSL >EOX95749 pep chromosome:Theobroma_cacao_20110822:1:35443780:35447095:1 gene:TCM_005176 transcript:EOX95749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein, putative isoform 3 MARTKHTAAKKRKPKPSAAAGASTSTPSPGTSPARVLRTRKTGGEGKTGGQATPSQGTSKKPHRFRPGTRALQEIRKYQKSWKPLIPAASFIREVRAITYQLAPDISRWKAEALVAIQEAAEEYLVQLFGDAMLCAIHAKRVTLMKKDIQLARRLGGMGQPW >EOX95750 pep chromosome:Theobroma_cacao_20110822:1:35443587:35446969:1 gene:TCM_005176 transcript:EOX95750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein, putative isoform 3 MARTKHTAAKKRKPKPSAAAGASTSTPSPGTSPARVLRTRKTGGEGKTGGQATPSQGTSKKPHRFRPGTRALQEIRKYQKSWKPLIPAASFIREVRAITYQLAPDISRWKAEALVAIQEAAEEYLVQLFGDAMLCAIHAKRVTLMKKDIQLARRLGGMGQPW >EOX95751 pep chromosome:Theobroma_cacao_20110822:1:35443697:35446691:1 gene:TCM_005176 transcript:EOX95751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein, putative isoform 3 MARTKHTAAKKRKPKPSAAAGASTSTPSPGTSPLLKARVLRTRKTGGEGKTGGQATPSQGTSKKPHRFRPGTRALQEIRKYQKSWKPLIPAASFIREVRAITYQLAPDISRWKAEALVAIQEAAEEYLVQLFGDAMLCAIHAKRVTLMKKDIQLARR >EOX94973 pep chromosome:Theobroma_cacao_20110822:1:32259293:32265131:1 gene:TCM_004560 transcript:EOX94973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor 1 isoform 1 MNGLAMSSTARMFTSFNHFPMRHRLAPQSRGLVVTRPWLTFRAPRIVCIAEPYLITKLESAEKTWKELSVRLADPDVVSNHIEYQKLAQSMAELDEVVSTFRRFKDCEKQLEETKALSEEEGTDEDMAEMIASEINSLSSQLKELEEKLKVLLLPSDPLDARNIMLEVRAGTGGDEAGLWAGDLVVIIYMAKKWKENFTVRMYQKYSERNSWKSTLVSYSEAEKGGFKTCVIEVKGNRVYSKLKYESGVHRVQRVPQTEAQGRVHTSTATVAIMPEADEVEVEIDPKDIELTTARSGGAGGQNVNKVETAVDLFHKPTGIRIFCTEERTQLQNKNRALQLLRAKLYEIKVREQQEQIRSQRKSQVGTGARAEKIRTYNYKLESNGLVQDISIMSLVKTYEYYATIYQDNRVTDHRLKMNFELMSFLEGDIENAVQACTAMEQKELLEELAESVTATPS >EOX94974 pep chromosome:Theobroma_cacao_20110822:1:32259302:32265167:1 gene:TCM_004560 transcript:EOX94974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor 1 isoform 1 MNGLAMSSTARMFTSFNHFPMRHRLAPQSRGLVVTRPWLTFRAPRIVCIAEPYLITKLESAEKTWKELSVRLADPDVVSNHIEYQKLAQSMAELDEVVSTFRRFKDCEKQLEETKALSEEEGTDEDMAEMIASEINSLSSQLKELEEKLKVLLLPSDPLDARNIMLEVRAGTGGDEAGLWAGDLVRMYQKYSERNSWKSTLVSYSEAEKGGFKTCVIEVKGNRVYSKLKYESGVHRVQRVPQTEAQGRVHTSTATVAIMPEADEVEVEIDPKDIELTTARSGGAGGQNVNKVETAVDLFHKPTGIRIFCTEERTQLQNKNRALQLLRAKLYEIKVREQQEQIRSQRKSQVGTGARAEKIRTYNYKDNRVTDHRLKMNFELMSFLEGDIENAVQACTAMEQKELLEELAESVTATPS >EOX91853 pep chromosome:Theobroma_cacao_20110822:1:4152078:4153340:-1 gene:TCM_000916 transcript:EOX91853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRHLSEFDNKKDEKQVGGLILTPLERQQLLRCIRNLSFSCSLLGGTHRTLLHLVEDKLFLWLYEVNNQ >EOX91874 pep chromosome:Theobroma_cacao_20110822:1:4251349:4255127:1 gene:TCM_000934 transcript:EOX91874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein MAAGLYNLFYLPFIFAFFLLVFPSVNSVNFQISRFEPNDDRILYQGEASPSDEIINFNSLDILYRVGWVTYANRVPLWEPKTGKLSDFSTRFAFSININNLSDYGHGFVFFLAPAGSQIPPNSAAGRLGLFNTSQWVSTLGQVVLVEFDTYKNGWDPDQLDNHVGINNNSIVSAVYTRWNASIHNGDTADVLITYNATTKNLSASWSYRATNNPQENSSLRYQIDIMKALPEWVMVGFSAATEQRKLGEGGFGAVYRGYLPDLDMVVAVKRISRRSKQGKKEYVTEVKVISQLRHRNLVQLIGWCHDRSELILVYEFMPNGSLDFHLFGQKFPLSWPVSFNVKLGDFGLARLMDHELGPKTTGLAGTLGYLAPEYVSTGRASKESDVYSFGVVLLEIATGRKSIHRIEKFEMGLVAWVWDLYGQGKLPLAVDEKLNKEVDEKQVECLMIVGLWSAHPDSSSRPSIRQAIQVLNFDIEKPNLLMKMPVPTYLVPTQLVTSQEPLLTNSSMEVGR >EOX94235 pep chromosome:Theobroma_cacao_20110822:1:26551636:26553160:-1 gene:TCM_003772 transcript:EOX94235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYEVDRCSSYIFDVSLHITLAGTRNETWLSIKGLIYTIGYGNQSEGITLEILWSITCKKVR >EOX96454 pep chromosome:Theobroma_cacao_20110822:1:37860784:37865206:-1 gene:TCM_005700 transcript:EOX96454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MTPKSVIAFHLIIFLLYLCHGDEGKSCSDAACSEEGPPIRFPFRLKDRQAESCGYAGFELSCSEKNETLIEIPKSGQFFVAKIDYDDQKIYMGDPQGCMARRLINLTLTTSPFTIFGNHSILLNCSSRIEKQDVVHIDCLSSSSHPVYGVSDSTYVSQDLLSCRPIADVTPSYIDDIQSLMGESYSLYNFTKLSWKIPVCGSDCRIRGGKCRFKSYNSLEVECFTDHIPPYESPQHEEKSSGHINKGVSIGISVGILTLTLMVTLIILYLRSRRAKVLENRKKIMKFLEDLRPLNPTRYSFADLRKMTNEFEKKLGQGGYGSVFKGKLENGVLVAVKVLDNSKGNGEDFTNEVSTIGRIYHVNVVRLLGYCADGSDRALVYEFMPNKSLDKFIFPANSNRPKLSWGKLHDIAIGVARGIEYLQEGCDERILHFDIKPQNILLDMDFNPKISDFGLAKLLPRKESVVPITAVRGTMGYIAPEVYFSGNIGNVSYKTDVYSFGMLLFEMVGSKRNTDLTVENTSQVYFPQWVYNRLAGGEDLGIKEEKDGDTDIAKKLSVVAIWCVQWDPSSRPSISNVIQLLEGRTGSLPLRLPPDPFASLSSEESDMNVP >EOX90606 pep chromosome:Theobroma_cacao_20110822:1:127783:130062:1 gene:TCM_000030 transcript:EOX90606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 6 isoform 4 MATRLMFENSCEVGVFSKLTNAYCLVAIGGSESFYSTFEAELADVIPVVKTSIAGTRIIGRLCAGQLLPKMVFSCRTPLLTKVVVQRVDERLSALGNCIACNDHVALTHTDLDRETEELIADVLGVEVFRQTIAGNILVGSYCAISNRGGLVHPHTSIEDLDELSTLLQVPLVAGTINRGSEVIAAGMVVNDWTAFCGADTTATELSVIESVFKLREAQPTAIVDEMRKSLIDSYV >EOX90604 pep chromosome:Theobroma_cacao_20110822:1:127879:129805:1 gene:TCM_000030 transcript:EOX90604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 6 isoform 4 MATRLMFENSCEVGVFSKLTNAYCLVAIGGSESFYSTFEAELADVIPVVKTSIAGTRIIGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDQVVVQRVDERLSALGNCIACNDHVALTHTDLDRETEELIADVLGVEVFRQTIAGNILVGSYCAISNRGGLVHPHTSIEDLDELSTLLQVPLVAGTINRGSEVIAAGMVVNDWTAFCGADTTATELSVIESVFKLREAQPTAIVDEMRKSLIDSYV >EOX90605 pep chromosome:Theobroma_cacao_20110822:1:127766:129890:1 gene:TCM_000030 transcript:EOX90605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 6 isoform 4 MATRLMFENSCEVGVFSKLTNAYCLVAIGGSESFYSTFEAELADVIPVVKTSIAGTRIIGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDQVVVQRVDERLSALGNCIACNDHVALTHTDLDRETEELIADVLGVEVFRQTIAGNILVGSYCAISNRGGLVHPHTSIEDLDELSTLLQVPLVAGTINRGSEVIAAGMVVNDWTAFCGADTTATELSVIESVFKLREAQPTAIVDEMRKSLIDSYV >EOX90607 pep chromosome:Theobroma_cacao_20110822:1:128194:129805:1 gene:TCM_000030 transcript:EOX90607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 6 isoform 4 MNLLHLYAIFSNDPLSDCFACPIKELQHLRNSLPDQVVVQRVDERLSALGNCIACNDHVALTHTDLDRETEELIADVLGVEVFRQTIAGNILVGSYCAISNRGGLVHPHTSIEDLDELSTLLQVPLVAGTINRGSEVIAAGMVVNDWTAFCGADTTATELSVIESVFKLREAQPTAIVDEMRKSLIDSYV >EOX93473 pep chromosome:Theobroma_cacao_20110822:1:13244854:13248534:-1 gene:TCM_002337 transcript:EOX93473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 11 isoform 2 MYQWRKFEFFEEKLGGGKCKIPEEIGGKIECCSSGRGKLVIGCDDGTVSLLDRGLNLNFGFQAHSSSVLFLQQLKQRNFLVSIGEDEQISPQQSGMCLKVFDLDKMQPEGSSTTSPDCIGILRIFTNQFPQAKITSFLVLEEAPPILLIAIGLDNGCIYCIKGDIARERITRFKLQVDSVSDKGNSLITGLGFRLDGQALLLFAVTPNSVSLFSMQNQPPRRQILDQIGCNVNSVTMSDRSELIIGRPEAVYFYEVDGRGPCWAFEGEKKFLGWFRGYLLCVIADQRNGKNTFNVYDLKNRLIAHSLVVKEVSHMLCEWGNIILIMTDKSALCIGEKDMESKLDMLFKKNLYTVAINLVQTQQADATATAEVLRKYGDHLYSKQDYDEAMAQYILTIGHLEPSYVIQKFLDAQRIYNLTNYLENLHEKGLASKDHTTLLLNCYTKLKDVEKLNVFIKSEDGAGEHKFDVETAIRVCRAANYHEHAMYVAKKAGRHEWYLKILLEDLGRYDEALQYISSLEPSQAGVTVKEYGKILIEHKPGETIDILMRLCTEDVDLAKSGTSNGAYLSMLPSPVDFLNIFIHHPQSLMDFLEKYADKVKDSPAQVEIHNTLLELYLSIDLNFPSISQANNGIDFNLKAKPAAPAMSRAVYNGKLTVDGKNSYFEKDTLERRERGLRLLKSAWPSDLEHPLYDVDLAIILCEMNAFKEGLLYLYEKMKLYKEVIACYMQAHDHEGLIACCKRLGDSGKGGDPTLWADLLKYFGELGEDCSKEVKEVLTYIERDDILPPIIVLQTLSRNPCLTLSVIKDYIARKLEQESKLIEEDRRAIEKYQESLNCTGRHIDDEKR >EOX93472 pep chromosome:Theobroma_cacao_20110822:1:13243495:13250249:-1 gene:TCM_002337 transcript:EOX93472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 11 isoform 2 MRFGKYVIYVEIYSCDGCGVGKTALSLPQLAIAVLLERMAMVVPSIISLIHFLGRQIVVYLVLLLSFGNNRPGNSNNRFIFRKVIRKPIRDREGRMYQWRKFEFFEEKLGGGKCKIPEEIGGKIECCSSGRGKLVIGCDDGTVSLLDRGLNLNFGFQAHSSSVLFLQQLKQRNFLVSIGEDEQISPQQSGMCLKVFDLDKMQPEGSSTTSPDCIGILRIFTNQFPQAKITSFLVLEEAPPILLIAIGLDNGCIYCIKGDIARERITRFKLQVDSVSDKGNSLITGLGFRLDGQALLLFAVTPNSVSLFSMQNQPPRRQILDQIGCNVNSVTMSDRSELIIGRPEAVYFYEVDGRGPCWAFEGEKKFLGWFRGYLLCVIADQRNGKNTFNVYDLKNRLIAHSLVVKEVSHMLCEWGNIILIMTDKSALCIGEKDMESKLDMLFKKNLYTVAINLVQTQQADATATAEVLRKYGDHLYSKQDYDEAMAQYILTIGHLEPSYVIQKFLDAQRIYNLTNYLENLHEKGLASKDHTTLLLNCYTKLKDVEKLNVFIKSEDGAGEHKFDVETAIRVCRAANYHEHAMYVAKKAGRHEWYLKILLEDLGRYDEALQYISSLEPSQAGVTVKEYGKILIEHKPGETIDILMRLCTEDVDLAKSGTSNGAYLSMLPSPVDFLNIFIHHPQSLMDFLEKYADKVKDSPAQVEIHNTLLELYLSIDLNFPSISQANNGIDFNLKAKPAAPAMSRAVYNGKLTVDGKNSYFEKDTLERRERGLRLLKSAWPSDLEHPLYDVDLAIILCEMNAFKEGLLYLYEKMKLYKEVIACYMQAHDHEGLIACCKRLGDSGKGGDPTLWADLLKYFGELGEDCSKEVKEVLTYIERDDILPPIIVLQTLSRNPCLTLSVIKDYIARKLEQESKLIEEDRRAIEKYQEDTLTMRKEIEDLRTNARIFQLSKCTACTFTLDLPAVHFMCMHSFHQRCLGDNEKECPECAPEYRSVMEMKRSLEQNSKDQDRFFQLVKSSKDGFSVIAEYFGKGVISKTSNGPTGTVRSGSTYSSSGF >EOX92810 pep chromosome:Theobroma_cacao_20110822:1:8705433:8707388:-1 gene:TCM_001680 transcript:EOX92810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNEIEENINLATNDSTSTPQVDVSVIEGNARSEAVSSKPQKHKEPSKTSLLVWDHFAKFVDDKGNHKASCSHYDKVLCADTKKIEHLL >EOX93992 pep chromosome:Theobroma_cacao_20110822:1:18201513:18205630:1 gene:TCM_003022 transcript:EOX93992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFRDGFDKLIVLVCNVGKSINHVGLFETWRVFFESLGRLQRPGRGRCNPSRTETLMDNGLMFYLLITFDIPWV >EOX94620 pep chromosome:Theobroma_cacao_20110822:1:30525378:30527108:1 gene:TCM_004254 transcript:EOX94620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-like 11, putative MPDTLTEDQIAEFREAFCLIDKDSDGFVTMEELATVIQTLDGNPTKEEVQDMISEVDVEGNRTIDFEDFLNIMATKMKENVADELKEAFKVFDRDQDGFISANEVLSKLARAVFIFLLLFPPTKECLTTNSSLKYEKISLDPSTNQIPYVIGVQLRQVMMNLGERLTVEEAEQMIKEADLDGDGLVSYEEFARMIMAF >EOX95271 pep chromosome:Theobroma_cacao_20110822:1:33627856:33631800:1 gene:TCM_004820 transcript:EOX95271 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 35, putative MVMDASVSPKLDSKASEESELRPSESQASKRRKVVEKTVVTVKIGENSGKLKNEGPPSDLWSWRKYGQKPIKGSPYPRGYYRCSTSKGCSAKKQVERCRTDASMLIITYTSSHNHPGPDLHTTNLMQSPKEPQTDHGDDQPPTPKQQQLPEDPQQEEPEEVQNEPIKTSSDEDAIEEHFHYLQSPLSSPRNIIINQEDLFTGNLVKTHDNLGFLLDEEPLSCPHITTSSTPKSEENDFFDELEELPISSAFTSLMRSKFSDEGIPVVPS >EOX92723 pep chromosome:Theobroma_cacao_20110822:1:8271529:8280979:-1 gene:TCM_001618 transcript:EOX92723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2 family protein isoform 3 MFGGSAFDALANDDDGEVVDDSLKEEDNVGEEEEENDKHALAKALRGKKKKSKGAKKGGSWFTAAALDELDDDTGEKDDEKKDEEEDTPSLSFSGKKKKSSKSSKKSGGMFGGSAFDAIANEDDNGEMVEDESKVEEEEDDDDVLAKAFTGKKKKSKGGKKSGMFGRSAFDSIADEDDNGQMVEEESKVEEEEDVHAKAFTGKKKNSRGGKKGGSWFAAAALDELDDEAGEKEDEKKDEEDDVPSFFFSDKKKKSARSSKKSGNSFSAALLDEEDDGEASVSEPAVVDDFDDVSAIAFSGKKKKSSKKKSNSAFAILTDGTEPQSEVTDMVESEQPSLGTSNVEADDSKTNNKSEGIAETSKNKKKKKKNKSGRTAQEEDDLDKILAELGEGPTVSKPAAPPPAEENVQVLPETVIPADATGEKEGEEEGVESAAAKKKKKKKEKEKEKKAAAAAAATASADVKEESQEEMKIETSDTKKKDAKSKAADKKLPKHVREMQEALARRQEAEERKKREEEERLRKEEEERRRQEELERQAEEARRRKKEREKERLLKKKQEGKLLTGKQKEEARRLEAMRNQILGNKGGLPLPSADKDGAPTKRPIYQSKRSKTAHHHANGAASSKPEEKVQAKEKQQEEQETKDEVDTLEDEKVDEVESNNTEEKSVVADAAEDIGMEEEDDDDGEWDEKSWDDVNLNVKGAFDDEEADFEPKHVVQKDIKSAAPASRNAAPPAVAKPTVETKKASASRSIKSQDDESKKPQPEAEAPDKNMKKNTAAKNKAPRSDAPPKQSEENLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTRELKADAKLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRNTEFIVALNKVDRLYGWKVLRNAPILKSLKQQSKDVQNEFNMRLTHIVTQFKEQGLNTELYYKNREMGETFSIVPTSAITGEGIPDLLLLLVQWAQKTMVEKLTFNDEVQCTVLEVKVIEGLGTTIDVVLVNGVLHEGDQIVVSGLQGPIVTTVRALLTPHPMKELRVKGTYMQHKEIKAAMGIKIAAQNLEHAIAGTGLYVVGPDDDLEDVKEAVREDMQSVMSRIDKSGEGVYVQASTLGSLEALLEFLKTPEVNIPVSGIGIGPVHKKDVMKASVMLEKKNEYATILAFDVKVTPEARELADELGVRIFIADIIYHLFDQFKAYIDGLKEERKKESADEAVFPCVLKILPNCIFNKKDPIVLGVDVLEGIARVGTPICIPQREFIDIGRLASIENNHRPVEVAKKGQKVAIKIAGSNPEEQQKMYGRHFELEDELVSHISRRSIDVLKANYRDDLTLEEWRLVQRLKILFKIP >EOX92722 pep chromosome:Theobroma_cacao_20110822:1:8271074:8281118:-1 gene:TCM_001618 transcript:EOX92722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2 family protein isoform 3 MFGGSAFDALANDDDGEVVDDSLKEEDNVGEEEEENDKHALAKALRGKKKKSKGAKKGGSWFTAAALDELDDDTGEKDDEKKDEEEDTPSLSFSGKKKKSSKSSKKSGGMFGGSAFDAIANEDDNGEMVEDESKVEEEEDDDDVLAKAFTGKKKKSKGGKKSGMFGRSAFDSIADEDDNGQMVEEESKVEEEEDVHAKAFTGKKKNSRGGKKGGSWFAAAALDELDDEAGEKEDEKKDEEDDVPSFFFSDKKKKSARSSKKSGNSFSAALLDEEDDGEASVSEPAVVDDFDDVSAIAFSGKKKKSSKKKSNSAFAILTDGTEPQSEVTDMVESEQPSLGTSNVEADDSKTNNKSEGIAETSKNKKKKKKNKSGRTAQEEDDLDKILAELGEGPTVSKPAAPPPAEENVQVLPETVIPADATGEKEGEEEGVESAAAKKKKKKKEKEKEKKAAAAAAATASADVKEESQEEMKIETSDTKKKDAKSKAADKKLPKHVREMQEALARRQEAEERKKREEEERLRKEEEERRRQEELERQAEEARRRKKEREKERLLKKKQEGKLLTGKQKEEARRLEAMRNQILGNKGGLPLPSADKDGAPTKRPIYQSKRSKTAHHHANGAASSKPEEKVQAKEKQQEEQETKDEVDTLEDEKVDEVESNNTEEKSVVADAAEDIGMEEEDDDDGEWDEKSWDDVNLNVKGAFDDEEADFEPKHVVQKDIKSAAPASRNAGGAPPAVAKPTVETKKASASRSIKSQDDESKKPQPEAEAPDKNMKKNTAAKNKAPRSDAPPKQSEENLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTRELKADAKLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRNTEFIVALNKVDRLYGWKVLRNAPILKSLKQQSKDVQNEFNMRLTHIVTQFKEQGLNTELYYKNREMGETFSIVPTSAITGEGIPDLLLLLVQWAQKTMVEKLTFNDEVQCTVLEVKVIEGLGTTIDVVLVNGVLHEGDQIVVSGLQGPIVTTVRALLTPHPMKELRVKGTYMQHKEIKAAMGIKIAAQNLEHAIAGTGLYVVGPDDDLEDVKEAVREDMQSVMSRIDKSGEGVYVQASTLGSLEALLEFLKTPEVNIPVSGIGIGPVHKKDVMKASVMLEKKNEYATILAFDVKVTPEARELADELGVRIFIADIIYHLFDQFKAYIDGLKEERKKESADEAVFPCVLKILPNCIFNKKDPIVLGVDVLEGIARVGTPICIPQREFIDIGRLASIENNHRPVEVAKKGQKVAIKIAGSNPEEQQKMYGRHFELEDELVSHISRRSIDVLKANYRDDLTLEEWRLVQRLKILFKIP >EOX92724 pep chromosome:Theobroma_cacao_20110822:1:8272033:8280551:-1 gene:TCM_001618 transcript:EOX92724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2 family protein isoform 3 MFGGSAFDALANDDDGEVVDDSLKEEDNVGEEEEENDKHALAKALRGKKKKSKGAKKGGSWFTAAALDELDDDTGEKDDEKKDEEEDTPSLSFSGKKKKSSKSSKKSGGMFGGSAFDAIANEDDNGEMVEDESKVEEEEDDDDVLAKAFTGKKKKSKGGKKSGMFGRSAFDSIADEDDNGQMVEEESKVEEEEDVHAKAFTGKKKNSRGGKKGGSWFAAAALDELDDEAGEKEDEKKDEEDDVPSFFFSDKKKKSARSSKKSGNSFSAALLDEEDDGEASVSEPAVVDDFDDVSAIAFSGKKKKSSKKKSNSAFAILTDGTEPQSEVTDMVESEQPSLGTSNVEADDSKTNNKSEGIAETSKNKKKKKKNKSGRTAQEEDDLDKILAELGEGPTVSKPAAPPPAEENVQVLPETVIPADATGEKEGEEEGVESAAAKKKKKKKEKEKEKKAAAAAAATASADVKEESQEEMKIETSDTKKKDAKSKAADKKLPKHVREMQEALARRQEAEERKKREEEERLRKEEEERRRQEELERQAEEARRRKKEREKERLLKKKQEGKLLTGKQKEEARRLEAMRNQILGNKGGLPLPSADKDGAPTKRPIYQSKRSKTAHHHANGAASSKPEEKVQAKEKQQEEQETKDEVDTLEDEKVDEVESNNTEEKSVVADAAEDIGMEEEDDDDGEWDEKSWDDVNLNVKGAFDDEEADFEPKHVVQKDIKSAAPASRNAGGAPPAVAKPTVETKKASASRSIKSQDDESKKPQPEAEAPDKNMKKNTAAKNKAPRSDAPPKQSEENLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTRELKADAKLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRNTEFIVALNKVDRLYGWKVLRNAPILKSLKQQSKDVQNEFNMRLTHIVTQFKEQGLNTELYYKNREMGETFSIVPTSAITGEGIPDLLLLLVQWAQKTMVEKLTFNDEVQCTVLEVKVIEGLGTTIDVVLVNGVLHEGDQIVVSGLQQGPIVTTVRALLTPHPMKELRVKGTYMQHKEIKAAMGIKIAAQNLEHAIAGTGLYVVGPDDDLEDVKEAVREDMQSVMSRIDKSGEGVYVQASTLGSLEALLEFLKTPEVNIPVSGIGIGPVHKKDVMKASVMLEKKNEYATILAFDVKVTPEARELADELGVRIFIADIIYHLFDQFKAYIDGLKEERKKESADEAVFPCVLKILPNCIFNKKDPIVLGVDVLEGIARVGTPICIPQREFIDIGRLASIENNHRPVEVAKKGQKVAIKIAGSNPEEQQKMYGRHFELEDELVSHISRRSIDVLKANYRDDLTLEEWRLVQRLKILFKIP >EOX96017 pep chromosome:Theobroma_cacao_20110822:1:36339986:36347797:-1 gene:TCM_005373 transcript:EOX96017 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 8 isoform 3 HQQHQQQQQWLRRNLLPGGNDSSVVDEVEKTVQSEAVDSSSQDWKARLKIPPPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLIMDEADKLLSPEFQPSVEQLIRFLSANRQILMFSATFPVTVKDFKDRYLHKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTG >EOX96016 pep chromosome:Theobroma_cacao_20110822:1:36341616:36347157:-1 gene:TCM_005373 transcript:EOX96016 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 8 isoform 3 HHQQQQHHQQQQHQQHQQQQQWLRRNLLPGGNDSSVVDEVEKTVQSEAVDSSSQDWKARLKIPPPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLIMDEADKLLSPEFQPSVEQLIRFLSANRQILMFSATFPVTVKDFDFRNGACRNLVCTDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCR >EOX96015 pep chromosome:Theobroma_cacao_20110822:1:36342425:36347298:-1 gene:TCM_005373 transcript:EOX96015 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 8 isoform 3 MNSRGRYPPGIGVGRGGGVNANPSFQSRPLQQHYVQRNLVQNHQQFQHHQQQQHHQQQQHQQHQQQQQWLRRNLLPGGNDSSVVDEVEKTVQSEAVDSSSQDWKARLKIPPPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLIMDEADKLLSPEFQPSVEQLIRFLSANRQILMFSATFPVTVKDFKDRYLHKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCR >EOX90877 pep chromosome:Theobroma_cacao_20110822:1:894278:896542:1 gene:TCM_046671 transcript:EOX90877 gene_biotype:protein_coding transcript_biotype:protein_coding description:YEATS family protein isoform 2 MPHTATETPAEDGGSASKPQRIIKLGKTPDDSDKKSANRRLKDIEICVPIVCGTIAFYLGRKASESQSHKWTVYVRGATNEDLGVVVKHVVFQLHPSFNNPTRLVESPPFELSECGWGEFEIGISLFFHSDVCDKQLDLYHHLKLYPEDESGPQSTKKPVVVESYNEIVFPDPSECFFSRVQNHPAVLVPRLPAGFSLPAPVPFENMNERGRGDTKDHPLSQWFMNFSEADELLKLAAARQQVQAHIVKLRRQLSVIDGMPQPLKPASSYECT >EOX90878 pep chromosome:Theobroma_cacao_20110822:1:894278:896542:1 gene:TCM_046671 transcript:EOX90878 gene_biotype:protein_coding transcript_biotype:protein_coding description:YEATS family protein isoform 2 MAGLLQSHSASSNSAKPLMIATKRSQSHKWTVYVRGATNEDLGVVVKHVVFQLHPSFNNPTRLVESPPFELSECGWGEFEIGISLFFHSDVCDKQLDLYHHLKLYPEDESGPQSTKKPVVVESYNEIVFPDPSECFFSRVQNHPAVLVPRLPAGFSLPAPVPFENMNERGRGDTKDHPLSQWFMNFSEADELLKLAAARQQVQAHIVKLRRQLSVIDGMPQPLKPASSYECT >EOX95197 pep chromosome:Theobroma_cacao_20110822:1:33283532:33291417:1 gene:TCM_004748 transcript:EOX95197 gene_biotype:protein_coding transcript_biotype:protein_coding description:F5K24.2-like protein MSIIKQQLQKLMALINVDNSDIDQVGMHDPILDCFDFNSNNSTLSDSLFAELLVSQYSFHNDISSVSEIPHSYQSSPIHQSVLDIASTISHHSADHVSNLKKSTRLKHFPRYLEAYQMNADGSVERYKARLVAKGYNQRMGFDYQETFSPIAKQTTVRIFMALVASHGWHLSLVDIINAFLNGNLEEIVYVQLLQGFSVKVEYNKLKKQQLVCKLHKSLYGLKQASRQWNSKFITTLLNTSVQALNDVKTYLSSQYKLKDLGNVKYFLGLEVARSNEGIVLCQRKYALNLLEEFGLLGAKPVTTPIDYNHKLKKKVNGDREVDTTKYRQLIGKLLYLTFTRPNISFAVQTLS >EOX93037 pep chromosome:Theobroma_cacao_20110822:1:10055911:10085910:-1 gene:TCM_001890 transcript:EOX93037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 7 MASSSGTKGDLARPPSRRMTRAQTMMVEIPNEDKTTADSELVPSSLAYLAPILRVANEIEKDNPRVAYLCRFHAFEKAHRMDPTSSGRGVRQFKTYLLHRLEKEEEETRPQLAKSDPREIQMYYQQFYLKNIADGQYTKKPEEMAKIYQIATVLYDVLRTVVRADRIDDETQRYAKEVEKKREQYEHYNILPLYAVGVKPAIMELPEIKAALRAIKNVEGLPMPRVPMTSNVPPDDILPEIVKPVNDILDWLSSLFGFQKGNVANQREHLILLLANIDVRRRENPEDYGELNGETIRRLLYEIFKNYRSWCKYLRCKSHVRFQQGCDRQQLELIYISLYLLIWGEASNIRFMPECICYIFHNMANDVYGVLFSNVHPVSGETYQSPVPDDESFLRNVITPLYGVLRREAKRNKGGKASHSQWRNYDDLNEYFWSRKCFRLKWPMDLKADFFVHSDEVPPANEGQNQATVGKRKPKVNFVEARTFWHLYRSFDRMWIFFIMAFQAMLIVAWNSGSLLGFFDEDVFRSVLTIFITAAFLNLLQATLDIILSLNAWRSLKITQILRYLLKFAVAAVWAVVLPIGYSSSVQNPTGLVKFFSSWAKDWRNESFYNYAVAIYLIPNILAAILFLLPPLRKAMERSNWRIITFIMWWAQPKLYVGRGMHEDFFSLLKYTLFWIVLLISKLAFSYYVEILPLIQPTKIIMDLHVDNYQWHEFFKNVTHNIGVVIAIWAPIVLVYFMDAQIWYAIFSTLFGGIHGAFSHLGEIRTLGMLRSRFESVPAAFCRHLVPRTNQYNRKEQMDYEIERKNIAAFSLVWNKFIHSMRMQDLINNRDRDLLLVPSSSSDVSVVQWPPFLLASKIPIALDMAKDFKKKDDEELFRKIKADDYMHSAVIECYETVKDIIYNLLEDEADKMTVQAISQEVDNSRAQKIFLTDFRMSGLPSLSNRLEKFLRILLSDIEEDETFRSQIINILQDIMEIIMQDVMVKGNDILQRAHPHDGHTQYEKNKQRFERININLIEQKNWREKINRLYLLLTVKESAINVPPNLEARRRITFFANSLFMNMPSAPKVRDMLSFSVLTPYYKEDVLYSDEELTKENEDGISILFYLQKIYPDEWNNFLERMKQNNVGIKDENEEAHMKEEIRKWVSYRGQTLSRTVRGMMYYRQALELQSLLEVSGASAIFGGFQTFEEDRGYHREHAQALADMKFTYVVSCQVYGAQKKSPDARDRSCYLNILNLMLTYPSLRVAYIDEREESVNGRSQKVYYSVLVKGGEKLDEEIYRIRLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAYKMRNVLEEFLKTRRKQRKPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGISKASKIINLSEDIFAGFNSTLRGGYVTHHEYIQVGKGRDVGMNQISAFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLIVYVFLYGRLYMVMGGLEKEIIENATVHQSKALEEALATQSVFQLGLLLVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYFGRTILHGGSKYRATGRGFVVFHAKFADNYRLYSRSHFVKGLELLILLVLYEVYGESYRSSSLYWFITFSMWFLVGSWLFAPFVFNPSGFDWQKTVDDWTDWKRWMGNRGGIGIDPNKSWESWWEEEQLHLKFTTIRGRVLEIILAIRLFIFQYGIVYHLDIAHHSKSLLVYGLSWLVMVTVLLVLKMVSMGRRRFGTDFQLMFRILKALLFLGFMSVMTVLFVVCGLTISDVFAAILAFLPTGWALLLIGQALRSVLKSLGFWESIKELARAYEYVMGLILFMPIAISSWFPFVSEFQARLLFNQAFSRGLQISMILTGRKEKDITSPVKYA >EOX92245 pep chromosome:Theobroma_cacao_20110822:1:5899659:5906083:1 gene:TCM_001220 transcript:EOX92245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative MGTHLDKFLSRNSVLLACSLDKKLIPSIQIVKRVLGNNDNKDLIKVFDRSNGFIASGRIIKLSRNIEYLESCGIVGSQLSRLLRRQPRIFRMRESALRDLVSRVLDMGFSTDSRMLVHAVHTMNRLSEQTLKKKWELLKSFGFSENDCLDMFRKAPGLFRPSEEKMKLGIVFFMSIAKVDKNVLVSRPHLWMNSLEDRVIPRYRVWQIIKLKKLLKKEPSFLNLLDYKEREFLHLSQGLQIMWRNC >EOX94376 pep chromosome:Theobroma_cacao_20110822:1:28182362:28186336:1 gene:TCM_003964 transcript:EOX94376 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP citrate lyase (ACL) family protein MRGIVNKLVSRSLSVAGKWQQQQLRRLNIHEYQGAELMSKYGINVPKGVAVSSVDEVKQAVKDAFPNQNELVVKSQILAGGRGLGTFQNGFKGGVHIVKADQVEEIAGKMLGQILVTKQTGPQGKVVSKVYLCEKLSLVNEMYFAITLDRNTAGPLIIACRKGGTSIEDLAEKYPDMIIKVPIDVFKGITDEDAAKVVDGLAPMVADRTDSIEQVKKLYKLFHECDCTLLEINPLAETSSNQLVAADAKLNFDDNAAFRQKQIFALRDPSQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEGQVVEAFKILTSDDKVKAILVNIFGGIMKCDVIASGIVNAAKQVALKVPVVVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKAASS >EOX95581 pep chromosome:Theobroma_cacao_20110822:1:34709216:34710770:-1 gene:TCM_005033 transcript:EOX95581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycoprotein family MADQEQTQEQQPQKSKMNIDKLGSPPKSNPSRYSQGGAARFCCLCIFIFLLLAGATALTVWLVYRPHKPRFTVVSAAIYELNATSQPFISTSMQFTIVTRNPNRRVSIFYEKLQAYVSYRNQQITPPVDLPPLYHETKSTVALSPVLGSETVPASAEVVNGLMLDEAYGVVALRVVLLGKLRWKAGAIRTGKYGVYVKCDVWVGLKKGVVGQVPLLGAPPCKVDI >EOX92069 pep chromosome:Theobroma_cacao_20110822:1:5141349:5143081:1 gene:TCM_001086 transcript:EOX92069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane lipoprotein MDSPPLPFIIFLITLFTPLHTSSAPGSACRSTCGSLQVKYPFGTGYGCGSPRFQPYVTCSSDRLLLTTHTGSYPITSVSYKDSTLIITPPYMSTCSSMQQSPNLGLDWASPFQLGPSIFLLLSCTPPTSSLTIKGSPVCDPSSSDLCASIYSCPSVVSLGLHLFPPTNTCCVYSPANFNSKGELDLRAMKCIGYASIASFQDSPTDPSRWQYGVTLKYTNGAFDDYYMNNKCNTCEDSGGLCGYSPPSNSFVCACKNGFNTTTACYNNYNPIQDDEDQGITWSSTLLPTWKIWLGLLAGLTYCLAA >EOX95792 pep chromosome:Theobroma_cacao_20110822:1:35587512:35589722:1 gene:TCM_005206 transcript:EOX95792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycoprotein family, putative MPEPPLKPVLQKPPGYKDPSAPAVKPGFRPPPRKPVLPPSFHPKKRRGGCCRVCCCCFCIFFLILILLLLICGAVFYLWFDPKLPGFHVQSVRISRFNVTNKPDGTYLDAQTTTRLEVKNPNAKMTYYYGNTEVDVSVGEGGDETELGTTTVHGFTMGKQNTTSLKVETKVINKLVDDGVGTRLQARYRSKSLRVSVEARTKIGLGVAGLKIGMVGVTVKCDGIALKRLDGGDMPKCVINMLKWAQHPLILFTCMKAPQRVESLIDETILQHFVSTLDCS >EOX93394 pep chromosome:Theobroma_cacao_20110822:1:12606073:12611026:-1 gene:TCM_002247 transcript:EOX93394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein MFGTQSKRDLALELQAQFPILRPSIHARRANLTVKFQDLYGFTVEGNVDDVNVLNEVREKVREQGRVWWALEASKGANWYLQQQVSSISEGIVLKSSLKLSALANSITLKKLIRKGIPPVLRPKVWFSLSGAAKKKSTVPESYYNDLTKAVEGKVTAATRQIDHDLPRTFPGHPWLDTPEGHAALRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTNNLSGCHVEQRVFKDLLAKKCPRIAAHLEALEFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFYEGAKVLFHVALAIFKMKEEELLLTHQVGDVINILQKHTHHLFDPDELLTVAFDKIGFMTTNTISKERKKQEPAVMKELDQRLRRLNSLRTDDDKQQLV >EOX90868 pep chromosome:Theobroma_cacao_20110822:1:872080:873278:1 gene:TCM_000217 transcript:EOX90868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDIRILQFLASKSPPSANPVLAKPSSSATTHTLQVCLPHRRRVVRVLCTNSSTNNSSGSSSSTSSSEAPPPPLTPPDTVEIRFKRGSRRRRQLQEDGFGEGQRVKAKANAAAADPAPKKWEEMTMMEKAMELYVGEKGLLFWLNKFAYASIFIVIGAWILFRFVGPALNLYELDSPPLSPTSMFKGS >EOX94972 pep chromosome:Theobroma_cacao_20110822:1:32257184:32258538:-1 gene:TCM_004559 transcript:EOX94972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKENTLAYKMLKARYFPQNDFMEAPIGTNPSYLWRSLREGHHVLKRGLIWRIGDGKNISVRRDCWISYKSPRPVMICDDAIDDSMRVI >EOX93187 pep chromosome:Theobroma_cacao_20110822:1:11099567:11108157:-1 gene:TCM_002028 transcript:EOX93187 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein MVRKHGWQLPAHTFQVVAITVFCLLVVAFYAFFAPFLGGRIWEYALVATYSLVALLVFILYVRCTAINPADPGIMSKFSGGTNKLDIKHGLSVKDLPRKFDEFGSGMHSSPSTVSRSSIAAPNSSKKGSVGDAATVDVPAQSATWKYCCIGGIFCALFVHEDCRKQDGAAEQGSEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGNKNYVTFISLMAISVVWLVMEAGVGIAVLVRCFVNKKGMETEIIDRLGNGFSRAPFATVVAVCTAVSILACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASVDEELPNMMYSPTGSATTGLSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMVPSTVDPDAAGFAERGNKGPKRAVRISAWKLAKLDSNDAMRAAARARASSSVLRPVDNRRLADPELSSSGNMSIRSSVSTDTGANKEIKNDHRLSPFGNSFAPSQGSRDEYETGTQSVSSFSSPSHIHESVTLSPLPQTQGLGRLNTATSVPGIPDHTITSKAAFPAINNPITHASSGSDEKIMHKGGISDPLLLSAPAASLLRDVKRTSVVWDQEAGRYISVPVSATEARNRSSMQIGLPNSSGETSMQGRRVVFPPQESSLAAKAPVQQAEKLLYTGDSIFFGGPLLSVPVRDSLRNDKGLGSREAQERVALNLPRESRFKRDSVSNQLPVFVPGGFEHNSASHSGLK >EOX94970 pep chromosome:Theobroma_cacao_20110822:1:32234631:32237638:-1 gene:TCM_004557 transcript:EOX94970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 2 MGSSMGMQVHGRRNNMISKLLALTNLPISDVSSDLTIEVGASSFALHKFPLVSRSGRIRKLLIDAKDAKISRVNISSVPGGPEAFELAAKFCYGINVEITLSNVAMLRCASHFLEMTEEFAEKNLEARTEAYLKDMVLPNISSSISVLHRCESLLPISEEINLVNRLINAIANNACKEQLTSGLLKLDHNYPSKAMPNMEPETPSDWWGKSLAVLNLDFFQRVLSAVKSKGLKQDMISKILINYTHNSLQGLVVRDPHLVKGSLLDLELQKKQRVIVEAMVSLLPTQSRKSSVPMAFLSSLLKAAIASSASTSCRSDLERRIGLQLDQAILEDILIPANSHGNNHTTMYDTESILRIFSIFLNLDEDDDEDNPLRDESEMAYDFDSPGSPKQSSILKVSKLLDTYLAEVALDPNLLPSKFIALAELLPDHARIVSDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMSGGHNQFFFGAINGQFPQRSSSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVRTHPANKLFKSFTKKLSKLNSLFRINNLKPIGGKANSESRFLFQRRRRHSVS >EOX94969 pep chromosome:Theobroma_cacao_20110822:1:32234391:32239171:-1 gene:TCM_004557 transcript:EOX94969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 2 MGVVTVAELKPSISGKRSFRPSSSIRHATEWPISDVSSDLTIEVGASSFALHKFPLVSRSGRIRKLLIDAKDAKISRVNISSVPGGPEAFELAAKFCYGINVEITLSNVAMLRCASHFLEMTEEFAEKNLEARTEAYLKDMVLPNISSSISVLHRCESLLPISEEINLVNRLINAIANNACKEQLTSGLLKLDHNYPSKAMPNMEPETPSDWWGKSLAVLNLDFFQRVLSAVKSKGLKQDMISKILINYTHNSLQGLVVRDPHLVKGSLLDLELQKKQRVIVEAMVSLLPTQSRKSSVPMAFLSSLLKAAIASSASTSCRSDLERRIGLQLDQAILEDILIPANSHGNNHTTMYDTESILRIFSIFLNLDEDDDEDNPLRDESEMAYDFDSPGSPKQSSILKVSKLLDTYLAEVALDPNLLPSKFIALAELLPDHARIVSDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMSGGHNQFFFGAINGQFPQRSSSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVRTHPANKLFKSFTKKLSKLNSLFRINNLKPIGGKANSESRFLFQRRRRHSVS >EOX95713 pep chromosome:Theobroma_cacao_20110822:1:35328408:35347132:1 gene:TCM_005153 transcript:EOX95713 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 14, putative isoform 1 MIIKRTSKLEMPSMKRCKMEEASGDDYEEDDIYYDYNANPKKLKSNCYYSYGEFEDISSGSGYWSSEGSYWAGEFESNSLNVNKAKQSKKSSKKSVKPPLLKSSRGRTQMLPSRFNDALLDSWKNGELSVDYEDLSLEDDEFDSGRSEFDGSRYMKDIRYGSSDLYLISKKREEREMDYVGTNSSFDYGNYLNSSLALPGTEEFVPGYNGYKGLEKLRKGRAGKRKDVYKPEDFALGDIVWAKCGKRYPTWPAIVIDPILQAPEAVLSCCVPGAICVMFFGYSKNGTQRDYAWVKQGMIFPFAEFMDRYQGQTQFYKWKPSDFQMALEEAILAENGFLDSGHKTQQLGYPEAQPSGSSQDLDYLCSQNQDARPCDSCGSVVPLKTMKKMKKSAYEAELLCKHCAKLRKSKQYCGICKKIWHHSDGGNWVCCDGCNVWVHAECDNITSKLFKDMEHTDYYCPECKSKFKPKSYLVKREPKIKSTEKGGESGVPPDKLTVVCNGMEGTYIPKLHLVLCECGACGSKKYTLSEWERHTGCRAKKWKYSVKVKDTMIPLEKWIVEYNAFGVNTMKLDKQKLMGFLHEKYEPVDAKWTTERCAICRWVEDWDYNKIIICNRCQIAVHQECYGASNVQDLTSWVCRACETPDIERECCLCPVKGGALKPTDVESLWVHVTCAWFRPEVGFLNHEKMEPAVGIIKIPSSSFLKSCAICKQTHGSCTQCCKCATYFHVMCASRAGYSMELHCSEKNGIQMTKKLVYCAVHRSPNPDAVVVMHTPTGVFAARNVLQNENECLRGSRLISSKNAELPGSPAPETNEFDAYSAARCRVFRRSKFKRAEGEPIFHRLSGPSHHTLDALSALSTYKEVDDSTVFLSFKERLFQLQRTENHRVCFGKSGIHGWGLFARRNIQEGEMVIEYRGEQVRRSVADLREARYHSEGKDCYLFKISEEVVIDATNKGNIARLINHSCMPNCYARIMSVGDEESRIVLIAKTDVSAGDELTYDYLFDPDEHDELKVPCLCKAPNCRRYMN >EOX95714 pep chromosome:Theobroma_cacao_20110822:1:35336777:35344997:1 gene:TCM_005153 transcript:EOX95714 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 14, putative isoform 1 MIIKRTSKLEMPSMKRCKMEEASGDDYEEDDIYYDYNANPKKLKSNCYYSYGEFEDISSGSGYWSSEGSYWAGEFESNSLNVNKAKQSKKSSKKSVKPPLLKSSRGRTQMLPSRFNDALLDSWKNGELSVDYEDLSLEDDEFDSGRSEFDGSRYMKDIRYGSSDLYLISKKREEREMDYVGTNSSFDYGNYLNSSLALPGTEEFVPGYNGYKGLEKLRKGRAGKRKDVYKPEDFALGDIVWAKCGKRYPTWPAIVIDPILQAPEAVLSCCVPGAICVMFFGYSKNGTQRDYAWVKQGMIFPFAEFMDRYQGQTQFYKWKPSDFQMALEEAILAENGFLDSGHKTQQLGYPEAQPSGSSQDLDYLCSQNQDARPCDSCGSVVPLKTMKKMKKSAYEAELLCKHCAKLRKSKQYCGICKKIWHHSDGGNWVCCDGCNVWVHAECDNITSKLFKDMEHTDYYCPECKSKFKPKSYLVKREPKIKSTEKGGESGVPPDKLTVVCNGMEGTYIPKLHLVLCECGACGSKKYTLSEWERHTGCRAKKWKYSVKVKDTMIPLEKWIVEYNAFGVNTMKLDKQKLMGFLHEKYEPVDAKWTTERCAICRWVEDWDYNKIIICNRCQIAVHQECYGASNVQDLTSWVCRACETPDIERECCLCPVKGGALKPTDVESLWVHVTCAWFRPEVGFLNHEKMEPAVGIIKIPSSSFLKSCAICKQTHGSCTQCCKCATYFHVMCASRAGYSMELHCSEKNGIQMTKKLVYCAVHRSPNPDAVVVMHTPTGVFAARNVLQNENECLRGSRLISSKNAELPGSPAPETNEFDAYSAARCRVFRRSKFKRAEGEPIFHRLSGPSHHTLDALSALSTYKEVDDSTVFLSFKERLFQLQRTENHRVCFGKSGIHGWGLFARRNIQEGEMVIEYRGEQVRRSVADLREARYHSEGKDCYLFKISEEVVIDATNKGNIARLINHSVWPYNWIDAPSPFLSHQKKNRGSRYDYFCSITSYLFMLKMSHPFCSACPIAMHGS >EOX94875 pep chromosome:Theobroma_cacao_20110822:1:31858928:31862331:1 gene:TCM_004487 transcript:EOX94875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein MEKKVDTCSPVGVLEDFFRSEEFETSSFKAPTTDSANEQNSKQGFRWRGFAQLFRSRSKKSLANLQPFGSFRLSLGRSSSMRENVSVAPDFLASSNSYNLKSPRKVFTLSELHIATKNFSSENLIGKGGYAEVYKGSLPNGQLVAIKRLTKGTPDEIIGDFLSELGIMAHVNHPNTAKLIGYGIEGGMHLVLELSPNGSLASLLYGSKDKLKWGIRFKIALGTAEGLSYLHEGCKRRIIHRDMKAANILLTKDFEPQICDFGLAKWLPEHWTHHTVSKFEGTFGYLAPEYLMHGIVDEKTDVFAFGVLLLELVTGRRALDYSQQSLVLWAKPQLKKNDIRELIDPALGEDYNPRQMNLVLLAASLCIHQSSIRRPQMSQVVQLLNGNPNSLKSMRKCRIPFFRKAFHEEIHNAEDLSSAKS >EOX94273 pep chromosome:Theobroma_cacao_20110822:1:27263759:27290841:1 gene:TCM_003852 transcript:EOX94273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNEINPRLKNTNKMGKRTTSNYRSRKVSVSVSDTSLLVVREVLHLLVRRNLRGSNKLELRIKWKKKSQELEENSVKDPSICSDQGDEDPKDT >EOX96312 pep chromosome:Theobroma_cacao_20110822:1:37292956:37294908:-1 gene:TCM_005591 transcript:EOX96312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRKEGSTEGESSSNWANIVGDVLRCIADKTHSVQDRTRMGTVCRSWQASLKDKQIIFPICLMLAEKEDSDKRCFYNISEEIFIELELPEIRGRRCWGSPFGWLITCGLDLEIQLFNPLSRANLALPPLNTFTHRKHWQNRTSEDVRNYFINKLLLSSNLASPDCFVFAIYSEYNFLAIAKPGDNKAWTPINVAPTPIEATHIIRFDDVICFNGSFFAVRDSGQLFLCQDIDASHPNAVEFASVPPTWSPRDAGWTKYLVDMGGNLCVLSRIIQPYGYTSDIGEGFTSDSEEEIEIFTSDNEGGDNFFTKEFHIFKLNMQTRNWERILSLGDRSLFLGNCYTFSVIAADYHGCMSNCIYVTNDYLHSGGSDIGIYNCEKKEMLDLFPKLDNRQYLRSSFSPPLWFIHCPH >EOX92745 pep chromosome:Theobroma_cacao_20110822:1:8373688:8382958:1 gene:TCM_001631 transcript:EOX92745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT5G08660) TAIR;Acc:AT5G08660] MGGICSRSGGNGNGAGNANGGGGDLNHYQSKAIVENSLMTPPQVREVMGKRTEEADDFYDGIPRFTRGMSQKSRSVRSTQAAVAKVSEVSSRLGKAGSVGLGKAVEVLDTLGSSMTSLNPSNGFASGVATKGHELSILSFEVANTIVKGSNLMQSLSRRSIKHLKEVVLAADGVQNLISKDMDELLNIVAVDKREELKIFSGEVVRFGNGSKDPQWHNLERYFEKISRELTPQKQLKEEAELVIEQLMISVHYTAELYQELLVLDKFEQDYLRKRQEEDNSAATQKGDSLANLRAELKSQRKQVRNLKKKSLWSRSLEEVMEKLVDIVHYLILEIHYAFGSAEYQVSAKGSVSNHQRLGPAGLALHYANIVMQIDTLVARSSSVPANTRDALYQNLPPSVKSALRSKLQSFHIRAELTVNEIKDEMEKTLQWLVPVATNTAKAHHGFGWVGEWANTGKPTTGPADVIRIETLHHAHKEKTETFILEQLLWLHHLVNKSKSGAPVGLKTSIKTLPTPSQKMNEQPKHGSTNSLTSEEQKLLQDLTKKIRIRGISRSSDFDYERKRLRKHDRLSKSTSHSPPRESKEKASTKRLPSGVSIIGFGMYKEKALDVIDRVDILR >EOX92746 pep chromosome:Theobroma_cacao_20110822:1:8373650:8382958:1 gene:TCM_001631 transcript:EOX92746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT5G08660) TAIR;Acc:AT5G08660] MGGICSRSGGNGNGAGNANGGGGDLNHYQSKAIVENSLMTPPQVREVMGKRTEEADDFYDGIPRFTRGMSQKSRSVRSTQAAVAKVSEVSSRLGKAGSVGLGKAVEVLDTLGSSMTSLNPSNGFASGVATKGHELSILSFEVANTIVKGSNLMQSLSRRSIKHLKEVVLAADGVQNLISKDMDELLNIVAVDKREELKIFSGEVVRFGNGSKDPQWHNLERYFEKISRELTPQKQLKEEAELVIEQLMISVHYTAELYQELLVLDKFEQDYLRKRQEEDNSAATQKGDSLANLRAELKSQRKQVRNLKKKSLWSRSLEEVMEKLVDIVHYLILEIHYAFGSAEYQVSAKGSVSNHQRLGPAGLALHYANIVMQIDTLVARSSSVPANTRDALYQNLPPSVKSALRSKLQSFHIRAELTVNEIKDEMEKTLQWLVPVATNTAKAHHGFGWVGEWANTGSKLNRKPTTGPADVIRIETLHHAHKEKTETFILEQLLWLHHLVNKSKSGAPVGLKTSIKTLPTPSQKMNEQPKHGSTNSLTSEEQKLLQDLTKKIRIRGISRSSDFDYERKRLRKHDRLSKSTSHSPPRESKEKASTKRLPSGVSIIGFGMYKEKALDVIDRVDILR >EOX92092 pep chromosome:Theobroma_cacao_20110822:1:5222353:5229709:-1 gene:TCM_001100 transcript:EOX92092 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein, putative MSNLGEPFRISPEHPSGGRPSLRQQETFGHADPRVPLLNLSTVKTRMDSLQHFLSQSVNTNTLISKDEMDLVSSEIASAIHQIIVNGAALLSCAQKAHYPNPTPIRNRTPEPKLEVDDYDEGESEIIELDAVELLSEHLHFCEICGKGFKRDANLRMHMRAHGNQYKTPEALAKKTGNKGEVKNWGRKTRFSCPYDGCNRNKKHDKFRPLKSVICVRNHFKRSHCPKMYGCNKCHKKSFSVFTDLKSHLKHCGGGEESKKWKCSCGNSFSRKDKLFGHVSLFEGHMPAVMEEEDRKLKGVVAMEEDEEKEEELMEREGWLEDGFFDGLLDGFGSFEDYCLQDVLAPSLNGL >EOX91715 pep chromosome:Theobroma_cacao_20110822:1:3597956:3599618:-1 gene:TCM_000811 transcript:EOX91715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSYYNQQQPPVGVPPPQGYPPKDAYPPPAYPVEAYPYPPPQYAAPPPRQQQQETGFLEGWLSSALLLLPSGCLLLRRMKRWALVICPFLSRFN >EOX93110 pep chromosome:Theobroma_cacao_20110822:1:10534329:10541242:-1 gene:TCM_001954 transcript:EOX93110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLESSSDSVDFLAVDLAVTDVQGNDKGGGVKMSIASKTNKLELLKSENQMSNNSKLDEFRAINEPKLNFDRSVGIENVYAPNDEKEGMAIWTYKNDVVFNEKIWASEQILKLSKVKVAWWMHAKWLGHFAPIMNLARFPNKDVILTLIKKSKNYVPWSKPRAKCLKFNTDRSFKSCLGDSRIGGVLRNESKDVLTLLSKAISISNSTKVKLFTIKEAAIIYTTSR >EOX96313 pep chromosome:Theobroma_cacao_20110822:1:37302223:37324236:1 gene:TCM_005594 transcript:EOX96313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRIKPTLFSLSFSFLRLPQHFQPPFSRKASPLSADLPLSSSTLSTDLPLSFVLSQSIFFLSLSHYLNCGSSPFSYPSSPLSQQVCNRPPPQPLSSFAVVAPLARSMLQRLDIARANAKLALQRLKRKRKDEKKNKK >EOX95444 pep chromosome:Theobroma_cacao_20110822:1:34233811:34243100:1 gene:TCM_004939 transcript:EOX95444 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-glycoprotein 21 MATENGLKGDTDFKVASTSKSCKEPEKGCSMNGENKKSETGKPDEKTNTVPFYKLFAFADPTDILLMIVGTIGAIGNGLSMPLMTILFGELTDSFGENQNNNEVVDVVSEVALKFVYLGVGAAVAGFLQVTCWMVTGERQAARIRGLYLNTILRQDVAFFDVETNTGEVVGRMSGDTVLIQDALGEKVGKFLQLMSLFIGGFVVAFIKGWLLTLVLLSSIPFLVIAGAVMSLIIANTASQGQNAYAKAATVVEQTIGSIRTVASFTGEKQAISDYNKFLVSAYKSGVYQGSVSGLGLGMVMLIMFCSYALAVWFGGKMILEKGYTGGQVVSVIVAIMTGSMSLGQASPCINAFASGQAAAFKMFETIERKPNIDAYDTKGKILEDIRGDIDFRDVCFSYPARPEEQIFSGFSLSIPSGTTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKDFQLNWIRGKIGLVSQEPVLFTSSIRDNIAYGKEGATSEEIIAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERTVQEALDRIMVNRTTVIVAHRLSTVRNAQMIAVVHQGKMVEKELLKDPDGAYSQLIRLQEVNKQLEQAPDDKNGSDITEKLLRQSSLEISLKKSICRGSTGLPNGQNVADSVLTDAAAPPTIPSVQASEVSICRLASLNKPEIPVLLIGTIASIVNGLILPTYALLLSEVIKTFYKPPNELKTDSRFWALIFMALGLASLLAYPTETYLFSIAGCKLIRRVRSMCFEKVVHMEIGWFDEPEHSSGSLGARLSADAATLRALVGDALSQMVQSIVSAVAGLIIAFVASWQLALIVLALFPLIGIDGYIQVKFMKGFSAEAKMMYEEASQVANDAVGGIRTISSFCAEEKVMRLYKKKCERPMKTGIRRGLISGIGFGLSFFLMYSVYAASFYAGAQLVEHGHATFSDVFQVFFALTIAAVAITQSSSFGSDSSKAKTAAASIFSIIDQKSKIDPGDESGVTLENVKGEIELCHVSFKYPLRPDIQIFQDLCLAIPAGKTVALVGESGSGKSTVISLLQRFYDLGSGHITLDGVEIQKLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKGGNATEAEILAAAELANAHKFISSLQQGYDTVVGERGVQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESEQVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVRNGVIVEKGKHETLINIKDCSYASLVALHLSASTA >EOX92972 pep chromosome:Theobroma_cacao_20110822:1:9664199:9675738:1 gene:TCM_001830 transcript:EOX92972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrin-linked protein kinase family isoform 1 MDSSSSCRSPARFTLGKQSSLAPDREGSAGEAIGAEIEPRVRLMYMANEGDLEGIKELLDSGTNVNFKDIDGRTALHVAACQCLTDVVQLLLDRGADVDARDRWGSTPLADAVYYKNQDVIKLLEKHGAKSPIAPMHVQNSREVPEYEIDSSELDFSNSVHITKGTFRVASWRGIKVAVKTLGEEVFTDEDKVKAFRDELALLQKIRHPNVVQFLGAVTQSSPMIIVTEYLPKGDLRAYLKQKGALKPTTAVKFALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGVSKLLKVANTVKEDKPVTSQETSCRYVAPEVYRNEEYDTKADVFSFALILQEMIEGCLPFHSKQENEVPKAYVANERPPFRVPAKYYSHGLKELIEECWSEEPFKRPPFRQIITRLDHISNQLAHKGRWKVGPLKCLKNFENMLKRDRLNPSSRLSRSTTRC >EOX92973 pep chromosome:Theobroma_cacao_20110822:1:9664199:9675741:1 gene:TCM_001830 transcript:EOX92973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrin-linked protein kinase family isoform 1 MDSSSSCRSPARFTLGKQSSLAPDREGSAGEAIGAEIEPRVRLMYMANEGDLEGIKELLDSGTNVNFKDIDGRTALHVAACQCLTDVVQLLLDRGADVDARDRWGSTPLADAVYYKNQDVIKLLEKHGAKSPGTFRVASWRGIKVAVKTLGEEVFTDEDKVKAFRDELALLQKIRHPNVVQFLGAVTQSSPMIIVTEYLPKGDLRAYLKQKGALKPTTAVKFALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGVSKLLKVANTVKEDKPVTSQETSCRYVAPEVYRNEEYDTKADVFSFALILQEMIEGCLPFHSKQENEVPKAYVANERPPFRVPAKYYSHGLKELIEECWSEEPFKRPPFRQIITRLDHISNQLAHKGRWKVGPLKCLKNFENMLKRDRLNPSSRLSRSTTRC >EOX96277 pep chromosome:Theobroma_cacao_20110822:1:37200356:37202308:1 gene:TCM_005555 transcript:EOX96277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLEEDIDYLDSEWSVEDERNILLESERLIEKIGSPDARTSVWHLLILPAVGFCHVSGSAFGLLSCLHMNTSCCLQNSFEAYRRLYLKKQKNYYGVTTPGV >EOX94134 pep chromosome:Theobroma_cacao_20110822:1:22478327:22481412:1 gene:TCM_003385 transcript:EOX94134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEFLKLCTSVWKLGKITHTKKKKVKALALIIMFNLKLLRRLHLVYAAKRDFRLGRLWETLKAATWGIKISDLKQ >EOX90699 pep chromosome:Theobroma_cacao_20110822:1:360582:362458:-1 gene:TCM_000086 transcript:EOX90699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phosphotriesterase superfamily protein, putative MVPVLAAVLAYQLDSFDPAPIPIHELAQAPIAASLRNDGMLQGAEFVGAGKLQGPEDIAYDSKSRLIYTGCLDGWIKRVRLNDSTVETLVNTYGRPLGVALGHNDEIIVADAFKGLLNISRDGEVELLTDEADGLKFKITDGVDIADNGMIYFTDASYKYDSNDHLLDMLEGKPHGRFMSFDPVTRKTRVLVSNLYFANGVAVSPRQDSVIFCETTMRRCRKYYIQGNQQGQLEKFVDQLPGFPDNIRYDGDGHYWIGLPLETSATLDLAFRYPFIRKVMAIMEKYIGPLSMGKNAGVFVVDLEGKPVAHYHDHKLSMVTSGMKIENRLYCGSVIYPYIVSLNLDQHPARATV >EOX91924 pep chromosome:Theobroma_cacao_20110822:1:4496129:4497155:1 gene:TCM_000973 transcript:EOX91924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MATEVFLQVAILTLTLAIFFAIRHFPKQALTKHRIDHRATTQTQRHLTRATHLLSRAKSNPHKDQSQTLAKSAISEIEKALSLSPKDPTPHVLKSLALDLLGHKGSALRSLDLALTSPRSKSLAQRERVEAMVRRAELKLAVNKRRRVDSAVTDLEEAIRVSEEEGGVKTRAFCLLGECYELKGMREEAKKAFEEALNMERGSSVARQSLERLSLS >EOX94683 pep chromosome:Theobroma_cacao_20110822:1:30861133:30868907:1 gene:TCM_004300 transcript:EOX94683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonyl-CoA carboxylase alpha chai isoform 1 MSLMALILRRKLLHHPTPVLLQLRLLSSSTSHLETPPQRIEKILVANRGEIACRIMRTAKRLGIRTVAVYSDADKDSLHVKSADEAVHIGPPPARLSYLNGSSIVEAAIRSGAQAIHPGYGFLSESSEFAGLVEDKGLTFIGPPTSAIRDMGDKSASKRIMGAAGVPLVPGYHGSEQDIEIMKLEADKIGYPILIKPTHGGGGKGMRIVHSQKDFIDSFLGAQREAAASFGINTILLEKYITQPRHIEVQIFGDKYGNVLHLYERDCSVQRRHQKIIEEAPAPCVTDEFRSHLGQAAVSAAKAVGYHNAGTVEFIVDTITGQFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGEPLPISQVQVPLSGHSFEARIYAENVPKGFLPATGVLRHYHPVPVSSTVRVETGVEQGDVVSMHYDPMIAKLVVWGENRSAALVKLKDCLSKFQVAGVPTNINFLQKLANHRAFEEGDVETHFIEHHKDDLFVDPNNKEISEEAYDAARLSANLVAACLCEEEHSTLKESHPGGPSLLSIWYAHSPFRVNHHAQSTMELEWENGYDSSSSKPLMLAITYQRDGNYLIQIGENSAHSLEVRASHLGNNSFRVEADGVTMHVSLAVYIKDKMKHVHIWHGPHHHHFRQKLGLELSDEDETQHKTSFETTSHPPGTVVAPMAGLVVKVLVEDGAKVEEGQPVLVLEAMKMEHVVKATSGGYVQGLKVTAGQQVSDGSVLFRVKE >EOX94684 pep chromosome:Theobroma_cacao_20110822:1:30861527:30868336:1 gene:TCM_004300 transcript:EOX94684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonyl-CoA carboxylase alpha chai isoform 1 MGDKSASKRIMGAAGVPLVPGYHGSEQDIEIMKLEADKIGYPILIKPTHGGGGKGMRIVHSQKDFIDSFLGAQREAAASFGINTILLEKYITQPRHIEVQIFGDKYGNVLHLYERDCSVQRRHQKIIEEAPAPCVTDEFRSHLGQAAVSAAKAVGYHNAGTVEFIVDTITGQFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGEPLPISQVQVPLSGHSFEARIYAENVPKGFLPATGVLRHYHPVPVSSTVRVETGVEQGDVVSMHYDPMIAKLVVWGENRSAALVKLKDCLSKFQVAGVPTNINFLQKLANHRAFEEGDVETHFIEHHKDDLFVDPNNKEISEEAYDAARLSANLVAACLCEEEHSTLKESHPGGPSLLSIWYAHSPFRVNHHAQSTMELEWENGYDSSSSKPLMLAITYQRDGNYLIQHIQIGENSAHSLEVRASHLGNNSFRVEADGVTMHVSLAVYIKDKMKHVHIWHGPHHHHFRQKLGLELSDEDETQHKTSFETTSHPPGTVVAPMAGLVVKVLVEDGAKVEEGQPVLVLEAMKMEHVVKATSGGYVQGLKVTAGQQVSDGSVLFRVKE >EOX96341 pep chromosome:Theobroma_cacao_20110822:1:37431803:37432927:1 gene:TCM_005610 transcript:EOX96341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase Sec, Sec61-beta subunit protein MATGTAPPRGSAAAAASMRRRRTTSGAASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAILHVMGKLYFVRREA >EOX93675 pep chromosome:Theobroma_cacao_20110822:1:14939241:14945695:-1 gene:TCM_002577 transcript:EOX93675 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-like RNase, putative MATTRNDNSVLPSSCLPPINSERVSYTEKKSQAMASLSAQSLLCIALTVVICLIGGVDGSLEGGQREFDYFALSLQWPGTICHGTRHCCSSNACCRGANSPTEFTIHGLWPDYNDGTWPSCCAQVQFDVKEISTLLDALEKYWPSLYCGQSSTCYSGRGLFWAHEWGNFAFSLAEKHGTCSFPVFRDEYSYFLTTLNVYFKYNVTKILNEAGYVPSNSERYPLGGIVSAIENSFQATPEVVCSKDAVEEIRLCFYKDFKPRNCLASKTSCPKYVSFPAYVSFGRDESETDAAWTSEDEAL >EOX91098 pep chromosome:Theobroma_cacao_20110822:1:1579570:1586328:1 gene:TCM_000387 transcript:EOX91098 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein isoform 1 MWRRSFSTGSHVSSMHMLKEKKWDALVIGGGHNGLTAAAYLARSGLSVAVLERRHVIGGAAVTEELIPGFKFSRCSYLQSLLRPSIIRELELARHGLKLLKRNPSSFTPCLDGRYLLLGPDKELNHSEISKFSRRDADAYPRYASQLERFCKLMDPLLDSPPPETLQGISSLQDRFKNKVHNSAYWTRLLCQAASLGQKDMVDFMDLLLSPASKVLNNWFETDVLKATLATDAVIGSTASVCTPGSGYVLLHHVMGETDGDRGIWSYVEGGMGSVSMAIGNAAKEAGAHIVTSAEVSQLIVEDSGKVNGVLLADGTLVRSSSVLSNATPYKTFMELVPDNVLPDDFSYAIKYSDYSSGTTKINLAVDKLPEFHCCKSIYPGSGPQHTGTIHIGSESMEEINSASQDAVNGLPSRRPVIEMTIPSVLDKTISPPGKHVINLFIQYTPYKPLDGSWDDPAYRESFAQRCFTLIDEYAPEFSSSIIGYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFLMRPVKGWSNYRTPLPGLYLCGSGAHPGGGVMGAPGRNAAQLVLQDFKKKSK >EOX91099 pep chromosome:Theobroma_cacao_20110822:1:1579149:1586610:1 gene:TCM_000387 transcript:EOX91099 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein isoform 1 SFFITKFQTLSLIYFLPINKLKASSPRAMWRRSFSTGSHVSSMHMLKEKKWDALVIGGGHNGLTAAAYLARSGLSVAVLERRHVIGGAAVTEELIPGFKFSRCSYLQSLLRPSIIRELELARHGLKLLKRNPSSFTPCLDGRYLLLGPDKELNHSEISKFSRRDADAYPRYASQLERFCKLMDPLLDSPPPETLQGISSLQDRFKNKVHNSAYWTRLLCQAASLGQKDMELVPDNVLPDDFSYAIKYSDYSSGTTKINLAVDKLPEFHCCKSIYPGSGPQHTGTIHIGSESMEEINSASQDAVNGLPSRRPVIEMTIPSVLDKTISPPGKHVINLFIQYTPYKPLDGSWDDPAYRESFAQRCFTLIDEYAPEFSSSIIGYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFLMRPVKG >EOX91138 pep chromosome:Theobroma_cacao_20110822:1:1713096:1716804:-1 gene:TCM_000419 transcript:EOX91138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyol/monosaccharide transporter 5-like protein MLHKIPGFPSMARLTKFTLTCAILASLTSFLAGLQYAPLAAGTLSDLIGRRYSLLLSPIFFSFGSLVTSLAPNYVVFVTGYLLVDTGISFALAVTHVYITELSPDWTRWFLTTFPEVFWSIGYLVDNTFPRISSKKILISRLGLGTIPSFLLAFAMLFTLPESAGWLVARGSWKKLLLHPSPSIRRALIAVVGLNFFRSSTGIEAVNLFGSRLLDMAGVKDQGYILGVTSVVGFIKIGIILTADFIMDKIGRQPLLLVSVAGMILSLAGLGFGLTIFCHSDEKLIWAVDLGVAMAFLCAAFFSAGLVPITWVYSSEVSPLRLRAQGASLGAAVNLGTRGLASLAFISSYGVVTVGGPFLLFAGVASVAWLFFYKLLPETHGKSFEEKEIRRGGVVGTSDDEESSKLDGRVAGSERLDGHEIPFLERKLRKKTPFELPELTTWRTEKVKGKRY >EOX92388 pep chromosome:Theobroma_cacao_20110822:1:6641839:6644934:-1 gene:TCM_001345 transcript:EOX92388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGCATKPKVLKGDEGEVPAPVPPPEPTKEPIPAVPEAKEAADVVAQGEKKVEDDHANEAVNAKGVGEAENVADADKVDDQANKRRSLSNLFKELDDGIIHPNTVISVFSMCWLFNGIFILALSLNYNWFCTLRLNEKKGSAESVDSPSEVAEQVSPELVQQESVEPVKQEPVEPVKQEPVEPVKQESVEPVQTESVEPLELESVEPVKQASSETEKLKEASVAMDLETAKPVNPVEETSSPESVVAPGKIETEQSIEAAPATEPAAAAHVS >EOX91887 pep chromosome:Theobroma_cacao_20110822:1:4341429:4344603:1 gene:TCM_000949 transcript:EOX91887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geraniol dehydrogenase 1 MARLQEADQQHPKEAIGWAARDASGHLSPFKFYRRATAEKDVAFKVLYCGICHSDLHSIKNEWGMSIYPLLPGHEIIGEVTEVGSEVAKFNVGDRVGVGCLVGSCRSFDNCANNLENYCPQMILTYAGEYYDGSITYGGYSNTMVADEHFVVGIPDNLPLDAAAPLLCAGIAVYSPLRYYGLDKPGLHAGVVGLSGLGHLAVKFAKAMGAKVTVISTSPNKKKEAIENLGADSFLISGQQDQLQAAMGTMDGIIDTVSAPHSLLPLIGLLKSHAKLILLGVPDKPLELPVFPLILGRKAVAASAIGGMQETQEMINFAAQHDLKPDIEVIPVDYVNIAMERLAKGDVKYRFVIDIGNTLKATSEL >EOX94313 pep chromosome:Theobroma_cacao_20110822:1:27710462:27712548:-1 gene:TCM_003900 transcript:EOX94313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PSB28 protein isoform 1 MATLHSLAFASPVSHTLLNQPRSLSGIPSWIVHRSANSLFNGQSLQLAHSQLSPTRWNSQKCGPITMMVKPTIQFIQGTDEQTIPDVRLTKSRDGTNGVAIFRFEQPSVFDSSGEVGDITGFYMIDEEGVLQSVDVNAKFVNGKPAGIEAKYIMRSPPEWDRFMRFMERYSNENGLQFIKK >EOX94312 pep chromosome:Theobroma_cacao_20110822:1:27710614:27712576:-1 gene:TCM_003900 transcript:EOX94312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PSB28 protein isoform 1 MATLHSLAFASPVSHTLLNQPRSLSGIPSWIVHRSANSLFNGQSLQLAHSQLSPTRWNSQKCGPITMMVKPTIQFIQGTDEQTIPDVRLTKSRDGTNGVAIFRFEQPSVFDSSGEVGDITGFYMIDEEGVLQSVDVNAKFVNGKPAGIEAKYIMRSPPEWDRFMRFMERYSNENGLQFIKK >EOX95499 pep chromosome:Theobroma_cacao_20110822:1:34460338:34463745:1 gene:TCM_004982 transcript:EOX95499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein isoform 1 MKGNRSFNSFLLLVLTVMMIFHREICHARPDLGGSTFSFVQTRGTNFVMNGKPFYLNGFNAYWMMMFASDPSTRIKVTDTFREASKYGMNVARVWAFNDGDYKPLQNSPGSYNEDVFKGLDFVVAEAKKYGIHLILSLVNNFKDFGGKDKYVQWAKQQGQSLSNEDDFYTNSLVKQYYKNHVKAVLTRINTITGVAYKDDPTIFAWELMNEPRCPSDPSGANLQNWLKEMAAHVKSIDNHHLLEIGLEGFYGESMPEKKQYNPNSSPIGTDFISNNQIPDVDFATIHLYPEQWLPSTNSSEEAQLAFVDKWIQAHILDCNSLLRKPLLIGEFGKSFKLPGYSLEKRNYYFQKIYGAIYNSARSGGSCAGGLFWQLFSLGMDNMGDGYQVVLEQSPSTAHVIAQQSQKLSSLT >EOX95498 pep chromosome:Theobroma_cacao_20110822:1:34460314:34463784:1 gene:TCM_004982 transcript:EOX95498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein isoform 1 MKGNRSFNSFLLLVLTVMMIFHREICHARPDLGGSTFSFVQTRGTNFVMNGKPFYLNGFNAYWMMMFASDPSTRIKVTDTFREASKYGMNVARVWAFNDGDYKPLQNSPGSYNEDVFKGLDFVVAEAKKYGIHLILSLVNNFKDFGGKDKYVQWAKQQGQSLSNEDDFYTNSLVKQYYKNHVKAVLTRINTITGVAYKDDPTIFAWELMNEPRCPSDPSGANLQNWLKEMAAHVKSIDNHHLLEIGLEGFYGESMPEKKQYNPNSSPIGTDFISNNQIPDVDFATIHLYPEQWLPSTNSSEEAQLAFVDKWIQAHILDCNSLLRKPLLIGEFGKSFKLPGYSLEKRNYYFQKIYGAIYNSARSGGSCAGGLFWQLFSLGMDNMGDGYQVVLEQSPSTAHVIAQQSQKLSSLT >EOX90580 pep chromosome:Theobroma_cacao_20110822:1:40436:43636:-1 gene:TCM_000009 transcript:EOX90580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein isoform 1 MASARSRRSFTAHLFLWVFLAQSHICFSAKVYVVYMGSTNGEDPDDILSLHHQMLTVVHGGSIEKAQASHVYSYKHGFKGFAAKLTDRQASKIAKLSGVVSVFPNLKRRLHTTHSWDFIGLVGDEITEIPGYSTRNQVNVIVGFIDTGIWPESPSFSDANMPPVPDQWKGQCQSGEAFNASSCNRKVIGARYYMSGYEAEGVSENTLLFRSPRDSSGHGSHTASTAVGRYVKNMNYSGLAAGGARGGAPVARVAVYKTCWDSGCYDVDLLAAFDDAIRDGVHILSLSLGPDAPQGDYFNDAISVGSFHAASHGILVVASVGNEGSQGSATNVAPWVITVAASSTDREFTSDIVLGDGTNFTGESLSLTEMNASARIISASEAYAGYFTPYQSSYCLESSLNITRVRGKVLVCRHAEGSSESKLAKSEVVKEAGGVGMILIDEADKDVAVPFVIPAAIVGRITGDKIISYVNQTRDATSRIFNARTVLGSHPAPRVAAFSSKGPNALTPEILKVQFQLKPDITAPGLNILAA >EOX90579 pep chromosome:Theobroma_cacao_20110822:1:38970:43631:-1 gene:TCM_000009 transcript:EOX90579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein isoform 1 MASARSRRSFTAHLFLWVFLAQSHICFSAKVYVVYMGSTNGEDPDDILSLHHQMLTVVHGGSIEKAQASHVYSYKHGFKGFAAKLTDRQASKIAKLSGVVSVFPNLKRRLHTTHSWDFIGLVGDEITEIPGYSTRNQVNVIVGFIDTGIWPESPSFSDANMPPVPDQWKGQCQSGEAFNASSCNRKVIGARYYMSGYEAEGVSENTLLFRSPRDSSGHGSHTASTAVGRYVKNMNYSGLAAGGARGGAPVARVAVYKTCWDSGCYDVDLLAAFDDAIRDGVHILSLSLGPDAPQGDYFNDAISVGSFHAASHGILVVASVGNEGSQGSATNVAPWVITVAASSTDREFTSDIVLGDGTNFTGESLSLTEMNASARIISASEAYAGYFTPYQSSYCLESSLNITRVRGKVLVCRHAEGSSESKLAKSEVVKEAGGVGMILIDEADKDVAVPFVIPAAIVGRITGDKIISYVNQTRDATSRIFNARTVLGSHPAPRVAAFSSKGPNALTPEILKPDITAPGLNILAAWSPAIGKMQFNVLSGTSMACPHVTGIATLVKAVHPSWSPSAIKSALMTTATILDKKRKPITVDPEGGRANAFDYGSGFVNPRKVLDPGLIYDVQPKEYRAFLCSIGYDEKSLHLITRDNSTCKETLRTASDLNYPSITVVNLRDRTSVIRTVTNVGKPKSTYKAVVSSPIGINITVVPKRLIFHSYGQKISFTVHFEVAGPRKGYAFGFLTWRNRKLRVTSPLVVGLAPSDMGLMR >EOX92991 pep chromosome:Theobroma_cacao_20110822:1:9819444:9823344:1 gene:TCM_001852 transcript:EOX92991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretion-regulating guanine nucleotide exchange factor MLNRGTKPKAWNGPQRCPVMVPVMFLVSVLVALLMFFHKNDENPIPVYGLPNQKWNGFESLVEFNPEREFRNGTDLIWQIPDLPKAVLFLAHGCSGRAVNFWDRSSKCPKCVGLPEERLLVLRALARKFAVLTISSAGRCWTFGKERLIVEDIIRWWVKRQNLEKLPLVALGASSGGYFVSALANDLKFSSIALMIAEGIFDQMDIIEDYPPTLFVHMPKDVHRQQKITEFMEVLKNKGIDVAEIECMELPLSTTFLSDRIPGLDQTISARLFNLFKEKGFIDENGYMKRDGRATPWKQALQESKTTFLEKDLVHPVQEELNLAFAYHEMTSLQSEQIFKWFESHMT >EOX93343 pep chromosome:Theobroma_cacao_20110822:1:12194926:12200514:-1 gene:TCM_002183 transcript:EOX93343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotyrosine protein phosphatases superfamily protein isoform 2 MKEDTNTELSQNQQQQQQGSQQMCKTIQVVATVDHVDMSPPVLSPVVVAANAGDDLNLIPPLNFAMVDNGIFRSGFPDSANFSFLLTLNLRSIIYLCPEPYPEANTEFLKSNGIRLFQFGIEGYKEPFVNIPEDTIREALKVVLDARNHPVLIHCKRGKHRTGCLVGCLRKLQRWCLSSVFDEYQRGQC >EOX93342 pep chromosome:Theobroma_cacao_20110822:1:12194972:12200449:-1 gene:TCM_002183 transcript:EOX93342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotyrosine protein phosphatases superfamily protein isoform 2 MKEDTNTELSQNQQQQQQGSQQMCKTIQVVATVDHVDMSPPVLSPVVVAANAGDDLNLIPPLNFAMVDNGIFRSGFPDSANFSFLLTLNLRSIIYLCPEPYPEANTEFLKSNGIRLFQFGIEGYKEPFVNIPEDTIREALKVVLDARNHPVLIHCKRGKHRTGCLVGCLRKLQRWCLSSVFDEYQRFAAAKARVSDQRGQC >EOX93423 pep chromosome:Theobroma_cacao_20110822:1:12960650:12963452:-1 gene:TCM_002299 transcript:EOX93423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein, putative MEKASKIVLFSCLVVSFLASSCAQTCRTQTFSNNRQYDNCSDLPALNCYLHWTYRTDGTVDLAFRHTGTNSGRWSAWAINPSGARMFGSQALVAFVNSSGVAHAFTTQVNLPSPTMQQSNLSFEVPSLSATFENNDMTIFAVLRISENLLSTNQVWQEGPVTNDVLGMHPTSGENMQSVASVNFLTGQSGGSASNSRARRRNVHGVLNTVSWGILMPLGAITARYMKVFKSADPAWFYLHVACQCSAYIVGVAGWATGIKLGSESAGITQNPHRNIGITLFCLGTLQVFALLLRPNKDHKYRFYWNIYHHSIGYSVIILSIINIFEGFDILQPEDKWKRIYIGILIFLGIVASLLEAFTWYIVLKRRKTGSDKHSNSMNGAGNGVNGYGARGQGV >EOX95224 pep chromosome:Theobroma_cacao_20110822:1:33383553:33387307:-1 gene:TCM_004774 transcript:EOX95224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein MEKSQIWVAFGTLALLLASALADDVVVLTEENFDKELGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSILIGKVDCDEHKSLCSKYGVQGYPTIQWFPKGSLEPKKYEGPRTAESLAEYVNTEGGTNVKIATLPSNVAVLNADNFDEIVLDESKDVLVEFYAPWCGHCKNLAPTYEKVATAFKMEEDVVIANLDADKHKDLAEKYGVSGYPTLKFFPKGNKAGEDYNGGRDLDDFVTFINEKSGTSRDAKGQLTSKAGILSSLDALVKEFVAASNDEKKAVFSKIEEEVEKLKGSTLRYGKIYLKAAKSCLEKGADYPKKEIDRLQRILDKSISPAKADEFTLKKNVLSAFA >EOX91937 pep chromosome:Theobroma_cacao_20110822:1:4533299:4536903:1 gene:TCM_000981 transcript:EOX91937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamidase 1 isoform 2 MVSKTIDLLKKDLPVEQSSLVLSQDVKTGLVLVDVVNGFCTVGAGNLAPRQPDKQISDMVEESVRLAKLFCEKNWPVFAFLDSHHPDIPEPPYPPHCIIGTDEARLVPALQWLENEPSATLKCKDCIDGFLGSIEEDGSNLFVDWVKNNQIKAILVVGICTDICVLDFVCSTLSARNRQILTPLEDVIVYSSACATFDLPVHVAKDINGALAHPQDLMHHIGLYIAKGRGARVVSEVSFAVCVESDTDL >EOX91936 pep chromosome:Theobroma_cacao_20110822:1:4533260:4536959:1 gene:TCM_000981 transcript:EOX91936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamidase 1 isoform 2 MVSKTIDLLKKDLPVEQSSLVLSQDVKTGLVLVDVVNGFCTVGAGNLAPRQPDKQISDMVEESVRLAKLFCEKNWPVFAFLDSHHPDIPEPPYPPHCIIGTDEARLVPALQWLENEPSATLKCKDCIDGFLGSIEEDGSNLFVDWVKNNQIKAILVVGICTDICVLDFVCSTLSARNRQILTPLEDVIVYSSACATFDLPVHVAKDINGALAHPQDLMHHIGLYIAKGRGARVVSEVSFAVCVESDTDL >EOX91935 pep chromosome:Theobroma_cacao_20110822:1:4533260:4536959:1 gene:TCM_000981 transcript:EOX91935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamidase 1 isoform 2 MGSQFSFYVARNPYLQQLLSDPTNNKKKMVSKTIDLLKKDLPVEQSSLVLSQDVKTGLVLVDVVNGFCTVGAGNLAPRQPDKQISDMVEESVRLAKLFCEKNWPVFAFLDSHHPDIPEPPYPPHCIIGTDEARLVPALQWLENEPSATLKCKDCIDGFLGSIEEDGSNLFVDWVKNNQIKAILVVGICTDICVLDFVCSTLSARNRQILTPLEDVIVYSSACATFDLPVHVAKDINGALAHPQDLMHHIGLYIAKGRGARVVSEVSFAVCVESDTDL >EOX95356 pep chromosome:Theobroma_cacao_20110822:1:33870881:33871930:-1 gene:TCM_004871 transcript:EOX95356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTNLIALSSPRLLLKPPHSVNLKHFRSIDCCSIRKQSKTSLRNSWPSISLSLFGSGFVLGPLIDGLHSRVDLVVYQSGAINIGPLHTNIWVPPLLGLFYCTVGLLQLFLDEKASSEVPEGTLEKAGGSLIALVLFIELSAEMYKNGVADNIEAYILFAAAELLWFSLDRTRLGFTLASIIGICCPLAEIPLMKFFHLWYYPQAYVEIFGQVISYLLKRNLIN >EOX95355 pep chromosome:Theobroma_cacao_20110822:1:33870115:33871932:-1 gene:TCM_004871 transcript:EOX95355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTNLIALSSPRLLLKPPHSVNLKHFRSIDCCSIRKQSKTSLRNSWPSISLSLFGSGFVLGPLIDGLHSRVDLVVYQSGAINIGPLHTNIWVPPLLGLFYCTVGLLQLFLDEKASSEVPEGTLEKAGGSLIALVLFIELSAEMYKNGVADNIEAYILFAAAELLWFSLDRTRLGFTLASIIGICCPLAEIPLMKFFHLWYYPQAYVEIFGQGLVTWTVTCYFAYTPFIVCLSRWLQSTINAADTEKSI >EOX92448 pep chromosome:Theobroma_cacao_20110822:1:6872299:6884252:1 gene:TCM_001395 transcript:EOX92448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein, putative isoform 1 MAREEELQINMAKRAYRKAKEEGNRQEEARWANVIGDILKNRGEYVEALKWFRIDYDISNKYLPEKQLLPTCQALGEVYLRLEDYQDALIYQKKHLDLAKDANDLVEQQRASTQLGRTYHEMFLKSDGDHYSVRKAKKYFKCAMELAQTLKENPPNNKSSFLKEYIDAHNNIGMLEMDLDNLDEALKFLTKGLEICDEEEVAEDDDGRSRLHHNLGNVYIELRRWDKAREHIEKDIIICKRIGHCQGEAKGYINLGELHYRVQKYDEAILCYQKALDLAKSMEDEDALAAQINQNINTVKEAINVMNELKKEEQNLKKLRRKMVTAKGTPEERKFLLQQNSCLDRLIEKSAMIFAWLKHREFSKRKKSIASELCDKEKLSDAFLVVGESYQKLRNFSKAIKWYTKSWEGYKLIGNLEGQALAKINIGDVLDCSGDWTGALEAFEEGCRIAAKAKLPSVQISALENMHYSHMIRFDHVEEARRLQLEIDKLKQSKTKELEAKHVTMDCCSETDTEGDDHCSDNMSNAYSGVMSKSNSNKSASLAASGELNDDLPLISLIRPSKRSSKTETAPSGKYNISTEPDEAFPSSLSKSTSNQQTVVGRKRVRVVLSDDEGDMHDEVEGSAGRLHECPVNVAASNEFKSKSGPVRSDYKSQDFSPVPSKSPIQHCNLVNIEESICSYKSGCITFKVDTSMINVELSSFMVGDKISMESLEVELACLYYLQLPVEKRSKGLLPIIQNMECGGRPLESFENFDALRNHMRKVLVDVVIDGWVQKRLMKLYIDSCNELSEAPNMKLLKKLYVSEIEDDVDVSECELQDISVTPLLNALYTHKGVAMLDLSHNLLGNATMEKLQQFFSSSGQKYADLTLDLHCNRFGPTALFQICECPVLFTRLEVLNISGNRLTDACGSYLSTILEKCKALFSLNVERCSITSRTIQKVADALGTGSALSQLLIGYNNPISGNAITNLLGKLAKMKRFSDLSLNGLKLSKPVVDGLCYLAKTSCLSRLMLEGTGIGTDGALGLTQSLFSSTQEPLKLDLSYCGVTSTYVYQLNTDVTFISGILELNLGGNPIMLEGGNALASLLINPQCCLKALILNKCQLGMAGILQIIQALAENDSLEELNLADNADTNKQLTIQCDKLTKESSEYLQPDHTISEPYLNQCVSKECDVEQGMCVINADCSKLEVADSEDDEVRVGTAACEFDDSCASSCQRNSSMECQFIQDLSTAIGMVKQLQVLDLSNNGFSVEASEALFNAWSSGSRVGLAWRHIDNQTIHLSVEVNKCCRVKSCCKKD >EOX92449 pep chromosome:Theobroma_cacao_20110822:1:6872299:6884252:1 gene:TCM_001395 transcript:EOX92449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein, putative isoform 1 MAREEELQINMAKRAYRKAKEEGNRQEEARWANVIGDILKNRGEYVEALKWFRIDYDISNKYLPEKQLLPTCQALGEVYLRLEDYQDALIYQQRASTQLGRTYHEMFLKSDGDHYSVRKAKKYFKCAMELAQTLKENPPNNKSSFLKEYIDAHNNIGMLEMDLDNLDEALKFLTKGLEICDEEEVAEDDDGRSRLHHNLGNVYIELRRWDKAREHIEKDIIICKRIGHCQGEAKGYINLGELHYRVQKYDEAILCYQKALDLAKSMEDEDALAAQINQNINTVKEAINVMNELKKEEQNLKKLRRKMVTAKGTPEERKFLLQQNSCLDRLIEKSAMIFAWLKHREFSKRKKSIASELCDKEKLSDAFLVVGESYQKLRNFSKAIKWYTKSWEGYKLIGNLEGQALAKINIGDVLDCSGDWTGALEAFEEGCRIAAKAKLPSVQISALENMHYSHMIRFDHVEEARRLQLEIDKLKQSKTKELEAKHVTMDCCSETDTEGDDHCSDNMSNAYSGVMSKSNSNKSASLAASGELNDDLPLISLIRPSKRSSKTETAPSGKYNISTEPDEAFPSSLSKSTSNQQTVVGRKRVRVVLSDDEGDMHDEVEGSAGRLHECPVNVAASNEFKSKSGPVRSDYKSQDFSPVPSKSPIQHCNLVNIEESICSYKSGCITFKVDTSMINVELSSFMVGDKISMESLEVELACLYYLQLPVEKRSKGLLPIIQNMECGGRPLESFENFDALRNHMRKVLVDVVIDGWVQKRLMKLYIDSCNELSEAPNMKLLKKLYVSEIEDDVDVSECELQDISVTPLLNALYTHKGVAMLDLSHNLLGNATMEKLQQFFSSSGQKYADLTLDLHCNRFGPTALFQICECPVLFTRLEVLNISGNRLTDACGSYLSTILEKCKALFSLNVERCSITSRTIQKVADALGTGSALSQLLIGYNNPISGNAITNLLGKLAKMKRFSDLSLNGLKLSKPVVDGLCYLAKTSCLSRLMLEGTGIGTDGALGLTQSLFSSTQEPLKLDLSYCGVTSTYVYQLNTDVTFISGILELNLGGNPIMLEGGNALASLLINPQCCLKALILNKCQLGMAGILQIIQALAENDSLEELNLADNADTNKQLTIQCDKLTKESSEYLQPDHTISEPYLNQCVSKECDVEQGMCVINADCSKLEVADSEDDEVRVGTAACEFDDSCASSCQRNSSMECQFIQDLSTAIGMVKQLQVLDLSNNGFSVEASEALFNAWSSGSRVGLAWRHIDNQTIHLSVEVNKCCRVKSCCKKD >EOX92450 pep chromosome:Theobroma_cacao_20110822:1:6872357:6881658:1 gene:TCM_001395 transcript:EOX92450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein, putative isoform 1 MAREEELQINMAKRAYRKAKEEGNRQEEARWANVIGDILKNRGEYVEALKWFRIDYDISNKYLPEKQLLPTCQALGEVYLRLEDYQDALIYQKKHLDLAKDANDLVEQQRASTQLGRTYHEMFLKSDGDHYSVRKAKKYFKCAMELAQTLKENPPNNKSSFLKEYIDAHNNIGMLEMDLDNLDEALKFLTKGLEICDEEEVAEDDDGRSRLHHNLGNVYIELRRWDKAREHIEKDIIICKRIGHCQGEAKGYINLGELHYRVQKYDEAILCYQKALDLAKSMEDEDALAAQINQNINTVKEAINVMNELKKEEQNLKKLRRKMVTAKGTPEERKFLLQQNSCLDRLIEKSAMIFAWLKHREFSKRKKSIASELCDKEKLSDAFLVVGESYQKLRNFSKAIKWYTKSWEGYKLIGNLEGQALAKINIGDVLDCSGDWTGALEAFEEGCRIAAKAKLPSVQISALENMHYSHMIRFDHVEEARRLQLEIDKLKQSKTKELEAKHVTMDCCSETDTEGDDHCSDNMSNAYSGVMSKSNSNKSASLAASGELNDDLPLISLIRPSKRSSKTETAPSGKYNISTEPDEAFPSSLSKSTSNQQTVVGRKRVRVVLSDDEGDMHDEVEGSAGRLHECPVNVAASNEFKSKSGPVRSDYKSQDFSPVPSKSPIQHCNLVNIEESICSYKSGCITFKVDTSMINVELSSFMVGDKISMESLEVELACLYYLQLPVEKRSKGLLPIIQNMECGGRPLESFENFDALRNHMRKVLVDVVIDGWVQKRLMKLYIDSCNELSEAPNMKLLKKLYVSEIEDDVDVSECELQDISVTPLLNALYTHKGVAMLDLSHNLLVVQWLLFARKCNNGETPTIFFIIRPKIC >EOX92243 pep chromosome:Theobroma_cacao_20110822:1:5889924:5893421:-1 gene:TCM_001217 transcript:EOX92243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MPLILSPCSLQLPAFHSPFSQIYISTLSQPCHLSTRPIARISCITTRPRRKTGSSKAEEPEALELVRVLMRSFSDKEPLVKTLNRYVRVVRCEHCFLLFEELGKTDKWLQCLEVFRWMQKQRWYIADNGIYSKLITVMGKKGQTRMAMWLFSEMRNSGCRPDVSVYNALITAHLHSRDKSKALDKAMGYFNKMKGMERCKPNIVTYNILLRAFSQARNVDQVNALFKDLAESIIAPDIYTYNGVMDAYGKNGMIREMESVLSRMKSNQCKPDTITFNVLIDSYGKKQEFDKMEQVFKSLLRSKQKPTLPTFNSMIINYGKARLKEKAEHVFKKMTDMKYVPSFITYESLIMMYGFCDCVSRAREIFDGIVNSGKEMRVSTLNAMLEVYCRNGLHMEADRLFENAHKMGVIRDSSTYKLLYKAYTKANMKDLMQKLVKQMEKDGIVPNKRFFLEALEAFGSLPASPDSVSATIGDRPEKSAKTDVEVTI >EOX92242 pep chromosome:Theobroma_cacao_20110822:1:5891229:5893317:-1 gene:TCM_001217 transcript:EOX92242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MPLILSPCSLQLPAFHSPFSQIYISTLSQPCHLSTRPIARISCITTRPRRKTGSSKAEEPEALELVRVLMRSFSDKEPLVKTLNRYVRVVRCEHCFLLFEELGKTDKWLQCLEVFRWMQKQRWYIADNGIYSKLITVMGKKGQTRMAMWLFSEMRNSGCRPDVSVYNALITAHLHSRDKSKALDKAMGYFNKMKGMERCKPNIVTYNILLRAFSQARNVDQVNALFKDLAESIIAPDIYTYNGVMDAYGKNGMIREMESVLSRMKSNQCKPDTITFNVLIDSYGKKQEFDKMEQVFKSLLRSKQKPTLPTFNSMIINYGKARLKEKAEHVFKKMTDMKYVPSFITYESLIMMYGFCDCVSRAREIFDGIVNSGKEMRVSTLNAMLEVYCRNGLHMEADRLFENAHKMGVIRDSSTYKLLYKAYTKANMKDLMQKLVKQMEKDGIVPNKRFFLEALEAFGSLPASPDSVSATIGDRPEKSAKTDVEVTI >EOX96096 pep chromosome:Theobroma_cacao_20110822:1:36592144:36593161:1 gene:TCM_005429 transcript:EOX96096 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor SNZ, putative MESKKNMDNNIAMTDTQPPPLPQSPAAAVQLTELVFSLEQATQMAKQLPSSSDPSYLHQIYSSLHQAHHSLSSFLSATQTQFLVPPPPPPQPPLPLVAAENSLSSTTGAANEDGSEPMQVGDENEAEAEENSKTSVDKVEERMRECFIKNKRAKRQLSPSHAAVAEERRICEDRFVGGVKGYDSLGDKLRALELVYQFHG >EOX94353 pep chromosome:Theobroma_cacao_20110822:1:28091893:28094702:-1 gene:TCM_003948 transcript:EOX94353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MGCFLACFGSSKDRKTRKQRHKVQPRFQRNASYNAQSTVSLEQSNLEKPIGPVKEVRDDDAEEQLGSGSSNRKKVTFDTNVKTYEHVLIDESTDFELHNEEEEEEEGENKGKVNEDNLTKRRESENSSEHSSITSSSTFYPPNHRYQNCRESDNEDEDGELDYEESDLDDDEDDDYEDFDDGAVESRDMIRGVRGVTEKVDGLVQEEVKPIGLIRGVRDRSGNVPPVLNPVENLTQWKAVKAKGAPPPKLRKENLSLEQEEPRLSFSSDPSFKELSFSFKSKSDHEPMKLDQEVSVDASLSNWLSSSETTPVKKKSNFDASTPERSMSQGSNSLRSPEDRPILGALTLEEINKFSASSSPRKSPSRSPDEMPIIGTVGTYWSHHVSTTKDSGSATSFKGIPNTTSKYREDKNVSWHSTPFETRLERALNRCSAEASSNRNIC >EOX94354 pep chromosome:Theobroma_cacao_20110822:1:28091710:28094932:-1 gene:TCM_003948 transcript:EOX94354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MGCFLACFGSSKDRKTRKQRHKVQPRFQRNASYNAQSTVSLEQSNLEKPIGPVKEVRDDDAEEQLGSGSSNRKKVTFDTNVKTYEHVLIDESTDFELHNEEEEEEEGENKGKVNEDNLTKRRESENSSEHSSITSSSTFYPPNHRYQNCRESDNEDEDGELDYEESDLDDDEDDDYEDFDDGAVESRDMIRGVRGVTEKVDGLVQEEVKPIGLIRGVRDRSGNVPPVLNPVENLTQWKAVKAKGAPPPKLRKENLSLEQEEPRLSFSSDPSFKELSFSFKSKSDHEPMKLDQEVSVDASLSNWLSSSETTPVKKKSNFDASTPERSMSQGSNSLRSPEDRPILGALTLEEINKFSASSSPRKSPSRSPDEMPIIGTVGTYWSHHVSTTKDSGSATSFKGIPNTTSKYREDKNVSWHSTPFETRLERALNRCSAEASSNRNIC >EOX94355 pep chromosome:Theobroma_cacao_20110822:1:28093052:28094505:-1 gene:TCM_003948 transcript:EOX94355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MGCFLACFGSSKDRKTRKQRHKVQPRFQRNASYNAQSTVSLEQSNLEKPIGPVKEVRDDDAEEQLGSGSSNRKKVTFDTNVKTYEHVLIDESTDFELHNEEEEEEEGENKGKVNEDNLTKRRESENSSEHSSITSSSTFYPPNHRYQNCRESDNEDEDGELDYEESDLDDDEDDDYEDFDDGAVESRDMIRGVRGVTEKVDGLVQEEVKPIGLIRGVRDRSGNVPPVLNPVENLTQWKAVKAKGAPPPKLRKENLSLEQEEPRLSFSSDPSFKELSFSFKSKSDHEPMKLDQEVSVDASLSNWLSSSETTPVKKKSNFDASTPERSMSQGSNSLRSPEDRPILGALTLEEINKFSASSSPRKSPSRSPDEMPIIGTVGTYWSHHVSTTKDSGSATSFKGIPNTTSKYREVYVK >EOX94356 pep chromosome:Theobroma_cacao_20110822:1:28092732:28094728:-1 gene:TCM_003948 transcript:EOX94356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MGCFLACFGSSKDRKTRKQRHKVQPRFQRNASYNAQSTVSLEQSNLEKPIGPVKEVRYQNCRESDNEDEDGELDYEESDLDDDEDDDYEDFDDGAVESRDMIRGVRGVTEKVDGLVQEEVKPIGLIRGVRDRSGNVPPVLNPVENLTQWKAVKAKGAPPPKLRKENLSLEQEEPRLSFSSDPSFKELSFSFKSKSDHEPMKLDQEVSVDASLSNWLSSSETTPVKKKSNFDASTPERSMSQGSNSLRSPEDRPILGALTLEEINKFSASSSPRKSPSRSPDEMPIIGTVGTYWSHHVSTTKDSGSATSFKGIPNTTSKYREVYVK >EOX93876 pep chromosome:Theobroma_cacao_20110822:1:17089398:17091165:1 gene:TCM_002872 transcript:EOX93876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFDREDLSLYAFICAFSRQPSQKIWAQQCSNSQVVKKDPKVPGKILSKLELLGHLALPWPISCHDFLKSVLPDGVKEVKPLRRSQSALLKQLQNNLIPNIKLLREIGVPQSSISVLTNVNHAVFAKPRQT >EOX95701 pep chromosome:Theobroma_cacao_20110822:1:35277568:35281804:-1 gene:TCM_005144 transcript:EOX95701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide 4-kinase gamma 4, gamma 4,ubdk gamma 4,pi4k gamma 4 MSSAGVAVVSVRNEPMVPPDHMNPQLGLCENESIWIFLALSGSMIPMRVLESDSIESVKLQIQTCKGFVVKNQRLVCGGRELARSNSLIRDYGVNDGNVLHLVLRLSDLQVINVKTTSGKEFTFHVGRGRDVGYVKQQIAKREKQFTELDEQEVLCDGKILEDQKLIDDICKHNDAVLRLLVRKSAKVRAKPVAKNFELSIVAPELKDKRHCDAGEKNNRRQYDVCCEENYSRGYEVDREVVPRKPPDRDFSLEPVIVNPKVELPAVIVDMINSTFDGLNGGRIPIRSVEGTGGAYFMQDSSGQKFVAVFKPIDEEPLAVNNPRKLPVSSDDEGLKKGTIVGEGAFREVAAYILDHPKNEWRTLYGDEKGFAGVPPTAMIKCLHSGFNNPDDIAKVKIGSLQMFVENSGSCEDMGPGSFPVEQVHKISVLDIRLANADRHAGNILMSKDEDGQTLLIPIDHGYCLPESFEDCTYDWLYWPQARQPYSPETIDYIKSLDAEEDISLLKFHGWDMPPKCARTLRISTMLLKKGAERGLTPFAIGSIMCRENLNEESVIEEIVQEAQDSVLPGISEAAFLETLSQIMDRRLDEIAESF >EOX96464 pep chromosome:Theobroma_cacao_20110822:1:37886778:37890397:-1 gene:TCM_005708 transcript:EOX96464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain protein isoform 1 MDIEQKQADLIDHFVKQASAQQGSALGSIILEATSHPSLFAFSEILAVPSVAELERTENSVYLEVLRLFAHGTWSDYKGNSGRLPQLVPDQVLKLKQLTVLTLAETNKVLPYDQLMLELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGSMIQTLSNWLGTSDNLLISIQEKIKWADTMSELDKKHRKEVEDRVEEVKKSLTLKKFGA >EOX96462 pep chromosome:Theobroma_cacao_20110822:1:37885735:37890712:-1 gene:TCM_005708 transcript:EOX96462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain protein isoform 1 MDIEQKQADLIDHFVKQASAQQGSALGSIILEATSHPSLFAFSEILAVPSVAELERTENSVYLEVLRLFAHGTWSDYKGNSGRLPQLVPDQVLKLKQLTVLTLAETNKVLPYDQLMLELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGSMIQTLSNWLGTSDNLLISIQEKIKWADTMSELDKKHRKEVEDRVEEVKKSLTLKADVDFRGLEEIYSEPGGVMDYEEDRSRPKRRRHPIS >EOX96463 pep chromosome:Theobroma_cacao_20110822:1:37886552:37890642:-1 gene:TCM_005708 transcript:EOX96463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain protein isoform 1 MDIEQKQADLIDHFVKQASAQQGSALGSIILEATSHPSLFAFSEILAVPSVAELERTENSVYLEVLRLFAHGTWSDYKGNSGRLPQLVPDQVLKLKQLTVLTLAETNKVLPYDQLMLELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGSMIQTLSNWLGTSDNLLISIQEKIKWADTMSELDKKHRKEVEDRVEEVKKSLTLKKLHTVSRPTLTSEGSRRSTLNLVE >EOX93767 pep chromosome:Theobroma_cacao_20110822:1:15609396:15612616:-1 gene:TCM_002682 transcript:EOX93767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSLSKRLHTLTQCLRSTTHQLRFARTDGASSKRRSKAPGFAFKKTEDKSEWWIVDGEMHEIGDHVPPRERFVIPRDNIPNKRRKQLREQFMRRTRLVIKESEHEPWCKRYMELYNELRENWERLYWDEGYSKKIAKDHANYDSAEDDDQDFNPYRSRRPRADLMKDQGLGRNRQGDTLEKVNQIRDKFEYDREKRMREKAFAPMNGGTSLNSYDTNSRSQPFDTQRYFSDND >EOX91614 pep chromosome:Theobroma_cacao_20110822:1:3303952:3304546:-1 gene:TCM_000747 transcript:EOX91614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein group 8 protein, putative MEKKQEHVENKADRKEEKKESLEGLPVKNSPYVKNKDLEDYKRQGYGTEGHLQPKPGRGAASSTDAPTLSGANLSSERDIAATDTINRQGFP >EOX91349 pep chromosome:Theobroma_cacao_20110822:1:2393309:2398281:1 gene:TCM_000572 transcript:EOX91349 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP domain-containing protein, putative isoform 1 MADSKKKGKRTFISLSSSSEEEDELETEEEEEEEDYDDDHYENNSFSSSSGNETEEEEEEEKEEEGNESDDNGRTENDETLCNRVIDLLKEGGKLESLSLRQCKAYLRNHGLRITGTKAVCQQRILEHWKIKDGNAEALYPRSSFFINCTGDVCKGDVVLFEQKVYEKFNKVTRHGRLLGRRTVAGKVVKESYGKAKQQHTFTELFRPLMTLSASSMFFMQKVEVLWSKGIKKLPSLFPLLVKGRNLYKLKAYRQRWSDEAERRNVLAEKHRRGKAARLVKAMKKSKKKWTKDVGTKHQKHLHHSQPSKKRKATEQEKGKIVNAQGKASIPRRANMSKNYQATSLVGQVKKKQNSRLRVSNSSYSHRKPVHFTENKGATCHSYAGPVLNPYKSQHRNAPLHFASYDMGSTSTMVRSLPFRPYVDEWTVPASQYQGFNFNNHSYTHHANSNPGFCHK >EOX91350 pep chromosome:Theobroma_cacao_20110822:1:2393375:2396157:1 gene:TCM_000572 transcript:EOX91350 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP domain-containing protein, putative isoform 1 MADSKKKGKRTFISLSSSSEEEDELETEEEEEEEDYDDDHYENNSFSSSSGNETEEEEEEEKEEEGNESDDNGRTENDETLCNRVIDLLKEGGKLESLSLRQCKAYLRNHGLRITGTKAVCQQRILEHWKIKDGNAEALYPRSSFFINCTGDVCKGDVVLFEQKVYEKFNKVTRHGRLLGRRTVAGKVVKESYGKAKQQHTFTVEVLWSKGIKKLPSLFPLLVKGRNLYKLKAYRQRWSDEAERRNVLAEKHRRGKAARLVKAMKKSKKKWTKDVGTKHQKHLHHSQPSKKRKATEQEKGKIVNAQGKASIPRRANMSKNYQATSLVGQVKKKQNSRLRVSNSSYSHRKPVHFTENKGATCHSYAGPVLNPYKSQHRNAPLHFASYDMGSTSTMVRSLPFRPYVDEWTVPASQYQGFNFNNHSYTHHANSNPGFCHK >EOX92949 pep chromosome:Theobroma_cacao_20110822:1:9565978:9567682:-1 gene:TCM_001811 transcript:EOX92949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated gene 12 MAFKNLQLHQCICLAFIFIVGALVCEATSRTLQDASMYERHEQWMARYGRVYQDNNEREQRFNIFKENVARIDSFNRAKDKPYKLGVNQFADLTNDEFTASRNRFKGHMCSNQATTFKYENVTALPSTMDWRKKGAVTPIKDQGQCGSCWAFSAVAAMEGVTKLTTGKLISLSEQELVDCDTKGDDQGCQGGLMDDAFQFIQNNKGLTTESDYPYKGVDGKCNTNKEANHAANINGHEDVPANNEEALHKAVANQPVSVAIDAGGYEFQFYSSGVFTGDCGTDLDHGVTAVGYGADDDGTKYWLVKNSWGTSWGEEGYIRMQRDVDAKEGLCGIAMQASYPTA >EOX92913 pep chromosome:Theobroma_cacao_20110822:1:9394984:9400387:-1 gene:TCM_001775 transcript:EOX92913 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-domain-2 5, putative MVIGNNTGSATAFLIILLVSSSSCLINAQDIAYPSVAYLPNSWINVPVFDFGFWDSAGVTPILISGTFVCGFHCESSEGECLFAVSIFQIYTLNGDLILQDLDGTPVWNTNTAGKFVSGLKLSEEGNLVLFDGNNETVWQSFDHPTDTLVPGQALVCGQKLTANVSPSNSSAGLYSLALINDSLIAFLEPNAQRVYFGPLRVKHMIQGNNKVQYVNGSFDRFLLPTTSAAQFIQLESDGHLRAYQLRESKWKPVSDLLINYTGACGFPLLCGEYGVCSAGSCTCPKAEGNESVLYFSQASEERSNLGCHENIPLSCEPPDLHMKRLDGPGHFRESLLAEVETLGSVHHINLVTLIGFCAEKSHWLLVYEYMHNGSLDKWIFPRDRELRLSWNLRRKIILDIARGLAYLHEGWTQKILHLDIKPQNILLDENFNAQLADFGLSKVLARDQDKAFTTMRGTPGYMAPGWSSAVITEKVDVYSFGIVVLEILCGRRNVERTQPEEEMHLLNRFMKKAEEGKLLDLVDKMSEDMQPNEAEVVKMMRIAAWCLQVDYTRRPCMTDVLRVLEDFMDVKPNLIYDFLNPQAPAAPCSRAGTMSIALPSILSGPR >EOX95074 pep chromosome:Theobroma_cacao_20110822:1:32809626:32811930:-1 gene:TCM_004651 transcript:EOX95074 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase-related MASGWGINGNKGRCYDFWVDFSECMSRCREPKDCALLREDYLECLHHSKEFQRRNRIYKEEQRKLRAATRKGKEGEDGVGHHA >EOX95361 pep chromosome:Theobroma_cacao_20110822:1:33879568:33895692:1 gene:TCM_004873 transcript:EOX95361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-beta-dioxygenase 2 MVTLSSPTSMRSKKTRAVGIPEVDLSLDRSKASELIVQACEEYGFFKVINHGVPGEIITRLEDEGLNFFDKPADDKHRAGPASPFGYGLKNIGLTGDKGELEYLLLHTHPFSIAERSKTISNEPENFSCAANDYIEAVRELACEVLDLVAEGLWVPDKYVFSRLIRDVQSDSVLRFNHYPPFKNWDPSSKACKDDQIGFGEHSDPQILTILRSNDVAGLEISLHDGFWVPVPPDPTQFYVIIGDALRVLTNGRLVSVRHRALANSSRDSRMSIMYFGAPPLNAIISPLPEFVSPQNPSLYKPFTWSEYKKAAYSLRLGDCRLDLFKLHPSNDRMTLLS >EOX92402 pep chromosome:Theobroma_cacao_20110822:1:6680863:6683103:-1 gene:TCM_001356 transcript:EOX92402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor isy-1 MARNEEKAQSMLNRFIALKAEEKKKPKERRPYLASECRDLAEADKWRQQIMREIGRKVAEIQNEGLGEHRLRDLNDEINKLIREKSHWERRIVELGGSNYAKHAPKMTDLEGNIVDVPNPSGRGPGYRYFGAAKKLPGVRELFEKPPELRKRRTRYDIYKRIDASYYGYRDDEDGVLERVEGPAEAKIRAEAEEEWRRVEEIRREARRGAKEVVSVGAAVREVLFEEEEDVVEEERREREREEKERMEKEREFVVHVPLPDEKEIERMVVEKKKMELLNKYASEGLLEEQSEAKDMLNIHR >EOX91953 pep chromosome:Theobroma_cacao_20110822:1:4597388:4603713:-1 gene:TCM_000992 transcript:EOX91953 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein MAREKIQIKKIDNATARQVTFSKRRRGLFKKAEELSILCDADVALVIFSSTGKLFQYASSSMKEILERHNLHSKNLEKLEQPSLELQLVENGNQSMLSKEVAEKSHQLRQMRGEELQGLSIEELQQLEKSLESGLSRVIEKKGQKIMREINDLQRKGMHLMEENERLKLQIFNGRKQIASDSEIVFGEDGQSSESVTNVCASNGTPHDYESSDTSLKLGLPYSG >EOX92583 pep chromosome:Theobroma_cacao_20110822:1:7606126:7615021:-1 gene:TCM_001516 transcript:EOX92583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 14 isoform 2 MELQTFRSISFAGHGRFWKHSISGRKCFSKNIKTLVSCSYSTEENDTLPSVKQLCNAKVIYAVAPALGHNKESHPESNARVPAIVTALAKMELTSKFRGSDILELQNFKLASADDIASVHAKAYVSGLEKAMDRASEQGLIVIDGSGPTYATPTTFCESLVAAGAGLALVDSVVAASKNQLDPPMGFALIRPPGHHAIPNGPMGFCVFGNVAIAARHAQRIHGLKRVFIIDFDVHHGNGTNDAFLDDPDIFFLSTHQDGSYPGTGRIDEIGHGAGEGATLNLPLPGGSGDTAMRTVFDEVIVPCAQRFKPDIILVSAGYDGHVLDPLASLQFTTGTYYMLASNIKQLAKELCGGRCVFFLEGGYNLDSLSYSVADSFRAFLGEPSLAPEFDNPAILYEEPSTRVKQAIQRVKHIHSL >EOX92582 pep chromosome:Theobroma_cacao_20110822:1:7605934:7615200:-1 gene:TCM_001516 transcript:EOX92582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 14 isoform 2 MELQTFRSISFAGHGRFWKHSISGRKCFSKNIKTLVSCSYSTEENDTLPSVKQLCNAKVIYAVAPALGHNKESHPESNARVPAIVTALAKMELTSKFRGSDILELQNFKLASADDIASVHAKAYVSGLEKAMDRASEQGLIVIDGSGPTYATPTTFCESLVAAGAGLALVDSVVMPQPRTKVTGKSMLHLDQNLIKDFDLYGEKEPWEIWNLFGGCNLQYSDDLYFFTKLKKKSQNSSRMNGSVSIGMWMGEGSGKPITYSGLSAVAASKNQLDPPMGFALIRPPGHHAIPNGPMGFCVFGNVAIAARHAQRIHGLKRVFIIDFDVHHGNGTNDAFLDDPDIFFLSTHQDGSYPGTGRIDEIGHGAGEGATLNLPLPGGSGDTAMRTVFDEVIVPCAQRFKPDIILVSAGYDGHVLDPLASLQFTTGTYYMLASNIKQLAKELCGGRCVFFLEGGYNLDSLSYSVADSFRAFLGEPSLAPEFDNPAILYEEPSTRVKQAIQRVKHIHSL >EOX95570 pep chromosome:Theobroma_cacao_20110822:1:34677743:34681466:1 gene:TCM_005026 transcript:EOX95570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quercetin 3-O-methyltransferase 1, putative MRLHHFDLPHVIQHAPPFPGVKHVAGDMFESVPSGDAIFMKGILRDWSDAQCLKLLKNCYNALPKDGKVIVIEQILPTVADLDSVYKGKLLNDVLTMTLNPGGKERKQGEMFRLAEESGFDRIQFSSSQCHYHVLEFIKSPNKRVYSVPPKNKIRYLPAFFQVVASRNEATNEELQTFTEVMHLVSALALPRPMQAAMDLGISDILAQAGRGLSSLAIASRLGIREPNSLKMLDRLVTLLACHDVFTCSEDCYSLTPAANYFARNEDGVFLAPLMRLNNDRVFLESWSGVKDAILEGGNPFNRAHGTNHVFEYLGKDGRFSQVYNTAMFNRTTIVVKQILESYEGLRTSRH >EOX94530 pep chromosome:Theobroma_cacao_20110822:1:29496535:29497030:1 gene:TCM_004139 transcript:EOX94530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNMYFEFWMAKLVAIKNSCLDHESPWQRKPPQTQQQRWEMQEARAEHCRLRSCHPACRHRILFQGCSCRDCDRTLAPDPVGVIEAQAYLLQARAPHLMASSLQVEVRLLKERKPIKL >EOX91791 pep chromosome:Theobroma_cacao_20110822:1:3875748:3879273:-1 gene:TCM_000866 transcript:EOX91791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKVLELVRMNHELEKQRTARNGLVETKLCPRELWTPPPPSSLLTIQGKLKANKGDYKIVLYIAAFYIN >EOX91108 pep chromosome:Theobroma_cacao_20110822:1:1617317:1619448:1 gene:TCM_000396 transcript:EOX91108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein SNQSILPTSYDQSPTTNNRSSSTLISVKQSRCCCNGFFIIMRLQSWFLHFQGLQIPSDIKS >EOX93372 pep chromosome:Theobroma_cacao_20110822:1:12500131:12509962:1 gene:TCM_002224 transcript:EOX93372 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein, putative isoform 2 MECLPQDVVLDILSRLPITSLVQSKSVCRSWRSIIQGSLLANKHLSHMSDYDPGIIFQSHWPSQNQYYFVDFAAYPEGNKILKKISFSTKHANLVGSCNGLLCFCNASQIHICNPLTKDSIELPKLLKGPGEVGILGFGFSPTTKEYKLIEIVYQRKRPGVWSHVAVSNPFQAEVRILTLGGSRWRSLGMVPYRFIRQPSQVMVSGRLHWRSQLGKYNIDNQLISFDLAAETFQEVSKPDCRSFNRGFYELINLQGHLSAAASNASGGLEIWVMKEYNIKESWIKEFSIGGYLPKELQRINARIHSPRSVFRAICRFRSGEILLEHRSKALVLYDPVHETFKDLTFEGAPNWFKMVVHVGSLIINEFIVMLLDSKPKWDQRPISPPLCAWEIDDPFPISLRFAFKWLGVLMEKLRSSTLPSSFSSNRR >EOX93374 pep chromosome:Theobroma_cacao_20110822:1:12500385:12502865:1 gene:TCM_002224 transcript:EOX93374 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein, putative isoform 2 MECLPQDVVLDILSRLPITSLVQSKSVCRSWRSIIQGSLLANKHLSHMSDYDPGIIFQSHWPSQNQYYFVDFAAYPEGNKILKKISFSTKHANLVGSCNGLLCFCNASQIHICNPLTKDSIELPKLLKGPGEVGILGFGFSPTTKEYKLIEIVYQRKRPGVWSHVAVSNPFQAEVRILTLGGSRWRSLGMVPYRFIRQPSQVMVSGRLHWRSQLGKYNIDNQLISFDLAAETFQEVSKPDCRSFNRGFYELINLQGHLSAAASNASGGLEIWVMKEYNIKESWIKEFSIGGYLPKELQRINARIHSPRSVFRAICRFRSGEILLEHRSKALVLYDPVHETFKDLTFEGAPNWFKMVVHVGSLISI >EOX93373 pep chromosome:Theobroma_cacao_20110822:1:12500378:12502806:1 gene:TCM_002224 transcript:EOX93373 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein, putative isoform 2 MECLPQDVVLDILSRLPITSLVQSKSVCRSWRSIIQGSLLANKHLSHMSDYDPGIIFQSHWPSQNQYYFVDFAAYPEGNKILKKISFSTKHANLVGSCNGLLCFCNASQIHICNPLTKDSIELPKLLKGPGEVGILGFGFSPTTKEYKLIEIVYQRKRPGVWSHVAVSNPFQAEVRILTLGGSRWRSLGMVPYRFIRQPSQVMVSGRLHWRSQLGKYNIDNQLISFDLAAETFQEVSKPDCRSFNRGFYELINLQGHLSAAASNASGGLEIWVMKEYNIKESWIKEFSIGGYLPKELQRINARIHSPRSVFRAICRFRSGEILLEHRSKALVLYDPVHETFKDLTFEGAPNWFKMVVHVGSLISI >EOX93638 pep chromosome:Theobroma_cacao_20110822:1:14479585:14482311:1 gene:TCM_002525 transcript:EOX93638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein MHLTRFLWRHHLRNKTAVAVPIKGLLAQITANKPRWMSTVMSFGDGAQGALGLPNLETGPGGDAYEPTRIPGLPSDITSISAGHYHSLAIDSRGGLWAWGRNQEAQLGRDPLAPRDSWNDPKRVEGLGLVNVCAAFASGVVSAAVGDDGSVWVWGKSKRGQLGLGKGITEAVVPRRVEALAGEKIVKVSFGWGHALAQTEDGKLLGWGYSADGRIGKVGEALEVSLLDSNANTSMNNKQFSGSGLNVAEKTVLEGMEKEKDMPIIWEPRLVEELQGAEVKDIVCGLDHSLVLCRNGTLLSSGSNVYGQLGRVRQDLGMLPVDLSFHVLGVASGLGHSLAICEVASLDIEGGGKSIVSWGWNRSSQLGRAGPENLPSAIEELEGETPVAVSGGRVHSIALTSKGQVWVWGCGKNGRLGLGSSSDEAEPILLDYLEDFEVVQAVSGFDHNLILIAD >EOX93734 pep chromosome:Theobroma_cacao_20110822:1:15478128:15482750:1 gene:TCM_002658 transcript:EOX93734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase isoform 2 MRGTITEKMKKLVPAELIKQVTHASKQDHIKFPLPQIIRDNEWAWTEDEEFGHQMLAGTNPMRIQSLKVFPPGGRLQYSSIRKSDVEHNLDGLSIEQAMNQWRIFILDHHDYVLPFLGKINSEGVCAYASRALLFLREDATLKPLAIELSYPVSSDSNEMESRVFLPAKEGTDRALWQLAKAHVAANDSAYHQLISHWLHTHAVVEPFIIATRRQLSVMHPVHRLLEPHFKDTMHINALARSILINSGGILEKTLFTGKFSMELSSELYKEWRFDEQALPSDLVKRRMALEDPESPTEAQILFQDYPYGLDGLDVWLAIDTWVKDFCDLFYEDDDSVKSDTEIQGWWSEIRNVGHGDKRNETWWYQMTTKTDLTKTLTTLIWISSALHASVNFGQYAYAGYPPNRPTRCRKFIPDEGTMEFAEFLEDPDKYFLNMLPERFEATLGIALMEVLSRHTSDEVYLGQRPTSEWIDNNEVKQKFEKFIESLQEIEKQVMERNRDSKLKNRCGPIKMPYRLLYPDATKVEFREGITAKGIPNSVSI >EOX93735 pep chromosome:Theobroma_cacao_20110822:1:15480786:15483181:1 gene:TCM_002658 transcript:EOX93735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase isoform 2 MNQWRIFILDHHDYVLPFLGKINSEGVCAYASRALLFLREDATLKPLAIELSYPVSSDSNEMESRVFLPAKEGTDRALWQLAKAHVAANDSAYHQLISHWLHTHAVVEPFIIATRRQLSVMHPVHRLLEPHFKDTMHINALARSILINSGGILEKTLFTGKFSMELSSELYKEWRFDEQALPSDLVKRRMALEDPESPTEAQILFQDYPYGLDGLDVWLAIDTWVKDFCDLFYEDDDSVKSDTEIQGWWSEIRNVGHGDKRNETWWYQMTTKTDLTKTLTTLIWISSALHASVNFGQYAYAGYPPNRPTRCRKFIPDEGTMEFAEFLEDPDKYFLNMLPERFEATLGIALMEVLSRHTSDEVYLGQRPTSEWIDNNEVKQKFEKFIESLQEIEKQVMERNRDSKLKNRCGPIKMPYRLLYPDATKVEFREGITAKGIPNSVSI >EOX93142 pep chromosome:Theobroma_cacao_20110822:1:10823612:10836007:-1 gene:TCM_001991 transcript:EOX93142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuoleless1 (VCL1) isoform 3 MANVSVAAEWQLLYNRYYRKPELYPMRWKHMDLSRNKVACAPFGGPIAVIRDDSKIVQLYSESALRKLRIFTSSGALISETVWKHPGGRLIGMSWTEDQTLICIVQDGTVYRYNVHAELIEPNVSLGKECFEQNVVECMFWGNGVVCLTEGGLLFGIPDFKVMSPCQLAETGAEDLPNCMAVIEPKYTVSGNVEVLVGVGDGILIVDEDGVQRVEGEAVQGPVQKMVVSWDGKYLAIFTHDGRILVTDINFKGVLLEYNCESALPPEQLAWCGLDSVLLYWDDTPLLMVGPRGDPVHYFHDEPLVLIPECDGVRILSNTSMESLQRVPDSTVSIFKIGSTSPAALLYDALDHFDRRSAKADENLRLIRSSLPEAVEACIDAAGHEFDVSRQRTLLRAASYGQAFCRWLSPFSNLISRFGFGSNFQRDRIQEMCKTLRVLNAVRDPEIGIPLSINQYKLLTPSVLIARLINAHRHLLALRISEYLGMNQEVVIMHWACSKITASLAIPDATLLEILLDKLRLCRGISYAAVAAHADKNGRRKLAAMLVEHEPRSSKQVPLLLSIGEEDTALMKATESGDTDLVYLVLFHIWQKRPPLEFFGMIQARPLPRDLFISYARCYKHEFLKDFFLSTGQLQEVAYLLWKESWELGKNPMATKGSPLHGPRIKLIEKAQHLFSETKEHTFESKAAEEHAKLLRYKYLKITRSVAVPRIQHELEVSTKQAIFVDSSISDTIRTCIVLGNHRAAMKVKTEFKVSEKRWYWLKVFALATIRDWDALEKFSKEKRPPIGYRPFVEACVDADEKGEALKYIPKLADPRERAEAYARIGMAKEAADAASQAKDGELLGRLKLTFAQNAAASSLFDTLRDRLSFQGVS >EOX93143 pep chromosome:Theobroma_cacao_20110822:1:10824011:10835735:-1 gene:TCM_001991 transcript:EOX93143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuoleless1 (VCL1) isoform 3 MANVSVAAEWQLLYNRYYRKPELYPMRWKHMDLSRNKVACAPFGGPIAVIRDDSKIVQLYSESALRKLRIFTSSGALISETVWKHPGGRLIGMSWTEDQTLICIVQDGTVYRYNVHAELIEPNVSLGKECFEQNVVECMFWGNGVVCLTEGGLLFGIPDFKVMSPCQLAETGAEDLPNCMAVIEPKYTVSGNVEVLVGVGDGILIVDEDGVQRVEGEAVQGPVQKMVVSWDGKYLAIFTHDGRILVTDINFKGVLLEYNCESALPPEQLAWCGLDSVLLYWDDTPLLMVGPRGDPVHYFHDEPLVLIPECDGVRILSNTSMESLQRVPDSTVSIFKIGSTSPAALLYDALDHFDRRSAKADENLRLIRSSLPEAVEACIDAAGHEFDVSRQRTLLRAASYGQAFCSNFQRDRIQEMCKTLRVLNAVRDPEIGIPLSINQYKLLTPSVLIARLINAHRHLLALRISEYLGMNQEVVIMHWACSKITASLAIPDATLLEILLDKLRLCRGISYAAVAAHADKNGRRKLAAMLVEHEPRSSKQVPLLLSIGEEDTALMKATESGDTDLVYLVLFHIWQKRPPLEFFGMIQARPLPRDLFISYARCYKHEFLKDFFLSTGQLQEVAYLLWKESWELGKNPMATKGSPLHGPRIKLIEKAQHLFSETKEHTFESKAAEEHAKLLRIQHELEVSTKQAIFVDSSISDTIRTCIVLGNHRAAMKVKTEFKVSEKRWYWLKVFALATIRDWDALEKFSKEKRPPIGYRPFVEACVDADEKGEALKYIPKLADPRERAEAYARIGMAKEAADAASQAKDGELLGRLKLTFAQNAAASSLFDTLRDRLSFQGVS >EOX93144 pep chromosome:Theobroma_cacao_20110822:1:10823500:10836160:-1 gene:TCM_001991 transcript:EOX93144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuoleless1 (VCL1) isoform 3 MANVSVAAEWQLLYNRYYRKPELYPMRWKHMDLSRNKVACAPFGGPIAVIRDDSKIVQLYSESALRKLRIFTSSGALISETVWKHPGGRLIGMSWTEDQTLICIVQDGTVYRYNVHAELIEPNVSLGKECFEQNVVECMFWGNGVVCLTEGGLLFGIPDFKVMSPCQLAETGAEDLPNCMAVIEPKYTVSGNVEVLVGVGDGILIVDEDGVQRVEGEAVQGPVQKMVVSWDGKYLAIFTHDGRILVTDINFKGVLLEYNCESALPPEQLAWCGLDSVLLYWDDTPLLMVGPRGDPVHYFHDEPLVLIPECDGVRILSNTSMESLQRVPDSTVSIFKIGSTSPAALLYDALDHFDRRSAKADENLRLIRSSLPEAVEACIDAAGHEFDVSRQRTLLRAASYGQAFCSNFQRDRIQEMCKTLRVLNAVRDPEIGIPLSINQYKLLTPSVLIARLINAHRHLLALRISEYLGMNQEVVIMHWACSKITASLAIPDATLLEILLDKLRLCRGISYAAVAAHADKNGRRKLAAMLVEHEPRSSKQKRPPLEFFGMIQARPLPRDLFISYARCYKHEFLKDFFLSTGQLQEVAYLLWKESWELGKNPMATKGSPLHGPRIKLIEKAQHLFSETKEHTFESKAAEEHAKLLRIQHELEVSTKQAIFVDSSISDTIRTCIVLGNHRAAMKVKTEFKVSEKRWYWLKVFALATIRDWDALEKFSKEKRPPIGYRPFVEACVDADEKGEALKYIPKLADPRERAEAYARIGMAKEAADAASQAKDGELLGRLKLTFAQNAAASSLFDTLRDRLSFQGVS >EOX91013 pep chromosome:Theobroma_cacao_20110822:1:1333285:1339617:-1 gene:TCM_000325 transcript:EOX91013 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative MLAKQKKVLLKTKRILEDTIKDLLCNFFPTRVKVADLGCSSGHNTFLTVSEIDGVIHEICQQAQLKLPEFQAFLNDLPKNGFHVIFRFLPAFLEKLKREKGDLLGHCSILGVPGSFYGRLFLSRGLLFTFFSCSSELSCIDA >EOX96013 pep chromosome:Theobroma_cacao_20110822:1:36337162:36340367:-1 gene:TCM_005371 transcript:EOX96013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 17 isoform 1 MGRTPCCDRKGLKKGPWAPEEDEILVNYIKKHGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFTLEEEKLVIQLHGILGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLVCMGLDPQTHEPFTPCGPTTAAPTSPATRHMAQWESARLEAEARLSRESLLFSSPPLGKPDSDYFLRLWNSEVGESFRKLNREDKTACQSPISQASSSTKCGSVSAVTIDICPNIAGSSTPASNQIEDTACKSFKSCTEDPVDASDSSCSNESEDSSDTALQLLLDFPINNDMSFLENVDTYATSHAMLTDTSFISPSEGYLKA >EOX96014 pep chromosome:Theobroma_cacao_20110822:1:36337239:36338787:-1 gene:TCM_005371 transcript:EOX96014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 17 isoform 1 MLIHGVYDAQIITGLLRCGKSCRLRWTNYLRPDIKRGPFTLEEEKLVIQLHGILGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLVCMGLDPQTHEPFTPCGPTTAAPTSPATRHMAQWESARLEAEARLSRESLLFSSPPLGKPDSDYFLRLWNSEVGESFRKLNREDKTACQSPISQASSSTKCGSVSAVTIDICPNIAGSSTPASNQIEDTACKSFKSCTEDPVDASDSSCSNESEDSSDTALQLLLDFPINNDMSFLENVDTYATSHAMLTDTSFISPSEGYLKA >EOX93451 pep chromosome:Theobroma_cacao_20110822:1:13160640:13174034:-1 gene:TCM_002326 transcript:EOX93451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 2 isoform 3 MSCSSGTGNFVKLSRLLGEIRKCALVKMSMNGKLSGSNCRLSANFRLKKAKETMHGPNSFRKWKRNLLFLWLLGFVSTGIIWFFLSFNSVASERNEKSPDSCEEKARILLQHFNVSKNQFHALASFFYESDQIKFLECTRDSGPKKPSSDGIACALKVLCSEHQDLKKQQMWVVRNTELKDQCPVQVENIPSEHDLSLLEHDTLSFISQIAVSLVSWEHHSGGKNISQRSALGVESKDNCENLSFCMVKGCWLLLVGVILSWKIPGVRLKLWRNRKNEPALLQPVAQQLPLLLQQKQQQTQSPPKGAGKWRKKLLITFVFVGIFTSFWLFWHLNQKIILRREETLANMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKHPSAIDQKTFGEYTERTAFERPLTSGVAYALKVLHSEREQFEKQHGWTIKKMETEDQTLVQDCLTENLDPAPIKDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARATGKGVLTSPFKLLKSNHLGVVLTFAVYNKDLPPSATPRQRTEATVGYLGASYDVPSLVEKLLHQLASKQTIVVNVYDTTNASAAISMYGTDVTDTGLLHVSSLDFGDPLRKHEMHCRFKQKPPLPWTAINASVGVLVITLLVGHIFHAAICRIAKVENDYREMMELKARAEAADVAKSQFLATVSHEIRTPMNGVLGMLKMLMDTELDAIQRDYAETAHASGKDLISLINEVLDQAKIESGRLELEDVPFDLRTLLDNVLSLSSDKSNYKGIELAVYVSDRVPEVVVGDPGRFRQIITNLVGNSIKFTQDKGHIFVSVHLVDEVKGAFDVGDKVLQQGLNLVQDMSSKTYNTLSGFPVVDRWRSWENFTILNGKDSMEDPEKIKLLVTVEDTGVGIRLDAQDRIFTPFVQADSSTSRHYGGTGIGLSISKRLVQLMHGEIGFVSEPGTGSTFSFTAAFGKGEASSLDSKWKQYDPVISEFQGLGALIIDNRSIRAEVTRYHLRRLGISVDITSSMELAYTYLSSTCGTSAFAHLAMILIDKDVWNQETVLQLRSLLKDHRQNDRVDVSTNLPKIFLLATSMSPIERSKLKTAAFVDNVLMKPLRLSVLIACFQEALGNGRKEQVHRERMSTLGSLLREKRILVVDDNKVNRRVAEGALKKYGAIVSCVERGQDALHKLKPPHNFDACFMDLQMPEMDGFEATRQIRCVESEVNEKIVSGEASIEMYGNVHQWHIPILAMTADVIQTTNEECMKCGMDGYVSKPFEEEQLYSAVASFFESVTSHNCHEAALDLCLAPYQWSSKIWNAELASMVLLSSVLNTREE >EOX93453 pep chromosome:Theobroma_cacao_20110822:1:13160640:13171646:-1 gene:TCM_002326 transcript:EOX93453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 2 isoform 3 MGASQWWKGVESKDNCENLSFCMVKGCWLLLVGVILSWKIPGVRLKLWRNRKNEPALLQPVAQQLPLLLQQKQQQTQSPPKGAGKWRKKLLITFVFVGIFTSFWLFWHLNQKIILRREETLANMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKHPSAIDQKTFGEYTERTAFERPLTSGVAYALKVLHSEREQFEKQHGWTIKKMETEDQTLVQDCLTENLDPAPIKDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARATGKGVLTSPFKLLKSNHLGVVLTFAVYNKDLPPSATPRQRTEATVGYLGASYDVPSLVEKLLHQLASKQTIVVNVYDTTNASAAISMYGTDVTDTGLLHVSSLDFGDPLRKHEMHCRFKQKPPLPWTAINASVGVLVITLLVGHIFHAAICRIAKVENDYREMMELKARAEAADVAKSQFLATVSHEIRTPMNGVLGMLKMLMDTELDAIQRDYAETAHASGKDLISLINEVLDQAKIESGRLELEDVPFDLRTLLDNVLSLSSDKSNYKGIELAVYVSDRVPEVVVGDPGRFRQIITNLVGNSIKFTQDKGHIFVSVHLVDEVKGAFDVGDKVLQQGLNLVQDMSSKTYNTLSGFPVVDRWRSWENFTILNGKDSMEDPEKIKLLVTVEDTGVGIRLDAQDRIFTPFVQADSSTSRHYGGTGIGLSISKRLVQLMHGEIGFVSEPGTGSTFSFTAAFGKGEASSLDSKWKQYDPVISEFQGLGALIIDNRSIRAEVTRYHLRRLGISVDITSSMELAYTYLSSTCGTSAFAHLAMILIDKDVWNQETVLQLRSLLKDHRQNDRVDVSTNLPKIFLLATSMSPIERSKLKTAAFVDNVLMKPLRLSVLIACFQEALGNGRKEQVHRERMSTLGSLLREKRILVVDDNKVNRRVAEGALKKYGAIVSCVERGQDALHKLKPPHNFDACFMDLQMPEMDGFEATRQIRCVESEVNEKIVSGEASIEMYGNVHQWHIPILAMTADVIQTTNEECMKCGMDGYVSKPFEEEQLYSAVASFFESG >EOX93452 pep chromosome:Theobroma_cacao_20110822:1:13160817:13173910:-1 gene:TCM_002326 transcript:EOX93452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 2 isoform 3 MSCSSGTGNFVKLSRLLGEIRKCALVKMSMNGKLSGSNCRLSANFRLKKAKETMHGPNSFRKWKRNLLFLWLLGFVSTGIIWFFLSFNSVASERNEKSPDSCEEKARILLQHFNVSKNQFHALASFFYESDQIKFLECTRDSGPKKPSSDGIACALKVLCSEHQDLKKQQMWVVRNTELKDQCPVQVENIPSEHDLSLLEHDTLSFISQIAVSLVSWEHHSGGKNISQRSALGVESKDNCENLSFCMVKGCWLLLVGVILSWKIPGVRLKLWRNRKNEPALLQPVAQQLPLLLQQKQQQTQSPPKGAGKWRKKLLITFVFVGIFTSFWLFWHLNQKIILRREETLANMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKHPSAIDQKTFGEYTERTAFERPLTSGVAYALKVLHSEREQFEKQHGWTIKKMETEDQTLVQDCLTENLDPAPIKDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARATGKGVLTSPFKLLKSNHLGVVLTFAVYNKDLPPSATPRQRTEATVGYLGASYDVPSLVEKLLHQLASKQTIVVNVYDTTNASAAISMYGTDVTDTGLLHVSSLDFGDPLRKHEMHCRFKQKPPLPWTAINASVGVLVITLLVGHIFHAAICRIAKVENDYREMMELKARAEAADVAKSQFLATVSHEIRTPMNGVLGMLKMLMDTELDAIQRDYAETAHASGKDLISLINEVLDQAKIESGRLELEDVPFDLRTLLDNVLSLSSDKSNYKGIELAVYVSDRVPEVVVGDPGRFRQIITNLVGNSIKFTQDKGHIFVSVHLVDEVKGAFDVGDKVLQQGLNLVQDMSSKTYNTLSGFPVVDRWRSWENFTILNGKDSMEDPEKIKLLVTVEDTGVGIRLDAQDRIFTPFVQADSSTSRHYGGTGIGLSISKRLVQLMHGEIGFVSEPGTGSTFSFTAAFGKGEASSLDSKWKQYDPVISEFQGLGALIIDNRSIRAEVTRYHLRRLGISVDITSSMELAYTYLSSTCGTSAFAHLAMILIDKDVWNQETVLQLRSLLKDHRQNDRVDVSTNLPKIFLLATSMSPIERSKLKTAAFVDNVLMKPLRLSVLIACFQEALGNGRKEQVHRERMSTLGSLLREKRILVVDDNKVNRRVAEGALKKYGAIVSCVERGQDALHKLKPPHNFDACFMDLQMPEMDGFEATRQIRCVESEVNEKIVSGEASIEMYGNVHQWHIPILAMTADVIQTTNEECMKCGMDGYVSKPFEEEQLYSAVASFFESG >EOX96710 pep chromosome:Theobroma_cacao_20110822:1:38734597:38740507:-1 gene:TCM_005903 transcript:EOX96710 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein, putative MGSVDRVDDLTFKVNFSGDGAAKLRERVKDKLKEFMGDYTDDTLVEYVIVLLRNGRRKDEARNELNVFLGDDSDSFVSWLWDHLASNLDLYVPSQEPHGEEAAKTRCILGNQLAGADAQLDSDSERGKSTKLARNRHNREWKGLVQDASEPPPLRSSEVENIRFEEKTRRKVSRGRSSSPRPSQKKRSRIDERQPIKREEVSQMTIDAPRRLLQFAVRDAVGTSRSPISAKESSFKRLRSVVSTSSGDSSLPDRPRRIRSVARVPNLMTTMLRAVAEAAEDVAKVKTAGSVFDRLGPGMDVLKTHDRHAAYRESLAEDEEYGDLKQPLENIQSAYLQRNEYAGQHVGNMTALESQTMLALDSLSDNEAYDDVNVAGHRVMDVSQTGTSSGNKGDNSLVVQYSVARHDELMQRTRNKDHNQSTAAANTSRKIVNSSVNVNTWKPPHYQEPREVSEFGGQSSLQEIEAVASKSNLRLMKENGNPVTVGNGNVKSAGDIQEMPQKTVQSFSVPYAAARPLEDADSRTIFVSNVHFAATKDSLSRHFNKFGEVLKVVIVTDAATGQPKGSAYVEFMRKEAADNALSLDGTSFMSRILKTRSNGDAVLDQKCVVISCLVSGSVSIVLVKIHLLEFLKPWIVRLEVVVKRSSAHQEAAPVMTWPRIARGSPFAAARFARAPFPRGIPGAYRPRLPFKPGARSLQWKRDAQATPADAAASFTGNSVFSPTARSLTYVRTEPKSEGNSSSS >EOX94545 pep chromosome:Theobroma_cacao_20110822:1:29987911:29989589:1 gene:TCM_004171 transcript:EOX94545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNMKKKTKTFPCEAHNSVGQICPAGVVKMLSDCSYVAAATECLSVRQKAKKRIAGGSSYLLELKRRRTCSL >EOX95846 pep chromosome:Theobroma_cacao_20110822:1:35757218:35759515:-1 gene:TCM_005247 transcript:EOX95846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein MAEESESKQSTVESVMEKISGKIHGHDSSSSSDSDSDHEKPASPSPVKAKIYRLFGRERPVHHVLGGGKPADVFLWRNKKISAGVLGGATAIWVLFELIEYNLLTLVCHISILSLALMFLWSNAHTFIHKSPPRIPEVHLPEEPFLQVAAALTFELNQALKLLRDIASGRNLKKFLMVIAAFWVLSIVGSWCNFLTLFYISFVLLHTVLVLYEKYEDKVDPCAEKAMIEIKKQYAVFDAKVLSKIPKGPLKTKKV >EOX95757 pep chromosome:Theobroma_cacao_20110822:1:35479997:35482381:-1 gene:TCM_005182 transcript:EOX95757 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MATAQTPHIAILPSPGMGHLIPLVEFAKRLVHQHNFTVTFVIPTDGSPSKAQKSTLDSLPSSIDSVFLPPVDLSDLPEGSKIETVISLTVARSLPFIRDALKSLAARTKLVGLVVDLFGTDAFDVAREFNVSPYIFFPSTAMTLSLFLYLPKLDQMVSCEYRDLPEMVRIPGCIPIYGNQLLDPTQDRKNDSYKWLLHHTKRYRLAEGIMVNSFVDLEGGAIKALQDKEPGKPPIYPVGPLVNVDSSSKADGSGCLKWLDGQPHGSVLYVSFGSGGTLSYNQINELALGLEMSQQRFLWVVRSPNDQVANATFFSVQSQQDPFDFLPKGFLERTKGRGLVVPSWAPQAQVLSHGSTGGFLTHCGWNSALESVVNGVPLIAWPLYAEQKMNAVMLAEDIKVALRAKPNENGLVCRDEIAKAVKGLMEGEEGKGVRNRMKDLKEAAAKVLSENGSSGKALSEVAQKWRNQIGI >EOX91577 pep chromosome:Theobroma_cacao_20110822:1:3154919:3160770:-1 gene:TCM_000716 transcript:EOX91577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 81, subfamily D, polypeptide 8 MGETTMIYSSLSLVLIFLAFRFLFQSKTRHKNLPPSPPSLPIIGHLHLLKPPIHRAFLKLSHKLGPVFSLQLGSRLAVVVSSPSAVEECFTRNDIVLANRPNLLVSKYLGYNHTTVISAPYGDHWRNLRRISTIEIFSSNRLKMFHGVRKDEVKRLLLKLSRNSHEAFAKVELKSMFADLTFNNLMRMIAGKRYYSEDVTDDSEAKDFRDLVAEVLENGGAGNPGNYLPILKWVGNYEKKLMRLADRMDGFLQGLIDELRNGKGGNTMIDHLLSLQELEPEYYTDAIIKGLVFVMLLAGTDTSAVALEWAMSNLLNHPNVLKKAKAELDSQIGQERLIDEPDIAKLPYLQNIMSETLRLYPAAPLLVPHRTSDECTIGGYNVPRDTIVLINAWAIHRDPRLWDDPTSFKPERFDNIEVKDGHKFMPFGMGRRACPGASMAHRMVNLALGSLIQCFEWERVGDEKVDMTEGKGVTMPKVEPLEAMCKARPILDKVALA >EOX90698 pep chromosome:Theobroma_cacao_20110822:1:356641:360640:1 gene:TCM_000085 transcript:EOX90698 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein MNRFLRSSWSRLLPGVNRLPSSAQSYRHFSRFEGDSESDSWKLMEGLVRCPANCVPLSPISFLERAAKVYRDTTSVVYGSYKYTWSQTHERCLKLASALSQLGISRGDVVATLAPNIPAMYELHFAVPMAGAVLCTLNARLDSAMVSVLLTHSEAKIVFVDYKLLDIAREALDLLAKTNTKPPILILIWKWDDSSPTSFEPGAYEYESFLETGHIGFEIRRPKSEWDPITVNYTSGTTSRPKGVVYSHRGAYLNSLATVFLHGMHSMPVYLWTVPMFHCNGWCLTWGVAAQGGTNICLRSVSPKEIFENIVLHNVTHMGGAPTVLNMIVNSRVSDQKPLSHKVEIMTGGSPPPPQILFKMEELGFSVNHLYGLTETYGPGTYCAWKPEWDSLLPDEQSKLKARQGMQHLGLEEVDIRDPVTMESVPADGKTMGEIMFRGNTVMSGYLKDLQATEKAFSGGWFRSGDLAVKHPDGYIEVKDRLKDIIISGGENISTVEVETVLYGHPAVLEAAVVARPDNHWGQTPCAFVKLKEGFAVNAQELIRFCRDHLPHYMAPRTVIFAELPRTSTGKIQKFILREKAKALGSLF >EOX96671 pep chromosome:Theobroma_cacao_20110822:1:38608696:38609630:1 gene:TCM_005871 transcript:EOX96671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGSSDVLSWNSSRVMTAHLALLVPSYITFANFVRLIHNRLAPRPHWNFFPTLSDSLFLAKMRLTSLPRLFFLWGVKDKSKKRWPEVC >EOX95619 pep chromosome:Theobroma_cacao_20110822:1:34913678:34914346:-1 gene:TCM_005070 transcript:EOX95619 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MGSGEKSLRNFHLHLPHLHHHHHHAKKQARDVPKGCLAIKVGSLGEEQQRFVVPVIYFNHPLFMQLLKEAEEEYGFDQKGTITIPCHVEEFRNVRGLIDREQSLHHHLHVGCFRV >EOX93904 pep chromosome:Theobroma_cacao_20110822:1:17286735:17287619:1 gene:TCM_002904 transcript:EOX93904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-like modifier 1, 1,SUMO1,ATSUMO1 MSATGGGGAGGGGQEEDKKPADQSAHINLKVKGQDGNEVFFRIKRSTQLRKLMTAYCDRQSVDLSSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGGMEVHGC >EOX95200 pep chromosome:Theobroma_cacao_20110822:1:33297274:33298671:-1 gene:TCM_004751 transcript:EOX95200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMVYPMQMLLAGFTLLLAFPFATSTTVTTTSKEEIKCNLCTPEVPRPPPPRIECPPPPVPLSPPPPSPPPPSLPPPPPACPTCPPPCSGCPPPPCNACQIPQGPPPPQPRDQPGVMGGAVYSPTNEAVPYFPYGFQNPPLSQSAASSNRLKLKLVASIIFLLL >EOX95649 pep chromosome:Theobroma_cacao_20110822:1:35047081:35054846:1 gene:TCM_005105 transcript:EOX95649 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-fucokinase/GDP-L-fucose pyrophosphorylase MILTRESQSPQPPSLHPLFQKYKIELVQSILFIPLSLHLLPLSPSPRTLYFPQYLMEPKRERKFSRTKPKADLTTILRKSWYHLRLSVRHPTRVPTWDAIVLTAASPEQAQLYDWQLKRAKRMGRIAPSTVTLAVPDPDGQRIGSGAATLNAIHALAQHYEKVVPFANGGSAGDCAVSLMGKKHILLLHAGGDSKRVPWANPMGKVFLPLPYLAADDPDGPVPLLFDHILAIASCARQAFKDEGGIFTMTGDVLPCFDASTLILPQDASSIITVPITLDIAANHGVIVASKTEILEESYTVSLVDNLLQKPSVEELVKNQAILDDGRALLDTGIIAVRGKAWVELVKLACSCQPLISELLNSKKEMSLYEDLVAAWVPAKHDWLRQRPLGEALVSKLGKQRMFSYCAYDLLFLHFGTSTEVLDHLSEADSALVGRRHLCSIPATTVSDIAASAVVLSCKIADGVSIGEDSLIYDSNISGGIQIGSQSIVVGINVPEDSDRMTDNSVKLMLPDRHCLWEVPLVGCTERVIVFCGIHDNPKNPLTSDGTFCGKPWEKVMHDLGIQENDLWSSTGGQEKCLWNAKLFPILSYFEMLSVGMWLMGLSDGENQDFLSLWRDSQRVSLEELHRSIDFSKMCIGSSNHQADLAAGIAKACINYGMLGRNLSQLCEEILQKEISGVKICKDFLALCPRLIQQNSKILPKSRVYQVQVDLLRACGEERKACELEHKIWAAVADETASAVRYGFGEHLLDSPVIKSASACGNNNHDGSMDQSFCPRMAKVELPVRVDFVGGWSDTPPWSLERAGCVLNMAVSLEGSLPIGTIVETTNSSGVLISDDSGNELHIEHLTSILPPFDGNDPFRLVKSALLVTGIIHENILVCNGLRISTWANVPRGSGLGTSSILAAAVVKGLLQIMDGDDSNENVARLVLVLEQLMGTGGGWQDQIGGLYPGIKFTASYPGIPLRLQVFPLVASPQLISELHQRLLVVFTGQVRLAHQVLQKVVLRYLRRDNLLVSTIKRLTELAKIGREALMNCDVDKLGEIMLEAWRLHQELDPYCSNEYVDKLFAFADPYCSGYKLVGAGGGGFALLLAKDAMCATELRNKLEKNPEFDSVIYNWSV >EOX96639 pep chromosome:Theobroma_cacao_20110822:1:38492521:38494515:-1 gene:TCM_005847 transcript:EOX96639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESTLGFGFVLVLWCCGLGAGGLVGPVLFILQYKNGKMTRKMEVESDLIFGGRGGGLVFGKVPQINCQALLLGESNPFE >EOX91473 pep chromosome:Theobroma_cacao_20110822:1:2812415:2815979:-1 gene:TCM_000654 transcript:EOX91473 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein isoform 3 EAKLPYPLLFVFGWQIGGLPFNKYSWLVTHNSFSIVDAPSLPGVQRLTFYNQEDTVTNQLMNGVRGLMLDMYDFDGDIWLCHSFRGQCFNFTAFQPAINTLREVEAFLTQNPTEIVTIIIEDYVHTPKGLTTLFTNAGLDKYWFPVAKMPKKGEDWPTVNEMVQANHRLLVFTSVASKEAEEGIAYQWKYILENEAGDPGVKPGSCPNRKESRPLNSKSASLFLMNYFPTFPVEDEACKEHSALLADMIGTCYKAAGIMMPNFLAVNFYMRSDGGGVFYDLDIMNGQRLCGCSTIAACQAGAPFGSCKNISIPTTSPITNTAGSFSGSVQFSKSASTVYFPNRLVVGLFSFPWIMLLL >EOX91472 pep chromosome:Theobroma_cacao_20110822:1:2813010:2816501:-1 gene:TCM_000654 transcript:EOX91472 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein isoform 3 MLACFADHLGLCRTTAIGYLFLLLFSFFISISTSCSNGNCQVLDSCSQATDCGPGLYCGNCPALGKTQPFCTRGQATIPTSVIGGLPFNKYSWLVTHNSFSIVDAPSLPGVQRLTFYNQEDTVTNQLMNGVRGLMLDMYDFDGDIWLCHSFRGQCFNFTAFQPAINTLREVEAFLTQNPTEIVTIIIEDYVHTPKGLTTLFTNAGLDKYWFPVAKMPKKGEDWPTVNEMVQANHRLLVFTSVASKEAEEGIAYQWKYILENEAGDPGVKPGSCPNRKESRPLNSKSASLFLMNYFPTFPVEDEACKEHSALLADMIGTCYKAAGIMMPNFLAVNFYMRSDGGGVFYDLDIMNGQRLCGCSTIAACQAGAPFGSCKNISIPTTSPITNTAGSFSGSVQFSKSASTVYFPNRLVVGLFSFPWIMLLL >EOX91471 pep chromosome:Theobroma_cacao_20110822:1:2812415:2816374:-1 gene:TCM_000654 transcript:EOX91471 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein isoform 3 MLACFADHLGLCRTTAIGYLFLLLFSFFISISTSCSNGNCQVLDSCSQATDCGPGLYCGNCPALGKTQPFCTRGQATIPTSVIGGLPFNKYSWLVTHNSFSIVDAPSLPGVQRLTFYNQEDTVTNQLMNGVRGLMLDMYDFDGDIWLCHSFRGQCFNFTAFQPAINTLREVEAFLTQNPTEIVTIIIEDYVHTPKGLTTLFTNAGLDKYWFPVAKMPKKGEDWPTVNEMVQANHRLLVFTSVASKEAEEGIAYQWKYILENEAGDPGVKPGSCPNRKESRPLNSKSASLFLMNYFPTFPVEDEACKEHSALLADMIGTCYKAAGIMMPNFLAVNFYMRSDGGGVFYDLDIMNGQRLCGCSTIAACQAGAPFGSCKNISIPTTSPITNTAGSFSGSVQFSKSASTVYFPNRLVVGLFSFPWIMLLL >EOX94282 pep chromosome:Theobroma_cacao_20110822:1:27381181:27389500:-1 gene:TCM_003863 transcript:EOX94282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKDSEPIVHSICKILYGHALPFNLIKSPLFVSKMKMVGEYGRGLKLPSYREARVVFLKKGVDNVKVTRFATSFLTLKSFEHNKILLQAMFASQESFFF >EOX94283 pep chromosome:Theobroma_cacao_20110822:1:27368117:27393191:-1 gene:TCM_003863 transcript:EOX94283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGGMFCCLVIKFLILFPLRILSMPSIAKPITHGGQLTKTNHTQGKVTGEESNHAHAEATGRESNHPFE >EOX94458 pep chromosome:Theobroma_cacao_20110822:1:28766674:28767807:1 gene:TCM_004037 transcript:EOX94458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSCLSIRLPPAKKAWKSFTSKLQTRLHKLHKSKAIKRPKNNRLQTAVAKTTRPSLFLGQRLQRKKRRALPFGYQRYYVSKNKAAAPVYIDKLFKDAPVTELVGFIHQQSSGKGDVQREKNVKLVDQVAAAAEEGTSKEGEKQGDEKSSVGDDIAKSIGMAPLMLHGIDARAEEFIASFRAEMERQEIIARNL >EOX96448 pep chromosome:Theobroma_cacao_20110822:1:37840252:37847011:1 gene:TCM_005696 transcript:EOX96448 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARABIDILLO-1 isoform 2 MSRRVRRKVAKKGKDNVVSLSYHELEDEDLRPERNESVDWTSLPDDTVIQLFSCLNYRDRESLSSTCRTWRGLGGSQCLWSSLDLRAHKFDTGMATSLASRCVNLQKLRFRGAESADAIIHLQAKDLREISGDYCRKITDATLSVIVARHEALESLQLGPDFCERITGDAIKAIAICCPKLKKLRLSGIRDVHADAINALAKHCLNLVDVGFLDCLNVDEAALGNIVSVQFLSVAGTSNMKWGVVSLLWHKLPKLIGLDVSRTDIGPTAVYRLLSASQSLKVLCALNCAVLEEDTSISTIKTKGKLLLALFTDIFRGLSSLFAETTKKGRNVFLDWRCSKNNDKNLNEIMTWLEWILSHTLLRTAESNPQGLDNFWLKQGAALLLSLMQSSQEDVQERAATGLATFVVIDDENASIDCERAEAVMRDGGIRLLLNLAKSWREGLQSEAAKAIANLSVNANVAKAVAEEGGINILAGLARSMNRLVAEEAAGGLWNLSVGEEHKAAIAEAGGVKALVDLIFKWSSGGDGVLERAAGALANLAADDKCSMEVAIAGGVHALVMLARNGKFEGVQEQSIAIGREGGVAPLIALARSDAEDVHETAAGALWNLAFNHSNALRIVEEGGVPALVHLCSSSVSKMARFMAALALAYMFDGRIDEFAPMGTSSEITSKSVSLDGARRMALKHIEAFILTFSDPQAFAAAAASSAPAALAQVTERARIQEAGHLRCRFPSLILLPLHLCCKMVMFANLHFIT >EOX96447 pep chromosome:Theobroma_cacao_20110822:1:37840667:37848355:1 gene:TCM_005696 transcript:EOX96447 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARABIDILLO-1 isoform 2 MSRRVRRKVAKKGKDNVVSLSYHELEDEDLRPERNESVDWTSLPDDTVIQLFSCLNYRDRESLSSTCRTWRGLGGSQCLWSSLDLRAHKFDTGMATSLASRCVNLQKLRFRGAESADAIIHLQAKDLREISGDYCRKITDATLSVIVARHEALESLQLGPDFCERITGDAIKAIAICCPKLKKLRLSGIRDVHADAINALAKHCLNLVDVGFLDCLNVDEAALGNIVSVQFLSVAGTSNMKWGVVSLLWHKLPKLIGLDVSRTDIGPTAVYRLLSASQSLKVLCALNCAVLEEDTSISTIKTKGKLLLALFTDIFRGLSSLFAETTKKGRNVFLDWRCSKNNDKNLNEIMTWLEWILSHTLLRTAESNPQGLDNFWLKQGAALLLSLMQSSQEDVQERAATGLATFVVIDDENASIDCERAEAVMRDGGIRLLLNLAKSWREGLQSEAAKAIANLSVNANVAKAVAEEGGINILAGLARSMNRLVAEEAAGGLWNLSVGEEHKAAIAEAGGVKALVDLIFKWSSGGDGVLERAAGALANLAADDKCSMEVAIAGGVHALVMLARNGKFEGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTRSPHEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVTLAQSCSNASPGLQERAAGALWGLSVSEANSIAIGREGGVAPLIALARSDAEDVHETAAGALWNLAFNHSNALRIVEEGGVPALVHLCSSSVSKMARFMAALALAYMFDGRIDEFAPMGTSSEITSKSVSLDGARRMALKHIEAFILTFSDPQAFAAAAASSAPAALAQVTERARIQEAGHLRCSGAEIGRFVSMLRNASSILKACAAFALLQFTIPGGRHAVHHASLMQGAGAARVLRAAAAAATAPIEAKIFARIVLRNLEHHQVEPSI >EOX94823 pep chromosome:Theobroma_cacao_20110822:1:31593004:31597251:1 gene:TCM_004436 transcript:EOX94823 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein with a domain of unknown function (DUF21) [Source:Projected from Arabidopsis thaliana (AT1G03270) TAIR;Acc:AT1G03270] MLLQNAIVLARTIMTLSPNDILFEPDDIPFGSVKWFVYAGFSCLLVLFAGIMSGLTLGLMSMSLVELEILQRSGTITEKKQAATILPVVKRQHQLLVTLLLCNACAMEALPISLDKIFHPFVAVLLSVTFVLAFGEIIPQAICSRYGLSVGANFVWLVRILMIICYPIAYPIGKVLDAVIGHGDALFRRAQLKALVSIHGQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAVGKILARGHSRIPVYAGNPKNIIGLLLVKSLLTVRAETETPVSFDDGEKFDEHRVTNGNSQLTTPLLTKYDTKLNSVAVDVEKPSRPITVKKTLQENGVTANTLHHFTEDIEDGEVIGIITLEDVFEELLQEEIVDETDVYVDVHKRIRVAAAAA >EOX94822 pep chromosome:Theobroma_cacao_20110822:1:31592923:31598399:1 gene:TCM_004436 transcript:EOX94822 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein with a domain of unknown function (DUF21) [Source:Projected from Arabidopsis thaliana (AT1G03270) TAIR;Acc:AT1G03270] MLLQNAIVLARTIMTLSPNDILFEPDDIPFGSVKWFVYAGFSCLLVLFAGIMSGLTLGLMSMSLVELEILQRSGTITEKKQAATILPVVKRQHQLLVTLLLCNACAMEALPISLDKIFHPFVAVLLSVTFVLAFGEIIPQAICSRYGLSVGANFVWLVRILMIICYPIAYPIGKVLDAVIGHGDALFRRAQLKALVSIHGQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAVGKILARGHSRIPVYAGNPKNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPAHMPLYDILNEFQKGSSHMAAVVKVKEKTKDPEFFDDGEKFDEHRVTNGNSQLTTPLLTKYDTKLNSVAVDVEKPSRPITVKKTLQENGVTANTLHHFTEDIEDGEVIGIITLEDVFEELLQEEIVDETDVYVDVHKRIRVAAAAAASSVARAPSSRRLIGQKPSGVQSKQGQMTKKSVEDD >EOX93578 pep chromosome:Theobroma_cacao_20110822:1:14028509:14038411:-1 gene:TCM_002459 transcript:EOX93578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASKLPDEPPCNTQETDEETIAFRKKRSRRVSFADREITSVHIFKRDDDCETPPDSTPRAASDRDKAVLGLFRDLVDSDDSNGGDDDEDEDDDVLSARKSFLRPMESPSPGGSSTVGSATSNDEDNFFGPVSANFIRPGRLSDSAASDDNHDITMDSTAFSMHFRSIVRSESGDLTTSTGVRLASEEKTPCQVTMPSDPESLMVLTKVKKLKSPSPVPINKSSGGRDSNDMSLVGESLHRYDYGRLSPTLEALLAEGSKEFNAIPASDSTSPKLSRIELAISRENGNDCVEPLHYGNLEPFIINNHDMSSNGVSTAQNKLVEATCDSTTTLIDQIVHDCLSNPKDGPVAEGFFDWQIHTPDHLNKGNKDITEVMSGTSVLNSELLAVTTGTPLNQSSEAVQVDLFKQFECGNQPPTKDGVKANSPQDEIHTSNVGHASNQLHGSPLAGSIYSISAKRQQILLDTTNSPRRALFVTPSPRHPGSILSKGSVKQGGSVPSILKSNSKLKILEPSPCASAFNDGIVKSKLRLSESLSSRASPFNTIMEEPSESFQCQQANAPIINLEEQLSGVDLKKGKVHCNGLGTPKNISSFIQDGGTSGLGKDKEYNDKSTERMATFTSPSKFTHSGKKMGHHTLTSVELLDGTLVASTFGISEDKRDTGTVYKLVSPLVDRLNQLSSATKNQGTLSGNLKLQHQDNSTTIVSGRECNLVETVPISNYLTPTAENRTQSGSPLVKINSLKDFCLVRKVDERESNGLDLQNTSKTLRDFPDGVALKLQSGSREKNIQTATEPIRSSEQMKVSAAFASLDAHGRSKNERSPQKSPSKNKQTQSPTSKEPSWSLCRKELHGDSMQLSVAKDVVSLHCSSTLQRIDDCHQRFVQNPTPVQDIQISSKRKRTSEEVALPDVHHADKRNIIQQSPKSHKVGENYTERMLEFYDGSDKGNERIEDGKTSKNWTDISLKLSADTNQLLSPSFDKLNIKVINMMEDILIHQQKVNLCAMLCSEIQSQLCSAYDQSSNIWHKRVAGTKPLLYRIVYEKAKLQLMHVKHERLLKQVQLLRTRVQESQMLKLNCVIHPPVSAEKDTQLDDNLRSVRIGGNVAGSSDKVTTMKHEAEALEKKIKNLTKSFHIYCKMKGEPSCSDTIDLVNDHLKKRTCCRFIRQDMQLWEVDDLENRNGLHNVVLNYHGFICQRVSS >EOX93579 pep chromosome:Theobroma_cacao_20110822:1:14028509:14038411:-1 gene:TCM_002459 transcript:EOX93579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASKLPDEPPCNTQETDEETIAFRKKRSRRVSFADREITSVHIFKRDDDCETPPDSTPRAASDRDKAVLGLFRDLVDSDDSNGGDDDEDEDDDVLSARKSFLRPMESPSPGGSSTVGSATSNDEDNFFGPVSANFIRPGRLSDSAASDDNHDITMDSTAFSMHFRSIVRSESGDLTTSTGVRLASEEKTPCQVTMPSDPESLMVLTKVKKLKSPSPVPINKSSGGRDSNDMSLVGESLHRYDYGRLSPTLEALLAEGSKEFNAIPASDSTSPKLSRIELAISRENGNDCVEPLHYGNLEPFIINNHDMSSNGVSTAQNKLVEATCDSTTTLIDQIVHDCLSNPKDGPVAEGFFDWQIHTPDHLNKGNKDITEVMSGTSVLNSELLAVTTGTPLNQSSEAVQVDLFKQFECGNQPPTKDGVKANSPQDEIHTSNVGHASNQLHGSPLAGSIYSISAKRQQILLDTTNSPRRALFVTPSPRHPGSILSKGSVKQGGSVPSILKSNSKLKILEPSPCASAFNDGIVKSKLRLSESLSSRASPFNTIMEEPSESFQCQQANAPIINLEEQLSGVDLKKGKVHCNGLGTPKNISSFIQDGGTSGLGKDKEYNDKSTERMATFTSPSKFTHSGKKMGHHTLTSVELLDGTLVASTFGISEDKRDTGTVYKLVSPLVDRLNQLSSATKNQGTLSGNLKLQHQDNSTTIVSGRECNLVETVPISNYLTPTAENRTQSGSPLVKINSLKDFCLVRKVDERESNGLDLQNTSKTLRDFPDGVALKLQSGSREKNIQTATEPIRSSEQMKVSAAFASLDAHGRSKNERSPQKSPSKNKQTQSPTSKEPSWSLCRKELHGDSMQLSVAKDVVSLHCSSTLQRIDDCHQRFVQNPTPVQDIQISSKRKRTSEEVALPDVHHADKRNIIQQSPKSHKVGENYTERMLEFYDGSDKGNERIEDGKTSKNWTDISLKLSADTNQLLSPSFDKLNIKVINMMEDILIHQQKVNLCAMLCSEIQSQLCSAYDQSSNIWHKRVAGTKPLLYRIVYEKAKLQLMHVKHERLLKQVQLLRTRVQESQMLKLNCVIHPPVSAEKDTQLDDNLRSVRIGGNVAGSSDKVTTMKHEAEALEKKIKNLTKSFHIYCKMKGEPSCSDTIDLVNDHLKKRTCCRFIRQDMQQLWEVDDLENRNGLHNVVLNYHGFICQSLKLNTGPISSIIVANKLNDINITKNFPNMDACSAFAFVFKHESTKKYGGFKSLAQETQLSSWVCSLLSLILTVV >EOX93577 pep chromosome:Theobroma_cacao_20110822:1:14028378:14042101:-1 gene:TCM_002459 transcript:EOX93577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASKLPDEPPCNTQETDEETIAFRKKRSRRVSFADREITSVHIFKRDDDCETPPDSTPRAASDRDKAVLGLFRDLVDSDDSNGGDDDEDEDDDVLSARKSFLRPMESPSPGGSSTVGSATSNDEDNFFGPVSANFIRPGRLSDSAASDDNHDITMDSTAFSMHFRSIVRSESGDLTTSTGVRLASEEKTPCQVTMPSDPESLMVLTKVKKLKSPSPVPINKSSGGRDSNDMSLVGESLHRYDYGRLSPTLEALLAEGSKEFNAIPASDSTSPKLSRIELAISRENGNDCVEPLHYGNLEPFIINNHDMSSNGVSTAQNKLVEATCDSTTTLIDQIVHDCLSNPKDGPVAEGFFDWQIHTPDHLNKGNKDITEVMSGTSVLNSELLAVTTGTPLNQSSEAVQVDLFKQFECGNQPPTKDGVKANSPQDEIHTSNVGHASNQLHGSPLAGSIYSISAKRQQILLDTTNSPRRALFVTPSPRHPGSILSKGSVKQGGSVPSILKSNSKLKILEPSPCASAFNDGIVKSKLRLSESLSSRASPFNTIMEEPSESFQCQQANAPIINLEEQLSGVDLKKGKVHCNGLGTPKNISSFIQDGGTSGLGKDKEYNDKSTERMATFTSPSKFTHSGKKMGHHTLTSVELLDGTLVASTFGISEDKRDTGTVYKLVSPLVDRLNQLSSATKNQGTLSGNLKLQHQDNSTTIVSGRECNLVETVPISNYLTPTAENRTQSGSPLVKINSLKDFCLVRKVDERESNGLDLQNTSKTLRDFPDGVALKLQSGSREKNIQTATEPIRSSEQMKVSAAFASLDAHGRSKNERSPQKSPSKNKQTQSPTSKEPSWSLCRKELHGDSMQLSVAKDVVSLHCSSTLQRIDDCHQRFVQNPTPVQDIQISSKRKRTSEEVALPDVHHADKRNIIQQSPKSHKVGENYTERMLEFYDGSDKGNERIEDGKTSKNWTDISLKLSADTNQLLSPSFDKLNIKVINMMEDILIHQQKVNLCAMLCSEIQSQLCSAYDQSSNIWHKRVAGTKPLLYRIVYEKAKLQLMHVKHERLLKQVQLLRTRVQESQMLKLNCVIHPPVSAEKDTQLDDNLRSVRIGGNVAGSSDKVTTMKHEAEALEKKIKNLTKSFHIYCKMKGEPSCSDTIDLVNDHLKKRTCCRFIRQDMQLWEVDDLENRNGLHNVVLNYHGFICQSLKLNTGPISSIIVANKLNDINITKNFPNMDACSAFAFVFKHESTKKYGGFKSLAQETQRTSLLLTNLLDVVEEVQIAQLEIRNLTLTSFHSPSAEQLGLQLAFIDFDSGVKVMMTLDVTCLNCGVYPSEVLPYQLQTPTAGTENLQLRPLSAEIKAAVGNLRAGHSRIIRLCRCVSQVMRSSGR >EOX93580 pep chromosome:Theobroma_cacao_20110822:1:14031439:14038412:-1 gene:TCM_002459 transcript:EOX93580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASKLPDEPPCNTQETDEETIAFRKKRSRRVSFADREITSVHIFKRDDDCETPPDSTPRAASDRDKAVLGLFRDLVDSDDSNGGDDDEDEDDDVLSARKSFLRPMESPSPGGSSTVGSATSNDEDNFFGPVSANFIRPGRLSDSAASDDNHDITMDSTAFSMHFRSIVRSESGDLTTSTGVRLASEEKTPCQVTMPSDPESLMVLTKVKKLKSPSPVPINKSSGGRDSNDMSLVGESLHRYDYGRLSPTLEALLAEGSKEFNAIPASDSTSPKLSRIELAISRENGNDCVEPLHYGNLEPFIINNHDMSSNGVSTAQNKLVEATCDSTTTLIDQIVHDCLSNPKDGPVAEGFFDWQIHTPDHLNKGNKDITEVMSGTSVLNSELLAVTTGTPLNQSSEAVQVDLFKQFECGNQPPTKDGVKANSPQDEIHTSNVGHASNQLHGSPLAGSIYSISAKRQQILLDTTNSPRRALFVTPSPRHPGSILSKGSVKQGGSVPSILKSNSKLKILEPSPCASAFNDGIVKSKLRLSESLSSRASPFNTIMEEPSESFQCQQANAPIINLEEQLSGVDLKKGKVHCNGLGTPKNISSFIQDGGTSGLGKDKEYNDKSTERMATFTSPSKFTHSGKKMGHHTLTSVELLDGTLVASTFGISEDKRDTGTVYKLVSPLVDRLNQLSSATKNQGTLSGNLKLQHQDNSTTIVSGRECNLVETVPISNYLTPTAENRTQSGSPLVKINSLKDFCLVRKVDERESNGLDLQNTSKTLRDFPDGVALKLQSGSREKNIQTATEPIRSSEQMKVSAAFASLDAHGRSKNERSPQKSPSKNKQTQSPTSKEPSWSLCRKELHGDSMQLSVAKDVVSLHCSSTLQRIDDCHQRFVQNPTPVQDIQISSKRKRTSEEVALPDVHHADKRNIIQQSPKSHKVGENYTERMLEFYDGSDKGNERIEDGKTSKNWTDISLKLSADTNQLLSPSFDKLNIKVINMMEDILIHQQKVNLCAMLCSEIQSQLCSAYDQSSNIWHKRVAGTKPLLYRIVYEKAKLQLMHVKHERLLKQVQLLRTRVQESQMLKLNCVIHPPVSAEKDTQLDDNLRSVRIGGNVAGSSDKVTTMKHEAEALEKKIKNLTKSFHIYCKMKGEPSCSDTIDLVNDHLKKRTCCRFIRQDMQLWEVDDLENRNGLHNVVLNYHGFICQRLLFDVFIFDQHLFMSAKFYIFSYILMICSLKLNTGPISSII >EOX91813 pep chromosome:Theobroma_cacao_20110822:1:3982980:3984081:-1 gene:TCM_000887 transcript:EOX91813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHKIPSLCLFFSYAGSLFITPSLFPLLHSLYLKGSRLIFSLEGELKGRKFFWVGLGAERKISEMAQQDKHFSSFSTTHFSIWGIDETKLPSKDCAAPPSIPM >EOX95608 pep chromosome:Theobroma_cacao_20110822:1:34830084:34837513:-1 gene:TCM_005054 transcript:EOX95608 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 9 MSTKKKTAQGQTRTRVGKYELGRTLGEGSFAKVKFAKNVETGECVAIKILDRDQVLRHRMVEQIKREISTMKLIKHPNVIKIFEVMASKTKIYIVIEFVDGGELFDKIAKRGRLKEDEARSYFHQLINAVDYCHSRGVYHRDLKPENLLLDSFGVLKISDFGLSAFSQQVREDGLLHTACGTPNYVAPEVLKDKGYDGTSSDIWSCGVILFVLMAGYLPFDEPNLAALYKKIWMADFTCPSWFSSGARKLIKRILDPNPLTRISIPEILQDEWFKKGYKPPQFEQEEDVNLDDIDAVFNDSNEHLVTERKEKPVSMNAFELISRSQSFSLDNLFEKQTGHVKRETSFASQCPPNEIISKIEEAAKPLGFNVHKRNYKMKLKGDKSGRKGQLSVATEVFEVAPSLHMVELRKTGGDTLEFHKFYKTFASGLKDIVWTSEEIAEGQRP >EOX94993 pep chromosome:Theobroma_cacao_20110822:1:32367537:32368681:1 gene:TCM_004574 transcript:EOX94993 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein family MGVFTFVCKSSSEEWTAKQLEGELEGFAASTYELQRKLVQCVAAVDSSNCITSSFSLITPNFTVFQVIIGGGGGEGYPRVPYNKVLVDILIHIHDDVVGRDGDDVVAGDVTLQSDDAEGVHVP >EOX92927 pep chromosome:Theobroma_cacao_20110822:1:9458153:9462409:1 gene:TCM_001789 transcript:EOX92927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 43 MIANNGHHTKFLKDNWHPCGPIIAFATTELMKVEVELPVASFCDDYGNWDFDLLSQLLLNNIEWMIATAIVDPTNGEEDTGFWTKVRLFVWRILHESLPTKDWLSHKNMAISTQCPRYADPRENILHALRDCNTSKATWLQVKPDLIDREFFNIDLQQWVKINMSNAEVFDDILWGLYSYMLYVLPLLHQLVHGEEAEEVRVKINSCDPFQGSWVYDESYPFYETSIWTCPVMQNQFDCQGNGRPDRDYLKYRWQPTGCDLPRFDGSDFLMRIRGKSIMFVGDSLGLNKWQSLICMLHSTVPGSSHKYVTTDGFTTVTFTARFNITLDYDAKLMYSHDVFLVDLVTLSDGRVLRLNSIEGSEAWKGIDFLVFNTWH >EOX92785 pep chromosome:Theobroma_cacao_20110822:1:8601273:8606711:-1 gene:TCM_001661 transcript:EOX92785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family isoform 2 MEKMNHAFEKMKMLVGMEGDDDQQQPALEEGNSFSFMDDFNRQCSLTTKQRFYGFAICLVAGLTCTLLSILVFFHPIKFGITFTFGNLLSLGSTAFLIGPKRQVSMMLDPVRIYATAIYLASMIIALFCAFYVHNKLLTLLAIILEFGALIWYSLSYIPFARSMVSKIMLACFDTEF >EOX92786 pep chromosome:Theobroma_cacao_20110822:1:8601615:8606595:-1 gene:TCM_001661 transcript:EOX92786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family isoform 2 MEKMNHAFEKMKMLVGMEGDDDQQQPALEEGNSFSFMDDFNRQCSLTTKQRFYGFAICLVAGLTCTLLSILVFFHPIKFGITFTFGNLLSLGSTAFLIGPKRQVSMMLDPVRIYATAIYLASMIIALFCAFYVHNKLLTLLAIILEFGALIWYV >EOX91082 pep chromosome:Theobroma_cacao_20110822:1:1533770:1536301:-1 gene:TCM_000374 transcript:EOX91082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MVNLVAAQKPLLHGLMKMAGVRPYEVEIEPGTVMNFWVPCETIRKPGKGEKFTHLDKPTKPVVVLVHGFAAEGIVTWQFQVGALTKKYSVYVPDLLFFGGSMTDKPDRSPTFQAEYLVKGLRKLGVEKCVVVGFSYGGMVAFKIAELYPDLVVAMVVSGSILAMTDSISVEALNRLGFSSSSELLLPNSVKGLKALLSVAAYKKLWFPDRLHKDYLEVMFTNRKERAELLEALVISNKDATIPSFPQRIHLLWGEEDQIFKQELAHNMKEQLGGNTTFEGIKKAGHLVHLERPCVYNRCLKRFLASLLAEKVDK >EOX91083 pep chromosome:Theobroma_cacao_20110822:1:1534119:1536687:-1 gene:TCM_000374 transcript:EOX91083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MVNLVAAQKPLLHGLMKMAGVRPYEVEIEPGTVMNFWVPCETIRKPGKGEKFTHLDKPTKPVVVLVHGFAAEGIVTWQFQVGALTKKYSVYVPDLLFFGGSMTDKPDRSPTFQAEYLVKGLRKLGVEKCVVVGFSYGGMVAFKIAELYPDLVVAMVVSGSILAMTDSISVEALNRLGFSSSSELLLPNSVKGLKALLSVAAYKKLWFPDRLHKDYLEVMFTNRKERAELLEALVISNKDATIPSFPQRIHLLWGEEDQIFKQELAHNMKEQLGGNTTFEGIKKAGHLVHLERPCVYNRCLKRFLASLLAEKVDK >EOX91275 pep chromosome:Theobroma_cacao_20110822:1:2165838:2170946:1 gene:TCM_000521 transcript:EOX91275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein isoform 1 MLRHLLTTVRHRSTTALYNHHYRHLYRSASYKHPKTPTPPSPPKPPKAPQKPQTFTFHDVTWEDPYSWMSSLQDKVAMRHMDMYMEQEEKYTEAVMSDTERLQTKLQSEMASRLDFDLSTPPLRWGPWLYYRRVEEGKQYPVLCRRLASLNDEFISHKSPSAGFDFTSGKRIEQKLLDYNQEAERFGGYAYEELSEISPDHKFLAYTMYDKDNDYFKLSVRNLNSGALCSKPNANRVSNLAWIKDGQALLYVITDENRRPHRIYCSMIGSTEEDVLLLEEQDETVYVNIRHTKDFHFVTVNTFSPTSSKVFLINAADPFSGMTLVWESEGIVHCILEHHQGYLYLFTDAAKDGHVVDSHYLLCSPVDCPSNPRIWESVFIDDQDLIIEDVDFSNSRLVLITREGRNFGICSVALPLLGRKQAVYLRELNPHFLPLPKNVCKISPGPNYDFYSTTMRFTISSPVMPDAVVDYDLSNGKWNIVQQQNILHERTRILYGTALSSAIAEKSTNVKNSSTNDVKSEDDNLWNDLSEFYACEHYDVSSYDGTVVPLTIVYSCKNRKDKQSPGLLHGHGAFGEILDKQWRSELKSLLDRGWIVAYADVRGGGGGGKKWHHDGRGTKKQNSIRDYISCAKYLVEKEIVQENKLAAWGYSAGGLLVASAINCSPELFRAAVLKVPFLDATNTLLYPILPLTAVDYEEFGYPGDIDDFHAIRKFSPYDNIQKDVLYPSVLVSSSFNTRFGVWEAAKWVARVREQTIYDPKHPILLNLMTDIVEENRYLQCKESALETAFLLKAMES >EOX91276 pep chromosome:Theobroma_cacao_20110822:1:2165734:2171848:1 gene:TCM_000521 transcript:EOX91276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein isoform 1 NLNPSTKPLQMLRHLLTTVRHRSTTALYNHHYRHLYRSASYKHPKTPTPPSPPKPPKAPQKPQTFTFHDVTWEDPYSWMSSLQDKVAMRHMDMYMEQEEKYTEAVMSDTERLQTKLQSEMASRLDFDLSTPPLRWGPWLYYRRVEEGKQYPVLCRRLASLNDEFISHKSPSAGFDFTSGKRIEQKLLDYNQEAERFGGYAYEELSEISPDHKFLAYTMYDKDNDYFKLSVRNLNSGALCSKPNANRVSNLAWIKDGQALLYVITDENRRPHRIYCSMIGSTEEDVLLLEEQDETVYVNIRHTKDFHFVTVNTFSPTSSKVFLINAADPFSGMTLVWESEGIVHCILEHHQGYLYLFTDAAKDGHVVDSHYLLCSPVDCPSNPRIWESVFIDDQDLIIEDVDFSNSRLVLITREGRNFGICSVALPLLGRKQAVYLRELNPHFLPLPKNVCKISPGPNYDFYSTTMRFTISSPVMPDAVVDYDLSNGKWNIVQQQNILHERTRILYGTALSSAIAEKSTNVKNSSTNDVKSEDDNLWNDLSEFYACEHYDVSSYDGTVVPLTIVYSCKNRKDKQSPGLLHGHGAFGEILDKQWRSELKSLLDRGWIVAYADVRGGGGGGKKWHHDGRGTKKQNSIRDYISCAKYLVEKEIVQENKLAAWGYSAGGLLVASAINCSPELFRAAVLKVPFLDATNTLLYPILPLTAVDYEEFGYPGDIDDFHAIRKFSPYDNIQKDVLYPSVLVSSSFNTRFGICFLWG >EOX91278 pep chromosome:Theobroma_cacao_20110822:1:2165807:2171178:1 gene:TCM_000521 transcript:EOX91278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein isoform 1 MLRHLLTTVRHRSTTALYNHHYRHLYRSASYKHPKTPTPPSPPKPPKAPQKPQTFTFHDVTWEDPYSWMSSLQDKVAMRHMDMYMEQEEKYTEAVMSDTERLQTKLQSEMASRLDFDLSTPPLRWGPWLYYRRVEEGKQYPVLCRRLASLNDEFISHKSPSAGFDFTSGKRIEQKLLDYNQEAERFGGYAYEELSEISPDHKFLAYTMYDKDNDYFKLSVRNLNSGALCSKPNANRVSNLAWIKDGQALLYVITDENRRPHRIYCSMIGSTEEDVLLLEEQDETVYVNIRHTKDFHFVTVNTFSPTSSKVFLINAADPFSGMTLVWESEGIVHCILEHHQGYLYLFTDAAKDGHVVDSHYLLCSPVDCPSNPRIWESVFIDDQDLIIEDVDFSNSRLVLITREGRNFGICSVALPLLGRKQAVYLRELNPHFLPLPKNVCKISPGPNYDFYSTTMRFTISSPVMPDAVVDYDLSNGKWNIVQQQNILHERTRILYGTALSSAIAEKSTNVKNSSTNDVKSEDDNLWNDLSEFYACEHYDVSSYDGTVVPLTIVYSCKNRKDKQSPGLLHGHGAFGEILDKQWRSELKSLLDRGWIVAYADRWWWWWQEVAS >EOX91277 pep chromosome:Theobroma_cacao_20110822:1:2165781:2170797:1 gene:TCM_000521 transcript:EOX91277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein isoform 1 MLRHLLTTVRHRSTTALYNHHYRHLYRSASYKHPKTPTPPSPPKPPKAPQKPQTFTFHDVTWEDPYSWMSSLQDKVAMRHMDMYMEQEEKYTEAVMSDTERLQTKLQSEMASRLDFDLSTPPLRWGPWLYYRRVEEGKQYPVLCRRLASLNDEFISHKSPSAGFDFTSGKRIEQKLLDYNQEAERFGGYAYEELSEISPDHKFLAYTMYDKDNDYFKLSVRNLNSGALCSKPNANRVSNLAWIKDGQALLYVITDENRRPHRIYCSMIGSTEEDVLLLEEQDETVYVNIRHTKDFHFVTVNTFSPTSSKVFLINAADPFSGMTLVWESEGIVHCILEHHQGYLYLFTDAAKDGHVVDSHYLLCSPVDCPSNPRIWESVFIDDQDLIIEDVDFSNSRLVLITREGRNFGICSVALPLLGRKQAVYLRELNPHFLPLPKNVCKISPGPNYDFYSTTMRFTISSPVMPDAVVDYDLSNGKWNIVQQQNILHERTRILYGTALSSAIAEKSTNVKNSSTNDVKSEDDNLWNDLSEFYACEHYDVSSYDGTVVPLTIVYSCKNRKDKQSPGLLHGHGAFGEILDKQWRSELKSLLDRGWIVAYADRWWWWWQEVAS >EOX93877 pep chromosome:Theobroma_cacao_20110822:1:17091387:17094061:-1 gene:TCM_002873 transcript:EOX93877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle 45 MVREERVESFYARLRQSVRASSLSPLLIFPSASDVDSLCALKIIFHILESDSIRYSCYPVSSFQEIRKYAASDLSSSSEEPVTILLINWGCHRDLQKDLKLGPATCVFVVDSHRPIHLHNLSDENDQVVVLYTNDDERLADLAYDFEVMELANASYCLHNSELDSEEDEYSESEDEDGDEEEEGGSRDGSRKRRRLSSEGEEEPASRRFKKLKREYYRMGTFHGKPSGCLMYDLSHSLRKNTNELLWLACVSLTDQFVHERLTDERYEAGVMELQQHITSLGNLDAVTSVTLKDGTKVRAPDSSRIAYEEEPRLMLLREWNLFDSMLCSSYIAPKLKTWSDNGMKKLKLLLARMGFALVDCQQKFQYMNHEVKQKMKDQFEQILPEYGLNDFYYKSFLRLHGYTSRVSAADMVYGVAALLESFLQSDGSCASKQFGVAYDALSLSNLDELKAGMQQAIKIQRAILRQGSAAITKSGCIRSGRKFRWVKLEDSVDTKLLGHPQALTKFCYFLMDALKEKGARMKPLLCACVWQEPAKVLIVGVCGKPRLGALQGNAFGIAFRNAAEETGAEFFHELFESSWIVLDAGAVNPFMVRLTEKL >EOX90988 pep chromosome:Theobroma_cacao_20110822:1:1253856:1257638:-1 gene:TCM_000305 transcript:EOX90988 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase 7 isoform 1 MATLVEPPNGVRATGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNDKVAIKKINNVFENRVDALRTLRELKLLRHIRHENIIKSSQPLSNDHCKYFIFQLLRGLKYLHSANILHRDLKPGNLLVNANCDLKICDFGLARTSRGNEQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPIFPGTECLNQLKLIINVLGSQQEADLQFIDNPKARRYIKSLPYSRGSHFSHLYPQADPLAIDLLQRMLIFDPSKRITVTEALLHPYMSGLYDPRCNPPAQVPIDLDIDENMGEQMIREMMWIEMLHYHPEAASLNA >EOX90989 pep chromosome:Theobroma_cacao_20110822:1:1254169:1257535:-1 gene:TCM_000305 transcript:EOX90989 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase 7 isoform 1 MLLVYELMDTDLHQIIKSSQPLSNDHCKYFIFQLLRGLKYLHSANILHRDLKPGNLLVNANCDLKICDFGLARTSRGNEQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPIFPGTECLNQLKLIINVLGSQQEADLQFIDNPKARRYIKSLPYSRGSHFSHLYPQADPLAIDLLQRMLIFDPSKRITVTEALLHPYMSGLYDPRCNPPAQVPIDLDIDENMGEQMIREMMWIEMLHYHPEAASLNA >EOX96340 pep chromosome:Theobroma_cacao_20110822:1:37428099:37431103:1 gene:TCM_005609 transcript:EOX96340 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein MSRSPESPNSPKTNPSANLSPNSDHYHENLDLKPPPSLNPKGRSTAQSLSDIGFKDQALKHVFYKMQQQFQRPPGNTPSPSPPSEPDLTSFQSLSLDPTAPDHTSLLSDELLLRIFSKLPISQHVSNSLVCKRWLYLSGRLVQSLKVTDWSFIISGRVFNRFPYLTDLDLVRSGIRTPRSSGILMTHKTMTVHVDTDFTPNGFLQERALLPSNSVDQGLKMIAEKYPTLQRLVAIGASEEGLLRIAEECSTLQELELYCCGDMALKGISGIKNLQVVKLIGFIDGFYNSIVSDIGLTLLAQGCRRLVKLELCGCEGSYDGIKAIGQCCQMLEELSLRDHRMDGGWLAGLSFCGNLKTLRLKSCKSIDSSPGADEHLGSCFTLEELHLQRCQIRDKQSFKALCLVCENVRDIVLQNCWGLEDDVFSIASICRRVTLLSLEGCSLLTLKGLESVVLSCKELQRLRVMSCNNIKDTEVTPELATLFSTLKELKWRPDSRSLLSSNLAGTGMGKKGGRFFKRSKD >EOX90575 pep chromosome:Theobroma_cacao_20110822:1:27609:31246:1 gene:TCM_000006 transcript:EOX90575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complex small chain family protein MLSAYPKFCLALERESGERGAKVIKAVMAVNTRGKPRLAKYHDLINLFNSFAIIDVALNSVKSASGEAAGAYRKRFAVLCSRAENVSNFIEAESVFGPDSCLVYKHFVTLYFVLVFDSSENELAELDLIQGSDFKHYLMAGKASYALLGTVCLWNMPSYDIIITP >EOX96349 pep chromosome:Theobroma_cacao_20110822:1:37459246:37460486:-1 gene:TCM_005613 transcript:EOX96349 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 2, putative MDMYGLSAPELFRIDDLLDLSNEELFSSASSSTASTNNDQFPPSEAPFSYASASSSSSSAAFHPSFSTDFTHDLCLPSDDVAELEWLSQFVEDSFTDFPSNSIAGTLNPRNDSSFSSKARSKRSRAATAMKTTTTWTTMSEAAPPFTGNSKTKKEIQRQASPAADGGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTQHSNSHRKVLELRRQKEMLRQQQQQQQQQHQQQEQPYRHHQHHRRQQHDFEVC >EOX92213 pep chromosome:Theobroma_cacao_20110822:1:5748562:5749850:-1 gene:TCM_001193 transcript:EOX92213 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase subunit H, putative MRPFYRKKGQGCLQPTLFLPTIKYNLSFLFLILRIVLPVWCWFFFFLILSKPTRIALKETKSGIGKMSGDFNYVDNLPNNILQQALEGIPGGPYENLEIRCFDRERDPEWNDFEYRFISKKPSPTFELPRQELYARMEAPKGELGIFLIGDQSGFPWRWKIRPPGFINLQILPHLFQIKQHEKP >EOX92849 pep chromosome:Theobroma_cacao_20110822:1:8893744:8896983:1 gene:TCM_001708 transcript:EOX92849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRLRITRSVQFLAVNVPLPLTTCVSQFPSIVEAKGKVNKVKWQKSIILLLSQPDAKLGSLILNHK >EOX92485 pep chromosome:Theobroma_cacao_20110822:1:7114219:7118085:-1 gene:TCM_001430 transcript:EOX92485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily MPTSLPPFSQHSHKKPSKKPLWKSTCLLLSMSTLLLLSLLSLTLFFTLSSSSRNHHHHQDPHLSPKNSPVSTPPSSQIHLACQSTRFPQPCETSLTQSSSLPPNPTSLQIIQSAIAVSSENLKTGKSMVKSILDSSKGNLNRTNAATTCLEILSYSDYRINSANDGLTRGKVKDARAWMSAALCYQYDCWSALKYVNDTKLVDQTMAFLSSLTEHSSNALAMMVSYDNYGNDIASWAPPKTERDGFYESGSGGTDLGFKGGFPPELQPDVTVCKDGSGGCYKTVQDAVNAAPHNAETTRRFVIHIKEGVYEETVRVPFEKKNVVFLGDGMGKTIITGSANVGQPGMTTYNSATVGVLGDGFMASGLTIKNTAGPDAHQAVAFRSDSDLSVIENCEFIGNQDTLYVHSLRQFYKKCRIQGNVDFIFGNSASVFQDCIVLVAPRQLKPEKGENNAITAHGRTDPAQSTGLVFQNCLLNGTDEYMGYYYSKPKVHRNFLGRPWKEYSRTVFINCFMEALITPDGWLPWSGDVGLKTLFYGEFGNSGPGSNVASRVPWSSQIPAQRVYTYSVQNFIQGDQWIPTSS >EOX94535 pep chromosome:Theobroma_cacao_20110822:1:29798337:29806856:-1 gene:TCM_004155 transcript:EOX94535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKVHSFKLMTFHSKLDNFVITMFTISSLFKPPVPPLFSTTQPCRFSVCSKIQYHRSFPSFSFLLSPAKTNGPP >EOX95613 pep chromosome:Theobroma_cacao_20110822:1:34863300:34872364:1 gene:TCM_005062 transcript:EOX95613 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MKEDERPLPLHHHQRNKLSLAKLFTHTTAYVILLLLSYALGYFSRSSPSQQQLLLQVTPPSPPALQFASLPTQLDNFRVTSHCSNSPLPPHLIFPTILERVFKGTSPYTNFPPPHVRSFLKEKRVKGWGSDGAVFEHLIKRVKPRVVIEVGTFLGASALHMVNVTRKLGLQTQILCLDDFRGWPGFRDRFKDIIMINGDVLLLQQFMQNVVYLKATESVLPVPFSTGSGLEKFCEWGITADLIEIDAGHDFISAWGDINRAYRILRPGGVIFGHDYFTAADNRGVRRAVNLFAQMNNLKIQTDGQHWVIDTALA >EOX96693 pep chromosome:Theobroma_cacao_20110822:1:38677819:38680117:-1 gene:TCM_005885 transcript:EOX96693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 83 MRKPDQNMGKDRVNNNKIKLRKGLWSPEEDEKLMKYMLTNGQGCWSDIARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIIHLHSILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNNTSTSSSPNNSDSSELRDHGVGGIFPVHEHDIMTLCMDSSSSSSTSMQPIVTGNQFDPFPLLNNRYDMTGAPCLFDVPACLTQGDMVQGFYGDYGVLEPANKIGLEGDFSLPPLESRSIEENNAANGSIDMKSNNNHYNNTCFNNTDQSFKVGDMFGLENHHWQGENLRMGEWDLEGLMDNISSFPFLDFQVE >EOX95652 pep chromosome:Theobroma_cacao_20110822:1:35066336:35069507:1 gene:TCM_005108 transcript:EOX95652 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORMDL family protein MANLYVKAVPPADLNRNTEWFMYPGVWTTYILILFFSWLLVLSIFGCSPGMAWTIVNLSHFLVTYHFFHWKKGTPFAEDQGIYNGLTWWEQIDNGKQLTRNRKFLTVVPVVLYLIASHTTDYQHPMLFLNTLAVLVLVIAKFPNMHKVRIFGINADKRTF >EOX92492 pep chromosome:Theobroma_cacao_20110822:1:7149890:7151148:1 gene:TCM_001438 transcript:EOX92492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tracheary element differentiation-related 7 MASITNMYFPYFPLPPPHGPPSPPKMPPPHNHPSPPRPTPPYKPPTPPKVSPPHFTPAPPKVAPPHYIPTPPKVQPPPIPPSPTVPSPSKPKVPPPAPHNPITPPQPHPVPAPPPHHPFYPSPPAAKPPPHSLPPPSPIVTPPPHMIPPPPPPAPGHHSTVIIVVFVSLGGLFFLAFLSVALCCFIKKRKKKMVEKTEIISIDEHVKVQEAIMPGPHGEQNAVLLIEEDVHIEEEIKKSKRISEGSHLKSSQEISQAADMAADSPGPSHHHLLEHKAD >EOX92750 pep chromosome:Theobroma_cacao_20110822:1:8399787:8415866:-1 gene:TCM_001634 transcript:EOX92750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MENGHDGKLAEKLSGLALNDKDNKEPSNDDSLFQVMKAVEAAEATIKQQAEENSRLRSELQKKIEQLEQYRVESGSNPNASANGATNSSLNGTLNTLPAAVAASVNNAGAAPSHFSSPSTATSFSPTRYQLEGEYDSRLPQGLMPMPQGNSSNSLWKQDVALKVREHEEEILQLRKQLAEFSVKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYQLQAAQQERTTFVSSLLPLLAEYSLHPPVPDAQSIVSNVKVLFKHLQEKLIITESKLKESQYQLAPWRMDVNHSNFAPQSPSHSLGATLRTSSKNALELVPQQAYSQGKTQVTSDAQTDSNWDLPGQHQGGLGGGIASKNLEPDDLGRYSPIASRTSTANEIPTQLAVSRGDMHTMQYGEETINKQVTFRDPVSNSEMDDPDTEGHQIERETPSNWGSGNSPYATTLDDPSSSYPPYLPPVLEEPSSSFSEAAEDDPLPAIEGLQISGEAYPGRELQACGYSINGTTSCNFEWVRHKEDGSVNYIDGAKQPNYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANEHKKITCDSEMQSHLEKNLYSGHASYKVSFSTGYLDIWEPAMLAIKREGYSIKCGAPNGLVVTEKFSPTTQVKVTFGEPTEFLIIGPNGVQHLLRVDSNSTDISCSRDLIVLTLRLFIIRLLQAGERRKGKKRGLFFNK >EOX92749 pep chromosome:Theobroma_cacao_20110822:1:8399672:8423105:-1 gene:TCM_001634 transcript:EOX92749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MENGHDGKLAEKLSGLALNDKDNKEPSNDDSLFQVMKAVEAAEATIKQQAEENSRLRSELQKKIEQLEQYRVESGSNPNASANGATNSSLNGTLNTLPAAVAASVNNAGAAPSHFSSPSTATSFSPTRYQLEGEYDSRLPQGLMPMPQGNSSNSLWKQDVALKVREHEEEILQLRKQLAEFSVKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYQLQAAQQERTTFVSSLLPLLAEYSLHPPVPDAQSIVSNVKVLFKHLQEKLIITESKLKESQYQLAPWRMDVNHSNFAPQSPSHSLGATLRTSSKNALELVPQQAYSQGKTQVTSDAQTDSNWDLPGQHQGGLGGGIASKNLEPDDLGRYSPIASRCCAFLFDKGMAVFKYGELKKVLILIIARTSTANEIPTQLAVSRGDMHTMQYGEETINKQVTFRDPVSNSEMDDPDTEGHQIERETPSNWGSGNSPYATTLDDPSSSYPPYLPPVLEEPSSSFSEAAEDDPLPAIEGLQISGEAYPGRELQACGYSINGTTSCNFEWVRHKEDGSVNYIDGAKQPNYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANEHKKITCDSEMQSHLEKNLYSGHASYKVSFSTGYLDIWEPAMLAIKREGYSIKCGAPNGLVVTEKFSPTTQVKVTFGEPTEFLIIGPNGVQHLLRVDSNSTDISCSRDLIVLTLRLFIIRAGERRKGKKRGLFFNK >EOX92751 pep chromosome:Theobroma_cacao_20110822:1:8409556:8415881:-1 gene:TCM_001634 transcript:EOX92751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MENGHDGKLAEKLSGLALNDKDNKEPSNDDSLFQVMKAVEAAEATIKQQAEENSRLRSELQKKIEQLEQYRVESGSNPNASANGATNSSLNGTLNTLPAAVAASVNNAGAAPSHFSSPSTATSFSPTRYQLEGEYDSRLPQGLMPMPQGNSSNSLWKQDVALKVREHEEEILQLRKQLAEFSVKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYQLQAAQQERTTFVSSLLPLLAEYSLHPPVPDAQSIVSNVKVLFKHLQEKLIITESKLKESQYQLAPWRMDVNHSNFAPQSPSHSLGATLRTSSKNALELVPQQAYSQGKTQVTSDAQTDSNWDLPGQHQGGLGGGIASKNLEPDDLGRYSPIASRTSTANEIPTQLAVSRGDMHTMQYGEETINKQVTFRDPVSNSEMDDPDTEGHQIERETPSNWGSGNSPYATTLDDPSSSYPPYLPPVLEEPSSSFSEEDDPLPAIE >EOX91743 pep chromosome:Theobroma_cacao_20110822:1:3672833:3676531:1 gene:TCM_000828 transcript:EOX91743 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD domain-containing protein, putative MSWLARSIANSLKLDDDDDDHTNAAPNPKPESDPNPNQSSPSHSHSSSDPNTPRGVKEDLSELTKTLSRQFWGVASFLAPPPPPSSDQPPDHTGEEKSCPPPSDDPDEALIAGIRSDLTEIGGKFKTGISKLSNNIAVSEFTKIASNFLQFGSEEESLEKYESGNVVGLTEEVVAFARDIAMHPETWLDFPVPDDDDFDDFDMSDAQQEHALAVERLAPRLAALRIELCPGYMSEGCFWKIYFVLVHPRLNKHDAELLSTPQIVEARAKLMQGLQHRAKAKNAEDYSESGTSKLTADLPHEEPLSVPSPTQSKAVPIKASESEVAATAVAVEIETEKHPVQSTEMQVVDKSVIEEVPIKETKHQHSTSGSSGVSIEKFEDDGDDWLKEETGEVVGTSGTSIPLGNDEDVSFSDLEDDDDDVPISYKKVTSGSDSSTKDSRDWVQLSRSSTDSVKEVSCVGDRHSGSEQVSARNPQTKESNDWLDIEEIM >EOX93210 pep chromosome:Theobroma_cacao_20110822:1:11261359:11262469:-1 gene:TCM_002048 transcript:EOX93210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCHELYHVQRLGILQGKARGMTAFRSLQVMFKWKITHVWRERNGEMGVREVVLDLACEQVGGVQLTLVKKGVRCEQF >EOX91294 pep chromosome:Theobroma_cacao_20110822:1:2215089:2217243:-1 gene:TCM_000534 transcript:EOX91294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 1, putative MGEDNREKHFVLVHGMCKGAWCWYKLKTRLESAGHRVTALDLAASGINTKSIQDVRTFHEYSEPLLEMLASLPSDKKVILVGHSLGGINLALAMDKFPHKVSVGVFLTAYMPDTTHLPSYVLDKFFGSISPEMWLDTQFLPYGGPEQPLTSVIFGPQIMSSKLYQQCPVEDLELAKTLVRPGSAFLPDLGKATKFSDEGYGSVARVYLLCKDDRAIPEEFQHWMIANHQANHVMEIAGADHLAMLSKPQEVCYCLLEIAKQYA >EOX93352 pep chromosome:Theobroma_cacao_20110822:1:12281787:12291025:1 gene:TCM_002192 transcript:EOX93352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2c, putative isoform 1 MMEKAEGTEKAGKSPSLLPPPPTMPPNVKPESMKPPKYSIVSRLGVGMRGRHISLLTNHFKVSVNATDAVFYQYTVTISSEDNRAVESKGIGRKLLDKLYQTYSSELAGKRFAYDGEKSLYTVGPLPQKKFDFTIVLEESFAKREIGSLDGDGSPVGTGKRTKRSFRSKTYKVELRYSAKIPLKSISLALEGVESDNSTQDALRVLDIILRQQAANRGCLLVRQSFFHDDSRNYVDVGGGVLGLRGFHSSFRPTQGGLSLNMDVSTTMILKPGPVIDFLKANQNVNDVRKIIWPKAKRMLKNMRIKTRHSNMEFKITGLSEKPCAELYFPMKVKNGNSGEEQTVEITVYEYFTKHCGIELRHSAGLPCLDVGKPKRPNYLPLELCSLVSLQRYTKALSLNQRSTLVERSRQKPQDRIRTLTDAVRSNQYNEDPVLSACGISTGKQLTEVDGRVLEAPRLKVGNHEVCIPRNGRWNFNNKKLLDPKKIERWIVVNFSARCDISRISRDLISCARSKGIQMERPHTLIEEDPQTVGASPAARVEVMFDLIKSKLPGPPDFILCVLPVRKNSDIYGPWKKKCLSDIGIVTQCICPVKVNDQYLTNVLLKTNAKLGGINSLLEVERPSNLPLIKDIPTLILGMDVSHGSPGRSDIPSIAAVVGSRCWPLISRYRASVRTQSPKLEMIDALYKPLANGNDDGIIRELLLDFFKTSNGCKPKQIIVFRDGVSESQFNQVLDIELEQIIKAYQHLGESDVPKFTVIVAQKNHHTKLFQANAPENVPPAGTVVDAKIVHPRNYDFYMCAHAGMIGTSRPAHYHVLFDEIGFAPDDLQNLIHSLSYVYQRSTTAISIVAPICYAHLAAHQMGQFLKFEEHSETSSARMTSAGSIPVQELPRLNQKVAGSMFFC >EOX93351 pep chromosome:Theobroma_cacao_20110822:1:12281642:12291122:1 gene:TCM_002192 transcript:EOX93351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2c, putative isoform 1 MMEKAEGTEKAGKSPSLLPPPPTMPPNVKPESMKPPKYSIVSRLGVGMRGRHISLLTNHFKVSVNATDAVFYQYTVTISSEDNRAVESKGIGRKLLDKLYQTYSSELAGKRFAYDGEKSLYTVGPLPQKKFDFTIVLEESFAKREIGSLDGDGSPVGTGKRTKRSFRSKTYKVELRYSAKIPLKSISLALEGVESDNSTQDALRVLDIILRQQAANRGCLLVRQSFFHDDSRNYVDVGGGVLGLRGFHSSFRPTQGGLSLNMDVSTTMILKPGPVIDFLKANQNVNDVRKIIWPKAKRMLKNMRIKTRHSNMEFKITGLSEKPCAELYFPMKVKNGNSGEEQTVEITVYEYFTKHCGIELRHSAGLPCLDVGKPKRPNYLPLELCSLVSLQRYTKALSLNQRSTLVERSRQKPQDRIRTLTDAVRSNQYNEDPVLSACGISTGKQLTEVDGRVLEAPRLKVGNHEVCIPRNGRWNFNNKKLLDPKKIERWIVVNFSARCDISRISRDLISCARSKGIQMERPHTLIEEDPQTVGASPAARVEVMFDLIKSKLPGPPDFILCVLPVRKNSDIYGPWKKKCLSDIGIVTQCICPVKVNDQYLTNVLLKTNAKLGGINSLLEVERPSNLPLIKDIPTLILGMDVSHGSPGRSDIPSIAAVVGSRCWPLISRYRASVRTQSPKLEMIDALYKPLANGNDDGIIRELLLDFFKTSNGCKPKQIIVFRDGVSESQFNQVLDIELEQIIKAYQHLGESDVPKFTVIVAQKNHHTKLFQANAPENVPPGTVVDAKIVHPRNYDFYMCAHAGMIGTSRPAHYHVLFDEIGFAPDDLQNLIHSLSYVYQRSTTAISIVAPICYAHLAAHQMGQFLKFEEHSETSSARMTSAGSIPVQELPRLNQKVAGSMFFC >EOX91747 pep chromosome:Theobroma_cacao_20110822:1:3687508:3688820:-1 gene:TCM_000831 transcript:EOX91747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFRRSRFLSFPMVIGAVIIGVVSGKAIFGPPLDEYWRKKLQEEAAAKENEANPA >EOX91190 pep chromosome:Theobroma_cacao_20110822:1:1894374:1898208:1 gene:TCM_000461 transcript:EOX91190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 109A, putative MALKKTLAEKLFNISKISSQALTNCRISSSTVRNRVSQKAGKATNSMAPDPGDGNGKVSGNGVFRRFLHKGAMFSPAMRKLPLGENLKERLNEMDTSKDRIRLDGLSPYLAAKSAVPEVGGLSVDEVKKLLRVAQLEVVKKRLRETGKNWISYLDFIRICGEGYSDPEQGLQFAKQLDESGSVIILGNVVVLRPEQVAKAIGGLIPLPGPNPNDPRRKELVELEKQKALIDEKADSLVRRELWLGLAYLVVQTAGFMRLTFWELSWDVMEPICFYVTSVYFMAGYTFFLRTAKEPSFEGFYQSRFGTKQKQLMKAHNFDTERYNELRRMFHPHSSSEQPSSPALFDHHSEKMQIGALDH >EOX94485 pep chromosome:Theobroma_cacao_20110822:1:29090204:29093172:-1 gene:TCM_004087 transcript:EOX94485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein MKKFRQYWEGQRMRPISWEAKRFHHTHNMAWAFKVPLARYKPHLSMVLAQIGYTILYFFVEASFNQGLNPHVYVTYRYILAGLLMSPFAYFLERKSRPKMTVAMFLELFLLSLIGLNFLKWKNRVGFTMNMYYASLRYTSPTFVASVFNTVSILTFVVAVILRMEVVNVRSPRGIAKILGTLTSLAGVTIITLYKGPAVHSLSTAPIHMERLPSVHENLVKGSILIIASCTSWALWFIMQAVTLKKYPAQLSLTAWMNCIGGGQSAVFAVFLQHKPKEWSINMFSIRFWTITYSGIVCSGIFIFIQFWCMKEKGPVFVSMFSPLATVMVAISAYFVLGEKLYVGRILGGVLVIIGLYLLLWGKDRDQAYINTQEQPSSHCHGLEGTDKEDLTSGEKEVP >EOX90902 pep chromosome:Theobroma_cacao_20110822:1:989857:992396:1 gene:TCM_000244 transcript:EOX90902 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box type zinc finger family protein MRTLCDSCESAAAIVFCAADEAALCRACDEKVHMCNKLASRHVRVGLANPSDVPLCDICENAPAFFYCEIDGSSLCLQCDMIVHVGGKRTHARYLLFRQRVEFPGDKPGNVEDPASQPVDPGETRRGQNQPAKPTVGESQQNHKVSSVQLVDANADGHVKMDTKMIDLNMKPHRIHGQASNNQEQ >EOX94784 pep chromosome:Theobroma_cacao_20110822:1:31352094:31353578:1 gene:TCM_004392 transcript:EOX94784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSNVCQGLQSCLEPRLVESRFLRLKLAPPKTNFSGSIVPRPCFTNPALTETKPSPYNEEKNTSITHNESDTNDCKLIGGNQNVDRGGWSFLQSLAANAKDSTENDKVYVHPLVKRSASMLSEKSLEMCTESLGSETGSEVSESSDDISLLSLETVVCNPSKPRESLVARKMTRSSSFPPPLTSISGSNGVQVRSHREGGRLVLQAVSIPPCHSYFHAERSEGRLRLSLLKDATPIFGDEGGQEEDQEVVEEDEHGEVVYEKKGVEGEVEDEECYWGEGMEGNSGNVGGEIGTGKLPRPSSCKESGRGHKGLLNWGPFWVAT >EOX91369 pep chromosome:Theobroma_cacao_20110822:1:2480482:2484136:-1 gene:TCM_000588 transcript:EOX91369 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MAEYICLVNKDTLIIKPPKKSPCFLRTIVLLFAMVCGVYICTICLKQINTVTKMKFQKIQVIERPSPDSNIITELESQIPSLHYPKPETFSRGECTQNPVRFFAILSMQRSGSGWFETLLNSHINVSSNGEIFSVMARRKNISTIIQTLEKVYNLDWFTSASKNECSAAVGFKWMLNQGLMEHHKEIVEYFNHRGVSAIFLFRRNLLRRMVSVLANSYDRYAKLLNGTHKSHVHSQEEAAALSSYKPMINSTSLISDLKEVEMAAVEALEYFNSTRHMVLYYEDLVTDYTKLKDVQEFLGLPQMKLTSRQVKIHKGSLSDFVKNWDDVNKTLNGTEYESFLRADY >EOX91368 pep chromosome:Theobroma_cacao_20110822:1:2480220:2483879:-1 gene:TCM_000588 transcript:EOX91368 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MAEYICLVNKDTLIIKPPKKSPCFLRTIVLLFAMVCGVYICTICLKQINTVTKMKFQKIQVIERPSPDSNIITELESQIPSLHYPKPETFSRGECTQNPVRFFAILSMQRSGSGWFETLLNSHINVSSNGEIFSVMARRKNISTIIQTLEKVYNLDWFTSASKNECSAAVGFKWMLNQGLMEHHKEIVEYFNHRGVSAIFLFRRNLLRRMVSVLANSYDRYAKLLNGTHKSHVHSQEEAAALSSYKPMINSTSLISDLKEVEMAAVEALEYFNSTRHMVLYYEDLVTDYTKLKDVQEFLGLPQMKLTSRQVKIHKGSLSDFVKNWDDVNKTLNGTEYESFLRADY >EOX91370 pep chromosome:Theobroma_cacao_20110822:1:2480090:2483915:-1 gene:TCM_000588 transcript:EOX91370 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MAEYICLVNKDTLIIKPPKKSPCFLRTIVLLFAMVCGVYICTICLKQINTVTKMKFQKIQVIERPSPDSNIITELESQIPSLHYPKPETFSRGECTQNPVRFFAILSMQRSGSGWFETLLNSHINVSSNGEIFSVMARRKNISTIIQTLEKVYNLDWFTSASKNECSAAVGFKWMLNQQGLMEHHKEIVEYFNHRGVSAIFLFRRNLLRRMVSVLANSYDRYAKLLNGTHKSHVHSQEEAAALSSYKPMINSTSLISDLKEVEMAAVEALEYFNSTRHMVLYYEDLVTDYTKLKDVQEFLGLPQMKLTSRQVKIHKGSLSDFVKNWDDVNKTLNGTEYESFLRADY >EOX91371 pep chromosome:Theobroma_cacao_20110822:1:2480659:2484104:-1 gene:TCM_000588 transcript:EOX91371 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MAEYICLVNKDTLIIKPPKKSPCFLRTIVLLFAMVCGVYICTICLKQINTVTKMKFQKIQVIERPSPDSNIITELESQIPSLHYPKPETFSRGECTQNPVRFFAILSMQRSGSGWFETLLNSHINVSSNGEIFSVMARRKNISTIIQTLEKVYNLDWFTSASKNECSAAVGFKWMLNQGLMEHHKEIVEYFNHRGVSAIFLFRRNLLRRMVSVLANSYDRYAKLLNGTHKSHVHSQEEAAALSSYKPMINSTSLISDLKEVEMAAVEALEYFNSTRHMVLYYEDLVRCSRVSRPST >EOX94260 pep chromosome:Theobroma_cacao_20110822:1:26959642:26969008:1 gene:TCM_003819 transcript:EOX94260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 1 MTGHPWPLDQVSLQFQSSTNLHRSGKNVLHLLGKREVSPRTKYAPERRWGEAPKQNANSSSGPNIEPIGDARRGLISWAEEESLRHLSGKYCPLVPPPRSTIAAAFSPDGRTLASTHGDHTVKIIDCEMGKCLKVLSGHRRTPWVVRFNPAHPEILASGSLDHQVRLWDAKTAECIGSCDFYRPIASIAFHAEGELLAVACGHKLYIWHYNQKGEASSPAIVLKTRRSLRAVHFHPHAAPFLLTAEVNDLDSSDLSMTLATSPGYLHYPPPAVLVTSSHASDHVGLAADPSFTSSPCMIVPSFTIDDSIMDLQYVSRLVGTTGMLVGSSSLMLFQTDATMGEQHHSTVSPMETFSAIPSGLCPGTEDPVNNSVPFRMESGAADPSMDAMDTDEVQPVRESRETNSTNLNRYSSARGGVSRNVSNQPDVREFGQLQQFLPFGAICWELPFLQGWLMGQQTGVPCMRPHSENLTQYTGSSSTLQSSTGNMETAAASFGIPNSISQSGVSRRTRLQHVSRSRFSVSESGAVAVPSNSVHDGADAQQIISRIQSELATSLAVAAAAELPCTVKLRVWSHDIKNPCALLNADKCRLAIPHAVLCSEMGAHFSPCGKFLAACVACVLPHVEADPGLQALVHQDAGVATSPTRHPISAHQVVYELRIYSLEKATFGSVLISRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLKSIVIDGKTTSPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPFAGGGLVYGTKEGRLRVLQYDGAHGTNSSTPNYFPEENMADVE >EOX94261 pep chromosome:Theobroma_cacao_20110822:1:26959735:26968239:1 gene:TCM_003819 transcript:EOX94261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 1 MTLATSPGYLHYPPPAVLVTSSHASDHVGLAADPSFTSSPCMIVPSFTIDDSIMDLQYVSRLVGTTGMLVGSSSLMLFQTDATMGEQHHSTVSPMETFSAIPSGLCPGTEDPVNNSVPFRMESGAADPSMDAMDTDEVQPVRESRETNSTNLNRYSSARGGVSRNVSNQPDVREFGQLQQFLPFGAICWELPFLQGWLMGQQTGVPCMRPHSENLTQYTGSSSTLQSSTGNMETAAASFGIPNSISQSGVSRRTRLQHVSRSRFSVSESGAVAVPSNSVHDGADAQQIISRIQSELATSLAVAAAAELPCTVKLRVWSHDIKNPCALLNADKCRLAIPHAVLCSEMGAHFSPCGKFLAACVACVLPHVEADPGLQALVHQDAGVATSPTRHPISAHQVVYELRIYSLEKATFGSVLISRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLKSIVIDGKTTSPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPFAGGGLVYGTKEGRLRVLQYDGAHGTNSSTPNYFPEENMADVE >EOX94259 pep chromosome:Theobroma_cacao_20110822:1:26959634:26968618:1 gene:TCM_003819 transcript:EOX94259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 1 MTGHPWPLDQVSLQFQSSTNLHRSGKNVLHLLGKREVSPRTKYAPERRWGEAPKQNANSSSGPNIEPIGDARRGLISWAEEESLRHLSGKYCPLVPPPRSTIAAAFSPDGRTLASTHGDHTVKIIDCEMGKCLKVLSGHRRTPWVVRFNPAHPEILASGSLDHQVRLWDAKTAECIGSCDFYRPIASIAFHAEGELLAVACGHKLYIWHYNQKGEASSPAIVLKTRRSLRAVHFHPHAAPFLLTAEVNDLDSSDLSMTLATSPGYLHYPPPAVLVTSSHASDHVGLAADPSFTSSPCMIVPSFTIDDSIMDLQYVSRLVGTTGMLVGSSSLMLFQTDATMGEQHHSTVSPMETFSAIPSGLCPGTEDPVNNSVPFRMESGAADPSMDAMDTDEVQPVRESRETNSTNLNRYSSARGGVSRNVSNQPDVREFGQLQQFLPFGAICWELPFLQGWLMGQQTGVPCMRPHSENLTQYTGSSSTLQSSTGNMETAAASFGIPNSISQSGVSRRTRLQHVSRSRFSVSESGAVAVPSNSVHDGADAQQIISRIQSELATSLAVAAAAELPCTVKLRVWSHDIKNPCALLNADKCRLAIPHAVLCSEMGAHFSPCGKFLAACVACVLPHVEADPGLQALVHQDAGVATSPTRHPISAHQVVYELRIYSLEKATFGSVLISRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLKSIVIDGKTTSPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPFAGGGLVYGTKEGRLRVLQYDGAHGTNSSTPNYFPEENMADVE >EOX94262 pep chromosome:Theobroma_cacao_20110822:1:26959922:26969008:1 gene:TCM_003819 transcript:EOX94262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 1 FQSSTNLHRSGKNVLHLLGKREVSPRTKYAPERRWGEAPKQNANSSSGPNIEPIGDARRGLISWAEEESLRHLSGKYCPLVPPPRSTIAAAFSPDGRTLASTHGDHTVKIIDCEMGKCLKVLSGHRRTPWVVRFNPAHPEILASGSLDHQVRLWDAKTAECIGSCDFYRPIASIAFHAEGELLAVACGHKLYIWHYNQKGEASSPAIVLKTRRSLRAVHFHPHAAPFLLTAEVNDLDSSDLSMTLATSPGYLHYPPPAVLVTSSHASDHVGLAADPSFTSSPCMIVPSFTIDDSIMDLQYVSRLVGTTGMLVGSSSLMLFQTDATMGEQHHSTVSPMETFSAIPSGLCPGTEDPVNNSVPFRMESGAADPSMDAMDTDEVQPVRESRETNSTNLNRYSSARGGVSRNVSNQPDVREFGQLQQFLPFGAICWELPFLQGWLMGQQTGVPCMRPHSENLTQYTGSSSTLQSSTGNMETAAASFGIPNSISQSGVSRRTRLQHVSRSRFSVSESGAVAVPSNSVHDGADAQQIISRIQSELATSLAVAAAAELPCTVKLRVWSHDIKNPCALLNADKCRLAIPHAVLCRHWSIKMLGLQHPQLDTQSQHTKSCMSFGYILLRRQPLVRCLFHEQLELLIV >EOX94682 pep chromosome:Theobroma_cacao_20110822:1:30845780:30854138:-1 gene:TCM_004299 transcript:EOX94682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase interacting (KIP1-like) family protein, putative MATVKHADSKGMYSWWWNSHISPKNSKWLQENLTDMDTKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVLRQAHRTMAEAFPNQVPMVFGDDSPIGSITEVDPRTPEMPPPVRALFEPDELQKDAVGLSSHAMKRNGAFTEESESVMIRKGLKQFNDLFGSEEATNHVKFAEGRARKGLNFHDVEEKEQSLLNNGGPDLKVQVPSESERVSKAEMEILTLKNALARLEAEKEAGLLQYRQSLERLSNLEREVSRAQEDSQGLNERAGKAEAEVQTLKDSLTKFEAEREANLVRYQQCMEKINNLENCISHAQKDAGELNERASKAEMEAQAVKQDLARVEAEKEDALAQYEQCLETIKNLEEKLLNAEENARRMTERAEKAESELEILKQVVVELTKDKEAAALQYQQCLETISILENKLACAQEEAQRLNSEIDDGAAKLKGAEERCSLLERTNQSLHTELESLVQKMGDQSQELTEKQKEFGRLWTSIQEERLRFMEAETAFQTLQHLHSQSQEELRSLATELQNRSQILQDIETRNQGLEDEVQRVKEENKGLNELNISSAVSIKNLQDEILSLRETIAKLEAEVELRVDQRNALQQEIYCLKEELNDLNRRHQDMTGQLESVGLNPENFASSVKELQDENTMLKEVCQRDRDEKLALLEKLKIMEKLIEKNALLENSLSDLNVELEGVRGRVKTLEESCQSLLREKSTLAAEKDTLISQSQIATENLEKLSEKNNFLENSLSDANAELEGLRVKLKSLDNSCQLLGDEKSGLITEREGLVSQLDGLEEKYVGLEKERESTLREVHELQESLEAEKQEHASFLQWNGTRVTAMESQISFLQGESLCRKKEYEEELDKAMNAQVGIFILQKCAQDLEEKNLFLLLECRKLLEASKLSEKLISELELGNSEKQMEIKSLFDQITILRMGLYQMLRTLEVDAIHGYDDKTKQDKPVLDLMFGRLQEMQNSLLKSLEENQQCIIENSVLIALLGQLKLEAENLATEKNALHQELKVQSEQFSELQSRAEKLVDMNEELRSKVMEGGQREEILQTEIGSVRGQLLGLQRAYQSSLEENCKVLDEKRSLMKEVLDLGKEKHKLEEENYVVFAEAISQSSISLIFKDIIAENFEDIKHLSDNLDKLKRVNNDLEGEVRVMERRFEDMQMENSHLKDSMQKLENELVSVRSVGDRLNDEVARGKDLLCQKENGLLEAAQMLSAIQEERAQLNKVVEDLKSKYEEVKLVGEDREKQILKLAGDYDHKSKESESIWQANQKLEAELSKLHEELEERKHREDSLNLELQKGRQEVELWENQAAALFGELQISAVREALLEEKAHELSKECEVLESRSNSKAMEVEELEKSVIILEGENGGLKAQLAAYVPAVISLRDSVTSLQSRTLLHSKLPTDYNEEVKDANLGTELHAESCQQTSEGLIASVPDGFLDLQGIHMKIKSIERAVLEMERLAMLENLNLNSKLETAMTQIEELRFGSSSRQESVRAKRHVNARQEGEELGRGSSNNVKMQRPTPEISEEDNEMMTKDIMLDQISECSSYGLSRRETAEVDDQMLELWETADHDGSIDLKVGKAQKMVAAPTDHQQIDSVKEHKGKNPSTESLVKELGVDKESSKRFTEPNHEGSKRKILERLDSDAQKLANLQITVQDLKRKVEVTETGKKGKGIEYGTVREQLEEAEEAIMKLFDVNRKLMTHVEDGSWSPDGKSALESDESGSVRRRRASEQARRGSEKIGRLQLEVQKIQFLLLKLDDEKESKGRTRITERKTRVLLRDYLYGGVRTSQKRKKAPFCACVQPPTKGD >EOX96202 pep chromosome:Theobroma_cacao_20110822:1:36986379:36989843:-1 gene:TCM_005506 transcript:EOX96202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon family protein MANLFGWLMTFFFLVSLLSIIGYQLICFADLEFDYINPYDSAARINMTVMPEFVIQAVFCLVCLTTGHSFMFFMSLPNLYYNFRLYVRREHLVDVTEIFNQLNWEKKQRLIKLGYLVTLLVVSLVWLILAVGNDNY >EOX90701 pep chromosome:Theobroma_cacao_20110822:1:366617:367574:1 gene:TCM_000088 transcript:EOX90701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSVTMTKQNMGLLTLIFSKLSSGAGGSGPRQEQTPNKEDKTLEKVADTFFVLSSVGLDRVVAGVGNLELLLVDCRD >EOX93380 pep chromosome:Theobroma_cacao_20110822:1:12541637:12542976:-1 gene:TCM_002233 transcript:EOX93380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAAEVASVQTALHEEKTEESIKVQETTTEEVAAIAPATEPVAEEPKEAEPEAASEQPVAPEPEASTKVETKEVVEEAKVVTEEPEVEKKEEDTPKETVPEPVVVEESKETTEQPAEETKETTESGEAAAAEPEAEAPVEAPKEEVAKEEEKPAEAEEKVETEAPAEKTE >EOX93668 pep chromosome:Theobroma_cacao_20110822:1:14896950:14899774:1 gene:TCM_002568 transcript:EOX93668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 13 MDSNPQQPAAGGSPPKPWEQARSSSGSGPFKPPSPGSTSDVVEASGTARPGEIVSTTDRTTAVNRNAVGRPLPSRPWEQQNYGSTYGGYGSGLNYNSGYGSGMYGSYGGLGSYGGGLYGNGMYRGGYGGLYGNSGMYGGGMYGGGLGGFGGPMGGYGVGMGPYGEQDPNNPYGAPSSPPGFWISFLRVMQGVVNFFGRISILIDQNTQAFHMFMSALLQLFDRTGLLYGELARFVLRLLGIKTKPRKINQAGPDGLPAPHNPHGNQNYIEGPKAAPSGAWDNVWGENGSS >EOX94116 pep chromosome:Theobroma_cacao_20110822:1:21974980:21975795:-1 gene:TCM_003328 transcript:EOX94116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSKTFILVSLLAAVVLLISSEVAARDLAETTTKINNAEEALETTDVGTDDAKYGGYEGRGGYGGYGGYGGRSGYGGYGGRGGYGGYGGRGGSGGRCAFGCCRSDYYGRGCRRCCSYSGEAVDVETHGDPHN >EOX92367 pep chromosome:Theobroma_cacao_20110822:1:6471889:6473764:1 gene:TCM_001317 transcript:EOX92367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAESLGEGQNRDEEREQKKKRLLVWKSELLEEKGIVSVYVEHGENAQEATSLLESMNEEVEFISTDEDNVDSEVDDNRDEFNKDEIDMAWLSDEGEDELALARERLKEFKASRERILVVAFEDFDEEGP >EOX94828 pep chromosome:Theobroma_cacao_20110822:1:31612275:31624659:-1 gene:TCM_004441 transcript:EOX94828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT domain-containing protein, putative isoform 2 MAPSRRKGASKAAAAAAARRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYSSDWKKVLVYFFGTQQIAFCNPADVEAFTEEKKQSLLIKRQGKGADFVRAVQEIIDSYEKSKKQDQVDDYNSADGVTQVNYGNSVDSSASKDLTETCEATVELRLKSSNAVTNRNDPSHATEVAPAEAKIDALFEKESVSEQPLDKMLVKETPVLTTYSSRKRSGGLRSQKSVAQQKAPSVRRARSSSRVESSRFQNFMMSSNDVRTAADVSANVIQDGSLRRNKRVRKSTDASESDDVDSSALMSNGSIDDNGSEIATVDSDAVSLNEGSTMDSSCKPEHSETVVECLEGDFELSKGLDFQIKTVVIKKKRKPLRKRVNHDSAEGPARMYAEADLNLGIDDTRKNLQNTCENLNEKYSKDDGDEHLPLVKRARVRRGKLSAAEEEFTSSSPTEEKPVNEGAVNLLEQMSPSSSCRNDSPADRDSLVLKGALVSISPSKDDTQVQGSGPEPWKVMRNQLGCLAGGEAALPPSKRLHRALEAMSANAAEEVQACAEHSPTMETLDDRCHGSPIRSCPHTAVDDKEANGLEQRGMDLLLNSDCGISSRSNSIPWENGAKSSLEPDICSQPVKSPKNQKHDFHKDVFVEPMNHVSCDSHIGQSLEHPSPNPDKSQASFRPNCGSTDQQLPSEDDRDAEPVGLSNCRAENPDEQLNTSEHADMSSDPVTGTEKTGKVSPQDGSNVFKCTFEHTSHEKSDSLKSQTDDSSLVNGMCEVMEELLPDQRQKATSSLICNDNSDKDVVGVQLSSSSADGVDSPARVSPSNASICHVSTSESANIIRSNGDCSPNVHSCHNKSLCVSIADDEGKADSAASERPKSVSKCSNYTEAHAALSSFENMLATLTRTKESIARATRIAIDCAKFGVSAKVVEIVTRNLERESSLHRRVDLFFLVDSITQCSRGLKGDVGGIYPSAIQATLPRLLYAAAPPGPSAHENRRQCLKVLKLWLERRILPESVVRHHIRELDSLSASSSGGAFSRRSARTERALDDPVRDMEGMLVDEYGSNSSFQLPGFCMPRMLKDEDEGSDSDGGSFEAVTPEHYSGTPEEQVANPVIEKRRHILEDVDGELEMEDVAPEIEMSSTSGAAGVNTAQTSLEQCDQHFPLPFAPPLPHDVPPSSPPLPSSPPPPPPPPPPPIPPCPTSDPFANGVDSTSHTSVHNRQDDLRSAVPPSVAPRINSAMCTNAAPYHGPESRDLPGPMQVSDCNASFNSYPVHPVNNIQQLDGPNFHHNAYPPRPPHPAQSNQFSYVNSGQHMNSMRDAPPPPYSNRYYSLNTDGGNYYNSHERMKPAPNELRESWRFPPQPFSGPQYADKVKASYGHGSYGGPQCEPTRLPNQGWGFHPPAMNHRNSFPVRPPPEGVVPVGSRAPSGWWPR >EOX94829 pep chromosome:Theobroma_cacao_20110822:1:31612996:31624171:-1 gene:TCM_004441 transcript:EOX94829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT domain-containing protein, putative isoform 2 MAPSRRKGASKAAAAAAARRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYSSDWKKVLVYFFGTQQIAFCNPADVEAFTEEKKQSLLIKRQGKGADFVRAVQEIIDSYEKSKKQDQVDDYNSADGVTQVNYGNSVDSSASKDLTETCEATVELRLKSSNAVTNRNDPSHATEVAPAEAKIDALFEKESVSEQPLDKMLVKETPVLTTYSSRKRSGGLRSQKSVAQQKAPSVRRARSSSRVESSRFQNFMMSSNDVRTAADVSANVIQDGSLRRNKRVRKSTDASESDDVDSSALMSNGSIDDNGSEIATVDSDAVSLNEGSTMDSSCKPEHSETVVECLEGDFELSKGLDFQIKTVVIKKKRKPLRKRVNHDSAEGPARMYAEADLNLGIDDTRKNLQNTCENLNEKYSKDDGDEHLPLVKRARVRRGKLSAAEEEFTSSSPTEEKPVNEGAVNLLEQMSPSSSCRNDSPADRDSLVLKGALVSISPSKDDTQVQGSGPEPWKVMRNQLGCLAGGEAALPPSKRLHRALEAMSANAAEEVQACAEHSPTMETLDDRCHGSPIRSCPHTAVDDKEANGLEQRGMDLLLNSDCGISSRSNSIPWENGAKSSLEPDICSQPVKSPKNQKHDFHKDVFVEPMNHVSCDSHIGQSLEHPSPNPDKSQASFRPNCGSTDQQLPSEDDRDAEPVGLSNCRAENPDEQLNTSEHADMSSDPVTGTEKTGKVSPQDGSNVFKCTFEHTSHEKSDSLKSQTDDSSLVNGMCEVMEELLPDQRQKATSSLICNDNSDKDVVGVQLSSSSADGVDSPARVSPSNASICHVSTSESANIIRSNGDCSPNVHSCHNKSLCVSIADDEGKADSAASERPKSVSKCSNYTEAHAALSSFENMLATLTRTKESIARATRIAIDCAKFGVSAKVVEIVTRNLERESSLHRRVDLFFLVDSITQCSRGLKGDVGGIYPSAIQATLPRLLYAAAPPGPSAHENRRQCLKVLKLWLERRILPESVVRHHIRELDSLSASSSGGAFSRRSARTERALDDPVRDMEGMLVDEYGSNSSFQLPGFCMPRMLKDEDEGSDSDGGSFEAVTPEHYSGTPEEQVANPVIEKRRHILEDVDGELEMEDVAPEIEMSSTSGAAGVNTAQTSLEQCDQHFPLPFAPPLPHDVPPSSPPLPSSPPPPPPPPPPPIPPCPTSDPFANGVDSTSHTSVHNRQDDLRSAVPPSVAPRINSAMCTNAAPYHGPESRDLPGPMQVSDCNASFNSYPVHPVNNIQQLDGPNFHHNAYPPRPPHPAQSNQFSYVNSGQHMNSMRDAPPPPYSNRYYSLNTDGGNYYNSHERMKPAPNELRESWRFPPQPFSGPQYADKVKASYGHGSYGGPQCEPTRLPNQGWGFHPPAMNHRNSFPVRPPPEGVVPVGSRAPSGWWPR >EOX94827 pep chromosome:Theobroma_cacao_20110822:1:31612275:31624659:-1 gene:TCM_004441 transcript:EOX94827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT domain-containing protein, putative isoform 2 MLVKETPVLTTYSSRKRSGGLRSQKSVAQQKAPSVRRARSSSRVESSRFQNFMMSSNDVRTAADVSANVIQDGSLRRNKRVRKSTDASESDDVDSSALMSNGSIDDNGSEIATVDSDAVSLNEGSTMDSSCKPEHSETVVECLEGDFELSKGLDFQIKTVVIKKKRKPLRKRVNHDSAEGPARMYAEADLNLGIDDTRKNLQNTCENLNEKYSKDDGDEHLPLVKRARVRRGKLSAAEEEFTSSSPTEEKPVNEGAVNLLEQMSPSSSCRNDSPADRDSLVLKGALVSISPSKDDTQVQGSGPEPWKVMRNQLGCLAGGEAALPPSKRLHRALEAMSANAAEEVQACAEHSPTMETLDDRCHGSPIRSCPHTAVDDKEANGLEQRGMDLLLNSDCGISSRSNSIPWENGAKSSLEPDICSQPVKSPKNQKHDFHKDVFVEPMNHVSCDSHIGQSLEHPSPNPDKSQASFRPNCGSTDQQLPSEDDRDAEPVGLSNCRAENPDEQLNTSEHADMSSDPVTGTEKTGKVSPQDGSNVFKCTFEHTSHEKSDSLKSQTDDSSLVNGMCEVMEELLPDQRQKATSSLICNDNSDKDVVGVQLSSSSADGVDSPARVSPSNASICHVSTSESANIIRSNGDCSPNVHSCHNKSLCVSIADDEGKADSAASERPKSVSKCSNYTEAHAALSSFENMLATLTRTKESIARATRIAIDCAKFGVSAKVVEIVTRNLERESSLHRRVDLFFLVDSITQCSRGLKGDVGGIYPSAIQATLPRLLYAAAPPGPSAHENRRQCLKVLKLWLERRILPESVVRHHIRELDSLSASSSGGAFSRRSARTERALDDPVRDMEGMLVDEYGSNSSFQLPGFCMPRMLKDEDEGSDSDGGSFEAVTPEHYSGTPEEQVANPVIEKRRHILEDVDGELEMEDVAPEIEMSSTSGAAGVNTAQTSLEQCDQHFPLPFAPPLPHDVPPSSPPLPSSPPPPPPPPPPPIPPCPTSDPFANGVDSTSHTSVHNRQDDLRSAVPPSVAPRINSAMCTNAAPYHGPESRDLPGPMQVSDCNASFNSYPVHPVNNIQQLDGPNFHHNAYPPRPPHPAQSNQFSYVNSGQHMNSMRDAPPPPYSNRYYSLNTDGGNYYNSHERMKPAPNELRESWRFPPQPFSGPQYADKVKASYGHGSYGGPQCEPTRLPNQGWGFHPPAMNHRNSFPVRPPPEGVVPVGSRELRAVGGQDDQILSSCSNWYKPYFLTWVVRLVEKS >EOX96593 pep chromosome:Theobroma_cacao_20110822:1:38355675:38357058:-1 gene:TCM_005816 transcript:EOX96593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein MLLKDRGSRSGQRLILLTDKCRQCFLIRSKALPSATQHVQISGNSSHHVLASVPKVCYEPFQRGSYCPDTANKEENGGGVLFDKTRMEERTEEASPTPILSLHHVSRLCRDVKHSIDFYTKVLGFVLVERTLALDFDGAWLFNYGVGIHLVQSKDEDRLPSDTDHLDLKDNHISFQCEAMEETERRLKEFNTKYIKRTIEDESGTKIDQLFFNDPDGFMVEICNCEDLKLVPAGSVGKIKLPSNHHNPPLDLDNDVDDDNGANPK >EOX93314 pep chromosome:Theobroma_cacao_20110822:1:12027551:12034190:-1 gene:TCM_002156 transcript:EOX93314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphofructokinase family protein MSPAVATNGFADTQKEEGPSRLPPVYSELQSNRLNHSPPLPSILKSSFKVVDGPPTSAAGNPNEIANLFPNLYGKPSVSLVPGDSEMKGHQSLKIGVVLSGGQAPGGHNVITGIFDYLQERAKGSTLYGFKGGPAGIVRCKYVKLSTELIYPYRNQGGFDMICSGRDKIETPEQLKQAEETVKKLDLDGLVVVGGDDSNTNACLLAENFRSKNMKTQVIGCPKTIDGDLKCKEVPISFGFDTACKIYSEMIGNVMTDARSTGKYYHFIRLMGRAASHITLECALQTHPNIAIIGEEVAAKKLTMKNVTSHIADIICKRLELGLNYGVILLPEGLIDFIPEVKQLIAELNEILVHDVVDEAGMWKRKLRSQSQELFEFLPQAIQEQLLLERDPHGNVQVARIETEKMLIQMVETELDQRKQEGAYKGHFQGQPHFFGYEGRCGFPTNFDANYCYALGYATGVLLHSGKTGLISSVGNLTAPVDEWTVGGTALTSLMDVERRHGKFKPVIKKAMVDLEGAPFMKFASMRDDWAAKNRYLSPGPVQFVGPFANDINYTLMLELGAQFPY >EOX96504 pep chromosome:Theobroma_cacao_20110822:1:38040841:38042477:1 gene:TCM_005739 transcript:EOX96504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MLLLYYIFVYKLITSKPMISYELCARVSIAICTGILMPALMVTLIILYLRSRNAEAKENRKKIKKFLEDCESLNPTRYSVVDVQKMTNEFKKKLGQGGYGRVFKGELENGMPVAVKVLDNSRGNGEDFTNEVSTIGRIYHLNVVRLFGYCADGSNRALVYEFMPNKSLDKFIFPVNSNRPKLSWGKLQHIAIGVAKGIEYLHQGCDPRILHFDIKPQNILLDIDFNTKISYFGLAKLFPKKESDVSITAVRGTMGYIAPEVYFSGNIGNWVYNRLADGEDLGIKEEKDGDADIAKKLSVVAIWCVQWDPTNRPSISTVIQMLEGRTGSFPLPLDPFASLGSKESDMNVP >EOX92428 pep chromosome:Theobroma_cacao_20110822:1:6799851:6801495:-1 gene:TCM_001381 transcript:EOX92428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRQRGKPITFSLLVVIVCLTLFPDAIAAVCHVHYPPERPVRPPCRYHPKLPPPPTDLASPPPAPKLLPPPPRPCLIYPRRPCPPVSPKRGRVYASPPKHN >EOX96501 pep chromosome:Theobroma_cacao_20110822:1:38030579:38034398:-1 gene:TCM_005736 transcript:EOX96501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor serine/threonine kinase MAVLNLLVALFFLPICISMKESSCSSYCGYLSIQYPFRLRTDPANCGHFLYELACEHKRPVLTIDYGRFYVESISYDEETLHAVDPGLKKNDCFFLPLYAWTANNLSHDSFSYFNSNFPYYQRYSLSSGTEDTMTFLNCSTTMNSSEYLDPSPCITNSSLPQAHHQYVFLGNLQAASLNFCEYVLMTPAVIQTIGNHTYADIHKKLTIGYELSWSQSFCLICAVNGGYCPYSDDSGEFKRICCRGQFSNVHCFGQYLWSTIQGCIGLVLKIVGCFTMLRAAVGILCMFPCLVYKWWRRASIDKYVEEFLQNYEKLKLRKFSYNDINKMTDGFKEQLGQGGFGSVFKGKQSNGCLVAVKMLAEAKGDGRDFMNEVATIGMIRHVNVVQLLGFCFEGYKRALIYEYMANGSLDKYLFSQEDVSTLSWSRMYEIALAIAHGIEYLHRGCHMRILHLDIKPHNILLNEDFTPKISDFGLAKLYPRNDSVVSLTNARGTMGYMAPELLYKSIGGISSKSDVYSFGMVLMEMAGRRKNLNPFVENLSQIYFPSWIYDQLEQKGEVEIKDATAEEKDIGKRMIIIALWCIQLKPADRPSMTKVVEMLEDTSEPLQMPPKPALAPERKRS >EOX92674 pep chromosome:Theobroma_cacao_20110822:1:8024123:8028271:1 gene:TCM_001586 transcript:EOX92674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein, putative MDSFASSIVTSILAKVGTSAYQQIISAWGIEDEMRKLRNTLEEIQAVLNDAEERQVKDEEQELRIWLRRFKDVLHNVEDVLDDFEIQDLQTKMLVDRGTTLNKVRNFFSSSNSLAFRFKTSQKIEKIWERLDEIKTSKERLKLSKAPKKETGIQRARETHSYVDAPAVTGRDDDKENIIQSLLQPDDHDRSYNNVSVISIVGLGGLGKTTLAKLVCNDERVRKHFDLNMWVCVSEEFDVITMTKEILKSTKAGLLGYDESNFQKWQEELRHALKDKKFLLVLDDVWNDNPRKWDELNQLLIGRRGSKILVTTRSQKVVKAMKSQVKYELGSLSHDDCLSLFLRWAYIEGQKERHQTLVEIGNEIVKKCKGNPLAAKTLGSLLSLTTNEKDWQNIRDNEIWELEQKEDDIMPVLKLSYNRMPPYLRSCFAYCAVFPKDFKFNSVDLIQLWLANGLITPYKNSEVEYVGMQYLKELFGRCFFEDVEEYVFVYTFKMHDLMHDLALSVAQKEFLTVDFRANIIPESVRHLSLFNSNLFQQKLKKPSQKKLRKTLRTILCPLAVLGSICESDVGSFIMGCKYLWVLDLSSSSFEELPSSIGRLKHLRYFSLSGNRRIRRLPNSVCKLQKLQALGLVGCRKLERLPKGVRNLISLRFLEFTTNEELLPNEEIGCLDSVQVLSISGCQYLRFLFEDMKQLTALRTSSITDCNWLRRLPCSVNDLTALENLIISNCADLDLKGKEDANPNQDEKVCSLRSITITKMTTFMALPQWLQRSANTLSCIIIEDCPNFKSLPEWLNNFKSLQKLQIKRCPQFPYVSELSKLEMALVPKVYVDDFKLEPSEG >EOX94594 pep chromosome:Theobroma_cacao_20110822:1:30363685:30370966:-1 gene:TCM_004223 transcript:EOX94594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase MDSHLTAPNRSRSSQTPSPSHSASASATSSLHKRKIATAASEDHAPPSSFPPSSFSADTRDGALTSNDDLESISARGADSDSDADDSEDAVVDDEEDDFDHDNDSSIRTFTAARLESGSGGGGGGSGSARNTKLKTESSMVKIENADGGKDGGAPGSGSAGATGAAGAGGSSVAAISVKEDVKIFTENIQTSGAYSAREESLKREEEAGRLKFVCYSNDGVDEHMVWLIGLKNIFGRQLPNMPKEYIVRLVMDRSHKSVMVIRRNQVVGGITYRPYVSQKFGEIAFCAITADEQVKGYGTRLMNHLKQHARDVDGLTHFLTYADNNAVGYFIKQGFTKEIHLEKDRWQGYIKDYDGGILMECKIDPKLPYTDLSTMIHRQRQAIDEKIRDLSNCQIVYPGIDFQKKEAGIPKKVIKIEDIPGLKEAGWTPDQWGHTRFRALIVSTDNATNQKHLTGFMRSLVKSMHDHVDSWPFKEPVDARDVPDYYDIIKDPVDLKTLSKRVESEQYYVTLDMFLADAKRMFANARTYNAPDTIYYKCTNRLETYFQSKVHSGLQSNTKIQ >EOX96309 pep chromosome:Theobroma_cacao_20110822:1:37283420:37285112:-1 gene:TCM_005588 transcript:EOX96309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRKEGSTEGESSSNWANIVGDVLRCIADKTHSVQDRTRMGTVCRSWQASLKDKQIIFPICLMLAEKEDSDKRCFYNISEEIFIELELPEIRGRRCWGSPFGWLITCGLDLEIQLFNPLSRANLALPPLNTFTHRKHWQNRTSEDVRNYFIKKLLLSSNPASPDCFVFAIYSEYNFLAIAKPGDNKAWTPINVAPTPIEATHIIRFDDVICFNGSFFAVRDSGQLFLCQDIDASHPNAVEFASVPPTWSPRDAGWTKYLVDMGGNLCVLSRIIQPYGYTSDIGEGFTSDSEEEIEIFTSDNEGGDNFFTKEFHIFKLNMQTRNWERILSLGDRSLFLGNCYTFSVIAADYHGCMSNCIYVTNDYLHSGGSDIGIYNCEKKETLDLFPKLDDRQYLQSSFSPPLWFIHCPH >EOX93906 pep chromosome:Theobroma_cacao_20110822:1:17288412:17291146:-1 gene:TCM_002905 transcript:EOX93906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum retention defective 2B isoform 1 MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGISLKTQELYAIVFASRYLDIFTDFISLYNTSMKLIFLGSSFSIVWYMRRHKIVRRSYDKDQDTFRHYFLLLPCLLLALLFNEKFTFKEVMWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRGLYILNWIYRYFTEPHYVHWIHKVVIMELPWQTSLASSWLCWLSKATEHIRLVPSLTFSRGFYVYLIPDLLVYLRCNNFWKDFPACRSDCIF >EOX93905 pep chromosome:Theobroma_cacao_20110822:1:17287846:17290990:-1 gene:TCM_002905 transcript:EOX93905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum retention defective 2B isoform 1 MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGISLKTQELYAIVFASRYLDIFTDFISLYNTSMKLIFLGSSFSIVWYMRRHKIVRRSYDKDQDTFRHYFLLLPCLLLALLFNEKFTFKEVMWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRGLYILNWIYRYFTEPHYVHWIPWISGLIQTLVYADFFYYYFDSWKNNKKLQLPA >EOX95829 pep chromosome:Theobroma_cacao_20110822:1:35692986:35696306:-1 gene:TCM_005231 transcript:EOX95829 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI-1-like 1 isoform 2 MEVEFPRPENPAMTFDEVSMERSKNFVKALQELKNLRPQLYSAAEYCEKSYLQSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQQTLEVSTMELKASCLNQQLLMCQTYTDKEGLRQQQLLAFIPRHHKHYILPNSVNKKVHFSPHIQTDPRQNYFQAKSRLQPSGTPASKTLSWHLASETKSTLKGTSQPLARLVWPNSSLFDG >EOX95828 pep chromosome:Theobroma_cacao_20110822:1:35692733:35696440:-1 gene:TCM_005231 transcript:EOX95828 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI-1-like 1 isoform 2 MEVEFPRPENPAMTFDEVSMERSKNFVKALQELKNLRPQLYSAAEYCEKSYLQSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQQTLEVSTMELKASCLNQQLLMCQTYTDKEGLRQQQLLAFIPRHHKHYILPNSVNKKVHFSPHIQTDPRQNYFQAKSRLQPSGTPASKTLSWHLASETKSTLKGTSQPLASNEISKPSGSSSEVFQLLENGDNTKANSSAAPFPASNAFVPTLGITHRELEGSKPLTAFRSFDNPKHEIIRAPVRSRSMLSAFFAKQKAPKLKAGYVA >EOX93783 pep chromosome:Theobroma_cacao_20110822:1:15799976:15800540:1 gene:TCM_002709 transcript:EOX93783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MSCFWMHGVLALCGMNDAETRPVLLCSCNDNTVRLYDMPSFTERGRLFSKQEVRVIQRGPFPLSFTGGGSGSLTVWK >EOX93094 pep chromosome:Theobroma_cacao_20110822:1:10387129:10389258:-1 gene:TCM_001938 transcript:EOX93094 gene_biotype:protein_coding transcript_biotype:protein_coding description:T28P6.8 protein, putative MLNCKFVNTPMECGAKLSKVKKNEEKIDSTMFKSLVGSLRYLTCAKPDILFAVGIVSCIMENPTKLHMMAAKRILRYNKGTLDYGIFYSSSNDFKLMGYCGSDFAGDLDDRKSTTDFVFFYGNNAISWCSKKQPIVFYLDSSFELV >EOX94694 pep chromosome:Theobroma_cacao_20110822:1:30928385:30933529:-1 gene:TCM_004306 transcript:EOX94694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEYNDDASDNNEKESTDEMEEEMEFLWSETKNGTFSLIISNRKHKELVKWWQCSVIVQMLGRPISYQSLCNKIVSLWAPKGCYLIVDLDDEAHLVRRWSSNYVKGVKDLSTVATCMRFPRMLLHLYHKSVLRQIGYKLGRLLKIDYNIDQEKQGKFALIAMQLDLTKPLIPCFYINGQLQLVEGERLPKVCFTCGIYGYVQEDCGDTGPETHVDVDEQMVSKEKSNTDIVKNKALISNVVAGSSNGNNIMEVENTIILTTTSNAHQGDMGTDPLRSVQRSGVFGSIFLTKKRILARLRGTQSNFIRGRQIFGNVIVTQEVMHSFQKKQCKRGWMMRKIDLEKAHDSRFGLVCGSFISLDGNHQRCLGRFLCLFRRNLRWALGDDLTVNFGKDTWIGDEPLMSFSRGQICKALENDSVRDYLSENEDWDQGKLLSCLPIYVVQRIMQSLPPSLESRGDEPYWAISSDLEVEKPLHFRKEDHTLPVERKLTMIKSMVEAISTALSLPKNVCYSENRNEEILIGWQPPPTGG >EOX93565 pep chromosome:Theobroma_cacao_20110822:1:13993876:13996875:1 gene:TCM_002454 transcript:EOX93565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGRSGLSRTGSFRPENLGQNALHMIGNLCFTLFVVGVLVFTIIAATYQPEDPLFHPSTKITTFLTSTSNATFQSDNTVVRTGEDFMAANQTAFATFINVTDVVEIKEATTDGTSSSGCEGDSKGPLNCKDPEVFHLMMKVAIEQFKDIHFYRFGKPAPGPEENTCDMAWRFRPKEGKTAAFYKDYRRFVINRSENCTLSVVSIGDYHSGVNARKKKNKNQKPGFEKTSGRQEQGAVSLPVVGETVNDSLPVVESENAFRHGKYLIYVGGGDRCKSMNHYLWSFLCALGEAQYLNRTLVMDLTLCLSSIYTSSNQDEEGKDFRFYFDFEHLKEAASVLDQEQFWQDWNKWQKKDGLSLHLVEDFRVTPMKLSEVKDSLIMRKFGSVEPDNYWYRVCEGETESIVQRPWHLVWKSRRLMDIVSAIASRLNWDYDSVHIVRGEKVRNRDLWPNLAQDTSPDALISTLQNKIEDGRNVYIATNEPDTSFFEPLKDKYSTHFLNDYKDLWDENSEWYSETAKLNNGVPVEFDGYMRVSVDTEVFLRGKKQIETFNDLTNDCKDGVNTCNRAAS >EOX94888 pep chromosome:Theobroma_cacao_20110822:1:31892704:31897088:1 gene:TCM_004492 transcript:EOX94888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MKRVFKISEAAAQSELLLNLHRHPSKPKTASPLLFTFPNFSLFRFYGDLGARTNQPPLPTAIPSNIISLFIEKLSQAEPQPKAREDLFRKVILFRDELVKSVDCLEEVNKALEEKGDWLLGSCKHYPALRTKNFHDSAFLELLKKLYSSGNLALEVFNWRRKKAEQGYPMTEEEYASGIVIAGRIRNVDLAVELFAEAANKQLKSTSTYNALMSAYMYSGLAEKCQLVFRDFKREPDCSPSIVTYNILISVFGRLMLIDHMEATFQEIKNLDLSPNLNTYNNLIAAYLTAWMWDSMERTFHMMKAGPVKPDIKTHMLMLRGYAHSGKLEQMERTYQMIKHHVDDKEIPLIRAMICAYCKSSVKGRTKRIKELLRLIPENEYRPWLNLLMIRLYAQENCLESMDNSINEAFEHKTSVLTLRLMRCIIAAYFRYNAVDKLANFVKRAECAGWRICRSLYHCKMVMYGSQKRLEEMENVLNEMDNFNMDYTKKTFWILYKAYSMCGKRHKVEQVVGLMCKRGYGIPLDTYAS >EOX96505 pep chromosome:Theobroma_cacao_20110822:1:38042480:38045688:-1 gene:TCM_005740 transcript:EOX96505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor serine/threonine kinase MPVLNLLIIALFFLPICNSRKQSSCSSLCGNLSIQYPFRLRNDPANCGHFLYELACEHERPVLTIDYGRFYVESISYDNKTLHAVDPGLKTNDCSSLPRYAWTANNLSSVSEYYYDPYYYYLPHWLSLETEDTMIYFNCSTALNSPEYLDASPCIANSSLPQAHHLYVFLGTLQAASLSNSCDYFLITPVVIQTTGNLTYADIHKKLTIGYELSWSYYFCSICESNGGYCPFSDGIGDSKRICCRGPLTSVNCFVHYLWIAIQGYFILIRAAVGILCMSLYLIYKWWKRASIDESVEQFLRNYENLKLRKFSYSDIKRMTGGFNEQLGQGGFGSVFKGKLSNGCLVAVKMLAEAKGDGRDFINELRTIGMIRHVNVVQLLGFCFEGSKKVLIYEYMPNGSLDKYLFSRDDVCTLSWSRMYEIALAIAHGIEYLHRGCHMRILHLDIKPHNILLNEDFTPKISDFGLAKLYPRNDSVVSLTNARGTMGYMAPELLYKNIGGISSKSDVYSFGMLLMEMAGRRKNLNPFVENLSQIYFPSWIYDQLEQKGEVEIKDATAEEKDIGNRMIMIALWCIQLKPADRPSMTKVVEMLENTSEPLQMPPKPALAPERKRS >EOX91860 pep chromosome:Theobroma_cacao_20110822:1:4175635:4178178:-1 gene:TCM_000921 transcript:EOX91860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 FIGCFLFLGLQASSIANAQVEEQVEVEVSEGYTVTQICDKIIDVFLNEKPRVKEWKRYLILREEWNKYRETFYNQCRTRADKEIDPTMKQKFISLESKVKKIDDEMERHSELLKEIQDSPTDINAIITRRRKDFTDEFFRYLALVSETYDGLEDRDGVARLAARCLSAVGAYDKTLEAVENLDAAQAKFDDILNSPSVDVACEKIKSLAKAKELDSSLILLINSAWASAKESTTMKNEVKDIMYRLYKATKSSLKSIAPKEVKLLKHLLNITDPEERFSALATAFSPGDEHEAKDPNALYTSTPKELNKWIKILLDAYFLNKEETDIKEAKNMTQPMVIQRLFILKETIEEEYLDQRTTATEHSEDKTELEEF >EOX91859 pep chromosome:Theobroma_cacao_20110822:1:4175635:4178217:-1 gene:TCM_000921 transcript:EOX91859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 ITSLSALYSAQFLFIGCFLFLGLQASSIANAQVEEQVEVEVSEGYTVTQICDKIIDVFLNEKPRVKEWKRYLILREEWNKYRETFYNQCRTRADKEIDPTMKQKFISLESKVKKIDDEMERHSELLKEIQDSPTDINAIITRRRKDFTDEFFRYLALVSETYDGLEDRDGVARLAARCLSAVGAYDKTLEAVENLDAAQAKFDDILNSPSVDVACEKIKSLAKAKELDSSLILLINSAWASAKESTTMKNEVKDIMYRLYKATKSSLKSIAPKEVKLLKHLLNITDPEERFSALATAFSPGDEHEAKDPNALYTTPKELNKWIKILLDAYFLNKEETDIKEAKNMTQPMVIQRLFILKETIEEEYLDQRTTATEHSEDKTELEEF >EOX91858 pep chromosome:Theobroma_cacao_20110822:1:4174988:4179493:-1 gene:TCM_000921 transcript:EOX91858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGELKGGKIMRKGLLQLVGQIEEIWFAIWISKGPKKWASHKKGGSKLQEKIVSPIKTRLQDQIKNICLFGDIYFIHTLEVQPWKEPLLPSFFFSAPCQSSKEAAMSSLLGLEISIPTNNNTLLFSFNYKLPPTTFKIPLLSSPSHLPCKLEPRKRKRKQSHSFPPSKNLLFNPSLQASSIANAQVEEQVEVEVSEGYTVTQICDKIIDVFLNEKPRVKEWKRYLILREEWNKYRETFYNQCRTRADKEIDPTMKQKFISLESKVKKIDDEMERHSELLKEIQDSPTDINAIITRRRKDFTDEFFRYLALVSETYDGLEDRDGVARLAARCLSAVGAYDKTLEAVENLDAAQAKFDDILNSPSVDVACEKIKSLAKAKELDSSLILLINSAWASAKESTTMKNEVKDIMYRLYKATKSSLKSIAPKEVKLLKHLLNITDPEERFSALATAFSPGDEHEAKDPNALYTTPKELNKWIKILLDAYFLNKEETDIKEAKNMTQPMVIQRLFILKETIEEEYLDQRTTATEHSEDKTELEEF >EOX93879 pep chromosome:Theobroma_cacao_20110822:1:17100498:17104998:1 gene:TCM_002875 transcript:EOX93879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPFQLCKLKFNLANGLKDKNKKRFGSKIMNWSCPQEKSSLIFNKNANAIHTWLLFQVVFNEPNVSYNSFSALAINHGKLSCFIIALVNGSVNWATPLRIRLATAPLHTVSWPSRQRTIGLFSLLIFFSLPQSSRSKPGDEEKDSKDSQSTWIPGMAFA >EOX96699 pep chromosome:Theobroma_cacao_20110822:1:38698212:38704562:-1 gene:TCM_005890 transcript:EOX96699 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP/ATP carrier 2 MFPLPRLCPEIFCTSGGHPSYKQSTKGATAINACGLRSTQIQRTADRNESLLKHVGPLGHIGPIKRQEGPSLFSKPRRSIQNLSLPFSRLPFDADRKAETSNNLSQSPIRFFSREVRMDQVQHPTVMQKVAGQLLRSSLSQDFQGYDGSLRRPALHQRCVAYGNYSNAALQYPIVRACGATSDLSMVPSTATAVCVQAPAEKGFAGFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLAEPYKGIGDCFKRTIQDEGVVSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVLGKKYGSGGA >EOX96059 pep chromosome:Theobroma_cacao_20110822:1:36456798:36458876:1 gene:TCM_005403 transcript:EOX96059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA thioesterase, putative MGKLSSSVVPASQGPQTFSSSSAAASTTKSQDGSPPYASKVLRFFEIVGISDPNVDNYRSKDFYSNLLRDHLKTDTVQRGHVTCFATVKPAVANYFGGLHGGAVAAIAERVAIATVRTVVGVEKDIFLGELGISYLSAAPTNAELIVDGSIVRSGRNVTVVAVEFKMRGTGKLVYTCRATFYISPIAKL >EOX96739 pep chromosome:Theobroma_cacao_20110822:1:38821003:38824327:1 gene:TCM_005925 transcript:EOX96739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MSVLYSSNFKFPDGELRKKQEFTDSSYYLQQNHQHNAGLMRYRSAPGSFLENLVNGGVTASAIGFEDYRDVRSSSPEMETFFMLSCNGSGNSSCHDMQEYGEKSVKQEESLPISQQNGCSNSSEMMYQSLPVHSLANDNTVSVANSMESSFGLSSSLGLENSMQAKPGNENGSNLVRQSSSPAEFFSNLGVDNGFNVIGKASTFRACNGTNDETTSNGSGRLYNNHISYSSGPSSCSRHMPRIPEVESESMGVSGPETGSLGNGNGSNGHFMSNLGTDSWNNASLSGLKRARESDGDLFRNLSRSQTQDYRDRSTALTHHLSLPRTCAEMAVVEKLWQFQGSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQGLFPNMEKQTNTADMLDMAVEYIKDLQKQVKTLTDTKAKCSCSSKQKHYSNLST >EOX96651 pep chromosome:Theobroma_cacao_20110822:1:38537991:38541752:-1 gene:TCM_005854 transcript:EOX96651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation proton exchanger, putative MEATEQLMCRPVDAFNPLITTSFQVSCILVISHIFHLLLKPIGQPGPVAQLLAGVVVGPSFLSRIDRVEEFFIQASSAKYYQFFSFICRMLFMFSIGLEADMPYLKRNFRVVSIIAGGGSILACIFGGPLFWLLTKVFRVTTERFSFYLLILTVLANSASPIVFRLVAETKSDTADLGRLAIYSSLVNEMSCVVVVCTLKAFTSFSKFGGAILIALFTLVLIIVNKYLSYFFNRRNRNNRFVTNSEVFVIIFLLACLSLFVEWIGYTAITCCFLVGLMFPREGKTARTLLHKLTYAVNTFILPVYFGYTGFQFDLSTLNNKLVIALTVLMILVGAGTRIAGTFAACHYLKIPWNEALILAFLLNLKGNYDLILISTPPQPKMVWATDIHNFLLTVVVLDTLIIGPGVAILLNREESCAQYPTTLEILNPESELRMLACVYVPRHVSGHVSLISALGGSPNAPIKPYLVHLVELPKKRKSKLMYHQLEDGDQFSDEEEYGGNDVLEINDAVDSFTVETKILTHQSKLVSSFLTINEDLCNGAEDLRVSIIFLPFHKHQRIDGIMENSMEEIRTINQKVIRHAPCSVGIFVDRGQTGFQQPHGSPSMQNIVTLFFGGPDDREALACSKRISMHNQVSLTVIRFIPTSSRHSSWINDASHKDEEVIMAISNVGTENEIDNAFVETFYNRYVAQGKAGFVEKYVSDGVETVAALREIADMYSLFIVGKGGRGNSPLTTGMSDWEECPELGLVGDLLASSEMNIGGSILVIQRHRHSEPERGGALLDD >EOX93722 pep chromosome:Theobroma_cacao_20110822:1:15457737:15462473:1 gene:TCM_002653 transcript:EOX93722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein isoform 2 MFIWVTRMMKPEPNSSPETTLATNCLGDPRFYPTVEIHHFILRLVFLSASDQRIIASQIIFGCLSETLDSMDLDKEVGTVDSSEEKTAEPEGREILEPYVGMEFESEDDARKFYVEYARQVGFVVRIMQRRRSGIDGRTLARRLGCNKQGFSPNHKGTFGPDKKPRPSAREGCKATILVKMEKTGKWVVTRFVKEHNHPLIATANGFSTTGDKDKKIEELSMELAHQEQLCSAYREKLFTFMNNVEEQTEELSSKIQVIVDNVRKLESETQRFSHRR >EOX93723 pep chromosome:Theobroma_cacao_20110822:1:15458031:15462236:1 gene:TCM_002653 transcript:EOX93723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein isoform 2 MYRSTKLMHVDLDKEVGTVDSSEEKTAEPEGREILEPYVGMEFESEDDARKFYVEYARQVGFVVRIMQRRRSGIDGRTLARRLGCNKQGFSPNHKGTFGPDKKPRPSAREGCKATILVKMEKTGKWVVTRFVKEHNHPLIATANGFSTTGDKDKKIEELSMELAHQEQLCSAYREKLFTFMNNVEEQTEELSSKIQVIVDNVRKLESETQRFSHRR >EOX91188 pep chromosome:Theobroma_cacao_20110822:1:1882326:1883964:1 gene:TCM_000457 transcript:EOX91188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSSSQGELVPTTSQVILLSQLIHGLMKTMDNLGGFVAAGSRDCAFWAIVIIAYFSGSIMILRCEGWITDLHAHL >EOX95703 pep chromosome:Theobroma_cacao_20110822:1:35286103:35289130:-1 gene:TCM_005146 transcript:EOX95703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein MGSVTINPTLNTFCFNPQRYKTGPFNYYSPHFSFIKVKASPVSFAFKTPSNPINPTPNSQNRCFSVNPLLVLVPVLKSIKGLAFSHTQKWASCLHAYTAESDKILDQFSGNYLQSGGFGVALLSVTASAKVRISPFVATLAANPTFVSGLLAWFIAQSMKVFLNFFVERKWDFRILFASGGMPSSHSALCTALTTSVAICHGIADSLFPVCLGFSLIVMYDAIGVRRHAGMQAAVLNMIVEDLFQGHPISQRKLKELLGHTPSQVFAGAMLGIVVACICCQGCLVAT >EOX96428 pep chromosome:Theobroma_cacao_20110822:1:37777745:37780349:-1 gene:TCM_005678 transcript:EOX96428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNTDQEIAPLIENNHQPQDLEIDIPEEHFELAPECCIYKVPSRFREANQKAFTPQLISIGPIHHGNTNLARMERQKQRYYKKICQRTSKKTLEEFASFIKAHVSDICRCYDVEFVFDTELEVSKYVKMILFEAVFIIELFLRNSEKEVNDFLFDKVWLRVELEMDLLLFEYQLPFFLLESLYKLAFATSDKPSFPRLACLYFNANEDNLFNKKRIKHFMDLTRSILVRACPSNLIERIDNMFSAMMLRKVGVKFEAIRDNFDVREPALEWLSQKNTTKFRYDKCGAAYAFTLGLCGQNLGRTHAFTQPGLASPTDDYDSRAHQCMGPTRDGDWTRIQSHRPDN >EOX93956 pep chromosome:Theobroma_cacao_20110822:1:17788594:17807150:1 gene:TCM_002973 transcript:EOX93956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCVSKTKKMKNAPEQSFDDRCRGSASTLLVFFCPFPSHALFTLRVSLDVSNHSPSFQLPLLSTYPQV >EOX94481 pep chromosome:Theobroma_cacao_20110822:1:29007547:29014806:-1 gene:TCM_004075 transcript:EOX94481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 9 MMFRVQKAANALGIRWRWSGETSLATGLLGDVPPEIELSDYGRVPPSPGSESPSGLLNGESLNVEPIADLDLFFERLYSYYCEKGLWCIIIKWIVELLSLGFTICFSGFFLLFVDWNGLRNAKCGMDAFESGIKPCDLAKEALHQRPLTPLTLSKAIIVGYLGLFSFYWIFCFLRFFAQLKDTLGIRHFYFNSLHVTDNEIQTMPWATILERVVQLQSAQQLCVVKDLSAHDVVMRLMRKENYLIGMLNKGVLAFPISTWFPGAGPTVKFGPGGTRHRLILTKTLEWTLNWCILQSMFDRNFCVRRDFVSNPRTLKKRLMVVGLAMLLLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSKWMFREFNEVDHLFKHRINSSVLHASEYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAVTDELLVLDPEGAMSMVVQHTHFMPKRWRGKENTEIVRIEFETLFQYTGMMLLEEMASIFLTPFLLLFVVPKRVDDILQFIADFTVDVEGVGHVCSFSAFDFQNHGNGNYGSPHNASRSQRSSQGKMEKSFLSFQSCYPSWEPDAQGKQFLSNIRTFREQKLQGQGARHAYSPGRLWRGSPMRTYGDRNGLLSREMQQNIPATGYNLGSLWLIDADQKNHPYLLDWYYTSRPHHVTSYRRDTAMRPFEPTERQHGDFWVPSNMTHNEARDEEYWPHHYDDRTRSHLEASTSPHFFHDSVLQHHDTNDLAHHTRSHWWARSGSHGAQPQASFLEPPDFNHYSTDRHYDNFSERSVEEQEQFLDWRDSRRLSRTTYQDDLEAGGDVNLHFDDIYSRPPETPTVNLRPLSFH >EOX90873 pep chromosome:Theobroma_cacao_20110822:1:886671:890478:1 gene:TCM_000221 transcript:EOX90873 gene_biotype:protein_coding transcript_biotype:protein_coding description:EG MGGKEAEASKPRGLFWWLLVLIIGALVVTTAVLTILRDFHYFKKNRPPSHPISKKYADALEIALQFFDIQKSGKLVDNRISWRGDSGLQDGSEGNLDLSKGMYDAGDTMKFGFPMAFTATVLSWAILEYGDQMNAVKQLGYAQNSLRWITDYLINVHPSENVLYIQVGDPEVDHKCWERPETMSERRPLIQVNTSFPGTEVAAETAAAMASASLVFKKIDSDYSNSLLTHARQLFTFADTFRGSYSISFPQVQKFYNSTGYGDELLWAASWLYHATQEESYLRYVTELNGKNFANWESPTWFSWDDKLAGTQVLLSRINFFGVKEMSTVENLCLQMYRKTAEAIMCGLLPDSPTATSRRTDGGLIWITEWNSLQHPMASAFLAIIYSDYMLTSQTETLYCSGNSYKPEDLRKFAMSQADYVLGTNPMKISYLVGYGSSYPQYVHHRGASIPVDADTGCKDGFRWLNSDDPNPNIAFGALVGGPSLNDSYLDSRKNVKQGEPSTYNTALIVGLLSGLLTASSVAKSYP >EOX91958 pep chromosome:Theobroma_cacao_20110822:1:4644651:4646138:1 gene:TCM_000996 transcript:EOX91958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKNKKMVVYSDNAIEDDCGDYHQQNYQSQQIISLQTMNSTRSCSQGRVRILLAYGIPTISWVKTKHSRKEKRKNYMIIPSNLTKSPVLFQVPVLTDWLSWSGAFNQCKIFVS >EOX92852 pep chromosome:Theobroma_cacao_20110822:1:8983183:8988687:-1 gene:TCM_001713 transcript:EOX92852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrimidin 4 MELTLTRPDDWHLHLRDGDLLQAVAPHSASHFGRAIVMPNLKPPITTTTAAITYRESILKAVPADSNFTPLMTLYLTDTTSPDEIKRARRSGVVFAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVEQNMPLLVHGEVTDHNVDVFDREKVFVDTVLQPLIQRLPRLKVVMEHITTVDAVRFVESGEEGFLAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSKRFFLGTDSAPHERRRKECPCGCAGIYNAPVALSLYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNASKIKLIKTSWKVPESFLFSFGDIIPMSAGETLEWQSCFS >EOX92036 pep chromosome:Theobroma_cacao_20110822:1:5007059:5009583:-1 gene:TCM_001056 transcript:EOX92036 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase A4A MASGGGYGDPSQKIDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIEHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQTFDHIPRWLEELRGHADKNIVIILIGNKSDLENQRAVPTEDAKEFAQKEGLFFLETSALEATNVETAFLTVLTEIFNIVNRKNLVAGENQGNGNPTSLAGKKILIPGPAQEIPAKSNMCCRS >EOX94004 pep chromosome:Theobroma_cacao_20110822:1:18349411:18350078:1 gene:TCM_003034 transcript:EOX94004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQDAGRQQVLSLPGVVFQGRSIVHLLLFSSPPIHVSMMLGTKIRGLESAMEKSTKAKPRKEGKGESTSTV >EOX94181 pep chromosome:Theobroma_cacao_20110822:1:24639614:24640682:-1 gene:TCM_003584 transcript:EOX94181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKLMLSLARFRLAFRVMSAYRDVAAVVTGPMGVSGRDIRTNFWVTGKGESSRRRKVRVIWTDLDLADGEKRKGASSRRLRQVKEEKDNSFLRREKDLRD >EOX92762 pep chromosome:Theobroma_cacao_20110822:1:8510697:8514517:-1 gene:TCM_001646 transcript:EOX92762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smr (Small MutS Related) domain-containing protein, putative isoform 1 MNVTAGSPFKKMSSTRGKGESSGWSAFDLKQRQKQGLVPETEDDPFPPMPNSLPAICPCINLAKSNDLSARSFSSVLKPSDNFPTSKQNKDYTKPINMGKPIENDGDKVVEQNNNNLALKKLKELHCWAENSLIEDLLLAADGDVHEASALLKGMMSISGTEDIKETKNNEMSSAISDFPGNAYCDREISTGKTAKLVCQSSKADEREDNLDKLTDMHENKLFDGASNMKLILGQLTSIPFEPEWEEDDVYLSHRKDAIRMMRSASQHSRAASNAFLRGDHVAAQQHSQNAREEWLAAQRLNAKAASEILRIRNSDNDLWKLDLHGLHAAEAVQALHEHLRRLETQVPAGRSVSPNRFKANNRIVHSSSVETFSSMDKLDKQQTSSRQRPTSLQVITGVGNHSRGQAALPAAVRSFLIENGYRFDEARPGLITVRPKFRRR >EOX92764 pep chromosome:Theobroma_cacao_20110822:1:8510667:8513887:-1 gene:TCM_001646 transcript:EOX92764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smr (Small MutS Related) domain-containing protein, putative isoform 1 MPNSLPAICPCINLAKSNDLSARSFSSVLKPSDNFPTSKQNKDYTKPINMGKPIENDGDKVVEQNNNNLALKKLKELHCWAENSLIEDLLLAADGDVHEASALLKGMMSISGTEDIKETKNNEMSSAISDFPGNAYCDREISTGKTAKLVCQSSKADEREDNLDKLTDMHENKLFDGASNMKLILGQLTSIPFEPEWEEDDVYLSHRKDAIRMMRSASQHSRAASNAFLRGDHVAAQQHSQNAREEWLAAQRLNAKAASEILRIRNSDNDLWKLDLHGLHAAEAVQALHEHLRRLETQV >EOX92763 pep chromosome:Theobroma_cacao_20110822:1:8510678:8514975:-1 gene:TCM_001646 transcript:EOX92763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smr (Small MutS Related) domain-containing protein, putative isoform 1 MNVTAGSPFKKMSSTRGKGESSGWSAFDLKQRQKQGLVPETEDDPFPPMPNSLPAICPCINLAKSNDLSARSFSSVLKPSDNFPTSKQNKDYTKPINMGKPIENDGDKVVEQNNNNLALKKLKELHCWAENSLIEDLLLAADGDVHEASALLKGMMSISGTEDIKETKNNEMSSAISDFPGNAYCDREISTGKTAKLVCQSSKADEREDNLDKLTDMHENKLFDGASNMKLILGQLTSIPFEPEWEEDDVYLSHRKDAIRMMRSASQHSRAASNAFLRGDHVAAQQHSQNAREEWLAAQRLNAKAASEILRIRNSDNDLWKLDLHGLHAAEAVQALHEHLRRLETQVPAGRSVSPNRFKANNRIVHSSSVETFSSMDKLDKQQTSSRQRPTSLQVITGVGNHSRGQAALPAAVRSFLIENGYRFDEARPGLITVRPKFRRR >EOX92022 pep chromosome:Theobroma_cacao_20110822:1:4962694:4964555:1 gene:TCM_001045 transcript:EOX92022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cak assembly factor, putative MVVASSNPHNREMQIRRRINSIFNKREDDFPSLREYNNYLEEVEDMIFNLIEGIDVQAIEEKISKYQVENAEQIMINQARKAEDLAAAMAASKGLPIQADADGALSQSSQAGFVAGAQGQYAPTVPGGQPRPTGMAPQPVPLAGGLDMHGYALDDEEMMKLRAERGGRAGGWSIELSKRRALEEAFASIWI >EOX96522 pep chromosome:Theobroma_cacao_20110822:1:38115258:38117112:-1 gene:TCM_005756 transcript:EOX96522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MALRSKGRSWFKYFQYDEGRDSPSDVRNILLIVATLIAAVTFQAGVNPPGGVWQDNGNGHYAGRAIYASQTVPFYVFLISNTLALSASVLVIISLTYRFPFHLEVIVATISMIVTYASAVFAVTPREFLKFRYVMAAAAVPFAIRRLSTGKSWNKHFQYDEGKDKPSDVRNVMLVVATLIAAVTFQAGVSPPGGVWQNLQDYFFSPADQ >EOX96755 pep chromosome:Theobroma_cacao_20110822:1:38876409:38879970:-1 gene:TCM_005936 transcript:EOX96755 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein MAKSKNCNAKKQSYISVPSQIINSLSSSSLQSLLVSPKKKNSNRFFFGYNSCRSPRVWLFALFLFGLVGMLRLGWNVDTLIPFSPYPCLQSQSKPHQSFASNIDQVGKKDDFLIANNSGQPSHHSHSESEFWKQPDGMGYRPCLAFSAEYRRAGEAIVKNRSKYLLVVVSGGINQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFSDIFDFAHFKRVLANDVRILSSLPSTHIMTRPVEEKRTPLHVSPQWIRARYLKRINREGVLLLRGLDSRLSKDLPPDLQKLRCKVAFQALRFAPPILELGNKLAQRMQSKGPYLALHLRMEKDVWVRTGCLPGLSKEYDEMIHNERRRRPELLTARSNMTYHERKLAGLCPLNAFEVTRLLKALGAPRSAKIYWAGGQPLGGKEALSPLTREFPHFYNKEDLAFPGELEPFANKASFMAAIDYIVSQKSDVFMPSHGGNMGHAIQGQRAYAGHKKYITPNKRHMLPYFLNSSLPEAEFSRIIKELHHESLGQPELRASKAGRDVTKYPVPECMCNNVHTR >EOX92195 pep chromosome:Theobroma_cacao_20110822:1:5685069:5689196:1 gene:TCM_001183 transcript:EOX92195 gene_biotype:protein_coding transcript_biotype:protein_coding description:FK506-binding protein 16-2 isoform 3 MATSALTIATAKPLIHHSSNFKSLLSPSFSCSCSSSLPPPNEVKLSFKSKPAAPKNCVFNVGVGLLAASVLAFSPLDADATRVEYYATVGEPQCELKYASSGLGYCDVIVGSGEEAPRGELVNVIKGLDQGIAGGEGVPPMFVGGKRKLWIPPNLAYGPEPAGCFSGDCNIPANATLLYDINFVGIYSGNAK >EOX92196 pep chromosome:Theobroma_cacao_20110822:1:5685069:5687880:1 gene:TCM_001183 transcript:EOX92196 gene_biotype:protein_coding transcript_biotype:protein_coding description:FK506-binding protein 16-2 isoform 3 MATSALTIATAKPLIHHSSNFKSLLSPSFSCSCSSSLPPPNEVKLSFKSKPAAPKNCVFNVGVGLLAASVLAFSPLDADATRVEYYATVGEPQCELKYASSGLGYCDVIVGSGEEAPRGELVNIHYTARFADGTVFDSSYKRARPLTMRIGVRKQDLGYYWTQPLANFP >EOX92193 pep chromosome:Theobroma_cacao_20110822:1:5685066:5689500:1 gene:TCM_001183 transcript:EOX92193 gene_biotype:protein_coding transcript_biotype:protein_coding description:FK506-binding protein 16-2 isoform 3 MATSALTIATAKPLIHHSSNFKSLLSPSFSCSCSSSLPPPNEVKLSFKSKPAAPKNCVFNVGVGLLAASVLAFSPLDADATRVEYYATVGEPQCELKYASSGLGYCDVIVGSGEEAPRGELVNIHYTARFADGTVFDSSYKRARPLTMRIGVRKVIKGLDQGIAGGEGVPPMFVGGKRKLWIPPNLAYGPEPAGCFSGDCNIPANATLLYDINFVGIYSGNAK >EOX92194 pep chromosome:Theobroma_cacao_20110822:1:5685066:5689620:1 gene:TCM_001183 transcript:EOX92194 gene_biotype:protein_coding transcript_biotype:protein_coding description:FK506-binding protein 16-2 isoform 3 MATSALTIATAKPLIHHSSNFKSLLSPSFSCSCSSSLPPPNEVKLSFKSKPAAPKNCVFNVGVGLLAASVLAFSPLDADATRVEYYATVGEPQCELKYASSGLGYCDVIVGSGEEAPRGELVNIHYTARFADGTVFDSSYKRARPLTMRIGVRKVIKGLDQGIAGGEGVPPMFVGGKRKLWIPPNLAYGPEPAGCFSGDCNIPANATLLYDINFVGIYSGNAK >EOX95023 pep chromosome:Theobroma_cacao_20110822:1:32568036:32571784:-1 gene:TCM_004612 transcript:EOX95023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.9, putative MDGKKLEYKGEDALKEIEKLTAEAAEVQDGILKEIIKQNVETEYLNKYMKGSKDVSEFKRCVPVITYKNIYPYIQRIANGEGSSLITGQPITEMLCSSGTSAGEPKLMPSIAEDLDRRTFLYNLIMPIMNQYICGLDEGKAMYLYFVKAEMSTPCGLPFRTVLTSYYKSKHFKCRTRDPFNDFTSPDQAILCNDSSQSMYCQLLAGLVHRHQVMRLGAVFASALLRAISFLERKWVQLCNDIRTGQLDLAIVDPACRSSMTTILTSPNPHLADEIEGICSCPSWKGILCHLWPRAKYIEAVVTGSMAQYIPALEFYSAGKLPLVCTMYASSECYFGINLKPLCDPAEVAFTLLPNMGYFEFLPLGENGTLSLDVGEEEEVPNDRLVDLVNVKVGFYYELVVTTFSGLCRYRIGDVLHVTGFHNQAPQFRFICRRNVVLSVDNDKTNEVDLHRSVTIAKRLLEPYNALLVEYTSYADTSSVPGHYVLFWEIQMVDSSTSIDAKVLEECCITVEEELDFVYRQCRSREKTVGPLEIRVVTPGTFEALMDFFISQGGSINQYKTPRCIKSHTALKLLNSHVLASLCSPRDPKWIPLNG >EOX92635 pep chromosome:Theobroma_cacao_20110822:1:7848079:7852414:1 gene:TCM_001551 transcript:EOX92635 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MLPETCGSSLFLALPDDVFAMISRSLLPRDICNLSVCCKSLCALVASEKIWLSQCDEVGIVPTRDLVEWREGASSYKALCRFLVSVKPLIGIWVHQNPELGNVVYVMPGFVSVVGCRIIPQELGPLGIDDGPILWAPVFEIIGDFDGSTTFFLHGREKGCDFFYPGSVKYVERNCNVLLLEVEPRETRNGCKLFPSQSIVHHSNEEISRKVSRSNSDLSRSQRVLGQSGTMVPFSRLAFVDRRKLLETVTSQVRQKVPYSVSGPLFPRLRDDVEKFQNDLVVLSQRRLLLLQMYRSGCDHDCTDLKPSTEMPADPTQLELNDIRKSLDRSSSCSNSLNGDDGQMRGNNRKSIGGYFRASIKQILRKSSSVNGGRAISKCNSSSSENRHAQLHEFLKSGDTIGLTLHASTVRLSSYRAWPNMHDTRFALYKLPMRVPREDQEYAGLWGGTFGWPPGRPTEDKPGKALFFLLLSYEETEGKRLLIATKILEGTHYVLHPNGSAMFIVNVDEPSLDPFPWDNDADSHPVNVKHAFMGEGIANGYGFRYPGSKPGTLFIIQNGLLAFIWKESRPVLTLQRLNLQELLKKGERVPALPPIANFSYLTKSYSNVFAGFSNTSTVHRQ >EOX92921 pep chromosome:Theobroma_cacao_20110822:1:9433276:9435910:-1 gene:TCM_001784 transcript:EOX92921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Winged-helix DNA-binding transcription factor family protein, putative MDGSQGSSNAPPPFLTKTYEMVDDPMTNSLVGWSATGYSFIVWNPPDFSRDLLPRYFKHNNFSSFVRQLNTYGFRKIDPDQWEFANEEFIRGEKHLLKNIHRRKPIHSHSLVQQGNSGLPLTEIEKKEFEGEIKRLSQDKSRLQLQLQRHQKENQEFQFQIRLLSERFKNMEDRQRQVMVFVAQLIHKPHFVSMFNQKSEFHNKKRKLLNFNHFIDGYNTVEHHSLTSQDANLGAPSAPVLNLEQIEKLDSSIKRWETLFHGIGETMDEKVYDFGISSRPSPIDVTELQTSSGDYDIDGELCSPSSHPCSPHSTDINSSPELAVSVYKADSPPIPSFDHIANLNPKSFGIGVTSKHATPPETEALKEQVKATADHSVPGKVNDVFWEQFLTEAPNSLDAQEIQSDPGTTSGRISGSKPLDDQNYWWKTNRVHYLAEHMEHLSPAERM >EOX92586 pep chromosome:Theobroma_cacao_20110822:1:7629234:7631335:1 gene:TCM_001521 transcript:EOX92586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLHHRREVAKNQISSFNPAYQMHSNRDGTGDIEFDFWSARKTWYYDTLSSVDGCEIQFQVQACVKERFGDCSLPLRRANKVTDASPLLPNNYFYSNLSPATRTQAIEEGRKELMEMIRNMPESSYELSLKDMVDEQHASEEVKGKAISEDENFCSETEAQTKKQQKKKRKKRKAGSISRSGSMEVDSFLIKMFFPSSLSFKKKSKAENSSKVSPSPSSEGSGKPDEKQRWIKRIFTRRNHKNRDDKSSSTSIDSSSSTSIDSSNSTTSSRKLAMQENNPIN >EOX92587 pep chromosome:Theobroma_cacao_20110822:1:7629185:7630431:1 gene:TCM_001521 transcript:EOX92587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLHHRREVAKNQISSFNPAYQMHSNRDGTGDIEFDFWSARKTWYYDTLSSVDGCEIQFQVQACVKERFGDCSLPLRRANKVTDASPLLPNNYFYSNLSPATRTQAIEEGRKELMEMIRNMPESSYELSLKDMVDEQHASEEVKGKAISEDENFCSETEAQTKKQQKKKRKKRKAGSISRSGSMEVDSFLIKMFFPSSLSFKKKSKAENSSKVSPSPSSEGSGKPDEKQRWIKRIFTRRNHKNRDDKSSSTSIDSSSSTSIDSSNSTTSRHANKTSL >EOX93739 pep chromosome:Theobroma_cacao_20110822:1:15487863:15499185:1 gene:TCM_002661 transcript:EOX93739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase, putative isoform 1 MAETTCCGLRTCCHRPHPENDIIEGTFEIDHSPRICLLPTLRSVAIQIYSADVFDPYTRSGLESKKAYLQKWKINVNPSTIKYKLNFEVVRGFGSPGAFVVENRCRHEFFLKSATFKYKSPAPDREEKAFFFHCASWVYPLDKTEVKRIFFANRLYLPEKTPPGLVALRKKELKQLRGRGLKDERQPWDRIYDYECYNDLGDPDNSPEYSRPILGGSKEYPYPRRLRTGRPPSQHDHLTESRPVGCLRTFVPPDERLSPKKQEQLRNNFVKALVRFLTPKSDQPPQSYQECPKIIQKILHFFVPKSAFSTADFSMIQSIVDFVLRKPKSPSHQDTQSVDPIDDIVAIFSDKQLKEMDERVKQKLKKLVPAEIFNQVVDATTKRDVLSSQPPSIMAEDQYDWLFDSEFGRQMLAGTNPVRIQSLEKFSPREGWLPENLDLIEKAKSEAEAYFVLDHDYLRPFLSVINAQGVCAYATRTILKTSGRGDILEPIAIQLRLPDSEMDMVLDPGALEWDLAKAHVAANDAAHHQLVSHWLHTHAIIEPFIIATRRQLSVMHPIHWLLDPHFKDTLHINALARGIFLNAGGILERTLFTGAFSMRLSSHFYKQWRFDEQALPNDLEKRGMAKNAEESVRDGNFSEIKEKPAEKEPENIGEEDLAKKTENVVGRYEGLAEIDENMVERDEGMAETHENVAEFDEGVAETDENVVERDEGVAETDENVVELDKNPATPDEKVTFPAGVKLLLEDYPYAKDGVEIWDAIETWVRAYCQIFYTSDHSVENDEEIRDWWKEITSVGHGDQKEGWYDLQTLKNLVRALTTLIWITSGMHAAVNFGQYAYAGWPPNRPMLLRKFIPKEGTKEYEDMQKDPEKFFVKMLPEKFEMEFVIAVMDLLSRHTSDEVYLGQRPPDNMLKEEKEVNKKFKEFRERLQEIEGNILERNKKYNLHNRWGYAKIPYKLLYPDTSKTRGPSEEEDICGRGIPNSISI >EOX93740 pep chromosome:Theobroma_cacao_20110822:1:15489618:15498007:1 gene:TCM_002661 transcript:EOX93740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase, putative isoform 1 MAETTCCGLRTCCHRPHPENDIIEGTFEIDHSPRICLLPTLRSVAIQIYSADVFDPYTRSGLESKKAYLQKWKINVNPSTIKYKLNFEVVRGFGSPGAFVVENRCRHEFFLKSATFKYKSPAPDREEKAFFFHCASWVYPLDKTEVKRIFFANRLYLPEKTPPGLVALRKKELKQLRGRGLKDERQPWDRIYDYECYNDLGDPDNSPEYSRPILGGSKEYPYPRRLRTGRPPSQHDHLTESRPVGCLRTFVPPDERLSPKKQEQLRNNFVKALVRFLTPKSDQPPQSYQECPKIIQKILHFFVPKSAFSTADFSMIQSIVDFVLRKPKSPSHQDTQSVDPIDDIVAIFSDKQLKEMDERVKQKLKKLVPAEIFNQVVDATTKRDVLSSQPPSIMAEDQYDWLFDSEFGRQMLAGTNPVRIQSLEKFSPREGWLPENLDLIEKGVCAYATRTILKTSGRGDILEPIAIQLRLPDSEMDMVLDPGALEWDLAKAHVAANDAAHHQLVSHWLHTHAIIEPFIIATRRQLSVMHPIHWLLDPHFKDTLHINALARGIFLNAGGILERTLFTGAFSMRLSSHFYKQWRFDEQALPNDLEKRGMAKNAEESVRDGNFSEIKEKPAEKEPENIGEEDLAKKTENVVGRYEGLAEIDENMVE >EOX95306 pep chromosome:Theobroma_cacao_20110822:1:33736924:33739413:1 gene:TCM_004843 transcript:EOX95306 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 23, putative MESKEGVKMEDVMGNSSPFSDYIANSFPLQSIFDLSEGEKMPLGFMELLGVQDMSITPLFDMAQQVPSLATQPPNPTSTKIDSPPEVFNQPATPNSSSISSASSEAVNDDPVKAEDQEEDQQETRKHDLKLMKNCWIFLAFGVCDGGSRLKPKKTNQKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTTSCNVKKRVERSFSDPSIVVTTYEGQHTHPSPVIPRPGLGGSHLTSGISAGAAAAFGMPMQRTPSHYQQPFGNGLSPLSVGHIGSNINASFLHERRFCTPGPSLLKDHGLLQDIIPSHMLKEE >EOX94215 pep chromosome:Theobroma_cacao_20110822:1:26147439:26154195:-1 gene:TCM_003734 transcript:EOX94215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 39 MGFLFKALLFSLFLFLFLHRSPTKAEDFNASAARSLLASNCNWFRGKWVYYPTYPLYDASKCPFIDGEFDCKKYGRPDNMYLKYRWQPFSCSIPRFNGLYFLEKWRGKKIMFVGDSLSLNQFQSLTCMIQAWVPNSKISYIKRDGLTSVTFQDYGVKILLYRTPYLVDIVNEAVGRVLKLDSIKSGDAWMGMDMLIFNTWHWWTHTGRTQAFDYIQDGGKTYKDMNRMIAFYKGLTTWARWVNRNVDPYKTKVFFQGISPTHYEGKDWNEPTQSCSGQKQPFFGIRYPAGTPMAWVVLKKVLSRIKKPVYLLDVTALSQYRKDAHPSAYGGIHSGTDCSHWCLPGLPDTWNQLLYAALFS >EOX92302 pep chromosome:Theobroma_cacao_20110822:1:6163133:6167500:1 gene:TCM_001267 transcript:EOX92302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast-localized ISCA-like protein MAISSITTNCPSLLRFSNNSKQSFSSPQISVSFRFSRSSLSCGKPLSVRATTVPAAPTSEGLAPAITLTDDALKHLNKMRAERDEDLCLRIGVKQGGCSGMSYTMDFEKRASARPDDSIIEYNGFTIICDPKSLLFLFGMQLDYSDALIGGGFSFKNPNATQTCGCGKSFAAEM >EOX93333 pep chromosome:Theobroma_cacao_20110822:1:12137255:12140160:1 gene:TCM_046976 transcript:EOX93333 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein, putative MPSKLKKAIGAVKDQTSISLAKVVNTNSSNLEVAVLKATTRDQEPTDERYVNEILQTISSNKINAAICAHVIAKRIGKTKNWVVALKSLVLVLRIFQDGDPFFPKEVLQARKRGAKILNLSTFRDVSNSSPYDYTAFVRSFAFYLEERLDCFITGKLQRRFTYKERQNSHPRSRRVNQQPVGEMKPPMLLDRISYWQRLLDRAIATKPTGAAQTNRLVQVSLYAVVRESFDLYRDISDGLGLLLDSFFHLQHQSCVNAFQYCVQATRQFEELCSFYDSCKSLGIGRTSEYPSVQMISDELMETLQEFLKDQASFPSPGKSPSSNSPRLLFPPAPPAKDPSGITERESQAGSRCTSLEDLMSQTESAGTISPSFSVDRLSEVSEKQCHEQEDMYNVTETGSNHSLPIDQGTNVTIDFVSFDDWPKVDQKQGQLNSTILDSINGEKGCWELGLVEAPAQPVHASQNVSNDNSFFDNWLQQDHNQEQASQNVVNGHSYINDWLQENQKLEQASHNVANGHSYLDDWLQEDKKLEQASQIVANGHSCFGDWLGEERKEPEKPQQNSAFNLSNGGQEDWELAVVEASTQPAQASQHLANGIELSIANDLFDQRPISQRQYNPFLEDGAEISAAIATNTNENAAFPDNFSVAPTFQATPTFLAQSPNEIATPTFQATPTSISQNPDRTTAAFQDEHDDPFAPWPTMKANNNISSDECVDQKNVLIQQELWLQNQNKIIARHIV >EOX94148 pep chromosome:Theobroma_cacao_20110822:1:23011922:23014611:-1 gene:TCM_003445 transcript:EOX94148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTYNFFEMQDLITVAHRGDEEVDAKPCGVSISIRGEECLLRPRGGCHGPDGEFRVVTAPKCKKDLICTKNPFCLAMNSIFKKMGHSQENGTFSRFIMLIST >EOX93840 pep chromosome:Theobroma_cacao_20110822:1:16411031:16415340:-1 gene:TCM_002789 transcript:EOX93840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGPREVSGRDSTGLITNLVVSLVKEFYASISLDKDELEDSDDYVDDSLNVFINGKEFVVIAADLGNLLKIESENGDFKMPKNYDPTSLWEIITGRKEKYSSKSNVGLTKSLQIRIFHYFIVANIHGRGGSFSYISLQDLWLMEHAFNGAPLNLGRFMIQRMRGVCRLEKINLPYGNIITSLVQKKGICSSRYESDKVKSRDQAIYLGSLPKMGYKLDGEKFVKTSKVTLRGESFLPAVRMQKIEEKLAELKKVLKEKGKMPTEPAATDTSTTTSPALARQDAEGFAFQAEGHKPKVDQPRKSSSLEPQKKAESEQGTKVLDSHDENSPSHHEPQQEQPYLLNSEEVLIMDVFH >EOX96072 pep chromosome:Theobroma_cacao_20110822:1:36504548:36508723:-1 gene:TCM_005413 transcript:EOX96072 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MGHLNLPASKRNPRQWKLLDIISTIFFGLVLLFFLLVFTPLGDSLAASGRQALLLSTSDPGQRHRLVALVELGHHHQAIEACPADSVDHMPCEDPRRNSQLSREMNFYRERQCPLPDEMPLCLIPPPPGYKIPVRWPESLHMIWHSNMPHNKIADRKGHQGWMKEQGPYFIFPGGGTMFPDGAAPYIEKLGQYIPISGGTLRTALDMGCGVASFGGSLLSEGLLTLSFAPRDSHKAQIQFALERGIPAFVLMLGTRRLPFPAFAFDFIHCSRCLIPFTAYNATYFIEVDRLLRPGGYLVISGPPVQWAKQDKEWADLQAVARALCYELIAVDGNTVIWKKSDGDSCLPNQNEFGLELCDESNDPSNAWYFKLKRCVTTTSSVNGEYAVGTIPKWPDRLKRAPSRDLVVKNGIDLFEADTRRWARRVAYYKNTLNLKLGTPAMRNVMDMNAFFGGFAAALISDPVWVMNVVPARKPLTLSVIYDRGLIGVYHDWCEPFSTYPRTYDLIHVAGIESLIKLPGSSKSRCTLVDLMVEIDRMLRPEGTVVIRDSPEVLDKVTRIAHAVRWRATIYDKEPESHGREKILVATKTFWQLTSASH >EOX94172 pep chromosome:Theobroma_cacao_20110822:1:24284717:24288461:1 gene:TCM_003549 transcript:EOX94172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase C substrate METSCVSLKHCFSVCVVVSFFLVVSSVRSKSLPLGIHPLDEKYFAAEVIKCKDGSKSFNRDRLNDNFCDCIDGTDEPGTSACPAGKFYCRNVGSMPQFIFSSRVNDRFCDCCDGSDEYDGGIMCPNTCIMGGNVEYKSENYISTSNLHSTEVKQKIIGINLEDLIQTLADLKMLIIAEVALCCFLVVQFYKRVGSKKRHYR >EOX94433 pep chromosome:Theobroma_cacao_20110822:1:28548531:28550146:1 gene:TCM_004012 transcript:EOX94433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTMIPITTPLEKGQSMGRPYLFTSENYPYWKRRIDMFIQAHDTNVRTVILEGPYVPIKTVDHSEREKELKEKKKQQAKGITLKVTLEDDEKNIKSDEEGKSDEITLITRKFNRFLRNKQRSRITRPFRRNTPKEGLRRDTRRDIIENDHGRDHIICYECGKPGHIKYDCSNVKKIHSKNLKRKPMMAAWSDSDESQSEENEEITNICFMALEEHKVHSNSYALSLYELDDDSYTFEELQDDFHELALEFECMILKHKNIISKLKSENEFLTKIKIE >EOX92128 pep chromosome:Theobroma_cacao_20110822:1:5347976:5348942:-1 gene:TCM_001124 transcript:EOX92128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitric oxide synthase-interacting protein, putative MGISHYLSSLCCELRILQAKNLELKSPGNLFVRYYLTAGNNKRIQVNSQEISSKSELIWNESFSLECLGTEESLNDLKQQTVVFELRWRSTVPVLGRIMGKSQLLGRAEMPWNAVFESPNMEIEKWVTMVSMNDRVLETLKPPSLQVSMKVRGPAIVETEKKKKKKKRKEGLKNNWDGCGCKDIGGGYCSCADYELFALAAAFEAL >EOX94569 pep chromosome:Theobroma_cacao_20110822:1:30239824:30244977:1 gene:TCM_046977 transcript:EOX94569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase 6, putative MKKYRRWQRILILSLLSFSVFAPILLVSQRLKTLTSIGRKEFIEDLANIKYRTDDLRLNAIEQEAAEELKGPKLIVFKEKDFSSVVSHSYNENGDSDQSGDARDASKLLEANETNDKGKEKDSHQIQQNKIQMNYREKEQSNQETVRHDQPLQSQSRRETDEKVKQMRDQLIRAKAYLSFSPPGSNSHLVKELRTRIKEVERAVGEASKDSDLSRSASQKMRSMGVSLAKASHVFPDCSAMATKLRAMAYNAEEQVRAQKNQESYLFQLAGRTTPKGLHCLSMRLTAEYFSLQPEERQFPNQQKLHDPDLYHYAVFSDNILACAVVVNSTIASAKEPEKIVFHVVTDSLNLPAISMWFLLNPTGKATIHIQSVDNFDWLPTKYNAILKEQKSNDPRYTSALNHLRFYLPYIFPALKKIVLFDHDVVVQRDLTGLWSVDMKGKVNAAVETCQGSESSFRPMHMLMNFSDPFLAKRFNANVCTWAFGMNMFDLREWRRLNLTTLYRNYLQLGLKRPLWKAGSLPLGWITFYNQTVALEKRWHALGLGYDSGLGLGDIEHAAVIHYDGVMKPWLEIGIAKYKGYWSKHVQYDHPYLQQCNIHE >EOX94988 pep chromosome:Theobroma_cacao_20110822:1:32320347:32329010:1 gene:TCM_004566 transcript:EOX94988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative MDVLKENLAEVEIGNTVESLQNFIDLQRELFHSQIDQLQNIVVTQCKLTGVNPLAQEMAAGALSIKIGKRPRDLLNPKAVKYMQAVFSIKDAISKKESREISALFGVTLTQVRDFFASQRTRVRKQVRLSREKAVRSNACKETEEGVVLSESDAMIPVEPVPLNSVGPVNAEEAPSCSTLDDALTGIDELDKHFVENIFTKMRKEETFSGQVKLLEWILQIQNPSVLYWFLTKGGVMILATWLSQAAVEEQTTVLFIILKVLCHLPLQKALPEQMSAILQSVNKLCLYRFSDISHRARLLISRWSKMFARSQAAKKPNGLKSSADAQNELLLKQSISEIMGDEPWQSNVDNSEEILATSNVRKLESPQVLKLLPASMDDSTKKNILGVSGSHSRERRKVQLVEQPGQKMAGKSSQTTRTVPISQSRPMSADDIQKAKMRALYMQSKYGKTGSSSNGMNEAKSEGLNKPSTSQASFSPPVSKVHVRPAEEQKKPVILPPKTSNRLGTCLDPKQNMDSKEPPWEKCQKVKIPWHTPPEVKLNELWRVGAGENSKEVDVQKNRNRRERETFYYTIQEIPSNPKEPWDREMDYDDTLTPEIPTEQPPDTDSTETQVTHGEHVNSAATLAPSSSHIGGGVAAEPDLELLAVLLKNPALVFALTSGQAGNLTSEETVKLLDMIKAGGAGNSNNIGKNVEEKVEVSLPSPTPSSNPGTSGWKPEAVRNPFSQQSQIGNTVAQASLGVGTTTPVAERLPATSMAAPQQDANGQLLAQQLAAAIAQLLPQSSAMTPEKRQSPNVAFSHHGHPSNSPAMQPPASEIALTLKNLPIANSSLTNLSAAAGPSLRVETLTNVKPAPISMTPNAPEKLHSSFSISPLMPTLSRPQTPPHLRPQLPQVTDPPLHTHLYSSRPPVGNIGPMSDPWRARQSLASNPLSQANQTNYNASFGGSVQPQSRSGPPWEGNEYVGHDGFESWSPENSPNRFSEYVPGRNYLEPRMNSGWSYRPDRSWQRSTPGYRDQNREGNRRWRDRR >EOX91611 pep chromosome:Theobroma_cacao_20110822:1:3284576:3286177:-1 gene:TCM_000744 transcript:EOX91611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MGIVEEAHNVKVLGSGDRVIVLAHGFGTDQSVWKHLVPHLVEEFRVVLYDNMGAGTTNPEYFDFNRYATLEGYAYDLLAILEELQVNSCILVVHSVSGMVGALASISRPDLFSKIIMISASPRYLNDVDYYGGFEQEDLDQLFEAMGANYKAWCSGFAPLAVGGDLESVAVQEFSRTLFNMRPDIALSVGQTIFQSDMRQILSLVTVPCHILQSVKDLAVPVVVSEYLHQNLGGQSIVEVMSSDGHLPQLSSPDIVIPVLLRHIRYDITT >EOX95465 pep chromosome:Theobroma_cacao_20110822:1:34290842:34294453:-1 gene:TCM_004951 transcript:EOX95465 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY family transcription factor, putative MEKQHRRELKFLRSGDFLRPNSGVPDRTLDDSSDHVKPTIKEMDFFSTNSQPHDPLQESKINNGSSSLFDSGVNTGLNLLSSSPRVSRTTNEETPNSEMRALRIELQRLHEENRRLRSMLDQITKNYNELQGQLFMAVQKQAHGNQGEQKGAVNGMSSLTESVQQFMDPRPSTALDVNAPSASDDKTQELSVSPVNTTEVVSKERDHQMTRIPGKHVSVEDGTDRTSQSWGSPKSPKVEQSKNEEQVSEVPFRKARVSVRARSEAPLISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDKSILITTYEGNHNHPLPPAATAMANTTSAAAAMLLSGSTTSKDGLSSSGYFPSLPYGSTMATLSASAPFPTITLDLTQGPNAVPFFRPPPSTATFPLPLQGYPQLLGHSMFSPTKLSALPVTQLGQRPASMVDTVTAAIASDPNFTAALAAAISTIMGAPPSNSGNTINNGGNSNASNRVPGLPGSPQLPQSCTTFSTN >EOX95146 pep chromosome:Theobroma_cacao_20110822:1:33047035:33049366:1 gene:TCM_004700 transcript:EOX95146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase 4, putative MAIAGRCKVKTVVLLGLALLSFVAEGAVPEVRRHGFRVRRNLADADADATVFDVMTYGAKADGKTDNFEPFVKAWKAACSASAPATLVIPRGTYLTGQVVFQGPCKSSNPVTVKIEGTIKATTDISEYPTAEWILFELIDGLIITGSGTIDGQGASVWGYNDCSHNSGCQLLPVSIKFHRVNNSVVNGISSVNAKSFHMFITRSANITVHDLNITAPAKSPNTDGIHISSSSFVNITNSNIGTGDDCISIGQGATNISISSVFCGPGHGISIGSLGKYKDEEDVSGIVVKNCTLSNTTNGVRIKTWPGSPPSQASSITFQDIVMDMVQNPIIIDQNYGSHKSAPSRVKVSDVHYKNIRGTSKSPVAVSLSCSSEVPCQGVQLVDIDLAYKSVRKSKRMQNSTLSASCLNVKVTSGGKQNPPACP >EOX93701 pep chromosome:Theobroma_cacao_20110822:1:15155427:15156608:1 gene:TCM_002614 transcript:EOX93701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit XI MAATASPMASQLKSSFTSSVTRGLVVPRGISGAPFRVSPGKRTPCFSVKAVQADKPTYQVIQPINGDPFIGSLETPITSSPLIAWYLSNLPAYRTAVNPLLRGIEVGLAHGFLLVGPFVITGPLRNTPVAGQAGSLAAAGLVVILSICLTMYGTASFNEGEPSTAPSLTLTGRKKEPDQLQTADGWAKFSGGFFFGGISGVAWAYFLLYVLDLPYYFK >EOX90896 pep chromosome:Theobroma_cacao_20110822:1:961434:963052:1 gene:TCM_000238 transcript:EOX90896 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0/V0 complex, subunit C protein MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >EOX92575 pep chromosome:Theobroma_cacao_20110822:1:7580476:7584581:-1 gene:TCM_001511 transcript:EOX92575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/hydrogen exchanger 15 MDSVDTVANKSEDTIVCYAPTMITTNGVWQGDNPLDYSLPLFILQLTLVVVSTRILVFILKPLRQPRVIAEILGGILLGPSVLGRSQGFANTLFPLRSVMVLETMANVGLLYFLFLVGVEMDLSVIRRTGKKALAIAVSGMILPFLIGACFTFILHDQNSEALGQGTFILFLGVALSVTAFPVLARILADLKLINSELGRMAMSSALINDMCAWVLLAIAIALAENDSSSLASFWVILSSVAFVVFCIFVVRPAITWIIRRTPEGESFSEFYICLILTGVMISGFITDAIGTHSVFGAFVFGLIIPNGPLGVTLIEKLEDFVSGLLLPLFFAISGLKTDIGAIKGGQTWGVLALVIFLSCAGKIAGTLLVTTFYQMPLSEGFTLGLLMNTKGLIEMLVLNVGKDRKVLDDESFAIMVVVAVVMTGIISPIVSTIYRPSRRFVPYKRRTIQTSKLDGELRVLVCIHNPRNVPTMINLLEASHPTKKSPICIYALHLVELTGRASAMLIVHNTRKSGRPALNRTQAQSDHIINAFENFEQHAGCVSVQPLTAISPYSSMHEDICSLAEDKRVALVIIPFHKQQTVDGGMEATNPAFRMVNQNLLANAPCSVGILVDRGLSGSSRLAANEMSHHVAVLFLGGPDDREALVYAWRMCEHPGTRLTVLRFVPGEDAVESTMQPTSDQNDPRILTVETDNSKEKQLDDECINDFRIKIANDESVTYTEKVVNNGEETVAAIRTLDSSHDLFIVGRGQGMMSPLTTGLTDWSECPELGAIGDILASSDFASTVSVLVIQQYVGVLPLESIGTPDSPLQADDDPMNRPAPPRGLAVFY >EOX95537 pep chromosome:Theobroma_cacao_20110822:1:34587959:34590822:1 gene:TCM_005010 transcript:EOX95537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MKMVSPEGIFLCKLKNKEDEKADTSRSEVCEPSQVADDGIPENSAMFDPDVMLATLEEPDGREEADNNLSPSPQPMMREEQVPSCVDSAYLYEFSGGHCGFQHLFNSNEQSDDSWTKYLVDSDEVYRDENEGCSLPSVYMRMTCPGECSRKRSRFENGGPCGAIENEECQATYEQVVSASSMLDEHSGSKKFQAMAMVNAPNETLTSVEHDPHGRERMLAIHNESREMDAPSGDSAVDLHCIFHVALAESVDYSYARFDNPQYQERSQNLIEQEDDPIRITKQGKFSVKTVSLDKARDVKQHVPADNLPQMENAVMESNMKFKKAKETNKKESLQMHWSESAESDERVLLAVALT >EOX95536 pep chromosome:Theobroma_cacao_20110822:1:34587591:34590822:1 gene:TCM_005010 transcript:EOX95536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MKMVSPEGIFLCKLKNKEDEKADTSRSEVCEPSQVADDGIPENSAMFDPDVMLATLEEPDGREEADNNLSPSPQPMMREEQVPSCVDSAYLYEFSGGHCGFQHLFNSNEQSDDSWTKYLVDSDEVYRDENEGCSLPSVYMRMTCPGECSRKRSRFENGGPCGAIENEECQATYEQVVSASSMLDEHSGSKKFQAMAMVNAPNETLTSVEHDPHGRERMLAIHNESREMDAPSGDSAVDLHCIFHVALAESVDYSYARFDNPQYQERSQNLIEQEDDPIRITKQGKFSVKTVSLDKARDVKQHVPADNLPQMENAVMESNMKFKKAKETNKKESLQMHWSESAESDERGKLRIKDLVLPPNLAG >EOX95535 pep chromosome:Theobroma_cacao_20110822:1:34586843:34590893:1 gene:TCM_005010 transcript:EOX95535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MGSISSNSDIVGYRFHPTDKELVDHYLWNKILDRDSLVHAIKEVDGLCRKDPWELPRLSKIKSADQVWYFFSRRKDNKRVKRTTDNGFWKVTGKTRDVKGKRGSAIKKTLVFFQGRGPNAKWTPWVMHEYIFTSTVLDNKEGIFLCKLKNKEDEKADTSRSEVCEPSQVADDGIPENSAMFDPDVMLATLEEPDGREEADNNLSPSPQPMMREEQVPSCVDSAYLYEFSGGHCGFQHLFNSNEQSDDSWTKYLVDSDEVYRDENEGCSLPSVYMRMTCPGECSRKRSRFENGGPCGAIENEECQATYEQVVSASSMLDEHSGSKKFQAMAMVNAPNETLTSVEHDPHGRERMLAIHNESREMDAPSGDSAVDLHCIFHVALAESVDYSYARFDNPQYQERSQNLIEQEDDPIRITKQGKFSVKTVSLDKARDVKQHVPADNLPQMENAVMESNMKFKKAKETNKKESLQMHWSESAESDERGKLRIKDLVLPPNLAG >EOX93018 pep chromosome:Theobroma_cacao_20110822:1:9972877:9974380:-1 gene:TCM_001874 transcript:EOX93018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant basic secretory protein family protein, putative MEDHSLSLPLLAAPAAVSSSASAAEDDGNGSFSSSSRIIPRFLLVIFIGTVSIWANHEASKGFNVTIINNAKESPAGQRFALFYVSNDEATRIILNTSAVVENILYPDPNQTKKPVHHVILQLASKNLTSKVIVDTSKSKEFVYTISLSPSILEGSNVKHDVIFAIQRAMARIWLWDGESRAPPWLIDGMEEYIWMQAGFDGHEKETLHPGLELFGPEQFCFLLSQVCSNSNNLSSGSGDGKGKSGRLCWEDKDPKIVAQAFSYLEQQKKGYIRGLNQMLRDPWTDNQPCER >EOX95383 pep chromosome:Theobroma_cacao_20110822:1:33995440:33999183:-1 gene:TCM_004896 transcript:EOX95383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shaggy-related kinase 11, 11 isoform 1 MASVSIVPTSGLREPSGNTVGVDRLPDEMNDMKIRDDKEVEATIVDGNGTETGHIIVTTIGGKNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVCLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYFYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTAIEALIHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKGVPVDIIVKLIPEHARKQCAFLGL >EOX95384 pep chromosome:Theobroma_cacao_20110822:1:33995792:33999888:-1 gene:TCM_004896 transcript:EOX95384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shaggy-related kinase 11, 11 isoform 1 MASVSIVPTSGLREPSGNTVGVDRLPDEMNDMKIRDDKEVEATIVDGNGTETGHIIVTTIGGKNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVCLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYFYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTAIEALIHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKGVPVDIIVKLIPEHARKQCAFLGL >EOX90906 pep chromosome:Theobroma_cacao_20110822:1:1000509:1001520:1 gene:TCM_000247 transcript:EOX90906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHQCAICIPVASAYFKARGGLKLTEGICHYFCTLKTRCKYFNKDSSQLKGKISVCLTGHLKLQKLAYDPAILLLITSMVPWPKHLAIVYLRKIQVNKQIDRHHRLRRRENLLKRTYRKYCRGQMLALCNKYPQRLKSKDRVILNRSIPGHLTAQVIQIQCIKVLMCRTNPTHKHFVFGHFEREDRESDSILIQNLCNKNGRKEQKGRKDM >EOX94724 pep chromosome:Theobroma_cacao_20110822:1:31043233:31043651:1 gene:TCM_004335 transcript:EOX94724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNCDFPSNKISTIGQDATMMLPRDKLVTREDADRFVAAEMRNNLDMTTTPGGVGAAMAAAAGRNQNSTI >EOX91012 pep chromosome:Theobroma_cacao_20110822:1:1330817:1337503:1 gene:TCM_000324 transcript:EOX91012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Salicylate O-methyltransferase MEVVQVLHMKGGVGETSYANNSLVQRKVISMTKPITEEAMTKLYCSTYPASIVIADLGCSSGPNTLFVVSELIKLVDSIRQKLGQKSPEYQVFLNDLPGNDFNTIFKSLPNFQNKLKKQLGRGSGPCFFTGVPGSFYGRLFRHNSLHFIHSSYSLQWLSQVPEGLESNKGNIYMASTSPPDVLKAYYEQFQQDFSLFLKCRSEELVEGGRMVLTFLGRRSDDPSSKECCYIWELLAMALNDMVFEGLIEEEKLNSFNIPQYTPSPAEVKSEVLKEGSFMIDRLEVTEVNWNAYQNEFDLSDAFKDGGYNVAKCMRAVAEPLLASHFGEAIIDEVFRRYRDIVANRMSKEKTEFVNVIISLIKG >EOX90885 pep chromosome:Theobroma_cacao_20110822:1:918669:923284:-1 gene:TCM_000229 transcript:EOX90885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative MKRSRKSNRVSWAPGVNLCQVKLFLAEDCPSKVGELQDTLQAKTSWTLQPSGMCANDLPPGFEGGHHVNGLKYDLAEMPRIQWTCPPKFVLNFNWHVAAAEESEEVEAQKLREMRVLEAVYPRLSAIPPSPSVSMDVEVEPHDDRRTPLVPLTPIEDEEGADVSSDFAAQPKTLSNSQTAALIMPQGLSGSGTHSMPNCPSSAVKSPALDMLPGASSDVVVAASAALSAVMKSKEQGTLIDTDLLVKILSDPKMVEKLIQDHGNLPTAANAVSTPVRTSEPETIITSLPRPKPAIVSSPMPADRNSNHFIKEFQPALTMPAPRADIVSVSMPKRVEPSVPSFADINMISGHRAANGNAYSTFNKMQPALSMMPVQPKPLSEVQPAISSTPMQLNAGLAVTAMAANPVKDANYIKNLIREHGREKQEPKVHNIPKTVSHLNHTQNQNMVQNIKPVALKAKFQKPCMYFSTPKGCRNGSNCLYLHDKSLQWQTGRTLELEAPSAKKMKLGWEITGRI >EOX93436 pep chromosome:Theobroma_cacao_20110822:1:13071086:13076659:-1 gene:TCM_002312 transcript:EOX93436 gene_biotype:protein_coding transcript_biotype:protein_coding description:DGCR14-related MLLSPGHSPRHVSSPSPSPYSDNSLQTPDAASSTTPKNPSRKRARVLDEDTYVAAIEKIIERDFFPDISKLRDRLDWLEAIKTGDPIQIRDAQLKIIERRGRKVNNVNSEGRSQTRTPGSTFMRNFTPFDELDGKTPKTPGVLGRELSGEGDCRVSEGEIDSNLSLDEFFRRYTSEDNDSFSKILEKVNRKKKEKYGYLTQGEMGDEDVKSIEDAKRDRITDGYGTSDQPTSTLEGWKYIAKNLLMYHPADRGEAPLTEEERAVRLKALTKEINRGNTRFHGKVMDSRPKDDGSMAVLYTPVAGATPMPMSDKDGDKGKKYDLDDLRKTPNHFYVESGKKAENGYSFVKTPSPAPGVDESPFITWGEIEGTPLRLEPEDTPIDIGGSGDGPHFKIPCPPARDVKAHSLSREAARKLRERSKMFKKPPLPSPYRGGSASPSVRTLSPAAQKFVRNAIAKSSSSVDETLRASYRGASPGVSTPKSVRSVSRTIGFSYPETGLKKFTSISYYKLHRYFGISVEALTVASSLPLHPVSEHVHGHMNLPYGLAVMPVDCAYIENFRLDCVGLWICSLIMICYSDIISWYPVYNCYIFNWIISMYFGLQTLRGETLTWSISRVFEYLEFEAKGKGDVPCANSKIQNHNQVLMMHGIYM >EOX90681 pep chromosome:Theobroma_cacao_20110822:1:326172:331691:1 gene:TCM_000078 transcript:EOX90681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide adenylyltransferase family protein, putative MAILLRSKTFLSSRLKSLPRFERCKQTLGERGFQAELYSEMAPAPNPVLHPIDTSKWKKIQASKVGITGSMISLPSWIVLNTLRKEGFEAYLVGGCVRDLLLKRIPKDFDVITTANLKQIKKKFHRAEIVGRRFPICRVHIKGFVIEVSSFETVAKHDEDKAKALSSLIPNGCDEKDLIRWRNSMNRDFTINSLFFDPFTFKIYDYNSGMSDLKSLKLQTIIPAHLSFQEDCARILRGLRIAARLGLSFSKDTESAMHNLSSSIEGLDKFRLMLELNYMLSYGAAESSIYLLQRFNLLNILLPFQAAYINHQKSTQNSMMLMKLFFNLDKLVSCDHPADSSLWIGLLIFHLALLNNPQDALVVWTFASVLYHGKWKEGVEFSREHTKVGVKFVPEISGFSETKSDEDLAKEVSQFASLVQDSVCALTETSSLFESMSRYSFSPCSGLVFVPKKTARDAAKIFDLMVDDIESFVNGRQRESPGINYHLLGKGDPRETRYVLGKIILETMKDGRLGEGTRIANGEKDHLQPKVIEKNLANNQLPLKKDKKRVPSLLNPEAKQGLPKKQKSVDSNHNISELYAAIKNQLAKEEFQDLAKKHQKLVEAYKFSEQETSLMQGNILEEEKSHLMNQERTAVKEDTHKDDGKKKAKKDMKISNKQRKVVGKYNLSQDTVVNWQKLYGNEGCKEEVKEKTGKHEPKAVKRKGSRTLLSSLFK >EOX94773 pep chromosome:Theobroma_cacao_20110822:1:31312085:31313059:-1 gene:TCM_004384 transcript:EOX94773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin p1,1 isoform 1 MGTLAIDMEGVDSDIYLALGLKELGKRAIGTPRILSLLSSLLEKSVQKNEMQSETVDIKDSVAIFHGLRAPTISIQQYIDRIFKYAGCSPSCFVVAYIYVDRFVQQTDVHLTSLNVHRLLITSVMVAAKFIDDAFFNNAYYARVGGISTAELNRLEMKFLFSLDFRLQVSVNTFQRYCSQLQKESSEGHQIERPIRACGIKESWSSKSDTQCAPTIAR >EOX94774 pep chromosome:Theobroma_cacao_20110822:1:31311716:31314197:-1 gene:TCM_004384 transcript:EOX94774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin p1,1 isoform 1 MGTLAIDMEGVDSDIYLALGLKELGKRAIGTPRILSLLSSLLEKSVQKNEMQSETVDIKDSVAIFHGLRAPTISIQQYIDRIFKFFNNAYYARVGGISTAELNRLEMKFLFSLDFRLQVSVNTFQRYCSQLQKESSEGHQIERPIRACGIKESWSSKSDTQCAPTIAR >EOX90981 pep chromosome:Theobroma_cacao_20110822:1:1237048:1239527:1 gene:TCM_000301 transcript:EOX90981 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MASLLKELPSMSTLLAAYASFSAMAMLIRTVLNEMIPKPLQKFITSKFSDLISNHFSTNFTFIIEERWHAVYNETFRAIEVYLPTRIGPRTDKLVIGSNDPNNLTALPKRSIPADCKIIDEFEGMTLEWTLHVRESDKYYIPDRKCFHLTCKKRVREKVEEKYLRHIAETAQKILSKREKLNIYTYNQDRSRWESAIFKHPARFDTLAMEPELKQFIMDDLDSFVGRKDFFENVGRAWKRGYLLYGPPGTGKSSLVAAIANHMSYHVYDLQFQSVRNDAELRRILTSTTNRSILLIEDIDCSTKISEDRGKVKDEKQKEGEDGRLNRPSSIDPGVTLSGLLNFIDGLWSSCGNERIIIFTTNHKEKLDPALLRPGRMDVHIHMGYCTPPGFRKLAATYLGIKDDKLFTCIDDLLKSVEVTPAEVAQQLMIKSDEPEAALQCLIDFLNMKKDKVGEDVTQAGENEKKDGGDGVTQAKEAKDENEKKDAGKQSRKHDETETRSIYLT >EOX90709 pep chromosome:Theobroma_cacao_20110822:1:417852:420585:1 gene:TCM_000099 transcript:EOX90709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Selection and upkeep of intraepithelial T-cells protein 6, putative MGGPEEFRSLNGSRRISSVIHGSNVPVRSISLPSRLQPNSIEAELNELKTFRLSSASRTIHAGGETICTGFTRLAKLYNNIEEIVQSPLTQQALHHQQNVKLVEEALDDSVGLLDACGTARDLILMMMEQVQDLQSALRRRGGDSCIGSNILAYISFRKKLQKNIAKTLRVLKRLECNIGTFPLFNVDCHLSMVVKAQRESHAITISLFQSLLSFLSMPVLKTKAGGWSLISKLVPIAAERSQKIFNEVGIVDFTLHTVQGKLRKNDATIDPQIELKRLETLSGSIKGLEAGLDCLFRCLIRNRVSLLNILTPC >EOX95385 pep chromosome:Theobroma_cacao_20110822:1:34005364:34006044:-1 gene:TCM_004898 transcript:EOX95385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLWLRTIIYGLQLCLVALLVIMYGQKSPCQEVWFPYRMAYFIRTRSFITVSLLDKTRIATTGSAAIGFFLPACLNQGLVLTRSGDRLKAFGQEPPTMFFY >EOX94383 pep chromosome:Theobroma_cacao_20110822:1:28233560:28235062:-1 gene:TCM_003973 transcript:EOX94383 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP-interactive CRIB motif-containing protein 6, putative MSSNNKMKGLLKGLRYISQIFDNEKEPEMQIGFPTDVKHVAHIGWDGPSVNSAPSWMNEFKSPPGFASAPLANEGVVREEDNVKWVSQDSSSRKGSRGQTSSSRDMPELPKSSRRSSSTNGTGESSIKERSDKPRQSRKPSRNSSKDTSDTTKSKKPKDPNHATDSTSQDLPAIPKKSRRKKSKDSSVGGSSSRRSRTHELDTGSESGSASNAREERLSNASGFDEGEGNGHNGVS >EOX91442 pep chromosome:Theobroma_cacao_20110822:1:2748608:2752027:-1 gene:TCM_000640 transcript:EOX91442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein MLHQRKKIGKKNTNKEGKFGENMKSLMQYRCYHSPFDVKPNAKAKDQVQRQSLTLTMSILKVISTLVLAVSFLSFILAKPDSYIIYMDSSAMPKAFSSYHSWYLSMLSSISDTSDTESGSAATSKLLYTYSNSINGFSAILTLSELEALKNSFGYISSTRDVPLKAHTTHTPQFLGLSSTLGAWTAPNMGEDVIIGILDSGIWPESESYSDEGMTNGLLANHPKLKITMNSPRDNDGHGTHTSSTAAGNYVKGASYFGYASGTASGMAPRAHIAMYKVIWRYGIYASDVLAAMDQAIDDGVDILSLSLGFNVEEHFLEDDPIAVASFAAMEKGVLVLASAGNDGPLFWTISNGAPWLLTVGAGSIDRKFYGILTLGNGVQITFQTLYPGNYSLNQKPLVFQDKCESVEELKKLRKNIIVCKDHLSISNQVENAGSARVSAAVFISNYSSLSELYTRSSFPAAFVGLHDGQTLIDYIKQNINPRGRLQFQKTTIGTKPAPRVDVYSSRGPYLRCPSVLKPDILAPGAAVLASWSPIREVTSVRSHPLFSNFNLDSGTSMATPHVAGVAALIKRAHPDWSPAAIRSAIITTANLLDNTLSPIKDPSSYDLPATPLDIGAGHINPTKVFDPGLVYDAAPQDYIKLLCAMNYTSKQIRIFTNSSHNCMSQSLDLNYPSFIALFTDEHSTSNQKVVKEFQRTVTNVGKGGMAYTAKLAGMDGIKVMVKPEKLIFKQRYEKQSYKLSLEVPKSLKIEVIFGYLSWVDDDGKYVVRSPIVATGVTP >EOX94174 pep chromosome:Theobroma_cacao_20110822:1:24379408:24382532:1 gene:TCM_003557 transcript:EOX94174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase B1,2 MDKYEKLEKVGEGTYGKVYKAKDKASGQLVALKKTRLEMDEEGVPPTALREVSLLQMLSQSLYVVRLLCVEHVDTNKNGGSKANLYLVFEYLDTDLKKFIDSHRKGPNPRPLSPSLIQSFLFQLCKGVAHCHSHGVLHRDLKPQNLLLDKEKGILKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTAVDMWSVGCIFAEMVRRQALFPGDSEFQQLLHIFRLLGTPTEKQWPGVTALRDWHVYPQWEPQSLARVVPSLSSDGVDLLSKMLKYDPAERISAKAAMDHPYFDSLDKSQF >EOX92462 pep chromosome:Theobroma_cacao_20110822:1:6965780:6985832:1 gene:TCM_001409 transcript:EOX92462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MRPPDATYGAPKRSTSKVDEKKDLKDAYIRYLPLYKAVDSGDLEATKKFLDQHPSALTSSLSADGDTALHIAVLAGHVEIVEELVGHMSAQEIAVKQKFGSTALNFAAVGGIPLVVAALYGHRDLVQYLYKETPMEELDPTNINLGPILLTACIINEFYDIALDLVQRYPQLAIAEDIEQDTALHSLAQKPSAFPSGTQLETWQWWIYKFIQLHPHNASLYNSGDIEKPHEGPTHPKNLTKRGVTSIYELKLKHVQAKELLNLCLPRAAERQEKIFSHIYRTGAKKNYMATHWDKDSNNMLHQAAFLAPSSQLDRVSGAALQMQRELQWFKEVESVVQPKYREMVNNHFKTPRALFTDQHQKLVEQGEQWTKETAESCTVVTALIVTIVFSAVFTVPGGYDEAGVPLYLLRSSFLIFIISDALSLFTSTTSLLMFLGILTSRYREEDFLQTVPTKLMIGLSMLFFSLATMMIAFGVAIRIVLNCDLIRII >EOX90889 pep chromosome:Theobroma_cacao_20110822:1:930441:934919:1 gene:TCM_000232 transcript:EOX90889 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MRIRNQDAAKLTTTRKTPPARKSATKTPSNSTDAAAAAESTFVKTAGTKQGSAAKAKQMKNNETSPVTIDGSNSNLERSAVEEVKPLAEVTKLTPETKVTSGTKLVAKRTPGRPKTAASAKAKAVSKKTVNLGETAKEVAESPRRSGKKDLECEKKAELAFEKVGEPAEREKVDEAEMKEILEGQETVGKDYGKSTVEEDVPCDEDSEEYVMKEESQQVSGKEPKILDLNEEGSSKDELHRECVQMEQDRYGGIDELEEYADRVDFGDHDDEDLADDDVEEPIEETEALEQERKELTAIAKERKIKKEHEIFVGGLDRDAVEEDLRQVFEKIGEVVEVRLHRNPTTNKNKGYAFVKFANKEHAKLALLEMKNPVICGKRCGTAPSEDNDTLFLGNICNTWTKEAIKQKLKEYGIEGVENITLVPDVQNEGLSRGFAFLEFSCHPDAMLAYKRLQKPDVVFGHPERTAKVAFAEPLREPDPEIMATVKTVFLDSLPPHWDEDRVREKLKGYGEIVRIVLARNMSTAKRKDFGFVDFSTHNAAITCVDGVNKTHLDDGNSKSKVRARLSNPMPKTQAIKGGMCGGFRIGSGGNGLFSRFGRGFGRVGHRFNSKSFQYGRYFYQHERGRTSRMDANEHDYDDRYSEYHGRHIMGRGGRRGSFGDGYHGSSRDAPTVGPSRYNFSRSWYDVPDRAWREHAPLRRQPFSPERVFDRPYGGRQYDDPYYYDERAHGMKRPFYMTDHDPEYMEPHRIRPRLEHPYPEVPFHETRHRDTHAAGSGLYSHDYYDSDYGAYPPYYGADRSYGGSY >EOX90888 pep chromosome:Theobroma_cacao_20110822:1:930372:935355:1 gene:TCM_000232 transcript:EOX90888 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MRIRNQDAAKLTTTRKTPPARKSATKTPSNSTDAAAAAESTFVKTAGTKQGSAAKAKQMKNNETSPVTIDGSNSNLERSAVEEVKPLAEVTKLTPETKVTSGTKLVAKRTPGRPKTAASAKAKAVSKKTVNLGETAKEVAESPRRSGKKDLECEKKAELAFEKVGEPAEREKVDEAEMKEILEGQETVGKDYGKSTVEEDVPCDEDSEEYVMKEESQQVSGKEPKILDLNEEGSSKDELHRECVQMEQDRYGGIDELEEYADRVDFGDHDDEDLADDDVEEPIEETEALEQERKELTAIAKERKIKKEHEIFVGGLDRDAVEEDLRQVFEKIGEVVEVRLHRNPTTNKNKGYAFVKFANKEHAKLALLEMKNPVICGKRCGTAPSEDNDTLFLGNICNTWTKEAIKQKLKEYGIEGVENITLVPDVQNEGLSRGFAFLEFSCHPDAMLAYKRLQKPDVVFGHPERTAKVAFAEPLREPDPEIMATVKTVFLDSLPPHWDEDRVREKLKGYGEIVRIVLARNMSTAKRKDFGFVDFSTHNAAITCVDGVNKTHLDDGNSKSKVRARLSNPMPKTQAIKGGMCGGFRIGSGGNGLFSRFGRGFGRVGHRFNSKSFQYGRYFYQHERGRTSRMDANEHDYDDRYSEYHGRHIMGRGGRRGSFGDGYHGSSRDAPTVGPSRYNFSRSWYDVPDRAWREHAPLRRQPFSPERVFDRPYGGRQYDDPYYYDERAHGMKRPFYMTDHDPEYMEPHRIRPRLEHPYPEVPFHETRHRDTHAAGSGLYSHDYYDSDMQLIGDGQRLTVEKCS >EOX93566 pep chromosome:Theobroma_cacao_20110822:1:13996904:14005721:-1 gene:TCM_002455 transcript:EOX93566 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAse E/G-like MRCFTELRHPTFMAILESWPRPCSLFSPRTPSCLLRSFMFLSPFTDHHIALGSMFRFTLCAGNHNSLTRSPIMSMKKGLSTVTFEGLCEVVWTVEADLAEGQLLYISGESVALGCWEPETAILMSPTVHANIWRAEVKIAYGVSFKYNYFIKGKMQPLSDITWRPGPQFSLSVPPCKKQERRIVVRDSWMRSKTECCPPHVWGSWIEETDIPIKPSVSVQVEDEEMMKHLKSDLNESEPFLNDLTVKDEIEPSDVVAICDSEEGLYSYTLLSERDQPVEEPWFFHSSPFFFTYGDDLEADMLKYNDSVKDEITRLEANNQQYQITEKFLPEESSPIISKKDSVSTVILINSSICTMQRIAVLEDGKLVELLLEPVKSHVQCDSVYVGVVTKLVPHMGGAFVNIGSSRHSLMDIKHNRGPFIFPPFRRRTKKRVKGLVSGAPSQHLATNDIEPPSEDVFIEDATEDDSEDEEVQFMHNDYEDNDVDEDFDVSEVTNESVNGSVVDYAEVDADFEDLSDGEHHLVEGSLLGSSSLGISNGSSVSHFQYIKDADENKWDHVRKGTKIIVQVVKEGLGTKGPTLTAYPKLRSRFWILVTCCDRIGVSKKVTGVERTRLKVIAKTLQPQGFGLTVRTVAAGHSLEELQKDLEGLLSTWKNILEHAKSAALAADEGVEGATPVLLHRAMGQTLSVVQDYFNDKVNKMVVDSPRTYHEVTNYLQDIAPDLCDRVELHDKGIPLFYEFNVEEEINNILSKRVPLPNGGSLVIEQTEALVSIDVNGGHGMFGHGTSQEKATLDVNLAAAKQIARELRLRDIGGIIVVDFIDMEDDSNKRLVYEEVKKAVERDRSMVKVSELSKHGLMEITRKRVRPSVTFMISEPCTCCHGTGRVEALETSFSKIEQEICRSLAVMKQKADPENPKSWPRFVLRVDQHMCNYLTSGKRTRLAILSSSLKVWILLKVARGFTRGAFELKPFTDEKADKNQHQVAISMLRTAEAGTGKSGKKLTLVPVKRAKANRK >EOX92979 pep chromosome:Theobroma_cacao_20110822:1:9718309:9721223:-1 gene:TCM_001835 transcript:EOX92979 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein F MGVVTVIAKRLDAIVGPGVMLLYPLYASIRAIETPSMVDDQQWLTYWIIYSLITLFELSFWKILAWLPFWPYMKLLFCMWLVLPIFNGAAYIYEKYIRKYIRLGGFGSSISTYTEDQRKILQMISSDARKYVAEYIDKHGWTAFERIIKVAEKEAKKP >EOX94092 pep chromosome:Theobroma_cacao_20110822:1:20770150:20775169:-1 gene:TCM_003220 transcript:EOX94092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MEEAHSSAYALHPGSTKMYRTIKENYWWPGMKRDVAEFVAKCLVCQQVKAEHQRPAGTLQSLPVPEWKWEHVTMDFVLGLPRTQRGNDAIWVIVDRLTKSAHFLAVHSTYSIEKLAQLYIDEIVRLHGVPVSIVSDRDPRFTSRFWLKFQEALGTKLKFSTAFHPQTDGQSERTIQTLEDMLRACVIDFIGSWDRHLPLVEFAYNNSFQSSIGMAPYEALYGRKCRTPLCWDEVGERKRRAFRKRQRSRTRRVVIGALRKLLFCTNGSV >EOX91319 pep chromosome:Theobroma_cacao_20110822:1:2324143:2326526:1 gene:TCM_000558 transcript:EOX91319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative MELSLDLGLAYVPKSISEFLKEVSNIKNGFQRLSKISDYVKRLEDEMKKIDAFKRELPLCMLLLKDGIERLKEEEMQCKEMSDGSVTEELMLPSKRNTEENRRANMEDDGGDMKNWMSSVQLWNSNFNNVDHNKKANAVQELKLRSEDEDLSEKPIELCNNKSWGGAFVPFKGQDDKEISGLSLMTPSSELASGNPILKSDSSCRIGSGSSLYTEQNQIKFQTKSQHQQQQQQNSRKQRRCWSPELHRRFVDALQQLGGSQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHIRKLPPSSAGQGNGLWSAQNQCSEHMKANISQSGSPQGPLLATGSAKDMSSTGGDSMEAEEDEKSDGHSWRGGVHKQGEIDV >EOX94107 pep chromosome:Theobroma_cacao_20110822:1:21680563:21690460:-1 gene:TCM_003306 transcript:EOX94107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator ARR22 MKLLSSWSPKQKIRRINMAQSRHAVEKAQSTKITALVVDDNRINRTIHCRLLNNLGVENEVVGNGKEAVDAHCSGKKFDLILMDMEMPIMNGIEATRKLREMGNRSMIAGVSSRSMEEDIQEFIEAGLDDYQEKPLTMSKLISLIRFVDLYASKLIKVLMTFQDLLGLGFVGASFVRSAHDGDGEVRCGSAHDDIAVCGVGVHMMTLAMCGIGKEMEQFGAKLDMLCHDPEPPSGP >EOX96725 pep chromosome:Theobroma_cacao_20110822:1:38777538:38783667:-1 gene:TCM_005915 transcript:EOX96725 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MEALAAIGEAFFTSMFEALLDKFNASDLIYFAKKEKLYVDLKKLEKKLLIINAVLTDAGEKQVTDRTVKIWLTELRDSAYDVEDVLDEFAYEALRRRLTAQPRTSIRTVRCWHNPPSLSCFKWGAGTFRVKMRSKIRGIDARLQEIATQKSDLELRENVEGRAYKARDQRLPTTCLVNEVNVYGREKDTEAIVERLLVETTSDAEVPVIPIVGMGGIGKTTLAQLVYNDDKVAGFFDSKAWIYVSEDFDVIKVMKAVLQSVNGGVPDTNDLNLLHIKLKEELSEKKILLVLDDVWHDNYVDWTSLIRPLEFAKSGSKIIITTRNQNVAKMTGTLPAYQLKELAYDDCLSVLARHALGRENFDGHTHLKDIGEEIVKKCKGLPLAVKTLAGLLRNKETYEEWEAVSKSKMWDLTEEKGGIFSALRLSYHHLPSHLKPCFAYCSLFPKDHEFDRDELVLLWIAAGFVQQKGDKQPEDIGREYFSDLLSRSFFQQSNNNKSLFLMHDLIIELAQSVAGDLCFNMEHEVQIDDGQHSFEKARHVSFIRHQYDVSQRFEIFSKRKDVRSFLALPLLHQREYCYLSSKVSHQLLPKLKRLRVLSLSGYFIGDLLNSIGYLKHLRYLNLSRSAIRLLPESVGYLHHLQILILNHCRELTALPVGTSRLIKLHRLDISDTPKLQEMPSGLGNLNSLRLLPKFIIGKAGGLTLRDLKNLSLQGQLSIQRLQNVVDIQDARVANLKHKLDLKELALEWSNDLNLSRNGPNQLQILESLCPPKDLQRLSISNYGAGEFPYWVGNPSFAKIEHLDLSDCINCTSLPSLGRLPLLRKLNIKGMHAVTSLDPEFYGEGFPFVKAFPSLEFLRFENMKEWKKWISSVGNVELFPLLRELILHNCPKLTGNLPRNLCSLVKLDVQMCPVLTNSPLSFPCLGELNVADSSDAILKSMVNHSSITKLKLERISGLACLTEELTKALVKLEVLEIEGCNELTCFWWNGSESENLPRLKSLVLKNCPELVSLVGEKQGLCCFSSLKDLRIEGCQKFVCFPEMGLPYTLKCLTIHDCEALESLPDTFRMKDCNNPFCLLEELQIVGCPSLKSVPNGKLPLTLKRLSIVNCNNLQFLPDDNWNSASLLEYLCIKDCLALESFLESGLSIPNLKILHISNCSNLRSLPKQMQNVTSLRKLSLSDCMALESIPPGSLPPNITSLKLRNCINLKKPMSVWGLDKLNCLTKIKIAGTCPAADMVSFPDKEGVMLPSTLTDLRMESLQNLESLSRALESLTALEQLHIKDCRKLRYLPKTGLPASLGRLCISGCLVLQDKCKKDRGEYWPMISNIPCLEID >EOX95657 pep chromosome:Theobroma_cacao_20110822:1:35074815:35075710:1 gene:TCM_005110 transcript:EOX95657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENKTILLHNTSRIFFFFFVFLVMGVLFCDGDAYGFKFWETAMASESQCLFSFQFGYDLQSRNTLSSLTRGKRGGKPPLHFLPSFVPCSFPIV >EOX96780 pep chromosome:Theobroma_cacao_20110822:1:38961354:38962528:1 gene:TCM_005951 transcript:EOX96780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein MVSSAMADSDQDKEECTQQLVALATCLPYVGGDAKAPTPDCCSGLKQVLNNNKKCLCVIIKDRNDPDLGLNINVTLALALPSVCNAPANVSKCPELLHMDPNSREAQVFYQLSKSSNGGANNPAPSPTGNSISSKSEGANIPQKGDGCCSTGKRWLRLEIITGWTLLWNFVGSYLFI >EOX92765 pep chromosome:Theobroma_cacao_20110822:1:8515180:8517222:1 gene:TCM_001647 transcript:EOX92765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin 1 MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTARDYNIEGGSVLHLVLALRGGHL >EOX90621 pep chromosome:Theobroma_cacao_20110822:1:158081:158741:-1 gene:TCM_000038 transcript:EOX90621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYLFNNYMKVAYLLTGICKFMATWHLCLVKDLHQASGSTPFIPACHDFLTDQSNHVVMLLQRLLVRIAICSGGRTVRPIHFVRISVLKSGFSGSGLSDIVMAWAMRCAWQSRRIRNGYHFCLIHPRRNHSSSPTRIGCPLNYY >EOX93075 pep chromosome:Theobroma_cacao_20110822:1:10277100:10277697:-1 gene:TCM_001921 transcript:EOX93075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFMVLQIREMLTRIYKHVFVPKCPLALTKISFLPNKLKLGRPFYIYYMQSYHLPAIHVPILICLITLAFVDIMALLNFTWTQII >EOX94555 pep chromosome:Theobroma_cacao_20110822:1:30155063:30159581:1 gene:TCM_004189 transcript:EOX94555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTCCPSHIIDDQLSIADVDHQSQLQQSSKGEREKEKEGANKEEEENRKIKAKYSSGQMHWDLRTEERRALKVRHD >EOX91995 pep chromosome:Theobroma_cacao_20110822:1:4828247:4828924:1 gene:TCM_001027 transcript:EOX91995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >EOX90616 pep chromosome:Theobroma_cacao_20110822:1:138636:143802:1 gene:TCM_000033 transcript:EOX90616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLNLSKPILQPDNKVVQTCQLLIAYFPKFTSYGPKFSISQSLKTLATTLGISKGSDQEPVPHKIHLLRPQSGAGGDHVPGLSCLDVETNNVVGWITVLGECEGYVGHYMLGHQYRKDLRVMHFKRANRVQLFFFEVEIRFDFWILFRPILVVGLVIVAASLSSLGLLVVYAVILIQPAFSTRNRFHSNGLLTPASYSTKLSVVSLSSRPMAYYRGRGRGRGYGGGGFSGYFKPEPVVLFPDVELPDVKGVPEEKTLVIWNSRLQNYWKASPYYLEENVSKKSQSKDIERYSDWEKPKSTSKRDSLNQILQLQSHNFPKELIGDSRRAQRSAKKMRWNLDSGLDKLDTFEKFEQGLEDPDKEGKEKKGDGEEDNTDEEPGELSDESYSDDGDYNENEHFDDDEDDYNQEDGNDDEPIY >EOX92423 pep chromosome:Theobroma_cacao_20110822:1:6759453:6761177:1 gene:TCM_001377 transcript:EOX92423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVSTSFCMIEMLGLWMNETLYFELLELDILSSFLPKPKSYDRRGHLSDPHETGLFMNRKSQDAAVGALVRMLKRAPPLRQDVSSSTNFSEASRPEIWSKSIHEQNQILEVFMYCIF >EOX95791 pep chromosome:Theobroma_cacao_20110822:1:35585787:35586899:-1 gene:TCM_005205 transcript:EOX95791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMGGVGWKRMFVHVGQERPSRYPLTSSFSKTPPTHKPLYVIATEFPFFPSRLGEATFLSIRCKPTLLRAWNHTQHVWRYNRECSVFVHCLKTEYQTISNDDSDASKVGRVYNGKGKATC >EOX93547 pep chromosome:Theobroma_cacao_20110822:1:13888238:13894642:-1 gene:TCM_002436 transcript:EOX93547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coated vesicle membrane protein-like MRVYASDSLGNDDNLFYNAIVDDGKCSQHSLSKMWASEAVTGGAKLRSENRQDTDVGGDKKCQMILVQNLVKELSSSTVLEFIHKQTSIASQAYIFPSLPWESYTNGVIVLDCRKDLEQLFGFLDNPNHFVVSSNGRPWVAAEKMSMNDHWTVMLESPEQHAKDEHIAPLLEQISKLEEALYNIQFEQHWIEAQTDRQAIVNERMGRRAIHKAMLESAALTGASILQVYLLRRLFKRKLGTSGV >EOX93626 pep chromosome:Theobroma_cacao_20110822:1:14417157:14418173:1 gene:TCM_002514 transcript:EOX93626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEIVSEMQSVLMENNVPSQNYDELKRVVLGKQMAGPRTGANGNTQDDQQLQGGDNNTLLALQEIQGPSTPVKINGNHVVGNEVVEKVKEKPKIMVTNDLKPRLRWTHELHAYFVDAVNQLGGPHSQCSFPLIL >EOX91909 pep chromosome:Theobroma_cacao_20110822:1:4451600:4457475:1 gene:TCM_000965 transcript:EOX91909 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose-5-phosphate synthase MTIQLPLSLSLACTVNFIPGSVDIKLSDYSFLSKYFDQKMAVSGCLVRPSQSSAPFLRPTKPSLSRKKHFCLRASAENLDDEGCKTMITKQNDGWKIEFSRKKPATPLLDTINYPVHLKNLSTSDLEQLAAELRAEIVHSLSETGGHLSSSLGVVELTIVLHHVFNTPQDKIIWDVGHQAYAHKILTGRRSRMHTIRKTSGLAGFPKRDESVYDAFGAGHSSTSISAGLGMAVARDLLGKTNNVVSVIGDGAMTAGLAFEAMNNAGFLNANLIVILNDNKQVSLPTATLDGPATPVGALSRALTKIQASTKFRKLREKAKGLTKQVGGQAHELAAKVDEYARGMISASGSNLFEELGLYYIGAVDGHNIKDLISTFEKVKAIPVAGPVLIHIVTEKGKGYPPAEASADRMHSVVKFDTKTGKQFKSKSSTLSFTQFFADSLIKQAEGNEKIVAIHAAMGGGTGLNIFQKRFPDRCFDVGIAEQHAVTFAAGFAAEGFKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAIDRAGLVGADGPSHCGAFDITYMACLPNMVVMAPSDEAELMHMVATAAAIDDRPSCFRFPRGNGIGVALPPNYEGTPLEIGRGRIIMEGNRVAILGYGFIAQQCIEAAHMLRSQDIYITVADARFCKPLDKDLIKQLAHEHEILITVEEGSIGGFGSHVSHFLSLTDILDGSLKLRAMVLPDRYIDHGSHEDQLEAAGLSARHIAAMVLFMLERPKEALQFKHK >EOX95842 pep chromosome:Theobroma_cacao_20110822:1:35740654:35744843:1 gene:TCM_005244 transcript:EOX95842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Programmed cell death protein 7 isoform 4 MQKELEILTMIKNGSTDPSVSEFLKYLEDRRIDLDTQESISMEAANSLMSKLRAQLEPFRYVVDEASPWEEKSAAARLANKINKSKRNKLWRKRKRKRIAEMLSKERERFDQADREADEWRAREIAKDIASRKVEKMKEIAKLKAKEEKMRLESELELVLIVEKLQELRSIRIQKLKKQGHFLPEEDDKFLEKVRAAVEEEERQALAAADTDAAKDAIATAEETRKATQNQKPLSKDPISDQLEDKESKDQKTPNEDEKDSSTVTDKESGQNTSEGHGYGGAYDSLANLPIEFYHYYHGSNTDMGTLIEVCSSTFLQSLDSLLHRTMFFFQAVYMVASNCWQFVYSMTMSGVSLMADAYTSICIQLHFHNNLLLLNLHVQVRRTWDAYIRPGGSRIPGHWVQPPPPADEIWASYLVRPE >EOX95841 pep chromosome:Theobroma_cacao_20110822:1:35740654:35744843:1 gene:TCM_005244 transcript:EOX95841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Programmed cell death protein 7 isoform 4 MQKELEILTMIKNGSTDPSVSEFLKYLEDRRIDLDTQESISMEAANSLMSKLRAQLEPFRYVVDEASPWEEKSAAARLANKINKSKRNKLWRKRKRKRIAEMLSKERERFDQADREADEWRAREIAKDIASRKVEKMKEIAKLKAKEEKMRLESELELVLIVEKLQELRSIRIQKLKKQGHFLPEEDDKFLEKVRAAVEEEERQALAAADTDAAKDAIATAEETRKATQNQKPLSKDPISDQLEDKESKDQKTPNEDEKDSSTVTDKESGQNTSEGHGYGGAYDSLANLPIEFYHYYHGSNTDMGTLIEKDMGCLYQTGRKPHTRPLGSATTSS >EOX95840 pep chromosome:Theobroma_cacao_20110822:1:35740682:35743586:1 gene:TCM_005244 transcript:EOX95840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Programmed cell death protein 7 isoform 4 MQKELEILTMIKNGSTDPSVSEFLKYLEDRRIDLDTQESISMEAANSLMSKLRAQLEPFRYVVDEASPWEEKSAAARLANKINKSKRNKLWRKRKRKRIAEMLSKERERFDQADREADEWRAREIAKDIASRKVEKMKEIAKLKAKEEKMRLESELELVLIVEKLQELRSIRIQKLKKQGHFLPEEDDKFLEKVRAAVEEEERQALAAADTDAAKDAIATAEETRKATQNQKPLSKDPISDQLEDKESKDQKTPNEDEKDSSTVTDKESGQNTSEGHGYGGAYDSLANLPIEFYHYYHGSNTDMGTLIEVRRTWDAYIRPGGRT >EOX95839 pep chromosome:Theobroma_cacao_20110822:1:35740101:35744587:1 gene:TCM_005244 transcript:EOX95839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Programmed cell death protein 7 isoform 4 MNPFHFQPAPPPPWFPMLPPAAPNSSTFWETRNVRDRLRELQDTLNLANAMQKELEILTMIKNGSTDPSVSEFLKYLEDRRIDLDTQESISMEAANSLMSKLRAQLEPFRYVVDEASPWEEKSAAARLANKINKSKRNKLWRKRKRKRIAEMLSKERERFDQADREADEWRAREIAKDIASRKVEKMKEIAKLKAKEEKMRLESELELVLIVEKLQELRSIRIQKLKKQGHFLPEEDDKFLEKVRAAVEEEERQALAAADTDAAKDAIATAEETRKATQNQKPLSKDPISDQLEDKESKDQKTPNEDEKDSSTVTDKESGQNTSEGHGYGGAYDSLANLPIEFYHYYHGSNTDMGTLIEVRRTWDAYIRPGGSRIPGHWVQPPPPADEIWASYLVRPE >EOX93109 pep chromosome:Theobroma_cacao_20110822:1:10527500:10529196:1 gene:TCM_001952 transcript:EOX93109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase PHI 9 isoform 1 MVVKVYGPAYATPKRVLVCLIEKEVEFETVPVDLLKGEHKDPEYLKLQPFGTVPVTQDGDYILYESRAILRYYAEKYKSQGTDLLGKTVEERGLVENWLEVEAQSYHPPIYTLTVQILFSSKLGFPRDENLIKESEEKLAKVLDIYEERLSKSKYLGGDFFSLADLSHLPFTQYLVDQMGKEYMIRSRKHVSAWWDDISSRPSWQKVLQLYAAPFKN >EOX93108 pep chromosome:Theobroma_cacao_20110822:1:10527502:10529305:1 gene:TCM_001952 transcript:EOX93108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase PHI 9 isoform 1 MVVKVYGPAYATPKRVLVCLIEKEVEFETVPVDLLKGEHKDPEYLKLQPFGTVPVTQDGDYILYESRAILRYYAEKYKSQGTDLLGKTVEERGLVENWLEVEAQSYHPPIYTLTVQILFSSKLGFPRDENLIKESEEKLAKVLDIYEERLSKSKYLGGDFFSLADLSHLPFTQYLVDQMGKEYMIRSRKHVSAWWDDISSRPSWQKVLQLYAAPFKN >EOX95495 pep chromosome:Theobroma_cacao_20110822:1:34447221:34451900:-1 gene:TCM_004979 transcript:EOX95495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin A17, ALPHA 1.13,EXPA17, putative MLVLYFRILFLNLESIKVTLSSKFISIRRRKKIHCFQREGETKNRMESAFLFTTAILLVGFFSTTFHVASAAVWLPAHATFYGGSDASGTMGGACGYGNLNTDGYGIQTAALSTALFNNGESCGGCYQIVCDAAKVPQWCLKGKYITITATNFCPPNYALPSDNGGWCNPPRPHFDMSQPAFQTIAKYKAGIVPILYRKVGCRRSGSIRFTITGRDYFELVLISNVGGAGEISKVWIKGSKTNKWETMSRNWGANWQSLSYLNGQSLSFRVQASNGMIRTALNLVPSNWQFGQSFKSNVQF >EOX95483 pep chromosome:Theobroma_cacao_20110822:1:34383078:34384465:1 gene:TCM_004966 transcript:EOX95483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASDESMTVVSSMHEDEYDDIDVGFDHDHDQQAHPHNLSRLSMCTSSMYTNEDDDDDDDHMGMYISRLSIESFDADVDEEFSDEKEGKELLELSSDSDKEPSCYSLPATPPRRRNRTGVLSQQLMGAVKDYASENEAQRGSFGRPKGSKNLRKRRIIRERWGDKESKCSSKKKDGDFMFGGYSNYSGSFSGESEGGSAGLVVITRPKGGRRSLCMDLEEVKACRDLGFELEHERMLEMPCRVSLSGSTLDTSSGGNSPIANWRISSPGDDPREVKARLKVWAQAVALASTSRHCS >EOX96161 pep chromosome:Theobroma_cacao_20110822:1:36796448:36802233:1 gene:TCM_005473 transcript:EOX96161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein isoform 2 MGLISGILMGMIFGISLMAGWRHMMRYRSTKRIAKAADIKVLGSLSRDDLKKICGENFPEWISFPVYEQVKWLNKQLSKLWPSVAEAASAVIKESVEPLLEEYRPPGITSLKFSKLSLGTVAPKIEGIRVQSLKKGQITMDIDLRWGGDPSIILGVEAALVASIPIQLKDLQVFTVIRVIFQLAEEIPCISAVVVALLSEPKPRIDYTLKAVGGSLTAIPGISDMIDDTVDTIITDMLQWPHRIVVPIGGIPVDTSELELKPEGTLTVTVVKAIDLKNMEMIGKSDPYVVVHIRPLFKVKTKVIENNLNPVWNQTFELIAEDRETQALTVEVFDQDIGQDKRLGIAKFRLIDLEPETPKEVNLSLLASLDTLKVKDKKDRGSCVIKFSKEEQLIALEEEKRILEERKRLKEAGVIGSTMDALDGAVSLVGSGVGLVGTGIGAGVGLVGTGVGAGVGIVGSGLGAVGSGLSKAGKFMGRTITGGHSSKKSGSSTPVNSIQENGGAKPL >EOX96160 pep chromosome:Theobroma_cacao_20110822:1:36796248:36802165:1 gene:TCM_005473 transcript:EOX96160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein isoform 2 MGLISGILMGMIFGISLMAGWRHMMRYRSTKRIAKAADIKVLGSLSRDDLKKICGENFPEWISFPVYEQVKWLNKQLSKLWPSVAEAASAVIKESVEPLLEEYRPPGITSLKFSKLSLGTVAPKIEGIRVQSLKKGQITMDIDLRWGGDPSIILGVEAALVASIPIQLKDLQVFTVIRVIFQLAEEIPCISAVVVALLSEPKPRIDYTLKAVGGSLTAIPGISDMIDDTVDTIITDMLQWPHRIVVPIGGIPVDTSELELKPEGTLTVTVVKAIDLKNMEMIGKSDPYVVVHIRPLFKVKTKVIENNLNPVWNQTFELIAEDRETQALTVEVFDQDIGQDKRLGIAKFRLIDLEPETPKEVNLSLLASLDTLKVKDKKDRGSCVIKLLYHQFSKEEQLIALEEEKRILEERKRLKEAGVIGSTMDALDGAVSLVGSGVGLVGTGIGAGVGLVGTGVGAGVGIVGSGLGAVGSGLSKAGKFMGRTITGGHSSKKSGSSTPVNSIQENGGAKPL >EOX94182 pep chromosome:Theobroma_cacao_20110822:1:24660700:24662422:-1 gene:TCM_003585 transcript:EOX94182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYNRISCPLIAKATKISNQNRTFQLSKSATKTAPFSLQNQQLKPQKLATKAAPSFLLQNLQQNRPPQLSFFNLHFLHFFSLTVRLSLLSFFFPLSRLLLSSFFPLFFCFSFILPHN >EOX95872 pep chromosome:Theobroma_cacao_20110822:1:35851078:35852533:-1 gene:TCM_005270 transcript:EOX95872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin 1-like protein MLSTSFIIPLQKDSLILQFQGSVFPTLLEKETLLPILLRNMELMKSLCSLHGGSLPLFSSVAFMARPSPHMKFRADILEFVSLCLIFKACTACLHFVNNVKVTYDLNKQTNSGLALPFCSSWILPGSYLWFSQVTDTTMAKENQTSFGEYLARNPALNPGIDLSVSFLTAGFWPCYKGFDLNLPAEMAAPLMLRNDSDTLSFSEIKTLFNMEDDDLVRLLHSLPCAKYKILGKEPKTKHISKTDSFQFNLS >EOX96558 pep chromosome:Theobroma_cacao_20110822:1:38234012:38241937:-1 gene:TCM_005786 transcript:EOX96558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 4G, putative isoform 2 MSFNQPRSDKSEQQYRKSGRSASSNQQRTSSGAYGKGAGGGPAPSPPLSSSSSLSSSRSLKKSNNAQGGQSRVNSPAVNPSESTSASAARNIQNGAHVLPQLQGASDAPVASSAAKPVESPATQRSTRAVPKAPTSQSATMSSDGSFPITPAKGDASKAFSLQFGSISPGFMNGMQIPARTSSAPPNLDEQKRDQARHDSSFRSVPNLPTPIPKHQLPRKDSVAADQSNSGEAHPVSKVKKDAQASAASPANQSQKPSLLNMPMTSMQMPFHHQPQVSMQFGGPNQQIQSQSVTAASIQMPMHMPLPMGNAPQVQPQVFVPGLQAHPLPPQGMMHQGQGLSFTPPMGGQLAPQLGMSIASQYSQPQGGKFGVPRKTTPVKITHPDTHEELRLDKRTDTYSDGGSSGPRSHPNVPSQSQPIPSFSPSHSINYYSNSYNTNSMFYPPTSSLPLSSSQITPNAQGPRFNYTVSQGHQKIAFINSAAAHSSPQVNKSVNLAHGTSEPPNVEPPRDVHNVKSSASSGTTQVTVKPSTVSIGEKVSDSSLSSSLLALEKVGSIKPSMPASEVISSQAQRDLDTCQESSVQQAKPGNESLTCKSLPAASKHSGGVPATNLDESLPSNSVSSAPAATSEESMPVVASNEGRRKESLGRSNSMKDYQKKPGKKGLIQPQNQSTSTSNLASPTADIGISSDSAVSETVEAKTAVASSAAADVLSQSTRELPSFNDASTSYLELKTDSKREGLTSVPSEVPGTGSNVDSLDMVQHAKIDGSSKLDEQPKPEISLELPSQPVLLKPMELKSDQEPALKSTNNDVPTSGTAQGVVGEDVGVNIENERVTDSVDVSTSGIADSTDVEGSHVDLTLSSDGSSSATGSSEITVTKSSASDLQSAPVPTPYLPESTSKCEGEGVPVPGSRDKPVPELSRTKSTLIKGKKKRKEFLQKADAAGTTSDLYMAYKGPEEKKETVIPSASAESNSISVKQASHEAPQVDAIESEKIGPNKAEPDDWEDAADMSTPKLETSDNGEKVHGGLVDHEKDGSGNMAKKYSRDFLLKFAEQCTDLPQGFEIASDVSEAFMTANVNDRDSYPSPGRVIDRQPSGSRLDRRASGIFDDGRWVKSYGPGRDLHLDLGYVAAAGFRPGQGANFGVLRHPRAQTPMPYIGGILAGPMQPMGPQGGMPRNSPDADRWPRGTNYQQKGLIPSPQTPLQIMHKAEKKYEVGRVADEEEAKQRQLKAILNKLTPQNFEKLFEQVKAVSIDSAGTLTGVISQIFDKALMEPTFCEMYANFCYHLAGELPDFSEDNEKITFKRLLLNKCQEEFERGEREQEEANKVEEEGEAKQSEEEREEKRIKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGEYENPDEEDVEALCKLMSTIGDMIDHSKAKVYMDAYFERMAKLSKNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQAQASRLARGPGINPAARRAPMDFGPRGSMLSSPGAQMGSFRGLPTQLRGFGAQDVRMDERQSFEARALSVPLPQRPIGDDSITLGPQGGLARGMSFRGPTAMSSAQLADVSPTSGDSRRMAAGLNGFSSVSERTSYGSREDLMPRYVTDRFAAPAAYDQLSSQERGTNFGHRDLRNPDRSFDRPLAASPPARGQTSGVTQNIPPEKSWPEERLRDMSMAAIKEFYRRERSCFVHQGFEFPELPSDNDCSVGNRLF >EOX96557 pep chromosome:Theobroma_cacao_20110822:1:38233998:38242398:-1 gene:TCM_005786 transcript:EOX96557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 4G, putative isoform 2 MSFNQPRSDKSEQQYRKSGRSASSNQQRTSSGAYGKGAGGGPAPSPPLSSSSSLSSSRSLKKSNNAQGGQSRVNSPAVNPSESTSASAARNIQNGAHVLPQLQGASDAPVASSAAKPVESPATQRSTRAVPKAPTSQSATMSSDGSFPITPAKGDASKAFSLQFGSISPGFMNGMQIPARTSSAPPNLDEQKRDQARHDSSFRSVPNLPTPIPKHQLPRKDSVAADQSNSGEAHPVSKVKKDAQASAASPANQSQKPSLLNMPMTSMQMPFHHQPQVSMQFGGPNQQIQSQSVTAASIQMPMHMPLPMGNAPQVQPQVFVPGLQAHPLPPQGMMHQGQGLSFTPPMGGQLAPQLGMSIASQYSQPQGGKFGVPRKTTPVKITHPDTHEELRLDKRTDTYSDGGSSGPRSHPNVPSQSQPIPSFSPSHSINYYSNSYNTNSMFYPPTSSLPLSSSQITPNAQGPRFNYTVSQGHQKIAFINSAAAHSSPQVNKSVNLAHGTSEPPNVEPPRDVHNVKSSASSGTTQVTVKPSTVSIGEKVSDSSLSSSLLALEKVGSIKPSMPASEVISSQAQRDLDTCQESSVQQAKPGNESLTCKSLPAASKHSGGVPATNLDESLPSNSVSSAPAATSEESMPVVASNEGRRKESLGRSNSMKDYQKKPGKKGLIQPQNQSTSTSNLASPTADIGISSDSAVSETVEAKTAVASSAAADVLSQSTRELPSFNDASTSYLELKTDSKREGLTSVPSEVPGTGSNVDSLDMVQHAKIDGSSKLDEQPKPEISLELPSQPVLLKPMELKSDQEPALKSTNNDVPTSGTAQGVVGEDVGVNIENERVTDSVDVSTSGIADSTDVEGSHVDLTLSSDGSSSATGSSEITVTKSSASDLQSAPVPTPYLPESTSKCEGEGVPVPGSRDKPVPELSRTKSTLIKGKKKRKEFLQKADAAGTTSDLYMAYKGPEEKKETVIPSASAESNSISVKQASHEAPQVDAIESEKIGPNKAEPDDWEDAADMSTPKLETSDNGEKVHGGLVDHEKDGSGNMAKKYSRDFLLKFAEQCTDLPQGFEIASDVSEAFMTANVNDRDSYPSPGRVIDRQPSGSRLDRRASGIFDDGRWVKSYGPGRDLHLDLGYVAAAGFRPGQGANFGVLRHPRAQTPMPYIGGILAGPMQPMGPQGGMPRNSPDADRWPRGTNYQQKGLIPSPQTPLQIMHKAEKKYEVGRVADEEEAKQRQLKAILNKLTPQNFEKLFEQVKAVSIDSAGTLTGVISQIFDKALMEPTFCEMYANFCYHLAGELPDFSEDNEKITFKRLLLNKCQEEFERGEREQEEANKVEEEGEAKQSEEEREEKRIKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGEYENPDEEDVEALCKLMSTIGDMIDHSKAKVYMDAYFERMAKLSKNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQAQASRLARGPGINPAARRAPMDFGPRGSMLSSPGAQMGSFRGLPTQLRGFGAQDVRMDERQSFEARALSVPLPQRPIGDDSITLGPQGGLARGMSFRGPTAMSSAQLADVSPTSGDSRRMAAGLNGFSSVSERTSYGSREDLMPRYVTDRFAAPAAYDQLSSQERGTNFGHRDLRNPDRSFDRPLAASPPARGQTSGVTQNIPPEKSWPEERLRDMSMAAIKEFYSARDEKEVALCIKDLNSLSFHPTMIALWVTDSFERKDMERDLLAKLLVNLTRSRDGVLSQVELVKGLESVLSTLEDAVNDAPRAAEFLGRIFAKVIIENVISLWEIGRLIYEGGEEPGRLLEIGLAGDVLGSTLGIIKTEKGETFLNEIRSSSNLRLEDFRPPDPNRSSILENFI >EOX92654 pep chromosome:Theobroma_cacao_20110822:1:7951079:7951445:1 gene:TCM_001570 transcript:EOX92654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPFSHLPPGMLSLVPRYVRTLLIKVISQPSFQFPLPSFPKKCNNYSSFEGLIECFNDDPTKNSHFSPLMFLPKCQNPII >EOX96775 pep chromosome:Theobroma_cacao_20110822:1:38934111:38935429:1 gene:TCM_005946 transcript:EOX96775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ecotropic viral integration site 5 protein MGDSGLGKQESKSEMAAEEGKRADAQLFHLLSNLLHQVETLTNQEEVELRSKIEALGLEVTKVPSKSTHGLDELEIAKELDKLSAKLDGVDEMISSAMAADPHVQSLLSGTADVWMPVITANADERRNFTASMPHDKLQDKPQGDENLSN >EOX96266 pep chromosome:Theobroma_cacao_20110822:1:37160312:37163521:-1 gene:TCM_005545 transcript:EOX96266 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein MRKIRGFKIGKRLVRISRWFIRKARNPRGYHHLTQAGSFCKSKTFSKLIGWGRRLTNGAKSICSVKPGSGYLPIGQDPVDEKPKEVPKGHLAVYVGQKDGDLHRVFVPVIYFNHPLFGELLREAEEEYGFTQPGGITIPCRFSEFERVQTRITAGTGGRKLIWKRHH >EOX96502 pep chromosome:Theobroma_cacao_20110822:1:38034041:38037668:1 gene:TCM_005737 transcript:EOX96502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MTIQNVIDFQLIIFLLYLCHGEAGKSCPYAACSEEGPSVRFPFWLKDRQPESCGYPGFALSCSKRNETLIEIPYSGQFVVADIDYEYQNIYISDPKGCMARRLMTLNVTSSPFMISGALHFILLNCSSITGRPELLPLDCLSSSNHLVYAVNASTYMSLDLLSSCHFTADVTSSLKFSHKAFMPSFYDLTLGWEIAGCDIGCRVRGGECRLKGNNSLEVECSIEHLPAYKSSPSEAWNMIIRVSIGILIIPLPVTLTVLYLIRSRRSQAEKIRRKIKIFLEDFRSLHPTRYSFADVQKMTNKFRKKLGQGGYGSVFKGELENGVPVAVKLLDNSKGNGEDFTNEISIIGRIHHVNVVRLFGYCADESEQALVYEFMPNKSLDKFIFPANSNRPKLSWGKLQHIAIGVAKGIEYLHQGCDQRILHFDIKPQNILLDIDFNPKISDFGLAKLFPKKESVVSITAVRGTTGYIAPEVYFSGNIGNVSYKTDVYSYGMLLFEMVGSKRNKDLTVGNTSQVYFPQWVYNRLANGEDLGIKEEKDGDDDIAKKLSVVAIWCVQWDPSNRPSMSTVIQMLEGRTRSLPLPPDPFASLSLEESDLNVL >EOX91750 pep chromosome:Theobroma_cacao_20110822:1:3699020:3700044:1 gene:TCM_000834 transcript:EOX91750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCGLLATLLILAIYFCGEFDILACSSPRARSSTNWSYCKGNHVSPAIKPLGIQISTSQSCVSACKKVDGVSAWLMNGVAAAFFMSLERCSCIHIDTKDDADDNQLLAPDSRR >EOX90810 pep chromosome:Theobroma_cacao_20110822:1:691408:700317:-1 gene:TCM_000177 transcript:EOX90810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 1 MKTEMLALLMEKKAFETPPRSSSESSPILASPMATPLRKVFNRISGFASSWGRKTAPRGGRIFHRDVEQEEFQYASTQCLSSYYSVFVARLAIMVMLAILIGLLTILTWHFTRIYTTRSLNTLAFGLRYELLQRPILRMWNILNSTSEITTTQVKLSEYVIKRYSKPTTQAEQVELYQMMKDVTWALFASRKALNAITINYKNGFVQAFHRDHRSNNTFYIYSDLVNYSISTSESYDTKMLTSRQGWNDQFFHGNFSAIWYREPLDPVTGEKTGKAKPIPPDDLINIAGPSQVPDGVASWHVAVSKYTDSPLLSAALPVRDASNTSIVAVVGVTTALYSVGQLMKELVEVHSGYIYLTSQEGYLLATSTNAPLLKNTTKGPKLMMAVDSEDHVIRMGAQWLQNAYGNKFPPGHVVHVENANLGGKHYYIDSFFLNLKRLPMVGVIIIPRKYIMGKVDERALKTLIILISASVCILVIGCVCILILTNGVSKEMKLRAELISHLDARRRAEASSNYKSQFLANMSHELRTPMAAVIGLLDILICDDCLTNEQYAMVTQIRKCSTALLRLLNNILDLSKVESGKLVLEETEFDLGRELEGLVDMFSVQCINHNVETVLDLSDDIPKLVRGDSARVVQVFANLISNSIKFTTSGHIILRGWCENPNVSSDSGKFSPDRKKSLSALRTKLKQHGNHMKKASKRDNKMILWFEVDDTGCGIDPSKWESVFESFEQADPSTTRTHGGTGLGLCIVKTLVHKMGGEIKVVKKNGPGTLMRLFLLLSTPADGTEQHGQVDFAKHSVAVILALNGSMGRLIMSQWLSRNGVPTLEASEWNELTQILHELFHARTRNCGFDSHYSLNETLRSKVHCIQDMRNPAYVIVVDLGLLDLSTDIWKEQLNFLDKFSGQVKFAWMLNHDTSNAIKMELRRKGHILMVNKPLYKAKMLHILEAVIKERYVELQKRRTNGTKGTAKEGDSHECLEIDSSHFETCSSDDSDNSESGGTNSVSSVHTGEETREGTVKSSPSNCQTLKNCLVEFTHLGSEVNDLRAEEDQCNARPKLHDTEDTKYESSNSPEQHSVSSSAKDRDDSYTSKAANGQKSLEGLRILLAEDTPVLQRVATIMLEKMGATVIAVGDGLQAVDALNCVLNGEVYRRDSSLQERRNRLQTEISDSPPYDLILMDCQMPKMDGYEATKAIRKSEAGTGWHIPIVALTAHAMSSDEAKCLEVGMDAYLTKPIDYKLMVSTILSLTKRSA >EOX93248 pep chromosome:Theobroma_cacao_20110822:1:11604986:11608969:1 gene:TCM_002092 transcript:EOX93248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like B3, putative MANEFSLALYEKVACKNIIQRALDIALLFLLVSLLFYRLYSLNNHGFVWLLAFFCESWFTFNWFLLVSSKWNPVDFKTYPENLAQRFPELPLVDMFVTTADPVLEPPIITVNTILSLLAVDYPADKLACYLSDDGCSPLTFYSLVEASKFAKLWVPFCKKYKIQVRAPFRYFLDDPISFTSSANSEFRQDWKKMKAEYEHLSLKIEEAGRKSGPWDLTGEFAIFSNVERSNHPTIIKAIGDNKQSSSDGDVPHLVYISREKRPKHAHHYKAGAMNVLTRVSGLITNAPYMLNVDCDMFVNNPQVVRQAMCQLLDSKSEREIAFVQYPQCFYDGPKDDPYGNQLVVLMEYLGRGIAGIQGPCYSGTGCFHRRKVIYGLWPDDSENQGRNHTSINGKLTDNEPLKEFGKSKEFSESVTYALKGKKGFSNNISGSLEAAFQVSRCGYEFGTSWGTKVGWIYGSMTEDVITGLTIHKKGWKSTFPMPNPPAFLGCAPSGGPAAMTQQKRWATGLLEILVSDKSPIFATLTDRLQFRMFLAYFWILSWGLGSIPELCYAALPAYCIIANSHFLPKVQDPAILIPLAIFVIYNLLTLREYLKTGISIRAWWNNMRMGRINAASAYLFGTLSVVLKLLGLSDTVFEVTQKDQSSDGDQTNDTTNFTFDASPIFVPGTTLMLVHLTALLALSLKLRPLVHDVGHVVGLGEVLCSIWVVLCFLPFLKGLFRTGKYGIPSSTIFKSTSLALVFVYLCRTS >EOX91869 pep chromosome:Theobroma_cacao_20110822:1:4222075:4223592:1 gene:TCM_000929 transcript:EOX91869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQMERDLSHRRSFYFSGCMMSPSCFPVHEEMEYSRIHYRSSSSDKTRRRWRNLLRRLVRDGKSSIYGSKPLSFHYDAVSYSQNFDDGCHHDESGHGRRVFQDVRWFQDRLKNNRSKTSCSS >EOX96414 pep chromosome:Theobroma_cacao_20110822:1:37690514:37692525:-1 gene:TCM_005662 transcript:EOX96414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANTDQESAPLIEDNHQPQDLAIDIPEEDLEPAPECSIYKVPSCFREANQKAFTPHLISIGPIHRGNTNLARMERQKQRYYQKFRQRTSKKTLEEFSSFIKEHVSGICKCYDVDFGFDTELEVSKFVKMILFDAIFIIELFLRNSENEVNDFLFRGRWLRLELQTDLMLLENQLPLFVLEDLYNLAFLTSDKPSFLHLACFYFCIDGDQTFKRKGIKHFTDLIRCHAVTTRPSNSDEKIDNKNMYNATMLHEAGVKFEVVDDDLLNVKFEKGVLQIPPFPVVNATETIFRNLMVFEQCHYPGEAFFCSYIQLLNYLIDTDKDVDLLVKEGIFDNRTGSSVAVANMINNLSMGVADLSSCFDKIEEDLNQYYDNSWNRTKATLKHVYFNNLWRGTATAAAFIVVVLTLIQTILAILERAIPTK >EOX94043 pep chromosome:Theobroma_cacao_20110822:1:19466957:19468049:-1 gene:TCM_003126 transcript:EOX94043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTEESKDPLKGVDWKAIGSELQKDPSAGAKPVIKKRLPKKIRQIPDCYFLPRMSLPSAIAFYGACIAGGIGAGMLLEVWINKKIKVQNSFLQLYDRDTYPERIEWEKHELNFAKRLERKGKALCTPYTEDGGVIWEFDK >EOX96523 pep chromosome:Theobroma_cacao_20110822:1:38117180:38118952:-1 gene:TCM_005757 transcript:EOX96523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MDNERLFEAARTGNIEVLYDLLEKNPLILTDVALSCSTETPLHVAVKAGQLDFVHQIMKHKPEFAGEMRKDGYRPLDIAVVTGHIDIVRQLLKTEFQIFRLPGKDQRTALHYAAAKGRVEIINELISTCPKCITDVTSYGETALHLAVKNNQFPAFSVLVNWLENLKEKTVIISGFVMGTLSCIWQLLEDNTSLELLVGKNNVFNGILEVNAPNSKGLTAMDILDIVMEEPNDIQMRKILQNDTVIAKKKQSWHDLAEEPLKDWFKYFKFQLERDSPSDTRNVLLVVAALIASVTFQEGLNPPSGLLEYDSQSNPASGNVTRAGPAVTSAFVAASALLGTQATSYLFLFWNSFGLTASLSIIIYLTGGFPFQRELLISMLSMMFSYGFSIYGMTEKDGVAYALLTVAFVLPFALRWLPTWVNKAWNWWRGPPTSWSFLAIGG >EOX96720 pep chromosome:Theobroma_cacao_20110822:1:38752896:38754209:1 gene:TCM_005910 transcript:EOX96720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L31e family protein MVDKASKGRKEEVVTREYTINLHKRSHGCTFKKKAPKAIKEIRKFAQKAMGTTDVRVDVKLNKQVWSRGIRSVPRRIRVRIARKRNDEEDAKEEFYSLVTVAEIPPEGLKGLGTKVIDEED >EOX96397 pep chromosome:Theobroma_cacao_20110822:1:37644094:37648855:-1 gene:TCM_005647 transcript:EOX96397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein, putative MQKVKVNPTSILQATKNGDLFSIWNYDGKIAYEDIIAATNDFDIRYRIGSGGNGSVYRAQLPSGKVVALKKLHRLEAEEPAFDRSFRNEIKFLTEVQHRNIVKLHGYCLHKRCMFLIYEYMERGSLFFILGDDVQAVELDWTKRVNIIKSTAYALSYVHFECTQIIVHRDISSNNILLNSDLEAFASDFGTARIIDPDSSNQTRLVGTYGYVAPELAYTMVVTENVMLIVLEFWHWKHWWESIL >EOX93350 pep chromosome:Theobroma_cacao_20110822:1:12277890:12283363:1 gene:TCM_002191 transcript:EOX93350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLSFLALNFMFVSLSYVVVSCFILTFVVNMRLLLKRNITFETCLVLDSTIIRSLCFFFSEESLKIYQVLFFLIFSYFNSILFLIVNSLGRYFVRQCRFIFAILSHRQSDFDFLCSKLFPLLKNF >EOX92647 pep chromosome:Theobroma_cacao_20110822:1:7906966:7915494:1 gene:TCM_001561 transcript:EOX92647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sirtuin 2 MLSRSPWHSPLFISASTSTREVLGSFLTDILQSSGNFQQSVSQGRRVISSHVSVKFVQTSCHISVPRVSVGRETQVPSKFLKDRKMVPNADPPSIEDVSRLYQLFDQSTKLVVLTGAGISTECGIPDYRSPNGAYSSGFKPITHQEFVRSSRARRRYWARSYAGWRRFTAAKPGAAHVALASLEKAGRINFMITQNVDRLHHHAGSNPLELHGTVYSVICLDCGFSFCRNLFQDEVKALNPKWAAAIESLDHGSPGSDKSFGMKQRPDGDIEIDEKFWEEDFHIPTCQRCKGTLKPDVVFFGDNVPKERADKAMEVSRECDGFLVLGSSLMTMSAYRLVRAAHKAGATTAIVNIGTTRADDIVSLKLNARLGEILPRVLNTGSLSIPALE >EOX91428 pep chromosome:Theobroma_cacao_20110822:1:2690361:2691055:-1 gene:TCM_000626 transcript:EOX91428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MDQDMERYRASNYLVLTPEEVRGWDVFRLLWSKKMQKKAYIYVPPSIEERVLENGLAITSLIAQRALHHIAEPLKWLGRMIEMSLNLVSFNRNIFMLLLNVLRCKVNVPDRTSGAFVSFIGHLDSRVKLDENINPGDARYNSALAAMASKLAYENKGFIKKTVEQQWKVN >EOX93791 pep chromosome:Theobroma_cacao_20110822:1:15851517:15856071:-1 gene:TCM_002718 transcript:EOX93791 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC, putative MFDDEPVNRNPQHSIPALDLDRLEIVTALGRGAKGVVFLARDEVKDEIFALKVISRDSIEKKSNKGVRSSDGNEGNEYRRVSFEQQVLNNFNHPLLPRLRGVLATDKVVGYAIDYCPGRDLSSLRKKQTEKMFSDDVIRFYAAELVLALEYLHNLGIVYRDLKPENIMIQENGHIMLVDFDLSTKLPPRSTKSSTHLNSVTERPPDSVKKRRFSPFQRYCNSGISPDDSASQAGVNSEHTESDSVEKSNSFVGTEEYVAPEIISGDGHDFAVDWWSLGIVLHEMLYGTTPFRGSNRKETFYRILTKSPDLVGEPTSLRNLIRLFLEKDPKQRITLESVKGHDFFKGIDWELILRIGRPPYIPPQSGNEIWKEGAEGFNKIDVELFVQGIYANGEAGGKSKGKHDESHDNNNNNNNNNNNNNYLENVNKNGWLKGQNHHPCEANQFAVF >EOX94033 pep chromosome:Theobroma_cacao_20110822:1:19405904:19406587:1 gene:TCM_003118 transcript:EOX94033 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-protein, putative MSCVCRTMFFLLVLAIIAISSSTPSPSEPGKGHTDSFEMYKTWHIHAVNGMSHEKILFLHCKSGDNDLGIHNLTAGTEFNWKFKPQILGKTLFWCYMAWGNVHASFKVFWDDNDLFYRCNWKNCIWTAKDDGVYLKNIPENYDEFRHKWEPGRLDANSTM >EOX96500 pep chromosome:Theobroma_cacao_20110822:1:38027503:38030577:1 gene:TCM_005734 transcript:EOX96500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MRRRLKNLTVTGSPFTIFRPDYYTLLDCSPGIERSDSETVLIDCLSSSNHLVSAAPASTVTSLDLLFSCHFIANVTLSQICRLKGPNFNCFDLIGLYNFTKLSWEIPGCGLDCRERGGECRLKGNNSLQVECFNEYIPPKGIPQYEEKSLGHIIKGVSIGISIGVLTLTLMVTLIILYLRSRRAEVEENRKKIKKFLEEFRPLNPTRYSFADLRKMTNEFEKKLGQGGYGSVFKGELENGVPVAVKVLDHSKGNGEDFTNEVNTIGRIHHINVVRLLGFCADESDRALVYEFMPNKSLDKFIFPANSRRPKLSWGKLQDIAIGVARGIEYLHQGCDQRILHFDIKPQNILLDIDFNPKISDFGLAKLFPKKESVVSITAVRGTMGYIAPEVYFSGNIGNVSYKTDVYSFGMLLFEMVGGRKNKDLTVENTSQVYFPQWVYDRLASGEDLGIKEDKDGDVDIAKKLSVVGIWCVQWDPTDRPPISTVIQMLEGRKGSLPLPPDPFASLSSEESDMNVP >EOX95139 pep chromosome:Theobroma_cacao_20110822:1:33002533:33005177:-1 gene:TCM_004695 transcript:EOX95139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily MASKLCSLLAMSLFILLPLFISPSLADAPPSDPVSPGTICKSTPDPSYCRSVLPNQTTNVYNYGRFSVRKSLSQSRKFLKLVDKYLTEYRSSLSTSAIRALEDCHFLAGLNMDFLLSSFETVNATSKILSPVEAEDIQTLLSAILTNQQTCLDGIQSTASAWSIRNGLAVPMTNDTKLYSVSLALFTKGWVPKRKKKAAWQPSSKQLAFKHGRLLLKMSSRTRSIYETVSRRKLLQTTDADEVLVSNIVTVSQDGSGNFTTINDAISAAPNNTKGVNGYFLIYITAGVYQEYISIAKNKKYLMMVGDGINQTIITGNRSVVDGWTTFNSATFAVVAPNFVAVNITFQNTAGAIKHQAVAVRNGGDLSAFYSCSFEGFQDTLYTHSLRQFYRECDIYGTVDFIFGNAAVVFQNCNIYPRLPMSGQFNAITAQGRTDPNQNTGTSIHDCNIVAADDLASSNTAFQTYLGRPWKEYSRTVYMQNYMGSLINPAGWKEWDGTFALSTLYYAEYDNRGPGSNTSSRVSWSGYHVINATDAANFTVSSFLLGDDWLPNSGVPYTASLL >EOX96092 pep chromosome:Theobroma_cacao_20110822:1:36586057:36587779:-1 gene:TCM_005427 transcript:EOX96092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase protein 27 MADAADHHQTQPIKEIAIDYTPEACTHCPVSNSITLTFDHRGGARWRSTTRFLYGTFSSLIQCPRGNTSGLNFNIYLSSLEGDKSQDEIDFEFLGKDKTIVQSNYYTTGTGNREQIHDLGFDCSDGFHEYTIKWNPDSIEWLIDGKVVRRAEKKEGEALPEKPMFLYASVWDASYIAEAKWTGPYIGCDAPYVCLYKDIQVPVATTVECSSDS >EOX95981 pep chromosome:Theobroma_cacao_20110822:1:36224692:36227243:1 gene:TCM_005345 transcript:EOX95981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein MMQIQLHSFPHFTLTPPNLSPSPHVFTSFSSRHQLAELVVNGHFPSWSFNSLKRVSKFQTSLRKCGGLVRVRCGVVEDESVDDAFYMRRCVELARTAIGCTSPNPMVGCVIVKDGKIVGEGFHPKAGQPHAEVFALRNAGELAENATAYVSLEPCNHFGRTPPCTEALIKAKVKRVVVGMVDPNPIVASKGLDRLRDAGIDVTVGVEEELCKRLIEAYIHQMLTGKPFVTLRTVNSKHRLFLTSRYSLAVNGHFLDQLGDGVTETGGYYSKLLQEYDAVILSASLTEKSSIPTSQEPGANQPLQIIVARNPCRLPGLSKETSKMIIFADKKTAIDSDLAQKGFEAVVLERINLTSILEHCKHQGLCSVLLDMRGSFGELEVLLKEAIEQNVLQKLVMEVLPFWDESNGGDSLVALNSLAERLEVKNLQSKISNKSIVLEGYLQHR >EOX91117 pep chromosome:Theobroma_cacao_20110822:1:1646020:1650862:-1 gene:TCM_000402 transcript:EOX91117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein isoform 1 MPDSEHIFLFLPSFLSLILFFILIKRKQRTFNLPPGRMGWPFVGETIGYLKPYSAISVGEFMQQHISRYGNIYKSNLFGDKTIVSADAGLNKFILQNEGRLFECSYPRSIGGILGKWSMLVLVGDMHRDMRIISLNFLSNARLRTHLLREVEKHTLLVLSTWKEDCIFSAQDEAKKEYALQFTFNLMAKNIMSMDPGHPETEQLKKEYVTFMKGVVSAPLNLPGTAYRKALQSRSTILKFIERKMEERIKKTKEGKENPEEDDLLEWVLKHSNLSTEQILDLILSLLFAGHETSSVAIALAIYFLPGCPTAIQQLREEHLEIFRAKKQSGEMELNWDDYKKMKFTQCVINETLRLGNVVRFLHRKALKDVRYGGYDIPCGWKVLPVIAAVHLDPSLFDQPEHFNPWRWQQNDNTTRGTSPSSSCPSTPSASSSNYFLPFGGGPRLCAGSELAKLEMAVFIHHLVLNYQWELADVDQAFAFPFVDFPKGLPIRVQRHTSDMN >EOX91116 pep chromosome:Theobroma_cacao_20110822:1:1640993:1650895:-1 gene:TCM_000402 transcript:EOX91116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein isoform 1 MPDSEHIFLFLPSFLSLILFFILIKRKQRTFNLPPGRMGWPFVGETIGYLKPYSAISVGEFMQQHISRYGNIYKSNLFGDKTIVSADAGLNKFILQNEGRLFECSYPRSIGGILGKWSMLVLVGDMHRDMRIISLNFLSNARLRTHLLREVEKHTLLVLSTWKEDCIFSAQDEAKKFTFNLMAKNIMSMDPGHPETEQLKKEYVTFMKGVVSAPLNLPGTAYRKALQSRSTILKFIERKMEERIKKTKEGKENPEEDDLLEWVLKHSNLSTEQILDLILSLLFAGHETSSVAIALAIYFLPGCPTAIQQLREEHLEIFRAKKQSGEMELNWDDYKKMKFTQCVINETLRLGNVVRFLHRKALKDVRYGGYDIPCGWKVLPVIAAVHLDPSLFDQPEHFNPWRWQQNDNTTRGTSPSSSCPSTPSASSSNYFLPFGGGPRLCAGSELAKLEMAVFIHHLVLNYQWELADVDQAFAFPFVDFPKGLPIRVQRHTSDMN >EOX93492 pep chromosome:Theobroma_cacao_20110822:1:13376239:13376816:-1 gene:TCM_002359 transcript:EOX93492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNQNIFVDRLNSLESKFDSWANEMKTYWIVRSPNYLLCGSGTVQPAPLFSSKPKTQANVLYLLCAFSEMVGLSLAVKYFVRPRWKFLKAFFQMVGKG >EOX91875 pep chromosome:Theobroma_cacao_20110822:1:4255514:4263133:-1 gene:TCM_000935 transcript:EOX91875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEILSLKNSYEGLWCICGDFNIIKSTKEKTGDNLDYSSMALFIDFINSIELVDLLLSGALFTWSDNREFPTMCRLDRFLIQDFALPNTSLFDKIKKLKHSIKAWQQQHHEDDSKRILQLENDIDQMEKVAEQRQLNISEKANYSALKSDLWKLRLREEQSWLQKSRLKWTQLGDRNTRFFHLTASNRRRVNCIQHLQCNGFTTDYPNIIKNEVLHGKLAVKATLHDKGIICREEATCLFCKAHLETPFHLFFNCFMAWEVWSLCCKKWCISWVSLGDVVSFFKAWMLMPLPNHKREPWRMLLFATLWSLWLCRNEIIFRNKTFDFHQIVDIIFLRHTLWCKSKWQLGHLSSNMCLTYPITSTVKGKRSKMKVSSTWTPPPYGTLKLNIDGAAKGKPGPAGIGGVLRDHQGIIKGTFSHNIGIKDSNFAEFQAIHEGLKFFLASPWASNSDLEVESDSLNAILWTRDHSKVPWRMKLISNAIETLCKSIRKVTFNHVSRELNLIADGVAKAGVLRAVNFSTFLQLPRIDPSSEA >EOX92221 pep chromosome:Theobroma_cacao_20110822:1:5778471:5782171:-1 gene:TCM_001201 transcript:EOX92221 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MESQDQNPVVLITGCSQGGIGHALARAFADNNCKVVATARSLSSMSDLQQDPRFYLQELDVLSEQSVQRVMSNVLDKFGRVDVLVNNAGIQCIGPLAEIPLVAMENTFNTNVFGSMRLVQAVVPHMASRKKGKIVNVGSVAAMAPGPWSGVYTASKAALHALTDTLRLELKHFGIDVINVVPGAVRSNIGDSAVAGFKRMPEWKLYKQFEAAIRERAYFSQRSRSTPTDVFAKDTVNAVLKKNPPAWFSTGHYSTVMAIMYHLPTSIKDFIIRKAMKG >EOX96469 pep chromosome:Theobroma_cacao_20110822:1:37899193:37900596:1 gene:TCM_005710 transcript:EOX96469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MINLDPHLESVARGIWEFCNLIQCTAMKPNENVKVRKPKNEKRLDIQSRKMYSPLGSTLMTFWEENSSFIFFGLPPTITLFFFRLFRICLGGFVDACNTQSCRKIIPLYICKYLFAALVISFVYSFGQEACRCCTQNLFLNSEKKNLPRYLKFVK >EOX92851 pep chromosome:Theobroma_cacao_20110822:1:8974539:8978845:-1 gene:TCM_001712 transcript:EOX92851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MSQSHLKEVDFFESSLPDNISIPVTSIPPRRSDKHRKLSKYLEAYDVDIPSSSNSVISHPITHFLSATHLSLAHKAFTALLSHIHGPSTYHQAIKHAYWREAMVVELVALENSGTWSTIPLLTDSHAIGHKWVYKTKMKADGPMERFKARLVAKGYSRVEGFDYQETFSPVARQSTVRVFLALATAKGWCLTQLYINNYFLNGDLDEDVYMSLP >EOX95304 pep chromosome:Theobroma_cacao_20110822:1:33725975:33730201:1 gene:TCM_004840 transcript:EOX95304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTDFKLKESVATSNPIFFHLLILSVCLTLRPYRLTARRLAQLAAIKSKLLQLMQPFNRFYNLNGSGFERSIRHSSHLSRLN >EOX95709 pep chromosome:Theobroma_cacao_20110822:1:35308128:35311272:1 gene:TCM_005151 transcript:EOX95709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane with DOMON related domain, putative MATPRFWVSFSRILVGLYLLCIFLGPKVFAAADFKEILVEPDSDDPDKGAQFKVLDHDTNDPLANGSISTAGDGDGGRYELCGTDLSFLGPPYGNISTSNMVCSPIWHTFVLRYYQRADNVMTIILSAVYTTGWVGIGFSRNGMMLGSSAMVGWFNRKGQARIKQYYLRGAHASQVIPDKGELPLNNIPPVVALHGAMIYLAFQAKFEHRLGRQPLIVAFGSRYPTHLHLTKHDDKTAVWFDFSQASVLDVDVSQRKNHGILGIMGWGLILPAGAMIARYLKHKDPLWYYLHAGIQFLGFILGLAAVLLGVQLYRSMNADIPAHRGIGIFVLVLSILQIMAFFLRPNKDSKYRRYWNWYHHWFGRMALFFGALNVILGIQLAGAGNDWKIGYGFLLAITLIAVILLEAFSCMRRRDKSGQPPTFQMNPI >EOX93437 pep chromosome:Theobroma_cacao_20110822:1:13093724:13118828:1 gene:TCM_002313 transcript:EOX93437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGDKNMVISLLNPFKDRITIDFPEIEVPNPKATSHQYTIHKSSRQFESTKSENAYAVTLKVKGLY >EOX93786 pep chromosome:Theobroma_cacao_20110822:1:15812844:15820776:-1 gene:TCM_002713 transcript:EOX93786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MAGSEFELHRPFTCFYKANEMSFEYGELEDWRGEKADPRKHGGVRASSIVCVVEVLENMVFLSNATNFVAYFLKSMHYPAAESANMVTNFMGTSFLLTLLGGFISDSFFTRFKTFIIFCTLELLGLILLTVQAQDSQLQPAINSKPSKSQEAILYTGLYAMAAGAGGVKAALPAHGADQLDHSKQRLISAFFNWYFFSLCFGGLIASTVMIWIEENLGWNWSFKISVVALSVALFIFSMGFPIYRYKRPGGSPLTRIYKVLASAIRNRKASLSEAENRIEIFGEKRNHDKFRSLNKALIGDSNVTATEVEETKTFLGLLPIFASTIMMNCCLAQLMTFSVEQGNIMNRSLNNFKIPTQSLSVFPLMVMLAFIPLYEYSVRLFRIKNNLPGRLNMFQPLRRIGLGLALASGSMAVAAIVEAKRRVEANNNVTLSVFWLGWQYLLLGLSDILTLGGMLEFFYSEAPDKMRTMSTALSWCSTSMGYFISSVLVTVSNSVSGHFGKEWIGGNDLNHARLDLFYTLLCILNLLNLLNYIFWARRY >EOX96458 pep chromosome:Theobroma_cacao_20110822:1:37873047:37876611:-1 gene:TCM_005704 transcript:EOX96458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive element binding factor 13, putative MWGESTSASASDHDLALLDSISEDLLADDFEASLKSSDFGRTFSFTSLLLNASTQPLKLGSEFGSGCPAPSNPTDSPAAPEKAEVPPLKASQGEAAGPPRGKGVNYRGVRRRPWGKYAAEIRDPKKKGARLWLGTYETPEDAALAYDKAAFEIRGSKAKLNFPHLIGSSNSTEPVRVCPRRRSPDTTS >EOX93006 pep chromosome:Theobroma_cacao_20110822:1:9896701:9905061:-1 gene:TCM_001868 transcript:EOX93006 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase 20 MQQDHRKKSSTEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHSGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQLLRALKYIHSANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNDKARRYLTSMRKKQPVLFEQKFPNADPLALRLLQRLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYMNGTERTNFLYPSAVDQFRKQFAHLEENGKSGPVIPLERKHVSLPRSTIVHSNTIPPKEQPSINSFKDRQNAEEAFSKSSRETEAVPVHLSRSLQAQQRIPLAKPGKVVGPVVPYENGTIMKDAYDPRTFIRSTVLPPQAVHPAYSYHKSGTGKQERSTMESERELVSQTKKVPQCGMAAKFAPDIAINIDTNPFFMTRVNKVEPADDRIAIDTNLLQAKAHYGGIGVTATAAATATAHRKVGTVQYGMTRMY >EOX94225 pep chromosome:Theobroma_cacao_20110822:1:26440992:26449325:1 gene:TCM_003762 transcript:EOX94225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin family protein, putative MRPNCCHVSFAFILKFLNFLQAFVGVSIILYSIWMLDQWNHHVPIYPPPIAPSPESFLSVHFNSRPEIGAEAARVFDDFVVGLASGLDNSVGFDLSSVKLPAPWFIYSFMGVGIVLCCITFIGCIAAESVNGCCLCFYTLLKIVLILIEAALVAFIAIDRHWEKDLPFDETGELDSLRSFIEDNIDLCKWVGISVVIVQALSLLVAIILRAMVSSGRRDIDNEDDYENIRGRTWKPLLNSQSSHTSTSAKTDGKGTHSDIWGSRIREKYGLNSGDRYNSADKYNLLNQNTSGSMKSK >EOX91981 pep chromosome:Theobroma_cacao_20110822:1:4749908:4751005:-1 gene:TCM_001012 transcript:EOX91981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMGQTVEEAESIALVWSLRCCQRENIMMKEIEMDCKVVVDWIKGRHLSGVLGHIVENCLNLMVSIDCDAILHCSRECNEVAHLLAKKAKGMSEEAVAWFDLSHMPNDIQLVIIKEVRSSFKDG >EOX96393 pep chromosome:Theobroma_cacao_20110822:1:37624677:37641825:-1 gene:TCM_005643 transcript:EOX96393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein, putative MTLDLSNNLLDGFIPSTLFQLSNLVFIDLSYNKLVGQLTNLNHLTKLEKLLLGFNRINGSIPSTIGHLKTLLALSIHSNFLEGSVPEEIGNLTALVSLDLSQNQLSGPIPPQIGNCFNLEELNLSNNNLQGHIPNQIRKLSLLDRVDLRNNDFSSVVPYNLHGAKYDYDVNPSFYNKDDEVYGGNKNLKSYSCSPLKKNCNLPIFLPITIFVAFFLFGCLLQLLLWRYKVKVNPTSVLQATKNGDLFSIWNYDGKIAYEDIIAATNDFDIRYCIGTGRYGSVYRAQLPSGRVVALKKLHRLEAEEPALDRSFRNEIKFLTEVRHRNIVKLHGYCLHKRCMFLIYEYMERGSLFFMLSDDVQAVELDWAKRVNIIKSTAHALSYLHFECTRIIVHRDISSNNILLNLDLEAFVSDFGTARIIDPDSSNQTRLVGTYGYVAPELAYTMIVTEKCDVYSFGVLALETLVGKHPAKILSLLSEPSSLQNIMLIDVLDPRLSPPTSQLVAQNIVHVATI >EOX91100 pep chromosome:Theobroma_cacao_20110822:1:1588790:1591403:-1 gene:TCM_000388 transcript:EOX91100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complex small chain family protein MIKAVMVMNTQGKPRLAKFYEYLPVEKQQELIRSVFAVLCSRAENVSNFIEAESIFGPDSRLVYKHFATLYFVFVFDSSENELAVLDLIQVFVETLDKCFKNVCELDIVFNYSKMHTILDEIIFGGQVLETSSTEVMKAVEEISKLEAASNAITLIPKSASGWRSR >EOX92023 pep chromosome:Theobroma_cacao_20110822:1:4965985:4967494:1 gene:TCM_001046 transcript:EOX92023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >EOX92896 pep chromosome:Theobroma_cacao_20110822:1:9227188:9229582:-1 gene:TCM_001750 transcript:EOX92896 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein isoform 1 MDELPDQLVWEILGRVRKTVDRNSVSLACKRLYELDSEQRICLRVGCGLDPANEALTSLCNRFPNLTKVEITYSGWMSKLGKQMDDQGLLILSGNCPSLSDLTLSYCTFITDMGLRYLASCSKLSALKLNFTPRITGCGILSLVVGCRNLTVLHLIRCLNVTSVEWLEYLGKLKTLEDLSIKNCRAIGEGDLIKLGPSWQKLKRLQFEVDANYRYMKVYDRLAVDRWQRQWIPCENMEELSLVNCIISPGRGLACVLGKCQNLEKIHLDMCVGVRDSDIVSLAQKSSNLRSISLRVPSDFSLPLLMNNPLRLTDESLKAIAQNCLMLETVRISFSDGEFPSFSSFTLNGILSVIQNCPVRELALDHVYSFNDVGMEALCSAQHLETLELVRCQEISDEGLQLVSQFPCLCVLRLSKCLGITDDGFKTLVGSYKLDLLAVEDCPQISERAIHGAARSISFRQDLSWMY >EOX92897 pep chromosome:Theobroma_cacao_20110822:1:9227205:9229667:-1 gene:TCM_001750 transcript:EOX92897 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein isoform 1 MDELPDQLVWEILGRVRKTVDRNSVSLACKRLYELDSEQRICLRVGCGLDPANEALTSLCNRFPNLTKVEITYSGWMSKLGKQMDDQGLLILSGNCPSLSDLTLSYCTFITDMGLRYLASCSKLSALKLNFTPRITGCGILSLVVGCRNLTVLHLIRCLNVTSVEWLEYLGKLKTLEDLSIKNCRAIGEGDLIKLGPSWQKLKRLQFEVDANYRYMKVYDRLAVDRWQRQWIPCENMEELSLVNCIISPGRGLACVLGKCQNLEKIHLDMCVGVRDSDIVSLAQKSSNLRSISLRVPSDFSLPLLMNNPLRLTDESLKAIAQNCLMLETVRISFSDGEFPSFSSFTLNGILSVIQNCPVRELALDHVYSFNDVGMEALCSAQHLETLELVRCQEISDEGLQLVSQFPCLCVLRLSKCLGITDDGFKTLVGSYKLDLLAVEDCPQISERAIHGAARSISFRQDLSWMY >EOX92895 pep chromosome:Theobroma_cacao_20110822:1:9227179:9229813:-1 gene:TCM_001750 transcript:EOX92895 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein isoform 1 MDELPDQLVWEILGRVRKTVDRNSVSLACKRLYELDSEQRICLRVGCGLDPANEALTSLCNRFPNLTKVEITYSGWMSKLGKQMDDQGLLILSGNCPSLSDLTLSYCTFITDMGLRYLASCSKLSALKLNFTPRITGCGILSLVVGCRNLTVLHLIRCLNVTSVEWLEYLGKLKTLEDLSIKNCRAIGEGDLIKLGPSWQKLKRLQFEVDANYRYMKVYDRLAVDRWQRQWIPCENMEELSLVNCIISPGRGLACVLGKCQNLEKIHLDMCVGVRDSDIVSLAQKSSNLRSISLRVPSDFSLPLLMNNPLRLTDESLKAIAQNCLMLETVRISFSDGEFPSFSSFTLNGILSVIQNCPVRELALDHVYSFNDVGMEALCSAQHLETLELVRCQEISDEGLQLVSQFPCLCVLRLSKCLGITDDGFKTLVGSYKLDLLAVEDCPQISERAIHGAARSISFRQDLSWMY >EOX90785 pep chromosome:Theobroma_cacao_20110822:1:617687:622871:-1 gene:TCM_000158 transcript:EOX90785 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein MASSWEHIGEVASVVQLAGFDAGRLIAMIAKAANTARMHKKNCRQFAQHLKLIGNLLEQLKISELKRYPETREPLEQLEDALRRSYILVNSCQERSYLYLLAMGWNIVYQFRKAQNEIDRYLKIVPLITLVDNARVRERLEVIEKDQHEYTLDDEDRRVQDVILKPEPSKNDAMILKKTLSCSYPNLCFNEALQKENAKLQLELQRSQANYDVQQCEVIQHLLEVTEVAAATSIPDKSSSMKGSKKVERNYSDANSEKDHSYDESSPNKSDTRTTSRNTSSVSSGHDLLSDRGSHRYDEWHADLLGCCSEPYLCMKTFFYPCGTFSKIATVATNRHISSAEACNELMAYSLILSCCCYTCCVRRKLRKALNITGGFIDDFLSHLMCCCCALVQEWREVEMRGVYGPEKTRISPPPSQFMES >EOX91669 pep chromosome:Theobroma_cacao_20110822:1:3494067:3497318:1 gene:TCM_000787 transcript:EOX91669 gene_biotype:protein_coding transcript_biotype:protein_coding description:D5-type cyclin, putative MGGLDTSLSCSSLLCPETVSSFSETERDDDQSVFEDDESVLFYKSSFVTEGEDEFIEKLVQREIAVGFKTNASFSDYESACESWQGGARLDAIEWIFNTRATFRFEVHTAYLSVTYYDQFLAKKPINDGYIWSTRLLSVACFLLAAKFEERRVPPSSELRVRNFLFQKALIKKMEVLVLGTLQWKMGTITPFAYLPYFISKFYGESGPKGLVSRALEHIMAMIKEMRLLDHRPSFIAAAAVLAASDVRLTKEEMELKMNFISFWGSRENEHIFSCYNMMQEIEMRKSRTPEHVISSEYSANQDPEDPSATSNGAGAKRKLTFNDPDGEGHPSSKQLYRAPLCGYAVVFA >EOX93738 pep chromosome:Theobroma_cacao_20110822:1:15483743:15487719:-1 gene:TCM_002660 transcript:EOX93738 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein isoform 2 MASMALNSPSSNHFVNDGYTSFSRVRLCSFSFESSLYGFKIPRRQLSLSVNETRTTRPKPACYSGSEPLKASSQSFDVVIVGAGIIGLTIARQFLIGSDLSVAVVDKAVPCSGATGAGSLLVGRTPGDSIILRERVRQLSEAGLTAEYLPSNELLSVEPALFVGSDGGAAFVPDDCQLDALRTVSYIEKVNRSFTLEGRYAEFYHEPVAGLVRSTSSGEVEAVQTSNNTLYGKAIVVAAGCWSQSLMHDLFRGSNVKLDVLVKPRKGHLLVLENFNSLQLNHGLMEVGYVDYENSILTPGLNDQSQTLSVSMTATMDTMGNLILGSSRQFAGF >EOX93736 pep chromosome:Theobroma_cacao_20110822:1:15483038:15488412:-1 gene:TCM_002660 transcript:EOX93736 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein isoform 2 MASMALNSPSSNHFVNDGYTSFSRVRLCSFSFESSLYGFKIPRRQLSLSVNETRTTRPKPACYSGSEPLKASSQSFDVVIVGAGIIGLTIARQFLIGSDLSVAVVDKAVPCSGATGAGQGCIWMVHKNPGSQTWELTKRSHQLWKRLAETIRDQGMDPLQVLGWKKTGSLLVGRTPGDSIILRERVRQLSEAGLTAEYLPSNELLSVEPALFVGSDGGAAFVPDDCQLDALRTVSYIEKVNRSFTLEGRYAEFYHEPVAGLVRSTSSGEVEAVQTSNNTLYGKAIVVAAGCWSQSLMHDLFRGSNVKLDVLVKPRKGHLLVLENFNSLQLNHGLMEVGYVDYENSILTPGLNDQSQTLSVSMTATMDTMGNLILGSSRQFAGFSTEVEDSIILHIWKRAGDFIPKLKELSLKNFIKNRNVRVGLRPYMPDGKPVIGHVPGLSNMFLATGHEGGGLSMALGTAEMVVDMVLGNPTHVDNSPFAAQGRCC >EOX93737 pep chromosome:Theobroma_cacao_20110822:1:15481803:15487876:-1 gene:TCM_002660 transcript:EOX93737 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein isoform 2 MASMALNSPSSNHFVNDGYTSFSRVRLCSFSFESSLYGFKIPRRQLSLSVNETRTTRPKPACYSGSEPLKASSQSFDVVIVGAGIIGLTIARQFLIGSDLSVAVVDKAVPCSGATGAGQGCIWMVHKNPGSQTWELTKRSHQLWKRLAETIRDQGMDPLQVLGWKKTGSLLVGRTPGDSIILRERVRQLSEAGLTAEYLPSNELLSVEPALFVGSDGGAAFVPDDCQLDALRTVSYIEKVNRSFTLEGRYAEFYHEPVAGLFRSTSSGEVEAVQTSNNTLYGKAIVVAAGCWSQSLMHDLFRGSNVKLDVLVKPRKGHLLVLENFNSLQLNHGLMEVGYVDYENSILTPGLNDQSQTLSVSMTATMDTMGNLILGSSRQFAGFSTEVEDSIILHIWKRAGDFIPKLKELSLKNFIKNRNVRVGLRPYMPDGKPVIGHVPGLSNMFLATGHEGGGLSMALGTAEMVVDMVLGNPTHVDNSPFAAQGRCC >EOX93213 pep chromosome:Theobroma_cacao_20110822:1:11314920:11316568:1 gene:TCM_002055 transcript:EOX93213 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 71C4, putative MIRLVVSLRNQILSQSSQVMQNLYLLVFCPSFLFNKQGGYLSLLEHARRFKEVEGIIINSFEELETHAFKSLMECSISTPPIYPVGPLVSLKGQKILQIDKAENNEILGWLDQQPPSSVVFLCFGSLGSFDEPQLSEIACGPERSEFRFLWSVRKAPAKGEFEAPREYTNLDLEGILPDGFLERTKKKGRICGCAPQADALAHEAVGGFVSDCGWNSILESLWNGVPVATWPIYSEQQMNAFQMVKDLELGVELKLDYRMSKGKLVMADEIERAARCVMDGESEVRLRVRKRLKRLEKL >EOX92997 pep chromosome:Theobroma_cacao_20110822:1:9860057:9863871:-1 gene:TCM_001860 transcript:EOX92997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase 15 MSFSCSTLPSILLLSLFVFTLTTCSKGNFYENFDVTWGGDQRAKILHGGQLLTLSLDKDSGSGFRSKHAYLFGRIDMQIKLVPGNSAGTVTTYYLTSEGPNHDEIDFEFLGNLSGDPYTLHTNVYSQGKGNREQQFYLWFDPTKAFHTYSIVWNRQRIIFMVDNIPIRVFNNMESIGVPFPSNQPMRIYSSLWNADDWATRGGLVKTDWTHAPFTASYRNFNANACIWSSSQSSCASQSTDSLQANAWEDQTLGAADRNRIRWVQNKFMVYNYCTDLKRFPQGLPPECKRSRFL >EOX91416 pep chromosome:Theobroma_cacao_20110822:1:2655111:2656652:1 gene:TCM_000620 transcript:EOX91416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVDVDRRMAGLNPAHIAGLRRLSARASAPSTPASLPVRNGLQSFSSLADKVITHLRNSGFQVQPGLCDAEFARAEAEFGFVFPPDLRAILSAGLPVGPGFPDWRSAGARLHLRASLDLPIAAISFQIARNTLWSKSWGPRPSDPEKALRVARNALKRAPLLIPIFNHCYIPCNPSLAGNPIFFIDETRVFCCGFDISDFFEMESLFRCSESDPELLKKQRSVSEKSAGSSTNFSRRSLDAGLVTGSRTPRWVEFWSDAAVDRRRRNSSSSSSNSSPERYFDMPRTQIPKWVDEYIEQIGSVLREGGWGESDISEIVHVSASGFFEGEMVLLDNQAVLDALLLKADRFSDLLRKAGWSSEEVSDALGFDFRPEKEKKPAKKLSAELVEKIGKLAESVSRS >EOX95411 pep chromosome:Theobroma_cacao_20110822:1:34109227:34130265:1 gene:TCM_004918 transcript:EOX95411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 2, putative MARAVGEDEADETVGLKLPTIEVRYENLCAEAECEVVHGKPLPTLWNTIKSICSAVTKVSRCKSQATKIKILKDVSGIIKPSRMTLLLGPPGCGKTTLLQALAGKLSPSLKATGETYYNGYKFTEFVPQKTSAYISQYDLHISEMTVRETLDFSARCQGIGSRADILKEVSKREKLHGIIPEPDIDTYMKAISVEGLKRTLQTDYILKILGLDICADTIVGDAMNRGISGGEKKRLTTGEMIIGPTKVLFMDEISTGLDSSTTFQIVTCLQQLTHITGATILISLLQPAPETFDLFDDIILMAEGKTVYHGPRIYVQEFFEHCGFSCPPRKGVADFLQEVLSEKDQAQYWYHKDRPHSYVSIDMFNAAFKEFHAGQKLNEELCTAFNKSESHKNALSLSIYSLGKLELLKACTAREWLLMKRNSFVYVFKSAQLVLIALITMTVFIRSRMKIDEVHASYFMGSLFYALIRLVCNGIAELPLSGSRLPIFYKQRDFYFYPAWAYSIPSTILKIPLSLLDAVLWTCLTYYVIGYSPEPGRFFRQLLLLFLVHQVSTSLFRFIASVIRNQSVAADFGQFTILVLFLFGGFLIPGPSLPDWIKWGFWISPITYAEIGVSVNEFLAPRWQQVSPSNVTLGHQILRKRGLDYSDYFYWISVGALIGFWMIFNVGFTFALSYFKPPGRSRAIISHERFHHLKEKEDSSNVVHQEKELFPVGALKAVTDAKTIGMVLPFEPITISFENVQYFVDTPKKVREQGFPHRKLQLLQDITGAFRPGILTALMGASGAGKTTLMDVLSGRKTGGHIDGEIKIGGYPKIQETYARISAYCEQTDIHSPQITIEESVKYSAWLRLPEQVDKHKRLEFVAEVLQMIELDEIKDALVGIPGVSGISPEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRVVKNIVNTNRTIVCTIHQPSIDIFESFDELILMKRGGQMIYSGELGQHSSRLIEYFEGIPGVPRIKENHNPATWMLDVTSPSAEAQLGVDFAHLYKESHLYRGGQMIYSGELGQHSSRLIEYFEGIPGVPRIKENHNPATWMLDVTSPSAEAQLGVDFAHLYKESHLYRRNEELVKELSLPVEGSEELHFATRFPQNGWQQFKACLWKQHLSYWRSPGYNLSRLILLTASSLLYGGLLRRKGRKMDDEQDFFSIIGSLFGIVIIIGISNCASVLPIITTQRTIVYRERFAGMYSSWAYSIAQVIVELPYVFLEAALFSTITYPLVNFYGSVYKVFWYFYAMFCTLLYYKYFGMMLVSLTPTHQMAAICASFSYPLMGLFCGYLIPGPKLPKWWGWCYWISPMSWSLKGFLTPQYGDIKQEMIAFGERKSVSAFLESHYGYKHQDLPIIAIVLIAYPIFFAFIFAYCIAKLNFQRR >EOX96064 pep chromosome:Theobroma_cacao_20110822:1:36472714:36476018:1 gene:TCM_005409 transcript:EOX96064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MIKLCLKNLNFSFKARQLYIYVGSIHFHFTNSSQLKLDSNRVLNELSKSGRINEARKLFDEMPERDEFTWNTMIAAYANSGKLTEAIELFKEIPMKSSITWNSLISGYCRGGMEIEAFDLFWGMQFEGQRPNQYTMGSILRLCSTLGLLQRGKQVHGYVIKTQFESNDYVVTGLVDMYAKCNCILEAECLFKMMPDKRNHVMWTAIVAGYSQNGEAFKAIECFRDMLVEGVESNQFTFPSVLIACAAVKAGNVGAQVHGCIFRSGFETNVYVQSALVDMYAKCRDLDNAMRVLENMEVDDVVSWNSMIVGCVRQGFEEEALSLFRKMHARDMKMDSFTYPSVLNCFASMMDSKHAMSVHCLIVKAGFEACKLVNNALVDMYAKQGNLDCAFQVFNHMPNKDVISWTSLVTGYAHNGRHEEALKLFCDMRTAGIYPDHIILASILSACAELTVLEFGQQVHANFVKSGLQSSLSVDNSLVTMYAKCGCIEYASRVFDSMQIQDVITWTALIVGYAQNGKGKDSVRFYDQMIASGTKPDFITFIGLLFACSHAGLLESGRSYFASMKKVYGIKPGPEHYACMIDLLGRSGKLVEAETLLNQMDVEPDATVWKALLAACRVHGNLELGERAAKNLFELEPWNAVPYIMLSNMYSASGKWEEAARIRRTMKSRGINKEPGCSWIEVNSRVHRFMSEDRGHPRTGEIYSKIDEMMLQIKEAGYVPDISFALHNTDEEGKELGLAYHSEKLAIAFGLLTVPPGAPIRIFKNLRVCGDCHTAMKYISRVFLRHIILRDSNCFHHFKEGQCSCGDYW >EOX93592 pep chromosome:Theobroma_cacao_20110822:1:14101006:14103011:-1 gene:TCM_002471 transcript:EOX93592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSSAGPLNIYRNDYEIELQMGQIQQEKRDCLTQGHVPILPERVHLDLQQNDFTEMVGIWEQWRRTHRDNFQNKYGHIAWLLYVPVDDQMLRAIVQFWDPSYRCFVFNKVDMTPTIEEYSSLLRIDHMQPDKIYWRAQKTGHRRKLAKLLGMTTVEVDQHLKKKGDTECLPWSFLNGYIKNTWRMNKLYLSASCPILEFCESEWPDCKRKEEWVTRLRSLMSIEVTWRAPWMPRMQVMYKCGDKSWVPLMGPWGAISYAPIMVRRQFGSEQFVPMTHQLDQLEFTYGEPKTLKRIEEIAQDWKKTCRVDQGRVTDEVTTGYHTWHDQRVKNVIHPPKNPSKHPINPEPQDVLLESELTRKRLEKEMMNMKRRHEDELEEVKKETARKVRVALKERDEWQSKFEEVRVVNSSLLARIQELQSANNAL >EOX96170 pep chromosome:Theobroma_cacao_20110822:1:36839575:36842011:-1 gene:TCM_046702 transcript:EOX96170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 family protein MRNSKDEASMKKEDILSRFLQVSDTDPTYLRDIILNFIIAGKDTTTATLSWFIYMLCKHPDVQEKVAQEVKEATDTKEVKDFAEFAAILSEEALGKMHYLHAALTETLRLYPAVPVDAKICLSDETLPDGFSVRKGDMVCYQPYAMGRMKFIWGDDAEEFRPERCLDEEGMFQPESPFKFTAFQAGPRICLGKEFAYRQMKIFSAVLVHCFAFKLSDENKCVTYRTMINLHVDGGLHVRALHRCQT >EOX94971 pep chromosome:Theobroma_cacao_20110822:1:32245338:32251532:-1 gene:TCM_004558 transcript:EOX94971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein MELYGRNPGRNGSQSGHQPEWSPAGPETGLEESMWQLSLRGTESYPERPGVPDCVYYMRTGFCGYGNRCRYNHPRNRAAVEAAVRATGEYPERPGEPACQFYLKTGTCKFGASCKFHHPKHGGGSFSHVPLNIYGYPLRPGEKECSYYLKTGQCKFGITCKFHHPQPAGTSMPASAPQFYQPVQSPSVPMPEQYGGASTSVRVARPPLLPGSYVQGAYGPVLFSPGVVPIPGWSHYSAPVSPVLSPGAQPAVGATSLYGLTQLSSSTPSLAGPYSSVPSSTGPASSNQKEQTFPERPGEPECQYYLRTGDCKFGSSCRYHHPRDRVVPRTNCVLSPMGLPLRPGVQPCSFYLQNGHCKFGSTCKFDHPMGTMRYSPSASSLIDMPVAPYPVGSLLATLAPSSSSSELRPELISGSKKDSYLSRIPSSASTSSSSVGLIFSQTGSVPLSDLQLSSQSSVPLSSSRSTRQGGEVRRSN >EOX95637 pep chromosome:Theobroma_cacao_20110822:1:35018091:35027357:-1 gene:TCM_005094 transcript:EOX95637 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER-type Ca2+-ATPase 2 MEERPFPAWSWSVEQCLKEYNVKLDKGLSSYEVENRRDRYGWNELVKEKGKPLWRLVLEQFDDMLVKILMVAAFISFILAYMHGSESDESGFEAYVEPFVIVLILVLNAIVGVWQETNAEKALEALKEMQCESGRVLRDGFLVPDLPARELVPGDVVELQVGDKVPADMRIAALKTSTLRLEQSALTGEAMPVLKGSSPIFPEECELQAKENMVFSGTTVVNGSCVCIVVCTGMNTEIGKIQKQIHEASLEESDTPLKKKLDEFGSRLTTAIGLVCLVVWLINYKNFLSWDMVDGWPANVQFSFEKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMAVAEFFTLGGRTTTCRIFHVEGTTYDPKDGGIVDWTCYNMDANLQVMAEICAVCNDAGIFSDGRLFRATGLPTEAALKVLVEKMGVPDAKMRNKIRDIQLVANYLIDRSTVKLGCCEWWTKRSKRLATLEFDRVRKSMSIIVREPTGHNRLLVKGAVESLLERSTHVQLADGSLVPMDEPCRQLLLSRHSEMSSKGLRCLGLAYKDELGEFSDYHSENHPAHKKLLDPACYSSIESDLIFVGVVGLRDPPRDEVHTAIEDCKGAGIKVMVITGDNKSTAEAICREIKLFSDREDLRGKSFTGNEFMALSPSQQIETLSKPGGKVFSRAEPRHKQEIVRMLKEMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLANDNFSTIVLAVAEGRSIYNNMKAFIRYMISSNVGEVISIFLTAALGLPECMIPVQLLWVNLVTDGPPATALGFNPPDVGIMRKPPRRSDDALINSWVLFRYLIIGSYVGIATVGIFILWYTQASFMGINLVSDGHTLVELSQLRNWGECSTWSNFSAAPYMVGGGHLITFSNPCDYFTIGKVKAMTLSLSVLVAIEMFNSLNALSEDSSLLTMPPWRNPWLLVAMSVSFGLHCLILYVPILANTFGVVPLSLNEWLLVILVSIPVILIDEILKFVGRSQRYKVKKEKTA >EOX94345 pep chromosome:Theobroma_cacao_20110822:1:27957407:27958864:1 gene:TCM_003933 transcript:EOX94345 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD superfamily, subfamily IIIB acid phosphatase MARKTLLILALANLLIGLAAADWNILNQKWKNARGDSLKNYCESWRINVELHNIREFEVVPQECVHCIKKYMTSSQYKADSERAIEEVTLYLSSCCSLGGDGQDAWIFDVDDTLISTIPYFKKHGFGGEKLNSTSLEAWMKESKAPALDHTLKLFHQIKDNGVKIFLISSRSETLRSPTVDNLIKVGYHGWASLILRGLDDEYMQVQQYKSQVRKRLVDQGYRIWGIIGDQWSSLKGLPDAKRTFKLPNSIYYLS >EOX93034 pep chromosome:Theobroma_cacao_20110822:1:10042282:10046752:-1 gene:TCM_001888 transcript:EOX93034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MSSEGALNAELSKKTSFLGLKLWVLIGISVGAFIVLILCILSIWVTFRRRSRRSVDKFPLSQIPNVSKDIKVDKVGVQSFNDHPESLFLSVHDKSSDKNSEKMLAHLGVSKSSDPDNISQCSSIYHHERGFSSHSGEEGSSGTVRKQSALSYGGLVTASPLVGLPEISHLGWGHWFTLRDLELATNRFSAENVLGEGGYGVVYRGRLINGTEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNNGNLEQWLHGAMRQHGTLTWEARMKVILGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGSGESHITTRVMGTFGYVAPEYANTGLLNERSDIYSFGVLLLEAVTGRDPVDYGRPANEVNLVEWLKMMVGTRRAEEVVDPNLEVRPTTRALKRALLVALRCVDPDADKRPKMSQVVQMLEADEYPFREDRRNRKSRTASMEIESMKESTDMESKAGESQSNVTETTHK >EOX96287 pep chromosome:Theobroma_cacao_20110822:1:37220533:37221062:1 gene:TCM_005565 transcript:EOX96287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGGATWENKPCFKLRITAGFHYYAFYHPIKKNTTKTLFVLRLSFLCLHPQSHYWLLLYLANLFTSVISER >EOX93864 pep chromosome:Theobroma_cacao_20110822:1:16910624:16914081:1 gene:TCM_002846 transcript:EOX93864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol-phosphate mannosyltransferase-related MKHVVKIFSLLVAISGLWIGLLQASIISQSHTWLLPIYSIVSLGCYGLLMVGVGLMRFPTCPQEALLLQQDIAEAKDFLNQKGVDVGFA >EOX94267 pep chromosome:Theobroma_cacao_20110822:1:27173247:27453605:1 gene:TCM_003843 transcript:EOX94267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-protease-integrase-RT-RNaseH polyprotein MGLLHEVKQFLSQHFDMKDKGEASYVIKIKIHRDKSQGIMGLSQKAYINKVLKRFQMKDCSPSVTPIVKGDKFNLNQCPKNELEKEQMKNIPYALAVGSLMYAQLCTRPDITFGIGMLGRYQSNPGLDH >EOX92884 pep chromosome:Theobroma_cacao_20110822:1:9141363:9147086:-1 gene:TCM_001741 transcript:EOX92884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase 1 MAIRRAMSGGLMGRTAVLGERSMSSWWRSVEPAPKDPILGVTEAFLADPNPDKVNVGVGAYRDDNGKPVVLECVREAERRIAGYLNMEYLPMGGSVKMVEETLKLAYGENSELIKDRRIAAVQALSGTGACRLFADFQKRFRPDSQIYIPVPTWANHHNIWRDAQVPQKTYHYYHPESRGLDFATMMDDIKNAPNGSFFLLHACAHNPTGVDPTEEQWREISHQFKVKGHFAFFDMAYQGFASGDPEKDAKSIRIFLEDGHYIGIAQSYAKNMGLYGQRVGCLSVLCKDEKQAVAVKSQLQQLARPTYSNPPLHGALIVSTILGDPDLKKLWLKEVKVMADRIIGMRTALRGNLEKLGSPLSWQHITNQIGMFCYSGLTPEQVDRLTNEFHIYMTRNGRISMAGVTTGNVEYLANAIHEVTKSA >EOX95836 pep chromosome:Theobroma_cacao_20110822:1:35735935:35737039:1 gene:TCM_005242 transcript:EOX95836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 METSQPLAIESFSYSWLSDHKPSLDGLSEPPGVYYGGTPGEFDDEMVESNRFLTDQNFNFDAIAQSPAAFIHADELFTNGFIRPIYIHPSRRESCNTLDSIQTTPSSSFSSRTEIPTVRIKCRFLRRWRKSTWQILRNLFGHLRPSCHKGGCLRTSTRVDDIDRRTWKVKSWKSSPQASPLQSTACSMGDSCHLENPIYEAVLHCKRSIDEEKITVEERRVLLEIHGS >EOX95837 pep chromosome:Theobroma_cacao_20110822:1:35735948:35737049:1 gene:TCM_005242 transcript:EOX95837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 METSQPLAIESFSYSWLSDHKPSLDGLSEPPGVYYGGTPGEFDDEMVESNRFLTDQNFNFDAIAQSPAAFIHADELFTNGFIRPIYIHPSRRESCNTLDSIQTTPSSSFSSRTEIPTVRIKCRFLRRWRKSTWQILRNLFGHLRPSCHKGGCLRTSTRVDDIDRRTWKVKSWKSSPQASPLQSTACSMGDSCHLENPIYEAVLHCKRSIEK >EOX92045 pep chromosome:Theobroma_cacao_20110822:1:5059369:5063543:1 gene:TCM_001066 transcript:EOX92045 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 1 MEEEEHEVYGGEIPDEGEMEGDLDPHNADVDMSAADDDAVKELDEMKKRLKEMEDEAAALREMQAKVEKEMGAVQDPAGAAANQASREEADSRSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKYGQPKGFAYVEFLEAEAIQEALVLNESELHGRQLKVLPKRTNVPGMKQYRPRRFNAYMGYRFRRPYVPPYFYSPYGYGKVPRFRRPMRYMPYY >EOX92046 pep chromosome:Theobroma_cacao_20110822:1:5059293:5063570:1 gene:TCM_001066 transcript:EOX92046 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 1 MEEEEHEVYGGEIPDEGEMEGDLDPHNADVDMSAADDDAVKKRLKEMEDEAAALREMQAKVEKEMGAVQDPAGAAANQASREEADSRSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKYGQPKGFAYVEFLEAEAIQEALVLNESELHGRQLKVLPKRTNVPGMKQYRPRRFNAYMGYRFRRPYVPPYFYSPYGYGKVPRFRRPMRYMPYY >EOX91519 pep chromosome:Theobroma_cacao_20110822:1:2974953:2978163:1 gene:TCM_000678 transcript:EOX91519 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 1 IAPLLAKWDDPKMAQDPGVCEVVKQLDQACREAGFFYVKGHGIPETLVKEVRNITHKFFDLPYEEKLKIKMTAAAGYRGYQRVGENITKGVPDMHEAIDCYKELKQGMYGALGKPMEGCNQWPHNPPNFKELVESYISLCTGMLVLLQYLCSLSFISLLGRKFMANFGFLVLSDLSRKIMRGIALALGGLPDEFEGERGGDAFWVMRLIGYPGVSAANGKCTPENDIGCGAHTDYGLLTLVNQDEDITALQVRNLSGEWISAPPIPGTFVCNIGDMLKILSNGLYESTLHQVINSSLTYRVCIAFFYEPNYDAAVEP >EOX91518 pep chromosome:Theobroma_cacao_20110822:1:2974178:2978413:1 gene:TCM_000678 transcript:EOX91518 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 1 MATDFKSIPIIDIAPLLAKWDDPKMAQDPGVCEVVKQLDQACREAGFFYVKGHGIPETLVKEVRNITHKFFDLPYEEKLKIKMTAAAGYRGYQRVGENITKGVPDMHEAIDCYKELKQGMYGALGKPMEGCNQWPHNPPNFKELVESYISLCTDLSRKIMRGIALALGGLPDEFEGERGGDAFWVMRLIGYPGVSAANGKCTPENDIGCGAHTDYGLLTLVNQDEDITALQVRNLSGEWISAPPIPGTFVCNIGDMLKILSNGLYESTLHQVINSSLTYRVCIAFFYEPNYDAAVEPLEVCVQRSGGTPKFEKAVYGEHLVSKVQTNFVM >EOX91985 pep chromosome:Theobroma_cacao_20110822:1:4769867:4771640:1 gene:TCM_001018 transcript:EOX91985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSTMFKVLMILGLLATSCMAQAPGAAPTSPPTSSPTPAATPAAPTPSPSATPTPSPTPSPTPSPAPSTAPTPSPTTSPSPSPRTSSPSPSPVSSPPAPTPSGGSTPASEPSAEVVPPPSAASTNGVFIGATALAATFFAALLA >EOX95773 pep chromosome:Theobroma_cacao_20110822:1:35537955:35539894:-1 gene:TCM_005194 transcript:EOX95773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMNPRIRKARHKERECPRKEVTGIRDPTWDDRDTVRGSRAGIWITSAHGRGCRGSNKHLVGDSLAGIWIFSRIFRHSSAIEGAVLEVKIAEFGSIDYEPPLAFFIRKSFLVTTKKSAGGGKNLNNPSKGPGFWSIKGKSCQIMRVDFIWRAWIRRHNEDAVGTGWRQDNMEQPDNETKHESQLVLGDIDGLREPNATFLSDLNLLMVALSLSSIRNEDCLNLVDTEKTSIEDREANKGKAYIPRLHSRKRETNDVKLMRRQTQRIQTSPKDFRSFFVGIVAVVEQNPLNPLLRDKIETADIRDVIPDGIW >EOX91809 pep chromosome:Theobroma_cacao_20110822:1:3969961:3973391:-1 gene:TCM_000885 transcript:EOX91809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha-2 chain MNRRRFLQEFSNGAVIEYPAKKGPYPQLILRWLTLANKKSPLAGQSNHEKMRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDTTVGGGDDAFNTFFSETGSGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSTFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGEDGDEGDEY >EOX92244 pep chromosome:Theobroma_cacao_20110822:1:5895235:5897504:1 gene:TCM_001218 transcript:EOX92244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDWTWTTLAVAALIFFFQSLVWKRSAKNKRLPPGPRGLPILGNLLMIGDKPHRDLQQLAQKYGPIMHLRFGSMPVIVVSSPEAAEQFLKTYDLVFASRPPHEGSKYICYNQQNISFSPYGSYWRNMRKMCTLELLSNLKINSFRSMRKEELHLFIDCIQEAASARVAVDLTATVSSLSTNISCRMVLGKKYNNDDFDEKGFEAIIREGMQIGAAFNIADYIPLFKALDLQGLKKRMKAVAKVFDDFFEKVIDEHVQSKDENRTKDFVDVMLGFMGSEESEYRVERDTIKAIILALSNYICFSRLLQDMLVAAMDTSATAIEWTLSELIKHPRVIKKVQKELENVVGMERLVEESDLEKLEYLDMVVKESFRIHPVAPLLVPHASVEDCTVNGFHIPKNSRVFINAWAIGRDPNIWTDAEKFIPERFVGSDIDLRGRDFQLIPFGSGRRGCPGMPLGLIMVRLVVAQLVHCFDWELPDGMLATELDMTEEFGLVSPRAKHLLAMPTWRLKTEKRAV >EOX94202 pep chromosome:Theobroma_cacao_20110822:1:25553404:25558788:-1 gene:TCM_003685 transcript:EOX94202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRKIALFSSLNDSKIAPLNSSLSPSFSYFLLPHRLTFLSFLFVLFAQAFFLFLFLLFTNRLLSFILSSSLYLFTHIYIFILSILFQLGWPLTFFFLSSFCSTWSAPFHQLN >EOX95706 pep chromosome:Theobroma_cacao_20110822:1:35299398:35302467:1 gene:TCM_005148 transcript:EOX95706 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase family protein, putative MESRNGFRWRKQGLKPIETKTGSKGEEENKSSSGQRTAEEEPLSPSARLFHEPNFNVYIIATLGCKTRIYPDVVKANLGHTLLKHPRFSSLQVEDEDNKGEMKWVRTEVDLERHVVVPDLDPNMDLPDKFLEDYIYNLSKTTIDKSQPLWDLHLLNLRTSQSEAVGVFRIHHSLGDGTSLMSLLLACTRQMNDPLALPTIPIRKKQERKNDRRGFWRIMFMLCSVFQVFWNSVVDVFMFMATALLLKDTENPFKGLPGVEFTPRRIVYGTVSLDDIKLVKNAMNTTVNDVALGITQAGLSRYINRIYGGNKNDGAAIEMDNLPKSIRLRSTLLVNIRPSAGIQALADMMEKDAEAKWGNWIGYVLLPFTIAIRDDPLDYVRDAKATIDRKKRSLEAIYTFSIAELVLKLFGVKAASALSHRIMSHTTMCFSNLVGPLEEIGFYGHPIAFLAPSSYGQPHALMINFQSYIDKMTIVLSVDEGTIPNPHQLCDDIVESLGLIKDAVVTRGLV >EOX92740 pep chromosome:Theobroma_cacao_20110822:1:8346122:8347076:-1 gene:TCM_001626 transcript:EOX92740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWFHSLLSPFKKLWDRLHSSQRRGRGIYILYKDVKSCPCEDVHVLWSILVQSQTAALPSKRSEASISPE >EOX94538 pep chromosome:Theobroma_cacao_20110822:1:29939203:29941621:-1 gene:TCM_004164 transcript:EOX94538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 94A1 MFIQLLTSLFLLTLPFFFLIISKSKKPSTPPSPSIFPKSYPIIGSYLAVKSNRSNRMQWMTQILQTSPSATFTLHHLFGHRQILTANPANVQHMLKTHFNNYQKGPFSISVLFDFLGNGIFNVDGESWKFQRQVSSHEFNTKSLRKFVQTVVDTELHDRLIPMFSEAASDKTVLDLQEILQRFAFDNVCKIAFGFDPACLLPSLPQSRFAEAFEDATNLSSERFRTFHNIAWKIKRFFNIGSEKRLKIAVSQVRDFAKKIVREKKQELADKSSLESVDLLSRFLQSGHSDENFVTDIVISFILAGRDTTSAALTWFFWLVYKHPEVEKEILKEIKDKSAMPVFEEVKEMVYTHASLCECMRLYPPVPADSKLAMDDDVLPDGTVVKKGTLMTYHPYAMGRMEKIWGSDWEDFKPERWLERDEAGKWSFVGRDPYTYPVFQAGPRICMGKEMAFLQMKRVVAGVLRRFKVVPAVEDGLQPEFLVYLTSKMKGGFPVRVEDRGQLD >EOX93489 pep chromosome:Theobroma_cacao_20110822:1:13329827:13333347:1 gene:TCM_002352 transcript:EOX93489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRALEVHDCGKLKILVPSLVSFENLTTLEVSRCQGLKHLIAHSTAKSLVQLTRMRFCLGNYTFQFPSLEAATLRHCRPKMKNVPQENLRLMYSTDEDEGHWEGDRNTTIQLLFMETVEYRAIEYLVLSDSSKLMDIRNWNPQGILDFKNLKFLKVYICRTSRYAFNPSMAMDLVHLEKLEIHDCQMLKEVVTTQGLAKKERMSKKLFPKLASLLFNAVPNLTRFCSGNYFEFPTLKELWVQSCPMLKTFISSSLTRNNSGQCLHTDLTVLFDEKVTFPSLEELGIIDMGSLRKISKSMVQLKRMRITDCKMIEEIIANGGDEEKDDIIFKQLEILRLQSLPRLRSFCSGYHHFEFPDLVKFIATECPELSVFSIGEISTPLLRRICLTGEDDGPLVNRDLNTTIKGSYTEKTRNEVEELKFLEWMLSELWRPSVE >EOX93747 pep chromosome:Theobroma_cacao_20110822:1:15520791:15523070:1 gene:TCM_002665 transcript:EOX93747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIPLIPSVFLYFIFIFTIVNGEQDSIYEILKAHGLPMGLLPKGITRFDFNDTGRFEVHLDQACNAKFESELHYDRNVSGTLSYGQIGALSGITAQELFLWFPVKGIRVDIPSSGLIYFDVGVVFKQFSLSLFETPRDCMAVLDFEPGNSIRDGKFVAEAIAKRVYLRTYDMNSIKKVLGGMIFRKVEKRSAIAQSV >EOX95307 pep chromosome:Theobroma_cacao_20110822:1:33739306:33740310:-1 gene:TCM_004844 transcript:EOX95307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCCFKYYIHCYMYVVLLLFLMFIVQNENMEIYSYGRKFLNVVKPIAVTIFWEACGNGTAVRLRFLRSLLHRNFLRGY >EOX93128 pep chromosome:Theobroma_cacao_20110822:1:10777610:10778491:1 gene:TCM_001981 transcript:EOX93128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMVICVQLYIHLKLEKKIKFCYFKSLSIILSSPHYLSPNAFACPSTSPTLFFLLYRNLFLLIIKMGSASSEILEVTDMEEVEVTEADAALLRELLEDESEGEELGNDRVKQVVHALEMELNGNSDDDKLQHDSLEPTDEEVKGEYCWAEMMAPNSPPVDETMAWYVDEMIGRAEFDFNIDFSQLYSRAFFEEETAYGCLWQH >EOX92417 pep chromosome:Theobroma_cacao_20110822:1:6731999:6733185:-1 gene:TCM_001371 transcript:EOX92417 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING zinc finger protein, putative MDSAPSTFLQDFLGNFHSRKLLLYKPPSQPSVTAAPPPYSQNTNLDENVFMILSVLLCGLICSLGLNFIVRCAFRISDRAASESSDNPSAGTVNRGIGKEALKTFPVVNYSAEMKLPGLDTECVICLSEFVPGERLRLLPKCNHGFHVRCIDKWLKSQSSCPKCRHCLIETCQKIIGCNQTRSSESRLPAQDNVVNMLPLEPEGMVRDYRGVR >EOX91351 pep chromosome:Theobroma_cacao_20110822:1:2398462:2400176:-1 gene:TCM_000573 transcript:EOX91351 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MRSMASSSSTKGIAAIVGVGPKLGRSIAFKFAHEGYTVAILARDLGRLSRFADEIAREEKAQVFAIRIDCSDSRSVKEAFEGVLSLGFVEVLVYNANQRVSWHPTNFTDIKIDSFEKSLAVSSLGAFLCAQQVLPGMVERGKGTILFTGCSASLNGIAGFSELCCGKFALRALSQCLAREFQPLGVHVAHIIIDGVIGPPRGPSASQRGLVGEQKQSGGGGDGAATMMDPDALAQTYWHLHVQDRTAWTQEIDLRPSITRFY >EOX96392 pep chromosome:Theobroma_cacao_20110822:1:37615205:37622249:1 gene:TCM_005642 transcript:EOX96392 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein MSMSTSKFIRKVSNSLSWCQAKASTSRDRSGKVEEIDYYSPPAPFANNPAPLANHSGITRVIRDLPPAHYSFKVESFTIFAETGLEEKFESGVFEAGGYKWRLLLYPKGNKKSNGGDCISLYLQIAETEKLPPAWEVNVSFRLFVFDQIRDKYLTIEDNGAVKRFHQMKTEWGFDQLLFLESFNDPSNGYLVDDSCVFGAEVFVTEQTRKLERIRVYPKGTGRAKGTALSLYLGFYQQSDALSGRSLYVKFKLCLMDQIVSNYKKITEGLTVEGILENWSKLKPVIMEEWNENRVALIYLLERLGMDKDWATWIGADSQSLWANRAQDRRWKL >EOX91803 pep chromosome:Theobroma_cacao_20110822:1:3937360:3940040:-1 gene:TCM_000877 transcript:EOX91803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase-related MAMASVRSGFLRTVLRGGSRPSATPKRGFASSSHHDDAYETAKWEKITYLGIATCTVLAIYNLSKGHHHHEEPPAYPYLHIRNKEFPWGVQTVCSRRNITRTTDGLLDTIFLSLAHFLHLSDRSIR >EOX95262 pep chromosome:Theobroma_cacao_20110822:1:33588219:33589378:1 gene:TCM_004812 transcript:EOX95262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCYLECKTSYTFQGIFFACSWLLFLYSITLLDSSFPIIAYLTLITQLTTTISYKLLNHISKGPNEDMKIFQKGIVQVVYLVLVVLLFLAARLGFHETWPRKSDCFDMGTGTTTCLAKSLLKLIPLMKYVPDRQTRDPQSALAKYNWAPYIAASTDLAESLYSNRPTGEVISKVTGTFIGDQVVSHVAKFLFGRWKIWGFRTGSIIGAYVGGKVGVMVYDLYYLYNFIFHGSGKSVGSPVHKEL >EOX96497 pep chromosome:Theobroma_cacao_20110822:1:38013143:38020707:1 gene:TCM_005731 transcript:EOX96497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF3598) [Source:Projected from Arabidopsis thaliana (AT2G44760) TAIR;Acc:AT2G44760] MQTPCLHLCKNYCLGFYFHQPNTQTISCSLSITSKFPKPKGTNRRLITQSQNRPSNGHNKTNPKGKVTVKGKKENVWSIDNEMAKASSQKEKEKEKERERSKQRRGKGKRVVGGRKNKIGRVLVSAAMLMEVETVLQTQEPVIKPAWNTFASSVSGIWKGVGAVFSPITAEMEPIEIGNRNENLYDCYTLARIEAVLSPAGKTSEIHRRINRVTLNPHGEVPQLKETVNDSMVNHVLPNFESFDLATSDVMEEDVMGNEPGLVFFEDGSYSRGPLDIPVGDIDDSKYYLSPTFKFEQCLVKGCHKRLRIVHTIEFGNGGSDVQIMRVAVYEEEWASPANLQDQSDLKFDLKPFSQRKRTQPSELTGPWKVFEVSATPIYGDEIEAAESNGAPYVYLCTETLKKRSLPESSVYFGEEEMLDMQDVTVLWLPGGVTGYVDVDKDGILTIGVGWYSDEGINLVMERDYGLDGKLKEVRWKSEVKRRWSDPLPV >EOX91412 pep chromosome:Theobroma_cacao_20110822:1:2631330:2637590:-1 gene:TCM_000617 transcript:EOX91412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 2 plant MDNNFVCALGRSFAVVLVVKFFGSVGSRDQSDRGKKVSRQMGKAGQVFCSISTRPNYMVLMFHHLHGGSTLEELARTDGKEKATKCTCWNSRDADQIQLRFHHAQGKFTPIDLDASYQSYVAIRNQSSLDLPILCTVTNHEDALSAEINKVTRRTRATILCALPAKAKSTMENESSTSYMSRYMYDITRGLANLIGNYDCFKSGRTVYKDLGNGVRRKSTRNPTVKVYYFSQRFLDEKYFFQKTLSYCGDRISDLNISTFNMNSPATQNAGAIIQMAATLSFSTARPLQYFSELTVAVPVTSVPWQFANTVHDQNHKETQITGFWIDIFEAAVTMMPINTTYKLVPFYGSDDQLLKEVARKTFDAAVGLTVITAYRSQVVEFSYPSFEVGPVVVMKKDFELNQVFSIMSPFTDDMWLTLALMTIFTAFVIWLVEHRTGNESGGNLPARKVGAIFWFSFATLFYGGHRESPRNSLTYFVLAPWLFLILVVTSTYTASFTSMITGSETESSSLDIENLKITNAIIGCDGDSIIFRYIVEVFGFQRKNIKNIAQSSIDDYAKALSSGKIKAALLLTPYADVFLAKYCKGFSVWNPIRNLHGSTVVFPQGSPFVEEMSVAMSRLLESGKFKQMQEEMLSSSDCSGSTIDGTIKRGIGPGPFSGLFILSGGTSALAMFITVIPLMKRRWESCIQRMLIGRGLWVWLTTLFSQTLRRNELEIQYQG >EOX91645 pep chromosome:Theobroma_cacao_20110822:1:3417674:3419111:1 gene:TCM_000769 transcript:EOX91645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCEIIRDGMKECLTPMGPTPLLVSPIFEVGFSELLVFEGMSIDENGRQQFLIQVLLINVQFSMPLHTFPNTGCWLVRKPDVLNDGKSIHHKEPAASM >EOX96280 pep chromosome:Theobroma_cacao_20110822:1:37208170:37211614:1 gene:TCM_005557 transcript:EOX96280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant VAMP (vesicle-associated membrane protein) family protein isoform 1 MSTGDLINIQPTELKFPFELRKQSTCSMQLTNKTEKYVAFKVKTTNPKKYCVRPNTGVVLPGSTCNVTVTMQAQKEAPPDMQCRDKFLLQSVVAPDGTTNKDVTSEMFNKENGRVVEEFKLRVIYIPANPPSPVPEGSEEGTPPRASMQENGNQNVSSYEDVSRSLEETKEKSSEAWSLISKLTDEKASALQQNKRLLQELELMRKEISKSRAGGFSLTFVVLLGLLGFLIGYLIKRV >EOX96279 pep chromosome:Theobroma_cacao_20110822:1:37208176:37211611:1 gene:TCM_005557 transcript:EOX96279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant VAMP (vesicle-associated membrane protein) family protein isoform 1 MSTGDLINIQPTELKFPFELRKQSTCSMQLTNKTEKYVAFKVKTTNPKKYCVRPNTGVVLPGSTCNVTVTMQAQKEAPPDMQCRDKFLLQSVVAPDGTTNKDVTSEMFNKENGRVVEEFKLRVIYIPANPPSPVPEGSEEGTPPRASMQENGNQNVSSYEDVSRSLEETKEKSSEAWSLISKLTDEKASALQQNKRLLQELELMRKEISKSRAGGFSLTFVVLLGLLGFLIGYLIKRV >EOX92329 pep chromosome:Theobroma_cacao_20110822:1:6324490:6329227:1 gene:TCM_001288 transcript:EOX92329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKKRKSDASRLDEVERSMYTTFCSAANSLSQLYSQAMNHQRLSFQAGERHALEKLFQWILRQQEEGSRVTTADIVAYLQNGLEYGAEECQHQHPQTVTFQHQHPQTVTQVNSLSAPFSTNPISPAAMVQGARSGDYQAKNSVFSNALSSPVRRSLQHFHSAQGGYHSNNVLSSANGPRKNENNHTHQQNREPNSPSANDCMDMHADSPGHDFHF >EOX95650 pep chromosome:Theobroma_cacao_20110822:1:35052448:35055229:1 gene:TCM_005106 transcript:EOX95650 gene_biotype:protein_coding transcript_biotype:protein_coding description:NC domain-containing protein-related MGLLTNRVERNEIKPGDHVYTYRAVFTYSHHGIFVGGSKVVHFRPERNLDSRVETSSDLYDPGSTCPTFPDCGFRQPNSGVVLSCLDCFLRNGSLYCFEYGVTPSVFLAKVRGGTCTTAVSDPPETVIHRAMYLLQNGFGNYDIFQNNCEDFALYCKTGLLIMDKLGVGRSGQASSVIGAPLAALLSSPLKLLMPSPVGVATVTAGMYCMSRYATDIGVRSDVIKVAVEDLSVNLGWGEHHDEATEETDASNRQLVTL >EOX94217 pep chromosome:Theobroma_cacao_20110822:1:26207652:26219395:-1 gene:TCM_003741 transcript:EOX94217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHKFSLSIMTQLRPIGNTNTCVIHYMHLPNAQPTPLTLTIQVLLQQQWLSSAHQCFDFNPFNQQFL >EOX95090 pep chromosome:Theobroma_cacao_20110822:1:32857688:32859938:-1 gene:TCM_004660 transcript:EOX95090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein MEEELSGVVPCSSLAVESVIRVGTAGALWGFCSAPYDGRKKGLTGIAQASYVTKSVGKFGFQCGLVAGVFTMTRCGLQRYRRQNDWTNSLIAGAVAGAAVAARTRSWTQWNSKLGEQSDPDFLAPTIIFVAPV >EOX95665 pep chromosome:Theobroma_cacao_20110822:1:35115920:35116954:1 gene:TCM_005114 transcript:EOX95665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSTFSSKKIMCIFIYCREMNRSMGIFMGLGLQFMNPLTICNNVLFWLLNKYQNTVFSQVKGYLWGIRIVFHGVRDPSLLSIHYPNFSRYQRPTLGRVEPLTVSIQGSKEGLSPTLVNMARPAGLRIQSFHSPQTG >EOX91982 pep chromosome:Theobroma_cacao_20110822:1:4753915:4756889:-1 gene:TCM_001014 transcript:EOX91982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MLGSQMRRRKPRILCLHGFRTSGEILKKMIGKWPAAVLENFDFDFPDAPFPAKGKSDVEGLYGPPYYEWYQVNEVECSNFEECIAYIEDYMVKHGPFDGLLGFSQGGMLAAVLPWMQREGVAFTKVPKIKFLIIISGFKLRELKHGPPKLLVNAFSAPVACPSLHLIGEKDFLKEVGFTLLKSFVKPSVIHHSMGHTVPKLDENGQETMLKFIEKIQEMFSQDPWIALGPKAAL >EOX90955 pep chromosome:Theobroma_cacao_20110822:1:1171838:1173636:1 gene:TCM_000283 transcript:EOX90955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA adenine dimethylase family protein MLLLKPKSTQLCVSRLIFWQNCLRNLSTTSSHCSGKVNDEEDDDKDQKFCDTKENQQDPLYLYKSKGQHLLTNTRILDAIVRRSNVRPTDTVLEIGPGTGNLTVKLLEAAKRVVAIEIDKRMVDILNKRVAEKGLQDRLHVICQDAMKAEFPQFDLVVANIPYGISSPLVAKLVYGRNPFRSATLLLQKEFARRLLAKPGDSEFNRLAVNVKLVADVEFVMDVSKREFLPCPKVDSSVVMIRPKAQVPDVNLDEWWAFTRTCFSKKNKTLGATFKQKKKVIELLKLAKTALVCKDYESDDDYGGDNEEERTDEEDGFASSASDLEMTLFKEKIVGILRTGGFEDKRPSKLSNEELLHLLFLFNQAGIHFHDHVLPKGSDNVEFAAAYSS >EOX96215 pep chromosome:Theobroma_cacao_20110822:1:37013050:37017980:-1 gene:TCM_005513 transcript:EOX96215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MDPILSVVALSVVLGAVIVFVFFKSYFLKQRSEVQTIAKPELHSDPKKPSKPPQPIFRKSHAKHHSKDQNKRHHPLDLNTLKGHADSVAGLCFSSDGRNLATACADGVVRVFKLEDASSKSFKFLRITVPAGGHPMAVVFSEDASSIVVASQTLTGCSLYMYGEEKQKATNDSKQQTKLPLPEIKWEHHKIHEKRAILTLTGATASYGTADGSTIIASCSEGTDIVLWHGKTGKVLGHVDTNQLKNTMATISPNGRFLAAAAFTADVKVWEIVYSKDGSVKEVLNVMQLKGHKSAVTWLCFSPSSEQIITASKDGSIRIWNINVRYHLDEDPKTLKVFPIPLCDSSGSTLLYDRLCLSPDGKILATTHGSTLQWLCMDTGKVLDTAEKAHDGDITWMSWSPKPIPLGNEQVVVLATASVDKKVKLWAAPSVNSS >EOX92504 pep chromosome:Theobroma_cacao_20110822:1:7205570:7210040:1 gene:TCM_001451 transcript:EOX92504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene monooxygenase MDQVAFEFPMWPLQAYKSLKVQLLETHTKHKNNSVLEKMVCQYLLGAILASVLGLLLLYKKIQKQTGFSSKKIQKQTFSMSSDNGLPRDSEIGRSADIIIVGAGVAGSALAYTLGKDGRQVKVIERDLTEPDRIVGELLQPGGYLKLMELGLEECVNGIDAQRVFGYALFKDGKSTKLSYPLQHFESDVAGRSFHNGRFIQRMREKASTLPNVKLEQGTVTSLITEKGTVKGVQYKTKNGQELIAKAPLTIVCDGCFSNLRRSLCNPQQVFLQVDIPSCFVALVLENCQLPYANHGHVILADPSPILFYPISSTEVRCLVDVPGQKVPSVSNGEMSHFLKTEVAPQIPPELYNTFISAINKGNIRTMPNRSIPASPYPTPGALLMGDAFNMRYPLTGGGMTVALSDIVVLRDLLRPLRDLNDASALCKYLESFYTLRKPTASTINTLAGALYKVFSGSSDPARREMRKACFDYLSLGGVFSNGPIALLSGMNPRPLKLILHFFAVAVYGVGRLLLPFPSFKRLLLGARLISGASSIIFPILKAEGVRQVFFPVTIPAYYRVPPVNL >EOX90779 pep chromosome:Theobroma_cacao_20110822:1:593894:598758:1 gene:TCM_000149 transcript:EOX90779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Winged-helix DNA-binding transcription factor family protein, putative MAANINTANFNTSAAVTAANHSPSSPNQSHRATRVVSSPWTQIVRGESEPIAGVPLSPSTSSSSSPLAAVIEPPVNAAVEEEGVENGGSGPNGNAGKRPAWNKPSNGAAEFGAVMGAHMWPALSESARVSSKSSSDSSKASPDGSSSPAVPVSQGSGNALSSSSQKQVSNNVNSNSNATPNNAMPVRQRSMKRNSNNSASNGGLTQPQPQPQGPVAEATANNPSRDHIQRGGFVSQPHSGGNDHPHPRNSFRNRNGGPHPRGDGSHHQNYGGRRNQDHGNQDWNGRNFNSRDGHMQPRVVSRLMRHPPPPPPPNTAPFITPPPVRPFGTPMGFPELPSHVYLLPAPPPESLRGVPFVAPMPQVFFPAPEPQDHQLHARIVNQIDYYFSNENLIKDTYLRQNMDDQGWVSIKLIAGFKKVSFLTDNIQLILDALRSSTVVEVQGDKVRKRIDWMRWIMPPSVQFPTMSGQDMLVSRVQNISLDQRTANQSGARSQEDAYADGLSGRSLSGDFSNQSRLFNSEGAAVSAPVNNSN >EOX91376 pep chromosome:Theobroma_cacao_20110822:1:2502906:2505469:-1 gene:TCM_000592 transcript:EOX91376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase superfamily protein MESRAVMLSAYGQRITVLSIDGGGIRGIIPATILSFLELKLQELDGENARIADYFDVIAGTSTGGLMTAMLTTPDENGRPLFKGKDIAPFYLKHGPKIFPRSNYKRMTMKMNALMRPKYNGKYLRKIICKVLGNRRLHETLTRVVIPTFDIKLLQPTVFSTFEAKIDTSKDALLSDICISTSSAPTYFPAYTFKTKDSEGNDREFHLVDGGIAANNPALLALKPTGPAFPGDQEVSLGRALNYENYLIISLGTGTSKMEKKYNATMAAKWGILGWLYSEGSSPLVDALTFAGADMVDLHMSLIFRSIKCEQNYLRIQDDKLSGDASSSDKATQKNMKNLVEIGERLLQKPVSMMNLDSGIFEPVDNEGTNEEALIRFAKLLSEERKLRRAEIAKQLATRTSAPDDHHVSES >EOX96394 pep chromosome:Theobroma_cacao_20110822:1:37629447:37638690:-1 gene:TCM_005644 transcript:EOX96394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein, putative MSLAITTLIMVMLPTTTVLSSYVASQVATTTAATTLSLEREAKALLESGWWSSYSNHTLQRCNWTGISCNDAGSVIGILPSSHVIKVGDKFKNMDFSCFPNLVFLSLSGHELNGATEDFMFNISHLSTITHLDLSFNILHGPLSPRISNLTQLEFLDFSYNQIHGFIPINIGKLKNLVTLLLCHNKLFGSIPSTLGKLINLETLDLSFNLLNGPISSTLGELINLKTLDLFNNFLNGSIPFTLGELTNLETLDLSNNFLSGPIPSPLGELTNLEILDLSNNFLNDSIPSTLFQLSNLVSMDVSNNYLIGPIPSSLGFLHKLEKLLLGFNRINGSIPSKIGDLKTLRVLFLQSNLLEGLVPEEIGDLEALSLLDLSQNELSGPIPPQIGNCFKLEELDLSNNNLEGLIPYQIAELQHLDRVDLRNNNFSGVIPFTFLRFGNYFHASFTCDETNSSVFWEVFGGNKNLTPYFCSPATYSSVRKSYNLQIFLPVSVLVALFLLGCLLFLWRNEVKVNPTSVLQATTNGDLFSIWNYDGRIAYEDIIAATNDFDIRYCIGTGGYGSVYRAQLPSGKVVALKKLHRLEAEEPAFDRSFRNEIKFLTEVRHRNIVKLHGYCLHKRCMFLIYEYMERGSLFFILSDDVQAVELDWTKRVNTIKSTAYALSYLHFECTPIIVHRDISSNNILLNSDLEAFVSDFGTARIIDPDSSNQTRLVGTYGYVAPELAYTMVVTEKCDVYSFGVLALETLVGKHPAEILSLLSAPSSLQTIMLTDVLDPRLSPPTSQLVAQNIVHVATIAFACLQADPKLRPTMKHVSQMFLSCQRSLRSPLRTISLLQLLNCGMHMEGSSQAPQF >EOX91427 pep chromosome:Theobroma_cacao_20110822:1:2688781:2690075:-1 gene:TCM_000625 transcript:EOX91427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MFHDQRANKIVVAFRGTEPFNAYDWSTDADISCFENKEMGKVHGGFMKALGLIKEQGWPPELPAEEKGKNLAYYSIREELRRRLKANKEAKFIVTGHSLGGALAILFPAILALHEKPRNEEQALLNRLEGVYTFGQPRVGDFQFKTFMEDRFKKYGIKYLRFVYCNDIIPRLPLTTEDLFFSLYTHFGACIYFNSSYKGQILDEEPHKNYISMFGVIRRFFNALSELLRSLYLPLLKGQEYMEGLPLILMVRFPALLCPGMADHNPQDYVNATRLGSITTFEQVEYPNSDSKKTN >EOX94284 pep chromosome:Theobroma_cacao_20110822:1:27380148:27381423:-1 gene:TCM_003864 transcript:EOX94284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNDEFKIFFIFFNHGLLGNLWRVLERSLKTYYRSFKSHLWCCHDPNPGVRDRCMSLASRDRKTLASLKIFRQKSYIIS >EOX93784 pep chromosome:Theobroma_cacao_20110822:1:15800910:15805336:-1 gene:TCM_002710 transcript:EOX93784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-induced in root cultures protein 12 precursor, putative MGSLFCPSLILFFSLWVTLISPAHSLNCSSLKLPGGKKQYSNCTELPTLNSTLHFTYDATNSSLSIAFSTAPANPNGWIAWAINPTATGMAGSQALLAFKNSGSMVVKTYNISSYSSIVEGKLSFDVWDLEAGAGNDGKMVIYGSLKVPANAEKLNQVWQVGPGVSNGQPMKHEFAKANLASMGELKLVEKVISGSSSPTPSPSPSPSGPSPSRQAANNDSGDGSRVREMSAGLWILGLVSLLVLM >EOX95503 pep chromosome:Theobroma_cacao_20110822:1:34493997:34496467:-1 gene:TCM_004986 transcript:EOX95503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHTVANMLFLVPSLAILSSFLESNQTPKKELQGIPVVTHDVCDPYFDTVGCSYPGCRPVYPTLSCALNCLVTVNFDQHDIMAEIFIRRNRLSLGSLKSCNRRFGAYPAVKAHWAMGGGDGEHYWNYRRVASRLRNDCGMEEKVLAGLPLQWGHALGCFNLERTYYHHLGVGPWSCNLQS >EOX95488 pep chromosome:Theobroma_cacao_20110822:1:34427672:34431343:1 gene:TCM_004974 transcript:EOX95488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase / dehydrase and lipid transport protein, putative MRAYPIPLSLTAVSTVALAPFPTIRFLLNPPISDKTTSLFLSTSCPRFLFSKFRPNPFCSNSDATSSDTNEGDDDDDVCFDDDDGFVLSDEKTQTLSEDGFFIEIKKLGGNSRTIRSKIGIEASLDTVWNILTDYEKLADIIPGLAVSKVVEKKDKFARLYQIGQQNLPLGLKFNAKGVLDCYEKDLEILPSGKKREIQFKMVEGDFTRFEGTWLIEQFNKGKCKGNEAFAGQEFQTTLSYLVDVKPKMWLPVRLVEGRLSSEIKTNLSCIREEAKRVISALNSL >EOX95523 pep chromosome:Theobroma_cacao_20110822:1:34547807:34549312:1 gene:TCM_005000 transcript:EOX95523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-type endopeptidase inhibitor MLISFLVVSDKVNGTCPVWKILDLGPFPFHPYYYNFYPNWGLGFGFENLLQTILSIAAQMPCFTATALHILTFVLLLFCFSAPIAQSLQDNSGSIRLPSDGFTSAHENEGVCARFTKPASCPVNCFRTEPVCGVDGVTYWCGCADALCAGTRVAKLGFCDVGNGGSASFPGQALLLVHIVWLILLGFSVLCGLF >EOX91109 pep chromosome:Theobroma_cacao_20110822:1:1619039:1620296:1 gene:TCM_000397 transcript:EOX91109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIVPSWSKLVVNSTYKITSKNLNFKANLDKANFGLKYVKNTLALRFASRDQWTSFQNKAPFSPHRYFPTSFLPRTSTETLPYLDIIFLHLNLCLHNAFKPRRHNSWKENHHGE >EOX96037 pep chromosome:Theobroma_cacao_20110822:1:36403719:36405681:-1 gene:TCM_046698 transcript:EOX96037 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MAESFQRYAVVTGANKGIGLEICRQLASKGVMVVLAARDEKRGLEALEKLKDSGLSDHLVFHQLDVADPASITYLADFVKNRFGKLDILVNNAGIGGATVNYDALRASRISATEKDLTTVWSKVLTQTYEGGEECLHTNYYGAKRTAEALVPLLQLSDSPRIVNVSSLWGKLKNIPSEQLKGVFRDVDTEEKLDELLSEFLKDFKEGSLESKGWPTCVSAYTVSKVAMNAYTRILARRYPKFSINCVCPGFVKTDLNFNTGKLTVEEGAATPVKLALWPNGGPSGLFFMEGEPASYE >EOX92090 pep chromosome:Theobroma_cacao_20110822:1:5207038:5210807:1 gene:TCM_001097 transcript:EOX92090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 2 MYGTDRCVFIDSMRTSQQLNLDRPFLSGGLFLNDQTLPSSIVSFISFKTQKCFVDKNDNLSLVSCFVSGGKSSKRLRSVKSRRDGGKGGWFLSVSLSREEGYVGESGETWGQNGDKNMEEEEVVEEEKEKLKVKKGSGALNTTKHLWAGAVAAMVSRTFIAPLERLKLEYIVRGEQKNLIELIKSVAALEGLKGFWKGNFINILRTAPFKAINFYAYDTYRSHLLKFSGNEEVTNFQRFLAGAAAGITATLLCLPMDTIRTVMVAPGGEALGGLIGAFRHMIQTEGFFSLYKGLVPSIISMAPSGAVFYGVYDILKSAYLHSPEGRKRIQDMKQVVRRHLQMQVRATKLSALATCVKIVEQGGISALYAGLVPSLLQVLPSAAISYLVYEFMKIVLKVESA >EOX92089 pep chromosome:Theobroma_cacao_20110822:1:5206788:5210845:1 gene:TCM_001097 transcript:EOX92089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 2 MYGTDRCVFIDSMRTSQQLNLDRPFLSGGLFLNDQTLPSSIVSFISFKTQKCFVDKNDNLSLVSCFVSGGKSSKRLRSVKSRRDGGKGGWFLSVSLSREEGYVGESGETWGQNGDKNMEEEEVVEEEKEKLKVKKGSGALNTTKHLWAGAVAAMVSRTFIAPLERLKLEYIVRGEQKNLIELIKSVAALEGLKGFWKGNFINILRTAPFKAINFYAYDTYRSHLLKFSGNEEVTNFQRFLAGAAAGITATLLCLPMDTIRTVMVAPGGEALGGLIGAFRHMIQTEGFFSLYKGLVPSIISMAPSGAVFYGVYDILKSAYLHSPEGRKRIQDMKQGGQELNALEQLELGPIRTLLYGAIAGACSEAATYPFEVVRRHLQMQVRATKLSALATCVKIVEQGGISALYAGLVPSLLQVLPSAAISYLVYEFMKIVLKVESA >EOX94378 pep chromosome:Theobroma_cacao_20110822:1:28193481:28195543:-1 gene:TCM_003966 transcript:EOX94378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEDNNNHGNNAGIQILEENKALLEYVVPLLQGLHRSIRRPAIKANNFEIKPAYIQMIQSSVQFGGLPSDDPNSHLINFLEICKNPDEAYNLLEEMTFNNYQWSSKRSNSKKAVGIHEIDALNALTVLTKRFDTIGVNVVQNSFITCDLCRDNHSSDQCPSNSESVQFVGNFNRQQNNPYSNIYYLDWRNDPNLSWNNNPVPLNPRPNNPRGFPSQARPHVLEKKHNMEEMFMQFMTKIDAVITKIETYMTKNDAIIQNQATSICNFEIQMGQLASSINSKSQGFLPSDTQANLKNKE >EOX93256 pep chromosome:Theobroma_cacao_20110822:1:11741383:11749228:1 gene:TCM_002106 transcript:EOX93256 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 71C4, putative MIDVANELGLPSYLFNTSAAASLLFMLHLITGHDQVGREFQASDTGLVIPGYVNSVPSNVLYALLFDKIGGCICFLNLVRRFKETKAIITNSFAELESHALDEIMKWLDNQPPSMVVFLCFGSLVPIDEAQAMEIAQKLEKCGHRFLWSVRIQRPISDATSGKPNNYTNLKEMLPQRFLERIKGRGMVCGWAPQVEVLAHKSIGGFVSLCGWNSISESLWYRVPILTWPLYAEQQLNAFQMVKD >EOX93888 pep chromosome:Theobroma_cacao_20110822:1:17159525:17175786:1 gene:TCM_002884 transcript:EOX93888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLLSFAIEVRHNSRHGLTQVHKIQTTCLNVNIKERLSRKFDEKGSFDEHQCKYGDIFIHICFGILTISLSTKLK >EOX90730 pep chromosome:Theobroma_cacao_20110822:1:471520:472265:1 gene:TCM_000115 transcript:EOX90730 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 20, putative MGTARNWFNTVRKKFIKSSQREIIILHSPSLSAQSIIGEGRDFHNNSSASSPSSVRRRKHLTREGIAAIKIQAIFRGHLARRAYGALRSLVKLQAVARGVYVRKQARIALQCMHALVRLQVRVRARQLLSKYSHDR >EOX95996 pep chromosome:Theobroma_cacao_20110822:1:36262186:36263022:1 gene:TCM_005355 transcript:EOX95996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKQVLFSSVSRFLFLCFLFLFICLCIKAQTCDPSGDIQGTTPPPGQCNQENNARCCVEGEFYTTYACSPPVSANTLATLTINSFQQGGDGGGPSKCDNQYHTDAEPVVALSTGWFSQGSRCNKFININGYGKTVRALVVDECDSQVGCDDQHAYQPPCRNNIVDASKAVWTALGVPESVQGELDITWSDAI >EOX91055 pep chromosome:Theobroma_cacao_20110822:1:1462029:1465723:-1 gene:TCM_000356 transcript:EOX91055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein MAMVSMQFSVHSLNSPNLRPTKRYSGMAFATSNKEAVPLGVKITQGEGNLPKLVLTSGGGSEAEIYLFGGCITSWKVPSGRDLLFVRPDAVFDKKKPISGGIPHCFPQFGPGPMQQHGFARNMDWSLVESENVEGNPIVTLELKDGPYSRAMWDFSFQARYKVILNTKSISTELIVTNTDNKPFSFSTALHTYFRASITGVSVRGLKGCKTLNKDPDPKNPIEGREERDAITFPGFVDCIYLDASDELHLDNGLGDVISIRNTNWSDTVLWNPHLQMEACYKDFVCVENAKSTLVTMFLLQKIMSNGLKLLLFLRRGGSLIEILFQMAFLICLALSTVYYQIDVFILFMATQIGTVQLEPKQAWIAKQHLCIG >EOX92158 pep chromosome:Theobroma_cacao_20110822:1:5539610:5541005:-1 gene:TCM_001153 transcript:EOX92158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVNAWNSQKLELAPWANARWPHEYLSMIDVYRQPLAHSRLIWTREERQERNKMGTSKDGRDENSMSVGQLKSVMGLLIELKAVKEAFFIFIASIWEDRCRLIINSNSSNVTKWIQEPVTTPWRMRKCLLQIEKLMADLPSLEIRHIKSEANQRADSLAKNGAQQ >EOX96446 pep chromosome:Theobroma_cacao_20110822:1:37837154:37838231:-1 gene:TCM_005695 transcript:EOX96446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin8-like protein MEARQRKPTMLFHLSNNLLGIIDLLTFLFSIPILGAGISLRKGGMTECVITDDTTLCNIGGFNHGSSLWRASSARAVSWRLCSGKGSGEALPGKAYKEYKLGHYSNWIQKEVNETQNWNKIKNCIVDTKLISTIPSKRVADNLNHSLKKEATVNAVSVVLLIIVYCLGCCAYINNLRDNASNKESKSSSAC >EOX94625 pep chromosome:Theobroma_cacao_20110822:1:30550435:30577392:-1 gene:TCM_004260 transcript:EOX94625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTKITRNPFPKVKRNTKLLELIHSDVCDMHSTPTLTGKKYFVAFIDDFSKYCYVYLLHSKDEVLDKFKVYKSKVELQYEYFIKCLRSDRGGKYYSPSYFASTGIIHHILAPYTLQHNGAVEKKNRVLTEMVTAMLSYSGLGKGNYNYYNQFNQMSVLSKRVRKVKDFDPDFYMFIVEGTRENIDNKIPYCFNLESDPISYDEAMKSQDFAF >EOX95260 pep chromosome:Theobroma_cacao_20110822:1:33580669:33582335:-1 gene:TCM_004809 transcript:EOX95260 gene_biotype:protein_coding transcript_biotype:protein_coding description:CP12 domain-containing protein 1 MTDQYNDKKKKKGKESSAYRCLNPCFGNLVKILFMVCYASHGSQLNTFLVIVSSPENIKTDFSLFTENRNRNSEHQQATMATLSSLNLVSPRVVANVLDSTKASSVKVPYLNQPWKRVSQLGSRRMQVIRPVRAAPDSISDKVEKSIKEAEEACSGDPASGECVAAWDEVEELSAAASHAREKKKGNDPLENYCKDHPETDECRTYED >EOX92840 pep chromosome:Theobroma_cacao_20110822:1:8834572:8838434:1 gene:TCM_001702 transcript:EOX92840 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MQPEVVFLGFIVPAEGLKPDPEKIRAISEWPAPTSIKEVRSFHGLASFYRRFIQNFSSIMGPITESLKKDGFEWSHFAQKAFERVKALMTEAPVLALPDFEKLFVVECDASHVGIGAVLSQDGRSIEFFSEKLTDSRRRYSTYDLEFYALVRAIRHWQHYLAYCEFAVYSDHQALRYLHSQKKLSNQHAKWSFFLNEFNFSLKYKSGQSNTVADALSRRCKMLSVMSTQVTGFEELKNQYSSDSYFSKIIADLQGSLQAGNLPYRLHEDYLFKGNQLCILEGSLREQIIGELHGNGLGGHFGRDKTLAMVADRYYWPKMHRDVERLVKRCSTCLFGKGSAQNTGLYVPLLEPDAPWIHLSMDFVLGLPKIAKGFDSIFVVVYQFSKMAHFIPCFKTSDATHIAELFFCEVVRLHGIPTSIVSDRDVKFMGHFWRTLWRKFGTELKYSSTCHPQTDGQTEVVNRSLGNMLRCLIQNNPKTWDLVIPQAEFAYNNSVNRSIKKTPFEVAYGLKPQHVLDLVPLPQEARVSNEGELFAYHIRKIHEEVKAALKASNAEYSFTANQHRRKQEFEEGDQVLVHLRQERFPKGTYHKLKSRKFGPCKVIKKISSNAYLIELPPELQISPIFNVLDLYPFDGCDGTASNIDAQI >EOX93063 pep chromosome:Theobroma_cacao_20110822:1:10226316:10226832:-1 gene:TCM_001911 transcript:EOX93063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSLVVEDSLTKNATPSILDDRSQKKVHLREEAVADLGTMPRVVMHNNPSFMDVLLKSTKDTLLLEGDLFSDEDMAFEHADTTGGEVENDDQSEDDEEDF >EOX91539 pep chromosome:Theobroma_cacao_20110822:1:3019371:3022403:-1 gene:TCM_000689 transcript:EOX91539 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1/ASK1-interacting protein 2, putative MGQSPSAALDRENSLSDRFSFLSSSGFVSSEEFPDEFVANRDFTAEIPDECLAYVFQFLGPGDRNRCSLVCKRWLRVDGESRHRLSLNVQSEIVASLPSLFTRFDSVTKLALRCSRKSISLNDDALVMISIRCQNLTRLKLRGCREISDEGMSVFAQSSKNLRKLSCGSCMFGAKALNAVLDYCKNLEELSVKRLRGIHDGAEPIGPGAAASSLKMICLKELVNGQSFEPLVIGSKNLKTLKIIHCLGDWDRVLQLIGSQNRNGKEKLNFNNNNSNSNNNSLMEIHLERLQVSDIGLSAISKCTEIENLHIVKTPECSNYGLVCVAEHCKLLRKLHVDGWRTNRIGDEGLVAVAKHCPNLQELVLIGVNATHLSLAAIASNCSKLERLALCGSGTIGDAEIACIAAKCTVLKKLCIKGCNISDIGIEALAWGCPSLVKIKVRKCKGVSNEAGEWLREKRGSMIISMDACEIDGGFEASTSDVGVHENGVELPLVGGQVNGPDASTSSNGRLALLRSKLGLFAGRNLVACTFRRWSNSDDSFNSNL >EOX94807 pep chromosome:Theobroma_cacao_20110822:1:31521044:31522474:-1 gene:TCM_004421 transcript:EOX94807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope membrane protein 7 MGKSTAMKQAAVVFGALAFGWLAIEMAFKPILDKARAAMDKSDPDRDPDDIAVRNNASDRESDDVVKGGDESPCRRPTSYADAVAKNSPLA >EOX95928 pep chromosome:Theobroma_cacao_20110822:1:36057781:36060927:-1 gene:TCM_005310 transcript:EOX95928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPGLFDGVDSVMEDWIVDQMHTVRPVVETGYENLLLVRLLVEMRVPFIRKSSVAEGLTVEGILENWSKLKPVIMEEWNENRDALIDLFGKVRDEWMDNDLATWIGANRFYPGVPDALKFASSTVYIVTTKQSRFADALLRELAGVTIPPERIYGLGTGPKVKILKQLQLRPEHQGMKLHFVEDRLATLKNVIKEPELDGWNLYLGDWGYNTQKEREEAASIPRIQLLQLSDFSKKLK >EOX91728 pep chromosome:Theobroma_cacao_20110822:1:3631936:3634878:-1 gene:TCM_000818 transcript:EOX91728 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MAELKLSESRDLTRIERIGAHSHIRGLGLDSSLEARDVSEGMVGQTQARKAAGVILQMIKDGKIAGRAILLAGQPGTGKTAIAMGMAKSLGLETPFAMLSGSELFSLEMSKTEALMQAFRKSIGVRIKEETEVIEGEVVEIQIDRPAVSGAASKTGKLTLKTTDMETVYDLGAKMIEALGKEKVQSGDVIAIDKASGKITKLGRSFSRSRDYDAMGPQTKFVQCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENEMAPILVVATNRGITTIRGTNYKSPHGIPIDLLDRLLIITTQPYSADEIRKILDIRCQEEDVEMSEDAKQLLTKIGHETSLRYAIHLITASALNCQKRKGKVVEVQDITRVYSLFLDVRRSTQYLMEYQKEYMFNEASMVDGGEDDADAMHD >EOX96297 pep chromosome:Theobroma_cacao_20110822:1:37249162:37254868:-1 gene:TCM_005574 transcript:EOX96297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidinediphosphate diacylglycerol synthase 4 MASFVETDRYNLIPLSVTSLCGCPCRPLSNKTLTLSRRGVPKLKLKLVFDGSELVVPVRSARIYINRRVITAVARAEPESLDESNTKEEVDKGHILPTVKADALSEQQHKSSQLRKRIVSGLGIGISVGGAVLAGGWVFTVALAAAVFLGAREYFELVRSRGIAAGMTPPPRYVSRVCSVICALMPILTLYYGNIDISVTSAAFVVAMSLLLQRGNPRFAQLSSTMFGLFYCGYLPCFWVKLRCGLAAPALNTRLGAGWPFLLGGQAHWTVGLVATLISVSSIIAADTYAFLGGKAIGRTPLTNISPKKTWEGAIVGLGGCIATSVVLSKIFSWPASWLSAIAFGFLNFFGSVFGDLTESMIKRDAGVKDSGSLIPGHGGILDRVDSYIFTGALAYSFVKILLPVYGYSVVEEEVFSDLITERTEKEEKNSRKGGDPIIS >EOX95033 pep chromosome:Theobroma_cacao_20110822:1:32679095:32680430:1 gene:TCM_004625 transcript:EOX95033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubber elongation factor, putative isoform 3 MAESDPNQPIETNEENEKKLKYLDFVHVAVIYAVVCLLSIYEYAKENSGPLKPGVQTVEGTVKTVIGPVYDKFHDVLFEILKFVDRKVDESFSELDSRMPSFVKQASSQAQAMASEVQRAGVVDTAKIITRTVYTKYGPTIKELYCKYEPVVEQYAVSAWRTLNCLPLFPQVAHIVVPVAAYWSEKYNQVVYYSGEKGYAMASYLPLIPIDKIAKVFEERGTRLAASNNGDSTPTQ >EOX95034 pep chromosome:Theobroma_cacao_20110822:1:32679244:32680229:1 gene:TCM_004625 transcript:EOX95034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubber elongation factor, putative isoform 3 MIVCLLSIYEYAKENSGPLKPGVQTVEGTVKTVIGPVYDKFHDVLFEILKFVDRKVDESFSELDSRMPSFVKQASSQAQAMASEVQRAGVVDTAKIITRTVYTKYGPTIKELYCKYEPVVEQYAVSAWRTLNCLPLFPQVAHIVVPVAAYWSEKYNQVVYYSGEKGYAMASYLPLIPIDKIAKVFEERGTRLAASNNGDSTPTQ >EOX95035 pep chromosome:Theobroma_cacao_20110822:1:32679220:32680513:1 gene:TCM_004625 transcript:EOX95035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubber elongation factor, putative isoform 3 RDIVCLLSIYEYAKENSGPLKPGVQTVEGTVKTVIGPVYDKFHDVLFEILKFVDRKVDESFSELDSRMPSFVKQASSQAQAMASEVQRAGVVDTAKIITRTVYTKYGPTIKELYCKYEPVVEQYAVSAWRTLNCLPLFPQVAHIVVPVAAYWSEKYNQVVYYSGEKGYAMASYLPLIPIDKIAKVFEERGTRLAASNNGDSTPTQ >EOX92237 pep chromosome:Theobroma_cacao_20110822:1:5874774:5879099:-1 gene:TCM_001214 transcript:EOX92237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide (PPR) repeat-containing protein MNIPDPSSLSYHTACLLQESLRSKDLLAGKSIHARIIKAGLHFSVFLLNNLMNVYSKTGSFYDAQSLFDEMPVKTIFSWNTLLSAYSKQGKMAEANEIFNKIPNPDSVSWSTMIVGYNQMGHFESAIKVFDEMIKERVTPTQYTITSVLASCAAIETLDIGRKVHSCVVKFGLSSYVSVANSLLNMYAKSGDPMITGVIFDRMGYRDTPSWNVMISLHMQYGQVDLAREQFCRMNERDIVTWNSMIAGYNQHGFDLDALGTFGNMLRDSLLLPDKFTFISALSACANLEMLKLGKQIHARIVSTKFDTYGPVGNALISMYAKSGGVQIAQKIVEKSGISHLDVIAFTSLLDGYIKLGDLKPAREIFDTLRDRDVVAWTAMIVGYLQNGLNNDALELFRLMVRDGPKPNNFTLAAMLSVSSSLTSLDHGKQIHASAIRTGQASSVSVNNALITMYSRAGNINCARQIFSQIHWSRDTVSWTSMIMGLAQHGLGEEALELFEELLAAGIKPDHITYVGVLSACTHVGLVEQGHRYYNMMKDFHKIEPTLSHYALMVDLLGRAGLVQEAYDFIEKMPIEPDVITWGSLLSSCKVYKNVDLGKVAAERLLCIDPDNSGAYSALANLYSVCGKWEDAAKIRKLMKDGGVKKEQGISWVQIKNKVHIFGAEDGLHPQKDEVYKMMAKIWEDIKKMGFVPDTESVLHDLEEEVKEQMLKHHSEKLAIAFALISTPENTTLRIMKNLRVCNDCHSAIKFISKLSGREIIIRDATRFHHFKDGLCSCCDYW >EOX92148 pep chromosome:Theobroma_cacao_20110822:1:5463585:5465019:1 gene:TCM_001143 transcript:EOX92148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycoprotein family, putative isoform 1 MEERVAPSAEDSQWQSSRPEPTPSTNNNDDDDLYLQLARPPSSLLATYVVQVPKDQIYRVPPPENALIVESYRQAAGPAKNRKRTCFKYLIWIAVVLVVIGVMVGVALKILYDSFTPKAPVFSVSMLQVKKFTDHPPKYDVTLKVHNPNEKMGIKYGSVDDDAKLIFWTKTLGAGQFPSLYQNSGDSNVVHVKLIGPEDQPVPLNIQRSMNDKKPKHQIFLALKFNSPLLLNVGVFKMWSRDMDVECKFRVNTMGEGSKILSQDCNTKLS >EOX92149 pep chromosome:Theobroma_cacao_20110822:1:5463532:5465022:1 gene:TCM_001143 transcript:EOX92149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycoprotein family, putative isoform 1 MEERVAPSAEDSQWQSSRPEPTPSTNNNDDDDLYLQLARPPSSLLATYVVQVPKDQIYRVPPPENALIVESYRQAAGPAKNRKRTCFKYLIWIAVVLVVIGVMVGVALKILYDSFTPKAPVFSVSMLQVKKFTDHPPKYDVTLKVHNPNEKMGIKYGSVDDDAKLIFWTKTLGAGQFPSLYQNSGDSNVVHVKLIGPEDQPVPLNIQRSMNDKKPKHQIFLALKFNSPLLLNVGVFKMWSRDMDVECKFRVNTMGEGSKILSQDCNTKLS >EOX91559 pep chromosome:Theobroma_cacao_20110822:1:3096280:3097616:-1 gene:TCM_000701 transcript:EOX91559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTTIKSFFSFSSLLLLLSSILIETEARDPFSILMSQNFAGGKVPDFFDIGLYLGAIKESGPSPGGGHKFTDSQTLGGIKNSGPSSGGEGHKLTDSLPLGGIKDSGPSPGEGHKLIDSSTLGGIKDSGPSPGQGHKLIDSSTLGGIKDSGPSPGVGNKLTDSFTLGGIKDSGPSPGVGNQFTDSFNLGGIKDSGPSPGVGNKLTDSSNLGGIKDSGPSPGVGNKLTDDQTLGGIKDSGPSPGVGNKFTDNQTNGDMKDSGPSPGEGN >EOX92137 pep chromosome:Theobroma_cacao_20110822:1:5399996:5401560:-1 gene:TCM_001131 transcript:EOX92137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative MKEAKSVMEIPSFQIIVAQGVCESIPWSALSFAPLWLDLNGFSHETQAFLMTLFVIAGSLGGLFGGRMGDMLAQFWENNSISDKFRFCNPSCCSFGVDITCDPFTAFAHGLVFSIMELSIS >EOX92419 pep chromosome:Theobroma_cacao_20110822:1:6741511:6748070:1 gene:TCM_001373 transcript:EOX92419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13 MASSHSSSHTEAAKMEQVITEFFYKGVLIILESRSPYVSSRNYSGEQTVSSPSSSSSSSSSVRPRDKWFNLALRECPSALESLDLCRQSNFETVVVDVILEQKPLDWEPVTFSPKRDLVRNLSSKDKNSFCWNFDQEESGSETKSEKIIERWLVQHESRKGRDCSSGSRRSSSNNLSTLYKKSILLLRSLYVTVRLLPAYKIFRDLNSSGQIRAIKLVPRVSSFVEPFTRKEEAEMQRFWFTPVDTSCGRLSLSVLYRSSISDISSESSTPMSPQFIPDYVGSPLADPLRRFPSLPVSHGSPSSLPFSRRHSWSYDHYKASPPLVSFSPSPTHSESRASVSNPSSRCLPPMSLSPHPPETLLAHRKNTNYDEYCPSPNFSASPSPSPSPPIHIPGSHLSKALLRSESAPVNIPAPRLATSPALSCKQNLPPSPPLKVTKAGTSKDNIGPVQTGATIEKLFSFGKEDYRKYSGVKLSSNSSPRISFSRSSSRSLQDDFDDSEFPCPFDVEDDDMTDPGSRPESYDRRGHLSDPHETGLFMNRKSQDAAVGALVRMLKKAPLRQDVSSSINFSEASRPEMWSNSVQEQNQILEAVTVEHATSSSIASSRLVASKTTSDALEELRGYRELKNLLLSEGGRSYTSANYASEAEHSSRGS >EOX92486 pep chromosome:Theobroma_cacao_20110822:1:7119319:7124195:-1 gene:TCM_001431 transcript:EOX92486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5/Elongation factor G/III/V family protein MGDSDTRKIRNICILAHVDHGKTTLADHLIAATGGGVLHPKLAGKLRYMDYLDEEQRRAITMKSSSIALHYKDYEINLIDSPGHMDFCSEVSTAARLSDGGLVLVDAVEGVHIQTHAVLRQSWIEKVTPCLVLNKIDRLICELKLSPIEAYNRLLRIVHEVNGIMSTYKSEKYLSDVDSILAGPSGEVTDENWESIEDDEEDTFQPQKGNVAFVCALDGWGFTINEFAEFYASKLGASAAALQKALWGPRYFNPKTKMIVGKKGLGVGSKARPMFVQFVLEPLWQVYQAALEPDGDKGMLEKVIKSFNLSVPPRELQNKDPKILLQAVMSRWLPLSDAILSMVVKCLPDPIAAQSLRISRLLPKREILDEGVDSNVLEEADFVRKSVEACDSSSEAPCIAFVSKMFAIPTKMLPQRGPHGEILNNFNDEGGSSESDECFLAFARIFSGVLTSGQRVFVLSALYDPLRGESMQKHVQEAELHSLYLMMGQGLKPVASARAGNIVAIRGLGQHILKSATLSSTRNCWPFSSMAFQVAPTLRVAIEPSDPADMGALMKGLRLLNRADPFVEVTVSSRGEHVLAAAGEVHLERCVKDLKERFAKVSLEVSPPLVLYKETIKGDLSNPLEDLKRLSASSDYVEKVTPNGRCVIRVQVMKLPPTLTKVLDESADLLSDIIGGKPGQSGKGLEIHRSNVREDENPIEVLSKRIVDTLEGDSLCGNENDKDQAEKCKGEWLKFLRRIWALGPRQVGPNILFTPDYKRKNNDGSVLICGSPHVSLRLGFADNSSAGDMAAVASSEVTQPLYIEVESLESSVMSGFELATAAGPLCDEPMWGLAFVVEAYISSSTGQASESEPNQQPEQYGLFTGQVMTAVKDACRAAVLQRKPRLVEAMYFCELNTPTEYLGPMYAVLARRRARVLKEEMQEGSPLFTVHAYVPVSESFGFADELRRWTSGASSALLVLSHWEALPEDPFFVPKTEEEIEEFGDGSSVLPNTARKLIDAVRRRKGLPVEEKVVQHATKQRTLARKV >EOX95376 pep chromosome:Theobroma_cacao_20110822:1:33951458:33953847:1 gene:TCM_046694 transcript:EOX95376 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative MGAPKQGCSLMYPLFTLHVIFCTLPLLPLPVHCQCTKTPIIFNFGDSNSDTGGFADGLGLNFAPPNGRTYFHQPAGRLSDGRLMIDFLRESLNTSYLTPYLDSLGPNFSNGANFAIRGSATLPRFVPFSLDVQVSQFLRFRARSPALMSKGYKDLVGDGDFQNALYTIDIGQNDLAGSFDYLTYAQVIDKIPSFITEIKYAIWNIYQSGGKNFWVHNTGPLGCAPQKLALHGQNASELDEHGCLHPLNNAAKAFNAQLRALCEQLRPQLTNATIVYVDLYSIKYDLIANAPNYGFQNPLMACCGNGGHRTTTIPISIVAGLATLFAMKDLSS >EOX92397 pep chromosome:Theobroma_cacao_20110822:1:6667050:6669139:1 gene:TCM_001351 transcript:EOX92397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein isoform 2 MPANLDMVLSYFPSSRHELGLYFPFPKTKGAKLFVPTTAFMSNKLIQPAILAPQVVPLISKIKARVRTCRMVSATYPMTKSNGFRPTHTTKVAEQNAGLVGEEDVQDLKTLSQLKTKLYQEVNGINRGIFGVPSSKKSDIEALVKLLESQNPTPDPTLNLDKVGGCWKLVYSTITILGSKRTKLGLRDIITLGEFFQTIDVEKSKAVNVIEFNARGLSLLNGKLTIEASFKIASKSRVYINYDNSAITPDQLMIVFRKNYNLLLAIFNPEGWLEITYVDDTMRIGRDDKGNTFILERSEEDISVLT >EOX92398 pep chromosome:Theobroma_cacao_20110822:1:6667050:6668761:1 gene:TCM_001351 transcript:EOX92398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein isoform 2 MPANLDMVLSYFPSSRHELGLYFPFPKTKGAKLFVPTTAFMSNKLIQPAILAPQVVPLISKIKARVRTCRMVSATYPMTKSNGFRPTHTTKVAEQNAGLVGEEDVQDLKTLSQLKTKLYQEVNGINRGIFGVPSSKKSDIEALVKLLESQNPTPDPTLNLDKVGGCWKLVYSTITILGSKRTKLGLRDIITLGEFFQTIDVEKHLIMGKWRAKRLT >EOX93871 pep chromosome:Theobroma_cacao_20110822:1:16951840:16953465:-1 gene:TCM_002855 transcript:EOX93871 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MAPDNNKRKRPNILVTGTPGTGKTTTSSAVAEATQLRHINIGDLVREKNLHDGWDDDLQCHIINEDLVCDELEDVMDEGGNIVDYHGCDFFPERWFDLVVVLQTDNSVLYDRLSKRGYEGAKLSNNIECEIFQVLLEEAKESYAEDIVIALKSDNIEDITRNVATITDWIRSWPPTS >EOX91019 pep chromosome:Theobroma_cacao_20110822:1:1356742:1359600:-1 gene:TCM_000330 transcript:EOX91019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MVFILSNPQKQSICEIMPGVGNQLIETREGPSIHCITTVRDMVLLRACKVHGDVDSGRRTAEKLLEMDPNCAGTHITLANIYAAKGKWREAADVRKMVRSKRVIKEPGWSWIKVKDRVSAFVAGERSYPEGELIYGMLDLLASRMDMSVQELGSLLDSED >EOX93415 pep chromosome:Theobroma_cacao_20110822:1:12872842:12875155:-1 gene:TCM_002285 transcript:EOX93415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSKSLVEFDSKVAVSWVLSPLERPFKCWRNFQQIDLLCDAIESVMFSHVFSEANQCADHLAKQGVNRNELFVAWL >EOX93041 pep chromosome:Theobroma_cacao_20110822:1:10116779:10126415:1 gene:TCM_001895 transcript:EOX93041 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 53 isoform 1 MMNTVLKPTASLASRRILTASAQTLLHHFHSTAAAAAAAAASTRNSETLSINRFKPFSSFPGPTARNFHAKSGPLNFRASPSWQAEYAVEDYEDDKGGDGLDISNLGIAPEIVSALKNKGITKLFPIQRAVLEPAMQGRDMIGRAKTGTGKTLAFGMPIMDKIIRYNAQHGRGRNPLCLVLAPTRELAKQVEKEFHESAPNLDTICVYGGTPISRQMRQLDFGVDIAVGTPGRIIDLLKRGALNLSEVQFLVLDEADQMLHVGFVEEVETILERLPENRQSMMFSATMPNWIKRLTQKHLKNPLTIDLVGESDQKLAEGISLYSIAADMHGKASILGPLITEHAKGGKCIVFTQTKRDADRLAYAMARNFRCEALHGDISQSQRERTLSGFRDGHFNILVATDVAARGLDIPSVDLVIHYELPNTSETFVHRTGRTGRAGRKGSAILIYTREQSRAVRVIEREVGCRFSESFLRLRLRAGALTRSMRWVLVVALGHLEVREIVDMVIWVLVVLVGKRNMDLAVLEAIGVLDLVVVVVSLLVRWGAMEVLALVALVLITGLETLVVLHSAVQVDLGSLAKQIVLAALVALVLDVLVDLEILVQAIQVDLMTIVQAKAVGAMVTMIRALEEEPFKFF >EOX93040 pep chromosome:Theobroma_cacao_20110822:1:10109387:10123015:1 gene:TCM_001895 transcript:EOX93040 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 53 isoform 1 MMNTVLKPTASLASRRILTASAQTLLHHFHSTAAAAAAAAASTRNSETLSINRFKPFSSFPGPTARNFHAKSGPLNFRASPSWQAEYAVEDYEDDKGGDGLDISNLGIAPEIVSALKNKGITKLFPIQRAVLEPAMQGRDMIGRAKTGTGKTLAFGMPIMDKIIRYNAQHGRGRNPLCLVLAPTRELAKQVEKEFHESAPNLDTICVYGGTPISRQMRQLDFGVDIAVGTPGRIIDLLKRGALNLSEVQFLVLDEADQMLHVGFVEEVETILERLPENRQSMMFSATMPNWIKRLTQKHLKNPLTIDLVGESDQKLAEGISLYSIAADMHGKASILGPLITEHAKGGKCIVFTQTKRDADRLAYAMARNFRCEALHGDISQSQRERTLSGFRDGHFNILVATDVAARGLDIPSVDLVIHYELPNTSETFVHRTGRTGRAGRKGSAILIYTREQSRAVRVIEREVGCRFSELPKIEVEGGSTDTFNEMGAGSRFGSFGGTRDRRYGDMGFGRSGRQEEYGFGRLGGNRSPGFGRSGGQFAGEMGSYGGSSSSRFGSNNRSGNFGGPAFSRPGGFGEFGKADRSSSFGSFGPRRTSGFGDFGSSNTGGFDDNRSGQSSGSYGNNDQSTGRRTF >EOX93039 pep chromosome:Theobroma_cacao_20110822:1:10116779:10124452:1 gene:TCM_001895 transcript:EOX93039 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 53 isoform 1 MMNTVLKPTASLASRRILTASAQTLLHHFHSTAAAAAAAAASTRNSETLSINRFKPFSSFPGPTARNFHAKSGPLNFRASPSWQAEYAVEDYEDDKGGDGLDISNLGIAPEIVSALKNKGITKLFPIQRAVLEPAMQGRDMIGRAKTGTGKTLAFGMPIMDKIIRYNAQHGRGRNPLCLVLAPTRELAKQVEKEFHESAPNLDTICVYGGTPISRQMRQLDFGVDIAVGTPGRIIDLLKRGALNLSEVQFLVLDEADQMLHVGFVEEVETILERLPENRQSMMFSATMPNWIKRLTQKHLKNPLTIDLVGESDQKLAEGISLYSIAADMHGKASILGPLITEHAKGGKCIVFTQTKRDADRLAYAMARNFRCEALHGDISQSQRERTLSGFRDGHFNILVATDVAARGLDIPSVDLVIHYELPNTSETFVHRTGRTGRAGRKGSAILIYTREQSRAVRVIEREVGCRFSELPKIEVEGGSTDTFNEMGAGSRFGSFGGTRDRRYGDMGFGRSGRQEEYGFGRLGGNRSPGFGRSGGQFAGEMGSYGGSSSSRFGSNNRSGNFGGPAFSRPGGFGEFGKADRSSSFGSFGPRRTSGFGDFGSSNTGGFDDNRSGQSSGSYGNNDQSTGRRTF >EOX94160 pep chromosome:Theobroma_cacao_20110822:1:24143588:24148090:-1 gene:TCM_003529 transcript:EOX94160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein isoform 2 MAGEDSGNPLPSTPESPTSAGFNTDQLPHDSSHSSDEEEAAVDPEIIRDEVDVVEEEEDEGEDLYNDNFMDDYRRMNEHDQYESMGLDDSLEDERDLDQIMQDRRAAELELEARDARLSNRKLPQLLHDQDTDDDNYRPSKRSRADFRPPAAPRSYDDTDGMQSSPGRSQQGHSRDDVPMTDRTDDYPYEDEDDDQVEFEMYRVQGTLREWVTRDEVRRFIFKKFRDFLLTYVNPKNGHGDIEYVRLINEMVSANKCSLEIDYKQFIYVHPNIAIWLADAPQSVLEVMEDVAQRVVFDLHPNYKNIHQKIYVRITNLPVYDQIRNIRQIHLNTMVRIGGVVTRRSGVFPQLQQVKYDCNKCGAILGPFFQNSYSEVKVGSCPECQSKGPFTVNIEQTVYRNYQKLTLQESPGIVPAGRLPRYKEIILLNDLIDCARPGEEIEVTGIYTNNFDMSLNTKNGFPVFATVVEANYVTKKQDLFSAYKLTQEDKEEIEKLAKDPRIGEKIIKSIAPSIYGHEDIKTAIALAMFGGQEKNVEGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADKGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYAVDGLYI >EOX94159 pep chromosome:Theobroma_cacao_20110822:1:24141658:24148231:-1 gene:TCM_003529 transcript:EOX94159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein isoform 2 MAGEDSGNPLPSTPESPTSAGFNTDQLPHDSSHSSDEEEAAVDPEIIRDEVDVVEEEEDEGEDLYNDNFMDDYRRMNEHDQYESMGLDDSLEDERDLDQIMQDRRAAELELEARDARLSNRKLPQLLHDQDTDDDNYRPSKRSRADFRPPAAPRSYDDTDGMQSSPGRSQQGHSRDDVPMTDRTDDYPYEDEDDDQVEFEMYRVQGTLREWVTRDEVRRFIFKKFRDFLLTYVNPKNGHGDIEYVRLINEMVSANKCSLEIDYKQFIYVHPNIAIWLADAPQSVLEVMEDVAQRVVFDLHPNYKNIHQKIYVRITNLPVYDQIRNIRQIHLNTMVRIGGVVTRRSGVFPQLQQVKYDCNKCGAILGPFFQNSYSEVKVGSCPECQSKGPFTVNIEQTVYRNYQKLTLQESPGIVPAGRLPRYKEIILLNDLIDCARPGEEIEVTGIYTNNFDMSLNTKNGFPVFATVVEANYVTKKQDLFSAYKLTQEDKEEIEKLAKDPRIGEKIIKSIAPSIYGHEDIKTAIALAMFGGQEKNVEGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADKGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFSQNVELTDPIISRFDILCVVKDVVDPVIDEMLAKFVVDSHFRSQPKGANIDDKAFSESQEETEVSARPDEILPQELLRKYVTYAKLNVFPRFHDKDMAKLTKVYADLRRESSRGQGVPIAVRHIESMIRMSEAHARMHLRPHVTEEDVDMAIRVLLESFISTQKFGVQKALRKSFRQYITFKKDYHGLLLVLLRELVNNAMRFEEILSGSTSGLSYVDVQVADLQAKAEEYEITDLETFFSSSEFKAYYKLDEQRRVIRHHLADDDKA >EOX92215 pep chromosome:Theobroma_cacao_20110822:1:5750825:5764989:1 gene:TCM_001195 transcript:EOX92215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFGLGNLDGWDGDHTIHLLPAAGSLQLSQYLLKPRMALSVYLLLQGVDHFKKIQYADGITYGELFLENEYDQLLKTSQAFNILDSRGFVGNRACSLFQLHVQLNSSMCPTLVRDTRISWTSFGFCS >EOX92922 pep chromosome:Theobroma_cacao_20110822:1:9439061:9445108:1 gene:TCM_001785 transcript:EOX92922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-crystallin domain 32.1, putative isoform 1 MSSTWHLFLLDILFSRVPSQNISYSLGLHKPSLKILKFYRTCTLSNLTPFAVDTFGTLKMESEAARRRISTIASHFSPSEDILSATHLLPMNCSGSLNSVIRRCDNRMYFARQGSTYQACFMRQVSSEERTASPKSFCTAMVSSIASEVPLFSRPTMMEPNFSNLAAIQPLGLDWTLSVPDPPKFARPNKSTSEEMQLQSKKKTCTRSNGIWSPRMDVAETGSNYVMMVEIPGVSISDIRVEVDDQNLLVTGERSTQCWKGAAGCSNDLISAYHKNEISKGPYRLVWPLPTNVNRDSVSAEFLDGFLRIVIPKL >EOX92923 pep chromosome:Theobroma_cacao_20110822:1:9440009:9444122:1 gene:TCM_001785 transcript:EOX92923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-crystallin domain 32.1, putative isoform 1 MNCSGSLNSVIRRCDNRMYFARQGSTYQACFMRQVSSEERTASPKSFCTAMVSSIASEVPLFSRPTMMEPNFSNLAAIQPLGLDWTLSVPDPPKFARPNKSTSEEMQLQSKKKTCIWSPRMDVAETGSNYVMMVEIPGVSISDIRVEVDDQNLLVTGERSTQCWKGAAGCSNDLISAYHKNEISKGPYRLVWPLPTNVNRDSVSAEFLDGFLRIVIPKL >EOX96709 pep chromosome:Theobroma_cacao_20110822:1:38732708:38734592:1 gene:TCM_005902 transcript:EOX96709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISRSFISTLPKRCNSYSFALSPTFFISREPLKPKPIPLSNLAFSRQNPLNPNSSFTTFTSVQSNRFRSFTIQDIVYQKSINAHLDLIRSYTSLSSNRKSPCSKWISEQRPRYLSTSSTKADTEKPQSPSEYPSQNPDFKHQEIEGPTVERDLSALANETREVLEGMMKNIYGLSRAVAVLGLVHLGLGAWISYINGSNPISEVSIQSFLAFGFPFTLAFMLRQSLKPMYFFKKMEEQGRLQILTLTLQVAKNLNIFFVRVRGVSFLCIAGVSIGLLFTMFSK >EOX95354 pep chromosome:Theobroma_cacao_20110822:1:33869202:33870576:1 gene:TCM_004870 transcript:EOX95354 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFU domain protein 1 MRIFSQISNNSAPEMASIAATKTLTSSSPQALTKTFRIPQFISQNPHRRVPHTRIQLKTTVKASSSSPSAPTGSSPGLYSAQQFELTCQNVDLVLQDVRPYLVADGGNVGVVSVEDGVISLKLEGACGSCPSSTTTMKMGIERVLKEKFGDAVKDIRQVYDAEERETTVEAVNRHLEILRPAIKNYGGSVEVLSIEAGACVVKYTGPESIGSGIKAATKEKFPDIMNIVFTG >EOX93411 pep chromosome:Theobroma_cacao_20110822:1:12791513:12792022:1 gene:TCM_002274 transcript:EOX93411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWRMLVVLRRNLQNIKKSPRVADENMVGGVNTNNNNGAEMPIFIDRRPRGSWNGLSVICSVVRAPLSLVSCFSQPHVNGADGVWVSSEFAQISEMNHLMVSDSMRYAILM >EOX93184 pep chromosome:Theobroma_cacao_20110822:1:11049156:11052654:1 gene:TCM_002022 transcript:EOX93184 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT transposon superfamily, putative MELNLTPISITKQKQDPAWNHCEAFKNGERLQIKCMYCGKMFKGGGIHRFKEHLAGRKGQGPICEQVPPGVRALMQESLNGVLLKQDNKQNAIPELLACGGSSPHAGEIDKSAYSDDVNNGVKPIQVLNSLEPDSSLVLNGKGEVSQGIRDSKKRGRDRSLLANSHSCAKSDLALVSIGAENPVHMAIGRFLYDIGVNLDAVNSVYFQPMIDAIASTGSGIVPPSSQDLRGWILKNVMEEVKDDIDRNKTMWGKTGCSILVEQWSPKSGRTLLSFLVYCPQATVFLKSVDASRVIFSADHLNELLKQVVEEVGVENVVQVITNCEEQYFLAGKRLMESFPSLYWAPCLVHCVDMMLEDFANLEWISETIEQAKSVTRFVYNHSVVLNMMRRFTFHNDIVEPAVTRFASNFATLKRMADLKLKLQAMVNSQDWSECPYAKKPGGLVMLDIVKNRSFWNSCILIVRLIYPLLQVLEIVGSKKRSTMGYVYAGIYRAKETIKKELVKKDDYMVYWNIIDHRWEQQRHIPLYAAAFFLNPKFFYSIEGNIHNDILSSMFDCIERLVPDTNVQDQIVREIHLYKNATGDLGRPMAVRARDNLLPGEWWSMYGGGCPNLQHLAIRILSQTCSSIGSKPNKISIEEIHDTRNFLEHQRLSDLVYVRYNLYLRQMVLRSQDKDSADPLSFNSKEIRDDWIAYNAVCEEDYGSSDWMSLDPPVGSRMLSGTSGDETEDFLGTGFADLEIFNGLNGVEDI >EOX94018 pep chromosome:Theobroma_cacao_20110822:1:18833643:18856297:-1 gene:TCM_003069 transcript:EOX94018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDQPIRGARNPVIFIPRSKRHVGYLLRYLLTLTLMSFPWTMLLLNLRSKHLDRTTIGRMGYIEDVETKTWIHKKTHQEDDNDGDNEDDENIPPLTLVEPSLITMPSNASNINTKLKAIITPLDKNLKQLDVMAAQFDNID >EOX94030 pep chromosome:Theobroma_cacao_20110822:1:19395087:19401741:1 gene:TCM_003114 transcript:EOX94030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFDLANRQVVKGNDIGIGRSNHYKNPIESFLVTTRYSLRARDKRRDVSIDIHYPVCQPKPRKALASILRLPCQALDWLGFLLLVVFTGLLQESAIVITGSLGKVTF >EOX95786 pep chromosome:Theobroma_cacao_20110822:1:35566086:35567610:1 gene:TCM_005200 transcript:EOX95786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinogalactan protein 20 MAVISSRAFVGVMAIFALVFAIVSPFVEAQSAAPAPSPTSDGTSIDQGIAYVLMLVALVLTYLIHPLDASSYSFF >EOX95855 pep chromosome:Theobroma_cacao_20110822:1:35784365:35786939:-1 gene:TCM_005257 transcript:EOX95855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Decoy isoform 2 MRRSLTALVQPLAGARGFSTSSGKVVASVLFERLPVVIPKIDPVVYAFQEFSFRWKQQYRRKYPDEFLDMSKSRGKGDYQIDYVPAPRITEADKTNDRKSLQRALDRRLYLLLCGNSHGAPSGKPVWHFPEKVYDSEETLRKCAESALKSVIGDLSNTYFVGNAPMGHMAIQQTENVPEPYKRFFFKSQVIDTNKFNIGKCEDFVWVTKDELLEFFPEQAEFFKKMIIS >EOX95856 pep chromosome:Theobroma_cacao_20110822:1:35785023:35787032:-1 gene:TCM_005257 transcript:EOX95856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Decoy isoform 2 MRRSLTALVQPLAGARGFSTSSGKVVASVLFERLPVVIPKIDPVVYAFQEFSFRWKQQYRRKYPDEFLDMSKSRGKGDYQIDYVPAPRITEADKTNDRKSLQRALDRRLYLLLCGNSHGAPSGKPVWHFPEKVYDSEETLRKCAESALKSVIGDL >EOX94142 pep chromosome:Theobroma_cacao_20110822:1:22671964:22674623:1 gene:TCM_003412 transcript:EOX94142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYQMVKIPEFSSSSAVQTRGEKGKKKTRILPEKFGENQEKSRNQEFKSTNVVNFSILYCVKVVSPLIKARELHPRTNSLSISKILTLDTVQNRL >EOX94524 pep chromosome:Theobroma_cacao_20110822:1:29424087:29425461:-1 gene:TCM_004130 transcript:EOX94524 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein, putative MVFLFLMLLESISKQQCSMEKAYLTRNKNSKWDCNSSSLEGDHSCCCALTWPPKSYTCSFCKREFRSAQALGGHMNVHRKDRARLRLLSSWALECQNPEPNSMPSPSSSPISLSSHSVNFSLYPHHSLLSPLLSAPSSSPPPYRENLRKPLIPQLGGLSKEKTMTPFLGVEKLKRHAQKYELEVGGVTGVDLESEFKDSKEVLDLELRLGYFSVQSAFRL >EOX92623 pep chromosome:Theobroma_cacao_20110822:1:7802422:7805666:1 gene:TCM_001542 transcript:EOX92623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein, putative MNEMISGVGDPVATDATKGGGSDASRIAEVKAWLATQFDAAGKDVPDFEYTPRSIAYLYNLATVSQAKTQAANIVANDFRQKAAEYRSQAARIREILENVGLAQESLPSNVVASAQVLANVANLLNIRDTELSSFLVAMGDISLRKTGVEEKRAKVHKESKTLLEYTRKAIARLTYLKRTLAQLEDDVSPCEAQMENWKTNLGVMASKERQYIQQYNNYKALLNRVGYTPEINHGVLVEMDEHRKDLEKKTKPILDTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLHSALTTSD >EOX96624 pep chromosome:Theobroma_cacao_20110822:1:38438891:38440699:-1 gene:TCM_005838 transcript:EOX96624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTQKGNLFKGQKKQKTIPPNRHGKLPHVRKGKRVVKPSKMTKDMDADRELTKFINQCNEIKAATIANKDGGQLNIVKLPPDSASDVKE >EOX95085 pep chromosome:Theobroma_cacao_20110822:1:32844213:32848322:1 gene:TCM_004657 transcript:EOX95085 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MGSELIYRGHETHPASDSYSPKPVKPWASVIRPIRYMLREQRLLFVLVGIAIATLIFTIFPTSRAPHVPHHHFTTSISDSITYFPIEPQNKFSYPHRVGFESANPTGKIPLGLKRKGLRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGRKENVMHHFGNPNFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAEVVQETIDPNAKIEFRPNTEDDPHKRKPDISRAKELLGWQPKVSLRKGLPLMVSDFRQRIFGDHKEGSNTNNASTS >EOX95086 pep chromosome:Theobroma_cacao_20110822:1:32844213:32848322:1 gene:TCM_004657 transcript:EOX95086 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MGSELIYRGHETHPASDSYSPKPVKPWASVIRPIRYMLREQRLLFVLVGIAIATLIFTIFPTSRAPHVPHHHFTTSISDSITYFPIEPQNKFSYPHRVGFESANPTGKIPLGLKRKGLRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGRKENVMHHFGNPNFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCIDDGRVVSNFVAQQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAEVVQETIDPNAKIEFRPNTEDDPHKRKPDISRAKELLGWQPKVSLRKGLPLMVSDFRQRIFGDHKEGSNTNNASTS >EOX95830 pep chromosome:Theobroma_cacao_20110822:1:35697154:35699078:-1 gene:TCM_005233 transcript:EOX95830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2358) [Source:Projected from Arabidopsis thaliana (AT2G46220) TAIR;Acc:AT2G46220] MAFLLHSPEICTASAKIFSNPNHSPKFLKSLTISKNDDEKIWSKAKVRFGLKDVGAMVSGSNKSLRLHGQFSAPVKRGSKPSKEEEEKQNYYVNMGYAIRTLREEFPDLFYRELSFDIYRDDIVFKDPLNTFIGIDNYKSIFWALRFHGRIFFKALWLEIVGVWQPVENVIMVRWTVHGIPRVPWESRGRFDGTSEYKLDKNGKIFEHRVDNIALNSPPKFHVLAVEDIIRTIGCPSTPRPTYFEISSSSSSQRT >EOX96517 pep chromosome:Theobroma_cacao_20110822:1:38081478:38083004:1 gene:TCM_005750 transcript:EOX96517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin p4,1 MAELENPNVMPNLITFLSSLLQKVAESNDVNGRFQPQKISVFHGLTRPTITIQNYLDRIYKYANCSPSCFIVAYVYLDRFAQRQTSLPINSFNVHRLLITSVMVAAKFMDDMYYNNAYYAKVGGISTTEMNFLEVDFLFGLGFHLNVTPNTFHTYYSYLQREMMLQPPPSIADSSLSLGRSLKAHLCFNEEESSHQKQQQLAV >EOX93496 pep chromosome:Theobroma_cacao_20110822:1:13493980:13495303:1 gene:TCM_002372 transcript:EOX93496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSRSYDWRPQLFRTFNYWFDDHSFQALIVETWENAKVGNPRSPKVLWGPKNLRLIIKKWHKLKYGGISSKIEKLEVEILDLEVD >EOX95427 pep chromosome:Theobroma_cacao_20110822:1:34177847:34180374:1 gene:TCM_004928 transcript:EOX95427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily, putative MMGKVVVSGISIILVVGVVIGVVAVVHRNGDDKADLSPQMKAVSNFCSSTDYQEACKKTLSSVNSTDPKDYVAQAILASQEAVKKFFNYSDSLIVQAKNDSRTKMALDDCKEMMDYAIQSLQASFSEVGDGELRSINDRIADLRTWLSAVISYQQSCLDGFEPETTMHQTMTTGIADASELTANALAIVTKLSDILSKFGLQLAIPTARRLLSVEKDGYPSWFSAADRKLLARIDNRNLRPNAVVAKDGSGQFRTIAAALAAAPKNSKVRHVIYVKAGIYNEYITVDKKTTNILMYGDGPRKTIVTGHKNFVDGTSTWQTATFSAIGDGFIAKSMGFQNTAGPQKHQAVALRIQSDKSAFFNCRMDGYQDTLYNQANRQFFRNCVISGTIDFIFGDSPTIIQNSLIIVRRPMDNQQNTVTAQGKTNAHENTGTVIQNCRIVPEQKLFADRFKIPTYLGRPWKQYSTTVIMESTLGDFIQPAGWLPWAGSNFLNTLYYAEYNNRGPGANTAKRVNWKGYHRIDRRTAMRFTVQSFLLGRENWLPATGIPFTAGLRY >EOX94398 pep chromosome:Theobroma_cacao_20110822:1:28305998:28308387:-1 gene:TCM_003984 transcript:EOX94398 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor MMKGGFEVVHATLDMIQPHEPIWDFASVGFPTTSVSSIPRQAVENRCSNLEGNELSEWVEQVTKQLIDDLPAETETDSSLLADTSMVCEDSFAPSPLGDFRARKTVRRSFLDGSGEENQWSNEQGNQTNNFCENGVNGRGLSRLDEQGLSLITLLLECAVAISVDNLGEAHRMLLELTQMASPYAPSCAERVVAYFAKAMSSRVINSWLGICSPLINYKSVHCSFQAFNNVSPYVKFAHFTSNQAILEALHRRDRVHIIDLDIMQGLQWPALFHILATRIEGPPHVRMTGMGNSMELLVETGKQLSNFAKRLGMSFEFHPIAKKFGEIDITMVQVRRGETLAVHWLQHSLYDATGPEWKTLRLFEQLAPRVITLVEQDLFHGGSFLDRFVGSLHYYSTMFDSLGAYLPADDPSRHRIEHYLLYREINNILAIGGPARSGEDKFKHWRSELARNCFVQVPMSSNSMAQAQLILNMFPPAHGYSLVQGDGTLRLGWKDTSLFTASAWTSRASR >EOX94998 pep chromosome:Theobroma_cacao_20110822:1:32415326:32420086:-1 gene:TCM_004583 transcript:EOX94998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase WEE1 isoform 2 MKRKVVKRGTNRRRESGKMKGTLGRLLQVQLHHHQSSSANSSSLFARNLLDSEPDDPSTSASALDLDAADDKDFILSQDFFCTPDYITPDNQNLMNGFDCNKDNIPCPKSPEKLHTLKSKRPRQDGIQVNPLSPILSGSQQIVELAKDNFDVDVVNLEKTVIPGVQKTKNYVSQSAVALRCRVMPPPCIKNPYLKDASEMDTDPLGNQRSKCAGFFPAIIGGDGLSRYHTDFHEIEQIGTGNFSRVFKVLKRIDGCLYAVKHTTRQLHQDTERRKALMEVQALAALGSHENIVGYYSSWFENEQLYIQMELCDYSLSRNTSLQLFTEGEVLRAWLQIAKALQCIHERGIAHLDVKPDNIYVKNGAYKLGDFGCATLLNKSLPIEEGDARYMPQEILNENYDHLDKVDIFSLGVTIYELIRGSPLQESGRQFLREGKLPLLPGHSIQFQNLLKVMVDQDPVRRPSAKELIENPIFDKVSNNAKA >EOX94999 pep chromosome:Theobroma_cacao_20110822:1:32415586:32420222:-1 gene:TCM_004583 transcript:EOX94999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase WEE1 isoform 2 MKRKVVKRGTNRRRESGKMKGTLGRLLQVQLHHHQSSSANSSSLFARNLLDSEPDDPSTSASALDLDAADDKDFILSQDFFCTPDYITPDNQNLMNGFDCNKDNIPCPKSPEKLHTLKSKRPRQGFFPAIIGGDGLSRYHTDFHEIEQIGTGNFSRVFKVLKRIDGCLYAVKHTTRQLHQDTERRKALMEVQALAALGSHENIVGYYSSWFENEQLYIQMELCDYSLSRNTSLQLFTEGEVLRAWLQIAKALQCIHERGIAHLDVKPDNIYVKNGAYKLGDFGCATLLNKSLPIEEGDARYMPQEILNENYDHLDKVDIFSLGVTIYELIRGSPLQESGRQFLREGKLPLLPGHSIQFQNLLKVMVDQDPVRRPSAKELIENPIFDKVSNNAKA >EOX92867 pep chromosome:Theobroma_cacao_20110822:1:9084952:9096465:1 gene:TCM_001728 transcript:EOX92867 gene_biotype:protein_coding transcript_biotype:protein_coding description:LINE-type retrotransposon LIb DNA, Insertion at the S11 site-like protein MFFEGVEDSVEGSKDDSEFEMDYDFAESDEENFEYGSIEGFPSITVSDKRQETLARRWQNVVIVQMLDRAISYRILCDRVASMWRPKDMQDLTAVAAWVRFLGIPFHIYHKYILRRITSLIGKLLKIDYNIGAEKRGKFARVTVELDLFKPLIPKFFLNGKEQKIVYKGLPRVCFNYGVFGHTKKVCSNHRELSPTKATVNPQDPKESPGDSPYGPWMLVSRRKTRGMDKKLKSTANQKSQDQGGTRSRFLVLDTMDSGIANIDIFDAQQYMLTDKDDQVIMADEGDRKDYNRVQESNKLFDGRLPGSLPQREPNNLQADVCVPTMTTLDQTKHSAVIPKDKAEDNSAIVHAMDSRNIRLTKTESCGGVILSKGKEKQVSKAGNIRLQRSFLKKKARAKHNGSHSLSPSLSLLKEKIIQPSITSMERKFGVGDGVIADDECQMSMETENCQGAGDRGFPHFANDLQRMHHFSIMVLLEPRVSGITVDKGALKKFSDSARVWNKEVFGNIFSRKKRILARLGGIEKELETQQSRHLQELEVKLRKEYELITQQEEVFWLQKSKADSLTLGDKNNRIDYDRLLTPIYAKEVQEALFSMKPMKTPGIDGIHALFFQNQWKVVGSSVVKYVQTVFTSTETQSSFILERAIIDNVIVTQEVMHSFKRKKGKILWNGMPSESFSPYRGVRQGDPLSPYLFVLCIERLSHAINIAVVQGLWKPIKLGRHYPLISHLFFADDLILFAEASVDQMEIINGVLGDFCACLGEKVNVQKSSFYCSANVSRE >EOX91613 pep chromosome:Theobroma_cacao_20110822:1:3298891:3301042:-1 gene:TCM_000746 transcript:EOX91613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METAVNCDEEEKWEAEEEEEEALSLCDLPVNLIKEENQVQPGNYEDGESQAIKTEEDFNFGSWGGSLSTEPQMCAADEVFFKGQILPLRLSVSSDSGLTGFRQDSQNTSRCLSRSESMDHGSLSRFTSISSSSRSSSTRSSHYSIGSSNSITVTARNFNSNSKSNSNSSSTSNSKSKPIKIRNNFNTHPSPKPQIRLSKTRPVNVSSRNQKTSMWDFFRLGLVRAPELELQDLKVRSNNNNANRNSVSRNSSCNSSNSSSSTKNSTSKIVNNSGEVARNQQDLNKGFLEKRIGLFSGCKCSVNAVETIPLNHIAVIKSDKNNEKEKAMLYAAMEEKKKLLQELKIMKKMKEKEKKQEGKQALSRHRTFEWLKELSHASYVDEA >EOX96329 pep chromosome:Theobroma_cacao_20110822:1:37368512:37384618:-1 gene:TCM_005600 transcript:EOX96329 gene_biotype:protein_coding transcript_biotype:protein_coding description:RGPR-related, putative MASPPFEVEDQLDEDFFDKLVNDDDDFDFTGSSQNFVVEAIDSQEVKAFSNLTISETSPAGVDSDGKDVDFSLEAEKGGEDGGDSALLDANEDTVAANESSSVAPSNVKECSEIGLGEEGVLDRETSKSGVASGTGVKEVQWSSFNSDTNIHSSGGFRTYSEIFNDLGDNSEDPFAEVGIKDDSAMEFNTTAGVSGDSVSDLTASSYLQNNDVQYYGVGSEQNVDGQDLSNSQYWENLYPGWRYDSNTGQWYQVEGYDVNATMNSQESLGVSHSASPYAISNKKMDTYSLQQTAQSVMGTLAEDFGNSNASYWNQISQGNAEYPAHMVFDPQYPGWYYDTIAQEWKMLESYTPAVDQSATIDHNQRYQNSNIESYESQAFGSQDHVMNQGESASNYHQQNSNSFQAYSVSKRGTEVSSETKQLGNLYSPVALVDNHAEQQNGFEPSRSVAPYEQLSQTISHSAEVSRFQSFIPAQSYTQFNNQTTVLSDQQMQFRPAFIDAQKSGNHPQQPLQTSTSFYSSPNEGRSSAGRPPHALVTFGFGGKLVVMKNNSLSHTTSVYGYQGSVAGVINVLNLMEVVNDNSDASSFGFGANDYFQTLCQQFFPGPLVGGNIGNKELYKWIDERIANCESSSMGYRGEVLRLLFSLLKISCQYYGKLRSPFGTDQTLKESDYPELAIAKLLGSVKGKGVQSSAYGAFMHCLQNLPSEAQMQATALEVQKLLVSGRKKEALELAQEGQLWGPALVIASQLGDQFYGDTVKLMALKQLKAGSPLRTLCLLIAGQPADVFSTVSPSSNLPGYVHTANQPGQIGANMLDGWEENLAIIIANRTKDDELVIIHLGDCLWKERAEVAAAHICYLVAETNFEPYSDGARLCLIGADHWNCPRTYASPEAIQRTELYEYSKVLGNSQYLLLPFQPYKLIYAYMLAEVGKVADSLKYCQAILKSSKTGRAPEVETWRQLVSSLEERLRIHQQGGYNTNLTPNKLVGKLLTFFDNTAQRVVGGQPPPPPSTLHSSVHGNEFPQHPGGSKASDSQPTIAVQSLMSSPSMASTMAMPSLMPSASVESKMAMPSLMPSASVESKMAMPSLRPSASVESKMAMPSLMPSASVESTMTMPSLLPSASMEPISEWTGQTDLSTMTGRSISEPDFGHSNRKVNSSNETNSSGTQEKAAVSSGSSRFGRFGSQLFQKTFGLVLRSQPHRQAKLGETNKFYYDEKLKRWVEEGAEPPAEEAALPPPPTSAAFQNGMNDLSIKDSPKFESVHTSSDNKSPISSDQSSGIPPIPPSSNQYSARARMGVRSRYVDTFNKSRGSPVNLFQSPSIPSAKPVSGSSPKFFIPSPVTPTEEMVKNTGENIQEAVLTNEMHKRVAAVEDSNSRRTASWSGSLNDASNLSKTREIKPLGEALVMPSSSYADTNSGEDLHEIKL >EOX92934 pep chromosome:Theobroma_cacao_20110822:1:9490485:9495931:1 gene:TCM_001796 transcript:EOX92934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein MDREICGWIIEFLVRESTDEMLVKKLIQAFPPLNGKPRLKKTLLLHSIRTEILAGNVSERILDHLERIERIDRSQRLSIPDSIRQAYCAVALECTAKYLPGSWDRNGKYLDAVKRIWRSRIENLEKSKASRLVSERLRSRRRQVEAAVEDEEVANVLITINTRNDAILTLRVYLCEALALMGPSFLKSQCDSILYRENGPFLEKCRRDSLAGE >EOX92962 pep chromosome:Theobroma_cacao_20110822:1:9616965:9626097:-1 gene:TCM_001822 transcript:EOX92962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 1 MALKLTRKILVNPCFISCNSFQLLVGLNSNHDTRVFLSKGSGGFRGSDVLCKPRFFCPVTCLERLSWEGSTHAVLLTKIENSLKELKLDEAWETFNDFKRLYGFPNHLLVSRFITQLSYSSSPHWLQKACDLVMIVSKEKSYHLQPDILAKLILSLARAQMPIPSSTILRLMLEKEILPPINVLWLVFQHMVKTEVGTCVASNLLVQICDYYIRFCSEKSHYANFLKPDTMIFNLVLDACVRFASSLKGQQIIELMSKTGVVADAHSIDIIAQIHEMNGHRDELKKFKDHIAPLPVPLVSHYQQFYECLLSLHFKFDDIDAAAELVLEMNRSRESHPIGELRKDYQKPRFVPIGSQNLRNGLKIQIVPELLQKDSALIAEGKSDLIMYRDKKLCPSNRALAKLINGYKKHGKINELSKFLLSLKRELCSSGGSSLFSDVIDACITLGWLEIAHDILEDMESSGDPLGLSTYMALLTAYYKRNMSREGNILLKQMRKVGLVLNLSDEIVISKNAPENVGRSSLCINESSSICQPSLMESLVREISEAEKAISPILYELNSSIYFFSKAKMMGDALKIYRRMQEMKIQPTVHTFAYLVCGYSSLKLYRDITILWGDIKKAMESRNLSMSSDLYALLLLNFLQGGYFERVMEVIGYMKKGSMYIDKWMYKSEYLKIHKNLYRSLKASQARTEAQGKRLDHVKAFKKWAGID >EOX92963 pep chromosome:Theobroma_cacao_20110822:1:9617034:9626092:-1 gene:TCM_001822 transcript:EOX92963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 1 MALKLTRKILVNPCFISCNSFQLLVGLNSNHDTRVFLSKGSGGFRGSDVLCKPRFFCPVTCLERLSWEGSTHAVLLTKIENSLKELKLDEAWETFNDFKRLYGFPNHLLVSRFITQLSYSSSPHWLQKACDLVMIVSKEKSYHLQPDILAKLILSLARAQMPIPSSTILRLMLEKEILPPINVLWLVFQHMVKTEVGTCVASNLLVQICDYYIRFCSEKSHYANFLKPDTMIFNLVLDACVRFASSLKGQQIIELMSKTGVVADAHSIDIIAQIHEMNGHRDELKKFKDHIAPLPVPLVSHYQQFYECLLSLHFKFDDIDAAAELVLEMNRSRESHPIGELRKDYQKPRFVPIGSQNLRNGLKIQIVPELLQKDSALIAEGKSDLIMYRDKKLCPSNRALAKLINGYKKHGKINELSKFLLSLKRELCSSGGSSLFSDVIDACITLGWLEIAHDILEDMESSGDPLGLSTYMALLTAYYKRNMSREGNILLKQMRKVGLVLNLSDEIVISKNAPENVGRSSLCINESSSICQPSLMESLVREISEAEKAISPILYELNSSIYFFSKAKMMGDALKIYRRMQEMKIQPTVHTFAYLVCGYSSLKLYRDITILWGDIKKAMESRNLSMSSDLYALLLLNFLQGGYFERVMEVIGYMKKGSMYIDKWMYKSEYLKIHKNLYRSLKASQARTEAQGKRLDHVKAFKKWAGID >EOX94855 pep chromosome:Theobroma_cacao_20110822:1:31763012:31765114:-1 gene:TCM_004469 transcript:EOX94855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase-like 4 MQTTSMCTLKCPVFLFSLKLGIEYENPKWKPLSKWLPFSLLFYPNPIPTVPSSKPPIEGRMASLPLLGLLSLILTATAATATTTSATGIRHGIIRKPSSDVPVFREAPAFRNGDICGSNASDRIHIAMTLDANYLRGTMAAVLSILQHSTCPENVEFHFLWGKYEPEVLVSINSTFPYLNFRVYRFDPNRVRDKISKSIRQALDQPLNYARIYLADILPADVRRVLYLDSDLVVVDDILKLWEVDLEGKVLAAPEYCHANFTKYFTDLFWSDKGLASTFEGRHPCYFNTGVMVVDVDKWRQGGYTQKVEEWMAVQKQKRIYTLGSLPPFLLVLAGNIKAVNHRWNQHGLGGDNLEGRCRSLHPGPISLLHWSGKGKPWLRLDSRKPCVVDHLWAPYDLYNSSIQSLEE >EOX92844 pep chromosome:Theobroma_cacao_20110822:1:8873430:8875578:-1 gene:TCM_001704 transcript:EOX92844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMTRRTRNAPSSPQFSAESSATRSKHHRKIPYVNYYYSDDNYVDNTSLSHSPDESNGDDLEQPRNENDYDDFDASDFQSESMTNAPNAPKTLLRGNGLSAAASLNSVSNSAIWSRSNLIEATSYINIAPLPIFQGSPSDCPVTHLSRFAKVCRANNVSSVDMMMRIFPVTLENEAGLWYDLNIEPYPSLRWEEIKSSFLQAYHKTQVTEQLRHELMMINQGSEERVRSYFLRLQWSLQRWPDHGIPENLLKEIFVDGLREDFQDWIVPQKPDSLVEALRLAIAFEQLKSIKISRKKDLKCDFCEGSHEERNCQVRERMKELWRKTKDKEWMDSSEKNQSNEAVNESAEGSAEDRIEEENVVEGEMLSGRKQKKKSPCQCCKHQCWKKQLDRTNSLVSRNSDAV >EOX91316 pep chromosome:Theobroma_cacao_20110822:1:2303078:2306893:-1 gene:TCM_000556 transcript:EOX91316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose nonfermenting 1(SNF1)-related protein kinase 2.3 MDRADLTVGPAMDMPIMHDSDRYDFVRDIGAGNFGVARLMRDKVTKDLVAVKYIERGDKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICAAGRFNEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLRREYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKDFRKTIQRILSVQYSIPDVVQISPECRHLISRIFVADPTARITIPEIRNHEWFLKNLPADLMDENTMGNHFEEPDQPMQSTDTIMQIIAEATIPAAVAIGFNPYMGGSDDEYMDDLDSESELEVDSSGEIVYAM >EOX93438 pep chromosome:Theobroma_cacao_20110822:1:13122702:13136068:-1 gene:TCM_002316 transcript:EOX93438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTRSNVRRLLWDNREATGMVLERETECEDHNNSALVSLKEENMEVESWKLQIQEHPGRKFLAAARNSDNIAEGFSLQQESNLVAVKLKMSLQSKSAKNLL >EOX90800 pep chromosome:Theobroma_cacao_20110822:1:660096:665479:-1 gene:TCM_000168 transcript:EOX90800 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MEQILSMNGGSGEQSYANNSIGQSTCLSRSMPVLKQAVLDSCCTNLPETVTMADLGCSSGPNTFYAVSEITSIIYKRCCQLGRSAPEFRVFLNDLPGNDFNTVFQSLPAYQGKLREENGPGFGPLYVAGVPGSFYGRLFPLKTLHFVHSSSSLHWLSQVPPELTDKANPLINKWKIFISKTSPPAVISSYLTQFRKDFSLFLKLRSEEVAPEGRMVLTFRGRTTADPTSDESCLLWDYLGQAFQDLVAEGLVEEERLDTYNTPYYEPHPEEIQAEIEKEGSFTLDRLEVIALPWDSVNGGIKDDRATTAKRMAKAIRAVNESMFRSHFGEDILDPLFHRFIEIMAADTKEVEHVSLILSGPFSLYGKWIMVMDCQNIHPSYVYGKEAVERLIQVTTGLSSSMPVLKQAVLDICCTNLPETVTMADLGCSSGPNSTFYAVSEITSIIYKSISGKTKGRQWTWPPGFGPVYVASVPGSPYGRLFPSKTLHFVHSCSSLRWLSQIGLLVSLGNALSKPRSFLEPKVPKKCS >EOX92748 pep chromosome:Theobroma_cacao_20110822:1:8394698:8399460:1 gene:TCM_001633 transcript:EOX92748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase MNSDSKYFATAQKARGSDPAYAMVQCRKYLSSADCLACFEAALSRIRHCSSNGANVIYDGCCLSEEHKLGEGGFGDVYKGVLKNGKIVAVKKLAVLQSRRAKLDFDSEVRLISNVHHRNLIRLLGCCSKGLELLLVYEYMANSSLDKFLFGERRGSLNWKQRYGIILGTARGLAYLHEEFHVCIIHRDIKSSNILLDDDLQPKIADFGLARLLPEDKSHLSTKFAGTLGYTSPEYAIHGQLSEKADIYSYGIVVLEIISGQRSHETNADPDAEFFLKKAWRLYENNMHLELADESLDPNENEAEDVKRVIEVALMCTQATAAMRPTMSEVVVLLKSKGSVERRPLTKPTFIESDHKRLREDTSTSTASSASNATASISRLSDRLPASNVVANCLLRIINHDHGMTCPMTVNLRSMIDDW >EOX92286 pep chromosome:Theobroma_cacao_20110822:1:6078028:6081305:-1 gene:TCM_001253 transcript:EOX92286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defence response isoform 2 MKKSSLIRLQPVLALPLAMIITIIPRTITLQLQGGVSSATSTVASRPEVHGLNVINDFKASCDDHVSREHSLFPFVCFCVPIIFILLLLFINPFVFSHRKRCNA >EOX92285 pep chromosome:Theobroma_cacao_20110822:1:6078272:6081314:-1 gene:TCM_001253 transcript:EOX92285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defence response isoform 2 MEDRKEKNAPWLSVPQFGDWEQKGQVPDYSLDFSKIREMRKQNKREVSRASLGNEEEFINPTATSVSTAPSDDHHHYPQNHHSPTVTRKCKWCTCN >EOX96457 pep chromosome:Theobroma_cacao_20110822:1:37871369:37872238:-1 gene:TCM_005703 transcript:EOX96457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative MSFESKRSSKSFVTAKSYGITPILFLLVSSLLYISSSWACQCASPQEDKSSPSKQQKSLLTTAKMKGGVISVLVVLAMVQIMVKPGVQATVTCQQVDDALEPCVPFLTSRAGHPTAACCDGVGRLQKIAQTPTDKQEACTCAKDAAARLPAVKEDAAASLPAEGQHISLKK >EOX90859 pep chromosome:Theobroma_cacao_20110822:1:828196:829429:1 gene:TCM_000212 transcript:EOX90859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein, putative isoform 2 METPSSTRRATRSQTALNNSIPVSKGKNEESEKSVSKTRTRKGKQQQERSALIDITNDSPIVGLAMETPLSAIAKQRTSRVKNITMTPGSGEALLRGQVKTLLQKVEEEAVLSKVSLESRPFLHLQGCVNSPMGLLAPTPANTPQILNLSEDGGISNSGLGSIVMALPVVEEQLKISEVVSGIFEGKKQEGSLESQRSLITRSLLLDFSEKSESSESSECSSVVTDQGVITGESSASKERPSPDDDNSSMWSIQGNASAHDEDEETIEEMGDDYYEEEVDDGEEE >EOX90858 pep chromosome:Theobroma_cacao_20110822:1:828022:829834:1 gene:TCM_000212 transcript:EOX90858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein, putative isoform 2 METPSSTRRATRSQTALNNSIPVSKGKNEESEKSVSKTRTRKGKQQQERSALIDITNDSPIVGLAMETPLSAIAKQRTSRVKNITMTPGSGEALLRGQVKTLLQKVEEEAVLSKVSLESRPFLHLQGCVNSPMGLLAPTPANTPQILNLSEDGGISNSGLGSIVMALPVVEEQLKISEVVSGIFEGKKQEGSLESQRSLITRSLLLDFSEKSESSESSECSSVVTDQGVITGESSASKERPSPDDDNSSMWSIQGNASAHDEDEETIEEMGDDYYEEEVDDGEEEEEDGGLIDELCEGLSKVSMEEMFTGKHTRFVYNSDDEIEGEEEESVENEDAAGILRLKGLPSPKGKHLRFLLEERDD >EOX96364 pep chromosome:Theobroma_cacao_20110822:1:37520411:37522924:-1 gene:TCM_005622 transcript:EOX96364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 8 MLDYEWGNPSAIILSGEEPNQESDPNRQIFDHYATTTTTQQSFNETLLSHQHSTAFSHQHHFHNPNQAQAQPQTHAPLHSLYDPRAYSGTSAYSTPHPSLLSLDPVSGAGGSGCGYFLVPKTEEVSRPVDFTARIGLNLGGRTYFSSAEDDFVNRLYRRSRPGDPSSTNSPRCQAEGCNADLTHAKHYHRRHKVCEFHSKASTVIAAGLTQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKSQQQQQQQQQPNNQENQKPLLLENGRNSSADNPPRSPPDSGIQSSSSVTVAVSPPRMSLDCFRQRPYNATASSSSSSTLFFSSG >EOX92416 pep chromosome:Theobroma_cacao_20110822:1:6721790:6722645:-1 gene:TCM_001370 transcript:EOX92416 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MSTSSKPTQFSQDLLENFHPRKFLLYSSLYETEAPAISPAPENSYAITNNFDTNVLIVISILICSLISSLGLFCIVKCALKCSSLVAAEPDANPPSKLANAGVEQKALKAFPTVKYTSELKLPGLDTACVICLSEFAAGERLRILPKCNHGFHTHCIDQWLSAHSSCPTCRHCLTETDQKTVNCSQADSLEHPLPVQESRHMVEN >EOX93937 pep chromosome:Theobroma_cacao_20110822:1:17591100:17596144:-1 gene:TCM_002944 transcript:EOX93937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein MDKQQQQQHHHHHQQQLSLAKSSRQRYNEWIFRDVPSDITIEVNGGTFALHKFPLVSRSGRIRKLVAEHRDSDISRVELLNLPGGAESFELAAKFCYGINFEITSLNVAQLCCVSDYLEMTEEFSKDNLGSRAEEYLESIVCKNLEMCVEVLQQCENLLPLADELKIVSRCIDAIASKACAEQIASSFSRLEYSSSGRLHMNRQAKCEGDWWIEDLSVIRIDLYQRVMTAMKCRGVRPESIGASLVNYAQKELTKKSSLWNPSGQTKVDLVSTGHERLVVETVVSLLPVEKLAVPISFLFGLLRSAVMLDCTIACRLDLERRIGSQLDIATLDDLLIPSFRHAGDTLFDVDTVQRILVNFSQQDDSEDDMDDASVFESDSLHSPSQSALFKVAKLVDNYLAEIAPDANLKLAKFMAIAETLPAHARTIHDGLYRAIDIYLKAHQGLPDADRKKLCKLIDFQKLSQEAGAHAAQNERLPLQSIVQVLYFEQLRLRNALCCSYADDDHKPVHHQSWRISSGALSAAMSPRDNYASLRRENRELKLELARLRMRLNDLEKEHVCMKRDMAKSHSRKFMSSFSKKIGKLSFFGHSSSRGSSSPSRQSYRTDSKVIERTCASTD >EOX92328 pep chromosome:Theobroma_cacao_20110822:1:6322258:6373898:1 gene:TCM_001287 transcript:EOX92328 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein MAKRQYEVWKGNNIFIFGGRFMFGPDAKSLIITLLLIIVPVIIFCANVARNLNSEISGIIAGYAILMVTVVFTVYVLLVLLLTSARDPGIVPRNLHPPTEEICYDSSASVDAVGRQTPTPRLPPTKEVIVNGVPVRVKYCNSCMLYRPPRCSHCSVCDNCVERFDHHCPWVGQCIGMRNYRSFFLFISSSTVLCIFIFAMSALNIKFLMADLGTVWKAMKESPLSVVLMVYCFIFLWFVGGLTCFHLYLIGTNQTTYESFRYRGLERRPRVYDRGCLNNFREVLCSKIKPSRNSFRAYVQENGRGATSGMTSEAYLGDSVENRREKVEDDREIGGDLLKISQRREAEDT >EOX96284 pep chromosome:Theobroma_cacao_20110822:1:37215691:37216393:1 gene:TCM_005562 transcript:EOX96284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKRTAEGTSCETPLVFNGRERSVRRSRTRLSRTETVKHTSTRKLHNSFLITRHITLSSFTSCQVLLQFVALLAVLDEHQYWVSFRLLHESEDNASCLLGFVRDVGNVRILELKRPRTSSPTETWHQSSSYSFRLMMNF >EOX93402 pep chromosome:Theobroma_cacao_20110822:1:12714763:12716297:-1 gene:TCM_002261 transcript:EOX93402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIVDEEDEGGGDDYIEFEDEDIDKI >EOX90864 pep chromosome:Theobroma_cacao_20110822:1:852980:860918:1 gene:TCM_000214 transcript:EOX90864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MEREEGGVIVVGRAEIDTRAPFRSVKEAVMLFGEKVLVGEIYASKIKEMKALAGANGQSQSKLAALTTELEETKQSLQKAREEGNLMSYRIKTLREELELTKKELQQLKAREFDQKQRFDPDMEDFKFMENATKIGIMTQDEEPEEFQKKRYVKFASPPSLAQVIVNREEKLERQAPVKKVRRKSLIPVIGWLFYKKKGSQEDDQSLKANQYLFVLFYDREFRRIEEHFMSYSNEVKQMVLDGRPSLSKANNQGMEKKTRGSLKKMKSSTLCMPRFKGSNKNKRLSPMTLLERFREAVLRLIMLSALTKTSHHHTGSSTAPRKYYPADAHHSEAVADCIEFIKKKASRVENRDSSASSSNMDATGEVVMPVPSQKSKSHLKKLLDFVRSLVIEEGPPDVGNSFSSLSVRTHTVVFTAHRHSSRAFSISSTQKIGKSSVTEADKNGPQPEREEKVSLDLTEEILQSMEIGMVFKDYSGRISSMDFHRTSNYLVTASEDESIRLYDVSSATCLKTINSKKYGVDLVCFTSHPTTVIYSSKNGWDESLRHLSLHDNKYLRYFKGHHNRVVSLSLCSRNECFISGSLDRTVLLWDQRAEKCQGLLRVQGRPAIAYDDQGLVFAVAFGGYIRMFDARKFEKGPFEIFSVGGDVSDANVVKFSNDGRLMLLTTMNGRIHVLDSFRGTLLSTYNVKPVSSNSTLEASFSPEGMFVISGSGDGRAYAWSVRSGKEVASWMMNGETSVLNWNWMSTDTEPPVIKWAPGNLMFVTGSTELSFWIPDLSKLAAYVGRK >EOX94381 pep chromosome:Theobroma_cacao_20110822:1:28206367:28207005:-1 gene:TCM_003969 transcript:EOX94381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGDVMAMRARTCKSAMKAKQEFHLHYRHWSFFSYW >EOX96197 pep chromosome:Theobroma_cacao_20110822:1:36973631:36978654:1 gene:TCM_005502 transcript:EOX96197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule associated protein family protein, putative isoform 1 MLNHKSEQLARIETTCGSLLCELQKIWDEVGEADDERDTTLLDLEQECLKVYTRKVDEAKECRTKLHRDIAIAKAEISDVCASIGENPVHVSAPDLKPGGNLKEELETIGPLLEDMRRKKVERINQFIGVIDQIQKISNEILGFKEQDGNKLLVDETNLSLRRLEELHRELHELQNEKINRLNQVQGHLNTINSLCTVLGMDFIQTICRIHPTLDDSNGAKDVSNNTIARLAAQIQSLQELKIKRMQKIQELASTLLELWHLMDTPAEEQHMFLNVTSKIAASEPEITEPNMLSIDCIKNVEEEVSRLEQLKTSKMKEIVLKKKVELEDMCRRTHMVLEALISTDYSIEAMESGAIDPLYLLEQIDLQISKVREEAFSRKEVLEKVEKWLAACEEESWLEEYNRDDNRYNAGRGAHLSLKRAEKARAVVNKIPAMVEALTLKTTAWERERETEFLYDGRRLLSVLEDYSSLRQEKELERQRQRDHKKFHGQLIAEQEALYGSKPSPSISGKKAPRMPTVGASDRKLSLGGAMLQQVKPEKPATRLHPNKKADYLNQNSFLNHQRSSGFAANSVKRNSEVPGRVIKKQPLSAAKVRENESPAVRKPLSPVSFAVNSKANIVNFTEDQKKVKNGQRLNPGCQTPTAVPAKPTLIGDDENRTPKAMPIPMPTTPSTVSAPMLMAMTPATPATLGAYKVENVLERVQQVEYSFEELRAGFFLS >EOX96198 pep chromosome:Theobroma_cacao_20110822:1:36974100:36977852:1 gene:TCM_005502 transcript:EOX96198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule associated protein family protein, putative isoform 1 MLNHKSEQLARIETTCGSLLCELQKIWDEVGEADDERDTTLLDLEQECLKVYTRKVDEAKECRTKLHRDIAIAKAEISDVCASIGENPVHPDLKPGGNLKEELETIGPLLEDMRRKKVERINQFIGVIDQIQKISNEILGFKEQDGNKLLVDETNLSLRRLEELHRELHELQNEKINRLNQVQGHLNTINSLCTVLGMDFIQTICRIHPTLDDSNGAKDVSNNTIARLAAQIQSLQELKIKRMQKIQELASTLLELWHLMDTPAEEQHMFLNVTSKIAASEPEITEPNMLSIDCIKNVEEEVSRLEQLKTSKMKEIVLKKKVELEDMCRRTHMVLEALISTDYSIEAMESGAIDPLYLLEQIDLQISKVREEAFSRKEVLEKVEKWLAACEEESWLEEYNRDDNRYNAGRGAHLSLKRAEKARAVVNKIPAMVEALTLKTTAWERERETEFLYDGRRLLSVLEDYSSLRQEKELERQRQRDHKKFHGQLIAEQEALYGSKPSPSISGKKAPRMPTVGASDRKLSLGGAMLQQVKPEKPATRLHPNKKADYLNQNSFLNHQRSSGFAANS >EOX96199 pep chromosome:Theobroma_cacao_20110822:1:36974100:36977852:1 gene:TCM_005502 transcript:EOX96199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule associated protein family protein, putative isoform 1 MLNHKSEQLARIETTCGSLLCELQKIWDEVGEADDERDTTLLDLEQECLKVYTRKVDEAKECRTKLHRDIAIAKAEISDVCASIGENPVHPDLKPGGNLKEELETIGPLLEDMRRKKVERINQFIGVIDQIQKISNEILGFKEQDGNKLLVDETNLSLRRLEELHRELHELQNEKINRLNQVQGHLNTINSLCTVLGMDFIQTICRIHPTLDDSNGAKDVSNNTIARLAAQIQSLQELKIKRMQKIQELASTLLELWHLMDTPAEEQHMFLNVTSKIAASEPEITEPNMLSIDCIKNVEEEVSRLEQLKTSKMKEIVLKKKVELEDMCRRTHMVLEALISTDYSIEAMESGAIDPLYLLEQIDLQISKVREEAFSRKEVLEKVEKWLAACEEESWLEEYNRKRAEKARAVVNKIPAMVEALTLKTTAWERERETEFLYDGRRLLSVLEDYSSLRQEKELERQRQRDHKKFHGQLIAEQEALYGSKPSPSISGKKAPRMPTVGASDRKLSLGGAMLQQVKPEKPATRLHPNKKADYLNQNSFLNHQRSSGFAANS >EOX92484 pep chromosome:Theobroma_cacao_20110822:1:7106654:7112262:1 gene:TCM_001429 transcript:EOX92484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant glycogenin-like starch initiation protein 1 isoform 3 MHAPVANSLEMRGVMGNSPGSVETRHRLSASIEAIYKRRLNKNKVKGVEKPFHIPIQDRNSCCKYPLLKLVLVIIVCGTFVTLLYSPEVYHSDHLSGASSRRNFMNRWIWGEADFRYVSDVDTNWDDVMKAIEKMGEQNDYQGIGLLNFNTTEVTHWKHLIPDANHIVLHLDYADMNVTWDSLYPEWIDEEQEEDVPVCPPLPKIEVPGKRLDLIAVKLPCRNEGNWSRDVARLHLQLAAASLATSSKGFYPVHVLFVSKCFPIPNMFSCKELVAREKNVWLYKPDLNVLREKLQLPVGSCELALPMGVKEPLYSGNAKREAYATILHSAHVYVCGAIAAAQSIRLSGSTRDLVILVDETISVYHRSGLEAAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEISATGNNGTLFNSGVMVIEPSNCTFQLLMEHIDVFESYNGGDQGYLNEVFTWWHRIPRHMNFLKHFWIGDDEDVKQKKTRLFGAEPPILYVLHYLGIKPWLCYKDYDCNWNVDIMLEFASDVAHERWWKVHDAMPEQVHQFCMLRSTQKAQLEFDRRQAEKANFTDGHWKIKVQDKRLMKCIDNVCSWK >EOX92482 pep chromosome:Theobroma_cacao_20110822:1:7106203:7112593:1 gene:TCM_001429 transcript:EOX92482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant glycogenin-like starch initiation protein 1 isoform 3 MHAPVANSLEMRGVMGNSPGSVETRHRLSASIEAIYKRRLNKNKVKGVEKPFHIPIQDRNSCCKYPLLKLVLVIIVCGTFVTLLYSPEVYHSDHLSGASSRRNFMNRWIWGEADFRYVSDVDTNWDDVMKAIEKMGEQNDYQGIGLLNFNTTEVTHWKHLIPDANHIVLHLDYADMNVTWDSLYPEWIDEEQEEDVPVCPPLPKIEVPGKRLDLIAVKLPCRNEGNWSRDVARLHLQLAAASLATSSKGFYPVHVLFVSKCFPIPNMFSCKELVAREKNVWLYKPDLNVLREKLQLPVGSCELALPMGVKGNAKREAYATILHSAHVYVCGAIAAAQSIRLSGSTRDLVILVDETISVYHRSGLEAAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEISATGNNGTLFNSGVMVIEPSNCTFQLLMEHIDVFESYNGGDQGYLNEVFTWWHRIPRHMNFLKHFWIGDDEDVKQKKTRLFGAEPPILYVLHYLGIKPWLCYKDYDCNWNVDIMLEFASDVAHERWWKVHDAMPEQVHQFCMLRSTQKAQLEFDRRQAEKANFTDGHWKIKVQDKRLMKCIDNVCSWKSMLKHWGESNWTNDEFFVPTPPAINTASLAGL >EOX92483 pep chromosome:Theobroma_cacao_20110822:1:7106722:7112527:1 gene:TCM_001429 transcript:EOX92483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant glycogenin-like starch initiation protein 1 isoform 3 MHAPVANSLEMRGVMGNSPGSVETRHRLSASIEAIYKRRLNKNKVKGVEKPFHIPIQDRNSCCKYPLLKLVLVIIVCGTFVTLLYSPEVYHSDHLSGASSRRNFMNRWIWGEADFRYVSDVDTNWDDVMKAIEKMGEQNDYQGIGLLNFNTTEVTHWKHLIPDANHIVLHLDYADMNVTWDSLYPEWIDEEQEEDVPVCPPLPKIEVPGKRLDLIAVKLPCRNEGNWSRDVARLHLQLAAASLATSSKGFYPVHVLFVSKCFPIPNMFSCKELVAREKNVWLYKPDLNVLREKLQLPVGSCELALPMGVKEPLYSGNAKREAYATILHSAHVYVCGAIAAAQSIRLSGSTRDLVILVDETISVYHRSGLEAAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEISATGNNGTLFNSGVMVIEPSNCTFQLLMEHIDVFESYNGGDQGYLNEVFTWWHRIPRHMNFLKHFWIGDDEDVKQKKTRLFGAEPPILYVLHYLGIKPWLCYKDYDCNWNVDIMLEFASDVAHERWWKVHDAMPEQVHQFCMLRSTQKAQLEFDRRQAEKANFTDGHWKIKVQDKRLMKCIDNVCSWKSMLKHWGESNWTNDEFFVPTPPAINTASLAGL >EOX96694 pep chromosome:Theobroma_cacao_20110822:1:38683237:38686364:-1 gene:TCM_005886 transcript:EOX96694 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit O, mitochondrial MAMAGRIRSSLPLFNRLLGADSLSAHRSNLHQTLLCAELSKNYSTASPKKEVKVKVPLALFGGSGNYASALYLAAVKGNALNKVESELLNLVEASKNSPTFSQFTKDLSVPAETRVKAINEICGQAKFSDVTKNFLVVLAENGRLRYIESIAKRFVELTMAHKGEVKAIVTTVIPLPPEEEKELKETLQEIIGQGKQVKLEQKIDPSILGGLVVEFSQKVFDMSIKTRAQQMERFLREPINFGTL >EOX91746 pep chromosome:Theobroma_cacao_20110822:1:3682445:3684120:1 gene:TCM_000830 transcript:EOX91746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-like a10, putative MKLQLALKGLEFTRKKKKWVVLLAAFGLTSYGFYRVYHLPAIAQKRKRVLKLLGALISMAEAVSDCAETIGVVSKDLKDFLQSESDQIPNSLKQVSKTTMSNEFSQSLVRVTQAMTVGILRGYQLEVTSGNGLDVNSSSMDQVMDKLFTKAGAGFASVVVGSFARNLALAFCSGDQSDEKLKSNPISLEKNSVPSWLKVVCGDKCRELIGDCIQLFVSSVVSAYLEKTKEVNTYDEFFAGLTNPKHETEVRGVLVAVCNCAIETLVKTSHQVLTSSDSSKSSPSLAIEYRQDDATGDKLYEQDGLFTELKARNSFDKVKSDGWVSKVSSTLAEPSNRKFVLDVTGRITFETVRSFLEFLLETVHQGMKNCVKVAHEAVVEGGVEVVRYVTAKSSVVATICLSLCLHILGGVWVLVPA >EOX91762 pep chromosome:Theobroma_cacao_20110822:1:3755528:3756393:-1 gene:TCM_000846 transcript:EOX91762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWTQRGGLVKVKECLIKLNPKVREAEKGVGGVGLRAARMRPKDGDKTDRGEGEELERIGRGLIWSFTIPVLGNQQAAGQLL >EOX95707 pep chromosome:Theobroma_cacao_20110822:1:35302482:35303571:1 gene:TCM_005149 transcript:EOX95707 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAP, putative MHMTSLPLKSRPLVSLFHSRKNKQISQTTMELPNTNTQIFTLFIFLLFQLVPVVFTFPNIPNIINPLRAITQMQAQQIIPKHYLQPQSQSQFRPPPQPQPQPQPPETEQQQFLNAHNKVRAHVGLTPFVWDDTLASFALSWANRRIPDCRMIHSFGPYGENIFWGGRDHWTAEDAVRLWVKEHRFYDRRTNACLPERLCGHYTQIVWRNSTKLGCARVKCSTGGVFIMCNYDPPGNIMDRNPFAP >EOX96530 pep chromosome:Theobroma_cacao_20110822:1:38160911:38163790:1 gene:TCM_005767 transcript:EOX96530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTWVTMGSTISVKFVAALPWFLPTLYFPLVTDSPRISSIAGVFNQIINTQINLRPFGMHPPSNAHFSKLKHLAAVPTLTLEHHVASCNPNRQSRSGKPKLYLSRSLSKSREGTPWITKRGLRPEIPCLPSRNTPSKKPLIVPSLIWQGKRWSVSRISFSVISVICFDWEAVCLLVLLLVMAMTVVFDYPWVPRLGEGGVKTGLMMMNSD >EOX96219 pep chromosome:Theobroma_cacao_20110822:1:37031202:37034712:-1 gene:TCM_005516 transcript:EOX96219 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MDFQDNEPEAVVTQRAVGGALGILKTCLRSKTVKRVVFTSSIASVYFNNKNVDMMDESFWTDVDYVRELESYVSSYAITKTMTEKAVLEFAAEHGMDLVTVVPPLVVGPFICPKLTGSVRAALAPILGNKDDYSLLLNVAMVHVDDLARAFIFLLEHPEAKGRYNCCSDTVTAQKIVEILSANYPEYTLPIVECLEEIEGPRMPGLSSKKLLDSGFKFKYGVKDMYDGAIKCCKEKGFLYQLISDANLHLERAKKELKYRKMEEGKGAICVTGGTGYIASWLIKKLLEEGYSVHTTIRVDPENKKDISFLTSLPRATERLKIFAADLCDLDSFDAAIEGCKGVLHLATPVDFENKESEEVLTKRSINGALGIMKACLKSKTVKRLVYTSSLSAVVFNDKGVEMMDESFWTDAAFARDKLDPSLSSYVISKTLTERAALEFGTEHGLDVVTVIPSFVVGPFICPKFPGAVRLSLAPVLGNRDEYSLLLNVEMVHVDDLSRAFIFLLEHPEAKGRYNCSSDRVTIQKIVEILSANYPEFTLPTADSLAEIEGTKMPSLSSKKLRDSGFKFNYGVVDMFDGAIKCCKEKGFL >EOX91183 pep chromosome:Theobroma_cacao_20110822:1:1869274:1874562:1 gene:TCM_000454 transcript:EOX91183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide-protein glycosyltransferase 48kDa subunit family protein isoform 4 MKNLSFLFIFGISFFPLLCFSFDPETPTDRRVLVLLDDFSLKSSHSIFFNSLKSRGFDLEFKLADDPTLSLRRYGQYLYDALILFSPSTERFGGSLDLTAVLDFVDSGHDLIIAVDASASDLIKNIATECGVDFDEDSSAMVIDHKSYAISGTEGDHTLIASDDFIQSDVILGKTKIKAPVIFKGIAHSVNAANNLVLKVLSASPSAYSANPTSELSSPPLLTGSAISLVSVVQARNNARIMITGSLDLFSNRLLRTAVQKAGSPDKYEKSGNEQFVTEISKWVFHERGHLKAVNLKHHKVGETDEPAIYRIKDDLKFSVEIYEWSGESWEPYVADDVQVQFYMMSPYVLKTLSTDGKGLYHASFKVPDVYGVFQFKVDYLRLGYTSLSLSKQQIPVRPFRHNEYERFITTAFPYYGASFTTMAGFFIFSILYLYNK >EOX91185 pep chromosome:Theobroma_cacao_20110822:1:1869384:1874348:1 gene:TCM_000454 transcript:EOX91185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide-protein glycosyltransferase 48kDa subunit family protein isoform 4 GISFFPLLCFSFDPETPTDRRVLVLLDDFSLKSSHSIFFNSLKSRGFDLEFKLADDPTLSLRRYGQYLYDALILFSPSTERFGGSLDLTAVLDFVDSGHDLIIAVDASASDLIKNIATECGVDFDEDSSAMVIDHKSYAISGTEGDHTLIASDDFIQSDVILGKTKIKAPVIFKGIAHSVNAANNLVYEKSGNEQFVTEISKWVFHERGHLKAVNLKHHKVGETDEPAIYRIKDDLKFSVEIYEWSGESWEPYVADDVQVQFYMMSPYVLKTLSTDGKGLYHASFKVPDVYGVFQFKVDYLRLGYTSLSLSKQIPVRPFRHNEYERFITTAFPYYGASFTTMAGFFIFSILYLYNK >EOX91182 pep chromosome:Theobroma_cacao_20110822:1:1869044:1874481:1 gene:TCM_000454 transcript:EOX91182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide-protein glycosyltransferase 48kDa subunit family protein isoform 4 MDKPNPLKIIWAQKQISIGLQPTFWPVSKLTETETPTDRRVLVLLDDFSLKSSHSIFFNSLKSRGFDLEFKLADDPTLSLRRYGQYLYDALILFSPSTERFGGSLDLTAVLDFVDSGHDLIIAVDASASDLIKNIATECGVDFDEDSSAMVIDHKSYAISGTEGDHTLIASDDFIQSDVILGKTKIKAPVIFKGIAHSVNAANNLVLKVLSASPSAYSANPTSELSSPPLLTGSAISLVSVVQARNNARIMITGSLDLFSNRLLRTAVQKAGSPDKYEKSGNEQFVTEISKWVFHERGHLKAVNLKHHKVGETDEPAIYRIKDDLKFSVEIYEWSGESWEPYVADDVQVQFYMMSPYVLKTLSTDGKGLYHASFKVPDVYGVFQFKVDYLRLGYTSLSLSKQIPVRPFRHNEYERFITTAFPYYGASFTTMAGFFIFSILYLYNK >EOX91184 pep chromosome:Theobroma_cacao_20110822:1:1869415:1874140:1 gene:TCM_000454 transcript:EOX91184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide-protein glycosyltransferase 48kDa subunit family protein isoform 4 SFDPETPTDRRVLVLLDDFSLKSSHSIFFNSLKSRGFDLEFKLADDPTLSLRRYGQYLYDALILFSPSTERFGGSLDLTAVLDFVDSGHDLIIAVDASASDLIKNIATECGVDFDEDSSAMVIDHKSYAISGTEGDHTLIASDDFIQSDVILGKTKIKAPVIFKGIAHSVNAANNLVLKVLSASPSAYSANPTSELSSPPLLTGSAISLVSVVQARNNARIMITGSLDLFSNRLLRTAVQKAGSPDKYEKSGNEQFVTEISKWVFHERGHLKAVNLKHHKVGETDEPAIYRIKDDLKFSVEIYEWSGESWEPYVADDVQVQFYMMSPYVLKDGWILYLQHTLPV >EOX95684 pep chromosome:Theobroma_cacao_20110822:1:35232401:35235156:1 gene:TCM_005131 transcript:EOX95684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc2 bHLH protein isoform 1 MKIEVGMGGGAWNDEDKAMVAAVLGTRAFDYLITSSVSNENLLMTINSDENLQNKLSDLVDRPNASNFSWNYAIFWQISRSKSSDWVLGWGDGCCREPKEGEESEATRILNLRLEDETQQKMRKRVLQKLHTLFGGSDEDNYALGLDRVTDTEMFFLASMYFSFPRGEGGPGKCFASGKHVWISDSLKSGSDYCVRSFLTKSAGVQTIVLVPTDVGVVELGSVRSVPESLELLQSIRSSFSSNSALLRAKQMAVAIPVVNEKKDENTHFSNLGTLERVEGIPKIFGQDLNNTSHGHSNYREKLAIRKMEDRPTWGACANGGRLSFSSNQNGLHGSGWPHVHGVKQGNPTEFYGSQNTANNFQELVNGAREEFRVNQFQSQKPVQMQIDFSGATSRPSVITRPLSAESEHSDVEASCKEEKPSVADERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYINELQAKLKVMEAEREKFGSTSRDSAGLDPNPTVENHMRAPDIDVQAAHNEVVVRVSCPLDSHPASRVIQAFKEAQVNVVESKLVTADDTVFHTFVIKSQGSEQLTREKLIAAFSRESSSLQSLSSLG >EOX95685 pep chromosome:Theobroma_cacao_20110822:1:35231927:35235430:1 gene:TCM_005131 transcript:EOX95685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myc2 bHLH protein isoform 1 MKIEVGMGGGAWNDEDKAMVAAVLGTRAFDYLITSSVSNENLLMTINSDENLQNKLSDLVDRPNASNFSWNYAIFWQISRSKSSDWVLGWGDGCCREPKEGEESEATRILNLRLEDETQQKMRKRVLQKLHTLFGGSDEDNYALGLDRVTDTEMFFLASMYFSFPRGEGGPGKCFASGKHVWISDSLKSGSDYCVRSFLTKSAGVQTIVLVPTDVGVVELGSVRSVPESLELLQSIRSSFSSNSALLRAKQMAVAIPVVNEKKDENTHFSNLGTLERVEGIPKIFGQDLNNTSHGHSNYREKLAIRKMEDRPTWGACANGGRLSFSSNQNGLHGSGWPHVHGVKQGNPTEFYGSQNTANNFQELVNGAREEFRVNQFQSQKPVQMQIDFSGATSRPSVITRPLSAESEHSDVEASCKEEKPSVADERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYINELQAKLKVMEAEREKFGSTSRDSAGLDPNPTVENHMRAPDIDVQAAHNEVVVRVSCPLDSHPASRVIQAFKEAQVNVVESKLVTADDTVFHTFVIKSQGSEQLTREKLIAAFSRESSSLQSLSSLG >EOX92797 pep chromosome:Theobroma_cacao_20110822:1:8650878:8651860:1 gene:TCM_001670 transcript:EOX92797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEGEDQNIAGTAFNGVGDELHAPRDFLVILGPENCVRRITLNFQFMGGCPWRVKKELNSPLNGLKFSKVRVLYSNGMRENTSDDA >EOX91980 pep chromosome:Theobroma_cacao_20110822:1:4743248:4746722:-1 gene:TCM_001011 transcript:EOX91980 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH interacting protein 1 isoform 2 MEQSKTETEPKSSSTQSPAQEPNPKADLKDSDLAMDAEGFVIVPTTEPEKKEEQETQIPNESQIQSEQSPNRTSSGSRKSVHWSPELVSESPAADHSASMSAPNGSNPYVAHAPAPASSSTSIKVKTSPSFAEAAMGRIAQGTKVLAEGGYEKIFRQTFETVPEEQLQNSFACYLSTSAGPVMGVLYVSTAKLAYCSDSPLSYKNGSQTEWSYYKVVIPLHQLKAVNPSTSRVNPAEKYIQVSSVDSHEFWFMGFLNYDGAVKCLQEAFQAHSLQSA >EOX91979 pep chromosome:Theobroma_cacao_20110822:1:4743668:4746497:-1 gene:TCM_001011 transcript:EOX91979 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH interacting protein 1 isoform 2 MEQSKTETEPKSSSTQSPAQEPNPKADLKDSDLAMDAEGFVIVPTTEPEKKEEQETQIPNESQIQSEQSPNRTSSGSRKSVHWSPELVSESPAADHSASMSAPNGSNPYVAHAPAPASSSTSIKEKMDIMKDVLGRWGRKVGEATRKAEDLAGNTWQHLKTSPSFAEAAMGRIAQGTKVLAEGGYEKIFRQTFETVPEEQLQNSFACYLSTSAGPVMGVLYVSTAKLAYCSDSPLSYKNGSQTEWSYYKVVIPLHQLKAVNPSTSRVNPAEKYIQVSSVDSHEFWFMGFLNYDGAVKCLQEAFQAHSLQSA >EOX90733 pep chromosome:Theobroma_cacao_20110822:1:485581:488175:1 gene:TCM_000121 transcript:EOX90733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter 3 member 1 MSLQVDYRIYSLPKGLAPDEGSPAWLSKGDNAWQLTATTFVGLQSVPGLVILYGSMVKKKWAVNSAFMALYAFAVALLCWVLWAHRMSFGNYNSPLVGKPMNSLQDGFLLGQMDASHHLPMADYVFYEFAFAAITVILLAGSLLGRMNFYAWMMFVPLWLTLSYSVGAFTIWGSGFFQRKIIDYAGGYVIHLSSGVAGFTAAYWVGPRHSHDRQHFPPNNIIQTLGGAGFLWLGWTGFNGGSPFGAGLITSLAIINTHICTATSLLVWLAIDMIVYKKSSVIGAVQGMITGLVCITPGAGLVDPWAAVLMGMMSGSIPWYTMMVLHRKSAFFQSVDDTLGVFHTHAVAGLLGGLLSGLFARPSLLNLMYPSENYGPGLLHSEGQFHRGLRQMGYQILGAMFITAWNAAVTSFICLFISRIVNLRMDEEDLEVGDDAVHGEEAYALWGDGETMPKPRRLRIPPICRRLVSTPS >EOX94189 pep chromosome:Theobroma_cacao_20110822:1:25019145:25019930:1 gene:TCM_003626 transcript:EOX94189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKSALMMIARTVGKPFFVDEATTNRSRPSVARLCVEYDCQKPPLDHVWIMSHDRETKAMTEGFSQRVEFSKLPDYCFHCCHVGHAVTECMVLGNKPDPTKEKKLQPPRSEKLPKEHPANQNSRSQGLKPDARREGWDLTLKGVDQNYRAQVTAQKQSKEWQAVGTIEAKDL >EOX95148 pep chromosome:Theobroma_cacao_20110822:1:33052833:33055267:-1 gene:TCM_004702 transcript:EOX95148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLSIRGTTYEIQASIELNVNDSQTKRVFPQPDDNPSEDKRRQTTALMLEKMRQKDNNSCSSLASGIGEIDVIGLRPYLQKIKVVDGWAYHVGPPIGCGELEGSYEINMMDCGGGLVILPKNMGPFSKHWEFGSTSKMEKPNQVKFKYEENLKGEKLCGLIKHVFKKNLVVNCGEASLSPEGEKSSGWNNEVVKLNDKMNLTEETTKQSGDGGSISRENSNSVDEGLTLAHMVKKMKKSKSKSSFRINGGLIGRGLLMRSEKNVKLSKAKCGKTKMVNKMDLVQIESKLQRTNNRIVDALRVKEMYKGVWVDSNRASGGLVSLW >EOX93329 pep chromosome:Theobroma_cacao_20110822:1:12125787:12129283:-1 gene:TCM_002167 transcript:EOX93329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-like modifier 1, 1,SUMO1,ATSUMO1 KKKKTLILQKKKLNLRRIGSRKKSLSRERMSGQQEEDKKPGDQSAAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVELNSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGVNASTVFSLV >EOX95881 pep chromosome:Theobroma_cacao_20110822:1:35888210:35893692:1 gene:TCM_005277 transcript:EOX95881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MSVYDAAFVNTELSKPTSIFGLRLWVVIGILLGSLIVLTLFLLSLCLTARRKSRRHQHVDPTPPISKEIQEIVHHPPAPDHHHVAPPVPEIQVDLGKVEHRVVFSSGESRGPTSAGASVSETASFGSGSVGPEVSHLGWGRWYTLRELELATNGLCEENVIGEGGYGIVYRGVLTDGTKVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLHGYCVEGAYRMLVYEYVDNGNLEQWLHGDVGDVSPLTWDIRMNIILGTAKGLAYLHEGLEPKVVHRDVKSSNILIDRQWNPKVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYACTGMLNEKSDVYSFGILIMEIISGRSPVDYSRPQGEVNLVDWLKTMVGNRKSEEVVDPKLPEMPASKALKRGLLVALRCVDPDATKRPKMGHVIHMLEADDLLFRDERRVAREVSNSHSDDQQTNRNATKLGDRRFDGASASDTSEGDTGRNHHQPTRWR >EOX91273 pep chromosome:Theobroma_cacao_20110822:1:2155303:2161305:-1 gene:TCM_000519 transcript:EOX91273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSHQRRSDNEHSMFTSKTPHFFKVILDETFRDGKLGIPTNFVRKYGRQLSSPIRLEVPSGAVWQVELTKCDERVWLQKGWQEFAEHNSLEYGYFLVFRYEGNAHFHVLIFDTSASEIEYPHTNTTEEDDGFDNALVCKKSKGKSDIPYPQPHKEMKVDSPNEIGTHLKSKISAPAAMGGGVSGQRSPQIEVLETVGHLTADEKTKALQKASGFKTKNPFFMVVMQPSYVSFSYRMSVPDGFARKYFKMTQGNVILRISSGQSWPAKYYCRPNIDNPRAQLRDGWQEFAKHNALEVGDVCVFELTRTSPEILLKVVICKRFFEDAIAARPLAGGSIAYRVKKRRLFSDTETNCLQNQPAIREYRVPKTEQNENIHTSIEILDDFPLNQITKKKLPFPGFQPCRMMKTNPSQVKGIELGKQKTSLDFQYSTNELGGEFKFSGKDESVGMSGAQRCSKPDFLGRMQPLTTTEKKIALKRAMAFKSANPSFTVVMQPSYVLPGGSLSIPSQFVKRYFKKNGEVTLRVSDGRTWIVDYNGEGDGQCPKGKFRSRSWRAFVLDNNLKVGDVCVFELIKANGNSFDVVIFPDANIASCSSSKLDSRYQCKEAEDEGSIEILECTAPCQKTREKSSIQCPRPQKMMKINMINKTEKILESEYIDPRFRPFCNKACGIKLEEPKGSTSSSCCKQEVGLKPATRTGTSTEKGWECPEQAEILRSQKLTAKVKAKTLRIAKAFNSKNPFFLVVIQPSHISRNYKMCIPSNFARKYFTKTHGGETVLCLSDGKSWSVKYYRRGDDGNPRGQFSGGWKKFALDNNLVVGDVCVFELLKGADISFKVLRDIHARPRQLLLQTFDSSEESCSRLICILAVWTYHLSLSRNILSQIQKCNPSSCE >EOX94805 pep chromosome:Theobroma_cacao_20110822:1:31498222:31503983:1 gene:TCM_004417 transcript:EOX94805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, putative MDTITLEQAQATLMSREALKKSKEGDRDPSGLALVTEVRRRKSTSMRLSNNVQCFQCKGYGHIKRDYPTKWDISNENKEGVAENLTLSNKSIVKVMGLGVVKIKMFNGIMCSLGGVAYVSEMRKNLVSLSLLNSKRHGYSTCDGVASKGWEQCTGDGSYQSEISFAEEVMKGSHGVDDGERTKNLISSELEGSSRSMIWKVLFLESCSRCWKVGGVRKLQSGATGGKGLKSLNSSQRATASRCLFAHFYVVLVNNERIISFQSLGIVYGDLGTLPLYVLPSTFNDGIKHSDDVLGVYSLIFYTITLLPLVKYILIVFRATDNGDGTYIPEFCIHKKIEGHLLCTRSYDDLRKASARKSKLEKSQYAKYFLLLSTMIGTSMLIGDGILTPSISVLSAVGGIKQATPAMTQDMVVWISAAILVFLFMIQRFGTDKVDYSFAPIMCVWFMLIGRIGVYNFIKFDPLIVKAINPQYIIAYFRRNKKAAWISLGDIILCIIGAEALFADVGHFTVLSVQITRCTIVYPTILLAYTGQASFLRKHQHCGADAFYKSVPGPLYWPMFVVAVLAAIIGTKYQGQVYIPEINYLLMLACVGITLGFRTTDKIGNAYGVAVVSVMVLASSLLVLIMIIIRKPNILFIISYVLVIGLLELTYLSSVLYKFESGGYLPLAVAAVLVTVMIVWNSVHRKRYYYELQHKISVAKLPMITMDTNLSRIPGLALFYSKVVQGIPPIFKHYVSNIPALHSVLVFVSIKSMLISKVRPEERFLFRRLEPKELRIFRCVVRYDYKDVQDKQKPIENQLDGELQSELSGKKEEHENLAASDQDKLREAVEGEIEVVNRASQAGVFHLIGENGVSASKGAGIAKKILINYAYNFLKKYLTQSDKVLQIPRERMLKIGMTYEL >EOX95621 pep chromosome:Theobroma_cacao_20110822:1:34922957:34926678:-1 gene:TCM_005073 transcript:EOX95621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLAVFPEICLNLLYPLAKADEVVNSEWGSIFCGISGSSPSFARLILNASAFWYAWPHMGTTAEYLFPKIFLLKELIGALSHGILAISSNAFSQREDPIGVVNSLLPSDQASFPPIIASIRHSVAKARF >EOX96216 pep chromosome:Theobroma_cacao_20110822:1:37018323:37026540:-1 gene:TCM_005514 transcript:EOX96216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory 1A MSFSDSESSSHGGEREYKVFRQFSRDRLLYEMLGSSTSGGSKSAWKVLIMDKVTVKVMSHSCKMADITDQGVSLVEDLFRRRQPLPSMEAIYFIQPSKENIIMFLSDMSGREPLYKKAFVFFSSPVPKEFVNHIKSDTSVIPRIGALREMNLEYFPIDSQGFITDHETALEELFSEDAEKSRKFEVCLNTMATRIATVFASLKELPFVRYRAVKSQDASTATTRDLIPSKLAEAVWNSLLKYKSIPNFPQTETCELLILDRSVDQIAPVIHEWTYDAMCHDLLDMDGNKYVLEVPSKSGGPPEKKEVLLEDHDPVWLELRHAHIADASERLHDKMTNFKSRNKAAQVQSSSLVHCRDGSELSTRDLQKIVQALPQYNEIVEKLSLHVEIAGTINKHIREMGLRELGQLEQDLVFGDAGAKDVISFLRTKQDASPENKLRLLMIYASVYPEKFEGDKASKLMQLAKLSPEDMKVINNMQLLGGSSQSKKATSGFSLKFDGQKTKQATRKDRTGEEETWQLFRFYPMIEELIENLSKGELPKNDYSCMNEPSAVVQDRPQNGSMRTRPTPAAPTEKRPAHSMRSRRTATWARPHSSDDGYSSDSVLKNATADFKRMGQRIFIFIIGGATRSELRACHKLTAKLNREVVLGSSSLDDPPQYITTLKLLSEKDLSMGAPQPNFW >EOX91987 pep chromosome:Theobroma_cacao_20110822:1:4771696:4778903:1 gene:TCM_001020 transcript:EOX91987 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MSSNGALEEAKVPLIEDLASAERLKAEQDDQNQSLTKRVWIESKKLWQIVGPAIFSRLASYSMLVITQAFAGHLGDLELAAISIANNVVVGFDFGLLLGMASALETLCGQAFGAKKYYMLGVYMQRSWIVLFLCCVLLLPLYLFASPFLKLLGQPKDVAELSGIVSMWMIPLHFSFAFQFPLQRFLQSQLKNMVIAWVSLVALLVHVFVSWLFVYKFQLGVVGTAITLNFSWWVLVFGHFAYTVCGGCPLTWTGFSMEAFSGLWEFIKLSAASGVMLCLENWYYRILILMTGNLKNAKIAVDALSICMTINGWEMMIPFAFFAGTGVRVANELGAGNGKGAKFATTVSVVTSIIIGIFFWLLIMVFHDKFALIFSTSEPVLEAVSKLSLLLAFTILLNSVQPILSGVAVGSGWQSYVAYINLGCYYLIGVPLGFLMGWGFHLGVMGIWAGMIFGGTAVQTAILAIITMRCDWDKEAEKASMHVKKWSGTK >EOX91873 pep chromosome:Theobroma_cacao_20110822:1:4248369:4251302:1 gene:TCM_000933 transcript:EOX91873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein MTHRAVQPFLFPRLDMATKFSNSVNFLCLFSFLSLLPYADSVSFKISRFDPTLSSIIYQGDAKLVVGTVEFNLVNYINRVGWATYADSVLLWDSETGRLSDFTTHFSFEINVQNDPIYGHGLCFFLSPVGSQIPPNSAGGFLGLYNTTTSDSPSNQIVSVEFDSFENPEWDPYGIDGHVGINNNSIASAVYTPWNASFHSKDTANVFITYNSTTKNLSVSWSYFTTTNPRENSNISLQIDLRRVLPERVMIGFSAATGKYVERHILKSWEFHSSLEEVGTDGRTARRINILVASAVPVSVLIAGTVIAFIIWWRWQQGKRKTAETTNLASINNELERGAGPWRFSFKDLVSATNNFSEGRKLGEGGFGAVYRGYLIDLDIAIAVKKISRGSKQGKKEYATEVKVISQLRHRNLVQLIGWCHERRELLLVYEFMPNGSLDYHLFGQRIPLTWPVRYRISLGLASAIFYLHEECEQCVVHRDIKSSNVMLDSSFNVKLGDFGLARLVSHELGPKTTGLAGTLGYLAPEYISTGRASKESDVFSFGVVLLEIATGRKSADLREATETGLVEWVWDLYGEGKLLLAVDGKLKQDFDEKQVECSMITGLWCAHPDSRSRPSIRQAIQVLNLETAIPTLPTKMPVPTFHVPPISFISKGLVLNYSSSEAGYY >EOX94522 pep chromosome:Theobroma_cacao_20110822:1:29388087:29388931:-1 gene:TCM_004127 transcript:EOX94522 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein, putative MEANQLEDSKSSSEETDRSEQSNDDMGTGRSYECVFCKRGFTTAQALGGHMNIHRKDRAKSRPSSVPIVSGKADENLNYASLMSYSYPPIQSQPHYSMVPEVHVSYQAFFPAPGWGFRPPHTHHSDELYVDNSQHLNPFGEDWPSSLNLRIGPSRVDDENKKTDGSSQEDELDLELRLGHDP >EOX95196 pep chromosome:Theobroma_cacao_20110822:1:33278167:33278761:-1 gene:TCM_004747 transcript:EOX95196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGISATMYKGLRGYWKRRGYVKLNGSGRRTRRSRVELGSTRRRRFWRIRVKAKLRIPSPKKFFVWLRDAYVKMMLGFANSRMINTGYGGAIGDGIAAFGKGPMKEYDEKVIVEIYKSLVMGQGQLVPREAGKLSSAIICQL >EOX94534 pep chromosome:Theobroma_cacao_20110822:1:29751273:29751961:1 gene:TCM_004152 transcript:EOX94534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVGSQALPFRLKSVGDKEGAYPNLIVHSAYKRPQHLTVTWSTPGPLSRDNCCQYPGQQSPSKQLLMLGMELVTLFLIQLVGPQALPLHLNLNDDREGVYPSLIVHLAHKHSQHSTVTWPTLKPSPWDNCC >EOX95584 pep chromosome:Theobroma_cacao_20110822:1:34720159:34723280:1 gene:TCM_005036 transcript:EOX95584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, mitochondrial MQQALFSSSKTVSRSLTFPPKPPFLFFRSSSSSPGPPHKQQPPRTCTSAIGSPARVPKLISAQSDPLLAKEIFDYASNQLGFRHSYSSFLVLILKLGRSKHFSLVDDLLIRLKTDRYPVTPTLFSYLIKIYAEANLPERALKTFYKMLEFNIKPLPKHLNRILELLVSHRNFLMPAFDLFKNAHKHGVLPNTKSYNILMGAFCLNGDLSVAYKLFNKMFERDVVPDVESYRILMQGLCRKSQVNTAVDLLEDILNKGFIPDSLSYTTLLNSLCRKKKLREAYKLLCRMKVKGCNPDLVHYNTVILGFCREGRALDAVKVLEDMPSNGCLPNLVSYRTLIGGLCDQGMFDEAKKYMEEMLIKGFSPHFSVSHTLVKGFCNVGKIEEAIGVFGEMLKYGEVPHMDTWVLIIPRICEDYETERMGEILEEVMKVEIKRDTRIVDAGTGLEDYLIRKIRSRSKRP >EOX93205 pep chromosome:Theobroma_cacao_20110822:1:11225469:11228651:1 gene:TCM_002043 transcript:EOX93205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLESTDVMDDIHSKRTFRLSEEEACPLQIKLNVGARSTRRGKELCLGQLTVNVIEDNKFLFAFSLKGDYDRVIKGNPWCFDRSLLVLKEFEEDLMDPEEIKFMKEELWIQVTGILLKLMTGETTKAIVNLVGQYVHVDSEKVCDKNQVKWINIQYERLPRFCYRCRVLGHNEKDCCIPCFDEKEHDVNSSCKKKSRADNVLLDQSRFQQARCMFTTKTLNEILNVESKVAESSNENSGPMGGLRDGVQNDKSLEPMEESVRQMDSERWNRLNRKRKAMGSKEKRKRSGGLAMLWNKGTLLTVKSFSLHHIDTEIMLKGDVWRMTGFYGYPNNSERALGWSFLQTLKTQAQCPWVCLGDFNEIFYDNEKSSGADRSVSQMKAFREACED >EOX96217 pep chromosome:Theobroma_cacao_20110822:1:37027250:37030300:-1 gene:TCM_005515 transcript:EOX96217 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MEGDKGTVCVTGGTGFIASWVIKRLLEEGYSVHATVRADPENRRDTSFLTSLPGAAEKLKIFSADLNDPDSFDAAIEGCKGVLHVATPVDFENKEPEEVVIERAISGALGIVKACLKSKTVKRVVYTSSASAVLFNNKDLDMMDESFWTDVDLVREKLDPHVRSYMISKTLTERAALEFAADHRLDLVTVIPTFVVGPFICPKFPGSVRTTLALVLGNQHEYATLLNTSMVHVDDVARAHIFLLEYPDAKGRYNCSSDTISLQKLAEILSAKYPEFPIPTADSLAEVQGMKVPGLSSKKLMDTGFKFQYGVEEMLDGAIKCCKEKGYL >EOX96218 pep chromosome:Theobroma_cacao_20110822:1:37027537:37028828:-1 gene:TCM_005515 transcript:EOX96218 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 NRRDTSFLTSLPGAAEKLKIFSADLNDPDSFDAAIEGCKGVLHVATPVDFENKEPEEVVIERAISGALGIVKACLKSKTVKRVVYTSSASAVLFNNKDLDMMDESFWTDVDLVREKLDPHVRSYMISKTLTERAALEFAADHRLDLVTVIPTFVVGPFICPKFPGSVRTTLALVLGNQHEYATLLNTSMVHVDDVARAHIFLLEYPDAKGRYNCSSDTISLQKLAEILSAKYPEFPIPTADSLAEVQGMKVPGLSSKKLMDTGFKFQYGVEEMLDGAIKCCKEKGYL >EOX94391 pep chromosome:Theobroma_cacao_20110822:1:28245673:28256196:-1 gene:TCM_003976 transcript:EOX94391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MSLFIKMEVDALEDVACLDPELLQLPEVSPFALKTSPQLVEDLFSQWLSLPETGHLVKSLIDDAKAGSSVNAFVNLSNVNVVGSNSLPSMFPSGNAPPLSPRSSSGSPRMSKQKSSPSALGSPLKLVSEPMQEVIPQFYFQNGRPPTKELKEQCLSKINHLFNNPLNGLQIDEFKTVTKEVCKLPSFLSSALFRKIDVDCTGIVTRDAFIKYWVDGHMLTMDIATQTFEILRQPGRKHLTQVDFRPVLRELLATHPGLEFLRSTPEFQDRYAETVIYRIFYHINRSGNGRLTLRELKRGNLIVALQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDRENLIRFGNHALTYRIVDRIFSQAPHQFTSEVEGKMGYEDFVYFMLSEEDKSSEPSLEYWFKCIDLDGNGVLTPNEMQFFYEEQLHRMKCMAQEPVLFEDILCQIFDMIAPEREDCITLQDLKGCKLSGNVFNILFNLNKFMAFETRDPFVIRQEREDPTLTEWDRFAHREYIRLSMEEDVEDASNGSAEVWDESLEAPF >EOX91173 pep chromosome:Theobroma_cacao_20110822:1:1852240:1854365:-1 gene:TCM_000450 transcript:EOX91173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthocyanidin 3-O-glucosyltransferase 5 MEQSNTKPHLVLLSAPAHLMPVIELGKRLVTCRDVTVTIFVASFVQSAAAESRMVESALTTKLFDEIPSLSAAVRVVRPAFQVAISALETPPTALIVHVFAIECLRIADELKIPKYVFVSTNAWFLALIMYTPVLDKEVEGEYVDKKESFALPGCSPIRAEDLPDPMLFRTKANYYEYLKIGMEITKADGILVNTWEELQPKTLASLRDGNLLGSVAKAPVFPIGPINSEGLSLEQMTELAWGLELSQQRFIWVVRPPTQKSGGGSGLKVGNASDDMSSYLPEGFISRTRDRGQVVPQWAPQVEILSHPSCGGFFTHCGWNSAIECITNGLPMIAWPMYAEQRMNASC >EOX91011 pep chromosome:Theobroma_cacao_20110822:1:1323939:1325149:-1 gene:TCM_000323 transcript:EOX91011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative MTVVDKIELDAAKGTITVTGDADPYELIVRKRKAGELVERVSVGPPPKQKQPTKPEEKKPEPKKDDKKPDPKKDGKKPETCPVCQQMAVVYMDRYAEPNMACSITQSLMFNPFDICI >EOX92585 pep chromosome:Theobroma_cacao_20110822:1:7624126:7626639:-1 gene:TCM_001518 transcript:EOX92585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRLCDMVWMDVEREYVDFGSLPEHDWCSWNSLMSGFALLRKIDSKEALCYFVRMHREGFLLNKCSLGSGNAFGRN >EOX92501 pep chromosome:Theobroma_cacao_20110822:1:7184799:7188197:-1 gene:TCM_001447 transcript:EOX92501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase 1 MSSTAGQVIRCKAAVAWEAGKPLVVEEVELAPPQAMEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTDLKPGDHVLPVFTGECGDCRHCKSEESNMCDLLRINTDRGVMLNDGKTRFSINGQPIYHFVGTSTFSEYTVVHVGCVAKINPAAPLDKVCVLSCGISTGLGATLNVAKPTKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNSKRFEEAKNFGCTEFVNPKDHDKPVQEVLAEMTNGGVDRSVECTGSINAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPMNLLNERTLKGTFFGNYKPRSDLPSVVEKYMNKELELEKFITHEVPFSEINTAFEYMLRGEGLRCIIRMDA >EOX93543 pep chromosome:Theobroma_cacao_20110822:1:13864234:13876294:-1 gene:TCM_002431 transcript:EOX93543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein MDEKVALVPWADMLNHSCEVETFLDYDRSSHGVVFTTDRAYQPGEQVFISYGKKSNGELLLSYGFVPKEGTNPSDSVELSLSLKKSDKCYKEKLEALRKHGFSQCYPIQITGWPLELMAYAYLAVSPPSMSPQFEEMAAAASNKSTTKKDLRYPEIEEKALQFILDSCESSISKYSKFLQASGSMDLDVTSPKQLNRGVFLKQLAVDLCTSEQRILFRAQHSEAISPKRGEEEDALKVKEWEVGMFQNEVAASQGIRIRRRPPSGPPMHYVGPFEFRLQNEDNTPRNILEEIIWHKDVEVSQVANDSGVWQMKEKKPLASLKKFIENAAPTRDFVGALKAAHSRTGLPGLIAEVKKASPTRGILREDFDPVEIARAYEKGGAACLSVLTDEKYFKGSFENLEAIRSAGVKCPLLCKEFVIDAWQIYYARIKGADGIRLIAAVLPDLDIRYMVKICKMLGLAALVEVLVGESIVTQRDLGKGITRLFGKDISLKIMSHFGDDNSSVEEVSSAEESYNTEFRSYPDDAWYSVRVSLEGERGDKLRVKYENFPEEHDNVFLAEGFKSEDELYDFIGRFRKVSAQLQDRDCYQIVRGMRVCASDSLGDDDNLFYDAIVDEVVHKKHSNVNGQEECECTFLLFWLHGPNVGNVVEKGVANICLLQSAELEPKLATFMEIATQKIEKALCKLGSDTIDDVAFNPVFRHEANGSPIVKQKLSSIGRSRQGKCSQRSLSKVWPSEAVIGGAKIRSENRQDTDIGGDKKYHMILVQNLEKELSSSTVLEFILKQTSIASQVYIFPSLPWELYTNGVIVLDCRKDLEQLFGFLDNPNHFVVSSNGRPWVAAEKMSMNDHWTVMLESPKKLRNRSGGGFSNELKLVCFGSEEYKRAKELRDLFLQFIAHQQGLYKKLCMEERSIAFSSNQLVQLPDKS >EOX91775 pep chromosome:Theobroma_cacao_20110822:1:3792128:3798791:1 gene:TCM_000854 transcript:EOX91775 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein hflx, putative MLRALSLTRTCLRSRCQFLSHRPSPSPLSILSSPYSTSKQQNRVTEDDQHTTVSVFNRDPTDPPRLFLVQPRLRPATFLQAKLNEALCLANSLEEQRDGYFDTDFFDKELPPHVVVQNPSLKSSKIRADTYFGPGTVHNIKCHLNAVESKDEVDAVFINTILSGIQQRNLERIWGKPVLDRVGLIIEIFNAHAHTKEAKLQAELAALMYKKSRLVRVRGADGRYTFGVTGEAEVVSARGRGSGGRGFISGAGETELQLQRRRILERRSHLLTQIEEVRRTRAVQRAARKRRGGLDGQGLATVAVVGYTNAGKSTLIGALSDSDLYSDARLFATLDARLKGVVLPSGRKVLLSDTVGFISDLPVQLVEAFHSTLEEVVEADLLVHVIDCTAPNLDEHRSTVLLVLRKIGVSEEKLQNMIEVWNKIDYEEEVGADVYIDDGDEDAEISNFSGAEDSKINSSSEVEDCNVASEPLDGKSVGNCVAGNADADGSDVFKPSPGDLQETMVDKQGDYSDGWLLSGDDFADDYWNTLNDQQTETSNDWMVEKDSQSQSQHVPHVKVSALTGVGLQELLEIIDDRLKVQDDKLKSQKVVESSFFDRKWRPPRKEDEQVAVEQ >EOX91151 pep chromosome:Theobroma_cacao_20110822:1:1758376:1762344:1 gene:TCM_000429 transcript:EOX91151 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein MNLKQHHGQRLSSCAKGMQTSSLHDKTGTLPRQLVRNPQVNDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEVKKRVYVCPEPSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAEESARAQTHPQPQNQNQAVANPSSESDPKVQAVDSSAPPAPAPTPAPAPASAPVQVSASAPAPAAPTLPQSTSVISSSVLPIRSSELPENPTPIVEEAPVPAPAPAGLNGSCSTSTSSGSNGGSRSSVFASLFASSTASTSLQPPQPPAFTDLIRAMGRPDRPADLAPSTSTEPISLCLSTNHGSSIFGTAGQERRQYAPPPQPAMSATALLQKAAQMGAAATNASLLRGFGIVSSSSSSEQQDSLQWGQRQVEPENASVPAGLGLGLPCDGSSGLKELMMGTPVFGPKQTTLDFLGLGMAAGGSPNGGLSALITSIGGGLDVAAAAAASFGGGDFTGKDIGRSS >EOX94176 pep chromosome:Theobroma_cacao_20110822:1:24468510:24470317:-1 gene:TCM_003564 transcript:EOX94176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRVCYFYDNGAWDVDKLNNVLPKEVIQDWIPVDLRLKTKGFQLASKYQHCNSEESFLHVMWECPIATQKASPIPPKILSWHKPLAGEFKLNVDGSSKHNFQNAAGGGLLRDHTGPMIFRFFENFGPYNSLQAELMALQRGLLLCIEYNVSRLWIEMDAKVVVQMIHEGH >EOX90677 pep chromosome:Theobroma_cacao_20110822:1:308414:312206:-1 gene:TCM_000075 transcript:EOX90677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase 2 MDPYKYRPSSAFNSPFWTTNSGAPVYNNNSSLSVGARGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFTFLFDDVGVPQDYRHMDGSGVHTYTLINKAGKAQYVKFHWRPTCGVKCLLDDEAIRVGGSNHSHATQDLYDSIAAGNYPEWKLFIQTMDPAHEDRFDFDPLDVTKTWPEDIFPLQPVGRLVLNKNIDNFFAENEQLAFCPSIIVPGLYYSDDKLLQTRIFSYSDTQRHRLGPNYLQLPANAPKCAHHNNHHEGFMNFMHRDEEVNYFPSRFDPVRHAEKYPIPTAICSGKREKCIIDKENNFQQPGERYRSFAPDRQERFIYRWVDALSDPRVTYEIRSIWISYWSKADKSLGQKLASRLSVRPSI >EOX95214 pep chromosome:Theobroma_cacao_20110822:1:33342746:33343643:1 gene:TCM_004765 transcript:EOX95214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 6, putative MAESSIPSKSSSADMAPDKQSDQKPSSSLKLFGFSLTEQDEILEKAEEDFGESRKFECPFCHRVFANSQALGGHQNAHKRERQRARRAQFNSHQRYIAAAPVLSSHAVRSMPPMFPSGLSSNSTGKFVSQPGYCPSRPLLLPSTPSQYPPRIYIAQPLHFATVADSSFTEFSGKLPEADIGVDLHLKLSPSGC >EOX94265 pep chromosome:Theobroma_cacao_20110822:1:27052570:27054413:-1 gene:TCM_003833 transcript:EOX94265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVILQIPIDTTSEDATYWVPTSDGTFLMKSAWEIVRQRRVVNQTFSYIWHKSILLTTSFFLWRLLHDWIPVELKMKTKGFQLASRCRCCNSEESLIHVM >EOX95157 pep chromosome:Theobroma_cacao_20110822:1:33088709:33091771:1 gene:TCM_004710 transcript:EOX95157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MCGGAIIADFIPRKRGRNVTASDLWPNPPFAKTDGFNSELCQIGHSDSLSHFKGPQTEPSLGVEQVEKKPKRQRKNLYRGIRQRPWGKWAAEIRDLGKGVRVWLGTFNTAEEAARAYDREARKIWGKKAKVNFPDEENDFSIQYNQDHFNNHEINNHNSAAVWNSIPNSNPPVYPQPYPIVISGEKNSVSGSEGFYSCNPNVNNGCLYGPVKVEEEDEEKREEPVNRVVLQVQEENQVERFSEELMAYENYMKFFQIPYLDGQSTTQTVAVPPENVIGELWSFDDGGVPVTSTTM >EOX94727 pep chromosome:Theobroma_cacao_20110822:1:31061364:31064471:1 gene:TCM_004340 transcript:EOX94727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKRKHSQPRPRLTNAFGKQVENETSPHDSRRSPSIDLSASVDDTSSRSKGRGPSVKLQTLVDPSGRLRITPIRESIPKHTGDSVPFVVHAKRIEMYNSVLSQKYGENLSSQPEFDLNAWIEAIGGIETTRTHVYGFGTQVPATALLTGTHSNVATSESACGAINSNATSPAIALEEKVKNLSKNLGKIRYEIREEIKNAMAKSMSEFKARMETMIMTNALSKQGNVRPSS >EOX95596 pep chromosome:Theobroma_cacao_20110822:1:34757748:34761962:-1 gene:TCM_005044 transcript:EOX95596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNCVGRSNLTVKQLDNDIGTMKSAEEDERRRSFDAPSSPIRIKVRMTKRQLEELKAQAVTSKGTSELGRLIVKECCGGRLSHRVVVGQVHISENSRLRRLSLSTIDEEGIGNDSL >EOX92925 pep chromosome:Theobroma_cacao_20110822:1:9450182:9452947:1 gene:TCM_001787 transcript:EOX92925 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3 transcriptional factor family protein MAVYFNYIYFVFLSFLFFIKEGFVHLNPKERSWFPWCLPTSRMGLKPFNFALILFSPANSMLEEKDTVGEESNFSLELSLSCDSMSSSRDKGKEDKKNIEVGESNSYEHQRKDNCWEKESDGLCLELSDASLGLFPSGEYTFMFKGKSDATDEGKQERFEIGECSNQYQRKEHEHKAGDLSLQLSLGFSDSTCTSKKREGMENPSSARRISSCRNKRIKVDREEASMLFLTELRLGHDPWCIKKTLTGSDLGNMSRLMLASECVEHHVFPFWNADQLAKVKEGLPVSVWDCDTNTEHELVFKRWNKGANVLIKNWVKDFVKRRELKLGDEIGLYWNTCNSRFQFAVLNRVARN >EOX91305 pep chromosome:Theobroma_cacao_20110822:1:2259584:2263065:-1 gene:TCM_000548 transcript:EOX91305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MRTIIMNCEVCQLKELEVEHFEIREVLRCILHTIVFHRALGLVRPKDVDLELFEITYVQCGDVEVEKKIDEKIDHFISWVEKHPNKKSQICLSFYEVKSKQPSWFTNKTERLYWEQWYLNLNVTQHPKSHLGKSHHSKVVIDPGDSGASEERSSRRAAVEASLREVLFQIIKFVNEKKDHVPPISEGVIYFPYEITIPSSSDSAFGMDMIKRMLQTGHPTMLS >EOX91306 pep chromosome:Theobroma_cacao_20110822:1:2259746:2262874:-1 gene:TCM_000548 transcript:EOX91306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MRTIIMNCEVCQLKELEVEHFEIREVLRCILHTIVFHRALGLVRPKDVDLELFEITYVQCGDVEVEKKIDEKIDHFISWVEKHPNKKSQICLSFYEVKSKQPSWFTNKTERLYWEQWYLNLNVTQHPKSHLGKSHHSKVVIDPGDSGASEERSSRRAAVEASLREVLFQIIKFVNEKKDHVPPISEGVIYFPYEITIPRKFQ >EOX90647 pep chromosome:Theobroma_cacao_20110822:1:232159:234229:-1 gene:TCM_000059 transcript:EOX90647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMEANICDINHLDADVLLPPRKRLLAGFKKQASNANGSSDQPTVASSSSSLPSPSPSPSPSPSPSTSSSDVNTHLNNLLSSHINNPNLSPEEILAASRVAAIAAAKAAEAARAAAEEKAAIAAKAVAAAKSALDLVATFSEETVSKDRYLKKNKLKKHVPVQLLYKKHQPIENNRTDEELAHRLHRAINSSPRISKNSPTSEWKGHKHKRPKSLPTLEKTKIYNGGIVLGGSQSSTCNGDTVAGEIDSEDSIQESVKAEAKGTKYEKSGQSELDNGEAESNQSKEKACEDVYSPGKRRGRVKLKKLPLSICSFRDRVNPKEETITKSSPLTEKNMGNPSAAVKPLFSLEPSTDGVISIEGTPIWKCQDYKAPACIKQNKVMQS >EOX90646 pep chromosome:Theobroma_cacao_20110822:1:232094:234327:-1 gene:TCM_000059 transcript:EOX90646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMEANICDINHLDADVLLPPRKRLLAGFKKQASNANGSSDQPTVASSSSSLPSPSPSPSPSPSPSTSSSDVNTHLNNLLSSHINNPNLSPEEILAASRVAAIAAAKAAEAARAAAEEKAAIAAKAVAAAKSALDLVATFSEETVSKDRYLKKNKLKKHVPVQLLYKKHQPIENNRTDEELAHRLHRAINSSPRISKNSPTSEWKGHKHKRPKSLPTLEKTKIYNGGIVLGGSQSSTCNGDTVAGEIDSEDSIQESVKAEAKGTKYEKSGQSELDNGEAESNQSKEKACEDVYSPGKRRGRVKLKKLPLSICSFRDRVNPKEETITKSSPLTEKNMGNPSAAVKPLFSLEPSTDGVISIEGTPIWKCQDYKAPACIKQNKVMQS >EOX92799 pep chromosome:Theobroma_cacao_20110822:1:8660575:8661509:1 gene:TCM_001673 transcript:EOX92799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METQLYLPFSPQQKSMLFAFLSKKKVLLFNFLPLAMVSSEDFTFPKITNPMPLLTISPSLWRVSSLVYPECGDDGEDDGERQVPSFQSKSFSHLGSEANKISAGYDPEKMDMLWEDFNEELKRVSSLRSSRKEGGIISSRGGTKSKAEPASREPAAVELYYAQALKMSQTGIGTGMIYHKRQSNSTLAVMKTLKKFLFLRNLFSVKN >EOX94087 pep chromosome:Theobroma_cacao_20110822:1:20426698:20431918:-1 gene:TCM_003198 transcript:EOX94087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLMRQRGKPRALTLRGRGGHGKTTRSVRTDMPLSRHEEEQSLGDADRHFTGGITIEDLAAGLQGVNRVVEKMAIRMDNIQRIVEGRHVTQESPSSQRQVYHHHLEIEKGYVEISLLDFLKLKPPPFVGTRSVELPALRLKDMAQEWYGSLLKFSRYVPYLVSIEEMKIQRFVDGLVEPLYRVVTSKDFDTYFAAMDCAQRIEMRSSEIRGHTGTHDYPNRGVARLAPVLGWDKKHSVLCDSKIQKVARLSIIAILVEYDIEDDASVLQGFAIHVVNLDILGGIARWLINHKVLLVALPSQLRLLLQQLLHLTKRLAGRDVKVLLLPLRADYLGLDIRVLLVGATPGFLPTLSFLHVLHLDWVKDKETLVNLVVLDALDFDVILGMDWLSPCDASVDCYHKSAIKIGLSRLFGYCERYLKAIKIGLSCLLLTFPVAFSSFSFSLLMFLHPPWQFSSSFYNSLKFQRSPIALFGPLKEVRVE >EOX95258 pep chromosome:Theobroma_cacao_20110822:1:33567889:33574153:-1 gene:TCM_004806 transcript:EOX95258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps51/Vps67 family (components of vesicular transport) protein isoform 2 MGTDDVPLDDKAKRMRDLLSSFYSPDPSSTPNVSSKHGALDAINTNSFNADQYMNLLVQKSNLEALLQRHVEMAAEIKNLDTDLQMLVYENYNKFISATDAIKRMKSNIVGMEANMEQLLDKIMSVQSRSDGVNTSLFEKREHIEKLHRTRNLLRKVQFIYDLPARLGKCIKSEAYADAVKFYTGAMPIFKAYGDSSFQDCKRASEEAVAIIVKNLQRKLFSDSESIQARAEAAVLLKQLDFPVDSLKAKLLQKLEQSLGDLQLKTDELENVTVESTDPSKQGKVSDSIRSTPHEASVREFAEAICAYRVIFPDSEKQLITLAQDLVIKHFEMTEQYVKRRISSANLLGVLRTIWTDVLLMDEILCEAVLPDFSLEAAQVAVKQYVASTFTHLLQDISDALLKVNISPKEAAEEFPLQVALEASKKAVLQGSMDVLLDFRQLLDDDLGLLVKLRDFIIDWVQEGFQDFFRALDDRFLLLSGKNNSSSQDNGLTEGTQSEKVLAGLVLVLAQLSVFIEQTAIPRITEEIAASFSGGGVRGYENGPAFVPGEICRIFRSAGEKLLHHYINMSTQRVSTLLRKRFTTPNWVKHKEPREVHMFVDLFLQELEAVGSEVKQILPQGLLRKHRRSDSNGSTTSSRSNPLRDDKMSRSNTHRGRSQLLETHLAKLFKQKVEIFTKVEYTQV >EOX95257 pep chromosome:Theobroma_cacao_20110822:1:33566435:33575665:-1 gene:TCM_004806 transcript:EOX95257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps51/Vps67 family (components of vesicular transport) protein isoform 2 MGTDDVPLDDKAKRMRDLLSSFYSPDPSSTPNVSSKHGALDAINTNSFNADQYMNLLVQKSNLEALLQRHVEMAAEIKNLDTDLQMLVYENYNKFISATDAIKRMKSNIVGMEANMEQLLDKIMSVQSRSDGVNTSLFEKREHIEKLHRTRNLLRKVQFIYDLPARLGKCIKSEAYADAVKFYTGAMPIFKAYGDSSFQDCKRASEEAVAIIVKNLQRKLFSDSESIQARAEAAVLLKQLDFPVDSLKAKLLQKLEQSLGDLQLKTDELENVTVESTDPSKQGKVSDSIRSTPHEASVREFAEAICAYRVIFPDSEKQLITLAQDLVIKHFEMTEQYVKRRISSANLLGVLRTIWTDVLLMDEILCEAVLPDFSLEAAQVAVKQYVASTFTHLLQDISDALLKVNISPKEAAEEFPLQVALEASKKAVLQGSMDVLLDFRQLLDDDLGLLVKLRDFIIDWVQEGFQDFFRALDDRFLLLSGKNNSSSQDNGLTEGTQSEKVLAGLVLVLAQLSVFIEQTAIPRITEEIAASFSGGGVRGYENGPAFVPGEICRIFRSAGEKLLHHYINMSTQRVSTLLRKRFTTPNWVKHKEPREVHMFVDLFLQELEAVGSEVKQILPQGLLRKHRRSDSNGSTTSSRSNPLRDDKMSRSNTHRGRSQLLETHLAKLFKQKVEIFTKVEYTQESVVTTIVKLCLKSLQEFVRLQTFNRSGFQQIQLDIQFLRTPLKETVEDEAAIDFLLDEVIVAASERCLDPIPLEPPILDRLIQAKLAKSKEQNPIAS >EOX96039 pep chromosome:Theobroma_cacao_20110822:1:36410487:36415568:-1 gene:TCM_005389 transcript:EOX96039 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MAESFQRYAVVTGANKGIGLEICRQLASKGVMVVLTARDEKRGLEALEKLKDSGLSDHLVFHQLDVADPASITYLADFVKNRFGKLDILVNNAGIGGTTVNYDALRASSISASEDILLLEFLLQMQKDLTTVWSKVLSQTYEAGEECLKTNYYGAKRTAEALVPLLQLSNSPRIVNVSSLLGKLMNIPSEQLKGVLRDVDTEEKLDELLSEFLKDFKEGSLESKGWPTYCSAYTVSKVAMNAYTRILAKRYPKFRINCVCPGFVKTDINFNTGNISVEEGAATPVKLALWPNGGPSGLFFVQGEPASYE >EOX96290 pep chromosome:Theobroma_cacao_20110822:1:37226517:37227711:-1 gene:TCM_005567 transcript:EOX96290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSITSCFLLFLLCLSVHASSARRLGAVDNKRLEKKLHFSIKKNTSVVVQVKSTSSKELGSIKEDSIEESHADDDTQKLDAAADANHKDNEREKTSGAVRTNKSNVSVSWRVPHRKHGEKNPGFNLDYSPPKTHPPSHN >EOX95906 pep chromosome:Theobroma_cacao_20110822:1:35961988:35965011:-1 gene:TCM_005294 transcript:EOX95906 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein, putative MGFQDRYDKMVVRQSYRNVWHTSLMDAITFDLPYCLYAACWPLRDGIPNHSEKLSPQCVSYMLRKRALYDDMSRYQCCGGYMPCSGKLGESQCPEFCLCTEVVCCFSNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLAQIACIFRLIAVISGSDELEDASEILNCFNEAVYCTVCACMQAQHKIEMDKRDGKFGSAPIMVVPPVQQMSRIDQPVPPAVGYPPQPQQWQPSYGYACPPPIPGYPGAAHPLSPPGYPANASPVPAPGYLPPTQHLPSTGYSSPPPQGYHK >EOX92362 pep chromosome:Theobroma_cacao_20110822:1:6457475:6461102:-1 gene:TCM_001311 transcript:EOX92362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MSVSDLPRKEANVLKGHEGAVLAARFNSDGNYCLSCGKDRTIRLWNPHRGIHIKTYKSHGREVRDVHVTPDNSKLCSCGGDRQIFYWDVSTGRVIRKFRGHDGEVNAVKFNEYASVVVSAGYDRSLRAWDCRSHNTEPIQIIDSFLDTVMSVCLTNTEIIGGSVDGTVRTFDIRIGREISDDLGQPVNCISMSNDGNCILASCLDSTLRLLDRSTGELLQEYKGHACKVTSVSYHPKDNCLITSSVDGTVRVWKT >EOX92361 pep chromosome:Theobroma_cacao_20110822:1:6457275:6461264:-1 gene:TCM_001311 transcript:EOX92361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MLGSTSKRPKSKNGLYIAHLNKTEFPHQCLRLLPGCQGKDRNMSVSDLPRKEANVLKGHEGAVLAARFNSDGNYCLSCGKDRTIRLWNPHRGIHIKTYKSHGREVRDVHVTPDNSKLCSCGGDRQIFYWDVSTGRVIRKFRGHDGEVNAVKFNEYASVVVSAGYDRSLRAWDCRSHNTEPIQIIDSFLDTVMSVCLTNTEIIGGSVDGTVRTFDIRIGREISDDLGQPVNCISMSNDGNCILASCLDSTLRLLDRSTGELLQEYKGHACKSYKMDCCLTNTDAHVTSGSEDGSIFFWDLVDASVVSKFQAHASVVTSVSYHPKDNCLITSSVDGTVRVWKT >EOX94492 pep chromosome:Theobroma_cacao_20110822:1:29145392:29148095:1 gene:TCM_004095 transcript:EOX94492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRRELLEFRTPQHPSLGTNNWGGASPLLARDIPKESLEQRYTRLNSIRTRDEIFPTDGDFNHISHHNHAPKHEEAPKPSRRSRGFLSKLILLRKETVTLGTNSRRKRWLPRWDPRNRWPQGVEGSLKWPWHKIIRGLKSICIGLHVKLCSVEVLEYPRFPKQVPNVTAAISKHPLSLCLQIIISYGKAKSANHRRCSSRPWFMPVQLVLSIVARPTDESV >EOX93493 pep chromosome:Theobroma_cacao_20110822:1:13377453:13382771:-1 gene:TCM_002360 transcript:EOX93493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative MSSSPSSSSSSSSSSSEDGNGNGARRGGDFEGPLLTRRRANNEIWPGPFVEDLVVQVAIDASRSLGRLAAAAALANVFQAPLQFLPQSSNNKSHCRSMLMESFGWDQSKPACAMPESTWSPVLGIFKLMSTVHLDKVCSTWQATSRSDPLWNRLTSVIWGRTHRMHATWREEYIYRHQTAQNFRAGRSLHETLHFDPSDVDTPDGLTCRCLTLSDTHLACGFADGTVRLFDLATRLHVSTFRPHHRDRFGRFSRAVSGIVITDPRLIFATLDGDIHVAVIDGEPHARRAHMGNVLDDGALVDFTGCERWWVGLYAGVPGRAFHIWDGNTEELVYVNATLTDPGAVMGWHMLTELTETIGRVRVTGQESAVACTSLRYMVLDLRNPEFPLHDRPCRRELIVNSFDANDEAFIMVDNRGRAIVRRVDTLEEVCRFNTGQGIVMGCMNLGYALLCAAGVIRVWEIEHEHDGRRLYTFSENIGVVNAMVADERHVAAASGDTTIHLWDFGAQ >EOX94090 pep chromosome:Theobroma_cacao_20110822:1:20592249:20682247:-1 gene:TCM_003208 transcript:EOX94090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein MDWLIAHQANVDCFRKEVVLQNSEGAKIVFARERRVLPSCVISAIKASKLVQKGYPTYLAYVIDTSKGEPKLEDVPIVSEFPDVFLDDLPGLPPDRELEFPIDLLPGTAPISIPLYRMAPAELKELKVQLQELVDKGFIRPSISPWGAPILFVKKKDGTLRLCIDYRQLNRMTIKNKYPLPRIDDLFDQLQGATVFSKVDLRSGYHQLRIKEQDVPKTAFKTRYDHYEFLVMPFGLTNAPAAFMDLMNRVFHPYLDKFVIVFIDDILIYSRDNDEHAAHLRIVLQTLWERQLYAKFSKCEFWLQEVVFLGHVYRELEYMLIPRR >EOX94135 pep chromosome:Theobroma_cacao_20110822:1:22482478:22490561:1 gene:TCM_003386 transcript:EOX94135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSKFYCMVGIACCSGLGCEHSGKSKEIVLEDQESEYLEFDSRNMGRFSVDQPIRGAQNPVIFYLGWRGE >EOX96708 pep chromosome:Theobroma_cacao_20110822:1:38731739:38732078:1 gene:TCM_005901 transcript:EOX96708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASLKDSLVVAVVLVAIVCSKITVTAAEDEIAPSPAIETGTGCAAFASVAGVGSCVLFYVIHVLMH >EOX96263 pep chromosome:Theobroma_cacao_20110822:1:37149376:37152886:1 gene:TCM_005543 transcript:EOX96263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase 2 MAPSQIFIAIFLASFFLVPAVLGYGTNAVRSWCSKTPNPQPCEYFLSNDPKNTPIKDEPDFLKISMQLALERAERAQSHTYLLGPKCRNKREKAAWADCLNLYELTILRLNKTVDSSIKLNKDDAQTWLSTALTNLETCRTGFIELGVPDYILPMMSNNVSNLISNTLALNKAPYKEPSYKDGFPTWVKPGDRKLLQSSSPASRANIVVAQDGSGNYKTIKDAISAASKRSGSGRYVIYVKAGTYKENVEIRSKLKNIMLVGDGIGKTIITGSKSVGQGSTTFNSATVAAVGDGFIARDITIRNTAGPQNHQAVSLRSGSDLSVFYRCSFEGYQDTLYVHSERQFYRECDIYGTVDVIFGNAAVVLQNCNIYARRPPNKTNTVTAQSRTDPNQNTGIIIHNSRVTAASDLKPVQSSVKTYLGRPWKQYSRTVFMKTFLDSLINPAGWMEWDGNFALNTLYYAEYMNTGTGSSTSNRVKWRGYHVLTSASEASKFTVSNFLAGNSWLPATGVPFTSGL >EOX91604 pep chromosome:Theobroma_cacao_20110822:1:3254738:3256750:1 gene:TCM_000739 transcript:EOX91604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTLFGSALFFGQDHIISFYLFFFFFPFCSLMDGPQANYALLLVFQSCFFVSILFGSTVFVHKIKPMGVTKEFKINKEN >EOX95412 pep chromosome:Theobroma_cacao_20110822:1:34136049:34137840:1 gene:TCM_004920 transcript:EOX95412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 9-like protein MEQAKQPPIAFIASIILCKNLTETKKKKLKKKEKTNYFLYISSRACTIHSLTNPGKLSLHEKRMGPEIIGNDDMELAETGRNLRSSFRQFSSSFRGSTSGAVSFRENNDDEVELQWAAIERLPTCKRLRTSLFDHKLLNDGKEDNDGRKVIDVAELGALERRVFIEKLITKIEDDNLRLLKKLKERIDRQEP >EOX95960 pep chromosome:Theobroma_cacao_20110822:1:36166804:36168198:1 gene:TCM_005329 transcript:EOX95960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVRVLFFVEKHGKSFLRVTGDSGYFLLIFPLLRKIILLLSPSATPLHPLVFSELPNLVVFVDTMDQEKEVNGAVGGGIDETEKKTLVQGKAEALEKCLEENKGDNTKCKAKVEAFRSSSSPKKPLKSLRLRSGLLTDV >EOX91498 pep chromosome:Theobroma_cacao_20110822:1:2907138:2912630:-1 gene:TCM_000667 transcript:EOX91498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) binding protein 8 MSQLQVQGQAVPVAVTSPPPPPAQQQQQVVNGVAGNGVNLTTSLYVGDLDLSVTESQLYDFFSHVGTVVSVRVCKDLSSRRSLGYGYVNYSNTHEAARALDVLNFTPINGKPIRIMYSNRDPTVRKSGSGNIFIKNLDKTIDNKALHDTFSTFGNILSCKIATDHSGQSKGYGFVQFDTEESAKNAIDKLNGMLLNDKQVFVGPFLRKQERESAADKTKFNNVYVKNLSESTTDEDLKNVFGEYGPITSAVIMRDADGKSKCFGFVNFENPDDAARAVDSLNGKKFDDKEWYVGKAQKKSEREMELKGRYEQSLKETADKFEGLNLYVKNLDDSIDDDKLRELFSEFGTITSCKVMRDPNGISRGSGFIAFSTSEEASRALAGMNGKMVVSKPLYVALAQRKEERRARLQAQFSQMRPGAMAPGVGPRMPMYPPGAAGLGQQLFYGQGPPAIITPQPGFGYQQQLVPGMRPNFFMPMVQPGQQNQRPGGRRSGAGPMQQTQQPLPFMQPQMLPKGRVYRYPPGRNMTDVPISGVPGGMLPVPYEVGGMPFRDAAFSQPMTTGALATALANATPEQQRTLLGENLYPLVDQLEHENAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRNVGPPQQKANSTTDRMASLSLNDNLV >EOX96571 pep chromosome:Theobroma_cacao_20110822:1:38286837:38287736:1 gene:TCM_005798 transcript:EOX96571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein MRQMLSSFPFLDLFLFFFIFTSTFHAVTSTDLIRETCKKCAGRDPNLSYNFCVTSLQAAPKSHCADDLRDLGMVSIRLVRRNLTNTRSYVEELLKNKKLVDPFVRSCLHDCFNLYSDAIPSTKEAIEDYKSQHYDDANIDVSAVMDAATTCEDGFKEKEGVVSPLTKRNNNTFQLSAISISIVNMLRMN >EOX94341 pep chromosome:Theobroma_cacao_20110822:1:27929460:27930738:1 gene:TCM_003928 transcript:EOX94341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14p/L23e family protein MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >EOX94258 pep chromosome:Theobroma_cacao_20110822:1:26921963:26928111:-1 gene:TCM_003816 transcript:EOX94258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNISTNFKKKEMIATWSVSDDSQDEEDDEIANLYLMALDEYKVYPTPYNNDFYAHDENDYSFDELQDAYDDLMFEFEEKMLKYKGIIAKLKVENENLVKTRIKLENNVKNMQAEMNEMGNKDKSLHDTLSKFQDNLQKLNDMLKLQRAFFNKEGLFKITPELIKDVFDLRSAPNAMTHISDSLVVQDLERKLYVNNVGALDSVTIENVETSTWVHEKSHQEKAGNDNDDEGMPSLALTEPSLSTMPSSSASHISTDTRLDAIKETLEENG >EOX93563 pep chromosome:Theobroma_cacao_20110822:1:13987004:13988106:1 gene:TCM_002452 transcript:EOX93563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEFVFFLFLSLLQRLKAVSKWLCYKLRFSKNLQFVTGIAGMLKKFLNVHKLAPFSSRARPKPVAQPREEPEEGRETSSVLKIVQAGGIVERYYMAMPAVNIMNKYPSSILARPEVFRRPWDSLVRSDEILTPGEKFYVVPRRTVRKLRRRIKKPNAEVSVSSFVSQSSFDVSKGGFTSKSFLQRNEVSDSRMVSGSFSTSRKKNGTKKHVRFVGIDTKQTGGLPAAKKSKDEGIAKSSKKQSNVESQGGKLKAKHGVLWQPSLTAISERHGPGE >EOX95022 pep chromosome:Theobroma_cacao_20110822:1:32548861:32555257:1 gene:TCM_004611 transcript:EOX95022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Desiccation-related protein PCC13-62 MENESMFIRFCMARKKDNKEAMIKALGTVCKTKGKLTVKEIGESNFLFRFVEKDYYDRIKEGHLWCFDRNVMVLKDYDEEFMEPKDLDFGKEEFWPQTTGLPTRLMNKEVAKAIGNMVVRFIRVDGDEEDLRDRFMKIRVLLDLSKPLRRGIMLSMEDGKARWLAIQYERLPRFRYNCGVMGHNKDCTNTCLDDNGEEDKAKDGMADDRSRSNDGVGAQSRFTSRTLNEILSDNNMEDSASDCQNRSEERDEPDPGAIGNRTHEQEIRFPHHLCDCAPIEADDKARIQFAQNLEFLETEFFMNVTRGEGLGAYAPEFAKGGPPPIGAKKANLDPLLVESSRNLVIRKAIVTKVGGIPRPLLDTSSENFAKLFDKAVGYCLDPPFDPYANTNNFLLASYAIPYVGLEGYVGTIPFLHNYTSRKLVASLLGVESGQDAVLRALLYEKACEKVEPYDITVADFTNMISGLRNKLANCGIKDEGLIVPLELGAENRTTSNVLSADTNSLYYARTPPEILRIVYGDGDEHKPGAFFPEGANGNIPRAHL >EOX96000 pep chromosome:Theobroma_cacao_20110822:1:36269641:36271360:1 gene:TCM_005359 transcript:EOX96000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKLDKGLLQLPGGCNTQDSSACCVEGHLYDVYYCSPKVSSRTKANLTLRSFDRGGDGDLPSECDNQYHNDYELVVALSTVWFRKGKRCNHFINIYGNGKWVRAKVIDQCDSRVGCDAANLYEPPSGNNIVRTTEAVWDALGVPRSQWGARDIHWSDA >EOX94854 pep chromosome:Theobroma_cacao_20110822:1:31761625:31762761:1 gene:TCM_004468 transcript:EOX94854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQIRKEKDFQRLGFCHRLFNFILSSLIGRGLGRLTWGHPLPQGSSNQGPQDEYARNGVQEPLIGHDEQSGEESVNSKLEDDPDSAIQIRFKLTEELDSGTPVDKLGWSDHVAAKDDKAMEKGNEFTCSPGKKEIPLLNGTLVGTTKPQVHGENEVRIRDKGSTGPNIIISITDSAGQEDKKKKAGNKFPSPKLPTTKQPDVSKPILTGLGLNINEVSETFIQNTRARMSRNVSRMEPEES >EOX92317 pep chromosome:Theobroma_cacao_20110822:1:6203809:6209975:1 gene:TCM_001276 transcript:EOX92317 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine carrier 1 MGPFTLAIDTKSSSIVSSDVSNRKIQNLQLETRKCFASVSMEEEKPFNFLRILFEGIIAGGTAGVVVETALYPIDTIKTRLQAARGGGKIVLKGLYSGLAGNLAGVLPASALFVGVYEPTKQKLLKLFPENLSAFAHLTAGAVGGIAASLIRVPTEVVKQRMQTGQFTSASDAVRLIASKEGFKGLYAGYGSFLLRDFPFDAIQFCIYEQLRIGYKAAARRDLNDPENAIIGAFAGALTGAITTPLDVIKTRLMVQGSGNQYKGIFDCVQTIVREEGPPALLKGIGPRVLWIGIGGSIFFGVLESTKRFLAQTRPPPSQHSKHD >EOX96113 pep chromosome:Theobroma_cacao_20110822:1:36629730:36634746:1 gene:TCM_005440 transcript:EOX96113 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS (ERD1/XPR1/SYG1) family protein isoform 1 MFESPTTIQSSHSPHLRKPGGKAVFSDLGAGEPGNSVQEGYVFPLVLGEMKGANSPLHAAAIMPSPTFLWRFKVILFLIWGFTCCKIGWDSVMRMSADLRDLFLYEAFLYYNPFLLVTMMVWLWGVCLWVFSQSSVNYAKIFDLDQNHLTHREIWKCSIWMTIIVPTSMTAYLYLYSHGEVSLAASQPVILYVAVALVLVFPFDIFYLSSRYFLLRTLWQIALPLQPISFPDFFLADILTSMAKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSVGIPLVLVIPYIWRLMQCLRQYKDTKEKATLFNALKYSTAVPVIFLSALKYHVLPDSWTYIYRRLWLFSSVVNSLYSFYWDVTRDWDLSVFTRIFKFNKPSYCSNLLYGRQWVYFWVIGSNLILRCTWTYKLSAHLRHNYLTVFMVTALEMLRRFQWIFFRVENEWNKITKSGFQLPMTDIPREDEKLLGSTNHNV >EOX96112 pep chromosome:Theobroma_cacao_20110822:1:36629622:36634711:1 gene:TCM_005440 transcript:EOX96112 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS (ERD1/XPR1/SYG1) family protein isoform 1 MFESPTTIQSSHSPHLRKPGGKAVFSDLGAGEPGNSVQEGYVFPLVLGEMKGANSPLHAAAIMPSPTFLWRFKVILFLIWGFTCCKIGWDSVMRMSADLRDLFLYEAFLYYNPFLLVTMMVWLWGVCLWVFSQSSVNYAKIFDLDQNHLTHREIWKCSIWMTIIVPTSMTAYLYLYSHGEVSLAASQPVILYVAVALVLVFPFDIFYLSSRYFLLRTLWQIALPLQQPISFPDFFLADILTSMAKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSVGIPLVLVIPYIWRLMQCLRQYKDTKEKATLFNALKYSTAVPVIFLSALKYHVLPDSWTYIYRRLWLFSSVVNSLYSFYWDVTRDWDLSVFTRIFKFNKPSYCSNLLYGRQWVYFWVIGSNLILRCTWTYKLSAHLRHNYLTVFMVTALEMLRRFQWIFFRVENEWNKITKSGFQLPMTDIPREDEKLLGSTNHNV >EOX96111 pep chromosome:Theobroma_cacao_20110822:1:36629700:36635120:1 gene:TCM_005440 transcript:EOX96111 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS (ERD1/XPR1/SYG1) family protein isoform 1 MFESPTTIQSSHSPHLRKPGGKAVFSDLGAGEPGNSVQEGYVFPLVLGEMKGANSPLHAAAIMPSPTFLWRFKVILFLIWGFTCCKIGWDSVMRMSADLRDLFLYEAFLYYNPFLLVTMMVWLWGVCLWVFSQSSVNYAKIFDLDQNHLTHREIWKCSIWMTIIVPTSMTAYLYLYSHGEVSLAASQPVILYVAVALVLVFPFDIFYLSSRYFLLRTLWQIALPLQPISFPDFFLADILTSMAKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSVGIPLVLVIPYIWRLMQCLRQYKDTKEKATLFNALKYSTAVPVIFLSALKYHVLPDSWTYIYRRLWLFSSVVNSLYSFYWDVTRDWDLSVFTRIFKFNKPSYCSNLLYGRQWVYFWVIGSNLILRCTWTYKLSAHLRHNYLTVFMVTALEMLRRFQWIFFRVENEWNKITKSGFQLPMTDIPREDEKLLGSTNHNV >EOX92038 pep chromosome:Theobroma_cacao_20110822:1:5014598:5020084:1 gene:TCM_001058 transcript:EOX92038 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown complex protein 11 MDSSGNVPRWTPSPARSPQKEPEPADHDDSEVQSIVSEEDNKKLSKMATNFPFSTGFTRSSNPPPDSGIHGVPSLRIEMEPVDCTTQGDGIFLTWTDLLVTVSGGKKGPRAILQGLTGYAQPGEVLAIMGPSGCGKSTLLDALAEQFFATNGFPCPVLRNPSDHYLRTINKDFDEDIEQGKGSINTEKVIDTLVKSYKSSEIWKQVEQHVLKISQNRGGPLEKKGSQASFITQSIVLTKRSFVNMYRDLGYYWLRLAIYIALCLCVGTIFYDIGLTFGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVGAFVIGNTFSSIPYLFLISLIPGALAYYLVGLQKSFEHFAYFVILLFTCMMLVESLMMTVASIVPDFLMGIITGAGIQGVMMLNGGFFRLPDDLPKPFWRYPMYYIAFHKYANQGFYKNEFQGLTFPNNQAGRPPTITGDEILRNFWQVEMGYSKWIDIAILFGMVVIYRLMFWGIIKTVEKVKPLIKAYMAVKSVTPTQSSQISENPLSNP >EOX90720 pep chromosome:Theobroma_cacao_20110822:1:446307:453238:1 gene:TCM_000109 transcript:EOX90720 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative MAKRYTSYFLGAFVSVAVTLALLQAEAATPAVYIFGDSTLDVGTNVFIPECLAKADVYFNGIDFPYSVPTGRFSNGLNTADEIVRLLGWKRSPPPFLYLLNDKSTFKQNILQGANFASGGSGIINTTGQTQFNRVISLEDQIKQFSTVRSNITNMTGSDAATDRILSKAFFLISIGSNDILEYLLNLTTPPMSIPEFNVTLLSTYENHLKTLYDLGARTFGILAVPPIGCTPFARAVFTQDGSCFEPAEQFAQAFYVEVAALLKQFSSAVQDIRYSLGNTYLMTTTMMEDMLAFGFRNIAAACCGNGTYKCNQTASFCANRDEYLFWDQFHPSQRASEMAALTLFGASESLVAPMNFSQLLGVNVFRLFLYAFFEENESL >EOX91705 pep chromosome:Theobroma_cacao_20110822:1:3576729:3577539:1 gene:TCM_000806 transcript:EOX91705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12/ ATP-dependent Clp protease adaptor protein ClpS family protein MSSVTTKIPTKSLLRLFISSPPKPPISHFSSTATAEARTQKLERIADELLDLTKLERYDYSILFRLKLGLNRYGPAVSGASSAAPSAPGSGSGAAESKAAEKTAFDIKLEKFDAAAKIKIIKEVRAFTELGLKEAKDLVEKVPVVVKKGVGKEEADGIIKKLQELGATVVLE >EOX96519 pep chromosome:Theobroma_cacao_20110822:1:38085257:38086356:-1 gene:TCM_005752 transcript:EOX96519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGTTFRDWCRYFQYKEGAKKEDKEKNRADACNVVLVVASLIAAVTFQAGVNLPWWCMAGNQGGQYSRHCYHLSMHLRQQPTTFS >EOX91918 pep chromosome:Theobroma_cacao_20110822:1:4476003:4476631:1 gene:TCM_000969 transcript:EOX91918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPVRYQSPHHKEARDEDCASLVEKITNRIDSWTSTYFSCAGRLQHIQSTPFTMQNFLVKTRLKKFLNSALLSSGKERKVAPVKAGSFSANFFVVVLLKQWW >EOX95690 pep chromosome:Theobroma_cacao_20110822:1:35243916:35249428:1 gene:TCM_046989 transcript:EOX95690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 1 MDSPSDRRCTRSAGRGKWRCSETTLPSNSYCEKHYLQKIKRARQRIGGDRIDDSDRNSRELKIRGGQRSDVSDRRGEVSVSEKNKRKEGREEELSGGSEEEDGLLLTEILVRERRKVEKTKRGVKGSKVSSGNSVKEIVDSGEGKANSREKHGSSGKAVRNGAEREKKSSEKDKSNKSKEYGSLMCHQCQRNDKSGVVFCSRCQRKRYCYECLEKWYPEKTRDEVKEACPYCCGNCNCKACLREVVVVKDGHKDVNISVKLERLKYLLFKALPVLRHIYKEQRSEIEIEADIKGSQLTEIDITRCKLEKSERLYCDNCNTSIVNFHRSCPSCSYDLCLTCCQELREGSQPGGNKVETSQQQFVERANFRIKHNDGNTNAPRSRHQWESQVGPATNDKAHMSSYFPDWRANTNGSIPCPPSDQGGCGASILELRRVFKANWVTKLISNVEDITSQYKPPDVDFSIECSACQPNGSDGNSNSRSNVRHAANREESHDNFLFCPNAVDISDDEIEHFQRHWMRGEPVIVRNVLEKTSGLSWEPMVMWRAFRETGANVKFKEETRSVKAIDCLDWCEVEINIHQFFKGYLEGRMHRSGWPEMLKLKDWPSSTLFEERLPRHNAEFIAALPYSDYTDPKSGLLNLATRLPEGSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTKVNIAPWQCQKIKRRQNEYAAKDLQELYGGVDKAKVGMERRSLKRTYGDKLTVTDCTKNGSMEYDHFLLEEKHIKPEMGHSVSPQNRKTTITGTELLQENDTKPHILEYNESKVTQSLRCNDNTEKDSFLENSDRKITSNQLKVEPGKCSLSSGVDARDNLFVGIVCRKLGMLEHNATVEAELLPENNDQAITDQKMEEFGLKESSSSSSTVKKDNLEIRTTDYTLEGEEGIRNISVKDQGDELVYSSELNASKAENMSLKLFEQREKQSEVEGNCFLDDVDVSERNFSVENVTSPANHATLDINAVGSGSEGIADSAKCSNEMVVMKLTNKKDILGISLSGENRCDGPGSKESDRVPIKDLENNEKSQMVNGGAVWDIFRKQDVLKIIQYLEKHNKEFRHLNNLPVNSVIHPIHDQTLFLNERHKKQLKEEFNVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPDNIEECIRLTKDFRMLPKSHRAKEDKLEVKKMVLYAVSSAVKEARSLMPNQE >EOX95689 pep chromosome:Theobroma_cacao_20110822:1:35243956:35250360:1 gene:TCM_046989 transcript:EOX95689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 1 MDSPSDRRCTRSAGRGKWRCSETTLPSNSYCEKHYLQKIKRARQRIGGDRIDDSDRNSRELKIRGGQRSDVSDRRGEVSVSEKNKRKEGREEELSGGSEEEDGLLLTEILVRERRKVEKTKRGVKGSKVSSGNSVKEIVDSGEGKANSREKHGSSGKAVRNGAEREKKSSEKDKSNKSKEYGSLMCHQCQRNDKSGVVFCSRCQRKRYCYECLEKWYPEKTRDEVKEACPYCCGNCNCKACLREVVVVKDGHKDVNISVKLERLKYLLFKALPVLRHIYKEQRSEIEIEADIKGSQLTEIDITRCKLEKSERLYCDNCNTSIVNFHRSCPSCSYDLCLTCCQELREGSQPGGNKVETSQQQFVERANFRIKHNDGNTNAPRSRHQWESQVGPATNDKAHMSSYFPDWRANTNGSIPCPPSDQGGCGASILELRRVFKANWVTKLISNVEDITSQYKPPDVDFSIECSACQPNGSDGNSNSRSNVRHAANREESHDNFLFCPNAVDISDDEIEHFQRHWMRGEPVIVRNVLEKTSGLSWEPMVMWRAFRETGANVKFKEETRSVKAIDCLDWCEVEINIHQFFKGYLEGRMHRSGWPEMLKLKDWPSSTLFEERLPRHNAEFIAALPYSDYTDPKSGLLNLATRLPEGSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTKVNIAPWQCQKIKRRQNEYAAKDLQELYGGVDKAKVGMERRSLKRTYGDKLTVTDCTKNGSMEYDHFLLEEKHIKPEMGHSVSPQNRKTTITGTELLQENDTKPHILEYNESKVTQSLRCNDNTEKDSFLENSDRKITSNQLKVEPGKCSLSSGVDARDNLFVGIVCRKLGMLEHNATVEAELLPENNDQAITDQKMEEFGLKESSSSSSTVKKDNLEIRTTDYTLEGEEGIRNISVKDQGDELVYSSELNASKAENMSLKLFEQREKQSEVEGNCFLDDVDVSERNFSVENVTSPANHATLDINAVGSGSEGISLSGENRCDGPGSKESDRVPIKDLENNEKSQMVNGGAVWDIFRKQDVLKIIQYLEKHNKEFRHLNNLPVNSVIHPIHDQTLFLNERHKKQLKEEFNVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPDNIEECIRLTKDFRMLPKSHRAKEDKLEVKKMVLYAVSSAVKEARSLMPNQERCGFGMKVRNRRCVLLRR >EOX91840 pep chromosome:Theobroma_cacao_20110822:1:4100154:4106383:-1 gene:TCM_000907 transcript:EOX91840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein, putative isoform 2 MVTGWRRAFCTSIPKKQDSPVLPEKQQHQQQSNSTKSPRFTSKFGFFSNPPTPRLQSQPVSSPSLRCRTTCTPTSSLPNSPKLHCKTSHFSNPSSPKSPSSFSLLKSTLRFSKGGRCGICAQSVKTGQGTAIFTAECSHSFHFPCIAAHIKKRQLLICPVCSTTWKELPLLSLQQPEQPNKTSLKDIKTKSFRVYNDDEPLASPVSLSQFNPIPESEETEDDLEEEFQGFFVTPKIGKEIGVNARNVEVRLLQEAAMVAVGRSYESYVVVMKVHAPTVTRGVKRAPIDLVTVLDVSGSGMRLQMIKRAMRLVISLLSETDRLSIVVFSSSSKRLMPLKKMSSSGRRSARRIVDAQESNGQGMSVNDALKKAAKVLEDRREKNAVASIMILSGGQDKQSQLNPPNQNLPVVSTTRLAHLEIPVHSISFGTWTHAPNDDAFCKIVNGLVSVVVQDVRLQLGFVSGSAPAEISSVYSLKSRPTSLGSNSVRVGDLHSDEERELLVEVKVPVSSSGSHRVMSVRTSYRDPFTQEMVYSRDQSLLIPRPPQSVRSSSHSIGRLRNLHVSTRAVAESRRLIERNDLSGAHHLLTSARALLMQSGSSSAEDFIRGLETELAELNRRRQRQRVNNTNVNNNNGLGEEKSEPLTPTSAWRAAERLAKVAIMRKHMNRVSDLHGFENARF >EOX91841 pep chromosome:Theobroma_cacao_20110822:1:4100118:4102480:-1 gene:TCM_000907 transcript:EOX91841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein, putative isoform 2 KFGFFSNPPTPRLQSQPVSSPSLRCRTTCTPTSSLPNSPKLHCKTSHFSNPSSPKSPSSFSLLKSTLRFSKGGRCGICAQSVKTGQGTAIFTAECSHSFHFPCIAAHIKKRQLLICPVCSTTWKELPLLSLQQPEQPNKTSLKDIKTKSFRVYNDDEPLASPVSLSQFNPIPESEETEDDLEEEFQGFFVTPKIGKEIGVNARNVEVRLLQEAAMVAVGRSYESYVVVMKVHAPTVTRGVKRAPIDLVTVLDVSGSGMRLQMIKRAMRLVISLLSETDRLSIVVFSSSSKRLMPLKKMSSSGRRSARRIVDAQESNGQGMSVNDALKKAAKVLEDRREKNAVASIMILSGGQDKQSQLNPPNQNLPVVSTTRLAHLEIPVHSISFGTWTHAPNDDAFCKIVNGLVSVVVQDVRLQLGFVSGSAPAEISSVYSLKSRPTSLGSNSVRVGDLHSDEERELLVEVKVPVSSSGSHRVMSVRTSYRDPFTQEMVYSRDQSLLIPRPPQSVRSSSHSIGRLRNLHVSTRAVAESRRLIERNDLSGAHHLLTSARALLMQSGSSSAEDFIRGLETELAELNRRRQRQRVNNTNVNNNNGLGEEKSEPLTPTSAWRAAERLAKVAIMRKHMNRVSDLHGFENARF >EOX94068 pep chromosome:Theobroma_cacao_20110822:1:19770766:19778555:1 gene:TCM_003151 transcript:EOX94068 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MAHQSLDSARGSTQPASSAPSVAVSSGREVSGSRGRGAGTSSQGRPSGSGHQSSIGRGQARVFALTQQEAQTSNAVVSDHEVADN >EOX91365 pep chromosome:Theobroma_cacao_20110822:1:2457536:2458097:-1 gene:TCM_000584 transcript:EOX91365 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA Delta(2)-isopentenylpyrophosphate transferase, putative MVSYRLKNVCVLLLVLILCQEIFVYNVEGRHLRSKSCKKCSRQRADQNTLKMTKNGSHSSTGSGQEQTSKAENIDDFRPTSPGHSPGVGHSIKN >EOX94193 pep chromosome:Theobroma_cacao_20110822:1:25165030:25165820:-1 gene:TCM_003642 transcript:EOX94193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDEAIANGSGPSVARVCVEYDCRKPPVDQVWIVVQNRETGAVTNGYSQRVEFAQMPAYCDHCCHVSHKEIDCIVLGNKAKPPGSRKSRPLQMVIVERTAGHGIGIWKNMEKIKNLEKEKMACLEELAIQHLRGQPVDKGGISGVKDQQGKEIGSKDDPKNAGILVSNRFHEISEKDDGTQIRTET >EOX91210 pep chromosome:Theobroma_cacao_20110822:1:1941969:1944753:1 gene:TCM_000471 transcript:EOX91210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MFLRHIYKPSHLPGMDQFLLSFFIFFGIFMPKLHAQQSYSGNSVMDCDASNETGPSSAFLYTCNGQKLSCQAFLIFRSQPPYNSVSTISNLTSADPLELAYINNISLSTILPPNKEVIVPVNCSCSGQYYQANTSYIIPSMHDTYFSIANNTYQGLSTCKSLLQENVYGGSSLQAGLVLKVPLRCACPTINQTSNGTKFLLTYVVDWGDTVYDISKRFNSSTISVDDANGIDLDDAVIFPFTTVLVPLSTKPSSSQTIIHFPQPPYSSPFTPINLKRTSRKGPIAWIGIGISLLVLCLLLVVLLHHRKKTREAARKLQLGRKKWELPKDFVVSIDQSLKVYDFEELEVATEDFSHKYKMGGSVYRGVLNGESLAIKKMSKDVDKEVSLLKRINHFNLISLRGACEHSGVFYLVYEFMENGSLKEWLQKKSCQRFHIWNYRIQIALDVANGLHYLHNFTSPAYVHKDICSANVLLDGDLRAKIANFSLARSAEREESRKSLMWSSLGTKGYMAPEYMEYGLVTPEMDIYAFGVLLLELITGKEAVFKQDGKEVLLSEAILTIMKGENADAELDGLLDPSLKGHLWKELARRMVKLSINCLAEEPEIRLSMAEVVSYLLRIQLDAQRSESFSSEWR >EOX94056 pep chromosome:Theobroma_cacao_20110822:1:19690987:19697775:1 gene:TCM_003145 transcript:EOX94056 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; BEST Arabidopsis thaliana protein match is: cobalt ion binding (TAIR:A /.../10.1); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G47870) TAIR;Acc:AT5G47870] MALQLRSGSVFLSQCWPQLWCDDVNNNSKRNGRFVLPAVGKGRARFSGLVHCSSSSSSSNSSGDAKKGVPNSNYVVPLDKSFSPSNSSCITRPLVEILRDLNKRIPDNIIKPPSNSSTFLPWYHANRMLSFYAPESGDSIFLTGWCGEVRDVIFAENGTITVVYRLTIRGSDGEAHRESTGTVSSSDINIVDPVAAAEEIAFCRACARFGLGLYLYHEE >EOX95647 pep chromosome:Theobroma_cacao_20110822:1:35038286:35040004:1 gene:TCM_005103 transcript:EOX95647 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit MEFCPTCGNMLQFELPHMGRPSRFVCPTCPYVCQLENKVKIKRRQHLVKKEIEPVFNSDDMKIGGAETDATCPTPNCGFGRAYFSQIQIRSADEPATTFYQCLRCEKRWRED >EOX91101 pep chromosome:Theobroma_cacao_20110822:1:1591479:1592635:1 gene:TCM_000390 transcript:EOX91101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein, putative MSSQEDDDEEIDPVKMILPDEQEEGKSLTTLGDDAAKQQLQEHHIRSVESMVVIRQLPSEGLSFQLWPAATTLVTLLDNHRHHPSKSPLATTLSALSNGDNDRKLKILELGSGTGLVGIAAAVTLGADVTVTDLPYVVPNLQFNVDANADVVAQKGGTVNVAPLRWGEDDDLEVVGREFDLVLASDVVYHDHLFEPLIQTLHSLLNGGRGGKKVFVMAHLRRWKKDTVFFKKAKKLFGVETIHADPPKEGSRIGVVVYRFVGKS >EOX94139 pep chromosome:Theobroma_cacao_20110822:1:22556127:22562450:1 gene:TCM_003394 transcript:EOX94139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPKHPRVDTVDAFASEEDEMSDFLALREQVEKMQQEIHTLVDQLMARTYVLEGDIMANNKILAEIYEIVDDLRKK >EOX96696 pep chromosome:Theobroma_cacao_20110822:1:38688615:38691292:-1 gene:TCM_005888 transcript:EOX96696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPAKIDWKRIDSRFVEDCVYEHISAPKWVDFLAVEDSIDDEAWFCRPDCKHPKTAEDFLKTTPPSKLARSANGDGSGSLPLREWNQGDAKLKRRGQIQSSNFSKIDPTFNEDGENQNPNLSTPPNHQAKSLKAAIKSSSEKKKPIDDISQSDEMPRLKSTLSARNLFAGRDILNHITDFCNELKKLTTRARERENEEKLSEKKSQEAAVVKEISGQVLGELDVKEKERKPLFELGKEKLEGIENGSAKEKERRKKTHDEAENIPVSLNLENVKHKGEERVLQIRTNPPSPQCFSAPHAPMKTTPSKASRSRLMERGILQELKQNKEMKKDDPADKPGSVPTNHIDGRQARALDVFWFLKPCTLSE >EOX95516 pep chromosome:Theobroma_cacao_20110822:1:34527845:34533086:1 gene:TCM_004995 transcript:EOX95516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the outer envelope membrane of chloroplasts 33 MGTALPREWLGLQQFPAASQTKLFELLGKLKQENVNTLTILVMGKGGVGKSSTINSLIGEQVVRVTAFQSEGLRPVMVSRSWAGFTLNVIDTPGLVEAGYVNHQALELIKGFLLNKTIDVLLYVDRLDAYRVDDLDKQIIRAITNSFGKEIWRKSLLVLTHAQLCPPDGLNYDVFSSKRSEGVLKAIRMGARIRKKDFEDSAIPVVLVENSGRCNKNDSDEKILPNGDAWIPNLVKAITSVATNKSQAIVVSKKLVDGSDSNDRGKMLIPVILGLQWLVLKWIQGAIKKDIATGNGPL >EOX95417 pep chromosome:Theobroma_cacao_20110822:1:34164002:34167569:1 gene:TCM_004925 transcript:EOX95417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease or glycosyl hydrolase with C2H2-type zinc finger domain, putative isoform 1 MGGDVTGAITTTAAAAATTGAPPYGGGTPEAQYVAAKTSVWWDIENCQVPKSCDPHAIAQNISSALVKMNYCGPVSISAYGDTNRIPSSVQQALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQKASAPLVAAAKSVWLWTSLSAGGPPLSSGESSKLANGHSSFNSEMLYNPIPETVLYSQPMVFSSENVALGNQNVSNAGRNGDSKYKGKYIRKTPNQPSISRASSVPTSSIQENMNNGYSYQPEYAQAKSFKKAPHEFFGGSEAAVSASKSTPNFFPSNPNPPGSNNGNFMGIHQNHPHSLRPNNLPLQPAFAQENLLPPNSQNHGFRPMPPRVEGPRFPAPPSNMPDIGKLNISEHSTYAQNPSNFHHRIGEEFKTSSIESLPNQASLNAPQKSLVLHGGQASQHDTFNNRYPRSPEFPPPSSSAISNSPSNGTWGTQGRSPPSEYVQGLIGVILLALNTLKIEKIMPTEANITDCIRYGDPKHRNTDVRKALDSAIEQHMVLKQSLGALQLYVGRNEKLWKCVNPIGGNPNQFSKTTWDGIQKFLSSPAGQSAMMASQCRYEAALALKDACLEEFALGDVLQILNMIIAMKKWIIHHQSGWQPITVTLPETKMEMGTGTAA >EOX95418 pep chromosome:Theobroma_cacao_20110822:1:34163997:34167467:1 gene:TCM_004925 transcript:EOX95418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease or glycosyl hydrolase with C2H2-type zinc finger domain, putative isoform 1 MGGDVTGAITTTAAAAATTGAPPYGGGTPEAQYVAAKTSVWWDIENCQVPKSCDPHAIAQNISSALVKMNYCGPVSISAYGDTNRIPSSVQQALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQKASAPLVAAAKSVWLWTSLSAGGPPLSSGESSKLANGHSSFNSEMLYNPIPETVLYSQPMVFSSENVALGNQNVSNAGRNGDSKYKGKYIRKTPNQPSISRASSVPTSSIQENMNNGYSYQPEYAQAKSFKKAPHEFFGGSEAAVSASKSTPNFFPSNPNPPGSNNGNFMGIHQNHPHSLRPNNLPLQPAFAQENLLPPNSQNHGFRPMPPRVEGPRFPAPPSNMPDIGKLNISEHSTYAQNPSNFHHRIGEEFKTSSIESLPNQASLNAPQKSLVLHGGQASQHDTFNNRYPRSPEFPPPSSSAISNSPSNGTWGTQGRSPPSEYVQGLIGVILLALNTLKIEKIMPTEANITDCIRYGDPKHRNTDVRKALDSAIEQHMVLKQSLGALQLYVGRNEKLWKCVNPIGGNPNQFSKTTWDGIQKFLSSPAGQSAMMASQCRYEAALALKDACLEEFALGDVLQILNMIIAMKKWIIHHQSGWQPITVTLPETKMEMGTGTAA >EOX91633 pep chromosome:Theobroma_cacao_20110822:1:3349324:3353416:-1 gene:TCM_000757 transcript:EOX91633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit alpha-2 MKYARRPNPPFLLCLLLVCAIVASRPPVAHDSYDNREIGEISGPDRRQVMSKSRVYTDVNVLRPKEYWDYESLTVQWGDQDDYEVVRKVGRGKYSEVFEGINVNSNERCIIKILKPVKKKKIKREIKILQNLCGGPNVVKLLDIVRDHHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYHLELDPQLDSLVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFSQVRAAESSRMRTQ >EOX95971 pep chromosome:Theobroma_cacao_20110822:1:36190084:36197375:1 gene:TCM_005336 transcript:EOX95971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 7 MATDMQKLIGASEEDDEEEMEMDVKEEDDEDEENGEKHIAAQMMMGVDGVMQSTSSSGQFQHHQQLQEQVSTPGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQGSRPAAGTSAGMTSSSSQMVSQPTPPTSLRGVSSGYRTSVDYNACRMKGVFMPTPSPYDLSSSARSQSSGMVGDGGEQTESLPLIAGSMEAVNNKQVIDLPPKLPEHDFAGTPYVPVYVMLPLGIINMKCELIDPDGLLKQLRALKSINVDGVMVDCWWGIVEAHAPLEYNWNGYRRLFQMVRELKLKIQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPDMFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRVEFNEFFEDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMSKSLRKAAELRGHSFWARGPDNAGSYNSQPDETGFFCDGGDYDGYYGRFFLNWYSQVLVDHGDRVLSLAKLAFEGTCIAAKLPGIQWWYKTASHAAELTAGFYNPCNRDGYSAIAAMLHKHGATLNFACPELHLLEQHEDLREALADPQGLVWQVLNAAWDVCIPVASENALLCHDRMGYNKILDNLKLVNDPDGRHFASFTYLRLSPLLMERQNFMEFERFVKRMHGEAVLDLQV >EOX94332 pep chromosome:Theobroma_cacao_20110822:1:27864165:27866704:1 gene:TCM_003919 transcript:EOX94332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKLGAVARMRRMSSDRKLGTVGNDEKNVFELPIREHRSSPGDPDRGSQGSTWCSRNEEPDAPSRLPKGSIDCYLVTRCKVHEVKLGNRQQLEELLKLGMVMGTKQHVMRLDGPNLANYKGGRALKKTSEVDFSAFKIGGEKVLDIVELEGIDGTREEKTLGSYHPGAVDDAFNDWWNRCSL >EOX93887 pep chromosome:Theobroma_cacao_20110822:1:17149754:17154883:1 gene:TCM_002883 transcript:EOX93887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKMKPGEDITNMLDRFTNITNKLNQLGKPILEHEIVKRLLRSLPKNQKPKVIAICEAKDLNIITLDEICGPLLTHELELKEEEEKDKREAKEKMKSIALKANILEEELDSLSCDDDEELAMVARRFRKLMSQRDRRLAKKSDISSSDFEKEKVEERANLSLMARDDESEVELKLKDTCSRAQLKEKQPWYMDSGCSRHMTRNEMLFAQLDKKKGGIVSFGDDSKGRIHGTGTVEAVNIAAYILNKVLIRAMISKTPYELYKGKFDAKSDEAIFLGYALNLKAYGEIPADEDDTADLKRQMEEMILDNKKNSEENFPRREIEPSPLETLQRTENLHNDLSKSWRYITDHPQEQIIGDLSQGVRTRRGTRETCEFTTFISQIELKSFEKGEFEMSMMGKLKFFLGLQIKQCKDGIFLNQERYIQVMLKRFDILKLKSISTPMSPFTKLDKDEKGKNVDQKLYRDADFAGRKIDRKSNSGTCQFLGDMLVS >EOX91483 pep chromosome:Theobroma_cacao_20110822:1:2842039:2842612:1 gene:TCM_000659 transcript:EOX91483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMSHQPRMIDGATDTYTICKICYLYSKYRVSNTVIVLEAIQWEKRVNNRRNINRLDDVGNRYSISGSVDKQSSGIVAVNRKGNGVIFYVQLLPGDLPGVESSPECARGRSLSGGLGCLQFPSLAEV >EOX95377 pep chromosome:Theobroma_cacao_20110822:1:33954249:33957813:1 gene:TCM_004890 transcript:EOX95377 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein MDNVGFSKHVILHLWIWIFLAMTDISESSPAGIRRIFNFGDSNSDTGGVLAGTGLPIGLPHGITFFHRGTGRLGDGRLIIDFFCEHLNLSYLSPYLDSLAPNFTSGVNFAVSGAMTLPQFVPFALDVQVRQFIRFKNRSLELQTTGLGDFIDEKGFRDALYMIDIGQNDLLMALYASNLTCEPVAEQIPSFLAEIKLAIQNIYSYGGRKFWIHNTGPLGCAPKELALHSHTNKDLDRIGCFRVHNDLAKAFNKGLRNICKEMRTVLKDATIVYIDVYTIKYNIFTKYKKYGFEYPFMACCGYGGPPNNYDQKATCGQPGSSICNNVSRSIVWDGVHYSEASNRVVATSILSGHYSTPQMKLENFWERLD >EOX91287 pep chromosome:Theobroma_cacao_20110822:1:2203851:2208154:1 gene:TCM_000530 transcript:EOX91287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 6 isoform 2 MSSPSLSDPEFSRLCRLINDSLHPFTESENISLSKEEEKNLLLILSQVSNEIHRLIHTTNTASPLNPYLENHQFLSKAISHLITILTLESRFIQHLAGNVLVTLSEFIALSGKSWDFLIRSLCICFEFSISNISSCSFEPSIGGVEGSDSDLLCLVGLLKPKLKNASLFTVAGIIRILRNILKILKEECDDELVQVFLNLIRFGILNVPWDSMDEIFGGNGGEEDELRIVFLGNFIQFLCSLVEQFSFVEGLDDSLDKHVILLKIINLMPKLLYWCLGKKGECVNTCISRYFRHKLLVLMIRLSFQIPLDCMVLVSWFQLLHEYFQELLCQPLTEVEYQYDCLEDSPFMLSITDGEVHSMHSCHLQRQAIFLFLRCCFSLINPRKDTGMHCPSAILKSGLSFDRIPDMSCYGRKKGLLELYTWLSEHLPVDMLVDRETYMEKCISFSFSFLKLYMHEDDVLFKLLLQLLSVQACEEQQFPEERWESQDMREDLHYDHQVLLDYLISKDTGISCAEYLLRCLRMVCDSWQIFTKFSVYGEVKNQSYCKRRKVSSESSKSQIEPSSGPAKFVPLYLEKKFKSDLEYRTGEQAYQQAKDCLLSLKNSMENLHLKNLFPYNPEVLLKRLTRFQELCFKQ >EOX91289 pep chromosome:Theobroma_cacao_20110822:1:2203836:2208093:1 gene:TCM_000530 transcript:EOX91289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 6 isoform 2 MSSPSLSDPEFSRLCRLINDSLHPFTESENISLSKEEEKNLLLILSQVSNEIHRLIHTTNTASPLNPYLENHQFLSKAISHLITILTLESRFIQHLAGNVLVTLSEFIALSGKSWDFLIRSLCICFEFSISNISSCSFEPSIGGVEGSDSDLLCLVGLLKPKLKNASLFTVAGIIRILRNILKILKEECDDELVQVFLNLIRFGILNVPWDSMDEIFGGNGGEEDELRIVFLGNFIQFLCSLVEQFSFVEGLDDSLDKHVILLKIINLMPKLLYWCLGKKGECVNTCISRYFRHKLLVLMIRLSFQIPLDCMVLVSWFQLLHEYFQELLCQPLTEVEYQYDCLEDSPFMLSITDGEVHSMHSCHLQRQAIFLFLRCCFSLINPRKDTGMHCPSAILKSGLSFDRIPDMSCYGRKKGLLELYTWLSEHLPVDMLVDRETYMEKCISFSFSFLKLYMHEDDVLFKLLLQLLSVQACEEQQFPEERWESQDMREDVLFHVSNIFNPIHLFHLFLAELHYDHQVLLDYLISKDTGISCAEYLLRCLRMVCDSWQIFTKFSVYGEVKNQSYCKRRKVSSESSKSQIEPSSGPAKFVPLYLEKKFKSDLEYRTGEQAYQQAKDCLLSLKNSMENLHLKNLFPYNPEVLLKRLTRFQELCFKQ >EOX91288 pep chromosome:Theobroma_cacao_20110822:1:2203836:2207904:1 gene:TCM_000530 transcript:EOX91288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 6 isoform 2 MSSPSLSDPEFSRLCRLINDSLHPFTESENISLSKEEEKNLLLILSQVSNEIHRLIHTTNTASPLNPYLENHQFLSKAISHLITILTLESRFIQHLAGNVLVTLSEFIALSGKSWDFLIRSLCICFEFSISNISSCSFEPSIGGVEGSDSDLLCLVGLLKPKLKNASLFTVAGIIRILRNILKILKEECDDELVQVFLNLIRFGILNVPWDSMDEIFGGNGGEEDELRIVFLGNFIQFLCSLVEQFSFVEGLDDSLDKHVILLKIINLMPKLLYWCLGKKGECVNTCISRYFRHKLLVLMIRLSFQIPLDCMVLVSWFQLLHEYFQELLCQPLTEVEYQYDCLEDSPFMLSITDGEVHSMHSCHLQRQAIFLFLRCCFSLINPRKDTGMHCPSAILKSGLSFDRIPDMSCYGRKKGLLELYTWLSEHLPVDMLVDRETYMEKCISFSFSFLKLYMHEDDVLFKLLLQLLSVQACEEQQFPEERWESQDMREDVLFHVSNIFNPIHLFHLFLAELHYDHQVLLDYLISKDTGISCAEYLLRCLRMVCDSWQIFTKFSVYGEVKNQSYCKRRKVSSESSKSQIEPSSGPAKFVPLYLEKKFKSDLEYRTGEQAYQQAKDCLLSLKNSMENLHLKNLFPYNPEVLLKRLTRFQELCFKQ >EOX93287 pep chromosome:Theobroma_cacao_20110822:1:11910729:11913629:-1 gene:TCM_002136 transcript:EOX93287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 5 precursor MINFKQTQLLTFLCFLLILLPSIARGECTCEPEDEDRNKPLALKYKMAAIASILVAGAIGVCFPLLGKTINALRPEKNLFFTIKAFAAGVILSTGFIHVLPDATESLTSPCLDENPWGKFPFAGLVAMTSAIATLMVDVFATSHYTKSHFNKTQHINGDEEKTGEHENHVHVHTHATHGHAHGSVSSVDRSGSSELLRHRVVSQVLELGIVVHSAIVGTSLGASESPKTIKPLVAALTFHQFFEGMGLGGCISQAQFKSRAVAIMALFFSLTTPVGIAIGIGISNIYDESSPTALIVEGIFNAASAGILIYMALVDLLAADFMNPKLQNNGILQAGASVALLLGAGLMSLLAVWA >EOX96474 pep chromosome:Theobroma_cacao_20110822:1:37920311:37927602:1 gene:TCM_005713 transcript:EOX96474 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM domain family protein, putative isoform 1 MAVASAAAERIDLPRPPMDPSLSKLASDVVSESSTSPNASSPAGTPDRNDPSSSSPNLNYRDVETQAAAALRSEEYRQLFRLPAEEFLVQDFNCAYQESILLQGHMYLFVRYLCFYSNIFGFETKKIIPFNEITSVKRAKTAGIFPNAIEIFAGGRKYFFASFLSRDEAFKLINDGWVQHGNGAKEIRDQQEPMSESSSQENGFVAIEKVNSSKNLINDMESIDRDEDVPTSSDSKLPSNSENDAEAGPESVIITRSSASADTCSWKPENCDAPKVPEDFTKVAETKFPIKVEEFFKLYFSDNAVNFIESFHRRCGDKEFRCSSWCSHDKFGHVRDVSFQHPIKIYFGAKFGSCQETQKFRVYRNSHLVMETSQEINDVPYGDYFHVEGLWDVERDIDGPQEGCILRVYVNVAFSKRTVWKAYGTGKIVQSTLEECREAYATWIDMAHELFKESLDKQGGVDSSRSSAENGELQIEREVATKEPSERSHNLSDPVRTLRMSDSLDVNQRIGTLLQGSLSSASSIASLLREFVRKSYSYLTSQGHISLVLAVAFAVIFLMQVSILVLLSRPQHIHVSYLAQSTGGMGGGAGERPTEAVAWLEKRMHHLKEEMVMVEARLERMWHEHAALKAQLKELGYPKKHR >EOX96473 pep chromosome:Theobroma_cacao_20110822:1:37920013:37928862:1 gene:TCM_005713 transcript:EOX96473 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM domain family protein, putative isoform 1 MAVASAAAERIDLPRPPMDPSLSKLASDVVSESSTSPNASSPAGTPDRNDPSSSSPNLNYRDVETQAAAALRSEEYRQLFRLPAEEFLVQDFNCAYQESILLQGHMYLFVRYLCFYSNIFGFETKKIIPFNEITSVKRAKTAGIFPNAIEIFAGGRKYFFASFLSRDEAFKLINDGWVQHGNGAKEIRDQQEPMSESSSQENGFVAIEKVNSSKNLINDMESIDRDEDVPTSSDSKLPSNSENDAEAGPESVIITRSSASADTCSWKPENCDAPKVPEDFTKVAETKFPIKVEEFFKLYFSDNAVNFIESFHRRCGDKEFRCSSWCSHDKFGHVRDVSFQHPIKIYFGAKFGSCQETQKFRVYRNSHLVMETSQEINDVPYGDYFHVEGLWDVERDIDGPQEGCILRVYVNVAFSKRTVWKGKIVQSTLEECREAYATWIDMAHELFKESLDKQGGVDSSRSSAENGELQIEREVATKEPSERSHNLSDPVRTLRMSDSLDVNQRIGTLLQGSLSSASSIASLLREFVRKSYSYLTSQGHISLVLAVAFAVIFLMQVSILVLLSRPQHIHVSYLAQSTGGMGGGAGERPTEAVAWLEKRMHHLKEEMVMVEARLERMWHEHAALKAQLKELGTQAAFDARLSASNFIGRRLHRLSDLNQYSYSNTVVLPITFVPTVHALTMTRGRTKE >EOX91931 pep chromosome:Theobroma_cacao_20110822:1:4525818:4526442:-1 gene:TCM_000979 transcript:EOX91931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SKIP34 [Source:Projected from Arabidopsis thaliana (AT5G65495) UniProtKB/Swiss-Prot;Acc:Q8GWU7] MCYGHQRSLSPNHHLDPPSRNDNALVVDNLRVRLAETEARLERARAREAELTRRLEEMKRFVSVMEILECYLKQRFREQQEYVARIFSSLPAK >EOX91751 pep chromosome:Theobroma_cacao_20110822:1:3704417:3705355:1 gene:TCM_000835 transcript:EOX91751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine-zipper 5, putative MMSTVPANVSPEPMLSNDLFPAFESGFTPWDCSELFSTTLSTGPARSGSGSDEPNQNQTNSNSGSDEPNQLVSIIDERKRRRMISNRESARRSRMRKQKHLENLRNQVNRLRIENRELTNRLRFVLYHCHRVRTDNDRLRSEYSMLQQKFWDIRQILLFKQLQQFSSAWPCNNVTAMSEQTPPLIT >EOX91717 pep chromosome:Theobroma_cacao_20110822:1:3604792:3609316:1 gene:TCM_000813 transcript:EOX91717 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCCH-type zinc fingerfamily protein with RNA-binding domain MAHRLLRDHEADGWERSDFPIICESCLGDNPYVRMTKADYDKECKICTRPFTVFRWRPGRDARYKKTEVCQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRRARAGLDYESSYGKVRPNDTILKLQRTTPYYKRNRAHICSFYVRGECTRGAECPYRHEMPVTGELSQQNIKDRYYGVNDPVALKLLNKAGEMPSLEPPEDEGIKTLYVGGLDKRVTEQDLRDNFYAHGEIETIKMVLDKACAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGKPQAPRPESETSEGGMQQVAVAHSGMLPRAVISQQQNQFQPSGPGVQDQPQPMQYFNIPPPPQLDRAFYPSMDPQRMGALVPSHDGENKSGSEKPQQGQHYPYQAMPPPPGQYPHQLYPPYGYMQPMPPYQQYPYHPAMPPPQAPPATQQYQHSGPPRPPPPVSGPSTSTPPVSSSSGSAPPVPGPSADASGSSHQ >EOX91999 pep chromosome:Theobroma_cacao_20110822:1:4842996:4849314:-1 gene:TCM_001030 transcript:EOX91999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELVFRKWVFAFSLCCWLIFYGAFSVEGLHGDSKVTGVNLGGWLVIEGWIKPSLFDGIPNGDMLDGTQVQFKSVTLKKYVCAENGGGMDVSVNRDAASSWETFTLWRVSESEFQFRTTQGQFLTCYGTGCSVSATTKSASTTETFQIERNNNGRVHIKTKSGTYLQATIGNQLTADYPGTPGWDDNAATFEMSIVANNLHGDYQLANGYGHNKAKQVLERHRNTFINVGDFEFLFRQGINTVRIPVGWWIAFDPNPPAPFIGGTLEALDNAFSWAQAYNIKCIIDLHAAPGSQNGMEHSASQDGTTGWPTSSDYISQTLHVIDFLASRYAKHPALLGIELLNEPSAASVPLDTLVSYYKQGYEIVRKHSPSAYVVICQRIGNADPLELYQADIGSHNIVVDLHYYNLFDTFFVNKSAIDNIQFIYQSREAQLQALNGANGPLVFIGEWVNEWNVTSGSQSDYQDFGRAQLEVYDAASFGWAYWTLKNDRKHWDFEWNIRNNYLQLSNSQKEKIFNSLTWLLLASVCFHLCQIF >EOX94191 pep chromosome:Theobroma_cacao_20110822:1:25084734:25086628:-1 gene:TCM_003637 transcript:EOX94191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring finger protein, putative MADDEGFPIPPHRNVYDLNSKIMLTAIISLSVVVLLVIMLHIYARCVLRRQVRRRRQAFLGRIRSTGLTTSGEPPKTGLDPMVINSLPIFVFKQTSDDVGYYHDDDDMSTECAVCLSTLEDEEMARFLPNCKHTFHAECIDKWLASQSTCPICRMEVEPRLQPEAREGPAIAIVDSAAADAPPTAQSQSLERVNSTGHCMEGTSDAGIQLSSTKVINCSSSRLSSFRRMLSRERSMRRGPSCEENEDVTQDLERQ >EOX92068 pep chromosome:Theobroma_cacao_20110822:1:5132685:5140968:-1 gene:TCM_001085 transcript:EOX92068 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein MAIIPCGSTWVAQWGIRPQFTIRSYVTNRIMTTQSGVTSRINYLGAPSSSLFSRDSLPLLSSVGSSQTSHCRRGARFIVRAETDFYSILGVSRNSSKSEIKSAYRKLARSYHPDVNKDPGAEQKFKEISNAYEVLSDDEKRSLYDKYGEAGLKGAGMGMGDFSNPFDLFESLFEGMGGMGGMGMGGRSSRNRAVDGQDEYYSLVLNFKEAVFGVEKEIEITRLESCGTCNGSGAKPGTKPSKCTTCGGQGQVVSSARTPLGVFQQVMTCSSCGGTGEISTPCNTCSGDGRVRRTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGSPGDLFVVIEVIPDPVLKRDDTNILYSCKVSYIDAILGTTIKVPTVDGMVDLKIPAGTQPNTTLVMAKKGVPVLNKSNMRGDQLVRVQVEIPKRLSSEERKLIEELADLSKGKTASSRR >EOX93484 pep chromosome:Theobroma_cacao_20110822:1:13309778:13311783:-1 gene:TCM_002347 transcript:EOX93484 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-epiaristolochene 1,3-dihydroxylase, putative MSQSLMGLQHVFSFPLLFTFLLFLFMAMKHYCKQSKQRLPPGPWKLPFIGNLHQIFGLLPHCHLRILAKKYGPLMHLQLGEITALVVSSPEAAKEAFGSMREVEVQNMIESIALSGGHPVNLSQKLSSLSNNITARAAFGSKCKDQEEFLSAIKETRDLTGGFNVPDVFPSIKFLHLFSGVKPALERLHQKIDSMLTDIINQHKLRRQTTESGNGKLEEEDLVDVLLNLQESGDLDFPLTTENIKASSWYVMFTAGSDTSSTTMEWAMSELIKNPKMMDKAQAEVRNVLKGKKKIKEKDIEEVNYLKLVIKETLRFHPPAPLLLPREARERCEINGYEIAIKTRVIVNVRAIGRDPEYWNNPECFEPARFHNSSFDFKGANFEFIPFGAGRRMCPGISFGIANIELPLALLLYQFNWKLPNGLRPEQLDMRESFGVTVRRKNGLHLIATPYVPFALLSKCIQQK >EOX93842 pep chromosome:Theobroma_cacao_20110822:1:16434237:16445320:-1 gene:TCM_002794 transcript:EOX93842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAWKMMKDNIVNSSTLLFVKVVRRPEKAKELRLRTISQSSPRIRIFNTSSSAPSVAVSSGREVSGSRGRGAGTSSQGRPSGSGHQSSIGRGQARVFALTQQEAQTSNAVVSGILSVCNMNARVLFDPSATHSFISLCFASRLGRGRVRREEQLVVSTPLKEIFVVEWEYESCVVRVQDKDTSVNLVVLDTLDFDVILGMNWLSPCHASVDCYHKLVRFDFPGEPSFSIQGDRSNAPTNLISVISARRLLRQGCIGYLAVVKDSQAKIGDVTQVSVVKEFVDVFPEELPGLPPEREVEFCIDLIPDIRPISIPPYRMAPAELKELKDQLEDLLDKGFIRPSVSPWGAPVLFVKKKDGSLRLCIDYRQLNKVTVKNKYPLPRIDDLFDQLQGAQCFSKIDLRSGYHQLRIRNEDIPKTAFRTRYGHYEFLVMSFGLTNAPAAFMDLMNRVFKPYLDKFVVVFIDDILIYSKSREEHEQHLKIVLQILREHRLYAKFSKCEFGSKVLHSWGM >EOX91352 pep chromosome:Theobroma_cacao_20110822:1:2402179:2403637:-1 gene:TCM_000574 transcript:EOX91352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYSSSKFSISLWISWTILRNKTPLIKQNIGWNVVKLTKRHIYPPIPPFFFFFSFHFLCFSFFFFFSFLKGKSMQVAYSIMKMTTVIFRCSNFQPISMGFHSFSFNLFKHPE >EOX91590 pep chromosome:Theobroma_cacao_20110822:1:3215328:3219211:1 gene:TCM_000729 transcript:EOX91590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Natural resistance-associated macrophage protein 3 MPPEENQVPLLSDQDSDQDVAYESGEKIHIIGINEPDEEGSLGVPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATAMGLLVQLLSARLGVATGRHLAELCREEYPTWARMVLWVMAELALIGADIQEVIGSAIAIKILSNGVLPLWAGVVITACDCFIFLFLENYGVRKLEAFFAVLIATMAVSFAWMFGETKPSGSELLLGILIPKLSSRTIQQAVGVVGCIIMPHNVFLHSALVQSRDIDHSKKGRVQEALNYYSIESTAALIISFIINLFVTTVFAQAFYGTEVADSIGLVNAGQYLQEKYGGGVFPILYIWAIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSFAIIPTILVALIFDTSEAALDVLNEWLNVLQSIQIPFALIPLLCLVSKEQIMGTFKIGPVLKTVAWLVAVLVIVINGYLLVDFFSSEVTGVMFASVVFAFTGAYLAFIVYLVSRGFTLPAWRCLVQSKQTQGIE >EOX95263 pep chromosome:Theobroma_cacao_20110822:1:33590370:33596175:1 gene:TCM_004813 transcript:EOX95263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein MMRLQKVYHRLSLLSISPFSSSLPPFSLSPNTRSRFPSSSSLRTPGHLRTHSRNASKTAMTGSRFHRLVPINSALTEDAAGGNGSNGSVSSSANASATLTEEDDENVAIGVKYRLPPPEIRDIVDAPPLPALSFSPLRDKILFLKRRSLPPLAELGRPEEKLAGIRIDGKCNTRSRMSFYTGIGIHQLMPDGSLGPEKEVQGFPDGAKINFVTWSNDGQHLAFSVRVEEEDSSSNSGKLRVWVADVETGMARPLFQSPDIYLNAVFDNYIWVDNSTLLVCTIPLSRGDPSKKPLVPSGPKIQSNEQKNVIQVRTFQDLLKDEYDEDLFDYYATSQLILASLDGTVKEIGTPAVYASMDPSPDEKYLLISSIHRPYSFIVPCGRFPKKVDVWTSDGEFVRELCDLPLAEDIPIAFSSVRKGMRSINWRADKPSMLYWAETQDGGDAKVEVSPRDIIYTQPAEPEEGEQPEILQKLDLRYGGISWCDDSLALVYESWYKTRRTRTWVISPGSKDVSPRILFDRSSEDVYSDPGSPMLRRTPAGTYVIAKIRKENDEGTYVLLNGNGATPEGNIPFLDLFDINTGSKERIWESNKEKYYESVVALMSDQKEGDIHLHELKILTSKESKTENTQYYIQSWPDRKVCQITDFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYDPSKEGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFAGIGPTSALLWLARRFAILSGPTIPIIGEGDEEANDRYVEQLVSSAEAAVEEVIRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATTTYVEMSPFMSANKIKKPILLVHGEEDNNPGTLTMQSDRFFNALKGHGALCRLVILPFESHGYAARESIMHVLWETDRWLQKYCVSNTSDISAGLDTSKDAASDEVTESENKVVAASGGSGAELADSENEEFQSKPRSLM >EOX92696 pep chromosome:Theobroma_cacao_20110822:1:8143777:8146085:-1 gene:TCM_001600 transcript:EOX92696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPKENSIKSNISSTIFLSLQGGNNPPIQDHQQLNNHVTASQFNIFLHHDRKKKNTAFPQMILEFLLNHPYFQPKMESLSKYFLLNSLIHI >EOX92584 pep chromosome:Theobroma_cacao_20110822:1:7620331:7623811:1 gene:TCM_001517 transcript:EOX92584 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein MKKQGCEIEVVGINYRIYRQKGENPFKIFNKNQQQVKQQEVDQELQQQPSSKFEEACPGIRHVLKDVNCKAKPWEILAIVGPSGAGKSSLLEILAGKLTPQSGSILVNQSPIDKAQFKKISGYVTQKDNLFPLLTVEETLMFSAKLRLRLPQAQMSARVKSLIQELGLGHVAMTRVGDDRLRGISGGERRRVSIGVDVIHDPKVLILDEPTSGLDSTSALQIIDKLKVMAETRGRTIILSIHQPGFRIVKLFSSILMMANGSVLHQGTLDQLGANLREIGLQLPRHVNMIEFAIESIEAIQQQRKRQQEVQAQMLSAPSLQRKGEEVESGSGKFTLQQLFQQSKVVDEDIVNVGIDFPRDFANSRLQETIVLTHRFSKNIFRTKELFACRTIQMLISGLVLGSIFHNVKDDLPGAQEKVGLFAFILTFLLSCTTEALPIFLQEREILMKETSCGSYRVSSYAIANGLVYLPFLLILAILFSVPLYWLVGLNPSFMAFMHFLLLIWLILYTANSVVVCFSALVPNFIVGNSVISGVMGSFFLFSGYFISKHGIPKFWVFMHYISLFKYPFEGFLINEFSKSDKCLEYMFGSCLVTGEAVLREEGYGEESRWRNVIIMVCFILVYRFVSYVILRCRCSQRSLRAALS >EOX90722 pep chromosome:Theobroma_cacao_20110822:1:455674:461813:1 gene:TCM_000111 transcript:EOX90722 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein MKKSPLPVQNLSGKEKQRGCGKEALVKLLRWHFGHPDFRGKQLEAIEAVLSGRDCFCLMPTGGGKSMCYQIPALAKTGIVLVVSPLIALMENQVMALKEKGIAAEFLSSTQTSQVKNKIHEDLDSGQPSLRLLYVTPELIATSGFMSKLTKIHGRGLLNLIAVDEAHCISSWGHDFRPSYSKLSSLRNSLPDVAILALTATAVPKVQKDVIDSLNLQNPLILKSSFNRPNIYYEVRYKDLLDDGYADLCNVLKSAGDVCGIVYCLERATCDDLSTHLSRNGISCAAYHAGLNNKSRSSVLDDWSSSKIQVVVATVAFGCTNLFIFERLLKRICSFRMGIDRKDVRIVCHFNIPKSMEAFYQESGRAGRDQLPSRSLLYYGMDDRKRMEFILSSAESKKLQSSNSQHGFSKKSMSDFNLMVEYCEGSRCRRKKILESFGEEVSALLCKKSCDACKHPNLITKCLEELASACAVRQRNGFSRILMSSSTDAIDNAQFSEFWNHDDEASGSEEDISDSDDGFELAKSISRCKVSKKSGINEKIEFLQRAEENYYQNKAPDKQTNKPDKNAISEMLRESSKQRLLDALKQAHQRLGDLKVDFEASSTFLESECFKKYGKSGKSFYYSQVASTVRWLSTTSSVEITNRVATGTTSHENSTHKENPPLTASTKFVQREKEIIGEQHCGNIELETSASALPLQNPSPSAKLPTIPSFSQFVNNRKSKETQPNASEKHSPKNLETNMKKRMRLQ >EOX93149 pep chromosome:Theobroma_cacao_20110822:1:10865117:10865636:1 gene:TCM_001998 transcript:EOX93149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMEKVGDSILYIIREIQEVGKPISIKTSFRNFHLMRSNAFSKSILIAKPPYFLFIIRIVWIASYITIILSAAYLPGIKLL >EOX91329 pep chromosome:Theobroma_cacao_20110822:1:2346572:2349041:1 gene:TCM_000561 transcript:EOX91329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L25/Gln-tRNA synthetase, anti-codon-binding domain MSKLWRGLKTVVQTPTGNSHHYHTIQAIPRECTGNRVSTRDRAQGRIPAVVFSQGLLEKSPANRSPSRKQLLTTERKQIQTILKSVQLPFFCSTTFPLQIRAGSGSSVLLDSGRVLPIKIHRDEESGKILNLVFVWADEGTKLKVDVPVVFKGEEDCPGLKKGGSLNRIRTSLKYLCPAEHIPPKIEVDVSKLDIGDRVLMHDVEVHPSLKLLSKNESMPMCKIVATNFENPEPMKV >EOX91540 pep chromosome:Theobroma_cacao_20110822:1:3031392:3037848:1 gene:TCM_000690 transcript:EOX91540 gene_biotype:protein_coding transcript_biotype:protein_coding description:D3-type cyclin isoform 2 MALQEEETQQIQSPPLILDGLYCEEEDLGECSFEENGSQICGETVKKEAFLPLFFIEHDLFWEDDALLSLMSKEKETHLGYIAVNSDESLVLARKEALEWIFKVKALYAFNALTIVLAVNYFDRFISSLKFQKDKPWMGQLAAVACLSLAAKVEETQVPLLLDLQVEESKYVFDSKTIQRMELLVLSTLQWRMNPVTPISFFDHITRRLGLRTHLHWEFLRRCERLLLFLIADSRFMLYIPSILAAATMLHVIKEVEPCHYLEYQKQLIGVLKTCEDKVNACYKLILGLLESHCKGNEGHKRKHRSIPSSPNDVIDVSFSCDSSDDSWAMTSSVSSSPQPLFKRSRAQDQQMRLPSLNRIDIYWCDSNLVRNLLCLNSWTILNLKFLCSLTYLLHGWCYVLSLVERGIIPTGQKTQELMALRLSGLTSHRIPTKCLKLQTELPHIHLTWRTP >EOX91541 pep chromosome:Theobroma_cacao_20110822:1:3031414:3037281:1 gene:TCM_000690 transcript:EOX91541 gene_biotype:protein_coding transcript_biotype:protein_coding description:D3-type cyclin isoform 2 MALQEEETQQIQSPPLILDGLYCEEEDLGECSFEENGSQICGETVKKEAFLPLFFIEHDLFWEDDALLSLMSKEKETHLGYIAVNSDESLVLARKEALEWIFKVKALYAFNALTIVLAVNYFDRFISSLKFQKDKPWMGQLAAVACLSLAAKVEETQVPLLLDLQVEESKYVFDSKTIQRMELLVLSTLQWRMNPVTPISFFDHITRRLGLRTHLHWEFLRRCERLLLFLIADSRFMLYIPSILAAATMLHVIKEVEPCHYLEYQKQLIGVLKTCEDKVNACYKLILGLLESHCKGNEGHKRKHRSIPSSPNDVIDVSFSCDSSDDSWAMTSSVSSSPQPLFKRSRAQDQQMRLPSLNRIIPTGQKTQ >EOX91662 pep chromosome:Theobroma_cacao_20110822:1:3464140:3465174:-1 gene:TCM_000780 transcript:EOX91662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger DNA binding protein, putative MALEMLNSPTSAPPLLHHDDIDLHSTEPWTKRKRTKRPRIENPPTEEEYLALCLLMLAQGTTTRTSPSAAAKNTLNNYKCTVCNKAFPSYQALGGHKASHRKLGGADEHPTTTTTAAAVSAPTTTTATVATNSPPMNQGGKTHTCSICFKTFSSGQALGGHKRCHYEAGSNINSNSGEGVKLSSQSQRDFDLNWPAGPEDPSIDVDQKDKLSGDEEEVESPSPPAKRGSYHVC >EOX92047 pep chromosome:Theobroma_cacao_20110822:1:5064324:5067323:-1 gene:TCM_001069 transcript:EOX92047 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-deoxy-D-arabino-heptulosonate 7-phosphate synthase 1 MALMNTSLLSSKSLYSNTNSFSKPKDQSRPTFALPPSNKNSTSLPTITAVHAAESTKNQVAVKESSTFSQSITRKWTLDSWKSKKILQLPHYRDEKKVESVLKTIESFPPIIFAGEARNLEERLAEAAMGKAFLLQGGDCAESFEEFSANNIRNTFTLLIQMGVVLTYGGQMPVVKVGRMAGQFAKPSSAEFEEKNGVKLPSYKGDIINAHVFDKSRIPDPQRMIRAYTQSAATLNLLRAFASGGYAAMQRVAHWNLDFAKNIEQGDRFQELADHLDEALGFMAAAGVTVDDPIMKTTEFWTSHESLLLPYEQALTRLDSTSGLYYNCSAHMVWCGERTRRLDGAHVEFLRGIANPLGIKVSDKMDPNELVKLTGILNPHNKPGRITIITRMGADNLRVKLPHLTRAIGRAGHIVTWVCDPMHGNTIEAPCGLKTRAFDAILAEVQAFFDVHEQEGSHPGGIHLEMTGQDVTECVGGSPTVIYDDLSSCYRTLCDPRLNSSQSLELAFIIAERLRSKRIGLSLGL >EOX91271 pep chromosome:Theobroma_cacao_20110822:1:2146105:2151003:-1 gene:TCM_000517 transcript:EOX91271 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein, putative MGPNLRPNGTVFRGIKLEIAKENYNLQRSMQMVILQKSNDHPMFISESPHFFTIILPGTLRDGKLGIPTKFVKRYGNGMSSPALLRVPNDEVWKVEPTKCDGKVWLKNGWQEFSNHYSLEYGHFLVFRYEGFCNFHVVIFDRSASEIEYPYGSNNHRQHKELPEQKIEESEDADSLQILEDISPSRKTGEKSHLPCSRPHKMMRSANSANKTESNLKCESLAPHFRHNGSPDRKADKSTTSHRIKKLNADKKAKALQRARAFKSENPFFLLVMQPSYVGLNGKWRLAIPNNFVWKHLMKEDCEVILCNSNGKTWTVSLYRRGNGRELLYAGLQTGWKTFVKDNNIQIGDVCVFELINCMEISFKVTIYQGQTDVFRPVKRNVGASSTIQGDMSSLHNQHPTEEFPVPKIEENKRNASGEILDDILLYTGRKINISNPRNLPCTYPPICASLVSSTTAKGMPGIWQSGSDLDQSIRRHIGFYL >EOX91983 pep chromosome:Theobroma_cacao_20110822:1:4757572:4760155:-1 gene:TCM_001015 transcript:EOX91983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folic acid and derivative biosynthetic process, putative MGSQVQRKPRILCLHGFRTSAEILKKLVLRWPATVLEKLDLVFLDGPYPAQGKSAVEGFFDPPYYEWFQANQDYTEYTNFEECLAFIEDYMIKNGPFDGLMGFSQGAVLSAALPGMQRDGVALTKVPKIKFLILISGAKFGGSKFGHHKLTTTAFSSPLECPSLHIIGEMDTTNTKPESTALLESFVDPFVINHPKGHTVPKLDEKSTEVMLRFIERIQKTMPTDEE >EOX91015 pep chromosome:Theobroma_cacao_20110822:1:1347436:1349346:-1 gene:TCM_000327 transcript:EOX91015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKIFYFITQRSRINLLQSITRLGQIRGAETTLAYDDLIYFYESKCNGFDIPFLLVLPSWCIPLFSYPAPWNHDSHVSGCLADLYHFYPCDCYLHLVPEILHIFCTRTCTVGWSTQNFSVAAFIG >EOX96265 pep chromosome:Theobroma_cacao_20110822:1:37153827:37155942:-1 gene:TCM_005544 transcript:EOX96265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata-located protein 8 isoform 1 MLGSLQSYSTHKTIQAPGLSTLCFLFFSLSYFGHLVKAHIFIYAGCSQEKYAPNSPFEGNLNSFLASVVSSSSQVSYNTYAIGNESSTPPESTLYGLYQCRGDLQTADCSRCMESVVNQIGLVCPYSYGASLQLEGCYLRYEHANFLGTPDTGLRFKKCSKSVNNDVEFFRRRDDVLADLQAAIGFKVSSSGLVEGFAQCLGDLSSSDCSSCLGDAVGKLKSVCGSAAAADVFLGQCYARYWASGYYGEFSPDSSHEDDIGKTVAIIVGVLAGLAVLIVLLSFCRKAMESK >EOX96264 pep chromosome:Theobroma_cacao_20110822:1:37153819:37156075:-1 gene:TCM_005544 transcript:EOX96264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata-located protein 8 isoform 1 MLGSLQSYSTHKTIQAPGLSTLCFLFFSLSYFGHLVKAHIFIYAGCSQEKYAPNSPFEGNLNSFLASVVSSSSQVSYNTYAIGNESSTPPESTLYGLYQCRGDLQTADCSRCMESVVNQIGLVCPYSYGASLQLEGCYLRYEHANFLGTPDTGLRFKKCSKSVNNDVEFFRRRDDVLADLQAAIGFKVSSSGLVEGFAQCLGDLSSSDCSSCLGDAVGKLKSVCGSAAAADVFLGQCYARYWASGYYGEFSPDSSHEDDIGKTVAIIVGVLAGLAVLIVLLSFCRKAMGKTLFLVYILPSPTFPLHHHNCRNINSDLFLFPESK >EOX95019 pep chromosome:Theobroma_cacao_20110822:1:32489538:32490011:1 gene:TCM_004606 transcript:EOX95019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKISVGTPWRGEEGKGSYEPSQLIKPLKWFFFVYFSIFSSPLFSTFLVTPPHTQPPPPNVSIEVHWSTVDIRPRPSTGCLFFSPAVWTARSLCPFDSLSSSRRTELHLNTMDVELKPFVGCLFLLLTFQLPDPSTCSIP >EOX92758 pep chromosome:Theobroma_cacao_20110822:1:8477251:8484532:1 gene:TCM_001642 transcript:EOX92758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel C MDRENKVPNYNYNDNDEAHDIESDGKDVDVYSEPLLVKRRNTTSQIAIVGANVCPIESLDYEIAENELFKQDWRSRKKIQIFQYLLLKWAFALIVGLGTGLVGIFNNIAVENIAGFKLLLTTKLMLRHKYYKAFVAYAGCNLGLAAAAAALCAFIAPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKIFGSVLGVSAGFVVGKEGPMVHTGACIASLIGQGGSRKYHLTWRWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAIVAIVLRAFIQLCSTGSCGLFGEGGLIMYDVSAAKVTYSAPDILAVILLGTIGGIFGSLYNYLVDKVLRTYSIINERGAAFKILLVISISLLTSISSYGLPWLATCIPCPTDVSVSCPNTDVSGNYKSFQCPSGHYNDLASLFLNTNDDAIRNLLSTSTVKEFHISSLFIFFGAVYCLGIITYGIAVPSGLFIPVILAGACYGRLVGRLFTSISKLDVGLFAVLGAASFLGGTMRMTVSLCVILLELTNDLLLLPLVMLVLLISKTVADVFNKGVYDQIVKLKGLPYMEAHAEPYMKHLVARDVVSGPLITFSGIEKVGNILHALRTTGHNGFPVIDEPPFSDAPALCGLVLRSHLLVLLKGKIFSRDMVPAGDEILHRFAAFDFAKAGSGKGIKVEDLDIEQEEMDMYVDLHPITNASPYTVVETMSLAKAAVLFRQLGLRHMCVVPKSQGRPPIVGILTRHDFMPEHVLGLYPHIRLRK >EOX94753 pep chromosome:Theobroma_cacao_20110822:1:31200221:31201208:1 gene:TCM_004362 transcript:EOX94753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLWQQSYRTRVAIIEVARMAGPSWVFADLAFLMTSQIVEGEKEIITYARLQHSRGRGRFSLFRKSGISAVYSRCDRQNWLARMKCASNMASIKGLSVGKGVGERAGEVQVEKIGHDPRQQSRQQGLLYISSNSSWPRISLLPHPLHVLKLPFK >EOX92697 pep chromosome:Theobroma_cacao_20110822:1:8147015:8151665:-1 gene:TCM_001601 transcript:EOX92697 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 1 MSKECLPHAVNGGVSNDSCPNADSDDSGTYSQCSRDKEAGLATCRVCHCVESDKRGDAALGFLGITPPLPEAVKSNAEAKPNSNGIPKDVESDFSHAKSVGRESGFVEFISPEGEVFICSTDLEIGSCHHHDALIELGCSCKNDLALVHYACALKWFVSHGSTVCEICGNPAKNIRTSDFKKVVVSLKDYEALRERTASGDPNPAQVHTSSGVDPDAVAAIRRQRLSEISLWFSPHSNNNNNNSSAAVSQAVSEQPLNTFTEEVAPTENPATKWAVEGTGILLATGLLTVTLAWLIAPRVGKKTARSGLHILLGGICALTVVVFFRFIVLTRIKYGPARYWAILFVFWFLVFGIWASRTHGAHTT >EOX92698 pep chromosome:Theobroma_cacao_20110822:1:8148163:8151774:-1 gene:TCM_001601 transcript:EOX92698 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 1 MSKECLPHAVNGGVSNDSCPNADSDDSGTYSQCSRDKEAGLATCRVCHCVESDKRGDAALGFLGITPPLPEAVKSNAEAKPNSNGIPKDVESDFSHAKSVGRESGFVEFISPEGEVFICSTDLEIGSCHHHDALIELGCSCKNDLALVHYACALKWFVSHGSTVCEICGNPAKNIRTSDFKKVVVSLKDYEALRERTASGDPNPAQVHTSSGVDPDAVAAIRRQRLSEISLWFSPHSNNNNNNSSAAVSQAVSEQPLNTFTEEVAPTENPATKWAVEENG >EOX93286 pep chromosome:Theobroma_cacao_20110822:1:11903584:11910371:1 gene:TCM_002134 transcript:EOX93286 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 71B6, putative MPFYCYYGRITNHLLGKVMRRKGMFCFLFLTSFHWKAELVFVPMPVMGHLVSTVELAKLLVDLNSNLSITVLIIKTPYDPNLTAYIDSLIADTVTISTRIKFINLPQDEAQKGIPPNKFMTTIIQIQRPHIKEAIAKIVQYSNSVPNSPRLVGFVLDMFFTALVDLANEFGVASYIFYTSSAAFLGFQFYMQALRDEQNVDITKLKGSDAEFTIPSYVNPIAAKFFPPLMFKLETLIVLLDVARELREVKGIMVNSFLELESHAVDSFSNGEYPAVFPVGPILNLKSESRVHQNSNIMKWLDEQPLSSVVFLCFGSMGSFGGDQVKEIARALEQSGHRFLWSLRQPPVEVMMLSSTNYENVEEVLPEGFSKRTATIGKIIGWAPQVAILGHPAIGGFVSHCGWNSTLESIWFGVPMATWPLYAEQQLNAFQMVMELGLGVEITWTDATVEIVSAENIERGIKCLMEQDSDVRNRAKEMSKQSRKALMEGGSSHSTLCRFIDDVIRNMP >EOX92970 pep chromosome:Theobroma_cacao_20110822:1:9655472:9657176:1 gene:TCM_001827 transcript:EOX92970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEINRVEDEFSDKKSSENENERQRTVRDDDNDHGASSSDSLMERQRPNRRKFRWREKNVSNGAEGQVRSCPYCGKKFSSGQALGGHIRMHEKPKAYKSVPKVLSTVTDKKEKGDNTCFICKERFPSIMSLCGHMRNHPERDWKGIRPPNSDETTPEPDTRLQQQEPAKNLPNCISNWSVTGKRGRKASIKDKLAINRVPEKKRKLRNSEDGNQSKFPRQELKTEKAASILEEEDILEKDLPEEGEIIEEELNIRKVDDGSSISEDVLTNSFSTFQALGIQRVSHGKINDFDHLGELAFSDATIVDKKHREASSSGSNVGKSKKIARHGKTYQARSNHKICLQMETADNPLSRITSQEEAKEVGFRGEPAGESGFDHKASLSPEAIQAGTAKMLDFDLNLPHEE >EOX95542 pep chromosome:Theobroma_cacao_20110822:1:34622595:34623427:1 gene:TCM_005015 transcript:EOX95542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKYGGREWTADHLIIELYSDYVNKSVIHMHFGKVGHFGGSSAMYGLRGRYTFSCGFGFTFGWKGYNQNKFYHHNAWSRFNLKTQNSF >EOX91563 pep chromosome:Theobroma_cacao_20110822:1:3114708:3116314:-1 gene:TCM_000705 transcript:EOX91563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MNISIGPRRDSQNQNAFLNGLEIMELMKKSDFDLLPEKPKSKWNSLFAIVGSVGGGAFVIVLIVIVLLSLKCRKAKPEQSSGWPLSMPLCGRGSSYNRMSEKSANISPSNLNLALRISYYEIEQSTKNFASNLLIGEGGFGKVYEGMFRGMKVAVKRSEPGHGQGLQEFQTEIVVLSQIRHRHLVSLIGYCDERSEMILVYEFMEKGTLRDNLYHSTANLENSYSARSELSWKQRLEICIGAAKGLNYLHTGSAGGIIHRDVKSTNILLDEQFVAKVADFGLSKSGLPDVELSVDVKGTFGYLDPEYFISLQLTDKSDVYSFGVVLLEVLCARPAVVTSNRREEVNLAEWGMLCMREGQLEKIVDPMLVDKINPNSLRKFAETTEKCLKPSGSERPSMRDVLWDLEYALQLQLTQLNREPLEDSTTNASLEFSMPAIQRLPSHSFPAVDEEDATVVFDDASDVTASEVFSKLRIGEAR >EOX95511 pep chromosome:Theobroma_cacao_20110822:1:34514098:34517042:-1 gene:TCM_004991 transcript:EOX95511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural molecule, putative MELAFAASVYPGNGKRAIHEIKTKPLRSKLFTTKKRSFHNKFLCSVAVAPDGNRVSEVELENKKHDLLRAVQETQRGLIATADQRSIIEEALVSVEGYNMGAPIDLVVLDGTWRLQYTSALDVLILLEAAARLPFFQVGQIFQKFECRDRLGGGVIRNVVRWSIPNLLEEQEGATLVVSAKFDVVSVRNIYLQFEEIKVQDINISEQLQALIAPALLPRSFLSLQILQFLRTFRAQVPVRNPGTGRRSVGGLYYLSYLDRNMLLGRAVGGGGVFVFTKAQPLEL >EOX93107 pep chromosome:Theobroma_cacao_20110822:1:10489266:10490974:-1 gene:TCM_001949 transcript:EOX93107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase PHI 9 MVVKVYGPAYASPKRVLVCLIEKEVEFETVPVDLLKGEHKDPEYLKLQPFGTVPVTQDGDYTLYESRAIMRYYAEKYKSQGTDLLGKTVEERGLVENWLEVEAQSYNPPMFTLTVQIMLSSKLGLPRDENLIKESEEKLGKVLDIYEERLSKSKYLAGNFFSLADLSHLPFTQYLVDHMGKEYMIKSRKHVSAWWDDISSRPSWQKVLQLYAAPFKN >EOX95683 pep chromosome:Theobroma_cacao_20110822:1:35214797:35216401:-1 gene:TCM_005130 transcript:EOX95683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MEQPPYTEDDLNTSTTSPESTTTAETHPKHDSKRGGTRHPVYRGVRKRRWGKWVSEIREPRKKSRIWLGSFPVPEMAAKAYDVAAFCLRGRKAQLNFPDEVEDLPRPSTCTARDIQAAAAKAAHSVVSSKKSQESSEDGDGHGADDFWGEIELPELMNSGCQWNSRGWTFTGDGSWLEVEAQQQQQFLACL >EOX96427 pep chromosome:Theobroma_cacao_20110822:1:37760473:37762269:1 gene:TCM_005677 transcript:EOX96427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMHHTEYEAVFFFFVYFNISCKSMTVMGVACAEQADFPSAERYNYLLDVLNTGSTSGKKSQPRTHVPESRFAASPIGSGISTVI >EOX96467 pep chromosome:Theobroma_cacao_20110822:1:37892643:37897752:1 gene:TCM_005709 transcript:EOX96467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase isoform 1 MANSAADSRSNRAAVQATNDDAAASKLSCVKKGYMKDDYIHLFVRRPVRRSPIINRGYFARWAALRKLLYQFLDCEGCNGEKGHTKKQILSLGAGFDTTYFQLQDEGKAPYLYVELDFKEVTSKKASLIESCSQLRDKVGATASISLENGEVLSDQYKLLPVDLRDIQKLDDIISLANMDPGLPTFIIAECVLIYLDPDSSRSIVGWASKTFSTAIFFLYEQIHPDDAFGQQMIRNLESRGCALLGIYATPTLLAKEKLFLDQGWQVSESCCLGHAESL >EOX96466 pep chromosome:Theobroma_cacao_20110822:1:37892608:37899160:1 gene:TCM_005709 transcript:EOX96466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase isoform 1 MANSAADSRSNRAAVQATNDDAAASKLSCVKKGYMKDDYIHLFVRRPVRRSPIINRGYFARWAALRKLLYQFLDCEGCNGEKGHTKKQILSLGAGFDTTYFQLQDEGKAPYLYVELDFKEVTSKKASLIESCSQLRDKVGATASISLENGEVLSDQYKLLPVDLRDIQKLDDIISLANMDPGLPTFIIAECVLIYLDPDSSRSIVGWASKTFSTAIFFLYEQQIHPDDAFGQQMIRNLESRGCALLGIYATPTLLAKEKLFLDQGWQKAVAWDMLRVYSEFVDARERRSRIEPLELFDEFEEWHMMQEHYCVAYAINDAMGWYGKFGFPDDQQHVPNTSPAVSPLEHEAAPRHTSLANFR >EOX96465 pep chromosome:Theobroma_cacao_20110822:1:37892513:37899131:1 gene:TCM_005709 transcript:EOX96465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase isoform 1 MANSAADSRSNRAAVQATNDDAAASKLSCVKKGYMKDDYIHLFVRRPVRRSPIINRGYFARWAALRKLLYQFLDCEGCNGEKGHTKKQILSLGAGFDTTYFQLQDEGKAPYLYVELDFKEVTSKKASLIESCSQLRDKVGATASISLENGEVLSDQYKLLPVDLRDIQKLDDIISLANMDPGLPTFIIAECVLIYLDPDSSRSIVGWASKTFSTAIFFLYEQIHPDDAFGQQMIRNLESRGCALLGIYATPTLLAKEKLFLDQGWQKAVAWDMLRVYSEFVDARERRRIEPLELFDEFEEWHMMQEHYCVAYAINDAMGWYGKFGFPDDQQHVPNTSPAVSPLEHEAAPRHTSLANFR >EOX96468 pep chromosome:Theobroma_cacao_20110822:1:37892608:37899160:1 gene:TCM_005709 transcript:EOX96468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase isoform 1 MANSAADSRSNRAAVQATNDDAAASKLSCVKKGYMKDDYIHLFVRRPVRRSPIINRGYFARWAALRKLLYQFLDCEGCNGEKGHTKKQILSLGAGFDTTYFQLQQDEGKAPYLYVELDFKEVTSKKASLIESCSQLRDKVGATASISLENGEVLSDQYKLLPVDLRDIQKLDDIISLANMDPGLPTFIIAECVLIYLDPDSSRSIVGWASKTFSTAIFFLYEQQIHPDDAFGQQMIRNLESRGCALLGIYATPTLLAKEKLFLDQGWQQKAVAWDMLRVYSEFVDARERRRIEPLELFDEFEEWHMMQQEHYCVAYAINDAMGWYGKFGFPDDQQHVPNTSPAVSPLEHEAAPRHTSLANFR >EOX95736 pep chromosome:Theobroma_cacao_20110822:1:35422960:35424356:1 gene:TCM_005169 transcript:EOX95736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory component of ABA receptor 1 MNGGEAYSMMEAQYVRRHHRHEIRDDQCTSALVKHIKAPVHLVWSLVRRFDQPQKYKPFVSRCIMQGDLGIGSVREVNVKSGLPATTSTERLELLDDEEHILGIKIVDGDHRLRNYSSIITVHPEVIEGRPGTMVIESFVVDVPEGNTNDETCYFVEALIRCNLKSLADVSERMAVLDQRESINPY >EOX92953 pep chromosome:Theobroma_cacao_20110822:1:9571955:9575488:-1 gene:TCM_001814 transcript:EOX92953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated gene 12 MAFKNLQLHQCICLAFIFIVGALVCEATSRTLQDASMYERHEQWMARYGRVYHDNNEREQRFNIFKENVAHIDSFNRAKDKPYKLGVNQFADLTNEEFTASRNRFKGHMCSNKATTFKYENLTALPSTVDWRKKGAVTPIKDQGQCGCCWAFSAVAAMEGVTKLTTGKLISLSEQELVDCDTKGEDQGCQGGLMDDAFQFIQNNKGLTTESDYPYKGVDGTCNTNKEANHAAKINGFEDVPANSEDALQKAVANQPVSVAIDAGGFKFQFYSGGVFTGDCGTALDHGVTAVGYGVDDDGTKYWLVKNSWGTSWGEEGYIRMQRDVDAKEGLCGIAMQASYPTT >EOX93852 pep chromosome:Theobroma_cacao_20110822:1:16742138:16748279:-1 gene:TCM_002829 transcript:EOX93852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSVVTAIAFDSVGAVASLIALSVNAVFVSSVEDSRVDAFYPVGAMMVTGDAMAASAGECSIILSSCFAGAKSVHPMGRPNWCMAFIWIHL >EOX96732 pep chromosome:Theobroma_cacao_20110822:1:38806870:38809720:-1 gene:TCM_005921 transcript:EOX96732 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 26 isoform 3 MFYVQSQFLKAGSEIFYCPWQIPGNVGCIARTCAASAVGVHLVGPLGFKVDDTKLKRAGLDYWPYVVVRVHHSWTEFQDYFKQQEGKKRLLAFTKRGTAIHSDFSYRKGDYLIFGSETCGLPPDILLDCKSETFGGGTIRIPMVDTYVRCLNLSVSVGIALYEASRQLNYEQLQGPSGNCSDTEQSFLTEDIFA >EOX96731 pep chromosome:Theobroma_cacao_20110822:1:38806853:38810107:-1 gene:TCM_005921 transcript:EOX96731 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 26 isoform 3 MERGTLKSLNAVTATPLRSHFLSYRPSLIASFYGNGAQKPKTKFHPALSFQRRSPLCSMSETGNGNSLPGGIGKAVSQLSQNKLLQVVLVSPQIPGNVGCIARTCAASAVGVHLVGPLGFKVDDTKLKRAGLDYWPYVVVRVHHSWTEFQDYFKQQEGKKRLLAFTKRGTAIHSDFSYRKGDYLIFGSETCGLPPDILLDCKSETFGGGTIRIPMVDTYVRCLNLSVSVGIALYEASRQLNYEQLQGPSGNCSDTEQSFLTEDIFA >EOX96733 pep chromosome:Theobroma_cacao_20110822:1:38806870:38809720:-1 gene:TCM_005921 transcript:EOX96733 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 26 isoform 3 MVDTYVRCLNLSVSVGIALYEASRQLNYEQLQGPSGNCSDTEQSFLTEDIFA >EOX94051 pep chromosome:Theobroma_cacao_20110822:1:19474857:19475717:1 gene:TCM_003131 transcript:EOX94051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNASVTDSHKAVEESGCETGAKHKAVEDGGGRKKKKTLLQKINRCEEVGFWQDRPAEEGGSGARVSLARERERLRQMLVKGRVEEMERERKSENGNCIPLIH >EOX91752 pep chromosome:Theobroma_cacao_20110822:1:3711649:3713818:-1 gene:TCM_000836 transcript:EOX91752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRKKVHSQGNIPFSWEDKPGVSKVTHYDDQCPIVIGLCALNQTPSPSDAGGSKILVHDKKVPPPPCTMQLVPKRSTSVKGLRWWQEDPFLAAYKECTKGGGNGKWSSEGRKTDGSNVRKKKTITFSCKNSCDVRGDNLVRLSNLPPLPKDRIRGRQEFV >EOX94783 pep chromosome:Theobroma_cacao_20110822:1:31339274:31340238:1 gene:TCM_004391 transcript:EOX94783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHFVINGWVDDQVEYTIVKAQLSAVGTAFVIWGRIDKDLSKVAIIKNVPEIILAGLSAKDHINGSTSDKYTFAHDVIKINNRPGHYLLFGEVVAKFLSMRQMGEVSKQRALVWGGGGLGFNKVTEHPTSGDLGSFGPRAHDVI >EOX93191 pep chromosome:Theobroma_cacao_20110822:1:11118142:11123290:1 gene:TCM_002030 transcript:EOX93191 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRS (FAR1 Related Sequences) transcription factor family MIKVRENQNAISNMVDCRDAVPCIDGVNENMVDIVDEAAHGRDGAIVDSSKRAVIGFEGDTDFEPRNGIEFESHEAAYAFYQEYAKSMGFTTSIKNSRRSKKSKEFIDAKFACSRYGVTPESDVGSSRRSSVKKTDCKASMHVKRRPDGKWIIHEFVKEHNHELLPALAYHFRIYRNVKLAEKNNIDILNAVSERTRKMYVEMSRQSGGYQNVSLLQNDIKDQFDKGRHLVVDEGDAQIMLEYFKRIKKENPDFFYAIDLNEEQRLRNLFWVDAKSRKDYASFSDVVSFDTTYVKFNEKLPFAPFVGVNHHFQSMLLGCALLADETKPTLVWLMKTWLRAMGGQAPKVIITDQDKALKAAVQEVFPTARHCFALWHILEKIPKSLAHVIGQHENFLPKFNKCIFKSWTDEGFDMRWWKMITRFELQDDEWVQSLYEDRKRWVPTFMDDVFLAGMSTSQRSESMNSFFDKYIHKKITLKEFVKQYGAILQNRYEEEAVADFDTWQKQPALKSPSPWEKQMSIVYTHAIFKKFQVEVLGVVGCHPKRENEDEGTITFRVQDCEKDENFLVIWNEEKSEVSCSCHMFEYRGFLCRHAMIVLQMCGRTSIPPCYILKRWTKDAKSGQSTAEGTDRVQTRVQRYNELCKQAIELSEEGSLSEESHNIAFRALVEALKNCVNVNNSCISAVESVGHAHGLRETVEENQGSLASKSSKKKNTNKKRKGQSEPALMFVDAQDSLQQMENLSSDGISLNGYYGAQQNVQGLVQLNLMEPPHDGYYVNQQSMQGLGQLNSIAPSHDSFFGTQQSMHGLGQLDYRPPASFSYALQDEPQLRSTQLHGGVSRHP >EOX95693 pep chromosome:Theobroma_cacao_20110822:1:35257876:35262174:1 gene:TCM_005139 transcript:EOX95693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 2 MGEKSKNTLLFSSSIILLLLSLSAAVSTPFQLQTLVPRTLPSPSTLSGQDSELESDSLVETSDLDTVNSNTTLEVQLELHHVDAFSSEEIPERLFDLRLQRDELRAETINSLVAKAVARNPPRAPGRRSGFSSSIISGLAQGSGEYFTRLGVGTPPRYLYMVLDTGSDVVWVQCSPCKKCYSQSDPIFDPTKSRSFSGIPCGSPLCRSLDSSGCNQRRMCLYQVSYGDGSVTFGDFSTETLTFRRTRVGRVAIGCGHDNEGLFVGAAGLLGLGRGRLSFPSQTGRRFNQKFSYCLVDRSASSRPSSLVFGDAAVPRAAMLTPLLTNPKLDTFYYIELLGISVGGIRVPRITPSLFKMDQAGNGGVIIDSGTSVTRLTRPAYIAMRDAFRIGASNLKGAPDFSLFDTCFDLSGKTSVKVPTVVLHFRGADVSLPATNYLIPVDGSGTFCFAFAGTMSGLSIIGNIQQQGFRVSYDLAGSRIGSRECCLAVFTCCLLYFIYDDGSTQGNCYSNIGLVQWYCNRDSCGRYMKFCDEGGMLCRCQGLFCCFAIIKMTKKYF >EOX95694 pep chromosome:Theobroma_cacao_20110822:1:35258209:35261203:1 gene:TCM_005139 transcript:EOX95694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 2 MGEKSKNTLLFSSSIILLLLSLSAAVSTPFQLQTLVPRTLPSPSTLSGQDSELESDSLVETSDLDTVNSNTTLEVQLELHHVDAFSSEEIPERLFDLRLQRDELRAETINSLVAKAVARNPPRAPGRRSGFSSSIISGLAQGSGEYFTRLGVGTPPRYLYMVLDTGSDVVWVQCSPCKKCYSQSDPIFDPTKSRSFSGIPCGSPLCRSLDSSGCNQRRMCLYQVSYGDGSVTFGDFSTETLTFRRTRVGRVAIGCGHDNEGLFVGAAGLLGLGRGRLSFPSQTGRRFNQKFSYCLVDRSASSRPSSLVFGDAAVPRAAMLTPLLTNPKLDTFYYIELLGISVGGIRVPRITPSLFKMDQAGNGGVIIDSGTSVTRLTRPAYIAMRDAFRIGASNLKGAPDFSLFDTCFDLSGKTSVKVPTVVLHFRGADVSLPATNYLIPVDGSGTFCFAFAGTMSGLSIIGNIQQQGFRVSYDLAGSRIGFTPRGCE >EOX95175 pep chromosome:Theobroma_cacao_20110822:1:33196615:33197819:-1 gene:TCM_004732 transcript:EOX95175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein binding protein MSKAGTCYYSVLGICKQASASEIRDAYRRQALKWHPDRWTRNPKVAGEATKRFQQIQEAYSVLSDKGKRRIYDAGLVGLLADDDDEGFLNFMQEMVLIMQNVKSQEGNNLEDLRGLLMDMMADDERRKFGFDWDSSQTARKRARFADA >EOX96655 pep chromosome:Theobroma_cacao_20110822:1:38552685:38556575:-1 gene:TCM_005857 transcript:EOX96655 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-type peptidyl-prolyl cis-trans isomerase family protein MEDVKEQPSQSHGQDDENEIVTENTAFVHGEPTQDASGPPKVDSEVEILHEKVTKQIIKEGNGQKPSKYSTCFLHYRGWAETTQHKFEDTWHEQQPLEMVLGKEKKEMTGLAIGVSSMKSGERALLHVGWELGYGKEGSFSFPNVPPMADLLYEVELIGFDETKEGKARGDMTVEERIGAADRRKMDGNALFKEDKLEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKHYEEAIGQCSMVLAEDENNVKAMFRRGKARAELGQTDAAREDFLKARKYAPQDKAIARELRLLAEHDKAIYQKQKEFYKGIFGPRPEPKPKRTNWLIFLWQWLVSLFYRIIRRERVKAD >EOX95071 pep chromosome:Theobroma_cacao_20110822:1:32800328:32805745:-1 gene:TCM_004650 transcript:EOX95071 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MSSRSSRTLYVGNLPGDVREREVEDLFYKYGPIAHIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYDFGGHRLRVELAHGGRGRSSVDRHSSFSGGRGRGPSRRSEYRVLVTGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYDDMKYAIKKLDDSEFRNAFSRAYVRVREYDSKRDSSRSPSRGRSLSRSRSRSRSRSRSRSRSRSRGRSYSRSKSHSKSPRAKPSRKSPAKSRSRSASRSRSASRTRSLSRILWEYGSIACNSFGCRDLEQRRQWTAIIELDFFTRNCNSRAGFQSRSRSRSPLPSRQKGKSKSPKRRTVSRSPSGSRSRSRSKSLSR >EOX95073 pep chromosome:Theobroma_cacao_20110822:1:32801322:32805358:-1 gene:TCM_004650 transcript:EOX95073 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MSSRSSRTLYVGNLPGDVREREVEDLFYKYGPIAHIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYDFGGHRLRVELAHGGRGRSSVDRHSSFSGGRGRGPSRRSEYRVLVTGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYDDMKYAIKKLDDSEFRNAFSRAYVRVREYDSKRDSSRSPSRGRSLSRSRSRSRSRSRSRSRSRSRGRSYSRSKSHSKSPRAKPSRKSPAKSRSRSASRSRSASRTRSLSRYG >EOX95072 pep chromosome:Theobroma_cacao_20110822:1:32800310:32805358:-1 gene:TCM_004650 transcript:EOX95072 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MSSRSSRTLYVGNLPGDVREREVEDLFYKYGPIAHIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYDFGGHRLRVELAHGGRGRSSVDRHSSFSGGRGRGPSRRSEYRVLVTGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYDDMKYAIKKLDDSEFRNAFSRAYVRVREYDSKRDSSRSPSRGRSLSRSRSRSRSRSRSRSRSRSRGRSYSRSKSHSKSPRAKPSRKSPAKSRSRSASRSRSASRTRSLSRSRSRSRSPLPSRQKGKSKSPKRRTVSRSPSGSRSRSRSKSLSR >EOX90987 pep chromosome:Theobroma_cacao_20110822:1:1249733:1253808:-1 gene:TCM_000304 transcript:EOX90987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine-zipper 44, putative MATSSTGASSASSTMMRSSSTDENLQQILDEKKRKRKLSNRESARRSRIRKQKHLDDLMGQVSQLTKDNNQILTSMNITTQLYLNVEAENSVLRAQMTELSTRLQSLYEIIDFINSSNGVFENDHNFEAAHHHHQINDDTFINPWTSFSVNQHVMASADMIMN >EOX96097 pep chromosome:Theobroma_cacao_20110822:1:36593167:36596939:-1 gene:TCM_005430 transcript:EOX96097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA adenine dimethylase family protein MTTATHLCHSLPPIASLSTATVPACNSSAGARTQMPFLRIACASTRSQDDDYHATLKALNSKGRFPRKSLGQHYMLNSEINEQLTRAANVEEGDVVLEIGPGTGSLTKVLISSGATVLAIEKDPHMVDLLRERFESTDGFKVLQEDFVKCHIRSHMFPMLENRKGLNTSSTRAKVVSNIPFNISTDVVKQLLPMGDIFSEVVLLLQEETAVRLVESSLRTSEYRPINIFVNFYSEPEYKFRVPRTNFFPQPNVDAAVVTFKLKQAPDYPSVASTKSFFSMVNSAFNGKRKMLRRSLQHICPSNEIERALGDAGLPTTSRPEELTLDDFVKLHNLIELNGGQRCKSLVDLQCLSQLSKAMPQSQKVSRGQEIT >EOX93719 pep chromosome:Theobroma_cacao_20110822:1:15421453:15422297:1 gene:TCM_002646 transcript:EOX93719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTIYMDNDGVVKMGKGSETSNPLVDIVGKDNRCTRNGYKIEKEGSTTILEVLYGTRRAGLRIEKVWFQMKRRPHEFSYLEGG >EOX94152 pep chromosome:Theobroma_cacao_20110822:1:23664832:23675873:-1 gene:TCM_003485 transcript:EOX94152 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family isoform 2 MKMGKWLRIGALVGAIAIAKQYGGQLGLGLGLDKDAALRLFQQWSDRLGIWAIPLYVALHTLTLSLCLPYAVFFEAGASLLFGFFPAVLCVFSAKLLGASLSFWIGRLVFRSSSSAMDWVQRNKYFHLLSQGVERDGWKFVLLARFSPIPSYVINYALAATKVGFVVDFLLPTVIGCLPMILQNTSIGSLAGAAVATASPSEKSVVWSYILPILGVVSSILISLKIKKYSTDITLAESSSGDHINSSNMVDSCHCCNGGEGSNGLKKSQ >EOX94153 pep chromosome:Theobroma_cacao_20110822:1:23668455:23675874:-1 gene:TCM_003485 transcript:EOX94153 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family isoform 2 MKMGKWLRIGALVGAIAIAKQYGGQLGLGLGLDKDAALRLFQQWSDRLGIWAIPLYVALHTLTLSLCLPYAVFFEAGASLLFGFFPAVLCVFSAKLLGASLSFWIGRAGFQKFKFCNGLGPEKQILPSPFPRSRTRWLEICPSCTILSHTFVCHQLCSSCHKSWICSGFSASYCYWLSANDLAEHFHRQPCWCCCCDSISL >EOX92477 pep chromosome:Theobroma_cacao_20110822:1:7087020:7088049:1 gene:TCM_001426 transcript:EOX92477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKQWPFPSILSLPEPSSLWKESLISATQSAFGIPCEISKPFTLELARKRAGEANSKPVVDPLLFDSAEPLTEPVD >EOX96282 pep chromosome:Theobroma_cacao_20110822:1:37212400:37214218:-1 gene:TCM_005560 transcript:EOX96282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase family protein FMO2, putative MAQSYNVAVIGAGTAGLMTARELQRERYRVTVFEKSNNVGGTWLYNPRVATDPLGIDPNREIVHTSLYRSLRVNLPRHIMGFLDYPFVKKEGGDPRSFPGHEEVLRFLEDFARDFGLVKSIRFGHEVLRVEQVDEASHEWVVESRTRGTESRWESREEVFEAVVVCSGKHTEPKIAEFPGRMLGQDCKCIAITIGLLNSLKISASDILKEVSPLAKQVHQGVRGPGFQLKKLENHKNTWQHSMIECARKDGNVVFQDGSIVDADVLIHCTGYKYHFPFLRSNGIITVENNRVGPLYKHVFPPSLAPWLSFVGLPNRVNFSRPFVWNASEALYSWLINVSEQEK >EOX95413 pep chromosome:Theobroma_cacao_20110822:1:34137427:34147309:1 gene:TCM_004921 transcript:EOX95413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 9 MESPSLLFGTPSAVHSLCKSQSYKIKILKDVSGIIKPSRMTLLLGPPGCGKTTLLQALAGKLNPSLKVTGEISYNGYKFTDFVPQKTSAYISQYDLHIAEMTVREILDFSARCQGIGSRADMLKEVSRREKQSGIVPEPDIDTYMKAISIEGLKRTLQTDYILKILGLDICADTIVGDAMNRGISGGQKRRLTTGEMIIGPKKALFMDEISTGLDSSTTFQIVTCLQQLTHITEATLLISLLQPAPETFDLFDDIILMAEGKIVYSGPRSSVQEFFEHCGFRCPPRKGVADFLQEVLSKKDQAQYWYHKDRPHSYVSVDKFIVAFKKFHAGQKLNEELCTPFNKCESHKSALSFKIYSLGKWELFKACMAREWLLMKRNSFVYVFKSAQLVVVALIAMTIFIRTRMKLDMVHASYYLGSLFYALIRLMCNGIAELAITVSRLSVFYKQRDFYFYPAWAYSIPAAILKIPFSLLDAFLWTAVTYYVIGYSPEPERFFRQLLLLFLVHQVAVSLFRLIASVVRDPSFAANFGLFTLLVIFLFSGFIIPRPLLPAWVEWGFWLSPLAYSEIGIAVNEFLAPRWQKVSTSNATLGQQVLSKRGLNFSDYYYWISIGALIGFWMIFNIGFTFALSLLKSPGSSRAIISHERFSYLKGKEDLTDRVQEKELPSVDSLKAVPETKTMGMVLPFEPITISFENVQYFVDTPKKLREKGFPQKRLQLLQDINGAFRPGILTALMGVSGAGKTTLMDVLSGRKTGGNIEGEIRIGGYPKAQETYARISAYCEQTDIHSPLITVEESVRYSAWLRLPTEIDEHKRSEFVAEVLQVIELDDIKDALVGIPLVSGISPEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRVVKNIVNTNRTIVCTIHQPSIDIFEAFDELILMKRGGQMIYSGELGQHSSRLIQYFEGIPGVPKIKENHNPATWMLEVTTPSVEAQLGIDFAHFYKESHLYQRNKEIVKGLSLPAQGSEQLHFSTPFPQNGWEQVKACLWKQHLSYWRSPKYNLVRLAFTILSSWLYGALLWQKGQNLQDEQDFFNIMGSMYIFMIFTGISNCSSVLPFVATQRTVFYRERFARMYSSWAYSLAQVIIEIPYIFLEAVLFLTITYPAVNFYGSAYKVFWYFYTMFCTLLYYKYLGMMLVSLTPTYQVATIFASFSYTLLSLFSGYLIPGPKFPKWWVWGYWICPTSWSLKGLLTSQYGDIKDEIIAFGEQKSLNAFLDSQYGYKHQDLPIIAIALLAFPLVFASVFTYGIAKLNFQRR >EOX96498 pep chromosome:Theobroma_cacao_20110822:1:38020802:38024146:-1 gene:TCM_005732 transcript:EOX96498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Atypical CYS HIS rich thioredoxin 2 MADVIRLSSSLLIHSLRFSSPSLLTSFASTSNSPPPCLSTNRNLDNIIYPLSYSSSSSGLGRLAFTTRGQSLTFKVHATVDKTEQPKWWERNAGPNMIDIHSTQELLNALSQAGDRLVIVEFYGTWCASCRALFPKLCKTAEEHPEIVFLKVNFDENKPMCKSLNVKVLPYFHFYRGADGQLESFSCSLAKFQKIKDAIEMHNTARCSIGPPKGVGDLNLESVSAPIDKPTGST >EOX95266 pep chromosome:Theobroma_cacao_20110822:1:33602430:33605240:1 gene:TCM_004816 transcript:EOX95266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 6 MERQRSFSFKSTRFLVFSFTVSFSFIFLVFFFTWVVKSNPSVHQATHFQFNKSFVNLGGHKPVTVESLSSSISNDSAGTVKRSTVKDSPFVKPENASGSDKFSGLKEIQRQESESEVLEDGDSELEDPETDQEGAPGPSIEDIEVESSELTEKDEIRANSVDKLELPSSSENEEAKKTRVTSVDKNEVPSNGKIEEKKINSCDITRGKWVYDESYPLYTNGSCSFIDEGFNCDSNGRRDRHYMKWRWQPQDCDFPRFNAEKMLGLIRGKRLVFVGDSINRNQWESMLCMLMKAVRDPKKVYETHGRRITKEKGNYSFKFVDYKCTVEYYVSHFLVHESKARIGQKRKPTLRIDAIDHGSSRWRGADILVFNTAHWWSHYKTKAGINYYQEGNQVHPRLDVSTAFRRALMTWASWVDRHINPGKTQVFFRNSAPSHFRGGQWNSGGHCTEAAWPLNGTLGMNYPEKNKIVEEVILQMKTPVTLLNVTGLSAYRIDGHPSIYGKKPRNRYSSNIQDCSHWCLPGVPDTWNEILHFHLQSKQKHKSHSRFGL >EOX91649 pep chromosome:Theobroma_cacao_20110822:1:3427734:3428390:1 gene:TCM_000772 transcript:EOX91649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWPDLATMTPDRRFPTAGSGRGVPDPTMGSGWEAPDPIDAFRSYSVAVVVVTVVSGVAGVEFQLERE >EOX94349 pep chromosome:Theobroma_cacao_20110822:1:28017912:28022780:1 gene:TCM_003941 transcript:EOX94349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase A4 isoform 1 MASSTMAGFGSLAVDENRPPTRQSSGKICKVCGDEIGHKEDGALFVACHVCGFPVCRPCYEYERSEGTQCCPQCNTRYKRLKGSPRVAGDEEDNSDQDDFDDEFQTKNRKDDSDRQHENGDYNNQQWHPNGQAFSVAGSTAGKDFEGDKEIYGSAEWKERVEKWKVRQEKRGLMSNDDGGNDQAEEDDYLMAEARQPLWRKVPIPSSLINPYRIVIVLRFIVLVFFLRFRILTPAYDAYPLWLISVICEVWFAFSWILDQFPKWLPITRETYLDRLSLRFEREGEPNQLGSVDVFVSTVDPLKEPPIITANTVLSILAVDYPVEKVTCYVSDDGASMLLFDTLSETAEFARRWVPFCKKHNVEPRAPEFYFSEKIDYLKDKVHPSFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNITRDHPGMIQQVYLGSAGALDVDGKELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLSNAPFILNLDCDHYINNSKALREAMCFLMDPQFGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMLGLDGLQGPVYVGTGCVFNRQALYGYDPPVSEKRPKMTCDCWPSWCCCCCGGSRKKSKKKGEKKGLLGGLLYTKKKKMMGKNYVRKGSAPVFDLEEIEEGLEGYEELEKSSLMSQKNFEKRFGQSPVFIASTLMENGGLPEGTNTTSLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCVPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGKLKRLERLAYINTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLSNFTSVWFLALFLSIIATGVLELRWSGVSIQDWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTSKAADDAEFGELYLFKWTTLLIPPTTLIILNMVGVVAGVSDAINNGYGSWGPLFGKLFFAFWVILHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFLPKQKGPVLKPCGVEC >EOX94348 pep chromosome:Theobroma_cacao_20110822:1:28016297:28023468:1 gene:TCM_003941 transcript:EOX94348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase A4 isoform 1 MASSTMAGFGSLAVDENRPPTRQSSGKICKVCGDEIGHKEDGALFVACHVCGFPVCRPCYEYERSEGTQCCPQCNTRYKRLKGSPRVAGDEEDNSDQDDFDDEFQTKNRKDDSDRQHDGQILQENGDYNNQQWHPNGQAFSVAGSTAGKDFEGDKEIYGSAEWKERVEKWKVRQEKRGLMSNDDGGNDQAEEDDYLMAEARQPLWRKVPIPSSLINPYRIVIVLRFIVLVFFLRFRILTPAYDAYPLWLISVICEVWFAFSWILDQFPKWLPITRETYLDRLSLRFEREGEPNQLGSVDVFVSTVDPLKEPPIITANTVLSILAVDYPVEKVTCYVSDDGASMLLFDTLSETAEFARRWVPFCKKHNVEPRAPEFYFSEKIDYLKDKVHPSFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNITRDHPGMIQVYLGSAGALDVDGKELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLSNAPFILNLDCDHYINNSKALREAMCFLMDPQFGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMLGLDGLQGPVYVGTGCVFNRQALYGYDPPVSEKRPKMTCDCWPSWCCCCCGGSRKKSKKKGEKKGLLGGLLYTKKKKMMGKNYVRKGSAPVFDLEEIEEGLEGYEELEKSSLMSQKNFEKRFGQSPVFIASTLMENGGLPEGTNTTSLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCVPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGKLKRLERLAYINTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLSNFTSVWFLALFLSIIATGVLELRWSGVSIQDWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTSKAADDAEFGELYLFKWTTLLIPPTTLIILNMVGVVAGVSDAINNGYGSWGPLFGKLFFAFWVILHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFLPKQKGPVLKPCGVEC >EOX95176 pep chromosome:Theobroma_cacao_20110822:1:33201483:33202971:1 gene:TCM_004733 transcript:EOX95176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENEEDHKFSGQRIDFAQLLQDDEAFENVFFPPISMDYNPMNINEYIDFNELHQLLNEEKSAEGVQFDVRNEGGVNRGHGRNRVAFRINNGGLMNAERKVEENVKKQVTKKSCVKGSVEAAQMRELTKIRNRESASRAFAKKKAYIQELELEVQTLRKKNANLKKLLHLFSESCPSLDMTQKQLRRTTSGPM >EOX95643 pep chromosome:Theobroma_cacao_20110822:1:35028711:35028982:1 gene:TCM_005097 transcript:EOX95643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFYLGSPTSLQKTSIAMENFLPACSTATHRWHHPAFSSLDHVTHPPHWLVGTYQIIMSCLLPLTIEDLWILSCHAYYH >EOX94026 pep chromosome:Theobroma_cacao_20110822:1:19033306:19039427:-1 gene:TCM_003085 transcript:EOX94026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFQLRIAHSTELVEVFCCGYVCRHDVPYELMDARLVHGSRSSKLGCNNRVGSAHADNDDVVIITIVHVRGGQCTCRLVTSKHYRWESEAKLIPPSLLTTIPTGT >EOX93872 pep chromosome:Theobroma_cacao_20110822:1:16954653:16955113:1 gene:TCM_002856 transcript:EOX93872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERKIATATFVLLLFLMATLLTQGGRDMPATDQVYGPQDLLGPWGLPLFGWPFPFFGPPWLGGLLPFLGAQLHNASGQHTEVTKGAGNAIDQSP >EOX90853 pep chromosome:Theobroma_cacao_20110822:1:818303:818968:1 gene:TCM_000208 transcript:EOX90853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase NTRB MDSPLRKKVCIIGSGPAARTVAIYTARAELKPILFEGWMANDISPGGQLTTTTDVKNFLGFPDGIMGMELMDVHHSATRKFIVWSIFR >EOX91638 pep chromosome:Theobroma_cacao_20110822:1:3382352:3384526:1 gene:TCM_000762 transcript:EOX91638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class III peroxidase MGQVINLAVVLSLSLCFCLLPDTASAQLKRNYYANICPNVENIVRGAVAKKFSQTFVTVPATIRLFFHDCVVQGCDASVIITSSGGNTAEKDHPDNLSLAGDGFDTVIKAKEAVDAVPSCRNKVSCADILAMATRDAIALAGGPSYAVELGRLDGLSSTAASVNGKLPQPFFNLNQLNSLFAAHGLNQADMIALSAAHTVGFSHCSKFANRINNFSRQNPVDPTLNRAYASQLQQMCPRNVDPRIAINMDPNTPTKFDNVYYQNLQQGKGLFTSDQVLFTDQRSKPTVNAWATNSQAFQQAFVTAITKLGRVGVKTGKNGNIRRNCAAFN >EOX94697 pep chromosome:Theobroma_cacao_20110822:1:30941533:30944306:1 gene:TCM_004309 transcript:EOX94697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokine-induced anti-apoptosis inhibitor 1, putative isoform 2 MDSTSERSTVLALTDDAGYALNALNAIRDFENEDAKRSEPLIIMQASSLAKLPLESSSVDKVISLSTSVEFPVEELFPEIFRVLTPGGMLVVYRSFQPGTNEVDKVMSAIERNLLLAGFLEEERFQLKPIAQSFGVKAKKPSWKIGSSFAIKRTMKSPLKIQVDDDSDLIDEDSLLTEEDFKKPQLPPVGDCEVGSTRKACKNCTCGRAEQEETVQKLELTMDQLNNPQSACGNCGLGDAFRCSTCPYKGLPPFKLGEKVSLSGNFLTADI >EOX94699 pep chromosome:Theobroma_cacao_20110822:1:30942087:30944102:1 gene:TCM_004309 transcript:EOX94699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokine-induced anti-apoptosis inhibitor 1, putative isoform 2 MQASSLAKLPLESSSVDKVISLSTSVEFPVEELFPEIFRVLTPGGMLVVYRSFQPGTNEVDKVMSAIERNLLLAGFLEEERFQLKPIAQSFGVKAKKPSWKIGSSFAIKRTMKSPLKIQVDDDSDLIDEDSLLTEEDFKKPQLPPVGDCEVGSTRKACKNCTCGRAEQEETVQKLELTMDQLNNPQSALWTR >EOX94698 pep chromosome:Theobroma_cacao_20110822:1:30941533:30944761:1 gene:TCM_004309 transcript:EOX94698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokine-induced anti-apoptosis inhibitor 1, putative isoform 2 MDSTSERSTVLALTDDAGYALNALNAIRDFENEDAKRSEPLIIMQASSLAKLPLESSSVDKVISLSTSVEFPVEELFPEIFRVLTPGGMLVVYRSFQPGTNEVDKVMSAIERNLLLAGFLEEERFQLKPIAQSFGVKAKKPSWKIGSSFAIKRTMKSPLKIQVDDDSDLIDEDSLLTEEDFKKPQLPPVGDCEVGSTRKACKNCTCGRAEQEETVQKLELTMDQLNNPQSACGNCGLGDAFRCSTCPYKGLPPFKLGEKVCISIRKLSYSGHLNLKDGFW >EOX93079 pep chromosome:Theobroma_cacao_20110822:1:10286977:10291974:-1 gene:TCM_001924 transcript:EOX93079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 VVLSLWVAAVIGSWCNFLTVLYIGRYKVKKRSRSRWMQLPHTLYRFFLFNHVIHKNFGCNRLALWVDTCSNHNTYLGVFAATKTSGNVYAILPQILKPGDQAIIHYLIRPCKVIHRRSNRQLQYQSWQGGTIVIYKNGETKSNCIHCATCL >EOX93078 pep chromosome:Theobroma_cacao_20110822:1:10286880:10287883:-1 gene:TCM_001924 transcript:EOX93078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MTWLKRKNRERRYKVKKRSRSRWMQLPHTLYRFFLFNHVIHKNFGCNRLALWVDTCSNHNTYLGVFAATKTSGNVYAILPQILKPGDQAIIHYLIRPCKVIHRRSNRQLQYQSWQGGTIVIYKNGETKSNCIHCATCL >EOX93080 pep chromosome:Theobroma_cacao_20110822:1:10287089:10288588:-1 gene:TCM_001924 transcript:EOX93080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MQLPHTLYRFFLFNHVIHKNFGCNRLALWVDTCSNHNTYLGVFAATKTSGNVYAILPQILKPGDQAIIHYLIRPCKVIHRRSNRQLQYQSWQGGTIVIYKNGETKSNCIHCATCL >EOX94701 pep chromosome:Theobroma_cacao_20110822:1:30947992:30950545:-1 gene:TCM_004312 transcript:EOX94701 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOW domain-containing protein MGWKAAEKLIRHWKVLRGDNVMIIRGKDKGETGIIKRVIRSQNRVIVEGKNLVKKHIKQGPGHEGGIFTVEAPLHASNVQVVDPVTGKPCKVGVRYLEDGTKVRVSRGIGASGSIIPRPEILKIRTTPRPTVAGPKDTPMDLVLEKTYDSKTGKGMPDL >EOX91432 pep chromosome:Theobroma_cacao_20110822:1:2714184:2714926:-1 gene:TCM_000630 transcript:EOX91432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQVVEEEPNKNYFSIWSVFLMMINAVQELIRSFTIVKEGSDYQEGWLLIVFRVIGLVVPGIPAHCPQDYVNSTRLGSPEAFLPQRRQTSTDDVALLL >EOX96227 pep chromosome:Theobroma_cacao_20110822:1:37061899:37062992:-1 gene:TCM_005522 transcript:EOX96227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLVWSADTASKAYIDTVKSCQNFKESGLAELLSAMAAGWNSKLIVESWSYGGPIATSVGLAIAAHHTCGRHVCIVPDEWSRSGYVKAMHAAGIASTVVIVGEAEEVMEGLAGVDFLVVDSKRKDFARVIRFAKLNHKGAVLACKNACHKGFSGFRWHEVLQRGTRVVRSVLLPVGQGVDIAHIGAQGGGVGSKKSPSRWIKYTDQQSGEEHVFRD >EOX94177 pep chromosome:Theobroma_cacao_20110822:1:24475526:24493318:-1 gene:TCM_003565 transcript:EOX94177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPVGEFGGLGWVDLQLKNKALLNKWILRFDNEKDNLWRRLLVNKEGGDHGIEPQMIFGKSKGYAGVNSYRGVFIDELGAIKVLFSKAIEIAYVNTIEVLVIREAFKIFRASRWVRSHALIVESDSSNAVSWFHNPKKAPWKLRRELLILEGIKRRIGECKVNKISRENNSMVDELAKSGVTREEELLFFLRIKGLENKERCVVSNMADV >EOX94482 pep chromosome:Theobroma_cacao_20110822:1:29045684:29047012:1 gene:TCM_004079 transcript:EOX94482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGMNVNNGHSTRFWVDNWLSCAPLIKQVTRELFEVEAELPIASYCNEFGNWDIEVLSQALPYDIVLMIMAVAIDPTTKERDAVFWKLKSTGEFLVKTAYDVQSTQSLFKSSYWKQIW >EOX91612 pep chromosome:Theobroma_cacao_20110822:1:3292386:3296136:-1 gene:TCM_000745 transcript:EOX91612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein MLEICMRPLEKCFGGGDRRDELLWHIDLKPHAAGDYSIAVVQANSSLEDQGQVFTSPSATYIGVYDGHGGPEASRFITHHLFPFLHKFASEHGGVSAEVIRKAFEATEEEFLRLVKRSWPSRPQIASVGSCCLVGAIANGVLYVANLGDSRAVLGRGGSPNGTNNQLVAERLSTDHNVGVEEVRKEVVALHPDDSHIVVNNRGVWRIKGIIQVSRSIGDIYLKKPEFNRDPLFQQFGLPIPLKRPVMTAEPSILIRKLKPEDLFLIFASDGLWEQLSDQAACEIVLKSPRAGIAKRLVRAALKEAAKKREMRYQDIKRIEKGVRRHFHDDITVIVMYLDHPLSSSNGRLKDRNFVDWTSAPVDIFSPNADEADVSFHPVH >EOX90956 pep chromosome:Theobroma_cacao_20110822:1:1173801:1175988:1 gene:TCM_000284 transcript:EOX90956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFGALRTIIRPLSRTILSRAPPASVTTSSLSSSLCPKPGFSSFLGGSFYRQSPWIPISNQLHSLTDTRLPKRRPQDKPRRKRASLKPPGPYAWVKYTPGEPILPNNPNEGSVKRRNEKKRMRLRRAFKLSSCRDGSSKYVL >EOX91735 pep chromosome:Theobroma_cacao_20110822:1:3647178:3649849:-1 gene:TCM_000822 transcript:EOX91735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKKASKESKLSRYLKAPLKILIKARDFYIKSMTEYSDRINYGTIMGCPTGQVNTLPRSYSVSSAKSSNGDDDLRELIRAASTRSLGNKVQLDLLRRQQARQSPVTGANNVPRSHSVGIGRIDEDKPCDFEEDIKVKTDALPRSRSYAVAKRNGAFF >EOX95391 pep chromosome:Theobroma_cacao_20110822:1:34034017:34038639:1 gene:TCM_004903 transcript:EOX95391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MALVVYWYDFICFGIVVAAFIGSLWVLWRKEAASRCEDNSVYESLLAARPDADGFVRATPRAHVGSNQLWTSCWKGVHPGWLVLTRFVSLAVMAGFLSWDIVEWDATIFVYYTEWTFALVLVYFALGTVISAYGCWVCLSTPLPENGARDEFLKRDFEEGTTANSVNYQEKDVRDKIKLQSHYAQEEFQQRAGFWGYLMQTIYQTCGGAVILTDIVFWCIIVPFLSNSHLGLNMLMGCMHTLNAVFLILDTVLNSLPFPWFRLAYFVQWSCLYVVFQWVLHACGFTWWPYPFLELDTPWAPLW >EOX95390 pep chromosome:Theobroma_cacao_20110822:1:34033724:34038874:1 gene:TCM_004903 transcript:EOX95390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MALVVYWYDFICFGIVVAAFIGSLWVLWRKEAASRCEDNSVYESLLAARPDADGFVRATPRAHVGSNQLWTSCWKGVHPGWLVLTRFVSLAVMAGFLSWDIVEWDATIFVYYTEWTFALVLVYFALGTVISAYGCWVCLSTPLPENGARDEFLKRDFEEGTTANSVNYQEKDVRDKIKLQSHYAQEEFQQRAGFWGYLMQTIYQTCGGAVILTDIVFWCIIVPFLSNSHLGLNMLMGCMHTLNAVFLILDTVLNSLPFPWFRLAYFVQWSCLYVVFQWVLHACGFTWWPYPFLELDTPWAPLWYFALAVVHIPCYGIYALIVKAKNSILPRLFPHAFVRSYSY >EOX92170 pep chromosome:Theobroma_cacao_20110822:1:5585691:5587425:1 gene:TCM_046683 transcript:EOX92170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein MLLGKRSRHPIKRTTSMTGITVDVSNVEDVQEPLIISDPPPPPQDPLHEFPNGNFAGYDQRFLAMVSPRNPAAGRSGSTNHVVDTAPFLRSCCLCKRRLAPSRDIYMYRGDTAFCSSECREQQMKQDERKEKLKGVASKKEERHASSASSKASTKTEPVAAA >EOX92564 pep chromosome:Theobroma_cacao_20110822:1:7527914:7540154:1 gene:TCM_001501 transcript:EOX92564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling 24 MADKEKSSVRKPLSLNDSHYRFLQDFSAPPKPIPKPSSSKEEEESEEETFQVRRRLCKQTHKDDSIPHFSGITDFDSPIEEEPKPAKVKVEGRRRLCKISSRDDGDAGKTSILNEPNFSEICDFDSPLPTRHVSEGGSQIRDILNDLSSKLDLLSIEKKAAPPKKIPEYASAESSFSGSPDPSDSSSGVIVTKNVGGGVQGVVDLCEDEVDFFEKVHKTKDTHNVGLMKKESNRVDEKLVSARQSFESNVEEEEEKSELQGDFGDGTLVTRVREPKKNFRRLKKSEHKNVYERLQSLGRSFASKYEEEEDHDDQSEEVDELEDDTLSEGDQPFILSGPKSTYKLPTKIAKMLYPHQREGLKWLWSLHCQGKGGILGDDMGLGKTMQICGFLAGLFHSKLIKRALIVAPKTLLSHWIKELSVVGLSQKTREYFATSAKTRQYELQYILQDQGVLLTTYDIVRNNCKSLKGESYCNDDDDEDGIIWDYMILDEGHLIKNPSTQRAKSLLAIPSGHRIVISGTPIQNNLKELWALFNFCCPELLGDNKWFKERYEHAILRGNDKNASEREKRVGSTVAKELRERIQPYFLRRLKKEVFCEDDATTAKLSKKNEIIVWLKLTGCQRRLYEAFLRSEIVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDVLEGMDSMLNSEDAGMAEKLAMHVADVAETDDFQDNHDNLSCKISFLLSLLDTLIPRGHHVLIFSQTRKMLNLIQESLALNGYKFLRIDGTTKASDRVKIVNDFQEGTGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQKKDVLVYRLMTCGTVEEKIYRKQIYKGGLFKTATEHKEQIRYFSQQDLRELFSLPKQGFDISLTQKQLHEEHDRQHKMDESLETHIKFLETLGIAGVSHHSLLFSKTAPVQVVQEDEDIWRKGTTTVGHSSSSSSVERNIDGAEYAFKPKDIRLNRKISSPESAAKLTENEIKERINRLSQIFSNKVTVSRLPDKGAKIEKQIAELNEELHKMKMAKEAKDEVGVDDITGELQRVLNV >EOX93558 pep chromosome:Theobroma_cacao_20110822:1:13962090:13962774:-1 gene:TCM_002448 transcript:EOX93558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSLIDNNGDHNRLMHMSLSSPVHTQGVYKYCFHNSDQHVHRTNTQFLPTNATITRDYKDDTIDWNDDDYVGGHDDCLEEDRCDDNDIPYYNHADGDTEHTITVVLEDDQCDDPIYNNPITGDSGIRSLDDSE >EOX94150 pep chromosome:Theobroma_cacao_20110822:1:23157237:23162216:-1 gene:TCM_003453 transcript:EOX94150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTQIIENLEHLVEKLGQQFEQNMTYQTQCNQHVDHMFRAYGAFVGMDMSTLPLAPNSARGADEAKDNEDSDAEDDGDENED >EOX93017 pep chromosome:Theobroma_cacao_20110822:1:9957615:9960284:1 gene:TCM_001873 transcript:EOX93017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 1 MVFPVVFLKLADWVLYQLLANSCYRAARKMRNYGFFLRNQTLRSPPQQQAASLFPSVTKCDVGNSRRFDTLVCDIHGVLLGSDTFFPYFMLVAFEGGSIVRAFLLLLSCSFLWVLDSELKLRIMIFISFCGLRKKDIESVGRAVLPKFYLENLNLQVYEVWSKTSSRVVFTSIPRVMVEGFLHEYMSASGVVGTELHTVGNRFTGLLSSSGLLVKHNALKEHFGDKKPDVGLGSSSLHDQYFISLCKEAYVVNMEDGKSNLSSFMPRDKYPKPLIFHDGRLAFLPTPFATLSMFLWLPFGIVLSILRIFVGICLPYKLAVICATLSGVQLKFQGCFPSSNSQHKKGVLYVCTHRTLLDPVFLSTALCKPLTAVTYSLSKMSELIAPIKTVRLTRDRKQDGETMQKLLSEGDLVVCPEGTTCREPYLLRFSSLFAELADEIVPVAINAHVSMFYGTTASGLKCLDPIFFLMNPRPSYHVQILGKVPQEFTCAGGRSSLEVANYIQRKLADALGFECTTLTRRDKYLMLAGNEGVVHENKRN >EOX91049 pep chromosome:Theobroma_cacao_20110822:1:1451112:1454204:1 gene:TCM_000352 transcript:EOX91049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-hydrolase domain-containing protein, putative MWQKPLYKHRPFLNPQFTVSTMKAAPSNNLNFSSIFTKTTTTPSFPTKFSRTHFLKLPQRFQNDAFLRASANPLNSSFLSSSSSFNAFLESNANDTVLSRKKAREIVVGRGGKAEALGCKDKVITVVLLGWLGAKTKHLKRYVEWYNSRGIHAVTFVVEVKELLWFDLGGRLEQRVSELGNELARWVLEKEEDGRERCLIFHTFSNTGWLTYGSLLDRFQGREGLKEKIRGVIIDSGSGDPLNPKVWAAGFAAAILKKRNSSINGSESAVSDSKLLKEEPEMFEAVLLSALEKFFAFFLNMPDVDRRLRTIINAIMEHHPKCPQLYLYSTADKVIPYESVELCIEEMRKKGIKVFSFNFGTSPHVDHYRTFPNIYSSELHNFLKECFAIVKQT >EOX92816 pep chromosome:Theobroma_cacao_20110822:1:8727713:8731030:1 gene:TCM_001685 transcript:EOX92816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 6, putative MGTYSTIIERWWLVTFAGFILVLLLFISHSRNGYNISVLQNLTVPKTASTQYTMPDNMPSPISSDHIGNKEPEKSSDQYPKLTLQTCMPSNFTYSEKPATSSSQVPFSGHPHSEPSHISDNVKPVMPSRVPSLDFENSSPSNFTAGKIEPVTSSSQYHLSGSKNPKPSKVFDDVKPNLTEKKMGRCNIFEGKWVYDPGESPLYDSAMCPFFSDRASCQRNGRPDKEYERWRWQANECKIPRFDAKDMLERLRGKRVVLVGDSINFGQWESLACLLYSAIPDRSHVDARNRVFRAESYNLIIESRWAEFLVEVIVNKTSGKKILNLDSLSSSAWKWKGADIMVFNTGHWWMSRQRWDWFLYKKKLYTDMKLERAFKLAMQTWAGWIQKNVDTSKTTVFFRGMSPAHSGRNKCYRATQPIEDDQPFKLKYSESLIKGIVERTIQGMRTPVKYLNITKLTEYRKDAHSSIYWKQKQFRPGPDCSHWCLPGVPDTWNNLLYATMVFDSSSSSSSSSLA >EOX90881 pep chromosome:Theobroma_cacao_20110822:1:904920:908171:-1 gene:TCM_046674 transcript:EOX90881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF869) [Source:Projected from Arabidopsis thaliana (AT4G36120) TAIR;Acc:AT4G36120] MDRRGWPWKKKSSEKAGSEKQAVGADGTGASLSSLASLGDQEKCKKVNYVQIPMESYVRLTGLEDEVNRLKGEVKLSEEEVKELKGKLSAAQSEINAKDGLISQHAKVAEEAVSGWEKADAEVVALKRQLETVTLSKLSVEDRASHLDGALKECLRQVRNVKEESEQKLHDVVLAKTIQWDKIKLDLEGKIVDFDQELLRAAAENQALSRSLQERSNLIVQIEVEKSKAEAEMELMKENLMSREKEISSLKYELHMISKELEIRNEEKTISMRSTEAANKQHLESVRQIAKLEAECHRLRGLVRKRLPGPAALAQMKQEIDKLGRDFSGPQSRRNVVKNTIANLSPQVESADNLPQSHKEIDFLTMRLLEMEEETKMLKEALASRNSELQAARDMCAKTLVRVKSLEAQIQAHNHQTSSPKSNLGLPADGPSSQYASNAPGTISLSEDGIDEEGFSAESLVPASSDISRLGMGKSSRKVNKQENANCLELMDDFLEMEKLACLSNDVAGANAVSDHPLNAGNYEAEYKTPLNTAKGQDLFSDQELCSSQSSKQGSSSVRSSTTELGQDAENLPFLKLQSRIFTIFESQTKDLDLGKVLEDIKSAILEIQGSLPQHSVTHFSEGVQIGPSKQMGVPEESLILLDQEGITKQNLASAISQIHQVVLSLGREAMRVQDTHPEGHGLSKKLDDFSAFVDKFVLNGKGLVDFILKLSHVFAKANELNFGVLGFGGDVGKVSSDDYIDKVALLENEVQENSSKQACAEGCQHICHSCPDSEVLQDRILNPSSLAAVTSCSCLLKQLDQLKVDKENMAVDLARSNENLENTKLLLQDTEKSLTELKLQLASSQNLYSLAETQLKCMTESYKSLEMRAQELEAQVNLLQVKSAKLDDELLEEKRGHQDALARFKDLEDKVQRKEHSILGMFIIRK >EOX90880 pep chromosome:Theobroma_cacao_20110822:1:903829:908461:-1 gene:TCM_046674 transcript:EOX90880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF869) [Source:Projected from Arabidopsis thaliana (AT4G36120) TAIR;Acc:AT4G36120] MDRRGWPWKKKSSEKAGSEKQAVGADGTGASLSSLASLGDQEKCKKVNYVQIPMESYVRLTGLEDEVNRLKGEVKLSEEEVKELKGKLSAAQSEINAKDGLISQHAKVAEEAVSGWEKADAEVVALKRQLETVTLSKLSVEDRASHLDGALKECLRQVRNVKEESEQKLHDVVLAKTIQWDKIKLDLEGKIVDFDQELLRAAAENQALSRSLQERSNLIVQIEVEKSKAEAEMELMKENLMSREKEISSLKYELHMISKELEIRNEEKTISMRSTEAANKQHLESVRQIAKLEAECHRLRGLVRKRLPGPAALAQMKQEIDKLGRDFSGPQSRRNVVKNTIANLSPQVESADNLPQSHKEIDFLTMRLLEMEEETKMLKEALASRNSELQAARDMCAKTLVRVKSLEAQIQAHNHQTSSPKSNLGLPADGPSSQYASNAPGTISLSEDGIDEEGFSAESLVPASSDISRLGMGKSSRKVNKQENANCLELMDDFLEMEKLACLSNDVAGANAVSDHPLNAGNYEAEYKTPLNTAKGQDLFSDQELCSSQSSKQGSSSVRSSTTELGQDAENLPFLKLQSRIFTIFESQTKDLDLGKVLEDIKSAILEIQGSLPQHSVTHFSEGVQIGPSKQMGVPEESLILLDQEGITKQNLASAISQIHQVVLSLGREAMRVQDTHPEGHGLSKKLDDFSAFVDKFVLNGKGLVDFILKLSHVFAKANELNFGVLGFGGDVGKVSSDDYIDKVALLENEVQENSSKQACAEGCQHICHSCPDSEVLQDRILNPSSLAAVTSCSCLLKQLDQLKVDKENMAVDLARSNENLENTKLLLQDTEKSLTELKLQLASSQNLYSLAETQLKCMTESYKSLEMRAQELEAQVNLLQVKSAKLDDELLEEKRGHQDALARFKDLEDKVQRNTASLVCSSSENEDSDMKIKQERDIAAAAEKLAACQETIYLLGRQLQALRPQKENHQSQYSDKLLGESSMEGRLNHDGSKSQNIHCSDDFDHIETDSVASADVQSVSEDSLRYCHSTSSPSDIEPNLSLRSSVSSSHLNHRRTRSTFSSSTLEQEKHLRSFGRLFSSKGKNGH >EOX93811 pep chromosome:Theobroma_cacao_20110822:1:16060711:16064687:1 gene:TCM_002748 transcript:EOX93811 gene_biotype:protein_coding transcript_biotype:protein_coding description:F21O3.2 protein MDHSLSLHKAPSLSSFLPSAVKNSQGLHIFTPPNSFSNFTFTYRRSLRTGFTSTPFNDRKVPSVKASAGPSHCDFSSLNAPLEPRSAPGKFLSSVLQNQRQFFHFAAADELKQLAGDRDAAVARMFLSSASDEACLHRRIAQLKEHYCQAAVEDVMYMLIFYKFSEIRVPLVPKLSRCIYNGRLEIWPSKDWELESIHSIEVLEMVREHVSTVIGLRANSSVTDNWATTEIQRLQLGRVYAASILYGYFLKSASSRYHLEKCLALAHQDRLSQRNSLQFPDLLPCGFKSLVFGHVRDMQSVSLGQGPGRQEKNLEELRCYVMGFDPETLQRCAKVKSKEAVNLIEKHSCALFGDEKTGVLETGEVILTSFSSLKRLVLEAVAFGSFLWDIEEYVDTVYKLRDN >EOX95539 pep chromosome:Theobroma_cacao_20110822:1:34594773:34600032:-1 gene:TCM_005012 transcript:EOX95539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arv1-like protein, putative MEYRCVQCGFQVKTLFVQYSPGNIRLMKCEKCKAVADEYIECELMIVLIDLILHKPKAYRHLLYNVLNQQRTHFQGLLWKLLFGFLVLDAYRSLLVRHDEEWGMSMSISSYFWIYRKILVDVFLGNFMFLCCFLLAIRKLLKTSAQFFRLGKLWLAVLISSYFKILLVAMMVWEFPPSVIYIIDLLVLSSNTVALKVITESDMNPCVGACFIAHAVKFCTTQAF >EOX96441 pep chromosome:Theobroma_cacao_20110822:1:37820145:37822663:1 gene:TCM_005691 transcript:EOX96441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANSYPPFTTRPVTRDNSRCRNNTNTYTRFAGKLLFFTFFLIAIPLFPSQAPDFVNRTILNKFWELLHLMFIGIAVSYGLFGRRNVDNGNLDDSQSNVSGMFHLSPIFEDGFDHSMYYSGQGKAGFFNAKNDSFENPYEENVVQAWSSKYIQGEPIVVLAQPNCGIEKYGESGSNIDYKPLGLPVRSLKSRVGSRGSPEFGNGSSESSGSSVKDLSDSSDKWRSERFNDLGSENLEGKFSESHVLGSPIPWRARSGRTKERVRGGATRPSHFRPLSVDETQFDSLKSRSLRSTVSFSSQVGSQSHSPSNLSPSHSNSSESPKSNMSELVKERSPRRSFPPTSSSIPKPMSSKASVTASHSRQYSDGSLLAIHARKCFEDELKEFCDSRKNDSSSSKEWISGSFEFEANPAAPSKASSRGKSVRTFRTFRANGNAVGAREAGEKNENHLKGKLAVASDEVEEAYTDKSEPKIEGLNNLSLGFNRQNLGGDCYMPKPTSLENQNKEKQEYSEHPAVEFGEDSESENEDFQVSSDEETMSGTFCVEGSDTSEVDRKAGEFIAKFKEQIRLQRTTSIDTPRGLNITGNIFR >EOX96375 pep chromosome:Theobroma_cacao_20110822:1:37550368:37552432:1 gene:TCM_005630 transcript:EOX96375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGGTMHIELPMIQFNSSSSSVPSISSSSSNSLSLPVPSNYTAPLRPSTASSSSTCHGEICRRLEAVDGKENKTATGSFNNFVLGPVPSKPEVEDAVAALQNFIHRVSSSTPQMKWLQPLLDSCDSRRWQSQGLGRAYDAFSLLLKEPSVKRLVVALSSDKALWDAIRKNELVRKLCELPHPAVENGRACNSTVEADLGKVILQWILDMTKAKITELVMKFQSLLNEVFQTRGSEKPDEETRDQLDETIRSSLLLSIVILLIVIVARVQRV >EOX91876 pep chromosome:Theobroma_cacao_20110822:1:4262712:4265624:-1 gene:TCM_000936 transcript:EOX91876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNNFLSNQFHPLAPPNHLPTPPPNWPHNGGVTQIFRYPPIQPAPLHIPQPRISTTTQKPFQRDLSNNWRSSIHSIFVTNLSRRVTTQGLKDFFDVYGRVRDVFISLKQNPNKTTTFAFVRYKETWEMERAILQNNGRKLDGYHLMEEFEWLNRSAVGTLSTYVHHEILQGIFAEEGYQCIVKPMGGKNVLLTFCMMEDFKACTSEYRAWLNLWFESVVPWKDAQPTSDKMIWLRIEGVPINLWTECLFREIGEQWGSYVFTNDDTAHRRRYDAAHIKEFPKPRPIGDSPATSPRQEHLQLSRNSDSNSNGRDMTAYQNLGREPCKVQNGHSSLMSSSSRLSSPTLIWAQIDNSHNSLRSPTTSPQPSGRMHLNPTTRQTQTMKPTDSTPQHPKFDLATKKLQQMDPSNKTIQEAQVKIHPNKSNSPNPNPKGKDKEKATPTRKSDCIAVRNKKTLLNSSQPPSCEMEAPSSDSDFTPLAQLKAKIKARKSKEEKAIFQSKKSRKLKKVTNVDKKQNRKRSLTKRGLKIRKRPEKSKVVIQDNRISSFDSPSSSNESTKRRNSLTLKEARTTMEISSLLGLLF >EOX94806 pep chromosome:Theobroma_cacao_20110822:1:31504150:31508314:-1 gene:TCM_004418 transcript:EOX94806 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MSVATIESMASLSSDLFYDILRRLDGPTLATAACACATFCSISREERLWENVCSTMWRSTNREDVKSLISSIGGFRKFYADCFPLIVNKEVTEYQWNDYLEYPEEWTEAEYYGDTDGVESISPLDFVSIVDIRYKDKTICSKVLWGIPNANGSNSWFYNCPFRIDLLTYAARDDDNEGEVTLSVSDGLPPIISMEKERKDGKLWKELRDGLRLSWIVVNRKVKQAANLASWSPLGGQRHWPTDKDFVIRFGSVLPAKDVLPCQVVECILIMKFRVIHTEGEGIETTLKLTELSMQLEDMEGAHVNGRNSLLILKEALSCHRSKNYSEVLESCHLYSKVQSELKEEKMRNESRLDRLCILSGIAVFITFWYYIL >EOX93624 pep chromosome:Theobroma_cacao_20110822:1:14416946:14422987:1 gene:TCM_002513 transcript:EOX93624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDIDGLSLFHVKSXXXXXXXXXXXXXXXXXXXXXVSQVVGGSRGVTSLNLAPSRTNGYNRGHRAKQTPKPPEEIQGKLYLQIEAEKHIQRCLEAQRRYLDTALDRACKKLADQYLGDAATENAFLYGQASASLGAFTTTPGPSDLGNTGTMPQFYFNQHNPYPTCDTLTAQADLGLQEVLLGCQPQTSLHPGPEGSSTSFGYSASSYPEPFPPLASSGKKRVRPAEEDPIEAFLNWDDTEPKNLDAGFNYDNLQGFPGAF >EOX93625 pep chromosome:Theobroma_cacao_20110822:1:14421463:14423118:1 gene:TCM_002513 transcript:EOX93625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGITEVIELNKLQNPQRKFKENSICKLRAEKHIQRCLEAQRRYLDTALDRACKKLADQYLGDAATENAFLYGQASASLGAFTTTPGPSDLGNTGTMPQFYFNQHNPYPTCDTLTAQADLGLQEVLLGCQPQTSLHPGPEGSSTSFGYSASSYPEPFPPLASSGKKRVRPAEEDPIEAFLNWDDTEPKNLDAGFNYDNLQGFPGAF >EOX91286 pep chromosome:Theobroma_cacao_20110822:1:2197161:2201305:1 gene:TCM_000528 transcript:EOX91286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein MSSFFSTPQPQQPQPLFQPQQQQQPFQQSSPLFQPQQQQQQQQLQFPQQQQQLQQQQQQQQPQQQLFLFTNDKTPASYSTKWADLHPDSQKLLLQIEERILEYRDESQRLDQCSRLYDSSVSNEGFELDASHIVQELGGVSTAMEQQKALLQELMATVKDMLRNTEVAVRSFMMLRPRFLHSNIAGASNTTAPSQAPGATTTPGSSAQPSAASILPVFDFYHGLPKKPSLFLQHTIARFEKYLGECRQWIEELEQLLLFNSDRNSINHTSSLLQSLPKVMSNVHDFFVHVAAKVESIHQYIESMKTAYLADQRRRGDVNDPFLEADRRETAKQEAVAKRVHPTLHLPANSQPSTQVAGLFASSANPGAASAPQTSAATASASSGGGLSLFSAPSSTPASSMSSSLFATPTSGASIQTSLFSSSSGSFLGSASTPSLFSSSTPAFSTASAGGSLFSTPFASGAATGSGASFGAASKSSRPKSRTARR >EOX96125 pep chromosome:Theobroma_cacao_20110822:1:36676173:36681516:-1 gene:TCM_005450 transcript:EOX96125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein METATMICKTALLLLVFLATTSIASMNRQTYIVHMDKTKIAASHHSLGNSKEWYEVVIDSITGLSAEEEENDSESTSPQLIHVYKSAISGFAAKLSTKELESLKKMTGFLSATPDEMLTLHTTRSPQFLGLELGKGLWNASNLESDVIIGVVDSGIWPEHISFQDEGMPPVPSRWKGACEEGTKFTQSNCNKKLIGARAFFQGYEAAAGLINETTDYRSARDAEGHGTHTASTAAGNLVENAGIFGLANGSAGGTRYTSRIAAYKVCWSEGCVSSDILAAIDQAILDGVDVLSLSLGGSAKPYDSDKIAIGAFQAIKKGIFVSCSGGNSGPSSSTVSNTAPWIMTVAASYLDRKFSTTVKLGDGQTFEGSSLYVGKATKQLPLVYGRTAGDATAVFCIDGSLKRKLVKGKIVVCQRGITSRAEKGEQVKLAGGAGMLLVNTENEGEELFADAHILPATALGALAGKAIKKYLNSTTKPTASITFKGTVYGNPAPMMAAFSSRGPNDVGPDLIKPDVTAPGMNILAAWPPLLSPTQLESDKRSVLFNVVSGTSMSCPHVSGIAALIKSVHKDWSPAAIKSALMTTAYVLDNTHGGILDVASSNPTVATPFAFGSGHVDPEKASDPGLIYDITPEDYLNYLCSLKYSASQIALFAGQGFTCPKNPTMQPEDLNYASFAVNFKPNSKSNTITFTRTVTHVGIPNVTFVVQRNEPNGVSMTIEPEILKFGKPGQKLSYKITFTQKKGTTPREPSFGFIDWVYLQKYHVRSPIAVTWT >EOX90817 pep chromosome:Theobroma_cacao_20110822:1:706220:715567:-1 gene:TCM_000183 transcript:EOX90817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase superfamily isoform 2 MRKRESCLASAFVVLVVAVCGLKVKSVKGVHVKYNTGAGIVPGKLNVHLVPHSHDDVGWLKTVDQYYVGSNNSIQGACVQYVLDSVVDALLRDSNRKFVFAEMAFFQRWWTEQSLEIQEQVKKLADAGRLEFINGGWCMHDEATTHYIDMIDQSTLGHRLIKEQFNKVPRAGWQIDPFGHSAVQGYLLGAELGFDSVHFARVDYQDRAQRKNDKTLEVIWRGSKTFRSSSQIFANAFPVHYSPPTGFHFEVDDSDLPVQDNPLLFDYNVEQRVNDFINAAMTQANVTRTNHIMWTMGDDFQYQYAESWFRQMDKLIHYVNKVDGRVNALYSTPSIYTDAKHAANESWPLKTDDYFPYADSQNAYWTGYFTSRPALKRYVRMLSGYYLAARQLEFFVGKTSNGPNTFSLGDALGIAQHHDAVSGTAKQHTTDDYSKRLAIGGTEAEAVVSSALSCLTKKTPGDKCEEPASTFSQCQLVNISYCPPTEKDIPEGKSLVVVAYNPLAWDRTEIVRIQVNDADLVVQDSSGNNIETQYLALDNVTRHVRDFYTKVYLGLSSDTVPKYWLLFQVSVPPLGWNTYFVSKGAGKGQHRVGIISATSPQNETTEIGNGNLKMSFSTSSGQLQRMYNSRTGVDVPVQQSYLWYGSSTGDADPQVSGAYIFRPNGAPPTVVSRSVPLKVTRGPLVDEIHQQFNEWIYQVTRLYKDKEHAEIEFTIGPIPLDDSVGKEVITRMTANMVTDKVFYTDSNGRDFLKRVRDFREDWNLTVTQPVAGNYYPINLGIYITDKKSEISVLVDRATGGSSIKDGEVELMLHRRIIKDDSRGVGEALDESVCIGSACQGLTIRGNYYLSINKIGEGARWRRTTGQEKMETWTASHLTKATAMDPGYSLPLNVALITLQELDDGSVLLRLAHLYEESEDATHSTLAKVELKKMFNGRTIKEVKEMSLTTNQVKSEMKKLAWKVEGDEGKEPSPVRGGPVDNSTLVVELGPMEIRTFTLKF >EOX90816 pep chromosome:Theobroma_cacao_20110822:1:706525:714221:-1 gene:TCM_000183 transcript:EOX90816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase superfamily isoform 2 MRKRESCLASAFVVLVVAVCGLKVKSVKGVHVKYNTGAGIVPGKLNVHLVPHSHDDVGWLKTVDQYYVGSNNSIQGACVQYVLDSVVDALLRDSNRKFVFAEMAFFQRWWTEQSLEIQEQVKKLADAGRLEFINGGWCMHDEATTHYIDMIDQSTLGHRLIKEQFNKVPRAGWQIDPFGHSAVQGYLLGAELGFDSVHFARVDYQDRAQRKNDKTLEVIWRGSKTFRSSSQIFANAFPVHYSPPTGFHFEVDDSDLPVQDNPLLFDYNVEQRVNDFINAAMTQANVTRTNHIMWTMGDDFQYQYAESWFRQMDKLIHYVNKVDGRVNALYSTPSIYTDAKHAANESWPLKTDDYFPYADSQNAYWTGYFTSRPALKRYVRMLSGYYLAARQLEFFVGKTSNGPNTFSLGDALGIAQHHDAVSGTAKQHTTDDYSKRLAIGGTEAEAVVSSALSCLTKKTPGDKCEEPASTFSQCQLVNISYCPPTEKDIPEGKSLVVVAYNPLAWDRTEIVRIQVNDADLVVQDSSGNNIETQYLALDNVTRHVRDFYTKVYLGLSSDTVPKYWLLFQVSVPPLGWNTYFVSKGAGKGQHRVGIISATSPQNETTEIGNGNLKMSFSTSSGQLQRMYNSRTGVDVPVQQSYLWYGSSTGDADPQVSGAYIFRPNGAPPTVVSRSVPLKVTRGPLVDEIHQQFNEWIYQVTRLYKDKEHAEIEFTIGPIPLDDSVGKEVITRMTANMVTDKVFYTDSNGRDFLKRVRDFREDWNLTVTQPVAGNYYPINLGIYITDKKSEISVLVDRATGGSSIKDGEVELMLHRRIIKDDSRGVGEALDESVCIGSACQGLTIRGNYYLSINKIGEGARWRRTTGQEVYSPLLLAFTHEKMETWTASHLTKATAMDPGYSLPLNVALITLQELDDGSVLLRLAHLYEESEDATHSTLAKVELKKMFNGRTIKEVKEMSLTTNQVKSEMKKLAWKVEGDEGKEPSPVRGGPVDNSTLVVELGPMEIRTFTLKF >EOX93396 pep chromosome:Theobroma_cacao_20110822:1:12681299:12682368:-1 gene:TCM_002255 transcript:EOX93396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKIMSSKAFLLLALVAAVVLLVSSEVVAKDLAETSTEKKNGEVATKTAGLDDAKHGGYGGYGGHGSNGGHGGGGGYGGYGGRRGNGGRCAFGCCRSDYYGRGCRRCCSYLGEAMDAETQADPHN >EOX92159 pep chromosome:Theobroma_cacao_20110822:1:5541834:5543744:1 gene:TCM_001154 transcript:EOX92159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative MEPGEDGEEEGEEIIYDELQKRMWKDRLRIQNMKQKREDDQESQSEAREEASRRKKMSRAQDSILKSMVKIVEVCKAQGFVYGIVPDKGKPVTGSSDSLRKWWKEKVHFDKNAPLAVAEFLPAIIEQGELDPASFMHLLQELQDTTLGSLLSALMQHCMPPQRRFPLEKGLAPPWWPTGNEIWWGEQGVAQEHGPPPYRKPHDLKKAWKVSVLAAVIKHMSPNLDRMRRLLTEKCLKISPAKDDGGGDDSEHINTDDKGKHAEKIASSGEKRKCNFEREVTLNTLYACQNVKCPQSALELGFGDRSSRTDHESNCAYRSEVSDINQEDNEESDISGKILPYGHYSFGPQTKLHTDSMDGTQSTLSMTGWPDMELAKANDRQGIMQMNEVEDISGITSQNYIDYLGAAIEDLPLPAEFLIQRGDMDWNMCQLLRENSDDQGSTSIWDMGFDGLS >EOX90912 pep chromosome:Theobroma_cacao_20110822:1:1008832:1012710:-1 gene:TCM_000252 transcript:EOX90912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQRPRRRCEGTAMGAIVLDLRPGLGIGPFTLGMPICEAFAQIEQQPKIYDVVHVKFYDEEPLKQDIVISFPDHGFHLRFDPWSQRLRLIEIFDIKRLQMRYATSLIGGPSTLATFVAVYPLFGPTYPGIYDKDRGVYTLFYPGLSFAFPIPSQYTDCCQDGEAELPLEFPDGTTPVTCRVSIYDTSEGKKVGVGSLMDKASAPPLPAGSLYMEEVQAKLGEELFFTVGGQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFTRGLDILFDGQTHKIKKFVLHTNYPGHADFNSYIKCNFVILVGGSFPDVSNYKNRITPSTKWEQVKEILGDCGRAAIQTQGSTSNPFGSTLVYGYQNVAFEVMKNGYIATVTLFQS >EOX95386 pep chromosome:Theobroma_cacao_20110822:1:34009244:34011880:1 gene:TCM_004899 transcript:EOX95386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C 49 MVAEAEVVCQQSVPVLDVQYFGKGSNIEEIDGIVAISSPVSSPKFGQVRVAESVTADLSTSQLDVKSLEKVPDPTIESAVLQFVPSIRSGSFADIGPRRYMEDEHIRIDDLSSHLGSLYKFPKPSAFYGVFDGHGGPEAAAYIRKNAFRFFFEDVSFPQTCEVDDVFLEGVENALRKSFLLADLALADDCTVNSSSGTTALTALIFGRLLMVANAGDCRAVLCRKGEAVDMSEDHRPIYPSERRRVEELGGFIDDGYLNGVLSVSRALGDWDMKLPKGSSSPLIAEPEFQQVVLTEDDEFLIIGCDGIWDVMSSQHAVSLVRRGLRRHDDPEQCARDLVMEALRRNTFDNLTVIVVCFTAPDHREQPSPRQRRMRCCSLSAEALCSLRSLLDGNATR >EOX95248 pep chromosome:Theobroma_cacao_20110822:1:33518299:33524257:1 gene:TCM_004796 transcript:EOX95248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hybrid signal transduction histidine kinase E, putative MKLSTFIVARPIFVFISLALLILLLSSLVISCWYKITRRIEDNVDLNTQNLYSGLLYEIESIANLVHPLNSSATKLARLLSRSVNQSEISFNEIETKVAPILFQALSTIPYISQISYVGLDGLLFSYYIDGNQTLSIYANSSLSSNSSSVKERKKNVWYKQPVDDNSGKLYGEAVRSHSLDVVNTSWFQTTLNDSKGYSSVEKRWNSAGDPLFLNSASIHGRGVVSLAFPVKRLTDIYAGIDLYGGSLSLATKDGKMLVDGIPNTKLISVNNSIALQFTKLDGEVVYAQNFTCTPRNDTSATYIMDIGEKKYCVHCSPVEISGVQSVYALALPHEGLVSLVHMNSKLSLILLVVMIVMVMISIFSFVLLMVRTAERKMCLHAKLIKQMEATQQAERKSMNKSLALAGASHDIRASLAGITGLIDLCLTDAAPRSDFEAYLKQMRNCAQDLLGLLNSILDTSKIEAGMMNLEEEEFNLAELLEHLVDLYHPVGMKKGIDVVLDPCDGSVIKFSQVKGDRGKLMQILSNLLSNAVKFTPEGHVSLRAWVQKPDIESAILASGRNGLHKYLSCLFHNINEARSDMEVITAVRQNPNSMEFVFEVDDTGKGIPKEKQQSVFENFVQVKETATGQVGTGLGLGIVQSLVRLLGGEIGIVDKEIGEKGSCFRFNVFFTTMETSSSGAEGEKESQGDPMLGGTQQHSGLTIHTPSPSSTVRTSSPRLPIRSPSTKLEGSQVVLLIQSDERRRISQKFMESLGISVLVVDRWESLPSALKKIKSKLISSHHSSRRLDLSSRSDISSTSSKDMPLSAMDGTEHKLPLNKRRGAPSFILLVIDANAGPFSELWRVVSEFRRGLHGICFKVVWLDKPTSRSINSISLEKERLDPDDEILLQPFHGSRLYQVIKLLPEFGGTLSQGISANLESSSATKNSYITARSRNPLHNEEIQEDASSSDERYRQKGFSSAPAHTHVRLNSKISPIHQLGQTETKTDGAESSTKQPLSGKRILIAEDSTLLGKLATVIVRRLGANAEHCENGEEALKLVCNGLKDQRNDGGHYVLPYDYILMDCEMPIMNGYEATRRIRNEERRYGVHIPIIALTAHTSGVEASNTLQAGMDAHLGKPLNAEELMEAIENIHHKRM >EOX96007 pep chromosome:Theobroma_cacao_20110822:1:36322470:36325662:-1 gene:TCM_005368 transcript:EOX96007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Downstream target of A 2 MLGIKKKYYVKEELSDEKASMSGRMKRSNENHQSSFCVRSELHKPFTGTPWRPVKKKAAASTVIAVFLFVIGVLVCVGWIDASIFSGVSSCQHLILGSNSTNARNKPEFPLKCSTGNRTQTCPRDYPTTHNPTNPGPSSKITCPSFFRWIHEDLRPWKETGISRDMIERARRTAHFRLVIVKGRAYVEKYRKSIQTRDMFTLWGILQLLRKYPGRLPDLELMFDCDDRPVVRSKDFQGPNARPPPLFRFCADELSLDIVFPDWSFWGWAETNIRPWRNVLEEIKKGNRRTEWKDRVPYAYWRGNPTVAPTRKDLMKCNVTDTDNWNTLLYIQDWTKESKHGYKQSNLEDQCTHRYKIYTEGWAWSVSEKYILACDSMTLYIRSRFYDFFIRGMMPLQHYWPIRENSKCTSLKFAVEWGNVHPDKAQAIGEAAGNFIQEDLKMNNVYDYMFHLLSEYAKLLKFKPEIPPGAVELCSETMACPASGTWKDFMVESMVMSPSDTIPCAMPPPYEPQELREFLERKANSTRQVEMWENDYWRSIDKKQ >EOX91464 pep chromosome:Theobroma_cacao_20110822:1:2793190:2800656:-1 gene:TCM_000650 transcript:EOX91464 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MHGQGSSSNSFSGNFYLNGGLGSDEHNVVPNASVHDGHTSMRWNGQSSSSLDTPNHVSFRQFLGDDFWLSPLGDQTGGQRFNPYLENVRLSTGNVVSTERGPIGPSFLPGQANSGPVQPNLDLNAAHEDEGNRIEASQDQDNGPHLSLNIFTAGAAAGDRIPPSGSSSSPVMIYSGIAGYVLEENISREGLPADGRRRLLCKRRAPEYSSGQVSSGDNSSSTQQAGNFEQPAVTVTAQNNAFSSLTAASSFNNHLNSSHSAGLVAALPTPSNVHQIANEAAQVDNFQRNIRLRRTAGQQNSTPANLWPWNSSDSNVQVQTTGQSAVFSPFDRFQNTSLAPTPVSVTPAMQPIAQVSNSWLFPQPSQWNGPTMSWGASSSISPLREAFGQEENLTNNSRNMMIPLPNMPGNLNLASGNANFLGNIASSSRNQSCSAMEILPTSSIRSPQPNMVEYAQRLQEIVNRSEAWRQGNYCPIHSGASPAVRDMDLLVRGGNARPAQVPLRLGSRTERQAGRHSEVSPTAPSQTAAQRRSRLVSEVRNALGLVRRAGGLRLEDVMVIDRSVLYGVPGAPDVHDAMRLDVDNMSYEELLELEEQMGSVCTGLSDEAIMANLRRRKYLSITTGPTVEAEPCCICQEDYVNGEELGKLDCGHDFHFNCIKQWLVQKNSCPICKKTALAI >EOX96305 pep chromosome:Theobroma_cacao_20110822:1:37275206:37281043:1 gene:TCM_005585 transcript:EOX96305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-type calcium-transporting ATPase 3, putative isoform 1 MLSKHELASYCNNYCENQFKKVSVLKFSSDRKMISVLCSHKQMEIMFSKGAPQSVISRCTNILCNSDGSTMPLTATLRTELESRFCSFAGKETLRSLALALKIMPNGQQTLSIDDETDLAFIWLVAMTGDGVNDAPALKKADIGIAMGSGTAVAKACIIVVFSECFRYGFS >EOX96306 pep chromosome:Theobroma_cacao_20110822:1:37276305:37278106:1 gene:TCM_005585 transcript:EOX96306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-type calcium-transporting ATPase 3, putative isoform 1 MLSKHELASYCNNYCENQFKKVSVLKFSSDRKMISVLCSHKQMEIMFSKGAPQSVISRCTNILCNSDGSTMPLTATLRTELESRFCSFAGKETLRSLALALKIMPNGQQTLSIDDETDLAFI >EOX96203 pep chromosome:Theobroma_cacao_20110822:1:36989976:36992872:1 gene:TCM_005507 transcript:EOX96203 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein MTKQQANWSPYDNNGGSCVAIAGADYCVVAADTRMSTGYNILTRDYSKLCKLADKCVMASSGFQADVKALQKHLAARHLIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYSFNVLGGLDNEGKGCVFTYDAVGSYEKVGYSSLGSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLVEAEAVDLVKTVFASASERDIYTGDKLEIVVINADGIHREYMDLRKD >EOX95470 pep chromosome:Theobroma_cacao_20110822:1:34314564:34321796:-1 gene:TCM_004957 transcript:EOX95470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family MTAVTDISLLRSSPFVFPFSIPRHQILHRVYKPFKLRCSIAEGPTISSSKIEGGESTIADCVIVGAGISGLCIAQALATKHRDVASNVIVTEARDRVGGNITTVERDGYLWEEGPNSFQPSDPILTMAVDSGLKDDLVLGDPDAPRFVLWEGKLRPVPSKPTDLPVFDLMSIGGKLRAGLGALGIRPPPPGHEESVEEFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGRVWKLEQIGGSIISGTFKTIQERNKTPKPPRDPRLPKPKGQTVGSFRKGLAMLPEAIATSLGGKVKLSWKLSSITKLENGGYSLTYETPEGMVSLQSRSVVMTVPSHVASNLLHPLSAAAADALSQFYYPPVASVTVSYPKEAIRRECLIDGELKGFGQLHPRSQGIETLGTIYSSSLFRNRAPSGRVLLLNYIGGATNPGILSKTEGELVEAVDRDLRKMLINPNAKDSHILGVRVWPQAIPQFLVGHLDLLDTAKTALMNSGFHGLFLGGNYVSGVALGRCVEGAYEVAAEVKDFLSQYAYK >EOX95468 pep chromosome:Theobroma_cacao_20110822:1:34310438:34311118:-1 gene:TCM_004955 transcript:EOX95468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCCLKLWRCLCCGSACKKEKKTDCLDVILAILLPPAMIYRKEGCSCNFWISVILTVTGYVPGSVHAVIVISSN >EOX91592 pep chromosome:Theobroma_cacao_20110822:1:3223471:3229335:1 gene:TCM_000732 transcript:EOX91592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein MARALVYITVASVTFFLLFLLSRSNPSTSTPLLLPPPHHGARPAMILPLFPFPKNSSRTFSHSGRHLLRSDSHSSHPNARMRLYDDLLLNGYYTTRLWIGTPPQRFALIVDTGSTVTYVPCATCEQCGRHQDPKFQPDLSSTYQPVKCNLDCSCDTDRVQCTYERQYAEMSSSSGVLGEDIISFGNQSELVPQRAVFGCENEETGDLYSQHADGIMGLGRGDLSVVDQLVEKGVISDSFSLCYGGMDIGGGAMVLGGISSPPDMVFSYSDPERSPYYNIDLKAIHVAGKQLPLNPNVFDVKYGTVLDSGTTYAYLPEAAFAAFKNAIIKELTSLKQIRGPDPNYNDICFSGASSDVSELSKIFPTVEMVFDNQQKLLLAPENYLFRHSKVRGGYCLGIFPNEKDPTTLLGGIIVRNTLVTYDREHLKIGFWKTNCSELWERLRINGAPSPSPSSSSGKDNSTVESPPTSAPDGSSHYAIPGEIQIGEITLDMSLSIDYSYLKPHINELAEFIAKELDVNASQVHLLDFTSEGNSSLVTWAIVPSGSATYISNVAAISIISQLAEHRVRLPDTFGNYQLVQWKVEPSVQQTWWQQHYLVVLLAIMITIIVGLSASGGWIIWRRRQQALKLYKPVDGAVSEQELQPLES >EOX95475 pep chromosome:Theobroma_cacao_20110822:1:34338404:34340859:-1 gene:TCM_004960 transcript:EOX95475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MLPAITRESTRVHKQVLHLPTGLSLVIGKPISTLSQNSNLPHKCTAQADKTFHLTNISSLPQSFNHQNLLRSSLFSQLKSPSNLSDAKRLHALLIVNGLFNPSNTDRVLGSQLVNVYVTFGCLQYALFVFDQLPQRTNLAWNGILRGFLDVGRFSKAIEFYHLMISQGLIPDNFTYPLVLKACIELNDLEEGKKVRDFILWNGSYMKCNAYVECAMIDMFAKCGSLSEARQILEGIKEKDLACWSAMICGNVQSGEWLEALSLFRRMRLEGLRPDSVIMAAILPACGRLEDLKMGMTLQGCAIRSGLQSDLYVSNALMDMYCKCGAIHSAYSIFCDIKNKDVVSWSTLIAGYSQNCQYHKSFHLYLMMKDAEIRTNAIVAASVLPGLAKLKLVKQGKEMHGYILKQAFESDVVVGSALIDMYANCDSMIKAEHIFRIMSHRDVTIWNSTIVGYSLNGEVDTAFWTFQKIWDFNLKPNSITLVSILPICTKIGSLKHGKEIHGYAIRSSLATAVSVGNSLIDMYCKCGSLKLGVSIFNQMKEKNIVTFNTIISAHGIYGLGEHVFQFFEQMKEARIRPNKVTFIALLTACSHAGLVDRGWSLYQSMIYDYNIPLDMEHYSCIVDLLGRAGHLDDAYDLVKRMPVEPDMNVLGSLLGACRVHNKRKMERCTEGQNDDKREASAKETWK >EOX92865 pep chromosome:Theobroma_cacao_20110822:1:9076302:9084861:-1 gene:TCM_001727 transcript:EOX92865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPYYIQRLYKTCRESFSPNGPVSEEALERVRAMLEKMKPSDVGLEQEAQVVRNWSGPVHERNGSHQSLPPIKYLHLHECDSFSIGIFCMPPSSMIPLHNHPGMTVLSRLIYGSLHVKSYDWLDSTEPEDPLQEILWNSCSSPFGLIGLVFICKEPFLLELNDVRDLLNSSSCVDVVYFQLVENACEIFSFCCFARPAKLVRDDEMTAPCATTVLYPTSGGNIHCFRARTPCALFDILSPPYSSEHGRHCTYFRRSPRRDLPGEIEVNGVTYSEMTWLEEFQPPDNFVIRRGLYRGPVIRT >EOX92866 pep chromosome:Theobroma_cacao_20110822:1:9076478:9084847:-1 gene:TCM_001727 transcript:EOX92866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPYYIQRLYKTCRESFSPNGPVSEEALERVRAMLEKMKPSDVGLEQEAQVVRNWSGPVHERNGSHQSLPPIKYLHLHECDSFSIGIFCMPPSSMIPLHNHPGMTVLSRLIYGSLHVKSYDWLDSTEPEDPLQARPAKLVRDDEMTAPCATTVLYPTSGGNIHCFRARTPCALFDILSPPYSSEHGRHCTYFRRSPRRDLPGEIEVNGVTYSEMTWLEEFQPPDNFVIRRGLYRGPVIRT >EOX92086 pep chromosome:Theobroma_cacao_20110822:1:5193390:5194046:-1 gene:TCM_001093 transcript:EOX92086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVSIEALAMAGIDYLEWGMEIEEWELEDLEPPPHLLAEEAEEDIFQNNIKDSSSISHLIINLLTHIMVLVVCNECETIWLKNCEQGEMLERINNNDVRR >EOX93147 pep chromosome:Theobroma_cacao_20110822:1:10854434:10857642:1 gene:TCM_001995 transcript:EOX93147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MAKRGAEEITKEEEEVEEGAAGAMVVVEQGEKEEAHPYAFHVSGPRNVAKPNWRDLINSSWSLGFNETMDILGYVVKPCLVLEDFNGILRFISRASLLVLALEGSNLGLGMKDANYKRTVIACFIQAVYLLELDRQENRTEENALAPKWWMTFKYKLAQTLIDERDGSIFGAVLEWDQSAALADFVLIRPSGAPKAVLALRGTLLKGPTIRRDIEDDLRFLAWESLKGSVRFKGALEALKSVAERHGSSNVCVAGHSLGAGFALQVGKALAKEGLYVDAHLFNPPSISLAMSLRNIGEKAGFAWKRLKSMLPSSSEPQASTDDGIKDNSLGLKNWLGHIYGEKTSVGLKKWVPHLYVNNSDYICCHYTDPEGTEEKDADKENVGPTNGQVAAKLFVMSKGKQKFLEAHGLEQWWSDDLDLQLALNKSKLISRQLKSLYSLQAPQQAQGRPR >EOX92360 pep chromosome:Theobroma_cacao_20110822:1:6443109:6451181:-1 gene:TCM_001310 transcript:EOX92360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase 6 MDTGGRLIAGSHNRNEFVLINADENGRIKSVQELSGQTCQICGDEIEITVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVEGDEEEDDIDDLDNEFDYDALDPHQVAEAMLTARLNTGRGSHPNTSGIPTHSELDSSPPSSQIPLLTYGEEGSEISADHHALIVPPFMGHGNRVHPMPYTDPSVPLQPRPMVPEKDIAVYGYGSVAWKDRMEEWKKRQNDKLQVVKHEGGNDGGNFDGEGLDDADLPMMDEGRQPLSRKLPIPSSKINPYRLIIILRLAILGLFFHYRLLHPVRDAYGLWLTSVICEIWFAVSWILDQFPKWYPIERETYLDRLSLRYEKEGKPSELASIDIFVSTVDPMKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFSQKIDYLKNKVHPAFVRERRAMKREYEEFKVRINGLVATAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGHSGVCDVEGNELPRLVYVSREKRPGFEHHKKAGAMNALIRVSAVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPTSGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPVTKKPPGKTCNCLPKWCYCLCCCSRKNKKTKRKEKTKKSKQREASKQIHALENIEEGISEANSQKSSETSQMKLEKKFGQSPVFVASTLLEDGGVPQNASPASLLREAIQVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSIPLLVYCTLPAICLLTGKFIVPEISNYASLIFIALFISIAATSIIEMQWGGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVSTSFTVTSKGADDGEFSELYLFKWTSLLIPPTTLLIINIVGVVVGVSDAINNGYDSWGPLFGRLFFALWVIIHLYPFLKGLLGKQDRMPTIILVWSILLASILTLMWVRINPFVSKDGPVLEVCGLNCDD >EOX91938 pep chromosome:Theobroma_cacao_20110822:1:4536961:4541625:-1 gene:TCM_000982 transcript:EOX91938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase interacting family protein, putative MLQRAASNAYSWWWASHIRTKQSKWLEQNLQDMEEKVATVLKLIEEDGDSFAKRAEMYYKKRPELIHFVEESYRAYRSLAERYDHISTELQNANNTIASVFPEQVQFAMDDEEEDGSPKFPKKSSENSKANIPKVPKLPIKDLKGLITSATKKMQPKKSKKATATAVPKSGLTKAQGLEEIDRLQKRILALQTEKEFVKSSYESGLAKYWEIENEIKEMQERVCSLGDEFGEGRVIEDDEARNLMAATALRSCKETLDQLQEKQERSVIEAEVEQRRIKDARDKLDSLKNAFLLNEVSEEKPSGADKSEIAVQKSKRLEQEVSDTTQKKKDLESLREKIKEHFEVGLGESLTVTEMAEKIDELVNKVINLETAVSSQTALIQRLRTETDELQAQIRTLEDDKATLIDGKKDLRNKLREMEEKLHGIQGLNQSIEDQNNNLQTHFTEAHCYLDHLSEKMNSVQPDEELEREKSCSVEVILSKEKIKDSDKKPEKVKAGKEFEVADASGRENSPAEVKSQKESEEQESKNRNPSDGCKILQSAKPEEMVSASSSLRKEGDSLVKVESSKEPEQKGEKVDHDDGFTKGGDANLEGKEEVKVKEREDAKEHDFITSSVNGGVSHEASKPSENCEDLIDKVDEQASSQTVDTLAKVEPNEQERGQEDEPDWKKLFLKGMEDREKNLLTEYTTMLRNYKDTKKKLTEVETKNQNGLFEITLQLRELKSTNAMKDEEIRSLRQKLSLFQTGLGESNTDQYVETRVSSEKSILTETSTTPEKELQEDLGVMLINQSQTTSAAEEKFRMNIDELLEENLDFWLRFSTAFHEVQKFETAVKDLVAEASKLEERQKQDGSSTAKYSLKSDVRPLYKHLREIQTELTVWVEKSVLLREELKNRFSSLCDIQEEITKALKASAEDDDFKFTSYQAAKFQGEILNMKQENNKVADELQAGLDHVTTLQLEVERTLAKLTEDWGLSGSRSRQSGQLPHSDSRSRVPLRSFIFGVKPKKQRTSIFSCVHPALHRKYNGLRQGLGSSR >EOX95898 pep chromosome:Theobroma_cacao_20110822:1:35937002:35942248:1 gene:TCM_005288 transcript:EOX95898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferric reduction oxidase 2, putative MESRVVRAAIRLLLTVICLGICMMWIMLPTNTYRQKWRASITKRVLSTYFGTQGSNILIWTFPVLLVAALGSLYLHLGKNLNENVLHSNERKRRLALWKRPLLVKGPLGIVSGIELAFLIMFISLLIWTLATYLHNGLPTITPKVAAKYGVEVWQMKLFDIALWIAFVGNVCLSFLFYPVARGSSVLPLLGLTSEGSIKYHIWLGHMTMVLFTVHGVCYIVYWAVTGQISEMIKWSHSDVSNVAGEISLLGGLFLWAATIPQIRRKAFELFFYTHYLYIIFVLFFILHVGVGFSLIMLPGFYLFMIDRFLRYLQSRRSVRLLSARLLPCDTVELNFAKSPGLSYNPTIIMFLNVAGISKLQWHPFTISSNSRLEPDRLSVIIKSEGSWSTKLYQMLSSPSSVDRLEVSIEGPYGPASNHFLRHDTLVMVSGGSGITPFISIIRELIYRSKISQCKTPNMILIAAFKNSSDLTMLDLLLPMTGSPSELSNLQLQIEAFVTREKQPASDNSKRVRSIWFRPHQTDIPMAAILGPNSWLWLSAIVSSSFIMFLILIGIITRYYIYPIDHNKNDFSTAIRAVLHILVICFSIAVTASAAVFWNKRQYAREAKQIQNMDGQTPEGSPDLMPSYNADRELESLPHQSLAEATKIHYGERPDLKRMLFDCKGSSIGVLVCGPKKLRHDVAAICSSGPAQNLHFESISFSW >EOX92761 pep chromosome:Theobroma_cacao_20110822:1:8502749:8507950:-1 gene:TCM_001644 transcript:EOX92761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1624) [Source:Projected from Arabidopsis thaliana (AT5G47900) TAIR;Acc:AT5G47900] MAIEYEPIKGCNADEMQDCTQDKHLKMNGNADKIEDDDDDDDKEMGQLALQISETTISNKGEEGPLTPISNSTHLGRRQQQPQHAQQRLVSLDVFRGLTIVLMILVDDVGGLLPAINHSPWNGLTLADYVMPFFLFIVGVSLGLTYKRLSCRVTATRKAILRALKLLVLGLFLQGGFFHGLNNLTYGVDIQQMRLMGILQRIAIAYLVAAICEIWLKGDHHVKSELNLLKKHRFQWVAALALTIIYISLLYGLYVPDWEYQIPVATSSSAPKFFSVKCGVRGDTGPACNVVGMIDRKILGIKHLYRKPVFERTKQCSINSPDYGPLPSDAPSWCQAPFDPEGLLSDGHGYLL >EOX92760 pep chromosome:Theobroma_cacao_20110822:1:8501284:8508445:-1 gene:TCM_001644 transcript:EOX92760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1624) [Source:Projected from Arabidopsis thaliana (AT5G47900) TAIR;Acc:AT5G47900] MAIEYEPIKGCNADEMQDCTQDKHLKMNGNADKIEDDDDDDDKEMGQLALQISETTISNKGEEGPLTPISNSTHLGRRQQQPQHAQQRLVSLDVFRGLTIVLMILVDDVGGLLPAINHSPWNGLTLADYVMPFFLFIVGVSLGLTYKRLSCRVTATRKAILRALKLLVLGLFLQGGFFHGLNNLTYGVDIQQMRLMGILQRIAIAYLVAAICEIWLKGDHHVKSELNLLKKHRFQWVAALALTIIYISLLYGLYVPDWEYQIPVATSSSAPKFFSVKCGVRGDTGPACNVVGMIDRKILGIKHLYRKPVFERTKQCSINSPDYGPLPSDAPSWCQAPFDPEGLLSSVMAMVTCLVGLHYGQIIVHFKDHRDRIRLWLISSSGLLVLGLALDFFGMHVNKALYTFSYMCVTAGAAGFLFAGIYLLVDICGYRRMTLVLEWMGKHALMIYILAACNIVPIIIQGFYWKQPQNNILSLIGIGT >EOX95168 pep chromosome:Theobroma_cacao_20110822:1:33155977:33158433:1 gene:TCM_004725 transcript:EOX95168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19e family protein MVSLKLQKRLSASVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKVLWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKIARREERLAQGPGGDKPVAAAPAAAAQQAEVSKKSKK >EOX91034 pep chromosome:Theobroma_cacao_20110822:1:1409389:1410111:-1 gene:TCM_000343 transcript:EOX91034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMLRAFSTRRSRGGYERLLEVDVEVDEPVTSTGHLEAQLKRARSVPARVFGLSRRFTPALALPENSQVKSKSSSSSSTKKVTKSHPLFSLFDARRKKKTTAKPEFARYIEYLKEGGMWDMKANMPVIYYK >EOX94016 pep chromosome:Theobroma_cacao_20110822:1:18703642:18707285:1 gene:TCM_003057 transcript:EOX94016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHIIRPSHFIIFSKFSNICVKELREFDSFPIPKGIKVFYFVICKLNNITSTMSVISEEFKEYDDLDNLLVVPREKWAFKVSINTHLLGSGNDSYLLKSNSPLYSKRQRLPTDDELSDPELMEERDNHGNLTHATITLNHLEVLPSHIVLMSYWAVLHLTMMGSVDAEEDHVLEANAVIDVVVGGERDLHSVEVEGDHVFKANVVVEAVAGGDRNLALVEAKRDHVS >EOX94184 pep chromosome:Theobroma_cacao_20110822:1:24871920:24872976:1 gene:TCM_003614 transcript:EOX94184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLSYRSLLLPLQDCLNLLGIDINSSSGHHMSQENHFLQPEFTFRELGIELSLAQSLQHDAQMGSMFIIILRINQDVIDEYYHKLIQVWMEHPVHKIHKNCRGISQTKRHHQKLIMPITRPEGSLRYILLLNPQLIVPRSQINLREYHSTS >EOX91308 pep chromosome:Theobroma_cacao_20110822:1:2275261:2279493:-1 gene:TCM_000550 transcript:EOX91308 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 16 MREAVFLQFLLGMFACFSVFCANAEDPYRYYTWVATYGTRAPLGVYQKVILINNQFPGPPIEAVTNDNIIINVINQLDEPFLITWHGIKQRRTSWQDGVLGTNCPIPPHSNWTYKFQLKDQIGTFMYYPSTLLHKAIGGFGALNVMQRSVISIPYPAPDGEFTVLVGDWYNAGDKALKERLDSGLGLPLPDGLLINGVRRSSTFTGQRGKTYKFRISNVGISTSINFRIQGHAMTLTEVEGSHSLQEVYESIDIHAGQSVAVLVQLQASVKDYYIVASTRFTKPILTTTGILRYGGSHTPASLPLPIGPTFHVHWSIKQARTIRSNLTANAARPNPQGSFHYGTIKVVRTLVLANAKTKINGKLRYAVNGMSYVDPTTPLKLAEWFNIPGVFKLNSIKDVPTSGPAVLGVSLFGITLHDFVEIVFQNTEAAIQSWHLDGSSFYVVGYGSGQWKPDSRKRYNLVDAISRHTVQVYPTSWTAILVSLDNKGMWNLRSQDWSKRYLGQQCYLRVWNNEKSLFTETDIPPNALRCGKAAHL >EOX95282 pep chromosome:Theobroma_cacao_20110822:1:33642909:33643684:-1 gene:TCM_004824 transcript:EOX95282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAINHFILVLISLSCLFSFSASSPAPSPASAPFPSEIQSFNFPEPEGQKHEQELTILPVSADPSLQRICGDTDHPIECLTTTVPFLGDDVKIEPVSILKVGLEAMGNKTREALAEASLLLLDPSTQEAVASCLETCIDSYNAILDTNQKALEAIAIRDLYQLSMELSSNVENVHACSDAFEEAELESPIKEIDALLEKMISNSLAIGIDMVHF >EOX95759 pep chromosome:Theobroma_cacao_20110822:1:35490422:35491996:1 gene:TCM_005185 transcript:EOX95759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYISYVPSCVHLSLNENQLFAAIKADILCVDLKPVWNLVNLDKSLDKIHWSGRLLIAPYLLSQKCRYHHNWFGIRYKNLPFYCNLLLYFLIWFRNDQKLAVKKNFF >EOX90794 pep chromosome:Theobroma_cacao_20110822:1:650862:651809:-1 gene:TCM_000164 transcript:EOX90794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 28 MASKRILKELKELQRDPPTSCSAGPVAEDMFHWQATIIGPSDSPYCGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSSGNICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVTEIAHIYKSDRMKYETMARSWTHKYAMG >EOX95708 pep chromosome:Theobroma_cacao_20110822:1:35305118:35307708:1 gene:TCM_005150 transcript:EOX95708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEWSATSATKAYLETIQLCNNLNKLSDSWKTREPGSSEFISALAAGMKSKLIVEVKSSVSPSTIALATAAKHTGARFVCILPETALLEAKKETKDSGLIDIVEFKTGDPTEILQNYEKIDFSLVDCKNDDYPKLLKMINVNPKKAVVVANNLASDKEGFGGHVKGMKDKVTVRSMKHPIGKGMEITTIGRTNKSERRDRGGGGQLGAERRGIPMKKTASANSKWIVRVDEESGEEHIFRPPRSL >EOX93005 pep chromosome:Theobroma_cacao_20110822:1:9891370:9901016:1 gene:TCM_001867 transcript:EOX93005 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA N-glycosylase/DNA-(Apurinic or apyrimidinic site) lyase, putative MVGSDVAGLNWNEAVTLEAASASVLGAEFRLYIGDGSFSKWEGSMVDYCNRSFPNSKVSDKLSSSAFMSLAAKFPPKTSCEGECDEVGAKLLIEELKVGELNPNDSITWRENVFSHPLDSQSSIID >EOX92798 pep chromosome:Theobroma_cacao_20110822:1:8652393:8654890:-1 gene:TCM_001671 transcript:EOX92798 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein, putative MEKPATQAATCCKPLTTFVQTDSDAFREVVQRLTGPSESDAAQEGAAMKVPGLKRPTSKLHERRQYMRPKLEIVKPPLSFKHATSPSRSGNSGLLTSPVGTPSTIFSMLSLLEEENREESAKGELNTEEEEKAIKERRFYLHPSPRSRAAKMEPELLDLFPITSPRTNDKA >EOX93792 pep chromosome:Theobroma_cacao_20110822:1:15862994:15863478:1 gene:TCM_002720 transcript:EOX93792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLHFNTFTNTISTSRDGTSVCPFIFLFFIPSESCCLNLEIYHPSVLFIVLLIVPTEYRYISQEVLPTNQFYVSEYFSLMHEFDKTWPSWLKVTLQTYLSSFG >EOX92476 pep chromosome:Theobroma_cacao_20110822:1:7081692:7085822:1 gene:TCM_001425 transcript:EOX92476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR5 isoform 2 MAPATTVAAARRLVGLRRRTEAPPRRPSPSPPRRPSPSPPQRKLRPVAEIMARARYAVVERADYSDVGCEQCGSGERPDELLLCDKCDKGFHMKCLRPIMARVPIGSWLCPKCSGHRRVRSFSQKKIIDFFRIQKSCDGKKKFTSNQDTRKRRRRSRSLVLLKKRRRLLPFIPSEDPNQRLNQMGTLASALTALQMEFSDDLTYSPGMAPRSANQAKFENGGMQVLSKEDMETLELCRAMNRRGECPPLIVVFDSFIR >EOX92475 pep chromosome:Theobroma_cacao_20110822:1:7081743:7086757:1 gene:TCM_001425 transcript:EOX92475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR5 isoform 2 MAPATTVAAARRLVGLRRRTEAPPRRPSPSPPRRPSPSPPQRKLRPVAEIMARARYAVVERADYSDVGCEQCGSGERPDELLLCDKCDKGFHMKCLRPIMARVPIGSWLCPKCSGHRRVRSFSQKKIIDFFRIQKSCDGKKKFTSNQDTRKRRRRSRSLVLLKKRRRLLPFIPSEDPNQRLNQMGTLASALTALQMEFSDDLTYSPGMAPRSANQAKFENGGMQVLSKEDMETLELCRAMNRRGECPPLIVVFDSCEGYTVEADGQIKDMTFIAEYTGDVDYIKNRENDDCDSLMTLLLATDSSKSLVICPDKRGNIARFINGINNHTLEGKKKQNCKCVRYSVNGECRVLLVATRDIAKGERLYYDYNGYEHEYPTHHFV >EOX95114 pep chromosome:Theobroma_cacao_20110822:1:32913538:32915220:-1 gene:TCM_004675 transcript:EOX95114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILSADFFFQINIKAREKAGLSWVQRGRVAIMVTGWSVPLSAYRFRVMFKESLQPFAPFCSISRDGFRLLLSASKFIIQNLIICCFNILSGHHFTALFPLSF >EOX95912 pep chromosome:Theobroma_cacao_20110822:1:35996629:35999807:1 gene:TCM_005300 transcript:EOX95912 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger B1A MGGCCCSSRKPHLHGTPVYYYCPPALEENDSLTPHDGSASAMAAGLLVNLDLEVPDTFRPPPAPLPYDVVLGCPQSTDSESFRETISGGSFETLPTCEDLEESDCKTQSSSLLLSPRKSEVSKLTEPKELLTEEEDACPICLEEYDSENPKLITKCEHHFHLSCILEWMERSDTCPICDQEMIFDQTFDQ >EOX93865 pep chromosome:Theobroma_cacao_20110822:1:16924676:16929879:1 gene:TCM_002847 transcript:EOX93865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGLRESWCFCKGVSKTERMKGTIFSSKAPAMAGITGTSVSGISGTGFLIHRNLLLTTHVNLPSVAAAESSEIRLQNGVSASLVPHRFFITSSVLDLTIVGLDAMDGESNTQGHQPHYLKTCSKPNLDLGSAVYLLGYTEKKEMTVGEGKVVIATDNLIKLSTDGIIWNPGSAGFDAQGNLAFMICDPMKLATSPNTKSSSTSSSSSSSWKKDSPMQFGIPIPIICDWLNQHWEGSLDEVTKPKLPIIRLMSSGQKSEHSSASFTMRQVFKSTEADNDRTPSSSNIILKTRDQPGPSSPAVVNTVEGETPQSDPHTTHVQGIPTPEIYESPKLTAVPVRKKETSQIQLLDINFPLQIAKAAVVLQPTKQLLLNSDENVLKELPAESPLRDEDQIKNRVQSSPSANADVASTGSVNGAQSEVQSSSSPVELSEMHNGYSSEGETMYSAETAESRNYTSPREGNFQQVGRSQSCVGYTRWGAFQRNPVARRALLEKQRSFIHGKKTYSQGATSQRSNDYFSPTVSSIMKKRNNSEQPSKPRQSTVHSSPRWMF >EOX92742 pep chromosome:Theobroma_cacao_20110822:1:8352716:8354586:-1 gene:TCM_001628 transcript:EOX92742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein MASLYSLLCVAFLTLEIVALYVSPAVSTSRGALEHRRLQNGFRVTLRHVDSGKNLTKWERIQRGVKRGNHRLQRLNAMVLAATDASELQAPITAGNGEFLMDLAIGTPPESYSAILDTGSDLIWTQCKPCSQCFDQPTPIFDPKKSSSFSKLSCSSHLCSALPQSACSDGCEYLYTYGDYSSTQGVMAVETFTFGKVSVPNIGFGCGGDNQGDGFTQGAGLVGLGRGPVSLVSQLKQGKFSYCLTSIDDTKKSTLLMGSIASVNRTLGAIKTTPLIHNPTQPSFYYLSLKGITVGDTRLPIKKSTFALEDDGTGGVIIDSGTTITYLEERAFDLVKKEFISQMKLSVDTSGSTGLELCFTLPSGSTDVEVPKFIFHFEGADLDLPGENYMIADSSSGLLCLAMGSSSGMSIFGNVQQQNMLVLHDLEKATLSFQHTQCDKL >EOX95531 pep chromosome:Theobroma_cacao_20110822:1:34571892:34575255:1 gene:TCM_005007 transcript:EOX95531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNIVLDVGFRFLPPDEEIISFFLSKKIIGDNDRTRNIREVDLLQQEPWELPALSIVRSRYQEWLFFYKLNKISHRKTERTTPAGYWKSTGQDREIRDGGRLIGTKKTLVFYEGRTPRGVKTDWVIHEYRATADFVPPNAEKSYVVGLLRNKAAEKTENSTSINGQINGQPSSHLAASSSQNNSVGVTHMEVAPLPQSNSMDYEIALQTQLEYEGIFDYDLNDQSRMEEEGITLEDIPSSNDLDYDDESEWRLQFDTIEPEKDFMTSMFPDQDDGFYAETGYPLRPNYGAPGFAEDSSDTDLEQANAWCDQIVHHMSDGTGRGRSEGFYQKRMETGFHHDEVLIMDSSVDSATVTAYEINCLESVLEGKSVTRTCKSQYEPRSHKSVVQGHPRRVQLQGRSSGKAVSRDKARESGVRGPVVKLARNKKSIVQSNKDPKMDRDKNTQSDLISRSKGSAGSSRKNSFNSVEMSQLSCKTNPPLVYVGNVLLGVILFIVVVWEVLFLH >EOX92541 pep chromosome:Theobroma_cacao_20110822:1:7350531:7370045:-1 gene:TCM_001476 transcript:EOX92541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 5 MEMNRAEKSFFLNNVFNSVMTNSETGPQGLTKRPSRSAATTTFSTEVFDNEVVPSSLSSIAPILRIAKEIEPERPRVSYLCRFYAFEKAHRLDPSSSGRGVRQFKTGLLQRLERDNASSLASRVKKTDAREIESYYQQYYEHYVRALDQGDQADRAQLGKAYQTAGVLFEVLCAVNKTEKVEEVAPEIIAAAKDVQEKKEIYTPYNILPLDAAGASQSIMQLEEVKAAVAALWNTRGLNWPAAFEPHRQKHGDLDLLDWLRAMFGFQRDNVRNMREHLILLLANNHIRLRPKPEPLNKLDERAVDAVMSKLFKNYKTWCKFLGRKHSLRLPQGSQEIQQRKILYMGLYLLIWGEAANVRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIKPSYGGDDEAFLRKVISPLYCVIEKEAAKNQNGTASHSLWCNYDDLNEYFWSSDCFSLGWPMRDDGDFFKSTADMGKKNSQRKSRSTGKSNFVEIRSFWHLFRSFDRLWTFYILALQVLVILAWNGASLKDIFRKDILYYVSSVFITAAILRFLQSVLDLFLNFPGYHRWKFTDVLRNFLKIIVSLAWAIILPLFYMRALSFAPDKLKDVLSFLRQVKGISPLYLLAVALYLLPNLLAAALFIFPMLRRWIENSDWHIIRLLLWWSQPRIYVGRGMHESQFALIKYTLFWILLLCAKFAFSYFVQIKPLVKPTKDIMSIRHVKYAWHEFFPNAEHNYGAVLTLWAPVILIYFMDTQIWYAIFSTICGGVSGAFDRLGEIRTLGMLRSRFQSLPGAFNTCLVPSDKSQKRGFSLSKRFAEVTASRRSEAAKFAQLWNEVICSFREEDLISDRKVFLPKRCFPHVQEMDLLLVPYTSDPSLKIIQWPPFLLASKIPIALDMAVQFRSRDSDLWKRICADEYMKCAVIECYESFKIVVNTLVVGENEKRTIGIIIKEIESNISKNTLLANFRMASLPVLCKKFVELVGILKDGDPSKQDAVVLLLQDMLEVVTRDMMVNEIRELVELGHSNKESGRQLFAGTDEKPAIVFPPVLTAHWEEQIRRLHMLLTVKESATDIPTNLEARRRIAFFANSLFMDMPRAPQVRNMLSFSVLTPYYSEETVYSTTELELENEDGVSIIFYLQRIYPDEWNNFLERLNCKETEIWENDENILQLRHWVSLRGQTLCRTVRGMMYYRRALKVQAFLDMATEEEILEGYKAILTPSDEDKKSQRSLYAQLEAVADLKFTYVATCQNYGNQKRSGDRRATDILNLMVNNPSLRVAYIDEVEERQGGRALKVYYSVLVKGVDNLDQEIYRIKLPGNAKLGEGKPENQNHAIVFTRGEALQTIDMNQDNYLEEAFKMRNLLEEFNEDHGVRSPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHITRGGISKGSRGINLSEDIFAGFNSTLRRGNITHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYVSSMLVVFTVYLFLYGRLYLSLSGLEQSIVKFASAKGDDPLKAAMASQSIVQLGLLTALPMVMEIGLERGFRTALGDIIIMQLQLASVFFTFSLGTRVHYFGRTILHGGAKYRATGRGFVVRHEKFAENYRLYSRSHFVKGLEIMVLLICYRIYGSVARDSTAYALLSFSMWFLVVSWLFAPFLLNPSGFEWQKIVEDWEDWGKWISSRGGIGVPSSKSWESWWDEEQQHLQHTGFMGRLVEIVLALRFFLCQYGIVYHLNMTKNSRQGIRQSIMVYGLSWLVIVAVMVVLKIVSMGRKKFSADFQLMFRLLKLLLFVGSLVIIAMLFYFLDLTVGDIFQSLLAFMPTGWALLQISQACRPVVKGIGMWGSVKALARGYEYMMGVFIFAPVAILAWFPFVSEFQTRLLFNQAFSRGLQIQRILAGGKKQA >EOX95303 pep chromosome:Theobroma_cacao_20110822:1:33718897:33722598:1 gene:TCM_004839 transcript:EOX95303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stachyose synthase MAPPNNPANSTFSLLRSRSLEKYFDLSNGKFSVKGFPLLYDVPSNVTFTPFSSICDSSKSDAPLPLLQRVQALSHEGGFLGFSKDESSDRMMNSLGRFSNRNFLSVFRFKTWWSTQWVGTSGSDLQMETQWVVLDVPEIRSYVIIIPIIEGGFRSALCPGNDGHVMIFAESGSTQVKASSFNSIAYVHVSKNPFNLMKEAFSAIRVHLNTFKLLEEKNVPSIIDKFGWCTWDAFYLTVEPAGVWQGVKEFAEGGVSPRFIIIDDGWQSINHDSDNPNEDAKNLVLGGEQMTARLHRFVEGEKFRKYKGGSFLGPNAPSFNPQKPKMLITKAIEIEHATKARDKALQSGATDASEFESKIKKLKQELDDMFEGDESSLSGGGCGSCGCKAGNYGMKAFTRDLRTKFKGLDDIWVWHALCGAWGGVRPGVAHLNCKVAHCHVSSGLKGTMPDLAVDKIVEGGIGLVHPSQADDFYDSMHSHLAKSGVTGVKVDVIHTLEYVSEELGGRVELAKAYYDGLSKSLSKNFKGTGIISSMQQCNDFFFLGTKQIAMGRVGDDFWFQDPNGDPNGVFWLQGVHMIHCAYNSLWMGQIIQPDWDMFQSDHVCAKYHAGSRAICGGPVYLSDSLGSHDFDLIKKLVYPDGTIPKCLRFALPTRDCLFVNPLFDNKSILKLWNFNKYGGVIGAFNCQGAGWVSKERRIKGYPQCYKPVSGTVHVTDIEWDQCMEAAEIGEAEEYVVYLDEAEKLLFVSPKSDPIQVTVQPSSFEIFSFVPMKKLGCVAKFAPIGLTNMFNSGGTIQELDYNEVGAGPAARIKVKGGGNFLAYSNVPPKSCYMNGAAVASEWSADGKLTLNLPWIEEAGGISDVVFVF >EOX93021 pep chromosome:Theobroma_cacao_20110822:1:9979540:9981726:1 gene:TCM_001877 transcript:EOX93021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein MVQCFCSLTPSPASITKFISDQPYLSLLENNCTSMKDLKKLHAQLIKTGLVNDIIAASRVLAFCVSPAGDMNYAYLVFTQIKNPNLFTWNTIIRGFSQSSNPQIAISLFIDMLVGSSIQPERLTYPSVFKAYAQLGLACDGRQLHGRVIKLGLDYDQFIRNTIIYMYANCGLLSEAWRMFDEEHMELDIVAWNSMIIGLAKCGEVDESRRLFNKMVSRNTVSWNSMISGYVRNGRFLEALELFQEMQEEHIRPSEFTMVSLLNACACLGAITQGKWIHDYILKQNFELNGIVVTAIIDMYCKCGNAEKALQVFTTSPKEGLSCWNSMILGLATNGCENEARQLFSKLESLSLKPDHVTFIGVLMACNSAGMVDKAKYYFSLMTEKYKIKPTIKHYSCMVDVLGNAGLLEEAEQLIRSMPVNEDAIIWGSLLSACRKHGNVGMAKRAAKLVIELDPAERSGYVLMSNVYAATRQFEEAIKQRLSMKEKQLQKEPGCSLIEVNDVVHEFVSGGRLHPQAKEIYSVLNELKLMLQLKETWN >EOX92125 pep chromosome:Theobroma_cacao_20110822:1:5333945:5334816:-1 gene:TCM_001121 transcript:EOX92125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQTKPFKMPNKKTSVVAEKAWNLLRLALLWARKAAVFKVKGHGSKALTDQIPYWERQLSFDKTPDFHVKKGRLAGSMRFLFPCTGAKAVEFDYDVGADEAGGIYSNDSGRETYSREEEEEEEAYEYKNCEKKSQLEEEGIDSRAEKFIAEFYDQMKFQN >EOX92438 pep chromosome:Theobroma_cacao_20110822:1:6841005:6844438:-1 gene:TCM_001390 transcript:EOX92438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKTCGHISGFEMMFYFIIMYVANRLKLALSWALCILRAAVRLFQLHLEKQSLFVSIGGMFTSRMPTWHLLQVEWSFLVYSLINSVQ >EOX94800 pep chromosome:Theobroma_cacao_20110822:1:31464436:31472217:-1 gene:TCM_004411 transcript:EOX94800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 11 isoform 2 MTKNATSQDLNKTTHLDFSKKSKHFKPLEPFLGVLGFILVTCLFIGGFFYLDYRAVLHPGFPLFGLRGTPSPPSEDSEVEAAIAMAPATTLNVNGRLGFLDEGGDMCDIYDGKWVWDDDYPLYQSQDCPFVDSGFRCLENGRPDSYYTKWRWQPNGCDLPRFNATMMLEKLRNQRLAFVGDSIGRNQWESMLCMLATAIPNKDSIYEVNGNPITKHKGFLSFRFEDYNCTVEYYRSPYLVVQGSSPRGSPKGVNMTLRLDHMTWSHQQWKDADVLVFNSGHWWSYEKTIKHGCYFQEGTEVKMEMDLRTAFQKSIETLVDFVSSQVDTNKTQVLFRTYAPVHFRGGTWKTGGHCHQLKLPDFGPLPNKTEKLVDIVSSVLSKHPQGFLVKLMNINPMTYRRQDGHTSLYHFGPGNGPGYFFYLNFQAVIFHGFPWFGLSGSASSAFHAEATALNANELLGFLDEDGDTCDIYDGKWVWDDNYPLYQSPNCPFIDSGFRCLENGRPDSFYIKWRWQPKGCNLPRPAPFLFNATKMLEKLRNRRLAFIGDSIGRNQWESMLCMLSAAVPSKNSKYEVNGSPITKHRGFLVFRFAGYNCTLEYYRAPFLVAQGSAPRGASKGVKMTLRLDHMTRTHQHWVDADVLVFNSGHWWSREKTTDRGCYFQEGTNVNLKMDLATAFQKSIETLVDFVHSQVNTNKTQVFFRTYAPSHYRGGAWSNGGSCHHIKLPDFGPFPDKTENSIEIVCDVLSKHPDRLQVMEMMNATPMTYRRQDGHTSLYHFGPGNEPEPSGEDCSHWCLPGVPDSWNELLYAVFLKQEFARSSSPSVHSQAPM >EOX94801 pep chromosome:Theobroma_cacao_20110822:1:31463860:31472274:-1 gene:TCM_004411 transcript:EOX94801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 11 isoform 2 MTKNATSQDLNKTTHLDFSKKSKHFKPLEPFLGVLGFILVTCLFIGGFFYLDYRAVLHPGFPLFGLRGTPSPPSEDSEVEAAIAMAPATTLNVNGRLGFLDEGGDMCDIYDGKWVWDDDYPLYQSQDCPFVDSGFRCLENGRPDSYYTKWRWQPNGCDLPRFNATMMLEKLRNQRLAFVGDSIGRNQWESMLCMLATAIPNKDSIYEVNGNPITKHKGFLSFRFEDYNCTVEYYRSPYLVVQGSSPRGSPKGVNMTLRLDHMTWSHQQWKDADVLVFNSGHWWSYEKTIKHGCYFQEGTEVKMEMDLRTAFQKSIETLVDFVSSQVDTNKTQVLFRTYAPVHFRGGTWKTGGHCHQLKLPDFGPLPNKTEKLVDIVSSVLSKHPQGFLVKLMNINPMTYRRQDGHTSLYHFGPGNGPGYFFYLNFQAVIFHGFPWFGLSGSASSAFHAEATALNANELLGFLDEDGDTCDIYDGKWVWDDNYPLYQSPNCPFIDSGFRCLENGRPDSFYIKWRWQPKGCNLPRPAPFLFNATKMLEKLRNRRLAFIGDSIGRNQWESMLCMLSAAVPSKNSKYEVNGSPITKHRGFLVFRFAGYNCTLEYYRAPFLVAQGSAPRGASKGVKMTLRLDHMTRTHQHWVDADVLVFNSGHWWSREKTTDRGGAWSNGGSCHHIKLPDFGPFPDKTENSIEIVCDVLSKHPDRLQVMEMMNATPMTYRRQDGHTSLYHFGPGNEPEPSGEDCSHWCLPGVPDSWNELLYAVFLKQEFARSSSPSVHSQAPM >EOX91848 pep chromosome:Theobroma_cacao_20110822:1:4126793:4128439:1 gene:TCM_000912 transcript:EOX91848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAINVSTALAIKTEIKLFHIWRIPKGKEVGKHGKFFYLSCLNDFGPPWSPRLKIFSDEKLKIRSTESTKKVHIILAALNSGHPGRSRALKMSRKPSKEAGLCRCILADKD >EOX95069 pep chromosome:Theobroma_cacao_20110822:1:32793506:32797449:1 gene:TCM_004648 transcript:EOX95069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNNWERGRRGLVREAKDGRWWDRTDEKLMPKRIRISTVPLLIACKKSPLLVSTFDRCCVSSSTNISTFGVI >EOX92544 pep chromosome:Theobroma_cacao_20110822:1:7392244:7397725:1 gene:TCM_001479 transcript:EOX92544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 3 MPAWWGKKSSKNKEESPQNQSPCGTTVGLIKSSPNKTDAAAMVAVAVAGSRGGGKKKEAAAAAAAADKDNNSNRPKSFDDGGGLLLSARNSPRGSKDFVAVGRGSSGFSGFDSDSGEKRGIPLPRPSVSSMQSDHGVGLGSGSASVSSVSSSGSYEDNQTANDPVQFQACRPYIDPRSQGEIRMNMGSRSPGPGSRGATSPTSPLHHRLSGVSLESPTGRKEDGKSQCHKLPLPPGSPTSPSSVLPSTRTYGVSENTPCTLSKWRKGRLLGRGTFGHVYLGFNSESGQMCAVKEVKVVSDDQTSKECLKQLNQEINLLSQLSHPNIVRYYGSELGEETLSVYLEYVSGGSIHKLLQEYGAFKEPVIQNYTRQLLSGLAYLHGRNTVHRDIKGANILADPNGEIKLADFGMAKHITACSTMLSFKGSPYWMAPEVVMNTNGYNLAVDIWSLGCTILEMATSKPPWSQYEGVAAIFKIGNSKDVPDIPDHLSNEAKNFIRLCLQREPSARPTALQLLDHPFIRDQATTRVANISITKDAFPYTFDGSRTPPILELQSNRNNILSFDGDYGTRGVSATSRALRSPRDNARTITSLPVSPSSSPLRYAPAHKSCFYSPPHPAYQFVGQSGYNFGDFSGSALRTSPRYTHDPWLESSQLKVQTPGTSPRTRPI >EOX91169 pep chromosome:Theobroma_cacao_20110822:1:1839981:1842421:-1 gene:TCM_000446 transcript:EOX91169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthocyanidin 3-O-glucosyltransferase 5 MEGRNAKAHAVLLSSPGLGHLIPVLELGKRLVTVNNFKVTIFVVSSLTAAAESQVLQSSMSPELCEVIRLPPANISDLVDADAAVVTRIAVLMREIRPALSSAISALKYPPTVLIVDLFGTESLGFADDFKIPKFVFIPSHAWFLALTIYLPRLDEVVKGEYVDEKEALFIPGCRPVQPEDVVDPMMCRSDQQYAEYVHMGMNILMADGILVSTWEELEPETLAALRDNKLLGRISKVPIYPVGPMIRPIGAVDHSSKKLLFDWLDKQPSVSVLFVSFGSGGMLSNEQMRELAWGLELSRQRFIWVVRTPAVKSGDGSFFSVGSGSSNDKLSFLPEGFLGRTQNLGLVVSDWAPQVEILSHPSVGGFFSHCGWNSTLESIMNGVPMIAWPLYAEQKLNATMLAEGLRIAVRSKILPSKGIVGREEIKMLVRKIMVDDEGRAMRARVKELKLSAERAWTRNGSSWKALAQAMC >EOX91344 pep chromosome:Theobroma_cacao_20110822:1:2367425:2368627:-1 gene:TCM_000567 transcript:EOX91344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESFDNERTENYLGQEGESDKGSSNTLVAKVAELRKIKEKLKRAKDKATESWLHSKPLIDELEMLKSDLATAQNQCTISDHVILELESQLETIIIDIRSKREGELKATKMINEVNQALEHVREELEQLKADKDEEHRARSKLKQTLRLRRQTLRTLQPTLRAVRIESEAFGASAAEALRYINHPESDSSPVQLTQEDYYALKRRAKEETTLADWRVSVAVEQKVTAEAGKNSALKRLKETHPDKRLRRRTAEDKKVIDEETKQEEAGHQDLTKQGVDKVLNRGFAFPKAQAKAIAEYKQGKPQQISKSKSRKNKESKMKKKVSILHQIKKVVFCA >EOX94199 pep chromosome:Theobroma_cacao_20110822:1:25420527:25426461:-1 gene:TCM_003673 transcript:EOX94199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVYDYTWPGHRLLYVARPRIDIRGYNHMISPMSVNIVETAMVVGIRWRGASQIVIAWKKIHGLIIYDYLLESLESF >EOX92214 pep chromosome:Theobroma_cacao_20110822:1:5749908:5751099:-1 gene:TCM_001194 transcript:EOX92214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLTNFLADPAEVESVSFDTCPGVVEKYQHVEDIHWAVRPLAIVFGLFTAMKLTMFVGCSVSTRVKKCRRNGHDGHAGDEFAGTYSHRDKKLSAARRWHLSRNVVELKRISRGDGCSTEAAVAQRWWCALRRIAFLGDAQERERWEAWGLRKKLSKGRARKAWLALCLQA >EOX94223 pep chromosome:Theobroma_cacao_20110822:1:26274947:26285009:-1 gene:TCM_003751 transcript:EOX94223 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein, putative MMEVPSLVSLSIDAVKRELLHGDDLIPHVYELPPELFNSLVNCLPPLALQKLQSEMLFRSYDDYDSTSDDLKNGRKRGRNGNFDKAWKALFKLRWPDLVNCVQPVDWQQIYWETHVQSCLDEAAELALLPSFSGCLGAIQISENILKYIGYVDHMSNSASELLKLSYHCQQFGNYARCLRLQNVLCVVESSQLLRNSKLQSLVVRWIRSFEHADGLCKLLSQNCKTLTSLKFVHCKLSSSFMDAICGSLYLGGAETHQIQHFSVSTSSFLEPNPVSLAHRLASFLSAGRSLCSLKLCDNHLDWNFARRVFSTLLDASSSLSILDLSENNIAGWLSNFNWRSNALLSSSGVAKSLQSLRILNLRGNNLRKDDAGSLRYALVHMPSLEILDLSDNPIEDDGIRSLIPYFAEASKMFIPLTDLNLENCELSYDGVTQLLDALSSVGKPLNSLSVADNGLGSQVAEALGNFWGTSIQVLNLEGIGLGPSGFRKLKDVGMEDLMLVEINISKNRGGIETAKFLSKLIRQAPKLIAVNAAYNLMPVESLIIICSALKTATGHLEQVDLTGNIWDYQPSHDTMLAEFQHNGRPILILPSSVASDVPYDDDP >EOX93204 pep chromosome:Theobroma_cacao_20110822:1:11183284:11189130:1 gene:TCM_002038 transcript:EOX93204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 5 precursor MNNFKQTQLLTLLCFLFILLPSIARGECTCEPEDEDRNKPLALKYKMAAIALILVAGAIGVCFPLLGKTIKALRPENNLFFIIKAFAAGVILSTGFIHVLPDATESLTSPCLNENPWGKFPFAGLVAMASAIATLMVDAFATSHYTKSHSNETQQIDGDKEKTGEHESHVHAHTHATHGHAHGSVSLVDRSGSSELLRHRVVSQLGIVVHSVIIGISLGASESPKTIKPLVAALTFHQFFEGMGLGGCISQAKFKSRAVAVMALFFSLTTPVGIAIGIGVSNMYHESRPTALIVEGIFNAASAGILIYMALVDLLAADFMNPKLQNNGMLQVGASGALLLGSGLMSLLAIWA >EOX92048 pep chromosome:Theobroma_cacao_20110822:1:5073640:5076133:-1 gene:TCM_001071 transcript:EOX92048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class-II DAHP synthetase family protein MGWSQFLRSKDHSVGDNILVVDKEEDHATGDDHNTELNKKENCCSKSNVSWFTVFYCFNRLYCFILMVSNLVTFSETVDGPCYCLMTLSDIFYKQVSENPKKRTYVGCWVLQSHPLKSLMSSSRPHIISMGLRSHQSNVKVHIGLVQMKTRAFDAILAEVRAFFDVHEQEGSHPGGILLEMTGQNVTECVGGSQTVTYDDLSSRYHKTV >EOX92078 pep chromosome:Theobroma_cacao_20110822:1:5155461:5164619:1 gene:TCM_001089 transcript:EOX92078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase family protein isoform 3 MELFLGVLILACLLSFVSADFQGDALFALKNSLHASANQLTDWNPNQVNPCTWSNVMCDPSNNVISVTLSSMNFPGTLSPKIGVLKTLSSLTLKGNGITGEIPKELGNLSSLTSLDLENNHLTGEIPSSLGKHSFFRSSRTLSKNNLSGIIPQSISDLPKLINLLLDSNDLSGQVPDHLFRIPIYNFTGNRLSCGENFPHRCVSNGNDSGSLQKPKVGIIVGMVGGFIILLIVGGLLFFLCKGRQKGYKREVFVDVAGEVDRRIAFGQLRRFAWRELQLATDNFSEKNILGQGGFGKVYKERLLVYPFMQNLSVAYRLRELKPGEPVVDWPTRKRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRRTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKKLDAIVDRNLNKNYNIQEVEAMIQVALLCTQASPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFDWGEDSLYNQDAIELSGGR >EOX92079 pep chromosome:Theobroma_cacao_20110822:1:5155461:5164619:1 gene:TCM_001089 transcript:EOX92079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase family protein isoform 3 MELFLGVLILACLLSFVSADFQGDALFALKNSLHASANQLTDWNPNQVNPCTWSNVMCDPSNNVISVTLSSMNFPGTLSPKIGVLKTLSSLTLKGNGITGEIPKELGNLSSLTSLDLENNHLTGEIPSSLGKHSFFRSSRTLSKNNLSGIIPQSISDLPKLINLLLDSNDLSGQVPDHLFRIPIYNFTGNRLSCGENFPHRCVSNGNDSGSLQKPKVGIIVGMVGGFIILLIVGGLLFFLCKGRQKGYKREVFVDVAGEVDRRIAFGQLRRFAWRELQLATDNFSEKNILGQGGFGKVYKGVLSDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPFMQNLSVAYRLRELKPGEPVVDWPTRKRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRRTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKKLDAIVDRNLNKNYNIQEVEAMIQVALLCTQASPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFDWGEDSLYNQDAIELSGGR >EOX92077 pep chromosome:Theobroma_cacao_20110822:1:5155759:5165069:1 gene:TCM_001089 transcript:EOX92077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase family protein isoform 3 MELFLGVLILACLLSFVSADFQGDALFALKNSLHASANQLTDWNPNQVNPCTWSNVMCDPSNNVISVTLSSMNFPGTLSPKIGVLKTLSSLTLKGNGITGEIPKELGNLSSLTSLDLENNHLTGEIPSSLGNLKKLQFLTLSKNNLSGIIPQSISDLPKLINLLLDSNDLSGQVPDHLFRIPIYNFTGNRLSCGENFPHRCVSNGNDSGSLQKPKVGIIVGMVGGFIILLIVGGLLFFLCKGRQKGYKREVFVDVAGEVDRRIAFGQLRRFAWRELQLATDNFSEKNILGQGGFGKVYKGVLSDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPFMQNLSVAYRLRELKPGEPVVDWPTRKRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRRTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKKLDAIVDRNLNKNYNIQEVEAMIQVALLCTQASPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFDWGEDSLYNQDAIELSGGR >EOX94909 pep chromosome:Theobroma_cacao_20110822:1:31974624:31978460:1 gene:TCM_004509 transcript:EOX94909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 4, putative MLQIEALKRTVNDWKIKHIFREGNNDADTLAKEGVNREVDLVEINNHVDAWSGWRLRFCSSPVVLTRNSDPIHWETTANFRLGVCTFSYIQTPAQNTGRDTIEQQQMGRKPCCSKEEGLNRGAWTATEDKILTDYIKARGEGKWRSIPKAAGLKRCGKSCRLRWLNYLRPGIKRGNITRDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTVLSKRAQVKKFDHTNKDETKQRFISWSKKAPTSSGVIQAKAGRCTKVFTTPQKQVIGRGENNNIARTAPSTDAKFVHETAVESGFSDGSFTLLSSKEENPSISKFAMDFDIGDINISEALASDFPQLGDFELRDINSVIYEYGTNDCGQALLSSEGMVGNWSGNDCVEANLDSDFGFLAAFLGSAEL >EOX94484 pep chromosome:Theobroma_cacao_20110822:1:29083762:29084912:-1 gene:TCM_004086 transcript:EOX94484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRVFSTFPFSLFDLFCYALSLSLSLLLNSVDRGHNRWKNEIAKGGFVRHMRDIVGEMLRLAVNKLQVQLSTTVL >EOX92503 pep chromosome:Theobroma_cacao_20110822:1:7202366:7204121:1 gene:TCM_001450 transcript:EOX92503 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 82, putative MGKNLLAPGFRFHPTDVELVKYYLERKVLGKKLAFEAIAELDIYKYAPWDLPAFSCLKTGDLKWFFFCPIEKKYARGARLNRATMYGYWKTTGKDRTVTYKDAVVGMIKTLVFHQGKAPHGDRTDWVMHEYRLEEKELADRGVVQDTYVLCVVFKKDGMGPRNGAQYGAPFKEEDWSDDEDVNLVGTGFLSGMSTLAIGGASILCAPESLCAGASVASSGLYTVPRVVHTNAAASITTVIDSNANAAPTVVDAPQVPVVVDKAVPASTEAPQLAQGPQVQELNDDIVSMLEFFREDDAFIMYDNGQVTGTANDSFFEAPQVSDDDDISSMLAFFTEDDNLNCVLFPFE >EOX96382 pep chromosome:Theobroma_cacao_20110822:1:37570068:37573622:1 gene:TCM_046993 transcript:EOX96382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MALSLRSHHRAGKQLFLYHSQLRSVLRCFSSRQSKTHSDGADEQKRGWDDKAKSSTKTKRAKSMARVINSTPWSSELESSLSSLSPSLSKTTVLQTLRLIKAPSKALQFFDWVQKMGFPHNAQSFFLILEILGKERNLNAARNLLLSIEKRSNGSVKLEDQFFNSLIRSYGKAGLFQESIKVFETMKGIGVSPSVVSFNNLLMILLKRGRTNMAKSVFDEMLSTYGVSPDVYTFNILIRGFCMNSMVDEGFRFFKEMERFKCDPDVVTYNTIVDGLCRAGKVGIARNVVRGMSKKSLDLNPNVVTYTTLVRGYCMKQEIDEALVVFKEMISRRLRPNRITYNTLIKGLSEVHEYEKIKEILEGMGEDGRFVPDTCTLNTLINAHCNAENMDEALNVFKRMSELNVLPDSATYSVIIRSLCQRGDFEKAEEFFDELAEKEILLSDVGCTPLVAAYNPMFEYLCGNGKTKKAEIVFRQLMKRGRQDPPAYKTLILGHCREGTFKDGYELLVLMLRRDFEPGFEIYDSLICGLLQKGEPLLAHLTLEKMLKSSHLPQTSSVHSILAELLKKSCAQEAASLVTLMLDTRIRQNVNLSTQTAKLLFARRLQDKAFQIIGLLYDNGYVVEMEELVGFLCQSGKLLEACKMLQFSLEKHKSVDIEMCSMVIEGLCNSKRLSEAFGLYYELVERGKHQQLRCLENLKIALEAGGRLDEAEFVSKRMPKPAAL >EOX92880 pep chromosome:Theobroma_cacao_20110822:1:9122828:9126370:1 gene:TCM_001736 transcript:EOX92880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MEREEDYRRKERIALVAIVVLASVAVASLFVAFSYYCYIRNKVLSKRSKTQNSMVDCKDKASSTDLEVAMDKGLHVFTFKQLHSATGGFSKSNVVGHGGFGSVYRGVLSNGKKVAIKLMDQAGKQGEEEFRMEVELLSRLRSPYLLALIGYCSDSSRKLLVYEFMANGGLQEHLYPISGSNNVTLKLNWETRLRIALEAAKGLEYLHEHVSPPVIHRDFKSSNILLDKNFHAKVSDFGLAKLGSDKAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDAKRPPGEGVLVSWALPRLTDREKVVQIMDPALEGQYSMKEVIQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKTHRSTAKVGSCSSFHIAKSPTSQDPSKASL >EOX96726 pep chromosome:Theobroma_cacao_20110822:1:38785380:38786731:1 gene:TCM_005916 transcript:EOX96726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGEFLLKIWVNALKDFHYDMEDLLDEYSIETRQCRWSTECQESTAEQGLSSPLLPYYLDIVGYLMMTRGLLASSLNFWLSIQGLFAPDMYL >EOX93515 pep chromosome:Theobroma_cacao_20110822:1:13668194:13670168:-1 gene:TCM_002402 transcript:EOX93515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,2-N-acetylglucosaminyltransferase II MLSVVCSMANYKKPRLKDAAFKRLLSVVLVTLLGVSLLIVSLRTNVNPASNGFDEDLSGSDDIQSSSGKLNLPQQNEFSIQLEKRNWLPPRNVDLYPKLAKGHITIVLYVHNRPQYLQVVVKSLSKVVGISETLLIVSHDGYFEEMNKIVEGIKFCQVKQIFAPYSPHVFTDSFPGVSSNDCMEKDDAEKKHCIGNPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLKETRGHDGHILFIEEDHFIYPNAYRNLQLLVSMKPNKCPDCYAANLAPCDVNSRGEGWDSLVAERMGNVGYAFNRTVWRKIHRKAKEFCFFDDYNWDITMWATVYPSFGSPVYTLRGPRTSAVHFGKCGLHQGQGQSNACIDNGSVNIQVDEIDKVANIGSEWDVRVYHNQPGYKAGFKGWGGWGDDRDRQLCLSYSQMYHSFNTSSAVMS >EOX90801 pep chromosome:Theobroma_cacao_20110822:1:665869:671331:1 gene:TCM_000169 transcript:EOX90801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radiation sensitive 17, putative MGRRNTIVISSSDDEDYEPSSRMKRSYEKPKLRSSVTRTNPSRAKKPRLSRLSRESSNVDEMRLAFEDFDEVLNGFKVSAGYRRSNSKELWVDKYKPRSMEELAVHKKKVEEVKSWFEERLRTPKGEIGSSVLIISGQAGVGKSATVRLIASQIGAQLCEWNTPTPTIWQEHVHNLSAGINYTSKLDEFESFVERVRKYGLISSSFNGKSKSSIILVIDDLPVTNGRAAFERLRRCLVLLVRSTWVPTAILITDYGDADSPGLIARWLEELQSSLESAGARKVSFNPITNNSIKKILSRICRQEHCNVAAEDIDLIAKASGGDIRHAITSLQLYCLKPDVEYDLPASNSILSYPKENANELNAFNGGFSSQYGRDETLTLFHALGKFLHNKRETENVAGLDQNAFCVGEKFSRLPFKMDSPEKILCQAHGQSRPITDFLHENVLDFLSDEAMDDAWAVASYLGDADLLLASFRGMLAKHSETENVLQSAAASVAVRGVLYGNFHPSPSRWHAIRKPKLWQIEQSLSHNQVNKISSVSSSFRNLKFTYKAFKKKFPPVIGSLQNEMLRQRFILYGGSSLSEVSSIATEYTPALKWLGYRTSGGLETRDSDNDSSQRMSIDEQESEISDDDIEDCLPKKQTKHSLTEIAEPGRRGMASRVTRSRRQQPSQQQEQQSRARWTTFLTKILADLLVEQVHRGNRQNSSFSKKAWKSMCDDFCKKTSLKWDKEQLKNRYGVLRRQYVLVKSLLDQTDFSWNESTGDVIGNDEAWAEFIKGHPDAETIKTSGCPIYKQLCTIFSEPTTNGKHDYSAELGGDVPSSLPSLEPLSTIQEESSSESEEAEDVADDQDDTVQPSAPGINRKRGRKGIDDAIAAAILEMAAASKLRTAAVRQSKARYSIASCIKELDELQGVEERVYFAALDLFNNPNAREMFLSLKGDKRLTWLQRKCVAPSNARG >EOX94408 pep chromosome:Theobroma_cacao_20110822:1:28338409:28339822:-1 gene:TCM_003988 transcript:EOX94408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGKASGFVILSNTLTLDTILIIPSLKCNLLSVSQITFTLDCIVTFGPLFCIAQDILTRNILGYGVKRDKLYYLELTESGR >EOX96102 pep chromosome:Theobroma_cacao_20110822:1:36608395:36610841:1 gene:TCM_005434 transcript:EOX96102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASSTISRQRKHFPLERRPRMLKDFLLDDSNSCSSNGFKSFPRKTCQSIRNLIETDLNSSHAKPSYAQQLQRSRSKAASTTISTFQAMIKAVRNIHFTSVKSPSILPRSLSRKLSKKNSQKETETRTTVRVKDIIRWKSSRDLVEEKFPPADFASSPHHCTTRSTTTTTTTGSKSTPCSSNSSSWCDSDFTSEYLPSEEYHESEVDVGKKFLPCVGKDPMETTTGLAANTAVGPKQGRKHASEEKEQHSPLSVLDFEYEEDDEESLSSFNRSLATMERKRQKLMQNIQRFESLAKLEPVNLEKWMSLEETEEDGEDDDVEEEKTNEVEEKAWQLLNHVKETSLLKSYRYISIDKLLLDLFREELATKWNETRKEEVEHDMIRQAEAWINGEQNETAKWRVWEKREAYVRDMDREGKWRKFEEEQEELALEVESRVMNILVDELLFDLL >EOX96103 pep chromosome:Theobroma_cacao_20110822:1:36608478:36611007:1 gene:TCM_005434 transcript:EOX96103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASSTISRQRKHFPLERRPRMLKDFLLDDSNSCSSNGFKSFPRKTCQSIRNLIETDLNSSHAKPSYAQQLQRSRSKAASTTISTFQAMIKAVRNIHFTSVKSPSILPRSLSRKLSKKNSQKETETRTTVRVKDIIRWKSSRDLVEEKFPPADFASSPHHCTTRSTTTTTTTGSKSTPCSSNSSSWCDSDFTSEYLPSEEYHESEVDVGKKFLPCVGKDPMETTTGLAANTAVGPKGRKHASEEKEQHSPLSVLDFEYEEDDEESLSSFNRSLATMERKRQKLMQNIQRFESLAKLEPVNLEKWMSLEETEEDGEDDDVEEEKTNEVEEKAWQLLNHVKETSLLKSYRYISIDKLLLDLFREELATKWNETRKEEVEHDMIRQAEAWINGEQNETAKWRVWEKREAYVRDMDREGKWRKFEEEQEELALEVESRVMNILVDELLFDLL >EOX96702 pep chromosome:Theobroma_cacao_20110822:1:38715517:38717021:-1 gene:TCM_005894 transcript:EOX96702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein MSTIPMATLSSPSSILSSSTAKIPPAKPPPPSPSPSPSLFSTKPLATALTTTLATTTLLVSPPSIAAQPSTYHLYYGTAASAANYGGYGGNSDKKASAEYVYDVPDGWKERLVSKVEKGTNGTDSEFYNPKKRQEREYLTFLAGFRQLAPKDVVLNNLALSDVELQDLIAGADSFVSEEKKDENGQIYYVYEIDGIGKHSLIAVTCAKNKLYAHFVNAPAPEWKRDEDTLRHIHDSFKTVGQF >EOX90740 pep chromosome:Theobroma_cacao_20110822:1:505203:507206:-1 gene:TCM_000126 transcript:EOX90740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKQVKQRNKVLPFLPKAASAVTFQVSTPISPAGKGYSGPIVSLIPKEARRKSKNGSFDEPTSPKVSCMGQIKCRKKNETVTPPQVATEEMKRKRFLMLRVLKGTKSGHRFDVSNAGADQVAESVPSSLRQMKQFSSARGTLSDFDWMAYEAEGAGEAGCVSNPLYEEKGVKSEKEAKVVVNGVGVVEEIRRENLWTRRTTASLTPIQFTIPVRKSPPINKAQRSRKLAEGSWVSKSCPKLETGVRRNP >EOX93260 pep chromosome:Theobroma_cacao_20110822:1:11813233:11817891:-1 gene:TCM_002115 transcript:EOX93260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MQNDEMDESVKQKMAVEIPKDLITQLQIALRKQANVPSYDPNDSSLPNLPSLPHLISDSPHFRCLHCKAHLLRGPDSLFCIFCGKCQSNSETPPQPIKFKSTSGYRWFLRSLNLDGSETVGESLEGNEWNRGPRQEFRLSDLLDLEIRWNDAEPESFESSLGKNNHLNLAGLDLDDDFLAERKGDSVSIPTQGTLPLKEEIDSTGSEFQSRQNLSLFENQVSKSSGSVSGWQADFQSADSRTDHNAISSQSSDPFVGSSKDLSAHVDMVSGQVNNLFDGKEDDNQSSSKSQTNNSFRDDMQSNSTSGVRIDQANISSSANVDWVQGDQGQIIGNNTPNKRTPDDDDDSFDAWNDFKGSASAPDAAKTYWDQTTDGMKSMNEKVHDSFSGWGPGSESTAFETQHEVSKSFDNFAGSSADLSTHTDSVFGTGKDSFHGKAVDNRTSSHTNWFQDDLWSNSTSGTVHHAEQSDLNVGNKDDGMLGNTKSPVSVNGIEDDQWPTSSNKAVDDGTNDEDDDSFGAWNDFKGSSAWGSSISSWKEPANCSSSTEEKSSDPFSGWDTDFQSASSTNHNDSSKSFDPLVGSSIDLSDHMDTVFASGKDFVDGKAKDGSNVSSTNNWFQDDLWSNSTSKVTCQAENFDATIDVMDSGAAQSMHNSPSMNVDWFPDDQWLTGNNKAPDRKNVDKSDNSFREWNDFKSSTTMQDAFSDPSKQAARPDKITIDDNDDLSAAWNDFTSSISANDPSSISFKHTVNHEKPSIGTSEIHFFSMDSNSHDNNSGNLSQPDLFPRSFSNQNGSTEAPVSNRMADASVRGGSNAEVAKNGGFSSATTGSKTDDIEILMSQMHDLSFMLERNLSIPPKVDEYN >EOX93261 pep chromosome:Theobroma_cacao_20110822:1:11813298:11817532:-1 gene:TCM_002115 transcript:EOX93261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 DPNDSSLPNLPSLPHLISDSPHFRCLHCKAHLLRGPDSLFCIFCGKCQSNSETPPQPIKFKSTSGYRWFLRSLNLDGSETVGESLEGNEWNRGPRQEFRLSDLLDLEIRWNDAEPESFESSLGKNNHLNLAGLDLDDDFLAERKGDSVSIPTQGTLPLKEEIDSTGSEFQSRQNLSLFENQVSKSSGSVSGWQADFQSADSRTDHNAISSQSSDPFVGSSKDLSAHVDMVSGQVNNLFDGKEDDNQSSSKSQTNNSFRDDMQSNSTSGVRIDQANISSSANVDWVQGDQGQIIGNNTPNKRTPDDDDDSFDAWNDFKGSASAPDAAKTYWDQTTDGMKSMNEKVHDSFSGWGPGSESTAFETQHEVSKSFDNFAGSSADLSTHTDSVFGTGKDSFHGKAVDNRTSSHTNWFQDDLWSNSTSGTVHHAEQSDLNVGNKDDGMLGNTKSPVSVNGIEDDQWPTSSNKAVDDGTNDEDDDSFGAWNDFKGSSAWGSSISSWKEPANCSSSTEEKSSDPFSGWDTDFQSASSTNHNDSSKSFDPLVGSSIDLSDHMDTVFASGKDFVDGKAKDGSNVSSTNNWFQDDLWSNSTSKVTCQAENFDATIDVMDSGAAQSMHNSPSMNVDWFPDDQWLTGNNKAPDRKNVDKSDNSFREWNDFKSSTTMQDAFSDPSKQAARPDKITIDDNDDLSAAWNDFTSSISANDPSSISFKHTVNHEKPSIGTSEIHFFSMDSNSHDNNSGNLSQPDLFPRSFSNQNGSTEAPVSNSRMADASVRGGSNAEVAKNGGFSSATTGSKTDDIEILMSQMHDLSFMLERNLSIPPKVDEYN >EOX95692 pep chromosome:Theobroma_cacao_20110822:1:35254126:35254816:1 gene:TCM_005137 transcript:EOX95692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGILFRGECGRRECCPLMKTGPTTHACDPYGISNHSHICRFLTKIPSVEYPRLLTNTYLHVQILTRHISRFRSPPTIMRKSRERLCHSWPVSCELFCSWPWGNGKGRWWVRR >EOX94034 pep chromosome:Theobroma_cacao_20110822:1:19439289:19439855:1 gene:TCM_003121 transcript:EOX94034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNPTQNLMIMLVLILCMSQSLVTYSIMNRCRVHVINGFSTNETLEAHCKSKDDDLGLHHIPVHGEFQWKFRTDFFSRTRFSCHMWWSGGQKTLDVFWVDDKFIENECGGGNCRWRSQNDGIYLYSFVHKQYRFKYKWDPWHS >EOX95380 pep chromosome:Theobroma_cacao_20110822:1:33972621:33973980:-1 gene:TCM_004893 transcript:EOX95380 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIM1-interacting 1, putative MATEKRSHVWNGEDEEQEDDQKMEQFFALIRNFREARNRRKDELRQREEITKKKKQNKIRKLDIDEQSSWVPTFELADFTEEIEFRRSPIIFPSPYNKKEDKKKQEDDGLDLKLTL >EOX93444 pep chromosome:Theobroma_cacao_20110822:1:13140281:13144275:-1 gene:TCM_002319 transcript:EOX93444 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor isoform 2 MASRLYHQVKQEVEPYCLPQFPTIDRSLCYSDSSQGSHFSVKNSSELYCTLESSSANGSYTVYNSSSTVSFSPNGSPMSQQESQSYPSDLHHSPDNTYGSPISGSCITDDVSDLRHKLRELETVMLGPDSDIIDSTTSPDMGSWNLVMDAISRGDLKQVLVSCAKAVSDNDLMMSQWLMDELRRMVSVSGEPIQRLGAYMLEGLVARLASSGSSIYKALRCKEPASADLLSYMHILYEVCPYFKFGYMSANGAIAEAMKDEDRVHIIDFQIGQGSQWITLIQAFAARPGGPPHICITGIDDSTSAYARGGGLNIVGKRLSKLAEHFKVPFEFHAAAMSGCEVQQEHLRVRPGEALAVNFAFMLHHMPDESVSTENHRDRLLRLVKSLSPKVVTLVEQESNTNTAPFFPRFLETLNYYTAMFESIDVTLPRDRKERINVEQHCLARDVVNIIACEGPERVERHELMGKWRSRFRMAGFCPYPLSSLVNATIKTLLENYCDKYRLEERDGALFLGWMNRDLVASCAWK >EOX93442 pep chromosome:Theobroma_cacao_20110822:1:13139133:13144249:-1 gene:TCM_002319 transcript:EOX93442 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor isoform 2 MFQNNILATLESFDFLSPLQRDRETVEVNYPSVQASQQYRSAVMASRLYHQVKQEVEPYCLPQFPTIDRSLCYSDSSQGSHFSVKNSSELYCTLESSSANGSYTVYNSSSTVSFSPNGSPMSQQESQSYPSDLHHSPDNTYGSPISGSCITDDVSDLRHKLRELETVMLGPDSDIIDSTTSPDMGSWNLVMDAISRGDLKQVLVSCAKAVSDNDLMMSQWLMDELRRMVSVSGEPIQRLGAYMLEGLVARLASSGSSIYKALRCKEPASADLLSYMHILYEVCPYFKFGYMSANGAIAEAMKDEDRVHIIDFQIGQGSQWITLIQAFAARPGGPPHICITGIDDSTSAYARGGGLNIVGKRLSKLAEHFKVPFEFHAAAMSGCEVQQEHLRVRPGEALAVNFAFMLHHMPDESVSTENHRDRLLRLVKSLSPKVVTLVEQESNTNTAPFFPRFLETLNYYTAMFESIDVTLPRDRKERINVEQHCLARDVVNIIACEGPERVERHELMGKWRSRFRMAGFCPYPLSSLVNATIKTLLENYCDKYRLEERDGALFLGWMNRDLVASCAWK >EOX93443 pep chromosome:Theobroma_cacao_20110822:1:13140554:13142134:-1 gene:TCM_002319 transcript:EOX93443 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor isoform 2 MASRLYHQVKQEVEPYCLPQFPTIDRSLCYSDSSQGSHFSVKNSSELYCTLESSSANGSYTVYNSSSTVSFSPNGSPMSQQESQSYPSDLHHSPDNTYGSPISGSCITDDVSDLRHKLRELETVMLGPDSDIIDSTTSPDMGSWNLVMDAISRGDLKQVLVSCAKAVSDNDLMMSQWLMDELRRMVSVSGEPIQRLGAYMLEGLVARLASSGSSIYKALRCKEPASADLLSYMHILYEVCPYFKFGYMSANGAIAEAMKDEDRVHIIDFQIGQGSQWITLIQAFAARPGGPPHICITGIDDSTSAYARGGGLNIVGKRLSKLAEHFKVPFEFHAAAMSGCEVQQEHLRVRPGEALAVNFAFMLHHMPDESVSTENHRDRLLRLVKSLSPKVVTLVEQESNTNTAPFFPRFLETLNYYTAMFESIDVTLPRDRKERINVEQHCLARDVVNIIACEGPERVERHELMGKWRSRFRMAGFCPYPLSSLVNATIKTLLENYCDKYRLEERDGALFLGWMNRDLVASCAWK >EOX93445 pep chromosome:Theobroma_cacao_20110822:1:13140278:13143996:-1 gene:TCM_002319 transcript:EOX93445 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor isoform 2 MASRLYHQVKQEVEPYCLPQFPTIDRSLCYSDSSQGSHFSVKNSSELYCTLESSSANGSYTVYNSSSTVSFSPNGSPMSQQESQSYPSDLHHSPDNTYGSPISGSCITDDVSDLRHKLRELETVMLGPDSDIIDSTTSPDMGSWNLVMDAISRGDLKQVLVSCAKAVSDNDLMMSQWLMDELRRMVSVSGEPIQRLGAYMLEGLVARLASSGSSIYKALRCKEPASADLLSYMHILYEVCPYFKFGYMSANGAIAEAMKDEDRVHIIDFQIGQGSQWITLIQAFAARPGGPPHICITGIDDSTSAYARGGGLNIVGKRLSKLAEHFKVPFEFHAAAMSGCEVQQEHLRVRPGEALAVNFAFMLHHMPDESVSTENHRDRLLRLVKSLSPKVVTLVEQESNTNTAPFFPRFLETLNYYTAMFESIDVTLPRDRKERINVEQHCLARDVVNIIACEGPERVERHELMGKWRSRFRMAGFCPYPLSSLVNATIKTLLENYCDKYRLEERDGALFLGWMNRDLVASCAWK >EOX94373 pep chromosome:Theobroma_cacao_20110822:1:28160440:28166432:-1 gene:TCM_003961 transcript:EOX94373 gene_biotype:protein_coding transcript_biotype:protein_coding description:No pollen germination related 2 MKGKIRIKKPRSGGLREDLGQIMKCLCTGEPITKVDKMIPSSESLATKDYSLSGYSSKVSDSEKKPDSRNIEEAELSLRESSSLNYEEARALLGRIEYQKGNIEAALHVFEGIDIAAITPKMKLTLSRRVERRKRRSHDYAPPPMSIHAVSLLLEAIFLKAKSLQHLQRFKEAAKTCKVILDIVESSLPEGLPENFGADCKLQETLNKAVELLPELWKFSDSSREAILSYRRALLHQWNLDAETTARIQKQFAIFLLYCGGEASPPNLRSLMDSSFVPRNNIEEAILLLMILLRKVSLKRIEWDPSILDHLSFALSMSGDLRALANQIEELLPGIINRKERYHILALCYYGAGEDLVALNLLRKLLSSREDPDCVQALLMASRICGEKLNFAEEGISFARRALESLDDECSELEGTGNFLLGVALLGHSKSAPSNSERFARQSEALQALGSAGKITSMKDPNFLYYLSLGNAEQRKLEAALYYAKSSLKLEGGSNIRGWLLLARILSAQKRFMDGEIVLNAALDQTGKWDQGELLRTKAKLQIAQGRLKSAIETYTQLLAVLQVQSKSFGSGKKLHKDCGYSSRSFEQEIWHDLAYLYISLSQWRDAEICLSKSAAISSYSAVRNHATGVLYERKGLLKEALKAYRNALDMDPNHVPSLTSAAGVLRQLGSQSIAIIKSMLMNALRVDRMNPSAWYNLGLLHKAEDTASSLEEAAECFEAAAILEESAPVEPFR >EOX92126 pep chromosome:Theobroma_cacao_20110822:1:5337114:5347385:1 gene:TCM_001122 transcript:EOX92126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin 2 MATLKVPAHVPAPSEDAEQLRKAFEGWGTNEQLIIDVLAHRNAAQRNLIRISYGEAYGEDLLKSLDKELSSDFERAVLLFTLDPAERDAYLANEATKRFTSSNWVLMEIACTRSSYELFNVRKAYHARYKKSLEEDVAHHTTGDYRKLLVPLVSAFRYEGEEVNMTLAKSEAKILRDKISDKHYNDEEVIRILTTRSKAQLNATLNHYNTAFGNAINKDLKADPNDEFLKLLRAAIKCLTVPEKYFEKLLRQAIVKLGTDESALTRVVTTRAEVDMVRIKEEYQRRNSVTLEKAIAGDTSGDYERMLLALIGCGDV >EOX93928 pep chromosome:Theobroma_cacao_20110822:1:17494060:17498901:-1 gene:TCM_002927 transcript:EOX93928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSRTIPSWTLFGLIRAFLDVAVAYFLLCGSTLGFFAWKFYHVFGLYYLPCPCTGFFGYQNSNLCWHKLLIEWPARKIYSVQKLALNRFPFNLVWFNDQECNLNAKYIKDRKFGNGVIESDGEACSSSPSGLRLRTMVDKESGYDAKGKKIINQKQKSGIRRCRRAAFGYGKSSPVLLSGNFSSAVAGVSCSSYNGGETRSEISEHLGPVSEIDDSFPDNKNNQTGTDGGDGTWHGFEFSNGEEKVSTSMKKINCNTNGKLGITGDEANRIRMLEQALEEEKAAYAALYLELEKERAAAATAADEAMAMILRLQEDKASIEMEAMQYQRMIEEKFAYDEEEMNILKEILVRREKENHLLEKEVEAYRQMNILEDLQQEHDLSYNLSKGQQTPLVSVGLDEDPLLMMNQMGNSGYTRKKEVGKGSSWPSKNEAPSAGKRSHTVAVNLAGKGKAQVDDAIVCQAIATKAAQNVCSIEKTSLSEEGLESNAELGDQLGSNLHNSTLDMEPDIYDVHVVDDTLDIPREENIKESTLPTFSASDHKNSLCDSGRSSFCAVSNERLEIDAEIERLRGRLQVVQGEKEKLTFSADQRERLDTQLKLIEEMVNQLREFQQLKEPVQQSSVPPLASSSKVSSNRRCCRSASDEMDGSA >EOX94473 pep chromosome:Theobroma_cacao_20110822:1:28920885:28921600:-1 gene:TCM_004062 transcript:EOX94473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MEQNRKKVEEGESSVRYRGVRRRPWGKYAAEIRDSTRHGARLWLGTFETAEEAARAYDRAAFSMRGPLAILNFPDEHIISLTTANSAPPPLPCSSSSSSASMAASSSFFENVESKKDHENQVLELEYLDDKLLEELLDVENKTKKE >EOX92295 pep chromosome:Theobroma_cacao_20110822:1:6122313:6123013:1 gene:TCM_001261 transcript:EOX92295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRMPLPFYIFFSPNLSMNKSRIRSFTLFLLWFIVLSHQILSVVCQRAQYNEEKPSLFQVVSSTISMLKKSHKSSWEKIKTIIHDFQLQFTPPNLDFRGTGTATASGSDSVGENMKEAVKKSIGTSKVTVEETAKSAAEIAEGAVHKTKEKVKEIVSDKEESQDEL >EOX92258 pep chromosome:Theobroma_cacao_20110822:1:5976348:5980651:-1 gene:TCM_001231 transcript:EOX92258 gene_biotype:protein_coding transcript_biotype:protein_coding description:CPR-5, putative MDVLFSSSPPSLQPYESPACSTALPPNDDSDPTVENHSPSPRPLINRKKKKTIDKDAPSSSPCSASCSSSIQRGTRVPFKRRITRVRFGPVRRSEVGVVESIALPLGMSFAAVVAKVLERKDVTNESLSPDYFSVICTSAVRESLANVFGDKFDCFVRNFEKSFGSTLRTLRLINESSKHKEKNLLDSNNVESSTSDETSSRSGCYISSFDIKDSNSEVDLPCIATQNQWNIYEEVQENIQTDSMNQELAIPGQVNKLACVTPSSVLNQSVLGTIEKSVIEQVRSNNLKTLELGLTMKKLKLKERQLALNIDSNHLERSKLAMGISKASFKAEKFKNQLEDTRHAELLKKCIDCLVAGLFIMTFFLIYGAYIYSYKRITDATSSCNPSLEESKSWWIPKPMASFNSGLHTLKCQVQVMSQMMFAFLMILAVAYLIVQRSAISKQTMPVTFIMLLLGIACGLAGKFCVDMLGGNGYYWLVYWEILCLLHFLSNVFTSVLFIILHGPFNVSQAMRQRPLLPYWIRRSLFYSIILLILPLLCGLMPFASLSEWKDHFMVLVLDADGGS >EOX95388 pep chromosome:Theobroma_cacao_20110822:1:34022508:34023566:1 gene:TCM_004901 transcript:EOX95388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEISVEKINVKDANYFYDAYNVHVEDHRILTIVTYCEVTAGKWIKDSKKAYNSKPLPNTVIVGLSVERRLDDYSRYGLRDTPYQLLHLCIGSHCLIYHLPDRYDYRDAPVKFLNSFLSDPKVVVVGMEIKEKASKLKRDFGVKIKNVVDLNELALKGMNRDELDLGRYDLDKLAKAVLGKHVDVVRPEKKIEWFATGKWCHYYSDELSQEKVLFTTVDAYLYFWIGSELFDMIHGNDSSAAGSSKKNKKKKNKKK >EOX92150 pep chromosome:Theobroma_cacao_20110822:1:5472757:5475801:-1 gene:TCM_001144 transcript:EOX92150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein MVSFFEERPWNMGAGQNVISDAKSVLNMSITMTVSAQKPPAPPGYISISRKKLLQNLEINAGGRINAWVDSMRASSPTHIKSTPSLIDDQSSWNLQHPSALEMFEQIIDASTGKQIVMFLDYDGTLSPIVEDPDRAFMSKKMRKTVRKLAKCFPTAIVSGRCRDKVYKFVKLAELYYAGSHGMDIKGPEKRSKSNKDSESVLFQPASEFLPMIDEVYKQLVETTKSTPGAKVENNKFCLSVHFRCVDEKKWTELAQQVRSVLKEYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGFANCTDVFPVYIGDDRTDEDAFKILRDRGQGFGILVSKFPKDTSASYSLQEPDEVMDFLRRLVEWKQLSVQAQSRM >EOX96273 pep chromosome:Theobroma_cacao_20110822:1:37187657:37189661:-1 gene:TCM_005551 transcript:EOX96273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF641) [Source:Projected from Arabidopsis thaliana (AT3G60680) TAIR;Acc:AT3G60680] MDGYPAPTTPKTPQISEMFQKFALAFKTKTFEFFADDEDNNKNPSDSDGFSLLDSNEDFITDQKVVVIKPDPPPNSSSSINNSFQKRTIDTQIADSLISSVFAAVSSFEASYLQLQTSHVPFVEESVKAADRALVSHLRRLSDLKYFYREIRKNPNFEAGLSLGSCLEAQVQENQSKLRALETVSNRLQEEIDEKDNDVSSLRKKLAEIQWANTKLSKKLSGNLNSACDVLLTVRVFYAVLHDACRATHKFSKILIGLMRKAGWDLHLVADSVYPDIDYAKEGHNRFAFLSYVCLGMFRGFDLEGFGLNENEPLCNGNNATCSLKQLLEHVSSNPMELLSRNQNCEYSRFCEKKYQDLIHPTMESSIFSNLDQNEVVLSSWRSLSIFYESFVNMASSIWTLHKLAFSFDPVVEIFQVERGVDFSIIYMEDVTKRYNLPGETRVKVGFTVVPGFKIGRTVIQSQVYLSGSKCTG >EOX91591 pep chromosome:Theobroma_cacao_20110822:1:3219452:3223130:1 gene:TCM_000731 transcript:EOX91591 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein, putative MASTGQHVENDTASSMIEQLCKAVLELEACKDASEKVQWTEIELHFRDLEMTLKRKAEELESKEKEYEEKEAETHVLIAEREAAVAAKEQDFLDRVQKLKDAASAAIAEARANFHPTSMEPLDAGDNKYTKVSSSVGDRNSPDEDFPHKTGENTENVAADGKPRPELTQFCEQMDAKGLLNFVMENQKNLYAIRQELPVALESASEPARLVLDSLEGFYPPDETTQTVDKRDAALQGMRKSCVVLIEAMATFLARIDPGADHLLNPETKQQAKAIADEWKPKLSSAGTDAANGNSLEAEAFLQLLATFRIASEFDEEELCKLVVVVAHRRQAPELCHSVGLTQKMPGVVELLINSGRQIDAVRLIHAFQLSESFPPVPLLKTYLKDLRRNSQGKGGNSGAAAGAQGDVNAQELAALRAVIRCVQECGLEADYPLDPLQKRFAQLEKSKSDNRKRVGDSGKHLQPKKARPNGGFRGFRGPPGRQAPPVYNNRSAYAGMPERYPHAGPNPYNYQVPNQPTYPPQANDQRLYYYSQDDRGPAPSFNAATSNYGSYGGSGQPYM >EOX95059 pep chromosome:Theobroma_cacao_20110822:1:32753879:32757744:-1 gene:TCM_004641 transcript:EOX95059 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain-containing protein MKFCKKYQEYVQGQEKKLPGVGFKKLKKILKKCRRDFQSKNDVNGVLAIQTCPQHCPVCDGTFFPSLLKEMSDVVGCFNERAQKLLELHLASGFRKYFIWFKGKLQGSHVALIEEGKDLVTYALINAIAIRKILKKYDKVHYSKQGQAFRSQAQSMHMEILQSPWLCELMAFHINLRETKVKSRKTPALFEGCYLTFNDGKPSLSCELFDSVKLDIDLTCSICLDTVFDPVSLTCGHIFCYMCACSAASVTIVDGLKAAEPKEKCPLCREAGVYEGAVHLDELSILLRRSCHEYWEQRLKTERVERVRQAKEHWESQCRAFIGA >EOX94562 pep chromosome:Theobroma_cacao_20110822:1:30187546:30195032:1 gene:TCM_004197 transcript:EOX94562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSIGAKLDVYFNLSGGESSDKGKGIVPEDQYSSGKRATDIKLCEVPVGIPGDECPSGHRGGCHGLEGSFKS >EOX96229 pep chromosome:Theobroma_cacao_20110822:1:37069382:37073281:-1 gene:TCM_005524 transcript:EOX96229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor protein kinase MFMPLFLLFLLSLLPFSLASPTERELLLQIKASLDPQNRFLSSWTPYTDPCSSGSFDGVACNEEGHVANISLQGKGLSGQIPAALGGLKSLTGLYLHFNALSGGIPKEIATLSQLSDLYLNVNDLSGEIPSQIGNMANLQVLQLCYNKLTGSIPTQLGSLKKLNVLALQYNRLTGAIPASLGDLEMLTRLDLSFNSLFGPVPVKLADAPMLKVLDIRNNTLSGNVPPVLKRLNSGFQYENNLGLCGVGFSDLKDCADSGKIYRDRPEPFKPSGLNRTDIPESADLQPADCSKTNCSRSSKSLTIGVISGMVGVVLVLTVAALFTFAWYRRRKQKIGSAFDTSDGRLSTDQAKEVYRRSASPLISLEYSNGWDPLDKGRSGNGFSQEVFESFMFNLEEVERATQCFSEVNLLGKSNFSAIYKGFLRDGSVVVVKCIAKTSCKSDESEFLHGLKILTSLKHENLVRLRGFCCSKGRGECFLIYDFVHNGNLLQHLDVKPGSGKVLEWSTRVSIIYGIAKGIGYLHGSKGSKPALVHQNISAEKVLIDNRSNPLLSDSGLHKLRTDDIVFSTLKASAAMGYLAPEYTTTGRFTEKSDVYAFGMILFQILSGKRKITQLIRLGAESCKVEDFIDMNLEGKYSDSEATKLGRIALLCTHESPSHRPSMDNVIQELNGLMSSS >EOX95155 pep chromosome:Theobroma_cacao_20110822:1:33082461:33085184:-1 gene:TCM_004708 transcript:EOX95155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein, putative MIALLRRAAKSFPTSIIYATMHSNIWHHFFKRPSQCTPIIHQVFNSTTLLREDMSMAPKNFFFATLFMLPLLLPTAALDSDGEYKPNPNLQKPYVEKEKLLSTMIGIQGLVYCRSGSQFIPLEGAVARITCQGVDKYGYETESFSILSCATDAKGYFIATVSPYEVKDSRRLRECKAFLELSPSDACDVPTDVNQGITGAPLASYHLLHDKNMKLFTVGPFFFIPQKDAKSIWPDGY >EOX93398 pep chromosome:Theobroma_cacao_20110822:1:12701883:12702332:1 gene:TCM_002258 transcript:EOX93398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MSVEVLDSATIVNFVEDEEAFNVWICDRFAHLDTDHDGLLSYAELLKELQSLRVFETHFGIDVKTDPKELAHVYNSLFVQFDHDSNGTVDLGEFKAESKRMMLAMANGMGFLPVQMLLEEGSFLKKAVDRESTKLAA >EOX96778 pep chromosome:Theobroma_cacao_20110822:1:38952449:38959314:-1 gene:TCM_005949 transcript:EOX96778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRLHRFANQSQVSQISEFDDDVDSSASVALLNEFKRYKIAIGMEEDKWKLEKYLSLNEPNATDSDDFDVLMWWKLNSHRYFTLALLARDVLAILSSTIASESAFSTGGRVLDAYKSSLMPKMMQALSCAQDWQRGPFYYLYDIENDLVELEKVDEVMCLLAAAENQSQAPVIALPFVAPPLSLASFLPSEHPSVVEYFIVVFI >EOX95212 pep chromosome:Theobroma_cacao_20110822:1:33335807:33337696:1 gene:TCM_004763 transcript:EOX95212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAYDLSNKAFGFPDHEPTYNWRTTIANECERPPILLIYIFIILFFLFLKEKKKKTLCDKQEKNTIMQGQLSWHEINIAL >EOX94706 pep chromosome:Theobroma_cacao_20110822:1:30958683:30959913:1 gene:TCM_004317 transcript:EOX94706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative isoform 1 MASRGLEMCLILVLMGMLWAKADAQSCTTALTSLFPCLNYITGNSSTPSPTCCSQLKSVVQSSPQCLCSALNSGASLGISINQTLALQLPSACQVQTPPISQCNAGTPSTPATPAAPPAASPTGSPSDSSDETPEGAITPSASDVPSGSKSVPSVDGGSSDASTAKASLRLILFLLFIATVTKY >EOX94705 pep chromosome:Theobroma_cacao_20110822:1:30958683:30959913:1 gene:TCM_004317 transcript:EOX94705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative isoform 1 MASRGLEMCLILVLMGMLWAKADAQSCTTALTSLFPCLNYITGNSSTPSPTCCSQLKSVVQSSPQCLCSALNSGASLGISINQTLALQLPSACQVQTPPISQCNAGTPSTPATPAAPPAASPTGSPSDSSDETPEGAITPSASDVPSGTGSKSVPSVDGGSSDASTAKASLRLILFLLFIATVTKY >EOX94162 pep chromosome:Theobroma_cacao_20110822:1:24171483:24173622:-1 gene:TCM_003532 transcript:EOX94162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein, putative MDWSSLELKVISCKDLKAFNFFQKLSVYSVVSIVNEQAKKKEEQHKRLQRQKTSIDRGGKNPEWNHVFQFDLKSLPSEETDRLFLKFDLRHEGLVGRTIGEVRVPLKDLIEEFCGVVRFVSYQVRNSDGKPNGVLNFSYKLIGGSKNSGNASPQVDSSSEKQFSSEKVVYPKVELDNQSRYVHYPSVDDACNPQRQISFPSPVLECQTPGYYSWNSAIQLPMQVPLPRFVPGTYLQYSRSSPVAQPSETHWYRVESTCYSSGLQRFNGLG >EOX93781 pep chromosome:Theobroma_cacao_20110822:1:15772486:15773563:1 gene:TCM_002704 transcript:EOX93781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGKYESLNDKFSATTSELQREIQVRENRGNELQTHNDGLRRQVRFQQESIQLLRQEYEELEGVMTTYQQEYERLKQQSTRIQEWGESYIQAYTEKYDQMDYLVWQMREVAYKARNMAWKTDILRSQIFPVGKQEQQLIKHLDEVYSHYNKIGEYF >EOX92929 pep chromosome:Theobroma_cacao_20110822:1:9471771:9473168:-1 gene:TCM_001792 transcript:EOX92929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H protein MDRTLLMIKGLGAASYHAVQIPCTHSRLNGYKREMLVGWQNPPQGWVAVNIDGALRRNTNMVAAGGVLRDYNGYWLGGFAVKLGKCSSHRAELWGVLHSLRIAKEKGFCRIWLHVDNKIVVQAITSSALHPCANSDLLNAIHGLLQLD >EOX92247 pep chromosome:Theobroma_cacao_20110822:1:5904656:5906405:1 gene:TCM_001222 transcript:EOX92247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative MQVLKSSSVFSTPHFLHFPSKPKSFIFLLYYSSSSTSVSNSPLLNYLVKNLDFTETQALLIAKRYPNVKSLERPQSVANFFQNLGFSNPQIAASVRHAPQILFADVETKLRPKIKFFQDLGLVGPHLGKFLSRNSALLACSLDKKLNPSIQIVKKVLGNNDNKDVIRVFNRCNGFIVRDSILKFSRNIEYLESCGVVGSQLSRLLKRQPRIFRMRESELRDLVLRVLDMGFSTDSRMLVHAIHTMNCLSKQTFKKKWELLKSFGFSENDCLDIFRKTPALFRTSEKKMKLGIEFLTNVAKVDKNVLVSRPYLLMNSLEDRVIPRYRVLQIIKLKKLLTKEPSFLYILDYTENEFLQFISRFTDHEEELLIAYKAHLLHTSSEEEEKET >EOX96274 pep chromosome:Theobroma_cacao_20110822:1:37194156:37194882:1 gene:TCM_005552 transcript:EOX96274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRNESCSGSINHTVKEVIMEAESHPVIVPPGENKALYDFAEMSRTLYHAEKKVVAKEVIMEAESHPVILPPGENKALYNFAEMSRALYHAEKKVVARRLKTERRKFKRKQRKQEKIMELPENMDLESFVWSKDLLTLEVVY >EOX92111 pep chromosome:Theobroma_cacao_20110822:1:5311951:5312776:-1 gene:TCM_001114 transcript:EOX92111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23/L15e family protein, putative MASRLGRRVVHFANLPIKLLMPNTFTNIQEIALKTIPSASKIEIKRVLESLYGFDVEKVRTLNMEGKKKKRGGFLIAKPDYKKAYVTLRTPLSISENLFPIKIIEEEKESINKNSKSKNVVEEGEKKRHWLDGNMKNGGAEKGKGKVSYASGRGGSGSSGGGEVKFPWSSMRRAS >EOX90977 pep chromosome:Theobroma_cacao_20110822:1:1221668:1223281:1 gene:TCM_000297 transcript:EOX90977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein MDREMDREICGWIIEFLVRESTDEMLVKKLIQVFPPLNGRPRVKKTLLLHSIRTEILAGNVSERILDHLERIERIDRSQRLRIPDSMRQAYCAVALECTAKYLPGSCDRNGKYLDAVKRIWRSRIENLEKSKASKLVSERLRSRRRQVEAAVEDEELANVLITINTLNDAMLTLRIYLREALALMGPSFLKSQCDSILERENGSVSQASLCRKPFYAFPAVEVPPVGVIKVEKWRRVLHRHHPFPQQDLLDDN >EOX96777 pep chromosome:Theobroma_cacao_20110822:1:38937327:38945013:-1 gene:TCM_005948 transcript:EOX96777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase family protein MVFLRGVAALSRLRSRVSQQSSLSNSVRWLQTQSSSDLDLHSQLKELVPEQQERLKKLKAEHGKVQLGNITVDMVLGGMRGMTGLLWETSLLDPDEGIRFRGLSIPECQKLLPAAKPDGEPLPEGLLWLLLTGKVPSKAQVDALSQELRSRAVVPDYVFKAIDALPVSAHPMTQFATGVMALQVQSEFQKAYEKGIPKSKYWEPTYEDALSLIARVPLVASYVYRRIYKDGNFIAMDDSLDYGGNFSHMLGFKSPQMQELMRLYVTIHSDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVDECGENITKEQLKDYVWKTLNSGKVVPGFGHGVLRKTDPRYTCQREFALKHLPDDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNYFGLTEARYYTVLFGVSRSIGICSQLIWDRALGLPLERPKSVTMEWLENYCKKRK >EOX94832 pep chromosome:Theobroma_cacao_20110822:1:31634019:31635330:1 gene:TCM_004444 transcript:EOX94832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Circadian clock coupling factor ZGT MSSTQRRRLNPPSQDSDSDDSGFLDERILLLVLESIKWDLQVICLTASVNRKLRATAKRLLWRKLCVYRAPRMVATLANGAPNGRIGGGWDSLAKLMFYCCGCESTRHFKLSGPSPSHFAIASRFSKTSGRSFLTKKCRGDLLFVSDPCEHPMGDKEDDLGIYRGVFRGFMRSKTRACLIRRQVAFEERVRCPYCGTRVWSMTTARLVPKSAARRLGSRDGRLEYFVCVNGHLHGTCWLVPLSSDEEVCDQEEDGDGDDDDDGSEEIDGKAYNHQTVTNGSTSSLGEDVLGDEPIN >EOX92093 pep chromosome:Theobroma_cacao_20110822:1:5226021:5229705:-1 gene:TCM_001101 transcript:EOX92093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIGDFNKEELIWQQKVRLDWLKYGDSNSKFYHALVKSTQRKKKIIAFRRDDGSWCTDPKELEEMVVNFYQNLYKDDGVRVPLPVSSNWSKILVDQLKPMLEGLIGRSQSSFIPGRQAVDNVIVVQEVIYTMKTMKRRERALALKVDLEKAYDRVKWSFIREVMTEIGIPSNWISLIMNIVQSPTFAIIWNGNSSTSFSPSRDDLMLFGVATSQQVMLKTLDKFCQASGQKKLHALSWKTICLSKELGRLQIMETKRFNLALLAKLGWQIWINKDPLWVDILKSKYLKNQDLLSVKAKTTDPYTWRSILKSREILVKGLGMIPNNGHSALFWMDSWQACGPLLKFANREISVVESALPVASYCNEYGNWDFHSLQHLFPARIIGMIAAIFLDPNSSVIDEKY >EOX96542 pep chromosome:Theobroma_cacao_20110822:1:38197785:38201023:-1 gene:TCM_005777 transcript:EOX96542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase family protein MEQSKNPFQATAAIVFLLITGALYIICVWSPPSSNPFLPYQESSCQQSNFTTVKFPVNDELGLALDEASTPNKTVIIAVVNKAYVEQSVRAETTMLDLFLESFWLGEGTRPLLDHLLLVTVDQTAYERCKFKRLHCYRLVTDGVDFGGEKVYMSEEFIKMMWRRTLFLLDVLRRGYNFIFTDTDVMWLRNPFAKLSPNGTEDLQISVDTFTGDPRPELNFINTGFYYIRSNNKTISLFDTWYSQKDNSTGKKEQDVLVDLMRDGLFGQLDLRVRFLETKHFSGFCQDSGDVSAVATVHANCCRHISAKVRDLTAVLRDWKRFQAVLTRYPNAARNITESFRWSPHTGCWNSWKVNKKTP >EOX92502 pep chromosome:Theobroma_cacao_20110822:1:7189755:7199318:-1 gene:TCM_001448 transcript:EOX92502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group A2, putative MPRRRANNTHEDDEPSHDDQVGDQYDGYESNGGRHVSMKRKRRDGEGEEEEEEEEDDEYDNDEEEDYCRRKRRSSVRDFLDDIAAEADSGEDEDDEDDAEDGFIDDDVTELLKENKGRMPRRPFLPTEDDQDDVEEMARRIEEKYGSLSRPEQYDEGYGEETTHVEQQALLPSVRDPKLWLVKCEIGHEREAAVCLMQKSIDRTELQISSAIALDNLKNYIYIEAQKEAHVMEACKGLRYLNPKKIMIVPLKEMAGVLSVKSKPVDISKDTWIRMKIGTYKGDLAKVTDVDDMQQRVTVKLVPRIDLQELADKLAGVEFVKKAFVPPPRLINIIEVREKNIHVERKRDPFTGEYFEKIAGMMFKDGFLYKSVSMKSISYHNIQPSFDELERFLRPGENGDGNFSNSLLSGDTKTEHFSKGDKVLVVSGDLKNMRGIVEKVEDEYACIRPDIKDLPETLTFNVKDLSKFFETGDHVKVVSGTQEGATGMVTKVEGRVLVIVSDASMEEIRVFAHCVMKSSEVTSTNNGNKDYQLHDLVLLNDSSFGIIIRVDGKAYQVLKGTPERAEIEVLMYRDINSKIEKMGTTEDRFRNRISVKDIARIVEGPFKGKQGPVEHIYKQTVFIHDRKNIDHGGFFCVKAKSCTLLGGLYARGDIRGGVMDSRVSSLRSLACIPRSPGRPQIRRDERRYQSGRRGHDSIVGTMVKICKGHYKGSRGRVVDIKGQSVRIELESQMRIVTVGRSEISDKVNVTTPGCDAPLYGLGSETPMRVHDSMREPGTPFHDGMRTPMRNWAWHPYASISPARDSFSLASQLERAASPASGWASRNCSAPGTPRESRTHANSANIMRSPPLLVASGMILIAAT >EOX91868 pep chromosome:Theobroma_cacao_20110822:1:4220928:4221836:1 gene:TCM_000928 transcript:EOX91868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRGFMKTIQSRAFLHTINKTGIAKTKKEFNSLRKVLKIQVKDLQESCATFLCNLAIHFGVYTTQRYLSVVSA >EOX92521 pep chromosome:Theobroma_cacao_20110822:1:7285149:7285673:-1 gene:TCM_001464 transcript:EOX92521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEASQVLVEDIISALHSSYKEYSLLSYGQIEYGSPITDANANLEFLKAADVSVDDEISSLLATFTKDDALTNVLYPFD >EOX90563 pep chromosome:Theobroma_cacao_20110822:1:1369:2836:1 gene:TCM_000001 transcript:EOX90563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLMGKFSHMPKLQEVRIAFKKIDLTRAYEVRWLDYNHVLIHLSNEQDFNRIWIKQVWFIANQKIRVFKWTLEFESDKESTVVCVEHDYRKPPVDQVWIVVKNKETEIDCIVLGNKARPPGTTKSQPIRVVEKTTRYGRGSSKNPMPLSNRPEDGGRSAGFEDGGGSEEANSNGIKVTIGRDVEVQNSRKMTRPPSNNFETLEEGEDVEPEMNAKQGRTGHVNSAMPFQKIVAATEQVSPAHVTVGHLS >EOX91879 pep chromosome:Theobroma_cacao_20110822:1:4288342:4290906:1 gene:TCM_000940 transcript:EOX91879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein MPSLLIAMANLFCCLWSFPCFLAFLFLLHYVNSIHFKISRFDPEAIDIVYEGDARPYVGAVDFNSVSYLCRVGRVSYAQSVPIWDSGTDKVADFITHFSFIIDTLNRSTSLYAAGLAFFLAPVGFEIPPNSAGGFLGLFNTTTSDSPRNQIVLVEFDTFPNPEWDPPVQHVGINTNSISSAKYTPWNFSLYSGKTADTWISYNATTNNLSVTWNYRSTTFSQLNFSLSHHIDLKQILPEWVKVGISAATSHFQEGHRLVSWEFSSSLEIKKTKGKNVKNIKLIVVLSVLGILITVVSISFVFFRRWKKVKEEREERTNLSSINDDLERGAGPRRFSYGDLVVATNNFSNQRKLGEGGFGAVYKGYLSDIDTAVAVKKIARGSRQGKKEYITEVTIICRLRHRNLVQLIGWCHDGGNFLLVYELMSNGSLDSYLFGKKAPLTWPLRYKIAYGLASAVLYLHEEWEQCVVHRDIKSSNIMLDSGFNVKLGDFGLARLMDHELGPLTTGLAGTLGYMAPEYIRTGRASKASDVYSFGVVALEIATGRRSVDPKKKNSHVSLVEWVWHLYGSGNLLSSVDERLHTEFDGKQIERLMMVGLWCAHPDSNLRPSIRQAIQVRDFDVALPNLPLNMPIPTYHEPVATASSSSNEPFLTNSSLEVGR >EOX94502 pep chromosome:Theobroma_cacao_20110822:1:29222008:29224444:-1 gene:TCM_004105 transcript:EOX94502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTESLPSLYQAAGLIFPFNPIDSLPLSIYRRRWDPMTGSLSLSLAGNLSFPCTGSHPSPALTSFPVGDSDLRSSNLSLFLPNWQHNPEDQNFSLSASPAGRVNWVVSNRVQDIFSLWNRASIKERDGHIWDIAFFVITWFMWLPRNEVTFRGATWDVIQVWEASKLRVVVWDKARWPNKYRAILDTYRDPNLGGSKTTNKIQKSGRVD >EOX95140 pep chromosome:Theobroma_cacao_20110822:1:33024134:33027028:1 gene:TCM_004697 transcript:EOX95140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase 9B13 MGLSLSPLSLVVLLACFTGSVLQGDGFPFHHNRPFHHHHPRFAKHNYRDALTKSIIFFEGQRSGRLPSNQRITWRRDSGLSDGAAMHVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGGLMKGEIQNAKQAIRWATDYLLKATAHPGTIYVQVGDANKDHSCWERPEDMDTPRSVFKIDKNSPGSDVAGETAAALAAASLIFRRIDPTYSKLLVRRAIRVFEFADKYRGAYSNGLKKAVCPFYCSYSGYQDELLWGAAWLHRATKNPTYLKYIQVNGQILGAAEFDNTFGWDNKHVGARILLSKAFLVQRLQSLHEYKGHADNFICSLVPGAPFSSAQYTPGGLLFKMNDSNMQYVTSSSFLLLTYAKYLTSAHQVVNCGGTTVTPKRLRIIAKKQVDYLLGDNPLKMSYMVGYGPRYPQRIHHRGSSLPSVAKHPAKIQCSAGFNFMNSQSPNPNILVGAVIGGPDQHDRFPDQRSDYEQSEPATYINAPLVGALAYLAHSFGQL >EOX94095 pep chromosome:Theobroma_cacao_20110822:1:20950021:20950865:1 gene:TCM_003232 transcript:EOX94095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, Ty1-copia subclass, putative MENSSTKISIPKKMLKIFGVMNMKSIGTPMSPSTKLDKYNKGKDMDQKLYKGMIGSLLYLTASRPDILFSVCLCARFQSCPEESHLIIVKRIFRYLLDTQSLGLWYPKGLFFNLFCYSDFDFASSKNDQKNTSCTGQFLGNMLVSWSCKKQNPVALSTAEAEYISLGSCCAQIL >EOX95064 pep chromosome:Theobroma_cacao_20110822:1:32776931:32782981:-1 gene:TCM_004645 transcript:EOX95064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 11, putative MKRSLSLLVLIPVLLNLTVAVLSADTYSRYDFPPGFIFGSATSAYQVEGAASEDGRTPSIWDAFTHAGYANGATGDIAADHYHKYKMHQMSLPLATVSMSLWLTCFLQEDVKLMAEMGLDAYRFSISWSRLIPNGRGPVNPKGLEFYNNLIDELISHGILPHVTLNNYDLPQALEDEYGGWIDRRIVKDFTAYADVCFREFGDRVSYWTTVNEPNVFAIGGYDQGISPPKHCSSPFGTNCTRGNSCSEPYIAVHNILLAHASAARLYRIKYQGKQQGFIGLSIFAFGAVPSTNSTEDVMATQRMVDFYIGWIANPLVFGDYPDAIKKFAGSRMPSFTNHESELVRGSFDFLGVMHYSTFNVQDSPGSLELKQRDFDMDIAASISNYDDFFTASEWPILPWGLQGVLEYLKQAYGNPPIYILENGQRTPRNSTLEDTSRVKYLQASIGSVLDAIRNGSDTRGYFVWSFLDVFELLDGYESGYGLYYIDLDDPDLKRYPKLSRDWYSHFLKGGSVSPDGVIELQKNFSALSQGHFFQ >EOX92185 pep chromosome:Theobroma_cacao_20110822:1:5657147:5660235:1 gene:TCM_001176 transcript:EOX92185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein MVGILHCQRLQIMLLVTCIFIALTTVPAAAIVRHYIWKVSYQYKSLDCYKKLAIAINGLTPGPTISAVQGDTIVVDVINNLSMENVAIHWHGIRQRGTPWSDGAEGVTQCAIMPGETYRYTYVVDRAGTYMYHSHYGMQKEAGLYGMINVSLPLGVSEPFNYDFDRGIILSDWYHHTSYEQATGLSSIPFEWIGEPQVNYATVYFDLQHFREYMVNITSFLHCILYVICQSLLINGRGNYNCSGLAPSVCNSTNPQCSPSTLTVISGKTYRLRLASLTSLSSLSFQIEDHEMTVVEADGHYVEPFVTKNLYIYSGETYSVLVTADQDPSRNYWTSINVVARKPETPNGLAIFNYYPNHFHEFPPTNPPPGPLWNDTQSQMNQSVTTKARQGFIVTPPQKSDRVIVLLNTQNKINGYVRWSLNNVSHSLPSTPYLIALRENMTDVFDPTPPPEDYDSENYDIYSVSNNTNANSSTSIYRLQFNSIVDIVLQNANTMTANCSEAHPWHLHGHDFWVLGYGEGKFNLSRDIEKYNLVDPIMKNSVPLHPYGWTALRFQADNPGVWLFHCHIEAHLFLGMLVVFESGVEKVGIIPKSNYGCGKTKSLIK >EOX91361 pep chromosome:Theobroma_cacao_20110822:1:2427193:2428430:1 gene:TCM_000578 transcript:EOX91361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPSPKLPLHLIVFCCGPEGGVGEPTMEFWAQYKVRVKGEAESMKSAKKNLLEAGEPKLLVFIVHHRRLLARGTCRGAVKASPQPNFQAFSTQNVLLSLPNERLQGQRGERSPRGKSGPVPVPIGCSRGPNRHLEAGPRRKGAMHYARTS >EOX93850 pep chromosome:Theobroma_cacao_20110822:1:16733877:16738609:1 gene:TCM_002826 transcript:EOX93850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein with RNA binding domain, putative isoform 1 MASTEQQVPAGITAPTADVVGNAFVHQYYLILHQSPALVHRFYHDSSKLGRPEENGVMNITTTMQAINEKILSLGYGEFTAEITTVDAQDSHNGGVLVHVTGYLTGKDKVKRKFTQSFFLAPQDKGYFVLNDVFRYVDDAEHQSGSQDRVDDNPSPVPENHIVLQPAASPEEANGPEVYNPSENGDGSIEEDEAPVAEVVDEMPDDSQMVADYNSKIEEVPKKSYASIVKVMKENAVSLSAPTHSPVKSAVKSHEQLGTAAPPTAPAPASDAQISSNIVAENGNNQDAEAEGPSIYVKGLPLNATPSMLENEFKKFGPIKSGGIQVRSQKGFCFGFVEFEVASAVQGAIEASPISIDGRKAVVEEKRSTSRGNKGRSSSGAGAGYRNEGGRGRGNYGSGRGYNRGEFGNRAEFGNRSNNR >EOX93849 pep chromosome:Theobroma_cacao_20110822:1:16733504:16738828:1 gene:TCM_002826 transcript:EOX93849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein with RNA binding domain, putative isoform 1 MASTEQQVPAGITAPTADVVGNAFVHQYYLILHQSPALVHRFYHDSSKLGRPEENGVMNITTTMQAINEKILSLGYGEFTAEITTVDAQDSHNGGVLVHVTGYLTGKDKVKRKFTQSFFLAPQDKGYFVLNDVFRYVDDAEHQSGSQDRVDDSKASFTPEQDPSPVPENHIVLQPAASPEEANGPEVYNPSENGDGSIEEDEAPVAEVVDEMPDDSQMVADYNSKIEEVPKKSYASIVKVMKENAVSLSAPTHSPVKSAVKSHEQLGTAAPPTAPAPASDAQISSNIVAENGNNQDAEAEGPSIYVKGLPLNATPSMLENEFKKFGPIKSGGIQVRSQKGFCFGFVEFEVASAVQGAIEASPISIDGRKAVVEEKRSTSRGNKGRSSSGAGAGYRNEGGRGRGNYGSGRGYNRGEFGNRAEFGNRSNNRGGYSSRGGDGHQRGEHMGSNGGRVNRAGGLTVNAAAKNVAPRVSAPA >EOX92714 pep chromosome:Theobroma_cacao_20110822:1:8244913:8249113:1 gene:TCM_001613 transcript:EOX92714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEMELKDLQSDLEALRKLYGLLQCGGDVQINVVLGERSKLLLKDLLDGATERVLETHKKIIAAAEHGVCATSCSSESEKCRAVPDSQPSVLPYELKAAPGVIRNSMKESNHHAVRSPKDEGCSNKLTTQEGVSQQSRLSFSLDKPENRKKCSEFSKQSSMKQQSTARFLSRDSKHQSQRHDPLGYFYQASGGERNLLERNEKCMKLVKSNETMRQRSLGRFKAMPSIVGSVAGSSRSLASGDNIAKQNEKKGEAANNFSKDIDNVVKHIESHISALRLCSKLADATKGAVPHGLCDMPTSVCPMVQAKEHLVKKNELSHSVEPFSDKDELLLIQLENQGIGKKDDSHGSHQVLGQSENDLLEDITSKKRRIQQKELGQTSEHIVSTDRLNKMVGNQNVNGMVEAGDHKQSHTTSCYVHGLRVPIKQDNITKRPPMPVKMPYLIPTNNGGKEPTPKIGKLRPSIPSQSAGSKASVRPISDKKGLARQILWKQKEGGMGILHNKILLHQQDSEDSATAGSGSSDSEAYSLPSRDSAASVSRRLAHEAYEESSSSDYRDVGESSSSDYRDVGESGRSYPTRTHKAIRPVNSDPDKAKGRLGRLRRFKNKLGLIFHHHHRSNHNDSCGHSRDVHTKSKWTHLHKVFHPRNRHQVQDKIRKARGSNVPVKHQGGHFHALVEGLMQHLKHSKKSKPSKGGIGWPANGQDVHKNRKVKQIHWWQMFQRQRGVKLPNKRRVKIGFMSKKQQLRVPKLRYQTVRQGDIMY >EOX94268 pep chromosome:Theobroma_cacao_20110822:1:27186714:27187339:-1 gene:TCM_003844 transcript:EOX94268 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein MADNNLRIVVERNPSESRLSELNIKCWPKWGCSPGKYQLKFDAEETCYLLKGKVRAYPKGSSEFVEFGAGDLVIIPKGLSCTWDVSVAVDKHYKFESCSPPPSSSCQ >EOX94629 pep chromosome:Theobroma_cacao_20110822:1:30611284:30613241:-1 gene:TCM_004264 transcript:EOX94629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family/GOLD family protein METCTRYDVSAKFCFVLGCLVSCCVHHVFSLSITVAEFECVSELVVSEGDTISGNFVVMDHEIFWNSEHPGIEFTVTSPGGKLVYFLKGTSGDKFEFKAPRRGIYKFCFHNPLTTPETVAFYLHVGHIPETNDVAINEHFHPTHVKIAELREVLESVTAEQKYLKARDARHRYTSESTRKRVVFYTLVEYFALAASSVLQIVYIRRLFSSSPAYNRV >EOX94200 pep chromosome:Theobroma_cacao_20110822:1:25459036:25467333:1 gene:TCM_003675 transcript:EOX94200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLGSIRGSGEREKIEREGCRLKKIGAQSGFSYSKSSTKISSIIKKPIMNITDENSIGNEVSNFTEKPTEYFIDIFCQSYHYQWILLVDILRAFTIHYMLDNYQQSQFHQ >EOX93915 pep chromosome:Theobroma_cacao_20110822:1:17400541:17402867:-1 gene:TCM_002916 transcript:EOX93915 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MSAVDDGRNALAGLTLDAILGNAKRPEPPPTQNRTLLDIIREDGPTKDKKSWKTFRDKLRLKRAGAAWTSSVHIPASDVNVHGNRSHFSRRGSFASNSADSTRVEDGGERAPVSDPTVMNSRLQLARRSSVRFGNNLFQTDHDDSADVSMPSDAPPSRSFRPQIARHRSTRFPSSNTASYDDDNSSEDNSPEARDGTHRLGAALAEERALSAREAVAAQEAAEAAAAAAAAEQAAVENNEAPTVAAEEPVRMSLMDLLEETGSRYTMGDDDDDYDEEEEEEEEGEEEVAAASGGIEYTCCVCMVRHKGAAFIPCGHTFCRLCSRELWVQRGNCPLCNGFILEILDIF >EOX95409 pep chromosome:Theobroma_cacao_20110822:1:34077169:34078660:-1 gene:TCM_004914 transcript:EOX95409 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein MLGSIMTIYTFYLSVIHSHFTLYIENLHSLTVQVEALSITHQSRFYTFTDQKQKMKSAKDPLPLAFLPLVFALLLCFAVCVASRKHGNGRNRDEKAIDGGNTWCIAKPSTENFRLSSNIDYSCTQNGVNCKPIQPGGTCFRPDTIISHASYAMNLFYRAAGKNTWNCHFNGTGIIVAENPSIGSCNYPL >EOX90835 pep chromosome:Theobroma_cacao_20110822:1:741103:744858:1 gene:TCM_000192 transcript:EOX90835 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein METNDNSSNSKASASSLRWGILRRAVLHRAKNTDESQLGMKRISRKAAKGFNLIPCQVLHRDHDSRDARLCYTLPVQGSPKLVLTQRVDDTADLSDFEMCNRYNIDNTGLVCQWPSEDVLAYFCLSHAYMFRFKRVIELGSGYGLAGLAIAAATEALEVVISDGNPQVVDYIQYNINMNSGAFGDTRVKSMNLHWHQKEISNLSHTFDVIVASDCTFFKEFHKDLARITQLLLKNAGPSEAIFFSPKRGNSLDKFLEEIQDNGLLFSITESYDTEILRRHQCFMNGDDSWPGYEKDHCYPLLIRITR >EOX92064 pep chromosome:Theobroma_cacao_20110822:1:5114288:5116627:1 gene:TCM_001080 transcript:EOX92064 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 85A2 MDSFGATKSHAVCVPFPAQGHVNPMMQLAKLLHSKRFHITYVNTEFNHGRLIRSRGEEAVKGLPDFQFETIPDGLPPSDRDATQEVPSLCESTRKTCFAPFLELLAKLNSSPELPPVTCIVSDGIMNFGIKAAEVLGVPYVQLWTSSTCGFLGYLKYRELTERGIVPFKDENFVGDGTLDMPIDWVPGMNNMRLKDIPSFIRTTNPDDIMLNFAMSVSEECLKSTSIIFNTFDELDKEVLEVIAAKSPDNYAIGPLNLLCRQIPESQCKSLNSSLWKEDASCIEWLNKMEPKSVVYVNYGSVTVMSDHHLKEFAWGLANSKLPFLWIVRPDVVMGESAVLPKEFMEEIKDRGFTTSWCPQEQVLSHPAVGVFLTHCGWNSMLEAICEGVPLICWPFFAEQQTNCRYACTKWGIGMEINPDVTRDDVKALVKEMMEGDEGKRTRQMATEWKKKAEAANSIGGSCLGNFDRMIKKALHHKTS >EOX95977 pep chromosome:Theobroma_cacao_20110822:1:36206158:36213370:1 gene:TCM_005341 transcript:EOX95977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA thioesterase family protein MDTEEVIEFLGNVPLLQRLPSSSFKRIAEVVKFKHYEKGDYVVREGEFGDGIYFVWEGEAEVSGSVHAEEENRLEYQLKRYDYFGNVNSSGHVADIIALTKLTCLVLPHKHFTLLQSKSIWSADKTLETSALVESILHLEPIELNIFQGITLPEAPKFGKVFGGQLVGQALAAASKTVDSLKIVHSLHSYFLMVGDFSIPIIYQVNRLRDGRNFATRRVDAIQKGNIIFTLLASFQKEEEGFDHQDSIMPSVPAPDVLLSLDELRDLRLTDPRLPMSYRKKISTTNFVPWPIEIRFCAPNTNTNQTKSTPRLRYWFRAKGKLSDDQALHRCVVAFASDLIFSAVSLNPHRKQGFKSASLSLDHSMWFHRHFRADDWLLFVIVSPTACVTRGFVSGQMFNRKGELVVSLTQEALLRMARPLNPPVVSKL >EOX92998 pep chromosome:Theobroma_cacao_20110822:1:9864026:9865475:1 gene:TCM_001861 transcript:EOX92998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endo-transglycosylase, putative MSSSSRLSSILLLFLFVFTLITASEGNFYENFDITWGGNQRAKILNGGQLLTLSLDKTSGSGFRSKHEYLFGRIDMQIKLVPGNSAGTVTTYYLKLSTFCSCLLKAQPMMRLTSNFWATCLEILTYSIPMCIARERVTENNNFISGLIPRKLSTPSLLSGILNVFMVDNIPIRVFNNMESMGVPFPSNQPMRIYSSLWNADDWATRGGLVKTDWAHAPFTASYRNFNANACIWSSSQSSCASKSTNSLQTTAWQDQTLDAPGRNRLGWVQKMFMIYNYCTDFKRFPQGLPPECKRSRFL >EOX95202 pep chromosome:Theobroma_cacao_20110822:1:33302432:33303401:-1 gene:TCM_004753 transcript:EOX95202 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 15 MDILQQSGFEEVNKRCVDCNTTRTPLWRGGPAGPRSLCNACGIRHRKKKRALLGLDRDSRTEKSKSKTGTDVSRSGVKTLGREMGLHRMVGKQEWKSKLREEEQAAFLLMALSCGPAHA >EOX94552 pep chromosome:Theobroma_cacao_20110822:1:30134889:30135751:-1 gene:TCM_004185 transcript:EOX94552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRIYFQMMELEDNFEERDNNVVEIENESFGVDRDNADDFYFETIDGVPSIKISDHKQAELAKRWNWLIVLVF >EOX93837 pep chromosome:Theobroma_cacao_20110822:1:16324531:16325142:1 gene:TCM_002783 transcript:EOX93837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGRTPSHPFAVPSPTHYAGWGFWPPTPGVPEPPSSGCPKNWTTRLFKTLNLQKNKRQQLATKRERNNTKKKEKG >EOX95728 pep chromosome:Theobroma_cacao_20110822:1:35372066:35376693:-1 gene:TCM_005162 transcript:EOX95728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated channel 13 MNFRRDKFVRFQDWSSDDGSFAEKVRPSFNAVMGGLRRGFEKGFERIRSLKKPISFRSWGNKPTKKRALGSRKSILNPQEQFLQNWNKIFLLSCTIALALDPLFFYIPVMDGKRKCLNLDEKLEITACVLRTFMDAFYILHIIFQFRTAFIAPSSRVFGRGELIEDRWAIAKRYLSKNFIIDILAILPFPQMVILIIIPTLKGPISLVTKEWLKYIIFCQYVPRILRIFPLYKEVTRTSGLLTETAWAGAALNLFLYMLASHFVGALWYLISIEREDRCWRDATPSGERVALYCEDKSEINYDLVRLLNTSCPFTNPDDITDPKVFNFGIFIDALESGVVETWDFPEKFFYCFWWGLRNLSSLGQNLKTSTFVGEIIFAVFISIAGLILFSLLIGNMQKYLQSTGVRIEEMRVKRQDAEQWMSHRMLPKSLRERIRRYEQYKWQETRGVVEETLINNLPKDLKRDIKRHLCLALLKKVPMFEKMDERLLDAMCDRLKLALYTDKSYIVREGDPVEEMLFIIRGNLVSTTTNGGRTGFFNAVHLKAGNFCGDDLLTWALDLQSSSNLPISTRTVQALKEVEAFALMADDLKFVASQFRRLHSKQFQHTFKFYSVQWQTWAASFIQAAWRRHCKRKLVKSLREAEDKLQDALAKESGTSPSLGATLYASKFAANALRNLRHSNSQTTRLPQRLPPLLPQKPAEPDFAAEDS >EOX91372 pep chromosome:Theobroma_cacao_20110822:1:2492124:2494459:1 gene:TCM_000589 transcript:EOX91372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERRLSSLKIQPPTYGNLITILSIDGGGVRGIIPGVILAKLESELQNIDGEDARLADYFDVIAGTSTGGLITTMVAAPNENDRPLYAAKDIVPFYLENSPKIFPQMSGVLGWAAKLLKALTGPRYDGKYLRKLIRGILGTTKLHQTLTSVAIPTFDITKLQPTIFSTYQIPINPDMDAPLADICIGTSAAPTYFPPYYFKNNEEEFNLIDGGIAANNPTLVAIREVTKQIMKENPNFSDMDPLDYTRFLVISLGGGSNRLEKKYNAKEASKWGVICWLFENNHTPIIDCYSEASKDMVDYHNSVVFEALHSEDKYLRIDDDTLRGELASVDVATKENMDNLVKVGEDLLKKTVTRINLDTGLYEPIENGGTNEEALRRFAKLLSDEKKVRESKSPQAKASA >EOX95848 pep chromosome:Theobroma_cacao_20110822:1:35767275:35768386:-1 gene:TCM_005250 transcript:EOX95848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) hydroxyproline-rich glycoprotein family, putative isoform 1 MVVDRDQVRPLAPASDLPSSDDGEAALQLKKVQRKKCVKCCGCIAALMIIQAVVIIILVFTVFRVKDPVIKMNGVAVTHLELINGTTPKPGSNISLIADVSVKNPNVASFKYKNTTTTLYYYGTIVGEARGPAGRAKARRTMRMNISVDIITDRLLASPNLVADVNSGTLTMSSYSRIGGRVNMLNIIKKHVTVKMNCSMTVNISSQAIQEQKCKRKVDL >EOX95849 pep chromosome:Theobroma_cacao_20110822:1:35767379:35768564:-1 gene:TCM_005250 transcript:EOX95849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) hydroxyproline-rich glycoprotein family, putative isoform 1 MVVDRDQVRPLAPASDLPSSDDGEAALQLKKVQRKKCVKCCGCIAALMIIQAVVIIILVFTVFRVKDPVIKMNGVAVTHLELINGTTPKPGSNISLIADVSVKNPNVASFKYKNTTTTLYYYGTIVGEARGPAGRAKARRTMRMNISVDIITDRLLASPNLVADVNSGTLTMSSYSRIGGRVNMLNIIKKHVTVKMNCSMTVNISSQAIQEQKCKRKVDL >EOX90804 pep chromosome:Theobroma_cacao_20110822:1:677829:679492:1 gene:TCM_000172 transcript:EOX90804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate peroxidase isoform 1 MAAPVVNKNYLKEIERARRDLRALISSKNCAPIMLRLAWHDAGTYDAKTKTGGPDGSIRHDQELKHSANSGLKIAVDLCEEVKARHRVITYADLYQLAGVVAVEVTGGPTVDFVPGRKDSMVSPEEGRLPDANQGARHLRDIFYRMGLSDKDIVALSGGHTLGRAHRERSGFDGAWTKEPLKFDNSYFKVLLDIESDSDLLELPTDKALVDDPKLRYYVELYAKVSFKGSCGSCWCCSCGNPQFLL >EOX90803 pep chromosome:Theobroma_cacao_20110822:1:677582:679738:1 gene:TCM_000172 transcript:EOX90803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate peroxidase isoform 1 MAAPVVNKNYLKEIERARRDLRALISSKNCAPIMLRLAWHDAGTYDAKTKTGGPDGSIRHDQELKHSANSGLKIAVDLCEEVKARHRVITYADLYQLAGVVAVEVTGGPTVDFVPGRKDSMVSPEEGRLPDANQGARHLRDIFYRMGLSDKDIVALSGGHTLGRAHRERSGFDGAWTKEPLKFDNSYFKVLLDIESDSDLLELPTDKALVDDPKLRYYVELYAKDEDAFFRDYAASHKKLSELGFISPSRLALKVAAGVVGAAAVAILSFYYEVHRKFN >EOX93038 pep chromosome:Theobroma_cacao_20110822:1:10086063:10108973:-1 gene:TCM_001894 transcript:EOX93038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 7 MASSSGTKPSPPVRRMSKAQAIMFDLPSNIGDLAVDSDLVPSSLPSIALILRVANEIGNDNPRIAHLCRLHAFKEANRIDPTSSGRGVRQLKTYLSNKIEEEKDEIKPQLARTDAREIQLYYQIFYEKNIKEGQDTKQPEEMAKFYQIAMVLHDVLKTVVPSSQVDYKTTKYAKELGSQKEQYEHYNILPLYAVGIKPAIMKLPEINAAFQAIRNVENLPMPMAHSTVDVNLFVNDIFDWLLSLYGFQKGNIANQREHLILLLANIDVRNKNLETYSELDSITIQYLTDEIFKNYESWCDFMYHESHVRRYPQGYAVQQLQLIYIALYLLIWGEASNIRFMPECICYIFHNMASDVFQILSSKVHPVSDTNQTAALDQEYFLRTVITPIYKVLFKESQKNKEGKASHSRWNNYDDLNEFFWSENCFRLRWRRDHEKYSFVQLDEIPLLNEIFSPCTVGKRKHKTNFVEVRTFLHLYRSFHRMWIFFIMAFQAMVIIAWTRSGSIFTLFDEDFLRRVLSIFITSAVLNLLEAILDIGLTFKAWGSFEFTQILRYLMKLFMGAIWVVILPIGYSISEQNPTGVIKFFKHWTGNMQNRSFYNYLVVLYLIPDLLATILFVLPLLRKKMELSNWWIITLVMWWNQPKLYVGRGMHEDFFSLLKYTLFWIMLLVIKLTFSYFVEILPLVRPTKEILDMPGENYLLHKFVPNVAHNVGAIIAIWAPIVLVYFMDTQIWYSIFSTLFGGVLGAFRHVGEIRTLGMLRSRFQKVPPAFGQRFLPSAHHDSKTKLLDKSIEQKNMANFSQMWNEFIRSMRVGDLISNRDQELLLVPCSSSEDTVIRWPLFLLASKIPAALNIAKEFKGKEDTELIKLIKGDSYMHSAVIECYETLRCLIYGLLEDEADKKIVMNIYDKVANSRREEKFLKEFKMSGMPFLSIKLEKWLNILMTDYDDDEIYKAQITKALQGIMDTVTHDVMINGQKTLQGDYSFQNSKDGQSFEKINIQDRQNKSWKDKVRRLHFLLTFKESAADVPRNSEARRRITFFTNSLFMRMPSAPKVSDMRSFSVLTPYYKEDVLYSYDELNKENEDGISTLFYLQKIYPDEWTNFQERMNHKENEEDICNWATYRGQTLSRSVRGMMYYRNALELQCSLEFPNHNAISREEDSIRVQALPDSKFTYVVSCQIYGALKSSDDSKDQRHQKNILNLMLMHQSLRVAYIDEREETINGEPQKTYYSVLVKGGDKFDGYEREIYRIKLPGPPTKVGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFEHSCCWQQKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRFLASPLRVRFHYGHPDIFDRIFHITRGGISKASKTINLSEDIFAGFNSTLRGGYVTHHDYIQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDMYRLGCRFDFYRMLSFYFTTVGFYFNSMVTVLTVYLFLYGRLYLVMSGMEREILEKSIINQNKSLEAALIPQSAFQMGILLVLPMLMEISLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYFGRTILHGGSKYRATGRGFVVFHAKFTDNYRLYSRSHFVKGLELGILLVLYEVYGESYRSSKLYLFITYSIWFLVGSWLFAPFVFNPSGFDWQKTVDDWADWKRWMGIRGGIGIQPEKSWESWWDGEQEHLKYTNIRGRVLEIILALRFFVYQYGIVYHLHIAHHSRSWLVYGFSWGVMVAALLLSKIVSVGGQILGIELQLVFRMLKAFLFLASLGIIIVLSKAYGLTISDLLAAVLAFMPTGWALLLLAQACRPLLEGLIWKSVKEMARVYDYIMGLLLFTPIAILSWLPFVAEFQTRILFNQAFSRGLQISIILAGRKDKASPR >EOX93680 pep chromosome:Theobroma_cacao_20110822:1:14976680:14978592:1 gene:TCM_002583 transcript:EOX93680 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-xylose phenolic glycosyltransferase, putative MLQFSERLQHKGLKVTLATPQSMYKTMHGAASISIALEPISDGYDEGGISHAESVEAYLERFWKVGPQTLTKLVEKLNASGCPLNCIVYDSFLPWALNVANKFGLVGAVFFTQSSAVGSIYYHIYKGLVKLPFTEPEVLFANVDKADLVLFNTFYELEKEAVESTVSLSGSHNLIWRIHKQMEEIAWGLKGSDSYFLWVVRASEEAKLPRDFMDQETSEKGSVVTWCPQLEVLQHEATGCFVTHCGWNSTLEALSLGVAMVGVPQWTDQSTNAKYIMDVWEMGLKAPADEKGIVRKGSDTTLHKGDNAERKG >EOX92141 pep chromosome:Theobroma_cacao_20110822:1:5423498:5425872:-1 gene:TCM_001135 transcript:EOX92141 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MDSGNSGSMPSSSGGSEEYDSRAESISAFLNNNSSSHVGHGPLGNQPPPPAPPQQQHQSHSSSAMFDPLSNYFDHPLSRSPQLTTIPNSLLNLDVVWSKNLRSEPNCTDLGGFIASSSPTQQLLTNQQAQSRATFPSMQIPQGPESATKSSISGTGDQPNNNNSNMVRNPKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFTSSPFPRTRLDLFGTPSTMRSTPLDPSPPHYLLRPFAQKIHPPPFVSSSTASSSFPSSSMVDAIASTPSTNITSASASNNNTTSSSTSINYQLSSELGLLKQPQNLLNINMQNPILNFQSLLQAPPKYPLPNSTILGTKLQGSLDIPSNDSSLKMGVLEEFGLSHGHVNTNLSGLQNMVSSDGALPRNDSSTNPPSWGEGTGSQEHDQSLLRSINGGYNSNSQRVSNGKVSNFSASSSDFHGDKGPENVAARSEGMVESWICSSD >EOX95522 pep chromosome:Theobroma_cacao_20110822:1:34544004:34546326:1 gene:TCM_004999 transcript:EOX95522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 29 MAQASSIVSINKKKRCVTLPFHGFVVALAVILLCFVPINVSAAARRQPPQQQLPQKLRFGRNGEFKILQVADMHYADGKTTPCLDVLPSQVHGCSDLNTSAFIQRMIQAEKPNFIVFTGDNIVGFDAMDSAKSLNAAFAPAIAARIPWAAVLGNHDGEGTLSREEVMKHIVGLKHTMSQFNPSEAHIIDGFGNYNLEVGGVEGSGFANNSVLNLYFLDSGDYSTVPGIPGYGWIKQSQQLWFQHTSAKLQRAYMSPPNVQKSSAPALAYFHIPLPEFASFDSSNFTGERQDGISSASVNSGFFTTMLEAGDVKAVFTGHDHLNDFCGQLTGIQLCYAGGFGYHAYGKAGWSRRARVVVASLEKTEKGGWGTVKSIKTWKRLDDQHLTAIDGQVLWSKNSAGKNK >EOX94570 pep chromosome:Theobroma_cacao_20110822:1:30245443:30246299:1 gene:TCM_046978 transcript:EOX94570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center W MATISASTPTSSIIRAALAHKPSPRVASSVAIGLPAMAKKGKVMCSMEKKSSAEEKGSNVGMSASLLAAACAATMSSPAMALVDERMTTEGTGLPFGLSNNILGWILFAVFGLIWALYIVYTSSLEEDEESGLSL >EOX94219 pep chromosome:Theobroma_cacao_20110822:1:26237138:26238059:1 gene:TCM_003744 transcript:EOX94219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITTVVGEIANFAAYAFAPPILVTPLGALSIIISAALAHIILREKLHTFGILGCVLCVVGSTAIVLHAPPERQIESVTEVWDLATEPGFLFYTALVLTAVFILIFHFVPRYGQTHIMVCIGVCSLVGSVSVMSVKALGIALKLTFSGMNQLM >EOX91727 pep chromosome:Theobroma_cacao_20110822:1:3628629:3631931:1 gene:TCM_000817 transcript:EOX91727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyl transferase GMA12/MNN10 family protein isoform 1 MVSPELSHFQSSPMAKPRNRPFWCLSDGFLYFGGACLALLLVWSFWSFFTPIPNFEPTMTEPPSKLRKNPVDCMESGFGVNLKSDPKDPTFYDDPEMSYSLEKPVKDWDEKRKEWLKHHPSFAAGARERIVLVTGSQPKPCKNPIGDHLLLRFFKNKVDYCRIHGYDIFYNNLLLHPKMNSYWAKLPVVKAAMLAHPEAEWIWWVDSDAAFTDMEFKLPLERYKNHNMVVHGWPKLIYQSKSWTSLNAGVFLIRNCQWSMDLINTWSSMGPMSEDYVKWGQIQRSTFKDKLFPESDDQSALIYLLYKEKEKYYDHIYLEGEFYLEGYWVEIVGGYENTTERYLEIERGVPKLRRRHAEKVSEQYAAFREEFLKEAGNGKGSWRRPLITHFTGCQPCSGDHNQMYAGETCWNGMVKALNFADNQVLRKYGFVRPDLRDSSTVTEVPYDYPADEGPW >EOX91726 pep chromosome:Theobroma_cacao_20110822:1:3628583:3631933:1 gene:TCM_000817 transcript:EOX91726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyl transferase GMA12/MNN10 family protein isoform 1 MVSPELSHFQSSPMAKPRNRPFWCLSDGFLYFGGACLALLLVWSFWSFFTPIPNFEPTMTEPPSKLRKNPVDCMESGFGVNLKSDPKDPTFYDDPEMSYSLEKPVKDWDEKRKEWLKHHPSFAAGARERIVLVTGSQPKPCKNPIGDHLLLRFFKNKVDYCRIHGYDIFYNNLLLHPKMNSYWAKLPVVKAAMLAHPEAEWIWWVDSDAAFTDMEFKLPLERYKNHNMVVHGWPKLIYQSKSWTSLNAGVFLIRNCQWSMDLINTWSSMGPMSEDYVKWGQIQRSTFKDKLFPESDDQSALIYLLYKEKEKYYDHIYLEGEFYLEGYWVEIVGGYENTTERYLEIERGVPKLRRRHAEKVSEQYAAFREEFLKEAGNGKGSWRRPLITHFTGCQPCSGDHNQMYAGETCWNGMVKALNFADNQVLRKYGFVRPDLRDSSTVTEVPYDYPADEGPW >EOX90700 pep chromosome:Theobroma_cacao_20110822:1:362355:366918:-1 gene:TCM_000087 transcript:EOX90700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phosphotriesterase superfamily protein, putative MPDTNYSASLAEVPEGPKRSWPLALFLTAMLAVVAAIVVYHLDSFDVATMPLHELSPPPEPALLRNGRLLQGAELLGVGKFQGPEDIAYDSRSEIIYTGSGDGWIKRVWLNESASDTVVENWVRTGGRPLGIALGRNNEVIVADAYKGLLNISKDGAMELLADEAEELKFKITDGVDVAEDGMIYFTDASHKYSLHEFARDILEGRPYGRLLSFDPASRRTKVLLRDLYFPNGIAVSSDQDSVVFCETSMRRCRKYYIQGKKKGRVEKFIDNLPGMPDNVRYDGEGHYWIALVTENTILWDLAFRYPLVRKAALIMERLVGRVSTGKNGGALAVDLEGKPVAHYQDVELNMVSTGIKIKNHLYCGSFVRPYIIRLDLDQHPAQAKS >EOX96647 pep chromosome:Theobroma_cacao_20110822:1:38509485:38511773:-1 gene:TCM_046706 transcript:EOX96647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol methyltransferase 1 NGESLRESIRRHEHFLALQLGLKPGHKVLDVGCGIGGPLREIARFSSTSVTGLNNNEYQIERGKELNRIAGVDKTCNFVKADFMEMPFPDSSFDAVYAFQATCHAPDAHGCYKEIFRVLKPGQYFAASEWCMTDSFDPNNQEHQKIKAEIEIGDGLSDIRLTRQCLEALKWAGFEVIWEKDVAVDSPVPWYLPVDKNHFSLSSFRATAIGRFITRNMVKALEFVGFAPRGSQRVQDFLEKAADGLVEGGRKEIFTPTYFFLARKPLSENQSQSFDDAGTN >EOX92415 pep chromosome:Theobroma_cacao_20110822:1:6716609:6717533:-1 gene:TCM_001369 transcript:EOX92415 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING zinc finger protein MSISISTQLLQHLLGEFHSRRLLLHTPPFETPTTAAPASPENNHNSSDPYTGNNSFDANVVMVLSVLLCALICSLGLNSIIRCALRCTGLVASESGASTSARLANTGVKRKALKTFPTVNYSADLKLPGLDTECIICLSDFTPGDRVRLLPKCNHGFHVRCIDKWLSSHSSCPKCRHCLLETCQKIVGCSQASSSGPPPVQETIVTIAPVDPEGLIHSYR >EOX95611 pep chromosome:Theobroma_cacao_20110822:1:34855549:34856048:1 gene:TCM_005058 transcript:EOX95611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGRGLRVRAGNRRFQMSGARVQCWVRSLVGWCLGMGISVFWFCFLGIGEMEAWIGNFVARKETAWEMELGGGVVGGCL >EOX95237 pep chromosome:Theobroma_cacao_20110822:1:33467778:33473345:-1 gene:TCM_004787 transcript:EOX95237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-xylosidase 2 MIKVVPLHHHFHSLHKLHLYIYHHILLPWLVPSEIQLHSFQKGKMAMASTTLSSPPLTIILFLLLLGVFAEARDPFACDPKDATTPNLPFCKVSMPISIRVKDLLGRLTLQEKVRLLVNNAAAVPRLGIKGYEWWSEALHGVSNVGPGTKFGRAFPAATSFPQVITTAASFNATLWEAIGRVVSDEARAMYNGGMAGLTYWSPNVNIFRDPRWGRGQETPGEDPVLAGTYAASYVKGLQGNNGDRLKVAACCKHFTAYDLDNWNGVDRFHFNAKVSKQDIEDTFDVPFKMCVKDGNVASVMCSYNQVNGVPTCADPNLLKKTVRGQWKLNGYIVSDCDSVGVFYNTQHYTSTPEQAAADAIKAGLDLDCGPFLAQHSEDAVKRGLLNDADVNTALSNTLAVQMRLGMFDGEPSAQTFGNLGPKDVCTPAHQELALEAARQGIVLLKNHGPSLPLSHLRHRTVAVIGPNSNATVTMIGNYAGVACRYTSPLQGIGNYAKTIHQLGCADVACADDKLFSGAIDAARKADATVLVMGLDQSIEAESRDRTGLLLPGRQQDLVSKVAMASRGSTILVLMSGGPIDVSFAKNDPRIGAILWAGYPGQAGGAAIADVLYGTTNPEGKLPMTWYPQEYVSNLPMTDMAMRSRPLKNYPGRTYRFYKGPLVYPFGHGLSYTNFVHTIASAPKVVTVPLDGRHHSGNTTVSGKAIKVNHAKCNKLTIGLQVDVKNTGSKDGTHTMLVFSTTPAGHWAPQKQLVAFAKVRVPAGAQQRIGINIHVCKFLSVVDGSGVRRIPIGVHNLHIGNVKHSVSLQAATLGVIKS >EOX94844 pep chromosome:Theobroma_cacao_20110822:1:31696390:31700092:1 gene:TCM_004457 transcript:EOX94844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMKLCLMPSHGYPPGPGLAFRQEQGVGRMIKECPSYLSSQVVKQEIEQAVPFDLNCKRFQKPCKPVNALCEPKLIVNVDPAAQRPMVIGKPDAYPETAHFSFGIAEKCMRHEKILKFLMSGSNEVEKGELDLSMLSELMGLQPLMFGVHQQAYASSLIYPSSKINAEKPLPDFVGEMVRDSKITVNPDGRVILTGSGTEMTDILSIVAKFYLSRNSTKWRKQLALVPNFNRTQSSEAHASTNLASPQFEVASIAPAKSHEKIKLKPSPKKKASRKLARERDLYKKNYFHACESLLSLMVDKRRHGRTAILSLKKSGPELPELLTQFSAGIAGTGLAVLFSVICKVACGRVPFSSSKLFSTGIGFGLVWLSWAVNRLRKTVVQISKNASKLGLKDEEMIKRVEESVKEIYFRAATVMAVAVLRFA >EOX91436 pep chromosome:Theobroma_cacao_20110822:1:2732055:2739483:1 gene:TCM_000633 transcript:EOX91436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ralf-like 34, putative MASSLLSKLVFLLFITYIVLSNSKVEAQVEETRLKLMRDALEWPLSMSLYSELNDNEEADEEIDDEEETGYSRRSLFWKRMRYYISYGALSANRIPCPPRSGRSYYTLNCFKAHGPVHPYTRGCSRITRCRR >EOX90970 pep chromosome:Theobroma_cacao_20110822:1:1208354:1210582:-1 gene:TCM_000294 transcript:EOX90970 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L7/L12, putative MRNLRLFSSHLTRIQKTLHQNPNISSSIQSLNKVNHTFSRNYTTPSQESTKQAPSGKVAAIVDELSGLTLLEVMDLTEVLRQKLDVKEMPIMAVMMPGMGFAGAMRGAGKGGAGGPGKGEEKKEEKMVFDVKLEGFDAAAKIKVIKEVRGFTDLGLKEAKDLVEKAPTLLKKGVTKEEAEKIIQKMKEVGAKVSME >EOX91252 pep chromosome:Theobroma_cacao_20110822:1:2053367:2056631:-1 gene:TCM_000499 transcript:EOX91252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold-regulated 413-plasma membrane 2 isoform 1 MGRMDYLAMKTDPVAEDLISSDMTELKLAAKKLINDATKLGGLGFGTSFLKWVASFAAIYLLILDRTNWRTNMLTSLLIPYIFFSLPMVLFNFLRGEVGKWIAFVAVVLRLFFPRHFPDWLEMPGSLILLLVVAPNFFAHTLKDSWVGVLICLLIGCYLLQEHIRASGGFRNSFSQSHGISNTVGIILLLVYPVWSLVLHFV >EOX91251 pep chromosome:Theobroma_cacao_20110822:1:2053359:2056631:-1 gene:TCM_000499 transcript:EOX91251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold-regulated 413-plasma membrane 2 isoform 1 MGRMDYLAMKTDPVAEDLISSDMTELKLAAKKLINDATKLGGLGFGTSFLKWVASFAAIYLLILDRTNWRTNMLTSLLIPYIFFSLPMVLFNFLRGEVGKWIAFVAVVLRLFFPRHFPDWLEMPGSLILLLVVAPNFFAHTLKDSWVGVLICLLIGCYLLQEHIRASGGFRNSFSQSHGISNTVGIILLLVYPVWSLVLHFV >EOX96610 pep chromosome:Theobroma_cacao_20110822:1:38393595:38396791:1 gene:TCM_005827 transcript:EOX96610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 GYQGRSSKIWSSTGKSFQGSIIFLAFFSDLDCRHKSIDAAINHYGIISKLRYSPRTIL >EOX91817 pep chromosome:Theobroma_cacao_20110822:1:3990546:3993926:1 gene:TCM_000889 transcript:EOX91817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNMKGPCHVYPFVSFPYINSVSPPRLVYPPGRGKTMVSDIKFTCGHGTDDTQKFGEVTEAQITKENNK >EOX91301 pep chromosome:Theobroma_cacao_20110822:1:2244207:2246575:-1 gene:TCM_000543 transcript:EOX91301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Salicylic acid-binding protein 2 MTEFRKQKHFILVHGACHGAWCWYKLKPRLESAGHRVTAIDLAASGINMNAIQSVHTMNEYTKPLLEILASLPPGEKVILVGHSLGGLNLALAMDKFPEKISAGVFLTAFMPDTAHQPSFVLEQYWKRTPAEAWLDTEFAPYGRPEQSLMSMFFGPKFLTYKLYQLSPVEDLELAKALIRPGSLFVSDLSKADKLSNERYGCVPRVYVVCNEDKGIPEKFQRWMIENFEVNDVMEIKDADHMAMFSKPQELCDCLSEIAQKYE >EOX95031 pep chromosome:Theobroma_cacao_20110822:1:32670392:32673042:-1 gene:TCM_004623 transcript:EOX95031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,6-xylosyltransferase isoform 1 MIDKCLGAQRSRKIQRALRHCKVTIICLVLTLVVLRGTIGAGKFGTPEQDFIEIRDHFYSRKRAEPHRVLEEVQTTSSNNHAATDTDAGTNNYNEFDINKILVDEESDDSKLDRNRPYSLGPKISDWDKQRSEWLKENPDYPNFIGPNKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMAFEVPWERYKDSNLVMHGWNEMVYDQKNWIGLNTGSFLLRNGQWALDILDAWAPMGPKGKIREEAGKVLTRELKDRPVFEADDQSAMVYLLATQREKWGDKVYLESAYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQIYGFTHKSLASRRVKRVRNETGHPLEVKDELGLLHPAFKAAKSFSVLDYLDPGGGK >EOX95030 pep chromosome:Theobroma_cacao_20110822:1:32669936:32672974:-1 gene:TCM_004623 transcript:EOX95030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,6-xylosyltransferase isoform 1 MIDKCLGAQRSRKIQRALRHCKVTIICLVLTLVVLRGTIGAGKFGTPEQDFIEIRDHFYSRKRAEPHRVLEEVQTTSSNNHAATDTDAGTNNYNEFDINKILVDEESDDSKLDRNRPYSLGPKISDWDKQRSEWLKENPDYPNFIGPNKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMAFEVPWERYKDSNLVMHGWNEMVYDQKNWIGLNTGSFLLRNGQWALDILDAWAPMGPKGKIREEAGKVLTRELKDRPVFEADDQSAMVYLLATQREKWGDKVYLESAYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQIYGFTHKSLASRRVKRVRNETGHPLEVKDELGLLHPAFKAAK >EOX91285 pep chromosome:Theobroma_cacao_20110822:1:2195103:2196533:-1 gene:TCM_000527 transcript:EOX91285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFHHVAGFSESALHFGCMTDMESTPSCTIINWHHLTNFSAGNCKRISKGFGWWFNMGLCYIPSLAHWTPVLLLAGVQGVEYIIES >EOX95626 pep chromosome:Theobroma_cacao_20110822:1:34955262:34957748:-1 gene:TCM_005079 transcript:EOX95626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 78, subfamily A, polypeptide 6 MRSDIESVWVFALASKCRAFSQENIAWSILIIALAWLAMTLVYWAHPGGPAWGKYRFKKCSSLWVAANKQIPGPRGLPLIGSMNLMASSLAHHRIAAAAETCKAKRLMAFSLGDTRVIVTCNPDVAREILSSSVFADRPVKESAYSLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQIKGAEDQRRVIASEMVALLGHHSQSFRVRQVLKRASLNNMMCSIFGRKYTLDSNNEVEELRALVDEGYDLLGTLNWSDHLPWLADFDPQKIRVRCSKLVPKVNRFVSGIISEHRAQPNGKTGDFVDVLLSLQGADKLSDSDMIAVLWEMIFRGTDTVAVLIEWILARIVLHPDVQSRVHDELDNVVGTSRAVDESDVMNMIYLPAVIKEVLRLHPPGPLLSWARLAITDTTIDGYHVPEGTTAMVNMWAITRDPKEWADPLEFVPDRFVTKEGEVEFSVLGSDLRLAPFGSGRRTCPGKNLGLTTVSFWVATLLHEFEWLPSDQTTVDLSEVLRLSCEMANPLSVKVRPRRRISLSQ >EOX94319 pep chromosome:Theobroma_cacao_20110822:1:27753113:27753713:-1 gene:TCM_003905 transcript:EOX94319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWSMSVSSCPCIFHSKPVCFALSLSFSGLALFRCGPLLVINTGGVPPFQRVEPSDVWSHLVGLSFGACRTWPHLLDAHPRTLLYSILFFISRDMRYAFLG >EOX94579 pep chromosome:Theobroma_cacao_20110822:1:30313521:30316274:-1 gene:TCM_004212 transcript:EOX94579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid inducible 14 isoform 1 ALTISQTPLLLRPFSLYFFTFISKHQTNSKTTKPKPRYTRLQKKIKERKAMGVGLKLGKGGGDVGGEKAKMGFEETELRLGLPGNIRAGEGEVARKRGFSETVDLKLNLSSKESSGVDPNEKEKNLLPCATDPAKPPAKAQVVGWPPVRSFRKNMFATQKSSSEESEKGGAGNAAFVKVSMDGAPYLRKVDLRMYKTYLELSDALAKMFSSFTIGNCGSQGVKDFMNESKLMDLLNGSDYVPTYEDKDGDWMLVGDVPWE >EOX94578 pep chromosome:Theobroma_cacao_20110822:1:30313468:30316686:-1 gene:TCM_004212 transcript:EOX94578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid inducible 14 isoform 1 MPNTPLFFADVAIALTISQTPLLLRPFSLYFFTFISKHQTNSKTTKPKPRYTRLQKKIKERKAMGVGLKLGKGGGDVGGEKAKMGFEETELRLGLPGNIRAGEGEVARKRGFSETVDLKLNLSSKESSGVDPNEKEKNLLPCATDPAKPPAKAQVVGWPPVRSFRKNMFATQKSSSEESEKGGAGNAAFVKVSMDGAPYLRKVDLRMYKTYLELSDALAKMFSSFTIGNCGSQGVKDFMNESKLMDLLNGSDYVPTYEDKDGDWMLVGDVPWDMFVESCKRLRIMKGTEAIGLAPRAMEKCKNRS >EOX95121 pep chromosome:Theobroma_cacao_20110822:1:32931970:32933217:1 gene:TCM_004682 transcript:EOX95121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLHTELVIILEGISHLICTGKQICLLFLLISSKEKKLTLFSMGTAESLSSIKALFIFRKTWWWMFGR >EOX96258 pep chromosome:Theobroma_cacao_20110822:1:37122806:37123644:1 gene:TCM_005539 transcript:EOX96258 gene_biotype:protein_coding transcript_biotype:protein_coding description:21 kDa protein, putative MAKHSLSLVLFFLFIFRIAGTFEPSMARPRHPMHPEARTFIESSCQTTRYPALCIKSLSGHANSRIRNAQQLAQVALTVSLYKARYTRSYMLKVAKELEAMKAREYPVVRDCLQQIDDSVNQLSQSIRELRGLDSKAAVMTDDVFWHMNNVETWVSAALTDASSCVDQFPGHRMSKMKATIKGKVMNVEQLTSNSLALFHRYAARYGTPATKKPKP >EOX91939 pep chromosome:Theobroma_cacao_20110822:1:4543057:4546765:-1 gene:TCM_000983 transcript:EOX91939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVSFPGFPWWFWGGGSKEKQPVSNGSSLNSLNSSSSDWGLGLRESETVKFQTKIAPKKGKGQWQSCEERRVVDKECDIVVVPSDGMHLSGYESDGPEWSIGWEEPHGPGFQGDGEDDGGFAVLVPCYRPGCKEIVEASNNQLLCAIRNLPNGLSSEGSNSMQQWFSSLQNF >EOX95886 pep chromosome:Theobroma_cacao_20110822:1:35894926:35895217:1 gene:TCM_005279 transcript:EOX95886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 KFPKSLTSTRSVLSKSLTYLWRILVLLAVIGILA >EOX92323 pep chromosome:Theobroma_cacao_20110822:1:6301391:6308805:-1 gene:TCM_001284 transcript:EOX92323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein MRPFFASRTYFSLFVLTFTTTFLISLEAAASPNNKDSQLLLNFKTSLPNPSLLQDWLPNQDPCSFKGITCQDSRVSSIQLSYTSLSTDFHLVAAFLLALENLESLSLLKANISGNISFPAGSKCSSLLTTLDLSQNTLSGSLLTVSSLASCSKLKVLNLSSNSLEFSGKESRGLQLSLEVLDLSFNKISGGNVVPWILYGGCSELKLLALKGNKITGEINVSNCKNLHFLDLSSNNFSMGTPSFGDCLTLEYLDVSANKFSGDISRAISSCVNLNFLNLSSNQFSGPIPALPTSNLQRLYLAENKFQGEIPLYLTEACSGLVELDLSSNNLSGTIPSGFGSCSSLKTFDVSSNNFTGKLPIEIFQNMSSLKKLGLAFNDFSGLLPESLSTLSNLETLDLSSNNFSGPIPVSLCENPRNSLKVLYLQNNILTGSIPASLSNCSQLVSLHLSFNNLSGTIPPSLGSLSKLQDLKLWLNQLHGEIPQELSNIQTLETLILDFNELTGTIPSALSNCTKLNWISLSNNRLTGEIPAWLGKLSSLAILKLSNNSFYGRIPPELGDCQSLIWLDLNTNNLSGTIPPVLFKQSGKIAVNFIAGKRYMYIKNDGSKECHGSGNLLEFAGIRLEQLDRISTRNPCNFMRVYGGHTQPTFNNNGSMIFLDLSYNLLSGTIPEEIGTMSYLFILNLGHNNISGTIPQEIGNLKGLGILDLSYNRLEGKIPQSMTGITMLSEINLSNNLLNGMIPEMGQLETFPANDFLNNSGLCGVPLSACGSPASGSNSEHPKSHRRQASLAGSVAMGLLFSLFCIFGLIIVIVETKKRRKKKDSALDVYMDGHSHSGTVNTSWKLTGAREALSINLATFEKPLRRLTFADLLEATNGFHNDSLIGSGGFGDVYRAQLKDGSVVAIKKLIHISGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMRYGSLEDVLHDQKKAGIKLNWAVRRKIAIGAARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMSAMDTHLSVSTLAGTPGYVPPEYYQSFRCSTRGDVYSYGVVLLELLTGKRPTDSADFGDNNLVGWVKQHAKLRLSDVFDPELMKEDPCLEIELLQHFKVACACLDDRPWKRPTMIEVMAMFKEIQTGSGLDSQSTIATEDGGFSAVEMVEMTIKEVPEGKQ >EOX96669 pep chromosome:Theobroma_cacao_20110822:1:38604477:38608531:1 gene:TCM_005870 transcript:EOX96669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 7 isoform 1 MEAAVVDAGSKLLKAGPAIPDQAPSMIIPTQMKRMLEDGSSADNSMFEDVTIDPVVRGFIRDWDAMEDLLHHVLYTGLGWEVGNEGQILFTDPLGTPKAVREQLVQLLFETFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVLEGAVQHIASRRFEIGGIDLTKLLAQELGKSNPMVNFSFSDVEKLKEQFSCCAEDELAYDRTLKSCETEEHTLPDGQVIRIGRERFTVGEALFQPSILGLEAHGIVEQLVRSISTVSSENQKQLLENTVLCGGTTSMTGFEDRFQKEASLCSSAIRPSLVKPPEYMPENLTVYSAWVGGAILAKVVFPQNQHVTKADYDETGPSVVHRKCF >EOX96670 pep chromosome:Theobroma_cacao_20110822:1:38604671:38608219:1 gene:TCM_005870 transcript:EOX96670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 7 isoform 1 MEAAVVDAGSKLLKAGPAIPDQAPSMIIPTQMKRMLEDGSSADNSMFEDVTIDPVVRGFIRDWDAMEDLLHHVLYTGLGWEVGNEGQILFTDPLGTPKAVREQLVQLLFETFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVLEGAVQHIASRRFEIGGIDLTKLLAQELGKSNPMVNFSFSDVEKLKEQFSCCAEDELAYDRTLKSCETEEHTLPDGQVIRIGRERFTVGEALFQPSILGLEAHGIVEQLVRSISTVSSENQKQLLENTVLCGGTTSMTGFEDRFQKEASLCSSAIRPSLVKFMFAASRIYAREFDSVLSMGGRCNSC >EOX90757 pep chromosome:Theobroma_cacao_20110822:1:546648:551159:-1 gene:TCM_000136 transcript:EOX90757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCATSKKEEEDSVVSLCKERKRLLKLAVERRYALADAQSKYNQSLYAVAAAIRLFVARHSSPSSPFLITFPTASTYEAGETPVTNPMFLKQRPTEPTHETIRCQSSQSTVFVKRGSQDQGKEVPRTQEDEEGCLESSEDERGSKEEEDEVVCEHFYGEEAPPAMPSPEKAFAWDFFNPFDGMRTEVVSSFTDSSDEELRVVREKEGIPDLEEDGERVVSERKDENVKIADGGDEESQNTDVRNGDDHDAKVSQGDKNSFRMINTPTNERELLEALKDIADHFLRAYDSGLDVCRMLEANRVQLQSGLEELKESSNKLIRSISWSRSPLSRSSSCKSLLSSSSRNSSTWAELKTDLFDDYGGMESGSHSLTLGRLYAWEKKLYEEVKAADQTRKTYEQKCSQMRKRNAKQNRLYAGDKTRAEVKDLHSRILVAIRSAETISERIEKLRDEELQPQLIELLHGLMGNWKIMLESHENQNRIMFEVASFNCPAYGKLRSDSHRLATLQLEAELHNWRSCFLAYLSAQKAYIEALSGWLSKFIAPEVEFCSKNRSSGPAVGVNGPPLLATCHKWLASLDKLPNEAVICALKSFGKDIRALWVQQGEEQKQKRKVDGLAKELERKVLAFQRTESRILGSKLSEQELEIDVRNRIEYLAERKNLLDMFRKRMDAEKVKHHASMEETQQITVKGFQTGFYSVFGSLAEFSKASVKMYADLVTYCETAKAAKDEKESEPSYVKEMSSYLWS >EOX93844 pep chromosome:Theobroma_cacao_20110822:1:16519865:16525869:1 gene:TCM_002805 transcript:EOX93844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 82A3, putative MVFSSHLFAIAGFLGLVLFYKLWKKGTPSHQRKGILLAPEPPGALPIIGHIHLLGGKRTLAQTLGAMADKYGPIFSIRFGAFPALIISNHEAVKECFTTNDRVLASRPKSNAGTYLGYDHAGFGFAPYGEYWRETRKLAVVELLSSHRLETSKHVLDFEVNAFIKNLYLFCKKNGPDANPKAVISHKFEALTLNTITRMIAGKRYISDGDEEDDVEARHVIKVIKDFMYVSGLIAPSEAMPFLGWMESIFGGPVKSMKRVAKEIDSIIGRWVDEHKLKRLMSEADHNNQDFIDLLLSAIGEDSMFGYTRETIIKATVMNLFLAGADTTSIALTWILSNLMNNRHTLKTAQEELDLTVGRDKWVQDSDIEKLVYLQAVIKETLRLYPPGPISVPHEAREDCSISGYHVQKGTRVIVNLRRLHRDPRIWSNPDEFQPERFLTSHANVDVLGQHFELIPFGSGRRSCPGMPLALQITHLTIARTLQGFDLTTPFNESVDMTEGLGITMPKATPLDVLLSPRLPAALYLQL >EOX93591 pep chromosome:Theobroma_cacao_20110822:1:14085197:14087691:-1 gene:TCM_002468 transcript:EOX93591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHSRCRWAMTGCRMGEKIQMKPIRQARMQESRSDSLGLLGNAPLMPMGDGWMPDGRNETNRQQARRRDGRCQMRRRF >EOX93279 pep chromosome:Theobroma_cacao_20110822:1:11864966:11869049:-1 gene:TCM_002126 transcript:EOX93279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin fusion degradation UFD1 family protein MDFELRRAREKLEKEQRERKERAKLKLEKERKAKEEAKKQRDAIEAAQRSRRLDAIEAQLKAEQQMGESLLAGSGIVFYRILEAVPFQGSGDKIKLPPSCFTELSDQGAFDKGPMYFKLSVIHQEGPSEINGSEKENNRTTHSGVLEFTADENSIGLPPHVWSNLFPVDTPKAPLVEVRYVRLSKGTYAKLQPDGIGFSDLPNHKAILETSLRQHATLSEDDILTVKYGELTYRLHVLELKPSSTISVLETDIEVDIVNPGLGSERTNQYVLKPLVFGTSDSGFVEEGNYMYYKFSIDDNMWEKIVSSDVKIEVKIDADMNGGDTDLYVSKHPLIFPNRHQHEWSSHDIGSKTLILSSKDRNLGAGTYSLAVYGFKGTTKYQVSVHVQENSKQRVCQQAVSSSSMEVDTVECQNCKHFIPSRSIALHEAYCSRHNVVCSHVGCGIVLRIEEAKNHVHCDKCGQAFQLGEMEKHVKVFHEPLRCPCGLVFEKEDMVQHQASDCPLRLITCRFCGDMVQAGSSAMDVRDRLRGLSEHESVCGSRTAPCDSCGRSVMLKDMDIHQIAVHQKN >EOX93681 pep chromosome:Theobroma_cacao_20110822:1:14998438:15014422:-1 gene:TCM_002587 transcript:EOX93681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQFVGRLLRTMTLILNPLLRHTCDLTLNQQFYLERDLTHRLATMLDLMLSKGRPIYDRDLNYGDDDMPLTLEMSVGLISYTDSTILDINRPLDNAWQGSFIARLVLAFYRSSPHLALLVELIFQSRTLEFVSRPGTPIGPVTTAATSR >EOX93884 pep chromosome:Theobroma_cacao_20110822:1:17129445:17132656:1 gene:TCM_002879 transcript:EOX93884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein isoform 2 MYADRVEAVGKRSVKERLNGNSADNSIRRHQITGKRLREDDKWEHDLYQDDLPQVSNRKVDARDLRLKLQRKSLQQVSQSGRGAFSGVRDLREKLSGTMNTQPLNVDPPKPKVETAKPARKSVAVETSEPEPKRAANMAARKKVQQKADTSVEGFLQSLGIEKYTILFQAEEVDMTALVHMTDEDLKALGIPMVPRTSVTLPQ >EOX93883 pep chromosome:Theobroma_cacao_20110822:1:17129375:17132923:1 gene:TCM_002879 transcript:EOX93883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein isoform 2 MYADRVEAVGKRSVKERLNGNSADNSIRRHQITGKRLREDDKWEHDLYQDDLPQVSNRKVDARDLRLKLQRKSLQQVSQSGRGAFSGVRDLREKLSGTMNTQPLNVDPPKPKVETAKPARKSVAVETSEPEPKRAANMAARKKVQQKADTSVEGFLQSLGIEKYTILFQAEEVDMTALVHMTDEDLKALGIPMGPRKKILLALEPRGLADETLLVTILWVLVYFAFCSALLTKMWIHAELVLKWKDGVSLDAK >EOX96029 pep chromosome:Theobroma_cacao_20110822:1:36378096:36380816:1 gene:TCM_005379 transcript:EOX96029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein MASMISTDPSFSATARLLPFTRFSNGVVSLRRFVGPLHVGLLNPTFPRTLSLNSIRSSRFITAAMATEQSAKVIDGKSVAKQIREEISAEVLKMKDAIGVVPGLAVILVGDRKDSATYVRNKKKACESVGINSFEVHLPEDASEQEVLKSISDFNDDPSVHGILVQLPLPSHMDEQNILNAVTIEKDVDGFHPLNIGRLAMRGREPLFVPCTPKGCIELLHRYGVDIKGKRAVVIGRSNIVGMPAALLLQREDATVSIVHSRTKNPEEITRQADIIISAVGQPNMVRGSWIKPGAVVIDVGINPVEDASSPRGYRLVGDVCYEEACKIAAAVTPVPGGVGPMTIAMLLSNTLSSAKRTHNIK >EOX93957 pep chromosome:Theobroma_cacao_20110822:1:17842094:17847185:1 gene:TCM_002977 transcript:EOX93957 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein MVKKSKKSKSKRVSLKQKYKVIRKVKEHHRKKAKEAKKLGLNRKRKVEKDPGIPNDWPFKEQELKALEARRARALEELEQKKAARKERAKKRKMGLLEDDEKEQNIEEGKGTDDSTGIVKARDNSDRAFYKELVRVIEASDVVLEVLDARDPLGTRCVDMEKMVMKSGPDKHLVLLLNKIDLVPREAVEKWLKYLREELPAVAFKCSTQEQRSNLGWKSSTKAAKPSNLLQTSDCLGAETLIKLLKNYSRSHEIKKSITVGVIGLPNVGKSSLINSLKRCHVVNVGATPGLTRSMQEVQLDKNVKLLDCPGVVMLRSGGNDASIALRNCKRIEKLDDPVGPVKEILKLCPERLLVTIYKIPGFHSVDEFLQNVATVRGKLKKGGIVDVEAAARIILHDWNEGKIPYYTMPPVRNQGEPSEARIATELGKEFNVDEVYTSESSFIGSLKSADDFHSIEVPPSHPLNFDETMLKDNAQPLPSTQGDESREDISDGGNDEPMASEEDDAEKAKSKSGTSRQNEKLYAAEGILNTKMKRAENKRRKKAKNKLNSVDDAMDDDDYDFGVDYVKGKGQTTGGLPMSGIEFDE >EOX93025 pep chromosome:Theobroma_cacao_20110822:1:10000977:10007430:1 gene:TCM_001881 transcript:EOX93025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFKIFKLVLDDQSGELLEEKEVKNVDGDVAFVGDHHSVIVSTLDCPETQLHSIYFTNDHFIATIYWPLGPQVIVTSNAKSFIRLKENWDLDHNGAFRGWNGEAMEASDEDEDNDAYGDDNGVGQLRGGDLEMSDASNVAANKTGNDDWLQLSSF >EOX95029 pep chromosome:Theobroma_cacao_20110822:1:32669014:32669855:1 gene:TCM_004622 transcript:EOX95029 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSPO(outer membrane tryptophan-rich sensory protein)-related MESQTLKQRFRDDDPRITTTTNDKDNNKNEKNRTSRREKRMGMAKRGLRSLAVAVSLPLSLTLLNIYLFGSGHGYGALPKPFWFPPLWLIHITCMASSFLMGLSAWLVWAEGGFHARPRALSLFMAQLGLSLAWLPIVFWVGASWVGLVVRLAVFGALVGCSRDFREVNPIAGDLVKPCLAWAAFLAIVNLKLVFL >EOX95688 pep chromosome:Theobroma_cacao_20110822:1:35241371:35243777:1 gene:TCM_005133 transcript:EOX95688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 703, subfamily A, polypeptide 2 MDLLSLAFALLSAALIVNILFLCGWLKQKPSRKTKMLPPGPKKWPVVGNLLQLSPLPHRDLASLCDKYGPLVYLRLGSVDAITTNDPDIIREILLRQDDVFASRPRTLAAVHLAYECGDVALAPLGPHWKRMRRICMEHLLTTKRLESFAKHRADEAQHLVKDVSARAKTGKLVNLREVLGAFAMNNVTRMLLGRQYFGAESAGPQEALEFMHVTHELFWLLGVIYLGDYLPIWRWVDPYGCEKKMREVEKRVDDFHKRIIEEHRRAREGKKREFGREDDGEEMDFVDVLLSLPGEDGKAHMDDTDIKALIQDMIAAATDTSAVTNEWAMAEVIKHPRVLRKIQEELDTVVGPNRMVNESDLPHLNYIRCVVRETFRMHPAGPFLIPHESLRATTINGYYIPAKTRVFINTHGLGRNTKIWDDVEVFRPERHWLANGTRVEISHGADFKILPFSAGKRKCPGAPLGVTLVLMALARLFHCFDWAPPEGLRPEDINTTEVYGMTMPKAEPLMAIARPRLADQMYH >EOX95436 pep chromosome:Theobroma_cacao_20110822:1:34208199:34211491:-1 gene:TCM_004935 transcript:EOX95436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+ activated outward rectifying K+ channel 5 MEDEPFLSPKTKSQLLPILEDDHHDYYFTAPQAISTPAIFPSEIQDHPQIQPPSHLEYPSTGSKKFGSLHRCKTAPAMAVMRDLKPKTPQVPKPQSESSTIIRQAVFLLSIYLLLGVAIYSFNRDEFSGIETHPVVDALYFCIVTMCTIGYGDIAPLTPATKIFACVFVLVGFGFIDILLSGVVSYVLDLQENMILTGIHMEKTQEGFSAKNYIVDVEKGRMRIRLKVGLALGVVVLCIGIGTLVLYFVENLDWIDAVYLSVMSVTTVGYGDRAFKTLPGRLFAGIWLLISTLAVARAFLYLAEARVDKRHRRIAKWVLHRDITIEDLLAADINNNGFISKSEYVIYKLKEMGKIGEKDILQICNQFSKLDPNNSGKITLPDLLENRL >EOX96383 pep chromosome:Theobroma_cacao_20110822:1:37573664:37575320:1 gene:TCM_046994 transcript:EOX96383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone and stilbene synthase family protein MSKTDSNGAPGHRLRRASTSGKATVLAMGKAFPSQLIPQECLVEGYIRDTKCEDASIKEKLERLCKTTTVKTRYTVMCKEILDKYPELATEGSSTIKQRLEIANPAVVEMALEASLACIKEWGRPATDITHIIYVSSSEIRLPGGDLYLASQLGLKNDVNRVMLYFLGCYGGVTGLRVAKDIAENNPGSRILLTTSETTILGFRPPNKARPYDLVGAALFGDGAAAVIIGTDPITNSESPFMELNYAVQQFLPGTQNVIDGRLSEEGINFKLGRDLPQKIEDNIEEFCRKLVSKANLTEFNDLFWAVHPGGPAILNRLENTLKLNNGKLECSRRALMDYGNVSSNTIFYVMEYMREELKAEGGEEWGLALAFGPGITFEGILLRSL >EOX92980 pep chromosome:Theobroma_cacao_20110822:1:9727491:9732234:1 gene:TCM_001836 transcript:EOX92980 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain MDMSDAVVANSSRLKSIVWNDFDRVKKGDTFVAICRHCKKKLSGSSTSGTSHLRNHLIRCQRRSNHGIAQYFSGREKKKEGSLAVVTIDQEQKKDEVLSLVNLRYEQEQIKNEPVTIGNSSLDQRRSQFDLARMIILHNYPLDMVDHVGFKIFVRNLQPLFELVTYNKVEADCMEIYAKEKQRVYEVLDKFPGKISVTADVWTASDDSAYLSLTAHYIDEDWQLKKRTLNFVTIDPSHTEDMHSEVIMTCLMDWDIDRKLFSMIFDSYTSENIVDRIRDRLSQNRFLYCNGQLFDVRCAVDLLNRMVQDALDAVCEVTQKIRESIRYVKSSEATQSMFIELAHEVQVESQKCLRIDNPLKWNSTFLMLEVALEYRKVFCCLQDRDPVNMKFLPSDLEWDRVSVIASFLKLFVEVTNVFTRSKYPTANIFFPEICDIHLQLIEWCKNPDDYINSLAVKMRKKFEDYWDKCSLGLAVAAMLDPRFKMKLLEYYYPQLYGDSASELIDDVFECIKSLYNEHSMVSPLASSLDQGLSWQVSGIPGSGKDSRDRLMGFDKFLHETSQSDGSNSDLDKYLEDPLFPRNVDFNILNWWKVHTPSYPILSMMAHNILGIPISKVAAESTFDTGGRVVDHNWSSLPPTTVQALMCSQDWIRSELES >EOX94308 pep chromosome:Theobroma_cacao_20110822:1:27703664:27704371:-1 gene:TCM_003897 transcript:EOX94308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRDYLDLVTDLLDELNQRNEGAGKWKEGWRARIKGQAGRAAQISRECAEGLSVVGSRWDLATWDEERTC >EOX92747 pep chromosome:Theobroma_cacao_20110822:1:8383469:8387130:-1 gene:TCM_001632 transcript:EOX92747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease family protein MEAISEVSGDPQAAGQPAGGCLTPQNLPASVSQTIVDKQSQSGEEDEKLRHTTDRLVSGAMGQFEGTEYVNIGEVPTPRIDNFKKVSVLPLIFLIFYEVSGGPFGVEDSVQAAGPLLALLGFLVFPFIWSVPEALITAEMGTMFPENGGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGIPALGGGLPRIIAVLALTLVLTYMNYRGLTIVGWVAVLLGVFSILPFVVMGLVAIPKLKPSRWFVANLHDVDWNLYLNTLFWNLNYWDSISTLAGEVENPKKTLPKALFYALILVVLGYFFPLLVGTGALPLDRELWTDGYFSDIAKMLGGVWLRWWIQAAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFGKRSRYGTPLIGILFSASGVILLSWMSFQEIVAAENFLYCFGMILEFIAFVRLRMKYPAASRPYKIPVGTVGSVLMCIPPTILICLVLALSSLKVAVVSLVAVVIGLVMQPALKYVEKRRWLKFSISADLADLHLSSHESTDSSIR >EOX91136 pep chromosome:Theobroma_cacao_20110822:1:1705539:1709332:-1 gene:TCM_000416 transcript:EOX91136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein MKCPYCSAAQGRCATTTTGRSITECTSCGRVVEERQFQNHHLFHVRAQDTPLCLVTSEIPALASAFQVDEDDPFEPTGFITAFSTWSLEPSPLFLRSSLSFSGHLAELERTLELSSSSAPSSTSSSTVVVDNLRAYMQIIDVASILGLDCDISDHAFQLFRDCCSATCLRNRSVEALATAALVQAIREAQEPRTLQEISIAANVPQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQELATHIGEVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPSNYTPAVPPEKAFPTTTIATGRSSGPRVDPIDLTSSVERDKQQDSKPNKQIETLEPGLQARGKDDAESNGNPSGTHTAMLNRPPHYRQPWLQFGPPGVWTAGDRNQTIVRADINEAQTSCPELEQKGDKPKIDSKGATSSLRPSQFSTTPASNVSTITWPFRSPPSSGPTPNMPIVHPPKLPPGYAELKGPGSQNGSKNTNQGGDS >EOX94521 pep chromosome:Theobroma_cacao_20110822:1:29377281:29379082:1 gene:TCM_004126 transcript:EOX94521 gene_biotype:protein_coding transcript_biotype:protein_coding description:BET1P/SFT1P-like protein 14A isoform 2 MRNNRVALFDGIEEGGIRASSSYSHEIDEHDNERAVEGLQDRVNLLKRLSGDIHEEVESHNRMLDRMGNDMDASRGILSGTMDKFKMVFETKSSRRMFTLVASFVVIFLVIYYLTR >EOX94518 pep chromosome:Theobroma_cacao_20110822:1:29376816:29378910:1 gene:TCM_004126 transcript:EOX94518 gene_biotype:protein_coding transcript_biotype:protein_coding description:BET1P/SFT1P-like protein 14A isoform 2 MNPRRDMRNNRVALFDGIEEGGIRASSSYSHEIDEHDNERAVEGLQDRVNLLKRLSGDIHEEVESHNRMLDRMGNDMDASRGILSGTMDKFKMVFETKSSRRMFTLVASFVVIFLVIYYLTR >EOX94519 pep chromosome:Theobroma_cacao_20110822:1:29376944:29378918:1 gene:TCM_004126 transcript:EOX94519 gene_biotype:protein_coding transcript_biotype:protein_coding description:BET1P/SFT1P-like protein 14A isoform 2 MRNNRVALFDGIEEGGIRASSSYSHEIDEHDNERAVEGLQDRVNLLKRLSGDIHEEVESHNRMLDRMGNDMDASRGILSGTMDKFKMVFETKSSRRMFTLVASFVVIFLVIYYLTR >EOX94520 pep chromosome:Theobroma_cacao_20110822:1:29376969:29378674:1 gene:TCM_004126 transcript:EOX94520 gene_biotype:protein_coding transcript_biotype:protein_coding description:BET1P/SFT1P-like protein 14A isoform 2 MRNNRVALFDGIEEGGIRASSSYSHEIDEHDNERAVEGLQDRVNLLKRLSGDIHEEVESHNRMLDRMGNDMDASRGILSGTMDKFKMVCELDNLCALCDFMELLLLAAFISS >EOX92004 pep chromosome:Theobroma_cacao_20110822:1:4867436:4869119:-1 gene:TCM_001032 transcript:EOX92004 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MARRGQLRDCEHKHVALTLTPRPLPPKPPLPCSPPPPQNSSYKQGNVMITGVIVIGSMLSTALLIAIFCALVRSYFIRRNRSRRSMPLFFGTQEDFLDEDRGPEPHLDNPIWYINTIGLQQSVIDSITVFKYKKDEGLIEGTECSVCLNEFQEDESLRLLPKCSHAFHLPCIDTWLRSHKNCPLCRAPVVCESIVAQATASEPNSSDSGSRNDTLVENSENYGGLGRNDVGEGETSEVRTCPIEDGNTCENSKKSLVHSKTRISDSGSQILSNIAGVQDDVQPTRRSVSLDLSSALEIHSDVAGPGNGAHKHHGSLDTELRQLKYPKGKIVGKRGSESSSICKMMKSCSIGRSLSKGQISMKRSFSSGGKFLSSKKCRSQDSILPL >EOX96470 pep chromosome:Theobroma_cacao_20110822:1:37901067:37906513:1 gene:TCM_005711 transcript:EOX96470 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP domain class transcription factor MGCAASKLDNEDTVRRCKDRRRLMKEAVHARHHLAAAHADYCRSLRFTGSALSSFAAGEHLSVSDETPAVLLHPVNPSPPPPSKPIPPRVPPSPSPSLHPPPPPPPFSPSPSPTIASSKLPHILSASSVSSSAPNRRRPRKLPPKLPHILSESSPSSSPQSSKSGFSNNFFPTAYQANSTYSNTPSQASSVWNWENFYPPSPPDSEFFDQKLQQQKQQLPRRHHQLDSNNPEDTEDTETEKSEYDFFRPQKLNHRYNINSNNAKSNFDEETEREEVQCSEWGDHDHDRYTTTSSSDVEEQDEDDDVASRSEIGSRSNFGSSVRGESEKLHHLRNHTPPVQPQQPMYGATAGNKMDNKSGDAGSSAGSYRTGAMMDMKMVVRHRDLKEIVDAIKENFDKAAAAGDQVSEMLEIGRAQLDKSFRQLKKTVYHSSSMFSNLSSSWTSKPPLAVKYRLDATALNEPGGSKSLCSTLDRLLAWEKKLYSEVKAREGVKIEHEKKLSALQSQEYKGEDETKIDKTKASIKRLQSLIIVTSQAVSTTSTAIIGLRDSDLVPQLVDICHGFRYMWGSMHQYHEVQNNIVQQVRGLINRSGKGDSTSELHRQATRDLESAVSAWHSSFCRLIKFQRDFIHSLHGWFKLTLLPVSNDNVDGNREPSDVFAFCDEWKLALDRVPDTVASEAIKSFINVVNVISVKQTEELKIKKRTETVSKELEKKASSLRNIERKFYHSYSMVGIGLPDSGPDHGQVLDARDPLAEKKSELAGCQRRVEDEMLRHAKAVEVTRAMTLNNLQTGLPGVFQAMTSFSALFTEALDQVCSRSYHIQ >EOX91714 pep chromosome:Theobroma_cacao_20110822:1:3593565:3595259:-1 gene:TCM_000810 transcript:EOX91714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASAAKASPAWICSSCRSNKPSFCSFISFQRSWFNHGLRGMARRELKRSVVKGCEWNREVKINGCCGKFYDISEEDLRFVEVFREAQSYACLYRGNTLVVLLSGEIVASPYLDTILKVSFSIFALFSSSCFIS >EOX92029 pep chromosome:Theobroma_cacao_20110822:1:4983610:4986671:1 gene:TCM_001052 transcript:EOX92029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase SRK2E MERYEILKDIGSGNFGVAKLVRDKWSGGLYAVKYIERDSKIDEHVQREIMNHRSLKHPNIIRFKEVLLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQICHRDLKLENTLLDGSTAPRLKICDFGYSKSSVFHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIQRILSVHYSIPDYVRVSKECRHLLSRIFVSNPEKRITIPEIKQHPWFLKNLPTEFVEGEGGNLGTEEENDQSQSIEEILSIIDEARKPGEGPKVGSQALGGSMDLDDIDADADIDDIETSGDFVCALQV >EOX95049 pep chromosome:Theobroma_cacao_20110822:1:32715562:32719381:-1 gene:TCM_004633 transcript:EOX95049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MRPSPALPWVFSIAKAQSSANIETREMEEASEMEVEEQKQPVQNNQSSTKRFGLKNSIQTNFGDDYVFQIVPKDDWASMAVSLSTNAVKLYSPMTGQYFGECKGHTSTINHISFSGPSTPHTMHSCSSDGTIRAWDTRTFHQVSCITAGSSQEVFSFSFGGSDDNLLAAGCQSQIFFWDWRNKKQVACLEESHVEDVTQVHFIPGHQNKLASASADGLICTFDTNGDINDDDHLESVINVGTSIGKVGFFGESYEKLWCLTNIETLSVWNWKDGSNEANFEDARSLASDSWTLDHVDYFVDCHCFGGENLWVIGGTNAGSLGYFPVIYKGAAAIGPPEAVLGGGHMGVVRSILPMSSMRSGPAQSQGIFGWTGGEDGRLCCWMADDSSEINRSWISSALVIKSPRNRKKSRHNPY >EOX95598 pep chromosome:Theobroma_cacao_20110822:1:34785109:34787442:1 gene:TCM_005047 transcript:EOX95598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MPENMSISVNGQSQVPPGFRFHPTEEELLQYYLRKKVSYEKIDLDVIRDVDLNKLEPWDIQERCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSNCRRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDNIVETNVSNAMGEGTQEEGWVVCRIFKKKNHHKTLDSPISSSLTAETRNLMFSSSNEGALEQILEYMGRNCKEDSEANNGTRFLRPIETAISSGYPDSFMKLPSLESPNSTSSQNCYQPMMTGNEGSITNQLSGDPNSVYHNDSGLTNWAALDRLVASQLNGQTETSRQLACFNDHNMAYCSPTDHHDLQLPALRSSSISSGRSYHGTHQDYNSEIDLWSFTRSSSSSLSSSDPLCHVVNASV >EOX96776 pep chromosome:Theobroma_cacao_20110822:1:38935742:38937148:-1 gene:TCM_005947 transcript:EOX96776 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0182 protein jk1603, putative MAYYAPCSCAAALSASPSHESHLAFQPLNPRPKLAPKRIPPSASTFKLRFNGTVKFERRLRLFCSNPPSGDSITEKSGDTAQGPPFPTILAGFLVFFLICWMLGSIIMWLIGVIVKFPPK >EOX90865 pep chromosome:Theobroma_cacao_20110822:1:862246:864054:-1 gene:TCM_000215 transcript:EOX90865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative MEPQQQQNQQHPNEDSSGSGKGSFLCRQSSTRWTPTTDQIRILKDLYYNNGVRSPSAEQIQRISARLRQYGKIEGKNVFYWFQNHKARERQKKRFTTTDVPMQRPTVANGGWKADDPIHNNKYPNISPGYSTASSSTGVLTVGQIGNYGYGSMTMEKSFRDCSISACGSSTGASESVSHNFGWVGIDPACSSPYTLFDKKKLIDGILEEEQEDDEEEEAAAPHIETLPLFPMHGEDINAFCSNMKPSPESCYSGWYRSDDGYAGSRASLELSLNSYTGRSQGSI >EOX91224 pep chromosome:Theobroma_cacao_20110822:1:1957837:1960057:1 gene:TCM_000476 transcript:EOX91224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPSTLIFRKSELGRFHRASLLQPKNLETRGDETCVGPTHQFTVLIQSNQIIYFSFLLSITIPSLATQRIHKSHIIEAHCWTTSWAELGRQPNNNTPTGLEFLPQRVQL >EOX94214 pep chromosome:Theobroma_cacao_20110822:1:26131385:26131795:-1 gene:TCM_003732 transcript:EOX94214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEAITKGDIRPRKVSAVRHFPPGCGRGAAPVSREDCIRIQQAWIKDKMGKSQEIEEDPEEDPSMCWDQGNDDPNDT >EOX90998 pep chromosome:Theobroma_cacao_20110822:1:1285595:1287290:-1 gene:TCM_000311 transcript:EOX90998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial chaperone BCS1-like protein MTLLGVARRQLKIRVGKLRSQDKLTTELPRAVYLKEGFIWNDCEALDARVYDAPGTGKLSLAAMAKYLKFDVYDLELTSIPSKSDLRKALLGDQISSSLAMLICYVTHGLRSSCGVERIVEFTTNHKDKPDPALFRPGRIDMLINMSYCSNQGFRLVSNYLDVHDQHPLLEQIDSLEHGGDELDVFLVFSVNHVHLDAIASCFWIGGLLGSIVWTLLSVEPSFWSSCCSLPLSLRLKAFPSDD >EOX92181 pep chromosome:Theobroma_cacao_20110822:1:5639356:5645801:-1 gene:TCM_001171 transcript:EOX92181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MDGPSPTTDPLTNQFGSLNDLAHELASLQDLATRGSWRSILDKVSRARSLNLLTNPHDHLIYVSYNVLALSKLRRFTDAANELDSLHDFNSHHYQYETYPQVYPNRSGSMVPFSLRFIHAQLPIKLGNRQEGLDRFYLLLNFIRQKIKQKERDNLEESVKIWKKRENFVLNCIIGHHLGAKEFNVCLDLIKDLINHDYSDPFLVSKLGYIQMQIGDLEGAKGSFHLVETMLNEGKNGGYSFLSEVEFRNLVNRNKALVYLVGKDYVSAVREYEECIERDHADVVAINNKALCLMYLRDLSDSIKVLENALERVPTMALNETLVINLCSMYELAYVNHSEIKRTLSNWIARVAPDDFDASCTRV >EOX94621 pep chromosome:Theobroma_cacao_20110822:1:30527256:30528355:1 gene:TCM_004255 transcript:EOX94621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEASFKIVLAFCEFKLSKKFYEPIRLLLCTACFTVTTTHQINLILAFPQLVIAEDSMRGRDCRCKL >EOX91074 pep chromosome:Theobroma_cacao_20110822:1:1505562:1510313:1 gene:TCM_000369 transcript:EOX91074 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein MAASRLSSCVALAAAAAASASTLQNRAYADSPFRFPPFSSSSSSTPSVSQAEQSSNAKPEPDEPKGAGFDPEALERGAKALREINNSPHAKQVFDLMRKQEQTRLTEVSAEKAHYEAIQSQADIDRQRKLAEEQRNLMQQQAQAKAQMLRYEDELARKRMQTDHEAQRRHNAELVKMQEESSIRKEQARRATEEQIQAQQRQTEKERAEIERETVRVKAMAEAEGRAHEAKLTEDHKRRMLIERVNGEREKWLAAINTTFSHIEGGFRTLLTDRNKLLMTIGGATALAAGVYTTREGARVTWGYINRILGQPSLIRESSIAKFPWSGIMSQARNRALKYNTAAGTAAPLESKKGLENVVLHPSLKRRIEHLARATANTKTHQAPFRNMLFYGPPGTGKTMVAREIAQKSGLDYAMMTGGDVAPLGAQAVTKIHEIFDWAKKSKKGLLLFIDEADAFLCERNSIHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDSAITDRIDEVIEFPLPGEEERFKLLKLYLNKYLSDESDGTSKWGALFNKKPQKITIKDLSEDEIREAAKKIEGFSGREIAKLVASIQAAVYGRPDCVLDSQMFKEIVDYKVAEHQQRIKLAAEGGLPS >EOX90686 pep chromosome:Theobroma_cacao_20110822:1:335996:338062:1 gene:TCM_000080 transcript:EOX90686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein MAAAAAASTTPHSLLLQKTTHNHAFLKPNSATFTLTNQRPAKRLSISCSATIPIQDRPSVQTQSQDRIFNFAAGPATLPENVLLKAQSELYNWHGSGMSVMEMSHRGKEFRSIIEKAEADLRALLNIPENYAVLFLQGGATTQFAALPLNLCQPDDSVDYLVTGSWGDKAFKEAQKYCKPKVIWSGKAENYVRVPSFDGLEQSPNAKYLHICANETIYGVEFKDYPMPSDPNGVLVADMSSNFCSKPVDVTKFGLIYAGAQKNVGPSGVCIVIVRKDLLGNAQGVTPVMLDYKIHAENNSLYNTPPCFGIYMCGLVFEDLLKQGGLEEVEKKNQKKAAILYNAIDESKGFYRCPVEKSVRSLMNVPFTLEKSELEAEFLKEAAKEKMVQLKGHRSVGGMRASIYNAMPMAGVERLVAFMKDFQARHA >EOX92538 pep chromosome:Theobroma_cacao_20110822:1:7331660:7337155:1 gene:TCM_001474 transcript:EOX92538 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein MDDPARRRHGSRRRSWCCTFNVPPSSPDNPYLSQHYRSNNSNCKTKLGPQKTDSLSKLTTSSSVPNSPLSSKSGLTIVGRIDPRRILSPGRVSPIDHTDSLEEGRHSSQATPSAAVDSIPRSRSRSFRAKIESPDTHSGKDPGRVEGDRGGLYDVRLNLKGKNGGVLVLELNSGVLASNSEVFAGLIAGSLGRKMCRIEVPEVENLGVFRETIELMFEENIAKKLVKIGVYRAIDILEVSAGIMFSRGVLSCLKYLEAVPWTEEEEEKLRSLFTRFMFDDATSRDIFARLYSQESTDTHQNLARHLVSSITTCSDANARNELKSLVKGLLCKSSVYEKEQPDVNKEDFYAVCQSCVSVLVNLFEEASDAVPYERRAKKEMGKPLIERISRQVDNINWLLEILLDRQIAEEFVDIWAHQGELLIMHERASPMVRYELSRVSAIIFIAMGTRKLHCRSEARSGLLQSWFGPMLLDFGWLQRCRKGLDMKALEEAMGQTLLTLPLKQQYVLFMEWFRCFSKHGTECPNLSKAFQIWWRRSFLRGSETYAIESRRMPLSSQKVGNTATCRPKLG >EOX94966 pep chromosome:Theobroma_cacao_20110822:1:32229312:32229755:1 gene:TCM_004554 transcript:EOX94966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein MEVVTRMVADRPVVIFSRSSCCMSHTIKTLISGFGANPTVYELDEIQNGQQVERALQQMGCKPSVPAVFIGQQLIGGPNQVMTLQVKNQLVPLLIRAGAIWI >EOX94222 pep chromosome:Theobroma_cacao_20110822:1:26266636:26268169:-1 gene:TCM_003749 transcript:EOX94222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated gene 12 MASVLPRECIIAFFLILAVCIPNVMSRQLHEASLSQRHEQWMEEYGRVYKNDAETELRFSIFKSNLAFIESFNAAGNRPYKLSINEFADQTNEEFRAYRNGYGRAQRLTSRKETSFRYENVTALPASVDWRKKGAVTPIKDQAQCGSCWAFSAVAATEGIHQLTTGKLISLSEQELVDCDTQGEDQGCAGGEMEDAFEFIIRNHGIASEVTYPYKGDDGTCNKTKEASHAATITGYEVVPANSEKALMKAVANQPVSVSIDAGGYAFQFYSSGIFTGDCGTELDHGVTAVGYGTSEDGTMYWLVKNSWGTGWGEKGYIRMQRDIKAKEGLCGIAMDSSYPTA >EOX92713 pep chromosome:Theobroma_cacao_20110822:1:8231340:8243774:1 gene:TCM_001612 transcript:EOX92713 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS / octicosapeptide/Phox/Bemp1 domains-containing protein isoform 2 MSSQAVPPPPASRRNSNKRGPSAPKKSHSSDNAKPTSPSSVGGERTVKKLRLSKALTIPEGTTVSEACRRMAARRVDAVLLTDANALLSGIITDKDIATRVIAEGLRPEQTVVSKIMTRSPIFVTADSLAIEALQKMVQGKFRHLPVVENGEVIALLDITKCLYDAISRMEKAAEQGSAIAAAVEGVERQWGSNLSAPYAFIETLRERMFKPSLSTIIAENSKVPIVSSSDPVYVAAKKMRELRVNSVVVVMGNKIQGILTSKDILMRVVAQNLSPELTLVENSSGAVNEMANTMMQKFWDSALALEPPDDYDTQSEMSAIMASDGGDAGKLSSYPSLGLGNSFAFKFEDLKGRVHRFNCGTENLDELLSAIMPRIASSNDHGRPQLLYEDDEGDKVLLATDSDLIVAVNHARSRGLKVLRLHLDSADSDQQKKSQSSITSKRTGWVSLRSGLLAGVVVITGISVLVYLKRSKS >EOX92712 pep chromosome:Theobroma_cacao_20110822:1:8231340:8243682:1 gene:TCM_001612 transcript:EOX92712 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS / octicosapeptide/Phox/Bemp1 domains-containing protein isoform 2 MSSQAVPPPPASRRNSNKRGPSAPKKSHSSDNAKPTSPSSVGGERTVKKLRLSKALTIPEGTTVSEACRRMAARRVDAVLLTDANALLSGIITDKDIATRVIAEGLRPEQTVVSKIMTRSPIFVTADSLAIEALQKMVQGKFRHLPVVENGEVIALLDITKCLYDAISRMEKAAEQGSAIAAAVEGVERQWGSNLSAPYAFIETLRERMFKPSLSTIIAENSKVPIVSSSDPVYVAAKKMRELRVNSVVVVMGNKIQGILTSKDILMRVVAQNLSPELTLVEKVMTPNPECATIETTILDALHIMHDGKFLHLPVLDKDGTVAACVDVLQITHAAISMVENSSGAVNEMANTMMQKFWDSALALEPPDDYDTQSEMSAIMASDGGDAGKLSSYPSLGLGNSFAFKFEDLKGRVHRFNCGTENLDELLSAIMPRIASSNDHGRPQLLYEDDEGDKVLLATDSDLIVAVNHARSRGLKVLRLHLDSADSDQQKKSQSSITSKRTGWVSLRSGLLAGVVVITGISVLVYLKRSKS >EOX92681 pep chromosome:Theobroma_cacao_20110822:1:8066503:8070697:1 gene:TCM_001591 transcript:EOX92681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, unclassified, putative MEMARCLMFHKDMPKVFWVEAVNTANYILNLTYSRVLPNVTTYELWYEQKPNVSHMKVYGSVCYAKVLDEKRSKLDLKSTLAIFIGYSDLSKGYRLYDLKSEKIFISKDEQGGDVESLDLEDERLAIRGTRSLADIYERCNLACTDLVSFAEAQTDENWKKAMDLEMDMILKNGTWELVNKPNGQNVIGEDIYIAQPEGYVVQGFEHKVCKLVKALFVLKQALRAWYERIDEYFRNHGFNRSLTKLTLYVKGTCDSLQLIVALYVDDLLITGLDIFLHQLKYVRNLLKKFNMEGCKTVDTPLTVGIQLSKKDGSSKANGSLYRSIIGSLLYLSATRLDIMFATCLLSKSMQTPSVIHFKAAKRILRYVKGTSDFGLVYLRSESSGLQGYTDNDWAGSVDDSKSTGGFCFSQGVLALCGMNDAETKPVLFCSCNDNTVRLYDLPSFTERGRLYSKREVRVIHRGPFPLFFTGYGSG >EOX96581 pep chromosome:Theobroma_cacao_20110822:1:38314443:38317696:1 gene:TCM_005806 transcript:EOX96581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation proton exchanger MSNLFGGKYNIVNGLNNEFKVCYNEDRSFANTDWQVTNPLLKSLPIFMLQLSVVILFTRTFIIVLTPIRQPRFVSEILAGIVLGPSALGIIGWVSSNINPFEGALLLETMGNLGVTFYMFLVGLEMDLTPIRKMGKTACSVAIAGIVLPACGGAGLYYLVLQKDGRRPSEGGLFWAIALTVTSFPDLARMLSSLKLMYTDLGKTALTSAVLTDLSSWILLVAVVSVVNGRGKLYTVIPTMLFLVISWFLMRPFILWAVKRIALRNESSMESRYNEKQVCYILSGVLLCGFFTEMCGVHSMFGAFMFGLMIPSGELGTMIMDKIEEYVVGILLPPVFLITGLRTNFAYMAVEHTSHLVIIVILVASSVKIVSTLLVCLYLKCPIRDSLALGVLMNTKGVLAIIVLNEGRNVKGFDQQTFSWMVLSILIMTGLVGPIVNFTHKSTRYTKKYYRRNLERSKVEAELRVLACVHSSKNVSGLINLLHISNATRKFPITVFAVHLVELTGRASAMLIFHDKTRTTNVIGNEINPISRGKAEAEQIVSAFESFHNDNHAVYVQPLTAVSSYASMHEDVSNFALDKGVTVILLPFHKQANAHGGWTDENLQHKQVTDNLLANAPCSIGILVDRGLTPPYLPLGSPHDRKRKCRIAMLFLQGPDYREALAYAWRMAGTSGVMLTVVRFIPGKEVVKFAETDAGNGGGDDDDDEIFAATFEKEKEMLLDDDYINEFRFRTMHNQSISYIEKQVNSGDQIVSIIRSTYNDFDLYIVGRGHGMQSPLTVGLSDWSNCPELGLIGETLGAADFLPSGSVLVMQQSTPPPSAPKKIVSSARKKEVFKNSGQPSAVPFVNHRKADDYY >EOX90618 pep chromosome:Theobroma_cacao_20110822:1:145666:146699:-1 gene:TCM_000034 transcript:EOX90618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix-containing protein, putative isoform 1 MTRYQRFLSTAQAPPPEAVTVESDLVVILAALLCALICVVGLIAVARCACLRRRRTANNNASQASANKGLKKKVLQSLPKVTYNKDAAISTDTVKFASMECAICLGEFAEGDEIRVLPQCGHGFHVGCVDTWLGSHSSCPSCRQILVVARCHKCGQFPPGTGTAVSEAEMKALEDNRNATNSFLP >EOX90617 pep chromosome:Theobroma_cacao_20110822:1:145620:146701:-1 gene:TCM_000034 transcript:EOX90617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix-containing protein, putative isoform 1 MTRYQRFLSTAQAPPPEAVTVESDLVVILAALLCALICVVGLIAVARCACLRRRRTANNNASQASANKGLKKKVLQSLPKVTYNKDAAISTDTVKFASMECAICLGEFAEGDEIRVLPQCGHGFHVGCVDTWLGSHSSCPSCRQILVVARCHKCGQFPPGTGTAVSEAEMKALEDNRNATNSFLP >EOX91639 pep chromosome:Theobroma_cacao_20110822:1:3387994:3390152:-1 gene:TCM_000763 transcript:EOX91639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPGGWHFSRVDTLELKSQIERKIGRVKAEKYFNLLTRFLSLKINKPEFDRLCIGTIGRENVRLHNHFLRSIIRNASLSKTPPSRENKLEGSLSVKVANGYQRSNLQSLCKDFPQSPRKGRTPNLRDRKFKDRPSPLGPHGKNHSTACEDAVPKIQEQQSATELLSLCSRPPGSVEDGEEVDQAAESPSIHSRSPVRAPLGISLNAKGMRKVPWNGLASASETCHSRGELPDSSSLRKRLEQKLEMEGLNISVDCANLLNDSLDVFLKRLIKPCLELAGSRSGQKLLDQGHNWSMASLNGMRPVRYAQKQNGSISASMLDFRVAMELNPLILGVDWPTQLEKVSLLASEE >EOX96667 pep chromosome:Theobroma_cacao_20110822:1:38599478:38599923:1 gene:TCM_005868 transcript:EOX96667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II 5 kDa protein, chloroplastic MASITMPASFLGATSLTKGSPRIAHRRLVVANAAKGAEVERVKLSGETKRECNNGRRELMFAAAAAAVCSVAGVAAADEPKPGTPEARKVYAPICVTMPTARICHK >EOX91413 pep chromosome:Theobroma_cacao_20110822:1:2637676:2641140:-1 gene:TCM_000618 transcript:EOX91413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 2 plant, putative MDSKMVFALLLVSLALLLLFPSINCSTGIGDTAGGGEFPDSFRAVSDCSFSSSVEFQQEQHIAWEMSIRGFNGITGPKMALTFQDLYGNSTPVVLSAFYGDKKGHMDDIDIVTLVLTYCSNNKMRKLWALIIMDDPISLSTTSSPLLGTINNTFRGTYRSQDSSSGGAGNKMIDGALVISNTTQFLSVNINDKNYRTLTELYNSFDELVEKVVVEVSHRYIKKWFHRAVGDIEITADHNQPRVFYQRRSESRFTMVVKAKPNELDRFWWFLSPFTLEMWLTLVALSVFTGFVTWIIEGQNEDGPNLVEALLFFVHRDAPRNRLTNFVQVPWLFLVLVVTSTYTASFSSTVTSSETKPPCLDMENLKITNAIIGCDGDSIIFRYLVETLGFKRKNIKNIAQSSIDDYAEALSTGNIKAAFFSTPHADVFLEKYRKGFSAWEPIRNLRDSTIVFPRVSPFVSEMSKFKQMKGYMLSFLDCSGSTIDGTMKQGIGPGPFSGLFFLSGGASAIAMLITVIRLIERRWENYIQRMLMGRELWVWLTTLFPRNQRRNELQIQVQG >EOX91671 pep chromosome:Theobroma_cacao_20110822:1:3498679:3503584:1 gene:TCM_000788 transcript:EOX91671 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 2 MEKRLRSSLQSSAEDFLSSAVKLTLKSSKATLKSLIHSIPPSSPLSSTLPPCLYRSISHSIVSFRNQANEPDPSYSPPTKRTRRSTRSSNPRDNPQNNDSENLRVLAHITGLCISHPRNAFRHSDLIPSVRSLHDNLITFESDPGLSNEIACLCEEWWKQDAPEKETFISQTLPFLLSKSLTLKKKVDVHRVYSLREAFNFFDFEDESIEDLKLLLIRCVIEPLYLKTEEGKRFVAFTFGLSLQFLKECLAMIKAQIPFGRKSMLEAYGEIVFKGWKGVEGELKREIEDEFLMGLVEGAIHASSKGLGSSIRRVLGGFINQRTVDGVEKLLFRLAEPVIFRSLQVANSNVRQNALHLLLDLFPFEDPDATKEVKDTLLDKQFFLLERLLMDDCPDVRVIAVEGCCRILHLFWEIIPSSTITKIISKIFDDMAYDTCNEVRLSTLNGIIYLLGNPQSHEILKVLLPRLGHLMLDNVLSIRVAMVDLLLLLKDIRTFQFNKVVSLEVLLNILANDQSPVAQKITRLIMPSYFPARLAIEDACNRCVMLMKRSPLAGARFCEFALSEGASLKSMMELVKVFITLVVSDGKLDAAQIEGFLVASANLCCSLANERSQQDALKELFSGERVKCLFAVASTAHAKSSVFDILSITASDNVAGLLGDCMCLVTDCSDLSENLEKQAEVRSAHKLLISCDAFDNMFEALTRLLQKTAYRCHVKFDVEAPKQNVSPAKRKRWKSLTRNSAKWKHVSRKKSSNFEDDYSVAIGLSWQIKDMLASEDTRKAVLGSQALELLFFALKVISEVSIVQCEYYEYMDPYPVLAYTALALQMALQNVTNYGMKKNDGIDSSGSISETMLDKVMDHLLNCTDKLFEAGDSGKNGKSPPESKRDNSKRAARPGQKQREPQTDVSSSTSDGSVYTKQKQTSKKEVLEVYISIHAVHRLFLKAAIYR >EOX91670 pep chromosome:Theobroma_cacao_20110822:1:3498681:3504368:1 gene:TCM_000788 transcript:EOX91670 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 2 MEKRLRSSLQSSAEDFLSSAVKLTLKSSKATLKSLIHSIPPSSPLSSTLPPCLYRSISHSIVSFRNQANEPDPSYSPPTKRTRRSTRSSNPRDNPQNNDSENLRVLAHITGLCISHPRNAFRHSDLIPSVRSLHDNLITFESDPGLSNEIACLCEEWWKQDAPEKETFISQTLPFLLSKSLTLKKKVDVHRVYSLREAFNFFDFEDESIEDLKLLLIRCVIEPLYLKTEEGKRFVAFTFGLSLQFLKECLAMIKAQIPFGRKSMLEAYGEIVFKGWKGVEGELKREIEDEFLMGLVEGAIHASSKGLGSSIRRVLGGFINQRTVDGVEKLLFRLAEPVIFRSLQVANSNVRQNALHLLLDLFPFEDPDATKEVKDTLLDKQFFLLERLLMDDCPDVRVIAVEGCCRILHLFWEIIPSSTITKIISKIFDDMAYDTCNEVRLSTLNGIIYLLGNPQSHEILKVLLPRLGHLMLDNVLSIRVAMVDLLLLLKDIRTFQFNKVVSLEVLLNILANDQSPVAQKITRLIMPSYFPARLAIEDACNRCVMLMKRSPLAGARFCEFALSEGASLKSMMELVKVFITLVVSDGKLDAAQIEGFLVASANLCCSLANERSQQDALKELFSGERVKCLFAVASTAHAKSSVFDILSITASDNVAGLLGDCMCLVTDCSDLSENLEKQAEVRSAHKLLISCDAFDNMFEALTRLLQKTAYRCHVKFDVEAPKQNVSPAKRKRWKSLTRNSAKWKHVSRKKSSNFEDDYSVAIGLSWQIKDMLASEDTRKAVLGSQALELLFFALKVISEVSIVQCEYYEYMDPYPVLAYTALALQMALQNVTNYGMKKNDGIDSSGSISETMLDKVMDHLLNCTDKLFEAGDSGKNGKSPPESKRDNSKRAARPGQKQREPQTDVSSSTSDGSVYTKQKQTSKKVKMLTAVLKFFVDSIAMGFASHVHRRCLKFTSAYMQYIVSSLRQLSIDKSQFKEEKLKESIMCVKSSFSYATKLLNLVLDAATEASPAPVESFNLASDLLDLIISGELFLGSSFAARLVAAVKPWLPDLILALGSTSMLEQSLERAYLTALNHIKLQFPSWPLILAKTELAEMSEGDPEEEDDRVSEPEFCEFKKLLGMIISLLKGNSSILDAVGMIFLASSAVGLERKDFGLLLGMLRFIRLKLIGQEDREWSGLDMMLVSLPDIYPRIEREIEEQNDEDESHKLHEARALLEPVWLYHVYETERFSEMEP >EOX95931 pep chromosome:Theobroma_cacao_20110822:1:36067158:36070467:1 gene:TCM_005313 transcript:EOX95931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex protein 5 MAKVESPQVTRRTTRSYSASNDAIESTKPIEPYKPTLNDLGFRQQPLSFEDLLSCLPGRRTQVLELLRLLGPLNSPMFPILIYGGPSTGKTSVILQVFRHLNRPFVYSSCVTCYSPRILFESILNQLFHRKNSGNSYSSIKRCEKPSDFVNYLREALESVIKNLKGNSGKLSSKVSGQPNGTMIYLVFDHLERVRKWDKSSTILPFLFNLFDILKMPEVGLIFISNTSPDTYYFNMGYVEPIPLCFPDYTEDDLRQIFMANQTNRKLYSSFLDVVLRPFCRVTRRVDELSAVFSSLFKKYSQPLSDMGVAPNEDMKRRLFSHLQPHITSALNETFLSPSWPPLLKDEANGETKKKGSSRMSRIHEDFDKIDFHMSTSAKYLLISAFLASRNPATLDASLFDSTGVSDSRKRKRKPSEKSLEQKEMTELELLMKGPGTFPLERLLAIFQCITSLAEDSLDEEESHELTIEGGSSGLMSDVLLQLSSLCNANFIIKGGSCPLEGSTRYRTAVSEDLALKVARSLKFPLSKYLYRR >EOX91628 pep chromosome:Theobroma_cacao_20110822:1:3337176:3340872:-1 gene:TCM_000754 transcript:EOX91628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative MGVVIESSVWEPSSSVYIFIFLSCFLSLSLLPYYLSKHAPTKSPSFSDHPTSSSPSLRFQRHFLLVYSLASVLEGLWSVYGELELVYYGISKEEMVSFLCIGFGAALFVGSFLGLLSDIIGQKKMCLVFCILHLIVGIWKRSTSGPSFWVANVLLFLATSIFSFSFETWAVVEHDKQGHRQDSLNETFWLMTFFESASWIGSQVIGNWLVGTNNLEKGIASPSTAAILLAIVGIICISRDYNGTPQTMTIKDYRVSFYACILGDRRIWLLACSQACIHFSILVFWILWAPTLVADGREVYLGLIYPCLLGARMLGSSMFPWLISASLRTEDCLVYAFIVQGLLLSIIAYDYQEIGVLVTQFSLFHVCTGLILPSLARLRTMYVPNELRGGMMSLSLAPANAAILFILMQRGYYRTIENATMIAFAAIGLFMAAGCMHVLKRWGKQPYQNWHKL >EOX94471 pep chromosome:Theobroma_cacao_20110822:1:28890351:28892047:-1 gene:TCM_004056 transcript:EOX94471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response factor 1 MFKARPNKEEENSLPIKVTSGCHTKTELSVGTERRKEHAGQNLKHTHTAMNNLTPDMDSCFFQYSTPEFSPESSSGSPEPFSCWDDLLLHHNSIPFNFNDSEEMLWMDLLAQGPKESSESNSTDGLKEEEVTSDAKQEEPKKDKTYRGVRKRPWGKYAAEIRDSTRNGVRVWLGTFDSAEAAALAYDQAAFSMRGSLATLNFPIEVVRESLQDIKYRCEDGCSPVVALKKRHSLRKRSKNKKSKQNEAARQQQNLVVLEDLGADYLEQLLSSCETAAPR >EOX92212 pep chromosome:Theobroma_cacao_20110822:1:5743568:5748984:1 gene:TCM_001192 transcript:EOX92212 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP domain-containing protein isoform 1 MSSRYPILDNKPIDQWRVTELKEELKRRKLTTRGLKEDLVKRLDEALRIERENAAKDADNGFKSDPQPVVEEGIEKAEPVVAKTVKEVVHHGDSEIEKGSGVKFQVDINDSAAALGHGGVQGRDILVEEEPVIQTATVQTKITVTETVVSEVELTGQDLQSSGQGENVNSNIEVEYEDPKPQVENEGPKSEVENDDLKYQLESVGSKSQLENENLKPQRENVGSKAQLENDDPKPQLESVGSKPLLENDDPKPQLDIEDSKPQLENEGLKAPHEDDVRDCSAPNNQVSEVSPILGFQVKSDSISTDSVSINEKIELKDNIIADNVKLDLDVVKPEMVEPSSSNVVPVSGESHPMDVEEPLENKVSVDERDDKNVTNADMSKKNDSAEMGYSEKLNLDRSSGDDSMEEDVLDSKQIDSKCSTEEMGEKSVKNELPILKEESPVDVVGDGLSADVENKSRSTVPVEKRKLHDQEAVGNNEPSKRRKWNSDNVKVPERQGSNLTPTTTPKETTKPASLKRNFSRSDSTASEDTPKERVVPPSQKPLTTSLRIDRFLRPFTLKAVQELLGKTGTVTSFWMDHIKTHCFVTYSSVEEAIETRNAIYNLQWPPNGGRLLVADFVDPQEVKMRLEAPPQTPTTPGTSGSIAPQAQSTSQPQPSPRQQVPRQQLPPPALPPPPPLSNPPPVRERLPLPPPPPEKLDPPIVTLDDLFRKTKATPRIYYLPLSEEQVAAKRAARGRNVKQ >EOX92211 pep chromosome:Theobroma_cacao_20110822:1:5743566:5749568:1 gene:TCM_001192 transcript:EOX92211 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP domain-containing protein isoform 1 MSSRYPILDNKPIDQWRVTELKEELKRRKLTTRGLKEDLVKRLDEALRIERENAAKDADNGFKSDPQPVVEEGIEKAEPVVAKTVKEVVHHGDSEIEKGSGVKFQVDINDSAAALGHGGVQGRDILVEEEPVIQTATVQTKITVTETVVSEVELTGQDLQSSGQGENVNSNIEVEYEDPKPQVENEGPKSEVENDDLKYQLESVGSKSQLENENLKPQRENVGSKAQLENDDPKPQLESVGSKPLLENDDPKPQLDIEDSKPQLENEGLKAPHEDDVRDCSAPNNQVSEVSPILGFQVKSDSISTDSVSINEKIELKDNIIADNVKLDLDVVKPEMVEPSSSNVVPVSGESHPMDVEEPLENKVSVDERDDKNVTNADMSKKNDSAEMGYSEKLNLDRSSGDDSMEEDVLDSKQIDSKCSTEEMGEKSVKNELPILKEESPVDVVGDGLSADVENKSRSTVPVEKRKLHDQEAVGNNEPSKRRKWNSDNVKVPERQGSNLTPTTTPKETTKPASLKRNFSRSDSTASEDTPKERVVPPSQKPLTTSLRIDRFLRPFTLKAVQELLGKTGTVTSFWMDHIKTHCFVTYSSVEEAIETRNAIYNLQWPPNGGRLLVADFVDPQEVKMRLEAPPQTPTTPGTSGSIAPQAQSTSQPQPSPRQQVPRQQLPPPALPPPPPLSNPPPVRERLPLPPPPPEKLDPPIVTLDDLFRKTKATPRIYYLPLSEEQVAAKRAARGRNVKQSAGVAFWKELFQPCGGKLSGDRFCFANVDAKFPLRERLLD >EOX91646 pep chromosome:Theobroma_cacao_20110822:1:3418615:3423653:1 gene:TCM_000770 transcript:EOX91646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetamidase/Formamidase family protein isoform 1 MAGYGARVVVPIDLKKKPWEQKHPLHNRWHPDIPAVAEVKEGELFRVEMVDFSGGGITKDYSADDVKHADPSIVSYSSIFCLSVSNSNFYCWCLKANTSMKNNSSKSNVRHKFTLLEHRTFPIDFLPTFSSMAPTPRLVVPIDLKKKPWEQTLPLHNRWHPEIPSVADVEVGEVFRVEMVDWTGGIIKDDDSATDVKFIDLSTVHYLSGPIRVVDKDGIPAKPGDLLAVEICNLGPLPGDEWGYTATFDRENGGGFLTDHFPCATKAIWYFEGIYAYSPHIPGVRFPGLTHPGIIGTAPSMELLNIWNEREREVEENGHKSLKLCEVLHSRPLANLPSTKGCHLGKITKGTAEWEKIAKEAARTIPGRENGGNCDIKNLSRGSKIYLPVFVEGANFSTGDMHFSQGDGEVAFCGAIEMSGFLELKCEIIRDGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDETGRQHFLDASVAYKRAVLNAIDYLSKFGYSKEQIYLLLSCCPCEGRISGIVDSPNALATLAIPTAIFDQDIRPKTGKVPVGPRLVRKPDVLRCTYDGNLPTTKNPAALM >EOX91647 pep chromosome:Theobroma_cacao_20110822:1:3419940:3423373:1 gene:TCM_000770 transcript:EOX91647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetamidase/Formamidase family protein isoform 1 MAGYGARVVVPIDLKKKPWEQKHPLHNRWHPDIPAVAEVKEGELFRVEMVDFSGGGITKDYSADDVKHADPSIVHYLSGPIRVVDKDGIPAKPGDLLAVEICNLGPLPGDEWGYTATFDRENGGGFLTDHFPCATKAIWYFEGIYAYSPHIPGVRFPGLTHPGIIGTAPSMELLNIWNEREREVEENGHKSLKLCEVLHSRPLANLPSTKGCHLGKITKGTAEWEKIAKEAARTIPGRENGGNCDIKNLSRGSKIYLPVFVEGANFSTGDMHFSQGDGEVAFCGAIEMSGFLELKCEIIRDGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDETGRQHFLDASVAYKRAVLNAIDYLSKFGYSKEQIYLLLSCCPCEGRISGIVDSPNALATLAIPTAIFDQDIRPKTGKVPVGPRLVRKPDVLRCTYDGNLPTTKNPAALM >EOX95222 pep chromosome:Theobroma_cacao_20110822:1:33375910:33377623:1 gene:TCM_004772 transcript:EOX95222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1645) [Source:Projected from Arabidopsis thaliana (AT3G62630) TAIR;Acc:AT3G62630] MVLVVQNNLNNAETESITRLSSSSSSPYDGDPTETPRFSEDLDSTCSTPYVSAPSSPGRGPTSGYFYSAPASPMHFVLSSAPSATCHLSSEPSLMSAQSDTSSFEFEFSSRFSSSGSVDVGSMSSADELFLNGQIRPMKLSSHLQRPQILSPLLDLDVEEDDVEFRNDDGLNNRGASRGRDLKLRSRSLHRKARSLSPLRNVEFQWEEEEEEEGSSEEVQKEVSQRILEGLKETVTSNETTPSCSASSSRSSSSGRNSKKWIFLKDLLYRSKSEGRANGKEKFWTSISFSPSKEKKIQEEKPPPLKQKQKENKQGAGKKVSSGKPANGVAKRRLPPSPHELLYTTNRAQAEEMKKRTYLPYRQGLFGCLGFSSKGYGALNGLARSLNPVSSR >EOX94798 pep chromosome:Theobroma_cacao_20110822:1:31458929:31462045:1 gene:TCM_004409 transcript:EOX94798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum activated malate transporter family protein, putative MGSIVIVIPDGEGLPPKENIKKFDTFSCPGIISFLRENKSRHDLRKVMHSIKVGIALVLVSLLYLLDPLYKEVGENAMWAIMTVVVVFEFFAGATLSKGLNRGIGTVLGGGLGCLAAAFAQAVSGIGKAIVVGIAVFVFGAAATYTRQVPNIKKKYDYGALIFILTFNLVVVSGLRAEQVLELARERLSTILMGFAICIFISLLVFPIWASDELHDSLISRFEDLARSLEGFSKEYFENVTEKENKSSANFSSCKSVLHSKTKDESLVNFARWEPWHWKFGFSYPWGKYIKIGGDLRDLATTILSLKGCLRSPTQSSEGLRQSVKEPCEAIISSLAWTLRELGESIKNMRNCRSEDVVVPKLKSVRLELSIAVTPATLGTNLENADGLGLASFVYSLMEMVEKMEELAKDVDELGELGGFHK >EOX92768 pep chromosome:Theobroma_cacao_20110822:1:8538189:8539543:-1 gene:TCM_001650 transcript:EOX92768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyesterase 18 MTNQRTKPSLPWRTRISISLISTLNDAACRPNGTINRRLLRFLDLQAAPNPKPTNSVSSSDTTLDATRNLWFRLFSPSLPSGLLLPVVIFFHGGGFICLSPATHAYDAVCRRFARKLPAFVISVNYRLAPEHIYPSQYDDGFDVLKFLDENRATILPENADLSRCFLAGDSAGANLAHHVAVRACQTGFQTLKVMGVVSIQPFFGGEERTEAEEQLVGSLLVSVPRTDWCWKAFLPNGSDRDHGAANVSGPNAEDISGLDFPEAMVVVGGFDPLKDWQKRYYEWLRKSGKKATFLEYPHMIHAFYLFPELPEASQLILQVKDFMTRCWSELQHD >EOX95500 pep chromosome:Theobroma_cacao_20110822:1:34463797:34468479:-1 gene:TCM_004983 transcript:EOX95500 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADPGLC-PPase large subunit MFSSCCVPSSKWPPSHRDRLLSSSFFGNAVGVGQSNSALSGIRMGKINIPRRTCAATKRFSTSAVLADVAKDFMAFQANILPKQEADPKTVASIILGGGAGTRLFPLTRRRAKPAVPIGGCYRLIDVPMSNCINSGINKIYILTQFNSQSLNRHIARTYNLGNGVNFGDEFVEVLAATQTSGESGKKWFQGTADAVRQFIWLFEDAKHRNIENILILSGDHLYRMDYMDFLQKHIDSGADISVSCLPVDESRASDFGLLKIDENGQIRQFLEKPKGESLRSMQVDTTVLGLTAQDARISPYIASMGIYLFKTEVLLKLLRWHYPAANDFGSEIIPLAMKDYNVKAYLFDGYWEDIGTIKSFFDANLALTDQPPKFHFYDPLKPIVTSPRFLPPTKIEQCRVVDSIISHGCLLKECSVEHSIVGIRSRLEYGVELKDTLMMGADNYQTEEEIASCLAESKVPLGVGKDTKIMNCIIDKNARIGKHVVITNKDNVKEADRPSEGFYIRSGIIVVLKNSVIKDGTII >EOX95592 pep chromosome:Theobroma_cacao_20110822:1:34745870:34750046:1 gene:TCM_005041 transcript:EOX95592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDVVRRKDHAPQDKNKLVACPSKSQDQVSSSAVQPHANRVSSSAEQVQALNQAILPVDLPLSGKLKSRKVGLLSEVVERLSGKKKQKERRGKLTVRRINKNKLSQLWMKAKEVWKLSKSVGLSANGDEEEIFAQLLAWAQVNGRQQDYQPRFNSKPINCCPGHPFACH >EOX93612 pep chromosome:Theobroma_cacao_20110822:1:14347763:14350666:-1 gene:TCM_002504 transcript:EOX93612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVEQTDIPDCNHADSGTGHTTTVVLEDVELDDHGRSVELEDIEGADSIYKNTIALENDIRSPDDSDQERSMDDHLYHRKVFLSKAELKRALSMLALKEHFEFRVKKSCHAHFEVGCKDKACKFALRATKLPEREYWQVRMLQKAFKPCIRGFNAVMRPIVAIDDTHLKGRFKGILFVAHLDIKNAIEQVYKDAHHGLSNYHLGKNVKNRFKCEDVAVIFTMAANCYRFTDFDRHMNQLKQLCKPTYDSLMRLGPERWARAWSSVRRNKLMTSNTTECINSYLRHARKMPITVLIECIRGMFQRGSKDKVVNLYTKECSYGEFQSDLLPCMHAMVAISKCKRAAIELCSDYYKTRSWAEGYAVPIRLVRHSSEWDIPDDVQQIVILPSSWRGQPTVGRRRPKTCPICRQPGHKRNRCPMRTTNSDNVIGVVPEDSVSRPGTPLEPVTIVATSR >EOX92363 pep chromosome:Theobroma_cacao_20110822:1:6461872:6462481:1 gene:TCM_001312 transcript:EOX92363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALKNVFLLFVLVCLLASATAARDLSSKSKPGHNLAARLEASGGLVECWNALNELKSCTNEIVLFFINGQTDIGPDCCRAIEVITRNCWPAMLTSLGFTSEEGNILRGYCDASSGPAAAAPLAGYPVSAEVFI >EOX91044 pep chromosome:Theobroma_cacao_20110822:1:1437095:1438903:1 gene:TCM_000348 transcript:EOX91044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmatic reticulum retrieval protein 1B MEGLENDGGAAPIVQWKSEFSRRFQYFLDRSTPHTMRRWLGTLSVAMIYVLRVYYVQGFYVISYGLGIYILNLLIGFLSPQVDPELEALDGASLPTKGSDEFKPFIRRLPEFKFWYAITKAFVVAFVLTFFSVLDVPVFWPILLCYWIFLFFLTMKRQILHMIKYKYIPFDIGKQRYTKKSASSSGTRRD >EOX94190 pep chromosome:Theobroma_cacao_20110822:1:25080483:25081456:1 gene:TCM_003635 transcript:EOX94190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata callose-binding protein 5 MSHYIFPPVILSVIFMTLPPLMAQTVVTELWCVAKNNAEDAALQGALDWACGAGGADCTRIQQGGPCYDPSDIQKTASYAFNDYYLKHGLTDDACSFSNTAALTSLNPSHGDCKFPSSKTVNNASISGSTGTLGMGPDTADLSASDLIAHSRLRSLIVAHLFLVIVRIFSEN >EOX96425 pep chromosome:Theobroma_cacao_20110822:1:37755066:37774390:-1 gene:TCM_005676 transcript:EOX96425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAANTDQEIAPLSENNDPPNDLVIRISGEVSEPGPECCIFKVPIRFRAGNEAAYAPHLISIGPTHRDDPNLAPMESQKERYYYEFLRKASEKTLKDFESSIKLNVTRICRCYDVQSIYMLDPSQLIEIIFRDAVFIFTLFLRDWAKKQGFTSKDNNHDEEEDDYYDLNQSALLRVKLLTDLMLLENQLPFFVLEDLYKLVFPSSNENSFPDPAKPASNGYCFLDLACSYFNIKKHPSFEKKKIKHFTDLIRYQVLRNCPIVGNDEQIGNYNMHSATKLHEAGVKFKCTTVKNIFRVMFNGVSYNCKKIGDLDLEGFWLINQATNDALESTEYSLLEVEFKKGVLKLLTIDVEYETEIRFRNLMAFEQYYYSKRAYFCSYIKLLDSLIDTNEDVDLLVKEGIIVNRLGSSAAVAEMINKLAVGVVHSTLLYGEIGWKLDQHYKNPWNHTMATLRHVYFSDLWRGTGTAAAFIVVILTLMQTILAILEKAAPTK >EOX96426 pep chromosome:Theobroma_cacao_20110822:1:37772041:37792907:-1 gene:TCM_005676 transcript:EOX96426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MERQKQRYYKKICQRTSKKTLEEFASFIKAHVSDICRCYDVQSIYMLDPSQLIEIIFRDAVFIFTLFLRDWAKKQGFTSKDNNHDEEEDDYYDLNQSALLRVKLLTDLMLLENQLPFFVLEDLYKLVFPSSNENSFPDPAKPASNGYCFLDLACSYFNIKKHPSFEKKKIKHFTDLIRYQVLRNCPIVGNDEQIGNYNMHSATKLHEAGVKFKCTTVKNIFRVMFNGVSYNCKKIGDLDLEGFWLINQATNDALESTEYSLLEVEFKKGVLKLLTIDVEYETEIRFRNLMAFEQYYYSKRAYFCSYIKLLDSLIDTNEDVDLLVKEGIIVNRLGSSAAVAEMINKLAVGVVHSTLLYGEIGWKLDQHYKNPWNHTMATLRHVYFSDLWRGTGTAAAFIVVILTLMQTILAILEKAAPTK >EOX95814 pep chromosome:Theobroma_cacao_20110822:1:35646037:35651071:-1 gene:TCM_005221 transcript:EOX95814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase, core, putative MALSDKELSAADLRATQRNWVQYSKQHSKNLPPGSITDFEWKDYCPKAFRLIQELDNIDNDDYMMSVCSDETIRKASSTLRPGNLFLLSNDSRFAIKTLRKSQLKVLIEMLPIYYNHLRKFRNTVLNKLYGLHVVKPVGGVKVYFVVVANIFKSDLLMHRCFDLKGSLQGRKVKKMRVREKTLHKESDLDFLFYLEPLVRHRLLAQIKHDCAFLEAVGIMNYSLLLGFHIKESPQGFLDGGSAFCPDSPRRGSVDSRCSYSIDSRNCTTELTFRDHWLQNNSCTSKFGEEMSARGVRISWNGTGSVSTRQISRRRECHDVLLYFGIVDFFQDYGVIKRIEHAYKSLQFDPKMIAAVNPKVYSSRFQAFISDIFKADDSLH >EOX95119 pep chromosome:Theobroma_cacao_20110822:1:32929221:32931837:-1 gene:TCM_004680 transcript:EOX95119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S4 (RPS4A) family protein MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTNEDFRLLYDTKGRFRLHAITGDETKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHIQDAAGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEEARKRLAAQAAA >EOX92389 pep chromosome:Theobroma_cacao_20110822:1:6647039:6652443:1 gene:TCM_001346 transcript:EOX92389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative MQISRWRNVLVLKNSLVPAFLPSEPTATVATTHVASFHSTPVTCEKWKNKWNYDEKRAQQPSKTYVRYAVRQKRADAKRALKDLLFNTGSSNISFQDDDPIWKFDGTEGQDSDGSNKKWRSRFPGRRAGKSNHKKIKRRFRRESFSGDFDHPETIFQATFGNKWYTWSSRGESSFQNSESGYEWREKSGWTNQRRKQWETMSETESEDESCAVGSCSDRSILGLPPTGPLKIEDVKNAFRLSALKWHPDKHQGPSQAMAEEKFKLCVDAYKSLCNALA >EOX93919 pep chromosome:Theobroma_cacao_20110822:1:17422216:17425215:-1 gene:TCM_002920 transcript:EOX93919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNTGGRDGDDGVRGERGRGSGRDAGRRGRGSGRDGGRRGRGDGGGRGNEPDEASSSQAATQNPSLVGQEQSLPSHSLHGPDQPARPSVSPRNQTFHQQQTSGQQAPQDSEHQRLAGHTNLRRNNLHNEARNFPPSASFPVRVVRPQRHDIALQLRKRNVVVGSQQTRKSGSFGIAREKPATTSQLGPSFPHRKRSATDILLADRILAAFLSLPVQAWLPDGVAQPQRQDALERSERNVAVGSQQTPRFGSSGIATSQPGPSIPRRERSATARNSGSFAGAVSLQGMGGSMTQTQGDPVGSFHQKEEKPFPDSEPNSYAKSVKKRKKSTESTDGSDEQEAPSASDITIREQQPSSSARPTEAHQGNPDDSDESLDTDLG >EOX93750 pep chromosome:Theobroma_cacao_20110822:1:15538238:15539152:-1 gene:TCM_002668 transcript:EOX93750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative MSPHHLNPSSLKSRFTTRFLRALTNINAQNPISSSSPREILQRYRRIKVAADKSMAYSVRSRRTWSRAMRWKLRSRSWRQASSVRRLKITTTCHQAIMKKTAHERESKTTTGEEVGFGVQTDGLRELVPGGEAMDICNLLDETAHYIKCLTTQVQREQIAS >EOX92044 pep chromosome:Theobroma_cacao_20110822:1:5050103:5057553:1 gene:TCM_001064 transcript:EOX92044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MGFWHPLELVARTPIPRSGWGMGRRRDGWAKSSRVIKPPKYLEAYDCQLPSHANSVTNHPISQYLSPQQLSHSHKAFTTLLTKISEPNHYHQAIQYDQWINAMQIELDALEANGTWTVVPLPHGAHTIGCKWVYKVKLNANGTVERYKARLVAKGYNQKEDIDNAFLNGDLDEDIYMTLPQGYQIKGEFPSNVKLVCKLHKSLYGLKQSSRQWNAKFTSVLLEFGFCQSLADYALFVKMTTNDEILVLLVYVDDIIIASSSSNATEQIIEFLKSKFKLKDLGKLKYFLGLEVAQSEAGISICQRKYALDLLAEYGVLGCKPITTPIDYNHRINKATDTDQLVNATNYRQLVGKLLYLTFSRPDISYDVHILSQYMDKPTQSHLHVAFRVLKYIKGALGKGILLSSRSSLQLKAYSDSDWGGCPDTRRSVTGFCVFLGDSLISWKSKKQMVVARNSTEAEYRAMAATTCESHSQEATKAILQTTQHPLASNVQLKSRGANNTNVYIIGDHAIIGEVQHSSNGRH >EOX95993 pep chromosome:Theobroma_cacao_20110822:1:36253446:36254721:-1 gene:TCM_005352 transcript:EOX95993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLKPCPRMKEMASVVAMVVDECDSTMACDDQPDCQPPCANVVVDALKAVWKTIGVPKKYRIGLDITWSDALSTVD >EOX92522 pep chromosome:Theobroma_cacao_20110822:1:7285861:7286283:-1 gene:TCM_001465 transcript:EOX92522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative MEKNSLAPGFRFHPTDVELLKYYLRRKVLGKKFRFEAIAELDLYKYAPWDLPDKSLLRTGDLK >EOX92491 pep chromosome:Theobroma_cacao_20110822:1:7144406:7149050:1 gene:TCM_001437 transcript:EOX92491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 7 MLVGIYWHYELIEMVNNFSRSTSFNRRALNVDSPRFLSIASPRALNLLSPKPLGFASPRVPHRLGWVSRLFPVLILIGALISFFIVIGGGYLYVLPSLSQAFLGYSVSKFNESSDVCDIFDGSWVIDDSYPLYNASECPYAEQGFNCLGNGRKDKDYLKWRWKPKNCDIPRFNVQNVLEMLRDKRIVFVGDSMSRTQWESLICLLITGVEDKKSVYEVNGNKITKRIRFLGVRFSSFNFTIEFFRSVFLVQHGWMPRHAPKRVRSTLKLDKLDDISNEWINADVLIFNTGQWWVPGKLFETGCYFQVENSVKLGMSIPTAFRTALGTWASWVENMIDANRTLVFFRTFEPSHWSEKSRRFCNVTQNPLSETEGRDQSIFSETIFKVIKNMTVPITVLHVTSMSAFRRDAHVGRWSDNPSVPDCSHWCLPGVPDVWNEIFLSYLLAAYEFPAVYKE >EOX91171 pep chromosome:Theobroma_cacao_20110822:1:1847247:1848376:1 gene:TCM_000448 transcript:EOX91171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYRSPQLHQSCYAALVKRSNKITFQKKRKQTKTVCCNQRSQAPNPHFNETENKTNKHLLSPPFPSPELIDCTIHVGDPLFVPI >EOX91406 pep chromosome:Theobroma_cacao_20110822:1:2588223:2592324:-1 gene:TCM_000609 transcript:EOX91406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Red chlorophyll catabolite reductase, putative MAVVFRNFLNSPLPSLSSPSSSSLCLLSSFSPPSPRPQIFASASPASSPMDTNPRKFMDFPFVTTPHRNLMIDLVSTVENRLESQLKPCTLPPDVQHYCNQSGTSQGSLHIRSGHSSSQVDFILGSWLHCELPTGGALNITSLSAYLNVSTDAPNFLIELIQSSPTSLVVILDLPPRKDLVLYPEYLQTFYENTRLDSLRQTLEKLPEVQTYYSSALYIRCVTSPTSIMIRVDTKGAGPGRMEEIVKDHVDPVAKAALGIWLDQCACGQRNVDEAEKAYLEKRDGLIKNKTIEIDLGSNFPRLFGPDIANRILGVIREVYNG >EOX91503 pep chromosome:Theobroma_cacao_20110822:1:2920324:2922143:-1 gene:TCM_000669 transcript:EOX91503 gene_biotype:protein_coding transcript_biotype:protein_coding description:DREB and EAR motif protein 2, putative MENGTGGGVVATRESARKSTERPYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVFYLRGPSARLNFPDLWAGEKVGGGSLGGDLSAASIRKKAIEVGARVDALEAAQHHHHHLHHGHNYNLNQNYNRSYADELKPSGWLLQRVDLNKMPDPEDSDGEWERK >EOX94690 pep chromosome:Theobroma_cacao_20110822:1:30880113:30889854:1 gene:TCM_004303 transcript:EOX94690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MEMDTTTGAENGSLILVKQGAEARVFESVFVGKRSIIKERFSKKYRHPSLDSKLTLKRLNAEARCMTKARRLGVSTPVLYAVDTVLHTLTFEYVEGPSVKDVFLEFGVSGVVQERLDDIATQIGDAIGKLHDGGLIHGDLTTSNMLIRNGANQLVLIDFGLSFTSTLPEDKAVDLYVLERALISMHSSCGNVMDLILAAYKKSSKQWSSTFNKLAQVRQRGRKRTMVG >EOX93483 pep chromosome:Theobroma_cacao_20110822:1:13306207:13309610:1 gene:TCM_002346 transcript:EOX93483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MNNHFPGRQFSTLLDSVKLKPFNDGISRRRNWYPIPIPFRTIPEPRGQDLDFVNVAHSHLIHSDWDKLNALSTHLTPFRVKHILLRIQKDHVLSLEFFNWVQTQNPTSHSLETRSMILHILTRNQKFKSAESVLRTIIASGSLELPAKLFDSILYSYRICDSSPRVFDLLFKTYAHTKKFRNATVAFCRMKDYGFFPTVKSCNAYLSSLLNLHREDIALGFYREMQRCRISPNIYTFNMVIHAFCKSGKLEKAVEVLREMESVGFSPTIASYNTLIRGHADKGLMSLAMKLRNSMGKDGVLPNVVTYNTLINGFCKDDKLHEANKVFIEMKALKVAPTVVTYNTLINGYSQAGNSEMGNRLFEEMSKNGVKADILTYNALILGLCKEGKTKKAAYLVKELDKENLGPNASTFSALISGQCVRKNSDRAFQIYKSMVRSGFHPNEHIFNMLISTFCKNEDFDGAVQVLNDMIDRSVVPDSGTLSELHNGLCQCGKDQLAMILCKKLEDRHLMPDAFDKSKTIRFRPEKEGKTF >EOX91822 pep chromosome:Theobroma_cacao_20110822:1:4027417:4029501:-1 gene:TCM_000895 transcript:EOX91822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MDSSSAKWLSELGMDEYNIIHQCHMNSLAELTTAEDIATALTAGNFKQSFSSESYSSYPNFNTKNATTFSGSSIETCERPTKQIKTSTSWNSSTTTEHIPQKPSSPTSQILSFEKSTSLPANSQQFYNIDHHAMKPKDETVSSGNMNFSPVITNGPYGNTNYAPKPNPGIKRTYSMTRSPSHAQDHIMAERKRREKLSQRFIALSAIVPGLKKMDKASVLGDAIKYVKQLQERLKVLEEQTKKRTVESVVFVKKSQLSADDETSSCEENSDSQSSDAALPEIEARVSDNDVLIRIHCEKQKGFVVKILSEIENLHLTVVNSSVLPFGNSTLDITIIAQKDAEFSMTVKDLVKDLRVAFLKFM >EOX92661 pep chromosome:Theobroma_cacao_20110822:1:7983333:7993508:1 gene:TCM_001577 transcript:EOX92661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein isoform 1 MAEEEMSVSEVTGPFRQILFISAGASHSVALLSGNVVCSWGRGEDGQLGHGDAEDRLSPTQLSALDGHEIISVICGADHTTAYSLSRGEVYSWGWGDFGRLGHGNSSDVFTPQPIKALHGLTIKQIACGDSHCLAVTMEGEVLSWGRNQNGQLGLGTTEDSLVPKKIQAFQGVSIKMVAAGAEHTAAVSGDGALYGWGWGRYGNLGLGDRNDRLVPEKVSTLNGEKMDMVACGWRHTISVSVTGGLYTYGWSKYGQLGHGDFKDHLVPHKVEALADSFIKQISGGWRHTMALTSGGKLYGWGWNKFGQVGVGDNDDHCSPVQVKFPHEQKVLQVSCGWRHTLAITEEQNVFSWGRGTNGQLGHGESKDCNVPKIIEALSIDGSSGQQIESSKLDPFSGKSWVSPTERYAVVPDESGQSVPSEKGSGSDVNVPENDVKRIRM >EOX92662 pep chromosome:Theobroma_cacao_20110822:1:7983312:7993508:1 gene:TCM_001577 transcript:EOX92662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein isoform 1 MAEEEMSVSEVTGPFRQILFISAGASHSVALLSGNVVCSWGRGEDGQLGHGDAEDRLSPTQLSALDGHEIISVICGADHTTAYSLSRGEVYSWGWGDFGRLGHGNSSDVFTPQPIKALHGLTIKQIACGDSHCLAVTMEGEVLSWGRNQNGQLGLGTTEDSLVPKKIQAFQGVSIKMVAAGAEHTAAVSGDGALYGWGWGRYGNLGLGDRNDRLVPEKVSTLNLQGEKMDMVACGWRHTISVSVTGGLYTYGWSKYGQLGHGDFKDHLVPHKVEALADSFIKQISGGWRHTMALTSGGKLYGWGWNKFGQVGVGDNDDHCSPVQVKFPHEQKVLQVSCGWRHTLAITEEQNVFSWGRGTNGQLGHGESKDCNVPKIIEALSIDGSSGQQIESSKLDPFSGKSWVSPTERYAVVPDESGQSVPSEKGSGSDVNVPENDVKRIRM >EOX94344 pep chromosome:Theobroma_cacao_20110822:1:27954732:27955237:1 gene:TCM_003932 transcript:EOX94344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVNSLFPHTFLLLRCNHFACKRLQGVKSEWNIFLEMLLGVIFIIHIKLNYVGGCVNFISFFCTMKG >EOX91634 pep chromosome:Theobroma_cacao_20110822:1:3354297:3358596:-1 gene:TCM_000758 transcript:EOX91634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease III family protein MPQSFNSTNPSMELSSSLTPFSKKLSEISFSSSLSPFPLQLHQNPSKPKYLRIFAVAIDPPQELPRNSPQRLLKELAQRKKATAPRKKVPPKRFILKPPLDDKKLTERFLNSPQLSLKQFPLLSSCLPSSKLNNADKTWIDEYLLEAKQALGYPLEKSDELGDDNPAKQFDTLLYLAFQHPSCDRTNARHVRSAHSRLWFLGQYVLELALAEFFLQRYPRESPGPMRERVFALIGKRHLPMWIKAASLQNLIFPYDDMDKLIRKDREPPVKSVFWALFGAIYLCFGMPEVYRVLFEVFGMDPEAENCQPRLRRQLEDVDYVSVEFEGNKLSWQDVATYKPPEDALFAHPRLFRACVPPGMHRFRGNIWDYDSKPQVMQTLGYPLAVTDRIPEITEARNIELGLGLQLCFLHPSKFKFEHPRFCFERLEYVGQKIQDLVMAERLLMKHLDAPGRWLQERHRRVLMNKFCGRYLREKYLHRFIIYSEQVQDAFEHNRRLRNPATTAVQQALHGLSYTVYGKPDVRRLMFEVFDFEQIQPKSV >EOX91245 pep chromosome:Theobroma_cacao_20110822:1:2032451:2033002:1 gene:TCM_000493 transcript:EOX91245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNMVNRTTGLTGNLNWPPFPATICFNTIFPNMPTSICLLPLSQFHNPLKAIFMSYYHLILLSLHEFPSFDVFLSVRNTDSAPSWSYKLTYGWGSLAITLSKLLTCDLSI >EOX91124 pep chromosome:Theobroma_cacao_20110822:1:1674100:1681272:-1 gene:TCM_000406 transcript:EOX91124 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase isoform 2 MARVQVNQRSLAIMAWVVFLVLVMMSNVGIAERLLKDKRNQRVFKDNENENTRGLLVRVANFLWQGGKSSYEPVWPELEFGWKIVVGSIVGFLGAALGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAGSTVYYNLRLRHPTLEMPLIDYDLALLFQPMLMLGISIGVALNVMFADWMVTVLLIILFIGTSTKALFKGIDTWKKETMMKKEAAKLESESKPADGAAQDYKRLPSGPGTLPDDEVPLLRNIYWKELSLLMYVWIGFLIVQIVKEYVPTCSVVYWIVNSLQIPIAASVTLFEAICLYKGTRVIASKGKEVTNWKIYQILLYCSCGIIAGMVGGLLGLGGGFILGPLFLELGIPPQVASATSTFAMVFSSSMSVVQYYLLNRFPVPYAAYFVLVATIAAFTGQHVVRKIIAVLGRASIIIFILALTIFISAISLGGVGIADMVEKLENEEYMGFENLCKLS >EOX91125 pep chromosome:Theobroma_cacao_20110822:1:1674095:1681272:-1 gene:TCM_000406 transcript:EOX91125 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase isoform 2 MARVQVNQRSLAIMAWVVFLVLVMMSNVGIAERLLKDKRNQRVFKDNENENTRGLLVRVANFLWQGGKSSYEPVWPELEFGWKIVVGSIVGFLGAALGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAGSTVYYNLRLRHPTLEMPLIDYDLALLFQPMLMLGISIGVALNVMFADWMVTVLLIILFIGTSTKALFKGIDTWKKETMMKKVPLLRNIYWKELSLLMYVWIGFLIVQIVKEYVPTCSVVYWIVNSLQIPIAASVTLFEAICLYKGTRVIASKGKEVTNWKIYQILLYCSCGIIAGMVGGLLGLGGGFILGPLFLELGIPPQVASATSTFAMVFSSSMSVVQYYLLNRFPVPYAAYFVLVATIAAFTGQHVVRKIIAVLGRASIIIFILALTIFISAISLGGVGIADMVEKLENEEYMGFENLCKLS >EOX93926 pep chromosome:Theobroma_cacao_20110822:1:17483685:17484909:-1 gene:TCM_002925 transcript:EOX93926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase transcription factor Myb/SANT-like family protein, putative MSYPSPAADPQPAKKPQPLPWTPQETLNLIQAYQEKWYSLKRGQLKASQWEEVAVTVAARCGLFDDSAAKTALQCRHKMEKLRRRYRSERQGVASASLWPYYEAMEALEHGPLPISARPLTSLVPNRGKNFYSENGHKVGNIYDNNDDVVEEDEEDDEEENQFSKSRSINYILRRPSVVNRFSGFLSGARKRVRPEEGGDEDVAVGEENRGAELAVEIKRFAERFMRVERKRMEMMQETERLRVEMENRRIEMILDSQRKIVDVIASTLGRSRQ >EOX91710 pep chromosome:Theobroma_cacao_20110822:1:3579461:3583599:-1 gene:TCM_000807 transcript:EOX91710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like/winged-helix DNA-binding family protein isoform 1 MGAPKQKWTAEEEAALKAGVLKHGTGKWRNILSDPEFSSVLRSRSNVDLKDKWRNINATAIWGSRQKAKLALKRNQLTAKHDDNPAAVITVPPSEEVVDAKPLAISSGTPRATGPKKPISRLENILLEAITSLKEPGGSDRASIAVYIEEKYAAPPNLKKLLATKLKLLVANGTLIKIKHKYRIAPRSTVSEARRSPLLLLEGRQKDSSKLDKKGINILTKSQVDAELSKMRSMTAEEAAAAAARAVAEAEVAIAEAEEAAREAEAAEAEAEAAKIFAKAAEKALKARMLDT >EOX91711 pep chromosome:Theobroma_cacao_20110822:1:3579810:3583676:-1 gene:TCM_000807 transcript:EOX91711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like/winged-helix DNA-binding family protein isoform 1 MGAPKQKWTAEEEAALKAGVLKHGTGKWRNILSDPEFSSVLRSRSNVDLKDKWRNINATAIWGSRQKAKLALKRNQLTAKHDDNPAAVITVPPSEEVVDAKPLAISSGTPRATGPKKPISRLENILLEAITSLKEPGGSDRASIAVYIEEKYAAPPNLKKLLATKLKLLVANGTLIKIKHKYRIAPRSTVSEARRSPLLLLEGRQKDSSKLDKKGINILTKSQVDAELSKMRSMTAEEAAAAAARAVAEAEVAIAEAEEAAREAEAAEAEAEAAKIFAKAAEKALKARMLDT >EOX91712 pep chromosome:Theobroma_cacao_20110822:1:3579316:3583677:-1 gene:TCM_000807 transcript:EOX91712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like/winged-helix DNA-binding family protein isoform 1 MGAPKQKWTAEEEAALKAGVLKHGTGKWRNILSDPEFSSVLRSRSNVDLKDKWRNINATAIWGSRQKAKLALKRNQLTAKHDDNPAAVITVPPSEEVVDAKPLAISSGTPRATGPKKPISRLENILLEAITSLKEPGGSDRASIAVYIEEKYAAPPNLKKLLATKLKLLVANGTLIKIKHKYRIAPRSTVSEARRSPLLLLEGRQKDSSKLDKKGINILTKSQVDAELSKMRSMTAEEAAAAAARAVAEAEVAIAEAEEAAREAEAAEAEAEAAKIFAKAAEKALKARMLDT >EOX92732 pep chromosome:Theobroma_cacao_20110822:1:8308517:8313055:1 gene:TCM_001622 transcript:EOX92732 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MEEETVEMKKLGCYGGKVIVLEWETESGAAEETMLLWGIQQPTLSKQNAFVSQSSLQLRLDACGHSLSILQSPSSLGKPGVTGAVMWDSGVVLGKFLEHAVDLGMLVLQGRKVIELGSGCGLVGCIAALLGAQVVLTDLPDRLRLLKKNVETNLRHGVRGSAAVKELTWGDDPDHDLIEPPPDYEGIVVKSSRCALFGRVPYVTCSHLLLHASQVLGSDVIYSEGAVVDLLDTLLHLCGTQTTVFLSGELRNDTVLECFLEAAVKDFAVGRVDQSQWHPEYCSRRVVMYILVKK >EOX93950 pep chromosome:Theobroma_cacao_20110822:1:17681177:17685301:-1 gene:TCM_002961 transcript:EOX93950 gene_biotype:protein_coding transcript_biotype:protein_coding description:EamA-like transporter family MASKEMTSKLWRWGLGLLYIFAVAAIWIAASFVVQSVVDAGVSPFLVTYICNSLFIVYIPLVEIGRYIEDTYGSLMFWRNKKNSALRELGESEKAILLGEGVSGTKADGSNQFSLVEEGEIGRGSQLDYGSNELTRTLPGEGEVDEVANIDLDAKGRWTRTRVAKVSLLICPFWFLAQLTFNLSLKYTTVTSNTILSSASSLFTFLVSLAFLGEKFTWVKLVSVLLCMAGTIIVGLGDSSNSKNLSVIVSNPVLGDILALLSAALYAIYVTLIRKKLSDDDEKHGRASMAQFLGFLGLFNLFIFLPFALILNVSKLEPFNTLSWKQFGLIMGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPLAAVVDTIIGNAPHVMDYLGAAAVMIGFAGINIPSDVFGRSKEATLELENENVRSTKEEHIPSLNQETVAIN >EOX93501 pep chromosome:Theobroma_cacao_20110822:1:13569739:13574223:1 gene:TCM_002388 transcript:EOX93501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRAIVQFWDPSYRCFVFNKVDMTPTIEEYSSLLRIDHMQPDKIYWRAQKTGHRRKLAKLLGMTTVEVDQHLKKKGDTECLPWSFLNGYIKKHMEDEQGLLAFAMAIYGLVVFPKVLGHVEVSVMVTRSINLAPSILAETFRSLNFCRRKGEGRFIGCAQLLTIWIKSHFECKESKFRKLYLSASCPILEFCESEWPDYKRKEEWVARLRRLMSIEVTWRAPWMPRMQVMYKCGDKPWVPLMGPWGAISYAPIMVRRQFGSEQFVPMTHQLDQLEFTYGEPETLKRIEEIAQDWKKTCRVDQGRVTDEVTTGYHTWHDQRVKNVIYPPKDPSKHPVNPEPQDVLLESELTRKRLEKEMMNMKRRHEDELEKVKKETARKVRVALKERDEWQSKFEEVSVANSSLLARIQELQSANNALQHEVRRQGQTIQELKNDCDMLETAMEGYKAQYEAIRQEYFQMRERNNSCTQSLQRKEAEMQWILRQMREVAFRARVMADXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSVFLFDSYVWF >EOX95410 pep chromosome:Theobroma_cacao_20110822:1:34092677:34105692:1 gene:TCM_004917 transcript:EOX95410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 9, putative MANAIRKDGVELAELGRRVTSSLQDHLSSSFSTASDAENDDDVELQWAAIERLPTFKRIRTSLFDDKLINDGKGEEANRVIDVTKLGSSERRVFIDKLITIIEADNLRLVGLELPTVEVRFKKLSVEAECEVVHGESLPNLWNTIRNTFSAMTNVSRCMSQPNKIKILRDVSGIIKPSRMTLLLGPPGCGKTTLLKALAGKLGPSLEGSVGRCSQGRGAPLLPRSREISYNGYKFTEFVPQKTSAYISQNDLHISEMTVRETLDFSARCQGIGGRADIFKEVSRREKLRGIIPEPDIDTYMKAISVEGLKRTLQTDYILKILGLDNCADTIVGDAMKRGISGGEKRRLTTGIKCDQPNFHSTTFQIATCLQQLTHITGATILISLLQPAPETFDLFDDIILMAEGKTVYHGPRSDVQEFFEHCGFRCRQRKGLADFLQQVSTDQFIAAFKEFHAGQKLNEELCTPFNKVENHKNALSFSIYSLGKWELFKACLAREWLLMKRNSIFYVFKSVQLVFLALITTTVFIRARMKIDELHASQYMGSLFYGLLRLMSNGIAELAFTAVLKIPFSFVDAFLWTSLVYCIIGYSPEPERFCRQLFLFFLLHQVAISFFRLIAAVAKKPPVAAIFGQFSLLVVALFSGFIIPQPSMPAWIKWGFWISPLTYTEIGISINEFLAPRWQKVSSSNETLGHQVLRSRGLNFGEYFYWISVGALIGMWILINVGFTLALSYLKRVTRTVLPFEPVTLSFENVQYFVDTPKAWSSNSFDGASGAGKTTLMDVLSGRKTGGHIEGDIRIGEYPKVQEAYARISGYCEQTDIHSPQITVGESVMYSSWLRLPAEFDKHKRLEFVAEVLQMIELDEIKDALVGVTGVSGISSEQRKRLTIAVEVVSNPSIIFMDEPTSGLDARAAAIVMRVVKNISSTRRTIVCTIHQPSIDIFEAFDEVILMKRGGHKIYSGELGLHSSKLVEYFEGIPGVPKIKENYNPATWMRNKELVKELSIPVEGSKELRFSTRFPQNGWEQFKACLWKQHLSYWRNPAYNLGRLMFTTVSSFLYGALLWHKGQKIDNEQDLFNIMGSMYVFMMSTGVSNCVSFLPLITSQRIIVYRERFAGMYSSKAYSLAQVIIEIPYIFIEAALFLIITYPAVNLYGSAYKVFWYFYAIICTMLNYKYLGMVIVSLTPTYQAASICASYSVTVLNLFSGFLIPGPQLPKWWVWCYWIAPSSWTLRGLLTSQYGDIKQEIIAFGERKTISAFLEGRHGFKHHDLSITAILLIAYPLFSASVFTYFMAKLNFQKR >EOX92399 pep chromosome:Theobroma_cacao_20110822:1:6669676:6670565:-1 gene:TCM_001352 transcript:EOX92399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCYNVWQPVSSQLVKGVVNWMCVSISLLALLESRRPKMILQEAVGWSLPRPLSKFRKAFLSLGFQSALLNELQQGFMGLQEVLILSHFYHLCLFVK >EOX91587 pep chromosome:Theobroma_cacao_20110822:1:3203635:3206742:-1 gene:TCM_000726 transcript:EOX91587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein MAEAIPYGIISNILSKLAWLVGEELGLASWWDEELQKLWETLATIDCVLLDAEQMQESNRAFKNRISRLVDVVYDADDLPDEVDYEIQDQKVHARGKVSEVHVWEHVRNFFSPSNPLFIGLNMGHRIKEIRGWLDTVAADMSKFYLRERVGELDKKAKDTGRETASKVRSELIIGREKDKELIIESLLKKQNDQHGDIIPIVAIVGFGGLGKTSLAQLVKSWKSLDNLVKMDNLNLDQVLTELEEKLRGKRYLLVLDDVWNENNLKWEDFSKYLVCFGAPGSKILVTARSKIVASAMGVQYLYHLEGLNEDQSWTLFKQVAFKGQGQIDTDLRVIGEDVARRCKGVPRALKYLGGLMRLKPNKNYWSSVQENRIWKSLEKDEDFKISTDNLIQSWRAQSYIQLEKNENIQDIGDEYFNDLSSRSFFQKEEKDMYGNIICCNMHDVICDLMKDEKERLLKEFDMCH >EOX90978 pep chromosome:Theobroma_cacao_20110822:1:1225090:1230434:1 gene:TCM_000298 transcript:EOX90978 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MAKHAGWGHHHLQKRWILALLLMLSVSTVIAFFVRAAFYSCDPNVSADNAIGSARNAIQVAEKRVSQIAAARPNPLSFMKSKIVLLVSHELSLSGGPLLLMELAFLLRSVGAEVYWITITKPSETDEVIYSLEHKMLDRGVQVFSAKGKEAIDTALKADLVILNTAVAGKWLDSVLKEDVHRVLPKVLWWIHEMRGHYFRLDYVKHLPFVAGAMIDSHVTAEYWNNRTRECLKIKMPDTYVVHLGNSKELMQVAEDNVAKRVLREHVRESLGVRNEDLLFALINSVSRGKGQDLFLRSFYEALQLIKEKKMQVPPLHAVIVGSDMNAQTKFETELRDYVMQKKIQGRVHFVNKTLTVAPYLAAIDVLVQNSQARGECFGRITIEAMAFQLPVLGTAAGGTTEIVVNGTTGLLHPVGKEGVTPLAKNIVKLATHVERRLTMGKRGYEKVKERFLEQHMADRIARVLKEVLRKSKDKSQGHLF >EOX93361 pep chromosome:Theobroma_cacao_20110822:1:12341365:12344663:1 gene:TCM_002203 transcript:EOX93361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MKQNLKIMGEQANHLFNQNTNITQLAKSGKIEEAIQIFSGMTHRNTVTYNSMISAFSKNGKIDDARQLFDKMPKKNLVSWNTMIAGYLHHDKVDEAYQLFVRMPKRDRFSWTLMITCFTREGELEKARELFDSLPHKRDVACWNVMIGGYGKKGRFIEAKRLFDEMPVRNVVSWNLMLSGYTRNGEMHLGREFFDGMEIRDVVSWNLMVDGFAEVGDLDSAWEFFGKIPNPNVVSWVTMLCAFGRRGKLLEARRLFDQMPSKNIASWNAMIGAYVKDFQIEEAARLFREMPKRDSVSWTMMIDGYVHVGQLDNARELLNQMPYKSIVAQTAMLSGYIKNKRMGEACLVFNDIAARDTICWNTMIAGYVQMGRMDKALSLFKDMEKKDLVTWNTMIIGYAQIGEMDKAVKIFEEMKVRNVVSWNSLITGFLQNGLSFDALNSFKLMAHEGTIPDHSTFACGLSACANLAALQVGKQMHNMVLKTGYVNNSFVGNALITMYAKCGRIFYAQLIFNDLHEVDVVSWNSMITGYTLNGHGKEAVQLYEQMVLKGVLPDHVTFIGVLSGCSHIGLVDKGLKLFKCMTEIFSIEPLVEHYACIVDMLGRAGMLYEAFEVVRGLKIKANAGIWGALLSACKIHGNLELGKIASKELLEFEPHKTSSSVLLSNMQAEAGRWHEVENMRLMMKENEAEKQPGCSWTEVGNQLHCFLSNRPMQPETAEIYSTLKALTSQIKNLDRISDDFAYPLDNL >EOX96598 pep chromosome:Theobroma_cacao_20110822:1:38370005:38371048:1 gene:TCM_005820 transcript:EOX96598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLADGDRILVFGQLGIQQNPNSKGKFQIPSFWTILKWQVARKEYWPRAATPERGAICRDSASAAAGDCLLPPIATAPNGYSTCILLQGTIYHA >EOX93611 pep chromosome:Theobroma_cacao_20110822:1:14328162:14329250:-1 gene:TCM_002500 transcript:EOX93611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAALKASGPDGLPTLFFQRFRDVMGSSIHRFVTEFLEGRTRLEGINHTFVALIPKVPHLELGRMGNFALKLDMSKAYD >EOX92139 pep chromosome:Theobroma_cacao_20110822:1:5404733:5410133:-1 gene:TCM_001133 transcript:EOX92139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELDWFLNGEKGAASYLLWSKLENWCRKMQDYGRNILCSNEKSVIRVFETLLYSVSFYVVKI >EOX91807 pep chromosome:Theobroma_cacao_20110822:1:3963891:3968781:-1 gene:TCM_000883 transcript:EOX91807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin/FtsZ family protein, putative MTSPIPYLQNNREGQCSVLWTWFLLLERLSVDYGKKSKIVFTVYPSPQVSTSFVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRLSLDIERPTYTNLNRLVSQISWGKPILALISLLFFLVRLMSEGSSRSFLSSANPPCWEFLLFSSKPLVTLLPTNLVSYPRIHFMLSSYAPVISAEKAYHEQLGDVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGITYLPPTVVPDGDLAKVQRAVCMISNSTSFAEVFSCIDYKFDLMYAKRAFVHWYVGEHGHDLL >EOX94149 pep chromosome:Theobroma_cacao_20110822:1:23017087:23036401:1 gene:TCM_003446 transcript:EOX94149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLNISNRALSHSADGQGLEVHLLHMPCLEETVVLIPFIVQARVKKASKVVKVLKVRTTLIFGNGVKKFLEEWYKEKFKEKDVGKQQEENKEKVTVANYRPRKVSAIRHFPPVCGRGATLVSSEECRRIQQAWIKDKMEKS >EOX96453 pep chromosome:Theobroma_cacao_20110822:1:37857605:37859579:-1 gene:TCM_005699 transcript:EOX96453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRWSGVLKARLDPNSRNYYRVAASLCYSSTSKSLIVPCANAIFFNGDRVEGTRNPVVERLSDLPKIAEVLVSKFGGSINAWVIEASIFNGPFAVYKDFIPSVNRYGEPKSYAPVGFPASTSTVSILSNCLEQAKNVISSGQREPCSTCTSPSQPKALILGFSKGGTVVNQLVTELGSLEDKSLANRSYVREQPVVKELSGGQGEVQIIPRTKESLLNSISEIHYVDVGLNSSGAYITDQNVIGRISKRLADGAPGIRFLLHGTPRQWCDSRRDWIQHEKDKLYRLLESETRKSGGKLKVCERFYLADRLPDMQMHFEVIEEMDIS >EOX92222 pep chromosome:Theobroma_cacao_20110822:1:5787192:5796390:-1 gene:TCM_001202 transcript:EOX92222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MKDWTDWSLGCEPEYLRLSCSQTEVGFLILHHIEFYGYDYDMYPNVTIEGCEKLCLQMCNSKGFQFRLSESDGTYCYPKIQLLNDKRASFAKVEQLDRKYTKRHESEALMFALWSVAACVIGAPEFPVIVIGWCNKRFQGRDGGIVYKGKLLLDDQVAAIKRLNIDANQAEAIFLAQVNDIGNLNYKDLIDLWGYCVEGKHRLLVYEYVEHRFLAENFSSKALDWKKRFKIAVGTAKGLAYLHEECLEWVFHGNVKPQHILLNSDYQPKVSDFRLSWLRNTGDVKDSKVSRIRGTKCYMAPEWVFNLPITFKVDVYSYVVVLLELLTRRSPAMGLDVAAGGSPTEQETISYMALQWVEEDKDARPIMREVVEIL >EOX91562 pep chromosome:Theobroma_cacao_20110822:1:3109572:3113090:-1 gene:TCM_000704 transcript:EOX91562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase, putative MEKFHWDKLHEPLSLLLYLVLFLSYQVPSSAYTLPHKYFVNCGSKININVTSRTFVGDLNSDSVSFTKKNSSVRDNSQSSGTPSLYQTARIFWQQSSYEFVINTDGTYLVRLHFFNSSGLPAAVFDVSASGFILLHNFTVQNSSSFPLIEEFILSIPIGKFFIYFVPQGSSFAFVNAIEVFPAPPNFIYDEATQISQGNRSDEYKGILSRALKTIHRINVGGQTLTPENDTLFRTWLPDDNYLYNPDTAKNSQFYAGRPNYIDPVNEFIAPDLVYKTAKEMNINTSRASNNFNITWSYDVTSNAKHLIRVHFCDIVSQSQNVLQFFLYINSNFVQEINPFEKVRSLATPFFIDFVVDSDDSGLMNISIGPDTSLTQNQTAFLNGVEIMELMGESDLVPISNESNQKPIFIIVGSAIGGLVLVCILGGLLFMVLKRRKPKAVETSNWTPLNAYRGSTHSNEKMPQLSTLSTEGTVIASPVPNLNLGLKIPFVEIQLATNNFDKKLLIGKGGFGNVYRGTLRDGMKVAVKRSKPGSGQGLPEFQTEIMVLSKIRHRHLVSLIGYCDEGLEMILVYEFMEKGTLRDHLYNSKLPCLSWKQRLEICIGAARGLHYLHKGASGGIIHRDVKSTNILLDENLVAKVADFGLSKSGPPGQSHVSTGVKGTFGYLDPEYFRTQQLTEKTDVYSFGVVLLEVICARPAINPTLPREQVNLAEWAMFCKQKGLLEQIVDPSIKVQINPNSLRKFAEIAEKCLREDGDDRPTMGDVVWDLEYALQLQQTAVVREPHEDSTSNASSILPFPILQRFPSMSAELGGDDMSITREDDSDSVPTASGVFSQLRINDAR >EOX95298 pep chromosome:Theobroma_cacao_20110822:1:33693689:33698312:1 gene:TCM_004835 transcript:EOX95298 gene_biotype:protein_coding transcript_biotype:protein_coding description:S uncoupled 1 MASTPPHCSITATTKPYQNHQYPQNHLKNHRNHQNNHRNQTRPQKFSLSKPPPSPCNAAKPATTAAAAAASTRSPLSQSPVPFPSLAPDFSGRRSTRFVSKMHLGRPKTSTNTRHTSIAEEVLQLALHNGHSGLERVLVSFESKLCGSDDYTFLLRELGNRGEYEKAIKCFQFAVRRERRKTEQGKLASAMISILGRLGKVELAKGIFETALTEGYGNTVYAFSALISAFGRSGYSDEAIKVFDSMKNNGLKPNLVTYNAVIDACGKGGVEFKRVVEIFDEMLRSGVQPDRITFNSLLAVCSRGGLWEAARNLFSEMVHRGIDQDIFTYNTLLDAVCKGGQMDLAFEIMAEMPTKNILPNVVTYSTMIDGYAKAGRFDDALNLFNEMKFLGIGLDRVSYNTVLSIYAKLGRFEEALDICREMEGSGIRKDVVTYNALLGGYGKQGKYDEVRRLFEEMKTQKVSPNLLTYSTVIDVYSKGGLYEEAMDVFREFKRVGLKADVVLYSALIDALCKNGLVESAVSLLDEMTKEGIRPNVVTYNSIIDAFGRSATSECAFDAGGEISALQTESSSLVIGHSIEGKARDGEDNQVIKFFGQLAAEKGGQAKKDCRGKQEILCILGVFQKMHELEIKPNVVTFSAILNACSRCDSFEDASMLLEELRLFDNQVYGVAHGLLMGYRENVWIQAQSLFDEVKLMDSSTASAFYNALTDMLWHFGQKRGAQLVVLEGKRRQVWENVWSNSCLDLHLMSSGAARAMVHAWLLNIRSIIFEGHELPKLLSILTGWGKHSKVVGDGALRRTVESLFTGMGAPFRLAKCNLGRFVSTGPVVTAWLRESGTLKLLVLHDDRTQPENTGFGQISNLQTLTL >EOX92153 pep chromosome:Theobroma_cacao_20110822:1:5519732:5521928:-1 gene:TCM_001149 transcript:EOX92153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tether containing UBX domain for GLUT4, putative MNGDNFTHGKPSVRPVLGDITNRSVKRGFSSIPDKTGFNSKEEADSHFAKQVCLGVEHLIEEKSKKSHFEPNPKFSPTCSGKSDTLKEDVMSVDDKVSEVKEGFDLSDSEDTLVLGEGVTEVGDPVNDSCRNEGKDLGIGRLASSQGGCIEWSRLPKSSLQSSRSFELERCVGLKNDGCVNLNAGADMLKACSCSFCLKAAYIWSDLHYQDIKGRIAVLKKSQKEASILVQKSGRGKQTEIQSQGNANKSSNLESDLTSQWRSLFLNMEDIFVHESSQLQASYIALKDLRENCKMDLERITGMPSER >EOX95137 pep chromosome:Theobroma_cacao_20110822:1:32998279:32999870:-1 gene:TCM_004693 transcript:EOX95137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division1, putative MKALGKSHNNDVVGDDNRNDFVFVKDFRIDDGDSAIQKVNSLNASRTALENLEDQLEIFHTVHTQQRAERDASIARLEQSRIILALRLAEHHRKMYKVIDEALAFIAYTEHPEKQKDNINRHRNVRKNSQLEGPSSYGRLNHLDVFSAQGMLAKNWSFYLYTVLVGAVVKMLIDLVDIVVEAYPCKK >EOX93821 pep chromosome:Theobroma_cacao_20110822:1:16139590:16142484:-1 gene:TCM_002762 transcript:EOX93821 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase I MGALDEGHFCADLENGMKLDISDLGLEKKPETIAIEDAVKVLLQGLGEDVNREGLKKTPLRVAKALREGTRGYKQKVKDIVQGALFPEVGFHDGVGHAGGAGGLVIVRDLDLFSYCESCLLPFQVKCHVGYVPSGQRVVGLSKLSRVADIFAKRLQDPQRLADEVCSALHHGIKPAGVAVILQCFHIHFPNLESIFLDAQHQGWVKVLVSSGSGVFENENAVAWSDFLGLLKFRGVTVEKILIRDSTEQSWCPSYSSSGAKISPELVAPNPGMVAAVASILRSLGEDPLRKELVETPTHFVRWLMNFQNTNLEMKLNGFACGRTDLKRSREVCSHNNEQMHSELNLSFWSQCEHHLLPFHGVVHIGYFCPEGFNPIGKTLLQSIVHFYGFKLQVQERLTRQIAEMVSSILGGDIMVVVEANHTCMISRGIEKFGSNTATIAVLGRFSTDPAARTMFLQSIPNSTTSGIL >EOX95428 pep chromosome:Theobroma_cacao_20110822:1:34180635:34184569:1 gene:TCM_004929 transcript:EOX95428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor, putative MRRLNRTFIWWINSSRQLQLAKSNANPYNQRSFLLPEIVNSTLSFSRYYSTELQPQLSTDLLKIMEQRLSAIEHRSAYLENLMNQPEASPAEYSKANKELRKLKDSMELINELRTKQKEIDGLRSLMTKCLDDKDMLDMATEDLGQALEEEKRLQNLLLKSLLPRDDADERDCILEVRAGTGGEEASLFAMDVFKMYERYSHKKGWKFEVVGITESDLKGYKEASAAISGAGVYGKLKFESGIHRVQRVPVTEKSGRIHTSAVSVAILPQADEVDVQLRNEDLRIDTYRSGGSGGQHANTTNSAVRVTHLLTGVTVSIQDERSQHMNKAKALKVLCAKLYELERSRIQMSRSKLRSQQIGSGDRSERIRTYNFPQGRVTDHRVGITYHAIDDVMQGENLDIFVDALLLQQEMDAIASFRSAQ >EOX93412 pep chromosome:Theobroma_cacao_20110822:1:12813928:12816544:-1 gene:TCM_002276 transcript:EOX93412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLTSSSFSLQQDWILAVVKILLLLCVLFSLQQEWVLATAKIFLPGQFVAAALILPPPCSPPLSHQVQRDHPSHTIQPPCNIFVAVNVGLPSLAKLNTISASNFSDDGEGKVILKKLPNFPMPMYLLDSCNGLLCMHDSRGIYICNPFTGLYVELPKLVNYPAKVEHIGFSFHQTTNEYKVVRIVFRRQLSRRGGTNVASSTLIQSQVHVLIVGDPAWRNLGMIPYNFTRPTPNATEVPKRDCCGLDRCLHNLMVLRGCLSASASHGNKQLEDVLEIDSIHMVGKAVLERRQETTED >EOX93549 pep chromosome:Theobroma_cacao_20110822:1:13922134:13930249:-1 gene:TCM_002440 transcript:EOX93549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein isoform 1 MAEASRIFHATLLPTFSPQLHHKLRHSHSHTFPSLSFKKERSVVQCSVSTSETRTTSSNTTQEVPWGCDIDSLENAEDLQKWLSDSGLPPQKMAIDKVDIGERGLVALKNIRKGEKLLFVPPSLFITADSEWSSPEAGQVLKQYSVPDWPLIATYLISEASSSKSSRWSNYISALPRQPYSLLYWTRAELDRYLEASQIRQRAIERVTNVIGTYNDLRLRIFSKYPDLFPEEVFNMETFRWSFGILFSRLVRLPSMDEKVALVPWADMLNHSCEVETFLDYDKSSQGVVFATDRAYQPGEQVFISYGKKSNGELLLSYGFVPKEGTNPSDSVELPLSLKKYDKCYKEKLEALRKHGLSASQCYPIQITGWPLELMAYANLAVSPPSMSPQFEEMAAAASNKSTTKKDLRYPEIEEKALQFILDSCESSISKYSKFLQASGSMDLDVTSPKQLNRGVFLKQLAVDLCTSEQRILFRAQHILRRRLRDMRSGELRALRIFDGLQNIFK >EOX93548 pep chromosome:Theobroma_cacao_20110822:1:13922893:13930345:-1 gene:TCM_002440 transcript:EOX93548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein isoform 1 MAEASRIFHATLLPTFSPQLHHKLRHSHSHTFPSLSFKKERSVVQCSVSTSETRTTSSNTTQEVPWGCDIDSLENAEDLQKWLSDSGLPPQKMAIDKVDIGERGLVALKNIRKGEKLLFVPPSLFITADSEWSSPEAGQVLKQYSVPDWPLIATYLISEASSSKSSRWSNYISALPRQPYSLLYWTRAELDRYLEASQIRQRAIERVTNVIGTYNDLRLRIFSKYPDLFPEEVFNMETFRWSFGILFSRLVRLPSMDEKVALVPWADMLNHSCEVETFLDYDKSSQGVVFATDRAYQPGEQVFISYGKKSNGELLLSYGFVPKEGTNPSDSVELPLSLKKYDKCYKEKLEALRKHGLSASQCYPIQITGWPLELMAYANLAVSPPSMSPQFEEMAAAASNKSTTKKDLRYPEIEEKALQFILDSCESSISKYSKFLQASGSMDLDVTSPKQLNRGVFLKQLAVDLCTSEQRILFRAQHILRRRLRDMRSGELRALRIFDGLQNIFK >EOX93062 pep chromosome:Theobroma_cacao_20110822:1:10219775:10225053:-1 gene:TCM_001910 transcript:EOX93062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGYEGRSRKQTVTEANSQAGDESRFTILNHAPNDGCSMQVNTKETMVASMQVNTKEIEVMIENGRKMQNIVRGADANGKMYKSFSKIAPIGTRVEQPKKATLETEGNQKSVVEADKSLICSKDIEGENKQTDREVQEGILDPLKHSVVSLGEKTALRNTTIRLSPVKEDGLGERVKGVRIAKKVGKPPDRTVYSSPNRTTKRDLWEELSTFASGVQRLWMIIVVLQVVQTMPPIITIAQDIPYWGESASSQFMVASAYDYLRKLSSPTKAKPSGIWQGAWKWQGSQRVRTFLFQCLHGRLLTNRERLCRQFTTDSLCPQCRMEDETVTHVERRKKEKVLIGWRAPQVGWVCLNTDGAYNRSIEEAFARGVIRNAEGDWQARLVAKLEESYVVLEQAPTGARKLLMYDMLGVCLPRMIPVQ >EOX93590 pep chromosome:Theobroma_cacao_20110822:1:14082605:14083699:1 gene:TCM_002467 transcript:EOX93590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNEKGMKYARVQWCQSVLTMLQSSEHNGRTLKLKEQERKHKQQHCNAKPPALPLSGTTLTKQNIQHYSARPLAPQCRHHQQLHQTEAVASRRHVANTVAPPSKATLPLAVRLAVQC >EOX92550 pep chromosome:Theobroma_cacao_20110822:1:7416049:7426677:1 gene:TCM_046685 transcript:EOX92550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi nucleotide sugar transporter 1 MGSRTLSIGASLDSPDAPFERNHTENVSMVSLKFHESNGVLDQGHQVSSPVRRDVVSRSLSAVKVHEKDEMDLESGKLEKDRDKTIRSNKIVKIQNQALLSGLAYCISSCSMILVNKFVLSSYDFNAGISLMLYQNFISVVIVSILSSLGLISTEPLTWRLIKVWLPVNVIFVGMLVTSMFSLKYINVAMVTVLKNVTNVITAVGEMYLFKKHHDSRVWTALFLMIISAVSGGITDLSFHAIGYAWQIINCFLTASYSLTLRRVMDTAKQVTKSGNLNEFSMVLLNNTLSLPLGILLIFVFREVDYLCTTPLLRMPDFWLVMTLSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVAGIVLFKVPTSLENSASIFFGLLAGVFFARAKMRERS >EOX92437 pep chromosome:Theobroma_cacao_20110822:1:6834258:6838016:-1 gene:TCM_001389 transcript:EOX92437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 1 MAAIFLLLLSIVSTAVAQPRNSNITLGSSLTPTGQSTWLSPSGLYAFGFYQQAAKGYAVGIFLAGVPQRTVVWTANRDDPPVPSTASLNLTTDGRLILQSPQGRELYITDSSEKVATASMLDTGNFVVYNSDQKVMWQSFDHPTTTILQGQRLLAGVELFSSVSETDQSTGIFRLKMQNDGNLVQYPVETPGTASYSYWASGTDGRGDNVSLNLDKDGHLYLLNSTGFKIKDIFEGGNDTNRTIYLMKIDSDGIFRLYSYEFNQNGNQSTIWSSTYDKCDPKGLCGLNSYCVTEDKEADCKCLPGFAPVIEGNFSAGCERNFSTESCKSDTGRIQYTIQAVENTVWEDSGYSVLPLKTKEDCERACFEDCTCEAAMFRDTECKMQRLPLRYGRRNLRDSNVALIKVGISSESRKHDVSKERKEKPRMDILVIGVSLTGFAITVLVISGALIYRRHVFRYKRFSTHSDIRLCENVAPISFSFEEIEQMTHNFKEEIGKGAFGTVYKGTTMLDNGVKVVAVKKLDKVSNQGEREFQNEMKIIGRTHHRNLVRLIGYCHEGANRLLVYEYMVNGSLADVLFTPEKRPCWNERVEIARNIARGLLYLHEECDTQIIHCDIKSQNILLDEQGNAKISDFGLAKLLKPDQTKTFTGIRGTRGYVAPEWHRKLPVTVKADVYSFGVVVLEIICCRRSVNWSLPEEEAVLEEWVYDCFQGGELRKLVGDDEEVDEKQLERMVRVGLWCILDEPTLRPSMKKVLLMLEGTVAIPVPPSPTSFFSAI >EOX92853 pep chromosome:Theobroma_cacao_20110822:1:8992874:8995607:1 gene:TCM_001714 transcript:EOX92853 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein MAAIHDIPDVILSNIFASISDTRSRNSLSLVSRKFMLLERATRFSLTLRGNAKDLFMIPTCFRSVTRLDLSLLSPWGHSLLSSSHLPDPQLLAHRLRVAFPAVRSLTVYARSPSTIQMLVHQWPGLKRVKLVRWHRRLTQWPIGADFVWLLEQCENLCWLDLSSFYYWTEDLPPVLQACPKVSASLVHLNLLTTSFTEGFKSQDIKDITTACPNLKSFLVACNFDPRYIGFVGDETLLAVANNCPKLTLLHLAETSSLADVRGDPDNEGFTSEDAMITRGTLVEFFSRLPLLQELVLDVCRNVRDVGLALEMLNSKCKDLRVLKLGQFHGVCLAIESQLDGIALCSGLRELSIKNSGDLTDMGLIAIGRGCCKLSRFEVQGCKRITEKGLRTMACLLRNTLVQVKISCCKNLDAAASLRAVEPICDRIQWLHIDCVWDGLGETGNSDSEQVGHSFGRNQVGESSNLFSFSETENEDDQDMSRKKCKYSDDFELSSDSYIMETNAVNGFWCKKWDKLRYLSLWIGVAELLSPLPMAGLENCPELEEIRIKVEGDCRGRPKPRERAFGLSYLARYPRLSKMRLDCGETIGYALTAPSGEMDLSLWERFYLNGIGNLRLNELDYWPPQDRDVNQRSLSLPSAGLLAECRELRKLFIHGTAHEHFMMFLPNIPNLRDVQLREDYYPAPESDMSTEMRADSCSRFEAALNSRHILD >EOX90971 pep chromosome:Theobroma_cacao_20110822:1:1211500:1213275:-1 gene:TCM_000295 transcript:EOX90971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MGHSVGFIIVLSLLAIAPLCLCGKTIAGGYLYPQFYDHSCPKAQEIVKYIVAKAVAKEARMAASLLRLHFHDCFVKGCDASILLDSSRTIISEKRSNPNRDSARGFEVIDEIKAALEKACPHTVSCADIMALAARDSTVLTGGPNWEVPLGRRDSTGASLSGSNNNIPAPNNTFQTILTKFKRQGLNIVDLVALSGSHTIGNARCTSFRQRLYNQSGNGQPDYTLDQSYAAQLRTNCPRSGGDQNLFFLDFVSPIKFDNSYFKNLLSYKGLLNSDQVLFTKSAVSRELVKKYAYNQELFFQQFAKSMIKMGNISPLTGYRGEVRKNCRKVNAY >EOX93294 pep chromosome:Theobroma_cacao_20110822:1:11942018:11943993:1 gene:TCM_002141 transcript:EOX93294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFPNSPRKGSTDAPLESLIEVLGGMLLRFSRLLLTIALLLGHLVLELRAAICFIQFTVSLWSWKKQNQLRQVLQACKIWREELQWAVRRLEGAALLSVILRIASSAYVYHLGRGRNDSIHA >EOX93637 pep chromosome:Theobroma_cacao_20110822:1:14476174:14477578:-1 gene:TCM_002524 transcript:EOX93637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 3, chloroplastic MATQALLSSSLTPSVETARQILGARPLQSPIGSSRKGSFVVRAASTPPVKQGADRPLWFASKQSLSYLDGSLPGDYGFDPLGLSDPEGPGGFIEPRWLAYGEVINGRYAMLGAIGAIAPEILGKLGLIPPETALPWFSTGVIPPAGTYNYWADPYTLFVFEMALMGFAEHRRFQDWANPGSMGRQYFLGFEKYLGGSGEPAYPGGPIFNPLGLGKDEKSLKDLKLKEVKNGRLAMMAIVGYFIQGLVTGVGPYQNLLDHLADPFNNNILTNLKFH >EOX92787 pep chromosome:Theobroma_cacao_20110822:1:8613801:8616925:-1 gene:TCM_001664 transcript:EOX92787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MAMAYLVSLFMVMEMIAISRFGFGVDGLRMDYYMMSCPLAEFIVKNTVNRALQDDPTLAAALVRMHFHDCFIEGCDGSVLIDSTKDNKAEKDSPGNLSLRGYEVIDDAKEQLEEQCPDIVSCADIVAMAARDAVFWAGGPVYDIPKGRRDGRRSKIEDTINLPFPSFNTSQLIQAFGRRGFSAQEMVALSGAHTLGVARCSSFKSRLSNADPTLDSDFAKILSQTCSAGDNAVQRFDFTPNTFDNYYFNTLIRRNGLLFSDQQLYATPRTRAIVNGYAMNQAMFFFDFQQAMVKMGTLDVKEGPNGEVRENCRKIN >EOX91881 pep chromosome:Theobroma_cacao_20110822:1:4301748:4305225:1 gene:TCM_000942 transcript:EOX91881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein MSSFLIAMANHFCCSWSLPCFLPFLFLLPRVNSIHFKISRFDPEATNIVYEGDAQPYVGAVDFNSVSYLCRVGRVSYAQSVPIWDSGTNKVADFTTHFSFFIDTLNRNISMYAAGLAFFLAPVGFEIPPNSAGGFLGLFNTTTSDSPRNQIVLVEFDTFPNPQWDPPVQHVGINTNSISSAKYTPWNFSLYSGKTADTWISYNATTKNLSVTWNYPNTTIFQLNFSLSHHIDLKQILPEWVKVGISAATSHFQEGHRLVSWEFSSSLEIKKTKGKNIKNIKLIVVLAVLGILMTVVSISFVFYRRWKKVKEEREERTNVSSINDDLERGAGPRRFSYGDLVVATNNFSNQRKLGEGGFGAVYKGYFNDIDTAVAVKKIARGSRQGKKEYITEVKIISRLRHRNLVQLIGWCHDGGKFLLVYEFMSNGSLDSYLFGKKAPLTWPLRYKIACGLASAVLYLHEEWEQCVVHRDIKSSNIMLDSSFNVKLGDFGLARLMDHELGPLTTGLAGTLGYMAPEYIRTGRASKASDVYSFGVVALEIATGRRSVDPKKKNSHVSLVEWVWHLYGSGNLLSSVDERLHTEFDGKQIERLMMVGLWCAHPDSNLRPSIRQAIQVLDFDVALPNLPLNMPIPTYHQPEATASSSSNEPFLTNSSLNVGR >EOX96003 pep chromosome:Theobroma_cacao_20110822:1:36271530:36272261:1 gene:TCM_005360 transcript:EOX96003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSHICSNIFLLICFLFLVTNFFILDVETCACNPSGVANLTLRSFNRGGNGGRPSACDNRYYSDDELLVALSTGWFDHRRDVPSTSESVAMEKVLRRRLLINVTLEPVVILLTITSPLVVTTSFAPQEVSGMPWECPKVNGVEWIYNGLMHNMVGFQHLQCSKQEHIYVYLFCYGDVVSWGVDSHSRESKILIHFKTE >EOX93245 pep chromosome:Theobroma_cacao_20110822:1:11548027:11549385:1 gene:TCM_002090 transcript:EOX93245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAWYAINWVIWTTRNDVIFNGKIWDMEQIFEPTKFRVVWLVNAKWPNHNCSIGDLARLLSEGNILTRGRNTKEKMAWTRPVKGSLKFNTNGASKGYLGDSGIGGILPNEQGDVLVLLCKFVGICDSNKTELLVVKKAALIHVASRWCTSRLLPIEYDKRNVIKYITSPTDVPWRLRQLVIQTLNVLCKISKWEIKHILCTTNEKADSLAKEGILRPENFF >EOX92378 pep chromosome:Theobroma_cacao_20110822:1:6568969:6573726:1 gene:TCM_001334 transcript:EOX92378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mtn21-like protein isoform 1 MDKRKPYLAVILVQSIYAGMFLLSKAAFDGGMNNFVFVFYRQAAATVFLIPLALFFEWKTAPPLSFMTFCKIFMLSLCGITLSLDIYGVALIYTSATLAAATTNCLPVITFFLAVLLRMEVLRLKTTPGIAKIVGILICLAGALTLAFYKGPHMKLFCLHHLFEHHHSQNLQRRTSSGDTWIKGCFLMLISNSFWGLWLVLQGRVLKSYPSKLLFTALQCFLSTIQSFAIAIALERDPYEWRLGWNVRLLAVAYCGIMVTGVTFYLQAWVIEKKGPVFLAMSTPLNLIFTIFCSAFLLCEIISLGSVLGGLLLIGGLYSVLWGKTREQRMLDENCLPAPVDKECTEIQVVTA >EOX92379 pep chromosome:Theobroma_cacao_20110822:1:6572109:6573594:1 gene:TCM_001334 transcript:EOX92379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mtn21-like protein isoform 1 MEVLRLKTTPGIAKIVGILICLAGALTLAFYKGPHMKLFCLHHLFEHHHSQNLQRRTSSGDTWIKGCFLMLISNSFWGLWLVLQGRVLKSYPSKLLFTALQCFLSTIQSFAIAIALERDPYEWRLGWNVRLLAVAYCGIMVTGVTFYLQAWVIEKKGPVFLAMSTPLNLIFTIFCSAFLLCEIISLGSVLGGLLLIGGLYSVLWGKTREQRMLDENCLPAPVDKECTEIQVVTA >EOX93927 pep chromosome:Theobroma_cacao_20110822:1:17485284:17492395:-1 gene:TCM_002926 transcript:EOX93927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein MPGEVISVQENERFRKEGNLDFETSEDEKRRTTRGRSLKKKAMTASTKLTHGLRKRGKRVADCKYAAISIEDVRDAEEEKAVRAFRQALLAKDQLPPRHDDYHTLLRFLKARKFELDKTIQMWEDMLNWRKEYGVDTIIQDFVYDEYLEVQHCYPQGYHGVDKQGRPVYIERIGKIDPAKLMKVTTVDRFLKYHVQGFEKAFMEKFPACSIAAKRHIDCTTTILDVQGLNWMSFGKVAHDLVMRMQKIDGDNYPETLHQMYIVNAGSGFKLLWNTAKGFLDPRTTAKIHVLGNKFHNKLLEIIDPSQLPEFLGGTCSCPSDGGCLRSDKGPWNNPEIMKLIHSGDALYSRKTESSSENDNLEVKFLSTKVASSEISYADSVSDMRPDTLDFRQLVSPSDKVRMNGSNSMHSITESENAARIEDASSINNLTRDITPRNPGKKFGHHVMSLVVHFVLKLLACIYFLVPGLGRFLEAQEARQQTENQSNPQMAGSGSLDSGILTEVEEDSLHPCWQRLQHLETLVTELYNKPTKIPPEKEDMLLESLNRIKSIEQDLQRTKKALLATASKQVELAESLEHLKENNLPGTYSCWRRNYKPLNPGR >EOX91566 pep chromosome:Theobroma_cacao_20110822:1:3122998:3128525:-1 gene:TCM_000708 transcript:EOX91566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 1 MEKLLFLNVLLPSFLLQFYPVLILSEPYVFPDKYFINCGSSSSVKLGSRNFVGDKNPNSFRVEKGKPVEDTSQSVGLSLYQTARFHTSPFSYNLNITKTGLHVVRLHFFPFMSGQVNLVDALFNVSASRKSLVSNFSVRNSSFPVIKDFWVPINSTSFRIHFIPAQQTSFAFVNAIEVFLIPDLVDDRTLVTTAGSPGNYLGLPSQVLRTVQRVNVGGRPLNDPTAIASEWVADDDYIFVGNLAKNCSYFSGRLNYDDNIGAFQNFVPDLVYKTCKEVDLTNNQTSNSANITWHFNVSKNARHLVRVHFCDIISPSPNVFKFNLFIYGRFSQNINPYEYTVQTAVPFYYDFVVDSGDSDFISISVVTLDGSLEKIAYLNGLEIMEFITEPGLELGGSEPKKKPVLFIITGSVVGVFVICSLIVVFLLCKKRIKRKPFETMASYGTLPFGGASPYVGISTKSVNPPPVPNLNLKLKMPFAEIIEATSNFEAKLLVGEGGFGKVYKGTLRNGLKVAVKRSESKHGQGLPEFQTEVMVLSKIRHRHLVSLIGYCDEGSEMILVYEFMEKGTLRDHLYNLSGNPERSSALSLLTWKQRLEICMGAAKGLHYLHTGSQGGIIHRDVKSTNILLDEQYVAKVADFGLSKSGLPGPDEFSTGIKGSFGYLDPEYFRCLQFTEKSDVYSFGVVLLEVLCARPAIISSNRKEEINLAEWGLFWLKKGELEKIIDPSVAGQINPNSLRKFSEMVEKCLKPNGVDRPTMLDICWDLEYTLQLEQTAVRREPHEDSTIDASLNMSSRPFQRLPSNNLPIEKDDVPMVRDDGSDTTASGVFSQLRIDGGR >EOX91567 pep chromosome:Theobroma_cacao_20110822:1:3125049:3133899:-1 gene:TCM_000708 transcript:EOX91567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 1 VNAIEVFLVRDLVDNPAFVTSAGSPGIYRGLPSQVLRTVQRVNVGGRPLNDPTAIASEWVADDDYIFVGNLAKNCSYFSGRLNYDDNIGAFQNFVPDLVYKTCKEVDLTNNQTSNSANITWHFNVSKNARHLVRVHFCDIISPSPNVFKFNLFIYGRFSQNINPYEYTVQTAVPFYYDFVVDSGDSDFISISVVTLDGSLEKIAYLNGLEIMEFITEPGLELGGSEPKKKPVLFIITGSVVGVFVICSLIVVFLLCKKRIKRKPFETMASYGTLPFGGASPYVGISTKSVNPPPVPNLNLKLKMPFAEIIEATSNFEAKLLVGEGGFGKVYKGTLRNGLKVAVKRSESKHGQGLPEFQTEVMVLSKIRHRHLVSLIGYCDEGSEMILVYEFMEKGTLRDHLYNLSGNPERSSALSLLTWKQRLEICMGAAKGLHYLHTGSQGGIIHRDVKSTNILLDEQYVAKVADFGLSKSGLPGPDEFSTGIKGSFGYLDPEYFRCLQFTEKSDVYSFGVVLLEVLCARPAIISSNRKEEINLAEWGLFWLKKGELEKIIDPSVAGQINPNSLRKFSEMVEKCLKPNGVDRPTMLDICWDLEYTLQLEQTAVRREPHEDSTIDASLNMSSRPFQRLPSNNLPIEKDDVPMVRDDGSDTTASGVFSQLRIDGGR >EOX94028 pep chromosome:Theobroma_cacao_20110822:1:19162325:19168049:1 gene:TCM_003100 transcript:EOX94028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGNRVCVSKDDQLRRAILEEAHSSAYALHLGSTKMYKTIKESYWWSGMKQDIAEIVPKSLTCQQIKAEHQKPSGTLQPLRIPEWKWEHVTMDFVLGLPWMQRGKDAIWVIVDRLTKSAHFLAIHSTYSIEKLARLYIDEIVRLHGVPISIVSDRDPRFTSRFWQKFKDALETNLRHLSLVEFAYNNSFQSSIGMAPYEALYGRKCRTPLCWDEVVVIRFAKRGKLNPRYIGSFRIIERIRPVAYRLELPPELDWIHNVFHVSMLKKYVPDPSHILEAPSIELQEDLKFKVQPVCILDRKDRVLRNKNIPMVKGSKILVTVANTGDVKLMQEPCELSVDISAGFLFAAARSYSDYLAAAKFILVTVRTGSGEGSQT >EOX96179 pep chromosome:Theobroma_cacao_20110822:1:36882529:36885294:1 gene:TCM_005487 transcript:EOX96179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 1 MSVTLHTNLGDIKCEIFCDEVAKTAENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKPHLNGLYTVFGKVIHGFEVLDIMEKTQTGPGDRPLAEIRLNRVTIHANPLAG >EOX96178 pep chromosome:Theobroma_cacao_20110822:1:36882643:36885161:1 gene:TCM_005487 transcript:EOX96178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 1 MSVTLHTNLGDIKCEIFCDEVAKTAENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGILSMANSGPNTNGSQFFISYAKQPHLNGLYTVFGKVIHGFEVLDIMEKTQTGPGDRPLAEIRLNRVTIHANPLAG >EOX94099 pep chromosome:Theobroma_cacao_20110822:1:21162661:21163396:1 gene:TCM_003253 transcript:EOX94099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDEDVMEEGPTVTAIKDLVGDAVNTARDPMGDGQFIDHSDGARNEEGDGHGLMVMSQLSTPSSEALPPPPIKDAWLSMASKYLRSPYVNPLLVQRKAKDALKDIYKRFKTNVQAK >EOX94527 pep chromosome:Theobroma_cacao_20110822:1:29469974:29474222:1 gene:TCM_004136 transcript:EOX94527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein MPLPPSSSTFLLAIDEALTLLPGLPNDVAAHILSFLPYSHHCRLKPTCKSWYIFLSSQTLLYLRRHCLSHLLCIFPEDPHISSPFLFDPENLAWRPLPPMPCNPHEYGLCNFTSISLGPHIYVLGGSLFDTRSFPLDRPSPSSSAFRYNFLTSSWDRLAPMLSPRGSFACAAIPSSDQIIVAGGGSRHTMFRAAGSRICSVERYDVERDEWEALDGLPRFRAGCVGFTVSGDGKEKEFWVMGGYGESRTLSGVFPVDEYYKDAVVMELKENGGGKWTEVGDMWEEGERPRLGKIVVVEDEEGGRPAIFMLDDNDILRYNMALNCWQKESSVPRRAPYKSSFGFVVLNGELHVMTIVNGIDSTDTRRSRQQKRAGTLFIQIYHPRKKTWRSLVTKPPFQQPLDFSSTVMCTIRL >EOX93632 pep chromosome:Theobroma_cacao_20110822:1:14467891:14469085:-1 gene:TCM_002521 transcript:EOX93632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRQHAQVFNTIVPTEYRYISKEVLLTNQFSILEYFSPMHEFNKTWSCWLEVTF >EOX95838 pep chromosome:Theobroma_cacao_20110822:1:35737640:35738766:-1 gene:TCM_005243 transcript:EOX95838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDWKSLSKPPIECGWKPESLVVKTRKKQQGLGPHFDMVDFLATRESKTGTVTIILWCFFQDMEKLQEENKGGKREAER >EOX91392 pep chromosome:Theobroma_cacao_20110822:1:2546423:2548959:-1 gene:TCM_000602 transcript:EOX91392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance pump, putative MSGQGVAAYYSSTTPLLLNEDDRLSQECVKDVSPEKQDSFHCQCQAWLPSLSKVVEEIKQLYAIALPMIITGLLIYGKSAISMFFMGKLGKEALAGGSLSIGIANITGYSVISGLAMGMEAISSQACGAKQWPLMGQTLQRTIAILTISCLPISILWLNIEPILLFCGQDPVISTVASTYLAFSLPDLLFQSLINPLRIYLRTQNITLPLMLSAAFALALHAPINYILVYHLSLGIQGIAVAVALTDLNLLITLLLYLCFSGICDKTWQGWSLECFDEWKPILCLAIPTCISVCLEWWWYELMIVLSGLLTNAPEAVATVGILIQATSLIYIFPSSLSLAVSTRVGNELGANQPSKAKTSSTIALSCAVFTSFMAMSFMTTMRNAWGQIFTNDKAILSLTAMVMPVAGLCELGNCPQTTGCGVLRGSARPTLGANINLGSFYGVGLPIAFLMGFFMDIGLLGLWLGLLAAQVACVIVLVIVVARTDWLVQAKRARQLTGINAEAEEEVQSKFQGLISVMLVN >EOX95289 pep chromosome:Theobroma_cacao_20110822:1:33658367:33661292:1 gene:TCM_004828 transcript:EOX95289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MNSRRLISSGSWLVRKLCTATSEKAKIKAAVAAASPMRNRLYPRLSALAATGGTVSEALNDFIMEGKKIRKDELGRCVKELRKYRRYQHALDIMDWMERRNLHLSHVDHAIRLDLIAKTKGIDAAENYLSALPPSAKNQLTYGALLNCYCNNLMKDKASSLFQKMDELRFTNNTLPFNNLMCLYMRLGQPEKVPELVDELKLRNIPRCRFTYVVWMQSYANLNDIEGVERVLEELAQDSEDKCTWTTYNNLAAIYVKAGLFEKAEACLKKLEKDMMPRQREAYHFLISLYAGTSNLAEVHRVWEALKRAFSTVTNTSYLVMVQALAKLKDLEGLKKCFAEWESSCSAYDIRLATSTIRGYLSGDLLEEAELVLGNAMKRSKGPFHKVRELFMVYFLEKCQFDLALQHVEAVVSEMGDWRPAPETITAFFDYFMKERDVDAAEEFCRILKSKNGLDSNAYHLLLKTYVAAGKVAPDMRRRLEVDGIQLSQELQDLLENVCRE >EOX91248 pep chromosome:Theobroma_cacao_20110822:1:2043637:2045051:-1 gene:TCM_000496 transcript:EOX91248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEEHKLKPCWLMPLLQAKFYSLCESHDSKNTFFCLDCMGLVLCEGCLKGKKHPHHQILQVYKASHQVAIKIGDLRKLYDISDIQPYINNDSKVVFINKRRKTEQPYYFNSIAKCETCGWQLLPGTTSMYCSIECKSKDKENWKKEPKKIKYESISNQSLHSYRKRSRKGIPRRSPLF >EOX92924 pep chromosome:Theobroma_cacao_20110822:1:9445111:9450130:-1 gene:TCM_001786 transcript:EOX92924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase MAQFHYASFTSVVICFPLFTVFPCVAPTFHLFLLICLHHTFEWAWRCGLGKRKMLHRCYLFLTALNISATLPPEFSKFRHLKLLDLSRNYFTGSIPQEWATTKLEVLSFMGSRLSGPFPKVFTYITSLRNLLDTSTSLNDLMKNNFNFLYSKLTTITFHLSRSIEGNNFSGPIPPDVGKLINLQKLILSSNAFNGELPPELAKLVNLTDMRVSDNNFSGKIPDIISNWKQIQKLQIQGCSPLAPIPSSISALTSLSDLRISDLKGRGFPFPPLGNMDSLKTLIKSNCQMRDTKELLNTRRNSSIKWGHEEIEDSLLVLCLCHRIITFSPKVSAHGVFVTHTLFFLILF >EOX94576 pep chromosome:Theobroma_cacao_20110822:1:30299881:30304645:1 gene:TCM_004210 transcript:EOX94576 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 17 MHHPQPHISSSAAASAAAAAAAAFDLKPAKRRGSYNCGRCGLPKKGHVCQVINTDSTPTLTSTPTSTPATTTITDASSSAARPPHHPPRQPYSHLRRALSFDDIDIRCDSPELDLDGYDSPFLGTDLDPDNEIVSGGLPAGCLWEVLRRLPPAGLMAAARVCKGWRETTKRLWRAAEELRLRVPPGAQLGFIGSVLQKCPSLVRLSLKMESDVDATMLACIAFSCPNLESMEISTSETAVNRITGDELGRFVADKRCLMSLKMEGCSNLGGFVLSSSSLSTLWLSDLYSLSKTVFNCPNLKEISLEFSRLENDTTDLCTMIDGMGRCCPRLQNIHIASVRLSHAVVLSLTAANLRGLRMLSLVLGSEITDASVAAIASSYSRLELLDLSGSSISDSGIGMICNVFPNTLSRLLLALCPNITSSGIQFATAQLPLLELMDCGMTICDPNSQNSPSDESGNNELPNSFNSKLHLMYQKLIIKHSRLKKLSLWGCSGLDALCLNCPELNDLNLNSCKNLHPERLLLQCPSLQNVHASGCQELLIGAIEGQVSDNLASVENHFPCKRLADGSKRVRAPNFLSQQSSEDDKKRRRIVGRQCCNVLVD >EOX91605 pep chromosome:Theobroma_cacao_20110822:1:3257200:3258962:-1 gene:TCM_000740 transcript:EOX91605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMILVVYLYSLSAAISLTTSLPPSASNQKTSKTKKKKKREMDRRSAFAVALICIVVAGVGGQSPTAAPTKAPPAATTPTTAPATAPASKPKSPAPTTAPTSSPPTSSPPTSSPPTSSPPVAAPEKSAAVPAPSKSAPVSSPPAATPVSSPPAPVPVSSTPAAAPATPPESSASPPAPVAAPTTAEVPAPTTVEVPAPAPSKSKSKKKSKKQNAPAPSPGLLGPPAPPTEAPGPSQDASSPGPSVAADESGAGAIKSMQKIIGGLALGWAAIALIF >EOX93676 pep chromosome:Theobroma_cacao_20110822:1:14946559:14958449:1 gene:TCM_002578 transcript:EOX93676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 19 MDPEGKKFGKGPRELSGAVDLISHYKLLPHHDFFCKRPLPLSISDTHYLHNVVGDTEIRKGEGMQLDQLIQNTSHNRDTNARIQPFDLDILKEAFQLRESTPVELPSSEKGIPTIAGKSKSEAKDKERKHKKHKDRDKEKDKEHKRHKHRHKDKDRTKDKDKEKKKDRSGHHDSGADHSKKHHEKKRKHDGDEDLNDVHRHKKSKQKSSKIDEVGAIKVAG >EOX95004 pep chromosome:Theobroma_cacao_20110822:1:32430372:32434074:1 gene:TCM_004587 transcript:EOX95004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MATLSAYPSPNPNPNFLSSTDQTNQESLPQIQHHASPDGDPNATPTPNSNPNFHTPLSTPPEAISPAAPQPSLLHLSFNQDHGCFASGIDQGFRIYNCDPFREIFRRDFDRGGGGGIGVVEMLFRCNILALVGGGPNPQYPPNKVMIWDDHQSRCIGELSFRSEVRSVRLRRDRIVVVLEQKIFVYNFADLKVLHQIETIANPKGLCAVSQGAGSLVLVCPGLQKGQVRVEHYASKRTKFIMAHDSRIACFALAQDGQLLATASTKGTLVRIFNTVDGTLLQEWMKDVHPFLEGVRRGADRAEIYSLAFSLNAQWLAVSSDKGTVHVFSLKINAASPGSDRSQSASDPVTSPHSSLSFIRGVLPKYFSSEWSVAQFRLVEGSQYIVAFGHQKNTVVILGIDGSFYRCQFDPVTGGEMTQLEYHNFLKPEAAF >EOX94483 pep chromosome:Theobroma_cacao_20110822:1:29078149:29090198:1 gene:TCM_004085 transcript:EOX94483 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDT1 A, putative MSSSDSLQSTPLKSKKSLNLACESPVSKTPEKHASLVPNRARNRGVALSIKEIRQVAQTRAKPPTDQIKSARKQILSWPTESPAPKTSGDRPDKLPEKYEILCEFFDSLDSAIRLIKLKGSMPTFTNISPKIECLTERRFSFGHLAQLKHILPEVIEIKRMLIFDERTSCMKPDLHISIIVDAIDCGDKSKSGTKNLNVRRVFRARLADFLKAHPEGDDIPEDDLPEPFNRLKQNLRLNMIKGPISFSSDESSTDSLTEQQSLTGQGEVIQEETRPQPSNHSDSNSKMSMETLADVVNEQQPVVASHVSQSFRKRFSQKVTSKAQEAVQKCSKVSLQSSNFQVLDICADGFAFSDVTSSASTRSPTKLLSEPTTREMSYKTCLPATPVKEINPLEAEDGSPRKAGTILSTPAKLASTPARLMTATPTLQPRKRCYMSPDEVSSSSSNKLVRRPPRTRSLKFDTPVKEENIVDEVQEMAGKPLDDDEDSALSILPESLLHSIREKERKAIEERDPAISRAKRRQQMIACLPKLFNMIHYLFQSIKRSVITKEELMHKIIAGHCEIVDRGEVEEQLKLLLELAPEWISEKQASAGDLLVCINKVSSPESILVRLQKAK >EOX92091 pep chromosome:Theobroma_cacao_20110822:1:5220558:5221629:-1 gene:TCM_001099 transcript:EOX92091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLDIEKWEADDDSEWPPPHLLAEEEEEEEPERVAKRGFQVTGTSSLTHSSEDFDGDSDDINASKGATLECSCGRVSQGRALVERIIHCLRSTKRMVRYIIGY >EOX94266 pep chromosome:Theobroma_cacao_20110822:1:27085079:27086643:-1 gene:TCM_003838 transcript:EOX94266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKICKALGCSNVRSVELVAFRLDDMAQEWYSSLCRGRTTNAVPLTLSEFNTTFLDRFLPLSVCNARAREFEASVQTFSMTVFDYDIKFTQLSRYVPYLVYTEKMKIQRFVDGLVEPLFMRNCPMAHQSQGSTPDFTQQTSFAPLVATSSDRESNGSKGRGTVTSSQSRPSRLRRQSSTGKGQARVYALTL >EOX94397 pep chromosome:Theobroma_cacao_20110822:1:28285514:28286978:1 gene:TCM_003982 transcript:EOX94397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKALYYYFSHPNISFLRSTFHSHTPLTHNPNSLTVPLLFSTKALNPRKRVFKTYNLNPPIKPKPDIFPVTQRIFEIGLNLQIERVNRGKGICNVFPHQGHFPT >EOX96328 pep chromosome:Theobroma_cacao_20110822:1:37362916:37368458:-1 gene:TCM_005599 transcript:EOX96328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWPSINKIRGHQMQFSQTMHKQKRISNLGEYIHDLCQFRRIYPFQFLLRRHYFVYAILCFGSKKSCGRVMEYTAKQQPSQQQYQYQQAYDPSQYDQSAAYYSYTNQHQYQYDQGRQQQQYQYYPPPQDSSSQQYSLFCQDAAPIHPPGVPLDAAHRNQPTVYYQPQPAVEPQQQQQQVVPVTGSDSGFATANFVGNMDLAQRDNWPPQMQPASRGGRRGGRSSRGGGRGHVGNRGPRPDGSAPPYNRGRGRSGSRHFPPNGVTSAIPNSVDPSGAAAVMPPTAALPTEVPAAPLWPPPRMAWCELCRVDCNRPEILEQHKNGKRHKKNLQVHEELQKLNKVITGQQSVQVPNSGSEAVQLEKVEGSEGQHQQETSPSLAVTNDSKKETEQQKDIVNNSEASTTDSAKAKRKLGDASEARGRGFKRKMRGGRGGKYMKGNERPRRPVEPPKPKGGIPFMCELCNVKCESHVVFNSHLAGKKHIANLKRFHGHRALYGEAGLQALYPPNFNAPSPSFIPQIQQGVTDPQVVLAQLLTYVLSQAQVPGLAAPQLPLLAATSAAPCAPLSSSENHYPHKFTEGSLATSEVRGGEAVKVEAETWQQSSVEKSEASLLAGINKTENQKTEPEKNEVSQQQCFTAKFEVPPPVGIDFKAENGALDLENKAVSPPMDNPILATAEYQATGDKPLLSSTSEKVTGTECKTVSSEPVEEEVEEPEEDPEEEVEDEPEEQNK >EOX92014 pep chromosome:Theobroma_cacao_20110822:1:4900295:4903415:-1 gene:TCM_001038 transcript:EOX92014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLYPEQKHIREKLSAMMPRWSTMVYRTSVTYKDLTKVLQNADNFESENPGEENGVLEPMSSAIKEEMIIDWTLRMVASKSQTSGKGRIANCGSHKDMHGGKDQLEKSIEFGYKLVIMNKQLF >EOX96632 pep chromosome:Theobroma_cacao_20110822:1:38462102:38464862:1 gene:TCM_005843 transcript:EOX96632 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MSSAAEKVVCVTGASGYIASWLVKLLLQRGYTVKATVRDPNDPKKTEHLLALDGAKERLHLFKAELTDEGCFDSVVEGCQGVFHTASPVLFSPADPQAEIIGPALKGTLNVLKSCAKVPSIKRVVLTSSMASVLFNGKPLTPDVMVDETWFSDPRFCEESKLWYMASKTLAEEAAWSFTKDNGIDLVTINPGVVIGPLLQPALNLTSELILNLTKGEHTFPSPTFRLIDVRDVAYAHIQAFEIPSASGRYCLVERIVHYLEILKVLSEHYPALGLQEKCGDLNKPLERTYQISKEKAKSLGVSFIPWEVSLKEIVESLKEKGFLSI >EOX92344 pep chromosome:Theobroma_cacao_20110822:1:6374130:6377461:1 gene:TCM_001299 transcript:EOX92344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA processing 4 MRGLQLPLNQTQRIRLQRALEKLHSLSSKANSDASVTVADTIPVNYDDAFLKGHGTTELNGELVATVCGVVERVNKLVYVRALRARYKPEVGDIVVGRVVEVAQKRWRLEINFSQDAVLMLSSMNMPDGIQRRRTALDELNMRSIFEENDVVCAEVRNFHHDGSVQLQARSQKYGKLEKGQLLIIDPYLVKKSKQHFHYLEQFGIDLILGRNGFIWVGEHVEARDGMIVDQANNSEQSTVEKDQAYTPLEMRQNICRIANAVRVLSTLGFNVDADLIIETAELSSSLNIDIHDMLGSEFHVLVAEREAERRSLMTKRKR >EOX92432 pep chromosome:Theobroma_cacao_20110822:1:6816290:6818793:1 gene:TCM_001384 transcript:EOX92432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRGGSRLIQVVSDDITEVPKSLLIILLMLEFYIINDARKILRSAHGYAGCFGLNVCFGFERNVVDIARHPCKSSRRESSLFAAALNALRRGLIWSKYSSGFWDRLCAC >EOX95329 pep chromosome:Theobroma_cacao_20110822:1:33764767:33766152:1 gene:TCM_004852 transcript:EOX95329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASLKVADLVLLIVLLLFLMSVRADMIDDTCNRTSDTELCLSTLRSDPRSSTADAKGLAHIVLDITLKKAKDNVAQIDQLLSRTTDPALKDVLTICSQEYGNATVVILPRAIQKLESKFDAEATGNVEDSAGCAKTCEESFSDGPRPEQNRPSPFTDQNNAVLHLARLAEDIIVSFAPDGFFSKGCLEAVIRSRHDPSKVLAIFFILHGYICKLQCLLV >EOX93359 pep chromosome:Theobroma_cacao_20110822:1:12324245:12333959:-1 gene:TCM_002201 transcript:EOX93359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVFFFFEDSRNGVSERKNKTIMEMARCLLFQKGPSMIKSTFFFTLGGFQRSANEPTLYVYNSTNSIKDANELLKKFHIENNKAVETPLASNYKLSKKDGASKTISSTYKSIIGSLLYLTTSRLDIMFLASLISRFMQKPSQNHYSTTKQALRYIKGTIDFSLRFEKKESSELISYCDSDWVKSVDDSKSGYPVNVLGLAIENIFMSRCKIHSKRWPFLFNHHFLPKLERESKEFIVQDQEIMEDKAEKPKRMEWLTKYGRKLKKMLKSPTSSQKASVVSVLPPRAVCCEELFIPEKGHRRPGDYCVDPFVAAHIAAQNHFSLRPNIYL >EOX94574 pep chromosome:Theobroma_cacao_20110822:1:30275557:30278596:-1 gene:TCM_004207 transcript:EOX94574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MAISLSKTFLSINRQLDHVLPSEKCCPLSFSGQKSHLGRTKSIDFATGRLRIPRVFSKASESLSSTINELEKERLKKEEEEDLAPGQQEERRVADVWKEIHGQDDWVGMLDPMDPLLRSELIRYGEMAQACYDAFDFDPFSKYCGSCRFAQSQFFDSLGMAHHGYLVSRYLFATSNINLPNFFKKSRWPKVWSKNANWIGYVAVSNDEMSKRLGRRDITIAWRGTVTRLEWIADLMDFLKPISSNKIPCPDSTVKVESGFLDLYTDKDEKCLFCKFSAREQILTEVKRLVEMYQDEEISITITGHSLGSALAILSAYDIVETGLNVLQDSRAVPVSVFSFSGPRVGNVRFKERIETLGVKVLRVVNVHDIVPKSPGLFFNENVSPVLMKLAEGLPWSYSHVGVELALDHKNSPFLEDTGDPTCAHNLEAHLHLLDGYHGKGHRFVLASGRDPALVNKACDFLKDHYLVPPYWRQDENKGMVRNKDGRWMQPERPKFDDHPEDTLHHLRQLGLASEH >EOX95331 pep chromosome:Theobroma_cacao_20110822:1:33774089:33776331:1 gene:TCM_004855 transcript:EOX95331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 3, putative MAKLSMEFSFFQTLFFLFCRVVFRQSKNHKSLHRNVSNIHANEGKYHKYPSFVHRSNLSNQTLVFSVEEALLKSSSLFPYFMLVAFEAGGLLRAFILFVLYPILCLVSEEMGLKIMVLVCFFGIKKKSFRVGSAVLPKFFLEDVGLEPFEMLKKGGKKVAVSKIPQVMIESFLKDYLEIDFVVGRELKEFCGYFLGVMEEKKRSKAALDEIIGSESMGFDVIGISGLKKSLDYHFFSHCKEIYQVRKADKRNWRHVPRQEYSKPLIFHDGRLALRPTLVASLTMFVWFPFGLALSILRAVVGLMLPYKISIPLLAYSGLHLFLSTPESSLHPLSLPNSKKQNPKGRLYVCNHRTLLDPVYLSFALQKDLTAVTYSLSRISELLAPIKTVRLARDRDQDGKMMEKMLNLGDLVVCPEGTTCREPYLLRFSPLFSEMSDDIVPVAMDSNVSLFYGTTASGLKCLDPLFFLMNPRPIYTVQILDGVSGLYTCHDGQRSRFKVANQVQNEIGKALGFECTKLTRRDKYLIMAGNEGIISQT >EOX93435 pep chromosome:Theobroma_cacao_20110822:1:13068059:13071037:-1 gene:TCM_002311 transcript:EOX93435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MPAASPNMSDREVEPFVEVDPTGRFGRYNDLLGSGAVKKVYRAFDQEEGIEVAWNQVKLTNFSEDPVLINRLQSEVQLLRTLKNKYIIVCYSVWRDQERNTLNFITEVCTSGNLRTYRKKHRHVSIKALKKWSKQVLEGLEYLHTHDPCIIHRDLNCSNIFINGNIGEVKIGDLGLAAIVGRSHAAHSIIGTPEYMAPELYEEDYTEMVDIYSFGMCLLEMVTMEIPYSECDSVAKIYKKVTSGMKPRALNLVPDPEVKAFIEKCIAQPRARPSASELLKDPFFSDLIDDETDSTSS >EOX95518 pep chromosome:Theobroma_cacao_20110822:1:34534627:34536422:1 gene:TCM_004997 transcript:EOX95518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1295) [Source:Projected from Arabidopsis thaliana (AT2G46890) TAIR;Acc:AT2G46890] MGKSNLKNAVVAFLVPLPSILFYLHFLSHFQSDRNSLSPLWSWCFHHPLLLANALFFFNVNVLFWLISHIQSSHWMIDLYWTVIPVLLVHHYATHPLAQFDWWRSKIVIVLTWVWSIRLTHNYFRREKWHWGAREDWRFTDMSQQYGKHWWWISFFAVYFSQQIFLIGICLPLSVVHSVDMPLNMWDFVAAGVCLCGIVIAYFADTQLHNFVTRNSKLKELGKPMVPNLDRGLWQYSRHPNYFGEQLWWWGLVIFAWNLGHGWTFVGSLINSMCLAYVTVLVERRMLKKEYRAEAYRLYQKTTSACVPWFRSSAIAVKNKDT >EOX95519 pep chromosome:Theobroma_cacao_20110822:1:34534657:34536436:1 gene:TCM_004997 transcript:EOX95519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1295) [Source:Projected from Arabidopsis thaliana (AT2G46890) TAIR;Acc:AT2G46890] MGKSNLKNAVVAFLVPLPSILFYLHFLSHFQSDRNSLSPLWSWCFHHPLLLANALFFFNVNVLFWLISHIQSSHWMIDLYWTVIPVLLVHHYATHPLAQFDWWRSKIVIVLTWVWSIRLTHNYFRREKWHWGAREDWRFTDMSQQYGKHWWWISFFAVYFSQQQIFLIGICLPLSVVHSVDMPLNMWDFVAAGVCLCGIVIAYFADTQLHNFVTRNSKLKELGKPMVPNLDRGLWQYSRHPNYFGEQLWWWGLVIFAWNLGHGWTFVGSLINSMCLAYVTVLVERRMLKKEYRAEAYRLYQKTTSACVPWFRSSAIAVKNKDT >EOX91729 pep chromosome:Theobroma_cacao_20110822:1:3635281:3636456:-1 gene:TCM_000819 transcript:EOX91729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase NSD3, putative MRREEKRKKFHEAVLKTLYPPPSPPDSEGEEEEGKKPGIISERTVTLELENPDDFGENGSSTSDDEDDGGQAETLKLSRAQRKRLRKKRLKEDAFRRGKIIGPLLPLSERDGVGSLQTEPQGVRENAVHDQVASNDKPGDQQGGCSSKKKLKQRRIAKKAVREGLKSTETEKPDSDKEHQVY >EOX91793 pep chromosome:Theobroma_cacao_20110822:1:3896642:3899760:1 gene:TCM_000868 transcript:EOX91793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMSMGAITFLVILLILANFGALAGARGTYNFSQVDSSCKNSCNNTLEDKRGVPTGANPLHNSKVSLNTMMKLTVMREKIEIAVEIETYNNKVKSRDKKIETSKGTNDVDQNSGGSG >EOX93797 pep chromosome:Theobroma_cacao_20110822:1:15931036:15942636:-1 gene:TCM_002729 transcript:EOX93797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYNFVLSQMYGEDSSSQPEFDPHAWTATIGGMETTRTHMHGFGTRVPITVLLTETHSNVATSESACGPINSNATSPAIALGEKMKNLSKNLGKICEEVHEEIKNTMAESMSEFMAHMEIMIMTNALLKLGNAGPSSSNFDKNLHVTTEGQEDAHSEKKSIEGDGGVKSGKKNKKTVTQDNVEKKGDKKDDKKDNVLLQLLRIVRIKGNLSLLINLLVVALLLILGLMTLEVYEKKKLEDRNTLEASKRPEERKVEDEDLESMQPIGKKKKKRTASLNLKKLKKKDNIKEEKARKTLSINEFLKLAEGETPHLRQRQKGDRPYGRRDIERPSSKGNGMRQNGRHDGRRPHGQGNRQRPSSSSKGDEEGPNERFVDERPNHQPDSERPGLLLIHPLLVLALTMSTINFPL >EOX95006 pep chromosome:Theobroma_cacao_20110822:1:32444711:32446924:1 gene:TCM_004591 transcript:EOX95006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase phi 8 MKNKKVYGSLNSAATLKVLACLFEHDLDFDFVPIDLEAGEHKKKPFLSMNPFGQVPVFEDGDVKQFESRAIIRSMGHQYGKKGEELIYWDSREQAVVANWIDVEDHHFEPPALKLISELVIKPKKGLTPDEETVAEAEAKLAKVLDVYEARLSKFKYLASDKYTIADMLHLPNIQALIGTQAKKLFDSRPRLSEWCTAILARPAWIKVVEMQQKAQA >EOX94407 pep chromosome:Theobroma_cacao_20110822:1:28336615:28340772:-1 gene:TCM_003987 transcript:EOX94407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSTHKDARWRSNKTGYLTGEEKKFAPQNPNLGTWINKDHKMKSWLIDSMSQSLMQRFIRLPTTNEIQEAISKIFYDGLDETCIFLSGLDSKFDQGEFLDLLFKGKALVKKMCYNKWWRIGVYEVRENG >EOX93666 pep chromosome:Theobroma_cacao_20110822:1:14888266:14893115:-1 gene:TCM_002566 transcript:EOX93666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIQNLSKSTFLLALTSSRYIFIVFEIFYPLDTDVAPNADVAKPCQLVRLTWRCHVITKNYMALPRYTLSSKC >EOX93682 pep chromosome:Theobroma_cacao_20110822:1:15001085:15003840:1 gene:TCM_002588 transcript:EOX93682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGTMVLDALILDEAFILGRRKNMTRFSKIFLQSLSSMVREISLSVGMILEARSLLVPPPAAEFKFDVDGSTKGKPGLVSFVTWKVSWWEYSLVLLIFKTQIIQDSWPFFCLAFFSTSPFVRTPLISESNSKIALTWIEKTEQRPWNKWLHVASLSGCF >EOX95590 pep chromosome:Theobroma_cacao_20110822:1:34739751:34743769:1 gene:TCM_005039 transcript:EOX95590 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MYSSSVYPLMRLRGPELTPSSQNLVSRCKTEKAEPNVARLTLAILCLPRGLYGHQLLSPFTVEMKGCSAGDGTSLPDLGAKIHCCLAEGSSFIILFSDRLKLEIEPRKSASSTATNEELIGCSCITLPFLKRTQKMYFHNMNAFQVWGKTGSTIYAQLLERIMKTTNVGLSSGSTEDSEPQQQLTISQGHTMKMLFSLPMTGLYCPHSVLPENNRQQTLCFMARYVKPVKGRKIKWMKAGIIESDKLLTVSPYYAQELVSGIDKGVELDNILRKTGIIGIVNGMDVMAAKPLMKEALQAEVGRPQEQKGSAIPVEAIPPFIRENVQIIVLETSKKRMEKQLEQLEILYPDKARGVAKFNVPLAHMIIAGADFILVPSRFEPCGPIQLHAMRYGTVSCQPKTRTHRCLNWWVGRHSPKRVSQDFKWEPSTLRPAKKWEGVLLSLGGPGGEAGIDGEKIASLAKENVATP >EOX95632 pep chromosome:Theobroma_cacao_20110822:1:34999915:35005521:-1 gene:TCM_005090 transcript:EOX95632 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase A3 MVVVLALEKIFEHCGDRGGSWRCLLGVFQRRNSRDVELTLGTLKVETELERASMERHVVGSAGGPWGIKLVMSFSCCRKKRDKVNSNIKSNYRVKMNEEMNGVDVKNHQENVPEKIDYVFKVVVIGDSAVGKTQILSRFTKNEFCFDSKSTIGVEFQTRTVPIKNKVIKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDITKRQSFDHVARWVEELRAHADNSIVIMLIGNKADLEDLRAVPTEDAVEFAEDQGLFFAETSALSGDNVEKAFFKLLEEIYGVICKKSLGCGNGKSNGGDRATALKGSKIELIAGSDLEISEMKKLSSCSC >EOX93418 pep chromosome:Theobroma_cacao_20110822:1:12933184:12938577:-1 gene:TCM_002294 transcript:EOX93418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein, putative MAVQLNIAVLSILLLLFSTSIPKAQSTVVDVVAKYGAKADEKTDLSTPLLHAWKEACASTTPSKIVIPKGTYRLSRATLDGPCKSAIELQVSGTIKAPADPSAFKDPNWVVFNHVDHLTISGGGVFDGQGAAVWGKNNCAKNKYCAALPINLRFNFVSNAIVQGITTKDSKQFHVNVLGCKNFTFQHFTVSAPENSINTDGIHIGRSNGIKILDTNIKTGDDCVSLGDGSKNVIVERVTCGPGHGISVGSLGKFKGEEPVSGIFIRNCTISNTMNGVRVKTWPDSHPGSATDMHFEDIILNNVGNPILIDQEYCPWNLCNLKVPSRVKLSNISFKKIWGTSSTQQAVKLVCSRGLPCDRVELADIDITYKGPGGPAISQCINVKPRLSGKQNPPACSSPARRTEGRAMAVVVAPAAMMDTWSQMKRPCKGPILGPRPLDCSVEFDKLLYEAVEVLTPDVAIGGGDTFNGATGVATVVDVRVAVGGVEDRGDISVVVNGHGTRGCVAVVSTPLDCP >EOX96478 pep chromosome:Theobroma_cacao_20110822:1:37948583:37949206:1 gene:TCM_005717 transcript:EOX96478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQDEHALHRQTEFSFLNFHLRHIGKGSLLRELKRKKQSRLPFENRNSFLRPPIASECNPSVSVDRSF >EOX93782 pep chromosome:Theobroma_cacao_20110822:1:15793336:15795847:-1 gene:TCM_002708 transcript:EOX93782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein MASWSSAFLVLTFCTLLISPAVSLTCSSQKFTKNQVYAKCVDLPSLSSYLHFSYDSSNTTLSIAFIATPSKSGGWIAWAINPKATGMAGSQSLVAYKNSTTGVAVVHTYNVSSYGSIVPEDLSFEVWDKLAESGTDGSLTIFAKIKVPADLAAQGTVNQVWQVGPGVGEDGKLEKHDFAAANLKSKATLDLKTGQSSSSSGGDTRVKKKNIHGILNAVSWGILFPVGAMIARYIRTFESADPAWFYLHVFCQISAYAIGVAGWGTGLKLGSESPGIQYSVHRSIGIAVFVLATVQIFALFLRPKKEHKYRFYWNIYHHSLGYAILVLGILNVFKGFSILRPEHKWKLAYMIVIISLGGISLLLEAITWVVVLKRKSGESTKPYDGYNNGQGRQQPLAM >EOX95950 pep chromosome:Theobroma_cacao_20110822:1:36125179:36131106:-1 gene:TCM_046697 transcript:EOX95950 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein kinase family protein, putative MAVVSPVPAIAQGVNSMRCRDVIAPGIMSGRREITEEPVARVIEEKIYVAVGKDVERNKSVLFWALQNSGGKRICIIHVHQPAKMIPVTDMGTKFPASKVKEQEVKAYREIGRQEMQKNLDKYLLLCLQSGVQAEKLYIEMDSIEEGILKMISENGIRMLVMGGAADKHYPKYKPHKKAVDLKSKKAISVREHAPASCHTIWFLCKGLLIYTRKISLDVTDTENASSSSSLPARSNLENHFRSLSVIPLQTSHVKPSTCTPDSLRRVRSENFYGRAGSVLGSTFPDGNGGLSTPQRRSDAEGSSDESDGLSRRRHQSSVLSSSSSSGMADAALVPYTGTEVDESGLESIAMSQAKENFNMSSLTGVLNGAIDDTLYNQLQQVMAEAANSRREAFEEAARRAKAEKGALEAMRRVQASEFLYTQELKQRKEIEEAFTKEKEQLDKMKNQRDEVMVELQEALDQKSSLEKQIVESEKVVKELEEKIISAVELLQNYKKEREELQMERDNALKEAEELRKSRAETSGAHMPQFFTEFSFSEIQEATHNFDPSLKIGEGGYGSIYKGLLRHATVAIKRLHSHSLQGPSEFQQEVDVLSKMRHPNLVTLIGACPEAWTLIYEYLPNGSLEDRLSCRDNSPPLSWQTRIRIATELCSVLIFLQSSKPHGIVHGDLKPANILLDANFVTKLSDFGICRLLNKDTTVCCRTGPKGTFVYMDPEFLATGELTPKADVYSFGVILLRLLTGKQALGITKEVQNALDNGHLKNLLDPLAGDWPFVQAEQLANLALRCCEMNRKSRPDLSTDVWRVLEPMRASCGGSSSFQLGSEEHCLPPSYFICPIFQEVMRDPHVAADGFTYEAEALRGWLDSGHDTSPMTNDKLAHRNLVPNLALRSAIQEWLQQH >EOX94728 pep chromosome:Theobroma_cacao_20110822:1:31069978:31071594:1 gene:TCM_004341 transcript:EOX94728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate family protein 12, putative MLLLVICTHEKCKPPQPFVIDRFRYPELLSVSGLLSLLNTVYKNKLEPCELQFPSLSHHNLSEIMPSTQGKNLNLCFTKIKFPLSISHSSSSPLTPDDNGGPFPSSATTSSLLFKSYNSLYDSTFHYSTSKSLTHSPSLSSEPESDSDSEPDFATVFASQRFFFTSPGSSNSIIESTPSSIATTPESSDTLLGSSSSPINNANQSSNDGRCDQPSNEHTSPPMVKDSVAVPTFSPNPYMDFRRSMQEMVEARDLIDVKANWDYLHELLLCYLALNPKSTHKFIIGAFADLLVSLMAAGGGSNDGDVTEITGGMIPGQCM >EOX96416 pep chromosome:Theobroma_cacao_20110822:1:37696729:37698782:-1 gene:TCM_005664 transcript:EOX96416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAANTDQEIAPLIENNDQPQDLEIDIPKEDYEPAPECCIYKVPSHFREANKKAYTPQLISIGPIHHGDTNLARMERQKQRYYNKFCQRTSKKTLEEFKSFIKAHVSGICRCYDIEFAFDHELKGFNFEKMILFDAIFIIELFLRNFEEVNDDFLFSKVWLRAKLETDLLLLENQLPFFILEALYNLAFVASNYPSFFYLTCLYLRLEQDQTFNKKGIKHFLDLTRSILVRTCPSNSDERTDRMYNATKLHEAGVKFKATGDVGDDYVLDDLLNVKFEEGVLQIPCFYVDYETETWFRNLMAFEQCHYPKVPCFCSYIVLLDHLVETDKDVDLLIKKKILINEMGSSAAVTTMINNLHTGVASLSMCYDKLAKDLNEYYDNSWNRRCATLNHVYFNNLWRGTATVTAFIVVVLTLTQTVLAILERAMPTK >EOX93431 pep chromosome:Theobroma_cacao_20110822:1:13040775:13042049:1 gene:TCM_002307 transcript:EOX93431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase isoform 1 MDRVGSSHSQRVSGEVHVIIGPMFAGKTTCLLRRIMFEGNNGRNIALIKSSKDTRYATDSVVTHDGVKFPCWASSDLMSFRQKLGEDAYEKLDVIGIDEAQFFEDLYDFCCEAADQDGKTVIVAGLDGDYLRRSFGSVLDIIPLADTITKLTARCEVCGKRAFFTFRKTEETKTELIGGADLYMPVCRQHYVNGQTVVEAARTVLESRKLHHQTDSSSQVEAAVSAL >EOX93432 pep chromosome:Theobroma_cacao_20110822:1:13041102:13042255:1 gene:TCM_002307 transcript:EOX93432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase isoform 1 MFEGNNGRNIALIKSSKDTRYATDSVVTHDGVKFPCWASSDLMSFRQKLGEDAYEKLDVIGIDEAQFFEDLYDFCCEAADQDGKTVIVAGLDGDYLRSFGSVLDIIPLADTITKLTARCEVCGKRAFFTFRKTEETKTELIGGADLYMPVCRQHYVNGQTVVEAARTVLESRKLHHQTDSSSQVEAAVSAL >EOX90784 pep chromosome:Theobroma_cacao_20110822:1:615724:617204:-1 gene:TCM_000157 transcript:EOX90784 gene_biotype:protein_coding transcript_biotype:protein_coding description:S phase kinase-associated protein 1 MSSSGRKITLKSSDGESFEVDEAVALESQTIKHMIEDDCADNGIPLPNVTSKILAKVIEYCKKHVEAPKTEDRTAEDELKNWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >EOX94169 pep chromosome:Theobroma_cacao_20110822:1:24189604:24190612:1 gene:TCM_003536 transcript:EOX94169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLWLIVVLRIKLNRERMKTLHIMLDQQILLSVLVYDDYDEDNEVDVNPIQGELVSMEKFKFESRQSGIVYALVSEFVQHDLKGELPKHPFEVQQLLEEFKQAEMQKQVEELLTETLAQEKKPPNKQQMASMKG >EOX92830 pep chromosome:Theobroma_cacao_20110822:1:8784848:8786248:-1 gene:TCM_001692 transcript:EOX92830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated gene 12, putative MAAIRPKGVMVSFVLIFILVSWVSQAMSRALQDEPMYDRHERWMAQYGRVYKDNYEKEKRFKIFKENVTRIDSFNTANDKPYKLGYHLAWTGERKGAVTPIKDQGQCGCCWAFSAVAAMEGITKLTNGKLISLSEQELVDCDTKGEDQGCQGGLMDDAFEFIEKNQGLTTEAIYPYKGVDGTCNTNKEANHATKINGHEDVPANSEAALLKAVANQPVSVAIDAGGFGFQFYSGGIFSGACGTQLDHGVTAIGYGVGDDGTKHWLVKNSWGTSWGEKGYIRMQRDVDAKEGLCGIAMQASYPTA >EOX90780 pep chromosome:Theobroma_cacao_20110822:1:606853:608104:1 gene:TCM_000152 transcript:EOX90780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein, putative MLSSTGGEENNYNKATISLKRVSSTSSKSSSTSSSPSLFSPPSHLHDQTHRPKTMEEVWKDINLASLNDHPSREGLSVNPRLTNPQNPNFILQDFLARPFSKDPPTNRVCTNGGAGLYGSPVRPPATVLSLNSGPGFDFFDNSDPLRSTSRLQSSPISNLSTFNCPFEALASSSSLASFGKKRVKESDSGSGDRRHKRMIKNRESAARSRARKQAYTNELELEVAHLMEENARLKRQQEQLRVAAAAQLSKKRTLQRTSTAPF >EOX95113 pep chromosome:Theobroma_cacao_20110822:1:32906771:32909641:-1 gene:TCM_004673 transcript:EOX95113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spliceosomal protein U1A MAEISQGEEVPPNMTLYINNLNEKIKIDQLKKSLHAVFSQFGKILDVLAFKTLKHKGQAWVIFEGVNSATNALRRMQGFPFYDKEMRIQYAKTKSDIIAKADGTFVPREKRKRHEDKGGKKRKEQLDPNQAAAGLNPAYPGAYGATPPLTLLNLATVSSVQIAQKLSQIPYLGARPIVPEAPAPPNNILFVQNLPHDTTTMMLQMLFNQYPGLKDVRMVETKPGIAFVEYENEMQSTVAMQALQGFKIQQNQMLITYAKK >EOX93220 pep chromosome:Theobroma_cacao_20110822:1:11350689:11353000:1 gene:TCM_002060 transcript:EOX93220 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L13 MVKHNNVIPNGHFRKHWQNYVKTWFNQPARKARRRIARQKKAVRIFPRPTSGPLRPIVHGQTLKYNMKLRAGKGFTLEELKAAGISKKLAPTIGIAVDHRRKNRSLEGLQANVQRLKTYKAKLVVFPRRARKFKAGDSTAEELATATQVQGPYMPISLEKPSVELVKVTEEMKSFKAYNKLRVERMNERHIGARMKKAAEAEKEDKK >EOX91160 pep chromosome:Theobroma_cacao_20110822:1:1800108:1802123:-1 gene:TCM_000438 transcript:EOX91160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein, putative MTSSIQMNELEDHMALISQMYPGVYTQIAPHQGESKPRRRRKKNKGGENSLAGAKKRKLSQEQVNLLEHNFSDEHKLESERKDRLASDLGLDPRQVAVWFQNRRARWKNKKLEEEYNKLKTVHEGAVLDKCHLESEVLKLKGQLCEAEKEIQRLAERVDGVSSNSPSSSLSMEAMDPPFLGEFGVEGYDDVFYMPENSYIHGMEYWMNL >EOX95406 pep chromosome:Theobroma_cacao_20110822:1:34068162:34070426:-1 gene:TCM_004912 transcript:EOX95406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic, putative MLVPHKPIRSERGWRFTWIGLGTSPDPIRVFQCTDTAFQPVFAAAMVASMRKTAGKPAAPSGSPWNRPDRGEFPGDYGWETAGLSDRVISGWSRLSRESSLIHAQSISAVRACQVILMGEVEGYRIAAGPLGEVTDPLYPGGGFDPLGLAELMVKEIKDGWLAMFSIFRFFVQAIATGKGPLENLGDRLADPVHNNAWAYATNFVP >EOX93907 pep chromosome:Theobroma_cacao_20110822:1:17292730:17299390:-1 gene:TCM_002906 transcript:EOX93907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDWPCQTHMQAALRVLKYLKKASRQSLYSLKAYNECDRQGAQTQGEQWLVTVFLLESHLSARSLRGSIRSQHVILICHRHQRSWLKNKLASYKNKHVLFSLLISS >EOX93313 pep chromosome:Theobroma_cacao_20110822:1:12025738:12026676:1 gene:TCM_002155 transcript:EOX93313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPVQVLELGLDMKNQYYMAMDVDATECRAMERDNRGFRSRGLTLHSDEAMDQVDGTTRVGAGFIIRRSNGGFLCAAGRKMQCCASVEEAELRALVWALVYCDKEHIILTNIYLDNQWINCQAIDFCPRETNRTAHWIAQTAKRMVDEMIEWKDLSELPVLIQKAVDNDRNMFLSQEG >EOX94681 pep chromosome:Theobroma_cacao_20110822:1:30842360:30845819:1 gene:TCM_004298 transcript:EOX94681 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Putative harbinger transposase-derived nuclease (InterPro:IPR006912); BEST Arabidopsis thaliana protein match is: PIF / Ping-Pong family of plant transposases (TAIR:AT3G55350.1); Has 30201 Blast hits to 17322 proteins in /.../ecies: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G63270) TAIR;Acc:AT3G63270] MPSIFPSLARASNICGDQTLLTSLATTVEEFCHSLTPVMAPAKKSKKTKKSSKKLKKNKSLSVVPVEPRVSEPDWWDSFWHKNSTTPVRNEMFLFDLIGSESQLAGLSIPSNEEEGFKYFFRAARKTFDYICSLVREDLVSRPPSGLINIEGRLLSVEKQVAIALRRLASGESQVSVGASFGVGQSTVSQVTWRFIEALEERAKHHLKWPDSNRMEEIKSKFEVLFGLPNCCGAIDATHIIMTLPAVQTSDDWCDQESNYSMFLQAIVDHEMRFLDFVTGWPGGMSVSRLLKCSGFFRLCEAGERLNGSIRTLSEGLEMREFIVGGAAYPLLPWLITPYETNGLSSSMSTTFNDKHESARLLAVRAFLQLKGSWRILNKVMWRPDKRKLPSIILVCCLLHNIIIDNGDHLHPDVALSGHHDSGYGEECCKQVDPTGKTMRENLAKYLLQSKAKAPAK >EOX95726 pep chromosome:Theobroma_cacao_20110822:1:35366997:35368608:1 gene:TCM_005160 transcript:EOX95726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAFRGSISSRATLLSRRLNPSFSHILHTNNDNNSKSHPLNLSQSLSAIRKILFQGSSNAINGLGCSLSQDRTSSLFPQPIGDGLPSCRFMSSTTGEGSERIDDVGYVAEAVRGRTVDALTSQAPGMSMVAVAAADYSYPVVALRHLMDTVHS >EOX95727 pep chromosome:Theobroma_cacao_20110822:1:35366967:35368609:1 gene:TCM_005160 transcript:EOX95727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAFRGSISSRATLLSRRLNPSFSHILHTNNDNNSKSHPLNLSQSLSAIRKILFQGSSNAINGLGCSLSQDRTSSLFPQPIGDGLPSCRFMSSTTGEGSERIDDVGYVAEAVRGRTVDALTSQAPGMSMVAVAAADYSYPVVALRHLMDTVHS >EOX95725 pep chromosome:Theobroma_cacao_20110822:1:35366967:35369137:1 gene:TCM_005160 transcript:EOX95725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAFRGSISSRATLLSRRLNPSFSHILHTNNDNNSKSHPLNLSQSLSAIRKILFQGSSNAINGLGCSLSQDRTSSLFPQPIGDGLPSCRFMSSTTGEGSERIDDVGYVAEAVRGRTVDALTSQAPGMSMVAVAAADYSYPVVALRHLMDTVHS >EOX93779 pep chromosome:Theobroma_cacao_20110822:1:15731055:15733136:1 gene:TCM_002700 transcript:EOX93779 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase A5B MGGHEEEERGEEYLFKIVLIGDSAVGKSNLLSRFARNEFDNNSKATIGVEFQTQVVEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDISRRSSFDSIKRWLDELSTHCDTTMARMLVGNKCDLENIRDVSVEEGKSLAEEEGLFFMETSALDSTNVQTAFEIVIREIYNNVSRKALNSDAYKAELSVNRVTLVKDGANSSKEGFSCCAR >EOX96117 pep chromosome:Theobroma_cacao_20110822:1:36647037:36649862:1 gene:TCM_005443 transcript:EOX96117 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MADNLDLPEATVQNILDQESLKWVFVGGKGGVGKTTCSSILSILLSRVRPSVLIISTDPAHNLSDAFQQRFTKTPTLVNGFSNLYAMEVDPTVENEDVGGPDGMDSLFSDLANAIPGIDEAMSFAEMLKLVQTMDYSCIVFDTAPTGHTLRLLQFPATLEKGLAKMMSLKSKFGGLLSQMTRLFGVDDEFGEDAILGRLEGMKDVIEQVNKQFKDPDLTTFVCVCIPEFLSLYETERLVQELTKFEIDTHNIIINQVIFDEEGMLTSTISIIFLSPPKNCCLKKKLTINWPVL >EOX96116 pep chromosome:Theobroma_cacao_20110822:1:36646833:36650707:1 gene:TCM_005443 transcript:EOX96116 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MADNLDLPEATVQNILDQESLKWVFVGGKGGVGKTTCSSILSILLSRVRPSVLIISTDPAHNLSDAFQQRFTKTPTLVNGFSNLYAMEVDPTVENEDVGGPDGMDSLFSDLANAIPGIDEAMSFAEMLKLVQTMDYSCIVFDTAPTGHTLRLLQFPATLEKGLAKMMSLKSKFGGLLSQMTRLFGVDDEFGEDAILGRLEGMKDVIEQVNKQFKDPDLTTFVCVCIPEFLSLYETERLVQELTKFEIDTHNIIINQVIFDEEDVESKLLKARMRMQQKYLDQFYMLYDDFHITKLPLLPEEVTGVEALKAFSRHFLSPYQPSRTIETVEELEQRVSTLKQQLSDAEAELEKLRKGKQKV >EOX92581 pep chromosome:Theobroma_cacao_20110822:1:7604584:7605779:1 gene:TCM_001515 transcript:EOX92581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIAIFFLKLANYSLPIVVEFVVVFYCLRDARACFSVTIISFIVSISECSVPRFFDPFVYKDDPFLRKVKRQNEASTKILQGN >EOX92380 pep chromosome:Theobroma_cacao_20110822:1:6574640:6576616:1 gene:TCM_001335 transcript:EOX92380 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box transcription factor family protein, putative MGRGKMNMKFITKEKIRVSTYQKRKKGLIKKAHEFSILCGVETCVIIYGPQLKDGPAKLEIWPSDPAKVMHVINKYKGKPLDVRERKCFNVFDFFAIRQKKLDDEICKLRKANIDAKFSTWDDRINNFSINQIWALLARFDSNLEAASKKIKMIKGNHQCLIEDSKSGILTGPSTQARLNHSNQARPCLFQKNLDLEVTSRQQPMSGLKPFDMNIVPSFYPFVSGEALQMQPFNVNPIDNSMMLSTNGSDFTQVDGESSSSITYSSLSPQACYDPSASMLDNVMFNNPWGVPICFYGPFMQAMTPFGQSAMPSFPFQYGEIYRDADDQCGSKSKKPKF >EOX96583 pep chromosome:Theobroma_cacao_20110822:1:38323180:38327202:1 gene:TCM_005808 transcript:EOX96583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophytinase MEVISYSSPPCCNFKRRLLVKKHSTRQQVKISLCKKHRILCTRINSRSGSLGFSNKDYPLLKNVYHGKGSRPFNALEGSKNVESKVFSGNYNGYVIGREDEVGSMSETRDSVTKVLIPGLPEESNGERGAPISSCFWEWKPKLNVHYEKSGCENVNSPPLLFLPGFGVGSFHYEKQLKDLGHDYRVWAIDFLGQGMSLPIEDPTSQSNEETISETKDLVWGFGDETEPWASDLAYSMDLWRDQVRYFVEEVIGEPVYIVGNSLGGFVALYFAACNPQLVKGVTLLNATPFWGFLPNPIRSPRLARIFSWSGTFPLPESVRKLTEFVWQKISDPESIADILRQVYADHSTNVDKVFSRILETTQHPAAAASFASIMFAPQGELSFREALSRCHMSNVPICLMYGKEDPWVKPVWGLQVKKEVPDAPYYEISPAGHCPHDEVPEVVNYLLRGWIKNQESQGSVALPLLDEMENIQDSITKNLEFVREGSSKSVIVRFFGSRFSLWNRMESYFKSRFGKLETKSR >EOX93759 pep chromosome:Theobroma_cacao_20110822:1:15568281:15574217:-1 gene:TCM_002674 transcript:EOX93759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEP-RELATED DEVELOPMENT ARRESTED 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G48470) UniProtKB/Swiss-Prot;Acc:Q1H5E9] MLRSSVFFFFPACSTPNLPSLFFTSSIPSYLPHPHYHLQLKHLKRKKQFLGSVSSSYEVGGGYPHEEFDTVYKTQNQQVQDTQNLDSAQYEALLKGGDQVTSVLQEIITLLEDMNIDEASEEVAVELAAQGVIGKRVDEMESGFMMALDYMIQLAERDQDDKRKSLLEVIKETVLSHLTKKCPPHVQVIGLLCRTPQKESRHELLRRVAAGGGAFKSANGTKVHIPGANLNDIANQADDLLETMETRPVVPDRKLLARLVLIREEARNMMGGGILDERNDRGFSTLPESEVNFLTKLVALKPGKTVQEMIKYVMLGKDEGADYSDTDEEANAGRMKSSGIAGRVSLGWYIQWKCLWHNCTTSRMGSSEDTSSSSGNCILMFLSVACT >EOX93757 pep chromosome:Theobroma_cacao_20110822:1:15568191:15577180:-1 gene:TCM_002674 transcript:EOX93757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEP-RELATED DEVELOPMENT ARRESTED 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G48470) UniProtKB/Swiss-Prot;Acc:Q1H5E9] MLRSSVFFFFPACSTPNLPSLFFTSSIPSYLPHPHYHLQLKHLKRKKQFLGSVSSSYEVGGGYPHEEFDTVYKTQNQQVQDTQNLDSAQYEALLKGGDQVTSVLQEIITLLEDMNIDEASEEVAVELAAQGVIGKRVDEMESGFMMALDYMIQLAERDQDDKRKSLLEVIKETVLSHLTKKCPPHVQVIGLLCRTPQKESRHELLRRVAAGGGAFKSANGTKVHIPGANLNDIANQADDLLETMETRPVVPDRKLLARLVLIREEARNMMGGGILDERNDRGFSTLPESEVNFLTKLVALKPGKTVQEMIKYVMLGKDEGADYSDTDEEANAGRMKSSGIAGRGSVTGRKPLPVRPGMFLETVTKVLGGIYNGNVSGITAQHLEWVHQKTLQVLQEIAF >EOX93758 pep chromosome:Theobroma_cacao_20110822:1:15568496:15574213:-1 gene:TCM_002674 transcript:EOX93758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEP-RELATED DEVELOPMENT ARRESTED 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G48470) UniProtKB/Swiss-Prot;Acc:Q1H5E9] MLRSSVFFFFPACSTPNLPSLFFTSSIPSYLPHPHYHLQLKHLKRKKQFLGSVSSSYEVGGGYPHEEFDTVYKTQNQQVQDTQNLDSAQYEALLKGGDQVTSVLQEIITLLEDMNIDEASEEVAVELAAQGVIGKRVDEMESGFMMALDYMIQLAERDQDDKRKSLLEVIKETVLSHLTKKCPPHVQVIGLLCRTPQKESRHELLRRVAAGGGAFKSANGTKVHIPGANLNDIANQADDLLETMETRPVVPDRKLLARLVLIREEARNMMGGGILDERNDRGFSTLPESEVNFLTKLVALKPGKTVQEMIKYVMLGKDEGADYSDTDEEANAGRMKSSGIAGRGSVTGRKPLPVRPGMFLETVTKVSFTYSISQQSCDLMFWTSWHAKVQLPFIACPSLCAHELLKSLFFRMQYEH >EOX93892 pep chromosome:Theobroma_cacao_20110822:1:17240013:17241721:1 gene:TCM_002896 transcript:EOX93892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGDNKPTTIKLFCPSVSKLVPFVAWDGQKLDLGSIARAFGLDPSTVKLNGHFISRGVDLVSSSVTWRSLLSFFSSKGLSTGTDDKSALIVDGKLFKVGTKRAHEPQYGVNGNQDIAGKPGIREVGITTKLQFEDLDSIENKKLRESSSGCHEGASRPVSEWTGLGFKRKSFMGDVSLLKKLKINETSSDFQASGNNRSSTILSTPFKCCYLSGSMKRMREDEVVVAASCKRISYGCHST >EOX96281 pep chromosome:Theobroma_cacao_20110822:1:37211503:37212615:1 gene:TCM_005559 transcript:EOX96281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKNILPSTLPVASSPTVQQANFHSQTATKTTIKTLRGKREMDFGWEKWKPCPAKGCESDARAIQSSPSDGKVLEQKSPSLFGSAAIPCQTKHLPPISLVILLLEWALSDCVNNY >EOX93854 pep chromosome:Theobroma_cacao_20110822:1:16785594:16790668:-1 gene:TCM_002832 transcript:EOX93854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSEFSLASTTAKKKTHLSSLLLSDFMLFCSFILSHPLYFSYFIFFSPYLFKIFSFLSPLFVTTTLLLLAFFTLTPSFVNQAGSCYLELPESKVSFLLTTYQTLVETLRSKTDDESEGFACLEELEAYKIVFETSTTLEIRENPDQVLELESKEDGLQAVEAPVAKGSSRESKSLGVPETLTSIILDEKSAEIARPETNQVMAVVKIFEDFLQEKEGVENLSSKKREKEAKSLSVESNKGEEQKEEAFMRSGSKAILGNKISDPKVRADNGGEHAAKAMVNSKRVIANWSTENDGDNSSSKVTDNNKTMGSSLGNFGSMRKEKEWKRTLACKLFEERHNVDGGEGMDLLWETYETDSNKVQLKSSSKKGKKGGNEYYDDEDDYEEDSDGQLCCLQALKFSAGKMNLGMGRPNLVKISKALKGIGWLHHVSSRHGKKGSFFPPCMGLMSETNNRWSIKLKIAEINPTWPIVTAVQCSATFAHSYCYGKEMISCFLGASFRYGVVE >EOX91663 pep chromosome:Theobroma_cacao_20110822:1:3467995:3469884:1 gene:TCM_000781 transcript:EOX91663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPRYTGEILKHLERQNELLTESHSLISHELHKLQVEEEMLMRKFYELMAAQGLNKKYENSDTKEIEHSTTIVGPICNEDDEAGNSTALVCTTSKARQPLRFVKGNQIYPLDVNLDNFANRIGYLTDDVSLLLRTRILSNARVSEYQPKMAGQSSILNGCCWFSLLTFFLSCGRSGHGSANTAHPQLERRNIQGNMQWAGYGSMNYYAKTEEGIVPKLQDGSPSRVCVGAIVKLLHSNLQLANHGSVAKKSL >EOX96028 pep chromosome:Theobroma_cacao_20110822:1:36367342:36378056:1 gene:TCM_005378 transcript:EOX96028 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 1 MDFACSLKHPAAFHGGEGTSYRILDPLCPRFRCRNFSYNVFDPKIGSKAQSLKKMRKSMAYSGCLSSNLVFRGKFDSHLCRSYSSSSLFYGLPDVLKVRGVKSRCQGNDSLAYVDGNGRNVEFAESSDESSSGTVSNGLGEEERNVSNEVESPSLDDLRELLQKTMKELEVARLNSRMFEEKAQKISEAAIALKDEAANAWNDVNSTLNMIQATVNEECVAKEAVQKATMALSLAEARLQVVVDSFEPLKLGNDSSESSGESDVEIDVRVDNGALLAAQVEIRECQEKLVNCEAELRHLQSIKEELQKEADRLNELAEKAQMDALKAEEDVANIMLLAEQAVAFELEAAQQVNDAEIALQKGEKSLSNLTVETAEAAQGQVLGEEIVVEEEKLSQGGSSDIIVEREGDALINGDTVVGEPTPDILSDKASKSSEDLRQFDDLSDHENGMLGLDSKEAEMEVEKSKNVQPKKLETQKDLTRESSPPNAPKSLLNKSSRFFSASFFSFTVDGTEFTPASVAQGLLKSAREQIPKLVVGVLLFGAGVAFYANRAERSAQLLQQPDVITTSIEEVSSNAKPLIRQIQKFPKRLKKLVAMLPHQEMNEEEASLFDVLWLLLASVIFVPIFQKIPGGSPVLGYLAAGVLIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAVAVGLVAHFVAGQPGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFRAIAEALGLAAVKAAVAITAIIAGGRLLLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVIAGALGLLIGGKTILVALVGRFFGISIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSSQLSSLLFLVVGISMALTPWLAAGGQLIASRFELHDVRSLLPVESETDDLQDHIILCGFGRVGQIIAQLLSERLIPFVALDVRSDRVAMGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPTSEIAATINEFRSRHLAELTELCQTSGSSLGYGFSRVSSKSKTQSSDSSDENQFSEGTLAI >EOX96594 pep chromosome:Theobroma_cacao_20110822:1:38358865:38362027:1 gene:TCM_005817 transcript:EOX96594 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MYRDVSSCNTYNYGDALYWDARYVQEAGGAFDWYQRYSSLRPFVRNYVPTSSRVLMVGCGNALMSEDMVKDGYEDIMNIDISPVAIEMMRRKYEYIPQLKYMQMDVRDMSFFQDESFDSVIDKGTLDSLMCGTDAPISASRMLGEVSRLLKPGGIYMLITYGDPSVRMPHLSWPPYNWNIVLYNLPRPDFKRPGGCSSAKSYLEPIAITEKGLLPADFVLEDPDSHFIYVCKKMDDTELRNIPSYPLTAQIL >EOX96595 pep chromosome:Theobroma_cacao_20110822:1:38359111:38362240:1 gene:TCM_005817 transcript:EOX96595 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 QEAGGAFDWYQRYSSLRPFVRNYVPTSSRVLMVGCGNAHMQMDVRDMSFFQDESFDSVIDKGTLDSLMCGTDAPISASRMLGEVSRLLKPGGIYMLITYGDPSVRMPHLSWPPYNWNIVLYNLPRPDFKRPGGCSSAKSYLEPIAITEKGLLPADFVLEDPDSHFIYVCKKMDDTELRNIPSYPLTAQIL >EOX96596 pep chromosome:Theobroma_cacao_20110822:1:38363319:38367797:1 gene:TCM_005818 transcript:EOX96596 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 15, putative MGRGKIEIKRIENANSRQVTFSKRRAGLLKKAKELAILCDAEVAVIIFSNTGKLFEFASSGSFSKQHVSLCQCVPVGLQWSSIIYIMEVYGRNSSRLYKMEAIIGDDMKKTLSRYDKCAQGTPEIALVEHKAEKQDSKEEDNLKDEIAKLQMKQLQLLGKDLTSLSLKELQVLEQQLNEGLLSVKEKKEQLLMEQLEQSRVQVEELRGFFPSTARPVQSYLECYAVERKNSLMNHSIPSPDVTCNCTVEKGDSDTTLYLGIDQGLVLVVNLVLQTFSSPPTALVKGTILIKEALLHLCCQVTITRERNLKEKLIPMTQRANWGCCDSFLHGVALPFVGIPELRYGGRLSFQVMDIVEQLLKKEKVRDIAKLAFLY >EOX95788 pep chromosome:Theobroma_cacao_20110822:1:35572159:35573758:-1 gene:TCM_005202 transcript:EOX95788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELNNKKLRTLIERAWALHARLNDEIENSISFCRFCSDHGRYCDVGQTPFEERERLIAIRDSLKEVENTLLRLQKLQSWQLVDRHSALTSLEQSRLFLIKQATQYQGRPLDVVRELNACFGNDNRAAFDRNVEELTVKKNGVQSRRRRLSSFLICCIRFLFNPWKWQSAVGIAIKLILISASLSTTIQFYHARHQSCNSQRKIVSTIMYSKEAENIDSLLTISKSPLDVFCGRG >EOX94490 pep chromosome:Theobroma_cacao_20110822:1:29111453:29113073:-1 gene:TCM_004090 transcript:EOX94490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEKGPIFVAMFNSLQTIMVLVLAYFVLGEKLYTGSILGGVLVIIGLYLLLWGKERDVFYIKSQEQYFSHCDEIKVANKEEVALAKKKEP >EOX93165 pep chromosome:Theobroma_cacao_20110822:1:10982303:10985509:1 gene:TCM_002011 transcript:EOX93165 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MDYMDEKVKNDLSSRILMALVLMTFCIILLRESAGFMDPKAKYFSRHELGVTHVLVTGGAGYIGSHAALRLLKDGHRVTIVDNLSRGNMGAIKILQDLFPELGRLQFIHADLGNAKAVNRIFRENAFNAVMHFAAVAYVGESTVEPLRYYHNITSNTLLILEAMARHNVNTLIYSSTCATYGEPEKMPITEETPQFPINPYGKAKKMAEDIIIDFSKTTSMTVMILRYFNVIGSDPEGRLGEAPRAELHEHGWISGACFDAGRGIIPGLKIRGTDYKTPDGTCIRDYIDVSDLVDAHVKALAHAQLGKLGIYNVGTGQGKSVKEFVEACKRAIGKDINVEYLSRRPGDYAEVYSYPSKIRRELNWTAQHTNLQISLQIAWRWQKSHVNGYGPPTIVS >EOX93642 pep chromosome:Theobroma_cacao_20110822:1:14488122:14491716:-1 gene:TCM_002530 transcript:EOX93642 gene_biotype:protein_coding transcript_biotype:protein_coding description:HhH-GPD base excision DNA repair family protein MKNKANNTNKKRHQLNQLIKEEQEHVMGDIEDLFSEEDTNRIRSSLLEWYDKNQRDLPWRRRTTKSGNGKNVKKEEEEDDEKRAYGVWVSEVMLQQTRVQTVIDYYKRWMQKWPTLQHLAQASLEEVNEMWAGLGYYRRARFLLEGAKMIVARGSEFPNTVSTLRKVPGIGDYTAGAIASIAFKEVVPVVDGNVVRVLARLKAISANPKDKTTVKNFWKLAAQLVDPSRPGDFNQSLMELGATLCTPLNPSCSSCPVSSQCCALYNSKNDESVVVTRYPTKVVKAKQRQDFSTVCVVEISGSQGTLHQSQPDSRFLLVKRPDEGLLAGLWEFPSVTLDEEADLAMRRKLIDQLLKKSFKLNPPKNCSIISRVLVGEFVHVFSHIRRKIYVELLVLHLKGGMHDLYKEKDSGTMDWKLLDSDAVSRMGLTSSVQKVYSMVQNFKQNGLSNSSIPSRKRVKCS >EOX93375 pep chromosome:Theobroma_cacao_20110822:1:12508265:12509486:-1 gene:TCM_002225 transcript:EOX93375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRISFQEDYNRYSNITDFYVFRCTLHLLAKLWSFQKPFDRVTSERCNHVLVQFYTPFSCSSTYQHCRAQDRMKKKGKKNQCHGG >EOX91800 pep chromosome:Theobroma_cacao_20110822:1:3920573:3921828:-1 gene:TCM_000873 transcript:EOX91800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METCSFRAPLYLAPMRLTGEIPSSFICTSSELMLENENSEGKESHLLLHISRDGISCCFYAEWIISKSCDPSSFLTHGTYILSSV >EOX91137 pep chromosome:Theobroma_cacao_20110822:1:1709730:1712785:-1 gene:TCM_000418 transcript:EOX91137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter, putative MKDDDTVPTTTATTGTAYVKKESSDSSLFGKGRYKFWALAAILLLAFWSMFTGTVTLRWSAGNLNRLSDDLDSPIHDDLDVLEMEEREKVVKHMWDVYTNSRRIRLPRFWQEAFEAAYEELTSDVPGVREAAITEIAKMSIRSVDLDPPPIQSTNARELSKGLKRSEKGGSKVASIGSGQ >EOX93169 pep chromosome:Theobroma_cacao_20110822:1:11021404:11027655:1 gene:TCM_002018 transcript:EOX93169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSVNMEDFGANEQAMASGNKPAKKGGRQTKLTAAERHENKLESGRKYRRNKAAKNQVLQEKNQELEKENKRLEEENEKLKEEKEMKQSEINTLKEELLENIALADNLGREVSDQSEKVNMVKMVNKALEPGMQQLQQNFLNQQDDFVNLELNNIPMDLEWLNNPENLKGFNENSERLFDETTDSLLNEGTSIAKTKLSPLRETVTVEGFRVLRENSPMIQEIFNNYPNIASGLRVRLQASRDGFMNILAEVYKMATMEREKCNLEDIKHMEDGVDDLEFAGLDVSWLKDLVQQRVGKMLKRRKK >EOX93168 pep chromosome:Theobroma_cacao_20110822:1:11021404:11027783:1 gene:TCM_002018 transcript:EOX93168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSVNMEDFGANEQAMASGNKPAKKGGRQTKLTAAERHENKLESGRKYRRNKAAKNQVLQEKNQELEKENKRLEEENEKLKEEKEMKQSEINTLKEELLENIALADNLGREVSDQSEKVNMVKMVNKALEPGMQQLQQNFLNQQDDFVNLELNNIPMDLEWLNNPENLKGFNENSERLFDETTDSLLNEGTSIAKTKLSPLRETVTVEGFRVLRENSPMIQEIFNNYPNIASGLRVRLQASRDGFMNILAEVYKMATMEREKCNLEDIKHMEDGVDDLEFAGLDVSWLKDLVQQRVGKMLKRRKK >EOX95907 pep chromosome:Theobroma_cacao_20110822:1:35967397:35969817:-1 gene:TCM_005295 transcript:EOX95907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane lipoprotein, putative MRIRKNAKLSSQIYPQGSRPESVHVCQLNQSPWDVIPFAQEPYPSSLHHQFEAEDSFNGNGSLGDSIGAVESVASMMESEEKALMKVEGMVIDDNDDNDEMKIGRQFGFKSQCEEEEEEEKESKQEALLKSCNNNNNHSSDSGNNPSSLTSKKAEKNSQVTGSRRGRARAAKKGSSSASNPYEFYYYSGFGPLWGKRRGGSDRAGEISKDIEAKEVENNSSAITTQNNTTPSSSSQIDNNEEFDYVDDEDDEDDENGDSSKKRMRKPVKARSLKSLM >EOX94070 pep chromosome:Theobroma_cacao_20110822:1:19934475:19936993:1 gene:TCM_003161 transcript:EOX94070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter 3 member 1 MAALPPNPVPLAYQGGTPAVPVWLNKGDNAWQMISATLVGLQSVPGLVILYGSVVKKKWAVNSAFMALYAFAAVVICWVIWAYNMSFGHKLLPFWGKAGPALGQKFLVNQALLPETTQFHHDGTLETPMVTPFYPMASMVWFQCVFAAITVIILAGSVLGRINIKAWMAFVPLWLTFCYTVGAFSLWGGGFLFHWGVIDYSGGYVIHLSSGIAGFTAAFWVGPRSKKDRERFPPNNVLLMLAGAGLLWMGWAGFNGGDPYAANVDSSMAVLNTNICAATSFLIWTWLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSVPWFTMMIVHKRWTLLQQIDDTLGVFHTHAVAGLLGGVLTGLFAEPQLCAMFLPVADSRGGVYGGSGGMQILKQLAGGAFIIGWNLVLTSIICVAINLVIPLRMSEEQLSIGDDAVHGEEAYALWGDGEKYDSTKHGMYSDDTLHSKAFTGATQVV >EOX91808 pep chromosome:Theobroma_cacao_20110822:1:3966966:3969730:1 gene:TCM_000884 transcript:EOX91808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKAVGLVENCVPTSLSNDQESKEGQQEPWCNIPKDLLDSPPPWLCSFRNTGNNMERCKFFHPMHNDAYEIRINPEVSNATILFSGYGWLLLSKGYGQLFLFDLTTKQSIDLPDCSEDMVSVMSFTSSPASPDCLVVGLSSVSDIAASFTYKLGEDSWNVYFIELDRLFLTKDCSPVFHKGLFYCLDRKGCLIEFNPNEPDQSWATYKMRLPERESAAHQTFMLEKEDNLLAVLITEDSKSVHVYKWDDEEKMFQPIKRLGDYMLFVSHGASCSERAIVKATD >EOX91482 pep chromosome:Theobroma_cacao_20110822:1:2839045:2839666:-1 gene:TCM_000658 transcript:EOX91482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTNNTLVTSSSPRFNMYGNDFGWGKLIAVRSGPGNKFDGKITLFPGAEEGSIDIEACRCPETVEAMANDQEFMHTVTV >EOX90852 pep chromosome:Theobroma_cacao_20110822:1:816075:818209:-1 gene:TCM_000207 transcript:EOX90852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavanone 3-hydroxylase MFPGSALMALSTITALAEEKTLQASFVRDEDERPKVAYNKFNNEIPVISLPGIDDVDGKRAEIRKKIVEACENWGRSSATLAELVAYFSYPLKARDYSRWPDKPEGWVEVTKEYSDKLMGLSCKLLELLPEAMDLDKEALTKVSMDMDQKVVVNFYPKCPQPYLTVGLKRHTDPSTITLLLQNQVVTEFICGEQYLSNGRFKNADHQAVVNSDCSILSIATFQNPAPDATVYPLKIRKGEKINSRGPITFAEMYRRKMNKDLELARLKKLAKEQQQLQEIVKTKLEAKPLEKILA >EOX96098 pep chromosome:Theobroma_cacao_20110822:1:36596531:36601887:-1 gene:TCM_005431 transcript:EOX96098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Response regulator 2, putative MNSEMAISVESSINTACAGVTVLLVDGDSTCLTIVSKMLRRLGYEVMTAKRATEAFCIIQERQYEIDLILAEGCLPDMDKYELLETMRKISKLPVVLMSIDYNGKAVLGSLFKGAVLYLVKPIAMDDLKNLWQFAFIKESKNVVAAEEIFGFEEELSLENASDVTVESQPLIGEGGQNYQNEKRERSDDMENNEEDNDDSVALKKPKLIWTNELHNRFLEAIKVLGIDGAHPKKILQHMNVPGLKKENVSSHLQKYRLSLKREQYAIQKTMSRGSAVEHVVSHHLSSPFSPQEGSVKFENWQSMAVADQPDTNGLIQENLNGHMPIPSLCSAYLLKHANSNCNDELMIKFEQQTPCNKQLDSAHSEFNLTGDRVTVNDGLVGFHQIGNSEQFLKGETDLLNIGDSGLESLLHCPKPFDGSLQEKQQKQLKFLLPEPSQLPPHPQEQEEHDVFGAERGREFNEVFTMGKRKVNYPMMRILMISGRSISFMSGYIAWCVFNL >EOX96567 pep chromosome:Theobroma_cacao_20110822:1:38273188:38275452:1 gene:TCM_005794 transcript:EOX96567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toxicos en levadura 4, putative MASMASPPPLVLSVIGGTVTAAENNNTAERSHSSSSSSSSSSSIENVKPSIIIIILILSITVLVSVSLCLLLRHLNRRCLRHLSRSTTSTITSAAATASHRVSPEESQTASLLDSLPVFTFSSIIRRSNNDSTVSGDCAVCLSKFESHDQLRLLPLCCHAFHAHCIDTWLASNQTCPLCRSPLFASESDLMKVLLQSSNSAAAIGSGGSDSFRLEIGSISRRQPGSESGEQRRSYSIGSFDYVVEEESEVTRNQTHRRTVSDKEDVAAPVAASEASLAAEVATGRSWLKEYVDRLSFSLSSRAMSFRSSGRFFTGSSRRSDISGVAVDYDVEANRIGEEISEMFRWFSGV >EOX92028 pep chromosome:Theobroma_cacao_20110822:1:4981244:4982261:-1 gene:TCM_001051 transcript:EOX92028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREPPKANLTLAKEQTSINQGRLGCLNSFEIYIYIYIYIYIIFLCFINLINGQLLFLSSPSQIKVR >EOX94723 pep chromosome:Theobroma_cacao_20110822:1:31037622:31043296:-1 gene:TCM_004334 transcript:EOX94723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSHKLQANGVTLKPKDIIGEMRVQWGLECLYGKAWQAKEYAKRLIFGPPEESFQLLPSYFYILEQENPDTVTVVATDEAQRFKYCFWSYGACIWGGRVLWTSLLEGHGNPIIFLPQYERRRWITHEFIVFFNKCKCEAVELCVDYYKTTILMKGYVGSILPIGHLNEWDIPLHVKQIVVLLPPCRFV >EOX95843 pep chromosome:Theobroma_cacao_20110822:1:35743980:35744846:-1 gene:TCM_005245 transcript:EOX95843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSLQDESLVFWFVFTEHAFLPAFRFIPLLSVSVYLLANNVFNFSGRRNEGPMDGVRKQCTLIQVCLRKSILELDHPNQPPFFGSVYHSGSDFRTFFFTRQKWEYF >EOX96032 pep chromosome:Theobroma_cacao_20110822:1:36387718:36388182:-1 gene:TCM_005382 transcript:EOX96032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALKEASATSPSSSCSPYPTKFGCFLEPSETIEKRLKSCRFYWEYAIIILSEKGGVDINHQIGSN >EOX96079 pep chromosome:Theobroma_cacao_20110822:1:36532156:36538664:-1 gene:TCM_005418 transcript:EOX96079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 87A3 MWGAVLCLVALLVVRISRWVHNWAYPKCNGVLPPGSMGLPFIGETTQFFSPHSLYDIPPFISKRMRRYGAVFRTSLVGQKVVVSTDPEINYEIFQQENKSFLLWYTESFLEIFGQQSLVAQHGMVHKYLRNLILQLVSPENLKGKLLSEMDRATRKHLNSWSSLGSIDVKEGSSKMIFEYFATKLIGYDENMDPNRNVRDSFQAFLDGLISLPLNIPGTAYHACLQGRKKVYKVIKDIFEKRKASKGHHNDFLEYLLNEVEREDTFLTEQIAKDLVFVLLFASHETTSAATTLAVKFIADHHQVLEELTKEHEAIIKSRGNENSELTWQEYKSMTFTHMVINETVRLANIAPGMFRKVVKDVEIKGYTIPAGWLVMVVPAAVHLSPSKYENPLQFNPWRWEGQELHAGSKNFMAFGGGTRLCVGADFAKLQMGIFIHYMVTRYRWTVIKGGDIVRKPGLVFPNGLHIKISERQEWRSRSFELRLKKQTTDATRAFN >EOX94335 pep chromosome:Theobroma_cacao_20110822:1:27908275:27912576:1 gene:TCM_003923 transcript:EOX94335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protodermal factor 2, putative MDCRAFPGPSSIKIEDLGKDIPLEASPHQEENKPSADKGKAIAASSVRLKTYQRRVSEYQKLQCANNYFKAAYQEVMRMAEEAGTWVRMKPGLGSLDDIVNEFQTPALPGKKLESSVATAVIPNVRASEMVTMMMNVNKKWSKSLFPIVNYGEEYTPRRILQHLRNTDTAIKGVVQVYAELQLPTTSVPTRYFDFFRYVKEIMKSIYIVVDISSHYLGDGSANCNSRKRPSGVIIRERGPLDCEIICVENVEVDEPRENMYSSKTSSNFAFCVNHWISTLLWKLRRDRSTFIDVKIDLHHSAGDYLLALTRSMKHFFMECFSEHPNEDLLSVLTNAEDPIRLLHNKTLEEFIGYVGLNSFHIQAKPLSVFQFLMKKDLQLQFRSTSNSDTEEEPEELFKFITDDKSNTISLHRKKVEEEARYCLQEATRDEYCSFILSKLINEDHVNFNIVSGVQSIYQKGDDRVLDTVTSGFAIMPDGPGGLQCDGSLVTFLVQLHYDRTEGPVTLDTVREDFLSDLIEIIRELKEELVGEKEDMVVS >EOX95515 pep chromosome:Theobroma_cacao_20110822:1:34522376:34526189:-1 gene:TCM_004994 transcript:EOX95515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nulp1-type, putative MSARLLNKVLKEREQQKQHVIEEEEQLNGGESESPDSGARSSVNPFDLLNEGDDEDEGNPDQMDAPEVADETLTRKEEPPSLRGTTNVVSRSNNKSKKKKKKKSKEGSSSMDKHETPLDVTLDALSLDGSSSGHQSGSNKPISENAKDCGDLVKQYTTSILQVDPKYLNVENELRRIFGSKVVKSFEKSNQSSTSRQVRGGRRGSHHIRKTVMISPSDHWPRWDGSLSMEFLETKDGYHYFRYAHSSSYDQAQRAFEAAQAIHDLNGVASVLLYHPYHLDSLITMADYFKFVGEHQMSADAIAKCLYALECAWHPMFTPLQGNCQLKFSHDTNKPIFKALFTHMKNMDRRGCHRSALEVCKLLLAFDSDDPMGAMFCLDYFALRAGEYAWLEQFSEDYRSDSSLWLFPNFSYSLAVCRFYLEQEESSHNTCVDGSKAFSADLMNQALMLHPSVLKKLVAKVPLKDQAWTNILKNSFFNSDQIGIPSLDHLINIYVERNYLIWRLPDLQKLLRNGALLVIETLGHNKSDAKDWACVRKEAFSSGKNEYGHLLVQEFSDTVQTLPPDNLQNFMVDMREAAQIGGQVANPPAGGHAPAPRDVANRNPLAVLFESLLPWVNYGDAGDGIVDENQVNGQGQDDEDH >EOX95393 pep chromosome:Theobroma_cacao_20110822:1:34041089:34042770:-1 gene:TCM_004905 transcript:EOX95393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class III peroxidase 70 MSFLCTKFGIIALVSFMFFCSLFSTKASASAASLKVGFYKGSCPSAETIVRKAVNKAVSRNPGIAAGLIRMYFHDCFVRGCDASVLLKSTPGNLAEMDHPANNPSLRGFEVIDEAKAQIEALCPGTVSCADILAFAARDSTYKTGGIYYAIPAGRRDGRVSISDEVTQNLPSPSSNAEQNSQRFARKGMSVDEMVTLSGAHSIGVSHCSSFSNRLYSFNATHAQDPSLDPNYAAFLKTKCPPPPTAGAGRDPTTVALDMVTPNRLDNNYYSELRRRRGLLTSDQTLMDSSLTSRMVLNNKRDGALWAKKFAKAMVHLGSLDVLTGAQGEIRRICCVAN >EOX91666 pep chromosome:Theobroma_cacao_20110822:1:3478068:3484013:1 gene:TCM_000784 transcript:EOX91666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin MRAHHLSIFCILLSLSTSTTKSSSSSQDFITHRRILHQPLFPAGSAPPPGTDNSLSPPPPPPDSPVFPDPSQPFFPEVPSGQTPDQNQQTTPPAAPSNGSIPIPTATQPAKPAKKVAIALSVGIVTLGMLSGLAFFLYRHRAKHPGETQKLVGGNSERFQEDSRVPPSSFLYIGTVEPSRRSASEVNGANVSPYHKLNSVKRSDRYRPSPELQPLPPLAKPPALENSPTAMSSSSSSSDEESQGTAFYTPQGSTISNEESYYTPVSRPVNSNLVTPVRNELNGNTNSVPRSKRTSPKSRLLASSPEMKHVIIPSIKQLQHQPSPPPPPPPPPPLHPQQPQVLVVEPHETQEITAAKRPKFSSPPPPPNMALLRSISNNSPPQRTKAPPPPPPPPPPGPRPPPPAALGLSILRTARSLETNVSPKPAQVLKKQESWTASPKNSPGGGTRKSTEEVNHKGASSSEKTDKDDMDSAKPKLKPLHWDKVRATSERATVWDQLKSSSFQLNEDMMETLFGCNSTNSAPKEPIRRSVLPPVEQENRVLDPKKSQNIAILLRALNVTRDEVSEALLDGNPESLGAELLETLVKMAPTKEEEIKLREYGGDISKLGSAERFLKAVLDIPFAFRRVEAMLYRANFDTEVKYLRKSFQTLEEASEELKNSRLFLKLLEAVLRTGNRMNVGTNRGDAKAFKLETLLKLVDIKGTDGKTTLLHFVVQEIIRSEGAGTNSTDENVENKMSSSFKEDDFRKQGLQVVAGLSRDLSNVKKAAGMDSDVLSSYVSKLEMGLEKVRLVLQYERPDMQGNFFNSMKMFLRDAEKEIAKIKADEIKALLLVKEVTEYFHGNAAKEEAHPFRIFMIVRDFLSILDHVCKEVGRMQDRTMVGSARSFRISATASLPVLSRYNVRQDGSSDDESLSP >EOX94299 pep chromosome:Theobroma_cacao_20110822:1:27654947:27658011:-1 gene:TCM_003891 transcript:EOX94299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHKGKITTEQCWQSGICSNIIQKLWKNKWLLEYLTGKPYGKPICPEWPLYHVLESFPNPYMDKQFLGARVFHLFNFTIHFCHT >EOX93628 pep chromosome:Theobroma_cacao_20110822:1:14434373:14438285:1 gene:TCM_002516 transcript:EOX93628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSEVWKHFTKFINNQGESKARCNYCGRELSVNTKYNGTNALKNHMNSCEKFSSALDYIQIELAFQSDDGVALLNELKIYKIAISREEDKSKLEKYLSLNEPDVTDNDDFNVLILWKFNNHRYLTLALQAHDILVIPPSIIASESVFSTGGWVRAAYRSSLSPKMVQALICAQD >EOX94596 pep chromosome:Theobroma_cacao_20110822:1:30392812:30395246:-1 gene:TCM_004227 transcript:EOX94596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein MNMMGSVAKKSALIICGDYMEDFEVMVPFHVLQAFGVRVDCVSPTKLPGDKCITAIHDFLGFELYTELPGHSFTLNSNFDEVEAGSYDALIIPGGRFIERLSVDDEVLSIVRRFAEAGKPIATSCHSQLLLAAAGLLKGKKCTAFASMKSVIELAGGVWWEQPGITSVFDITACLKDGNILSSIGWPAHAEYLKVLFKSIGAKIHTAWSNSVLFICGDYVEDYEINVPFRALQALGCKVDAVTPSKKRGETCVTAIHDDEGAQVFSEKRGHNFFITANWDDISVHRYDCIVVPGGRSPELLVMNEKVVNLVKEFAEKDKVIAGIGQGQWLLAAAGIVKGKRCATNNGMKVMVKMAGGDLEESKGCVSDGKLVTAAGWPDLPAFISELSKLLGLSLSFE >EOX92280 pep chromosome:Theobroma_cacao_20110822:1:6056048:6057063:1 gene:TCM_001250 transcript:EOX92280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTILSDLHFYITLLQHCTPVSLGMAWTFCCTYILRQACGNCFSVSFFPLSIALCVVSLVGRRSGVGVWL >EOX94567 pep chromosome:Theobroma_cacao_20110822:1:30227620:30233456:-1 gene:TCM_004202 transcript:EOX94567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2-2 isoform 2 MAREVYLVPVETQVASLKKKTAVTTSWISIDAKGQGVILDVDKYAVMRRVQIHARDLRILDPMLSYPSTILGREKVIVLNLEHIKAIITAEEVLLRDPFDDNVIPIVAELKRRLPQDNLTCQGQGEEEEHLGLRNDMDIGEENEFPFEFRALEVALEAICSFLDARTRELETDTYPALDELTSKISSRNLDRVRKLKSAMTRLTNRVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSGSGAPNWYLASPTIGSKISRTSRASAVTVQEDNDVEELEMLLEAYFMQIESTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELLLSSGTVCLSIYSLVAAIFGMNIPYTWKEGHGYMFKWVVMFAGILCASTFTSIISYARHKGLVGS >EOX94568 pep chromosome:Theobroma_cacao_20110822:1:30228738:30233739:-1 gene:TCM_004202 transcript:EOX94568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2-2 isoform 2 MAREVYLVPVETQVASLKKKTAVTTSWISIDAKGQGVILDVDKYAVMRRVQIHARDLRILDPMLSYPSTILGREKVIVLNLEHIKAIITAEEVLLRDPFDDNVIPIVAELKRRLPQDNLTCQGQGEEEEHLGLRNDMDIGEENEFPFEFRALEVALEAICSFLDARTRELETDTYPALDELTSKISSRNLDRVRKLKSAMTRLTNRVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSGSGAPNWYLASPTIGSKISRTSRASAVTVQEDNDVEELEMLLEAYFMQIESTLNKLTTLP >EOX95404 pep chromosome:Theobroma_cacao_20110822:1:34057177:34058846:-1 gene:TCM_004910 transcript:EOX95404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein MGKIPSFKDEFTFEQRLEESRDITAKYPNRVPVVVERYSKADLPEMDKKKYLVPRDMSVGQFIHILSLRLRLTPGKALFVFVKDTLPQTATLMDSVYESFKEDDGFLYMCYSSEKTFGCASNQIFEL >EOX95956 pep chromosome:Theobroma_cacao_20110822:1:36145720:36147410:-1 gene:TCM_005326 transcript:EOX95956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITTMINGKDAELIEDDEDRLGRTLGMFVAWHGAPHSYKPPGCVAHLTRLAAHGYALYVRSPLSLGCAVCGPCIRLPIGLAHGSCKLLMSRPISARLMCSQPLPARL >EOX90645 pep chromosome:Theobroma_cacao_20110822:1:230231:231698:1 gene:TCM_000058 transcript:EOX90645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEFEFPLLVGITFWNSFQVIIFFCAATLWVGPECLGLGAEGEESIYRRGKEFTLKNQEQGNRVSCTLFSTTMSNVSLRCT >EOX95365 pep chromosome:Theobroma_cacao_20110822:1:33908609:33910144:-1 gene:TCM_004878 transcript:EOX95365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 2, putative MDVYDRGLIFETPQSEEEMDVRKGPWTEEEDSMLKAYVNIHGEGRWNSAARLSGLKRTGKSCRLRWLNYLRPEVRRGNISLEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQAKQLKCEVNSKQFRDAMRYVWIPRLIERIRATSESPSGQPSSSSTTTYMNSSSNITTSQITYANTTGSVQVDPSLLPEVSGTSSDSLDTQVSSVSDLTDCYNPQSASNNPNNLQKGSGLYPENLAGTWRRDGGIDFQATEEQSNGWLGGGDSMESVWNEENIWFLQQQLHDEV >EOX94922 pep chromosome:Theobroma_cacao_20110822:1:32027683:32032561:1 gene:TCM_004521 transcript:EOX94922 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MYSNQVIDLVQVKTHHFDKMGNPVCTAPSSVALKNVSITRAPLVQSFFNRELSTETGRVNLTAKCQLSVGLCHWIPVRQFKLEGKVAIITGAASGIGKAAAAKFISNGAKVVIADVQHQLGEDTAKELGPNATFVSCDVTKESDISNAIDFTISTHKQLDIMYNNAGVPCYTPPSIVDLDLALFDRVIGINVRGVLAGIKHASRVMIPRRTGCILCTASVTGMIGGLAQHTYSVSKSAVIGMVKSMAAELCQHGIRINCISPFAVPTPFTLEEMSRIYPHLDADKLVKMIHNSGVLGKATLEAGDVADAAVYLASDDAKYVSGHNLVVDGGFTSFKTLEFPAPDQLQ >EOX94923 pep chromosome:Theobroma_cacao_20110822:1:32029969:32032576:1 gene:TCM_004521 transcript:EOX94923 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MFRIGLSKNVSITRAPLVQSFFNRELSTETGSKLEGKVAIITGAASGIGKAAAAKFISNGAKVVIADVQHQLGEDTAKELGPNATFVSCDVTKESDISNAIDFTISTHKQLDIMYNNAGVPCYTPPSIVDLDLALFDRVIGINVRGVLAGIKHASRVMIPRRTGCILCTASVTGMIGGLAQHTYSVSKSAVIGMVKSMAAELCQHGIRINCISPFAVPTPFTLEEMSRIYPHLDADKLVKMIHNSGVLGKATLEAGDVADAAVYLASDDAKYVSGHNLVVDGGFTSFKTLEFPAPDQLQ >EOX96177 pep chromosome:Theobroma_cacao_20110822:1:36880217:36881986:-1 gene:TCM_005486 transcript:EOX96177 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family, putative MFLKTSEHCVLIILGSLRGGKVIKDQEEDSCNAGVGFGLPLQEEPIPQTPGNGKSWKQLLSLIAICISLAILAILAIKYIGPFALRKQVVVPVIKWEAVMFNSFELVIIIFASLALFPILCLPSTPSMWVAGMTFGYGNGFLLVMAGVSVGVSLTYFLGSIFHRKIHRLLERHPKHASILRLAGEGNWFHQFQAVTLIRISPLPYIIFNYAVVATNVNYSPYLLGTLVGMVPEVFIALYSGILIRSIAEATQDKRTLSTRQIIFNIVGFCASLMATVFIGIYTKRRLDQQHEEELISD >EOX93117 pep chromosome:Theobroma_cacao_20110822:1:10601298:10603804:-1 gene:TCM_001961 transcript:EOX93117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVEEYTSEFNNLSIRVGLAESNEQITSRYLAGLNHSIRDEMGVVRLYNIEDARQYALSAEKWVLRYGARKPLYGTHWQNNSEARLWQWWLIVIIGQKCAEMWRVIPQAEFAYNNSVNRSIKKTPFEAAYGLKPQHVLDLVPLPQEARVSNEGELFADHIRKIHEEVKAALKANNAEYSFTANQHRRKQEFEEGDQVLVHLRQESFLRLPPELQISPIFNILDLYPFDGCDGTASTIDAQIQHLPIAKVEVIEDVLDVKEVRSRRGNPYRRFLVKWLGKPANESTWIAEEELKRVDPDIYEEYVKAYSSESSLF >EOX95564 pep chromosome:Theobroma_cacao_20110822:1:34662757:34663803:1 gene:TCM_005022 transcript:EOX95564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKRMVLHQRGMGYRYVAVLRQMWSPVSCGSEARRGVDVKCDVCGSCGAAMVLGIKSFRLLQKLIKVGVEATGFEKIADLR >EOX93819 pep chromosome:Theobroma_cacao_20110822:1:16126681:16131157:1 gene:TCM_046689 transcript:EOX93819 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative MGGETMTPPNFTSEDEPSVDQDFPLPSLFSQNPTLVRDDLGMKVDHGKKEVDRLLCEEFGQLAPQFSSYSLEFEADDKRRHNVYKEVLHSYCQLQVRSMSLNEVKSKVLSYVPGAWIENVGGMKSSDYDVPKTTALLLIGPKGCGKSSLVNKISRVFEDDKFAPARAQVSFNLSVGDGTCYLQEYTIPRGSASFCLYDSRSLCNDTSDNINMIKCWMTKGVRHEELVVRKSHQSSLRRRMKCKTRERSWKSCETRTVNFVIFVVDGITVLKSMEGDGPDETQYMEMITRAFMCPYLSFKDDKPAVVITHGDLLSLADRARVRVHLGELLGIPPAKQIFDIPESDDPATALTIVDMLRYSLEHADRNLPRKNWVYKVFLSACTYLLAMLGIAIVAAYVKHLKMRHAHKSEFHIDWRAIRHMWLED >EOX92281 pep chromosome:Theobroma_cacao_20110822:1:6065666:6066942:-1 gene:TCM_001251 transcript:EOX92281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVAVELEDDLFFADLSKQISLLIMDDDEDPVARCPSVSFQAFSGANYPIAQHSYLHDQICRRESKGTGVFIPRSSQPRRKHSCQSRYYLAKPFAYPTMQPSTKDKHVLAQT >EOX95230 pep chromosome:Theobroma_cacao_20110822:1:33439842:33441304:1 gene:TCM_004781 transcript:EOX95230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTHIKHMGEILRNRQAMNKTFSSCLGLQRKKGSSTDKIGVPTEPGLPGSPEAQTFSHNRALQQRLRVDFHRYPVVALLRG >EOX90603 pep chromosome:Theobroma_cacao_20110822:1:123546:126400:1 gene:TCM_000029 transcript:EOX90603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant intracellular ras group-related LRR 4 MVESCVVHSTDEAVEEIMRIHRSLPPRPGIDEVEAARALIRNVEKEDQARLDGIGRQSKSPDVPEELFVLLLEMQKNLVYFQSKEQKREAMKLLDLESIHALFDEFIQRASNCLSSSSFKSHDKPAHSNGLLQSSAPPPSNNSFAAATSSSTSTKTREPSSELVLFTRDDSYVKKAKSSFYANATDGFGISISSTPHILDSTLKVGGTTAAGQDGDKLSLIKLASLIEVSSKKGTRDLNLQAKLMDQIDWLPDSIGKLSSLITLDLSDNHIVALPDTIGGLSSLKRLDLHSNRIAQLPDSIGDLLSLVFLDLSANQLSSLPATFGRLVRLEELDLSSNHLPSLSDSIGSLISLKKLNLETNDIEEIPHTIGHCSSLKELRADYNRLKALPEAVGKIETLEVLSVRYNNIKQLPTTMSSLANLKELDVSFNELETVPESLCFATTLVKMNIGNNFADLQSLPRSIGNLEMLEELDISNNQIRVLPDSFRMLTRLQVLRVDQNPLEVPPRHIAEQGAQAVVQYIADLVEKRDVKSQPMKQKKSWAQICFFSRSNKRKRNGMDYVKA >EOX96267 pep chromosome:Theobroma_cacao_20110822:1:37169226:37170493:1 gene:TCM_005546 transcript:EOX96267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKLYHFLSHYLANTRLSSNGRGTSQSLTISIKMTLITYLAKETHWKMKVAELSLLQDNQERVHWQIANSGAKRIFHIAAKQHRSLGGIWKRRSRFKGRGDILILVGESFK >EOX92914 pep chromosome:Theobroma_cacao_20110822:1:9401811:9404427:-1 gene:TCM_001776 transcript:EOX92914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNDADTKPVLLCSCNDNTFHLYDLPSFTERGRLFSKQEVRVIDRGPFPLFLHRGWKWVVDCLEVVAEAWRRGPWNLDKPCIYVNILLRNLLHFSCIEKMDEGMQKPVEDIPIFAQNSFAESS >EOX93813 pep chromosome:Theobroma_cacao_20110822:1:16075184:16076327:1 gene:TCM_002750 transcript:EOX93813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPRRQNRPKRQEEDKALITVPSLSKAYCESNHLCLLLVSKENKVSSSLSNDGQTKLINQSSGNLSRSFVDNHAVNKTTVKYDFPIPRLDDMFIGSKVVLKKGDQQIRIRLGDEWKTTFKTMDELIKWLVWTMTTYGSRHQHGICPGLLVRAEFF >EOX93918 pep chromosome:Theobroma_cacao_20110822:1:17417011:17422268:1 gene:TCM_002919 transcript:EOX93918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MASLSSHPHPFPQAVNRRPAQNHKPIRFSHISASKPEASASHKNAEEETGSLTVTERRRKNRGLVNAEELKQREVRERRDEVNRKIASRKAISVVLRREATKALIEKKRGPNNSKKLLPRTVLEALHDRITALRWESALKVFELLREQLWYRPNSAIYVKLIVMLGKCKQPEKAHDLFQAMIDEGCIVNHEAYTALLSAYGRSALFDKAFSLLEEMKDTPNCHPDVQTYSILIKSCLQVLAFDKVQALLSDMASQGIRPNTVTYNTLIDAYGKAKMFQEMEMTLVEMLREKDCGPDVWTMNSTIRAFGSSGQIETMEKCYEKFQRAGIQPNIKTFNILLDSYGKTGNYDKMSAVMEYMQKYHYSWTIVTYNVVIDAFGRAGDLKQMEYLFRLMRSERIKPSCVTLCSLVRAYGQAGKAEKIAGVLRFIENSDVTLDTVFFNCLVDAYGRMGCFSEMKGVLEMMKQKGYKPDKVTYRTMIKAYSISRMTSHVKELRDLLESASGTPLGMPKPDFRE >EOX95718 pep chromosome:Theobroma_cacao_20110822:1:35357600:35360353:-1 gene:TCM_005157 transcript:EOX95718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative MEDHRINGDNNNNNPRQLQLFVKLLNGETLSLQFPTPQVQVGSVKHRIHQITKIPINFQRLIRGHQLKDDAVISHPNATLNLSLRLLGGKGGFGSLLRGAATKAGQKKTSNFEACRDMSGRRLRHVNAEKRLEEWKAEEENRKLEKIAEEFMKKKAKTGKKGVGDGEAEKYVAKYREESARCVAVVEESVRAACRNQKRKAVLGGTDPKRLKIWMGKRKLNESDSDDSSEDEDDEENEKSVVLNNGNHSDSSKGTEGSSDSVAGGRHDGDFSGGVSSESGSEEEKEIVLQRTSESGGQDAPNVVNSMVEVEPEVYEEKTAQCANAACMEADVIAGSDAVQPEMEEHNGTKTEDQKEIVSQRLSVPVSVNGGVESKLIDEVNCLSNAKSEVHEETVVSGTNVAEPEKPLNFDDFNSPEEMQVLGLERLKSELQARGLKCGGTLQERAARLFLLKSIPLDKLPKKLLAKK >EOX95517 pep chromosome:Theobroma_cacao_20110822:1:34533093:34534186:-1 gene:TCM_004996 transcript:EOX95517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLESPHMDSTAYKNSKPLVPNPKNSPAQLQPVQNPRNPRSQKVKQLIVRLPSLGWRGPHRYPLLLLLLLLLLLLLLTLYELKEQQRVHRQRYCFLLGLRGQ >EOX94334 pep chromosome:Theobroma_cacao_20110822:1:27866945:27869058:-1 gene:TCM_003920 transcript:EOX94334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata-located protein 1 isoform 1 MGLPRKSLCILSVSWFSVTIFLGSFSFVATGADYTTVVFKGCADQKFQDPSGVYLQNLKNLMSTLVSQSSQKTFSTTTSGQDPNAIMGLYQCRGDLTTSQCYSCVSKLPEISDKLCGKAVAARVQLSGCYLRYEIVGFKQVPETEFLYKVCGSAQASGTDFEGRRETAFNMTEDGVKSGKRLFYTGDYQSVYVLGQCEGDLANSDCGDCVKSAFETAKDDCGDSISAQVYLHKCYISYSYYPNGVPTVSSSSGTRQHTQKTVAIAVGGVAALGFVVVCLMFLKAVLKKRSASKHEGY >EOX94333 pep chromosome:Theobroma_cacao_20110822:1:27866867:27868901:-1 gene:TCM_003920 transcript:EOX94333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata-located protein 1 isoform 1 MGLPRKSLCILSVSWFSVTIFLGSFSFVATGADYTTVVFKGCADQKFQDPSGVYLQNLKNLMSTLVSQSSQKTFSTTTSGQDPNAIMGLYQCRGDLTTSQCYSCVSKLPEISDKLCGKAVAARVQLSGCYLRYEIVGFKQVPETEFLYKVCGSAQASGTDFEGRRETAFNMTEDGVKSGKRLFYTGDYQSVYVLGQCEGDLANSDCGDCVKSAFETAKDDCGDSISAQVYLHKCYISYSYYPNGVPTVSSSSGTGTRQHTQKTVAIAVGGVAALGFVVVCLMFLKAVLKKRSASKHEGY >EOX94707 pep chromosome:Theobroma_cacao_20110822:1:30978741:30979910:1 gene:TCM_004321 transcript:EOX94707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein MALKNVKLGLALVLVGMLCYKATAQSGCTSVLMGLAPCLNYIAGNSTTPASSCCSQLSSVVQSQPQCLCSALSGGGSSLGITINQTRALSLPGACNVQTPPVSRCNAANGPAAPPVSSTASPPADSSDETPDTPATSSMPSIPSGTGSKTVPTKENSISNGNIMKMPLTLTLFTLFIASYISLITLC >EOX90837 pep chromosome:Theobroma_cacao_20110822:1:749172:750102:1 gene:TCM_000194 transcript:EOX90837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGPTIKMCNSEPRIKYVAPSCPLTGEVRSPCIKADSLPASSSLSSFLVISPIIGNLSNTTNQCLFAFGRQRHQESKPRWEEETGISEVGHGRH >EOX95908 pep chromosome:Theobroma_cacao_20110822:1:35977097:35979811:-1 gene:TCM_005296 transcript:EOX95908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 71 MTVIDLITRVDAICKKYEKYDIDKHKEANVTGDDAFARLYGVVESEIDAALQKSEAAATEKSRATAVAMNAEIRRTKARLLEELPKLQRLALKKVKGLSREELEARNDLVYSLKDRIEAIPDGSTAAAKQSGGVSGGWAASSSYTGIKIDSSSEEAFESEYFQQTEESDRFRQEYEMRRMKQDQGLEVIAEGLDTLKNMAHDMNEEIDRQMPLMDEIDEKVDRAASDLKSTNVRLKDTVNQLRSSRNFCIDIILLCIILGIAAYLYNVLK >EOX92526 pep chromosome:Theobroma_cacao_20110822:1:7306125:7307106:-1 gene:TCM_001467 transcript:EOX92526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVHIFLIFFSRLATLALPCDTTTLSCQCHVSIATSSFNVTRQCHIILLVPHGTTMSATCYNNVDIITFNFKIQRR >EOX94023 pep chromosome:Theobroma_cacao_20110822:1:18919838:18921466:1 gene:TCM_003075 transcript:EOX94023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKCFIILVLTLAVIHSSTAARNVPGGTGLDDEKNFVAFGGVGGIAGAGVGIGGGDGVLGGIGSGIGGVGGIDGLGGSTGLGGLGGLGGATGGLGGLGSGIGGLGGDVGGLGTGIGHGVGGGSGSGDCGDGGAGSLLHP >EOX93099 pep chromosome:Theobroma_cacao_20110822:1:10422354:10428228:-1 gene:TCM_001942 transcript:EOX93099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 DQQHQLVKSLHSDELRMTKNSRRPGTGVRSYNKSDLPRLRWTPELHHQFVQAVDCLGGKYKATPKRILQMMSVKGLRISHIKSHLQMYRRSKLETSVFRLYL >EOX93098 pep chromosome:Theobroma_cacao_20110822:1:10427333:10428055:-1 gene:TCM_001942 transcript:EOX93098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MTKNSRRPGTGVRSYNKSDLPRLRWTPELHHQFVQAVDCLGGKYKATPKRILQMMSVKGLRISHIKSHLQRQEPFLSPFLSIFHFPKLYIRQGPSQLA >EOX94270 pep chromosome:Theobroma_cacao_20110822:1:27240246:27242474:-1 gene:TCM_003850 transcript:EOX94270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter 1 member 4 MYVYISFQATTKTCGTTNHIGIFLCLFLPMASLECSADNLRPLLGSVANASAAAEYICSRFDAVSNKFIDTGYAVDNTYLLFSTYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFALAFGAPSNGFIGQHFFGLSRFPTPSFDYGYFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGLVYPIVSHWFWSADGWGSPTRSNNLLFGSGVIDFAGSGVVHLVGAIAGLWGAIIEGPRIGRFDHSGNAVALRGHSGTLVVLGTFLLWFGWYGFNPGSFVNILKAYGESGSSYGQWSAVGRTAVTTSLAGCAAALTTLFGKRLLVGHWNVTDVCNGLLGGFAAITGGCSVVDPWAAVLCGFIAAWVLIGFNKLAEKFHYDDPLEAAQLHGGCGAWGIIFTALFAKEKYVNEVYPGQPGRPYGLFMGGGTRLLAAHLVQILVIVAWVTVTMGTLFFTLQKLNLLRISSEEEMAGMDMTSHGGQAYEYNDHENNANKPPPAF >EOX94628 pep chromosome:Theobroma_cacao_20110822:1:30586113:30606378:-1 gene:TCM_004263 transcript:EOX94628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beige/BEACH domain,WD domain, G-beta repeat protein MFQGSKGKTMKWVSLLKDIKEKVGLAQSPTATTVSSSSPSSSSSSNRDANASSTRHDFASSPSRDKHELELDFKRFWEEFRSSNSEKEKEAALNLTVDAFCRLVKQHANVAQLVTLLVETHIFSFVVGRAFVTDIEKLKISSKTRSLDVLKVLQFFSEVTKDGFSPGSNLLTAVEVLVSGPIDKQSLLDSGIFCCLIHILNAFLSPDEANQRPKITDSEESILAEKDSVADVRQARRLEVEGIVVHIMKALANHPSAAQSLIEDDSLMLLFQMVANGSLTVFSKYKEGLVSLHIIQLHRHAMQILGLLLVNDNGSTAKYIHKHHLMKVLLMAVKDFNPDCGDPAYTVGIVDLLLECVELSYRPEAGGVRLREDIHNAHGYHFLVQFALVLSSMPQNQGIESIYMRPRTDKDSGSGSAHTFDNEGEKDLVGKEDPSSEHLSPTLSRLLDVLVNLAQTGPAEGKKSKYSHTKASGHSRSRTSSTDRLGDEIWEQGNNKVKDLEAVQMLQDIFLKADSRDLQAEVLNRMFKIFSSHLENYNLCQQLRTVPLLILNMAGFPSSLQEIILKILEYAVTVVNCVPEQELLSLCCLLQQPITSELKVTILSFFVKLLSFDQQYKKVLREVGVLEVLLDDLKQHKFLLGPDQHDGNVNQLERKSSSSSFKKRLDSKDVIITSPKLMESGSGEFPIFEVEGTVAVAWDCMVSLIKKAEANQASFRSANGVTTVLPFLVSNIHRPGVLRLLSCLITEDTMQGHPEELGALVEVLKSGMVTSVSGHQYKLQSDAKCDTMGALWRILGVNNAAQRVFGEATGFSLLLTTLHSFQGDEAHSEESSLLVYIKVFTYLLRLMTAGVCGNAINRTKLHAILLSQTFYDLLSESGLLCVDYEKQVIQLLLELALEIVLPPFMAPESATSADLAENESTSFLLTTPSGLVNPDKERIYNAGAVRVLIRSLLLFTPKVQLEVLNLIGKLARSGPFNQENLSSVGCVELLLETIHPFLSGSSPLLSYTLKIVEVLGAYRLSASELRALVRYILQMRLMKSGHTIVDMMERLILMEDMALENVSLAPFVEMDMSKIGHASVQVSLGERSWPPAAGYSFVCWFQFHNFLRTQAKEIEPVKAGHSKRKSGSNGHHDRHILRIFSVGAVNNENTFYAELFLQEDGVLTLATSNSCSLSFSGLELKEGRWHHLAVVHSKPNALAGLFQASVAYVYLDGKLRHTGKLGYSPSPIGKPLQVTIGTPVTCARVSDLTWRLRSCYLFEEVLTPGCICFMYILGRGYRGLFQDADLLRFVPNQACGGGSMAILDSLEADLSVPPGTQKLDSAIKLGDSKADGSGIVWDLDRLGNLSFQLSGKKLIFAFDGTCVEAVRASGTSFMLNLVDPLSAAASPIGGIPRFGRLHGDIYICRQCVIGDTIRPVGGMSVILALVEAAETRDMLHMALSFLACALHHNPQNVRDMQTYRGYHLLALFLRRRMSLFDMQCLEMFFQIAACEASFSEPNKLEHIQTLISPTTTIRETSFDDLSLSKFRDETSSVGSHVDMDDFSAPKDSFSHISELENADMPVETSNCIVLSNADMVEHVLLDWTLWVTAPVSIQIALLNFLEHLVSMHWYRNHNLTVLRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLASELENVVRFVIMTFDPPELKPQHQIMRESMGKHVIVRNMLLEMLIDLQVTIKSEEMLEQWHKIVSSKLITYFLDEAVHPTSMRWIMTLLGVCLASSPTFALKFRTSGGYQGLMRVLPSFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMPSDGGHVELKFVELLESIIAMAKSTFDRLSMQSILARQTGNLSQLVAELVEENADMAGELQGEALMHKTYAARLMGGEASAPSAATSVLRFMVDLAKMCPPFSAVCRRAEFLESCVDLYFSCVRAAHSVKMARELSAKTEEKNLNDCDDASSQNTFSSLPVEHEQSARTSISAGSFPQAQVSSSSEETPVSSNFLAEDKEEIKPTTSQELNKSLQEDVQGIQSIDGDSVDQVSATSSSNEFSFQSIKDNLTIQPPDSQSSASLAIPDSPILSEKSNSKIPLTPSSSPVIALTSWLSANHSESRNPIIASPSMESSMSASDFDQTSDLKSGSQGPTATNMTFSVTPKLLMEMDDSGYGGGPCSAGATAMLDFVAEVLADFLTEQIKAAQVVESILEMVPLYVESESVLVFQGLYLSRLMNFVERRLLRDDEEDEKKLDKTKWSSNLDALCWMIVDRVYMGAFPQAAGVLKTLEFLLSMLQLANKDGRIEEAAPTGKGLLSITRGSRQLDAYVHSILKNTNRMILYCFLPSFLITIGEDDLLSSLGLLMESKKRSPTNSQEDPGIDICTVLQLLVAHRRIIFCPSNLDTDLNCCLCVNLISLLRDQRRNVQNLAIDVVKYLLVHRRASLEDLLVSKPNQGQHLDVLHGGFDKLLTGSLSAFFDWLQSSDQMVNKVLEQCAAIMWVQYIAGSAKFPGVRIKGMEGRRKREMGRRSRDTSKFDLKHWEQVNERRYALEVVRDTMSTELRVVRQDKYGWVLHAESEWQTHLQQLVHERGIFPIRKSSVPEDPEWQLCPIEGPYRMRKKLERCKLRIDSIQNVLDGQLELGETELSKVKHEDGLDVSDSDSEAIFNLLSDSVKQNGVDSELYDESLYKELGDVKDVTSVKNGWNDDRASSVNEASLHSALEFGGKSSAVSVPISESIPGKSEPGSPKQSSSVKIDEVKVTEDKLDKELHDNGEYLIRPYLEPLEKIRFRFNCERVVGLDKHDGIFLIGELCLYVIENFYIDDSGRICEKECEDELSVIDQALGVKKDVTGSLDFQSKSTSSWATTPKTLVGGRAWAYNGGAWGKERVVSSGNLPHPWRMWKLDSVHEILKRDYQLRPVAVELFSMDGCNDLLVFHKRERDEVFKNLVAMNLPRNSMLDTTISGSTKQESNEGGRLFKIMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESENLDLSDPNTFRKLDKPMGCQTPEGEEEFKKRYESWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSAENQKLQGGQFDHADRLFNSIRDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFNLDLGEKQSGEKVGDVVLPPWAKGSSRKFIQKHREALESDFVSENLHHWIDLIFGYKQRGKAAEEAVNVFYHYTYEGSVDIDSVTDPSMKASILAQINHFGQTPKQLFLKPHVKRRSDRKLPPHPLKHSALLVPHEIRKSSSSITQIVTFHEKILVAGANTLLKPRTYAKCVAWGFPDRSLRFMSYDQDRLLSTHENLHGGNQIQCAGVSHDGHILVTGADDGLVSVWRISMDGPRASRRLLLEKVLCAHTAKITCLHVSQPYMLIVSGSDDCTVIIWDLSSLGFVRHLPEFPAPVSAVYVNDLTGEIVTAAGILLAVWSINGDCLAVINTSQLPSDSILSVTSCTFSDWLGANWYVTGHQSGAVKVWHMVHCTDEESTISKSTSSGTGGLDLGKSPEYRLVLHKVLKFHKHPVTALHLTSDLKQLLSGDSGGHLISWTLPDESLRASLNQG >EOX96224 pep chromosome:Theobroma_cacao_20110822:1:37050611:37053110:1 gene:TCM_005520 transcript:EOX96224 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein isoform 1 MHRSGATMAWNVFKFCTALRGLGSIMILLVLGVVGVTYYSVVLNNYGPALYDGGLDSITAVVVLILFHCLLVMLLWSYFSVVLTDPGSVPPNWRPAMDEERGEVDPLNGSEFNGLQSDPSNQRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETSLVTLALLPHFIAFFSDEEIPGTPGILATTFLAFVLNLAFALSVLGFLIMHISLVAANTTTIERHMRRKLHQNGVMTLVGRKILSRCLEQTSDTGSFQLTQMRIYDGCQHFRVLNIHQSLILIPRSSKNLLHARKFGKFSCNYRYGILMHSSLVF >EOX96223 pep chromosome:Theobroma_cacao_20110822:1:37050231:37053507:1 gene:TCM_005520 transcript:EOX96223 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein isoform 1 MHRSGATMAWNVFKFCTALRGLGSIMILLVLGVVGVTYYSVVLNNYGPALYDGGLDSITAVVVLILFHCLLVMLLWSYFSVVLTDPGSVPPNWRPAMDEERGEVDPLNGSEFNGLQSDPSNQRIRYCRKCNQLKPPRCHHCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETSLVTLALLPHFIAFFSDEEIPGTPGILATTFLAFVLNLAFALSVLGFLIMHISLVAANTTTIEAYEKKTTPKWRYDLGRKKNFEQVFGTDKRYWFIPAYSDEDLRRMPALQGLEYPSKPDFDSQEYQTVQPLSASNCSLPPASSSGNNSGERERERVSRKAADGCENGGWLMGTI >EOX92540 pep chromosome:Theobroma_cacao_20110822:1:7339358:7351006:1 gene:TCM_001475 transcript:EOX92540 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEUSS transcriptional co-regulator isoform 2 MVPSGPPTPIGGAQSVSPALMRSNSAILGSQGGSMPQQATFSSLVSPRAQYNMNLLGSTANISSLLNQTFGNGGLNSGLSGVSGFQRGGFDAAADSDPLTAAANEIGFNIPPSFTPSNVANSGSSGQLQNQQISNSSGNPALLDQQQSQVQQFEPQKFQHNQQPMQQFPLSHSQPQHQQQQFQSIRGGLGGPGAVKLEPQTMNDQVGPQQQLQSFRNHGPVKLESQQNQIGRGIGPVKLERQQSEQAMFLQQQQQQQQQQQQFLQLSRQSSQAAIAQMNLLQQQRFLQMQQQQQLLKSLPQQRPQLQTQFQPQNLPIRSAVRPVYEPGTCARRLTQYIYQQQHRPNDNNIEFWRKFVAEFFAPNAKKRWCVSLYGNSRQTNGVFPQDLWHCEICNRKPGRGFETTVEVLPRLFKIKYDSGTLEELLYVDMPREYHNANGQIVLDYAKAIQESVFEHLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLIIPQVVSQLGAAAQKYQASAQNASSNLSAVDLQNNCNMFVASARQLAKSLDVPLVNDLGYTKRYVRCLQQISEVVNSMKDLIDYSRETGMGPMESLAKFPRRSAPSSAQHNSAQQPEEQQQITGDNANNDPHSIQSSVLQPSTSNGVARVNNSQGATSTSTSATTIVGVLHQNSMNSRIENQMNNPNSPYAGTQVQIPSAGSSTTLPPAQPNPSSPFSSPTPSSSNLPPQSSNALAPTITANHVNSANSSAQIPPQQSSQSSEVDPNESQSSVEKIIPEIMIPSQFSEASNTVSGGSVRNNLKNNNGPPQVSGSCLMGNGFINNGSGIGGGGFGNLSGGMRLSPNPTAMRSTMGNNSMNFSGRASMPLMPQDAVSHHQQQELANRLLNGLGAVNGFNNLQFDWKSP >EOX92539 pep chromosome:Theobroma_cacao_20110822:1:7339330:7350520:1 gene:TCM_001475 transcript:EOX92539 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEUSS transcriptional co-regulator isoform 2 MVPSGPPTPIGGAQSVSPALMRSNSAILGSQGGSMPQQATFSSLVSPRAQYNMNLLGSTANISSLLNQTFGNGGLNSGLSGVSGFQRGGFDAAADSDPLTAAANEIGFNIPPSFTPSNVANSGSSGQLQNQQISNSSGNPALLDQQQSQVQQFEPQKFQHNQQPMQQFPLSHSQPQHQQQQFQSIRGGLGGPGAVKLEPQTMNDQVGPQQQLQSFRNHGPVKLESQQNQIGRGIGPVKLERQQSEQAMFLQQQQQQQQQQQQFLQLSRQSSQAAIAQMNLLQQQRFLQMQQQQQLLKSLPQQRPQLQTQFQPQNLPIRSAVRPVYEPGTCARRLTQYIYQQQHRPNDNNIEFWRKFVAEFFAPNAKKRWCVSLYGNSRQTNGVFPQDLWHCEICNRKPGRGFETTVEVLPRLFKIKYDSGTLEELLYVDMPREYHNANGQIVLDYAKAIQESVFEHLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLIIPQVSQLGAAAQKYQASAQNASSNLSAVDLQNNCNMFVASARQLAKSLDVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGMGPMESLAKFPRRSAPSSAQHNSAQQPEEQQQITGDNANNDPHSIQSSVLQPSTSNGVARVNNSQGATSTSTSATTIVGVLHQNSMNSRIENQMNNPNSPYAGTQVQIPSAGSSTTLPPAQPNPSSPFSSPTPSSSNLPPQSSNALAPTITANHVNSANSSAQIPPQQSSQSSEVDPNESQSSVEKIIPEIMIPSQFSEASNTVSGGSVRNNLKNNNGPPQVSGSCLMGNGFINNGSGIGGGGFGNLSGGMRLSPNPTAMRSTMGNNSMNFSGRASMPLMPQDAVSHHQQQELANRLLNGLGAVNGFNNLQFDWKSP >EOX92829 pep chromosome:Theobroma_cacao_20110822:1:8780217:8783717:1 gene:TCM_046687 transcript:EOX92829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketol-acid reductoisomerase MNPPASLDFHTSVFNKEKVSLAGHHEYIVKGGRNLFKLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKVGLRKGSHSFAEARAAGFTEDNGTLGEIWETISGSDLVLLLISDAAQADNCEKIFSHMKPKSILGLSHGFLLGHLQSMGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVEALFRRYTENGMSEDLAYKNTVECITGIISKTISTQGMLAVYNSFSEEGRKEFETAYSTSYYPCMDILYECYEDVACGNEIRSVVLAGRRFYEKDGLPAFPMGKIDQTRMWKVGEQVWRARLAGDLGPLYPFTAGVYLALMMAQIEILRKKGHSYSEIINESVIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVAVDNGTPIDQDLISNFLSDPVHGAIEVCAQLRPTVDISVPPDADFVRPELRQSS >EOX91806 pep chromosome:Theobroma_cacao_20110822:1:3959090:3963770:-1 gene:TCM_000882 transcript:EOX91806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKKKKHQKLVTPSSQEPASNDLLAIVLNRSSCISCIMLNSKEEQNQSNEALAAKNTKTGSPSEENLSSLNEQYPHILQWTCTTQHAAEQSSLNPRPCAPSLVSRWQQVATLQPNTPNHPILQGHLAQSTTPFWLPQRHSYQFPAVSVPATFQPFTSIPTVDASCQPSAIIGGTTSRSQQQVPNLCYHFGPYPGFPGPWDPSSWWAHGQQSQPSFNYTSPGGYGYFSSAPPAMPNCSATFGESSQRGIIRPTAKLSQKHQQLWEAQSVENVQLWSVIGQLQSEIADYKSRLIKLEAEISSLKPSVDEPAAHVIRTGLSGAASKRGRPKRSVASVDVSASPDESHPRARVRKPAAGKVQPEARGLVFEKVALNKLEDRQKTAQSTSSTQKGNGEIPFVMTNSSVNLEVNGSNLSMPAFNNQVHHEGTGIQICGIDANSSLQMKSSGDKVGDAKAALSILSQQPKENKEGASVTHMGGTNDETLSWPASVHPEDQPRRSIYNTISQSFYDNGCVIRQAGKLIPGWSFVNEEDASDELEDAVVGSAKDENEEEVGDDVSSEAEEIA >EOX96723 pep chromosome:Theobroma_cacao_20110822:1:38759397:38762021:-1 gene:TCM_005913 transcript:EOX96723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MGVSTAIVLLVAFFAAVNGCPPSDREALLALRSWLKEPYLGIFDSWEGTDCCSNWYGISCDPTTRRVTDVSLRGESEDPILQKTGRSGSGFMSGSINPSICKLDHLTTLIIADWKGISWEIPQCLASLPNLRVLDLIGNSLSGKIPQQIGNLQKLTVLNLADNKLSGEIPSSLVQLSSLKHLDLSNNLLTGEIPANFGNLKMLSRALLSRNQLTGNIPSSIGNMYRLADLDLSLNKLSGRIPEQLGTMRVLSTLNLDSNMIKGEIPSVLLANTGLGILNLSRNALEGNIPDVFGPKSYYMALDLSFNNLKGPVPKSISSAKYIGHLDMSHNHLCGPIPLGSPFDRLEASSFNSNDCLCGNPLKTC >EOX96154 pep chromosome:Theobroma_cacao_20110822:1:36782598:36785242:-1 gene:TCM_005469 transcript:EOX96154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin receptor GID1, putative MLSISTTNVSSTIFSAPIQAIISFDLHLKPMTDQSSTAPSSMDPYKFLKIVQNPDGSLTRLAQFPSVSVTEGTTDSNTSQLSPFKDIPLNPNNETFIRVYRPPTDPPPSTNDKLPLIIAFHGGGFVLFSATSRPFHEACSIKAAKLPAVVISLEYRLAPEHRLPAAYDDAMETIMWVRDQAVDTNGCDPWLKEYVDFSKCFLMGGSAGGNMVYHAGLRALNVGISPVKIMGLIMNQPYFSGVERTESEKRSINDRILPLPANDLMWSLALPKGTDRDHEYCNPMAADGSHKEKIGRLPRCLVTGHGGDPLVDKQRELVKMLEARGVEVVAEFAEGGCHGIEIFDPLKAQALLKSIKEFVNASCQIVNVATAKSTL >EOX91408 pep chromosome:Theobroma_cacao_20110822:1:2603516:2604310:-1 gene:TCM_000611 transcript:EOX91408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTREFQLVNPNELVGSTVLALVTFMYIHSTHEYAGLWFMNRSNPICQFGVAMLYGVLQHLETSSAILIIICHFSC >EOX96452 pep chromosome:Theobroma_cacao_20110822:1:37856811:37857351:1 gene:TCM_005698 transcript:EOX96452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKRLVSGLTLLFLEEAQKHQENSGSIGNGGAMLENSVITDTKKDVESVVERGEKHIETTKEDAECCFLMPLHYPLVTKKDYEDMSEWKLDQLLLSMG >EOX92393 pep chromosome:Theobroma_cacao_20110822:1:6658863:6662045:-1 gene:TCM_001348 transcript:EOX92393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein, putative MMENSGFPENNTVADNVSLENEEEVTVKNEESERNFPGNRWPRQETLALLKIRSDMDVAFRDSGVKAPLWEEVSRKLAELGYNRSAKKCKEKFENIYKYHRRTKEGRSGRSNGKNYRFFEQLEALDHHPSLLPPATGHINTSMQPFSVIRDAIPCSIRNPVLSFNETSASTTSSSGKESDGMRKKKRKLTEFFGRLMREVMEKQENLQKKFIEAIEKSEQDRMAREEAWKMQELDRIKRERELLVQERSIAAAKDAAVLAFLQKFSDQATSVRLPETPFPVEKVVERQENSNGSESYMHLSSSRWPKDEVEALIRLRANLDLQYQDNGPKGPLWEEISTAMKKLGYDRSAKRCKEKWENMNKYFKRVKESNKKRPEDSKTCPYFHQLDALYKEKTKRGDGSVNSGYELKPEELLMHMMSAPDERPHQESVTEDGESENADQNQEENGNAEEEEGDAYQIVANDPSPMAIIG >EOX93654 pep chromosome:Theobroma_cacao_20110822:1:14552145:14554830:1 gene:TCM_002539 transcript:EOX93654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane with DOMON related domain, putative MKLSLISIIFIFALQALSVLPVNSQQTDSCSSRLNLDVPFDTSSLNCLSVWSSHDFILRYVQTSSNLWSFVLSAPDKNSFVAMGFSSNGMMVGSSAMVGWISTDGTATIKQYFLGGTRSNLVLPDQGNLTVVNNSSSITSQSSRLYLAFQLNTSQPLSRVLYSIGQIGVYPTSPGYALAEHRDKVSTSLNYFTGKSAAKSPQSRLRKSHGILNMLSWGLLMIIGAIVARYFKQWDPIWFYSHAVIQSCAFILGLSGIICGFVLEDRLKADVSTHKGLGIFILVLGCLQVMALFARPGKESKLRKFWNWYHYSAGRILIVFAIANVFYGIHLGEKGKGWNVGYGVVIAILVLVSFILELKMWRRN >EOX96507 pep chromosome:Theobroma_cacao_20110822:1:38049151:38051192:1 gene:TCM_005742 transcript:EOX96507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLEGRTGSLPLRPDPFASLNSEAKRGREEQSFFLDQDRQREGSHYRIRWIPHKIYRNLFLTRDAHALKQVRTRNVRNLQNQFLIEMNIVLKSRKLSPEPTFNSLQMQESGSPLCFSLFFYPTLIDAGKSCPYAAISENSPSVRFPLRLKVRQPESSGYPGVEVSCSERNEKLIEFPFSGQFLVTRIDYE >EOX93788 pep chromosome:Theobroma_cacao_20110822:1:15834411:15837088:1 gene:TCM_002715 transcript:EOX93788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNTYLVIFVVCRNFVTSSYGQDSFYPKSSLCEPSRATLRKKRKNTATTSLFKKMRVRELVYYFLFLKIGMMVSLRKEKMIVSEKSWVWHDQTFRDNILKALDKANQFTTKPYVRSNNGFGNLTIYEGESTSSVEVGEQENSKSKSEEESCDHTNDYKSSDESVKEKLPRHEYLSLGGGMDGNVIQESLISMTNFLPSTMQNVSGGDVNAIIGVSGDDVNAITEVNWSVLRFGFGTPQHNNASIISEGTFKITRSIQNNFGIDVTLGATRDVSSLGCFECYS >EOX93531 pep chromosome:Theobroma_cacao_20110822:1:13814844:13816015:1 gene:TCM_002421 transcript:EOX93531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWCSEWNICWVMHENPRKVFLAWDKACSNNKSKMWVLAFYVITWSIWLFCNEIVFNGKQWEMGQLFDLIKLRLALWGKARWPKISGKMEDLFRCPSLVSLPQQGRVRRQHVERVAPQDGWIKFNVNGEAQGNLGPVVIGGIMRDKDGCIPFKFSRALGIRDLSIAKILAIKEAFQIFVGLMENHVKLWVENDSLNVVS >EOX95896 pep chromosome:Theobroma_cacao_20110822:1:35926885:35930441:1 gene:TCM_005286 transcript:EOX95896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNKPKGEENFLWDQNMKNHFTIPWAFPKLVVSSILLISILCIFYTLSFSNVSNSSNQELKIIKTIHGIDQDVIPPVSSPKPKPQEKTGLQHIVFGIAASARLWDHRKNYIKLWWKPQEMRGIVWLDKAVENGDDDHLLPPIKISRDTPEFKYRNPKGHRYAIRISRIVSETLRLGLEGVRWFVMGDDDTFFVPDNLVRVLSKYDHNQFYYIGSSSESHLQNINFSYGMAYGGGGFAISYPLAKALEKMQDRCIQRYPRLYGSDDRIHACMAELGVALTKERGFHQYDVYGSLLGLLGAHPVAPLVSIHHLDVVQPIFPNVNRVQALQRLKVPINLDSAAVMQQSVCYDKTRSWTISVSWGYAVQIYRGIFSVREMEMPARTFLNWYRRADYTGFSFNTRPFSRNVCQKPFVYYLSNALHNKNTNQTASEYVQHQVSSSECKWRMADPSRIERVEVYKKPDPNLWDKSPRRNCCRVLPTKKKGTMVIDVGECGEDEVIELR >EOX93684 pep chromosome:Theobroma_cacao_20110822:1:15021127:15023948:-1 gene:TCM_002591 transcript:EOX93684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKIKENFSLLVRTATENREGKNVKPSTACIEADSSGAPPADADGNQGREAVRVGSKRGRVRLESNEEGADSMVLIDQQASLQDSKPRSSYRNVLLQDDIEAMLDSEDSEDEDRLLEDFESDEDEFRSDIEDLCPVRQKETEGFSEEQVTKLASEKKDPTREHESTPYGLWMVAKKTYRRNSENKTEGGTKSKLRMSSQIGTSQEVAKLGSRFYILAEEGNTLDNEEFVIETVLRGDTKKVLNKPKKIVAVVQKKQNLKESLQEAANVSNRNPTPSASKGTLVTSLVPKTVSSEIDIKSNERIQTRENNVALMGSSGMAIQRNSQAPVEIALASQTRMETIPITASFLVPQ >EOX91141 pep chromosome:Theobroma_cacao_20110822:1:1725173:1728436:-1 gene:TCM_000422 transcript:EOX91141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDMSLGFTGAPPVTVLCSFLKLSRRGSRLSKITEPITIQQLKQKFGNVMIMLIRLSRESTLLKLILTLMEINKDGDEIVFGLILSSFA >EOX96645 pep chromosome:Theobroma_cacao_20110822:1:38500192:38501335:-1 gene:TCM_005850 transcript:EOX96645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGIIRVSRRMVVHAGSLRAMRVKPSNYSDQCIKHLHDSSALLLYVPGRLKSSLHFGCMVPRLLEQTACKFNCPGVEPDQGPRGMEAMLDHGIASFTGQTLHCQQLRPRA >EOX94509 pep chromosome:Theobroma_cacao_20110822:1:29304477:29306288:-1 gene:TCM_004118 transcript:EOX94509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSEVEALENNWTWSIVTLPSGGHTIGCKWVYKVKLKADGSLESHWFLCQLDIHNAFLNGDVEETVYMDLPPGYIVYAGCSSHQKLSKFDYSLFTLKTNNGDFVALMVYVDDIVIGSSSQQAADKFSVCLSTWINQLPFIYKLRIGLSNISLALLDTRLSVTGFGVFLGLWFFQCSSCFVLL >EOX95259 pep chromosome:Theobroma_cacao_20110822:1:33578043:33578957:1 gene:TCM_004807 transcript:EOX95259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic region/leucine zipper motif 53 MAPLQRPASYGSDSDPRYANVDERKRKRMLSNRESARRSRMRKQKQLEDLVSEASTLQKDNSQLSENINVTAQRYIEMASANNVLRAQAMELTDRLRSLNSVLHIVEEVNGFDVEIPEIPNPLLEPWRLPCPIQPIMASVDMFEC >EOX96286 pep chromosome:Theobroma_cacao_20110822:1:37219731:37220438:-1 gene:TCM_005564 transcript:EOX96286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein MASKSVATTALLLSLNLLFFSLVTSTSVPCPPEPKTPKHPPKTNPPSTRPPAAASCPRDTLKLGVCANVLNDLIHLVVGTPPKTPCCPLIQGLADLEAAVCLCTAIKANILGINLNVPVSLSLLLNYCGKNVPKGFQCA >EOX96744 pep chromosome:Theobroma_cacao_20110822:1:38844891:38851529:-1 gene:TCM_005929 transcript:EOX96744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gametophytic factor 2, putative MVGSNGVRLMQCLVRRSYSQSDLFQPYSICKTLIAGGFRSFTNGLCNPVNIIRNHAPQFVNTKNCLLLGLLNVNLHATRSIHGTAAMSARDYYDILGVSKNATASEIKKAYFGLAKKLHPDVNKDDPEAEKKFQEVSKAYEVLKDENKRAEYDQVGHDAFEQQQNNSGGFNEDFNPFNFSNFQDIFNFQDIFKNKIGGEDVKIAVELSFMEAVQGCTKTVTFQAPMLCQACGGEGVPPGVKPERCRHCGGSGMISISKGFMSIRSTCPHCSGTGQFVSRLCRSCNGARLVRGPKTVKLDIMPGVDNNETLKVYGSGGADPDRTHPGDLYVTIKVRQDPVFRREGAHIHVDAVLSVYQAILGGTIQVPTLTGDVVLKVRPGTQPGQKVVLKNKGIKTRNSYSFGDQYVHFNVSIPKNLTPRQRELIEEFAREEQGEDEKRGAAAAGASG >EOX91139 pep chromosome:Theobroma_cacao_20110822:1:1716854:1718806:-1 gene:TCM_000420 transcript:EOX91139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spindle assembly abnormal protein 6 MRKLCPNIDREDGLETVLEVPVPEEMFTSMGSNLQVRLANMLTWMKAQTSDKWSQPVIAGRINELRFLLYLVGSPLIPLQVQLGHSVHKPVKDCSIQASTAKYIVQQYMAATGGQQALNAVHSMCVTGQVKITASEFHQGDASVNVKSSEEMGGFVLWQKDPDLWCLELVVSGCKVVSGSNGKLSWRHSSNQQTPISKGPPRPLRRFLQGLDPRSTANLFIDATCIGEKIISGEDCFILKLETSPAIREAQSGPNYEIIHHTIWGYFSQRSGLLIQFEDSRLLMMKTKDDNDVFWETRTESVMDDYQYIDGVNIAHGGKTSVTVFRYGEQSANHKRQIEEKWKIEDVDFNVWGLSMDHFLPPSGLQKD >EOX94585 pep chromosome:Theobroma_cacao_20110822:1:30329692:30334223:1 gene:TCM_004216 transcript:EOX94585 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase 24 kDa subunit, putative MLARLASQRLLEIRQAFRQSAQACRSFSTALNYHIDSPDNSPGLPWEFSEANKETVKEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVIEVAPIRVYEVATFYSMFNRSKVGKYHLLVCGTTPCMIRGSREIEEALLKHLGVKRNEVTKDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYYEDVTPQRVVEIVEMLRRGEKPPPGTQNPKRIKSGPEGGNTTLLSDPKPPPCRDLDAC >EOX94782 pep chromosome:Theobroma_cacao_20110822:1:31336366:31348767:1 gene:TCM_004390 transcript:EOX94782 gene_biotype:protein_coding transcript_biotype:protein_coding description:FZO-like MTPLSLHSPASTSAPFFLFTPSPPHFPRFARSPHRRFLLPTKSSLSNNPFYSTSQQLSPQDPQNQQPPRTLFPGGYKRPEIKVPNVVLQLDPEEVLADGNALDFIDKAVSKWVGLVVLNGGEGSGGRVYEAARSLKAVVKDRAYFLITERVDIAAAVRASGVVLSDQGLPAIVARNTMMDSKSESVFLPLVARTVQTANAALNASSSEGADFLIYDLGEEEHVDIVVKSVFENVKIPIFIVNNNSQGKAKSHTEAAEILKSGASGLVVSLEDLRLFTDDVLRQLFNVVSATNNKPQDDSLDDLNMADIDLVTRQKMGVAGFIKVEDREKQLIEKETSVLNGAISVFQRAAPLMEEISLLIDAVAQIDEPFLLAIVGEFNSGKSTVINALLGERYLKEGVVPTTNEITFLCYSELDGKDLQRCERHPDGQLICYLPAPILKDMNIVDTPGTNVILQRQQRLTEEFVPRADLLFFVISADRPLTESEVAFLRYTQQWKKKVVFVLNKADLYQNVQELEEAISFIKENTQKLLNTGDVTLYPVAARSVLEEKLSASSGVGKEYRELSVSDSNWRTSSFYKLENFLYSFLDGSTSKGMERMKLKLGTPIAIAERVLSACETLNRKECQSAEQDLTSANEILDSVKEYVIKMENESISWRRRTLSMIDTTKSRVLELIESTLQLSNLDLVAAYVLKGGSSATLPATSRVQNDILGPALADAQNLLGEYLTWLQSNNAREGRLYKESFEKRWPSLAYSDKQHHLETYELLRKLDQLSLRVIENFSANAASKLFEQEVREVFLGTFGGLGAAGLSASLLTSILPTTLEDLLALGLCSAGGFIAISNFPARRQEMIEKVKKTANVLARELEDAMQKDLLETTENLGKFVRIIGEPYRDAAEERLDKLLEIKDELSNVRETLQTLQVEIQNLHVS >EOX91484 pep chromosome:Theobroma_cacao_20110822:1:2843967:2845866:-1 gene:TCM_000660 transcript:EOX91484 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MQKICEVTGNIMASIRFISSSIIQGGSPKKEIDRIEQTPWDLQHLLVGYLQWGLLFHKPKPQEEEPENGLIQHLKASLSRTLDFFAPLAGRLASIEHDDKTTSFFIDCNNAGALFVHAVAEKVTISDLIEPVYVPTIFDSFFQMDGVKNVEGTSKPLLAVQVTELVDGIFIACSMNHSVVDGTSFWHFFNSWSEVSRGSDHLSKPPIFQRQFLDGIDYPIRIPQTLYLHIQDEFVPPPLQVRVFHLAKQDIVKIKTKANAEMNTNKISSLQAVFSHFWQSIMRHRHLDPNQESIIFLVIGLRQKLQQLPEEYFGNAVQSAIVTLKAGELLEKGLGNAAWQMNKTVANHTEEKFMNFLESWVNSPRLYQTSQMMNNALLTASSPRFDIYGNDFGWGRPIAERTGAGSKCGGVLAASCGVEEGSIQIRVCLSPETFQALENDEEFMAALTI >EOX95534 pep chromosome:Theobroma_cacao_20110822:1:34580014:34583057:1 gene:TCM_005009 transcript:EOX95534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nam protein 1, putative MRNRKGYQIIQPITNEERFSNYYCYYLFWVAVGYYLWKKILSITGYRFHPTDFELLHYYLKNKNLGRDALVQAIAEVEDICGLEPWELPGHSNIHSGDQVWYFFYRPNYKYRNSTRIKRTTNEGYWKRTGNPRKVMARDLETEIGEKRTLVFYKGRVSDDNKNKTGWIIHEYELTATLPNQTTFILCKLKKKYGKAEVPCIEEGQSSHDLPPNLGNYIANNAIPAEAASCFTDQSDPNELLAQLESFNYHDGLEYQSTELWDSYLVGDVPTNEGSNLTFNFGNHVAENAIPNDQLKPSDEAPIQQEVPQDQSSTNEQDNNFGNLVPADETSNQHKLVVENDGSTMPSTIQNPIVAESGQMDLSNLGGASTDELFAELDALPEVQGNSIGQGFDDWVSLADIGNYLNIPNGSDNQSCTNEEKNPTATNGGCSLPIAGMMESPYPINPSRKRLRTDCEGFGWDK >EOX93410 pep chromosome:Theobroma_cacao_20110822:1:12769746:12771264:1 gene:TCM_002270 transcript:EOX93410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLCILVVIDAYTVMLHESLLCNAFTVGYYAVLLETLLCTVHPVAMLGALFNSTPAPSHVNLAPRYSALPFVVMLHYCCYGWLLCVFIQLLLCHYAAGYSPNTCFH >EOX91020 pep chromosome:Theobroma_cacao_20110822:1:1359647:1362030:-1 gene:TCM_000331 transcript:EOX91020 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative MVKASVPCMNSGDKETSYGTCSLPQEIVLPKARPILEDTIKDMFSNVSSTDCIKVADLGCSSGPNTFMAISIVVDTFHEMCQQAQLKTSPEFQVFLNDLPENDFNNIFRSVASFTDRIKKGKGDKFGLCFVTGVPGSFYGRLFPNRSLHLVHSSYSVNWLSKACISFHVPDGIGNNKGSVYMAESSPPNVFKAHSRQFKEDFSTFLKLRSQEMIPGGRMVLTFNGRSNLYPSKQDDDWKLQLAKSLYDLVVEGIVKEADADSFNIPMYAPYKGELCEIIQKEDSFDLDKLEVVQINWGPRDVLTNEDFEFDKYQRGQKTANSVRAITEPMLASHFGEDILDKLFTGLAKYEAERLGYKLTSIVVSMKKK >EOX94476 pep chromosome:Theobroma_cacao_20110822:1:28939928:28953180:1 gene:TCM_004066 transcript:EOX94476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRTKQENLEALLRVPKNKWGFNVGINIYCNTKARFSKHQFYLVTRLKFSPMLDVISHPYEALKAISAIRSYFGSCRQSEDAYPRTLRWSSLRSIMAFGGLNDFQDGSIGQHDGERNEDGGTHGVNGDEPGGID >EOX93960 pep chromosome:Theobroma_cacao_20110822:1:17872558:17876706:1 gene:TCM_002981 transcript:EOX93960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 726 MGQQSLIYAFVARGTVVLADYTEFTGNFTSIASQCLQKLPASNNKFTYNCDGHTFNYLVDNGFSYCVVAIESVGRQVPIAFLERIKEDFTKIYGGGKAATAPANSLSREFGPKLKEHMQYCIDHPEEISKIAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTQMRRKMWLQNMKIKLIVLGILIALILIIILSVCGGFKC >EOX91032 pep chromosome:Theobroma_cacao_20110822:1:1398589:1402976:-1 gene:TCM_000341 transcript:EOX91032 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein Era isoform 2 MELVALHISPTFVRESFLTARHNKPSVFLHYVRIRYEKSRFRFEARKAGSPCWNPNKISRLDFIQQELERNSVIEDSEEEASISGDEESFLSLSEKPDRYMALLDEYELEELDFASHANHRSGYVAVLGKPNVGKSTLANQMIGQKLSIVTDKPQTTRHRVLGICSGPEYQMILYDTPGVIEKKMHKLDSMMMKNVRSAALNADCVIVLVDACKVPEKIDEVLEEGVGDNKCKLPTLLVLNKKDMIKPGEIAKKLEWYEKFTDVDEVIPVSAKFGHGVDDVKDWILSKLPTGPPYYPKDIVSEHPERFFVAELIREKIFMQYRNEVPYACQVNVVSYKTRPTAKDFIEVEIVVEKDSQKIILIGKVQVKVKENWRQDEGLLRYYGYGGQIQAL >EOX91031 pep chromosome:Theobroma_cacao_20110822:1:1398200:1402850:-1 gene:TCM_000341 transcript:EOX91031 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein Era isoform 2 MELVALHISPTFVRESFLTARHNKPSVFLHYVRIRYEKSRFRFEARKAGSPCWNPNKISRLDFIQQELERNSVIEDSEEEASISGDEESFLSLSEKPDRYMALLDEYELEELDFASHANHRSGYVAVLGKPNVGKSTLANQMIGQKLSIVTDKPQTTRHRVLGICSGPEYQMILYDTPGVIEKKMHKLDSMMMKNVRSAALNADCVIVLVDACKVPEKIDEVLEEGVGDNKCKLPTLLVLNKKDMIKPGEIAKKLEWYEKFTDVDEVIPVSAKFGHGVDDVKDWILSKLPTGPPYYPKDIVSEHPERFFVAELIREKIFMQYRNEVPYACQVNVVSYKTRPTAKDFIEVEIVVEKDSQKIILIGKGGKALKILATAARLDIEDFLQKKVFLEVQVKVKENWRQDEGLLRYYGYGGQIQAL >EOX91246 pep chromosome:Theobroma_cacao_20110822:1:2035324:2037001:-1 gene:TCM_000494 transcript:EOX91246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYESYYRGDDGGGFFTAVWSCTIITTIMKTAKFRTDASTVILPQPCPNGDLEIVALLQSLENFCHVDNGIEMDKDFCPSLNSFRFIHDGDDDACENDT >EOX91842 pep chromosome:Theobroma_cacao_20110822:1:4102428:4104122:1 gene:TCM_000908 transcript:EOX91842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGPFAKDSKFQFTLSPYSEVFGRVGIKFQISINAVQQMRFVRDLILTAKSVILCPTFGGSIKG >EOX95477 pep chromosome:Theobroma_cacao_20110822:1:34340834:34344021:-1 gene:TCM_004961 transcript:EOX95477 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein, putative isoform 3 MDSKSVREFIRKEVPDWDDELMATARFKAFSGQRSDWEPKFQFWKDLIIKISRQFGLFVISPSQVKNEWFNRGGLTPLCLDHVLLFRKVKGLMNRSTMTSEGILLEDCVILTTLLKEKADEVVKFLSESHWTSSCIVTRKKFESMCGAQEEEHAVLSYLSGCGKGQYLSTYKKELIEGIKVSLSSAVVSSVSSLDFDTLHLIWTQEKLQQQLDVIDRRCEKSRQSALVSLKSGNKKLALRHAREMKLGTENREKCTSLLNRVEEVLNVIANAESTKKVTEAIQIGARAIKENKISVEEVHLCLEELDESIDSQKQVEMALESAPYSGIEDEDIEEEFRKLELEVGNENRKDPICEAGVSDTAGSDESLTEALLNLKLVDATPKGSAIQNFGVPAKNKDSNSPMLEAA >EOX95478 pep chromosome:Theobroma_cacao_20110822:1:34341066:34343883:-1 gene:TCM_004961 transcript:EOX95478 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein, putative isoform 3 MDSKSVREFIRKEVPDWDDELMATARFKAFSGQRSDWEPKFQFWKDLIIKISRQFGLFVISPSQVKNEWFNRGGLTPLCLDHVLGIKVSLSSAVVSSVSSLDFDTLHLIWTQEKLQQQLDVIDRRCEKSRQSALVSLKSGNKKLALRHAREMKLGTENREKCTSLLNRVEEVLNVIANAESTKKVTEAIQIGARAIKENKISVEEVHLCLEELDESIDSQKQVEMALESAPYSGIEDEDIEEEFRKLELEVGNENRKDPICEAGVSDTAGSDESLTEALLNLKLVDATPKGSAIQNFGVPAKNKDSNSPMLEAA >EOX95476 pep chromosome:Theobroma_cacao_20110822:1:34341298:34343761:-1 gene:TCM_004961 transcript:EOX95476 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein, putative isoform 3 MDSKSVREFIRKEVPDWDDELMATARFKAFSGQRSDWEPKFQFWKDLIIKISRQFGLFVISPSQVKNEWFNRGGLTPLCLDHVLFMMYNEGEITRISDVADPYSGRMSQLFRKVKGLMNRSTMTSEGILLEDCVILTTLLKEKADEVVKFLSESHWTSSCIVTRKKFESMCGAQEEEHAVLSYLSGCGKGQYLSTYKKELIEGIKVSLSSAVVSSVSSLDFDTLHLIWTQEKLQQQLDVIDRRCEKSRQSALVSLKSGNKKLALRHAREMKLGTENREKCTSLLNRVEEVLNVIANAESTKKVTEAIQIGARAIKENKISVEEVHLCLEELDESIDSQKQVEMALESAPYSGIEDEDIEEEFRKLELEVGNENRKDPICEAGVSDTAGSDESLTEALLNLKLVDATPKGSAIQNFGVPAKNKDSNSPMLEAA >EOX96475 pep chromosome:Theobroma_cacao_20110822:1:37932074:37933968:-1 gene:TCM_005714 transcript:EOX96475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MRLAAIAITVKPCTSPTTPKLTQYSVPTTAINPLKLNTENPEFSWTPLKHGSLFTHSSSAKLARNWMEYQGMNNWDGLLDPLDDTLRSEILRYGQFVEAAYRSFDFDPSSPTFATCKFPKNSLLTRSCIGETGYKPTKNLRATCGIQLPRWIDRGPSWLSTQSSWIGYVAVCQDKEEIARLGRRDVVIAFRGTATCLEWLENLRATLTSLPDDVANVCLKRSGCGSMVESGFLSLYTSGTAACPSLQNMVREEIGRVLEMYGDEPLSLTITGHSLGAALATLAAHDINSTFSNAPMVTVISFGGPRVGNQSFRCQLERSGTKILRIVNSDDLITKVPGFVIDNNDMADKQAVNVAGLPSWVQKRVVDSQLVYADVGQELRLSSKECPHLSKGGVATCHELSTYLQLVNGFVSSNCPFRATARRVLSKHRREKLATL >EOX92826 pep chromosome:Theobroma_cacao_20110822:1:8757043:8759289:1 gene:TCM_001689 transcript:EOX92826 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown-complex ABC transporter family MELPTKAPDFRDKKTQYTIKTKKLSYWLSRRVDDFSWFFRKEGTASKRILKNVDCEARPGEIMAIAGPSGAGKTTLLEVLAGMIPLSRLSGNVLVNNQPMDPRHFRRLSGYVTQDEILFPLLTVEETLRYSARLRLHDGPMAAARVRELLEELGLEHVAKARIGGESNRGISGGEKRRVSIGVDLVHDPAVLLIDEPTSGLDSASALHVALLLKSMAVKQGKTIVLTIHQPGSRILELFDQALLLSNGTVLHHGSLHLLEQRLELSGHSIPRHINILEFAIEVKEALVKDAEESQEEENADLEQGCEDTRRNTQVSNVRETNICYANPQFKEVLILGQRFSNNIYRTKQLFVARTLQAVLAGIVLGTIFMNAASDSMRFKLQTQIGFFAFSLTFLLSSTTEGLPIYLQERRILMRETSRGAYRISSYVISNTLVFLPFLLIVALLYTIPVYWLVGLRREIDAFLYFSLVVWMVVLMSNSFVACFSALVPDFIMGTSLIAGLIGSFFLFSGYFISKEDIPRCWIFMHYLSLFKYPFECFMINEYGREKGQKRCLKIVEGECYLYAEGFLMQRGLKESQKWSNVVVMLGFIVGYRILSIFILSYRSYRTKS >EOX93841 pep chromosome:Theobroma_cacao_20110822:1:16432457:16434190:-1 gene:TCM_002792 transcript:EOX93841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESYTSAIVKKYGAEPLSQLDFDPKAWIKPIGRLMSTWTNINRFGTRVLSLRLLATTMTSKSACGPNDASPPMSTPVPERKGYQQLLSNVTTLMTGLTDIKGLLMDVIGSRRSQPQPDEFGPLQPPP >EOX94997 pep chromosome:Theobroma_cacao_20110822:1:32393679:32399617:-1 gene:TCM_004580 transcript:EOX94997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, putative MSNTGVQEAIEESHVEVPEDQREGINGGSLQKLRSGDSLDLESGKVPGRNSLGSQVCDCDPLASFSLLKFGRQKTKMFDVLVILYSNMVEMVEMILEALKWSVTLHLAFQSIGIVYGDIGTSPLYVFASTFSNGINHRDDILGVLSLIFYTLTLIPLVKYVIIVLRATHNGEGGTFALYSLICVHVRVGLMPNQQAEDCDVSNFRLDLPGKHLKLASRLKSNLEEPIFQNFPIIHYYAWYFHGHWRRYPHAMHIRFLLFLVFLSAMGGIRKATSAMTEDRIFWISIAILVCIFMVQRFGTGKVGYSFAPLICIWFALISGIGVYNFIKYDPTVLKAINPKYIVEYFTRNKKDAWVSLGGVVLAITGTEALFADVGHFTVQSIRISMCAVTYPALILAYTGQASFLREHENSVSNTFYESIPGTLYWPMFVVSVLASIIASQAMISGTFSIIHQSLSLGCFPRVKVVHTSAKHDGQVYIPEVNYLLMLACVGVTAGFKTAEKIGHAYGIAVVFVMTLTSSMLVLIMIMIWKTNMVLVISYVLTIGSVELLYLSSVLYKFSQGGFLPLAFAAVLMTIMYVWNDVYRRKYYYELDHKFSPSRLKEISTNSNFCRIPGLAMFYSELVHGIPPIFQHYVSNVPALHSVLVFVTIKSLPINKVPIEERFLFQRVEPRELSIFSCVVRCGYKDVRYEQEFFEKTVARRLKEFIQEEILSSQILNEEQVEHEQQMVQKEIEIVDKALQAGIVHLIGETEIIAGEGAHIGKRVLIDYAYNFLKKNLRQSDKVFDIPHQRMLKVGMTYQL >EOX92314 pep chromosome:Theobroma_cacao_20110822:1:6190918:6193927:1 gene:TCM_001273 transcript:EOX92314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Violaxanthin de-epoxidase-related MSLSLEPPPLPKLKTGALINRRFTSIRTGLPPSNHQRGASRFSVCPTLRSGAIVNAVLKTKEEEKSAVTEHAEPPVRIVAVVGEGSVSPLKSAAWEEVMLHTAKRLKWVDEGYEMVVFTDNFHQSNDQTALNLQKELLCADILVVVAVTNQDSVKWIQTNSQNIPNIICFESHLNFVNKLGGSYVHSETKGSIFDKIVGISQLKKINESVEVVQTVSEAWLRHNSDDIRFCLLVIINAYIQPVPILKNLRAKGFSTLNCMVKNCGPQVLDCLMDPNCRKALQCLNKCSPVDQVCNYRCIASYESPKLEAFSLCVLQKNNCLDLDAKIPEKPYVQPILKFRGKNLCHEIAEDIFVGWLGSLDWSWRVVAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPVFQVQTLEGEMVWRRRKYRVKRGKVPGTFYFSVLDNGVVSNEFWTIVDVSEDFSWGLFHYHGAARVAGQSYTGAVLVSPDGAYPKQTQSSRLSSALEKCGIKEWELYTVDNCSCNDPPLGIPEGSSLHSMVDVEDQGWASV >EOX94867 pep chromosome:Theobroma_cacao_20110822:1:31808434:31812718:1 gene:TCM_004479 transcript:EOX94867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase MT-A70 family protein MQGESKPSDELSRFFDSGIYRFPNSNAVFIDPVRVLNRSYSRFKVSPSAYYSRFFESKHPAQESTHSSNPKKRKRKPKKQSYFLNEKEQAADQRHQEARPLLLKAHELLLGAADFLAIMSKLRSDFCSSTELCGGEEHSFLELGRVWQAPLYDITLDFKLSRLTNQSDNGENLNGEQRVLPIFNNLVVNDTRDEMEAEFLNRHYILPRESCFYMSDLGQIHNLIPAEPNSGFNLIVIDPPWENGSAFQKSVYPTLPHRYFLSLPIKQLTHREGALVALWVTNREKLHNVVEKELFPAWGVRYLSTVYWLKVKVDGSLISDLDLFHHRPYECLLLGYCHGKMMNETDSEYLSEFRSVKDKQIVISIPGGYSRKPPIGELLLGHVPGVKPARCIELFAREMWKSGIKCSSYQGTALNKLRICYSS >EOX92622 pep chromosome:Theobroma_cacao_20110822:1:7795300:7796321:1 gene:TCM_001541 transcript:EOX92622 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 9-like protein MVCFRTLSQCFVICFCFAFCFVSCSSMAVENINCSDSNNNNTVLSLSADEIEEFSSLESTKLCVPQDPLEDLDWLPDFTDEIISLDGFCLTPEHEINFSYVSPNSYEGPEQKTTEDIDDDYSAWESKRPRSVFEQSITFTKKKRRKRGGKRVWETRDFALVADDKEAIVVGEEWNCRNVRRTCSHCLSENTPQWRMGPSGPKTLCNACGVRYKSGRLMPEYRPAASPTFDITKHSNFHKKILKRKGFE >EOX95163 pep chromosome:Theobroma_cacao_20110822:1:33123613:33125264:1 gene:TCM_004717 transcript:EOX95163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MCGGAIIAEFIPRNRGRGVTASDLWPFAKINGFSSDLSQPNPDASFSHFKRPQPQPSLGDEQVEKKPKRQRKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDREARKIRGKKAKVNFPNEDDAFSAEYNLKHSNNHQINNNHNSAAAWNPSPNSNPSIYQQPRDNNLSNYGFNYDLNQIGGYATDPIVISGEENSGSGSEGVNSGDQNVNFCYVAMKAEEEEKREAPVNEAVVEVQDDDIEVQKLSEELMAYENYMKFYQIPYLDGQSQTQNAVAPQESVVGELWSFDDAAVAAPVTSSTAM >EOX95497 pep chromosome:Theobroma_cacao_20110822:1:34452937:34457429:1 gene:TCM_004981 transcript:EOX95497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor protein kinase EXS, putative MRKMPPQLQKHALSLNTLLFSILVRLFMFKAVSLAAAFKDKDGLLHFKSAIVEDPLGFTSSWNPSDKDPCLWRGVTCDPLSGRVISLNLSSNLNSTCSLLSLSTSTAVTAGQVGGNFSLLYPCLHVGADDKISFAKLRGKLSPSIGYLTKLRVLSLGFNAFFGELPLDIGKLNLLEILDLGFNAFHGPIPASLKNCTALRVINLSGNQLNGTIPAIFRQFVSLQVVDVSLNGLSGEIPEELGENCGSLVHLHLASNGLSGSIPSKLGNCGELKSLILSSNMLQDDIPSSLGKLENLEALDLSRNFLSGVVPPDLGNCKQLKLLVLKNNYGPLFSRKDSSLTFQQEEDGEVDYNYFEGELPESIVELPNIHVLWVPNANLEGSFPQTWGSCSNLNMLNVAQNFLTGQIPVSFGNCKSLHFLDLSSNNLTGLLPTEISVPCMVVFNVSENSLVGNISRFSSGECSNSSGNLSVSQVDLVGFYSSFFYRNTLVNVGPFSFSSSEFVVLHDLSKNQFTGSVPPFFISSYSLSVKPHYGFWLNGNNFEGNLSAYSFDPCLSLDGLIFDISNNKIVDQLPSDIGSNCKCMKFLSVASNEFVGSIPTSFTNMVSLVKLNLSENRLQGPIPSYIGEMKDLRYLSLSSNNFTGAIPQDFIKLYSVEVLELSSNSLSGEIPPDLAKLKHLSILRLDHNKLTGQIPSGFSNITTLSVFSVSFNNLSGSIPLNSNSINCESVKGNPNLQPCRPDQSSSELERQHFGNISQQGAYSPMKSIQKNGSGFSPLEIASITSASIIFSVLIALIFLLVCMKKFACNSVSDQVSGSKEVVTCNSIGVQLTYENVVRATGCFNLQNCIGSGGFGATYKAEITPGVVVSVKRLSVGRFQGVQQFSAEIKTLGRVQHPNLVTLIGYHVSEAEMFLIYNYLPGGNLEKFIQERSSRTVEWSMLHKIALDIARALTYLHDECVPRVLHRDIKPSNILLDNNFNAYLSDFGLARLLGTSETHATTDVAGTFGYVAPEYALTCRVSDKADVYSYGVVLLELLSDKKALDPSFSSFGNGFNIVTWGSMLLGQGRGCEFFTAGLWDSGPQDDLIEVLHLAVKCTGEYLSSRPSMRQVAQRLKGIQPATA >EOX93869 pep chromosome:Theobroma_cacao_20110822:1:16942788:16951224:1 gene:TCM_002853 transcript:EOX93869 gene_biotype:protein_coding transcript_biotype:protein_coding description:FatA acyl-ACP thioesterase MLKLSSCNVTDQRQALAQCRFLAPPAPFSFRWRTPVVVSCSPSSRPNLSPLQVVLSGQQQAGMELVESGSGSLADRLRLGSLTEDGLSYKEKFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGYSTDGFATTRTMRKLHLIWVTARMHIEIYKYPAWSDVIEIETWCQSEGRIGTRRDWILKDFATGEVIGRATSKWVMMNQDTRRLQKVSDDVREEYLVFCPRELRLAFPEENNNSLKKIAKLDDSFQYSRLGLMPRRADLDMNQHVNNVTYIGWVLESMPQEIIDTHELQTITLDYRRECQQDDVVDSLTSPEQVEGTEKVSAIHGTNGSAAAREDKQDCRQFLHLLRLSSDGQEINRGRTEWRKKPAR >EOX93127 pep chromosome:Theobroma_cacao_20110822:1:10744827:10747605:-1 gene:TCM_001978 transcript:EOX93127 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL Lipase/Acylhydrolase superfamily protein MALMNIPWIFFVQILVLASKSEAKVSAIIAFGDSFVDSGNNNYIPTIAKCNFEPYGRDFPGGTPTGRFCNGCLPPDFISEGFGLKPIIPAYLGPTLNISDFASGVCFASAATGYDNATADVLKVIPLWKEVECYKEYQKKLRAYLEIQSQFTVQEYEDFLIGIAENFVRQIYGLGARKMSLTGLPPIGCLPVQRATNFEDPLNCVEERNKVALEFNGKLKLLVAKLDEDLPGLKVFFADTYDLLLQLIKNPSQYGFEVSEEGCCGTGLFETAILCNRHHPLTCTDANKYVFWDAIDPSQRTNKITSDNLLRALKPLFL >EOX93712 pep chromosome:Theobroma_cacao_20110822:1:15360063:15372547:1 gene:TCM_002639 transcript:EOX93712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLQEISKFPLFQLVQLERVQNLWIVRTMSFGCNSTALGSMETEFMEFNDIYIILRLKTAWYFLNYFTLRFDTLSIDNEREHARWHSCKDMDVYKRALYRCHMICTKLSFLNFLVCCCNG >EOX95167 pep chromosome:Theobroma_cacao_20110822:1:33146594:33147743:1 gene:TCM_004724 transcript:EOX95167 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H MVKDVCTKFKIKHHNSTTYRPKMNGAVEAANKNIKKIVEKMTEVYKDWHEKLPFALHAYRTSVRTSTGATPYSLVYGAEAVLPVEVEIPSLRVLMEAELEDAEWVRSRYEQLNLIEEKRLAALCHGQMYQRRMMRAYEKKVHPRQFREGELVLKRILPNQTDFRGKWMPNWEGPYVVKKAFSGGALILANMDGGDLPNPINADAVKKYYA >EOX92652 pep chromosome:Theobroma_cacao_20110822:1:7943995:7960054:1 gene:TCM_001568 transcript:EOX92652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter MERNQQEPTLFQDMDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLQYAGPASLVWGWVVVSFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPKWGPLSSWCCAWLETIGLIAGIGTQAYAGSQTLQSIILLSTGTNKDGGYFAPKWLFLCMYVSLTIIWAVLNTFALEVIAFIDIISIWWQVIGGLVIVIMLPLVALTTKSASYVFTNFEVGADATGVSSKPYAVILSFLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIISIFGWAYILALTFSIQDFSYLYDPSNETAGAFVPAQILYDAFHGRYHNSAGAIVLLFVIWGSYFFGGLSITTSAARVVYALSRDKGIPFSSIWRQVHPKRKVPSNAVWLCAAICILLGLPILKVNVVFTAITSICTIGWVGGYAVPIFARMVMAEKNFKAGPFYLGRARRPVCLIAFLWICYTCSVFLLPTYYPITWETFNYAPVALGVGLSLIMLWWVVDARKWFKGPVRNIEIQNGKV >EOX91830 pep chromosome:Theobroma_cacao_20110822:1:4054987:4066158:-1 gene:TCM_000900 transcript:EOX91830 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MLMATSLIPAIPSSPSRRFSILTTPNAKFLKVPALCCFRKNGEINGLSRTPSPMDSGGQNDGEMSLEHSSNVELEMKNKEIWRLFKEAQQNILYLNKQRLKAVEELNKANREKQLLVDKIEQLEKENRRAGGKDNLALCWALLLRIDAMVLGGMISPAEATDLRRMVMDSKVSVANVFSDMPQKRDAELLAELRHFSEGSKKKGFHIIHICTEMEPLVSIGPLAPYITGLSCALQRKGHLVEVILPKYASLDLDEVQGLREIEAESFSYFNGQLHGNRIWTGVVYGIGVTFIQPLYFSSFFNRDRIYDYPDDFERFTYFSRASLDYIAKSGKQPDVLHLHNWETAIVGPLFWDIFAKQGLGNTRILLTCHGLDSQCLEEPDKLALCGLDPGRLHRPDRLQDTAKTHLVNILKGGVVYSNKVVVMSSMHSKGRIIRSSHGLEPTLALHKEKLLVAPCGFDNSTWDPSKDNFLPVNYSAENMRGKDACKVALQQQAGLSEHASFILVGFIFSEVSDFELEKLKEVVWNATKVGAQFVFMGNGAVPTINRALRSFQEELEDGNVKFFYRYDEVLSHLMFAGSDIILCQSFDDPLLQVPLKALKYGAAPVSLTSSDKNFRYSADHDHEITRLSQFMRSTFGNMTLSQALDEINNNPSTWKRKISDAMMKDFSWGAECYDIHVSAYTAVKSL >EOX94297 pep chromosome:Theobroma_cacao_20110822:1:27647636:27654291:1 gene:TCM_003889 transcript:EOX94297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein MENRLKPMDAEQLREYGHKMVDFIADYYKTIENFPVLSQVEPGYLRNLLPDSAPNQPDSFQHVLDDIQTKILPGVTHWQSPNYFAYYPSNSSVAGFLGEMLSAGLNIVGFSWMTSPAATELEMIVLDWLGKMLKLPEDFLSAGQGGGVIQGTASEAVLVVLLAARDKVLKAVGKGALGKLVVYASDQTHAALQKACQIGGIHPENCRLLKANSSTNYALSPELLNETISQDLAHGLIPFFLCATVGTTSSTAVDSLLALGKIAKSNGMWFHVDAAYAGSACVCPEFRHYIDGVEEADSFNMNAHKWFLTNFDCSALWVKDRRALVQALSTNPEYLKNKASQANMVVDYKDWQIPLGRRFRSLKLWMVLRLYGLENLQCYIRNHIKLAKHFEDLITQDSRFEVVTPQIFSLVCFRLLPPDDEHHGNKLNHELLDAVNSTGKVFISHTVLSGKYILRFAVGAPLTEEKHVNAAWKVLQDKATALLGECLG >EOX92978 pep chromosome:Theobroma_cacao_20110822:1:9705060:9715628:1 gene:TCM_001834 transcript:EOX92978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAVVFEGFSIREYASKMRSIDVVKCWPFSGASSSSLDDDDNGNSRINKQTVESLLPPITVTKFRWWSEELDRLKSTELANIQSSSSNMENNKLQETQLRNQNNLLQVYLHVEEKSDERLDMLECPVCGAFAASTVNALNAHVDSCLAQASREERRQMRMAIKATKSRAPKKRSIVEIFAAAPQIHKVEDAAEDNNLLDEDENGSFKSELNCKIERPKKKKKKKKVAIVKKLMKKKRKMKKNKNKNKKKDGLIANEENGSKLKLQIPVNFNRKPNNTLCNRGSNAVSILKKKPSIKCLSAKKKNKVVQASKPIVEHENRNSPVRGILKNPPKIISGQNAAMCNVRAISQASTCGVQHSARHVSFLGQDDMLGPHKKHATSFEKGICGIDLDSFDLSKKGHWIEGDKEFPAREINGSDDEGVSFSTENGIGVQAMMEKQQLPDIHHNVDIPKFLRPCIVEQEKENNFSDKSLPTGQVVVDSGNLHMSNQGNQTALRNPLYTGVPRLFSSVKEVQNPFINSQVCGGASTASNYSSVFVDYFGDHTQEVASISSKATARASLQPSSSGFALSKNVNESAPFASQFASETVSGYALSHQPLYHLSPIELMGRLCPFPEWKQKAVAFREKYRDEEFFGLPLNSQGELVQANSTGKGGFNQLKKSTPASGSSNSISNLVLPTRIDDHSILKGKHFIGSAHPNNQLSLFPAQYHMKENATVHSPARLGATQSQGPRKEDGYCLNSDRRCNRSVCLMDSDLNLTNISFSGCGQYDQFQNQKEKGITHAKENADKMHLNRPPPTMRLMGKDVAICRSSDERQGFADGKVWTHKEIIREHHPQGTVLQNSYVDRHFTQDWLLNPASGQFKETPDQRFEIESNQAFPSNAFMKPLESNFFQPGLNWQANPEFHNSSLTIARNPDPNSHHFAHSPTSHAIFENGADFQEPFISRNENLRVSSQLPSASTSHRIYQNINGSSVEHKYKQNLQNAVKSSFNFPFLHPDQGEHVQPSWFRGSSKSLIPWLLQATQQVKAPCTPSQPFPDEGGRRHPHTMQTSFLTNPLVPHLPIVSYDHNPMISHSHMESPVGQPYIAHSPLIPALPGIKPSSPVNMSHRNRIKFKDRMKLKSVGIQDPDICRKTRKRPRAKEDCPMKPIKIPSLGIQDKSRAATRSTRENFFDDIQCNMGSLEIDPYRDEAGLVGWIPNEPRCNGFGASAVGLSTSPAG >EOX92977 pep chromosome:Theobroma_cacao_20110822:1:9704775:9717350:1 gene:TCM_001834 transcript:EOX92977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAVVFEGFSIREYASKMRSIDVVKCWPFSGASSSSLDDDDNGNSRINKQTVESLLPPITVTKFRWWSEELDRLKSTELANIQSSSSNMENNKLQETQLRNQNNLLQVYLHVEEKSDERLDMLECPVCGAFAASTVNALNAHVDSCLAQASREERRQMRMAIKATKSRAPKKRSIVEIFAAAPQIHKVEDAAEDNNLLDEDENGSFKSELNCKIERPKKKKKKKKVAIVKKLMKKKRKMKKNKNKNKKKDGLIANEENGSKLKLQIPVNFNRKPNNTLCNRGSNAVSILKKKPSIKCLSAKKKNKVVQASKPIVEHENRNSPVRGILKNPPKIISGQNAAMCNVRAISQASTCGVQHSARHVSFLGQDDMLGPHKKHATSFEKGICGIDLDSFDLSKKGHWIEGDKEFPAREINGSDDEGVSFSTENGIGVQAMMEKQQLPDIHHNVDIPKFLRPCIVEQEKENNFSDKSLPTGQVVVDSGNLHMSNQGNQTALRNPLYTGVPRLFSSVKEVQNPFINSQVCGGASTASNYSSVFVDYFGDHTQEVASISSKATARASLQPSSSGFALSKNVNESAPFASQFASETVSGYALSHQPLYHLSPIELMGRLCPFPEWKQKAVAFREKYRDEEFFGLPLNSQGELVQANSTGKGGFNQLKKSTPASGSSNSISNLVLPTRIDDHSILKGKHFIGSAHPNNQLSLFPAQYHMKENATVHSPARLGATQSQGPRKEDGYCLNSDRRCNRSVCLMDSDLNLTNISFSGCGQYDQFQNQKEKGITHAKENADKMHLNRPPPTMRLMGKDVAICRSSDERQGFADGKVWTHKEIIREHHPQGTVLQNSYVDRHFTQDWLLNPASGQFKETPDQRFEIESNQAFPSNAFMKPLESNFFQPGLNWQANPEFHNSSLTIARNPDPNSHHFAHSPTSHAIFENGADFQEPFISRNENLRVSSQLPSASTSHRIYQNINGSSVEHKYKQNLQNAVKSSFNFPFLHPDQGEHVQPSWFRGSSKSLIPWLLQATQQVKAPCTPSQPFPDEGGRRHPHTMQTSFLTNPLVPHLPIVSYDHNPMISHSHMESPVGQPYIAHSPLIPALPGIKPSSPVNMSHRNRIKFKDRMKLKSVGIQDPDICRKTRKRPRAKEDCPMKPIKIPSLGIQDKSRAATRSTRENFFDDIQCNMGSLEIDPYRDEAGLVGWIPNEPRCNGFGASAVIDSSKIDGVTRPGPIKLGAGVKHILKPSQNVDQDNSRLIHSTIPFASVTDCGNILETQKKSTKIYRF >EOX93980 pep chromosome:Theobroma_cacao_20110822:1:18033677:18076661:1 gene:TCM_003004 transcript:EOX93980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein MANNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLRGFDVYVNMVLEDVTEYEITAEGRRITKLDQILLNGNNIAILVPGGSPDPE >EOX92620 pep chromosome:Theobroma_cacao_20110822:1:7780060:7783331:1 gene:TCM_001539 transcript:EOX92620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF177) [Source:Projected from Arabidopsis thaliana (AT3G19810) TAIR;Acc:AT3G19810] MSLVISSSSGTFSSQFTLFKACNEKFQQPAFSIPCSFVVHCKLPWSVTLNSQKNFRSKSLNVLKPVRDSINQNSEYFTEENTITFDWEDQEDIEDIGSPWEGAVMYRRNPSITHLEYCTTLERLGLGKLSSDISKSRASVMGLRVTRAVKDYPNGTPVQISIDVTRKKQKMRLDGIIKTVITLGCNRCGEPAAEGIFSNFSVLLSEEPIEEPEIIDMGATFEEGFKSVYGSNQEVEEDDDASIDWDDRLYFPPEEKEIDISKHIRDMVHLEITINAVCDPRCKGICLKCGTNLNTSSCNCSEEIKEKGYGPLGNLGKQIQQKLS >EOX92975 pep chromosome:Theobroma_cacao_20110822:1:9685485:9687769:-1 gene:TCM_001832 transcript:EOX92975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVGSTKTASQLNFLNFNNCNVIARKITLQVCNVNFRSPPPTGEFKFNVDNSAKGKPGPVGCNGVLRDSNGHVVGLFFCLIGLHDSNIAELMAILKALKLFAASPYTSSPLIIESDSRVALSWVNSVEKRPWDKWSILNELNSLRITLGTVSFKHIFKEGNDFVDSLAKYGVNNNTSFSAWW >EOX95771 pep chromosome:Theobroma_cacao_20110822:1:35518526:35527627:1 gene:TCM_005191 transcript:EOX95771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFVLRISAEISFCLALGILSFARRDSLPARPPAVLGALVSAPTERLLKFSHKQPYVLKARLYSTQSIETRTML >EOX95593 pep chromosome:Theobroma_cacao_20110822:1:34750648:34753222:-1 gene:TCM_005042 transcript:EOX95593 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MSQQRQFQMVGGNNPGQYNDTTFTKIFVGGLAWETQRDTMRRYFDQFGEILEAVVITDKNTGRSKGYGFVTFKDPEAAMRACQNPSPIIDGRRANCNLASLGAQKTRPPTPQHGAGRFRPAPGLMAPPAYHGSSSTYVQQPTGQYSIPYSAYGYTGYSQDSIYPLNYYSLYGGQQFSPYYATGASVTPGMFHNFYPFYAQYAQNSQAHGFGVQYPQMVQYPYLPQQYSSTGILSLPSSMPMAATTAGIAATNTAATTSSTVTIKTTPPATTAPLPPAATTTTTTTTITTTTGVVATGQGPSQASGTPTEKNPSS >EOX95594 pep chromosome:Theobroma_cacao_20110822:1:34750648:34753689:-1 gene:TCM_005042 transcript:EOX95594 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MSQQRQFQMVGGNNPGQYNDTTFTKIFVGGLAWETQRDTMRRYFDQFGEILEAVVITDKNTGRSKGYGFVTFKDPEAAMRACQNPSPIIDGRRANCNLASLGAQKTRPPTPQHGAGRFRPAPGLMAPPAYHGSSSTYVQQPTGQYSIPYSAYGYTGYSQDSIYPLNYYSLYGGQQFSPYYATGASVTPGMFHNFYPFYAQYAQNSQAHGFGVQYPQMVQYPYLPQQYSSTGILSLPSSMPMAATTAAGIAATNTAATTSSTVTIKTTPPATTAPLPPAATTTTTTTTITTTTGVVATGQGPSQASGTPTEKNPSS >EOX95794 pep chromosome:Theobroma_cacao_20110822:1:35591390:35594638:1 gene:TCM_005208 transcript:EOX95794 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein isoform 2 MEYVSPEGLRLDGRRPMEMRQIRAEIGTVARADGSAVFEMGNTKVIAAVYGPREVQNRSQQISDQALVRCEYSMANFSTGDRMRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLNSTPLLDLNYIEDSAGGPDVTVGILPKLDKVTLLQMDAKLPLDIFENVMGLATEGCKAVANYIREVLLENTKQLEYRRGL >EOX95793 pep chromosome:Theobroma_cacao_20110822:1:35590296:35595128:1 gene:TCM_005208 transcript:EOX95793 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein isoform 2 MMQVRPNLDYEGPKSHQHHRAFVFGFEYGFLKEKLDQKEAAKRANEQLGFVFDIGPNPWSKPATSSFGCKPSLVKMEYVSPEGLRLDGRRPMEMRQIRAEIGTVARADGSAVFEMGNTKVIAAVYGPREVQNRSQQISDQALVRCEYSMANFSTGDRMRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLNSTPLLDLNYIEDSAGGPDVTVGILPKLDKVTLLQMDAKLPLDIFENVMGLATEGCKAVANYIREVLLENTKQLEYRRGL >EOX91516 pep chromosome:Theobroma_cacao_20110822:1:2961078:2963744:1 gene:TCM_000675 transcript:EOX91516 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein MATNFKSIPVIDIAPLLAKWDDPGMAQDLAVGEVVKQLDQACRETGFFYVKGHGVPESLMEEVRSMTHQFFNLPYEEKLKIKMTPAGGYRGYEKLKENITKGVPDLLEAVDFYKEIKKGTYGTYGEIMAGCNQWPQDPPNFKTRMEVKIMRGIALALGASPDEFEGERGGDAFWMVRLNGYPGISLVDGQDVQENEIGCGIHTDYGLLSLINQDNDITALEVKNLSGEWIPATPSPGTFVCNIGDMLKIWSNGLYEATLHRVINNSLKYRVSVGYFYEGNLDAVVEPLGVCVKKCGGSRMNARAVYGEHLINKVKTNYVM >EOX95752 pep chromosome:Theobroma_cacao_20110822:1:35451954:35453347:1 gene:TCM_005177 transcript:EOX95752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein MDKRRRKQAKTSSCCSEEVSSIEWEFINMSEQEEDLIYRMYKLVGDRWALIAGRIPGRKAEEIERFWIMRHGEVFANRRRELKRYNS >EOX93523 pep chromosome:Theobroma_cacao_20110822:1:13745996:13747095:1 gene:TCM_002410 transcript:EOX93523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-3 MADSDNESGGQNNSGGNAQSETSAREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKIYLQKYREMEGEKTSMGRGEKDGASGGSAGGASGGGSGGSTGGGGVGSGGGGGFNGGGMYGGMMMMGHHQGHVYGSGGFHHQMSVGKGGAAAAASGGAGGGAAVRSR >EOX96779 pep chromosome:Theobroma_cacao_20110822:1:38958684:38959661:1 gene:TCM_005950 transcript:EOX96779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARLFKKERKLAVEGKGKTRKEEGEGKMEPLLEKPMLTKATASRLKGGRVERKGLVDRVIGRKRGEQNEEKRKGLPD >EOX96330 pep chromosome:Theobroma_cacao_20110822:1:37384846:37389154:-1 gene:TCM_005601 transcript:EOX96330 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein, putative MKTEIYELKQGCYTASGKKEKKKAGSALFDHFTSVFPVGPKTLDEDVRGGHIKEYFKCSLCCQYCHPHRWACHTMWSSLRQLQFLSMLNWGSSRSSRHAKATNTLTVGPNLTGKKLRGFLRTGHPWGVFSIVCFVVTTNPSSFLDLYKQKTPVYPGNLLLIPEMPLKKGQVGKVQIKERRGNKYRNGQVSHFFTLFLCFFKTLSPQGKDRVQSSQPLSFVNGKALGGHMRSHMLHFPVPPKVEEQERLEVAQNQPSEESEAASASFSSSEEEGEEKGQFYGLRENPKRSVRLVDPEFVDAGSVVLQDRESETESHKNPTRRRSKRTRKILEHHQYHHQQQESQELQEETIKKLKDNNNQLSKTESWAEPEPVSSISDTTTEEDVAFCLMMLSRDQWKSKVHQEDDEEEATEIEKSMEETDESEEYFKISKVNRTTRGKYRCETCNKVFKSYQALGGHRASHKKIKAYSPATHETELEAENVGACSVTEKKIHECPVCFRVFSSGQALGGHKRSHVTGQVVATTESTPVKSSKKLVDNLIDLNLPAPMDDDDVSQIELSAVSDAEFVVCNNV >EOX93283 pep chromosome:Theobroma_cacao_20110822:1:11884982:11887669:-1 gene:TCM_002131 transcript:EOX93283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 3-like protein 3, putative MQSIDIIISSFISPYPFPLYFTMTAMDTLEEEALDRLQMESAVESAQIDSLPSLDEVEDILGYQFNNKGLLEEAFTHASLGKSFSSERLEYVGDSVLNLLFTKQQYFEYPDLPPGALTRLRAANVDTEKLARVAYKHGLHRYLRHKKPLLAEQIRQFSKEIQRYPLRSNGLVDVPKSLADLVEASIGAVFIDSNSSIDTVRTVFKDLLEPVIRRENLEIHPVTQLYEVCQKRNLKVKFVDLWKESTAFDVFVDDRLVGRGSCSLKKEIAHNRAAKDALDNIRRILDEKDNSRNLGVCSAHR >EOX91167 pep chromosome:Theobroma_cacao_20110822:1:1830815:1833256:-1 gene:TCM_000444 transcript:EOX91167 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 72E1, putative MQTTKPHVALLASPGLGHLIPVLELGKRLVTHHNFRITIFVLASEASTAQNQLLESSNMDVLNIVSLPSAEISTKVDPGAHIVTKIVVIMRESLPGLRSAIAAMKSRPSALIVDLFGTEALPVADEFKMLKYVFIASNAWFLGITVYAPTVEKIVDEEHVKQQKPLKIPGCKSVRFEDTLEAYLNRNDQLYGEYARVGLEIPEADGILVNTFEDLEPATLRSLTDAELLGRVAKVPVYPIGPVVRTLGPLVLADPVLDWLDKQPSQSVIYVSFGSGGTLSAKQMTEIAWGLEQSQQRFIWVVRPPVENDASGTFFTVGNDSDGTPDYLPDGFLTRTRDRGLVLPMWAPQTDILAHPSVGGFVSHCGWNSTMESLLNGVPLIAWPLYAEQKMNATMLTEELGLAVRPKMSTSSRIVERKELEMVVRKIMVDKDGQEIRDRAKELKHIAQKALSKGGSSCTSLSQVAKEIEMSLKAKVQGA >EOX96730 pep chromosome:Theobroma_cacao_20110822:1:38793565:38806548:-1 gene:TCM_005920 transcript:EOX96730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) plant phosphoribosyltransferase family protein MPPEEEPDFSLKETKPNIGGGRVSGGAKLTSSFDLVEETHFLFVRVVKARDLPWNNARSTCDPFVEIKIGNYRGTTKFMEKKPNPEWNRVFAFRKERIQTLSVDITVRQKESVNDEFIGAISISVSDIPTRVPPDSPLAPQWYKLEDKNGVNMGRGELMLVIWFGTQADEVFPDAWHSDVATVSGESIINTRSKVYLSPRLWYLRVNIIQGQDLVTPDKNRNPEVYVKASFGNVNLRSRVSQDKSVNPRWNEDLMFVAAEPFDDPLILTVVDKLGNNKEESLGRCVIHLSKVYKRWLPEPVAAKWFNLEKVLVEKSGEVKELKFASKLHMRISLDGGYHVFDESIHCSSDYRATYKALWTPTIGVLELGIIGASGLVPMKSRDGHKTTDAYCVAKYGPKWVRTRTAVDSFSPKWNEQYTWDVYDPYTVLMIGIFDNCHLHGEDMLGGPKDSTIGKLRIRLSTLSTNRIYTYSFPLIALQPSGVKKMGEIQLAMRFTCPSYTNLLAAYTRTLFPKMHYTHPMSVYQLDSLRQQATLVLCSRLSRTEPPLRKEVVECMLDAGGQMWSLRRGKANLQRLMAAFNVFVEAWKWFDQIRKWKNPAANVLVLLSYLIMLFCPNLILPTLLSYCFLIGIWQYRKRPRNPPHMDIKLSLADSTNADELDEEIERGSLLNRLQSKMVELQYSNQVGDDHASICQ >EOX95946 pep chromosome:Theobroma_cacao_20110822:1:36106491:36113944:1 gene:TCM_005321 transcript:EOX95946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 4 MEINKGVFIVILIVVLSAGLGSAGDIVHQDNVAPKRPGCANNFVLVKVPTWINSLEDNEYVGVGARFGPTLESKEKHASRTRLALADPPDCCSKPRNQLTEGEVILVHRGNCSFTTKANVAEEVGASAILIINNQTELFKMVCESDADVDIQIPAVMLPQDAGSNLEKYINNNTMVSVALYSPKRPAVDVAEVFLWLMAVGTILCASYWSAWTAREVAIEQDKLLKDASEQFLQAGGVGSSGFVDINTTSAILFVVIASCFLVMLYKLMSFWFVEVLVVLFCIGGVEGLQTCLVALLSCFRWFQRFAESFIKVPFFGAVSHLTLAVCPFCIAFAVVWAVYRRISFAWIGQDILGIALIITVLQVVRIPNLKVGTVLLGCAFLYDIFWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKIPRMFDPWGGYSVIGFGDIILPGLLVAFSLRYDWLAKKNLRAGYFVWAMTAYGVGLLVTYVALNMMDGHGQPALLYIVPFTLGTFITLGKKRGDLKILWTRGEPERPCPHVQLQPLQEK >EOX95994 pep chromosome:Theobroma_cacao_20110822:1:36254837:36258782:1 gene:TCM_005353 transcript:EOX95994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ripening-related protein 1 MKKQVCSSVFLLLCVLFLFLGAEAQTCNPSGKIRGKNPPPGQCNQENDSDCCKDGKWYTTYKCSPPVSSHTKATLTLNSFAAGGDGGAPSECDNQYHSDDKPVVALSTGWFNHKKRCLKYINIYGNGKSVRAKVVDECDSTMGCDSDHDYQPPCPNYIVDASKAVWKALGVPESDWGDMDIYWSDTD >EOX92928 pep chromosome:Theobroma_cacao_20110822:1:9468090:9469050:-1 gene:TCM_001790 transcript:EOX92928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPAKPLKTMPFCLVLPASACLYETTSFCPRTLAGESLRLPLPASSSLLSSLCRRVLKSRVVQFFGQPGEGGSGTPGVGGQNPHPA >EOX96189 pep chromosome:Theobroma_cacao_20110822:1:36913011:36915527:-1 gene:TCM_005492 transcript:EOX96189 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 22 MLTERERERENVGCWGPMGRKEMDPVTAHGRPLPPPFLTRDLHLNPHHQFQHHHQQENSEEEQNRGQKRDREETATTTTATATTDTSEGKELAIIPGTEGEITRRPRGRPSGSKNKPKPPIIITRDSANALRSHVMEIANGCDIMESISTFARRRQRGVCILSGSGTVTNVTLRQPGAPGAVVTLHGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQVVGGSVVGPLVASGPVVIMAASFGNAAYERLPLEEEEQPVAPIPGSGPLGSPSSMVGQQQQQQQPPQQQQLLQDPNGSFVQGLPPNLLNSVQLPAEAYWGTGRPPY >EOX93733 pep chromosome:Theobroma_cacao_20110822:1:15474500:15477764:-1 gene:TCM_002657 transcript:EOX93733 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein MAISTLQKLTSQIHRLPSLSSHILTRSSATLSSSKKVADRIVKLFAIDPEGQKREVVGLAGQTLLRALTNGGLIDPASHRLEEIDACSAECEVNIAQEWLEKLPPRTYDEEYVLVRNSRGRILNKHSRLGCQVVLTPQLQDFNVLFDLLILCQDKSLQGDKLT >EOX93938 pep chromosome:Theobroma_cacao_20110822:1:17598288:17600739:-1 gene:TCM_002945 transcript:EOX93938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISSKVIIWEWSPLIMTLSRTHQDRIEYFYQKVAPPPPTAPPLPSSPPQVLGLSYLYIALLHYQSDEIW >EOX92868 pep chromosome:Theobroma_cacao_20110822:1:9096688:9100545:1 gene:TCM_001730 transcript:EOX92868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiprotein bridging factor 1B MSGVGPISQDWEPVVIRKKAPNAAAKRDEKAVNAARRAGAEVETVKKATAGTNKAASSSTTLNTRKLDDETENLAHDRISSDLKKNIMQARMDKKMTQAQLAQMINEKPQVIQEYESGKAIPNQQIISKLERALGTKLRGKK >EOX91665 pep chromosome:Theobroma_cacao_20110822:1:3473096:3478195:1 gene:TCM_000783 transcript:EOX91665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRKRTRRGSEIGGRVWGQDNPLPSPLEASEEDNPYTLLYLFLSFTFHLLLRREFGEKIRDQPSIKPTKQK >EOX90712 pep chromosome:Theobroma_cacao_20110822:1:426956:430539:1 gene:TCM_000102 transcript:EOX90712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRWDKETSHFKIISSLNHPGCQNPLLLKAISLQLDSLITVFGGLVCYKAFQQWKAAAPFSFLIPLKSLTSAMLIFKSKLSLSRMKRVTMAAFSSKSTNRYSVRSISFPARSHPSTLRMEEELNKLRSWQGASSSNAETLCTSLFGLAELNICVEDLLNLPLTQQALAQHHNEKWDNELLDCSLKHLDLCGNTRDAILSMKQSARELQSALRRSKGGELSIESNIKAYISFRKTMKKGIANSLASLKQMDNIFGDFPQLEQNHHLSAVAGVLREASLITTTIFHALLLFLSPSLLKPKPSKWSLVSKLVRKGLTACEEHQNMNELERVDAAVSNLLLQSSRDDFEEEKIQSAKVKLESLDAIFEGFEDGLECLFRSLIHTRVSLLNILSH >EOX95870 pep chromosome:Theobroma_cacao_20110822:1:35839828:35845088:1 gene:TCM_005268 transcript:EOX95870 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MNTTTTTKTSSRLRSSAAMPHRNNPTPLPLAVSLNCIEDCVLEQESLAGVALVEHVPLSRLGEGKIEAAAAVLLHSLAYLPRAAQRRLCPYQLILCLGSSDRAVDSALAADLGLRLVHVDASRAEEIADTVMALFLGLLRRTHLLSRHSLSASGWLGSVQPLCRGMRRCRGLVLGIVGRSASARSLASRSLAFKMSVLYFDVIEENGKVSSSSITFPSAARRMDTLNDLLAASDLISLHCALTNETVQIINAECLQHVKPGAFLVNTGSSQLLDDCALKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPRSADYSEEVWMEIREKAISMLQTYFFDGVIPKDAISDGDEEESEIVDERGQFSRQDKESALQGSTSEQLTDDIQPSPESSLKKDTNQSKEYPNQNQGSGLSHNTATKSDTRRGRSGKKAKKRHARQKTLQKPDEPLILEKESTSQREDDTAMSGTDQALSSGSRSPEDSRSRKTPIELMQGSTSDQLLKASKKVSGLSADTLKDGYVIALYARDRTALHVSRQRVKGGGWFLDTMSNVTKRDPAAQFLVVYRSKDTIGLRSFAAGGKLLQINRRMEFVFASHSFDVWESWTLQGPLEECRLVNCRNPSAILDVHVEILAAVGEDDGVTRWLD >EOX96350 pep chromosome:Theobroma_cacao_20110822:1:37462226:37465344:1 gene:TCM_005614 transcript:EOX96350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEGKKNVKKKVVRSLPHSTQLPTAHSFTRNSLSFFFGVGGCGVWGLRLALKAMAIALSAQSLWTVGYYIKLAKERKKEKINNEEEQLLLAKVSALVEETWHHVTWKSGGDPEASAWHVRSHVPINRMDGL >EOX93029 pep chromosome:Theobroma_cacao_20110822:1:10012297:10021180:-1 gene:TCM_001884 transcript:EOX93029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKCAAMVVVGYRPLLRPTCLASTSKINTEQLRYQLDQLHAEAETTRTKASNARLRFLRLSEAAEKLRRQAAVSIQRGSENEARDLLFQKKKVLQALEKSKSRIELLDKLSTKFNEAITVKETQLIGNIASETEIGREDDSTPVWIVSPKERTANDKIQNKDIAHDARTLCEDQKLLLHTDDPVEQPANEELEEHQAFPSFSAFNEDTINSSLAAISTYEDFLKHLEQQIKKIEQELVTVLNVSTLLLDDEKPKNLKVQQTRELLESILDIRQRITNMRQTKVEIS >EOX91140 pep chromosome:Theobroma_cacao_20110822:1:1719696:1724100:-1 gene:TCM_000421 transcript:EOX91140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MGRQMTQVSMAAEAGENQTSLNKYACSCAMVASFISIIFGYDTGVMSGAMIFIKEDLKISDVQLEVLAGILNFCALVGSLLAGRTSDYIGRRYTIVLASIIFLLGAILMGYAPNYAILMTGRCTAGVGVGFALMIAPVYSAEISSPSSRGLLSSLPELCISFGILLGYVSNYFFGKLTLRLGWRMMLGVAAIPSFALAFGVLKMPESPRWLVLQGRLSDARKILSLVSNTEEEAESRFRDIKVAAGIDANCIQDVVKPPSNSRGQGVWKELLLPTPTVRWILIAAIGIHFFEHATGIEAVILYSPRIFKKAGVDGKDKLLLATVGVGLTKTTFILVATFLLDKVGRRRLLLISTGGMVVALTALGFGLTMVERTGEKLLWALSLCIASTYIFVAFFSIGLAPVTWVYSAEIFPLKLRAQGVGIGVAVNRVMNATVSMSFISIYKAITIGGSFFMFAGIAVVAWWFFFFLLPETKGRSLEEIETLFTRKTKPKKEGLEIQPRSNAEA >EOX92294 pep chromosome:Theobroma_cacao_20110822:1:6102402:6106193:-1 gene:TCM_001259 transcript:EOX92294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MAFICIFEVLLAIICFLFLFCLRNNVHGTPKNFPLVGMMPGLLLNTHRIHDWCTEILERCQCSTYLFQGPWFAKMNMLVTCDPANIHYIMSSNFCNFPKGSEFKEIFDILGDGIFNADMDLWKKQRKAAQGFMRHQLFYLFLLRTSRAKVEKGLIPVLEHVAKRGLVLNLEDVFQRFAFDSTCILVTGYDPECLSVEFPEVLFSKALDDAEEAIFYRQIRPQSFVKLQRWLNMGQERKYRKAWEVLDDIIPKYICQKREELNKLKEGFVSEIDEEGVDLLTSYITEEKTTDLKCDDKFLRDTILNMMLAGRDTTSSGLTWFFWLVSRHPKVENKIIAELESIIPAEETKKWRLFNAEEVKNLVYLHGALCEALRLYPPVPFQHKEPLKPDSLPSGHPVYPKTKILFSLYALGRMKSVWGEDCFEFKPERWITDRGGNKHEPSYKFLSFNAGPRTCLGKEVAFVQMKCVASAIIYNYRIQVLEETPVVPAVSIILHTKNGLMARVSRRWA >EOX95489 pep chromosome:Theobroma_cacao_20110822:1:34431842:34435442:-1 gene:TCM_004975 transcript:EOX95489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKKKGRRDFLSELNKEGEMELERGREGSLRREKEEEEMWVLVFERLKGKKKWDLAIDDEESMFSSSRRVSFLGFSNGLGG >EOX91250 pep chromosome:Theobroma_cacao_20110822:1:2049293:2051501:-1 gene:TCM_000498 transcript:EOX91250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II subunit O-2 MAASLQAAATLMQPTKVGVPSRTSVQLRSSQSVSKAFGLEPVGARLTCSLQSDLKDLAQKCVDATKIAGFALATSALVVSGANAEGVPKRLTYDEIQSKTYMEVKGTGTANQCPTIDGGVDSFAFKPGKYYAKKFCLEPTSFTVKAEGVSKNAPPEFQRTKLMTRLTYTLDEIEGPFEVSTDGTVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPESFGGDFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEDLAKENNKSVASSSGKITLSVTKTRPETGEVIGVFESLQPSDTDLGSKAPKDVKITGIWYAQLDS >EOX93510 pep chromosome:Theobroma_cacao_20110822:1:13649953:13650817:1 gene:TCM_002399 transcript:EOX93510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLALVSEQLDRILLHLQRNLRQVITHQGLIDISTCLSSIARRVSYQLNGSSRGIQFEVLPPKAATLALHSQAIRYFLS >EOX91882 pep chromosome:Theobroma_cacao_20110822:1:4305338:4309310:1 gene:TCM_000943 transcript:EOX91882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein MANHFCCSWSLPCFLPFLFLLPCVNSIHFKISRFDPEATNIVYEGDARPYVGAVDFNSVSYLCRVGRVSYAQSVPIWDSGTNKVADFTTHFSFFIDTLNRNISMYAAGLAFFLAPVGFEIPPNSAGGFLGLFNTTTSDSTRNQIVLVEFDTFPNPEWDPPVQHVGINTNSISSAEYTPWNFSLYSGKTADAWISYNATTKNLSVTWNYQATTISQHNFSLSHHIDLKQILPEWVKIGFSAATSHFQEGHRLLFWEFSSSLEIKETKGKKTKNVKLIAVLAVLLGILVTVVSVLFVFFRRWKKMKEEREERTNLTSINDDLERGAGPRRFSYTDLVVATNNFSNQRKLGEGGFGAVYKGYFNDIDTAVAVKKIAKGSRQGKKEYITEIKIISRLRHRNLVQLIGWCHDGVEFLLVYEFMSNGSLDSHLFGKKTPISWPFRYKIARGLASALLYLHEEWEQCVVHRDIKSSNVMLDSSFNVKLGDFGLARLMDHELGPLTTGLAGTLGYMAPEYIRTGRASKASDVYSFGIVALEIAAGRKSVDPIEENSQRGLVEWVWHLYGTGNLISAVDQRLHADFDEKQIECLMIVGLWCAHPDSNLRPSIRQAIQVLDFDVALPNLPVKMPVPTYHQPIASSGSNEPLMTDSNLEVGP >EOX93356 pep chromosome:Theobroma_cacao_20110822:1:12303056:12306839:1 gene:TCM_002197 transcript:EOX93356 gene_biotype:protein_coding transcript_biotype:protein_coding description:F13M7.2 protein, putative MHRLRSRGTSLLGSMAVPHLKRKALNSWAAVQDTYFSTKDTFERHKVVFTVGTSIASVATAWFGYSLRHYHETKVDQRLESIEKAMQNNYHLEHTDIKKLVDPGPSKTAAWVATAGTALVVGYGLGWRGGTWYANRKFRKEQLKLLGQIKPKRWELLGQIKPRGWQLRFLKSSARSRGPESTLKASEKMLKNAPASCNSVEANQSC >EOX94241 pep chromosome:Theobroma_cacao_20110822:1:26558554:26559602:1 gene:TCM_003776 transcript:EOX94241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7 MEEVKLLGTWGSPFSYRVLWALKHKGVKYEYVEENVFNKSELLLRSNPVHKKIPVLFHGGKPIAESIVILEYIEEIWPENPLLPQDPYERTLSRFWIKFGEDTAPAFYKFFHTVGEEQVKATKEARQLLKIIEEHGLGEKKFFGGDKIGLTDIAYGWVACWLDVLAEAAGVQLLESDSFPRLQAWAENFKEVPLIKENLPNRDKMLTFFTLRREKIIASANS >EOX96654 pep chromosome:Theobroma_cacao_20110822:1:38551847:38552388:1 gene:TCM_005856 transcript:EOX96654 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA/RNP complex-1-interacting phosphatase, putative MASAALSVHHSFYYKNSSAQELKSRSRTRNCPRILIVLSCQNNEPIERSTTKAKKGKDEKRQLFALVFTGVQKFGRGLKENMSPQQKGDWKDVMLMSLSFAVYVYMSQKIVCAYVAWMSMPRQPW >EOX91328 pep chromosome:Theobroma_cacao_20110822:1:2336589:2339578:-1 gene:TCM_000560 transcript:EOX91328 gene_biotype:protein_coding transcript_biotype:protein_coding description:ASYMMETRIC LEAVES 2-like 1 MSSSNSPCAACKFLRRKCTQECVFAPYFPPDNPQKFANVHKVFGASNVAKLLNELNASQREDAVNSLAYEAEARLRDPVYGCVGLISILQHRLKQMQHDLYNAKKELSTFIGPQAMLPILQPPAFLPQHVGNPSSSAVMQHNMMPMMGIPTAAAVAAAASHVGQLAIREPQQQQQIFEAQQQLAAAAAAREQQEMFRGYEQQHHQDQQQEIVRFNSGFEGAGSVTATGFNQMTTAATMSPSLALGSFDNPYQIQTQQQDHHHHSHGGHSLQAQLLLQPQQVQPQQPAQQNQQQQTQQNQQQQQQRSGSEEGRSIGPC >EOX92655 pep chromosome:Theobroma_cacao_20110822:1:7957989:7969058:-1 gene:TCM_001572 transcript:EOX92655 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAD4, putative isoform 2 MGTETSCENKFEMSETLATFLASTPLLEEAWRLCSIADTTFPGAYLVQQIGSVAYVAFSGRQPDSGSDQSCENPARLDAEDGGLFAPLYRHSEAEEPIKLHSGMLRLFLSMHQSLQIQIASLIGKVKSVVITGHSIGGTTASLSALWLLCQLQSMSFPIISVLCITFGSPLLGNEALHRSILRERWGGNFCHVVSKHDIMPRLLFADIVPNNISKIHTLINFWHHCMAAPHLTSLSSQLADEVKDIFHCVLKDLELLAQAEEPSDNVFWPFGSYVFCCQEGAICLDNVASVIKMMYLMLATGSPSCSIEDHLKYGDYVGKVSKHFLRARNFHEEDLPDSSYEAGVALALQSTELVIKEPVQDLYLSEQNSNLRKEVVIMAKDCLQMAQDGNKPNLTAANLAIKLSKIVPFRAEIEWYKACCDDADDQMGYYDAFKLKGRSKREYRVNMNRHKLAGFWNSVIHMLENNKLPQDFHRRGKWVNASQFYKLLVEPLDIADYYRAGKHRERGHYIKHGRERRYEIFDKWWRDRSVPEEENKRSKFASSTQDSCFWAKVEEAREWLDNVRSESDVKKRDLLWHNIDLFEQYSRKLIENKEVSIDVLAKNSSFSRWMEDWKELKSQVQQFPPRFPGFVDGEVVP >EOX92656 pep chromosome:Theobroma_cacao_20110822:1:7958919:7963743:-1 gene:TCM_001572 transcript:EOX92656 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAD4, putative isoform 2 VSNSKPCENKFEMSETLATFLASTPLLEEAWRLCSIADTTFPGAYLVQQIGSVAYVAFSGRQPDSGSDQSCENPARLDAEDGGLFAPLYRHSEAEEPIKLHSGMLRLFLSMHQSLQIQIASLIGKVKSVVITGHSIGGTTASLSALWLLCQLQSMSFPIISVLCITFGSPLLGNEALHRSILRERWGGNFCHVVSKHDIMPRLLFADIVPNNISKIHTLINFWHHCMAAPHLTSLSSQLADEVKDIFHCVLKDLELLAQAEEPSDNVFWPFGSYVFCCQEGAICLDNVASVIKMMYLMLATGSPSCSIEDHLKYGDYVGKVSKHFLRARNFHEEDLPDSSYEAGVALALQSTELVIKEPVQDLYLSEQNSNLRKEVVIMAKDCLQMAQDGNKPNLTAANLAIKLSKIVPFRAEIEWYKACCDDADDQMGYYDAFKLKGRSKREYRVNMNRHKLAGFWNSVIHMLENNKLPQDFHRRGKWVNASQFYKLLVEPLDIADYYRAGKHRERGHYIKHGRERRYEIFDKWWRDRSVPEEENKRSKFASSTQDSCFWAKVEEAREWLDNV >EOX90811 pep chromosome:Theobroma_cacao_20110822:1:700582:701118:1 gene:TCM_000180 transcript:EOX90811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNGIEPRTCIPDGRGYTSSDSTIEYLPRWLPHDMIVMLHAKWHRAPDVHPRWQRFACAWLALEGFRGSTVHIWVVGSGP >EOX92018 pep chromosome:Theobroma_cacao_20110822:1:4936969:4937546:1 gene:TCM_001041 transcript:EOX92018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLSEADHGCKKHPHHQEKQGVCPSCLRERLSRLCSASHKEVSRVSPSCSTSLPFSPAYFSSASSSKSASPARHSLHKPHGPVVMGSLSFMVKVGNGLKKSRSIAFVPRNLDDEEVKDGKKKKGFWSKLLGFKGKKDVLTHSTSMRMIERVK >EOX95446 pep chromosome:Theobroma_cacao_20110822:1:34247333:34249946:1 gene:TCM_004941 transcript:EOX95446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METSGIVYRRVPRLAGIRVDFGGRFSRELNLGVGDSRVSVRPRNSCGKLSCQFSDSGHIQYYVSPRAGAAKKKEKEKSCEIKRVKTKLKFIKRLSKDLSMLPRMADGEDIGIGLMGEVKTTMISEASDVLLAQLQQLRSEQKELKGKLKEERARLKATLEKSESSSSSESSDSECGKVVDMKRLRSNALKPLQDLEAPSDNALKRTEDMEAAPTVTEEGTLANSVMELGNKHRALADMEAPMVTEEATLASSLMELENSDSSPQIRIQEPCSGFGSECCSSNGFKDDISNRIVEGASTKKIEICMGGKCKKLGAAALLEEFERKVGAEGTVVGCKCMGKCKTAPNVRVCDSPSGIEARSIQDSIRIGINPTCTSVGLQDVDLIVANLLGKGIDDECLMLSP >EOX92993 pep chromosome:Theobroma_cacao_20110822:1:9837816:9843459:1 gene:TCM_001856 transcript:EOX92993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCETANKAWSKLEKEFLRSARSKHVCLQNLRRKYELLRMKENQTVGEFVEDLMKLVNQIRLMGDSLIDLKVVENIMLSLPERFNSIITSLEQVKDITKLLISDLVLWKLMNRGRQQEEMKGLGVKCNACKQLGHVEKVCKNKAEVADKKQQIAKQVEKVEVAEEVLFMTIEDSNSADYNHWLLDSACSNHMTSKAELFLELDTDHCSSVKIGNGFILNAVGKGTVAIQTASGTSYVLNVLLVPEITQNLLSVGQLIDEDYMLVFKNNACTIYESSGVYMMFVPMVRNCFSVKWGNLCLSAIESSSEQIYLWHKRLGHCNYKSLLQVSASGLLLNLPKLHGDGPICSFCQYGKLTRRSFPKASLNRAEHRLEFVHSDVAGPINNGGEYISSEFTISLEKEGIHHQLTTPYCLEQNGVSERKNRTIIEMSKCLLFEKKLPKSFGAEVANTAVYLQNILITQAVNNETPYEAWYSSKPSVDHLRIFESICYFHVPEELRDKLQPKAKLGVFIGYSQQSKAYRIYQIESGKVSVSRHVTFDEDRPKHHHVLGVKWVFRMKLNSDGSLNEHNARLVVKGFAQLPGVDYHETFAPVAKMDTIRLLLALKKFSLSSLSFESEPNRDKVYKLHKALYGLKQAPRAWYCKFDDYLKGNTGIFICQSNYIGETLDKFKMTDCKTVATPLIPHEKLSIDKGSALENPSAFRSLIGSHQDLT >EOX92570 pep chromosome:Theobroma_cacao_20110822:1:7554118:7559328:-1 gene:TCM_001507 transcript:EOX92570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spotted leaf protein, putative MKEAQMTIPDLFTCPISLDLFTDPVTLCTGQTYDRSSIEKWLAAGNLTCPVTMQKLHDLSLVPNHNLRHLIEQWRQMGHQFDPDCLTTIDPLVSLKHNLESPEATLQDKLQTLENIRVLTEETPSSNSFLLRIGFLPLLLQLLFEKVDPEFSQEYVRFVELGLSCVLRLLPFGELECLNMLKEESMLESFVVLFEHGTAMIKQSLCHLVGEISSSSETRELCAMIGKHQRFLHRIVSLIQQNSETSDAGIKAISALCCLESNRENLVRQGLINGLITYILNSEEGKKLGSNSHGKTGTTSANRESQRGTDKQSARR >EOX92716 pep chromosome:Theobroma_cacao_20110822:1:8253390:8255111:1 gene:TCM_001615 transcript:EOX92716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGGAEKSKKSALRIYDERVEKSKSWRRNMSGGKSQLKTEDKHARCWEMRECATTAQIQYICRYNCYLQGLSIHGLSPYG >EOX91168 pep chromosome:Theobroma_cacao_20110822:1:1835387:1837433:-1 gene:TCM_000445 transcript:EOX91168 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 72E1, putative MAMTKVKKPHAALLASPGMGHLIPVLELGKRMVTHHDFLVTVFVVASDPASTSLLKSPTPNDDLGIIALPSVDISSLVDPKAPLVAKLLVLMRESLPSLRSSIAAMKCRPTALVVDLFGIDAFAIADEFGMLRYVFDTTTAWFLAVALHSSSIGKNVILEEHVKSQKPLKVPGCKSLRFEDTLESFLNIDRFDGSQFMGTEMTKTDGILINTWEDLESTTIKALRDNNFLGRVVKVPIYPVGPLIRKDGKQVLDKEVKIWLDKQPTESVIYVSFGSGGTLSAKQITELAWGLEQSKQRFIWVVRPPSENALGTYFTIGKNDGDGTPDYLPEGFFTRTKDIGLVIPMWAPQAQILSHPSVGGFLSHCGWNSTLESILNGVPMIAWPLYAEQKMNAALLTEEFGIAVRPKVSQTDKIVERDEIATMVKKIMVGDKEGHAMRTRIKELNSSAEKALSRGGSSYHSLSQIAKDCLQRLQA >EOX94210 pep chromosome:Theobroma_cacao_20110822:1:25803880:25820778:1 gene:TCM_003706 transcript:EOX94210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARSDKKAFDLARERGSKSTKPRFGVPQETLVLCSSGSTRSWCLSRSTILGAPQEASMCDVPNVKLMITYNGHWVDDTYKSGETLVRGVGTYLLFLGLMELVEDVVGVKSWNREIKLHELLSHATEVSHLVFRDNEDLASPLPFTNDIAMAMSDDDAFDQMHDECVEDGIVDWNGDDYVGKHDNYLKEDSGDNNDIPDFNHVDGDMISFKIVAIKEFRSTDDCLYRGKVFPSKAKLKRALNMLALREHLEIRVKKSCHACFEVACKDKACKLVVCATKLLDEDYWQVHMFHKFEKSLKDDIAPNGIIKSIKPRTSSNRNFKVKLRVSQFRDDSKW >EOX95612 pep chromosome:Theobroma_cacao_20110822:1:34856671:34861265:1 gene:TCM_005059 transcript:EOX95612 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRF1-interacting factor 3 MQQPPQMIPVMPSFPPTNITTEQIQKYLDENKKLILAILDNQNLGKLAECAQYQAQLQKNLMYLAAIADAQPQTTPTIPPQMASHPAMQPGGYFMQHPQAAAMAQQPGIFSQKLPLQFNNPHQMQDPQQQLHQQHQQAIQGQMGIRPGGPNNGMHPMHAEAALGGGSSGGPPPGSGPNDARGGNKQEGSEAGADGQGGTAAGHGGGDGAEEAK >EOX93447 pep chromosome:Theobroma_cacao_20110822:1:13153372:13154168:-1 gene:TCM_002323 transcript:EOX93447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKILLLNLQNYNLVHIKQFFLLIFSFSFSLFSCSFLFYSFSKWHSTSEMMLVAAATVGIAEETTISCSNMVRQDSNGDPTRSGTQRPDLAAGSTGSGAS >EOX96509 pep chromosome:Theobroma_cacao_20110822:1:38058528:38061344:1 gene:TCM_005744 transcript:EOX96509 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY family transcription factor MEGERGVPNYELQVSFSTPQAIHEMGFVQFEENQAVMSFLAPAQSSHQISQPVNTAASISNSTNSTAMGFSHNDQVGTLDPKAVNDENCASNANDGNNSWWRSSASEKSKVKVRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHNHSPCDDSNSSEHECFTSF >EOX96599 pep chromosome:Theobroma_cacao_20110822:1:38371910:38376049:1 gene:TCM_005821 transcript:EOX96599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 40 MSINRLSSVLSKIKQQPGSHFIIFQPQEEEFESWKLSYPPALLVTMEKNSFILVAFFLMLSCMVAPSHGKKQTQALGDLYRTKMKGSSGIVTSLFEPIQSISKASVHPQEGMKEKDRIEKLPGQPDVQFSQYGGYVTVDKSAGRALYYYFAEAQHSKESLPLLLWLNGGPGCSSLAYGAMQELGPFRVHSTGKTLYRNSYSWNYAANVLFLESPAGVGFSYSNRTSDYNESGDSKTAADNYVFLLNWLERFPEYKGRDFYISGESYAGHYVPQLAHTILQHNQKANKTLINLKGIIIGNAVINDETDVKGMYDYFESHALISEDTASRIKKHCNLLSEDTTNATRKCIAAVDEADRDTYYLDIYSIYAPLCHNSNLTARPKKASVMNIDPCSDNYVYAYLNRADVQEALHANVTKLDHDWEPCSDILGKWRDSPSTIIPLLQEFMANGLRVWVFSGDTDGRVPVTSTQYSINKMKLPVKTKWHPWYLDAEVGGYTQVYKGDLTFATVRGAGHQVPSYQPKRALALIKHFLTGAPLPDTSRYN >EOX93674 pep chromosome:Theobroma_cacao_20110822:1:14934578:14938681:1 gene:TCM_002575 transcript:EOX93674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPLFLSEPNWDDEAGDNEATHLIISLLNQLDSVIWSLMISGGRSEARLWLCNAVSSISSISPHQQREVFMKLLTSTPTRRGLASQLLQMVFEKRPRKAGSILANKSYLLEKFFQGNPKRIIQWFSNFGDGSGLEHRKGAKALSQFAFVNRDICWEELEWKGKHGQSPAMVATKPHYFLDLDVQRTVENFLENVPEFWSSSEFAESLKDGEILFMDTKFFVELFIDLMYKEDLRDLWDTISVFLKEESFSSLCHHLLISLEEGEFCIVLELLRRYINPRMEPKDFGNPSCVLEFILYKYGDLESFDKLLLLNAIINQRRQLLQLVHDEEYQDKHEQVKNIVSQMCKTSTNAYSLASILKECVKTKTGEAIKLLGLYSWVIYYRLSEECQTLGTWESLFLSNGIRFRKSDKYSLLHHEVSLEENESEAVDRASIRRRKKKKSRKKRRRDFGDDDSYDNGFLDFDTSDSRLGLQSGGGSWLLSIDEFCTPWTNVDLPEHLSNHCLSTWMKWLLSKWSNMAYA >EOX94336 pep chromosome:Theobroma_cacao_20110822:1:27913095:27917550:1 gene:TCM_003924 transcript:EOX94336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protodermal factor 2, putative MLEIVYCNLKKGFSFEFNYCKECAARTFNKLMCLCCCSQGFSGAENMYPHQPLPHGNDQKQIQESRDQDEENESSEDQERTSEVFSDQHRDVEAYSDRSITDAVSLDKSEDSIEYLELSAEIAKNVCKAAYHEFMRIAMRERSWLPGNPAEGFLPGDTDISEFRTRTPAGRKTEVSTASAIIPIPASEMASMMMDVNQWANLFVQIVYWGAGYDCTHVLQRMREDDNSIQRLVEITPSSYAIIDVSIYYIDPTSRTDSLRKPSGVVIREHDQDSCEIVWVENVEVDEVSENIYSSVINSNLAFCAQRWISTLLRILQSENGVLVHDSDLVVHDSGHDSVLALTQSMKRFFMRCVADRLDPAVLTDISKKKKLKILVNEESQGRYAYIGTTSFHVKAKPLSVFQFLKGRNLQLQLLRFPNSWELQRVYHFVTIDRSNSITLHRTTGEPHYYCLQEATVDEYCSFIISRPMSQQKVQRHISSGVDSGFEAGTKLDVDASGFAIMPDGPGGLESNGSLITFVMQLLYDPERPRLPSRFEIENDAFHEWVGIINDIRENITWMPVLHPGTYLTRPAQFST >EOX95865 pep chromosome:Theobroma_cacao_20110822:1:35804493:35806473:-1 gene:TCM_005263 transcript:EOX95865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKKKYGKGGSMAWLISLIYSQGSKEMFQALGATIVLHNFALPWSYHQLLEKHYLTLPSPPKNEIGKKTKYGDRFNTAAMY >EOX96510 pep chromosome:Theobroma_cacao_20110822:1:38061622:38064536:-1 gene:TCM_005745 transcript:EOX96510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESIASLPKRPKLPIPSNDVDPIDPGNKSMNDLPEPVVHHIFSFLETIDVIRASAVSTKWRYLWTSMPHLNFDYQAGWLNPLRQEFSSTRVYEKFKDFINWVLMAHHKSVNIQSFRASCLNNDDDRSLYRWIKILAQKHVKELHLKVKSYKRGPFALPRYLLAPDSLEILELDLQRSVLKIPSDVGFSRLKSLQLVRTQLLDQNLFHNFISSCPLLENLRLEGCLFHDFKVLDISLRNLRKLFIDNHMCGGSFDERLRKCVFKIACPNLGYFHLKDPYAQNFSWDKIPSLLQTATILPWGWEDAVLHEELANYLLKILRGVCHAEVLKLAKAVIVPNSFFLMSPQHLYPAVAEPRCFSTTFYNLKSLKLFTGIDKCYIRSIIYLLKCAPNLQLLSVYIDEEEYDCDYQWEIPDEAIACLTDHLKMVKLIEVDNSDYELELIRFLLKNGHVLEK >EOX91085 pep chromosome:Theobroma_cacao_20110822:1:1540042:1541301:-1 gene:TCM_000376 transcript:EOX91085 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein MMHRCSSSQGNMVGPCSCGLFHNQSNSFSMLFSMPNPHKSFDETDMYAYTSSSSSSSVDCTLSLGTPSTRLCEDDDKRIRHDRRSGSCMSNFCWDLLQNKNAPYSQQTPKASRGSSGNSSSSSGNDPLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRATANANNSGATASMLEQQHHGYHNNSWVHHSQNQKMPCFSPVNEFRFIEDTDRDSDTGIPFLSWRLNVTDRPTSLVHDFTR >EOX93479 pep chromosome:Theobroma_cacao_20110822:1:13281143:13282436:-1 gene:TCM_002342 transcript:EOX93479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, putative MIVDSTIEVKYISMFEATKNDVWIKNCITELGEIPSIAKSIPLYYDNNEANTQAKELSSHLGSKHLLRRYHLIKETIQRGDIKIERVL >EOX90715 pep chromosome:Theobroma_cacao_20110822:1:434212:435232:-1 gene:TCM_000105 transcript:EOX90715 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3 transcriptional factor family protein MDSGPIVTLVLFPLTRQEEKYAVAGESTSYEPQRKENCRESEHDVNTCQEPEIGVLSLELSLGFNDSTCTSKKRKRMENPSSARGVWSCRNKRIKVDREEARMLELTELRLGLDPYCIKKTLTGSDLGNMSRLMLAAECIEYHVFPFWNADQLAKIKEGLSVSVWDGDTQTEHELVFKRWNKRANVLIKNWVKDFVRRRELKLGDEIGLYWDSCNSRFQFAVLNRVARN >EOX96036 pep chromosome:Theobroma_cacao_20110822:1:36401260:36403473:-1 gene:TCM_005386 transcript:EOX96036 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MEVLYLPYSNMPFWLLSSFPSVRYAVVTGANKGIGLEICKQLACKGITVVLTARDEKRGLEALENLKHSGLSDHLVFHQLDVADPASIASLADFVKKQFGKLDILVNNAGIVGVNIDALNASDISGAQEGWNTIWSKLSATYEAAEECLKTNYYGAIRTAEALIPLLQLSDLPRIVNVSSSVVMLKHTTGERLKGILTGFTTEEKLNDFLTEYLKDFKEGLLESKGWPTAFSAYTVSKVAMNAHTRILAKKYPNFCINCVCPGFVKTDINFNTGKLTVEEGAASPVKIALLPNGGPSGLFFNRNEPASFDSDN >EOX92370 pep chromosome:Theobroma_cacao_20110822:1:6491757:6492321:-1 gene:TCM_001320 transcript:EOX92370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSKGFSDVINGKIYKEAQSFLMEKMKTSFVNARKQFTTDLLHFAAFQDQSFYQPPRHKVGAVLLEGASFAVKLQRFVRELRWDHDEKWEMISEVWIQMMAHAASRCSWKEHAQQLRHGGELLTHVALLMAHLGLSTKVGIDEDDDDDSDAFPPFDV >EOX93409 pep chromosome:Theobroma_cacao_20110822:1:12765953:12768875:1 gene:TCM_002268 transcript:EOX93409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNQRKVRGTKGESFDAAIHFAGLKAVGKSVANPHCYFDNNLIGTINLYEIMAKYDCKMIQDYIHVMDLADGHIAALRKLFTTQNIGCVAYNLGISYGTSVHEMVTAFEKVSRKVNALSLSLLPIFKLLLLKILLKIPIKLCSRRLGDATAVYASINKAQKELDWKYI >EOX92673 pep chromosome:Theobroma_cacao_20110822:1:8021358:8023950:-1 gene:TCM_001585 transcript:EOX92673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPFCLRGRFSNNKRSKLQCKNKKKKSGMKDMQERFEKLKVEMEEISDEQKNIREGQRQVREKFEAIESECEELKRETRLIIQKSARTQIKLVLMFRILKAREQGDLATAANFTHLLREIVGREDEERQASGDN >EOX91297 pep chromosome:Theobroma_cacao_20110822:1:2223478:2225095:1 gene:TCM_000537 transcript:EOX91297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKISFFKTIGMGDGNLAEVRAIKEAFLIFSASQWASTHVLIVESDSKIVVKWVNNPNEAPWKMRKWILHIESLKKSVPRWEANHILREKNQVADHLAKEGVQRQVDLINIFD >EOX95481 pep chromosome:Theobroma_cacao_20110822:1:34351825:34352936:-1 gene:TCM_004963 transcript:EOX95481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVINVYTKEDAQQILSDKIAKLTVNVEEGECNSEAAPVADSESCRTPTSKEHRIPESLTCPPAPRKRKGSFPADKNEPKKIVDDEEIETIFSPDQLSSETSSGQ >EOX96005 pep chromosome:Theobroma_cacao_20110822:1:36282714:36299350:-1 gene:TCM_005363 transcript:EOX96005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Downstream target of A 2, putative MISSSRSSTTKHLPRIENPLNSSHLGLRQNHPDNYSSVFEPDGLSTKTCPNYFRWIHNDLQHWKNSGITKNMIERGKLSAELRLVIVNSELFMEKFGKPYQTRDLFTLWGILQLLQFYPGMLPDLDLLLFTGDETMIKKMDYQEPNSTSPPPLFHYCGEEDALDIVFPDWTFWGWPEVNVMPWEQMLSAIKNGSKRIKWEDRVPYAYWKGNPYVSLERKDFWKCNLSNKYDWNVRLYEQNWSRENEEGFKHSKVEDQCTHRYKIYVEGATWSVSEKYILACDSMTLMIKPRYYDFFSRNMVPMQHYWPIRNTSKCKDLKFAVEWGNNHPDKAQAIGKAGSRLIEEFLTMRNIYDYMFHLLNEYAKLLKFKPTIPSKARRVCWETITSSKKLKGPWKEYMEQSMVKSPSDKLPCALLPPYEPQALQAFLDTKEKITRQVEAWQTEYWK >EOX93702 pep chromosome:Theobroma_cacao_20110822:1:15166910:15167411:1 gene:TCM_002618 transcript:EOX93702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H protein, putative MEAVAAGGVFRNGRGGYSLRFGKCTAYRAELWGVSKGLTLAWDLGHGRINLEVDCMLTVQAITSPLSHPCSNSDLIKAIQNLLHRE >EOX96145 pep chromosome:Theobroma_cacao_20110822:1:36766014:36768087:-1 gene:TCM_005464 transcript:EOX96145 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein, putative MAYYRGQESHVHPSQNLPLVLVLEPPPVFKFHGDQLLKKFQFLKAWESPLPLDQFLTIHAHSVQALLSPGTHPVTLETLRLLPSLGLIVTTSVGLNHIDLPECRRRGISIANAGNLYSEDVADLAVGLLIDVLRKISVADRYVKRQLWPSEGEFPLGIKLRGRQVGIVGLGSIGFEVAKRLDAFGCSILYNSRRKKPSIHYPYYSNICELASNCDVLIICCALTDKTHHMINKEVLLAMGKKGVIVNVGRGAIIDEQEMVGCLMRGEIAGAGLDVFEKEPDVPKELFDLDNVVLSPHRAVHSQETLMALCDLVVGNFEAFFSNKPLLTPVVDE >EOX96119 pep chromosome:Theobroma_cacao_20110822:1:36653447:36656696:1 gene:TCM_005445 transcript:EOX96119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shrunken seed protein (SSE1) MEAYKTWVRRNRDYVHSLESLANGLTWFLPERFSTSEIGPEAVTAILGIITAINEHIIDTAPNGRYTGSADPSFPYGLCISALKDLETLVEVVAEQYYGDKKWNFIAVTEATKVMVRLALFRNSGYKMLLHGGEIPNVQKDSDDTSSQQRIGGFLKHGGHHGPGLLQNNHGQNPWNLEGRALSALSRFGESARMISDPVWMQRIQQQHAIMEPPTPMIKRPTLSMILSEKDVNGALFLLGEVLFITRPLIYVLFIRRYGVRSWIPWFLSLGVDFIGIGFLSHVVKSGKGGRDQQFSASEKDEVKRRKLLWALYLMREPFFSKYTRQKLESTEMLLETVPLIGTLAAKIVELVIGAQTRYTYMSGS >EOX96144 pep chromosome:Theobroma_cacao_20110822:1:36764074:36765978:-1 gene:TCM_005463 transcript:EOX96144 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein MENHQERSDHRSQDLPQVLVIKPPPVLTLFEDKFISSKFQLLKAWESTLPLDQFLTNYAGSVRAVLCSGASPITADIIRLLPSLQLVITASAGVNHIDLTECRRLGIAVTNAAGVFSDDGADAAVGLLIDVLRKVSAADRYVRNGLWPVKGDYALGSKLGGKRVGVVGLGGFGSAVAKRLEAFGCTVLYNSRTQKPSVPYPFYSTVLELAADSDSLIICCGLTAETRHMINREVLLALGKQGVIVNIARGAIINEKEMVECLVRGEIGGAGLDVFENEPNVPEELFGLDNVVLSPHRVVFTPESFVAASELVVGNLEAFFSNKPLLSPVIYE >EOX96080 pep chromosome:Theobroma_cacao_20110822:1:36552106:36553036:1 gene:TCM_005419 transcript:EOX96080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLLVREVLIEERRTALFSVKVQVLVKREKRIKLQGSAELHSLLRRKNEVLRCKSSRQAASTLLLGYS >EOX91429 pep chromosome:Theobroma_cacao_20110822:1:2694360:2703098:1 gene:TCM_000627 transcript:EOX91429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MASDQSHKFSGNYLVLRPNQVSVFDLFRLLWRHELEKKAFVECPPEKFRENIRRKWLIFMSLSAQKLLLHAAKPLRWFGEKLEMWVNLVSFNRNIFVLLLNFLRGKVIMPERDSEVFLSFLGSLDRRVALDKNIKPVDSRYYGALAAMAAKISYENRAFVERIIRDHWKMELLGYYSFWNDYQKKSNTQAFMVHDRRTDMIVVAFRGTEPFTADDWSTDVDLSWYELDEMGKIHGGFMKALGLVMEKGWPPEVEQDNSRPLAYYTIREKLREQLKLNKKTRFMLAGHSLGGALSILFPAVLALHKETWLLDRLEGVYTFGQPRVGDKKFKEFMEIQLQNHDFRYLRYVYCNDMIPRTPTDDKTFLYKHFGTCLYFNSCYKGKVRREQPHKNYISLFLWIPRFLNAVWELVRGFILPLMKGQEYKEGWLLILLRFWGLVFPGLAAHNPQDYVNATRLSSHRMYQQLRDHHPMNGSYLA >EOX91435 pep chromosome:Theobroma_cacao_20110822:1:2725899:2727853:-1 gene:TCM_000632 transcript:EOX91435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MDVDMMKSSTEDHMDMMTMMMQMEKLPEFCEPFLSPSIFPEIHFSNGTSISSILPTPPIYPNPNVSSLNTLMTPPPTLSFIGNHPVQEPMTPPLQANNMANSSKYKYPTPFNDTNSYPSSVDKKNSMAAMREMIFRIAAMQPIHIDPESIKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKRQVQSLEQAAVNRPIGVGFSSATIADVGYSSLVKTCQPSHHQVMGNMPMLR >EOX96141 pep chromosome:Theobroma_cacao_20110822:1:36747489:36750025:1 gene:TCM_005460 transcript:EOX96141 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIN3 associated polypeptide P18 MAGAAEAQKRQSGRPLPPPARGPPPPPRPRFEPVDREKTCPLLLRVFTKIGGHHSKEDFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVAPASRRRDARLSFAFVYPDKNGRFVVREVGKTFSYGNGRRLDDGKTLGELSFEIGDYLDVAIM >EOX94994 pep chromosome:Theobroma_cacao_20110822:1:32374639:32375521:1 gene:TCM_004576 transcript:EOX94994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-5 MTDKIGIDSDREDHSYNFAGAGGVSGEDGIVKEQDQLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDICWALATLGFDNYAEQLKRYLHRYRDQEGERVNQNRAGNHEEKQETSNYRSELPRRSAVPSNPLKFNAIDRSSSLSRPL >EOX93255 pep chromosome:Theobroma_cacao_20110822:1:11703865:11725872:1 gene:TCM_002102 transcript:EOX93255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLLHNQNPSRKRPSSSFGPPFPPAKIPKSRNDGVAVDAVEKMVSILAEGGCTLINPLGAPSLPADPYKLRRYLSGLFSSSSEDRSLFLSGFSSYIQSSSNLRRVLISSDGSSFAPTRSESLVRHLLLVAPIQFDLQIMLLEKLPEYFDIVSGDSQTLLSLEDDVARLIINHLRWLDFVVDPSSFTDKLMQVLSICPLHLKKEIIGSLPEIVGDQNNKTVIDSLEQMLHEDPSIIVPVLDSFSNFNLDDQLQEQVITIALSCIRTIDAEQMPCLLRFLLLSATQVNVRRIISQIREHLKFLSVPNTHTLQKNKLKGKLLVDNTEASILDALRSSLQFKSILCQEILKELNGLEKPRDHKIIDIWLLVLLYTNGESMRKSIEKVFKKKVIEGCIQEVMLDQCIYGNKELAKEYFLSLLSLSEYLLACKEQKARDFGIYMYTLLFEEFADTYSRQEVLGALVTHVGSGVKSEVSSALQVMASLATKHAQELIPLSSHINGILDYLEGLTVENLHKVYEVFSHMALLARSSSDCFGSSIANELLIIVRKQVSHPDLKYKKMGLIGILKIVSCLGDASNVTLSSPFQVGPVIIKSNTEEALELLETSLESCKQLCLSLIFFYDELTAILESRTLHPVIVDWIGKHVGEFESIFLSDLDGGQLPSRNSYCGLEGELWMNLDGEISPICLNILPLASSSQSACLQFLPANFHLLSAVERLTNQGSLGGIDALLGCPLLLPSSKYYSEAEWLSLTGKQKQIISLCLYYAVNWLRELLNAFCTQVAGRFEFTSQSTKEDITLKLLKRLRNLVFLESLLNHSIRMCPVVLPQLHLQVEHCGSTLINQPNHVGNMEKKNEPKMTHECTSPNKRKHKKIAKTSTPGTDGKLHQPTLMDVLRKAGVETSQEMTNEVSSKERTSASVDRQSHVFNESVLIEVSPPAQALESQKFRFRPLLLECFSILTFSKNHDSCCSDPVAELPLYLYLIHDLRCKLDYFAAPGKQCSSRSLSSVAFTRMTLDEFLSKIRPLFPSLKRNLDIAFCSLKEGNETCQEHWNIQSAAAHNPDIINLVPSKSSISTMVYKEVLHSFSKMLNLPEVQRNKSVLSDLLEAFQPNETLDAGASDVQPCPSPGTIKYSYLGACSFVESILHAACSFSFILASEALFTLESAVTSVLNVVDKLEGDDVNIQSRFNQILPFLRGKLGSSAQKLLKHKWDDENLENGWKNKGEIVQKILRIHLEYTESTADLLDELACTILPQVSCTTMAEDEDYGYPTLCSATFLSWYHVLFEVNLTVLDKLVKEVVHLEKCRPGFQPENVHTHLIKMQKNVNVVVALVNMCRTYDKVTLHAMAVKYGGKFIDSFLKAFDFLQVHFQMHNEVIILLVKELQKATRTIQTLCSEAKGLKQTAITGKIPATKRSLERFLFRVKALLHTTSSGCTFWMGNLKHKDLRGQVVSSQAYVDDRNDSIDQDPEDVDPPVIVATASGNSETD >EOX93641 pep chromosome:Theobroma_cacao_20110822:1:14486071:14487955:-1 gene:TCM_002529 transcript:EOX93641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase A MATLGGRAIGFSSFPSSSSYLRWNSRATSSSFSFTLSMQMVSKSMISRKCVACSAVQESSSPTATAETKATTPAEAKPSPTSETKATGAGEKEEVKAAPKAAPARPKPAAKAPAKPLPELMAEDVIPSLKTTLEAQVDLSEIELSFQDNKLEGSFLKEGCPYSFWAFFPNGVLTGPKGFSLSSYGSGASTVEPFLNDEKKITAKHVVFWVEKRLAAQGIIPVWKE >EOX94929 pep chromosome:Theobroma_cacao_20110822:1:32036806:32038272:-1 gene:TCM_004523 transcript:EOX94929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative MIFGVNLVNGPPDLPSTQVLTPSELKRLCSIPPTSQYSVSEPSNVTSSKQRNNCCSVGNRSCTKLLLLFRISYGTALGRSVDLNLISELDRMFDFKGRLINGSSGWHVTCTDDEGDMMLRGDYPWQKFQYRSEGLSSAQWKKLTY >EOX94952 pep chromosome:Theobroma_cacao_20110822:1:32178817:32181072:-1 gene:TCM_004545 transcript:EOX94952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Medicago truncatula MTN3 MQEINISSFFCSKLPHIQAKRKSFTPRIMANIHDHGFSVVFGLLGNILSFLVYLAPLPTFYRIFKKKSTEGFQSIPYSVALFSAMLLLYYAFLKKHDAFMLITINSIGCFIESIYLLLYMVYATKSARVYTTKLLIFFNLGALGSIILLTFIFSKGSSRISVVGWICAVFSVCVFAAPLSIIRLVIKTKTVEYMPFPLSFFLTLCAVTWFLYGFSLRDYYIATPNILGFIFGITQMILYLLYRGGRKEQILPEIKAQETLPESKAKEQANGVQLSTLNQHQEAAAMNNGVGGMISNSQIVPSETNV >EOX94133 pep chromosome:Theobroma_cacao_20110822:1:22446094:22449194:1 gene:TCM_003382 transcript:EOX94133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFYLYFKQLASQLSLASFLLASQLLFPPLSHQTLSLLTIPVLSLFSHSFAFYFSSIGIGFMFATSWKLTLLALVVMPAISVAIQKFGRFFHELSHKTQAAAAATVSIAKVLSVIYKTQCLTK >EOX94325 pep chromosome:Theobroma_cacao_20110822:1:27785914:27791548:-1 gene:TCM_003909 transcript:EOX94325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein with RNA binding domain MATSYPGPVQVGSYFVGQYYQVLQQQPDLVHQFYSDASTMIRVDGDSSESASATLQIHSMVMSLNFTAIEIKTINSLDSWNGGVLVMVSGSVKIKDFNGRRKFVQTFLLAPQEKGYFVLNDIFQFIDDGMIYQHPASTLQENKLDAQLNVSSPVAEPPATAVSDYVLEEDAREYVNSVHIEDDPIDKYSLPEQPPDEVVEAEVVVEEAPAEEALASHHSGVNTVQEPPAMPLEEPVAEPARRTYAAILRVMKEQSTSSVQVQPSYNKIPQSSSDWDHTPEPTNQQSRPAWSDVPESVAETAEEGLVSEEGEYTGEYKSVYVRNLPSTVTAAEIEQEFKNFGRIKPEGVFIRNRKDVVGVCYAFVEFEDILAVQNAIRASPIQLAGRQVYIEERRPNSSSTRGGRRGRGRGIYQAEASRGRFGSRSLGRGTNQESGDYRSRGNGFYQRGSR >EOX94507 pep chromosome:Theobroma_cacao_20110822:1:29300220:29301623:1 gene:TCM_004115 transcript:EOX94507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVATTELVWLRNVLNNLSFSIIEPIPIFYDNKSTIHIASNLIYHERIKHIELDCHFIREHIKQKLLALNFVPSHNQLTNLLTKGLYVKTFNLLLNCIRVHSPPT >EOX91097 pep chromosome:Theobroma_cacao_20110822:1:1572449:1579442:1 gene:TCM_000386 transcript:EOX91097 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein MWRRGFTSSYTAGAQALKEKKWDALVIGGGHNGLTAAAYLARGGLSVAVIERRHVIGGAAVTEEIIPGFKFSRCSYLQSLLRPSVIRELELKRHGLKLLKPTATSFTPCLDGRYLLMGYDDHHNYLEISKFSKRDADAYPRYENQLYKFCKLMDFLLDSRTPETLHWDSSFTDRLWDKLEKSVFWASCLRHIFALGQKDMVDFMDLLLSPTSKVLNKWFESDILKAVLAGDAIIGSMASIHTPGSGYVLLHHVMGETDGDRNIWLHVEGGMGSISMAISNAASEAGVSIATNAEVSQLMIGDSGTVKGVLLADGTRVHSSVVLSNATPYKTFLGLVPQDVLPDDFLRAIKYSDYNSGTTKINVAVDKLPQFHCCRSNNPEGGPQHTATIHIGSESMEEIGSACQEAWNGLPSKRPVMEMTIPSSLDKTISPPGIVKLFFLMPFSIHDGELIGEGQRKHVVSLFTQYTPYKPSDGSWENPTYRESYAQRCFSLIDEYAPGFSSSVIGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSGYRTPIRGLYLCGSGAHPGGGVMGAAGRNAARIVLRDFKKHSS >EOX91805 pep chromosome:Theobroma_cacao_20110822:1:3946412:3948266:-1 gene:TCM_000880 transcript:EOX91805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAATATTTQTQPPNPLMKAPKGPPRSKLVCFSFAAYSKSLIDHLKSLDIPILPGLTDQEFSSVESTLHFTFPPDLRSILQEGLPVDPSFPNWRSSSPQQLNILLNLPLLSLSKNITLHNFWSDSWGPKPSNSNEALALVKSLLQKAPLLVPIYRNCYIPSTPNMAGNPVFYVDGDEVRILSFDITRFFQEVEFLRRGGVFKPFTRKKSNSVNNNVPAWAATTARRIDFWTDVAEKGRRVVARGVTRGWWSRGEVEEDLGLRGCLEEVFWKLREGGWREEEVREMMMIDGCDQNENKEKSGTRLVMDGGDAAWHVRVLSVVLLRAGWASEDVVYALDLHDVIDKDDDDGDEELANLVEETPCLELQRPSSWCMEEDDHQNSSIRRLIQL >EOX93785 pep chromosome:Theobroma_cacao_20110822:1:15804620:15809113:1 gene:TCM_002711 transcript:EOX93785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREGSTKELGNDKVPSCHRYKCEYRDFLFSDLVYFLGGASQSQEGKTLIQLSVLDKSDEDVEIKVKNKDSHDTSTISLHALAGTSNPRTMRIREKIHGHVATILIDSGSTHNFINDGFAAKIGLQPVRAGSFEVLIGSGERLRSQGRCQRVPLLLPRASLLIDVFLLPLKGCEVVLETQWLRTLGPITWDFSKLLMRFNLKCQEMTLQGATMFESKVIKNPQIQSLNRKIIWVSSSNYMSIRFRLLIKG >EOX95045 pep chromosome:Theobroma_cacao_20110822:1:32710660:32712490:-1 gene:TCM_004630 transcript:EOX95045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L RNA-binding motif/Ribosomal protein S4 family protein MRQLKFHEKKLLKKVIFLEWKKEGGHRENLVMHRYHVTGRDDYKKYNMGVIPTKKSLALCDRLSVSFFRRIVVEVLFLSSSNFLCYFFVYIRRLSIILVHLKFARSIPTPTHKPIDLHSYLAWHHLTFPIPFPIVATSPLPTLTISNIAQ >EOX94138 pep chromosome:Theobroma_cacao_20110822:1:22526873:22542798:1 gene:TCM_003393 transcript:EOX94138 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein MARKAAEAAVDSIGLGYDLYADLKLKYCKKTSKLISIADHDHVRDIAIPGGILVRNVPKYIKCDKGERIRFGSDVLSFQQMSEQFNQELSLSGKIPSGHFNSAFSFTAGWQKDAANTKTLAFDGVFITLYNIALEKSQVTLCDHVKQAVPSSWDPPALAKFIETYGTHIIVGVKMGGKDVVYMKQPHSSPLQPIEVQKKLKDLADEMLIQGTGVHKTNYEKLNKREKIFKEHGLASMDMLQTSSYSQVEFQDIKFICKRNGGKLNTNLSHTEWCQTVQSEPDVISMSFIPITSLLTGVNGSGFLTHAINLYLRYKPSVDELHQFLEFQLPKQWAPVFGELALGPQKKQQNSASLQFSFMGPKLYVNTTPVYVGKKPVTGLRLYLEGKRGNCLAIHLQHLSSLPKTFQLENEPSASVSDPTSERKYYEKIQWKSFSHVCTAPVESDDDLSIVTGAHFEVGDSGLKKILFLRLHFSKLIGANAIKEPEWDGSPGLAQKSGMISTLISTHFSSAQKQAPQPTEVNINSAVYPGGPPLPCQAQKLLRFVDTTEMTRGPQDLPGYWVVSGARLVVEKGKISLRVKYSLLTMLLPD >EOX92066 pep chromosome:Theobroma_cacao_20110822:1:5124281:5126240:1 gene:TCM_046680 transcript:EOX92066 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 85A2 MGSVETRKPHAVCIPYPSQGHVTPMMQLAKLLHSRGFHITFVNTEFNHRRLIRSKGPDSVKGLPDFRFETIPEGLPPSDRDATQDVPALCDSTRKNCLAPFLELLSKLNSSAQVPPVTCIISDGVMSFAIKAAEVLGIPEVQFWTASACSFMGYLQFSELLERGIIPFKNENFLSDGTLDTPIDWIPGMSNIRLRDIPSFIRVNNPNDIMFDFMGSEARNCLKAPAIIFNTFDEFEHEVLEAIAAKFPRIYTTGPLPSLARHMPDGPSKSITSSLWKEDTNCIEWLNKREPSSVVYVNYGSVTVMSEQHLKEFAWGLANSKHPFLWIVRPDVVMGDSAILPEEFFEEIKDRALITSWCAQYQVLSHPSVGVFLTHCGWNSTMEAICGGVPVICWPFFADQQTNCRYACTTWGIGMEVDHDVKRENIEFLVKEMMEGDAGKKMKEKALEWKKKAEAATDVGGASYNDFDRFVKEALHHG >EOX91299 pep chromosome:Theobroma_cacao_20110822:1:2236311:2237926:-1 gene:TCM_000540 transcript:EOX91299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 6, putative MAENKNQKHFILVHGLCHGAWCWYKLKSLLESTGHRVTVLDLIASGINMKAIQNVQTFHEYTKPLLEILASLPPDEKVIVVGHSLGGMNLALAMDEFPNKISVGVFLTAFMPDTLHQPSYVLEKYLGGVTEEVLQDAQLVNIGSPQIPFTITFMGPKFLSSKLYHLSPVEDLELAKALIRPGSLFTEDLSKAKNFSDEGFGTVTRVYVVCNEDNVMVEEFQRWTIQNNPPKDVLEIKSADHMPMFSKTQEVCDCLLEIANKYAQGN >EOX93952 pep chromosome:Theobroma_cacao_20110822:1:17741720:17742451:-1 gene:TCM_002967 transcript:EOX93952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSHRGICSASPVLVLACTLVLLLVQSDMARAKTFTVGDTSGWSFNVQSWPKGKKFKAGDALDIQGYKSCSASPTSEVYSTVNDAIKLSKGRNYFICSIRGHCDGGLKIAVDAS >EOX95324 pep chromosome:Theobroma_cacao_20110822:1:33757026:33757870:1 gene:TCM_004848 transcript:EOX95324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, putative MIEDSEETEDYHVGSHRGRKSERRVTDLDAKRTVKEVSDMKSRVAILQLCGGVTCLVIQLPSLDSMPISLNFLQLPDLAFVGIGIKETLAKLEKEYGLGCNNAVELGPLAAGVMQMPYLAACGVDFLGHMVDSLKLTGVVFSDWGKMRLNKKPIKYAAANPLAYFKIGSKLLSG >EOX91927 pep chromosome:Theobroma_cacao_20110822:1:4512198:4516659:1 gene:TCM_000976 transcript:EOX91927 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein kinase family protein, putative METQTEKVYVAVGNDIQDGFKTLAWTLRRWNSQAISIVLLHVAYNISKDFVYTPFGKLPVSAVSEEKLEVLRKYEQEKTDKLLSKYIAFCGKVKTEILKVEKYDEPIHKLIVDLMSGLRIGKLVMGMTFMKSSSWRSKSAISGSFYVHQYKPHFCELYIICGGKLVVLKGNIDEGFMEDDQGIMVAKIGEKPSLRNLLGKIFSENSSSRRQKCSCPPPSNQDSPKNQWEDNVQELDNYFQHLLSLNLDEENDHLLQTNPVEPDSQENTNSNMNAEEKMETLQSKIDEAHETIQLKRKEAKANAERHAKAEWAIILCNTRAEELETQIKEEVTKRLEIRRILDIEKEQLHEVIRDVEESKNRLNSLMELQAELSSKLQISSMARAHAEAQLEKAVVTRAEMVREIEELRRQRDVLQRRIEFCREKDALGMVARLTELSCSYREYTAEDIRLATDGFSERLRLKSGGDWLNVYRGRINHSTVAIKTLVSANRLSQQDFQAEVRLLNDIRHPHLVAMMGFCSELQCIIYEYMHNGSLRDILFASQRNCRKTNRNRVLGWHDRIRIAHEVCSGLAFLHLAEPRPIVHGQLTPSNILLDRNLVAKISGYGMTQHHDQYDLRSDIRALGVLLMHMLTGGNWAGLIEDDMMIQGAALARVLDEKAGKWPLDLAVELAGISMKCLSVSRGLNTDLQIATVMKELDELKKKADELVARGGLEVVSKENVGIEDSSDVPGVFLCPIFQEVMKNPHVAADGFSYELEAIDEWFKLGHDTSPMTNLSLKHKFLTPNHTLRCLIQEWQNKGSNLPC >EOX92784 pep chromosome:Theobroma_cacao_20110822:1:8594451:8595995:-1 gene:TCM_001660 transcript:EOX92784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein 21, putative MNSASPRKEKDMPISRNCGGNGHIIFSSGVGTGPPSPSMEENLRAHKKVVRYRECLKNHAAAMGGNATDGCGEFLPSGEEGSLEAFKCSACNCHRNFHRKEIECDCPFDCYHSALLNNATGRKLILGTPHHNYILGASAAAGTLISSRAEPPHQMIMSYKGGSVPSETDEKDDGGGGAARVSGKMRKRFRTKFTQEQKEKMLNFAEKAGWRIQKLDESVVQQFCQEIGIKRRVLKVWMHNNKQSLAKKDSSEG >EOX92321 pep chromosome:Theobroma_cacao_20110822:1:6253057:6261425:-1 gene:TCM_001280 transcript:EOX92321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic chitinase, putative MLDAVAESTCLVALGMLGVVAKITAIDSMDAVTDRQPEEAVLKMLLKSSLEIFTRRCLNIAMDSILTMLSSLQLDLLMVSAQPVMLLRERGKSRRSLAKQLVSFVIVIDGGWDTAPGGPYAWGYYFVEEQNNPPAYCDAGWPCASNKKYNGRGPIQLSHNYNYKQAGDHGAIRRDLINNPELVATDATISFKTAIWFWMTPQGNKPSSHDVILGRWTPSDADRAAGRVPGYGAITNIINGGIECVHGPHPSSGDRIGFYKRYCDILGINYGGNLNCRDQKPF >EOX96339 pep chromosome:Theobroma_cacao_20110822:1:37426184:37426861:1 gene:TCM_005608 transcript:EOX96339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQWETTMVQRRRMRGSLFPNSMMVTCPSALILHGYSTVRTLIMGGQTPVLNDKFGTTFKVSVIAPY >EOX93186 pep chromosome:Theobroma_cacao_20110822:1:11088625:11099277:-1 gene:TCM_002027 transcript:EOX93186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein MGSSQKIKEKMVPNSFLRSTLKLQLGSYIKNAAAYRSFMDQSPKGPVLARLYSTEPSLQKEDSDLKDGNGFKGHDMLAPFTAGWQTTDLHPLVIEKSEGSYVYDVNGRKYLDTLAGLWCTALGGNEARLVAAATDQLNKLPFYHSFWNRTTKPSLDLAKELLGTFTARKMAKVFFTNSGSEANDTQVKLVWYYNNALGRPNKKKFIARAKSYHGSTLISASLSGLPALHQKFDLPAPFVLHTDCPHYWRYHLPGETEEEFSTRLANNLENLILKEGPETIAAFIAEPVMGAGGVIPPPATYFEKIQAVVKKYDILFIADEVICAFGRLGTMFGCDKYNIKPDLVSLAKALSSAYMPIGAVMVSPEVSAVIYSQSNKLGSFSHGFTYSGHPVSCAVAIEALKLYKERNIVEKVKRISPRFQDGLKSFSDSPIVGEIRGTGLILGTEFTDNKSPNDPFPPEWGIGAYFGAQCEKQGMLVRVAGDNIMMSPSFIITPEEVDELISKYGKALKATEERVKELKSQQKKQ >EOX94586 pep chromosome:Theobroma_cacao_20110822:1:30334790:30339416:-1 gene:TCM_004217 transcript:EOX94586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein MANNPNEAPADDFLEQILGLPNFAPTEAGLPGPDGGLAGTAAAAGAPMLLQLSSGDGAGHLAAIGGGGGGAFHGQVFPLGLSLEQGKGGFLKPQEASGSGKRFRDDVVDGRASSVKNVFHGQPMQATVAAAPHPPSMRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDIPLSSVEDESGEGGRNQPAWEKWSNDGTERQVAKLMEENVGAAMQFLQSKALCIMPISLATAIYHTQPPDTSPIVKPEANPPA >EOX93471 pep chromosome:Theobroma_cacao_20110822:1:13242936:13243464:1 gene:TCM_002336 transcript:EOX93471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKLPTNKCPWQTKSNLTSASGHSFHNTRFPDIRGRMARKQKSVVGFESFCRSPSQISWPILHPAQPC >EOX95540 pep chromosome:Theobroma_cacao_20110822:1:34602464:34603846:1 gene:TCM_005013 transcript:EOX95540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLTDKGLAQMANSGLLCKTVILGENERLSSSFRSEYFPCHLNSGKRTFQSTSFVISTSSKHKLNNSI >EOX93434 pep chromosome:Theobroma_cacao_20110822:1:13060504:13065318:-1 gene:TCM_002310 transcript:EOX93434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative MEVSSPKASSVASSPFSSPNVSALLKIRIISWIQETGLPVSMRVRVGERTFSLHKYPLFSKSGFIQKRLTESNELELPPDFPGGPETFEMIALFIYGSTTLIDPFNAAALRCAAEFLEMTEEYCIGNLCERLDLYLNQVVLQSWDDTLIVLQKCQMLLPWSEELIVSRCIESLAFMACMEILDPEGRRDQPVVTLEALAGEAWSCETVKAIAIKDLWIKDLIALPFGFFKRIIGSLRRQGMKEKYVSPIIVFYANKWVLSKKTRQFWENSGEKIGDIDTNNKVSVILQGILDLLPIGEKASRAIPVGFYFALLSRSLEFGLRSDSRVKLQDQIASMLHYAQVEDFLLPAVGTESVSSSKELAIMKSIFSTYASFNTDTNPTPSASNSIVAELWDTYLAQTASDPEMEPNRFMELVELLPISCRQSHDQLYRAMNSFLQAHRDITQEEKGSVCKYLNCQKLSQEACIEAVQNELMPLRLIVQALFVQQLNTHQAFRECSDSFRFTGQFSGSLSSSRCPNSRSLNLGESPNTDGAKPESKPLSFLLQNDLAMEKSYESTSFRLQSLEQELMSLKKSLQWHMSKKTDSNPNKSQSMKPYGLESRSLSKRRNPLGQVTSCMGSVNFASQRKYASRLIKIFRRFSLFGIRKSKRKQGTNGLSAKTI >EOX94094 pep chromosome:Theobroma_cacao_20110822:1:20944722:20962737:1 gene:TCM_003230 transcript:EOX94094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLPPRTRSSSTPFTRTSQPPPQNDMMFNLFMRIDGKLADRVEKIVKIEEKLQQLGALLHPTKETKSPKALTIAASQSSERAAIEYFKGVVSDH >EOX95272 pep chromosome:Theobroma_cacao_20110822:1:33630800:33631361:1 gene:TCM_004821 transcript:EOX95272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIYKLFNMTNCLYGWPPLRLSLNFGLLSDAAPDLLSKRRGETSKLLYISK >EOX91006 pep chromosome:Theobroma_cacao_20110822:1:1307790:1313397:-1 gene:TCM_000318 transcript:EOX91006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome BC1 synthesis, putative MESSSGESKLANARTIVSAAASFAATVMLVRSIAQEFLPYDIKDYVFFGIRKICNRFSSTVTMVVDEFNGLVYNQVYEAAEVYLGSKISPSSQRFKVSKPEKEKEFIVTMERNEEVVDIYNGIRFNWVLVCKQVESTRHFHNPRDINSTLRSEVRSFELSFSQKHKEMVLNSYLPHIVKEAKSLKQERKTIKLFTVDSESMYGNLADSWRSVNLDHPATFETLALDTKLKDTILRDLQRFLKRKDHYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLNFDVYDLELTDIRCNSELRKLLVATANRSILVVEDIDCTIDLQDRMAEARASRFQDYPPQKQSRTTRNLKNSFPLALIQFSSTYFLSCQTSDNALFHILIRAVTLSGLLNFIDGLWSSCGDERIIVFTTNHKDKLDPALLRPGRMDVHIHMSYCTPSAFRILASNYLGIKDHALFGEIEEAIRTTEVTPAEVAEQLLRSDDLETVLRDLIGFLKVKKIENEEAKAIKSQAESLTNKEAQSTEAERGEQKEDDDEKETIKEKPII >EOX94718 pep chromosome:Theobroma_cacao_20110822:1:31023722:31026509:-1 gene:TCM_004330 transcript:EOX94718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METLVVVAQHRNQYCSRVKPHGPARFGSSPSRNFRGINCRTFESGAGLLPTPFKYSSTPIRKRASSPPSSSSSSSTSSSLKTPSPIADGTHLKTTRKSSPIPIKNNKTPGNDKSFNEEISGECFLCSELWAGPAYSNSPPPSSLPIPKFSLRAKRTVSLDLPTSDPVIDVYPTAKSAPASPTRELSPSVAELFRSADSATKTLRRILNLENTDD >EOX93774 pep chromosome:Theobroma_cacao_20110822:1:15695423:15701034:1 gene:TCM_002694 transcript:EOX93774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl-tRNA synthetase, putative MESQEPKITSSPLMPLMYSSRVMLKTILEGSDSEMGLIGKTMVIGGWVKSSKEVKKEPVASPPAAADAFPASPGPKDVSCVEIIQSRIPFFRTIIRVLGGSASSPAVREKLQSLIPKPPPSSILFLQINDGSCVSSLQVVIDSAIVPVSAGQILPTGTCILAQGVLEKPSAQGKHKIELKVEKILHVGTVEQDKYPLSRKRLPLDSLRDYSHIRPRTTTVASVTRIRSSLNFATQTFFQNHGFLNVQVPIITTTDSEGFSEKFQVTTLLEKTSKKEEPVRAEDVSLETVKAAIKDKTSIVEQLKRSDSNREALFSALQDLRKTNELAQQLEAREKSKPVIAVKPDVVNFNEDFFGRQSYLTVSGHLHLESYACALGHVYSFGPRFRADKTVSPKHVAEMWTVEVEMAFSHLEDAMKCAEDYFKFLCRWTLDNCSEDMKFVTKRIDKNVTHRLEYMISSSYEKISYREAVEILRKVTDKAFETQLQWGVPLTAEHLSYLADDHFRKPLIIYDYPKAVKPFYVRLNDDGKTVAAFEMVVPKIGAVITGSQNEERFDMLNTRIKEFDLSRDQYEWYVDLRRHGTVKHSGFSLGFDQMVLLTTGLTDVRDAIPFPKSHGKANN >EOX94161 pep chromosome:Theobroma_cacao_20110822:1:24161861:24162635:1 gene:TCM_003531 transcript:EOX94161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLERQELLQAHLFVKPGYEQVCNVINLVFQAEIFSFLFGVPHHVNDGPTLSFGLQLQFLLIKQTVGQIRVLILILVLLSIIISCRINVWNYGFALVVFATFLVAKESVNVVRDSSKQNRLLALHQSEHDKPGLGCTHFALHCQGFGSGNGTHPHRRHDLFQENRCLVLHC >EOX92160 pep chromosome:Theobroma_cacao_20110822:1:5543602:5548850:-1 gene:TCM_001155 transcript:EOX92160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bristled-like protein MKANLGMTKANASTSSTATTTTTASTSSTVTSPDNSVKNDKKKKSIIPKIFTSKRRVRGGSDDDSVLPDCGGVSPDLEKKIASRRKEFMEVSPFMRKSFSEKHESGGLIEGLNLSNFECSMAPVTEIRDFRIFVATWNVGGKTPNSDMNLENFLLMESSADIYVCGFQEIVPLNAGNVLVLEDNEPAAKWLALINQALNRPHHDAIHSSPDSGHGSKHSNSKNSKESKSPASLHFFHKPALKVLSKNFRADCSLLKTCNCPVDSTSREKRRQKKLSDLTSKLDLGPLPVRPDSTVDELVALSDMSPPFSTGQMGYRLIASKQMVGIFVSVWARKELVPYIAHLRVSSVGRGIMGRLGNKVNSCGTITILQGCIAVSMSLHQTSFCFVCSHLASGEKEGDELKRNADVTEILKGTQFPKICRTPNHRAPENIIEHDRVIWLGDLNYRVALSYEKTRTLVEDNDWDTLLEKDQLNMEREAGRVFNGFKEGRIHFAPTYKYSHNSDSYAGETVKSKKKRRTPAWCDRILWHGAGIEQLSYVRGESRFSDHRPVSAVFSVEVEVRRKYDNRFRKGYSCGGKRLDYEDFMPKRHSFYEF >EOX91878 pep chromosome:Theobroma_cacao_20110822:1:4281469:4287205:1 gene:TCM_000939 transcript:EOX91878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein, putative MGLAEWTWGLYEKGELFLAVDEKLNKGFDEKQAECLMIVGLWCAHPDRNSRPSIRQAIQVLNFESAIPNLPAKMPVPIIPRFDPNANDILYEGDAAASVGAIEFNKINYLCRVGWATYAESVRLWDSNTGKLSDFTSRFSVTIDTQNSSTYGHGVAFFLAPVGSQIPPNIAGGFLGLFNTTTSDLSTNQIIMVEFDTFPNPEWDPSVVHVGINNNSIASAVYTPWNASFHSDDPADALITYNATTKNLSVSWSYKKTNNPKENSSLSYQIDLMKVLPEWVMVGFSAATGQNVERHTIQSWEFSSSFDIKETNGKNAKNTRIIVATSISAASITDDFERGAGPSRFAFQELVSATNNFANERKLGEGGFGTVYRVYLADLDIAVAVKKISRGSRQGKREYITEVKIISQLRHRHLVQLVGWCHDKGEFLLVYEFMPNGSLDSHLFDKKTLLTWTSRYKIAQGLASVLLYLHEEWEQCVLHRDIKSSDIMLDSSFNVKLGDFGLARLMDHELGGQTTRLVGTLGYMAPEYISTGRASKESDVFSFGVVALEIATGRKAIDPLKQDSQMSLVHWIWHLYESGEHLVAVDERLNAEFDRNQVECLIIVGLWCAHPDSSMRPSIRQAIQVLNLEAELPDLPKKMPVPVYVPTSSVSSSEPSITNSSLQFGR >EOX94533 pep chromosome:Theobroma_cacao_20110822:1:29582973:29584429:1 gene:TCM_004144 transcript:EOX94533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKMRHRFIVVSPFIHSFDDIKVPTNTPNNIPRFKKVQKLSPKSLTLTLNIWCIDVGEATFNAQFFKPPKYNDVPSTTYFDTLICHDSARGSIRVNTFPNAVAFIP >EOX94491 pep chromosome:Theobroma_cacao_20110822:1:29135408:29137329:1 gene:TCM_004093 transcript:EOX94491 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase MADALATLAAMFKVGTNVKIQPIMINLRECPAHCSSVEEEIDGKPWYHDIVHHLKFQQYPDXSSENDKKTIRRLAMNFFLDGNILYKRSRDQTLLRCVDSTEARRIVEEVHEGVCGAHASGHKLARQVMRAGYYWLTLEKDCIDFTRKCHKCQIYADRIHTPANSLHVLTSPWPFSMWGMDVIGLITPKASNGHRFILVAIDYFTKWVEASSYANVTQKVVCKFVQKEIICRYGLPERIITDNASNLNGSMMKEVCAKFKIKHHNSTPYRPKMNGAVEAANKNIKRIIEKMTDIYKDWHEKLPFALHAYRTTVRTSTGATPFSLVYGIEAVLPIEVEIPSLRVLKEVQLEEAEWVNARYEQLNLIEEKRLTALCHGQLYQKRMMRAYDKKAHSRQFREGELVLKRIRARYPGSSREMDTKLGRTIRGEESFFRRSTNFGGDGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKKERKFSS >EOX96490 pep chromosome:Theobroma_cacao_20110822:1:37997738:37998806:-1 gene:TCM_005724 transcript:EOX96490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLQLHHKEILGLDPVKLVRSALTSSPLKDPRQVLYPSQSFSVEQVSVALEVLTGCRGESDAKNPGRNKLHKLFLGELGLDAELVSNKASFEANTCGRF >EOX91302 pep chromosome:Theobroma_cacao_20110822:1:2247773:2253161:-1 gene:TCM_000544 transcript:EOX91302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 10, putative MENSEKHFVLAHAICPGAWCWYKVIALLKSAGNRVTALDLGANGVNPGLLNELSSILDYVQPLMDFMASLPQEEKVILVGHRYGGMAISLAMEKFPKKISVAVYVTAYMPNYVSPPATLVEQNEETFGSANRVFILCKEDKLFNDDFQRWFIENSPTKDVKVIEVADHMPILSKPKELCLCFQEIAEEYN >EOX90599 pep chromosome:Theobroma_cacao_20110822:1:107866:114781:1 gene:TCM_000026 transcript:EOX90599 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal, Foie gras liver health family 1 MEEYPEELRSPPVRLVALVGCPEQHGLISSHLLTQQPPINTLALPDLSKLSLLLQHNPSKSSSGGGILRRDWLVKHRAKIPAVVGALFSWDQVSGDPAQWGQVCSDLDELKAAIRPRNIKLLVLVLLQSEEISEDRLLALRKRAEVDSKFLLLFNPDPSQLNNSLQRLGAALSELATTFYRDEGRRIKARIEKKTFSSLDHQVRYCFKVAVHAEFRRDWVEALRFYEDAYHALREMVATSTRLPPIQRLLEIKTVAEHLHFKISTLLLHGGKLIEAVTWFRQHIASYKNLVGSPKVIFLHWEWLSRQFLVFAELLDSSCAALQSISSLPLGTAEQPLTEWEFHPAYYYQSAAQYLKEKRSALEFAVSISETFNENDDGSAESVVPSIYVGQFARLLEQGDDLAMQFLTDDEYTHYAFAEGKRFQDSFEIIALLKKSHETYSSLKVQRIGSLCAFQIAREYFSLGDFSNAKQLFDGVANLYRQEGWVTLLWEVLGYLRECSRKQVVVKEFIEFSLEMAALPVSTAGSIQSSKCGPGGPASLEQREMIHSEILALVSGEARSVSLEGTDDLKVNGENTLHLEIDLVSPLRSVLLASVAFHEQIIKSGVSSLITLSLLSQLPLSIEIDQLEVQFNQSNCNFIIMNAQKCPLQAVSSEPHDHRMESAPSLALATNKWLRLTYDIKPEQSGKLECISVIAKMGPHFTICCRAESPASMDDLPLWKFEDRVETFPTKDPALSFSGQKAAQVEEPDPQVDVTLGSSGPALVGERFVIPVTIASRDHAIYAGEMKINLVDVRGGGLFSPRESEPFSMDSHHVELLGIVGPEGEDDPDKIKKIQQSFGLVSVPFLNIGESWSCKLEIMWHRPKPIMLFVSLGYSPNNNELNAQKVNVHKTLQIEGKNAVLIGHHFMLPFRRDSLLLSRIKPVPDSDQLASLPLHEATVLIVSAKNCSEVTLQLLSMSIEVDNDGIESCSIQHGGEDLGSALVPGEEFKKVFTIIPQVVSSKLMLGTVYLKWKRHSGIEDRTGLTVADAQVLTTHKLPVVHIELSPLVVSLDCPPYAILGDPFMYCIKILNKTELLQEVKFSLADSQSFVLSGSHNDTVFVLPNSEHILCYKVVPLASGLQQLPRISLASVRYSARIQPSIAASTVFIFPSKPQVKIAGTTDRRLESIVAD >EOX95305 pep chromosome:Theobroma_cacao_20110822:1:33733246:33735510:-1 gene:TCM_004842 transcript:EOX95305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSLFTLSNSVSFYSARLNQSSPRYTVRLSPLGAHTDGARPLEPFATFLMRTRVVLLRVLLFWDPPFFGRGHRQHPRGRSDGLDLRGDDDIRKHVPLIVPRVWLFGPKIVMFSYLLQSRFQVARFGIIFIGP >EOX95597 pep chromosome:Theobroma_cacao_20110822:1:34767365:34768450:1 gene:TCM_005045 transcript:EOX95597 gene_biotype:protein_coding transcript_biotype:protein_coding description:UvrABC system protein C MGNCVFKGFGEVEEMVKVVTSSGGIMELFAPITAECITNEFPGHAIYRTRDLFSQPLLHNEELHAGELYYLLPLNNSFTKQNNQNIKSLQDDDSKIGNNIISTSSSSVTTPYRMSFDHQRVLKRSEAEVLPRYNSSGVWKVKLVISPDQLAEILAQEARTEALIESVRTVAKCGNGVSSVANSDQWSVSSSWKGSLGKCAEDRW >EOX93944 pep chromosome:Theobroma_cacao_20110822:1:17661746:17662531:1 gene:TCM_002956 transcript:EOX93944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKFKIWKRELHEWDDHCAKIGADTKKWMEKSLVKRQASHVKPNHVTLMSDVIVYSLEATSLSQWNP >EOX96307 pep chromosome:Theobroma_cacao_20110822:1:37280915:37281205:1 gene:TCM_005586 transcript:EOX96307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKGRHRSRKARKINILCNLCRTTYDYKNGYLDHIKKSHGNAFLCTRRHCLQLFETEVELSVHSHVNLRHIQSETAEEAEDFDESSAED >EOX96537 pep chromosome:Theobroma_cacao_20110822:1:38183132:38186286:-1 gene:TCM_005774 transcript:EOX96537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerases MGRRQADSDFGRFTLLVLLIIAAVSCCMAYLSFSVAFKGSGNTNSVGSSERSRRSDEEDREEENGCCRGIEHLELWGDAVKWGSEFKVNSSDECCNACKEMCKGDDGPCLCDSWVFCGNREACGSRFGECWLKKQKDTLDPDRRDSGDEVIWTSGLIFGKGEGIVKLKTEYGALHVKLLPDCAPHSVAYILELLALHHCAGCQFYRAESRGNSWDPQGNHIEHATFGPPSALIQGTLEAHGPAFKDIPVEACPTVRRGSIAWVGSGPEFFISLANHKEWRKAYTVFGYVLPEGMEVVEKIAQLPTIPEVWNNINVSVLERPVPLRFLRMKGSA >EOX93859 pep chromosome:Theobroma_cacao_20110822:1:16835399:16838707:1 gene:TCM_002837 transcript:EOX93859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein, putative MASTTRQGESSSSSSSEDWRHRILIPTILAGVAGGGVGLVSKHRKVHGLANISATYAANFAIVTGCYCEIEVPWVFGFLGLDKYFKGIGWSIILRLTQLLSYMKVLDFVLADDFGACEFVRVTRKTGPDDLMNSAIAGFGTGALLGRLQVCIANPGGQLGAYRYSIIFAAVGTAADFAALKLRPKLCNLSESMFDKNSGVLKLPEWSPIQVLDEEALAAKQAREEKLLAQRALGKLSKEES >EOX93820 pep chromosome:Theobroma_cacao_20110822:1:16131816:16137882:1 gene:TCM_046690 transcript:EOX93820 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MGGHQINPPIPTPEEKSSMDQDYSNPSLPSQPFNFPSVKVEDGRVSQDNRVISGELDQVGPFSTSSLEIEANQRRRRNTYSEVFQSYDPLRVHSKILDEAKSKILSYVPGAWIDNVGSDYDVPKTTTLLLVGPKGCGKSSLINKISRIFEDDKFASERAQVSYNPSVGDGTYFLQEYMIPRGSTSFCLYDTRSLSDAKSENIDMIKCWMTKGVRHGELIIRESDGSRLRSRMKCKTQEDNCQSTEMRKVNFVIFVVHGVSFLKSMEGDDNEGKQYIQLITKVFNCPHLSFKDDKPVVVVTHGDLLSLSDRLRIRVHLGELLGIPPAKQIFDIPESCDPITELTIVEMLRYSLEHADRNLPRKNWVLDRGAQSFCTCVCLSANKPWDRNGICSYETSECGPCPQIKISQRSGYHLAQVVRLGVHLPFMSGLLPFNQILMEDKHSLSDFMCK >EOX93790 pep chromosome:Theobroma_cacao_20110822:1:15846831:15847857:-1 gene:TCM_002717 transcript:EOX93790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSLCLSLPFSLPLLSSLVSCCHPSPFSHQEPSQEGRLKVNIIFREANSATDALARTSATLEFEFILYR >EOX94543 pep chromosome:Theobroma_cacao_20110822:1:29956151:29965670:1 gene:TCM_004169 transcript:EOX94543 gene_biotype:protein_coding transcript_biotype:protein_coding description:MuDR family transposase MAKHELVIRPNQDFSVRQNQCVGIGHNASFVLGSSHDLLRSEQIHDYDLLIGPSHDHELGSGHHIHELATCQIQANDLALQHDNLHKFEENGLNMDQINYPDAKEDHTNVEEHDHQLPVVAQNHEFGLRDSNELTLVENQDLQDNLSSDMQQNQEMSVLSASDMFSQQQQLVVGPPVLQSRPLFPVPNYELTVGKEFSNVQSCRRALRDAAIALRFEMQTIKSDKTRFTAKCASEGCPWRIYAAKLPGVPTFTIRTIHDEHTCGGITHLGHQQASVQWVADAVAERLKENPHYKPKEILEEIHRVHGITLSYKQAWRGKERIMAAVRGSFEEDYRLLPQYCDMIRETNPGSIALVYGDPTDNFFQRLFISFQASIYGFLNACRPLIGLDNTRLKSKYLGTLLFACGFDGDGAVFPLAFGVVDEENDANWMWFLSELHYLLEINAENMPRLTILSDRQKGIVDAVEANFPTAFHGFCMHHLIDSFQKEFNSSILINLFWEAALALTGLDFEKKIIEIQEISPEAATWIRNIPPRFWATAHFEGTRLGHLTANIVECLNSWIAEASGLPIIQMMECIRRQLMTCFNERRETSMQWTGILVPPAERLVLEAVERARTYQVFKANEAQFEVKSHNEGAFGVDIRSRSCYCRGWQLRGLPCAHAVAALLSCRQNVRRFTESYFTVASYRKAYSQTIHPVPDKALWKEMSEQFLKEGEKDVEFILKPPKSLQPPGRPRKRRARAENAGRAKRVVHCSRCNQAGHFRSTCTAPI >EOX95939 pep chromosome:Theobroma_cacao_20110822:1:36084267:36088599:-1 gene:TCM_005317 transcript:EOX95939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 8 MAPAKSGRSFPSITKCEGSTYESIAADLDGTLLISRSSFPYFMLVAVEAGSLLRGLILLLSLPLVIVSYLFISEAIGIQILIFISFAGLKIRDIELVSRAVLPRFYAANVRKESFEVFDRCKRKVVVTANPTFMVEPFVKDFLGGDKVLGTEIEVNPKTKKATGFVKKPGVLVSELKRLAILKEFGEESPDLGIGDRESDHDFMSICKEGYMVHPSKSATPVPLDRLKSRIIFHDGRFVQRPDPLNALITYLWLPFGFILSIVRVYFNLPLPERIVRYTYEMLGIHLVIRGKRPPPPSPGTPGNLYVCNHRSALDPIVIAIALGRKVSCVTYSVSRLSRFLSPIPAVALTRDRAADAARISELLQKGDLVVCPEGTTCREQFLLRFSALFAELSDRIVPVAVNCRQNMFYGTTVRGVKFWDPYFFFMNPRPTYEVTFLDRLPEEMTVKAGGKSSIEVANHVQKVLGDVLGFECTGLTRKDKYLLLGGNDGKVESMYNAKK >EOX92905 pep chromosome:Theobroma_cacao_20110822:1:9271546:9280875:-1 gene:TCM_001757 transcript:EOX92905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGRFYGFACKHANATCTIETTPFATRLLGGWRRWFATSLSNVWRVIRDEDKDDANDDDNDEAKVKMRSSGWLPLIISSDIMSSIVSHHDWLCALRMTSYGFIFYGPGSYAWYKYLDHYLPHQTAQNLMLKEREFNFITTLDPIDGTRGFVNESQTALYVEMYNSVLSQKYGEDSPSQPEFDLHA >EOX91655 pep chromosome:Theobroma_cacao_20110822:1:3445012:3446842:1 gene:TCM_000774 transcript:EOX91655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein MGEDNQCVIVVREFDPSKDLTSVEEVEKRCEVGPSGKLSLFTDLLGDPICRVRHSPAFLMLVAELSSTKEIVGMIRGCIKTVTCGKKLSRNTKSDPTKPVPIYTKLAYILGLRVSPSHRRMGIGLKLVLRMEEWFTQNGAEYAYLATENDNQASVNLFTDKCGYSKFRTPSILVNPVFAHRLPVSNRVTLIKLPPSDAESLYRRRFSTTEFFPRDIDSVLNNRLNLGTFLAVPPGCCYTQESWSGTDEFLSDPPESWAVLSVWNCKDAFMLEVRGASRMRKTLAKTTRIVDKLLPFLRLPSIPEVFRPFGLHFLYGLGGEGPRAAKFVKALCAHAHNLAKEGGCSVVATEVANCEPLKIGVPHWKRLSCDEDLWCIKRLGEDYSDGSVGDWTNSPPGLSIFVDPREF >EOX92595 pep chromosome:Theobroma_cacao_20110822:1:7656393:7664578:-1 gene:TCM_001527 transcript:EOX92595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 14 MEMKAGDSFRFPGKFLFLALLFMALLLWDGDRNPFISTHAPAQHQYTTPPPAPVCNYAKGRWVADRRPPLYTAGCKYITRNWACRLTNRTDFSYEGYRWQSLDCKMPEFEPSDFLKRMQDKTVAFIGDSLSGQQFQSMMCMLTGGEQSPDVEDVADKYGFSKLLRKGEIHQHFWAYRFLSTNTTILRSWSARLCDREPINATDPKTRYAMHLDRPPAFIRENLDQLNLLVINTAHHWTKTRVDMDNEVMYVNGKPVEQGFLKNVKNAKIFKVNNIVKWLDSELAFHPDLQVFFTTTSPRHFFKGEWNTGGKCDNLIPMTRGSQVLGEESSDKIVAAAVQGTRVKILDITALSDLRDEAHISHYGKKGNDCLHWCLPGIPDTWNELLSAQVA >EOX92021 pep chromosome:Theobroma_cacao_20110822:1:4955047:4961370:1 gene:TCM_001044 transcript:EOX92021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3550/UPF0682) [Source:Projected from Arabidopsis thaliana (AT4G40050) TAIR;Acc:AT4G40050] MAEEDEVVSKTFRALVENADRKFARVRDFPSYGRAQGQHYFQKVFKAYMRLWKYQQEHRTELVKAGLNRWEIGEIAGRIGQLYFGQYMRTSEARFLVEAYIFYEAILKRKYFEGCKVRDLGVRFKELRFYARFLLVSLILNRTEMVKVIVEKLRALVDDCKANFRETNFKEWKLVVQEIVRFMNVDTTFTITGARPFRYCAMFDCHPSSVPYVARFHAKKVLKFREAILMSYHRNEVKFAELTLDAYRMLQCLEWEPSGSFYQKHQAEPKENGVAVDYSGASGLIDMNLAAEMTDPSLPPNPRKAILYRPSVTHLIAAMATICEELPPESIILVYLSASGKPGHVTASPVENSGGSRRTTKSKLASHNSLELNKSTPESHINGKKGSSDFYEDYLWLGPKANRGSSNLYPGDIIPFTRRPLFLIIDSDSSHAFKVLHGAERGEKAALLLSPLRPMFKDPSSADIIQNGSQFSFFLTSPLQAFCQMVGFSLSDSDVEVLTSAENILSTAFSKWEVILCKSPSLDLVWAQVLSDPFLRRLIVRFIFCRAVLSAFCPPEGSDQYLPVCLPQLPNSLSPKSNVVQSAVSHLADHLKVADCFHFDNM >EOX94843 pep chromosome:Theobroma_cacao_20110822:1:31680165:31688181:-1 gene:TCM_004456 transcript:EOX94843 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB-POZ and MATH domain 2 MGRVLRETSKPSSSSASSVSATTTSTSVTETVNGSHQFKITGYSLSKGLGIGKYIASDTFMVGGYLWAIYFYPDGKSPEDNAAYVSLFIALASEGTDVRALFELTLLDQSGKERHKVHSHFGRTLESGPYTLKYRGSMWGYKRFFKRTLLEQSDYLKDNCLSVHCSVGVVKSHTEGPKVYSIAVPPSNIGHHFGQLLESGKGTDVSFEVDGEVFPAHKLVLAARSPVFRAQLFGPMKDQNTRKIKVEDMEAPVFKALLHFIYWDCLPDMQELTGLNSKWASTLMSQHLLAAADRYGLDRLRLLCEANLCEDVAINTVATTLALAEQHHCFQLKAVCLKFVAMPENLRAVMQTDGFEYLKESCPSVLTELLEYVARVNEHSVIVCRHGNEAILDGSDANGRRLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPPDGVDIELLHDATRREARGG >EOX91084 pep chromosome:Theobroma_cacao_20110822:1:1538659:1539761:1 gene:TCM_000375 transcript:EOX91084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHYFVLNRTLSSRIRTSQIVKQSNWRVRLIQQNQTCEIQRNGKRTNKKQQQAAAPESQGKSEINLTVLNSS >EOX94996 pep chromosome:Theobroma_cacao_20110822:1:32386065:32390839:-1 gene:TCM_004579 transcript:EOX94996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, putative MSNNTVVQDSIEETDHDEVTQDQLEEKNHSFPKLRSYDSLDLECAKVPGHHDRGSQDLEWSTILNLAFQSIGIIYGDIGTSPLYVYSSTFASGINHSDDILGVLSLIFYTITLIPLIKYVFIVLRANDNGEGGTFALYSLICRYARVSLIPSQQAEDSDVSNFKLQLPSNRLKRASKLKSKLENSNFAKTFLLIITMLGTSMVIGDGVLTPCISVLSAVGGIKEAKSTLSEETIVWTSIAILIGLFMVQRFGTDKVGYTFAPIICVWFTLIGGIGVYNFFKFDPTVVKAINPLYIIDYFRRNKKDAWVSLGGVVLATTGTEALFADVGHFTVRSVQISMCTVTYPALVLAYAGQASFLRKHENLVADTFFKSIPGPLYWPMFVVAVAAAIIASQAMISGTFSIIQQSLALGCFPRVKVVHTSTKYEGQVYIPEVNYLLMLACVGVTAGFKTTRRIGNAYGIAVVFVMTLTSSLLVLIMIMIWKTNILFAIAYVLIIGSVELLYLSSVLYKFDQGGYLPLAFAAVLMTVMYIWNNVYRKKYYYELDHKISSEKLKEITVNTNFSRIPGLAMFYSELVHGIPPIFKHYLANVSALHSVLVFVSIKSLPISKVPIEERFLFRRVEPRELHMFRCVVRYGYTDVHNERDTFEKTLLERLKEYIKEDTWLTQRLASNRAAAGKDTELEDGFVNKEIEHKNMEQDGEGEQQEAVQKETELVDKAWQAGVVHLVGENEVIAGKGASTGKKILIDYAYNFMKKNLRQTDKVFEIPHKRLLKVGMTYEL >EOX93787 pep chromosome:Theobroma_cacao_20110822:1:15823102:15825506:1 gene:TCM_002714 transcript:EOX93787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L32e MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVQELELLMMHNRTYCAEIAHDVSTKKRKQIVERAAQLDVVVTNKLARLRSQEDE >EOX96711 pep chromosome:Theobroma_cacao_20110822:1:38740558:38741171:-1 gene:TCM_005904 transcript:EOX96711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFIIDNKYPTPSRVGSQKVSSDESNSKQKPKAQALESFFGETGLDDCCRVSTRKLQSSRAELVMK >EOX92087 pep chromosome:Theobroma_cacao_20110822:1:5195332:5196950:-1 gene:TCM_001094 transcript:EOX92087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVSIKALAMAGINYLEWGMDTEEWEQDGLDLPPAHLLADEEGEEEIIGNSITYGSSANHFDLLSQVLVLGIIMLVLAISLSR >EOX94950 pep chromosome:Theobroma_cacao_20110822:1:32168544:32170098:1 gene:TCM_004543 transcript:EOX94950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTGELGVRGLPWNSLGPGQHLACLFSFKHTVAVTNQILNEVNPFSSPKFSTGARRKREELAGSHTVGDGAVLHRFERGSHGTFASTSYRYRPHAHLCQSTSPARASYGCHTSYRLTRTSED >EOX91187 pep chromosome:Theobroma_cacao_20110822:1:1880224:1882259:1 gene:TCM_000456 transcript:EOX91187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMGCQSSKTKGGDPEPSSQVKTDSKLEADLSSYEAACRHDPALQHFDATLHERTNHVISTLAAGLGVSSISFDSLKEVTGCLLETNQEVAKIILESQKDIWDNPELFSLVEEYFDNSKKTLDFCTALENCLRRARNNQLIIQLAVRKFEEEVELQVGTDGKKFVKTLEELRKFKAAEEPFSKEFFLLFDSVRRQQESMLGKLLVRKRKIDKKLKSLKTWRRVSNVLFVATFVSVLIFSVVAAAVAAPPVVTALAGALAVPIGSVGKWCNWLWKRYENELKGQRELITTVELGARITIGNLDNIRMLVGRLEIEIESLLHYADFALREEDAVKLAFDEIKRKSEEYMEIIEKLGRQADMCSRDIRKARAVVLQTMTRQSGTSTTGDSPWEL >EOX95245 pep chromosome:Theobroma_cacao_20110822:1:33499796:33502501:1 gene:TCM_004794 transcript:EOX95245 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger-containing protein, putative MGDAVVFVDDLSSNYSISHCRICHEEEFESFKSLEAPCACSGTVKFAHRDCIQRWCNEKGNTTCEICLQDYGPGYTVTVTAPSKKSQLIEAAVTIRDSLQIPRRELEPQHQRLVALAEGMNVENDFSQCTSAADRGASCCRSLALTFTAVLLVKHLFAVLNGETDHYPFALLTILFLRATGILLPMYILVRTITAVQNSIRRHYHDSDEETSNSDDEDDVEQQQQLHTN >EOX95832 pep chromosome:Theobroma_cacao_20110822:1:35710583:35712172:1 gene:TCM_005235 transcript:EOX95832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTNEADHSNQRLQQQPLIITIGCRNRRLQQLELKLANEKKSPKVKVSKDSLADLQKKMGRLTAEVLGDDRSPGKGKTKASKKADAKNVSSAQD >EOX92366 pep chromosome:Theobroma_cacao_20110822:1:6468903:6489960:-1 gene:TCM_001316 transcript:EOX92366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate-binding protein precursor, putative MITLREANAFTDVLAKFGVDSEESSLKMALAVLFSLLCLSLSQLLSFQANAQQNPTSGFTAVPLSQSNFKLQKPYDKSPSERYSFSNGEHRLWVFATDKPHTTSSDTKPRTEIRIRGYDYSSGVWQFEGQAYVPSGTTGTSIMQVFGGSSRATTIMLRVYTGSLTVYRSPVILSNMYNRWFKVNVIHDVGASNVKVYIDGVLKYEGSGAGGNNHYFKFGVYAQNDESNYMESRWRGIRVLRRN >EOX92300 pep chromosome:Theobroma_cacao_20110822:1:6138938:6143183:-1 gene:TCM_001265 transcript:EOX92300 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 51, putative MKQQLIKIKGKKKKERKDNSYFRSFKLLYKCIKWQHLCQTGFPCYTLSKTAVQLSFVMDFSHENSNPNPSYTFFPESFDPMPEFELADYLMLDDCPFEEDTSSQSMVSSEKGMGGANGFSGATSRNTNIICKSGVRKNKLELGNRVAFRTKSELEVMDDGYKWRKYGKKSVKNSPNPRNYYKCSSGGCNVKKRIERDRDDTSYVITTYDGIHNHDSPYMVYYNQMPIVAPNAWNLRTSPPSSSST >EOX90591 pep chromosome:Theobroma_cacao_20110822:1:84786:91195:1 gene:TCM_000019 transcript:EOX90591 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein, putative MHRMDSMISDTKENENEAELAVPGASDMNQGDHPGTPQLQEQSSSPSSVPVEANSIPSQTENTNADNNIEPHSTPPQHSLSSVPIHNDQASLKPATEIPSNVKSESSDLKAPMDATIDSPSSSNHIQIAEPTTDVKTETSSGSPPKPAANAKTETCSALPLSKTNCDIDPVPTSPNEPEQLTPCPSSSDIKLVKEKGDDLKNGVNKVDLPTAPVNGNSNSELSFRFDDVHFSDGNESGTEEEQSAFMKQLEIFFKERGMEFKPPKFYGEGLNCLKLWRAVTRLGGYDKVTSCKLWRQVGESFKPPKTQMLLIHKMKMHKTCGGELNIPIASQSEPMNVDNQAAGSGRARRDAAARAMRGWHSQRHLSNGEVSDPIIKDKNSISLQKREKQLKSLGLLKRKKPSYLDHAVKAARTKVSKAQLSVETTVVDIGPPADWVKINVQKTKDCFEVYALVPGLLREEVRVQSDPAGRLVISGEPEHPDNPWGVTPFKKVVSLPARIDPHQTSAVVTLHGQLFVRVPFEQV >EOX92140 pep chromosome:Theobroma_cacao_20110822:1:5409394:5410532:-1 gene:TCM_001134 transcript:EOX92140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MKSETLTLVLVNLAAIMETADESLLPGVYNEVGAALHIDPTGLGSLTLFRSVVQSWCYPLAAYLARHHNRAHVIALGAFLWASATFLVAISTTFLQF >EOX95244 pep chromosome:Theobroma_cacao_20110822:1:33494139:33499370:-1 gene:TCM_004793 transcript:EOX95244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNSNFFPFRFEFETCDLESTTSESSGHQKFKKRLTNGGDEGTETELFGFQEGGGDQAKWWALTLSFGGDTMAHFTRLISAVPGEASLGCHVYTWFMKGSYEPAIIELVALFIAIHVV >EOX94987 pep chromosome:Theobroma_cacao_20110822:1:32301348:32308197:-1 gene:TCM_004565 transcript:EOX94987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin 1 MERKTIDLDQGWDYMQKGITKLKRILEGLPEPPFSSEEYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFEEYITSTVLPSLRERHDEFMLRELVKRWANHKIMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYNDVHVKVRDAVITLIDKEREGEQIDRALLKNVLDIFVEIGMGLMDRYEEDFEAHMLQDTGAYYSRKASNWILEDSCPDYMLKSEECLKKERDRVSHYLHSSSETKLSEKVQHELLVMHANQLLDKEHSGCRALLRDDKVEDLSRMYRLYCKIPRGLDPVANIFKQHITAEGTALVQQAEDAASNQASNAAGVQEQVLIRKIIELHDKYMTYVTDCFQNHTLFHKALKEAFEVFCNKTVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQASFEDYLRSNSAAHPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFKGFYETKTKHRKLTWIYSLGTCHINGKFEQKTIELIVSTYQAAVLLLFNASDRLSYSEIMAQLNLTHDDLVRLLHSLSCAKYKILSKEPNTKTISQSDYFEFNSKFTDKLRRIKIPLPPVDERKKVVEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVSECVEQLSRMFKPDIKAIKKRMEDLITRDYLERDKDNANMFRYLA >EOX93030 pep chromosome:Theobroma_cacao_20110822:1:10031710:10034197:1 gene:TCM_001885 transcript:EOX93030 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 28, putative MSSNEKKDLHHYDPFQGFNRSTFPFFDDNPSMYNQAAAALTAPTQNFQGFDPSYMSFTDCLHGSVDYNSFSRAFDISCSSSDVVSPIDDSNCKKIGTADNSVGNITENPSTPNSSVSSSSNEGAADEDSSKSKKDKQPKGAEDADDKSKKVNKPKKEKRQREPRFAFLTKSEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTSQKCTVKKRVERSFQDPSVVITTYEGQHNHHIPATLRGNAAGVLSPSILASSASMGPSFPHEFLSHLLPSTSNPGGDTNSLHYQGLAPHQQQLQVPDYGLLQDLVSSFTRQAP >EOX94088 pep chromosome:Theobroma_cacao_20110822:1:20544871:20547596:-1 gene:TCM_003204 transcript:EOX94088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDNLLLRRIDEPSAIEGELWFVIGNTKVHFSKHDFYLVTGLKFRFMLDVISHFYEAILEGIHKRYWGVKDQTRLQKIDDFMTVVASQEHRQVECIPIGTGGDEPISLDLHTIDDEATGLDSYTAHLRLRPHIMLRAHLLLRPAPPPPVEDMSMDILHVMSREMELKPFDKKFKPKKVAIPKVLVDYVRGDSPMWGKPWHEVN >EOX93023 pep chromosome:Theobroma_cacao_20110822:1:9982821:9990032:-1 gene:TCM_001879 transcript:EOX93023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSDSKSNGSDGGGGGGGGGGQIPASVKKVVQDLKEVVGNNCTDSEIYAVLRDCNMDPNEAVQRLLSQDTFHKVKSRRERRKEMKETQELKNRANNGTSNRGVRGGSEHSFGWSGSTKISSNELGKAASRKENASVASIPYSASSTICATGQTLNEQPCPQSNSFNADNRRQSMGTGDMIDSSLQPSLGSQPTRVGATLGHVTMADIVRMGRPQSKGSQMPCETSFIPQDAVLPNSAIYHMKPSDATSPSQPGTHQDLQSSDLDMTFESGKKSSQHDFDNEWPVVEPITASSDIGSTMYSNQSYLYSNRANLSNNCWSDNILVTESDAARENLSSDHTSSVQASSKQIFMNGSEGTPKHDDDLSKNKNSSSPDSYRQIHEHQEGIGRDSHISVPNPTSLSDDAIKAVASAAVNLQQLSLGKEQPAATPTEENCGLVLPNDLQVFSADCSHLSFGTYKSDKSTALSQPQASSSLTKDLEGTFTAPNGPSSVHLTSRDTVNLDEEQLEFAFDNHRAAAAAKSSLAELRKLDIPDAAAHGNDFVFHSSIPGSSFKNIQQLSSAFPSVNGPTARNVSSLPSEVQSYSNSIPSELSAASIQSLKARDSAAYLASQSISSRYCASTSSMKNPTMSMSEVLNSGALSVSHPSLLAQHGANLATGPVLKEHLSAHSYSQTGYPAIPQSHTYSPYALQHAYPNGNVFHESFSGMKYNLPQYRSNSMSSLPLSGSYTSGYESLGNSTDIPGSFLHNLSAGPAGSKVGYDDFLRSQYRDGGANFNLLQQNDGSAVWDYVHGSRTTSTIPHSAYYSLQGQNHQLAGYHQGQRHSQLHGFLGYPGVYNSQAGITREQQQQNLGDVILNGSQSPSSKQLPQNWQRRY >EOX93340 pep chromosome:Theobroma_cacao_20110822:1:12179674:12181598:1 gene:TCM_002179 transcript:EOX93340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-forming enzyme MATFPVINLAKLNGEERAATMEKIKDACENWGFFELLNHGIPHDFLDSVERLTKEHYKKSMEQRFQELVASKALEGLQAEVTDMDWESTFFLRHLPESNMAEIPDLADEYRKVMKEFALKLEKLAEELLDLLCENLGVEKGYLKKAFYGSRGPTFGTKVSNYPPCPTPDKIKGLRAHTDAGGIILLFQDAKVSGLQLLKDGEWIDVPPMRHSIVINLGDQLEVITNGKYKSVEHRVIAQTDGARMSIASFYNPGSDAVIYPAPALLEKEAEENKQVYPKFVFEDYMKLYARLKFQAKEPRFEAMKAMETTVPIATA >EOX92123 pep chromosome:Theobroma_cacao_20110822:1:5329255:5330060:1 gene:TCM_001119 transcript:EOX92123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGWKTEIEKILQIITKGDLFFTRIEGGTENLSQYSLMRYNYSDFNQKATLKLNWGTKERGSRFKSCCALHGCDGTKFTRSPQLWM >EOX95867 pep chromosome:Theobroma_cacao_20110822:1:35821203:35828877:1 gene:TCM_005265 transcript:EOX95867 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate synthase MERTRKKGQLLSRMATNDRHGENSPYFDGWKAYDENPFHPSQNPDGVIQMGLAENQLCFDLIKKWILENPDASICTAEGVDKLKNIAIYQDYHGLKEFREAVAKFMERVGGNRVTFDPNRIVMGGGATGANETVMFCLADPGDAFLVPAPYYPAFARDLRWRTGLEIVPVDCKSSNNFRITRAALEEAYEKAQSSNINVKGVILANPSNPLGTVLDRETMRSLVSFVNEKGIHLVCDEIYAATVFSSPRFISIAEIIQDMDCNRDLIHIVYSLSKDMGFPGFRVGIVYSFNDVVVNCARKMSSFGLVSSQTQYLLASMLSDEEFVGNFLRESSKRLARRHSVFTKGLEQVGISCLKSNAGLFFWMNMRPLLKEQTAQGELELWRAIISEVKLNVSPGSSFQCSEPGWFRVCFANMDDETVEVALERIRAFVLQGKEEDAPDQKSQRWHKKSLRLSFSSSRLYDESPMSPRMLSPHSPLVRART >EOX92639 pep chromosome:Theobroma_cacao_20110822:1:7863394:7867909:-1 gene:TCM_001554 transcript:EOX92639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSEGSKNVEVVSSHHKRLDVMLAGDGSNFVEWKFLVKVNVRGLGKEAHLMNPIQKKTMLQSSTDVAKMKEQRERLFVFGCLSSLDSGYNMIRSQLFANKDVSSLFDVVTTRRIKWGKRHFRGGHGGKGTGGHGGPRVCYNCGAQGHPKNRCSKPLQGQQNYHYQPPSQRQQQQQYQVPSKFANAASQVGNSTTPRTEGHTIIMLDEEFSRYTQFRKSQQPSSSSIATLVKSGNPIACLSSLSHHWVIDSGATDHMTGNSGSGSTFVTLSLPLSSDLLTWKIIGSGSESNGLYLLDEVIAAFPSAGASIAYSSDLSPFQLHCQLGHPSQLVLKKMCPELVSVSSLHRTHSYVRQGQTPYYILSPNQSLFPIPPKVTYVHEKVIIVTHQNWASVLFLVMSHSLSIVLSLLVLLPLCTPRRHGTNATILQPVDTSLDSLLVLTSTSLDSDLDLLIALRKVSLPKTLTEALSHDATTNHWPLYQLDVKNAFLHGEKVYMQQRPGECSKSIHVSAYFYSLGCLGSHSEVFKGTPGKGVVYEDHGHTQVDSFTDADWAGSPDDRKSTTRYCMFVAGNLVSWKSKKQNVAARSSAESEYRAMAQTTCKLMWIHHVLEEIGFADSSPMRLWHDNQAAMHISSNPVFHERTKHIEVDCHFVREKIQQKLIPTSYVSTGEQLANLFTKNLSRVRIDYIVTSWA >EOX90768 pep chromosome:Theobroma_cacao_20110822:1:581637:583276:1 gene:TCM_000145 transcript:EOX90768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 64 MALIVALLSSLIVLSASSPADALSLNHYEKTCPDVESIVSKAVQSETRKDKTIPAALLRMHFHDCFIRGCDASVLLYSKGNNKAEKDGPANLSLHGFYVIEGAKKEIEASCPGVVSCADILALAARDAVVLSGGPTWDVPKGRKDGRTSKASETLQLPAPTFTISQLQQSFSQRGLSVDDLVALSGGHTIGFSHCSSFVNRIRNFNATQDIDPKMHPSFAASLRSVCPINNKAKNAGATMDPSSTTFDNTYYKLILQRKALFSSDQALLTNPKTKNLVHKFATSKQAFEKAFVKSMIKMSSLNGGQEIRKDCRVVN >EOX91555 pep chromosome:Theobroma_cacao_20110822:1:3084851:3086735:1 gene:TCM_000699 transcript:EOX91555 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein, putative MEGRKVMGWAARIHQLIFHHILSLSGVEDVMLKVLYCVVDHTDPHQMRSEIQSSNYPLVPGHEVVGEVVELGTEVNGRIFTHGGIDKDGTPTQGGFSSAMVVHRKFVGKIPEKLEPKQAAPLLCAGVTAYSALKQFKNPDKVIKAGILGLGGVGPLGVLIAKTMGHHVTVISSSEKKRMEALEHLPMHPLQPVISLLKFGGQLILVGVVTKPLAFDSEDLLLDRRKKTVTGSFLGSMEETQEVLDFWAEKKLNSMIEVVKMDYVNKAFERMVRNDVRYSFVLDIAGSNLE >EOX92225 pep chromosome:Theobroma_cacao_20110822:1:5825356:5825794:-1 gene:TCM_001206 transcript:EOX92225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADIAMLVAEEYERRVRNSRSKVGAEKQEIDLVSCVAVLAQRMKSKVGEGRIEVVKLALEPKSQIAVAAFNGAFSA >EOX90719 pep chromosome:Theobroma_cacao_20110822:1:442017:445664:-1 gene:TCM_000108 transcript:EOX90719 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein MTMVVGMCRTFRDRSLDRCFQCFPCLSDPARRSSLGLKVALVMLHLIYAGILFLFDSDLIQKAKREPWYTALYLLLFFATLVQYFITSGSSPGYVVDAMRAVNETNVIYKKSSIASKQPASSKNGSLIVTVEGSQSARSFQGNNVASWTKLVMDMYPLGTPIRTCTCSYCNVEQPPRAKHCHDCDKCVLQFDHHCVWLGTCIGQGNHCKFWWYICEETALCLWTGILYITYLKANISRAWWKAAIMILLLIALSISIIFLLLLLLFHSYLVLTNQTTYELVRRRRIPYLRGIPERVYPFSKGVCSNLYDFCCVRSCSYSLESLPSAQELEEKSRPYTCLDVLTCRCC >EOX96738 pep chromosome:Theobroma_cacao_20110822:1:38818805:38820327:1 gene:TCM_005924 transcript:EOX96738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFMSNSNDSLLASAALYEEEEDEDGQRSVDDPPFSVLGTWMNVWIDMTLFHQDLRGLTFAARSTGAGAISHIWTIH >EOX95217 pep chromosome:Theobroma_cacao_20110822:1:33356558:33357692:1 gene:TCM_004768 transcript:EOX95217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKKNSNVSQRAWSLLRLALLWTRKGGVFKRRLMMELRLVPKFLKGLGHTTAPRDQIHYKERELSFDETPFFNVKMHRPSSMRFLLPCISAEAVDFDYDFGMDEYDGVCGYESGRKSYSSSSDEEEQGEEECGYEGCDEKSPYSLEEEGIDSKAEKFIAKFYEQMKLQRQISYLEYTEMLNRGAS >EOX93093 pep chromosome:Theobroma_cacao_20110822:1:10382591:10392429:1 gene:TCM_001936 transcript:EOX93093 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPR1-like protein 3, putative MEYGNEISSPLSFAPSSYLSDGSGGHLIEAATSTEDPGANLEILSLSRLSCSLEKLLVDQEYDYSDAEIVVEGNIAVGVNRCILAARSQYFHELFRKGRDDNSMNKEGKPQYLMSELVPHGRVGYEAFKVFLNYLYTGKVKPSPREVSTCMDDACAHDACGPLITYALELMYASATFQMKELVLLVQRHLLNSVGKALVEDVIPILVAAFHYKLNPLLYDCIQRVATSDLDDACLEKELPGEVYHEIRSVRLKSHKQEAEPGAVELDPMLEKRIRRIHKALDSDDVELLRLLLTESNVTLDDAYALHYAAAYCDPKVVNEVLSLGSANVNLRNPRGYTVLQVAARRKEPSVLVALLNKGAYVAETTPDGQTAVAICRRLTRPKDYNENKKQGELSNKDRLCIDVLEREMRNSVESYNQGVSSQVMHDDLHMKLDYYENRVSFARLLFPAEAKLAMEIADADSNIREVNLNESPSIQTKRLQLRLQTLLRTVETGRRYFPLCSDVLDKFLVDDMSDPSLVEEGSSEEQRLKKRRFTELKEELQQAFYKDIEQKKRSTLSPSCSASSSTAKEGAAAAPRHKTRRK >EOX91956 pep chromosome:Theobroma_cacao_20110822:1:4631500:4642443:1 gene:TCM_000994 transcript:EOX91956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein, putative MPPLTCTKLALITLTGVIIQIIGLSLFVFGFFPVKPALTGTSGSESFHLPICNSVGNQSETTLPSDQLRSLYKELSGIPSLFDRLILMVIDGLPAEFVLGKNGKPPSKQFLEAMPYTQSLLASGLAVGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAMLDDNLLGQFFRIGWEMVMLGDETWLKLFPGVFKRHDGVSSFYVKDTVQVDQNVSRHLGDELSRDDWNLMILHYLGLDHVGHIGGRSSMLMAPKLKEMDEVVKLIHSSTTQSQGNARGRTLLMVVSDHGMTENGNHGGSSYEETDSLALFIGLRNHDFDYASVIHQVDIAPTLALLFGMPIPKNNVGVLITEAFDSLKEDQRLRALELNSWQLLRLLQAQLSGLPCRNFPCDVFSNHQSSGPSECNHSTANMLCCLYMEAEALHSSLKSKGGSEFASNKEYSRTAAAYYKFLKSASEWLSRRSTDKPVKLLAVGLATMFISCVILSSLMFCWVREIYLGGKRQPSNLNDSMNGWSLDETFILGVILILVTSMGSSSMVEEEHYIWYFVVSTFYLLLLRKTAQSLAPVGVQSSLGIHKGQSGKVYSRMCLIFLLLISGRILRGWHQGGVNWTSLPDISKWLELAGSHYVKLLQLISAFLVISIGVCALFSIESKGKFFQMVRLSFLMSALLVLLHIIRYQDYTFSSTNYGATLLAQIIYAILGAATMGIVVALPWLIPFSTFKICPTDNTLSPTSFFLSIQEKFPLVELRDSLYVIGWSYILCWCLLQLLLQQPINSTPILLLLVQILASLLYFASNETHHKEWIEIAALYYLGMAGHFALGNSNTLATIDVAGAFIGISSHSTLLSGVLMFIITYASPMFILLSLVMYISMKNTAHLVIPEKADAGDLLMMMLGFPCLVPLVFNSILLTAYTVVLLLMRNHLFVWSVFSPKYLYVCATTLCTYIGVSIVAATGIYTHLVLGIRKRKQVPISNNTR >EOX93823 pep chromosome:Theobroma_cacao_20110822:1:16177583:16179973:-1 gene:TCM_002767 transcript:EOX93823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSNCITQFMMIYFIVSMYSTLDVYDNNTIFDNGCSQGNRETDSKALRGFGLTFRVISVHQDTAAVVTGSRGVPGRDTF >EOX96127 pep chromosome:Theobroma_cacao_20110822:1:36682814:36693193:-1 gene:TCM_005451 transcript:EOX96127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein isoform 1 DMETVTRIFIATLLLLAFVAISSTAQAKKQTYIVHLDRTKIKTAYQSLDNSKPWYEAMLNSIADVSSQEEDGSEATPPQLLYAYETVMFGIATRLSSKQLELLSKIDGFQYATLDEELISLHTTHTPQFLGLEKGKGLWHASNLKSDVIIGVVDTGIWPEHPSFQDRGLSAIPSRWKGACVKGTKFSSSNCNRKLIGAKFFFNGYEATVGRINETEDFKSARDSNGHGTHTASTAAGSLVENASLFGLANGSAAGLRYTSRIAVYKACWSICSIPDVLAAMEQAILDGVDVLSLSLGTAARPYYRDYMALASFQAIANGIFVSCSAGNYGPSKSTVSNTAPWIMTVGASYLDRSFPTIVKLGNGQTFEGSSLYVGKGTKQLPLVYGKTAGGQGAEHCIAGSLNRDLVQGKMVVCQRGENARTENGEVVKMAGGAGMLLINTENDGEELLADSHVLPASSLGDSAGKAIKKYMNSTKSPTASIVFKGTTYGNRAPTLAAFSSRGPNLVGLDVIKPDVTAPGVDILAAWPAITSPSELKSDKRRVLFNIISGTSMSCPHVSGIAALLKSKHKDWSPAAIKSALMTTAYVADNKGTPILDVASGSSATPFGLGSGHADPERASDPGLIYDISPKDYIYYLCSLK >EOX96126 pep chromosome:Theobroma_cacao_20110822:1:36681565:36685966:-1 gene:TCM_005451 transcript:EOX96126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein isoform 1 METVTRIFIATLLLLAFVAISSTAQAKKQTYIVHLDRTKIKTAYQSLDNSKPWYEAMLNSIADVSSQEEDGSEATPPQLLYAYETVMFGIATRLSSKQLELLSKIDGFQYATLDEELISLHTTHTPQFLGLEKGKGLWHASNLKSDVIIGVVDTGIWPEHPSFQDRGLSAIPSRWKGACVKGTKFSSSNCNRKLIGAKFFFNGYEATVGRINETEDFKSARDSNGHGTHTASTAAGSLVENASLFGLANGSAAGLRYTSRIAVYKACWSICSIPDVLAAMEQAILDGVDVLSLSLGTAARPYYRDYMALASFQAIANGIFVSCSAGNYGPSKSTVSNTAPWIMTVGASYLDRSFPTIVKLGNGQTFEGSSLYVGKGTKQLPLVYGKTAGGQGAEHCIAGSLNRDLVQGKMVVCQRGENARTENGEVVKMAGGAGMLLINTENDGEELLADSHVLPASSLGDSAGKAIKKYMNSTKSPTASIVFKGTTYGNRAPTLAAFSSRGPNLVGLDVIKPDVTAPGVDILAAWPAITSPSELKSDKRRVLFNIISGTSMSCPHVSGIAALLKSKHKDWSPAAIKSALMTTAYVADNKGTPILDVASGSSATPFGLGSGHADPERASDPGLIYDISPKDYIYYLCSLKYTDSQISGFVYNFTCPKDAIMQPGDLNYPSFVVNFKSSAAENITLTYHRTVTNVGTPKSTYDVLVEEPEGVSVVVTPKVLTFNMLGEKLSYKVTFTGLKRTKPVAASSFGSLVWVSGNYRVRSPIAASWLKMH >EOX93710 pep chromosome:Theobroma_cacao_20110822:1:15246254:15253609:-1 gene:TCM_002630 transcript:EOX93710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMKKERREVRLAGCGRTLPGTSLTCQTRRIRVWQVSGTCPWVPRDKAMGRAPLRTRESTTSSDRVPGPARYDNSLVEQIVFDTCI >EOX95474 pep chromosome:Theobroma_cacao_20110822:1:34333596:34338360:1 gene:TCM_004959 transcript:EOX95474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome interacting factor 3, putative MAEEASESIQPRMPNHLANLASMCDPQILELVWENGEILIRGLTSKTTKESRFPCSGYFSQYSEALIQREGETSTGNRSKAGAADSTFGDPLSGLSGLTKLDHRDKNKVNSLEAGYAEHFSELYEDDINVVFEPNKKCYDKELIDSHIVPVNKFKNFKQSYMSKLVEEIPQHTMNSDNVVPQSSFKQSEASVPFMRSKEENPGIDEKRDRVNFSIFLRSPALLKSTHRSSGATRPTSSSPGLAGADEAILEGNVRSEDLKGNARSAPRTSNPVDNLILVEPTNGSKYITSIEKEGTSLASNMKPILPHSEPQNESLPDEQSEAVGNKDTPSNTRFPSRVRAPSSNLAPNTSIKGNPGNGKSIEQMVASSSVCSLGASNCPTYTLKRRYEDTDLSENAMEEPEGTTKAVPSRRSKGAKRKRKAEVHNLSERRRRDKINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQMMSMGTGAYMPPMMLPTVMQQINAQHLAGYSPMAVGMGMRMQMGLGCSPAQFPTTLLLSGTAAALPGITTEARLNMLEFPGQVLLMSMSRSPFVSMAGRFSPQSVQAPAGVSQPPAAQVGLPGAAISLSTPKGSNPTCH >EOX96062 pep chromosome:Theobroma_cacao_20110822:1:36465968:36466874:1 gene:TCM_005405 transcript:EOX96062 gene_biotype:protein_coding transcript_biotype:protein_coding description:BON association protein 2, putative MESKSRTLEITILSGEDLRIDNKSVKKNAFVDVSIDPFNCKTTKMDGEGGSNPSWNDKLVMDMPMQTRFITLAVKCKASSGEKTVGLARIPVTDFIGGYSPETCLQFLSYRLRDPKGLKNGIINVSVRVKEPLHACSSQAAAGLGIPIDGRNDFGVVTGIPIWSGYPSNSFFR >EOX90886 pep chromosome:Theobroma_cacao_20110822:1:925645:927499:1 gene:TCM_000230 transcript:EOX90886 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MAAENDTSRAGSGSGSAAINGDASAERKVALITGITGQDGSYLTEFLLNKGYEVHGLIRRSSNFNTQRINHIYIDPHNALKARMKLHYADLTDASSLRRWLDTIRPNEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHIAATGRSHIKYYQAGSSEMFGSTPPPQSENTPFHPRSPYAASKCAAHWYTVNYREAYGLFACNGILFNHESPRRGENFVTRKITRAVGRIKIGLQSKLFLGNLQASRDWGFAGDYVEAMWMMLQQEKPDDYVVATEESHTVEEFLEVAFRYVGLNWKDHVMIDKRYFRPAEVDNLKGDSSKARKVLGWKPRVGFEQLVKMMVDEDIELAKREKVLVDAGYMDAQQQP >EOX94089 pep chromosome:Theobroma_cacao_20110822:1:20586515:20601663:-1 gene:TCM_003206 transcript:EOX94089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVNRDVATIVMGLREIIMPPRRGRPPLTRSAGRGKGRSQRRQLDAVGEESAASTIRAAPIAEQADSPPHPPPPPPPTGIPTMPTEAAQALAAFFTAMAAEKLATENMRIRTEFAKRKNPGMSSSQPVKRGKDSAISGSTTSVSVTSPRPPFPPSQQRPSRFSRSAMTGSGKSFGGEEEFRGDLIPLEILDFDLILGMDWLTAHRANVDCFRKEVVLRNSKGAEIVFVGKCRVLPSCVISTIKALKLVQKGYPAYLAYVIDTSKGEPKLEDVPIVSEFPNVFPNDLPGLPPNRELEFPIDLLPGTAPISIPPYRMAPAELKELKVQLQELVDKGFTRPSISPWGAPILFVKKKDGTLRLCIDYRQLNRMTIKNKYPLPRIDDLFDQLQGATVFSKVDLRSGYHQLRIKEQDVPKTAFRTRYGHYEFLVMPFGLTNAPLRNGEDGSLLGNFIVRPSLLNQIKDIQRSDDELKEIQKLTDGGVSEFRFGEDNVLMFKDRVCVPEGNQLRQAIMEEAHSSAYALHSGSTKMYRTIRENYWWPGMKRDVAEFVAKCVVCQQVKAEHQRPAGTLQSLPVPEWKWEHVTMDFVLGLPRTQRGKDAIWVIVDRLTKFAHFLAVHSTYSIEKLAQLYIDEIVRLHGVPVSIVSDRYPRFTSLFWPKFQEALGTKLKFSTAFHPQTDGVKPDLIKYFPCHSYD >EOX92555 pep chromosome:Theobroma_cacao_20110822:1:7487014:7489286:1 gene:TCM_001494 transcript:EOX92555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MIAGWRAAAQAGNIEVRHASIQEDGDVLKRIDEMEFVDTPLHIAAAAGHTDFVMEMMNLKPSFAKKLNQRGFSSLHLALHNGHKEMVLRLLEMEKDLVRVKGKEGYTPLHYVIKEGNIDLLAKFLEDCPKCIFDVTIQNQTAFHTAVENNRVDALHTLCRMLEKTYYCEDVVNWKDRDGNTALHRAAANNHPQMLKLLLECKADKNVTNQAGLTALDITLAKVNNGESINILRVCSFPGVSTLGKLWQAIVNILTKGSAELFQDMDSISSEDRNALLVILGLLLTATYQAILSPPGGVWRGEGSDSPVWQVGAPTSTLITRNLVGKSVLGPIEFLVFYVTIWAVFIGAFFLTLGLLKPFPRDFRVLYRYY >EOX95892 pep chromosome:Theobroma_cacao_20110822:1:35913008:35915072:1 gene:TCM_005284 transcript:EOX95892 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MARDFHIVMLPWSAFGHLIPFFQLSVALGKAGVKVSFISTPGNIGRFKVPSDLATLIDLVAFQLPTLDNETLLPEGSEATVDIPSEKIQYLKIAYDLLRHPVKQFISDQRPDWIIVDVIPHWVVEITQEYQIPLISFSVLSTSSYSFFANPRSLTSDGQKRIEGFYGENASGVSDAERLAKIIQASKAFAIRSCPEYEAEYLNVVEKTNGKPVIPIGLLLPEKPEGRRITDKSWIEIFEWLDVQKPKSVVFVGFGSEYKLSKNQIHEIAYGLELSGLPFLWALRKPDWALSDHDALPPGFSDRTSGRGVVSTGWAQQLEILEHPLIGGSLFHAGWGSIIETLQFGHCLVVLPFIIDQPLNARFLVEKGLAVEVERSDDGSFSGVDIAKALTLAMVSEEGQSLRVRIREAAQIFENRDLQNSNFNSFVEYLEKNGAANQRRQSAKFQ >EOX94045 pep chromosome:Theobroma_cacao_20110822:1:19470663:19472943:1 gene:TCM_003128 transcript:EOX94045 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MPPMQRAEVQRQVEELLEKGLVRESKSPCACPALLAPKKDGSWRMCVDSRAINKITIKYRFPIPRLDEMLDQLVGSRVFSKIDLKSGYHQIRMRDGDEWKTAFKTPDGLFEWLVMPFGLSNAPSTFMRVMAEVLKPFLNSFVVVYFDDILIYSHTKEKHLKHLRQVLEVLQKEQLYINLKKCSFMQPEVVFLGFIVSAEGLKPDPEKIRAISEWPAPTSIKEVRSFHGLASFYRRFIRNFSSIMSPITESLKKDGFEWSHSAQKAFERVKALMTEAPVLALPDFEKLFVVECDASYVGXXXXLSQDGRPIEFFSEKLTDSRRRYSTYDLEFYALVRAIRHWQHYLAYREFAVYSDHQALRYLHSQKKLSNQHAKWSSFLNEFNFSLKYKSGQSNTVADALSRRCKMLSVMSTQVTGFEELKNQYSSDSYFSKIIADLQGSLQAENLPYRLHEDYLFKGNQLCIPEGSLREQIIRELHGNGLGGHFGRDKTLAMVADRYYWPKMRRDVERLVKRCPACLFGKGSAQNTGLYVPLPEPDAPWIHLSMDFVLGLPKTAKGFDSIFVVVDRFSKMAHFIPCFRTSDATHIAELFFREIVRLHGIPTSIVSDRDVKFMGHFWRTLWRKF >EOX96259 pep chromosome:Theobroma_cacao_20110822:1:37124436:37133945:1 gene:TCM_005540 transcript:EOX96259 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, D,CHO MAAREEQTRKKEETEIASNDEEDDEHDSKERVLQRYFLQEWKLVKSLLDDIVSNGRVSDPSSVHKIRSIMDKYQEQGQLLEPYLESMVSPLMFIIRSKTIELGIDSDEILQIIKPISIIVYSLVTVSGYKAVIKFFPHQVSDLELAVSLLEKCHNTSSVTSLRQESTGEMEAKCVMLLWLSILVLVPFDISSVDTSIAGSGGGGVGEDELAPLVLRILGFSKDYLSNAGPMRTMAGLVLSKLLTRPDMPKAFTSFIEWTHEVLSSTMDDVLSHFRLIGSVEALAAIFKAGSRKVLLDVVPTVWNDVSVLIKSGTAARSPLLRKYLVKLTQRIGLTCLPYRSPSWCYVGRTSSLGENISLNASNKNDQLNQGVVLHNSESEENSNCLQDEDMDVPEIIEEIIEVLLSGLRDTDTVVRWSAAKGIGRVTSRLTSVLSEEVLSSVLDLFSPVEGDGSWHGGCLALAELARRGLLLPTSLPKVVPVVVKALHYDVRRGPHSIGSHVRDAAAYVCWAFGRAYYHTDMRNVLEQLAPHLLTVACYDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNTADYFSLSSRVNSYVHVAVSIAQYEGYLHPFVDELLHNKICHWDKGLRELASEALSALVRYDAAYFANFVLEKLIPFTLSSDLCTRHGATLAAGELVLAIHQCGYDLPCDKQKQVSSVVPAIEKARLYRGKGGEIMRAAVSRFIECISISRLSLTEKIKRSLLDTLNENLRHPNSQIQNTSVKALKHFLQAYLVATDSKGSIGVTSKYLQLLGDSNVAVRRGSAMALGVLPYELLANQWRDVLLKLCRSCAIEDNPEDRDAEARVNAVKGLISVCETLTQARKNSDIHSGEEDMSLFHLIKNEVMVSLFKALDDYSVDNRGDVGSWVREAAMEGLERCTYILFKGCSTSSTRESDVLGSVSKLPNSNFDEEDQMCSFFDINLATNLVGGIAKQAVEKMDKLREVAAKVLQRILYHEEIFIPFIPYREKIEEIVPNETELKWGVPTFSYPCFVQLLQFSCYSRPVLSGLVISIGGLQDSLRKASLSAFLEYLQVDEDINNESKGCKLSEDILWILQEYKRCDRVIVPTLKTIEILFSKKIFLDMEAQTLIFCAGVLDSLVVEMRGSKDFSKLYAGIAILGYISSLSDPINSRAFSHLLTFLSHRYPKIRKASAEQVYLVLLQNGSLVSEEKTDKALEIISETCWDGDMETAKLMKLELYAIAGLDVGPLKTTDKVPNKDVKKSAAPDENESYSSLVESTGF >EOX95988 pep chromosome:Theobroma_cacao_20110822:1:36241590:36242132:1 gene:TCM_005350 transcript:EOX95988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKKNNLARRKKQHEFDLQREKAEKEKQAKKLQAKKNKMKVDGSENKKKKGGSGFQVGKRKLKTKLTEVAKAKAAQAMELDK >EOX94171 pep chromosome:Theobroma_cacao_20110822:1:24279136:24280186:1 gene:TCM_003548 transcript:EOX94171 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 2 MQRNNNNGVAAAGVHPACAACKHQRKKCDENCILAPYFPADRSREFQAVHKVFGVSNATKIVRNANTEEDRKRVADSLIWEAFCRQKDPVLGPYGEYRKIYEELTLYKSQNQMMLLQVQGRGDEAAAAAAAAFKMPPAVAEWNNNSNKRIDVKGAFNNAALRYDHGDSLVHFTTYGYPSQSDKPAVQEKGIHNATTLVPLQYYASGNLNLPTSHFQRKTTSTPLHPVV >EOX91960 pep chromosome:Theobroma_cacao_20110822:1:4648326:4650379:-1 gene:TCM_000998 transcript:EOX91960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-like superfamily protein isoform 1 MPVAITTPGYSYCHKLNHRLSASLSSLCTAKNPMVTTASSSSTGSNAGAYTTIKETVTCEKEIKKSKFIAIAGPISNEQSAHSFLNQVKDPRATHNCWAYKVGDQYRSNDDGEPSGTAGKPIHSAIVSSGLDRVMVVVIRYFGGIKLGTGGLVRAYGGVASECLRNAPTCLVKSKVPIGVEIPFDLLGVLYHQLQSFQAEDIKQDYDTGKDGITMVSFKVDFDRVEKLEETIKANCSRELVFYKH >EOX91961 pep chromosome:Theobroma_cacao_20110822:1:4646948:4650356:-1 gene:TCM_000998 transcript:EOX91961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-like superfamily protein isoform 1 MPVAITTPGYSYCHKLNHRLSASLSSLCTAKNPMVTTASSSSTGSNAGAYTTIKETVTCEKEIKKSKFIAIAGPISNEQSAHSFLNQVKDPRATHNCWAYKVGDQYRSNDDGEPSGTAGKPIHSAIVSSGLDRVMVVVIRYFGGIKLGTGGLVRAYGGVASECLRNAPTCLVKSKVPIGVEIPFDLLGVLYHQLQSFQAEDIKQDYDTGKDGITMVSFKVDFDRVEKLEETIKANCSRELVFYKH >EOX93226 pep chromosome:Theobroma_cacao_20110822:1:11407782:11413576:-1 gene:TCM_002068 transcript:EOX93226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARRISLVQVGTSMMTSYLMQTFFLPQPTIKGIDRLNRNFIWGPYGKFPEIHALSWDKLYQKRRRRSADL >EOX92157 pep chromosome:Theobroma_cacao_20110822:1:5529674:5533797:-1 gene:TCM_001151 transcript:EOX92157 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT transposon superfamily isoform 2 MGCGNSKAEKNEALRLCKERRRFIKQAIESRYALAAAHISYIQSLKNIGIALRRFAEAEILIESSLSTSATELDKTPSHSSYPSPSPSHLGAEVSDSPLNNESPISPAMANLSYMRAGHSAAVTLKVNPSNGSFVEDESLAMAMPPPPPPPFESGSSWDFFDPVDDCESFRFVGNNGVDMDFEDLRVWRECRSKGVDHGGLDGNNELNEGTLWPESERNAVKRSDSSATRNRNPGSVMENDVFLTGLRGGNGGSRLPNSKEVRQLGAEHNVNGPGETLMGKGALEQSSSKREKAAAEKDLSAEREDPSEFITHRAKDFLSSIKDIEHRFFRASEAGREVSRMLESNKIRVGYSEAKGGSSAFLAAFQLVCCRGNSALVSHEASESIRKEYDRKCGQLRHQFAKDLSTQVIDKTRAVVKDLHSRIRVALHSVDTISKRIEKMRDEELQPQLVELIQGLLRMWKAMLECHHSQYITISLAYHSRSSTGAPQGDSRRQIMTQLQQEIECFGISFTDWVNSHASYLEALNGWLQNCIIEPQERSKHRNPFSPHRYLGLGPPIFVLCREWSAGIKALPAEELSDAIKAFLSDLCHLMDQQVEQL >EOX92156 pep chromosome:Theobroma_cacao_20110822:1:5528754:5536448:-1 gene:TCM_001151 transcript:EOX92156 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT transposon superfamily isoform 2 MGCGNSKAEKNEALRLCKERRRFIKQAIESRYALAAAHISYIQSLKNIGIALRRFAEAEILIESSLSTSATELDKTPSHSSYPSPSPSHLGAEVSDSPLNNESPISPAMANLSYMRAGHSAAVTLKVNPSNGSFVEDESLAMAMPPPPPPPFESGSSWDFFDPVDDCESFRFVGNNGVDMDFEDLRVWRECRSKGVDHGGLDGNNELNEGTLWPESERNAVKRSDSSATRNRNPGSVMENDVFLTGLRGGNGGSRLPNSKEVRQLGAEHNVNGPGETLMGKGALEQSSSKREKAAAEKDLSAEREDPSEFITHRAKDFLSSIKDIEHRFFRASEAGREVSRMLESNKIRVGYSEAKGGSSAFLAAFQLVCCRGNSALVSHEPASESIRKEYDRKCGQLRHQFAKDLSTQVIDKTRAVVKDLHSRIRVALHSVDTISKRIEKMRDEELQPQLVELIQGLLRMWKAMLECHHSQYITISLAYHSRSSTGAPQGDSRRQIMTQLQQEIECFGISFTDWVNSHASYLEALNGWLQNCIIEPQERSKHRNPFSPHRYLGLGPPIFVLCREWSAGIKALPAEELSDAIKAFLSDLCHLMDQQVEQLQKEEISVDANNGESESKDAVNTLTDGDANEDVTSNLFCIQTSLTRVLDRLNKFSEASLKMYEDVRQRSEAARIAYLKLQAN >EOX95902 pep chromosome:Theobroma_cacao_20110822:1:35952296:35954579:1 gene:TCM_005291 transcript:EOX95902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRPDRLHPRLEHFNQTCKFHGATLSLISLSKGRSPNPAMIQCWLARVRATDDFLFVMSLLFPLPHRTQSFPWTS >EOX91824 pep chromosome:Theobroma_cacao_20110822:1:4035251:4037842:-1 gene:TCM_000897 transcript:EOX91824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MSTEPTTTTTTTEPFKPYTLSQTLTGHKNAISSLKFSSDGRLLASSSADKTLRTYSLSPAGSATTLSPLHEFSSHDQGVSDVAFSSDSRFLVSASDDKTLRLWDVPTGSLIKTLHGHTNYVFCANFNPQSNMIVSGSFDETVRVWDVKTGKCLKVLPAHSDPVTAVDFNRDGSLIVSSSYDGLCRIWDAGTGHCMKTLIDDENPPVSFVKFSPNGKFILVGTLDNTLRLWNFETGKFLKTYTGHVNSKYCIASTFSITNGKYIVSGSEDNCVYLWELQSRKVVQKLEGHSDAVISVACHPTQNMIASAALGNDKTVRIWTQENE >EOX90706 pep chromosome:Theobroma_cacao_20110822:1:407766:409127:1 gene:TCM_000096 transcript:EOX90706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic chitinase MRFLTLLVACILASLSRALADITPLISRDLFNEMLKHRNDANCPGNGFYTYDGFIAAANSFGAFGTTGDVDTRKREIAAFLAQTSHETTGGWATAPDGPYAWGYCFVQEQGNPGDYCVASQEWPCVPGKKYFGRGPIQISYNYNYGPAGKAINVDLLNNPDAVARDPTISFKTALWFWMTPQSPKPSCHDVITGQWKPSAQDTAAGRVPGYGVITNIINGGIECGKGSNPQVEDRIGFYKRYCDILQVSYGDNLDCYNQRPFA >EOX92805 pep chromosome:Theobroma_cacao_20110822:1:8676478:8684275:-1 gene:TCM_001677 transcript:EOX92805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 2 isoform 1 MSKTSSGTKPSRVLPYTTPSLADHYSLGKTLGQGQFGTTYLCTHKPTGQQYACKSIPKRKLICQEDYEDVWREIQIMHHLSEHPHVVRIRGTYEDQLSVHLVMELCEGGELFDRIVKKGHYSEREAAKLIKTIVGVVETCHSLGVMHRDLKPENFLFDTVDEDAALKATDFGLSVFYKPGESFCDVVGSPYYVAPEVLRKHYGPEADVWSAGVILYILLSGVPPFWAETEMGIFRQILQGKIDFDSEPWPAISESAKDLIRKMLDRNPKGRLTAYQVLCHPWIVDDTIAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDSSGTITFEELKDGLKRVGSELMESEIKDLMDAADIDNSGTIDYGEFLAATVHLNKLEREENLVSAFAFFDKDGSGYITIDELQQACKEFGLSDVHLDEMIKEIDQDNDGQIDYGEFAAMMRTGNGGIGRRTMRRTINLGDALAVMANGSKKIE >EOX92804 pep chromosome:Theobroma_cacao_20110822:1:8675974:8684419:-1 gene:TCM_001677 transcript:EOX92804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 2 isoform 1 MSKTSSGTKPSRVLPYTTPSLADHYSLGKTLGQGQFGTTYLCTHKPTGQQYACKSIPKRKLICQEDYEDVWREIQIMHHLSEHPHVVRIRGTYEDQLSVHLVMELCEGGELFDRIVKKGHYSEREAAKLIKTIVGVVETCHSLGVMHRDLKPENFLFDTVDEDAALKATDFGLSVFYKPGESFCDVVGSPYYVAPEVLRKHYGPEADVWSAGVILYILLSGVPPFWAETEMGIFRQILQGKIDFDSEPWPAISESAKDLIRKMLDRNPKGRLTAYQVLCHPWIVDDTIAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDSSGTITFEELKDGLKRVGSELMESEIKDLMDAADIDNSGTIDYGEFLAATVHLNKLEREENLVSAFAFFDKDGSGYITIDELQQACKEFGLSDVHLDEMIKEIDQDNDGQIDYGEFAAMMRTGNGGIGRRTMRRTINLGDALAVMANGSKKIE >EOX95521 pep chromosome:Theobroma_cacao_20110822:1:34539907:34541706:1 gene:TCM_004998 transcript:EOX95521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 29 isoform 2 MAQASSVVSINRRKRFVSLPFHGIVVTLAVILLCLVPINVSAAARRQPPQQQLPHKLRFGRNGEFKILQVADMHFADGKTTPCLDVLPHQFHGCSDLNTSAFIQRMIQAEKPNFIVFTGDNIFAFDATDSAKSLNAAFAPAIAAGIPWAAVLGNHDQEGTLSREGVMKHIVGLKHTMSQFNPSEAHIIDGFGNYNLEVGGVEGSGFANKSVLNLYFLDSGDYSTVPAIPGYGWIKTSQQMWFQRTSANLRRAYMSPPNVQKSSAPGLVYFHIPLPEFASFDSSNFTGVRQEGISSASVNSGFFTTMVEAGDVKAVFTGHDHLNDFCGQLTGIQLCYAGGFGYHAYGKAGWSRRARVVVASLEKTEKGGWGAVKSIKTWKRLDDQHLTAIDGQVLWSKNSAGKNKFL >EOX95520 pep chromosome:Theobroma_cacao_20110822:1:34539698:34542853:1 gene:TCM_004998 transcript:EOX95520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 29 isoform 2 MAQASSVVSINRRKRFVSLPFHGIVVTLAVILLCLVPINVSAAARRQPPQQQLPHKLRFGRNGEFKILQVADMHFADGKTTPCLDVLPHQFHGCSDLNTSAFIQRMIQAEKPNFIVFTGDNIFAFDATDSAKSLNAAFAPAIAAGIPWAAVLGNHDQEGTLSREGVMKHIVGLKHTMSQFNPSEAHIIDGFGNYNLEVGGVEGSGFANKSVLNLYFLDSGDYSTVPAIPGYGWIKTSQQMWFQRTSANLRRAYMSPPNVQKSSAPGLVYFHIPLPEFASFDSSNFTGVRQEGISSASVNSGFFTTMVEAGDVKAVFTGHDHLNDFCGQLTGIQLCYAGGFGYHAYGKAGWSRRARVVVASLEKTEKGGWGAVKSIKTWKRLDDQHLTAIDGQVLWSKNSAGTRRKKHILGRA >EOX93760 pep chromosome:Theobroma_cacao_20110822:1:15577417:15578811:1 gene:TCM_002676 transcript:EOX93760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein MAQQEVQNGGVEKAVAVKFTAMKPQLMVEAPKAVDAVQFYKTAFGAVEAGRTVYPKRKAEQELPHILSAQLELAGSTILVSDIAEDSAPVKTEGNGCVLCLETEDVEAAIAKAVSAGAVAEGEVTEGEGACCGGRVGKVKDPYGYVWLICTPAKKCVDVEA >EOX91024 pep chromosome:Theobroma_cacao_20110822:1:1383197:1383984:-1 gene:TCM_000337 transcript:EOX91024 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA--methyltransferase non-catalytic subunit trm6MTase subunit trm6, putative MRRYNRLRSLTKAFRFVELLVALLFLAWTFERVPFAVKISGEFVLRLGGVVASPLFVFLVCNAIIVTLVAKSGVFSAVHNADSKLYEEIVKNAENRSKMESREEIVYEDKEIISGVSTCTHTFEQMEPESEPESDSDAEVDTPRVYRRSKSEKVAIKQSEEKVKKELRRSETEKCRKFENIDEKLFPEDELSNEEFQRTIEDFIAKQLRFRREESLSIVPQSQA >EOX91748 pep chromosome:Theobroma_cacao_20110822:1:3691437:3691860:-1 gene:TCM_000832 transcript:EOX91748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokines 3 precursor, putative MAKLATLFILTLLLVSTLSFAARSGPAFPNESPAKTQPEGVETENTEAVEDSCEGVGEEECLMRRTLAAHIDYIYTQKQKP >EOX92469 pep chromosome:Theobroma_cacao_20110822:1:7040926:7041604:1 gene:TCM_001418 transcript:EOX92469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MKHIHNANLKHDQAVELLRYIFKEIPRLSNKQLDTIGLDKAIYDAIKHGMIEFIDEIIQLYPEVTRRKDKKGRTLFSNAIVLQQEKIFNHVYNLGSKQCIALLRHDIFRNNFLHLAAKLSHPSRLDHISGATLQMQRELQWFEVIHYLLKFLLPICVTKYLKW >EOX94472 pep chromosome:Theobroma_cacao_20110822:1:28920068:28920786:1 gene:TCM_004061 transcript:EOX94472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MEASHQGGKNTGNNGKGNAEVRYRGIRRRPWGKFAAEIRDPTRNGARLWLGTFETAEEAARAYDRAAFAFRGHSAILNFPNEYQSHNPIFPPSLASSSTYGVGRGNPPRGRGSEVIEFEYLDDKLLEDLLETQDDRQRL >EOX95825 pep chromosome:Theobroma_cacao_20110822:1:35682255:35687095:-1 gene:TCM_005229 transcript:EOX95825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 1 protein isoform 2 MGSLESGISLKRAGSRNERNPFLNRPRSRFSRFLLFKKLDYLQWICTVVVFLFFVVFFQMYLPGSVMDKSQDSFLEDKDLVYGELRYLKEMGGLDFGEDIRLEPRKLLEKFQRENKVLNLESSSGFNRSQHRFQYRKPQLALVFADLLVDPQQLLMVTIATALREIGYAIQVYSLEDGPVHNVWQSIGVPVSVLQVNSNEIGVDWLNYDGILVSSLEAKGVFSSFMQEPFKSIPLIWTIHERTLAVRSRQFTSSGQIELVNNWKKVFSRATVVVFPNYALPMIYSAFDTGNYYVIPGSPAEAWKGENAMNLYKDNQRVKMGYGPDEVLIAIVGSQFMYRGLWLEHAIVLQALLPLFTDFSSDTNSNSHPKIIILSGDSTSNYSMAVERITHNLKYPSGVVKHVAVDGDVDSVLSMTDIVIYGSFLEEPSFPEILIKAMCLGKPIIAPDLSNIRKYVDDRVNSYLFPKENIKVLTQIILQVISKGKLSPLARNIASIGSGTVKNLMVRETVEGYALLLENVLKLPSEVAPPKAVMELPSKLKEEWQWNLFEGFLNSTFEDRSSKFLNKLEEQWNHSQKERSGSLLDTNDSFSYEIWEEEKKMQIINIKRRREEQELKDRTDQPRGTWEDVYRSAKRADRLRNDLHERDERELERTGQPLCIYEPYFGEGTWPFLHHNSLYRGIGLVS >EOX95824 pep chromosome:Theobroma_cacao_20110822:1:35680071:35687298:-1 gene:TCM_005229 transcript:EOX95824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase family 1 protein isoform 2 MGSLESGISLKRAGSRNERNPFLNRPRSRFSRFLLFKKLDYLQWICTVVVFLFFVVFFQMYLPGSVMDKSQDSFLEDKDLVYGELRYLKEMGGLDFGEDIRLEPRKLLEKFQRENKVLNLESSSGFNRSQHRFQYRKPQLALVFADLLVDPQQLLMVTIATALREIGYAIQVYSLEDGPVHNVWQSIGVPVSVLQVNSNEIGVDWLNYDGILVSSLEAKGVFSSFMQEPFKSIPLIWTIHERTLAVRSRQFTSSGQIELVNNWKKVFSRATVVVFPNYALPMIYSAFDTGNYYVIPGSPAEAWKGENAMNLYKDNQRVKMGYGPDEVLIAIVGSQFMYRGLWLEHAIVLQALLPLFTDFSSDTNSNSHPKIIILSGDSTSNYSMAVERITHNLKYPSGVVKHVAVDGDVDSVLSMTDIVIYGSFLEEPSFPEILIKAMCLGKPIIAPDLSNIRKYVDDRVNSYLFPKENIKVLTQIILQVISKGKLSPLARNIASIGSGTVKNLMVRETVEGYALLLENVLKLPSEVAPPKAVMELPSKLKEEWQWNLFEGFLNSTFEDRSSKFLNKLEEQWNHSQKERSGSLLDTNDSFSYEIWEEEKKMQIINIKRRREEQELKDRTDQPRGTWEDVYRSAKRADRLRNDLHERDERELERTGQPLCIYEPYFGEGTWPFLHHNSLYRGIGLSTKGRRPRMDDVDGPSRLQLLNNPYYRDTLGEYGAFFAIAKRIDRLHRNAWIGFQSWRATARKAFLSKIAETSLLDATEKHKYGDALYFWVRMDMDPRNSMQGDFWSFCDAINAGNCKFAFSEALNRMYGIKHDLISLPPMPEDGGTWSVMQSWALPTKSFLEFVMFSRMFVDALDAQMYDEHHQSGHCYLSFAKDKHCYSRVLELLINVWAYHSARRMVYVNPETGVMQEYHKLKGRRGIMWVKWFSFNTLKGMDEDLAEEADSDHPKRRWLWPSTGEVVWQGVLERERNLRNRQKEKRKQKSKDKQERMRHKYHQKALGKYVKPLPEEMQNSNSTIVTSE >EOX96304 pep chromosome:Theobroma_cacao_20110822:1:37270088:37271525:1 gene:TCM_005581 transcript:EOX96304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein FHLQSLLGCFSILPATELVPGDIVEVSVGSKSPADMRMIEMLSDQLRVDQAILT >EOX92124 pep chromosome:Theobroma_cacao_20110822:1:5333033:5333671:1 gene:TCM_001120 transcript:EOX92124 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein, putative MSIVPISGQDGTVTDPVSLDLWDPFNNLDLWNPFTHGFPFTFPSFLSTHSPGFSSEIFPSLGTQLNWRETPRAHVFKAFLPGVTRDEVIVFIDDDRVLQISTENGNFMSRFKLPDNARIDQIQGFMENGMLIVTIGKEASQRSNVRVVEIAE >EOX91820 pep chromosome:Theobroma_cacao_20110822:1:4007083:4011391:-1 gene:TCM_000893 transcript:EOX91820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexokinase-like 3 MKKEVALAVVTTTAATVVVVVTLVRQYWKQKKDRQWKKCQQIIRKFARECATPVPKLWEVANAMVSDMEASLSSDEARSCLNMLVSYVSPLPTGNEQGMYYGVNLRGTDFLMVCARLGGKNEPISDFYKEEISLPSNVMLGTSQELYDIIAVELSKFISAHPENNDETAANDKKLGFTLSYAANQAAATSGAAIKWKNFSADDIVKGGKELVSEINQALEKHGVNMRVHALVDDTVGDLAGARYYNKESVAAICLGMGTNAAYVDTAQSGPRWRGSLLPNSGEIVISIEWGNFNSCQLPITRFDASLDAESSNPGSRIFEKLISGMYLGEIVRRVLAKMAKETALFGETVPPKLLIPYLLRPPDMAAMHQDTSEDREVVHEKLKEIFGITNSTEMAREIVAEVCDIVTERGARLAGAGIVAIAKKLGRIANRRSVITIEGGLYEHYRIFRNYLHSSVWEMLGDELSGNIIIEHAHGGSGAGALFLAASQNPDS >EOX91561 pep chromosome:Theobroma_cacao_20110822:1:3108975:3109386:1 gene:TCM_000703 transcript:EOX91561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRHFCPVLVVLAMLVLSESASVDCSRTLQSTNKIGSDESREAVPGVKDVRISSTSDSAQDRSGRVLAEQQVRTMAAGPSRKGPGH >EOX96707 pep chromosome:Theobroma_cacao_20110822:1:38728107:38731179:-1 gene:TCM_005899 transcript:EOX96707 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MPTSSLMTGDRPRDVEEFHPLVPSLERSLQSSDDENEALPLLAVKVTVFPNSGLCIGLAYHHVAADGRTFDNFIKTWASFHKDSSFLITAMPSYDRTLVIDKHGLEAIFLKEWRKKKSAEHEMVIVTKSKAEFSNKVRATFVMGLTEMEKIKRWIVTECKKKNLREPVHLSPYVVTCAFVWGCLMKAACNEGVADKYPNDYPSYLGFNAGGLSRFDCRVPSTYFGNCVGFGRCEATRGDLMGENGIILAAKAIGDTVKKLDKALLGEAERWISDWEVFYRSEPHMMVVGSPKVHLYETDFGWGRPNKIEEISIDQCGAISLTESRCERGGIEVGLALPKPQMDTFTSLFDQGLKAKM >EOX94123 pep chromosome:Theobroma_cacao_20110822:1:22089860:22090702:-1 gene:TCM_003339 transcript:EOX94123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEDGPEMFITWADGKGCPRYVYMGVDGRGCPRYVYMWADGRGCLIYVYYVLMGKDAPDMFIMWADGRVP >EOX96410 pep chromosome:Theobroma_cacao_20110822:1:37679632:37682045:-1 gene:TCM_005658 transcript:EOX96410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANTDQESAPLIANNDRPRDLAITITEHGLLEPVPECCIYKAPIRFREANEKVYTPQLISIGPVFHGNKNLALMERQKQRYYENFLRRTSVETSRKAASYIENNLERTCKCYDPQFGFELEASKFIKIISYDAVFIIELFLRTIGETYDDDFFRGELLRVELPTDLMLLENQLPFFVLKDLYNIAFPEPAKIFSFLDLACWYFDVNKDLSLDDKEIKHFTDLIRYQAQSSYPLNSLEGIHNMYSATMLHEAGVKFVGVKNHEVHIESTGFEGSKPEVRNSINNLLNVKFEKGVLELQVITVKYETETRFRNLMAFEQCHYPKEAYFCSYILLLDSLVNTSEDVDLLVKDGIIVNRLGSSGAVADMINNLAVGVVHSTMLYDQIGRNLNKYYHNCWNRTWTILKHVYFNDLWRGTATIAAFIVVILTLTQAVLAILDRAIPIK >EOX90866 pep chromosome:Theobroma_cacao_20110822:1:867594:871531:-1 gene:TCM_000216 transcript:EOX90866 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 2 MNDLERVKTDDPFLVQYQPSELRIASEFLTTWLPFLSRDLCHRCTQTLAQRIRSLHPELEGDAELANSDLNAIPSTPSNAESQELNESCHDNCDANSIGSSKDGEDTNSLGSWKDEANGLSEPIGEASTSGGIASRSPSLQTPVPRLSWADMAQEDELEEAEEEEEQQQSESSKRIVNLTASTGELRISKVVEKPKLSRDQREYIRFMNVKRKKDFICLERVKGKFVNILEGLELHTGIFSAAEQKRIVDLVYTLQEMGEKGKLRERTFSAPQKWMRGKGRITIQFGCCYNYAMDKNGNPPGILQNEVVDPIPQLFKVAIRRLVRWHVLPPTCVPDSCIVNIYEEGDCIPPHIDNTDFVRPFCTVSFLSECDIVFGSNLKVVGAGEFSGSIAIPLPVGSVLVLNGNGADIAKHCVPAVPTKRISITFRRMDESKRPVGYASEPDLQGIEPLSYDAEKPRRLNSPKSDRHVKRQPFRREGRKEARGLPEGNEQLEPRSSNWSRRAPANKRRITGNQGS >EOX90867 pep chromosome:Theobroma_cacao_20110822:1:868461:871050:-1 gene:TCM_000216 transcript:EOX90867 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 2 MDVAMWVYLLIKRKRIFCLCLLIRRFCVVCHVGILELEGDAELANSDLNAIPSTPSNAESQELNESCHDNCDANSIGSSKDGEDTNSLGSWKDEANGLSEPIGEASTSGGIASRSPSLQTPVPRLSWADMAQEDELEEAEEEEEQQQSESSKRIVNLTASTGELRISKVVEKPKLSRDQREYIRFMNVKRKKDFICLERVKGKFVNILEGLELHTGIFSAAEQKRIVDLVYTLQEMGEKGKLRERTFSAPQKWMRGKGRITIQFGCCYNYAMDKNGNPPGILQNEVVDPIPQLFKVAIRRLVRWHVLPPTCVPDSCIVNIYEEGDCIPPHIDNTDFVRPFCTVSFLSECDIVFGSNLKVVGAGEFSGSIAIPLPVG >EOX92631 pep chromosome:Theobroma_cacao_20110822:1:7836940:7840143:1 gene:TCM_001549 transcript:EOX92631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 44 MKTLVILLLLSLTLVITALHVNASSDDAWSNVEKQIEKQRLDTGGLSRDSFPEGFVFGTAASAYQVEGMASKEGRGPSIWDVFVKVPGNIVNNATGEVSVDQYHRYKKSQAIRSSLVYGLSPCYVSLISASHESWDNSKDSKTICHSSCLQEDVDLMHKLNFDAYRFSISWSRIFPNGTGKVNRKGVAYYNRLIDSMLEKGITPYANLYHYDLPLALQEKYGGLLGDQVVKDFADYADFCFKTFGDRVKNWMTFNEPRVVAALGFDNGINPPNRCSKPFGNCTAGNSATEPYIAAHNLILSHAEAAKRYREKYQPKQKGRIGILLDFVWYEPLTRGKADNYAAQRARDFHVGWFLHPLVYGEYPRTMQEIVGERLPKFSKSDVKTVKNSFDFIGINQYTSYYMYDPDQPKPNVTGYQQDWNVGFAHERTGNPIGRRAYSPWLYEVPWGMYKAVTYIKERYGNPNVILAENGMDDPGNVTLPDALYDRERVNYYRSYLKELKRAMDDGANVTGYFAWSLLDNFEWLSGYSSRFGIVYVDFKTLKRYPKMSAHWFKQMLERKKH >EOX93284 pep chromosome:Theobroma_cacao_20110822:1:11891813:11892883:-1 gene:TCM_002132 transcript:EOX93284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S27 MVLQNDIDLLNPPVELEKKKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKGD >EOX94165 pep chromosome:Theobroma_cacao_20110822:1:24177823:24178858:-1 gene:TCM_003534 transcript:EOX94165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVKAIMLGIQSMNARTTITILLGIQSMNARTTIIILLGIQSTTARTLSITSLEPLSNSQCLLFVNLH >EOX91437 pep chromosome:Theobroma_cacao_20110822:1:2734981:2735640:1 gene:TCM_000634 transcript:EOX91437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCQFFEDMKKSLLAQVYFGCQSWGNTLNLYCTFEGLYQARFALSGRTVQALLQTPFKSKIQSRDSRESKFLS >EOX96663 pep chromosome:Theobroma_cacao_20110822:1:38591303:38594669:-1 gene:TCM_005866 transcript:EOX96663 gene_biotype:protein_coding transcript_biotype:protein_coding description:F17A17.35 protein MLTDMCGSPITEKLPSIIFTSLTIFTVLSMATLSFNSTRIKSPALQSHKPISKSHFLTKSSNISFLFSSKNYQQLQHHFKQNSISESSVSVPNEAELDDDEEDDPTSELSYLDSDTDPLSITEWELDFCSRPILDIRGKKIWELVVCDTSLSLQYTKYFPNNVINSITLKDAIVSISEDFGVPMPEKVRFFRSQMQTIITKACRELGIKPVPSKRCLSLLLWLEERYETIYMRHPGFQKGSKPLLTLDNPFPMELPENLVGEKWAFVQLPFSAVREEILSMDKRFVFGAGLDLDLLGIEVDDKTLIPGLAVASSRAKPLAVQFWGFLHNKFMAQPKCLTAAWMNGLEVCSVEADTARACLILSVGISTRYVYATYKKTPITTSEAEAWEEAKKACGGLHFLAIQEDLDSDDCVGFWLLLDLPPPPV >EOX96048 pep chromosome:Theobroma_cacao_20110822:1:36429615:36431203:-1 gene:TCM_005394 transcript:EOX96048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGGGGEHGHGAEDFRKKVWSMSGGPYCRPKHWRRNTAIAMFGVVLICIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGKKDY >EOX96164 pep chromosome:Theobroma_cacao_20110822:1:36825857:36827786:1 gene:TCM_005476 transcript:EOX96164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGKGRRRREKNYRAAHGGPARLPPPPDPSQVEALPSKLRKIMSFTSDSLHGSAKVSKDQKAADGDAVKKKDRAANQIKLEANEIKDGSDDKHFTKSQYSDSEEETMQNSKDGKKTKKRKRKQVTDLRFETMVDKSGGSSKRKERKKKYFEAKKKKHKNAKTEENLDFPGRENIRFGDVVEAPPKLVTVPKGSKTLQDASKERLRLQAIEAYRSRKGWTSRPGAAQLPPATT >EOX96228 pep chromosome:Theobroma_cacao_20110822:1:37066726:37069291:1 gene:TCM_005523 transcript:EOX96228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MLLCANLSQPWNSALPTLVLLPKCKTWNDVNQIHARLITTGFIRSLALTTKIVLAFSPSPFCPLVDFARHVFFTHHAFRSPEQEMDPFLWNAAIKSYSHGRDAKQAFVMLCLMLENGVRFDTFSLSLVLKACSRLGLMKEGMQVHGLLRKLNFGSDLFLQNCLISLYIRCGFVGYARQLFDRMCRRDSVSYNSMIDGYIKTGMIHLARELFEFMPMEDKNLITWNSMISGYAQLEYGMVMALKLFEEMPDRDLISWNSMINGFVKCGNMEDAQALFVKMPKRDVVSWANMINGYAKVGKIDLARRLFDEMPERDVVVCNAMMGGYVQNGYCSEALEIFYHLQRYDNLQPDNATLLIVLSAIAQLGRIDKGLAIHQYLEENKFSLSGRLGVALIDMYSKCGSVKNGMLVFESIEDRSVDHWNAMIGGLAIHGLGELAFSLLIEMERLSVEPDDITYIGVLNACGHAGLVKEGLICFDIMRRVHKMVPKLKHYGCIVDILGRAGQIAEARKFIEEMPIEANDVIWRSLLSACKNHENIDVGEPVAEHLISLDSCNSSSYILLSNMYAGLGMWSAVSNVRMRMKERNLKKIPGCSWIELEGTVHEFFVQDKSHPQVMEIYSLLGSFSISNSVVTPCGHHC >EOX96058 pep chromosome:Theobroma_cacao_20110822:1:36452407:36455911:1 gene:TCM_005402 transcript:EOX96058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein, putative MEEVKEDGDNTTTGIDDVNDNINYESENLLVDHQICPDVSLYCLYRRISGPTRRSTKGGWTEEEDKMLTTAVQKFNGKNWKKIAECVPDRTDVQCLHRWQKVLNPDLVKGPWSKEEDELIFELVEKQGKKKWSEIAKYLPGRIGKQCRERWFNHLNPDIKKTAWTEEEELVLIRAHGTYGNRWAEIAKLLPGRTENSIKNHWNCSVKKKVELLAASGVNRGNHDRIVECMSFGLGKPLEQKVNQGRGTNPFSLQLVLGDTDRKESNLAISNRETCRVVRKDANVTMKTSSLTMFAKRYDDGNDLTIKQHQMHACDAQAPGNSYNLAADWYDRSHHTSFGDHVKFPFLHERTTEHCLPPSPLPLSTPLNATIAAACDGKDAVPGFVERMYTSVRPQDRNTENVELRLGCLSYESLQLKDLNTFLTTGRSFPQADSDVRKVCSPVFFNTPPVNHSCPETILRRAAKSFKNTPSIIRKRSSQSTRQTSNHKESDGVGSNAKQLFLSPPKSPKLDTTAVTKSVEKRLEYVQESSSNIPRTSSTADHSSSTNST >EOX91107 pep chromosome:Theobroma_cacao_20110822:1:1615325:1617086:1 gene:TCM_000395 transcript:EOX91107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRTFEFISTSHVCVGKYTKEVSLTFLTPSMPNTMEVTTNARIRFYIALSLIAKLQAPKGIFHKGFGDAQIRINCARVATYERMSGSKKLIHECLARRERKRKKSLGFRSKVFSSRKVSVQFPDGRWWPLQEWG >EOX94942 pep chromosome:Theobroma_cacao_20110822:1:32136691:32137138:1 gene:TCM_004537 transcript:EOX94942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKLFWILYSFPSVIFVQIIFLDQISNARFDNKYGQYFLPRIAVWNQEKIDGWLTCIKDFKNLKNVQVM >EOX95190 pep chromosome:Theobroma_cacao_20110822:1:33248936:33250668:1 gene:TCM_004742 transcript:EOX95190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Response regulator 2, putative MDEQNIQISLLKNGCADKVNNSVVGEFPAGLKILIVDDSRTCLLVLEIMLRKLLYEVTTCRLAKDALALLREDNERFDIVICDLHMPEMDGLKLLDIIGLEMDLPVVMMSADDKRGVIMKGIIHGACDYLVKPVRLDSIRFIWQHVVRRKRRSLGEFQQPGNNVNDRLLKLEQAKHADQMPARDKGSLQSLKRTREDEDEDEDDGEFSDEVTTTKKPRMIWTQELHDMFVAAVNQLGRDKAVPKKILERMQAMNVTGLTRANIASHLQVTFYFHEIPLTGSGLFQN >EOX95487 pep chromosome:Theobroma_cacao_20110822:1:34409095:34411279:-1 gene:TCM_004971 transcript:EOX95487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase MACGFSLQMVHITRLTFQGQDISNVHRPLMINSRSGYSQSQTLISPGRALTSKLNYSTCFPVTQLSKSPSFKYRRMVCNSIANDVALQSKVTTKCFFDVEVGGEPVGRIVMGLFGDVVPRTVENFRALCTGEKGYGYKGCSFHRIIKDFMIQGGDFTNGNGTGGRSIYGSRFEDENFDLKHVGPGVLSMANAGPDTNGSQFFICTVKTPWLDNRHVVFGHVVDGMDVVRKLESQETSRSDSPRVPCRIVNCGELPLDG >EOX90761 pep chromosome:Theobroma_cacao_20110822:1:560799:564106:1 gene:TCM_000140 transcript:EOX90761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration stress protein (ERD4) MNETLPPPPSPSSDGDDVFDGAWYGNIQYLLNISTIGLLCCVLIFLFLKLRSDHRRIPGPSALFAKLLAVWHATGREIARHCGADAAQFLLIEGGSFAVLLSVAVLAVFVLLPVNLYGGTALLGDQFSKTTVSHISKGSGLLWVHFIFMVFVVIIVHFGMSAVEERLKITRFRDGNGNLSDPNVNSTAIFTIMVQGLPKSLGVDKSVLLEYFQYRYPGKVYRVILPMDLCALDDLATELVKVRDEITWLVVKIDSRLLPEEGEDEDDGNGAEGFGGKVRWLGRKVQRVLDQIMERFGFTDEEKLRKLQELRAELETELAAYKEGRAQGAGVAFVMFKDVYTANKAVQDFRNEKKRRFGKFFSVMELQLQRNQWKVERAPLATDIYWNHLGSTKLSLKLRRVFVNTCLLLMLLFFSSPLAVITAVQSAARIINAEAIDNAQLWLAWVQSSSWLASLTFQFLPNVIIFVSMYIVVPSALSYLSKFERHLTVSSEQRAALLKMVCFFLVNLILLRALVESSLESAILRMGRCYLDGEDCKRIEQYMSASFLSRSCLSSLAFLITSTFLGISYDLLAPIPWIKKKLQKFRKNDMLQLVPENREEYPLENQDLNSLRRPLMPETVFDTPRMSEIDIEGQDLSVYPISRTSPIPKQTFDFAQYYAFNLTIFALTMIYSSFAPLVVPVGAVYFGYRYVVDKYNFLFVYRVRGFPAGNDGRLMDTVLCIVRFCVDLFLLSMLLFFSVKGDSTKLQAIFTLGLLVIYKLLPSDNDSFQPALLEGMQNIDSTIDGPIDYEVFSQPRFDWDTYNL >EOX95568 pep chromosome:Theobroma_cacao_20110822:1:34671019:34672590:1 gene:TCM_005024 transcript:EOX95568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quercetin 3-O-methyltransferase 1, putative MEDSEQALENFTQAMRLASASALPMAMQAAIDLGVFDMLAPLCSGLRSVDIGARLGCRDPESLEMLDRLIGVLCCNNVLACSEGCYILTPAAKHFAKNEDGVSLAPLLRLNHDRVLKAGLLFELSPYLLFIYTTYVFRSGVKDAILEGGNPFSRAHGMHVFEYLGKDGRFNQVYSTAMFNHTTVIVKKMLKTFKGFKNVKTLVDVGGGIGVALSLIISMYPSIKGINFVM >EOX93414 pep chromosome:Theobroma_cacao_20110822:1:12824403:12830263:-1 gene:TCM_002280 transcript:EOX93414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCSTMDTIDELPLFNTTSKVTFINDISGVVKGGRIRSKRISNIEKKPLVNKPKESSLKTFIAFSQMTSYQTVISKTETMLLSMKLRLLGTLESSTPFGKPRKDNGATLLVQAPPSMDELKDIVWSCDGFKALDLDSFNLNFYKKNWVMVKHDLFVVIADFMIIELMRDQACQWILGDGATTFFWLDKWTDDVPLCSKFPHLFSLVVSKEMKVTDAWNSGSWSISFKCKGDKLIWRHNSKGTFSVETFYSFLDANPSNHLVTPTSLDRGFLLFFTSV >EOX94786 pep chromosome:Theobroma_cacao_20110822:1:31386879:31389632:1 gene:TCM_004398 transcript:EOX94786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MLIRYVRASLSAARFFSAEATSAAEKAIATTEGAVKSGGGSRDTLGWRLIGLVYPKRSAVVTIRKWQEEGRTVRKYELNRVVRELRKLKRYKHALEICEWMRLQQDIKLLPGDYAVHLDLIAKVRGLASAEKFFEDLPDQMRGQATCTALLHTYVQNKLFAKAETLMKKMSECGFVKCPLPFNHMLSLYISEGQLEKVPGIVQELKKNTSPDIVTYNLLLSVCASQNKIETAEEILHDLKKAKIDPDWMTCSALTNLYIRGKEFEKATSTLKDMEKKASRKNRVAYSSLLSLHTNMGDKDGVQRIWKKMKSCFRKMNDAEYTCMISSLVKLGDFEEAEILYNEWESVSGSADARVPNILLAAYINQERMEIAEDFYERIVQKGISPCYTTWELLTWGYLKNQRIEKVLDCFERAVGSVRKWNPNDRLVGEVFKKLEELGNTEGVEKLLVILRNAGHVSTKVYNSLLRAYAKAGKMPLIVAERMRKDNVPLDEETHELINLTSKMCVSEVSSSL >EOX94808 pep chromosome:Theobroma_cacao_20110822:1:31522882:31525298:-1 gene:TCM_046691 transcript:EOX94808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein RRFRKLINLKRAFLAAARSLKPLHSNPGTGNKRVPLHPLPSDWLSRLCLLLALPRFPLLNMKLVRFLMKLNNETVSIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVSLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGRPLGRGRGRGRGRGRGRGR >EOX94737 pep chromosome:Theobroma_cacao_20110822:1:31114446:31115125:-1 gene:TCM_004347 transcript:EOX94737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCGLVGAKKTRCFYQLHAIVNLVRVGFYVKSIEDQGSEILSYEMERMRLER >EOX90899 pep chromosome:Theobroma_cacao_20110822:1:973112:980766:-1 gene:TCM_000241 transcript:EOX90899 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein, putative MGVDGGDEQMQGPTGREERIFVSVRLRPLNEREIARRDVSDWECISDNTIIYRNSLSVSERSMYPTAYTFDRVFSSDCPNRQVYEAGAKEVALSVVSGINSSVFAYGQTSSGKTYTMIGITEYAMADIYDYIQRHKEREFILKFSAMEIYNESVRDLLSADSTPLRLLDDPERGTVVERLTEETLQDWNHFKVLLSVCEAQRQIGETSLNETSSRSHQILRLTIESSAREFFGNDKSSTLAATVNFVDLAGSERASQTLSAGARLKEGCHINRSLLTLGTVIRKLSKGRSGHIPFRDSKLTRILQSSIGGNARTAIICTMSPARTHVEQSRNTLLFACCAKEVTTNAQVNVVMSDKALVKQLQRELARLENELRSAGTMSVSSDLAALLREKDLEIEKLKKEVILLTQQRDLAQSEVEDLRQVVNDESPVDERPVKIWADSDHQYPKLRVRNSWDFEHSITETPVLAVGVRSFTPSDRQSCSSEESFLQLPDFKMNIQHPSSSPQLSPKIPSFVGNNLRQEENGEHAYENSEALCKEVRCIDSGRSSMNRYSDSNFSESSPKIYQNYSMSSPRENTAISGLMDVGNEDISKRESWSLQLKNNSNHPETAIPSPEKPYLWQLKEEISSCRSLKLTRSRSCKASLMTGLTSQWIEGLEKDESTPPIGNEKDFTGRPESFQRKLSVLKYDLQNQGLSRNGSQSSSTSATVYELKGQISRNGSQSYLKSAAAVVLNTQNVSTPDDQNNTGFCTSIEGTEEISNLQYEKQLADCAVQVTEPILHVKTVKDVGLDPIPDHLGSPSAWPSEFKRLQGEIIELWHACNVSLVHRTYFFLLFTGDPKDYIYMEVEHRRLSFLKNVFAHGNQTVEAGRVLTPASSLKALRRERHMLSQRMRKRLSKAERENLFLKWGVGLHTKHRRLQVAHSLWVDTKDMNHIAESAAIVAKMVGFVDPEKTFKEMFGLNFTPGQGTHKRHYSFKRSVMSIL >EOX90594 pep chromosome:Theobroma_cacao_20110822:1:94846:95345:1 gene:TCM_000022 transcript:EOX90594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNCFRKLEAQHTVGTWNMEHGTWNIQYNYNGFWLSISYFGRRLCVRVTL >EOX94183 pep chromosome:Theobroma_cacao_20110822:1:24807949:24811272:1 gene:TCM_003607 transcript:EOX94183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper (bZIP) transcription factor family protein, putative MAQLPPKIPNWPDMVHQRMPASATTSSTQQQNNPCWVDEFLDFSSVRRGTHRRSVSDSIAFLEAPMLEECRGSNSNAPPGTGGSSNQDFDKFDDEQFMSMFTDDVPNAVAPTVSSSNPSSPSDHNSINDDQKQQMEMIRKESEEVQSQCKMESQANTNASTDRIIDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQAEVSVLSPRVAFLDHQRLLLNVDNSALKQRIAALAQDKIFKDAHQEALKREIERLRQVYHQQNLKKMENAAHSPTPTPPPAADVQASAEKEKLVNV >EOX95018 pep chromosome:Theobroma_cacao_20110822:1:32484592:32487313:1 gene:TCM_004604 transcript:EOX95018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLALNFQLLDAEFFLYAALGKGLDDIAPGLFQGPASVGARIANLDGTIRRIIEEFGYQGGYLKNSRSSCSGSKVPTESQRIVQFPFLVLAGGAGCFTLRVTNFTDSISQHRNRRGIRGMKDEGLRVSRQFEAENRTTSNILSADNEEDYKYNNIRWNWC >EOX91989 pep chromosome:Theobroma_cacao_20110822:1:4789547:4804584:-1 gene:TCM_001022 transcript:EOX91989 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MLNLRRWVFLYIVTVVVAAAQPTAPPRGDSYGLYSHFDPSMAIVVMVLVCAFFFVGFLSIYIRQCNESNAIATPSAVAAASSGERSRRKGLDSAVIESFPVFIYSCVKDLKMGKGALECAVCLSEFEDDEALRLIPKCSHVFHPDCIDAWLEYHVTCPVCRAKLTPDSDGKPVESSSNVTELNSNNNEWSSPPTTQRVEEQNEFVIDVNEEPRPRGKITGKFPRSHSTGHSLIQPGENVERYTLRLPEEIKKQIAKSGRLKRTRSYDVVLGREGSSRKGEGSSRGKSYIDRRVLLRTPPFVSRMGSVKPQKGGAGDGDGSNSWRGLTSVKGKLSCLNLKIEQIDSDESSARPPV >EOX95486 pep chromosome:Theobroma_cacao_20110822:1:34406504:34408958:1 gene:TCM_004969 transcript:EOX95486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein MKMDAVGRATGTKYECLLFDMDDTLYPLSTGVNLACRKNIEEFMLQYLNIEESEVPRMCLELYREYGTTMAGLKALGYEFDNDEFHAYVHGRLPYETLRSDPVLRNLLLSMPQRKIIFTNADKAHASQVLRRLGLEDCFEGIICFETLNPPLEPADFMDALDDNQVLAGADLEPNTADRTDASCVNSNSPILCKPSLEAFEAAIRIANVNPKKTIFFDDSARNIGSGKAAGLHTVIVGSSVLVPGADYALSSIHNIKEAIPEICEGEEEQMEQVIQSAAVETVVLA >EOX95370 pep chromosome:Theobroma_cacao_20110822:1:33931455:33936262:1 gene:TCM_004884 transcript:EOX95370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein, putative MASFKCLFSVAIFCLASFLFFNCCDADKALIDSICKESQDYDFCTSTINNHAGSPTADLRGLALIAASQTVSQIQDTLDRIPTILKQVQDPLGKQRLGDCQTDYNTSLGKFQSAFGSTSNKAYWDALSFVRDGTNIVIDCHNSYRRDGPTATSPIAEDDTKVFKLSEIILIIVDRLI >EOX95676 pep chromosome:Theobroma_cacao_20110822:1:35172756:35175085:1 gene:TCM_005123 transcript:EOX95676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVMEKLKIFVVQEPVVAASCLIAGVGLFLPAVVRPILDSLESSKEVRQPALSDVVAGVTGKKQG >EOX95854 pep chromosome:Theobroma_cacao_20110822:1:35781448:35783914:-1 gene:TCM_005256 transcript:EOX95854 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 56 MFLSPYSLRGALRLINLQTMDGQESNQAPVPPPPQPLLSVPFNSPFLFAAPSLPSSSSSSSLHPPLETQILPDIDWVSLLSGQGVLGENKPMIESAVSLMAENGADQDEKGNKDKRKGSRIKKASRPRFAFQTRSADDILDDGYRWRKYGQKAVKNSNYPRSYYRCTHHTCNVKKQVQRLSKDTSIVVTTYEGIHNHPCEKLMETLTPLLKQMQFLSRF >EOX93987 pep chromosome:Theobroma_cacao_20110822:1:18086489:18087207:-1 gene:TCM_003010 transcript:EOX93987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein RQLVNPIFSFIWHKSVHLTTSFFLWRLLNLWIPLEMILKMKGFTMAFKCQCCNSEESLIHVMWDNPIAKQVWNYFTKFFQIYIVNPQNGSQIIWVWAYSGDYIK >EOX95297 pep chromosome:Theobroma_cacao_20110822:1:33677745:33693642:1 gene:TCM_004834 transcript:EOX95297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding-like fold MKISDALLYFLIVFYSISSASANSVHGCGGFVEASSSLIKSRRATDAKLDYSHITVELRTVDGLVKERTQCAPNGYYFIPVYDKGSFVIKISGPEGWSWDPDKVSVVIDDTGCNNNEDINFRFTGFTLSGRVAGAVGGQSCSVKNGGPSNVNVELLSPDDDLVSSELTLSNGRYLFKNIIPGKYKLRASHPDLKIEVRGSTEVDLGFQNGVVEDIFFVPGYDIQGSVVAQGNPILGVHIYLYSDDVIEVDCPQGAGNTPGQRKALCDAVSDADGMFSFKSVPCGLYRLIPYYKGENTVFDVSPSVVSVLVEHQHVTVPQKFEVTGFSVGGRVIDANDIGVEGVKILVDGQERSITDKEGYYKLDQVTSNRYTIEALKEHYKFNQLKDYLVKPNMASVADIKAVSYDVCGIVRTINSGYKAKVALTHGPENVKPQVKQTDESGNFCFEVPPGEYRLSALVATPESAPELLFLPPYTDLVVKSPLFNVEFSQALVNVLGRVVCKEKCGASVSVTLVRLAGQHNEQRKTVSLTDQSSQFLFPDVLPGKYRLEIKHSSPEAVSKADNWCWEQSFIDVVVGAEDVKGIEFVQKGYWVNVISTHDVDALMTQQDGSPVDLNIKKSSQYICVESPGVHELHFVNSCIFFGSSSMKIDTSNPLPIYLKGEKYLLGGQINVNSSSSDELPVSIVLDILNGEGMVMHSTNANLASSVNDQIRTAVYEYSVWANLGEKLTFLPRDPRNNGEKKILFYPRLHHVLVTNDGCQASVPPFSGRPGLYLEGSVSPPISGVHVRVNAGEDGSISPVKKGELVLETATEEDGSFFAGPLYDDITYDIKASKPGFHLKQVGPYAFSCQKLSQISVKIYSKDDANEPIPPLLLSLSGDDGYRNNSISGTGGIFVFENLFPGSFYLRPLLKEYAFSPSAQAIELGSGESREVVFHATRVAYSAMGSVTLLSGQPKEGVSIEARSESKGYYEETVTDSSGRYRLRGLVPDTTYSIKVVQKDGFGSAKIERASPESVAVKVGNKDIKGLDFLVFEQPEMTILSGHVEVNRIGELRTSHLLVEIKSAGDTSKIESVFQLPLSNFFQVKDLPRGKHILQLKSNLPSTTHKFESEIIEVDLEKNAQIHVGPLRYRVEEDHRKQELTPAPVFPLIVGVSVITLFLSIPRLKDIYQAATGIPTPGFMTTAKKEVRKPVVRKKTY >EOX96332 pep chromosome:Theobroma_cacao_20110822:1:37396907:37398303:-1 gene:TCM_005603 transcript:EOX96332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin7, putative MSSIRPSNDVSGILNLITLLVSITIFAAGLLLSKNAVTECERLLDKPLILVGLFLMLLSLTALIGTCCRVPGLLWIYLVFMFLFILGGVVFTAFAFVVTNEGAGRSLPGKGYKEYRLGDYSIWLQRIVNNAENWNKVKTCLVQSRICTDFHSKFHNDSLQKFHHKPLNALQSGCCKPSNDCGFTYKNPTNWTKEHGIYKNPDCKKWDNNPKVLCFNCQSCKAGILDNVKNSWKKHKVARINVIFLIFLIIVYSCAYCAFRDSMRDDHAEYHRGLKP >EOX91990 pep chromosome:Theobroma_cacao_20110822:1:4804684:4811535:1 gene:TCM_001023 transcript:EOX91990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute protein group, putative MSGRGGRRRGPGQDSGSGQPSPSLSRGGGSRRGRGRVPSGPPPYSSYTPPRPQVAPTQPPPPSAPSTSTAPAYHPLSSSGAESLMREVSQKLTLEPESTTTAPLLPPSSSKAIRSAQRPGVGRDGEKCKVRANHFLVKFADKDLHHYDVSITPEVTSKKTNRIIMQQLTDLYKQSHLGGRCPAYDGRKGLYAAGALPFESKEFFVKIIDEDQGRGSSSSATKEWQYKVAVKLVSKPDLHYLREFLLRRHFEAPQETIQVLDVVLRAKPSENYTVVGRSFFHPDLGPKGELGNGIDYWMGYYQSLRPTQMGLSLNIGSYSMLLVPSDLYVSARSFYEPLLVTDFVSKHFKRTSLKRPLSDQDCVKLTTALKGVKVRLIHMAYAKTCKIVGISRLPISELTFTLDDKKTNVSVVQYFLEKYEIVLKHPSLPALQSGSEAKPIYLPMELCQIVEGQRYTKKLNDQQVRALLKATCKRPPDRERDIRRMVSNNNFNGEERVSEFGIHVGQELALVEARVLPAPVLKYHDTGPEKSVKPSKGAWNMINKKMVNGGEVDNWTCVNFSSNYANISNDFCTRLVEMCNNKGMVFRHAAAVPIRSAHPFRIDQTLRDVYEESTRQKRPLKLLIIILPDQSGSYGKIKRICETELGIVSQCCQPKQASKYYPQYFENLALKINVKVGGRNTVLNDAIEKTIPLVSDDPTIIFGADVTHPQPGEDSSPSIAAVVASIDWPEVTKYRGIVSAQPHREEIIQDLYKTVQDPQKGVVPSGMIRELLISFYKSTRRKPLRIIFYRDGVSEGQFSQVLLYEMDAIRKACASIQDNYMPPVTFVVVQKRHRTRLFPTDTASTDKSGNIVAGTVVDTIICHPTEFDFYLNSHAGIMGTSKPTHYHVLYDENKFTADNFQVLTNNLCYTYARCTRSVSIVPPAYYAHLVAFRARYYIEDETSDSGSANARRNARDRNVEAQPLPSIRENVKEVMFYC >EOX93146 pep chromosome:Theobroma_cacao_20110822:1:10851210:10853958:-1 gene:TCM_001994 transcript:EOX93146 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA-methyltransferase MALCFMATSLHPRLCSNIPPPFLNPKHNHPFKRFSSSSSSCKTFAQSEGKEGGVKEEDPPASLSGSFTSARTQLDLLEQLSPTSSAVDGPGYESDDSSGKLTIREQLVWLVGDRDDDFSIPLGKNLKKVSPKVLTISQKRNIRRQAYLNEVSQRNDSVFFATIGAFVLVPPFIILGIAILTGYVQLFP >EOX96104 pep chromosome:Theobroma_cacao_20110822:1:36611463:36614957:1 gene:TCM_005435 transcript:EOX96104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol-4alpha-methyl oxidase 1-1 MLQYGSLEAAELALGRNLTLPEKLWYSYSAEKSDYYLYNHNCLFLFLVFSLVPLPCVLVELFWFNNMNKFKLQPRIRRSFSELFKCYKDVILNKFILVAVPLIAVSFPALKWVRIRYPTSLPLPSKWEVISQLVVYFLIEDYGHYWIHRLLHTKWGFENIHYMHHEYEAPIGFAAPYAHWAEILILGIPTYLGPAIVPCHMVTLWLWTALRQAEAIETHSGFDFPWSPTKFIPFYGGAEYHDYHHFVGGQSQSNFASVFTYCDYIYGTDKGYRHHKRVVQNVSSHVYMQLKILHFILRTRSTGNEQNGSG >EOX91119 pep chromosome:Theobroma_cacao_20110822:1:1665056:1667361:1 gene:TCM_000404 transcript:EOX91119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LIKE COV 3 [Source:Projected from Arabidopsis thaliana (AT2G18460) UniProtKB/Swiss-Prot;Acc:F4IQJ6] METREEELNLIPVAVLDNRENGDESVCSSASISSSSSKEAFYKVIRSWASKKFMTGCVILLPIAITFYITWWFIHFVDGFFSPIYKHLGINIFGLGFVTSITFIFIVGVFMSSWLGASVLGLGEWLIKKMPLISYIYSASKQISAAISPDQNSHAFKEVAIIRHPRVGEYAFGFITSTVILHGNSGSEELSCVYVPSNHLYIGDIFLISSKDIIRPNLSVREGIEIVISGGMSVPQTLTTMDAQAVHIAGLETFDKPQGNGC >EOX95368 pep chromosome:Theobroma_cacao_20110822:1:33926973:33929870:-1 gene:TCM_004882 transcript:EOX95368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MDCNSKLTKDDATELIQDAISYRKLVGSLLYLTFTRPHISFVMQCLSQFMDKPRVTHLQAAYRVLRYLKSTPSQGVLLPTKSNLQLQVYTNSDWAGCKDSRKSISGFGVFLGNSIIN >EOX92401 pep chromosome:Theobroma_cacao_20110822:1:6678132:6680615:-1 gene:TCM_001355 transcript:EOX92401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLLPEFYFEPRKLVHTCEHNLVIRDAEKAFASQSGKFSKRVNNEKCMRLVSHRDSASLGGLMIKFKTFNSEAKSQNLLALASQVTRTRSRLVPGISMPNRVLEEWPNC >EOX93304 pep chromosome:Theobroma_cacao_20110822:1:11981464:11983341:1 gene:TCM_002147 transcript:EOX93304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTFSPPQSSNFFSLSPLSARLPPSTLPLSQSLSHSSVFPSLSLVFPSFSTVHSPVSSKLSLSKSWCPLGE >EOX91877 pep chromosome:Theobroma_cacao_20110822:1:4265719:4273473:1 gene:TCM_000937 transcript:EOX91877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein MIDSIPRFDPNANDMLYQGDAAASVGAIEFNKINYLCRVGWARCAESVRLWDSNTGKLSDFIILSVMHVASLYWLLSIVHSFHSDDPADVLITDNATTKNLSMSWSYKKTNNPKENSSLSYQIDLMKVLPKWLKFLHQVIIKIPASLYSVFRIPFSAELHLSLLSLAMAAGLYNSFYLPFIFAFFLLVFPSVNSVNFQISRFEPNDDRILYQGEASPSDGIIKFNSLGNLFRVGWVTYANRVPLWEPKTGKLSDFSTRFAFSININNLSDYGHGFVFFLAPAGSQIPPNSAAGRLGLFNTSQWVSTLGQVVLVEFDTNKNSWDPDQLDNHVGINNNSIVSAVYTRWNASIHNGDTADVLITYNATTKNLSASWSYRATNNPQENSSLSYQIDLMKALPEWVMVGFSAATGVSTEQHILQSWEFNSTLEREETGRTTARNVKIVEGTVVALGVLVAGTVITLIIFRQRKKNMKRKTEGTGNLTSINDDLERRAGPRRFSYSDLVSATNNFSEQRKLGEGGFGAVYRGYLPDLDMVVAVKRISRGSKQGKKEYVTEVKVISQLRHRNLVQLIGWCHDRSEFILVYEFMPNGSLDFHLFGQKSPLSWPVRYKISLGLASALLYLHEEWEQCVVHRDIKSSNVMLDSSFNVKLGDFGLARLMDHELGPKTTGLAGTLGYLAPEYVSTGRASKESDVYSFGVVLLEIATGRKSIHRIENFEMGLVAWVWDLYGQGKLPLAVDEKLNKEVDEKQVEYLMIVGLWCAHPDCCSRPSIRQAIQVLNFDIEKPNLPMEMPVPTYLVPTQLVTSEEPSLTNSSMEVGR >EOX93885 pep chromosome:Theobroma_cacao_20110822:1:17132715:17133622:1 gene:TCM_002880 transcript:EOX93885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNSGLFYATESIFIFKGVWFSFHLGFYLQQNFEQIGYKKILLVQILSNSALSVVHKFSMVYDMR >EOX92833 pep chromosome:Theobroma_cacao_20110822:1:8795481:8799107:1 gene:TCM_001695 transcript:EOX92833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 PLFFSHSVSSSYVFVKINKSVGLGFEVFKPSFVLYFSPSPAPARPLSSLLDIDEPLFCSSTSFRFLP >EOX96535 pep chromosome:Theobroma_cacao_20110822:1:38177092:38180236:-1 gene:TCM_005772 transcript:EOX96535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MEPRLFEASRSGDISAFLSLLQEDPFLLDRVGLNSVDNPLHISVLAGQTEITKQIVSRKPAFARELNENGFSPMHVASANGHIEIIRELMRVGYDICLLKGKDGKVPLHCAALKGRVDVVKELVWACPESVKELTAFGETALHLAVKSNQIEAARVLIEEMRRLDMMEILNWKDKDGNTILHQATFNRQHEIIGLLIGHEGVVSGMNVNVINSSGITPKDVLDVLLQSGGDCYDIQIYQMFQKAGAVKARELTTEPANVQTGAESFINTQISQSSCTWNLWKELMKEVTESSTETQNALMVVAVLIATVTYQAILSPPSGFWSAEKGKSQTITVQKRATMPGEAVMASDPEIFAVFTVFNAIGFFASLGMISLLTSGFPLRAGLRLAILSMTGTYVIAVIYMGPTKMREIYIVVILMGILFLAELARFTMWLLKKWGVVPDTRRW >EOX90735 pep chromosome:Theobroma_cacao_20110822:1:491437:497529:-1 gene:TCM_000124 transcript:EOX90735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat protein MADSPRKRNSKSPSPWREQSRSRSRSRSRSRSRSRSRSWSRPRHGSRSHSRGRSRSRSRGRVDDGNPGNTLYVTGLSQRVSERDVEEHFSKEGKVVSCFLVVEPRTRISRGFAFVTMDNVEDANRCIKYLNQSVLEGRYITVERSRRKRPRTPTPGHYLGLKNTRDYGRGDRGRYRGGRDDNGYRRSPRRSPYRGRDYSPRYSPHAGRSRRERSHSPYSRGSRQCVKCLTLDLEHGPWFYLKLEKERAMSLLNPSTFLPLSTPAKLSLPQNLYFPRKLHSLSPSFLSSYITQNDDVDDEEHVIGDCLVFEDGAFEDPHLQADSTSFGNPNTSTGIGKTKPKKKKKKNKEKQSVDIEAENLVPEQWRHVQEEINVTKKERRKMAQLLEFGSRVEKKRQGLVPIRNVNMQEYLKYKEAKLAQLNPVVLDNPSSFPVKEEEKENEEGSKEAEMNLPSSSERVAPKNPRWAVYGRGFEDVAELFNSENYQPGENKSEGRRKLFTKEEKFMLNRRVPDLATATSGKWLPLHTLAASGEFYLVDALLKHNVDINAVDKNGLTAVHKAIIGKKQAITNYLLRESANPFVRDEDGATLMHYAVRTASTPTIKLLLLYNVDINLQDNDGWSPLHLAVQARRTDIVKLLLIRGADKMLKNKDGLTPLDLCLYSGRDTRTYELIKLLKQLPKPR >EOX95852 pep chromosome:Theobroma_cacao_20110822:1:35775742:35777426:-1 gene:TCM_005254 transcript:EOX95852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCCNCHIFLLKGKLSTDGANKIKLSKKKKKGIIIIIIGFYHQTYGHQGSIKHGKTDEELATNLSPNAFPRQRRAKCCGILIAAILLHVVIFGVLALTVFRFKDLDSKLNSTTLKNMTMVRGIYIPATNQGDTEGRNCGEKSELG >EOX93281 pep chromosome:Theobroma_cacao_20110822:1:11882552:11883769:-1 gene:TCM_002129 transcript:EOX93281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEQSLRACPKTKEERDIEAPFDLNEGGSLAEANSKSTGGRSSDLVQGQASSYDKVVNLDLTLAQPIDYTRFDRCGSGSLAAFGTNQVTGEADSKSTVRSRLNFVQGQPSPIGEAVNIDLTLA >EOX93780 pep chromosome:Theobroma_cacao_20110822:1:15733797:15744341:-1 gene:TCM_002701 transcript:EOX93780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3 family protein MESIQSRVETWIRDQRAKILKVSWGPLQWRMRWQWPPWNSGDREHRQKLQKEYERRKRQLQELCRAVKGDSLSDLQDILCCMVLSECVYKRPATEMIRAVNKFKADFGGQIVSLERVQPSSDHVPHRYLLAEAGDTLFASFIGTKQYKDVMADANILQGAIFHEDVIEDIDRIEVTEANQGERQKENGENQFSSLESKPKWIKDRPKPAAHRGFMARAKGIPALELYRLAQKKKRKLVLCGHSLGGAVAALATLAILRVIAVSSSSKESEKVQVKCITFSQPPVGNAALRDYVNRKGWQHYFKSYCIPEDLVPRILSPAYFHHYSAQSLLMSSDMTSSSTSKNEQVSQKGKAEKVKENEGEQLVIGVGPVQGPFWRLSRLVPLESVRRQFKKYRGMQVDPIEPSSADSTTASSIEDVVVEPQSLEIQEGTDGISLKPFAETDNGASDAGSGKLTEKRNGGGGNKRWRRVPSLPSYVPFGQLYLLGNSSVESLSDAEYSKLTSVRSMIVELRERFQSHSMKSYRSRFQRIYDLCMNDNASSFFGMEQLQQFPHLHQWLGLAVAGAVELGHIVESPIIHTATSIVPIGWNGSPGEKNAEPLKVDITGFRLHLCTLVHAQVNGRWCSTTVESFPSAPAYSSGNGEPPEVQKIRVLVGAPLRRPPRHQIVADCLVPMFPSIDSDTVNLNREHNIASSHQEKYIRPEGLSEFFIFCTSDFTTAAKEVHVRTRRVRLLGLEGAGKTSLFKAILGQGKLITISNIENLQVEADFLDGIAGGLCYSDSPGVNLQELAMEASRFRDEIWMGIRDLSRKTDLIVLVHNLSHKIPRYNHPDASQQYPALSLLLDEAKALGIPWVLAITNKFSVSAHQQRAAINTVVQAYQASPSTTEVINSCPYVMPGAARASLPWGVISEDSDGRMGVQKLLSAPIDLVRRPFQRKDTVFPVEGVTSLCQLVHRVLQSHEESALEELARDRLSLELAQEHAMTVNGKKDSQAKASSLTSAAVGASFGAGVGIILAVVMGAASALRKP >EOX95378 pep chromosome:Theobroma_cacao_20110822:1:33962275:33967098:1 gene:TCM_004891 transcript:EOX95378 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCO3-transporter family MEETFVPLRGIKNDLKGRLMCYKQDWTGGFKAGFRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFAKERPDLGRDLFLAWTGWVCVWTAGLLFLLSILGACSIINRFTRVAGELFGLLIAMLFMQQAIKGLVEEFRIPQHEDPKLTEFIPSWRFANGMFALVLSFGLLLTALRSRKARSWRYGTGWLRSFIADYGVPFMVLVWTAVSYIPAESVPKGIPRRLFSPNPWSPGAYENWTVIKEMLNVPVVYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPSCYHYDLLLLGFLTLLCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNRLVATARKSIRKNASLGQLYGNMQEAYQQMQTPLVYQETSARGLNELKESTVQAATCTDNIDAPIDETLFDIEKDIDDLLPVEVKEQRLSNLLQATMVGGCVGAMPILKMIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEQQHATFVETVPFKTIAIFTIFQTTYLLVCFGLTWIPIAGVMFPLMIMLLVPVRQYFLPKFFKGAHLYDLDAAEYEEAPALPYNLATETELGHGASYAGDGEILDEVITRSRGEFRHTCSPKITSSTATPANDPSSLQSPRLSGSPRVSELKGERSPRSSGKGPHSPRAGEPKPSNLGKSPLNPASS >EOX91345 pep chromosome:Theobroma_cacao_20110822:1:2368744:2371738:-1 gene:TCM_000568 transcript:EOX91345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A 2A, IIA,PLA2A, putative MASSFAKKKMVTVLSIDGGGIRGIIPGTLLAFLESKLQELDGPNARIADYFDIVAGTSTGGLVATMLTAPSKGSRRQPMYAAKDINNFYLEHSPKIFPQDSTDASMGPKYDGQYLRSLINQLLGDITLKQTLTDVVIPTFDIKLLQPVIFSTNDAKVNAWKNARLADACIGTSAAPTLLPAHYFETKDHTNGKTHTFDLIDGGVAANNPTLVAISHVWMEIMKRNADFIDIEPMDSKRMLVLSLGTGTTPMDAPKYDAVKASNWNMSDWIFHNGSTPLLDACLHASSDMVDFHVSALFQCSHCSENYLRIQDDSLTGDASTADIATEENLQKLVEIGKELLKKRVSKLNFETGQLEEVEGAHTNEEVLANFAMRLHEEQNFRLRALQED >EOX95927 pep chromosome:Theobroma_cacao_20110822:1:36056824:36057909:1 gene:TCM_005309 transcript:EOX95927 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Mesoderm development candidate 2 ( /.../ro:IPR019330); Has 31 Blast hits to 31 proteins in 13 species: Archae - 0; Bacteria - 0; Metazoa - 5; Fungi - 0; Plants - 26; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G46000) TAIR;Acc:AT2G46000] MKNYPSFLLLLIILPLVLYPVGVEGGKRRIHITDDLDDVVDDEEDEAWKDWGKKKTSPEFDPPPSDLDKMEFSQIQEEVMKRHMGPAFGFVKLRLGIRRDQDKVAEIAMKWTKLLRTGALGVKFMGIDMSTIMFNMEDGQKILELKEFLLSQDEAYEIKIGDQVFRRTGDPPLDEVIEQLRQSKKNEEVDEHVEEEL >EOX92198 pep chromosome:Theobroma_cacao_20110822:1:5691983:5693022:1 gene:TCM_001185 transcript:EOX92198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEARKNQGILHKCPCFVMYLLVMRVLLIGSNTHVDSNINMSSVRDLYYLLGQIPSRSMRDVQLKKNEVFIRIDVLQATKKTIR >EOX94342 pep chromosome:Theobroma_cacao_20110822:1:27931485:27956533:1 gene:TCM_003929 transcript:EOX94342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGWNYPDISLEEMMKLIKGFVDILILASGYQSSGLLAHWDSDNIKRAFHWALFFENVFRRLRSLDVYRESLEELDAALFEMASRPSFPQGLAHLSSATLRRARGYMLEHLLHNLPLRDSHLKAILTSTIEMDLSALSETEHDCLNAYLNKLTLQNRLCMGNLFTSSLDVTPTMETEDSGSDNFTKLAVQELFRRQSAVSCISTIEEGVNILSNAVRQSSWTESDCSLFREKMKHESLPALLQSADASVAVFTWNQWKSRALSYFLDKRTIRLVSGASLIFSGTKVQWGKVFGQLNFSEKDSNCDIHEAIELLLLGCIASRWNYIIEHLTSVSYDSVTISKQYHMLANSDFGKSQTFNQKEDIMKSKEAGILDFLMGLLGGQVHLLWKSSPALTAISLPSWSPLFRLYLSEIDTQFKGNPSTMRFGIYAAVVFKIGMSIKTVNLLREFGVSTSFMFVESALKILKMQGLKRIQFLKWEKKIANDGYAVKLISVQSRPTLCCRLKSFVLKQFVWKLKSQWRQALRLQRSSMQFSYDLHSYSLNFDDGFTHEHIILNSIR >EOX94343 pep chromosome:Theobroma_cacao_20110822:1:27948614:27956340:1 gene:TCM_003929 transcript:EOX94343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGWNYPDISLEEMMKLIKGFVDILILASGYQSSGLLAHWDSDNIKRAFHWALFFENVFRRLRSLDVYRESLEELDAALFEMASRPSFPQGLAHLSSATLRRARGYMLEHLLHNLPLRDSHLKAILTSTIEMDLSALSETEHDCLNAYLNKLTLQNRLCMGNLFTSSLDVTPTMETEDSGSDNFTKLAVQELFRRQSAVSCISTIEEGVNILSNAVRQSSWTESDCSLFREKMKHESLPALLQSADASVAVFTWNQWKSRALSYFLDKRTIRLVSGASLIFSGTKVQWGKVFGQLNFSEKDSNCDIHEAIELLLLGCIASRWNYIIEHLTSVSYDSVTISKQYHMLANSDFGKSQTFNQKEDIMKSKEAGILDFLMGLLGGQVHLLWKSSPALTAISLPSWSPLFRLYLSEIDTQFKGNPSTMRCCSCIQDWNEHKDCELAERIWCLYIFHVCGAQMIHGTCGA >EOX94729 pep chromosome:Theobroma_cacao_20110822:1:31075698:31084988:-1 gene:TCM_004342 transcript:EOX94729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase with RING/FYVE/PHD-type zinc finger protein, putative isoform 2 MEGGLGSGHGKPEEDKVLPSQDTEENKGDDLKQKGFDLNDEPLVSSLKEVDIEKKEEIEECRGDGEVGNQKVGELNENERKKSRVEDGEDNKEDDSVKKKFKGEGLEGKVQFSRRVLRSRFAVKNGSEKDSNKGESGGNKTGDSNGCEKKTVEVVKEENDHSHGEAKEAKRNPGRRPGMKCSDGDERKAVSVDRGLSNHSDGGKVGKKAKRKRGRPPKFHGNNGFENKAVELQVGESDHLDGEMRKEFKHKRGRPPKVPGKGGFEKKTVEMEARENDHLDGEVRKELKHKRGRPPKVLGNGGFDKKAVKMEAGENDHFGGDVSKQSKRKRGRPPKVQVNGGFEKTVVKVGAVESDQSDGEGSKESKHKRGRPTKVQRDQGIEKKAVEVKARESYHSDVETRKEAKYKHGGPPMMHSNNGFEMKVVEVKMGEGDHFDCELRKEVKNKRGRPPKVRSGDGLEKKWVELDREGSDHNNAKLRKGVIRKRGRPPKLQVGDEALEGKLIDGRKKLGGLRRGRKKSSGSLKFNVPANTSYSEKRLIGKESNMKRYVSANKVRFEYVEKNESKASLMLRPKVVMKSKEMRVKKAGDSKQRDEVEQRRSDAKRAVRDRIVKLLKAAGWTIDYRPRSNRQYNDAVYVNPEGKTHWSVTLAYRMLKKYYESDDSVSEVSPNGFIFTPIPEEDLSILTRVVRKKRLGKKKPKSEDDDMLDDGKGERKMYKRKNKWKGNGDKEHLKRKKRQKLLKEKFLLHEEDNSDGTLQKATQASGKKSKFQQTQKKGQYALLVRNSMGGAESDNDGYVLYDGKRTVLAWMIDLGTVPQDGKVEYLIQRRTRTTREGKSGRITRDGIQCNCCSVVFTVAEFETHAGSKLHQPFLNICLETGTPLLQCLLDAWNKLQQSNCKGFHYVDFGGEDPNDDTCGICGDGGDLICCDSCPSTFHQSCLDIKAFPSGNWHCVYCACKYCGMVGNALQRDKDEEIDPAVLTCHLCEEKYHQPCIQTMDALDDESSGASFCGKKCKELFERLQTLIGVKHQMPEGFSWTLLHRFDISADVCLNEAYREVESNSKLAVALSVMDECFLPLVDHRSGINLIHNIVYNFGSNFTRLNYRGFFTAILERGDEIISAASIRVHGNQLAEMPFIGTRYAYRRQGMCRRLLCAVESALRSLNVEKLVIPAISELRETWTSVFGFQPLETASKQKMRNMNMLAFPGVDMLQKLLVMHVTDGQMMDNGSNKSGEKCSVVFDLNVSAESPAPQTDERYGEAAAVESTLLYSDGTFKDTSDLMGENINLPESAIGCSCIPAYGEQKVDFDSQLTIPSEVKIYESIIKQNLDSKHEGSVKQSDDIVPAENEVLGIVYMSKVPGQSVVTNGFNGTGQMSDDAKYTKHHENSKLQVVGCVSDFDKMSLRAEVKNYHAIAKDVANQISPSALPSAQDVANGHYDASSSDGKSSSTCHRIGAGASGEVSVPHDVNSHPSCEVCDDTSKKENLQLCVHMSPEVVLGGSEVALTIICDPKETSTAIRSDFSEVLRLIPLMLHPVILNLFASLILVLEWPFTVHLVGVNHMDLRL >EOX94730 pep chromosome:Theobroma_cacao_20110822:1:31075698:31084988:-1 gene:TCM_004342 transcript:EOX94730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase with RING/FYVE/PHD-type zinc finger protein, putative isoform 2 MEGGLGSGHGKPEEDKVLPSQDTEENKGDDLKQKGFDLNDEPLVSSLKEVDIEKKEEIEECRGDGEVGNQKVGELNENERKKSRVEDGEDNKEDDSVKKKFKGEGLEGKVQFSRRVLRSRFAVKNGSEKDSNKGESGGNKTGDSNGCEKKTVEVVKEENDHSHGEAKEAKRNPGRRPGMKCSDGDERKAVSVDRGLSNHSDGGKVGKKAKRKRGRPPKFHGNNGFENKAVELQVGESDHLDGEMRKEFKHKRGRPPKVPGKGGFEKKTVEMEARENDHLDGEVRKELKHKRGRPPKVLGNGGFDKKAVKMEAGENDHFGGDVSKQSKRKRGRPPKVQVNGGFEKTVVKVGAVESDQSDGEGSKESKHKRGRPTKVQRDQGIEKKAVEVKARESYHSDVETRKEAKYKHGGPPMMHSNNGFEMKVVEVKMGEGDHFDCELRKEVKNKRGRPPKVRSGDGLEKKWVELDREGSDHNNAKLRKGVIRKRGRPPKLQVGDEALEGKLIDGRKKLGGLRRGRKKSSGSLKFNVPANTSYSEKRLIGKESNMKRYVSANKVRFEYVEKNESKASLMLRPKVVMKSKEMRVKKAGDSKQRDEVEQRRSDAKRAVRDRIVKLLKAAGWTIDYRPRSNRQYNDAVYVNPEGKTHWSVTLAYRMLKKYYESDDSVSEVSPNGFIFTPIPEEDLSILTRVVRKKRLGKKKPKSEDDDMLDDGKGERKMYKRKNKWKGNGDKEHLKRKKRQKLLKEKFLLHEEDNSDGTLQKATQASGKKSKFQQTQKKGQYALLVRNSMGGAESDNDGYVLYDGKRTVLAWMIDLGTVPQDGKVEYLIQRRTRTTREGKSGRITRDGIQCNCCSVVFTVAEFETHAGSKLHQPFLNICLETGTPLLQCLLDAWNKLQQSNCKGFHYVDFGGEDPNDDTCGICGDGGDLICCDSCPSTFHQSCLDIKAFPSGNWHCVYCACKYCGMVGNALQRDKDEEIDPAVLTCHLCEEKYHQPCIQTMDALDDESSGASFCGKKCKELFERLQTLIGVKHQMPEGFSWTLLHRFDISADVCLNEAYREVESNSKLAVALSVMDECFLPLVDHRSGINLIHNIVYNFGSNFTRLNYRGFFTAILERGDEIISAASIRVHGNQLAEMPFIGTRYAYRRQGMCRRLLCAVESALRSLNVEKLVIPAISELRETWTSVFGFQPLETASKQKMRNMNMLAFPGVDMLQKLLVMHVTDGQMMDNGSNKSGEKCSVVFDLNVSAESPAPQTDERYGEAAAVESTLLYSDGTFKDTSDLMGENINLPESAIGCSCIPAYGEQKVDFDSQLTIPSEVKIYESIIKQNLDSKHEGSVKQSDDIVPAENEVLGIVYMSKVPGQSVVTNGFNGTGQMSDDAKYTKHHENSKLQVVGCVSDFDKMSLRAEVKNYHAIAKDVANQISPSALPSAQDVANGHYDASSSDGKSSSTCHRIGAGASGEVSVPHDVNSHPSCEVCDDTSKKENLQLCVHMSPEVVLGGSEVALTIICDPKETSTAIRSDFSEGNLTSMVQKNMKSPTQSHQHPVSSSQVDPPHAASCDSESFCISNSSTGVALYCASGGGESHGPEVIVLSNQAS >EOX94742 pep chromosome:Theobroma_cacao_20110822:1:31152382:31153224:-1 gene:TCM_004355 transcript:EOX94742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I subunit D-2 MATQAGLFTPTISTPKSLVPWKPSWSFSFATPKSLNLSMAHSTIRAAAADQEAATKEEAPVGFTPPELDPNTPSPMFSGSTGGLLRKAQVEEFYVITWDSPREQIFEMPTGGAAIMRQGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGQNFRSIGKNVSPIEVKFTGKQVYDL >EOX91528 pep chromosome:Theobroma_cacao_20110822:1:2999285:3001791:1 gene:TCM_000683 transcript:EOX91528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Granulin repeat cysteine protease family protein MTTFFESPMAAAATISAFLFLFFTLSSATDISILNSNQNHSSSSSSWRSDDEVRSLYKSWLVKHGKAYNGIGEEEMRFDIFKDNLKFIDEHNSNNNRTYKLGLNKFADLTNQEYRSKFLGTRSDPRRRVMKSKNPSQRYAFRAGDSLPESVDWREHGSVNPVKDQGYCGSCWAFSTIAAVEGINKIVTGELISLSEQELVDCDRTYDAGCNGGLMDYAFQFILDNGGIDTEEDYPYLGIDSQCDPTRKNAKVVSIDGYEDVVSYDESALKKAVAHQPVSVAIEAGGRFFQLYESGVFTGQCGTALDHGVVAVGYGTDNGVDYWLVRNSWGNTWGEHGYIRIERNMDGYSGRCGIAMEASYPVKNGVNPIKPFWSNESAKKISSA >EOX92660 pep chromosome:Theobroma_cacao_20110822:1:7982173:7983107:1 gene:TCM_001576 transcript:EOX92660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIHPSPAADSVGNCNRNGSLLTVQSPKTREEKRREREREGKGELSEWVGLCGGDCSWRGVLPNWDKAIGIENGSLQQSMPLFLQYRRPSQNNETKHPKLRFIFFNVHVSLV >EOX96043 pep chromosome:Theobroma_cacao_20110822:1:36418609:36422147:-1 gene:TCM_005392 transcript:EOX96043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neomenthol dehydrogenase, putative MAEGERSAFLAAKRHAVVTGANKGIGFELCRNLASKGIMVVLTSRDEKRGLEAVAKLKDSGLSAHVVFHQLDVTDPSSIASLADFVKAKFGKLDILVNNAGIFGAILHPQAFATATELAGYFPTEEQAKEYEIGTQTFELAEECLKTNYYGARRMVEAFVPLLQLSDSPRIVNVSSIMGLLKNIPSQWAKEGLSDVESLTEDRVDEVLKQFLKDFKEGSLKAKGWPTYFSAYTLSKAAMNACTRILAKKYPSFLVNSIGPGFVKTDITCNIGVLTAAEGAENVARLALLSNDGPSGLLFIRKEVSCF >EOX93564 pep chromosome:Theobroma_cacao_20110822:1:13988651:13993975:1 gene:TCM_002453 transcript:EOX93564 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MGHDQEEEETPPATPTTTASTDSKDQEEETWNHQKQTLILELSEKLINGDLQAKIEAARDIRRVVRKSTVKTRSKFAAAGVIQPLVFMLLSPNLDAREASLLALLNLAVRNERNKVNIVTAGAVPPLVELLKFQNSGLRELATAAILTLSAAAPNKPTIAASGAAPLLVQILRSGSVQGKVDAVTALHNLSTCKENSIPILDAKAVSPLINLLKECKKYSKFAEKATALLEILSKSEEGRVAITDSDGGILTLVETVEDGSLFSTQHAVGALLSLCQSCREKYRELILKEGAIPGLLRLTVEGTSIAQERARTLLDLLRDTPQEKKLASSVLEKIVYDIATRVDGADKAAETAKRLLEDMVQRSMELSMNRIQHRAASCTPAKVPSA >EOX92145 pep chromosome:Theobroma_cacao_20110822:1:5445605:5449022:1 gene:TCM_001139 transcript:EOX92145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin11-like protein MARCSNIILGILNGFALIIGIGIIAWVISIREHWGTECMQLLLVPLLAIGIVISVFSLVGLIGACCRSNFYLWIYMFMLAIWIIGLVVGAVFMFYVAGSSSEMQEQGQNSWLQTYYLVGKRWPAVRNCLVQGKICQLMLSEATSLEEFQMENTRHPIQDGCCRPPDGCGFEFKNATFWTVPKTGLVKKDGDCMVWNNQPDNLCFDCDRCKELFVSDLRKDALYFGISLTFELLFVVITYSVGCCAKRNNETRYYPGLIGACFRANICLWIYIIFMILWVLVLLFSAGRMFLTARSSSEQAHQNWLKHDVSHGKYWAAVKTCLIDANVCQAKVSKAKNLQDFQLEKTRNPIQDACCRPPEYCEFEFKNATFWTPPKGGVIKRDGNCMAWNNQPDILCFNCDRCKEIIIEDLRKDARYMAIGLTCELGFIVVVCILGCCVRINNNKK >EOX93358 pep chromosome:Theobroma_cacao_20110822:1:12321562:12324236:1 gene:TCM_002200 transcript:EOX93358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myzus persicae-induced lipase 1 MGYICDTSMQMPFRSIHPQSFICNIGQLRTPAIIAKNTNLFHLYLSTIKLGKLQGCVVDIRELKMPNFSTVLTLLVLFSVSAIAAATKKVFSTSSRDDVIIHSSITDDAICKSLVQTQGYVCEEHKVATKDGYILSVQRIPVGQSGKTADKPPVLLQHGILVDAAPWLLNSPDESLGFILADNGFDVWLANTRGTKYSRGHTSLSPNDSAYWEWSWDELVQYDLSALVQYVHDQTGQKLHYVGHSLGTLIALAAFSKQELLNMVRTAALLSPIAYLDQIPSQLTKAAAQLYLAEVLYRLGYRQFPPGWDVLAPLLEEICNKPGSNCSNLMTAFTGPNCCVNSSKAGVLLKHEPQPTATKNMIHLSQMIRTGTITMYDYGSKDENMGHYGQPTPPTYKMRGIPKDLPLFLGYGGKDMLADVKDVKTLLNDLKDHDESKLVVVYRKDYAHADFILGVNANQVVYDPLVSFFKLH >EOX93278 pep chromosome:Theobroma_cacao_20110822:1:11854728:11863981:-1 gene:TCM_002125 transcript:EOX93278 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERD (early-responsive to dehydration stress) family protein MATLNDIGVAAAINILSAFAFFLAFAILRIQPVNDRVYFPKWYLKGLRSSPLANGAFVSKFVNLDLRSYVRFLNWMPAALQMPEPELIDHAGLDSAVYLRIYMLGLKIFGPIAFLAFTIMVPVNWTNNTLERSSLTYSDIDKLSISNIPTGSRRFWTHLVMAYVFTIWTCYVLKREYEIVAAMRLHFLASEQRRPDQFTVLVRNVPPDPDESVSELVQHFFLVNHPDHYLSHQVVYNANNLSKLVNEKKQIQNWLDFYQNKYERNPSRRPSLKTGFLGLWGNSVDAIDFYTSKIERLSRDISAEREKVANNPKSIMPAAFVSFKTRWGAAVCAQTQQSRNPTIWLTEWAPEPRDVYWENLAIPFVFLTIRRLIVAVAFFFLTFFFMIPIAFVQSLANIEGIEKALPFLKPIIEMKGIKSFIQGFLPGIALKIFLLFLPTILMIMSKFEGCISLSVLERRSASRYYFFQFINVFLGSIIAGTAFQQLNNFIHQSTNQIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGTIGFNTGEPQIQLYFLLGLVYAVVTPILLPFIIVFFGLAYVVYRHQIINVYNQEYESGAAFWPDVHARIIVALIVSQLLLMGLLSTKEAAQSTPLLITLPVLTIWFHRFCKGRYEPAFVRYPLQEAMMKDTLERAREPNLNLKGFLQSAYTHPVFKSADDSESDITMEESEQEPALIPTKRTSRRCTPLPSKHSGSWRCPPEVKDECSNP >EOX95457 pep chromosome:Theobroma_cacao_20110822:1:34267252:34274529:1 gene:TCM_004946 transcript:EOX95457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albino or Glassy Yellow 1 MAAPFFDSTLVNHHSPSVSPFASKFIFNYRNKNYPPILHAGSSFFTGKSLRVAELGAGTPRLGSWRRRRMGVRASLGGLLGGIFKGNDTGESTRQQYAGTVTAINRLESEMAALTDAELREKTFALKERASQGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAVLPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLKVGLIQQNMTSEQRRENYLCDITYVTNSELGFDYLRDNLATESNSCLALYASVEELVLRDFNYCIIDEVDSILIDEARTPLIISGTAEKPSDQYYKAAKIAAAFERDIHYTVDEKQKTVLLTEQGYEDAEEILDVKDLYDPREQWASFVLNAIKAKELFLRDVNYIIRGQEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNETITLASISYQNFFLQFPKLCGMTGTAATESTEFESIYKLKVTIVPTNKPMIRKDESDVVFRATNGKWRAVVVEISRMNKTGCPVLVGTTSVEQSDSLSEQLQEAGISHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVKPAEGVFVSVKKPPPMKTWKVNEKLFPCKLSSKNSKLAEEAVELAVKTWGKKSLSELEAEERLSYSCEKGPAEDEVIAKLRSAFLEIVKEYKAYTEEERKQVVAAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMRAFRVEDLPIESKMLTKALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYTERRRALMSDNLQSLIIEYAELTMDDILEANIGPDAPKESWDLEKLIAKLQQYCYLLNDLTPDVLRSQCSSYEELQDYLRLRGREAYLQKRDTMEKQAEGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSIYQFQPVMVKKDQEKSDKVVTNGSSNQRPKPVGAVESSSSAASPQASA >EOX96118 pep chromosome:Theobroma_cacao_20110822:1:36651157:36652256:-1 gene:TCM_005444 transcript:EOX96118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin related modifier 1 MQLTLEFGGGLELLCESVKVHNVNIDLPKGADKLTMRYLLSWVRTDLIKERPEMFMKGESVRPGVLVLVNDCDWELTGQLDTTLEEKDVVVFISTLHGG >EOX95392 pep chromosome:Theobroma_cacao_20110822:1:34038972:34041000:-1 gene:TCM_004904 transcript:EOX95392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S27a / Ubiquitin family protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDESGKVQRLRKECPNAECGAGTFMANHFDRHYCECSIGPCLLIMGSTRVKPVGKMGGVEVFLFP >EOX91333 pep chromosome:Theobroma_cacao_20110822:1:2357330:2362741:1 gene:TCM_000564 transcript:EOX91333 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA ligase/cyclic nucleotide phosphodiesterase family protein isoform 2 MGCDGSILLDDIGSTFVGEKNALANQNSARGYEVIDNIKANVEKACPSTVSCSDILTLAARDAVYFAGGSYWMVPLGRRDGRTANKTAANELPSPFESLDSIIAKFNSKGLDIKDVVVLSGAHTIGFAQCFTFKSRLFNFGGSGKPDPELDASFLKGLQSVCPDQVDSDTNLVPLDSVTSTKFDNSYYKNIVNSSGLLQSDQLLGTDNRTASMVLNYSKYPYLFLKDFGASMVKMGNIGVLTGQDGEIRKNCKVVN >EOX91332 pep chromosome:Theobroma_cacao_20110822:1:2360656:2362677:1 gene:TCM_000564 transcript:EOX91332 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA ligase/cyclic nucleotide phosphodiesterase family protein isoform 2 MEHKTCKLPFVRLIYILFLSHLVFCQLDYKYYDSTCPNLARIVRYGVWSAITNDTRMAASLVRLHFHDCFVNGCDGSILLDDIGSTFVGEKNALANQNSARGYEVIDNIKANVEKACPSTVSCSDILTLAARDAVYFAGGSYWMVPLGRRDGRTANKTAANELPSPFESLDSIIAKFNSKGLDIKDVVVLSGAHTIGFAQCFTFKSRLFNFGGSGKPDPELDASFLKGLQSVCPDQVDSDTNLVPLDSVTSTKFDNSYYKNIVNSSGLLQSDQLLGTDNRTASMVLNYSKYPYLFLKDFGASMVKMGNIGVLTGQDGEIRKNCKVVN >EOX94320 pep chromosome:Theobroma_cacao_20110822:1:27754772:27759638:-1 gene:TCM_003906 transcript:EOX94320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIWSTLVSFFKNSPSSKVSSTGNSVNEEKSKSFVRKAVSFVLITVTGGVALSALDDLAIYHGCSSKAMEKASKNQAIIDAIGEPIEKGPWYNASLAVAHKRHSVSCTFPVSGPQGTGVLQLKAVRNGDDNWYSYILPRDWEILIMEALLHVPGNEEKQQTLRISLLEKTPSPACIACTECRPQQSEKK >EOX93691 pep chromosome:Theobroma_cacao_20110822:1:15092830:15097428:-1 gene:TCM_002602 transcript:EOX93691 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-xylose phenolic glycosyltransferase, putative MGETDPKIANNAHVLILPYTAQGHINPMLQFAKRLVSKGLKATLVTSTFISKSMLVDPNTPIDIQSISDGFDEGGFAQAESSEDYLTTFQKVGSPSLATLMKKLGEIGRPVHALIYDPFLPWALDVAKQFGVAGVAFFTQSCAVNSIYYHVHKGLLQLPLSVSNVSLPGLPELNVSELPSYVSLYGSYPAFFDMVVNQFSNLDLVDWVLFDNFYELETQVVDWMSKLWKLGTIGPTLPSMYLDKRIEDDKDYGVNLFKPDTGTCMSWLNGKPKGSVVYASFGSMAEVDVEQMREIAWGLKGSSCYFLWVVRESEEAKLPTKFMEETSEKGLVVRWCSQLEVLSHESVGCFLTHCGLNSVMEAMCLGIPMVAVPQWTDQITNAKFVEDIWEVGIKAQPDEKGIVKSQAIELSVKEIMEGEKGKEIKKNANKWKNLAREAIDEGGSSDKHINQFVADLFAK >EOX94453 pep chromosome:Theobroma_cacao_20110822:1:28743886:28746378:1 gene:TCM_004032 transcript:EOX94453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Legumin A, putative MPNPSLLSRSLTLLLLFHGCLARSSQSWQFQSECQINRLDTIEPVTRIKSEAGVTEWWNPKNKQLKCAGMAVMRHIVEPDGLVLPSVTNAPQLLYAVQDKYKAYSMGSGIQGTVMPGCPETFQDSQQSQHGQSLSFQDQHQKIRRFREGDVLALAAGVVHWSYNGVNQSVITVNLFDTGNSANQLDMNPRVTIYVYLFLQQIINLQSKFFLTLSSCWVSSVCYRFHLAGKPEEDQKKLRQLQQQQQQQGSSSEEEEEDEDNRKKKLQDDQGKRGTIVKVKSRLQVIKPPRMEQEEHEERQQEREQQQGGRGSSQRDNGLDETFYTMRIKENIADPEHAHIFNPQAGRISTLNSFNLPILRYHGLSAERDALYNVKIWVQKAGLIPQWSMNAHRIFYMLRGHARVQVVNQNGDAVFDDYLEKGQLLTVPQNFAFKKPAGSEGAEWVCFYTNDNAMNTLLAGRLSAMRAIPEEVVAVSYQISRKEAKRVKYSNQNNYFFTSSRSERRAEA >EOX96782 pep chromosome:Theobroma_cacao_20110822:1:38980892:38988688:-1 gene:TCM_005953 transcript:EOX96782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLKLWLCLSNTLSSASSPGCHDCKIYVWHSKGSDLLEPTKSGGSITNTFLSFSRNEHDFNRVWIRQTWFIANQKIRVFKWSPDFQPEKKSSVVPVWISFPNLSAHLHDKSALMMVARTVGKPLFVDEATANRICPSVARVCVEYDCQKPPLDHIWIVSRNRKTETMTGGLSQRVEFAKLPKYCRHYCHVGHAMTECMVLGNKPASTKPKISQPPRTGQELKDYPARQNPQTQDQQSAAKREQKDLIPNGANQNDGARFSAPKQSKIWHAVGTSGTKNPKGKDKAPSGSKQVQTAVSNSFEAIRDENMEEQKNLEKQGQTEMNSGQSNAENASSRKNIRRTRDTATTPQQLSADGEMRNVPDVGTNEAKNATSNLQRGKDECQRKEIPQLDGTGRGKKIENNASKESQNRSFEFDKNEKTSTARRNMHEASDSSIQELQPIQGERAVSVEGKAETVATKLDSGRRVQPLQLLQKERKTSGQAPSHAGDNKTDEENDQDVEQLIPENEHLTSGRQPKLQKKAKPILSKLAPSFSMDIDMVSAAPLFEMTNDNDGSQLRPAKDVTKADNSAICLKSLPSEPGKCLLNKQSDSFPSIVDACYSSDFQSSFGLPRVHRRRKSDSFIPTPNYWNFVHATDPLECLHVKLSLPWLPHPLSATFVYAKCTRQERMELWNCLRSLSADMQGPWMVGGDFNTIVSCAERLNGAPPHGGSMEDFVATLFDCGLIDAGFEGNSFTWTNNHMFQRLDRVVYNPEWAHCFSSTRVQHLNRDGSDHCPLLISCATASQKGPSTFRFLHAWTKHHDFLPFVERSWQVPLNSSGLTAFWIKQQRLKRDLKWWNKQIFGDIFEKLKRAEIEVEKREKDFQQDPSSINRNLMNKAYAKLNRQLSIEELFWFDSSLIPRTISITDNEFLCAAPSLKEINEVVFNIDKDSVVGPDGFSSLFYQHCWDIIKQDLLEAVLDFFNGAPMPQGVTSTTLVLLPKKPNSCQWSDFRPISLCTVLNKIVTKMLANRLSKILPSIISENQSGFVNGRLISDNILLAQELIGKLDAKARGGNVVLKLDMAKAYDRLNWDFLYLMMKQFGFNDRWISMIKACISNCWFSLLINGSLVGYFKSERGLRQGDSISPLLFILAADYLSRGINQLFSHHKSLLYLSGCFMPISHLAFADDIVIFTNGCRPALQKILVFLQEYEEVSGQQVNHQKSCFITANGCPMTMRQIIAHTTGFQHKTLPVIYLGVPLHKGPKKVTLFDSLITKIRDLLKPPVVVIEKIERLFNSFLWGDSTNDKRIHWVAWHKLTFSCSERGLDIWRLTDMVDAFSMKLWWRFYTCEGLWTNFLKTKYCMGQIPHYVQPKLHDSQVWKRMVRGREVAIQNTRWRIGKGSLFFWHDCWMGDQPLVTSFSHFRNDMSTVHNFFNGHNWDVDKLKLYLPINLVDEILQIPFDRSQDDVAYWSLTSNGEFSTRSAWEAIRLRQSPNMIQQSQKGSHDIRYLLASIRKCLNFFSFRISHIFREGNQAADFLSNKGHTHQSLHVFSEAQGELYALAIVGDLHSIVASIVMHIKWSFLLFSTFSTLTYAHVILEARYRSPSLLLGWLLLGPACFAEWQSYFLQMPRFPPSLLTLSRQRALSPKPCLTAACLQLANWVLSFAQSISATFLPFEILP >EOX94529 pep chromosome:Theobroma_cacao_20110822:1:29493629:29494021:1 gene:TCM_004138 transcript:EOX94529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKCLHLFVFVTAVNFLLSTHPCEASRLLNEDVLVLQSLQKGPVPPSGRNGCTNIPGRGGPPCTSHRAFAGHIMAPPRLQPDHMSLFRAAANLK >EOX91780 pep chromosome:Theobroma_cacao_20110822:1:3805772:3822222:1 gene:TCM_000857 transcript:EOX91780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESSWPSSSYDGIYQVTQHMASTQQSEGDCLSKDNFSSLPDRVHLDLKQNDFTDLLNIWDKWGATTRANFDRKYGHIARLLKVQVDEQLLKAIVQFWDPSYRCFVFNKVDMVPTIEEYSALLQIDLDNPDKIYWRGQKTGHKRKLAKMMGITSAEVDQNLRKKGDNECIPWSFLRSYIMKQRDTEQGQLVMALAIYGLVIFPKVLGHIEVEIIDFFEQVVNKANPSPSILAETLRSLNYCRRKGEGRFVGCAQLLSIWIVSHFECKVDKFRKPFHPQTAPIREFCESEWPENRTKEQWISRFRELMSVEVTWRAPWMPHHPVLYKCENEPWVPLMGPWGAISYAPIMVKRQFGSEQFVPMTHRLNTLEFAYGEPGFLKRIEEIEQAWKKTSRVDQGRYTDEVTTEYQIWHDQRVNDVVYPKEDALRGPVDPEPRDALLESELA >EOX94680 pep chromosome:Theobroma_cacao_20110822:1:30836603:30842826:1 gene:TCM_004297 transcript:EOX94680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 3,1 MGNADYVYPSANDQCAHRVAIPPPQPFFKSFKNSLKETFFPDDPLRQFKNKTPSRKFILGLQYFLPILEWAPRYSLQFLKADLIAGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLVYAMMGSSRDLAVGTVAVASLLTASMLGQEVNATENPKLYLHLAFTATFFAGLLQAALGLLRLGFLVDFLSHATIVGFMAGAATVVCLQQLKGILGLEHFTQSTDFISVLRSVFSQTHEWRWESGVLGVGFLFFLLVTRYFSKRRPRFFWISALAPLTSVILGSLLVYLTHAEKHGVQVIGNLKKGLNPPSFGDFVFTSPYMTTAAKTGMITGIIALAEGIAVGRSFAMFKHYHIDGNKEMVAIGTMNIVGSCFSCYLTTGPFSRSAVNFNAGCKTAMSNVIMAIAVMLTLLFLTPLFHYTPLVVLSAIIMSAMLGLIDYEAAIHLWKVDKFDFIVCMGAFIGVIFANVEVGLVIAVAISLLRLLLFVARPKTLVLGNIPNSSIYRNVEQYPNTNNVAGVLILEIDAPIYFANSSYLRERISRWIDEEEDKLKSTGETSLQYIILDMSAVGNIDTSGISMLEEVKKTTDRRGLKLVLANPGAEVMKKLNKSKFLETIGQEWIYLTVGEAVEACNYKLHTCKPESNKEESQPWNNV >EOX93366 pep chromosome:Theobroma_cacao_20110822:1:12428998:12434934:-1 gene:TCM_002214 transcript:EOX93366 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein MAVAPTVLSCLVSSTVLLQIVMQFSPSFRNTTKRVFFTARCKPLGYVSSRLAPPCTDGIGRRRVGEVQVVMKYASLEMSYTRVTWLFVSLSLILFASARAQVPAIIVFGDSSVDSGNNNVIPTLLKSNFKPYGRDFYGGHPTGRFCNGRIPPDFISEAFGLKPAIPAYLDPAYTISDFATGVCFASAGTGYDNATSKVLNVIPLWKELEYYKEYQQKLRAYVGKKKANQILSEALYLMSLGTNDFLENYYIFPTRKSQFSVRQYQDFLLGLGENFIRELHGLGVRKLSVTGLPPMGCLPLERVTNILGQNDCVDEYNNVALEFNRKLEGLVAKLNKDLPGMRMVSAPAYDIFYQIIERPSLFGFEVTGLACCSTGTFEMSYLCSQYNPFTCSDANKYVFWDAFHPTEKTNKIISDHLIPGLLAKFSH >EOX95607 pep chromosome:Theobroma_cacao_20110822:1:34822369:34825134:-1 gene:TCM_005053 transcript:EOX95607 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 1 MDKDSIEMDKERLTAEMAFKDSSAVIKIRQRLPDFLQSVKLKYVKLGYGYSCNPATILTFALILPLFIATLLQFTGLKLDRISELWTNPALRLDSIDAATRLAGSLVLFVLFGLYYAKRSRPVYLVDFACYKPEDDRKMSIDSFINMTEDTGAFTEETLQFQRRISTRSGLGDETYFPRGITSRPPKLCFEEARSEAEAVMFGALDSLFEKTGVKPKDIGILIVNCSLFSPTPSLSAMIVNHYKLRTDIKSYNLGGMGCSAGLISIELAKNLLQANPNTYAVVVSTENITLNWYFGNDRSMLLCNCIFRMGGAAVLLSNKARDRARSKYQLVHLVRTHKGADDKYYNCVYQREDDKGTIGVSLARELMAVAGDALKTNITTLGPLVLPFTEQFIFFLTLVRRKIFKAKVKPYIPDFKLAFEHFCIHAGGRAVLDEVQKNLQLTDWHLEPSRMTLHRFGNTSSSSLWYELAYTEAKGRVSGGDRVWQIAFGSGFKCNSAVWRALRSTPESESRGNPWKDEIEKYPVKVPLV >EOX94826 pep chromosome:Theobroma_cacao_20110822:1:31606980:31611615:-1 gene:TCM_046982 transcript:EOX94826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase 4 MGASESVPQKSIHQFTVKDNKGQDVDLSIYEGKVLLVVNVASKCGLTDSNYTQLTDLYSKYKDQGLEILAFPCNQFLKQEPGTEQEVQQFACTRYKAEYPIFRKVRVNGPKTEPVYKFLKSNKSGFLGSRIKWNFTKFLVDKNGHVLGRYGPTTAPLAIEADIKKALGVDT >EOX96147 pep chromosome:Theobroma_cacao_20110822:1:36771087:36774408:-1 gene:TCM_005466 transcript:EOX96147 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein, putative MSELLLLYYSFALVKLRKLRKIKKKCCPVLSCPDCVRQDHVTTISSSNLTRLAILLILRHPSALVIFGDRFFNSTKFRFLKAYESPLPLAHFLLAQAQSVHAVLSSGLAPVTADTIRLMPLLRLVVTTTQGLNHIDLSECRRRDIAVARAGTIYSTDCADSVVALLIDVLRKVSAANRFVKQGLWSSQGEYPLGSKLGGKRVGIVGSGSIGSEVAKRLEAFDCSISYNSTKKNPFFSYPFYPNVRELAANCDALIICCALTDETHHLINKEVLSALGKDGVIINIARGPIIDEKELVRCLVEGEIRGAGLDVFEHEPDVPSELFALDNVVMSPHNAVFTRESFEDQCKLVVGNLEAFFSNEPLLTPVVLNDPPHFSG >EOX95211 pep chromosome:Theobroma_cacao_20110822:1:33334146:33335118:1 gene:TCM_004762 transcript:EOX95211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATEKANYDQTVDTQNYHTQENSTERSASSQGSAKFHFSKALAHRAVYGSSSRRSTGSRKVRSTDARTLPSRLSKVSLADDDSEN >EOX94246 pep chromosome:Theobroma_cacao_20110822:1:26674900:26680360:-1 gene:TCM_003791 transcript:EOX94246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MGQFRAKMERLAIYQVMSVNRDVAAVVVGPRESQSDSIEEESVASSFRAVPTAEFIDNPVPHPPPVATPGVLAMSPEAAQALAAFCLLLRVKLKLVSETLVNMGLDDEMKLKVATRLFEKRARTWWNSIKSRSLIPLTWTDFLREFDGQYYTYFHQKEKKREFLSLKQGKLSIVEYETRFNELMLYAPELVRLEQDQVNYFEKGLRNEIRERMIVTGKESYKEVVQMALRAEKLATKNKRIRAEFAKRRNPPTFSDSGSDRSYVSISFASFSDRNLSPLEKEIVVHTPLGERLIEPGIVIYCHYLPVSGLGNLRACCLPWMW >EOX93665 pep chromosome:Theobroma_cacao_20110822:1:14651604:14658396:1 gene:TCM_002551 transcript:EOX93665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLKVLVTRVIKEHHILLDIKLLRKEHKLYRLASLTEKAIMGNVTSHRNAIHFFVSPHFASNLGIEHSKMEQGFLVTTPLREKFVVEYGYLACMI >EOX91441 pep chromosome:Theobroma_cacao_20110822:1:2745613:2748153:-1 gene:TCM_000639 transcript:EOX91441 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAA30379.1 protein, putative MSAHLTKTDSEVSSLSQSSPARSPRRQVYYVQSPSRDSHDGEKTTNSFHSTPVISPMGSPPHSHSNSSLGPHSSRESSSTRLSGSLKPHRKHEGSRKGRKPWKEFDAIEEEGLLDGDGVPHGLPRRCYFLAFVVGFFVLFTTFSLILWGASRPQKPKITMKSISFNEFVVQAGADFSGLATEMVSMNCTVKLTYRNTATFFGVHVTSTPLDLSYSQLTVATGSIRKFYQSRKSQRALTVMMKGSHIPLYGGGASLGSLNGAPTQPVPLTLNFMVRSRAYVLGKLVKPKFYKRIECSVTMDPKKMNVAISLKNKCIYSK >EOX93847 pep chromosome:Theobroma_cacao_20110822:1:16624388:16632479:-1 gene:TCM_002815 transcript:EOX93847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLYVPDLVKSEQDQASYFEEGLRNEIRERMTVIGREPHKEVVQMALRAEKLATENRRIRTEFAKRRNPGMSYSQPVKRGKDSATSGSTTSVFVTSPRPPFPPSQQRPSRFSRSAMTGVIRFAKRGKLNPRYIGPFHIIERIGPVAYRLELPPELDRIHNVFHVSMLKKYVPDPSHILETPPIELHEDLKFEVQPVRILDRKDRVLRNKSIPMVKNTIFDNGCSQGNRETDSKALRGFGLTFRAISVYRDTAAVVTGSRGVPGRDIRSLDQHFMMTMLMVYQRL >EOX92560 pep chromosome:Theobroma_cacao_20110822:1:7508734:7510466:1 gene:TCM_001498 transcript:EOX92560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit PSI MAAMNSSVLACNYAISGTGSFELNAKLASVPSVASPVVSGGRKLPVIRAQQAKVSDPEESRASEGRRAAMLYLAATLFTTAAASSANASVFDEYLEKSKANKELNDKKRLATSGANFARAYTVQFGTCKFPENFTGCQDLAKQKKVPFISDDLELECEGKDKYKCGSNVFWKW >EOX93262 pep chromosome:Theobroma_cacao_20110822:1:11818326:11821286:1 gene:TCM_002116 transcript:EOX93262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVNQAAALKVGLGLMGLCLFGYIVGPPLYWHFMEGLAAVSHSSNTCPPCLCDCSSQPLLTIPEGLSNASFADCTKHDPEVSEDTEKNFADLLTEELKLREAETLESQQRADMALLEAKKIASQYQKEADKCNSGMETCEEAREKAEAALAAQKKLTTMWELRARQKGWREGVTNSRTQSQGNVQAV >EOX91290 pep chromosome:Theobroma_cacao_20110822:1:2207821:2210174:1 gene:TCM_000531 transcript:EOX91290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 3, putative MEKGKSHFVLVHGACHGAWCWYKVVTLLKSAGHQVTALDLAAFGVHPKQVHELHSMSDYSEPLMEFMESLPPKERVILVGHSMGGVTISIAMERFPEKVSVAVFAPASMPGPELSYISLRQAVLAVVMPAAACCSRRCKDLSSIRDWDPLWTHNLRLMMVLISLQLHLLFGPSFMSTKLYQLSPPEDLTLATMLARPFGINDDAASVEETELTREKYGCVRRVFIVCDKDKVTDEDFQRWMIENNPPEEVKLISESDHMVMFSKPQELCSCLEEIAEKYD >EOX92218 pep chromosome:Theobroma_cacao_20110822:1:5771504:5773336:1 gene:TCM_001199 transcript:EOX92218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 family protein MVSGKKTKKTHESINNRLALVMKSGRYTLGYKTVLKSLRTSKGKLIIISNNCPPLRKSEIEYYAMLCKVGVHHYNGNNNDLGTACGKYFRVSCLSIIDPGDSDIIKSLPGDN >EOX94745 pep chromosome:Theobroma_cacao_20110822:1:31156688:31164322:1 gene:TCM_004357 transcript:EOX94745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein MTTIRRFCCNDLLRFASVNLDHLTETFNMSFYMTYLARWPDYFHVAEGPGKRIMGYIMGKVEGQGESWHGHVTAVTVAPEYRRQQLAKKLMNLLEDISDKIDKAYFVDLFVRASNTPAIKMYEKLGYVIYRRVLRYYSGEEDGLDMRKALSRDIEKKSIIPLKRPVTPDELDSALHICKAQIRFLWLPFKRAYQGQALCGGSSVFITGRCQWTKVTYPKREIGFCGRNSGNNGGGPRKRFAISYCSRGDGSRLTCMFAAWTAKKQARSEAHGALV >EOX96174 pep chromosome:Theobroma_cacao_20110822:1:36863316:36870340:-1 gene:TCM_005483 transcript:EOX96174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 9, putative MSSMLAQPVQSPPLPDSGGGGGGSGTRERSEEKGGTVKMEEKEKRKEGSPSIRLGLGIDGNTTEKPVVKHGKCVFTTAQLHELQLQALIYKYIAGGIPVPLHLVIPIWKSVASSGSAHGGIYDQYPSFVGFSPQGSDYRSMMNPEPGRCRRTDGKKWRCSKNVIPDQKYCAQHMHRGRQRSRKPVESSQFTLPDTTLSKRSNKESENSKNLSATVSSQYTNPSSCNTSTSHERTATTAVDSNNVWSNRNSISTIATTSTTIITATNNDEKNDCKRNKNFPNTSKKREEKHSVSDNIIINKSSKIGNNATVGNSISPGVGFSPKSVLQVLGCNSSHGYKNEMELEPGRCRRTDGKKWRCSRDVIPDQKYCARHMHRGAKKHVEVSQPVAVPTIGPPSRLTVASKAACAVLSTSLSISIPSPHLITQDEKSTSSSSETTISDTTITVFENVSLAGADGTGRLLSHGFIGGTRRFHHSNPNSQTQRTSRALVRVSESATKYLARAILRVSSGKCTAGEVITTALVVLVCWS >EOX92037 pep chromosome:Theobroma_cacao_20110822:1:5009762:5010428:-1 gene:TCM_001057 transcript:EOX92037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGHFLHFYFIATSLRVRVVGSGVWPSGMPDHDLVKIKIKMSLGKIKILERVVGSGSGRLGCLTMTW >EOX92172 pep chromosome:Theobroma_cacao_20110822:1:5600132:5603750:-1 gene:TCM_001164 transcript:EOX92172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol-1-phosphate synthase 2 MFIESFKVESPNVKYTDNEILSVYNYETTELVHENRNGTYQWVVKPKTVKYEFKTDTHVPKLGVMLVGWGGNNGSTLTGAVIANREGISWATKDKVQQANYFGSLTQASTIRVGSYNGEEIYAPFKSLLPMVNPEDIVFGGWDISDMNLADAMARAKVFDIDLQKQLRPYMESTVPIPGIYDPDFIAANQGERANNIIKGTKKEQVQQIIKDIREFKEKNKVDRVVVLWTANTERYSNVIVGLNDTMESLLGSLEKNESEISPSTLYAIASVLENVPFINGSPQNTFVPGLIDLAIQRNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGQNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACIGLAPENNMILEYK >EOX93012 pep chromosome:Theobroma_cacao_20110822:1:9943547:9946832:1 gene:TCM_001871 transcript:EOX93012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMMSSSGKQLKAGAASVENGVEVQVSTFSELVDDVTLLPKQIFAWIVSAKFGDLYAAAPTRHSNTVGVAFTLGDLVTVVLVFVCFEHRGCWMIFAELLNVQAMQRQQPDKQQAGIPTLAGIIVIYKNGESNCIDCVICLEEFMD >EOX91231 pep chromosome:Theobroma_cacao_20110822:1:1998363:1999571:1 gene:TCM_000484 transcript:EOX91231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKPTKLFSWCSLLMAIMFGFSSSVQLNDPDWYFWFPLYACACVVNLVNWRNSSKGAIRHIAKVALFLGVFLFVKVVIEDSLSKIAGFWSLDLTERVVREKTGSALVIISMILHLKAISEPTDLKQRKKKNKIGRLVEYGEKLRTSLFHLNGIRGGFQLRASLRLLCGSERGNEVLNNSCGWTRIPCPAWNLRDNLHQHTIVDHSNF >EOX96460 pep chromosome:Theobroma_cacao_20110822:1:37881292:37882263:1 gene:TCM_005706 transcript:EOX96460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive element binding factor 13, putative MYGGNTSGFDLSLLESIRQYLLEDELPLYDQIGCSKTDAINVDQWVTFDQLFDAAETAAVGVSVPSCEVITSELGTATTATAPKLQALPKKVHYRGVRRRPWGTYAAEIRDPKKNGARVWLGTYETPEDAALAYDRAAFKMRGAKAKLNFPHLIGSDQVEPVRVTNNKRRSSSQSSAQSASCSSYISDNGTPKSKRRMISEINSAVKTELGSESELELTPTDFWQTVFC >EOX91186 pep chromosome:Theobroma_cacao_20110822:1:1874940:1877326:1 gene:TCM_000455 transcript:EOX91186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyl pyrophosphate synthase 1 MTTMNLGSWVQSYSIFNQASRSKSIPLPRSFPFNPLKNLSSSTPKKRSLTSLPSISAVLTKEDTIKEEEQDPQKPSFDFKSYMVQKASTVNQALDSAVSLRDPVKIHEAMRYSLLAGGKRVRPALCLAACELVGGQESMAMPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVFGEDIAVLAGDALLAFAFEHIAVSTVGVTPGRVVKAVGELAKAIGTEGLVAGQVVDINSEGLIDVGLDHLEFIHVHKTAVLLEAAVVLGAILGGGCDEDVEKLRKFARYIGLLFQVVDDILDVTKSSKDLGKTAGKDLVADKVTYPKLMGIEKSREFAEKLKSDALELLQGFDPEKAAPLVALANYIAYRQN >EOX92424 pep chromosome:Theobroma_cacao_20110822:1:6761179:6767228:-1 gene:TCM_001378 transcript:EOX92424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDYKSLTISIAVTIAVAILANHVVSTRGFSGQKETEQMAEVKTINKHATTKTIKTTFGDIFDCVDIHRQPTLLHPLLKNHKIEMRPGSALHRNLKNSSFSTESSSSTNVAKLVGLGKGCPKGTVPIRRTVGKENMIRSFDYNKFGKNLSIDFPIKESGGTGYDYAGIVLRPESGKLIKGAAANLIIYQPKVKDHQFSGAIIEVSNGMPGNAGAIHLGWMVDPLYFGDGQPRLFAAWAQASNGKISGCYNMDCPGFVQVNRYATFGSTFSHVSVINGPQYGTHMSLTVEPSGNWWVNIRNVGIGYFANEFFTGLRAGADWTLWGGTVYSPFPSSPPMGSGLEYNGGPFRRTCYMNQVGYVNQDDGHRYSDPNPSSVQVKESRCYLVGKNRYVDDKWRYTFFFGGEGGDFHSCRY >EOX91407 pep chromosome:Theobroma_cacao_20110822:1:2592371:2595251:-1 gene:TCM_000610 transcript:EOX91407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor 4 MAQRSVPAPFLTKTYQLVDDPITDDVISWNENGTTFVVWKTADFAKDLLPNYFKHNNFSSFVRQLNTYGFRKVVPDKWEFANENFKRGQKELLSEIRRRKTVTSSPANGKTAGVGPSSPTNSGEDLGSTSTSSPDSKNPGSVETTPAAVTQFADLSDENEKLKKDNEMLSSELAQAKKQCDELVAFLTECVKVGPDQINRIMRQGSFGSTRDADDRGRTHDVDDLDDHDQVDDDDDDDDEKGGKEGNGSLKLFGVWLKGAERKRAREEKIVYGGPHAKEMKTVDFRHVPLVMKSGKVCN >EOX93567 pep chromosome:Theobroma_cacao_20110822:1:14006272:14009421:-1 gene:TCM_002456 transcript:EOX93567 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MGLSSLPAPSEGVLCILLVNTALSISIVKGIIRSILHIVGIHLSSSSSASDSVENPSESFDFHLSTSDSYIDEFRSRTPAIRFDAVCSCKRPEHDCSVCLTQFEPESEINRLSCGHLFHKVCLEKWLKYWNVTCPLCRTPLLPEEEASCFW >EOX91632 pep chromosome:Theobroma_cacao_20110822:1:3346391:3349262:1 gene:TCM_000756 transcript:EOX91632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family SERF [Source:Projected from Arabidopsis thaliana (AT2G23090) TAIR;Acc:AT2G23090] MGGGNGQKSKMAREKHLEKAKAAGKGSQLETNKKAMSIQCKVCMQTFMCTTSEVKCKEHAEAKHPKSDLYACFPHLKK >EOX95485 pep chromosome:Theobroma_cacao_20110822:1:34394991:34400021:-1 gene:TCM_004968 transcript:EOX95485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis protein coq-8 MSSLNQLGRLVNGLSLIAKEIVKRSQTIENAKKGDLETLLASSIKNAVVSAADLAGLTKGTVREFSSPRPKESVVYFNSNNDGVAEDNVNSFSNGVAPANPTLDEPIHCEKVVSSNDQTILEEKVGNDGLERESESNLDDKHDVDGGEVAAEAPVDLKRRRPRERKVPATPFSRAFGFAALGAGLAWGTVQESAKRLVYGSPNSNDKQSAISPFLSEQNAERLALALCRMRGAALKIGQMLSIQDESLVPAPILTALDIVRQGADVMPRSQLNQVLDAELGRDWSSKLTSFDYEPMAAASIGQVHQAVTKDGMDVAMKIQYPGVAGSIESDIQNVKLLLDYTNLIPEGLYLDRAMKVAKEELSRECDYNLEASNQKRFCDLLSSREGFYVPLVIDDISSKRVLTTELVYGVPIDKVALLNQGTRNYVGRKLLQLTLMELFVFRFMQTDPNWSNFLYDEATRTINLIDFGAARDYPKRFVDDYLRMVMACANDDREAVIEMSKRLGFLTGKESEVMLEAHVQAGFVVGLPFSKPGGYDFRSTNITQSISNLGATMLRHRLTPPPDEAYSLHRKLSGAFLACIKLGAVVPCRELLLEVYEHYPFGEDEDILSSASAS >EOX93379 pep chromosome:Theobroma_cacao_20110822:1:12530142:12531234:1 gene:TCM_002232 transcript:EOX93379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPAKYGLLGFINPFKISGLSSLNQAPCRLLGLRLMCSKAEIYGRSQFLKDAAGAGKSCFNSDRLPLGFFSRTMGERYGRFAEQKKKNDVEVPGVDYSAAYEWNEIRHKQVKIEWHRLVWFQCHIPKHALIAWMAALDRLPTKDRLLSWETSISGELSGWKEELHWVVKRLKGNAYVCHIWKERTNRFYGHIARTSSQIYCNIVDAVHLRPYGLKNIGYNSVDRDMNSMGTN >EOX96115 pep chromosome:Theobroma_cacao_20110822:1:36639310:36646715:1 gene:TCM_005442 transcript:EOX96115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major Facilitator Superfamily with SPX (SYG1/Pho81/XPR1) domain-containing protein MVAFGKKLKESQIQEWQGYYINYKFLKKKVNRYAQQLEVGAQDHRYVLKDFSRMLDSQIEKIVLFLLEQQGQLASRLSDLREQHDEILQQTDGAKISELQEAYRRVGHDLLRLLFFVEMNATGLRKILKKFDKRFGYRFTNYYVKTRANHPYSQLRQVFKHVGIGAVVGAISRNLADLQDHQGNYVSIYDQPALSHPDPVVHSIKAAVNRLSNSTNFLEFLGKHAFIMQDDLPTPSEEDVAEERYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSLSLGAAATVCGVVIGSMAVAQVFSSVYFSAWSNRSYLRPLIFSSVVLLIGNTLYALAYDLNSIAVLLVGRLFCGLGSARAVNRRYISDCVPHKLRMQASAGFVSASALGMACGPALACLFQTDFKVYKLTFNEDTLPGWVMALSWLFYLLWLWICFREPPQKIKENILPQEAHSGSSVNYAVENGYTQPLLLNMEAKQQGQNEDEEYDDGEEDSCEKAPKPVTSIVSAYRLLTPSVKVQLFVYFMLKYAMEILLAEASVITAYYFIWSTSSVAIFLACLGLTVLPVNIVVGTYISNMFEERQVLLASEIMVLIGILLSFHIGIPYSVPQYVGSALITFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVIADGTITLSGYLGVSRLLNTTLVPSLFICISSIIATCFTYNSLY >EOX94764 pep chromosome:Theobroma_cacao_20110822:1:31279689:31280382:1 gene:TCM_004378 transcript:EOX94764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASAKRILAFLLMLAFSGVLLGAVYKVGDSASWSMKRNIDFFFPFFNLRFRASGSATFSFSSKTVNFTI >EOX91087 pep chromosome:Theobroma_cacao_20110822:1:1556752:1558297:1 gene:TCM_000378 transcript:EOX91087 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor family protein MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVMKINGEDTSVISPTLGFNIKTISYQKYTLNIWDVGGQRTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGASLLILANKQDIKGALTPAEIAKVLNLEAMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDVASRIYMLD >EOX96283 pep chromosome:Theobroma_cacao_20110822:1:37214292:37214963:1 gene:TCM_005561 transcript:EOX96283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLFRSAAVVVIYSGASSGFAVVFFNVLGYNKAGVCELSDVRNIIILSKVDTKKRPRHDREGGPYDTHLFRLDPKEEETTQRGNKR >EOX95629 pep chromosome:Theobroma_cacao_20110822:1:34976069:34985958:-1 gene:TCM_005083 transcript:EOX95629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSQAAPARPWFRLASIARPTPPQAPPPPTEPAPGPPRPAAVRPTFRPVAQPQGTAAAAPPVGGVSSVPPSPVAVGRASQPSSPADRKPTTSTSSVPSSPVRTVPTVTATAAGPTISPPKSATIASVPSSPAKPVATTASVPTSPAKPVVTIASVPTSPAKPVVTIASVPSSPAKTAPTTSSVTSSPTQKPASSIATATRVPSPPASTTAVKLATQSPIQSPKIKPPTAPPPSPLILPPSQLKARDELEPKIPVEVEQKTVLVQTRIDKPKPERVVTAQKDLGDTYKPSILLHGMKEPSKNGETKEKGHDKKFSSDSEDSSMRVITIAGENKGAFMELIQSPHKNGFQGNHREQKRTNLSRTISDGSDYQSYSSSEEGVRKVKDKSNTSRTMPMNAFMNSNVQGVNNSIVYNSSCTHHDPGVHLSLLRKPSGGGFQVKERTNGYNS >EOX94474 pep chromosome:Theobroma_cacao_20110822:1:28932406:28940090:-1 gene:TCM_004064 transcript:EOX94474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Undecaprenyl pyrophosphate synthetase family protein, putative MDLMLKKVEGLIKEESIVNRCGVRVYISGNLKLLSEPVRLSAERAMLATAKNCKAVLSILYLEGMNKNERNHLIKLTDIEKNMYMVVAPDPDIKIHTSSETRLSNFLIWQSAHCYLYSPSVLWTEIGFRQFLWAILNFQRIHFYLDKKRKLL >EOX93978 pep chromosome:Theobroma_cacao_20110822:1:18028709:18033140:-1 gene:TCM_003003 transcript:EOX93978 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription factor VAL3, putative isoform 1 MAGVKRRIITDSDIRALHKELDEVSCPICMDHPHNAVLLLCSSHEKGCRSYICDTSYRHSNCLDRYKKLRAYSSKSPMLPHPIPQNRQNSSTSDMNLALRTDFIEGNGSRNLNETNSTPGRSEGNIQEPNRHLDSQGEGIIEIGDSDSSQGRAESEELDAENTSESKSSLKCPLCRGDIHGWEVVEEARMYLNLKKRSCSRESCAYNGNYQELRRHARRVHPTTRPSDIDPSRERDWRRLEHQREYGDIVSAIRSAMPGAIVVGDYAIENGDRLAADRDSGTGEESAPWWTTFFLFQMIGSIDSVGEPRARSRVWSRHRRPAGALSERRFLWGENLLGLQDDDDDDLRILSDVGEDPSPNPRRRRRLTRSRSDEDQS >EOX93979 pep chromosome:Theobroma_cacao_20110822:1:18028558:18032017:-1 gene:TCM_003003 transcript:EOX93979 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription factor VAL3, putative isoform 1 MAGVKRRIITDSDIRALHKELDEVSCPICMDHPHNAVLLLCSSHEKGCRSYICDTSYRHSNCLDRYKKLRAYSSKSPMLPHPIPQNRQNSSTSDMNLALRTDFIEGNGSRNLNETNSTPGRSEGNIQEPNRHLDSQGEGIIEIGDSDSSQGRAESEELDAENTSESKSSLKCPLCRGDIHGWEVVEEARMYLNLKKRSCSRESCAYNGNYQELRRHARRVHPTTRPSDIDPSRERDWRRLEHQREYGDIVSAIRSAMPGAIVVGDYAIENGDRLAADRDSGTGEESAPWWTTFFLFQMIGSIDSVGEPRARSRVWSRHRRPAGALSERRFLWGENLLGLQDDDDDDLRILSDVGEDPSPNPRRRRRLTRSRSDEDQS >EOX90824 pep chromosome:Theobroma_cacao_20110822:1:728810:730198:-1 gene:TCM_000188 transcript:EOX90824 gene_biotype:protein_coding transcript_biotype:protein_coding description:receptor homology region transmembrane domain ring H2 motif protein 1 [Source:Projected from Arabidopsis thaliana (AT5G66160) TAIR;Acc:AT5G66160] MREAWLGFSLVIIAYLIELASSTVVLKPFSLSFTDLPAKFARGMNNSGVCGALEVADPLDACTPLRNEFSSNRTDPVKLALIIRGDCSFEEKIRNAQRGGFSAAIVYDDRNGGNLVYMMMNPKGIEVQAVFVSKSAGEFLKDHAKGEMGECCIYAQQNGRAWTVFAICFLSLVVIAVFLVIAFIAPRSLSNWRGRNSVRSVDTKMVEALPRVTFSSARLSQCCTGETCAICLEDYEDGEILKVLPCQH >EOX90823 pep chromosome:Theobroma_cacao_20110822:1:726688:729865:-1 gene:TCM_000188 transcript:EOX90823 gene_biotype:protein_coding transcript_biotype:protein_coding description:receptor homology region transmembrane domain ring H2 motif protein 1 [Source:Projected from Arabidopsis thaliana (AT5G66160) TAIR;Acc:AT5G66160] MREAWLGFSLVIIAYLIELASSTVVLKPFSLSFTDLPAKFARGMNNSGVCGALEVADPLDACTPLRNEFSSNRTDPVKLALIIRGDCSFEEKIRNAQRGGFSAAIVYDDRNGGNLVYMMMNPKGIEVQAVFVSKSAGEFLKDHAKGEMGECCIYAQQNGRAWTVFAICFLSLVVIAVFLVIAFIAPRSLSNWRGRNSVRSVDTKMVEALPRVTFSSARLSQCCTGETCAICLEDYEDGEILKVLPCQHDFHSSCVESWLTKWGTFCPVCKLDMATKIAYSEIKRSSRV >EOX93206 pep chromosome:Theobroma_cacao_20110822:1:11236684:11245025:1 gene:TCM_002045 transcript:EOX93206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 5 precursor MINFKQTQLLTLLCFLFILLPSIARGECTREPEDKDRNKPLALKYKMAAIASILVAGAIGVCFPLLGKTIKALRPETNLFFITKALAAGVILSTGFIHVLPDATESLTSLCLKFPFAGLVAMASAIATLMVDVSATSHYTKSHSNMTQQIDGDEEKTGEHESHVHVHIHATHGHTHDPASLADGSGISELLRHREVSQEIGGSFGVGDCGALGISLGASESPKTIKPLVAALTFQQFFEGMGLGGCISQAQVKSRSVAIMALFFSLTTPVGIAIGIGISSMYHESSPKALIVEGIFNEASAGILIYMALVDLLAADFMNPKLQNNGMLQVGAVLLFFLVSWL >EOX95887 pep chromosome:Theobroma_cacao_20110822:1:35900758:35905389:1 gene:TCM_005280 transcript:EOX95887 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase 4 MSIQSSTGSGDHSIRGIPTHGGRYVQYNVYGNLFEVSRKYVPPIRPVGRGAYGIVCAAMNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAMKDIIRPPQRENFNDVYIVYELMDTDLHQIIRSNQALTNDHCRYFLYQVLRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTRQPLFPGRDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQYPRQNFSARFPYMSPGAVDLLEKMLIFDPHRRITVDEALCHPYLAPLHDINEEPVCPRPFSFDFEQPSFTEENIKELIYRESVKFNPDPIH >EOX91142 pep chromosome:Theobroma_cacao_20110822:1:1729085:1730997:1 gene:TCM_000423 transcript:EOX91142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate family protein 7, putative MAKRFKLKISRVFLSLQSCRSKDPADLPSKPVPSFFRLSSVNPDPITLQLPPPPPAPPPPPAPPTSKPHYSSLKRHVSSAFSSIGCGLGSRSSTQYFSETDRSESPPPPTPEFHWEKEDRWHVIAKVYDDDETPRRKIYNTSENEDDDFFPPPPPPNTEKKKRRYKKKKKTTPKMRISTSSADSGLFSSESFDEDDIYDEETETLVSSSRSFSTDSSSEFNANLETIRETMPARHKKKRKTKKLKKAKRYVPKERSMRFLSSESESPARLSSFFQRMIPCTVDGKVRESFAVVKKSEDPYEDFKRSMMEMILEKQMFEEKDLEQLLHCFLSLNSRHHHRVIVQAFSEIWEALFARRSSSFRVSCVLN >EOX96038 pep chromosome:Theobroma_cacao_20110822:1:36408368:36410390:-1 gene:TCM_046699 transcript:EOX96038 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MAESFQRYAVVTGANKGIGLEICRQLASKGVMVVLAARDEKRGLEALEKLKDSGLSDHLVFHQLDVADPASITYLADFLKNRFGKLDILVNNAGILGSIVNYDALRASSISATEKDLTTVWSKVLTQTYEGGEECLHTNYYGAKRTAEALVPLLQLSDSPRIVNVSSLWGKLKNIPSEQLKGVFRDVDTEEKLDELLSEFLKDFKEGSLESKGWPTCISAYTVSKVAMNAYTRILARRYPKFSINCVCPGFVKTDMSFNTGKLTVEEGAATPVKLALWPNGGPSGLFFMEGEPASDE >EOX91007 pep chromosome:Theobroma_cacao_20110822:1:1311167:1312425:-1 gene:TCM_000319 transcript:EOX91007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVVTDAMLQTQSKVAWWRRPILIEPHVFCCRSSPEDEQYLSLPSCQWLSFSPIINGQFGLRPFRTRPAMAAEDIEGGLPKSFSGQSPKQALCHSL >EOX94501 pep chromosome:Theobroma_cacao_20110822:1:29210640:29211792:1 gene:TCM_004103 transcript:EOX94501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral organ boundaries domain family protein MTGSGSPCGACKFLRRKCVRGCVFAPYFCHEQGATHFAAIHKVFGASNVSKLLAHLPVSDRCEAAVTISYEAQARLQDPIYGCVSHIFALQQQRSDINLPLLSWFQILQVVNLQAQLASLKEQAAQSILNGSVSENPNGKYYGKIPSHLQDVQSWFHPDNPSMAPQFNPNLSNHPNANSYCETGFLDPTSLGNYENSVISSGEDASFTTTTFGETPHSMSSFDMQTNNRQWTFQDVDDLQSMAFGYAQQS >EOX94557 pep chromosome:Theobroma_cacao_20110822:1:30161216:30164416:-1 gene:TCM_004191 transcript:EOX94557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle, SRP9/SRP14 subunit MVYITSWDEFVERTVQIFRADPESTRYCMKYRHCDGKLVLKVTDNKECLKFKTDQAQEAKKMEKLNNIFFTLMARGPDVDLSEITGKEQMEAQPAKKGRGRKQ >EOX93490 pep chromosome:Theobroma_cacao_20110822:1:13341101:13346212:1 gene:TCM_002355 transcript:EOX93490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKIEKKQEEIMGHLSKILELMSTDKGREWRGVLLVANHLVAPLYIEPLKLPFLRWYDASAHYDYHYGIEGHSIENCTTFKHKVQRLIKAGILHFEEKSEQNVNNNPLPNHAEAGINVIEGEVFVKRSIRDVETPMEKVFEALVKTDMLEVWPECPDMNDLGNLHGPYYLYHKRCVGHLIQDCSPFRKEVQRMMDESMIELYMETSKSTVNMIAKDSA >EOX91401 pep chromosome:Theobroma_cacao_20110822:1:2581587:2585509:-1 gene:TCM_000607 transcript:EOX91401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MVLNLPRRSFPSFSDLLKRFKPLKSLLIVHGFSKSNLLLGQFLKSCFNLGAPNLALSAFYKIQNPNLLFQNLMLKGLSNHGLYEDLLSVYLKCRVLNCPSDDFTFPFVIKACSALGAFGIGKQIHCIVLRKGYERNVVIMTSLIGFYAKNAAPSIARKLIDGISEPDLVAWNALLSGYCFNGLDKEALGVFMEIQGMYIKPNVSTLASIIPVCTRLEYSALGRSLHGFAVKCGYFLDDFLVPAFISMYKSEVDLSSARKLFDFAVDRNVCVWNAMIHGYMRNERFFEGFEMFREMLRADVRPNSVTFVCTIPSCENNFNIWYGGSLHGCGIKHGLGNQVSVLTALVSMYAKLGEINLAEFLFNQMPNKKLLSWNVMISGYVNNGLWIESLVAFQEMHLEGFSPDAVSIVSILSACSNLGGILLGKSAHAFVVRRSFETNINVSNALLGFYSDCCLLSTCFKLFQKMANKNTVSWNTLISGYVHSGQKEKANMILHQMQKEGEKLDSVSLLSILSSYTESENFRQGTMLHGYAIKTGCDSDVSLTNALISMCCNCGEIDAGTSLFDVMPERSVVSWNSLMTAFRHYNLSNNVLNLFGQMIKEDQRPNQVSLLNLCPVCHTLSQGQSIHAFALRTGIIEETTLLTSLIFMYARFGKINLCFLLFQMGRRRHISLWNAIMSVHVDTKNAQRAVAFFRELLQICLEPDNITILSLISACILVNSLHLADSVMAYVIHKGFDKDVVVTNALIDLYARCGNIVDARLLFDYLLEKDAISWSVMINGYKLHGDARGAIEIFSRMQLSGVSPDDITYLSLLSACSHAGFVEKGQRVFNYMVENGVSPRTEHYACMVDLLGRTGHLHEAYDIVSRLPYKPSVGMLESLLGSCKIYGDVELGERIFQMLSEMYPQNSESYVMLHNIYAAAGKWGDANRVRSNIERRLLRKHPGFSLLVGD >EOX96360 pep chromosome:Theobroma_cacao_20110822:1:37494163:37495237:1 gene:TCM_005618 transcript:EOX96360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late cornified envelope protein 1E MVDLQTVCCMCGDVGFPDKLFRCNKCRHRFQHSYCSNYYSELAEPIELCDWCQSEERSSRHGSSSKKSSTGNETGITNRSEYSGTDKIKQQDRDESAEKGKSSGTPSPRPTTRRYKLLKDVMC >EOX96587 pep chromosome:Theobroma_cacao_20110822:1:38335930:38337560:1 gene:TCM_005811 transcript:EOX96587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA sterol acyl transferase 1, putative MEGEISSFIKVWLSVLTSLCFCYAIGKMVPKGTKRLIFLLPVVSLFLFLPLKLSSPHLGGVTAFFVAWLGTFKLFLFAFDKGPLTAHTSLPLFVAVACLPIKIQHNQPPKSQLNGQIKENPSSKSHQNGHEKESSSPRKSKEGPVNYAIKGILLAMIVRVYDYSEYMHPKIIMLLYSMHIYFLLEIILAIGAAMVRSFSGLELEPQFNEPYLSTSLQDFWGKRWNLMVSSILRPTVYEPTLRFSSTLIGRKWAPIPSVFSTFAVSAIMHELMFYYLGRMTPSGEVTWFFLIHGFCLTAEIAFKKALNGKCQLPWLVTGPLTVVFVLGTGLWLFIPQFTRCKVDVRAFEEYAEVGALLKNASEKVLRLLSAN >EOX91864 pep chromosome:Theobroma_cacao_20110822:1:4202082:4203763:-1 gene:TCM_000925 transcript:EOX91864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brick 1 MSQNKKKKGKKKEMARAGGITNAVNVGIAVQADWGNREFISHISLNVRRLFEFLLQFEATTKSKLASLNEKLDTLERRLELLEVQVGTASANPSLFSCGRD >EOX93302 pep chromosome:Theobroma_cacao_20110822:1:11969520:11970850:1 gene:TCM_002145 transcript:EOX93302 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein MASMISTPTLGYFSFKRSSGSNNSVGASSCCGVKAMRVEKPLEELYNVRVERKVSPERLTQLGVSRWSVWKTGKCKLPWDWQVDQLVYIEEGEVRVVPEGSERFMRFVAGDLVRYPKWFEADLFFNGPYQECYSFRAYGDD >EOX93478 pep chromosome:Theobroma_cacao_20110822:1:13265415:13273407:-1 gene:TCM_002339 transcript:EOX93478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNMLPRRLLLHPALEILLMSKLRIKIIQHGDKRCLKDVLHIPVSEVTSKSALSVAGEVLSQYHSSLARTKYHGGNWLLKFEREEKLTSYGSYESDKTCER >EOX92088 pep chromosome:Theobroma_cacao_20110822:1:5203846:5204825:-1 gene:TCM_001096 transcript:EOX92088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKPREKTQPLDMKDLPSAMQEGALTTPTIANLRQHSPSCNLEEPERRTTNKTASSSQHLLKKITEKRTP >EOX95232 pep chromosome:Theobroma_cacao_20110822:1:33444962:33455829:1 gene:TCM_004783 transcript:EOX95232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription coactivators, putative MLESDTPSKTFLGVRFCLFGFDPVNEHKVRVKLINGGGVGVGQYNQNCTHVIVDKIVYDDPVCVAARNDGKIVVTGLWVDHSFDIGMPVDATSIMYKPLQDFNGIPGAKSLIICLTGYQRQDRDDIMTMVSLMGAQFSKPLVANKVTHLICYKFEGAVFYFPINFLINLANYAGEKYELAKKIKKIKLINHRWLEDCLREWKLLSEANYSKSGFDLEMIEAEAKDSEDEAEETVSKQSGQKSLNRSPNNLKAGMLSSNELPNSAVEVPTLAMPRHSPNTKEILLTPGKSHQGTNFNNINVPELRAFKDADVLGDASFIKLAEPHNRSPNSTKVDNSLTSTSKSPSLSDEKFTAISYTRKTPRKSPAKSTLPNLSGEILGNSGDFPQGIKFKDASDNSSSKMQQPKERISSFFVESPLKRDLCHGEDSAGILPQKRASELSTSSSKSQKMSHNAKAGIKGSAVGIEQLEPTSLVVDQLHIKDCSVEGTGYLNIVPNSCASNATAKSLTNDLSSFITVTAEDRQINTDEKSPKMSFRGYRESTLAGKHDMQNENADEKSPQMSFQGLRESISASGPNIGDSGLGRCVQVVREPGEPLNKKQDVKIPSLDDRKLEMENSHSPATLDLLEGGSDKLVTKPLNKKMLAKKTLGSRPKLSNISNRKGSIYSSKIASENDSTICLSGANEKAIHNSASELEASPLTINMEAAKDVVKKVVADAAGSKAQFVNDETEAPDEEDENDFEKTHEKEKSELVESACKADTIIEVEHVRQDSKVALHESLTTLENGTNGTDPKRAVGSKNSELGESTLKCDGLKRKASKRKKQLSGKAKMKTVPSESKNDLIGEDTSVGKNVEEKDDEKENFLPHPVGKINSSPVDPKEIAGKSVVEPNKKAVKTNDKFRKVNSNTQTVQKVFNRFETEPAWFILSGHRLQRKEFQQVIRRLKGKFCRDSHQWSYQATHFIAPDIRRTEKLFAAAASGRWILRTDYLSACNQAGKFLPEEPYEWHKNGLSEDGAINLAAPRKWRHLRERTGHGAFYGMRIIVYGECIAPPLDTLKRVVKAGDGTILATSPPYTRFLKSGVDFAVVSPGMPRVDLWVQEFLKHETPCVVADYLVEYVCKPGYSLERHVLFNTQEWAEKSLTNLTSRAEEIVEDLTTPQPPDDCGSNDVTCQVCGSPERGEVMLICGDESGSVGCGVGIHIDCCDPPLEDVPDDDWFCPKCSRISRNRATPPRKRKKGTSQSKGK >EOX95925 pep chromosome:Theobroma_cacao_20110822:1:36046930:36048881:1 gene:TCM_005307 transcript:EOX95925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNFITCQPFCGSASPVYQKTEKKPTELACCFQNLSRPRHPSLRFQNESTVIAKINSQAKVHDTYKAEIKEELCCDECNGKFDEEGIPIHNDPIGVDEVPVEGDAASSGAKDVSTKGFDVQIDCNDFLPSDLQRKKAKKPRDCCSFSWQWPKPGLFVQNSENPFGARFLELEENGGYRGSVCCKPDYHGCVKFMFALALVNVAAVMELAGLPNKGGLKLV >EOX91871 pep chromosome:Theobroma_cacao_20110822:1:4225830:4235999:-1 gene:TCM_000931 transcript:EOX91871 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 21, putative MGRGKIVIRRIDNSTSRQVTFSKRRKGLIKKAKELAILCDAEVGLVIFSSTGKLYEFASTSMKSVIERYNSLKEEHQQLLNPSLEVKVKFSPLFFCINENWYAKFWQREAAILRQQLQNLQENHRQLMGEQLHGLGVEDLQNLENQLEMSLRGVRMKKERILTDEIQELSQRGNIIHQENVELYKKVNLMRKENMELYKKVYGTRNVNTSDGNALTSYCFDSGEGSHVPVHLQLSQPEPQNYDTPNRSKD >EOX93596 pep chromosome:Theobroma_cacao_20110822:1:14139116:14140121:1 gene:TCM_002477 transcript:EOX93596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative MKQKMVVKVTLNGHKSRSKALKIAVGLAGVESASLKGDDKSQIEITGEGVDPVQLTSLLRKSVGHAELVSVSAVDGAKKAENEKEDPKPLPPYVWPYNPPYYVYQVPDGYAQYNDSSCSIM >EOX94179 pep chromosome:Theobroma_cacao_20110822:1:24521192:24524335:-1 gene:TCM_003573 transcript:EOX94179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKIGFSTKMDKLDIEVYHYNKVIDFGEWIQNGEGLKTRMPVNSFSVQYGGGGFECSCTIKLQLRIS >EOX95158 pep chromosome:Theobroma_cacao_20110822:1:33092292:33097060:-1 gene:TCM_004711 transcript:EOX95158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein MALTRLSLAFSLLLLSLLVIASAGDYSNDDSSKYGFDGIPADSPQAKPEEEEKPTKPDYYKPKPVDKEVPDYGSKPEVVKPKPEGKEKPNYGTKQDIYKPKPEEKEKPEYGRKPYVAKPKPEGEEKPYYGTKPDNYKAKPEEKSGYGGEKKPDYGKKGYLYKPKTEEKEKPEYGRKSYVVKPKPEGEEKPYYDTKPEFNEKKSEEKENLLIVGVQGLVLCKSGSKYYPIQGALAKITCKAVDKGGLEKILSICSGATDAKGYFFATLSHSDLVDKLEVKDCKAYLESSPLKTCNISTNDNKGIDGAPLSNFRVLNKKMNLYSVGPFFYTSEAKSATNGGANNSCNMVSFSNSDFAGDLGSRRSRMRYVLPLSRFAINWKVIVALFTTQAEYIVVTEAMKEALWFQGLASDLGFGQAHMLVFCDSQSAIHLTKNQMFYEKIKHI >EOX93776 pep chromosome:Theobroma_cacao_20110822:1:15712167:15713111:-1 gene:TCM_002697 transcript:EOX93776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCKCRSHGTFPLFGLQSSHLNICYYHQDLHRQSLRPGSRLEFRYDRSALLLIGAWPLPRRPGIGLALQRHPFSGLVDSASELLHTP >EOX96101 pep chromosome:Theobroma_cacao_20110822:1:36606944:36608064:1 gene:TCM_005433 transcript:EOX96101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLAGARQIMPKLDDSLGDEAQFNMDRPRPNPVALGSKAIAIRSSGQHRMLSNEGVHGSEELRYRTCKWLKSDGNMSELPGTEVPDIIFQKF >EOX92845 pep chromosome:Theobroma_cacao_20110822:1:8875682:8876598:-1 gene:TCM_001705 transcript:EOX92845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative MPKHRNYSPLRPCVNHFSHCHPLRPIDQIKAEEELICSGCGLEVIGSTFMCSKSDCDFILHKSCFELNLVLQHKSHPPHSLKLLCAPPDNYSRNIFICHACHDYGTGFDYHCSTCQFDLHVGCAKLPKTINHKDHQHLLTLYYSFSCIKENIEAFVCDVCGQDVPDRLWVYHCKKCDFGIHLRCTIPDTVLKKDIQMVSTRP >EOX94127 pep chromosome:Theobroma_cacao_20110822:1:22137482:22140386:1 gene:TCM_003344 transcript:EOX94127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVAKLKHYQQLQKCLWKIMEDYDQLRTHHCIKSWEVLIWCLSGQIWPHGVLDPPSIVLATSSVTGQ >EOX93900 pep chromosome:Theobroma_cacao_20110822:1:17255991:17257694:-1 gene:TCM_002901 transcript:EOX93900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase protein 2, putative MEKRFFFALLLTAVVNASRANDVSFDQNYHITWGNSHVTSLNEGREIHISMDNVSGAGFQSNARYASGFFQMKLKIPNKDSAGVVTAFYLIDNKGEGDHDELDFEFLGSKGQPCTLQTNVFANDKGGREQRYHLWFDPTADFHTYGILWNQHQIVFYVDNTPIRVSKNISNIGVNYPSQTLVIQASIWDGEGWASNGRKLDWSQQPFTASFQGFNVDGCQSLENSNKEQCYDSSLWWNGNTYWGLDPAKQKALEDARAEYMFEDYCSSKEGKYGHKECQINTFFY >EOX91950 pep chromosome:Theobroma_cacao_20110822:1:4587427:4589214:1 gene:TCM_000990 transcript:EOX91950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSQIVVCHAQQLWDFSGTPITNQPPLGHDQIRLDLWSSFSSLGRTQRCSPSHGGTIKCSFGSSTNGTPIVDQPPFNHDQIQPNLWSHFSTLKSTWGCSLSLRSTIEYSFGSLIESPIAEWSIDIRWST >EOX91947 pep chromosome:Theobroma_cacao_20110822:1:4571430:4578883:1 gene:TCM_000988 transcript:EOX91947 gene_biotype:protein_coding transcript_biotype:protein_coding description:MSCS-like 2 MGRSKSHLLSATLSSRSLVLQQDSWRIRLSDSLYRQIHSVPYRNNAFRCHAFRAPGQIFELPGVKAVSVAVTRSYNILQGSPLVFKLVPAFSIIIFALWGVAPLIRQSRSLPFHKSDNSWKKSRTHYITTSYIQPLLLWTGAILICSMIQQLQKFFMETNETIDDTRNMGFQFAGKAIYSAVWIAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGHIHKFLSCAMIHATRPFVVNEWVETKIEGYGVSGTVEHVGWWSPTIVRGEDREAVHIPNHKCTVNVVRNLSQKTHWRIKTHLAISHLDINKINNIVADMRKVLAKNPQVEQQRLHRRVFLENVNPENQALLILVSCFVRTSHLEEYLCVKEAILLDLLRVISHQRARLATSIRTLQKIYSDADLENIPFADSVYSRGGVPSNRPLLLIEPSYKINGENRTKGRSSRPAGEQDSKTTARPSADTKADKAGATPKPVSKAKGAPSIEPKADAKIGETPNSDTKEDLKAAFASTSDLKTDDKVGMKNLSRKQVLMPLKHIVLTKKFSIQSLIICPKTKRSLTSNRKLQGRVAS >EOX91023 pep chromosome:Theobroma_cacao_20110822:1:1376141:1378117:1 gene:TCM_000336 transcript:EOX91023 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MDVEKVFHMTGGVGKTSYAQNSSLQKKASDMVKHITMETIEELYYSIAPKSLGIADLGCSSGPNSLSLIKDIVEVVEETSHKLFHPLPEFRVYLNDLPTNDFNSVFKSLPDFYRDLKKDRNEGGPAIFIAGYPGSFYGRLFPNNCLHFIYSSYSLHWLSKVPPALYDEHGKSTNKGNVYISESSPPSVSQAYWNQFQEDFSLFLKSRSVEVVTGGRMVLILLGRIGQDHVDRGNSFFWEILSRSLAISVSQGQIDREKLDSYEVHFYAPSTNEIEDEVGREGSFEVDRLEMFEIDREAKDGESYGTAVAMTVRAIQESMICNHFGDGIDLDTLFNNYGKMVDEEMAKQN >EOX95221 pep chromosome:Theobroma_cacao_20110822:1:33369056:33372588:-1 gene:TCM_004771 transcript:EOX95221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylformylglycinamidine cyclo-ligase MTNTLAAANVELSRCVAASSRPSFDKPTTATQPAFGITPYRCFSQRYAPLSLSPQGRNSVSHSRINSMSKNDSDEAGGLTYKDAGVDIDAGSELVKRIAKMAPGIGGFGGLYPLGDSYLVAGTDGVGTKLKLAFETGIHETIGIDLVAMSVNDIVTSGAKPLFFLDYFATSLLDVGLAEKVIKGIVDGCQQSDCTLLGGETAEMPDFYAKGEYDLSGFAVGIVKKDSVIDGKNIVAGDVLIGLPSSGVHSNGFSLVRRCGVSDMVESVCFFDQVLARSGLSLKNQLPGAAVTLGEALMAPTVIYVKQVLDLISKGGVKGIAHITGGGFTDNIPRVFPKGLGAVIYKDSWEVPAVFKWIQQAGKIEDAEMRRTFNMGIGMVLIVSQEASHRILEDGNSAYKAYRIGEVVTGEGVSYH >EOX91756 pep chromosome:Theobroma_cacao_20110822:1:3727808:3730437:1 gene:TCM_000839 transcript:EOX91756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein MATLPSQNLQSVGALCFSSLNSPKFPSQIPSLKFPFLSPIKIKPTKLSTSNNGISNSRLVPRASASEFSTDIGDILGDVSIFTASGQPVFFKDLWDQNQGIAVVALLRHFGCPCCWELALELKEAKARLDSAGVKLIAIGVGTPNKARMLAERLPFPMDCLYADPDRKAYDVLGLYYGFGRTFFNPASTKVFSRLEALQKAVKNYTIEATPDDRSSVLQQGGMFVFKGKQLLYARKDEGTGDHAALDDVFEICCKVPAA >EOX95015 pep chromosome:Theobroma_cacao_20110822:1:32465592:32467345:1 gene:TCM_004599 transcript:EOX95015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative MWHPHQMLSILLFSYFFYSQPFSFPSRLSKPPFFSLSLSLSLSQMATKLADQDAAGALRYQTWVLKVLIHCEGCKKKVKKVLQGIDGVYETTIDSQQHKVTVTGSVDAETLIKRLTKSGKHVELWPEKPEKKEKKPGKPKNNEKQEDGGEAGGDQDPKNNSEEKPNLAAAKNGGAGGGKGPARDDQPPAGDQMGSESEEPATAESGGGNGGKKKKKKGPKGNPGPTADALGDNLSAALALPEQAPPMASMHLSPPNQPMYPYPPMCYGPPLYGVSYNTTYPSSSSSYYAPAMHANAYGPPPPPSDPIEKFNEDDDYDDDESGCSIM >EOX96034 pep chromosome:Theobroma_cacao_20110822:1:36390573:36396427:-1 gene:TCM_005384 transcript:EOX96034 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MAEVTKRYAVVTGANKGIGLEICKQLASKGITVLLTARDEKRGLEAVGKLKQCGLSDNVVFHQLDVADPASIAPLVDFIKTQFGKLDILVNNAGISGVEADADAIRAAGFGKPGIQLNWSEVMTQTSQLSEECVETNYYGAKRMCESLIPLLQKSDSPRIVNVSSFMGRLEKVSNEWAKAVFSDAENLTEEKVDEVLSQYLKDFKEGSLEAKGWPTFMSAYILSKAAMNAYTRILAKKYPGFCINCVCPGFVKTDINYNCGILTVEEGAGNAVRLALLPNGGPSGRFFHRMQELGFFRVSPHQSSMAEVTKRYAVVTGANKGIGFGISKQLASKGITVLLTARDEKRGLEAVEKLKQYVLSDNVDFHQLDVVDPASIASLVDFIKTQFGKLDILVNNAGIYINGVQADANALRAAGLSKPGAQLNRHELMTQTAELCLQTNYYGAKRMCESLIPLLQLSDSPRIVNVSSNMGKLKNIPNKWAKAILSDAENLTEEKVDEVLSEYLKDLKEGSLQAKGWPAFMSAYVLSKAAMNAHTRILAKKNPGFYINCVCPGFVKTDMNNHSGFLTVEEGAASPVRLALLPNGGPSGCFFIRMQESDF >EOX96246 pep chromosome:Theobroma_cacao_20110822:1:37099275:37101020:1 gene:TCM_005532 transcript:EOX96246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFWVLFVTEAIYVKSLHEEHSVSRNEVYCFSNLLRYDLVCFSDPVSWNSKIHSKMSNHIGTLSAVMIKSYPPPRPFLDQKLSFLTELCLPNHTLINLEIYVKVLKLYQLSRVAEDLFFFLNKSSKVFELLFIGIEDGRSKISVNKKLNLNGRLVILIPISFNYRCLHMQVEDLMPS >EOX93370 pep chromosome:Theobroma_cacao_20110822:1:12463153:12467513:-1 gene:TCM_002217 transcript:EOX93370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-butyric acid response 5 MRKRERENPCGVCGHYHKYEEGEVCGICGHRAPVSSDKTSLQVSAFPSLILPDFLYLGSYDNASRSELLKTQGITRVLNTVPACQNLYKNSFTYHCLQDDKILQFDDAIQFLEQCEKDKARVLVHCMSGKNRSPAIVIAYLMKSKRWRLPYSYQWVKERRSSVELTQAVYQQLQEYEQKLFGSSDSSNPHLPTFSLAGAPSLNFGFLKPNDPVSVPAFNNLGATSIFARPPLEIPPHEFTFGAGQTQKSISESLANPSGGDISMDST >EOX95308 pep chromosome:Theobroma_cacao_20110822:1:33739325:33740059:1 gene:TCM_004845 transcript:EOX95308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGVWVGRGAKKSIYIDMHYGSLILLFLIMGLDFTSLSTVSLWLYVCWPCEFVTVKNIVARLIIGKNMVMAKG >EOX96541 pep chromosome:Theobroma_cacao_20110822:1:38193556:38197066:-1 gene:TCM_005776 transcript:EOX96541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase family protein, putative MDYSKNSSCLGTSAIVFLLLTGALYIFVWSPSRSNPFFPYQGSNCPHSNSSAVIDELESALEEASMPDKTVIIAVVNQAYAEQSVDAETTTMLDLFLESFWLGEDTRPLLDNLLLVAIDQTAYDRCKFKRLHCYRLVMDGDGLGGEKVYMTQEFLKMTWRRTFLLLDVLKRGYNFIFTDTDVMWLRNPFTKLSPDETLDLQFSVDSSNGDTRPGHNFINTGFYYIRSNNKTISLFETWYSQKDNSTGKKEQDVLQELMHGGLFGKMDLRVRLLETKHFSGFCQDSRDVSEVITVHANCCRHIKAKSGDLTAVLRDWKQFKAAVAQHPEAAGNITRGFRWSNHTGCWNSWKD >EOX96751 pep chromosome:Theobroma_cacao_20110822:1:38864206:38868938:-1 gene:TCM_005933 transcript:EOX96751 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein MKLVVEVVDAHNLMPKDGEGSASSFVEVDFQNQQSKTKTIPKNLNPVWNQKLFFDFDETNDSNHQSLEVSVYNERRLVPGRNFLGRVTIPCSSIVRKGEEVYQQFQLEKKWFLSSVKGEIGLKVYISSESETKSPPPSPLQTPLFNQPLPSSPPTSAPVSDNTNCKTLVAHQKAVVAVGTAKASSSIAPIQKSSSPIAATSSRGSDPSKALKEEIKKPSEGTVETTPYVHKHQVLQQTSLPVEKRAHSVQFTMQSVNAQAQPGYQEDYNLKDTNPQLGERWPNGGAYGGRGWISGERFTSTYDLVEQMFYLYVRVVKAKDLPPSSVTGSCDPYVEVKLGNYKGRTKHFERKMNPEWNQVFAFSKDRVQSSVLEVFVKDKEMVGRDDYLGRVVFDLNEIPTRVPPDSPLAPQWYRLEDRRREGKVRGDVMLAVWMGTQADEALPDAWHSDAASVYGEGISNIRSKVYVSPKLWYLRVNVIEAQDVLPNDRSRLPEVFVKAQIGNQVLRTKICPTRTANPLWNEDLVFVTAEPFEEQLFITVEDRVHPSKEDVLGKINLPLSAFEKRLDHRPVQSRWFNLEKYGFGALEADRRKELKFSSRIHLRVCLEGGYHVLDESTMYISDQRPTARQLWKEPVGILEVGILGAQGLLPMKMKDGLGSTDAYCAAKYGQKWVRTRTILDTFNPKWNEQYTWEVYDPCTVITLGVFDNSHLGGGEKPTGSNAARDSRIGKVRIRLSTLEAHRIYTHSYPLLVLHPHGVKKMGELQLAIRFTTLSLANMIYIYGHPLLPKMHYLHPFTVNQVDNLRYQAMNIVAMRLGRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFLRIMSLLSGMISVGRWFGDVCDWKNPITSVLVHILFLILIWYPELILPTLFLYMFLIGIWNYRFRPRYPPHMDTKLSWAEAVHPDELDEEFDTFPTSKSHDIVRMRYDRLRSVAGRIQTVVGDIATQGERFQSLLGWRDPRATSLFIVFCLCAAVVLYATPFRVVALLAGLYYLRHPRFRSKLPSVPSNFFKRLPARTDSLL >EOX92741 pep chromosome:Theobroma_cacao_20110822:1:8348538:8351069:1 gene:TCM_001627 transcript:EOX92741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAHSPERKFRCKGVLRFAFAFVCVCLVGYVVGPTLFIGLKNKSTAWASCPPCFCDCSSKTDSVSPPDCGKHSPDVKALKKDIVDLLSEEIALQKIVSNETLERTSAQRKYMKRASSYYRKMAEKCYVGVETCEEGRQRAEAELEEELKLTALWKKRARELGWKDSKRLHNTS >EOX94601 pep chromosome:Theobroma_cacao_20110822:1:30407738:30411928:1 gene:TCM_004229 transcript:EOX94601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprotein, putative MPPSSNQKQLKSLLGKAFNLVGPINLPSRGHTWILAAMECFTKQVEAVPLKKATGSIVANFIKENIICRFGIPKRILSDNDTPFVNSSVRKILALYAVDHVKSTPFYIKENGQAKVTNKTLLKMLSKMVHDDPKMWHDVILVAL >EOX95949 pep chromosome:Theobroma_cacao_20110822:1:36118765:36124576:-1 gene:TCM_046696 transcript:EOX95949 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 33, putative MDQMTGAAETIYVAVGKAVEESKHTLLWALQRLRPTKVCILHVHQPGNMITNNGVSSLTSRLPQHEVGKKMLDRVMNDYLLICGQKKVQAEKRHIELDDVAKGIVELIREHNIKQLVMGAAADKHFSVGMTDLKSEKAQYVDRHAPPSCQIWFICGGQFVHGRSVVETGQSNLSSPSSSSSHLPCSSKVATNSEPIVSETSEESPDWLEFHDFSNDPPFDQLDQALLDAENSNRETFEELDRRVKAEKNALNAVMRQASELKRSYTGELRRRRETEAALIKQKEELEQIKQQRDQARKIARAQKLLSESRGSNSGDIKVLEAKVSSATEQLQICQRERDELQTKLENARKQTEEHSTKQEETSSVHMQQFFSEFTVTEIHDATEDFDPSYKIAEGAYGRIYKCILRHTEVAIKVLHQNCLQGPSEFQQEVDILSKLRHPNLVTLIGVCPEIWALIYEYLPNGSLEDRLSQMNNTPPLSWQTRIHIATEICSALIFLHSSKPQRLVHGNLKPRNILLDTNFGCKLSDFGVCHALSSIKISSNMTVPSSRFPYLDPQFLTTRSLTPSSDIYSFGIILLQLITGISPLHIAENVQNALNGGYLNDLLDPSAGGWSYLQAEQLTRLALRCCDNNRSRRPDLASEVFRVLEKMRDSIGPLSTFHAGSEDHHKPPHYFICPITQEIMANPHVAADGYTYELQALREWLGRGHNTSPVINIELPHLNLVPNHALRSAILEWQQQQH >EOX90734 pep chromosome:Theobroma_cacao_20110822:1:488295:491327:-1 gene:TCM_000122 transcript:EOX90734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-responsive family protein, putative MMLAAQLCVRTVSAFWTFYHQSNAASSSSSSLRSSSCRSSIRLHFTTKRRRSFEPILVSCHSARGSFGPQSSEGDDQDHQFLEASLLISETILHYRMLRQGFQEDIKWKSSRRQLPTSKAMITSIGQAFLSRYPSPTIFLKISCDGDFLLPIVVGEFAIEKLIAAFWGDDDGDCPDQFQLVKNVVENLGYEVKMVRITERVVNTYFAKLYFGKPGENGVISVDARPSDAINVANRCKAPIYVNKQIVLADAIRMGYGMGRVRDTKATYDVLLDSAADGPDLLTEELDLVRNMDLAVKEERYHDAAMLRDKLMKLRNSSHSQ >EOX95363 pep chromosome:Theobroma_cacao_20110822:1:33901580:33904764:-1 gene:TCM_004876 transcript:EOX95363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase MTFVRLLMASEYYFQLVLFLIVFCNFYLKTTSSNPGSETDHDKWLSWHVRNHKSKQIFWQAESLIQSPGAKGRVLDDELRMAEMNKVRINVGQDGSGDFRTINEALSSIPSRNSRRVILVIKPGIYREKVVIPRTLPFITFLGDASNPPTITGNDTASGPGKDGMPLKTFQSATVAVDANYFVAINMKFENTVPHQIGSRGGQAVALRISGTKAAFYNCSFYGDQDTLYDHKGLHYLNNCFIQGSVDFIFGYGRSLYENCTVNSISKKVASLTAQKRSNASLASGFSFKDCVVTGSSPGMVYLGRAWGDYSRVVFSYTFMDRVVLPQGWSDWGDRKRDASVYYGEYKCSGPGANFTGRVPWARILTEEEAEPFIGTYYVEGDTWLISP >EOX95389 pep chromosome:Theobroma_cacao_20110822:1:34031294:34032617:1 gene:TCM_004902 transcript:EOX95389 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MRFSRLEGKVALITGAASGIGEETVRLFAEHGAFVVIADVQDDLGNQVAASLGLDKVSYHHCDVRDEKQVEETVSYTVEKYGKLDILFSNAGILGPLTGILELDMDGFDNTIATNVRGVAATIKHAARTMVARNIRGSIICTASVSSSLGGTGPHGYTTSKHAIVGLVRATCSELGAYGIRVNCVSPYGVATPLACNSYNWKPSEVEANSCSVSNLKGIVLKARHIAEAVLFLASDESTYISGQNLAVDGGFTVVNHSFSTLTN >EOX90844 pep chromosome:Theobroma_cacao_20110822:1:760846:764203:-1 gene:TCM_000199 transcript:EOX90844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-NADP(+)-oxidoreductase 2 MAAVNAAVSFPSTKSTSLPARISIISPERLTFKKVPVYYRDVSSGGRVVSVRAQVTTEAPAKKVVKESKKNEEGVVVNKFKPKNPYTGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGIDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNENGELVKGVCSNFLCDMKPGSEVKITGPVGKEMLMPKDPNATIIMVCIPKALATGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTGSSLLYKEEFEKMKEKYPDNFRLDFAVSREQTNEKGEKMYIQTRMDQYSKELWELLKKDNTYVYMCGLKGMEKGIDDIMVSLAAEDGIDWTEYKRQLKKSEQWNVEVY >EOX92223 pep chromosome:Theobroma_cacao_20110822:1:5796876:5797552:-1 gene:TCM_001203 transcript:EOX92223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSIGASCAEVYLMRKRQKEKMKRMEEERVKRGETTTGIEERKAGTIVGRSKVHPGNFTSPADSAAGNESLGTGNAA >EOX95070 pep chromosome:Theobroma_cacao_20110822:1:32797599:32799423:-1 gene:TCM_004649 transcript:EOX95070 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MTETPFSKLPDDVVLNIFSKLEDDPRSWACLASVCAKFSSLIRNTCWKQKCSKTIPAVCADLLGSSSAPAGGWASLHKLSVCCPGLTHAGVLLENSDFGLERELGPDENYDKPANSQALTPSTDPCSSRTEGNPNPNPEVDVPESDCPWSLFDDLYYDTVYNASDSTDGYPEETIDNGAIKIGREFSSCKRRKFSRSLRSHLASGVWNLSREQGNKLLASRFRGDCLYICDWPGCVHAEEKRNYMLFRGIFKNFKKSRVWRTINDGNRGKINLNCAFCSCKETWDLHSAFCLRRVFGYHDDGEPVVRAFVCENGHVSGAWTDLPLYT >EOX91694 pep chromosome:Theobroma_cacao_20110822:1:3547329:3548546:-1 gene:TCM_000800 transcript:EOX91694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLADKQQSEHIFPTFRSRCAPTVVDFVHKGSFGNSIPEKMEKFYFSSSNVPSIQPHCQKQASQLASLGFNYSI >EOX94758 pep chromosome:Theobroma_cacao_20110822:1:31232844:31237468:-1 gene:TCM_004368 transcript:EOX94758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKSHLVCKSSTYTCLPNNCQQKNMKSKIQPLQTSTMLIQQKCRELSLESKVQLLTLIVQTAGFTIRAMNVGWLFGFVQANIGAQNMKKKHLCQQK >EOX93521 pep chromosome:Theobroma_cacao_20110822:1:13691410:13693280:1 gene:TCM_002405 transcript:EOX93521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEWMTSSFRGRMLRIRKGRPTLFSFVTGRNTKWRMPSSGVITNGLMVDELWNVSQGTSVVDTYFIELKSIWEELRNYRPLPHCECGSCNPSYFKKYTDHFQNDMVFRFLNGWNESFSTVRSQIILMDPILSLDKVYSLVLREETQRNLLVQSQPMLESFAMLAATDNKKKLRKDITCNHCGKKGHIKDKCYKIIGFPNDFKFTKGGRSNPRKGKNFVNDVSTVSVASAENDYQVEPEEELTSTGFMC >EOX93403 pep chromosome:Theobroma_cacao_20110822:1:12717374:12721810:-1 gene:TCM_002263 transcript:EOX93403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1664) [Source:Projected from Arabidopsis thaliana (AT1G04960) TAIR;Acc:AT1G04960] MALQAGVQTSKVLILMGAGLTGSIILRSGRLSELISQLQELLKGVDEVEFSPYKYDHTLLAAQIKQLAQELKDLTASNPITILNGNSDSGGGIASYLVPAAALGALGYCYMWWKGLSFSDVMFVTKQNMADAVTAVSKQLDNVSQTLNSTKRHLTKRLESLDWKLEEQKETSQLITNNVDEMKSNLSQIGSNVEMIHQMVAGLEGKIELLESKQDVANSGLWYLCQFAEGVKDGANAKLFQDVGAKLAVDSAVKFEEKSVKGLQFLAETNESAVSEKPTVNSEQNDLESPVKKVPTMKTKIHRSYPVGISWARDIYHRD >EOX93940 pep chromosome:Theobroma_cacao_20110822:1:17612925:17614419:1 gene:TCM_002948 transcript:EOX93940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLCFARGMNSRRQFDLRLNGSRYPVTICVCMQRWCTGRDLILYSLLQHARDLCSVSYFSAEHDLFLYLLATLHDLTMSKGRPLVDLMCIRCEIAWERLR >EOX93777 pep chromosome:Theobroma_cacao_20110822:1:15718531:15720631:-1 gene:TCM_002698 transcript:EOX93777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVILVVVDLFSKYAMFIPMQKFSFAEETTRTVFKQLVKYWGVLKSIIRSKEKEADLHTFKQKIEEFQVNQSTRTLTD >EOX94331 pep chromosome:Theobroma_cacao_20110822:1:27863296:27863905:1 gene:TCM_003918 transcript:EOX94331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of outer membrane 22-I MAAQPRRGGVSLPERRSAPKSDSNILARITSSPIVSRGKQAACDAAFVSKKLLRSTGKAAWIAGTTFLILVVPLIIEMDREQQFNELELQQASLLGAPPTGPALK >EOX93083 pep chromosome:Theobroma_cacao_20110822:1:10296488:10298365:1 gene:TCM_001928 transcript:EOX93083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II subunit P-1 MASTACFLHHRALTTATRSSSPSPSPSPSQRQVANIKPSQLVCRAQKQAVQEDDGSLVSRRMALTVLIGAAAVGSKVSPADAAYGEAANVFGKPKTNTDFLTINGDGFKLSIPSKWNPSKEVEFPGQVVRYEDNFDPNSYVAVMVTPTDKKSITDFGSPEQFLANVDYLLGKQAYAGVTDAEGGFESNAVAVANIMDSSTPVIGGTQYYIVSVLTRTADGDEGGKHQLISATVKNGKLYICKAQAGDKRWFKGARKFVESTLGSFSVA >EOX96192 pep chromosome:Theobroma_cacao_20110822:1:36935407:36938031:1 gene:TCM_005495 transcript:EOX96192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MVTSACNIPYCSYSTYPFINKTKKQIHPQSWGNRNPLLFQKKGAKFSSCKVNNQPEIASSNVEEKGKPETNEEKRRYKWVEIGPDIAEEQKQAITELPFKMTKRCKALMKQIICFCPEKGSLADLLAAWVKIMKPRRADWLVVLKELKIMEHPLYFEVAELALLEESFEANIRDFTKIIHGYGKQKRLQEAENILVAMKRRGFICDQVTLTTMVHMYSKAGNLKLAEETFEEIKLLGQQLDKRSYGSMIMAYIRSGTPEQGEALLREMDSQEIYAGSEVYKALLRAYSMLGDANGAQRVFDTIQLAGISPDARMCGLLINAYQLAGQSDKAHIAFENMRRAGLEPSDKCVALVVAAYEKQNKLNKALDFLMELERDGIVVGKEASGILAQWFKKLGVVEQVELVLREFAAKETNSKVPAS >EOX94201 pep chromosome:Theobroma_cacao_20110822:1:25467511:25473618:-1 gene:TCM_003677 transcript:EOX94201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF455 [Source:Projected from Arabidopsis thaliana (AT1G06240) TAIR;Acc:AT1G06240] MLVPKLRFSSLPHHFHNTKLLFSSPSSQFSQWSGLQSWRESPLNEDRFWGPNGPQPLLQSQYSSKDADTPNSPLEATGSSLAELGALVLSTADPLTKCKLSHLAFSRWRNENLPVGVCQPPSRPARPPKPQLVSPKEIPVPKNSRLPLNAYMLHNLAHVELNAIDLAWDTVVRFSPFCEILGEQFFADFAHVADDESRHYAWCSQRLAEIGFSYGDMPAHNLLWRECEKSSNNVAARLAAIPLVQEARGLDAGPRLVQKMVGFGDHRTSSIVARIAEEEIAHVAVGMYWFISICRKMNCAPCSTFKELLQEYNLELKGPFNYSARDEAGIPRDWYDSSSTSKQDAEENQNNSQQLSEVYDRLACLISMESEHSSLNRPSE >EOX93936 pep chromosome:Theobroma_cacao_20110822:1:17589393:17590736:1 gene:TCM_002943 transcript:EOX93936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQCIPSSLTFFFFFFFLASEDQKMGGIEGEKGVLKLVHPGRYVEIRKEPITAAEVLKKNPRHSVTRPDVFEYPWIVVRPESVLNLGRVFYIVPNRKIYKLIKAKGYSIQPSIQQKQSPKSYVHRPLLEQTSPRKSSPGTTPKHQNHCQSHWQQFQATCWEEASPQEKACGERFNSPSQFESLADMITKYQSTYREFKEMSVTDYTSDFESLDYKEYHFDEVSSSKAAALKQDDALGTECKEQVNILKPCLRKHDSVRKQLHLKVSFFLPTKCEEQKRKVTESTESPGFFTC >EOX90883 pep chromosome:Theobroma_cacao_20110822:1:913561:915725:1 gene:TCM_000227 transcript:EOX90883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase family protein MALAERNILLLNFLTLASLSTILCAGKAFSIRIPDRISGTPRDLSDQSLKTAVFALGSFWRSEAVFGCLNGVVRTTAGYAGGSKINPEYRSLGDHAESVLVEYDPSEINFRQLLEIFWSSHDPRQVFGQGPDVGNQYRSIIFTNGTEEARLAAMSKEREQTKSRNSIVTTQVQQLGSFYPAEPEHQKFELKRHPLLLQLIGNLPEDELERSNLATKLNGYAAELCPPRIQKQIDAKINEIIRKGWPVLRDV >EOX94577 pep chromosome:Theobroma_cacao_20110822:1:30306607:30311977:1 gene:TCM_004211 transcript:EOX94577 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MEIPSISRNPRPFFSSSKESLLFKRVKLSSWNQHLVSISLNSRTAPPLLGRYSSLVQKENPLKAICCQRKELPVLEASSMDEIFDTLAERLLPTSAVASNPNAKHIVGLAGPPGAGKSTLAVEVMQRINKLWPQKARSFDSQVKPPDVAIVIPMDGFHLYRSQLDAMENPEEAHARRGAPWTFDPMLLLNCLNNLRDQGSVYAPSFDHGVGDPIEDDIFVSLQHKVVIVEGNYLLLEEGVWKEISSMFDEKWFIDVDLDTAIQRVLKRHISTGKPPDVAQWRIDYNDRPNAKIIMTSKKNADLVIRSVDF >EOX95712 pep chromosome:Theobroma_cacao_20110822:1:35312741:35328393:-1 gene:TCM_005152 transcript:EOX95712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 2 MDITVYLPDETHVILKGISTDRILDVRRLLSVNTETCNITNFSLSHEIRGPQLKDTVDVSALKPCVLTLTEEDYDEESSVAHVRRILDIMACTTCFGPSATGKDQPKPVDASKNAPVPLDKSCAAAKKTTASTYKESPSKSLSKDVAVDAEGEMSHSCPKLGTFYEFFSLSHLSPPLQFIRKATKRQVEEISGDDHLFSLEVKLCNGKLVHVEACRKGFYNVGKQRILCHNLIDLLRQLSRAFDNAYTDLMKAFSERNKFGNLPYGFRANTWLIPPIAAQSPSNFPPLPMEDEMWGGNGGGLGREGKSDLIPWANEFSFLASMPHKTAQEREIRDRKAFLLHSLFVDVAILRAVKAVKNVMGKLKPSGSVKNCETLYTERVGDLSIMVMKDASNASCKVETKIDGIQATGVDQKNLVERNLLKGITADENTAAHDIATLGLLNVRYCGYIAIVKVEGRENEKSSPLAQSIEFEQPEGGANALNINSLRLLLHKTTSSELNKPASPSQVLEHEELNASQVLVERLLQESLANLEEEELAQKPFVRWELGACWIQYLQDQNSTEKDKKPSGEKPKNEMKVEGLGTPLRSLKNKKKSDDNMGSGNSTSHPDAVENVAAASKESRLETSSKDDELVLKRKLSEEAFARLKESDTGLHRKSLQELIDLSQKYYIEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILQAVIAAVVNTDKLAVSIASALNLMLGVPENGELHRSCKIHSLVLKWLQVFLMKRYEWDITNLDFNDIRKFAILRGLCHKVGIELVPRDFDMDSPSPFQPSDVVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLEHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEATRNGTKKPDASIASKGHLSVSDLLDYINPNHDLKGKDVAAGKRRSYIAKVKGKLQPANHPASSEGSPKEAAKEASDEETHLSEQEDKPDANQETSSLPVQSQAPVVEETTEARLNIDNHILSESHAEGDDGWQPVQRPRTSASLGRRLKQRRATIGKVFSYQKKNVDPDVEFPLVKATHQSSRYYLLKKRTISHGAYTDQYTMNPSQGSKVGRRIIKTVTYRVKSIPSSTKSSTEISRNGGEVFNSSGEPASTFAPNDLRPTKNSIVSLGKSPSYKEVALAPPGSISKLHFRPETDCPEKPDFNIEKHQEVMNETKDNFDQLTSGTGKIFEKKNENSTLDSTDSLKEEIAVVENKEETRSTAGMENNSSLVVSEKVEGVGLDAGGNEAPEVAQDGIFINGMPNSIDSPKSELCEKVLSRGFEPHSNPNSTLQEVEEMDKPLVVNSGNGQGLANKKLSASAAPFNPSTPISRAAPLPMNITLPPAPGPVPPVGPWPVNMPIHPAPPTVLPNPICSSPHHPYPSPTPTPNIMQSLPFMYPPYTQPQPVPTSTFPITSNPFHPSQFSWQCNVNPSIPEFIHGTVWPAHPMEFSIPSPIVEPIADQILEPKMQGDDANPSSAPMLPVDIDTVGEAKKEVNISASEAINNDNEVARVGLESVLENGHLNQSMVDNSGNDPSPNKNPEGSAERKSDGEKTFSILIRGRRNRKQTLRMPISLLSRPYGSQSFKVIYNRVVRGSEAPKSSRFYSSESCTATAT >EOX95710 pep chromosome:Theobroma_cacao_20110822:1:35311360:35328430:-1 gene:TCM_005152 transcript:EOX95710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 2 MAPRNSRGKAKGEKKKKEEKVLPVVMDITVYLPDETHVILKGISTDRILDVRRLLSVNTETCNITNFSLSHEIRGPQLKDTVDVSALKPCVLTLTEEDYDEESSVAHVRRILDIMACTTCFGPSATGKDQPKPVDASKNAPVPLDKSCAAAKKTTASTYKESPSKSLSKDVAVDAEGEMSHSCPKLGTFYEFFSLSHLSPPLQCIISLSLDFFVFLFIRKATKRQVEEISGDDHLFSLEVKLCNGKLVHVEACRKGFYNVGKQRILCHNLIDLLRQLSRAFDNAYTDLMKAFSERNKFGNLPYGFRANTWLIPPIAAQSPSNFPPLPMEDEMWGGNGGGLGREGKSDLIPWANEFSFLASMPHKTAQEREIRDRKAFLLHSLFVDVAILRAVKAVKNVMGKLKPSGSVKNCETLYTERVGDLSIMVMKDASNASCKVETKIDGIQATGVDQKNLVERNLLKGITADENTAAHDIATLGLLNVRYCGYIAIVKVEGRENEKSSPLAQSIEFEQPEGGANALNINSLRLLLHKTTSSELNKPASPSQVLEHEELNASQVLVERLLQESLANLEEEELAQKPFVRWELGACWIQYLQDQNSTEKDKKPSGEKPKNEMKVEGLGTPLRSLKNKKKSDDNMGSGNSTSHPDAVENVAAASKESRLETSSKDDELVLKRKLSEEAFARLKESDTGLHRKSLQELIDLSQKYYIEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILQAVIAAVVNTDKLAVSIASALNLMLGVPENGELHRSCKIHSLVLKWLQVFLMKRYEWDITNLDFNDIRKFAILRGLCHKVGIELVPRDFDMDSPSPFQPSDVVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLEHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEATRNGTKKPDASIASKGHLSVSDLLDYINPNHDLKGKDVAAGKRRSYIAKVKGKLQPANHPASSEGSPKEAAKEASDEETHLSEQEDKPDANQETSSLPVQSQAPVVEETTEARLNIDNHILSESHAEGDDGWQPVQRPRTSASLGRRLKQRRATIGKVFSYQKKNVDPDVEFPLVKATHQSSRYYLLKKRTISHGAYTDQYTMNPSQGSKVGRRIIKTVTYRVKSIPSSTKSSTEISRNGGEVFNSSGEPASTFAPNDLRPTKNSIVSLGKSPSYKEVALAPPGSISKLHFRPETDCPEKPDFNIEKHQEVMNETKDNFDQLTSGTGKIFEKKNENSTLDSTDSLKEEIAVVENKEETRSTAGMENNSSLVVSEKVEGVGLDAGGNEAPEVAQDGIFINGMPNSIDSPKSELCEKVLSRGFEPHSNPNSTLQEVEEMDKPLVVNSGNGQGLANKKLSASAAPFNPSTPISRAAPLPMNITLPPAPGPVPPVGPWPVNMPIHPAPPTVLPNPICSSPHHPYPSPTPTPNIMQSLPFMYPPYTQPQPVPTSTFPITSNPFHPSQFSWQCNVNPSIPEFIHGTVWPAHPMEFSIPSPIVEPIADQILEPKMQGDDANPSSAPMLPVDIDTVGEAKKEVNISASEAINNDNEVARVGLESVLENGHLNQSMVDNSGNDPSPNKNPEGSAERKSDGEKTFSILIRGRRNRKQTLRMPISLLSRPYGSQSFKVIYNRVVRGSEAPKSSRFYSSESCTATAT >EOX95711 pep chromosome:Theobroma_cacao_20110822:1:35312741:35328393:-1 gene:TCM_005152 transcript:EOX95711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 2 MAPRNSRGKAKGEKKKKEEKVLPVVMDITVYLPDETHVILKGISTDRILDVRRLLSVNTETCNITNFSLSHEIRGPQLKDTVDVSALKPCVLTLTEEDYDEESSVAHVRRILDIMACTTCFGPSATGKDQPKPVDASKNAPVPLDKSCAAAKKTTASTYKESPSKSLSKDVAVDAEGEMSHSCPKLGTFYEFFSLSHLSPPLQFIRKATKRQVEEISGDDHLFSLEVKLCNGKLVHVEACRKGFYNVGKQRILCHNLIDLLRQLSRAFDNAYTDLMKAFSERNKFGNLPYGFRANTWLIPPIAAQSPSNFPPLPMEDEMWGGNGGGLGREGKSDLIPWANEFSFLASMPHKTAQEREIRDRKAFLLHSLFVDVAILRAVKAVKNVMGKLKPSGSVKNCETLYTERVGDLSIMVMKDASNASCKVETKIDGIQATGVDQKNLVERNLLKGITADENTAAHDIATLGLLNVRYCGYIAIVKVEGRENEKSSPLAQSIEFEQPEGGANALNINSLRLLLHKTTSSELNKPASPSQVLEHEELNASQVLVERLLQESLANLEEEELAQKPFVRWELGACWIQYLQDQNSTEKDKKPSGEKPKNEMKVEGLGTPLRSLKNKKKSDDNMGSGNSTSHPDAVENVAAASKESRLETSSKDDELVLKRKLSEEAFARLKESDTGLHRKSLQELIDLSQKYYIEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILQAVIAAVVNTDKLAVSIASALNLMLGVPENGELHRSCKIHSLVLKWLQVFLMKRYEWDITNLDFNDIRKFAILRGLCHKVGIELVPRDFDMDSPSPFQPSDVVSLVPVHKLNLIYFQQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLEHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEATRNGTKKPDASIASKGHLSVSDLLDYINPNHDLKGKDVAAGKRRSYIAKVKGKLQPANHPASSEGSPKEAAKEASDEETHLSEQEDKPDANQETSSLPVQSQAPVVEETTEARLNIDNHILSESHAEGDDGWQPVQRPRTSASLGRRLKQRRATIGKVFSYQKKNVDPDVEFPLVKATHQSSRYYLLKKRTISHGAYTDQYTMNPSQGSKVGRRIIKTVTYRVKSIPSSTKSSTEISRNGGEVFNSSGEPASTFAPNDLRPTKNSIVSLGKSPSYKEVALAPPGSISKLHFRPETDCPEKPDFNIEKHQEVMNETKDNFDQLTSGTGKIFEKKNENSTLDSTDSLKEEIAVVENKEETRSTAGMENNSSLVVSEKVEGVGLDAGGNEAPEVAQDGIFINGMPNSIDSPKSELCEKVLSRGFEPHSNPNSTLQEVEEMDKPLVVNSGNGQGLANKKLSASAAPFNPSTPISRAAPLPMNITLPPAPGPVPPVGPWPVNMPIHPAPPTVLPNPICSSPHHPYPSPTPTPNIMQSLPFMYPPYTQPQPVPTSTFPITSNPFHPSQFSWQCNVNPSIPEFIHGTVWPAHPMEFSIPSPIVEPIADQILEPKMQGDDANPSSAPMLPVDIDTVGEAKKEVNISASEAINNDNEVARVGLESVLENGHLNQSMVDNSGNDPSPNKNPEGSAERKSDGEKTFSILIRGRRNRKQTLRMPISLLSRPYGSQSFKVIYNRVVRGSEAPKSSRFYSSESCTATAT >EOX92274 pep chromosome:Theobroma_cacao_20110822:1:6032038:6039242:1 gene:TCM_001244 transcript:EOX92274 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 interacting partner 6, putative isoform 1 MSTSTTVEPTPPPPLIPSLPDDVALNIIARVPRCYHPILSLVSKSMRSLISSPLLYTTRTLLKSSQHFLYISLRLPTSPVLRFYTLYQNPTKPESPRNILVPLPLIPSTSLVGSAFAALGHKIYVLGGSMADIPSSHVWSLDCRTHTWEPAPNMHVSREFAATGVVDGKIYVIGGCVVDNWARSKNWAEVYHPETGKWNAVPSPVEIRYKWMHASAVIDGKVYAMADRHGLCYEVKSGSWGTVDTDLDNGWRGRACVIDGVLFCYDYLGKIRGYDVKEGTWKELKGLEEELPRFLCGATMANLGGKLIVVWESKNEGSKEMEIWCAEIEVKKDEGGELWGRTEWSDVVLTVPTRSSIVHCLAVTL >EOX92275 pep chromosome:Theobroma_cacao_20110822:1:6036250:6039248:1 gene:TCM_001244 transcript:EOX92275 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 interacting partner 6, putative isoform 1 MSTSTTVEPTPPPPLIPSLPDDVALNIIARVPRCYHPILSLVSKSMRSLISSPLLYTTRTLLKSSQHFLYISLRLPTSPVLRFYTLYQNPTKPESPRNILVPLPLIPSTSLVGSAFAALGHKIYVLGGSMADIPSSHVWSLDCRTHTWEPAPNMHVSREFAATGVVDGKIYVIGGCVVDNWARSKNWAEVYHPETGKWNAVPSPVEIRYKWMHASAVIDGKVYAMADRHGLCYEVKSGSWGTVDTDLDNGWRGRACVIDGVLFCYDYLGKIRGYDVKEGTWKELKGLEEELPRFLCGATMANLGGKLIVVWESKNEGSKEMEIWCAEIEVKKDEGGELWGRTEWSDVVLTVPTRSSIVHCLAVTL >EOX92405 pep chromosome:Theobroma_cacao_20110822:1:6688856:6692500:1 gene:TCM_001359 transcript:EOX92405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbamoyl phosphate synthetase A isoform 1 MGMKAVGFSLRINPSICFTQNPPKPSSKLVRCSLTPDGAATGLAERPWKLADARLVLEDGSVWRAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDEESSQCFLAGLVIRSLSINTSNWRCAETLGDYLAERNIMGIYDVDTRAITRRLRQDGSLIGVLSTEQSKPDEELLEMSRSWDIVGIDLISGVSCKSPYEWVDKTKSEWDFNSNGRDRETYRVIAYDFGIKHNILRRLASYGCQITVVPSTWPAAETLKMKPDGVLFSNGPGDPSAVPYAVETVKEILGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNLRNGHVEISAQNHNYAVDPASLPEGVEVTHVNLNDRSCAGLAYPSLNIMSLQYHPEASPGPHDSDCAFREFIELMKSTKQAA >EOX92406 pep chromosome:Theobroma_cacao_20110822:1:6688836:6691827:1 gene:TCM_001359 transcript:EOX92406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbamoyl phosphate synthetase A isoform 1 MGMKAVGFSLRINPSICFTQNPPKPSSKLVRCSLTPDGAATGLAERPWKLADARLVLEDGSVWRAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDEESSQCFLAGLVIRSLSINTSNWRCAETLGDYLAERNIMGIYDVDTRAITRRLRQDGSLIGVLSTEQSKPDEELLEMSRSWDIVGIDLISGVSCKSPYEWVDKTKSEWDFNSNGRDRETYRVIAYDFGIKHNILRRLASYGCQITVVPSTWPAAETLKMKPDGVLFSNGPGDPSAVPYAVETVKEILGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNLRNGHVEISAQNHNYAVDPASLPEGVEVTHVNLNDRSCAGLAYPSLNIMSLQYHPEASPGPHDSDCGENFVLHLILTYWFSVFPF >EOX94539 pep chromosome:Theobroma_cacao_20110822:1:29941486:29947006:-1 gene:TCM_004165 transcript:EOX94539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATNGTSPPKVQRNLKGFTTVLRTAACEWLLIFLLLIDAVLSYLLTRFAHYCELQIPCILCSRLDHIFGNEKPGSYRNLLCGNHRSEISSLISCNIHGKLVDGQGMCEICLSSHIEENKSNSDRQRLFLGKLGFDLTGCGNCSSQSSYFNQDLTPASKGTRLCLCCNKPLIPRPNAQRLLSLKSPGIVVAKPNIPLPRRLSRRNGLKKIRDKFSSPAASHLLGKTGFDPLSHVGYTELEITSGSESEVPISDDENGNTIVCDINENRNESVVLSAPEAPAKRLYNVLATIKQPDANEPHDVRCLASDVPSENDVCERKEQVADQKANPPVMPELISLDDSSPSSCVVEVPSFSASLLSDLISLVDAPLSVDVTEVPLEASSEKLANVFEASNSENISINKNDEILKLISTSTGSGLRTDQVVDDIAMVNSTDGDSAVHKSPVCGEENDTSRFVMKEPMLTCSNGVNEDLKSLPVQNSSGQGIHLSLNNFSPRLRGHSIELQRTNESNSDEAQNHQNPVFMERSESAGLESFDGSSVNEIEGENLVDRLKRQVAYDRKCMNALYKELEEERSASAIAANQAMAMITRLQEEKAALHMEALQYLRMMEEQAEYDVDALEKANDLLAEKEKELQDLEAELEYYRLNFPDETLVETVPEASINLKEQHVSVENTSTSFLKDDLKFPSKTMFHEASEVNNNLAVIAAWSEFEDEKLYISHCLHNLESKLKRFAHHGNSPCISDGEYFDEAADGGQHQQEFLDEKYKQVPCQVEGNDLSVQKASSVSNGSAPSQERLNTSISRDQVVSKGNSHMVSNGQKDSMDCRETGLAALENEISDLNERLEALEADCNFLEHSLNSLQNGNEGMLFIQEILHHLRELRKLGIRSRNMSVS >EOX96488 pep chromosome:Theobroma_cacao_20110822:1:37990904:37995628:-1 gene:TCM_005722 transcript:EOX96488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras 5 MCNQLPTLSEKGKQTRLVQRKVKENCLSQENDLISHGNLLWFFSISPRGLLHLFSADLFRRNRCEILVIYRRKFHLGSGDLILRSPFYLLVTMNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYIESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKCDLTANKVVSSETAKAFADEVGIPFMETSAKDATNVEQAFMAMAASIKDRMASQPAMNNAKPPTVQIRGQPVAQKSGCCSS >EOX94951 pep chromosome:Theobroma_cacao_20110822:1:32170974:32174387:-1 gene:TCM_004544 transcript:EOX94951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNRKPNEKGNGDRIDMEGPKPITMKKQKNMKRLGGTGLSLQAFVNAKSTTNHYNPALIKKKREFYKNAKFVNKYRKSLKQQSQGNDLPSAVKPPEDENEDIDDSNRTNKRNKKKNSSHSLRELYEKQQEEKEKARMEREAIVQAKKEQKEKAEAQRKAGKKQMFKKTRHGQPEPSCPVQYHQEPSQAIRLATSTARRGGGGMQMSMRVIAITSAIRNRIRSKPLPSFNSEQITFPIFDRMSNDYPFSVPKEGLGIETWEKGMHIPYMFMVLILLGLEVN >EOX93399 pep chromosome:Theobroma_cacao_20110822:1:12702812:12709433:-1 gene:TCM_002259 transcript:EOX93399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tata box associated factor ii 59 MSIVAKETIEVIAQSIGINNLSADAALSLAPDVEYRMREIMQEAIKCMRHSRRTTMTTDDVDCALNLRNVEPVYGFASGGPLQFKRAVGHRDLFYIDDKDVDLKDVIEAPLPKAPLDTAVVCHWLAIEGVQPAIPENASVGVIAASSDGKTNEQKDELPVDIKLPVKHVLSRELQLYFDKITELTVRKSDSALFKEALVSLATDSGLHPLVPYFTYFIADEVSRGLNDYSLLFALMRVVWSLLQNPHIHIETYLHQLMPSVVTCLVSKKLGNRIADNHWELRDFTANLVASICKRFGHVYNTLQTRLTKTLLSALLDPKRALTQHYGAIQGLAALGPNVVRLLILPNLEPYLQLLEPELLLEKQKNEVKRHEAWRVYGALLLAAGQCIYDRLKIFPPLPSPPGHAVWKSREKIVTAVPNKRKASMEPLEHDPASKKIATDGPIGMASAKSSPSSVQEETAAPHPSSDLDVDQPSTSEKMPNDERSVNRGGKDSKVLKKSAILNQIWKDDLNSGRTLVSLFELFGEGILYFIPAPEMSLFL >EOX92354 pep chromosome:Theobroma_cacao_20110822:1:6413072:6417807:1 gene:TCM_001304 transcript:EOX92354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKESTSIPLSQAENGGVDPEDPAKSPPSSPNSSTRKACCFVLQSWVSKKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYERLGIDIFGLGFITSLLFVFFIGVFVSSWMGAAVFSVGEWVIKRMPFVRHIYSASKQISAAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVILQRENEDEELCSVFVPTNHLYIGDIFLVNSKEIIRPNLSIREGIEIIVSGGMTMPQIIAPQERVARQNERIPLNRIM >EOX94080 pep chromosome:Theobroma_cacao_20110822:1:20051449:20064093:1 gene:TCM_003172 transcript:EOX94080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLAIRESGETYYEGAQTAIRRQQTEMRKKDGDVKDKSFILLSISIPQRTCFSILK >EOX95494 pep chromosome:Theobroma_cacao_20110822:1:34446979:34448392:-1 gene:TCM_046987 transcript:EOX95494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin A17, ALPHA 1.13,EXPA17 MESAFLFTTAILLVGFFSTTFHVASAAVWLPAHATFYGGSDASGTMGGACGYGNLNTDGYGIQTAALSTALFNNGESCGGCYQIVCDAAKVPQWCLKGKYITITATNFCPPNYALPSDNGGWCNPPRPHFDMSQPAFQTIAKYKAGIVPILYRKVGCRRSGSIRFTITGRDYFELVLISNVGGAGEISKVWIKGSKTNKWETMSRNWGANWQSLSYLNGQSLSFRVQASNGMIRTALNLVPSNWQFGQSFKSNVQF >EOX95768 pep chromosome:Theobroma_cacao_20110822:1:35508347:35509841:-1 gene:TCM_005189 transcript:EOX95768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRLSETKEENEDAAAFAVWDCGSPLYDSYELVTLSHLIERNLMKLPSLGGAMRQTTRFSHPSDVTPATSSDSTSVVGAKESFSLLSSFGKFVGSKFWKRRSFGQGRDKPKMLRKGLSCFCNGAGFSKK >EOX96243 pep chromosome:Theobroma_cacao_20110822:1:37088243:37091058:-1 gene:TCM_005530 transcript:EOX96243 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-glucuronate 4-epimerase 3 MSKQMSHLDNIPSTPGKFKMEKSPYVHNRLRWHSSLAKLTFWSFIFLGLILIFFFRSPSSNPLPQDPSRRSLRTYNWGGPAWEKRVRSSARVRSRNGISVLVTGAAGFVGTHVSAALKRRGDGVLGLDNFNDYYDPSLKRARQALLERTGVFIVEGDINDSALLRKLFEVVAFTHVMHLAAQAGVRYAMENPGSYVHSNIAGLVSLLEVCKSANPQPAIVWASSSSVYGLNTKVPFSERDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLAALDTAEKSTGSGGKKKGPAQLRVYNLGNTSPVPVSDLVSILERLLKVNAKRNIMKLPRNGDVQFTHANISLAQRELGYKPTTDLQTGLKKFVRWYLSYYSGGKKATG >EOX92649 pep chromosome:Theobroma_cacao_20110822:1:7920792:7927766:-1 gene:TCM_001563 transcript:EOX92649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFMIRLTDSAEPGSAQKLIESFDYLGKPIFHFINPITSHCLWDINCTCEGGQEDSLATDCHRYLKSPRIPLPSLASPPLLWYLRATQSPTGPQY >EOX96194 pep chromosome:Theobroma_cacao_20110822:1:36950684:36953935:1 gene:TCM_005497 transcript:EOX96194 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 31 MSANNGGGPCGACKFLRRKCIKGCIFAPYFDSDQGTAHFAAVHKVFGASNASKMLLRIPAHRRVDAVVTLCYEALARVRDPVYGCVGHIFTLQQQVVNLQAELAYIQGRLSTLQRLPLLPPSQAQYTSPTSLHSSSEVGSDLVSSSNMSMSFDRPQPQLASVELTSFPNPFDQEPENEELQALAREFVSRYLPGVRFRPSTSS >EOX94523 pep chromosome:Theobroma_cacao_20110822:1:29399166:29399928:1 gene:TCM_004128 transcript:EOX94523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMVYALVSKAIGDAYASKVELIMVKEATLFYVASRWNSSHSLLLECDFSNVVGWIKKPNNVPWKLRPFIMQTLKLLNNVLRWDIRHILRFANEAADSLAKEGCFKLRVYYG >EOX93150 pep chromosome:Theobroma_cacao_20110822:1:10879405:10885143:1 gene:TCM_002001 transcript:EOX93150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MLILVPSISAASLRAASSQAFPKRRLKRERTTDLSFQKTAAAAPCDRQASLTFLPFLFISMGSKEENGDGEMDQTVKKYLRGEAANLEGLQDKKLKGQLAIREELYGKSAKAAAKIEKWLLPSEGGYLEAEGIEKTWRIKQESIAREVDILSLRNQYDIVLPELGPYTIDFTPNGRYMAAAGRKGHLAVVDMKTLSLIKEIQVRETVRDVVYLHNELFFAAAQKKYPYIYNRDGTELHCLKEHGAVSRLQFLKNHFLLASINKFGQLHYQDVTMGEMVGNYRTGSGRTDAMQVNPFNGVVALGHSSGTVTMWKPTSAAPLVKMLCHPGPVSSLAFHPNGHLMATSGKEKKIKIWDLRKFEVIQTLSGHAKTLNFSQKGLLAAGTGSFVQILGDFSGSQNYSRYMSHSISKGYQVGKVLFRPYEDVLGIGHSMGWSSILIPGSGEPNFDSWVANPFETSKQRREKEVHSLLDKLPPETIMLDPTKVGTVRPARKKEKKPTKEEREAEMEAAVEAAKDTDIKKKTKGRNKPSKRAQRRKEIIDRAKRPFLEHWVEEQETFRKKQKTNMETEMPKSLQRFVRQKAT >EOX95504 pep chromosome:Theobroma_cacao_20110822:1:34496977:34500494:-1 gene:TCM_004987 transcript:EOX95504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein MKDMANPLLFLASFLLLLSTVHPKVIAVEQLSEVKLNSQILQDSIVKQVNENPKAGWKAALNPRLSNYTVGEFKHLLGVKPTPKKELLGIPVITHGKSLKVPTKFDARTAWPQCSTIGRILDQGHCGSCWAFGAVESLSDRFCIHFSMNISLSVNDLLACCGFLCGSGCDGGYPISAWRYFVRRGVVTEECDPYFDDTGCSHPGCEPAYPTPRCVKKCVKGNQLWRESKHYSVGAYRINSDPADIMAEVYTNGPVEVSFTVYEDFAHYKSGVYKHVTGGVMGGHAVKLIGWGTSDDGEDYWLLANQWNRGWGDDGYFKISRGTNECGIEDDVVAGLPSTKNLVREVGDMDTLEDALFRE >EOX94968 pep chromosome:Theobroma_cacao_20110822:1:32233472:32234338:-1 gene:TCM_004556 transcript:EOX94968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRASFSRLGNVLPIPKLFRKLEQEMETVIKVLQPGPLGIIEHKFSADEICEANATVRRAVENWRRNAIVEQRNSVLKVYFHR >EOX92579 pep chromosome:Theobroma_cacao_20110822:1:7590907:7595696:-1 gene:TCM_001513 transcript:EOX92579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamin diphosphate-binding fold (THDP-binding) superfamily protein MAFYLKNSRNIINNLKSKVGLSSLMKQHSCSCLNHNNYCRFPASTATSPFAPQLNNLDLNSHKRGCLFMSQRFESTIAEKQFASVQNGEDNQALDFPGGKIIFSPDMRFLSESPSAHTYCFRVLDDNGQLIKQSNYIQVSEEIAVKMYSDMVTLQTMDTIFYEAQRQGRISFYVTTIGEEAINIASAAALTSDDFVFPQYREPGVLIWRGFTLQEFANQCFGNRADYGKGRQMPIHYGSNKHKYFTVSSTIATQIPHAVGAAYSLKMDKKDACVVTYFGDGGTSEGDFHAALNFAAVTEAPVIFICRNNGWAISTPTSDQFRSDGIAVRGQAYGVQSIRVDGNDALAMYSAVHTARKMAVNEHRPILIEAVTYRVGHHSTSDDSTKYRPAEEIEWWRMARDPVTRFRKWVESNGWWSSEAETQLRSSIRNQLLHAIQMAEKVEKPPVEELFTDVYDDLPSNLREQEKLLRETISRHPQDFPADVPH >EOX94563 pep chromosome:Theobroma_cacao_20110822:1:30216491:30217525:1 gene:TCM_004199 transcript:EOX94563 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein MTSQSPPTTAFFTRATQSTFTRRPWRECLSLSSFARPISFGGATARMKRNLTYFRVNYAMIVLVILFLSLLWHPISMIVFLVVFVAWFFLYFFRDDPLVILNRTIDDRLVLVVLAVITIVALVLTDVWLNVVVSVLIGAFIVGLHAAFRGTEDLYSGESDGGEGGLFSVVGSPTRAGYSRV >EOX93056 pep chromosome:Theobroma_cacao_20110822:1:10201694:10209993:-1 gene:TCM_001908 transcript:EOX93056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein isoform 2 MKRYRNGEIWDFEHEVAVASNRQVILGLDGGTTSTVCICMPIMPFSDTLPDPLPVLARAVAGCSNHNSVGETAARETLEQVMADALSKSGSNRSAVRAVCLAVSGVNHPTDEQRILTWLRDIFPSHVKLYVRNDAVAALASGTMGKLHGCVLIAGTGTIAYGFTEDGREARAAGAGPVLGDWGSGYGIAALALTAVIRAHDGRGPHTMLESTILQTLGLSSADELIGKFIFSSLTVSETSQKWFGSVTKWTYADPSWARIAALVPVVVSCAEAGDEVANKILKEAVQELALSVKAVVQRLGLCGEDEKNSFPLVMVGGVLEANQRWDIGREVMDFISKDYPGALPIRPKVEPAVGAALLAWNDLMKECLQEAYRS >EOX93057 pep chromosome:Theobroma_cacao_20110822:1:10202712:10209917:-1 gene:TCM_001908 transcript:EOX93057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein isoform 2 MKRYRNGEIWDFEHEVAVASNRQVILGLDGGTTSTVCICMPIMPFSDTLPDPLPVLARAVAGCSNHNSVGETAARETLEQVMADALSKSGSNRSAVRAVCLAVSGVNHPTDEQRILTWLRDIFPSHVKLYVRNDAVAALASGTMGKLHGCVLIAGTGTIAYGFTEDGREARAAGAGPVLGDWGSGYGIAALALTAVIRAHDGRGPHTMLESTILQTLGLSSADELIGWTYADPSWARIAALVPVVVSCAEAGDEVANKILKEAVQELALSVKAVVQRLGLCGEDEKNSFPLVMVGGVLEANQRWDIGREVMDFISKDYPGALPIRPKVIIVKSSPSGRYKYYPHYCV >EOX94722 pep chromosome:Theobroma_cacao_20110822:1:31037004:31038502:1 gene:TCM_004333 transcript:EOX94722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLVIRYGGQWVDGIYKGDVVGVNSEIHEIKLHALISTPEELPHPNIKDDEDVALILLKHRNVSAVYVTIKKCQTNVMSHEEAVQHDDGPDEWHDDSLDNDWLYDSDIPICNNVEGKTEPVGGVDVGDVQCDDLIYKNPIAGENGIHLPEILLDDSYQEKENVGISHTWLILGAERLSFQTITIKESVCADNHLYKTTRW >EOX93339 pep chromosome:Theobroma_cacao_20110822:1:12158824:12160058:1 gene:TCM_002176 transcript:EOX93339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase, putative MESIVRDRRASWKNLKQRLGLKAMGCCGATWSPRARISTISILEEDEDEEEEAAAVAAQSQQVIISRSGNVVNNRIQNQTENSASTPLLVGQQQQQQVPTAGSGMNLAMALAAERNLRTANMGPSPTEVKTLMRLIEETDGVDWKKKRKDNVVNVEGVGVGGLGGGGSCDWMCCVCMERKKGAAFIPCGHAFCRVCSREVWVNRGSCPVCNRSILDILDIF >EOX94880 pep chromosome:Theobroma_cacao_20110822:1:31879997:31882457:1 gene:TCM_004490 transcript:EOX94880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein MGKQGRMRVASYTLFLVVLLQCRFLAFAILDPLDFLALQSIRKSLADLPGSNFFASWDFTSDPCNFAGVYCEADKVIALNLGDPRAGSPGLTGRIDPAIGKLSALAELSIVPGRIYGSLPQSVSQLKDLRFLAISRNFISGDVPATLGQLRRLKTLDLSYNQLTGKISPSIGTLPELTNVILCHNHLSGSVPPFLSRTLTRFDLKHNALSGSLAPDSLPPSLQSLSLSWNQLTGPVDRLLSRLDQLNYLDLSLNQFTGPVPGRLFSFPITNLQLERNLFTGPVQPADQVTISTVDLSHNRLSGQISPMLSTVQNLYLNNNQFTGQVPACFVDRLLSASIQILYLQHNYLTGIEINPTAEIPLSSSLCLQYNCMVPPVQTPCPLKAGKEKTRPTAQCNEWKG >EOX95243 pep chromosome:Theobroma_cacao_20110822:1:33492592:33493832:-1 gene:TCM_004792 transcript:EOX95243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein MEAVNLKKKECKIVVAVDESEESMYALSWCLGNLFSQNTTNTLVLLYVKPPPPVYSSFDAAGYVFSSDVITALEKYGSDLVNSVMGRAETIYSKFSSNINVERIVGSGDAKDVICHTVEKIKADTLVMGSHGYGFLKRTLLGSVSDHCAKHVKCPVVIVKHPEKI >EOX93851 pep chromosome:Theobroma_cacao_20110822:1:16738925:16741908:-1 gene:TCM_002828 transcript:EOX93851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein MANHRTSSRSGGGVPMLGGFGFTVRLLASAITVAICFFFALSFFFTSHSHSPHLQTNFGFATGSYGLGSTRRSVLALKSDPLKPRLDQIRKQADDHRSLVLAYASYARKLKLENSKLVRVFADLSRNYSDLINKPSYRALFETDSLSIDESVLRQFEKEVKERIKTTRQMISEAKESFDNQLKIQKLKDTIFAVNEQLTKAKKQGAFSSLIAAKSIPKSLHCLAMRLMEERIAHPEKYTDEGKPIPPEFEDPKLYHYAIFSDNVIAASVVVNSATKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQKFYFENTLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPTLHRILFLDDDIVVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKQKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIAMNQFKPLWTKYVDYDLEFVQALCVEEDKH >EOX96579 pep chromosome:Theobroma_cacao_20110822:1:38309684:38314168:-1 gene:TCM_005805 transcript:EOX96579 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance-like protein isoform 1 MFLPLSSWPCVDKFHLAMCSHSNVPIIISMILKVNRILLYFLLFLAIIASLTSILLGFSFVCLLLFFHRKTRSLSKPLFIRFYQASSLSSSSWKIVMYIVGESVLSTFLENLFERLLSLEFLNFVRGGELHDPLEKLKLTLSAVATVVNDAEEKQFERPIVEAWLKLLKNAVYDAEDVLDEIAIKALEQRLKPESEFSGKVWDFFSKYRKVISDTLRNFKEGTESKIMKIIDSLEYLVKQKDVLGLRDVAQESSSLVRKRPETTSLLNEVCVYGRANDREKIIQLLLSDEADGVNQFSVIPIIGMAGVGKTTLAQAIYNDKRVEDYFDLKSWVYVSDQFDLKLITKTIIGSFSQEIPTSDDLNNLQVNLQEKLIGKRFLLVLDDVWNEDYNQWVSLRKPLRAGAEGSKILITTRNAGVSSIMRTVPDHILGLLSDEDCWELFKQHAVDICTSVNPKMEAIGRAIVKKCKGLPLAASTIGGLLRSKLNVGEWCEILDSGMWCLSDDSGILPALKLSYHHLPVNLKRCFAYCSIFPKDYEFREEELVLLWIAEGFVPQLKGIRMEDLARKYFHDLLSRSLFQQRSPDKLVYVMHGLVHDLAKAVSEGICFRLEDIFEGGSQYKIPKSARHLSYIRDYYEPFTKFQVFDPGEISLRTFLALGGGRKFSHLPGKVLLELLPKLKYLRVLSLNGYQITDLPESIGKLKHLRYLDISYTSVRRLPESTSTLYNLQTLLLVGCHSLIELPFDIGNLINLKHLDMSQNSLRKMPFGIGSLVSLQRLSSFIVGKHAEPGIRELRNLVHLQGSLSITGLQNVLDVRDVIEANFENKQFLSALELEWSKEIHVLQNEESAEELLSLILSMTKLKELTICYYHGKRLPAPQHNCLETLVLSNLLRLVEWPSLGAEEDVFCSLRHLQIQACPKLRRISHRFAALEKLIIEGCEELVALDRLTSTGKLEKGMSFFPSLLELSIECCPKLLKLPTCLFSLKELRIIGCMELNMLSTKEEMPHLYKLSIQDCPKLRKLPTLLPSLVQLHLRGCLQLFALPRSSLLSNLRLEECDEVLLRNVFGLHSLTSLYICKIQRLSYLSECLLKQLTALKELEIRACDMLEVLFMSDACLQHLVSLQKLLIFNCPKLEALPHQMHKLTALNKLEIWACPRLQPSAEMKFPSKLQDLWISSELQSLPTGMMQSVSLERLSIWNSHSLTSFPRCKLPGRLKTLSIVTCSKLEYLPGEMMQCNNFLESLSIESCDSLSSLGLRNFTTTTATKLRELKITGCGNLKMFPEDIHNLTSLCLLTVISCPHLVSFPDGGLPTTNLRKIHISKCYELKSLPVHNVTSLQDLTVRRCPNLKSFPEGALPAKLERLVVDSCGNLKPISEWGFDRLKALKNLGIIGGYADQVFFPERMLPSSLTALSIKYLPKLKVLGLALQHLNSLKYLRIVRCHDLSALPEGSLPVNLCFLQIVDCPRVKEQYEMKKKIGLHQYSLISCLSWDDDF >EOX96580 pep chromosome:Theobroma_cacao_20110822:1:38307965:38314348:-1 gene:TCM_005805 transcript:EOX96580 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance-like protein isoform 1 MFLPLSSWPCVDKFHLAMCSHSNVPIIISMILKVNRILLYFLLFLAIIASLTSILLGFSFVCLLLFFHRKTRSLSKPLFIRFYQASSLSSSSWKIVMYIVGESVLSTFLENLFERLLSLEFLNFVRGGELHDPLEKLKLTLSAVATVVNDAEEKQFERPIVEAWLKLLKNAVYDAEDVLDEIAIKALEQRLKPESEFSGKVWDFFSKYRKVISDTLRNFKEGTESKIMKIIDSLEYLVKQKDVLGLRDVAQESSSLVRKRPETTSLLNEVCVYGRANDREKIIQLLLSDEADGVNQFSVIPIIGMAGVGKTTLAQAIYNDKRVEDYFDLKSWVYVSDQFDLKLITKTIIGSFSQEIPTSDDLNNLQVNLQEKLIGKRFLLVLDDVWNEDYNQWVSLRKPLRAGAEGSKILITTRNAGVSSIMRTVPDHILGLLSDEDCWELFKQHAVDICTSVNPKMEAIGRAIVKKCKGLPLAASTIGGLLRSKLNVGEWCEILDSGMWCLSDDSGILPALKLSYHHLPVNLKRCFAYCSIFPKDYEFREEELVLLWIAEGFVPQLKGIRMEDLARKYFHDLLSRSLFQQRSPDKLVYVMHGLVHDLAKAVSEGICFRLEDIFEGGSQYKIPKSARHLSYIRDYYEPFTKFQVFDPGEISLRTFLALGGGRKFSHLPGKVLLELLPKLKYLRVLSLNGYQITDLPESIGKLKHLRYLDISYTSVRRLPESTSTLYNLQTLLLVGCHSLIELPFDIGNLINLKHLDMSQNSLRKMPFGIGSLVSLQRLSSFIVGKHAEPGIRELRNLVHLQGSLSITGLQNVLDVRDVIEANFENKQFLSALELEWSKEIHVLQNEESAEELLSLILSMTKLKELTICYYHGKRLPAPQHNCLETLVLSNLLRLVEWPSLGAEEDVFCSLRHLQIQACPKLRRISHRFAALEKLIIEGCEELVALDRLTSTGKLEKGMSFFPSLLELSIECCPKLLKLPTCLFSLKELRIIGCMELNMLSTKEEMPHLYKLSIQDCPKLRKLPTLLPSLVQLHLRGCLQLFALPRSSLLSNLRLEECDEVLLRNVFGLHSLTSLYICKIQRLSYLSECLLKQLTALKELEIRACDMLEVLFMSDACLQHLVSLQKLLIFNCPKLEALPHQMHKLTALNKLEIWACPRLQPSAEMKFPSKLQDLWISSELQSLPTGMMQSVSLERLSIWNSHSLTSFPRCKLPGRLKTLSIVTCSKLEYLPGEMMQCNNFLESLSIESCDSLSSLGLRNFTTTTATKLRELKITGCGNLKMFPEDIHNLTSLCLLTVISCPHLVSFPDGGLPTTNLRKIHISKCYELKSLPVHNVTSLQDLTVRRCPNLKSFPEGALPAKLERLVVDSCGNLKPISEWGFDRLKALKNLGIIGGYADQVFFPERMLPSSLTALSIKYLPKLKVLGLALQHLNSLKYLRIVRCHDLSALPEGSLPVNLCFLQIVDCPRVKEQYEMKKKIGLHQYSLISCLSWDDDF >EOX92456 pep chromosome:Theobroma_cacao_20110822:1:6927215:6928243:-1 gene:TCM_001401 transcript:EOX92456 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA binding protein 2 MADTGSSESNQVSDPSGRPTPMLQNVVSTVNLNCTLNLKAIALHARNAEYNPRRFAAVVMRIKEPKTTALIFSSGKIVCTGAKTVQQSQLAARKYARIVEKLGFHVNFKHFKIQNLVASCNVLVPISLIRLACHHYEHATYEPELFPGLIYRMRRPKITMLIFPSGKLIITGAKEKVEIDTAFENIYPVLMSFRINKKDK >EOX94802 pep chromosome:Theobroma_cacao_20110822:1:31477865:31480285:1 gene:TCM_004413 transcript:EOX94802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKVIAQGNGTIIDGRQILVNRVISVKPAVGIRAGVNITDSNIKWVFQNAIAIMRETYSLRLIQAKLYHQGILVTIHPLGTLKTFLTFEDANEMRVILDKCPDLFTARWNVKGLGNSEKESAARKLTTQHQAKILLLQETKLHDILVSTFQKLWRSGNFNWLSADSVGASKGLILVWEPQFFTLEQSIINKRFILAIGNLQGVSSRLGIGNMYAPNDE >EOX94380 pep chromosome:Theobroma_cacao_20110822:1:28202405:28208199:-1 gene:TCM_003968 transcript:EOX94380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochelatin synthase 1 (PCS1) MKMAMAGLYKRVLPSPPAVDFASSDGKQLFIEAIQNGTMEGFYRLISYFQTQSEPAYCGLASLSMVLNALAIDPGRKWKGPWRWFDESMLDCCEPLEKVKEKGISFGKLVCLAHCAGAKVEAFRSNQSTLDDFRNFVVRCSTSDDCHMISSYHRATFKQTGTGHFSPIGGYHAGRDMALILDVARFKYPPHWVPVTLLWEAMGNVDEATGQCRGFMLISRPHRDPGLLYTLSCKHESWVRVAKYLMDDVPNLMKSEDLKDVHKVLLAVFSSLPSNFGEFIKWIAEVRRREDGGQNLSQEEKGRLALKEEVLRQVQETGLFKHVASFLSSVNSCCRNILALSHENNLPDIAAGVCCQGAELLAGKFGSPESYCCRETCVTTLKANGDKRITLVSGTVVNGSSEQGVDVLVPSGPKNLNCCGFSATCCIGIYPAGNDVLTALLLALPPETWSGIKDEKLLYEINSLVSTKNLPTLLQEEVLHLRRQLHLLKKCQENKVDEDLGAPLC >EOX95862 pep chromosome:Theobroma_cacao_20110822:1:35798144:35800148:-1 gene:TCM_005261 transcript:EOX95862 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor 23, putative MEVDWDLHAVVRGCATVTTTSSGGVATSSFMADLYPQSCFSSFGSQEAFQSQVLSFPNPIEARNAMEELHELYKPFFPKSQPLSPQSTPLSSFSSLGMSKDQPQIKQQQQQKQSHASSVTSAVTTASSNTATANSHNSRSKRRKNQLKRVCQVPAEGLSADVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLARKQVERNRSDPAMFIVTYTAEHNHPAPTHRNSLAGSTRQKPFTPQTVTAGDSTKSSSAKPANSSSPTTSVEEELVLQSTKVESREDLAEDEGEDDFGMSDTAVSDDFFEGLEGIADMVTGDCFSDQFPASFDLPWFANNAATAAGGI >EOX93953 pep chromosome:Theobroma_cacao_20110822:1:17742999:17754191:-1 gene:TCM_002968 transcript:EOX93953 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein, putative MSLRHRHLRQISSILPRFSSGYHCQPSTRSLNSVASSSNRFLHECNQMNNRFSERFSVSFRHSTISQPSQDPPFAIHLLSFIESAFDQLQGPYHCWLNRVDGNKDSLKKDGTFLIVATRFFENSEKVGCDVVVIFEKVKLLQQRFPWLRVIGFQDCSSLCSADDRSQLVQLIMKEYITIPILLSNKRFSELTDGASYILSKDFKNPLIFHEKDLELAMLNKAIEELSMQNQVNSIALHNLKSSWSKEAEIIKEPHFCSALQNLLLYFPACISVDESAERLFLSDSNHHRIIIFDGDGKILDSIGSCPGFEDGEFESAKLLRPAASFYHATEDCLYIVDSENHAIRRADLERRVLETVYPTSSINKKSNSLWTWIRYKLGFGSDADVKCEKHGSQTLLCPWHLIKSGDNNFLIISRSFQTLWVMEFASGEIIEVVKGFPNILELCRHLIMEKLSLLKKMPDYLLQCQTDTDLALEGFPYTGLISSVTTFQNHVVMCDTVGQGVLKLSRESGICSSFQFSNLRMLGLPYWLSFPLERFYALAAGLSTGQTDHIQQLSLLPGKVDIQLSIDIPADTELVEPLHESCIWCQARGAATEVSVVEGVAGSAEKVGVAQKWYDELDNLAFSTPESELVMEDDIATADTNSEDQRIHIDCVVNTSPGTSEVIIYAALYLKLRRNPVLQDDNQEKFAARIAEILNPERIEDFGANSCIQFLLKSNIDLRDLIFIKPFHVRIKFNCQDHPKADNSKDIILTDSSINIDVSLKA >EOX90758 pep chromosome:Theobroma_cacao_20110822:1:551903:553164:-1 gene:TCM_000137 transcript:EOX90758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPWSPDYEMSHGSWVLHLKELDYLLAPDGPWRRFWLGKSLFLLEMESISAVKHRIQIRRWKAKQKRRVLDPKSKWDKRNAESAASFCPLWPNHLSIGPALDPVPFVTAKPEPQLSSSLRFRARIRGEND >EOX93541 pep chromosome:Theobroma_cacao_20110822:1:13855506:13864291:-1 gene:TCM_002430 transcript:EOX93541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family/GOLD family protein isoform 1 MAGKGWKRSVEIAWIAMGLFLWSLKGTQGVRFVIDREECLSHDAKYEGDTLHVSFVVIKADSPWHFSDEGIDLVIRGPSGEQIHDFRDKTSEKYEFVVQQKGVYRFCFYNKSPYHETIDFDVKLAHFAYQEQHAKDEHIAPLLEQISKLEEALYNIQFEQHWIEAQTDRQAIVNDSMGRRAIHKAMLESAALIGASTLQVYLL >EOX93540 pep chromosome:Theobroma_cacao_20110822:1:13861678:13864261:-1 gene:TCM_002430 transcript:EOX93540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family/GOLD family protein isoform 1 MAGKGWKRSVEIAWIAMGLFLWSLKGTQGVRFVIDREECLSHDAKYEGDTLHVSFVVIKADSPWHFSDEGIDLVIRGPSGEQIHDFRDKTSEKYEFVVQQKGVYRFCFYNKSPYHETIDFDVKLAHFAYQEQHAKDEHIAPLLEQISKLEEALYNIQFEQHWIEAQTDRQAIVNDSMGLRAIHKAMLESAALVGASILQVCLLRRLFERKLGTSRV >EOX91014 pep chromosome:Theobroma_cacao_20110822:1:1339568:1346833:1 gene:TCM_000326 transcript:EOX91014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Salicylate O-methyltransferase MEVVQVLHMKGGVGETSYANNSLLQQKVLSMTKPIREEAMTKLYCSTYPAGIAIADLGCSSGPNTLFVVSELIKLVDSIRQKLGQRSTEYQVFLNDLPGNDFNTIFRSLPSFQNKLKKQLGGGSGPCFFNGVPGSFYGRLFRHNSLHFVHSSYSLHWLSQVPEGLESNKGNIYIANTSPPDVLKAYYEQFQQDFSLFLKCRSEELVEGGRMVLTFLGRRSDDPSSKECCYIWELLAMALNDMVFEGLIKEEKLNSFNIPNYLPSPAELKSEVLKERSFTVDRLEVTEVNWNAYQNEPDLSDAFKDGGYNFAKCIRAVTEPLLASHFGEAIIDEVFRRYRDIVADRMSKEKTEFVNVTISLIKG >EOX94379 pep chromosome:Theobroma_cacao_20110822:1:28197408:28201107:-1 gene:TCM_003967 transcript:EOX94379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochelatin synthase 1 (PCS1), putative MALAGLYRRTLPSPPAIEFASPEGKQLFTEALAGGTMEGFFKLISYYQTQSEPAYCGLATLAMVLNALAIDPGRTWKGPWRWFDDSMLDCCEPLEKIKDQGITFGKVACLAVCNGADVETFRTNQSSLDDFRQRVISCTSSEDCHLIVSYHRGVFKQTGSGHFSPIGGYHAGKDMVLILDVARFKYPPHWVPLSLLWEAMNTVDEATGHRRGFMVISKLHKAASVLYTVSCRHKDWNFVAKYLTEDVPLLFTSGYVKDVDDVLCLVFKSAPPNLRELIKWVAEVRRQDDGSTMLGEEEKRRLALKEEVLKQIRETELFRHVTRYLAFESSLCNNVASLGYEDRLADIAANVCCQGAELLTGKIGSSNGLCCKGTNVKLIKTDSKEPVTMVSGTVISNGTEQRVDMLIPSSQIEPSCLCDFDQNNCCGMHPSMGDGLTVLLFALPQDTWLGLKEEKLQAQMKGLTLVASLPTLLREEVLHLQRQLYFLKADLNVSSSSA >EOX96326 pep chromosome:Theobroma_cacao_20110822:1:37324314:37325064:1 gene:TCM_005595 transcript:EOX96326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNDDSTNHHSQSSLFFPIDRENEEELNCCIKFHAVIVFFVSTSCTLLQTKYQGRDQTPFNTHYCHMLAFFVNLCIYVVALVSEMNLPATSTSSRLILNAISQFSGALASVMLLLILVPLIGWLTFALWIVCFVRIIKKCYPQFVRWFNHVKFDMFEIWRSVLSRHVTEEENS >EOX94281 pep chromosome:Theobroma_cacao_20110822:1:27357253:27358186:-1 gene:TCM_003861 transcript:EOX94281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGYERNWSTFDYVHSKKRNCLEQQRLNVLIFVQYNIQLELRQSKRIKKCETYNPICFSDMESNNEWITEMEDPCLPQENSWMECFEDEGLRLAKKKAWNLQFDAKRKSKIILQNEDIQSIGEEEENLQDKEEEDMVVLKEDEGSHDDNDLELEDDDNDLAFGDE >EOX90942 pep chromosome:Theobroma_cacao_20110822:1:1114663:1117643:-1 gene:TCM_000274 transcript:EOX90942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral root primordium protein-related, putative MAGIFSLGGRGSNSQEDQQNNPPTEIPPESWFWYKNEDISYKGFELWQQQELFQRHNSSSSNNPQDHHQQQQDLYSSAAGLGVGPSRISINVSDDSSSRSAFMMMRSSGAGGVGMSCQDCGNQAKKDCPHMRCRTCCKSRGLDCQTHVKSTWVPASKRRERQQQLVALQQQQQQQQQLQLRGENPKRQRENPTSSSLACTRLPANASGLELGNFPAEMNSPAVFRCVRVSSIDDADDQYAYQTAVNIGGHVFKGLLYDQGPESGYNNMAAAGESSSGGGVQPLNLITAGPTAATNTGTIAANASGGRVTAASSSSTAAFLDPSSLYPTPLNTFMAGTQFFPHPRS >EOX91857 pep chromosome:Theobroma_cacao_20110822:1:4170095:4174431:-1 gene:TCM_000920 transcript:EOX91857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial HSO70 2 MAASVLRRALQRRELHAASLSACRSLTGNAKTSLASSPLVRWASLARPFSSRPVGNEVIGIDLGTTNSCVSVMEGKNPRVIENAEGARTTPSVVAFNQQGELIVGTPAKRQAVTNPTNTFFGTKRLIGRRFDDPQTQKEMKMVPYKIVRAPNGDAWLEANGKTYSASQIGAFVLTKMKETAEAYLGKTVTKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGMNNKEGIIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLEYLVSEFKRTDNIDLSKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFEGLVSSLIERTRIPCKNCLKDAGISINDVDEVLLVGGMTRVPRVQETVAEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPSKKSQVFSTAADNQTQVGIKVLQGERHMAADNKLLGEFDLVGIPPAPRGMPQIEVAFDIDANGIVTVSAKDKATGKEQQITIRSSGGLSEDEIEKMVKEAELHAQRDEERKTLIDLRNSADTTVYSVEKSLNEYRDKIPSEIASEIESAVADLRKAMAGDNIDEIKAKMDAANKAVSKIGEHMSKGSGSAGGSSGGSQGEDQAPEAEYQEARK >EOX91447 pep chromosome:Theobroma_cacao_20110822:1:2761875:2762374:1 gene:TCM_000644 transcript:EOX91447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSCPTIIGRKIVKKKKKKLTRKGRVGKLHVGSIDYAYLHKGQLLREVSEKNKKQNRQQCKELKQNNNSGQGTGGLPGLSTKFFEMECLHWP >EOX92902 pep chromosome:Theobroma_cacao_20110822:1:9260813:9265272:1 gene:TCM_001754 transcript:EOX92902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor protein kinase family protein MSLQRFYQLFSMPSNKKKKSIYKCLIVHPPIHSPYQQKPIRVTMPLPLKVLFFLSLVLGSSFHFIASTASSRSATAPVGVTEVEALLLWKASLDKESQSTLSSWFGTRPCTWVGIRCGSFGSITHLNLSSSGLKGKLEGLNFSLFPNLTHIDLSINSLHGNIPSQIGHLPKLVYLNFSFNYLHGFIPNKIELLRSLSKLDMSNNNFTGSIPISIGNLKILYVLYLYNNKLSGFIPQGIGLLRSLSDLKLSHNSFTGPIPASIGNLINLSVLYLNNNKLSGLIPQEIGLLRSLSNLGLSENNFTGSIPASIGILSNLSYLFLLNNNLSGSIPEEMNGLRNLKSLQLTNNKFVGSLPHNICLGGLLTNFTVANNNFTGRIPKTLKNCTNLYRVRLDGNQFFGNITEDFGIYPKLNYIDLSNNQFYGELSWKWGECHNLKNMKISKNNISGEIPAALGMMTQLQRLDLSFNYLTGEIPKELGRLTSLMDLSLQGNQLSGNIPLKTGMLHDLQTLNLAANNLTGSLSKEIENCLKLQFLNLSLNRFVGTIPLEIGDLHSLENIDLSQNLLMGEIPPQLGNLLMLETVNLSHNMLFGSIPSTFENGLSSLTTVNVSFNQLEGPIPNIKAFHEASCFALQSNKGLCGNTTCLKACVPISSRKGLIWIIIPPLGSLLLLLILIIGLFALCRRCKSKNKTSEEKNCEQIFGTSGNFGKRFYQDIIEATDEFNSNYCIGTGGHGHVYKAVLSSGQVVAVKKLHLSEDGELTNVKAFKKEVVALTNIRHRNIVKLHGFCPHAKHPFLVYEFIEKGSLKVILNDEKQAMELDWVKRLNVVKGVAHALAYMHHDCSPPIIHRDISSNNILLDSKFEAHVSDFGTAKFLKSNSSSLTSLAIAGTLGYMAPELAYTMKVNEKCDVYSFGMLTLEIMRGNHPGDLILALFSSSSSSLASSSTSSPITHHTLLSDVVDQRLRPPRSRVAEGVVSTVQLAFACLHANPQYRPTMQTISSALTTRWPPLAKSFSLIELGELIAH >EOX95627 pep chromosome:Theobroma_cacao_20110822:1:34957839:34961838:-1 gene:TCM_005080 transcript:EOX95627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRATRRIGNGSHTVFGVLRRDSDMAYLSHAGCWVSFIFTFLRLKAVYGKRAWVHGSHVHPLLSLPTVSVHTTPSCHSLEP >EOX93317 pep chromosome:Theobroma_cacao_20110822:1:12050080:12054587:-1 gene:TCM_002159 transcript:EOX93317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase 9B8 MEEMKKLNHHRQTPRFAWHCCVVLFSVFTVSATAHQAFDYADALTKSLLYFESQRSGRLPYNQRVTWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGIIEFRDQIANAGELDHSLEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDQKNPGSDLAGETAAAMAAASIVFKKTNPHYSHLLLHHAQQVVYKELFEFGDKYRGKYDGSVGVVKSYYASVSGYMDELLWAALWLYEATDNEEFLKYVINKAHCFGGIGWAITEFSWDVKYAGIQIMASKLLEKEKHKHHAHVLKQYRSKAEYYLCSCLNKNNANDNIDRTPGGLLYIRQWNNMQYVSTAAFLLTVYSDFLRDSNKRLDCPAGTVEHGEILHFAKSQVDYILGSNPMNTSYLVGYGPKYPTRVHHRGASIVSYRENKGFIGCTQGYDNWYSREEPNPNVLVGALVGGPDCQDNFVDQRDNYMQTEACTYNTAPLVGVFAKLLHLEDSHEPQLVASS >EOX90848 pep chromosome:Theobroma_cacao_20110822:1:794180:797998:-1 gene:TCM_000203 transcript:EOX90848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein MKIVTYNVNGLRQRISQFGSLSKLLNSFDADIICIQETKLRRQELTADLAIADGYESFFSCTRTSDKGRTGYSGVATFCRVKSAFSSVEAALPIAAEEGFTGLLGCSRKDEAAASVAEGLEEFSREELLKVDSEGRCIITDHGHFVLFNLYGPRAESDDADRIQFKFNFLKILQKRWESLLRRGRRIFVLGDLNIAPCAIDRCDAGPDFEKNEFRTWFRSMLVESGGHFFDVFRAKNPNRREAFTCWPSNTGAEQFNYGTRIDHILCAGSCLHEEHDVDGHNLVTCHVEECNILTEYKRWKPGNAPRWKGGWNIKLEGSDHAPVYTSLVEIPDVSRHSTPSLAARYLPMIHGLQTLVSVFKRRQAAKQVQSHLVSSSFSDENIMVGGCSHSVNRSITNCNVPGTYTSCCSLDEDCEHTIPQVDEQSEDLTEEVACNTSIAFNREYVSSMPNKETKKRARKSQQLSLRSFFQKIPNQDNTVDSSTTDTSTNQPGVVDSNDQSQEAPVMDYLGSSPKQNDTKLCASSQGQEAQDGNCYLEKERNNVALVEWQRIQQLMQNSIPLCKGHREPCVSRVVKKPGPTFGHRFYVCARAEGPSSNPEANCGYFRWASVKSRPK >EOX94211 pep chromosome:Theobroma_cacao_20110822:1:25851792:25860386:-1 gene:TCM_003711 transcript:EOX94211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRASYCTGKLPHIVTHKPHDSPTWKRMIIGRDTTGQQIRSWVGKGDLFFWHDFWFGDDPLVNSFLTFSNSMIKAHFFFHDNEWDVNKLRAVLPTNFVNEILKIPISCTQEDVAYWALTLNGDFSTKSA >EOX95044 pep chromosome:Theobroma_cacao_20110822:1:32707598:32710245:1 gene:TCM_004629 transcript:EOX95044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L RNA-binding motif/Ribosomal protein S4 family protein MRRLKFHEKKLLKKVNFLEWKREGGHRENLVMHRYHVTGRDDYKKYSSLCRMVQKLVNILKQMDPRDPFRIEMTDLLLEKLYNMGVIPTRKSLALCDRLSVSSFCRRRLSTVLVHLKFAEHLKEAVTYIEQGHIRVGPETMTNPAFLVTRNMEDFITWVDTSKIRRKVLEYNEKLDDYDAMN >EOX94833 pep chromosome:Theobroma_cacao_20110822:1:31645223:31654526:1 gene:TCM_004447 transcript:EOX94833 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERD (early-responsive to dehydration stress) family protein MATLQDITVSAAINLLSAFAFLVAFAILRLQPINDRVFFPKWYRKGIRSSPTRSGAFVSKFVNLDWRTYIKFLNWMPAALRMPEPELIDHAGLDSVVYIRIYLLGLKITVPLAVLAFSVLVPVNWTGGTLEHIKNLTFSDIDKLSISNIPDGSKRLWAHITMSYVFTLWTFYVLYTEYKAVAAMRLRFLASENRRPDQFTVLVRNVPPDPDESVSEHVEHFFCVNHPDHYLTHQVVYNANKLATLVAKKTSLQNWYTYYHNKYERTSKRPTKKTGFCGLLGSKVDAIDYYSSEIQKLSEAEAAERERVINDPKAVVPAAFVSFKSRWAAAVCAQTQLCRNPTIWLTEWAPEPRDVYWNNLAIPYFELSIRRLLMAVALFFLIFFSMIPIAFVQSLANIEGIEKVLPFLKRLIEVKSFKSIIQGFLPGIVLKIFLIILPMILMTMSKIEGFSSLSSLDRRSAGKYHLFILVNVFLGSIITGTAFQQLKSFLDQPPTEIPKTVGVSIPMKATFFITYVMVDGWAGIAAEILRLVPLVIFHLKNTFLVKTEQDREQAMDPGCLDFATSEPRIQFYILLGLVYSAITPVLLPFIIIFFAFAYLVFRHQVINVYDQRYESGASFWPDVHRRLIIGLIISQLLLMGLLSTKKIDKSTIALLPLPILTIWFHRYCKGRFESAFVKFPLQDAMIKDTLEHATEPNLNLKAYLKDAYIHPVFKGTDFERPQLICEEEDNPLVPTKRTSKQNSEAGSTSGS >EOX94889 pep chromosome:Theobroma_cacao_20110822:1:31897304:31898348:1 gene:TCM_004493 transcript:EOX94889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREHAETCLTRKKQADTPLPCQSVASTFISLIHIPYVFFLVIFFYSVVFSLIFLFKTHPRTNKYQIIA >EOX94432 pep chromosome:Theobroma_cacao_20110822:1:28500214:28501675:1 gene:TCM_004006 transcript:EOX94432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSNIKCKCNVSRDKPKGGETWECKEHVVKVMSSRCKLKEAIELTKKAKWPIATLLALQASQIVHLMCNVPLCRQTGNMLSLA >EOX94837 pep chromosome:Theobroma_cacao_20110822:1:31665432:31673520:-1 gene:TCM_004452 transcript:EOX94837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reduced epidermal fluorescence 4, putative isoform 1 MAVSIQAASPVWESVLEQTKSAQGKNSDPLLWAVQLSSSLNSAGVSLPSIDLAHLLVSHICWDNHVPITWKYLEKAMTVKFVPPILVLALLSTRVIPNRKFHPAAYRLYMELLRRHTFSLKCQINKPNYQKTMKSIDDVLHLSQIFGLQVSEPGLLLVEFVFSIVWQLLDASLDDEGLLELTPEKRSIWPTITQDMEIDTPDNFNEKRNEQLDVMYKGNTTMAIEIIGEFLQNKVTSRILFLARRNMPSHWGAFIQQLSILAAQSVALRNSKHLTPDALLQLTSDSRKVLSRECKIKSHEEFHAIIGSGCLTSSSGQCYGTSSSAHWLPIDLFLEDAMDGSQVAATGAVERLTGLVKALQAVNGTTWHDTFLGLWIAALRLVQRERDISEGPVPRLDTCLCMLLSITPLVVANIVEEEESELIDESDCSPTNQTKEKQAPGRCRKDLISSLQMLSDYEALLTPPQSVRSVANQAAAKAIMFISGLTVGNGYYECMSINDMPMNCSGNMRHLIVEACIARNLLDTSAYIWPGYVNARANIPCSVPSQVPGWSSLMKGSPLTPTLINALIATPASSLAEIEKIYEIATKGSDEEKISAASILCGASLVRGWNIQEHNILFITSLLSPPVPADYSGSDSHLINYAPLLNVLLVGISSVDCVQIFSLHGMVPLLAGTLMPLCEVFGSTAPTVSWTLPTGEELTSHAVFTNAFTLLLRLWRFDHPPLERVMGDATPVGSQLSPDYLLLVRNSKLLAFGKSPKDRLKIKRLSKNLNFSLDIIFMDSFPKLKCWYRQHQECIASTLSGLVQGTTVHQIVDALLNMMFRKISRGGQSFTSTTSGSSSSSASGAEDAHTRLKVPAWDILEGTPYVLDAALTACAHGRLSPRELATGLKDLADFLPATLGTIVSYFSAEVTRGIWKPAFMNGTDWPSPAANLSMVEQHIKKILAATGVDVPSLAVGITLYHYICSLP >EOX94836 pep chromosome:Theobroma_cacao_20110822:1:31663654:31673732:-1 gene:TCM_004452 transcript:EOX94836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reduced epidermal fluorescence 4, putative isoform 1 MAVSIQAASPVWESVLEQTKSAQGKNSDPLLWAVQLSSSLNSAGVSLPSIDLAHLLVSHICWDNHVPITWKYLEKAMTVKFVPPILVLALLSTRVIPNRKFHPAAYRLYMELLRRHTFSLKCQINKPNYQKTMKSIDDVLHLSQIFGLQVSEPGLLLVEFVFSIVWQLLDASLDDEGLLELTPEKRSIWPTITQDMEIDTPDNFNEKRNEQLDVMYKGNTTMAIEIIGEFLQNKVTSRILFLARRNMPSHWGAFIQQLSILAAQSVALRNSKHLTPDALLQLTSDSRKVLSRECKIKSHEEFHAIIGSGCLTSSSGQCYGTSSSAHWLPIDLFLEDAMDGSQVAATGAVERLTGRVNLAGLVKALQAVNGTTWHDTFLGLWIAALRLVQRERDISEGPVPRLDTCLCMLLSITPLVVANIVEEEESELIDESDCSPTNQTKEKQAPGRCRKDLISSLQMLSDYEALLTPPQSVRSVANQAAAKAIMFISGLTVGNGYYECMSINDMPMNCSGNMRHLIVEACIARNLLDTSAYIWPGYVNARANIPCSVPSQVPGWSSLMKGSPLTPTLINALIATPASSLAEIEKIYEIATKGSDEEKISAASILCGASLVRGWNIQEHNILFITSLLSPPVPADYSGSDSHLINYAPLLNVLLVGISSVDCVQIFSLHGMVPLLAGTLMPLCEVFGSTAPTVSWTLPTGEELTSHAVFTNAFTLLLRLWRFDHPPLERVMGDATPVGSQLSPDYLLLVRNSKLLAFGKSPKDRLKIKRLSKNLNFSLDIIFMDSFPKLKCWYRQHQECIASTLSGLVQGTTVHQIVDALLNMMFRKISRGGQSFTSTTSGSSSSSASGAEDAHTRLKVPAWDILEGTPYVLDAALTACAHGRLSPRELATGLKDLADFLPATLGTIVSYFSAEVTRGIWKPAFMNGTDWPSPAANLSMVEQHIKKILAATGVDVPSLAVGGSSPTTLPLPLAALVSLTITYKLDKGSERFLILIGPALNSLAEGCPWPCMPIIASLWAQKVKRWNDFLVFSASRTVFHHSSDAVVQLLRSCFTSTLGLSPSIIYSNGGVGALLGHGFGSHFSGGMSPVAPGILYLRVHRSVRDIMFMTEEIVSLLMSSVREIASSGLSQEKSEKLKKTKFGLRYGQVSLGAAMTRVKLAASLGASLVWLSGGLSLVQSLIKETLPSWFISAHAPEKDGGEPGGVVAMLGGYALAYFAVLCGTFAWGVDSASPASKRRPKVLGAHLEFLASALDGKISLGCDSATWRAYVTGFVSLMVACTQKWVLDVDVYVLKRLSNGLRQWNEEELAMALLGLGGVGAMSAAAELIIEIGV >EOX95466 pep chromosome:Theobroma_cacao_20110822:1:34305919:34307096:1 gene:TCM_004953 transcript:EOX95466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II chitinase MESSSLVSFSLIFCSILLSFASNGVEARKLPAISYLISEDLFASIFLHKDDNACPARNFYTYNSFIQATKWFPRFGNSGDLVTRKREIAAFLAQISHETTGGWATAPDGPYAWGLCFKEEVSPQSNYCDSTNKQWPCYPGKSYKGRGPIQLSWNFNYGPAGKALGFDGLRNPEIVANNSLIAFKTALWFWMTEQKPKPSCHDVMVGKFVPTEADLAANRTAGYGLVTNIINGGLECGIPNDGRVNDRIGYFQRYAQLFNVDTGPNLDCENQKSF >EOX94677 pep chromosome:Theobroma_cacao_20110822:1:30810813:30811916:1 gene:TCM_004294 transcript:EOX94677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRSTKAPSSFLHLVLALRLNFHLGSECPIYLTWISGKALFMESNHLLFEIRNIAVNQLTNNQFFTVQTTISA >EOX93868 pep chromosome:Theobroma_cacao_20110822:1:16938312:16938776:1 gene:TCM_002852 transcript:EOX93868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKVFLNKFLKRRKILFIRRICGLKHANSRTWLRVLYKMVLVMLLNPFLGFSVARYDRFMRIWWWLLLRRLKRFL >EOX95179 pep chromosome:Theobroma_cacao_20110822:1:33218496:33222531:-1 gene:TCM_004735 transcript:EOX95179 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD+ transporter 1 MSNDSHAPNSRGLLCNAGAGAAAGVIAASFVCPLDVIKTRFQVHGLPKLGNGAVKGSLIVGSLEQIFRKEGLRGMYRGLSPTVLALLPNWAVYFTMYEQLKDFLCSNNENHHLSIGANMLAASGAGAATTIFTNPLWVVKTRLQTQGMRVGVVPYRSTLSALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKLYLADKDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVVRSRLQEQGHHSEKRYSGVVDCIRKVFQQEGVAGFYRGCATNLIRTTPAAVITFTSFEMIHRFLVKYFPS >EOX94700 pep chromosome:Theobroma_cacao_20110822:1:30944816:30947099:-1 gene:TCM_004311 transcript:EOX94700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar protein nop56, putative MEDRAEETGRGRKNRERGSPRQAKPPFLLLIQLICHFDADAVFVVTQGRGTITLIDEDSRESFNIELGDIVRVRAGTPIYLINRDENEKLYIVEFFRPVNLPGHYDVFFGAGGEGAESFYKTFSIEILEAALRTSRDKLESFFEKQDKGPFLEASKEQIQALSSRQEGGSGGAFWPFGVDAYAFRPLGGLDLRVSYANITKGCMSALYYNSRATKIAIAVKGEGYFEMVCPHVSSKSSDQQRRDFTSSERRKSDPSYQKINSLNLQDNVRYPLAGKGNTVKQFEKEAKELAFKTKEEEVDNIFDNQEEEFFFPGTRQRRGQAYE >EOX91891 pep chromosome:Theobroma_cacao_20110822:1:4380709:4382554:1 gene:TCM_000955 transcript:EOX91891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKETPEIIDFAGKDNVKPDFKVLPIDYVNTAMEHLAKADLLFCCEGIDKAVIKVHSKCRTLRLVNYESDFTFHRQLTCEMTKATSQQKRSEREREHAHPYNWEWVLSRTSGGRRGAVRCGEVRARADVTPDNSTQSSPL >EOX94725 pep chromosome:Theobroma_cacao_20110822:1:31045397:31049999:-1 gene:TCM_004336 transcript:EOX94725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKVVSYKSQLFRELRRKAKKVLEIGIGIGPNLEYYVDNSEVQVFGVDPNRKMEKYARAVVVAVGLPSKNFHFIEAAQQQDPVQELTRKSEQRMEIGSNKTLRLAKQQEPKQRDFAATSLYSPHKEGDLGKVSTTRRSAARTLYCRQKKGKTG >EOX95879 pep chromosome:Theobroma_cacao_20110822:1:35878646:35880993:1 gene:TCM_005275 transcript:EOX95879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein MVATLSFASITPHVKSQRQCIIFRRTYSHKQYRIRCNGENPRSDLPTRQESAPENALLKVAWYGSELLGIAASFLRPPSNVEAAAKNDLKLGLDGSGAIDRTAVVETIKNDYERSYFVTGQLTLDAYEENCEFADPAGSFKGLRRFKRNCTNFGSLIEKSNMKLMKWEDFENKGVGHWRFSCVMSFPWRPILSATGYTEYYFDAQSGKVCRHVEHWNVPKMALLKQLLRPTRGFWLKRKNS >EOX94669 pep chromosome:Theobroma_cacao_20110822:1:30757923:30758563:1 gene:TCM_004283 transcript:EOX94669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGGGVESRDGQQNRGTDYKVEGPKTHDLEGFDPPSAATCQTTHVSSTPRNQKRGPRCMLKTSFEPPRQLGLALGATGLAEVVSLAPLIRF >EOX96524 pep chromosome:Theobroma_cacao_20110822:1:38119588:38121756:-1 gene:TCM_005758 transcript:EOX96524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MEPRLFEASRSGDISAFHSLLGEDPFLLDRVALNSVDNPLHISAMAGQTEITREIVSRKPAFARELSESGYSPMHVASAKGHVEIIRELTRVGCDICLLKGKDDKVPLHCAALKGRVDAVKELVWACPESVKELTAFGETALHSAVKSNQIEAARVLIEEMRKLDMTEILNWKDRDGNTILHQATFNRQHEASAIIGLLIGQEAVVSGINVNAINSSGITPKDVLDLFLQSGGDCYDIQICQMFQQAGAVKARDLTTEPANVRTGAESFINTQTSQSCCSWNLWKELMKEVTESSTETQNALMVVAVLIATVTYQAILSPPSGFWSAENRKSQTITVQKRATMPGEAVMASDPEIFAVFTVFNAIGFFASFGMIFLLTSGFPLRAGLRLAILSMTGTYVIAVIYMGPTKMREICIVVILMGILFLAELARFSLWLLKKWGVVPDTRRR >EOX94695 pep chromosome:Theobroma_cacao_20110822:1:30933955:30936109:-1 gene:TCM_004307 transcript:EOX94695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRVGVLIVSAPDVNVQIGQRQGEQVSHFLDKCFFCKKELCQIDERFMYGDVRAFCTPECRFKQIAVGKEKEKVSKQSTGTKTEFSRKNDLQYRRGAQPQKHAY >EOX95264 pep chromosome:Theobroma_cacao_20110822:1:33596622:33598086:-1 gene:TCM_004814 transcript:EOX95264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 5C MAGPRIAHATLKGPSVVKEIIIGITLGFCAGGLWKMHHWNEQRKVRAFYDMLEKGDISVVAEE >EOX93818 pep chromosome:Theobroma_cacao_20110822:1:16108989:16126481:1 gene:TCM_002758 transcript:EOX93818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MERYKILEELGDGTCGSVFKAFNIESFEIVAVKKMKRKFYFWEECMNLREVKALRKLNHPNIVKLKEVVRENDELFFIFEYMEHNLYQIMRERQRPLTEGEIRSFMSQMLQGLAHMHRNGYFHRDLKPENLLVTKDVLKIADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSSSYTPAIDMWAVGAILAELFTSSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLIHISYSEILPTNLSDIIPNASSEAVDLIMQLCSWDPLRRPTADQALQHPFFNVGVWVPHPLLHDPLELRLNNMGAEPNLELNLWDFDTEPDDCFLGLTLAVKPSVSNLEVVHNVSQGMEEDILFCPRLKDHPDQSVVWSLLTPDQNGIHTPVESSLSLSFSSIQHPPIGVPQSAGFAIASLQPNLLERQLLAETVLLQSVGGIYILVNVHFLWSKSIGLKSLLSLAGRPAGRVHELLVYGRALLGELHLVLLGLPSPGQIESRIFSLSSFLLVRAYSFANAKGRRGKRINILSVQILISGQIGLYLCIRQELLPLSLALPYGHAWLLVNLHHILAASLMFSYEKYPSSPQLRTSTATLMSPAMSLEFKNWSPLNRCYKPPTHLKQLCFVELIFSVGIINRKQIKIH >EOX92152 pep chromosome:Theobroma_cacao_20110822:1:5512593:5517729:1 gene:TCM_001148 transcript:EOX92152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKVAIRSIIPSAQRFILSFLMMPTSILKHVTSDSPFGLIFLHPLGSEHGLSPKLYKGPETRYGTSASAHGSFLLDYPFLFLSIPIPFSYTFLIFYYSYGCKT >EOX96389 pep chromosome:Theobroma_cacao_20110822:1:37600152:37603215:-1 gene:TCM_005639 transcript:EOX96389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MEESYKPPTPICYMPITQNSSIPTTRTLAVMERDINIENDAHHNNSLSSSSVISTTVTSSSTSTSSSTSSSSTTFSVSGSNKSKKTSKNSVAPKGSKRAGDLENDSASNKKRHRSCDDDDGSKHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTYPTAEMAARAHDVAALAIKGRSAYLNFPELAKDLPRPAGTSPKDIQAAASQAAASTFLKTRRCNIEAEAEAEAEVGPSQEELPVSHLSQTSASDNVQESSSSPSIDDDDTLFDLPDLMIDATDRSDGFCSYSSTWQICAVDAGFRLEEPFSWEYY >EOX94475 pep chromosome:Theobroma_cacao_20110822:1:28935110:28939352:1 gene:TCM_004065 transcript:EOX94475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASPSPSNTAFSFQSPSVFNGENYPIWFEKMESYLRGLDLWDAVESGAEVPTLKDNATPAQVKWNHEEVAKRFRALSYIQGALIDAMFVRIMGCKTTKDAWERLKVQFEGSERTKEMQIMNLTREFDTMRMKDSENAKDFISRLMRVVNQLRLLGEDILERRVVQKALVSLPERFEATVTSLERELSKMSLSDIAYALQAAEHKRVMRSEINQQKKGKAHICSHCKRKGHAEASCRFRPNVRCRNCNQLGHVQRVCKNKAETQVKANEPVEKAETTEEHLFMVQTGNLKTVDASLWLLNSGASNHMTPNVKLFVEIDDQYRSKVEIGNGVYLQATGKGLVPIQTSSCARSCTIYAPNGEYMMNISMKQNCFPVNWKDACLQATEVHSNLTSLWHKRFGHCNYNSLIQLSNSGLADKLPKLSESGPICQTYVGGPLSEESLNGSRYFVTFIDDLTRSDNGGEYTSQEFSQFLEKEGIFHQLTAPYCPQQNGVSERKNSTVLEMCRCLMFEKNMPKRFWAEAASTAVYLLNIIPTRAKQNITPYEECLKSKAYRIFQVESGRISVSRNVVFDENKSWNWEQSQAVDLNEFINVSNYQHTDSQILVDAETVDEAPVRQVRSIQNIYERCHMAITEPNSYEDAAVHEHWVTAIKEKLNMIVKNKTWSLVDRPKDRQLIGVKWIFKRKLNPDGTLNRYKARLVVKGYSQILGIDFQETFAPVARLDTIRLLIALAAAFQWKLFHLDVKSAFLNGKLDEEIYVEQPPGFELCSGQGKVFKLHKALYGLKQAPCAWYNRINTYLLDQGFLKSPNKATLYVLKHYNEAPLILSLYVDDLLVTRGRLEIKRIDRGIHVSQHKYVLEMLKKFQMNECKATASPLVVNEKLSSQSGYELENPSQYRSLIGCLLYPCATRPDVMFAVSYLSRFMQKSRSCHFVAAKRILRYLKGTTAFGLKFTHTKTVKLSGFSNSDWAGSLDDAKSTSGYVFTLGNGTFSWSSHKQDTVAQSSAEAEYVAATSAANHAIWVRKALHDLTMPQLEATDLWLDNKSAIAMAKNLVFHGKTKHISVKYHALRDAEKKGEICIQYCPEDQLADIMTKALRKPMFEFQRNKLMVHQASIKGEC >EOX92343 pep chromosome:Theobroma_cacao_20110822:1:6362616:6363245:1 gene:TCM_001298 transcript:EOX92343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a root meristem growth factor, Belongs to a family of functionally redundant ous peptides that are secreted, putative MARVPCKHLLLVALFLFCFISTTAGARNMPVAKETQKVHDDQVLTATEDGAPKINADELVSMDYTPATRKPPIHN >EOX93526 pep chromosome:Theobroma_cacao_20110822:1:13782729:13785861:-1 gene:TCM_002416 transcript:EOX93526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein MSKYPGSTVTFVENTKYKSVPVMAEVQGKWVKLNQNGTGPGARSSHAIAIVAQKAYVFGGEFIPRVPVDNNLYVFDLNTLTWSIAEVTGDVPPPRVGVTMATVGETIYVFGGRDSTHKELNEFYSFDTSTNKWTLLSNDDSGPTHRSYHSMTANDRQVYIFGGCGVAGRLNDLWAYDVVDQKWIKYPTPGENCKGRGGPGLTVAQGKIWVVNGFSGVEMDDVHCFDPVQGQWSQVETCGEKPTARSVFSTVGIGKYIIIYGGEVDPSDLGHLGAGKFSGEVYGLDTETLAWNKWEDGSGLESHPGPRGWCAFASGLRDGQQGLVVYGGNSPSNDRLDDIFVFTPGVACN >EOX95026 pep chromosome:Theobroma_cacao_20110822:1:32634275:32636597:-1 gene:TCM_046983 transcript:EOX95026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor binding protein MDGHNSEDSKQSTADMTVFVQNLLQQMQSRFQTMSDSIITKIDEMGSRINELEQSINDLKAEMGVEGSPSPLAPSKQKSDEAKQEEGSA >EOX92435 pep chromosome:Theobroma_cacao_20110822:1:6826139:6828273:1 gene:TCM_001387 transcript:EOX92435 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0235 protein C15orf40 MAPAKKGKAKAEKAEPTQSSKNDPKFPSSIRPVPPSSVAITIHAKPGAKSPSITDFSDDAVGVQIDAPAKDGEANAALLDYISSVLGVKRRQVSIGSGSKSRDKVVIVEEITLQSVFDALNKASKC >EOX95754 pep chromosome:Theobroma_cacao_20110822:1:35468415:35471559:-1 gene:TCM_005180 transcript:EOX95754 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MEKETKVCVTGAASFLGSWLVKKLLEKGYTVHATLRNLGDPYKVGLLSSLPNAGTKLRLYEADVYNPGLFKQAIEGCEYVVHMATPLLHQPLSSQFKNTSEAAVAGVKSIMELCIRSGTVKRLIYTASVTAASPIKENGKGFKDRMDESCWTLQEIALPYSNELLVGYTCSKTLSEKELLRYNGELEVVSLVCGLVGGKTLLSSMPESMGVLISQAAKNKRRYRTLRFLEELLGRVPILHIEDVCNAHIFCLEKPSIKGRFLCASAYLSSADIASHSRKLYPHIEIPEEFVENLGREISWGSAKLEEVGFGYKCDVKMILEDSINCGLRLGECGAILSDFRF >EOX90879 pep chromosome:Theobroma_cacao_20110822:1:900334:900982:1 gene:TCM_046673 transcript:EOX90879 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein PTFIPILSVLASLFLPPPPSNLNSSKMAIKKSNKLPQTAAIKQILKRCSSFGKKQGYDESSLPDDVPKGHFVVYVGENRSRYIIPISWLAHPEFQSLLQRAEEEFGFNHDMGLTIPCEEVVFRSLTAMIR >EOX95134 pep chromosome:Theobroma_cacao_20110822:1:32958223:32961054:-1 gene:TCM_004689 transcript:EOX95134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MEKIEHTMVTTNGINMHVASVGSGPVILFLHGFPELWYSWRHQLLSLSSLGYRCVAPDLRGFGDTDAPPSAASYTVFHIVGDLVGLLDALGVDSVFLVGHDWGAVIAWYFCHFRPDRVKALVNMSVAYRPRHRSMKPVEGFRALFGDDYYICRFQEPGEIEEDFAQMDTAKIMKIFLTSRNPRPPCIPKEIGFRGYPDPPPLPSWLSEEDINYFASKFNEKGFTGGLNYYRALDLSWELMAPWTGLQIKVPVKFIVGDLDITYHIPGAKEYIHNGGFKKDVPFLQEVVVMEGVAHFINQEKSEEISKHIYDFIKKF >EOX92860 pep chromosome:Theobroma_cacao_20110822:1:9053421:9057835:1 gene:TCM_001721 transcript:EOX92860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRELVILGGSVPRGEAGVSGGLFLLCMIVFSLSIIAMVIFACGDDNSEKPRRNHAAGGGCTVGGGGGGGGCCAGGGGGGGGGVGGC >EOX93718 pep chromosome:Theobroma_cacao_20110822:1:15419869:15420809:1 gene:TCM_002645 transcript:EOX93718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSPGDPETGADKSKEPINNVKEIHQKALDDLVNVNSLFTLAVFVGLSLARQGERSLENRTECDAGPEHAKRLVVNEVASFACFLLSSLVAKALKIHVTIWEKKDFKRRRNQIVSRSLLSLSVWASVFGCIFLTISMVDVIQIKVGKLACGSVYAWRATGALIAIVLLALSIYVPFMMNAIFTSVKFV >EOX94020 pep chromosome:Theobroma_cacao_20110822:1:18886246:18886639:1 gene:TCM_003072 transcript:EOX94020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATGTVASSVQQFLGMLCLVLLWTSVARPAAALYTPTCLGPCSAVPNCNDACVTKGFPKGGVCLGFTKTDLACCCTS >EOX90836 pep chromosome:Theobroma_cacao_20110822:1:747046:754136:-1 gene:TCM_000193 transcript:EOX90836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein MARFSCHYHSIITFLSFILVLFLEGVTAATFTFINKCDYTVWPGILANPGSPKLESTGFELTKGSSRSFQAPTGWAGRFWGRTGCNFDDSGHGSCATGDCGSGEVECNGAGAIPPATLAEFTLGSGSQDFYDVSLVDGYNLPMIVEGSGGSGECAITGCVSDLNKKCPSELRIDGGGACKSACDAFGNPEYCCSGAFNSPAACKPSMYSEVFKSACPKSYSYAFDDATSTFTCTGADYSITFCPNVPSLKSSKDPSPKTTGTTDESGSVQDPMQAAELASQWLANLATGDSTRTQPFSPTQSGFAVIIFVVLSFLYL >EOX96476 pep chromosome:Theobroma_cacao_20110822:1:37940371:37944585:1 gene:TCM_005715 transcript:EOX96476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFFSHIKFYALLLSSKRLTLTRHVTSEQLLGTIFFSFSCFVYALERALSALYIA >EOX93768 pep chromosome:Theobroma_cacao_20110822:1:15630963:15635395:1 gene:TCM_002685 transcript:EOX93768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCGRARCTLRADDIPRASHRNDGPVRHVHMDRYRPSYNVGPGMNLPVVRRDDGSNGDGGVVLHCMKWGLIPSFTKKTDKPDFYKMFNARSESVCEKASFRRLLPKSRCLVAVEGFYEWKKDGSKKQPYYIHFKDGRPLVFAALYDCWENSEGEKLYTFTILTTASSSAFLWLHDRMPVILGDKESTDTWLNGTKIDTLLKPYENPDLVWYPVTSAIGKLSFEGPECVKEVPLKTQEKNPISKFFSTREVKREQESNMEKSLCDESVQTNLLKNLKEEPNSPEDKEIPSLASKEDNDSKSSVLVPTCEDVRKCQTKRDYEEFSADTKPAKDEIEVSPARKKGNIKGVAGKQPTLFAYFGKR >EOX92846 pep chromosome:Theobroma_cacao_20110822:1:8878593:8885664:1 gene:TCM_001706 transcript:EOX92846 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase protein I-related MATLNYMPLRVSSTTAAFSQEQQAPNPRRTKIILPKKKPLKWSTGVAPGEYGGPPATTKLRKYWGGEEDDPLTSDDFIWNKDFVGRMKKLIQDPASADDSSLQPSPVKEEPSGFLSLNRVMSLDSLDVDLSKELTAPSKPVLEQTVESTTQSSGSMSRKWKLVPTRREQEKWDKATKAATGGSDVILREVRRPQGDPEVLAAQSREQYFKLKRKLQVLTLIIGGVGLVSAYVSYTPEIAASFGAGLLGSLVYMRMLGSSVDSLTEGAKGRMKGAVAQPRLLVPVLLVMIYNRWNGILVPEYGYMHLELIPMLVGFFTYKIATFFQAIEEAVTVVEKKTEV >EOX93836 pep chromosome:Theobroma_cacao_20110822:1:16308454:16310287:-1 gene:TCM_002782 transcript:EOX93836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSIRALSALQVQLEKMKKEPQQGLIYIEVLLNVKRTKAMLDTKGSNTFITLGEAKICNFKDEKDLGQMKIVNLTALTIIGNSKDVKTNHTLVTFCTLLNKEFRDYLDKFMVIYLDDIVVYNLTLEEHQQHLR >EOX93051 pep chromosome:Theobroma_cacao_20110822:1:10169358:10173723:-1 gene:TCM_001903 transcript:EOX93051 gene_biotype:protein_coding transcript_biotype:protein_coding description:TT12-2 MATE transporter MSTGEQQPLLVWRNNVYDLPSDAIEEVLEKREAVGVGVGWWARLVAWESRLLWVLSGASIIVSVFNYLLSFVTLMFTGHLGALELAGASIASVGIQGLAYGIMLGMASAVQTVCGQAYGAKKYAAMGIICQRAIVLHIGAAVLLTFLYWYSGAVLKAIGQSESIAEQGQVFARGLIPQLYAFALSCPMQRFLQAQNIVNPLAFMSVGVLLLHILLTWLAIDVLEYGIIAAALTLSLSWWFLVIATGLYIVLSPSCKETWTGLSMKAFKGVWPYCKLTAASAVMLCLEIWYNQGLVLISGLLPNPTISLDAISICMNYWNCDINFVLGLSAAASVRVSNELGAGHPRLTKFSVIVVNATSISISVVFTAIILIFRVGLSRAFTSDAEVIKAVSNLTPLLAISVFLNGVQPILSGVAIGSGWQHIVAYVNLTTYYIIGLPIGCILGFKTSLGVAGIWWGMIIGVLLQTSTLIILTARTNWNTEVEKAADRLKKSANEETLQLVTAV >EOX91782 pep chromosome:Theobroma_cacao_20110822:1:3830888:3833527:1 gene:TCM_000860 transcript:EOX91782 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate synthase MGLLSRKATCNSHGQDSPYFLGWQEYEKNPYDEANNPKGIIQMGLAENQLSFDLLESWMAKNPDAAGFKRDGQSIFRELALFQDYHGLPAFKKALVDFMAEIRGNKVTFDPNHMVLTAGATAANETLMFCLAEEGDALLLPTPYYPGFDRDLKWRPGVEIVPIQCTSFNRFQITASALEEAYQQAQNKNLRVKGVLVTNPSNPLGTTMTRGELDLLINFITAKEIHLISDEIYSGTVFSSPAFVSIMEVLKDRNLENTEVWDRVHIVYSLSKDLGLPGFRVGAIYSNDPIVVAAATKMSSFGLVSSQTQYLLSAMLSDKKFTKEYISKNHKRLQKRQSKLVSGLEKAGISCLESNAGLFCWVDMRHLLSSNTFEAEMELWKRIVYDVKLNISPGFSCHCTEPGWFRVCFANMSEDTQKLAMQRLKSFVDSMTINSQSHQELKNARRKSRTKWVFRLSFQSFHDREQDER >EOX93748 pep chromosome:Theobroma_cacao_20110822:1:15528931:15533306:1 gene:TCM_002666 transcript:EOX93748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family MTSITPFPSLAAPTITAFTSKRKQVSICSKPATSFPCCYSNNGLKKLRGMTGYFNVTKNKGGGLFVFACSTTPYVRSVGSQRLSFGNNTGGGATQVATKGDVSQVLSAMLPFVVAVTAVAALVQPATFTWVSKELYAPALGGIMLSIGIRLSFDDFALAVKRPLPLSVGFFAQYMLKPALGVLIAKAFGMSPLFYSGFVLTSCVAGAQLSSYASFLSKGDVAVSILLTSFTTIASVLVTPLLTGLLIGSVVPVDAVAMSKSILQVVFVPVTIGLVLNTYAKPVVTILRPVMPFVAMICTAMCIGSPLALNRSQILSKEGIQLVLPVLAFHTAAFIVGYWISKIPAFRQREEVCRTVSLCTGMQSSTLAGLLATQFLGGSQAVPPACSVVAMAIMGLSLASFWGNGFRIRDLPSLRVPQTGSAVQA >EOX94603 pep chromosome:Theobroma_cacao_20110822:1:30421598:30424439:-1 gene:TCM_004231 transcript:EOX94603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGPLSWAMRWSSVSPRALWATHKGSSQASIHDDRQTPHAKANVVLKLKGPDPFSYLSCDMWFSYIFFLKFSCKVHFHRRSLALPPAVY >EOX93295 pep chromosome:Theobroma_cacao_20110822:1:11952658:11953360:1 gene:TCM_002142 transcript:EOX93295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clavata3/esr-related 20, putative MNRFQFQLCSLVVIILLFTPRIQAIRRNLASGTSAESPTHPGQQVFHPGSSAAPFTGQSRQFEEKRRVPTGSNPLHNRR >EOX91153 pep chromosome:Theobroma_cacao_20110822:1:1768866:1773150:1 gene:TCM_000431 transcript:EOX91153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein MPPVVLSKLNPAIYTGFRRAISGRRVRLCNPTSSLLRQRKLLSANSGLTQFLPYSINLISDNRFVLNQLCTSAKTMAVSGSKAVFGDVYVDELVASCGNGLDFLKPTGVYFPDGSRTSCQKVSMTLRKREQTNNRFVYGYYVCDAMQRNTKYNPPFGPKIKSIHTSSLLCSCAGAAHDVSFDGGSKDEQIASLPEQAIPGEKTLTLVSGSCYLPHPAKEETGGEDAHFICANEQAIGVADGVGGWAEVGIDAGEFARELMSNSVTVIQDEPKGSIDPARVLERAHSSTKSQGSSTACIIALTKEGIHAINLGDSGFIVVRDGCTVFHSPVQQHGFNFTYQLESGNDGDLPSSGQVFRIPVLPGDVIVAGTDGLFDNLYNNEVTAAVVHALRSGFSPEVTAKQIAALARERAVDKHQQTPFAKAALDAGFRYYGGKLDDITVVVSYVTSSTHV >EOX90991 pep chromosome:Theobroma_cacao_20110822:1:1265202:1271255:-1 gene:TCM_000307 transcript:EOX90991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein MSDVLCPNPASDSDAYEEEKKTRMGSLKKAAISASNKFRNSLSKKGRRSSKVMSVEIEDVHDAEELQAVDALRQALILEELLPAKHDDYHMLLRFLKARKFDIEKTKQMWSDMLQWRKEFGTDTIMEDFEFKEQSEVVEYYPQGYHGVDKEGRPVYIERIGLVDATKLMQVTTMDRYLKYHVKEFERAFDVKFPACSITAKKHIDQSTTILDVQGVGLKSFTKAARELITRLQKTDGDNYPETLNRMFIINAGSGFRMLWNSVKSFLDPKTTAKIHVLGNKYQSKLLEIIDASELPEFFGGTCTCADHGGCMLSDKGPWKDPEILKMVQNGEHKCTKKSQAQSTEEKTISEEETMLSKSTHACDSSDVEAASDAGNKQSLSSEHSTNDIERPELSPVKEKVSLRPPYSILSTIKGFQAFSQHLLVPTLTSKLNQVVENSSANKVQMSQPEKCVPVVDKPVDLACQDKMQNENFAALSKGAKVSIVAMADSYATQESGKVPDGPSSHLFTGVMTFVMGIATMIRVTRNMPRKLTDANIYSSPVYCDDTDVKSQEPSDNLTPAAISTAELMSVMKRMAELEERVSVMNMKTTTMPPEKEEMLNSALNRADALEQELMATKKALEDSFAQQQELVAYLDKKKKRKKRTLFW >EOX92474 pep chromosome:Theobroma_cacao_20110822:1:7078521:7080606:-1 gene:TCM_001424 transcript:EOX92474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L27e protein family MVKFLKPNKAVIVLQGRYAGRKGVIVKSFDDGTRDRPYGHCLVAGLKKYPSKVIRKDSSKKTAKKSRVKCFLKLVNYQHVMPTRYTLDLDLKDVVTVDSLQSKDKKVSACKATKEKFEERFKTGKNRLCTFLSNV >EOX91898 pep chromosome:Theobroma_cacao_20110822:1:4402091:4403213:-1 gene:TCM_000958 transcript:EOX91898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative MANIDLPPLCAKGCGFYGSSETKNLCSKCYNDFLKELVSKSKSEPKVDTALTASCPSVPVDSSLASAPSKLKNRCESCNKKVGLMGFSCRCGKVLCDVHRYPQEHLCNFDFKKADRLILVKENPIIKADKLDSRI >EOX95644 pep chromosome:Theobroma_cacao_20110822:1:35030964:35031498:-1 gene:TCM_005098 transcript:EOX95644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MENKGINGTPSTTTSQYEDLLPVMAEKLDVEAFVSELCGGFRLLADQERGLITPESLRRNSALLGMEGMSKDEAEGMVREGDLDGDGALNQTEFCILMVRLSPGMMEDAETWLQKAIDQELRKSSA >EOX95405 pep chromosome:Theobroma_cacao_20110822:1:34058941:34068332:1 gene:TCM_004911 transcript:EOX95405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein, putative MAELQLNQSQCHLRSSQYLGEISALCFLHLPSHLSSVPYLVAGSGSQVLLYDLESATMIQSFQVFQGIRVHGIICSLTHNALTYKVVVCGEKRVKLFNLSFELVSKSNSQSQPEFCADLSLDHSLPRFSHWVLDVLFLKDHCLAIGCSDNSVHLWDMLNSSLVLQVQSPDRCLLYSMRLWGDNLEALRIASGTIYNEIIVWKVVCQHDSPSLTSPLEDCMNLSSSNPNFIKCHDQQYKAVRICRLVGHEGSIFRIVWSSSGAKLVSVSDDRSARIWTIHVGQNNCDDKREVIGPVLFGHSARVWDCCVSDSIIITAGEDCTCRVWGLDGKQHRMIKEHIGRGIWRCLYDLDSSLLITAGFDSAIKVHQLHTSVYKTLNLEKDAESKDIIEGAQISTIRIPNSMEHAGLMDSKSEYVRSLYFKCENILYVATNHGYLYHALLSETGDVKWTELVHVTGEVPIVCMDLLSKNLSEHDCSIDDWIALGDGKGNMTVVGVTGDRSSPEVGFTFTWSAGAERQLLGTYWCKSLGCRYVFTTDPRGVLKLWRLYDPSLSVCHDSGRISLIAEFPSCFGIRTMCLDVSFEEELLVCGDLRGNLVLFPLSKDLLLCMSTISGVKISPLSYFKGAHGISSVSNISVARLSCNQIEIRSTGADGCICYLDYDKDQESFEFIGMKQVKELSLIESVSADFMPADDLANCNYAAGFASTDFLIWNLITEAKVVQIPCGGWRRPHSYYLGDVPEMRNCFAYVKDEIIYIHRHWLPGSGKKIFPQNLHLQFHGREMHSLCFVYENLQVQANEVETLVGKSSWIATGCEDGTVRLTRFTPEMENWSASKLLGEHVGGSAIRSICFVSKTHIIASDVSSLPGLEKGQNATSDSKQNPCLLVSVGAKRVLTSWLLRNRRLDEKEGIYAGENHNGCVTGYESTVKQWSSLSFRWLSTDMPTKSPTGGRNYIVSTAKNVSSLNNDAKTSSIFPEKQETKSKTFPGNKYEDDWRYLAVTAFLVKCAGSRLTVCFVVVACSDATLALRALVLPHRLWFDVALLASMPSPVLALQHVVVPVHTPSKGNILIGYLYIVISGATDGSISFWDITESVETFVQRVSSLNIEKFIDCQKRPRTGRGSQGGRQWRSLNNSMSKKRFGGNSVTRKPGDAANSDLLYATCGTSSELNDLESSSKNRSQAMHNALQLETSRIDSSPEICEIQPIHVMSNVHQSGVNCLHLSGMDYQGSENCFLFNIVSGGDDQALHCLRFKLTQSSMDLGAKILTTETIKSTIQSESIEKTVYCNSQNQTQNYHIRFFNHHRIATAHSSAIKGIWTDGTWVFSTGLDQRIRCWLVGEHGKLTEHAHLIISVPEPEALDARACGRNHYQIAVAGRGMQMVEFFAALQ >EOX94895 pep chromosome:Theobroma_cacao_20110822:1:31927088:31929373:1 gene:TCM_004499 transcript:EOX94895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MDRLVKADVKEVELVFKRGQKSTATFRLTNLMHTMSVAVSLTTKHPSFFSFNKPFSIIPPLSSSSYTLLLSPPSDQPPLINPPDAITVKTIMLPLGKAHHDDLSRLFSKPGPHLFKDATLPISFVGPHVIEHLVSSQTQIAEIDIFLNKAVSGCPVNQLTGLLKSAVVSGKARLVGTLIDHGGDINDKDSKGRSLISLAVQAGHLEVLNVLISSGCEIDNSVDHVLHHAAAINRVDLMGVLFRACKNVDLNSVDFCDRTPIHIAAGYGYTEVIRFCLSVGGHPDVLDVNRCTPLHLAAQEGHLGAAECLLEASSFVKYALNKQGKTAFALAVENGHSNLFDLLQLGDALNRAARIDNVNGIKSCLSEGGNVNGKDQNGWTPLHRAAFKGRIESVKVLLNHGAKVNLVDDNGYTPLHCAVEAGHAQVALLLIAHGAKANVKSLKGVVPLKSDCFKNPPPLVQPLCCEKERA >EOX91045 pep chromosome:Theobroma_cacao_20110822:1:1439151:1443908:-1 gene:TCM_000349 transcript:EOX91045 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities, putative MRQRHPRRKLRNNRKQRNFLTLFTTNKKLGKSEFWFSFREMENLSRSSKASQPHSSAPLKQRRSGYEPSDTETEWHESPWHDLNRKNGTSNLAEADKFKSNLPRNISPFKLRRRHPSKVEYDKGSPPRTSPLPRRHSSKSPYKTRRDDDRNISPLSKSEHRRHLSPYKPGREEHKLSNEMGNGEIAGLNRKQNRRTPTKEERGTIGELLETGRVSGKPNYSRRSVTAPARQRGREKDQLNNLGHGQLEQRRERTPSPISMNMIRKQREASQVKQQSVGEINEMVANAKISRAPMLNAAIFESSESISPGDIFFSRDGVALTMQKNVLPNNRGIENHLLPKPPMFAQKDSASHQRTKANGNVDSKARGSSASTGLSRTTMTSSSAASRQSSGKLSTESSKMSDSSVNSGKFTYNRRKGQSEAWFACVMRGPCRTSKKSPERQAFDEASFIGKAFVVEKLRQFWADKYQPASLNGFTCHKQEAQLLKQFASHESCPHVMFKGPSGSGKRALTMAFLREIYGDPCWNVSHELRQFPIQVLYINLFIASKFMNKPAFIDKRPSEVTVPLASSAHHVELNVNLETNAKYALMGLVKEISSNYAITPEVSTANFKADYKVIVLYEVDKAPENIHHLIKWIMDCHSDYCKFMLCCEDDINILESVKNRCKVIKVDAPVTHEIMEVLIQIAKKEDFDLSMNFAAKIAAKSKQNLRKAIMALEACKAHNYPFSDDQPIPLGWEEVLTEVAIEILSDPSQKRLFFIRGKFQKLLVDFVHPKLILQKLVEQFLKQVEAGLKRELYYWHAYYEKRLPTGTSALLKLEEFVAKFMSIYRKSCGDRQFV >EOX91269 pep chromosome:Theobroma_cacao_20110822:1:2133186:2140723:-1 gene:TCM_000515 transcript:EOX91269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MQKIPPAFVRHFYGTIPKDAMLKNHTGKHWLVDLEEVEGGLTMTNGWQGFASENSLEFGDFLIFEYDGKCLFDVEIFGRNGCNKAALSSNMTTTHAVNEKEIKEVDICNEPTQTCKQKYSVKNLGKALNAATYVTPKGPYFVSNIPQSMRSAVYVPRSLLASYGIKIKPEVVLLDQNGKKWPVMSKKSSTHRKRVCTAPQAGGALPVIGHMHLLGGHQLTHKTLGAMADKYGPVFSIRLGSHSALVLNSWEMARECFTVHDKVFSTRPVLTASKVLGYNYAMFGFAPYGSYWREIRRIATIELLSSHRIDMLKHIRASEVKTAVRELYKSWLSKGGGETGVLVDMKQWFGDLTHNIALRMVGGKRFFGPNADCEEAEARRCQKVMRDSAYLFGVFVVSDALPFIGWLDFQGYEKAMKRTAKELDILLGGWLEEHKQKKHLGGGLKKEQDFMDVMLNILEDAKITSFDADTINKATCLNLVLAGSDTTMITLTWALSLLLNNPRVLKRAQDELDMHVGKDRLLEESDIRNLVYLHAIVKETLRLYPPSPIIFRASMEDCTLSTGYHIPAGTRLMVNAWKIQRDERVWPDPHVFKPERFLTSHKDMEFRGQTFELIPFGSGRRSCPGVSLALQVVHSALASFLQSFEVSKPSKLEDIDMTESTGLTNLKATPLEVLFTPRLDSKLYGLGTELI >EOX94234 pep chromosome:Theobroma_cacao_20110822:1:26551296:26552318:1 gene:TCM_003771 transcript:EOX94234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I subunit E-2 MASCSMASAASGFLLTPNVTGNASSASKTSMAFFPSKNSNNNSNSSRLVVRAAEEAAAPAPATTTAPPEAPKPKPAPIGPKRGTKVKILRRESYWYNSYGSVVTVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEIEEVK >EOX92640 pep chromosome:Theobroma_cacao_20110822:1:7889827:7891287:1 gene:TCM_001556 transcript:EOX92640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1p/L10e family, putative MVKNMSEAAAAASASSCGVRSETVEKAVNALLKWRDSQSHSQKPQLLEQDELLYLIVSLKKIPQKPRVNPHKVPLPHPLIDPSTDPAELCLVIDDRSKSGLTKDAASKKIKSENIPISKVIKLSKLKTDYRAFEAKRKLCDSYDMFFADKRILPLLPRLLGKQFFKKKKIPVPVDLKHNNWKEQIHKGYASALLFLSTGTCSVVKVGKLSMDKKEIVDNVTAAIHGIAEIVPSKWGNIRSFHLKLLDSLALPLYQAVPDLRLKILAEADNPSKEVLEEKQEEEDASYQKKKKHKKSKGRIHEVQYMDENSNDTDSGAKRKDENKTDNVLNKKNKTALKKLSANDINTSDRKKKKTLSAQ >EOX94233 pep chromosome:Theobroma_cacao_20110822:1:26540111:26542499:-1 gene:TCM_003770 transcript:EOX94233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFMSKTTRKIFIFSMNFSRPNLANGGESIVSGKRLHPKAEDRSISTPNTGVPWTNLLEGHGKLHYIITSNGEARRVSPGVKLRVHFTPNHHVKGNSANAKERLLKTVCIWSSRTRTKLETTL >EOX94173 pep chromosome:Theobroma_cacao_20110822:1:24341840:24343136:1 gene:TCM_003554 transcript:EOX94173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKRLRQRCPISSILFNIVVKALSMKLHSAGDKSLIKGIKVGKRDTTFTHLQYADDMGIGSNVGLVLCPFRVEIFCRQLLCKKLAVKEMLVRKGLLREWNML >EOX91996 pep chromosome:Theobroma_cacao_20110822:1:4832706:4833738:1 gene:TCM_001028 transcript:EOX91996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSCPTIDATTHISNGVTSVDCQKQVRSWRLLRSLMELLIPSCNCTFVDDHEIKHENYLRSYYPQPVCTSSSVITGTIFGYRRGKVSFCIQANSKSTNPILLLEFAVPTAVLAREMQGGILRIALECTSSGNDGNSDSVLSVPVWTMYCNGRKVGYAFKRRPSKADMDALRLMGSVVVGTGMISGKELDHDDQLMYLRANFDRVRGSSHSESFHLIDPDGNIGQELSVFFFRSRP >EOX95172 pep chromosome:Theobroma_cacao_20110822:1:33175128:33180566:-1 gene:TCM_004729 transcript:EOX95172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fumarase 1 isoform 2 MAMYIVSRRLSAGSTTSQLVTSLRYATCWRSYSTSFREERDTFGPINVPSDKLWGAQTQRSLQNFEIGGERERMPEPIIRAFGILKKCAAKVNMDYGLDPTIGKAIMQAAQEVAEGKLNDHFPLVVWQTGSGTQSNMNANEVIANRAAEILGHKRGDKFVHPNDHVNRSQSSNDTFPTVMHIAAAMEINSRLTPKLKILRSTLHSKSIEFKDIVKIGRTHTQDATPLTLGQEFSGYTTQVKYGIDRVLCTLPRMYQLAQGGTAVGTGLNTKKGFDEKMAAAVADETQLPFVTAENKFEALAAHDAFVETSGALNTIATSLMKVANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAITVGGSNGHFELNVFKPMIASALLHSVRLLGDASASFEKNCVRGIQANRERISKLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGSTLKEAALKLGVLTSEEFDNLVVPEKMIGPSD >EOX95173 pep chromosome:Theobroma_cacao_20110822:1:33175599:33180075:-1 gene:TCM_004729 transcript:EOX95173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fumarase 1 isoform 2 MAMYIVSRRLSAGSTTSQLVTSLRYATCWRSYSTSFREERDTFGPINVPSDKLWGAQTQRSLQNFEIGGERERMPEPIIRAFGILKKCAAKVNMDYGLDPTIGKAIMQAAQEVAEGKLNDHFPLVVWQTGSGTQSNMNANEVIANRAAEILGHKRGDKFVHPNDHVNRSQSSNDTFPTVMHIAAAMEINSRLTPKLKILRSTLHSKSIEFKDIVKIGRTHTQDATPLTLGQEFSGYTTQVKYGIDRVLCTLPRMYQQLAQGGTAVGTGLNTKKGFDEKMAAAVADETQLPFVTAENKFEALAAHDAFVETSGALNTIATSLMKVANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAITVGGSNGHFELNVFKPMIASALLHSVRLLGDASASFEKNCVRGIQANRERISKLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGSTLKEAALKLGVLTSEEFDNLVVPEKMIGPSD >EOX96692 pep chromosome:Theobroma_cacao_20110822:1:38671608:38673827:-1 gene:TCM_005884 transcript:EOX96692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Group 2, putative MSTLSVIQGMENSHEDQEALMFHSYPCAYYVQSPSTVSHANSADIRNNTNIEDQSAFHSPTRSETTFLNKNPDVSRFTLSRYSSSRGSNNSFLHEKKIVGADNGVNRLIIIDHGVGSGGDDDEEEEEDEEEGHYGKKRGWWWRYCSFRRSNSCAWISIQICWRLVLSLAVALLVFYIATKPPPPKISIKIAGVSQFGLGEGVDASGVTTKILTCNCSINLLIENKSKLFGLFIHPPIMEMFFGRLPFAMSQGPKLYAQSSGSSIFQLYVGTRNKPMYGAGRSMQDLLESGMGLPLVIRLKLSSDYRVVWNLVKPRFHHQAECLLVLDSKYDKKHRTQKYNSTCTMTF >EOX96553 pep chromosome:Theobroma_cacao_20110822:1:38225046:38228549:1 gene:TCM_005783 transcript:EOX96553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 K4-specific methyltransferase SET7/9 family protein MHQKKSEVQIGKESSGVSSDFNPKPSTVHHHHHHLPYHQQQQFHYHHRLQQQSDTTAAAGTAATTAITAATKTSPIAVFPQIINQNPPENDTIAPPPSSSSSSSPTPYKRPLLTQTRSLTKSPTLYRFTAPPHFNSNNTPSFFSFPVAAKASVYRILRRFKHLRRLRVHLRLILLLSLPFFYFLVSHPSHSFFLDFLSAFAFSAALLFSLNLALPRLPSIRLFLARSFPIKLKSSSSLSRSHLPVFWSIGSRPKSEKRANSGCWVQVYSNGDVYEGEFHKGKCAGSGVYYYYLSGRYEGDWVDGKYDGYGVETWARGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWSNGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYCFANGHRYEGAWHEGRRQGLGMYTFRNGETQSGHWQNGILDVPSTQNATYPVSPVAVYHSKVLNAVQEARRAAEKAYDVAKVDERVNKAVAAANRAANAARVIAVKAVQKQMHHNNNDNNAISIV >EOX96278 pep chromosome:Theobroma_cacao_20110822:1:37202370:37204896:-1 gene:TCM_005556 transcript:EOX96278 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 7 [Source:Projected from Arabidopsis thaliana (AT5G62390) TAIR;Acc:AT5G62390] MSIAGALDLFDHSPSLFSLFYEEPELSFPFYVFEPTPVLSGLFLSPFDQAFDAVTDVTRLLETPLSSRYRRIGATDELELCSGALLDRISGLEFGTDRFKQWDRKYTWKAEIKSSDKSEANRKYQYTSEIKGLEGRKYEWTAEIESPEKNGTDGKYKWTAEMIKGGKVGNVEKKKQCKVEIQGTQKKEVPLKIKVLGSQAVGKEETKGECSVRVVEIEEPADHAAMLLRQVGVHFSNFTPLRSTVSCLLDQSHTCTTREHKENTHFVWDLYNSNIIFLEAFGRRAGAVARLRGKRKVLSPDEAALVIERTFRAYLIRRSKALRSLRELAVAKAKLKEIRALFNNYSYRQNIARDAEGRQRFSERIIALVLTVDAIQGADLMVRGARRSMLDELEAMLDAVDPNPSGKLGLLKWQAV >EOX90638 pep chromosome:Theobroma_cacao_20110822:1:208899:211622:1 gene:TCM_000053 transcript:EOX90638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MPKLPHLRGWRLSALSTIETHRYLKSLPSFHLYLKHRLSSSSSLGVPSHEHIAHLILDQKSAEAALQTFHWASKLPNFTHSQSTYRALIHKLCAFRCFNTVNELLDEMPTSLGVPPDEDILVALVRGLGRARMVRNVIKVLDLASKFHNTPSLKIFNSILDVLVKEDIDLARNFYRKKMMSTGVQGDDYTFGILMKGLCLTNRIADAFKLLQLIKSSPVKPNTVMYNTLIHALCRYGKVGRARSLMNEMQDPNDVTFNVLISAYCKEENLVQALVLVERSFTMGFVPDVITLTKVLKILCNAGRVAEALEILERVESKGGVVDVLAHNTLINGYCRIAKVKLGQRLLKEMERKGCLPNADSYNILISGFCESGMLDSALDMFNEMKTVGISWNFVTYDALIKGLCSGGRMEDGFKILELMEESKGGSRGHIRPYNSVLYGLYKENRLEEALEFLSKMQNLFPKAVDRSLTILGFCEEGSIEDAKRVYDQMAGEGGIPSVLVYDCLICGFCLKGCVRNAVELMNEMVGCDYFPVASTFNAVISGFCTQGKFGSALKLMEDMVGRGCIPDRGSYSPLINALCMKGDIQKAVMLLLQMLQKSIIPDDLTWNSVLVCLSQEREWLKSKKALHVNNLLQRIIET >EOX96491 pep chromosome:Theobroma_cacao_20110822:1:38000212:38001405:1 gene:TCM_005725 transcript:EOX96491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uclacyanin 3, putative MALAAAFLLLLLATPAAYAVQYTVGDSTGWTSTGDYTTWVQGKTFNVGDTLLFKYDSSHKVDEVSKSDYGNCNSGNSLKSYSDGNTVITLSTAGSMYFICPTVGHCAGGMKLAIDVVAASGNTPSTSSPPSGAPSGSSSSPPPPPTRSGAPSIMNNAGLILGFSLVLGAVLAVMS >EOX96006 pep chromosome:Theobroma_cacao_20110822:1:36318137:36322469:-1 gene:TCM_005367 transcript:EOX96006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Downstream target of A 2 MGNDVKVFQGFWRHGDQVCGCFSKIGFGRIKRLVSTTACIIFFFFLLTAALVPWIHVPIIQGNPFPQMIFSSRSSIKKHHSRTEYPLNCSDSGLQQKCPNNYPLVFEPDKLSTETCPDYFRWIHHDLQPWKNSGITKDMIERGKLSAELRLVIVKGELYMEKSGHPFQTRDLFTIWGILQLLRFYPGKLPDLDLLFYTGDSTKIKKRDYQGPNAKSPPPLFHYCGEEKAHDVVFPDWTFWGWAEVSIMPWEQMLSAIKNGSKRTKWEDRVPYAYWKGNPNVSKQRKDLLKCNLSDKYDWNTHLYIQNWTKENEEGFKHSKLEDQCTHRYKIYVEGVTWSVSEKYILACDSMTLMIKPGYYDFFSRNLVPMQHYWPIRSTSKCKDLKFAVEWGNNHTDKAQAIGKAGSKLIEEFLTMRNIYDYMFHLLNEYAKLLKFKPTIPLKAKRVCSKTTACSREGVWREFMEQSMVKSPSDKLPCALPPPYEPQALQAFLDKKEKTIRQVEAWQAEYWNIGRN >EOX93203 pep chromosome:Theobroma_cacao_20110822:1:11155600:11160041:1 gene:TCM_002035 transcript:EOX93203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline-phosphate cytidylyltransferase B MNNDSSATSNANDNLDPDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDEITHKFKGKTVMNEAERYESLRHCKWVDEVIPDAPWVINQEFLDKHKIDYVAHDSLPYADASGAGKDVYEFVKAAGKFKETKRTEGISTSDIIMRIVKDYNLYVMRNLDRGYSRKELGVSYVKEKRLRVNMRLKKLQEKVKEHQEKVGEKIQTVAMHRNEWVENADRWVAGFLEMFEEGCHKMGTAIRDRIQERLRGQQSGNFKYLMQNGKGDEDDEDEEYYYDDDDYDDDEEEYYDDEDYYDEKHEKNEKEKKAEKEKK >EOX92279 pep chromosome:Theobroma_cacao_20110822:1:6047646:6050673:-1 gene:TCM_001249 transcript:EOX92279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGHGKMLGEERWCVVTGDRGFAVCHLVEMLIKYKMFTVQSSICLLAMTSKRTRKTDYGCQRVPYYKIPADTRPDYTGLEYSINKFRVVIVACPLILATKLNFEGVEVVFHKAAPNPWINNYQLHHWGSRNLHWQRFSFQHNSYSATKAKGEALVIQSKGSTGIMNGGGVEKRGFVKSILRFVE >EOX94556 pep chromosome:Theobroma_cacao_20110822:1:30159895:30160965:1 gene:TCM_004190 transcript:EOX94556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSLLARGAPVLSAPSMCGSYNKPSSGAYNLGAGATLPARHPSKQHLKIRRYGFLTGGVQEARGPDEREAQVRAAHLSVRPDCARRSSMRGPVVHATCLRVGDLHSAFAGAALARQV >EOX93796 pep chromosome:Theobroma_cacao_20110822:1:15915783:15917536:-1 gene:TCM_002725 transcript:EOX93796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate/thiosulfate import ATP-binding protein cysA, putative MAAFVRRIKRVTDPLDDRVKARLVGVSYVSSGSEHSAAAAEDDSPCLSELVHSFLEDDKDAAEQTGYNSDPDRVDSNLDTTVSIEIIIKSSAFDNADSYRNLLMAHVLKAMEMLSFFKTDTSIFRRKIMAYLREIGHNAAICKTKWSSSGGLTAGNYEFIDVLQSVSSTWQNRYFIDLDFASEFEIARPTSEYSRLLQYLPRVFVGKNEELKKIVKVMSDSAKRSLKSKELSLPPWRKNRYMQNKWFGSYRRTTNQISACASSLTPAKIHPVNVVKCRYVGFDDAVNGRLFVRTR >EOX91225 pep chromosome:Theobroma_cacao_20110822:1:1962659:1963244:1 gene:TCM_000477 transcript:EOX91225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMVNLNSADIRTAVKPRSTDQWSNERYLMRQRYLRSYRFTKKKETVIQRTKKWLVEKQKEQYLSSDDKHAAAGTRKPKHKRLSAYVRACLIKLLLRLCVANVDTNAEESKLLP >EOX96201 pep chromosome:Theobroma_cacao_20110822:1:36985998:36986739:1 gene:TCM_005505 transcript:EOX96201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGARFPAFWFLSIPFMIFFTLLLSSVPKHHEHLFSTHDFIDVPKSMSRVAVFVMFNVIIITVFIGCPKPSDHHQDAGRHQLTSPPALEYETDTKDDNYHGSDGSDIEDLDGYHGYDGYDEDNDDDLDTEDEDSEEDEEDNDLERRIEEFIAKVNRKWREELLTESLLCITAS >EOX93805 pep chromosome:Theobroma_cacao_20110822:1:16017632:16019776:-1 gene:TCM_002741 transcript:EOX93805 gene_biotype:protein_coding transcript_biotype:protein_coding description:FK506-and rapamycin-binding protein 15 kD-2 MGVSCALKVAPILLLLILFAFADAKKSADVTELQIGVKHKPKSCEFQAHKGDKIKVHYRGKLTDGTVFDSSFERGDPIEFELGSGQVIKGWDQGLLGMCVGEKRKLKIPAKLGYGAQGSPPTIPGGATLIFDTELVAVNGKPSSGGNASEDEL >EOX95216 pep chromosome:Theobroma_cacao_20110822:1:33350664:33354867:-1 gene:TCM_004767 transcript:EOX95216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEISKTSITTISRDKNKAPDQNGLPLTKKRKRASAIRLLKVTLYALGLRSSKSKSKSIQVNLLSKFSWKGLVGSMRPMHLQSNQSPPPAIEAEPAMMPEAESIPLGKYVGEEISSPLSPMRDSSPSPVFSMSSYGSVSDMSQYESPLNLPDLQHVIKSCEEITQEPEFWYGDDDGDEMIDAKAEEFIAQFYEQMRRQNLNE >EOX91884 pep chromosome:Theobroma_cacao_20110822:1:4322399:4327953:1 gene:TCM_000945 transcript:EOX91884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhamnogalacturonate lyase family protein, putative MEKVVNRSHLRQLVFWLLTIIELLLLLSASSEQLSARKLLREDTTDPLQVQLETSDDNRVVIDNGLVQVTIGNPNGYLIGLKYKGFDNVLESRNKDQNKGYWDIVWDDNAIDKIETEQFKVITQTDDLVELSFSKTWNYTTDYRKAVPLNIDKRYIVRRGVSGVYMYGIFERLAEFPAAQMYQIRIAFKLQEDKFRFMALSDTRQRVMPMGRDRNSDRSQTLAFKEAVLLTNPTDPRLKGEVDDKYQYSCENKDNKLHGWIAEPDDNPAVGFWVITPSNEFRTGGPHHQDLTSHAGPTALSMFVSTHYTGKDIETSYNEGEPWKKVFGPVLIYLNSASKDARKTLWEDAKRQLNQEIESWPYNFTGSEDFPNADGRGKVSGQLLVRDRYMDNELMQAQSAFVGLAPPGEAGSWQTEGKGYQFWTQTDENGRFKIENVRPGEYNLYAWVPGFIGNYKSDLNITIEPGKDIKLGTLIYDPPRNGPTLWEIGIPDRTAAEFYVPDPYPTLMNSICIDDVDRYRQYGLWERYSDIYRHGDLVYTVGVSNYSRDWFYAHVTRDGGNSTKRPTTWQIKYNLEDVSETGNYTLQLALASASYAEVQVRFNYSDSDRPYFTTRLIGSDNAVARHGIHGLYRLYSIIVPGNQFQKGENKIFLSQTRSTGAFDSVMYDYIRLEGPTS >EOX95458 pep chromosome:Theobroma_cacao_20110822:1:34274570:34276191:-1 gene:TCM_004947 transcript:EOX95458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 family protein, putative MPIRNKAAKNIVDGSLPQQPDCYEGERLVRVLKSIQRGVESARELAGNSFPEKIWLKQQFAIGVNDVTRVLERMAPIADKGSSAQAPCVRLQAILLAADCNPRWLTKHLPTLASSRKVPLIFVKDNKKGSLRLGELVKLKTAIAIGVKARGNAINQIIEEILDGGEVNDGALLEVH >EOX93151 pep chromosome:Theobroma_cacao_20110822:1:10885291:10886337:-1 gene:TCM_002002 transcript:EOX93151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKKSWSLKKVVVGKESIWPWKLSSGFKWKRLDFQMKIVDNLVFRVLYVVEAIVLVSTLCFFYLCCGCHI >EOX93097 pep chromosome:Theobroma_cacao_20110822:1:10419310:10420430:1 gene:TCM_001941 transcript:EOX93097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCTSRPKDQQNLLILVWASKGPLCWPTSNGKTPNKNMGRFQIPPDIFARLALSLFLPCSNSVVWHLAPYNPKDKFFLFFYSLIFTLIFSL >EOX94908 pep chromosome:Theobroma_cacao_20110822:1:31965998:31973489:-1 gene:TCM_004508 transcript:EOX94908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease, putative MFLIANRNANGKTSLLCLVLSSPILRFYFDKFLAFRYLVEFEERWWWRGGPVVGTDFERKKCYRTKRRTRLTPRGVEKLGLHNAGYLALQRLARGLRLNYTEAVHSFLYSILEFVRDGDKNVAELMNIGQRLLGSLASDYLCSLCIRKRSGWSLNSSVCYYDIFLSEMSVFPEKFLEMEDKTIPGDVIFKAVFLVGSHYHFIEVDPSFVFYRRKAYGRRLNIPAGTAKRFEPGECKTVVLVSIGGRKVIRGGNGIVDGPVDDANVKIVMETIKREGYGSLKDANARFTTLARISALCFSSGSCHRKDFLLSVTGEGSAFSTIISCEGHANIDECVFWGGKVIRDGMGQSCRHPPTESSDIVITNAVIIDYTHIFKADIGIKNGLIVALGKAGNPDAIDGVFPSMIIGSCREGLIVTAGIDCHVHFIYPQLVHEAISSRGGTGPAEGTCATTFTPAPLQMKLMLQSTDDLPLNFGFTGKGNGSKPDELHEIIKAKAMGLKLHEDWGTTPDAIDNCLTVAEQLDVQVNIHTDTLNESGFVEHTIAAFKERTIHTYDSEGAGGGHAPDIIKVCSVKNVLPSSTNPTRPYTSNTIDEHLDILMVCHHLDKDILEDVAFAESRIRAETIAAEDILHDMGTINIISSDSQAMGRIGDIFC >EOX92990 pep chromosome:Theobroma_cacao_20110822:1:9816706:9817630:1 gene:TCM_001851 transcript:EOX92990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIEGFHPCSKAPPLQKKTPQGNSFIRCGERLRGLVLDLLVFAWGWISTVGHFILHTRNALCQTQFESCFP >EOX91583 pep chromosome:Theobroma_cacao_20110822:1:3192922:3196036:1 gene:TCM_000723 transcript:EOX91583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive GH3 family protein MAVDSTLPSPLGPPACEKDAKALQFIEEITKNVDSVQETVLGEILSRNAETEYLKRYKLNGATDRKTFKSKIPVVTYEDLQPEIQRIANGDKSPILSAHPISEFLTSSGTSAGERKLMPTIHEELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKAETKTPGGLLARPVLTSYYKSEHFKTRPYDPFNVYTSPNEAILCADSFQSMYSQMLCGLIMREEVLRVGAVFASGLLRAIHFLQINWKQLAHDITTGTLNPKVTDASIRECMSKILKPNQELAEFITKECLEENWEGIITRIWPNTKYLDVIVTGAMAQYIPTLEYYSGGLPMACTMYASSECYFGLNLKPMCKPSEVTYTIMPNMAYFEFWPHESSTSVLSRDSPPRLVDLADLEVGKEYELIITTYAGLCRYRVGDILQVTGFHNAAPQFRFIRRKNVLLSIESDKTDEAELQKAMENASVLLKEFNTSVVEYTSYADTKEIPGHYVIYWELLIKDPANAPTDAVLNQCCLQMEESLNSVYRQSRVADNSIGPLEIRVVKDGTFEELMDYAISRGASINQYKVPRCVSFTPIMELLDSRVVSKHFSPALPHWTPERRR >EOX94253 pep chromosome:Theobroma_cacao_20110822:1:26858636:26865743:1 gene:TCM_003810 transcript:EOX94253 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein MSLHYFFFLSNSPFFFVLSGLLFQEIAKPTMNTIVTTGKEKLVEVVAAHSLMPKDRERSSSPFVEVEFENQRHRTKIIEVNVFNERSSSNSRNFLKKMRVSGSSIAKEGEEAPQMYTLDKRSLFSHTREEITLKLYVSTRKEVKEVGIDNGMMVSASISSAGPAVPLAVGGVTGGGTGVRGHGGRLMFLLDINNNQEGNKDDLFGRVWFDLSDVLKKVPFDSQLAPKWYRMENRKEDKSKGEVMLPIWFGTQDHVELGRDEVVGRVLLLVTAIEKGSDHKQVVSRWFNLDNHFGNSVETKLVTPHS >EOX91443 pep chromosome:Theobroma_cacao_20110822:1:2752856:2758066:-1 gene:TCM_000641 transcript:EOX91443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein MFREGQSSTMGILNVMSTILFIVSSLSLSLAKSGTYIIHMDSSAMPKAFSSHHSWYLSMLSSILDPSEAAAASTTISKHLYTYTDSINGFSASLTSSELEALKNSFGYISFTRDLPLTVHTTHTSQFLGLSSVSGAWTAPNNGEDVIIGIVDTGIWPESESFSDEGMNKVPPRWKGKCESGTEFNSSFCNKKLIGARFYNKGLLANNPKLTIPMNSPRDINGHGTHTSSIAAGNYAEGASYFGYASGTARGMAPRAHIAMYKVVWRDGTYTSDVLAAIDQAIKDGVDILSLSLGLSVDDNILEGDPIAVATFAAVKKGIFVTASAGNDGPLYWTSINGAPWMLTVAAGSIDREFDGILTLGNGVQIIFKSLYPGNYSLIQMPLVYIDECLSVDELKQLRNKIIVCKDHLSISNQLENAESARVSAAVFISNYSSLSELYTRSSFPAAFIGLHDGQTVIDYIKQNTNPRGRFRFQKTTIGTKPAPRVDAYSSRGPFLSCPNVLKPDILAPGSLVLASWSPISEVTFVRSHPLFSNFNLLSGTSMATPHVAGVAALIKTAHPDWSPAAIRSAIITTAKLLDNTLSPIKDVSNYNFPASPLDIGAGHINPTKALDPGLVYDAAPEDYIKLLCAMNYTSKQIRVFANSSHNCLSRSLDLNYPSFIAFFTDEQSTSNQKVVKVVKEFRRTVTNVGKGGMAYTAMVTGMDGIKVMVEPQKLIFKQSYEKQSYKLSLEGPKSLKTEVIFGSLSWVDDDGKYMVRSPIVATSITP >EOX96659 pep chromosome:Theobroma_cacao_20110822:1:38577417:38581457:1 gene:TCM_005863 transcript:EOX96659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation proton exchanger MSTMPNTSRVDSTSQNVTVNQQCLNFVAATMMNGSGKFLALISAYVLSRVVHHLLRPLSQPYITSDLLIGLILATLPPVRDSFANQFTLTLDNIVDFGMICYMFVLGLEMDPYVIFKSPTRHAMVAYAGTLTTLIIATAITPWLHYSRHTNIVTFTISLSIHLSGSGSHILTRLITNLKIGKSDIGKLGIGAGVHSDMITMFFLSIGYIFVPTGDFASAQEGMKGAIKVGSALVIQTIVAAKISPIFMNWVNNENPEGKPLKGSHLVLSMAFMAVICTCAPWYGYNSFMSAFMAGLFLPSEGRISKWAINKINYLLSTLFYPLFFFWVGLKVDILSFRAGHIDTWGRFFSLVFITTVGKVAGTVICGLLLGYHWPELVALGLLLTAKGHFHIYFAIYGLRKEIIDMTTCISMVIVVFLTIVHTPFLVKHIIERARQRVPIHRMALQWLDPSSELRILLCVHGPHNLPSTINLMEISRGTPEPGLLVYVTDMIELTEQLAATLVQGEGVDSMTITDKSVTEMRDQIGHAFQAYIDDNGGGITLSRMLALSTFSGMAQDLSVLAEDLMVSLILLPFHKRLNADGTLDGGHPGFRYVNRKLLRNAPCSIGILVDRGFGSIEKISRSSLTNVAIIFIGGKDDREALSYAGRVARHPGVKLTVIRFLVDKTSENAPRRVNHRASVAEQEEEMKLDDECFAEFYERYVAGGKVAYMEKHLANSSETYTNLRSLGGQYSLIIVGRGGRVNTVLTLGLNDWQQCPELGPVGDVLSGSGFACQTSILIIQQHSIKGQLDGLSDEFSIM >EOX94194 pep chromosome:Theobroma_cacao_20110822:1:25208423:25226933:-1 gene:TCM_003646 transcript:EOX94194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITTTVHVQCGSAHDGDGEVRCGSAHDDIVVCHVEVHMMTLAMYCVGVHMSWVEPVVVCVLTHTIERFRKGYVIALNVEN >EOX94626 pep chromosome:Theobroma_cacao_20110822:1:30555555:30556599:-1 gene:TCM_004261 transcript:EOX94626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLLPNVTVDAPISLVTTVNHCHCRHLLSSLVGMSMGRVPVNFKCAIAIDYSNDVTSSFSLITPNSAIFQVIIRGGGDGGFLGKRVAALAGGTTPTVETPAAEEKKKEEKVEESDDEDMGFSLFD >EOX94147 pep chromosome:Theobroma_cacao_20110822:1:22908344:22918363:-1 gene:TCM_003436 transcript:EOX94147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAYDFLKNLACAKIILILGYQGYLVLGLSQSNSPRLLKSNVRINHIFILKTQPFLDVSGVHHHEVVRHDMNSIHISGDTVRASLIEPVASLHHGGFLSSPPWLPLFITVASFLPFLSKSITKNLIFSPFLLPFSALKSADFQLNFQQQNSSILAAQAVQFSAQIGSKQLQFFCIIFLHKLATTSSNFFAQFFCTNWQQTAPIFYIQNQP >EOX95999 pep chromosome:Theobroma_cacao_20110822:1:36268202:36269206:1 gene:TCM_005358 transcript:EOX95999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRKVCSTVSLLVCSLLIVTDSFIVEATTKTCKPSGKIKGKKPPGECNRDHDSDCCVEGKLYDIYKCSPEVSNHTKATLTLNGFDSGEDGGAPSECDNKYHKDSELVVALSTGWFNNKKRCLNFINIYGNGKSVKAKVVDECDSTMGCDADHSYQPPCDNNIVDASDAVWKALGVPEDQWGGMEVYWSDA >EOX94846 pep chromosome:Theobroma_cacao_20110822:1:31705473:31714113:1 gene:TCM_004459 transcript:EOX94846 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein MFAVQPLLRESNYCFVLPKICPLSLNYPAVFNFGDSNSDTGGLVAGKAFPLIPPNGETYFLKPSGRFCDGRLIIDFLMEAMELPYLNPYLDSVGSPSFETGCNFATGGSTILPANAASINPFSFNLQLYQFFRFKKRALALLSKDKELQKFLPAEGYFKQGLYMIDIGQNDLDTAFYSLKSEENVLALIPQLVSGLEYGMKILYDSGARNFWIHNTGPLGCLPRIIATFGKNDNLDELGCVNSHNRAANVFNMKLHDVCVNFLAQLPEANCTYVDIYSMKLSLISTHPLYGFQQPLAACCGYGGPPLNFDSRIACGLTKDLNGSIVTANPCNNTAEYINWDGNHYTEAANRFVADLILTGNYSDSPHLANAPSLT >EOX91823 pep chromosome:Theobroma_cacao_20110822:1:4033123:4035181:-1 gene:TCM_000896 transcript:EOX91823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial editing factor 22, putative MLVTTRAVGFHFVRVSIELPLGCGHNCFSCLARHLFDKIPQRSGFQLSKGNGLTEKCEVVVSNESAEPSNVPQALHSFCKKIASGTKPTRFLLCSALSSCTKTQSWHLGLQLHARIIQSGYEQNLILNTVLVDFYAKCEAIFYARRVFDSMKNHDQVSWTSIISGLSQKGHGKEAILMFKKMFGTCIKPNCVTYVSVISACTMLEEALEESALLYGHVTKLGFNSNSFVLRALVDCYSSCGRIDQAVLLLKEAKEWDNILLNSMISSYSQNLYGGEALKLFIKMRKENLRPTNHTLTSILNACSSLTVVNEGRQLHALFTKMGSQSNVFVVTALIDMYSKCGYVEEARHGFDQTVQKNRVLWTSMIMGYAQSGRASDALEFFEHLGTKESYVPDHICFTAVLTACNHAGILDKGIEYFNRMTRDYGLGGCNKLFKMEPLDTASYVTLAHIYAKVDLWAEVAEIRKLMQQKGLRKSAGWSWVGVDNMVHVFSAGDATHPRSQEIYAELEKLIPGYTETQVSQFESGYH >EOX96729 pep chromosome:Theobroma_cacao_20110822:1:38791643:38793514:1 gene:TCM_005919 transcript:EOX96729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MLKNLAILGCESLESLPKGLVHYDNSRITTCHHEDLEILGCPSLCLFPPGELPAALTQLEIWDCKQLECIPERLLQNSRSLEFIRIGNCKKLKAFPQCMYSFEDLTELHVNQCPSLQSFPESGLPIRTLRTVLISNCVNLKSLPNKMHDLTSLQYLTIFGCPSVKYFPEGGFPPNVLSLSIWGCKQLKQPFAEWCLNKLTSLEDLNVGDFDLDMTPFPEDSTIPRTLVHLRVQSLPNLRFLSKGLHNLIFLEVLDVWDCPKLQFLPKDGLPIMLGVLHIRNCPLLENQCLDEKGAFWPIISHLPLVRIDYVDI >EOX94255 pep chromosome:Theobroma_cacao_20110822:1:26884603:26891241:-1 gene:TCM_003812 transcript:EOX94255 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-like carbohydrate kinase family protein MLSSLAPFPNAISLNLVSPLSSARSPPSLFSSFRAQMSSESLPLLPQHRIVLGCGAVSVDFLATVASYPNPDDKIRSTSLKVQGGGNAGNSLTCAARLGLNPRLISKVANDAQGKSILEELEADGVDTSFLIVSEEGNSPFTYVIVDNQTKTRTCINTPGYPPMMPEELSQSSLLSALDGANLVYLDGRLHETALIVAKEAARKNIPILVDAERKREGLDDLLNFTSYAICSAKFPQAWSEAPSVPSALISMLLRLPNLKFVIVTLGEDGCIMLERTVDGGSDAEEKDIHSLLESLKLRIDDSKTTPTCVSSAVTKLSANGIGTVTGRLFVGTAEKIPPSELVDTTGAGDAFIGAVVYALCACMPPEKMLPFAAQVAASGCRALGARTGLPHRPDPHLATFL >EOX95897 pep chromosome:Theobroma_cacao_20110822:1:35930292:35932647:1 gene:TCM_005287 transcript:EOX95897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fringe-related protein, putative METILTRLGFSFFLVFVFTFYDVSSSFSPIDNYLVNSGASLDSTVDNRRFISDSSDSSNSQRLSSPETFSLCAGTLLPGLPQIYHTARVFKAPSKYVFDVKDPGMHMVRLHFHQFSSSKLNLGDSQFHVLVNGLVALTNFSGGNLVGPKVMEYLLCIHSEKLEITFVPAKRSKFAFVNAIEVISAPQDLILETAQSVNGDKVKPFEGLNQQAFEVVHRVTVGGAKVTPFNDTLWRTWVPDDEYMKSTEGSTRVYFAGRIKYQAGGASREVGPDNVYNSARLIQSKNASIPNMNLTWEFPVIEGYKYLVRMHFCDIASISLGLLFFNVYVNGHLAYKDFDPSAVTNYLLASPIYADFVVDADHSGVVSVSVGPSSNSMAYAVDAILNGVEIMKMNNSVGSLDGELPAESVLKCWPRRSAGILLSLIALVCLLLSLSAIIHRRNTNKVDSVPWSKLPVDVPEISPKQGKQQLSSIKIVKDPLAL >EOX92976 pep chromosome:Theobroma_cacao_20110822:1:9692282:9693633:-1 gene:TCM_001833 transcript:EOX92976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRDLFPVLREKGSKAYMAIERRKRLPDVANHVVYGGNRGWRKGWQRRDLGSWCRRLLCFQLEEGVRQFTRSTDLFLVDRKIFYQMKIFSSYRTPLSFENVFQKNIFSQNKQTLHNEKNTKSYQPW >EOX94000 pep chromosome:Theobroma_cacao_20110822:1:18288071:18292463:1 gene:TCM_003028 transcript:EOX94000 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein, putative MVWKTLIVRERRRKDSIFQPKTHFFPPMPRFASACRNVFENTSPLISFALISSVPKPIRSSINPSPTPPHFFFFFHKGMEDDHREKVSQTKVDDGTTRVFPCLFCSRKFYSSQALGGHQNAHKKERSAARKAKRASEYGPTSFSSSPTLPMVYAPASHHLGTLHPPMYITAHAANLRCFPNNHHISDRFGSNGAARFDNKVVFYGGSSNGYHHHHHHQFEEEEQSHLNWQRSIRCNRGLSQRLAMADSNQGAGSADHKDKDQKLDLSLHL >EOX95235 pep chromosome:Theobroma_cacao_20110822:1:33459450:33461105:-1 gene:TCM_004785 transcript:EOX95235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWDLRWSDDTWSQTRSSDDMARRGNGAARDCRNCSFCKGGDCGGKGKLNPRTRDGSPGLSGQSHALTGYTR >EOX92165 pep chromosome:Theobroma_cacao_20110822:1:5566359:5569856:1 gene:TCM_001160 transcript:EOX92165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulase protein isoform 2 MTYVAFLLFLCLISCVPSSPYAQNTDLKLPLKAVNLGNWLVTEGWMKPSRFDGITNKDLLDGTQVQFLSTKLNKYLCSENGGGTVVVANRPSASGWETFRLWRVNETYFNFRVFNKQFVGLGSQGVEAVSNTPTDSETFQIVRNDGDLNRVRLRAANGLFLQAQSETLVTADYAGSSWDDNDPSVFKMTIVVNNLHGEFQITNGYGPEKAPQVMQDHWNSYITEEDFNFMSANGLTAVRIPVGWWIAQDPTPPKPFVGGSLEALDRAFTWAEKYGMKVIVDLHALKASQNGNEHSGARDGYQEWGDSNIDETVAVIEFLAARYTGRPSLAAIELMNEPLAPGVTFDALTKYYKAGYDAVRKHSNAYVILSNRLGPTDSKELLSLASSLDRVVIDVHFYNLFSEGFNNMNVQQNIDFINNQRSSDLSTLTSANGPLVFVGEWTAEFARNDASKEDYQRFAQAQLDVYGRATFGWGYWAYKCAQNHWSLKWMIENNYIKL >EOX92166 pep chromosome:Theobroma_cacao_20110822:1:5567151:5569835:1 gene:TCM_001160 transcript:EOX92166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulase protein isoform 2 ASGWETFRLWRVNETYFNFRVFNKQFVGLGSQGVEAVSNTPTDSETFQIVRNDGDLNRVRLRAANGLFLQAQSETLVTADYAGSSWDDNDPSVFKMTIVVNNLHGEFQITNGYGPEKAPQVMQDHWNSYITEEDFNFMSANGLTAVRIPVGWWIAQDPTPPKPFVGGSLEALDRAFTWAEKYGMKVIVDLHALKASQNGNEHSGARDGYQEWGDSNIDETVAVIEFLAASLDRVVIDVHFYNLFSEGFNNMNVQQNIDFINNQRSSDLSTLTSANGPLVFVGEWTAEFARNDASKEDYQRFAQAQLDVYGRATFGWGYWAYKCAQNHWSLKWMIENNYIKL >EOX96489 pep chromosome:Theobroma_cacao_20110822:1:37995723:37996983:1 gene:TCM_005723 transcript:EOX96489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMPAPERCSDFPNEFCDHCFDFVFSLFFDLPEFISAKLSFRIQNQLLLCFGDKILIRNTNLSAIAFCSCKLGVTIWKL >EOX92217 pep chromosome:Theobroma_cacao_20110822:1:5768718:5769782:-1 gene:TCM_001198 transcript:EOX92217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFGACESQCCYLAQRIVACGLFICFIRLHTTVWTRSAQGCLGPVEIGGLLCDNKREVKVLFSKSIGEALVVFAASKWKDEFHLIIERIPLTWSMDEIICYSSLESKEGFAAD >EOX92445 pep chromosome:Theobroma_cacao_20110822:1:6863751:6866146:1 gene:TCM_001393 transcript:EOX92445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein MNSSALLKRQKLLKILQVPYIFRQRCPKLKALQNPCAQIQNPLRVLGISQYSTQSTKFPEYQMPSVTWGVVQGKKEKLVNRVKICDYLKTLGIIPDELENLELPSTVEVMSGRVEFLQKLGLTIDDINEYPFMLGCSLRKNMIPVLGYLEKIGIPKSKLGEFVKNYPQVLHASVVVELAPVIKFLRGLDVEKQDIGYVLQKYPELLGFKLEGTMSTSVAYLVSIGVSPRDIGPMVTQYPYFLGMRVGTMIKPLVDYLVSLGLPKKILARMLEKRAYLLGYDLEETVKPNVDCLISFGMRREAIASVIAQYPQILGLPLKAKLSSQQYFFNLKLKIDPDGFARVIEKMPQIASLNQHVLMKPIEFLLGRGLPLEDVAKMVMKCPQLVALRVEHMKRSYYYFKSEMGRPVKELVEFPEYFTYSLESRIKPRYLKLQNKGIRCSLNWFLNCSDQRFEERLEGDYIESESLGPSFCMGGKLELPGNDIVSDEEDESDDEILYRRTVSL >EOX95326 pep chromosome:Theobroma_cacao_20110822:1:33759669:33760674:1 gene:TCM_004850 transcript:EOX95326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, putative MANTLALNPNTYLFSLSGNLIETTVTDKACVADAWVCNIRSMYNGQQMIVGLDSEWRPNTTSTLINRTAVLQLCIETKCLILQLFYLDYIPQSLKSFLQDPNVTFVGVEVERDAAKLRDEYGLQCARIADVRELTMNRWPLLFHRKPGLKDIAYQVLGLSMPKPMHVSRSDWQSSVLDCSQIEYACIDAYASYKIGHSLLK >EOX91838 pep chromosome:Theobroma_cacao_20110822:1:4086555:4092192:1 gene:TCM_000905 transcript:EOX91838 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA-dihydrouridine synthase MDYGNKLVLAPMVRVGTLPFRLLAADYGADITYAEEIIDHKLIKCERKVNEYIGSTDFVEKGTDNVVFRTCNQERNQVVFQMGTSDAVRALKAAQIVCKDVAAVDINMGCPKSFSVSGGMGAALLTKPDLIHDILTTLRRNLDTPVTCKIRLLKSSQDTVELARRIEKTGVSALAVHGRKVADRPRDPAKWNEIADIVSALSIPVIANGDVFEYDDFQRIKVATGASSVMVARGALWNASIFSSKGKAHWEDVKREYVRKSILWDNDVKSTKHTLREIITHYSCLELPEGKAVIKSENLADLAKVYGEEEYYRLVSENRFSLNGHR >EOX93064 pep chromosome:Theobroma_cacao_20110822:1:10231750:10236027:-1 gene:TCM_001912 transcript:EOX93064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKELEFLDDELREELQSTLNEIVNMLNQQDGTLEKLIKLTGPGWAPADNSYTSLSPVKTRNISRGGT >EOX94117 pep chromosome:Theobroma_cacao_20110822:1:21985177:21991196:1 gene:TCM_003330 transcript:EOX94117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRTRVPRKINYGEPGRVTTRKKTTTHKRKNSDSKLIAPKKIRVGKSGEVPPVLENWEDEIIRERGEDHFWEEVRARQVDEHPFESVGYDWNRLCSEAPPHRRSGILGPSILKFRFERGEFPLSATKLGSNSQFVHGWDEWVTKVLKNPSYVKLLSSAGIFDAIRITSNLNIRREKRIDVWRTILARWSMFSHTMIIAWGEFTFTLEDVCVLLELPCIRKDDFYSIKLSEEEMCLWERFGTCAPMPNAYPFASFSVNNPLSINNYKAWAWHDRLQRGNVLEVMDVTKEFNPRPYVQPINGFGDPAIYYDLHPLQSGRMSSRVYSPYRVARQFGFDQPAPPDSSSPISFSSYVSPFLMTGLSLNSDKLKSCTIPAFDRVGIHTSGWFAYWGECIGEWRSFTVPLTNPTARLYTPHVSNNDISLRLIPLKKKKCMTEEEDTVVPAPIRQTKDKHAYRKVKLNSVEKEETSEVETEEESCDSERSDEFDDESIDVDKVEIEGRPTPFDDFIDLDVLFPNHVQSSTMNQIVLTPQAIRDEVVSDTKTIPAGEVVPKVTLNIKVIQDVRINTDDVRAIPMTPRVYSSSVPEHKDTSSASGTQIAYTEQSGKKVDFHDFQVSLEYATYLE >EOX92433 pep chromosome:Theobroma_cacao_20110822:1:6819650:6825613:1 gene:TCM_001385 transcript:EOX92433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 1, putative MQKGSLLFLLHPNFLMAHASPILLLFLLLSLQVIAQENETNIIKPGSSLYPRKHPSSWASSSGHFEFGFYPQGSGFSVGIWLVGQPENTIVWTANRGDPPVSSNATLVFTGQGGLLLQTENGKENLIVDVRESVDSASMLDTGNFVFFQNRSVVWESFDSPTDTILGGQNLSTNYNLTSSVSRSNHSTGQYYLIMQGDGNLVAYVRSAAVDTRDAYWATNTYGSSLSRLYLNERGRLLLYAYPEERGLANSSQIGDKTVITYRATLDPDGVFRIYSHQFESNISSNGTKEWQNLNDLCDVKGNCGLNSYCSSRNNDVECYCYPGFTFINENRKFLGCSQNFTLDGCEARKDLVMHYNFTTLENMDWVGSGYSLMNMNEEDCKKACEEDCYCGGALYSRSSGSCTRYSLPLIYGKRRGDISTTAFIKLILGSTPTIPPPQSPTLIDEGNQRLISTMGLSLGSVSCLCFVIAISSFLIYRHRMQSYRKLLENKNSGFTEQFTLRSFSFSELDKATDGFKDELGRGSFGVVYKGILPGDKDSKTVAVKKLEKVKEGEREFRTEMAVIGRTNHRNLVRLLGFCVEGSRKLLVYEYLSNGSLANFLFNTSESPNWKERARIALDVAKGILYLHEECEVCIIHCNIKPQNILLDDSMTAKISDFGLAKLLRPNQTSISGIIGTAGHLAPEWQRNASLSVKADVYSFGVILLEIICCRSSIDVEVSNADEILLSTWVYNCFAAGELNQLVGGEEVDLRMLERFVKVGLWCIQDDPTLRPLVKNVTLMLEGKMDVPVPPFPSLSHVTY >EOX95880 pep chromosome:Theobroma_cacao_20110822:1:35882163:35888327:1 gene:TCM_005276 transcript:EOX95880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase family M48 family protein MAFLYMEAVVCFMILMYFFETYLDLRQHAALKLPTLPKTLEGVISQEKFEKSRAYSLDKSHFHFVHEFVTILMDSAILFFGILPWFWKKSGNFLPLVGLNAENEILHTLAFLAGVMIWSQITDLPFSLYSTFVIEARHGFNKQTIWLFFRDLIKGMCLAIVLGPPIVSAIIVIVQKGGPYLAIYLWAFMFVLSLVMMTLYPVLIAPLFNKFTPLPDGELRLKIEKLASSLHFPLKKLFVVDGSTRSSHSNAYMYGFYKNKRIVLYDTLIQQCKNDEEIVAVIAHELGHWKLNHTMYSFIAVQILTFLQFGGYTLVRNSTDLFRSFGFDTQPVLIGLIIFQHTVIPIQHLVSFGLNLVSRSFEFQADAFAKKLGYGSALRAGLVKLQVTELEFSPLFQEENLSAMNTDPWYSAYHYSHPPLVERLAAIDEPDKKED >EOX94813 pep chromosome:Theobroma_cacao_20110822:1:31558774:31561008:-1 gene:TCM_004430 transcript:EOX94813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenazine biosynthesis PhzC/PhzF protein MARTPVKYYVVDAFTESAFKGNPAAVCLLEEDRDEQWLQAVAAEFNLSETCYLTRISDSSSDTPRFRLRWFTPVSEVKLCGHATLASAYTLFTAGLVNSNIIEFDTLSGILTAKKDPDFKAPTEVPQIQNGEEHQHCFSVELDFPTVPNTEFNSTEVSAISKALNGAAIIDIKRTTPFDELLVVLSSSKSVTELQPQIDDIRECTGEGIIVSGAAPPESGFDFASRCFFPKDGIDEDPVTGSAHCALAPYWSQKLGKCDFIAYQASARGGILSLHLDEKNQRVLLRGKAVTVMEGTLMV >EOX91526 pep chromosome:Theobroma_cacao_20110822:1:2986535:2987670:-1 gene:TCM_000681 transcript:EOX91526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTETGGRRLPMMNRLSVRSQHLGSPPSHAFSSSLLHLNFVSDAFPSLTFALTFALWCVRSQVPGNCTLPCFSSTSQHVPLQNVKNDLQPNKKSNQKKKKTLLKLSCNEDFLQEY >EOX94964 pep chromosome:Theobroma_cacao_20110822:1:32214480:32215051:-1 gene:TCM_004552 transcript:EOX94964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein MDKVRDLASKKAAVIFTKSSCCMCYSIKTLFYELGASPAIHELDHDPSGRDMEWALRGLGCDPSVPAVFIGGRFVGSAKDVISLHVDGSLKQMLIDAKAIWF >EOX92767 pep chromosome:Theobroma_cacao_20110822:1:8527202:8537695:-1 gene:TCM_001649 transcript:EOX92767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLVEDVVEMHDDYAEDDIADWNDDDYVDGHDDCLEEDKGDDNDISDYNHMDGSIEHATAIVLEDV >EOX95123 pep chromosome:Theobroma_cacao_20110822:1:32936560:32937490:-1 gene:TCM_004684 transcript:EOX95123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLHPKPFSSIAFLPYILLFLISSSSASGDNTLTAYEVLEEYDFPIGLLPNGVLSYELDNSTGEFSVYLNGSCTFSIDSYELKYKSTITGVISKDKLTSLSGIKVKVLFLWLNIRSVIRDDDELELSVGIASADFSVDNFSECPTCGCGFDCDTVGRKINSNHPLSYY >EOX95691 pep chromosome:Theobroma_cacao_20110822:1:35250017:35253924:1 gene:TCM_046990 transcript:EOX95691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cofactor of nitrate reductase and xanthine dehydrogenase 3 MLLRRLAVAVPRSTRFFCGNGSHDLASTIAELNKEMESVFGEPPPDGFASSRSKSFMGQESQLNSHVISSSTSNKFTVEELPGLSQTLGENAAGLTHVGCTGKAQMVDVSPKEISSRTATASCKVILGKKVFHLVLANQMAKGDVLSVAKIAGINGAKHTSTLIPLCHNITLTHVRVDLRLNPEDFSVEIEGEAASTGKTGVEMEAMTAVSVAGLTVYDMCKAASKDIQITDIRLECKTGGKSGYWCREE >EOX96226 pep chromosome:Theobroma_cacao_20110822:1:37059504:37060582:1 gene:TCM_046704 transcript:EOX96226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MACWSAENATKAYLRALKMGKRGKEPDVAEFISAIAAGNNAQLMVMACAGVVGSTALALVAASRPTGGRVVCILNSLDDYQASKTTLGSYGDCIHFVIGDAKRILNDYKTADFVLIDCNISDHKGVIRAAQEGAKHGGALIVGYNALNKGPCWSTEFKTHFLPIGEGLLVIRKGASSKAGDGVSCRKRSRWVVKIDKWTGEEHVFRITSPLPEDQMIKA >EOX92005 pep chromosome:Theobroma_cacao_20110822:1:4873835:4876811:-1 gene:TCM_001033 transcript:EOX92005 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MSNCGIPMDAVSSILSRLPLKSLLRFKSVSKEWYSLINDLYFIKLHHRQSVETKVNIIIKEIRSDKFLSTNFDGINFNNPTTIDHSLKHLSGCDEGGIDVLLFGSCNGLVCLINSMTIVLWNISTRDYKIILNKSWKERDAWWKISNFCGFGYDTINDDYKIVKVVQEVDSLTDTLISEAKIYSLKTNTWRRGEEIPYYFCYPSVMGTFVCGALHWNAIKEKIWGYPRSIIAFDVETENYGLIELLDNMENQTYDVMMKDYGVKESWTMLYSFQGGIYSTPSLYGLRPLTYSRNGDQLLLDRNGLSLLWYDLKEKKVKDVDLPQWKNINAFFVEICGESLIKLGDPTEE >EOX93935 pep chromosome:Theobroma_cacao_20110822:1:17584863:17587185:1 gene:TCM_002942 transcript:EOX93935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B5, n4,ATCB5-B,CB5-B MGGRGKVYTLAEVSQHNNPKDCWLVIEGKVYNVTKFLEDHPGGDEVLLSATGKDATDDFEDVGHSSSARAMMDEFYVGDIDTSTIPTKIKYTPPKQPHYDQDKTSEFVIKLLQFLVPLLILGLAVGIRFYTKSPA >EOX95156 pep chromosome:Theobroma_cacao_20110822:1:33085700:33087174:-1 gene:TCM_004709 transcript:EOX95156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein MALTRLSLAFSLLLLSLLVIASAGDYSNDDSSKYGFDGIPADSPQAKPEEEEKPTKPDYYKPKPVDKEKPDYGSKPEVVKPKPEGKEKPNYGTKQNIYKPKPEEKEKPEYGRKPYVAKPKPEGEEKPYYGTKPDNYKEKPEEKSGYGGEKKPDYDTKGYLYKPKTEEKEKPEYGRKSYVVKPKPEGEEKPYYDTKTEFYEPKSEEKENLLSVGVQGLVLCKSGSKYYPIQGALAKITCKAVDKGGLEKTLSICSGATDAKGYFFATLSHSGLVDKLKVKDCKAYLESSPLKTCNIPTNDNKGIDGAPLSNFSVLNKKMNLYSVGPFFYTSEAKSATNGY >EOX96568 pep chromosome:Theobroma_cacao_20110822:1:38275762:38279188:-1 gene:TCM_005795 transcript:EOX96568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-related MVMKKLCFCEWILLCHLLLATAVCSKHHGNPANDLVEIINQNRTAQKLPQLNDSPGLGCMALQYVELCKGNCSGNSAVNCKPPDDDFTEVFAPNCGVELPTFGTITGHIVGCQSKYTEPSLAFSHVLVKDKKSLSLLKNKSNTEVGVGLVGFHKGPFFWCILFSNGRTNSTFVLEDRGQGIKQKKGCYSGSSFPCNAGQRSAVFLNHILTLSCLLIPLLNHI >EOX91481 pep chromosome:Theobroma_cacao_20110822:1:2836166:2838066:-1 gene:TCM_000657 transcript:EOX91481 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein MAGIRCISSTMVQAETHKAGTQRVELTPWDLRLLPLGPIQKGLLFPRPKPSPEKEIENTLIHHLKASLAHTLDYFPPLAGRLATTEHDDDTISFCIDCNNSGALFIHAEADGVTELVDGVFVGCTINHSVVDGSSFWHFFNSWSEISRGSIPLSKLPVFQRSFFNSINYPIRIPRSYVEQFHEEFILPPNLKERVFHFTKQSIAKLKARANAEVGTNNISSLQALLSHIWRSVIRNKNLDPNEEMIFYMLIGARPRLRDLPEQYFGNAVTSEGVKMKAKELQEQGVGDIAWQMNRIIATQTEVEFKNGLESWIASPKLVTMAM >EOX96396 pep chromosome:Theobroma_cacao_20110822:1:37641095:37642524:-1 gene:TCM_005646 transcript:EOX96396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMLWSTTVLSSYVASQVAATTSATTMSLEREAKALLESGWWSNYSNDTLQRCNWTGISCNDAGSVTKIYPPSGVIKVGDKFKNMDFSCFLNLVFLSLGGHELNGTMEDFMFNIGNLSTLRHLDLSNNSLYGRLSTRLGTDLRDRFLRLFIF >EOX95171 pep chromosome:Theobroma_cacao_20110822:1:33173003:33174737:1 gene:TCM_004728 transcript:EOX95171 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXORDIUM like 2 MTKILFFTSLLLPHIFIVALASQGQPQPSSLAVASTTFQYHGGPLLTTPKGINIYLIWYGGFSQEDRNSITDFFASFADSGRRQGPSVSTWWRTISAYKDKAGKPVSSTVRLVKQVGDVYSSGKTLKRAQIANFVKSKIDNKIFPLDSSGIYLVLTAKDVTVERFCMGSCGFHESIMVGAMARVVYAHVGDPTVECPGLCAWPYALPAYGPPGQALLAPNGVGADGMIINIATLLAGAASNPFKSGYFQGDALAPLEAVTACPGIFGAGAYPGYPGTLLVDKMSKASYNAYGASGRKFLLPAMWDLISLNCKSTT >EOX94032 pep chromosome:Theobroma_cacao_20110822:1:19403799:19404653:1 gene:TCM_003116 transcript:EOX94032 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-protein, putative MKNSLQTMSSFDSLVLLLVLALATVHPYLAAADQVGLPPEFTSWHVYVVNGLSNNRTLFLHCKSKDDDLGIQNLSPGTSFTWSFRQNLFGRTLFWCYMSKDGNAHAAFKVFWQDALLFHKCLWKNCIWTAKDDGIYIKDLARDSDEYRGQWQPGLLQD >EOX93679 pep chromosome:Theobroma_cacao_20110822:1:14971359:14976415:-1 gene:TCM_002582 transcript:EOX93679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase/thiol ester dehydrase-isomerase superfamily protein MEFNCSSPNTIPVVSTFASPFDNSPPANDSKSSSTRKPISLWPGMYHSPVTNALWEARSQIFERLRDPPKDAPPQSELLTKTPSQSRTSIRYNLSSDYILREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDDDSTTRPLMLVTASVDKIVLKKPISVDIDLKIVGSVIWVGRSSIEIQLEVIQSTKEDSDVSDSVALTANFIFVARDSKTGKAAPVNRLSPETEHEKFLFEEAEARSKLRKRKRIDRREFENGELNRLESLLAEGRIFCDMPALADRDSILLRDTHLENAMICQPQQRNIHGRIFGGFLMHRAFELAFSTAYAFAGLVPCFLEVDHVDFLRPVDVGDFLRLKSCVLYTELENPDQPLINVEVVAHVTRPEIRSSEVSNTFYFTFTLRPEAKATKNGFKIRNVVPATEEEGRRIIERMDAELLTRQNQ >EOX93861 pep chromosome:Theobroma_cacao_20110822:1:16859907:16863209:-1 gene:TCM_002842 transcript:EOX93861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCTHICANDSVIKSPRVLQGGAIDQHDGVHHDDVEKDYGQDDEVGVDKTNSGGHDRQVDDSVDHDDIDAERDDALHADEGVDEVVGRVQNLQAVSEDPTSVDMLQLVLYVPHAQSTPSLQTTHPVYTTPMLLFEGIASQPSSLASFCSQHHAKFHLDQTKAAQVIMASKYKVSLYVDPLAHHRDEKNTMAVLCKHLTDSKSNLYNTNVCMVDMGLFDTIHMLCIQISDNLDLTNMKILRELVFYVQGDRPTYKRKWAEVDFILAPYNVDEHWAIVRIDLVK >EOX93833 pep chromosome:Theobroma_cacao_20110822:1:16283800:16285939:1 gene:TCM_002778 transcript:EOX93833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIPDFDGACGFSFFACGCIGFFPWAFVGNVAGSWDKDSFTSKDSLVSFLFLRLSSFSFEALSFLYRSKEDGSFDTGGISRQVSNGILGTLEARVDRDKRFFLSKDGIFFVS >EOX90666 pep chromosome:Theobroma_cacao_20110822:1:274857:276297:-1 gene:TCM_000068 transcript:EOX90666 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B4, putative MKGGKTKADAMQTDDKLKRKAARATKRAKKAAKDPNKPKRPPSAFFVFMEEFRKQYKEEHPDNKSVSAVGKAGGAKWKSMTEDEKAPYVQKAEKRKSEYNKSMQAYNLKLAGGANAGNDDESDKSKSEVNDEDEDEGSGEEEDDE >EOX93243 pep chromosome:Theobroma_cacao_20110822:1:11532303:11536231:-1 gene:TCM_002087 transcript:EOX93243 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY family transcription factor MAEGSGLSIDLDPNSFFLHKPTVLNSFPDDTKDNNIINNKNSKRSSKVFSPTTPLMDATTASRSSPPTIQFPVNLNCSLDQHPSLPSDDHKRTVIGEMDFFAQKNNKRVDSDEDGDANPIHTSDADVKDSTERTALELNVNTGLNLLTTNTTSDQSTVDDGISSNLEDKRAKNELAVLQAELERMMAENQRLRDTLSQVTSNYNAVQMHLVTLMQQQHDGKAEKAEEQDPMMEEKSEQKKPNGGVIVPRQFMDLGLAAAAAADADEPSLSSSEGRSHDRSGSPNNNTEVASKEFGLRKSGNSEEGRGTGREDSPDQGSQGWGANKVPRFNSSKNVDQTEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTSSAARMLLSGSMSSADGLMNSNFLTRTLLPCSSSMATISASAPFPTVTLDLTQTPNPLQFPRPPGQFQVPFPNPPHNLANSPAALLPQIFGQALYNQSKFSGLQMSQDMEQPQSVHQLPQGQQNSLADTVSAATAAIAADPNFTAALAVAITSIIGSSHPNNVPNNNATNFTSATNSSGNVTTTSNSNSNANNKISNSSFAAN >EOX94137 pep chromosome:Theobroma_cacao_20110822:1:22504899:22514150:-1 gene:TCM_003388 transcript:EOX94137 gene_biotype:protein_coding transcript_biotype:protein_coding description:F7F22.17, putative MCDASNYVVGAVLGQRKAKILHPIYYASRTLNEAQANYTITNKELFVVVFAFDKFCSYLVGTKVIVYTDHAAIKYLIEKKDTKPCLIIWALLLQEFDLEIRDRRGTENLVVDHLSRLENGEQIRNSIVINETFIDEQLIQAEKQKTCHGNISKRHEIPLNNIIEVEIFDVWGIEFMGPFIPSFNNEYILAAVDYVSKWVEAIALPRNGSKVVINFLQRNIFTRFGTPKAIISDEGSHSARRISRQSWPNME >EOX94670 pep chromosome:Theobroma_cacao_20110822:1:30761344:30763660:1 gene:TCM_004284 transcript:EOX94670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLISAKCELDLRVLFPTAPYSKAFTLWRNISYPLTIDDAFHESVTANLGYFLRVIADYGSWEGNLWNCPTSLGVICLVGSLGNGPISWTSSHQSNFTILTLIKPYGRSPLVVITRLHLLLAFIKRTDVFLKIIGNNFRKVLHPKKLKSSLGSKITFKNKKCKAKPVNKWTRPPLGSFKLNVDGSTFGKLGPIGIGGVIRDHDSFIKGVFSFPIGIEDSNFVEFFAIN >EOX96461 pep chromosome:Theobroma_cacao_20110822:1:37883367:37885391:1 gene:TCM_005707 transcript:EOX96461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive element binding factor 13, putative MWEIIILSKKIISMESDLALLESIRQHLLADDFEASMGLTASFHGSTPAYLRGASFTSLLSGENWSATATPSSEPLGLTNAVKAEPAGDVLMEEETVHVAGPEPYAPPKMEHYRGVRRRPWGKYAAEIRDPKKNGSRVWLGTYETPEDAALAYDQAAFKMRGSKAKLNFPHLIGSGDLELVEPIRASPKRRSPEQPSTTSLSKDTPKRRR >EOX95753 pep chromosome:Theobroma_cacao_20110822:1:35465515:35468270:1 gene:TCM_005179 transcript:EOX95753 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 46, putative MERTMDWEQKTLLNELTQGKQLTNLLRQHLHPSSSPETRQDLLEKILCSYEKALSMLNWSGFVVETKPTSSTLESPASIANSSLGSEGSDKKDVSKKRKTSSGWTEQLRVCSGTSLEGPLDDGYCWRKYGQKDILGSNFPRGYYRCTHRHSQGCLATKQVQRSDEDPTIFEVKYRGRHTCNQVSHFAVTSVPVTREMSKGQGNHSRKRQQLEEKQKQSQEMFMSLGAGLMVKTEELDTREDIFPSFPFPVESEEVENSMFVKSLMENDIMGNLSPTFMSPATSESNYFSVSPCHMGSFGFAQNVQTSESDLTEIISAPTSVTNSPIGDLDISSLDKLEFDPSFPFDNSEFFS >EOX91132 pep chromosome:Theobroma_cacao_20110822:1:1697875:1700729:-1 gene:TCM_000413 transcript:EOX91132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anti-Muellerian hormone type-2 receptor MLLLSPASTSLLSLKRNRFIVTYKRPRLQTPLKVLGMAEETSESGNGVIEKAAVGSGLVANPVIAWSLYTLKTTGCGLPPGPGGSIGALEGVSYLTVVGIVGWSLFMKAKTGSGLPNGPFGLLGAVEGFSFLSLLAILVVFGLQFFQNGSIPGPLPSDQCFG >EOX93773 pep chromosome:Theobroma_cacao_20110822:1:15686070:15687233:-1 gene:TCM_002693 transcript:EOX93773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stomagen MANIKISYLLLLLFTFFLAAHVIQGSRTQVILPNFQQGVSHSSPLHLQGSNEAKMNRNSRRLMIGSTAPTCTYNECRGCKYKCRAEQVPVEGNDPINSAYHYKCVCHRS >EOX93228 pep chromosome:Theobroma_cacao_20110822:1:11424963:11428361:-1 gene:TCM_002070 transcript:EOX93228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPKKNSANKPILKPARVNPAVYPNSSSSTDIHTHPSNTRNNQVKTIFVDSKPYTTVEAHLGDAKFYLEGIL >EOX91546 pep chromosome:Theobroma_cacao_20110822:1:3041438:3042087:-1 gene:TCM_000692 transcript:EOX91546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNTQARKESEITNAQGEVERKVETVDYCSSAGKGKEVKTVQVIHQYPGSNQKTGGVVLTGAAAAVASTLESAKDAISRK >EOX90639 pep chromosome:Theobroma_cacao_20110822:1:211894:213790:1 gene:TCM_000054 transcript:EOX90639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein MRFDKEASSSSHVLQVPPLPREDSPLLGHPRQLSSQSKTFANIFIAIVGTGVLGLPYTFKKTGWLMGSLMLFSVALLTYYCMMLLVFTRRKLESRHGFSKINSFGDLGFEVCGPIGRFAVDVMIVLAQAGFCVSYLIFIANTLAYVCIADNHTKILGLSPKILFLWACFPFQLGLNSIATLTHLAPLSIFADVVDLGAVGVVMVEDVLFFLKNRPALKAFGGFSVFFYGLGVAVFSFEGVGMILPLELEAKYKEKFGKVLAFCMAFISSLYGAFGILGYLAFGEETKDIITTNLGPGVVSTVVQLGLCINLFFTFPLMMNPVYEVVERRICDSRYCLWLRWVVVLGVSLVALTVPNFADFLSLVGSSVCCALGFVLPASFHLMVFKNELAWNGLILDAVIVVVGLIMGISGTWSSLTEIFASKA >EOX93244 pep chromosome:Theobroma_cacao_20110822:1:11546077:11547608:1 gene:TCM_002089 transcript:EOX93244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTNCCPFASTSSWHKTLSLKRIRCNRVCNIAGQTLWLHHNNMVFNEKFWDADQVFDLVKFRVNSWAKAKRPTISSSIKNMIQDPSTSRVPTTLKSAKIACDWVKPPMCFLQFNVDGATKGCPGEATIGRALRNCNDNVRILFSKSLGISDSNLSKIKVIKEAFALFAASPWSLSHSLIIESDSTKAIKWCNIPDSVTWHLRGILNHINCFKKKLQIGLLSMSRVQETN >EOX95419 pep chromosome:Theobroma_cacao_20110822:1:34166879:34167604:-1 gene:TCM_004926 transcript:EOX95419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQQLNKNPSNRFWKPQYIFEKITQESDDMGGDTADGIYMLKLVQAHTATWNYLLKQKDYRYPEQLNSEHIVLE >EOX91718 pep chromosome:Theobroma_cacao_20110822:1:3610737:3613297:-1 gene:TCM_000814 transcript:EOX91718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNCQAAEAATVVIQHPGNKIERIYWSVSANEVMSSNPGHYVALVVTSPTLKNENGMPLKQLKLLKPDDTLIMGQVYRLISFEDVLKEFAAKKSVKLGKLLKERGLGLGMELKRKDLAAASNLNPKLNSKTENCSSVKVEQEVNRLGSSGGSSGSSRFMGRHHGGGGGGGQWRPALQSIAEIGT >EOX94787 pep chromosome:Theobroma_cacao_20110822:1:31390739:31393185:1 gene:TCM_004399 transcript:EOX94787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAVVEDIEVGFEEAMSWLPSHVLDEAVWDTKKNRVLNLALFIDDDMKYHSHRHRPKLPTEPFSSHSKASSRRHHKPRNWSNWASGGPGMQAFFLDSGQKSCGTGVFLPQRAGNNFQSSRKPACSPVLLPSRVVQALNLNVHELGLQISPRRDPKNNTRRGDLNSLNNKNGKDVSTKRCVISQNENTSPEIFLPKEWTY >EOX95609 pep chromosome:Theobroma_cacao_20110822:1:34837917:34838590:1 gene:TCM_005055 transcript:EOX95609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSDKEESFETKEGFVFRFGAMGSDKEESFETKAKEPKRVVKTYTACLWSFLVSLTGGLMLAWWEYEYHPTYRQLWMVPFGLILFTTPVIIWFAIFVSDICSFTEHVRPPDGSVHDPEKMIKKVISASY >EOX95330 pep chromosome:Theobroma_cacao_20110822:1:33766399:33772554:-1 gene:TCM_004853 transcript:EOX95330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, putative MNHEHMNEIKYHANECKDKSNHNTAGSEKQQALALLTKASFLAFYKCRIIGFQDTLYAKRRYQLFRECEISGTVDFIFGDATAVFRSCYISARKPLAGQSNTVTAQGRDVSAGKGGFVIHNCIISATSELIASEYTARTYLGRPWRDFARTVVMQSYLDNNIDPQGWLEFDSRSSYLELYYTEYGNSGPGSATYDKAKWKGFRVINSAEAYQFTVREFIDGDMWIPATGVPYTPDFIDWSGSLRRRFSKCKVFALVLRILATLPI >EOX91870 pep chromosome:Theobroma_cacao_20110822:1:4224038:4231165:1 gene:TCM_000930 transcript:EOX91870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNDVGIYAVSMSELICQCVYLHRTGLPSFLINGGGWILGVAEFVTGRFLVFEHSLLRFCGALGTSLTPVDLEFHILLMYDIPLTKVQAHLQDAVCSACLSVAVFDQTDSEMDKGSGRIVYLSRLAWTWGSSKLGFVVYLGFLKGPGKSFVYN >EOX96176 pep chromosome:Theobroma_cacao_20110822:1:36875774:36880621:1 gene:TCM_005485 transcript:EOX96176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein-related MASEILPVTSAATSSLEKRQRSIFDLPPYFFDSCRLLSPSLTSDFESLSISENSYLENQNNADDGEEKSSVIGVAVPRWTCNTCKAEFDSLQDQRSHFKSDVHRFNVKLSIAGKDIVKEEDFDELTTQSFKDYEVSSISGSEDEADRVAYLRNCAHRGSVENIRQKLFIRLQTGERISVWKSLIMNELESISYENDKEEWNENGGCLRENEVIERLKTSIQEARDNTRLRIVLLSSGGHFAGCVFDGNSVVAHKTFHRYVVRAKAGKKQSSKDATGKAAHSAGAALRRHNELALKKEIQELLTSWKPYFDASSCVFIHAPSTNHHVLFNGDKPYFSHQFCAVRNVPLTVRRPTLKEAKRIYNQLTHIAYEEEEKEIPPGTKEDVLLSGSTIGNGKQDSCKEGLGCRDASENPSIDVKSDVISSESDSEVVYTSTPLHEAAQSGNAQKVLELLEEGLDPCIKDQRGRTPYMLANEKEVRNTFRRFMASNLDKWDWNAAKVPSALTKEMEESQASKQAEKDAKRKARAKELKKLRRAKEKKAQAEAAQSQNTAAISHNRATVPSVVKGQSQTVGGSWISKEEELKRAQAVEREKRAAAAERRIAEAAAAAACDAQGSSTTVGSSTSRPKSGEAGDINCSCCNTSLAGKVPFHRYNYKYCSTSCMHVHREILEDG >EOY20402 pep supercontig:Theobroma_cacao_20110822:scaffold_237:5185:7291:-1 gene:TCM_046301 transcript:EOY20402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor-1 family protein, putative MFGTSFFAPTNQLTYKQIGSGEYMGTAIAEPKLHITAFNTSLKKFDNPTVGSVVVSNSRIPHFVLHTAPGLAIYILSIVFCIIRRGEAVLETIILVSVEAVVLAHHSLYSMQTQRDFSLCELLSINVILVACVYIPNQVFHPFAKLSISIYAFLVAIAFAGRIYMAETFFINNQFDDPIGAAVIVHLIFLMNNPNREELTSRRAIRVPLRNP >EOY20401 pep supercontig:Theobroma_cacao_20110822:scaffold_237:2083:4441:-1 gene:TCM_046300 transcript:EOY20401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor-1 family protein MATDNESDDNENREKWALMFAVYAIISLQFLLAVAVGSVVVSVSRIPHFVLHTAPGLSIYIISIVLCLISSKKEMAGKSSKGAVGVSGLVIDRDFSSGELVLISFFLVACVYIPIQVFHPFAKLSTSIFACLFALSFAGRMYIAGNLFIKHKFYDPIGAAVIVHLIFLMTNPNRKELTSSRAGRVPLRNP >EOY20313 pep supercontig:Theobroma_cacao_20110822:scaffold_116:7849:10850:1 gene:TCM_046211 transcript:EOY20313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome recycling factor MALYLRRAIRLRNTALLLRSSKIQTCNVSHFIFSQNQKTCHSQKPSPLSSFDFLRDSRRGFAKGKKSKDDSGGNTIELAPDIGPTVKASAASQMDAAIVALSRELAKLRTGRASAGMLDHIIVETGGVKMQLNHLAVVSVIDSKTLSINPYDPNTIKELESAIVSSPLGLNPRVDGQRLIAAIPPLTKEHMQAMCKVVAKSSEDVKQSIRRARQKALDAIKKAGSSFPKDEAKRLEKEIDELTKRLVKAADDLCKAKEKEITQG >EOY20520 pep supercontig:Theobroma_cacao_20110822:scaffold_83:55882:57391:-1 gene:TCM_046165 transcript:EOY20520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADDGELGTKSPQKPASFNSKITPRDKHHSKTCPNPPYSETNPPTIVTMVMRTENRPLDQIYIYCTSGEKIANQKRKFQK >EOY20404 pep supercontig:Theobroma_cacao_20110822:scaffold_248:156:6219:1 gene:TCM_046304 transcript:EOY20404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGTAGQDASLQTFLDDQFCATMDIDETEIMEDQFSQKQHMEKYEGTLTNRVNFWNYIAVFLGTFVSSTFASSLEWDETFKVSLKIVVVAYLVFCSGKCCYDHENPAGKMVAERMNQAKPLVKLPPLWITFIVYSLVEATGYTLFIEQSENLDDRIDLWIPIYSLNRISLTSFYSSEESIQHRARFVRFGIGMCFAIGCCIAAWRVEVYRLSSIRKFESKVGRRISEDDTIPMTILWLAPQFFLLRVVKGILGKGLKHLFYDRVPVWMWFLEYPFNEGVLGFGRFVSVFSLLFARPLIGNTINESLADKYLLIIIERIPHEHGNGCWLHGINNCILGALSLRDKFQVSWFPYPPRSFFTNPNFLPFHLGPPSASSTATSAIPSCFMAHFLRHYFHPISP >EOY20486 pep supercontig:Theobroma_cacao_20110822:scaffold_392:300:3061:-1 gene:TCM_046348 transcript:EOY20486 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MANIKMSSIKVTRSTKLKHLKAVKLGGFANEEEEFDLAKQLKEVLEAEPLIIRWDGTALQKVKAKIKPKKTRDRILDFERDKVDVISSLPDHILCRIISFLPFESVVQISVLSTRWKNLWKMALVKDGTNKEAVTAVLNFLSDFPQPRDKWGMQYNFDQGSVLVVAIAPTGILHLDFSAGKQESQRQFSLSLGRNQRIYYYHQPSLSTAFNLKALYLVSVSHVSSEMVSCLLSNIPSLESLTIAKCNGLQSIQLESNSELKKLTVLDCLQLESIRMHINLQFSLNSFQCRGRVVSFNIRMIAMKIHYTPRTLLQIIIHLWTWKMPCLILDKALATMASMYMALNSSFKA >EOY20507 pep supercontig:Theobroma_cacao_20110822:scaffold_545:1:1371:-1 gene:TCM_046361 transcript:EOY20507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome p450 79a2, putative MERKGKASKRKSNQAPLPPGPTPWPIIGNLPEIWRKRPAFRWIHGLMKELHTDILCVRLANTHVVAVTSPVIAREFLKKNDSVFASRPVTMATEYSSRRFLTIAVVPWGDQWKKMRKVVTCEIVSASKLRSLLENRTEEADNLFRFIYNQFKSNGDDNSTSSAVVNLRLAMRQYSGNVMRKMIFNRRYFGEGRKDGGPGYEEEEHVESLFTVLKQAYSFILSDSIPWLRSFDLEGHEKTVCKAMKIVSEYQDPLITRGSKSGEKARRRSLKTSLMPSF >EOY20397 pep supercontig:Theobroma_cacao_20110822:scaffold_214:6297:8088:-1 gene:TCM_046287 transcript:EOY20397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDAQIAINKAASKLLGKSSEKYMRRRFKKLKEQMKEISKEQQSIREGQRQVAVKFKAIEEECEQLRKETHQIIRQSANTQIRLSLMFNILMAREQGDFSKAANLTQLLREIIARDNVSQSTNP >EOY20396 pep supercontig:Theobroma_cacao_20110822:scaffold_214:13:6261:-1 gene:TCM_046286 transcript:EOY20396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSIGDQTTSRLNALVQDSVSVANRETMHTSVVKRYESEGILQALDNRVSCHETVINHEVWSNFKMVEDSIEQLGSNSDELRKGWHNMVDSLICHNVGLDELMVDNSNHVFVANGDIAHIGVVCLDDTGQPQASNPHGKTHLNLEVFLRQLEKNCLKYTHGTCGEPDEELWWKETTRQRGRCLNQVGENVSICRSVTYRFGQLFFIKLASRDLGTSHPYDITCVALTLFCGCMLWGMDLVGFGGRKDEGDGEKKVVSRIMVPLSFGTICGILTLGLVDGFDVYEPCLLMVRVSRCFLFFSVLSLARLDLLPIAIRVRAEELDSHTQLTNQWMPRLRSTRLLPNYL >EOY20400 pep supercontig:Theobroma_cacao_20110822:scaffold_230:1006:2619:1 gene:TCM_046298 transcript:EOY20400 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H MMKEVCAKFKIKHHNLAPYLPKMNGAVEAANKNIKKIIENMIDVYKDWHEKLPFALHAYRTTVRTSTGATSFTFVYGMEAVLPIEVEIPSLRVLKEVQLEEAEWVNIHYEQLNLIEEKKLTALCHGLLYQKRMMRAYGKKVHPRQFQEGELVLKRILPNQQDPRGKWMSNWERPFVVKKAFSEGTLILADMDGKEFSNPVNADAVKKYFA >EOY20399 pep supercontig:Theobroma_cacao_20110822:scaffold_230:15:927:1 gene:TCM_046297 transcript:EOY20399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFDGASNALGHGIGVVLVSPEGGHYPIIAKINFYCTNNVTEYEACVMGLQAAIERKIHIVEVYGDSALVIYQLQGEWETRDSKLIRYHKYVSKLIENFDKICFTHLPQEENQMADALATLAAMFKVGIDVKIQPIMINLRECPAHYSSVEEEVDGKPWYHDIVHYLKFQQNPEQSSENDKKTIRRLAMNCFLDGDILYKRSRDQVLLRCVDSVEARRIVEEVHEGICGAHVSGHMLARQVMRAGYYWLTLETDCIDFARKCHKCQIYTNKIHTLANSLHVLISPWPLSM >EOY20334 pep supercontig:Theobroma_cacao_20110822:scaffold_126:5524:6397:1 gene:TCM_046224 transcript:EOY20334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MFSRVSISFAMTTQDFFDKGEKFPQTTIPCVLITLLLALCLFPWSIKKLRGSGKAKQLPGPRGLPLVGYLPFLSRNIHKTFMELTKIYGPIYKLPLGQRQCVIISSPNLAKEVVRDQDTIFANRNPTIAALAFSFGERILLSRLMG >EOY20333 pep supercontig:Theobroma_cacao_20110822:scaffold_126:5506:7240:1 gene:TCM_046223 transcript:EOY20333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MLRKIFVQEMQSKAKLDAFYSLRKNEVQKSVRDVLGKDGIPIDVGLLAFSTVINMITSMFWGGAIQRNKGAGTNAEFRAAVSELLVILGKPNISDFIPSLARFDLQGIERNVKKASKRIEQIFDSVIYQRMKKNEEKSQGTKKTDSNDFLDFLLEFRDQDTGKSLSRAQMKAFLADIVIGGTGTTSTAFGWTMAELMLHPETMNKVQEELIEVVGANKIVEEYHIYKLPYLHAVVKEALRLHPPAPLLLPRSPTQSCTVGGYTIPKGAKVFMNAWAMHRDPQFWKIPWSFSLRDLLVIMLITWIT >EOY20332 pep supercontig:Theobroma_cacao_20110822:scaffold_126:1:1901:-1 gene:TCM_046222 transcript:EOY20332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase family protein MKIHHREESQGEDTLAVWSDRDRSIENKDIVLWYTLGFHHIPCQEDFPIMPTVSSSFDLKPVNFFESNPILRIPPNVEKDLPVCKPAGSA >EOY20372 pep supercontig:Theobroma_cacao_20110822:scaffold_160:2591:3863:-1 gene:TCM_046248 transcript:EOY20372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENGKERRVHPRPNVRTKKLVVWSKLEEGWLKFNMDGASRGNPGEIGIGGILKDHRGTTLILISKFMVELMVVKEAIIALCASVWSNSSLEIE >EOY20373 pep supercontig:Theobroma_cacao_20110822:scaffold_160:10226:11742:1 gene:TCM_046249 transcript:EOY20373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata-located protein 6 MALATKTTLPFLLLLLLVISIFITPSNSATDSFVFGGCSQLKYTSGSPYESNVNSILTSLVNSAMFTSYNNFTMPGSTSQDTVYGLFQCRGDLNNGDCARCVAKAVSQLGTLCLDSTGGALQLEGCFVKYDNITFLGVEDKTVVVKKCGPLISSYSDALTRRDAVLGYLAASDGTYKPFRVGGSGDIQGVAQCVGDLSPSECQDCLSEATGRLKTECGAVKWGDMYLAKCYARYSEGGDHSHGEKVGGAVENTWLVA >EOY20531 pep supercontig:Theobroma_cacao_20110822:scaffold_255:184:1609:-1 gene:TCM_046311 transcript:EOY20531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geraniol dehydrogenase 1 HEIVGEVNEVGSKVEKFGVGDKVGDYDGTITYGGYSDILVVNEHFVVHIPEKLTLDAAAPLLCAGITVYSPLRYFELDKPGFYLGVVGLGGLGHMAVKFAKVMGAKVTVISTSPHKKKEAMENLGADSFLVSYEQDQLEAARGSMDGIINTISAQHSLLPLIRVLKSHGKLIMFGGTVKPLELPIIPLLAGKVTYEVKVIHHRLISAANDTMTGRKIIGGGVSGGMKETQEMIDFSAKHNVIPEVEVIPMDYANTVMKRLVKADVKYKFVINIENTLKNSCS >EOY20487 pep supercontig:Theobroma_cacao_20110822:scaffold_397:106:1020:1 gene:TCM_046349 transcript:EOY20487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTTADTRRKSKKELTCSHCGKKGHSKERCYRIIGFLEDFKFTKGKNNVKRGKGAVNNVSAGSDLSADEIHMEQEEGLGGTSTMSQMCNLQHQVNKLMEILSENGLTSNEGHTLMDCDWGS >EOY20488 pep supercontig:Theobroma_cacao_20110822:scaffold_397:1796:3642:1 gene:TCM_046350 transcript:EOY20488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MKDLDQNNPDEASESTSASANGSTNEPEAIITESDVKEFLRSEFKLKDLGKVKYFLGLEIARSPEGISICQRKYALDLLEEQGLLEAKPVLSQFMEKLAVHHLVAAYRVLKYIKNTPGQGILMKSKFDLRISRYSNSDWAGCPNTRKSITGYCVFIGDSLVS >EOY06861 pep chromosome:Theobroma_cacao_20110822:4:33171347:33178459:-1 gene:TCM_021458 transcript:EOY06861 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD box RNA helicase family protein isoform 2 MATAEAAPATLGPRYAPDDPTLPKPWKGLIDGSTGLLYYWNPETNVTQYERPASLPPPLPPGLPPAVSTPKLAPIPVAHSVQPNGVVAQMGQKQVPQGAQQQGQQMSQLPQQQGSMVLQGSDLQGQQQPSSQMGQPIQQPGQFIPQQNRPQIIQHSNQQMMSQMGQQMPQQPSQHLPQQQGQQPGQLMPQQAIHQMPQQLGQQTMQHQNSQMSQPQGHQYAHQHLQYMAYQQSVLPKGQQSSQPRGAQGQQYPNQEDYKAAPPKREDVDFQLGNQTGFSPSQFQQMGMSSSQNVSSGTNSVQMPQTGLYLGQAQQFTGSSINMQQPTPMAHSQQSGADLVHQQQGRRFQNNMGPGIMQSNIPPSGLNTSYEDNLHGRAGKDGPMMGPQQPSLSARPMEMRVGGLPPQNVIPGHGGGFNAIAGHAMHNMYGHAGPPYSNNALMRPTFVGSADTASLSPAEAYRKQHEVTATGDNVPAPFIRFEDTGFPPEILREIHSAGFSSPTPIQAQTWPIALQSRDIVAIAKTGSGKTLGYLIPAFILLRQRRNNPQNGPTVLVLAPTRELATQIQEEAIKFGRSSRVSCTCLYGGAPKATQLKELDRGADIVVATPGRLNDILEMRKIDFGQASLLVLDEADRMLDMGFEPQIRKIVNEIPPRRQTLMYTATWPKEVRKIASDLLVSPVQVNIGSVDELAANKAITQYVEVVPQMEKERRLEQILQAQERGSKVIIFCSTKRLCDQLARSLERNFGAAAFHGDKSQTERDWVLSQFRTGKSPILVATDVAARGLDIKDIRVVVNYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAPDLIQVLERANQHVPPEVREIASRGGPGFGKDRGGLNRFNSPGGSGGRWDSGGRGGMRGGSFTGRGGMRDGGFGGRGSMRDGGFGGRGGIRDGGFSGPGGRGDPFSGRGNRGRGFGGHVGWGRNERSLHDRYNSFDGRGRGRGRGRFDNRRGIADRSRGRSYSRSPVLAEAVATAVVLAEAVATAVALAVVVVEAIAVAAVKAVAIAMTDMRSQVNRTWTKRMLQSQNLMLIVCQ >EOY06862 pep chromosome:Theobroma_cacao_20110822:4:33172187:33177772:-1 gene:TCM_021458 transcript:EOY06862 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD box RNA helicase family protein isoform 2 MATAEAAPATLGPRYAPDDPTLPKPWKGLIDGSTGLLYYWNPETNVTQYERPASLPPPLPPGLPPAVSTPKLAPIPVAHSVQPNGVVAQMGQKQVPQGAQQQGQQMSQLPQQQGSMVLQGSDLQGQQQPSSQMGQPIQQPGQFIPQQNRPQIIQHSNQQMMSQMGQQMPQQPSQHLPQQQGQQPGQLMPQQAIHQMPQQLGQQTMQHQNSQMSQPQGHQYAHQHLQYMAYQQSVLPKGQQSSQPRGAQGQQYPNQEDYKAAPPKREDVDFQLGNQTGFSPSQFQQMGMSSSQNVSSGTNSVQMPQTGLYLGQAQQFTGSSINMQQPTPMAHSQQSGADLVHQQQGRRFQNNMGPGIMQSNIPPSGLNTSYEDNLHGRAGKDGPMMGPQQPSLSARPMEMRVGGLPPQNVIPGHGGGFNAIAGHAMHNMYGHAGPPYSNNALMRPTFVGSADTASLSPAEAYRKQHEVTATGDNVPAPFIRFEDTGFPPEILREIHSAGFSSPTPIQAQTWPIALQSRDIVAIAKTGSGKTLGYLIPAFILLRQRRNNPQNGPTVLVLAPTRELATQIQEEAIKFGRSSRVSCTCLYGGAPKATQLKELDRGADIVVATPGRLNDILEMRKIDFGQASLLVLDEADRMLDMGFEPQIRKIVNEIPPRRQTLMYTATWPKEVRKIASDLLVSPVQVNIGSVDELAANKAITQYVEVVPQMEKERRLEQILQAQERGSKVIIFCSTKRLCDQLARSLERNFGAAAFHGDKSQTERDWVLSQFRTGKSPILVATDVAARGLDIKDIRVVVNYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAPDLIQVLERANQHVPPEVREIASRGGPGFGKDRGGLNRFNSPGGSGGRWDSGGRGGMRGGSFTGRGGMRDGGFGGRGSMRDGGFGGRGGIRDGGFSGPGGRGDPFSGRGNRGRGFGGHVGWGRNERSLHDRYNSFDGRGRGRGRGRFDNRRGIADRSRGRSYSRSPERVRTWRYSRSHSRSRSRSRTRSWSRSRSRSWSHGRSYSRSPGRSRSYSRSPGRSRSYSRSPGRSRSRSHSRGRSQSRSHSYDRYEKPSEQNLDQKDVAKPELDAHRVSVMSPMSPGTQGNPLPENDSLKPLHAVESSELLHEEVGADQVYPSVNEP >EOY02250 pep chromosome:Theobroma_cacao_20110822:4:138549:153181:-1 gene:TCM_016781 transcript:EOY02250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MRRQTVSNFPAKAKAAFYVLTASGLCYQAASHSNFLPFSPDTSVFQGLLRSSRAIFTIAVTVADYKYSLYGLPKDCDECRLLLSEVHLRSAKRMLKLCEMNKGFYLKAGQFVASLRQVPKEYSLTLSSLQDQAVPCDFKVIKETIISNLGQDLSKIFIWFNEQPIAAASIAQVHHAMLKDRQEVAVKVQYPGLEKKMKLDTRIMHFVSKSVAWFFPEYRFGWLVSEFTKAVSMELDFIQEARNSETIANSFKKNKIVRVPHVFWEFTTKQVLTMQFCSGHKVDDVEFLKGMKIDPVKVAKALVEVFAEMIFIHGFLHGDPHPGNILVSPEGRDGFSLVLLDHGICKKLNEEFRLEYCQLWKALILLDSKMIQHLGERFGVGKYSRYFPVMFTGRTIDSKSGLGKEMLVEEKKKLKQDLKSLKMEDLSSFMESLPSDFLMILRTDELLRSIISKLGASKRVRLQTYGKYASHGLSRKLNPCSDLTLVVLFARLIGNIQYIHLKLALGVMELVFWMGKLKQSFNNFYKKMSGSGKSLLTLFFNIRV >EOY03849 pep chromosome:Theobroma_cacao_20110822:4:19905489:19913942:-1 gene:TCM_019051 transcript:EOY03849 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein MTTTMGPPPPRNPNPSAEPEPEPEPVTQEESEPTTAKASTGPPPPPPPPAKKPNPQNPQDQEKESNSDSEPNSIEKPSNSKQSPVPYTIPQWSGPPSHHFFLEILKDGCIIDQFKVNEKGAYMFGRVDLCDFVLEHPTISRFHAVLQFRSSGQAYLYDLGSTHGTFINKSQVTKRTYVDLNVGDVIRFGHSSRLYIFQGPSELMPPEKDLKIMKDAKIQEEMLDREASLRRARAEASLADGISWGIGEDAIEEAEDDADEMTWQTYKGQLTEKQEKTHDKIIKRTEKIAHMKKEIDAIRAKDIAQGGLTQGQQTQIARNEQRITQIMEELENLEETLNESIRESIGARAGRISHGKRKGGPEDDDEDFSSDDDEFYDRTKKKPTVLKVGETQSIETADSLLDKRDAIMKEIEDKKELLLSEENKMASETALETEAGDALDAYMSGLSSQLVLDRTVQLEKELFALQSELDRIFYLLKIADPTREAAKKRDTKAQAPAPDKSRTPAAVKKQPPLEPKISTSTEPANSPMQKEGVADVSMESSKKPEENILSDTAEVRKAIYTVAKPQWLGAVESKEIKESQQEVEVKTHKVDQFVDYKDRKKVLGSVDDPLVKGHSGIETTASGLIIRKQKQVEKSEGDDKASDQSTSSSTGAEEIAQNAVALLLKHTRGYHAEDEELHETPEMLARNQLKKKEKKPKRVMGPEKPSFLNSNPEYESWVPPEGQSGDGRTTLNDRYGY >EOY06764 pep chromosome:Theobroma_cacao_20110822:4:32882925:32885984:1 gene:TCM_021396 transcript:EOY06764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 1 MASVATNPVTNTNSDRSKRKKKKKSMIKENQQSQNQNHARWKSEAQQQIYSSKLLQALSQVSLNSPSPSAPRGGRAVREAADRALAVAAKGRTRWSRAILTNRLKLKFRKQKRQRGSAAAVAAVTGSIRSKKPRVSVLKLKSKSLPAVQRKVKVLGRLVPGCRKQPLPVILEEATDYIAALEMQVRAMSALAQLLSGPGASSSSSAPPPQSPPPPPPTSQMDISWWKSAKRYSFGSRIECEYPWKIQLHAQSKSWR >EOY06765 pep chromosome:Theobroma_cacao_20110822:4:32883143:32884532:1 gene:TCM_021396 transcript:EOY06765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 1 MASVATNPVTNTNSDRSKRKKKKKSMIKENQQSQNQNHARWKSEAQQQIYSSKLLQALSQVSLNSPSPSAPRGGRAVREAADRALAVAAKGRTRWSRAILTNRLKLKFRKQKRQRGSAAAVAAVTGSIRSKKPRVSVLKLKSKSLPAVQRKVKVLGRLVPGCRKQPLPVILEEATDYIAALEMQVRAMSALAQLLSGPGASSSSSAPPPQSPPPPPPTSQ >EOY03015 pep chromosome:Theobroma_cacao_20110822:4:3667202:3667663:1 gene:TCM_017428 transcript:EOY03015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MQSAYEKQKKKHQRFLHVRNPGEDMTHDTRVSRVVLVLVPQKLRKPTTTNYQTRKLKRPTQVGVWGFRLGRDGSFPIDVSSAYIRGSSPEKENKISHLLSSFIVFSLNPESPTIINLHPYLIVRR >EOY03016 pep chromosome:Theobroma_cacao_20110822:4:3641755:3667663:1 gene:TCM_017428 transcript:EOY03016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MYVLTHDTRVSRVVLVLVPQKLRKPTTTNYQTRKLKRPTQVGVWGFRLGRDGSFPIDVSSAYIRGSSPEKENKISHLLSSFIVFSLNPESPTIINLHPYLIVRR >EOY02791 pep chromosome:Theobroma_cacao_20110822:4:2288078:2289911:1 gene:TCM_017188 transcript:EOY02791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb:CAB87702.1, putative MQPQIFSFLFLFFIGFASAGYLQNPDFESPPKSLTENTGSPFVTLNENNTIPGWTFQGTVQYVTAGQTIALPDNGHAVQLGQDGKINQTFTADADYTNYILTFTLAPGGQNCSANADVLVSGPDSQGIFSFKQHYGKEAWQSYGQHLGLGGQKEPINLVIESQGVESDDNSTCWPVIDSLLIKTIGTLVQGKDNLLLNGGFEFGPEFLSNSTEGILLDSALSPVLSPLRQWAVVGTIKYIDSKHFFVPHGNAAVEIVSGVSAGIQTDVTLTAGSAYSLEFTLGDANNACKGDFIVEVRAESVVQNFTVQSNGTGSAQKSSMKFEAGSRATRISFFSYTTSQTKDGIFCGPVVDDVLLLSSNCLRLAIKPNILISLLFLILIFW >EOY02793 pep chromosome:Theobroma_cacao_20110822:4:2293260:2295320:1 gene:TCM_017190 transcript:EOY02793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of yellow 2 isoform 1 MKHSVNRPPTPDAADDQGKEPTLQEIINIKLIESGEKERLMELLRERLMECGWKDEMKALCRAYIKKKGRNNVTVDDLVHLITPKGRASIPDSVKAELLQRIRSFLVSAAL >EOY02794 pep chromosome:Theobroma_cacao_20110822:4:2293272:2295513:1 gene:TCM_017190 transcript:EOY02794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of yellow 2 isoform 1 SKLKIFSNFLPILGFFFESFNIMKHSVNRPPTPDAADDQGKEPTLQEIINIKLIESGEKERLMELLRERLMECGWKDEMKALCSRAYIKKKGRNNVTVDDLVHLITPKGRASIPDSVKAELLQRIRSFLVSAAL >EOY04169 pep chromosome:Theobroma_cacao_20110822:4:22387515:22389782:-1 gene:TCM_019429 transcript:EOY04169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAVELCSENSGMSPRISFSHDLCHFDVVPVEQRPLRSKSSGLNSSIDFDFCVRESLDLQSSSADELFSDGKILPTEIKKKNVPSKQIDQSTAPLPLPRSNSVHDDANINETSKKESSKENKITRDETGDEVDEKQSSKSFWRFKRSSSLNCGSGYGRSLCPLPLLSRSNSTGSTPNVKQASISKDSHHHKQNAQKHATNSSYKPSTSYQKPPLRKSYKPYDLNT >EOY04168 pep chromosome:Theobroma_cacao_20110822:4:22388638:22389405:-1 gene:TCM_019429 transcript:EOY04168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAVELCSENSGMSPRISFSHDLCHFDVVPVEQRPLRSKSSGLNSSIDFDFCVRESLDLQSSSADELFSDGKILPTEIKKKNVPSKQIDQSTAPLPLPRSNSVHDDANINETSKKESSKENKITRDETGDEVDEKQSSKSFWRFKRSSSLNCGSGYGRSLCPLPLLSRSNSTGSTPNVKQASISKDSHHHKQNAQKHATNSSYKPSTSYQKPPLRKSYKPYGNAVQVNPVLNVPSGNMFGLGSIFFTGKDKNHRKK >EOY04691 pep chromosome:Theobroma_cacao_20110822:4:25509881:25515784:1 gene:TCM_019881 transcript:EOY04691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDFWQDSPLSHVVSWCKKIMHVKRCERIYLSLIPKTEHLFDLRDSMKEEMIKELMVGTTRTKECREWLIKVREFEIRANELIKFHEKTPDSLRLYMKQPHADLMNILDEITHLLESFPLQGATKSMSCSMNGGKSFGPAERTANDYLRRKIGSKGQAYLETGTSHAMGKDAEKREETLPDRYVENETLMASSALKPEESQLIESVESQSFKIKNVEPITTFGSIVGNRSPISGNFEVVSKKASAAVIKSLLPTDQITSSSIDPMTKHDMASSSRNEILPAHEDEIELISFEGEMKPSLSPKIPWTMHGEIEEVLSSYETESEDGSEEARQGSSVEPNIGMGVSTSLQSNIATVEPVRGTSLRKDEILLIDELKTRDPCQTEDTQVIFEPEVVAKTTVERTVLKILELLNDYTVRRIGVYGIGGVGKTTVLKALINYPKTKGMFDLIIWVTVSKFWSIRKMQYEVLRQLSTQNEGLGELSSSLSKSDSEIREDLFRYLKGKKFLLLLDDVWERMDLEAVGIPNPSSRNGSALILATRKLEVCGYRHSINVIEMETVSKEEAWELFCEQVGGIVNAPGIQSFAQGIVEMCGGLPLLVIVTGRALSGEEDVFAWEQAFKQFSGPYRDMTDCNDMIQLLKFSFDRLKLHDIQTCFLHCALFAEDEEVNISEFVKYCIQEGLIAGSMADAYKRGHDIVTILERAFLLESTSNHSIKMHDMMRDLALAILSQEEGSQFLLRAYSKALKLGNHSLPGPQESPQSNRLFIPNDHQFILRAGSGLTEPPSVEEWEKSKMIFLMDNKLSTLPERPSCPGLLTLFLQSNFRLRVLPMSFFDCMPCLKVLNLSKTRIKCLPTTISKLINLETLILCHCERLVTLPSDIGSLKLLQVLDLRGTEISILPDEIGELASLRYLDVCFYGSVNRSEYVKLPHGLISGGIISRLHALNSLGISVCPGDERWDKCVKSIICEVSKLMELTSLSFYFPEVELLELFLQRSKAWIGQCLTEYKIVVGSDIKRIVSRVPHYVEHDYGLMSQCLRFVNGEKIPDAIVKVLACCSAFYLDHHLDISSISKFGIGNINKLKYCIVSECPAIKAILDGDKFTEVVFPYLERLSIHYLWNLEYIWEGVVPEGSFAMLRSLYVHACPKLKYVFKSSMLQFISNLEELIVDDCAAIEKIIFNDKTTDSGQISYSNCKRLSLHYLPALDNIGEGAWALCEHISVYNCPNLRKINLDSKLKHTLKEIKGEKDWWDALDWKEPALRMHFEDRFAPVSEDDI >EOY04688 pep chromosome:Theobroma_cacao_20110822:4:25509719:25515813:1 gene:TCM_019881 transcript:EOY04688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDFWQDSPLSHVVSWCKKIMHVKRCERIYLSLIPKTEHLFDLRDSMKEEMIKELMVGTTRTKECREWLIKVREFEIRANELIKFHEKTPDSLRLYMKQPHADLMNILDEITHLLESFPLQGATKSMSCSMNGGKSFGPAERTANDYLRRKIGSKGQAYLETGTSHAMGKDAEKREETLPDRYVENETLMASSALKPEESQLIESVESQSFKIKNVEPITTFGSIVGNRSPISGNFEVVSKKASAAVIKSLLPTDQITSSSIDPMTKHDMASSSRNEILPAHEDEIELISFEGEMKPSLSPKIPWTMHGEIEEVLSSYETESEDGSEEARQGSSVEPNIGMGVSTSLQSNIATVEPVRGTSLRKDEILLIDELKTRDPCQTEDTQVIFEPEVVAKTTVERTVLKILELLNDYTVRRIGVYGIGGVGKTTVLKALINYPKTKGMFDLIIWVTVSKFWSIRKMQYEVLRQLSTQNEGLGELSSSLSKSDSEIREDLFRYLKGKKFLLLLDDVWERMDLEAVGIPNPSSRNGSALILATRKLEVCGYRHSINVIEMETVSKEEAWELFCEQVGGIVNAPGIQSFAQGIVEMCGGLPLLVIVTGRALSGEEDVFAWEQAFKQFSGPYRDMTDCNDMIQLLKFSFDRLKLHDIQTCFLHCALFAEDEEVNISEFVKYCIQEGLIAGSMADAYKRGHDIVTILERAFLLESTSNHSIKMHDMMRDLALAILSQEEGSQFLLRAYSKALKLGNHSLPGPQESPQSNRLFIPNDHQFILRAGSGLTEPPSVEEWEKSKMIFLMDNKLSTLPERPSCPGLLTLFLQSNFRLRVLPMSFFDCMPCLKVLNLSKTRIKCLPTTISKLINLETLILCHCERLVTLPSDIGSLKLLQVLDLRGTEISILPDEIGELASLRYLDVCFYGSVNRSEYVKLPHGLISGGIISRLHALNSLGISVCPGDERWDKCVKSIICEVSKLMELTSLSFYFPEVELLELFLQRSKAWIGQCLTEYKIVVGSDIKRIVSRVPHYVEHDYGLMSQCLRFVNGEKIPDAIVKVLACCSAFYLDHHLDISSISKFGIGNINKLKYCIVSECPAIKAILDGDKFTEVVFPYLERLSIHYLWNLEYIWEGVVPEGSFAMLRSLYVHACPKLKYVFKSSMLQFISNLEELIVDDCAAIEKIIFNDKTTDSGQISYSNCKRLSLHYLPALDNIGEGAWALCEHISVYNCPNLRKINLDSKLKHTLKEIKGEKDWWDALDWKEPALRMHFEDRFAPVSEDDI >EOY04689 pep chromosome:Theobroma_cacao_20110822:4:25509881:25515784:1 gene:TCM_019881 transcript:EOY04689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDFWQDSPLSHVVSWCKKIMHVKRCERIYLSLIPKTEHLFDLRDSMKEEMIKELMVGTTRTKECREWLIKVREFEIRANELIKFHEKTPDSLRLYMKQPHADLMNILDEITHLLESFPLQGATKSMSCSMNGGKSFGPAERTANDYLRRKIGSKGQAYLETGTSHAMGKDAEKREETLPDRYVENETLMASSALKPEESQLIESVESQSFKIKNVEPITTFGSIVGNRSPISGNFEVVSKKASAAVIKSLLPTDQITSSSIDPMTKHDMASSSRNEILPAHEDEIELISFEGEMKPSLSPKIPWTMHGEIEEVLSSYETESEDGSEEARQGSSVEPNIGMGVSTSLQSNIATVEPVRGTSLRKDEILLIDELKTRDPCQTEDTQVIFEPEVVAKTTVERTVLKILELLNDYTVRRIGVYGIGGVGKTTVLKALINYPKTKGMFDLIIWVTVSKFWSIRKMQYEVLRQLSTQNEGLGELSSSLSKSDSEIREDLFRYLKGKKFLLLLDDVWERMDLEAVGIPNPSSRNGSALILATRKLEVCGYRHSINVIEMETVSKEEAWELFCEQVGGIVNAPGIQSFAQGIVEMCGGLPLLVIVTGRALSGEEDVFAWEQAFKQFSGPYRDMTDCNDMIQLLKFSFDRLKLHDIQTCFLHCALFAEDEEVNISEFVKYCIQEGLIAGSMADAYKRGHDIVTILERAFLLESTSNHSIKMHDMMRDLALAILSQEEGSQFLLRAYSKALKLGNHSLPGPQESPQSNRLFIPNDHQFILRAGSGLTEPPSVEEWEKSKMIFLMDNKLSTLPERPSCPGLLTLFLQSNFRLRVLPMSFFDCMPCLKVLNLSKTRIKCLPTTISKLINLETLILCHCERLVTLPSDIGSLKLLQVLDLRGTEISILPDEIGELASLRYLDVCFYGSVNRSEYVKLPHGLISGGIISRLHALNSLGISVCPGDERWDKCVKSIICEVSKLMELTSLSFYFPEVELLELFLQRSKAWIGQCLTEYKIVVGSDIKRIVSRVPHYVEHDYGLMSQCLRFVNGEKIPDAIVKVLACCSAFYLDHHLDISSISKFGIGNINKLKYCIVSECPAIKAILDGDKFTEVVFPYLERLSIHYLWNLEYIWEGVVPEGSFAMLRSLYVHACPKLKYVFKSSMLQFISNLEELIVDDCAAIEKIIFNDKTTDSGQISYSNCKRLSLHYLPALDNIGEGAWALCEHISVYNCPNLRKINLDSKLKHTLKEIKGEKDWWDALDWKEPALRMHFEDRFAPVSEDDI >EOY04687 pep chromosome:Theobroma_cacao_20110822:4:25509881:25515784:1 gene:TCM_019881 transcript:EOY04687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTKHDMASSSRNEILPAHEDEIELISFEGEMKPSLSPKIPWTMHGEIEEVLSSYETESEDGSEEARQGSSVEPNIGMGVSTSLQSNIATVEPVRGTSLRKDEILLIDELKTRDPCQTEDTQVIFEPEVVAKTTVERTVLKILELLNDYTVRRIGVYGIGGVGKTTVLKALINYPKTKGMFDLIIWVTVSKFWSIRKMQYEVLRQLSTQNEGLGELSSSLSKSDSEIREDLFRYLKGKKFLLLLDDVWERMDLEAVGIPNPSSRNGSALILATRKLEVCGYRHSINVIEMETVSKEEAWELFCEQVGGIVNAPGIQSFAQGIVEMCGGLPLLVIVTGRALSGEEDVFAWEQAFKQFSGPYRDMTDCNDMIQLLKFSFDRLKLHDIQTCFLHCALFAEDEEVNISEFVKYCIQEGLIAGSMADAYKRGHDIVTILERAFLLESTSNHSIKMHDMMRDLALAILSQEEGSQFLLRAYSKALKLGNHSLPGPQESPQSNRLFIPNDHQFILRAGSGLTEPPSVEEWEKSKMIFLMDNKLSTLPERPSCPGLLTLFLQSNFRLRVLPMSFFDCMPCLKVLNLSKTRIKCLPTTISKLINLETLILCHCERLVTLPSDIGSLKLLQVLDLRGTEISILPDEIGELASLRYLDVCFYGSVNRSEYVKLPHGLISGGIISRLHALNSLGISVCPGDERWDKCVKSIICEVSKLMELTSLSFYFPEVELLELFLQRSKAWIGQCLTEYKIVVGSDIKRIVSRVPHYVEHDYGLMSQCLRFVNGEKIPDAIVKVLACCSAFYLDHHLDISSISKFGIGNINKLKYCIVSECPAIKAILDGDKFTEVVFPYLERLSIHYLWNLEYIWEGVVPEGSFAMLRSLYVHACPKLKYVFKSSMLQFISNLEELIVDDCAAIEKIIFNDKTTDSGQISYSNCKRLSLHYLPALDNIGEGAWALCEHISVYNCPNLRKINLDSKLKHTLKEIKGEKDWWDALDWKEPALRMHFEDRFAPVSEDDI >EOY04690 pep chromosome:Theobroma_cacao_20110822:4:25509865:25515784:1 gene:TCM_019881 transcript:EOY04690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTKHDMASSSRNEILPAHEDEIELISFEGEMKPSLSPKIPWTMHGEIEEVLSSYETESEDGSEEARQGSSVEPNIGMGVSTSLQSNIATVEPVRGTSLRKDEILLIDELKTRDPCQTEDTQVIFEPEVVAKTTVERTVLKILELLNDYTVRRIGVYGIGGVGKTTVLKALINYPKTKGMFDLIIWVTVSKFWSIRKMQYEVLRQLSTQNEGLGELSSSLSKSDSEIREDLFRYLKGKKFLLLLDDVWERMDLEAVGIPNPSSRNGSALILATRKLEVCGYRHSINVIEMETVSKEEAWELFCEQVGGIVNAPGIQSFAQGIVEMCGGLPLLVIVTGRALSGEEDVFAWEQAFKQFSGPYRDMTDCNDMIQLLKFSFDRLKLHDIQTCFLHCALFAEDEEVNISEFVKYCIQEGLIAGSMADAYKRGHDIVTILERAFLLESTSNHSIKMHDMMRDLALAILSQEEGSQFLLRAYSKALKLGNHSLPGPQESPQSNRLFIPNDHQFILRAGSGLTEPPSVEEWEKSKMIFLMDNKLSTLPERPSCPGLLTLFLQSNFRLRVLPMSFFDCMPCLKVLNLSKTRIKCLPTTISKLINLETLILCHCERLVTLPSDIGSLKLLQVLDLRGTEISILPDEIGELASLRYLDVCFYGSVNRSEYVKLPHGLISGGIISRLHALNSLGISVCPGDERWDKCVKSIICEVSKLMELTSLSFYFPEVELLELFLQRSKAWIGQCLTEYKIVVGSDIKRIVSRVPHYVEHDYGLMSQCLRFVNGEKIPDAIVKVLACCSAFYLDHHLDISSISKFGIGNINKLKYCIVSECPAIKAILDGDKFTEVVFPYLERLSIHYLWNLEYIWEGVVPEGSFAMLRSLYVHACPKLKYVFKSSMLQFISNLEELIVDDCAAIEKIIFNDKTTDSGQISYSNCKRLSLHYLPALDNIGEGAWALCEHISVYNCPNLRKINLDSKLKHTLKEIKGEKDWWDALDWKEPALRMHFEDRFAPVSEDDI >EOY04686 pep chromosome:Theobroma_cacao_20110822:4:25509881:25515784:1 gene:TCM_019881 transcript:EOY04686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDFWQDSPLSHVVSWCKKIMHVKRCERIYLSLIPKTEHLFDLRDSMKEEMIKELMVGTTRTKECREWLIKVREFEIRANELIKFHEKTPDSLRLYMKQPHADLMNILDEITHLLESFPLQGATKSMSCSMNGGKSFGPAERTANDYLRRKIGSKGQAYLETGTSHAMGKDAEKREETLPDRYVENETLMASSALKPEESQLIESVESQSFKIKNVEPITTFGSIVGNRSPISGNFEVVSKKASAAVIKSLLPTDQITSSSIDPMTKHDMASSSRNEILPAHEDEIELISFEGEMKPSLSPKIPWTMHGEIEEVLSSYETESEDGSEEARQGSSVEPNIGMGVSTSLQSNIATVEPVRGTSLRKDEILLIDELKTRDPCQTEDTQVIFEPEVVAKTTVERTVLKILELLNDYTVRRIGVYGIGGVGKTTVLKALINYPKTKGMFDLIIWVTVSKFWSIRKMQYEVLRQLSTQNEGLGELSSSLSKSDSEIREDLFRYLKGKKFLLLLDDVWERMDLEAVGIPNPSSRNGSALILATRKLEVCGYRHSINVIEMETVSKEEAWELFCEQVGGIVNAPGIQSFAQGIVEMCGGLPLLVIVTGRALSGEEDVFAWEQAFKQFSGPYRDMTDCNDMIQLLKFSFDRLKLHDIQTCFLHCALFAEDEEVNISEFVKYCIQEGLIAGSMADAYKRGHDIVTILERAFLLESTSNHSIKMHDMMRDLALAILSQEEGSQFLLRAYSKALKLGNHSLPGPQESPQSNRLFIPNDHQFILRAGSGLTEPPSVEEWEKSKMIFLMDNKLSTLPERPSCPGLLTLFLQSNFRLRVLPMSFFDCMPCLKVLNLSKTRIKCLPTTISKLINLETLILCHCERLVTLPSDIGSLKLLQVLDLRGTEISILPDEIGELASLRYLDVCFYGSVNRSEYVKLPHGLISGGIISRLHALNSLGISVCPGDERWDKCVKSIICEVSKLMELTSLSFYFPEVELLELFLQRSKAWIGQCLTEYKIVVGSDIKRIVSRVPHYVEHDYGLMSQCLRFVNGEKIPDAIVKVLACCSAFYLDHHLDISSISKFGIGNINKLKYCIVSECPAIKAILDGDKFTEVVFPYLERLSIHYLWNLEYIWEGVVPEGSFAMLRSLYVHACPKLKYVFKSSMLQFISNLEELIVDDCAAIEKIIFNDKTTDSGQISYSNCKRLSLHYLPALDNIGEGAWALCEHISVYNCPNLRKINLDSKLKHTLKEIKGEKDWWDALDWKEPALRMHFEDRFAPVSEDDI >EOY06192 pep chromosome:Theobroma_cacao_20110822:4:31273206:31278047:1 gene:TCM_021007 transcript:EOY06192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein with RNA binding domain isoform 5 MATPYPIPVTAAQVGTYFVGQYYQVLQQQPDLVHQFYSDSSTMIRVDGNNRETATAMLQIHALIMSLNFTGIEIKTAYSLESWNGGVLVMVSGSVQVKDFSSRRQFVQTFFLAPQEKGYFVLNDIFHFIDEEQIHHHPAVLLVQHNLDSKLNASATIPEPVSNYLLGGDIQGREFVAPDDVKENGPVDKFSFPESRLQQTPESESIVDNSSVKESNGSLLHMVNTVQEHLPPVEESVGEPQKHTYASILRVAKGQSAPSVAPQISINKNTPPASDWVHAPQHTTQQAFVSSSPVERSGADMVEEVSAIEDEGEIKSVYVRNLPSSVSESEIEEEFKKFGEISPDGVVIRSRKDVGVCYAFVEFEDMTSVQNAIKAGVAQVAGRNVYIEERRPNSFIPSRGGMVKMFWTGRGRGRGSYQTDAPRGRFGARSYGRGGAYDGSERDYSRSRGNGFYRPTSRQDRGLSGQQVSRIGQSS >EOY06195 pep chromosome:Theobroma_cacao_20110822:4:31273439:31278112:1 gene:TCM_021007 transcript:EOY06195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein with RNA binding domain isoform 5 MIRVDGNNRETATAMLQIHALIMSLNFTGIEIKTAYSLESWNGGVLVMVSGSVQVKDFSSRRQFVQTFFLAPQEKGYFVLNDIFHFIDEEQIHHHPAVLLVQHNLDSKLNASATIPEPVSNYLLGGDIQGREFVAPDDVKENGPVDKFSFPESRLQQTPESESIVDNSSVKESNGSLLHMVNTVQEHLPPVEESVGEPQKHTYASILRVAKGQSAPSVAPQISINKNTPPASDWVHAPQHTTQQAFVSSSPVERSGADMVEEVSAIEDEGEIKSVYVRNLPSSVSESEIEEEFKKFGEISPDGVVIRSRKDVGVCYAFVEFEDMTSVQNAIKAGVAQVAGRNVYIEERRPNSFIPSRGGRRGRGRGSYQTDAPRGRFGARSYGRGGAYDGSERDYSRSRGNGFYRPTSRQDRGLSGQQVSRIGQSS >EOY06198 pep chromosome:Theobroma_cacao_20110822:4:31273397:31276787:1 gene:TCM_021007 transcript:EOY06198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein with RNA binding domain isoform 5 MATPYPIPVTAAQVGTYFVGQYYQVLQQQPDLVHQFYSDSSTMIRVDGNNRETATAMLQIHALIMSLNFTGIEIKTAYSLESWNGGVLVMVSGSVQVKDFSSRRQFVQTFFLAPQEKGYFVLNDIFHFIDEEQIHHHPAVLLVQHNLDSKLNASATIPEPVSNYLLGGDIQGREFVAPDDVKENGPVDKFSFPESRLQQTPESESIVDNSSVKESNGSLLHMVNTVQEHLPPVEESVGEPQKHTYASILRVAKGQSAPSVAPQISINKNTPPASDWVHAPQHTTQQAFVSSSPVERSGADMVEEVSAIEDEGEIKSVYVRNLPSSVSESEIEEEFKKFGEISPDGVVIRSRKDVGVCYAFVEFEDMTSVQNAIKALFCIHNSLWR >EOY06193 pep chromosome:Theobroma_cacao_20110822:4:31273206:31278112:1 gene:TCM_021007 transcript:EOY06193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein with RNA binding domain isoform 5 MATPYPIPVTAAQVGTYFVGQYYQVLQQQPDLVHQFYSDSSTMIRVDGNNRETATAMLQIHALIMSLNFTGIEIKTAYSLESWNGGVLVMVSGSVQVKDFSSRRQFVQTFFLAPQEKGYFVLNDIFHFIDEEQIHHHPAVLLVQHNLDSKLNASATIPEPVSNYLLGGDIQGREFVAPDDVKENGPVDKFSFPESRLQQTPESESIVDNSSVKESNGSLLHMVNTVQEHLPPVEESVGEPQKHTYASILRVAKGQSAPSVAPQISINKNTPPASDWVHAPQHTTQQAFVSSSPVERSGADMVEEVSAIEDEGEIKSVYVRNLPSSVSESEIEEEFKKFGEISPDGVVIRSRKDVGVCYAFVEFEDMTSVQNAIKAGVAQVAGRNVYIEERRPNSFIPSRGGRRGRGRGSYQTDAPRGRFGARSYGRGGAYDGSERDYSRSRGNGFYRPTSRQDRGLSGQQVSRIGQSS >EOY06194 pep chromosome:Theobroma_cacao_20110822:4:31273245:31277524:1 gene:TCM_021007 transcript:EOY06194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein with RNA binding domain isoform 5 MATPYPIPVTAAQVGTYFVGQYYQVLQQQPDLVHQFYSDSSTMIRVDGNNRETATAMLQIHALIMSLNFTGIEIKTAYSLESWNGGVLVMVSGSVQVKDFSSRRQFVQTFFLAPQEKGYFVLNDIFHFIDEEQIHHHPAVLLVQHNLDSKLNASATIPEPVSNYLLGGDIQGREFVAPDDVKENGPVDKFSFPESRLQQTPESESIVDNSSVKESNGSLLHMVNTVQEHLPPVEESVGEPQKHTYASILRVAKGQSAPSVAPQISINKNTPPASDWVHAPQHTTQQAFVSSSPVERSGADMVEEVSAIEDEGEIKSVYVRNLPSSVSESEIEEEFKKFGEISPDGVVIRSRKDVGVCYAFVEFEDMTSVQNAIKELHRLLDEMCTLKSGDQTALSHLEEEGGVEAEAAIKLMLQGGVLVLEVMAGGVPMMEVSETT >EOY06197 pep chromosome:Theobroma_cacao_20110822:4:31273292:31278112:1 gene:TCM_021007 transcript:EOY06197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein with RNA binding domain isoform 5 MIRVDGNNRETATAMLQIHALIMSLNFTGIEIKTAYSLESWNGGVLVMVSGSVQVKDFSSRRQFVQTFFLAPQEKGYFVLNDIFHFIDEEQIHHHPAVLLVQHNLDSKLNASATIPEPVSNYLLGGDIQGREFVAPDDVKENGPVDKFSFPESRLQQTPESESIVDNSSVKESNGSLLHMVNTVQEHLPPVEESVGEPQKHTYASILRVAKGQSAPSVAPQISINKNTPPASDWVHAPQHTTQQAFVSSSPVERSGADMVEEVSAIEDEGEIKSVYVRNLPSSVSESEIEEEFKKFGEISPDGVVIRSRKDVGVCYAFVEFEDMTSVQNAIKAGVAQVAGRNVYIEERRPNSFIPSRGGRRGRGRGSYQTDAPRGRFGARSYGRGGAYDGSERDYSRSRGNGFYRPTSRQDRGLSGQQVSRIGQSS >EOY06196 pep chromosome:Theobroma_cacao_20110822:4:31273249:31277441:1 gene:TCM_021007 transcript:EOY06196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein with RNA binding domain isoform 5 MIRVDGNNRETATAMLQIHALIMSLNFTGIEIKTAYSLESWNGGVLVMVSGSVQVKDFSSRRQFVQTFFLAPQEKGYFVLNDIFHFIDEEQIHHHPAVLLVQHNLDSKLNASATIPEPVSNYLLGGDIQGREFVAPDDVKENGPVDKFSFPESRLQQTPESESIVDNSSVKESNGSLLHMVNTVQEHLPPVEESVGEPQKHTYASILRVAKGQSAPSVAPQISINKNTPPASDWVHAPQHTTQQAFVSSSPVERSGADMVEEVSAIEDEGEIKSVYVRNLPSSVSESEIEEEFKKFGEISPDGVVIRSRKDVGVCYAFVEFEDMTSVQNAIKAGVAQVAGRNVYIEERRPNSFIPSRGGMVKMFWTGRGRGRGSY >EOY06186 pep chromosome:Theobroma_cacao_20110822:4:31247115:31248351:-1 gene:TCM_021001 transcript:EOY06186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide (PPR) repeat-containing-like protein MFFPKLNPTLPIKLARHLSFSAPTFFQSNQTSTTVSNPKPHNSKISYIMQNGLIQEAQNLFDKMLHKNVVTWNTMIRGYFLNGFLSKALALFRQMPERDIFTYNSVMTGLMHGLLAVCEMPGKDVISWNLVIEGLVKCEKFDLAEEYFKRMSYRDVASWTVMISGLAKAGRMAEACKFFEGMPVKYSCMECDARWLCRV >EOY02590 pep chromosome:Theobroma_cacao_20110822:4:1393270:1401263:1 gene:TCM_017019 transcript:EOY02590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESKLTPAAKMQQQPIVGEKLKFSASEDGVMLKQIQASHAPDGRVINVRPLLRIVEDIFNRAAPSAIVAPAHTEEVEDQTYQADVIDMIEALSFLIDRISSEIAFKCTETGEAHATTMSILNIVSNYPWDAKLVIALSAFAVNYGEFWLLAQSYTSNQLAKNLAILRQVPEILQHSSMLKYRFETTKNLIRAMVDIAKCIVDFKELPSKYISADVTAMSTAMDHIPIAIYWTIRSMLASASQITGLSGFGNEYLLSTMESWELSSLVHKLDSMHSHLVGLLAACHKHIDERKFLEAYQNLLYLYETAQIDNIKILKALINPKDDPLPLIHGATNRRVNIDVLRKRNVLLLISDLDILQDKIAILEQIYNESRSQPSRLESQYEFVWLPVLDPSVPLSEIKKDKFENLKALMTWYTLQHPSLIDRAVFKFIKEVWHFEKKPILVVLDPQGRVTCPNALYMMWIWGSLAFPFTTGRETALWRAETWRLELLVGGIDPVILNWISEGRFIFLYGGEDMDWIRKFTNAVRTFARASGFSLEMVYVGKSNPKERVSRNMATITAEKLSFCLPNLTAVWYFWIRMESMWYSKYQLGKEDENDPITREIMTLLTYDGSGDGWALLSRGSAELTRAKGSAFLTCLTEYNLWAADLQTKGLVPAVHDYFLQHPAPHHCNRLELPGTTGRIPERVTCSDCGRMMERYILYRCCDE >EOY02296 pep chromosome:Theobroma_cacao_20110822:4:331472:338475:-1 gene:TCM_016816 transcript:EOY02296 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI ethanolamine phosphate transferase, putative isoform 2 MSDKGSHGDGHPSNTDTPLVAWGAGIKHPKPITRRDHSDHVLRFVDEHLHDTPTPKEWGLDGIERVDVNQADIAPLMSTLLGLPCPVNSVGNLPLSYVDMKEEEEVEAVVANTKQILNQFLRKSQIKRSHSLYFKPFKPLAQYSSMLNQIEGLLIARDYKAAMQLSENLRSLALDGLHYFQTYDWLMLMTIITLGYIGWMVFLVLHVLQAYTSLLGDTYRKEEAFRQQYNTGKVNLWGCLFMGVLSVLLFLERSPPLYHAYFAMTVFLWTQILNEYQFIKALWRHLSRRKFNYVIKLLVTGVVSLIILEFLVHSFTERKLYTWCFLVVGAIASMYLYNLIPWRSRIPVFVCLTCWFLSLFTLMPAEIPDNNKLVIASGGMIIVIGLTAKWLDLHADGNKYWLGICKHEIKQPRFPMLFLFQALLVGLSSVMVFLSTSYRTEKQELHTVHQLMNWSIAGCSMVLPLFSDNGFLSRLNSIFLGFAPTFLLLSIGYEAVFYGALGLVLMAWILFENSLLHLSKVKKSSASRKNLEEHFFLENEVRYLQLSDVRIPLTFMVLFNVAFFGTGNFASIASFEISSVYRFITVFSVSNG >EOY02295 pep chromosome:Theobroma_cacao_20110822:4:331230:340911:-1 gene:TCM_016816 transcript:EOY02295 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI ethanolamine phosphate transferase, putative isoform 2 MGSDGILGNRDSKQSKASIPKRRKWVKRRETWLVILGVILHAVYMLSIFDIYFKTPIVHGMDLVSPRFLAPAKRLVLLVADGLRADKFFEPDSEGNFRAPFLRSVIKNQGKWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNRSRHTFSYGSPDIVPIFCGALPHSTWNTYPHEFEDFATDASFLDEWSFDQFQSLLNKSNEDPKLKRLLQQDNLVIFLHLLGCDSNGHAHRPFSSIYLNNVKVVDRIAERVYNLLESYYKDNRTSYIFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIKHPKPITRRDHSDHVLRFVDEHLHDTPTPKEWGLDGIERVDVNQADIAPLMSTLLGLPCPVNSVGNLPLSYVDMKEEEEVEAVVANTKQILNQFLRKSQIKRSHSLYFKPFKPLAQYSSMLNQIEGLLIARDYKAAMQLSENLRSLALDGLHYFQTYDWLMLMTIITLGYIGWMVFLVLHVLQAYTSLLGDTYRKEEAFRQQYNTGKVNLWGCLFMGVLSVLLFLERSPPLYHAYFAMTVFLWTQILNEYQFIKALWRHLSRRKFNYVIKLLVTGVVSLIILEFLVHSFTERKLYTWCFLVVGAIASMYLYNLIPWRSRIPVFVCLTCWFLSLFTLMPAEIPDNNKLVIASGGMIIVIGLTAKWLDLHADGNKYWLGICKHEIKQPRFPMLFLFQALLVGLSSVMVFLSTSYRTEKQELHTVHQLMNWSIAGCSMVLPLFSDNGFLSRLNSIFLGFAPTFLLLSIGYEAVFYGALGLVLMAWILFENSLLHLSKVKKSSASRKNLEEHFFLENEVRYLQLSDVRIPLTFMVLFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAALLIFKLFIPFMLVICAFSATTKLLQIPRLGCYFLVILFSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIYTKDIQIRSASRASWKAM >EOY04761 pep chromosome:Theobroma_cacao_20110822:4:25803812:25811138:-1 gene:TCM_019943 transcript:EOY04761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 2 MNNNSNISHGEDDQRKKESLDSRFNQTLRNVQGLLKGRSIPGKVLLTRRPDPLDDSGFQRSPSYQRSFSQNDAGTSDDMDKSMEGGNTNNSASHTTVNKLRSSTSNIENVSKEVPKSAVGARATDSARVMKFTKELSGQMVILERLRELAWSGVPPYMRPNVWRLLLGYAPPNSDRREGVLRRKRLEYLDCVAQFYDIPDTERSDDEINMLRQIAVDCPRTVPDVAFFQQAQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLEGSIDSWSISDLSSENISNIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSKHMEDQGLEFLQFAFRWFNCLLIHPFSSGNPSMGHLSC >EOY04760 pep chromosome:Theobroma_cacao_20110822:4:25803430:25811295:-1 gene:TCM_019943 transcript:EOY04760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 2 MNNNSNISHGEDDQRKKESLDSRFNQTLRNVQGLLKGRSIPGKVLLTRRPDPLDDSGFQRSPSYQRSFSQNDAGTSDDMDKSMEGGNTNNSASHTTVNKLRSSTSNIENVSKEVPKSAVGARATDSARVMKFTKELSGQMVILERLRELAWSGVPPYMRPNVWRLLLGYAPPNSDRREGVLRRKRLEYLDCVAQFYDIPDTERSDDEINMLRQIAVDCPRTVPDVAFFQQAQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLEGSIDSWSISDLSSENISNIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSKHMEDQGLEFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDALPDFLVYISASFLLTWSDKLQKLDFQELVMFLQHLPTHNWTYQELEMVLSTAYMWHSMFNSSPSHLAS >EOY02739 pep chromosome:Theobroma_cacao_20110822:4:1984063:1985028:-1 gene:TCM_017129 transcript:EOY02739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSHQQSTSKWGTSRERKLNVDAAKFEIAVERWMGADFVVRNMSGEVELAGTRRMLTSQTVEAAELSALVWSLRCRQRENTVIKEIELDCKAVVDWIKGRHLSGVLGHVVEDCLNLTVSIYCDTVLHCPRKCNEVMHLLAKRAKDISEEAVAWLDISHMPNDIQLVIMREFRSSFEGFEVGSGFCIPEGC >EOY03924 pep chromosome:Theobroma_cacao_20110822:4:20409214:20409962:-1 gene:TCM_019136 transcript:EOY03924 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAST1 MAASRSSLFLPLSLLLLIALSNVAEAYSSAKLRPSDCQPKCKYRCSATSHKKPCMFFCLKCCAKCLCVPPGTYGNKQVCPCYNNWKTKEGGPKCP >EOY04941 pep chromosome:Theobroma_cacao_20110822:4:26574997:26584336:1 gene:TCM_020085 transcript:EOY04941 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein, putative isoform 1 MKKAIGQTVRDLKREVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAMATRNYHEYQMIMAVIWKRINDTGKNWRHVYKALTVLEYLVAHGSERVIDDIREHAYQISTLSDFQYIDSSGRDQGNNVRKKSQSLVVLVNDKERIIEVRQKAAANRDKFRNTSTGGMYRPGSGGYGDRYDYDRYGSREDDQNGYGRDREYGYRDDDRYGRYGDSNSRDGDRYGRDYEDRYSRDGYRDDDYRGRSRSVDDYQYGTRSRSSDRDRAVDDDGASSRGSGARGDEHSQDGRRLERRFSEQNIGAPPNYEEAVSESRSPVHSERDGETSVAAAPRASSPPASNNPNQAASDFGNSMSPANQVVEAFDEFDPRGSVSAAPTTAPAATATPATAVPTATMSAEIDLLGVLSDSLAIVPTTPATPSGEADALENSGAIPNFAANQSASNFENQGFDDPFGDGPFKAFPSTDATPALQQTSTSMPTFQPTMNQNADIPQPPSVNPETVADFNFGDSFSANAYSPPTVSSAQSPANSQFLPQELSTPNQESDILADILPPSEHADGVAAQAAFSAPTSHSVPPVATYGQSTQPGANIYGQQAQSGANIYSQSGQPSANPYVQPGQPSANPYGQQAQPSANPYGQSVQPSANPYGQSAQPNTNPYGQPSQPSANPYGQPTQPSSNNTYGNFNQQTVSMPPQSAGSAALSRNGSLISQTGSNIPVSSHMAAQPQNPAGPAAQFNTGNFLSQQGSVVPVTSQITQQATNAPVAQSNNDVLGGLFSQPGINTSMVPQTGSPSSTGALAIVPQPSKDKFEPKSAVWADTLSRGLVNLNISGPKINPLADIGIDFDAINRKERRMEKPAPTAVTSTVTMGKAMGSGSGIGRAGASALRPPPNPMMGSGMGMGMGMGMGMGMGMSGGPVGGMGVGGYGGMNQQPMGMGMGMNNMGMNPGMGMNMGMGQGVQMQPQTGMPGSYNPVMGSGGYSQQPYGGGYR >EOY04942 pep chromosome:Theobroma_cacao_20110822:4:26575097:26584480:1 gene:TCM_020085 transcript:EOY04942 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein, putative isoform 1 MKKAIGQTVRDLKREVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAMATRNYHEYQMIMAVIWKRINDTGKNWRHVYKALTVLEYLVAHGSERVIDDIREHAYQISTLSDFQYIDSSGRDQGNNVRKKSQSLVVLVNDKERIIEVRQKAAANRDKFRNTSTGGMYRPGSGGYGDRYDYDRYGSREDDQNGYGRDREYGYRDDDRYGRYGDSNSRDGDRYGRDYEDRYSRDGYRDDDYRGRSRSVDDYQYGTRSRSSDRDRAVDDDGASSRGSGARGDEHSQDGRRLERRFSEQNIGAPPNYEEAVSESRSPVHSERDGETSVAAAPRASSPPASNNPNQAASDFGNSMSPANQVVEAFDEFDPRGSVSAAPTTAPAATATPATAVPTATMSAEIDLLGVLSDSLAIVPTTPATPSGEADALENSGAIPNFAANQSASNFENQGFDDPFGDGPFKAFPSTDATPALQQTSTSMPTFQPTMNQNADIPQPPSVNPETVADFNFGDSFSANAYSPPTVSSAQSPANSQFLPQELSTPNQESDILADILPPSEHADGVAAQAAFSAPTSHSVPPVATYGQSTQPGANIYGQQAQSGANIYSQSGQPSANPYVQPGQPSANPYGQQAQPSANPYGQSVQPSANPYGQSAQPNTNPYGQPSQPSANPYGQPTQPSSNNTYGNFNQQTVSMPPQSAGSAALSRNGSLISQTGSNIPVSSHMAAQPQNPAGPAAQFNTGNFLSQQGSVVPVTSQITQQATNAPVAQSNNDVLGGLFSQPGINTSMVPQTGSPSSTGALAIVPQPSKDKFEPKSAVWADTLSRGLVNLNISGPKINPLADIGIDFDAINRKERRMEKPAPTAVTSTVTMGKAMGSGSGIGRAGASALRPPPNPMMGSGMGMGMGMGMGMGMGMSGGPVGGMGVGGYGGMNQQPMGMGMGMNNMGMNPGMGMNMGMGQGVQMQPQTGMPGSYNPVMGSGGYSQQPYGGGYR >EOY04943 pep chromosome:Theobroma_cacao_20110822:4:26575056:26583973:1 gene:TCM_020085 transcript:EOY04943 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein, putative isoform 1 MKKAIGQTVRDLKREVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAMATRNYHEYQMIMAVIWKRINDTGKNWRHVYKALTVLEYLVAHGSERVIDDIREHAYQISTLSDFQYIDSSGRDQGNNVRKKSQSLVVLVNDKERIIEVRQKAAANRDKFRNTSTGGMYRPGSGGYGDRYDYDRYGSREDDQNGYGRDREYGYRDDDRYGRYGDSNSRDGDRYGRDYEDRYSRDGYRDDDYRGRSRSVDDYQYGTRSRSSDRDRAVDDDGASSRGSGARGDEHSQDGRRLERRFSEQNIGAPPNYEEAVSESRSPVHSERDGETSVAAAPRASSPPASNNPNQAASDFGNSMSPANQVVEAFDEFDPRGSVSAAPTTAPAATATPATAVPTATMSAEIDLLGVLSDSLAIVPTTPATPSGEADALENSGAIPNFAANQSASNFENQGFDDPFGDGPFKAFPSTDATPALQQTSTSMPTFQPTMNQNADIPQPPSVNPETVADFNFGDSFSANAYSPPTVSSAQSPANSQFLPQELSTPNQESDILADILPPSEHADGVAAQAAFSAPTSHSVPPVATYGQSTQPGANIYGQQAQSGANIYSQSGQPSANPYVQPGQPSANPYGQQAQPSANPYGQSVQPSANPYGQSAQPNTNPYGQPSQPSANPYGQPTQPSSNNTYGNFNQQTVSMPPQSAGSAALSRNGSLISQTGSNIPVSSHMAAQPQNPAGPAAQFNTGNFLSQQGSVVPVTSQITQQATNAPVAQSNNDVLGGLFSQPGINTSMVPQTGSPSSTGALAIVPQPSKDKFEPKSAVWADTLSRGLVNLNISGPKINPLADIGIDFDAINRKERRMEKPAPTAVTSTVTMGKAMGSGSGIGRAGASALRPPPNPMMGSGMGMGMGMGMGMGMGMSGGPVGGMGVGGYGGMNQQPMGMGMGMNNMGMNPGMGMNMGMGQGVQMQPQTGMPGSYNPVMGSGGYSQQPYGGGYR >EOY04244 pep chromosome:Theobroma_cacao_20110822:4:22982279:22986722:-1 gene:TCM_019504 transcript:EOY04244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MVLVQASRINLPTPPSKTPASLLFEPHSFSLALLHSDSSLSLFPSISFPVPSHKKSLTIPSPSSSSIFLLQKTQLNPNPRVLFIVGGPYKGGSKVLLRFFLFRNDDSKVFEKAKVVVSNQKGIEFDDKVGVLIDVSHGLKVMIAGSVNFFAFYSASSSKVWIFGVKLVGNDEGDDGVVFKLMKCAVIDCTKPVFSMSVSSECLVLGEENGVRVWNLRELVKGKKIRRVKYSGLSNGVIGDSDGFGGGGSSSSGIVCNGYLNEKIEKHCVSVKQRSGKYRQESAEEGACFVAFEQKEVKGLKSTKVPFMSMKAISIQPLSPKKFLILNSIGDLSVLHVLNTAVGSNITCHMRQLPHVLKVQKLAVLPDISSRRQTVWISDGHHTVHMMDITSAVNENDERESDEKLLRISVSQAIFSSEKIQDMIPMAANSIMILGRGSLYTYAIS >EOY04246 pep chromosome:Theobroma_cacao_20110822:4:22983913:22986581:-1 gene:TCM_019504 transcript:EOY04246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MVLVQASRINLPTPPSKTPASLLFEPHSFSLALLHSDSSLSLFPSISFPVPSHKKSLTIPSPSSSSIFLLQKTQLNPNPRVLFIVGGPYKGGSKVLLRFFLFRNDDSKVFEKAKVVVSNQKGIEFDDKVGVLIDVSHGLKVMIAGSVNFFAFYSASSSKVWIFGVKLVGNDEGDDGVVFKLMKCAVIDCTKPVFSMSVSSECLVLGEENGVRVWNLRELVKGKKIRRVKYSGLSNGVIGDSDGFGGGGSSSSGIVCNGYLNEKIEKHCVSVKQRSGKYRQESAEEGACFVAFEQKEVKGLKSTKVPFMSMKAISIQPLSPKKFLILNSIGDLSVLHVLNTAVGSNITCHMRQLPHVLKVQKLAVLPDISSRRQTVWISDGHHTVHMMDITSAVNENDERESDEKLLRISGFVNRHISLVENYELCHIYTLTFIKLWKCWYMPGIVLIYFEVGSFCILCELSESSMIWRT >EOY04247 pep chromosome:Theobroma_cacao_20110822:4:22983308:22986722:-1 gene:TCM_019504 transcript:EOY04247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MVLVQASRINLPTPPSKTPASLLFEPHSFSLALLHSDSSLSLFPSISFPVPSHKKSLTIPSPSSSSIFLLQKTQLNPNPRVLFIVGGPYKGGSKVLLRFFLFRNDDSKVFEKAKVVVSNQKGIEFDDKVGVLIDVSHGLKVMIAGSVNFFAFYSASSSKVWIFGVKLVGNDEGDDGVVFKLMKCAVIDCTKPVFSMSVSSECLVLGEENGVRVWNLRELVKGKKIRRVKYSGLSNGVIGDSDGFGGGGSSSSGIVCNGYLNEKIEKHCVSVKQRSGKYRQESAEEGACFVAFEQKEVKGLKSTKVPFMSMKAISIQPLSPKKFLILNSIGDLSVLHVLNTAVGSNITCHMRQLPHVLKVQKLAVLPDISSRRQTVWISDGHHTVHMMDITSAVNENDERESDEKLLRISVSQAIFSSEKIQDMIPMAANSIMILGRGNLLFILSKVFYRSEMLLEIKN >EOY04245 pep chromosome:Theobroma_cacao_20110822:4:22983120:22986722:-1 gene:TCM_019504 transcript:EOY04245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MVLVQASRINLPTPPSKTPASLLFEPHSFSLALLHSDSSLSLFPSISFPVPSHKKSLTIPSPSSSSIFLLQKTQLNPNPRVLFIVGGPYKGGSKVLLRFFLFRNDDSKVFEKAKVVVSNQKGIEFDDKVGVLIDVSHGLKVMIAGSVNFFAFYSASSSKVWIFGVKLVGNDEGDDGVVFKLMKCAVIDCTKPVFSMSVSSECLVLGEENGVRVWNLRELVKGKKIRRVKYSGLSNGVIGDSDGFGGGGSSSSGIVCNGYLNEKIEKHCVSVKQRSGKYRQESAEEGACFVAFEQKEVKGLKSTKVPFMSMKAISIQPLSPKKFLILNSIGDLSVLHVLNTAVGSNITCHMRQLPHVLKVQKLAVLPDISSRRQTVWISDGHHTVHMMDITSAVNENDERESDEKLLRISGFVNRHISLVENYELCHIYTLTFIKLWKCWYMPGIVLIYFEVGSFCILCELSESSMIWRT >EOY04243 pep chromosome:Theobroma_cacao_20110822:4:22981003:22986722:-1 gene:TCM_019504 transcript:EOY04243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MVLVQASRINLPTPPSKTPASLLFEPHSFSLALLHSDSSLSLFPSISFPVPSHKKSLTIPSPSSSSIFLLQKTQLNPNPRVLFIVGGPYKGGSKVLLRFFLFRNDDSKVFEKAKVVVSNQKGIEFDDKVGVLIDVSHGLKVMIAGSVNFFAFYSASSSKVWIFGVKLVGNDEGDDGVVFKLMKCAVIDCTKPVFSMSVSSECLVLGEENGVRVWNLRELVKGKKIRRVKYSGLSNGVIGDSDGFGGGGSSSSGIVCNGYLNEKIEKHCVSVKQRSGKYRQESAEEGACFVAFEQKEVKGLKSTKVPFMSMKAISIQPLSPKKFLILNSIGDLSVLHVLNTAVGSNITCHMRQLPHVLKVQKLAVLPDISSRRQTVWISDGHHTVHMMDITSAVNENDERESDEKLLRISVSQAIFSSEKIQDMIPMAANSIMILGREEACTHMLFPEVVWQLYLFLWAFMVQVASDDYIPLSLFLDQYFY >EOY02843 pep chromosome:Theobroma_cacao_20110822:4:2562999:2566784:-1 gene:TCM_017250 transcript:EOY02843 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MENQAKKVLLTSNGDEISMNIALHLAKRGCRLVLMGNECCLRSVKDNIMDSTNSAVPVEVVGLDMEDEREGAFDEAVDKAWKVLGSLDALVHCYAFEGKMQDHLQLAEEEFRKIVKINFMAAWFLLKAVGRRMRDYKTGGSIVFLTTILSAERGLYQGAAAYGSCLAGVQQLARVSALEIGKYKIRVNAIARGLHLQDEFPMSVGKDRAEKLVKEAMPLHRWLDVKNDLASTVIYLISDGSRYMTGTTIFVDGAQSLVRPRMRSYM >EOY02842 pep chromosome:Theobroma_cacao_20110822:4:2562999:2566890:-1 gene:TCM_017250 transcript:EOY02842 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MENQAKKVLLTSNGDEISMNIALHLAKRGCRLVLMGNECCLRSVKDNIMDSTNSAVPVEVVGLDMEDEREGAFDEAVDKAWKVLGSLDALVHCYAFEGKMQDHLQLAEEEFRKIVKINFMAAWFLLKAVGRRMRDYKTGGSIVFLTTILSAERGLYQGAAAYGSCLAGVQQLARVSALEIGKYKIRVNAIARGLHLQDEFPMSVGKDRAEKLVKEAMPLHRWLDVKNDLASTVIYLISDGSRYMTGTTIFVDGAQSLVRPRMRSYM >EOY03812 pep chromosome:Theobroma_cacao_20110822:4:19509305:19510465:-1 gene:TCM_019001 transcript:EOY03812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHNERRGKRISRERERVKKGSGLRRQEKSEREEARPMLDLVLGLPSVNVGRERERARERERVRERSRRWIWCLGYHRLAWVERERERKPTSNLVLGLPSVSVGRGRERSRRRICCLGCRHLAGEILEKR >EOY03853 pep chromosome:Theobroma_cacao_20110822:4:19935931:19939695:-1 gene:TCM_019056 transcript:EOY03853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 1 MTIGDITPKKERKSRRSKHVVDEKAPLLPKRQDEDVGYDEFNGASFTGAVFNLSTTIVGAGIMALPATMKVLGLILGIAMIIFMAFLTDASIEFLLRFSRAGKTTSYGGLMGDALGKYGRIFLQICVLVNNIGVLIVYMIIIGDVLSGTSSSGVHHAGVLEGWFGEHWWNGRTFVLIVTTLGIFAPLACFKRIDSLRFTSALSVALAVVFLVITVGIAIVKLISGTVLMPRLLPDVTDLTSFWKLFTVVPVLVTAYICHYNVHSIDNELEDSSQIRPVVRTALALCSTVYIMTSFFGFLLFGDATLDDVLANFDTDLGIPYSSLLNDAVRVSYAAHLMLVFPIVFFPLRLNMDGLLFPSARPLSLSNTRFAFITAGLITAIFLGANYIPSIWDAFQFTGATAAVCLGFIFPAAIALRDRHFIATKKDKILAIFMIVLAVFSNLVAIYSDAYALFKKNPGAGPRA >EOY03855 pep chromosome:Theobroma_cacao_20110822:4:19937000:19939066:-1 gene:TCM_019056 transcript:EOY03855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 1 MTIGDITPKKERKSRRSKHVVDEKAPLLPKRQDEDVGYDEFNGASFTGAVFNLSTTIVGAGIMALPATMKVLGLILGIAMIIFMAFLTDASIEFLLRFSRAGKTTSYGGLMGDALGKYGRIFLQICVLVNNIGVLIVYMIIIGDVLSGTSSSGVHHAGVLEGWFGEHWWNGRTFVLIVTTLGIFAPLACFKRIDSLRFTSALSVALAVVFLVITVGIAIVKLISGTVLMPRLLPDVTDLTSFWKLFTVVPVLVTAYICHYNVHSIDNELEDSSQIRPVVRTALALCSTVYIMTSFFGFLLFGDATLDDVLANFDTDLGIPYSSLLNDAVRVSYAAHLMLVFPIVFFPLRLNMDGLLFPSARPLSLSNTRFAFITAGLITAIFLGANYIPSIWDAFQFTGATAAVCLGFIFP >EOY03854 pep chromosome:Theobroma_cacao_20110822:4:19935990:19939690:-1 gene:TCM_019056 transcript:EOY03854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 1 MTIGDITPKKERKSRRSKHVVDEKAPLLPKRQDEDVGYDEFNGASFTGAVFNLSTTIVGAGIMALPATMKVLGLILGIAMIIFMAFLTDASIEFLLRFSRAGKTTSYGGLMGDALGKYGRIFLQICVLVNNIGVLIVYMIIIGDVLSGTSSSGVHHAGVLEGWFGEHWWNGRTFVLIVTTLGIFAPLACFKRIDSLRFTSALSVALAVVFLVITVGIAIVKLISGTVLMPRLLPDVTDLTSFWKLFTVVPVLVTAYICHYNVHSIDNELEDSSQIRPVVRTALALCSTVYIMTSFFGFLLFGDATLDDVLANFDTDLGIPYSSLLNDAVRVSYAAHLMLVFPIVFFPLRLNMDGLLFPSARPLSLSNTRFAFITAGLITAIFLGANYIPSIWDAFQFTGATAAVCLGFIFPAAIALRDRHFIATKKDKILAIFMIVLAVFSNLVAIYSDAYALFKKNPGAGPRA >EOY03856 pep chromosome:Theobroma_cacao_20110822:4:19936998:19939748:-1 gene:TCM_019056 transcript:EOY03856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 1 MTIGDITPKKERKSRRSKHVVDEKAPLLPKRQDEDVGYDEFNGASFTGAVFNLSTTIVGAGIMALPATMKVLGLILGIAMIIFMAFLTDASIEFLLRFSRAGKTTSYGGLMGDALGKYGRIFLQICVLVNNIGVLIVYMIIIGDVLSGTSSSGVHHAGVLEGWFGEHWWNGRTFVLIVTTLGIFAPLACFKRIDSLRFTSALSVALAVVFLVITVGIAIVKLISGTVLMPRLLPDVTDLTSFWKLFTVVPVLVTAYICHYNVHSIDNELEDSSQIRPVVRTALALCSTVYIMTSFFGFLLFGDATLDDVLANFDTDLGIPYSSLLNDAVRVSYAAHLMLVFPIVFFPLRLNMDGLLFPSARPLSLSNTRFAFITAGLITAIFLGANYIPSIWDAFQFTGATAAVCLGFIFP >EOY04050 pep chromosome:Theobroma_cacao_20110822:4:21715270:21718141:1 gene:TCM_019311 transcript:EOY04050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 71D10, putative MELQFPSFTTLLLTFLFMFIVVKVLKGSKAKNPEAKLPPGPRKLPLIGNMHQLIGSLPHHTLRDLAKKHGPLMHLQLGDVPTIVISSPAIAKEVLKDHATIFAQRPYLLASKIMSYDSTNIVFSPYGNYWRQLRKISTMELLSPSRVQSFRFIREEEVSALIKTISLIEGSPVNLSEKIFSMTYGITSRAAFGKKSKGQEEFIRIMTEAIKLSGGFCLADLYPSNKLLKLISGVRLKLEKLQRASDRILEDIVNEHKEKTNRTSETGNQQEEDDLLDVLLKLQQSSDLEIPLTNDKIKAIILDILGAGSETSSTTMEWAMSEMLRNPRVMKQAQAEVRQVFDRKGNLDEAGIHELKFLRSIVKETLRLHPAAPLLVPRECDENCVISGYDILAKSKVIVNAWAIGRDSRYWKDAEKFNPERFLDSPIDFRGTHFEYIPFGAGRRICPGISFALPNIELPLAQLLYHFDWKLPNGSNCEDLDMTECFGITVRRKNDLFLIPIPYHPLPSE >EOY05149 pep chromosome:Theobroma_cacao_20110822:4:27388003:27389493:-1 gene:TCM_020231 transcript:EOY05149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L6 family protein MEAKFFRFLKIVGVGYKARAEAEGRLLFLKLGYSHEVELTVPPAVRVFCFKNNVVCCTGLDKQRVHQFAATVRSCKPPEVYKGKGIMYIDEVIKKKQGKKSK >EOY02613 pep chromosome:Theobroma_cacao_20110822:4:1528008:1539572:1 gene:TCM_017040 transcript:EOY02613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGKAMIVLELLLMVALVMGQPGSNTPPAPQTPGAIPAPNPPTVPGGLPSPAIPGSDQLLPGGGMLPPGGGDDVVPPPFPGDGVVPPLIPGDGIIPPIIPGIGIDLPKRKCVGKCALQCQRFKRNRFAAKICAGLCMLRCGIRMSNVVYNCTENCAHSVSPISVSDAREAQSLVNSCYERCKNN >EOY06923 pep chromosome:Theobroma_cacao_20110822:4:33370097:33372148:-1 gene:TCM_021499 transcript:EOY06923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein D-29, putative MIITRKGEGFLFFRKLSSIFMASGPSPFGFFILLGAAVLVLTAASVSCTSVDHMPSTEEEEIDYAKLKSKTQQAKNEMQSKTQQAANEVKGKTQQAKEKASEMEKEAKESTESWTEWAKERISEGLGFKQDHTKDSDSLPGTATKAKEKAQEVASGAGEYIGDKARDMKNTASKKAGDVTNAAKEKTTETENAAVEKAGELTNAAKEKADTASNAAREATTSAKDKVSEMAGTTREMTNEDKDRAAQKGEEARVAAAEKAKKKKAETEENLSWAKEKAKEGYDAAKSKAKEKAKEGYDTAKSKAEEASKSAKDTIASSYVAAKQKSQEIKENISGRGRDEDL >EOY03892 pep chromosome:Theobroma_cacao_20110822:4:20232443:20233787:1 gene:TCM_019108 transcript:EOY03892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVQVKLEKHHLTYRLDRIYCVCNAQFAHEAEGESNACLKNRTNYHSNIFTNTGNTTINLILASTIYFLVQGPIFMFFHNENQKQFRRRIITVLS >EOY03225 pep chromosome:Theobroma_cacao_20110822:4:8576572:8579976:-1 gene:TCM_017900 transcript:EOY03225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSKFYCMVGLACCSRPILWISLLEGHEIWLYMYLGQRAGFIEATIRFASSKLFLSKR >EOY02338 pep chromosome:Theobroma_cacao_20110822:4:476035:478301:1 gene:TCM_016848 transcript:EOY02338 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MVLECSYIICGMLEIKEKSWVLEHNHLKMSWVHHNQIRQKDGYFQYPPPLPPSPYTAATTFHKDSNPSPSSTSSSSGTRISPAVLFIIVILAVLFFISGLLHLLVRFLIKHPSSSTSSQSNRYPEMSTSDALQRQLQQLFHLHDSGLDQAFIDALPVFQYREIVGLKEPFDCAVCLCEFSEKDKLRLLPMCSHAFHINCIDTWLLSNSTCPLCRGTLFTPGFSMENPIFDFDDIREDEGCAGNGENGYTPGQKTVEMEEIVVDKGVLPVRLGKFRRLNDGPGEAGGETSSSNLDARRCFSMGSYQYVLGDSDLRVALSNDRSSGCDVKLSKGLEQNGNSSVERDAEAKKISSVAKGESFSVSKIWLWSKKGKFSSSSDAQIGMPSSLNTDLPWLEKTQEK >EOY02339 pep chromosome:Theobroma_cacao_20110822:4:476035:480399:1 gene:TCM_016848 transcript:EOY02339 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MVLECSYIICGMLEIKEKSWVLEHNHLKMSWVHHNQIRQKDGYFQYPPPLPPSPYTAATTFHKDSNPSPSSTSSSSGTRISPAVLFIIVILAVLFFISGLLHLLVRFLIKHPSSSTSSQSNRYPEMSTSDALQRQLQQLFHLHDSGLDQAFIDALPVFQYREIVGLKEPFDCAVCLCEFSEKDKLRLLPMCSHAFHINCIDTWLLSNSTCPLCRGTLFTPGFSMENPIFDFDDIREDEGCAGNGENGYTPGQKTVEMEEIVVDKGVLPVRLGKFRRLNDGPGEAGGETSSSNLDARRCFSMGSYQYVLGDSDLRVALSNDRSSGCDVKLSKGLEQNGNSSVERDAEAKKISSVAKGESFSVSKIWLWSKKGKFSSSSDAQIGMPSSLNTDLPWLEKTQEK >EOY02340 pep chromosome:Theobroma_cacao_20110822:4:476035:478301:1 gene:TCM_016848 transcript:EOY02340 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MVLECSYIICGMLEIKEKSWVLEHNHLKMSWVHHNQIRQKDGYFQYPPPLPPSPYTAATTFHKDSNPSPSSTSSSSGTRISPAVLFIIVILAVLFFISGLLHLLVRFLIKHPSSSTSSQSNRYPEMSTSDALQRQLQQLFHLHDSGLDQAFIDALPVFQYREIVGLKEPFDCAVCLCEFSEKDKLRLLPMCSHAFHINCIDTWLLSNSTCPLCRGTLFTPGFSMENPIFDFDDIREDEGCAGNGENGYTPGQKTVEMEEIVVDKGVLPVRLGKFRRLNDGPGEAGGETSSSNLDARRCFSMGSYQYVLGDSDLRVALSNDRSSGCDVKLSKGLEQNGNSSVERDAEAKKISSVAKGESFSVSKIWLWSKKGKFVMEKVM >EOY03549 pep chromosome:Theobroma_cacao_20110822:4:17077305:17085394:1 gene:TCM_018662 transcript:EOY03549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDCAGKIIRTSIHTFLKYFHYFTTIPVLLLLPFSAFVLLSQALITYSSPLLSETTYAQLDSIFDLARFPFSSPLKSQTVFPFLFSLPFALSSFIIAKASIIQAFNQHKLSLQAPFSSFKSLYKPLLLTHLCSLVLIIAITSATFPLLFISLNSLKTFWLPDISQILPAFGAVFYSFLANTIVVANLALVVAGMETCKGHVAIYKACLLRKGKNSMALLLFLPTNMGLVSIEALFRFRVIRVYQILGGLNSSMALEGLLIAYLYSLLIVLDTIICCLFYKSCESNSMASRIHRSCYDIELVQERESFLSKLFFE >EOY05410 pep chromosome:Theobroma_cacao_20110822:4:28465349:28474053:-1 gene:TCM_020417 transcript:EOY05410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein, putative MLRKFEQSRLFEGRLVELLLAVATVLLVSDSEGLNSEGQYLMEIKNGLQDEFNFLGNWKSTDQTPCGWIGVNCTSDHDPFVWSLDLNSMNLSGILSPSIGGLIHLTFLDLSYNEFKGDIPKEIGNCSLLTILYLRANQFSGPIPEELGNLSSLEEFVAYTNDLTGTLPRSIGNLQKLRIFRVGENAMSGNIPAEICRCQSLQFLGLAHNRIGGEIPEEIGMLGNLTALWIWENQLSGFIPKELGNCSNLESLDLGGNALTGPIPVEIGDLKFLRTLYLCANELNGSIPREIGNLSLATEIDFSENYLTGEIPTEFSKFLRVLYLYTNELNGSIPREIGNLSLATEIDFSENYLTGEIPTEFSKIKGLYLLNLFHNQLTGVIPNELSSLRNLTMLDLATNYLTGPIPYGFQYLTEMHQLLLFDNSLSGTIPQQLGVYSPFMEVDFTNNHLTGKIPPFLCRHANLVFLSLGANKLHGNIPNGIKNCERLKRFNLNGNRLSGTLPSEVGNLVNLYSIRLDDNNFTGSIPPEIGNCRELQRLQIAGNHFTSKLPKEIGKLSQLMLLNVSSNLLTGQIPSEIVNCKMLERLDLSHNSFVDSLPNELGTLSQLELLTLSDNKFSGNIPASLGSLSRLIELQMGGNVFSGEIPPELGFLSSLQIAMNLSFNNLTGSIPSELGKLALLEVLILNNNHLSGKIPTTFEGLLSLVELNFSYNNLTGPLPAIPLFKKMNSCSFIGNPSYKATLIIVPLKTIIKLISTIIEPTWTIWVYTPLTNMAIRIISVGHEYVEPMELIFPRHAAVELRRAPNIGSEMKFPGALIGNNLISEIVVFRFAMYRKVRAFGYIVSDLDMDFVLKKTCVTPCQ >EOY05911 pep chromosome:Theobroma_cacao_20110822:4:30244095:30252307:-1 gene:TCM_020791 transcript:EOY05911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein, putative isoform 1 MNMENENIEPVTDLGLALGYSSYSIQRRLSNDLGAGANAASRIDMTFVTTDPLSELVWSPHNGPSLKCTDCCFSDKKQSLVWGAGPSNVILSPQQINTSSRSSDDKPIDEENLNTSISTSHDMNTKVAHIDNSDKSVRDNDGIRLCHEQQTDNDNSLQGTAGFLEEISTKGGEPQQNLMENNDLVDSKGAYVCCPNNSQVAEIAEAMENNFPSSPDERKPDVAQIESSFNYLEARDVGSGTQLSRMEMVLASEVHTYKKCEALAPPEEHLTSPGRKQEKSASLMEKKGKRKMKGGISSSLWPLEKLEATAENDLPTLIGDNVCVATSKISGSESASEVEKNFQHHKGIPPKKMSTDKHSPTNSRIHRFSRKGKEKVLSDGDVKGMMSKEEDDSHESVESCNSTGLFSTGKKRWGFEQELIVGSKIVKKQIDESPCSSSFVKQDSSFMNWISNMMKGFSKSKDETPPLALTVANPKQSHEGPDKNLDANNKNQDPGCRNIGFQSIFQSIYSPKTKVLGATTQNENYQTGLEPTDKICDIDATPIACHGENFNFRKVFLLSNERFKEPISGGRAGQSTQPKISSMNFSPIKRSSEGNSAENKNSFNLAVGMEKDRASSSSSLGKRKAINPENIDSDPPSERKTVHSIGYKSNLLGSLWITRFTPKSSSSLLNQDTAGPAECLSDCMKLIPCSQNNFNASSNLKIMEASQKCAEKPLTSSGKELPNCATEIEASIGFNKITVQNDQKSKYKVSTILPSPRLKDSEAMASLFARRLDALKHIMPSGVSDSTASSTITCFFCGRKGHHLQYCPEITDNEIEDLLRNMKSSSRLEELPCVCIRCFELNHWAVACPNTSSRGQHQSAHRASLANLCKLHCYARFEEHKRLLDDNEDAIASPTVCDGVDTGKGPGTDYGVTAEKVRSNTNVNKKYVAYSSKEIELKENQITPWGNFINQQVSGMPKAIFSAVRMLRLSRTDILKWTNSQISISHLEGFFLRLRLGKWEEGLGGTGYYVACITGAHRQSTQRNSKSSVSVSVGGIKCLVESQYISNHDFLEDELMAWWSATTRSGGKIPSEEELTSKVKERRMLGF >EOY05910 pep chromosome:Theobroma_cacao_20110822:4:30243592:30252307:-1 gene:TCM_020791 transcript:EOY05910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein, putative isoform 1 MNMENENIEPVTDLGLALGYSSYSIQRRLSNDLGAGANAASRIDMTFVTTDPLSELVWSPHNGPSLKCTDCCFSDKKQSLVWGAGPSNVILSPQQINTSSRSSDDKPIDEENLNTSISTSHDMNTKVAHIDNSDKSVRDNDGIRLCHEQQTDNDNSLQGTAGFLEEISTKGGEPQQNLMENNDLVDSKGAYVCCPNNSQVAEIAEAMENNFPSSPDERKPDVAQIESSFNYLEARDVGSGTQLSRMEMVLASEVHTYKKCEALAPPEEHLTSPGRKQEKSASLMEKKGKRKMKGGISSSLWPLEKLEATAENDLPTLIGDNVCVATSKISGSESASEVEKNFQHHKGIPPKKMSTDKHSPTNSRIHRFSRKGKEKVLSDGDVKGMMSKEEDDSHESVESCNSTGLFSTGKKRWGFEQELIVGSKIVKKQIDESPCSSSFVKQDSSFMNWISNMMKGFSKSKDETPPLALTVANPKQSHEGPDKNLDANNKNQDPGCRNIGFQSIFQSIYSPKTKVLGATTQNENYQTGLEPTDKICDIDATPIACHGENFNFRKVFLLSNERFKEPISGGRAGQSTQPKISSMNFSPIKRSSEGNSAENKNSFNLAVGMEKDRASSSSSLGKRKAINPENIDSDPPSERKTVHSIGYKSNLLGSLWITRFTPKSSSSLLNQDTAGPAECLSDCMKLIPCSQNNFNASSNLKIMEASQKCAEKPLTSSGKELPNCATEIEASIGFNKITVQNDQKSKYKVSTILPSPRLKDSEAMASLFARRLDALKHIMPSGVSDSTASSTITCFFCGRKGHHLQYCPEITDNEIEDLLRNMKSSSRLEELPCVCIRCFELNHWAVACPNTSSRGQHQSAHRASLANLCKLHCYARFEEHKRLLDDNEDAIASPTVCDGVDTGKGPGTDYGVTAEKVRSNTNVNKKYVAYSSKEIELKENQITPWGNFINQQVSGMPKAIFSAVRMLRLSRTDILKWTNSQISISHLEGFFLRLRLGKWEEGLGGTGYYVACITGAHRQSTQRNSKSSVSVSVGGIKCLVESQYISNHDFLEDELMAWWSATTRSGGKIPSEEELTSKVKERRMLGF >EOY05912 pep chromosome:Theobroma_cacao_20110822:4:30244808:30249198:-1 gene:TCM_020791 transcript:EOY05912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein, putative isoform 1 MENNDLVDSKGAYVCCPNNSQVAEIAEAMENNFPSSPDERKPDVAQIESSFNYLEARDVGSGTQLSRMEMVLASEVHTYKKCEALAPPEEHLTSPGRKQEKSASLMEKKGKRKMKGGISSSLWPLEKLEATAENDLPTLIGDNVCVATSKISGSESASEVEKNFQHHKGIPPKKMSTDKHSPTNSRIHRFSRKGKEKVLSDGDVKGMMSKEEDDSHESVESCNSTGLFSTGKKRWGFEQELIVGSKIVKKQIDESPCSSSFVKQDSSFMNWISNMMKGFSKSKDETPPLALTVANPKQSHEGPDKNLDANNKNQDPGCRNIGFQSIFQSIYSPKTKVLGATTQNENYQTGLEPTDKICDIDATPIACHGENFNFRKVFLLSNERFKEPISGGRAGQSTQPKISSMNFSPIKRSSEGNSAENKNSFNLAVGMEKDRASSSSSLGKRKAINPENIDSDPPSERKTVHSIGYKSNLLGSLWITRFTPKSSSSLLNQDTAGPAECLSDCMKLIPCSQNNFNASSNLKIMEASQKCAEKPLTSSGKELPNCATEIEASIGFNKITVQNDQKSKYKVSTILPSPRLKDSEAMASLFARRLDALKHIMPSGVSDSTASSTITCFFCGRKGHHLQYCPEITDNEIEDLLRNMKSSSRLEELPCVCIRCFELNHWAVACPNTSSRGQHQSAHRASLANLCKLHCYARFEEHKRLLDDNEDAIASPTVCDGVDTGKGPGTDYGVTAEKVRSNTNVNKKYVAYSSKEIELKENQITPWGNFINQQVSGMPKAIFSAVRMLRLSRTDILKWTNSQISISHLEGFFLRLRLGKWEEGLGGTGYYVACITGAHRQSTQRNSKSSVSVSVGGIKCLVESQYISNHDFLEDELMAWWSATTRSGGKIPSEEELTSKVKERRMLGF >EOY02314 pep chromosome:Theobroma_cacao_20110822:4:394213:399093:-1 gene:TCM_016830 transcript:EOY02314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein isoform 3 MAFFVSSIKSMLYRFIHEDFHEAVSKMTILDAFLFLIVHSVDKLGIWHRLPVFLGLFYLAIRRHLHQEYNLFNVGKSPSGVRFNPGDYPYRTADGRYNEPFNEAAGSQGSFFGRNILPVDQKDKLMKPDPMVVATKLLARRQYKDTDKQFNMIAASWIQFMIHDWVDHLEDTNQIELIAPKAVASKCPLSSFKFYETKWFPTCSYEIKTGTKNIRTPWWDGSAIYGSNAERLQKVRTFKDGKLKISESGLLVHDEDGIPIAGDVRNNWAGVSTLQALFIKEHNAVCDALKKEYPDLNDEELYRHARLVTSAVIAKIHTIDWTVELLKTDMLLAGMRANWYGLLGKKFKDTFGHVGNASLGGLVGQRKPVNHGVPYSLTEEFVSVYRMHPLLPDFLHLRNINVPPGPNKSPPLLEKVPMPDLVGHEGEKTLSQIGFTRQIVSMGHQACGALELWNYPSWLRDLVPQDVDGKDRPDHVDLAALEIYRDRERKVARYNQFRRALLLIPINRWEDLTDDKEAIETLEEVYGDDVEALDLMVGLMAEKKIKGFAISETAFIIFLLMATRRLEADRFFTSNFNEETYTKKGFEWVNSTESLKDVLERHYPDITKKWINASSTSAFSVWDSPPSAHSCIPLYLRLPS >EOY02315 pep chromosome:Theobroma_cacao_20110822:4:394467:399088:-1 gene:TCM_016830 transcript:EOY02315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein isoform 3 MGGSACCGVRKCRSLMQPTAMADLSVLVVQSSLHQLTKHTVYPFRPVKFNALMKPDPMVVATKLLARRQYKDTDKQFNMIAASWIQFMIHDWVDHLEDTNQIELIAPKAVASKCPLSSFKFYETKWFPTCSYEIKTGTKNIRTPWWDGSAIYGSNAERLQKVRTFKDGKLKISESGLLVHDEDGIPIAGDVRNNWAGVSTLQALFIKEHNAVCDALKKEYPDLNDEELYRHARLVTSAVIAKIHTIDWTVELLKTDMLLAGMRANWYGLLGKKFKDTFGHVGNASLGGLVGQRKPVNHGVPYSLTEEFVSVYRMHPLLPDFLHLRNINVPPGPNKSPPLLEKVPMPDLVGHEGEKTLSQIGFTRQIVSMGHQACGALELWNYPSWLRDLVPQDVDGKDRPDHVDLAALEIYRDRERKVARYNQFRRALLLIPINRWEDLTDDKEAIETLEEVYGDDVEALDLMVGLMAEKKIKGFAISETAFIIFLLMATRRLEADRFFTSNFNEETYTKKGFEWVNSTESLKDVLERHYPDITKKWINASSTSAFSVWDSPPSA >EOY02316 pep chromosome:Theobroma_cacao_20110822:4:394984:398959:-1 gene:TCM_016830 transcript:EOY02316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein isoform 3 ATFQRFSLIVHSVDKLGIWHRLPVFLGLFYLAIRRHLHQEYNLFNVGKSPSGVRFNPGDYPYRTADGRYNEPFNEAAGSQGSFFGRNILPVDQKDKLMKPDPMVVATKLLARRQYKDTDKQFNMIAASWIQFMIHDWVDHLEDTNQIELIAPKAVASKCPLSSFKFYETKWFPTCSYEIKTGTKNIRTPWWDGSAIYGSNAERLQKVRTFKDGKLKISESGLLVHDEDGIPIAGDVRNNWAGVSTLQALFIKEHNAVCDALKKEYPDLNDEELYRHARLVTSAVIAKIHTIDWTVELLKTDMLLAGMRANWYGLLGKKFKDTFGHVGNASLGGLVGQRKPVNHGVPYSLTEEFVSVYRMHPLLPDFLHLRNINVPPGPNKSPPLLEKVPMPDLVGHEGEKTLSQIGFTRQIVSMGHQACGALELWNYPSWLRDLVPQDVDGKDRPDHVDLAALEIYRDRERKVARYNQFRRALLLIPINRWEDLTDDKEA >EOY03672 pep chromosome:Theobroma_cacao_20110822:4:17737224:17737793:1 gene:TCM_018762 transcript:EOY03672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKITISCQGCGLWSHSTYPKQPPHLKFSFYCISMYLVFLACLVPIYLFEYFVGLVYLKFVMYLFEMRDSNVWSFL >EOY04912 pep chromosome:Theobroma_cacao_20110822:4:26417319:26431208:-1 gene:TCM_020057 transcript:EOY04912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trithorax-like protein 2 isoform 2 MAFLEKGGGGDEEDADTPIRYVSLDRVYSAASLCVSATNSSNVMSKKVKARKLIIDNHHHHHLKPHNPPLLHVYARRPKRPRQCVSFYDSLLEDESETVVKSEVDESVRKKRRVGKSELAKLGVDSSVLSELDRPRLRDSRNNNSVNNNVNNNSVKKRRHNSTPSSQRAVTGSATARKWVRLSFDGVHPKAFVGLQCKVFWPLDADWYSGRVVGYNAETNRHHVEYEDGDEEDLILSIEKLKFHVSHEEMECLNLSFSVNSTDDDGYDYDEMVALAASLDDCQELEPGDIIWAKLTGHAMWPAIVVDESLVGDRKGLSKVSGGRSVPVQFFGTHDFARIKIKQVISFLKGLLSSFHRKCKKPRFTRGLEEAKLYLSEQKLPRRMLQLQNGIDVDDGECASSEDEGSIDSVEDHIKHQGIQITPGGLGDSPYVIGDLQIISLGKFVKDSEYFQGDGIIWPEGYTAVRKFTSLIDPSVCTLYRMEVLRDPQSKSHPLFRVANDGEKFEGPDPSACWNKIYKRIRKRQNDSSYDSKVGIKRVFESGSDMFGFSNPEVIKLIQGLSKSRLSSKFSAFKLASGRYRDLPAGYRPVRVDWKDLDKCSVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPVDGVLWLCNLCRPGAPQSPPACCLCPVIGGAMKPTTDGRWAHLACAIWIPETCLSDVKRMEPIDGLNRINKDRWKLLCSICGVSYGACIQCSNTTCRVAYHPLCARAAGLCVELEDEDRLFLLSVDEDDEDQCIRLLSFCKKHRQPSNDRLTSDERVGRTVRQCSEYTPPLNLSGCARTEPYNHFGRRGRKEPEALAAASLKRLFVENQPYLVGGCCQHGLSSSTLPNNGVNGVKFSFSLNKLKAPQLDAPNNILSVAEKYNYMRQTFRKRLAFGKSGIHGFGIFAKHPHRAGDMVIEYTGELVRPSIADRREHFIYNSLVGAGTYMFRIDNERVIDATRAGSIAHLINHSCEPNCYSRVISIHGDDHIIIFAKRDIKRWEELTYDYRFSSSPLRRFIP >EOY04911 pep chromosome:Theobroma_cacao_20110822:4:26415167:26437666:-1 gene:TCM_020057 transcript:EOY04911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trithorax-like protein 2 isoform 2 MYRSKCRARVEVAETSESLTEISDAEEKQMKEKSVKLWLNKVKEESYDLEDILDEWNTPFLKWETTLKRKFLSVLGCIFLEKLPDGIGKLINLRYLITSNCGNLKYYPKGIARLNCLRELDCIIAQGSKDPKKFSVGDLENLGLLREELKVKLVGNTFHVEEAKGAKLHNKIHLQNLTILNAREYNRKVHLKMPTKFQMKKSLKLKRLRRKRKSKSSKQAIEATTNQPSPLVMLRSERKPTPLPPKPSDFPPISIPFKTLKKLFPPSFLFPSKIPPFQPSLPAMAFLEKGGGGDEEDADTPIRYVSLDRVYSAASLCVSATNSSNVMSKKVKARKLIIDNHHHHHLKPHNPPLLHVYARRPKRPRQCVSFYDSLLEDESETVVKSEVDESVRKKRRVGKSELAKLGVDSSVLSELDRPRLRDSRNNNSVNNNVNNNSVKKRRHNSTPSSQRAVTGSATARKWVRLSFDGVHPKAFVGLQCKVFWPLDADWYSGRVVGYNAETNRHHVEYEDGDEEDLILSIEKLKFHVSHEEMECLNLSFSVNSTDDDGYDYDEMVALAASLDDCQELEPGDIIWAKLTGHAMWPAIVVDESLVGDRKGLSKVSGGRSVPVQFFGTHDFARIKIKQVISFLKGLLSSFHRKCKKPRFTRGLEEAKLYLSEQKLPRRMLQLQNGIDVDDGECASSEDEGSIDSVEDHIKHQGIQITPGGLGDSPYVIGDLQIISLGKFVKDSEYFQGDGIIWPEGYTAVRKFTSLIDPSVCTLYRMEVLRDPQSKSHPLFRVANDGEKFEGPDPSACWNKIYKRIRKRQNDSSYDSKVGIKRVFESGSDMFGFSNPEVIKLIQGLSKSRLSSKFSAFKLASGRYRDLPAGYRPVRVDWKDLDKCSVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPVDGVLWLCNLCRPGAPQSPPACCLCPVIGGAMKPTTDGRWAHLACAIWIPETCLSDVKRMEPIDGLNRINKDRWKLLCSICGVSYGACIQCSNTTCRVAYHPLCARAAGLCVELEDEDRLFLLSVDEDDEDQCIRLLSFCKKHRQPSNDRLTSDERVGRTVRQCSEYTPPLNLSGCARTEPYNHFGRRGRKEPEALAAASLKRLFVENQPYLVGGCCQHGLSSSTLPNNGVNGVKFSFSLNKLKAPQLDAPNNILSVAEKYNYMRQTFRKRLAFGKSGIHGFGIFAKHPHRAGDMVIEYTGELVRPSIADRREHFIYNSLVGAGTYMFRIDNERVIDATRAGSIAHLINHSCEPNCYSRVISIHGDDHIIIFAKRDIKRWEELTYDYRFFSIDEHLACYCGFPRCRGVVNDTEAEEQVSKIFVHRNELLDWTGE >EOY05489 pep chromosome:Theobroma_cacao_20110822:4:28744349:28748957:-1 gene:TCM_020477 transcript:EOY05489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cobalt ion transmembrane transporters isoform 3 MNCIPTVKSPFHFLNPNFKILCHPNPSQILILPQSHANRKPFLSHYNKSPNLNLTAKKRNIQVKASVDGNNGKSRNWVSWLPTGGLAADKILRLISTATSSPICQFISSPTTFLHSVDPRIKLVWLLALVVLPARSQIVVRFGLVAYIALLSVLVLPKHVWVDQLGRVSLLCGILFILCGLGTDGIPQLVQSRTPSSSITGLPELHASLSGYSYLIMKLGPLRLTRKGLSVASTASCLTFTILQSASLCLATTTPEQLAFALQWFMLPLRRIGVPVAEIVLTLMLSLRFINLVFDEFLLPTFGGSSKIFLAMLSKSLRR >EOY05488 pep chromosome:Theobroma_cacao_20110822:4:28744911:28748957:-1 gene:TCM_020477 transcript:EOY05488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cobalt ion transmembrane transporters isoform 3 MNCIPTVKSPFHFLNPNFKILCHPNPSQILILPQSHANRKPFLSHYNKSPNLNLTAKKRNIQVKASVDGNNGKSRNWVSWLPTGGLAADKILRLISTATSSPICQFISSPTTFLHSVDPRIKLVWLLALVVLPARSQIVVRFGLVAYIALLSVLVLPKHVWVDQLGRVSLLCGILFILCGLGTDGIPQLVQSRTPSSSITGLPELHASLSGYSYLIMKLGPLRLTRKGLSVASTASCLTFTILQSASLCLATTTPEQLAFALQWFMLPLRRIGVPVAEIVLTLMLSLRFINLVFDEVRNVALGIVSRRINWQQLTVMETIDIFASYIRRIFKNIFSHAEQISEVHIQLELFFMLFLYPINIVISNYYFL >EOY05490 pep chromosome:Theobroma_cacao_20110822:4:28744724:28748462:-1 gene:TCM_020477 transcript:EOY05490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cobalt ion transmembrane transporters isoform 3 MNCIPTVKSPFHFLNPNFKILCHPNPSQILILPQSHANRKPFLSHYNKSPNLNLTAKKRNIQVKASVDGNNGKSRNWVSWLPTGGLAADKILRLISTATSSPICQFISSPTTFLHSVDPRIKLVWLLALVVLPARSQIVVRFGLVAYIALLSVLVLPKHVWVDQLGRVSLLCGILFILCGLGTDGIPQLVQSRTPSSSITGLPELHASLSGYSYLIMKLGPLRLTRKGLSVASTASCLTFTILQSASLCLATTTPEQLAFALQWFMLPLRRIGVPVAEIVLTLMLSLRFINLVFDEFLLPTFGGSSKIFLAMLSKSLRSISSLSSFSCYFSIQLTLLFPIIIFYEVCQYNGVCCLSGRYVNLALPLPMTETKVMFNHPELYPTGLCILTVSFHVFGYGSNAVPTYSS >EOY05487 pep chromosome:Theobroma_cacao_20110822:4:28744520:28748957:-1 gene:TCM_020477 transcript:EOY05487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cobalt ion transmembrane transporters isoform 3 MNCIPTVKSPFHFLNPNFKILCHPNPSQILILPQSHANRKPFLSHYNKSPNLNLTAKKRNIQVKASVDGNNGKSRNWVSWLPTGGLAADKILRLISTATSSPICQFISSPTTFLHSVDPRIKLVWLLALVVLPARSQIVVRFGLVAYIALLSVLVLPKHVWVDQLGRVSLLCGILFILCGLGTDGIPQLVQSRTPSSSITGLPELHASLSGYSYLIMKLGPLRLTRKGLSVASTASCLTFTILQSASLCLATTTPEQLAFALQWFMLPLRRIGVPVAEIVLTLMLSLRFINLVFDEVRNVALGIVSRRINWQQLTVMETIDIFASYIRRIFKNIFSHAEQISEAMIVRGFRGDSNAHKLYFLSDSSFKMADFISLLCLIAVASVALLSEYLLVS >EOY03473 pep chromosome:Theobroma_cacao_20110822:4:16213945:16216671:-1 gene:TCM_047038 transcript:EOY03473 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 6 MEPNTGVTFNDVAGVDEAKQDFQEIVEFLKNPEKFAAVGAKIPKGVLLVGPPGTGKTLMAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKANSPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLIEMDGFTGNTGVIVIAVTNRPEILNSALLRPGRFDRQVTIGIPDIRGREEILKVHSNNKRLDKDVSLGVIAMRTPGFSGADLANLMNEAAILAGRRGKDKITMKEIDDSIDRIVAGMEGTKMTDGKSKILVAYHEIGHAVCATLTPVHDPVQKVTLIPRGQARGLTWFKPGEDPALIAKQELFARIVGGLGGRAAEEIIFGESEITTGAAGDLQQITQIARQIVTRFGMSDIGPWALTDPAVQSSDVVLRMLARNSMSEKLAEDIDSSVRNIIESAYEVAKKHIRNNREAIDKRVEVLLEKETLTGDEFRTILSEFVDAPVVKADRSPVREMINA >EOY05800 pep chromosome:Theobroma_cacao_20110822:4:29825204:29831085:-1 gene:TCM_020709 transcript:EOY05800 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein isoform 2 MEERVQMPRVKLGNQGLEVSRLGFGCGGLSGMLNAPLSHEDGCSVIKEAFYSGITFFDTADVYGENHDNEIMVGKALKQLPRDQIQLATKFGIIVLEGLQFEIKGTPEYVRYCCESSLKRLDVDYIDLYYQHRVDTSVPIEETMGELKKLVEEGKIKYIGLSEASVDTIRRAHAVHPITALQMEYSLWTREIEDEIIPLCRTTKFKNIKENIGSLALKLTQEDLIEICNAVPIDEVSGPREVASLSKYACKFADTPSNMKNVTQKDRVRLE >EOY05799 pep chromosome:Theobroma_cacao_20110822:4:29827614:29831099:-1 gene:TCM_020709 transcript:EOY05799 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein isoform 2 MEERVQMPRVKLGNQGLEVSRLGFGCGGLSGMLNAPLSHEDGCSVIKEAFYSGITFFDTADVYGENHDNEIMVGKALKQLPRDQIQLATKFGIIVLEGLQFEIKGTPEYVRYCCESSLKRLDVDYIDLYYQHRVDTSVPIEETMGELKKLVEEGKIKYIGLSEASVDTIRRAHAVHPITALQMEYSLWTREIEDEIIPLCRELGIGIVAYSPLGHGFFGGKAVVESLPSGSLIGMHPRFYGENLENNKIIYNRLSNLATKHACTVAQLALAWLLHQGDDMIPIPGTTKFKNIKENIGSLALKLTQEDLIEICNAVPIDEVSGPREVASLSKYACKFADTPSNMKNVTQKDRVRLE >EOY03395 pep chromosome:Theobroma_cacao_20110822:4:14443799:14457248:-1 gene:TCM_018404 transcript:EOY03395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 2, putative MPVSLSLLKHLQALHSTINVQLKADPETDEVLAQVTLLPEINISQEENDAEMEPPFPPLPEFRVHSFCKTLTTLDASLHGGFSVPMSFADQCLPQLVHVNLRGLRQPRRHLLTSGWKDFVNSKRLGAGDAFVFLGFAFVLNLMIMMRGENGELCVGVRRAMKPRSNVSASISIFGMYLSVLATTWEALARRTMFAISYKPSFSIYFFTICYCEFSGTIVGIEDADPIKWPGSKRRCLKVFRLCEMGWNLYHNSSGKVSPWKIELALTYTILSPLPMPWPEPNMVPLSPNSSVLTQEGILKSQVYHLGSLRVTIQPSQGSGFSRVSQCHEISTFGDNFAKMN >EOY06080 pep chromosome:Theobroma_cacao_20110822:4:30873566:30884899:1 gene:TCM_020919 transcript:EOY06080 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP1-interacting protein-related, putative isoform 7 MKSSTRLDSVVFQLTPTRTRCDLVISANGKTEKIASGLLNPFLAHLKTAQEQVAKGGYSIILQPEPSIDATWFTKGTVERFVRFVSTPEILERVYTVESEILQIEEAIAIQSNNNIGLSAVEDHQVKPLESIEGSRVTPDSNEEKAIVLYTPGAQPSEANGSAVQEGNSKVQLLKVLETRKTVLQKEQGMAFARAVAAGFDIDHMAPLMSFAESFGASRLRDACVKFTELWKRKHETGQWLEIEAAEAMSSRSDFSAMNASGIVLSNMINKQKGLKEAWLEISENNGKAGVESSTDERPPMDQQTPGRQEYYQAQFPMFPPWPIHSPPGGMPTFQGYPMQGMPYYPSYPGSPFFQQPYPSMEDPRLNAGQRIQKRHSMESRDSHTGSETWEMERAKSQDDEELDNETSVSPKSRKKSSRSGKKQSGMVVIRNINYITSKRQDSSGSDLQSHSGSEVEEEDGDSEHKNSLRSSKGKGSRTKSVDALNSFDREETVPGKETDGGHWQAFQNYLLRDAEEEERRSDQGMFSVEKEVRGKRRPNRLGEDPLLFGGREMGQFEEGNTTDMDKISASGSRMPLASNDQSLISRRTGHSADGRIFMDGQMDLYTKEIDGRRVYRRNLNDDFIIDRQQNQSDFTNSPSDALAVNGFERSSNSLERGSSNNIDDSYIVPFRSTSVTEVGTDDRNAINMDSEFSLSLQKAENISSKVGSQVNYEPDDLSLMPERGAEMGSIGYDPALDYEMQVHAEDGNSMNKKNKEGMQGSKKSDKDRKSKLIADTSDRKKAVGPIRKGKPSKLSPLDEAKARAERLRTYKADLQKMKKEKEEAEIRRLEALKIERQKRIAARVSSIPAQSSVPLQSRKQLPSKLSPSSRKGSKFTDAEPGSSSPLRRSIRTASVGSTDSHKPSKPSKLNNGAHSSGNRLSQSVSSLPEPKKDIGGVTPDAKASMARIRRLSEPKTSSSPHVSSVKSRNSEPSSKTKVSGGPESKKISAIINHDKSKIASLPELKTRTTKAPDVTHSKSGGNEMTQKVNGSTSTAKVTEPNRNKDKVSVHIDGDDNTVIEKTVVMLECEKPSIPPVNSLEGTTAVQKEHDGIFKIGRQTEMVSDYAAIRAPVSPVNVDALDKEPKIQQRPQAYEQTLVEDSPSL >EOY06081 pep chromosome:Theobroma_cacao_20110822:4:30873566:30880958:1 gene:TCM_020919 transcript:EOY06081 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP1-interacting protein-related, putative isoform 7 MKSSTRLDSVVFQLTPTRTRCDLVISANGKTEKIASGLLNPFLAHLKTAQEQVAKGGYSIILQPEPSIDATWFTKGTVERFVRFVSTPEILERVYTVESEILQIEEAIAIQSNNNIGLSAVEDHQVKPLESIEGSRVTPDSNEEKAIVLYTPGAQPSEANGSAVQEGNSKVQLLKVLETRKTVLQKEQGMAFARAVAAGFDIDHMAPLMSFAESFGASRLRDACVKFTELWKRKHETGQWLEIEAAEAMSSRSDFSAMNASGIVLSNMINKQKGLKEAWLEISENNGKAGVESSTDERPPMDQQTPGRQEYYQAQFPMFPPWPIHSPPGGMPTFQGYPMQGMPYYPSYPGSPFFQQPYPSMEDPRLNAGQRIQKRHSMESRDSHTGSETWEMERAKSQDDEELDNETSVSPKSRKKSSRSGKKQSGMVVIRNINYITSKRQDSSGSDLQSHSGSEVEEEDGDSEHKNSLRSSKGKGSRTKSVDALNSFDREETVPGKETDGGHWQAFQNYLLRDAEEEERRSDQGMFSVEKEVRGKRRPNRLGEDPLLFGGREMGQFEEGNTTDMDKISASGSRMPLASNDQSLISRRTGHSADGRIFMDGQMDLYTKEIDGRRVYRRNLNDDFIIDRQQNQSDFTNSPSDALAVNGFERSSNSLERGSSNNIDDSYIVPFRSTSVTEVGTDDRNAINMDSEFSLSLQKAENISSKVGSQVNYEPDDLSLMPERGAEMGSIGYDPALDYEMQVHAEDGNSMNKKNKEGMQGSKKSDKDRKSKLIADTSDRKKAVGPIRKGKPSKLSPLDEAKARAERLRTYKADLQKMKKEKEEAEIRRLEALKIERQKRIAARVSSIPAQSSVPLQSRKQLPSKLSPSSRKGSKFTDAEPGSSSPLRRSIRTASVGSTDSHKPSKPSKLNNGAHSSGNRLSQSVSSLPEPKKDIGGVTPDAKASMARIRRLSEPKTSSSPHVSSVKSRNSEPSSKTKVSGGPESKKISAIINHDKSKIASLPELKTRTTKAPDVTHSKSGGNEMTQKVNGSTSTAKVTEPNRNKDKVSVHIDGDDNTVIEKTVVMLECEKPSIPPVNSLEGTTAVQKEHDGIFKIGRQTEMVSDYAAIRAPVSPVNVDALDKEPKIQQRPQAYEVRFLRSSLTRHNSFLIDVDWRFVVLYTNHQLVIYFLLSLLQGHAT >EOY06085 pep chromosome:Theobroma_cacao_20110822:4:30877490:30883680:1 gene:TCM_020919 transcript:EOY06085 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP1-interacting protein-related, putative isoform 7 MFPPWPIHSPPGGMPTFQGYPMQGMPYYPSYPGSPFFQQPYPSMEDPRLNAGQRIQKRHSMESRDSHTGSETWEMERAKSQDDEELDNETSVSPKSRKKSSRSGKKQSGMVVIRNINYITSKRQDSSGSDLQSHSGSEVEEEDGDSEHKNSLRSSKGKGSRTKSVDALNSFDREETVPGKETDGGHWQAFQNYLLRDAEEEERRSDQGMFSVEKEVRGKRRPNRLGEDPLLFGGREMGQFEEGNTTDMDKISASGSRMPLASNDQSLISRRTGHSADGRIFMDGQMDLYTKEIDGRRVYRRNLNDDFIIDRQQNQSDFTNSPSDALAVNGFERSSNSLERGSSNNIDDSYIVPFRSTSVTEVGTDDRNAINMDSEFSLSLQKAENISSKVGSQVNYEPDDLSLMPERGAEMGSIGYDPALDYEMQVHAEDGNSMNKKNKEGMQGSKKSDKDRKSKLIADTSDRKKAVGPIRKGKPSKLSPLDEAKARAERLRTYKADLQKMKKEKEEAEIRRLEALKIERQKRIAARVSSIPAQSSVPLQSRKQLPSKLSPSSRKGSKFTDAEPGSSSPLRRSIRTASVGSTDSHKPSKPSKLNNGAHSSGNRLSQSVSSLPEPKKDIGGVTPDAKASMARIRRLSEPKTSSSPHVSSVKSRNSEPSSKTKVSGGPESKKISAIINHDKSKIASLPELKTRTTKAPDVTHSKSGGNEMTQKVNGSTSTAKVTEPNRNKDKVSVHIDGDDNTVIEKTVVMLECEKPSIPPVNSLEGTTAVQKEHDGIFKIGRQTEMVSDYAAIRAPVSPVNVDALDKEPKIQQRPQAYEVQKGSVSNIEKESSKFKSSSVSEKPYQAPFARVSSLEDPCTEISEYGRAPPTSMQAAAMESENVRAHVVDSKNLKLEKIPEFWDKPQVKESSKGFRRLLKFGRKNHSSATSERNIESDSVSVNGSEADELAANTASSSEVHMLKNLISQDETLTAGNTPQKSSRTFSLLSPFRSKTSEKKLTA >EOY06084 pep chromosome:Theobroma_cacao_20110822:4:30873566:30880570:1 gene:TCM_020919 transcript:EOY06084 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP1-interacting protein-related, putative isoform 7 MKSSTRLDSVVFQLTPTRTRCDLVISANGKTEKIASGLLNPFLAHLKTAQEQVAKGGYSIILQPEPSIDATWFTKGTVERFVRFVSTPEILERVYTVESEILQIEEAIAIQSNNNIGLSAVEDHQVKPLESIEGSRVTPDSNEEKAIVLYTPGAQPSEANGSAVQEGNSKVQLLKVLETRKTVLQKEQGMAFARAVAAGFDIDHMAPLMSFAESFGASRLRDACVKFTELWKRKHETGQWLEIEAAEAMSSRSDFSAMNASGIVLSNMINKQKGLKEAWLEISENNGKAGVESSTDERPPMDQQTPGRQEYYQAQFPMFPPWPIHSPPGGMPTFQGYPMQGMPYYPSYPGSPFFQQPYPSMEDPRLNAGQRIQKRHSMESRDSHTGSETWEMERAKSQDDEELDNETSVSPKSRKKSSRSGKKQSGMVVIRNINYITSKRQDSSGSDLQSHSGSEVEEEDGDSEHKNSLRSSKGKGSRTKSVDALNSFDREETVPGKETDGGHWQAFQNYLLRDAEEEERRSDQGMFSVEKEVRGKRRPNRLGEDPLLFGGREMGQFEEGNTTDMDKISASGSRMPLASNDQSLISRRTGHSADGRIFMDGQMDLYTKEIDGRRVYRRNLNDDFIIDRQQNQSDFTNSPSDALAVNGFERSSNSLERGSSNNIDDSYIVPFRSTSVTEVGTDDRNAINMDSEFSLSLQKAENISSKVGSQVNYEPDDLSLMPERGAEMGSIGYDPALDYEMQVHAEDGNSMNKKNKEGMQGSKKSDKDRKSKLIADTSDRKKAVGPIRKGKPSKLSPLDEAKARAERLRTYKADLQKMKKEKEEAEIRRLEALKIERQKRIAARVSSIPAQSSVPLQSRKQLPSKLSPSSRKGSKFTDAEPGSSSPLRRSIRTASVGSTDSHKPSKPSKLNNGAHSSGNRLSQSVSSLPEPKKDIGGVTPDAKASMARIRRLSEPKTSSSPHVSSVKSRNSEPSSKTKVSGGPESKKISAIINHDKSKIASLPELKTRTTKAPDVTHSKSGGNEMTQKVNGSTSTAKVTEPNRNKDKVSVHIDGDDNTVIEKTVVMLECEKPSIPPVNSLEGTTAVQKEHDGIFKIGRQTEMVSDYAAIRAPVSPVNVDALDKEPKIQQRPQAYERINIMCL >EOY06082 pep chromosome:Theobroma_cacao_20110822:4:30873581:30882835:1 gene:TCM_020919 transcript:EOY06082 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP1-interacting protein-related, putative isoform 7 MKSSTRLDSVVFQLTPTRTRCDLVISANGKTEKIASGLLNPFLAHLKTAQEQVAKGGYSIILQPEPSIDATWFTKGTVERFVRFVSTPEILERVYTVESEILQIEEAIAIQSNNNIGLSAVEDHQVKPLESIEGSRVTPDSNEEKAIVLYTPGAQPSEANGSAVQEGNSKVQLLKVLETRKTVLQKEQGMAFARAVAAGFDIDHMAPLMSFAESFGASRLRDACVKFTELWKRKHETGQWLEIEAAEAMSSRSDFSAMNASGIVLSNMINKQKGLKEAWLEISENNGKAGVESSTDERPPMDQQTPGRQEYYQAQFPMFPPWPIHSPPGGMPTFQGYPMQGMPYYPSYPGSPFFQQPYPSMEDPRLNAGQRIQKRHSMESRDSHTGSETWEMERAKSQDDEELDNETSVSPKSRKKSSRSGKKQSGMVVIRNINYITSKRQDSSGSDLQSHSGSEVEEEDGDSEHKNSLRSSKGKGSRTKSVDALNSFDREETVPGKETDGGHWQAFQNYLLRDAEEEERRSDQGMFSVEKEVRGKRRPNRLGEDPLLFGGREMGQFEEGNTTDMDKISASGSRMPLASNDQSLISRRTGHSADGRIFMDGQMDLYTKEIDGRRVYRRNLNDDFIIDRQQNQSDFTNSPSDALAVNGFERSSNSLERGSSNNIDDSYIVPFRSTSVTEVGTDDRNAINMDSEFSLSLQKAENISSKVGSQVNYEPDDLSLMPERGAEMGSIGYDPALDYEMQVHAEDGNSMNKKNKEGMQGSKKSDKDRKSKLIADTSDRKKAVGPIRKGKPSKLSPLDEAKARAERLRTYKADLQKMKKEKEEAEIRRLEALKIERQKRIAARVSSIPAQSSVPLQSRKQLPSKLSPSSRKGSKFTDAEPGSSSPLRRSIRTASVGSTDSHKPSKPSKLNNGAHSSGNRLSQSVSSLPEPKKDIGGVTPDAKASMARIRRLSEPKTSSSPHVSSVKSRNSEPSSKTKVSGGPESKKISAIINHDKSKIASLPELKTRTTKAPDVTHSKSGGNEMTQKVNGSTSTAKVTEPNRNKDKVSVHIDGDDNTVIEKTVVMLECEKPSIPPVNSLEGTTAVQKEHDGIFKIGRQTEMVSDYAAIRAPVSPVNVDALDKEPKIQQRPQAYEVQKGSVSNIEKESSKFKSSSVSEKPYQAPFARVSSLEDPCTEISEYGRAPPTSMQAAAMESENVRAHVVDSKNLKLEKIPEFWDKPQVKESSKGFRRLLKFGRKNHSSATSERNIESDSVSVNGSEADELAANTASSSEVHMLKNLISQDETLTAGNTPQKSSRTFSLLSPFRSKTSEKKLTA >EOY06083 pep chromosome:Theobroma_cacao_20110822:4:30873917:30880258:1 gene:TCM_020919 transcript:EOY06083 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP1-interacting protein-related, putative isoform 7 MKSSTRLDSVVFQLTPTRTRCDLVISANGKTEKIASGLLNPFLAHLKTAQEQVAKGGYSIILQPEPSIDATWFTKGTVERFVRFVSTPEILERVYTVESEILQIEEAIAIQSNNNIGLSAVEDHQVKPLESIEGSRVTPDSNEEKAIVLYTPGAQPSEANGSAVQEGNSKVQLLKVLETRKTVLQKEQGMAFARAVAAGFDIDHMAPLMSFAESFGASRLRDACVKFTELWKRKHETGQWLEIEAAEAMSSRSDFSAMNASGIVLSNMINKQKGLKEAWLEISENNGKAGVESSTDERPPMDQQTPGRQEYYQAQFPMFPPWPIHSPPGGMPTFQGYPMQGMPYYPSYPGSPFFQQPYPSMEDPRLNAGQRIQKRHSMESRDSHTGSETWEMERAKSQDDEELDNETSVSPKSRKKSSRSGKKQSGMVVIRNINYITSKRQDSSGSDLQSHSGSEVEEEDGDSEHKNSLRSSKGKGSRTKSVDALNSFDREETVPGKETDGGHWQAFQNYLLRDAEEEERRSDQGMFSVEKEVRGKRRPNRLGEDPLLFGGREMGQFEEGNTTDMDKISASGSRMPLASNDQSLISRRTGHSADGRIFMDGQMDLYTKEIDGRRVYRRNLNDDFIIDRQQNQSDFTNSPSDALAVNGFERSSNSLERGSSNNIDDSYIVPFRSTSVTEVGTDDRNAINMDSEFSLSLQKAENISSKVGSQVNYEPDDLSLMPERGAEMGSIGYDPALDYEMQVHAEDGNSMNKKNKEGMQGSKKSDKDRKSKLIADTSDRKKAVGPIRKGKPSKLSPLDEAKARAERLRTYKADLQKMKKEKEEAEIRRLEALKIERQKRIAARVSSIPAQSSVPLQSRKQLPSKLSPSSRKGSKFTDAEPGSSSPLRRSIRTASVGSTDSHKPSKPSKLNNGAHSSGNRLSQSVSSLPEPKKDIGGVTPDAKASMARIRRLSEPKTSSSPHVSSVKSRNSEPSSKTKVSGGPESKKISAIINHDKSKIASLPELKTRTTKAPDVTHSKSGGNEMTQKVNGSTSTAKVTEPNRNKDKVSVHIDGDDNTVIEKTVVMLECEKPSIPPVNSLEGTTAVQKEHDGIFKIGRQTEMVSDYAAIRAPVSPVNVDALDKEPKIQQRPQAYEVRFLRSSLTRHNSFLIDVDWRFVVLYTNHQLVIYFLLSLLQGHAT >EOY06079 pep chromosome:Theobroma_cacao_20110822:4:30873566:30884899:1 gene:TCM_020919 transcript:EOY06079 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP1-interacting protein-related, putative isoform 7 MKSSTRLDSVVFQLTPTRTRCDLVISANGKTEKIASGLLNPFLAHLKTAQEQVAKGGYSIILQPEPSIDATWFTKGTVERFVRFVSTPEILERVYTVESEILQIEEAIAIQSNNNIGLSAVEDHQVKPLESIEGSRVTPDSNEEKAIVLYTPGAQPSEANGSAVQEGNSKVQLLKVLETRKTVLQKEQGMAFARAVAAGFDIDHMAPLMSFAESFGASRLRDACVKFTELWKRKHETGQWLEIEAAEAMSSRSDFSAMNASGIVLSNMINKQKGLKEAWLEISENNGKAGVESSTDERPPMDQQTPGRQEYYQAQFPMFPPWPIHSPPGGMPTFQGYPMQGMPYYPSYPGSPFFQQPYPSMEDPRLNAGQRIQKRHSMESRDSHTGSETWEMERAKSQDDEELDNETSVSPKSRKKSSRSGKKQSGMVVIRNINYITSKRQDSSGSDLQSHSGSEVEEEDGDSEHKNSLRSSKGKGSRTKSVDALNSFDREETVPGKETDGGHWQAFQNYLLRDAEEEERRSDQGMFSVEKEVRGKRRPNRLGEDPLLFGGREMGQFEEGNTTDMDKISASGSRMPLASNDQSLISRRTGHSADGRIFMDGQMDLYTKEIDGRRVYRRNLNDDFIIDRQQNQSDFTNSPSDALAVNGFERSSNSLERGSSNNIDDSYIVPFRSTSVTEVGTDDRNAINMDSEFSLSLQKAENISSKVGSQVNYEPDDLSLMPERGAEMGSIGYDPALDYEMQVHAEDGNSMNKKNKEGMQGSKKSDKDRKSKLIADTSDRKKAVGPIRKGKPSKLSPLDEAKARAERLRTYKADLQKMKKEKEEAEIRRLEALKIERQKRIAARVSSIPAQSSVPLQSRKQLPSKLSPSSRKGSKFTDAEPGSSSPLRRSIRTASVGSTDSHKPSKPSKLNNGAHSSGNRLSQSVSSLPEPKKDIGGVTPDAKASMARIRRLSEPKTSSSPHVSSVKSRNSEPSSKTKVSGGPESKKISAIINHDKSKIASLPELKTRTTKAPDVTHSKSGGNEMTQKVNGSTSTAKVTEPNRNKDKVSVHIDGDDNTVIEKTVVMLECEKPSIPPVNSLEGTTAVQKEHDGIFKIGRQTEMVSDYAAIRAPVSPVNVDALDKEPKIQQRPQAYEVQKGSVSNIEKESSKFKSSSVSEKPYQAPFARVSSLEDPCTEISEYGRAPPTSMQAAAMESENVRAHVVDSKNLKLEKIPEFWDKPQVKESSKGFRRLLKFGRKNHSSATSERNIESDSVSVNGSEADELAANTASSSEVSLKIFLSKSSFWSHSHWLMFFS >EOY02735 pep chromosome:Theobroma_cacao_20110822:4:1951458:1958231:1 gene:TCM_017118 transcript:EOY02735 gene_biotype:protein_coding transcript_biotype:protein_coding description:F28J7.14 protein, putative isoform 1 MVLGLSAKNRRGPTVHVDYLIHIQEIKPWPPSQSLRSLRSVLIQWENGERSSGSTNTVSPTLGSIVGEGKIEFNESFKLPVNLVRDLSVKGRDADVFQKNSLEFNLYEPRRDKIQLLATAIVDLAEYGAIKETLDITVPMNSKRSFSNTAQPILFIKIDRIYKGRNSSSSRSGLSEEQSLDRKGSESVSALMDEEYAEEAEVASFTDDDVSSHSSLTVSSSTLESNGSSLPRNEENGSVTVIGGKGEVKGEHALASKLHLERTNVVTQITQCENSKGNSSCSSSADLSSDFESSVDAHASTSNSYSSSSPVRDNALTHKVYLSSSSLANENTQNESNTSMRSNEREDLSQKVQEKVVNGGTTVRSDGQNKEDTSGSSKAKLASSANGPQMVDRQDSKRFCDSLVDGEDDNKARRNGKTSSEEAPAAADAYDNSLEGNSGYDCLENGHEGQYGEDKRYSTEDEPLNIHSPDNSLSQGNLGTIGNVLKIDRLKHVKSVRSSSDSVRSNGLSTNNQHAELKEVGVLGDAPHGGGTFRSKSGNERKDAKVYPKDTRSAILDNKVQQLELKIKMLEGELREAAAVEAALYSVVAEHGSSMCKVHAPARRLSRLYLHAFKEGIQSRGASAARSAVSGLALVAKACGNDVPRLTFWLSNSVVLRAIISESIGDSELPISAGPMERIGGGMGKKQVSSPLKWKESSSRRKENKLILYGSSSDWDNPHAFTSALERVEAWIFSRIIESVWWQTLTPHMQSADRKEIDRGMGSGSSKSYGRVSSSSDEDQMNFSLDHWKKAFKDACERLCPVRAAGHECGCLRLLSRLIMEQCVARLDVAMFNAILRDSGDEIPTDPVSDPISNPLVLPIPSGKTSFGAGAQLKNACPTFGASLIKRVLDNYVPDEFCPDPVPDVVLEALESEVSQGSC >EOY02734 pep chromosome:Theobroma_cacao_20110822:4:1951250:1958299:1 gene:TCM_017118 transcript:EOY02734 gene_biotype:protein_coding transcript_biotype:protein_coding description:F28J7.14 protein, putative isoform 1 MVLGLSAKNRRGPTVHVDYLIHIQEIKPWPPSQSLRSLRSVLIQWENGERSSGSTNTVSPTLGSIVGEGKIEFNESFKLPVNLVRDLSVKGRDADVFQKNSLEFNLYEPRRDKIQLLATAIVDLAEYGAIKETLDITVPMNSKRSFSNTAQPILFIKIDRIYKGRNSSSSRSGLSEEQSLDRKGSESVSALMDEEYAEEAEVASFTDDDVSSHSSLTVSSSTLESNGSSLPRNEENGSVTVIGGKGEVKGEHALASKLHLERTNVVTQITQCENSKGNSSCSSSADLSSDFESSVDAHASTSNSYSSSSPVRDNALTHKVYLSSSSLANENTQNESNTSMRSNEREDLSQKVQEKVVNGGTTVRSDGQNKEDTSGSSKAKLASSANGPQMVDRQDSKRFCDSLVDGEDDNKARRNGKTSSEEAPAAADAYDNSLEGNSGYDCLENGHEGQYGEDKRYSTEDEPLNIHSPDNSLSQGNLGTIGNVLKIDRLKHVKSVRSSSDSVRSNGLSTNNQHAELKEVGVLGDAPHGGGTFRSKSGNERKDAKVYPKDTRSAILDNKVQQLELKIKMLEGELREAAAVEAALYSVVAEHGSSMCKVHAPARRLSRLYLHAFKEGIQSRGASAARSAVSGLALVAKACGNDVPRLTFWLSNSVVLRAIISESIGDSELPISAGPMERIGGGMGKKQVSSPLKWKESSSRRKENKLILYGSSSDWDNPHAFTSALERVEAWIFSRIIESVWWQTLTPHMQSADRKEIDRGMGSGSSKSYGRVSSSSDEDQMNFSLDHWKKAFKDACERLCPVRAAGHECGCLRLLSRLIMEQCVARLDVAMFNAILRDSGDEIPTDPVSDPISNPLVLPIPSGKTSFGAGAQLKNAIGNWSRWLTDLFGIDDDDSVGDENDQDDSDERQDTSLKSFHLLNALSDLMMLPKDMLLSRPIREEVCPTFGASLIKRVLDNYVPDEFCPDPVPDVVLEALESEDPVEAREGSVTNFPCVASPPVYSAPSATSVASIIGEIGSQSQLRRSGSSVLRKSYTSDDELDELNSPLASIFIDGFRSSPIQSKPNWISKGNGYQNAIRYELLRDVWMNSE >EOY02733 pep chromosome:Theobroma_cacao_20110822:4:1952478:1957365:1 gene:TCM_017118 transcript:EOY02733 gene_biotype:protein_coding transcript_biotype:protein_coding description:F28J7.14 protein, putative isoform 1 MVLGLSAKNRRGPTVHVDYLIHIQEIKPWPPSQSLRSLRSVLIQWENGERSSGSTNTVSPTLGSIVGEGKIEFNESFKLPVNLVRDLSVKGRDADVFQKNSLEFNLYEPRRDKIQLLATAIVDLAEYGAIKETLDITVPMNSKRSFSNTAQPILFIKIDRIYKGRNSSSSRSGLSEEQSLDRKGSESVSALMDEEYAEEAEVASFTDDDVSSHSSLTVSSSTLESNGSSLPRNEENGSVTVIGGKGEVKGEHALASKLHLERTNVVTQITQCENSKGNSSCSSSADLSSDFESSVDAHASTSNSYSSSSPVRDNALTHKVYLSSSSLANENTQNESNTSMRSNEREDLSQKVQEKVVNGGTTVRSDGQNKEDTSGSSKAKLASSANGPQMVDRQDSKRFCDSLVDGEDDNKARRNGKTSSEEAPAAADAYDNSLEGNSGYDCLENGHEGQYGEDKRYSTEDEPLNIHSPDNSLSQGNLGTIGNVLKIDRLKHVKSVRSSSDSVRSNGLSTNNQHAELKEVGVLGDAPHGGGTFRSKSGNERKDAKVYPKDTRSAILDNKVQQLELKIKMLEGELREAAAVEAALYSVVAEHGSSMCKVHAPARRLSRLYLHAFKEGIQSRGASAARSAVSGLALVAKACGNDVPRLTFWLSNSVVLRAIISESIGDSELPISAGPMERIGGGMGKKQVSSPLKWKESSSRRKENKLILYGSSSDWDNPHAFTSALERVEAWIFSRIIESVWWQTLTPHMQSADRKEIDRGMGSGSSKSYGRVSSSSDEDQMNFSLDHWKKAFKDACERLCPVRAAGHECGCLRLLSRLIMEQCVARLDVAMFNAILRDSGDEIPTDPVSDPISNPLVLPIPSGKTSFGAGAQLKNAIGNWSRWLTDLFGIDDDDSVGDENDQDDSDERQDTSLKSFHLLNALSDLMMLPKDMLLSRPIREEVCPTFGASLIKRVLDNYVPDEFCPDPVPDVVLEALESEVSQGSC >EOY02732 pep chromosome:Theobroma_cacao_20110822:4:1952188:1958828:1 gene:TCM_017118 transcript:EOY02732 gene_biotype:protein_coding transcript_biotype:protein_coding description:F28J7.14 protein, putative isoform 1 MVLGLSAKNRRGPTVHVDYLIHIQEIKPWPPSQSLRSLRSVLIQWENGERSSGSTNTVSPTLGSIVGEGKIEFNESFKLPVNLVRDLSVKGRDADVFQKNSLEFNLYEPRRDKIQLLATAIVDLAEYGAIKETLDITVPMNSKRSFSNTAQPILFIKIDRIYKGRNSSSSRSGLSEEQSLDRKGSESVSALMDEEYAEEAEVASFTDDDVSSHSSLTVSSSTLESNGSSLPRNEENGSVTVIGGKGEVKGEHALASKLHLERTNVVTQITQCENSKGNSSCSSSADLSSDFESSVDAHASTSNSYSSSSPVRDNALTHKVYLSSSSLANENTQNESNTSMRSNEREDLSQKVQEKVVNGGTTVRSDGQNKEDTSGSSKAKLASSANGPQMVDRQDSKRFCDSLVDGEDDNKARRNGKTSSEEAPAAADAYDNSLEGNSGYDCLENGHEGQYGEDKRYSTEDEPLNIHSPDNSLSQGNLGTIGNVLKIDRLKHVKSVRSSSDSVRSNGLSTNNQHAELKEVGVLGDAPHGGGTFRSKSGNERKDAKVYPKDTRSAILDNKVQQLELKIKMLEGELREAAAVEAALYSVVAEHGSSMCKVHAPARRLSRLYLHAFKEGIQSRGASAARSAVSGLALVAKACGNDVPRLTFWLSNSVVLRAIISESIGDSELPISAGPMERIGGGMGKKQVSSPLKWKESSSRRKENKLILYGSSSDWDNPHAFTSALERVEAWIFSRIIESVWWQTLTPHMQSADRKEIDRGMGSGSSKSYGRVSSSSDEDQMNFSLDHWKKAFKDACERLCPVRAAGHECGCLRLLSRLIMEQCVARLDVAMFNAILRDSGDEIPTDPVSDPISNPLVLPIPSGKTSFGAGAQLKNAIGNWSRWLTDLFGIDDDDSVGDENDQDDSDERQDTSLKSFHLLNALSDLMMLPKDMLLSRPIREEVCPTFGASLIKRVLDNYVPDEFCPDPVPDVVLEALESEDPVEAREGSVTNFPCVASPPVYSAPSATSVASIIGEIGSQSQLRRSGSSVLRKSYTSDDELDELNSPLASIFIDGFRSSPIQSKPNWISKGNGYQNAIRYELLRDVWMNSE >EOY04905 pep chromosome:Theobroma_cacao_20110822:4:26391507:26401744:1 gene:TCM_020054 transcript:EOY04905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase family protein, expressed isoform 1 MVCSENDTSLNISIPVVMIPKSAGDVVNKSMADKHVEFLLYAPTRPVVDFSVLFLWAMAVGTIVTASLWQEFGTSEHTNERYNELSPKESSNAGISNDDEKEILDISAKGAVVFVITASTFLVLLYFFMSSWFVWLLIVLFCLGGVQGMHNCIMTPILRNCPQKTLNLPLFGEVSILSLVVALFCVTFAVVWAVHRRASYSWVGQDILGICLMITVLQLARLPNIKVATVLLCCAFVYDIFWVFLSPLIFHQSVMIAVARGDNSGGESIPMLLRVPRVFDPWGGYDMIGFGDILFPGLLVAFAFRYDKENKKHLANGYFVWLIIGYGFGLFFTYLGLYLMNGHGQPALLYLVPCTLGVAVILGLVRGELKGLWNYSPESSSMTNPSGEA >EOY04906 pep chromosome:Theobroma_cacao_20110822:4:26391507:26401744:1 gene:TCM_020054 transcript:EOY04906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase family protein, expressed isoform 1 MVCSENDTSLNISIPVVMIPKSAGDVVNKSMADKHVEFLLYAPTRPVVDFSVLFLWAMAVGTIVTASLWQEFGTSEHTNERYNELSPKESSNAGISNDDEKEILDISAKGAVVFVITASTFLVLLYFFMSSWFVWLLIVLFCLGGVQGMHNCIMTPILRKCRNCPQKTLNLPLFGEVSILSLVVALFCVTFAVVWAVHRRASYSWVGQDILGICLMITVLQLARLPNIKVATVLLCCAFVYDIFWVFLSPLIFHQSVMIAVARGDNSGGESIPMLLRVPRVFDPWGGYDMIGFGDILFPGLLVAFAFRYDKENKKHLANGYFVWLIIGYGFGVAVILGLVRGELKGLWNYSPESSSMTNPSGEA >EOY04904 pep chromosome:Theobroma_cacao_20110822:4:26389575:26401846:1 gene:TCM_020054 transcript:EOY04904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase family protein, expressed isoform 1 MSFPPGSRRRFSAALPLLFLLALSFAGAATADGASQDDGPQLPSCNNPFKLVKVKVWVDGVEGEDLAGLTASFGASLPEEASKSPKLPAVFSNPLNGCSKSSSELSGSVALSTRGDCDFTTKAKVAQSGGAAALLVINDKEELYKMVCSENDTSLNISIPVVMIPKSAGDVVNKSMADKHVEFLLYAPTRPVVDFSVLFLWAMAVGTIVTASLWQEFGTSEHTNERYNELSPKESSNAGISNDDEKEILDISAKGAVVFVITASTFLVLLYFFMSSWFVWLLIVLFCLGGVQGMHNCIMTPILRKCRNCPQKTLNLPLFGEVSILSLVVALFCVTFAVVWAVHRRASYSWVGQDILGICLMITVLQLARLPNIKVATVLLCCAFVYDIFWVFLSPLIFHQSVMIAVARGDNSGGESIPMLLRVPRVFDPWGGYDMIGFGDILFPGLLVAFAFRYDKENKKHLANGYFVWLIIGYGFGLFFTYLGLYLMNGHGQPALLYLVPCTLGVAVILGLVRGELKGLWNYSPESSSMTNPSGEA >EOY02294 pep chromosome:Theobroma_cacao_20110822:4:327847:331075:-1 gene:TCM_016815 transcript:EOY02294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated gene 101, putative isoform 2 MNQLFSSGSEVASFVVSSGLLKLSWAKNLDCYGGVNLNEQHALGFSLRYKAYQEAKFNIIAFVTSPICTKSHLQEAELVSSTALRETFPFVEFLCSNGNSFSIHKAAITLFAAHMNELLQLKNQCGSSSKPLIITGHSLGGSVASLFTLWLLESLDVSAATRPLCLTFGSPALVGDKGFQQSISEHPVWTSCFLHVATSKDSIPRLFIPPHNLHTMGLTSQPYIYKPFGTFLLCFDMGCTCSDNPEAISELLVAMGMGGTRNEEQLVVDYGKIVEQLESWIIFEGISQFSDFMPNSLRAGTILQLEAIGLQKRQQQQQNNDFDKLIEKLEKLEESCMLNKRKVFDPAKKLNDIKIKMALLEWYKKVSKAEEIGYYDCYKNQLSQRDRDIVRLKKFLTNYWKEFVAQTEKKPQKEGVRTRWLYAGTNYRRMIEPLDIAEYYKTGQKNYINNGRSDHYKKMEQWLEEAEKQSGFSINSKKQNVDVILTYDSCFWARVEEARICCRTLENADASITDRGSSRQNLMKFELYVMEQIKKSAVSSEIFLKDSSFMQWWKEYEKIIEPHHNLPLTDFMKNCKYHQYGSGCLALNWKI >EOY02293 pep chromosome:Theobroma_cacao_20110822:4:328180:330634:-1 gene:TCM_016815 transcript:EOY02293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated gene 101, putative isoform 2 MNQLFSSGSEVASFVVSSGLLKLSWAKNLDCYGGVNLNEQHALGFSLRYKAYQEAKFNIIAFVTSPICTKSHLQEAELVSSTALRETFPFVEFLCSNGNSFSIHKAAITLFAAHMNELLQLKNQCGSSSKPLIITGHSLGGSVASLFTLWLLESLDVSAATRPLCLTFGSPALVGDKGFQQSISEHPVWTSCFLHVATSKDSIPRLFIPPHNLHTMGLTSQPYIYKPFGTFLLCFDMGCTCSDNPEAISELLVAMGMGGTRNEEQLVVDYGKIVEQLESWIIFEGISQFSDFMPNSLRAGTILQLEAIGLQKRQQQQQQNNDFDKLIEKLEKLEESCMLNKRKVFDPAKKLNDIKIKMALLEWYKKVSKAEEIGYYDCYKNQLSQRDRDIVRLKKFLTNYWKEFVAQTEKKPQKEGVRTRWLYAGTNYRRMIEPLDIAEYYKTGQKNYINNGRSDHYKKMEQWLEEAEKQSGFSINSKKQNVDVILTYDSCFWARVEEARICCRTLENADASITDRGSSRQNLMKFELYVMEQIKKSAVSSEIFLKDSSFMQWWKEYEKIIEPHHNLPLTDFMKNCKYHQYGSGCLALNWKI >EOY03319 pep chromosome:Theobroma_cacao_20110822:4:12420241:12420596:1 gene:TCM_018221 transcript:EOY03319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWIRLKGEIIFKSWQSLERMARTLIDLKEKDKEKVEKVTIKNYHPRKVFAIRDFPPGYGKGATPVSREDYVNEQQGENNVDEEDLQEAEVDPEDDPVNEYEFRQ >EOY04232 pep chromosome:Theobroma_cacao_20110822:4:22949510:22953556:-1 gene:TCM_019499 transcript:EOY04232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-related intramembrane serine protease family protein, putative isoform 1 MAVVPSCYKMPYKDQNFPIPKVETLTEKSFICGCTAPLDGSTCFSSSCDNRCRGWHPLHISSGILTKADTGNRDRGFNRLQWRLWTLTGVHNTKFHLRTLSRKASPKENSGPGPNESQLRLLESYFGKRQEDAKKTSSVSSGKTVELLDDSGESNTKMGLSSLDAYLSKLDEDSNSRNNVSSSIAGGQNPEANPVATPFSVSEDVKIDEEAKLRSDVGFRLRDVGSGSKRSEALQQYDEASDLYLISILASINIAVFLFEIATPIRNSDLELFSLPSLYGAKINDLILVGEWWRLVTPMFLHSGILHVALGCWALLSFGPQVCRRYGSFTFFLIYLLGGISGNLISFLHTPDPTVGGTGPVFAVIGAWLIYQIQNKDVTAKHISERMFQKAMLVTALSFILSNFGPIDDWTHFGAAFTGIAYGYFTCPTLQLDDASSRAGQEEQITLVGRNADPCKSLIVFTAFILVFSFLLFLMEPPRNTLPVDDFV >EOY04231 pep chromosome:Theobroma_cacao_20110822:4:22949510:22954223:-1 gene:TCM_019499 transcript:EOY04231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-related intramembrane serine protease family protein, putative isoform 1 MAVVPSCYKMPYKDQNFPIPKVETLTEKSFICGCTAPLDGSTCFSSSCDNRCRGWHPLHISSGILTKADTGNRDRGFNRLQWRLWTLTGVHNTKFHLRTLSRKASPKENSGPGPNESQLRLLESYFGKRQEDAKKTSSVSSGKTVELLDDSGESNTKMGLSSLDAYLSKLDEDSNSRNNVSSSIAGGQNPEANPVATPFSVSEDVKIDEEAKLRSDVGFRLRDVGSGSKRSEALQQYDEASDLYLISILASINIAVFLFEIATPIRNSDLELFSLPSLYGAKINDLILVGEWWRLVTPMFLHSGILHVALGCWALLSFGPQVCRRYGSFTFFLIYLLGGISGNLISFLHTPDPTVGGTGPVFAVIGAWLIYQIQNKDVTAKHISERMFQKAMLVTALSFILSNFGPIDDWTHFGAAFTGIAYGYFTCPTLQLDDASSRAGQEEQITLVGRNADPCKSLIVFTAFILVFSFLLFLMEPPRNTLPVDDFV >EOY06410 pep chromosome:Theobroma_cacao_20110822:4:31833370:31835209:-1 gene:TCM_021137 transcript:EOY06410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKQSDYTYSSLPNDVALKIASSLEVPDLSSLGCCSRVWRDLCGSDCLWKSLVRERWPLLNEAALQDPNFKGWRGFYKKQHKEVAGRAASVVKFVEQCSLSESLEVSNYLKAIECLRSMQFGFKDVQMVLFKPKLNVLLNLVGLHYCLNCLQEPASHVTEALQSSKISDRQVCVKWWKFGRRFYGFRMRDESHSRCISLQDLATAKEEEVLGVLERGAIHEVLQVQLSVADSTSNLWSNQSPQ >EOY06409 pep chromosome:Theobroma_cacao_20110822:4:31833135:31835215:-1 gene:TCM_021137 transcript:EOY06409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKQSDYTYSSLPNDVALKIASSLEVPDLSSLGCCSRVWRDLCGSDCLWKSLVRERWPLLNEAALQDPNFKGWRGFYKKQHKEVAGRAASVVKFVEQCSLSESLEVSNYLKAIECLRSMQFGFKDVQMVLFKPKLNVLLNLVGLHYCLNCLQEPASHVTEALQSSKISDRQVCVKWWKFGRRFYGFRMRDESHSRCISLQDLATAKEEEVLGVLERGAIHEVLQVQLSVADSTSNLWSNQSPQ >EOY06408 pep chromosome:Theobroma_cacao_20110822:4:31832526:31835215:-1 gene:TCM_021137 transcript:EOY06408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKQSDYTYSSLPNDVALKIASSLEVPDLSSLGCCSRVWRDLCGSDCLWKSLVRERWPLLNEAALQDPNFKGWRGFYKKQHKEVAGRAASVVKFVEQCSLSESLEVSNYLKAIECLRSMQFGFKDVQMVLFKPKLNVLLNLVGLHYCLNCLQEPASHVTEALQSSKISDRQVCVKWWKFGRRFYGFRMRDESHSRCISLQDLATAKEEEVLGVLERGAIHEVLQENALMIGRSGEDHPGSCCQRFRLCSCFVR >EOY04744 pep chromosome:Theobroma_cacao_20110822:4:25763491:25773149:-1 gene:TCM_019931 transcript:EOY04744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWAKFIIYILGFLSNTVAPKYFLYKIYMILDNIWLHNMSSLFGLSTLKADQEQGHLGVSGCGLVQVQPQWGGRGCPGHLGIGGVLRDDKGAVKMVFSKKAGWGDANLAEALAVGEAMILFATSSWANSTGIIIESDSMNVVSWASLPEKSPWSLRQLFLQIALLKGRVAGWQIRHILRSGNEAADSLAKPGIDRSHDLLRICP >EOY05392 pep chromosome:Theobroma_cacao_20110822:4:28409488:28412942:-1 gene:TCM_020402 transcript:EOY05392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine triphosphate pyrophosphatase family protein isoform 1 MEAAGRAVLVSRPVTFVTGNAKKLEEVKAILGQSIPFQSLKLDLPELQGEPEEISKEKARLAAVQVNGPVLVEDTCLCFNALKGLPGPYIKWFLQKIGHEGLNNLLMAYEDKSAYALCAFSFALGPDVEPITFLGKTPGKIVPARGPNDFGWDPIFQPDGYDQTYAEMPKEEKNKISHRYRALAMVKSHFAEAGYSFSTPSKE >EOY05393 pep chromosome:Theobroma_cacao_20110822:4:28409332:28413065:-1 gene:TCM_020402 transcript:EOY05393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine triphosphate pyrophosphatase family protein isoform 1 MEAAGRAVLVSRPVTFVTGNAKKLEEVKAILGQSIPFQSLKLDLPELQGEPEEISKEKARLAAVQVNGPVLVEDTCLCFNALKGLPGPYIKWFLQKIGHEGLNNLLMAYEDKSAYALCAFSFALGPDVEPITFLGKTPGKIVPARGPNDFGWDPIFQPDGYDQTDAEGREEQDFSPL >EOY05342 pep chromosome:Theobroma_cacao_20110822:4:28163747:28168033:-1 gene:TCM_020361 transcript:EOY05342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMEEIKEGKQMKRKAPADISHGTDQDNEEQVWPTQPSSDQPNSNGNIMQKNSALMKEIENTMDICSFLDLVFDEDHDTAISHFSETLSQGGLDIMDLELKNWALLNKWLWRYVNEANNFWRKAISVIYNLEPKQLIPTDQNHKPSLLWNYITKPLNPTNKYHELVVNGFGFMLGKGDSISLWNDFCGMYSPSSFCKAYHNDSHIPNNPWKDLWIEYAPPQVELFYWQALQGKMATKVILMERDEAIKGKPGPAGIEGVLRDHLGFIRGTFSFTIGIEDSNYAEFLAIKEGINFFFSSPWESTHYLEVEIFLRYPTKITFKHIMREANTVADGLAKAGVMIPTTGFSEVAELVGITMIGFEGNIETKILSPKTTYVAYLVFKFAECRYEFRQCHVNFYVKFQEKEAKTRKVFLDPPVGMPQLS >EOY05295 pep chromosome:Theobroma_cacao_20110822:4:27932960:27947892:-1 gene:TCM_020329 transcript:EOY05295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative isoform 2 MMDQQAQKNETAEQVPEMQPSASQKKEAADQQALQLMELSERLFEYAMKDQWQNVVKAYVEKPESHKAKITEAEDTALHLAVSGGKLEFVCQLVKILGENASDVLKVRNKGGNTPLHTAAILGNAHMCHCMASKHSDLIAEKNKENETPFYLAAKYGHKNAFFCLYFCYPQGNRWDICSRDASGNTILHAAIDGEHFDLAFQILCKYPDLVNAINENGSSPLHVLATKTNAFKSGSRLGLFGSILYSCIIVDKMKEKTYDSNDYQKKFEENESDRFPPTYETCVRFFGMFLGVVCFGMPKICSFRSTSSLLSCINHKKKEKKGNSKCDEENPQGTSKLTYQGTSPEAEEEHRTDYVPENYTTFIQFFKFVTKFVMVVLGLGFQRIKKITTKKQRHTWASQVMDKLIENASTYKYSGGQTGTSIDGTTEEFPPNPSLTSASHQDTNTGNGSKSETLGKQGECHNETGSSKMEGNDAPVEKYLGEYHITGTVDSKISKHTVQIKLETKAAVESTKQKTPILTAASKGITEMVEKILDKFPVAIQDVDTENKNVMLLAVENRQTHTFQFLVERKGLHESVFRQRDNQGNNALHLAATYGNYRPWLIPGSALQMQWAIKWYKFVKKSLAKHLLGHYNNKDQTPKQIFTETHKTLVKDGSEWLTKTSESCSLIAALIATVAFATAANIPGGVSHGKPVLRDEPAFDVFAISSLVALCFSVTALVFFLAILTSRFEEKDFANKLPRKLIWGLTSLFTSIAAMLVSFCAGHFFELRDELKFAAFPIYTATCLPISFFALAQLPLYFDLLWAICQKVPQRSYKESAS >EOY05296 pep chromosome:Theobroma_cacao_20110822:4:27932962:27936438:-1 gene:TCM_020329 transcript:EOY05296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative isoform 2 TPIFLAAKYGHKNAFFCLYFCYQGNRSDFCSRDARGNTILHAAIVGEHFDLAFQILCKYPDLVNAINENGSSPLHVLATKTNAFKSGSRLGLFGSILYSCIIVDKMKEKTYDSNDYQKKFEENESDRFPPTYETCVRFFGMFLGVVCFGMPKICSFRSTSSLLSCINHKKKEKKGNSKCDEENPQGTSKLTYQGTSPEAEEEHRTDYVPENYTTFIQFFKFVTKFVMVVLGLGFQRIKKITTKKQRHTWASQVMDKLIENASTYKYSGGQTGTSIDGTTEEFPPNPSLTSASHQDTNTGNGSKSETLGKQGECHNETGSSKMEGNDAPVEKYLGEYHITGTVDSKISKHTVQIKLETKAAVESTKQKTPILTAASKGITEMVEKILDKFPVAIQDVDTENKNVMLLAVENRQTHTFQFLVERKGLHESVFRQRDNQGNNALHLAATYGNYRPWLIPGSALQMQWAIKWYKFVKKSLAKHLLGHYNNKDQTPKQIFTETHKTLVKDGSEWLTKTSESCSLIAALIATVAFATAANIPGGVSHGKPVLRDEPAFDVFAISSLVALCFSVTALVFFLAILTSRFEEKDFANKLPRKLIWGLTSLFTSIAAMLVSFCAGHFFELRDELKFAAFPIYTATCLPISFFALAQLPLYFDLLWAICQKVPQRSYKESAS >EOY05059 pep chromosome:Theobroma_cacao_20110822:4:27012049:27031031:-1 gene:TCM_020163 transcript:EOY05059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall associated kinase-like 6, putative MGVHSVYYSILLLWLIQTAASQEPGELGCQKKCGDVNISFPFGIEAGCFANTWFRVTCKETANGLKPFISRINLELLISTPTVVLINNPVTSVNCGNKDNNVAVAGVNLTDSPYSFPYSYNRFGSVGCGNLATIFRNQTYRIGGCLQPRCGDVSSTSSCHGSILENLKSYTATISEMYPDHKDSKRCRSSVFLFYWGMLDTDSALLLDGNVNISTTHVPAVLKWDTVKCNLGDTRCKELKAVSDQKSDCTVSCGEVDIAYPFGMDARCYMNEWFKVTCNETADGKKPFLSSIGLELLSSSFTLGSVQVNNPVTYIQCQDIHNNGVSVNLTGSPFFFSIDNYFVSVGCGSLATILHNQTHLIGGCLQSGCSNIVTSYGRCFTSIPQGLSSFVANMTQIYPSNGSNRSCGSAFLTDKISLIYSNRDDLSSKKNFSTTLRWGTPKVAPCCSTSIGTLFVLLGTWSMYKVLKRRKSIKLKQKYFKRNGGLLLQQQLSSNEGNVDQIKLFTSKELEKATEYYNENRILGQGGQGIVYKGMLTDGSIVAIKKSKMVEDQKKLDERMVQQFINEVIILSQINHRNVVKLLGCCLETKVPLLVYEFVPNGTLSQLIHDKNEEFPLTRKMRLRIATEIANALSYLHCSASIPIYHRDIKSSNILLDDKYRAKLSDFGTSRSIAIEQTHLTTRVQGTFGYLDPEYFRSSQFTEKSDVYSFGVVLIELLTGQKPISSTQSEEARSLVALFLLSMNEKSLFDILDPQVMNDGSQEEIIAVAQLAKRCLNLKGRKRPTMKQVAMELERIRASGEANVIRQSDDEDSDIDDKIEPWDMASCSTRSVITNTSVTFPSDA >EOY04204 pep chromosome:Theobroma_cacao_20110822:4:22593194:22602170:1 gene:TCM_019461 transcript:EOY04204 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 1 MDGRKRNFLKKPMNTPFKRKGVNNNRKPKWGTNSGHEQPSGNFNSGDTVYRVLCPSRKIGGVIGKGGSIVKALREETQAKITVGDSVLGCDERVIIIYSSPMKVKTQNSDEDSRGENKKDEVVVMEPCCAAQDALLKVHDQIAEDDLFGGMALDDDNGNTVVTARLLVPNNMVGCLLGKRGDVIQRLRSETGASIRILPADHLPACAMATDELVQISGKRDVAKRALYEVSTLLHQNPRKDNPPLSFPVPHAGQNFPPPSAIPPSNPMWSHRNSSPHDIPSMPWMVPHGNRPSGFGPGSLSSFPPARGAEASAEFSMKILCPAGKIGGVIGKGGFNVKQLQQETGAGIHVEDATIESDERVIRVSAIEGLWNPRSQTIDAILQLQNKTSEFSEKGTVTTRLLVPSSKVGCILGQGGHVINEMRRRTQADIRVYSKDDKPKCASEDEELVQISGNFGVAKDALAEIASRLRVRTLRDVNAGAETAPVGPVNGFGPARSMADGLPPAAAIGPGRSGGYESFRGGGGREYEPQNNYSVPPAAVRYSNMNGALEAKILNNMSSSVTGTGGSTILNSEVSGARVRLEDPQTGGSEEFRGSSEHLTAAQSIFQSFMPSSGQSMNAQQSSYQNLSVQQSSYLNMNAQRSPYPNMNAQQSPYQNRHPHQSSPYQNLSVQQSPYSVTSQQVAYANINAPQTAYHNYSAQQGAYQY >EOY04205 pep chromosome:Theobroma_cacao_20110822:4:22593194:22602170:1 gene:TCM_019461 transcript:EOY04205 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 1 MDGRKRNFLKKPMNTPFKRKGVNNNRKPKWGTNSGHEQPSGNFNSGDTVYRVLCPSRKIGGVIGKGGSIVKALREETQAKITVGDSVLGCDERVIIIYSSPMKVKTQNSDEDSRGENKKDEVVVMEPCCAAQDALLKVHDQIAEDDLFGGMALDDDNGNTVVTARLLVPNNMVGCLLGKRGDVIQRLRSETGASIRILPADHLPACAMATDELVQISGKRDVAKRALYEVSTLLHQNPRKDNPPLSFPVPHAGQNFPPPSAIPPSNPMWSHRNSSPHDIPSMPWMVPHGNRPSGFGPGSLSSFPPARGAEASAEFSMKILCPAGKIGGVIGKGGFNVKQLQQETGAGIHVEDATIESDERVIRVSAIEGLWNPRSQTIDAILQLQNKTSEFSEKGTVTTRLLVPSSKVGCILGQGGHVINEMRRRTQADIRVYSKDDKPKCASEDEELVQISGNFGVAKDALAEIASRLRVRTLRDVNAGAETAPVGPVNGFGPARSMADGLPPAAAIGPGRSGGYESFRGGGGREYEPQNNYSVPPAAVRYSNMNGALEAKILNNMSSSVTGTGGSTILNTQVSGARVRLEDPQTGGSEEFRGSSEHLTAAQSIFQSFMPSSGQSMNAQQSSYQNLSVQQSSYLNMNAQRSPYPNMNAQQSPYQNRHPHQSSPYQNLSVQQSPYSVTSQQVAYANINAPQTAYHNYSAQQGAYQY >EOY04207 pep chromosome:Theobroma_cacao_20110822:4:22592968:22602469:1 gene:TCM_019461 transcript:EOY04207 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 1 PLLLPKFPLQPDGESSNNKRQRLYLSLLQLSCTPLKSFKISGKRDVAKRALYEVSTLLHQNPRKDNPPLSFPVPHAGQNFPPPSAIPPSNPMWSHRNSSPHDIPSMPWMVPHGNRPSGFGPGSLSSFPPARGAEASAEFSMKILCPAGKIGGVIGKGGFNVKQLQQETGAGIHVEDATIESDERVIRVSAIEGLWNPRSQTIDAILQLQNKTSEFSEKGTVTTRLLVPSSKVGCILGQGGHVINEMRRRTQADIRVYSKDDKPKCASEDEELVQISGNFGVAKDALAEIASRLRVRTLRDVNAGAETAPVGPVNGFGPARSMADGLPPAAAIGPGRSGGYESFRGGGGREYEPQNNYSVPPAAVRYSNMNGALEAKILNNMSSSVTGTGGSTILNSEVSGARVRLEDPQTGGSEEFRGSSEHLTAAQSIFQSFMPSSGQSMNAQQSSYQNLSVQQSSYLNMNAQRSPYPNMNAQQSPYQNRHPHQSSPYQNLSVQQSPYSVTSQQVAYANINAPQTAYHNYSAQQGAYQY >EOY04202 pep chromosome:Theobroma_cacao_20110822:4:22593894:22601516:1 gene:TCM_019461 transcript:EOY04202 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 1 MDGRKRNFLKKPMNTPFKRKGVNNNRKPKWGTNSGHEQPSGNFNSGDTVYRVLCPSRKIGGVIGKGGSIVKALREETQAKITVGDSVLGCDERVIIIYSSPMKVKTQNSDEDSRGENKKDEVVVMEPCCAAQDALLKVHDQIAEDDLFGGMALDDDNGNTVVTARLLVPNNMVGCLLGKRGDVIQRLRSETGASIRILPADHLPACAMATDELVQISGKRDVAKRALYEVSTLLHQNPRKDNPPLSFPVPHAGQNFPPPSAIPPSNPMWSHRNSSPHDIPSMPWMVPHGNRPSGFGPGSLSSFPPARGAEASAEFSMKILCPAGKIGGVIGKGGFNVKQLQQETGAGIHVEDATIESDERVIRVSAIEGLWNPRSQTIDAILQLQNKTSEFSEKGTVTTRLLVPSSKVGCILGQGGHVINEMRRRTQADIRVYSKDDKPKCASEDEELVQISGNFGVAKDALAEIASRLRVRTLRDVNAGAETAPVGPVNGFGPARSMADGLPPAAAIGPGRSGGYESFRGGGGREYEPQNNYSVPPAAVRYSNMNGALEAKILNNMSSSVTGTGGSTILNSEVSGARVRLEDPQTGGSEEFRGSSEHLTAAQSIFQSFMPSSGQSMNAQQSSYQNLSVQQSSYLNMNAQRSPYPNMNAQQSPYQNRHPHQSSPYQNLSVQQSPYSVTSQQVAYANINAPQTAYHNYSAQQGAYQY >EOY04206 pep chromosome:Theobroma_cacao_20110822:4:22593319:22601138:1 gene:TCM_019461 transcript:EOY04206 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 1 MDGRKRNFLKKPMNTPFKRKGVNNNRKPKWGTNSGHEQPSGNFNSGDTVYRVLCPSRKIGGVIGKGGSIVKALREETQAKITVGDSVLGCDERVIIIYSSPMKVKTQNSDEDSRGENKKDEVVVMEPCCAAQDALLKVHDQIAEDDLFGGMALDDDNGNTVVTARLLVPNNMVGCLLGKRGDVIQRLRSETGASIRILPADHLPACAMATDELVQISGKRDVAKRALYEVSTLLHQNPRKDNPPLSFPVPHAGQNFPPPSAIPPSNPMWSHRNSSPHDIPSMPWMVPHGNRPSGFGPGSLSSFPPARGAEASAEFSMKILCPAGKIGGVIGKGGFNVKQLQQETGAGIHVEDATIESDERVIRVSAIEGLWNPRSQTIDAILQLQNKTSEFSEKGTVTTRLLVPSSKVGCILGQGGHVINEMRRRTQADIRVYSKDDKPKCASEDEELVQISGNFGVAKDALAEIASRLRVRTLRDVNAGAETAPVGPVNGFGPARSMADGLPPAAAIGPGRSGGYESFRGGGGREYEPQNNYSVPPAAVRYSNMNGALEAKILNNMSSSVTGTGGSTILNSEVFDLEWSLGDHNLESFIV >EOY04203 pep chromosome:Theobroma_cacao_20110822:4:22593068:22601137:1 gene:TCM_019461 transcript:EOY04203 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 1 MDGRKRNFLKKPMNTPFKRKGVNNNRKPKWGTNSGHEQPSGNFNSGDTVYRVLCPSRKIGGVIGKGGSIVKALREETQAKITVGDSVLGCDERVIIIYSSPMKVKTQNSDEDSRGENKKDEVVVMEPCCAAQDALLKVHDQIAEDDLFGGMALDDDNGNTVVTARLLVPNNMVGCLLGKRGDVIQRLRSETGASIRILPADHLPACAMATDELVQISGKRDVAKRALYEVSTLLHQNPRKDNPPLSFPVPHAGQNFPPPSAIPPSNPMWSHRNSSPHDIPSMPWMVPHGNRPSGFGPGSLSSFPPARGAEASAEFSMKILCPAGKIGGVIGKGGFNVKQLQQETGAGIHVEDATIESDERVIRVSAIEGLWNPRSQTIDAILQLQNKTSEFSEKGTVTTRLLVPSSKVGCILGQGGHVINEMRRRTQADIRVYSKDDKPKCASEDEELVQISGNFGVAKDALAEIASRLRVRTLRDVNAGAETAPVGPVNGFGPARSMADGLPPAAAIGPGRSGGYESFRGGGGREYEPQNNYSVPPAAVRYSNMNGALEAKILNNMSSSVTGTGGSTILNSEHRFRGQE >EOY04932 pep chromosome:Theobroma_cacao_20110822:4:26542302:26547649:1 gene:TCM_020076 transcript:EOY04932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 C isoform 3 MDETEERLQRMEDLHIQRRQMQHNSNSELDSESSSSSSSSSSEEEEDGAVSTSEDAIYGQKDEPKFDLMKSMLRQGYTQSNSSKSNLEEKNIEMEIATNKPKSKIDMTNANKESAELKKETKVSVSVGTAADGVEVKGVEGPRFRDLGGMGGVLEELKMEVIVPLYHPHLPRWLGVRPMAGILLHGPPGCGKTKLAHAIANETGVPFYKISATEVVSGVSGASEENIRELFSKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDESHGLVQPSDKESNLESSDSKPGYVLVIGATNRPDAVDPALRRPGRFDREIVLGVPDENARHEILSVLTLNLRLEGSFDLWKIARATPGFVGADLAALANKAGNLAMKRIIDQRKHEFSRESIDEEQADEWWRQPWLPEEMEKLTITMADFEEAAKMVQPSSRREGFSTIPNVKWEDVGGLDFLRQEFDRYIVRRIKFPEDYAEFGVDLETGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPELLNKYVGESELAVRTLFSRARTCSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGSDQRRGVYVIGATNRPEVMDRAVLRPGRFGKLLYVPLPNPVERGLILKALARKKPIDASVDLSAIGRMDACDNLWDLRYFMDNQDIPF >EOY04930 pep chromosome:Theobroma_cacao_20110822:4:26540385:26547649:1 gene:TCM_020076 transcript:EOY04930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 C isoform 3 MGRRLGVGRSPSSSSSSVLNQKILSRRLSSCQQYAGSTVDEIVELLQTNYPDYRRIKKQPLTRVVKQALQALQSSSKNSQKASLSVSDFNFDDDGHNERAIAPFSSSPPPTCSRKKPRRMDETEERLQRMEDLHIQRRQMQHNSNSELDSESSSSSSSSSSEEEEDGAVSTSEDAIYGQKDEPKFDLMKSMLRQGYTQSNSSKSNLEEKNIEMEIATNKPKSKIDMTNANKESAELKKETKVSVSVGTAADGVEVKGVEGPRFRDLGGMGGVLEELKMEVIVPLYHPHLPRWLGVRPMAGILLHGPPGCGKTKLAHAIANETGVPFYKISATEVVSGVSGASEENIRELFSKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDESHGLVQPSDKESNLESSDSKPGYVLVIGATNRPDAVDPALRRPGRFDREIVLGVPDENARHEILSVLTLNLRLEGSFDLWKIARATPGFVGADLAALANKAGNLAMKRIIDQRKHEFSRESIDEEQADEWWRQPWLPEEMEKLTITMADFEEAAKMVQPSSRREGFSTIPNVKWEDVGGLDFLRQEFDRYIVRRIKFPEDYAEFGVDLETGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPELLNKYVGESELAVRTLFSRARTCSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGSDQRRGVYVIGATNRPEVMDRAVLRPGRSQ >EOY04929 pep chromosome:Theobroma_cacao_20110822:4:26540081:26547649:1 gene:TCM_020076 transcript:EOY04929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 C isoform 3 MGRRLGVGRSPSSSSSSVLNQKILSRRLSSCQQYAGSTVDEIVELLQTNYPDYRRIKKQPLTRVVKQALQALQSSSKNSQKASLSVSDFNFDDDGHNERAIAPFSSSPPPTCSRKKPRRMDETEERLQRMEDLHIQRRQMQHNSNSELDSESSSSSSSSSSEEEEDGAVSTSEDAIYGQKDEPKFDLMKSMLRQGYTQSNSSKSNLEEKNIEMEIATNKPKSKIDMTNANKESAELKKETKVSVSVGTAADGVEVKGVEGPRFRDLGGMGGVLEELKMEVIVPLYHPHLPRWLGVRPMAGILLHGPPGCGKTKLAHAIANETGVPFYKISATEVVSGVSGASEENIRELFSKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDESHGLVQPSDKESNLESSDSKPGYVLVIGATNRPDAVDPALRRPGRFDREIVLGVPDENARHEILSVLTLNLRLEGSFDLWKIARATPGFVGADLAALANKAGNLAMKRIIDQRKHEFSRESIDEEQADEWWRQPWLPEEMEKLTITMADFEEAAKMVQPSSRREGFSTIPNVKWEDVGGLDFLRQEFDRYIVRRIKFPEDYAEFGVDLETGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPELLNKYVGESELAVRTLFSRARTCSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGSDQRRGVYVIGATNRPEVMDRAVLRPGRFGKLLYVPLPNPVERGLILKALARKKPIDASVDLSAIGRMDACDNLSGADLSALMNEAAMAALEEKLTSTGISDTSWTIKTFHFERALSKISPSVSDKQKQFYQVLSESFKAA >EOY04933 pep chromosome:Theobroma_cacao_20110822:4:26542620:26546818:1 gene:TCM_020076 transcript:EOY04933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 C isoform 3 MDETEERLQRMEDLHIQRRQMQHNSNSELDSESSSSSSSSSSEEEEDGAVSTSEDAIYGQKDEPKFDLMKSMLRQGYTQSNSSKSNLEEKNIEMEIATNKPKSKIDMTNANKESAELKKETKVSVSVGTAADGVEVKGVEGPRFRDLGGMGGVLEELKMEVIVPLYHPHLPRWLGVRPMAGILLHGPPGCGKTKLAHAIANETGVPFYKISATEVVSGVSGASEENIRELFSKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDESHGLVQPSDKESNLESSDSKPGYVLVIGATNRPDAVDPALRRPGRFDREIVLGVPDENARHEILSVLTLNLRLEGSFDLWKIARATPGFVGADLAALANKAGNLAMKRIIDQRKHEFSRESIDEEQADEWWRQPWLPEEMEKLTITMADFEEAAKMVQPSSRREGFSTIPNVKWEDVGGLDFLRQEFDRYIVRRIKFPEDYAEFGVDLETGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPELLNKYVGESELAVRTLFSRARTCSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGSDQRRGVYVIGATNSKSSSTRYCQRASKQLEKRQIPFVIPLAGAVIDV >EOY04934 pep chromosome:Theobroma_cacao_20110822:4:26542299:26547649:1 gene:TCM_020076 transcript:EOY04934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 C isoform 3 SSVLNQKILSRRLSSCQQYAGSTVDEIVELLQTNYPDYRRIKKQPLTRVVKQALQALQSSSKNSQKASLSVSDFNFDDDGHNERAIAPFSSSPPPTCSRKKPRRMDETEERLQRMEDLHIQRRQMQHNSNSELDSESSSSSSSSSSEEEEDGAVSTSEDAIYGQKDEPKFDLMKSMLRQGYTQSNSSKSNLEEKNIEMEIATNKPKSKIDMTNANKESAELKKETKVSVSVGTAADGVEVKGVEGPRFRDLGGMGGVLEELKMEVIVPLYHPHLPRWLGVRPMAGILLHGPPGCGKTKLAHAIANETGVPFYKISATEVVSGVSGASEENIRELFSKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDESHGLVQPSDKESNLESSDSKPGYVLVIGATNRPDAVDPALRRPGRFDREIVLGVPDENARHEILSVLTLNLRLEGSFDLWKIARATPGFVGADLAALANKAGNLAMKRIIDQRKHEFSRESIDEEQADEWWRQPWLPEEMEKLTITMADFEEAAKMVQPSSRREGFSTIPNVKWEDVGGLDFLRQEFDRYIVRRIKFPEDYAEFGVDLETGFLLYGPPGCGKTLIAKAVANEAGANFIHIK >EOY04928 pep chromosome:Theobroma_cacao_20110822:4:26540081:26547649:1 gene:TCM_020076 transcript:EOY04928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 C isoform 3 MGRRLGVGRSPSSSSSSVLNQKILSRRLSSCQQYAGSTVDEIVELLQTNYPDYRRIKKQPLTRVVKQALQALQSSSKNSQKASLSVSDFNFDDDGHNERAIAPFSSSPPPTCSRKKPRRMDETEERLQRMEDLHIQRRQMQHNSNSELDSESSSSSSSSSSEEEEDGAVSTSEDAIYGQKDEPKFDLMKSMLRQGYTQSNSSKSNLEEKNIEMEIATNKPKSKIDMTNANKESAELKKETKVSVSVGTAADGVEVKGVEGPRFRDLGGMGGVLEELKMEVIVPLYHPHLPRWLGVRPMAGILLHGPPGCGKTKLAHAIANETGVPFYKISATEVVSGVSGASEENIRELFSKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDESHGLVQPSDKESNLESSDSKPGYVLVIGATNRPDAVDPALRRPGRFDREIVLGVPDENARHEILSVLTLNLRLEGSFDLWKIARATPGFVGADLAALANKAGNLAMKRIIDQRKHEFSRESIDEEQADEWWRQPWLPEEMEKLTITMADFEEAAKMVQPSSRREGFSTIPNVKWEDVGGLDFLRQEFDRYIVRRIKFPEDYAEFGVDLETGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPELLNKYVGESELAVRTLFSRARTCSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGSDQRRGVYVIGATNRPEVMDRAVLRPGRFGKLLYVPLPNPVERGLILKALARKKPIDASVDLSAIGRMDACDNLSGADLSALMNEAAMAALEEKLTSTGISDTSWTIKTFHFERALSKISPSVSDKQKQFYQVLSESFKAA >EOY04931 pep chromosome:Theobroma_cacao_20110822:4:26542302:26547649:1 gene:TCM_020076 transcript:EOY04931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 C isoform 3 SSSSVLNQKILSRRLSSCQQYAGSTVDEIVELLQTNYPDYRRIKKQPLTRVVKQALQALQSSSKNSQKASLSVSDFNFDDDGHNERAIAPFSSSPPPTCSRKKPRRMDETEERLQRMEDLHIQRRQMQHNSNSELDSESSSSSSSSSSEEEEDGAVSTSEDAIYGQKDEPKFDLMKSMLRQGYTQSNSSKSNLEEKNIEMEIATNKPKSKIDMTNANKESAELKKETKVSVSVGTAADGVEVKGVEGPRFRDLGGMGGVLEELKMEVIVPLYHPHLPRWLGVRPMAGILLHGPPGCGKTKLAHAIANETGVPFYKISATEVVSGVSGASEENIRELFSKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDESHGLVQPSDKESNLESSDSKPGYVLVIGATNRPDAVDPALRRPGRFDREIVLGVPDENARHEILSVLTLNLRLEGSFDLWKIARATPGFVGADLAALANKAGNLAMKRIIDQRKHEFSRESIDEEQADEWWRQPWLPEEMEKLTITMADFEEAAKMVQPSSRREGFSTIPNVKWEDVGGLDFLRQEFDRYIVRRIKFPEDYAEFGVDLETGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPELLNKYVGESELAVRTLFSRARTCSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGSDQRRGVYVIGATNR >EOY03942 pep chromosome:Theobroma_cacao_20110822:4:20561210:20572205:-1 gene:TCM_019154 transcript:EOY03942 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding,valine-tRNA ligase isoform 4 MAIVPMTYGRHVPIISDKFVDKDFGTGVLKISPGHDHNDYLLARKLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWCELEETDLAVKKEPYTLRVPRSQRGGEVIEPLVSKQWFVTMEPLAEKALRAVEKGELTIMPERFEKIYNHWLSNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSAEEALIKACDKYGKEIEIYQDPDVLDTWFSSALWPFSTLGWPDVSAEDFKRFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSEGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTAGQDLNLSTERLTANKAFTNKLWNAGKFVLQNLPDRDNVSGWQTIQAYKFDMEESLLRLPLSECWVVSQNCICLLMQSLRVITSFSLGKLEEKRMISFGVILLTGYIEASKARLYHSGDDSVALVAQAVLLYVFESILKLLHPFMPFVTEELWQALPNRKEALIISSWPQTSLPRNTTLVKRFENLQALTRAIRNARAEYSVEPAKRISASIVASEEVIQYISEEKEVLALLSRLDLDNIHFTDSPPAGDAKQSVHLVASEGLEAYLPLADMVDISAEVQRLSKRLSKMQTEYEGLKARLKSPKFIEKAPEDIVRGVQQKAAEAEEKINLTKNRLDFLKSTVLVSQ >EOY03940 pep chromosome:Theobroma_cacao_20110822:4:20562891:20576408:-1 gene:TCM_019154 transcript:EOY03940 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding,valine-tRNA ligase isoform 4 MSLHQMAISPPFLLSSRSAYTLNPLLFAKHRRFCFPLSQSRFSSIKRRSFAVVASENGVFTSPELAKSFDFTSEERIYNWWQSQGYFRPKFDRGSDPFVISMPPPNVTGSLHMGHAMFVTLEDIMVRYHRMRGRPTLWLPGTDHAGIATQLVVERMLASEGIKRAELGRDEFAKRVWEWKEKYGGTITNQIKRLGASCDWTRERFTLDEQLSRAVVEAFVKLHEKGLIYQGSYMVNWSPKLQTAVSDLEVEYSEEPGALYYIKYRVAGGSRSDFLTIATTRPETLFGDVAIAVHPQDERYSKYVGQMAIVPMTYGRHVPIISDKFVDKDFGTGVLKISPGHDHNDYLLARKLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWCELEETDLAVKKEPYTLRVPRSQRGGEVIEPLVSKQWFVTMEPLAEKALRAVEKGELTIMPERFEKIYNHWLSNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSAEEALIKACDKYGKEIEIYQDPDVLDTWFSSALWPFSTLGWPDVSAEDFKRFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSEGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTAGQDLNLSTERLTANKAFTNKLWNAGKFVLQNLPDRDNVSGWQTIQAYKFDMEESLLRLPLSECWVVSKLHLLIDAVTESYNKFFFGEVGRETYDFIWGDFADWYIEASKARLYHSGDDSVALVAQAVLLYVFESILKLLHPFMPFVTEELWQALPNRKEALIISSWPQTSLPRNTTLVKRFENLQALTRAIRNARAEYSVEPAKRISASIVASEEVIQYISEEKEVLALLSRLDLDNIHFTDSPPGMFT >EOY03941 pep chromosome:Theobroma_cacao_20110822:4:20561215:20574750:-1 gene:TCM_019154 transcript:EOY03941 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding,valine-tRNA ligase isoform 4 MAIVPMTYGRHVPIISDKFVDKDFGTGVLKISPGHDHNDYLLARKLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWCELEETDLAVKKEPYTLRVPRSQRGGEVIEPLVSKQWFVTMEPLAEKALRAVEKGELTIMPERFEKIYNHWLSNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSAEEALIKACDKYGKEIEIYQDPDVLDTWFSSALWPFSTLGWPDVSAEDFKRFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSEGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTAGQDLNLSTERLTANKAFTNKLWNAGKFVLQNLPDRDNVSGWQTIQAYKFDMEESLLRLPLSECWVVSKLHLLIDAVTESYNKFFFGEVGRETYDFIWGDFADWYIEASKARLYHSGDDSVALVAQAVLLYVFESILKLLHPFMPFVTEELWQALPNRKEALIISSWPQTSLPRNTTLVKRFENLQALTRAIRNARAEYSVEPAKRISASIVASEEVIQYISEEKEVLALLSRLDLDNIHFTDSPPGDAKQSVHLVASEGLEAYLPLADMVDISAEVQRLSKRLSKMQTEYEGLKARLKSPKFIEKAPEDIVRGVQQKAAEAEEKINLTKNRLDFLKSTVLVSQ >EOY03938 pep chromosome:Theobroma_cacao_20110822:4:20560769:20576925:-1 gene:TCM_019154 transcript:EOY03938 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding,valine-tRNA ligase isoform 4 MSLHQMAISPPFLLSSRSAYTLNPLLFAKHRRFCFPLSQSRFSSIKRRSFAVVASENGVFTSPELAKSFDFTSEERIYNWWQSQGYFRPKFDRGSDPFVISMPPPNVTGSLHMGHAMFVTLEDIMVRYHRMRGRPTLWLPGTDHAGIATQLVVERMLASEGIKRAELGRDEFAKRVWEWKEKYGGTITNQIKRLGASCDWTRERFTLDEQLSRAVVEAFVKLHEKGLIYQGSYMVNWSPKLQTAVSDLEVEYSEEPGALYYIKYRVAGGSRSDFLTIATTRPETLFGDVAIAVHPQDERYSKYVGQMAIVPMTYGRHVPIISDKFVDKDFGTGVLKISPGHDHNDYLLARKLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWCELEETDLAVKKEPYTLRVPRSQRGGEVIEPLVSKQWFVTMEPLAEKALRAVEKGELTIMPERFEKIYNHWLSNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSAEEALIKACDKYGKEIEIYQDPDVLDTWFSSALWPFSTLGWPDVSAEDFKRFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSEGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTAGQDLNLSTERLTANKAFTNKLWNAGKFVLQNLPDRDNVSGWQTIQAYKFDMEESLLRLPLSECWVVSKLHLLIDAVTESYNKFFFGEVGRETYDFIWGDFADWYIEASKARLYHSGDDSVALVAQAVLLYVFESILKLLHPFMPFVTEELWQALPNRKEALIISSWPQTSLPRNTTLVKRFENLQALTRAIRNARAEYSVEPAKRISASIVASEEVIQYISEEKEVLALLSRLDLDNIHFTDSPPGDAKQSVHLVASEGLEAYLPLADMVDISAEVQRLSKRLSKMQTEYEGLKARLKSPKFIEKAPEDIVRGVQQKAAEAEEKINLTKNRLDFLKSTVLVSQ >EOY03939 pep chromosome:Theobroma_cacao_20110822:4:20561416:20576495:-1 gene:TCM_019154 transcript:EOY03939 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding,valine-tRNA ligase isoform 4 MSLHQMAISPPFLLSSRSAYTLNPLLFAKHRRFCFPLSQSRFSSIKRRSFAVVASENGVFTSPELAKSFDFTSEERIYNWWQSQGYFRPKFDRGSDPFVISMPPPNVTGSLHMGHAMFVTLEDIMVRYHRMRGRPTLWLPGTDHAGIATQLVVERMLASEGIKRAELGRDEFAKRVWEWKEKYGGTITNQIKRLGASCDWTRERFTLDEQLSRAVVEAFVKLHEKGLIYQGSYMVNWSPKLQTAVSDLEVEYSEEPGALYYIKYRVAGGSRSDFLTIATTRPETLFGDVAIAVHPQDERYSKYVGQMAIVPMTYGRHVPIISDKFVDKDFGTGVLKISPGHDHNDYLLARKLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWCELEETDLAVKKEPYTLRVPRSQRGGEVIEPLVSKQWFVTMEPLAEKALRAVEKGELTIMPERFEKIYNHWLSNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVARSAEEALIKACDKYGKEIEIYQDPDVLDTWFSSALWPFSTLGWPDVSAEDFKRFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSEGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTAGQDLNLSTERLTANKAFTNKLWNAGKFVLQNLPDRDNVSGWQTIQAYKFDMEESLLRLPLSECWVVSKLHLLIDAVTESYNKFFFGEVGRETYDFIWGDFADWYIEASKARLYHSGDDSVALVAQAVLLYVFESILKLLHPFMPFVTEELWQALPNRKEALIISSWPQTSLPRNTTLVKRFENLQALTRAIRNARAEYSVEPAKRISASIVASEEVIQYISEEKEVLALLSRLDLDNIHFTDSPPGDAKQSVHLVASEGLEAYLPLADMVDISAEVQRLSKRLSKMQTEYEGLKARLKSPKFIEKAPEDIVRGVQQKAAEAEEKINLTKNRLDFLKSTVLVSQ >EOY04178 pep chromosome:Theobroma_cacao_20110822:4:22432977:22435415:-1 gene:TCM_019441 transcript:EOY04178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7e family protein isoform 1 MYTSRKKIHKDKDAEPTEFEETVAQALFDLENTNQDFKAELQDLYINSAVQMDVSGNRKAVVIYVPYRLRKAFRKVHLRLVRELEKKFSGKDVVLLATRRILRPPKKGSAVQRPRTRTLTAVHEAMLEDMVHPAEIVGKRTRYRIDGSKIMKVFLDPKEKNNTEYKLETFAGVYRKLTGKDVVFEYPITEA >EOY04179 pep chromosome:Theobroma_cacao_20110822:4:22433301:22435413:-1 gene:TCM_019441 transcript:EOY04179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7e family protein isoform 1 NPNFGNTPIKPSKPLCALFLTAAAAEAEAKSRALQILIDLLFTARTAKMYTSRKKIHKDKDAEPTEFEETVAQQALFDLENTNQDFKAELQDLYINSAVQMDVSGNRKAVVIYVPYRLRKAFRKVHLRLVRELEKKFSGKDVVLLATRRILRPPKKGSAVQRPRTRTLTAVHEAMLEDMVHPAEIVGKRTRYRIDGSKIMKVFLDPKEKNNTEYKLETFAGVYRKLTGKDVVFEYPITEA >EOY03911 pep chromosome:Theobroma_cacao_20110822:4:20340570:20348457:1 gene:TCM_019125 transcript:EOY03911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein isoform 4 MENLNLALVSSSSPPLKLYLLGCNHPNYTPKNPFKTLPFPSSNLAPRRSRISIFAHSHSQPTPPRRLPHIVLRKLGDERFASISSSSSQQTSSVGVNPNPTVPPPSSQIGSPLFWIGVGVGLSALFTWVASSLKKYAMQQAFKTMMGQMNTQNNQFSNAAFPLGSPFPFPAPPSPGPVTSPSPSSQTAVTVDVPATKVEAAPATAPATEVKSETETAEPKKYAFVDVSPEETVQKSAFEDAAGISSSNNTQFPKDDAGAFGGSQSTGSADPALSVDALEKMMEDPTVQKMVYPYLPEEMRNPETFKWMLQNPQYRQQLQDMLNNMGGSTEWDNRMMDSLKNFDLNSPDVKQQFDQIGLTPEEVISKIMANPEVAMAFQNPRVQAAIMDCSQNPLSIAKYQNDKEVMDVFNKI >EOY03909 pep chromosome:Theobroma_cacao_20110822:4:20340702:20348966:1 gene:TCM_019125 transcript:EOY03909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein isoform 4 MENLNLALVSSSSPPLKLYLLGCNHPNYTPKNPFKTLPFPSSNLAPRRSRISIFAHSHSQPTPPRRLPHIVLRKLGDERFASISSSSSQQTSSVGVNPNPTVPPPSSQIGSPLFWIGVGVGLSALFTWVASSLKKYAMQQAFKTMMGQMNTQNNQFSNAAFPLGSPFPFPAPPSPGPVTSPSPSSQTAVTVDVPATKVEAAPATAPATEVKSETETAEPKKYAFVDVSPEETVQKSAFEDAAGISSSNNTQFPKDVSDNGAASKQDAGAFGGSQSTGSADPALSVDALEKMMEDPTVQKMVYPYLPEEMRNPETFKWMLQNPQYRQQLQDMLNNMGGSTEWDNRMMDSLKNFDLNSPDVKQQFDQIGLTPEEVISKIMANPEVAMAFQNPRVQAAIMDCSQNPLSIAKYQNDKEVMDVFNKISELFPGVTGSP >EOY03910 pep chromosome:Theobroma_cacao_20110822:4:20340570:20348457:1 gene:TCM_019125 transcript:EOY03910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein isoform 4 MENLNLALVSSSSPPLKLYLLGCNHPNYTPKNPFKTLPFPSSNLAPRRSRISIFAHSHSQPTPPRRLPHIVLRKLGDERFASISSSSSQQTSSVGVNPNPTVPPPSSQIGSPLFWIGVGVGLSALFTWVASSLKKYAMQQAFKTMMGQMNTQNNQFSNAAFPLGSPFPFPAPPSPGPVTSPSPSSQTAVTVDVPATKVEAAPATAPATEVKSETETAEPKKYAFVDVSPEETVQKSAFEDAAGISSSNNTQFPKDVSDNGAASKQDAGAFGGSQSTGSADPALSVDALEKMMEDPTVQKMVYPYLPEEMRNPETFKWMLQNPQYRQQLQDMLNNMGGSTEWDNRMMDSLKNFDLNSPDVKQQFDRAYT >EOY03908 pep chromosome:Theobroma_cacao_20110822:4:20340510:20348924:1 gene:TCM_019125 transcript:EOY03908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein isoform 4 MENLNLALVSSSSPPLKLYLLGCNHPNYTPKNPFKTLPFPSSNLAPRRSRISIFAHSHSQPTPPRRLPHIVLRKLGDERFASISSSSSQQTSSVGVNPNPTVPPPSSQIGSPLFWIGVGVGLSALFTWVSTKVASSLKFGSSIGYCNEAMKTDYGKMNSCMGPHRVGGLDFGVDDKVVVNGIMDPGYKIASQQKYAMQQAFKTMMGQMNTQNNQFSNAAFPLGSPFPFPAPPSPGPVTSPSPSSQTAVTVDVPATKVEAAPATAPATEVKSETETAEPKKYAFVDVSPEETVQKSAFEDAAGISSSNNTQFPKDVSDNGAASKQDAGAFGGSQSTVKLNKHPIALAGSADPALSVDALEKMMEDPTVQKMVYPYLPEEMRNPETFKWMLQNPQYRQQLQDMLNNMGGSTEWDNRMMDSLKNFDLNSPDVKQQFVSRWSVSVVLECSLVPEEGSYISLSPFADQIGLTPEEVISKIMANPEVAMAFQNPRVQAAIMDCSQNPLSIAKYQNDKEVMDVFNKISELFPGVTGSP >EOY06566 pep chromosome:Theobroma_cacao_20110822:4:32316466:32319662:1 gene:TCM_021244 transcript:EOY06566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fumarylacetoacetate (FAA) hydrolase family MAASASLQNLLKVGTKIVAVGRNYAAHAKELGNAVPKEPVLFLKPTSSYLENGGTIEVPHPLNSLDHEVELAVVIGQKARDVPEKIAMNYVGGYALALDMTAREIQSVAKSAGLPWTVAKGQDTFTPISSVLSMSMVPDPDNLELWLKVDGETRQKGSTKDMIFKIPYLISHISSIMTLCEGDVILTGTPQGVGPVKVGQKISAGITGLVDVHFDVGKRQKPGNC >EOY05880 pep chromosome:Theobroma_cacao_20110822:4:30157910:30158873:1 gene:TCM_020771 transcript:EOY05880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCQHTKETRPLCKEPPHPNLKGIELDKIGGVPELERAPFFALNPWGFTHLALCFRTTLKAIKGPPILLLHCAPPPLISIQL >EOY05171 pep chromosome:Theobroma_cacao_20110822:4:27455526:27461904:-1 gene:TCM_020243 transcript:EOY05171 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein isoform 2 MADRNSTASKPIWMKQAEEAKLKSEAEKAAAAKAAFEATFKDVDKNRNKDVAAASSDSESEDTSDLVNKPIGPVDPAKCMAAGPGIAGGTACAASTFMVVTKDADGRKVQSGGAQIKVKVSPGVGVGGSEQEGIVKDMGDGTYTVTYVVPKRGNYMVNIECNGKPIMGSPFPVFFSAGTSTGGLLGVAPASTYPNLVNQTMPNMPNYTGSVSGAFPGLLGMIPGIVSGASGGAILPGMGASLGEVCREYLNGRCAKTDCKLNHPPHNLLMTALAATTSMGTLSQVPMAPSAAAMAAAQAIVAAQALQAHAAQVQAQAQSTKDSSDSPDKAGKADALKKTLQVSNLSPLLTAEQLKQLFSFCGTVVECTITDSKHFAYIEYSKPEEATAALALNNMDIGGRPLNVEMAKSLPQKPAVSSLASSSLPMMMQQAVAMQQMQFQQALLMQQTLTAQQAANRAASMKSATELAAARAAEISKKLKADGLVTEEKETKSKSRSPSTSRARSRSKSKSPLSYQRRSRSKSRSPINYRRRSRSKSRSPISYRRRRRSRSYSPSLRFQRDRRSKSPVRSHHRSRYDSERRSYRDRDDIDRSKRRDLDRSRDRRSSVSRRNRSRSISPQTRKSPPVDSDSPKNSRESSPRVRKSSHPDSRSPRHHRRSRSSPKNDDERKLKYRKRSRSKSVDSDKKRDQIQGEKSKHRSRRRSRSLSLEGEHRGRSRSSASSDENKLKHRRRSRSVSVERKVRSNSKIDEMKKDESRHSDRRRSRSGSAEGRHYTKERSDRSRDKKSKHRDRRRSRSRSAEGKHHRESRLFPRNSDGNKIKHRRLSRSKSTEGKHRSSDKIDERSKRHDRKHLSSAECRHPRGSRSSPRSSEDNDSRRRRRSRSKSVEGNDGILASKEEDYDSKVSVNDDQELKGKYLLQFSCDEGLSPNNRLDADELSKLEGSSSKHQLNSNGSENHSISPQQN >EOY05173 pep chromosome:Theobroma_cacao_20110822:4:27456201:27461363:-1 gene:TCM_020243 transcript:EOY05173 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein isoform 2 MAAGPGIAGGTACAASTFMVVTKDADGRKVQSGGAQIKVKVSPGVGVGGSEQEGIVKDMGDGTYTVTYVVPKRGNYMVNIECNGKPIMGSPFPVFFSAGTSTGGLLGVAPASTYPNLVNQTMPNMPNYTGGAILPGMGASLGEVCREYLNGRCAKTDCKLNHPPHNLLMTALAATTSMGTLSQVPMAPSAAAMAAAQAIVAAQALQAHAAQVQAQAQSTKDSSDSPDKAGKADALKKTLQVSNLSPLLTAEQLKQLFSFCGTVVECTITDSKHFAYIEYSKPEEATAALALNNMDIGGRPLNVEMAKSLPQKPAVSSLASSSLPMMMQQAVAMQQMQFQQALLMQQTLTAQQAANRAASMKSATELAAARAAEISKKLKADGLVTEEKETKSKSRSPSTSRARSRSKSKSPLSYQRRSRSKSRSPINYRRRSRSKSRSPISYRRRRRSRSYSPSLRFQRDRRSKSPVRSHHRSRYDSERRSYRDRDDIDRSKRRDLDRSRDRRSSVSRRNRSRSISPQTRKSPPVDSDSPKNSRESSPRVRKSSHPDSRSPRHHRRSRSSPKNDDERKLKYRKRSRSKSVDSDKKRDQIQGEKSKHRSRRRSRSLSLEGEHRGRSRSSASSDENKLKHRRRSRSVSVERKVRSNSKIDEMKKDESRHSDRRRSRSGSAEGRHYTKERSDRSRDKKSKHRDRRRSRSRSAEGKHHRESRLFPRNSDGNKIKHRRLSRSKSTEGKHRSSDKIDERSKRHDRKHLSSAECRHPRGSRSSPRSSEDNDSRRRRRSRSKSVEGNDGILASKEEDYDSKVSVNDDQELKGKYLLQFSCDEGLSPNNRLDADELSKLEGSSSKHQLNSNGSENHSISPQQN >EOY05166 pep chromosome:Theobroma_cacao_20110822:4:27455526:27461780:-1 gene:TCM_020243 transcript:EOY05166 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein isoform 2 MADRNSTASKPIWMKQAEEAKLKSEAEKAAAAKAAFEATFKDVDKNRNKDVAAASSDSESEDTSDLVNKPIGPVDPAKCMAAGPGIAGGTACAASTFMVVTKDADGRKVQSGGAQIKVKVSPGVGVGGSEQEGIVKDMGDGTYTVTYVVPKRGNYMVNIECNGKPIMGSPFPVFFSAGTSTGGLLGVAPASTYPNLVNQTMPNMPNYTGSVSGAFPGLLGMIPGIVSGASGGAILPGMGASLGEVCREYLNGRCAKTDCKLNHPPHNLLMTALAATTSMGTLSQVPMAPSAAAMAAAQAIVAAQALQAHAAQVQAQAQSTKDSSDSPDKAGKADALKKTLQVSNLSPLLTAEQLKQLFSFCGTVVECTITDSKHFAYIEYSKPEEATAALALNNMDIGGRPLNVEMAKSLPQKPAVSSLASSSLPMMMQQAVAMQQMQFQQALLMQQTLTAQQAANRAASMKSATELAAARAAEISKKLKADGLVTEEKETKSKSRSPSTSRARSRSKSKSPLSYQRRSRSKSRSPINYRRRSRSKSRSPISYRRRRRSRSYSPSLRFQRDRRSKSPVRSHHRSRYDSERRSYRDRDDIDRSKRRDLDRSRDRRSSVSRRNRSRSISPQTRKSPPVDSDSPKNSRESSPRVRKSSHPDSRSPRHHRRSRSSPKNDDERKLKYRKRSRSKSVDSDKKRDQIQGEKSKHRSRRRSRSLSLEGEHRGRSRSSASSDENKLKHRRRSRSVSVERKVRSNSKIDEMKKDESRHSDRRRSRSGSAEGRHYTKERSDRSRDKKSKHRDRRRSRSRSAEGKHHRESRLFPRNSDGNKIKHRRLSRSKSTEGKHRSSDKIDERSKRHDRKHLSSAECRHPRGSRSSPRSSEDNDSRRRRRSRSKSVEGNDGILASKEEDYDSKVSVNDDQELKGKYLLQFSCDEGLSPNNRLDADELSKLEGSSSKHQLNSNGSENHSISPQQN >EOY05168 pep chromosome:Theobroma_cacao_20110822:4:27455099:27461885:-1 gene:TCM_020243 transcript:EOY05168 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein isoform 2 MADRNSTASKPIWMKQAEEAKLKSEAEKAAAAKAAFEATFKDVDKNRNKDVAAASSDSESEDTSDLVNKPIGPVDPAKCMAAGPGIAGGTACAASTFMVVTKDADGRKVQSGGAQIKVKVSPGVGVGGSEQEGIVKDMGDGTYTVTYVVPKRGNYMVNIECNGKPIMGSPFPVFFSAGTSTGGLLGVAPASTYPNLVNQTMPNMPNYTGSVSGAFPGLLGMIPGIVSGASGGAILPGMGASLGEVCREYLNGRCAKTDCKLNHPPHNLLMTALAATTSMGTLSQVPMAPSAAAMAAAQAIVAAQALQAHAAQVQAQAQSTKDSSDSPDKAGKADALKKTLQVSNLSPLLTAEQLKQLFSFCGTVVECTITDSKHFAYIEYSKPEEATAALALNNMDIGGRPLNVEMAKSLPQKPAVSSLASSSLPMMMQQAVAMQQMQFQQALLMQQTLTAQQAANRAASMKSATELAAARAAEISKKLKADGLVTEEKETKSKSRSPSTSRARSRSKSKSPLSYQRRSRSKSRSPINYRRRSRSKSRSPISYRRRRRSRSYSPSLRFQRDRRSKSPVRSHHRSRYDSERRSYRDRDDIDRSKRRDLDRSRDRRSSVSRRNRSRSISPQTRKSPPVDSDSPKNSRESSPRVRKSSHPDSRSPRHHRRSRSSPKNDDERKLKYRKRSRSKSVDSDKKRDQIQGEKSKHRSRRRSRSLSLEGEHRGRSRSSASSDENKLKHRRRSRSVSVERKVRSNSKIDEMKKDESRHSDRRRSRSGSAEGRHYTKERSDRSRDKKSKHRDRRRSRSRSAEGKHHRESRLFPRNSDGNKIKHRRLSRSKSTEGKHRSSDKIDERSKRHDRKHLSSAECRHPRGSRSSPRSSEDNDSRRRRRSRSKSVEGNDGILASKEEDYDSKVSVNDDQELKGKYLLQFSCDEGLSPNNRLDADELSKLEGSSSKHQLNSNGSENHSISPQQN >EOY05167 pep chromosome:Theobroma_cacao_20110822:4:27457707:27461447:-1 gene:TCM_020243 transcript:EOY05167 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein isoform 2 MADRNSTASKPIWMKQAEEAKLKSEAEKAAAAKAAFEATFKDVDKNRNKDVAAASSDSESEDTSDLVNKPIGPVDPAKCMAAGPGIAGGTACAASTFMVVTKDADGRKVQSGGAQIKVKVSPGVGVGGSEQEGIVKDMGDGTYTVTYVVPKRGNYMVNIECNGKPIMGSPFPVFFSAGTSTGGLLGVAPASTYPNLVNQTMPNMPNYTGSVSGAFPGLLGMIPGIVSGASGGAILPGMGASLGEVCREYLNGRCAKTDCKLNHPPHNLLMTALAATTSMGTLSQVPMAPSAAAMAAAQAIVAAQALQAHAAQVQAQAQSTKDSSDSPDKAGKADALKKTLQVSNLSPLLTAEQLKQLFSFCGTVVECTITDSKHFAYIEYSKPEEATAALALNNMDIGGRPLNVEMAKSLPQKPAVSSLASSSLPMMMQQAVAMQQMQFQQALLMQQTLTAQQAANRAASMKSATELAAARAAEISKKLKADGLVTEEKETKSKSRSPSTSRARSRSKSKSPLSYQRRSRSKSRSPINYRRRSRSKSRSPISYRRRRRSRSYSPSLRFQRDRRSKSPVRSHHRSRYDSERRSYRDRDDIDRSKRRDLDRSRDRRSSVSRRNRSRSISPQTRKSPPVDSDSPKNSRESSPRVRKSSHPDSRSPRHHRRSRSSPKNDDERKLKYRKRSRSKSVDSDKKRDQIQGEKSKHRSRRRSRSLSLEGEHRGRSRSSASSDENKLKHRRRSRSVSVERKVRSNSKIDEMKKDESRHSDRRRSRSGSAEGRHYTKERSDRSRDKKSKHRDRRRSRSRSAEGKHHRESRLFPRNSDGNKIKHRRLSRSKSTEGKHRSSDKIDERSKRHDRKHLSSAECRHPRGSRSSPRSSEDNDSRRRRRSRSKSVEGE >EOY05172 pep chromosome:Theobroma_cacao_20110822:4:27455099:27461885:-1 gene:TCM_020243 transcript:EOY05172 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein isoform 2 MADRNSTASKPIWMKQAEEAKLKSEAEKAAAAKAAFEATFKDVDKNRNKDVAAASSDSESEDTSDLVNKPIGPVDPAKCMAAGPGIAGGTACAASTFMVVTKDADGRKVQSGGAQIKVKVSPGVGVGGSEQEGIVKDMGDGTYTVTYVVPKRGNYMVNIECNGKPIMGSPFPVFFSAGTSTGGLLGVAPASTYPNLVNQTMPNMPNYTGSVSGAFPGLLGMIPGIVSGASGGAILPGMGASLGEVCREYLNGRCAKTDCKLNHPPHNLLMTALAATTSMGTLSQVPMAPSAAAMAAAQAIVAAQALQAHAAQVQAQAQSTKDSSDSPDKAGKADALKKTLQVSNLSPLLTAEQLKQLFSFCGTVVECTITDSKHFAYIEYSKPEEATAALALNNMDIGGRPLNVEMAKSLPQKPAVSSLASSSLPMMMQQAVAMQQMQFQQALLMQQTLTAQQAANRAASMKSATELAAARAAEISKKLKADGLVTEEKETKSKSRSPSTSRARSRSKSKSPLSYQRRSRSKSRSPINYRRRSRSKSRSPISYRRRRRSRSYSPSLRFQRDRRSKSPVRSHHRSRYDSERRSYRDRDDIDRSKRRDLDRSRDRRSSVSRRNRSRSISPQTRKSPPVDSDSPKNSRESSPRVRKSSHPDSRSPRHHRRSRSSPKNDDERKLKYRKRSRSKSVDSDKKRDQIQGEKSKHRSRRRSRSLSLEGEHRGRSRSSASSDENKLKHRRRSRSVSVERKVRSNSKIDEMKKDESRHSDRRRSRSGSAEGRHYTKERSDRSRDKKSKHRDRRRSRSRSAEGKHHRESRLFPRNSDGNKIKHRRLSRSKSTEGKHRSSDKIDERSKRHDRKHLSSAECRHPRGSRSSPRSSEDNDSRRRRRSRSKSVEGNDGILASKEEDYDSKVSVNDDQELKGKYLLQFSCDEGLSPNNRLDADELSKLEGSSSKHQLNSNGSENHSISPQQN >EOY05170 pep chromosome:Theobroma_cacao_20110822:4:27455180:27461901:-1 gene:TCM_020243 transcript:EOY05170 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein isoform 2 MADRNSTASKPIWMKQAEEAKLKSEAEKAAAAKAAFEATFKDVDKNRNKDVAAASSDSESEDTSDLVNKPIGPVDPAKCMAAGPGIAGGTACAASTFMVVTKDADGRKVQSGGAQIKVKVSPGVGVGGSEQEGIVKDMGDGTYTVTYVVPKRGNYMVNIECNGKPIMGSPFPVFFSAGTSTGGLLGVAPASTYPNLVNQTMPNMPNYTGSVSGAFPGLLGMIPGIVSGASGGAILPGMGASLGEVCREYLNGRCAKTDCKLNHPPHNLLMTALAATTSMGTLSQVPMAPSAAAMAAAQAIVAAQALQAHAAQVQAQAQSTKDSSDSPDKAGKADALKKTLQVSNLSPLLTAEQLKQLFSFCGTVVECTITDSKHFAYIEYSKPEEATAALALNNMDIGGRPLNVEMAKSLPQKPAVSSLASSSLPMMMQQAVAMQQMQFQQALLMQQTLTAQQAANRAASMKSATELAAARAAEISKKLKADGLVTEEKETKSKSRSPSTSRARSRSKSKSPLSYQRRSRSKSRSPINYRRRSRSKSRSPISYRRRRRSRSYSPSLRFQRDRRSKSPVRSHHRSRYDSERRSYRDRDDIDRSKRRDLDRSRDRRSSVSRRNRSRSISPQTRKSPPVDSDSPKNSRESSPRVRKSSHPDSRSPRHHRRSRSSPKNDDERKLKYRKRSRSKSVDSDKKRDQIQGEKSKHRSRRRSRSLSLEGEHRGRSRSSASSDENKLKHRRRSRSVSVERKVRSNSKIDEMKKDESRHSDRRRSRSGSAEGRHYTKERSDRSRDKKSKHRDRRRSRSRSAEGKHHRESRLFPRNSDGNKIKHRRLSRSKSTEGKHRSSDKIDERSKRHDRKHLSSAECRHPRGSRSSPRSSEDNDSRRRRRSRSKSVEGNDGILASKEEDYDSKVSVNDDQELKGKYLLQFSCDEGLSPNNRLDADELSKLEGSSSKHQLNSNGSENHSISPQQN >EOY05169 pep chromosome:Theobroma_cacao_20110822:4:27455611:27461765:-1 gene:TCM_020243 transcript:EOY05169 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein isoform 2 MADRNSTASKPIWMKQAEEAKLKSEAEKAAAAKAAFEATFKDVDKNRNKDVAAASSDSESEDTSDLVNKPIGPVDPAKCMAAGPGIAGGTACAASTFMVVTKDADGRKVQSGGAQIKVKVSPGVGVGGSEQEGIVKDMGDGTYTVTYVVPKRGNYMVNIECNGKPIMGSPFPVFFSAGTSTGGLLGVAPASTYPNLVNQTMPNMPNYTGSVSGAFPGLLGMIPGIVSGASGGAILPGMGASLGEVCREYLNGRCAKTDCKLNHPPHNLLMTALAATTSMGTLSQVPMAPSAAAMAAAQAIVAAQALQAHAAQVQAQAQSTKDSSDSPDKAGKADALKKTLQVSNLSPLLTAEQLKQLFSFCGTVVECTITDSKHFAYIEYSKPEEATAALALNNMDIGGRPLNVEMAKSLPQKPAVSSLASSSLPMMMQQAVAMQQMQFQQALLMQQTLTAQQAANRAASMKSATELAAARAAEISKKLKADGLVTEEKETKSKSRSPSTSRARSRSKSKSPLSYQRRSRSKSRSPINYRRRSRSKSRSPISYRRRRRSRSYSPSLRFQRDRRSKSPVRSHHRSRYDSERRSYRDRDDIDRSKRRDLDRSRDRRSSVSRRNRSRSISPQTRKSPPVDSDSPKNSRESSPRVRKSSHPDSRSPRHHRRSRSSPKNDDERKLKYRKRSRSKSVDSDKKRDQIQGEKSKHRSRRRSRSLSLEGEHRGRSRSSASSDENKLKHRRRSRSVSVERKVRSNSKIDEMKKDESRHSDRRRSRSGSAEGRHYTKERSDRSRDKKSKHRDRRRSRSRSAEGKHHRESRLFPRNSDGNKIKHRRLSRSKSTEGKHRSSDKIDERSKRHDRKHLSSAECRHPRGSRSSPRSSEDNDSRRRRRSRSKSVEGNDGILASKEEDYDSKVSVNDDQELKGKYLLQFSCDEGLSPNNRLDADELSKLEGSSSKHQLNSNGSENHSISPQQN >EOY02782 pep chromosome:Theobroma_cacao_20110822:4:2252168:2255481:1 gene:TCM_017181 transcript:EOY02782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit isoform 1 MSTPGSAKRILQKPPVRPPGVPMTNTMSPLRTMELTPAARRKKQKLPEKQLQDRVAAILPESALYTQLLEFEARVDAALARKKVDIQEALKNPPCVQKTLRIYVFNTFANQIKTIPKKPNAEPPMWTLKIIGRILEDGVDPDQPGFVQKTNPLYPKFSSFFKKVTISLDQRLYPENHIIIWEHARSPAPHEGFEVKRKGDKEFTVNIRLEMNYVPEKFKLSSALMEVLGIEVDTRPRIIAAIWHYVKARKLQNPNDPSFFNCDAQLQKVFGEDKVKFTMVSQKISQHLASPPPIHLEHKIKLSGNGPVGTACYDVLVDVPFPIQRELSALLANAEKSKEIDACDEAICAAIRKIHEHRRRRAFFLGFSQSPVEFINALIESQSRDLKLVAGEASRSVERERRSDFFNQPWVEDAVIRYLNRKPAAGSDAPGST >EOY02784 pep chromosome:Theobroma_cacao_20110822:4:2252780:2255361:1 gene:TCM_017181 transcript:EOY02784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit isoform 1 MSVNNNNPPKSLGASSSPFGNAGMVAPSMAGNPAFSQAQAQLSAGFQAQFQLSQVQALAQAQAQAQSKAHAHAQAQAQVAHAQFQAHLQAQGLSLNQSQNAGIGNLGSSSPSMSTPGSAKRILQKPPVRPPGVPMTNTMSPLRTMELTPAARRKKQKLPEKQLQDRVAAILPESALYTQLLEFEARVDAALARKKVDIQEALKNPPCVQKTLRIYVFNTFANQIKTIPKKPNAEPPMWTLKIIGRILEDGVDPDQPGFVQKTNPLYPKFSSFFKKVTISLDQRLYPENHIIIWEHARSPAPHEGFEVKRKGDKEFTVNIRLEMNYVPEKFKLSSALMEVLGIEVDTRPRIIAAIWHYVKARKLQNPNDPSFFNCDAQLQKVFGEDKVKFTMVSQKISQHLASPPPIHLEHKIKLSGNGPVGTACYDVLVDVPFPIQRELSALLANAEKSKEIDACDEAICAAIRKIHEHRRRRAFFLGFSQSPVEFINALIESQSRDLKLVAGEASRSVERERRSDFFNQPWVEDAVIRYLNRKPAAGSDAPGST >EOY02781 pep chromosome:Theobroma_cacao_20110822:4:2252787:2254968:1 gene:TCM_017181 transcript:EOY02781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit isoform 1 MSVNNNNPPKSLGASSSPFGNAGMVAPSMAGNPAFSQAQAQLSAGFQAQFQLSQVQALAQAQAQAQSKAHAHAQAQAQVAHAQFQAHLQAQGLSLNQSQNAGIGNLGSSSPSMSTPGSAKRILQKPPVRPPGVPMTNTMSPLRTMELTPAARRKKQKLPEKQLQDRVAAILPESALYTQLLEFEARVDAALARKKVDIQEALKNPPCVQKTLRIYVFNTFANQIKTIPKKPNAEPPMWTLKIIGRILEDGVDPDQPGFVQKTNPLYPKFSSFFKKVTISLDQRLYPENHIIIWEHARSPAPHEGFEVKRKGDKEFTVNIRLEMNYVPEKFKLSSALMEVLGIEVDTRPRIIAAIWHYVKARKLQNPNDPSFFNCDAQLQKVFGEDKVKFTMVSQKISQHLASPPPIHLEHKIKLSGNGPVGTACYDVLVDVPFPIQRELSALLANAEKSKEIDACDEAICAAIRKIHEHRRRRAFFLGFSQSPVEFINALIESQSRDLKLVAGEASRSVERERRSDFFNQPWVEDAVIRYLNRKPAAGSDAPGST >EOY02783 pep chromosome:Theobroma_cacao_20110822:4:2252163:2255208:1 gene:TCM_017181 transcript:EOY02783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit isoform 1 KKKKKGGIREREANKGSEVFLSTSVAAGLSFGSGEEAKQQKAKRLKISFTLSLVMSVNNNNPPKSLGASSSPFGNAGMVAPSMAGNPAFSQAQAQLSAGFQAQFQLSQVQALAQAQAQAQSKAHAHAQAQAQVAHAQFQAHLQAQGLSLNQSQNAGIGNLGSSSPSMSTPGSAKRILQKPPVRPPGVPMTNTMSPLRTMELTPAARRKKQKLPEKQLQDRVAAILPESALYTQLLEFEARVDAALARKKVDIQEALKNPPCVQKTLRIYVFNTFANQIKTIPKKPNAEPPMWTLKIIGRILEDGVDPDQPGFVQKTNPLYPKFSSFFKKVTISLDQRLYPENHIIIWEHARSPAPHEGFEVKRKGDKEFTVNIRLEMNYVPEKFKLSSALMEVLGIEVDTRPRIIAAIWHYVKARKLQNPNDPSFFNCDAQLQKVFGEDKVKFTMVSQKISQHLASPPPIHLEHKIKLSGNGPVGTACYDVLVDVPFPIQRELSALLANAEKSKEIDAC >EOY06413 pep chromosome:Theobroma_cacao_20110822:4:31841629:31843721:1 gene:TCM_021140 transcript:EOY06413 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MSLCFSINAFTLQSPKSQSYKTRFFSQFIKQIQVKSAPFTLPSRPFKCSGERFELVRALATKPVETAVSASYRSKIPKGINVLVMGSTGYIGKFVVKELVNRGFNVIAIARERSGIRGKNSKEDSLNDLQGASVCFSDVTNLDTLEKSLQNLGFPIDVVVSCLASRTGGVKDSWKIDYKATKNSLVAGKKFGASHFVLLSAICVQKPLLQFQRAKLKFEAELMKEAEEDGGVTFSIVRPTAFFKSLGGQVELVKDGKPYVMFGDGKLCACKPISEQDLASFIADCVLSEDKINQVLPIGGPGKALTPLEQGEILFKLLGKEPKFLKVPIGIMDFAIGILDFLVKIFPSMEDAAEFGKIGRYYAAESMLVLDPETGEYSAEKTPSYGKETLEEFFARVLREGMAGQELGEQSIF >EOY06414 pep chromosome:Theobroma_cacao_20110822:4:31841629:31844485:1 gene:TCM_021140 transcript:EOY06414 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MSLCFSINAFTLQSPKSQSYKTRFFSQFIKQIQVKSAPFTLPSRPFKCSGERFELVRALATKPVETAVSASYRSKIPKGINVLVMGSTGYIGKFVVKELVNRGFNVIAIARERSGIRGKNSKEDSLNDLQGASVCFSDVTNLDTLEKSLQNLGFPIDVVVSCLASRTGGVKDSWKIDYKATKNSLVAGKKFGASHFVLLSAICVQKPLLQFQRAKLKFEAELMKEAEEDGGVTFSIVRPTAFFKSLGGQVELVKDGKPYVMFGDGKLCACKPISEQDLASFIADCVLSEDKINQVLPIGGPGKALTPLEQGEILFKLLGKEPKFLKVPIGIMDFAIGILDFLVKIFPSMEDAAEFGKIGRYYAAESMLVLDPETGEYSAEKTPSYG >EOY02540 pep chromosome:Theobroma_cacao_20110822:4:1287711:1292225:1 gene:TCM_016990 transcript:EOY02540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 2 MSLLFLRPKLVSFFTATQSLRLLSSKSNNLNKKLIFSKPLSSIFFSTTSTPYPLQYDMIINAPTKSQPTPTRRRLSRPDSPNSAEEENPEKELGFDSWVEKKLTLDDEMDKSKRKYYRKRRKRMYGSDSEDDEKGKNEDGFVELKPKVVEFDRLHEREEELYFYDTFAYPWEKDKHYKMVYQLEKKYFPDQCFGKAFLEPGKSNEKNKDKGKSKKPGDDKEVEDKGLVFFEEEGNSGKDVKKEVTEKKVEEFFKCLKKVPYNDTEVSAGEPYLVSRNTELPPRWDGQYGTVVLVNKPKGWTSFTVCGKLRRLIKVKKVGHAGTLDPMATGLLIVCVGKATKFVDRYQGMIKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIKKTAASFLGEIWQVPPMFSAIKVGGEKMYDKARRGESIELSPRRISIFHFDIERSLEERQNLIFRVTCSKGTYIRSLCADLGKALGSCAHLTALRRDSIGEYSADDAWEFKELEEAITKGLKAAISGIGLIELFLHLIHL >EOY02541 pep chromosome:Theobroma_cacao_20110822:4:1287750:1292074:1 gene:TCM_016990 transcript:EOY02541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 2 MSLLFLRPKLVSFFTATQSLRLLSSKSNNLNKKLIFSKPLSSIFFSTTSTPYPLQYDMIINAPTKSQPTPTRRRLSRPDSPNSAEEENPEKELGFDSWVEKKLTLDDEMDKSKRKYYRKRRKRMYGSDSEDDEKGKNEDGFVELKPKVVEFDRLHEREEELYFYDTFAYPWEKDKHYKMVYQLEKKYFPDQCFGKAFLEPGKSNEKNKDKGKSKKPGDDKEVEDKGLVFFEEEGNSGKDVKKEVTEKKVEEFFKCLKKVPYNDTEVSAGEPYLVSRNTELPPRWDGQYGTVVLVNKPKGWTSFTVCGKLRRLIKVKKVGHAGTLDPMATGLLIVCVGKATKFVDRYQGMIKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIKKTAASFLGEIWQVPPMFSAIKVGGEKMYDKARRGESIELSPRRISIFHFDIERSLEERQNLIFRVTCSKGTYIRSLCADLGKALGSCAHLTALRRDSIDDAWEFKELEEAITKGLKAAISGIGLIELFLHLIHL >EOY02543 pep chromosome:Theobroma_cacao_20110822:4:1287750:1290488:1 gene:TCM_016990 transcript:EOY02543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 2 MSLLFLRPKLVSFFTATQSLRLLSSKSNNLNKKLIFSKPLSSIFFSTTSTPYPLQYDMIINAPTKSQPTPTRRRLSRPDSPNSAEEENPEKELGFDSWVEKKLTLDDEMDKSKRKYYRKRRKRMYGSDSEDDEKGKNEDGFVELKPKVVEFDRLHEREEELYFYDTFAYPWEKDKHYKMVYQLEKKYFPDQCFGKAFLEPGKSNEKNKDKGKSKKPGDDKEVEDKGLVFFEEEGNSGKDVKKEVTEKKVEEFFKCLKKVPYNDTEVSAGEPYLVSRNTELPPRWDGQYGTVVLVNKPKGWTSFTVCGKLRRLIKVKKVGHAGTLDPMATGLLIVCVGKATKFVDRYQGMIKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIKKTAASFLGEIWQVPPMFSAIKVRLCL >EOY02542 pep chromosome:Theobroma_cacao_20110822:4:1287750:1292074:1 gene:TCM_016990 transcript:EOY02542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 2 MSLLFLRPKLVSFFTATQSLRLLSSKSNNLNKKLIFSKPLSSIFFSTTSTPYPLQYDMIINAPTKSQPTPTRRRLSRPDSPNSAEEENPEKELGFDSWVEKKLTLDDEMDKSKRKYYRKRRKRMYGSDSEDDEKGKNEDGFVELKPKVVEFDRLHEREEELYFYDTFAYPWEKDKHYKMVYQLEKKYFPDQCFGKAFLEPGKSNEKNKDKGKSKKPGDDKEVEDKGLVFFEEEGNSGKDVKKEVTEKKVEEFFKCLKKVPYNDTEVSAGEPYLVSRNTELPPRWDGQYGTVVLVNKPKGWTSFTVCGKLRRLIKVKKVGHAGTLDPMATGLLIVCVGKATKFVDRYQGMIKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIKKTAASFLGEIWQVPPMFSAIKVENIQQMTHGSSRN >EOY04597 pep chromosome:Theobroma_cacao_20110822:4:25145194:25147285:-1 gene:TCM_019819 transcript:EOY04597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARQTLGQQRPPSIQTKTYINLQHTPCKTMPPLPNKSPSCDQSPPSPPPQHNLPQPQPIHPRTSRTSPLWQGPPSQKMTLWETHRTPHSKERLPSLGESLPLYTSDPLPEAKSSILKLPSPPNL >EOY06833 pep chromosome:Theobroma_cacao_20110822:4:33077731:33080180:1 gene:TCM_021440 transcript:EOY06833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Craniofacial development protein 1 isoform 2 SQFPSPLYLTTCSLCVARVFQRFLPLPLSCHPNSVFADFSGKIFDCLSFKQTPKPGIAFFLFPMATTTDGLDDSGNVNEKEGTLNESVKVDAMALNSESLREDAGAKARVDAMWEQMNKGIPKNALRQFSRDNSSTRSKTSPKASNNWMKYLQMKPPNPGQDKQTEAKSPSVVENGTSDKDQLGQVDKGISVENGLTVDTKFSQKTSNLSLPPKKTEGLNKDESLKEPGVMQNSISDEAKKLAAAALSAVKDAAAAAAAASNRGKIEITEVRDFAGQEIEIRKRIDADSKEAAEKAKAAAPSAVDAVLEQIKKKPKLSVLDKTKKDWGEFKEENKGMEEELDAYKKSSNQYLDKVSFLQRTDYREFERERDARLALQARRRPDMREDP >EOY06832 pep chromosome:Theobroma_cacao_20110822:4:33077672:33080532:1 gene:TCM_021440 transcript:EOY06832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Craniofacial development protein 1 isoform 2 MSQFPSPLYLTTCSLCVARVFQRFLPLPLSCHPNSVFADFSGKIFDCLSFKQTPKPGIAFFLFPMATTTDGLDDSGNVNEKEGTLNESVKVDAMALNSESLREDAGAKARVDAMWEQMNKGIPKNALRQFSRDNSSTRSKTSPKASNNWMKYLQMKPPNPGQDKQTEAKSPSVVENGTSDKDQLGQVDKGISVENGLTVDTKFSQKTSNKWMMQLSLPPKKTEGLNKDESLKEPGVMQNSISDEAKKLAAAALSAVKDAAAAAAAASNRGKIEITEVRDFAGQEIEIRKRIDADSKEAAEKAKAAAPSAVDAVLEQIKKKPKLSVLDKTKKDWGEFKEENKGMEEELDAYKKSSNQYLDKVSFLQRTDYREFERERDARLALQARRRPDMREDP >EOY02498 pep chromosome:Theobroma_cacao_20110822:4:1117493:1118165:1 gene:TCM_016961 transcript:EOY02498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFSKKPQVDGALDSEGKKWVIAGIAIRTSLKPINTKPRGKEIEEDEEEACSTTPTSKEARIPDKLPCPPAPRKRRPPSRCHYTGVREFFTPPDLETVFKLHVEKAN >EOY05496 pep chromosome:Theobroma_cacao_20110822:4:28776366:28777211:-1 gene:TCM_020482 transcript:EOY05496 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MFRRLCTSLFHPKPFSLLPLPKKPSFSTPQTLPKGLFFTSTIRMANTQKFHSIPSLDKASKPELLRALEFSLGSSFSSDSICPTPNPLIIVISGPSGVGKDAVIKRLREVRENLHFVVTATSRGMRPGEVNGKDYYFVSKEEFLSMVEKDELLEYALVYGDYKGIPKKQIREFMAKGCDIVLRVDIQGAETLRKILGDSGVFIFLVAESELALVERLIERKTESKEELLVRIATAREEVKYVNRFDYVVVNAQGKLEEAVNLVGSIIDAERAKVCQRSPFI >EOY05495 pep chromosome:Theobroma_cacao_20110822:4:28774601:28777452:-1 gene:TCM_020482 transcript:EOY05495 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MFRRLCTSLFHPKPFSLLPLPKKPSFSTPQTLPKGLFFTSTIRMANTQKFHSIPSLDKASKPELLRALEFSLGSSFSSDSICPTPNPLIIVISGPSGVGKDAVIKRLREVRENLHFVVTATSRGMRPGEVNGKDYYFVSKEEFLSMVEKDELLEYALVYGDYKGIPKKQIREFMAKGCDIVLRVDIQGAETLRKILGDSGVFIFLVAESELALVERLIERKTESKEELLVRIATAREEVKYVNRFDYVVVNAQGKLEEAVNLVGSIIDAERAKVCQRSPFI >EOY05466 pep chromosome:Theobroma_cacao_20110822:4:28652804:28653824:-1 gene:TCM_020460 transcript:EOY05466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7 MAEVKLHGFWASPFSQRVIWALKIKGVDYEYIEEDLSNKSELLLKYNPVYKKIPVLVHGGKPIAESLVILEYIEETWPENPLLPSDPYERAMARFWIQFVADKSPVFRAFFLSTGGEEQEKAARELLEVLKIIEEKALGEKQFFGGDTINLVDISYGVLAYWLKIMQDIIGVKVLEPNTLPRLHEWAQNFMEVAVIKETIPDRDKLLAHIRGVREKRVAEQFNK >EOY04092 pep chromosome:Theobroma_cacao_20110822:4:22012043:22012712:-1 gene:TCM_019359 transcript:EOY04092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELLQKTFPGTLKRYWRRRQYQRLNGEVVKSRKNVKVTRIGAGSRRFWRVKAIPKLRWKIASPVKLLTKLKNAYVNMMISLAGNVGSLNTENTFGGKRIPKARQVPVVYSSNEFDQRLVYEIYKALVATRELSAG >EOY05836 pep chromosome:Theobroma_cacao_20110822:4:29957247:29960161:-1 gene:TCM_020733 transcript:EOY05836 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MASSMLTGAENLKLIGGAAPTGLGFVGSNFHFRCLPQKGLLSYSKNQTSRILAPRCSVSSSRPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDEALEPADLYARNLTVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKEPLKECKIIEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKIGGKACIIGPVYPTFWLSRFFADMWMLFPKEEEYIEWFKKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKPLSGDSPLKLGPKAEDVQKPVNPFVFLLRFVLGAIAATYYVLVPIYMWLKDQIVPKGQPI >EOY05857 pep chromosome:Theobroma_cacao_20110822:4:30087737:30089866:-1 gene:TCM_020757 transcript:EOY05857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSLGTSKGILEIAKFGLYVTIPIVLMYTFANNTKNLQKVMGNRSYVVYPPEGPRPPSPEELREMARELARKKNIR >EOY05554 pep chromosome:Theobroma_cacao_20110822:4:28979377:28981652:1 gene:TCM_020528 transcript:EOY05554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MNLTLQVVLLLGGPGLELCPKLAASLLTPISGLHGHFDQAYGSKTCGSCFDGPKPGCNNNTCGLLPANTVTRTATGGEVAQDVVSVQSTDGKNPRKVVSVSKFLFTCGSTFLLGLAGGVKGMAGLGRTKISMSSQFAAAFSFHRKFAVCLSSSSSSNGVVFFGGGPYAFLPNNIDISKSLIDTPLILNPVSTAPAYFEGDPSSDYFIGVKGITINGKAVQLNEPLLAINKEGHGGTKISTVTPYTVMETSIYRAVVNAFIKEISKIPRVPAVAPLRACFNAKSFASTRLGPAVPQIDLVLQSKDVIWRIVGANSMVEVSNDVLCLGFVDGGLKPTTSIVIGGRQIENNLLQFDLATLRLGFSSSLLFQQTTCSNFNFASTA >EOY04186 pep chromosome:Theobroma_cacao_20110822:4:22467182:22469489:1 gene:TCM_019447 transcript:EOY04186 gene_biotype:protein_coding transcript_biotype:protein_coding description:MurB reductase, putative isoform 1 MFKTQQEGNRVSKKGKFPSQQSLSLSLMPLTSPKWLQCPSLSFTIPTSLFQHPRNSSTFLCNYCFKNKEQTQSWNGLKVIRGKKLLKDLTTWGIGGVCNYFVEVFDQTQLLSAIRYCHVNSIPYIIIGKGSNCLFDDLGFDGCLILNRIEFLERIDPGVYRVGSGFRFNQLGVLSCNEGFTGLEFSGGVPGTVGGATYMNAGANGQETASTIESIDIVTTEGAFQTLHRVDLSFGYRSSPFQDMKDLAAITAVTFRLQGSGSARKRQQELLKRRRITQPLGERSAGSVFRNPSSLGVSAAELIDKTGLKGFSIGGAMVSHIHANFFVNIGGSTSQDMLNLIAFVKDKVDKKFGIELEEEVLYFHPYCNLMNKERQL >EOY04187 pep chromosome:Theobroma_cacao_20110822:4:22467182:22469649:1 gene:TCM_019447 transcript:EOY04187 gene_biotype:protein_coding transcript_biotype:protein_coding description:MurB reductase, putative isoform 1 MFKTQQEGNRVSKKGKFPSQQSLSLSLMPLTSPKWLQCPSLSFTIPTSLFQHPRNSSTFLCNYCFKNKEQTQSWNGLKVIRGKKLLKDLTTWGIGGVCNYFVEVFDQTQLLSAIRYCHVNSIPYIIIGKGSNCLFDDLGFDGCLILNRIEFLERIDPGVYRVGSGFRFNQLGVLSCNEGFTGLEFSGGVPGTVGGATYMNAGANGQETASTIESIDIVTTEGAFQTLHRVDLSFGYRSSPFQDMKDLAAITAVTFRLQGSGSARKRQQELLKRRRITQPLGERSAGSVFRNPSSLGVSAAELIDKTGLKGFSIGGAMVSHIHANFFVNIGGSTSQDMLNLIAFVKDKVDKKFGIELEEEVLYFHPYCNLMNKERQL >EOY04188 pep chromosome:Theobroma_cacao_20110822:4:22467001:22469113:1 gene:TCM_019447 transcript:EOY04188 gene_biotype:protein_coding transcript_biotype:protein_coding description:MurB reductase, putative isoform 1 MFKTQQEGNRVSKKGKFPSQQSLSLSLMPLTSPKWLQCPSLSFTIPTSLFQHPRNSSTFLCNYCFKNKEQTQSWNGLKVIRGKKLLKDLTTWGIGGVCNYFVEVFDQTQLLSAIRYCHVNSIPYIIIGKGSNCLFDDLGFDGCLILNRIEFLERIDPGVYRVGSGFRFNQLGVLSCNEGFTGLEFSGGVPGTVGGATYMNAGANGQETASTIESIDIVTTEGAFQTLHRVDLSFGYRSSPFQDMKDLAAITAVTFRLQGSGSARKRQQELLKRRRITQPLGERSAGSVFRNPSSLGVSAAELIDKTGLKGFSIGGAMVSHIHANFFVNIGGSTSQDMLNLIAFVKDKVDKKFGIELEEEVLYFHPYCNLMNKERQL >EOY06935 pep chromosome:Theobroma_cacao_20110822:4:33399218:33408302:1 gene:TCM_021506 transcript:EOY06935 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase isoform 3 MATTNLQYLQNLFPRPTISPTCLVIGAPRMVFKCGCSSSGSSGQEDVFSLTSSSKYDVDYLGQSTKGDLNVNLEHLEAFGLDGQATLEGPIEQVARMETEEAEGLLRDLGIPSPSAVRLSPRGIFCSRTLNLRSISAIGYDMDYTLIQYNVMAWEGRAYDYCMDNLKNMGFPVEGLAFDPDLVIRGLVIDKEKGNLVKADRFGYVKRAMHGTKMLSTRAVSEMYGRELVDLRKESRWEFLNTLFSVSEAVAYMQMVDRLDEGAIPVDLGPLDCKGLYKAVGKALFRAHVEGQLKSEIMSKPELFVEPDPELPLALLDQKEAGKRLLLITNSDYHYTDKMMRHSFNKFLPNDMGWRDLFDMVIVSARKPEFFQMSHPLYEVVTGEGLMRPCFKAQTGGLYSGGSAQMVENSLNIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEEEYNALIHSRGHRATLVELINQKEIVGDLFNQLRLALQRRTKERPAQTLAATNMDDQELTESMQKLLIVMQRLDEKIAPMLDADGELFNKRWGFLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLNYTPFMYFRSQEQTLAHDSYSHHC >EOY06937 pep chromosome:Theobroma_cacao_20110822:4:33399366:33404935:1 gene:TCM_021506 transcript:EOY06937 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase isoform 3 MATTNLQYLQNLFPRPTISPTCLVIGAPRMVFKCGCSSSGSSGQEDVFSLTSSSKYDVDYLGQSTKGDLNVNLEHLEAFGLDGQATLEGPIEQVARMETEEAEGLLRDLGIPSPSAVRLSPRGIFCSRTLNLRSISAIGYDMDYTLIQYNVMAWEGRAYDYCMDNLKNMGFPVEGLAFDPDLVIRGLVIDKEKGNLVKADRFGYVKRAMHGTKMLSTRAVSEMYGRELVDLRKESRWEFLNTLFSVSEAVAYMQMVDRLDEGAIPVDLGPLDCKGLYKAVGKALFRAHVEGQLKSEIMSKPELFVEPDPELPLALLDQKEAGKRLLLITNSDYHYTDKMMRHSFNKFLPNDMGWRDLFDMVIVSARKPEFFQMSHPLYEVVTGEGLMRPCFKAQTGGLYSGGSAQMVENSLNIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEEESIEDDIIRTIEQSKRGIIELEYNALIHSRGHRATLVELINQKEIVGDLFNQLRLALQRRTKERPAQTLAATNMDDQELTESMQKLLIVMQRLDEKIAPMLDADGELFNKRWGFLSRAGLWDKSHLMRQIEKYGMLIYIHLGCPIF >EOY06936 pep chromosome:Theobroma_cacao_20110822:4:33399218:33408302:1 gene:TCM_021506 transcript:EOY06936 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase isoform 3 MATTNLQYLQNLFPRPTISPTCLVIGAPRMVFKCGCSSSGSSGQEDVFSLTSSSKYDVDYLGQSTKGDLNVNLEHLEAFGLDGQATLEGPIEQVARMETEEAEGLLRDLGIPSPSAVRLSPRGIFCSRTLNLRSISAIGYDMDYTLIQYNVMAWEGRAYDYCMDNLKNMGFPVEGLAFDPDLVIRGLVIDKEKGNLVKADRFGYVKRAMHGTKMLSTRAVSEMYGRELVDLRKESRWEFLNTLFSVSEAVAYMQMVDRLDEGAIPVDLGPLDCKGLYKAVGKALFRAHVEGQLKSEIMSKPELFVEPDPELPLALLDQKEAGKRLLLITNSDYHYTDKMMRHSFNKFLPNDMGWRDLFDMVIVSARKPEFFQMSHPLYEVVTGEGLMRPCFKAQTGGLYSGGSAQMVENSLNIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEEESIEDDIIRTIEQSKRGIIELEYNALIHSRGHRATLVELINQKEIVGDLFNQLRLALQRRTKERPAQTLAATNMDDQELTESMQKLLIVMQRLDEKIAPMLDADGELFNKRWGFLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLNYTPFMYFRSQEQTLAHDSYSHHC >EOY03444 pep chromosome:Theobroma_cacao_20110822:4:15694075:15708615:-1 gene:TCM_018509 transcript:EOY03444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSLDRGGVAIRPPHPGEVLNRHESMTSGKFTFTHCFEFIQNDKLIFIHQKKFKMDACKPVSAPMVLQRAIGLGVWMTPRAHQDLFSHLRAEFSLEILKAGAVKGKEIEVCYCCSSNQLADMMTKRLPTDKFPALRSKMGVFNIDLKETVARDAAMKWTWPTIFFFCVLANQAIML >EOY02320 pep chromosome:Theobroma_cacao_20110822:4:422126:427949:1 gene:TCM_016834 transcript:EOY02320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 9 isoform 2 MGETRKRGRKPKTPASTTEAMDFQYTAAAAAQNDAVTAQNDVFIAANDAVSTATANNDANNPPPARRGRGRPRKSGAGHTEDTEPHVAASPERRPYQNGGVVVEPPPQPVAKWESVAARVVPAMDAVVKVFCVHTEPNYSLPWQRKRQYSSSSSGFIIGGKRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVSDDEFWEGVSPVEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDVENIGYVIPTPVIMHFIQDYEKNGAYTGFPILGVEWQKMENPDLRLAMGMKPDQKGVRIRRIEPTAAESHLLKPSDIILSFDGVKVANDGTVPFRHGERIGFSYLVSQKYTGDTALVKVLRDSEIVAHDIKLATHKRLIPAHTNGKPPSYYIIAGFVFTAVTVPYLRSEYGKDYEFDAPVKLLDKHLHAMAESTDEQLVVVSQVLVADINIGYEEIVNTQVFLLSMANL >EOY02319 pep chromosome:Theobroma_cacao_20110822:4:422102:427510:1 gene:TCM_016834 transcript:EOY02319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 9 isoform 2 MGETRKRGRKPKTPASTTEAMDFQYTAAAAAQNDAVTAQNDVFIAANDAVSTATANNDANNPPPARRGRGRPRKSGAGHTEDTEPHVAASPERRPYQNGGVVVEPPPQPVAKWESVAARVVPAMDAVVKVFCVHTEPNYSLPWQRKRQYSSSSSGFIIGGKRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVSDDEFWEGVSPVEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDVENIGYVIPTPVIMHFIQDYEKNGAYTGFPILGVEWQKMENPDLRLAMGMKPDQKGVRIRRIEPTAAESHLLKPSDIILSFDGVKVANDGTVPFRHGERIGFSYLVSQKYTGDTALVKVLRDSEIVAHDIKLATHKRLIPAHTNGKPPSYYIIAGFVFTAVTVPYLRSEYGKDYEFDAPVKLLDKHLHAMAESTDEQLVVVSQVLVADINIGYEEIVNTQVLAFNGKLVKNLRSLAHMVENCNDEYLRFELEYQQIVVLQTKAAKAATLDILTTH >EOY02318 pep chromosome:Theobroma_cacao_20110822:4:421372:426986:1 gene:TCM_016834 transcript:EOY02318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 9 isoform 2 MGETRKRGRKPKTPASTTEAMDFQYTAAAAAQNDAVTAQNDVFIAANDAVSTATANNDANNPPPARRGRGRPRKSGAGHTEDTEPHVAASPERRPYQNGGVVVEPPPQPVAKWESVAARVVPAMDAVVKVFCVHTEPNYSLPWQRKRQYSSSSSGFIIGGKRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVSDDEFWEGVSPVEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDVENIGYVIPTPVIMHFIQDYEKNGAYTGFPILGVEWQKMENPDLRLAMGMKPDQKGVRIRRIEPTAAESHLLKPSDIILSFDGVKVANDGTVPFRHGERIGFSYLVSQKYTGDTALVKVLRDSEIVAHDIKLATHKRLIPAHTNGKPPSYYIIAGFVFTAVTVPYLRSEYGKDYEFDAPVKLLDKHLHAMAESTDEQLVVVSQVLVADINIGYEEIVNTQVLAFNGKLVKNLRSLAHMVENCNDEYLRFELEYQQIVVLQTKAAKAATLDILTTHCISSAMSDDLKT >EOY05212 pep chromosome:Theobroma_cacao_20110822:4:27616608:27624877:1 gene:TCM_020274 transcript:EOY05212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine--tRNA ligase / methionyl-tRNA synthetase / MetRS, putative isoform 4 MEDQNQTAPKLPIPGKRNVLITSALPYVNNVPHLGNIIGCVLSADVFARFCRLRGYNAIYICGTDEYGTTTETKAMEENCTPQEICDKYHALHREVYDWFDISFDKFGRTSSPDQTEVCQAIFKKLWENNWLSENTMQQLFCNTCQRFLADRLVEGSCPSEGCNYDSARGDQCEKCGKLLNPTELKDPRCKACQNTPHVRDTKHLFLELPKLEGKLVEYIDKMSVAGSWSQNAINTTQAWIKEGLKARCITRDLKWGVPVPHENFKDKVFYVWFDAPIGYVSITSCYTPDWEKWWKNPENVELFQFMGKDNVPFHTVMFPSTLLGTGENWTLMKSISVTEYLNYESGKFSKSKGIGVFGNDVKDTKIPVEVWRYYLLTNRPEVSDTLFTWDDLQAKLNNELLSNLGNFINRVLSFIAKPPGQGYDFIIPDTPDAESHPLTKALSEKVGNLVEQYIEAMEKVKLKQGLKTAMSISSEGNAYLQESEFWKLYKEDRPSCSIVMRTAVGLVHILACLLEPFIPSFSVEVFKQLNLPQEQISLSDEKGDVDNARRLWEIVPAGHKIGDPKPLFEELKSERVEELREQYAGSQADRRARAEADVAKTAEQLKKTEISEGKKQKRTTSTAGSKAKPTTTEPEITITRLDIRVGKIVKAQKHPDADSLYVEEIDVGEAHPRTVVSGLVKYIPLEEMQNRLVCVLCNLKPATMRGVKSHAMVLAASDSDHTKVELVEPPKSATVGERVTFPGFTGEPDDVLNPKKKVWETLQVDLHTDANRVACYKDIPLTTSAGVCKVSSISSGSIE >EOY05215 pep chromosome:Theobroma_cacao_20110822:4:27616564:27621901:1 gene:TCM_020274 transcript:EOY05215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine--tRNA ligase / methionyl-tRNA synthetase / MetRS, putative isoform 4 MEDQNQTAPKLPIPGKRNVLITSALPYVNNVPHLGNIIGCVLSADVFARFCRLRGYNAIYICGTDEYGTTTETKAMEENCTPQEICDKYHALHREVYDWFDISFDKFGRTSSPDQTEVCQAIFKKLWENNWLSENTMQQLFCNTCQRFLADRLVEGSCPSEGCNYDSARGDQCEKCGKLLNPTELKDPRCKACQNTPHVRDTKHLFLELPKLEGKLVEYIDKMSVAGSWSQNAINTTQAWIKEGLKARCITRDLKWGVPVPHENFKDKVFYVWFDAPIGYVSITSCYTPDWEKWWKNPENVELFQFMGKDNVPFHTVMFPSTLLGTGENWTLMKSISVTEYLNYESGKFSKSKGIGVFGNDVKDTKIPVEVWRYYLLTNRPEVSDTLFTWDDLQAKLNNELLSNLGNFINRVLSFIAKPPGQGYDFIIPDTPDAESHPLTKALSEKVGNLVEQYIEAMEKVKLKQGLKTAMSISSEGNAYLQESEFWKLYKEDRPSCSIVMRTAVGLVHILACLLEPFIPSFSVEVFKQLNLPQEQISLSDEKGDVDNARRLWEIVPAGHKIGDPKPLFEELD >EOY05213 pep chromosome:Theobroma_cacao_20110822:4:27616564:27624313:1 gene:TCM_020274 transcript:EOY05213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine--tRNA ligase / methionyl-tRNA synthetase / MetRS, putative isoform 4 MEDQNQTAPKLPIPGKRNVLITSALPYVNNVPHLGNIIGCVLSADVFARFCRLRGYNAIYICGTDEYGTTTETKAMEENCTPQEICDKYHALHREVYDWFDISFDKFGRTSSPDQTEVCQAIFKKLWENNWLSENTMQQLFCNTCQRFLADRLVEGSCPSEGCNYDSARGDQCEKCGKLLNPTELKDPRCKACQNTPHVRDTKHLFLELPKLEGKLVEYIDKMSVAGSWSQNAINTTQAWIKEGLKARCITRDLKWGVPVPHENFKDKVFYVWFDAPIGYVSITSCYTPDWEKWWKNPENVELFQFMGKDNVPFHTVMFPSTLLGTGENWTLMKSISVTEYLNYESGKFSKSKGIGVFGNDVKDTKIPVEVWRYYLLTNRPEVSDTLFTWDDLQAKLNNELLSNLGNFINRVLSFIAKPPGQGYDFIIPDTPDAESHPLTKALSEKVGNLVEQYIEAMEKVKLKQGLKTAMSISSEGNAYLQESEFWKLYKEDRPSCSIVMRTAVGLVHILACLLEPFIPSFSVEVFKQLNLPQEQISLSDEKGDVDNARRLWEIVPAGHKIGDPKPLFEELKSERVEELREQYAGSQADRRARAEADVAKTAEQLKKTEISEGKKQKRTTSTAGSKAKPTTTEPEITITRLDIRVGKIVKAQKHPDADSLYVEEIDVGEAHPRTVVSGLNRLVCVLCNLKPATMRGVKSHAMVLAASDSDHTKVELVEPPKSATVGERVTFPGFTGEPDDVLNPKKKVWETLQVDLHTDANRVACYKDIPLTTSAGVCKVSSISSGSIE >EOY05214 pep chromosome:Theobroma_cacao_20110822:4:27616564:27623283:1 gene:TCM_020274 transcript:EOY05214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine--tRNA ligase / methionyl-tRNA synthetase / MetRS, putative isoform 4 MEDQNQTAPKLPIPGKRNVLITSALPYVNNVPHLGNIIGCVLSADVFARFCRLRGYNAIYICGTDEYGTTTETKAMEENCTPQEICDKYHALHREVYDWFDISFDKFGRTSSPDQTEVCQAIFKKLWENNWLSENTMQQLFCNTCQRFLADRLVEGSCPSEGCNYDSARGDQCEKCGKLLNPTELKDPRCKACQNTPHVRDTKHLFLELPKLEGKLVEYIDKMSVAGSWSQNAINTTQAWIKEGLKARCITRDLKWGVPVPHENFKDKVMFPSTLLGTGENWTLMKSISVTEYLNYESGKFSKSKGIGVFGNDVKDTKIPVEVWRYYLLTNRPEVSDTLFTWDDLQAKLNNELLSNLGNFINRVLSFIAKPPGQGYDFIIPDTPDAESHPLTKALSEKVGNLVEQYIEAMEKVKLKQGLKTAMSISSEGNAYLQESEFWKLYKEDRPSCSIVMRTAVGLVHILACLLEPFIPSFSVEVFKQLNLPQEQISLSDEKGDVDNARRLWEIVPAGHKIGDPKPLFEELKSERVEELREQYAGSQADRRARAEADVAKTAEQLKKTEISEGKKQKRTTSTAGSKAKPTTTEPEITITRLDIRVGKIVKAQKHPDADSLYVEEIDVGEAHPRTVVSGLVKYIPLEEMQNRLVCVLCNLK >EOY02637 pep chromosome:Theobroma_cacao_20110822:4:1641836:1646463:1 gene:TCM_017059 transcript:EOY02637 gene_biotype:protein_coding transcript_biotype:protein_coding description:F28J7.5 protein isoform 1 MAKFLAFMMLLSFWGSFISGQNPGLEAPYRIHTLFSVECQNYFDWQTVGFMHSFKKAQQPGPVTRLLSCTEEEKKKYRGMDLAPTLEVPSMSRHPKTGDWYPAINKPAGIVHWLKHSKDAQNVDWVVILDADMILRGPIIPWELGAEKGWPVSAYYGYLVGCDNILAKLHTKHPELCDKVGGLLAMHIEDLRVLAPLWLSKTEEVREDRAHWATNITGDIYGKGWISEMYGYSFGAAEAGLRHKINDDLMIYPGYTPRPGVEPILLHYGLPIRVGNWSFSKLDHHEDSIVYDCGRLFPEPPYPREVKSMESDPNKRRGLFLSIECINTMNEGLLIHHARHGCLKPKWSKYLSFLKSKTFAELTQPKLLTPSRVQTEVAEEEKGIDEPIRPYPKIHTLFSTECTPYFDWQTVGLMHSFRLSGQPGNITRLLSCTDDDLKQYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVVHWLNHVNTDAEYIVILDADMILRGPITPWEFKAARGRPVSTPYEYLIGCDNELAKLHTRHPEACDKVGGVIIMHIDDLREFALLWLLKTEEVRADKAHYATNITGDIYESGWISEMYGYSFVEVTAPYKQQ >EOY02636 pep chromosome:Theobroma_cacao_20110822:4:1641735:1647857:1 gene:TCM_017059 transcript:EOY02636 gene_biotype:protein_coding transcript_biotype:protein_coding description:F28J7.5 protein isoform 1 MAKFLAFMMLLSFWGSFISGQNPGLEAPYRIHTLFSVECQNYFDWQTVGFMHSFKKAQQPGPVTRLLSCTEEEKKKYRGMDLAPTLEVPSMSRHPKTGDWYPAINKPAGIVHWLKHSKDAQNVDWVVILDADMILRGPIIPWELGAEKGWPVSAYYGYLVGCDNILAKLHTKHPELCDKVGGLLAMHIEDLRVLAPLWLSKTEEVREDRAHWATNITGDIYGKGWISEMYGYSFGAAEAGLRHKINDDLMIYPGYTPRPGVEPILLHYGLPIRVGNWSFSKLDHHEDSIVYDCGRLFPEPPYPREVKSMESDPNKRRGLFLSIECINTMNEGLLIHHARHGCLKPKWSKYLSFLKSKTFAELTQPKLLTPSRVQTEVAEEEKGIDEPIRPYPKIHTLFSTECTPYFDWQTVGLMHSFRLSGQPGNITRLLSCTDDDLKQYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVVHWLNHVNTDAEYIVILDADMILRGPITPWEFKAARGRPVSTPYEYLIGCDNELAKLHTRHPEACDKVGGVIIMHIDDLREFALLWLLKTEEVRADKAHYATNITGDIYESGWISEMYGYSFGAAELKLRHHISSKILLYPGYVPEPGVKYRVFHYGLEFKVGNWSFDKANWRDTDVVNRCWATFLDPPDPSTVEQTDENLRQRDLLSIECAKTLNEALLLHHKRRNCPDPTALSTPELDTTKDITNSRKFGTFAGNDDIKSNPVPRNHSQESSLPRVRDGLFSTLRFWIILLWVFSGLGFMLVMLVVFSGYKGKGSSKGKSNKNRRRSHTGFLNMKERSRKSEVSL >EOY06021 pep chromosome:Theobroma_cacao_20110822:4:30653775:30655721:1 gene:TCM_020873 transcript:EOY06021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLKFVIPLTYHFWSGAQTYSLPYLELAATVNVLGGGFMLLPPPSYRLSSCDDIKVFDLMMLFMII >EOY02383 pep chromosome:Theobroma_cacao_20110822:4:621516:623372:1 gene:TCM_016876 transcript:EOY02383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, zinc-binding dehydrogenase family protein isoform 1 MASSATLPSQMKAWTYAEYGKSSDVLKLGSDVAVPQLNDDQVLVKVVAAGINPVDFKRMLGLIKAADSPLPIVPGYDVAGVVVKVGSQVKKFKEGDEVYGDINEKALDHPKQFGTIAEYTAVEERLLAFKPKNLSFIEAAGLPLAIETAHEGLERSQFSAGKSILVLGGAGGVGTMIIQVNYISKQVYGASKVAATSSTGKLDLLKSLGADLPIDYTKENYEDLPEKFDVVYDAVGECERAVKAVKEGGHVVAI >EOY02382 pep chromosome:Theobroma_cacao_20110822:4:620385:623893:1 gene:TCM_016876 transcript:EOY02382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, zinc-binding dehydrogenase family protein isoform 1 MASSATLPSQMKAWTYAEYGKSSDVLKLGSDVAVPQLNDDQVLVKVVAAGINPVDFKRMLGLIKAADSPLPIVPGYDVAGVVVKVGSQVKKFKEGDEVYGDINEKALDHPKQFGTIAEYTAVEERLLAFKPKNLSFIEAAGLPLAIETAHEGLERSQFSAGKSILVLGGAGGVGTMIIQLAKQVYGASKVAATSSTGKLDLLKSLGADLPIDYTKENYEDLPEKFDVVYDAVGECERAVKAVKEGGHVVAIDVTSPVVPPAVFFVLTSNGAVLEKLNPFLESGKVKPMIDPKGVFPFSQTREAFAYLETGRVTGKVVIYL >EOY04834 pep chromosome:Theobroma_cacao_20110822:4:26138370:26141854:1 gene:TCM_020003 transcript:EOY04834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT1G06050) TAIR;Acc:AT1G06050] MASAEGSNDPEWVERVRSEGAVPLLEPDNCPNGWACPPGDNFMVRGPEYLSTKIKVPGGKYLLKSLGFDWVKTSTKLGGVLSHHNNRVRKVVDDAFPTGDKPFIWAFNLQLPTKDNYSAIAYFVATEPIPEGSLMDQFLKGDDAFRNSRLKLIANIVEGPWIVRKAVGEQAICIIGRALSCKYCISENFLEVDIDIGSSMVASAIVRLAFGYITTLTVDLAFLIESQTESELPEQLLGAVRFSELNPDSAQLIELSTGGSSGNTQASLPTRLWKSFEQGFSHLLHPGAQESDSISTSTHVNGTAGRDDSDGDHKK >EOY04833 pep chromosome:Theobroma_cacao_20110822:4:26138367:26141888:1 gene:TCM_020003 transcript:EOY04833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT1G06050) TAIR;Acc:AT1G06050] MASAEGSNDPEWVERVRSEGAVPLLEPDNCPNGWACPPGDNFMVRGPEYLSTKIKVPGGKYLLKSLGFDWVKTSTKLGGVLSHHNNRVRKVVDDAFPTGDKPFIWAFNLQLPTKDNYSAIAYFVATEPIPEGSLMDQFLKGDDAFRNSRLKLIANIVEGPWIVRKAVGEQAICIIGRALSCKYCISENFLEVDIDIGSSMVASAIVRLAFGYITTLTVDLAFLIESQTESELPEQLLGAVRFSELNPDSAQLIELSTGGSSGNTQASLPTRLWKSFEQGFSHLLHPGAQESDSISTSTHVNGTAGRDDSDGDHKK >EOY04585 pep chromosome:Theobroma_cacao_20110822:4:25122592:25129973:1 gene:TCM_019813 transcript:EOY04585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase, putative isoform 1 MEISIKESTMVRPAQETPNQWLWMSNMDEIVIRSHIPTVYFYIPDGSSNCLDVERLKGSLSRILVPFYPVAGRLRFDENERLELICNAEGVLFIEAETSSEMDLLTGDFTDSSQVSRLVPAVDYSGGISSYPLFILQVTSFKCGGISIGVGVHHSLADGTSALNFINSWSDMARGIPLCLEPLFDRTLLRARVPPTPRFHHIEYEPSPPLITESESHPKSSIVSVFNITADHVNTLKAKSQENIADTSTTKFSTFNVLAAHIWRCVTRARFLSNDQPTKLYMAVDGRSRLHPPLPSEYFGNVIFTTATIAVAGDLQSEPITDTEKRIQKALTQMDNEYLRSILDYMELPGVKDLARGSRTIHSPNLGIVSWMRLPIYEADFGWGRPVYMRPAKVLVEGLVYITRTPKNDGSLSVVTRLEASEMKLFGELLYKF >EOY04590 pep chromosome:Theobroma_cacao_20110822:4:25120947:25129801:1 gene:TCM_019813 transcript:EOY04590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase, putative isoform 1 MEISIKESTMVRPAQETPNQWLWMSNMDEIVIRSHIPTVYFYIPDGSSNCLDVERLKGSLSRILVPFYPVAGRLRFDENERLELICNAEGVLFIEAETSSEMDLLTGDFTDSSQVSRLVPAVDYSGGISSYPLFILQVTSFKCGGISIGVGVHHSLADGTSALNFINSWSDMARGIPLCLEPLFDRTLLRARVPPTPRFHHIEYEPSPPLITESESHPKSSIVSVFNITADHVNTLKAKSQENIADTSTTKFSTFNVLAAHIWRCVTRARFLSNDQPTKLYMAVDGRSRLHPPLPSEYFGNVIFTTATIAVAGDLQSEPITDTEKRIQKALTQMDNEYLRSILDYMELPGVKDLARGSRTIHSPNLGIVSWMRLPIYEADFGWGRPVYMRPAKVLVEGLVYITRTPKNDGSLSVVTRLEASEMKLFGELLYKF >EOY04592 pep chromosome:Theobroma_cacao_20110822:4:25127895:25130145:1 gene:TCM_019813 transcript:EOY04592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase, putative isoform 1 MEISIKESTMVRPAQETPNQWLWMSNMDEIVIRSHIPTVYFYIPDGSSNCLDVERLKGSLSRILVPFYPVAGRLRFDENERLELICNAEGVLFIEAETSSEMDLLTGDFTDSSQVSRLVPAVDYSGGISSYPLFILQVTSFKCGGISIGVGVHHSLADGTSALNFINSWSDMARGIPLCLEPLFDRTLLRARVPPTPRFHHIEYEPSPPLITESESHPKSSIVSVFNITADHVNTLKAKSQENIADTSTTKFSTFNVLAAHIWRCVTRARFLSNDQPTKLYMAVDGRSRLHPPLPSEYFGNVIFTTATIAVAGDLQSEPITDTEKRIQKALTQMDNEYLRSILDYMELPGVKDLARGSRTIHSPNLGIVSWMRLPIYEADFGWGRPVYMRPAKVLVEGLVYITRTPKNDGSLSVVTRLEASEMKLFGELLYKF >EOY04593 pep chromosome:Theobroma_cacao_20110822:4:25127860:25129869:1 gene:TCM_019813 transcript:EOY04593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase, putative isoform 1 MEISIKESTMVRPAQETPNQWLWMSNMDEIVIRSHIPTVYFYIPDGSSNCLDVERLKGSLSRILVPFYPVAGRLRFDENERLELICNAEGVLFIEAETSSEMDLLTGDFTDSSQVSRLVPAVDYSGGISSYPLFILQVTSFKCGGISIGVGVHHSLADGTSALNFINSWSDMARGIPLCLEPLFDRTLLRARVPPTPRFHHIEYEPSPPLITESESHPKSSIVSVFNITADHVNTLKAKSQENIADTSTTKFSTFNVLAAHIWRCVTRARFLSNDQPTKLYMAVDGRSRLHPPLPSEYFGNVIFTTATIAVAGDLQSEPITDTEKRIQKALTQMDNEYLRSILDYMELPGVKDLARGSRTIHSPNLGIVSWMRLPIYEADFGWGRPVYMRPAKVLVEGLVYITRTPKNDGSLSVVTRLEASEMKLFGELLYKF >EOY04587 pep chromosome:Theobroma_cacao_20110822:4:25123952:25130145:1 gene:TCM_019813 transcript:EOY04587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase, putative isoform 1 MEISIKESTMVRPAQETPNQWLWMSNMDEIVIRSHIPTVYFYIPDGSSNCLDVERLKGSLSRILVPFYPVAGRLRFDENERLELICNAEGVLFIEAETSSEMDLLTGDFTDSSQVSRLVPAVDYSGGISSYPLFILQVTSFKCGGISIGVGVHHSLADGTSALNFINSWSDMARGIPLCLEPLFDRTLLRARVPPTPRFHHIEYEPSPPLITESESHPKSSIVSVFNITADHVNTLKAKSQENIADTSTTKFSTFNVLAAHIWRCVTRARFLSNDQPTKLYMAVDGRSRLHPPLPSEYFGNVIFTTATIAVAGDLQSEPITDTEKRIQKALTQMDNEYLRSILDYMELPGVKDLARGSRTIHSPNLGIVSWMRLPIYEADFGWGRPVYMRPAKVLVEGLVYITRTPKNDGSLSVVTRLEASEMKLFGELLYKF >EOY04594 pep chromosome:Theobroma_cacao_20110822:4:25127719:25129973:1 gene:TCM_019813 transcript:EOY04594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase, putative isoform 1 MSNMDEIVIRSHIPTVYFYIPDGSSNCLDVERLKGSLSRILVPFYPVAGRLRFDENERLELICNAEGVLFIEAETSSEMDLLTGDFTDSSQVSRLVPAVDYSGGISSYPLFILQVTSFKCGGISIGVGVHHSLADGTSALNFINSWSDMARGIPLCLEPLFDRTLLRARVPPTPRFHHIEYEPSPPLITESESHPKSSIVSVFNITADHVNTLKAKSQENIADTSTTKFSTFNVLAAHIWRCVTRARFLSNDQPTKLYMAVDGRSRLHPPLPSEYFGNVIFTTATIAVAGDLQSEPITDTEKRIQKALTQMDNEYLRSILDYMELPGVKDLARGSRTIHSPNLGIVSWMRLPIYEADFGWGRPVYMRPAKVLVEGLVYITRTPKNDGSLSVVTRLEASEMKLFGELLYKF >EOY04591 pep chromosome:Theobroma_cacao_20110822:4:25121989:25129964:1 gene:TCM_019813 transcript:EOY04591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase, putative isoform 1 MEISIKESTMVRPAQETPNQWLWMSNMDEIVIRSHIPTVYFYIPDGSSNCLDVERLKGSLSRILVPFYPVAGRLRFDENERLELICNAEGVLFIEAETSSEMDLLTGDFTDSSQVSRLVPAVDYSGGISSYPLFILQVTSFKCGGISIGVGVHHSLADGTSALNFINSWSDMARGIPLCLEPLFDRTLLRARVPPTPRFHHIEYEPSPPLITESESHPKSSIVSVFNITADHVNTLKAKSQENIADTSTTKFSTFNVLAAHIWRCVTRARFLSNDQPTKLYMAVDGRSRLHPPLPSEYFGNVIFTTATIAVAGDLQSEPITDTEKRIQKALTQMDNEYLRSILDYMELPGVKDLARGSRTIHSPNLGIVSWMRLPIYEADFGWGRPVYMRPAKVLVEGLVYITRTPKNDGSLSVVTRLEASEMKLFGELLYKF >EOY04586 pep chromosome:Theobroma_cacao_20110822:4:25120812:25130145:1 gene:TCM_019813 transcript:EOY04586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase, putative isoform 1 MEISIKESTMVRPAQETPNQWLWMSNMDEIVIRSHIPTVYFYIPDGSSNCLDVERLKGSLSRILVPFYPVAGRLRFDENERLELICNAEGVLFIEAETSSEMDLLTGDFTDSSQVSRLVPAVDYSGGISSYPLFILQVTSFKCGGISIGVGVHHSLADGTSALNFINSWSDMARGIPLCLEPLFDRTLLRARVPPTPRFHHIEYEPSPPLITESESHPKSSIVSVFNITADHVNTLKAKSQENIADTSTTKFSTFNVLAAHIWRCVTRARFLSNDQPTKLYMAVDGRSRLHPPLPSEYFGNVIFTTATIAVAGDLQSEPITDTEKRIQKALTQMDNEYLRSILDYMELPGVKDLARGSRTIHSPNLGIVSWMRLPIYEADFGWGRPVYMRPAKVLVEGLVYITRTPKNDGSLSVVTRLEASEMKLFGELLYKF >EOY04588 pep chromosome:Theobroma_cacao_20110822:4:25120812:25130145:1 gene:TCM_019813 transcript:EOY04588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase, putative isoform 1 MEISIKESTMVRPAQETPNQWLWMSNMDEIVIRSHIPTVYFYIPDGSSNCLDVERLKGSLSRILVPFYPVAGRLRFDENERLELICNAEGVLFIEAETSSEMDLLTGDFTDSSQVSRLVPAVDYSGGISSYPLFILQVTSFKCGGISIGVGVHHSLADGTSALNFINSWSDMARGIPLCLEPLFDRTLLRARVPPTPRFHHIEYEPSPPLITESESHPKSSIVSVFNITADHVNTLKAKSQENIADTSTTKFSTFNVLAAHIWRCVTRARFLSNDQPTKLYMAVDGRSRLHPPLPSEYFGNVIFTTATIAVAGDLQSEPITDTEKRIQKALTQMDNEYLRSILDYMELPGVKDLARGSRTIHSPNLGIVSWMRLPIYEADFGWGRPVYMRPAKVLVEGLVYITRTPKNDGSLSVVTRLEASEMKLFGELLYKF >EOY04589 pep chromosome:Theobroma_cacao_20110822:4:25123911:25130145:1 gene:TCM_019813 transcript:EOY04589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase, putative isoform 1 MEISIKESTMVRPAQETPNQWLWMSNMDEIVIRSHIPTVYFYIPDGSSNCLDVERLKGSLSRILVPFYPVAGRLRFDENERLELICNAEGVLFIEAETSSEMDLLTGDFTDSSQVSRLVPAVDYSGGISSYPLFILQVTSFKCGGISIGVGVHHSLADGTSALNFINSWSDMARGIPLCLEPLFDRTLLRARVPPTPRFHHIEYEPSPPLITESESHPKSSIVSVFNITADHVNTLKAKSQENIADTSTTKFSTFNVLAAHIWRCVTRARFLSNDQPTKLYMAVDGRSRLHPPLPSEYFGNVIFTTATIAVAGDLQSEPITDTEKRIQKALTQMDNEYLRSILDYMELPGVKDLARGSRTIHSPNLGIVSWMRLPIYEADFGWGRPVYMRPAKVLVEGLVYITRTPKNDGSLSVVTRLEASEMKLFGELLYKF >EOY03514 pep chromosome:Theobroma_cacao_20110822:4:16629266:16633229:1 gene:TCM_018609 transcript:EOY03514 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 9 MAGQRNSYGKRAHSQSDYSENGSNKRRNPGDDREQFVIDSEDTVYRYLCPVRKIGSIIGRGGEIVKQLRAETKSKIRIGETVPGSEERVVTIYSSRDETNALEDGNNFVSPAQDALFRVHDKVVAEDLHGDEDSEGHQVTARLLVPSDQIGCVIGKGGQIVQNIRSETGAQIRILKDEHLPSCALSTDELVQISGEATVVKKALHQIASRLHENPSRSQHLLASAMSNAYPAGGALLGPAAGAQIVGIAPLVGPYGGYKGDTGEWPRSLYSAPRDEMSSKEFSLRLVCPTANIGGVIGKGGAIINQIRQESGAVIKVDSSATEGDDCLITVSAKEFFEDTYSPTIEAAVRLQPRCSEKVERDSGIISFTTRLLVPTSRIGCLIGKGGSIVTEMRRITKANIRILSKESLPKIASEDDEMVQIAGDLDVAKDALVQITTRLRANVFDREGAVSALVPVLPYLPVPTEGTDSLSYESRDSKRHGRGHSYSGGYGSSDLGASDSYGSYGGPQIGGSSSAYGAYGGYSSGRGGPSGHTPVS >EOY03511 pep chromosome:Theobroma_cacao_20110822:4:16629266:16634639:1 gene:TCM_018609 transcript:EOY03511 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 9 MAGQRNSYGKRAHSQSDYSENGSNKRRNPGDDREQFVIDSEDTVYRYLCPVRKIGSIIGRGGEIVKQLRAETKSKIRIGETVPGSEERVVTIYSSRDETNALEDGNNFVSPAQDALFRVHDKVVAEDLHGDEDSEGHQVTARLLVPSDQIGCVIGKGGQIVQNIRSETGAQIRILKDEHLPSCALSTDELVQISGEATVVKKALHQIASRLHENPSRSQHLLASAMSNAYPAGGALLGPAAGAQIVGIAPLVGPYGGYKGDTGEWPRSLYSAPRDEMSSKEFSLRLVCPTANIGGVIGKGGAIINQIRQESGAVIKVDSSATEGDDCLITVSAKEFFEDTYSPTIEAAVRLQPRCSEKVERDSGIISFTTRLLVPTSRIGCLIGKGGSIVTEMRRITKANIRILSKESLPKIASEDDEMVQIAGDLDVAKDALVQITTRLRANVFDREGAVSALVPVLPYLPVPTEGTDSLSYESRDSKRHGRGHSYSGGYGSSDLGASDSYGSYGGPQIGGSSSAYGAYGGYSSGRGGPSGLSSHTPVSRRKNYGY >EOY03515 pep chromosome:Theobroma_cacao_20110822:4:16629266:16632872:1 gene:TCM_018609 transcript:EOY03515 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 9 MAGQRNSYGKRAHSQSDYSENGSNKRRNPGDDREQFVIDSEDTVYRYLCPVRKIGSIIGRGGEIVKQLRAETKSKIRIGETVPGSEERVVTIYSSRDETNALEDGNNFVSPAQDALFRVHDKVVAEDLHGDEDSEGHQVTARLLVPSDQIGCVIGKGGQIVQNIRSETGAQIRILKDEHLPSCALSTDELVQISGEATVVKKALHQIASRLHENPSRSQHLLASAMSNAYPAGGALLGPAAGAQIVGIAPLVGPYGGYKGDTGEWPRSLYSAPRDEMSSKEFSLRLVCPTANIGGVIGKGGAIINQIRQESGAVIKVDSSATEGDDCLITVSAKEFFEDTYSPTIEAAVRLQPRCSEKVERDSGIISFTTRLLVPTSRIGCLIGKGGSIVTEMRRITKANIRILSKESLPKIASEDDEMVQIAGDLDVAKDALVQITTRLRANVFDREGAVSALVPVLPYLPVPTEGTDSLSYESRDSKRHGRGHSYSGGYGSSDLGASDSYGSYGGPQVFLITLPLYRLVVVAVL >EOY03510 pep chromosome:Theobroma_cacao_20110822:4:16629534:16634878:1 gene:TCM_018609 transcript:EOY03510 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 9 VVAEDLHGDEDSEGHQVTARLLVPSDQIGCVIGKGGQIVQNIRSETGAQIRILKDEHLPSCALSTDELVQISGEATVVKKALHQIASRLHENPSRSQHLLASAMSNAYPAGGALLGPAAGAQIVGIAPLVGPYGGYKGDTGEWPRSLYSAPRDEMSSKEFSLRLVCPTANIGGVIGKGGAIINQIRQESGAVIKVDSSATEGDDCLITVSAKEFFEDTYSPTIEAAVRLQPRCSEKVERDSGIISFTTRLLVPTSRIGCLIGKGGSIVTEMRRITKANIRILSKESLPKIASEDDEMVQIAGDLDVAKDALVQITTRLRANVFDREGAVSALVPVLPYLPVPTEGTDSLSYESRDSKRHGRGHSYSGGYGSSDLGASDSYGSYGGPQIGGSSSAYGAYGGYSSGRGGPSGLSSHTPVSRRKNYGY >EOY03509 pep chromosome:Theobroma_cacao_20110822:4:16629266:16634639:1 gene:TCM_018609 transcript:EOY03509 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 9 MAGQRNSYGKRAHSQSDYSENGSNKRRNPGDDREQFVIDSEDTVYRYLCPVRKIGSIIGRGGEIVKQLRAETKSKIRIGETVPGSEERVVTIYSSRDETNALEDGNNFVSPAQDALFRVHDKVVAEDLHGDEDSEGHQVTARLLVPSDQIGCVIGKGGQIVQNIRSETGAQIRILKDEHLPSCALSTDELVQISGEATVVKKALHQIASRLHENPSRSQHLLASAMSNAYPAGGALLGPAAGAQIVGIAPLVGPYGGYKGDTGEWPRSLYSAPRDEMSSKEFSLRLVCPTANIGGVIGKGGAIINQIRQESGAVIKVDSSATEGDDCLITVSAKEFFEDTYSPTIEAAVRLQPRCSEKVERDSGIISFTTRLLVPTSRIGCLIGKGGSIVTEMRRITKANIRILSKESLPKIASEDDEMVQIAGDLDVAKDALVQITTRLRANVFDREGAVSALVPVLPYLPVPTEGTDSLSYESRDSKRHGRGHSYSGGYGSSDLGASDSYGSYGGPQIGGSSSAYGAYGGYSSGRGGPSGLSSHTPVSRRKNYGY >EOY03508 pep chromosome:Theobroma_cacao_20110822:4:16630409:16633040:1 gene:TCM_018609 transcript:EOY03508 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 9 MAGQRNSYGKRAHSQSDYSENGSNKRRNPGDDREQFVIDSEDTVYRYLCPVRKIGSIIGRGGEIVKQLRAETKSKIRIGETVPGSEERVVTIYSSRDETNALEDGNNFVSPAQDALFRVHDKVVAEDLHGDEDSEGHQVTARLLVPSDQIGCVIGKGGQIVQNIRSETGAQIRILKDEHLPSCALSTDELVQISGEATVVKKALHQIASRLHENPSRSQHLLASAMSNAYPAGGALLGPAAGAQIVGIAPLVGPYGGYKGDTGEWPRSLYSAPRDEMSSKEFSLRLVCPTANIGGVIGKGGAIINQIRQESGAVIKVDSSATEGDDCLITVSAKEFFEDTYSPTIEAAVRLQPRCSEKVERDSGIISFTTRLLVPTSRIGCLIGKGGSIVTEMRRITKANIRILSKESLPKIASEDDEMVQIAGDLDVAKDALVQITTRLRANVFDREGAVSALVPVLPYLPVPTEGTDSLSYESRDSKRHGRGHSYSGGYGSSDLGASDSYGSYGGPQIGGSSSAYGAYGGYSSGRGGPSGLRERLHYYYI >EOY03507 pep chromosome:Theobroma_cacao_20110822:4:16629266:16634639:1 gene:TCM_018609 transcript:EOY03507 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 9 MAGQRNSYGKRAHSQSDYSENGSNKRRNPGDDREQFVIDSEDTVYRYLCPVRKIGSIIGRGGEIVKQLRAETKSKIRIGETVPGSEERVVTIYSSRDETNALEDGNNFVSPAQDALFRVHDKVVAEDLHGDEDSEGHQVTARLLVPSDQIGCVIGKGGQIVQNIRSETGAQIRILKDEHLPSCALSTDELVQISGEATVVKKALHQIASRLHENPSRSQHLLASAMSNAYPAGGALLGPAAGAQIVGIAPLVGPYGGYKGDTGEWPRSLYSAPRDEMSSKEFSLRLVCPTANIGGVIGKGGAIINQIRQESGAVIKVDSSATEGDDCLITVSAKEFFEDTYSPTIEAAVRLQPRCSEKVERDSGIISFTTRLLVPTSRIGCLIGKGGSIVTEMRRITKANIRILSKESLPKIASEDDEMVQIAGDLDVAKDALVQITTRLRANVFDREGAVSALVPVLPYLPVPTEGTDSLSYESRDSKRHGRGHSYSGGYGSSDLGASDSYGSYGGPQIGGSSSAYGAYGGYSSGRGGPSGLSSHTPVSRRKNYGY >EOY03512 pep chromosome:Theobroma_cacao_20110822:4:16629266:16634639:1 gene:TCM_018609 transcript:EOY03512 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 9 MAGQRNSYGKRAHSQSDYSENGSNKRRNPGDDREQFVIDSEDTVYRYLCPVRKIGSIIGRGGEIVKQLRAETKSKIRIGETVPGSEERVVTIYSSRDETNALEDGNNFVSPAQDALFRVHDKVVAEDLHGDEDSEGHQVTARLLVPSDQIGCVIGKGGQIVQNIRSETGAQIRILKDEHLPSCALSTDELVQISGEATVVKKALHQIASRLHENPSRSQHLLASAMSNAYPAGGALLGPAAGAQIVGIAPLVGPYGGYKGDTGEWPRSLYSAPRDEMSSKEFSLRLVCPTANIGGVIGKGGAIINQIRQESGAVIKVDSSATEGDDCLITVSAKEFFEDTYSPTIEAAVRLQPRCSEKVERDSGIISFTTRLLVPTSRIGCLIGKGGSIVTEMRRITKANIRILSKESLPKIASEDDEMVQIAGDLDVAKDALVQITTRLRANVFDREGAVSALVPVLPYLPVPTEGTDSLSYESRDSKRHGRGHSYSGGYGSSDLGASDSYGSYGGPQIGGSSSAYGAYGGYSSGRGGPSVIITLFPGAKTMGTKIW >EOY03513 pep chromosome:Theobroma_cacao_20110822:4:16629266:16634835:1 gene:TCM_018609 transcript:EOY03513 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 9 MAGQRNSYGKRAHSQSDYSENGSNKRRNPGDDREQFVIDSEDTVYRYLCPVRKIGSIIGRGGEIVKQLRAETKSKIRIGETVPGSEERVVTIYSSRDETNALEDGNNFVSPAQDALFRVHDKVVAEDLHGDEDSEGHQVTARLLVPSDQIGCVIGKGGQIVQNIRSETGAQIRILKDEHLPSCALSTDELVQISGEATVVKKALHQIASRLHENPSRSQHLLASAMSNAYPAGGALLGPAAGAQIVGIAPLVGPYGGYKGDTGEWPRSLYSAPRDEMSSKEFSLRLVCPTANIGGVIGKGGAIINQIRQESGAVIKVDSSATEGDDCLITVSAKEFFEDTYSPTIEAAVRLQPRCSEKVERDSGIISFTTRLLVPTSRIGCLIGKGGSIVTEMRRITKANIRILSKESLPKIASEDDEMVQIAGDLDVAKDALVQITTRLRANVFDREGAVSALVPVLPYLPVPTEGTDSLSYESRDSKRHGRGHSYSGGYGSSDLGASDSYGSYGGPQIGGSSSAYGAYGGYSSGRGGPSVIITLFPGAKTMGTKIW >EOY05987 pep chromosome:Theobroma_cacao_20110822:4:30534028:30537610:1 gene:TCM_020844 transcript:EOY05987 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein MVIAKTDEVQVELKPEYDRTIELKAFDDTKAGVKGLVDAGIKEVPRIFQHPPDQSEKISVSGVTQVRIPVIDLEGVKKDPGTRQEIVEKVRDASKTLGFFQVVNHGIPLSVLEEMKDGARRFFEQDLEVKKQFHTRDYTKRVAYNSNFDLYNSPAANWRDTVSSLMAPDPPMPEELPEVIRDIMMEYSKQVMHLGYLLFELLSEALGLHPDHLRDMDCAKGLVMLSHYYPACSRPELTLGATKHADNDFLTVLLQDHIGGLQVFHENQWVDVPPTPGALVINIGDLLKLISNDAFVSVEHRVLANSVGARVSVACFFSTFRLPDLRPYGPIKELLSEDNPPKYRETTVREYVDYVHAKGLDGTSALPHFKL >EOY05178 pep chromosome:Theobroma_cacao_20110822:4:27493528:27498873:1 gene:TCM_020251 transcript:EOY05178 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 10 isoform 1 MTMKPSNNIWIRCQQCPCGDWKCYVKYEGDDQTSVSSQLIKNETTSASASSEAVFTPYVGQIFKSDDDAFEYYSNFARKNGFSIRKARSTESQNLGIYRRDFVCYRSGFNQPRKKANVEHPRDRKSVRCGCDAKLYLTKEIVEGVTQWYVSQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPVNRIVKVLELEKGVQPGQLPFIEKDVRNFVRTCKKTVQENDALLTEKRENDTLELLEACKAMSVRDANFVYDYTTDENNKVENIAWSYGDSVHAYTVFGDVITFDTTYRSITYGLLLGVWFGIDNHGKPIFFGCVLLQDESSNSFTWALQTFLHFMRGRHPQTILTDLDSSLRDAIARELPNTKHVICLWHVLSKLSSWFSLPLGSQYVEFKAEFDTLCHSVGIEEFEHQWNLLVARFGLASDKHIALLFSYRTSWLLSYIRGYFLARSMTADFSQSLDAFLKRILSGQTCLQSFFEQVGVAADLRNQSREGMQYMHIKTCLPIEENARSILTPYAFNALQHEIVLSMQYATTEMGNGSYLVQHYKKMDGEYLVIWIPQEEQIHCSCKEFEHSGILCRHCLRVLIVKNYFEIPEKYVLFRWRLESSLVPVEDQNAQWSSDECVQAFHSLAATLLTESLFTKERFNHVHRELTRLLDYVKDMPVCNEFALNMAANNISES >EOY05179 pep chromosome:Theobroma_cacao_20110822:4:27493528:27498873:1 gene:TCM_020251 transcript:EOY05179 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 10 isoform 1 MTMKPSNNIWIRCQQCPCGDWKCYVKYEGDDQTSVSSQLIKNETTSASASSEAVFTPYVGQIFKSDDDAFEYYSNFARKNGFSIRKARSTESQNLGIYRRDFVCYRSGFNQPRKKANVEHPRDRKSVRCGCDAKLYLTKEIVEGVTQWYVSQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPVNRIVKVLELEKGVQPGQLPFIEKDVRNFVRTCKKTVQENDALLTEKRENDTLELLEACKAMSVRDANFVYDYTTDENNKVENIAWSYGDSVHAYTVFGDVITFDTTYRSITYGLLLGVWFGIDNHGKPIFFGCVLLQDESSNSFTWALQTFLHFMRGRHPQTILTDLDSSLRDAIARELPNTKHVICLWHVLSKLSSWFSLPLGSQYVEFKAEFDTLCHSVGIEEFEHQWNLLVARFGLASDKHIALLFSYRTSWLLSYIRGYFLARSMTADFSQSLDAFLKRILSGQTCLQSFFEQVGVAADLRNQSREGMQYMHIKTCLPIEENARSILTPYAFNALQHEIVLSMQYATTEMGNGSYLVQHYKKMDGEYLVIWIPQEEQIHCSCKEFEHSGILCRHCLRVLIVKNYFEIPEKYVLFRWRLESSLVPVEDQNAQWSSDECVQAFHSLAATLLTESLFTKERFNHVHRELTRLLDYVKDMPVCNEFALNMAANNISES >EOY06737 pep chromosome:Theobroma_cacao_20110822:4:32800121:32805729:1 gene:TCM_021374 transcript:EOY06737 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAS domain-containing protein tyrosine kinase family protein METPPAEELLRKIQELEAGHAHLKQEMSRLKQSGESKPDSARQRSHSTSPQRPRFPGAAAAASWKKGSGSFRHSSPLQRESRSSGTVNGGESGGGGRTGNIGPAAVNFTNGQYLNILQSLGQSVHIFDLSGRIIYWNRTAEKLYGYSAAEALGQDAIELLVDPRDFAVAHNIFHRVTMGESWTGQFPVKNKMGERFSAVATNTPFYDDDGTLVGIICVSTDSRPFQEMRVALSAERQPEGDSTFSRSKNAVSAKLGLDPQQPLQTAIASKITNLASKVSNKVKSRMRTGENCFDREGVCGDSHCSQHGFSDAACSDHKEDATSSGASTPRGDVHPSAFGVFSPFDEKSPVKTSRDSGDESEGKPAIQKLITLMGKKGISWPWKGNDREASEARTTRFVWPWLGNDQESETFQQKGPFSGTKAEVHVNESNRPVNIEATGSWSSSVNVNSTSSVSSCGSTSSSAVNKVDMDTDCLDYEILWEDLTIGDQIGQGSCGTVYYGLWYGSDVAVKVFSKQEYSDDVIHSFRQEVSLMKRLRHPNVLLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNTTKLDWRRRVHMALDIARGVNYLHHCNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHETFLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSFGVILWELATEKIPWDNLNSMQVIGAVGFMNQRLEIPKEVDPRWASIIESCCHSDPQCRPAFQELLEKLRDLQRQYTIQFQQARNLAGDGSQKES >EOY06375 pep chromosome:Theobroma_cacao_20110822:4:31705932:31711832:-1 gene:TCM_021117 transcript:EOY06375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional 3-dehydroquinate dehydratase/shikimate dehydrogenase isoform 2 MDTLNPLLVSSSAGLNMESRGGVWKNPTLICVPIMADSIAKMVVDMAKAKASSADLVEIRLDTLNSFNPYEDLKVLIKECPLPTLFTYRPVWEGGQYDGDEKERLDVLRLAMELGADYIDVELKVAHDFMKSIDGKKPEKLKVIVSSHNYQSTPSVEELGNLVARIQSTRADIVKIVTTALEITDVARIFQITVHSQVPIIGLVMGERGLISRILCAKFGGYLTFGTLEAGVVSAPGQPTINDLLNLYNFRQLGPDTKVYGVIGKPVGHSKSPMLYNEAFKSAGFNGVYVHLLVDDLSTFLQSYSSTDFAGFSCTIPHKEAAVKCCDEVDPIAKSIGAVNCIIRRQSDGKLFGYNTDYVGAISAIEDGLPARLNTSNTAGSPLAGKRFVVIGAGGAGKALAYGAKQKGARVVIANRTYERARELADIIGGDALSLADLACFHPEEGMILANTTSIGMQPNIDDTPIPKDALKYYSLVFDAIYTPKITRLLREAEESGATIVSGVEMFIGQAYEQFERFTELPAPKELFRKTVSKI >EOY06377 pep chromosome:Theobroma_cacao_20110822:4:31706305:31711849:-1 gene:TCM_021117 transcript:EOY06377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional 3-dehydroquinate dehydratase/shikimate dehydrogenase isoform 2 MDTLNPLLVSSSAGLNMESRGGVWKNPTLICVPIMADSIAKMVVDMAKAKASSADLVEIRLDTLNSFNPYEDLKVLIKECPLPTLFTYRPVWEGGQYDGDEKERLDVLRLAMELGADYIDVELKVAHDFMKSIDGKKPEKLKVIVSSHNYQSTPSVEELGNLVARIQSTRADIVKIVTTALEITDVARIFQITVHSQVPIIGLVMGERGLISRILCAKFGGYLTFGTLEAGVVSAPGQPTINDLLNLYNFRQLGPDTKVYGVIGKPVGHSKSPMLYNEAFKSAGFNGVYVHLLVDDLSTFLQSYSSTDFAGFSCTIPHKEAAVKCCDEVDPIAKSIGAVNCIIRRQSDGKLFGYNTDYVGAISAIEDGLPARLNTSNTAGSPLAGKRFVVIGAGGAGKALAYGAKQKGARVVIANRTYGIWFFLLCIAY >EOY06379 pep chromosome:Theobroma_cacao_20110822:4:31707277:31711570:-1 gene:TCM_021117 transcript:EOY06379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional 3-dehydroquinate dehydratase/shikimate dehydrogenase isoform 2 MDTLNPLLVSSSAGLNMESRGGVWKNPTLICVPIMADSIAKMVVDMAKAKASSADLVEIRLDTLNSFNPYEDLKVLIKECPLPTLFTYRPVWEGGQYDGDEKERLDVLRLAMELGADYIDVELKVAHDFMKSIDGKKPEKLKVIVSSHNYQSTPSVEELGNLVARIQSTRADIVKIVTTALEITDVARIFQITVHSQVPIIGLVMGERGLISRILCAKFGGYLTFGTLEAGVVSAPGQPTINDLLNLYNFRQLGPDTKVYGVIGKPVGHSKSPMLYNEAFKSAGFNGVYVHLLVDDLSTFLQSYSSTDFAGFSCTIPHKEAAVKCCDEVDPIAKSIGAVNCIIRRQSDGKLFGYNTDYVGAISAIEDGLPGLLPFLSYVVFN >EOY06378 pep chromosome:Theobroma_cacao_20110822:4:31706960:31711849:-1 gene:TCM_021117 transcript:EOY06378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional 3-dehydroquinate dehydratase/shikimate dehydrogenase isoform 2 MDTLNPLLVSSSAGLNMESRGGVWKNPTLICVPIMADSIAKMVVDMAKAKASSADLVEIRLDTLNSFNPYEDLKVLIKECPLPTLFTYRPVWEGGQYDGDEKERLDVLRLAMELGADYIDVELKVAHDFMKSIDGKKPEKLKVIVSSHNYQSTPSVEELGNLVARIQSTRADIVKIVTTALEITDVARIFQITVHSQVPIIGLVMGERGLISRILCAKFGGYLTFGTLEAGVVSAPGQPTINDLLNLYNFRQLGPDTKVYGVIGKPVGHSKSPMLYNEAFKSAGFNGVYVHLLVDDLSTFLQSYSSTDFAGFSCTIPHKEAAVKCCDEVDPIAKSIGAVNCIIRRQSDGKLFGYNTDYVGAISAIEDGLPD >EOY06376 pep chromosome:Theobroma_cacao_20110822:4:31706208:31711570:-1 gene:TCM_021117 transcript:EOY06376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional 3-dehydroquinate dehydratase/shikimate dehydrogenase isoform 2 MDTLNPLLVSSSAGLNMESRGGVWKNPTLICVPIMADSIAKMVVDMAKAKASSADLVEIRLDTLNSFNPYEDLKVLIKECPLPTLFTYRPVWEGGQYDGDEKERLDVLRLAMELGADYIDVELKVAHDFMKSIDGKKPEKLKVIVSSHNYQSTPSVEELGNLVARIQSTRADIVKIVTTALEITDVARIFQITVHSQVPIIGLVMGERGLISRILCAKFGGYLTFGTLEAGVVSAPGQPTINDLLNLYNFRQLGPDTKVYGVIGKPVGHSKSPMLYNEAFKSAGFNGVYVHLLVDDLSTFLQSYSSTDFAGFSSCTIPHKEAAVKCCDEVDPIAKSIGAVNCIIRRQSDGKLFGYNTDYVGAISAIEDGLPARLNTSNTAGSPLAGKRFVVIGAGGAGKALAYGAKQKGARVVIANRTYERARELADIIGGDALSLADLACFHPEEGMILANTTSIGMQPNIDDTPIPKDALKYYSLVFDAIYTPKITRLLREAEESGATIVSGVEMFIGQAYEQFERFTELPGAKGAVSENCVKDLANLSMCTQFFTIHFLRRQCDGSTSHL >EOY06137 pep chromosome:Theobroma_cacao_20110822:4:31027028:31037042:1 gene:TCM_020955 transcript:EOY06137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclopropane-fatty-acyl-phospholipid synthase isoform 4 MRTAVIGGGISGLVSAYVLAKSGVNVVLYEKEDYLGGHAKTVNFDGVDLDLGFMVFNRVTYPNMMEFFESLGVDMEASDMSFAVSLDEGKGCEWGSRNGLSSLFAKKMNILNPYFWKMLREISKFKDDVISYLEVLENNPDIDRNETLGQFIESRGYSELFQKAYLVPICGSIWSCPTERVMGFSAFSILSFCRNHHLLQLFGRPQWMTVRWRSHRYVNKVRKELESRGCQIRTGCEVHSVLTTAEGCTVLCGDDSQETYEGCVMAVHAPDALRLLGNQATYDELRVLGAFQYVYSDIFLHRDKNLMPKNPAAWSAWNFLGSTDKKVCLTYWLNVLQNLGETSLPFLVTLNPDYIPQQTLLKWKTGHPVPSVAATKASLELDQIQGKRGIWFCGAYQGYGFHEDGLKAGTVAANGVLGKSCSILSNPKHMVPSLVETGARLFVTRFLSHFILTGSVILLEEGGTMFTFEGTSTKCPLKTVLKVHNPHIYWKVMTEADLGLADAYINGEFSFVDKKEGLLNLIMILIANRDLNSSNSKLSKQRGWWTPLLFTAGLTSAKYFLKHVLRHNSLTQARRNISRHYDLSNDLFALFLDETMTYSCAVFKTEDEDLKDAQQRKISLLIEKARIDSKHEILEIGCGWGSLAIEVVKRTGCKYTGITLSEEQLKFAENIVKEARLQDNIRFQLCDYRQLPSTNKYDRIISCEMVEAVGHEYMEDFFSCCESVLAEDGLLVLQFISIPEERYDEYRRSSDFIKEYIFPGGCLPSLTRITSAMSAASRLCVEHVENIGLHYYQTLRHWRKNFLEKQSKILALGFNEKFIRTWEYYFDYCAAGFKSNTLGNYQVVFSRPGNVAALGNPYKRFPTAS >EOY06135 pep chromosome:Theobroma_cacao_20110822:4:31026310:31037259:1 gene:TCM_020955 transcript:EOY06135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclopropane-fatty-acyl-phospholipid synthase isoform 4 MRTAVIGGGISGLVSAYVLAKSGVNVVLYEKEDYLGGHAKTVNFDGVDLDLGFMVFNRVTYPNMMEFFESLGVDMEASDMSFAVSLDEGKGCEWGSRNGLSSLFAKKMNILNPYFWKMLREISKFKDDVISYLEVLENNPDIDRNETLGQFIESRGYSELFQKAYLVPICGSIWSCPTERVMGFSAFSILSFCRNHHLLQLFGRPQWMTVRWRSHRYVNKVRKELESRGCQIRTGCEVHSVLTTAEGCTVLCGDDSQETYEGCVMAVHAPDALRLLGNQATYDELRVLGAFQYVYSDIFLHRDKNLMPKNPAAWSAWNFLGSTDKKVCLTYWLNVLQNLGETSLPFLVTLNPDYIPQQTLLKWKTGHPVPSVAATKASLELDQIQGKRGIWFCGAYQGYGFHEDGLKAGTVAANGVLGKSCSILSNPKHMVPSLVETGARLFVTRFLSHFILTGSVILLEEGGTMFTFEGTSTKCPLKTVLKVHNPHIYWKVMTEADLGLADAYINGEFSFVDKKEGLLNLIMILIANRDLNSSNSKLSKQRGWWTPLLFTAGLTSAKYFLKHVLRHNSLTQARRNISRHYDLSNDLFALFLDETMTYSCAVFKTEDEDLKDAQQRKISLLIEKARIDSKHEILEIGCGWGSLAIEVVKRTGCKYTGITLSEEQLKFAENIVKEARLQDNIRFQLCDYRQLPSTNKYDRIISCEMVEAVGHEYMEDFFSCCESVLAEDGLLVLQFISIPEERYDEYRRSSDFIKEYIFPGGCLPSLTRITSAMSAASRLCVEHVENIGLHYYQTLRHWRKNFLEKQSKILALGFNEKFIRTWEYYFDYCAAGFKSNTLGNYQVVFSRPGNVAALGNPYKRFPTAS >EOY06139 pep chromosome:Theobroma_cacao_20110822:4:31027007:31034582:1 gene:TCM_020955 transcript:EOY06139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclopropane-fatty-acyl-phospholipid synthase isoform 4 MRTAVIGGGISGLVSAYVLAKSGVNVVLYEKEDYLGGHAKTVNFDGVDLDLGFMVFNRVTYPNMMEFFESLGVDMEASDMSFAVSLDEGKGCEWGSRNGLSSLFAKKMNILNPYFWKMLREISKFKDDVISYLEVLENNPDIDRNETLGQFIESRGYSELFQKAYLVPICGSIWSCPTERVMGFSAFSILSFCRNHHLLQLFGRPQWMTVRWRSHRYVNKVRKELESRGCQIRTGCEVHSVLTTAEGCTVLCGDDSQETYEGCVMAVHAPDALRLLGNQATYDELRVLGAFQYVYSDIFLHRDKNLMPKNPAAWSAWNFLGSTDKKVCLTYWLNVLQNLGETSLPFLVTLNPDYIPQQTLLKWKTGHPVPSVAATKASLELDQIQGKRGIWFCGAYQGYGFHEDGLKAGTVAANGVLGKSCSILSNPKHMVPSLVETGARLFVTRFLSHFILTGSVILLEEGGTMFTFEGTSTKCPLKTVLKVHNPHIYWKVMTEADLGLADAYINGEFSFVDKKEGLLNLIMILIANRDLNSSNSKLSKQRGWWTPLLFTAGLTSAKYFLKHVLRHNSLTQARRNISRHYDLSNDLFALFLDETMTYSCAVFK >EOY06138 pep chromosome:Theobroma_cacao_20110822:4:31026876:31037024:1 gene:TCM_020955 transcript:EOY06138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclopropane-fatty-acyl-phospholipid synthase isoform 4 MRTAVIGGGISGLVSAYVLAKSGVNVVLYEKEDYLGGHAKTVNFDGVDLDLGFMVFNRVTYPNMMEFFESLGVDMEASDMSFAVSLDEGKGCEWGSRNGLSSLFAKKMNILNPYFWKMLREISKFKDDVISYLEVLENNPDIDRNETLGQFIESRGYSELFQKAYLVPICGSIWSCPTERVMGFSAFSILSFCRNHHLLQLFGRPQWMTVRWRSHRYVNKVRKELESRGCQIRTGCEVHSVLTTAEGCTVLCGDDSQETYEGCVMAVHAPDALRLLGNQATYDELRVLGAFQYVYSDIFLHRDKNLMPKNPAAWSAWNFLGSTDKKVCLTYWLNVLQNLGETSLPFLVTLNPDYIPQQTLLKWKTGHPVPSVAATKASLELDQIQGKRGIWFCGAYQGYGFHEDGLKAGTVAANGVLGKSCSILSNPKHMVPSLVETGARLFVTRFLSHFILTGSVILLEEGGTMFTFEGTSTKCPLKTVLKVHNPHIYWKVMTEADLGLADAYINGEFSFVDKKEGLLNLIMILIANRDLNSSNSKLSKQRGWWTPLLFTAGLTSAKYFLKHVLRHNSLTQARRNISRHYDLSNDLFALFLDETMTYSCAVFKTEDEDLKDAQQRKISLLIEKARIDSKHEILEIGCGWSSYLYQKSAMMSTGEVQILSRNTYSPVDAYLH >EOY06136 pep chromosome:Theobroma_cacao_20110822:4:31026310:31037259:1 gene:TCM_020955 transcript:EOY06136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclopropane-fatty-acyl-phospholipid synthase isoform 4 MRTAVIGGGISGLVSAYVLAKSGVNVVLYEKEDYLGGHAKTVNFDGVDLDLGFMVFNRVTYPNMMEFFESLGVDMEASDMSFAVSLDEGKGCEWGSRNGLSSLFAKKMNILNPYFWKMLREISKFKDDVISYLEVLENNPDIDRNETLGQFIESRGYSELFQKAYLVPICGSIWSCPTERVMGFSAFSILSFCRNHHLLQLFGRPQWMTVRWRSHRYVNKVRKELESRGCQIRTGCEVHSVLTTAEGCTVLCGDDSQETYEGCVMAVHAPDALRLLGNQATYDELRVLGAFQYVYSDIFLHRDKNLMPKNPAAWSAWNFLGSTDKKVCLTYWLNVLQNLGETSLPFLVTLNPDYIPQQTLLKWKTGHPVPSVAATKASLELDQIQGKRGIWFCGAYQGYGFHEDGLKAGTVAANGVLGKSCSILSNPKHMVPSLVETGARLFVTRFLSHFILTGSVILLEEGGTMFTFEGTSTKCPLKTVLKVHNPHIYWKVMTEADLGLADAYINGEFSFVDKKEGLLNLIMILIANRDLNSSNSKLSKQRGWWTPLLFTAGLTSAKYFLKHVLRHNSLTQARRNISRHYDLSNDLFALFLDETMTYSCAVFKTEDEDLKDAQQRKISLLIEKARIDSKHEILEIGCGWGSLAIEVVKRTGCKYTGITLSEEQLKFAENIVKEARLQDNIRFQLCDYRQLPSTNKYDRIISCEMVEAVGHEYMEDFFSCCESVLAEDGLLVLQFISIPEERYDEYRRSSDFIKEYIFPGGCLPSLTRITSAMSAASRLCVEHVENIGLHYYQTLRHWRKNFLEKQSKILALGFNEKFIRTWEYYFDYCAAGFKSNTLGNYQVVFSRPGNVAALGNPYKRFPTAS >EOY04989 pep chromosome:Theobroma_cacao_20110822:4:26745469:26749718:-1 gene:TCM_020119 transcript:EOY04989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Processing isoform 2 MTVTAGIGYALLALGPSLSLFVSVISKKPFLILTLLSSTLVWLISLIILSGIWRAFLPLKSTTWWPFAILIFSSVAFQEGLRLLFWKVYKRLEDILDAFADRVSKPRLYLTDKMQIALAGGLGHGVAHAVFFCVSLLTPAFGPATYFVDRCSQIPFFLVSAIIALAFVTIHTFSMVIAFNGYAEGNKVDQLFVPSVHLAAGMMTLINFASGGCIIGIPLLFLMAILTLMHCGRMVWRSLTENQRQGMGNSCSLCGKTALTSFFNIQSCIYFVQFNGH >EOY04991 pep chromosome:Theobroma_cacao_20110822:4:26746260:26749718:-1 gene:TCM_020119 transcript:EOY04991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Processing isoform 2 MTVTAGIGYALLALGPSLSLFVSVISKKPFLILTLLSSTLVWLISLIILSGIWRAFLPLKSTTWWPFAILIFSSVAFQEGLRLLFWKVYKRLEDILDAFADRVSKPRLYLTDKMQIALAGGLGHGVAHAVFFCVSLLTPAFGPATYFVDRCSQIPFFLVSAIIALAFVTIHTFSMVIAFNGYAEGNKVDQLFVPSVHLAAGMMKDQCYKQALMLVVCKQAELQEGFSCWSLEGTMFSLL >EOY04992 pep chromosome:Theobroma_cacao_20110822:4:26747275:26749718:-1 gene:TCM_020119 transcript:EOY04992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Processing isoform 2 MTVTAGIGYALLALGPSLSLFVSVISKKPFLILTLLSSTLVWLISLIILSGIWRAFLPLKSTTWWPFAILIFSSVAFQEGLRLLFWKVYKRLEDILDAFADRVSKPRLYLTDKMQIALAGGLGHGVAHAVFFCVSLLTPAFGPATYFVDRCSQIPFFLVSAIIALAFVTIHTFSMVIAFNGYAEGNKVDQLFVPSVHLAAGMMVGTNMVGS >EOY04990 pep chromosome:Theobroma_cacao_20110822:4:26745911:26749718:-1 gene:TCM_020119 transcript:EOY04990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Processing isoform 2 MTVTAGIGYALLALGPSLSLFVSVISKKPFLILTLLSSTLVWLISLIILSGIWRAFLPLKSTTWWPFAILIFSSVAFQEGLRLLFWKVYKRLEDILDAFADRVSKPRLYLTDKMQIALAGGLGHGVAHAVFFCVSLLTPAFGPATYFVDRCSQIPFFLVSAIIALAFVTIHTFSMVIAFNGYAEGNKVDQLFVPSVHLAAGMMFSQDFDKFCIWWLHYWDSSSLLDGNIDIDALWEDGVEKFN >EOY03034 pep chromosome:Theobroma_cacao_20110822:4:3753923:3756011:-1 gene:TCM_017441 transcript:EOY03034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVIRGSAGISRTWLILGAKRLSFQTINIDESTCADDHLYKGRMFSSKSYRACTQGFRDVMRSMVAIDATHLKGRFKGVLFVVDCKDANECIYLVAFGIGYVEDKDSWMWFLSKLHDVVGCLQNTMFISYQHLSMINYGAKKVENKAATKQGGKQDKMFLAAARIKPM >EOY02262 pep chromosome:Theobroma_cacao_20110822:4:193549:196408:1 gene:TCM_016788 transcript:EOY02262 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MLAKVLNCSFLDADDFHPLSNKEKMRQGIPLSEEDRIPWLEMLRDVLKENLVVGKTVVLGCSSLQKHYREILRCADAAYEHGSYASKVQFVLLDAQAEVLAGRLEKRAAEGNHFMPVTLLQSQLDLLHIDDAEGIFRVDATLSPQVIVSTIQALLLSKQAIH >EOY02264 pep chromosome:Theobroma_cacao_20110822:4:193452:196482:1 gene:TCM_016788 transcript:EOY02264 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MGVSGAGKSTIGDMLAKVLNCSFLDADDFHPLSNKEKMRQGIPLSEEDRIPWLEMLRDVLKENLVVGKTVVLGCSSLQKHYREILRCADAAYEHGSYASKVQFVLLDAQAEVLAGRLEKRAAEGNHFMPVTLLQSQLDLLHIDDAEGIFRVDATLSPQVIVSTIQALLLSKQAIH >EOY02260 pep chromosome:Theobroma_cacao_20110822:4:192183:196337:1 gene:TCM_016788 transcript:EOY02260 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MASDHKVGKVVVIMGVSGAGKSTIGDMLAKVLNCSFLDADDFHPLSNKEKMRQGIPLSEEDRIPWLEMLRDVLKENLVVGKTVVLGCSSLQKHYREILRCADAAYEHGSYASKVQFVLLDAQAEVLAGRLEKRAAEGNHFMPVTLLQSQLDLLHIDDAEGIFRVDATLSPQVIVSTIQALLLSKQAIH >EOY02263 pep chromosome:Theobroma_cacao_20110822:4:192196:196330:1 gene:TCM_016788 transcript:EOY02263 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MLAKVLNCSFLDADDFHPLSNKEKMRQGIPLSEEDRIPWLEMLRDVLKENLVVGKTVVLGCSSLQKHYREILRCADAAYEHGSYASKVQFVLLDAQAEVLAGRLEKRAAEGNHFMPVTLLQSQLDLLHIDDAEGIFRVDATLSPQVIVSTIQALLLSKQAIH >EOY02261 pep chromosome:Theobroma_cacao_20110822:4:192196:196342:1 gene:TCM_016788 transcript:EOY02261 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MASDHKGKVVVIMGVSGAGKSTIGDMLAKVLNCSFLDADDFHPLSNKEKMRQGIPLSEEDRIPWLEMLRDVLKENLVVGKTVVLGCSSLQKHYREILRCADAAYEHGSYASKVQFVLLDAQAEVLAGRLEKRAAEGNHFMPVTLLQSQLDLLHIDDAEGIFRVDATLSPQVIVSTIQALLLSKQAIH >EOY04753 pep chromosome:Theobroma_cacao_20110822:4:25788236:25790749:1 gene:TCM_019937 transcript:EOY04753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nam protein 1, putative MNNSSKGFGFRPSDEELIGHLRNRILSGGGYFVQDITDLGIDICKWEPWDLPGLIMKISSDSVWYFFYPVTYKNPKSKPKMMNKVNDKRKPINRATKEGKWKPSGNPVKVRARDSNKQIGIKRHLYFFISEVNKKNDNRSKVKTTNSYHKKTPWILYEYELTDVHPSLQNEFFLGKLMKQSESTNISSSKGETSQQLPSNSLGNPIPTPEVQLVFDEPLVEMEVSNGYDWIQNQSSVSEQVPVDNPEERCNRANFVNDVNDYEVSNIPSTFENYVAEDAIPQNLQVDYPEERSNQHNVIAENEGLDLSYNFSSHPIPDNLFDFDSSYWDGIYLDELFGALEAPNNHDGVQNQSSTNQQDADEFRNSNFINNEIASPDETSKAAAAEIGSNLPSLGMTESSYPMESFRKRSCREHEGSSADIETEVAPAQAKKSRI >EOY04918 pep chromosome:Theobroma_cacao_20110822:4:26461540:26467378:1 gene:TCM_020062 transcript:EOY04918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MKSRTAAAMGSGKVEKKQNARQNPRNNRKPLGPKSDIPKSKSKKKKKTKNNNNHQNDTDPIETKNKTTVVTGNNSNNARPPPATPRQQLSYFLSQFQSANGVQLSSLELESIKDSCILDVSQESGQDVMRLEKYIKEAFGAKWKEELCEGKLIGGKTEAGSPAVLVVATSALRSIELLRGMRSFTKECCAVKLFSKHMKIDEQQVSLLKNRVNIASGTPSRIKKLIDIEALGLSRLSLILLDIHTDVKGYSLLTLPQVRDEFWDLYKNYFHQQVVQGDLRICLYGPIPNGNEFKVKSLELADG >EOY04917 pep chromosome:Theobroma_cacao_20110822:4:26461282:26467190:1 gene:TCM_020062 transcript:EOY04917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MKSRTAAAMGSGKVEKKQNARQNPRNNRKPLGPKSDIPKSKSKKKKKTKNNNNHQNDTDPIETKNKTTVVTGNNSNNARPPPATPRQQLSYFLSQFQSANGVQLSSLELESIKDSCILDVSQESGQDVMRLEKYIKEAFGAKWKEELCEGKLIGGKTEAGSPAVLVVATSALRSIELLRGMRSFTKECCAVKLFSKHMKIDEQVSLLKNRVNIASGTPSRIKKLIDIEALGLSRLSLILLDIHTDVKGYSLLTLPQVRDEFWDLYKNYFHQQVVQGDLRICLYGPIPNGNEFKVKSLELADG >EOY03051 pep chromosome:Theobroma_cacao_20110822:4:3975118:3977165:-1 gene:TCM_017474 transcript:EOY03051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase MVALVPQENVVPLVSRTGRHLQRYSKGRRLVVGCIPYRYKTSSVEEKQELEVLVISSQKGKGMLFPKGGWEIDESKKEAASRETLEEAGVRGIVEHELGKWNFKSKTHDTHYEGYMFPLLVQEQLDIWPEKNVRQREWMSVDKARKACQYSWMKEALDILEQRLMSQRQLDEEEVAPCSLC >EOY05615 pep chromosome:Theobroma_cacao_20110822:4:29170100:29177125:1 gene:TCM_020572 transcript:EOY05615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative isoform 3 MSSPFRLFLSSLFLSFLSLSLSQQPLRGYLIDCGAAGKSVIDGREWLPDDDFISTGTSINLTVPGLVPTLSTVRSFPLQNNLRRKFCYVARVYRTARYLIRTTYYYGGVNGLNFPSPPVFDQIVDGTFWSVVNTTEDYQKGLSSYYEGVFKAKGNTMSVCIAPNTYTESDPFISSLEMLILGDSLYNTTDFDSLALGLVARHSFGHNGSIIRYPDDQFDRYWEPYEENVHVISSNNTPPVSGFWNVPPSKVFERALSTAQLEPLELRWPPLSIPNSTYYIALYFADNSEPISSSSRVLDIHINDVRYYGNLNVTSEGAAVFATRWPLGGPTKITLSPAANSNSPLINAGEIFNVLRLGGRTHTRDVRALEEMKNSLHNLPFDWNGDPCLPLNYSWTGVTCSEGERIRVIALNLTSMGLSGSLSPSISNLTALSGILLGNNSLSGVIPDLSSLKLLEILHLEDNQLRGEIPSSLGDLGRLRELFLQNNNLTGSIPDRLVGRSGLEVRDFWKSVFVPTTFLSRLYMRPEVTSTTGMVKLL >EOY05616 pep chromosome:Theobroma_cacao_20110822:4:29170056:29179766:1 gene:TCM_020572 transcript:EOY05616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative isoform 3 MSSPFRLFLSSLFLSFLSLSLSQQPLRGYLIDCGAAGKSVIDGREWLPDDDFISTGTSINLTVPGLVPTLSTVRSFPLQNNLRRKFCYVARVYRTARYLIRTTYYYGGVNGLNFPSPPVFDQIVDGTFWSVVNTTEDYQKGLSSYYEGVFKAKGNTMSVCIAPNTYTESDPFISSLEMLILGDSLYNTTDFDSLALGLVARHSFGHNGSIIRYPDDQFDRYWEPYEENVHVISSNNTPPVSGFWNVPPSKVFERALSTAQLEPLELRWPPLSIPNSTYYIALYFADNSEPISSSSRVLDIHINDVRYYGNLNVTSEGAAVFATRWPLGGPTKITLSPAANSNSPLINAGEIFNVLRLGGRTHTRDVRALEEMKNSLHNLPFDWNGDPCLPLNYSWTGVTCSEGERIRVIALNLTSMGLSGSLSPSISNLTALSGILLGNNSLSGVIPDLSSLKLLEILHLEDNQLRGEIPSSLGDLGRLRELFLQNNNLTGSIPDRLVGRSGLEVRTSGNQFLSPPPS >EOY05617 pep chromosome:Theobroma_cacao_20110822:4:29170056:29173745:1 gene:TCM_020572 transcript:EOY05617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative isoform 3 MSSPFRLFLSSLFLSFLSLSLSQQPLRGYLIDCGAAGKSVIDGREWLPDDDFISTGTSINLTVPGLVPTLSTVRSFPLQNNLRRKFCYVARVYRTARYLIRTTYYYGGVNGLNFPSPPVFDQIVDGTFWSVVNTTEDYQKGLSSYYEGVFKAKGNTMSVCIAPNTYTESDPFISSLEMLILGDSLYNTTDFDSLALGLVARHSFGHNGSIIRYPDDQFDRYWEPYEENVHVISSNNTPPVSGFWNVPPSKVFERALSTAQLEPLELRWPPLSIPNSTYYIALYFADNSEPISSSSRVLDIHINDVRYYGNLNVTSEGAAVFATRWPLGGPTKITLSPAANSNSPLINAGEIFNVLRLGGRTHTRDVRALEEMKNSLHNLPFDWNGDPCLPLNYSWTGVTCSEGERIRVIALNLTSMGLSGSLSPSISNLTALSGILLGNNSLSGVIPDLSSLKLLEILHLEDNQLRGEIPSSLGDLGRLRELFLPL >EOY06904 pep chromosome:Theobroma_cacao_20110822:4:33329221:33330880:1 gene:TCM_021487 transcript:EOY06904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein isoform 1 MLKALMPVATFSMGVMCGTDKPRCDVFLNMVLVSVGVVISSYGEIHFNIVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWCLLEKPRMAVSQIQFNFWIFFSNALCALALNLSTFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESTITGLNIIGYAIALCGVVMYNYIKVKDGRAPQLPSDSIPERITKDWKFEKKSSDIFTPNSGSNSNGGNLDASELNDEEAPLLSSRLSHVGRSQLSNHTA >EOY06902 pep chromosome:Theobroma_cacao_20110822:4:33326896:33330879:1 gene:TCM_021487 transcript:EOY06902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein isoform 1 MINKPLVLTYFYLLIYILLSSGVILYNKWVLSPKYFNFPLPITLTMIHMAFSGLVAFFLIRVFKVVAPVKMTFEIYATCVIPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFSMGVMCGTDKPRCDVFLNMVLVSVGVVISSYGEIHFNIVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWCLLEKPRMAVSQIQFNFWIFFSNALCALALNLSTFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESTITGLNIIGYAIALCGVVMYNYIKVKDGRAPQLPSDSIPERITKDWKFEKKSSDIFTPNSGSNSNGGNLDASELNDEEAPLLSSRLSHVGRSQLSNHTA >EOY06903 pep chromosome:Theobroma_cacao_20110822:4:33326813:33330867:1 gene:TCM_021487 transcript:EOY06903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein isoform 1 MINKPLVLTYFYLLIYILLSSGVILYNKWVLSPKYFNFPLPITLTMIHMAFSGLVAFFLIRVFKVVAPVKMTFEIYATCVIPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFSMGVMCGTDKPRCDVFLNMVLVSVGVVISSYGEIHFNIVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWCLLEKPRMAVSQIQFNFWIFFSNALCALALNLSTFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESTITGLNIIGYAIALCGVVMYNYIKVKDGRAPQLPSDSIPERITKDWKFEKKSSDIFTPNSGSNSNGGNLDASELNDEEAPLLSSRLSHVGRSQLSNHTA >EOY04739 pep chromosome:Theobroma_cacao_20110822:4:25744022:25745986:1 gene:TCM_019924 transcript:EOY04739 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MGNSPGKALENPQGEEDDESSFTCEICIEPTLPSKKFKNANICRHAFCQDCIAKYIEVKVQDNTAKIDCPEPSCQFYLDPLICRPMVSPDLFSSWCDLLCESSLLGSERSYCPNRNCMALVLNECRGNVKKSKCPNCKQLFCFQCQTVWHAGYQCEESEQIRDRNDVLFGQLVERKKWTRCPACGQCIERLVGCSLVKCRCKTEFCYQCGKKLTSRGCGCKLSLGNMMMDVNLYPLLYIVVVFLFVIVWLSMHSVSLRGNNG >EOY04740 pep chromosome:Theobroma_cacao_20110822:4:25744022:25745470:1 gene:TCM_019924 transcript:EOY04740 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MGNSPGKALENPQGEEDDESSFTCEICIEPTLPSKKFKNANICRHAFCQDCIAKYIEVKVQDNTAKIDCPEPSCQFYLDPLICRPMVSPDLFSSWCDLLCESSLLGSERSYCPNRNCMALVLNECRGNVKKSKCPNCKQLFCFQCQTVWHAGYQCEESEQIRDRNDVLFGQLVERKKWTRCPACGQCIERLVGCSLVKCSFQIQIRQPCFKRLPFVSFSTTFFPHS >EOY04738 pep chromosome:Theobroma_cacao_20110822:4:25743304:25745838:1 gene:TCM_019924 transcript:EOY04738 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MGNSPGKALENPQGEEDDESSFTCEICIEPTLPSKKFKNANICRHAFCQDCIAKYIEVKVQDNTAKIDCPEPSCQFYLDPLICRPMVSPDLFSSWCDLLCESSLLGSERSYCPNRNCMALVLNECRGNVKKSKCPNCKQLFCFQCQTVWHAGYQCEESEQIRDRNDVLFGQLVERKKWTRCPACGQCIERLVGCSLVKCRSKEAVGILTSINRLIRNRGFFFVCLLGGVMMGRETKEEESNGINIVDDDGVQMQDRVLLPVWEKVNEQGMWMQAQFGKYDDGCQFVSSPVYSCGLPFRYCLA >EOY06840 pep chromosome:Theobroma_cacao_20110822:4:33097079:33137269:-1 gene:TCM_021446 transcript:EOY06840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein isoform 1 MFEAHVLHLLRRYLGEYVHGLSLETLRISVWKGDVVLKDLKLKAEALNALNLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRVFVLAHPAPDGRTLKEEDREKLFQAKIQQIEEAESATLEAMSGSNLGSPPPGNSWLGSLISTIIGNLKISISNVHIRYEDCVSNPGHPFASGVTLAKLAAVTMDEQGNETFDTSGALDKLRKSLQLERLAMYHDSDSLPWNMDKKWEDLSPKEWIEVFEDGINEPAADCKVVSKWAMNRSYLVSPINGVLQYHRLGNQERNNPDIPFEKASLVLSDVSLTITEAQYHDSIKLLEVISRYRTYVEISHLRPVVPVSKESYILWWRYFAQAALQQRKMCYRFSWDQIWHMCQLRRRYIHLYASLLQQLSNVDNSEIRDIEKDLDSKVILLWRLLAHAKVKSVKSKQAAERRRLQKKSWFSLGWRTQSEDASDGEALDGSQLNEERLSKEEWQAINKLLSYQPDEELMSHSAKDMQNMIRLLVTVSISQAAARIININRTEIVCGRFEELHVSAKFKHRSTHCDVRLRFYGLSAPEGSLAQSVCSEKKVNALTASFVHSPVGENVDWRLSAIISPCHVTVFKESCDRFFDFVKRSNAVSPTVALETATALQTKIEKVTRRAQEQFQTVLEEQSRFALDIDLDAPKVTIPLRTRGSSKCDSHFLLDFGHFTLHTMERQSDVQRQNLYSRFYISGRDIAAFFTDCGSDCQNCTLVQPNSNKQSVMMSPGLEKVDHFYSLIDRCRMAVVVDQIKVPHPSYPSTRVSVQVPNLGIHFSPARYCRLMELMDILYVAMDPCVQPGVVDLQAGAAPWSAADLATDAKILVWRGIGNSVASWQPCFVVLSGFYLYVLESEKSQNHQRYLSMAGRQVHEVPSTNIGGSPFCIAVSSRGMDTQKALESSSTWVIEFRGEEEKVTWLRGLIQATYQASARPSVDVLGETSDGISESDDPQMRNSKAADLVINGAVVETKLCIYGKTGECVAEKLEERLILEVLASGGKVNMISLGSDLVVKTKLHSLKINDELQGRLSGNPQYLACSVLKMDSSLQSDRSCDPRGTEMSVVHPDDDDTFKDALPEFMSLTDSDALSQYMDMKDASGFESAELLIHEKDLVQGKGLSREIFYEAQGGEDLDFVSVTFSTRGSGSPLYDGIDTQMSIRMSKLEFFCNRPTLVALIGFGFDLGSVSYTASVTDVNEALDNKPLMNKEKAEESGRIEGLLGYGKARVVFYLNMNVDSVTVFLNKEDGSQLAMFVQESFLLDLKVHPASLSIEGTLGNLRLRDMSLGTDNCLGWLCDIRNPGVESLIKFKFNSYSAGDDDYEGYDYSLCGRLSAVRIVFLYRFVQEITVYFMELATPHTEEVIKLVDKVGDFEWLIQKSEIDGAAALKLDLTLDTPIIIVPRNSMSKDFIQLDVGLLKITNEISWHGFREKDPSAVHLDILHAEILGVNMSVGIDGCIGKPLIRESRGLDVYVRRSLRDVFRKVPTFALEVKVGFLHSVMSDKEYDVILNCTYMNLNETPSLPPSFRGSKSGSKDTMRLLVDKVNMNSQMLLSRSVTIVAAEVNYALLELCNGIHEESPLARIALEGLWVSYRLTSLSETDLYVTIPTFSVLDIRSNTKSEMRLMLGSSADASKQSSTGNFPFFVNKSSFSRVNSEASLDLDVPISTMFLMDYRWRLSSQSFVLRVQQPRVLVVPDFLLALGEFFVPALGAITGREETMDPKNDPISKNNSIVLSDSIYKQNEDVVHLSPSRQLVADTHGIYEYTYDGCGKTIVLSEENDTKESHLARFRPIVIIGCGKRLRFVNVKIENGSLLRKYTYLSNDSSYSVLPEDDVNVLLMDNSSSDDDKKIVENMDELINNAKASSYSEDDPNVVQSFTFEAQVVAPEFTFFDGTKSYLDDSSYGERLLRAKMDLNFMYASKENDTWIRAVVKDLTIEAGSGLIILDPLDISGGYTSIKEKTNMSLISTDICIHLSLSAISLLLNLQNQAAAALQFGNAVPLAPCTNFDRIWVSPKENGSHNNLTIWRPQAPSNYVILGDCVTSRPIPPSQAVLAISNTYGRVRKPVGFNLIGFFSHILGLEGVDGHSDVDSDCSLWMPVPPPGYTSMGCVANIGKYPPPNHAVYCLRSDLVTSTTYSECMLSASSNQRFTSGFSIWHLDNVIGSFYAHSSAECPSKKNSSDLSHLLLWNSVWSYASLKESVPELAVVNDHASQQTSNQSASSSGWDILRSISKATSCYVSTPHFERMWWDKGSDLRRPVSIWRPISRRGYAVVGDCITEGLEPPALGIIFKSDDPEISAKPVQFTKVAHITGKGFDEVFFWYPIAPPGYASLGCIVSRTDEAPCMDLFCCPRMDLVNPANIPEVPISSSWSSKASQCWSLWKVENQACTFLARSDMKKPSTRLAYTIGDSVKPKTRENVTAEVKLRYFSLTVLDSLHGMMTPLFDMTITNIKLATHGRLEAMNAVLVSSIAASTFNTQLEAWEPLVEPFDGIFKFETYEANVHAPSRLGKRMRIAATNILNINVSAANLDTLVETILSWRRQLELEQKATKLIEDTGGASGHEDLVFSALDEDDLRTVIVENKLGNDLFLKRIEQNSEVVDQLHHGDCASVWIPPARFSDRLNVAEESREARYYVAVQILVAKDLPIIDDGNSHNFFCALRLVIDSQATDQQKLFPQSARTKCVKPLVSDMEYPNKGNAKWNELFIFEVPCKGVAKLEVEVTNLSAKAGKGEVVGALSFPVGHGANILKKVSSARMLSQRNGIETIESYPLRRKSDIVEDIYDYGYLCVSTSCFERNTTALFQRDAESKDGSDNDTGFWVRLGTEGSWESIRSLLPLSVVPKSLRSEFIAMEVVMKNGKKHAIFRGLAMVVNDSDVNLDISVCHVSMIHDSGSSSHNIVVEEIFENQRYQPITGWGNKWSGFRGNDPGRWSTKDFSYSSKDFFEPPLPKGWQWISTWTIDKSQFVDEDGWAYGPDYQSLRWPPTSSKSYIKSGHDVRRRRWIRTRQQIADQGKSYAKSDFTTISPGCSTVLPWGSTSKESDQCLRVRPCVDYPQPSYAWGQSIVVAGGSSFASGKDQPCLDQGSLYRQNTLPQGSKMPNCALKLNELEKKDVLLCCCPSVGSRQIWLSVGADASALHTELNQPVYDWKISVNSPLKLENRLSCPAKFTIWEKAKEGNYIERGHSIISSRKSAHIYSVDVQRPIYLTFFVQGGWALEKDPVLILDLSSNAHVSSFWMFHQKSKRRLRVSIERDMGGTSAAPKTIRFFVPYWIINDSSLPLAYQVVEIEGSDSADMDSHSLSRAVKSARTVLRTPSYSMERRHSGSRRNIQVLEAIEDTSPIPSMLSPQDFAGRSGVMLFPSQKDTYVSPRVGIAVAIRNSETYSPGISLLELEKKERVDVKAYSSDGSYYKLSALVNMTSDRTKVIHLQPHMLFINRVGFSLCLQQCDCQIVEWIHPADPPKLFRWQSSSKIELLKLWVDGYKWSTPFSVSSEGVMRVSLKNDTGSDQLLFKVEVRSGTKSSRYEVIFRPNSSSSPYRIENRSIFLPLRCRQVDGTSDSWHFLLPNTAVSFLWEDLGRQHLLEILADGTDPSRSEIYNIDEIFDHQPVDVTRPARALRVTILKEEKVNVVKISDWMPENEPTPITSQKIPSSLSEFSRNEPNQQQLQSTSECEFHVIVELAELGVSIIDHTPEELLYLSVQNLHLAYSTGLGTGFSRFKLRMSGIQMDNQLPLTPTPVLFRPQRIGQETDYMLKISVTLQTNGSLDLCVYPYIDFHGPDNSAFLINIHEPIIWRIHEMIQQVNLSRLYDTKTTAVSVDPIIQIGVLNISEVRLKVSMAMSPSQRPRGVLGFWSSLMTALGNTENLSVKINQRFHENVCMRQSTMINNAISNVKKDLLGQPLQLLSGLDILGNASSALGHMSKGVAALSMDKKFIQSRQRQENKGVEDLGDVIREGGGALAKGLFRGVTGILTKPLEGAKTSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAIASDEQLLRRRLPRVISGDNLLRPYDEYKAQGQVILQLAESGSFFGQVDLFKVRGKFALSDAYEDHFLLPKGKTIMVTHRRIILLQQTTNITQRKFNPVRDPCSVLWDVMWDDLATMELTQGKKDQPKAPPSRLILYLKTRPTDTKEQVRVIKCSRDTHQALEVYSSIERAMNTYGQNLAKVPALVPMRSTFGSSIN >EOY06843 pep chromosome:Theobroma_cacao_20110822:4:33100813:33136768:-1 gene:TCM_021446 transcript:EOY06843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein isoform 1 MFEAHVLHLLRRYLGEYVHGLSLETLRISVWKGDVVLKDLKLKAEALNALNLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRVFVLAHPAPDGRTLKEEDREKLFQAKIQQIEEAESATLEAMSGSNLGSPPPGNSWLGSLISTIIGNLKISISNVHIRYEDCVSNPGHPFASGVTLAKLAAVTMDEQGNETFDTSGALDKLRKSLQLERLAMYHDSDSLPWNMDKKWEDLSPKEWIEVFEDGINEPAADCKVVSKWAMNRSYLVSPINGVLQYHRLGNQERNNPDIPFEKASLVLSDVSLTITEAQYHDSIKLLEVISRYRTYVEISHLRPVVPVSKESYILWWRYFAQAALQQRKMCYRFSWDQIWHMCQLRRRYIHLYASLLQQLSNVDNSEIRDIEKDLDSKVILLWRLLAHAKVKSVKSKQAAERRRLQKKSWFSLGWRTQSEDASDGEALDGSQLNEERLSKEEWQAINKLLSYQPDEELMSHSAKDMQNMIRLLVTVSISQAAARIININRTEIVCGRFEELHVSAKFKHRSTHCDVRLRFYGLSAPEGSLAQSVCSEKKVNALTASFVHSPVGENVDWRLSAIISPCHVTVFKESCDRFFDFVKRSNAVSPTVALETATALQTKIEKVTRRAQEQFQTVLEEQSRFALDIDLDAPKVTIPLRTRGSSKCDSHFLLDFGHFTLHTMERQSDVQRQNLYSRFYISGRDIAAFFTDCGSDCQNCTLVQPNSNKQSVMMSPGLEKVDHFYSLIDRCRMAVVVDQIKVPHPSYPSTRVSVQVPNLGIHFSPARYCRLMELMDILYVAMDPCVQPGVVDLQAGAAPWSAADLATDAKILVWRGIGNSVASWQPCFVVLSGFYLYVLESEKSQNHQRYLSMAGRQVHEVPSTNIGGSPFCIAVSSRGMDTQKALESSSTWVIEFRGEEEKVTWLRGLIQATYQASARPSVDVLGETSDGISESDDPQMRNSKAADLVINGAVVETKLCIYGKTGECVAEKLEERLILEVLASGGKVNMISLGSDLVVKTKLHSLKINDELQGRLSGNPQYLACSVLKMDSSLQSDRSCDPRGTEMSVVHPDDDDTFKDALPEFMSLTDSDALSQYMDMKDASGFESAELLIHEKDLVQGKGLSREIFYEAQGGEDLDFVSVTFSTRGSGSPLYDGIDTQMSIRMSKLEFFCNRPTLVALIGFGFDLGSVSYTASVTDVNEALDNKPLMNKEKAEESGRIEGLLGYGKARVVFYLNMNVDSVTVFLNKEDGSQLAMFVQESFLLDLKVHPASLSIEGTLGNLRLRDMSLGTDNCLGWLCDIRNPGVESLIKFKFNSYSAGDDDYEGYDYSLCGRLSAVRIVFLYRFVQEITVYFMELATPHTEEVIKLVDKVGDFEWLIQKSEIDGAAALKLDLTLDTPIIIVPRNSMSKDFIQLDVGLLKITNEISWHGFREKDPSAVHLDILHAEILGVNMSVGIDGCIGKPLIRESRGLDVYVRRSLRDVFRKVPTFALEVKVGFLHSVMSDKEYDVILNCTYMNLNETPSLPPSFRGSKSGSKDTMRLLVDKVNMNSQMLLSRSVTIVAAEVNYALLELCNGIHEESPLARIALEGLWVSYRLTSLSETDLYVTIPTFSVLDIRSNTKSEMRLMLGSSADASKQSSTGNFPFFVNKSSFSRVNSEASLDLDVPISTMFLMDYRWRLSSQSFVLRVQQPRVLVVPDFLLALGEFFVPALGAITGREETMDPKNDPISKNNSIVLSDSIYKQNEDVVHLSPSRQLVADTHGIYEYTYDGCGKTIVLSEENDTKESHLARFRPIVIIGCGKRLRFVNVKIENGSLLRKYTYLSNDSSYSVLPEDDVNVLLMDNSSSDDDKKIVENMDELINNAKASSYSEDDPNVVQSFTFEAQVVAPEFTFFDGTKSYLDDSSYGERLLRAKMDLNFMYASKENDTWIRAVVKDLTIEAGSGLIILDPLDISGGYTSIKEKTNMSLISTDICIHLSLSAISLLLNLQNQAAAALQFGNAVPLAPCTNFDRIWVSPKENGSHNNLTIWRPQAPSNYVILGDCVTSRPIPPSQAVLAISNTYGRVRKPVGFNLIGFFSHILGLEGVDGHSDVDSDCSLWMPVPPPGYTSMGCVANIGKYPPPNHAVYCLRSDLVTSTTYSECMLSASSNQRFTSGFSIWHLDNVIGSFYAHSSAECPSKKNSSDLSHLLLWNSVWSYASLKESVPELAVVNDHASQQTSNQSASSSGWDILRSISKATSCYVSTPHFERMWWDKGSDLRRPVSIWRPISRRGYAVVGDCITEGLEPPALGIIFKSDDPEISAKPVQFTKVAHITGKGFDEVFFWYPIAPPGYASLGCIVSRTDEAPCMDLFCCPRMDLVNPANIPEVPISSSWSSKASQCWSLWKVENQACTFLARSDMKKPSTRLAYTIGDSVKPKTRENVTAEVKLRYFSLTVLDSLHGMMTPLFDMTITNIKLATHGRLEAMNAVLVSSIAASTFNTQLEAWEPLVEPFDGIFKFETYEANVHAPSRLGKRMRIAATNILNINVSAANLDTLVETILSWRRQLELEQKATKLIEDTGGASGHEDLVFSALDEDDLRTVIVENKLGNDLFLKRIEQNSEVVDQLHHGDCASVWIPPARFSDRLNVAEESREARYYVAVQILVAKDLPIIDDGNSHNFFCALRLVIDSQATDQQKLFPQSARTKCVKPLVSDMEYPNKGNAKWNELFIFEVPCKGVAKLEVEVTNLSAKAGKGEVVGALSFPVGHGANILKKVSSARMLSQRNGIETIESYPLRRKSDIVEDIYDYGYLCVSTSCFERNTTALFQRDAESKDGSDNDTGFWVRLGTEGSWESIRSLLPLSVVPKSLRSEFIAMEVVMKNGKKHAIFRGLAMVVNDSDVNLDISVCHVSMIHDSGSSSHNIVVEEIFENQRYQPITGWGNKWSGFRGNDPGRWSTKDFSYSSKDFFEPPLPKGWQWISTWTIDKSQFVDEDGWAYGPDYQSLRWPPTSSKSYIKSGHDVRRRRWIRTRQQIADQGKSYAKSDFTTISPGCSTVLPWGSTSKESDQCLRVRPCVDYPQPSYAWGQSIVVAGGSSFASGKDQPCLDQGSLYRQNTLPQGSKMPNCALKLNELEKKDVLLCCCPSVGSRQIWLSVGADASALHTELNQPVYDWKISVNSPLKLENRLSCPAKFTIWEKAKEGNYIERGHSIISSRKSAHIYSVDVQRPIYLTFFVQGGWALEKDPVLILDLSSNAHVSSFWMFHQKSKRRLRVSIERDMGGTSAAPKTIRFFVPYWIINDSSLPLAYQVVEIEGSDSADMDSHSLSRAVKSARTVLRTPSYSMERRHSGSRRNIQVLEAIEDTSPIPSMLSPQDFAGRSGVMLFPSQKDTYVSPRVGIAVAIRNSETYSPGISLLELEKKERVDVKAYSSDGSYYKLSALVNMTSDRTKVIHLQPHMLFINRVGFSLCLQQCDCQIVEWIHPADPPKLFRWQSSSKIELLKLWVDGYKWSTPFSVSSEGVMRVSLKNDTGSDQLLFKVEVRSGTKSSRYEVIFRPNSSSSPYRIENRSIFLPLRCRQVDGTSDSWHFLLPNTAVSFLWEDLGRQHLLEILADGTDPSRSEIYNIDEIFDHQPVDVTRPARALRVTILKEEKVNVVKISDWMPENEPTPITSQKIPSSLSEFSRNEPNQQQLQSTSECEFHVIVELAELGVSIIDHTPEELLYLSVQNLHLAYSTGLGTGFSRFKLRMSGIQMDNQLPLTPTPVLFRPQRIGQETDYMLKISVTLQTNGSLDLCVYPYIDFHGPDNSAFLINIHEPIIWRIHEMIQQVNLSRLYDTKTTAVSVDPIIQIGVLNISEVRLKVSMAMSPSQRPRGVLGFWSSLMTALGNTENLSVSFTFNLFLFPRLSILSVV >EOY06842 pep chromosome:Theobroma_cacao_20110822:4:33100424:33137013:-1 gene:TCM_021446 transcript:EOY06842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein isoform 1 MSGSNLGSPPPGNSWLGSLISTIIGNLKISISNVHIRYEDCVSNPGHPFASGVTLAKLAAVTMDEQGNETFDTSGALDKLRKSLQLERLAMYHDSDSLPWNMDKKWEDLSPKEWIEVFEDGINEPAADCKVVSKWAMNRSYLVSPINGVLQYHRLGNQERNNPDIPFEKASLVLSDVSLTITEAQYHDSIKLLEVISRYRTYVEISHLRPVVPVSKESYILWWRYFAQAALQQRKMCYRFSWDQIWHMCQLRRRYIHLYASLLQQLSNVDNSEIRDIEKDLDSKVILLWRLLAHAKVKSVKSKQAAERRRLQKKSWFSLGWRTQSEDASDGEALDGSQLNEERLSKEEWQAINKLLSYQPDEELMSHSAKDMQNMIRLLVTVSISQAAARIININRTEIVCGRFEELHVSAKFKHRSTHCDVRLRFYGLSAPEGSLAQSVCSEKKVNALTASFVHSPVGENVDWRLSAIISPCHVTVFKESCDRFFDFVKRSNAVSPTVALETATALQTKIEKVTRRAQEQFQTVLEEQSRFALDIDLDAPKVTIPLRTRGSSKCDSHFLLDFGHFTLHTMERQSDVQRQNLYSRFYISGRDIAAFFTDCGSDCQNCTLVQPNSNKQSVMMSPGLEKVDHFYSLIDRCRMAVVVDQIKVPHPSYPSTRVSVQVPNLGIHFSPARYCRLMELMDILYVAMDPCVQPGVVDLQAGAAPWSAADLATDAKILVWRGIGNSVASWQPCFVVLSGFYLYVLESEKSQNHQRYLSMAGRQVHEVPSTNIGGSPFCIAVSSRGMDTQKALESSSTWVIEFRGEEEKVTWLRGLIQATYQASARPSVDVLGETSDGISESDDPQMRNSKAADLVINGAVVETKLCIYGKTGECVAEKLEERLILEVLASGGKVNMISLGSDLVVKTKLHSLKINDELQGRLSGNPQYLACSVLKMDSSLQSDRSCDPRGTEMSVVHPDDDDTFKDALPEFMSLTDSDALSQYMDMKDASGFESAELLIHEKDLVQGKGLSREIFYEAQGGEDLDFVSVTFSTRGSGSPLYDGIDTQMSIRMSKLEFFCNRPTLVALIGFGFDLGSVSYTASVTDVNEALDNKPLMNKEKAEESGRIEGLLGYGKARVVFYLNMNVDSVTVFLNKEDGSQLAMFVQESFLLDLKVHPASLSIEGTLGNLRLRDMSLGTDNCLGWLCDIRNPGVESLIKFKFNSYSAGDDDYEGYDYSLCGRLSAVRIVFLYRFVQEITVYFMELATPHTEEVIKLVDKVGDFEWLIQKSEIDGAAALKLDLTLDTPIIIVPRNSMSKDFIQLDVGLLKITNEISWHGFREKDPSAVHLDILHAEILGVNMSVGIDGCIGKPLIRESRGLDVYVRRSLRDVFRKVPTFALEVKVGFLHSVMSDKEYDVILNCTYMNLNETPSLPPSFRGSKSGSKDTMRLLVDKVNMNSQMLLSRSVTIVAAEVNYALLELCNGIHEESPLARIALEGLWVSYRLTSLSETDLYVTIPTFSVLDIRSNTKSEMRLMLGSSADASKQSSTGNFPFFVNKSSFSRVNSEASLDLDVPISTMFLMDYRWRLSSQSFVLRVQQPRVLVVPDFLLALGEFFVPALGAITGREETMDPKNDPISKNNSIVLSDSIYKQNEDVVHLSPSRQLVADTHGIYEYTYDGCGKTIVLSEENDTKESHLARFRPIVIIGCGKRLRFVNVKIENGSLLRKYTYLSNDSSYSVLPEDDVNVLLMDNSSSDDDKKIVENMDELINNAKASSYSEDDPNVVQSFTFEAQVVAPEFTFFDGTKSYLDDSSYGERLLRAKMDLNFMYASKENDTWIRAVVKDLTIEAGSGLIILDPLDISGGYTSIKEKTNMSLISTDICIHLSLSAISLLLNLQNQAAAALQFGNAVPLAPCTNFDRIWVSPKENGSHNNLTIWRPQAPSNYVILGDCVTSRPIPPSQAVLAISNTYGRVRKPVGFNLIGFFSHILGLEGVDGHSDVDSDCSLWMPVPPPGYTSMGCVANIGKYPPPNHAVYCLRSDLVTSTTYSECMLSASSNQRFTSGFSIWHLDNVIGSFYAHSSAECPSKKNSSDLSHLLLWNSVWSYASLKESVPELAVVNDHASQQTSNQSASSSGWDILRSISKATSCYVSTPHFERMWWDKGSDLRRPVSIWRPISRRGYAVVGDCITEGLEPPALGIIFKSDDPEISAKPVQFTKVAHITGKGFDEVFFWYPIAPPGYASLGCIVSRTDEAPCMDLFCCPRMDLVNPANIPEVPISSSWSSKASQCWSLWKVENQACTFLARSDMKKPSTRLAYTIGDSVKPKTRENVTAEVKLRYFSLTVLDSLHGMMTPLFDMTITNIKLATHGRLEAMNAVLVSSIAASTFNTQLEAWEPLVEPFDGIFKFETYEANVHAPSRLGKRMRIAATNILNINVSAANLDTLVETILSWRRQLELEQKATKLIEDTGGASGHEDLVFSALDEDDLRTVIVENKLGNDLFLKRIEQNSEVVDQLHHGDCASVWIPPARFSDRLNVAEESREARYYVAVQILVAKDLPIIDDGNSHNFFCALRLVIDSQATDQQKLFPQSARTKCVKPLVSDMEYPNKGNAKWNELFIFEVPCKGVAKLEVEVTNLSAKAGKGEVVGALSFPVGHGANILKKVSSARMLSQRNGIETIESYPLRRKSDIVEDIYDYGYLCVSTSCFERNTTALFQRDAESKDGSDNDTGFWVRLGTEGSWESIRSLLPLSVVPKSLRSEFIAMEVVMKNGKKHAIFRGLAMVVNDSDVNLDISVCHVSMIHDSGSSSHNIVVEEIFENQRYQPITGWGNKWSGFRGNDPGRWSTKDFSYSSKDFFEPPLPKGWQWISTWTIDKSQFVDEDGWAYGPDYQSLRWPPTSSKSYIKSGHDVRRRRWIRTRQQIADQGKSYAKSDFTTISPGCSTVLPWGSTSKESDQCLRVRPCVDYPQPSYAWGQSIVVAGGSSFASGKDQPCLDQGSLYRQNTLPQGSKMPNCALKLNELEKKDVLLCCCPSVGSRQIWLSVGADASALHTELNQPVYDWKISVNSPLKLENRLSCPAKFTIWEKAKEGNYIERGHSIISSRKSAHIYSVDVQRPIYLTFFVQGGWALEKDPVLILDLSSNAHVSSFWMFHQKSKRRLRVSIERDMGGTSAAPKTIRFFVPYWIINDSSLPLAYQVVEIEGSDSADMDSHSLSRAVKSARTVLRTPSYSMERRHSGSRRNIQVLEAIEDTSPIPSMLSPQDFAGRSGVMLFPSQKDTYVSPRVGIAVAIRNSETYSPGISLLELEKKERVDVKAYSSDGSYYKLSALVNMTSDRTKVIHLQPHMLFINRVGFSLCLQQCDCQIVEWIHPADPPKLFRWQSSSKIELLKLWVDGYKWSTPFSVSSEGVMRVSLKNDTGSDQLLFKVEVRSGTKSSRYEVIFRPNSSSSPYRIENRSIFLPLRCRQVDGTSDSWHFLLPNTAVSFLWEDLGRQHLLEILADGTDPSRSEIYNIDEIFDHQPVDVTRPARALRVTILKEEKVNVVKISDWMPENEPTPITSQKIPSSLSEFSRNEPNQQQLQSTSECEFHVIVELAELGVSIIDHTPEELLYLSVQNLHLAYSTGLGTGFSRFKLRMSGIQMDNQLPLTPTPVLFRPQRIGQETDYMLKISVTLQTNGSLDLCVYPYIDFHGPDNSAFLINIHEPIIWRIHEMIQQVNLSRLYDTKTTAVSVDPIIQIGVLNISEVRLKVSMAMSPSQRPRGVLGFWSSLMTALGNTENLSVSFTFNLFLFPRLSILSVV >EOY06841 pep chromosome:Theobroma_cacao_20110822:4:33097864:33136950:-1 gene:TCM_021446 transcript:EOY06841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein isoform 1 MSGSNLGSPPPGNSWLGSLISTIIGNLKISISNVHIRYEDCVSNPGHPFASGVTLAKLAAVTMDEQGNETFDTSGALDKLRKSLQLERLAMYHDSDSLPWNMDKKWEDLSPKEWIEVFEDGINEPAADCKVVSKWAMNRSYLVSPINGVLQYHRLGNQERNNPDIPFEKASLVLSDVSLTITEAQYHDSIKLLEVISRYRTYVEISHLRPVVPVSKESYILWWRYFAQAALQQRKMCYRFSWDQIWHMCQLRRRYIHLYASLLQQLSNVDNSEIRDIEKDLDSKVILLWRLLAHAKVKSVKSKQAAERRRLQKKSWFSLGWRTQSEDASDGEALDGSQLNEERLSKEEWQAINKLLSYQPDEELMSHSAKDMQNMIRLLVTVSISQAAARIININRTEIVCGRFEELHVSAKFKHRSTHCDVRLRFYGLSAPEGSLAQSVCSEKKVNALTASFVHSPVGENVDWRLSAIISPCHVTVFKESCDRFFDFVKRSNAVSPTVALETATALQTKIEKVTRRAQEQFQTVLEEQSRFALDIDLDAPKVTIPLRTRGSSKCDSHFLLDFGHFTLHTMERQSDVQRQNLYSRFYISGRDIAAFFTDCGSDCQNCTLVQPNSNKQSVMMSPGLEKVDHFYSLIDRCRMAVVVDQIKVPHPSYPSTRVSVQVPNLGIHFSPARYCRLMELMDILYVAMDPCVQPGVVDLQAGAAPWSAADLATDAKILVWRGIGNSVASWQPCFVVLSGFYLYVLESEKSQNHQRYLSMAGRQVHEVPSTNIGGSPFCIAVSSRGMDTQKALESSSTWVIEFRGEEEKVTWLRGLIQATYQASARPSVDVLGETSDGISESDDPQMRNSKAADLVINGAVVETKLCIYGKTGECVAEKLEERLILEVLASGGKVNMISLGSDLVVKTKLHSLKINDELQGRLSGNPQYLACSVLKMDSSLQSDRSCDPRGTEMSVVHPDDDDTFKDALPEFMSLTDSDALSQYMDMKDASGFESAELLIHEKDLVQGKGLSREIFYEAQGGEDLDFVSVTFSTRGSGSPLYDGIDTQMSIRMSKLEFFCNRPTLVALIGFGFDLGSVSYTASVTDVNEALDNKPLMNKEKAEESGRIEGLLGYGKARVVFYLNMNVDSVTVFLNKEDGSQLAMFVQESFLLDLKVHPASLSIEGTLGNLRLRDMSLGTDNCLGWLCDIRNPGVESLIKFKFNSYSAGDDDYEGYDYSLCGRLSAVRIVFLYRFVQEITVYFMELATPHTEEVIKLVDKVGDFEWLIQKSEIDGAAALKLDLTLDTPIIIVPRNSMSKDFIQLDVGLLKITNEISWHGFREKDPSAVHLDILHAEILGVNMSVGIDGCIGKPLIRESRGLDVYVRRSLRDVFRKVPTFALEVKVGFLHSVMSDKEYDVILNCTYMNLNETPSLPPSFRGSKSGSKDTMRLLVDKVNMNSQMLLSRSVTIVAAEVNYALLELCNGIHEESPLARIALEGLWVSYRLTSLSETDLYVTIPTFSVLDIRSNTKSEMRLMLGSSADASKQSSTGNFPFFVNKSSFSRVNSEASLDLDVPISTMFLMDYRWRLSSQSFVLRVQQPRVLVVPDFLLALGEFFVPALGAITGREETMDPKNDPISKNNSIVLSDSIYKQNEDVVHLSPSRQLVADTHGIYEYTYDGCGKTIVLSEENDTKESHLARFRPIVIIGCGKRLRFVNVKIENGSLLRKYTYLSNDSSYSVLPEDDVNVLLMDNSSSDDDKKIVENMDELINNAKASSYSEDDPNVVQSFTFEAQVVAPEFTFFDGTKSYLDDSSYGERLLRAKMDLNFMYASKENDTWIRAVVKDLTIEAGSGLIILDPLDISGGYTSIKEKTNMSLISTDICIHLSLSAISLLLNLQNQAAAALQFGNAVPLAPCTNFDRIWVSPKENGSHNNLTIWRPQAPSNYVILGDCVTSRPIPPSQAVLAISNTYGRVRKPVGFNLIGFFSHILGLEGVDGHSDVDSDCSLWMPVPPPGYTSMGCVANIGKYPPPNHAVYCLRSDLVTSTTYSECMLSASSNQRFTSGFSIWHLDNVIGSFYAHSSAECPSKKNSSDLSHLLLWNSVWSYASLKESVPELAVVNDHASQQTSNQSASSSGWDILRSISKATSCYVSTPHFERMWWDKGSDLRRPVSIWRPISRRGYAVVGDCITEGLEPPALGIIFKSDDPEISAKPVQFTKVAHITGKGFDEVFFWYPIAPPGYASLGCIVSRTDEAPCMDLFCCPRMDLVNPANIPEVPISSSWSSKASQCWSLWKVENQACTFLARSDMKKPSTRLAYTIGDSVKPKTRENVTAEVKLRYFSLTVLDSLHGMMTPLFDMTITNIKLATHGRLEAMNAVLVSSIAASTFNTQLEAWEPLVEPFDGIFKFETYEANVHAPSRLGKRMRIAATNILNINVSAANLDTLVETILSWRRQLELEQKATKLIEDTGGASGHEDLVFSALDEDDLRTVIVENKLGNDLFLKRIEQNSEVVDQLHHGDCASVWIPPARFSDRLNVAEESREARYYVAVQILVAKDLPIIDDGNSHNFFCALRLVIDSQATDQQKLFPQSARTKCVKPLVSDMEYPNKGNAKWNELFIFEVPCKGVAKLEVEVTNLSAKAGKGEVVGALSFPVGHGANILKKVSSARMLSQRNGIETIESYPLRRKSDIVEDIYDYGYLCVSTSCFERNTTALFQRDAESKDGSDNDTGFWVRLGTEGSWESIRSLLPLSVVPKSLRSEFIAMEVVMKNGKKHAIFRGLAMVVNDSDVNLDISVCHVSMIHDSGSSSHNIVVEEIFENQRYQPITGWGNKWSGFRGNDPGRWSTKDFSYSSKDFFEPPLPKGWQWISTWTIDKSQFVDEDGWAYGPDYQSLRWPPTSSKSYIKSGHDVRRRRWIRTRQQIADQGKSYAKSDFTTISPGCSTVLPWGSTSKESDQCLRVRPCVDYPQPSYAWGQSIVVAGGSSFASGKDQPCLDQGSLYRQNTLPQGSKMPNCALKLNELEKKDVLLCCCPSVGSRQIWLSVGADASALHTELNQPVYDWKISVNSPLKLENRLSCPAKFTIWEKAKEGNYIERGHSIISSRKSAHIYSVDVQRPIYLTFFVQGGWALEKDPVLILDLSSNAHVSSFWMFHQKSKRRLRVSIERDMGGTSAAPKTIRFFVPYWIINDSSLPLAYQVVEIEGSDSADMDSHSLSRAVKSARTVLRTPSYSMERRHSGSRRNIQVLEAIEDTSPIPSMLSPQDFAGRSGVMLFPSQKDTYVSPRVGIAVAIRNSETYSPGISLLELEKKERVDVKAYSSDGSYYKLSALVNMTSDRTKVIHLQPHMLFINRVGFSLCLQQCDCQIVEWIHPADPPKLFRWQSSSKIELLKLWVDGYKWSTPFSVSSEGVMRVSLKNDTGSDQLLFKVEVRSGTKSSRYEVIFRPNSSSSPYRIENRSIFLPLRCRQVDGTSDSWHFLLPNTAVSFLWEDLGRQHLLEILADGTDPSRSEIYNIDEIFDHQPVDVTRPARALRVTILKEEKVNVVKISDWMPENEPTPITSQKIPSSLSEFSRNEPNQQQLQSTSECEFHVIVELAELGVSIIDHTPEELLYLSVQNLHLAYSTGLGTGFSRFKLRMSGIQMDNQLPLTPTPVLFRPQRIGQETDYMLKISVTLQTNGSLDLCVYPYIDFHGPDNSAFLINIHEPIIWRIHEMIQQVNLSRLYDTKTTAVSVDPIIQIGVLNISEVRLKVSMAMSPSQRPRGVLGFWSSLMTALGNTENLSVKINQRFHENVCMRQSTMINNAISNVKKDLLGQPLQLLSGLDILGNASSALGHMSKGVAALSMDKKFIQSRQRQENKGVEDLGDVIREGGGALAKGLFRGVTGILTKPLEGAKTSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAIASDEQLLRRRLPRVISGDNLLRPYDEYKAQGQVILQLAESGSFFGQVDLFKVRGKFALSDAYEDHFLLPKGKTIMVTHRRIILLQQTTNITQRKFNPVRDPCSVLWDVMWDDLATMELTQGKKDQPKAPPSRLILYLKTRPTDTKEQVRVIKCSRDTHQALEVYSSIERAMNTYGQNLAKEMLKKKVTKPYSPVTVGTGVEMIPKEVTCTLSPQQVPALVPMR >EOY06844 pep chromosome:Theobroma_cacao_20110822:4:33100813:33134581:-1 gene:TCM_021446 transcript:EOY06844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein isoform 1 MSGSNLGSPPPGNSWLGSLISTIIGNLKISISNVHIRYEDCVSNPGHPFASGVTLAKLAAVTMDEQGNETFDTSGALDKLRKSLQLERLAMYHDSDSLPWNMDKKWEDLSPKEWIEVFEDGINEPAADCKVVSKWAMNRSYLVSPINGVLQYHRLGNQERNNPDIPFEKASLVLSDVSLTITEAQYHDSIKLLEVISRYRTYVEISHLRPVVPVSKESYILWWRYFAQAALQQRKMCYRFSWDQIWHMCQLRRRYIHLYASLLQQLSNVDNSEIRDIEKDLDSKVILLWRLLAHAKVKSVKSKQAAERRRLQKKSWFSLGWRTQSEDASDGEALDGSQLNEERLSKEEWQAINKLLSYQPDEELMSHSAKDMQNMIRLLVTVSISQAAARIININRTEIVCGRFEELHVSAKFKHRSTHCDVRLRFYGLSAPEGSLAQSVCSEKKVNALTASFVHSPVGENVDWRLSAIISPCHVTVFKESCDRFFDFVKRSNAVSPTVALETATALQTKIEKVTRRAQEQFQTVLEEQSRFALDIDLDAPKVTIPLRTRGSSKCDSHFLLDFGHFTLHTMERQSDVQRQNLYSRFYISGRDIAAFFTDCGSDCQNCTLVQPNSNKQSVMMSPGLEKVDHFYSLIDRCRMAVVVDQIKVPHPSYPSTRVSVQVPNLGIHFSPARYCRLMELMDILYVAMDPCVQPGVVDLQAGAAPWSAADLATDAKILVWRGIGNSVASWQPCFVVLSGFYLYVLESEKSQNHQRYLSMAGRQVHEVPSTNIGGSPFCIAVSSRGMDTQKALESSSTWVIEFRGEEEKVTWLRGLIQATYQASARPSVDVLGETSDGISESDDPQMRNSKAADLVINGAVVETKLCIYGKTGECVAEKLEERLILEVLASGGKVNMISLGSDLVVKTKLHSLKINDELQGRLSGNPQYLACSVLKMDSSLQSDRSCDPRGTEMSVVHPDDDDTFKDALPEFMSLTDSDALSQYMDMKDASGFESAELLIHEKDLVQGKGLSREIFYEAQGGEDLDFVSVTFSTRGSGSPLYDGIDTQMSIRMSKLEFFCNRPTLVALIGFGFDLGSVSYTASVTDVNEALDNKPLMNKEKAEESGRIEGLLGYGKARVVFYLNMNVDSVTVFLNKEDGSQLAMFVQESFLLDLKVHPASLSIEGTLGNLRLRDMSLGTDNCLGWLCDIRNPGVESLIKFKFNSYSAGDDDYEGYDYSLCGRLSAVRIVFLYRFVQEITVYFMELATPHTEEVIKLVDKVGDFEWLIQKSEIDGAAALKLDLTLDTPIIIVPRNSMSKDFIQLDVGLLKITNEISWHGFREKDPSAVHLDILHAEILGVNMSVGIDGCIGKPLIRESRGLDVYVRRSLRDVFRKVPTFALEVKVGFLHSVMSDKEYDVILNCTYMNLNETPSLPPSFRGSKSGSKDTMRLLVDKVNMNSQMLLSRSVTIVAAEVNYALLELCNGIHEESPLARIALEGLWVSYRLTSLSETDLYVTIPTFSVLDIRSNTKSEMRLMLGSSADASKQSSTGNFPFFVNKSSFSRVNSEASLDLDVPISTMFLMDYRWRLSSQSFVLRVQQPRVLVVPDFLLALGEFFVPALGAITGREETMDPKNDPISKNNSIVLSDSIYKQNEDVVHLSPSRQLVADTHGIYEYTYDGCGKTIVLSEENDTKESHLARFRPIVIIGCGKRLRFVNVKIENGSLLRKYTYLSNDSSYSVLPEDDVNVLLMDNSSSDDDKKIVENMDELINNAKASSYSEDDPNVVQSFTFEAQVVAPEFTFFDGTKSYLDDSSYGERLLRAKMDLNFMYASKENDTWIRAVVKDLTIEAGSGLIILDPLDISGGYTSIKEKTNMSLISTDICIHLSLSAISLLLNLQNQAAAALQFGNAVPLAPCTNFDRIWVSPKENGSHNNLTIWRPQAPSNYVILGDCVTSRPIPPSQAVLAISNTYGRVRKPVGFNLIGFFSHILGLEGVDGHSDVDSDCSLWMPVPPPGYTSMGCVANIGKYPPPNHAVYCLRSDLVTSTTYSECMLSASSNQRFTSGFSIWHLDNVIGSFYAHSSAECPSKKNSSDLSHLLLWNSVWSYASLKESVPELAVVNDHASQQTSNQSASSSGWDILRSISKATSCYVSTPHFERMWWDKGSDLRRPVSIWRPISRRGYAVVGDCITEGLEPPALGIIFKSDDPEISAKPVQFTKVAHITGKGFDEVFFWYPIAPPGYASLGCIVSRTDEAPCMDLFCCPRMDLVNPANIPEVPISSSWSSKASQCWSLWKVENQACTFLARSDMKKPSTRLAYTIGDSVKPKTRENVTAEVKLRYFSLTVLDSLHGMMTPLFDMTITNIKLATHGRLEAMNAVLVSSIAASTFNTQLEAWEPLVEPFDGIFKFETYEANVHAPSRLGKRMRIAATNILNINVSAANLDTLVETILSWRRQLELEQKATKLIEDTGGASGHEDLVFSALDEDDLRTVIVENKLGNDLFLKRIEQNSEVVDQLHHGDCASVWIPPARFSDRLNVAEESREARYYVAVQILVAKDLPIIDDGNSHNFFCALRLVIDSQATDQQKLFPQSARTKCVKPLVSDMEYPNKGNAKWNELFIFEVPCKGVAKLEVEVTNLSAKAGKGEVVGALSFPVGHGANILKKVSSARMLSQRNGIETIESYPLRRKSDIVEDIYDYGYLCVSTSCFERNTTALFQRDAESKDGSDNDTGFWVRLGTEGSWESIRSLLPLSVVPKSLRSEFIAMEVVMKNGKKHAIFRGLAMVVNDSDVNLDISVCHVSMIHDSGSSSHNIVVEEIFENQRYQPITGWGNKWSGFRGNDPGRWSTKDFSYSSKDFFEPPLPKGWQWISTWTIDKSQFVDEDGWAYGPDYQSLRWPPTSSKSYIKSGHDVRRRRWIRTRQQIADQGKSYAKSDFTTISPGCSTVLPWGSTSKESDQCLRVRPCVDYPQPSYAWGQSIVVAGGSSFASGKDQPCLDQGSLYRQNTLPQGSKMPNCALKLNELEKKDVLLCCCPSVGSRQIWLSVGADASALHTELNQPVYDWKISVNSPLKLENRLSCPAKFTIWEKAKEGNYIERGHSIISSRKSAHIYSVDVQRPIYLTFFVQGGWALEKDPVLILDLSSNAHVSSFWMFHQKSKRRLRVSIERDMGGTSAAPKTIRFFVPYWIINDSSLPLAYQVVEIEGSDSADMDSHSLSRAVKSARTVLRTPSYSMERRHSGSRRNIQVLEAIEDTSPIPSMLSPQDFAGRSGVMLFPSQKDTYVSPRVGIAVAIRNSETYSPGISLLELEKKERVDVKAYSSDGSYYKLSALVNMTSDRTKVIHLQPHMLFINRVGFSLCLQQCDCQIVEWIHPADPPKLFRWQSSSKIELLKLWVDGYKWSTPFSVSSEGVMRVSLKNDTGSDQLLFKVEVRSGTKSSRYEVIFRPNSSSSPYRIENRSIFLPLRCRQVDGTSDSWHFLLPNTAVSFLWEDLGRQHLLEILADGTDPSRSEIYNIDEIFDHQPVDVTRPARALRVTILKEEKVNVVKISDWMPENEPTPITSQKIPSSLSEFSRNEPNQQQLQSTSECEFHVIVELAELGVSIIDHTPEELLYLSVQNLHLAYSTGLGTGFSRFKLRMSGIQMDNQLPLTPTPVLFRPQRIGQETDYMLKISVTLQTNGSLDLCVYPYIDFHGPDNSAFLINIHEPIIWRIHEMIQQVNLSRLYDTKTTAVSVDPIIQIGVLNISEVRLKVSMAMSPSQRPRGVLGFWSSLMTALGNTENLSVSFTFNLFLFPRLSILSVV >EOY05465 pep chromosome:Theobroma_cacao_20110822:4:28649370:28650264:1 gene:TCM_020459 transcript:EOY05465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase MAEVKLLAAWPSPFYYRVVWALKLKGIAYEFIEEDLANKSPLLLQYNPVHKKIPVLLHRGKPICESMIILEYIEEIWPQNSLLPSYPYDRAIARFWIKFADEKSPAIWMVFRTNGEEHEKAVKDSL >EOY03392 pep chromosome:Theobroma_cacao_20110822:4:14309533:14313281:1 gene:TCM_018396 transcript:EOY03392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1637) [Source:Projected from Arabidopsis thaliana (AT5G39890) TAIR;Acc:AT5G39890] MGRATLADIKGKDFSELAKETKTNTEDTNKVSNTNKNRSTTTTSDSSRKKSRRRPKKTTMPAAVVVSPVQRLFDTCKDVFALAGTGIVPTPDKIEQLRAVLDQIQPADVGLTPQMPFFSLPVTRRAPPITYQHIHECEKFSMGIFCLPPSGVLPLHNHPGMTVFSKLLFGTMHIKSYDWVVDVPSNASAVVAPSQMQHREVRLAKVKVDSDFTAPCSASILYPADGGNMHCFTAVTACAVLDVLGPPYSDPEGRHCTYYFDYPFTKLSVDGVTVAEEEKDKYAWLQEREEPEDLAVVGAPYTGPEIVEN >EOY03393 pep chromosome:Theobroma_cacao_20110822:4:14309533:14313281:1 gene:TCM_018396 transcript:EOY03393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1637) [Source:Projected from Arabidopsis thaliana (AT5G39890) TAIR;Acc:AT5G39890] MGRATLADIKGKDFSELAKETKTNTEDTNKVSNTNKNRSTTTTSDSSRKKSRRRPKKTTMPAAVVVSPVQRLFDTCKDVFALAGTGIVPTPDKIEQLRAVLDQIQPADVGLTPQMPFFSLPVTRRAPPITYQHIHECEKFSMGIFCLPPSGVLPLHNHPGMTVFSKLLFGTMHIKSYDWVVDVPSNASAVVAPSQMQHREVRLAKVKVDSDFTAPCSASILYPADGGNMHCFTAVTACAVLDVLGPPYSDPEGRHCTYYFDYPFTKLSVAEEEKDKYAWLQEREEPEDLAVVGAPYTGPEIVEN >EOY03391 pep chromosome:Theobroma_cacao_20110822:4:14309533:14313248:1 gene:TCM_018396 transcript:EOY03391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1637) [Source:Projected from Arabidopsis thaliana (AT5G39890) TAIR;Acc:AT5G39890] MGRATLADIKGKDFSELAKETKTNTEDTNKVSNTNKNRSTTTTSDSSRKKSRRRPKKTTMPAAVVVSPVQRLFDTCKDVFALAGTGIVPTPDKIEQLRAVLDQIQPADVGLTPQMPFFSLPVTRRAPPITYQHIHECEKFSMGIFCLPPSGVLPLHNHPGMTVFSKLLFGTMHIKSYDWVVDVPSNASAVVAPSQTVQHREVRLAKVKVDSDFTAPCSASILYPADGGNMHCFTAVTACAVLDVLGPPYSDPEGRHCTYYFDYPFTKLSVDGVTVAEEEKDKYAWLQEREEPEDLAVVGAPYTGPEIVEN >EOY04883 pep chromosome:Theobroma_cacao_20110822:4:26303997:26312097:1 gene:TCM_020043 transcript:EOY04883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MANKEDEGFCHSLSRKELQSLCKKYGLPANRSSSEMAKSLTSFLENQRLSSITAGERLYGTQEAGLPLSLKLQVQPGASLNSSRDAGKDCYGLISCPLDRCNGGNYSQAVKSNELGCCTGNKFCDKDDYGGSIFFQQTPQSQFVSQYDDNGFKNNEFPTICFNRNCLSLMRDGRMNNMLPQIEPRDSNAGACSNEIAFPSSIKTPTTVFPATFQFHVSSEEGINLYVDLNSNPSEWVEKMKSEVSICQNMSHGKSRTFHRELGRFGESSKQMKSSFQLNVDAGKIKDGHEHTGLSPSLIIKENNQLQLDHPDGDDGSLGSTVMTPSGRAVDVSEHLEGDQGLTLIKAHPDSQDQIISGGAKDGCLITPDSNINSHREKLASDAVLNISDSPLNLLTTEQQNSKLENKICENSSLQNGCNLVSPSGIIPRCLADGSLQIPMPQDVVHHNDALHSPSENGEFVGMVNLEHNIYAEQGGLAGSTELDPKTYRNRLPTLVEEQMLTR >EOY04884 pep chromosome:Theobroma_cacao_20110822:4:26305001:26312230:1 gene:TCM_020043 transcript:EOY04884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 KSLTSFLENQRLSSITAGERLYGTQEAGLPLSLKLQVQPGASLNSSRDAGKDCYGLISCPLDRCNGGNYSQAVKSNELGCCTGNKFCDKDDYGGSIFFQQTPQSQFVSQYDDNGFKNNEFPTICFNRNCLSLMRDGRMNNMLPQIEPRDSNAGACSNEIAFPSSIKTPTTVFPATFQFHVSSEEGINLYVDLNSNPSEWVEKMKSEVSICQNMSHGKSRTFHRELGRFGESSKQMKSSFQLNVDAGKIKDGHEHTGLSPSLIIKENNQLQLDHPDGDDGSLGSTVMTPSGRAVDVSEHLEGDQGLTLIKAHPDSQDQIISGGAKDGCLITPDSNINSHREKLASDAVLNISDSPLNLLTTEQQNSKLENKICENSSLQNGCNLVSPSGIIPRCLADGSLQIPMPQDVVHHNDALHSPSENGEFVGMVNLEHNIYAEQGGLAGSTELDPKTYRNRLPTLVEEQVASFFGEQFLPQT >EOY04880 pep chromosome:Theobroma_cacao_20110822:4:26304131:26311930:1 gene:TCM_020043 transcript:EOY04880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MANKEDEGFCHSLSRKELQSLCKKYGLPANRSSSEMAKSLTSFLENQRLSSITAGERLYGTQEAGLPLSLKLQVQPGASLNSSRDAGKDCYGLISCPLDRCNGGNYSQAVKSNELGCCTGNKFCDKDDYGGSIFFQQTPQSQFVSQYDDNGFKNNEFPTICFNRNCLSLMRDGRMNNMLPQIEPRDSNAGACSNEIAFPSSIKTPTTVFPATFQFHVSSEEGINLYVDLNSNPSEWVEKMKSEVSICQNMSHGKSRTFHRELGRFGESSKQMKSSFQLNVDAGKIKDGHEHTGLSPSLIIKENNQLQLDHPDGDDGSLGSTVMTPSGRAVDVSEHLEGDQGLTLIKAHPDSQDQIISGGAKDGCLITPDSNINSHREKLASDAVLNISDSPLNLLTTEQQNSKLENKICENSSLQNGCNLVSPSGIIPRCLADGSLQIPMPQDVVHHNDALHSPSENGEFVGMVNLEHNIYAEQGGLAGSTELDPKTYRNRLPTLVEEQGRSKIINGGESSECSQDELFEKICGGLDKVESNGLGKKRTYIDGDQNDCSMLDAKILRSTKHLIRKVLPRRSMRLVSK >EOY04881 pep chromosome:Theobroma_cacao_20110822:4:26303997:26313655:1 gene:TCM_020043 transcript:EOY04881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MANKEDEGFCHSLSRKELQSLCKKYGLPANRSSSEMAKSLTSFLENQRLSSITAGERLYGTQEAGLPLSLKLQVQPGASLNSSRDAGKDCYGLISCPLDRCNGGNYSQAVKSNELGCCTGNKFCDKDDYGGSIFFQQTPQSQFVSQYDDNGFKNNEFPTICFNRNCLSLMRDGRMNNMLPQIEPRDSNAGACSNEIAFPSSIKTPTTVFPATFQFHVSSEEGINLYVDLNSNPSEWVEKMKSEVSICQNMSHGKSRTFHRELGRFGESSKQMKSSFQLNVDAGKIKDGHEHTGLSPSLIIKENNQLQLDHPDGDDGSLGSTVMTPSGRAVDVSEHLEGDQGLTLIKAHPDSQDQIISGGAKDGCLITPDSNINSHREKLASDAVLNISDSPLNLLTTEQQNSKLENKICENSSLQNGCNLVSPSGIIPRCLADGSLQIPMPQDVVHHNDALHSPSENGEFVGMVNLEHNIYAEQGGLAGSTELDPKTYRNRLPTLVEEQGRSKIINGGESSECSQDELFEKICGGLDKVESNGLGKKRTYIDGDQNDCSMLDAKILRSTKHLIRKVLPRRSMRLVSK >EOY04882 pep chromosome:Theobroma_cacao_20110822:4:26303997:26312097:1 gene:TCM_020043 transcript:EOY04882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MANKEDEGFCHSLSRKELQSLCKKYGLPANRSSSEMAKSLTSFLENQRLSSITAGERLYGTQEAGLPLSLKLQVQPGASLNSSRDAGKDCYGLISCPLDRCNGGNYSQAVKSNELGCCTGNKFCDKDDYGGSIFFQQTPQSQFVSQYDDNGFKNNEFPTICFNRNCLSLMRDGRMNNMLPQIEPRDSNAGACSNEIAFPSSIKTPTTVFPATFQFHVSSEEGINLYVDLNSNPSEWVEKMKSEVSICQNMSHGKSRTFHRELGRFGESSKQMKSSFQLNVDAGKIKDGHEHTGLSPSLIIKENNQLQLDHPDGDDGSLGSTVMTPSGRAVDVSEHLEGDQGLTLIKAHPDSQDQIISGGAKDGCLITPDSNINSHREKLASDAVLNISDSPLNLLTTEQQNSKLENKICENSSLQNGCNLVSPSGIIPRCLADGSLQIPMPQDVVHHNDALHSPSENGEFVGMVNLEHNIYAEQGGLAGSTELDPKTYRNRLPTLVEEQMLTR >EOY03679 pep chromosome:Theobroma_cacao_20110822:4:17766560:17770309:-1 gene:TCM_047041 transcript:EOY03679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlororespiratory reduction 7 isoform 2 MEKRLFNNGLHQLFNRNLLSRYTLIPVVQASSVCATENFSPVHDKLLKMGSQHRCAVKICATRRRRAAYSRTETYVLLEPGQEEKFVSVEELKAKLKGWLENWPGKTLPPDLARFVTIDDAVSYLVRSVCELEIDGDVGSIQWYEVRLE >EOY03680 pep chromosome:Theobroma_cacao_20110822:4:17766775:17768233:-1 gene:TCM_047041 transcript:EOY03680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlororespiratory reduction 7 isoform 2 MSFAASRIPKLSRWPCLHIILNLPEAFMISYALNHGCVILSQVICYQDTLLYQLFKQVQYVQLRILVLFMTNFLKWGVSIAVR >EOY03780 pep chromosome:Theobroma_cacao_20110822:4:19245635:19251296:-1 gene:TCM_018962 transcript:EOY03780 gene_biotype:protein_coding transcript_biotype:protein_coding description:N2,N2-dimethylguanosine tRNA methyltransferase MSMDLNDYTIIKEGEAEILMHAKNEVFYNKTQVNNRDMSIAVLRTFISKRKQEHEAFLSKRTKPAPKVSENNGSVGDVEEKPNELDMKIEKSNGECEGPEEKSQEETCSTSEEPIKTEGKVRGELKPPRVLEALSASGLRALRYAREVEGVSQVVALDNDKGAVEACQRNIKFNGSVACSKVESHLADARVYMLTHPKEFDVVDLDPYGSPSVFLDSAVQSVVDGGMLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLACIESHANRYKRYIVPVLSVQMDFYVRVFVRIYSSASAMKNTPLKLSYVYQCTGCDSFHLQPIGRTVSKNTSVRYLPGFAPVVPQGCSDCGKKFNMGGPIWSAPIHDQEWVTSIVADVKSMKDRYPAYDRISAVLTTISEELPDVPLFLSLHNLCATLKCTSPSAVIFRSAVINAGYRISGTHVNPLGLKSDAPMDVIWDIMRCWVKNHPVKAQPADQPGSVILAKEPVLQANFARAVASLSKAQAKKVARFLPNPERHWGPKLRAGRQITSKHISLLGEEAVNGCLNHQESEHEAKRQKTEKTEDANASES >EOY03814 pep chromosome:Theobroma_cacao_20110822:4:19526558:19533354:1 gene:TCM_019004 transcript:EOY03814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKGERYDWQGADAPCQAPLSPARREFFASGRVVERPLQSPEIGGIVKSGVVCRRLGGATAWRFAAGRRSEIWGGLPPVGGVKSRVFAAGRKSEIWVGLSPVGGATAWRFAAGERSNSLGVCHRNDYEIKLQMRQIQQEKGDCLTQVHISILPERVHLDLQQNDFTEMVGIWEQWRRAHRDNFQNKYGHIAWLLYVPVDDQMLRAIVQFWDPSYRCFVFNKVDMTPTIEEYSSLLRIDHMQPDKIYWRAQKTGHRRKLAKLLGMTTVEVDQHLKKKGDTECLPWSFLNGYIKKHMEDEQGLLAFAMAIYGLVVFPKVLGHVEVSVIDFFDQVTRSINPAPSILAETFRSLNFCLRKGEGRFIGCAQLLTIWIKSHFECKESKFRKLYLFASCPILEFCESEWPDYKRKEEWVARLRRLMSIEVTWRAPWMPRMQVMYKCGDKPWVPLMGPWGAISYAPIMVRRQFGSEQFVPMTHQLDQLEFTYGEPETLKRIEKIAQD >EOY03327 pep chromosome:Theobroma_cacao_20110822:4:12674077:12679441:-1 gene:TCM_018244 transcript:EOY03327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNNSKEKGNDSDSTKEGSMESTARSHFVQGCHTVSKEDYVNEQQGENDVDDLESDQSMSTSSDSDSSE >EOY03633 pep chromosome:Theobroma_cacao_20110822:4:17487995:17489399:1 gene:TCM_018723 transcript:EOY03633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-expansin 19 MAVLKFFFSFLLCMSCLAAAMGKWQRHIDTNWHDAHATFYGDMSGGETLQGACGYGDLFEQGYGLETTALSTALFNNGLTCGACFEIKCVNNPQWCYPNAGTIKVTATNFCPPNYSKPDGNWCNPPLKHFDLSMKMFTKLAQYKAGIIPVQYRRVLCSKKGGVQFQVKGNPYWTLVLLYNVGGAGDVKNVKVKGSSTGWIQMSRNWGVNWQTGTKLTGQSLSFQVTTSDGKMLEFDNVAPANWLFDQIFDGKKNF >EOY05444 pep chromosome:Theobroma_cacao_20110822:4:28582333:28585327:1 gene:TCM_020440 transcript:EOY05444 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRIM-19 protein isoform 1 MTEAVIRNKPGMASVKDMPILQDGPPPGGFAPVRYARRIPNKGPSAMAIFLAAFGAFSYGMYQVGQGNKIRRALKEEKYAARRAILPVLQAEEDERLESRRKCIQFWEMDAPCNWRAAS >EOY05443 pep chromosome:Theobroma_cacao_20110822:4:28582330:28585294:1 gene:TCM_020440 transcript:EOY05443 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRIM-19 protein isoform 1 MTEAVIRNKPGMASVKDMPILQDGPPPGGFAPVRYARRIPNKGPSAMAIFLAAFGAFSYGMYQVGQGNKIRRALKEEKYAARRAILPVLQAEEDERFVKEWKKYLEYEAEVMKDVPGWKVGESVYNSGRWMPPATGELRPEVW >EOY03768 pep chromosome:Theobroma_cacao_20110822:4:19135592:19137538:1 gene:TCM_018944 transcript:EOY03768 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MQKPNVSKSPKWLPAALPTILKTTTSTVGIYQGQLVLPHSLQNQISSLQSQVGILLEQLHVANPESKALTKFADQLLHIAISLDKFVEALSGLYGSTTSSNEISNVDEDFSEPEDSEIEEGSTHRFNSGELHNYTALKPNGLTGKRNFLGVEAISPSVALACANMAPNVDRFMSYKIYETCPDDWDIAQKLIADGCDPLPRRRCFSKTPPRYSKPLPINASLWSQPSDTNILWSHYKCKDYTCLVSNETMGKRGFFKCSNCFDLSKRGWEIPMNESISAEFTIDEVLRLKSGEMRIGLDFSPSTSTFAALMRERNVTIASATLNLGAPFNEVIALRGLLPLYLSTGSRLPFFDNTLDIIHSTLFLDGWIGVGLLQFVLFDWDRVLRPKELLWVDRFFCKRDGLEIYLNEFSRLGYKKLLWRVVPKTDKLEDELYFSAVLEKPLRS >EOY03124 pep chromosome:Theobroma_cacao_20110822:4:5431289:5436417:-1 gene:TCM_017625 transcript:EOY03124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARNLGRDIIIIIFLLHIHILKHISISIPPNLIQLMCIPHIVHIAGVIVCIPPHRAQNNVIVYIPPHHAHEQYHYPSPSPPSSPASAPHPAHARLHLSRNGVAKTSKIAAKAAPFSLQNLAAKAAHLTLKIDSQNLKN >EOY02887 pep chromosome:Theobroma_cacao_20110822:4:2823859:2826498:1 gene:TCM_017289 transcript:EOY02887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shikimate kinase, putative isoform 1 MEMATILHSSLPSLPFHNPVLHPKFPHSFSTKIDRRTSLFSQSLTISRPPPRKSLSTNCSVSDDTAFTTKVATVDPSIALKKKAMDISADLRGTSIFLVGMNNSIKSSLGKLLADLLRYYYFDSDALVSEAAGGESAAISLKESDEKGFRGSETEVLKQLSSMGRLVVCAGDGAVQSSTNLALLRYGISIWVDVPLDMVAKGIIEKKSQLLSSEIVNSGSYSEVLSQLTALYEDMRSGYATADATVSIQKVAYQLGYEDMDVVSKEDITMEVLKEIERLTRVKKMMEEAARPF >EOY02888 pep chromosome:Theobroma_cacao_20110822:4:2823791:2827326:1 gene:TCM_017289 transcript:EOY02888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shikimate kinase, putative isoform 1 MEMATILHSSLPSLPFHNPVLHPKFPHSFSTKIDRRTSLFSQSLTISRPPPRKSLSTNCSVSDDTAFTTKVATVDPSIALKKKAMDISADLRGTSIFLVGMNNSIKSSLGKLLADLLRYYYFDSDALVSEAAGGESAAISLKESDEKGFRGSETEVLKQLSSMGRLVVCAGDGAVQSSTNLALLRYGISIWVDVPLDMVAKGIIEKKSQLLSSEIVNSGSYSEVLSQLTALYEDMRSGYATADATVSIQTYQLGYEDMDVVSKEDITMEVLKEIERLTRVKKMMEEAARPF >EOY02889 pep chromosome:Theobroma_cacao_20110822:4:2823791:2827326:1 gene:TCM_017289 transcript:EOY02889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shikimate kinase, putative isoform 1 MEMATILHSSLPSLPFHNPVLHPKFPHSFSTKIDRRTSLFSQSLTISRPPPRKSLSTNCSVSDDTAFTTKVATVDPSIALKKKAMDISADLRGTSIFLVGMNNSIKSSLGKLLADLLRYYYFDSDALVSEAAGGESAAISLKESDEKGFRGSETEVLKQLSSMGRLVVCAGDGAVQSSTNLALLRYGISIWVDVPLDMVAKGIIEKKSQLLSSEIVNSGSYSELTALYEDMRSGYATADATVSIQKVAYQLGYEDMDVVSKEDITMEVLKEIERLTRVKKMMEEAARPF >EOY03832 pep chromosome:Theobroma_cacao_20110822:4:19672549:19676392:-1 gene:TCM_019022 transcript:EOY03832 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase 7, putative MEFSCPICVSIFSFVFQFFSFDSGDVFAIPPPFSISQDAFLQYLSLGNITIDLPALYVFGDSFIDPGNNNYLKTVLKSNYTPYGIDFDGKPTGRPTNGRTMVDFIAQIAGLPFPPPVLEVSEASKKNSPTGVNYGSNSGGILPSPRLADQLFGHVLSMDEQIALFENTAKDLKVQFDNAESFAQYMSKSLLFFHIGSNDLGIFWEFERHSNYTVEKYSQFLINELSKQLQKLYELGARKFFVTNVSPLGCQPTSIAIEKPKTPCSEEKNMRVLNYNEHLSHLLSHLQSTLPGSKFVLGDLYKVFEDVFASPASYGFTDIKNSCCIDLFRNQTRPCAPNSEPCKERNKYVYYDPFHPTESMNFLIARRFLKDSSVSSPINLLQLMQA >EOY06700 pep chromosome:Theobroma_cacao_20110822:4:32701321:32702469:1 gene:TCM_021347 transcript:EOY06700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine-zipper 1, putative MSNPLPQTSSGSEADARCMAMDEKKRKRMLSNRESARRSRMKKQKLLEDLVREVASLNVEICRNADNYEILMQKTFVLESENNLLKAQKLELAQYLRNLELMQTHMDLLQMNLMQPGSFSDRTADIKEPHLESWQCHGSGQPIMASVGMFNY >EOY05102 pep chromosome:Theobroma_cacao_20110822:4:27219985:27221939:1 gene:TCM_020196 transcript:EOY05102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like family protein isoform 1 MNSATDSITPKFFSPFKQPSHLLNLQQAFSNLQTHCSSLFQQTHHHLKGAFDSTFAHFNPPSFSPKGPVFARIVDSSKTQIDLSKKSGVAMSAEAIEERLAGVPVYALSNSEEEFVLVSGVSTKKSLGLLCFKKEDAEALLEQMKSMDPGMRKGGSKVVAVALNKVFQLKVDGVAFRLIPESAQLKNALRERERAGFSDDGFPGVPVFQSRSLLLRSQNKSYRPVFFRKVGFRAIPSESFPPAESIESCF >EOY05101 pep chromosome:Theobroma_cacao_20110822:4:27220094:27222774:1 gene:TCM_020196 transcript:EOY05101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like family protein isoform 1 MNSATDSITPKFFSPFKQPSHLLNLQQAFSNLQTHCSSLFQQTHHHLKGAFDSTFAHFNPPSFSPKGPVFARIVDSSKTQIDLSKKSGVAMSAEAIEERLAGVPVYALSNSEEEFVLVSGVSTKKSLGLLCFKKEDAEALLEQMKSMDPGMRKGGSKVVAVALNKVFQLKVDGVAFRLIPESAQLKNALRERERAGFSDDGFPGVPVFQSRSLLLRSQNKSYRPVFFRKEDLEQSLLRASRQQNQLNPAFRPGDIQVAVFEEIIKGMKDGSTSKWDDVVFIPPGFDVSTDPTQQQ >EOY03719 pep chromosome:Theobroma_cacao_20110822:4:18374989:18379933:1 gene:TCM_018838 transcript:EOY03719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVDREGGLFSLALFVMLMLFEANSCRAAEMLMEKSNTTFRCSGRLNDCLIAEDMELELLMDSHISRMLIGANGKATIDFTNVAHKTVPCGPGKQYGPCINPKQKKFRTIAMASIGTEQENMESLFCVPRHWWGFNAGINIHCKWSHLHVICEMLCQVNEIESFKRTCFGHMMDVEADKSLFYASLMHNLMLHRINEPDATEVELWFAIGKTKARISKREFYLVTGLKFVPYRLSLSIPMRPSLEEFTYDIGDLGKRVVRVILNGNVCFGAVVGSKDVGANRRRDVDRILDVHGVSPAAHHVATPAVPPPTSLLPFFLL >EOY04285 pep chromosome:Theobroma_cacao_20110822:4:23172236:23175182:1 gene:TCM_019536 transcript:EOY04285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDPLLQYLFTVVCSLVALWSCIYFYQSKNPSTQRNRTCSAPQAGGALPIIGHMHYFGGQQLTHKILGAMADKYGPVFALRLGSHKVLVLNSWEAAKESFTVHDKVFSSRPSFTASELLGYNYAMFGLAPYGSYWREMRKIATIELLSTHRIDMLKHIRASEWFGDLTRNIALRMVGGKRYFGPNADCEEAEARRCRKVMREFFNLFGVFVLSDAIPFLGWLDFQGYKKAMRRTAKELDFLVGGWLEEHKQKRQLGGGSKEEQDFMDVLLNILENAKITGFDADTINKATCLNLILAGSDTTMVTLIWALSLLLKNPRVLQKAQCELDMHVGNDRRVEESDITNLVYLQAIVKETLRLYPPGPIIFRAAMEDCTLSTGYHVPAGTRVMINAWKIQRDERVWADPHAFQPERFLTSHKDMDFRGHTFELIPFGFGRRLCPAVSLAIKMLHLTLATFLHSFEVAKPSNLEDVDMTESIGLTNLKARPLEILVSPRLEFKLYDMDTEFV >EOY03281 pep chromosome:Theobroma_cacao_20110822:4:10966544:10970185:-1 gene:TCM_018116 transcript:EOY03281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGSCVSKQGSFGGFAKEEKRKILRRRRIIKRRVSSRKLEHGELCGASKDFSRASSAIQVTGSADLAWWDCFSVFESEADDDFYSLNDDVVSMTGSEIVSVLSVSSPRDLSLTSKTETVTSVLSSGQQQKSREKNTNSQLKANDNPTEGSPVYVDDISSESTRGDEKQVVHHVGLAAHTCLPCIPSTALSLEKKRSFGPGTLSSRRKAHLKLSFKWRDGHANPTVVSPKVPLQRPIAGTSVPYCPKEKDMPDCWSPIEPSSFKVRGHNYFRDKKKEFASNSAAFYPFGVDLFLSQRKIDHIARFVELPVFNLSEEIPSILVVNIQIPLYPVTIFQSENDGEGMNLVLYFKLSENYLKELSLRFRENIIRLINDEVERVKGFPVDTIAPFRERLKMMGRVANLQDLHLSTAEKKLMNAYNEKPVLSRPQHEFYLGENYFEIDLDLHRFSYISRKGLEAFQDRYKLCMLDFGLTIQGNKAEDLPESILCCIRLNRINYSNYHQLRL >EOY03284 pep chromosome:Theobroma_cacao_20110822:4:10966827:10970367:-1 gene:TCM_018116 transcript:EOY03284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGSCVSKQGSFGGFAKEEKRKILRRRRIIKRRVSSRKLEHGELCGASKDFSRASSAIQVTGSADLAWWDCFSVFESEADDDFYSLNDDVVSMTGSEIVSVLSVSSPRDLSLTSKTETVTSVLSSGQQQKSREKNTNSQLKANDNPTEGSPVYVDDISSESTRGDEKQVVHHVGLAAHTCLPCIPSTALSLEKKRSFGPGTLSSRRKAHLKLSFKWRDGHANPTVVSPKVPLQRPIAGTSVPYCPKEKDMPDCWSPIEPSSFKVRGHNYFRDKKKEFASNSAAFYPFGVDLFLSQRKIDHIARFVELPVFNLSEEIPSILVVNIQRLINDEVERVKGFPVDTIAPFRERLKMMGRVANLQDLHLSTAEKKLMNAYNEKPVLSRPQHEFYLGENYFEID >EOY03285 pep chromosome:Theobroma_cacao_20110822:4:10967085:10970185:-1 gene:TCM_018116 transcript:EOY03285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGSCVSKQGSFGGFAKEEKRKILRRRRIIKRRVSSRKLEHGELCGASKDFSRASSAIQVTGSADLAWWDCFSVFESEADDDFYSLNDDVVSMTGSEIVSVLSVSSPRDLSLTSKTETVTSVLSSGQQQKSREKNTNSQLKANDNPTEGSPVYVDDISSESTRGDEKQVVHHVGLAAHTCLPCIPSTALSLEKKRSFGPGTLSSRRKAHLKLSFKWRDGHANPTVVSPKVPLQRPIAGTSVPYCPKEKDMPDCWSPIEPSSFKVRGHNYFRDKKKEFASNSAAFYPFGVDLFLSQRKIDHIARFVELPVFNLSEEIPSILVVNIQRLINDEVERVKGFPVDTIAPFRERLKMMGRVANLQDLHLSTAEKKLMNAYNEKPVLSRPQHEFYLVILSIDVSI >EOY03282 pep chromosome:Theobroma_cacao_20110822:4:10966544:10970185:-1 gene:TCM_018116 transcript:EOY03282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGSCVSKQGSFGGFAKEEKRKILRRRRIIKRRVSSRKLEHGELCGASKDFSRASSAIQGSADLAWWDCFSVFESEADDDFYSLNDDVVSMTGSEIVSVLSVSSPRDLSLTSKTETVTSVLSSGQQQKSREKNTNSQLKANDNPTEGSPVYVDDISSESTRGDEKQVVHHVGLAAHTCLPCIPSTALSLEKKRSFGPGTLSSRRKAHLKLSFKWRDGHANPTVVSPKVPLQRPIAGTSVPYCPKEKDMPDCWSPIEPSSFKVRGHNYFRDKKKEFASNSAAFYPFGVDLFLSQRKIDHIARFVELPVFNLSEEIPSILVVNIQIPLYPVTIFQSENDGEGMNLVLYFKLSENYLKELSLRFRENIIRLINDEVERVKGFPVDTIAPFRERLKMMGRVANLQDLHLSTAEKKLMNAYNEKPVLSRPQHEFYLGENYFEIDLDLHRFSYISRKGLEAFQDRYKLCMLDFGLTIQGNKAEDLPESILCCIRLNRINYSNYHQLRL >EOY03286 pep chromosome:Theobroma_cacao_20110822:4:10966508:10970367:-1 gene:TCM_018116 transcript:EOY03286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGSCVSKQGSFGGFAKEEKRKILRRRRIIKRRVSSRKLEHGELCGASKDFSRASSAIQGSADLAWWDCFSVFESEADDDFYSLNDDVVSMTGSEIVSVLSVSSPRDLSLTSKTETVTSVLSSGQQQKSREKNTNSQLKANDNPTEGSPVYVDDISSESTRGDEKQVVHHVGLAAHTCLPCIPSTALSLEKKRSFGPGTLSSRRKAHLKLSFKWRDGHANPTVVSPKVPLQRPIAGTSVPYCPKEKDMPDCWSPIEPSSFKVRGHNYFRDKKKEFASNSAAFYPFGVDLFLSQRKIDHIARFVELPVFNLSEEIPSILVVNIQRLINDEVERVKGFPVDTIAPFRERLKMMGRVANLQDLHLSTAEKKLMNAYNEKPVLSRPQHEFYLVILSIDVSI >EOY03283 pep chromosome:Theobroma_cacao_20110822:4:10965947:10970371:-1 gene:TCM_018116 transcript:EOY03283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGSCVSKQGSFGGFAKEEKRKILRRRRIIKRRVSSRKLEHGELCGASKDFSRASSAIQGSADLAWWDCFSVFESEADDDFYSLNDDVVSMTGSEIVSVLSVSSPRDLSLTSKTETVTSVLSSGQQQKSREKNTNSQLKANDNPTEGSPVYVDDISSESTRGDEKQVVHHVGLAAHTCLPCIPSTALSLEKKRSFGPGTLSSRRKAHLKLSFKWRDGHANPTVVSPKVPLQRPIAGTSVPYCPKEKDMPDCWSPIEPSSFKVRGHNYFRDKKKEFASNSAAFYPFGVDLFLSQRKIDHIARFVELPVFNLSEEIPSILVVNIQRLINDEVERVKGFPVDTIAPFRERLKMMGRVANLQDLHLSTAEKKLMNAYNEKPVLSRPQHEFYLGENYFEIDLDLHRFSYISRKGLEAFQDRYKLCMLDFGLTIQGNKAEDLPESILCCIRLNRINYSNYHQLRL >EOY05377 pep chromosome:Theobroma_cacao_20110822:4:28300468:28303368:-1 gene:TCM_020387 transcript:EOY05377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal 3-ketoacyl-CoA thiolase 3 isoform 2 MAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMTTNPMAWEGSVNPRVKQMEQAQNCLLPMGVTSENVAHRFGVTRQEQDQAAVESHRKAAAATASGKFKDEIIPVTTKIVDPKTGDEKPVTISVDDGIRPNTSLSDLAKLRPVFKKDGSTTAGNSSQVSDGAGAVLLMKRSVAMLKGLPILGVFRTFAAVGVDPAIMGVGPAVAIPAAVKAAGLELDDIDLYEINEAFASQFVYCRKKLELDPGARCVATLLHEMKRRGKDCRFGVISMCIGTGMGAAAVFERGDHTDELCNARKVETNSFLSKDAL >EOY05375 pep chromosome:Theobroma_cacao_20110822:4:28300034:28304631:-1 gene:TCM_020387 transcript:EOY05375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal 3-ketoacyl-CoA thiolase 3 isoform 2 MEKAINRQQILLQHLNPSAPSSADQNYNSALSASACLAGDSAAYQRTNAFGDDVVIVAAYRTALCKSKRGGFKDTYPDDLLAPVLRALIEKTNLNPGEVGDIVVGTVLAPGSQRASECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMTTNPMAWEGSVNPRVKQMEQAQNCLLPMGVTSENVAHRFGVTRQEQDQAAVESHRKAAAATASGKFKDEIIPVTTKIVDPKTGDEKPVTISVDDGIRPNTSLSDLAKLRPVFKKDGSTTAGNSSQVSDGAGAVLLMKRSVAMLKGLPILGVFRTFAAVGVDPAIMGVGPAVAIPAAVKAAGLELDDIDLYEINEAFASQFVYCRKKLELDPKKINVNGAAMAIGHPLGATGARCVATLLHEMKRRGKDCRFGVISMCIGTGMGAAAVFERGDHTDELCNARKVETNSFLSKDAL >EOY05376 pep chromosome:Theobroma_cacao_20110822:4:28300469:28304320:-1 gene:TCM_020387 transcript:EOY05376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal 3-ketoacyl-CoA thiolase 3 isoform 2 MDLFVIGLLFDCSKPCGDTETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMTTNPMAWEGSVNPRVKQMEQAQNCLLPMGVTSENVAHRFGVTRQEQDQAAVESHRKAAAATASGKFKDEIIPVTTKIVDPKTGDEKPVTISVDDGIRPNTSLSDLAKLRPVFKKDGSTTAGNSSQVSDGAGAVLLMKRSVAMLKGLPILGVFRTFAAVGVDPAIMGVGPAVAIPAAVKAAGLELDDIDLYEINEAFASQFVYCRKKLELDPKKINVNGAAMAIGHPLGATGARCVATLLHEMKRRGKDCRFGVISMCIGTGMGAAAVFERGDHTDELCNARKVETNSFLSKDAL >EOY04254 pep chromosome:Theobroma_cacao_20110822:4:23022561:23023904:1 gene:TCM_019510 transcript:EOY04254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFNVDSIARRCSRLVGIGGILRDYCGEVKIIFSKALGEADSNLAEMMAVKESLLIFSVSRWNENHKLLIESDLSNAVKWTKHPIQHHDE >EOY04396 pep chromosome:Theobroma_cacao_20110822:4:24176712:24179582:-1 gene:TCM_019661 transcript:EOY04396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear shuttle interacting isoform 3 MLTHNVAAPSSIRPILSYDCHCQVSNQLFFPLSPNINPGTWKANRKLKGCRLKASFWESIRSGILKNNTTQIIEPPSTLEKEEEPLPEEFVLVEKSQPDGEVEQIIFSSGGDVDIYELQALCDKVGWPRRPLSKLAAALKNSYMVATLHSVRKLASSEGDEQKKLIGMARATSDHAFNATIWDVLVDPCYQGQGLGKAMVEKLIRTLLQRDIGNITLFADSQVVEFYRNLGFEPDPEGIKGMFWYPRY >EOY04395 pep chromosome:Theobroma_cacao_20110822:4:24176438:24179444:-1 gene:TCM_019661 transcript:EOY04395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear shuttle interacting isoform 3 MLTHNVAAPSSIRPILSYDCHCQVSNQLFFPLSPNINPGTWKANRKLKGCRLKASFWESIRSGILKNNTTQIIEPPSTLEKEEEPLPEEFVLVEKSQPDGEVEQIIFSSGGDVDIYELQALCDKVGWPRRPLSKLAAALKNSYMVATLHSVRKLASSEGDEQKKLIGMARATSDHAFNATIWDVLVDPCYQGQGLGKAMVEKLIRTLLQRDIGNITLFADSQVVEFYRNLGFEPDPEGIKDFRGAWDIRGGCLYVSQVLIIHLSL >EOY04397 pep chromosome:Theobroma_cacao_20110822:4:24176745:24179619:-1 gene:TCM_019661 transcript:EOY04397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear shuttle interacting isoform 3 MLTHNVAAPSSIRPILSYDCHCQVSNQLFFPLSPNINPGTWKANRKLKGCRLKASFWESIRSGILKNNTTQIIEPPSTLEKEEEPLPEEFVLVEKSQPDGEVEQIIFSSGGDVDIYELQALCDKCTWGSYLTEGDEQKKLIGMARATSDHAFNATIWDVLVDPCYQGQGLGKAMVEKLIRTLLQRDIGNITLFADSQVVEFYRNLGFEPDPEGIKGMFWYPRY >EOY04380 pep chromosome:Theobroma_cacao_20110822:4:24106986:24107580:1 gene:TCM_019646 transcript:EOY04380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIMACKNVFVLLFILCVLASSAAAARELNGYNKASGHNHLTARHEVNIDSYKCWNALSQLNYCTMEIFRFFLNGHSGITRDCCGAIQDIYDCDPIVLISLGFTSEQDNILLDYCYASFGPVVDPLVGSPLSAEALI >EOY04627 pep chromosome:Theobroma_cacao_20110822:4:25269141:25272073:-1 gene:TCM_019840 transcript:EOY04627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L5 B isoform 2 MAYVKAQKTKAYFKRFQVKYKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDITAQIVSASIAGDQVLAAAYARELPCYGLEVGLTNYAAAAYCTGLLLARRVLKKLEMDDEYEGNVEATGEDFSVEPTDTRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFSKDSKQLDAEVHRKYLYGGHVAAYMRTLMEDEPEKYQSHFSEYIKRGIEADDLEALYKKVHAAIRADPTAKKSEKEPPKEHKRFNLKKLTYEERKAKLIERLHTLNSAAGASEDED >EOY04626 pep chromosome:Theobroma_cacao_20110822:4:25269161:25272129:-1 gene:TCM_019840 transcript:EOY04626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L5 B isoform 2 MAYVKAQKTKAYFKRFQVKYKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDITAQIVSASIAGDQVLAAAYARELPCYGLEVGLTNYAAAYCTGLLLARRVLKKLEMDDEYEGNVEATGEDFSVEPTDTRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFSKDSKQLDAEVHRKYLYGGHVAAYMRTLMEDEPEKYQSHFSEYIKRGIEADDLEALYKKVHAAIRADPTAKKSEKEPPKEHKRFNLKKLTYEERKAKLIERLHTLNSAAGASEDED >EOY04341 pep chromosome:Theobroma_cacao_20110822:4:23991916:23997916:1 gene:TCM_019625 transcript:EOY04341 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative isoform 1 MTVSRFLHSRSCLPRLFFGTTITRNGRLLSTGFNKIDEQLKTDEAGTFDTKRPPTGKLLVLGGNGFVGSHICREALEQGLTVSSLSRSGRPSLHDSWLNDVLWHKGDLLSPGSLKQAMSEATSVISCVGAFGSNPYMYRINGTANVNAIRAAVEQRVKRFVYVSAADFGLLYYLLRGYYEGKRVTEAELTDKFPNGAGIILRPGFIHGTRQVGNMKFPLSAIGAPLQMVLQHAKFLTRIPLIGPLFIPPVKVTSVAKVAVRAAIDPLFPSGIVDVYGILQHSQQKSA >EOY04340 pep chromosome:Theobroma_cacao_20110822:4:23992003:23997916:1 gene:TCM_019625 transcript:EOY04340 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative isoform 1 MTVSRFLHSRSCLPRLFFGTTITRNGRLLSTGFNKIDEQLKTDEAGTFDTKRPPTGKLLVLGGNGFVGSHICREALEQGLTVSSLSRSGRPSLHDSWLNDVLWHKGDLLSPGSLKQAMSEATSVISCVGAFGSNPYMYRINGTANVNAIRAAVEQRVKRFVYVSAADFGLLYYLLRGYYEGKRVTEAELTDKFPNGGIILRPGFIHGTRQVGNMKFPLSAIGAPLQMVLQHAKFLTRIPLIGPLFIPPVKVTSVAKVAVRAAIDPLFPSGIVDVYGILQHSQQKSA >EOY05405 pep chromosome:Theobroma_cacao_20110822:4:28457172:28457805:1 gene:TCM_020412 transcript:EOY05405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADSVKILPLLLLAFCCIYPIAVAIEAITVTIHIIIELPPSSGITRTDCIGEEWKLKPGENFRFTRWPDRQYICKAENGSKKGSWLAFDPFRDLLRRDVYWSVREDGFYFTYDQYNWIKINGWED >EOY02901 pep chromosome:Theobroma_cacao_20110822:4:2878586:2879460:-1 gene:TCM_017298 transcript:EOY02901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIECAFDIWSCIGLDSGDAKPMSNSPQLTQRPWNVLLSNPMVCEATSHLRNKKTMQPKPSTLPTLGLEKPAEGLDSKPSP >EOY04487 pep chromosome:Theobroma_cacao_20110822:4:24593868:24596182:1 gene:TCM_019729 transcript:EOY04487 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative MAIIRSLSQLNIKAPPPSPIPTANGSRSAANETFTDFLEKSLQVPDLTLPESQSQERHHLAYPPYEIDFQSLSLRETSSVERLLRSARELGAFRIGCHGFIKGEELRALVREAARVFGVLEERDTGFRRYLSGKREEIVWVRCKVERMEWARQYIGAPLYQSFSEKMEKVASKLDQLAEELGQILVENASEQQRKRVQRGEPLLSIYKYNQQDKIMEQKSQLNEEETGHSCHNYTLSLHLPTKDCEFCVKSGPSGLLTFDAGPETIIVTVGQQLEEWSLGEFKSVSGRIINQAELRGSQSFFSMELKWSSLNINRTYKTTYQKFSLADQFLIALIIVFLYSIFMLKNSR >EOY05785 pep chromosome:Theobroma_cacao_20110822:4:29787941:29788647:-1 gene:TCM_020696 transcript:EOY05785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVSCHRHHHTVVAFTFLLFASASVITLSQLGSGFQEREGSKKRSGSGVLDRFLAQKRLGGPGSSPPSCRSKCGSCSPCKPVHVPIQPGFSMPLEYYPEAWRCKCGNKLFMP >EOY03883 pep chromosome:Theobroma_cacao_20110822:4:20172373:20173037:-1 gene:TCM_019096 transcript:EOY03883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPLKHFPSKEGCSSSESGWTRYLASPMQDDFECSEDNYNKYSIKDDDDNDGDDGEGNSDDSMVSDASSAPSHHQYKHKDGQGSHSSSHLKHDEGDYAGKHSSRKEGKKEAKKSVENSGKSKRRLGGQAKSGK >EOY05646 pep chromosome:Theobroma_cacao_20110822:4:29287167:29287785:1 gene:TCM_020588 transcript:EOY05646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQVSSLATTTEAIVTEPSKDEKEAPAAPGGMAGLDCLAFHNSLVSAMVMLYSKFVEANFLYDETRLRLERGWHSSLGPFLSPLLIE >EOY03574 pep chromosome:Theobroma_cacao_20110822:4:17229184:17231919:-1 gene:TCM_018686 transcript:EOY03574 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 88A1, putative MSIFQGSETSQPHIALFPSAGMGHLTPFLRLASMLLSHNCMVTLITTKSTVSVAESTYISFFLSTHPEIKHIEFQVPPMQPSNTTTDDPFFIQFKATNRSAHLIHPLISSLSPPLSAIFSDLVVASGVSKVAVDLATLVSNTITLPDCKGILMNTFDCFEPETVEKTLSAINNKRALRNLPLILPIGPLGTYELEKDQGQYLPWLNSQPAESVVFVSFGSRTAMSKDQIKELRHGLQKSEYRFLWILKTKTVDKDDTEDLEDLLSCSFLERTKNKGMVLKEWVNQQDILAHPAVGGFVNHCGWNSVMEAAQRGIPMLAWPQHGDQKANAEVLEKAGLGIWNRTWGWGGQRLVKTDGNQKRISELMTDVKLKSRAKKVGEEARQATGNGGSSIKTTMEVLLKEKQKIEWDIVEAGIFLTSPNVLSLIGISGTV >EOY05600 pep chromosome:Theobroma_cacao_20110822:4:29105115:29115387:-1 gene:TCM_020560 transcript:EOY05600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MARIVCHQGLQSRLESQLVEPRALRLKLTSTRPHFSQPREFAIESCCFDSNIKEVEEKCCYDEEVSKKTDSLLDKHTSSSPDSGGWSFLQALATCSQSSKEIVEKENTYVHPLVKRSLSTLSEKSLELCTENLGNETGSDILEDSIFVLSSSDSEGGNSPTRKHYKSRQPLGAKKATSRNFPPPLTTISGSDSLQVRPHREDGRLVIKAVKAPSANTLFQAERSNGRLRLCLLKDSTSSFDYKEAIADNECEGSSEDNKEEEFENDSNCEAEEEEEEEEEEAEEEEYSGYMEEGMDGKRADVGAELGLKKFQSPGRGRCKEGEHENKGLLNWEPFWVATS >EOY05601 pep chromosome:Theobroma_cacao_20110822:4:29105284:29106306:-1 gene:TCM_020560 transcript:EOY05601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MARIVCHQGLQSRLESQLVEPRALRLKLTSTRPHFSQPREFAIESCCFDSNIKEVEEKCCYDEEVSKKTDSLLDKHTSSSPDSGGWSFLQALATCSQSSKEIVEKENTYVHPLVKRSLSTLSEKSLELCTENLGNETGSDILEDSIFVLSSSDSEGGNSPTRKHYKSRQPLGAKKATSRNFPPPLTTISGSDSLQVRPHREDGRLVIKAVKAPSANTLFQAERSNGRLRLCLLKDSTSSFDYKEAIADNECEGSSEDNKEEEFENDSNCEAEEEEEEEEEEAEEEEYSGYMEEGMDGKRADVGAELGLKKFQSPGRGRCKEGEHENKGLLNWEPFWVATS >EOY06217 pep chromosome:Theobroma_cacao_20110822:4:31313846:31319841:1 gene:TCM_021019 transcript:EOY06217 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLU-ADT subunit B isoform 1 MASTLFRTIQIHPFLLYPTAICRRRNGVLYCTMKSTQTQTATQEKQQPPKVKVSQHTQSKTPDKITRDYEAIIGIETHVQLSTLTKAFCSCPYNYGSQPNTSICPICMGLPGALPVLNSKVIEFAVKLGLALNCKLSLNSKFDRKQYFYPDLPKGYQISQFDIPIATGGYIDLDLPLEFGGGHRKFGITRVHMEEDAGKLLHSGNGDYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYAAELQRVVRYLGVSNGNMQEGSLRCDVNVSIRPVGQSEFGTKVEIKNLNSFSSINRAIDFEISRQALLHSQGHDDQIVQETRLWEEGAQKTVTMRKKEGLADYRYFPEPDLPEVILTQEYVDGIRNSLPELPEMKRRRYEKMGLSMQDVLFLANDVNVAEYFDATISRGADIKLAANWIMGDIAAYMKNEKLSINEIKLMPEELVELIASIKGGTISGKIGKEILFELLAKGGTVKGLIEEKDLVQIVDPVEIGKMVDKVLSENPKQLEQYRGGKTKLQGFFAGQVMKISKGKANPVLLNKILLEKLNAKS >EOY06218 pep chromosome:Theobroma_cacao_20110822:4:31313732:31319841:1 gene:TCM_021019 transcript:EOY06218 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLU-ADT subunit B isoform 1 MASTLFRTIQIHPFLLYPTAICRRRNGVLYCTMKSTQTQTATQEKQQPPKVKVSQHTQSKTPDKITRDYEAIIGIETHVQLSTLTKAFCSCPYNYGSQPNTSICPICMGLPGALPVLNSKVIEFAVKLGLALNCKLSLNSKFDRKQYFYPDLPKGYQISQFDIPIATGGYIDLDLPLEFGGGHRKFGITRVHMEEDAGKLLHSGNGDYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYAAELQRVVRYLGVSNGNMQEGSLRCDVNVSIRPVGQSEFGTKVEIKNLNSFSSINRAIDFEISRQALLHSQGHDDQIVQETRLWEEGAQKTVTMRKKEGLADYRYFPEPDLPEVILTQEYVDGIRNSLPELPEMKRRRYEKMGLSMQDVLFLANDVNVAEYFDATISRGADIKLAANWIMGDIAAYMKNEKLSINEIKLMPEELVELIASIKGGTISGKIGKEILFELLAKGGTVKGLIEEKDLVQIVDPVEIGKMVDKVLSENPKQLEQYRGGKTKLQGFFAGQVMKISKGKANPVLLNKILLEKLNAKS >EOY04498 pep chromosome:Theobroma_cacao_20110822:4:24673694:24683150:1 gene:TCM_019739 transcript:EOY04498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDCNSWIVDIGATDHIACSLHCFTTYKSIEGIFVKMPNNVRALVTHIGIVQITHTLLLDNVLFVPSFKFNLISVSQLSKLGNHCLIFTNKYCIIQEIHSWTVIGVAEVKTSLYLMQYKLLGKRSQRPVSASAIVTAPAIQFSEWKDAMNTELKALEENGTWTVMPLPFGFQTISCKWIFKVKLHADGTIESVISSSLDLATDVRNHLQKSFKLKDLGTPKYFLGLEIARCKKGILLCQRKYVLDLLNEHGMLGCKPVTTLIDYNCKLTKTDDAEQISDPSKYRQLVGKLIYLTFTRPDITFAAQTLSRFMDKPRETHLHAVYRVLKYLKAALGQGILFPANSSLQLQVYVDSDWARCCDTEKSVTGYAMFLENSLISWKSKKQSVVARRSTEAEYKAMASACCESMWLIFLLQDFEIKHTSAINFYCDNQSSIHICKNPTFHERTKHIVMDCHFIREKVLAGLINPKYRSIEFGFIPTLR >EOY03583 pep chromosome:Theobroma_cacao_20110822:4:17319294:17325092:1 gene:TCM_018692 transcript:EOY03583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-regulated ion channel family protein isoform 2 MLTFAFLLQAAFWLMVPKLLRAERYKLIMTILLSIFLFQFLPKVYHSLCLMRRMRKVTGYIFGSVWWGFGINLIAYLIASHVAGGCWYVLATQRVVTCLQQQCERSASCNPSSSCSKRVCSQYLSPANVLSSQCGGKSITMTKSFCFDPDGPFRYGIYEPAPPVISSNSLAVKILYPIFWGLLNLSSFGNQLSPTSNPMEVAFSICIVLGGLLLFTLLVGNIQVFLHVVMANRKKLQLRRRDVEWWMRRRQLPSGLRQRVRHFESQTWDSMGGEEEMKWIEELPEGLRRDIKRYLCLDLIKKVPLFHSLDDLILENVCDRVKPLIYSKDEKVQQIIREGDPVPRMVFIVRGHARRSQGFSKGIIATSVLEPGGFLGDELLSWCLRRPFLDRLPTSSATFTCDEFTEAFGLDADDLRYITEHFRYRFAHNKLKRTARYYSSNWRTWAAVNIQFAWRFYKMRTRGPLIPVTANGGMEGRLRKYAAMFMSLRPHDHLE >EOY03581 pep chromosome:Theobroma_cacao_20110822:4:17291004:17325357:1 gene:TCM_018692 transcript:EOY03581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-regulated ion channel family protein isoform 2 MPSLPFPRNRWFGLARTWGFNNDSRSSSEQDGSVSNSIECYACTQVGVPVFHSTSCDSVHQPQWEAFAGSSLFPVQAASDPTKNSTRTRSRFSSGPFGKALDPRSALVQRWNRVLLVARGIALAVDPLFFYTLTLITREDGAPCVFLDSGLAAIVTVVRTCVDAVHLWHIWLQFRLAYVSKESLVVGCGKLVWDARAIASHYVRSLKGFWFDVFVILPVPQAAFWLMVPKLLRAERYKLIMTILLSIFLFQFLPKVYHSLCLMRRMRKVTGYIFGSVWWGFGINLIAYLIASHVAGGCWYVLATQRVVTCLQQQCERSASCNPSSSCSKRVCSQYLSPANVLSSQCGGKSITMTKSFCFDPDGPFRYGIYEPAPPVISSNSLAVKILYPIFWGLLNLSSFGNQLSPTSNPMEVAFSICIVLGGLLLFTLLVGNIQVFLHVVMANRKKLQLRRRDVEWWMRRRQLPSGLRQRVRHFESQTWDSMGGEEEMKWIEELPEGLRRDIKRYLCLDLIKKVPLFHSLDDLILENVCDRVKPLIYSKDEKIIREGDPVPRMVFIVRGHARRSQGFSKGIIATSVLEPGGFLGDELLSWCLRRPFLDRLPTSSATFTCDEFTEAFGLDADDLRYITEHFRYRFAHNKLKRTARYYSSNWRTWAAVNIQFAWRFYKMRTRGPLIPVTANGGMEGRLRKYAAMFMSLRPHDHLE >EOY03582 pep chromosome:Theobroma_cacao_20110822:4:17319201:17325120:1 gene:TCM_018692 transcript:EOY03582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-regulated ion channel family protein isoform 2 MPSLPFPRWFGLARTWGFNNDSRSSSEQDGSVSNSIECYACTQVGVPVFHSTSCDSVHQPQWEAFAGSSLFPVQAASDPTKNSTRTRSRFSSGPFGKALDPRSALVQRWNRVLLVARGIALAVDPLFFYTLTLITREDGAPCVFLDSGLAAIVTVVRTCVDAVHLWHIWLQFRLAYVSKESLVVGCGKLVWDARAIASHYVRSLKGFWFDVFVILPVPQVAGGCWYVLATQRVVTCLQQQCERSASCNPSSSCSKRVCSQYLSPANVLSSQCGGKSITMTKSFCFDPDGPFRYGIYEPAPPVISSNSLAVKILYPIFWGLLNLSSFGNQLSPTSNPMEVAFSICIVLGGLLLFTLLVGNIQVFLHVVMANRKKLQLRRRDVEWWMRRRQLPSGLRQRVRHFESQTWDSMGGEEEMKWIEELPEGLRRDIKRYLCLDLIKKVPLFHSLDDLILENVCDRVKPLIYSKDEKIIREGDPVPRMVFIVRGHARRSQGFSKGIIATSVLEPGGFLGDELLSWCLRRPFLDRLPTSSATFTCDEFTEAFGLDADDLRYITEHFRYRFAHNKLKRTARYYSSNWRTWAAVNIQFAWRFYKMRTRGPLIPVTANGGMEGRLRKYAAMFMSLRPHDHLE >EOY04133 pep chromosome:Theobroma_cacao_20110822:4:22194124:22200826:1 gene:TCM_019386 transcript:EOY04133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MGGVTSSIAAKFAFFPPNPPSYKVVEDESFGGRLYIPEVPRRDDVDVLKLRTRRGNDIVAVHIKHPKASATLLYSHGNAADLGQMFELFVELCNRLRVNLMADEVVDCSHGKQLWELCKHKYDPLWVSGGGHCNLELYPEFIRHLKKFVLSLNKSKAATNGSEKTMVNSDNQSKPSEIGTSDTFELGSDLPEVSRNSLDSRLEKSKKSNKPEKSRMSTDRVDRFRRKKGLVW >EOY04132 pep chromosome:Theobroma_cacao_20110822:4:22193855:22200662:1 gene:TCM_019386 transcript:EOY04132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MGGVTSSIAAKFAFFPPNPPSYKVVEDESFGGRLYIPEVPRRDDVDVLKLRTRRGNDIVAVHIKHPKASATLLYSHGNAADLGQMFELFVELCNRLRVNLMGYDYSGYGQSTGKPTECNTYADIDAAYKCLKEQYGVRDEQLILYGQSVGSGPTVDLASRLPNLRGVVLHSPILSGMRVLYPVKRTYWFDIYKNIDKIGVVNCQVLVIHGTADEVVDCSHGKQLWELCKHKYDPLWVSGGGHCNLELYPEFIRHLKKFVLSLNKSKAATNGSEKTMVNSDNQSKPSEIGTSDTFELGSDLPEVSRNSLDSRLEKSKKSNKPEKSRMSTDRVDRFRRKKGLVW >EOY05228 pep chromosome:Theobroma_cacao_20110822:4:27670729:27672383:-1 gene:TCM_020283 transcript:EOY05228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein MFPGFFLSFLPLFFYSSHSCTFTITNNCPYTIWPGTLAGSGTPPLPTTGFQLDSGQSVRIPSVPGWSGRIWGRTGCSFDALGAGSCQTGDCGGRLECDGNGATPPASLFEITLGAGNDLDFYDVSIVDGYNLPLVAAPRGVYGACNATGCASDLNMGCPKELQVVGGDGGAAGLVVACKSACEAFGLDQYCCSGEFANPTTCRPTFYSTIFKRACPRAYSYAYDDGTSTFTCKAYDYQIIFCPNSQRAKRSDGAFTPPFNEDEAEGKVVQMVSSSNILLPLPMLVFLVVNMFF >EOY04301 pep chromosome:Theobroma_cacao_20110822:4:23567892:23572668:1 gene:TCM_019571 transcript:EOY04301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine diphosphate glycosyltransferase 74E2, putative isoform 2 MLQFSKRLASKSLRVTLITTSKSMQPSASSVNFESIEFEEGERTNSVDDYLELYEKLIPKRLAKFIENQTCSQHPAKVLVYDSCMPWALAVAKNFGVQGASFFTQSWAVNAIFYHLKQGTFRVPPEEPVVSLPSMPELRLSDLPSFVCDNSGSYPGLCKLVKNQFSNFEEANWVFCNTYDELEDEVINCMASKWPIKTVGPTIPSMYLDKRIKDDNDYGLHLFKPDSELCIKWLDSKETDSVVYVSFGSLAGLTEEQMLELSLGLKRSNRYFLWVVREAEQSKIPSNFIEETSEKGLVVSWCPQLDVLAHRAVGCFMTHCGWNSTLEALSLGVPMIAMPQWTDQPTNAKFVADVWQGGIRVSKDEKGVVTKEEVEWCIREIMEGERSLEIRKNSEKWKNLAKEAVDEGGSSDKNIEEFVAKLLCN >EOY04300 pep chromosome:Theobroma_cacao_20110822:4:23566856:23572771:1 gene:TCM_019571 transcript:EOY04300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine diphosphate glycosyltransferase 74E2, putative isoform 2 MIMERQSRLGETHVLVLPFPIQGHINPMLQFSKRLASKSLRVTLITTSKSMQPSASSVNFESIEFEEGERTNSVDDYLELYEKLIPKRLAKFIENQTCSQHPAKVLVYDSCMPWALAVAKNFGVQGASFFTQSWAVNAIFYHLKQGTFRVPPEEPVVSLPSMPELRLSDLPSFVCDNSGSYPGLCKLVKNQFSNFEEANWVFCNTYDELEDEVMQGHINPMLQFAKRLASKGLKVTFLTTKPMQSPSTSISIQIIEFPEGEQANGTEEFAHLFKTLVSERLTNLIDRLNSSSSDPPKALVYDSFLPWALDVAKQCGLHGASFFTQSWSNSSIYYHLNQGTLKVPLEENAVVSLPSMPVLGINDLPSFVSDTGSYPSLLKMVVDRFSNFQEADWLFCNTFKELEHEVINCMASKWPIKTVGPTIPSMYLDKRIKDDNDYGLHLFKPDSELCIKWLDSKETDSVVYVSFGSLAGLTEEQMLELSLGLKRSNRYFLWVVREAEQSKIPSNFIEETSEKGLVVSWCPQLDVLAHRAVGCFMTHCGWNSTLEALSLGVPMIAMPQWTDQPTNAKFVADVWQGGIRVSKDEKGVVTKEEVEWCIREIMEGERSLEIRKNSEKWKNLAKEAVDEGGSSDKNIEEFVAKLLCN >EOY03840 pep chromosome:Theobroma_cacao_20110822:4:19756653:19763967:-1 gene:TCM_019032 transcript:EOY03840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQAMIRGFDAPLFIPILKEGVVYLIDKYQVMKSKHNFNVLLEDLMIVLSRMSEVKEIVEDNTQYPDYHFNFVHFKDLPDKIYKKKILTDVIGIVTTITPVTKVQLNNRDVPVQKRDIYIQNASCESLKVVLYGDITLSIQEQEILQRNTNVVLAFAGLMIKT >EOY05688 pep chromosome:Theobroma_cacao_20110822:4:29502078:29503823:1 gene:TCM_020627 transcript:EOY05688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein, putative MTLHLLRKAIAFPSIGNSGCLARLRNFCSRVPPIACNEDIKPIPSTNYSSRIHLSPLFNDNSSHLGRYDIELVDDETWQVSSGLVHAYKGFDREIETRPFMEAVDQRVANASTTIEDGQDFDDIDNMRIRGNLFYKIDRGSKEFEEYAYDFHRKKGSKNKGDRKESEKESLKKKDDPKESKRNDKSNVKTLEVVRNRSVTNILDKVEGCSVEKKKVRSPTFNQLTGPYHEPFCLDIYISKASVRACIIHRATSKVVAVAHSISKDMKFDLGSTRNASACAAVGEILAQRALDDDIHDVIYTPRKGDRLEGKLQFVLQSIIDNGVNVKVKLKQRRTKTAAQASTT >EOY03783 pep chromosome:Theobroma_cacao_20110822:4:19274792:19318094:1 gene:TCM_018968 transcript:EOY03783 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MSGAEKVVCVTGASGFIASWLVKLLLQRGYTVKATVRDPNFMYEYETDDPKKTQHLLSLEGAKERLHLFKAELVDEGCFDSIVDGCQGVFHAASPVFLSAADPQAEIIGPAVKGTLNVLKSCAKVASMKRVVLTSSLASVLHNGRPLTPDVVVDETWFSDPLLCEEGKVCTSANLWRWYMLSKTLAEEAAWRFAKDTGIDMVTIHPGMVFGPFLQPALNSSSEVILNLTKGVHSFPSPTCPFVDVRDVAYAHIQAFEVPSACGRYCLVERAVPYSEVQKTLSELHPTVHLNEKYEDHSKPPEQTYQVSKEKAKSLGISFIPLEVSLRDTVESLKGKGFLSI >EOY06407 pep chromosome:Theobroma_cacao_20110822:4:31826263:31828942:1 gene:TCM_021135 transcript:EOY06407 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MASSGMVGENDLLIVGPGVLGRLVAEKWREENPGCQIYGQSVTTDHHHELMTMGINPFLKGNKTDQKFPYVIFCAPPSKTPDYPGDIRMAALSWNGEGSFLFTSSSAPFDCYDNGPCDEDTSTVPIGRSPRTDVILKAEKVVLDFGGCVVRLAGLYKLDRGAHFYWLQKGTVDCRPDHILNLIHYEDAASLSVTILKKKLRVQIFLGCDNHPLSRQEVMDLVEKSGKFSKKFEAFTGGKKYIIDDRH >EOY06406 pep chromosome:Theobroma_cacao_20110822:4:31826112:31828506:1 gene:TCM_021135 transcript:EOY06406 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MGTISCVSHGHFSNFTSFSKNSICSSSSASLPFFKNPIRIFKSFTFSSSMAASLRVSASSTIGERSEPVEAMASSGMVGENDLLIVGPGVLGRLVAEKWREENPGCQIYGQSVTTDHHHELMTMGINPFLKGNKTDQKFPYVIFCAPPSKTPDYPGDIRMAALSWNGEGSFLFTSSSAPFDCYDNGPCDEDTSTVPIGRSPRTDVILKAEKVVLDFGGCVVRLAGLYKLDRGAHFYWLQKGTVDCRPDHILNLIHYEDAASLSVTILKKKLRVQIFLGCDNHPLSRQEVMDLVEKSGKFSKKFEAFTGH >EOY06405 pep chromosome:Theobroma_cacao_20110822:4:31826020:31829802:1 gene:TCM_021135 transcript:EOY06405 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MGTISCVSHGHFSNFTSFSKNSICSSSSASLPFFKNPIRIFKSFTFSSSMAASLRVSASSTIGERSEPVEAMASSGMVGENDLLIVGPGVLGRLVAEKWREENPGCQIYGQSVTTDHHHELMTMGINPFLKGNKTDQKFPYVIFCAPPSKTPDYPGDIRMAALSWNGEGSFLFTSSSAPFDCYDNGPCDEDTSTVPIGRSPRTDVILKAEKVVLDFGGCVVRLAGLYKLDRGAHFYWLQKGTVDCRPDHILNLIHYEDAASLSVTILKKKLRVQIFLGCDNHPLSRQEVMDLVEKSGKFSKKFEAFTGTSDPLGKKLNNTKTRQELGWEPKYPSFANFLGVSE >EOY03759 pep chromosome:Theobroma_cacao_20110822:4:19092603:19093392:-1 gene:TCM_018931 transcript:EOY03759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFGPLGMSNALRQIEQDLAGTETTEGYIGEEQFQNHLVMDEFDVYSFGILLFEVWWIECFRKFMEIAYSCIGKKGNEQPEMGEVQVMLEHVLELQHKADSEMKSINPHAECVYPETSFQTSVDYAILDFYLGGGGVRLSL >EOY04580 pep chromosome:Theobroma_cacao_20110822:4:25094956:25102350:1 gene:TCM_019808 transcript:EOY04580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEGDVFSEMIFSEGREVEVTSDEEGFKGAWFTATILEPPKNKTKDKALVQYKNLLDDDNQMPLTEHIKLSFIRPLPPQPKIPDDQCFEVNDVVDAFYLDGWWTGFVSKVFDYPKRYSVSFAHPPEEIEFSSSNLRPHWKWVDGKWVKPSNFQEVLISSDCQELVEVSCNATKDAEATIQLESSDAVKCSNKKHKRSRKNEMGLSTASKGKAKGKRVKRATPEGDATLLHPSQKLKDRSFAELLVLQESNMKDLPSGTPNIAVRAATIFPEKNVGGEQPAVKTWKTYVRDEVEIHLQKEHDMSRFLKEDGAKTGIKRREQPCVVLKIKQPKLVFQMSQSPSAGQNDAAGVLEEMFSREYTATEVESSAISQMERAVERTAIVSATDCQRKEVEVTEKSGGILHDNEEQPPLICYKKKHSGLRKINSVVMEENNTGHIRHGFVNQWNDPREKSLEIMVQSPLLAMTGDNELEDEGLPFVKSLPIWKAVQSMEIFQVMPQNPHFHPLVKLKEILREGVAVGHMLTFASLVQKTLNLTVADPRNLFTSILDALLDLEMLGFDVKAVRDRTSQTACSRVDQNK >EOY04581 pep chromosome:Theobroma_cacao_20110822:4:25100670:25103188:1 gene:TCM_019808 transcript:EOY04581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MELLSVLVFLSMQEIHLQKEHDMSRFLKEDGAKTGIKRREQPCVVLKIKQPKLVFQMSQSPSAGQNDAAGVLEEMFSREYTATEVESSAISQMERAVERTAIVSATDCQRKEVEVTEKSGGILHDNEEQPPLICYKKKHSGLRKINSVVMEENNTGHIRHGFVNQWNDPREKSLEIMVQSPLLAMTGDNELEDEGLPFVKSLPIWKAVQSMEIFQVMPQNPHFHPLVKLKEILREGVAVGHMLTFASLVQKTLNLTVADPRNLFTSILDALLDLEMLGFDVKAVRDRTSELLVMKDRYGHLQDQSKEVEVQVKQHAHELTKINEEIDINKKMLKELEEKQAILVSIRESKISKIASLQVCADVTTGDIQSVEFDFENLAASPW >EOY02444 pep chromosome:Theobroma_cacao_20110822:4:859914:861159:1 gene:TCM_016916 transcript:EOY02444 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT family protein MGGELKNFIRLCVLTIAVLLYSYFIAAKIPKGSPRLISLLPVITLLSILPFDLNSSHIGFPTCCCVAWLANFKLLLFAFDQGPLSVPKHDLLCFILMACFPFKIKQNPSPKKPFETKTNPNLEAATRATIVSTVVYTYNNYEHYFHKHVLFIYFFYTYHEVRRLLALAATPAQLLLGVELEPQFNAPLFSTSLQDFWGHRWNLRVSEILRATVYIPVRHISTRITGPRWGLIA >EOY05192 pep chromosome:Theobroma_cacao_20110822:4:27559619:27560319:-1 gene:TCM_020265 transcript:EOY05192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSLSFSAIVLLVLFLSAGNESRMANAIGSCDVLGHGGGCAIDECFNSCRMKYGQDAHGFCYTINAPNDTCICRHPC >EOY03241 pep chromosome:Theobroma_cacao_20110822:4:8865383:8875848:1 gene:TCM_017930 transcript:EOY03241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPRTTKSIKSDKPTVFEDASPIVALNKLSGIPWLRAIPTESAKAIKKLCKDRVRREEKLLVSTPLKEVFVAEWEYESCVVRVKDKDTLVNLVVLNTLDFDVILGMDWLSPYHASVDCYHKLLRFDFPNEPPFSIQGDRSNAPTNLISVMSTRRLLRQDCSGYLAMVRDTQVKVGDISQVSVVNEFMDVFLEELPSLPPEREIEFCIDLIPDTRPISIPPHRMAPAELKKLKDQ >EOY04492 pep chromosome:Theobroma_cacao_20110822:4:24645297:24646973:-1 gene:TCM_019734 transcript:EOY04492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVQKNNRRTTMQKAKPAEQMEKPRPTANLGSRFVVLDSEDMEQDEETAKGKKQVPKESKIAFSSGSFKLTQQSNLEISDSVVQRNPSLQPLQIQNSKIDISPESGGDKNERGAGVNGAAREKLTEPMEDDNPIPQGAYENSLSMELESNEVVAM >EOY06282 pep chromosome:Theobroma_cacao_20110822:4:31489226:31492661:1 gene:TCM_021066 transcript:EOY06282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 2 EEQSFSHKVPQSLSLSVLFSALVKLTITAVEFTLNLCTVILSLDTISSSNVPTWNSKSNNSLVEANACKAARNFLEQTGFSPEPRALELYLRRLCEVGLVEEAVEMFSMLNKIGYRPSVATWNLALLAFLKVGRNDFVWKLYQDMIDSGVVVDIDVATVGCLIQAFCNDGNASKGYELLRQVLEDGLVPDNVVFNKLIAGFCKTRNYGRVSELLHTMIARNRAPDIYTYQEIINGLCKKRKWLEGYRIFNDLKDRGYAPDRVMYTTMIHGLCKMEWLGHARKLWFEMINKGMLPNEYTYNALLHGLYKVHDLEEAEKLFKEMLDRGYGETTVSYNTMIAGFCWHGRMDEAYRLFEEMPEKGIVRDLITFNTLIKGFCMEGKIVESLNLLKELLVQGLQPSTPSYTPIIKNLCQVGHMQEAKDLLNDMQTRGLEPIVCTHDHVIAGLCEQGYVAEGMERLVEMLKNRLKPRKETFEKLVQCLSQSDRLDDSLLVLDFMFRIGYALRNGICHSLVTKFCERNAHFVESCLGEVLEIN >EOY06281 pep chromosome:Theobroma_cacao_20110822:4:31489574:31491406:1 gene:TCM_021066 transcript:EOY06281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 2 MARASSFLITLLRQNPRRLQSPNTQIRNLSVDSKETNFIAKELREIQNQSRETDDAINQPNLDIVKQVCKITRTIPRWEENLLSKFPSFNFSDPVFFRELLRQQENVFLSLCFFHWLRSKYDFSPDLDSCNVLFDKLVEANACKAARNFLEQTGFSPEPRALELYLRRLCEVGLVEEAVEMFSMLNKIGYRPSVATWNLALLAFLKVGRNDFVWKLYQDMIDSGVVVDIDVATVGCLIQAFCNDGNASKGYELLRQVLEDGLVPDNVVFNKLIAGFCKTRNYGRVSELLHTMIARNRAPDIYTYQEIINGLCKKRKWLEGYRIFNDLKDRGYAPDRVMYTTMIHGLCKMEWLGHARKLWFEMINKGMLPNEYTYNALLHGLYKVHDLEEAEKLFKEMLDRGYGETTVSYNTMIAGFCWHGRMDEAYRLFEEMPEKGIVRDLITFNTLIKGFCMEGKIVESLNLLKELLVQGLQPSTPSYTPIIKNLCQVGHMQEAKDLLNDMQTRGLEPIVCTHDHVIAGLCEQGYVAEGMERLVEMLKNRLKPRKETFEKLVQCLSQSDRLDDSLLVLDFMFRIGYALRNGICHSLVTKFCERNAHFVESCLGEVLEIN >EOY03234 pep chromosome:Theobroma_cacao_20110822:4:8819053:8828672:-1 gene:TCM_017921 transcript:EOY03234 gene_biotype:protein_coding transcript_biotype:protein_coding description:RCD one 1-like protein MSNKEIFIKLKGWEGHSDKARLKVFQKHIGITKGVRGTSNVVYAWYGALTKVVENVVAHGFRLPSKVPATNDCRVGMYFPPFGLPQLSAEMVGVTDDNGVKHVILCMVLLENVKKLEARSKQHNTSRVDFDISFDDPKNPKWYVLWSINANMHILPECVIGFKLCFLEKLISKIKNSFFPTKVREIMNSYNSFKAGMLANDAFLTH >EOY02815 pep chromosome:Theobroma_cacao_20110822:4:2357205:2358946:1 gene:TCM_017210 transcript:EOY02815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTYDTGALENLKELELLDLSQNKLSGKIPPQLTSLTFLSALDLSYNNLEGTIPQSNQFNTFSNDSHRGNPRLCMLPLTRKCDEVGFPLPLLGEDVDSLVDGISD >EOY06496 pep chromosome:Theobroma_cacao_20110822:4:32105744:32108189:1 gene:TCM_021199 transcript:EOY06496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uveal autoantigen with coiled-coil domains and ankyrin repeats isoform 4 MATSDSTPPLPVTAPLAPKENMTPVGSKIAELNESRTELLSRIQGLKQDLQNWRSKLDTQVKIYRDELTELKKTLNVEVEQLRTEFQELRTTLHQQQEDVTASLRNLGLQDVSEGAKEAEDPKIEGKDEQVHTSPLKENGKEVES >EOY06495 pep chromosome:Theobroma_cacao_20110822:4:32105753:32108189:1 gene:TCM_021199 transcript:EOY06495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uveal autoantigen with coiled-coil domains and ankyrin repeats isoform 4 MATSDSTPPLPVTAPLAPKKENMTPVGSKIAELNESRTELLSRIQGLKQDLQNWRSKLDTQVKIYRDELTELKKTLNVEVEQLRTEFQELRTTLHQQQEDVTASLRNLGLQDVSEGAKEAEDPKIEGKDEQVHTSPLKENGKEVES >EOY06497 pep chromosome:Theobroma_cacao_20110822:4:32105744:32107705:1 gene:TCM_021199 transcript:EOY06497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uveal autoantigen with coiled-coil domains and ankyrin repeats isoform 4 MATSDSTPPLPVTAPLAPKKENMTPVGSKIAELNESRTELLSRIQGLKQDLQNWRSKLDTQVKIYRDELTELKKTLNVEVEQLRTEFQELRTTLHQQQEDVTASLRNLGDVSEGAKEA >EOY06494 pep chromosome:Theobroma_cacao_20110822:4:32105697:32108163:1 gene:TCM_021199 transcript:EOY06494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uveal autoantigen with coiled-coil domains and ankyrin repeats isoform 4 MATSDSTPPLPVTAPLAPKKENMTPVGSKIAELNESRTELLSRIQGLKQDLQNWRSKLDTQVKIYRDLLLLGVLGLWYLADEVAGLFYYEMKELTELKKTLNVEVEQLRTEFQELRTTLHQQQEDVTASLRNLGLQDVSEGAKEAEDPKIEGKDEQVHTSPLKENGKEVES >EOY02820 pep chromosome:Theobroma_cacao_20110822:4:2387956:2418959:1 gene:TCM_017219 transcript:EOY02820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRILDLASNNFSGELSANFLQCLRAMMMITNENMATPKYIGELYYQDSVTIVNKGLC >EOY02821 pep chromosome:Theobroma_cacao_20110822:4:2406688:2418068:1 gene:TCM_017219 transcript:EOY02821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHNHTLTRHNTCKNDIEYCQMDAREGLKPPCNFLTVLLHLRYGGILSLFRWVSTNFHHFPQVKCSQSFSPWEEFSTPLSSPAHFQDAKSKESEGSTSWISWMRGSCGQSSDSDSHRYKDEVDPFHIPSDYTWVDAKRRMKATRKKHKRQGAAKGGHGAHQSQCLDDQRSALLQLQQGLYYSHNFTFSSKAELWNVNIDCCSWKGVTCDAHGHVIGLDLNYKNLSGSFHSIFNLHYLQSLNLAGNNFNTTLFPSQFDKLSNLTHLNLSKSCFNGQIPVEISYITRLVSLDLSYQDSCYWRNDQNAGLYVDYFPTLKLEKPNFKTLIKDMKSLTELYLDGVNISTQCTKWCEITSLALPKLRVLSLSSCGLTGPLCSSLSRLHFLSKLNLDYNPISYLPHNFLEISSRLVSLSLVNCSLSGRFPTKVFLLPKMKSIDISRNRNLTGQLPEFPLISILQFLSLYGTNFSGKLPESLDNLKFLTHLDLCRCNFFGQVPSAIANLTNLVYLDLSYNYLRGSIPKSIFKLPRLEELYIGYNNFDSLKLDMFSQLKNLRGLDLSNMSFSIGRNNKSLTFPHLETLRLRSCNLTEFPEFIKTQDKLVDLHLSNNQIRGFVPNWLWKSTLRWLDLSFNAIDFPKQFPRGDANSSIPMLRWLLLQSCNISTFPEILKSQENLEDLDLSNNKISGAVPNWVWKKSLGYLSLSNNHLSSLDQFLSNQSLTSSQGSSPRPICDLSQLWSFNASYNNLSGSIPNCLGNISTLYSLDLQGNNFTGFLPNFVKAANLQILKVNENRLEGKLPRSLAKCTYLLVLDVGNNMMHDTFPFWLEKLPALKVLVLRENRFYGQIKRFKRKLFFPALDVLDIASNEFSGELSIDSLQATQLRSLKIGENKLEGKLPRSLAYCTKLEVLDLGKNMIHDKFPFWLGKLPSLKVLVLRANKFYGEIQVSEAKNAFPMLRILDLASNNFSGELSAKFLQRLRAMMMVTNENKATPKYIGELYYQDSVTIVNKGLVMFLEKIFIGFTCLDLSNNTFHGRIPEEIQNLTSLKVLNLSQNSLSGQIPLALENLKELESLDLSQNKLSGKIPPQLTSLTFLSALDLSYNNLEGSIPQSNQFNTFSNDSYRGNPRLCGPPLTRKCKEAGVPPSSPGEDADSLVDGITDWKIVLIGYGCGLVIGLCIGYTVLNEMGNKWRDKCRRNGKKNHRRSR >EOY03993 pep chromosome:Theobroma_cacao_20110822:4:21138912:21139470:1 gene:TCM_019227 transcript:EOY03993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKMLSQAYFILVWVNVLLSFASTSMPQTMHFDHDQPLYRFQQASSSQPMNMVSRNSSKPTRTSSPLAESQQLSSEVEKERKEEEKERKKEEKKKKKVEKKREKEKKKNCSSAITIKQSNIGV >EOY06779 pep chromosome:Theobroma_cacao_20110822:4:32940662:32942847:1 gene:TCM_021407 transcript:EOY06779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAHRDKDFELDLENGVAVSEEENYSRSPVSGVKKKAQLLLAKVKGSFAEGSDDRVSLSGDASNSGRVYVENVQVVTSMNMEGQDSKDTKEMKSVKEKRKSLSNKKPPKPPRPPRAPSLDAADQKLIREIAELARLKRARIERMKALKKMKAAKATSSNSNMFAMVFTIIFCLVFIFQGMSSRGTVASLQGSPVPAGPVEGGLISVQFFGNPSPSIPNQPDSRSPGLVEQVAGSEDQEKLRRFSG >EOY06781 pep chromosome:Theobroma_cacao_20110822:4:32940188:32942906:1 gene:TCM_021407 transcript:EOY06781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAHRDKDFELDLENGVAVSEEENYSRSPVSGVKKKAQLLLAKVKGSFAEGSDDRVSLSGDASNSGRVYVENVQVVTSMNMEGQDSKDTKEMKSVKEKRKSLSNKKPPKPPRPPRAPSLDAADQKLIREIAELARLKRARIERMKALKKMKAAKATSSNSNMFAMVFTIIFCLVFIFQGMSSRGTVASLQGSPVPAGPVEGGLISVQFFGNPSPSIPNQPDSRSPGLVEQVAGSEDQEKLRRFSG >EOY06780 pep chromosome:Theobroma_cacao_20110822:4:32940183:32942376:1 gene:TCM_021407 transcript:EOY06780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAHRDKDFELDLENGVAVSEEENYSRSPVSGVKKKAQLLLAKVKGSFAEGSDDRVSLSGDASNSGRVYVENVQVVTSMNMEGQDSKDTKEMKSVKEKRKSLSNKKPPKPPRPPRAPSLDAADQKLIREIAELARLKRARIERMKALKKMKAAKATSSNSNMFAMVFTIIFCLVFIFQGMSSRGTVASLQGSPVPAGPVEGGLISVQFFGNPSPSIPNQPDSR >EOY02893 pep chromosome:Theobroma_cacao_20110822:4:2837931:2844580:-1 gene:TCM_017292 transcript:EOY02893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein isoform 1 MAATRACQFLAGSSVMSTSGNSGRDRGVSLSRRMAVSTEQISSAATTTTSFVENGRLSEKKTKRRKEESELISNVYWNLDEMTEENRKKLKDYFEECKDLIRSDGGPPRWFSPLECGSHTPDSPLLLFLPGIDGTGLGLIRHHHKLGKIFDLWCLHIPAKDRTSFADLVKLVERTVRSECERSPGRPIYLVGESLGGCIALDVAARNPDIDLVLILANPATSFNRSQLQSLIPLLELIPDQLLLNLPYMLNLVSGDPLRMAWENVVKGLLPLQNVGVISSQDLLGMPQVLADMLPRETLLWKLQLLKSASASANSHLHAVKVQALILCSGKDQLLPSQEEVQRLRRMLPKSEIRLFEESGHFLFLEDDVDLVTSIKGASFYRRGKYLDYVSDYIPPTPYEFKKIYESNRWVVAATSPVMLSTLEDGEVVRGLAGIPSEGPVLYVGYHMLLGFELAPMVIQFFMERNILLRGIAHPMMFFRLREGNMVDLAAFDTFRLMGAVPVSGPNFYKLLSSKSHVLLYPGGVREALHRKGEEYKLFWPERSEFVRMASRFGTKIVPFGVVGEDDVGEILS >EOY02895 pep chromosome:Theobroma_cacao_20110822:4:2839367:2844602:-1 gene:TCM_017292 transcript:EOY02895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein isoform 1 MAATRACQFLAGSSVMSTSGNSGRDRGVSLSRRMAVSTEQISSAATTTTSFVENGRLSEKKTKRRKEESELISNVYWNLDEMTEENRKKLKDYFEECKDLIRSDGGPPRWFSPLECGSHTPDSPLLLFLPGIDGTGLGLIRHHHKLGKIFDLWCLHIPAKDRTSFADLVKLVERTVRSECERSPGRPIYLVGESLGGCIALDVAARNPDIDLVLILANPATSFNRSQLQSLIPLLELIPDQLLLNLPYMLNLVSGDPLRMAWENVVKGLLPLQNVGVISSQDLLGMPQVLADMLPRETLLWKLQLLKSASASANSHLHAVKVQALILCSGKDQLLPSQEEVQRLRRMLPKSEIRLFEESGHFLFLEDDVDLVTSIKGASFYRRGKYLDYVSDYIPPTPYEFKKIYESNRWVVAATSPVMLSTLEDGEVVRGLAGIPSEGPVLYVGYHMLLGFELAPMVIQFFMERNILLRGIAHPMMFFRLREGNMVDLAAFDTFRLMGAVPVSGPNFYKLLSSKSHVLLYPGGVREALHRKGEEYKLFWPERSEFVRMASRFGTKIVPFGVVGEDDVGEVSLARFIVHSRRSF >EOY02894 pep chromosome:Theobroma_cacao_20110822:4:2837671:2844602:-1 gene:TCM_017292 transcript:EOY02894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein isoform 1 MAATRACQFLAGSSVMSTSGNSGRDRGVSLSRRMAVSTEQISSAATTTTSFVENGRLSEKKTKRRKEESELISNVYWNLDEMTEENRKKLKDYFEECKDLIRSDGGPPRWFSPLECGSHTPDSPLLLFLPGIDGTGLGLIRHHHKLGKIFDLWCLHIPAKDRTSFAATSFNRSQLQSLIPLLELIPDQLLLNLPYMLNLVSGDPLRMAWENVVKGLLPLQNVGVISSQDLLGMPQVLADMLPRETLLWKLQLLKSASASANSHLHAVKVQALILCSGKDQLLPSQEEVQRLRRMLPKSEIRLFEESGHFLFLEDDVDLVTSIKGASFYRRGKYLDYVSDYIPPTPYEFKKIYESNRWVVAATSPVMLSTLEDGEVVRGLAGIPSEGPVLYVGYHMLLGFELAPMVIQFFMERNILLRGIAHPMMFFRLREGNMVDLAAFDTFRLMGAVPVSGPNFYKLLSSKSHVLLYPGGVREALHRKGEEYKLFWPERSEFVRMASRFGTKIVPFGVVGEDDVGELVFDYNDQMHIPPLRSFIKELTEESVQLRTDANGEVSNQDVHLPGVLPKLPGRFYYYFGKPIETEGRKQELRNSEISQELYLHVKSEVERCMAYLKEKREKDPYRNLLPRLLYRATHGFTAEVPTFEL >EOY02892 pep chromosome:Theobroma_cacao_20110822:4:2837671:2844584:-1 gene:TCM_017292 transcript:EOY02892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein isoform 1 MAATRACQFLAGSSVMSTSGNSGRDRGVSLSRRMAVSTEQISSAATTTTSFVENGRLSEKKTKRRKEESELISNVYWNLDEMTEENRKKLKDYFEECKDLIRSDGGPPRWFSPLECGSHTPDSPLLLFLPGIDGTGLGLIRHHHKLGKIFDLWCLHIPAKDRTSFADLVKLVERTVRSECERSPGRPIYLVGESLGGCIALDVAARNPDIDLVLILANPATSFNRSQLQSLIPLLELIPDQLLLNLPYMLNLVSGDPLRMAWENVVKGLLPLQNVGVISSQDLLGMPQVLADMLPRETLLWKLQLLKSASASANSHLHAVKVQALILCSGKDQLLPSQEEVQRLRRMLPKSEIRLFEESGHFLFLEDDVDLVTSIKGASFYRRGKYLDYVSDYIPPTPYEFKKIYESNRWVVAATSPVMLSTLEDGEVVRGLAGIPSEGPVLYVGYHMLLGFELAPMVIQFFMERNILLRGIAHPMMFFRLREGNMVDLAAFDTFRLMGAVPVSGPNFYKLLSSKSHVLLYPGGVREALHRKGEEYKLFWPERSEFVRMASRFGTKIVPFGVVGEDDVGELVFDYNDQMHIPPLRSFIKELTEESVQLRTDANGEVSNQDVHLPGVLPKLPGRFYYYFGKPIETEGRKQELRNSEISQELYLHVKSEVERCMAYLKEKREKDPYRNLLPRLLYRATHGFTAEVPTFEL >EOY03002 pep chromosome:Theobroma_cacao_20110822:4:3430829:3436007:1 gene:TCM_017396 transcript:EOY03002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF803) [Source:Projected from Arabidopsis thaliana (AT3G26670) TAIR;Acc:AT3G26670] MGEWVIGAFINLFGSIAINFGTNLLKLGHNERERHSTMDGGGKTPLKPIIYFQTWRVGILFFVLGNCLNFISFGYAAQSLLAALGSVQFVSNIAFAFFVLNKMVTVKVLVATAFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNITFLLYCLILILVVALHHSIYRRGEHLLAVSGQDLRPYWNMLLPFSYAVVSGAVGSCSVLFAKSLSNLLRLAMSNGYQLHSWFTYSMLLLFLSTAGFWMTRLNEGLSLFDAILIVPMFQIAWTFFSICTGFIYFQEYQVFDALRTTMFILGMMSVFIGISLLAPDESRGGEVKDIDSVMSSSNSTETDRLILPSEDVQNKEIKSFTQGMMMKITDMLAKAKMTCSLSLGFGEDSINASAVLVMPMMSSKITGFRGGGFDRSRIFSMKNSSWSRVAMDEDSAKMLNGGPVLPQSIR >EOY03003 pep chromosome:Theobroma_cacao_20110822:4:3430801:3436163:1 gene:TCM_017396 transcript:EOY03003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF803) [Source:Projected from Arabidopsis thaliana (AT3G26670) TAIR;Acc:AT3G26670] MGEWVIGAFINLFGSIAINFGTNLLKLGHNERERHSTMDGGGKTPLKPIIYFQTWRVGILFFVLGNCLNFISFGYAAQQSLLAALGSVQFVSNIAFAFFVLNKMVTVKVLVATAFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNITFLLYCLILILVVALHHSIYRRGEHLLAVSGQDLRPYWNMLLPFSYAVVSGAVGSCSVLFAKSLSNLLRLAMSNGYQLHSWFTYSMLLLFLSTAGFWMTRLNEGLSLFDAILIVPMFQIAWTFFSICTGFIYFQEYQVFDALRTTMFILGMMSVFIGISLLAPDESRGGEVKDIDSVMSSSNSTETDRLILPSEDVQNKEIKSFTQGMMMKITDMLAKAKMTCSLSLGFGEDSINASAVLVMPMMSSKITGFRGGGFDRSRIFSMKNSSWSRVAMDEDSAKMLNGGPVLPQSIR >EOY03004 pep chromosome:Theobroma_cacao_20110822:4:3431152:3436172:1 gene:TCM_017396 transcript:EOY03004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF803) [Source:Projected from Arabidopsis thaliana (AT3G26670) TAIR;Acc:AT3G26670] MGEWVIGAFINLFGSIAINFGTNLLKLGHNERERHSTMDGGGKTPLKPIIYFQTWRVGILFFVLGNCLNFISFGYAAQSLLAALGSVQFVSNIAFAFFVLNKMVTVKVLVATAFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNITFLLYCLILILVVALHHSIYRRGEHLLAVSGQDLRPYWNMLLPFSYAVVSGAVGSCSVLFAKSLSNLLRLAMSNGYQLHSWFTYSMLLLFLSTAGFWMTRLNEGLSLFDAILIVPMFQIAWTFFSICTGFIYFQEYQVFDALRTTMFILGMMSVFIGISLLAPDESRGGEVKDIDSVMSSSNSTETDRLILPSEDVQNKEIKSFTQGMMMKITDMLAKAKMTCSLSLGFGEDSINASAVLVMPMMSSKITGFRGGGFDRSRIFSMKNSSWSRVAMDEDSAKMLNGGPVLPQSIR >EOY03001 pep chromosome:Theobroma_cacao_20110822:4:3431018:3436007:1 gene:TCM_017396 transcript:EOY03001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF803) [Source:Projected from Arabidopsis thaliana (AT3G26670) TAIR;Acc:AT3G26670] MGEWVIGAFINLFGSIAINFGTNLLKLGHNERERHSTMDGGGKTPLKPIIYFQTWRVGILFFVLGNCLNFISFGYAAQSLLAALGSVQFVSNIAFAFFVLNKMVTVKVLVATAFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNITFLLYCLILILVVALHHSIYRRGEHLLAVSGQDLRPYWNMLLPFSYAVVSGAVGSCSVLFAKSLSNLLRLAMSNGYQLHSWFTYSMLLLFLSTAGFWMTRLNEGLSLFDAILIVPMFQIAWTFFSICTGFIYFQEYQVFDALRTTMFILGMMSVFIGISLLAPDESRGGEVKDIDSVMSSSNSTETDRLILPSEDVQNKEIKSFTQGMMMKITDMLAKAKMTCSLSLGFGEDSINASAVLVMPMMSSKITGFRGGGFDRSRIFSMKNSSWSRVAMDEDSAKMLNGGPVLPQSIR >EOY03878 pep chromosome:Theobroma_cacao_20110822:4:20146172:20151125:-1 gene:TCM_019088 transcript:EOY03878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVATKSLIKFRKPKVEKDIGKGKTFYHLLPIMCRGVAPADSLARGVRDCPKRSKLSTIAQKDSDEQLRGETLRLGTMLLVALKQHKSSYTKGLMCVNIMVAGKKPNALVDTSVSDLFAFVNTAKMLGLDTMVRASHIKTVNSTEVPTLATVSNVSMQLGQRVVPMRGEETYIATLSMNESETNQVALVSSEVVRFLDEYIDVMLVKLPSYLPRKWGVDHKIELVPVHEKPKHGIGVQMVHDTQEHIRVQLRCVERQLARKLCRITS >EOY06950 pep chromosome:Theobroma_cacao_20110822:4:33422893:33426704:1 gene:TCM_021510 transcript:EOY06950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase oryzasin-1, putative isoform 3 MGIKFVLTTICIWNWIASWVLTASSNELVRISLKKQPLDLKRINAARITGLELESNVNDQEADVIYLKNYLDTQYYGEIGIGSPSQSFSVVFDTGSSNLWVPSSKCLFSIACHLHSKFWARLSRTYTKIGIPCQIHYGSGSISGFFSLDHVKVGDIAVKDQEFIEITREGYLPFLVAKYDGILGLGFQEISVEQATPLWFNMVQQGHVSQKIFSLWLNRDLTSEVGGEIVFGGLDWRHFRGEHTYVPVTKSGYWQIEVGDILVENNSTGLCKNGCAAIVDSGTSLIAGPTEIVAQINRGIGAEGIVSLECKNVVSKYGYSLWDSLISGVRPEIVCVDIGLCSYNGSQNMSGGVKTVVENKTREGSPIGETALCTFCEMIVFWIQVQLKQQKTKEKVFKHVNQLCENLPNPIGKSFVNCDDLETLPDVTFTIGNNPFPLTPQQYILKVEERCSTVCVSGFVAFDVPPPRGPLWYDDILCSIISGCCVAVAEKCHKHEVLGDTFLSAYHTVFDFGNLRVGFAKAAK >EOY06949 pep chromosome:Theobroma_cacao_20110822:4:33422893:33426704:1 gene:TCM_021510 transcript:EOY06949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase oryzasin-1, putative isoform 3 MGIKFVLTTICIWNWIASWVLTASSNELVRISLKKQPLDLKRINAARITGLELESNVNDQEADVIYLKNYLDTQYYGEIGIGSPSQSFSVVFDTGSSNLWVPSSKCLFSIACHLHSKFWARLSRTYTKIGIPCQIHYGSGSISGFFSLDHVKVGDIAVKDQEFIEITREGYLPFLVAKYDGILGLGFQEISVEQATPLWFNMVQQGHVSQKIFSLWLNRDLTSEVGGEIVFGGLDWRHFRGEHTYVPVTKSGYWQIEVGDILVENNSTGLCKNGCAAIVDSGTSLIAGPTEIVAQINRGIGAEGIVSLECKNVVSKYGYSLWDSLISGVRPEIVCVDIGLCSYNGSQNMSGGVKTVVENKTREGSPIGETALCTFCEMIVFWIQVQLKQQKTKEKVFKHVNQLCENLPNPIGKSFVNCDDLETLPDVTFTIGNNPFPLTPQQYILKVEERCSTVCVSGFVAFDVPPPRGPLWVLGDTFLSAYHTVFDFGNLRVGFAKAAK >EOY06951 pep chromosome:Theobroma_cacao_20110822:4:33423939:33426459:1 gene:TCM_021510 transcript:EOY06951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase oryzasin-1, putative isoform 3 MQIACHLHSKFWARLSRTYTKIGIPCQIHYGSGSISGFFSLDHVKVGDIAVKDQEFIEITREGYLPFLVAKYDGILGLGFQEISVEQATPLWFNMVQQGHVSQKIFSLWLNRDLTSEVGGEIVFGGLDWRHFRGEHTYVPVTKSGYWQIEVGDILVENNSTGLCKNGCAAIVDSGTSLIAGPTEIVAQINRGIGAEGIVSLECKNVVSKYGYSLWDSLISGVRPEIVCVDIGLCSYNGSQNMSGGVKTVVENKTREGSPIGETALCTFCEMIVFWIQVQLKQQKTKEKVFKHVNQLCENLPNPIGKSFVNCDDLETLPDVTFTIGNNPFPLTPQQYILKVEERCSTVCVSGFVAFDVPPPRGPLWVLGDTFLSAYHTVFDFGNLRVGFAKAAK >EOY06655 pep chromosome:Theobroma_cacao_20110822:4:32553531:32556855:1 gene:TCM_021311 transcript:EOY06655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDTRDGGASNPNGSHGKPVLLVTHLPFALACLILVARCTLERTLIFEACGFGPSLCLTGHRLMLTALIVTVYLKNEKCWENKILSPGGRITLLRSVLSSIPIYLLQVLKPPVNVIEKIERLFNSFLWGGSTECKRIHWASWNNSTFPCTEGGLDIRSLKDIFGAFTTKLWWRFHTCNSIWT >EOY02246 pep chromosome:Theobroma_cacao_20110822:4:109696:117963:1 gene:TCM_016775 transcript:EOY02246 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose pyrophosphorylase 2 MEKLTHLKSAVASLSQISENEKNGFINLVSRYLSGEAQHIEWSKIQTPTDEVVVPYDTLVSIPDDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIEHLNSKYGCSVQLVLMNSFNTHDDTLKIVEKYSNSNIEIHTFNQSQYPRLVVEDFTPLPCKGQAGKDGWYPPGHGDVFPSLMNSGKLDAFLSQGKEYVFIANSDNLGAIVDLKILNHLIKNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGVNVPRSRFLPVKATSDLLLVQSDLYTLIDGFVIRNKDRTNPANPSIELGPEFKKVGNFLSRFKSIPSVIDLDSLKVTGDVWFGTGVILEGKVSIAAKPGVKLEIPDGAVIENKEINGPEDI >EOY05595 pep chromosome:Theobroma_cacao_20110822:4:29085615:29087681:1 gene:TCM_020554 transcript:EOY05595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seryl-tRNA synthetase / serine--tRNA ligase, putative MSYHTVLGPFYFYLESAAFFSFDLKEEHCYSLADRIWIFTRYAGYSTCFRKEAGSHGKDTLGIFRVHQFEKVEQVCITSPNGNDSWEMLEEMIRTSEEFYQEINSWLRASPLYIGWSPASKTYRELVSCSNCTDYQLRRLEIRYGSQKSNGQAKQYVHMLNSTLTATDRTMRCILENYQKEKGVEIPQVLIPYMGGVTFLPF >EOY03055 pep chromosome:Theobroma_cacao_20110822:4:4027076:4028943:1 gene:TCM_017481 transcript:EOY03055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVQSNDVNAIGANQWLLDSGSFNHMTPNETLFTELDNHYRSRVKIGNGVFLESTRKGLAVIQTTTGSKYVLEALLVLEITQNLLSVGQMLEHDYVLLFKDKCCTIYAPSGDYLMIIPMKQKCLPVNRNETCLQIGEVKTSLTTMWHKRLSDYNYGNLKLISNFGAANNFPRRFWATATSTTIYLFNFILARARTISLSEVWYETIC >EOY06681 pep chromosome:Theobroma_cacao_20110822:4:32650176:32723912:1 gene:TCM_021332 transcript:EOY06681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 4 regulatory subunit 3 isoform 2 MGAQEKSQGNANSMQRVKVYRLNEDGKWDDQGTGHVTVDYLERSEELALFVFDEEDNETLLLHRISPDDIYRKQEDTIISWRDSEYSTELALSFQEPTGCSYIWDHICNVQRNLHFNSLNNETFHTMNSELRELPAVELSTLPIILKTVTESGIADQMRLTELILNDQDFFQKLMELFRICEDLENVDGLHMIFKIIKGIILLNSPQVFEKIFGDELIMDIIGSLEYDPDVPEVQHYRNFLKEHVVFKEAIPIKNPMVLSKIHQTYRVGYLKDVVLARVLDEATVASLNSIIHSNNAIVISLLKDDSTFIQELFARLRSPTTSAESKKTLVYFLHEFCCLSKSLQMVQQLRLFRDLMNEGIFDIITDALQSQDKKLVLTGTDILILFLNQDPNLLRSYVVRQEGIPLLGLLVKGMITDFGEDMHCQFLEILRSLLDSFTLSGAQRDTIIDIFYERHLGQLIDVIILSCPSDGAGQSTSKLASSAGRVESQNSTKPEILLNICELLCFCVVHHPYRIKCNFLLNNVIDKVLLLTWRREKYLVVAAVRFVRTILRHDDHLINHFVKNNLLKPVVDAFVANGNRYNVLNSAVLELFEYIRKENLKLLVKYLVDSFWNQLVKFDYLASVHSLKVKYEQCLENCGAKTNVNALDPRKRIDERALEKEEEDYFNEDSDEEDTASASNTQKGQSQPVLSNGVAASYPSLSPRSGGLVDYDDDEDDEDYRPPPRKHAETSEEDEGTMESLRLKRKLTTKEKEPDLAKKQRLGKSSKSRDSLFAAWCSTLSQAVLPSKKTANAMHISTRSPEGNKGLGEENHVDKESGSPRSSDNNSSSSEEDNLVDKEPPRNCSDCLHSPSDNRQLSGEDCPLIPPKSSPEMAVNGS >EOY06680 pep chromosome:Theobroma_cacao_20110822:4:32649879:32723910:1 gene:TCM_021332 transcript:EOY06680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 4 regulatory subunit 3 isoform 2 MGAQEKSQGNANSMQDELGINFEFLVEVRLIFSCSVVLVVKLDLDSFCLYAMHFPKEALERVKVYRLNEDGKWDDQGTGHVTVDYLERSEELALFVFDEEDNETLLLHRISPDDIYRKQEDTIISWRDSEYSTELALSFQEPTGCSYIWDHICNVQRNLHFNSLNNETFHTMNSELRELPAVELSTLPIILKTVTESGIADQMRLTELILNDQDFFQKLMELFRICEDLENVDGLHMIFKIIKGIILLNSPQVFEKIFGDELIMDIIGSLEYDPDVPEVQHYRNFLKEHVVFKEAIPIKNPMVLSKIHQTYRVGYLKDVVLARVLDEATVASLNSIIHSNNAIVISLLKDDSTFIQELFARLRSPTTSAESKKTLVYFLHEFCCLSKSLQMVQQLRLFRDLMNEGIFDIITDALQSQDKKLVLTGTDILILFLNQDPNLLRSYVVRQEGIPLLGLLVKGMITDFGEDMHCQFLEILRSLLDSFTLSGAQRDTIIDIFYERHLGQLIDVIILSCPSDGAGQSTSKLASSAGRVESQNSTKPEILLNICELLCFCVVHHPYRIKCNFLLNNVIDKVLLLTWRREKYLVVAAVRFVRTILRHDDHLINHFVKNNLLKPVVDAFVANGNRYNVLNSAVLELFEYIRKENLKLLVKYLVDSFWNQLVKFDYLASVHSLKVKYEQCLENCGAKTNVNALDPRKRIDERALEKEEEDYFNEDSDEEDTASASNTQKGQSQPVLSNGVAASYPSLSPRSGGLVDYDDDEDDEDYRPPPRKHAETSEEDEGTMESLRLKRKLTTKEKEPDLAKKQRLGKSSKSRDSLFAAWCSTLSQAVLPSKKTANAMHISTRSPEGNKGLGEENHVDKESGSPRSSDNNSSSSEEDNLVDKEPPRNCSDCLHSPSDNRQLSGEDCPLIPPKSSPEMAVNGS >EOY06239 pep chromosome:Theobroma_cacao_20110822:4:31398645:31401301:1 gene:TCM_021038 transcript:EOY06239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MTFFFPLSRNLFTTQTIRYYTSAAVLDSPFNLLHFLHLSATHESLRLTKQAHARVLSLGLTQNPFLASKLLSLYAFFGHLIESRVVFDSVKEKNICLWNSVINGYLKSYSFIEAFDLFCKMGHFNAKPDDFTLATVSKVAGEIGDLKVGNLVHCKSIKTGFVLDVVVSNSLISMYGKCGEFEGMKKVFDEMFERNVGSWNALISGYANAGDCRLGKDLWEVVKNMQIDGVKLNGFTISSLLPLSGNEEVTRRCDYGRELHCYILKNELDSACGSDVHLGCCLIDMYSRNSKVLMGRNVFDRMRFRNVYAWTAMINGYVDNGDFDEALVLFQEMQLRDGIEPNKVSLVNVLPACSSYAGLMAGKQIHGYAIRKELSHDVALCNALIDMYSKCGSLNCAKQVFENGLFCKDSISWSSMICAYGLHGKGEEAMCLFDQMLLLGVKPDMITVVGVLSACRRSGLVNKGLSIYKSITNDYGMKPTVEICACVVDMLGRSGQLDRALDFIKTMPVEPGPSVWGALVSASVMHENLEMQDLAYRFLIQLEPENPSNYVSLSNLHASSKRWNAVAGLRKMMKERGLRKAPGCSWISMNGKTHCFYVADKAHPHSSSIYEILDDLIFIMKGAGYPTDFEELTQITSY >EOY04049 pep chromosome:Theobroma_cacao_20110822:4:21684233:21715402:1 gene:TCM_019307 transcript:EOY04049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 71D10, putative MEFRFPSFTTPLLTFLFVFMVVKVLKGWKAKNPQARLPPGPRKLPLIGNMHQLISSLPHHTLRDLAKKHGPLMHLQLGEVPTIVISSPETAKEVLKDHATIFAQRPYLLASKIMSYDSTNIVFSPYGKYWRQLRKISTMELLSPSRVLSFRFIREEEVSALIKTISLIEGSPVNLSVKIFSMTYGITSRAAFGKKSKDQEEFIRIMMETIKLAGGFCLADMYPSNELLKLISGVRLKLEKLQLASDRILEDIVNEHKEKTNRTSETGNQQGEEDLLDVLLKLQQSSDLEIPLTNDKIKAIILDILVAGGDTSSTTMEWAMSEMLKNPRVMKQAQAEVRQVFDRKGNVDEAGIHELKFLRSIVKETLRLHPAAPLLVPRECDENCVISGYDILAKSKVIVNAWAIGRDSRYWKDAENFNPERFLDSPIDFRGTHFEYIPFGAGRRICPGISFALPNIELPLAQLLYHFDWKLPNGSNCEDLDMTECFGITVRRKNDLFLIPIPYHPLPSE >EOY05299 pep chromosome:Theobroma_cacao_20110822:4:27959642:27963097:-1 gene:TCM_020331 transcript:EOY05299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein isoform 2 MGKCRPCPSLKSLSSTIGGMLCHCGSPNKYKKLDSKLERKMIEMKRSASGQSNFKSIDSIIVRFPQFRDGLKNLRGVFEQYDEDSNGTIDREELNKCLEKLQFHLTKEEVEDLFHSCDIDGSEGIQFNEFIVLLCLIYLLMKPSPSPDTVSTTLKIDSPQLEATFDTIVEAFLFLDKNGDGKLNKKDMIKALNEASPWERSPAHVTRTRFMEKCRRNGLG >EOY05300 pep chromosome:Theobroma_cacao_20110822:4:27959639:27963097:-1 gene:TCM_020331 transcript:EOY05300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein isoform 2 MGKCRPCPSLKSLSSTIGGMLCHCGSPNKYKKLDSKLERKMIEMKRSASGQSNFKSIDSIIVRFPQFRDGLKNLRGVFEQYDEDSNGTIDREELNKCLEKLQFHLTKEEVEDLFHSCDIDGSEGIQFNEFIVLLCLIYLLMKPSPSPDTTLKIDSPQLEATFDTIVEAFLFLDKNGDGKLNKKDMIKALNEASPWERSPAHVTRTRFMEKCRRNGLG >EOY05298 pep chromosome:Theobroma_cacao_20110822:4:27959257:27964633:-1 gene:TCM_020331 transcript:EOY05298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein isoform 2 MGKCRPCPSLKSLSSTIGGMLCHCGSPNKYKKLDSKLERKMIEMKRSASGQSNFKSIDSIIVRFPQFRDGLKNLRGVFEQYDEDSNGTIDREELNKCLEKLQFHLTKEEVEDLFHSCDIDGSEGIQFNEFIVLLCLIYLLMKPSPSPDTVSTTLKIDSPQLEATFDTIVEAFLFLDKNGDGKLNKKDMIKALNEASPWERSPAHVTRTRFKEMDWDKNGKVSFREFLFAFINWVGIESDEELPD >EOY03060 pep chromosome:Theobroma_cacao_20110822:4:4049920:4056643:-1 gene:TCM_017483 transcript:EOY03060 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 4 MREQEMAETQLIGKIAKIFDEAKNSNATHHRKLKELSAVRSKSPSLHQFSAAFARTLTPLFQIQKRTASVERVVRFVSAFASARDPNDAVASDEFLEGFLKFLLVGAAAANKTARFRACQIISEIILRLPDDSEVSNELWDEVIELMKSRAVDKVPLIRTLAVRALSRFANDAENSDILDLFLEVLPLEQNPEVRKTIVLSLPASNTTSQLIIDCTMDVSESVRKAAYCVIANKFPLHSLSIKQRTIILQRGLADRSLAVSKECLKLMTDQWLAKCCNGDPIELLKYLDVETYESVGESVMESLLRAGLVNLDDGQSMRQYVLPASTNERIEGDLADFSASIQLMEPEVSLYWRTVCKHLQMEAQAKGSDAAATTGTEAAVYAAEASDNNDLLDKSLPETVFDYIDLVKAHIDAGANYHFASRQLLLLGEMLDFSDATIRKVASSFVQDLLHRPLEHEVDDEGNKVVIGDGINLGGGRDWAIAVARLARRVHSATGELEEVILGVVEELARPCRERTADFMQWMHSLAVTGLLLENAKSFHFELLHSLLLPGAKHVHLDVQRIAVRCLGLFGLLEYKPSEELLKQLRISYVKGPSPISTVACKALFDLGMWHGPQEVDRAMGLNFSTQLQEDNMPASPVNFSDTDGDLNIQLLDLLYAGFMTNNWGTAQENDESESVQAVLGEGFAKILLLSEKYPSIPASFHPLLLSKLIILYFSDESKDLQRLKQCLSVFFEHYASLSANHKVGNTKFWILCVFCQHRHRSYMSKVSRLVC >EOY03056 pep chromosome:Theobroma_cacao_20110822:4:4047208:4057081:-1 gene:TCM_017483 transcript:EOY03056 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 4 MREQEMAETQLIGKIAKIFDEAKNSNATHHRKLKELSAVRSKSPSLHQFSAAFARTLTPLFQIQKRTASVERVVRFVSAFASARDPNDAVASDEFLEGFLKFLLVGAAAANKTARFRACQIISEIILRLPDDSEVSNELWDEVIELMKSRAVDKVPLIRTLAVRALSRFANDAENSDILDLFLEVLPLEQNPEVRKTIVLSLPASNTTSQLIIDCTMDVSESVRKAAYCVIANKFPLHSLSIKQRTIILQRGLADRSLAVSKECLKLMTDQWLAKCCNGDPIELLKYLDVETYESVGESVMESLLRAGLVNLDDGQSMRQYVLPASTNERIEGDLADFSASIQLMEPEVSLYWRTVCKHLQMEAQAKGSDAAATTGTEAAVYAAEASDNNDLLDKSLPETVFDYIDLVKAHIDAGANYHFASRQLLLLGEMLDFSDATIRKVASSFVQDLLHRPLEHEVDDEGNKVVIGDGINLGGGRDWAIAVARLARRVHSATGELEEVILGVVEELARPCRERTADFMQWMHSLAVTGLLLENAKSFHFELLHSLLLPGAKHVHLDVQRIAVRCLGLFGLLEYKPSEELLKQLRISYVKGPSPISTVACKALFDLGMWHGPQEVDRAMGLNFSTQLQEDNMPASPVNFSDTDGDLNIQLLDLLYAGFMTNNWGTAQENDESESVQAVLGEGFAKILLLSEKYPSIPASFHPLLLSKLIILYFSDESKDLQRLKQCLSVFFEHYASLSANHKKCLSKAFIPVIRSMWPGINDHSGGSSYMVSNMRKRAVQASRFMLQMMQTPLYVKETEVEDDNGCKTSQQVIDGSEQPSVECGEEGLAIRIATEVVRFQAKKTPAERSYVAALCKILASLHFRLSEQGPVKIMRRLLSRACECVLGEKDVVKELKQMAERLKELDRHPDQDLSEDEAKLIFGRLELEFDLDMDRSTSVPQSPAPRSTRPIRVRRRVRREEVSSDEENSPASFQSVVPTVPGTIGTRSQRASKTAALAKMTASKAARTEEDGDENEDSDVTSEDSQESDELTD >EOY03059 pep chromosome:Theobroma_cacao_20110822:4:4048776:4056643:-1 gene:TCM_017483 transcript:EOY03059 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 4 MREQEMAETQLIGKIAKIFDEAKNSNATHHRKLKELSAVRSKSPSLHQFSAAFARTLTPLFQIQKRTASVERVVRFVSAFASARDPNDAVASDEFLEGFLKFLLVGAAAANKTARFRACQIISEIILRLPDDSEVSNELWDEVIELMKSRAVDKVPLIRTLAVRALSRFANDAENSDILDLFLEVLPLEQNPEVRKTIVLSLPASNTTSQLIIDCTMDVSESVRKAAYCVIANKFPLHSLSIKQRTIILQRGLADRSLAVSKECLKLMTDQWLAKCCNGDPIELLKYLDVETYESVGESVMESLLRAGLVNLDDGQSMRQYVLPASTNERIEGDLADFSASIQLMEPEVSLYWRTVCKHLQMEAQAKGSDAAATTGTEAAVYAAEASDNNDLLDKSLPETVFDYIDLVKAHIDAGANYHFASRQLLLLGEMLDFSDATIRKVASSFVQDLLHRPLEHEVDDEGNKVVIGDGINLGGGRDWAIAVARLARRVHSATGELEEVILGVVEELARPCRERTADFMQWMHSLAVTGLLLENAKSFHFELLHSLLLPGAKHVHLDVQRIAVRCLGLFGLLEYKPSEELLKQLRISYVKGPSPISTVACKALFDLGMWHGPQEVDRAMGLNFSTQLQEDNMPASPVNFSDTDGDLNIQLLDLLYAGFMTNNWGTAQENDESESVQAVLGEGFAKILLLSEKYPSIPASFHPLLLSKLIILYFSDESKDLQRLKQCLSVFFEHYASLSANHKKCLSKAFIPVIRSMWPGINDHSGGSSYMVSNMRKRAVQASRFMLQMMQTPLYVKETEVEDDNGCKTSQQVIDGSEQPSVECGEEGLAIRIATEDNIGNQDT >EOY03058 pep chromosome:Theobroma_cacao_20110822:4:4046335:4057081:-1 gene:TCM_017483 transcript:EOY03058 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 4 MREQEMAETQLIGKIAKIFDEAKNSNATHHRKLKELSAVRSKSPSLHQFSAAFARTLTPLFQIQKRTASVERVVRFVSAFASARDPNDAVASDEFLEGFLKFLLVGAAAANKTARFRACQIISEIILRLPDDSEVSNELWDEVIELMKSRAVDKVPLIRTLAVRALSRFANDAENSDILDLFLEVLPLEQNPEVRKTIVLSLPASNTTSQLIIDCTMDVSESVRKAAYCVIANKFPLHSLSIKQRTIILQRGLADRSLAVSKECLKLMTDQWLAKCCNGDPIELLKYLDVETYESVGESVMESLLRAGLVNLDDGQSMRQYVLPASTNERIEGDLADFSASIQLMEPEVSLYWRTVCKHLQMEAQAKGSDAAATTGTEAAVYAAEASDNNDLLDKSLPETVFDYIDLVKAHIDAGANYHFASRQLLLLGEMLDFSDATIRKVASSFVQDLLHRPLEHEVDDEGNKVVIGDGINLGGGRDWAIAVARLARRVHSATGELEEVILGVVEELARPCRERTADFMQWMHSLAVTGLLLENAKSFHFELLHSLLLPGAKHVHLDVQRIAVRCLGLFGLLEYKPSEELLKQLRISYVKGPSPISTVACKALFDLGMWHGPQEVDRAMGLNFSTQLQEDNMPASPVNFSDTDGDLNIQLLDLLYAGFMTNNWGTAQENDESESVQAVLGEGFAKILLLSEKYPSIPASFHPLLLSKLIILYFSDESKDLQRLKQCLSVFFEHYASLSANHKKCLSKAFIPVIRSMWPGINDHSGGSSYMVSNMRKRAVQASRFMLQMMQTPLYVKETEVEDDNGCKTSQQVIDGSEQPSVECGEEGLAIRIATEVVRFQAKKTPAERSYVAALCKILASLHFRLSEQGPVKIMRRLLSRACECVLGEKDVVKELKQMAERLKELDRHPDQDLSEDEAKLIFGRLELEFDLDMDRSTSVPQSPAPRSTRPIRVRRRVRREEVSSDEENSPASFQSVVPTVPGTIGTRSQRASKTAALAKMTASKAARTEEDGDENEDSDVTSEDSQESDELTD >EOY03057 pep chromosome:Theobroma_cacao_20110822:4:4046258:4057081:-1 gene:TCM_017483 transcript:EOY03057 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 4 MREQEMAETQLIGKIAKIFDEAKNSNATHHRKLKELSAVRSKSPSLHQFSAAFARTLTPLFQIQKRTASVERVVRFVSAFASARDPNDAVASDEFLEGFLKFLLVGAAAANKTARFRACQIISEIILRLPDDSEVSNELWDEVIELMKSRAVDKVPLIRTLAVRALSRFANDAENSDILDLFLEVLPLEQNPEVRKTIVLSLPASNTTSQLIIDCTMDVSESVRKAAYCVIANKFPLHSLSIKQRTIILQRGLADRSLAVSKECLKLMTDQWLAKCCNGDPIELLKYLDVETYESVGESVMESLLRAGLVNLDDGQSMRQYVLPASTNERIEGDLADFSASIQLMEPEVSLYWRTVCKHLQMEAQAKGSDAAATTGTEAAVYAAEASDNNDLLDKSLPETVFDYIDLVKAHIDAGANYHFASRQLLLLGEMLDFSDATIRKVASSFVQDLLHRPLEHEVDDEGNKVVIGDGINLGGGRDWAIAVARLARRVHSATGELEEVILGVVEELARPCRERTADFMQWMHSLAVTGLLLENAKSFHFELLHSLLLPGAKHVHLDVQRIAVRCLGLFGLLEYKPSEELLKQLRISYVKGPSPISTVACKALFDLGMWHGPQEVDRAMGLNFSTQLQEDNMPASPVNFSDTDGDLNIQLLDLLYAGFMTNNWGTAQENDESESVQAVLGEGFAKILLLSEKYPSIPASFHPLLLSKLIILYFSDESKDLQRLKQCLSVFFEHYASLSANHKKCLSKAFIPVIRSMWPGINDHSGGSSYMVSNMRKRAVQASRFMLQMMQTPLYVKETEVEDDNGCKTSQQVIDGSEQPSVECGEEGLAIRIATEVVRFQAKKTPAERSYVAALCKILASLHFRLSEQGPVKIMRRLLSRACECVLGEKDVVKELKQMAERLKELDRHPDQDLSEDEAKLIFGRLELEFDLDMDRSTSVPQSPAPRSTRPIRVRRRVRREEVSSDEENSPASFQSVVPTVPGTIGTRSQRASKTAALAKMTASKAARTEEDGDENEDSDVTSEDSQESDELTD >EOY06297 pep chromosome:Theobroma_cacao_20110822:4:31531673:31534948:1 gene:TCM_021076 transcript:EOY06297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MGCVHGKCCSKYPSSSDGDSDHHREMRPYINKHILTQRSLELVPVPSHNFNLQYSILTQHGYYPDTVERENQDSFCVKIQVQGNPNVHFFGVFDGHGQYGAQCSNFVKDRLVEILSNDPTLLDDPLKAYTSAFLATNSELHDSEIDDTMSGTTAITVLVVGDTLYVANVGDSRAVIARKDGNQILAEDLSQDQTPFRKDEYDRVKLCGARVLSVDQVEGLKDPNIQNWGDEESQGGDPPRLWVPNGMYPGTAFTRSVGDGTAEKIGVIAVPEVSIVKLTPDHQFFVVASDGVFEFLRSQIVVNMAAAYTDPRDACAAIAGESYKLWLENENRTDDITIIIVQIKDLSNMGAGATDSGIDYRPMIMRSVKGTHDVSSVSESETYASVRSGLSDIQQSCQHSISMNRSAAIVVPSPMRQRPLQLVGHSSYGCGLA >EOY06298 pep chromosome:Theobroma_cacao_20110822:4:31532016:31535191:1 gene:TCM_021076 transcript:EOY06298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MGCVHGKCCSKYPSSSDGDSDHHREMRPYINKHILTQRSLELVPVPSHNFNLQYSILTQHGYYPDTVERENQDSFCVKIQVQGNPNVHFFGVFDGHGQYGAQCSNFVKDRLVEILSNDPTLLDDPLKAYTSAFLATNSELHDSEIDDTMSGTTAITVLVVGDTLYVANVGDSRAVIARKDGNQILAEDLSQDQTPFRKDEYDRVKLCGARVLSVDQVEGLKDPNIQNWGDEESQGGDPPRLWVPNGMYPGTAFTRSVGDGTAEKIGVIAVPEVSIVKLTPDHQFFVVASDGVFEFLRSQIVVNMAAAYTDPRDACAAIAGESYKLWLENENRTDDITIIIVQIKDLSNMGAGATDSGIDYRPMIMRSVKGTHDVSSVSESETYASVRSGLSDIQQSCQHSISMNRSAAIVVPSPMRQRPLQLDVG >EOY06296 pep chromosome:Theobroma_cacao_20110822:4:31531567:31535707:1 gene:TCM_021076 transcript:EOY06296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MGCVHGKCCSKYPSSSDGDSDHHREMRPYINKHILTQRSLELVPVPSHNFNLQYSILTQHGYYPDTVERENQDSFCVKIQVQGNPNVHFFGVFDGHGQYGAQCSNFVKDRLVEILSNDPTLLDDPLKAYTSAFLATNSELHDSEIDDTMSGTTAITVLVVGDTLYVANVGDSRAVIARKDGNQILAEDLSQDQTPFRKDEYDRVKLCGARVLSVDQVEGLKDPNIQNWGDEESQGGDPPRLWVPNGMYPGTAFTRSVGDGTAEKIGVIAVPEVSIVKLTPDHQFFVVASDGVFEFLRSQIVVNMAAAYTDPRDACAAIAGESYKLWLENENRTDDITIIIVQIKDLSNMGAGATDSGIDYRPMIMRSVKGTHDVSSVSESETYASVRSGLSDIQQSCQHSISMNRSAAIVVPSPMRQRPLQLKSALLLPVILHLVIRTCRVKIHFGEIQGNSVGKFRVDDHKMMERGLGSMFFLMSRSSRKNLP >EOY04070 pep chromosome:Theobroma_cacao_20110822:4:21858989:21866849:1 gene:TCM_019335 transcript:EOY04070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 2 MADALLSAVLNTILENINSLWLEEFGITGGLKTELESLQSTLSTIQAVLLDAEEKQWKSEAIKNWLGKLKDTAYHLDDILDEFATNTQRERLQRDARSQVCTFHYLPKQLLFRSKMAHKLKDVREKLDAVAGERSKFHLREGMEPLEDREVSDTEWRKTSSLVNELEVYGRDKELDRIINMLLNNLADQDGISVYTICGMGGLGKTTLAQLVYNDESIRKAFDLRIWVCVSDDFDITRLTKAIIESIEGKCSIEELDPLQRHLQEKLIGKRFLLVLDDVWNEYHEKWEGLKEAFRCGSKGSTVIVTTRIEKVALMMTTTPIHHLGSLSYDDSWSLFKQRAFRMGKSEDYPHLEALGKEIVKKCGGVPLAIKALGGSLRFKERESEWLSIKESEMWELADEGSKVLSVLNLSYRRLKPHLRQCFTFCSIFPKDYVMSKEQLIQLWMANGFVPSRGQMNLHDVGCEIFNELAWRSFFQELVEDIEGNTTCKMHDLIHDLAQSIMSCECSVTEPSQLVLTAPKTVRHMFASGNSSIFAPSNVDNLPKVCSLRTLFVRNNFHWRIATKQKHLRALDVKFKGGMKISIDDKFRHLRYLSLVNSEIETLPESICRFHKLQTLNLRFCYQLRKLPKGLKLLKNLTYLDITYCYALTCMPVGLGQLSCLRRLSKFVVGKDRGCCIDELKGLALEGELCIEELDNVKSSIDAKSANLIVKQNLRSLRLSWREIDNCYLHENAEEVLSGLQPHSSLKTLSIRNYHGPKFSYWLMDLLVPNLVDITLENCERCECLPPFGKLRFLKSLTVTGMDALKSIDNSFYGDGESSFSSLESLCFEHMLSFEVWTTVNGKENFPQLRSLVIRDCPKLVELPMLQSLKRLEIRETSVSLLRSVMHFTFLTSLLLGGFDGLTVLPDGLLQNYKHLESLAICSDNLKSLSNLLDNLSALKKLDFQICLVLESFPTGLENLSSLESLHLSQCDSLVTLPENGLRGLSSLSSLRIQGCKKLASLSDGLRYLTSLQDLLVNGCPELNSLPECIQHLSALQSMRIWRCERLTSLPNGIENLALLSELEIMRCDNLMCLPQGLQSLTALTKLRIIGCRHLERRCRRERGEDWPIIAHIPSIVIMSYEEFRGRGRPLGNRLTRVGDWTNGLFRKFLKS >EOY04071 pep chromosome:Theobroma_cacao_20110822:4:21861984:21884663:1 gene:TCM_019335 transcript:EOY04071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 2 MADALLSAVLNTILENINSLWLEEFGITGGLKTELESLQSTLSTIQAVLLDAEEKQWKSEAIKNWLGKLKDTAYHLDDILDEFATNTQRERLQRDARSQVCTFHYLPKQLLFRSKMAHKLKDVREKLDAVAGERSKFHLREGMEPLEDREVSDTEWRKTSSLVNELEVYGRDKELDRIINMLLNNLADQDGISVYTICGMGGLGKTTLAQLVYNDESIRKAFDLRIWVCVSDDFDITRLTKAIIESIEGKCSIEELDPLQRHLQEKLIGKRFLLVLDDVWNEYHEKWEGLKEAFRCGSKGSTVIVTTRIEKVALMMTTTPIHHLGSLSYDDSWSLFKQRAFRMGKSEDYPHLEALGKEIVKKCGGVPLAIKALGGSLRFKERESEWLSIKESEMWELADEGSKVLSVLNLSYRRLKPHLRQCFTFCSIFPKDYVMSKEQLIQLWMANGFVPSRGQMNLHDVGCEIFNELAWRSFFQELVEDIEGNTTCKMHDLIHDLAQSIMSCECSVTEPSQLVLTAPKTVRHMFASGNSSIFAPSNVDNLPKVCSLRTLFVRNNFHWRIATKQKHLRALDVKFKGGMKISIDDKFRHLRYLSLVNSEIETLPESICRFHKLQTLNLRFCYQLRKLPKGLKLLKNLTYLDITYCYALTCMPVGLGQLSCLRRLSKFVVGKDRGCCIDELKGLALEGELCIEELDNVKSSIDAKSANLIVKQNLRSLRLSWREIDNCYLHENAEEVLSGLQPHSSLKTLSIRNYHGPKFSYWLMDLLVPNLVDITLENCERCECLPPFGKLRFLKSLTVTGMDALKSIDNSFYGDGESSFSSLESLCFEHMLSFEVWTTVNGKENFPQLRSLVIRDCPKLVELPMLQSLKRLEIRETSVSLLRSVMHFTFLTSLLLGGFDGLTVLPDGLLQNYKHLESLAICSDNLKSLSNLKSSR >EOY02564 pep chromosome:Theobroma_cacao_20110822:4:1331433:1335108:-1 gene:TCM_017004 transcript:EOY02564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase-like 5 MDSETIGVISNGIKDHLSVQSNGSDSPRLCHKQPFVIGVAGGTASGKTTVCNMIISQLHDQRVVLVNQDSFYRSLNEEQLKKAHEYNFDHPDAFNTELLVSCMEKLQKGQPVRIPSYDFKGHRSIEPSRLVNPSDVIILEGILVLHDSKVRNLMNIKIFVDTDSDVRLSRRIQRDTVERGRNIQNVLDQYARFVKPSFEEFILPSKKFADVIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKIYPNIFVIFSTFQIRGMHTLVRDVKTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQIITPTGSVYPGVVFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHGDGNNGRQLIYEKLPADISSRHVLLLDPVLASGNSAVKAISLLLSKGVRETNIIFLNLIAAPEGIHTVCRKFPKLKIVTSEIDSSLDRNFGVIPGMGEFGDRYFGTD >EOY04417 pep chromosome:Theobroma_cacao_20110822:4:24265106:24269114:-1 gene:TCM_019677 transcript:EOY04417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein MRNSAGHSFPMSVIIFASLLFQLVLAADYVPTEKILLNCGEKSELTDDDNRKWTPDVGSKFLVGGGTNSVPSQAATQDPAVPEVPYLTARVFLSNFTYSFPVVAGRKFVRLYFHANSYDGQNATNALFSVTCGSYTLLKNFSAAQTSEALNYAFIIKEYSINVDGDHLNLTFSPSSTPSNAYAFVNGIEVLSMPDIYSNTDGITIVGQNNQFTIDNSTALENVYRLNVGGNDISPSGDTGLFRSWYDDQPYLFGAAYGVSGAADPNVTLDYGTTPTYIAPKNVYATARAMGPRAEINIKYNLTWMFSIDSGFSFLVRLHFCEFTENITKINQRVFDVFLNNQTAETGVDVIALAGEQVDVPVHRDYVVLVPGGSPQQDLWLALHPSTNLKPQYYDAILNGVEIFKISDSKSNLAGPNPTPGPKQGVVDPSLALPSHQGHSKNQKAIIAGVVSGGVVLALMVGFCVVAALRRRRHGKDTSTSDGPSGWLPLSLYGNSHSAGSAKTNTTGSYASSLPSNLCRHFSFAEIKSATNNFDEALLLGVGGFGKVYKGEIDGGTTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAYGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDHTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEILCARPALNPTLPKEQVSLAEWAAHCHKKGILDQIMDPYLKGKIAPECFKKFAETAMKCVADQGIDRPSMGDVLWNLEFALQLQESAEESGKGIGGMDIEEGAYDVTCKGKKDPNASLGFDANVTDSRSSGMSMSLGGRSLASEDSDGLTPSAVFSQIMNPKGR >EOY04128 pep chromosome:Theobroma_cacao_20110822:4:22153697:22160996:1 gene:TCM_019383 transcript:EOY04128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein, putative isoform 1 MHRPLLQFMFSFFIIISLILLIAPKSAFANDGNYSSCSTRFRCGNIDTGYPFWGLERPEDCGYPGFWLNCSDDVPEITIMSVTYQVLDIESGTRNLRLARTDYSEDVCVQYLRNTTLTTGVFEYNSNTQNMTLYYGCRPLANIPTLPKGLSSQFQCEINETGNVGYYVTRNITESSFGELANLISTSLGSCNDSVTVPVLKSEVEVVEDNRTTESLIKALKVGFELRWFANDSFCDSCIGSGGQCGYNQDSREFLCYCSGGSYLSTCPQDPSQQCQPKIVKGQNPHPPPPYYQVPSRTLPPPQPSPMQPFPSTHFFFLLLLFLHPTTPSPPPNNTTFRNCNQTISCGPIPNLTYPFTGGPRPEYCGPPGFQLTCSNNTTLELLTDSVSYRVIQLDPRTQIMTLSRSDLYNNPIPCMQNFTNTTLDSTIFTPTSNNENLTFFYGCYSLNTSSYKPPNMFTCNNSGAYYVVGPVPVDPAFKVIQCNVSVTVPVLRSAANELVRNRSLLGEVLMEGFSVNYSIPYDDECAKCLDSGGDCGWFSSRAICICGDRICDTTAEKKTDVSLITGLGIAGAVIAGILLGMGFLCLRQRRQKLAAQAKSRDLPTPPSSKGPPTSTTSLSQSIPSYPTSNYDLEKGSTYFGAHIFSYEELEEATDNFNPSKELGEGGFGTVYYGVLNDGRVVAVKRLYESNFKRAEQYMNEIEILTRIRHPNLVTLYGCTSRRSRELLLVYEYIPNGTVADHLHGKLSNSGLLTWPVRLSIAVETANALAYLHAAPIIHRDVKTNNILLDKNFHVKVADFGLSRLFPDNVTHVSTAPQGTPGYVDPEYYQCYQLTEKSDVYSFGVVLIELISSKQAVDTNRHRLDINLANMAVSRIQNHALHELVDPSLGFEDDYAVKTRMTGVAELAFRCLQQERDVRPSMEEVLETLRGIRDEELAVQKAEVVDIRSEAEVVDIRSDDVGLLKHIPPPLSPDSINDKWVSSSTITPPNSF >EOY04129 pep chromosome:Theobroma_cacao_20110822:4:22157023:22161382:1 gene:TCM_019383 transcript:EOY04129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein, putative isoform 1 MQPFPSTHFFFLLLLFLHPTTPSPPPNNTTFRNCNQTISCGPIPNLTYPFTGGPRPEYCGPPGFQLTCSNNTTLELLTDSVSYRVIQLDPRTQIMTLSRSDLYNNPIPCMQNFTNTTLDSTIFTPTSNNENLTFFYGCYSLNTSSYKPPNMFTCNNSGAYYVVGPVPVDPAFKVIQCNVSVTVPVLRSAANELVRNRSLLGEVLMEGFSVNYSIPYDDECAKCLDSGGDCGWFSSRAICICGDRICDTTAEKKTDVSLITGLGIAGAVIAGILLGMGFLCLRQRRQKLAAQAKSRDLPTPPSSKGPPTSTTSLSQSIPSYPTSNYDLEKGSTYFGAHIFSYEELEEATDNFNPSKELGEGGFGTVYYGVLNDGRVVAVKRLYESNFKRAEQYMNEIEILTRIRHPNLVTLYGCTSRRSRELLLVYEYIPNGTVADHLHGKLSNSGLLTWPVRLSIAVETANALAYLHAAPIIHRDVKTNNILLDKNFHVKVADFGLSRLFPDNVTHVSTAPQGTPGYVDPEYYQCYQLTEKSDVYSFGVVLIELISSKQAVDTNRHRLDINLANMAVSRIQNHALHELVDPSLGFEDDYAVKTRMTGVAELAFRCLQQERDVRPSMEEVLETLRGIRDEELAVQKAEVVDIRSEAEVVDIRSDDVGLLKHIPPPLSPDSINDKWMQVRSM >EOY03400 pep chromosome:Theobroma_cacao_20110822:4:14592766:14600380:1 gene:TCM_018411 transcript:EOY03400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prokaryotic-type, putative isoform 2 MASVSSASVPLVRIPSQLPCFRLNHGKVHFLSRYNKTKTTHLSINSSVRMCSVAASDSPVSTALYEQSKRVWIWTENSQVMTAAVERGWNTFIFSSQNQGLVNEWSSIAFIDPLIIKEGGIFDSAGKRVATIFEVSTPADLKKVQSEDEHTGNVVIDLLDWQVIPAENIVAELQGSQTTAFAVSKSPAEAQLFLEALEHGLGGVVLKAEDVKAVLDLKEYFDRRNEVHNRLSLSKATVTQVHAVGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHTYVAVPGGKTSYLSELKAGKEVIVVDQKGKLKTAIVGRVKIETRPLILVEAKYWTLLPQRDANDQTVYSILLQNAETVALVCTHKGNTMQKTAIPVTSLKVGDEVLLRLQGLSCACSGQHCFGI >EOY03401 pep chromosome:Theobroma_cacao_20110822:4:14592864:14596495:1 gene:TCM_018411 transcript:EOY03401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prokaryotic-type, putative isoform 2 MASVSSASVPLVRIPSQLPCFRLNHGKVHFLSRYNKTKTTHLSINSSVRMCSVAASDSPVSTALYEQSKRVWIWTENSQVMTAAVERGWNTFIFSSQNQGLVNEWSSIAFIDPLIIKEGGIFDSAGKRVATIFEVSTPADLKKVQSEDEHTGNVVIDLLDWQVIPAENIVAELQGSQTTAFAVSKSPAEAQLFLEALEHGLGGVVLKAEDVKAVLDLKEYFDRRNEVHNRLSLSKATVTQVHAVGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHTYVAVPGGKTSYLSELKAGKEVIVVDQKGKLKTAIVGRVKIETRPLILVEAKYWTLLPQRDANDQTVYSILLQNAETVALVCTHKGNTMQKTAIPVTSLKVGDEVLLRLQGLFPSI >EOY03402 pep chromosome:Theobroma_cacao_20110822:4:14592766:14600380:1 gene:TCM_018411 transcript:EOY03402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prokaryotic-type, putative isoform 2 MASVSSASVPLVRIPSQLPCFRLNHGKVHFLSRYNKTKTTHLSINSSVRMCSVAASDSPVSTALYEQSKRVWIWTENSQVMTAAVERGWNTFIFSSQNQGLVNEWSSIAFIDPLIIKEGGIFDSAGKRVATIFEVSTPADLKKVQSEDEHTGNVVIDLLDWQVIPAENIVAELQGSQTTAFAVSKSPAEAQLFLEALEHGLGGVVLKAEDVKAVLDLKEYFDRRNEVHNRLSLSKATVTQVHAVGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHTYVAVPGGKTSYLSELKAGKEVIVVDQKGKLKTAIVGRVKIETRPLILVEAKRDANDQTVYSILLQNAETVALVCTHKGNTMQKTAIPVTSLKVGDEVLLRLQGAARHTGIEIQEFILEN >EOY03403 pep chromosome:Theobroma_cacao_20110822:4:14592864:14596141:1 gene:TCM_018411 transcript:EOY03403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prokaryotic-type, putative isoform 2 MASVSSASVPLVRIPSQLPCFRLNHGKVHFLSRYNKTKTTHLSINSSVRMCSVAASDSPVSTALYEQSKRVWIWTENSQVMTAAVERGWNTFIFSSQNQGLVNEWSSIAFIDPLIIKEGGIFDSAGKRVATIFEVSTPADLKKVQSEDEHTGNVVIDLLDWQVIPAENIVAELQGSQTTAFAVSKSPAEAQLFLEALEHGLGGVVLKAEDVKAVLDLKEYFDRRNEVHNRLSLSKATVTQVHAVGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHTYVAVPGGKTSYLSELKAGKEVIVVDQKGKLKTAIVGRVKIETRPLILVEAKVL >EOY06337 pep chromosome:Theobroma_cacao_20110822:4:31600958:31604648:1 gene:TCM_021092 transcript:EOY06337 gene_biotype:protein_coding transcript_biotype:protein_coding description:PH-response transcription factor pacC/RIM101 isoform 1 MLGKESGGSTFDLPEEVLQVLPSDPFEQLDVARKITSIALSTRVSLLEAESSSFRLKLAEKDQQIADLYAQIDSLDASLSETSDKLVKADQQKASLMKDNASLSNTVRKLQRDVSKLEVFRRTLMQSLQEDEESSIIAKPTPSENDVTLPSRTSSMRSQHFGTGNSLVEDRDTDASRPGMPHSFLLASQTSSPRLTPPGSPPSLSASVSPTRTSKPVSPKRHSISFSTSRGMFDDRLPMSSSDSGSQTGRTRVDGKEFFRQVRSRLSYEQFGAFLANVKELNSHKQTREETLRKGEEIFGPDNRDLYAIFEGLINRNVH >EOY06335 pep chromosome:Theobroma_cacao_20110822:4:31601457:31604550:1 gene:TCM_021092 transcript:EOY06335 gene_biotype:protein_coding transcript_biotype:protein_coding description:PH-response transcription factor pacC/RIM101 isoform 1 MLGKESGGSTFDLPEEVLQVLPSDPFEQLDVARKITSIALSTRVSLLEAESSSFRLKLAEKDQQIADLYAQIDSLDASLSETSDKLVKADQQKASLMKDNASLSNTVRKLQRDVSKLEVFRRTLMQSLQEDEESSSGGPQIIAKPTPSENDVTLPSRTSSMRSQHFGTGNSLVEDRDTDASRPGMPHSFLLASQTSSPRLTPPGCQCQAPTVDHRQDALGLMERNSSAKSGAVCLMSSLVPSWPMLKS >EOY06336 pep chromosome:Theobroma_cacao_20110822:4:31601448:31604648:1 gene:TCM_021092 transcript:EOY06336 gene_biotype:protein_coding transcript_biotype:protein_coding description:PH-response transcription factor pacC/RIM101 isoform 1 MLGKESGGSTFDLPEEVLQVLPSDPFEQLDVARKITSIALSTRVSLLEAESSSFRLKLAEKDQQIADLYAQIDSLDASLSETSDKLVKADQQKASLMKDNASLSNTVRKLQRDVSKLEVFRRTLMQSLQEDEESSIIAKPTPSENDVTLPSRTSSMRSQHFGTGNSLVEDRDTDASRPGMPHSFLLASQTSSPRLTPPGCQCQAPTVDHRQDALGLMERNSSAKSGAVCLMSSLVPSWPMLKS >EOY06334 pep chromosome:Theobroma_cacao_20110822:4:31600958:31604621:1 gene:TCM_021092 transcript:EOY06334 gene_biotype:protein_coding transcript_biotype:protein_coding description:PH-response transcription factor pacC/RIM101 isoform 1 MLGKESGGSTFDLPEEVLQVLPSDPFEQLDVARKITSIALSTRVSLLEAESSSFRLKLAEKDQQIADLYAQIDSLDASLSETSDKLVKADQQKASLMKDNASLSNTVRKLQRDVSKLEVFRRTLMQSLQEDEESSSGGPQIIAKPTPSENDVTLPSRTSSMRSQHFGTGNSLVEDRDTDASRPGMPHSFLLASQTSSPRLTPPGSPPSLSASVSPTRTSKPVSPKRHSISFSTSRGMFDDRLPMSSSDSGSQTGRTRVDGKEFFRQVRSRLSYEQFGAFLANVKELNSHKQTREETLRKGEEIFGPDNRDLYAIFEGLINRNVH >EOY06273 pep chromosome:Theobroma_cacao_20110822:4:31472460:31473980:-1 gene:TCM_021060 transcript:EOY06273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVACEIPAEIQVPVKVMGFFDLQLPVRQTAPPTKNPKHLDFPPKEAWEPVRLLGSCNGLAAVALNATEDLFIWNPTTGDYRKLPDPFLSSEAYLSQLFVYGFGYDSSTDVYKLFLGRTEGVVQSSMEAEIFSLRRNSWRMIENPPYLETNYSNNGTETPCSFVNEALLWLVGWSMSFNREFHDILYFDSAGENFDESSPLHWRGNPFNLRFCLTFSIASLFPSHSWWVMEDFGSTGSWTRLLRTESNYLCSFQAFRLSERRAVLLIIRDEDDDDDDEAKFKKFSIRKDPEQCEAVADMESLVSPHFY >EOY06510 pep chromosome:Theobroma_cacao_20110822:4:32131298:32133220:1 gene:TCM_021207 transcript:EOY06510 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAMTA domain class transcription factor MLDRWLRPLRSRAKITVLCLLLTFIVLHGTFTPAKSGSSEESSDNHTSYASRKRAESRRLLEEAQNDNNDKNYAEFDISKILVDEEGGDEEKPHPNKPYSLGPKISDWDQQRSEWLKNNPEFSNFIGPNKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGVEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEIEFLWWMDSDAMFTDMAYEVPWERYKDSNLVMHGWNEMVYDEKNWIGLNTGSFLLRNCQWSLDLLDAWAPMGPKGKIREEAGKVLTRELKGRPVFEADDQSAMVYLLVKERAKWGEKVYLENAYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLRQMDRAFNFGDNQILQMYGFMHKSLASRRVKRVRNETANPLETKDALGLLHPAFKAVKVSASS >EOY03123 pep chromosome:Theobroma_cacao_20110822:4:5375443:5379161:-1 gene:TCM_017618 transcript:EOY03123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase F11 MVVKVHGSVRAACPQRVLACLLEKDVEFEIFHVDLDAGEHKRPEFLLRQPFGQIPAVEDGDLKLFESRAIIRYYAAKYVDRGPNLLGNSLEERAVVDQWLEVEAHNFNDLVKTLVFQIVILPRMGEHGDLALAHKCEQQLEKVFDIYEQHLSKSSYLAGDSFTLADLSHLPAIRYLVNDAGMGHLVAERKHVNAWWEDISNRSAWKKLMELAKY >EOY03999 pep chromosome:Theobroma_cacao_20110822:4:21229037:21231306:-1 gene:TCM_019241 transcript:EOY03999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLRFIYSVLLLCLLLLTCDHGDAVKDLKEKHCDEEEGKTSSSSSYIARLESKYKPSYVVYETDEKIARLESKYKPDYGVDETEGKSSSPSSYIAHLESKYKPGYVVDEADEKIARLESKYKPGYGVDEIEKKSSSPSSYIARLESKYKAGYVVDEAEEKIARLESKYKPGYVVDEAKEKSSFPSSYITRLENKYKPGYVADETAEESSSLRSYITDLGSKYKPGYIDDQAEEKSLSQPSSKMMEHHSMENHGDDDIGSEEVGVFTIDDVRAFHVGRKLSTFFSIRNPSLYPGFLPREMADSIPFSSSETSKILQFFSVSPESPKGKAIKDTLRRCEIEPAKGETKICATSSESMLEFLKNAFGEADFKLISTSHPTMTTPILQSYTISEPPREIESPKKVACHPLQYLYAIYMCHYDATETKIFKVPLVGDNGDKVDALIVCHMDTSAWSPKHTAFSLLGTKPGIPVCHAFSEGHGVWIESSIPVATI >EOY06148 pep chromosome:Theobroma_cacao_20110822:4:31119808:31123625:-1 gene:TCM_020965 transcript:EOY06148 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MDSDQGKLFIGGISWETSEDKLGEYFGQYGNVLQTVVMRDKVTGRPRGFGFVVFSDPSVLDTVLQEKHTIDGRTVEAKRALSREEQQTSARSGNLNQGRNSGSGGNIRTKKIFVGGLPPTLTEDGFRQYFEAYGHVIDVVIMYDQNTQRPRGFGFISFDAEDAVDRVLHKSFHDLNGKQVEVKRALPKDANPGGLSRTMSGGAGGGGGYQGYGASGGNASSYDGRMDSNRYMQPQSTGAGFPPYGSSGYSAPGYGYGPANNGVGYGGYGNYGGAGAGYGGPAGAAYGNPNAGYAGGPPGAPRSSWGTQTPSGYGTMGYGSAAPWGAPGGGAGSGGPGSAGTGQSPTGATGYGSQGYGYGGYGGNDGSYGNAGYGAVGGRSSGTPNSNASGPGGGDLQGSGGGYMGSGYSDANGNSGYGNASWRSDSSQGSGNYGGTQANGPHGGQAGYGAATGEAQVGCQITLGPEERCSRVQRWSESVHSWLNPSFMVGVVQCGVYSAEDCSVEILINLRETLPHPVAADSANSWLLKSKSS >EOY06149 pep chromosome:Theobroma_cacao_20110822:4:31120235:31123625:-1 gene:TCM_020965 transcript:EOY06149 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MDSDQGKLFIGGISWETSEDKLGEYFGQYGNVLQTVVMRDKVTGRPRGFGFVVFSDPSVLDTVLQEKHTIDGRTVEAKRALSREEQQTSARSGNLNQGRNSGSGGNIRTKKIFVGGLPPTLTEDGFRQYFEAYGHVIDVVIMYDQNTQRPRGFGFISFDAEDAVDRVLHKSFHDLNGKQVEVKRALPKDANPGGLSRTMSGGAGGGGGYQGYGASGGNASSYDGRMDSNRYMQPQSTGAGFPPYGSSGYSAPGYGYGPANNGVGYGGYGNYGGAGAGYGGPAGAAYGNPNAGYAGGPPGAPRSSWGTQTPSGYGTMGYGSAAPWGAPGGGAGSGGPGSAGTGQSPTGATGYGSQGYGYGGYGGNDGSYGNAGYGAVGGRSSGTPNSNASGPGGGDLQGSGGGYMGSGYSDANGNSGYGNASWRSDSSQGSGNYGGTQANGPHGGQAGYGGGYSGAQGRQAQQQ >EOY06150 pep chromosome:Theobroma_cacao_20110822:4:31120958:31123583:-1 gene:TCM_020965 transcript:EOY06150 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MDSDQGKLFIGGISWETSEDKLGEYFGQYGNVLQTVVMRDKVTGRPRGFGFVVFSDPSVLDTVLQEKHTIDGRTVEAKRALSREEQQTSARSGNLNQGRNSGSGGNIRTKKIFVGGLPPTLTEDGFRQYFEAYGHVIDVVIMYDQNTQRPRGFGFISFDAEDAVDRVLHKSFHDLNGKQVEVKRALPKDANPGGLSRTMSGGAGGGGGYQGYGASGGNASSYDGRMDSNRYMQPQSTGAGFPPYGSSGYSAPGYGYGPANNGVGYGGYGNYGGAGAGYGGPAGAAYGNPNAGYAGGPPGAPRSSWGTQTPSGYGTMGYGSAAPWGAPGGGAGSGGPGSAGTGQSPTGATGYGSQGYGYGGYGGNDGSYGNAGYGAVGGRSSGTPNSNASGPGGGDLQGSGGGYMGSGYSDANGNSGYGNASWRSDSSQGSGNYGGTQANGPHGGQAGYGGGYSGAQGRQAQQQ >EOY03488 pep chromosome:Theobroma_cacao_20110822:4:16306253:16306983:1 gene:TCM_018578 transcript:EOY03488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METVEFEESTAQSEEFAGTSREMIEIMDYKDPGPNINPKTGYIFSPPPQG >EOY02898 pep chromosome:Theobroma_cacao_20110822:4:2868033:2869571:1 gene:TCM_017295 transcript:EOY02898 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein RCOM_1446020, putative MALEVARDKASFMLQAKSLGIPGSNTSKTSFFMAQIMLRVFAAAFTVAAICVMVTSSQSIILLGFSIRAQYSYSSAMRFLLVTDAVVCAFSALSLILVCRLSRSGSYLKSCFYLLMHDMVIMVLAVSGCAAATAVGYVSRYGEEKMGWMAICDRVGKFCNQMMIALVFSYLAFFSYFALAVMSSNKVMYQANE >EOY05661 pep chromosome:Theobroma_cacao_20110822:4:29377275:29379904:1 gene:TCM_020604 transcript:EOY05661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primary amine oxidase, putative isoform 2 FFSIFCIFRLSPITSSKPHPLDSLTASELLLVRAVVNQSYPSSNHKLTFQYVGLDEPEKQLVKSWLSKPTTKPPPRQALVVIRLNEQTHEIIVDLSKRSVISDKIYNGFGYPLLTIEEQEAAADLALKHEPFLASLSKRGLNISEVICSTQTIGWYGEEKTKRELKVVCYYLDGTVNMYLRPNRRDNHGCGPRRNEDISIH >EOY05660 pep chromosome:Theobroma_cacao_20110822:4:29377241:29378560:1 gene:TCM_020604 transcript:EOY05660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primary amine oxidase, putative isoform 2 MASTLKIALFFSIFCIFRLSPITSSKPHPLDSLTASELLLVRAVVNQSYPSSNHKLTFQYVGLDEPEKQLVKSWLSKPTTKPPPRQALVVIRLNEQTHEIIVDLSKRSVISDKIYNGFGYPLLTIEEQEAAADLALKHEPFLASLSKRGLNISEVICSTQTIGWYGEEKTKRELKVVCYYLDGTVNMYLRPNRRDNHGCGPRRNEDISIH >EOY05556 pep chromosome:Theobroma_cacao_20110822:4:28980499:28986873:1 gene:TCM_020529 transcript:EOY05556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 1 MAPSQAATITLAVSSQPTLSPALPPVVRLPKMLCQSKSCGSCFDGPKPGCNNNTCGLPPANTVTRTATGGEVAQDVVSVQSTNGKNPGQVVSVPKFLFTCGSTFLLEGLASGVKGMAGLGRTKISMSSQFAAAFSFHRKFAVCLSSSSSSNGVVFFGDGPYAFLPNNIDISQSLIYTPLILNPVSTAPAYFEGEPSADYFIGVKGIKINGKAVQLNNTLLSINKEGHGGTKISTVTPYTVMETSIYRAVVNAFIKAISQIPRVPAVAPFSACFKAKSFGSTRVGPAVPQIDLVLQSKDVIWTIFGANSMVQVSNDVLCLGFVDGGLEPTTSIVIGGYQIEHNLLQFDLATSRLGFTSSLLFRQTTCSNFNFTSTA >EOY05555 pep chromosome:Theobroma_cacao_20110822:4:28981875:28987032:1 gene:TCM_020529 transcript:EOY05555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 1 MAVSSKFLLFTALLFFLFASPSLQASFRPKALVLPVSKDASTNQYVTQIKQRTPLVPVKLTLDLGGEFLWVDCDQGYVSSSYMPARCNSAQCSLARSKSCGSCFDGPKPGCNNNTCGLPPANTVTRTATGGEVAQDVVSVQSTNGKNPGQVVSVPKFLFTCGSTFLLEGLASGVKGMAGLGRTKISMSSQFAAAFSFHRKFAVCLSSSSSSNGVVFFGDGPYAFLPNNIDISQSLIYTPLILNPVSTAPAYFEGEPSADYFIGVKGIKINGKAVQLNNTLLSINKEGHGGTKISTVTPYTVMETSIYRAVVNAFIKAISQIPRVPAVAPFSACFKAKSFGSTRVGPAVPQIDLVLQSKDVIWTIFGANSMVQVSNDVLCLGFVDGGLEPTTSIVIGGYQIEHNLLQFDLATSRLGFTSSLLFRQTTCSNFNFTSTA >EOY06062 pep chromosome:Theobroma_cacao_20110822:4:30814421:30819801:1 gene:TCM_020904 transcript:EOY06062 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MRGRSDGGQKKRLIIALCVVAIFLGFLYAYYGSIFGSSSRGAAALEYGSRSLRKLGSSYLGGDDDADGKQYEGEEDAIPKTFPVCDDRHSEIIPCLDRNLIYQMRLKLDLSLMEHYERHCPPPERRYNCLIPPPPGYKIPIKWPQSRDEVWKANIPHTHLAHEKSDQNWMVVKGEKIVFPGGGTHFHYGADKYIASIANMLNFSNNNLNNEGRLRTVLDVGCGVASFGAYLLSSDLITMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWKEMSALVGRMCWRIAAKRNQTVIWQKPLTNDCYMEREPGTSPPLCHSDDDPDAVWGVPMEACITPYSDHDQKAKGSGLAPWPARLTSPPPRLADFGYSSEMFEKDTETWRQRVESYWNLLSPKIEADTLRNLMDMKANMGSFAAALKGKNVWVMNVVPEDGPNTIKLIYDRGLIGTTHNWCESFSTYPRTYDLLHAWTVFSDIERKGCSAEDLLLEMDRILRPNGFVIIRDKQPVIDYIRKYLSALHWEAVATADSSSDADHEGDDVVFIVQKKMWLTSESLRNSE >EOY06061 pep chromosome:Theobroma_cacao_20110822:4:30811319:30819949:1 gene:TCM_020904 transcript:EOY06061 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MRGRSDGGQKKRLIIALCVVAIFLGFLYAYYGSIFGSSSRGAAALEYGSRSLRKLGSSYLGGDDDADGKQYEGEEDAIPKTFPVCDDRHSEIIPCLDRNLIYQMRLKLDLSLMEHYERHCPPPERRYNCLIPPPPGYKIPIKWPQSRDEVWKANIPHTHLAHEKSDQNWMVVKGEKIVFPGGGTHFHYGADKYIASIANMLNFSNNNLNNEGRLRTVLDVGCGVASFGAYLLSSDLITMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWKEMSALVGRMCWRIAAKRNQTVIWQKPLTNDCYMEREPGTSPPLCHSDDDPDAVWGVPMEACITPYSDHDQKAKGSGLAPWPARLTSPPPRLADFGYSSEMFEKDTETWRQRVESYWNLLSPKIEADTLRNLMDMKANMGSFAAALKGKNVWVMNVVPEDGPNTIKLIYDRGLIGTTHNWCESFSTYPRTYDLLHAWTVFSDIERKGCSAEDLLLEMDRILRPNGFVIIRDKQPVIDYIRKYLSALHWEAVATADSSSDADHEGDDVVFIVQKKMWLTSESLRNSE >EOY05104 pep chromosome:Theobroma_cacao_20110822:4:27225358:27229313:1 gene:TCM_020197 transcript:EOY05104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like family protein isoform 2 MNSATDAKTPKIFSPFKQPPPLLNLQQAFSDLQTHCSSLVQQTHHHLKGAFDCTFSHFNPPFLSPKGPVFTRIADSSKTKIDLSEKSGVAMSAEAIEESMAGVPVYALNNSEEKFVLVSGVSTKTSLGLFCFKKEDAEALLEQMKSMDPRMRRGSKVVAVALNKVFQMRVDGVALRLIPESAQIKNALRERERAGFSDDGFPGIPVFESRCLILRSQNKSYRPVFFRKEDLEQSLLRASCPQNQLKPAFRPGDIQVAVFEEIIKWMKDGSTSMWDDVVFIPPGFEVPTDPTQQQ >EOY05103 pep chromosome:Theobroma_cacao_20110822:4:27223120:27229329:1 gene:TCM_020197 transcript:EOY05103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like family protein isoform 2 MNSATDAKTPKIFSPFKQPPPLLNLQQAFSDLQTHCSSLVQQTHHHLKGAFDCTFSHFNPPFLSPKGPVFTRIADSSKTKIDLSEKSGVAMSAEAIEESMAGVPVYALNNSEEKFVLVSGVSTKTSLGLFCFKKEDAEALLEQMKSMDPRMRRGSKVVAVALNKVFQMRVDGVALRLIPESAQIKNALRPYSSEDVPWSWDRRYNKKDVCGQKGKKLANQIAFSANLTLLYLTWQDIMERERAGFSDDGFPGIPVFESRCLILRSQNKSYRPVFFRKEDLEQSLLRASCPQNQLKPAFRPGDIQVAVFEEIIKWMKDGSTSMWDDVVFIPPGFEVPTDPTQQQ >EOY05105 pep chromosome:Theobroma_cacao_20110822:4:27225350:27229220:1 gene:TCM_020197 transcript:EOY05105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like family protein isoform 2 MNSATDAKTPKIFSPFKQPPPLLNLQQAFSDLQTHCSSLVQQTHHHLKGAFDCTFSHFNPPFLSPKGPVFTRIADSSKTKIDLSEKSGVAMSAEAIEESMAGVPVYALNNSEEKFVLVSGVSTKTSLGLFCFKKEDAEALLEQMKSMDPRMRRGSKVVAVALNKVFQMRVDGVALRLIPESAQIKNALRERERAGFSDDGFPGIPVFESRCLILRSQNKSYRPVFFRKEDLEQSLLRASCPQNQLKPAFRPGDIQVAVFEEIIKWMKDGSTSMWDDVVFIPPGFEVPTDPTQQQ >EOY05108 pep chromosome:Theobroma_cacao_20110822:4:27239244:27243783:-1 gene:TCM_020199 transcript:EOY05108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAESKEISKKRKRSSLPTTHSLMGILTRSKSQIYLHRNRSGQSRPDSTRGRNHQDLQPVARKRKNGSPDEDSSVGCDLSSVSIKDLRLRRVFSPSSTDGVIRNCLDDAENLRKSEVAGNCLGGSKETRENGDFQKLDLSNEDSVQSTPPDAEIFGGNQGVERNGSYFSGQFLEKKPSESMQKHDGCTRKCVHEERNGINDSIKSVLKPCSRVKLFKTPGSFSYRRLLPYLMGSPTTGRCQKTEKGLEEKQFLASNCQETLADKSQTNGCSMEDHNSGSSKELNTKLVESLTSLDNECSSTPFDNGEIQKLELQVSFEDQNLNCSKHDSTSTVDDSPLNKEKLTGVVSSDKMMMDDGEVTKTNVESPCDAQSLDALDQTLSTVSNKCESYDYDEVRQNSNDDTKQFEVEGMSKATICHSFEAQHLNSVNPLSEVGGNRNCSLQQRVDNDGEVLEQVEDLNGECMSMTPPDSDMSFKHETDDSRWNRLDCVSQGMNHVIEKSANETFHRNSSQGRDKSLDSSPKNKMVPNPRLHLKLSKIPGSFSYRRLLPFLIDMRNDFSCASGNDQSLKVEKSSKEKAHSPLFTSGKEICMETFNGKSFSKGHYAGDDSTLLVAAATTPRCSSNQKLTQSPPKQVADSSMITDTQQEHGLLVNHAALDTNQKLETSPQNVVEPLSCLNNFGLFPRDEGAKSVSHQLPLETEEDCVKSTVKCATNKMQSEAIVEASIPPVIPAAGFRKGILKRNPRGCRGLCTCLNCSSFRLHAERSYEFSRNQMQDAEEVALDLIKELSNLRNMLEKSAKDQTSICINQVKEACKEASETEELARTRLCEMNNDLNIHCRIPVSMVCTLHSVTRAYLSHLPFPPPPKKKKNLLP >EOY05107 pep chromosome:Theobroma_cacao_20110822:4:27238174:27243963:-1 gene:TCM_020199 transcript:EOY05107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAESKEISKKRKRSSLPTTHSLMGILTRSKSQIYLHRNRSGQSRPDSTRGRNHQDLQPVARKRKNGSPDEDSSVGCDLSSVSIKDLRLRRVFSPSSTDGVIRNCLDDAENLRKSEVAGNCLGGSKETRENGDFQKLDLSNEDSVQSTPPDAEIFGGNQGVERNGSYFSGQFLEKKPSESMQKHDGCTRKCVHEERNGINDSIKSVLKPCSRVKLFKTPGSFSYRRLLPYLMGSPTTGRCQKTEKGLEEKQFLASNCQETLADKSQTNGCSMEDHNSGSSKELNTKLVESLTSLDNECSSTPFDNGEIQKLELQVSFEDQNLNCSKHDSTSTVDDSPLNKEKLTGVVSSDKMMMDDGEVTKTNVESPCDAQSLDALDQTLSTVSNKCESYDYDEVRQNSNDDTKQFEVEGMSKATICHSFEAQHLNSVNPLSEVGGNRNCSLQQRVDNDGEVLEQVEDLNGECMSMTPPDSDMSFKHETDDSRWNRLDCVSQGMNHVIEKSANETFHRNSSQGRDKSLDSSPKNKMVPNPRLHLKLSKIPGSFSYRRLLPFLIDMRNDFSCASGNDQSLKVEKSSKEKAHSPLFTSGKEICMETFNGKSFSKGHYAGDDSTLLVAAATTPRCSSNQKLTQSPPKQVADSSMITDTQQEHGLLVNHAALDTNQKLETSPQNVVEPLSCLNNFGLFPRDEGAKSVSHQLPLETEEDCVKSTVKCATNKMQSEAIVEASIPPVIPAAGFRKGILKRNPRGCRGLCTCLNCSSFRLHAERSYEFSRNQMQDAEEVALDLIKELSNLRNMLEKSAKDQTSICINQVKEACKEASETEELARTRLCEMNNDLNIHCRIPCGHRPSVRFANFVEEQVIPIAGSSNK >EOY05109 pep chromosome:Theobroma_cacao_20110822:4:27239341:27243783:-1 gene:TCM_020199 transcript:EOY05109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAESKEISKKRKRSSLPTTHSLMGILTRSKSQIYLHRNRSGQSRPDSTRGRNHQDLQPVARKRKNGSPDEDSSVGCDLSSVSIKDLRLRRVFSPSSTDGVIRNCLDDAENLRKSEVAGNCLGGSKETRENGDFQKLDLSNEDSVQSTPPDAEIFGGNQGVERNGSYFSGQFLEKKPSESMQKHDGCTRKCVHEERNGINDSIKSVLKPCSRVKLFKTPGSFSYRRLLPYLMGSPTTGRCQKTEKGLEEKQFLASNCQETLADKSQTNGCSMEDHNSGSSKELNTKLVESLTSLDNECSSTPFDNGEIQKLELQVSFEDQNLNCSKHDSTSTVDDSPLNKEKLTGVVSSDKMMMDDGEVTKTNVESPCDAQSLDALDQTLSTVSNKCESYDYDEVRQNSNDDTKQFEVEGMSKATICHSFEAQHLNSVNPLSEVGGNRNCSLQQRVDNDGEVLEQVEDLNGECMSMTPPDSDMSFKHETDDSRWNRLDCVSQGMNHVIEKSANETFHRNSSQGRDKSLDSSPKNKMVPNPRLHLKLSKIPGSFSYRRLLPFLIDMRNDFSCASGNDQSLKVEKSSKEKAHSPLFTSGKEICMETFNGKSFSKGHYAGDDSTLLVAAATTPRCSSNQKLTQSPPKQVADSSMITDTQQEHGLLVNHAALDTNQKLETSPQNVVEPLSCLNNFGLFPRDEGAKSVSHQLPLETEEDCVKSTVKCATNKMQSEAIVEASIPPVIPAAGFRKGILKRNPRGCRGLCTCLNCSSFRLHAERSYEFSRNQMQDAEEVALDLIKELSNLRNMLEKSAKDQTSICINQVILNEFLSFILCFAFKYSELT >EOY05659 pep chromosome:Theobroma_cacao_20110822:4:29377581:29382432:1 gene:TCM_020603 transcript:EOY05659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primary amine oxidase isoform 1 MAVDLEEMKISQYIDRAVASMPKAEGTEYRASKLTPPLGPRFNGAPPTPPGQTGFKIDGNIVSWANWKFHLGFDARVGPVISLASIYDAEKRTYRQVLYRSFISELFVPYQDPTEEWYHVTFFDCGEFGFGISAVPLEPLNDCPANAVFVDGYYAGQDGNPVKVSDVMCIFERHSGDVMWRHTEAELRDQEIREVRPEVSLVVRMVATVGNYDYILDWEFKPSGSIKFGVGLTGVLEVKAVPYKHTDQIKEEVYGTLVADNTIGVHHDHFLTYHLDLDIDGDANSLVKTNLVTKRVTDKSIPRKSYWTVEHETAKTEADARIKLGLKPAELVVVNPNKRTKPGNKVGYRLIPGSAAGPLLAADDYPQIRAAFTNHNVWVTPYNKSEKWAGGRYVDQSRGDDTLAVWSNRGIENKDIVLWYTMGFHHVPCQEDFPMMPTLSGGFELRPTNFFEYSPVLKTKPPQHLSWPNCTA >EOY05658 pep chromosome:Theobroma_cacao_20110822:4:29376926:29382438:1 gene:TCM_020603 transcript:EOY05658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primary amine oxidase isoform 1 MPKAEGTEYRASKLTPPLGPRFNGAPPTPPGQTGFKIDGNIVSWANWKFHLGFDARVGPVISLASIYDAEKRTYRQVLYRSFISELFVPYQDPTEEWYHVTFFDCGEFGFGISAVPLEPLNDCPANAVFVDGYYAGQDGNPVKVSDVMCIFERHSGDVMWRHTEAELRDQEIREVRPEVSLVVRMVATVGNYDYILDWEFKPSGSIKFGVGLTGVLEVKAVPYKHTDQIKEEVYGTLVADNTIGVHHDHFLTYHLDLDIDGDANSLVKTNLVTKRVTDKSIPRKSYWTVEHETAKTEADARIKLGLKPAELVVVNPNKRTKPGNKVGYRLIPGSAAGPLLAADDYPQIRAAFTNHNVWVTPYNKSEKWAGGRYVDQSRGDDTLAVWSNRNRGIENKDIVLWYTMGFHHVPCQEDFPMMPTLSGGFELRPTNFFEYSPVLKTKPPQHLSWPNCTA >EOY03643 pep chromosome:Theobroma_cacao_20110822:4:17583090:17595091:-1 gene:TCM_018735 transcript:EOY03643 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MGNPHVLVIPYPTQGHVIPLAELSQSLVKHGFKITFVNSEFNHERVMNALAKKVDGSIRLVSIADGMEDGESKKHLGKLTEAIRQVMPGGLKEFIKKTNRSEDDKITCVLADINMGVQKLIDDGLIDENASPFLIKANSRNWFWGLELSNRPFLWVVKPDISKGINDYYPKGFQERVTNQGRMVSWEPQHRVLVHPSIACFISHCGWNSTVEGVSNGVPFLCWPYSGDQFINENYVCDIWKVGLKFTRDERGMVTRQEIKNKVEQLLGNENFKGRALTLKEMTRNSVKEGGSSNRIFNNFTEWIKSLT >EOY05094 pep chromosome:Theobroma_cacao_20110822:4:27168580:27171326:-1 gene:TCM_020186 transcript:EOY05094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MENALTLNLRCKITLRWQSTYDNKKKMKIFCGTQFPKATRHCCLSSIRPSPPRPDGSSCKNHTALLVETYHHHRRLKALLERLEKDDSCPLQMLRDDGDWTKDIFWVVIRFLRRASRSNEILQVFHMWKNIEKSRINELNYEKIIGLLGEEGRVGQAVQALREMGGYGLKPSLEVYNSIIHAYARNGKFDDALSFLNEMKEIGLAPETDTYDGLIEAYGKYKMYDEIGTCLKMMELDRCRPDHFTYNLLIREFSRGGLLQRMEQVYQILLSKQMNLQSSSLVAMLEAYANFGILDKMEKVYRKVVNSMTLKEDTIRILASVYIKNYMFSRLDDLGIDLSSRTGRNDLVWCLRLLSHACLLSRKGMDSVILEMCEAKASWNVTISNIILLAYMKMKDFKRLRILLSQLPSHQVRPDIITIGILSDAIEIGFDGAEALETWRKMGLLYRTVEMNTDPLVLIAFGKGHFLRDCEEIYTSLEPKARKEKRWTYHHLIDLVIKHKAKRP >EOY03912 pep chromosome:Theobroma_cacao_20110822:4:20349177:20350164:-1 gene:TCM_019126 transcript:EOY03912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ion binding protein, putative isoform 1 MIALRPILVSKYNQPYSSKTLITCHVKPSKEMPQKLLHSNMSRRVGVIATMAPLLLIREETACGLDLRMVAPEQTLEEAESGVENHAKALLQVRDLIESKSWREAQKQLRKSSSLLKQDIYTIIQGKPGSERPPLRKLYSNLFNNVTKLDYAARDEDASRVWQCYDNIVLALNDILSKL >EOY03913 pep chromosome:Theobroma_cacao_20110822:4:20348945:20350109:-1 gene:TCM_019126 transcript:EOY03913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ion binding protein, putative isoform 1 MIALRPILVSKYNQPYSSKTLITCHVKPSKEMPQKLLHSNMSRRVGVIATMAPLLLIREETACGLDLRMVAPEQTLEEAESGVENHAKALLQVRDLIESKSWREAQKQLRKSSSLLKQDIYTIIQGKPGSERPPLRKLYSNLFNNVTKLDYAARDEDASRVWQCYDNIVLALNDILSKL >EOY03441 pep chromosome:Theobroma_cacao_20110822:4:15655809:15662381:-1 gene:TCM_018505 transcript:EOY03441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein with PDZ domain MQVLACSNSCSLNLNCNNVKSHSSMGRRELLSTLCSTSSPKDIVSPKPISILRTVSEGIMHLGEEIIGKSIPFATRRMLLASFFAYLSYHPSRYLSAQALGDPTVRVEDVTPPVFPSGALFPSEDRTVQLFEKNTYSVVNIFDITLRPQLNVTGAVEIPEGNGSGVVWDEQGHIVTNYHVIGNSLSRNPSPGQVVARVNILASEGVQKNFEGKLIGADRTKDLAVLKVEASEDLLRPIKVGQSSTLRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQTGVTIGGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIFTQTGTSAGVGFAIPSSTVLKIVPQLIQFGKAVRAGLNIEIAPELIANQLNVRKGALILQVPGNSLAAKAGLLPTTRGFAGNIILGDIILAVNNKPVKNKGELYKALDDYNVGDKVTLKIQRGGENMDLPVMLEEISS >EOY05279 pep chromosome:Theobroma_cacao_20110822:4:27844370:27851613:-1 gene:TCM_020316 transcript:EOY05279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase, putative isoform 1 MGKRVKKNRRVPPKEKKVVAAQSPKVIPQENNASFEKVDDGVAVVKERKSCPHLDKGIYLDKLLAKLRSSGPIRCEDCREGGNDRRGSKGKGKHGKKKGSASVDSKSESKAIWVCLECGHFVCAGVGLPTASTTHAIRHIRQTRHHLMIQWDNPQLRWCFACSTFIPVEKTEENVENKDALSEVVKLIKERSSEPPAADVENVWFGSGSVTNAIKSEGTISNGLDEKSGYMVRGLVNLGNTCFFNSVMQNLLALDRLRDYFLNLDASGGQLTISLKKLFAETKPEMGLKNAINPKPFFGCICAKAPQFRGYQQHDSHELLRCLLDGLYTEELALKKHINASINDVVSANQDLTFVDAVFGGQISSTLCCEECGHSSTVYEPFLDLSLPVPTKKTPSKKAQPVSRAKKTKLPPKKVGRARGKVNKDVDRSPAQGVTTSLPSSESPGLGHMVVPQTETMVASSSDSLLSGAVGTSAEANELSSASQNLLAVAASENEQVMENAVKENTGAADDFAWMDYLVMENTLQENAAGADGFTWMDYLEPGTIAVENDLISQNNDISFFQDSEDKNLVLNEALAESSQVSLLEGEPNWKPHDSSGNLQEEELPLLVQDSEVLLLPYKEESTSSKESVRENEASSSNVGHGQEEVEFDGFGDMFNEPEIAEGPSIGPSLANEVAETGFLAGNISDSDPDEVDDSDSPVSVESCLAHFIKPELLSDDNAWNCENCAKILRSQKLESKKKQTKMSKNLTNGGETQSQCEPPSLDKEFPCPNGVRTISNGDISNSGESLVLHNKITDSLKQNGIKLEIGQTGELNSVVSKSEEGKSEIEDASLMKSGSSVSSKSCGQEESGGIQPVDSCNVENHSDNDKFQQSNSQMAENCQSGESEDEEIDSKNVKVKRNATKRVLINKAPPILTIHLKRFSQDARGRLSKLNGHVNFRETIDLRPYVDARCEDIDNCIYHLMGVVEHSGTMRGGHYIAYVRGGEKRKGKAETEYVSSPWYYVSDHYVRQVSLEEVLRCEAYILFYEKI >EOY05281 pep chromosome:Theobroma_cacao_20110822:4:27845390:27850859:-1 gene:TCM_020316 transcript:EOY05281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase, putative isoform 1 KRVKKNRRVPPKEKKVVAAQSPKVIPQENNASFEKVDDGVAVVKERKSCPHLDKGIYLDKLLAKLRSSGPIRCEDCREGGNDRRGSKGKGKHGKKKGSASVDSKSESKAIWVCLECGHFVCAGVGLPTASTTHAIRHIRQTRHHLMIQWDNPQLRWCFACSTFIPVEKTEENVENKDALSEVVKLIKERSSEPPAADVENVWFGSGSVTNAIKSEGTISNGLDEKSGYMVRGLVNLGNTCFFNSVMQNLLALDRLRDYFLNLDASGGQLTISLKKLFAETKPEMGLKNAINPKPFFGCICAKAPQFRGYQQHDSHELLRCLLDGLYTEELALKKHINASINDVVSANQDLTFVDAVFGGQISSTLCCEECGHSSTVYEPFLDLSLPVPTKKTPSKKAQPVSRAKKTKLPPKKVGRARGKVNKDVDRSPAQGVTTSLPSSESPGLGHMVVPQTETMVASSSDSLLSGAVGTSAEANELSSASQNLLAVAASENEQVMENAVKENTGAADDFAWMDYLVMENTLQENAAGADGFTWMDYLEPGTIAVENDLISQNNDISFFQDSEDKNLVLNEALAESSQVSLLEGEPNWKPHDSSGNLQEEELPLLVQDSEVLLLPYKEESTSSKESVRENEASSSNVGHGQEEVEFDGFGDMFNEPEIAEGPSIGPSLANEVAETGFLAGNISDSDPDEVDDSDSPVSVESCLAHFIKPELLSDDNAWNCENCAKILRSQKLESKKKQTKMSKNLTNGGETQSQCEPPSLDKEFPCPNGVRTISNGDISNSGESLVLHNKITDSLKQNGIKLEIGQTGELNSVVSKSEEGKSEIEDASLMKSGSSVSSKSCGQEESGGIQPVDSCNVENHSDNDKFQQSNSQMAENCQSGESEDEEIDSKNVKVKRNATKRVLINKAPPILTIHLKRFSQDARGRLSKLNGHVNFRETIDLRPYVDARNSLIKLLH >EOY05280 pep chromosome:Theobroma_cacao_20110822:4:27844372:27851613:-1 gene:TCM_020316 transcript:EOY05280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase, putative isoform 1 MGKRVKKNRRVPPKEKKVVAAQSPKVIPQENNASFEKVDDGVAVVKERKSCPHLDKGIYLDKLLAKLRSSGPIRCEDCREGGNDRRGSKGKGKHGKKKGSASVDSKSESKAIWVCLECGHFVCAGVGLPTASTTHAIRHIRQTRHHLMIQWDNPQLRWCFACSTFIPVEKTEENVENKDALSEVVKLIKERSSEPPAADVENVWFGSGSVTNAIKSEGTISNGLDEKSGYMVRGLVNLGNTCFFNSVMQNLLALDRLRDYFLNLDASGGQLTISLKKLFAETKPEMGLKNAINPKPFFGCICAKAPQFRGYQQHDSHELLRCLLDGLYTEELALKKHINASINDVVSANQDLTFVDAVFGGQISSTLCCEECGHSSTVYEPFLDLSLPVPTKKTPSKKAQPVSRAKKTKLPPKKVGRARGKVNKDVDRSPAQGVTTSLPSSESPGLGHMVVPQTETMVASSSDSLLSGAVGTSAEANELSSASQNLLAVAASENEQVMENAVKENTGAADDFAWMDYLVMENTLQENAAGADGFTWMDYLEPGTIAVENDLISQNNDISFFQDSEDKNLVLNEALAESSQVSLLEGEPNWKPHDSSGNLQEEELPLLVQDSEVLLLPYKEESTSSKESVRENEASSSNVGHGQEEVEFDGFGDMFNEPEIAEGPSIGPSLANEVAETGFLAGNISDSDPDEVDDSDSPVSVESCLAHFIKPELLSDDNAWNCENCAKILRSQKLESKKKQTKMSKNLTNGGETQSQCEPPSLDKEFPCPNGVRTISNGDISNSGESLVLHNKITDSLKQNGIKLEIGQTGELNSVVSKSEEGKSEIEDASLMKSGSSVSSKSCGQEESGGIQPVDSCNVENHSDNDKFQQSNSQMAENCQSGESEDEEIDSKNVKVKRNATKRVLINKAPPILTIHLKRFSQDARGRLSKLNGHVNFRETIDLRPYVDARCEDIDNCIYHLMGVVEHSGTMRGGHYIAYVRGGEKRKGKAETEYVSSPWYYVSDHYVRQVSLEEVLRCEAYILFYEKI >EOY06517 pep chromosome:Theobroma_cacao_20110822:4:32145994:32148793:1 gene:TCM_021210 transcript:EOY06517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAGAVSLSPTLSFVPKFSLKPLLFSPLSTPVPSKPTKRKNSLRPKILKTITKPFPCSTPTIPITPVKSPPENKPVDVVVFEPPSDEMPIEVLEETNRVEEFQVSETLGFAGENSGNFGKISAYSVLKFGFYFVGIFVFQTLVAVWVTGNGDSQDKDRNFQRKKSWHGKFLNNGKVESSSRNVFSWDNSELEEKVKEIRAMAREARKIEEKETKNGDEEGDMIAESLNSKARIGFEKEIGARLNKLEKKLNSKRENIPGSYINFLDKLRDGEDAKEMDKKLFIKKKFKFRASEKNSRSDVKGFPSLKDCSATRNENGMATSGSGTKEVENGKRVVSQNLDFLPSDGEEIEKIEEEELGAVHNNTREVYNKPPANKVKDNQSSIKTDPWWLNLPYVLELYQAVKSHAKKVIVVKKGQLKIYAGQPFAEVEMALHSLIKDNQSASIANIE >EOY06516 pep chromosome:Theobroma_cacao_20110822:4:32145994:32147491:1 gene:TCM_021210 transcript:EOY06516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAGAVSLSPTLSFVPKFSLKPLLFSPLSTPVPSKPTKRKNSLRPKILKTITKPFPCSTPTIPITPVKSPPENKPVDVVVFEPPSDEMPIEVLEETNRVEEFQVSETLGFAGENSGNFGKISAYSVLKFGFYFVGIFVFQTLVAVWVTGNGDSQDKDRNFQRKKSWHGKFLNNGKVESSSRNVFSWDNSELEEKVKEIRAMAREARKIEEKETKNGDEEGDMIAESLNSKARIGFEKEIGARLNKLEKKLNSKRENIPGSYINFLDKLRDGEDAKEMDKKLFIKKKFKFRASEKNSRSDVKGFPSLKDCSATRNENGMATSGSGTKEVENGKRVVSQNLDFLPSDGEEIEKIEEEELGAVHNNTRFEKFTTSHQPTKSRITNQASKLIRGG >EOY06518 pep chromosome:Theobroma_cacao_20110822:4:32145994:32148758:1 gene:TCM_021210 transcript:EOY06518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAGAVSLSPTLSFVPKFSLKPLLFSPLSTPVPSKPTKRKNSLRPKILKTITKPFPCSTPTIPITPVKSPPENKPVDVVVFEPPSDEMPIEVLEETNRVEEFQVSETLGFAGENSGNFGKISAYSVLKFGFYFVGIFVFQTLVAVWVTGNGDSQDKDRNFQRKKSWHGKFLNNGKVESSSRNVFSWDNSELEEKVKEIRAMAREARKIEEKETKNGDEEGDMIAESLNSKARIGFEKEIGARLNKLEKKLNSKRENIPGSYINFLDKLRDGEDAKEMDKKLFIKKKFKFRASEKNSRSDVKGFPSLKDCSATRNENGMATSGSGTKEVENGKRVVSQNLDFLPSDGEEIEKIEEEELGAVHNNTRFEKFTTSHQPTKSRITNQASKLIRGG >EOY06515 pep chromosome:Theobroma_cacao_20110822:4:32145893:32149182:1 gene:TCM_021210 transcript:EOY06515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAGAVSLSPTLSFVPKFSLKPLLFSPLSTPVPSKPTKRKNSLRPKILKTITKPFPCSTPTIPITPVKSPPENKPVDVVVFEPPSDEMPIEVLEETNRVEEFQVSETLGFAGENSGNFGKISAYSVLKFGFYFVGIFVFQTLVAVWVTGNGDSQDKDRNFQRKKSWHGKFLNNGKVESSSRNVFSWDNSELEEKVKEIRAMAREARKIEEKETKNGDEEGDMIAESLNSKARIGFEKEIGARLNKLEKKLNSKRENIPGSYINFLDKLRDGEDAKEMDKKLFIKKKFKFRASEKNSRSDVKGFPSLKDCSATRNENGMATSGSGTKEVENGKRVVSQNLDFLPSDGEEIEKIEEEELGAVHNNTREVYNKPPANKVKDNQSSIKTDPWWLNLPYVLAVLMRRGVDHEGPGGLFTLRISSEGQEQSETSCTVAFEDHSDANNFCYLLECFFEDLGDFSAEVVPMSVKELYQAVKSHAKKVIVVKKGQLKIYAGQPFAEVEMALHSLIKDNQSASIANIE >EOY06519 pep chromosome:Theobroma_cacao_20110822:4:32145893:32149182:1 gene:TCM_021210 transcript:EOY06519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAGAVSLSPTLSFVPKFSLKPLLFSPLSTPVPSKPTKRKNSLRPKILKTITKPFPCSTPTIPITPVKSPPENKPVDVVVFEPPSDEMPIEVLEETNRVEEFQVSETLGFAGENSGNFGKISAYSVLKFGFYFVGIFVFQTLVAVWVTGNGDSQDKDRNFQRKKSWHGKFLNNGKVESSSRNVFSWDNSELEEKVKEIRAMAREARKIEEKETKNGDEEGDMIAESLNSKARIGFEKEIGARLNKLEKKLNSKRENIPGSYINFLDKLRDGEDAKEMDKKLFIKKKFKFRASEKNSRSDVKGFPSLKDCSATRNENGMATSGSGTKEVENGKRVVSQNLDFLPSDGEEIEKIEEEELGAVHNNTRIGHGGYK >EOY02553 pep chromosome:Theobroma_cacao_20110822:4:1305793:1308197:-1 gene:TCM_016994 transcript:EOY02553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase, putative isoform 1 MGDQMEFGRVLIAGVFFSWVLCVGGANLPPCEFPAIYNFGDSNSDTGGISAAFEPIRAPYGEAFFHKPAGRDSDGRLIIDFIEQGLITGLVSPLVAAERVQLPYLHAYLNSLGANFRHGANFATGGSTIRRQNETIFEYGISPFALDMQIVQFDQFKARTIEMYNQAKDPSEKDKLPRPEDFAKALYTFDIGQNDLSVGFRKMSFDQLRAAMPDIINQLGSAVQLISMLYEYQHLYQQGGRTFWIHNTGPIGCLPVNSFYLRNPEPGYLDQYGCVKGQNDMAMEFNRQLKDRIIKLRTELPEASITHVDVYTAKYSMISNAKNLGLADPLKVCCGYHVNYDHVWCGNKATINNTEVYGGSCKNPSVFISWDGVHYSQAANQFVADHTLNGSLADPPIPITQACHKL >EOY02554 pep chromosome:Theobroma_cacao_20110822:4:1305839:1308303:-1 gene:TCM_016994 transcript:EOY02554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase, putative isoform 1 MGDQMEFGRVLIAGVFFSWVLCVGGANLPPCEFPAIYNFGDSNSDTGGISAAFEPIRAPYGEAFFHKPAGRDSDGRLIIDFIAERVQLPYLHAYLNSLGANFRHGANFATGGSTIRRQNETIFEYGISPFALDMQIVQFDQFKARTIEMYNQAKDPSEKDKLPRPEDFAKALYTFDIGQNDLSVGFRKMSFDQLRAAMPDIINQLGSAVQHLYQQGGRTFWIHNTGPIGCLPVNSFYLRNPEPGYLDQYGCVKGQNDMAMEFNRQLKDRIIKLRTELPEASITHVDVYTAKYSMISNAKNLGLADPLKVCCGYHVNYDHVWCGNKATINNTEVYGGSCKNPSVFISWDGVHYSQAANQFVADHTLNGSLADPPIPITQACHKL >EOY02822 pep chromosome:Theobroma_cacao_20110822:4:2391406:2392049:1 gene:TCM_017222 transcript:EOY02822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFMRLDNTKCHGDTDSDLIKLNSWPLLKVVKCVNSSRVDNALLAAGGNATSWAKDDNKVHWHLRNAMNNLGFDQKNKIYTIQQLVEKFCSDPAWCSVFGWEFADFCL >EOY04476 pep chromosome:Theobroma_cacao_20110822:4:24527101:24534301:-1 gene:TCM_019719 transcript:EOY04476 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein MGENGNGNAAALHTAMNAVQALGRGFDVNFDTRLLYCKGVAGSRIVEVDEEHGRDIYLDDHIVLSNISKDIKNFQEPFGRHNSGVCNFHEMLEYFNKKANVSGGFPLGSFISAFSFTGSTNIDAATTKTLSMDGFYIPLAKFQLTKSPSVLQENVKRTVPTSWDPSSLASFIENFGTHVITSVTIGGKDVIYIKQHHSSPLSTTEIKNYVQDIGNQRFSDKESHTSSGQIKLKDKASSTISFIFLVLILAYSTVKEFTLSLPVHHILMGKSLDLNFLEFLLLQDVTVIFRRRGGDDLEQNHTQWAKTVRSSPDVIEMTFYPITALLEGVAGKEHLTRAISVYLEYKPPIEELRYFLEFQIPRIWAPIRDKIPGHQRKEPICPSLQFSMMGQKLYVSQEQISVGRKPVTGLRLRLEGIKKNRLSIHLQHLASLPKILLPHWDTHVAIGAPKWQGPEEQDSRWFEPVKWKNFSHVSTAPIENPETFIGDLSGVYIVTGAQLGVWDFGSRNVLYMKLLYSRLPGCTIRRSLWDHIPNDKSKKVVATVGSANSGDSSSGSQENIVNKLSKFVDMAEMSKGPQDPPGHWLVTGGKLGVEKGKIVLRVKYSLLNY >EOY06474 pep chromosome:Theobroma_cacao_20110822:4:32034526:32036479:1 gene:TCM_021182 transcript:EOY06474 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 1 MAPVPSFPVKVGHIDDVQELRKARPTSIPERFVRDMAERPKHAATLVSASDIPIIDLCKLMKRDKDEHQSEAMQLKTACEEWGFFQVVNHGIDLSVLENIEKVAKDFFMLPLEEKKKYPMAPGTVQGYGQAFVFSENQKLDWCNMFALGVEPPCIRNPKLWPSKPADFRETLEVYSREIRKLCENLLKNIAVSLGLKGDVFEEMFGVAVQAVRMNYYPPCSRPDLVLGLSPHSDGSALTVLQQVLTNGKYKSVEHRAVTHKERDRLSIVTFYAPSYEIELGPMPELVDEKNPCRYRRYNHGEYSKHYVTNKLQGKRALDFAKIESKTSA >EOY06473 pep chromosome:Theobroma_cacao_20110822:4:32034752:32036686:1 gene:TCM_021182 transcript:EOY06473 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 1 MAPVPSFPVKVGHIDDVQELRKARPTSIPERFVRDMAERPKHAATLVSASDIPIIDLCKLMKRDKDEHQSEAMQLKTACEEWGFFQVVNHGIDLSVLENIEKVAKDFFMLPLEEKKKYPMAPGTVQGYGQAFVFSENQKLDWCNMFALGVEPPCIRNPKLWPSKPADFRETLEVYSREIRKLCENLLKNIAVSLGLKGDVFEEMFGVAVQAVRMNYYPPCSRPDLVLGLSPHSDGSALTVLQQVKDSSVGLQILKGNTWVPVQPVPNALVINIGDTIEVLTNGKYKSVEHRAVTHKERDRLSIVTFYAPSYEIELGPMPELVDEKNPCRYRRYNHGEYSKHYVTNKLQGKRALDFAKIESKTSA >EOY06530 pep chromosome:Theobroma_cacao_20110822:4:32171940:32174803:1 gene:TCM_021216 transcript:EOY06530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein, putative MPPPSIFFLTPYAATKPHPSDLIYQKNRIPSPITINIHIFLEWLPSRFDKFKTQQQLARVHLFTEEERLETISEGVSGGVLSCNKMVQERPTMLVAVDDSAHSEYALEWTLDHFFTSFGSNRPFQLVVIHARPLPSSVIGLTGPASAEVVPIMKVGIKKTATMVKDKVKEICRNKSLSDVRVEVLEGDPRNVVCEAVEKYHAAILVLGSHGYGTVRRAILGSVSDYCAHHAHCSVMIVKKPKQEN >EOY02996 pep chromosome:Theobroma_cacao_20110822:4:3395866:3397312:1 gene:TCM_017388 transcript:EOY02996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLTPKMEGFSLHTCKGKERKNIRRGGSFFGLMCFLGGEYNNNLNHNKKAPFQDKKMISRREACL >EOY06714 pep chromosome:Theobroma_cacao_20110822:4:32734682:32738251:-1 gene:TCM_021358 transcript:EOY06714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily isoform 3 MTLSNGASLMEIDNDQKQEEEEEEDPFLAFIDYARSVLSPDEDDDPSGNEAGNSGPGWSWTVSRILKTCISYSSGVTAAILLSDLSQAWSEQRRAGAPKRRPEIINQLKRKHRRTKLPNMVTIDSIYEKNFLSLGSVLEAVIVDAFVLPGTNIYMLTLRDYWSSKTIDLYLHRRYYDLVDSPNGILKKEREVFVTGCYLRTAREGSGSPRLLPTEYLVILLDEDLDDDAILIGAQFCSDSFSSISLDAVKNDVSYSLYARIESIRSLEILGKCGSLQRKQITLVDNDGVKLKFLLWNEQVILANLFSVGSMLALDRPYIASSADSAVETSDELCLEYGTATQLYLVPFVHHEEQVCLSSTQNCNQGSRLHATVDPTQGPRVSQVILPCDSQGSIDFSNYPFQSFVADLRDKMTGISLYGVVTDIFRERKTAEVIFSLKIEDVTGAIWAKLHFSQSWSLGRVSHGHMVYISGLTCSKTKQNCFEVSWFEKDVGASFINLSCLPALLNSSCLHKLSRLSDLSSKRSSMHVWIADDVGFLFMYTISTFKCYQTFCFFHDKMELVHFNHGCTSHVGMN >EOY06712 pep chromosome:Theobroma_cacao_20110822:4:32733237:32738296:-1 gene:TCM_021358 transcript:EOY06712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily isoform 3 MTLSNGASLMEIDNDQKQEEEEEEDPFLAFIDYARSVLSPDEDDDPSGNEAGNSGPGWSWTVSRILKTCISYSSGVTAAILLSDLSQAWSEQRRAGAPKRRPEIINQLKRKHRRTKLPNMVTIDSIYEKNFLSLGSVLEAVIVDAFVLPGTNIYMLTLRDYWSSKTIDLYLHRRYYDLVDSPNGILKKEREVFVTGCYLRTAREGSGSPRLLPTEYLVILLDEDLDDDAILIGAQFCSDSFSSISLDAVKNDVSYSLYARIESIRSLEILGKCGSLQRKQITLVDNDGVKLKFLLWNEQVILANLFSVGSMLALDRPYIASSADSAVETSDELCLEYGTATQLYLVPFVHHEEQVCLSSTQNCNQGSRLHATVDPTQGPRVSQVILPCDSQGSIDFSNYPFQSFVADLRDKMTGISLYGVVTDIFRERKTAEVIFSLKIEDVTGAIWAKLHFSQSWSLGRVSHGHMVYISGLTCSKTKQNCFEVSWFEKDVGASFINLSCLPALLNSSCLHKLSRLSDLSSKRSSMHICRVWVDQVDHYHVTTRFSHASCGHFVKGMPSGVVKCSFCHCNCDAELVRVFYLKITLADETAKIFAWCTGQTAMELLQISPDEFCELPEDEQVMYPSSLENERFKVALVNCKRQGYGASDSLTPEADAVSWEITRALKYE >EOY06713 pep chromosome:Theobroma_cacao_20110822:4:32733396:32738251:-1 gene:TCM_021358 transcript:EOY06713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily isoform 3 MTLSNGASLMEIDNDQKQEEEEEEDPFLAFIDYARSVLSPDEDDDPSGNEAGNSGPGWSWTVSRILKTCISYSSGVTAAILLSDLSQAWSEQRRAGAPKRRPEIINQLKRKHRRTKLPNMVTIDSIYEKNFLSLGSVLEAVIVDAFVLPGTNIYMLTLRDYWSSKTIDLYLHRRYYDLVDSPNGILKKEREVFVTGCYLRTAREGSGSPRLLPTEYLVILLDEDLDDDAILIGAQFCSDSFSSISLDAVKNDVSYSLYARIESIRSLEILGKCGSLQRKQITLVDNDGVKLKFLLWNEQVILANLFSVGSMLALDRPYIASSADSAVETSDELCLEYGTATQLYLVPFVHHEEQVCLSSTQNCNQGSRLHATVDPTQGPRVSQVILPCDSQGSIDFSNYPFQSFVADLRDKMTGISLYGVVTDIFRERKTAEVIFSLKIEDVTGAIWAKLHFSQSWSLGRVSHGHMVYISGLTCSKTKQNCFEVSWFEKDVGASFINLSCLPALLNSSCLHKLSRLSDLSSKRSSMHVWIADDICRVWVDQVDHYHVTTRFSHASCGHFVKGMPSGVVKCSFCHCNCDAELVRVFYLKITLADETAKIFAWCTGQTAMELLQISPDEFCELPEDEQVMYPSSLENERFKVALVNCKRQGYGASDSLTPEADAVSWEITRALKYE >EOY03209 pep chromosome:Theobroma_cacao_20110822:4:7854999:7881001:-1 gene:TCM_017840 transcript:EOY03209 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MEEKLKCLVLVGAGALAGAVSTFFILKLLPRIVANQLDKKAAKLNEKTVKCRIDSGNGNCGMSGLNLLADEIVSEQLTRNIQFFGLDSQQKVTTSYVVVIGLGGVGSHAASMLLRSGVGKLLLVDFDQVSLSSLNRHAVATRADVGIPKAECLKKHFSSIFPECQIDAKVLLYDVSSEEEILSGNPDFVLDCIDNIDTKVALLAACVRRGLKVLSATGAGARADPTRIRVADLRESTNDPLSRAVRHRLRKDYGIEGGIPVVFSIEKPKAKLLPFRGPSGEEENPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLAGLHIQTEPVVNLDVDHYQMLLQRLIEHEESLFGSAMQVQVDVEEVRYIAKELWHGRSARELSAKDVGRGMWRSVNELMLVRWDQAKPASVSNLILLKFKEADEHELRTLDDIKEKEPEFFSRVTSVLKRAEQDFGL >EOY03210 pep chromosome:Theobroma_cacao_20110822:4:7855788:7878096:-1 gene:TCM_017840 transcript:EOY03210 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MEEKLKCLVLVGAGALAGAVSTFFILKLLPRIVANQLDKKAAKLNEKTVKCRIDSGNGNCGMSGLNLLADEIVSEQLTRNIQFFGLDSQQKVTTSYVVVIGLGGVGSHAASMLLRSGVGKLLLVDFDQVSLSSLNRHAVATRADVGIPKAECLKKHFSSIFPECQIDAKVLLYDVSSEEEILSGNPDFVLDCIDNIDTKVALLAACVRRGLKVLSATGAGARADPTRIRVADLRESTNDPLSRAVRHRLRKDYGIEGGIPVVFSIEKPKAKLLPFRGPSGEEENPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLAGLHIQTEPVVNLDVDHYQMLLQRLIEHEESLFGSAMQVQVDVEEVRYIAKELWHGRSARELSAKDVGRGMWRSVNELMLVRWDQAKPASVSNLILLKFKEADEHELRTLDDIKEKEPEFFSRVTSVLKRAEQDFGL >EOY05308 pep chromosome:Theobroma_cacao_20110822:4:27993921:27995961:-1 gene:TCM_020335 transcript:EOY05308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 family protein isoform 1 MVSGSGICAKKVVVDARHHMLGRLASILAKELLNGQKVVVVRCEEICMSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGAAALARLKAYEGIPAPYDKMKRMVIPDALKVLRLQKGHKYCLLGKLSSEVGWNHYDTIKELERKRKERAQVAYERRKQLNKLRVKAEKAAEEKLGAQLAVIAPIKY >EOY05309 pep chromosome:Theobroma_cacao_20110822:4:27993798:27995969:-1 gene:TCM_020335 transcript:EOY05309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 family protein isoform 1 MVSGSGICAKKVVVDARHHMLGRLASILAKELLNGQKVVVVRCEEICMSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGAAALARLKAYEGIPAPYDKMKRMVIPDALKVLRLQKGHKYCLLGKLSSEVGWNHYDTIKELERKRKERAQVAYERRKQLNKLRVKAEKAAEEKLGAQLAVIAPIKY >EOY02534 pep chromosome:Theobroma_cacao_20110822:4:1261593:1264457:-1 gene:TCM_016987 transcript:EOY02534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,4-N-acetylglucosaminyltransferase family protein isoform 1 MSDGYYNSKKTDDICEEVCGQASRAALTMSRLRCMLRGFDIKTYIFLFVVIPVFIFGIFLHGQKITYFLRPIWESPPKPFHEIPHYYHENVTMETLCSLHGWKIRESPRRVFDAVLFSNEIDILKLRWNELYPYITQFVLLESNSTFTGLPKPLIFASNRLEFKFIEPRLTYGMIGGRFKKGENPFVEEAYQRVALDQLIRIAGIEDDDLLIMSDVDEIPSAHSINLLRWCDGVPPIIHLQLRNYLYSFEYHVDNKSWRASVHRYKTGKTRYAHYRQADVVLSDAGWHCSFCFRHIREFIFKMKAYSHTDRVRFSHYLNPKRIQDVICRGADLFDMIPEEYTFKEIIGKMGPIPHSYSAVHLPAYLLNNAEEYKYLLPGNCKRESG >EOY02535 pep chromosome:Theobroma_cacao_20110822:4:1261643:1264302:-1 gene:TCM_016987 transcript:EOY02535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,4-N-acetylglucosaminyltransferase family protein isoform 1 MSDGYYNSKKTDDICEEVCGQQASRAALTMSRLRCMLRGFDIKTYIFLFVVIPVFIFGIFLHGQKITYFLRPIWESPPKPFHEIPHYYHENVTMETLCSLHGWKIRESPRRVFDAVLFSNEIDILKLRWNELYPYITQFVLLESNSTFTGLPKPLIFASNRLEFKFIEPRLTYGMIGGRFKKGENPFVEEAYQRVALDQLIRIAGIEDDDLLIMSDVDEIPSAHSINLLRWCDGVPPIIHLQLRNYLYSFEYHVDNKSWRASVHRYKTGKTRYAHYRQADVVLSDAGWHCSFCFRHIREFIFKMKAYSHTDRVRFSHYLNPKRIQDVICRGADLFDMIPEEYTFKEIIGKMGPIPHSYSAVHLPAYLLNNAEEYKYLLPGNCKRESG >EOY02536 pep chromosome:Theobroma_cacao_20110822:4:1261593:1264559:-1 gene:TCM_016987 transcript:EOY02536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,4-N-acetylglucosaminyltransferase family protein isoform 1 MSDGYYNSKKTDDICEEVCGQQASRAALTMSRLRCMLRGFDIKTYIFLFVVIPVFIFGIFLHGQKITYFLRPIWESPPKPFHEIPHYYHENVTMETLCSLHGWKIRESPRRVFDAVLFSNEIDILKLRWNELYPYITQFVLLESNSTFTGLPKPLIFASNRLEFKFIEPRLTYGMIGGRFKKGENPFVEEAYQRVALDQLIRIAGIEDDDLLIMSDVDEIPSAHSINLLRWCDGVPPIIHLQLRNYLYSFEYHVDNKSWRASVHRYKTGKTRYAHYRQADVVLSDAGWHCSFCFRHIREFIFKMKAYSHTDRVRFSHYLNPKRIQDVICRGADLFDMIPEEYTFKEIIGKMGPIPHSYSAVHLPAYLLNNAEEYKYLLPGNCKRESG >EOY04546 pep chromosome:Theobroma_cacao_20110822:4:24957954:24960223:-1 gene:TCM_019783 transcript:EOY04546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGPKVLRSALFLLKARADLSLVVIVLNSRRIRELLRHAAAWLSYQGLGDLSHVLSHGVCCSLEVFFCGDRPRCFLFVLISHVPWVVFPFQRGDDEEVKEARLQEALPLGGGVSLFCFGSHLMRVSSSCFGCLTRSGGLSLLSAF >EOY06225 pep chromosome:Theobroma_cacao_20110822:4:31350129:31351769:-1 gene:TCM_021027 transcript:EOY06225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative MDKLRQIANAYYELAPKNIQKEAQKFFNEIDFNGDGHIELEEFLEFMKQKPCDAYRSSNLFKELCAGNDANKLGFMDVMTLYYIVQSGRPFCNGCDEFIKDIYFCCVECFHSSTKYCLCLQCYKAKKYRSHPHRQFLDNFSLLEANRRTALNGVKFPNQGSSLRMYDVEDDGENSGAIVPVVLNKIKKKKREKIKAALKSFEKAPHAGGAVETIPVCRIQ >EOY06869 pep chromosome:Theobroma_cacao_20110822:4:33189417:33197289:-1 gene:TCM_021463 transcript:EOY06869 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MDVFQISQLLNQTLSPDGDVVRSSTEALDRLSSLPHFPFALLSIAAGGENQGQRVAASTYLKNFARRNIEVHDGSSSKVSTEFKGQLMRTLLQAEPSVLKVLVEAFRIIVVAEFVKQNSWPELVPELRSAIQSSNFISNGANCEWNTVNALTVLHALVRPFQYFLNPKVAKEPVPPQLELIAKEILAPLMTVFHHIVEKAIATHSRTELETEKVLLLICKCLYFSVRSYMPSAVAAFLPSFSHGLIRILGSLSLDHGDTSEDEYLSRLKTGKRALLIFCCLTTRHRKYSDKLMPDIINSVLKIVNCSSNVSKLDFLSERIISLAFDVVSHVLETGPGWRLVSPHFSFLLESAIFPALVLNEKDILEWEEDAEEYIRKNLPSELEEISGWREDLFTARKSAINLLGVISMSKGPPIGSSNNGSSASTKRKKGEKNKRNNQHSIGESLVLPCLSKFPIPSDATTSDPRILKDYFGVLMAYGGLQDFLREQKPTYTTTLVHTRVLPLFSVSVCPPYLVAAASWVLGELASCLPEEMSADIYSSLLKALAMPDKEDTSCYPVRVAAAGAIAGLLENEYLPPEWLPLLQVVISRIGNEDEENIILFQLLNSVVEAGNENIVVHIPHIISSLVDAISKSIHPSMEPWPHVVVRGFEALALMAQSWENFMLEEVEENVSREKQASGQAAIGRALSALLERAWLTVSLEAEASPPPSCIDHSSTLLQSIIRSVTGSHVIVELKLSELLVVWADLISDWHAWEESEDMSVFDCIKEVVSLHSKYRLENFIVRQMPPAPAPPVPQRSITEAISSFVSEAILQYPSATWRACSCVHILLHVPNYSCETEGVKQSLAVVFSRAAFSRFRGVRSKPCSLWKPLLLAIASCYLYYPDTVEAILEKEGDGGFAMWASALALCSSELGLSAKSEIKLMVMTLAKMIERLLVVGNPSDGLLRDCFNSLIETSVQLKELDEEMEDEQNDGESEDDDNDDDDDDDEEIQDDDEESESELEETEEQFLERYAQAASALENDIVEGDVEDEDLEIELGTLEEVDEQRIILSLIGRYQHALIQGQALSSQLVSNFINAFPDSSFFFQQSM >EOY04275 pep chromosome:Theobroma_cacao_20110822:4:23101136:23109636:-1 gene:TCM_019522 transcript:EOY04275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Decapping 5, putative isoform 2 MASTESSRSSTAGSPDSYIGSLISLTSKSEIRYEGVLFNINTEESSIGLRNVRSFGTEGRKKDGPQVPPSDKVYEYILFRGSDIKDLQVKSSPPVQTPAPIHNDPAIIQSHHPQSAIASTGLPSSVTGSIPDPGSQTSSVGIARPTFQGSLPLYRPGSDLGPWGSSTAPTTNGGLSMPMYWQSYYGSMNGLPPQQQPLLRPPPGLSMPPSLQQSMQYPAVNVSFPTTASNLPAHQLSENTPTLMPPFGVGTLNLQSSVLPAQSSTVVSDSSTSLNPDTASASTVPTAASSSSLPLVSALSSLDKSAVMLSLSDKTKTVPDPIMPFKGIPDSASSAIGTSSVLNDGTLPSLVTPGQLLQPGVLTASSSMSSQTAQKDVEVVHVSSPESTTAPAPAPVSAQVPTPVPAQVPPPLLAQAPPQALKTEGQEPILPSPSPSDHKLLRAPMHSYHSYRGRERGRGNAISRSATRFTEEFDFTVMNEKFNKDEVWGHLGRSSRGQEEDLQNEDSVGSSLVEVKESSVSPPRVLYMSKMIFL >EOY04274 pep chromosome:Theobroma_cacao_20110822:4:23100104:23109636:-1 gene:TCM_019522 transcript:EOY04274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Decapping 5, putative isoform 2 MASTESSRSSTAGSPDSYIGSLISLTSKSEIRYEGVLFNINTEESSIGLRNVRSFGTEGRKKDGPQVPPSDKVYEYILFRGSDIKDLQVKSSPPVQTPAPIHNDPAIIQSHHPQSAIASTGLPSSVTGSIPDPGSQTSSVGIARPTFQGSLPLYRPGSDLGPWGSSTAPTTNGGLSMPMYWQSYYGSMNGLPPQQQPLLRPPPGLSMPPSLQQSMQYPAVNVSFPTTASNLPAHQLSENTPTLMPPFGVGTLNLQSSVLPAQSSTVVSDSSTSLNPDTASASTVPTAASSSSLPLVSALSSLDKSAVMLSLSDKTKTVPDPIMPFKGIPDSASSAIGTSSVLNDGTLPSLVTPGQLLQPGVLTASSSMSSQTAQKDVEVVHVSSPESTTAPAPAPVSAQVPTPVPAQVPPPLLAQAPPQALKTEGQEPILPSPSPSDHKLLRAPMHSYHSYRGRERGRGNAISRSATRFTEEFDFTVMNEKFNKDEVWGHLGRSSRGQEEDLQNEDSVGSSLVEVKPVYVKDDFFDTLSCDALDDGSRNGRTRFSEQMRRDTETFGDFPWHRGGRGGRGPIYGGRGRGSYYGRGYGYGGRGRGYGMANRTT >EOY04276 pep chromosome:Theobroma_cacao_20110822:4:23100105:23109636:-1 gene:TCM_019522 transcript:EOY04276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Decapping 5, putative isoform 2 MASTESSRSSTAGSPDSYIGSLISLTSKSEIRYEGVLFNINTEESSIGLRNVRSFGTEGRKKDGPQVPPSDKVYEYILFRGSDIKDLQVKSSPPVQTPAPIHNDPAIIQSHHPQSAIASTGLPSSVTGSIPDPGSQTSSVGIARPTFQGSLPLYRPGSDLGPWGSSTAPTTNGGLSMPMYWQSYYGSMNGLPPQQQPLLRPPPGLSMPPSLQQSMQYPAVNVSFPTTASNLPAHQLSENTPTLMPPFGVGTLNLQSSVLPAQSSTVVSDSSTSLNPDTASASTVPTAASSSSLPLVSALSSLDKSAVMLSLSDKTKTVPDPIMPFKGIPDSASSAIGTSSVLNDGTLPSLVTPGQLLQPGVLTASSSMSSQTAQKDVEVVHVSSPESTTAPAPAPVSAQVPTPVPAQVPPPLLAQAPPQALKTEGQEPILPSPSPSDHKPVYVKDDFFDTLSCDALDDGSRNGRTRFSEQMRRDTETFGDFPWHRGGRGGRGPIYGGRGRGSYYGRGYGYGGRGRGYGMANRTT >EOY04273 pep chromosome:Theobroma_cacao_20110822:4:23098799:23118386:-1 gene:TCM_019522 transcript:EOY04273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Decapping 5, putative isoform 2 MASTESSRSSTAGSPDSYIGSLISLTSKSEIRYEGVLFNINTEESSIGLRNVRSFGTEGRKKDGPQVPPSDKVYEYILFRGSDIKDLQVKSSPPVQTPAPIHNDPAIIQSHHPQSAIASTGLPSSVTGSIPDPGSQTSSVGIARPTFQGSLPLYRPGSDLGPWGSSTAPTTNGGLSMPMYWQSYYGSMNGLPPQQQPLLRPPPGLSMPPSLQQSMQYPAVNVSFPTTASNLPAHQLSENTPTLMPPFGVGTLNLQSSVLPAQSSTVVSDSSTSLNPDTASASTVPTAASSSSLPLVSALSSLDKSAVMLSLSDKTKTVPDPIMPFKGIPDSASSAIGTSSVLNDGTLPSLVTPGQLLQPGVLTASSSMSSQTAQKDVEVVHVSSPESTTAPAPAPVSAQVPTPVPAQVPPPLLAQAPPQALKTEGQEPILPSPSPSDHKLLRAPMHSYHSYRGRERGRGNAISRSATRFTEEFDFTVMNEKFNKDEVWGHLGRSSRGQEEDLQNEDSVGSSLVEPVYVKDDFFDTLSCDALDDGSRNGRTRFSEQMRRDTETFGDFPWHRGGRGGRGPIYGGRGRGSYYGRGYGYGGRGRGYGMANRTT >EOY03766 pep chromosome:Theobroma_cacao_20110822:4:19118154:19120649:-1 gene:TCM_018941 transcript:EOY03766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein, putative MGDALRSLLHFLKVLKQKLGKKKTHKSEAKPVRMPSPELPEDIYRQFSLAEVKAATNNFHQGSIIAEGGFGPVYRGAVNDGTMVVALKRLRSRSLQGVTEFQNEVQLQCQLRHPHLVSFIGFCHEDNEIILVYEYMSRGSLSYHLHREVYVPLGWKHRLQICIGAARGLHYLHTGVKHVVFHRDIKSSNILLDDKWSVESGGTCGYLAPEYMLHGLLTEKSNVFSFGVLLFEVLRGRKVCDATLPKNQPYILEWVIESVKEGTNYHVIDPYLKGTIAPECFKQYLEIACSCVHYEGNKRPTIGEVEVMLELALELQKQADSIMESINPHGEYMYEEASFRISVSDLDLYGYYYGSGCSSETDSN >EOY05009 pep chromosome:Theobroma_cacao_20110822:4:26832269:26841547:-1 gene:TCM_020130 transcript:EOY05009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle protein 48-related / CDC48-related isoform 1 MYSKRSGQGDGPVSRPVRTSDRLRRRPKVYGRPYLYYTPTIIRTRKSKTKTRTAASRIAKMLRSGDRPVRTSNNNSGTPNLRRSSRKRRVSVNLTGYTDSSGSEDEDMMRPSYRPLRNQVDNSVSQDEFPSPKRKKTMETKETPRREGLRPRRSKAAAIKRMNLDFGDEQDTSEEKVGEDETENGNDLDDDAADDGQNEEEGDAEDEGDGEAEGEDEGEDDGDDEEGEEEQEGRRRYDLRNRADVRRLSMDESKQRARSPRRVLHQGMGTKVSRDVRKGGSRVHKRHRLARAEDSDDSLLVDELDQGPAIPWGRGGSRSGPPWLFGGLDMHGTTPWGLNVAASGWGHQSDAFATLTSGIQTAGPSSKGGADIQPLQVDESVSFDEIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWRQPPSKELKMELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFLIDVDSVKVEKYHFVEAMSTITPAAHRGSIVHSRPLSLVVAPCLQRHLQKAMNYISDIFPPLTVSSELTKLSMLSYGSAIPLVYRPRLLLCGGDGSGLDHLGPAILHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYIPQFNLWWDNAHEQLRAVLLTLLEELPSDLPILLLGTSSILLAEFDGNPYSVFPQRSVYQVDKPSTEDRSLFFDRLIEAALSVLLEAVTKKSRESESLPELPKVPKVASGPKVSELKAKVEAEQHALRRLRMCLRDVCNRIFYDKRFSVFHYPVTDEDAPNYRSIIQNPMDVATLLQRVDSGQYLTCAAFLQDVDLIVTNAKAYNGDDYNGARIVSRASELRDAVHGMLSQMDPALVAYCDKIAVQGGPAHMPDDIGVSTLPLLPVVQLGTVTRASARLRNVQPEVNLQSYEALKRPKKNVDTVLAVEEKSRIIDSVQTKSSEALEANEINCERPESTCGDGNQQESCTEASDLINGSGSEDIRMADDEISNQVESAKQLFVERTKSYSIPQLERLYTRIMKGIFETRDKGVEDDPKPSILKFLLKFAEDEANF >EOY05011 pep chromosome:Theobroma_cacao_20110822:4:26831686:26843709:-1 gene:TCM_020130 transcript:EOY05011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle protein 48-related / CDC48-related isoform 1 MYSKRSGQGDGPVSRPVRTSDRLRRRPKVYGRPYLYYTPTIIRTRKSKTKTRTAASRIAKMLRSGDRPVRTSNNNSGTPNLRRSSRKRRVSVNLTGYTDSSGSEDEDMMRPSYRPLRNQVDNSVSQDEFPSPKRKKTMETKETPRREGLRPRRSKAAAIKRMNLDFGDEQDTSEEKVGEDETENGNDLDDDAADDGQNEEEGDAEDEGDGEAEGEDEGEDDGDDEEGEEEQEGRRRYDLRNRADVRRLSMDESKQRARSPRRVLHQGMGTKVSRDVRKGGSRVHKRHRLARAEDSDDSLLVDELDQGPAIPWGRGGSRSGPPWLFGGLDMHGTTPWGLNVAASGWGHQSDAFATLTSGIQTAGPSSKGGADIQPLQVDESVSFDEIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWRQPPSKELKMELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFLIDVDSVKVEKYHFVEAMSTITPAAHRGSIVHSRPLSLVVAPCLQRHLQKAMNYISDIFPPLTVSSELTKLSMLSYGSAIPLVYRPRLLLCGGDGSGLDHLGPAILHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYIPQFNLWWDNAHEQLRAVLLTLLEELPSDLPILLLGTSSILLAEFDGNPYSVFPQRSVYQVDKPSTEDRSLFFDRLIEAALSVLLEAVTKKSRESESLPELPKVPKVASGPKVSELKAKVEAEQHALRRLRMCLRDVCNRIFYDKRFSVFHYPVTDEDAPNYRSIIQNPMDVATLLQRVDSGQYLTCAAFLQDVDLIVTNAKAYNGDDYNGARIVSRASELRDAVRTWDAVTDGPCTGCLL >EOY05010 pep chromosome:Theobroma_cacao_20110822:4:26831686:26843709:-1 gene:TCM_020130 transcript:EOY05010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle protein 48-related / CDC48-related isoform 1 MYSKRSGQGDGPVSRPVRTSDRLRRRPKVYGRPYLYYTPTIIRTRKSKTKTRTAASRIAKMLRSGDRPVRTSNNNSGTPNLRRSSRKRRVSVNLTGYTDSSGSEDEDMMRPSYRPLRNQVDNSVSQDEFPSPKRKKTMETKETPRREGLRPRRSKAAAIKRMNLDFGDEQDTSEEKVGEDETENGNDLDDDAADDGQNEEEGDAEDEGDGEAEGEDEGEDDGDDEEGEEEQEGRRRYDLRNRADVRRLSMDESKQRARSPRRVLHQGMGTKVSRDVRKGGSRVHKRHRLARAEDSDDSLLVDELDQGPAIPWGRGGSRSGPPWLFGGLDMHGTTPWGLNVAASGWGHQSDAFATLTSGIQTAGPSSKGGADIQPLQVDESVSFDEIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWRQPPSKELKMELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFLIDVDSVKVEKYHFVEAMSTITPAAHRGSIVHSRPLSLVVAPCLQRHLQKAMNYISDIFPPLTVSSELTKLSMLSYGSAIPLVYRPRLLLCGGDGSGLDHLGPAILHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYIPQFNLWWDNAHEQLRAVLLTLLEELPSDLPILLLGTSSILLAEFDGNPYSVFPQRSVYQVDKPSTEDRSLFFDRLIEAALSVLLEAVTKKSRESESLPELPKVPKVASGPKVSELKAKVEAEQHALRRLRMCLRDVCNRIFYDKRFSVFHYPVTDEDAPNYRSIIQNPMDVATLLQRVDSGQYLTCAAFLQDVDLIVTNAKAYNGDDYNGARIVSRASELRDAVHGMLSQMDPALVAYCDKIAVQGGPAHMPDDIGVSTLPLLPVVQLGTVTRASARLRNVQPEVNLQSYEALKRPKKNVDTVLAEEKSRIIDSVQTKSSEALEANEINCERPESTCGDGNQQESCTEASDLINGSGSEDIRMADDEISNQVESAKQLFVERTKSYSIPQLERLYTRIMKGIFETRDKGVEDDPKPSILKFLLKFAEDEANF >EOY05752 pep chromosome:Theobroma_cacao_20110822:4:29663772:29665155:1 gene:TCM_020673 transcript:EOY05752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rna-directed dna methylation 1 isoform 1 MKRALPWSAQVDVILSDESSSSSSSSSSSDSDIQVNNGTTNAAVDQPPKELSSEGLLIRRAEMYQEYMKQLPIPTQRGSIIPFTTWMGLGRSIKQLYGQPLHYLTNILLKQWDHLRIGSEDEHSPLDIVIHPCKAEATVWLVEELHRCTSSHHHMAKLWQSDPMHHAFVDSIFPKL >EOY05753 pep chromosome:Theobroma_cacao_20110822:4:29663822:29664878:1 gene:TCM_020673 transcript:EOY05753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rna-directed dna methylation 1 isoform 1 MKRALPWSAQVDVILSDESSSSSSSSSSSDSDIQVNNGTTNAAVDQPPKELSSEGLLIRRAEMYQEYMKQLPIPTQRGSIIPFTTWMGLGRSIKQLYGQPLHYLTNILLKQWDHLRIGSEDE >EOY05087 pep chromosome:Theobroma_cacao_20110822:4:27129517:27144089:-1 gene:TCM_020181 transcript:EOY05087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MPQEAIVCYQRALQVRPDYAMAYGNLASIYYEQRNLDMAILNYRRAIALDSGFLEAYNNLGNALKDAGRVDEATQCYRQCLALQPNHPQALTNLGNIYMEWNMLTAAASCYKATLSVTTGLSAPFNNLAIIYKQQGNLSDAISCYNEVLRIDPMAADALVNRGNTYKESGRVNEAIQDYIRAINIRPAMAEAHANLASAYKDSGHVEAAIKSYKQALALRPDFPEATCNLLHTLQCVCDWEDRENKFIEVEGILRRQIKMSVIPSVQPFHAIAYPIDPVLALDISCKYAAHCSVIASRYSLARFNYPAPFPVKSENGNGRLRVGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFCYALSPNDGTEWRLRIQSEAEHFIDVSSMSSDIIAKMINEDKIQILVNLNGYTKGARNEIFAMQPAPIQISYMGFPGTTGASYIHYLVTDEFVSPLRFSHIYSEKLVHLPHCYFVNDYKQKNRDVLDPKCLPKRSDYGLPEDKFIFACFNQLYKMDPDIFTTWCNILKRVPDSALWLLRFPAAGEMRLRTYATQQGVRPDQIIFTDVALKSEHIRRSALADLFLDTPLCNAHTTGTDVLWAGLPMVTLPLDKMATRVAGSLCLATGVGEEMIVSCLKEYEEKAVSLALNRPKLQDLSNKLKEARMTCPLFDTLRWVRNLERAYFKMWNLCCLGHQPQPFKVTESDQEFPYDR >EOY05086 pep chromosome:Theobroma_cacao_20110822:4:27129083:27144526:-1 gene:TCM_020181 transcript:EOY05086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MLSLQSDPRLQQYHHSQQLQQQLQQQQVQLVPYNDDSLSLHSDFGGAVAAASSSSALVNLKPSQGLDSHEVDDDTLMALAHQKYKAGNYKHALEHSNAVYERNPHRTDNLLLLGAIHYQLHNYDQCIAKNEEALRIDPQFAECYGNMANAWKEKGNIDAAIRYYLFAIELRPNFADAWSNLASAYMRKGRLNEAAQCCRQALALNPLLVDAHSNLGNLMKIQGFVQEAYNCYLEALRIQPNFAIAWSNLAGLFMEAGDLNRALQYYKEAVRLKPTFFDAYLNLGNVYKALGMPQEAIVCYQRALQVRPDYAMAYGNLASIYYEQRNLDMAILNYRRAIALDSGFLEAYNNLGNALKDAGRVDEATQCYRQCLALQPNHPQALTNLGNIYMEWNMLTAAASCYKATLSVTTGLSAPFNNLAIIYKQQGNLSDAISCYNEVLRIDPMAADALVNRGNTYKESGRVNEAIQDYIRAINIRPAMAEAHANLASAYKDSGHVEAAIKSYKQALALRPDFPEATCNLLHTLQCVCDWEDRENKFIEVEGILRRQIKMSVIPSVQPFHAIAYPIDPVLALDISCKYAAHCSVIASRYSLARFNYPAPFPVKSENGNGRLRVGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFCYALSPNDGTEWRLRIQSEAEHFIDVSSMSSDIIAKMINEDKIQILVNLNGYTKGARNEIFAMQPAPIQISYMGFPGTTGASYIHYLVTDEFVSPLRFSHIYSEKLVHLPHCYFVNDYKQKNRDVLDPKCLPKRSDYGLPEDKFIFACFNQLYKMDPDIFTTWCNILKRVPDSALWLLRFPAAGEMRLRTYATQQGVRPDQIIFTDVALKSEHIRRSALADLFLDTPLCNAHTTGTDVLWAGLPMVTLPLDKMATRVAGSLCLATGVGEEMIVSCLKEYEEKAVSLALNRPKLQDLSNKLKEARMTCPLFDTLRWVRNLERAYFKMWNLCCLGHQPQPFKVTESDQEFPYDR >EOY06422 pep chromosome:Theobroma_cacao_20110822:4:31864913:31870087:-1 gene:TCM_021148 transcript:EOY06422 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHY-type/CTCHY-type/RING-type Zinc finger protein isoform 2 MHMKVFHTEECQHYRRRCEIRAPCCNEVFSCRHCHNEAASMLRNPFDRHDLVRQDVKQVICSVCDTEQPVAKLCSNCGVNMGEYFCEICKFFDDDTEKGQFHCHDCGICRVGGRENFFHCKKCGSCYSIALRDNHSCVENSMRHHCPICYEYLFDSLKDTTVMKCGHTMHCECYHEMIKRDKYCCPICSKSVIDMSRIWKRIDEEIEATVMPEDYRYKKVWILCNDCNDTTEVYFHIIGQKCSHCKSYNTRTIAPPVLPQ >EOY06423 pep chromosome:Theobroma_cacao_20110822:4:31864897:31868252:-1 gene:TCM_021148 transcript:EOY06423 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHY-type/CTCHY-type/RING-type Zinc finger protein isoform 2 MLRNPFDRHDLVRQDVKQVICSVCDTEQPVAKLCSNCGVNMGEYFCEICKFFDDDTEKGQFHCHDCGICSRVGGRENFFHCKKCGSCYSIALRDNHSCVENSMRHHCPICYEYLFDSLKDTTVMKCGHTMHCECYHEMIKRDKYCCPICSKSVIDMSRIWKRIDEEIEATVMPEDYRYKKVWILCNDCNDTTEVYFHIIGQKCSHCKSYNTRTIAPPVLPQ >EOY06176 pep chromosome:Theobroma_cacao_20110822:4:31202876:31204524:1 gene:TCM_020988 transcript:EOY06176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata-located protein 2 isoform 1 MGFGFSSKPFVLFVSFLLLFTNLELSPLVESASDYTTLVYKGCAKQAFTDPAGMYSQALSALFQTLLSQSMKVKFYKTTTGTGQTTITGLFQCRGDLSNSDCYNCASQLPTLANKLCGKTIAARIQLYGCYMLYEVAGFAQISGMEMLFKTCGATNVAGSGFEERRDTAFSVLENGVVSSHGYYTTNYQSVYVLGQCEGDVGDSDCGECIKTAVQKAQVECGSAISGQIYLHKCFISYSYYPNGVPRRSSSSSYSHPSSSSSGTGQNPGKTVAIILGGAAGVGFLVILLMFARGVMKKRDDS >EOY06177 pep chromosome:Theobroma_cacao_20110822:4:31202497:31204328:1 gene:TCM_020988 transcript:EOY06177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata-located protein 2 isoform 1 MGFGFSSKPFVLFVSFLLLFTNLELSPLVESASDYTTLVYKGCAKQAFTDPAGMYSQALSALFQTLLSQSMKVKFYKTTTGTGQTTITGLFQCRGDLSNSDCYNCASQLPTLANKLCGKTIAARIQLYGCYMLYEVAGFAQISGMEMLFKTCGATNVAGSGFEERRDTAFSVLENGVVSSHGYYTTNYQSVYVLGQCEGDVGDSDCGECIKTAVQKAQVECGSAISGQIYLHKCFISYSYYPNGVPRRSSSSSYSHPSSSSSGQNPGKTVAIILGGAAGVGFLVILLMFARGVMKKRDDS >EOY03186 pep chromosome:Theobroma_cacao_20110822:4:7439651:7443135:1 gene:TCM_017805 transcript:EOY03186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MEGSRISASPRPCSGRRVWANKKRPRLDGYVNTFSKLLRREISSKPCFSISNAYEKFRNMRLVEEYDSHDPKGHCPVALPFLMKRKKVIEIVAACDIVCALAHSGVCAAFDRERSKRICFVNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTKIEYIRRGKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKHVHEIKISPGIMLLIFNRASGHIPLKILSIEDGKVLKTFKHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRSSELMEVSSTEFMTPSAFIFLYENQLFLTFKNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNICITSDQELIISYCKAESDDQWMEGNAGSINISNILTGKCLAKINASNGSPKVDSSKMRSTVSEALEDITALFYDEERNEIYTGNRQGLIHTLLQM >EOY03187 pep chromosome:Theobroma_cacao_20110822:4:7439919:7442829:1 gene:TCM_017805 transcript:EOY03187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MEGSRISASPRPCSGRRVWANKKRPRLDGYVNTFSKLLRREISSKPCFSISNAYEKFRNMRLVEEYDSHDPKGHCPVALPFLMKRKKVIEIVAACDIVCALAHSGVCAAFDRERSKRICFECRSTKIEYIRRGKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKHVHEIKISPGIMLLIFNRASGHIPLKILSIEDGKVLKTFKHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRSSELMEVSSTEFMTPSAFIFLYENQLFLTFKNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNICITSDQELIISYCKAESDDQWMEGNAGSINISNILTGKCLAKINASNGSPKVDSSKMRSTVSEALEDITALFYDEERNEIYTGNRQGLIH >EOY05194 pep chromosome:Theobroma_cacao_20110822:4:27562486:27566813:-1 gene:TCM_020266 transcript:EOY05194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAEPMEISSSSEALDLHSIRSRINELSEIHRIDKNKDEGEALSLNSEKLLKDCSLHFESKVKQIIEEYSDVGFLGIEDLDEYLAHLKEELNQVEAESAKISNEIEDLSRNHIEESNILEGNLEGLKYALDSIASQGMEGVEEDPCLDSSMNDEDQSNLMHSNEEQKFEIMELESQIEKNNIILKSLQDLDSMFKRLDTLEQIEDALTGLKVIGFDGNCIRLSLQTYIPKLEGLLCQKTIEDISEPSEMNHELLVEIVDGTMEIKNVEMFPNDVYLGDIIDAAKSFRQLSSNLTVQQTQSSLEWFVGKVQDRIILSTLRRFIVKSTNKSRHSFEYLERDETIVAHLVGGIDAFIKLSQVATPICLGLRHS >EOY05199 pep chromosome:Theobroma_cacao_20110822:4:27561933:27566518:-1 gene:TCM_020266 transcript:EOY05199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 SEIHRIDKNKDEGEALSLNSEKLLKDCSLHFESKVKQIIEEYSDVGFLGIEDLDEYLAHLKEELNQVEAESAKISNEIEDLSRNHIEESNILEGNLEGLKYALDSIASQGMEGVEEDPCLDSSMNDEDQSNLMHSNEEQKFEIMELESQIEKNNIILKSLQDLDSMFKRLDTLEQIEDALTGLKVIGFDGNCIRLSLQTYIPKLEGLLCQKTIEDISEPSEMNHELLVEIVDGTMEIKNVEMFPNDVYLGDIIDAAKSFRQLSSNLTVQQTQSSLEWFVGKVQDRIILSTLRRFIVKSTNKSRHSFEYLERDETIVAHLVGGIDAFIKLSQVATPICLGLRHS >EOY05197 pep chromosome:Theobroma_cacao_20110822:4:27562642:27566681:-1 gene:TCM_020266 transcript:EOY05197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAEPMEISSSSEALDLHSIRSRINELSEIHRIDKNKDEGEALSLNSEKLLKDCSLHFESKVKQIIEEYSDVGFLGIEDLDEYLAHLKEELNQVEAESAKISNEIEDLSRNHIEESNILEGNLEGLKYALDSIASQGMEGVEEDPCLDSSMNDEDQSNLMHSNEEQKFEIMELESQIEKNNIILKSLQDLDSMFKRLDTLEQIEDALTGLKVIGFDGNCIRLSLQTYIPKLEGLLCQKTIEDISEPSEMNHELLVEIVDGTMEIKNVEMFPNDVYLGDIIDAAKSFRQLSSNLTVQQTQSSLEWFVGKVQDRIILSTLRRFIVKSTNKSRHSFEYLERDETIVAHLVGGIDAFIKLSQGWPLSKSPLKLLSIKSSDHHSRGISLSLLCKAERV >EOY05193 pep chromosome:Theobroma_cacao_20110822:4:27561840:27566681:-1 gene:TCM_020266 transcript:EOY05193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAEPMEISSSSEALDLHSIRSRINELSEIHRIDKNKDEGEALSLNSEKLLKDCSLHFESKVKQIIEEYSDVGFLGIEDLDEYLAHLKEELNQVEAESAKISNEIEDLSRNHIEESNILEGNLEGLKYALDSIASQGMEGVEEDPCLDSSMNDEDQSNLMHSNEEQKFEIMELESQIEKNNIILKSLQDLDSMFKRLDTLEQIEDALTGLKVIGFDGNCIRLSLQTYIPKLEGLLCQKTIEDISEPSEMNHELLVEIVDGTMEIKNVEMFPNDVYLGDIIDAAKSFRQLSSNLTVQQTQSSLEWFVGKVQDRIILSTLRRFIVKSTNKSRHSFEYLERDETIVAHLVGGIDAFIKLSQGWPLSKSPLKLLSIKSSDHHSRGISLSLLCKAEEMANSLDMHIRQNLSAFVDAVEKLLLEQMRLDLQSDDASD >EOY05198 pep chromosome:Theobroma_cacao_20110822:4:27561423:27566813:-1 gene:TCM_020266 transcript:EOY05198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAEPMEISSSSEALDLHSIRSRINELSEIHRIDKNKDEGEALSLNSEKLLKDCSLHFESKVKQIIEEYSDVGFLGIEDLDEYLAHLKEELNQVEAESAKISNEIEDLSRNHIEESNILEGNLEGLKYALDSIASQGMEGVEEDPCLDSSMNDEDQSNLMHSNEEQKFEIMELESQIEKNNIILKSLQDLDSMFKRLDTLEQIEDALTGLKVIGFDGNCIRLSLQTYIPKLEGLLCQKTIEDISEPSEMNHELLVEIVDGTMEIKNVEMFPNDVYLGDIIDAAKSFRQLSSNLTVQQTQSSLEWFVGKVQDRIILSTLRRFIVKSTNKSRHSFEYLERDETIVAHLVGGIDAFIKLSQGWPLSKSPLKLLSIKSSDHHSRGISLSLLCKAEEAIGRGITGIGPLYKIHLGSIRREMLVMFLLCSFCTSVTFMA >EOY05196 pep chromosome:Theobroma_cacao_20110822:4:27561316:27566341:-1 gene:TCM_020266 transcript:EOY05196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 SKVKQIIEEYSDVGFLGIEDLDEYLAHLKEELNQVEAESAKISNEIEDLSRNHIEESNILEGNLEGLKYALDSIASQGMEGVEEDPCLDSSMNDEDQSNLMHSNEEQKFEIMELESQIEKNNIILKSLQDLDSMFKRLDTLEQIEDALTGLKVIGFDGNCIRLSLQTYIPKLEGLLCQKTIEDISEPSEMNHELLVEIVDGTMEIKNVEMFPNDVYLGDIIDAAKSFRQLSSNLTVQQTQSSLEWFVGKVQDRIILSTLRRFIVKSTNKSRHSFEYLERDETIVAHLVGGIDAFIKLSQGWPLSKSPLKLLSIKSSDHHSRGISLSLLCKAEEMANSLDMHIRQNLSAFVDAVEKLLLEQMRLDLQSDDASD >EOY05195 pep chromosome:Theobroma_cacao_20110822:4:27561933:27566711:-1 gene:TCM_020266 transcript:EOY05195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAEPMEISSSSEALDLHSIRSRINELSEIHRIDKNKDEGEALSLNSEKLLKDCSLHFESKVKQIIEEYSDVGFLGIEDLDEYLAHLKEELNQVEAESAKISNEIEDLSRNHIEESNILEGNLEGLKYALDSIASQGMEGVEEDPCLDSSMNDEDQSNLMHSNEEQKFEIMELESQIEKNNIILKSLQDLDSMFKRLDTLEQIEDALTGLKVIGFDGNCIRLSLQTYIPKLEGLLCQKTIEDISEPSEMNHELLVEIVDGTMEIKNVEMFPNDVYLGDIIDAAKSFRQLSSNLTVQQTQSSLEWFVGKVQDRIILSTLRRFIVKSTNKSRHSFEYLERDETIVAHLVGGIDAFIKLSQVATPICLGLRHS >EOY03085 pep chromosome:Theobroma_cacao_20110822:4:4446281:4464826:-1 gene:TCM_017518 transcript:EOY03085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysyl-tRNA synthetase isoform 2 MEGLRVWRLSSSIARPLSLRQPLGLGYSSCELVLCSNSGVVTATNTRLPSRDSRSFTFTSTSTSNLEVACAIRLKKVEPLWSKGSQPYAYKWDRSYRLGSSSSDLVPCCSDGGAAATNTKLHSRNRGSSTSTSTSDREAVRAIRLKKVEQLRSKGLEPYAYKWDRSHSARELQEIYKNLNNGEELDSESHHVSIAGRIVARRAFGKLAFLTLRDHSSSIQLYCEKERLLNNQFEQLKTLVDIGDILGASGSIKRTEKGELSVKVDSFAILTKSLLPLPDKYHGLTDIDKRYRQRYVDMIANPEVADLFRKRAKIVTEIRKTVESQGFVEVETPVLQGAAGGAEARPFMTYHNSLGRNLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMDMAEEIVTRCALAVHGELTIDYQGVEIHLERPWRRETMHNLVKEATGIDFTEFENDLKVAKDVVMKALGVGLDSKDKFSIEACPSLGHLLNEVFEIFVEPKLLQPTFVLDYPMEISPLAKPHRRHAGLTERFELFICGRELANAFSELTDPLDQRARLEEQVRQHNKKRAEAVSVTDSMEEKDHKNEDSMYEVILDDDFLTALEYGMPPASGMAVPNDFVE >EOY03084 pep chromosome:Theobroma_cacao_20110822:4:4446823:4463921:-1 gene:TCM_017518 transcript:EOY03084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysyl-tRNA synthetase isoform 2 MEGLRVWRLSSSIARPLSLRQPLGLGYSSCELVLCSNSGVVTATNTRLPSRDSRSFTFTSTSTSNLEVACAIRLKKVEPLWSKGSQPYAYKWDRSYRLGSSSSDLVPCCSDGGAAATNTKLHSRNRGSSTSTSTSDREAVRAIRLKKVEQLRSKGLEPYAYKWDRSHSARELQEIYKNLNNGEELDSESHHVSIAGRIVARRAFGKLAFLTLRDHSSSIQLYCEKERLLNNQFEQLKTLVDIGDILGASGSIKRTEKGELSVKVDSFAILTKSLLPLPDKYHGLTDIDKRYRQRYVDMIANPEVADLFRKRAKIVTEIRKTVESQGFVEVETPVLQGAAGGAEARPFMTYHNSLGRNLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMDMAEEIVTRCALAVHGELTIDYQGVEIHLERPWRRETMHNLVKEATGIDFTEFENDLKVAKDVVMKALGVGLDSKDKFSIEACPSLGHLLNEVFEIFVEPKLLQPTFVLDYPMEISPLAKPHRRHAGLTERFELFICGRELANAFSELTDPLDQRARLEEQVRQHNKKRAEAVSVTDSMEEKDHKNEDSMYEVILDDDFLTALEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKIQS >EOY02455 pep chromosome:Theobroma_cacao_20110822:4:903287:905791:-1 gene:TCM_016926 transcript:EOY02455 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MLLTDPSKKISFNRCIRDGDLVIVYERHDSMKAVKVCKNSVLQNRFGVFKHSDWIGKPFGSKVFSNKNGFVYLLTPTPELWTLVLSHRTQILYIADISLVIMYLEIVPGCLVLESGTGSGSLTTSLARAVAPTGHVYTFDFHEQRAASAREDFEKTGISTLVTVGVRDIQGEGFPDEFSGLADSVFLDLPQPWLAIPSAGKMLKQDGILCSFSPCIEQVQRSCETLRSNFTDIRTFEILLRSYDVREWRMDCSKVDEGTSIACPPCKRRQPSGEGSVRDHQSSPAVMARPSAEARGHTGYLTFARLKCIL >EOY06803 pep chromosome:Theobroma_cacao_20110822:4:32991381:32994922:-1 gene:TCM_021425 transcript:EOY06803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein, putative isoform 1 MKQLRVSRHRAYVVIAGLSDTDSALTLSITFFSLGYIYFLFCFQLKFSRETIRKEKKMKIRRQLQGQISKGDRVEVKRPNGAYYAATVLRFPSVTRKNTVFVEYEVINEGTKHVKEYVDLSNLRPLPPCEPNRCFVPGDSVDAHWENGWRKGVVKDILENSKYVVGFDGNGEKEEESEIEQCNLRLHREWDDGSWVPPFTELTQSSKEKDDKFRRVKVKIVFGKRAADAKLRKDDEVEVTREEEGFRGSWFSAVIVEYIRNDKYLVECTTLRTEDGMPFREEANACHIRPCPPELSPIASFHLREVVDAWYNDGWWVGVISGVLAGPKYAVYFSQTNEELEFDHSYLRIHQDWINGKWTIASEETSRQLLTNSNKLLHKMAVKEKRLKAKFPKGMKVEVKSDEYGFEGSWFSAVIVDSLGNDKYLVEYLMLKTEDQEALLREEAYASYIRPHPPHAEHAYPYQLLENVDAWYNDGWWIGQIVKVFTGWRYTVYFRTTNEELEFEHGDLRPHQEWINGKWVIASRDAS >EOY06805 pep chromosome:Theobroma_cacao_20110822:4:32992199:32994852:-1 gene:TCM_021425 transcript:EOY06805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein, putative isoform 1 MKQLRVSRHRAYVVIAGLSDTDSALTLSITFFSLGYIYFLFCFQLKFSRETIRKEKKMKIRRQLQGQISKGDRVEVKRPNGAYYAATVLRFPSVTRKNTVFVEYEVINEGTKHVKEYVDLSNLRPLPPCEPNRCFVPGDSVDAHWENGWRKGVVKDILENSKYVVGFDGNGEKEEESEIEQCNLRLHREWDDGSWVPPFTELTQSSKEKDDKFRRVKVKIVFGKRAADAKLRKDDEVEVTREEEGFRGSWFSAVIVEYIRNDKYLVECTTLRTEDGMPFREEANACHIRPCPPELSPIASFHLREVVDAWYNDGWWVGVISGVLAGPKYAVYFSQTNEELEFDHSYLRIHQDWINGKWTIASEETSRQLLTNSNKLLHKMAVKEKRLKAKFPKGMKV >EOY06804 pep chromosome:Theobroma_cacao_20110822:4:32991461:32994497:-1 gene:TCM_021425 transcript:EOY06804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein, putative isoform 1 MKQLRVSRHRAYVVIAGLSDTDSALTLSITFFSLGYIYFLFCFQLKFSRETIRKEKKMKIRRQLQGQISKGDRVEVKRPNGAYYAATVLRFPSVTRKNTVFVEYEVINEGTKHVKEYVDLSNLRPLPPCEPNRCFVPGDSVDAHWENGWRKGVVKDILENSKYVVGFDGNGEKEEESEIEQCNLRLHREWDDGSWVPPFTELTQSSKEKDDKFRRVKVKIVFGKRAADAKLRKDDEVEVTREEEGFRGSWFSAVIVEYIRNDKYLVECTTLRTEDGMPFREEANACHIRPCPPELSPIASFHLREVVDAWYNDGWWVGVISGVLAGPKYAVYFSQTNEELEFDHSYLRIHQDWINGKWTIASEETSRQLLTNSNKLLHKMAVKEKRLKAKFPKGMKVEVKSDEYGFEGSWFSAVIVDSLGNDKYLVEYLMLKTEDQEALLREEAYASYIRPHPPHAEHAYPYQLLENVDAWYNDGWWIGQIVKVFTGWRYTVYFRTTNEELEFEHGDLRPHQEWINGKWVIASRFLPFKQPMAST >EOY06801 pep chromosome:Theobroma_cacao_20110822:4:32991381:32995027:-1 gene:TCM_021425 transcript:EOY06801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein, putative isoform 1 MKQLRVSRHRAYVVIAGLSDTDSALTLSITFFSLGYIYFLFCFQLKFSRETIRKEKKMKIRRQLQGQISKGDRVEVKRPNGAYYAATVLRFPSVTRKNTVFVEYEVINEGTKHVKEYVDLSNLRPLPPCEPNRCFVPGDSVDAHWENGWRKGVVKDILENSKYVVGFDGNGEKEEESEIEQCNLRLHREWDDGSWVPPFTELTQSSKEKDDKFRRVKVKIVFGKRAADAKLRKDDEVEVTREEEGFRGSWFSAVIVEYIRNDKYLVECTTLRTEDGMPFREEANACHIRPCPPELSPIASFHLREVVDAWYNDGWWVGVISGVLAGPKYAVYFSQTNEELEFDHSYLRIHQDWINGKWTIASEETSRQLLTNSNKLLHKMAVKEKRLKAKFPKGMKVEVKSDEYGFEGSWFSAVIVDSLGNDKYLVEYLMLKTEDQEALLREEAYASYIRPHPPHAEHAYPYQLLENVDAWYNDGWWIGQIVKVFTGWRYTVYFRTTNEELEFEHGDLRPHQEWINGKWVIASRDAS >EOY06806 pep chromosome:Theobroma_cacao_20110822:4:32991768:32994098:-1 gene:TCM_021425 transcript:EOY06806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein, putative isoform 1 MKQLRVSRHRAYVVIAGLSDTDSALTLSITFFSLGYIYFLFCFQLKFSRETIRKEKKMKIRRQLQGQISKGDRVEVKRPNGAYYAATVLRFPSVTRKNTVFVEYEVINEGTKHVKEYVDLSNLRPLPPCEPNRCFVPGDSVDAHWENGWRKGVVKDILENSKYVVGFDGNGEKEEESEIEQCNLRLHREWDDGSWVPPFTELTQSSKEKDDKFRRVKVKIVFGKRAADAKLRKDDEVEVTREEEGFRGSWFSAVIVEYIRNDKYLVECTTLRTEDGMPFREEANACHIRPCPPELSPIASFHLREVVDAWYNDGWWVGVISGVLAGPKYAVYFSQTNEELEFDHSYLRIHQDWINGKWTIASEETSRQLLTNSNKLLHKMAVKEKRLKAKFPKGMKVEVKSDEYGFEGSWFSAVIVDSLGNDKYLVEYLMLKTEDQEALLREEAYASYIRPHPPHAEHAYPYQLLENVDAWYNDGWWIGQIVKVFTGWRYTVYFRTTNEELEFEHGDLRPHQEWINGKWVIASRVRLAAIAKNSFYQSSY >EOY06802 pep chromosome:Theobroma_cacao_20110822:4:32991127:32995487:-1 gene:TCM_021425 transcript:EOY06802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein, putative isoform 1 MKQLRVSRHRAYVVIAGLSDTDSALTLSITFFSLGYIYFLFCFQLKFSRETIRKEKKMKIRRQLQGQISKGDRVEVKRPNGAYYAATVLRFPSVTRKNTVFVEYEVINEGTKHVKEYVDLSNLRPLPPCEPNRCFVPGDSVDAHWENGWRKGVVKDILENSKYVVGFDGNGEKEEESEIEQCNLRLHREWDDGSWVPPFTELTQSSKEKDDKFRRVKVKIVFGKRAADAKLRKDDEVEVTREEEGFRGSWFSAVIVEYIRNDKYLVECTTLRTEDGMPFREEANACHIRPCPPELSPIASFHLREVVDAWYNDGWWVGVISGVLAGPKYAVYFSQTNEELEFDHSYLRIHQDWINGKWTIASEETSRQLLTNSNKLLHKMAVKEKRLKAKFPKGMKVEVKSDEYGFEGSWFSAVIVDSLGNDKYLVEYLMLKTEDQEALLREEAYASYIRPHPPHAEHAYPYQLLENVDAWYNDGWWIGQIVKVFTGWRYTVYFRTTNEELEFEHGDLRPHQEWINGKWVIASRDAS >EOY03614 pep chromosome:Theobroma_cacao_20110822:4:17409534:17419362:1 gene:TCM_018712 transcript:EOY03614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4 glucan phosphorylase L isozyme, chloroplastic/amyloplastic isoform 1 MATWRFCTTSGGVEAVSSCNAVARFIDFSRGRNGGVGSAGGGSGNKAKQLMLMRKWQVRPLRRSFSVRNVSSEPQQKVKDPVAEQEESLGTTSPFPPDASSIASSIKYHAEFTPVFSPEKFDLPKTFFATAQSIRDALIINWNATYDYYERLNVKQAYYLSMEFLQGRALLNAIGNLGLTGAYAEALSKLGHNLENIACQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYRYGLFKQHITKEGQEEVAEDWLEMSNPWEIVRNDVTYPVKFYGKVVTSSDGKKDWIGGEDIKAVAYDVPIPGYKTKTTINLRLWSTKVPSEEFDLSAFNAGEHTQAAEALYNAEKICYVLYPGDESVEGKILRLKQQYTLCSASLQDIIARFERRSGAKVKWEEFPEKVALQMNDTHPTLCIPELMRTLMDVKGLSWKEAWNITQRTVAYTNHTVLPEALEKWSLELMQKLLPRHVEIIEMIDEELIQTIVSEYGTADSDLLEKKLKQMRILENVELPAAFSDLLVKPKESSVAVPSDELEKSKEEDKKEDDDDDDDGGEEEVEEEEEEEEEEEEEEELKVEPGDGENEPVKEGTQAKKKIPEPVPEPPKMVRMANLCVVGGHAVNGVAAIHSEIVKDEVFNDFFKLWPEKFQNKTNGVTPRRWIRFCNPFLSKIITNWTGTEDWVLNTEKLAELRKFADNEDLQTQWRAAKKSNKLKVVSFLKEKTGYLVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKMMKEMSASERKEKFVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDADIGDLLKVVFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEDNFFLFGAEAHEIAGLRKERAEGKFVPDPRFEEVKEFVRSGVFGPYNYDELIGSLEGNEGFGCADYFLVGKDFPSYIECQEKVDEAYRDQQVKMDKNVNLEHCRFLHVQQ >EOY03613 pep chromosome:Theobroma_cacao_20110822:4:17409088:17419392:1 gene:TCM_018712 transcript:EOY03613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4 glucan phosphorylase L isozyme, chloroplastic/amyloplastic isoform 1 MATWRFCTTSGGVEAVSSCNAVARFIDFSRGRNGGVGSAGGGSGNKAKQLMLMRKWQVRPLRRSFSVRNVSSEPQQKVKDPVAEQEESLGTTSPFPPDASSIASSIKYHAEFTPVFSPEKFDLPKTFFATAQSIRDALIINWNATYDYYERLNVKQAYYLSMEFLQGRALLNAIGNLGLTGAYAEALSKLGHNLENIACQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYRYGLFKQHITKEGQEEVAEDWLEMSNPWEIVRNDVTYPVKFYGKVVTSSDGKKDWIGGEDIKAVAYDVPIPGYKTKTTINLRLWSTKVPSEEFDLSAFNAGEHTQAAEALYNAEKICYVLYPGDESVEGKILRLKQQYTLCSASLQDIIARFERRSGAKVKWEEFPEKVALQMNDTHPTLCIPELMRTLMDVKGLSWKEAWNITQRTVAYTNHTVLPEALEKWSLELMQKLLPRHVEIIEMIDEELIQTIVSEYGTADSDLLEKKLKQMRILENVELPAAFSDLLVKPKESSVAVPSDELEKSKEEDKKEDDDDDDDGGEEEVEEEEEEEEEEEEEEELKVEPGDGENEPVKEGTQAKKKIPEPVPEPPKMVRMANLCVVGGHAVNGVAAIHSEIVKDEVFNDFFKLWPEKFQNKTNGVTPRRWIRFCNPFLSKIITNWTGTEDWVLNTEKLAELRKFADNEDLQTQWRAAKKSNKLKVVSFLKEKTGYLVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKMMKEMSASERKEKFVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDADIGDLLKVVFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEDNFFLFGAEAHEIAGLRKERAEGKFVPDPRFEEVKEFVRSGVFGPYNYDELIGSLEGNEGFGCADYFLVGKDFPSYIECQEKVDEAYRDQQRWTRMSILNTAGSSMFSSDRTIHEYAQEIWNIKPVELP >EOY03615 pep chromosome:Theobroma_cacao_20110822:4:17409534:17418096:1 gene:TCM_018712 transcript:EOY03615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4 glucan phosphorylase L isozyme, chloroplastic/amyloplastic isoform 1 MATWRFCTTSGGVEAVSSCNAVARFIDFSRGRNGGVGSAGGGSGNKAKQLMLMRKWQVRPLRRSFSVRNVSSEPQQKVKDPVAEQEESLGTTSPFPPDASSIASSIKYHAEFTPVFSPEKFDLPKTFFATAQSIRDALIINWNATYDYYERLNVKQAYYLSMEFLQGRALLNAIGNLGLTGAYAEALSKLGHNLENIACQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYRYGLFKQHITKEGQEEVAEDWLEMSNPWEIVRNDVTYPVKFYGKVVTSSDGKKDWIGGEDIKAVAYDVPIPGYKTKTTINLRLWSTKVPSEEFDLSAFNAGEHTQAAEALYNAEKICYVLYPGDESVEGKILRLKQQYTLCSASLQDIIARFERRSGAKVKWEEFPEKVALQMNDTHPTLCIPELMRTLMDVKGLSWKEAWNITQRTVAYTNHTVLPEALEKWSLELMQKLLPRHVEIIEMIDEELIQTIVSEYGTADSDLLEKKLKQMRILENVELPAAFSDLLVKPKESSVAVPSDELEKSKEEDKKEDDDDDDDGGEEEVEEEEEEEEEEEEEEELKVEPGDGENEPVKEGTQAKKKIPEPVPEPPKMVRMANLCVVGGHAVNGVAAIHSEIVKDEVFNDFFKLWPEKFQNKTNGVTPRRWIRFCNPFLSKIITNWTGTEDWVLNTEKLAELRKFADNEDLQTQWRAAKKSNKLKVVSFLKEKTGYLVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKMMKEMSASERKEKFVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDADIGDLLKVCLYRKISLMNLTGSSFPK >EOY05318 pep chromosome:Theobroma_cacao_20110822:4:28068489:28075789:-1 gene:TCM_020345 transcript:EOY05318 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEUNIG_homolog isoform 3 MPMDPSSIYGQGIMQSKPGIGNTGLNPGVGSLPLKGWPLTGIDQIRPSLGTQVQKPFLQNANQFQLLPQQQQQQLLAQVQTQGNLGSSPMYGDMDPQRFSGLSRGTLNTKEGQPTANDGSIGSPMQSTSSKQMNMPPIRQSSSQQDPLQMQQVQQNNRKRKGPSSSGPANSTGTGNTVGPSPNSQPSTPSTHTPGDGVAMVGNMQHGSSMSKNLMMYGSDGTGGIASSTNQLEDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHATETSKGFSFNEVGSIRKSNSKVTCCHFSSDGKLLASAGHDKKAVLWNMETLQTECTPEEHTYLITDIRFRPNSTQLATSSFDTTVRVWDATQPSFCIWKCMGHTAQVMSLDFHPKKNELFCSCDGNSEIRFWNINQRSCTHSSKGGSTNVRFQPRIGQLLAAAAENVVSIFDVEAERRIHLLQGHSSEVHSVCWDTNGDFLASVSQESVRVWSLASGECIHELNSSGNKFQSCVFHPGFPALLVVGGYQSLELWNT >EOY05317 pep chromosome:Theobroma_cacao_20110822:4:28067435:28075709:-1 gene:TCM_020345 transcript:EOY05317 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEUNIG_homolog isoform 3 MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQLQRRDPNHPTLGGPVNAIGSEGMLGQSNASALAAKMYEERMKHPNAMNSETSQPLLDARMALLKSATNHPGQLVQGNHGSVTAALQQIQDIKGEVNLGGTQRSMPMDPSSIYGQGIMQSKPGIGNTGLNPGVGSLPLKGWPLTGIDQIRPSLGTQVQKPFLQNANQFQLLPQQQQQQLLAQVQTQGNLGSSPMYGDMDPQRFSGLSRGTLNTKEGQPTANDGSIGSPMQSTSSKQMNMPPIRQSSSQQDPLQMQQVQQNNRKRKGPSSSGPANSTGTGNTVGPSPNSQPSTPSTHTPGDGVAMVGNMQHGSSMSKNLMMYGSDGTGGIASSTNQLEDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHATETSKGFSFNEVGSIRKSNSKVTCCHFSSDGKLLASAGHDKKAVLWNMETLQTECTPEEHTYLITDIRFRPNSTQLATSSFDTTVRVWDATQPSFCIWKCMGHTAQVMSLDFHPKKNELFCSCDGNSEIRFWNINQRSCTHSSKGGSTNVRFQPRIGQLLAAAAENVVSIFDVEAERRIHLLQGHSSEVHSVCWDTNGDFLASVSQESVRVWSLASGECIHELNSSGNKFQSCVFHPGFPALLVVGGYQSLELWNTAENKCLTITAHECVISALAQSQVTGMVASASYDKSVKIWK >EOY05320 pep chromosome:Theobroma_cacao_20110822:4:28067943:28075754:-1 gene:TCM_020345 transcript:EOY05320 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEUNIG_homolog isoform 3 MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQLQRRDPNHPTLGGPVNAIGSEGMLGQSNASALAAKMYEERMKHPNAMNSETSQPLLDARMALLKSATNHPGQLVQGNHGSVTAALQQIQDIKGEVNLGGTQRSMPMDPSSIYGQGIMQSKPGIGNTGLNPGVGSLPLKGWPLTGIDQIRPSLGTQVQKPFLQNANQFQLLPQQQQQQLLAQVQTQGNLGSSPMYGDMDPQRFSGLSRGTLNTKEGQPTANDGSIGSPMQSTSSKQMNMPPIRQSSSQQDPLQMQQVQQNNRKRKGPSSSGPANSTGTGNTVGPSPNSQPSTPSTHTPGDGVAMVGNMQHGSSMSKNLMMYGSDGTGGIASSTNQLEDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHATETSKGFSFNEVGSIRKSNSKVTCCHFSSDGKLLASAGHDKKAVLWNMETLQTECTPEEHTYLITDIRFRPNSTQLATSSFDTTVRVWDATQREAALMSDFNQE >EOY05319 pep chromosome:Theobroma_cacao_20110822:4:28067961:28075713:-1 gene:TCM_020345 transcript:EOY05319 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEUNIG_homolog isoform 3 MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSEAAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQLQRRDPNHPTLGGPVNAIGSEGMLGQSNASALAAKMYEERMKHPNAMNSETSQPLLDARMALLKSATNHPGQLVQGNHGSVTAALQQIQDIKGEVNLGGTQRSMPMDPSSIYGQGIMQSKPGIGNTGLNPGVGSLPLKGWPLTGIDQIRPSLGTQVQKPFLQNANQFQLLPQQQQQQLLAQVQTQGNLGSSPMYGDMDPQRFSGLSRGTLNTKEGQPTANDGSIGSPMQSTSSKMNMPPIRQSSSQQDPLQMQQVQQNNRKRKGPSSSGPANSTGTGNTVGPSPNSQPSTPSTHTPGDGVAMVGNMQHGSSMSKNLMMYGSDGTGGIASSTNQLEDMEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHATETSKGFSFNEVGSIRKSNSKVTCCHFSSDGKLLASAGHDKKAVLWNMETLQTECTPEEHTYLITDIRFRPNSTQLATSSFDTTVRVWDATQPSFCIWKCMGHTAQVMSLDFHPKKNELFCSCDGNSEIRFWNINQRSCTHSSKREAALMSDFNQE >EOY03792 pep chromosome:Theobroma_cacao_20110822:4:19363219:19375589:1 gene:TCM_018981 transcript:EOY03792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL8 isoform 3 MGRGRVQLRQIENKISRQVTFSKRRTGLLKKAHEISVLCDAEVALIVFSNKGKLFEFSTDSSMERILDRYEQQSNAERQLVPTGSESQGNWSLECPKLMSTIEALQRNLRHFLGEELDPLSLRDLQLLEQQIDTSLKRIRTRKNQLMHESISELQKRERALQNQNNMLAKKLKENEKTQTEHAQCEQQNLSQNSSSFIQPPPATIEFPSLTIGGSFQAIEGANKEAETQPQPSTNTVIPPWMLSHVNR >EOY03794 pep chromosome:Theobroma_cacao_20110822:4:19363188:19374380:1 gene:TCM_018981 transcript:EOY03794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL8 isoform 3 MGRGRVQLRQIENKISRQVTFSKRRTGLLKKAHEISVLCDAEVALIVFSNKGKLFEFSTDSSMERILDRYEQQSNAERQLVPTGSESQGNWSLECPKLMSTIEALQRNLRHFLGEELDPLSLRDLQLLEQQIDTSLKRIRTRKERALQNQNNMLAKKLKENEKTQTEHAQCEQQNLSQNSSSFIQPPPATIEFPSLTIGGSFQAIEGANKEAETQPQPSTNTVIPPWMLSHVNR >EOY03793 pep chromosome:Theobroma_cacao_20110822:4:19363219:19374263:1 gene:TCM_018981 transcript:EOY03793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL8 isoform 3 MGRGRVQLRQIENKISRQVTFSKRRTGLLKKAHEISVLCDAEVALIVFSNKGKLFEFSTDSSMERILDRYEQQSNAERQLVPTGSESQGNWSLECPKLMSTIEALQRNLRHFLGEELDPLSLRDLQLLEQQIDTSLKRIRTRKERALQNQNNMLAKKIQLKENEKTQTEHAQCEQQNLSQNSSSFIQPPPATIEFPSLTIGGSFQAIEGANKEAETQPQPSTNTVIPPWMLSHVNR >EOY05980 pep chromosome:Theobroma_cacao_20110822:4:30512372:30514376:-1 gene:TCM_020839 transcript:EOY05980 gene_biotype:protein_coding transcript_biotype:protein_coding description:HR-like lesion-inducing protein-related MGFMSFVGRVLFASIFILSARQMFTEFGVDGGPAAKELIPKVDLAKKHIYSQLHVNFPDIEVRQLVAAAIALKGLGGILFVFGHGFGAFLLGAYLLVSTPLLYDFYNYGPNEPQYSALLSDFVQCVAQFGALLFFWGMKQSIPKRQLKKKALKSKAA >EOY04021 pep chromosome:Theobroma_cacao_20110822:4:21510872:21517767:-1 gene:TCM_019279 transcript:EOY04021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRKEKDKLARMDANYQKRKEIVEFNMRREEKMKVVKEQTTKNADITTKGSVAPLDSAAVLKVWRCSANHTAWWRICVAEDNAAVLQTWGCSADATAL >EOY05997 pep chromosome:Theobroma_cacao_20110822:4:30577694:30578398:1 gene:TCM_020856 transcript:EOY05997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQQNLETEYGGPSEEETLKLARVTLDGATANPDDRKRALLVWVNIVKLTAEPFRENEGGGPNNQTGLAKMQAVGEELGVPVEGLGANYFWCSDE >EOY03748 pep chromosome:Theobroma_cacao_20110822:4:18983198:18991020:-1 gene:TCM_018914 transcript:EOY03748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLYKDGKIEFEEEMTVANIALATSIFSPLNAMSKNIKFGSFNYIMLAPNAKETFNPQENGNKAYGSTIKDPNNERWILVTRRRRRKKNPPKDKQVSRNSKIVKQPSKKVMPKTMHGK >EOY03066 pep chromosome:Theobroma_cacao_20110822:4:4186443:4195012:1 gene:TCM_017493 transcript:EOY03066 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 12-like protein MSVNRDVTAIVMGQREARDNRREASTNILHPECRLKPRKALVSAFALPWLMENKENNLSNAKYLHELSKDDILSLEFDDLEDVYKFYKAYACAMGFGVRKGSCRRNKDGIEVMKHFACSKEGHRAEKWEKLENRVREPKRSSRTDCKANIRVILNKDTGKRYVSHCELEHNHQMVNPAQICFIRSNRQIKVADVCEAKAVKVAGLNTFIASLAKERKTLLEFVRAIEDGIKNIRNNEIGEDYISIHTKPVSSQIFKDIEKHAASVYTGRAFKKFIDEMRLQQLFYHECRFDDEPSIRVYQLMKYGDLFERIRVEFHVDDENIKCSCLKFETDGIPCPHIIHVMILEQLNRIPLNLIMKRWTKNAKDDAPTVVDDNVDPKYQKMPRCLLDDNDVDVYERNKEVWPSQLGTLFGGDSSECETSKARQQ >EOY03424 pep chromosome:Theobroma_cacao_20110822:4:15394637:15402973:-1 gene:TCM_018479 transcript:EOY03424 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette subfamily B19 isoform 1 MAETTETKAVPEAEKKKEQSLPFYQLFSFADKYDYFLMISGSLGAIIHGSSMPVFFLLFGEMVNGFGKNQSDLSKMTHEVAKYALYFVYLGLIVCLSSYAEIACWMYTGERQVSTLRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIIAEQAIAQVRTVYSYVGESKALNSYSDAIQNTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKTAGYKLMEIIKQKPSIIQDHSDGKVLPEVNGNIEFKDVTFSYPSRPDVIIFRNFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNQGQVLLDNMDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMDEVEAATSAANAHSFITLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDASSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDSIAVIQQGQVVETGTHEELIAKAGAYASLIRFQEMVGNRDFANPSTRRSRSSRLSHSLSTKSLSLRSGSLRNLSYSYSTGADGRIEMISNAETDRKNPAPDGYFCRLLKLNAPEWPYSIMGAVGSVLSGFIGPTFAIVMSNMIEVFYYTNPTSMERKTKEYVFIYIGAGLYAVIAYLIQHYFFSIMGENLTTRVRRMMLGAILRNEVGWFDEEEHNSSLLAARLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILGTFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQNKILSLFCYELRVPQKRSLGRSQTSGLLFGLSQLALYASEALILWYGAHLVSKGVSTFSKVIKVFVVLVVTANSVAETVSLAPEIIRGGEAVGSVFSILDRSTKIDPDDPEGEPVESIRGEIELRHVDFAYPSRPDVSVFKDLNLRIRAGQNQALVGASGSGKSSVIALIERFYDPIAGKVMIDGKDIRRLNLKSLRLKIGLVQQEPALFAASIFDNIAYGKEGATEAEVIEAARAANVHGFVSALPDGYKTPVGERGVQLSGGQKQRIAIARAVLKDPTILLLDEATSALDAESECVLIRVLVEATWPCWVVIMLGPINLMFLSFFFFFE >EOY03425 pep chromosome:Theobroma_cacao_20110822:4:15394955:15402534:-1 gene:TCM_018479 transcript:EOY03425 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette subfamily B19 isoform 1 MISGSLGAIIHGSSMPVFFLLFGEMVNGFGKNQSDLSKMTHEVAKYALYFVYLGLIVCLSSYAEIACWMYTGERQVSTLRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIIAEQAIAQVRTVYSYVGESKALNSYSDAIQNTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKTAGYKLMEIIKQKPSIIQDHSDGKVLPEVNGNIEFKDVTFSYPSRPDVIIFRNFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNQGQVLLDNMDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMDEVEAATSAANAHSFITLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDASSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDSIAVIQQGQVVETGTHEELIAKAGAYASLIRFQEMVGNRDFANPSTRRSRSSRLSHSLSTKSLSLRSGSLRNLSYSYSTGADGRIEMISNAETDRKNPAPDGYFCRLLKLNAPEWPYSIMGAVGSVLSGFIGPTFAIVMSNMIEVFYYTNPTSMERKTKEYVFIYIGAGLYAVIAYLIQHYFFSIMGENLTTRVRRMMLGAILRNEVGWFDEEEHNSSLLAARLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILGTFPLLVLANFAQQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQNKILSLFCYELRVPQKRSLGRSQTSGLLFGLSQLALYASEALILWYGAHLVSKGVSTFSKVIKVFVVLVVTANSVAETVSLAPEIIRGGEAVGSVFSILDRSTKIDPDDPEGEPVESIRGEIELRHVDFAYPSRPDVSVFKDLNLRIRAGQNQALVGASGSGKSSVIALIERFYDPIAGKVMIDGKDIRRLNLKSLRLKIGLVQQEPALFAASIFDNIAYGKEGATEAEVIEAARAANVHGFVSALPDGYKTPVGERGVQLSGGQKQRIAIARAVLKDPTILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRNVDSIGVVQDGRIVEQGSHAELISRAEGAYSRLLQLQHHHV >EOY03423 pep chromosome:Theobroma_cacao_20110822:4:15394045:15425761:-1 gene:TCM_018479 transcript:EOY03423 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette subfamily B19 isoform 1 MAETTETKAVPEAEKKKEQSLPFYQLFSFADKYDYFLMISGSLGAIIHGSSMPVFFLLFGEMVNGFGKNQSDLSKMTHEVAKYALYFVYLGLIVCLSSYAEIACWMYTGERQVSTLRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIIAEQAIAQVRTVYSYVGESKALNSYSDAIQNTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKTAGYKLMEIIKQKPSIIQDHSDGKVLPEVNGNIEFKDVTFSYPSRPDVIIFRNFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNQGQVLLDNMDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMDEVEAATSAANAHSFITLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDASSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDSIAVIQQGQVVETGTHEELIAKAGAYASLIRFQEMVGNRDFANPSTRRSRSSRLSHSLSTKSLSLRSGSLRNLSYSYSTGADGRIEMISNAETDRKNPAPDGYFCRLLKLNAPEWPYSIMGAVGSVLSGFIGPTFAIVMSNMIEVFYYTNPTSMERKTKEYVFIYIGAGLYAVIAYLIQHYFFSIMGENLTTRVRRMMLGAILRNEVGWFDEEEHNSSLLAARLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILGTFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQNKILSLFCYELRVPQKRSLGRSQTSGLLFGLSQLALYASEALILWYGAHLVSKGVSTFSKVIKVFVVLVVTANSVAETVSLAPEIIRGGEAVGSVFSILDRSTKIDPDDPEGEPVESIRGEIELRHVDFAYPSRPDVSVFKDLNLRIRAGQNQALVGASGSGKSSVIALIERFYDPIAGKVMIDGKDIRRLNLKSLRLKIGLVQQEPALFAASIFDNIAYGKEGATEAEVIEAARAANVHGFVSALPDGYKTPVGERGVQLSGGQKQRIAIARAVLKDPTILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRNVDSIGVVQDGRIVEQGSHAELISRAEGAYSRLLQLQHHHAHMKYKMV >EOY03806 pep chromosome:Theobroma_cacao_20110822:4:19434336:19498759:-1 gene:TCM_018990 transcript:EOY03806 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase MNFFLDGDILYKRSRDQVLLRCMESAEARRIVEEVHEGICGAHVSGHMLTRQQVMRAGYYWLTLEKDCIDFARKCHKCQIYADRIHTPANSLHVLAPPWPFSMWGMDVIGLITPKASNGHRFILVAIDYFTKWVEAASYANVTQKVVCKFIQKEIICRYGLPERIITDNTSNLNGSMMKEVCAKFKIKHHNSTPYRPKMNGAVEAANKNIKRIIEKMTDIYKDWHEKLPFALHAYRTTVRTSTGATPFSLVYGMEAVLPIEVEIPSLRVLKEVQLEETEWVNARYEQLNLIEEKRLTALCHGQLYQKRMMRAYDKKAHSRQFREGELVLKRILPNQHDPRGKWTPNWEGPFVIKKAFSGGALILAEMDGREFSNPVNADAVKKYFA >EOY04678 pep chromosome:Theobroma_cacao_20110822:4:25483147:25483507:1 gene:TCM_019874 transcript:EOY04678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPGKADHLNHKDYTKFHNNLKSIYVQTLADVGGNYSLTYCAFTLVDLYSL >EOY03228 pep chromosome:Theobroma_cacao_20110822:4:8594648:8597398:1 gene:TCM_017904 transcript:EOY03228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQPSGETVEGVMLFSNETATLKDEITMLEDNTASDQANEDLVPTDEDRFVDNLDDRPDEWHDDNNNLIAGNNRIRSPKALPDDSYQERGNRGIPHTWLILSAECIGHVEDEDSWTWFLSKLHDTIGCPKNTMFMFDQHFGIKKVIQSAYPEAHHDLCFYHLKQNFKNKFKHDDVSMIFTPARDYYKHARQMPIIVLIEFIGDMFQHWFHDWYKEAIKVTTPLSLGAVRNMDELASRSRKACSCCEFQIDLQPCSHAIVAVSGATRSGELRDAITYVVTKLDPLDNTPALITYL >EOY02432 pep chromosome:Theobroma_cacao_20110822:4:793038:798858:1 gene:TCM_016906 transcript:EOY02432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shaggy-like kinase 13 isoform 3 MASTGMAATSVVGKPTGNTTFVDKLPEEINEMKIKDEKVEKEMEATVVDGNGTETGHIIVTTIGGRNGEPKQTISYMAERVVGQGSFGIVFQAKCLESGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETVYRVAKHYSRANQRMPLIYVKLYTYQICRALAYIHGGVGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEACIHPFFDELRDPNARLPNGRPLPPLFNFKPQELKGVSLELLAKLIPEHARKQCPFLGF >EOY02431 pep chromosome:Theobroma_cacao_20110822:4:793038:798858:1 gene:TCM_016906 transcript:EOY02431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shaggy-like kinase 13 isoform 3 MASTGMAATSVVGKPTGNTTFVDKLPEEINEMKIKDEKVEKEMEATVVDGNGTETGHIIVTTIGGRNGEPKQTISYMAERVVGQGSFGIVFQAKCLESGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETVYRVAKHYSRANQRMPLIYVKLYTYQICRALAYIHGGVGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEACIHPFFDELRDPNARLPNGRPLPPLFNFKPQELKGVSLELLAKLIPEHARKQCPFLGF >EOY02430 pep chromosome:Theobroma_cacao_20110822:4:788111:798789:1 gene:TCM_016906 transcript:EOY02430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shaggy-like kinase 13 isoform 3 MASTGMAATSVVGKPTGNTTFVDKLPEEINEMKIKDEKVEKEMEATVVDGNGTETGHIIVTTIGGRNGEPKQTISYMAERVVGQGSFGIVFQAKCLESGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETVYRVAKHYSRANQRMPLIYVKLYTYQICRALAYIHGGVGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEACIHPFFDELRDPNARLPNGRPLPPLFNFKPQELKGVSLELLAKLIPEHARKQCPFLGF >EOY02433 pep chromosome:Theobroma_cacao_20110822:4:794292:798401:1 gene:TCM_016906 transcript:EOY02433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shaggy-like kinase 13 isoform 3 MVNPSRQTISYMAERVVGQGSFGIVFQAKCLESGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETVYRVAKHYSRANQRMPLIYVKLYTYQICRALAYIHGGVGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEACIHPFFDELRDPNARLPNGRPLPPLFNFKPQELKGVSLELLAKLIPEHARKQCPFLGF >EOY03804 pep chromosome:Theobroma_cacao_20110822:4:19416218:19424289:1 gene:TCM_018988 transcript:EOY03804 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G38880) UniProtKB/Swiss-Prot;Acc:Q9FMB4] MLSSNVQPEAILEWLQKEMGYRPLGPYNSSSNKSNLPSIDSLRKICRGNMLPIWHFLLTRVKSEKTVQNIRKNITVHGGGAGAGGGGSTESGGNLGKEEGRSKGGGRRKEKVVGGGGGEGSGAAEIREAAVRERQAAAKEVERLRNIVRRQRKDLKARMLEVSREEAERKRMLDERAHYRHKQVMLEAYDQQCDEAAKIFAEYHKRLHQYVTLARDAQRSSVDSSVEMVSNFSANSEKEAVYSTVKGTKAADDVILIETTRERNIRKACESLVECMIEKVRTSFPAYEGTGIHLSPQLEATKLGFDFDGEIPDEVRTVIVDCLKSPPQLLQAITTYTSRLKTMVSREIEKVDVRADAEILRYKYENDRVMDVSSPDVSSPLNYQLYGNGKIGKDVPSRGTQNQLLERQKAHVQQFLATEDALNKAAEARDLCQKLIKRLQGGSDVVPSHSLVGAATQNVGSLRQFELEVWAKEREAAGIKASLNTLMSEIQRLNKLCAERKEAEDSLRKKWKKIEEFDSRRSELETIYTALLKANMVRMQLHSGINSHWLRGSMLPAPLFQHAMLLQTFQTVQKILLIKKFLHFIEVLIIVSICFHQARRHFWSPWVLMDLQDLKLWLQQRRMRPC >EOY03803 pep chromosome:Theobroma_cacao_20110822:4:19415976:19424831:1 gene:TCM_018988 transcript:EOY03803 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G38880) UniProtKB/Swiss-Prot;Acc:Q9FMB4] MLSSNVQPEAILEWLQKEMGYRPLGPYNSSSNKSNLPSIDSLRKICRGNMLPIWHFLLTRVKSEKTVQNIRKNITVHGGGAGAGGGGSTESGGNLGKEEGRSKGGGRRKEKVVGGGGGEGSGAAEIREAAVRERQAAAKEVERLRNIVRRQRKDLKARMLEVSREEAERKRMLDERAHYRHKQVMLEAYDQQCDEAAKIFAEYHKRLHQYVTLARDAQRSSVDSSVEMVSNFSANSEKEAVYSTVKGTKAADDVILIETTRERNIRKACESLVECMIEKVRTSFPAYEGTGIHLSPQLEATKLGFDFDGEIPDEVRTVIVDCLKSPPQLLQAITTYTSRLKTMVSREIEKVDVRADAEILRYKYENDRVMDVSSPDVSSPLNYQLYGNGKIGKDVPSRGTQNQLLERQKAHVQQFLATEDALNKAAEARDLCQKLIKRLQGGSDVVPSHSLVGAATQNVGSLRQFELEVWAKEREAAGIKASLNTLMSEIQRLNKLCAERKEAEDSLRKKWKKIEEFDSRRSELETIYTALLKANMDAAAFWNQQPLAAREYASSTIIPACNVVADISNRAKDFIDKEVSAFYRSPDNSLYMLPSSPQALLESMGANGSTGPEAVAAAEKNAALLTARAGARDPSAIPSICRVSAALQYPAGLEGSDAGLASVLECLEFCLKLRGSEASVLEELAKAINLVHIRQDLVESGHALLNHAYRAQQEYARTTNYCLNLAAEQEKIVTEKWLPELKSAVLNAQKCLEDCKYVRGLLDEWWEQPASTVVDWVTVDGQNVGAWHTHLKQLLAFYDKEVL >EOY02576 pep chromosome:Theobroma_cacao_20110822:4:1351221:1355827:1 gene:TCM_017009 transcript:EOY02576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MRPKNPSRKGRLVFGPSGSTPLSKRTGLAQLLIIKAEGSGFSGFGSKGKPSTGFLHFASSMKFLFRSVYAGYNRPLLQALGRRRFSDVTALKAKRNYAENVSEYNTVLTSLVSKRRNFLLRDVYDDMMLDGVQPTRDTFQALIIGTMRGARLQDALYFRDEMKAMGLVPEVALYNHLISTCGKCKNSDLAIQILEEMKRYDVKPNGQTYVALLNACAAAGRLDRVLAIVRDMTAAGAALNKYCYAGLITAHMNKMPRADDIATKIIEFAEQSKGWSSVEASTGNAANVMAGIPEEELYNLPTADVVHRRGFLNRQLTVYHVAFHACADLRNVEAIETLLEMLKKDRKTPDTFIVWQTMRCYFHAGDIDRGVQIFQEYLNGGKPPTVELYATVVEGAMVGYTPRGMQLAQETLVNMTSRNFFLNPKMGSDLLLVAAGEKTGGYTNANYIWDLMQARNIVPTLPAVEAYYNGLKDREIPEDDPRLQLVSRTLNNLQRRFRTGPGRP >EOY03407 pep chromosome:Theobroma_cacao_20110822:4:14952822:14955417:1 gene:TCM_018441 transcript:EOY03407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIWKSASPTHLTIAGYYVAIDSNAYLANSTCRSPVTSSDTPPWQTSLSHTLPSNNSNPTLNCPHTRQHINQ >EOY02833 pep chromosome:Theobroma_cacao_20110822:4:2457758:2460572:-1 gene:TCM_017236 transcript:EOY02833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVKKMGSVKETFKVERDSWEVEPFVSTRKTRELRMGIGAGALFGCLWVELSLPSLFFKWGGDGSRMNDWAAPLIAAALFAFLSPGLVLQIPGKNQPIDFMNMKTSVAAIFVHAVLYALFLILFLVVLHVHLYV >EOY05670 pep chromosome:Theobroma_cacao_20110822:4:29430722:29431911:1 gene:TCM_020613 transcript:EOY05670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWLQSLLSPLKKLWFRLHSAQKKRRGIYILYEDVKSCPYEDVHVLWSILVESNAPSLPAKR >EOY05695 pep chromosome:Theobroma_cacao_20110822:4:29524407:29525531:-1 gene:TCM_020633 transcript:EOY05695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein D-34 isoform 2 MSQGQSRRPQAGDQRESQPIKYEDVFNVTGELANKPIVLGQYVQATPVPTTQIGVIQQTAITIGEALEATAQTTRDKPVDQSDAAAIQAAEVRATGSNVIIPGGLAATAQSAAAQNASVNRNEEKIRLNEVLTGATAKLPADKAVTRQDDEGVVSAELRNNPNVATRPGGVAASIAAAARLNENVNI >EOY05694 pep chromosome:Theobroma_cacao_20110822:4:29524547:29525266:-1 gene:TCM_020633 transcript:EOY05694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein D-34 isoform 2 MAGDQVVSFSETDVSGRRIITESVLGQYVQATPVPTTQIGVIQQTAITIGEALEATAQTTRDKPVDQSDAAAIQAAEVRATGSNVIIPGGLAATAQSAAAQNASVNRNEEKIRLNEVLTGATAKLPADKAVTRQDDEGVVSAELRNNPNVATRPGGVAASIAAAARLNENVNI >EOY05815 pep chromosome:Theobroma_cacao_20110822:4:29867081:29873026:-1 gene:TCM_020717 transcript:EOY05815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stabilizer of iron transporter SufD / Polynucleotidyl transferase isoform 3 MPIRQMKENSEQHLVIKNHLQNTMNPVQRAPKTAQNGKGPPAAHEPQNTKLPHNQTSPPTKNKGRRRGRGGRKSDQGDVCMRPSSRPCTVAHKPVNPAAGDLVAASSNGPIQNGHNLRGMEMGFPTSSKSSNFAPRPGYGQVGTKCIVKANHFFAELPDKDLNQYDVTISPEVASRMVNRAIMAELVRLYKESDLGMRLPAYDGRKSLYTAGELPFAWKEFIIKLVDEEDGINGPKREREYKVVIKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSMKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIDFVAQLLGKDVLSRPSSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTSQPTRELMFPVDDNSTMKSVVEYFQEMYGFTIQHTHLPCLKVGNQRKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQHNSYDQDPYANEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVNRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNSEPVIPIYSARPEQVEKALKHVYHASMNKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVALKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYSRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSTDKSGNILPGHCC >EOY05813 pep chromosome:Theobroma_cacao_20110822:4:29865158:29874433:-1 gene:TCM_020717 transcript:EOY05813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stabilizer of iron transporter SufD / Polynucleotidyl transferase isoform 3 MPIRQMKENSEQHLVIKNHLQNTMNPVQRAPKTAQNGKGPPAAHEPQNTKLPHNQTSPPTKNKGRRRGRGGRKSDQGDVCMRPSSRPCTVAHKPVNPAAGDLVAASSNGPIQNGHNLRGMEMGFPTSSKSSNFAPRPGYGQVGTKCIVKANHFFAELPDKDLNQYDVTISPEVASRMVNRAIMAELVRLYKESDLGMRLPAYDGRKSLYTAGELPFAWKEFIIKLVDEEDGINGPKREREYKVVIKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSMKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIDFVAQLLGKDVLSRPSSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTSQPTRELMFPVDDNSTMKSVVEYFQEMYGFTIQHTHLPCLKVGNQRKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQHNSYDQDPYANEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVNRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNSEPVIPIYSARPEQVEKALKHVYHASMNKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVALKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLTAFRARFYMEPEMQENGSTVGGAGHTKGTRAAGESGVRPLPALKENVKRVMFYC >EOY05816 pep chromosome:Theobroma_cacao_20110822:4:29865249:29874423:-1 gene:TCM_020717 transcript:EOY05816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stabilizer of iron transporter SufD / Polynucleotidyl transferase isoform 3 MPIRQMKENSEQHLVIKNHLQNTMNPVQRAPKTAQNGKGPPAAHEPQNTKLPHNQTSPPTKNKGRRRGRGGRKSDQGDVCMRPSSRPCTVAHKPVNPAAGDLVAASSNGPIQNGHNLRGMEMGFPTSSKSSNFAPRPGYGQVGTKCIVKANHFFAELPDKDLNQYDVTISPEVASRMVNRAIMAELVRLYKESDLGMRLPAYDGRKSLYTAGELPFAWKEFIIKLVDEEDGINGPKREREYKVVIKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSMKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIDFVAQLLGKDVLSRPSSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTSQPTRELMFPVDDNSTMKSVVEYFQEMYGFTIQHTHLPCLKVGNQRKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQHNSYDQDPYANEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVNRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNSEPVIPIYSARPEQVEKALKHVYHASMNKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVALKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSTDKSGMLHFVFSGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHL >EOY05818 pep chromosome:Theobroma_cacao_20110822:4:29867213:29874416:-1 gene:TCM_020717 transcript:EOY05818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stabilizer of iron transporter SufD / Polynucleotidyl transferase isoform 3 MPIRQMKENSEQHLVIKNHLQNTMNPVQRAPKTAQNGKGPPAAHEPQNTKLPHNQTSPPTKNKGRRRGRGGRKSDQGDVCMRPSSRPCTVAHKPVNPAAGDLVAASSNGPIQNGHNLRGMEMGFPTSSKSSNFAPRPGYGQVGTKCIVKANHFFAELPDKDLNQYDVTISPEVASRMVNRAIMAELVRLYKESDLGMRLPAYDGRKSLYTAGELPFAWKEFIIKLVDEEDGINGPKREREYKVVIKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSMKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIDFVAQLLGKDVLSRPSSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTSQPTRELMFPVDDNSTMKSVVEYFQEMYGFTIQHTHLPCLKVGNQRKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQHNSYDQDPYANEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVNRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNSEPVIPIYSARPEQVEKALKHVYHASMNKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVALKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRFVMLDLLVNIFSIVFHSWMPYLH >EOY05817 pep chromosome:Theobroma_cacao_20110822:4:29867081:29873026:-1 gene:TCM_020717 transcript:EOY05817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stabilizer of iron transporter SufD / Polynucleotidyl transferase isoform 3 MPIRQMKENSEQHLVIKNHLQNTMNPVQRAPKTAQNGKGPPAAHEPQNTKLPHNQTSPPTKNKGRRRGRGGRKSDQGDVCMRPSSRPCTVAHKPVNPAAGDLVAASSNGPIQNGHNLRGMEMGFPTSSKSSNFAPRPGYGQVGTKCIVKANHFFAELPDKDLNQYDVTISPEVASRMVNRAIMAELVRLYKESDLGMRLPAYDGRKSLYTAGELPFAWKEFIIKLVDEEDGINGPKREREYKVVIKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSMKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIDFVAQLLGKDVLSRPSSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTSQPTRELMFPVDDNSTMKSVVEYFQEMYGFTIQHTHLPCLKVGNQRKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQHNSYDQDPYANEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVNRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNSEPVIPIYSARPEQVEKALKHVYHASMNKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVALKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSTDKSGNILPGKRLCLLDNLLIQDSQALLNLSIINCSNDVAFCFFRHCC >EOY05814 pep chromosome:Theobroma_cacao_20110822:4:29864175:29875342:-1 gene:TCM_020717 transcript:EOY05814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stabilizer of iron transporter SufD / Polynucleotidyl transferase isoform 3 MPIRQMKENSEQHLVIKNHLQNTMNPVQRAPKTAQNGKGPPAAHEPQNTKLPHNQTSPPTKNKGRRRGRGGRKSDQGDVCMRPSSRPCTVAHKPVNPAAGDLVAASSNGPIQNGHNLRGMEMGFPTSSKSSNFAPRPGYGQVGTKCIVKANHFFAELPDKDLNQYDVTISPEVASRMVNRAIMAELVRLYKESDLGMRLPAYDGRKSLYTAGELPFAWKEFIIKLVDEEDGINGPKREREYKVVIKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSMKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIDFVAQLLGKDVLSRPSSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTSQPTRELMFPVDDNSTMKSVVEYFQEMYGFTIQHTHLPCLKVGNQRKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQHNSYDQDPYANEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVNRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNSEPVIPIYSARPEQVEKALKHVYHASMNKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVALKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLTAFRARFYMEPEMQENGSTVGGAGHTKGTRAAGESGVRPLPALKENVKRVMFYC >EOY05793 pep chromosome:Theobroma_cacao_20110822:4:29810998:29817413:1 gene:TCM_020703 transcript:EOY05793 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: N-terminal protein myristoylation; LOCATED IN: cellular_component unknown; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Dymeclin (InterPro:I /.../42); Has 395 Blast hits to 389 proteins in 117 species: Archae - 0; Bacteria - 0; Metazoa - 262; Fungi - 21; Plants - 68; Viruses - 0; Other Eukaryotes - 44 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G04200) TAIR;Acc:AT1G04200] MGAVPSTPRHTSTTIETAEYLIGSFVGKKSFPLASDFWQKLLELPLTLGWAPHRVRQACQLFAQNNRHTRHLAKILIHLSWCLQEAIQTSGAPSPVYMKAVNAVYISSVFLKYIIENSQSETIEDLCLSLNENEPVPKDFVADQSIENLVMHNVLTFIGSIDVSPTTSLLHQELLNFMLVGMSTQLLSGPSPGPKDVNPFIDAAMSQESLLVSLVVRRLLLNYIMRPHVPSSSAASYSIFSEESQPGVLQRVGSAAATIVLLPFNYWVSSNGEGSRNQLADCSLRVLLILIHYHKCVVSDESITDRSDDSAASDSVSKVNTYFTVNPYSKALENARDIEFDRLDVEGNAQNGPVMRLPFASLFDTLGMCLADETAVLLLYSLVQGNSDFLEYVLVRTDLDTLLMPILETLYNASRRTSNQIYMLLIILLILSQDSSFNASVHKMILPGVPWYKEHLLHQTSLGSLMVIILIRTVQYNLSKLRDVYLHTTCLATLANMAPHVHRLSAYASQRLVSLFYMLSRKYNKLVELRDDKLQTKAISTGDGLVEDMSAELQIYTDFLRIVLEILNAILTYALPRNPEVVYAIMHRQEVFQPFKNHPRFTELLENIYNVLDFFNSRMDAQRLDGEWSVEKVLLFIINNCRSWRGEGMKMFTQLHFSYEQESHPEEFFIPYVWQLVLSRCGFSFNASAINLFPVDLQADKQIYYGGEPTTNQNGELNEHEVQLDP >EOY06390 pep chromosome:Theobroma_cacao_20110822:4:31754136:31755419:1 gene:TCM_021124 transcript:EOY06390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin system component Cue protein, putative MAAVVCGSKRSFFEDTSSPAASVSKKLRRCSPSSPSSARFSSPSSSLAHLEVLFPHMDPELLERALLECGKDVDIAIKRLQELCLGAAGATEEKAGPVEEEGLTAQLGTLTNDGEAAAAATVAVQNPAAPENVPVDGAEWVDLFLREMTSCTSMDDAKARASRLLEVVEKSISRSAAQSFEKENIMLREENTVLKRAVVMQHERQKEYQDKVHELQHLRHQLSQYQEQLRILEINNYALTMHLRQAQQNSSIPGRFHPDVF >EOY02462 pep chromosome:Theobroma_cacao_20110822:4:914991:919318:-1 gene:TCM_016929 transcript:EOY02462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate/isopropylmalate dehydrogenase family protein isoform 4 MLKLPLRLRALSSPPGSTMLASSFSSSSSSSILGLRNKNPSSLFFSSSRHFSNGALGNRVVFSSHFPRAVSLRCFASSTGFDRVQVQNPIVEMDGDEMTRIIWSMIKEKLIFPYLNLDVKYFDLGILNRDATDDNVTTESAEAALKYNVAIKCATITPDEARVKEFGLKSMWRSPNGTIRNILNGTVFREPILCRNIPRIVPGWKKPICIGRHAFGDQYRATDTVITGPGKLKMVFGYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDENERLLDFVHKLEAACIETVEAGKMTKDLAILIHGPKYVPFSLDV >EOY02460 pep chromosome:Theobroma_cacao_20110822:4:915333:919317:-1 gene:TCM_016929 transcript:EOY02460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate/isopropylmalate dehydrogenase family protein isoform 4 RKMLKLPLRLRALSSPPGSTMLASSFSSSSSSSILGLRNKNPSSLFFSSSRHFSNGALGNRVVFSSHFPRAVSLRCFASSTGFDRVQVQNPIVEMDGDEMTRIIWSMIKEKLIFPYLNLDVKYFDLGILNRDATDDNVTTESAEAALKYNVAIKCATITPDEARVKEFGLKSMWRSPNGTIRNILNVLPDLRVALFVGTVFREPILCRNIPRIVPGWKKPICIGRHAFGDQYRATDTVITGPGKLKMVFVPEGGDKPVELDVYNFKGPGVALAMYNVDESIRAFAESSMSLAFSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEQNWKDKFEEHSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDENER >EOY02461 pep chromosome:Theobroma_cacao_20110822:4:915331:919318:-1 gene:TCM_016929 transcript:EOY02461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate/isopropylmalate dehydrogenase family protein isoform 4 RKMLKLPLRLRALSSPPGSTMLASSFSSSSSSSILGLRNKNPSSLFFSSSRHFSNGALGNRVVFSSHFPRAVSLRCFASSTGFDRVQVQNPIVEMDGDEMTRIIWSMIKEKLIFPYLNLDVKYFDLGILNRDATDDNVTTESAEAALKYNVAIKCATITPDEARVKEFGLKSMWRSPNGTIRNILNGTVFREPILCRNIPRIVPGWKKPICIGRHAFGDQYRATDTVITGPGKLKMVFEGGDKPVELDVYNFKGPGVALAMYNVDESIRAFAESSMSLAFSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEQNWKDKFEEHSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDENER >EOY02459 pep chromosome:Theobroma_cacao_20110822:4:914123:919877:-1 gene:TCM_016929 transcript:EOY02459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate/isopropylmalate dehydrogenase family protein isoform 4 MLKLPLRLRALSSPPGSTMLASSFSSSSSSSILGLRNKNPSSLFFSSSRHFSNGALGNRVVFSSHFPRAVSLRCFASSTGFDRVQVQNPIVEMDGDEMTRIIWSMIKEKLIFPYLNLDVKYFDLGILNRDATDDNVTTESAEAALKYNVAIKCATITPDEARVKEFGLKSMWRSPNGTIRNILNGTVFREPILCRNIPRIVPGWKKPICIGRHAFGDQYRATDTVITGPGKLKMVFVPEGGDKPVELDVYNFKGPGVALAMYNVDESIRAFAESSMSLAFSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEQNWKDKFEEHSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDENERLLDFVHKLEAACIETVEAGKMTKDLAILIHGPKVSRESYLNTEEFIDAVAINLESKLWEPALCK >EOY05301 pep chromosome:Theobroma_cacao_20110822:4:27964882:27969469:-1 gene:TCM_020332 transcript:EOY05301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MYPAIRSLPLDGSVGDYQGSLDGTNLPGDACLVLTTDPKPRLRWTAELHERFVDAVTQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKYRLGKQSCKESTDNSKDASCVAESQDTGSSTTSTSRMVAQDLNDGYQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQGKYLQSILEKACKALNDQAAASAGLEAAREELSELAIKVSNDCQEMIPLDNIKLPSLSELAAALENKTASSMPVSPMGVGSQAAIMKKRPRPLFGNADPLPLDGNIRQEIEWVMPNIS >EOY05302 pep chromosome:Theobroma_cacao_20110822:4:27965231:27969162:-1 gene:TCM_020332 transcript:EOY05302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MRTMGVKGLTLYHLKSHLQKYRLGKQSCKESTDNSKDASCVAESQDTGSSTTSTSRMVAQDLNDGYQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQGKYLQSILEKACKALNDQAAASAGLEAAREELSELAIKVSNDCQEMIPLDNIKLPSLSELAAALENKTASSMPVSPMGVGSQAAIMKKRPRPLFGNADPLPLDGNIRQEIEWVMPNIS >EOY05303 pep chromosome:Theobroma_cacao_20110822:4:27965231:27969417:-1 gene:TCM_020332 transcript:EOY05303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MNVSLMLLLNLVALTESQDTGSSTTSTSRMVAQDLNDGYQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQGKYLQSILEKACKALNDQAAASAGLEAAREELSELAIKVSNDCQEMIPLDNIKLPSLSELAAALENKTASSMPVSPMGVGSQAAIMKKRPRPLFGNADPLPLDGNIRQEIEWVMPNIS >EOY04426 pep chromosome:Theobroma_cacao_20110822:4:24285451:24288633:1 gene:TCM_019683 transcript:EOY04426 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: PRC-barrel-like (InterPro:IPR011033); Has 300 Blast hits to 300 proteins in 81 species: Archae - 0; Bacteria - 135; Metazoa - 0; Fungi - 0; Plants - 37; Viruses - 0; Other Eukaryotes - 128 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G38570) TAIR;Acc:AT2G38570] MCNCVLWSPSPLPFSFSIIRLGNTTINNLQIPGAHFPIIRNDGRLQLIECSMKATPSPRSGSEQEGEGYGELEFEDKGDNEFELRRRRSDASLERISADELRGKKGSGKRADELVKMESRRRQVMKRSNMVAKQVISIQSAQSLGFVSQLWVDTTSWLVLVVEVRPSLLAGESERFLLQDVEMVGDVVLVKDESVMENDFKMIRLETLVGYRVVTPGHQNIGKVRGYSFNINSGAVVSLELDSFGISIIPSSLVSTYALLVEDVLEVIADTVVVQEAAASRIQRLTKNMMMMMKGL >EOY04425 pep chromosome:Theobroma_cacao_20110822:4:24285420:24289007:1 gene:TCM_019683 transcript:EOY04425 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: PRC-barrel-like (InterPro:IPR011033); Has 300 Blast hits to 300 proteins in 81 species: Archae - 0; Bacteria - 135; Metazoa - 0; Fungi - 0; Plants - 37; Viruses - 0; Other Eukaryotes - 128 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G38570) TAIR;Acc:AT2G38570] MCNCVLWSPSPLPFSFSIIRLGNTTINNLQIPGAHFPIIRNDGRLQLIECSMKATPSPRSGSEQEGEGYGELEFEDKGDNEFELRRRRSDASLERISADELRGKKGSGKRADELVKMESRRRQVMKRSNMVAKQVISIQSAQSLGFVSQLWVDTTSWLVLVVEVRPSLLAGESERFLLQDVEMVGDVVLVKDESVMENDFKMIRLETLVGYRVVTPGHQNIGKVRGYSFNINSGAVVSLELDSFGISIIPSSLVSTYALLVEDVLEVIADTVVVQEAAASRIQRLTKGFWDAQSAGISLNEHTEYDDDDERSINLGDGWRTRRGFSGQKSRSKKREADDDWELPMDYL >EOY04424 pep chromosome:Theobroma_cacao_20110822:4:24285451:24289064:1 gene:TCM_019683 transcript:EOY04424 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: PRC-barrel-like (InterPro:IPR011033); Has 300 Blast hits to 300 proteins in 81 species: Archae - 0; Bacteria - 135; Metazoa - 0; Fungi - 0; Plants - 37; Viruses - 0; Other Eukaryotes - 128 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G38570) TAIR;Acc:AT2G38570] MCNCVLWSPSPLPFSFSIIRLGNTTINNLQIPGAHFPIIRNDGRLQLIECSMKATPSPRSGSEQEGEGYGELEFEDKGDNEFELRRRRSDASLERISADELRGKKGSGKRADELVKMESRRRQVMKRSNMVAKQVISIQSAQSLGFVSQLWVDTTSWLVLVVEVRPSLLAGESERFLLQDVEMVGDVVLVKDESVMENDFKMIRLETLVGYRVVTPGHQNIGKVRGYSFNINSGAVVSLELDSFGISIIPSSLVSTYALLVEDVLEVIADTVVVQEAAASRIQRLTKGFWDAQSAGISLNEHTEYDDDDERSINLGDGWRTRRGFSGQKSRSKKREADDDWELPMDYL >EOY04427 pep chromosome:Theobroma_cacao_20110822:4:24285424:24287878:1 gene:TCM_019683 transcript:EOY04427 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: PRC-barrel-like (InterPro:IPR011033); Has 300 Blast hits to 300 proteins in 81 species: Archae - 0; Bacteria - 135; Metazoa - 0; Fungi - 0; Plants - 37; Viruses - 0; Other Eukaryotes - 128 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G38570) TAIR;Acc:AT2G38570] MCNCVLWSPSPLPFSFSIIRLGNTTINNLQIPGAHFPIIRNDGRLQLIECSMKATPSPRSGSEQEGEGYGELEFEDKGDNEFELRRRRSDASLERISADELRGKKGSGKRADELVKMESRRRQVMKRSNMVAKQVISIQSAQSLGFVSQLWVDTTSWLVLVVEVRPSLLAGESERFLLQDVEMVGDVVLVKDESVMENDFKMIRLETLVGYRVVTPGHQNIGKVRGYSFNINSGAVVSLELDSFGISIIPSSLIMIPGEHLCFAC >EOY04290 pep chromosome:Theobroma_cacao_20110822:4:23195716:23203731:-1 gene:TCM_019539 transcript:EOY04290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich spliceosome-associated family protein / zinc knuckle family protein, putative isoform 1 MEGQDIINLPASSNSSGSESGELRDLDDGPCQVGSQPNNAETKDGEGKVESLEVNEGVIKNPQSDLIVETEVDNTLVDDSSDMQISDEITETVRVKETLEGLSFGAHSAYFTADEKMDGLSSSVPTKKRRLDAQNGSPIQNDMMDGIPISGVKRPRMTFDDQQPSVHIVYNFLTRASKQKLEELLQKWSEWQAEHGTLSPDENELIESGEETYFPALRVGAEKPSTVSFWIDNQTRNPRDTEIITLDSNIVPLYDRGYAMCLTSADGSSNLEGGLEIKDDASRCFNCGSYSHSLKQCPKPRDNLAVNAARKQHYKSKRNQNTGSRNAIRYYQSSQGGKYDDLKPGVLSADTRQLLGLGEFDPPPWLNRMREIGYPTGYLAPDDEDQPSGITIYADGETNEEQEDGEITEVVHAEPEKKMTVEFPGINAPIPVEADEKLWAPGSSSSESSRSRSHRRLHHSSEPGSRGHHHERRYLGDFEDEGPPGVDTRFSSSYPPRYGNYDSPHSFHSPRDPIPRPRSPTLGRSNSDRGRSPLVYEDFASHGSYSSTHHSLSDRRTSPRIHGSARFESEIDERWENSYPDYSSRSNSHRYRSRW >EOY04289 pep chromosome:Theobroma_cacao_20110822:4:23195994:23203169:-1 gene:TCM_019539 transcript:EOY04289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich spliceosome-associated family protein / zinc knuckle family protein, putative isoform 1 MEGQDIINLPASSNSSGSESGELRDLDDGPCQVGSQPNNAETKDGEGKVESLEVNEGVIKNPQSDLIVETEVDNTLVDDSSDMQISDEITETVRVKETLEGLSFGAHSAYFTADEKMDGLSSSVPTKKRRLDAQNGSPIQNDMMDGIPISGVKRPRMTFDDQQPSVHIVYNFLTRASKQKLEELLQKWSEWQAEHGTLSPDENELIESGEETYFPALRVGAEKPSTVSFWIDNQTRNPRDTEIITLDSNIVPLYDRGYAMCLTSADGSSNLEGGLEIKDDASRCFNCGSYSHSLKQCPKPRDNLAVNAARKQHYKSKRNQNTGSRNAIRYYQSSQGGKYDDLKPGVLSADTRQLLGLGEFDPPPWLNRMREIGYPTGYLAPDDEDQPSGITIYADGETNEEQEDGEITEVVHAEPEKKMTVEFPGINAPIPVEADEKLWAPGSSSSESSRSRSHRRLHHSSEPGSRGHHHERRYLGDFEDEGPPGVDTRFSSSYPPRYGNYDSPHSFHSPRDPIPRPRSPTLGRSNSDRGRSPLVYEDFASHGSYSSTHHSLSDRRTSPRIHGSARFESEIDERWENSYPDYSSRSNSHRYRSRW >EOY02266 pep chromosome:Theobroma_cacao_20110822:4:205977:213259:-1 gene:TCM_016792 transcript:EOY02266 gene_biotype:protein_coding transcript_biotype:protein_coding description:KANADI like transcription factor, putative isoform 1 MPLEGVFVEPSSNPIPDLSLHISPPKASTSMICSKSSEVIDTSFNLLSGHEVSKSNSGTSMISDSQAYTELSLARPHPANVMEQERQCRRNSTGGAEQPSHTPLHLTHRHPHPTNTHLNHINHGVSLLDVSNGARPIKGIPVYQNRSFPFPTLENLSRERDPKMCFYQIPYPSSSLYSSSPSLSASPYFGGGLDPISILSSGSTQASPAYRSPATIRFNGISKDAFKSHQLHQQPQHSHYGIGSSDTSNGLIRSRFLPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSGQSDGSGEEDISTAVSGSERGLRGLTDQIGTSDGSLQQELDYPCNPTTTMWSNSSREAWLHANSNDIDGVRGPLSFQSNRTEESCDSTPLLNCKNPSLEFTLGRPDWHGTQHKVTIVNLIATPLFNFTEINLIAVAECKVEEIVIVPEIMNASSSPRLGSF >EOY02270 pep chromosome:Theobroma_cacao_20110822:4:207122:213147:-1 gene:TCM_016792 transcript:EOY02270 gene_biotype:protein_coding transcript_biotype:protein_coding description:KANADI like transcription factor, putative isoform 1 MPLEGVFVEPSSNPIPDLSLHISPPKASTSMICSKSSEVIDTSFNLLSGHEVSKSNSGTSMISDSQAYTELSLARPHPANVMEQERQCRRNSTGGAEQPSHTPLHLTHRHPHPTNTHLNHINHGVSLLDVSNGARPIKGIPVYQNRSFPFPTLENLSRERDPKMCFYQIPYPSSSLYSSSPSLSASPYFGGGLDPISILSSGSTQASPAYRSPATIRFNGISKDAFKSHQLHQQPQHSHYGIGSSDTSNGLIRSRFLPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSEESCDSTPLLNCKNPSLEFTLGRPDWHGTQHK >EOY02267 pep chromosome:Theobroma_cacao_20110822:4:207283:213395:-1 gene:TCM_016792 transcript:EOY02267 gene_biotype:protein_coding transcript_biotype:protein_coding description:KANADI like transcription factor, putative isoform 1 MPLEGVFVEPSSNPIPDLSLHISPPKASTSMICSKSSEVIDTSFNLLSGHEVSKSNSGTSMISDSQAYTELSLARPHPANVMEQERQCRRNSTGGAEQPSHTPLHLTHRHPHPTNTHLNHINHGVSLLDVSNGARPIKGIPVYQNRSFPFPTLENLSRERDPKMCFYQIPYPSSSLYSSSPSLSASPYFGGGLDPISILSSGSTQASPAYRSPATIRFNGISKDAFKSHQLHQQPQHSHYGIGSSDTSNGLIRSRFLPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSDGSGEEDISTAVSGSERGLRGLTDQIGTSDGSLQQELDYPCNPTTTMWSNSSREAWLHANSNDIDGVRGPLSFQSNRTEESCDSTPLLNCKNPSLEFTLGRPDWHGTQHK >EOY02269 pep chromosome:Theobroma_cacao_20110822:4:207509:212761:-1 gene:TCM_016792 transcript:EOY02269 gene_biotype:protein_coding transcript_biotype:protein_coding description:KANADI like transcription factor, putative isoform 1 MPLEGVFVEPSSNPIPDLSLHISPPKASTSMICSKSSEVIDTSFNLLSGHEVSKSNSGTSMISDSQAYTELSLARPHPANVMEQERQCRRNSTGGAEQPSHTPLHLTHRHPHPTNTHLNHINHGVSLLDVSNGARPIKGIPVYQNRSFPFPTLENLSRERDPKMCFYQIPYPSSSLYSSSPSLSASPYFGGGLDPISILSSGSTQASPAYRSPATIRFNGISKDAFKSHQLHQQPQHSHYGIGSSDTSNGLIRSRFLPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSDGSGEEDISTAVSGSERGLRGLTDQIGTSDGSLQQELDYPCNPTTTMWSNSSREAWLHANSNDIDGVRGPLSFQSNRTEVTLARKPSIYHMFDYYIW >EOY02268 pep chromosome:Theobroma_cacao_20110822:4:207148:213137:-1 gene:TCM_016792 transcript:EOY02268 gene_biotype:protein_coding transcript_biotype:protein_coding description:KANADI like transcription factor, putative isoform 1 MPLEGVFVEPSSNPIPDLSLHISPPKASTSMICSKSSEVIDTSFNLLSGHEVSKSNSGTSMISDSQAYTELSLARPHPANVMEQERQCRRNSTGGAEQPSHTPLHLTHRHPHPTNTHLNHINHGVSLLDVSNGARPIKGIPVYQNRSFPFPTLENLSRERDPKMCFYQIPYPSSSLYSSSPSLSASPYFGGGLDPISILSSGSTQASPAYRSPATIRFNGISKDAFKSHQLHQQPQHSHYGIGSSDTSNGLIRSRFLPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQQMYRTVKTTDKPAASSDGSGEEDISTAVSGSERGLRGLTDQIGTSDGSLQQELDYPCNPTTTMWSNSSREAWLHANSNDIDGVRGPLSFQSNRTEESCDSTPLLNCKNPSLEFTLGRPDWHGTQHKHT >EOY02305 pep chromosome:Theobroma_cacao_20110822:4:354456:358759:-1 gene:TCM_016821 transcript:EOY02305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MSIKFHHHSFVSSSVREMAVSSWITRTKFPSIHHFLQFSPVVPTPLPTLRFLARDKGVNLSNPGRSFSRLFVVNSSFPTDSLNTHQGIWEDPDDGSGSEYDEEEEVEENDESGFQEGNDVGVVDKYTGNQYEEDLVKEVEQLLGPEEKAILQQNSSPNLSKISTAKWKPLQTLALSLQIPFMDKLLEDGLDIDEVDKDGQTALHKAIIGKKEAVISHLLRKGASPHVKDKDGAAPLHYAVHVGAMQTVKLLLKCNVDVNVTDRDGWTPLHIAVQSRNRDIAKVLLINGADKTRKNKDGQTALDLSLCYGKDFKSYDLAKLLKILPVDRDF >EOY06503 pep chromosome:Theobroma_cacao_20110822:4:32113122:32116536:-1 gene:TCM_021201 transcript:EOY06503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine-tRNA ligases,nucleic acid binding,ligases, forming aminoacyl-tRNA and related compounds,nucleotide binding,ATP binding isoform 2 MELTTPTKLEYYDQMSKLQSKTTLLSYFKGDDGREALILDSTIFHPQGGGQPSDTGFITIANSNHRFCVQDVRSKDGVVFHYGVAEDSSKESELEQGKGKEVSLQVDESRRRLNSRLHLAGHLLDICRRNVGLGHLEPGKGYHFPDGPFVEYKGTIPPNELQSKQRELEMEVNALISKGGKVYATVLPYEEAAELCGGSLPDYIPQGSNPRIIKIGDNPGCPCGGTHVSDISEITSLKVSQIRTKKGMTKVFYTIGT >EOY06501 pep chromosome:Theobroma_cacao_20110822:4:32113321:32116575:-1 gene:TCM_021201 transcript:EOY06501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine-tRNA ligases,nucleic acid binding,ligases, forming aminoacyl-tRNA and related compounds,nucleotide binding,ATP binding isoform 2 MELTTPTKLEYYDQMSKLQSKTTLLSYFKGDDGREALILDSTIFHPQGGGQPSDTGFITIANSNHRFCVQDVRSKDGVVFHYGVAEDSSKESELEQGKGKEVSLQVDESRRRLNSRLHLAGHLLDICRRNVGLGHLEPGKGYHFPDGPFVEYKGTIPPNELQSKQRELEMEVNALISKGGKVYATVLPYEEAAELCGGSLPDYIPQGSNPRIIKIGDNPGCPCGGTHVSDISEITSLKNHFGSTW >EOY06500 pep chromosome:Theobroma_cacao_20110822:4:32113296:32116583:-1 gene:TCM_021201 transcript:EOY06500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine-tRNA ligases,nucleic acid binding,ligases, forming aminoacyl-tRNA and related compounds,nucleotide binding,ATP binding isoform 2 MELTTPTKLEYYDQMSKLQSKTTLLSYFKGDDGREALILDSTIFHPQGGGQPSDTGFITIANSNHRFCVQDVRSKDGVVFHYGVAEDSSKESELEQGKGKEVSLQVDESRRRLNSRLHLAGHLLDICRRNVGLGHLEPGKGYHFPDGPFVEYKGTIPPNELQSKQRELEMEVNALISKGGKVYATVLPYEEAAELCGGSLPDYIPQGSNPRIIKIGDNPGCPCGGTHVSDISEITSLKVSQIRTKKGMTKVFYTIGT >EOY06504 pep chromosome:Theobroma_cacao_20110822:4:32113122:32116531:-1 gene:TCM_021201 transcript:EOY06504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine-tRNA ligases,nucleic acid binding,ligases, forming aminoacyl-tRNA and related compounds,nucleotide binding,ATP binding isoform 2 MELTTPTKLEYYDQMSKLQSKTTLLSYFKGDDGREALILDSTIFHPQGGGQPSDTGFITIANSNHRFCVQDVRSKDGVVFHYGVAEDSSKESELEQGKGKEVSLQVDESRRRLNSRRNVGLGHLEPGKGYHFPDGPFVEYKGTIPPNELQSKQRELEMEVNALISKGGKVYATVLPYEEAAELCGGSLPDYIPQGSNPRIIKIGDNPGCPCGGTHVSDISEITSLKVSQIRTKKGMTKVFYTIGT >EOY06502 pep chromosome:Theobroma_cacao_20110822:4:32113549:32116531:-1 gene:TCM_021201 transcript:EOY06502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine-tRNA ligases,nucleic acid binding,ligases, forming aminoacyl-tRNA and related compounds,nucleotide binding,ATP binding isoform 2 MELTTPTKLEYYDQMSKLQSKTTLLSYFKGDDGREALILDSTIFHPQGGGQPSDTGFITIANSNHRFCVQDVRSKDGVVFHYGVAEDSSKESELEQGKGKEVSLQVDESRRRLNSRRNVGLGHLEPGKGYHFPDGPFVEYKGTIPPNELQSKQRELEMEVNALISKGGKVYATVLPYEEAAELCGGSLPDYIPQGSNPRIIKIGDNPGCPCGGTHVSDISEITSLKNHFGSTW >EOY05747 pep chromosome:Theobroma_cacao_20110822:4:29655035:29660988:1 gene:TCM_020670 transcript:EOY05747 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase, mitochondrial (SUV3) isoform 3 MIRKYSSGKSAAKFDFTDLTCPHTWYPNARKKNRKVFLHVGPTNSGKTYHALKRLESSPSGIYCGPLRLLAWEVSKRLNKAKVPCDLITGQEKEEVDGAKHKAVTVEMADVTADYDCAIIDEIQMLGCKTRGFSFTRALLGIAADELHLCGDAAAVALIQELLKVTGDDVQVESYERLSPLVPLEVPLGSFSNIRTGDCIVTFSRKEIYQLKKRIESRGKHLCSVVYGSLPPETRTRQATMFNDTSSEFDVLVASDAIGMGLNLNISRVIFSTLKKFDGSEMRDLTVPEIKQIAGRAGRYGSKFPMGEVTCLDADDLPLLHSSLKSPSPILEQAGLFPSFDLIFMYSRLQPKRGLYQILEHFIENAKLSENYFIANCEEQLKVAAIIDELPLRLQDKYLFCIS >EOY05746 pep chromosome:Theobroma_cacao_20110822:4:29655035:29660988:1 gene:TCM_020670 transcript:EOY05746 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase, mitochondrial (SUV3) isoform 3 MIRKYSSGKSAAKFDFTDLTCPHTWYPNARKKNRKVFLHVGPTNSGKTYHALKRLESSPSGIYCGPLRLLAWEVSKRLNKAKVPCDLITGQEKEEVDGAKHKAVTVEMADVTADYDCAIIDEIQMLGCKTRGFSFTRALLGIAADELHLCGDAAAVALIQELLKVTGDDVQVESYERLSPLVPLEVPLGSFSNIRTGDCIVTFSRKEIYQLKKRIESRGKHLCSVVYGSLPPETRTRQATMFNDTSSEFDVLVASDAIGMGLNLNISRVIFSTLKKFDGSEMRDLTVPEIKQIAGRAGRYGSKFPMGEVTCLDADDLPLLHSSLKSPSPILEQAGLFPSFDLIFMYSRLQPKRGLYQILEHFIENAKLSENYFIANCEEQLKVAAIIDELPLRLQDKYLFCISPVDMNDEISSQGLTQFAENYAKKGLVHLREIFTPGTLQVPKTHSALKELESIHKVLELYVWLSFRLEDSFPDRELASSQKAICSLLIEEFLERLGWQKPTSRRLTSSATLNSLIANKRRHYLCD >EOY05749 pep chromosome:Theobroma_cacao_20110822:4:29654870:29661006:1 gene:TCM_020670 transcript:EOY05749 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase, mitochondrial (SUV3) isoform 3 MASLLLRQRRVSALGVSRILQDNGDSFRLRLESKFETFASIGVMIRKYSSGKSAAKFDFTDLTCPHTWYPNARKKNRKVFLHVGPTNSGKTYHALKRLESSPSGIYCGPLRLLAWEVSKRLNKAKVPCDLITGQEKEEVDGAKHKAVTVEMADVTADYDCAIIDEIQMLGCKTRGFSFTRALLGIAADELHLCGDAAAVALIQELLKVTGDDVQVESYERLSPLVPLEVPLGSFSNIRTGDCIVTFSRKEIYQLKKRIESRGKHLCSVVYGSLPPETRTRQATMFNDTSSEFDVLVASDAIGMGLNLNISRVIFSTLKKFDGSEMRDLTVPEIKQIAGRAGRYGSKFPMGEVTCLDADDLPLLHSSLKSPSPILEQAGLFPSFDLIFMYSRLQPKRGLYQILGAFYRECEII >EOY05748 pep chromosome:Theobroma_cacao_20110822:4:29654870:29661006:1 gene:TCM_020670 transcript:EOY05748 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase, mitochondrial (SUV3) isoform 3 MASLLLRQRRVSALGVSRILQDNGDSFRLRLESKFETFASIGVMIRKYSSGKSAAKFDFTDLTCPHTWYPNARKKNRKVFLHVGPTNSGKTYHALKRLESSPSGIYCGPLRLLAWEVSKRLNKAKVPCDLITGQEKEEVDGAKHKAVTVEMADVTADYDCAIIDEIQMLGCKTRGFSFTRALLGIAADELHLCGDAAAVALIQELLKVTGDDVQVESYERLSPLVPLEVPLGSFSNIRTGDCIVTFSRKEIYQLKKRIESRGKHLCSVVYGSLPPETRTRQATMFNDTSSEFDVLVASDAIGMGLNLNISRVIFSTLKKFDGSEMRDLTVPEIKQIAGRAGRYGSKFPMGEVTCLDADDLPLLHSSLKSPSPILEQAGLFPSFDLIFMYSRLQPKRGLYQILEHFIENAKLSENYFIANCEEQLKVAAIIDELPLRLQDKYLFCISSPVDMNDEISSQGLTQFAENYAKKGLVHLREIFTPGTLQVPKTHSALKELESIHKVLELYVWLSFRLEDSFPDRELASSQKAICSLLIEEFLERLGWQKPTSRRLTSSATLNSLIANKRRHYLCD >EOY05745 pep chromosome:Theobroma_cacao_20110822:4:29650839:29661002:1 gene:TCM_020670 transcript:EOY05745 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase, mitochondrial (SUV3) isoform 3 MASLLLRQRRVSALGVSRILQDNGDSFRLRLESKFETFASIGVMIRKYSSGKSAAKFDFTDLTCPHTWYPNARKKNRKVFLHVGPTNSGKTYHALKRLESSPSGIYCGPLRLLAWEVSKRLNKAKVPCDLITGQEKEEVDGAKHKAVTVEMADVTADYDCAIIDEIQMLGCKTRGFSFTRALLGIAADELHLCGDAAAVALIQELLKVTGDDVQVESYERLSPLVPLEVPLGSFSNIRTGDCIVTFSRKEIYQLKKRIESRGKHLCSVVYGSLPPETRTRQATMFNDTSSEFDVLVASDAIGMGLNLNISRVIFSTLKKFDGSEMRDLTVPEIKQIAGRAGRYGSKFPMGEVTCLDADDLPLLHSSLKSPSPILEQAGLFPSFDLIFMYSRLQPKRGLYQILEHFIENAKLSENYFIANCEEQLKVAAIIDELPLRLQDKYLFCISPVDMNDEISSQGLTQFAENYAKKGLVHLREIFTPGTLQVPKTHSALKELESIHKVLELYVWLSFRLEDSFPDRELASSQKAICSLLIEEFLERLGWQKPTSRRLTSSATLNSLIANKRRHYLCD >EOY04303 pep chromosome:Theobroma_cacao_20110822:4:23573828:23578773:-1 gene:TCM_019572 transcript:EOY04303 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain isoform 1 MDNFDQKLGPDFLKNLSGETISPLSVVIHEDIYESSSKRPKTTSKVWDVFEKLPAQQGDSKAICKLCRRIYTAKTTSGTSHLRRHIEACLKRGNHDLDQRSTEACFKPVNRDANRHTVSQGTLIDATTPLKSYKLDVDEIRRAIAMMIIVDAQPFRVVEDTGFRHVLNVACPEFPLLSRKAIKRDIISIYVRERENIRELLGACPGRICLTSSTWKSNCDDHYNCVTAHFIDHEWRLQKRILRFKLIPPPYDSLSIADEIGLCMVQWNIEHKVFSVTLENLSSDDCVADILKTRLDAKKYHPFKGVFFNMSCSTRILNLIVQAGFNLIIDIIGKLRLGIKYVQQSPHRKKNFYIIAKTLNLDTQKKLCLDSPSRWNSTYNMIEVALCYKNAFLYLAEQDKNFIHKLSEDEWEKVSVSYKFLKVIFEVACIFFRNRQPTSNLYFKALWKVHRRLSDMVRGPENFMTRMVKEMQSKFNQYWSEYNLILSCAAILDPRYKIKFVEYCYTKLYGSGAQQYVSASVNTLYGLFHDYMQNSACPSHTATLSVLTTKISNDKDDNDGFEDYETFQSARFQTQVEKSQLDLYLDEPSHDLNSEIDVLEYWTLCSLRYPELSRMARDVLTIPVSTIASDNAFDIGPQVISTDRSSLKSKMIQALVCLQDWMLASDKTSSMESRTEDDSSSSSDGDDDY >EOY04304 pep chromosome:Theobroma_cacao_20110822:4:23575690:23579242:-1 gene:TCM_019572 transcript:EOY04304 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain isoform 1 MDNFDQKLGPDFLKNLSGETISPLSVVIHEDIYESSSKRPKTTSKVWDVFEKLPAQQGDSKAICKLCRRIYTAKTTSGTSHLRRHIEACLKRGNHDLDQRSTEACFKPVNRDANRHTVSQGTLIDATTPLKSYKLDVDEIRRAIAMMIIVDAQPFRVVEDTGFRHVLNVACPEFPLLSRKAIKRDIISIYVRERENIRELLGACPGRICLTSSTWKSNCDDHYNCVTAHFIDHEWRLQKRILRFKLIPPPYDSLSIADEIGLCMVQWNIEHKVFSVTLENLSSDDCVADILKTRLDAKKYHPFKGVFFNMSCSTRILNLIVQAGFNLIIDIIGKLRLGIKYVQQSPHRKKNFYIIAKTLNLDTQKKLCLDSPSRWNSTYNMIEVALCYKNAFLYLAEQDKNFIHKLSEDEWEKVSVSYKFLKVIFEVACIFFRNRQPTSNLYFKALWKVHRRLSDMVRGPENFMTRMVKEMQSKFNQYWSEYNLILSCAAILDPRYKIKFVEYCYTKLYGSGAQQYVSASVNTLYGLFHDYMQNSACPSHTATLSVLTTKISNDKDDNDGFEDYETFQSARFQTQVEKSQLDLYLDEPSHDLNSEIDVLEYWTLCSLRYPELSRMARDVLTIPVSTIASDNAFDIGPQVISTDRSSLKSKMIQALVCLQDWMLASDKTRGSGSMESRTED >EOY04302 pep chromosome:Theobroma_cacao_20110822:4:23573006:23578595:-1 gene:TCM_019572 transcript:EOY04302 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain isoform 1 MDNFDQKLGPDFLKNLSGETISPLSVVIHEDIYESSSKRPKTTSKVWDVFEKLPAQQGDSKAICKLCRRIYTAKTTSGTSHLRRHIEACLKRGNHDLDQRSTEACFKPVNRDANRHTVSQGTLIDATTPLKSYKLDVDEIRRAIAMMIIVDAQPFRVVEDTGFRHVLNVACPEFPLLSRKAIKRDIISIYVRERENIRELLGACPGRICLTSSTWKSNCDDHYNCVTAHFIDHEWRLQKRILRFKLIPPPYDSLSIADEIGLCMVQWNIEHKVFSVTLENLSSDDCVADILKTRLDAKKYHPFKGVFFNMSCSTRILNLIVQAGFNLIIDIIGKLRLGIKYVQQSPHRKKNFYIIAKTLNLDTQKKLCLDSPSRWNSTYNMIEVALCYKNAFLYLAEQDKNFIHKLSEDEWEKVSVSYKFLKVIFEVACIFFRNRQPTSNLYFKALWKVHRRLSDMVRGPENFMTRMVKEMQSKFNQYWSEYNLILSCAAILDPRYKIKFVEYCYTKLYGSGAQQYVSASVNTLYGLFHDYMQNSACPSHTATLSVLTTKISNDKDDNDGFEDYETFQSARFQTQVEKSQLDLYLDEPSHDLNSEIDVLEYWTLCSLRYPELSRMARDVLTIPVSTIASDNAFDIGPQVISTDRSSLKSKMIQALVCLQDWMLASDKTRGSGSMESRTEDDSSSSSDGDDDY >EOY06826 pep chromosome:Theobroma_cacao_20110822:4:33064923:33068377:-1 gene:TCM_021436 transcript:EOY06826 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S1, chloroplastic MASLAQQFTGLRCPPLSSSGLSMKPKQTQRVGAFASPIVSSVAISNAQTKGRLELKKMFEEAYERCRTAPMEGVSFTLEDFQNALEKYDFDSELGTKVKGTVFSTDANGALVDITAKSSAYLPVQEACIHKIKHVEEAGIVPGLREEFVIIGEIEADDSLVLSLRSIQFELAWERCRQLQAEDVVVKGKVVGANKGGVVALVEGLRGFVPFSQISSKTTAEELLDKEIPLKFVEVDEEQSRLVLSNRKAMADSQAQLGIGSVVLGNVQSLKPYGAFIDIGGINGLLHVSQISHDRVSDIATVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPTLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLSSDGILGPLTSDLPAEDRIVVITDDCKSNTQKKKNKIFLYMQKEKLSETSSCDLHIADNCSN >EOY02957 pep chromosome:Theobroma_cacao_20110822:4:3196891:3198875:1 gene:TCM_017352 transcript:EOY02957 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor-like protein isoform 2 MAAASAFAHHHRLNTQSDILPHQQATDQVSVLTEQDRSSLPAKDQGNVIRKRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEAAAAAYDAAALKFKGSKAKLNFPERVQGGGLHQFCFSACSNNNPIPVPNEQVPSSVSSSTPQLPPSLPNIAPSSLPHEAFPYLSQYMQLLSSDFTDNYWQYVESAGLHIQQPALFSQSSSSVTSSTTYSGMAQNQVGEDNELPRFSSPAQMGSFSSSSSSSSPSSHLFLNQGNDYSEGRKPKE >EOY02958 pep chromosome:Theobroma_cacao_20110822:4:3195105:3199212:1 gene:TCM_017352 transcript:EOY02958 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor-like protein isoform 2 MAAASAFAHHHRLNTQSDILPHQQATDQVSVLTEQDRSSLPAKDQVFLLWKGNVIRKRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEAAAAAYDAAALKFKGSKAKLNFPERVQGGGLHQFCFSACSNNNPIPVPNEQVPSSVSSSTPQLPPSLPNIAPSSLPHEAFPYLSQYMQLLSSDFTDNYWQYVESAGLHIQQPALFSQSSSSVTSSTTYSGMAQNQVGEDNELPRFSSPAQMGSFSSSSSSSSPSSHLFLNQGNDYSEGRKPKE >EOY06693 pep chromosome:Theobroma_cacao_20110822:4:32685444:32691798:1 gene:TCM_021342 transcript:EOY06693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein MIMNCSINESRPEIISLFHMAFNLGKYIHMQCVFYIKSLMVWGNQKHSPSDLIYFSMATFPSASNSSFMAAIILLLGLFAANLDITGAESVGVCYGMLGNNLPSAWEVIELYKSRNIRRMRLYDPNPAALQALRGSNIEVMLGVPNSDLQNLANPSNAQSWVQRNVVSYWPSVRFRYIAVGNEVSPVNGGTAWLAQFVLPALVNVFNAVRSAGLHNDIKVSIAIDMTLIGNSYPPSAGAFRGDVRSYLDPIIGHLAWASTPLLANIYPYFSHAGNPRDISLPYALFTSPSPVAWDQGRGYQNLFDAMLDALYSALENAGQPSLGVVVSESGWPSAGGFATSVENAQTYLSKLIGHVQGGTPKRPGRAIETYLFALFDENQKEPELEKHFGLFSPNKQPKYPLSFGGGRILDVSAEYNATISLKSDM >EOY05512 pep chromosome:Theobroma_cacao_20110822:4:28843308:28845791:-1 gene:TCM_020497 transcript:EOY05512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MFSSLKPKSSLLRSLKCLSTSATYSRTHRKPNDHELFLISSLKSAATHFSISQGQKTHCLVIKSGFNSNVFIQNTLLSMYTKCGSIDESERLFTCCPKLDPISYNIMISGFVKAGRFNDARSLFDEMPKKGCVSYTTMIMGFASKGFWGEALGIFKEMRNVGILPNEVTLASLISACSGFGGIFICRMLHGLAVKVLLDKFVIVSTNLLHMYCVCGNLRDGMELFNKMPERNVVSWNVMLNGYAKAGEVELARELFERIPRKEKDVVSWGTMVDACVQVDRLSEALIMFCAMLCEGVEASDVMIVDLVSACARVGAIQAGLQLHGRIVKAGFDCYNFVQATIIHFYAACGKVDLAYLQFEVGIKDHLASRNALIGAFIRNGMIEQARQLFSEMPERDVSSWSSIIAGYAQSEQPNVALQLFHEMVASGMQPNQITMVSVFSAIASLGILNEGRLAHEYVHNNSIPLNDILSAAIIDMYAKCGSISAALEVFYQIRDKTSTVSPWNAIIYGLAMHGHANLSLEIYSDLERRHHIKQNSITFIGVLTACCHAGLVELGETYFKSMKSAYNIDPGIKHYGCMVDLLGRAGRLEEAEKMIRSMPVKADVVIWGTLLAACRTHGNVDIGEKAAENLARLEPSHGGGKVLLSNLYADVGRWGDVLSVRGVMQSQRMRKSPGFSGVVM >EOY06008 pep chromosome:Theobroma_cacao_20110822:4:30600855:30602810:1 gene:TCM_020864 transcript:EOY06008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 2 MAQKAGSGLDIYRRFKPHLLMVLAQIGYTFLYIITEACFNHGMNPHVHITYRHIVAGIVMFPFAYFLERKTRPKLTFALFIEIFVLSILGVSLTLNMYFASLRYTSPTFLAAIVNTIASLTFIIAVVLRLEVVDLRNPRGIAKGLGTCVSLVGVTTMTLYKGPAIKNLWHPIIHIQGKSVIHENWVKGSILTVASCITWSIWYIMQAFTLKRYPAPLSLTTWMSFVGAAQSAVFTVIVQHKPAAWTIGFNIDFWATLYAGVVCSGLIIFIQLWCTEEKGPVFVTMFNPLSTILVAVLAYFVLGEKLYTGSILGGVIVIVGLYLLLWGKDRDQEARLGREEQSYSVNDQENDPKEQKSFSAEREGSQCEP >EOY06010 pep chromosome:Theobroma_cacao_20110822:4:30598392:30602752:1 gene:TCM_020864 transcript:EOY06010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 2 MTEVSKMQGSSLPTGFGCCHMHTVLRERLLKFSRLVIVGIIPGEPESVAGDYGAEGRLRFRHLQTVQATSPHAGIVMFPFAYFLERKTRPKLTFALFIEIFVLSILGVSLTLNMYFASLRYTSPTFLAAIVNTIASLTFIIAVVLRLEVVDLRNPRGIAKGLGTCVSLVGVTTMTLYKGPAIKNLWHPIIHIQGKSVIHENWVKGSILTVASCITWSIWYIMQAFTLKRYPAPLSLTTWMSFVGAAQSAVFTVIVQHKPAAWTIGFNIDFWATLYAGVVCSGLIIFIQLWCTEEKGPVFVTMFNPLSTILVAVLAYFVLGEKLYTGSILGGVIVIVGLYLLLWGKDRDQEARLGREEQSYSVNDQENDPKEQKSFSAEREGSQCEP >EOY06009 pep chromosome:Theobroma_cacao_20110822:4:30598647:30603104:1 gene:TCM_020864 transcript:EOY06009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 2 MFPFAYFLERKTRPKLTFALFIEIFVLSILGVSLTLNMYFASLRYTSPTFLAAIVNTIASLTFIIAVVLRLEVVDLRNPRGIAKGLGTCVSLVGVTTMTLYKGPAIKNLWHPIIHIQGKSVIHENWVKGSILTVASCITWSIWYIMQAFTLKRYPAPLSLTTWMSFVGAAQSAVFTVIVQHKPAAWTIGFNIDFWATLYAGVVCSGLIIFIQLWCTEEKGPVFVTMFNPLSTILVAVLAYFVLGEKLYTGSILGGVIVIVGLYLLLWGKDRDQEARLGREEQSYSVNDQENDPKEQKSFSAEREGSQC >EOY06011 pep chromosome:Theobroma_cacao_20110822:4:30600871:30602706:1 gene:TCM_020864 transcript:EOY06011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 2 MAQKAGSGLDIYRRFKPHLLMVLAQIGYTFLYIITEACFNHGMNPHVHITYRHIVAGIVMFPFAYFLERKTRPKLTFALFIEIFVLSILGVSLTLNMYFASLRYTSPTFLAAIVNTIASLTFIIAVVLRLEVVDLRNPRGIAKGLGTCVSLVGVTTMTLYKGPAIKNLWHPIIHIQGKSVIHENWVKGSILTVASCITWSIWYIMQAFTLKRYPAPLSLTTWMSFVGAAQSAVFTVIVQHKPAAWTIGFNIDFWATLYAGVVCSGLIIFIQLWCTEEKGPVFVTMFNPLSTILVAVLAYFVLGEKLYTGSILGGVIVIVGLYLLLWGKDRDQEARLGREEQSYSVNDQENDPKEQKSFSAEREGSQCEP >EOY03310 pep chromosome:Theobroma_cacao_20110822:4:12129759:12130924:-1 gene:TCM_018188 transcript:EOY03310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVGRSSRVGREGSVHMALVNERLGPGRNVSEPSSV >EOY05390 pep chromosome:Theobroma_cacao_20110822:4:28403864:28404765:1 gene:TCM_020400 transcript:EOY05390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSASEGIKIFTLQISVAFLMMTYFSPAVFLTAYPPTTIMLRSVSHGLSISLLSFSFYQFYTYSRVHIYIFSNKLSKSTVLTKSNKVSLHHMTVDARLIV >EOY06425 pep chromosome:Theobroma_cacao_20110822:4:31870307:31871603:1 gene:TCM_021149 transcript:EOY06425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGCGESKHVATGNTISRKNSRAESKRGKTSETIAETSKQGSNTSSILVQEEGKNVDQDSFNSRAVADEGKDIIESTELKKEENVETDKEKKSGVVGENEEPIQGIALEGMSGRSEYYSPREEAGQESLFNENVNLAEETKEKAVDEKESAQETKIQAKEEIVEDKKLAEETKEETVQEIILAEETKEEAKEDIVEGKNLAEDAKGQTVEGEKLAEKKTEETANGEREAVKEENLVKETETAETTEPEASTPVEKEEETAVAAPAEDLKTE >EOY06424 pep chromosome:Theobroma_cacao_20110822:4:31870273:31876261:1 gene:TCM_021149 transcript:EOY06424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGCGESKHVATGNTISRKNSRAESKRGKTSETIAETSKQGSNTSSILVQEEGKNVDQDSFNSRAVADEGKDIIESTELKKEENVETDKEKKSGVVGENEEPIQGIALEGMSGRSEYYSPREEAGQESLFNENVNLAEETKEKAVDEKESAQETKIQAKEEIVEDKKLAEETKEETVQEIILAEETKEEAKEDIVEGKNLAEDAKGQTVEGEKLAEKKTEETANGEREAVKEENLVKETETAETTEPEASTPVEKEEETAVAAPAEDLKTENQGFSETHITRMVKTHPSLLVCNAEKTLLPKIEFFRSKGTPCFDIEIGDTIKNMGFDPTGKRYLYALFVYSSMTKATWDKKVDLFKKLGWSEEEI >EOY03029 pep chromosome:Theobroma_cacao_20110822:4:3736036:3738933:1 gene:TCM_047036 transcript:EOY03029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic release factor 1-3 MADGHETDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDINRYVLKNSSTGEIVIKHLNKEQEADQSNFRDPATSAELEVQEKMPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDEVSDDGEVYDDSD >EOY02771 pep chromosome:Theobroma_cacao_20110822:4:2193702:2197793:-1 gene:TCM_017172 transcript:EOY02771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase bri1, putative MHLCISSNVGNNKKWSIFLELELRDMANTSASVWCSFFIMILSSELLYLEIIKFGSCESQNVSCIAKEREALLKFKEGLTDPSGRLSSWRGQDCCIWVGVQCSDKLGHHVTKLKLRNLDSNNPDMDVTSYALSGKIHPSLLDLQYLRYLDLSMNNFEGVSIPNFVGSLKTLRYLNLSGAFFGGPIPSFLGNLTNLHYLDLNSCFSDSNKNDLHWLSTLSKLKHLNLGSVDLSKVGTYWLQAVNMLPSLKELHLPACGLSILPLSLPLVNFSSLSVLDLSNNGFNSSIPSWLFNISGLEYLDLNSNNLRGEIPDGFAGMINLQNLDLSKNSFIEGKLSKRNLGSLCNLHVLDLSFNKITGDIGEFINGLSQCNNCSLESLHLGYNELHGILPDSLGHLRNLKHLFLMKNYFEGSIPESIGNLSSLQEFYVSENAMEGTIPRSLGQLSSLASLDVKGNQWHGVITEAHFSNLTSLKELSIAQMSRNITLIFNMSTNWIPPFKLTYINLKSCLVGPKFPEWLRNQDELKTVAVWNAGISGTIPKWFWELDLVLNELDFSYNQLTGTLPNTVTFMPQGIVFLNYNNFIGPLPIFSLNLASFHLDHNMFSGPIPHDIGERMPMLADVDLSFNSLNGSIPSSIREMSFLLTFVISDNQLTGKIPDIWNNIPDLYLIDMSNNSLSGDIPHSLGSLTALKYLRLSTNNLSGELSPTLQNCTLIETLDLGDNKLTGDIPTWIGETMPSLLILSLRSNMFTGDIPRQLCNLSLLHILDLGENNLSGSIPRCIGNMSGFSTIIKDNRYESQLWVVAKGRDLFYDVFLFLVNSIDLSSNNLSGDFPEELTNLSRLGTLNLSMNHLTGKIPSGIGRLQWLETLDLSRNQLSGMIPPSMVSLTSLNHLNISYNNLSGKIPSANQFQTFIDPSIYEGNNGLCGLPLPTRCNGDDDPTHSPGAGNKDTEDGDDNEMLWFYISMGPGFVVGFWGVCGPLILKKSWRRVYFQFLDDKKERVMVCVSLKLARLRRSMKKQETQV >EOY04434 pep chromosome:Theobroma_cacao_20110822:4:24296616:24306333:1 gene:TCM_019687 transcript:EOY04434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminophospholipid ATPase isoform 1 MELHNNNNTCTTFDISRGSSLVQDKLSKSRRVRNKSVDFDDNLLYSGNPRLIYINDPRRTNDKYEFTGNEIRTSKYTLITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNREALVLQLGGFRLKKWKKIRAGEVVKIHAHETIPCDMVLLGTSDPSGLAYIQTMNLDGESNLKTRYARQETASSVFEGCNVTGLIRCEQPNRNIYEFTANMEFNEQKFPLSQSNIVLRGCQLKNTDWIIGVVVYAGQETKAMLNSAVSPAKRSKLESYMNRETLWLSIFLLVMCSVVAVGMGLWLHRHKDKLDTLPYYRKRYLTNGKDKGKTYRYYGIPMETFFSLLSSIIVFQIMIPISLYITMELVRLGQSYFMIEDKHMYDSNSGSRFQCRSLNINEDLGQVRYVFSDKTGTLTENKMEFRNASVHGKNYGSSNLTDDLSEEHNIRAVLRSRWKLKSEISIDSELLDMLHKDLPGDERIAAHEFFLTLAACNTVIPIVSQDTSSGHGRSESWEDVEAIDYQGESPDEQALVSAASAYGYTLFERTSGHIVVDINGNKLRLDVLGLHEFDSVRKRMSVVIRFPNNTVKVLVKGADTSMFSILAKDTERDDQIRQATQSHLTEYSSVGLRTLVVAAKDLTDAELELWQCRYEDASTSLVDRAAKLRQTAALVECNLNLLGATAIEDKLQDGVPEAIEALRQAGIKVWVLTGDKQETAISIGLSCKLLTADMQQIIINGNSEEECRNLLADAKTRHGVQSSNRKKQNLKRKKNSENGYLDILDDTKSSNVLQRLAGREELAVRAPLALIIDGNSLVYILEKDLESELFSIATSCRVVLCCRVAPLQKAGIVDLIKSRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRVGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSVIYTSVPTIVVGILDKDLSHRTLLQYPKLYGAGHRHEAYNLQLFWITMIDTLWQSLVLFYIPLFMYKESSIDIWSMGSLWTIAVVVLVNIHLAMDIRRWVFITHVAVWGSIMITYACMVVLDSIPIFPNYWTIYHLATSPTYWLTILLIIIVALLPRFLVKVVHQIFWPSDIQIAREAEILR >EOY04435 pep chromosome:Theobroma_cacao_20110822:4:24296616:24306333:1 gene:TCM_019687 transcript:EOY04435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminophospholipid ATPase isoform 1 MELHNNNNTCTTFDISRGSSLVQDKLSKSRRVRNKSVDFDDNLLYSGNPRLIYINDPRRTNDKYEFTGNEIRTSKYTLITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNREALVLQLGGFRLKKWKKIRAGEVVKIHAHETIPCDMVLLGTSDPSGLAYIQTMNLDGESNLKTRYARQETASSVFEGCNVTGLIRCEQPNRNIYEFTANMEFNEQKFPLSQSNIVLRGCQLKNTDWIIGVVVYAGQETKAMLNSAVSPAKRSKLESYMNRETLWLSIFLLVMCSVVAVGMGLWLHRHKDKLDTLPYYRKRYLTNGKDKGKTYRYYGIPMETFFSLLSSIIVFQIMIPISLYITMELVRLGQSYFMIEDKHMYDSNSGSRFQCRSLNINEDLGQVRYVFSDKTGTLTENKMEFRNASVHGKNYGSSNLTDDLSEEHNIRAVLRSRWKLKSEISIDSELLDMLHKDLPGDERIAAHEFFLTLAACNTVIPIVSQDTSSGHGRSESWEDVEAIDYQGESPDEQALVSAASAYGYTLFERTSGHIVVDINGNKLRLDVLGLHEFDSVRKRMSVVIRFPNNTVKVLVKGADTSMFSILAKDTERDDQIRQATQSHLTEYSSVGLRTLVVAAKDLTDAELELWQCRYEDASTSLVDRAAKLRQTAALVECNLNLLGATAIEDKLQDGVPEAIEALRQAGIKVWVLTGDKQETAISIGLSCKLLTADMQQIIINGNSEEECRNLLADAKTRHGVQSSNRKKQNLKRKKNSENGYLDILDDTKSSNVLQRLAGREELAVRAPLALIIDGNSLVYILEKDLESELFSIATSCRVVLCCRVAPLQKAGIVDLIKSRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRVGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSVIYTSVPTIVVGILDKDLSHRTLLQYPKLYGAGHRHEAYNLQLFWITMIDTLWQSLVLFYIPLFMYKESSIDIWSMGSLWTIAVVVLVNIHLAMDIRRWVFITHVAVWGSIMITYACMVVLDSIPIFPNYWTIYHLATSPTYWLTILLIIIVALLPRFLVKVVHQIFWPSDIQIAREAEILR >EOY04431 pep chromosome:Theobroma_cacao_20110822:4:24297038:24305845:1 gene:TCM_019687 transcript:EOY04431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminophospholipid ATPase isoform 1 MGEFVLLMISGHPLLSSSESSSVVVHRRGNSSCNLPSFRCLCHNVSFSSSTFDETQSRFLELKDQQEEDQEQEETAVFAGAAPRSHLKPLTSLAHSLSVATSKQLYSADSGFFQHFSLECPTKDRGSQVSWGSMELHNNNNTCTTFDISRGSSLVQDKLSKSRRVRNKSVDFDDNLLYSGNPRLIYINDPRRTNDKYEFTGNEIRTSKYTLITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNREALVLQLGGFRLKKWKKIRAGEVVKIHAHETIPCDMVLLGTSDPSGLAYIQTMNLDGESNLKTRYARQETASSVFEGCNVTGLIRCEQPNRNIYEFTANMEFNEQKFPLSQSNIVLRGCQLKNTDWIIGVVVYAGQETKAMLNSAVSPAKRSKLESYMNRETLWLSIFLLVMCSVVAVGMGLWLHRHKDKLDTLPYYRKRYLTNGKDKGKTYRYYGIPMETFFSLLSSIIVFQIMIPISLYITMELVRLGQSYFMIEDKHMYDSNSGSRFQCRSLNINEDLGQVRYVFSDKTGTLTENKMEFRNASVHGKNYGSSNLTDDLSEEHNIRAVLRSRWKLKSEISIDSELLDMLHKDLPGDERIAAHEFFLTLAACNTVIPIVSQDTSSGHGRSESWEDVEAIDYQGESPDEQALVSAASAYGYTLFERTSGHIVVDINGNKLRLDVLGLHEFDSVRKRMSVVIRFPNNTVKVLVKGADTSMFSILAKDTERDDQIRQATQSHLTEYSSVGLRTLVVAAKDLTDAELELWQCRYEDASTSLVDRAAKLRQTAALVECNLNLLGATAIEDKLQDGVPEAIEALRQAGIKVWVLTGDKQETAISIGLSCKLLTADMQQIIINGNSEEECRNLLADAKTRHGVQSSNRKKQNLKRKKNSENGYLDILDDTKSSNVLQRLAGREELAVRAPLALIIDGNSLVYILEKDLESELFSIATSCRVVLCCRVAPLQKAGIVDLIKSRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRVGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSVIYTSVPTIVVGILDKDLSHRTLLQYPKLYGAGHRHEAYNLQLFWITMIDTLWQSLVLFYIPLFMYKESSIDIWSMGSLWTIAVVVLVNIHLAMDIRRWVFITHVAVWGSIMITYACMVVLDSIPIFPNYWTIYHLATSPTYWLTILLIIIVALLPRFLVKVVHQIFWPSDIQIAREAEILR >EOY04433 pep chromosome:Theobroma_cacao_20110822:4:24296627:24306201:1 gene:TCM_019687 transcript:EOY04433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminophospholipid ATPase isoform 1 MGEFVLLMISGHPLLSSSESSSVVVHRRGNSSCNLPSFRCLCHNVSFSSSTFDETQSRFLELKDQQEEDQEQEETAVFAGAAPRSHLKPLTSLAHSLSVATSKQLYSADSGFFQHFSLECPTKDRGSQVSWGSMELHNNNNTCTTFDISRGSSLVQDKLSKSRRVRNKSVDFDDNLLYSGNPRLIYINDPRRTNDKYEFTGNEIRTSKYTLITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNREALVLQLGGFRLKKWKKIRAGEVVKIHAHETIPCDMVLLGTSDPSGLAYIQTMNLDGESNLKTRYARQETASSVFEGCNVTGLIRCEQPNRNIYEFTANMEFNEQKFPLSQSNIVLRGCQLKNTDWIIGVVVYAGQETKAMLNSAVSPAKRSKLESYMNRETLWLSIFLLVMCSVVAVGMGLWLHRHKDKLDTLPYYRKRYLTNGKDKGKTYRYYGIPMETFFSLLSSIIVFQIMIPISLYITMELVRLGQSYFMIEDKHMYDSNSGSRFQCRSLNINEDLGQVRYVFSDKTGTLTENKMEFRNASVHGKNYGSSNLTDDLSEEHNIRAVLRSRWKLKSEISIDSELLDMLHKDLPGDERIAAHEFFLTLAACNTVIPIVSQDTSSGHGRSESWEDVEAIDYQGESPDEQALVSAASAYGYTLFERTSGHIVVDINGNKLRLDVLGLHEFDSVRKRMSVVIRFPNNTVKVLVKGADTSMFSILAKDTERDDQIRQATQSHLTEYSSVGLRTLVVAAKDLTDAELELWQCRYEDASTSLVDRAAKLRQTAALVECNLNLLGATAIEDKLQDGVPEAIEALRQAGIKVWVLTGDKQETAISIGLSCKLLTADMQQIIINGNSEEECRNLLADAKTRHGVQSSNRKKQNLKRKKNSENGYLDILDDTKSSNVLQRLAGREELAVRAPLALIIDGNSLVYILEKDLESELFSIATSCRVVLCCRVAPLQKAGIVDLIKSRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRVGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSVIYTSVPTIVVGILDKDLSHRTLLQYPKLYGAGHRHEAYNLQLFWITMIDTLWQSLVLFYIPLFMYKESSIDIWSMGSLWTIAVVVLVNIHLAMDIRRWVFITHVAVWGSIMITYACMVVLDSIPIFPNYWTIYHLATSPTYWLTILLIIIVALLPRFLVKVVHQIFWPSDIQIAREAEILR >EOY04436 pep chromosome:Theobroma_cacao_20110822:4:24297038:24306404:1 gene:TCM_019687 transcript:EOY04436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminophospholipid ATPase isoform 1 MGEFVLLMISGHPLLSSSESSSVVVHRRGNSSCNLPSFRCLCHNVSFSSSTFDETQSRFLELKDQQEEDQEQEETAVFAGAAPRSHLKPLTSLAHSLSVATSKQLYSADSGFFQHFSLECPTKDRGSQVSWGSMELHNNNNTCTTFDISRGSSLVQDKLSKSRRVRNKSVDFDDNLLYSGNPRLIYINDPRRTNDKYEFTGNEIRTSKYTLITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNREALVLQLGGFRLKKWKKIRAGEVVKIHAHETIPCDMVLLGTSDPSGLAYIQTMNLDGESNLKTRYARQETASSVFEGCNVTGLIRCEQPNRNIYEFTANMEFNEQKFPLSQSNIVLRGCQLKNTDWIIGVVVYAGQETKAMLNSAVSPAKRSKLESYMNRETLWLSIFLLVMCSVVAVGMGLWLHRHKDKLDTLPYYRKRYLTNGKDKGKTYRYYGIPMETFFSLLSSIIVFQIMIPISLYITMELVRLGQSYFMIEDKHMYDSNSGSRFQCRSLNINEDLGQVRYVFSDKTGTLTENKMEFRNASVHGKNYGSSNLTDDLSEEHNIRAVLRSRWKLKSEISIDSELLDMLHKDLPGDERIAAHEFFLTLAACNTVIPIVSQDTSSGHGRSESWEDVEAIDYQGESPDEQALVSAASAYGYTLFERTSGHIVVDINGNKLRLDVLGLHEFDSVRKRMSVVIRFPNNTVKVLVKGADTSMFSILAKDTERDDQIRQATQSHLTEYSSVGLRTLVVAAKDLTDAELELWQCRYEDASTSLVDRAAKLRQTAALVECNLNLLGATAIEDKLQDGVPEAIEALRQAGIKVWVLTGDKQETAISIGLSCKLLTADMQQIIINGNSEEECRNLLADAKTRHGVQSSNRKKQNLKRKKNSENGYLDILDDTKSSNVLQRLAGREELAVRAPLALIIDGNSLVYILEKDLESELFSIATSCRVVLCCRVAPLQKAGIVDLIKSRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRVGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSVIYTSVPTIVVGILDKDLSHRTLLQYPKLYGAGHRHEAYNLQLFWITMIDTLWQSLVLFYIPLFMYKESSIDIWSMGSLWTIAVVVLVNIHLAMDIRRWVFITHVAVWGSIMITYACMVVLDSIPIFPNYWTIYHLATSPTYWLTILLIIIVALLPRFLVKVVHQIFWPSDIQIAREAEILR >EOY04432 pep chromosome:Theobroma_cacao_20110822:4:24295536:24305843:1 gene:TCM_019687 transcript:EOY04432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminophospholipid ATPase isoform 1 MELHNNNNTCTTFDISRGSSLVQDKLSKSRRVRNKSVDFDDNLLYSGNPRLIYINDPRRTNDKYEFTGNEIRTSKYTLITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNREALVLQLGGFRLKKWKKIRAGEVVKIHAHETIPCDMVLLGTSDPSGLAYIQTMNLDGESNLKTRYARQETASSVFEGCNVTGLIRCEQPNRNIYEFTANMEFNEQKFPLSQSNIVLRGCQLKNTDWIIGVVVYAGQETKAMLNSAVSPAKRSKLESYMNRETLWLSIFLLVMCSVVAVGMGLWLHRHKDKLDTLPYYRKRYLTNGKDKGKTYRYYGIPMETFFSLLSSIIVFQIMIPISLYITMELVRLGQSYFMIEDKHMYDSNSGSRFQCRSLNINEDLGQVRYVFSDKTGTLTENKMEFRNASVHGKNYGSSNLTDDLSEEHNIRAVLRSRWKLKSEISIDSELLDMLHKDLPGDERIAAHEFFLTLAACNTVIPIVSQDTSSGHGRSESWEDVEAIDYQGESPDEQALVSAASAYGYTLFERTSGHIVVDINGNKLRLDVLGLHEFDSVRKRMSVVIRFPNNTVKVLVKGADTSMFSILAKDTERDDQIRQATQSHLTEYSSVGLRTLVVAAKDLTDAELELWQCRYEDASTSLVDRAAKLRQTAALVECNLNLLGATAIEDKLQDGVPEAIEALRQAGIKVWVLTGDKQETAISIGLSCKLLTADMQQIIINGNSEEECRNLLADAKTRHGVQSSNRKKQNLKRKKNSENGYLDILDDTKSSNVLQRLAGREELAVRAPLALIIDGNSLVYILEKDLESELFSIATSCRVVLCCRVAPLQKAGIVDLIKSRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRVGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSVIYTSVPTIVVGILDKDLSHRTLLQYPKLYGAGHRHEAYNLQLFWITMIDTLWQSLVLFYIPLFMYKESSIDIWSMGSLWTIAVVVLVNIHLAMDIRRWVFITHVAVWGSIMITYACMVVLDSIPIFPNYWTIYHLATSPTYWLTILLIIIVALLPRFLVKVVHQIFWPSDIQIAREAEILR >EOY04798 pep chromosome:Theobroma_cacao_20110822:4:25966942:25980256:1 gene:TCM_019975 transcript:EOY04798 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding ASCH domain protein, putative isoform 4 MERLGECIEELLKFTLQSHINKSLNFELRLSPEFCSGLLNSDPADPNPGPPDILKGVPSYPLYKHLALALYQSIVSGSICNTQHNLGLMHDEISTKQKEEWNKLVSNKGLELINIMDNIEFELHVQEPFFSMLRDGLKTIEGRCAGGKYNNIEPGAMILFNKCLVLEVQDVHRYATFSKMLEAESLLQVLPGVKSTEEGLQIYRKFYTEEKESSNGVFAICVSNLVAQPSILLASILSELSYEGVQRLLALAHTPGTISGALPPPKSTLLLSFMLPYNPDVRGSTLTHGARALSKHADRSSSKYWGNLNGSDSNKNNLAMGVITHLITNCCWLNVYIVQLHGAVFEIRVGEGYGARWSKDGTKFVGFLEPYMDDGHLKGWKH >EOY04796 pep chromosome:Theobroma_cacao_20110822:4:25966942:25979138:1 gene:TCM_019975 transcript:EOY04796 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding ASCH domain protein, putative isoform 4 MERLGECIEELLKFTLQSHINKSLNFELRLSPEFCSGLLNSDPADPNPGPPDILKGVPSYPLYKHLALALYQSIVSGSICNTQHNLGLMHDEISTKQKEEWNKLVSNKGLELINIMDNIEFELHVQEPFFSMLRDGLKTIEGRCAGGKYNNIEPGAMILFNKCLVLEVQDVHRYATFSKMLEAESLLQVLPGVKSTEEGLQIYRKFYTEEKESSNGVFAICVSNLVAQPSILLASILSELSYEGVQRLLALAHTPGTISGALPPPKSTLLLSFMLPYNPDVRGSTLTHGARALSKHADRSSSKYWGNLNGSDSNKNNLAMGVITHLITNCCWLNVYIVQLHGAVFEIRVGEGYGARWSKDGTKFVGFLEPYMDDGHLKGWKH >EOY04797 pep chromosome:Theobroma_cacao_20110822:4:25966942:25980256:1 gene:TCM_019975 transcript:EOY04797 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding ASCH domain protein, putative isoform 4 MERLGECIEELLKFTLQSHINKSLNFELRLSPEFCSGLLNSDPADPNPGPPDILKGVPSYPLYKHLALALYQSIVSGSICNTQHNLGLMHDEISTKQKEEWNKLVSNKGLELINIMDNIEFELHVQEPFFSMLRDGLKTIEGRCAGGKYNNIEPGAMILFNKCLVLEVQDVHRYATFSKMLEAESLLQVLPGVKSTEEGLQIYRKFYTEEKESSNGVFAICVSNLVAQPSILLASILSELSYEGVQRLLALAHTPGTISGALPPPKSTLLLSFMLPYNPDVRGSTLTHGARALSKHADRSSSKYWGNLNGSDSNKNNLAMGVITHLITNCCWLNVYIVQLHGAVFEIRVGEGYGARWSKDGTKFVGFLEPYMDDGHLKGWKH >EOY04800 pep chromosome:Theobroma_cacao_20110822:4:25966942:25980256:1 gene:TCM_019975 transcript:EOY04800 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding ASCH domain protein, putative isoform 4 MERLGECIEELLKFTLQSHINKSLNFELRLSPEFCSGLLNSDPADPNPGPPDILKGVPSYPLYKHLALALYQSIVSGSICNTQHNLGLMHDEISTKQKEEWNKLVSNKGLELINIMDNIEFELHVQEPFFSMLRDGLKTIEGRCAGGKYNNIEPGAMILFNKCLVLEVQDVHRYATFSKMLEAESLLQVLPGVKSTEEGLQIYRKFYTEEKESSNGVFAICVSNLVAQPSILLASILSELSYEGVQRLLALAHTPGTISGALPPPKSTLLLSFMLPYNPDVRGSTLTHGARALSKHADRSSNSNKNNLAMGVITHLITNCCWLNVYIVQLHGAVFEIRVGEGYGARWSKDGTKFVGFLEPYMDDGHLKGWKH >EOY04799 pep chromosome:Theobroma_cacao_20110822:4:25966942:25978571:1 gene:TCM_019975 transcript:EOY04799 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding ASCH domain protein, putative isoform 4 MERLGECIEELLKFTLQSHINKSLNFELRLSPEFCSGLLNSDPADPNPGPPDILKGVPSYPLYKHLALALYQSIVSGSICNTQHNLGLMHDEISTKQKEEWNKLVSNKGLELINIMDNIEFELHVQEPFFSMLRDGLKTIEGRCAGGKYNNIEPGAMILFNKCLVLEVQDVHRYATFSKMLEAESLLQVLPGVKSTEEGLQIYRKFYTEEKESSNGVFAICVSNLVAQPSILLASILSELSYEGVQRLLALAHTPGTISGALPPPKSTLLLSFMLPYNPDVRGSTLTHGARALSKHADRSSNSNKNNLAMGVITHLITNCCWLNVYIVQLHGAVFEIRVGEGYGARWSKDGTKFVGFLEPYMDDGHLKGWKH >EOY04315 pep chromosome:Theobroma_cacao_20110822:4:23809865:23860771:-1 gene:TCM_019599 transcript:EOY04315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylcysteine methylesterase MTSLSPPVHHQRPRGGNESPLDLDVRCLICRFLGSPFGTSSNHDQLQLRRKPARMERQPSFSREIGHAAAETYLVTRLSFTLLRYLGVGYRWISRLVGLACYALLLMPGFLQVAYCYFFSSQVHRSIVYGDQPRNRLDLYLPTNRNGPKPVVVFVTGGAWIIGYKAWGSLLGLQLAERDIIVACVDYRNFPQGTISDMVKDVSQGISFVCNIIGEYGGDPNRIYLMGQSAGAHVSACVLLEQAIRESRGENTSWSVSQIKAYFGLSGGYNLFNLVDHFHNRGLYRSIFLSIMEGEESFEQFSPEVRIKDPSSRDAASLLPPIKLFHGTSDYSIPSDASINFVDALKGVGADAELILYEGKSHTDLFLQDPLRGGKDDLFDHLVAVIHAGDEEALAKDALAPPRKRLVPEILLSLARQISPF >EOY03573 pep chromosome:Theobroma_cacao_20110822:4:17229047:17240280:-1 gene:TCM_018685 transcript:EOY03573 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 88A1, putative MSIFQGSEASQPHIALFPSAGMGHLTPFLRLASMLLSHNCMVTLLTTKSTVSAAESTYISFFLSTNPEIKHIEFQVPPMQPSNTTADDPFFIQFKATSRSAHLIYPLISSLSPPLSAIFSDLVVASGVSKVAVYLGIPNYAVSTTSAKFLSLLAYLPILTSDAAKLSNRSTDIEIPGLTPLPISSIPPPFFNPDHLFTATLVSNAIALPDCKGILMNTFDCFEPETLSAINNKRALRNLPPILPIGPLETYELKKDLGQYLPWLNSQPAESVVFVSFGSRTAMTKDQIKELRHGLEKSEYRFLWILKTKTVDKDDTEDLEDLLSCSFLERTKNKGMVLKEWVNQQDILAHPAVGGFVNHCGWNSVMEAAQRGIPMLAWPQHGDQRANAEVLEKAGLGIWDRTWGWGGQRLVKTDEIQKRISELMTDVKLKSRAKKVGEEARKATGNGGSSIKTIMEVIESLKQNNRS >EOY06115 pep chromosome:Theobroma_cacao_20110822:4:30942621:30951750:-1 gene:TCM_020936 transcript:EOY06115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate 1 MVKFSKELEAQLIPEWKDAFVNYRQLKKHIKKIKLSRKKRQPPPDLSHDFGRSIFDPIRFIAMKLSTKFVSSNNNTEIIQSFTFVAHVPYSYLLFPSQNLSIMLAVCVKIQWSTVLLFMIYGVRSKTMEDGSDEEEVLYQTELVQLFSEEDEVKEFFESLDEQLNKVNQFYKAKESEFLERGEILNKQLQILLDLKQILTDRRRKPNAGIIARSWSSSPRNSDFSESPAELNDSSTETSQTDEVIAALERNGVNFINSATRAKTKKGMPKMAMRIDIPATTPTRTITAVTSMLWEDLVNNPKKEGPTDFINRKKIQCAEKMIRSAFVELYRGLGLLKTYSSLNVVAFTKILKKFDKVSNQQASASYLKAVKRSHFISSDKVVRLMDEVESIFTKHFASNDRKKAMKFLKPQQQKDSHMVTFFVGLFTGCFVSLFSVYIILAHLSGIFSPSTGTAYMETVYPVFSVFALLSLHLFCYGCNLFMWKNTRINYNFIFEFAPSTALKYRDAFLICTTFMTSVVGAMVIHLLLRAGGFSPTHVDTIPGILLLIFVALLVCPFDIFYKPTRYCFLRIIRNIICSPFYKVLMVDFFMADQLTSQIPLLRHLESTACYFLAGSIKTHQYATCKNGKMYRQLAYVISFLPYYWRAMQCARRWFDEYDLDHLANMGKYVSAMVAAGARLTYATQSNHFWFALVLVTQVVATVYQLYWDFVKDWGLLNPKSKNPWLRDELILKNKSVYYLSIALNVVLRIAWVESIMRFRINPVQTHLLDFFLASLEVIRRGHWNFYRLENEHLNNVGKYRAVKAVPLPFRETDSDG >EOY04776 pep chromosome:Theobroma_cacao_20110822:4:25840504:25848718:-1 gene:TCM_019949 transcript:EOY04776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant regulator RWP-RK family protein, putative isoform 2 MLGGVTALQLQIRCLPPSDSTDTDEQGVRRNNGGNRQNNTSDVANSLISRPIGQSLDEKMLRALSLFKESSGGGILAQVWVPVKHGDQYMLTTSDQPYLLDQILSGYREVSRTYIFSAELKLGSFPGLPGRVFISRVPEWTSNVTHYSEDEYLRFSHAVNHKVRGSIALPVFEPLEMSCCAVLELVTVKEKPNFDAEMENVCLALQAVNLRTTAPPRLLPQCLSRNQRAALAEITDVLRAVCHAHRLPLALTWIPCNYAEEAVDEIIKVRVREGNKGWDGKCILCIEDTACYVNDTEMQDFVHACAAHYLEEGQGIAGKALQSNHPFFSSDVKTYDISDYPLVHHARKFNLNAAVAIRLRSTYTGDDDYILEFFLPINMKGSSEQQLLLNNLSGTMQRICRSLRTVSDAEIVEGSKVEFQRGTVPNFPPMSMSRRSSETALSAGSDMNSNDRIPLNVSNSRSDGKEADGPPEQAMSGPRRQMEKKRSTAEKNVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLRKIQTVLDSVQGVEGGLKFDPATGGFVAAGTIIQEFDSQKTLIFSENNLPVRTPEPVNQEKPSAPLASCPDGENSVVKLEEDECSFGGNNRGAAMSVVIPSTCQELKKSSIPSIDCSEDSKSVALDAGSFQAASIGPAPWTCLENVTMGSYLPEGCDKWGLNKVNLKLEDSDCHFVSRSSSSLAGADEMDAGMEGDDGIVEHNHQPTSSSMTDSSNGSGSMLHGSSSSSQSFEEAKNSKVKTICVDSSSKITVKATYKEDTVRFKFEPSAGCFQLYEEVATRFKIQNGTFQLKYLDDEEEWVMLVSDSDLQECLEILECVGTRNVKFQVRDVPCATGSSGSSNCFLGGGS >EOY04774 pep chromosome:Theobroma_cacao_20110822:4:25841200:25847965:-1 gene:TCM_019949 transcript:EOY04774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant regulator RWP-RK family protein, putative isoform 2 MEYSLSSKEKGIGYWVPPRGPMEGGEQLGGSTKNSISEDPFNFSELMNFDSYAGWCNSPAATDQMFASFGLSSYPSFPYASLDSLNITEQSSGTFVEGGDALSGMGGSYNCVDRMVCQQTDAQFGNPLDSTDTDEQGVRRNNGGNRQNNTSDVANSLISRPIGQSLDEKMLRALSLFKESSGGGILAQVWVPVKHGDQYMLTTSDQPYLLDQILSGYREVSRTYIFSAELKLGSFPGLPGRVFISRVPEWTSNVTHYSEDEYLRFSHAVNHKVRGSIALPVFEPLEMSCCAVLELVTVKEKPNFDAEMENVCLALQAVNLRTTAPPRLLPQCLSRNQRAALAEITDVLRAVCHAHRLPLALTWIPCNYAEEAVDEIIKVRVREGNKGWDGKCILCIEDTACYVNDTEMQDFVHACAAHYLEEGQGIAGKALQSNHPFFSSDVKTYDISDYPLVHHARKFNLNAAVAIRLRSTYTGDDDYILEFFLPINMKGSSEQQLLLNNLSGTMQRICRSLRTVSDAEIVEGSKVEFQRGTVPNFPPMSMSRRSSETALSAGSDMNSNDRIPLNVSNSRSDGKEADGPPEQAMSGPRRQMEKKRSTAEKNVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLRKIQTVLDSVQGVEGGLKFDPATGGFVAAGTIIQEFDSQKTLIFSENNLPVRTPEPVNQEKPSAPLASCPDGENSVVKLEEDECSFGGNNRGAAMSVVIPSTCQELKKSSIPSIDCSEDSKSVALDAGSFQAASIGPAPWTCLENVTMGSYLPEGCDKWGLNKVNLKLEDSDCHFVSRSSSSLAGADEMDAGMEGDDGIVEHNHQPTSSSMTDSSNGSGSMLHGSSSSSQSFEEAKNSKVKTICVDSSSKITVKATYKEDTVRFKFEPSAGCFQLYEEVATRFKIQNGTFQLKYLDDEEEWVMLVSDSDLQECLEILECVGTRNVKFQVRDVPCATGSSGSSNCFLGGGS >EOY04777 pep chromosome:Theobroma_cacao_20110822:4:25841200:25847827:-1 gene:TCM_019949 transcript:EOY04777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant regulator RWP-RK family protein, putative isoform 2 MNFDSYAGWCNSPAATDQMFASFGLSSYPSFPYASLDSLNITEQSSGTFVEGGDALSGMGGSYNCVDRMVCQQTDAQFGNPLDSTDTDEQGVRRNNGGNRQNNTSDVANSLISRPIGQSLDEKMLRALSLFKESSGGGILAQVWVPVKHGDQYMLTTSDQPYLLDQILSGYREVSRTYIFSAELKLGSFPGLPGRVFISRVPEWTSNVTHYSEDEYLRFSHAVNHKVRGSIALPVFEPLEMSCCAVLELVTVKEKPNFDAEMENVCLALQAVNLRTTAPPRLLPQCLSRNQRAALAEITDVLRAVCHAHRLPLALTWIPCNYAEEAVDEIIKVRVREGNKGWDGKCILCIEDTACYVNDTEMQDFVHACAAHYLEEGQGIAGKALQSNHPFFSSDVKTYDISDYPLVHHARKFNLNAAVAIRLRSTYTGDDDYILEFFLPINMKGSSEQQLLLNNLSGTMQRICRSLRTVSDAEIVEGSKVEFQRGTVPNFPPMSMSRRSSETALSAGSDMNSNDRIPLNVSNSRSDGKEADGPPEQAMSGPRRQMEKKRSTAEKNVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLRKIQTVLDSVQGVEGGLKFDPATGGFVAAGTIIQEFDSQKTLIFSENNLPVRTPEPVNQEKPSAPLASCPDGENSVVKLEEDECSFGGNNRGAAMSVVIPSTCQELKKSSIPSIDCSEDSKSVALDAGSFQAASIGPAPWTCLENVTMGSYLPEGCDKWGLNKVNLKLEDSDCHFVSRSSSSLAGADEMDAGMEGDDGIVEHNHQPTSSSMTDSSNGSGSMLHGSSSSSQSFEEAKNSKVKTICVDSSSKITVKATYKEDTVRFKFEPSAGCFQLYEEVATRFKIQNGTFQLKYLDDEEEWVMLVSDSDLQECLEILECVGTRNVKFQVRDVPCATGSSGSSNCFLGGGS >EOY04775 pep chromosome:Theobroma_cacao_20110822:4:25841200:25847827:-1 gene:TCM_019949 transcript:EOY04775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant regulator RWP-RK family protein, putative isoform 2 MNFDSYAGWCNSPAATDQMFASFGGDALSGMGGSYNCVDRMVCQQTDAQFGNPLDSTDTDEQGVRRNNGGNRQNNTSDVANSLISRPIGQSLDEKMLRALSLFKESSGGGILAQVWVPVKHGDQYMLTTSDQPYLLDQILSGYREVSRTYIFSAELKLGSFPGLPGRVFISRVPEWTSNVTHYSEDEYLRFSHAVNHKVRGSIALPVFEPLEMSCCAVLELVTVKEKPNFDAEMENVCLALQAVNLRTTAPPRLLPQCLSRNQRAALAEITDVLRAVCHAHRLPLALTWIPCNYAEEAVDEIIKVRVREGNKGWDGKCILCIEDTACYVNDTEMQDFVHACAAHYLEEGQGIAGKALQSNHPFFSSDVKTYDISDYPLVHHARKFNLNAAVAIRLRSTYTGDDDYILEFFLPINMKGSSEQQLLLNNLSGTMQRICRSLRTVSDAEIVEGSKVEFQRGTVPNFPPMSMSRRSSETALSAGSDMNSNDRIPLNVSNSRSDGKEADGPPEQAMSGPRRQMEKKRSTAEKNVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLRKIQTVLDSVQGVEGGLKFDPATGGFVAAGTIIQEFDSQKTLIFSENNLPVRTPEPVNQEKPSAPLASCPDGENSVVKLEEDECSFGGNNRGAAMSVVIPSTCQELKKSSIPSIDCSEDSKSVALDAGSFQAASIGPAPWTCLENVTMGSYLPEGCDKWGLNKVNLKLEDSDCHFVSRSSSSLAGADEMDAGMEGDDGIVEHNHQPTSSSMTDSSNGSGSMLHGSSSSSQSFEEAKNSKVKTICVDSSSKITVKATYKEDTVRFKFEPSAGCFQLYEEVATRFKIQNGTFQLKYLDDEEEWVMLVSDSDLQECLEILECVGTRNVKFQVRDVPCATGSSGSSNCFLGGGS >EOY05477 pep chromosome:Theobroma_cacao_20110822:4:28679238:28685245:1 gene:TCM_020469 transcript:EOY05477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coenzyme F420 hydrogenase family / dehydrogenase, beta subunit family isoform 1 ASSSSSSKESDSNAKKTVKLREDWRKRSKPIPPGGTYPAKDHCSRCGLCDTYYVAHVKDACAFLGDGMSKIESLEPVVHGRGRKSDSLDETYLGVYEKLLYARKTKPVEGAQWTGIVTTIAIEMLKAGMVEAVICVQSDPDDRLSPRPILARTPDEVLAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEHHLNLDKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANELVDVIAPSCYSCFDYTNALADLVVGYMGVPKYSGISMTQHPQYVTVRNERGREMLSLVENFLEITPTTSSGNRQPFVMETVKADDDAKFSMYFIFL >EOY05476 pep chromosome:Theobroma_cacao_20110822:4:28679166:28686378:1 gene:TCM_020469 transcript:EOY05476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coenzyme F420 hydrogenase family / dehydrogenase, beta subunit family isoform 1 MTSLISSFSRPIFSSASSSSSSKDSNAKKTVKLREDWRKRSKPIPPGGTYPAKDHCSRCGLCDTYYVAHVKDACAFLGDGMSKIESLEPVVHGRGRKSDSLDETYLGVYEKLLYARKTKPVEGAQWTGIVTTIAIEMLKAGMVEAVICVQSDPDDRLSPRPILARTPDEVLAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEHHLNLDKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANELVDVIAPSCYSCFDYTNALADLVVGYMGVPKYSGISMTQHPQYVTVRNERGREMLSLVENFLEITPTTSSGNRQPFVMETVKADDDAKFRKGPSQPAPMFIGNLIAFLLNLIGPKGLEFARYSLDYHTIRNYLYANRTWGKQRADKHIPSYAKKLVDAYNQNGQIDQMLTRK >EOY05474 pep chromosome:Theobroma_cacao_20110822:4:28679074:28686500:1 gene:TCM_020469 transcript:EOY05474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coenzyme F420 hydrogenase family / dehydrogenase, beta subunit family isoform 1 MTSLISSFSRPIFSSASSSSSSKESDSNAKKTVKLREDWRKRSKPIPPGGTYPAKDHCSRCGLCDTYYVAHVKDACAFLGDGMSKIESLEPVVHGRGRKSDSLDETYLGVYEKLLYARKTKPVEGAQWTGIVTTIAIEMLKAGMVEAVICVQSDPDDRLSPRPILARTPDEVLAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEHHLNLDKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANELVDVIAPSCYSCFDYTNALADLVVGYMGVPKYSGISMTQHPQYVTVRNERGREMLSLVENFLEITPTTSSGNRQPFVMETVKADDDAKFRKGPSQPAPMFIGNLIAFLLNLIGPKGLEFARYSLDYHTIRNYLYANRTWGKQRADKHIPSYAKKLVDAYNQNGQIDQMLTRK >EOY05475 pep chromosome:Theobroma_cacao_20110822:4:28679238:28686527:1 gene:TCM_020469 transcript:EOY05475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coenzyme F420 hydrogenase family / dehydrogenase, beta subunit family isoform 1 ASSSSSSKESDSNAKKTVKLREDWRKRSKPIPPGGTYPAKDHCSRCGLCDTYYVAHVKDACAFLGDGMSKIESLEPVVHGRGRKSDSLDETYLGVYEKLLYARKTKPVEGAQWTGIVTTIAIEMLKAGMVEAVICVQSDPDDRLSPRPILARTPDEVLAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEHHLNLDKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANELVDVIAPSCYSCFDYTNALADLVVGYMGVPKYSGISMTQHPQYVTVRNERGREMLSLVENFLEITPTTSSGNRQPFVMETVKADDDAKFRKGPSQPAPMFIGNLIAFLLNLIGPKGLEFARYSLDYHTIRNYLYANRTWGKQRADKHIPSYAKKLVDAYNQNGQIDQMLTRK >EOY04463 pep chromosome:Theobroma_cacao_20110822:4:24434644:24436532:-1 gene:TCM_019705 transcript:EOY04463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKKFNSTTIAEIIFKGVEQQELYGPGFALNPRDHCPFSSTASKSNGPCFSFIGQCAFSASKMQAEKQQQSWKVSIQARAQNFNFKLQATKILPTWKFHRFSLLLRLHKFVLKLQVKSRSTLSISIQNKRTLKSTFLKLLEKLRIRRPKRTLTVQHPGVKSVLNRLKQFANEKAIYAGPLVIAILASLLQSISKKDIKGIITHASIILMYWILYYKRHIKGKTSTFWRVLIVGTAGCWVALHFGKGYRFQSMPGVGWHGALLLLLGVGNAACQVIFALVKLHFSHISKLKEQWFKIVYKL >EOY03298 pep chromosome:Theobroma_cacao_20110822:4:11578813:11583294:-1 gene:TCM_018160 transcript:EOY03298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGIDPSKNLLSLIRDFAYEKSQGVNESFIVERTCTERRVASLTKQVEELRSQFEVANSEFNEAKRLKETTEQELKGFEVELALNEALIQAVEARIALIQDEIFKVGSEIEALKNKEATLRDEFITEMVEFNDKIRKFQETIASDFQYTVGSTAEQGHIFVKKEVTAIGARTVEDQFAYIVSQITKEQEEYLAEQNIQKQVQLELVDIERKACLIEALMQQAKALEELTRYPNQIYIWKYILKRQVRQKHIDLNICSGFYDLCFFFLEEKICAFLGEELQKRCICPSCLLENVETLAKVLQESEAN >EOY06017 pep chromosome:Theobroma_cacao_20110822:4:30622779:30623388:1 gene:TCM_020869 transcript:EOY06017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MEGGSRGKEDKEGGETRYRGVRRRPWGKFAAEIRDSNRHGARVWLGTFNTAEEAARAYDRAAYSMRGHLAILNFPQEYPMASGGGNANYCSSSSVGSSSSSSSSAMERGKQVFEIEYLDDKLLEELLENEEKKSKKK >EOY02629 pep chromosome:Theobroma_cacao_20110822:4:1590964:1602393:1 gene:TCM_017051 transcript:EOY02629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 40 MGRQPCCDKVGLKRGPWTIEEDHKLMNFILNNGIHCWRTVPKLAGLLRCGKSCRLRWINYLRPDLKRGAFTESEEDQIIQLHARLGNRWSKIASHFPGRTDNEIKNHWNTRIKKKLKLLGLDPVTHKPIEKAEKTDGKNKMTSNSNSSNKQEASFESKSEDDHAITVSTSRDEKSEETQVIFDETNDLLNNYQMLCGSFDLESWLNQDTNTSSSYTSSFSVEESNNPSLVRPRSKDSSSSTRKREHFEENSVSLARAMQRAIPRIHTVVATPGLKSFSLNAPRIVEVEYANGSKFCLSAEFLRVYSPAADGKIRSIGGEKVISGRRHVGIMSTEPVGNYGVRIVFDDLHRTGIYSWDYFYNLGTNKFTLMRNYIKTLKKHGLSRDPPKRK >EOY06068 pep chromosome:Theobroma_cacao_20110822:4:30831382:30836692:-1 gene:TCM_020907 transcript:EOY06068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein isoform 4 MEVEAVGDVASLDPDSLQLPELSPLALKSNPFLAEELFSLWLSLPETGRLVKNLLTDARTGTSSLNATGNLTGSTTNLLPSMFPAASTPPLSPRSTSGSPRIGKQRSGPSSLEFKAITKDICKLPSVLSTTLFRKIDVNNSGIVTRDQFVDYWIGGNMLTMDLATRMYTILKQPDRRYLTQDDFKPVLHELLASHPGLEFLQNTPEFQERYAETVVYRIFYYINRSGTGRLTLRELKRGNLIAALQHVDDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDNENLIRYGNHALTYRIVDRIFSQIPRKFRSKVEGKMGYEDFVYFILSEEDKSSEPGLEYWFKCIDLDGNGVLTPTEMQFFYEEQLRRMECMAQEPVLFEDILCQIVDMIGPENEGYITLRDLKGCKLSGNVFNILFNLNKFIAFESRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSGDIWDESFEAPF >EOY06067 pep chromosome:Theobroma_cacao_20110822:4:30831493:30836616:-1 gene:TCM_020907 transcript:EOY06067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein isoform 4 MEVEAVGDVASLDPDSLQLPELSPLALKSNPFLAEELFSLWLSLPETGRLVKNLLTDARTGTSSLNATGNLTGSTTNLLPSMFPAASTPPLSPRSTSGSPRIGKQRSGPSSLGSPLKIVSEPVREVIPPFYFKNGRPPPNELKEQCLFVIDQYFYGRLGLHIHEFKAITKDICKLPSVLSTTLFRKIDVNNSGIVTRDQFVDYWIGGNMLTMDLATRMYTILKQPDRRYLTQDDFKPVLHELLASHPGLEFLQNTPEFQERYAETVVYRIFYYINRSGTGRLTLRELKRGNLIAALQHVDDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDNENLIRYGNHALTYRIVDRIFSQIPRKFRSKVEGKMGYEDFVYFILSEEDKSSEPGLEYWFKCIDLDGNGVLTPTEMQFFYEEQLRRMECMAQEPVLFEDILCQIVDMIGPENEGYITLRDLKGCKLSGNVFNILFNLNKFIAFESRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSGDIWDESFEAPF >EOY06069 pep chromosome:Theobroma_cacao_20110822:4:30831382:30836692:-1 gene:TCM_020907 transcript:EOY06069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein isoform 4 MEVEAVGDVASLDPDSLQLPELSPLALKSNPFLAEELFSLWLSLPETGRLVKNLLTDARTGTSSLNATGNLTGSTTNLLPSMFPAASTPPLSPRSTSGSPRIGKQRSGPSSLEFKAITKDICKLPSVLSTTLFRKIDVNNSGIVTRDQFVDYWIGGNMLTMDLATRMYTILKQPDRRYLTQDDFKPVLHELLASHPGLEFLQNTPEFQERYAETVVYRIFYYINRSGTGRLTLRELKRGNLIAALQHVDDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDNENLIRYGNHALTYRIVDRIFSQIPRKFRSKVEGKMGYEDFVYFILSEEDKSSEPGLEYWFKCIDLDGNGVLTPTEMQFFYEEQLRRMECMAQEPVLFEDILCQIVDMIGPERGLQEKVAVLPWSCVLINGFCSN >EOY06066 pep chromosome:Theobroma_cacao_20110822:4:30832084:30836616:-1 gene:TCM_020907 transcript:EOY06066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein isoform 4 MEVEAVGDVASLDPDSLQLPELSPLALKSNPFLAEELFSLWLSLPETGRLVKNLLTDARTGTSSLNATGNLTGSTTNLLPSMFPAASTPPLSPRSTSGSPRIGKQRSGPSSLGSPLKIVSEPVREVIPPFYFKNGRPPPNELKEQCLFVIDQYFYGRLGLHIHEFKAITKDICKLPSVLSTTLFRKIDVNNSGIVTRDQFVDYWIGGNMLTMDLATRMYTILKQPDRRYLTQDDFKPVLHELLASHPGLEFLQNTPEFQERYAETVVYRIFYYINRSGTGRLTLRELKRGNLIAALQHVDDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDNENLIRYGNHALTYRIVDRIFSQIPRKFRSKVEGKMGYEDFVYFILSEEDKSSEPGLEYWFKCIDLDGNGVLTPTEMQFFYEEQLRRMECMAQEPVLFEDILCQIVDMIGPENEGYITLRDLKGCKLSGNVFNILFNLNKFIAFESRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSGDIWDESFEAPF >EOY05511 pep chromosome:Theobroma_cacao_20110822:4:28831877:28845885:1 gene:TCM_020496 transcript:EOY05511 gene_biotype:protein_coding transcript_biotype:protein_coding description:REF4-related 1 MEVSWQSSTLWDDVVEQTKVATEKGIDPLPWALQVSSSLSSSGIALPSTELAHVLVNYICWDNNIPTLWKFLDKALMMKIVPPLLVLALLSQRVMPCRRSHPAAYRLYLELLKRHAFTLKCQINGPDYQKVMKSIDATLHLSEIFGLQAMEPGILVVEFIFSIVWQLLDASLDDEGLLELTAERMSRWAIISQEMEIDGHDIYDEKKIEYHERLRNFNTTMAIEIIGRFLQNKITSRILYLARRNMPAHWVGFIQSLRLLGANSAALKNSKALTFEALLELTSDSRVVLSRECKTSSLQKFHAVMAFGSLSSAAGLCHGASRSDLWLPLDLVLEDAMDGYLVNTTSAIEIITGLIKTLQAINGTSWHDTFLGLWIASLRLVQRERDPIEGPVPRLDTRLCMLLSIITLVVAELIEEEEGAPTDEMECGSTNHWKEKKCRRKCRDDLVSSLQVLGDYQGLLAPPQSVVSAANQAAARAMLFVSGINVGSAYFECINMKDMPINCSGNMRHLIVEACIARNLLDTSAYFWPGYVNGRINQLPYSVPAQSPGWSSFMKGAPLTSVMINALVSSPASSLAELEKIFDIAVNGSDDEKISAATILCGASLIRGWNIQEYTVQFITRLMSPPVPSDYAGSDSHLIDYAAMLNVLIVGIASVDCVQIFSLHGLVPQLACSLMPICEVFGSCVPNVSWTLPTGKISPHAVFSNAFALLLKLWRFNHPPIEHGVGDVPTVGSQLTPEYLLLVRNSHLLSSENIHKDRNKRRLSEVASSSSPQPVFLDSFPKLKVWYRQHQRCIAATLSGLVHGTTVHQTVDGLLNMMFRKINRGSQSVTSVTSGSSTSSGPGNEDNSLKPRLPAWDILESVPYVVDAALAACAHGRLSPRELATGLKDLADFLPASLATIVSYFSAEVSRVVWKPVVMNGMDWPSPAANLSNVEEHIKKILAATGVDVPRLATGGSSPATLPLPLAAFVSLTITYKIDKASERFLNLAGPALESLAADCPWPCMPIVASLWTQKAKRWFDFLVFSASRTVFLHNRDAVVQLLKSCFTATLGLNVAPISSNGGVGALLGHGFGSHFCGGLSPVAPGILYLRVYRSMRDIVFITEEVVSLLMDSVREIAYSGLLREKLEKLKTSKNGTKYGQVSLAAGMTRVKLAASLAASLVWLSGGLGLVQSLIKETLPSWFISVHRSQREEGSGLVAMLGGYALAYFTVLCGAFAWGVDSSSSASKRRPKILGTHMEFLASALDGKISLGCDGATWRAYVSGFVSLMVGCTPNWVLEVDVDVLRRLSKGLRQWNEEELALALLGIGGVGTMGAAAELIIEKDF >EOY03088 pep chromosome:Theobroma_cacao_20110822:4:4506109:4508560:-1 gene:TCM_017524 transcript:EOY03088 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 and Plant PDR ABC-type transporter family protein, putative MDGTENARASRSKSSHNNLSSSIRSSLSRTTRRMDDVFAGASRLSGSSTRVAEEEEALRRAAIEKLPTYDRLGTSIINSFVESNDHLGQGQGNHHEFAPREVDVRKFEMDDRQKFINALFIVVEEDNEKFLKRFRNRVDKAGIQLPTVEVRLEHLNIEADTYRGSRALPTLPNEAAELALGVATAIEGAESSLATDYIFKVLGLDICKDTVVGNEMNGGVSGGQKKRVTTGEMIVGPTKTLLMDEISTGLDSSTTYQIVKCLQQIAHLTDATIFMSLLQPAPETFDLFDDVVLISRSKKICS >EOY03338 pep chromosome:Theobroma_cacao_20110822:4:13317369:13319474:-1 gene:TCM_018296 transcript:EOY03338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRMIMDLSRPLCSGATQSGSLRDAITYIAASLDVLSNTLDLITYPQKEDKRLTLRNSVIINSVSRA >EOY03350 pep chromosome:Theobroma_cacao_20110822:4:13643553:13660578:1 gene:TCM_018328 transcript:EOY03350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase-like family protein isoform 2 MGGEEGREYYKKITVGVCVMEKKVKCGSEVFSAPMGQILDRLKAFGEFEIIHFGDKVILEEPIESWPISYAALRKPFLVNELGPQHLLHDRRKVYERLEMYGIPVPRYALVNREVPYQELDYFIEEEDYVEVHGNRFWKPFVEKPVDGDNHSILIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMARVVCIAFRQAVCGFDLLRSEGRSYVCDVNGWSFVKNSHKYYDDAACVLRKMFLDAKAPHLSSAIPPTLPWKVNEPVQPSEGLTRQGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRMLVPRSRPGRGSDSEAEDLEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEEWPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASSEMEEAKARLNEIITSGAKTIYRNGTSECPWMTDGAGLPTNASELLPNLVTLTKKVTEQVRLLAKDEDENLTETSPYDVIPAYDQAKALGKTNIDIDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKVRFDITQIPDVYDSCKYDLLHNAHLNLEGLDGLFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEALNVAELKSNQDKCSKSTKIEKEDKDFPPKLFIKTDDTRRSSTTSEMSMDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEASLVCQTALERLYKTKELDYMSYIVIRMFENTEVALEDPRRFRIELTFSRGADLSPLEMNDSKATSLHQEHTLPIMGPERLQEVGSYLTLEKMEKMIRPFAMPAEDFPPPSTPAGFSGYFAKSASVLERLVNLWPFHKNAHTNGK >EOY03351 pep chromosome:Theobroma_cacao_20110822:4:13643608:13660094:1 gene:TCM_018328 transcript:EOY03351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase-like family protein isoform 2 MYGIPVPRYALVNREVPYQELDYFIEEEDYVEVHGNRFWKPFVEKPVDGDNHSILIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMARVVCIAFRQAVCGFDLLRSEGRSYVCDVNGWSFVKNSHKYYDDAACVLRKMFLDAKAPHLSSAIPPTLPWKVNEPVQPSEGLTRQGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRMLVPRSRPGRGSDSEAEDLEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEEWPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASSEMEEAKARLNEIITSGAKTIYRNGTSECPWMTDGAGLPTNASELLPNLVTLTKKVTEQVRLLAKDEDENLTETSPYDVIPAYDQAKALGKTNIDIDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKVRFDITQIPDVYDSCKYDLLHNAHLNLEGLDGLFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEALNVAELKSNQDKCSKSTKIEKEDKDFPPKLFIKTDDTRRSSTTSEMSMDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEASLVCQTALERLYKTKELDYMSYIVIRMFENTEVALEDPRRFRIELTFSRGADLSPLEMNDSKATSLHQEHTLPIMGPERLQEVGSYLTLEKMEKMIRPFAMPAEDFPPPSTPAGFSGYFAKSASVLERLVNLWPFHKNAHTNGK >EOY03352 pep chromosome:Theobroma_cacao_20110822:4:13643608:13660094:1 gene:TCM_018328 transcript:EOY03352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase-like family protein isoform 2 MYGIPVPRYALVNREVPYQELDYFIEEEDYVEVHGNRFWKPFVEKPVDGDNHSILIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMARVVCIAFRQAVCGFDLLRSEGRSYVCDVNGWSFVKNSHKYYDDAACVLRKMFLDAKAPHLSSAIPPTLPWKVNEPVQPSEGLTRQGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRMLVPRSRPGRGSDSEAEDLEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEEWPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASSEMEEAKARLNEIITSGAKTIYRNGTSECPWMTDGAGLPTNASELLPNLVTLTKKVTEQVRLLAKDEDENLTETSPYDVIPAYDQAKALGKTNIDIDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKVRFDITQIPDVYDSCKYDLLHNAHLNLEGLDGLFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEALNVAELKSNQDKCSKSTKIEKEDKDFPPKLFIKTDDTRRSSTTSEMSMDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEASLVCQTALERLYKTKELDYMSYIVIRMFENTEVALEDPRRFRIELTFSRGADLSPLEMNDSKATSLHQEHTLPIMGPERLQEVGSYLTLEKMEKMIRPFAMPAEDFPPPSTPAGFSGYFAKSASVLERLVNLWPFHKNAHTNGK >EOY06887 pep chromosome:Theobroma_cacao_20110822:4:33245653:33250071:-1 gene:TCM_021473 transcript:EOY06887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein MGVLFSTLITIADSITMANKTLFMLLLSHIILCFSIVFSNLDVSVAEDGLPKFGHVCDPARFEMLGLNMDEFAYCDKSLPYDVRAKDLVDSMSLVEKAEQMGDNTSVGIPRIGLPPYKWWSEALHGVADTGRSTKFDSAVPSATSFPTVILTTASFNQTLWKTIGQVVSTEARAMYNLGRAGLTFWSPNINVVRDPRWGRTLETPGEDPFVVGLYAVNYVRGLQDIEGQDNTSDPNSRPLKVSACCKHFAAYDVENYLGLDRLHFDAKVAEQDMVETFNRPFEMCVKDGDVSSVMCSFNRVNGIPTCADSYLLKKLVREDWNLHGYVVADCDSIAEIVKSHKWLDDTWEDASAQVLKAGLYGFGLWRFLQVSSKRRFFDGIPALASLGRKDICSEENIELAAEAARQGIVLLKNDNETLPLDATEIKSLALIGPHANATDAMIGNYAGIPCKFISPLEGFSAFGQVTYEMGCAEMKCQNDSMINSAVNASKQADATLLFVGLNLDLEAEWIDRKDLLLPGYQTQLVTEVAEASKGPVILVIMSATVVDISFAKINPKIKSIIWAGYPGEQGGRAIADVAFGNYNPGGRLPLTWYEANYVDKLPMTSMALRPVGDYPGRTYKFFNGSTVYPFGYGLSYTNFTYEHKFEEMSINITLNRLQHCHNLTYLDENYQQDCPSVSIDDLACKDEIAFDVTVQNIGQKDGSDVVMVYSVPPKEINGTHIKQLVGFERVDLKAKESKKVNFGLNACQSLNIVDVSGYKLLASGLHNIVVGDNALQIPVQVNYNR >EOY03373 pep chromosome:Theobroma_cacao_20110822:4:13895560:13905716:1 gene:TCM_018362 transcript:EOY03373 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family, putative MIRSFLPSSLVLFNDNVFVNVVASVQYRALADKAADAFYKLSNTKGQIQSYVFDVIRASVPKLNLDAVFEQKNSIVKAVEEELEKATSAYGYEIVQTLIVDIEPDVKVKKAMTEINAERENLSSRLTTILQGYAPITRGIPLQNSFIVEFPVRFQKGNHPKHPQRKQPTPLTSAPISLTFMELILAPAPISRRSVSCSPLTACENRTQKLASRLARNSARLESPTPMDFENIVLISPLEFLSNAPIQASFVLPLAAPSTLNFIVLSIKRAEGDAESKYLSGLGIARHRQAIVDGLRDSVLAFSENVPGTTAKDVMDMMLGTQYFDTMKEVGASSKSSSVSNPHGPGAVQDISSRIREGLLFRPMLLGTRGNIGF >EOY05274 pep chromosome:Theobroma_cacao_20110822:4:27822821:27826806:-1 gene:TCM_020311 transcript:EOY05274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum retention defective 2B MNIFRLAGDMTHLFSVLVLLLKIHTIKSCAGISLKTQELYAIVFATRYLDIFTNYISLYNTIMKLIFLGSSFSIVWYMRRHKIVRRSYDKDQDTFRHYFLMLPCLILALIINEKFTFREVMWAFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRAFYILNWIYRYFTEEHFVHWITWIAGLVQTLLYADFFYYYFQSWKNNVKLQLPA >EOY04805 pep chromosome:Theobroma_cacao_20110822:4:25996685:25999410:1 gene:TCM_019981 transcript:EOY04805 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase 7 MAKLGRPISVCVFIFFHLLQLFSVGVGDVLEVPPFNINQQSLLQYLSLGDIKIDLPALYVFGDSYVDDGNNNFLPHSAVANYLPFGIDFDGKPTGRATNGRTVVDFIATVGGFQYPPPILGMSEADRKTTRTGVNYASGSSGILSENGHSMHMNVLNFFQQVDLFENTTLKDLKSSFSSTESFTEYLSKSVFFIHTASNDLGLTYETSTSKNSPDKYAEVLVEELFQQLQRLYKLGVRKFLVNNVSPLGCQPFNINTKHHTTSCVEEVNERISIYNKFLSNSLTKWQSTLSGSKFVLGDLYKIFQDVYASPASYGFKDVNSSCCVDRNGARILPCAQNVAPCEDRKSRVFFDPFHPSESMHFLWGRRLLKDSSVCSPINLIQLMQA >EOY03932 pep chromosome:Theobroma_cacao_20110822:4:20523962:20527518:1 gene:TCM_019147 transcript:EOY03932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 81, subfamily D, polypeptide 8, putative MKHVFSSIYIHVQNKLFSFASILPKKIPLHIELKKETSKIVNMELESTILFSSLSLILLLFCFKLLFQIKTSKQNLPPSPPPLPFLGHLHLIKRPIHRFYHSLSQKYGPIFSLRFGSRLVVVVSSSTAAEECFTKNDIVLAYRPKLLIGKYLGYNWSTVVASPYGDHWRNLRRIGAIEIFSSSRLNAFLSIRKDEVKRLLMKLSSDDNSGQEFTKVELKSLFVDLTFNNIMRMVAGKRYHGGDSVTDEGEAKEFRELMKESFASGGVAHPGDFLPILNWIGGRSYLKKLMNLGERMDRLLQKLIDEVRAKRQGNTMIDHLLSLQQTEPDYYTDQLIKGLILVMLLAGTDTSAVTLEWAMSNLLNNPDVLKKARTELDSQIGQENLIDEPDVSKLQYLQSIIFETLRLNPSVPLLLPHMASTDCRICGYDVPRDTIVLINAWAIHRDPTLWDDPTSFKPERHGNGERESNKLMPFGLGRRACPGAGLAQRVVGLTLGSLIQCFEWERVSEKEVDMAEGNGITMPKVVPLEAMCKARPIVNKVLTGTI >EOY04827 pep chromosome:Theobroma_cacao_20110822:4:26091865:26108133:1 gene:TCM_019997 transcript:EOY04827 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1/ASK-interacting protein 16 isoform 1 MEREVGLDQLGDLALHIILSKLGPEDTVRVSCVSRRLRLSTSEDSLWALFCFQDLQLSTPQDHQGNPASSFKAAYQLWREAFAMYPWPLVKRVKRCWDKLKNWLSNDFPEVEATLRSGASESDIQQLETRLKVKLPLPTRLLYRFHDGQERTDEGHSSTWLGSSLGIIGGYSFYNHLVNVYLLPISQVIEGTRMVIRHLGFSSRSKYIVVAASAYRPKKFFLNCTNGQLYVGTSNLPTDGEMIQCVPNALIRSVHDLHGDQQQDAMLLWLEEHGRRLENGIIKVCEEGNVRSISLFPEVPPLCSTAVTNGVQVIVVRASAAFVPELANLQDSAEKYLFAYSIRMSLLPGGCIIDGMTFSSCQLNWRHWIIRANEAIISDVNGEAVIGQFPLLHPGEDEFVYESCTPLSSSSGSVEGSFTFVPGWRTRKEGHLTFKWQGSPCRSQIMFSDKLLHSFLDSMLSPWFWKILSDNKYERLRHCETLF >EOY04826 pep chromosome:Theobroma_cacao_20110822:4:26092071:26107372:1 gene:TCM_019997 transcript:EOY04826 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1/ASK-interacting protein 16 isoform 1 MEREVGLDQLGDLALHIILSKLGPEDTVRVSCVSRRLRLSTSEDSLWALFCFQDLQLSTPQDHQGNPASSFKAAYQLWREAFAMYPWPLVKRVKRCWDKLKNWLSNDFPEVEATLRSGASESDIQQLETRLKVKLPLPTRLLYRFHDGQERTDEGHSSTWLGSSLGIIGGYSFYNHLVNVYLLPISQVIEGTRMVIRHLGFSSRSKYIVVAASAYRPKKFFLNCTNGQLYVGTSNLPTDGEMIQCVPNALIRSVHDLHGDQQQDAMLLWLEEHGRRLENGIIKVCEEGNVRSISLFPEVPPLCSTAVTNGVQVIVVRASAAFVPELANLQDSAEKYLFAYSIRMSLLPGGCIIDGMTFSSCQLNWRHWIIRANEAIISDVNGEAVIGQFPLLHPGEDEFVYESCTPLSSSSGSVEGSFTFVPGSSELHF >EOY04825 pep chromosome:Theobroma_cacao_20110822:4:26091798:26108076:1 gene:TCM_019997 transcript:EOY04825 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1/ASK-interacting protein 16 isoform 1 MEREVGLDQLGDLALHIILSKLGPEDTVRVSCVSRRLRLSTSEDSLWALFCFQDLQLSTPQDHQGNPASSFKAAYQLWREAFAMYPWPLVKRVKRCWDKLKNWLSNDFPEVEATLRSGASESDIQQLETRLKVKLPLPTRLLYRFHDGQERTDEGHSSTWLGSSLGIIGGYSFYNHLVNVYLLPISQVIEGTRMVIRHLGFSSRSKYIVVAASAYRPKKFFLNCTNGQLYVGTSNLPTDGEMIQCVPNALIRSVHDLHGDQQQDAMLLWLEEHGRRLENGIIKVCEEGNVRSISLFPEVPPLCSTAVTNGVQVRASAAFVPELANLQDSAEKYLFAYSIRMSLLPGGCIIDGMTFSSCQLNWRHWIIRANEAIISDVNGEAVIGQFPLLHPGEDEFVYESCTPLSSSSGSVEGSFTFVPGSNGGHRCDEIVNLVCGIQAKTKRKVCRVVPGEVTSDRQLELARYALESIATKRPVIVRLADPKGRPFDVQVARFPLQKPDYVF >EOY04828 pep chromosome:Theobroma_cacao_20110822:4:26091865:26108133:1 gene:TCM_019997 transcript:EOY04828 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1/ASK-interacting protein 16 isoform 1 MEREVGLDQLGDLALHIILSKLGPEDTVRVSCVSRRLRLSTSEDSLWALFCFQDLQLSTPQDHQGNPASSFKAAYQLWREAFAMYPWPLVKRVKRCWDKLKNWLSNDFPEVEATLRSGASESDIQQLETRLKVKLPLPTRLLYRFHDGQERTDEGHSSTWLGSSLGIIGGYSFYNHLVNVYLLPISQVIEGTRMVIRHLGFSSRSKYIVVAASAYRPKKFFLNCTNGQLYVGTSNLPTDGEMIQCVPNALIRSVHDLHGDQQQDAMLLWLEEHGRRLENGIIKVCEEGNVRSISLFPEVPPLCSTAVTNGVQVRASAAFVPELANLQDSAEKYLFAYSIRMSLLPGGCIIDGMTFSSCQLNWRHWIIRANEAIISDVNGEAVIGQFPLLHPGEDEFVYESCTPLSSSSGWRTRKEGHLTFKWQGSPCRSQIMFSDKLLHSFLDSMLSPWFWKILSDNKYERLRHCETLF >EOY05719 pep chromosome:Theobroma_cacao_20110822:4:29586407:29589692:-1 gene:TCM_020649 transcript:EOY05719 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit H, putative isoform 1 MADCGATPPSPTDNKQQKKPTSFPRLFTGFTLKAFSDNTEVVMSPTSILDSKPFSAFRNPFWSESSIPKTPEPETRHKLETKGVGLGIVDALKDDDSDSNLSKSVLFGSQLRIQIPSLPPVFSPAESPRTPPEFGIKTRNSQLSSFSSGMSPSPVRKSIETLNSPGVFAGSLSATEMELSEDYTCVISHGPNPRTTHIFDNCIVESCCGVVGFSSLKRENGFLADRSSYQSESFLSFCYTCKKNLGQGKDIYMYRGEKAFCSRECRYQEMMLEEGIDKLESDDVFGTCS >EOY05720 pep chromosome:Theobroma_cacao_20110822:4:29586474:29588691:-1 gene:TCM_020649 transcript:EOY05720 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit H, putative isoform 1 MADCGATPPSPTDNKQQKKPTSFPRLFTGFTLKAFSDNTEVVMSPTSILDSKPFSAFRNPFWSESSIPKTPEPETRHKLETKGVGLGIVDALKDDDSDSNLSKSVLFGSQLRIQIPSLPPVFSPAESPRTPPEFGIKTRNSQLSSFSSGMSPSPVRKSIETLNSPGVFAGSLSATEMELSEDYTCVISHGPNPRTTHIFDNCIVESCCGVVGFSSLKRENGFLADRSSYQSESFLSFCYTCKKNLGQGKDIYMYRGEKAFCSRECRYQEMMLEEGIDKLESDDVFGTCS >EOY05721 pep chromosome:Theobroma_cacao_20110822:4:29586474:29588239:-1 gene:TCM_020649 transcript:EOY05721 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit H, putative isoform 1 QKKPTSFPRNPFWSESSIPKTPEPETRHKLETKGVGLGIVDALKDDDSDSNLSKSVLFGSQLRIQIPSLPPVFSPAESPRTPPEFGIKTRNSQLSSFSSGMSPSPVRKSIETLNSPGVFAGSLSATEMELSEDYTCVISHGPNPRTTHIFDNCIVESCCGVVGFSSLKRENGFLADRSSYQSESFLSFCYTCKKNLGQGKDIYMYRGEKAFCSRECRYQEMMLEEGIDKLESDDVFGTCS >EOY05066 pep chromosome:Theobroma_cacao_20110822:4:27070564:27075205:-1 gene:TCM_020171 transcript:EOY05066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily isoform 5 MPVSTATLGSVSFLSRLFNPDVSSFSCFLNQSKLSNLCCKPSLIKRPPSFYTLKVSAFSASTNAKTDNSEQAEAAATALLDDSVSSDAIRQARRSADWKAAKAYSHSGFIYEGRVEGFNGGGLLVRFYSLVGFLPFPQLSPSHSCKEPRKTIHQIAKSLVGALLSVKVIQADEETRKLIFSEKEAVWSKFSTRINVGDIFAGRVGSVEDYGAFVHLRFPDGLYYLTGLVHVSEVSWDLVQDVRDILTEGDDVRVKVVNIDRAKSRITLSIKQLEEDPLLETLDKVIPQDGSAGSDSLTTSNGSTIEPLPGLDTIFKELLQENGIDDVRISRQGFEKRVVSQDLQLWLSNAPPSDNMFTLLARAGRQVQEIQLTTSLDQEGIKKALQRVLERVP >EOY05068 pep chromosome:Theobroma_cacao_20110822:4:27070576:27075117:-1 gene:TCM_020171 transcript:EOY05068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily isoform 5 MPVSTATLGSVSFLSRLFNPDVSSFSCFLNQSKLSNLCCKPSLIKRPPSFYTLKVSAFSASTNAKTDNSEQAEAAATALLDDSVSSDAIRQARRSADWKAAKAYSHSGFIYEGRVEGFNGGGLLVRFYSLVGFLPFPQLSPSHSCKEPRKTIHQIAKSLVGALLSVKVIQADEETRKLIFSEKEAVWSKFSTRINVGDIFAGRVGSVEDYGAFVHLRFPDGLYYLTGLVHVSEVSWDLVQDVRDILTEGDDVRVKVVNIDRAKSRITLSIKQLEEDPLLETLDKVIPQDGSAGSDSLTTSNGSTIEPLPGLDTIFKELLQENG >EOY05067 pep chromosome:Theobroma_cacao_20110822:4:27070835:27074966:-1 gene:TCM_020171 transcript:EOY05067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily isoform 5 MPVSTATLGSVSFLSRLFNPDVSSFSCFLNQSKLSNLCCKPSLIKRPPSFYTLKVSAFSASTNAKTDNSEQAEAAATALLDDSVSSDAIRQARRSADWKAAKAYSHSGFIYEGRVEGFNGGGLLVRFYSLVGFLPFPQLSPSHSCKEPRKTIHQIAKSLVGALLSVKVIQADEETRKLIFSEKEAVWSKFSTRINVGDIFAGRVGSVEDYGAFVHLRFPDGLYYLTGLVHVSEVSWDLVQDVRDILTEGDDVRVKVVNIDRAKSRITLSIKQLEEDPLLETLDKVIPQDGSAGSDSLTTSNGSTIEPLPGLDTIFKELLQENGIDDVRISRQGFEKRVVSQDLQLWLSNAPPSDNMFTLLARAGRQVCRRYN >EOY05070 pep chromosome:Theobroma_cacao_20110822:4:27070576:27075117:-1 gene:TCM_020171 transcript:EOY05070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily isoform 5 MPVSTATLGSVSFLSRLFNPDVSSFSCFLNQSKLSNLCCKPSLIKRPPSFYTLKVSAFSASTNAKTDNSEQAEAAATALLDDSVSSDAIRQARRSADWKAAKAYSHSGFIYEGRVEGFNGGGLLVRFYSLVGFLPFPQLSPSHSCKEPRKTIHQIAKSLVGALLSVKVIQADEETRKLIFSEKEAVWSKFSTRINVGDIFAGRVGSVEDYGAFVHLRFPDGLYYLTGLVHVSEVSWDLVQDVRDILTEGDDVRVKVVNIDRAKSRITLSIKQLEEDPLLETLDKVIPQDGSAGSDSLTTSNGSTIEPLPGLDTIFKELLQENGIDDVRISRQGFEKRVVSQDLQLWLSNAPPSDNMFTLLARAGRQEIQLTTSLDQEGIKKALQRVLERVP >EOY05069 pep chromosome:Theobroma_cacao_20110822:4:27070827:27075117:-1 gene:TCM_020171 transcript:EOY05069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily isoform 5 MPVSTATLGSVSFLSRLFNPDVSSFSCFLNQSKLSNLCCKPSLIKRPPSFYTLKVSAFSASTNAKTDNSEQAEAAATALLDDSVSSDAIRQARRSADWKAAKAYSHSGFIYEGRVEGFNGGGLLVRFYSLVGFLPFPQLSPSHSCKEPRKTIHQIAKSLVGALLSVKVIQADEETRKLIFSEKEAVWSKFSTRINVGDIFAGRVGSVEDYGAFVHLRFPDGLYYLTGLVHVSEVSWDLVQDVRDILTEGDDVRVKVVNIDRAKSRITLSIKQLEEDPLLETLDKVIPQDGSAGSDSLTTSNGSTIEPLPGLDTIFKELLQENGIDDVRISRQGFEKRVVSQDLQLWLSNVSCSVLDLTMQCSSSVCDHNLNI >EOY05071 pep chromosome:Theobroma_cacao_20110822:4:27070641:27075117:-1 gene:TCM_020171 transcript:EOY05071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily isoform 5 MPVSTATLGSVSFLSRLFNPDVSSFSCFLNQSKLSNLCCKPSLIKRPPSFYTLKVSAFSASTNAKTDNSEQAEAAATALLDDSVSSDAIRQARRSADWKAAKAYSHSGFIYEGRVEGFNGGGLLVRFYSLVGFLPFPQLSPSHSCKEPRKTIHQIAKSLVGALLSVKVIQADEETRKLIFSEKEAVWSKFSTRINVGDIFAGRVGSVEDYGAFVHLRFPDGLYYLTGLVHVSEVSWDLVQDVRDILTEGDDVRVKVVNIDRAKSRITLSIKQLEEDPLLETLDKVIPQDGSAGSDSLTTSNGSTIEPLPGLDTIFKELLQENG >EOY03498 pep chromosome:Theobroma_cacao_20110822:4:16439722:16445207:-1 gene:TCM_018589 transcript:EOY03498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding protein 1 isoform 2 MCAQVFSAFGFVHKIATFEKAAGFQALIQFTDAETASSARNALDGRSIPRYLLPEHVTSCHLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAMEGLMQPVVGPDGKKQEPQSNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTAAVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAYSDKSRDYTISDPSLLATQVPGLPAAPNVWQNPQAAPLYPGTDYTASAAMQAQPPAGQVPAWDPNLQARPPYGSVPGTVPSQSYQSSSVPTYVNAATPAGSSPLSQPGVSSMRMPQPGVNVRPGGAPVPGQPPYYGQ >EOY03497 pep chromosome:Theobroma_cacao_20110822:4:16439722:16446073:-1 gene:TCM_018589 transcript:EOY03497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding protein 1 isoform 2 MVSYYASSSEPAQVRGKTVYIQYSNRHEIVNNKSPGDTPGNVLLVTIEGVEANDVTIETIHLVFSAFGFVHKIATFEKAAGFQALIQFTDAETASSARNALDGRSIPRYLLPEHVTSCHLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAMEGLMQPVVGPDGKKQEPQSNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTAAVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAYSDKSRDYTISDPSLLATQVPGLPAAPNVWQNPQAAPLYPGTDYTASAAMQAQPPAGQVPAWDPNLQARPPYGSVPGTVPSQSYQSSSVPTYVNAATPAGSSPLSQPGVSSMRMPQPGVNVRPGGAPVPGQPPYYGQ >EOY03496 pep chromosome:Theobroma_cacao_20110822:4:16439493:16446478:-1 gene:TCM_018589 transcript:EOY03496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding protein 1 isoform 2 MSTSSQPQFRYTQTPSKVLHLRNLPWECTEEELVELCKPFGKIVNTKCNVGANRNQAFVEFADLNQAISMVSYYASSSEPAQVRGKTVYIQYSNRHEIVNNKSPGDTPGNVLLVTIEGVEANDVTIETIHLVFSAFGFVHKIATFEKAAGFQALIQFTDAETASSARNALDGRSIPRYLLPEHVTSCHLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAMEGLMQPVVGPDGKKQEPQSNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTAAVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAYSDKSRDYTISDPSLLATQVPGLPAAPNVWQNPQAAPLYPGTDYTASAAMQAQPPAGQVPAWDPNLQARPPYGSVPGTVPSQSYQSSSVPTYVNAATPAGSSPLSQPGVSSMRMPQPGVNVRPGGAPVPGQPPYYGQ >EOY06200 pep chromosome:Theobroma_cacao_20110822:4:31278237:31281202:-1 gene:TCM_021008 transcript:EOY06200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 2 MLHSAPSFSIFNEGLEDGQGGEEALERTVTIGESIDAVGNADFSFGKKCMELIQEEGEEEEERGNRIQSPYNEEEVDLEPPSPPMYLATGLGIDGPGFGTMADAVDLSSMDLDEASDLEEFHKRLVNEYPCHPLFLRNYAKFLQSKGDVHGAEDYYHRATLADPEDGEILSQYAKIVWELHQDKDRALSYFERAVRASPQDSNVLGAYASFLWEIEADAEENREQEEYIKVEEEKTLRLSKNSQPIEETDPASLSLHPPNPGGFGVHADYVKAAKGIRDVDVEDYYRRMVKENPCNPLVLGNYARFLHQSKGDLEGAEEYYLQAIQADPGDGETMSQYAKLVWDLHHDHNEASHYFERAVEATPENSLVLAAYASFLWETEKDEDGNKTQDQTQVSLQQAHRPLDSDASTKAEDI >EOY06199 pep chromosome:Theobroma_cacao_20110822:4:31278370:31283022:-1 gene:TCM_021008 transcript:EOY06199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 2 MLLRSSSTPVIGSLLFPFSDSPNKDLDTTYRLLHGNHSRNLFCHGQENLNLTSLSHNSSPLSHSTSGFSEFNQESGSFHLRGFRRAKSEGSLEKLDYSSCDIAQFLDSRTPKKSFCRHHITMLHSAPSFSIFNEGLEDGQGGEEALERTVTIGESIDAVGNADFSFGKKCMELIQEEGEEEEERGNRIQSPYNEEEVDLEPPSPPMYLATGLGIDGPGFGTMADAVDLSSMDLDEASDLEEFHKRLVNEYPCHPLFLRNYAKFLQGDVHGAEDYYHRATLADPEDGEILSQYAKIVWELHQDKDRALSYFERAVRASPQDSNVLGAYASFLWEIEADAEENREQEEYIKVEEEKTLRLSKNSQPIEETDPASLSLHPPNPGGFGVHADYVKAAKGIRDVDVEDYYRRMVKENPCNPLVLGNYARFLHQSKGDLEGAEEYYLQAIQADPGDGETMSQYAKLVWDLHHDHNEASHYFERAVEATPENSLVLAAYASFLWETEKDEDGNKTQDQTQVSLQQAHRPLDSDASTKAEDI >EOY06227 pep chromosome:Theobroma_cacao_20110822:4:31357526:31362007:1 gene:TCM_021029 transcript:EOY06227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFQAKGMTSTASCSSTKRRRKSHDSSTPVDQVPADMLWSISNRLDIIDIIQAKVVCSSWNSLGEELVPRTPWLMLPSKEELERGYDVDDNAYSGFLKLGESQLRAYIIQKAILAGEPDCNNKKYGVILLCDNAKIAYHESKESCWTEVPNARHPPCQDIICHENHLFALVNYTQVACRLALAENLPGHEPPAKSIEKGMLAFCVLGDDKCIKPSCNGFKSTTTMMSSSIKGQFDVISYGVNQYLLEARVSSCQTMEMEAPWPQKICHPIESLFRLPMLHSGDIRVGTTPFPLQATIFQNAERTRFTFLVIIGYKQRNLAILIAAYTTLMIDQLSGHSTNLVSEVISRQF >EOY02845 pep chromosome:Theobroma_cacao_20110822:4:2573719:2578624:1 gene:TCM_017252 transcript:EOY02845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIGKVSRIEKECGFVNMYAPNEELARRNLWSELVEKMSGRDIMWCLGGDFNTVVNTLEMVIGTVGFSANWIISSLMLSGLIRNNGFSKNASQGLGKLVIICLWNVLSHGRFGQSGVKVGVMSGYHQGRLKRSCWLGMDVLWESLTKGFGIWLFSQLFGPYGSVGMRWCSKGNSGMQTIRGSPGPAGMRGILRDHQGDVKITFSKSVGNGDANLAEILAVREALVVFVASRWKDQYKLIIESDSCNAVKWVQHPDTTPWRLRKWLLHIERLREKLNDWKIQHVFREANQRADALAKEGVDQQSDSLRVFL >EOY04140 pep chromosome:Theobroma_cacao_20110822:4:22235325:22236969:1 gene:TCM_019396 transcript:EOY04140 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5-like receptor kinase, putative MNSLAGYEKKPDVSLITGFGIAGAVIAGILLGMGFLCLKQRKENSVHLSLPINHNGSIEAFIKKYGCLAPKRYSYIDIKKMTNKFKDKLGQGGYGSVYKGKLPDGRLVAVKVLSESKGNGEEFMNEVASISRTSHVNIVTLLGFCYEISKRALVYEFMPHGSLDKFIYNQGSMNQPRQLEWKTLYDIALGIARGLEYLHQGCNTRILHFDIKPHNILLDESFGPKISDFGLSKLCERKDSIISMTGARGTAGYIAPEVFCRNFGGVSHKSDVYSYGMMVLEMVGGRKNIDVEVSQTSEIYFPSWVYKHLDQPLDSSLDGVTGEEEEEITRKLIVVSLWCIQTIPSDRPSMTKVLEMLTGSLQSLAIPPRPFVTSPVRVPKASSTTLSLTLA >EOY05992 pep chromosome:Theobroma_cacao_20110822:4:30559675:30561749:1 gene:TCM_020851 transcript:EOY05992 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative MVEPSLGRIQESSYDRKSELRAFDDTKAGVKGLVDCGMAKVPRIFIHEEYKLERNRNQDAGNSNIGIPIIDLTGISEDTSLRREAVKEVGEACEKWGFFQAVNHGIAATTLDDMIEGIRRFHEQDSEVKREIYSRDYTREVNYNSNFDLYGAEATNWRDSLTCVMAPRQPHPEELPTVCRDILIEYSKKVMKFGDTLFELLSEALGLSRSHLKDIGCGEGLFVLGHYYPPCPEPDLTLGTSSHTDSSFFTVLLQDQIGGLQVLHENQWVDVTPIHGALVVNLGDMLQLITNDKFKSVHHRVLASTIGPRISIASFFRTHLPPENASRQYGPIKELLSEENPPIYRETTVKDYVSHYHSKGLDCRTLEHFRL >EOY03017 pep chromosome:Theobroma_cacao_20110822:4:3655556:3656678:1 gene:TCM_017427 transcript:EOY03017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMVNFFWQDHENFVDDGIQIELFNLNKEREEGYFDADENFVEYAKDNEIKVIKQVIRAQFKANFNLS >EOY04407 pep chromosome:Theobroma_cacao_20110822:4:24219390:24221467:-1 gene:TCM_019669 transcript:EOY04407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase 1, putative isoform 1 MDHHMISAMVKRVREIFIFFFLAMLSKAIGTKPFWRTREPADGNSNDCLSWRLAVEANNVRGWRTVPTHCQHYIETYMIGGQYELDMNYIAEQIKSYVTDLVVSGDGMDAWILDVDDTCLSNIFYYQGKKYGCDPYDPSGFKAWAMMGACPAIPAVLGLFTKLVDSGFKVFLVTGRDEETLAPATIANLHNQGFIGYERLIFRTQAFKGKSAVVFKSEIRKQLMKEGYKIWGNVGDQWTDLQGECLGNQTFKLPNPMYCVP >EOY04406 pep chromosome:Theobroma_cacao_20110822:4:24219390:24223672:-1 gene:TCM_019669 transcript:EOY04406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase 1, putative isoform 1 MISAMVKRVREIFIFFFLAMLSKAIGTKPFWRTREPADGNSNDCLSWRLAVEANNVRGWRTVPTHCQHYIETYMIGGQYELDMNYIAEQIKSYVTDLVVSGDGMDAWILDVDDTCLSNIFYYQGKKYGCDPYDPSGFKAWAMMGACPAIPAVLGLFTKLVDSGFKVFLVTGRDEETLAPATIANLHNQGFIGYERLIFRTQAFKGKSAVVFKSEIRKQLMKEGYKIWGNVGDQWTDLQGECLGNQTFKLPNPMYCVP >EOY04405 pep chromosome:Theobroma_cacao_20110822:4:24219390:24224007:-1 gene:TCM_019669 transcript:EOY04405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase 1, putative isoform 1 MISAMVKRVREIFIFFFLAMLSKAIGTKPFWRTREPADGNSNDCLSWRLAVEANNVRGWRTVPTHCQHYIETYMIGGQYELDMNYIAEQIKSYVTDLVVSGDGMDAWILDVDDTCLSNIFYYQGKKYGCDPYDPSGFKAWAMMGACPAIPAVLGLFTKLVDSGFKVFLVTGRDEETLAPATIANLHNQGFIGYERLIFRTQAFKGKSAVVFKSEIRKQLMKEGYKIWGNVGDQWTDLQGECLGNQTFKLPNPMYCVP >EOY03447 pep chromosome:Theobroma_cacao_20110822:4:15785879:15803605:1 gene:TCM_018523 transcript:EOY03447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTADLLSRCAIPSYRTNATDFRSNASSSMKRAESLSRCAIQSVGSRSSSPASEDTFSRRAFLARDDVPANRHLYPPEWLRGINRDRGGQHLHSSAYSTVLHKANASNTGASGSWDVQVNRQRRMMPYYPRSSLDHFNVTFEPQIQWPGYWSPPSAAILKINCAGAVSASSHQAGFGAVIRTQEGYFLAASSGKPAACEEAIVAEISAIKEGLRLADWMKLQEVEVRSDSLFVITELQKPGNSWLAFPYHQLLNEVKGIVATFRNCKFLHVGQEANSVAHWLAMYGFFVETIQSWFYTAPNFLLPALQQDVYSGIKKTSYAKISRGILLEVSCMPVDIPIDMPNDVDI >EOY02946 pep chromosome:Theobroma_cacao_20110822:4:3106191:3109586:-1 gene:TCM_017342 transcript:EOY02946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-regulated protein precursor MTGQKKQSKYRGFQGLKGFRGLVLVQRESIGINQGLKVFSAATLDNIPVMRITGLNRQLDHQTAAASLLVQYWTAAASILLCLTAVSHISACLLAPLSPSKVSSSPRLGCSIAPRRVSIKATATTYDTSTVDYSSMLSVFPAEACETIGGDACRADIYPEVKLQPEARNDSPRTATELIDREYLEYNDAKTVFCAEACDDLGGEFCSQEYQRGVY >EOY04645 pep chromosome:Theobroma_cacao_20110822:4:25333405:25336187:1 gene:TCM_019848 transcript:EOY04645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MEIVTRESENALSGPRPMEWSTVPYAPQGPDRNGNKRTSSLESPIMLLTGHQSAIYTMKFNPTGTVVASGSHDREIFLWNVHGDCKNFMVLKGHKNAVLDLHWTTDGSQIISASPDKTLRAWDVETGKQIKKMAEHSSFVNSCCPSRRGPPLVVSGSDDGTAKLWDMRQRGAIQTFPDKYQITAVSFSDASDKIFTGGIDNDVKLWDLRKGEVTMTLQGHQDMITGMSLSPDGSYLLTNGMDCKLCIWDMRPYAPQNRCVKILEGHQHNFEKNLLKCGWSPDGSKVTAGSSDRMVYIWDTTTRRILYKLPGHAGSVNESVFHPNEPIIGSCSSDKQIYLGEI >EOY04646 pep chromosome:Theobroma_cacao_20110822:4:25333405:25336187:1 gene:TCM_019848 transcript:EOY04646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MEWSTVPYAPQGPDRNGNKRTSSLESPIMLLTGHQSAIYTMKFNPTGTVVASGSHDREIFLWNVHGDCKNFMVLKGHKNAVLDLHWTTDGSQIISASPDKTLRAWDVETGKQIKKMAEHSSFVNSCCPSRRGPPLVVSGSDDGTAKLWDMRQRGAIQTFPDKYQITAVSFSDASDKIFTGGIDNDVKLWDLRKGEVTMTLQGHQDMITGMSLSPDGSYLLTNGMDCKLCIWDMRPYAPQNRCVKILEGHQHNFEKNLLKCGWSPDGSKVTAGSSDRMVYIWDTTTRRILYKLPGHAGSVNESVFHPNEPIIGSCSSDKQIYLGEI >EOY04644 pep chromosome:Theobroma_cacao_20110822:4:25333140:25335985:1 gene:TCM_019848 transcript:EOY04644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MEIVTRESENALSGPRPMEWSTVPYAPQGPDRNGNKRTSSLESPIMLLTGHQSAIYTMKFNPTGTVVASGSHDREIFLWNVHGDCKNFMVLKGHKNAVLDLHWTTDGSQIISASPDKTLRAWDVETGKQIKKMAEHSSFVNSCCPSRRGPPLVVSGSDDGTAKLWDMRQRGAIQTFPDKYQITAVSFSDASDKIFTGGIDNDVKLWDLRKGEVTMTLQGHQDMITGMSLSPDGSYLLTNGMDCKLCIWDMRPYAPQNRCVKILEGHQHNFEKNLLKCGWSPDGSKVTAGSSDRMVYIWDTTTRRILYKLPGHAGSVNESVFHPNEPIIGSCSSDKQIYLGEI >EOY06729 pep chromosome:Theobroma_cacao_20110822:4:32778089:32780941:1 gene:TCM_021370 transcript:EOY06729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLAELTTWRAEKSKRKDIEAKREKERDAKESEFTTWRTDVEGKRESAKRPCSSRITFRRLLHTDGSKYVDSRRLSCIVYCPRFSGIGSCVNLILVFAKMLSVVFVAFFFLLGRCFRLKFLLFVFVLGFVLFGFLFGEVCLVLPRVINEV >EOY03602 pep chromosome:Theobroma_cacao_20110822:4:17367979:17374805:-1 gene:TCM_018702 transcript:EOY03602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein lytic proteinase B3 isoform 2 MAAAASSSTASFSGVSLCATRSISNKNNLFLAPPRLSLSFPSKPNSLKSLQFKRNGGFRRFPKTSSSSFIVRCDASTGRITQQEFTEMAWQAIVSSPDVAKENKHQIVETEHLMKALLEQKNGLARRIFSKVGVDNTRLLEATDKFIQRQPKVLSESAGSMLGRDLEALIQRARECKKEYGDSFVSVEHLVLGFTQDQRFGKQLFRDFQISHLSLKSAIESIRGRQSVIDQDPEGKYEALEKYGKDLTEMAKEGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRKLISLDMGALIAGAKYRGEFEDRLKAVLREVTESEGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVEDTISILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLTNDTDKASRDRLTRLEAELSLLKEKQAELTEQWEHEKTVMTRIQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLADAEKELDEYIKSGKSMLREEVTGNDIAEVVSKWTGIPVSKLQQSEREKLLHLEEELHKRVVGQDPAVRSVAEAIQRSRAGLSDPRRPIASFMFMGPTGVGKTELAKALASYLFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTEIVRRRPYAVILFDEIEKAHGDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNSDEDTPKDIAYDTIKQRVMEAARAVFRPEFMNRVDEYIVFQPLDREQINSIVKLQLERVQKRIGDRKIKLQVTDGAIQLLGSLGYDPNYGARPVKRVIQQNVENELAKGILRGEFKDEDSILVDTELTAFANGQLPQQKLIFRRLDRDSETQATDSEEALSQTV >EOY03603 pep chromosome:Theobroma_cacao_20110822:4:17367921:17372733:-1 gene:TCM_018702 transcript:EOY03603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein lytic proteinase B3 isoform 2 MAKEGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRKLISLDMGALIAGAKYRGEFEDRLKAVLREVTESEGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVEDTISILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLTNDTDKASRDRLTRLEAELSLLKEKQAELTEQWEHEKTVMTRIQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLADAEKELDEYIKSGKSMLREEVTGNDIAEVVSKWTGIPVSKLQQSEREKLLHLEEELHKRVVGQDPAVRSVAEAIQRSRAGLSDPRRPIASFMFMGPTGVGKTELAKALASYLFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTEIVRRRPYAVILFDEIEKAHGDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNSDEDTPKDIAYDTIKQRVMEAARAVFRPEFMNRVDEYIVFQPLDREQINSIVKLQLERVQKRIGDRKIKLQVTDGAIQLLGSLGYDPNYGARPVKRVIQQNVENELAKGILRGEFKDEDSILVDTELTAFANGQLPQQKLIFRRLDRDSETQATDSEEALSQTV >EOY03589 pep chromosome:Theobroma_cacao_20110822:4:17341688:17347081:1 gene:TCM_018695 transcript:EOY03589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine O-acetyltransferase isoform 4 MTVIKLKSGGLWVHAPIAPTKECIKLLKELGAPVEYIVLPTFAYEHKIFVGPFSRKFPKAQVWVAPRQWSWPLNLPLEFFGIFRAKILEDEDLSTPWADEIEQKVLSSPEVGIGPYVEVAFYHKRSRTLLVTDAVIYVPKKPPECINKEYLLASAKNGLAVKLLSKGKKVPDEPVVDNEINRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIIKTLVFSKVPEKIRDWIDGIARDWKFKRIIPAHFAGPIKAGRAELLAAFAFLDDLLGERYITRPSLALLFTSLMGKAASYFPPDDMKTLSSLDELLVSVGAVKKTVSGRER >EOY03591 pep chromosome:Theobroma_cacao_20110822:4:17343257:17346759:1 gene:TCM_018695 transcript:EOY03591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine O-acetyltransferase isoform 4 MTVIKLKSGGLWVHAPIAPTKECIKLLKELGAPVEYIVLPTFAYEHKIFVGPFSRKFPKAQVWVAPRQWSWPLNLPLEFFGIFRAKILEDEDLSTPWADEIEQKVLSSPEVGIGPYVEVAFYHKRSRTLLVTDAVIYVPKKPPECINKEYLLASAKNGLAVKLLSKGKKVPDEPVVDNEINRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIIKTLVFSKVPEKIRDWIDGIARDWKFKRIIPAHFAGPIKAGRAELLAAFAFLDDLLGERYITRPSLALLFTSLMGKAASYFPPDDMKTLSSLDELLVSVGAVKKTVSGRER >EOY03590 pep chromosome:Theobroma_cacao_20110822:4:17341688:17347081:1 gene:TCM_018695 transcript:EOY03590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine O-acetyltransferase isoform 4 MVAAIAVTSPKSTVLQSPISLKNPNSSFLGGSLKGFSLQLKPRSQKKDSGISLVVASAGDKTTAGGSYSSSTASANRFYFNITGFPFPLGPFLNRRTTRTEAVKGSIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTKECIKLLKELGAPVEYIVLPTFAYEHKIFVGPFSRKFPKAQVWVAPRQWSWPLNLPLEFFGIFRAKILEDEDLSTPWADEIEQKVLSSPEVGIGPYVEVAFYHKRSRTLLVTDAVIYVPKKPPECINKEYLLASAKNGLAVKLLSKGKKVPDEPVVDNEINRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIIKTLVFSKVPEKVPLRQAGLNY >EOY03592 pep chromosome:Theobroma_cacao_20110822:4:17342292:17347084:1 gene:TCM_018695 transcript:EOY03592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine O-acetyltransferase isoform 4 MTVIKLKSGGLWVHAPIAPTKECIKLLKELGAPVEYIVLPTFAYEHKIFVGPFSRKFPKAQVWVAPRQWSWPLNLPLEFFGIFRAKILEDEDLSTPWADEIEQKVLSSPEVDAVIYVPKKPPECINKEYLLASAKNGLAVKLLSKGKKVPDEPVVDNEINRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIIKTLVFSKVPEKIRDWIDGIARDWKFKRIIPAHFAGPIKAGRAELLAAFAFLDDLLGERYITRPSLALLFTSLMGKAASYFPPDDMKTLSSLDELLVSVGAVKKTVSGRER >EOY03587 pep chromosome:Theobroma_cacao_20110822:4:17341688:17347081:1 gene:TCM_018695 transcript:EOY03587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine O-acetyltransferase isoform 4 MVAAIAVTSPKSTVLQSPISLKNPNSSFLGGSLKGFSLQLKPRSQKKDSGISLVVASAGDKTTAGGSYSSSTASANRFYFNITGFPFPLGPFLNRRTTRTEAVKGSIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTKECIKLLKELGAPVEYIVLPTFAYEHKIFVGPFSRKFPKAQVWVAPRQWSWPLNLPLEFFGIFRAKILEDEDLSTPWADEIEQKVLSSPEVGIGPYVEVAFYHKRSRTLLVTDAVIYVPKKPPECINKEYLLASAKNGLAVKLLSKGKKVPDEPVVDNEINRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIIKTLVFSKVPEKIRDWIDGIARDWKFKRIIPAHFAGPIKAGRAELLAAFAFLDDLLGERYITRPSLALLFTSLMGKAASYFPPDDMKTLSSLDELLVSVGAVKKTVSGRER >EOY03588 pep chromosome:Theobroma_cacao_20110822:4:17341688:17347081:1 gene:TCM_018695 transcript:EOY03588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine O-acetyltransferase isoform 4 MTVIKLKSGGLWVHAPIAPTKECIKLLKELGAPVEYIVLPTFAYEHKIFVGPFSRKFPKAQVWVAPRQWSWPLNLPLEFFGIFRAKILEDEDLSTPWADEIEQKVLSSPEVGIGPYVEVAFYHKRSRTLLVTDAVIYVPKKPPECINKEYLLASAKNGLAVKLLSKGKKVPDEPVVDNEINRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIIKTLVFSKVPEKIRDWIDGIARDWKFKRIIPAHFAGPIKAGRAELLAAFAFLDDLLGERYITRPSLALLFTSLMGKAASYFPPDDMKTLSSLDELLVSVGAVKKTVSGRER >EOY04158 pep chromosome:Theobroma_cacao_20110822:4:22345779:22346339:1 gene:TCM_019419 transcript:EOY04158 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS domain protein, putative MFTKDVVDKISRWFKGSLLPFLGYDNANEWQFQVPTDIPMQENQVDCALFVMKYADCLTHGDCFPFSPKDMAHFRHRTLLDIYEGSLFQPEFRAKQTVK >EOY05637 pep chromosome:Theobroma_cacao_20110822:4:29254379:29267746:1 gene:TCM_020585 transcript:EOY05637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Time for coffee, putative isoform 4 MDRTREARRVTMAAAAATNGLSRRRHRSSSLRDSPEDDGPVELQETARLRDRKKDRDRERERERERDRDRERDRERDRERERDRLSRTSKRRRGDRLISSREDGGEDSSEESVNDEEDDDDEDGGGTGGGGSVRMLPPNNAGSLSMSNHHHQQHQHHQQQQQQHQHRKSFPPPVKVIRTTPPAGTTMTSATTTSCTWKPADEMIGVSVPRKARSASTKRSHEWASSGVGGGVIGGDQIQRQASTSPVRTGVAGMLMSPSPAPASPSSSNASMRKKMKPNGPKQRPPKSSKSSSSAQEEIEIEIAEVLYGLMRQPQVPSKQEIIGNDSVKFDAREVNKPNNDAKSRVSSPISNSPSTLPQSSSILPPNSNSSATPMSAIAPKRKRPRPVKYEDETTTTAPPPSIFPVRNSSVSSTTTKVEIDQPAKIEASSPPNLEKNLGSVAENGGSSYDLMNSSQAGPASSELVQAEPVKEEKNNLVPDSKPLTEESESRDIGLSRKEESQSPKKESSPSPANNPPSTGLRLDDERENLTVTKANSTVCEIESQREEKFQIDLMAPPPSRSSPERDGEIEFGASDPKPMATDMELEMKSIVKVDDKRVKVGQEDVNVEAEDSNKKAKPIAEEAESHKPVGNKERNIDLQLDLEKSDRDSVTVSVSANKLNNHGQKLQHQQPSMEKTAQSGSLPLPMSMASWPGGLPPMGYMAPLQGVVSMDGSAVSSAAIQPPHLLFTQPRPKRCATHCYIARNIHYHQQFMKMNPFWPAAPGSASIYGAKACNLNVVPPTELRGNIPGRGVNSVQDKGQGLAIFPGHVGKDKGPQAAANMVDAAQRKQILLQQALPPGAAPSNILHGPAFIFPLSQQQAAAAASARPGSVKSPPAAGSAASSSTSNSASITATPVGATAAPSMSFSYPNMPGNETQYLAILQNNAYPFPIPAHVGAPPAYRGNHAQPMPFIHGSFYSSQMLHPSQLQQQQQQQQQPPPQLQQSQQGHQNTSMSSGSSSSQKHLQNQQQRPHGSGVSSGSGNLQVFPSSKNQSPHPLQLQQRQQQPSQHASHQARQLEGELGGEDSPSTADSRVSRANMNVYGQNFAMPLQPSNFALMTAGSVGGSTSSGGNHGEKKQQMQHPSQQPASKAGVEPLTSQAFAMSFPSINGTTAPGLDISSLAQNHAILQSLTENTRQGYQQIMAAAVAAQAAHQKKNNYHVSEEGKRGTNDASSVEEERKAMAGKGSATVGQSIAFSRLDLSDSSVSTIPGSNVIDSSARTLNLGSASARTSGSVMPASISGVNAPNAQQQLQRNQQQQQQQMLQLQKQHQFGPASAPRSKTPATSNGSAYSDHLPSSSMAAKFPNALSAFPQNLVQSSSSPAQSPQWKNSVRTTASQVPSSSLSSSTSSSLKNIPQQQGRPQQGHTQISFVANPKSSSQVQQPPNSAPSPSPPMVVGSPTTSISRSAGGSPRTTGSTSTGNKGGQATSLSSQQAKNSPSVPSRKSSPVGGRSVPSVLGNPHISSSSNSGTKPQVVLQQQQHQKHTLHQAQLFFSNAYMQPQAQHSPSSTATGTAASGFYLQRHRNEQQQAQSPGSSTTSSTSMLSLCSPVTLANSGTTDPAKAVVAAAAAAAAAGNMKGGGLPSQGLVHAAQFATTQSGKPHQLVPGFPYVHAVPAAVQVKPAEQKQPAGE >EOY05638 pep chromosome:Theobroma_cacao_20110822:4:29254379:29267833:1 gene:TCM_020585 transcript:EOY05638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Time for coffee, putative isoform 4 MDRTREARRVTMAAAAATNGLSRRRHRSSSLRDSPEDDGPVELQETARLRDRKKDRDRERERERERDRDRERDRERDRERERDRLSRTSKRRRGDRLISSREDGGEDSSEESVNDEEDDDDEDGGGTGGGGSVRMLPPNNAGSLSMSNHHHQQHQHHQQQQQQHQHRKSFPPPVKVIRTTPPAGTTMTSATTTSCTWKPADEMIGVSVPRKARSASTKRSHEWASSGVGGGVIGGDQIQRQASTSPVRTGVAGMLMSPSPAPASPSSSNASMRKKMPNGPKQRPPKSSKSSSSAQEEIEIEIAEVLYGLMRQPQVPSKQEIIGNDSVKFDAREVNKPNNDAKSRVSSPISNSPSTLPQSSSILPPNSNSSATPMSAIAPKRKRPRPVKYEDETTTTAPPPSIFPVRNSSVSSTTTKVEIDQPAKIEASSPPNLEKNLGSVAENGGSSYDLMNSSQAGPASSELVQAEPVKEEKNNLVPDSKPLTEESESRDIGLSRKEESQSPKKESSPSPANNPPSTGLRLDDERENLTVTKANSTVCEIESQREEKFQIDLMAPPPSRSSPERDGEIEFGASDPKPMATDMELEMKSIVKVDDKRVKVGQEDVNVEAEDSNKKAKPIAEEAESHKPVGNKERNIDLQLDLEKSDRDSVTVSVSANKLNNHGQKLQHQQPSMEKTAQSGSLPLPMSMASWPGGLPPMGYMAPLQGVVSMDGSAVSSAAIQPPHLLFTQPRPKRCATHCYIARNIHYHQQFMKMNPFWPAAPGSASIYGAKACNLNVVPPTELRGNIPGRGVNSVQDKGQGLAIFPGHVGKDKGPQAAANMVDAAQRKQILLQQALPPGAAPSNILHGPAFIFPLSQQQAAAAASARPGSVKSPPAAGSAASSSTSNSASITATPVGATAAPSMSFSYPNMPGNETQYLAILQNNAYPFPIPAHVGAPPAYRGNHAQPMPFIHGSFYSSQMLHPSQLQQQQQQQQQPPPQLQQSQQGHQNTSMSSGSSSSQKHLQNQQQRPHGSGVSSGSGNLQVFPSSKNQSPHPLQLQQRQQQPSQHASHQARQLEGELGGEDSPSTADSRVSRANMNVYGQNFAMPLQPSNFALMTAGSVGGSTSSGGNHGEKKQQMQHPSQQPASKAGVEPLTSQAFAMSFPSINGTTAPGLDISSLAQNHAILQSLTENTRQGYQQIMAAAVAAQAAHQKKNNYHVSEEGKRGTNDASSVEEERKAMAGKGSATVGQSIAFSRLDLSDSSVSTIPGSNVIDSSARTLNLGSASARTSGSVMPASISGVNAPNAQQQLQRNQQQQQQQMLQLQKQHQFGPASAPRSKTPATSNGSAYSDHLPSSSMAAKFPNALSAFPQNLVQSSSSPAQSPQWKNSVRTTASQVPSSSLSSSTSSSLKNIPQQQGRPQQGHTQISFVANPKSSSQVQQPPNSAPSPSPPMVVGSPTTSISRSAGGSPRTTGSTSTGNKGGQATSLSSQQAKNSPSVPSRKSSPVGGRSVPSVLGNPHISSSSNSGTKPQVVLQQQQHQKHTLHQAQLFFSNAYMQPQAQHSPSSTATGTAASGFYLQRHRNEQQQAQSPGSSTTSSTSMLSLCSPVTLANSGTTDPAKAVVAAAAAAAAAGNMKGGGLPSQGLVHAAQFATTQSGKPHQLVPGFPYVHAVPAAVQVKPAEQKQPAGE >EOY05641 pep chromosome:Theobroma_cacao_20110822:4:29261531:29268108:1 gene:TCM_020585 transcript:EOY05641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Time for coffee, putative isoform 4 MRQPQVPSKQEIIGNDSVKFDAREVNKPNNDAKSRVSSPISNSPSTLPQSSSILPPNSNSSATPMSAIAPKRKRPRPVKYEDETTTTAPPPSIFPVRNSSVSSTTTKVEIDQPAKIEASSPPNLEKNLGSVAENGGSSYDLMNSSQAGPASSELVQAEPVKEEKNNLVPDSKPLTEESESRDIGLSRKEESQSPKKESSPSPANNPPSTGLRLDDERENLTVTKANSTVCEIESQREEKFQIDLMAPPPSRSSPERDGEIEFGASDPKPMATDMELEMKSIVKVDDKRVKVGQEDVNVEAEDSNKKAKPIAEEAESHKPVGNKERNIDLQLDLEKSDRDSVTVSVSANKLNNHGQKLQHQQPSMEKTAQSGSLPLPMSMASWPGGLPPMGYMAPLQGVVSMDGSAVSSAAIQPPHLLFTQPRPKRCATHCYIARNIHYHQQFMKMNPFWPAAPGSASIYGAKACNLNVVPPTELRGNIPGRGVNSVQDKGQGLAIFPGHVGKDKGPQAAANMVDAAQRKQILLQQALPPGAAPSNILHGPAFIFPLSQQQAAAAASARPGSVKSPPAAGSAASSSTSNSASITATPVGATAAPSMSFSYPNMPGNETQYLAILQNNAYPFPIPAHVGAPPAYRGNHAQPMPFIHGSFYSSQMLHPSQLQQQQQQQQQPPPQLQQSQQGHQNTSMSSGSSSSQKHLQNQQQRPHGSGVSSGSGNLQVFPSSKNQSPHPLQLQQRQQQPSQHASHQARQLEGELGGEDSPSTADSRVSRANMNVYGQNFAMPLQPSNFALMTAGSVGGSTSSGGNHGEKKQQMQHPSQQPASKAGVEPLTSQAFAMSFPSINGTTAPGLDISSLAQNHAILQSLTENTRQGYQQIMAAAVAAQAAHQKKNNYHVSEEGKRGTNDASSVEEERKAMAGKGSATVGQSIAFSRLDLSDSSVSTIPGSNVIDSSARTLNLGSASARTSGSVMPASISGVNAPNAQQQLQRNQQQQQQQMLQLQKQHQFGPASAPRSKTPATSNGSAYSDHLPSSSMAAKFPNALSAFPQNLVQSSSSPAQSPQWKNSVRTTASQVPSSSLSSSTSSSLKNIPQQQGRPQQGHTQISFVANPKSSSQVQQPPNSAPSPSPPMVVGSPTTSISRSAGGSPRTTGSTSTGNKGGQATSLSSQQAKNSPSVPSRKSSPVGGRSVPSVLGNPHISSSSNSGTKPQVVLQQQQHQKHTLHQAQLFFSNAYMQPQAQHSPSSTATGTAASGFYLQRHRNEQQQAQSPGSSTTSSTSMLSLCSPVTLANSGTTDPAKAVVAAAAAAAAAGNMKGGGLPSQGLVHAAQFATTQSGKPHQLVPGFPYVHAVPAAVQVKPAEQKQPAGE >EOY05640 pep chromosome:Theobroma_cacao_20110822:4:29261531:29268108:1 gene:TCM_020585 transcript:EOY05640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Time for coffee, putative isoform 4 MLMSPSPAPASPSSSNASMRKKMKPNGPKQRPPKSSKSSSSAQEEIEIEIAEVLYGLMRQPQVPSKQEIIGNDSVKFDAREVNKPNNDAKSRVSSPISNSPSTLPQSSSILPPNSNSSATPMSAIAPKRKRPRPVKYEDETTTTAPPPSIFPVRNSSVSSTTTKVEIDQPAKIEASSPPNLEKNLGSVAENGGSSYDLMNSSQAGPASSELVQAEPVKEEKNNLVPDSKPLTEESESRDIGLSRKEESQSPKKESSPSPANNPPSTGLRLDDERENLTVTKANSTVCEIESQREEKFQIDLMAPPPSRSSPERDGEIEFGASDPKPMATDMELEMKSIVKVDDKRVKVGQEDVNVEAEDSNKKAKPIAEEAESHKPVGNKERNIDLQLDLEKSDRDSVTVSVSANKLNNHGQKLQHQQPSMEKTAQSGSLPLPMSMASWPGGLPPMGYMAPLQGVVSMDGSAVSSAAIQPPHLLFTQPRPKRCATHCYIARNIHYHQQFMKMNPFWPAAPGSASIYGAKACNLNVVPPTELRGNIPGRGVNSVQDKGQGLAIFPGHVGKDKGPQAAANMVDAAQRKQILLQQALPPGAAPSNILQQAAAAASARPGSVKSPPAAGSAASSSTSNSASITATPVGATAAPSMSFSYPNMPGNETQYLAILQNNAYPFPIPAHVGAPPAYRGNHAQPMPFIHGSFYSSQMLHPSQLQQQQQQQQQPPPQLQQSQQGHQNTSMSSGSSSSQKHLQNQQQRPHGSGVSSGSGNLQVFPSSKNQSPHPLQLQQRQQQPSQHASHQARQLEGELGGEDSPSTADSRVSRANMNVYGQNFAMPLQPSNFALMTAGSVGGSTSSGGNHGEKKQQMQHPSQQPASKAGVEPLTSQAFAMSFPSINGTTAPGLDISSLAQNHAILQSLTENTRQGYQQIMAAAVAAQAAHQKKNNYHVSEEGKRGTNDASSVEEERKAMAGKGSATVGQSIAFSRLDLSDSSVSTIPGSNVIDSSARTLNLGSASARTSGSVMPASISGVNAPNAQQQLQRNQQQQQQQMLQLQKQHQFGPASAPRSKTPATSNGSAYSDHLPSSSMAAKFPNALSAFPQNLVQSSSSPAQSPQWKNSVRTTASQVPSSSLSSSTSSSLKNIPQQQGRPQQGHTQISFVANPKSSSQVQQPPNSAPSPSPPMVVGSPTTSISRSAGGSPRTTGSTSTGNKGGQATSLSSQQAKNSPSVPSRKSSPVGGRSVPSVLGNPHISSSSNSGTKPQVVLQQQQHQKHTLHQAQLFFSNAYMQPQAQHSPSSTATGTAASGFYLQRHRNEQQQAQSPGSSTTSSTSMLSLCSPVTLANSGTTDPAKAVVAAAAAAAAAGNMKGGGLPSQGLVHAAQFATTQSGKPHQLVPGFPYVHAVPAAVQVKPAEQKQPAGE >EOY05639 pep chromosome:Theobroma_cacao_20110822:4:29254379:29267833:1 gene:TCM_020585 transcript:EOY05639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Time for coffee, putative isoform 4 MDRTREARRVTMAAAAATNGLSRRRHRSSSLRDSPEDDGPVELQETARLRDRKKDRDRERERERERDRDRERDRERDRERERDRLSRTSKRRRGDRLISSREDGGEDSSEESVNDEEDDDDEDGGGTGGGGSVRMLPPNNAGSLSMSNHHHQQHQHHQQQQQQHQHRKSFPPPVKVIRTTPPAGTTMTSATTTSCTWKPADEMIGVSVPRKARSASTKRSHEWASSGVGGGVIGGDQIQRQASTSPPNGPKQRPPKSSKSSSSAQEEIEIEIAEVLYGLMRQPQVPSKQEIIGNDSVKFDAREVNKPNNDAKSRVSSPISNSPSTLPQSSSILPPNSNSSATPMSAIAPKRKRPRPVKYEDETTTTAPPPSIFPVRNSSVSSTTTKVEIDQPAKIEASSPPNLEKNLGSVAENGGSSYDLMNSSQAGPASSELVQAEPVKEEKNNLVPDSKPLTEESESRDIGLSRKEESQSPKKESSPSPANNPPSTGLRLDDERENLTVTKANSTVCEIESQREEKFQIDLMAPPPSRSSPERDGEIEFGASDPKPMATDMELEMKSIVKVDDKRVKVGQEDVNVEAEDSNKKAKPIAEEAESHKPVGNKERNIDLQLDLEKSDRDSVTVSVSANKLNNHGQKLQHQQPSMEKTAQSGSLPLPMSMASWPGGLPPMGYMAPLQGVVSMDGSAVSSAAIQPPHLLFTQPRPKRCATHCYIARNIHYHQQFMKMNPFWPAAPGSASIYGAKACNLNVVPPTELRGNIPGRGVNSVQDKGQGLAIFPGHVGKDKGPQAAANMVDAAQRKQILLQQALPPGAAPSNILHGPAFIFPLSQQQAAAAASARPGSVKSPPAAGSAASSSTSNSASITATPVGATAAPSMSFSYPNMPGNETQYLAILQNNAYPFPIPAHVGAPPAYRGNHAQPMPFIHGSFYSSQMLHPSQLQQQQQQQQQPPPQLQQSQQGHQNTSMSSGSSSSQKHLQNQQQRPHGSGVSSGSGNLQVFPSSKNQSPHPLQLQQRQQQPSQHASHQARQLEGELGGEDSPSTADSRVSRANMNVYGQNFAMPLQPSNFALMTAGSVGGSTSSGGNHGEKKQQMQHPSQQPASKAGVEPLTSQAFAMSFPSINGTTAPGLDISSLAQNHAILQSLTENTRQGYQQIMAAAVAAQAAHQKKNNYHVSEEGKRGTNDASSVEEERKAMAGKGSATVGQSIAFSRLDLSDSSVSTIPGSNVIDSSARTLNLGSASARTSGSVMPASISGVNAPNAQQQLQRNQQQQQQQMLQLQKQHQFGPASAPRSKTPATSNGSAYSDHLPSSSMAAKFPNALSAFPQNLVQSSSSPAQSPQWKNSVRTTASQVPSSSLSSSTSSSLKNIPQQQGRPQQGHTQISFVANPKSSSQVQQPPNSAPSPSPPMVVGSPTTSISRSAGGSPRTTGSTSTGNKGGQATSLSSQQAKNSPSVPSRKSSPVGGRSVPSVLGNPHISSSSNSGTKPQVVLQQQQHQKHTLHQAQLFFSNAYMQPQAQHSPSSTATGTAASGFYLQRHRNEQQQAQSPGSSTTSSTSMLSLCSPVTLANSGTTDPAKAVVAAAAAAAAAGNMKGGGLPSQGLVHAAQFATTQSGKPHQLVPGFPYVHAVPAAVQVKPAEQKQPAGE >EOY05473 pep chromosome:Theobroma_cacao_20110822:4:28673708:28675923:-1 gene:TCM_020468 transcript:EOY05473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tau class glutathione transferase GSTU43 MDEVKLFGFWPSPFSLRVIWALKLKGVNYEYIEENLPNKSDLLLQYNPVHKKIPVLVHGGKPIAESLVILEYIDEVWPENPLLPKDVHERSVDRFWAKFIDEKTRTMWEFFHKFGEEQEKAVKDNLEILKTIEEHGLGDKKFFGGDKLGLTDLVFGWALHILVPMEEVAGIKFIKADTFPRLHEWMKNFSEEPVIKDNVPDHNRVIDFFKTRRELYKTSPHHSQAKRCARPLHILIDLSNMDQVKLLGMWLSPYSYRVIWALKLEGIAYEYIEKDLSNKSPLLFQCNPVHKKIPVLIHGGKPICESSVILEYIEEIWPQNSLLPCDPYERVIARFWIKFADDKVSITK >EOY02638 pep chromosome:Theobroma_cacao_20110822:4:1648235:1651899:1 gene:TCM_017060 transcript:EOY02638 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative isoform 2 MGESACLMQPFSYTAGIPNEAKEGNPVHGLGQSISFGRFMSESLAWEKWSTFSHNKYVEEAERYSRPGSVAQKKAFFEAHYKSLAARKAAALLEQANAAANSAKESEVEGGVHDITTQGSEMTNSNSQIPVLDQEVKAPSTKAGSIHDGKENNSDFVKFESGKVEGDDSVAEHHVLLENCMKNESIERKASVDKVVIRDVELRETTQVEKCVKVDQPRQLREIIESELSEGTQMEKPLLKSFNTSQDEFEVTSKKKPTHSSSKVSAYARTSKVPSSPAKFTAPTRPNKGNNLTPMAKKSAMDISDRKRSTPKPSHKSINFTPATEFSKITSTIIQKIDGSRIASNSKASKECATPLRTPNTASTSGRPKQPSATPWSENRSARTPFNSSASVSKTARGKWNFLPTDCSKFLSACRNKSQSPGIFASFSLRTEERAARRKQRLEEKFNVSQEQKVQQQTTLKEKAEAELKKLRQSFCFKARPLPDFYKERRTPKDQMQKVPLTQPESPALGRKSTPSKAGTAQSKNSLPHQKSLIKNTCFKQVPEKKNQVSVRSLTTRVPAPAHGNTTPNIQHT >EOY02640 pep chromosome:Theobroma_cacao_20110822:4:1648271:1652049:1 gene:TCM_017060 transcript:EOY02640 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative isoform 2 MGESACLMQPFSYTAGIPNEAKEGNPVHGLGQSISFGRFMSESLAWEKWSTFSHNKYVEEAERYSRPGSVAQKKAFFEAHYKSLAARKAAALLEQANAAANSAKESEVEGGVHDITTQGSEMTNSNSQIPVLDQEVKAPSTKAGSIHDGKENNSDFVKFESGKVEGDDSVAEHHVLLENCMKNESIERKASVDKVVIRDVELRETTQVEKCVKVDQPRQLREIIESELSEGTQMEKPLLKSFNTSQDEFEVTSKKKPTHSSSKVSAYARTSKVPSSPAKFTAPTRPNKGNNLTPMAKKSAMDISDRKRSTPKPSHKSINFTPATEFSKITSTIIQKIDGSRIASNSKASKECATPLRTPNTASTSGRPKQPSATPWSENRSCSKFLSACRNKSQSPGIFASFSLRTEERAARRKQRLEEKFNVSQEQKVQQQTTLKEKAEAELKKLRQSFCFKARPLPDFYKERRTPKDQMQKVPLTQPESPALGRKSTPSKAGTAQSKNSLPHQKSLIKNTCFKQVPEKKNQVSVRSLTTRVPAPAHGNTTPNIQHT >EOY02639 pep chromosome:Theobroma_cacao_20110822:4:1648271:1652049:1 gene:TCM_017060 transcript:EOY02639 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative isoform 2 MGESACLMQPFSYTAGIPNEAKEGNPVHGLGQSISFGRFMSESLAWEKWSTFSHNKYVEEAERYSRPGSVAQKKAFFEAHYKSLAARKAAALLEQANAAANSAKESEVEGGVHDITTQGSEMTNSNSQIPVLDQEVKAPSTKAGSIHDGKENNSDFVKFESGKVEGDDSVAEHHVLLENCMKNESIERKASVDKVVIRDVELRETTQVEKCVKVDQPRQLREIIESELSEGTQMEKPLLKSFNTSQDEFEVTSKKKPTHSSSKVSAYARTSKVPSSPAKFTAPTRPNKGNNLTPMAKKSAMDISDRKRSTPKPSHKSINFTPATEFSKITSTIIQKIDGSRIASNSKASKECATPLRTPNTASTSGRPKQPSATPWSENRSARTPFNSSASVSKTARGKWNFLPTENKSQSPGIFASFSLRTEERAARRKQRLEEKFNVSQEQKVQQQTTLKEKAEAELKKLRQSFCFKARPLPDFYKERRTPKDQMQKVPLTQPESPALGRKSTPSKAGTAQSKNSLPHQKSLIKNTCFKQVPEKKNQVSVRSLTTRVPAPAHGNTTPNIQHT >EOY02641 pep chromosome:Theobroma_cacao_20110822:4:1648426:1652049:1 gene:TCM_017060 transcript:EOY02641 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative isoform 2 MGESACLMQPFSYTAGIPNEAKEGNPVHGLGQSISFGRFMSESLAWEKWSTFSHNKYVEEAERYSRPGSVAQKKAFFEAHYKSLAARKAAALLEQANAAANSAKESEVEGGVHDITTQGSEMTNSNSQIPVLDQEVKAPSTKAGSIHDGKENNSDFVKFESGKVEGDDSVAEHHVLLENCMKNESIERKASVDKVVIRDVELRETTQVEKCVKVDQPRQLREIIESELSEGTQMEKPLLKSFNTSQDEFEVTSKKKPTHSSSKVSAYARTSKVPSSPAKFTAPTRPNKGNNLTPMAKKSAMDISDRKRSTPKPSHKSINFTPATEFSKITSTIIQKIDGSRIASNSKASKECATPLRTPNTASTSGRPKQPSATPWSENRSARTPFNSSASVSKTARGKWNFLPTDCSKFLSACRGSIDTTRITCPGKKIHSQQGRHCPKQKLSSSSEVFD >EOY02642 pep chromosome:Theobroma_cacao_20110822:4:1648426:1652049:1 gene:TCM_017060 transcript:EOY02642 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative isoform 2 MGESACLMQPFSYTAGIPNEAKEGNPVHGLGQSISFGRFMSESLAWEKWSTFSHNKYVEEAERYSRPGSVAQKKAFFEAHYKSLAARKAAALLEQANAAANSAKESEVEGGVHDITTQGSEMTNSNSQIPVLDQEVKAPSTKAGSIHDGKENNSDFVKFESGKVEGDDSVAEHHVLLENCMKNESIERKASVDKVVIRDVELRETTQVEKCVKVDQPRQLREIIESELSEGTQMEKPLLKSFNTSQDEFEVTSKKKPTHSSSKVSAYARTSKVPSSPAKFTAPTRPNKGNNLTPMAKKSAMDISDRKRSTPKPSHKSINFTPATEFSKITSTIIQKIDGSRIASNSKASKECATPLRTPNTASTSGRPKQPSATPWSENRSCSKFLSACRGSIDTTRITCPGKKIHSQQGRHCPKQKLSSSSEVFD >EOY02716 pep chromosome:Theobroma_cacao_20110822:4:1895167:1898871:1 gene:TCM_017108 transcript:EOY02716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 14, putative MDVKNLSFLFSCVILGINLSTAGLLKCYDTGNFTTNSTYGKNLDLFLSSLPANVSRNGGFYRTTIGQGSNVVHGLALCRGDTSSEACSNCVNLRVKEIKASCPNQTEALLWEGDVVCLVRYANRSIFQTLELEPSQAGYNTGNILGVTWNVTPFYHIWANLMDGLVERASNGTSSLKFATGIANVELEKIYALMQCTPDISQKDCKACLNQTVDQYQRCCLGQRGGYVLTPNCHFRWDLYSFFNSSDDTLNLNPPPPHISPPLSATNNSTNRGDNGGLGSQAVVIIVVPIIILVAISALACILLRKRKNRKQENKSADNNNSLESLRFNFSAIKVSTDNFSENNKLGQGGFGSVYKGRLYDGQDIAVKRLSDNSVQGDLEFKNEVLLMAKLQHRNLVRLLGFSFEGKERLLIYEFLPNSSLDHFLFNPVRRLQLDWDKRHKIITGIARGIRYLHEDSRYRIVHRDLKAANILLDDDMNPKIADFGLARLFSVDQTHDATSKPAGTFGYMPPEYIRYGTYSVKSDVYSFGVLVLEIIIGEKISCFRSEEGEDLLTYAWQSWKRGTEMNMIDPALKDGPRIEMMRCIHVGLLCVQAIDSNRPNMTSVVLMLSNYSMSLPLPSRPAFVDSTMEPLQLTVNEASVSELDPR >EOY05114 pep chromosome:Theobroma_cacao_20110822:4:27258592:27261443:-1 gene:TCM_020203 transcript:EOY05114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetate beta-D-glucosyltransferase, putative isoform 1 MMAQPHVLLVTLPGQGHINPSLQFAKRLIHLGLRVTFATAVSAIRRMKPMSPLEGLTYVAAYSDGYDDGLKPGDDIDRYILESRRKGLETLSEFIGASIEEGIRFTCIVYGIMMPWVALVAREFHIPSTLLWNQPASVFVTYYYYFKDYGDIIRKTVKDPSSIVELPGLPPLASRDMPSFFLPANEYDCALPSLKQHVEILDEETKPKVLVNTFDALEPEAIKVIDKYNLVGIGPLIPSAFLDGNDHSDSSFGGDLFKGTNDFVQWLDSMPKSSVIYVSFGSILMLTKQQMEEIANGLLGTGYPFLWVIREGAGEKEEKLSRIEELKKQGMIVPWCSQVEVLSHPSVGCFLTHCGWNSALESLVSGVPMVTFPQLTDQGTNAKLVEDLWKTGVRVTRNPEERIVVEGHEIKRCLELIMEGGEKGEELRKNGKKWKYLAREAVKEDGSSLKNLEAFVHGLGKSY >EOY05113 pep chromosome:Theobroma_cacao_20110822:4:27258592:27261431:-1 gene:TCM_020203 transcript:EOY05113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetate beta-D-glucosyltransferase, putative isoform 1 MMAQPHVLLVTLPGQGHINPSLQFAKRLIHLGLRVTFATAVSAIRRMKPMSPLEGLTYVAAYSDGYDDGLKPGDDIDRYILESRRKGLETLSEFIGASIEEGIRFTCIVYGIMMPWVALVAREFHIPSTLLWNQPASVFVTYYYYFKDYGDIIRKTVKDPSSIVELPGLPPLASRDMPSFFLPANEYDCALPSLKQHVEILDEETKPKVLVNTFDALEPEAIKVIDKYNLVGIGPLIPSAFLDGNDHSDSSFGGDLFKGTNDFVQWLDSMPKSSVIYVSFGSILMLTKQQMEEIANGLLGTGYPFLWVIREGAGEKEEKLSRIEELKKQGMIVPWCSQVEVLSHPSVGCFLTHCGWNSALESLVSGVPMVTFPQLTDQGTNAKLVEDLWKTGVRVTRNPEERIVVEGHEIKRCLELIMEGGEKGEELRKNGKKWKYLAREAVKEDGSSLKNLEAFVHGLGKSY >EOY05115 pep chromosome:Theobroma_cacao_20110822:4:27258788:27261284:-1 gene:TCM_020203 transcript:EOY05115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetate beta-D-glucosyltransferase, putative isoform 1 MMAQPHVLLVTLPGQGHINPSLQFAKRLIHLGLRVTFATAVSAIRRMKPMSPLEGLTYVAAYSDGYDDGLKPGDDIDRYILESRRKGLETLSEFIGASIEEGIRFTCIVYGIMMPWVALVAREFHIPSTLLWNQPASVFVTYYYYFKDYGDIIRKTVKDPSSIVELPGLPPLASRDMPSFFLPANEYDCALPSLKQHVEILDEETKPKVLVNTFDALEPEAIKVIDKYNLVGIGPLIPSAFLDGNDHSDSSFGGDLFKGTNDFVQWLDSMPKSSVIYVSFGSILMLTKQQMEEIANGLLGTGYPFLWVIREGAGEKEEKLSRIEELKKQGMIVPWCSQVEVLSHPSVGCFLTHCGWNSALESLVSGVPMVTFPQLTDQGTNAKLVEDLWKTGVRVTRNPEERIVVEGHEIKRCLELIMEGGEKGEELRKNGKKWKYLAREAVKEDGSSLKNLEAFVHGLGKSY >EOY04570 pep chromosome:Theobroma_cacao_20110822:4:25052853:25060365:-1 gene:TCM_019800 transcript:EOY04570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine diphosphate glycosyltransferase 74E2 MQIQEIGQIPMQRTAKGRQDPWRGITIQEVDMEEKLFWTKYWAEYPNQILPRRKFDDERYAFGQDGFNIYMLYYSTILFLNENLLPHLVTPLVPHLYCHINPMLQFSKRLGSEGLEVTLCTFSGNKSMPVQVGPVKLEPVSDDLQLDNDLKTVDAYLERFKAVATLRLPEIIAKRGISYLVYDSAIPWALDIAKHLGLPAAAFFTQSCAVDTIYYNVHEGLVKLPLAESSLSIDGLPLLQECDLPSFVYDIGSYPALLHTCVNQFSNFMEADWVFINTFTSLEDEVLNWMASQRPIKAIGPAIPSKYLDKRVEDDEEYGLHLFKPEIDICINWLNSKETGSVVYISFGSLAALGEEQMQELASGLQSSNSYFLWVVRETEQKKLPASFIGETSDKGLVVSWSPQLEVLAHEAVGCFMTHCGWNSTLEALSLGVPMVAVPQWTDQTTNAKYVTDVWQVGIRARKDDKGIITKEEIQRCIREIMEGDKSKDIKKNAEKWKNLAVEAVNVGGSSDKNIREFVAKLTCN >EOY05304 pep chromosome:Theobroma_cacao_20110822:4:27975135:27990594:1 gene:TCM_020333 transcript:EOY05304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF185) [Source:Projected from Arabidopsis thaliana (AT1G04900) TAIR;Acc:AT1G04900] MLSRSHTNKKLLPFIFNKLKVTPNEPFTPPFQALFSTHIVGEKPILVRDFIHRALYDPKHGYFSQRSGSVGMLERSIKFNQLEGIFGSFGILLPAILLMLAPNFWHVLGRKAYMKHLDKIYKQSGIAWFTPVELFKPWYAQGIAEAIMRTANLSVPLKIYEIGGGSGTCAKGIMDYIMLNAPPRVYNSMTYTSVEISPALAEIQKQTVGEVHGHLSKFKVEHRDATDRSGWGDVEQQPCWVIMLEVLDNLSHDLIYSENQVSPWMEVWVEKQLDREGLSELYKPLQDPLIKCCLEILELDKSNTNQSSAVSKAWSKLFPKPRRCWLPTGCMKLLEVLHAALPKMSLIASDFSYLPDVKIPGERAPLVSTKKDGYSSDYSNYLDAKGEADIFFATDFWLLERIDHYCSGWLKLQKDKSSTQGKKRRTITLDTSSFMEEFGLPSKTRTKDGYNPLLDDFKNTKFYLSVPTHNIK >EOY05306 pep chromosome:Theobroma_cacao_20110822:4:27975294:27990340:1 gene:TCM_020333 transcript:EOY05306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF185) [Source:Projected from Arabidopsis thaliana (AT1G04900) TAIR;Acc:AT1G04900] MLSRSHTNKKLLPFIFNKLKVTPNEPFTPPFQALFSTHIVGEKPILPWYAQGIAEAIMRTANLSVPLKIYEIGGGSGTCAKGIMDYIMLNAPPRVYNSMTYTSVEISPALAEIQKQTVGEVHGHLSKFKVEHRDATDRSGWGDVEQQPCWVIMLEVLDNLSHDLIYSENQVSPWMEVWVEKQLDREGLSELYKPLQDPLIKCCLEILELDKSNTNQSSAVSKAWSKLFPKPRRCWLPTGCMKLLEVLHAALPKMSLIASDFSYLPDVKIPGERAPLVSTKKDGYSSDYSNYLDAKGEADIFFATDFWLLERIDHYCSGWLKLQKDKSSTQGKKRRTITLDTSSFMEEFGLPSKTRTKDGYNPLLDDFKNTKFYLSVPTHNIK >EOY05305 pep chromosome:Theobroma_cacao_20110822:4:27975294:27990340:1 gene:TCM_020333 transcript:EOY05305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF185) [Source:Projected from Arabidopsis thaliana (AT1G04900) TAIR;Acc:AT1G04900] MRTANLSVPLKIYEIGGGSGTCAKGIMDYIMLNAPPRVYNSMTYTSVEISPALAEIQKQTVGEVHGHLSKFKVEHRDATDRSGWGDVEQQPCWVIMLEVLDNLSHDLIYSENQVSPWMEVWVEKQLDREGLSELYKPLQDPLIKCCLEILELDKSNTNQSSAVSKAWSKLFPKPRRCWLPTGCMKLLEVLHAALPKMSLIASDFSYLPDVKIPGERAPLVSTKKDGYSSDYSNYLDAKGEADIFFATDFWLLERIDHYCSGWLKLQKDKSSTQGKKRRTITLDTSSFMEEFGLPSKTRTKDGYNPLLDDFKNTKFYLSVPTHNIK >EOY02679 pep chromosome:Theobroma_cacao_20110822:4:1750307:1752744:1 gene:TCM_017085 transcript:EOY02679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ribosomal protein L37 isoform 1 MALNHIRSVRSFIIAKEAVGVGHRRFAAGPGKAKKGSKGAASDAPKASILSKEVKSTTVVGANILKDGADPKIMPDSEYPDWLWHLLDKRPALSELRRKNIETLPYEDLKRFVKLDNRARIKENNAVKAKN >EOY02676 pep chromosome:Theobroma_cacao_20110822:4:1750317:1752766:1 gene:TCM_017085 transcript:EOY02676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ribosomal protein L37 isoform 1 MALNHIRSVRSFIIAKEAVGVGHRRFAAGPGKAKKGSKGAASDAPKASILSKEVKSTTVVGANILKDGADPKIMPDSEYPDWLWHLLDKRPALSELRRKNIETLPYEDLKRFVKLDNRARIKENNAVKAKN >EOY02678 pep chromosome:Theobroma_cacao_20110822:4:1750317:1752766:1 gene:TCM_017085 transcript:EOY02678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ribosomal protein L37 isoform 1 MALNHIRSVRSFIIAKEAVGVGHRRFAAGPGKAKKGSKGAASDAPKASILSKEVKSTTVVGANILKDGADPKIMPDSEYPDWLWHLLDKRPALSELRRKNIETLPYEDLKRFVKLDNRARIKENNAVKAKN >EOY02677 pep chromosome:Theobroma_cacao_20110822:4:1751102:1752766:1 gene:TCM_017085 transcript:EOY02677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ribosomal protein L37 isoform 1 MALNHIRSVRSFIIAKEAVGVGHRRFAAGPGKAKKGSKGAASDAPKASILSKEVKSTTVVGANILKDGADPKIMPDSEYPDWLWHLLDKRPALSELRRKNIETLPYEDLKRFVKLDNRARIKENNAVKAKN >EOY02680 pep chromosome:Theobroma_cacao_20110822:4:1750380:1752744:1 gene:TCM_017085 transcript:EOY02680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ribosomal protein L37 isoform 1 MALNHIRSVRSFIIAKEAVGVGHRRFAAGPGKAKKGSKGAASDAPKASILSKEVKSTTVVGANILKDGADPKIMPDSEYPDWLWHLLDKRPALSELRRKNIETLPYEDLKRFVKLDNRARIKENNAVKAKN >EOY04899 pep chromosome:Theobroma_cacao_20110822:4:26367723:26386745:1 gene:TCM_020051 transcript:EOY04899 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MGVMSRRVVPVCGNLCFFCPSMRARSRQPVKRYKKLLADIFPRNQDAEPNDRKIGKLCEYALRNPLRIPKITGQLEQRCYKDLRNENFGSVKVVLCIYRKLLSACKEQIPLFASSLLGIIRTLLEQTRQDEMQILGCNALVEFINSQVDGTYMFNLEGLIPKLCQLAQEDGDDDRALRLRSAGLQVLASMVCFMGEHSHISMDFDSIISVTLENYMDVQMTPVNASKVEENGSSFPDTNEKGSSAPNLLINSDLDPTMDTSKSPSYWARVILRNIARLAKEATTVWRVLEPLFHNFDAENHWSQEKGVASSVLMSLQLLLEETGEKSHLLLAILVKHMEHKNVAKQPDIQVNIVNVITQLAQNAKPQPSVAIIGAITDLMKHLRKCLQNSSELSSSGDDIDKCNTDLQLGLEKCISQLSNKVGDVGPILDMMAVVLENISTNSIVARTTISAVHRTAQIISSIPNISYHKKAFPDALFHQLLLAMAHPDHETRVGANNIFSIVLMPLLLSSWSDQDKITSEAVSCDLSFAASKKVRSQSFAFQDESKDQAEHIDGRLKENGNQASDMAVKKSIMYQSHVRSYSFKDALGDGKMQLSHLRLSSHQVSLLLSSIWVQANSAENIPANFEAMARTYNIAVLFTRSKTSSHMALVRSFQLAFSLRGISLDQEGGLQPSRRRSLFTLASYMLIFSARAGNLPELIPIVKASLTDKTVDPYLKLVEDIQLQAVCVKSDVDGVAYGSKEDDLAASKSLLSIEPDPHLKETVISHLMTRFEKLSEDELSSIQKQLLQGFSPDDAYPLGAPLFMETPRPCSPLAQMGFQAFEEILPLAAMTDEEAFPEANGSQSDRKTSLSISTLDVLSVNELLDSVLETARQVASFSVSPTPIPYDQMKSQCEALVTGKQQKMSVLHSFKHQQDTKATLEKTEKEVLYLPSVKMEFSEDRKLIIREQGHVRGQLALCSQEFGQHSFRLPPSSPYDKFLKAAGC >EOY04897 pep chromosome:Theobroma_cacao_20110822:4:26367516:26387263:1 gene:TCM_020051 transcript:EOY04897 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MGVMSRRVVPVCGNLCFFCPSMRARSRQPVKRYKKLLADIFPRNQDAEPNDRKIGKLCEYALRNPLRIPKITGQLEQRCYKDLRNENFGSVKVVLCIYRKLLSACKEQIPLFASSLLGIIRTLLEQTRQDEMQILGCNALVEFINSQVDGTYMFNLEGLIPKLCQLAQEDGDDDRALRLRSAGLQVLASMVCFMGEHSHISMDFDSIISVTLENYMDVQMTPVNASKVEENGSSFPDTNEKGSSAPNLLINSDLDPTMDTSKSPSYWARVILRNIARLAKEATTVWRVLEPLFHNFDAENHWSQEKGVASSVLMSLQLLLEETGEKSHLLLAILVKHMEHKNVAKQPDIQVNIVNVITQLAQNAKPQPSVAIIGAITDLMKHLRKCLQNSSELSSSGDDIDKCNTDLQLGLEKCISQLSNKVGDVGPILDMMAVVLENISTNSIVARTTISAVHRTAQIISSIPNISYHKKAFPDALFHQLLLAMAHPDHETRVGANNIFSIVLMPLLLSSWSDQDKITSEAVSCDLSFAASKKVRSQSFAFQDESKDQAEHIDGRLKENGNQASDMAVKKSIMYQSHVRSYSFKDALGDGKMQLSHLRLSSHQVSLLLSSIWVQANSAENIPANFEAMARTYNIAVLFTRSKTSSHMALVRSFQLAFSLRGISLDQEGGLQPSRRRSLFTLASYMLIFSARAGNLPELIPIVKASLTDKTVDPYLKLVEDIQLQAVCVKSDVDGVAYGSKEDDLAASKSLLSIEPDPHLKETVISHLMTRFEKLSEDELSSIQKQLLQGFSPDDAYPLGAPLFMETPRPCSPLAQMGFQAFEEILPLAAMTDEEAFPEANGSQSDRKTSLSISTLDVLSVNELLDSVLETARQVASFSVSPTPIPYDQMKSQCEALVTGKQQKMSVLHSFKHQQDTKATLEKTEKEVLYLPSVKMEFSEDRKLIIREQGHVRGQLALCSQEFGQHSFRLPPSSPYDKFLKAAGC >EOY04902 pep chromosome:Theobroma_cacao_20110822:4:26371252:26386745:1 gene:TCM_020051 transcript:EOY04902 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MIISVTLENYMDVQMTPVNASKVEENGSSFPDTNEKGSSAPNLLINSDLDPTMDTSKSPSYWARVILRNIARLAKEATTVWRVLEPLFHNFDAENHWSQEKGVASSVLMSLQLLLEETGEKSHLLLAILVKHMEHKNVAKQPDIQVNIVNVITQLAQNAKPQPSVAIIGAITDLMKHLRKCLQNSSELSSSGDDIDKCNTDLQLGLEKCISQLSNKVGDVGPILDMMAVVLENISTNSIVARTTISAVHRTAQIISSIPNISYHKKAFPDALFHQLLLAMAHPDHETRVGANNIFSIVLMPLLLSSWSDQDKITSEAVSCDLSFAASKKVRSQSFAFQDESKDQAEHIDGRLKENGNQASDMAVKKSIMYQSHVRSYSFKDALGDGKMQLSHLRLSSHQVSLLLSSIWVQANSAENIPANFEAMARTYNIAVLFTRSKTSSHMALVRSFQLAFSLRGISLDQEGGLQPSRRRSLFTLASYMLIFSARAGNLPELIPIVKASLTDKTVDPYLKLVEDIQLQAVCVKSDVDGVAYGSKEDDLAASKSLLSIEPDPHLKETVISHLMTRFEKLSEDELSSIQKQLLQGFSPDDAYPLGAPLFMETPRPCSPLAQMGFQAFEEILPLAAMTDEEAFPEANGSQSDRKTSLSISTLDVLSVNELLDSVLETARQVASFSVSPTPIPYDQMKSQCEALVTGKQQKMSVLHSFKHQQDTKATLEKTEKEVLYLPSVKMEFSEDRKLIIREQGHVRGQLALCSQEFGQHSFRLPPSSPYDKFLKAAGC >EOY04901 pep chromosome:Theobroma_cacao_20110822:4:26367516:26387263:1 gene:TCM_020051 transcript:EOY04901 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MGVMSRRVVPVCGNLCFFCPSMRARSRQPVKRYKKLLADIFPRNQDAEPNDRKIGKLCEYALRNPLRIPKITGQLEQRCYKDLRNENFGSVKVVLCIYRKLLSACKEQIPLFASSLLGIIRTLLEQTRQDEMQILGCNALVEFINSQVDGTYMFNLEGLIPKLCQLAQEDGDDDRALRLRSAGLQVLASMVCFMGEHSHISMDFDSIISVTLENYMDVQMTPVNASKVEENGSSFPDTNEKGSSAPNLLINSDLDPTMDTSKSPSYWARVILRNIARLAKEATTVWRVLEPLFHNFDAENHWSQEKGVASSVLMSLQLLLEETGEKSHLLLAILVKHMEHKNVAKQPDIQVNIVNVITQLAQNAKPQPSVAIIGAITDLMKHLRKCLQNSSELSSSGDDIDKCNTDLQLGLEKCISQLSNKVGDVGPILDMMAVVLENISTNSIVARTTISAVHRTAQIISSIPNISYHKKAFPDALFHQLLLAMAHPDHETRVGANNIFSIVLMPLLLSSWSDQDKITSEAVSCDLSFAASKKVRSQSFAFQDESKDQAEHIDGRLKENGNQASDMAVKKSIMYQSHVRSYSFKDALGDGKMLSHLRLSSHQVSLLLSSIWVQANSAENIPANFEAMARTYNIAVLFTRSKTSSHMALVRSFQLAFSLRGISLDQEGGLQPSRRRSLFTLASYMLIFSARAGNLPELIPIVKASLTDKTVDPYLKLVEDIQLQAVCVKSDVDGVAYGSKEDDLAASKSLLSIEPDPHLKETVISHLMTRFEKLSEDELSSIQKQLLQGFSPDDAYPLGAPLFMETPRPCSPLAQMGFQAFEEILPLAAMTDEEAFPEANGSQSDRKTSLSISTLDVLSVNELLDSVLETARQVASFSVSPTPIPYDQMKSQCEALVTGKQQKMSVLHSFKHQQDTKATLEKTEKEVLYLPSVKMEFSEDRKLIIREQGHVRGQLALCSQEFGQHSFRLPPSSPYDKFLKAAGC >EOY04900 pep chromosome:Theobroma_cacao_20110822:4:26367516:26387263:1 gene:TCM_020051 transcript:EOY04900 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MGVMSRRVVPVCGNLCFFCPSMRARSRQPVKRYKKLLADIFPRNQDAEPNDRKIGKLCEYALRNPLRIPKITGQLEQRCYKDLRNENFGSVKVVLCIYRKLLSACKEQIPLFASSLLGIIRTLLEQTRQDEMQILGCNALVEFINSQVDGTYMFNLEGLIPKLCQLAQEDGDDDRALRLRSAGLQVLASMVCFMGEHSHISMDFDSIISVTLENYMDVQMTPVNASKVEENGSSFPDTNEKGSSAPNLLINSDLDPTMDTSKSPSYWARVILRNIARLAKEATTVWRVLEPLFHNFDAENHWSQEKGVASSVLMSLQLLLEETGEKSHLLLAILVKHMEHKNVAKQPDIQVNIVNVITQLAQNAKPQPSVAIIGAITDLMKHLRKCLQNSSELSSSGDDIDKCNTDLQLGLEKCISQLSNKVGDVGPILDMMAVVLENISTNSIVARTTISAVHRTAQIISSIPNISYHKKAFPDALFHQLLLAMAHPDHETRVGANNIFSIVLMPLLLSSWSDQDKITSEAVSCDLSFAASKKVRSQSFAFQDESKDQAEHIDGRLKENGNQASDMAVKKSIMYQSHVRSYSFKDALGDGKMQLSHLRLSSHQVSLLLSSIWVQANSAENIPANFEAMARTYNIAVLFTRSKTSSHMALVRSFQLAFSLRGISLDQEGGLQPSRRRSLFTLASYMLIFSARAGNLPELIPIVKASLTDKTVDPYLKLVEDIQLQAVCVKSDVDGVAYGSKEDDLAASKSLLSIEPDPHLKETVISHLMTRFEKLSEDELSSIQKQLLQGFSPDDAYPLGAPLFMETPRPCSPLAQMGFQAFEEILPLAAMTDEEAFPEANGSQSDRKTSLSISTLDVLSVNELLDSVLETARQVASFSVSPTPIPYDQMKSQCEALVTGKQQKMSVLHSFKHQQDTKATLEKTEKEVLYLPSVKMEFSEDRKLIIREQGHVRGQLALCSQEFGQHSFRLPPSSPYDKFLKAAGC >EOY04898 pep chromosome:Theobroma_cacao_20110822:4:26367516:26387263:1 gene:TCM_020051 transcript:EOY04898 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MGVMSRRVVPVCGNLCFFCPSMRARSRQPVKRYKKLLADIFPRNQDAEPNDRKIGKLCEYALRNPLRIPKITGQLEQRCYKDLRNENFGSVKVVLCIYRKLLSACKEQIPLFASSLLGIIRTLLEQTRQDEMQILGCNALVEFINSQVDGTYMFNLEGLIPKLCQLAQEDGDDDRALRLRSAGLQVLASMVCFMGEHSHISMDFDSIISVTLENYMDVQMTPVNASKVEENGSSFPDTNEKGSSAPNLLINSDLDPTMDTSKSPSYWARVILRNIARLAKEATTVWRVLEPLFHNFDAENHWSQEKGVASSVLMSLQLLLEETGEKSHLLLAILVKHMEHKNVAKQPDIQVNIVNVITQLAQNAKPQPSVAIIGAITDLMKHLRKCLQNSSELSSSGDDIDKCNTDLQLGLEKCISQLSNKVGDVGPILDMMAVVLENISTNSIVARTTISAVHRTAQIISSIPNISYHKKAFPDALFHQLLLAMAHPDHETRVGANNIFSIVLMPLLLSSWSDQDKITSEAVSCDLSFAASKKVRSQSFAFQDESKDQAEHIDGRLKENGNQASDMAVKKSIMYQSHVRSYSFKDALGDGKMQLSHLRLSSHQVSLLLSSIWVQANSAENIPANFEAMARTYNIAVLFTRSKTSSHMALVRSFQLAFSLRGISLDQEGGLQPSRRRSLFTLASYMLIFSARAGNLPELIPIVKASLTDKTVDPYLKLVEDIQLQAVCVKSDVDGVAYGSKEDDLAASKSLLSIEPDPHLKETVISHLMTRFEKLSEDELSSIQKQLLQGFSPDDAYPLGAPLFMETPRPCSPLAQMGFQAFEEILPLAAMTDEEAFPEANGSQSDRKTSLSISTLDVLSVNELLDSVLETARQVASFSVSPTPIPYDQMKSQCEALVTGKQQKMSVLHSFKHQQDTKATLEKTEKEVLYLPSVKMEFSEDRKLIIREQGHVRGQLALCSQEFGQHSFRLPPSSPYDKFLKAAGC >EOY04820 pep chromosome:Theobroma_cacao_20110822:4:26057587:26062375:-1 gene:TCM_019993 transcript:EOY04820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteinyl-tRNA synthetase, class Ia family protein, ARATH isoform 2 MGTLVTCYKPLLSIRFSSFPSSLRTFQLRTHSSNNPRRRNPIRRCFSSLSPSQPLINGKSVDKTNGNQPASPSTELWLHNTMSKKKEVFKPKVEGKVGMYVCGVTAYDLSHIGHARVYVIFDVLYRYLKHLGYEVCYVRNFTDVDDKIIARANELGEDPISLSRRYCDEFNQDMVHLHCLPPSVEPRVSDHVPQIIDMIKQILDNGYAYRVEGDVFFSVEKFPDYGQLSGRKVEDNRAGERVAVDSRKRNPADFALWKSTKEGEPFWESPWGPGRPGWHIECSAMSAAYLGHSFDIHGGGMDLVFPHHENEIAQSCAACKHSNISYWIHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLIGTHYRSPINYSDVLLESASERIFYIYQTLHDCENALSQHDTRLQGFIPPETSNVINKFHNDFLVSMSDDLHTPVVLAALSDPLKTINDLLHTREEASNAHRISGCSRKDN >EOY04819 pep chromosome:Theobroma_cacao_20110822:4:26057025:26062672:-1 gene:TCM_019993 transcript:EOY04819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteinyl-tRNA synthetase, class Ia family protein, ARATH isoform 2 MGTLVTCYKPLLSIRFSSFPSSLRTFQLRTHSSNNPRRRNPIRRCFSSLSPSQPLINGKSVDKTNGNQPASPSTELWLHNTMSKKKEVFKPKVEGKVGMYVCGVTAYDLSHIGHARVYVIFDVLYRYLKHLGYEVCYVRNFTDVDDKIIARANELGEDPISLSRRYCDEFNQDMVHLHCLPPSVEPRVSDHVPQIIDMIKQILDNGYAYRVEGDVFFSVEKFPDYGQLSGRKVEDNRAGERVAVDSRKRNPADFALWKASSSTKEGEPFWESPWGPGRPGWHIECSAMSAAYLGHSFDIHGGGMDLVFPHHENEIAQSCAACKHSNISYWIHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLIGTHYRSPINYSDVLLESASERIFYIYQTLHDCENALSQHDTRLQGFIPPETSNVINKFHNDFLVSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQAMRIESVAALEKIIRNVLSVLGLMPTSYSKALQRLKEMALQRAKLTEDQILQKIEERSEARKNKDYEKSDAIRKDLAVVGIALMDSPNGTTWRPAIPLALQEQQVAAT >EOY06055 pep chromosome:Theobroma_cacao_20110822:4:30775753:30784800:1 gene:TCM_020900 transcript:EOY06055 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein isoform 1 MASPSPFSSATPFLCYNNSKLSSVPSFSFLSYSRQAVQHLSNAKRKRLWLRRSCFKSPISAKVAQKSPLQYRKLGDSDLQISEITLGTMTFGEQNTEKEAHEMLSYAFENGINALDTAETYPIPMRKETNGRTDLYIASWLKSQPRDKVILATKVSGYSERSTYLRDNAKVLRVDAANIRESVEKSLKRLNTDYIDLLQIHWPDRYVPLFGEYFYNYSKWRPSIPFVEQLEAFQELIDEGKVRYIGVSNETAYGVMEFVHAAKVEGLPKIVSIQNSYSLLVRRFEVDLIEVCHPNNCNIGLLSYSPLGGGTLSGKYLDINSEAAKKGRLNLFPGYMERYNKSISKEATIQYIETAKKHGLTPVQLALGFVRDRPFMTSSIIGATSVDQLKEDIDAFLTTERPLLPEVMEDIEAVFKRYKDPAIL >EOY06056 pep chromosome:Theobroma_cacao_20110822:4:30779169:30784764:1 gene:TCM_020900 transcript:EOY06056 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein isoform 1 MASPSPFSSATPFLCYNNSKLSSVPSFSFLSYSRQAVQHLSNAKRKRLWLRRSCFKSPISAKVAQKSPLQYRKLGDSDLQISEITLGTMTFGEQNTEKEAHEMLSYAFENGINALDTAETYPIPMRKETNGRTDLYIASWLKSQPRDKVILATKVSGYSERSTYLRDNAKVLRVDAANIRESVEKSLKRLNTDYIDLLQIHWPDRYVPLFGEYFYNYSKWRPSIPFVEQLEAFQELIDEGKVRYIGVSNETAYGVMEFVHAAKVEGLPKIVSIQNSYSLLVRRFEGKQQYNISRQPKSMD >EOY06254 pep chromosome:Theobroma_cacao_20110822:4:31437065:31438115:1 gene:TCM_021050 transcript:EOY06254 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 87, putative MGRKKVKHELIPNESVRKATLKKRKAGLLKKLSELTTLCGVTACAIIFSGYNTQPDVWPSPIEAFHVLDKFNNLPAEKQGKYMMDQKVLLRRIMSQMNETLEKQREKNRELEVKLALAETNYDFNSLQRSEELVHLLKEKIKFVMNKIESKGLKG >EOY03443 pep chromosome:Theobroma_cacao_20110822:4:15691776:15694139:1 gene:TCM_018508 transcript:EOY03443 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441 MDEKNDVDKVEDVMLPGFRFHPTDEELVGFYLRRKIQHRPLLIELIKQVDIYKYEPWDLPTELAASGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSDSTKCIGLKKSLVFYRGRAAKGIKTDWMMHEFRLPSLSDSAPPKKLLDKSLPANDAWAICRIFKKTNSMAQRALSHPWISSLPETTASEILHQGAPCTQFSSENISCTTEIESAIHLCSNSELQQGSTASFSTVDIHSYKPFNTTVYRPSLFSVSNGDLHNNFMFSQLDMSGAPKCTVDAAPMLLSSPLISDVTKASESIDFGGQQQQYSGFSISLPQDMQGNAGVGESEEGMKRNSNATPDDNPWGTIRSMGFPFSLPPNVPDTWKPNLPWDSPQCPSEMSTTYSTDKCYS >EOY06647 pep chromosome:Theobroma_cacao_20110822:4:32542828:32546400:-1 gene:TCM_021307 transcript:EOY06647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha-1a isoform 3 MSLRPSTRTEVRRNRYKVAVDAEEGRRRREDNMVEIRKSKREESLQKKRREGLQAQQFAASMQSSNAEKKLESLPSLVAGVWSDNGTAQLEGTTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSSSDDVREQAVWALGNVAGDSPRCRDLVLSHGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVRPALPALERLVHSNDEEVLTDTCWALSYLSDGTNDKIQAVIEVGVCPRLVELLLNPSPSVLIPALRTVGNIVTGDDLQTQCIIDHGALACLLSLLTHNHKKSIKKEACWTISNITAGNKEQIQAVINAGIIEPLVNLLQNAEFDIKKEAAWAISNATSGGAHQQIKYLISQECIKPLCDLLTCPDPRIITVCLEGLENILKVGEAEKVAGVKDFNFCARMIEDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEEEEEEETLPPGDGTQQSFQFGANGLQVPSGGFNFS >EOY06648 pep chromosome:Theobroma_cacao_20110822:4:32542961:32546388:-1 gene:TCM_021307 transcript:EOY06648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha-1a isoform 3 MSLRPSTRTEVRRNRYKVAVDAEEGRRRREDNMVEIRKSKREESLQKKRREGLQAQQFAASMQSSNAEKKLESLPSLVAGVWSDNGTAQLEGTTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSSSDDVREQAVWALGNVAGDSPRCRDLVLSHGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVRPALPALERLVHSNDEEVLTDTCWALSYLSDGTNDKIQAVIEVGVCPRLVELLLSNPSPSVLIPALRTVGNIVTGDDLQTQCIIDHGALACLLSLLTHNHKKSIKKEACWTISNITAGNKEQIQAVINAGIIEPLVNLLQNAEFDIKKEAAWAISNATSGGAHQQIKYLISQECIKPLCDLLTCPDPRIITVCLEGLENILKVGEAEKVAGVKDFNFCARMIEDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEEEEEEETLPPGDGTQQSFQFGANGLQVPSGGFNFS >EOY06649 pep chromosome:Theobroma_cacao_20110822:4:32544082:32546439:-1 gene:TCM_021307 transcript:EOY06649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha-1a isoform 3 MSLRPSTRTEVRRNRYKVAVDAEEGRRRREDNMVEIRKSKREESLQKKRREGLQAQQFAASMQSSNAEKKLESLPSLVAGVWSDNGTAQLEGTTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSSSDDVREQAVWALGNVAGDSPRCRDLVLSHGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVRPALPALERLVHSNDEEVLTDTCWALSYLSDGTNDKIQAVIEVGVCPRLVELLLNPSPSVLIPALRTVGNIVTGDDLQTQCIIDHGALACLLSLLTHNHKKSIKKEACWTISNITAGNKEQIQAVINAGIIEPLVNLLQNAEFDIKKEAAWAISNATSGGAHQQIKYVMYLVPLSR >EOY06585 pep chromosome:Theobroma_cacao_20110822:4:32376340:32378486:1 gene:TCM_046791 transcript:EOY06585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase superfamily protein, putative MMAFSMRKIAGFIALFHVTSVVATVYPCESCGSPNLSPAPEAASPGLQPLSPADSPETNMAPKDGVFDVTEHGAVADGGIESSSAFSAAWNAACGHPGNSTFYIPKGTFLVGPISFPGPCYNNQSPNIEIRGTLLAPISLSAFQSSNWIAFRNLQGFTLTGGTETAKLDGQGAAEAWKQSSCEKSARCKKLITTIDFINVSCATISNITLSNSKGFHLGLHGSNNINIHNLKITAPGDSPNTDGIHVSHSSNIKISSSTIGVGDDCVSIGPGSSNISISNVHCGPGHGISVGSLGKYKNEKDVVGINVRNCTIKGTQNGIRVKTWPGAPASSASNMTFEDVFMINVSNPIIIDQEYCPSNTCNTTQATLACEAYRHIHKERQWHLQHQLCSDFAVQH >EOY05758 pep chromosome:Theobroma_cacao_20110822:4:29691273:29696729:1 gene:TCM_020677 transcript:EOY05758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tesmin/TSO1-like CXC domain-containing protein, putative isoform 1 MDTPEKTQISSSLSKFEDSPVFNYINSLSPIKPVKSVHLTQTFNPLSFASLPSIFTSPHLISHKESRFLKSYTDTSKPELSSGEGTKVSTNEEAGVEAGQLCGSSTELQENFDPGVSLGEASLELPNEASRFAIELPRTLKYDCGSPNCDPAPCVIETNCVSESNCASVSIVPFVQEASEKGLSDGGVEVAGVCQIEQKRENIGCDWENLISDTADLLIFNSPNGSEAFRDVIQKSLDPDTRFCATLISRFPQNDINEVSETTIDSDKHKDPSLQTGEAVELKEITHAHGNFENARLTNCMSGSLTDNVETGMCAPFSFKPGSNLHRGLRRRCLDFEMLAARRKNLVDGSNTSSSVDNQFVPSKPGNDSSRRILPGIGLHLNALATTSRDNKNIKHETLSSGTQKLSFPSSTTSILLPTAGQEAVHESLTSVSTERETDPVENGVQLAEDASQASAYLVNEEFNQNSPKKKRRRLEQAGETEACKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQDCFNKPIHEDTVLATRKQIESRNPLAFAPKVIRSSDSIPEVGDDSTKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSINCRCEGCKNAFGRKDGSAIVETEEEPEEEETDPCDKNGVEKNLEKTDILDNEEQNPVSALPTTPLQLCRSLVQLPFSSKSKPPRSFIAIGSSSTLYNGQRYGKPNIIRPQNIVEKHFQTVTEDEMPEILRGNCSPGTGIKTSSPNSKRISPPQCELGSTPGRRSGRKLILQSIPSFPSLTPQH >EOY05757 pep chromosome:Theobroma_cacao_20110822:4:29690949:29696711:1 gene:TCM_020677 transcript:EOY05757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tesmin/TSO1-like CXC domain-containing protein, putative isoform 1 MDTPEKTQISSSLSKFEDSPVFNYINSLSPIKPVKSVHLTQTFNPLSFASLPSIFTSPHLISHKESRFLKRHSYTDTSKPELSSGEGTKVSTNEEAGVEAGQLCGSSTELQENFDPGVSLGEASLELPNEASRFAIELPRTLKYDCGSPNCDPAPCVIETNCVSESNCASVSIVPFVQEASEKGLSDGGVEVAGVCQIEQKRENIGCDWENLISDTADLLIFNSPNGSEAFRDVIQKSLDPDTRFCATLISRFPQNDINEVSETTIDSDKHKDPSLQTGEAVELKEITHAHGNFENARLTNCMSGSLTDNVETGMCAPFSFKPGSNLHRGLRRRCLDFEMLAARRKNLVDGSNTSSSVDNQFVPSKPGNDSSRRILPGIGLHLNALATTSRDNKNIKHETLSSGTQKLSFPSSTTSILLPTAGQEAVHESLTSVSTERETDPVENGVQLAEDASQASAYLVNEEFNQNSPKKKRRRLEQAGETEACKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQDCFNKPIHEDTVLATRKQIESRNPLAFAPKVIRSSDSIPEVGDDSTKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSINCRCEGCKNAFGRKDGSAIVETEEEPEEEETDPCDKNGVEKNLEKTDILDNEEQNPVSALPTTPLQLCRSLVQLPFSSKSKPPRSFIAIGSSSTLYNGQRYGKPNIIRPQNIVEKHFQTVTEDEMPEILRGNCSPGTGIKTSSPNSKRISPPQCELGSTPGRRSGRKLILQSIPSFPSLTPQH >EOY06391 pep chromosome:Theobroma_cacao_20110822:4:31755246:31757573:1 gene:TCM_021125 transcript:EOY06391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin system component Cue protein, putative MYSHFVCSPALLLVSLRQHRLFSLTLFSHMDPDLLERALLECGNDVDIATKRLQELCLGAAEATGEKSGPVEEVGTAQLGTLANDGEAAATVAVQNPVAPENVAVDGAGWVDLFLREMMSCTSMDDAKARASRLLEVLQKSISRSAAEEAAQSFHKENIMLKEQIEVLIHENTVLKRAVVIQHERQKDLDDKNHELQHLRQLVSQYQEQLRTLEVNNYGLMMHLRQAQQNNSSIPGCFHPDVF >EOY03130 pep chromosome:Theobroma_cacao_20110822:4:5786244:5796608:1 gene:TCM_017656 transcript:EOY03130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 4 MAPVINLQVEASENESDVTSQVASNLSIQETSAAPSNESLANSSHLTNPLDTQSNSEPVSLDLTLCITIDESGGRDSAGLSLASTSESSNDPALRTTASAIPRVFSCNYCQRKFFSSQALGGHQNAHKRERTLAKRAMRMGIFSERYASLATLPLHGTSFRSLGIKAHCSTHQSFVPPARLPDSRNNARFEHGYLGLPIFTEDDEAELLWPGSFRQISEAVNTHPGFVLPGSSNMNFVEVTQPIDLESSTPDLTLKL >EOY05124 pep chromosome:Theobroma_cacao_20110822:4:27283624:27295492:1 gene:TCM_020209 transcript:EOY05124 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 4 MLGTSINPIKTASSSPSCCSSSYEKRMETMLSLTAFDNCNNSVPFLFSRSKLHCSKTLVTKLPGVRFQVSPGSKFRVFCESKTQELQIRRCSPLLEKVSLSSNDAVVSDEWKAVPDIWRSSAEKYGDRVAVVDPYHDPPSTMTYIQLEQEILDFAEGLRLIGLKPEDKLALFADNSSRWLVADQGIMAMGAINVVRGSRSAVEELLHIYNHSESVGLVVDNPEFFNRLAGTFCSKATMRFIVLLWGEKSCLATGETQGVPIFSYREIMELGRESRVGLTDSHDARQGYIYEAIGSDDVATLVYTSGTTDNPKGVMLTHKNLLHQIRNLWDVVPAEAGDRFLSMLPSWHAYERACEYFIFTHGIEQEYTTVRNLKDDLRHYQPHYLISVPLVYETLYSGIQKQIYTSSTARKFIALTFIRVSLAYMDLKRIYEGLCLTRDQKQPSYVVSMLEWLWARIIAAILWPLHVLAKKLVYEKIHSAIGISKAGISGGGSLPMHIDKFFEAIGVKLQNGYGLTESSPVIAARRPNCNVLGSVGHPIQHTEFKIVDSETDEVLPPGSKGIVKVRGPQVMKGYYKNPLATRQALDEDGWLNTGDIGWIAPCHSAGQSHRCGGVIVLEGRAKDTIVLSTGKMLNL >EOY05126 pep chromosome:Theobroma_cacao_20110822:4:27283624:27295144:1 gene:TCM_020209 transcript:EOY05126 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 4 MLGTSINPIKTASSSPSCCSSSYEKRMETMLSLTAFDNCNNSVPFLFSRSKLHCSKTLVTKLPGVRFQVSPGSKFRVFCESKTQELQIRRCSPLLEKVSLSSNDAVVSDEWKAVPDIWRSSAEKYGDRVAVVDPYHDPPSTMTYIQLEQEILDFAEGLRLIGLKPEDKLALFADNSSRWLVADQGIMAMGAINVVRGSRSAVEELLHIYNHSESVGLVVDNPEFFNRLAGTFCSKATMRFIVLLWGEKSCLATGETQGVPIFSYREIMELGRESRVGLTDSHDARQGYIYEAIGSDDVATLVYTSGTTDNPKGVMLTHKNLLHQIRNLWDVVPAEAGDRFLSMLPSWHAYERACEYFIFTHGIEQEYTTVRNLKDDLRHYQPHYLISVPLVYETLYSGIQKQIYTSSTARKFIALTFIRVSLAYMDLKRIYEGLCLTRDQKQPSYVVSMLEWLWARIIAAILWPLHVLAKKLVYEKIHSAIGISKAGISGGGSLPMHIDKFFEAIGVKLQNGYGLTESSPVIAARRPNCNVLGSVGHPIQHTEFKIVDSETDEVLPPGSKGIVKVRGPQVMKGYYKNPLATRQALDEDGWLNTGDIGWIAPCHSAGQSHRCGGVIVLEGRAKDTIVLSTGMLKA >EOY05122 pep chromosome:Theobroma_cacao_20110822:4:27285796:27296597:1 gene:TCM_020209 transcript:EOY05122 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 4 MTYIQLEQEILDFAEGLRLIGLKPEDKLALFADNSSRWLVADQGIMAMGAINVVRGSRSAVEELLHIYNHSESVGLVVDNPEFFNRLAGTFCSKATMRFIVLLWGEKSCLATGETQGVPIFSYREIMELGRESRVGLTDSHDARQGYIYEAIGSDDVATLVYTSGTTDNPKGVMLTHKNLLHQIRNLWDVVPAEAGDRFLSMLPSWHAYERACEYFIFTHGIEQEYTTVRNLKDDLRHYQPHYLISVPLVYETLYSGIQKQIYTSSTARKFIALTFIRGLCLTRDQKQPSYVVSMLEWLWARIIAAILWPLHVLAKKLVYEKIHSAIGISKAGISGGGSLPMHIDKFFEAIGVKLQNGYGLTESSPVIAARRPNCNVLGSVGHPIQHTEFKIVDSETDEVLPPGSKGIVKVRGPQVMKGYYKNPLATRQALDEDGWLNTGDIGWIAPCHSAGQSHRCGGVIVLEGRAKDTIVLSTGENVEPLEIEEAAMRSSLIQQIVVVGQDQRRLAAIIVPNKDEVLQAAKNLSIVDADAADLGKGKMTSLLYEELWKWTSECSFQIGPILIVDEPFSIDGGLMTPTMKIRRDKVVAQYKEEIANLYE >EOY05121 pep chromosome:Theobroma_cacao_20110822:4:27282348:27296680:1 gene:TCM_020209 transcript:EOY05121 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 4 MLGTSINPIKTASSSPSCCSSSYEKRMETMLSLTAFDNCNNSVPFLFSRSKLHCSKTLVTKLPGVRFQVSPGSKFRVFCESKTQELQIRRCSPLLEKVSLSSNDAVVSDEWKAVPDIWRSSAEKYGDRVAVVDPYHDPPSTMTYIQLEQEILDFAEGLRLIGLKPEDKLALFADNSSRWLVADQGIMAMGAINVVRGSRSAVEELLHIYNHSESVGLVVDNPEFFNRLAGTFCSKATMRFIVLLWGEKSCLATGETQGVPIFSYREIMELGRESRVGLTDSHDARQGYIYEAIGSDDVATLVYTSGTTDNPKGVMLTHKNLLHQIRNLWDVVPAEAGDRFLSMLPSWHAYERACEYFIFTHGIEQEYTTVRNLKDDLRHYQPHYLISVPLVYETLYSGIQKQIYTSSTARKFIALTFIRVSLAYMDLKRIYEGLCLTRDQKQPSYVVSMLEWLWARIIAAILWPLHVLAKKLVYEKIHSAIGISKAGISGGGSLPMHIDKFFEAIGVKLQNGYGLTESSPVIAARRPNCNVLGSVGHPIQHTEFKIVDSETDEVLPPGSKGIVKVRGPQVMKGYYKNPLATRQALDEDGWLNTGDIGWIAPCHSAGQSHRCGGVIVLEGRAKDTIVLSTGENVEPLEIEEAAMRSSLIQQIVVVGQDQRRLAAIIVPNKDEVLQAAKNLSIVDADAADLGKGKMTSLLYEELWKWTSECSFQIGPILIVDEPFSIDGGLMTPTMKIRRDKVVAQYKEEIANLYE >EOY05123 pep chromosome:Theobroma_cacao_20110822:4:27283831:27293591:1 gene:TCM_020209 transcript:EOY05123 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 4 MLGTSINPIKTASSSPSCCSSSYEKRMETMLSLTAFDNCNNSVPFLFSRSKLHCSKTLVTKLPGVRFQVSPGSKFRVFCESKTQELQIRRCSPLLEKVSLSSNDAVVSDEWKAVPDIWRSSAEKYGDRVAVVDPYHDPPSTMTYIQLEQEILDFAEGLRLIGLKPEDKLALFADNSSRWLVADQGIMAMGAINVVRGSRSAVEELLHIYNHSESVGLVVDNPEFFNRLAGTFCSKATMRFIVLLWGEKSCLATGETQGVPIFSYREIMELGRESRVGLTDSHDARQGYIYEAIGSDDVATLVYTSGTTDNPKGVMLTHKNLLHQIRNLWDVVPAEAGDRFLSMLPSWHAYERACEYFIFTHGIEQEYTTVRNLKDDLRHYQPHYLISVPLVYETLYSGIQKQIYTSSTARKFIALTFIRVSLAYMDLKRIYEGLCLTRDQKQPSYVVSMLEWLWARIIAAILWPLHVLAKKLVYEKIHSAIGISKAGISGGGSLPMHIDKFFEAIGVKLQNGYGLTESSPVIAARRPNCNI >EOY05125 pep chromosome:Theobroma_cacao_20110822:4:27283624:27296610:1 gene:TCM_020209 transcript:EOY05125 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 4 MLGTSINPIKTASSSPSCCSSSYEKRMETMLSLTAFDNCNNSVPFLFSRSKLHCSKTLVTKLPGVRFQVSPGSKFRVFCESKTQELQIRRCSPLLEKVSLSSNDAVVSDEWKAVPDIWRSSAEKYGDRVAVVDPYHDPPSTMTYIQLEQEILDFAEGLRLIGLKPEDKLALFADNSSRWLVADQGIMAMGAINVVRGSRSAVEELLHIYNHSESVGLVVDNPEFFNRLAGTFCSKATMRFIVLLWGEKSCLATGETQGVPIFSYREIMELGRESRVGLTDSHDARQGYIYEAIGSDDVATLVYTSGTTDNPKGVMLTHKNLLHQIRNLWDVVPAEAGDRFLSMLPSWHAYERACEYFIFTHGIEQEYTTVRNLKDDLRHYQPHYLISVPLVYETLYSGIQKQIYTSSTARKFIALTFIRVSLAYMDLKRIYEGLCLTRDQKQPSYVVSMLEWLWARIIAAILWPLHVLAKKLVYEKIHSAIGISKAGISGGGSLPMHIDKFFEAIGVKLQNGYGLTESSPVIAARRPNCNVLGSVGHPIQHTE >EOY06698 pep chromosome:Theobroma_cacao_20110822:4:32696143:32696873:1 gene:TCM_021345 transcript:EOY06698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVTHTWSNGLSACMVRRLAEVPARVACGSVRRMFMCDQVVNPSSNSLDSTFGGRQGIHHATISYAVQHIEPMFY >EOY04539 pep chromosome:Theobroma_cacao_20110822:4:24924917:24955667:1 gene:TCM_019776 transcript:EOY04539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein 2 MAEGFLQSSDGSYTEMEIIGDRFFNELLLSSLFQDVEKDVHGNIKTCKMHDVIHDLALFVSKAETLVLDETGPMSDTSHIRRLSIISTGIEVPTISEDVATKLRSLFSNVDVLHRMSNEPKSLRVLNFRGAKVEKLPAFLGKLEHLRYLDISRTKIKKLPKSFTQLYNLQTLSIMDCCLERLPKGITKLVSLRHIYFNKEKLMPVKVGCLPCLQTLPFFYVSIESGRKVEELGFLSQLRGELEIYNLEHVKEKAEAIRAKLLEKTEVYKLEFLWSYRREGYSNDKEVLEGLKPCSNLKSLKIVNYWGDNLPSWMLMSVHDFGYSFLDNLVFLKLIKCKECTNISGLGQLRNLQILEIDGMEKVKCVYKNFCNSNIESSSHVWSEATTLFPSLRRFSLENMNSLEEWVQGVGPGIEGSKDVVLFPQLEELIVLSCPKLKSVPTHRGH >EOY06091 pep chromosome:Theobroma_cacao_20110822:4:30893465:30897728:1 gene:TCM_020925 transcript:EOY06091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 29, putative MEAEFFMDLEDRMAVSHGSLMSYPSFVLLISTIILFSLSFSTAQSNGCSKRGEYSANSTYSRNLNLILSVLPSNASENGGFYNTSTGQGSDEVYALALCRGDLASGSCFDCINSSSEGIKKQCPNQKEAIFWGPGITSSESNLEQFNETLYKLMGVLLTEASSGSTLKFAAGDMNFTSSEKIYGLVQCTPDISKSDCRICLQGAIGELSECCGRKQGARILRPSCIAWFELNLFYDSNTIDAPSLSPPGPSTSADSVPPVITNNRESSRTTSRTTVIIVVSIVILLALVVIVSILCGILYKWKAKLNRDDDSAIRRLESLQFSLTAVKTATNDFHDADRLGQGGFGSVYKGMLQNGQEIAVKRLSRHSSQGELEFKNEILLVAKLQHRNLVRLMGFCLEGTERILVYEFVSNGSLDQYIFDSIKRGQLNWEMCYKKIICGIARGILYLHEDSCLRIIHRDLKASNVLLDEEMNPKISDFGMARLFAVNQIQGNTTRTVGTYGYMAPEYALHGQFSVKSDVFSFGILLLEIVSGKKNSWMNDSGELEHLPSYAWKNWREGTAENLIDPTLRRSSRSIIMRCIHVGLLCIQEHAAKRPTMASVVLMLNSNSLSLPPPMQPAFLMYGSMETSLLSSQQSSGSSQSGQSTDRHATPYFPLN >EOY05181 pep chromosome:Theobroma_cacao_20110822:4:27500151:27500773:-1 gene:TCM_020253 transcript:EOY05181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIIGKTPSNISTIKAPQSQGKTPSDNPMLAAYYERGKKSTTTLHTNQTKTFALPSLHSHANTNTRPMGDGRDGDDVMGEGSLLVQFSTSKNSEPTRIKLDKNTIRERKVQSGTLCEGPSRKTSILIHTAKPSCPSVSHFL >EOY03075 pep chromosome:Theobroma_cacao_20110822:4:4286035:4287455:1 gene:TCM_017503 transcript:EOY03075 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCHC-type integrase MELLKDYDYTILYHPGKANVVADALSRKSMGSLAHISIGRRSLVREIHSLGDIGVRLEVAETNALLAHFRVRPILMDKIKEAQSKDEFVIKALEDPQGRKGKMFTKGIDGVLRYGTRLYVPDGDGLRREILEEAHMAAYVVHPGATKMYQDLKEVYWWEGLKRDVAEFVSKCLVCQQVKVEHQKPAGLLQPLPVPEWKWEHIAMDFVTGLPRTSGGYDSIWIIVDRLTKSAHFLPVKTTYRAAQYA >EOY06525 pep chromosome:Theobroma_cacao_20110822:4:32160630:32164508:1 gene:TCM_021214 transcript:EOY06525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein, putative isoform 1 MGALASNRKRGGDEYFNFNFNHKNKALYANSPDFQFSKKPRFSLMNRSPEKAIVSSSDTVSRISRYPEAKLPFPREVHAPVRHHKFGLSALIPNQDSVKTHMMGNVLSRRLSNAKRQAFDVLRYFKKEKEVIFVEDDDEKEKEVVSDDFSVEEVEVIEKGKNNVEEEEKNVQPSSSSVVTELNNGSLRVENALDMLSLNNEVADVSTLEAYRKLLESAERRTPKLKDLDFQIELNEKRRAALQALRPEQKPEEEQEEVVPREPFTPLTEEEMAEVSHAFSTKNWKKILVSHKNSSIDIRGEVLQCLKPGAWLNDEVINLYLELLKERENREPEKFLKCHFFNTFFYKKLVSPESGYNFRAVKRWTSQRKLGYCLFDCDKIFVPIHKDIHWCLAVINNKDQKFQYLDSLKGRDAKVLSSLAKYFVEEVKDKTGKDIDVRSWEREYVEDLPAQENGNTCPISD >EOY06524 pep chromosome:Theobroma_cacao_20110822:4:32160630:32164224:1 gene:TCM_021214 transcript:EOY06524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein, putative isoform 1 MGALASNRKRGGDEYFNFNFNHKNKALYANSPDFQFSKKPRFSLMNRSPEKAIVSSSDTVSRISRYPEAKLPFPREVHAPVRHHKFGLSALIPNQDSVKTHMMGNVLSRRLSNAKRQAFDVLRYFKKEKEVIFVEDDDEKEKEVVSDDFSVEEVEVIEKGKNNVEEEEKNVQPSSSSVVTELNNGSLRVENALDMLSLNNEVADVSTLEAYRKLLESAERRTPKLKDLDFQIELNEKRRAALQALRPEQKPEEEQEEVVPREPFTPLTEEEMAEVSHAFSTKNWKKILVSHKNSSIDIRGEVLQCLKPGAWLNDEVINLYLELLKERENREPEKFLKCHFFNTFFYKKLVSPESGYNFRAVKRWTSQRKLGYCLFDCDKIFVPIHKDIHWCLAVINNKDQKFQYLDSLKGRDAKVLSSLAKYFVEEVKDKTGKDIDVRSWEREYVEDLPAQENGFDCGMFMLKYIDFYSRGLRLCFDQEHMPYFRLRTAKEILKLRTE >EOY04394 pep chromosome:Theobroma_cacao_20110822:4:24163819:24175672:-1 gene:TCM_019660 transcript:EOY04394 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase, putative MAKAILNIVVVLVSCCFALLASGQLTYPSDADALNAIRRKLKDPGKTLRRWKKGDPCESNWTGVICTTNQDDGYFHVQELRMLNLNLSGTLAPELGQLSNLTLLNFIWNNITGSIPKEIGNIKSLKFLLLSGNQLSGSLPDELGYLPNLLMLQVDLNQISGTLPKSFVNLVSCKHFHMNNNSISGQIPSELSTMPELLHFLLDNNNLSGYLPSEYSQMRSLKILQLDNNNFGGTEIPASYSNMSKLVKLSLRNCNLQGAIPDFSSIQPLRYLDLSHNHLTGGIPTNKLSDNITTIDLSYNLLNGSIPSNFSGLPHLQRLSLENNLLSGDVPSTVWQNVNFTAAARLIIDFQNNSLSNISGSINPPSNVTIRLEGNPVCNIANQLNIAQFCGITTGDDDFVPGSSSNSNNSCPPQSCPLSENFEYVPESPLYCFCAAPLGVGLRLRSPTISDFRPYTNPYRLYITASLGLDLYQLVVDSFIWQKGPRLQLYLKIFPQSTAKFNDSEIQRIRDMIATFAIPSNDTFGPYELLNFTLLRPYSNVELQPLESGLSKGALIGIILGAIFSVVAICLAIMVLFYKRRTQSRREVLKKQSIAKVPIRTESVKEFSFVELEAGTSGFSDTTQVGRGGYGKVYRGILANGTVVAIKRAQQGSLQGQIEFITEIELLSRLHHRNLVSLVGYCSEQGEQMLVYEFMPNGSLHDLLSDRYRDTLSFPLRLRIALGSAKGILYLHNEADPPIIHRDIKANNILLDFKFNPKVSDFGISRLAPVPDAEGASAHVSTVVKGTPGYLDPEYFLTHKLTEKSDVYSLGIVFLELLTGMQPISHGRNIVREVYGACQSGLMFSIIDQSMGSYPSECTKKFMALALKCCQDDPKERPTMLEVVRELENLCSVLPESDLIPTGSDASSAGRMSAGYASSPLYSGRNSQGTTEILGSELISGVIPTIRPR >EOY02759 pep chromosome:Theobroma_cacao_20110822:4:2145774:2150393:-1 gene:TCM_017162 transcript:EOY02759 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase MDNLLQCGMCNDDTAWLNLLSCETTSPDASGYRCSVNGSEDQCGTFAVIRTNSYYSSLSNLSFYLGLNRFKIAEANCFSAQTEFLPRDQPLLVPIDCKCNNGFFQADLTKTTVKGESFYGIVESLEGLTTCKAIREKNPGVSPWGFGDKVRSLGAFKLESLIPLTSLLIPLNGDPLLGSLAKPSEPNLHFPETSIPIINPHKKKSRMWKVGVYIALSGVVVGAIIAVAATFLVIQLKRKKKQNCRKDVDVELQQLSLSERTASEKKVFFEGSEDALDGKIIDSSTPCKALMEMYTIEGLRKATEDFNSSNQIEGSVYHGRLNGKNLAIRHTRTENISKVELRFFNDATHQHPNIIKLLGTCVTEVSNSFLVFEYAKNGSLKDWLHGGLAMKNQFIASCYCFLTWKQRLRISLDVAVALQYMHQIMNPSYVHRNVKSRNIFLEEDFNAKIGKFGMARCVEDDTEYPDLSTNPASWSLGYLAPEYLHQGVISPSIDVFAYGIVLLEILSGQTPISKPDKKGGGNVWLSEKIKAILQSESAEELREWMDSALGENYSFDEAVTLANLARACIEEDPSLRPSAGEIVEKLSRLVEESLEVEHMLICESSCKPLVKASTTNL >EOY03325 pep chromosome:Theobroma_cacao_20110822:4:12574947:12590894:-1 gene:TCM_018238 transcript:EOY03325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESTLAVAGEGHSTQRLPFFSSVLERETGSFYNSLKLCGKNFKLQSYQGILQEQVEGLNINIKGSKINEEASQKEVQNIEPIIEEDEPSYLMSIDEALDDDYWILAMQAKLNQFERCQVLELVPRPNDYPIVGRQLGFRSKMDENGIITRNKARLVAKEYSQEECIDYIETYALVARLEAIRMCDPPKQHVMHTKINEHAINKLDFVYVNNFWVRKETVNDPKFVGDEDCEDTFLEPSVTPSATLSAGPSSHPSVGHSYPPMSTSFDNEQAYSRLLSFMESMDARVVHKLDALEAQNQELLHHQ >EOY04421 pep chromosome:Theobroma_cacao_20110822:4:24273876:24278221:-1 gene:TCM_019680 transcript:EOY04421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MASLLCPTSATVQQNPKILCFSPLKSISQVNLFSFTNRLKSNPGRCNAFFGSIPDDLLGQSLSQFPVIKSGFVRFETVTGELTDVQKWGFIFFAGLTWIYLTARPGVLIGAIDAYLLGPLQLGLDNLTGRRSLKRTDFLVGDKLGEGSFGIVYSGVIVPKNVSVEERGPKRGGRTALDMDGRFKEKVILKKVKVGVQGAEECGDFEEWFNYRLSRAAPETCAEFLGSFVADKTSSQFIKGGKWLVWKFEGDRTLADYMKDRRFPLNLESVMFGRVLQGVESVKRNALIIKQIMRQIITSLKKIHDTGIVHRDVKPANLVVTKRGQIKLIDFGAATDLRIGKNYVPNLGLLDPDYCPPELYVLPEETPSPPPEPIAAFLSPILWQLNSPDLFDMYSAGIVLLQMAIPSLRSTAYLKNFNLELKAVQYDLNKWRERTRLRPDLTILDLDSGRGWDLATKLISERGYLRRGRLSAAAALRHPYFLLGGDQAAAVLSKFSFN >EOY04420 pep chromosome:Theobroma_cacao_20110822:4:24275484:24278414:-1 gene:TCM_019680 transcript:EOY04420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MASLLCPTSATVQQNPKILCFSPLKSISQVNLFSFTNRLKSNPGRCNAFFGSIPDDLLGQSLSQFPVIKSGFVRFETVTGELTDVQKWGFIFFAGLTWIYLTARPGVLIGAIDAYLLGPLQLGLDNLTGRRSLKRTDFLVGDKLGEGSFGIVYSGVIVPKNVSVEERGPKRGGRTALDMDGRFKEKVILKKVKVGVQGAEECGDFEEWFNYRLSRAAPETCAEFLGSFVADKTSSQFIKGGKWLVWKFEGDRTLADYMKDRRFPLNLESVMFGRVLQGVESVKRNALIIKQIMRQIITSLKKIHDTGIVHRDVKPANLVVTKRGQIKLIDFGAATDLRIGKNYVPNLGLLDPDYCPPELYVLPEETPSPPPEPIAAFLSPILWQLNSPDLFDMYSAGIVLLQMAIPSLRSTAYLKNFNLELKAVQYDLNKWRERTRLRPDLTILDLDSGRGWDLATKLISERGYLRRGRLSAAAALRHPYFLLGGDQAAAVLSKFSFN >EOY04694 pep chromosome:Theobroma_cacao_20110822:4:25521993:25540134:1 gene:TCM_019883 transcript:EOY04694 gene_biotype:protein_coding transcript_biotype:protein_coding description:5\'-3\' exonuclease family protein isoform 1 GELTLSLNFQTHSLWRSLHCFQRNFSRTQRVGNNLPSFKKFYVIRPPPCQTIKGYCSLSYTLNTLPGARHATSHGNAVISSKKEQLLHQEAALDTSNLQERVVNANYSNNRVMLIDGTSVIYRAYYKLLAKLHHGYLSHADGNGDWVLTIFTALSLIIDVLEFVPSHVAVVFDHDGIPFGHTSISSKENVMAKGLNFRHTLYPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAARSVDAGFKVRVVSPDKDFFQILSPSLRLLRIAPRGYEMVSFGLEDFSKRYGDLKPSQFVDMVALMGDRCDNIPAGVDGIGNVHAVQLISKFGTLENLLQCVDQVEVDHIRKALK >EOY04693 pep chromosome:Theobroma_cacao_20110822:4:25521442:25542161:1 gene:TCM_019883 transcript:EOY04693 gene_biotype:protein_coding transcript_biotype:protein_coding description:5\'-3\' exonuclease family protein isoform 1 MACFQSLNFQTHSLWRSLHCFQRNFSRTQRVGNNLPSFKKFYVIRPPPCQTIKGYCSLSYTLNTLPGARHATSHGNAVISSKKEQLLHQEAALDTSNLQERVVNANYSNNRVMLIDGTSVIYRAYYKLLAKLHHGYLSHADGNGDWVLTIFTALSLIIDVLEFVPSHVAVVFDHDGIPFGHTSISSKENVMAKGLNFRHTLYPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAARSVDAGFKVRVVSPDKDFFQILSPSLRLLRIAPRGYEMVSFGLEDFSKRYGDLKPSQFVDMVALMGDRCDNIPGVDGIGNVHAVQLISKFGTLENLLQCVDQVEVDHIRKALKGNADQALLSKNLAMLRCDLPFYMAPFATTDLTFKKPEDNGEKFTSLLTAISAYAEGFSADPIIRRAFYLWKKLEAC >EOY04293 pep chromosome:Theobroma_cacao_20110822:4:23216676:23217110:1 gene:TCM_019544 transcript:EOY04293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scorpion toxin-like knottin superfamily protein MEIIRKLFGMFLLLLIVLAPQEMVVPSEARTCESKSHAFKGPCLSDHNCGLVCRNEGFPDGWCRGIRRRCFCTRRC >EOY06361 pep chromosome:Theobroma_cacao_20110822:4:31669364:31671441:-1 gene:TCM_021109 transcript:EOY06361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 24, putative MKAGYSIFVLFVSLALFGIVEVCNARGAHGFSNLSYNYYRRTCPRAEKIVRGIIRERARINPALGAKLIRMQFHDCFVRGCDASVLLDTVNGSFPSEKKAVPNLSLSGFDVIDDIKTALEKACPKVVSCADILALAARDAVSAPFGRRLWDVPLGRRDGRISLASEINGNIPGPSANFSSLLQTFNKKGLDVNDLVILSGAHTIGVSHCGAFSRRLYNFTGKGDADPSLDRTYAIFLRKQCPNPASQTITVEMDPGSSLKFDTHYYDVLLQNKGLFQSDAALLTDGHSRGLVTTLRRKRSFFPAFATSMMKMGAIEVLTGNAGEIRANCRVVNP >EOY05610 pep chromosome:Theobroma_cacao_20110822:4:29151449:29154347:-1 gene:TCM_020569 transcript:EOY05610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MMMKNILQPRFGSHWWGIDNVMINPVGPGEPSLAGFGCSKLLVCVAEKDLLRIIRGIWYCEAIKERGWEGEDHAFHVKAGNLLPITCEWQAPSDLNRHSQNPPLVLGKFYWLLIERYQLISSLTFFLYRNFLSWPRIDHYSSSFLQRTSPQISLSLPFSTPQMDSTAKEVVKELPGLIKLYKDGSVERLFGSPYVPPSPEPDPETGVSSKDITISDNPLISARLYLPKLTQPHEKLPILVYFHAGGFCLESAFSFFDLRYLNALVSEARVVAVSVEYRLAPEHPLPAAYEDCWAALQWVASHSLDNEIKKDSWLLNHGDFDRIFIGGDSAGGNIVHNIALQAGAEGLKGRVKLLGAFLSHPYFWGSESSTDHDKSMPTLVWEFVYPSAPGGIDNALINPLGPGKPSLAGLGCSRLLVCVAEKDQLRDFGVLYYDAVKESGWQGEIELFEVKGEDHAFHILDFGKENSKIMIKRLASFLAVS >EOY04418 pep chromosome:Theobroma_cacao_20110822:4:24272137:24274933:1 gene:TCM_019679 transcript:EOY04418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannan endo-1,4-beta-mannosidase 6 isoform 2 MQNAAFESVFSAMDTCKRCFILTLALAFFLIFFENSGTTVFGFTEDNELTETMVEESLDHSPYFNTRKYDVEDVGDQDWLMVERNGNQFVVNGQPFYVNGFNTYWLMVFAADQSTRGKVSELFQQASSVGLTVCRTWAFNDGQWRALQKSPSVYDEEVFKALDFVVSEAKKYKIRLILSLVNNWDAYGGKAQYVKWGKAAGLNLTSDDEFFSHQTLRSYYKAHVKAVLNRVNTVTNITYKDDPTIFAWELMNEPRCTSDPSGDTLQSWIAEMAVYVKSLDAKHLVEIGLEGFYGPSAPARAQFNPNSYATQVGTDFVRNHQALGVDFASVHIYADSWISQTISDAHLQFTKSWMEAHIEDAEKYLGMPVIFSEFGVSTKDPGYNSSFRDTLISTVYKTLLNSTKKGGSGAGSLLWQLFPDGTDYMDDGYAIVLAKSPSTSNIISLHSTRLAIFNSMCSWKCRWGCKKKNALETFLYHDDL >EOY04419 pep chromosome:Theobroma_cacao_20110822:4:24272220:24276177:1 gene:TCM_019679 transcript:EOY04419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannan endo-1,4-beta-mannosidase 6 isoform 2 MQNAAFESVFSAMDTCKRCFILTLALAFFLIFFENSGTTVFGFTEDNELTETMVEESLDHSPYFNTRKYDVEDVGDQDWLMVERNGNQFVVNGQPFYVNGFNTYWLMVFAADQSTRGKVSELFQQASSVGLTVCRTWAFNDGQWRALQKSPSVYDEEVFKALDFVVSEAKKYKIRLILSLVNNWDAYGGKAQYVKWGKAAGLNLTSDDEFFSHQTLRSYYKAHVKAVLNRVNTVTNITYKDDPTIFAWELMNEPRCTSDPSGDTLQSWIAEMAVYVKSLDAKHLVEIGLEGFYGPSAPARAQFNPNSYATQVGTDFVRNHQALGVDFASVHIYADS >EOY06146 pep chromosome:Theobroma_cacao_20110822:4:31097706:31102544:1 gene:TCM_020962 transcript:EOY06146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclopropane fatty acid synthase MTEADLGLADAYIQGDFSFEDKEEGLLNLFLIFIANRESDSSASGLNKKRGWWAPALFTASISSAKYFLKHVLRQNTLIQARRNISRHYNLSNELFELFLDEKMQYSSAIFKAENEDLKVAQLRKISSLIDKARIEKGHEVIEFGSGWGYFAIEVVKRTGCKYTGVTLSEEQLKYAEAKVKEAGLQDNIKFLLCDYRQLPETGKYDRIISCEMIEHVGNEYIEEFFRCCESILAKDGLFVLQFISMTEEQFPEYLRSPGFVKEYIFPGGCLLSLTRMLSAMAAGSRLSVEHVENIGPNYVQTLRCWRKNFLENKSKILALGFDEKFMRTWEYYFDYCAAGFKSRTIGDYQVVFSRPGNFAALGDPYQGFPSAYSY >EOY05323 pep chromosome:Theobroma_cacao_20110822:4:28082212:28083699:-1 gene:TCM_046763 transcript:EOY05323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCISDDRHHQHQSQLHRQSQHPHHHQSRRDHQILLLHQLLLHLCQILHQLQTLPQLLHRHHHHHQILLHPQHHHQGLHHHLHHLQHLHQALLLLLHLQDHLHHQCRHLHQAYGHHQGHHHHLIHLLHHHQGLRHHLSHLLHHHQAHHHHHRHHLGLHHLLTLHHHHLGLHHLLTLHHRHPQNLHHHHLQDHRHHQNLHQHHHQFLLLHLNLHLHQNHHLDHRQFHAQNPSYIFHVLDLLPWP >EOY05588 pep chromosome:Theobroma_cacao_20110822:4:29076375:29080231:-1 gene:TCM_020551 transcript:EOY05588 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP glucose pyrophosphorylase large subunit 1 isoform 3 MSNCINSGINKVYILTQFNSASLNRHLSRAYNFGNGVNFGDGYVETLSATQTPGEAGKRWFQGTADAVRQFHWLFEDARCREIEDVLILSGDHLYRMDYMDFVQNHRQSGADITISCLPIDDRRASDFGLMKIDNKGRILSFSEKPKGQELKAMAVDTTVLGLSRDEAEKKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEIIPASAKEFFIKAYLFNDYWEDIGTIRSFFEANLALTEHPPRFSFYDAAKPIYTSRRNLPPSKIDNSKLVDSIISHGSFLTDCFIEHSVVGIRSRINANVHLEDTVMLGADFYETGEEKVALLAEGGVPVGIGENTKIKDCIIDKNARIGKNVVIANSEGVQEADRSSEGFYIRSGITVLPARCK >EOY05590 pep chromosome:Theobroma_cacao_20110822:4:29076491:29080227:-1 gene:TCM_020551 transcript:EOY05590 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP glucose pyrophosphorylase large subunit 1 isoform 3 MSNCINSGINKVYILTQFNSASLNRHLSRAYNFGNGVNFGDGYVETLSATQTPGEAGKRWFQGTADAVRQFHWLFEDARCREIEDVLILSGDHLYRMDYMDFVQNHRQSGADITISCLPIDDRRASDFGLMKIDNKGRILSFSEKPKGQELKAMAVDTTVLGLSRDEAEKKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEIIPASAKEFFIKAYLFNDYWEDIGTIRSFFEANLALTEHPPRFSFYDAAKPIYTSRRNLPPSKIDNSKVSESQSLTLLSTEWQKAKNVFPLASMLIRHETGLRCLKTCCCVPNFWASVFNSRLTFFFILLQLVDSIISHGSFLTDCFIEHSVVGIRSRINANVHLEDTVMLGADFYETGEEKVALLAEGGVPVGIGENTKIKDCIIDKNARIGKNVVIANSEGVQEADRSSEGFYIRSGITVLPARCK >EOY05589 pep chromosome:Theobroma_cacao_20110822:4:29076877:29080088:-1 gene:TCM_020551 transcript:EOY05589 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP glucose pyrophosphorylase large subunit 1 isoform 3 MSNCINSGINKVYILTQFNSASLNRHLSRAYNFGNGVNFGDGYVETLSATQTPGEAGKRWFQGTADAVRQFHWLFEDARCREIEDVLILSGDHLYRMDYMDFVQNHRQSGADITISCLPIDDRRASDFGLMKIDNKGRILSFSEKPKGQELKAMAVDTTVLGLSRDEAEKKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEIIPASAKEFFIKAYLFNDYWEDIGTIRSFFEANLALTEHPPRFSFYDAAKPIYTSRRNLPPSKIDNSKLVDSIISHGSFLTDCFIEHSVVGIRSRINANVHLEDTVMLGADFYETGEEKVALLAEGGVPVGIGENTKIKDCIIDKNARIGKNVVIANSEGVQEADRSSEGFYIRSGITVILKNSTIRDGFVI >EOY05591 pep chromosome:Theobroma_cacao_20110822:4:29076955:29080227:-1 gene:TCM_020551 transcript:EOY05591 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP glucose pyrophosphorylase large subunit 1 isoform 3 MSNCINSGINKVYILTQFNSASLNRHLSRAYNFGNGVNFGDGYVETLSATQTPGEAGKRWFQGTADAVRQFHWLFEDARCREIEDVLILSGDHLYRMDYMDFVQNHRQSGADITISCLPIDDRRASDFGLMKIDNKGRILSFSEKPKGQELKAMAVDTTVLGLSRDEAEKKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEIIPASAKEFFIKAYLFNDYWEDIGTIRSFFEANLALTEHPPRFSFYDAAKPIYTSRRNLPPSKIDNSKLVDSIISHGSFLTDCFIEHSVVGIRSRINANVHLEDTVMLGADFYETGEEKVALLAEGGVPVGIGENTKIKDCIIDKNARIGKNVVIANSEV >EOY05585 pep chromosome:Theobroma_cacao_20110822:4:29076818:29081258:-1 gene:TCM_020551 transcript:EOY05585 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP glucose pyrophosphorylase large subunit 1 isoform 3 MTVSADGRFSLSAAGQLRGTVALAGRNSRLVKFCNGELMGKKLSMTPKHQGLSSNKNARQTICMSLTTNIAGESKLRDLELEKRNLRTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHLSRAYNFGNGVNFGDGYVETLSATQTPGEAGKRWFQGTADAVRQFHWLFEDARCREIEDVLILSGDHLYRMDYMDFVQNHRQSGADITISCLPIDDRRASDFGLMKIDNKGRILSFSEKPKGQELKAMAVDTTVLGLSRDEAEKKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEIIPASAKEFFIKAYLFNDYWEDIGTIRSFFEANLALTEHPPRFSFYDAAKPIYTSRRNLPPSKIDNSKLVDSIISHGSFLTDCFIEHSVVGIRSRINANVHLEDTVMLGADFYETGEEKVALLAEGGVPVGIGENTKIKDCIIDKNARIGKNVVIANSEGVQEADRSSEGFYIRSGITVILKNSTIRDGFVI >EOY05586 pep chromosome:Theobroma_cacao_20110822:4:29076877:29080088:-1 gene:TCM_020551 transcript:EOY05586 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP glucose pyrophosphorylase large subunit 1 isoform 3 MSNCINSGINKVYILTQFNSASLNRHLSRAYNFGNGVNFGDGYVETLSATQTPGEAGKRWFQGTADAVRQFHWLFEDARCREIEDVLILSGDHLYRMDYMDFVQNHRQSGADITISCLPIDDRRASDFGLMKIDNKGRILSFSEKPKGQELKAMAVDTTVLGLSRDEAEKKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEIIPASAKEFFIKAYLFNDYWEDIGTIRSFFEANLALTEHPPRFSFYDAAKPIYTSRRNLPPSKIDNSKLVDSIISHGSFLTDCFIEHSVVGIRSRINANVHLEDTVMLGADFYETGEEKVALLAEGGVPVGIGENTKIKDCIIDKNARIGKNVVIANSEGVQEADRSSEGFYIRSGITVILKNSTIRDGFVI >EOY05587 pep chromosome:Theobroma_cacao_20110822:4:29075169:29080231:-1 gene:TCM_020551 transcript:EOY05587 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP glucose pyrophosphorylase large subunit 1 isoform 3 MSNCINSGINKVYILTQFNSASLNRHLSRAYNFGNGVNFGDGYVETLSATQTPGEAGKRWFQGTADAVRQFHWLFEDARCREIEDVLILSGDHLYRMDYMDFVQNHRQSGADITISCLPIDDRRASDFGLMKIDNKGRILSFSEKPKGQELKAMAVDTTVLGLSRDEAEKKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEIIPASAKEFFIKAYLFNDYWEDIGTIRSFFEANLALTEHPPRFSFYDAAKPIYTSRRNLPPSKIDNSKLVDSIISHGSFLTDCFIEHSVVGIRSRINANVHLEDTVMLGADFYETGEEKVALLAEGGVPVGIGENTKIKDCIIDKNARIGKNVVIANSEGVQEADRSSEGFYIRSGITVLPARCK >EOY05592 pep chromosome:Theobroma_cacao_20110822:4:29077606:29081258:-1 gene:TCM_020551 transcript:EOY05592 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP glucose pyrophosphorylase large subunit 1 isoform 3 MTVSADGRFSLSAAGQLRGTVALAGRNSRLVKFCNGELMGKKLSMTPKHQGLSSNKNARQTICMSLTTNIAGESKLRDLELEKRNLRTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHLSRAYNFGNGVNFGDGYVETLSATQTPGEAGKRWFQGTADAVRQFHWLFEDARCREIEDVLILSGDHLYRMDYMDFVQNHRQSGADITISCLPIDDRRASDFGLMKIDNKGRILSFSEKPKGQELKAMAVDTTVLGLSRDEAEKKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEIIPASAKEFFIKAYLFNDYWEDIGTIRSFFEANLALTEHPPRFSFYDAAKPIYTSRRNLPPSKIDNSKVSESQSLTLLSTEWQKAKNVFPLASMLIRHETGLRCLKTCCCVPNFWASVFNSRLTFFFILLQLVDSIISHGSFLTDCFIEHSVVGIRSRINANVHLEVCSTNSIGCRTCEHTVRPT >EOY02876 pep chromosome:Theobroma_cacao_20110822:4:2767455:2770169:1 gene:TCM_017279 transcript:EOY02876 gene_biotype:protein_coding transcript_biotype:protein_coding description:DsRNA-binding protein 3 isoform 1 MFKNQLQELAQRSCFNLPSYACIREGPDHAPRFKASVNFNGEIFESPSYCPTLRQAEHAAAEVALNILSARGPSRSLTARVLDETGIYKNLLQETAHRAGLNLPVYTTVRSGPGHVPIFTCTVELAGMNFTGEPAKTKKQAEKNAAIAAWSALKRMPSLDSLTNKEADNREDQDQAVVSRVLSSFKPKDESKQFRRRDYNQAKRRMVRGNRDIGSPSSSSSVNSQLHQQWRLMDLLMDSALDGSTQKQNSFVSLLPPPPPRTASKILPPTSSRDSPSSYFSNRPIPIHIRGKSEMKLPPPVLEEHLKDEEEWLGIKQDVINKSIEKERSSSSNLVSSSNVYGASRTSSIYRAIPLSSDGKLNPCMLDSAIGTEASQIISRLFGTPNPSQIAPTSVMAPTMAQNMYTGGFNPHRIAPAVKIRSVIPVCAAPPAPSRPQETTPSQLKEPSSTSRNAAAPPSSMSMQIGSAEVSSASSVLFNKSQPNSDQLSSELMNLQL >EOY02874 pep chromosome:Theobroma_cacao_20110822:4:2767311:2770169:1 gene:TCM_017279 transcript:EOY02874 gene_biotype:protein_coding transcript_biotype:protein_coding description:DsRNA-binding protein 3 isoform 1 MFKNQLQELAQRSCFNLPSYACIREGPDHAPRFKASVNFNGEIFESPSYCPTLRQAEHAAAEVALNILSARGPSRSLTARVLDETGIYKNLLQETAHRAGLNLPVYTTVRSGPGHVPIFTCTVELAGMNFTGEPAKTKKQAEKNAAIAAWSALKRMPSLDSLTNKEADNREDQDQAVVSRVLSSFKPKDESKQFRRRDYNQAKRRMVRGNRDIGSPSSSSSVNSQLHQQWRLMDLLMDSALDGSTQKQNSFVSLLPPPPPRTASKILPPTSSRDSPSSYFSNRPIPIHIRGKSEMKLPPPVLEEHLKDEEEWLGIKQDVINKSIEKERSSSSNLVSSSNVYGASRTSSIYRAIPLSSDGKLNPCMLDSAIGTEASQIISRLFGTPNPSQIAPTSVMAPTMAQNMYTGGFNPHRIAPAVKIRSVIPVCAAPPAPSRPQETTPSQLKEPSSTSRNAAAPPSSMSMQIGSAEVSSASSVLFNKSQPNSDQLSSELMNLQL >EOY02875 pep chromosome:Theobroma_cacao_20110822:4:2767343:2769858:1 gene:TCM_017279 transcript:EOY02875 gene_biotype:protein_coding transcript_biotype:protein_coding description:DsRNA-binding protein 3 isoform 1 MFKNQLQELAQRSCFNLPSYACIREGPDHAPRFKASVNFNGEIFESPSYCPTLRQAEHAAAEVALNILSARGPSRSLTARVLDETGIYKNLLQETAHRAGLNLPVYTTVRSGPGHVPIFTCTVELAGMNFTGEPAKTKKQAEKNAAIAAWSALKRMPSLDSLTNKEADNREDQDQAVVSRVLSSFKPKDESKQFRRRDYNQAKRRMVRGNRDIGSPSSSSSVNSQLHQQWRLMDLLMDSALDGSTQKQNSFVSLLPPPPPRTASKILPPTSSRDSPSSYFSNRPIPIHIRGKSEMKLPPPVLEEHLKDEEEWLGIKQDVINKSIEKERSSSSNLVSSSNVYGASRTSSIYRAIPLSSDGKLNPCMLDSAIGTEASQIISRLFGTPNPSQIAPTSVMAPTMAQNMYTGGFNPHRIAPAVKIRSVIPVCAAPPAPSRPQETTPSQLKEPSSTSRNAAAPPSSMSMQIGSAEVSSASSVLFNKSQPNSDQLSSELMNLQL >EOY06432 pep chromosome:Theobroma_cacao_20110822:4:31908878:31915112:1 gene:TCM_021155 transcript:EOY06432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 4 MKMFSFSRRRMKLGRVKKVQLSESAHGIKSPIRPPKRSNNTNGESAMPAGGHSDAFDSHCPSTAPEINSSGNSENWMVLSVAGEKPVPRFNHAAAVVGNKMIVVGGESGNGLLDDVQVLNFDNFSWSTASSKLYLSPSSFPLKIPACKGHSLVSWGKKALLVGGRTDPGNDRVSVWAFDTETECWSVMEAKGEIPVARSGHTVVRASSVLILFGGEDAKKKKLNDLHMFDLKSLTWLTLQCTGTRPSPRANHVATLYDDKTLFIFGGASKSRTLNDLYSLDFETMIWSRIKVRGFHPSPRAGCCGVLCGTKWYIAGGGSRKKRHLETFIYDILKSEWSVAIASPPSSITTNKGFSLVLVQHKDKDFLVAFGGCKKEPSNQVEVLIIEKNESSIGRRSTPGKPAGQMQVGKRSSSAGSASQLINGSSQRSVDSAARQNLASVIEHGSGRRSLSELSFVDQNPLSGNFSLRKQFHNEEEYNTTFKITKCLEDESSIVQATEPKPNQSDTSIQINAPGTKISSDESFLFEPEGSIPQIEGIANVPVDNDNFVFPEADGKSAALSAPSSIYQFYETRMAALSRKNGILEVQLAAALASRDTSERNLASALKSKEEMEKRFADTMKEMELLKEKLAGIELAQEEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSLENRAPTPRKPFNV >EOY06435 pep chromosome:Theobroma_cacao_20110822:4:31909287:31914216:1 gene:TCM_021155 transcript:EOY06435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 4 MKMFSFSRRRMKLGRVKKVQLSESAHGIKSPIRPPKRSNNTNGESAMPAGGHSDAFDSHCPSTAPEINSSGNSENWMVLSVAGEKPVPRFNHAAAVVGNKMIVVGGESGNGLLDDVQVLNFDNFSWSTASSKLYLSPSSFPLKIPACKGHSLVSWGKKALLVGGRTDPGNDRVSVWAFDTETECWSVMEAKGEIPVARSGHTVVRASSVLILFGGEDAKKKKLNDLHMFDLKSLTWLTLQCTGTRPSPRANHVATLYDDKTLFIFGGASKSRTLNDLYSLDFETMIWSRIKVRGFHPSPRAGCCGVLCGTKWYIAGGGSRKKSMPHTRHLETFIYDILKSEWSVAIASPPSSITTNKGFSLVLVQHKDKDFLVAFGGCKKEPSNQVEVLIIEKNESSIGRRSTPGKPAGQMQVGKRSSSAGSASQLINGSSQRSVDSAARQNLASVIEHGSGRRSLSELSFVDQNPLSGNFSLRKQFHNEEEYNTTFKITKCLEDESSIVQATEPKPNQSDTSIQINAPGTKISSDESFLFEPEGSIPQIEGIANVPVDNDNFVFPEADGKSAALSAPSSIYQFYETRMAALSRKNGILEVQLAAALASRDTSERNLASALKSKEEMEKRFADTMKEMELLKEKLAGIELAQEEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKVLILLSCADSIMETRILDCKAFLKSV >EOY06433 pep chromosome:Theobroma_cacao_20110822:4:31908878:31915764:1 gene:TCM_021155 transcript:EOY06433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 4 MKMFSFSRRRMKLGRVKKVQLSESAHGIKSPIRPPKRSNNTNGESAMPAGGHSDAFDSHCPSTAPEINSSGNSENWMVLSVAGEKPVPRFNHAAAVVGNKMIVVGGESGNGLLDDVQVLNFDNFSWSTASSKLYLSPSSFPLKIPACKGHSLVSWGKKALLVGGRTDPGNDRVSVWAFDTETECWSVMEAKGEIPVARSGHTVVRASSVLILFGGEDAKKKKLNDLHMFDLKSLTWLTLQCTGTRPSPRANHVATLYDDKTLFIFGGASKSRTLNDLYSLDFETMIWSRIKVRGFHPSPRAGCCGVLCGTKWYIAGGGSRKKRHLETFIYDILKSEWSVAIASPPSSITTNKGFSLVLVQHKDKDFLVAFGGCKKEPSNQVEVLIIEKNESSIGRRSTPGKPAGQMQVGKRSSSAGSASQLINGSSQRSVDSAARQNLASVIEHGSGRRSLSELSFVDQNPLSGNFSLRKQFHNEEEYNTTFKITKCLEDESSIVQATEPKPNQSDTSIQINAPGTKISSDESFLFEPEGSIPQIEGIANVPVDNDNFVFPEADGKSAALSAPSSIYQFYETRMAALSRKNGILEVQLAAALASRDTSERNLASALKSKEEMEKRFADTMKEMELLKEKLAGIELAQEEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSLENRAPTPRKPFNV >EOY06434 pep chromosome:Theobroma_cacao_20110822:4:31909287:31914216:1 gene:TCM_021155 transcript:EOY06434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 4 MKMFSFSRRRMKLGRVKKVQLSESAHGIKSPIRPPKRSNNTNGESAMPAGGHSDAFDSHCPSTAPEINSSGNSENWMVLSVAGEKPVPRFNHAAAVVGNKMIVVGGESGNGLLDDVQVLNFDNFSWSTASSKLYLSPSSFPLKIPACKGHSLVSWGKKALLVGGRTDPGNDRVSVWAFDTETECWSVMEAKGEIPVARSGHTVVRASSVLILFGGEDAKKKKLNDLHMFDLKSLTWLTLQCTGTRPSPRANHVATLYDDKTLFIFGGASKSRTLNDLYSLDFETMIWSRIKVRGFHPSPRAGCCGVLCGTKWYIAGGGSRKKRHLETFIYDILKSEWSVAIASPPSSITTNKGFSLVLVQHKDKDFLVAFGGCKKEPSNQVEVLIIEKNESSIGRRSTPGKPAGQMQVGKRSSSAGSASQLINGSSQRSVDSAARQNLASVIEHGSGRRSLSELSFVDQNPLSGNFSLRKQFHNEEEYNTTFKITKCLEDESSIVQATEPKPNQSDTSIQINAPGTKISSDESFLFEPEGSIPQIEGIANVPVDNDNFVFPEADGKSAALSAPSSIYQFYETRMAALSRKNGILEVQLAAALASRDTSERNLASALKSKEEMEKRFADTMKEMELLKEKLAGIELAQEEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVL >EOY06023 pep chromosome:Theobroma_cacao_20110822:4:30659526:30667865:-1 gene:TCM_020875 transcript:EOY06023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAASCSCSSPQKQATDFPITMSKAYLLLFLLGVMALLTTPSPSLAYNGPPEEDERFPERKPPILPGYVPPKFIPGDKPLPPKKEEPPIRPGDNKPVPQRHLSEDGGVEELHSSPCKPFDKPPKGKGKKPPHDGHHPAGHLLAEQVLENKEVLQPPRKLRPPVPPHEPPHEPPLGPPDQPPVGPPHDEPPHQPPDQPPVGPPHDEPPHQPPDQPPVGPPHDEPPHKPPRQAN >EOY03648 pep chromosome:Theobroma_cacao_20110822:4:17630548:17632460:-1 gene:TCM_018743 transcript:EOY03648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGDTSCSDCGLVLESNYVDESSGRRKFAGSVLGLVNNITDRARKMYKNVEDSKSRKEKNLNDYPGTMHPGELVRLFCSKLGMHNPAIKAVQGALKQVEIAVAAEVAIKESYKDLAPYASRLIPQWYAEEVEQNVRKFAALEVVGQEIEYC >EOY05133 pep chromosome:Theobroma_cacao_20110822:4:27313118:27313830:1 gene:TCM_020215 transcript:EOY05133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ralf-like 32 MLLIPYKVLKYLNPMAPQRQNNITMKSLHFFFLVFFSLLYLTNSAMSPVSDAYMPCNGSIGECNEANEMLMESEISRRFLEQKKYISPGALKRDQPVCNGGSRGEAYSDTEGCLPQPSNPYNRGCSKYYRCRSNS >EOY04730 pep chromosome:Theobroma_cacao_20110822:4:25718394:25719852:1 gene:TCM_019917 transcript:EOY04730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKCKKRWLLSVEQLYGFFEAVERDDSALDTAWWTDRGLPPSQMYESISYAKADFLKFNTDGFAGGKSDILGRKGTEKLCRALFCNMCSSCYAIRSGV >EOY05935 pep chromosome:Theobroma_cacao_20110822:4:30294128:30299816:-1 gene:TCM_020801 transcript:EOY05935 gene_biotype:protein_coding transcript_biotype:protein_coding description:CwfJ-like family protein, putative isoform 1 MLSGVKFIPRDQIDKEQNEHSDVKKKKSSSKKEKRRRKEKSSRYGGSSSDDDLERIKKGSGRNNKWYSSEEYSSDSGSERSSDRDEKRSRNTRKDKRGKNDSSGDEFNDRSKKGSRRKKRYSSSYSSSEEEDQRGNARDRRKKGKGIDDGGGSPSLKDKEIERKEMGLEWMLRPAFKPDKKPSVPVEQPEEPPAEEIKKVNPRELNPYLKDNGTGYPEEADEKSSGADRLLSSSLVGDGGASWRLKALKRAEEQAAREGQRLEEVVQERWGSLDILAEYGASCRAAAPRAHLHAIRNRKQGQDEEKEKVAGNESGRDSKKNTARDYLRDVSLRHSDMRAPKVRDSLSWGKRKSQNTPAKDAGIISSANKFANDGNFMQEFLCKQGTDTGTSGSCTNHDGNVNSEVVAAETNKTIEAATLLKETLSTNQLAAKALQLRMKGKHEEAEKLLLEVESMKAKQSAGDHTNKQQNVDSGSRYVVHDVSMRKRKDDDDTDKHLARRIMHNKQYNVSGQADDEYDYEDGPSRKSRKKGGGNDQKVSGNNILSRRILTQQERCLFCFENPNRPKHLVVAIANFTYLMLPQCQPVVPGHCCILPMQHESATRTIDNNVWDEIRNFKKCLIMMFAKQDKELVFLETVMGLAQQRRHCLIECIPIPREIAKQAPVYFKKAIDEAEDEWSQHNAKKLIDTSEKGLRGSIPKNFPYFHVEFGLNRGFVHVIDDESQFKSSLGLNVIRGMLQLPEEDMYRRRRYQSVEDQKQAVASFARDWEPFDWTKQLD >EOY05938 pep chromosome:Theobroma_cacao_20110822:4:30294959:30299677:-1 gene:TCM_020801 transcript:EOY05938 gene_biotype:protein_coding transcript_biotype:protein_coding description:CwfJ-like family protein, putative isoform 1 MLSGVKFIPRDQIDKEQNEHSDVKKKKSSSKKEKRRRKEKSSRYGGSSSDDDLERIKKGSGRNNKWYSSEEYSSDSGSERSSDRDEKRSRNTRKDKRGKNDSSGDEFNDRSKKGSRRKKRYSSSYSSSEEEDQRGNARDRRKKDDGGGSPSLKDKEIERKEMGLEWMLRPAFKPDKKPSVPVEQPEEPPAEEIKKVNPRELNPYLKDNGTGYPEEADEKSSGADRLLSSSLVGDGGASWRLKALKRAEEQAAREGQRLEEVVQERWGSLDILAEYGASCRAAAPRAHLHAIRNRKQGQDEEKEKVAGNESGRDSKKNTARDYLRDVSLRHSDMRAPKVRDSLSWGKRKSQNTPAKDAGIISSANKFANDGNFMQEFLCKQGTDTGTSGSCTNHDGNVNSEVVAAETNKTIEAATLLKETLSTNQLAAKALQLRMKGKHEEAEKLLLEVESMKAKQSAGDHTNKQQNVDSGSRYVVHDVSMRKRKDDDDTDKHLARRIMHNKQYNVSGQADDEYDYEDGPSRKSRKKGGGNDQKVSGNNILSRRILTQQERCLFCFENPNRPKHLVVAIANFTYLMLPQCQPVVPGHCCILPMQVVSA >EOY05937 pep chromosome:Theobroma_cacao_20110822:4:30294350:30299638:-1 gene:TCM_020801 transcript:EOY05937 gene_biotype:protein_coding transcript_biotype:protein_coding description:CwfJ-like family protein, putative isoform 1 MLSGVKFIPRDQIDKEQNEHSDVKKKKSSSKKEKRRRKEKSSRYGGSSSDDDLERIKKGSGRNNKWYSSEEYSSDSGSERSSDRDEKRSRNTRKDKRGKNDSSGDEFNDRSKKGSRRKKRYSSSYSSSEEEDQRGNARDRRKKDDGGGSPSLKDKEIERKEMGLEWMLRPAFKPDKKPSVPVEQPEEPPAEEIKKVNPRELNPYLKDNGTGYPEEADEKSSGADRLLSSSLVGDGGASWRLKALKRAEEQAAREGQRLEEVVQERWGSLDILAEYGASCRAAAPRAHLHAIRNRKQGQDEEKEKVAGNESGRDSKKNTARDYLRDVSLRHSDMRAPKVRDSLSWGKRKSQNTPAKDAGIISSANKFANDGNFMQEFLCKQGTDTGTSGSCTNHDGNVNSEVVAAETNKTIEAATLLKETLSTNQLAAKALQLRMKGKHEEAEKLLLEVESMKAKQSAGDHTNKQQNVDSGSRYVVHDVSMRKRKDDDDTDKHLARRIMHNKQYNVSGQADDEYDYEDGPSRKSRKKGGGNDQKVSGNNILSRRILTQQERCLFCFENPNRPKHLVVAIANFTYLMLPQCQPVVPGHCCILPMQHESATRTIDNNVWDEIRNFKKCLIMMFAKQDKELVFLETVMGLAQQRRHCLIECIPIPREIAKQAPVYFKKAIDEAEDEWSQHNAKKLIDTSEKGLRGSIPKNFPYFHVEFGLNRGFVHVIDDESQFKSSLGLNVIRGMLQLPEEDMYRRRRYQSVEDQKQAVASFARDWEPFDWTKQLD >EOY05936 pep chromosome:Theobroma_cacao_20110822:4:30294480:30299687:-1 gene:TCM_020801 transcript:EOY05936 gene_biotype:protein_coding transcript_biotype:protein_coding description:CwfJ-like family protein, putative isoform 1 MLSGVKFIPRDQIDKEQNEHSDVKKKKSSSKKEKRRRKEKSSRYGGSSSDDDLERIKKGSGRNNKWYSSEEYSSDSGSERSSDRDEKRSRNTRKDKRGKNDSSGDEFNDRSKKGSRRKKRYSSSYSSSEEEDQRGNARDRRKKDDGGGSPSLKDKEIERKEMGLEWMLRPAFKPDKKPSVPVEQPEEPPAEEIKKVNPRELNPYLKDNGTGYPEEADEKSSGADRLLSSSLVGDGGASWRLKALKRAEEQAAREGQRLEEVVQERWGSLDILAEYGASCRAAAPRAHLHAIRNRKQGQDEEKEKVAGNESGRDSKKNTARDYLRDVSLRHSDMRAPKVRDSLSWGKRKSQNTPAKDAGIISSANKFANDGNFMQEFLCKQGTDTGTSAETNKTIEAATLLKETLSTNQLAAKALQLRMKGKHEEAEKLLLEVESMKAKQSAGDHTNKQQNVDSGSRYVVHDVSMRKRKDDDDTDKHLARRIMHNKQYNVSGQADDEYDYEDGPSRKSRKKGGGNDQKVSGNNILSRRILTQQERCLFCFENPNRPKHLVVAIANFTYLMLPQCQPVVPGHCCILPMQHESATRTIDNNVWDEIRNFKKCLIMMFAKQDKELVFLETVMGLAQQRRHCLIECIPIPREIAKQAPVYFKKAIDEAEDEWSQHNAKKLIDTSEKGLRGSIPKNFPYFHVEFGLNRGFVHVIDDESQFKSSLGLNVIRGMLQLPEEDMYRRRRYQSVEDQKQAVASFARDWEPFDWTKQLD >EOY05035 pep chromosome:Theobroma_cacao_20110822:4:26927096:26934273:1 gene:TCM_020145 transcript:EOY05035 gene_biotype:protein_coding transcript_biotype:protein_coding description:IN2-2 protein, putative METKVGRIKLGPQGQEISEQGLGCSSMSAFYGPPKREPDMINLIHHAINSGVTFLDTFDVYGPHTNDILLGKALKDIRDRVELATKFGACIVDGRREFRGDPAYVREACEGGLKRLGVENIDLYYQHRVDTRFPSKSRSVAFCSALVFVKVGEQKKLVEEGKIKYIVSHFFSLLGELGIGISSWPKLCTGPCILILLSSGHEKFSPKCDLIWANHLEKTSAGMLIVHGSNLKILGITNTSLSGLMRWQGEKGAAPSQLALAWIHHQGDGMMSAQIPGTIKTENLNENIRALSVKLTPEEMAEIESIASADAVKGDRYGDTAPTYKNSDTQPFIVMDTCMRECVV >EOY06709 pep chromosome:Theobroma_cacao_20110822:4:32722523:32724136:-1 gene:TCM_021355 transcript:EOY06709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein MEADRRVGVAVDFSASSKNALKWTVDNVIRKGDHLILVTIRPEGNYEEGEMQLWEVTGSPFIPLSEFSDPVVMKKYGMKPDPETLDIVNTAARQKEVEVLMKIFWGDPREKLCEAIDTIPLSCIIVGNRGLGKLKRAIMGSVSNYVVNNGSCPVTVVKHHD >EOY06574 pep chromosome:Theobroma_cacao_20110822:4:32344629:32350921:-1 gene:TCM_021252 transcript:EOY06574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA dehydrogenase-related isoform 2 MLVGFQDRVIGVLDWELSTLGNQMCDVAYSCMHYIVQIGPELEQLGDGLELIGIPKGIPSLAEFLAEYCFEAGKAWPVSEWKFYVAFSLFRGASIYTGVYNRWLMGNASGGQRAEHTGRQANGLIASALAFIAKKTVLPERPPSVSQGIRQYGIENKVRGLPEGSGRLVPSKRVQELRNRLIKFMEDHIYPMENEFCKRAQSDLRWTVHPEEEKLKELAKKEGLWNLWIPFDSAARTKELIFNGSENAYFDNAHDRLLGAGLSNLEYGYLCEIMGRSVWAPQVFNCGAPDTGNMEVLLRYGTKEQLHEWLVPLLEGRIRSGFAMTEPQVASSDATNIECSIKRQGDSYIINGTKWWTSGAMDPRCRILILMGKTDFTAPKHKQQSMILVDVQTPGVYIKRPLTVFGFDDAPHGHAEISFENVHVPAKNILLGEGRGFEIAQGRLGPGRLHHCMRLVGAAERGMQLMAQRALRRKTFGKSIAQHGSFLSDIAKCRVELEQTRLLVLEAADQLDRLGNKKARGTIAMAKVAAPNMALKVLDMAMQVHGAAGLSSDTVLAHLWATA >EOY06573 pep chromosome:Theobroma_cacao_20110822:4:32343705:32350987:-1 gene:TCM_021252 transcript:EOY06573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA dehydrogenase-related isoform 2 MANRTGDLVEPVREAHKIDVKALFGYAAAHIPGFPLSPSKFTLSQFGHGQSNPTYLMEVETGGAVKRYVLRKKPPGKLLQSAHAVEREYQVLKALADHTKVPVPKVFCLCNDPSVIGTAFYIMEYLEGRIFVDNKLPGVAPERRRAIYQATAKVLASLHSANVDAIGLGNYGRRDNYCKRQIERWFKQYLASTSEGKPERNPKMFELVDWLRKNIPPEDSSGATGGLVHGDFRIDNVVFHPTEDRVIGVLDWELSTLGNQMCDVAYSCMHYIVQIGPELEQLGDGLELIGIPKGIPSLAEFLAEYCFEAGKAWPVSEWKFYVAFSLFRGASIYTGVYNRWLMGNASGGQRAEHTGRQANGLIASALAFIAKKTVLPERPPSVSQGIRQYGIENKVRGLPEGSGRLVPSKRVQELRNRLIKFMEDHIYPMENEFCKRAQSDLRWTVHPEEEKLKELAKKEGLWNLWIPFDSAARTKELIFNGSENAYFDNAHDRLLGAGLSNLEYGYLCEIMGRSVWAPQVFNCGAPDTGNMEVLLRYGTKEQLHEWLVPLLEGRIRSGFAMTEPQVASSDATNIECSIKRQGDSYIINGTKWWTSGAMDPRCRILILMGKTDFTAPKHKQQSMILVDVQTPGVYIKRPLTVFGFDDAPHGHAEISFENVHVPAKNILLGEGRGFEIAQGRLGPGRLHHCMRLVGAAERGMQLMAQRALRRKTFGKSIAQHGSFLSDIAKCRVELEQTRLLVLEAADQLDRLGNKKARGTIAMAKVAAPNMALKVLDMAMQVHGAAGLSSDTVLAHLWATARTLRIADGPDEVHLGTIAKLELQRAKL >EOY06575 pep chromosome:Theobroma_cacao_20110822:4:32344629:32350921:-1 gene:TCM_021252 transcript:EOY06575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA dehydrogenase-related isoform 2 MANRTGDLVEPVREAHKIDVKALFGYAAAHIPGFPLSPSKFTLSQFGHGQSNPTYLMEVETGGAVKRYVLRKKPPGKLLQSAHAVEREYQVLKALADHTKVPVPKVFCLCNDPSVIGTAFYIMEYLEGRIFVDNKLPGVAPERRRAIYQATAKVLASLHSANVDAIGLGNYGRRDNYCKRQIERWFKQYLASTSEGKPERNPKMFELVDWLRKNIPPEDSSGATGGLVHGDFRIDNVVFHPTEDRVIGVLDWELSTLGNQMCDVAYSCMHYIVQIGPELEQLGDGLELIGIPKGIPSLAEFLAEYCFEAGKAWPVSEWKFYVAFSLFRGASIYTGVYNRWLMGNASGGQRAEHTGRQANGLIASALAFIAKKTVLPERPPSVSQGIRQYGIENKVRGLPEGSGRLVPSKRVQELRNRLIKFMEDHIYPMENEFCKRAQSDLRWTVHPEEEKLKELAKKEGLWNLWIPFDSAARTKELIFNGSENAYFDNAHDRLLGAGLSNLEYGYLCEIMGRSVWAPQVFNCGAPDTGNMEVLLRYGTKEQLHEWLVPLLEGRIRSGFAMTEPQVASSDATNIECSIKRQGDSYIINGTKWWTSGAMDPRCRILILMGKTDFTAPKHKQQSMILVDVQTPGVYIKRPLTVFGFDDAPHGHAEISFENVHVPAKNILLGEGRGFEIAQVPLPCILPCY >EOY06576 pep chromosome:Theobroma_cacao_20110822:4:32344629:32350921:-1 gene:TCM_021252 transcript:EOY06576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA dehydrogenase-related isoform 2 MANRTGDLVEPVREAHKIDVKALFGYAAAHIPGFPLSPSKFTLSQFGHGQSNPTYLMEVETGGAVKRYVLRKKPPGKLLQSAHAVEREYQVLKALADHTKVPVPKVFCLCNDPSVIGTAFYIMEYLEGRIFVDNKLPGVAPERRRAIYQATAKVLASLHSANVDAIGLGNYGRRDNYCKRQIERWFKQYLASTSEGKPERNPKMFELVDWLRKNIPPEDSSGATGGLVHGDFRIDNVVFHPTEDRVIGVLDWELSTLGNQMCDVAYSCMHYIVQIGPELEQLGDGLELIGIPKGIPSLAEFLAEYCFEAGKAWPVSEWKFYVAFSLFRGASIYTGVYNRWLMGNASGGQRAEHTGRQANGLIASALAFIAKKTVLPERPPSVSQGIRQYGIENKVRGLPEGSGRLVPSKRVQELRNRLIKFMEDHIYPMENEFCKRAQSDLRWTVHPEEEKLKELAKKEGLWNLWIPFDSAARTKELIFNGSENAYFDNAHDRLLGAGLSNLEYGYLCEIMGRSVWAPQVFNCGAPDTGNMEVLLRYGTKEQLHEWLVPLLEGRIRSGFAMTEPQVASSDATNIECSIKRQGDSYIINGTKWWTSGAMDPRCRILILMVSSFRMAWEE >EOY04268 pep chromosome:Theobroma_cacao_20110822:4:23088566:23092456:1 gene:TCM_019520 transcript:EOY04268 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein isoform 1 MDPRRSARTVSDPKVRQVGFFTQPPPPDRSISDPPRHHDPIQSSSPSSPPVNDHSPSGNSLSPVMIPPPRHHSDTLLRTALQATSPTTSFPYSARTVTVPQENDDVSSFSPGRRVVSGKSPSSFPGVKASSVPASELTTVSVVNLPPGISEKSGGAAVEVQNDQLARSKPLKEKTSKAERRALQEAQRAAKAAAKAEGSKAPAAASKVGPSANTKPSKAAKPPSQKNDGSQVAPSEKKGGDRAPEKDRKKDAPHPRMQYDDASRVEKAKKRAVVKQTEARNRVELFRHLPQYEHGTQLPDLETKFFELNPMHPAVYKVGLQYLSGDICGGNARCIAMLQAFKEAIKDYLTPPEKTLIRDLTARISSYVSFLIECRPLSISMGNAIKFLKSQIAKLPLSLSESEAKASLMLDIDRFINEKIILADKVIVKHAVTKIRDGDVLLTYGASSAVEMILLHAHELGKQFRVVVVDSRPKLEGQLLLRRLVRKGLSCTYTHVNAVSCIMHEVTRVFLGASSVLSNGTVYSRVGTACVAMVAHALHVPVLVCCEAYKFHERVQLDSICSNELGNPDAISKVSGREEISYLDGLVNSDNLQLLNLM >EOY04269 pep chromosome:Theobroma_cacao_20110822:4:23088213:23093027:1 gene:TCM_019520 transcript:EOY04269 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein isoform 1 MDPRRSARTVSDPKVRQVGFFTQPPPPDRSISDPPRHHDPIQSSSPSSPPVNDHSPSGNSLSPVMIPPPRHHSDTLLRTALQATSPTTSFPYSARTVTVPQENDDVSSFSPGRRVVSGKSPSSFPGVKASSVPASELTTVSVVNLPPGISEKSGGAAVEVQNDQLARSKPLKEKTSKAERRALQEAQRAAKAAAKAEGSKAPAAASKVGPSANTKPSKAAKPPSQKNDGSQVAPSEKKGGDRAPEKDRKKDAPHPRMQYDDASRVEKAKKRAVVKQTEARNRVELFRHLPQYEHGTQLPDLETKFFELNPMHPAVYKVGLQYLSGDICGGNARCIAMLQAFKEAIKDYLTPPEKTLIRDLTARISSYVSFLIECRPLSISMGNAIKFLKSQIAKLPLSLSESEAKASLMLDIDRFINEKIILADKVIVKHAVTKIRDGDVLLTYGASSAVEMILLHAHELGKQFRVVVVDSRPKLEGQLLLRNPDAISKVSGREEISYLDGLVNSDNLQLLNLIYDATPSDYVSMVITDYGMVPPTSVPVIVREYRREHLWS >EOY04267 pep chromosome:Theobroma_cacao_20110822:4:23088566:23092187:1 gene:TCM_019520 transcript:EOY04267 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein isoform 1 MDPRRSARTVSDPKVRQVGFFTQPPPPDRSISDPPRHHDPIQSSSPSSPPVNDHSPSGNSLSPVMIPPPRHHSDTLLRTALQATSPTTSFPYSARTVTVPQENDDVSSFSPGRRVVSGKSPSSFPGVKASSVPASELTTVSVVNLPPGISEKSGGAAVEVQNDQLARSKPLKEKTSKAERRALQEAQRAAKAAAKAEGSKAPAAASKVGPSANTKPSKAAKPPSQKNDGSQVAPSEKKGGDRAPEKDRKKDAPHPRMQYDDASRVEKAKKRAVVKQTEARNRVELFRHLPQYEHGTQLPDLETKFFELNPMHPAVYKVGLQYLSGDICGGNARCIAMLQAFKEAIKDYLTPPEKTLIRDLTARISSYVSFLIECRPLSISMGNAIKFLKSQIAKLPLSLSESEAKASLMLDIDRFINEKIILADKVIVKHAVTKIRDGDVLLTYGASSAVEMILLHAHELGKQFRVVVVDSRPKLEGQLLLRSLLKSWDCLCCYGCSCAPCTCISVL >EOY04264 pep chromosome:Theobroma_cacao_20110822:4:23088435:23093555:1 gene:TCM_019520 transcript:EOY04264 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein isoform 1 MDPRRSARTVSDPKVRQVGFFTQPPPPDRSISDPPRHHDPIQSSSPSSPPVNDHSPSGNSLSPVMIPPPRHHSDTLLRTALQATSPTTSFPYSARTVTVPQENDDVSSFSPGRRVVSGKSPSSFPGVKASSVPASELTTVSVVNLPPGISVDFSAYKEFGMVRVSLLDEHQLKVSCFIILKTCAMKHLHAEKEKLTAEKSGGAAVEVQNDQLARSKPLKEKTSKAERRALQEAQRAAKAAAKAEGSKAPAAASKVGPSANTKPSKAAKPPSQKNDGSQVAPSEKKGGDRAPEKDRKKDAPHPRMQYDDASRVEKAKKRAVVKQTEARNRVELFRHLPQYEHGTQLPDLETKFFELNPMHPAVYKVGLQYLSGDICGGNARCIAMLQAFKEAIKDYLTPPEKTLIRDLTARISSYVSFLIECRPLSISMGNAIKFLKSQIAKLPLSLSESEAKASLMLDIDRFINEKIILADKVIVKHAVTKIRDGDVLLTYGASSAVEMILLHAHELGKQFRVVVVDSRPKLEGQLLLRRLVRKGLSCTYTHVNAVSCIMHEVTRVFLGASSVLSNGTVYSRVGTACVAMVAHALHVPVLVCCEAYKFHERVQLDSICSNELGNPDAISKVSGREEISYLDGLVNSDNLQLLNLIYDATPSDYVSMVITDYGMLLCLLGLTIVEELTMVKACGGAAHKCARHCERISKRTPMVLDGS >EOY04266 pep chromosome:Theobroma_cacao_20110822:4:23088213:23093027:1 gene:TCM_019520 transcript:EOY04266 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein isoform 1 MDPRRSARTVSDPKVRQVGFFTQPPPPDRSISDPPRHHDPIQSSSPSSPPVNDHSPSGNSLSPVMIPPPRHHSDTLLRTALQATSPTTSFPYSARTVTVPQENDDVSSFSPGRRVVSGKSPSSFPGVKASSVPASELTTVSVVNLPPGISEKSGGAAVEVQNDQLARSKPLKEKTSKAERRALQEAQRAAKAAAKAEGSKAPAAASKVGPSANTKPSKAAKPPSQKNDGSQVAPSEKKGGDRAPEKDRKKDAPHPRMQYDDASRVEKAKKRAVVKQTEARNRVELFRHLPQYEHGTQLPDLETKFFELNPMHPAVYKVGLQYLSGDICGGNARCIAMLQAFKEAIKDYLTPPEKTLIRDLTARISSYVSFLIECRPLSISMGNAIKFLKSQIAKLPLSLSESEAKASLMLDIDRFINEKIILADKVIVKHAVTKIRDGDVLLTYGASSAVEMILLHAHELGKQFRVVVVDSRPKLEGQLLLRRLVRKGLSCTYTHVNAVSCIMHEVTRVFLGASSVLSNGTVYSRVGTACVAMVAHALHVPVLVCCEAYKFHERVQLDSICSNELGNPDAISKVSGREEISYLDGLVNSDNLQLLNLIYDATPSDYVSMVITDYGMVPPTSVPVIVREYRREHLWS >EOY04265 pep chromosome:Theobroma_cacao_20110822:4:23088213:23093027:1 gene:TCM_019520 transcript:EOY04265 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein isoform 1 MDPRRSARTVSDPKVRQVGFFTQPPPPDRSISDPPRHHDPIQSSSPSSPPVNDHSPSGNSLSPVMIPPPRHHSDTLLRTALQATSPTTSFPYSARTVTVPQENDDVSSFSPGRRVVSGKSPSSFPGVKASSVPASELTTVSVVNLPPGISEKSGGAAVEVQNDQLARSKPLKEKTSKAERRALQEAQRAAKAAAKAEGSKAPAAASKVGPSANTKPSKAAKPPSQKNDGSQVAPSEKKGGDRAPEKDRKKDAPHPRMQYDDASRVEKAKKRAVVKQTEARNRVELFRHLPQYEHGTQLPDLETKFFELNPMHPAVYKVGLQYLSGDICGGNARCIAMLQAFKEAIKDYLTPPEKTLIRDLTARISSYVSFLIECRPLSISMGNAIKFLKSQIAKLPLSLSESEAKASLMLDIDRFINEKIILADKVIVKHAVTKIRDGDVLLTYGASSAVEMILLHAHELGKQFRVVVVDSRPKLEGQLLLLYSRVGTACVAMVAHALHVPVLVCCEAYKFHERVQLDSICSNELGNPDAISKVSGREEISYLDGLVNSDNLQLLNLIYDATPSDYVSMVITDYGMVPPTSVPVI >EOY03141 pep chromosome:Theobroma_cacao_20110822:4:6149923:6166174:1 gene:TCM_017687 transcript:EOY03141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein Apg5 family isoform 3 MRKAIQKRMEAKKYVWEGAIPLQIHLHESQVTTLPPPPPALILAPRIGYLPLLVPLIKPHFSSTLPPGVDTIWFEYRGLPLKCQNQPPNGGFFCCRYIPTGVLFDLLCAEPERPWNITVHFRGYPGNVLIPCDGEDSVKWSFINSLKEAAYIINGNCKNVMNMSQSDQLELWHSVMNDRVPCDILDDENRKLHTLASPGWENLLFKVIWRPTYGSRLSLNLQQLRMSIQVCVHQNLNKALEKQILLDRSRQLEFFIGWDNADINFPHTFVQCRVPVRLYVWSISEDFDDWEDLSQVDSWEKISYINRPIEIHKEGKCFTLHDAMKSLLPEFFSDESLSDSKLARVDFEDAQKIPSEDVSSNKVVEDQGQNAYEHREACCISSSAEIKLIRIQGIEPKLEIPFSWLVNNLLNPDHFLHICVCVKVPQ >EOY03143 pep chromosome:Theobroma_cacao_20110822:4:6150109:6166046:1 gene:TCM_017687 transcript:EOY03143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein Apg5 family isoform 3 MRKAIQKRMEAKKYVWEGAIPLQIHLHESQVTTLPPPPPALILAPRIGYLPLLVPLIKPHFSSTLPPGVDTIWFEYRGLPLKWYIPTGVLFDLLCAEPERPWNITVHFRGYPGNVLIPCDGEDSVKWSFINSLKEAAYIINGNCKNVMNMSQSDQLELWHSVMNGRVPVRLYVWSISEDFDDWEDLSQVDSWEKISYINRPIEIHKEGKCFTLHDAMKSLLPEFFSDESLSDSKLARVDFEDAQKIPSEDVSSNKVVEDQGQNAYEHREACCISSSAEIKLIRIQGIEPKLEIPFSWLVNNLLNPDHFLHICVCVKVPQ >EOY03142 pep chromosome:Theobroma_cacao_20110822:4:6150109:6166046:1 gene:TCM_017687 transcript:EOY03142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein Apg5 family isoform 3 MRKAIQKRMEAKKYVWEGAIPLQIHLHESQVTTLPPPPPALILAPRIGYLPLLVPLIKPHFSSTLPPGVDTIWFEYRGLPLKWYIPTGVLFDLLCAEPERPWNITVHFRGYPGNVLIPCDGEDSVKWSFINSLKEAAYIINGNCKNVMNMSQSDQLELWHSVMNGNLEAYLRVSSKLKLATIEDEYTSLCSSKSQQGSGETDFTGQVKTGRVPVRLYVWSISEDFDDWEDLSQVDSWEKISYINRPIEIHKEGKCFTLHDAMKSLLPEFFSDESLSDSKLARVDFEDAQKIPSEDVSSNKVVEDQGQNAYEHREACCISSSAEIKLIRIQGIEPKLEIPFSWLVNNLLNPDHFLHICVCVKVPQ >EOY06439 pep chromosome:Theobroma_cacao_20110822:4:31922742:31924390:1 gene:TCM_021158 transcript:EOY06439 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 MDSPSSAKRSEKSRIEKFVRRVISPAVVGKTCPICLRNLDVRGAAVLTVCSHAYCLGCIRKWSDLKRKCPLCNSTFDSWFYKIDLSSRKFFKEQVPALSEGKPVIHRPRSTLIDRRRIIERTREEMNSVNWRTRPLPWRRSFGRPGTVPPHVIEERKLQWRASVYKRRLQALPVSPGTSSQQNVPRNDCEEERILLRIKPWIRRELEAILGDPDPSIILHVVSSLFFSRHEGKYDGSSGLLLVEDDFLVPLKPFLHNWTNMFWHELSCFAESSFTIETYDAVVEYRQLE >EOY06440 pep chromosome:Theobroma_cacao_20110822:4:31922921:31924674:1 gene:TCM_021158 transcript:EOY06440 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 CIRKWSDLKRKCPLCNSTFDSWFYKIDLSSRKFFKEQVPALSEGKPVIHRPRSTLIDRRRIIERTREEMNSVNWRTRPLPWRRSFGRPGTVPPHVIEERKLQWRASVYKRRLQALPVSPGTSSQQNVPRNDCEEERILLRIKPWIRRELEAILGDPDPSIILHVVSSLFFSRHEGKYDGSSGLLLVEDDFLVPLKPFLHNWTNMFWHELSSFRAC >EOY06438 pep chromosome:Theobroma_cacao_20110822:4:31922742:31924625:1 gene:TCM_021158 transcript:EOY06438 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 MDSPSSAKRSEKSRIEKFVRRVISPAVVGKTCPICLRNLDVRGAAVLTVCSHAYCLGCIRKWSDLKRKCPLCNSTFDSWFYKIDLSSRKFFKEQVPALSEGKPVIHRPRSTLIDRRREDIVILLCTLFRIIERTREEMNSVNWRTRPLPWRRSFGRPGTVPPHVIEERKLQWRASVYKRRLQALPVSPGTSSQQNVPRNDCEEERILLRIKPWIRRELEAILGDPDPSIILHVVSSLFFSRHEGKYDGSSGLLLVEDDFLVPLKPFLHNWTNMFWHELSCFAESSFTIETYDAVVEYRQLE >EOY06595 pep chromosome:Theobroma_cacao_20110822:4:32407042:32410806:1 gene:TCM_021268 transcript:EOY06595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MVLIPSFLDGLARTVSIKKGRNGGQTDVGREAAETMAKDAKKNELMRSSSGTVKSIKSSNFASVCSKRGQKGINQDSAVVWEEFGCQEDMIFCGIFDGHGPWGHVVAKRVRESVPPSLLCHWQKNLALTSLGQELDTEVLNRSIHQFDVWKQSYLKTYADIDLELKQHPGIDSFRSGTTALTIIKQGEHLVIANVGDCRAVLATTSDDGNLVPLQLTVDFKPNIPEEAERITQSKGRVFCLGDEPGVYRVWMPNGNTPGLALSRAFGDYCVKEFGLISVPDVTQRNITSKDQFVILATDGVWDVVSNREAVEIVSSAEDREKSAKRLVQCAIRAWKYKKRGIAMDDMSAICLFFHPTLSREVNLVKASKKAGMKKLA >EOY06596 pep chromosome:Theobroma_cacao_20110822:4:32407042:32410795:1 gene:TCM_021268 transcript:EOY06596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MVLIPSFLDGLARTVSIKKGRNGGQTDVGREAAETMAKDAKKNELMRSSSGTVKSIKSSNFASVCSKRGQKGINQDSAVVWEEFGCQEDMIFCGIFDGHGPWGHVVAKRVRESVPPSLLCHWQKNLALTSLGQELDTEVLNRSIHQFDVWKQSYLKTYADIDLELKQHPGIDSFRSGTTALTIIKQGEHLVIANVGDCRAVLATTSDDGNLVPLQLTVDFKPNIPEEAERITQSKGRVFCLGDEPGVYRVWMPNGNTPGLALSRAFGDYCVKEFGLISVPDVTQRNITSKDQFVILATDGVWDVVSNREAVEIVSSAEDREKSAKRLVQCAIRAWKYKKRGIAMDDMSAICLFFHPTLSREVNLVKASKKAGMKKLA >EOY05901 pep chromosome:Theobroma_cacao_20110822:4:30220274:30225059:1 gene:TCM_020786 transcript:EOY05901 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM family member 3A isoform 3 MTLLPTRQFHPATTTKSTFLDSFQTRLSKFHGLPLPFCSYDSSNFPLKTSTLYAANYTVTSNSLFHQYPKSKTKAFPTKDPTFRSNWLDSWNKTHKGFGPKPPKTVFNYRKKGDVWSLSYSQSDNNGRSSSSTMEKIVEKLKKFGYIGEENEQKEKGEEEPKRVIERGSIEDIFYVEEGMLPNNRGGFSKESPLGMENVFGSDGEVRFPWEKRKEDEEEGGWTARRDSKTSLAELTLPESELRRLRNLTFRTKSKVRIKGAGVTQEVVDTIHEKWKTEEIVRLKIEGAPALNMKRMHEILERKTGGLVIWRSGTSVSLYRGVSYEVPSVHLSKRIYKRNETFTYALPSVSDKTKDLSSLGSHKDVVSPQANSETAAEGNKDTESLPEIRYEDEVDKLLEGLGPRYTDWPGCNPLPVDADLLPGIVAGYQPPFRVLPYGVRSSLGLKEATSLRRLARVLPPHFAIGRSRQLQGLAVAMIKLWEKSSIAKIALKRGVQLTTSERMAEDIKKLTGGMLLSRNKDFLVFYRGKNFLSADVAEALVERERLAKSLQDEEEQARLRASAFLVPSTEVAEQSGAAGTLGETLDADARWGKRLDNHHKEKVMKEAEILRHANLVRKLDKNLAFADRKLLKAERALTKVEDYLKPADRQADPESITDEERFMFRKLGLRMKAFLLLDVEFLMVL >EOY05903 pep chromosome:Theobroma_cacao_20110822:4:30220274:30224530:1 gene:TCM_020786 transcript:EOY05903 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM family member 3A isoform 3 MTLLPTRQFHPATTTKSTFLDSFQTRLSKFHGLPLPFCSYDSSNFPLKTSTLYAANYTVTSNSLFHQYPKSKTKAFPTKDPTFRSNWLDSWNKTHKGFGPKPPKTVFNYRKKGDVWSLSYSQSDNNGRSSSSTMEKIVEKLKKFGYIGEENEQKEKGEEEPKRVIERGSIEDIFYVEEGMLPNNRGGFSKESPLGMENVFGSDGEVRFPWEKRKEDEEEGGWTARRDSKTSLAELTLPESELRRLRNLTFRTKSKVRIKGAGVTQEVVDTIHEKWKTEEIVRLKIEGAPALNMKRMHEILERKTGGLVIWRSGTSVSLYRGVSYEVPSVHLSKRIYKRNETFTYALPSVSDKTKDLSSLGSHKDVVSPQANSETAAEGNKDTESLPEIRYEDEVDKLLEGLGPRYTDWPGCNPLPVDADLLPGIVAGYQPPFRVLPYGVRSSLGLKEATSLRRLARVLPPHFAIGRSRQLQGLAVAMIKLWEKSSIAKIALKRGVQLTTSERMAEDIKKLTGGMLLSRNKDFLVFYRGKNFLSADVAEALVERERLAKSLQDEEEQARLRASAFLVPSTEVAEQSGAAGTLGETLDADARWGKRLDNHHKEKVMKEAEILRHANLVRKLDKNLAFVSSLNFGSLCFHALFFSFIC >EOY05902 pep chromosome:Theobroma_cacao_20110822:4:30220274:30226134:1 gene:TCM_020786 transcript:EOY05902 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM family member 3A isoform 3 MTLLPTRQFHPATTTKSTFLDSFQTRLSKFHGLPLPFCSYDSSNFPLKTSTLYAANYTVTSNSLFHQYPKSKTKAFPTKDPTFRSNWLDSWNKTHKGFGPKPPKTVFNYRKKGDVWSLSYSQSDNNGRSSSSTMEKIVEKLKKFGYIGEENEQKEKGEEEPKRVIERGSIEDIFYVEEGMLPNNRGGFSKESPLGMENVFGSDGEVRFPWEKRKEDEEEGGWTARRDSKTSLAELTLPESELRRLRNLTFRTKSKVRIKGAGVTQEVVDTIHEKWKTEEIVRLKIEGAPALNMKRMHEILERKTGGLVIWRSGTSVSLYRGVSYEVPSVHLSKRIYKRNETFTYALPSVSDKTKDLSSLGSHKDVVSPQANSETAAEGNKDTESLPEIRYEDEVDKLLEGLGPRYTDWPGCNPLPVDADLLPGIVAGYQPPFRVLPYGVRSSLGLKEATSLRRLARVLPPHFAIGRSRQLQGLAVAMIKLWEKSSIAKIALKRGVQLTTSERMAEDIKKLTGGMLLSRNKDFLVFYRGKNFLSADVAEALVERERLAKSLQDEEEQARLRASAFLVPSTEVAEQSGAAGTLGETLDADARWGKRLDNHHKEKVMKEAEILRHANLVRKLDKNLAFADRKLLKAERALTKVEDYLKPADRQADPESITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKIIMKAKTFDQVKKVALALEAESGGVLVSVDRISKGYAIIVYRGKDYQRPSTIRPKNLLTKRRALARSIELQRREDQMHSMEEQGDEEFYDRLDSSYPTDDDDTEEEGDEAYLETYESENDAEEENDELIHNLHLETKFPFHDQHHQESGIESDVP >EOY05900 pep chromosome:Theobroma_cacao_20110822:4:30220223:30225829:1 gene:TCM_020786 transcript:EOY05900 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM family member 3A isoform 3 MTLLPTRQFHPATTTKSTFLDSFQTRLSKFHGLPLPFCSYDSSNFPLKTSTLYAANYTVTSNSLFHQYPKSKTKAFPTKDPTFRSNWLDSWNKTHKGFGPKPPKTVFNYRKKGDVWSLSYSQSDNNGRSSSSTMEKIVEKLKKFGYIGEENEQKEKGEEEPKRVIERGSIEDIFYVEEGMLPNNRGGFSKESPLGMENVFGSDGEVRFPWEKRKEDEEEGGWTARRDSKTSLAELTLPESELRRLRNLTFRTKSKVRIKGAGVTQEVVDTIHEKWKTEEIVRLKIEGAPALNMKRMHEILERKTGGLVIWRSGTSVSLYRGVSYEVPSVHLSKRIYKRNETFTYALPSVSDKTKDLSSLGSHKDVVSPQANSETAAEGNKDTESLPEIRYEDEVDKLLEGLGPRYTDWPGCNPLPVDADLLPGIVAGYQPPFRVLPYGVRSSLGLKEATSLRRLARVLPPHFAIGRSRQLQGLAVAMIKLWEKSSIAKIALKRGVQLTTSERMAEDIKKLTGGMLLSRNKDFLVFYRGKNFLSADVAEALVERERLAKSLQDEEEQARLRASAFLVPSTEVAEQSGAAGTLGETLDADARWGKRLDNHHKEKVMKEAEILRHANLVRKLDKNLAFADRKLLKAERALTKVEDYLKPADRQADPESITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKIIMKAKTFDQVKKVALALEAESGGVLVSVDRISKGYAIIVYRGKDYQRPSTIRPKNLLTKRRALARSIELQRREALVKHVSALQAKVDKIRSEIDQMHSMEEQGDEEFYDRLDSSYPTDDDDTEEEGDEAYLETYESENDAEEENDELIHNLHLETKFPFHDQHHQESGIESDVP >EOY06508 pep chromosome:Theobroma_cacao_20110822:4:32125953:32128585:-1 gene:TCM_021205 transcript:EOY06508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor binding protein, putative MDGNDSVDPKQSTGDMAAFVQNLLQQMQSRFQTMSDSIIMKNILFLFTYPTKAKMKQFHLNGMYIRYSQENKIVHPICKWFLDILNFNALDEMGSRIDELEQSINDLRAEMGAENSPSPSVPAVPKDDSKSPSEV >EOY06238 pep chromosome:Theobroma_cacao_20110822:4:31395349:31396829:1 gene:TCM_021037 transcript:EOY06238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 79 isoform 2 MSWAVMAGHLGWGIIEEGWRKGPWTAEEDRLLIEYVRVHGEGRWNSVARLAAGLKRNGKSCRLRWVNYLRPDLKRGQITPHEESIILELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKAKLSPDNSDKAKARLLKRQQFQQQQLLQQQEQQQQQQQQQQQQQQLQLNQFDMKRIMSLLDENEHRAPYVPQLRQEMATSYPNTTEEQGFFYPMINGNVSGSDTSNEEILWDGLWNLDDVHGTFGSACATSKASLHNLVAPFC >EOY06237 pep chromosome:Theobroma_cacao_20110822:4:31392565:31396858:1 gene:TCM_021037 transcript:EOY06237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 79 isoform 2 MGHLTDDDGILKRSAVYLNTEKMGMILKMTDGVMAGHLGWGIIEEGWRKGPWTAEEDRLLIEYVRVHGEGRWNSVARLAGLKRNGKSCRLRWVNYLRPDLKRGQITPHEESIILELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKAKLSPDNSDKAKARLLKRQQFQQQQLLQQQEQQQQQQQQQQQQQQLQLNQFDMKRIMSLLDENEHRAPYVPQLRQEMATSYPNTTEEQGFFYPMINGNVSGSDTSNEEILWDGLWNLDDVHGTFGSACATSKASLHNLVAPFC >EOY04119 pep chromosome:Theobroma_cacao_20110822:4:22102575:22103060:1 gene:TCM_019372 transcript:EOY04119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative MRQTPLAFFIVFSRVLALWWEKMKVVSVVALCVVALVVVLLSGETRTVEAVNCSPSELTSCLPAITSSSPPSTTCCSKLREQKPCLCGYLQNPNLKQFVNNPNARKVASTCGVTYPQC >EOY03081 pep chromosome:Theobroma_cacao_20110822:4:4434171:4442060:-1 gene:TCM_017515 transcript:EOY03081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 1 MVIAIILPQFLLSYPVFKDFETIPARPCQLHSFQNHIWLQWAVLVSPFFFWGTAMVAMKEVLPKTGPFFVAAFRLIPAGLLLVAFAKSKGRPSPSGLTAWLSIAVFALVDATCFQGFLAQGLQRTSAGLGSVIIDSQPLTVAVLAALLFDESIGLVGAAGLVLGVIGLLLLEVPALALDESNFSLWGSGEWWMFLAAQSMAVGTVLVRWVSKYSDPIMATGWHMVIGGLPLVGISILNYDPVFSGSFKELSASDLLALFYTSVFGSAISYGVYFYSATKGSLTKLSSLTFLTPMFASIFGFLYLGETFSPLQLVGAIVTIVAIYMVNFRDSLQ >EOY03080 pep chromosome:Theobroma_cacao_20110822:4:4422042:4442189:-1 gene:TCM_017515 transcript:EOY03080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 1 MGGCCCWTFPSSPPFSLYNNNNISSSSSSLSWSLPSSSHNSSSLTQSSKTLKLSQLVHANSTRFRITCKAKDPEVMDCVGTGLDVECLVSPNEKEETEDEKAKEEESNIWLQWAVLVSPFFFWGTAMVAMKEVLPKTGPFFVAAFRLIPAGLLLVAFAKSKGRPSPSGLTAWLSIAVFALVDATCFQGFLAQGLQRTSAGLGSVIIDSQPLTVAVLAALLFDESIGLVGAAGLVLGVIGLLLLEVPALALDESNFSLWGSGEWWMFLAAQSMAVGTVLVRWVSKYSDPIMATGWHMVIGGLPLVGISILNYDPVFSGSFKELSASDLLALFYTSVFGSAISYGVYFYSATKGSLTKLSSLTFLTPMFASIFGFLYLGETFSPLQLVGAIVTIVAIYMVNFRDSLQ >EOY04296 pep chromosome:Theobroma_cacao_20110822:4:23238886:23239632:1 gene:TCM_019547 transcript:EOY04296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scorpion toxin-like knottin superfamily protein MEIMRKLFGMFLLLLIVLASQEMVVPSKARLCESKSHGFKGACLSDHNCGMVCSNEGFSGGRCRGFRHRCFCTRRC >EOY05226 pep chromosome:Theobroma_cacao_20110822:4:27666478:27667807:1 gene:TCM_020281 transcript:EOY05226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKIMTISPPPLPFLGNSSLLFSLCQLLRMRICFLSRYSTSAVVVDDKITQKGIIKCMRLENLIKARSKSGSLNLQVAVCLFDSVIQMRPLPSICAFNHLIGAVSKMKHYSIVVSMCKHIMGCKEIQLDIFTMNSWLNGLCNSKQVDLGFSVLTMIFKLGLQPNAYTMNTLLLGICNESKINEA >EOY02807 pep chromosome:Theobroma_cacao_20110822:4:2323371:2326073:-1 gene:TCM_017200 transcript:EOY02807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEYLLKTSDCKLLLFIFRPRTSSCRRRQPQLKTPSRILCCFRGGSIVRTTIDRYKKACADASNPGSVAEANTQLTI >EOY06665 pep chromosome:Theobroma_cacao_20110822:4:32591995:32593197:-1 gene:TCM_021321 transcript:EOY06665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich family protein, putative isoform 1 MALSFTFKFWVLAIAMVCMSIFCFYLGKADEGLPQTGGVARDPAQIVAKALLCFNDKYIYSSCEESCRLTASGNLGVPPGYIDEYCSGPCLSETHLVLNCIENIMTNFLFYNRATIQDIRDTIQAGCGYGPERGDFNVEEHIEAEGSSASKAATQILIGIGSMIIACTLLL >EOY06666 pep chromosome:Theobroma_cacao_20110822:4:32592026:32596621:-1 gene:TCM_021321 transcript:EOY06666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich family protein, putative isoform 1 MRRLSSLASRSTTSLRNLTKDPKNTTISCQSQFKSNTPVPPPPSRGKADEGLPQTGGVARDPAQIVAKALLCFNDKYIYSSCEESCRLTASGNLGVPPGYIDEYCSGPCLSETHLVLNCIENIMTNFLFYNRATIQDIRDTIQAGCGYGPERGDFNVEEHIEAEGSSASKAATQILIGIGSMIIACTLLL >EOY04313 pep chromosome:Theobroma_cacao_20110822:4:23781487:23801550:-1 gene:TCM_019595 transcript:EOY04313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylcysteine methylesterase MPGFLQDIIVACVDYRNFPQGTISDMVKDVSQGISFVCNIIGEYGGDPNRIYLMGQSAGAHVSACVLLEQAIRESRGENTSWSVSQIKAYFGLSGG >EOY05426 pep chromosome:Theobroma_cacao_20110822:4:28556143:28558715:1 gene:TCM_020436 transcript:EOY05426 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 2 MSFIDEFQADLEALPNILQKKYALMRDLDKSLQEIVRQNEQRCEQEIEDIRRGVRAGNITPDTSLLRFSDEALDEQKHSIRIADEKVDSHIQQLDQYLKKSDEELRRERENAAATASSGPSPDSTTKSGRPSESGRGGRKKTRLATAAAAAAAAAATEVAAAAANPTGMELDLPVDPNEPTYCLCNQVSYGEMVACDNPDCKIEWFHFGCVGLKEQPKGKWYCSDCAALRNRRKGRG >EOY05425 pep chromosome:Theobroma_cacao_20110822:4:28556159:28558713:1 gene:TCM_020436 transcript:EOY05425 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 2 MSFIDEFQADLEALPNILQKKYALMRDLDKSLQEIVRQNEQRCEQEIEDIRRGVRAGNITPDTSLLRFSDEALDEQKHSIRIADEKVALAIQAYDLVDSHIQQLDQYLKKSDEELRRERENAAATASSGPSPDSTTKSGRPSESGRGGRKKTRLATAAAAAAAAAATEVAAAAANPTGMELDLPVDPNEPTYCLCNQVSYGEMVACDNPDCKIEWFHFGCVGLKEQPKGKWYCSDCAALRNRRKGRG >EOY05427 pep chromosome:Theobroma_cacao_20110822:4:28556480:28558597:1 gene:TCM_020436 transcript:EOY05427 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 2 RDLDKSLQEIVRQNEQRCEQEIEDIRRGVRAGNITPDTSLLRFSDEALDEQKHSIRIADEKVALAIQAYDLVDSHIQQLDQYLKKSDEELRRERENAAATASSGPSPDSTTKSGRPSESGRGGRKKRSRILSLEKIIPRKKKNLIFLPLHATYVSLVYMMSFEPHISHRTRLATAAAGKIEWFHFGCVGLKEQPKGKWYCSDCAALRNRRKGRG >EOY05428 pep chromosome:Theobroma_cacao_20110822:4:28556189:28558731:1 gene:TCM_020436 transcript:EOY05428 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 2 MSFIDEFQADLEALPNILQKKYALMRDLDKSLQEIVRQNEQRCEQEIEDIRRGVRAGNITPDTSLLRFSDEALDEQKHSIRIADEKQLDQYLKKSDEELRRERENAAATASSGPSPDSTTKSGRPSESGRGGRKKTRLATAAAAAAAAAATEVAAAAANPTGMELDLPVDPNEPTYCLCNQVSYGEMVACDNPDCKIEWFHFGCVGLKEQPKGKWYCSDCAALRNRRKGRG >EOY04575 pep chromosome:Theobroma_cacao_20110822:4:25076310:25079749:1 gene:TCM_019804 transcript:EOY04575 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBF1-interacting co-repressor CIR isoform 2 MALKFLNKKGWHTGSLRNIENVWKAEQKHEAEQKKLEELRKQIHEERERSEFRLLQEQAGLVPKQERLDFLYDSGLAVGKGSSSSAGGSGGGGGGFKALEEALPTSKAADASAKQSSSAPGALFEDKPHSANDAWRKLHSDPLLLIRQREQEALARIKNNPVQMAIIRKSVGEKKQKDKSHDRKENHKKHHQTSSKHRKHSSSKQHSYSEDDTSKEDKKIRSHHRKSSDYEGHYHRTESDSEDALKEAESREKNCHTQKYRYDYQDAVKRNHDKSKHDKYSSHAPKSFDADKYQEKNRSYDYKATAPRDDKRRNVASKLSEEERAARLREMQEDAELHEEQRWKRLKKADEKDAWENTMARKSVGRNFLDAAHRSVYGAEKGGSLTIEESVRRRTHYSQGRSGSEGNAFRR >EOY04574 pep chromosome:Theobroma_cacao_20110822:4:25076346:25079721:1 gene:TCM_019804 transcript:EOY04574 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBF1-interacting co-repressor CIR isoform 2 MALKFLNKKGWHTGSLRNIENVWKAEQKHEAEQKKLEELRKQIHEERERSEFRLLQEQAGLVPKQERLDFLYDSGLAVGKGSSSSAGGSGGGGGGFKALEEALPTSKAADASAKQSSSAPGALFEDKPHSANDAWRKLHSDPLLLIRQREQEALARIKNNPVQMAIIRKSVGEKKQKDKSHDRKENHKKHHQTSSKHRKHSSSKQHSYSEDDTSKEDKKIRSHHRKSSDYEGHYHRTESDSEDALKEAESREKNCHTQKYRYDYQDAVKRNHDKSKHDKYSSHAPKSFDADKYQEKNRSYDYKATAPRDDKRRNVASKLSEEERAARLREMQEDAELHEEQRWKRLKKADEKDAWENTMARKSVGRNFLDAAHRSVYGAEKGGSLTIEESVRRRTHYSQGRYAPAFPFMGVLSSGDKVGKIGGRLQSMALTGCKPKRSPSSQPSDIVSIWTEDESSGFMDLEMKRVRTRVALST >EOY04576 pep chromosome:Theobroma_cacao_20110822:4:25076310:25079749:1 gene:TCM_019804 transcript:EOY04576 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBF1-interacting co-repressor CIR isoform 2 MALKFLNKKGWHTGSLRNIENVWKAEQKHEAEQKKLEELRKQIHEERERSEFRLLQEQAGLVPKQERLDFLYDSGLAVGKGSSSSAGGSGGGGGGFKALEEALPTSKAADASAKQSSSAPGALFEDKPHSANDAWRKLHSDPLLLIRQREQEALARIKNNPVQMAIIRKSVGEKKQKDKSHDRKENHKKHHQTSSKHRKHSSSKQHSYSEDDTSKEDKKIRSHHRKSSDYEGHYHRTESDSEDALKEAESREKNCHTQKYRYDYQDAVKRNHDKSKHDKYSSHAPKSFDADKYQEKNRSYDYKATAPRDDKRRNVASKLSEEERAARLREMQEDAELHEEQRWKRLKKADEKDAWENTMARKSVGRNFLDAAHRSVYGAEKGGSLTIEESVRRRTHYSQGRSGSEGNAFRR >EOY05891 pep chromosome:Theobroma_cacao_20110822:4:30189981:30196259:1 gene:TCM_020781 transcript:EOY05891 gene_biotype:protein_coding transcript_biotype:protein_coding description:DREB2A-interacting protein 2, putative MMMERGEGVSKVAREKLVACMTCPLCDKLFKDATSISECLHTFCRKCIYEKITEEELDSCPVCNIDLGCAPLEKLRADNNWQDIRIKIFPPKRQKSKESENMALVPLPARRKERSLSSLVVSTPRVSAKPCLTGRRSKLTARKTIASREPSLLNEEHVSKLEGLPQNLSSPETYSKIAQNSRQNFTVGESSKQHAPDRSTAEALEEKADLWKPLNHLVEAANKTKPNKVNSQGSIAKPAPHAPDNEVNLLTVKECGTGSNIPSKQNDSAPEPSTSARPRRSRGTQQKREAVSERLNIPAQAVVDSSNKYDGRFSPIWFSLVASKSQEGDAPLPQISSCYLRVKDGNLPVSSIKKYLVRKLGLSSETEVEISLRGRPVLSTLQLHNLVDWWVQTTPASERIQTFVGSSAKDFVMVLSYSRKAQPP >EOY03563 pep chromosome:Theobroma_cacao_20110822:4:17155738:17158425:1 gene:TCM_047039 transcript:EOY03563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MPYLFPLPSFKNFFFILTLLLFYFSKATLKSTSSKSQTFLLHQNLQCRTSFSMQNSHHFPLPKPFQNPMSIPFKSPSWFSTRRLFEQKLQDLHKCTNLSHIKQLQAQIIRQNLHQDLYIAPKLISAFSLCRQITLALTVFNQIQDPNVHLYNNLIRAYVQNSQPSQAFSFFFDMQWNGILADNFTYTFLLKACTDLKMVEMIHTQIEKNGFLSDIFVPNALIDSYSKFGELGIKAALKLFMVMEDRDVVSWNSMIGGLLKVGELREARKLFDEMPQRDMVSWNTILDGYVKAGEMEKAFELFQKIPQRNVVSWSTMVSGYSKAGDMDMARVLFDRMPVKTLVPWTIIISGYAEKGLAKEAIELYDRMEQDGLELDDGTVIGILAACAESGLLAVGVKVHNSIERLRFRCSIAVCNALVDMYAKCGSLDKAWSVFNGMPKRDVVSWNAMLQGFAMHGHGKEALQVFSRLKKEGFQPDRVTFVGILCACTHAGFIDEGVEYFYVMEKDYGIVPQIEHYGCMVDLLGRGGRLNEAFRLVQIMPFEPNAIIWGTLLAACRLHNAVKLAEKVIDHLLKLDPSDPGNYSMLANIFAASGDWDNVASLRLRMKSIGVQKPSGSSSIEIDNEVHDFTVFDKSHPKFDGIYQMIERLREDLKQVVHPEGTTLPIEVGEVVI >EOY02273 pep chromosome:Theobroma_cacao_20110822:4:242177:243541:1 gene:TCM_016798 transcript:EOY02273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSHKWHSRAKPNEGGHALLSSKSGRNKNLSHIKKDQDSSPISPFLRTASKMYPGIPGHVKVFDEMTETSFVSHNLFIVGFDKWDQLFELAKAFRSMQGEGTAL >EOY04653 pep chromosome:Theobroma_cacao_20110822:4:25361668:25362910:1 gene:TCM_019853 transcript:EOY04653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGLLGYNSLAPKTKNLVVAGGLTAFVFGVYFYTMRAVGGTDELQVAIDKFEEHKKQEARASMASKA >EOY05611 pep chromosome:Theobroma_cacao_20110822:4:29154966:29156464:-1 gene:TCM_020570 transcript:EOY05611 gene_biotype:protein_coding transcript_biotype:protein_coding description:CXE carboxylesterase MDSLDKEVAIEILPFIRIYKGGSVERLAGSPNVPPSTEDPETGVSSKDITISDNPPISARIYLPKQVEQHQKVPLLVYFHGGGFCFESAFSFVETKYMNSLAYYAKVVVISIEYRLAPEHPLPIAYDDCWAGLQWVVSHSVDCGVDNKEPWLSTYVDFDRVYVAGDSAGANLAHNILMRAGSEALNGGVKITGAFVTHPYFWGSKPVGSEPKSIDKREKCAMYTIWHLIYPEAPGGIDNPMINPVSPGAPSLAGLGCSRLLVSVAEKDALRDRGIFYYNAVKESGWKGELVLVQVEREDHAFQILNYESENAKKLIKRLASFLV >EOY04383 pep chromosome:Theobroma_cacao_20110822:4:24117219:24118563:1 gene:TCM_019649 transcript:EOY04383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLLGSLAMLVPLTCLFWLPVLIMAQSTPMNGSARALDALLQDYAFRAFVVRPKTGIPYDGVVPSNLSGINITAMRLRSGSLRSKGVKMYKEFEIPIGVVEQPYVERLVLVYQNLGDWSKLYYPLPNYSYLAPVLGLLAYNASNLSATNLPELDFRAFGDPIKIKFSDVQSTPDGSVPMCVWFDLHGSVNFSNVTSGNECSTIQQGHFSIVTGSISPSGGGEEKKSHRKVGIIVGSVLGGLALLVLLAVLVLWARKCKQTKEMQEMEKAAEVGEALHMTSVGDTKAPSATVTRTQPTLESEYVP >EOY06464 pep chromosome:Theobroma_cacao_20110822:4:31992790:31994671:-1 gene:TCM_046782 transcript:EOY06464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative isoform 1 MGFIPSRPMFMVALFAMSSMSKSTWKKKFEVFKKFGWSEKEAFEAFRRYPTFVRVSEDKFMAVMDFLVNKMGFQSLLIAKRPRILMMSLDKKIVPRGLYAQDLLSKGLIRHVNLQALLETSDDLFVEKFVNRFKAEASELLKLYQEKLNHSKNWKIG >EOY06463 pep chromosome:Theobroma_cacao_20110822:4:31993210:31994409:-1 gene:TCM_046782 transcript:EOY06463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative isoform 1 MFYFLCKRILHGRLAVAASQSHKVLCVSKNNPSSLSSSLLGVSASLTFRCISSSSKKQSFTVSYLKKKCGLSSESALTAAKYVQFKTSDRADTVIAFFKNHGFSEPQITRLIKRRPVVLVSDVETTLLPKLEFFRSKGISSPDLVKILSDNPTILGSSLEKQIIPSFNCLSNFLSEEKIIDAVKRYPRLLSYDLNAVLLPNINLLLDNGVPECNIVTTLHSLPSTLMRGPIQFKDMVDGAKDMGFIPSRPMFMVALFAMSSMSKSTWKKKFEVFKKFGWSEKEAFEAFRRYPTFVRVSEDKFMAVMDFLVNKMGFQSLLIAKRPRILMMSLDKKIVPRGLYAQDLLSKGLIRHVNLQALLETSDDLFVEKFVNRFKAEASELLKLYQEKLNHSKNWKIG >EOY03586 pep chromosome:Theobroma_cacao_20110822:4:17327138:17329730:1 gene:TCM_018694 transcript:EOY03586 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MWSFASNCIAGTVGLRNDSLKQSHAASECSDDESSSVVSREEGLECPICCESFNIVENVPYVLWCGHTLCKNCILGLQWAVVNFPTLPIQLPLFISCPWCNLLSFRLVYRGNLKFPRKNYFLLWMVESMNGDRLKSHSAFCEDRQPDWSSNNNLALGNHVTRSNHGRGQCPHSESSGSSHNHIHLANYFNLERIKSSLRKSLVLIIHLTAKFPLVIIFLLIILYAIPASAAILALYILITVLFALPSFLILYFAYPSLDWLVKEIIT >EOY03585 pep chromosome:Theobroma_cacao_20110822:4:17327055:17329864:1 gene:TCM_018694 transcript:EOY03585 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MQLKWEMLTEVFLPMVQFLLLENDALCVITVFLVINMWSFASNCIAGTVGLRNDSLKQSHAASECSDDESSSVVSREEGLECPICCESFNIVENVPYVLWCGHTLCKNCILGLQWAVVNFPTLPIQLPLFISCPWCNLLSFRLVYRGNLKFPRKNYFLLWMVESMNGDRLKSHSAFCEDRQPDWSSNNNLALGNHVTRSNHGRGQCPHSESSGSSHNHIHLANYFNLERIKSSLRKSLVLIIHLTAKFPLVIIFLLIILYAIPASAAILALYILITVLFALPSFLILYFAYPSLDWLVKEIIT >EOY05769 pep chromosome:Theobroma_cacao_20110822:4:29726311:29731221:-1 gene:TCM_020684 transcript:EOY05769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter, putative isoform 2 MGTTAEYECPHRVPVPPSKPFLKCLQTSLKETFFPDDPFRQFKNQPASRKFLLGLQYFVPILEWAPRYTFDFFKADLIAGITIASLAVPQGISYANLASIPPIIGLYSSFVPPLVYAMLGSSKDLAVGTVAVASLLISSMLGKEVSPTENPKQYVQLVFTATLFAGLFQASLGILRLGFIVDFLSHATIVGFMGGAATIVCLQQLKGMLGLLHFTHETDLVSVMRSVFGQFHQQWRWESAVLGCCFLFFLLLTRYFSKRKAAFFWINAMAPLTSVILGSLLVYVTHAEKHGVQVIGHLKKGLNPPSVSDLAFGSPHLAAAIKTGIIIGVIGLAEGIAVGRTFAMFKNYHIDGNKEMIAFGMMNIAGSCTSCYLTAGPFSRTAVNSNAGSKTAVSNIVMATAVMLTLLFLTPLFHYTPLVVLSAIIIAAMLGLLDYEAAIHLWKVDKFDFFICLGAYLGVVLGSVEIGLIIAIMVSLLRILLFVARPRTIVLGNIPNSGIYRSMDQYPIANKVPGILILQIDAPVYFANASYLRERISRWIYEEEDRLKSAGETSLHYVILDLSGRR >EOY05768 pep chromosome:Theobroma_cacao_20110822:4:29726219:29736470:-1 gene:TCM_020684 transcript:EOY05768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter, putative isoform 2 MGTTAEYECPHRVPVPPSKPFLKCLQTSLKETFFPDDPFRQFKNQPASRKFLLGLQYFVPILEWAPRYTFDFFKADLIAGITIASLAVPQGISYANLASIPPIIGLYSSFVPPLVYAMLGSSKDLAVGTVAVASLLISSMLGKEVSPTENPKQYVQLVFTATLFAGLFQASLGILRLGFIVDFLSHATIVGFMGGAATIVCLQQLKGMLGLLHFTHETDLVSVMRSVFGQFHQWRWESAVLGCCFLFFLLLTRYFSKRKAAFFWINAMAPLTSVILGSLLVYVTHAEKHGVQVIGHLKKGLNPPSVSDLAFGSPHLAAAIKTGIIIGVIGLAEGIAVGRTFAMFKNYHIDGNKEMIAFGMMNIAGSCTSCYLTAGPFSRTAVNSNAGSKTAVSNIVMATAVMLTLLFLTPLFHYTPLVVLSAIIIAAMLGLLDYEAAIHLWKVDKFDFFICLGAYLGVVLGSVEIGLIIAIMVSLLRILLFVARPRTIVLGNIPNSGIYRSMDQYPIANKVPGILILQIDAPVYFANASYLRERISRWIYEEEDRLKSAGETSLHYVILDLSAVGSIDTSGISMLEEVKKNVDRKGLKLVLANPKSEVMKKLDKSKLIDTIGQEWIYLTVGEAVAACNFMLHTWKSNPVAVDYHAQDNNV >EOY02511 pep chromosome:Theobroma_cacao_20110822:4:1165912:1173572:1 gene:TCM_016971 transcript:EOY02511 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MKKKEPGQRRGFGFVTYADPSIVDKVIKDTHIINGKQVEIKRTIPKGAAGSKDFKTRKIFVGGIPSSVSEDEFKDFFMQYGEVKEHQIMRDHATNRSRGFGFITFETEHAVDDLLAKGNKVDFAGAQVEIKRAEPKKPNPPPAPSKRYNDSRTAYGSGFGDAYGRYGGGGFGSGGYRASGAYGGRAGGYGGYGVGEFGGYGGYAGGMGPFRGEPSLGYSGRYGGNFNRGYDMGSGYGGPGEIYGGYGAGATGGGYASSYDTGLGGGYGAAGGGAGNSFYGSRGGYSGAGSGRYHPYGR >EOY02507 pep chromosome:Theobroma_cacao_20110822:4:1170593:1173742:1 gene:TCM_016971 transcript:EOY02507 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MDPRDGEAILDGETSDFRSSDHPEDDKSQPLTGDGASPGKIFVGGLARETNSAQFLEHFGQYGEITDSVIMKDRKTGQPRGFGFVTYAEPSVVDKVIEDTHIINGKQVEIKRTIPKGAAGSKDFKTRKIFVGGIPSSVSEDEFKDFFMQYGEVKEHQIMRDHATNRSRGFGFITFETEHAVDDLLAKGNKVDFAGAQVEIKRAEPKKPNPPPAPSKRYNDSRTAYGSGFGDAYGRYGGGGFGSGGYRASGAYGGRAGGYGGYGVGEFGGYGGYAGGMGPFRGEPSLGYSGRYGGNFNRGYDMGSGYGGPGEIYGGYGAGATGGGYASSYDTGLGGGYGAAGGGAGNSFYGSRGGYSGAGSGRYHPYGR >EOY02510 pep chromosome:Theobroma_cacao_20110822:4:1170744:1173568:1 gene:TCM_016971 transcript:EOY02510 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MTSLSLSREMEPALAQFLEHFGQYGEITDSVIMKDRKTGQPRGFGFVTYAEPSVVDKVIEDTHIINGKQVEIKRTIPKGAAGSKDFKTRKIFVGGIPSSVSEDEFKDFFMQYGEVKEHQIMRDHATNRSRGFGFITFETEHAVDDLLAKGNKVDFAGAQVEIKRAEPKKPNPPPAPSKRYNDSRTAYGSGFGDAYGRYGGGGFGSGGYRASGAYGGRAGGYGGYGVGEFGGYGGYAGGMGPFRGEPSLGYSGRYGGNFNRGYDMGSGYGGPGEIYGGYGAGATGGGYASSYDTGLGGGYGAAGGGAGNSFYGSRGGYSGAGSGRYHPYGR >EOY02509 pep chromosome:Theobroma_cacao_20110822:4:1170744:1173568:1 gene:TCM_016971 transcript:EOY02509 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MKDRKTGQPRGFGFVTYAEPSVVDKVIEDTHIINGKQVEIKRTIPKGAAGSKDFKTRKIFVGGIPSSVSEDEFKDFFMQYGEVKEHQIMRDHATNRSRGFGFITFETEHAVDDLLAKGNKVDFAGAQVEIKRAEPKKPNPPPAPSKRYNDSRTAYGSGFGDAYGRYGGGGFGSGGYRASGAYGGRAGGYGGYGVGEFGGYGGYAGGMGPFRGEPSLGYSGRYGGNFNRGYDMGSGYGGPGEIYGGYGAGATGGGYASSYDTGLGGGYGAAGGGAGNSFYGSRGGYSGAGSGRYHPYGR >EOY02508 pep chromosome:Theobroma_cacao_20110822:4:1170892:1173572:1 gene:TCM_016971 transcript:EOY02508 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MIYLGICSFERSTLSVNTVEVIYDYIQYLEICSKIFVGGLARETNSAQFLEHFGQYGEITDSVIMKDRKTGQPRGFGFVTYAEPSVVDKVIEDTHIINGKQVEIKRTIPKGAAGSKDFKTRKIFVGGIPSSVSEDEFKDFFMQYGEVKEHQIMRDHATNRSRGFGFITFETEHAVDDLLAKGNKVDFAGAQVEIKRAEPKKPNPPPAPSKRYNDSRTAYGSGFGDAYGRYGGGGFGSGGYRASGAYGGRAGGYGGYGVGEFGGYGGYAGGMGPFRGEPSLGYSGRYGGNFNRGYDMGSGYGGPGEIYGGYGAGATGGGYASSYDTGLGGGYGAAGGGAGNSFYGSRGGYSGAGSGRYHPYGR >EOY02512 pep chromosome:Theobroma_cacao_20110822:4:1171493:1173672:1 gene:TCM_016971 transcript:EOY02512 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MKDRKTGQPRGFGFVTYAEPSVVDKVIEDTHIINGKQVVEIKRTIPKGAAGSKDFKTRKIFVGGIPSSVSEDEFKDFFMQYGEVKEHQIMRDHATNRSRGFGFITFETEHAVDDLLAKGNKVDFAGAQVEIKRAEPKKPNPPPAPSKRYNDSRTAYGSGFGDAYGRYGGGGFGSGGYRASGAYGGRAGGYGGYGVGEFGGYGGYAGGMGPFRGEPSLGYSGRYGGNFNRGYDMGSGYGGPGEIYGGYGAGATGGGYASSYDTGLGGGYGAAGGGAGNSFYGSRGGYSGAGSGRYHPYGR >EOY02928 pep chromosome:Theobroma_cacao_20110822:4:3052203:3053744:1 gene:TCM_017330 transcript:EOY02928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTCFRGNFRHWRPHNKGKTRNLFHLLPTDILFDEILARIVVEDEGPKNLCRLSCVCKLLYEASNEAVILRKTKICLTSSLEELSKSYRNFVFKCSSMGNIRALAAITAVVFVVLMMIHLTCEEQFLMERLHASYFLGLILFESPSTRKESYRCLAATIAFENSQHLANFKLNAKRYLASFGINRPLNRLEISCGTEECGCRWIGKGYPPEFLDKQTWLSFKCMLGYHYEMFRLAAAADFQQDQ >EOY06399 pep chromosome:Theobroma_cacao_20110822:4:31806812:31808898:1 gene:TCM_021132 transcript:EOY06399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby like protein 3 isoform 2 NCRFAFHYPGPKDCLLQCFIKRNRSTQTYHLYLGLTNALTDDGKFLLAARKSRRPTCTDYIISIRAEDMSKGSSTYVGKLRSNFLGTKFTVFDGQPPHAGAKMTKSRSSRLVNLKQVSPRVPFGNYPITHISYELNMLGSRGPRKMQCIMDSIPATSIGPGGVAPTQAEFSFSKVDLFPSIPIFHSKSAGMENFLSGPLSGQKDGALVLRNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVASPENGPAGPEHEKIILQFGKVGKDLFTMDYRYPISAFQAFAICLSSFDTKIACE >EOY06401 pep chromosome:Theobroma_cacao_20110822:4:31806812:31808898:1 gene:TCM_021132 transcript:EOY06401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby like protein 3 isoform 2 NCRFAFHYPGPKDCLLQCFIKRNRSTQTYHLYLGLTNALTDDGKFLLAARKSRRPTCTDYIISIRAEDMSKGSSTYVGKLRSNFLGTKFTVFDGQPPHAGAKMTKSRSSRLVNLKQVSPRVPFGNYPITHISYELNMLGSRGPRKMQCIMDSIPATSIGPGGVAPTQAEFSFSKVDLFPSIPIFHSKSAGMENFLSGPLSGQKDGALVLRNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVASPENGPAGPEHEKIILQFGKVGKDLFTMDYRYPISAFQAFAICLSSFDTKIACE >EOY06398 pep chromosome:Theobroma_cacao_20110822:4:31801472:31809014:1 gene:TCM_021132 transcript:EOY06398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby like protein 3 isoform 2 MTIKCIARLRSRRVVQDQLDGSDQEAVGGGCCWANLPQELLREVLLRIEDSESIWPQRKNVVACAGVCRSWRVIVKEIVKVPELSGKLTFPISVKQQFTRKLVLDCLQPGPKDCLLQCFIKRNRSTQTYHLYLGLTNALTDDGKFLLAARKSRRPTCTDYIISIRAEDMSKGSSTYVGKLRSNFLGTKFTVFDGQPPHAGAKMTKSRSSRLVNLKQVSPRVPFGNYPITHISYELNMLGSRGPRKMQCIMDSIPATSIGPGGVAPTQAEFSFSKVDLFPSIPIFHSKSAGMENFLSGPLSGQKDGALVLRNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVASPENGPAGPEHEKIILQFGKVGKDLFTMDYRYPISAFQAFAICLSSFDTKIACE >EOY06400 pep chromosome:Theobroma_cacao_20110822:4:31805317:31808686:1 gene:TCM_021132 transcript:EOY06400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby like protein 3 isoform 2 MTIKCIARLRSRRVVQDQLDGSDQEAVGGGCCWANLPQELLREVLLRIEDSESIWPQRKNVVACAGVCRSWRVIVKEIVKVPELSGKLTFPISVKQPGPKDCLLQCFIKRNRSTQTYHLYLGLTNALTDDGKFLLAARKSRRPTCTDYIISIRAEDMSKGSSTYVGKLRSNFLGTKFTVFDGQPPHAGAKMTKSRSSRLVNLKQVSPRVPFGNYPITHISYELNMLGSRGPRKMQCIMDSIPATSIGPGGVAPTQAEFSFSKVDLFPSIPIFHSKSAGMENFLSGPLSGQKDGALVLRNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVASPENGPAGPEHEKIILQFGKVGKDLFTMDYRYPISAFQAFAICLSSFDTKIACE >EOY05873 pep chromosome:Theobroma_cacao_20110822:4:30134269:30137967:1 gene:TCM_020767 transcript:EOY05873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAHGGYGKRRVAERKRVGRRSKGPGVDKKPKPKAVSLKNQIRSIERMLRKDLPPEVREAQERKLEGLKKQQEIHTRLAVERKLFLRDRKIKFFERRKIERRIRRLEKLQRTSSGQAQDAEIAEQLSKLKEDLEYVRFFPKTEKYVSLFTGGDGSDVVDRRNRLRKQIKANLVAAAASGKDLEETGSEDDGLLDLSDDDFFLTGTSSDEADADDEWTDKSTREQASSASGKAASGVSSDERNQYIQNQGLGLHLAEIHPYKELKCPHLATHPIAEVVLPLRQGGLRIQRLETVVI >EOY05872 pep chromosome:Theobroma_cacao_20110822:4:30134536:30137949:1 gene:TCM_020767 transcript:EOY05872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAHGGYGKRRVAERKRVGRRSKGPGVDKKPKPKAVSLKNQIRSIERMLRKDLPPEVREAQERKLEGLKKQQEIHTRLAVERKLFLRDRKIKFFERRKIERRIRRLEKLQRTSSGQAQDAEIAEQLSKLKEDLEYVRFFPKTEKYVSLFTGGDGSDVVDRRNRLRKQIKANLVAAAASGKDLEETGSEDDGLLDLSDDDFFLTGTSSDEADADDEWTDKSTREQASSASGKAASGVSSDERNQRQVSARALMPPPRPSTNSFSNSVHSKSRFGSSSSRNSSIQRAEMSTSSNTSNSRSGSSFKAGGSSNPKTGNSSNLSSNSDARKPRRKRRPKKRKQQSLWTWNVILFCICRHEVGSALE >EOY05264 pep chromosome:Theobroma_cacao_20110822:4:27786774:27791328:-1 gene:TCM_020304 transcript:EOY05264 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCHC-type integrase-like protein MSTSTPNITHQPPPLFDGINYSVWAVQMKAYLRGFNLWDVVENDTEVSPLRDNASAAQVKQYEKDVAKRYRALSFFHSAVFETIFSRIIGCETAKEVWDTLQEEFLGNTRTRHMQLLNLRREFELMRMKDTQTVKEYVDQVMKLINQIRMLGEKLSETSVVQKILISIPEKFEATVASLEQSKDPIKLTITEIISALQATKKGKFKVRMHCKKCNHSVDNCWFKPDAKCKVCNELGHINKVCKNKSSATEKVDQSTEKAHVEEETLFMALTTPNSDVHNDQRLLDSGSSNHITPLESMLVDLDKDYKSKVKIGNGLYLDVVGKGTVSIHASAGIRTYFLRNGNVLESNDNQNVDDVPVRGTRSIDDIYHRSLVITEELTTFAEATKCPKWRATMHEELNMINKNQTWSLVNRPSNHHVIGVKWIFKKKLNPDDNVNKFKARLVAKGFNQLPGIDNMETFALVARFDTIRLLLALSTALSWNLYHFDIKSAFLNGVLEEEIFMEQLDGFIQNPNEDKVFKLHKALTTQVSLSGYSDSDWAGNIDDIRSTSGYVFTLGNGPFSWSFHKQTLVAQSSAEAEYITASVASNLAIWLRKVLANLKLSQCHSTPLLVDNKSAIANIKKSSVSWQNKAHTGQVSCHS >EOY04854 pep chromosome:Theobroma_cacao_20110822:4:26216208:26227158:1 gene:TCM_020024 transcript:EOY04854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein isoform 1 MKKMIALGFEGSANKIGVGVVTLDGTILSNPRHTYITPPGQGFLPRETAQHHLQHVLPLIKSALKTAQITPDEIDCLCYTKGPGMGAPLQVSAIVVRVLSLLWKKPVVAVNHCVAHIEMGRIVTGADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLTLSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSYIEATAEEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDTKDVLIVGGVGCNERLQEMMRTMCSERGGRLFATDDRYCIDNGAMIAYTGLLAFAHGSSTPLEETTFTQRFRTDEVHAIWREKHESGDMNGLVEG >EOY04855 pep chromosome:Theobroma_cacao_20110822:4:26216058:26222257:1 gene:TCM_020024 transcript:EOY04855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein isoform 1 MKKMIALGFEGSANKIGVGVVTLDGTILSNPRHTYITPPGQGFLPRETAQHHLQHVLPLIKSALKTAQITPDEIDCLCYTKGPGMGAPLQVSAIVVRVLSLLWKKPVVAVNHCVAHIEMGRIVTGADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLTLSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSYIEATAEEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDTKDVLIVGGVGCNERLQEMMRTMCSERGGRLFATDDRYCIDNGAMIAYTGLLAFAHGSSTPLEETTFTQRFRTDEVHAIWREKHESGDMNGLVEG >EOY05947 pep chromosome:Theobroma_cacao_20110822:4:30337305:30343636:1 gene:TCM_020809 transcript:EOY05947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein, putative isoform 1 MDPRNLPFEVGQQVETRSFLAGYRGAWFRCKIKEFSRRNKELYQALEYIDYPDEKIRWTKLYQKGSTSKYGKRTLMVRPSYPSVYCESQMPDMNTISEVVVIVNDVWKVGDLVDWWTDNCFWSGRITEKLGEEKVKIELPPPPVGEGSSYEVFCKDLRPSLDWSVDEGWKLLIPKERKYHLCCSRIVKPLNQGGSSNLIDYTVTEGKKDVQPTAGATIEHEGYLSSHISTGPLPLSDKPEHLAVKSLIEKQSKIGLNIMDHGPRKISSSDSVSSLHVQDASAQMPGNAVQMDKYDDSGSSKEMKIDKSICLNSKSSDTIEAAILDLEEFICRIKWLRRIIEFGTPLSDGVRPSWKFVEHLALSKPK >EOY05948 pep chromosome:Theobroma_cacao_20110822:4:30334237:30345474:1 gene:TCM_020809 transcript:EOY05948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein, putative isoform 1 RLAKHQDVIKIKEFSRRNKELYQALEYIDYPDEKIRWTKLYQKGSTSKYGKRTLMVRPSYPSVYCESQMPDMNTISEVVVIVNDVWKVGDLVDWWTDNCFWSGRITEKLGEEKVKIELPPPPVGEGSSYEVFCKDLRPSLDWSVDEGWKLLIPKERKYHLCCSRIVKPLNQGGSSNLIDYTVTEGKKDVQPTAGATIEHEGYLSSHISTGPLPLSDKPEHLAVKSLIEKQSKIGLNIMDHGPRKISSSDSVSSLHVQDASAQMPGNAVQMDKYDDSGSSKEMKIDKSICLNSKSSDTIEAAILDLEEFICRIKWLRRIIEFGTPLSDGVRPSWKFVEHLALSKPK >EOY05946 pep chromosome:Theobroma_cacao_20110822:4:30334237:30345474:1 gene:TCM_020809 transcript:EOY05946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein, putative isoform 1 MDPRNLPFEVGQQVETRSFLAGYRGAWFRCKIKEFSRRNKELYQALEYIDYPDEKIRWTKLYQKGSTSKYGKRTLMVRPSYPSVYCESQMPDMNTISEVVVIVNDVWKVGDLVDWWTDNCFWSGRITEKLGEEKVKIELPPPPVGEGSSYEVFCKDLRPSLDWSVDEGWKLLIPKERKYHLCCSRIVKPLNQGGSSNLIDYTVTEGKKDVQPTAGATIEHEGYLSSHISTGPLPLSDKPEHLAVKSLIEKQSKIGLNIMDHGPRKISSSDSVSSLHVQDASAQMPGNAVQMDKYDDSGSSKEMKIDKSICLNSKSSDTIEAAILDLEEFICRIKWLRRIIEFGTPLSDGVRPSWKFVEHLALSKPK >EOY03429 pep chromosome:Theobroma_cacao_20110822:4:15456918:15457742:-1 gene:TCM_018487 transcript:EOY03429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAKITLNATVFMELKKEVPEKSVMEVESGESSTEEAEPESESPRSVVMEMKKKVERVHSQILRIREEESHLGEDFVGGDKKNKNDVVCGGGGEDFHEKRRRRVNVLVVSRPVLPCSPLSGKNNVRRPCTETT >EOY03430 pep chromosome:Theobroma_cacao_20110822:4:15455576:15457724:-1 gene:TCM_018487 transcript:EOY03430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MELKKEVPEKSVMEVESGESSTEEAEPESESPRSVVMEMKKKVERVHSQILRIREEESHLGEDFVGGDKKNKNDVVCGGGGEDFHEKRRRRVNVLVVSRPVLPCSPLSGKNNVRRPCTETT >EOY05409 pep chromosome:Theobroma_cacao_20110822:4:28464733:28465347:1 gene:TCM_020416 transcript:EOY05409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANLKELFLPILALCIMYPFAFAIDEDLLVIEYHIHIINDLPSDLPPNIPALSLHCKSKDKDLGEKNMLEHQDYTWDSRINLFRTTLYFCNARWEGKQRYFEAFKATRDEHRCRVHHNSCLWSVRDDGIYFSNDNSTWTNEYPW >EOY02922 pep chromosome:Theobroma_cacao_20110822:4:3033614:3038266:1 gene:TCM_017324 transcript:EOY02922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKIFCDKFKGKVSSDDYSAMLEHLHAYSSGRITMIHLKELVEDLLGKYPGLFDHFLYLFNVSHGLPPPSQPAHTINKRKRPAGSEEGVGETHGRNKKIIRLRLTSSSVPILDHPESTSRNDRLSRALRFCDKVRKETSSEKYLALLRCLHYYGTGKITKGDMMKMMADEFPEFKQDFRQVLEFYESLSQPSPQAEDKAKKPNKDIKSSTTQTQLDNLTPSYRFLPKNLSANEISSGSEPGHLKVLNNCCYSKGLFNSGKERRVDPYEEMLNEHEDYLYERDMLLEWLRSTKKNATKLYEAISEGKIKQPNPEEVDNYFNPYNFRFIERMYGSIHGPAILDELRQAAHIVLPFIMKRLDQINSFSYQPTC >EOY02434 pep chromosome:Theobroma_cacao_20110822:4:803507:811043:1 gene:TCM_016907 transcript:EOY02434 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (Cytosine-5)-methyltransferase DRM1/2 isoform 3 MNGNSSGGGGDNFDWDTEDELEIDNYAIASHSGLTIPTGEAVSGSAEASLSADSSNSKVIDHFVGMGFSKEMVAKAIEENGEENSNLILETLLHNSASSSPSSSNSKLIDHFVGMGFSEQMVVKVVQENGDRNTDSILETLLTYSALEKSAPIQQHADSGNCSSDYEWSFLYDFSDIDSSSENEEFMNPDSDEESKLLFLTKMGYSKAEASIAMERCGPDSSIAELTDFISAAQMAKAADALFPLEDKKPFCNDSNHKKRRNLDYDLWKRKKQMKLQKRLLDGVDDAIHLPNPMIGFGVPTEIVQITHRTLPEAAIGPPYFYYENVALAPKGVWQTISRFLYDVEPEFVDSKYFCASARKRGYVHNLPLENRFPLLPFPPRTIHDAFPLTRKWWPSWDTRTKLNCLQTCTASAKLTDRIRKALENHGDEPPLTVQKFVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKDMFPGGINLLSLFSGIGGAEVALHRLGIPLKNVVSVEISEVNRNIVRSWWEQTNQKGTLIDIPDVQQLNGDRLEQLMNTFGGFDLVVGGSPCNNLAGSNRHHRDGLEGKESSLFFDYCRILELVKCISARNQ >EOY02437 pep chromosome:Theobroma_cacao_20110822:4:803527:810342:1 gene:TCM_016907 transcript:EOY02437 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (Cytosine-5)-methyltransferase DRM1/2 isoform 3 MNPDSDEESKLLFLTKMGYSKAEASIAMERCGPDSSIAELTDFISAAQMAKAADALFPLEDKKPFCNDSNHKKRRNLDYDLWKRKKQMKLQKRLLDGVDDAIHLPNPMIGFGVPTEIVQITHRTLPEAAIGPPYFYYENVALAPKGVWQTISRFLYDVEPEFVDSKYFCASARKRGYVHNLPLENRFPLLPFPPRTIHDAFPLTRKWWPSWDTRTKLNCLQTCTASAKLTDRIRKALENHGDEPPLTVQKFVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKDMFPGGINLLSLFSGIGGAEVALHRLGIPLKNVVSVEISEVNRNIVRSWWEQTNQKGTLIDIPDVQQLNGDRLEQLMNTFGGFDLVVGGSPCNNLAGSNRHHRDGLEGKESSLFFDYCRILELVKCISARNQ >EOY02435 pep chromosome:Theobroma_cacao_20110822:4:803757:810302:1 gene:TCM_016907 transcript:EOY02435 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (Cytosine-5)-methyltransferase DRM1/2 isoform 3 MNGNSSGGGGDNFDWDTEDELEIDNYAIASHSGLTIPTGEAVSGSAEASLSADSSNSKVIDHFVGMGFSKEMVAKAIEENGEENSNLILETLLHNSASSSPSSSNSKLIDHFVGMGFSEQMVVKVVQENDIDSSSENEEFMNPDSDEESKLLFLTKMGYSKAEASIAMERCGPDSSIAELTDFISAAQMAKAADALFPLEDKKPFCNDSNHKKRRNLDYDLWKRKKQMKLQKRLLDGVDDAIHLPNPMIGFGVPTEIVQITHRTLPEAAIGPPYFYYENVALAPKGVWQTISRFLYDVEPEFVDSKYFCASARKRGYVHNLPLENRFPLLPFPPRTIHDAFPLTRKWWPSWDTRTKLNCLQTCTASAKLTDRIRKALENHGDEPPLTVQKFVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKDMFPGGINLLSLFSGIGGAEVALHRLGIPLKNVVSVEISEVNRNIVRSWWEQTNQKGTLIDIPDVQQLNGDRLEQLMNTFGGFDLVVGGSPCNNLAGSNRHHRDGLEGKESSLFFDYCRILELVKCISARNQ >EOY02436 pep chromosome:Theobroma_cacao_20110822:4:803527:810342:1 gene:TCM_016907 transcript:EOY02436 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (Cytosine-5)-methyltransferase DRM1/2 isoform 3 MGFSEQMVVKVVQENDIDSSSENEEFMNPDSDEESKLLFLTKMGYSKAEASIAMERCGPDSSIAELTDFISAAQMAKAADALFPLEDKKPFCNDSNHKKRRNLDYDLWKRKKQMKLQKRLLDGVDDAIHLPNPMIGFGVPTEIVQITHRTLPEAAIGPPYFYYENVALAPKGVWQTISRFLYDVEPEFVDSKYFCASARKRGYVHNLPLENRFPLLPFPPRTIHDAFPLTRKWWPSWDTRTKLNCLQTCTASAKLTDRIRKALENHGDEPPLTVQKFVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKDMFPGGINLLSLFSGIGGAEVALHRLGIPLKNVVSVEISEVNRNIVRSWWEQTNQKGTLIDIPDVQQLNGDRLEQLMNTFGGFDLVVGGSPCNNLAGSNRHHRDGLEGKESSLFFDYCRILELVKCISARNQ >EOY02838 pep chromosome:Theobroma_cacao_20110822:4:2526147:2526984:-1 gene:TCM_017245 transcript:EOY02838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESMSNRKRRGFIKGKLAPFYRAAKPAAAAMQYTTKVMPNQTSTTASVSFRVHQDYMVSQPKQVSFILPADKNRENLSQIDNFFGVAGDESVDIKAASYISSVQERFKLERNNSERIKLQETH >EOY02313 pep chromosome:Theobroma_cacao_20110822:4:391512:392364:-1 gene:TCM_016829 transcript:EOY02313 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA ligase/cyclic nucleotide phosphodiesterase family protein isoform 1 MSQGFSIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFLDPAKLESAVKFFASKQEPLALSFSSIGTFPNEKNVLFLAPAPTMALLQFQAQLCEAVKKEGIEIGEEFRADAWIPYCAVAQEVPKTRMAEAFCVLRELKMPVSGYAMDIGLVEFSPVREHFSFGLGNSVEA >EOY02312 pep chromosome:Theobroma_cacao_20110822:4:389440:392618:-1 gene:TCM_016829 transcript:EOY02312 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA ligase/cyclic nucleotide phosphodiesterase family protein isoform 1 MSQGFSIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFLDPAKLESAVKFFASKQEPLALSFSSIGTFPNEKNVLFLAPAPTMALLQFQAQLCEAVKKEGIEIGEEFRADAWIPYCAVAQEVPKTRMAEAFCVLRELKMPVSGYAMDIGLVEFSPVREHFSFGLGNSVEA >EOY03593 pep chromosome:Theobroma_cacao_20110822:4:17350190:17353838:1 gene:TCM_018696 transcript:EOY03593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant calmodulin-binding protein-related, putative isoform 1 MAEESFSSPVTPDITKPVGGNLRRNSLAGTGSANSGEKTLPRYLRASTGSCHDFCKYGKKHESEEKARHYFPKRIIKKPCDEPNSFESLDLPQRKETSAVKSKSFPNSRAHTPNTSNVIKLQVSKDPPDRKNSKIHEALSKKEKATVAKLKLKHSPNSRSRSYDISDVIKLEVPTNSSDSKTPRKHEVLSEAKQTSMAKLRLSPNLKSRLSDAPKFMQQDGSSSSERVQISSKEVPSKAIEKSFSKRHTTSLKLKSVAEKNPSSSVLSEGLTVRRNSGISDMKMGKRTVTSKVAVKKVVALPRASLSPRPYLTRATSLTTRKNKNLKVVPPQKNQNKVNKYETEQPLNEHSESNNDILKEKTLYVIKMETQSDKNEICAAELSSPIASSPKPSSLPISPSLSYHSERDQDESEYSVTEVEDDSDSEYDEDEAVNMEEAEILEGENGGRPRKAGTVISNDKDGRPVKLSFRRGKVVDIQSENNGPRRLKFRRGRVLGENQNIKAEGRRTYRRRGADGDTNDDKPSGEKVVLRHQDMQGKKDDKGLFNNVIEETASKLVETRKSKVKALVGAFETVISLQDTKPSANTVT >EOY03594 pep chromosome:Theobroma_cacao_20110822:4:17350134:17353888:1 gene:TCM_018696 transcript:EOY03594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant calmodulin-binding protein-related, putative isoform 1 MAEESFSSPVTPDITKPVGGNLRRNSLAGTGSANSGEKTLPRYLRASTGSCHDFCKYGKKHESEEKARHYFPKRIIKKPCDEPNSFESLDLPQRKETSAVKSKSFPNSRAHTPNTSNVIKLQVSKDPPDRKNSKIHEALSKKEKATVAKLKLKHSPNSRSRSYDISDVIKLEVPTNSSDSKTPRKHEVLSEAKQTSMAKLRLSPNLKSRLSDAPKFMQQDGSSSSERVQISSKEVPSKAIEKSFSKRHTTSLKLKSVAEKNPSSSVLSEGLTVRRNSGISDMKMGKRTVTSKVAVKKVVALPRASLSPRPYLTRATSLTTRKNKNLKVVPPQKNQNKVNKYETEQPLNEHSESNNDILKEKTLYVIKMETQSDKNEICAAELSSPIASSPKPSSLPISPSLSYHSERDQDESEYSVTEVEDDSDSEYDEDEAVNMEEAEILEGENGGRPRKAGTVISNDKDGRPVKLSFRRGKVVDIQSENNGPRRLKFRRGRVLGENQNIKAEGRRTYRRRGADGDTNDDKPSGEKVVLRHQDMQGKKDDKGLFNNVIEETASKLVETRKSKVKALVGAFETVISLQDTKPSANTVT >EOY03595 pep chromosome:Theobroma_cacao_20110822:4:17350134:17353888:1 gene:TCM_018696 transcript:EOY03595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant calmodulin-binding protein-related, putative isoform 1 MAEESFSSPVTPDITKPVGGNLRRNSLAGTGSANSGEKTLPRYLRASTGSCHDFCKYGKKHESEEKARHYFPKRIIKKPCDEPNSFESLDLPQRKETSAVKSKSFPNSRAHTPNTSNVIKLQVSKDPPDRKNSKIHEALSKKEKATVAKLKLKHSPNSRSRSYDISDVIKLEVPTNSSDSKTPRKHEVLSEAKQTSMAKLRLSPNLKSRLSDAPKFMQQDGSSSSERVQISSKEVPSKAIEKSFSKRHTTSLKLKSVAEKNPSSSVLSEGLTVRRNSGISDMKMGKRTVTSKVAVKKVVALPRASLSPRPYLTRATSLTTRKNKNLKVVPPQKNQNKVNKYETEQPLNEHSESNNDILKEKTLYVIKMETQSDKNEICAAELSSPIASSPKPSSLPISPSLSYHSERDQDESEYSVTEVEDDSDSEYDEDEAVNMEEAEILEGENGGRPRKAGTVISNDKDGRPVKLSFRRGKVVDIQSENNGPRRLKFRRGRVLGENQNIKAEGRRTYRRRGADGDTNDDKPSGEKVVLRHQDMQGKKDDKGLFNNVIEETASKLVETRKSKVKALVGAFETVISLQDTKPSANTVT >EOY05627 pep chromosome:Theobroma_cacao_20110822:4:29218260:29227211:1 gene:TCM_020580 transcript:EOY05627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel clc, putative isoform 4 MLSNHFQNGMETARFVWSRLPHSEEGELEGAGLLSSESNKNCAESLDYEVLENYAYREEQAQRGKLFVGYNVGVKWFFALLIGIGTGLAAVFINISVENFAGWKFALTFNIIQKSYLAGFLIYILINLALVFSSVYIITQFAPAAAGSGIPEIKGYLNGIDIPGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSSRWLQGFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAIVAVVVRAAMGWCKSGNCGHFGSGGFIIWDISDGQEDYSFEELLPMAVIGVIGGLLGALFNQLTIYVTRWRRNYLHKKGSRVKIYEACLISVITSVISFGLPLLRKCSPCPDSDPDSEIECPRAPGMYGNYVNFYCSKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSTQSLLTFLVMFYTLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGIFTVNHYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRGIPLLESRPKYEMRKMTAREACGNQKVLSLPRVVKVADVVSILRCNKHNGFPVIDHTRNGEPLVIGIMLRSHLLVLLQSKIDFQHSPLPCDPKGGSRAIRHNFSEFAKPVSSKGLSINDIYLSSDDLEMYIDLAPFLNPSPYVVPEDMSLTKVYNLFRQLGLRHIFVVPRASHVIGVITRKDLLIEEENDDSATVELQSTSRSAT >EOY05628 pep chromosome:Theobroma_cacao_20110822:4:29218112:29226057:1 gene:TCM_020580 transcript:EOY05628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel clc, putative isoform 4 MLSNHFQNGMETARFVWSRLPHSEEGELEGAGLLSSESNKNCAESLDYEVLENYAYREEQAQRGKLFVGYNVGVKWFFALLIGIGTGLAAVFINISVENFAGWKFALTFNIIQKSYLAGFLIYILINLALVFSSVYIITQFAPAAAGSGIPEIKGYLNGIDIPGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSSRWLQGFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAIVAVVVRAAMGWCKSGNCGHFGSGGFIIWDISDGQEDYSFEELLPMAVIGVIGGLLGALFNQLTIYVTRWRRNYLHKKGSRVKIYEACLISVITSVISFGLPLLRKCSPCPDSDPDSEIECPRAPGMYGNYVNFYCSKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSTQSLLTFLVMFYTLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGIFTVNHYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRGIPLLESRPKYEMRKMTAREACGNQKVLSLPRVVKVADVVSILRCNKHNGFPVIDHTRNGEPLVIGIMLRSHLLVLLQSKIDFQHSPLPCDPKGGSRAIRHNFSEFAKPVSSKGLSINDIYLSSDDLEMYIDLAPFLNPSPYVVPEDMSLTKVYNLFRQLGLRHIFVVPRASHVIGVITRKDLLIEEENDDSATVELQSTSVRSQCCFTSI >EOY05626 pep chromosome:Theobroma_cacao_20110822:4:29218109:29227399:1 gene:TCM_020580 transcript:EOY05626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel clc, putative isoform 4 MLSNHFQNGMETARFVWSRLPHSEEGELEGAGLLSSESNKNCAESLDYEVLENYAYREEQAQRGKLFVGYNVGVKWFFALLIGIGTGLAAVFINISVENFAGWKFALTFNIIQKSYLAGFLIYILINLALVFSSVYIITQFAPAAAGSGIPEIKGYLNGIDIPGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSSRWLQGFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAIVAVVVRAAMGWCKSGNCGHFGSGGFIIWDISDGQEDYSFEELLPMAVIGVIGGLLGALFNQLTIYVTRWRRNYLHKKGSRVKIYEACLISVITSVISFGLPLLRKCSPCPDSDPDSEIECPRAPGMYGNYVNFYCSKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSTQSLLTFLVMFYTLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGIFTVNHYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRGIPLLESRPKYEMRKMTAREACGNQKVLSLPRVVKVADVVSILRCNKHNGFPVIDHTRNGEPLVIGIMLRSHLLVLLQSKIDFQHSPLPCDPKGGSRAIRHNFSEFAKPVSSKGLSINDIYLSSDDLEMYIDLAPFLNPSPYVVPEDMSLTKVYNLFRQLGLRHIFVVPRASHVIGVITRKDLLIEEENDDSATVELQSTSVRGQRREERSLVGNADAERPLLNGLLVQDQNHTPR >EOY05631 pep chromosome:Theobroma_cacao_20110822:4:29218260:29227212:1 gene:TCM_020580 transcript:EOY05631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel clc, putative isoform 4 MLSNHFQNGMETARFVWSRLPHSEEGELEGAGLLSSESNKNCAESLDYEVLENYAYREEQAQRGKLFVGYNVGVKWFFALLIGIGTGLAAVFINISVENFAGWKFALTFNIIQKSYLAGFLIYILINLALVFSSVYIITQFAPAAAGSGIPEIKGYLNGIDIPGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSSRWLQGFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAIVAVVVRAAMGWCKSGNCGHFGSGGFIIWDISDGQEDYSFEELLPMAVIGVIGGLLGALFNQLTIYVTRWRRNYLHKKGSRVKIYEACLISVITSVISFGLPLLRKCSPCPDSDPDSEIECPRAPGMYGNYVNFYCSKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSTQSLLTFLVMFYTLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGIFTVNHYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKEVYNLFRQLGLRHIFVVPRASHVIGVITRKDLLIEEENDDSATVELQSTSRSAT >EOY05630 pep chromosome:Theobroma_cacao_20110822:4:29218112:29225881:1 gene:TCM_020580 transcript:EOY05630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel clc, putative isoform 4 MLSNHFQNGMETARFVWSRLPHSEEGELEGAGLLSSESNKNCAESLDYEVLENYAYREEQAQRGKLFVGYNVGVKWFFALLIGIGTGLAAVFINISVENFAGWKFALTFNIIQKSYLAGFLIYILINLALVFSSVYIITQFAPAAAGSGIPEIKGYLNGIDIPGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSSRWLQGFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAIVAVVVRAAMGWCKSGNCGHFGSGGFIIWDISDGQEDYSFEELLPMAVIGVIGGLLGALFNQLTIYVTRWRRNYLHKKGSRVKIYEACLISVITSVISFGLPLLRKCSPCPDSDPDSEIECPRAPGMYGNYVNFYCSKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSTQSLLTFLVMFYTLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGIFTVNHYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRGIPLLESRPKYEMRKMTAREACGNQKVLSLPRVVKVADVVSILRCNKHNGFPVIDHTRNGEPLVIGIMLRSHLLVLLQSKIDFQHSPLPCDPKGGSRAIRFTIFSAN >EOY05629 pep chromosome:Theobroma_cacao_20110822:4:29218112:29227399:1 gene:TCM_020580 transcript:EOY05629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel clc, putative isoform 4 MLSNHFQNGMETARFVWSRLPHSEEGELEGAGLLSSESNKNCAESLDYEVLENYAYREEQAQRGKLFVGYNVGVKWFFALLIGIGTGLAAVFINISVENFAGWKFALTFNIIQKSYLAGFLIYILINLALVFSSVYIITQFAPAAAGSGIPEIKGYLNGIDIPGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSSRWLQGFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAIVAVVVRAAMGWCKSGNCGHFGSGGFIIWDISDGQEDYSFEELLPMAVIGVIGGLLGALFNQLTIYVTRWRRNYLHKKGSRVKIYEACLISVITSVISFGLPLLRKCSPCPDSDPDSEIECPRAPGMYGNYVNFYCSKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSTQSLLTFLVMFYTLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGIFTVNHYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRGIPLLESRPKYEMRKMTAREACGNQKVLSLPRVVKVADVVSILRCNKHNGFPVIDHTRNGEPLVIGIMLRSHLLVLLQSKIDFQHSPLPCDPKGGSRAIRFTIFSAN >EOY03178 pep chromosome:Theobroma_cacao_20110822:4:6858661:6869435:-1 gene:TCM_017750 transcript:EOY03178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIVHEFYANFAEHDNGRIFIRGRQVPFDTLTINQFYNTPNIENDEYSQFVNGDINLDEVLGYLILLGIE >EOY03275 pep chromosome:Theobroma_cacao_20110822:4:10554503:10555398:-1 gene:TCM_018075 transcript:EOY03275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIHIKAIYYEMWDVITDGPFMPSTVNVVTNEFMPKPRSEWTEAETKKVQINFKAINTLHCALTPTKFNKVSSCTTVKQVWEKLRIIHEGTSQVKESKVALLTHSYEMFKMEPGEDITSMFDRFTNITNKLSQLGKPIPEHELVKRLLRCLPKSWKLKVIAIREAKDLNIITLDEICGSLLTHELELKEEEEKD >EOY04562 pep chromosome:Theobroma_cacao_20110822:4:25023524:25030652:1 gene:TCM_019794 transcript:EOY04562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrin-linked protein kinase family isoform 1 MENLAAQLKRGISRQFSTGSLKRTFSRQFTRQSSLDPRKNNLRFSFGRQSSLDPIRRSPVSDELTVPENLDSTMQLLFMACRGDVKGVEDLLNEGIDVNSIDLDGRTALHIAACEGHVEVVKLLLTRKANIDARDRWGSTAAADAKFYGNVDVYNILKARGAKVPKTRKTPMTVANPREVPEYELNPLELQVRKSDGITKGSYQVARWNGTKVAVKILDKDSYSDPETINAFKHELTLLEKVRHPNVVQFVGAVTQNIPMMIVSEYHSKGDLGSYLQRKGRLSPSKVLRFALDIARGMNYLHECKPDPIIHCDLKPKNVLLDNGGHLKVAGFGLIRLSKISPDKAKLAQPNADVDPSNIYMAPEIYKNVIFDRSVDAYSFGIMLYEMIEGVVPFHPKPAEEAVKLMCLEGKRPPFKTKSRSYPPDLRELVENCWDQEPVVRPIFAEIIVRLDKIIANCSKQGWWKDTFKLPWK >EOY04565 pep chromosome:Theobroma_cacao_20110822:4:25023524:25028708:1 gene:TCM_019794 transcript:EOY04565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrin-linked protein kinase family isoform 1 MENLAAQLKRGISRQFSTGSLKRTFSRQFTRQSSLDPRKNNLRFSFGRQSSLDPIRRSPVSDELTVPENLDSTMQLLFMACRGDVKGVEDLLNEGIDVNSIDLDGRTALHIAACEGHVEVVKLLLTRKANIDARDRWGSTAAADAKFYGNVDVYNILKARGAKVPKTRKTPMTVANPREVPEYELNPLELQVRKSDGITKGSYQVARWNGTKVAVKILDKDSYSDPETINAFKHELTLLEKVRHPNVVQFVGAVTQNIPMMIVSEYHSKGDLGSYLQRKGRLSPSKVLRFALDIARGMNYLHECKPDPIIHCDLKPKNVLLDNGGHLKVAGFGLIRLSKISPDKAKLAQPNADVDPSSEFVTKNLLVGCLL >EOY04563 pep chromosome:Theobroma_cacao_20110822:4:25023524:25030652:1 gene:TCM_019794 transcript:EOY04563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrin-linked protein kinase family isoform 1 MENLAAQLKRGISRQFSTGSLKRTFSRQFTRQSSLDPRKNNLRFSFGRQSSLDPIRRSPVSDELTVPENLDSTMQLLFMACRGDVKGVEDLLNEGIDVNSIDLDGRTALHIAACEGHVEVVKLLLTRKANIDARDRWGSTAAADAKFYGNVDVYNILKARGAKVPKTRKTPMTVANPREVPEYELNPLELQVRKSDGITKGSYQVARWNGTKVAVKILDKDSYSDPETINAFKHELTLLEKVRHPNVVQFVGAVTQNIPMMIVSEYHSKGDLGSYLQRKGRLSPSKVLRFALDIASRGMNYLHECKPDPIIHCDLKPKNVLLDNGGHLKVAGFGLIRLSKISPDKAKLAQPNADVDPSNIYMAPEIYKNVIFDRSVDAYSFGIMLYEMIEGVVPFHPKPAEEAVKLMCLEGKRPPFKTKSRSYPPDLRELVENCWDQEPVVRPIFAEIIVRLDKIIANCSKQGWWKDTFKLPWK >EOY04561 pep chromosome:Theobroma_cacao_20110822:4:25023503:25030730:1 gene:TCM_019794 transcript:EOY04561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrin-linked protein kinase family isoform 1 MENLAAQLKRGISRQFSTGSLKRTFSRQFTRQSSLDPRKNNLRFSFGRQSSLDPIRRSPVSDELTVPENLDSTMQLLFMACRGDVKGVEDLLNEGIDVNSIDLDGRTALHIAACEGHVEVVKLLLTRKANIDARDRWGSTAAADAKFYGNVDVYNILKARGAKVPKTRKTPMTVANPREVPEYELNPLELQVRKSDGITKGSYQVARWNGTKVAVKILDKDSYSDPETIALTQNYIHISSLNRNAFKHELTLLEKVRHPNVVQFVGAVTQNIPMMIVSEYHSKGDLGSYLQRKGRLSPSKVLRFALDIARHSFISPGSSLNDYHFLDIRGMNYLHECKPDPIIHCDLKPKNVLLDNGGHLKVAGFGLIRLSKISPDKAKLAQPNADVDPSNIYMAPEIYKNVIFDRSVDAYSFGIMLYEMIEGVVPFHPKPAEEAVKLMCLEGKRPPFKTKSRSYPPDLRELVENCWDQEPVVRPIFAEIIVRLDKIIANCSKQGWWKDTFKLPWK >EOY04564 pep chromosome:Theobroma_cacao_20110822:4:25023524:25030652:1 gene:TCM_019794 transcript:EOY04564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrin-linked protein kinase family isoform 1 MENLAAQLKRGISRQFSTGSLKRTFSRQFTRQSSLDPRKNNLRFSFGRQSSLDPIRRSPVSDELTVPENLDSTMQLLFMACRGDVKGVEDLLNEGIDVNSIDLDGRTALHIAACEGHVEVVKLLLTRKANIDARDRWGSTAAADAKFYGNVDVYNILKARGAKVPKTRKTPMTVANPREVPEYELNPLELQVRKSDGITKGSYQVARWNGTKVAVKILDKDSYSDPETINAFKHELTLLEKVRHPNVVQFVGAVTQNIPMMIVSEYHSKGDLGSYLQRKGRLSPSKVLRFALDIARGMNYLHECKPDPIIHCDLKPRNVLLDNGGHLKVAGFGLIRLSKISPDKAKLAQPNADVDPSNIYMAPEIYKNVIFDRSVDAYSFGIMLYEMIEGVVPFHPKPAEEAVKLMCLEGKRPPFKTKSRSYPPDLRELVENCWDQEPVVRPIFAEIIVRLDKIIANCSKQGWWKDTFKLPWK >EOY03269 pep chromosome:Theobroma_cacao_20110822:4:10482455:10484484:-1 gene:TCM_018063 transcript:EOY03269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein MKSVQVAAAFLLLLFSGHSLAHTVTFFVHNKCPFPIWPATAPNTGHPVIADGGFYLPPGQTQRIEAPWTWNGRIWARTGCSFNSNWQPACETGDCDGRLQCNGLIGTPPATLVQIALQGDKGKPNFYDVSLVDGYNLPVSVATRPSLPKCTIGSCPKNLNNLCPPELEALNRNGEVVACKSACLAFNLDSFCCRNEYGTPEKCKPSVYSKMFKDACPSYYSYAFDMPPPLVNCASKEYVITFCPSAWGAGQASI >EOY05988 pep chromosome:Theobroma_cacao_20110822:4:30538265:30540589:1 gene:TCM_020845 transcript:EOY05988 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative MVETKTGEVHADTNPDYDRQSEVKAFDDTKAGVKGLVDSVVTKIPRIFVDQNLVLEKNSSCVNYQFSVPIIDLQGITEDSTMRAEVIEQVQIACEKWGFFQVVNHEIPGSVLDEMIDGIRRFHEQDTEVKKEFYTRDTTRRKVLYLSNVDLYRSKAANWRDTLGCLMAPDPPDPTELPEVCTDIVMDYSNRVVTFGFTLFELLSEALGLNPSYLKDMDCTEGLLLMGHYYPKCPEPELTMGTTNHRDSSIITILLQDQMGGLQVLHENQWVDVPCIPGALIVNVGDFLQLLSNDKFKSVNHRVLAKHVGPRISVACFLRMQANTGNASRLCGPIKEMLSEENPPIYREILVQDYLSLYYSKGLDGTPSLSHFKL >EOY02389 pep chromosome:Theobroma_cacao_20110822:4:645745:649003:-1 gene:TCM_016882 transcript:EOY02389 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein isoform 1 MMEESWKLFYTFGILVFGLAAQWAAVKSDGGSLSCGFPAIYNFGDSNSDTGGISAAFTEIPPPNGETFFGHPAGRACDGRLIIDFIAENLQLPYLSAYLDSVGTNFRHGANFATGGSSIRPPGYSPFNLGVQISQFIQFKARTTALYNQLSLSRRIPVTISNLPRPAEFSQALYTFDIGQNDLAHGFQVTTENQVRASIPNIIGQLSQAIHLLYKEGARFFWVHNTGPLGCLPYNVLHDKSKPGILDKNGCVKPLNEVAMEFNRQLKDKISRLRTQLPFAKFTYVDVYSAKYGLISSAKTLGYVDPVNFCCGSFYGNHINCGKKAIVNGTVYGDPCNHPSRHISWDGIHYSQAANMWVADRILNGSLSDPPVPIQDACHHQRNM >EOY02390 pep chromosome:Theobroma_cacao_20110822:4:645786:649240:-1 gene:TCM_016882 transcript:EOY02390 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein isoform 1 MMEESWKLFYTFGILVFGLAAQWAAVKSDGGSLSCGFPAIYNFGDSNSDTGGISAAFTEIPPPNGETFFGHPAGRACDGRLIIDFIAENLQLPYLSAYLDSVGTNFRHGANFATGGSSIRPPGYSPFNLGVQISQFIQFKARTTALYNQLSLSRRIPVTISNLPRPAEFSQALYTFDIGQNDLAHGFQVTTENQVRASIPNIIGQLSQAIHLLYKEGARFFWVHNTGPLGCLPYNVLHDKSKPGILDKNGCVKPLNEVAMEFNRQLKDKISRLRTQLPFAKFTYVDVYSAKYGLISSAKTLGYVDPVNFCCGSFYGNHINCGKKAIVNGTVYGDPCNHPSRHISWDGIHYSQAANMWVADRILNGSLSDPPVPIQDACHHQRNM >EOY06005 pep chromosome:Theobroma_cacao_20110822:4:30586170:30587974:1 gene:TCM_020859 transcript:EOY06005 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative isoform 5 MSLSNTGEIASPTSVYNNRTEELKAFDDTKAGVKGLVDAGIVSIPKIFVRPPEELAEERNSCQKNIEVPIIDLNNIQEGSRRKEIVDEVRIASEKWGFFLVINHGIPLSVLDEMIDGVRMFNEHDVEVKKEVYSRDTTKKVRFNANYDLYKSRAANWRDTLTISLLAVDLDPELLPVVCRKSTLEYVKHIRKLGDTLFELLSEALGLQADYLGSMECGKEGTLVCHYYPACPQPKLTLGVSRHVDPGFLTLLIQNEISGLQVLHEGQWFDVHPIQGGLVVNIGDLLQV >EOY06002 pep chromosome:Theobroma_cacao_20110822:4:30584851:30588449:1 gene:TCM_020859 transcript:EOY06002 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative isoform 5 MSLSNTGEIASPTSVYNNRTEELKAFDDTKAGVKGLVDAGIVSIPKIFVRPPEELAEERNSCQKNIEVPIIDLNNIQEGSRRKEIVDEVRIASEKWGFFLVINHGIPLSVLDEMIDGVRMFNEHDVEVKKEVYSRDTTKKVRFNANYDLYKSRAANWRDTLTISLLAVDLDPELLPVVCRKSTLEYVKHIRKLGDTLFELLSEALGLQADYLGSMECGKEGTLVCHYYPACPQPKLTLGVSRHVDPGFLTLLIQNEISGLQVLHEGQWFDVHPIQGGLVVNIGDLLQIVSNDKFKSVEHRVIANHVGPRISAPCFFAGPLSMPEKTYNPIQELTSEANPPRYKEIPLREYVARFYSSSLDEKSPLDYYRP >EOY06001 pep chromosome:Theobroma_cacao_20110822:4:30584851:30589348:1 gene:TCM_020859 transcript:EOY06001 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative isoform 5 MSLSNTGEIASPTSVYNNRTEELKAFDDTKAGVKGLVDAGIVSIPKIFVRPPEELAEERNSCQKNIEVPIIDLNNIQEGSRRKEIVDEVRIASEKWGFFLVINHGIPLSVLDEMIDGVRMFNEHDVEVKKEVYSRDTTKKVRFNANYDLYKSRAANWRDTLTISLLAVDLDPELLPVVCRKSTLEYVKHIRKLGDTLFELLSEALGLQADYLGSMECGKEGTLVCHYYPACPQPKLTLGVSRHVDPGFLTLLIQNEISGLQVLHEGQWFDVHPIQGGLVVNIGDLLQIVSNDKFKSVEHRVIANHVGPRISAPCFFAGPLSMPEKTYNPIQELTSEANPPRYKEIPLREYVARFYSSSLDEKSPLDYYRP >EOY06004 pep chromosome:Theobroma_cacao_20110822:4:30585257:30588328:1 gene:TCM_020859 transcript:EOY06004 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative isoform 5 MSLSNTGEIASPTSVYNNRTEELKAFDDTKAGVKGLVDAGIVSIPKIFVRPPEELAEERNSCQKNIEVPIIDLNNIQEGSRRKEIVDEVRIASEKWGFFLVINHGIPLSVLDEMIDGVRMFNEHDVEVKKEVYSRDTTKKVRFNANYDLYKSRAANWRDTLTISLLAVDLDPELLPVVCRKSTLEYVKHIRKLGDTLFELLSEALGLQADYLGSMECGKEGTLVCHYYPACPQPKLTLGVSRHVDPGFLTLLIQNEISGLQVLHEGQWFDVHPIQGGLVVNIGDLLQDLLACLRRHTIQYKS >EOY06003 pep chromosome:Theobroma_cacao_20110822:4:30585257:30588449:1 gene:TCM_020859 transcript:EOY06003 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative isoform 5 MSLSNTGEIASPTSVYNNRTEELKAFDDTKAGVKGLVDAGIVSIPKIFVRPPEELAEERNSCQKNIEVPIIDLNNIQEGSRRKEIVDEVRIASEKWGFFLVINHGIPLSVLDEMIDGVRMFNEHDVEVKKEVYSRDTTKKVRFNANYDLYKSRAANWRDTLTISLLAVDLDPELLPVVCRKSTLEYVKHIRKLGDTLFELLSEALGLQADYLGSMECGKEGTLVCHYYPACPQPKLTLGVSRHVDPGFLTLLIQNEISGLQVLHEGQWFDVHPIQGGLVVNIGDLLQIVSNDKFKSVEHRVIANHVGPRISAPCFFAGPLSMPEKTYNPIQELTSEANPPRYKEIPLREYVARFYSSSLDEKSPLDYYRP >EOY06268 pep chromosome:Theobroma_cacao_20110822:4:31459655:31460438:1 gene:TCM_021055 transcript:EOY06268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPQYLYPCGSNVEIQTRQKHVFHVHALTIAGPSWNHSCANHLLHFKEDAFPALNGSYMNVDQSNEESKVKLREILPGSHAA >EOY02747 pep chromosome:Theobroma_cacao_20110822:4:2026586:2027189:1 gene:TCM_017140 transcript:EOY02747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative MVLLGPEDSSCNKNVDHRLVRKNTTVSPPIAEPRRKNFPPALTEAELISVFRRFDGDRDGRLSWQDLKNAFHSIGSRFPSYRALTALCQADKNRDGYISEDEMDDLIQYAFSCGYYAW >EOY05830 pep chromosome:Theobroma_cacao_20110822:4:29947316:29953063:1 gene:TCM_020730 transcript:EOY05830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGNEMGNNNTSGLRDNTTQVISQKEVAYADDFKGQNHVVPTAQDKGFQGKDTGLASSDVGREDPNVDDQKTENKEEDDMKTKGKKSLQEAAPTDDVKGQNHEVPAFEDAYKSEKDTGLASTNLEGAAEPHDDNQKQDEKDHSNIIPEAKEKSLQEAAYTEEATGQDCLVPAAEDKNIHGNETDLVSSEPDGIASPHVDNQKQDEKEDHSNIIPEAKEKSLQEAAYTDEATGQDCLVPAAENKNTHGNKTDLVFSEPDGIASPHVDNQKKDKKDSSNIIPEANEKSLQGAAYSDEATGQDNLVPAAEDKNSHGNEPDLVSSEPDGIASPHVDNQKQDEKEEDSSTNLEAEEKSLQEVASTDETKAQNHLVPTAADKNTHEMETGSGFSDPDVMAFPCVENQKQDEKEEDININDETKEKSSQEVSSTDVAERQDENTNGNENGLVSSDSERTADPHGDNPKQDEKEEDNTNAEPQEKPLAKDDHAGDVEEKNLTIPAAEGEDCNKTGAEAELASGYQMAVVDTLHNQTLEGREQVKTELHPLAECTKVEAKPSEAADEGRETQPASSPENLKDHEKQQESNLEENPSGISHHFENQNSMMKEDEGTRDSTSNAASSTSHDSVPQEPKVLEPEQYELAKIHTEQLVQACNGPQKSEHVIIPYLTCPDQENGFISDPSISTDMVESVCRDSSSKIEKETVDFWVKEMATKEVRNEENFELRDGDEAGNSLGKTTTTMTDLPNEVEAKCNGELPSEMKSIQIDSPESQAEVILADETPNLQLEVSETEDKGIVPIHKAILVREESENGDSKLSHCQIQSEEESIEKSNGMESEEGSNADEPSASPPQFMMNGHVKEETRCLLDTSCDSTSSKDSQFEEAKTVENGLLIDVPINYQNGAIEDQQKDSQKKEIHLVTDHAVISADIFLTDQKDKEESEEKNIIEEMLEKIEDLNSIGNDTSNRESGEQCISHSYPIEQAEAFLSPSHSNQNLTEKSVISMAELAGEKPVWDLSNRPKTTPVTMAETKPSAKQCSEQRAIGETPAIANGDYYQQESVGRLSTESNSDNMGIHAQMRKSPSFDLDLRIHARAEESDQTPLLYQDKPTIDSFSSQTDDTLGKPLANTEHGKNSLHYEAMPVEEKVVTLERCDSEKSKTPFLGFLREEEEEAHMLITPKKQDNHSAAKKATKVSPKEVTPAPPKGKEKRKPRTSLFGTCMCCATVIN >EOY05829 pep chromosome:Theobroma_cacao_20110822:4:29947316:29953063:1 gene:TCM_020730 transcript:EOY05829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGNEMGNNNTSGLREEDNTTQVISQKEVAYADDFKGQNHVVPTAQDKGFQGKDTGLASSDVGREDPNVDDQKTENKEEDDMKTKGKKSLQEAAPTDDVKGQNHEVPAFEDAYKSEKDTGLASTNLEGAAEPHDDNQKQDEKEDHSNIIPEAKEKSLQEAAYTEEATGQDCLVPAAEDKNIHGNETDLVSSEPDGIASPHVDNQKQDEKEDHSNIIPEAKEKSLQEAAYTDEATGQDCLVPAAENKNTHGNKTDLVFSEPDGIASPHVDNQKKDKKEDSSNIIPEANEKSLQGAAYSDEATGQDNLVPAAEDKNSHGNEPDLVSSEPDGIASPHVDNQKQDEKEEDSSTNLEAEEKSLQEVASTDETKAQNHLVPTAADKNTHEMETGSGFSDPDVMAFPCVENQKQDEKEEDININDETKEKSSQEVSSTDVAERQDENTNGNENGLVSSDSERTADPHGDNPKQDEKEEDNTNAEPQEKPLAKDDHAGDVEEKNLTIPAAEGEDCNKTGAEAELASGYQMAVVDTLHNQTLEGREQVKTELHPLAECTKVEAKPSEAADEGRETQPASSPENLKDHEKQQESNLEENPSGISHHFENQNSMMKEDEGTRDSTSNAASSTSHDSVPQEPKVLEPEQYELAKIHTEQLVQACNGPQKSEHVIIPYLTCPDQENGFISDPSISTDMVESVCRDSSSKIEKETVDFWVKEMATKEVRNEENFELRDGDEAGNSLGKTTTTMTDLPNEVEAKCNGELPSEMKSIQIDSPESQAEVILADETPNLQLEVSETEDKGIVPIHKAILVREESENGDSKLSHCQIQSEEESIEKSNGMESEEGSNADEPSASPPQFMMNGHVKEETRCLLDTSCDSTSSKDSQFEEAKTVENGLLIDVPINYQNGAIEDQQKDSQKKEIHLVTDHAVISADIFLTDQKDKEESEEKNIIEEMLEKIEDLNSIGNDTSNRESGEQCISHSYPIEQAEAFLSPSHSNQNLTEKSVISMAELAGEKPVWDLSNRPKTTPVTMAETKPSAKQCSEQRAIGETPAIANGDYYQQESVGRLSTESNSDNMGIHAQMRKSPSFDLDLRIHARAEESDQTPLLYQDKPTIDSFSSQTDDTLGKPLANTEHGKNSLHYEAMPVEEKVVTLERCDSEKSKTPFLGFLREEEEEAHMLITPKKQDNHSAAKKATKVSPKEVTPAPPKGKEKRKPRTSLFGTCMCCATVIN >EOY05831 pep chromosome:Theobroma_cacao_20110822:4:29947625:29952675:1 gene:TCM_020730 transcript:EOY05831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 METGSGFSDPDVMAFPCVENQKQDEKEEDININDETKEKSSQEVSSTDVAERQDENTNGNENGLVSSDSERTADPHGDNPKQDEKEEDNTNAEPQEKPLAKDDHAGDVEEKNLTIPAAEGEDCNKTGAEAELASGYQMAVVDTLHNQTLEGREQVKTELHPLAECTKVEAKPSEAADEGRETQPASSPENLKDHEKQQESNLEENPSGISHHFENQNSMMKEDEGTRDSTSNAASSTSHDSVPQEPKVLEPEQYELAKIHTEQLVQACNGPQKSEHVIIPYLTCPDQENGFISDPSISTDMVESVCRDSSSKIEKETVDFWVKEMATKEVRNEENFELRDGDEAGNSLGKTTTTMTDLPNEVEAKCNGELPSEMKSIQIDSPESQAEVILADETPNLQLEVSETEDKGIVPIHKAILVREESENGDSKLSHCQIQSEEESIEKSNGMESEEGSNADEPSASPPQFMMNGHVKEETRCLLDTSCDSTSSKDSQFEEAKTVENGLLIDVPINYQNGAIEDQQKDSQKKEIHLVTDHAVISADIFLTDQKDKEESEEKNIIEEMLEKIEDLNSIGNDTSNRESGEQCISHSYPIEQAEAFLSPSHSNQNLTEKSVISMAELAGEKPVWDLSNRPKTTPVTMAETKPSAKQCSEQRAIGETPAIANGDYYQQESVGRLSTESNSDNMGIHAQMRKSPSFDLDLRIHARAEESDQTPLLYQDKPTIDSFSSQTDDTLGKPLANTEHGKNSLHYEAMPVEEKVVTLERCDSEKSKTPFLGFLREEEEEAHMLITPKKQDNHSAAKKATKVSPKEVTPAPPKGKEKRKPRTSLFGTCMCCATVIN >EOY05832 pep chromosome:Theobroma_cacao_20110822:4:29948230:29952781:1 gene:TCM_020730 transcript:EOY05832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 METGSGFSDPDVMAFPCVENQKQDEKEEDININDETKEKSSQEVSSTDVAERQDENTNGNENGLVSSDSERTADPHGDNPKQDEKEEDNTNAEPQEKPLAKDDHAGDVEEKNLTIPAAEGEDCNKTGAEAELASGYQMAVVDTLHNQTLEGREQVKTELHPLAECTKVEAKPSEAADEGRETQPASSPENLKDHEKQQESNLEENPSGISHHFENQNSMMKEDEGTRDSTSNAASSTSHDSVPQEPKVLEPEQYELAKIHTEQLVQACNGPQKSEHVIIPYLTCPDQENGFISDPSISTDMVESVCRDSSSKIEKETVDFWVKEMATKEVRNEENFELRDGDEAGNSLGKTTTTMTDLPNEVEAKCNGELPSEMKSIQIDSPESQAEVILADETPNLQLEVSETEDKGIVPIHKAILVREESENGDSKLSHCQIQSEEESIEKSNGMESEEGSNADEPSASPPQFMMNGHVKEETRCLLDTSCDSTSSKDSQFEEAKTVENGLLIDVPINYQNGAIEDQQKDSQKKEIHLVTDHAVISADIFLTDQKDKEESEEKNIIEEMLEKIEDLNSIGNDTSNRESGEQCISHSYPIEQAEAFLSPSHSNQNLTEKSVISMAELAGEKPVWDLSNRPKTTPVTMAETKPSAKQCSEQRAIGETPAIANGDYYQQESVGRLSTESNSDNMGIHAQMRKSPSFDLDLRIHARAEESDQTPLLYQDKPTIDSFSSQTDDTLGKPLANTEHGKNSLHYEAMPVEEKVVTLERCDSEKSKTPFLGFLREEEEEAHMLITPKKQDNHSAAKKATKVSPKEVTPAPPKGKEKRKPRTSLFGTCMCCATVIN >EOY03238 pep chromosome:Theobroma_cacao_20110822:4:8837756:8841414:-1 gene:TCM_017925 transcript:EOY03238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASTAAARAVIFSRITALSAKPLPPSLSRFLPIRRNRPSSAVTVSCLNGGGVYDDYFVSTQKSNLDRGFLVIANMLKHIEPLDASVISKGVSDSAKESMKRTISAMLGILPSDQFSVLVSLSKPPLHRLLFSSIITGYTLWNAEYRISLMRNLERAAPAEETDEGLRRRQREAVEEKREKRESGSAGFEELEKIRPRVFGDLSPEALKYIEKLQAELSDVEAELKAQKKENVRIECDRENRNDLLEYLRSLDANMVTELSQPSSVQVEEIIHQLVQNVLQRFFKDELTSDFMRDSGIVNTGNHQDASDENCGTVGTSRDYLAKLLFWCMLLGHHLRGLENRLQLSCVVGLL >EOY04386 pep chromosome:Theobroma_cacao_20110822:4:24123151:24130085:-1 gene:TCM_019652 transcript:EOY04386 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 1 MAWIWLEAALPLGIIAGMLCIMGNAQYQIHKAYHGRPKHIGNDMWDVAMERRDKKLLENLSASSSN >EOY04634 pep chromosome:Theobroma_cacao_20110822:4:25297611:25310576:1 gene:TCM_019843 transcript:EOY04634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein, putative isoform 3 MLPVCSATPGCSSHSQISFHGGLRPFTPFQKDFQSRCNAQDKSVLGMSNGNHLHRMSFKPQAMESFYSNFVESAEQPVSMDLINRYSCPDELDDVKCTFSNEGSPSIQAINESTPFEVGQLKYVETSSLSAAEEKLVDLTNQSAESTNDSVGMVGPETVTTVDMLPDNSTAASSSLNFDNDSLSSVKTGLDDFLSGFNESINSSVNKGENAVKSLLDNITSSINSVTTSASEAVDNVQASASNKVSNLSNDLTEALGKANAFLVDLLRRTIVVVEDSLSNGASSLVHFYGSAKERLPPEINDALALYEERTGTALKPVGAAFQQVYIGIEGLERSLGFDPNDPIIPFFLLIGTSATLWAFYWVWAYSGYSGDLSPKLTLELLTGRENAILIDVRPEDLRERDGIPDLRRVARFRYASVSLPEVNGSMRKLLKSGKDLDDSLIAAVIRNLKTVEDRSKVIILDADGSRSKGIARSLRKLGVKRRYLVQGGFQSWVKQGLQIKELKPETTLTILNEEAEAILQDISPSPVQLLGYGVGSAAAVYALIEWEKTLQFIGILGLVQTIYWRVSSYENSEDLKKDIRLLLAPVRVGAQALSWAAGKLETNRIGLPTSPSSLDVQNRVLQAAAKLESQPSDAEGIQDPSPEVIAPMNEKVDLSEA >EOY04633 pep chromosome:Theobroma_cacao_20110822:4:25297611:25310576:1 gene:TCM_019843 transcript:EOY04633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein, putative isoform 3 MLPVCSATPGCSSHSQISFHGGLRPFTPFQKDFQSRCNAQDKSVLGMSNGNHLHRMSFKPQAMESFYSNFVESAEQPVSMDLINRYSCPDELDDVKCTFSNEGSPSIQAINESTPFEVGQLKYVETSSLSAAEEKLVDLTNQSAESTNDSVGMVGPETVTTVDMLPDNSTAASSSLNFDNDSLSSVKTGLDDFLSGFNESINSSVNKGENAVKSLLDNITSSINSVTTSASEAVDNVQASASNKVSNLSNDLTEALGKANAFLVDLLRRTIVVVEDSLSNGASSLVHFYGSAKERLPPEINDALALYEERTGTALKPVGAAFQQVYIGIEGLERSLGFDPNDPIIPFFLLIGTSATLWAFYWVWAYSGYSGDLSPKLTLELLTGRENAILIDVRPEDLRERDGIPDLRRVARFRYASVSLPEVNGSMRKLLKSGKDLDDSLIAAVIRNLKTVEDRSKVIILDADGSRSKGIARSLRKLGVKRRYLVQGGFQSWVKQGLQIKELKPETTLTILNEEAEAILQDISPSPVQLLGYGVGSAAAVYALIEWEKTLQFIGILGLVQTIYWRVSSYENSEDLKKDIRLLLAPVRVGAQALSWAAGKLETNRIGLPTSPSSLDVQNRVLQAAAKLESQPSDAEGIQDPSPEVIAPMNEKVDLSEA >EOY04635 pep chromosome:Theobroma_cacao_20110822:4:25297699:25307239:1 gene:TCM_019843 transcript:EOY04635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein, putative isoform 3 MLPVCSATPGCSSHSQISFHGGLRPFTPFQKDFQSRCNAQDKSVLGMSNGNHLHRMSFKPQAMESFYSNFVESAEQPVSMDLINRYSCPDELDDVKCTFSNEGSPSIQAINESTPFEVGQLKYVETSSLSAAEEKLVDLTNQSAESTNDSVGMVGPETVTTVDMLPDNSTAASSSLNFDNDSLSSVKTGLDDFLSGFNESINSSVNKGENAVKSLLDNITSSINSVTTSASEAVDNVQASASNKVSNLSNDLTEALGKANAFLVDLLRRTIVVVEDSLSNGASSLVHFYGSAKERLPPEINDALALYEERTGTALKPVGAAFQQVYIGIEGLERSLGFDPNDPIIPFFLLIGTSATLWAFYWVWAYSGYSGDLSPKLTLELLTGRENAILIDVRPEDLRERDGIPDLRRVARFRYASVSLPEVNGSMRKLLKSGKDLDDSLIAAVIRNLKTVEDRSKVIILDADGSRSKGIARSLRKLGVKRRYLVQGGFQSWVKQGLQIKELKPETTLTILNEEAEAILQDISPSPVQLLGYGVGSAAAVYALIEWEKTLQFIGILGLVQKLSYHVAVA >EOY02333 pep chromosome:Theobroma_cacao_20110822:4:458681:467989:-1 gene:TCM_016845 transcript:EOY02333 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein UVH3, putative isoform 3 MGVHGLWELLAPVGRRVSVETLAGKKLAIDASIWMVQFMKAMRDEKGEMVRNAHLLGFFRRICKLLYLKTKPVFVFDGATPVLKRRTVIARRRQRENSQAKIRKTAEKLLLNHLKQMRLKELAKDLEDQRKKQKNNAKGRKVSSDKPYDANIVGCNAVELTNSDHVNLKEKSEMPIPAEDGGGDENEDEYEEIILPEIDGNIDPDVLAALPQSMQRQLLSQNNAKDKKIFSNDLDQSNMERSNAEHDPMASSSYNQEKLDEMLAASLAAQEDSNLANNASTSAAAIHSEEDGDEDEEMILPAMHGNVDPAVLAALPPSLQLDLLVQMREKLMAVNRQKYQKVKKAPEQFSELQIQSYLKTVAFRREIDEVQRAAAGRGVAGVQTSRIASEANREFIFSSSFTGDKQVLTSARKERDEDKQQEIHSNHPSGFLNSVKSICKSNVVTESVPNEPTSAPDEDVGTYLDERGQVRVSRVRGMGIRMTRDLQRNLDLMKEIEQERTNSNKDMNVQSVPDRNRIGTSKNSSSENQFLKTSHDGNCESVNLNESNQQSAFKTEACMEITFEDDGRNKFFDDDDDIFARLAAGDPVTLPSPENKPSGKHTSDSDSDCEWEEGMTEGNWDGVAHCMDAKNNPSYKESNISDESEVEWEEEPSDAPKSSSGPVESGVMLSKGYLEEEADLQEAIRRSLTDIGAKKSNYFPSEFEKLKKFGKNMDEGFGSPHGKSSMDGPSFREGKVNQENKSCQNLDRVQKLYSVDELSISEASNFPERLSPIAHSSDRNGTLSYKPCERSDGPHSEQSRDIASTVLVTTLEREVHLAPGKQSNASNEVDGLSTVSNSWSKDSSRSLDVVLDDLPGAILVDKKNDSEGEPSTLVSEKKSEVETELCSMVEDKKNDLEAKSLHQSIEIVDSSIPVVQSSVNKATSDIHIEQELVGDRTYENYVNEAEQETDMANVKGNDYADVEFTQVSLDEELLILGQECMNLGDEQRKLERNAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMELTNIVDGVVTDDSDVFLFGARSVYKNIFDDRKYVETYFMQDIEKEIGLTREKLMRMALLLGSDYTEGVSGIGIVNAIEVVNAFPEEDGLHKFREWIESPDPAILGKLNVQEGSSARKRGSKFSDKDVISAKTSMRDSGSPIEGLSSFDQNISQADKNTQSTDCIDDIKQIFMDKHRNVSKNWHIPSSFPSEAVISEYCSPQVDKSTEPFTWGRPDLFVLRKLCWDKFGWGSQKSDDLLLPVLREYEKRETQLRLEAFYTFNERFAKIRSKRIKKAVKGITGNQSSELIDDAMQQVSKSRKRRRVSPVKSGDDKSGEPSNWKEDIVSQRQSKSMEKSVPKPSRKRPPQTSPGKSTPEQPPRAARRRKTNKQSPGIGRRKGHGARRRRRKASPDFEQSETSSSGGNSGNDYQEVDGEKLDRPQQVRRSMRTRNPVNYNVNDLEDEVGLSNKESSCEEAMEQEAADDLNEENPSEARDPTFEEDFSRDYLERGGGFCMDEKEVGHPDESQGVDPTPEAEASKDYLKMGGGFCIDENETSKDPDAACDQDPVAATDSSNGVAFTDKADDNAASAEPSSSPKRSLDGLQNASFTELNLGHQNAANEDDSKGSAPPQETTVNDTVTAFVGGLSAMPTLKRKRRKR >EOY02334 pep chromosome:Theobroma_cacao_20110822:4:459519:467989:-1 gene:TCM_016845 transcript:EOY02334 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein UVH3, putative isoform 3 MLRIRRFSQMIWISLTWRGVMQNMILWHQVVTIRKSWMKSSLAAQEDSNLANNASTSAAAIHSEEDGDEDEEMILPAMHGNVDPAVLAALPPSLQLDLLVQMREKLMAVNRQKYQKVKKAPEQFSELQIQSYLKTVAFRREIDEVQRAAAGRGVAGVQTSRIASEANREFIFSSSFTGDKQVLTSARKERDEDKQQEIHSNHPSGFLNSVKSICKSNVVTESVPNEPTSAPDEDVGTYLDERGQVRVSRVRGMGIRMTRDLQRNLDLMKEIEQERTNSNKDMNVQSVPDRNRIGTSKNSSSENQFLKTSHDGNCESVNLNESNQQSAFKTEACMEITFEDDGRNKFFDDDDDIFARLAAGDPVTLPSPENKPSGKHTSDSDSDCEWEEGMTEGNWDGVAHCMDAKNNPSYKESNISDESEVEWEEEPSDAPKSSSGPVESGVMLSKGYLEEEADLQEAIRRSLTDIGAKKSNYFPSEFEKLKKFGKNMDEGFGSPHGKSSMDGPSFREGKVNQENKSCQNLDRVQKLYSVDELSISEASNFPERLSPIAHSSDRNGTLSYKPCERSDGPHSEQSRDIASTVLVTTLEREVHLAPGKQSNASNEVDGLSTVSNSWSKDSSRSLDVVLDDLPGAILVDKKNDSEGEPSTLVSEKKSEVETELCSMVEDKKNDLEAKSLHQSIEIVDSSIPVVQSSVNKATSDIHIEQELVGDRTYENYVNEAEQETDMANVKGNDYADVEFTQVSLDEELLILGQECMNLGDEQRKLERNAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMELTNIVDGVVTDDSDVFLFGARSVYKNIFDDRKYVETYFMQDIEKEIGLTREKLMRMALLLGSDYTEGVSGIGIVNAIEVVNAFPEEDGLHKFREWIESPDPAILGKLNVQEGSSARKRGSKFSDKDVISAKTSMRDSGSPIEGLSSFDQNISQADKNTQSTDCIDDIKQIFMDKHRNVSKNWHIPSSFPSEAVISEYCSPQVDKSTEPFTWGRPDLFVLRKLCWDKFGWGSQKSDDLLLPVLREYEKRETQLRLEAFYTFNERFAKIRSKRIKKAVKGITGNQSSELIDDAMQQVSKSRKRRRVSPVKSGDDKSGEPSNWKEDIVSQRQSKSMEKSVPKPSRKRPPQTSPGKSTPEQPPRAARRRKTNKQSPGIGRRKGHGARRRRRKASPDFEQSETSSSGGNSGNDYQEVDGEKLDRPQQVRRSMRTRNPV >EOY02335 pep chromosome:Theobroma_cacao_20110822:4:458673:467989:-1 gene:TCM_016845 transcript:EOY02335 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein UVH3, putative isoform 3 MGVHGLWELLAPVGRRVSVETLAGKKLAIDASIWMVQFMKAMRDEKGEMVRNAHLLGFFRRICKLLYLKTKPVFVFDGATPVLKRRTVIARRRQRENSQAKIRKTAEKLLLNHLKQMRLKELAKDLEDQRKKQKNNAKGRKVSSDKPYDANIVGCNAVELTNSDHVNLKEKSEMPIPAEDGGGDENEDEYEEIILPEIDGNIDPDVLAALPQSMQRQLLSQNNAKDKKIFSNDLDQSNMERSNAEHDPMASSSYNQEKLDEMLAASLAAQEDSNLANNASTSAAAIHSEEDGDEDEEMILPAMHGNVDPAVLAALPPSLQLDLLVQMREKLMAVNRQKYQKVKKAPEQFSELQIQSYLKTVAFRREIDEVQRAAAGRGVAGVQTSRIASEANREFIFSSSFTGDKQVLTSARKERDEDKQQEIHSNHPSGFLNSVKSICKSNVVTESVPNEPTSAPDEDVGTYLDERGQVRVSRVRGMGIRMTRDLQRNLDLMKEIEQERTNSNKDMNVQSVPDRNRIGTSKNSSSENQFLKTSHDGNCESVNLNESNQQSAFKTEACMEITFEDDGRNKFFDDDDDIFARLAAGDPVTLPSPENKPSGKHTSDSDSDCEWEEGMTEGNWDGVAHCMDAKNNPSYKESNISDESEVEWEEEPSDAPKSSSGPVESGVMLSKGYLEEEADLQEAIRRSLTDIGAKKSNYFPSEFEKLKKFGKNMDEGFGSPHGKSSMDGPSFREGKVNQENKSCQNLDRVQKLYSVDELSISEASNFPERLSPIAHSSDRNGTLSYKPCERSDGPHSEQSRDIASTVLVTTLEREVHLAPGKQSNASNEVDGLSTVSNSWSKDSSRSLDVVLDDLPGAILVDKKNDSEGEPSTLVSEKKSEVETELCSMVEDKKNDLEAKSLHQSIEIVDSSIPVVQSSVNKATSDIHIEQELVGDRTYENYVNEAEQETDMANVKGNDYADVEFTQVSLDEELLILGQECMNLGDEQRKLERNAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMELTNIVDGVVTDDSDVFLFGARSVYKNIFDDRKYVETYFMQDIEKEIGLTREKLMRMALLLGSDYTEGVSGIGIVNAIEVVNAFPEEDGLHKFREWIESPDPAILGKLNVQEGSSARKRGSKFSDKDVISAKTSMRDSGSPIEGLSSFDQNISQADKNTQSTDCIDDIKQIFMDKHTMLGQVWMG >EOY04080 pep chromosome:Theobroma_cacao_20110822:4:21965289:21967127:1 gene:TCM_019351 transcript:EOY04080 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 1 MVCLEWWSFELLVLLSGLLPISELETSVLSICLATTSLHYFIPYGVGAAASTRVSNELGARNPQAARVAVNVEMVLGVSEALIVSISVARGTGWQHIGAYVNLGAYYLVGIPVAALLCFGVHFRGKRLWIGKNRFILHINRQPRHRRE >EOY04079 pep chromosome:Theobroma_cacao_20110822:4:21965289:21967127:1 gene:TCM_019351 transcript:EOY04079 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 1 MVCLEWWSFELLVLLSGLLPISELETSVLSICLATTSLHYFIPYGVGAAASTRVSNELGARNPQAARVAVNVEMVLGVSEALIVSISVARGTGWQHIGAYVNLGAYYLVGIPVAALLCFGVHFRGKRLWIGKNRQPRHRRE >EOY05042 pep chromosome:Theobroma_cacao_20110822:4:26937841:26940591:-1 gene:TCM_020149 transcript:EOY05042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigalactosyldiacylglycerol 1 isoform 4 MKLGGWNSSRLGVTARGESLRLLAPIHKTRLFVISNTDDGHQYAPVLEEDSNTNHAPCSEPETFFSKWSPPGYLWRGLSVLVLAGQVIIRTLKGKVHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVVTSVVVAGRIGSAFAAELGTMQVSEQTDTLRVLGSNPVDYLVTPRVIASSLALPFLTLMCFTVGMASSALLADSVYGISINIILDSAQRALQSWDIISAMIKSQVFGMIISIVSCAWGVTTMGGAKGVGESTTSAVVISLVGIFMADFALSYCFFQGAGDSLKNCV >EOY05041 pep chromosome:Theobroma_cacao_20110822:4:26937980:26940570:-1 gene:TCM_020149 transcript:EOY05041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigalactosyldiacylglycerol 1 isoform 4 MQTAFQLHPIIFFSSRKNSIKSDGWMKLGGWNSSRLGVTARGESLRLLAPIHKTRLFVISNTDDGHQYAPVLEEDSNTNHAPCSEPETFFSKWSPPGYLWRGLSVLVLAGQVIIRTLKGKVHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVVTSVVVAGRIGSAFAAELGTMQVSEQTDTLRVLGSNPVDYLVTPRVIASSLALPFLTLMCFTVGMASSALLADSVYGISINIILDSAQRALQSWDIISAMIKSQVFGMIISIVSCAWGVTTMGGAKGVGESTTSAVVISLVGIFMADFALSYCFFQGAGDSLKNCV >EOY05039 pep chromosome:Theobroma_cacao_20110822:4:26937841:26940570:-1 gene:TCM_020149 transcript:EOY05039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigalactosyldiacylglycerol 1 isoform 4 MQTAFQLHPIIFFSSRKNSIKSDGWMKLGGWNSSRLGVTARGESLRLLAPIHKTRLFVISNTDDGHQYAPVLEEDSNTNHAPCSEPETFFSKWSPPGYLWRGLSVLVLAGQVIIRTLKGKVHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVVTSVVVAGRIGSAFAAELGTMQVSEQTDTLRVLGSNPVDYLVTPRVIASSLALPFLTLMCFTVGMASSALLADSVYGISINIILDSAQRALQSWDIISAMIKSQVFGMIISIVSCAWGVTTMGGAKGVGESTTSAVVISLVVFSMVLFDGWHLQRQITCK >EOY05040 pep chromosome:Theobroma_cacao_20110822:4:26938409:26940570:-1 gene:TCM_020149 transcript:EOY05040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigalactosyldiacylglycerol 1 isoform 4 MQTAFQLHPIIFFSSRKNSIKSDGWMKLGGWNSSRLGVTARGESLRLLAPIHKTRLFVISNTDDGHQYAPVLEEDSNTNHAPCSEPETFFSKWSPPGYLWRGLSVLVLAGQVIIRTLKGKVHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVVTSVVVAGRIGSAFAAELGTMQVSEQTDTLRVLGSNPVDYLVTPRVIASSLALPFLTLMCFTVGMASSALLADSVYGISINIILDSAQRALQSWDIISAMIKSQVFGMIISIVSCAWGVTTMGGAKGVGESTTSAVVISLVGIFM >EOY04754 pep chromosome:Theobroma_cacao_20110822:4:25791425:25793901:1 gene:TCM_019938 transcript:EOY04754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nam protein 1, putative MNNIKGYGFRPSDEELIGYLQDITSDRDSPVQFITQLEDICEFEPWELPGLSALQQGCRVWYFIYSLNYKYGNSKLIKRATKEGYWKPTGRRRKIMATDTNALIGSKRSLVFHKGHPKGKTRTKPDNNKNKTKHGSNRNKAVWVMHEYQLNAATPLNQETFFLGKLMKQSEEANIANNNGESSHHSPTNLRNQVAMNKITEDQSDSSKPLAEVEVSNDSGGVQNQSSTNDQDNDFQIDEIYPQERCNRLTFVDDDEVSNLISNLRNYAAVDAISRDMVDTSGWLITEQYTPNDGALVQNQFRTSEHGISSQNLIVADNNKTYPKTTSNQHYTAAENEGYNLPANLKNDEAQDFFPKDHFNYVVSKFDELLPMIQTPNNCNPLQNQSSTNEQDAETRNYLL >EOY02666 pep chromosome:Theobroma_cacao_20110822:4:1721505:1724362:1 gene:TCM_017077 transcript:EOY02666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease inhibitor, putative isoform 1 MEIRSTLTAAITGWIQDFENDFGSDFCVFDMQDDYEKKIADQQHLFKGLNWVWNGSMSIQQQEGNSSVGEFGSEDGDKKIGDQYLNWVWNSTMSFQQEEGNFEINEILVPNDDFNGFGIGNRLSIGGFVSNDEGKGLEDCKGVFCDGSEGGAPNDALFFALGYLGVKDLLAVERVCRSLRDAVRGDPLLWRSIHIEQTLSRRINDDDLLKLTGRAQGTLECLSLVGCLKITDDGLKRVLESNRRLTKLRVPECTRLSVEGILFNLRAFRSAGSPGIKQLRIGGCFGVTEEQFKELKLLLGVDKPMQLGAQKPQFFRQGHLHLMRDDDRAIDIEVCPRCEKLKLVYDCPSESCRRTHHAAELCRACIICIARCIHCGCCLKDCDYEETFTLDFLCFDCWKQIFNREEKPEVMGASSSKHTIFHQETRYQLCFYR >EOY02665 pep chromosome:Theobroma_cacao_20110822:4:1720774:1723748:1 gene:TCM_017077 transcript:EOY02665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease inhibitor, putative isoform 1 MALNDFGNSWSFWSCNWDVEETLSSGSSKSESNGFSEAINDDIVDRLPADPFGMEIRSTLTAAITGWIQDFENDFGSDFCVFDMQDDYEKKIADQQHLFKGLNWVWNGSMSIQQQEGNSSVGEFGSEDGDKKIGDQYLNWVWNSTMSFQQEEGNFEINEILVPNDDFNGFGIGNRLSIGGFVSNDEGKGLEDCKGVFCDGSEGGAPNDALFFALGYLGVKDLLAVERVCRSLRDAVRGDPLLWRSIHIEQTLSRRINDDDLLKLTGRAQGTLECLSLVGCLKITDDGLKRVLESNRRLTKLRVPECTRLSVEGILFNLRAFRSAGSPGIKQLRIGGCFGVTEEQFKELKLLLGVDKPMQLGAQKPQFFRQGHLHLMRDDDRAIDIEVCPRCEKLKLVYDCPSESCRRTHHAAELCRACIICIARCIHCGCCLKDCDYEETFTLDFLCFDCWKQIFNREEKPEVMGASSSKHTIFHQETRYQLCFYR >EOY02584 pep chromosome:Theobroma_cacao_20110822:4:1385180:1386421:1 gene:TCM_017016 transcript:EOY02584 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MLPIHAKPKPWHPSIAANPQTSSPLRFKTRLLPLKISSTLNENEEANHYKAGRIKRLVLTNEGRTKLNTFPDREFYAFPRFVTHVDDGFIATLTDLYRERLRPGSEILDIMSSWISHLPKEVVYKRVDQKLEMEDGSFNAVLCTVSVQYLQQPEKVFAEVFRVLRPGGVFIVSFSNRLFYEKAISAWRDGTAYGRVQLILQYFQCIEGFTQPEVIRKLPTAANAQDDKSPFSWFMKWLGLFSGSDPFYAVIAYKNFKPVYECDEILH >EOY04509 pep chromosome:Theobroma_cacao_20110822:4:24737755:24740565:-1 gene:TCM_019753 transcript:EOY04509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein, putative MILCAWCCWSVLLSSSVSAGLLGGPSWKVRLGRRDSTTASRALADSVLPSASMGLPALINNFKNQGLNHRDLVALSGGHTIGLLRCSIFRNRIYNATNIDPTFAKERRATCHVSEATLTSLPLTQRLHTSKTW >EOY06775 pep chromosome:Theobroma_cacao_20110822:4:32928440:32929441:-1 gene:TCM_021404 transcript:EOY06775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Werner syndrome-like exonuclease, putative MNGDHISILPHINPNPERFRIFTVNFFSETITTTVTATPSVVRKWIFRVLSLHRFHRDTLVVGLGVQWTPTFSRDRAPAATLQLCVGRQCLIFQLLHATHVPQALRRFLADPRATFVGVWNYHDEDMLMRSNHMLTVMRIVDARDVAADRCGLSKQLSMETLAGVLLGARGVRKPREVGASDWDAYWLSPEQVQYACVDAFVSSELGKALNVWNWEN >EOY04548 pep chromosome:Theobroma_cacao_20110822:4:24961842:24965137:-1 gene:TCM_019784 transcript:EOY04548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding dehydrogenase family protein isoform 1 MPMDRKRVELLKNKFGFDDAFNYKEEPDLNAALKRYFPEGIDIYFENVGGKMLDAVLLNMRIHGRIAVCGMISQYNLDQPEGVHNLMTIVYKTVRIEGFSVFDYYPQYSKFLDHVLAYIKDGKIKYVEDLAEGLESGPAALIGLFSGRNVGKQVVVVDHRL >EOY04547 pep chromosome:Theobroma_cacao_20110822:4:24961501:24965373:-1 gene:TCM_019784 transcript:EOY04547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding dehydrogenase family protein isoform 1 MADGSDKEVVVRNKQVIFKDYITGFPKESDMHVTTGNIKLKVPAGTKALLVKNLYLSCDPYMRILMRSQGSDLFSPYTPGSPITGFGVAKVLDSGHPDFKEGDLVWGTTGWEEYSLLTATEGLFKIQHTEVPLSYYTGILGMPGITAYAGFYEVCSPKKGEYVFVSAASGAVGQLVGQFAKLMGCYVVGSAGSKEKVELLKNKFGFDDAFNYKEEPDLNAALKRYFPEGIDIYFENVGGKMLDAVLLNMRIHGRIAVCGMISQYNLDQPEGVHNLMTIVYKTVRIEGFSVFDYYPQYSKFLDHVLAYIKDGKIKYVEDLAEGLESGPAALIGLFSGRNVGKQVVVVDHRL >EOY06715 pep chromosome:Theobroma_cacao_20110822:4:32739084:32742038:-1 gene:TCM_021359 transcript:EOY06715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein MAMIKASGSVNSNQKEERANSYKCHHDSLLQYICSGDCIVVNCHSQSLVTKVGYSTQGGKQGVDCQLLRYFWFSAKLLGDGCFLELMLCLLVLIQQVNDVVDSKKYDLPGYAVLNTSKGYLTIELFKDAGPKHEAFMVGTTKVKEDSKAFQLFITTAPIPDLNDKLFVLGRVIKGEDVVQVRTFSLVGNLDLFWKIEEIDTNEHYQPKSPIGIIDVTLQQEI >EOY06682 pep chromosome:Theobroma_cacao_20110822:4:32660244:32723912:-1 gene:TCM_021334 transcript:EOY06682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSGALYRIIPSDKQIHHCPAHSTFFASNSFWQSTFSLVAEDCIVTKHILESNKTNTKTSYPAHNDSDK >EOY06846 pep chromosome:Theobroma_cacao_20110822:4:33122454:33123858:1 gene:TCM_021448 transcript:EOY06846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MFAVKVNPDGSVTRLRARLVAKGYAQTYGVDYSNTFSPVAKLTSVHLFISMAATYDWPLHQLDIKNAFLHGDLQEEVYMEQPPRFVAQGEYGKVCHFRKSLYGLKQSPRAWFGKFSEAVQEFGMKKSKCDHSVFYK >EOY04335 pep chromosome:Theobroma_cacao_20110822:4:23980657:23985773:-1 gene:TCM_019622 transcript:EOY04335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sarcolemmal membrane-associated protein, putative isoform 1 MSNCYSGHTSLLRMGSKGRIPPPHLRRALPGPGMVHPDQFGPGILPPPGPFPHFDMLPPPEIMEQKLAAQHVEMQRLGTENQRLATTHGTLRQELAAAQHELQILHAQIGAIKSDREQQMRSLMDKIAKMEAELQAAEPVKVELQQAHTEAQNLVLAREELMSKVHQLNQDLQRAHVDVQQIPALMGELESLRQEYQHCRATFDYEKKFYNDHLESLQVMEKNYMTMAREVEKLRAELMNAANVDRRTVGQYGGATGNSENEASAHPVGQNAYEDGYVIHQRHGPLPSAATGANAGVGAAVYVGAQSGPAPRRTGYEVPRGPAYDTSKGPGYDASRGPGYESQRGPSYDAQRGSGYDGQRGHAYDAQRGGGYETQRGSVNDASRGATYDAPARSLAVLHGQAAPPNNGPYGSATPPARAGSGYEAPSRGGNPVRR >EOY04338 pep chromosome:Theobroma_cacao_20110822:4:23980657:23985773:-1 gene:TCM_019622 transcript:EOY04338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sarcolemmal membrane-associated protein, putative isoform 1 MGSKGRIPPPHLRRALPGPGMVHPDQFGPGILPPPGPFPHFDMLPPPEIMEQKLAAQHVEMQRLGTENQRLATTHGTLRQELAAAQHELQILHAQIGAIKSDREQQMRSLMDKIAKMEAELQAAEPVKVELQQAHTEAQNLVLAREELMSKVHQLNQDLQRAHVDVQQIPALMGELESLRQEYQHCRATFDYEKKFYNDHLESLQVMEKNYMTMAREVEKLRAELMNAANVDRRTVGQYGGATGNSENEASAHPVGQNAYEDGYVIHQRHGPLPSAATGANAGVGAAVYVGAQSGPAPRRTGYEVPRGPAYDTSKGPGYDASRGPGYESQRGPSYDAQRGSGYDGQRGHAYDAQRGGGYETQRGSVNDASRGATYDAPARSLAVLHGQAAPPNNGPYGSATPPARAGSGYEAPSRGGNPVRR >EOY04334 pep chromosome:Theobroma_cacao_20110822:4:23981126:23983625:-1 gene:TCM_019622 transcript:EOY04334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sarcolemmal membrane-associated protein, putative isoform 1 MSNCYSGHTSLLRMGSKGRIPPPHLRRALPGPGMVHPDQFGPGILPPPGPFPHFDMLPPPEIMEQKLAAQHVEMQRLGTENQRLATTHGTLRQELAAAQHELQILHAQIGAIKSDREQQMRSLMDKIAKMEAELQAAEPVKVELQQAHTEAQNLVLAREELMSKVHQLNQDLQRAHVDVQQIPALMGELESLRQEYQHCRATFDYEKKFYNDHLESLQVMEKNYMTMAREVEKLRAELMNAANVDRRTVGQYGGATGNSENEASAHPVGQNAYEDGYVIHQYKDTLQSSPSHSSAYFVRHGPLPSAATGANAGVGAAVYVGAQSGPAPRRTGYEVPRGPAYDTSKGPGYDASRGPGYESQRGPSYDAQRGSGYDGQRGHAYDAQRGGGYETQRGSVNDASRGATYDAPARSLAVLHGQAAPPNNGPYGSATPPARAGSGYEAPSRGGNPVRR >EOY04337 pep chromosome:Theobroma_cacao_20110822:4:23981126:23983494:-1 gene:TCM_019622 transcript:EOY04337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sarcolemmal membrane-associated protein, putative isoform 1 MGSKGRIPPPHLRRALPGPGMVHPDQFGPGILPPPGPFPHFDMLPPPEIMEQKLAAQHVEMQRLGTENQRLATTHGTLRQELAAAQHELQILHAQIGAIKSDREQQMRSLMDKIAKMEAELQAAEPVKVELQQAHTEAQNLVLAREELMSKVHQLNQDLQRAHVDVQQIPALMGELESLRQEYQHCRATFDYEKKFYNDHLESLQVMEKNYMTMAREVEKLRAELMNAANVDRRTVGQYGGATGNSENEASAHPVGQNAYEDGYVIHQRHGPLPSAATGANAGVGAAVYVGAQSGPAPRRTGYEVPRGPAYDTSKGPGYDASRGPGYESQRGPSYDAQRGSGYDGQRGHAYDAQRGGGYETQRGSVNDASRGATYDAPARSLAVLHGQAAPPNNGPYGSATPPARAGSGYEAPSRGGNPVRR >EOY04336 pep chromosome:Theobroma_cacao_20110822:4:23980732:23986013:-1 gene:TCM_019622 transcript:EOY04336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sarcolemmal membrane-associated protein, putative isoform 1 MGSKGRIPPPHLRRALPGPGMVHPDQFGPGILPPPGPFPHFDMLPPPEIMEQKLAAQHVEMQRLGTENQRLATTHGTLRQELAAAQHELQILHAQIGAIKSDREQQMRSLMDKIAKMEAELQAAEPVKVELQQAHTEAQNLVLAREELMSKVHQLNQDLQRAHVDVQQIPALMGELESLRQEYQHCRATFDYEKKFYNDHLESLQVMEKNYMTMAREVEKLRAELMNAANVDRRTVGQYGGATGNSENEASAHPVGQNAYEDGYVIHQYKDTLQSSPSHSSAYFVRHGPLPSAATGANAGVGAAVYVGAQSGPAPRRTGYEVPRGPAYDTSKGPGYDASRGPGYESQRGPSYDAQRGSGYDGQRGHAYDAQRGGGYETQRGSVNDASRGATYDAPARSLAVLHGQAAPPNNGPYGSATPPARAGSGYEAPSRGGNPVRR >EOY04339 pep chromosome:Theobroma_cacao_20110822:4:23981105:23985773:-1 gene:TCM_019622 transcript:EOY04339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sarcolemmal membrane-associated protein, putative isoform 1 MGSKGRIPPPHLRRALPGPGMVHPDQFGPGILPPPGPFPHFDMLPPPEIMEQKLAAQHVEMQRLGTENQRLATTHGTLRQELAAAQHELQILHAQIGAIKSDREQQMRSLMDKIAKMEAELQAAEPVKVELQQAHTEAQNLVLAREELMSKVHQLNQDLQRAHVDVQQIPALMGELESLRQEYQHCRATFDYEKKFYNDHLESLQVMEKNYMTMAREVEKLRAELMNAANVDRRTVGQYGGATGNSENEASAHPVGQNAYEDGYVIHQYKDTLQSSPSHSSAYFVVSGCNFDSSVIALYNDDCILCLLILYFGLMTFCSFISQRHGPLPSAATGANAGVGAAVYVGAQSGPAPRRTGYEVPRGPAYDTSKGPGYDASRGPGYESQRGPSYDAQRGSGYDGQRGHAYDAQRGGGYETQRGSVNDASRGATYDAPARSLAVLHGQAAPPNNGPYGSATPPARAGSGYEAPSRGGNPVRR >EOY06343 pep chromosome:Theobroma_cacao_20110822:4:31614149:31616027:1 gene:TCM_021095 transcript:EOY06343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine-containing phosphotransfer protein 1 isoform 1 MEVGQMQRRLVDYTKSLFMEGFLDGQFLQLQQLQDESNPDFVVEVVSLFFDDSEKLLNDLTMALDQPNVDFKKVDAHVHQLKGSSSSIGAQRVKNACIAFRNFCEEQNIEACLRCLQQVKQEYYLVKNKLETLFRLEQQIVAAGGSIPLMEMGL >EOY06342 pep chromosome:Theobroma_cacao_20110822:4:31613896:31616365:1 gene:TCM_021095 transcript:EOY06342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine-containing phosphotransfer protein 1 isoform 1 MEVGQMQRRLVDYTKSLFMEGFLDGQFLQLQQLQDESNPDFVVEVVSLFFDDSEKLLNDLTMALDQPNVDFKKVDAHVHQLKGSSSSIGAQRVKNACIAFRNFCEEQNIEACLRCLQQVKQEYYLVKNKLETLFRLEQQIVAAGGSIPLMEMGL >EOY04040 pep chromosome:Theobroma_cacao_20110822:4:21633056:21635625:1 gene:TCM_019297 transcript:EOY04040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 1 MMEPLLAILNRDLKSTECVVEAVLPVLKLLSIALIGLLLSHPKIQMIPRPTLKLLSKLIFVLFWPCLIFTHLGPVISVKKFIQWWFIPVNVVISTAIGCVLGLLVALICRPPPEFFRFTVITTAFGNTGNIPLAVVSSVCHNEDNPFGDTCYDGIAYASFSQWVSVVLVYTLVYHMMEPPMEFYAVVEEGGTEIEELPRNDISTPLLHEAEWPGIEDRETEHCKTPFIARLFNSISGVSQSDIPDVETTQEESPSTKSNRCLAEPKVVKKIRIVAERTPIHHILQPPLVATVLAILLGIIPKVKTIVFGSDAPLDFITDSMAMISEAMVPAVMLVLGGMLREGPNESRLGIRTTIGIIVARLLILPLAGIGVVYLADRWNFLISDNSLYRFVLLLQYTTPSAILLGAMASLRGYAVREASALLFWQHVFALLSLSLYIYVYLKWLASFL >EOY04042 pep chromosome:Theobroma_cacao_20110822:4:21633056:21635621:1 gene:TCM_019297 transcript:EOY04042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 1 MMEPLLAILNRDLKSTECVVEAVLPVLKLLSIALIGLLLSHPKIQMIPRPTLKLLSKLIFVLFWPCLIFTHLGPVISVKKFIQWWFIPVNVVISTAIGCVLGLLVALICRPPPEFFRFTVITTAFGNTGNIPLAVVSSVCHNEDNPFGDTCYDGIAYASFSQWVSVVLVYTLVYHMMEPPMEFYAVVEEGGTEIEELPRNDISTPLLHEAEWPGIEDRETEHCKTPFIARLFNSISGVSQSDIPDVETTQEESPSTKSNRCLAEPKVVKKIRIVAERTPIHHILQPPLVATVLAILLGIIPKVKTIVFGSDAPLDFITDSMAMISEAMVPAVMLVLGGMLREGPNESRLGIRTTIGIIVARLLILPLAGIGVVYLADRWNFLISDNSLYRFVLLLQYTTPSAILLGAMASLRGYAVREASALLFWQHVFALLSLSLYIYVYLKWLASFL >EOY04041 pep chromosome:Theobroma_cacao_20110822:4:21633056:21635625:1 gene:TCM_019297 transcript:EOY04041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 1 MMEPLLAILNRDLKSTECVVEAVLPVLKLLSIALIGLLLSHPKIQMIPRPTLKLLSKLIFVLFWPCLIFTHLGPVISVKKFIQWWFIPVNVVISTAIGCVLGLLVALICRPPPEFFRFTVITTAFGNTGNIPLAVVSSVCHNEDNPFGDTCYDGIAYASFSQWVSVVLVYTLVYHMMEPPMEFYAVVEEGGTEIEELPRNDISTPLLHEAEWPGIEDRETEHCKTPFIARLFNSISGVSQSDIPDVETTQEESPSTKSNRCLAEPKVVKKIRIVAERTPIHHILQPPLVATVLAILLGIIPKVKTIVFGSDAPLDFITDSMAMISEAMVPAVMLVLGGMLREGPNESRLGIRTTIGIIVARLLILPLAGIGVVYLADRWNFLISDNSLYRFVLLLQYTTPSAILLGAMASLRGYAVREASALLFWQHVFALLSLSLYIYVYLKWLASFL >EOY04043 pep chromosome:Theobroma_cacao_20110822:4:21633100:21635274:1 gene:TCM_019297 transcript:EOY04043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 1 MMEPLLAILNRDLKSTECVVEAVLPVLKLLSIALIGLLLSHPKIQMIPRPTLKLLSKLIFVLFWPCLIFTHLGPVISVKKFIQWWFIPVNVVISTAIGCVLGLLVALICRPPPEFFRFTVITTAFGNTGNIPLAVVSSVCHNEDNPFGDTCYDGIAYASFSQWVSVVLVYTLVYHMMEPPMEFYAVVEEGGTEIEELPRNDISTPLLHEAEWPGIEDRETEHCKTPFIARLFNSISGVSQSDIPDVETTQEESPSTKSNRCLAEPKVVKKIRIVAERTPIHHILQPPLVATVLAILLGIIPKVKTIVFGSDAPLDFITDSMAMISEAMVPAVMLVLGGMLREGPNESRLGIRTTIGIIVARLLILPLAGIGVVYLADRWNFLISDNSLYRFVLLLQYTTPSAILLGAMASLRGYAVREASALLFWQHVFALLSLSLYIYVYLKWLASFL >EOY06140 pep chromosome:Theobroma_cacao_20110822:4:31038042:31048575:1 gene:TCM_020956 transcript:EOY06140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclopropane-fatty-acyl-phospholipid synthase, putative MCRNYSCFNMFRISKLGAIKREGTPSILLSHTLPLSSPNSHTRAKHIQQLYTDPRQQTEYYRMRVAVIGGGIRGLVSAYVLAKAGVNVVVYEKGKQFGGHARTVNFHAIDLDLAFMFLNPAKHPNVLDFFTGLGVDVETSDVSFSVSLDNGQGYEWGNQKGFSSLFAQKKNVFNPYFWQMLREIIRFKDDVVSYLQELENNPDTGRNETMGQFIESRGYSELFRKAYLVPICCSVWSCSAEEVMSFSAFSTLSFCHTHYLYEILGRQQWLTVRGFSKLENKVKDLLESRGCQLSIGCEVHSVLTADDGRTIVCSGDDFQEVYDGCMMAVDAPTALRLLGNQATFDESRILGAFRYAYSDIFLHHDDNLMPNNRAAWSSLNFLRSAENKGCLTYWLNMLQNVGKTTLPFLLTLNPDSTPKHTLLKWSTSRLIPSVAASKASLELEEIQGKRGIWFCGYDFHGDAVEAGLIATYGILGKRNSVLNKPENMAPSLLERGARLFVTRFLRQFISTGCIILLEEGGGVFTFKGNMNKCSLKTVLRVHSPQFYWKVMTEADLGLADAFMNRDFSFVDKEDGLLHLLTILIANRNFEHSVSRLKKKRGWWTPPLFTAGLAYAKYFFKHVMRQNNLMQARRNISQHYDQSNDFFSLFLDETMTYSCAVFKKEDEDLKVAQKRKISVLIEKAKINSKHEILEIGFGWGSLAIEVVKLTGCRYTGITLSEEQLKFSEKKVKEAGLQDHIKFELCDYRKLPSSYKCDRIISCEMIEHVGDEYLEEFFGWCEKVLTEDGLLVLQFSSTSDEGYHDYRHTSDFLKEYIFPGICPPSLGRISSAMAATTRLCVEHVENIGSQYHRTVRFWKNKLLDNKSKIVALGFNEEFIRMWEYYLDYVAAGMNSRTHLNYQVVFSRHGYPAAPGNPDKGFSSALD >EOY02244 pep chromosome:Theobroma_cacao_20110822:4:44446:46394:1 gene:TCM_016770 transcript:EOY02244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMDQVWIVVQNREMGTVTNGYSQRVEFAQMPAYCDHCCHVGHKEIDCIVLGNKARPYGTTKPPSSKPDGRGKRVGFEEYGDSTMEKRQNTEKRKNPKNGKIMSPEEPTKHHQKWQPVNKGSTSGAKDRQGKEVRSEKASKDENILLLNRFHVISEEKKEEHTRTTNPREEVNKKNYEENEEGDKEGLHRETTEVRWIGAEESDNGKLEGAKLATVPPANLQIMGDSAQGTFHVNGVHGQPQNHMEVRETHAEKENGNPQNCQNNKNLIKSHQKESEGQYTAVQIQKERTQQKSIAGKLGPSLQPANRQRPAKASGQELVEVTAQAGKEGALVPTENRPSTQHSKRDPTNINSAGKGKNSNKATVGDGKLTLDTKQVTTSIQDLNTYPLQHPTQASPAPHGATQLEKETGDQINSDEALEQAMIGQ >EOY06540 pep chromosome:Theobroma_cacao_20110822:4:32221919:32229743:1 gene:TCM_021226 transcript:EOY06540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide binding protein, putative isoform 1 MKNETQASTKAKENHVLCKAIKDWDHIPRLQLQSMQLYRDTSSKRLQDALKESQSDTPSVNSGFIDFEDEYDLENHLILTNLFLNQENMEDYKTLLDTTRTDADDQEQASSDQKLHPLYGTSGQENKAIMFPQAPERPTDEDVIEANIAKYFPERLIRSVKDINLSVLEIGDKKVNTLHHVEDDQSQLEPQKVQLFEHIAPTYQRSKSLIQMKQERTAAREKLDSYCWGKCSELRLSSRKDSKSELLEIIEKLVSKLCFSDGLEKSGKDYAVEVTAIYRMLNNRQGVKYAMLKDVILDQLLIAVSTSKDETVIRASVTVLTTIISANISLIEDIKGKGLQLSDLARALKRNVHEAATLIHLIKPSPAEIKTLELLPTLVEVICTSDSYRCRPPKSVLLTPPVASLMIIEVLVTAFDFATNNMHLAAINSPRVLSGLLDVARNHSLEEHISLATILVKCMQFDGQCRKYISQVIAVAPFIHLLQSNEKRAWFIALEYFHEVLQIPRPSQISVSTFSFCRSSAISQLQQIQKGGISVMNMLMTCVRQLQPDYQLLAANLLLQLDTLENSSSKSVFRKEAMQVLLQSIASEESSNSQLLSAFILSNIGGTYAWTGESYTVAWLVKKAGLTSMYHRNMIRNFDWLDQSLQDAGIDSWCSKIARSFSEFGEPAFIALQKGLRSQIKRVARDSLTTIAWLGCEISKTSDRLRYSACEILLGEVEKFLHPGMDLEERLLACLCVYNYASGKGMKKLIHFSEGVRESLRRFSNVIWMAEELHRVADFYLSNKSRISCVHTQILEASHRYSGAVTALIYYKGMLYSGYSDGSIKVWDVRKQSATLVWDTKEHKKAVTCFSLFEPGESLLSGSADKTIGVWQMVQNKLECIEVIATKEPVQKLETYGQMIFVITQGHRFKVFDSSRTVNSICKSRSVKCMRIVQGRIYAGCTDSSIQELSITSNNEREIKAPVKKWRMQSKPINSIIMYRDWLYSASSMVEGSNIREWRRNSEPQMSMVPEKGANILAMEVVEDFIYLNCSSSASSLQIWLRGTQQKVGRISAGSKITSLITANDIVLCGTESGIIKGWIPL >EOY06541 pep chromosome:Theobroma_cacao_20110822:4:32223151:32229746:1 gene:TCM_021226 transcript:EOY06541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide binding protein, putative isoform 1 MFPQAPERPTDEDVIEANIAKYFPERLIRSVKDINLSVLEIGDKKVNTLHHVEDDQSQLEPQKVQLFEHIAPTYQRSKSLIQMKQERTAAREKLDSYCWGKCSELRLSSRKDSKSELLEIIEKLVSKLCFSDGLEKSGKDYAVEVTAIYRMLNNRQGVKYAMLKDVILDQLLIAVSTSKDETVIRASVTVLTTIISANISLIEDIKGKGLQLSDLARALKRNVHEAATLIHLIKPSPAEIKTLELLPTLVEVICTSDSYRCRPPKSVLLTPPVASLMIIEVLVTAFDFATNNMHLAAINSPRVLSGLLDVARNHSLEEHISLATILVKCMQFDGQCRKYISQVIAVAPFIHLLQSNEKRAWFIALEYFHEVLQIPRSSAISQLQQIQKGGISVMNMLMTCVRQLQPDYQLLAANLLLQLDTLENSSSKSVFRKEAMQVLLQSIASEESSNSQLLSAFILSNIGGTYAWTGESYTVAWLVKKAGLTSMYHRNMIRNFDWLDQSLQDAGIDSWCSKIARSFSEFGEPAFIALQKGLRSQIKRVARDSLTTIAWLGCEISKTSDRLRYSACEILLGEVEKFLHPGMDLEERLLACLCVYNYASGKGMKKLIHFSEGVRESLRRFSNVIWMAEELHRVADFYLSNKSRISCVHTQILEASHRYSGAVTALIYYKGMLYSGYSDGSIKVWDVRKQSATLVWDTKEHKKAVTCFSLFEPGESLLSGSADKTIGVWQMVQNKLECIEVIATKEPVQKLETYGQMIFVITQGHRFKVFDSSRTVNSICKSRSVKCMRIVQGRIYAGCTDSSIQELSITSNNEREIKAPVKKWRMQSKPINSIIMYRDWLYSASSMVEGSNIREWRRNSEPQMSMVPEKGANILAMEVVEDFIYLNCSSSASSLQIWLRGTQQKVGRISAGSKITSLITANDIVLCGTESGIIKGWIPL >EOY06542 pep chromosome:Theobroma_cacao_20110822:4:32222420:32229740:1 gene:TCM_021226 transcript:EOY06542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide binding protein, putative isoform 1 MFPQAPERPTDEDVIEANIAKYFPERLIRSVKDINLSVLEIGDKKVNTLHHVEDDQSQLEPQKVQLFEHIAPTYQRSKSLIQMKQERTAAREKLDSYCWGKCSELRLSSRKDSKSELLEIIEKLVSKLCFSDGLEKSGKDYAVEVTAIYRMLNNRQGVKYAMLKDVILDQLLIAVSTSKDETVIRASVTVLTTIISANISLIEDIKGKGLQLSDLARALKRNVHEAATLIHLIKPSPAEIKTLELLPTLVEVICTSDSYRCRPPKSVLLTPPVASLMIIEVLVTAFDFATNNMHLAAINSPRVLSGLLDVARNHSLEEHISLATILVKCMQFDGQCRKYISQVIAVAPFIHLLQSNEKRAWFIALEYFHEVLQIPRSSAISQLQQIQKGGISVMNMLMTCVRQLQPDYQLLAANLLLQLDTLENSSSKSVFRKEAMQVLLQSIASEESSNSQLLSAFILSNIGGTYAWTGESYTVAWLVKKAGLTSMYHRNMIRNFDWLDQSLQDAGIDSWCSKIARSFSEFGEPAFIALQKGLRSQIKRVARDSLTTIAWLGCEISKTSDRLRYSACEILLGEVEKFLHPGMDLEERLLACLCVYNYASGKGMKKLIHFSEGVRESLRRFSNVIWMAEELHRVADFYLSNKSRISCVHTQILEASHRYSGAVTALIYYKGMLYSGYSDGSIKVWDVRKQSATLVWDTKEHKKAVTCFSLFEPGESLLSGSADKTIGVWQMVQNKLECIEVIATKEPVQKLETYGQMIFVITQGHRFKVFDSSRTVNSICKSRSVKCMRIVQGRIYAGCTDSSIQELSITSNNEREIKAPVKKWRMQSKPINSIIMYRDWLYSASSMVEGSNIREWRRNSEPQMSMVPEKGANILAMEVVEDFIYLNCSSSASSLQIWLRGTQQKVGRISAGSKITSLITANDIVLCGTESGIIKGWIPL >EOY06708 pep chromosome:Theobroma_cacao_20110822:4:32720090:32721319:-1 gene:TCM_021354 transcript:EOY06708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enzyme inhibitor-like protein MEFRTNKMLLVFLFLCIVLFTSAQAICVPRNQTVSEGGPGLSSSFSVSSKSHTQSSPASTPTSQPPPSAPPPSTAPEPVSVTPPSQPQPSPPSPSTASAPVPATPTIDSSPGLKLPEIQLPLSPLSLNLGVGAKTVIDPQILSLCGKTDHAALCLACVAPFYNGKSDLSSVVEMLIKAGTEQTKQAIAIAAKMANDPKSDPKTVAKLNDCKEIYDDALDNMQEAIDAIPLKDVGTIATMISATISDFGTCDDGFTGQPNPIPDGVSPMAKINENLMNIADIILILANMIH >EOY02830 pep chromosome:Theobroma_cacao_20110822:4:2444769:2448843:-1 gene:TCM_017232 transcript:EOY02830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MGRLKERFQAFVNNRWLVFVAAMWIQSCAGIGYLFGSISPVIKSSLNYNQRQLAKLGVAKDLGDSVGFLAGSLSEILPLWGALLVGAVQNLVGYGWVWLIVTGRVPVLPLWAMCILIFVGNNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYTMINFPDHASLIFMVAVGPAMVVIALMFIIRPVGGHRQVRPSDGLSSTFIYGVCLLLAAYLMGVLLLEDLVDVSHILVIIFTVILFVLLFIPIAIPIILSFCEESRDPAEEVLLPRPEQQEAGKSEHDANEIIFSEVEDEKPKEVDLLPASERQKRIAQLQVKLFQAAAEGAVRVKRRRGPHRGEDFTLMQALIKADFWLIFFSLLLGSGSGLTVIDNLGQMSQSLGYNNTHIFVSMISIWNFLGRVGGGYFSEIIVRDYAYPRPVAIAVAQLVMSVGHVFFAMGWPGAIYIGTLLIGLGYGAHWAIVPAAASELFGLKKFGALYNFLTLANPAGSLVFSGVIASSIYDREAEKQAQQHHIQPPVSGSIFSGMFAQDEPLKCEGSVCFFLTSMIMSGFCVIAAVLSMILVYRTKTVYAHLYGKSRT >EOY02829 pep chromosome:Theobroma_cacao_20110822:4:2444287:2448870:-1 gene:TCM_017232 transcript:EOY02829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MGRLKERFQAFVNNRWLVFVAAMWIQSCAGIGYLFGSISPVIKSSLNYNQRQLAKLGVAKDLGDSVGFLAGSLSEILPLWGALLVGAVQNLVGYGWVWLIVTGRVPVLPLWAMCILIFVGNNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYTMINFPDHASLIFMVAVGPAMVVIALMFIIRPVGGHRQVRPSDGLSSTFIYGVCLLLAAYLMGVLLLEDLVDVSHILVIIFTVILFVLLFIPIAIPIILSFCEESRDPAEEVLLPRPEQQEAGKSEHDANEIIFSEVEDEKPKEVDLLPASERQKRIAQLQVKLFQAAAEGAVRVKRRRGPHRGEDFTLMQALIKADFWLIFFSLLLGSGSGLTVIDNLGQMSQSLGYNNTHIFVSMISIWNFLGRVGGGYFSEIIVRDYAYPRPVAIAVAQLVMSVGHVFFAMGWPGAIYIGTLLIGLGYGAHWAIVPAAASELFGLKKFGALYNFLTLANPAGSLVFSGVIASSIYDREAEKQAQQHHIQPPVSGSIFSGMFAQDEPLKCEGSVCFFLTSMIMSGFCVIAAVLSMILVYRTKTVYAHLYGKSRT >EOY05138 pep chromosome:Theobroma_cacao_20110822:4:27332898:27337234:1 gene:TCM_020221 transcript:EOY05138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein family, putative MATKGLSEASSSRSKSKYEVFLSFRGEDTRKNFTDHLYATLLSAGVNTFRDDDELARGKDISSELLKVIQESKVSLLVFSKGYASSRWCLNELVNIINCKNTIGQIVIPIFYDVDPSHVRNQTGSFAKAFAKHEERFEADTEMIKSWRTALTEAADLSGWDLQNVAVGHESKFIQKIVEDVLRKVNRSYLHVATHPVAIESRVRRVMEFLSIGSDEVRIMGIYGMGGVGKTTVAKAVYNSVCDGFQFDGSSFLSDIKDNSKQPNGLASVQRQLLSDILNLKSVTIDNVDRGINLIQERLRYRRVFIVLDDVDDSTQLNSLVGDRKWFGLGSRIIVTTRDERLLTELEVDERYKVEELNPEESTQLFSWHAFRRPNPKDGYFQLSKSVVDHVQGLPLALEVLGISFDGLDDQMKAIFLDIACFFIGMDKEYVMKILDGCGFFPEIGVSVLLERSLITIDQHDHKLKMHDLLRDMGREIVREVSPYRIGKRSRLWLHQDVVNVLQTHMGTKAVEGLSLDVSARSEDVIVSTEAFAKMTFLRLLKINSVRFTSGCYEKFSKELRWLCWHACPLQVLPPNLHLDNLAVLDLRFSNFNKVWKETKFLHKLKILDLSYSLYLAETPDFARLPSLETLQLEGCRSLIKVHQSIGSLERLVCLSLAACKNIRELPDSVCNLRSLETLNLSGCSKLCSFPEHLGKLEALKNLSANGSAITQLPISFGLLRNLEDLSLAGRREELPSKSFFSFFSSWVSPKSAGSSTFLPATFSHLSSLTSLNLRNRNLSNKDISIDFGSFPLLKVLNLNGNKFCSLPVGISNHSSLTRLHLSDCKNLQSIPELPPELRQFEAKCCTSIVEYPKLSNTPRKLSQFITTNCSNRIDIEEWDLPQFSLPRSYFHWTDSRGIFKSKQKYLEACVPAREVPDWCDYKATGSSSVLICMPSLASGERRGMILWVVCGINEEYYYNGSSTSAAFTILFRNKTKGCEAFDEPVPEYDGGIDEDHAWVAYLRYFIFEDINAGEGDEIEVSFEAQGRCLVKKWGIHLPIDRVDGIC >EOY06545 pep chromosome:Theobroma_cacao_20110822:4:32230453:32235200:1 gene:TCM_021228 transcript:EOY06545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic regulatory locus 1 MPGPTLEMEPVEPQSLKKLSLKSLKRALDLFSPIHGQFAAPDAESKKIRMSHKINVEYSRIKSAPGQPSRQANSGAATAGNQGSAPSNALALTGSSDFRDSQKQGTQNALVVGPTLQPKGQNDVSTSGKSIAVVSASAPFSERLTTSAIIERIPSKWPRPVWHRPWKNYRVISGHLGWVRSVAFDPSNNWFCTGSADRTIKIWDVASGRLKLTLTGHIEQIRGLAVSNKHTYMFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKMQIFALSGHDNTVCSVFTRPTDPQVVTGSHDSTIKFWDLRYGKTMSTLTHHKKSVRAMALHPKEDCFASASADNIKKFNLPRGEFLHNMLSQQKTIINTMAVNEDGVLATGGDNGSLWFWDWKSGHNFQQAQTIVQPGSLESEAGIYALCYDVTGTRLVSCEADKTIKMWKEDETATEQTHPLNFKPPKDIRRF >EOY05463 pep chromosome:Theobroma_cacao_20110822:4:28646394:28647536:-1 gene:TCM_020457 transcript:EOY05463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7 MAEAKLLGTWVSPYTYRVKWALKLKGIAFDYVEEDLCNKSSLLLQHNPFHKKVPIFFHGGKSICESLIILEYIEEIWPQNSLLPSNPYERAMARFWIKFAEDKGPAMWMVGQGQEKAEKDSLEMLKMVEEQALGEKKFFGGDTINMVDIVFGLAHWLGGEKLLEAHKLHRLQAWLRNFKQVPVITENLPDLDEMFAYLRRQREMSPVAK >EOY04959 pep chromosome:Theobroma_cacao_20110822:4:26642367:26648685:-1 gene:TCM_020099 transcript:EOY04959 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MATPMQPQQQQQRSLAPSPNPFKKPLLRLLLITMFCSLSYILGSYSNSSTFTLPQKQDRKLPHCLQLNFTTHNVLSPPLNFEPLHKIPLPQEPIKNLSFCSANFTNYLPCHDPDRERRFSVFRFSHRERHCPESDEKVRCLIPRPKGYKKPFPWPQSRDFAWFKNVPFKRLAQYKKAQNWVRLEGDKLVFPGGGTSFPKGVKGYIEEIKKVLPLKSGKIRTVLDVGCGVASFGAFLMDFNILTLSMAPRDVHEAQVQFALERGIPAMLGVLSTNRLPFPSRSFDMAHCSRCLVRWTEYDGLYLLEIDRVLRPGGYWVLSGPPINWRFNYKGWQREPKDLENEQMSLEDLARRLCWKKIAERGPFAVWQKPTNHLHCIQKIKTWKSPQFCTTPDPDAGWYKLMDLCITPLPNVKDTHEVSGGALENWPKRLNAVPPRVKSGAKEGISIKSFNEDNQLWRRRVSYYGVILKTLSDGKYRNIMDMNAGLGGFATAMDKYPVWVMNVIPSDAKTNTLGIVYERGLIGTYMNWCEAFSTYPRTYDLVHAHGVFSMYMDKCDFVDILLEIYRILRPQGAVIIRDHVDVVMKLKGITDQMRWNGQVLHTENGPFHSEKILLVDNSED >EOY04957 pep chromosome:Theobroma_cacao_20110822:4:26624881:26632979:1 gene:TCM_020097 transcript:EOY04957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MAIAAASSFSVGPSQCHLCQVEGVYYSPLHGVNSSWVKTTFDGCRTSDLSGVSFRCRSPFFGSTQFHWWSAGHDHCLSKVSVAADYSDSVPDSSSYARNQGYHPLEELKVLKRMRETKLSAAEVARTTVEANSTALLVFPGTVHSEPHEQISWAEFHYVIDDYGDIFFEIFDDENILQDRGASNLVNALIGMDIPMHENNRVAGEYNISDIGNDDEIPFDDDYFEVMDSEMSEAPVDWGMPDTATATWVHPIYFAKCLTKAVHMEHDRKMDHPSNGVSIVGCLRPAFYDEESYLRRLFHFEDNDGYTSDWKDGETSRSSSKYGGSKSDSTLYRMEIMRMELFSIYGVQSLISLQDFQDAEPDVLVHSTSAILERFSQNGIRCNVALKALCKKKGLQIEGANLIGVDSLGIDVRIFSGVEVRTHRFPFKVRAMSETAAEKQILKLLFPRSHRKKFRTDGDGFRDPASF >EOY04956 pep chromosome:Theobroma_cacao_20110822:4:26624881:26632979:1 gene:TCM_020097 transcript:EOY04956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MAIAAASSFSVGPSQCHLCQVEGVYYSPLHGVNSSWVKTTFDGCRTSDLSGVSFRCRSPFFGSTQFHWWSAGHDHCLSKVSVAADYSDSVPDSSSYARNQGYHPLEELKVLKRMRETKLSAAEVARTTVEANSTALLVFPGTVHSEPHEQISWAEFHYVIDDYGDIFFEIFDDENILQDRGASNLVNALIGMDIPMHENNRVAGEYNISDIGNDDEIPFDDDYFEVMDSEMSEAPVDWGMPDTATATWVHPIYFAKCLTKAVHMEHDRKMDHPSNGVSIVGCLRPAFYDEESYLRRLFHFEDNDGYTSDWKDGETSRSSSKYGGSKSDSTLYRMEIMRMELFSIYGVQAFLMKRIMEERLSSCFLYLSLISLQDFQDAEPDVLVHSTSAILERFSQNGIRCNVALKALCKKKGLQIEGANLIGVDSLGIDVRIFSGVEVRTHRFPFKVRAMSETAAEKQILKLLFPRSHRKKFRTDGDGFRDPASF >EOY04584 pep chromosome:Theobroma_cacao_20110822:4:25113156:25121434:1 gene:TCM_019812 transcript:EOY04584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin F-box MNKSMKNVKANWENLPTLCLFLVLDKLDVPSNLVRFGAVCKYWHSVFNNFLDLKRGSSLNLVPMLLIPRKSNRVRQLYSLQVETKIYNIVLPMSHNKRICGSSYGWLAAVDKNMVITLLNPFKDGITINLPKIEVGPDQNTAAYQYEVHKVILSTDPLLHPDGYVVVVIYSFRRRIAFYKSKQKSWTYLDKNLTAFTDVIFYKNLAYAIGTRSLTISFDVNSFDDSLKSPRVKILMSTHKRLEDYFARVYLVESSKGDLFSIKRIVGVKDNHYSTHFTESFKVFKLILDDQSGELLEEKEVKNIHEDVVFAGYNQTLAVSILDFPEGKPNSIYFTDDCFIATGYWPTGSQLDNGFFNMKDGNMGIYYQFNPLKLEHVPSFSKLEALKMLDIRGTYTKDLPHGMKKLGKVVSLEGFHPSPLKAGPCRFSLPSDSFSSFGFSI >EOY03546 pep chromosome:Theobroma_cacao_20110822:4:17067550:17072483:-1 gene:TCM_018660 transcript:EOY03546 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1 kinase MDGSGGRGGSGVDNVLPNYKLGKTLGIGSFGKVKIAEHALTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETATDIFVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVEPMKRMTIPEIRQHPWFQAHLPRYLAVPPPDTMQQAKKIDEEILQEVVRMGFERNQLVESLRNRIQNEGTVAYYLLLDNRFRVSSGYLGAEFQETMESGFNRMHPSEPTAPAVGHRLSGYGDYQAVGLRGLERKWALGLQSRAHPREIMTEVLKALQELNVCWKKIGHYNMKCRWLPSIPGHHEGMVDNPVNNNHYFGDESTIIENDGVIKTPNVVKFEVQLYKTREEKYLLDLQRVNGPQFLFLDLCAAFLAQLRVL >EOY04220 pep chromosome:Theobroma_cacao_20110822:4:22842924:22867556:-1 gene:TCM_019487 transcript:EOY04220 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein isoform 2 MDVFIIRTLMAYQSLPDPMAYRSDHSRIIQLCTVPYRNASGCEESSCLRFLLDRRDAWLGPWIPGRDWFEDELRAFQGGKDGLMPCVWDNEISSFPQPETINKMFVNQMLLCFGIIFAAQNSGGMLSLLGMMEQCLKAGKRQPWHAASVTNICVGLLAGLKALLALRPQSLELEILNLAQAIFKGILIEGDICASQRRASSEGLGLLARLGSDIFTARMTRLLLGELNGITDSNYAGSIALSLGCIHRSAGGMALSTLVPTTVSSISLLAKSAIPGLQIWSLHGLLLTIEAAGLSFVSHVQATLGLALEILLSEEIGRVDLQQGVGRLINAIVAVLGPELASGSIFFSRCKSVIAEISSSQETATVLESVRFTQQLVLFAPHAASVHSHVQTLLLTLSSRQPMLRHLAVSTVRHLIEKDPVSIIDEQIEDNLFRMLDEETDSEIGNLIRGTIIRLLYVSCPSRPSRWISICRNMVLSMSTRATAEISKGSGNDSVSGPDGDSRLNFGDDDENMVYSSKNMFQGHAFEASNVGCNRDKHLRYRTRVFAAECLSYLPEAVGKNPAHFDLSLAMRKVANGQAYGDWLILQVQELISVAYQISTIQFENMRPIGVGLLSSVVDKFETVVDPELPGHVLLEQYQAQLISAVRTALDTSSGPILLEAGLQLATKIMTSGIISGDQVAVKRIFSLISHPLDDFKDLYYPSFAEWVSCKIKVRLLAAHASLKCYTYAFLRRHQAGVPDEYLALLPLFSRSSSILGKYWIWLLKDYCYICLRLNLKRNWNSFLDAIQARLVSSKLKPCLEEAWPVILQALALDAVPVNVVRIGNSEAAVENISVNSLVSGYSMVELESEEYQFLWSFALLVLFQGQHPAFCKQIIPLASSKAKHEEDSPSEDMNSPGLKFYEIVLPVFQFLLTQKFFSAGFLTVNICEELLQVFSYSIYMDNSWNSLAISVLSQIVHNCPEDFLGAENFTCLVVELCVGCLFRVYNCAISLDQADWEDLISPLFIATKTIMRRSEPKMQKQLNSVALAFLLIGYKFIRQASTELSLSKVTDFVKSVNSFLKKLIDDASKLGDDAIVNQRTILCTSLNEIAGLTKDCIEGICLLHNKRSDLRKLLLLKLAFSMEQIIILPKIMLEIQCLEGNKDSDPIYFSVFKFCTNCMQTILNDSNVQVQAIGLQVLKSMVQKSSTVEDNSSIIFIIGELVGDILTIIKNTLKKPMTKESVAIAGECLQVLMLLQTLSKGSECQRRFMSLLLEPILMIFSALEDDCSQEVNDIRSTALRLVSHLAQIPSSADHLKDVLLSMPKMHRQQLQGVIRASITQDHGAAQMKSMSPALEIKLPVPVEGRKEDNFLSSATQVKLKQQSEESDLPPSANPINTNNDDMEEDEEDEDDWDTFQSFPASKNTAESDSVVENVAKDPGPDENSSALEIGTVDFEQHPSAENLSNVETTNAEHSEFPADIISDGSGDRGKMELLDSLSNPVIDPHENQDREGNKELISSTDSEVREVPNNGNEKMSSDLQVVEDAKVSSVEIEDYEQRRDNPVASTEPRHSEGDEGSVNAVEDHEHQEESPDNKVDASHAQAPEGLAGNEAKEEAEGEIYQLQNKEAGEDVRERTENKSNVQERESQDNLEPPNKEADKANLESGEGIDKI >EOY04219 pep chromosome:Theobroma_cacao_20110822:4:22843391:22867371:-1 gene:TCM_019487 transcript:EOY04219 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein isoform 2 MDVFIIRTLMAYQSLPDPMAYRSDHSRIIQLCTVPYRNASGCEESSCLRFLLDRRDAWLGPWIPGRDWFEDELRAFQGGKDGLMPCVWDNEISSFPQPETINKMFVNQMLLCFGIIFAAQNSGGMLSLLGMMEQCLKAGKRQPWHAASVTNICVGLLAGLKALLALRPQSLELEILNLAQAIFKGILIEGDICASQRRASSEGLGLLARLGSDIFTARMTRLLLGELNGITDSNYAGSIALSLGCIHRSAGGMALSTLVPTTVSSISLLAKSAIPGLQIWSLHGLLLTIEAAGLSFVSHVQATLGLALEILLSEEIGRVDLQQGVGRLINAIVAVLGPELASGSIFFSRCKSVIAEISSSQETATVLESVRFTQQLVLFAPHAASVHSHVQTLLLTLSSRQPMLRHLAVSTVRHLIEKDPVSIIDEQIEDNLFRMLDEETDSEIGNLIRGTIIRLLYVSCPSRPSRWISICRNMVLSMSTRATAEISKGSGNDSVSGPDGDSRLNFGDDDENMVYSSKNMFQGHAFEASNVGCNRDKHLRYRTRVFAAECLSYLPEAVGKNPAHFDLSLAMRKVANGQAYGDWLILQVQELISVAYQISTIQFENMRPIGVGLLSSVVDKFETVVDPELPGHVLLEQYQAQLISAVRTALDTSSGPILLEAGLQLATKIMTSGIISGDQVAVKRIFSLISHPLDDFKDLYYPSFAEWVSCKIKVRLLAAHASLKCYTYAFLRRHQAGVPDEYLALLPLFSRSSSILGKYWIWLLKDYCYICLRLNLKRNWNSFLDAIQARLVSSKLKPCLEEAWPVILQALALDAVPVNVVRIGNSEAAVENISVNSLVSGYSMVELESEEYQFLWSFALLVLFQGQHPAFCKQIIPLASSKAKHEEDSPSEDMNSPGLKFYEIVLPVFQFLLTQKFFSAGFLTVNICEELLQVFSYSIYMDNSWNSLAISVLSQIVHNCPEDFLGAENFTCLVVELCVGCLFRVYNCASAISLDQADWEDLISPLFIATKTIMRRSEPKMQKQLNSVALAFLLIGYKFIRQASTELSLSKVTDFVKSVNSFLKKLIDDASKLGDDAIVNQRTILCTSLNEIAGLTKDCIEGICLLHNKRSDLRKLLLLKLAFSMEQIIILPKIMLEIQCLEGNKDSDPIYFSVFKFCTNCMQTILNDSNVQVQAIGLQVLKSMVQKSSTVEDNSSIIFIIGELVGDILTIIKNTLKKPMTKESVAIAGECLQVLMLLQTLSKGSECQRRFMSLLLEPILMIFSALEDDCSQEVNDIRSTALRLVSHLAQIPSSADHLKDVLLSMPKMHRQQLQGVIRASITQDHGAAQMKSMSPALEIKLPVPVEGRKEDNFLSSATQVKLKQQSEESDLPPSANPINTNNDDMEEDEEDEDDWDTFQSFPASKNTAESDSVVENVAKDPGPDENSSALEIGTVDFEQHPSAENLSNVETTNAEHSEFPADIISDGSGDRGKMELLDSLSNPVIDPHENQDREGNKELISSTDSEVREVPNNGNEKMSSDLQVVEDAKVSSVEIEDYEQRRDNPVASTEPRHSEGDEGSVNAVEDHEHQEESPDNKVDASHAQAPEGLAGNEAKEEAEGEIYQLQNKEAGEDVRERTENKSNVQERESQDNLEPPNKEADKANLESGEGIDKI >EOY04218 pep chromosome:Theobroma_cacao_20110822:4:22842924:22892211:-1 gene:TCM_019487 transcript:EOY04218 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein isoform 2 MARRNYVRENVPLSRFGVLVAQLESIVASASQKSPDPLLCFDLLSDLLSALDDEPKESILLWQRKCEDALYSLLILGAKRPVRHLASVAMARIISKGDSISIYSRASSLQGFLSDGKRSEPQRIAGAAQCLGELYRHFGRRITSGLLETTIIATKLMKFHEEFVRQEALLMLQNALVGSGGSAAASAYTEAFRLITRFAIGDKAFVVRIAAARCLKAFANIGGPGLGVGELDSLASNCVKALEDPITSVRDAFAEALGSLIALGMNPEAQVQPRGKGPFPPAKKLEGGLQRHLALPFTKASTIRSKDIRVGLTLSWVFFLQAIRLKYLHPDIELQNYALNVMDMLRMDMSVDAHALACVLYILRVGVTDQMTEPTQRSFTVFLGKQLQSPEASPSMKIAALRTLSYTLKTLGEVPHEFKEVLDNTVVAAVSHSAQLVRVEAALTLRALAEVDPTCVGGLISYGVTTLNALRESVSFEKGSNLKVELDSLHGQATVLAALVSISPKLPFGYPARLPKSVLEVSRKMLTEFSRNAATAMVEEEAGWLLLSSLLSAMPKEELEDQVFDILSLWADLFSGNPEDVIRQSGDLQSRIRVWSAAIDALTSFVRCFVSSNSTISGILLQPVILYLNRALSYISLLAAKEQPNIKPAMDVFIIRTLMAYQSLPDPMAYRSDHSRIIQLCTVPYRNASGCEESSCLRFLLDRRDAWLGPWIPGRDWFEDELRAFQGGKDGLMPCVWDNEISSFPQPETINKMFVNQMLLCFGIIFAAQNSGGMLSLLGMMEQCLKAGKRQPWHAASVTNICVGLLAGLKALLALRPQSLELEILNLAQAIFKGILIEGDICASQRRASSEGLGLLARLGSDIFTARMTRLLLGELNGITDSNYAGSIALSLGCIHRSAGGMALSTLVPTTVSSISLLAKSAIPGLQIWSLHGLLLTIEAAGLSFVSHVQATLGLALEILLSEEIGRVDLQQGVGRLINAIVAVLGPELASGSIFFSRCKSVIAEISSSQETATVLESVRFTQQLVLFAPHAASVHSHVQTLLLTLSSRQPMLRHLAVSTVRHLIEKDPVSIIDEQIEDNLFRMLDEETDSEIGNLIRGTIIRLLYVSCPSRPSRWISICRNMVLSMSTRATAEISKGSGNDSVSGPDGDSRLNFGDDDENMVYSSKNMFQGHAFEASNVGCNRDKHLRYRTRVFAAECLSYLPEAVGKNPAHFDLSLAMRKVANGQAYGDWLILQVQELISVAYQISTIQFENMRPIGVGLLSSVVDKFETVVDPELPGHVLLEQYQAQLISAVRTALDTSSGPILLEAGLQLATKIMTSGIISGDQVAVKRIFSLISHPLDDFKDLYYPSFAEWVSCKIKVRLLAAHASLKCYTYAFLRRHQAGVPDEYLALLPLFSRSSSILGKYWIWLLKDYCYICLRLNLKRNWNSFLDAIQARLVSSKLKPCLEEAWPVILQALALDAVPVNVVRIGNSEAAVENISVNSLVSGYSMVELESEEYQFLWSFALLVLFQGQHPAFCKQIIPLASSKAKHEEDSPSEDMNSPGLKFYEIVLPVFQFLLTQKFFSAGFLTVNICEELLQVFSYSIYMDNSWNSLAISVLSQIVHNCPEDFLGAENFTCLVVELCVGCLFRVYNCASAISLDQADWEDLISPLFIATKTIMRRSEPKKQLNSVALAFLLIGYKFIRQASTELSLSKVTDFVKSVNSFLKKLIDDASKLGDDAIVNQRTILCTSLNEIAGLTKDCIEGICLLHNKRSDLRKLLLLKLAFSMEQIIILPKIMLEIQCLEGNKDSDPIYFSVFKFCTNCMQTILNDSNVQVQAIGLQVLKSMVQKSSTVEDNSSIIFIIGELVGDILTIIKNTLKKPMTKESVAIAGECLQVLMLLQTLSKGSECQRRFMSLLLEPILMIFSALEDDCSQEVNDIRSTALRLVSHLAQIPSSADHLKDVLLSMPKMHRQQLQGVIRASITQDHGAAQMKSMSPALEIKLPVPVEGRKEDNFLSSATQVKLKQQSEESDLPPSANPINTNNDDMEEDEEDEDDWDTFQSFPASKNTAESDSVVENVAKDPGPDENSSALEIGTVDFEQHPSAENLSNVETTNAEHSEFPADIISDGSGDRGKMELLDSLSNPVIDPHENQDREGNKELISSTDSEVREVPNNGNEKMSSDLQVVEDAKVSSVEIEDYEQRRDNPVASTEPRHSEGDEGSVNAVEDHEHQEESPDNKVDASHAQAPEGLAGNEAKEEAEGEIYQLQNKEAGEDVRERTENKSNVQERESQDNLEPPNKEADKANLESGEGIDKI >EOY03753 pep chromosome:Theobroma_cacao_20110822:4:19017565:19021444:-1 gene:TCM_018919 transcript:EOY03753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein, putative MLTLVTYIISFLHLLLHIAAVENSPPYIPTDNILLDCGAQSNTTSSDGRSWEADSDHSKFSAPNRENASFVSTASQQDSSVTRIPYMTARIFRSEFTYNFPVSPGPKFLRLYFYSAEFPGLNVTTSFFSVNANNSTLLSNFSAYLTASATRPPISHFIKEYIITVWGNQMLSLTFVPSPNSYAFINGIEIVSMPSSLYMRGDDDQPTLVGYGFSFLLQNTTNLETLYRLNVGGQEIRNIEDTGMYRTWSQDEAYIDGAAVGTVQSFLNDPIKYTPKIPAYTAPVNVYATERTMSVDSHINLIYNLTWIFHVDMRFSYLVRLHFCETPEIKKSNQRVFDIFINNQTAERQIDLFKQTGGSGIPMYRDYVIFATTQQLWLALHPNMDSTPKFADAILNGIEIFKLNNSQGILAGPNPDPLASPISPEQGPRVPKSSRNKKLSTIFPILGAVLGATTLLSLLSGCFIFHRKRRAKDSISLSSEFTKPEDTSSLLPSDLCRRFSIVEIKAATHNFDEQFLIGTGGFGNVYKGFIAGGATPAAIKRLNPSSKQGVHEFQTEIAMLSQLRHFHLVSLIGYCDDQGEMILVYEYMPYGNLRDHLYNTDNPPLSWKLRLEICLGAARGLQYLHRDAKQSIIHRDVKSSNILLDENYVAKVSDFGLSKLGPTSMSQTHVSTVVKGSFGYLDPEYYLRQQLTEKSDVYSFGVVLFEALCARPPVIQNLPKQQVSLANWGRICCQRGTLDQIIDPYLTGEIAPECLKKFGEIAKSCVSDKAIERPTMSDVVWSLEFASQLQDTTEKTNDGMKKVQKSEGKEVVSTDDEIFSGSSEQVSKSRSTMCSDGYSFAIGDFSIASSNNLLSESLDSKDDNVK >EOY02772 pep chromosome:Theobroma_cacao_20110822:4:2222048:2226632:1 gene:TCM_017175 transcript:EOY02772 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MDNRWIRIGLKSTTDPVNQLDIYRCNASVIHSRQGELKKSQHRPPHAAQMSLYTLPFRPPCPIITCRVSSSPAVTLPSPPPSILPPTATATATAAAAALPSPTCALQCPHFETCSGCTHEFNLHRPIIVEEATDFFKSLGVSDFTFDSCRLWGWRCRAKLAVRGSSENPLIGLYQEGTHNVVDIPQCKAHHPNINAAVELLRQGIRELNIEPYDEDQGTGDLRYLQMAVTTYNTSLPAAERYRNGRVQVSLVWNSRNEKSSNSDKLNALANFLWRNGGPRSNLHLIHSVWANFQTSTNNIIFGNRWRHLLGERDFWEHVGGIDISLDPSSFGQANTRAFDNLLQKLHKHVPYGASVADLYAGAGVIGLSLAANKKCRSVKCIEINKESKPSFEKTIDRLPNSIEGSISWHNTDTSIDPLSWLVGSDVIVVDPPRKGLDASLIDALRTISSHKVKPSLKSSSSNIKEEKRPWILREKERAKEASIQVGRETTSEYPQSLPQTLIYISCGWESFKKDCKALLTSKSWHLEKAHGFNFFPGTQSIEVLAVFKRSLKKKKTGKKKKKQL >EOY05367 pep chromosome:Theobroma_cacao_20110822:4:28265844:28270148:-1 gene:TCM_020383 transcript:EOY05367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein isoform 1 MAGMDVSRYAHSPVHKAVAMRDYASLRRMLAALPRLGNPAEIRTEAASLAEEEKADAISALIDRRDVPNRDTPLHLAVKLGDETATEMLMVAGADWSLQNEQGWSALQEAICSREEAIAMIIVRHYQPLAWAKWCRRLPRLVGIMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSILFLGDGSEDGKVPPGSLCMISHKDKEVINALDGAGSQATEEEVRQEVVAMSQTNIFRPGIDVTRAVLLPQMTWRRQEKTEMVGAWKAKVYDMHNVVVSIKSRRVPGAMTDDEFFATSNENETESEELDELLTEDERRQLEVALKLDSSELSNENGDGIVGHRHSCYESREIPIEESNGYKNGETKQEKKGWFGGWRKRETKHEVQKKIVPPRSSLCVDEKVSDLLGDSPSGSQIKPGRHSVEIVARDDHRRIRDSRTSTSMSLEGGNRRKDSGRENEYKKGLRPILWLSPNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPAGTFPVKSSWLLLGCYPCGSN >EOY05365 pep chromosome:Theobroma_cacao_20110822:4:28264681:28270508:-1 gene:TCM_020383 transcript:EOY05365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein isoform 1 MAGMDVSRYAHSPVHKAVAMRDYASLRRMLAALPRLGNPAEIRTEAASLAEEEKADAISALIDRRDVPNRDTPLHLAVKLGDETATEMLMVAGADWSLQNEQGWSALQEAICSREEAIAMIIVRHYQPLAWAKWCRRLPRLVGIMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSILFLGDGSEDGKVPPGSLCMISHKDKEVINALDGAGSQATEEEVRQEVVAMSQTNIFRPGIDVTRAVLLPQMTWRRQEKTEMVGAWKAKVYDMHNVVVSIKSRRVPGAMTDDEFFATSNENETESEELDELLTEDERRQLEVALKLDSSELSNENGDGIVGHRHSCYESREIPIEESNGYKNGETKQEKKGWFGGWRKRETKHEVQKKIVPPRSSLCVDEKVSDLLGDSPSGSQIKPGRHSVEIVARDDHRRIRDSRTSTSMSLEGGNRRKDSGRENEYKKGLRPILWLSPNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPAGTFPVKVAIPVVPTIRVLVTFTKFEELQPVDEFSTPPSSPTAVQESPAVTNYSSSSWFQWIKAPYHRPSSSTYNYNKIENLQDPFAIPPDYTWITAEAKKKKMQEKSKSKKGKSQNH >EOY05366 pep chromosome:Theobroma_cacao_20110822:4:28264680:28271003:-1 gene:TCM_020383 transcript:EOY05366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein isoform 1 MAGMDVSRYAHSPVHKAVAMRDYASLRRMLAALPRLGNPAEIRTEAASLAEEEKADAISALIDRRDVPNRDTPLHLAVKLGDETATEMLMVAGADWSLQNEQGWSALQEAICSREEAIAMIIVRHYQPLAWAKWCRRLPRLVGIMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSILFLGDGSEDGKVPPGSLCMISHKDKEVINALDGAGSQATEEEVRQEVVAMSQTNIFRPGIDVTRAVLLPQMTWRRQEKTEMVGAWKAKVYDMHNVVVSIKSRRVPGAMTDDEFFATSNENETESEELDELLTEDERRQLEVALKLDSSELSNENGDGIVGHRHSCYESREIPIEESNGYKNGETKQEKKGWFGGWRKRETKHEVQKKIVPPRSSLCVDEKVSDLLGDSPSGSQIKPGRHSVEIVARDDHRRIRDSRTSTSMSLEGGNRRKDSGRENEYKKGLRPILWLSPNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPAGTFPVKVAIPVVPTIRVLVTFTKFEELQPVDEFSTPPSSPTAVQESPAVTNYSSSSWFQWIKAPYHRPSSSTYNYNKIENLQDPFAIPPDYTWITAEAKKKKMQEKSKSKKGKSQNH >EOY03118 pep chromosome:Theobroma_cacao_20110822:4:5208682:5223337:-1 gene:TCM_017602 transcript:EOY03118 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 LDLRTLKRLVFSFERRLKENIEARLKYPDQPERFADSEVELHEELEKLKILAGAPELYPELVNLNAIPSILNLLSHENTDIAIDVVHLLEDLTDEDVLEDNDEPARILVDSLIENNVLELLVQNLQRLSDKDPDEMSAVYNTLASIENMIEVKPAVAELVCERTKLLRWLLGKIKVREFDSNKQYASEILAILLQNSTANQKRLGQMNGVDVVLQAVAMYKSKDPKTSDEEEMLENLFDCLCCLLMPLENKERFVKAEGVELMIIIMKQKKSAYASAIRALDFSMTKYPPACERFVDVLGLKTAFAAFMGKIPMSKKNKKERYQEELEERLVSLIASLFGGILRGSRRERLLSKFVENECEKIDRLMELYIRYSDRVKAETQRLEQLELDDLEMDEEEKYNRKLESGLYTLQLITVILGHVWCSE >EOY03117 pep chromosome:Theobroma_cacao_20110822:4:5208678:5223588:-1 gene:TCM_017602 transcript:EOY03117 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MEVANPLKRKRDDVVPPDDSQVDLSILEAVEKSQNAVEVLDLRTLKRLVFSFERRLKENIEARLKYPDQPERFADSEVELHEELEKLKILAGAPELYPELVNLNAIPSILNLLSHENTDIAIDVVHLLEDLTDEDVLEDNDEPARILVDSLIENNVLELLVQNLQRLSDKDPDEMSAVYNTLASIENMIEVKPAVAELVCERTKLLRWLLGKIKVREFDSNKQYASEILAILLQNSTANQKRLGQMNGVDVVLQAVAMYKSKDPKTSDEEEMLENLFDCLCCLLMPLENKERFVKAEGVELMIIIMKQKKSAYASAIRALDFSMTKYPPACERFVDVLGLKTAFAAFMGKIPMSKKNKKERYQEELEERLVSLIASLFGGILRGSRRERLLSKFVENECEKIDRLMELYIRYSDRVKAETQRLEQLELDDLEMDEEEKYNRKLESGLYTLQQLITVILGHVWCSEHSQMRARIELLLKQQKLTKKDIKDILQEYHDNIGDLDGPEEKERAQTRIQKIISAF >EOY03116 pep chromosome:Theobroma_cacao_20110822:4:5208682:5223337:-1 gene:TCM_017602 transcript:EOY03116 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 LDLRTLKRLVFSFERRLKENIEARLKYPDQPERFADSEVELHEELEKLKILAGAPELYPELVNLNAIPSILNLLSHENTDIAIDVVHLLEDLTDEDVLEDNDEPARILVDSLIENNVLELLVQNLQRLSDKDPDEMSAVYNTLASIENMIEVKPAVAELVCERTKLLRWLLGKIKVREFDSNKQYASEILAILLQNSTANQKRLGQMNGVDVVLQAVAMYKSKDPKTSDEEEMLENLFDCLCCLLMPLENKERFVKAEGVELMIIIMKQKKSAYASAIRALDFSMTKYPPACERFVDVLGLKTAFAAFMGKIPMSKKNKKERYQEELEERLVSLIASLFGGILRGSRRERLLSKFVENECEKIDRLMELYIRYSDRVKAETQRLEQLELDDLEVIHMDEEEKYNRKLESGLYTLQLITVILGHVWCSEHSQMRARIELLLKQQKLTKKDIKDILQEYHDNIGDLDGPEEKERAQTRIQKIISAF >EOY03115 pep chromosome:Theobroma_cacao_20110822:4:5208668:5223656:-1 gene:TCM_017602 transcript:EOY03115 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MEVANPLKRKRDDVVPPDDSQVDLSILEAVEKSQNAVEVLDLRTLKRLVFSFERRLKENIEARLKYPDQPERFADSEVELHEELEKLKILAGAPELYPELVNLNAIPSILNLLSHENTDIAIDVVHLLEDLTDEDVLEDNDEPARILVDSLIENNVLELLVQNLQRLSDKDPDEMSAVYNTLASIENMIEVKPAVAELVCERTKLLRWLLGKIKVREFDSNKQYASEILAILLQNSTANQKRLGQMNGVDVVLQAVAMYKSKDPKTSDEEEMLENLFDCLCCLLMPLENKERFVKAEGVELMIIIMKQKKSAYASAIRALDFSMTKYPPACERFVDVLGLKTAFAAFMGKASVSYLSLCIPMSKKNKKERYQEELEERLVSLIASLFGKQASGILRGSRRERLLSKFVENECEKIDRLMELYIRYSDRVKAETQRLEQLELDDLEMDEEEKYNRKLESGLYTLQLITVILGHVWCSEHSQMRARIELLLKQQKLTKKDIKDILQNLRDLHHSPYAGGNQYLSFPPYFGMEYHDNIGDLDGPEEKERAQTRIQKIISAF >EOY03119 pep chromosome:Theobroma_cacao_20110822:4:5208678:5223588:-1 gene:TCM_017602 transcript:EOY03119 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MEVANPLKRKRDDVVPPDDSQVDLSILEAVEKSQNAVEVLDLRTLKRLVFSFERRLKENIEARLKYPDQPERFADSEVELHEELEKLKILAGAPELYPELVNLNAIPSILNLLSHENTDIAIDVVHLLEDLTDEDVLEDNDEPARILVDSLIENNVLELLVQNLQRLSDKDPDEMSAVYNTLASIENMIEVKPAVAELVCERTKLLRWLLGKIKVREFDSNKQYASEILAILLQNSTANQKRLGQMNGVDVVLQAVAMYKSKDPKTSDEEEMLENLFDCLCCLLMPLENKERFVKAEGVELMIIIMKQKKSAYASAIRALDFSMTKYPPACERFVDVLGLKTAFAAFMGKIPMSKKNKKERYQEELEERLVSLIASLFGGILRGSRRERLLSKFVENECEKIDRLMELYIRYSDRVKAETQRLEQLELDDLEVIHVRYFSSLHSTLR >EOY03314 pep chromosome:Theobroma_cacao_20110822:4:12179530:12182633:1 gene:TCM_018194 transcript:EOY03314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKLAKMGNTNQENPQTEVDVVETQMEEQNEHSHKDWVIDTKQFIINNAGRPSSRRCCIYRAHVLRDVDKAAFTPKIVSIGPLHHFQEHLREEVDTERANDFPCLGNFLNILKSLENDIRSSYAENLLPTYFLIFIRIDLWLLENQLPFFVLRELYDLAFGSCSDIYTSFLELAYKFFKLYNEQQKSITGEVNHFTDLLRAFFLPSFKDVENSGSGGKLEENEQNCDEHEFDFSYEVLREHLPSATQLHAAGVKFRASESKCLLDIKFADGILEIPSLHIWDETADRFRNLIAQEQYHYPHEQFISDYFTIMDYLLDTSKDIDLLVEKKIIKHWLGSSGEVANLFNSLCTNIIKGRINRRFFRLIVELNKYHNQPWHSWKATLYRQYFSTPWRSASTVAATILLVLTLAQTILTGFTL >EOY06847 pep chromosome:Theobroma_cacao_20110822:4:33140099:33142047:-1 gene:TCM_021449 transcript:EOY06847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHALEPLDGRKRVRKVVLAAAAAAAAAAAALYLRVPTQAIHMQQSGPDGKLNVVRRFKMDLFSVKWRDEEAARVAWEFTGCSFCVSRFIVRGCKWETSVDALVVGWKSSAAILCPFPCSVSTVESSKKRASKKLESFRKSVTAAYSVERNKTQTLKYLLRSMSKENKKPPVAVNNQSFVISTERKPGANRVKRV >EOY02823 pep chromosome:Theobroma_cacao_20110822:4:2422188:2423540:1 gene:TCM_017226 transcript:EOY02823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein large subunit 27 MATATMSFNLVGAFRGLSLSSSSTSSFLKGELGSIPKTATASFPRKSPFPLTIESAHKKGAGSTKNGRDSRGQRLGVKIFGDQAAKPGAIIVRQRGTKFHPGKNVGLGKDHTIFSLIDGLVKFEKFGPDRKKVSVYPRVVQPENPNSYRARKREYFRMRRERRKARKEGSPAEPELVLASAADATDSNPVC >EOY05013 pep chromosome:Theobroma_cacao_20110822:4:26843922:26846055:-1 gene:TCM_020131 transcript:EOY05013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MPLVQASNILTNFGGTASFTPLIGALIADSFAGRFWTIIGLVSITISAVLPSLRPPPCPTQENCQAASTFQLWILYISLLLTSLGTGGIRPCVVTFAADQFDMDKSSAARSGKWNFFNWYYFSMGIATLTALTVVVYVQDNVGWGWGLGIPTIAMAISIIAFLIGSTLYRKLKPGGSPLIRLAQVIVAAVKKRKEIAPEDPKLLYQNKELDAAISVHGRLVHSDQYKWFDRAAIVTESEATNSNPPSLWRLATVHRVEELKTIIRMLPIWAAGILLVTSSSHQHSFTIQQARTMDRHLSHSFEIPPASLSIFGILTMLSGLVLYERLFVPFAKRFTGNPSGVTCLQRMGIGFTVNILATIVASFVEMKRKAVAANHHLLDDPNAIIPIRVFWLVPQFCLHGIAEVFMSVGHLEFLYDQSPESMRSTAAALYWIATSVGNYIGTLLVSLVHEYTGEKNNWLPDRNLNRGRLEYYYWLVSGIQVVNLVYYIICAWLYTYKPLEEVKEEGGEFHENKISQNGSELARNETA >EOY05012 pep chromosome:Theobroma_cacao_20110822:4:26843812:26846506:-1 gene:TCM_020131 transcript:EOY05012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MDNMKTGMDLASQKKAEEDGKEEEKSKSKKLGGIRTMPFILANEICDRFAASGFHSNMITYLTRELNMPLVQASNILTNFGGTASFTPLIGALIADSFAGRFWTIIVGSVIYELGLVSITISAVLPSLRPPPCPTQENCQAASTFQLWILYISLLLTSLGTGGIRPCVVTFAADQFDMDKSSAARSGKWNFFNWYYFSMGIATLTALTVVVYVQDNVGWGWGLGIPTIAMAISIIAFLIGSTLYRKLKPGGSPLIRLAQVIVAAVKKRKEIAPEDPKLLYQNKELDAAISVHGRLVHSDQYKWFDRAAIVTESEATNSNPPSLWRLATVHRVEELKTIIRMLPIWAAGILLVTSSSHQHSFTIQQARTMDRHLSHSFEIPPASLSIFGILTMLSGLVLYERLFVPFAKRFTGNPSGVTCLQRMGIGFTVNILATIVASFVEMKRKAVAANHHLLDDPNAIIPIRVFWLVPQFCLHGIAEVFMSVGHLEFLYDQSPESMRSTAAALYWIATSVGNYIGTLLVSLVHEYTGEKNNWLPDRNLNRGRLEYYYWLVSGIQVVNLVYYIICAWLYTYKPLEEVKEEGGEFHENKISQNGSELARNETA >EOY03278 pep chromosome:Theobroma_cacao_20110822:4:10881431:10882384:-1 gene:TCM_018104 transcript:EOY03278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYAWLSQQMIMRLRPLDSPMLANIVETAIACRIRWSEASGGYYVVSGPRILFDFLLETKISFDFQTLIPCIVKMKLCDFLQLPLVLLLYEFYPCVRCDLRTVYKPGYYLVSQELTQLY >EOY04137 pep chromosome:Theobroma_cacao_20110822:4:22217085:22220034:1 gene:TCM_019392 transcript:EOY04137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHYRRFLPSLFPLPFFLVLLVIVRIPQSLGNPDGYSACRDPRFECGGISVGYPFSGDGIPTGCGHPGLQLHCEESIATIEILDVRYQVLRIGEDNQTPQIARKDFMTNFCHPQFESSAFDSTLFNIFPGYTNVALFYDCTSAIPYNIGSYDCNGSHKNVSIIP >EOY06462 pep chromosome:Theobroma_cacao_20110822:4:31989981:31991789:-1 gene:TCM_021173 transcript:EOY06462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative isoform 1 MFCSISKTLLHGRDKITAPQTMKLFCTLRKNPSILSLRLFSTTSNQQQSFTVSYLINTCGLSPESALHASKFVNFETPDKPDSVLAFFKKHGFSKTQIATISKRRPALLLYDVEKILLPKVEFFYSKGASRSDLATFVSKYPTILAISLEKQIIPSFNLLRNMLLSDENVINAIKLYPRIFSYDFNAYILPNINVLRDTGVPEYNIVKLLHWLPKAFFRAPVKVKENAEKLKGMGFNPERVIYLVAVYALGSLSKSTLEKKFDTFKKCGWSEEEVLEAFRRYPLIMTISEDKIMAVMDFLMSKMRFEVSSLAKYPRILAMSLEKRLVPRGLFAQDLLSKGLLEEKIRLPKFFESSKELFLNRFVYAYGEKAPELLKLYEEKFNLALGGKGKIQKS >EOY06461 pep chromosome:Theobroma_cacao_20110822:4:31990556:31991743:-1 gene:TCM_021173 transcript:EOY06461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative isoform 1 MFCSISKTLLHGRDKITAPQTMKLFCTLRKNPSILSLRLFSTTSNQQQSFTVSYLINTCGLSPESALHASKFVNFETPDKPDSVLAFFKKHGFSKTQIATISKRRPALLLYDVEKILLPKVEFFYSKGASRSDLATFVSKYPTILAISLEKQIIPSFNLLRNMLLSDENVINAIKLYPRIFSYDFNAYILPNINVLRDTGVPEYNIVKLLHWLPKAFFRAPVKVKENAEKLKGMGFNPERVIYLVAVYALGSLSKSTLEKKFDTFKKCGWSEEEVLEAFRRYPLIMTISEDKIMAVMDFLMSKMRFEVSSLAKYPRILAMSLEKRLVPRGLFAQDLLSKGLLEEKIRLPKFFESSKELFLNRFVYAYGEKAPELLKLYEEKFNLALGGKGKIQKS >EOY04450 pep chromosome:Theobroma_cacao_20110822:4:24347872:24350736:-1 gene:TCM_019695 transcript:EOY04450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane proteins 14C, putative MLSIKSPNPSCALEPLKGLIRATASASGSSSPMALCMSPSLRLTPPSCRVSLAAPKGLALGFHSFDRRISFNQSLVAFAAASHEESKHSEIEVEREKDEVKLASEEESNEAWKQALEAFKKQALKMQSVSQEAYEIYSKKALITLKETSEQLKIQAEKAKNDLSEIAKEISEEGKVYLSTAAERSPEEVKEIVETYSSTADDLNDVSKILDFHVGIPYGFILSVWGFLSFMLTGSISAIRFGVILGGVLLALSVASLKSYKKGESSALATKGQSVIASIIFLRELSMLVRRLTLGTFLTTLVSGAVVAFYIYKLLPNDKPGLEPQTED >EOY05065 pep chromosome:Theobroma_cacao_20110822:4:27062984:27070461:-1 gene:TCM_020169 transcript:EOY05065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall associated kinase-like 6, putative MGIHSVLYSVLLFWLIQAGASQDGRGCVETCGKIIIPYPFGIKPGCYTNTWFRVTCNETVNGQKPFINRINLELLGSYSTRNAIVNGPVTYVNCGNKDNKGAATSVNLTGTPFFFPRRFNMLGSLGCGNLATFSNQTDPIGGCIQPRCGDVASKVGCYALFSENLTSYTANMTRFYPANKDSNRCSSVFMFDWGMLDLDLALPHEINIDTTHVPATLEWNPVKCDLEATLCQEPSAVPHKPNCTKKCGNVEITYPFGMEASCYMNDWFRVTCNETTGGPKPFISRINLPLLSVSFFEGSVLVNNSVTYFNCRNKENSGVSVNLTGSPFFFSNIKNRFGATGCGSLAAMFLNSGTDVYTVGGCLLPRCSNTMTSNGGCLMLIPPRLRSFVPKMKEIYPSNGSNRSCGSAFMIDLSLLDSYGTSFRDPHEISSMTQVPTTLQWATPKLGLCELREGSSISCSPDGQYCWTALSSEHLCVCSNTDFNIEDNYSMDGCGGCSTSIGTLFLLLSTWRMYKVLKRRKNIKLKQKYFKRNGGLLLQQQLTSNDGNVENIRLFTSKELEKATDYYNENRILGHGGQGIVYKGMLTDGSIVAIKKSKMVEKEKLDEMKLQQFINEVIILSRINHRNVVKLLGCCLEIEVPLLVYEFVSNGTLSQLIHDKNEEFPLTWEMRLRIATEIANALSYLHSAASVPIYHRDIKSSNILLDDKYRAKVSDFGISKSIALDQTHLTTRVQGTFGYLDPEYFRSSQFTEKSDVYSFGVVLVELLTGQKPVSSTQSEEVRSLVPFFLLSIKENSVFDILDPQVMNDGPQEEIIAVAKLAKRCLNLNGQKRPTMKQVATELERIKVSEDANVIQQSDAEDSDIDDTIEPWVVASCSTNLPSNA >EOY05943 pep chromosome:Theobroma_cacao_20110822:4:30317664:30318966:-1 gene:TCM_020806 transcript:EOY05943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLLNIALVALVLLLLLQVHASSASRLLHQETKLGSKGLGLQSLQKGPVPPSQGSSCTNIPAGTGEVDCPLKEKHYAGGALPRATAYPRLMVQFAVATDQK >EOY04628 pep chromosome:Theobroma_cacao_20110822:4:25273063:25288966:-1 gene:TCM_019841 transcript:EOY04628 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI domain-containing protein / WW domain-containing protein isoform 1 MESPVGGSRSTSVVVVTSDIGEVYIVVSLSTRIDTQVIYVDPTTGVLCYQGKFGFDVFRSENEALDYVTSGLPWQRKSKIHARAILGYAALGSYGLLLVATKLAASIPYLPGGGCVFTVTESQWIKIPLQNPQPQGKGELKNVQELVELDIDGKHYFCETRDVTRPFPSRMPLLSPDDEFVWNGWLSTPFKNIGLTRHCVILLQGFAECRSFGSSGQVEGIVALLARRSRLHPGTRYLARGINSCFSTGNEVECEQLVWVPKRAGQSVPFNTYIWRRGTIPIWWGAELKITAAEAEIYVSDQDPYKGSLQYYQRLSKRYDARNLDVGIGENEKKKAFVPIVCVNLLRNGEGKSECILVQHFVESLNHIRSTGKLPHTRIHLINYDWHACIKLQGEQQTIEELWKLLNTPTLAIGISEGDYLPSRQRLKDCRGEIIYTGDFEGAFCLRSHQNGVLRFNCADSLDRTNAASYFGALQVFVEQCRRLGISLDSDLAYGYQSINNNGGYTAPLPPGWEKRSDAVTGKTYYIDHNTRTTTWNHPCPDKPWKRFDMTFEEFKRSTILSPVSQLADLFLLAGDIHATLYTGSKAMHSQILSIFNEEPGKFKQFSAAQNMKITLQRRYKNALVDSSRQKQLEMFLGIRLFKHLPSVSVQPLHVLSRPPGFLLKPVGSMFRTSNGGASLLSFKKKDLIWVCPQAADVVELFIYLGEPCHVCQLLLTVSHGADDSTFPSTVDVRTGRNLDGLKLVVEGAFIPQCGNGTNLLIPLPGPISAEDMAVTGAGARLHDQVTSPISLLYDFEELEGELDFLTRVVALTFYPATSGSPMTLGEVEILGVSLPWNGVFANEGHGARLTEVAKKFQKETNPFVSGSDTNPFSCTSLSSETMSTSAKQGSANDWVDLLTGGDVFSESASQPVTANAAYDRGDLLDFLDQAVVDYHAPEIDHKSSTSKDGRPQESGAQKYINCLKSLAGPHLERKLDFLEAMKLEIERFQLNLSAAERDRALLSIGTDPATVNPNLLLDELYMGRLCRVASTLASLGQAALEDKINGAIGLQRIEDSVIDFWNISRIGESCSGGMCEVRAETKATVSASSMGSSTEGSKSVFLCSQCERKACRVCCAGRGALLLPNYTREATNYNGLSSQGGSSHGSQVDLSTNRSVTLDSVICKQCCHEIILDALSLDYVRVLISSRRRAHADSAAYTALDEVIGSSFLDGLSDRSQSSDNQRAVKVLKQLLAGQESLAEFPSASFLHSVETATDSAPFLSLLTPLDSGSRHSYWKAPPNTTSAEFVIVLGTPSDVSGVILLVSPYGYSEADAPTVQIWASNKIDREERSCVGKWDVQSLITSSPEFYGPERSAREDKLPRHIKFAFKNSVRCRIVWITLRLQRPGSSSVNFDKDFNFLSLDENPFAQETRRASFGGAIESDPCLHAKRIVIAGSPVRNDMGLTLLQSTDQMNYKNWLDRAPQLNRFKVPIEVERLMNNDLVLEQYLPPSSPLLAGFRLDAFNAIKPRITHSPSSDVDIWDTSITYLEDRQISPAVLYIQVSALQEGYNMVSVAEYRLPEAKPGTAMYFDFPSQLQTRRISFKLLGDVAAFTDDPAEQDDSSFRAPAVAAGLSLSNRIKLYYYADPNDLGKWASLSAV >EOY04629 pep chromosome:Theobroma_cacao_20110822:4:25273063:25288401:-1 gene:TCM_019841 transcript:EOY04629 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI domain-containing protein / WW domain-containing protein isoform 1 MESPVGGSRSTSVVVVTSDIGEVYIVVSLSTRIDTQVIYVDPTTGVLCYQGKFGFDVFRSENEALDYVTSGLPWQRKSKIHARAILGYAALGSYGLLLVATKLAASIPYLPGGGCVFTVTESQWIKIPLQNPQPQGKGELKNVQELVELDIDGKHYFCETRDVTRPFPSRMPLLSPDDEFVWNGWLSTPFKNIGLTRHCVILLQGFAECRSFGSSGQVEGIVALLARRSRLHPGTRYLARGINSCFSTGNEVECEQLVWVPKRAGQSVPFNTYIWRRGTIPIWWGAELKITAAEAEIYVSDQDPYKGSLQYYQRLSKRYDARNLDVGIGENEKKKAFVPIVCVNLLRNGEGKSECILVQHFVESLNHIRSTGKLPHTRIHLINYDWHACIKLQGEQQTIEELWKLLNTPTLAIGISEGDYLPSRQRLKDCRGEIIYTGDFEGAFCLRSHQNGVLRFNCADSLDRTNAASYFGALQVFVEQCRRLGISLDSDLAYGYQSINNNGGYTAPLPPGWEKRSDAVTGKTYYIDHNTRTTTWNHPCPDKPWKRFDMTFEEFKRSTILSPVSQLADLFLLAGDIHATLYTGSKAMHSQILSIFNEEPGKFKQFSAAQNMKITLQRRYKNALVDSSRQKQLEMFLGIRLFKHLPSVSVQPLHVLSRPPGFLLKPVGSMFRTSNGGASLLSFKKKDLIWVCPQAADVVELFIYLGEPCHVCQLLLTVSHGADDSTFPSTVDVRTGRNLDGLKLVVEGAFIPQCGNGTNLLIPLPGPISAEDMAVTGAGARLHDQVTSPISLLYDFEELEGELDFLTRVVALTFYPATSGSPMTLGEVEILGVSLPWNGVFANEGHGARLTEVAKKFQKETNPFVSGSDTNPFSCTSLSSETMSTSAKQGSANDWVDLLTGGDVFSESASQPVTANAAYDRGDLLDFLDQAVVDYHAPEIDHKSSTSKDGRPQESGAQKYINCLKSLAGPHLERKLDFLEAMKLEIERFQLNLSAAERDRALLSIGTDPATVNPNLLLDELYMGRLCRVASTLASLGQAALEDKINGAIGLQRIEDSVIDFWNISRIGESCSGGMCEVRAETKATVSASSMGSSTEGSKSVFLCSQCERKACRVCCAGRGALLLPNYTREATNYNGLSSQGGSSHGSQVDLSTNRSVTLDSVICKQCCHEIILDALSLDYVRVLISSRRRAHADSAAYTALDEVIGSSFLDGLSDRSQSSDNQRAVKVLKQLLAGQESLAEFPSASFLHSVETATDSAPFLSLLTPLDSGSRHSYWKAPPNTTSAEFVIVLGTPSDVSGVILLVSPYGYSEADAPTVQIWASNKIDREERSCVGKWDVQSLITSSPEFYGPERSAREDKLPRHIKFAFKNSVRCRIVWITLRLQRPGSSSVNFDKDFNFLSLDENPFAQETRRASFGGAIESDPCLHAKRIVIAGSPVRNDMGLTLLQSTDQMNYKNWLDRAPQLNRFKVPIEVERLMNNDLVLEQYLPPSSPLLAGFRLDAFNAIKPRITHSPSSDVDIWDTSITYLEDRQISPAVLYIQVSALQCWLLSQIFSQKILPIGMLFRITFYLYLPLLSWKDTTWCLLRNTDCQRPNQEQLCTLISLANYKLAESLSNFLEMLQRSRTTQRSRMIRVLELQLLLQACLCQIESSCITMLILTILGSGLAFLRFDISLTYKRTALKVDFVSLCSFSYSYNFFFVVV >EOY04631 pep chromosome:Theobroma_cacao_20110822:4:25274351:25288341:-1 gene:TCM_019841 transcript:EOY04631 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI domain-containing protein / WW domain-containing protein isoform 1 MESPVGGSRSTSVVVVTSDIGEVYIVVSLSTRIDTQVIYVDPTTGVLCYQGKFGFDVFRSENEALDYVTSGLPWQRKSKIHARAILGYAALGSYGLLLVATKLAASIPYLPGGGCVFTVTESQWIKIPLQNPQPQGKGELKNVQELVELDIDGKHYFCETRDVTRPFPSRMPLLSPDDEFVWNGWLSTPFKNIGLTRHCVILLQGFAECRSFGSSGQVEGIVALLARRSRLHPGTRYLARGINSCFSTGNEVECEQLVWVPKRAGQSVPFNTYIWRRGTIPIWWGAELKITAAEAEIYVSDQDPYKGSLQYYQRLSKRYDARNLDVGIGENEKKKAFVPIVCVNLLRNGEGKSECILVQHFVESLNHIRSTGKLPHTRIHLINYDWHACIKLQGEQQTIEELWKLLNTPTLAIGISEGDYLPSRQRLKDCRGEIIYTGDFEGAFCLRSHQNGVLRFNCADSLDRTNAASYFGALQVFVEQCRRLGISLDSDLAYGYQSINNNGGYTAPLPPGWEKRSDAVTGKTYYIDHNTRTTTWNHPCPDKPWKRFDMTFEEFKRSTILSPVSQLADLFLLAGDIHATLYTGSKAMHSQILSIFNEEPGKFKQFSAAQNMKITLQRRYKNALVDSSRQKQLEMFLGIRLFKHLPSVSVQPLHVLSRPPGFLLKPVGSMFRTSNGGASLLSFKKKDLIWVCPQAADVVELFIYLGEPCHVCQLLLTVSHGADDSTFPSTVDVRTGRNLDGLKLVVEGAFIPQCGNGTNLLIPLPGPISAEDMAVTGAGARLHDQVTSPISLLYDFEELEGELDFLTRVVALTFYPATSGSPMTLGEVEILGVSLPWNGVFANEGHGARLTEVAKKFQKETNPFVSGSDTNPFSCTSLSSETMSTSAKQGSANDWVDLLTGGDVFSESASQPVTANAAYDRGDLLDFLDQAVVDYHAPEIDHKSSTSKDGRPQESGAQKYINCLKSLAGPHLERKLDFLEAMKLEIERFQLNLSAAERDRALLSIGTDPATVNPNLLLDELYMGRLCRVASTLASLGQAALEDKINGAIGLQRIEDSVIDFWNISRIGESCSGGMCEVRAETKATVSASSMGSSTEGSKSVFLCSQCERKACRVCCAGRGALLLPNYTREATNYNGLSSQGGSSHGSQVDLSTNRSVTLDSVICKQCCHEIILDALSLDYVRVLISSRRRAHADSAAYTALDEVIGSSFLDGLSDRSQSSDNQRAVKVLKQLLAGQESLAEFPSASFLHSVETATDSAPFLSLLTPLDSGSRHSYWKAPPNTTSAEFVIVLGTPSDVSGVILLVSPYGYSEADAPTVQIWASNKIDREERSCVGKWDVQSLITSSPEFYGPERSAREDKLPRHIKFAFKNSVRCRIVWITLRLQRPGSSSVNFDKDFNFLSLDENPFAQETRRASFGGAIESDPCLHAKRIVIAGSPVRNDMGLTLLQSTDQMNYKNWLDRAPQLNRFKVPIEVERLMNNDLVLEQYLPPSSPLLAGFRLDAFNAIKPRITHSPSSDVDIWDTSITYLEDRQISPAVLYIQVSALQVSVRPAFMVLLVNFPWVIYAGGSIIRWDIILVVLNPHFRFERDGNMGSWRERKGDTRA >EOY04630 pep chromosome:Theobroma_cacao_20110822:4:25273823:25288966:-1 gene:TCM_019841 transcript:EOY04630 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI domain-containing protein / WW domain-containing protein isoform 1 MESPVGGSRSTSVVVVTSDIGEVYIVVSLSTRIDTQVIYVDPTTGVLCYQGKFGFDVFRSENEALDYVTSGLPWQRKSKIHARAILGYAALGSYGLLLVATKLAASIPYLPGGGCVFTVTESQWIKIPLQNPQPQGKGELKNVQELVELDIDGKHYFCETRDVTRPFPSRMPLLSPDDEFVWNGWLSTPFKNIGLTRHCVILLQGFAECRSFGSSGQVEGIVALLARRSRLHPGTRYLARGINSCFSTGNEVECEQLVWVPKRAGQSVPFNTYIWRRGTIPIWWGAELKITAAEAEIYVSDQDPYKGSLQYYQRLSKRYDARNLDVGIGENEKKKAFVPIVCVNLLRNGEGKSECILVQHFVESLNHIRSTGKLPHTRIHLINYDWHACIKLQGEQQTIEELWKLLNTPTLAIGISEGDYLPSRQRLKDCRGEIIYTGDFEGAFCLRSHQNGVLRFNCADSLDRTNAASYFGALQVFVEQCRRLGISLDSDLAYGYQSINNNGGYTAPLPPGWEKRSDAVTGKTYYIDHNTRTTTWNHPCPDKPWKRFDMTFEEFKRSTILSPVSQLADLFLLAGDIHATLYTGSKAMHSQILSIFNEEPGKFKQFSAAQNMKITLQRRYKNALVDSSRQKQLEMFLGIRLFKHLPSVSVQPLHVLSRPPGFLLKPVGSMFRTSNGGASLLSFKKKDLIWVCPQAADVVELFIYLGEPCHVCQLLLTVSHGADDSTFPSTVDVRTGRNLDGLKLVVEGAFIPQCGNGTNLLIPLPGPISAEDMAVTGAGARLHDQVTSPISLLYDFEELEGELDFLTRVVALTFYPATSGSPMTLGEVEILGVSLPWNGVFANEGHGARLTEVAKKFQKETNPFVSGSDTNPFSCTSLSSETMSTSAKQGSANDWVDLLTGGDVFSESASQPVTANAAYDRGDLLDFLDQAVVDYHAPEIDHKSSTSKDGRPQESGAQKYINCLKSLAGPHLERKLDFLEAMKLEIERFQLNLSAAERDRALLSIGTDPATVNPNLLLDELYMGRLCRVASTLASLGQAALEDKINGAIGLQRIEDSVIDFWNISRIGESCSGGMCEVRAETKATVSASSMGSSTEGSKSVFLCSQCERKACRVCCAGRGALLLPNYTREATNYNGLSSQGGSSHGSQVDLSTNRSVTLDSVICKQCCHEIILDALSLDYVRVLISSRRRAHADSAAYTALDEVIGSSFLDGLSDRSQSSDNQRAVKVLKQLLAGQESLAEFPSASFLHSVETATDSAPFLSLLTPLDSGSRHSYWKAPPNTTSAEFVIVLGTPSDVSGVILLVSPYGYSEADAPTVQIWASNKIDREERSCVGKWDVQSLITSSPEFYGPERSAREDKLPRHIKFAFKNSVRCRIVWITLRLQRPGSSSVNFDKDFNFLSLDENPFAQETRRASFGGAIESDPCLHAKRIVIAGSPVRNDMGLTLLQSTDQMNYKNWLDRAPQLNRFKVPIEVERLMNNDLVLEQYLPPSSPLLAGFRLDAFNAIKPRITHSPSSDVDIWDTSITYLEDRQISPAVLYIQVSALQI >EOY05005 pep chromosome:Theobroma_cacao_20110822:4:26819541:26831651:1 gene:TCM_020129 transcript:EOY05005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDTSNVCPTEDAIQAFLDYLVEPMLPSKYSIWETPTLDKQQAVAKQVRAVVLLYNYYHRKRHLHLEYLGFEPFCKLALVAKPNLKSYMNLMLSSDDTELSDLGKQISLTEKAIKDACDISTSLDASREVPSTKGWPVSKVAIFLIDLRKENCALQNGSIAEGVWSVIEKDVPVFCCSSDGSTEAKHMNKNPTEKKHMNKRKRTPNKPLQDELGADESCFQQVAFSAVKEATNNGISQSDLTIIESHIVYSLSKEKTATRFYIMQCVHAEKDCSLWIPIKDVINSLQGPLVKKNSSHWMHSSAVEYFHLLPFVRIISQWFLSSQDQESVLEVVNEYGPEMTEKPCEPEACNNRNRNMISGGVVEALSNSTNAESENQNEKNELCTDGILDAIDGPWNMDVNDNFVVYSEQTLTCKNLAEKVQHDAQLKMNSFAESDSDGATNVAKFEVVDSIFQSICHSRKAACKYMPSCQDGMPTGNHAPVIHESNSEYSAKLQNIIASKEQILSETAWRVLHRKRDKLVRQLRNIGDEIAQCDKQIQTILNGGEDDLELKIDLIIEGCNDVCLRSASQGRTSHDYEDQCSTHYIKRNRLSEEALSTQNPCQELDGICNKNNWMLPTYHVFPSDGGYQAKVTVKGVNIESSSVGDACPKPSEARGSAAAEMLAKLNSMLTPAP >EOY05006 pep chromosome:Theobroma_cacao_20110822:4:26820328:26831572:1 gene:TCM_020129 transcript:EOY05006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDTSNVCPTEDAIQAFLDYLVEPMLPSKYSIWETPTLDKQQAVAKQVRAVVLLYNYYHRKRHLHLEYLGFEPFCKLALVAKPNLKSYMNLMLSSDDTELSDLGKQISLTEKAIKDACDISTSLDASREVPSTKGWPVSKVAIFLIDLRKENCALQNGSIAEGVWSVIEKDVPVFCCSSDGSTEAKHMNKNPTEKKHMNKRKRTPNKPLQDELGADESCFQQVAFSAVKEATNNGISQSDLTIIESHIVYSLSKEKTATRFYIMQCVHAEKDCSLWIPIKDVINSLQGPLVKKNSSHWMHSSAVEYFHLLPFVRIISQWFLSSQDQESVLEVVNEYGPEMTEKPCEPEACNNRNRNMISGGVVEALSNSTNAESENQNEKNELCTDGILDAIDGPWNMDVNDNFVVYSEQTLTCKNLAEKVQHDAQLKMNSFAESDSDGATNVAKFEVVDSIFQSICHSRKAACKYMPSCQDGMPTGNHAPVIHESNSEYSAKLQNIIASKEQILSETAWRVLHRKRDKLVRQLRNIGDEIAQCDKQIQTILNGVGKMIWN >EOY05008 pep chromosome:Theobroma_cacao_20110822:4:26820011:26831131:1 gene:TCM_020129 transcript:EOY05008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDTSNVCPTEDAIQAFLDYLVEPMLPSKYSIWETPTLDKQQAVAKQVRAVVLLYNYYHRKRHLHLEYLGFEPFCKLALVAKPNLKSYMNLMLSSDDTELSDLGKQISLTEKAIKDACDISTSLDASREVPSTKGWPVSKVAIFLIDLRKENCALQNGSIAEGVWSVIEKDVPVFCCSSDGSTEAKHMNKNPTEKKHMNKRKRTPNKPLQDELGADESCFQQVAFSAVKEATNNGISQSDLTIIESHIVYSLSKEKTATRFYIMQCVHAEKDCSLWIPIKDVINSLQGPLVKKNSSHWMHSSAVEYFHLLPFVRIISQWFLSSQDQESVLEVVNEYGPEMTEKPCEPEACNNRNRNMISGGVVEALSNSTNAESENQNEKNELCTDGILDAIDGPWNMDVNDNFVVYSEQTLTCKNLAEKVQHDAQLKMNSFAESDSDGATNVAKGSSATQYWR >EOY05007 pep chromosome:Theobroma_cacao_20110822:4:26820011:26831583:1 gene:TCM_020129 transcript:EOY05007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDTSNVCPTEDAIQAFLDYLVEPMLPSKYSIWETPTLDKQQAVAKQVRAVVLLYNYYHRKRHLHLEYLGFEPFCKLALVAKPNLKSYMNLMLSSDDTELSDLGKQISLTEKAIKDACDISTSLDASREVPSTKGWPVSKVAIFLIDLRKENCALQNGSIAEGVWSVIEKDVPVFCCSSDGSTEAKHMNKNPTEKKHMNKRKRTPNKPLQDELGADESCFQQVAFSAVKEATNNGISQSDLTIIESHIVYSLSKEKTATRFYIMQCVHAEKDCSLWIPIKDVINSLQGPLVKKNSSHWMHSSAVEYFHLLPFVRIISQWFLSSQDQESVLEVVNEYGPEMTEKPCEPEACNNRNRNMISGGVVEALSNSTNAESENQNEKNELCTDGILDAIDGPWNMDVNDNFVVYSEQTLTCKNLAEKVQHDAQLKMNSFAESDSDGATNVAKGSSATQYWR >EOY02926 pep chromosome:Theobroma_cacao_20110822:4:3044449:3045798:-1 gene:TCM_017328 transcript:EOY02926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAFGENDLFNVSDGFTPPLESAESSSKRKRPEGSDQGVGQPDGCNKRMIRLRLSYSSVPSLDHPESISRNETKDSPSPALMFCEKVRKVTNSEQYLVFLRCLHYYGTGKITEGDMMKMMTEEFPEFEQDFRQVLEFYESLTQPSLQAEDKGKKHIKSSTTQTQLDELTPSYRVLPKNLSANQISSGSEPDDLEVLNNCCYSKGLFNSGKVGAKDPCEEMLNECEDELYERDMVVEWLRSTKERAAKLLEAISEGKIKETNPDVVDSYFTRYNLRFIERVYGDIHGPTMADELHQAAEIVLPFIIKRLDQIQVRSSFSYQSNCRNL >EOY04416 pep chromosome:Theobroma_cacao_20110822:4:24258329:24264200:1 gene:TCM_019676 transcript:EOY04416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin H-type 9, H9,TH9 MGQCFTKAQSDQADSDQHVEFVGGNVCPVTSKEDWDQKLSEAKRDGKIVIANFSAAWCGPCRMLAPFFCELSEKYPSLMFLLIDVDELTDFSTSWDIKATPTFFFLKNGQQIDKLVGANKPELQKKITAILDSAANSGQ >EOY06475 pep chromosome:Theobroma_cacao_20110822:4:32036688:32045147:-1 gene:TCM_021183 transcript:EOY06475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin 1 isoform 3 MTMNERKTIDLEQGWEFMQKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSTVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRELVYQELNAKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDYYENDFEATMLKDTAAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVSHYLHSSSEPKLLEKVQHELLSVNANLLLEKEHSGCHALLRDDKVEDLSRMFRLFCKIPRGLDPVSGIFKQHVTAEGTALVKQAEDAASNKKADKKDVVGMQEQVFVRKVIELHDKYLAYVNDCFQNHTLFHKALKEAFEVFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFDEYLSNNPNANPGIDLTVTVLTTGFWPSYKSFDLNLPAEMIKCVEVFRDFYQTKTKHRKLTWIYSLGTCNLIGKFEPKTMELIVTTYQASALLLFNSSDRLSYSEIMTQLNLTDDDVVRLLHSLSCAKYKILNKEPSTKTISPTDYFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNTFRYLA >EOY06477 pep chromosome:Theobroma_cacao_20110822:4:32039147:32045147:-1 gene:TCM_021183 transcript:EOY06477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin 1 isoform 3 MCTQKPPHDYSQQLYDKYRESFEEYITSTVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRELVYQELNAKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDYYENDFEATMLKDTAAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVSHYLHSSSEPKLLEKVQHELLSVNANLLLEKEHSGCHALLRDDKVEDLSRMFRLFCKIPRGLDPVSGIFKQHVTAEGTALVKQAEDAASNKKADKKDVVGMQEQVFVRKVIELHDKYLAYVNDCFQNHTLFHKALKEAFEVFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFDEYLSNNPNANPGIDLTVTVLTTGFWPSYKSFDLNLPAEMIKCVEVFRDFYQTKTKHRKLTWIYSLGTCNLIGKFEPKTMELIVTTYQASALLLFNSSDRLSYSEIMTQLNLTDDDVVRLLHSLSCAKYKILNKEPSTKTISPTDYFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNTFRYLA >EOY06476 pep chromosome:Theobroma_cacao_20110822:4:32039147:32045043:-1 gene:TCM_021183 transcript:EOY06476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin 1 isoform 3 MTMNERKTIDLEQGWEFMQKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSTVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRELVYQELNAKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDYYENDFEATMLKDTAAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVSHYLHSSSEPKLLEKVQHELLSVNANLLLEKEHSGCHALLRDDKVEDLSRMFRLFCKIPRGLDPVSGIFKQQHVTAEGTALVKQAEDAASNKKADKKDVVGMQEQVKSSPCCST >EOY03871 pep chromosome:Theobroma_cacao_20110822:4:20082940:20085118:1 gene:TCM_019078 transcript:EOY03871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase isoform 3 MAVAVRGTRGGGSGGGGAGFRSLFSYRIFVSAMFSLLFVATLSVLLTSHPSTTHHHSRLPSGGNAYMHRTFLALNSDPLKTRLDLIHKQANDHITLVKAYSAYARKLKLEISRQLKMFDDLAKNFSDLTSKPSYKSSLFETSGNLDEDVLRQFEKEVKDRVKFARLLIAESKENYDNQLKIQKLKDTIFAVNELLGKAKKNGAFASLIAAKSIPKSLHCLAMRLVEERISHPEKYKEDLPKAEFEDPSLYHYAIFSDNVIAVSVVVRSVVKNAEEPSKHVFHVVTDRMNVAAMKVWFRMRPVEGGAHVEVKAVEDYDFLSSSYVPVVRQIESANVQMENATKEGSNMKFRNPNYMPMLNHLRFYLPEMYPKLHKILLLDDDVVVQKDLTGLWKIDLAGKVNGAVETCFGSFHRFSQYLNFSHPLIKERFNPKACAWAYGMNIFDLDAWRREKCTETYHNWQNLVK >EOY03869 pep chromosome:Theobroma_cacao_20110822:4:20082708:20085980:1 gene:TCM_019078 transcript:EOY03869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase isoform 3 MAVAVRGTRGGGSGGGGAGFRSLFSYRIFVSAMFSLLFVATLSVLLTSHPSTTHHHSRLPSGGNAYMHRTFLALNSDPLKTRLDLIHKQANDHITLVKAYSAYARKLKLEISRQLKMFDDLAKNFSDLTSKPSYKSSLFETSGNLDEDVLRQFEKEVKDRVKFARLLIAESKENYDNQLKIQKLKDTIFAVNELLGKAKKNGAFASLIAAKSIPKSLHCLAMRLVEERISHPEKYKEDLPKAEFEDPSLYHYAIFSDNVIAVSVVVRSVVKNAEEPSKHVFHVVTDRMNVAAMKVWFRMRPVEGGAHVEVKAVEDYDFLSSSYVPVVRQIESANVQMENATKEGSNMKFRNPNYMPMLNHLRFYLPEMYPKLHKILLLDDDVVVQKDLTGLWKIDLAGKVNGAVETCFGSFHRFSQYLNFSHPLIKERFNPKACAWAYGMNIFDLDAWRREKCTETYHNWQNLNEDRTLWKLGTLPPGLITFYSLTKSLDKSWHVLGLGYNPSISMDEINNAAVIHYNGNMKPWLDIAMNQYKNLWTKYVDNDMEFVQMCNFGV >EOY03870 pep chromosome:Theobroma_cacao_20110822:4:20082708:20085980:1 gene:TCM_019078 transcript:EOY03870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase isoform 3 MHRTFLALNSDPLKTRLDLIHKQANDHITLVKAYSAYARKLKLEISRQLKMFDDLAKNFSDLTSKPSYKSSLFETSGNLDEDVLRQFEKEVKDRVKFARLLIAESKENYDNQLKIQKLKDTIFAVNELLGKAKKNGAFASLIAAKSIPKSLHCLAMRLVEERISHPEKYKEDLPKAEFEDPSLYHYAIFSDNVIAVSVVVRSVVKNAEEPSKHVFHVVTDRMNVAAMKVWFRMRPVEGGAHVEVKAVEDYDFLSSSYVPVVRQIESANVQMENATKEGSNMKFRNPNYMPMLNHLRFYLPEMYPKLHKILLLDDDVVVQKDLTGLWKIDLAGKVNGAVETCFGSFHRFSQYLNFSHPLIKERFNPKACAWAYGMNIFDLDAWRREKCTETYHNWQNLNEDRTLWKLGTLPPGLITFYSLTKSLDKSWHVLGLGYNPSISMDEINNAAVIHYNGNMKPWLDIAMNQYKNLWTKYVDNDMEFVQMCNFGV >EOY05802 pep chromosome:Theobroma_cacao_20110822:4:29831410:29834667:1 gene:TCM_020710 transcript:EOY05802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family/GOLD family protein isoform 1 MEKGWGKMWALMVLLLLNWVSNVSSLSVTVNEVECVYEYVLYEGDTVSGNFVVVDHDIFWSSDHPGIDFTVTSPGGNTVQNLKGTSGDKFEFKAPRSGMYKFCFHNPYSTPETVSFYIHVGHIPTEHDLAKDEHLDPINVKIAELREALESVTAEQKYLKARDARHRNSNK >EOY05801 pep chromosome:Theobroma_cacao_20110822:4:29831317:29834783:1 gene:TCM_020710 transcript:EOY05801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family/GOLD family protein isoform 1 MEKGWGKMWALMVLLLLNWVSNVSSLSVTVNEVECVYEYVLYEGDTVSGNFVVVDHDIFWSSDHPGIDFTVTSPGGNTVQNLKGTSGDKFEFKAPRSGMYKFCFHNPYSTPETVSFYIHVGHIPTEHDLAKDEHLDPINVKIAELREALESVTAEQKYLKARDARHRNTNESTRKRVIGYTVGEYVLLALASALQVIYIRQLFSKSVAYNRV >EOY02255 pep chromosome:Theobroma_cacao_20110822:4:172436:174387:1 gene:TCM_016785 transcript:EOY02255 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR domain zinc finger protein 8, putative isoform 1 MDTGVCASKCQDLSPKLIKKSDNICIEEANREVIDPSTSSREGNEETSLGPITPDANREIGEFPYNCNSPPTAVKKPQKIPHFDPDATTNQDSLASANHCSPKTPKDGVFDPFAPGPEDMVLAPLCRKYIDEMRTSVARCLNFDCSVRNVDSGTHGTGAESISDEEMFESVYENLLEAIVSNQAEGFLNEFLNIGWDSDGCKTPPSAPCLNGVAETCPGAPIKPSGKSRNIDLGFCRKLEF >EOY02257 pep chromosome:Theobroma_cacao_20110822:4:172436:174387:1 gene:TCM_016785 transcript:EOY02257 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR domain zinc finger protein 8, putative isoform 1 MDTGVCASKCQDLSPKLIKKSDNICIEEANREVIDPSTSSREGNEETSLGPITPDANREIGEFPYNCNSPPTAVKKPQKIPHFDPDATTNQDSLASANHCSPKTPKDGVFDPFAPGPEDMVLAPLCRKYIDEMRTSVARCLNFDCSVRNVDSGTHGTGAESISDEEMFESVYENLLEAIVSNQAEGFLNEFLNIGWDSDGCKTPPSAPCLNGVAETCPGAPIKPSGKSRNIDLGFCRKLEF >EOY02256 pep chromosome:Theobroma_cacao_20110822:4:172302:173648:1 gene:TCM_016785 transcript:EOY02256 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR domain zinc finger protein 8, putative isoform 1 MDTGVCASKCQDLSPKLIKKSDNICIEEANREVIDPSTSSREGNEETSLGPITPDANREIGEFPYNCNSPPTAVKKPQKIPHFDPDATTNQDSLASANHCSPKTPKDGVFDPFAPGPEDMVLAPLCRKYIDEMRTSVARCLNFDCSVRNVDSGTHGTGAESISDEEMFESVYENLLEAIVSNQAEGFLNEFLNIGWDSDG >EOY02254 pep chromosome:Theobroma_cacao_20110822:4:172302:173648:1 gene:TCM_016785 transcript:EOY02254 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR domain zinc finger protein 8, putative isoform 1 MDTGVCASKCQDLSPKLIKKSDNICIEEANREVIDPSTSSREGNEETSLGPITPDANREIGEFPYNCNSPPTAVKKPQKIPHFDPDATTNQDSLASANHCSPKTPKDGVFDPFAPGPEDMVLAPLCRKYIDEMRTSVARCLNFDCSVRNVDSGTHGTGAESISDEEMFESVYENLLEAIVSNQAEGFLNEFLNIGWDSDG >EOY02253 pep chromosome:Theobroma_cacao_20110822:4:172421:174387:1 gene:TCM_016785 transcript:EOY02253 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR domain zinc finger protein 8, putative isoform 1 MDTGVCASKCQDLSPKLIKKSDNICIEEANREVIDPSTSSREGNEETSLGPITPDANREIGEFPYNCNSPPTAVKKPQKIPHFDPDATTNQDSLASANHCSPKTPKDGVFDPFAPGPEDMVLAPLCRKYIDEMRTSVARCLNFDCSVRNVDSGTHGTGAESISDEEMFESVYENLLEAIVSNQAEGFLNEFLNIGWDSDGCKTPPSAPCLNGVAETCPGAPIKPSGKSRNIDLGFCRKLEF >EOY02405 pep chromosome:Theobroma_cacao_20110822:4:681913:684982:-1 gene:TCM_016889 transcript:EOY02405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1645) [Source:Projected from Arabidopsis thaliana (AT3G27880) TAIR;Acc:AT3G27880] MQNDTSELSFCPSFNCYSDDKKLVDIAAKVTRDFKSDDVLDDEEFEFFNLWENTDQTSSFPIFNRDLLLNGEEEKGGDDDDAEEAIRIPLRDLFIGDGDLPSSSSSSEADELEGVPTGTYCVWTPKQSAESSPNRCKKSRSTGSCSKRWRLKDLLKRSNSDGKVSSSSSSLSLPSFLNFEKNSTGKKHEEKLSEKTATTKKKAQGEVQAKKTKRVEKLSAHEAFYVRNKASKEGDKRRSYLPYRQDLVGIFANVHGLGRTFPPF >EOY04106 pep chromosome:Theobroma_cacao_20110822:4:22050966:22055758:-1 gene:TCM_019366 transcript:EOY04106 gene_biotype:protein_coding transcript_biotype:protein_coding description:PMI15 [Source:Projected from Arabidopsis thaliana (AT5G38150) UniProtKB/TrEMBL;Acc:A0A178UJM4] MDRTRYEGRRRNGTVKAAVNIYGERILDGNFSLKKPQEDFPEKPSSRARELHMARRDMSRYKESRRAAESAKSKAESELFSATKTVKDLASMIEESNFKAKARMRDIESLRKSGNREEKALAVRSIESYHYAEVMRELDLVKQELSKLKLDMASVKGEKARAEKEFEDSSLKMWSNSSSVEALRKQIEAANEEHVLVELARIEALKEVGELEAQREKEFGGFSFSMEETKEKMKEIIEEIDQSKELEKKLAVTLSDVNLLENKLKQVKKLDKRVQRSDDLKQSEHSFRSAAEVEGSPSLQSITKELEVAKKELASIREEGFQYMSSMDIIRNELKHVREETARSKKTGEKADLKVQNLNSKLLRAKSKLEAVTAAGEKAESIVTNLSLTLEQLKTEAEAARKEKALITEDTATIKAEIQKTESEIDLTEERLNAAVQELEAVKASEASALEKLRSLIETTMQSRASASNQSYTITISKFEYEYLTGRAVGAEEIADKKVAATQAWIEALKASEREILMKTEIANRDLRDMRVEEEHEVHRTEWSLSAKKMVETELRNRRQTREKNAEAQNRQSPFRRRSMKSNGNLSPSRQAKFRKSASPAIRAGGSTPFIIKKKRKVVPNLAKFFLVSFQKENVYFKHHSSHEMSTQQTAKTLQRNVWYPFTNEGSMYNDVNERSLFEVFFSIHTDSDMKVCIIYFTMHTTISNHICSISLAEH >EOY04109 pep chromosome:Theobroma_cacao_20110822:4:22052790:22055467:-1 gene:TCM_019366 transcript:EOY04109 gene_biotype:protein_coding transcript_biotype:protein_coding description:PMI15 [Source:Projected from Arabidopsis thaliana (AT5G38150) UniProtKB/TrEMBL;Acc:A0A178UJM4] MARRDMSRYKESRRAAESAKSKAESELFSATKTVKDLASMIEESNFKAKARMRDIESLRKSGNREEKALAVRSIESYHYAEVMRELDLVKQELSKLKLDMASVKGEKARAEKEFEDSSLKMWSNSSSVEALRKQIEAANEEHVLVELARIEALKEVGELEAQREKEFGGFSFSMEETKEKMKEIIEEIDQSKELEKKLAVTLSDVNLLENKLKQVKKLDKRVQRSDDLKQSEHSFRSAAEVEGSPSLQSITKELEVAKKELASIREEGFQYMSSMDIIRNELKHVREETARSKKTGEKADLKVQNLNSKLLRAKSKLEAVTAAGEKAESIVTNLSLTLEQLKTEAEAARKEKALITEDTATIKAEIQKTESEIDLTEERLNAAVQELEAVKASEASALEKLRSLIETTMQSRASASNQSYTITISKFEYEYLTGRAVGAEEIADKKVAATQAWIEALKASEREILMKTEIANRDLRDMRVEEEHEVHRTEWSLSAKKMVETELRNRRQTREKNAEAQNRQSPFRRRSMKSNGNLSPSRQAKFRKSASPAIRAGGSTPFIIKKKRKVVPNLAKFFLGKKVEKHV >EOY04110 pep chromosome:Theobroma_cacao_20110822:4:22052354:22055518:-1 gene:TCM_019366 transcript:EOY04110 gene_biotype:protein_coding transcript_biotype:protein_coding description:PMI15 [Source:Projected from Arabidopsis thaliana (AT5G38150) UniProtKB/TrEMBL;Acc:A0A178UJM4] MDRTRYEGRRRNGTVKAAVNIYGERILDGNFSLKKPQEDFPEPSSRARELHMARRDMSRYKESRRAAESAKSKAESELFSATKTVKDLASMIEESNFKAKARMRDIESLRKSGNREEKALAVRSIESYHYAEVMRELDLVKQELSKLKLDMASVKGEKARAEKEFEDSSLKMWSNSSSVEALRKQIEAANEEHVLVELARIEALKEVGELEAQREKEFGGFSFSMEETKEKMKEIIEEIDQSKELEKKLAVTLSDVNLLENKLKQVKKLDKRVQRSDDLKQSEHSFRSAAEVEGSPSLQSITKELEVAKKELASIREEGFQYMSSMDIIRNELKHVREETARSKKTGEKADLKVQNLNSKLLRAKSKLEAVTAAGEKAESIVTNLSLTLEQLKTEAEAARKEKALITEDTATIKAEIQKTESEIDLTEERLNAAVQELEAVKASEASALEKLRSLIETTMQSRASASNQSYTITISKFEYEYLTGRAVGAEEIADKKVAATQAWIEALKASEREILMKTEIANRDLRDMRVEEEHEVHRTEWSLSAKKMVETELRNRRQTREKNAEAQNRQSPFRRRSMKSNGNLSPSRQAKFRKSASPAIRAGGSTPFIIKKKRKVVPNLAKFFLGKKVEKHV >EOY04108 pep chromosome:Theobroma_cacao_20110822:4:22051254:22055518:-1 gene:TCM_019366 transcript:EOY04108 gene_biotype:protein_coding transcript_biotype:protein_coding description:PMI15 [Source:Projected from Arabidopsis thaliana (AT5G38150) UniProtKB/TrEMBL;Acc:A0A178UJM4] MARRDMSRYKESRRAAESAKSKAESELFSATKTVKDLASMIEESNFKAKARMRDIESLRKSGNREEKALAVRSIESYHYAEVMRELDLVKQELSKLKLDMASVKGEKARAEKEFEDSSLKMWSNSSSVEALRKQIEAANEEHVLVELARIEALKEVGELEAQREKEFGGFSFSMEETKEKMKEIIEEIDQSKELEKKLAVTLSDVNLLENKLKQVKKLDKRVQRSDDLKQSEHSFRSAAEVEGSPSLQSITKELEVAKKELASIREEGFQYMSSMDIIRNELKHVREETARSKKTGEKADLKVQNLNSKLLRAKSKLEAVTAAGEKAESIVTNLSLTLEQLKTEAEAARKEKALITEDTATIKAEIQKTESEIDLTEERLNAAVQELEAVKASEASALEKLRSLIETTMQSRASASNQSYTITISKFEYEYLTGRAVGAEEIADKKVAATQAWIEALKASEREILMKTEIANRDLRDMRVEEEHEVHRTEWSLSAKKMVETELRNRRQTREKNAEAQNRQSPFRRRSMKSNGNLSPSRQAKFRKSASPAIRAGGSTPFIIKKKRKVVPNLAKFFLVSFQKENVYFKHHSSHEMSTQQTAKTLQRNV >EOY04107 pep chromosome:Theobroma_cacao_20110822:4:22051250:22055518:-1 gene:TCM_019366 transcript:EOY04107 gene_biotype:protein_coding transcript_biotype:protein_coding description:PMI15 [Source:Projected from Arabidopsis thaliana (AT5G38150) UniProtKB/TrEMBL;Acc:A0A178UJM4] MDRTRYEGRRRNGTVKAAVNIYGERILDGNFSLKKPQEDFPEKPSSRARELHMARRDMSRYKESRRAAESAKSKAESELFSATKTVKDLASMIEESNFKAKARMRDIESLRKSGNREEKALAVRSIESYHYAEVMRELDLVKQELSKLKLDMASVKGEKARAEKEFEDSSLKMWSNSSSVEALRKQIEAANEEHVLVELARIEALKEVGELEAQREKEFGGFSFSMEETKEKMKEIIEEIDQSKELEKKLAVTLSDVNLLENKLKQVKKLDKRVQRSDDLKQSEHSFRSAAEVEGSPSLQSITKELEVAKKELASIREEGFQYMSSMDIIRNELKHVREETARSKKTGEKADLKVQNLNSKLLRAKSKLEAVTAAGEKAESIVTNLSLTLEQLKTEAEAARKEKALITEDTATIKAEIQKTESEIDLTEERLNAAVQELEAVKASEASALEKLRSLIETTMQSRASASNQSYTITISKFEYEYLTGRAVGAEEIADKKVAATQAWIEALKASEREILMKTEIANRDLRDMRVEEEHEVHRTEWSLSAKKMVETELRNRRQTREKNAEAQNRQSPFRRRSMKSNGNLSPSRQAKFRKSASPAIRAGGSTPFIIKKKRKVVPNLAKFFLVSFQKENVYFKHHSSHEMSTQQTAKTLQRNV >EOY04111 pep chromosome:Theobroma_cacao_20110822:4:22052259:22055518:-1 gene:TCM_019366 transcript:EOY04111 gene_biotype:protein_coding transcript_biotype:protein_coding description:PMI15 [Source:Projected from Arabidopsis thaliana (AT5G38150) UniProtKB/TrEMBL;Acc:A0A178UJM4] MARRDMSRYKESRRAAESAKSKAESELFSATKTVKDLASMIEESNFKAKARMRDIESLRKSGNREEKALAVRSIESYHYAEVMRELDLVKQELSKLKLDMASVKGEKARAEKEFEDSSLKMWSNSSSVEALRKQIEAANEEHVLVELARIEALKEVGELEAQREKEFGGFSFSMEETKEKMKEIIEEIDQSKELEKKLAVTLSDVNLLENKLKQVKKLDKRVQRSDDLKQSEHSFRSAAEVEGSPSLQSITKELEVAKKELASIREEGFQYMSSMDIIRNELKHVREETARSKKTGEKADLKVQNLNSKLLRAKSKLEAVTAAGEKAESIVTNLSLTLEQLKTEAEAARKEKALITEDTATIKAEIQKTESEIDLTEERLNAAVQELEAVKASEASALEKLRSLIETTMQSRASASNQSYTITISKFEYEYLTGRAVGAEEIADKKVAATQAWIEALKASEREILMKTEIANRDLRDMRVEEEHEVHRTEWSLSAKKMVETELRNRRQTREKNAEAQNRQSPFRRRSMKSNGNLSPSRQAKFRKSASPAIRAGGSTPFIIKKKRKVVPNLAKFFLERKCIL >EOY02921 pep chromosome:Theobroma_cacao_20110822:4:3030443:3033153:-1 gene:TCM_017323 transcript:EOY02921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 3,1 MASVSDSSKQSLIPSFLYASSAKSFSLDRLLSANNPAFGASHAATLDAPAVSSSPSIKTRTFMIASPNEPGKKIEMYSPQFYAACTFGGILSCGLTHMAVTPLDLVKCNMQIDPAKYKSITSGFGVLLKEQGVRGFFRGWVPTLLGYSAQGAGKFGFYEFFKKYYSDLAGPEYFSKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVKSEGVLGLYKGIVPLWGRQIPYTMMKFASFETIVEMIYKYGIPTPKDQCSKSLQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGVAPAVEG >EOY05273 pep chromosome:Theobroma_cacao_20110822:4:27819348:27821818:-1 gene:TCM_020310 transcript:EOY05273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase MQQLQAKPSSNPMDCSRWFPSFSSLLYKIEKWGAPYFSVNSSGNISIRPKGLNSSSTHEEIDLLKVLKKVSDHKSSGGLGLQLPLIIRLPDVLKNRMESLQSAFESAICSWNYGSRYQGVYPVKSNQNRFIVEDIVRFGFPFRFGLEVGSKPELLLAMNCLCKGHPEALLICNGFKDKDYILLALIARKLAFNVVVVLEQVEELDLVIDLSTKLCVSPVIGLRAKLRTKHGGHFGSTSGERGKFGLTPRQILSVVKKLEKAGMLNCLILLHFHIGSQIPSITMLADGIGEATQIYCELVRLGACLQFIDVGGGLGIDYDGSKSSNSDVSVGYGLEEYASTVVQVIQQVCDSKSVKHPVICSESGRAIVSYHSIVIFEAISSSVSDSPGLNPEGLQHFVEGLTEDARADYSNLSAAVIKGEHTACLHYANKLKQYCLDQFKEGSLNIEQLAIVDELYGMVSKATGASEPIHTYLVNLSIFNSVPDFWAIGQMYPIVPIHRLDQKPTVKGILLDLTCDSDGKIDRFIGGESSLPLHDHRESSGGQYYLGMFLGGAYEEALGGSHNLLGSPSVVQVSSQSSEPEGFIVTMATPGQSCRDVLRTMQHEPEAIFKELKQRIKALGQVNGTADGMFQSVLAGIFSNMPYLTRGSSCS >EOY04006 pep chromosome:Theobroma_cacao_20110822:4:21391091:21400339:-1 gene:TCM_019263 transcript:EOY04006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNPLHFSAFPQWLLDPGSNIRTFTAHTTHPSVIIACSILVLQPFHNDIPHWEAIDSARLTLALLTSMAMSVFIFYHTRCFRRLTMRLPFPPLIERKEALRTYNHIFLLEIILYLVTCF >EOY03646 pep chromosome:Theobroma_cacao_20110822:4:17611875:17622741:1 gene:TCM_018741 transcript:EOY03646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQRMMTRLRGKGAEGTTRGLISLWSNNFFEPSTIKVIAIKLKGVIGLVIGSNLFAFVRRKQILDYSLIVNEVIDSLKKSMKSGFPFKVDFEKAFNSVDWDYLDFVMKLMGFRAALLVLVLIRILLNSVPIRLAVLHDKLVVKAVLMNRGIISRDKAMCNFLTLSLSLPLGCYNRTLLKKDIAGKCFSLPLFGLFGFVKAKSSLLSRPEIPIELIIDIILLRHSLWCKGKWNLRHISTNTCFIQPSAFINSGNKPKFKKKATWTPPPLDSLTLNAGGLTRGKPGLAGIEGVLRDHNNYIRGTFFHHIGIEDSNFVEFQAIHQGIVFFLASPYWKLRVSPLMSFFGPQANSVADGLAKAGVIKVTNHVEVFKIFLEEIEH >EOY02935 pep chromosome:Theobroma_cacao_20110822:4:3080568:3084908:1 gene:TCM_017336 transcript:EOY02935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MVLGANGIVWMDGEEEEDAVSSWTRNNNNNNSSSNNEIETKEEDLTMSGASLSTFKSMLDADWYMNQDLQIRELGFCSTQVDNNLLLHQPIDSSASCSPSQAFTLDPSQSHPFLPPKSCFSSLLNVSCSNPFDNAFDFASETAFLGHFQPNQVPNLMGFPQTQMGTPEFSSSSEFQGTRLFTGTENASALSGGFSAGGFEGFDGSANALFVNRAKILKPLEVFPSVGAQPTLFQKRAAMRQGSGGADKLGNLDVSGLRFGGEASDGKRKRHEEGDIEEASIDVSGLNYDSDERNDDCKVEESVKNGGCNSNATSTVTGGGDQKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHNELESTPPGSLMPPSSSFHPLTPTPPTLPCRVKEELCPSSLPSPKSQPAKVEVRVREGRAVNIHMFCARRPGLLLSTMRALDNLGLDIQQAVISCFNGFALDVFRAEGRPGCIARA >EOY02934 pep chromosome:Theobroma_cacao_20110822:4:3080440:3085251:1 gene:TCM_017336 transcript:EOY02934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MVLGANGIVWMDGEEEEDAVSSWTRNNNNNNSSSNNEIETKEEDLTMSGASLSTFKSMLDADWYMNQDLQIRELGFCSTQVDNNLLLHQPIDSSASCSPSQAFTLDPSQSHPFLPPKSCFSSLLNVSCSNPFDNAFDFASETAFLGHFQPNQVPNLMGFPQTQMGTPEFSSSSEFQGTRLFTGTENASALSGGFSAGGFEGFDGSANALFVNRAKILKPLEVFPSVGAQPTLFQKRAAMRQGSGGADKLGNLDVSGLRFGGEASDGKRKRHEEGDIEEASIDVSGLNYDSDERNDDCKVEESVKNGGCNSNATSTVTGGGDQKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHNELESTPPGSLMPPSSSFHPLTPTPPTLPCRVKEELCPSSLPSPKSQPAKVEVRVREGRAVNIHMFCARRPGLLLSTMRALDNLGLDIQQAVISCFNGFALDVFRAEQCREGQDVLPEQIKAVLLDSAGFHGIM >EOY02936 pep chromosome:Theobroma_cacao_20110822:4:3080568:3085451:1 gene:TCM_017336 transcript:EOY02936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MVLGANGIVWMDGEEEEDAVSSWTRNNNNNNSSSNNEIETKEEDLTMSGASLSTFKSMLDADWYMNQDLQIRELGFCSTQVDNNLLLHQPIDSSASCSPSQAFTLDPSQSHPFLPPKSCFSSLLNVSCSNPFDNAFDFASETAFLGHFQPNQVPNLMGFPQTQMGTPEFSSSSEFQGTRLFTGTENASALSGGFSAGGFEGFDGSANALFVNRAKILKPLEVFPSVGAQPTLFQKRAAMRQGSGGADKLGNLDVSGLRFGGEASDGKRKRHEEGDIEEASIDVSGLNYDSDERNDDCKVEESVKNGGCNSNATSTVTGGGDQKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHNELESTPPGSLMPPSSSFHPLTPTPPTLPCRVKEELCPSSLPSPKSQPAKVEVRVREGRAVNIHMFCAREGQDVLPEQIKAVLLDSAGFHGIM >EOY02799 pep chromosome:Theobroma_cacao_20110822:4:2307545:2310828:1 gene:TCM_017194 transcript:EOY02799 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHBP synthase RibB-like alpha/beta domain isoform 2 MAGTKLYRSESTRSLSFWHSFATAPPPVAPYSSRVRFDSVNLSRFRVLAMAVKRSPKRLKYSAPRFAKEDELVYVEADPSGVDSWKLEPVIELLKQGAVGVIPTDTVYAIVCHLRSHSSIERLRRIKDIEPSKYTFILTASKELPKQCVRYGTTKEKYAARKNVGVRMPDDAICQAILEKMDAPLISTSVKWPKENEWMIDPVVIADIYGPEGLDFVVDGGIRVADPSTVVDMTGASPKIVRQGKGPKLHWMVTEEDDGSAVYTDELIPSAT >EOY02798 pep chromosome:Theobroma_cacao_20110822:4:2307519:2310769:1 gene:TCM_017194 transcript:EOY02798 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHBP synthase RibB-like alpha/beta domain isoform 2 MAGTKLYRSESTRSLSFWHSFATAPPPVAPYSSRVRFDSVNLSRFRVLAMAVKRSPKRLKYSAPRFAKEDELVYVEADPSGVDSWKLEPVIELLKQGAVGVIPTDTVYAIVCHLRSHSSIERLRRIKDIEPSKPLSILCRSLRDIDTYTMGFPCGDGQGHANIFRAVKHCLPGPYTFILTASKELPKQCVRYGTTKEKYAARKNVGVRMPDDAICQAILEKMDAPLISTSVKWPKENEWMIDPVVIADIYGPEGLDFVVDGGIRVADPSTVVDMTGASPKIVRQGKIFTSNLVISKGMQHSFKRKEETNCAIFSTNPICYMYDAQGPKLHWMVTEEDDGSAVYTDELIPSAT >EOY03688 pep chromosome:Theobroma_cacao_20110822:4:17826914:17833738:-1 gene:TCM_018776 transcript:EOY03688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein with RNA binding domain isoform 2 MALETATPPATPSAQVVGHAFVEQYYHILCNSPELAHRFYHDSSVLSRPDSNGVMTSVTTMQGINEKILSLDYPNHKTEINTADAQKSYKEGVTVLVTGCQTGKDNLKRKFAQSFFLAPQDNGYFVLNDVFRYVEDGEPLENHKVNGVNDAPRVPSTPESEPTQVLDPSAPDPATALVEENQNVAEHVDEPSGQERQLVNEKEAVSESQSHSNGNDISIVVESTSSSAQEDTPKKSYASIVKVPKGGSGPTRVYVPTNTPRVTPKKAENQSPVSAATAPPEASLPTSVDAPESNNIPEEVEGHSIYIRNLPFNVTPIQLEQEFNKFGPIKQGGVQVRNNKQQGYCFGFVEFLSLSSMNDAIQASPIAIGDRQAVVEIKRTSTRVGSGRGSFPSRRGGFRSDSFRGRGNYGGSRSFGRNEYGNRGEFSARARGSAGREGRGRGGRSSGPKQTSAST >EOY03689 pep chromosome:Theobroma_cacao_20110822:4:17827879:17832562:-1 gene:TCM_018776 transcript:EOY03689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein with RNA binding domain isoform 2 MALETATPPATPSAQVVGHAFVEQYYHILCNSPELAHRFYHDSSVLSRPDSNGVMTSVTTMQGINEKILSLDYPNHKTEINTADAQKSYKEGVTVLVTGCQTGKDNLKRKFAQSFFLAPQDNGYFVLNDVFRYVEDGEPLENHKVNGVNDAPRVPSTPESEPTQVLDPSAPDPATALVEENQNVAEHVDEPSGQERQLVNEKEAVSESQSHSNGNDISIVVESTSSSAQEDTPKKSYASIVKVPKGGSGPTRVYVPTNTPRVTPKKAENQSPVSAATAPPEASLPTSVDAPESNNIPEEVEGHSIYIRNLPFNVTPIQLEQEFNKFGPIKQGGVQVRNNKQQGYCFGFVEFLSLSSMNDAIQASPIAIGDRQAVVEIKRTSTRVGSGRGSFPSRRGGFRSDSFRGRGNYGGSRSFGRNEYGNRGEFSARARGSAGREGRGRGGRSSGPKQTSAST >EOY03687 pep chromosome:Theobroma_cacao_20110822:4:17827879:17832562:-1 gene:TCM_018776 transcript:EOY03687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein with RNA binding domain isoform 2 MALETATPPATPSAQVVGHAFVEQYYHILCNSPELAHRFYHDSSVLSRPDSNGVMTSVTTMQGINEKILSLDYPNHKTEINTADAQKSYKEGVTVLVTGCQTGKDNLKRKFAQSFFLAPQDNGYFVLNDVFRYVEDGEPLENHKVNGVNDAPRVPSTPESEPTQVLDPSAPDPATALVEENQNVAEHVDEPSGQERQLVNEKEAVSESQSHSNGNDISIVVESTSSSAQEDTPKKSYASIVKVPKGGSGPTRVYVPTNTPRVTPKKAENQSPVSAATAPPEASLPTSVDAPESNNIPEEVTVEGHSIYIRNLPFNVTPIQLEQEFNKFGPIKQGGVQVRNNKQQGYCFGFVEFLSLSSMNDAIQASPIAIGDRQAVVEIKRTSTRVGSGRGSFPSRRGGFRSDSFRGRGNYGGSRSFGRNEYGNRGEFSARARGSAGREGRGRGGRSSGPKQTSAST >EOY03686 pep chromosome:Theobroma_cacao_20110822:4:17826914:17833738:-1 gene:TCM_018776 transcript:EOY03686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein with RNA binding domain isoform 2 MALETATPPATPSAQVVGHAFVEQYYHILCNSPELAHRFYHDSSVLSRPDSNGVMTSVTTMQGINEKILSLDYPNHKTEINTADAQKSYKEGVTVLVTGCQTGKDNLKRKFAQSFFLAPQDNGYFVLNDVFRYVEDGEPLENHKVNGVNDAPRVPSTPESEPTQVLDPSAPDPATALVEENQNVAEHVDEPSGQERQLVNEKEAVSESQSHSNGNDISIVVESTSSSAQEDTPKKSYASIVKVPKGGSGPTRVYVPTNTPRVTPKKAENQSPVSAATAPPEASLPTSVDAPESNNIPEEVEGHSIYIRNLPFNVTPIQLEQEFNKFGPIKQGGVQVRNNKQQGYCFGFVEFLSLSSMNDAIQASPIAIGDRQAVVEIKRTSTRVGSGRGSFPSRRGGFRSDSFRGRGNYGGSRSFGRNEYGNRGEFSARARGSAGREGRGRGGRSSGPKQTSAST >EOY03859 pep chromosome:Theobroma_cacao_20110822:4:19964091:19970387:1 gene:TCM_019058 transcript:EOY03859 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting protein 1, putative isoform 2 MDADVIHEEGVSGDDFNSMEPEAGSNRADILDGISSGGEVNGELFWASEILTRLELDLACSSEKLVNLSILTMHVATRETDFESFMSEKNFMLVDLVEKALEFDLLSGILDSEARELDKFMESIETDIVGALETISSFKYLGETFFKMEDKLHGYEESLQQSQDQVSEIKMQSADFQRILSCLFGNENWNDEKGVNVSEADQSSNNNAKIKMQTIEQQRHILRMLEKSLAREIDLEKKLAESRQMEEEMKPRLLSLEQGMICLEEEAVDVSERLFEADNAAAVLMGISKELLGRLQIVQFNLNSSTHRETELRLKLEDSEEKLEAKESALQKLESGGTRVNDFLLAQTDNLKAKLAETENKFILADSEAFTLREKVDLLEKQHKESEFNLLNAKASADKSREQCDVLRSEINELENVIDDLKDKLSKAEDRADNAEAKCKLLGEMNMELNEELGLLKSHDITSEKVEALERQLKESEIGLLNAVASAEASQEKQNMLYTTIGDMENLIEGLKLKLSKADNRADSAEDKCIILSETNAELSEELSFLRGRLDCLEASLNQAEEMKMATAKDIGIRTKLIANLLMQLAVERERLHQQISALALENKILVVKLKQTDKDPSIIGSHENRGNVKEFLFSKQDSSTASANEEITKLSADGSELDKTTESVGESEVKPTDATSEFENVRRTDARLLNFKHVSLALLILLISAAVYFSQNQECPF >EOY03858 pep chromosome:Theobroma_cacao_20110822:4:19964138:19970387:1 gene:TCM_019058 transcript:EOY03858 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting protein 1, putative isoform 2 MDADVIHEEGVSGDDFNSMEPEAGSNRADILDGISSGGEVNGELFWASEILTRLELDLACSSEKLVNLSILTMHVATRETDFESFMSEKNFMLVDLVEKALEFDLLSGILDSEARELDKFMESIETDIVGALETISSFKYLGETFFKMEDKLHGYEESLQQSQDQVSEIKMQSADFQRILSCLFGNENSGNDEKGVNVSEADQSSNNNAKIKMQTIEQQRHILRMLEKSLAREIDLEKKLAESRQMEEEMKPRLLSLEQGMICLEEEAVDVSERLFEADNAAAVLMGISKELLGRLQIVQFNLNSSTHRETELRLKLEDSEEKLEAKESALQKLESGGTRVNDFLLAQTDNLKAKLAETENKFILADSEAFTLREKVDLLEKQHKESEFNLLNAKASADKSREQCDVLRSEINELENVIDDLKDKLSKAEDRADNAEAKCKLLGEMNMELNEELGLLKSHDITSEKVEALERQLKESEIGLLNAVASAEASQEKQNMLYTTIGDMENLIEGLKLKLSKADNRADSAEDKCIILSETNAELSEELSFLRGRLDCLEASLNQAEEMKMATAKDIGIRTKLIANLLMQLAVERERLHQQISALALENKILVVKLKQTDKDPSIIGSHENRGNVKEFLFSKQDSSTASANEEITKLSADGSELDKTTESVGESEVKPTDATSEFENVRRTDARLLNFKHVSLALLILLISAAVYFSQNQECPF >EOY03857 pep chromosome:Theobroma_cacao_20110822:4:19939946:19970376:1 gene:TCM_019058 transcript:EOY03857 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting protein 1, putative isoform 2 MDADVIHEEGVSGDDFNSMEPEAGSNRADILDGISSGGEVNGELFWASEILTRLELDLACSSEKLVNLSILTMHVATRETDFESFMSEKNFMLVDLVEKALEFDLLSGILDSEARELDKFMESIETDIVGALETISSFKYLGETFFKMEDKLHGYEESLQQSQDQVSEIKMQSADFQRILSCLFGNENWNDEKGVNVSEADQSSNNNAKIKMQTIEQQRHILRMLEKSLAREIDLEKKLAESRQMEEEMKPRLLSLEQGMICLEEEAVDVSERLFEADNAAAVLMGISKELLGRLQIVQFNLNSSTHRETELRLKLEDSEEKLEAKESALQKLESGGTRVNDFLLAQTDNLKAKLAETENKFILADSEAFTLREKVDLLEKQHKESEFNLLNAKASADKSREQCDVLRSEINELENVIDDLKDKLSKAEDRADNAEAKCKLLGEMNMELNEELGLLKSHDITSEKVEALERQLKESEIGLLNAVASAEASQEKQNMLYTTIGDMENLIEGLKLKLSKADNRADSAEDKCIILSETNAELSEELSFLRGRLDCLEASLNQAEEMKMATAKDIGIRTKLIANLLMQLAVERERLHQQISALALENKILVVKLKQTDKDPSIIGSHENRGNVKEFLFSKQDSSTASANEEITKLSADGSELDKTTESVGESEVKPTDATSEFENVRRTDARLLNFKHVSLALLILLISAAVYFSQNQECPF >EOY02937 pep chromosome:Theobroma_cacao_20110822:4:3087985:3092860:1 gene:TCM_017337 transcript:EOY02937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate kinase 3 isoform 3 MAACSLQSSGVETAPSHLFPKQRSLPCRSALPSQLNFAAFRSSSSSNLCWNLRHCCKSRVFKVSCQGGNVDVIERNEIEEAGSGEADNQLTCVMKFGGSSVASAERMREVADLILSFPNERPVIVLSAMGKTTNKLLLAGEKAVSCGVTNVDTIEELSFIKELHYRTTDELGVDRSIVDGHLEELEQLLKGIAMMKELTLRTKDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFEVGFITTDDFTNADILEATYPAVAKRLNDDWISDPAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPCAEPVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITRFRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQASELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKAFRVLRTNGVNVQMISQGASKVNISLIVHDDEAEQCVRALHSAFFESDLSELHQCGSANGSAN >EOY02939 pep chromosome:Theobroma_cacao_20110822:4:3087991:3092859:1 gene:TCM_017337 transcript:EOY02939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate kinase 3 isoform 3 MAACSLQSSGVETAPSHLFPKQRSLPCRSALPSQLNFAAFRSSSSSNLCWNLRHCCKSRVFKVSCQGGNVDVIERNEIEEAGSGEADNQLTCVMKFGGSSVASAERMREVADLILSFPNERPVIVLSAMGKTTNKLLLAGEKAVSCGVTNVDTIEELSFIKELHYRTTDELGVDRSIVDGHLEELEQLLKGIAMMKELTLRTKDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFEVGFITTDDFTNADILEATYPAVAKRLNDDWISDPAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPCAEPVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITRFRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKAFRVLRTNGVNVQMISQGASKVNISLIVHDDEAEQCVRALHSAFFESDLSELHQCGSANGSAN >EOY02941 pep chromosome:Theobroma_cacao_20110822:4:3088422:3092859:1 gene:TCM_017337 transcript:EOY02941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate kinase 3 isoform 3 MAACSLQSSGVETAPSHLFPKQRSLPCRSALPSQLNFAAFRSSSSSNLCWNLRHCCKSRVFKVSCQGGNVDVIERNEIEEAGSGEADNQLTCVMKFGGSSVASAERMREVADLILSFPNERPVIVLSAMGKTTNKLLLAGEKAVSCGVTNVDTIEELSFIKELHYRTTDELGVDRSIVDGHLEELEQLLKGIAMMKELTLRTKDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFEVGFITTDDFTNADILEATYPAVAKRLNDDWISDPAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPCAEPVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITRFRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQASELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKAFRVLRTNGVNVQMISQGASKVNISLIVHDDEAEQCVRALHSAFFESDLSELHQCGSANGSAN >EOY02940 pep chromosome:Theobroma_cacao_20110822:4:3087991:3092859:1 gene:TCM_017337 transcript:EOY02940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate kinase 3 isoform 3 MAACSLQSSGVETAPSHLFPKQRSLPCRSALPSQLNFAAFRSSSSSNLCWNLRHCCKSRVFKVSCQGGNVDVIERNEIEEAGSGEADNQLTCVMKFGGSSVASAERMREVADLILSFPNERPVIVLSAMGKTTNKLLLAGEKAVSCGVTNVDTIEELSFIKELHYRTTDELGVDRSIVDGHLEELEQLLKGIAMMKELTLRTKDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFEVGFITTDDFTNADILEATYPAVAKRLNDDWISDPAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPCAEPVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITRFRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKAFRVLRTNGVNVQMISQGASKVNISLIVHDDEAEQCVRALHSAFFESDLSELHQCGSANGSAN >EOY02938 pep chromosome:Theobroma_cacao_20110822:4:3088637:3092859:1 gene:TCM_017337 transcript:EOY02938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate kinase 3 isoform 3 MAACSLQSSGVETAPSHLFPKQRSLPCRSALPSQLNFAAFRSSSSSNLCWNLRHCCKSRVFKVSCQGGNVDVIERNEIEEAGSGEADNQLTCVMKFGGSSVASAERMREVADLILSFPNERPVIVLSAMGKTTNKLLLAGEKAVSCGVTNVDTIEELSFIKELHYRTTDELGVDRSIVDGHLEELEQLLKGIAMMKELTLRTKDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFEVGFITTDDFTNADILEATYPAVAKRLNDDWISDPAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPCAEPVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITRFRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQASELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKAFRVLRTNGVNVQMISQGASKVNISLIVHDDEAEQCVRALHSAFFESDLSELHQCGSANGSAN >EOY06169 pep chromosome:Theobroma_cacao_20110822:4:31172937:31176660:-1 gene:TCM_020982 transcript:EOY06169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDNRFVNGQKDDQGKEDSLQNLENNRDISGDNGMCYSELKLKKLARRVNPQHALILNVKRLQAGKSLIKDSFLRSIFGIGNKVPRHVVTLDEKYLRCCLELIHISAAKAAQCNISVNLSSAKMGILSDGLNSAKIRDENTCDLGTFVFECPLAVGTGSVVIGPAGRWVVGSIMGSRSMANILKSPLLRKMGALDVDASLKDVKGSISYDVMSSPGGFSYYSAHKLGSQTPISENPKYGSETVHKRLVSVSSTNSTCSDQSISSTSTTISQGMLQCTWKGGIPHFVFSLDNQREIYVANLSKEGSARNKGLDYTYLFHSSKGSHKDHGIHDNESHLVGKMKVSTSFSIGPQDSKIMETEFVLFSGNETFSGEMQTPSSNHRKNKGLSKKVAEVFKSSHPSKQRTVSRFLRPRSIMEDSSWDPCQDTVNNPDALGGTNLLEEQLPPNLELAAIVVRDHFPENPRQEVGGWGLKFLRKAGVKQTIDTLEAPVPSACARDTGDCSTSMDILVPAGIHGGPRTRNGGPSSLIERWGSGGHCDCGGWDLGCPLTVLKARSSKEGDLPPTDMSETCKLLDFFVKGSDHG >EOY06168 pep chromosome:Theobroma_cacao_20110822:4:31170914:31176655:-1 gene:TCM_020982 transcript:EOY06168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDNRFVNGQKDDQGKEDSLQNLENNRDISGDNGMCYSELKLKKLARRVNPQHALILNVKRLQAGKSLIKDSFLRSIFGIGNKVPRHVVTLDEKYLRCCLELIHISAAKAAQCNISVNLSSAKMGILSDGLNSAKIRDENTCDLGTFVFECPLAVGTGSVVIGPAGRWVVGSIMGSRSMANILKSPLLRKMGALDVDASLKDVKGSISYDVMSSPGGFSYYSAHKLGSQTPISENPKYGSETVHKRLVSVSSTNSTCSDQSISSTSTTISQGMLQCTWKGGIPHFVFSLDNQREIYVANLSKEGSARNKGLDYTYLFHSSKGSHKDHGIHDNESHLVGKMKVSTSFSIGPQDSKIMETEFVLFSGNETFSGEMQTPSSNHRKNKGLSKKVAEVFKSSHPSKQRTVSRFLRPRSIMEDSSWDPCQDTVNNPDALGGTNLLEEQLPPNLELAAIVVRDHFPENPRQEVGGWGLKFLRKAGVKQTIDTLEAPVPSACARDTGDCSTSMDILVPAGIHGGPRTRNGGPSSLIERWGSGGHCDCGGWDLGCPLTVLKARSSKEGDLPPTDMSETCKLLDFFVKGSDHGSPTLRIANVHDGLYFIHFQSTLSALQSFSIAVAYIHTQSPTLRPTNVQQLR >EOY06823 pep chromosome:Theobroma_cacao_20110822:4:33048581:33058676:1 gene:TCM_021434 transcript:EOY06823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin protein ligase 6 isoform 4 MTPEESSAPTAILLEALVLLLDLKLPWACKTVGYLMQRNVFSLFREVVQKVKENVNARGSFGKISALERVLALMISHVGQSPCICSNINPQWSFLSQILTIPFLWQLFPYLKEVFASRSLSQYYTNQMALCVQNHANVLPTDIPNEFPGYACLLGNLLETAGAALSQPDCSFEMAIDLAAVTTFLLEALPPIKSSSRESRESSMVGDDDMTIGDEVGEILLDRNLELQITNAIDSRFLLQLTNVLFGGISTVHGLHTEGPDDKEVAAVGAACAFLHVTFNTLPLERIMTVLAYRTELIPVLWNFMKRCHQNQKWSSLPERVSYLLGDAPGWLLPLSVFCPVYKHMLMIVDNEEFYEQEKPLSLKDVRCLIIILRQALWQLLWVNPSAHPGSGKSVSNTSAHTRHPVEAIQNRVGTVASELLSQLQDWNNRRQFTPPSDFHADGVNDFFISQAVMEGTKAHDILQQAPFLIPFTSRVKIFTSQLASVRQRQGAHGVFTRNRFRIRRDHILEDAYNQMSALSEEDLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGMIHEQHLQFYHFLGTLLAKAMFEGILVDIPFATFFLSKLKQKYNYLNDLPSLDPELYRHLIFLKHYKGDIAGLELYFVIVNNEYGEQTEDELLPGGKNIRVTNENVITFIHLVSNHRLNFQIRQQSSHFLRGFQQLIQKDWIDMFNEHELQLLISGSLESLDVDDLRRNTNYAGGYHSEHYVIDVFWEVLKSFSLENQKKFLKFVTGCSRGPLLGFKYLEPLFCIQRAAGTASEEALDRLPTSATCMNLLKLPPYRSKEQLETKLLYAINADAGFDLS >EOY06822 pep chromosome:Theobroma_cacao_20110822:4:33048581:33058676:1 gene:TCM_021434 transcript:EOY06822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin protein ligase 6 isoform 4 MFFSGDPTTRKRVDLGGRSSKERDRQKLLEQTRLERNRRLWLRQQNSAALKIQKYFRGRKVVEAEHAKVREQFYQTYGKHCQNVDRHCFGPDSEFLRQLIFFFNAGNTDDFLVLVETCRLLQHFVRDSAGDVVGLFAGMDYSSYHSLAAYRVKRLSFACIQAIHQNRNQLKDQLLMTPEESSAPTAILLEALVLLLDLKLPWACKTVGYLMQRNVFSLFREVVQKVKENVNARGSFGKISALERVLALMISHVGQSPCICSNINPQWSFLSQILTIPFLWQLFPYLKEVFASRSLSQYYTNQMALCVQNHANVLPTDIPNEFPGYACLLGNLLETAGAALSQPDCSFEMAIDLAAVTTFLLEALPPIKSSSRESRESSMVGDDDMTIGDEVGEILLDRNLELQITNAIDSRFLLQLTNVLFGGISTVHGLHTEGPDDKEVAAVGAACAFLHVTFNTLPLERIMTVLAYRTELIPVLWNFMKRCHQNQKWSSLPERVSYLLGDAPGWLLPLSVFCPVYKHMLMIVDNEEFYEQEKPLSLKDVRCLIIILRQALWQLLWVNPSAHPGSGKSVSNTSAHTRHPVEAIQNRVGTVASELLSQLQDWNNRRQFTPPSDFHADGVNDFFISQAVMEGTKAHDILQQAPFLIPFTSRVKIFTSQLASVRQRQGAHGVFTRNRFRIRRDHILEDAYNQMSALSEEDLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGMIHEQHLQFYHFLGTLLAKAMFEGILVDIPFATFFLSKLKQKYNYLNDLPSLDPELYRHLIFLKHYKGDIAGLELYFVIVNNEYGEQTEDELLPGGKNIRVTNENVITFIHLVSNHRLNFQIRQQSSHFLRGFQQLIQKDWIDMFNEHELQLLISGSLESLDVDDLRRNTNYAGGYHSEHYVIDVFWEVLKSFSLENQKKFLK >EOY06820 pep chromosome:Theobroma_cacao_20110822:4:33045515:33058737:1 gene:TCM_021434 transcript:EOY06820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin protein ligase 6 isoform 4 MFFSGDPTTRKRVDLGGRSSKERDRQKLLEQTRLERNRRLWLRQQNSAALKIQKYFRGRKVVEAEHAKVREQFYQTYGKHCQNVDRHCFGPDSEFLRQLIFFFNAGNTDDFLVLVETCRLLQHFVRDSGDVVGLFAGMDYSSYHSLAAYRVKRLSFACIQAIHQNRNQLKDQLLMTPEESSAPTAILLEALVLLLDLKLPWACKTVGYLMQRNVFSLFREVVQKVKENVNARGSFGKISALERVLALMISHVGQSPCICSNINPQWSFLSQILTIPFLWQLFPYLKEVFASRSLSQYYTNQMALCVQNHANVLPTDIPNEFPGYACLLGNLLETAGAALSQPDCSFEMAIDLAAVTTFLLEALPPIKSSSRESRESSMVGDDDMTIGDEVGEILLDRNLELQITNAIDSRFLLQLTNVLFGGISTVHGLHTEGPDDKEVAAVGAACAFLHVTFNTLPLERIMTVLAYRTELIPVLWNFMKRCHQNQKWSSLPERVSYLLGDAPGWLLPLSVFCPVYKHMLMIVDNEEFYEQEKPLSLKDVRCLIIILRQALWQLLWVNPSAHPGSGKSVSNTSAHTRHPVEAIQNRVGTVASELLSQLQDWNNRRQFTPPSDFHADGVNDFFISQAVMEGTKAHDILQQAPFLIPFTSRVKIFTSQLASVRQRQGAHGVFTRNRFRIRRDHILEDAYNQMSALSEEDLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGMIHEQHLQFYHFLGTLLAKAMFEGILVDIPFATFFLSKLKQKYNYLNDLPSLDPELYRHLIFLKHYKGDIAGLELYFVIVNNEYGEQTEDELLPGGKNIRVTNENVITFIHLVSNHRLNFQIRQQSSHFLRGFQQLIQKDWIDMFNEHELQLLISGSLESLDVDDLRRNTNYAGGYHSEHYVIDVFWEVLKSFSLENQKKFLKFVTGCSRGPLLGFKYLEPLFCIQRAAGTASEEALDRLPTSATCMNLLKLPPYRSKEQLETKLLYAINADAGFDLS >EOY06824 pep chromosome:Theobroma_cacao_20110822:4:33048581:33056778:1 gene:TCM_021434 transcript:EOY06824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin protein ligase 6 isoform 4 MFFSGDPTTRKRVDLGGRSSKERDRQKLLEQTRLERNRRLWLRQQNSAALKIQKYFRGRKVVEAEHAKVREQFYQTYGKHCQNVDRHCFGPDSEFLRQLIFFFNAGNTDDFLVLVETCRLLQHFVRDSAGDVVGLFAGMDYSSYHSLAAYRVKRLSFACIQAIHQNRNQLKDQLLMTPEESSAPTAILLEALVLLLDLKLPWACKTVGYLMQRNVFSLFREVVQKVKENVNARGSFGKISALERVLALMISHVGQSPCICSNINPQWSFLSQILTIPFLWQLFPYLKEVFASRSLSQYYTNQMALCVQNHANVLPTDIPNEFPGYACLLGNLLETAGAALSQPDCSFEMAIDLAAVTTFLLEALPPIKSSSRESRESSMVGDDDMTIGDEVGEILLDRNLELQITNAIDSRFLLQLTNVLFGGISTVHGLHTEGPDDKEVAAVGAACAFLHVTFNTLPLERIMTVLAYRTELIPVLWNFMKRCHQNQKWSSLPERVSYLLGDAPGWLLPLSVFCPVYKHMLMIVDNEEFYEQEKPLSLKDVRCLIIILRQALWQLLWVNPSAHPGSGKSVSNTSAHTRHPVEAIQNRVGTVASELLSQLQDWNNRRQFTPPSDFHADGVNDFFISQAVMEGTKAHDILQQAPFLIPFTSRVKIFTSQLASVRQRQGAHGVFTRNRFRIRRDHILEDAYNQMSALSEEDLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGMIHEQHLQFYHFLGTLLAKAMFEGILVDIPFATFFLSKLKQKYNYLNDLPSLDPELYRHLIFLKHYKGDIAGLELYFVIVNNEYGEQTEDELLPGGKNIRVTNENVITFIHLVSNHRLNFQIRQQSSHFLRGFQQLIQKDWIDMFNEHELQVLWSTSNFCSF >EOY06821 pep chromosome:Theobroma_cacao_20110822:4:33048581:33058676:1 gene:TCM_021434 transcript:EOY06821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin protein ligase 6 isoform 4 MFFSGDPTTRKRVDLGGRSSKERDRQKLLEQTRLERNRRLWLRQQNSAALKIQKYFRGRKVVEAEHAKVREQFYQTYGKHCQNVDRHCFGPDSEFLRQLIFFFNAGNTDDFLVLVETCRLLQHFVRDSAGDVVGLFAGMDYSSYHSLAAYRVKRLSFACIQAIHQNRNQLKDQLLMTPEESSAPTAILLEALVLLLDLKLPWACKTVGYLMQRNVFSLFREVVQKVKENVNARGSFGKISALERVLALMISHVGQSPCICSNINPQWSFLSQILTIPFLWQLFPYLKEVFASRSLSQYYTNQMALCVQNHANVLPTDIPNEFPGYACLLGNLLETAGAALSQPDCSFEMAIDLAAVTTFLLEALPPIKSSSRESRESSMVGDDDMTIGDEVGEILLDRNLELQITNAIDSRFLLQLTNVLFGGISTVHGLHTEGPDDKEVAAVGAACAFLHVTFNTLPLERIMTVLAYRTELIPVLWNFMKRCHQNQKWSSLPERVSYLLGDAPGWLLPLSVFCPVYKHMLMIVDNEEFYEQEKPLSLKDVRCLIIILRQALWQLLWVNPSAHPGSGKSVSNTSAHTRHPVEAIQNRVGTVASELLSQLQDWNNRRQFTPPSDFHADGVNDFFISQAVMEGTKAHDILQQAPFLIPFTSRVKIFTSQLASVRQRQGAHGVFTRNRFRIRRDHILEDAYNQMSALSEEDLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGMIHEQHLQFYHFLGTLLAKAMFEGILVDIPFATFFLSKLKQKYNYLNDLPSLDPELYRHLIFLKHYKGDIAGLELYFVIVNNEYGEQTEDELLPGGKNIRVTNENVITFIHLVSNHRLNFQIRQQSSHFLRGFQQLIQKDWIDMFNEHELQLLISGSLESLDVDDLRRNTNYAGGYHSEHYVIDVFWEVLKSFSLENQKKFLKFVTGCSRGPLLGFKYLEPLFCIQRAAGTASEEALDRLPTSATCMNLLKLPPYRSKEQLETKLLYAINADAGFDLS >EOY05350 pep chromosome:Theobroma_cacao_20110822:4:28201343:28223239:1 gene:TCM_020369 transcript:EOY05350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 726 isoform 1 MGQQSLIYSFVARGTMILAEYTEFTGNFTSIAAQCLQKLPASNNKFTYNCDGHTFNYLVENGFTYCVVAVESAGRQVPIAFLERVKEDFNKRYGGGKAATATANSLNREFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKMRRKMWLQNMKIKLIVLGILIALILIIVLSVCHGFKC >EOY05351 pep chromosome:Theobroma_cacao_20110822:4:28201381:28204874:1 gene:TCM_020369 transcript:EOY05351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 726 isoform 1 MGQQSLIYSFVARGTMILAEYTEFTGNFTSIAAQCLQKLPASNNKFTYNCDGHTFNYLVENGFTYCVVAVESAGRQVPIAFLERVKEDFNKRYGGGKAATATANSLNREFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKMRRKMWFQNMKIKLIVLGILIALILIIVLSVCHGFKC >EOY05778 pep chromosome:Theobroma_cacao_20110822:4:29769445:29773909:1 gene:TCM_020692 transcript:EOY05778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb:CAB10253.1 isoform 5 MEKPIVESWRPEPVPVPFRPPETPREPMEFLSRSWSVSALEVSRALAPPSSQAAASHQVSLKGSSSGNVVIQEDVAGELEDSGIVSGNPFSFASSETSQMVMERIMSQSQQEVSPRTSGRLSHSSGPLTGGGSLTDSPPVSPSEIDDVKQFCRVNNSLNSQFRTTAAVAAATPATTAVTGGGKTVGRWLKDRREKKKEETRAHNAQLHAAISVAGVAAAVAAIAAATAASSSAGKDEQMAKTDMAVASAATLVAAQCVEAAEVMGAEREHLASVIGSAVNVRSAGDIMTLTAGAATALRGAATLKARALKEVWNIAAVIPVDKGGGNGSNGSSNGSFSGELVPEENFLGICSRELLARGCELLKRTRKGDLHWKIVSVYINRMNQVMLKMKSRHVAGTITKKKKNVVLEVIKDMPAWPGRHLLEGGENRRYFGLKTIMRGVVEFECRNQREYDIWTQGVSRLLSIAAEKNSRNKI >EOY05779 pep chromosome:Theobroma_cacao_20110822:4:29769655:29772048:1 gene:TCM_020692 transcript:EOY05779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb:CAB10253.1 isoform 5 MEKPIVESWRPEPVPVPFRPPETPREPMEFLSRSWSVSALEVSRALAPPSSQAAASHQVSLKGSSSGNVVIQEDVAGELEDSGIVSGNPFSFASSETSQMVMERIMSQSQEVSPRTSGRLSHSSGPLTGGGSLTDSPPVSPSEIDDVKQFCRVNNSLNSQFRTTAAVAAATPATTAVTGGGKTVGRWLKDRREKKKEETRAHNAQLHAAISVAGVAAAVAAIAAATAASSSAGKDEQMAKTDMAVASAATLVAAQCVEAAEVMGAEREHLASVIGSAVNVRSAGDIMTLTAGAATALRGAATLKARALKEVWNIAAVIPVDKGGGNGSNGSSNGSFSGELVPEENFLGICSRELLARGCELLKRTRKGKFH >EOY05780 pep chromosome:Theobroma_cacao_20110822:4:29769445:29773909:1 gene:TCM_020692 transcript:EOY05780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb:CAB10253.1 isoform 5 QEVSPRTSGRLSHSSGPLTGGGSLTDSPPVSPSEIDDVKQFCRVNNSLNSQFRTTAAVAAATPATTAVTGGGKTVGRWLKDRREKKKEETRAHNAQLHAAISVAGVAAAVAAIAAATAASSSAGKDEQMAKTDMAVASAATLVAAQCVEAAEVMGAEREHLASVIGSAVNVRSAGDIMTLTAGAATALRGAATLKARALKEVWNIAAVIPVDKGGGNGSNGSSNGSFSGELVPEENFLGICSRELLARGCELLKRTRKGDLHWKIVSVYINRMNQVMLKMKSRHVAGTITKKKKNVVLEVIKDMPAWPGRHLLEGGENRRYFGLKTIMRGVVEFECRNQREYDIWTQGVSRLLSIAAEKNSRNKI >EOY05781 pep chromosome:Theobroma_cacao_20110822:4:29769516:29773668:1 gene:TCM_020692 transcript:EOY05781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb:CAB10253.1 isoform 5 MEKPIVESWRPEPVPVPFRPPETPREPMEFLSRSWSVSALEVSRALAPPSSQAAASHQVSLKGSSSGNVVIQEDVAGELEDSGIVSGNPFSFASSETSQMVMERIMSQSQQEVSPRTSGRLSHSSGPLTGGGSLTDSPPVSPSEIDDVKFRTTAAVAAATPATTAVTGGGKTVGRWLKDRREKKKEETRAHNAQLHAAISVAGVAAAVAAIAAATAASSSAGKDEQMAKTDMAVASAATLVAAQCVEAAEVMGAEREHLASVIGSAVNVRSAGDIMTLTAGAATALRGAATLKARALKEVWNIAAVIPVDKGGGNGSNGSSNGSFSGELVPEENFLGICSRELLARGCELLKRTRKGDLHWKIVSVYINRMNQVMLKMKSRHVAGTITKKKKNVVLEVIKDMPAWPGRHLLEGGENRRYFGLKTIMRGVVEFECRNQREYDIWTQGVSRLLSIAAEKNSRNKI >EOY05777 pep chromosome:Theobroma_cacao_20110822:4:29769445:29773909:1 gene:TCM_020692 transcript:EOY05777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb:CAB10253.1 isoform 5 MEKPIVESWRPEPVPVPFRPPETPREPMEFLSRSWSVSALEVSRALAPPSSQAAASHQVSLKGSSSGNVVIQEDVAGELEDSGIVSGNPFSFASSETSQMVMERIMSQSQEVSPRTSGRLSHSSGPLTGGGSLTDSPPVSPSEIDDVKQFCRVNNSLNSQFRTTAAVAAATPATTAVTGGGKTVGRWLKDRREKKKEETRAHNAQLHAAISVAGVAAAVAAIAAATAASSSAGKDEQMAKTDMAVASAATLVAAQCVEAAEVMGAEREHLASVIGSAVNVRSAGDIMTLTAGAATALRGAATLKARALKEVWNIAAVIPVDKGGGNGSNGSSNGSFSGELVPEENFLGICSRELLARGCELLKRTRKGDLHWKIVSVYINRMNQVMLKMKSRHVAGTITKKKKNVVLEVIKDMPAWPGRHLLEGGENRRYFGLKTIMRGVVEFECRNQREYDIWTQGVSRLLSIAAEKNSRNKI >EOY02908 pep chromosome:Theobroma_cacao_20110822:4:2914881:2917218:1 gene:TCM_017307 transcript:EOY02908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAIKTQTPLPRRNFVRLKYHTVPFWIGSWNISRARQENPGTKGKHLIINMSYQRVPQDPYPPPATGYASPYSAPPPPGYPSAPPRPPTLPPYEGYPPPPLPPGYPPYPQRPGQPYEGYQGYFAEGYPPPPPPPPPGHPQYQHCHHYEHHHYQDQSDAGCFSFLQGWRLSV >EOY02907 pep chromosome:Theobroma_cacao_20110822:4:2914881:2917565:1 gene:TCM_017307 transcript:EOY02907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAIKTQTPLPRRNFVRLKYHTVPFWIGSWNISRARQENPGTKGKHLIINMSYQRVPQDPYPPPGYASPYSAPPPPGYPSAPPRPPTLPPYEGYPPPPLPPGYPPYPQRPGQPYEGYQGYFAEGYPPPPPPPPPGHPQYQHCHHYEHHHYQDQSDAGCFSFLQGCLAALCCCCMLEECCFFL >EOY02909 pep chromosome:Theobroma_cacao_20110822:4:2914881:2917565:1 gene:TCM_017307 transcript:EOY02909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAIKTQTPLPRRNFVRLKYHTVPFWIGSWNISRARQENPGTKGKHLIINMSYQRVPQDPYPPPATGYASPYSAPPPPGYPSAPPRPPTLPPYEGYPPPPLPPGYPPYPQRPGQPYEGYQGYFAEGYPPPPPPPPPGHPQYQHCHHYEHHHYQDQSDAGCFSFLQGCLAALCCCCMLEECCFFL >EOY05050 pep chromosome:Theobroma_cacao_20110822:4:26972647:26976638:-1 gene:TCM_020154 transcript:EOY05050 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MNSLYQNPHEAQLLFGRGFRAGMDRREQKKLAAKNEKEMREEIRKKEGVEEKPEEAAAQRLKEEAANTYDTFDMRVDKHWSEKKLEEMSERDWRIFREDFNISYKGSKIPRPMRSWVESKLSAELLKAVERVGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYISRLPPMSEENEAEGPYAVVMAPTRELAQQIEDETMKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLISQHVMMMKESEKFSRLQKLLDNLGDKTAIVFVNTKKNADTISKNLDKAGYKVTTLHGGRSQEQREISLEGFRAKRFNVLVATDVAGRGIDIPDVAHVINYDMPSNIEMYTHRIGRTGRAGKTGVATTFLTLQDTDVFYDLKQMLVQSGSPVPPELAKHEASKFKPGSIPDRPPRRNDTVFTH >EOY05049 pep chromosome:Theobroma_cacao_20110822:4:26970963:26976682:-1 gene:TCM_020154 transcript:EOY05049 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MSNHNLSKPVFLTKAQREELALKRLEEQRAQQKRRLEELHRSNNTTNSNSDSPSTKPSSDSSDRRDRERQRERDRESERRNREREREREREEEAKARERARLEKLAEREREKELESIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQNPHEAQLLFGRGFRAGMDRREQKKLAAKNEKEMREEIRKKEGVEEKPEEAAAQRLKEEAANTYDTFDMRVDKHWSEKKLEEMSERDWRIFREDFNISYKGSKIPRPMRSWVESKLSAELLKAVERVGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYISRLPPMSEENEAEGPYAVVMAPTRELAQQIEDETMKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLISQHVMMMKESEKFSRLQKLLDNLGDKTAIVFVNTKKNADTISKNLDKAGYKVTTLHGGRSQEQREISLEGFRAKRFNVLVATDVAGRGIDIPDVAHVINYDMPSNIEMYTHRIGRTGRAGKTGVATTFLTLQDTDVFYDLKQMLVQSGSPVPPELAKHEASKFKPGSIPDRPPRRNDTVFTH >EOY05052 pep chromosome:Theobroma_cacao_20110822:4:26970963:26976682:-1 gene:TCM_020154 transcript:EOY05052 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MSNHNLSKPVFLTKAQREELALKRLEEQRAQQKRRLEELHRSNNTTNSNSDSPSTKPSSDSSDRRDRERQRERDRESERRNREREREREREEEAKARERARLEKLAEREREKELESIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQNPHEAQLLFGRGFRAGMDRREQKKLAAKNEKEMREEIRKKEGVEEKPEEAAAQRLKEEAANTYDTFDMRVDKHWSEKKLEEMSERDWRIFREDFNISYKGSKIPRPMRSWVESKLSAELLKAVERVGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYISRLPPMSEENEAEGPYAVVMAPTRELAQQIEDETMKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLISQHVMMMKESEKFSRLQKLLDNLGDKTAIVFVNTKKNADTISKNLDKAGYKVTTLHGGRSQEQREISLEGFRAKRFNVLVATDVAGRGIDIPDVAHVINYDMPSNIEMYTHRIGRTGRAGKTGVATTFLTLQDTDVFYDLKQMLVQSGSPVPPELAKHEASKFKPGSIPDRPPRRNDTVFTH >EOY05051 pep chromosome:Theobroma_cacao_20110822:4:26974458:26976521:-1 gene:TCM_020154 transcript:EOY05051 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MSNHNLSKPVFLTKAQREELALKRLEEQRAQQKRRLEELHRSNNTTNSNSDSPSTKPSSDSSDRRDRERQRERDRESERRNREREREREREEEAKARERARLEKLAEREREKELESIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQNPHEAQLLFGRGFRAGMDRREQKKLAAKNEKEMREEIRKKEGVEEKPEEAAAQRLKEEAANTYDTFDMRVDKHWSEKKLEEMSERDWRIFREDFNISYKGSKIPRPMRSWVESKLSAELLKAVERVGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYISRLPPMSEENEAEGPYAVVMAPTRELAQQIEDETMKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLISQHVMMMKESEKFSRLQKLLDNLGDKTAIVFVNTKKNADTISKNLDKAGYKVTTLHGGRSQEQREISLEGFRAKRFNVLVATDVAGRGIDIPDVAHVINYDMPSNIEMYTHRIGRTGRAGKTGVATTFLTLQDTDVFYDLKQMLVQSGSPVPPELAKHEASKFKPGSIPDRPPRRNDTVFTH >EOY04515 pep chromosome:Theobroma_cacao_20110822:4:24791739:24794455:-1 gene:TCM_019761 transcript:EOY04515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MASKYSSPLNKFKFFLRWFLLLTNMASAQLSSNFYATTCPRALATIKSAVDSAVSKEARMGASLLRLHFHDCFVNGCDASILLDDTANITGEKTAGPNNNSVRGYEVIDTIKSQLESLCPAVVSCADIVAVAARDSVVALGGPSWSVLLGRRDSTTASLNAANSNIPAPTLSLSGLISAFSNKGFTAKEMVALSGSHTIGQARCTTFRSRIYNETTIDPSFATSLRANCPSTGGDNNLSPLDTTSPTSFDNAYYKNLQSQKGLLHSDQQLFSGGSTDSQVNAYSSNLGSFRTDFANAMIKMGNLSPLTGTSGQIRTNCRKVN >EOY04388 pep chromosome:Theobroma_cacao_20110822:4:24144429:24146122:1 gene:TCM_019657 transcript:EOY04388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase PP-Z MASLARYRFSMLTRQFPNMSESRRFKSQSVDFVSVPQNQSIRFLNTKISNPKLQIPCAGGGGDADGGIGKSGGGGGGGRGDDNSNSNSNSNSSDNSWQGVGILGLFLSGWRDRVAADPQFPFKVIMEELVGVSACVLGDMASRPNFGLNELDFVFSTLVVGSILNFTLMYLLAPTASAASSSLPAIFANCPQSHMFELGAYTFMNRLGTFVFKGTVFAAVGFAAGLVGTAISNGLINMRKKMDPSFETPNKAPPTLLNALTWAIHMGVSSNLRYQTLNGIEFLLAKGVPPLVFKSSVVVLRCLNNILGGMSFVILARMTGAQSVEAKPAMVEVGSVAEKEKLVVEGDNLDTNQSTFK >EOY03980 pep chromosome:Theobroma_cacao_20110822:4:21049052:21050069:1 gene:TCM_019212 transcript:EOY03980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSLARFRSAFGVMSAYRDVATVVTGSMRVPDHDRVKVSNLGDRTFLNHPFTFNEHNLQYMSSFSKT >EOY03565 pep chromosome:Theobroma_cacao_20110822:4:17164223:17171586:1 gene:TCM_018678 transcript:EOY03565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGTEVHSNMQLPGYYSLRNLNGNTGNVGWPLHHENRNSGQFNDLFLTRLAMGYDKEQMRQTILKHDSIFRHQLRELHRLYRIQRDMMNEINSEEGNQHLIPVATSQPNPFSSGFMSEDEQKRCHASESHLSDLNCFRLSMSGAHNIQSQFSPLKGNVVQSGCGLTQNGLKLKNCESLESHCSKVQSRLFDLECPAEECINEEEGGQGISAVSGVEIDHLKRSYEVPCKRDGNLSMHFDSNYSCNDAAISFNLNLKETRGFTDLNEPILVEEASTSACVGIPGNITCSKQEVQRKDLSSLSRSHTGFQHWGAEFSHDRNKAGDRGISLNNLHLEAERRQNGWFSSKFENGQTRSNGSFHSEDLHIPCRSVQVETTKAHSAMFLLSDQNKRETCTKRKIFGVDIPEKSTGASAAASHALDPLPVHSQLEADNSEILSCSTWTKFSGNLNQNLLGNPGSRTYGQLNSSSTALMQGHDIIWGKLLVDGNSRSLPSLRAEASSQNDFHFGSPSDSKESRVCCAPVGFCNQNGTSESNFASEQSAQHGPKIGFEFLPCMMESKSAVDLNMGAIAVDNYQNEEISQSGFVSMNRSVKQNSNGGLSWLTAARPCDAKPIKEEVSGQMNLNSLQNCSQQSIEKTAMRIQDSFSATCAADAKHRKSGNGCSSRSTKILGFSISGNVSRDLPLLNSPLKPDFPASAIDGVNSVITHGPLPPKCEQQCLLEGLVAEKRSVNQNADVRHIDLNLCVMEEGVEEDVQSTPSSMRTNIRTAKIDLAMPVAIEMGNNVTSGCEYLESNLTKPFNLQDEEIRESQGLLSVSAAAEALVAISSSCVTNLQENFSCQQSETSASDSLHWFAEIVSSCWSDPEHDIESANGACLGDSIPDGIDVFEFMTLNLVETKTEEYYYTPQVLENEKSEEPLSKRPRRGQARRGRQRKDFQRDVLPNLTSLSRNEVTEDFQMIEGLIREIGGSWQSSLTQKNNAKGSTGRGRKRSGGSAPPTTTEDCLNQFQQMKTGLEERSLTGWGKRTRRPPRQRYPICSPLAIK >EOY03566 pep chromosome:Theobroma_cacao_20110822:4:17165540:17171238:1 gene:TCM_018678 transcript:EOY03566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGTEVHSNMQLPGYYSLRNLNGNTGNVGWPLHHENRNSGQFNDLFLTRLAMGYDKEQMRQTILKHDSIFRHQLRELHRLYRIQRDMMNEINSEEGNQHLIPVATSQPNPFSSGFMSEDEQKRCHASESHLSDLNCFRLSMSGAHNIQSQFSPLKGNVVQSGCGLTQNGLKLKNCESLESHCSKVQSRLFDLECPAEECINEEEGGQGISAVSGVEIDHLKRSYEVPCKRDGNLSMHFDSNYSCNDAAISFNLNLKETRGFTDLNEPILVEEASTSACVGIPGNITCSKQEVQRKDLSSLSRSHTGFQHWGAEFSHDRNKAGDRGISLNNLHLEAERRQNGWFSSKFENGQTRSNGSFHSEDLHIPCRSVQVETTKAHSAMFLLSDQNKRETCTKRKIFGVDIPEKSTGASAAASHALDPLPVHSQLEADNSEILSCSTWTKFSGNLNQNLLGNPGSRTYGQLNSSSTALMQGHDIIWGKLLVDGNSRSLPSLRAEASSQNDFHFGSPSDSKESRVCCAPVGFCNQNGTSESNFASEQSAQHGPKIGFEFLPCMMESKSAVDLNMGAIAVDNYQNEEISQSGFVSMNRSVKQNSNGGLSWLTAARPCDAKPIKEEVSGQMNLNSLQNCSQQSIEKTAMRIQDSFSATCAADAKHRKSGNGCSSRSTKILGFSISGNVSRDLPLLNSPLKPDFPASAIDGVNSVITHGPLPPKCEQQCLLEGLVAEKRSVNQNADVRHIDLNLCVMEEGVEEDVQSTPSSMRTNIRTAKIDLAMPVAIEMGNNVTSGCEYLESNLTKPFNLQDEEIRESQGLLSVSAAAEALVAISSSCVTNLQENFSCQQSETSASDSLHWFAEIVSSCWSDPEHDIESANGACLGDSIPDGIDVFEFMTLNLVETKTEEYYYTPQVLENEKSEEPLSKRPRRGQARRGRQRKDFQRDVLPNLTSLSRNEVTEDFQMIEGLIREIGGSWQSSLTQKNNAKGSTGRGRKRSGGSAPPTTTEDCLNQFQQMKTGLEERSLTGWGKRTRRPPRQRYPICSPLAIK >EOY03544 pep chromosome:Theobroma_cacao_20110822:4:17055070:17062634:1 gene:TCM_018658 transcript:EOY03544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration stress protein (ERD4), putative isoform 1 MIFLICQRNLWNLSVFQMSMMVQTGYGFTFVLPMFSLELSAISFIVEYNYISLKRIAYFYSSKRQPHQFTILVRGIPAPTGSSFSQTVENFFTEYHPSTYLSHTVVRRTSKIQSLINDAEKLYRKLGNLKARNSQQRFMRDGFLGLFGQKVDIVDHYEKKLEDIEDNVRLERSSLAAEEVPAAFVSFKSRFGTAIALHIQQGIDPTEWVTERAPEPKDVYWPFFSASFMKRGIFKIAVVVAYIALTILFLIPVVVVQGLTHLDQLETWLPFLKGLLSLTFVSDLVTGYLPSLILQLFLSVVPPIMILFSSMQGYISHSQIQKSACTKLLWFTVWNIFFANVLSGSALYLVNVFLEPKKIPSVLAEAVPAQASFFIAYVVTSGWTSLSSELFRLFPLLCSFMKRLFVGKDNDDDFEVPQMPYHSEIPRVLFFEILGVTYFFLAPLILPFLLVYYCLGYIIYRNQFLNVYASKFETGGKFWPIVHNSTIFSLVLMHIIAIGIFGLKKLPLASSLSIPLPVLTLIFNEYCRKRFRPIFKAYPTECLIKKDREDQNDPTMTEFLEKLATAYQDPALMPVQNSRSADGRSSPLLCAVEV >EOY03543 pep chromosome:Theobroma_cacao_20110822:4:17054527:17063297:1 gene:TCM_018658 transcript:EOY03543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration stress protein (ERD4), putative isoform 1 MILSALLTSVGINLGLCFLFFALYSILRNQPGNITVYSPLLFNERKAQQQDEFNLERLLPSPGWVKRAWQPSEDELLSITGLDAVVFMRVFIFCVRVFTFACIIGIFILLPINFLGNQLADDFSDLPKKSLESFSISNVDDGSNRLWIHFCAAYVFTGVVCYLLYCEYNYISLKRIAYFYSSKRQPHQFTILVRGIPAPTGSSFSQTVENFFTEYHPSTYLSHTVVRRTSKIQSLINDAEKLYRKLGNLKARNSQQRFMRDGFLGLFGQKVDIVDHYEKKLEDIEDNVRLERSSLAAEEVPAAFVSFKSRFGTAIALHIQQGIDPTEWVTERAPEPKDVYWPFFSASFMKRGIFKIAVVVAYIALTILFLIPVVVVQGLTHLDQLETWLPFLKGLLSLTFVSDLVTGYLPSLILQLFLSVVPPIMILFSSMQGYISHSQIQKSACTKLLWFTVWNIFFANVLSGSALYLVNVFLEPKKIPSVLAEAVPAQASFFIAYVVTSGWTSLSSELFRLFPLLCSFMKRLFVGKDNDDDFEVPQMPYHSEIPRVLFFEILGVTYFFLAPLILPFLLVYYCLGYIIYRNQFLNVYASKFETGGKFWPIVHNSTIFSLVLMHIIAIGIFGLKKLPLASSLSIPLPVLTLIFNEYCRKRFRPIFKAYPTECLIKKDREDQNDPTMTEFLEKLATAYQDPALMPVQNSRSADGRSSPLLCAVEV >EOY02442 pep chromosome:Theobroma_cacao_20110822:4:835627:838930:1 gene:TCM_016911 transcript:EOY02442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSAELKALQDSGTWSIVQRPPNSHVIGCKWVYKVKLNVDGQIERYKARLVAKGYNQIAGFDYKEIFSPVAKQTTSNADHSLFTMKTNNGEFIALLLLEIARSPEGISISQRKYTLDLLEEHGLLGAKPVSIPIDYNQKLVKAQDEEKLINPTDYKQLVGKLLYLTFSRLDIAYAVQVISQFMDKPSLEHMTAAHKVLKYLKRSPSQVVSRSSAEAEYRAMASASCEIIWLKHLPANFGIKHKDAVVLYSDSQSTMHISKNPIFHEKTKHIEMDCYFIREKVIEGHYLWPGLMCGSFLALSWSVPVGDVDGCSRTGVFVTSASDLCNSLFMFEFGWMIDLEQVLELVFELTFLQSMSHGWLLLCARFSHPFDP >EOY05153 pep chromosome:Theobroma_cacao_20110822:4:27417480:27424142:-1 gene:TCM_020235 transcript:EOY05153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylenetetrahydrofolate reductase isoform 2 MKVIEKIRTLQEEQGKVVFSFEFFPPKTEDGVDNLFERMDRMVAHNPSFCDITWGAGGSTADLTLDIANKMQNIICVETMMHLTCTNMPVEKIDHALQTIKSNGIQNVLALRGDPPHGQDKFVQVEGGFACALDLVKHIRSKYGDYFGITVAGYPEAHPDAIGSDGVATPEAYQNDLEYLKRKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPADITNALEPIKDNDEAVKSYGIHLGTEMCRKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKISRFLPWRRPANVFRVKEDVRPIFWANRPKSYISRTIGWDQYPHGRWGDSQNPSYGALTDYQFMRPRARGKKLHEEWATPLKSIDDIQEKFKSYCLGKLKSSPWSELDGLQPETKIIHEQLGKINLKGFLTINSQPAVNGERSDSTSVGKYNLPEFAVVLRIGGNTQSLM >EOY05152 pep chromosome:Theobroma_cacao_20110822:4:27416116:27424121:-1 gene:TCM_020235 transcript:EOY05152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylenetetrahydrofolate reductase isoform 2 MKVIEKIRTLQEEQGKVVFSFEFFPPKTEDGVDNLFERMDRMVAHNPSFCDITWGAGGSTADLTLDIANKMQNIICVETMMHLTCTNMPVEKIDHALQTIKSNGIQNVLALRGDPPHGQDKFVQVEGGFACALDLVKHIRSKYGDYFGITVAGYPEAHPDAIGSDGVATPEAYQNDLEYLKRKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPADITNALEPIKDNDEAVKSYGIHLGTEMCRKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKISRFLPWRRPANVFRVKEDVRPIFWANRPKSYISRTIGWDQYPHGRWGDSQNPSYGALTDYQFMRPRARGKKLHEEWATPLKSIDDIQEKFKSYCLGKLKSSPWSELDGLQPETKIIHEQLGKINLKGFLTINSQPAVNGERSDSTSVGWGGPGGYVYQKAYLEFFCSKEKLDALIAKCKALSFITYLAVNKNGDLISNIGVADVNAVTWGAFPAKETIQPTVVDPASFMVWKDEAFEIWSRTWASWYSDTDPEGVQSKKLLEEVQSKYYLVSLVDNDYISGNIFAVFEDI >EOY05155 pep chromosome:Theobroma_cacao_20110822:4:27416773:27424142:-1 gene:TCM_020235 transcript:EOY05155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylenetetrahydrofolate reductase isoform 2 MKVIEKIRTLQEEQGKVVFSFEFFPPKTEDGVDNLFERMDRMVAHNPSFCDITWGAGGSTADLTLDIANKMQNIICVETMMHLTCTNMPVEKIDHALQTIKSNGIQNVLALRGDPPHGQDKFVQVEGGFACALDLVKHIRSKYGDYFGITVAGYPEAHPDAIGSDGVATPEAYQNDLEYLKRKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPADITNALEPIKDNDEAVKSYGIHLGTEMCRKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKISRFLPWRRPANVFRVKEDVRPIFWANRPKSYISRTIGWDQYPHGRWGDSQNPSYGALTDYQFMRPRARGKKLHEEWATPLKSIDDLLVSTSI >EOY05154 pep chromosome:Theobroma_cacao_20110822:4:27417508:27424140:-1 gene:TCM_020235 transcript:EOY05154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylenetetrahydrofolate reductase isoform 2 MKVIEKIRTLQEEQGKVVFSFEFFPPKTEDGVDNLFERMDRMVAHNPSFCDITWGAGGSTADLTLDIANKMQNIICVETMMHLTCTNMPVEKIDHALQTIKSNGIQNVLALRGDPPHGQDKFVQVEGGFACALDLVKHIRSKYGDYFGITVAGYPEAHPDAIGSDGVATPEAYQNDLEYLKRKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPADITNALEPIKDNDEAVKSYGIHLGTEMCRKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKISRFLPWRRPANVFRVKEDVRPIFWANRPKSYISRTIGWDQYPHGRWGDSQNPSYGALTDYQFMRPRARGKKLHEEWATPLKSIDDIQGNSRVIVWAS >EOY06175 pep chromosome:Theobroma_cacao_20110822:4:31197289:31199914:-1 gene:TCM_020987 transcript:EOY06175 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein, putative isoform 2 MYTLKDKVAGSLSRLFADSPNHSSASSPSDLSQARWYSKGSKSLSSVFSFIIPSASLGGSKSNDHDLKPIQSHPVRWKNKTFEVQDDPLDRCEEYTDIYTSEDIKKVCQDKKSNWTDNDIKQTVSPRGEEKDRASERSSSDSDEFQEAREQQSPVKSSPNLADESVFVNCDLYEFLVSSLPNIVKGCQWVLLYSTLKDGISLRTLIRKSAELPGPCLLITGDRQGAVFGAMLECPLKPTPKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYMCLNDLLALGGGGNFALCLDGDL >EOY06174 pep chromosome:Theobroma_cacao_20110822:4:31197113:31199914:-1 gene:TCM_020987 transcript:EOY06174 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein, putative isoform 2 MYTLKDKVAGSLSRLFADSPNHSSASSPSDLSQARWYSKGSKSLSSVFSFIIPSASLGGSKSNDHDLKPIQSHPVRWKNKTFEVQDDPLDRCEEYTDIYTSEDIKKVCQDKKSNWTDNDIKQTVSPRGEEKDRASERSSSDSDEFQEAREQQSPVKSSPNLADESVFVNCDLYEFLVSSLPNIVKGCQWVLLYSTLKDGISLRTLIRKSAELPGPCLLITGDRQGAVFGAMLECPLKPTPKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYMCLNDLLALGGGGNFALCLDGDLLNGTSGPCETFGNLCLAHNEDFELKNVEVILVSFDQFQFLVYLYPNIEFKTKTRVTI >EOY06173 pep chromosome:Theobroma_cacao_20110822:4:31196636:31199806:-1 gene:TCM_020987 transcript:EOY06173 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein, putative isoform 2 MYTLKDKVAGSLSRLFADSPNHSSASSPSDLSQARWYSKGSKSLSSVFSFIIPSASLGGSKSNDHDLKPIQSHPVRWKNKTFEVQDDPLDRCEEYTDIYTSEDIKKVCQDKKSNWTDNDIKQTVSPRGEEKDRASERSSSDSDEFQEAREQQSPVKSSPNLADESVFVNCDLYEFLVSSLPNIVKGCQWVLLYSTLKDGISLRTLIRKSAELPGPCLLITGDRQGAVFGAMLECPLKPTPKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYMCLNDLLALGGGGNFALCLDGDLLNGTSGPCETFGNLCLAHNEDFELKNVELWGFTHASQYF >EOY02514 pep chromosome:Theobroma_cacao_20110822:4:1174226:1176491:1 gene:TCM_016972 transcript:EOY02514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMVAASNLSTYQKTQMLQVICRRKERGREHHNNYPYKVIEITPPPKSLGVRCFPPNLQCGESVTIEGQTYTISAVTHRYQLRKGKYEPSEKRLDVLSTGRYILNLYLESLLDQS >EOY05917 pep chromosome:Theobroma_cacao_20110822:4:30268087:30272722:-1 gene:TCM_020794 transcript:EOY05917 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative isoform 6 MGVEVTDICMDKEPDCVIVYSNGISQDPNNITFPSHHDALESYVNGDPELQDTEECTEAKEYEVKECTTENSVENSEEEQRVLSSNLEAGLPEEKVKQEAAKTKNNKSRVSKHVPKLAAINVRMKHTVPQPFALATEKRASCGTRPAVAEPDAGSGVNKTSNTNGAHHPNTTKQNQQPQLVLRKPLQPNNKKHPDDDETCSVTSTTVVSSQTAKPKATVASAPVFRCSERAEKRKEFYSKLEEKQQALEAEKTQSEARTKEEREAAIRQFRKSLTFKANPMPSFYHEGPPPKVELKKMPPTRAKSPKLGRRKSSGDAVSSSQGEKVKGDSHHRNRHSLASQREDTIATMFGSANKKNPNNFQYGHAPLKFKDESMKVQEMSESVSPKVNGITDSDINFLS >EOY05921 pep chromosome:Theobroma_cacao_20110822:4:30267709:30272611:-1 gene:TCM_020794 transcript:EOY05921 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative isoform 6 MGVEVTDICMDKEPDCVIVYSNGISQDPNNITFPSHHDALESYVNGDPELQDTEECTEAKEYEVKECTTENSVENSEEEQRVLSSNLEAGLPEEKVKQEAAKTKNNKSRVSKHVPKLAAINVRMKHTVPQPFALATEKRASCGTRPAVAEPDAGSGVNKTSNTNGAHHPNTTKQNQQPQLVLRKPLQPNNKKHPDDDETCSVTSTTVVSSQTAKPKATVASAPVFRCSERAEKRKEFYSKLEEKQQALEAEKTQSEARTKEEREAAIRQFRKSLTFKANPMPSFYHEGPPPKVELKKMPPTRAKSPKLGRRKSSGDAVSSSQGEKVKGDSHHRNRHSLASQREDTIATMFGSANKKNPNNFQYGHAPLKFKDESMKVQEMSESVSPKVNGITDSDINFLS >EOY05920 pep chromosome:Theobroma_cacao_20110822:4:30268353:30270872:-1 gene:TCM_020794 transcript:EOY05920 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative isoform 6 MGVEVTDICMDKEPDCVIVYSNGISQDPNNITFPSHHDALESYVNGDPELQDTEECTEAKEYEVKECTTENSVENSEEEQRVLSSNLEAGLPEEKVKQEAAKTKNNKSRVSKHVPKLAAINVRMKHTVPQPFALATEKRASCGTRPAVAEPDAGSGVNKTSNTNGAHHPNTTKQNQQPQLVLRKPLQPNNKKHPDDDETCSVTSTTVVSSQTAKPKATVASAPVFRCSERAEKRKEFYSKLEEKQQALEAEKTQSEARTKEEREAAIRQFRKSLTFKANPMPSFYHEGPPPKVELKKMPPTRAKSPKLGRRKSSGDAVSSSQGEKVKGDSHHRNRHSLASQREDTIATMFGSANKKNPNNFQYGHAPLKFKDESMKVQEMSESVSPKVNGITDSDINFLS >EOY05919 pep chromosome:Theobroma_cacao_20110822:4:30267709:30272786:-1 gene:TCM_020794 transcript:EOY05919 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative isoform 6 MGVEVTDICMDKEPDCVIVYSNGISQDPNNITFPSHHDALESYVNGDPELQDTEECTEAKEYEVKECTTENSVENSEEEQRVLSSNLEAGLPEEKVKQEAAKTKNNKSRVSKHVPKLAAINVRMKHTVPQPFALATEKRASCGTRPAVAEPDAGSGVNKTSNTNGAHHPNTTKQNQQPQLVLRKPLQPNNKKHPDDDETCSVTSTTVVSSQTAKPKATVASAPVFRCSERAEKRKEFYSKLEEKQQALEAEKTQSEARTKEEREAAIRQFRKSLTFKANPMPSFYHEGPPPKVELKKMPPTRAKSPKLGRRKSSGDAVSSSQGEKVKGDSHHRNRHSLASQREDTIATMFGSANKKNPNNFQYGHAPLKFKDESMKVQEMSESVSPKVNGITDSDINFLS >EOY05924 pep chromosome:Theobroma_cacao_20110822:4:30267709:30272630:-1 gene:TCM_020794 transcript:EOY05924 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative isoform 6 MGVEVTDICMDKEPDCVIVYSNGISQDPNNITFPSHHDALESYVNGDPELQDTEECTEAKEYEVKECTTENSVENSEEEQRVLSSNLEAGLPEEKVKQEAAKTKNNKSRVSKHVPKLAAINVRMKHTVPQPFALATEKRASCGTRPAVAEPDAGSGVNKTSNTNGAHHPNTTKQNQQPQLVLRKPLQPNNKKHPDDDETCSVTSTTVVSSQTAKPKATVASAPVFRCSERAEKRKEFYSKLEEKQQALEAEKTQSEARTKEEREAAIRQFRKSLTFKANPMPSFYHEGPPPKVELKKMPPTRAKSPKLGRRKSSGDAVSSSQGEKVKGDSHHRNRHSLASQREDTIATMFGSANKKNPNNFQYGHAPLKFKDESMKVQEMSESVSPKVNGITDSDINFLS >EOY05922 pep chromosome:Theobroma_cacao_20110822:4:30267709:30272822:-1 gene:TCM_020794 transcript:EOY05922 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative isoform 6 MGVEVTDICMDKEPDCVIVYSNGISQDPNNITFPSHHDALESYVNGDPELQDTEECTEAKEYEVKECTTENSVENSEEEQRVLSSNLEAGLPEEKVKQEAAKTKNNKSRVSKHVPKLAAINVRMKHTVPQPFALATEKRASCGTRPAVAEPDAGSGVNKTSNTNGAHHPNTTKQNQPQLVLRKPLQPNNKKHPDDDETCSVTSTTVVSSQTAKPKATVASAPVFRCSERAEKRKEFYSKLEEKQQALEAEKTQSEARTKEEREAAIRQFRKSLTFKANPMPSFYHEGPPPKVELKKMPPTRAKSPKLGRRKSSGDAVSSSQGEKVKGDSHHRNRHSLASQREDTIATMFGSANKKNPNNFQYGHAPLKFKDESMKVQEMSESVSPKVNGITDSDINFLS >EOY05923 pep chromosome:Theobroma_cacao_20110822:4:30268087:30272611:-1 gene:TCM_020794 transcript:EOY05923 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative isoform 6 MGVEVTDICMDKEPDCVIVYSNGISQDPNNITFPSHHDALESYVNGDPELQDTEECTEAKEYEVKECTTENSVENSEEEQRVLSSNLEAGLPEEKVKQEAAKTKNNKSRVSKHVPKLAAINVRMKHTVPQPFALATEKRASCGTRPAVAEPDAGSGVNKTSNTNGAHHPNTTKQNQQPQLVLRKPLQPNNKKHPDDDETCSVTSTTVVSSQTAKPKATVASAPVFRCSERAEKRKEFYSKLEEKQQALEAEKTQSEARTKEEREAAIRQFRKSLTFKANPMPSFYHEGPPPKVELKKMPPTRAKSPKLGRRKSSGDAVSSSQGEKVKGDSHHRNRHSLASQREDTIATMFGSANKKNPNNFQYGHAPLKFKDESMKVQEMSESVSPKVNGITDSDINFLS >EOY05918 pep chromosome:Theobroma_cacao_20110822:4:30268353:30270872:-1 gene:TCM_020794 transcript:EOY05918 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative isoform 6 MGVEVTDICMDKEPDCVIVYSNGISQDPNNITFPSHHDALESYVNGDPELQDTEECTEAKEYEVKECTTENSVENSEEEQRVLSSNLEAGLPEEKVKQEAAKTKNNKSRVSKHVPKLAAINVRMKHTVPQPFALATEKRASCGTRPAVAEPDAGSGVNKTSNTNGAHHPNTTKQNQQPQLVLRKPLQPNNKKHPDDDETCSVTSTTVVSSQTAKPKATVASAPVFRCSERAEKRKEFYSKLEEKQQALEAEKTQSEARTKEEREAAIRQFRKSLTFKANPMPSFYHEGPPPKVELKKMPPTRAKSPKLGRRKSSGDAVSSSQGEKVKGDSHHRNRHSLASQREDTIATMFGSANKKNPNNFQYGHAPLKFKDESMKVQEMSESVSPKVNGITDSDINFLS >EOY05925 pep chromosome:Theobroma_cacao_20110822:4:30267709:30272822:-1 gene:TCM_020794 transcript:EOY05925 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative isoform 6 MGVEVTDICMDKEPDCVIVYSNGISQDPNNITFPSHHDALESYVNGDPELQDTEECTEAKEYEVKECTTENSVENSEEEQRVLSSNLEAGLPEEKVKQEAAKTKNNKSRVSKHVPKLAAINVRMKHTVPQPFALATEKRASCGTRPAVAEPDAGSGVNKTSNTNGAHHPNTTKQNQPQLVLRKPLQPNNKKHPDDDETCSVTSTTVVSSQTAKPKATVASAPVFRCSERAEKRKEFYSKLEEKQQALEAEKTQSEARTKEEREAAIRQFRKSLTFKANPMPSFYHEGPPPKVELKKMPPTRAKSPKLGRRKSSGDAVSSSQGEKVKGDSHHRNRHSLASQREDTIATMFGSANKKNPNNFQYGHAPLKFKDESMKVQEMSESVSPKVNGITDSDINFLS >EOY03534 pep chromosome:Theobroma_cacao_20110822:4:16971660:16993232:-1 gene:TCM_018647 transcript:EOY03534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRSHRGMHQRLTYRWWMNLILAALMRLVSLWKGWRPPMLNILLMEITEKEWKTLRPQVEKERHRITFLYGFFSGCSIALIVSIIVNIHARDLLRSQGRHQYVVNIFLLYSLFVYIGLHMLMYSGNIFSWKRYRVNFSFIFGFKQRTELGYREVLLSTALSLLALAGAISHLDMEIDPRTKSFTVLTELIPLVLLLAVLSIMFCPFNIIYRSSRFFLIKCTFYSVCAPLDKVTLLDFFLADQLTSQVLKCLLRLAWMQQVLGIQSVPFVHNTVLIAVIASLEIICRGIWNFLSFSHYTVGNATKREGTKGIQWSKETLANVHHSLQEKRIQIKEERTRGEMDGVSNHNNIELECADGLVITDMTISPKESNDLSSRQVTGLVGPKEMVAKEGDGVANVGTGCVKIEPNQVEVRKGLPPLDTGKRTIMKSKEGNRESDCRVDISTDGESFSKGSSCVRQPKSRIGRDNDEHQAITDMESSTGEFVSTDSQLRIEEIVEKDGKAQKRRNSMRDTINEVSLRENNEVRHESSTLEWCMPSSLLDHNPVLLGVNDVDWGSKPFRFFNRMEYVVGNGANISFWDDEWIEGITLRIAFLWFFALAINKSGKVCELGSWVKSVWQWEVNLRRRIFDWETNSWKLFKDCLNSIVFDQEMNDKLIWKFTPSGSYTPNSFCRLALHKNEAPIDLWQSVRAGLAPPKVEATSSLLVLNLGKFGAQGLKRGELKDACQGNQLTFTRVAWWAKSRWPNEIHTITDIARSPLNYLATDRRKTLKISAKWECPPAGWFKFNTDRNARGCPSYLGIGGILRDDTRAVKAV >EOY04116 pep chromosome:Theobroma_cacao_20110822:4:22084695:22090117:1 gene:TCM_019371 transcript:EOY04116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative isoform 1 MASFAFYSALFSTNSLLLPPCVHRLPIYLQTKQNISQFSVIASSSTGGSELTTEAAVTKTESERTSFGFKNLTETFWVDVHRAEGRPLSVRLNESLTFASSKLEKVESVVIRIELRNGCVGWGEVPVLPLGNWNQAMALEKVKEACKFLGQGPPLTLNLVLDKISENVPGREFASVRAGLEMALIDAAANSIGVPLWRLFGGVSNTLSTAATIPTASLVKAFDLAAKYCKLGFKILELRVGRDLNADIEVLQAVRAAHPHCLFILDANEQYTSKEAIEVLEKLNEKGATPVLFEQPVHRDDWRGLSEVSTVAREKYGISVAADESCRNLVDIQKLIQENIVDVINIKLSKFGVLGTLEIVEMVKKSKLNLMIDSVAETRLAAGVAGHLAAGLGCFKYVNLSAPILLSEDPVVGGYEVSGSNHKFVNSRGQGGFLKWDIAS >EOY04118 pep chromosome:Theobroma_cacao_20110822:4:22084776:22087042:1 gene:TCM_019371 transcript:EOY04118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative isoform 1 MASFAFYSALFSTNSLLLPPCVHRLPIYLQTKQNISQFSVIASSSTGGSELTTEAAVTKTESERTSFGFKNLTETFWVDVHRAEGRPLSVRLNESLTFASSKLEKVESVVIRIELRNGCVGWGEVPVLPLGNWNQAMALEKVKEACKFLGQGPPLTLNLVLDKISENVPGREFASVRAGLEMALIDAAANSIGVPLWRLFGGVSNTLSTAATIPTASLVKAFDLAAKYCKLGFKILELRVGRDLNADIEVLQAVRAAHPHCLFILDANEQYTSKEAIEVLEKLNEKGATPVLFEQPVHRDDWRGLSEVSTVAREKYGISVAADESCRNLVDIQKLIQENIVDVINIKLSKFGVLGTLEIVEMVKKSKLNLMIDSVAETRLAAGVAGHLAAGLGCFKYLCLFFPFIY >EOY04117 pep chromosome:Theobroma_cacao_20110822:4:22084690:22090120:1 gene:TCM_019371 transcript:EOY04117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative isoform 1 MASFAFYSALFSTNSLLLPPCVHRLPIYLQTKQNISQFSVIASSSTGGSELTTEAAVTKTESERTSFGFKNLTETFWVDVHRAEGRPLSVRLNESLTFASSKLEKVESVVIRIELRNGCVGWGEVPVLPLGNWNQAMALEKVKEACKFLGQGPPLTLNLVLDKISENVPGREFASVRAGLEMALIDAAANSIGVPLWRLFGGVSNTLSTAATIPTASLVKAFDLAAKYCKLGFKILELRVGRDLNADIEVLQAVRAAHPHCLFILDANEQYTSKEAIEVLEKLNEKGATPVLFEQPVHRDDWRGLSEVSTVAREKYGISVAADESCRNLVDIQKLIQENIVDVINIKLSKFGVLGTLEIVEMVKKSKLNLMIDSVAETRLAAGVAGHLAAGLGCFKYVNLSAPILLSEDPVVGGYEVSGSNHKFVNSRGQGGFLKWDIASWIL >EOY04115 pep chromosome:Theobroma_cacao_20110822:4:22084690:22090120:1 gene:TCM_019371 transcript:EOY04115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative isoform 1 MASFAFYSALFSTNSLLLPPCVHRLPIYLQTKQNISQFSVIASSSTGGSELTTEAAVTKTESERTSFGFKNLTETFWVDVHRAEGRPLSVRLNESLTFASSKLEKVESVVIRIELRNGCVGWGEVPVLPLGNWNQAMALEKVKEACKFLGQGPPLTLNLVLDKISENVPGREFASVRAGLEMALIDAAANSIGVPLWRLFGGVSNTLSTAATIPTASLVKAFDLAAKYCKLGFKILELRVGRDLNADIEVLQAVRAAHPHCLFILDANEQYTSKEAIEVLEKLNEKGATPVLFEQPVHRDDWRGLSEVSTVAREKYGISVAADESCRNLVDIQKLIQENIVDVINIKLSKFGVLGTLEIVEMVKKSKLNLMIDSVAETRLAAGVAGHLAAGLGCFKYVNLSAPILLSEDPVVGGYEVSGSNHKFVNSRGQGGFLKWDIASCFLPYYARMCNARSSNSVFVPSSCRDYLFACVCGLILDRVEGQGWGGNRGRYNASFKLSETAYIRIFSSIN >EOY02310 pep chromosome:Theobroma_cacao_20110822:4:375548:384359:1 gene:TCM_016825 transcript:EOY02310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLVHGLDDAALCIRHAMRIHDMNGYSNSYDECFAITYIRPLFIMEEVNYLLLKYRRLGKEEMGKWRNSLFTIFVGNLCPTIEWQEIKDWFDRFGVVMDVYLPRPKNRGRNLVVKVANRPRDSSKGIKVEVGPRSYKDVLKARVNTNDSNEHSLNREETKSEAKAEFVGANKENKKETERLLEMARQMGKQDEDNGVGPLKGWMECSAQLGEAENDWLNSSAVGRPRVDFSNEEIQRSLQAKGRTGKLVYGSDSKTSRLTYGGGFFPSDWKQLGKVTSEIKITSMIKVMVDWNEYWIRAIILGSSDLNNIDSADNKSSTKKRNNGENGLMAPSMAEETQMVAGCNGSSWKENGGNSKDESRTESANDSVKGNGHAWEEAEATWNLSRELGVQYRADRVEVVKFFHGMERETNGQRGLGRAEKKRALRKLIRAEKPSMVFIQETKMKSMLGSFFNELWKDDEIEGKTIEAEGRSGGILMGFNQQREENTRPPTTWERPGVGTIKFNVDGAANGCPGEVEIGGLLRNENGEVLIKFFKAVGWGDSNMAEYLGIREAFILGLPVLLADEELVDASGGEMCY >EOY02692 pep chromosome:Theobroma_cacao_20110822:4:1786242:1788842:-1 gene:TCM_017092 transcript:EOY02692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain and extraterminal domain protein 10, putative isoform 6 MLAKSDRFSGGYYRGSYEPPGESEGSGSSGRIDTEITASEDSSAPTRKCISLNPNKRDTFGAPIQVLSLSNMSQSERKDLIHRLNHELEQIRMLQKKVELQRTNGVTMSSSSDILSCSNGQILPHLQDFQKSSMMASGPGKKGNPLNGKGRGWNRGSSGKFESAKHASAPNTANIILMKQCEGLLKRLMGHQYGWVFNQPVDVVKLNIPDYVNVIKQPMDLGTIKKKITSGAYASPMEFHADVRLTFSNAMTYNPPGNDVHIMADTLNKFFEVRWKNIEKKLPVTGGQLVQSKAPADNIESSKTMPPAKKRKTTSVTQEIIPEPVKRMTAEEKHNLGRELESLLAEMPMHIIDFLREHSSNGRESGEEEIEIDIDDLSDDTLFTLRKLLDDHLQEKQKNQCRAEPCEIELLNESGLSNSSMQQGKGNDQADEDVDIGGNEPPVSSYPPVEIAKDTGHRSTKSVSSGSSRDSDSSSSDSESDGAKVSSPVDALKVYWRL >EOY02687 pep chromosome:Theobroma_cacao_20110822:4:1784386:1789897:-1 gene:TCM_017092 transcript:EOY02687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain and extraterminal domain protein 10, putative isoform 6 MLAKSDRFSGGYYRGSYEPPGESEGSGSSGRIDTEITASEDSSAPTRKCISLNPNKRDTFGAPIQVLSLSNMSQSERKDLIHRLNHELEQIRMLQKKVELQRTNGVTMSSSSDILSCSNGQILPHLQDFQKSSMMASGPGKKGNPLNGKGRGWNRGSSGKFESAKHASAPNTANIILMKQCEGLLKRLMGHQYGWVFNQPVDVVKLNIPDYVNVIKQPMDLGTIKKKITSGAYASPMEFHADVRLTFSNAMTYNPPGNDVHIMADTLNKFFEVRWKNIEKKLPVTGGQLVQSKAPADNIESSKTMPPAKKRKTTSVTQEIIPEPVKRMTAEEKHNLGRELESLLAEMPMHIIDFLREHSSNGRESGEEEIEIDIDDLSDDTLFTLRKLLDDHLQEKQKNQCRAEPCEIELLNESGLSNSSMQQGKGNDQADEDVDIGGNEPPVSSYPPVEIAKDTGHRSTKSVSSGSSRDSDSSSSDSESDGAKVSSPVDALKVLEAIDSGAQLDEKTSVDNPLDRNQCVSGLDQLEQTSQPKPSSVESDSCQDGDSAPTERQISPEKLYRAALLKNRFADTILKAREKTLTQGDKGDPEKLRREREELEQQRKKEKARLQAEAKAAEDARRRAEAEAAAEARRKRELEREAARQALLKMEKTVEINENSRFLEDLDMLRAAPVEHLPSSVDETSPDHSQDGLGSFKFGSSNPLEQLGLYMKQDEEEEEGDKSSS >EOY02693 pep chromosome:Theobroma_cacao_20110822:4:1785237:1789917:-1 gene:TCM_017092 transcript:EOY02693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain and extraterminal domain protein 10, putative isoform 6 MLAKSDRFSGGYYRGSYEPPGESEGSGSSGRIDTEITASEDSSAPTRKCISLNPNKRDTFGAPIQVLSLSNMSQSERKDLIHRLNHELEQIRMLQKKVELQRTNGVTMSSSSDILSCSNGQILPHLQDFQKSSMMASGPGKKGNPLNGKGRGWNRGSSGKFESAKHASAPNTANIILMKQCEGLLKRLMGHQYGWVFNQPVDVVKLNIPDYVNVIKQPMDLGTIKKKITSGAYASPMEFHADVRLTFSNAMTYNPPGNDVHIMADTLNKFFEVRWKNIEKKLPVTGGQLVQSKAPADNIESSKTMPPAKKRKTTSVTQEIIPEPVKRMTAEEKHNLGRELESLLAEMPMHIIDFLREHSSNGRESGEEEIEIDIDDLSDDTLFTLRKLLDDHLQEKQKNQCRAEPCEIELLNESGLSNSSMQQGKGNDQADEDVDIGGNEPPVSSYPPVEIAKDTGHRSTKSVSSGSSRDSDSSSSDSESDGAKVSSPVDALKVYWRL >EOY02689 pep chromosome:Theobroma_cacao_20110822:4:1784530:1789896:-1 gene:TCM_017092 transcript:EOY02689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain and extraterminal domain protein 10, putative isoform 6 MLAKSDRFSGGYYRGSYEPPGESEGSGSSGRIDTEITASEDSSAPTRKCISLNPNKRDTFGAPIQVLSLSNMSQSERKDLIHRLNHELEQIRMLQKKVELQRTNGVTMSSSSDILSCSNGQILPHLQDFQKSSMMASGPGKKGNPLNGKGRGWNRGSSGKFESAKHASAPNTANIILMKQCEGLLKRLMGHQYGWVFNQPVDVVKLNIPDYVNVIKQPMDLGTIKKKITSGAYASPMEFHADVRLTFSNAMTYNPPGNDVHIMADTLNKFFEVRWKNIEKKLPVTGGQLVQSKAPADNIESSKTMPPAKKRKTTSVTQEIIPEPVKRMTAEEKHNLGRELESLLAEMPMHIIDFLREHSSNGRESGEEEIEIDIDDLSDDTLFTLRKLLDDHLQEKQKNQCRAEPCEIELLNESGLSNSSMQQGKGNDQADEDVDIGGNEPPVSSYPPVEIAKDTGHRSTKSVSSGSSRDSDSSSSDSESDGAKVSSPVDALKVLEAIDSGAQLDEKTSVDNPLDRNQCVSGLDQLEQTSQPKPSSVESDSCQDGDSAPTERQISPEKLYRAALLKNRFADTILKAREKTLTQGDKGDPEKLRREREELEQQRKKEKARLQAEAKAAEDARRRAEAEAAAEARRKRELEREAARQALLKVECIVFTY >EOY02694 pep chromosome:Theobroma_cacao_20110822:4:1785237:1789917:-1 gene:TCM_017092 transcript:EOY02694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain and extraterminal domain protein 10, putative isoform 6 MLAKSDRFSGGYYRGSYEPPGESEGSGSSGRIDTEITASEDSSAPTRKCISLNPNKRDTFGAPIQVLSLSNMSQSERKDLIHRLNHELEQIRMLQKKVELQRTNGVTMSSSSDILSCSNGQILPHLQDFQKSSMMASGPGKKGNPLNGKGRGWNRGSSGKFESAKHASAPNTANIILMKQCEGLLKRLMGHQYGWVFNQPVDVVKLNIPDYVNVIKQPMDLGTIKKKITSGAYASPMEFHADVRLTFSNAMTYNPPGNDVHIMADTLNKFFEVRWKNIEKKLPVTGGQLVQSKAPADNIESSKTMPPAKKRKTTSVTQEIIPEPVKRMTAEEKHNLGRELESLLAEMPMHIIDFLREHSSNGRESGEEEIEIDIDDLSDDTLFTLRKLLDDHLQEKQKNQCRAEPCEIELLNESGLSNSSMQQGKGNDQADEDVDIGGNEPPVSSYPPVEIAKDTGHRSTKSVSSGSSRDSDSSSSDSESDGAKVSSPVDALKVYWRL >EOY02690 pep chromosome:Theobroma_cacao_20110822:4:1784995:1788842:-1 gene:TCM_017092 transcript:EOY02690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain and extraterminal domain protein 10, putative isoform 6 MLAKSDRFSGGYYRGSYEPPGESEGSGSSGRIDTEITASEDSSAPTRKCISLNPNKRDTFGAPIQVLSLSNMSQSERKDLIHRLNHELEQIRMLQKKVELQRTNGVTMSSSSDILSCSNGQILPHLQDFQKSSMMASGPGKKGNPLNGKGRGWNRGSSGKFESAKHASAPNTANIILMKQCEGLLKRLMGHQYGWVFNQPVDVVKLNIPDYVNVIKQPMDLGTIKKKITSGAYASPMEFHADVRLTFSNAMTYNPPGNDVHIMADTLNKFFEVRWKNIEKKLPVTGGQLVQSKAPADNIESSKTMPPAKKRKTTSVTQEIIPEPVKRMTAEEKHNLGRELESLLAEMPMHIIDFLREHSSNGRESGEEEIEIDIDDLSDDTLFTLRKLLDDHLQEKQKNQCRAEPCEIELLNESGLSNSSMQQGKGNDQADEDVDIGGNEPPVSSYPPVEIAKDTGHRSTKSVSSGSSRDSDSSSSDSESDGAKVSSPVDALKVLEAIDSGAQLDEKTSVDNPLDRNQCVSGLDQLEQTSQPKPSSVESDSCQDGDSAPTERQISPEKLYRAALLKNRFADTILKAREKTLTQQGDKGDPEKLRREREELEQQRKKEKARLQAEAKAAEDARRRAEAEAAAEARRKRELEREAARQALLKMEKTVEINENSRFLEDLDMLRAAPVEHLPSSVDETSPDHSQDGLGSFKFGSSNPLEQLGLYMKQDEEEEEGEPPSIQNPVNDVEEGEID >EOY02695 pep chromosome:Theobroma_cacao_20110822:4:1785839:1789917:-1 gene:TCM_017092 transcript:EOY02695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain and extraterminal domain protein 10, putative isoform 6 MLAKSDRFSGGYYRGSYEPPGESEGSGSSGRIDTEITASEDSSAPTRKCISLNPNKRDTFGAPIQVLSLSNMSQSERKDLIHRLNHELEQIRMLQKKVELQRTNGVTMSSSSDILSCSNGQILPHLQDFQKSSMMASGPGKKGNPLNGKGRGWNRGSSGKFESAKHASAPNTANIILMKQCEGLLKRLMGHQYGWVFNQPVDVVKLNIPDYVNVIKQPMDLGTIKKKITSGAYASPMEFHADVRLTFSNAMTYNPPGNDVHIMADTLNKFFEVRWKNIEKKLPVTGGQLVQSKAPADNIESSKTMPPAKKRKTTSVTQEIIPEPVKRMTAEEKHNLGRELESLLAEMPMHIIDFLREHSSNGRESGEEEIEIDIDDLSDDTLFTLRKLLDDHLQEKQKNQCRAEPCEIELLNESGLSNSSMQQGKGNDQADEDVDIGGNEPPVSSYPPVEIAKDTGHRSTKSVSSGSSRDSDSSSSDSESDGAKVSSPVDALKVLEAIDSGAQLDEKTSVDNPLDRNRESLN >EOY02691 pep chromosome:Theobroma_cacao_20110822:4:1786388:1788842:-1 gene:TCM_017092 transcript:EOY02691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain and extraterminal domain protein 10, putative isoform 6 MLAKSDRFSGGYYRGSYEPPGESEGSGSSGRIDTEITASEDSSAPTRKCISLNPNKRDTFGAPIQVLSLSNMSQSERKDLIHRLNHELEQIRMLQKKVELQRTNGVTMSSSSDILSCSNGQILPHLQDFQKSSMMASGPGKKGNPLNGKGRGWNRGSSGKFESAKHASAPNTANIILMKQCEGLLKRLMGHQYGWVFNQPVDVVKLNIPDYVNVIKQPMDLGTIKKKITSGAYASPMEFHADVRLTFSNAMTYNPPGNDVHIMADTLNKFFEVRWKNIEKKLPVTGGQLVQSKAPADNIESSKTMPPAKKRKTTSVTQEIIPEPVKRMTAEEKHNLGRELESLLAEMPMHIIDFLREHSSNGRESGEEEIEIDIDDLSDDTLFTLRKLLDDHLQEKQKNQCRAEPCEIELLNESGLSNSSMQQGKGNDQADEDVDIGGNEPPVSSYPPVEIAKDTGHRSTKSVSSGSSRDSDSSSSDSESDGAKVSSPVDALKVCAGSMWFK >EOY02696 pep chromosome:Theobroma_cacao_20110822:4:1785651:1789917:-1 gene:TCM_017092 transcript:EOY02696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain and extraterminal domain protein 10, putative isoform 6 MLAKSDRFSGGYYRGSYEPPGESEGSGSSGRIDTEITASEDSSAPTRKCISLNPNKRDTFGAPIQVLSLSNMSQSERKDLIHRLNHELEQIRMLQKKVELQRTNGVTMSSSSDILSCSNGQILPHLQDFQKSSMMASGPGKKGNPLNGKGRGWNRGSSGKFESAKHASAPNTANIILMKQCEGLLKRLMGHQYGWVFNQPVDVVKLNIPDYVNVIKQPMDLGTIKKKITSGAYASPMEFHADVRLTFSNAMTYNPPGNDVHIMADTLNKFFEVRWKNIEKKLPVTGGQLVQSKAPADNIESSKTMPPAKKRKTTSVTQEIIPEPVKRMTAEEKHNLGRELESLLAEMPMHIIDFLREHSSNGRESGEEEIEIDIDDLSDDTLFTLRKLLDDHLQEKQKNQCRAEPCEIELLNESGLSNSSMQQGKGNDQADEDVDIGGNEPPVSSYPPVEIAKDTGHRSTKSVSSGSSRDSDSSSSDSESDGAKVSSPVDALKVLLSQIVVKMVRSMKIVEGKIKSVF >EOY02688 pep chromosome:Theobroma_cacao_20110822:4:1784355:1790012:-1 gene:TCM_017092 transcript:EOY02688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain and extraterminal domain protein 10, putative isoform 6 MLAKSDRFSGGYYRGSYEPPGESEGSGSSGRIDTEITASEDSSAPTRKCISLNPNKRDTFGAPIQVLSLSNMSQSERKDLIHRLNHELEQIRMLQKKVELQRTNGVTMSSSSDILSCSNGQILPHLQDFQKSSMMASGPGKKGNPLNGKGRGWNRGSSGKFESAKHASAPNTANIILMKQCEGLLKRLMGHQYGWVFNQPVDVVKLNIPDYVNVIKQPMDLGTIKKKITSGAYASPMEFHADVRLTFSNAMTYNPPGNDVHIMADTLNKFFEVRWKNIEKKLPVTGGQLVQSKAPADNIESSKTMPPAKKRKTTSVTQEIIPEPVKRMTAEEKHNLGRELESLLAEMPMHIIDFLREHSSNGRESGEEEIEIDIDDLSDDTLFTLRKLLDDHLQEKQKNQCRAEPCEIELLNESGLSNSSMQQGKGNDQADEDVDIGGNEPPVSSYPPVEIAKDTGHRSTKSVSSGSSRDSDSSSSDSESDGAKVSSPVDALKVLEAIDSGAQLDEKTSVDNPLDRNQCVSGLDQLEQTSQPKPSSVESDSCQDGDSAPTERQISPEKLYRAALLKNRFADTILKAREKTLTQGDKGDPEKLRREREELEQQRKKEKARLQAEAKAAEDARRRAEAEAAAEARRKRELEREAARQALLKMEKTVEINENSRFLEDLDMLRAAPVEHLPSSVDETSPDHSQDGLGSFKFGSSNPLEQLGLYMKQDEEEEEGDKSSS >EOY06229 pep chromosome:Theobroma_cacao_20110822:4:31364990:31369576:1 gene:TCM_021031 transcript:EOY06229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein, putative MASFIQIVKLKVLLFVIALLSSSSVAVFASLANSENGQELGALLKWKDSLDKSSSDLLSSWDLSPSNATPPCDRWFGITCDKFGSIIHINLTSSQLKGTLQNFNFISFPNLLSLDLSNNSLYGTIPAHISNLSELSYLDLSANNLNGFIPESVGNLANLNILYLNINQLSGSIPPTIGNLTKLTGLHLSLNHLTGHIPKEVGRMASLTDLKLPMNNLSGQLPAEISNLTSMKILLMGTNRLSGYLPDRICSGGLLERLSVHTNHFVGPIPKDLKNCSRLVRVRFEENQLIGNISEVFGVYPNLNYIDLSYNKFVGELLRNWGLSHKLTSFRISNNKIVGPIPAELAKATNLQILDLSANQLAGRIPKELGGLALLFTLELNDNKLLGSVPTELGFLSDLATLNLAANRLSGSIPGQLGQFSKLLYLNLSNNRFTGKIPFHIGRLGSLQNLDLSLNLLTGEIPSELGLLTSLENLNLSHNQLSGYIPTTFDEMLSLTTVDVSCNMLAGPLPSNKAFSRAPAEALEHNKGLCGNTTAIETCRTMVKKSKGKLSKKVLISIVAPLLATLILLFIIAATLFTRSRRAKNMIETREQEKEICFGIWGFDGKLMHECIIQATEDFNSKYCIGKGGSGSVYRAKLPTGQVVAVKKLHELDDDEVANLKSFSNEVNALTEVKHRNIVKLYGFCSHAKYSFLVYEYLEGGSLAKILKSEAKARELDWNKRIQVVKAVANALTYMHHECLPPVIHRDISSNNILLDSEYEAHVSDFGTARILNPDSSKSTSFAGTVGYFAPELAYGMEANEKCDVYSYGVLTLEIIMGKHPEDLLLSLSLPTSITTHRISLKDLLDHRLPPPIDQVAEEVVFTVKLAFSCLHTSPHARPTMRQVSHQLSAQKPPLLDPLDMIKLAKLL >EOY05492 pep chromosome:Theobroma_cacao_20110822:4:28750570:28755940:-1 gene:TCM_020479 transcript:EOY05492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase 2 MCISICTALPNGPKSANAMPLTLFYQQTIHPQSLVEQIKQMLIVKYHANSKLILLPARHIQEHFVSTYEWLRLLQLENKAMVHRQMGQEVGNRGPMGEDSTHQQSHFQAVPSWEKRKMAQILAPSTQWQMRLPKTSTYGSPIATKMWNSLVLKQNKQGAAKSCGKFRVFALQSENSTVNRLEKLLNMDITPYTDKIIAEYIWIGGSGIDLRSKSKTIAKPVEHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDAYTPAGEPIPTNKRHRAAEIFSNKKVVDKVPWFGIEQEYTLLQQNVKWPLGWPVGGYPGPQGPYYCGAGADKSFGRDISDAHYKACLYAGINIGGTNGEVMPGQWEYQVGPSVGIEAGDHIWCSRYILERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTKSMREDGGYEVIKKAILNLSLRHQEHISAYGEGNERRLTGKHETASIDTFSWGVANRGCSIRVGRDTEKNGKGYLEDRRPASNMDPYVVISLLAETTILYEPTLEAEALAAQKIALKV >EOY03877 pep chromosome:Theobroma_cacao_20110822:4:20131349:20165950:-1 gene:TCM_019086 transcript:EOY03877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MYSVSFLSRFMKEPTDQHYTAAKRVLKYLKGTLNHEIHFTRFPKFKLIGYSDCDWAGNIDDSKSTSGYVFTLGNGAFSWNTHKQSVVAQSSTEAEYISAASASNQAIWLRKLLTDLQFPQNHATDLFVDNKFAIAFTKNPVNHGKMKHIHVKFHSIRDLCSVLFF >EOY03740 pep chromosome:Theobroma_cacao_20110822:4:18867750:18872883:1 gene:TCM_018902 transcript:EOY03740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein, putative MPAMKNMKKVYVSLQKLSIPAPFYFMLLLQNLNISAAYTPPDNIALDCGSVSNNNDSTPRLWLGDDKYLDQPKNTEAVMSNVSGTKTDPIPYNTARLSHSHFSYTFNVTPGQKFVRLHFYPTSYGEFNRSTAFFDVHIGRYTLLSNFSAALTADDCKSETFSKEFCVNVEDSERKLTILFTASRSMPDSYAFINGIEIVSMPDNLYYSADNDTGFKFVNQENPYRIEKNMALEMVYRINVGGSFVSPAGDTGMYRSWSDDNKYLTDARPSALPVNLSRELNFSMIPNYTAPVPIYTTARTMGTNKTMNENYRLTWQFQVDSGFTYLVRLHFCEFQNEIVAAANRVFQIYINNLVAESQADVVSWAEGTGIPVIHDYAVMIGSGGKAGNQKRTNLSISLHPAPAWMTNYSDAILNGLEIFKLSNDGNLTGPNPDPVPVPAGTPGGFKPTRRKNSVHKIIFSIVGGIALCFVSLSLLCFLRKMRVKNSGSTDGSTWWSQFSSKTKSSRSSLPSDLCRYFSLAEIKAATNDFDNVFVIGVGGFGNVYKGFIDGGAKQVAIKRLNPESEQGALEFKTEIEMLSQLRHLHLVSLIGYCNDAREMILVYNFMARGSLRDHLYKTDNPPLPWKQRLEICIGAARGLQYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKLGPTNVSKAHVTTVVKGSLGYLDPEYYRRQQLTEKSDVYSFGVVLCEVLCARPPINRTADKEQASLATWAQECYRNGTLDQFIDPFLRGKIASPCFKKFTEVAMSCLVDEGTERPSMSDVVWSLEFALQLQRNAEGEINCGNNQIEVDVEDETHLLTDASGEVFSSIGEHVLMSRTTFSITSDEQSITNKDFDEVLRSRAVFSEIRNPQGR >EOY06789 pep chromosome:Theobroma_cacao_20110822:4:32960197:32965064:-1 gene:TCM_021414 transcript:EOY06789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin alpha, putative MNYYYFELKFFYLLMIMLTETVIIKIVVLKAMPVRPSNTRKKWYKTGVMDAEEARRRREDSLVEIRKSKREESLLKKWRDGVFFLDQNLYQLQFSELPTAIVRIDKKFFFLLLSSCDSVTKKLMHPYHAYQKWKERRILGPFLVIHYHFSKQHIPGSLESLPSMVEGVLSDNPALQLEATTLFRKLLSIERCSPIEQVIEAGIVPRFVEFLDNHDQPQLQFEAAWALTNIASGTSEHTNVVIEHGAVPKFVQLLGSPSADVREQAVWALGNVAGDSPKSRDIVLSHGGLIPLLAQLNEHSKLSLLRNATWALLNFCRGKPPAPFDQVKPALQTLQRLIQLSDEEILTDACWALSYLSDGTNDKIQAVIQAGICPRLVELLHHPSQTVLVPALRTIGNIVTGDDSQTQVVIDNQGLPCLYEFLSQNYKKSIKKEACWTISNITAGNRSQIQAVIQANIISPLVHLLQHAEFEIKKEAAWAISNATSVGSRAQIQYLVKRGCIKPLCDLLLCPDPRIVTVCLEALENILKIGEADKESGNSAVSIYTEMIDECDGLDKIENLQSHGNNEIYKKAVKILERYWLEEEDEDDSGNSGFSFGCNQCYPSLGGFNFS >EOY03704 pep chromosome:Theobroma_cacao_20110822:4:18214116:18215624:1 gene:TCM_018814 transcript:EOY03704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain transcription factor, putative isoform 1 MNDGGLENRKEHGKSQKQSKSSKFNSKSDDFALAIAKVAVAQVCESVGFQSFQHSALQTLSDIIVRYIYSIGKTANINANLAGRVEANVFDVLQRLEELGSGLGFAGASDADRCVVNSGIVRDIVHFVGEADDFQFAYDVPQFPVVKEWKETGSFWEKGEEPPGKHIPNWLPVFPDPETYAARTSEGNETMSVLNGEKSELASFETKLEWSLLNLQQRFACNGNEGGSSHDGGDAVRAREAAESNPYLAAPLHFGEKEVEVSPVVLPVKLSNEVALKNIVSENCIVGNHVSVLETFAPAIEAMKSGFCDSENRQKKVLHNQRPMVHFKIETGKKSLGSATNV >EOY03705 pep chromosome:Theobroma_cacao_20110822:4:18214126:18218418:1 gene:TCM_018814 transcript:EOY03705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain transcription factor, putative isoform 1 MNDGGLENRKEHGKSQKQSKSSKFNSKSDDFALAIAKVAVAQVCESVGFQSFQHSALQTLSDIIVRYIYSIGKTANINANLAGRVEANVFDVLQRLEELGSGLGFAGASDADRCVVNSGIVRDIVHFVGEADDFQFAYDVPQFPVVKEWKETGSFWEKGEEPPGKHIPNWLPVFPDPETYAARTSEGNETMSVLNGEKSELASFETKLEWSLLNLQQRFACNGNEGGSSHDGGDAVRAREAAESNPYLAAPLHFGEKEVEVSPVVLPVKLSNEVALKNIVSENCIVGNHVSVLETFAPAIEAMKSGFCDSENRQKKVLHNQRPMVHFKIETGKKSLGSATNV >EOY02766 pep chromosome:Theobroma_cacao_20110822:4:2171228:2172498:1 gene:TCM_017167 transcript:EOY02766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein MRKHINSDRDCQQHLRLFFIYIDKARIATFQRGQEGSEEMRSMEGNSRIFFLLFLLALIPKQASAAQHVVGGSQGWDESVDLNSWASGQTFKVGDQLVFKYSSGLHSVVELGSETAYKNCDLGTALDSKNTGDDVVKLSKVGTRYFACGTLGHCDQGMKMKITTVAGNAPSTPASASTTSGASAVQSFATFLLLAALSGISLLYLL >EOY06252 pep chromosome:Theobroma_cacao_20110822:4:31439557:31442620:-1 gene:TCM_021051 transcript:EOY06252 gene_biotype:protein_coding transcript_biotype:protein_coding description:MOS2, putative isoform 1 MKLSFSLPSKSKPTQKTSIPITSAAHEDQYHREFVTEFDPSKTPADPNSKPSFVIPPKQNEWRPYKKMKNLHIPLQSDGSRDLQFELESSSDLPLPNSDAKISYGLNLRDNSAKNDAGDQQGIPESAAPVEAVLLQSLKEDLKRLPEDRGFEEFEDVPVEGFGKALLAGYGWVEGRGIGKNAKEDVKVKQYERRTDKEGLGFSSKENKERLPGFTNVKQKHDTEEIVKEDKDGFFVGKDVRVIEGREMGLKGTIMEKLGGGWIVLRLKKSEEKVKVRLFEIADLGSREEEKCLRKLTELKIREAKDLKTKGDERKVSKRSRESEKRSETKVNVERVRTNGDRGVSWLRSHIRVRIISKNLEGGRLYLKKGQVVDVVGPYMCDISMDESRELIQGVEQELLETALPRRGGPVLILYGRHKGVYGSLVERDVDRETGVVRDADSHELLNVKLEQIAEYMGDPSYLGY >EOY06253 pep chromosome:Theobroma_cacao_20110822:4:31436337:31442457:-1 gene:TCM_021051 transcript:EOY06253 gene_biotype:protein_coding transcript_biotype:protein_coding description:MOS2, putative isoform 1 MKLSFSLPSKSKPTQKTSIPITSAAHEDQYHREFVTEFDPSKTPADPNSKPSFVIPPKQNEWRPYKKMKNLHIPLQSDGSRDLQFELESSSDLPLPNSDAKISYGLNLRDNSAKNDAGDQQGIPESAAPVEAVLLQSLKEDLKRLPEDRGFEEFEDVPVEGFGKALLAGYGWVEGRGIGKNAKEDVKVKQYERRTDKEGLGFSSKENKERLPGFTNVKQKHDTEEIVKEDKDGFFVGKDVRVIEGREMGLKGTIMEKLGGGWIVLRLKKSEEKVKVRLFEIADLGSREEEKCLRKLTELKIREAKDLKTKGDERKVSKRSRESEKRSETKVNVERVRTNGDRGVSWLRSHIRVRIISKNLEGGRLYLKKGQVVDVVGPYMCDISMDESRELIQGVEQELLETALPRRGGPVLILYGRHKGVYGSLVERDVDRETGVVRDADSHELLNVKLEQIAEYMGDPSYLGY >EOY05621 pep chromosome:Theobroma_cacao_20110822:4:29193656:29195204:-1 gene:TCM_020577 transcript:EOY05621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVHLTHFAHIDSGRERNFIEKGNKNTSQRKGERRLKLAYFAYYTMWLYHGARFRPADGAGRRGGVTEHGGVSLGVEPPWGVLARRKLLAEGLTRAPGRASPQSPRQTARTAQTASLCEAQSSPCAQRPGSLPGVAQAPQPSTIAPLLPVPPNSAQILGLSRQKPSHQLPWLLGGNLGILEGKPSIYMLCKVCTADFGK >EOY04524 pep chromosome:Theobroma_cacao_20110822:4:24846847:24847678:-1 gene:TCM_019766 transcript:EOY04524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEYYLVTWIILSSQDQEMPRFEHTISILMSVILRKCISMFQELSCLLMDLASVLTSAIRFSSLLARQPSATHRRYYRISSTPVPT >EOY04044 pep chromosome:Theobroma_cacao_20110822:4:21640200:21640902:1 gene:TCM_019299 transcript:EOY04044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonspecific lipid-transfer protein, putative MDKKVMGFPWSIGLGVVGLVILVATAYAVDAMTCQQAITTLMPCQPFLTGAAPAPTVPCCLAVSNVNTAATTTQARRDLCECFEKAAPGFGVKPEKAKQLPQLCGVTVPVPIDPTINCKDIQ >EOY03450 pep chromosome:Theobroma_cacao_20110822:4:15853399:15856676:1 gene:TCM_018528 transcript:EOY03450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTGQSSDPPNPSLSVVAPFLRQPTSNPSALVDKTIQLQAIHGVQQVASSQNQAPTSPRFHRKSFLFIVIRGKASVIPITRDPVVYKDRHAVAFFEDKIQALAKPFMLSLVGKFTRMPKLAEIRLAFKGIGLAGAYEIGWLDYKHILIHLFNEHDFNWIWTKQNWFIANQKMWVFKCSLEIEAEKESPIVPIWISFPKLKAHLYEKLVLLLVAKIVGKPLFVDEATTKGSRPSVARICVEYDCRKLPVDQVWIVIQNRETGEVTKKLTVWCWEISPTYHNPRIRTKKHGQIEYVNSVTAGKEISLDVPLSTVRIEKAAISVAQSSTLEGEPFHGHGERNLTEKLTRMREAILIALLGGEESLAPAVERSALREKFKDYFTEPSARVATLLHRDGQQISESGLKSQNVSVDMLEGSGEHSSIDGQGASQIRCLVGHNWVDSTMACPCERMEGYDDNLPNLEFASGKFMYNKKLSTVPPFSGTNPVELEVHPLLWHKGHLDTATLIGRIISLASEEAVDMGENDGVSDDDSISSLAVEIHMPWIVGGDFNTILHSGERLNGAVPHEGCMEDFTVALLDCGLMDGGYEGNAFT >EOY03417 pep chromosome:Theobroma_cacao_20110822:4:15358012:15367029:-1 gene:TCM_018475 transcript:EOY03417 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding region RNP-1 (RNA recognition motif), putative isoform 1 MYPGGYTAEITSLSPKASEKDVRDFFAYCGAIEHVEIIRCGEYASTAYVTFKDAYSLETAVLLSGATIVDQSVCIARWGSNIDDAYPWEGSSWKALEDTSSTVTHMDQFVSTPGEAVTVVKKMLAKGYVLGKDALIKAKELDESYQVSATAAAKVSELSNKIGLTDKINTSMETVKSVDEKYHVSDITKSVVLVTGTAAVIAASFAGRTAVAAANAAVNSSYFAKGALWVSGMLSRAAQATADLGSHGNKETET >EOY03419 pep chromosome:Theobroma_cacao_20110822:4:15348584:15363494:-1 gene:TCM_018475 transcript:EOY03419 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding region RNP-1 (RNA recognition motif), putative isoform 1 MYPGGYTAEITSLSPKASEKDVRDFFAYCGAIEHVEIIRCGEYASTAYVTFKDAYSLETAVLLSGATIVDQSVCIARWGSNIDDAYPWEGSSWKALEDTSSTVTHMDQFVSTPGEAVTVVKKMLAKGYVLGKDALIKAKELDESYQVSATAAAKVSELSNKIGLTDKINTSMETVKSVDEKYHVSDITKSVVLVTGTAAVIAASFAGRTAVAAANAAVNSSYFAKGALWVSGMLSRAAQATADLGSHGNKETET >EOY03418 pep chromosome:Theobroma_cacao_20110822:4:15358132:15363606:-1 gene:TCM_018475 transcript:EOY03418 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding region RNP-1 (RNA recognition motif), putative isoform 1 MYPGGYTAEITSLSPKASEKDVRDFFAYCGAIEHVEIIRCGEYASTAYVTFKDAYSLETAVLLSGATIVDQSVCIARWGSNIDDAYPWEGSSWKALEDTSSTVTHMDQFVSTPGEAVTVVKKMLAKGYVLGKDALIKAKELDESYQVSATAAAKVSELSNKIGLTDKINTSMETVKSVDEKYHVSDITKSVVLVTGTAAVIAASFAGRTAVAAANAAVNSSYFAKGALWVSGMLSRAAQATADLGSHGNKETET >EOY06808 pep chromosome:Theobroma_cacao_20110822:4:32996972:33002605:-1 gene:TCM_021427 transcript:EOY06808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein isoform 1 MAFAALFLSATKLVGMILTVSIAANALSFSRYRKKNLRRFKSPIDESSDTLADFNVNGEGENVFFFGLATAPAHVEDRLHDAWLQFAEEIPCHKSETSDDQLQADAVMGAAAAADGSSHQAILTWKESNEMVKKKKKPLKVAMEAMIRGFQKFADDEVEEEEKAEGKLPASNEECYHNVAAWHNVPHPEERLRFWSDPDTELKLAKDSGISVFRMGIDWSRIMPQEPVNGLKDAVNYAALERYKWIISRVRSYGMKVMLTLFHHSLPPWAGDYGGWKLDKTVDYFIDFTKLVVNRVSDMVDYWITFNEPHVFCMLTYCAGAWPGGHPDMLEVATSALPTGVFKQVMHRMAIAHSKAYDFIHEQSTLSNKVVGVAHNVSFTRPYGLFDVAAVTLANSLTIFPYVDSISDKLDFMGINYYGQEVISGTGLKLVETDEYSESGRGVYPDGLFRMLIQFHERYKHLKVPFIITENGVSDETDVIRPPYLLEHLLAVYAAMIKGVPVLGYLFWTISDNWEWADGYGPKFGLVAVDRANDLTRVPRPSYYLFSKVVTTGKITREDRTRAWNELQKAATEKQKRPFYRAVNKYGLMYAGGLDKPTQRPYIERDWRFGHYEMEGLQDPLSRLARCLLRPFSLKKNRKSRKVDPELVLQPLELSV >EOY06809 pep chromosome:Theobroma_cacao_20110822:4:32997507:33002204:-1 gene:TCM_021427 transcript:EOY06809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein isoform 1 MAFAALFLSATKLVGMILTVSIAANALSFSRYRKKNLRRFKSPIDESSDTLADFNVNGEGENVFFFGLATAPAHVEDRLHDAWLQFAEEIPCHKSETSDDQLQADAVMGAAAAADGSSHQAILTWKESNEMVKKKKKPLKVAMEAMIRGFQKFADDEVEEEEKAEGKLPASNEECYHNVAAWHNVPHPEERLRFWSDPDTELKLAKDSGISVFRMGIDWSRIMPQEPVNGLKDAVNYAALERYKWIISRVRSYGMKVMLTLFHHSLPPWAGDYGGWKLDKTVDYFIDFTKLVVNRVSDMVDYWITFNEPHVFCMLTYCAGAWPGGHPDMLEVATSALPTGVFKQVMHRMAIAHSKAYDFIHEQSSTLSNKVVGVAHNVSFTRPYGLFDVAAVTLANSLTIFPYVDSISDKLDFMGINYYGQEVISGTGLKLVETDEYSESGRGVYPDGLFRMLIQFHERYKHLKVPFIITENGVSDETDVIRPPYLLEHLLAVYAAMIKGVPVLGYLFWTISDNWEWADGYGPKFGLVAVDRANDLTRVPRPSYYLFSKVVTTGKITREDRTRAWNELQKAATEKQKRPFYRAVNKYGLMYAGGLDKPTQRPYIERDWRFGHYEMEGLQDPLSRLARCLLRPFSLKKNRKSRKVDPELVLQPLELSV >EOY06810 pep chromosome:Theobroma_cacao_20110822:4:32996972:33002605:-1 gene:TCM_021427 transcript:EOY06810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein isoform 1 MAFAALFLSATKLVGMILTVSIAANALSFSRYRKKNLRRFKSPIDESSDTLADFNVNGEGENVFFFGLATAPAHVEDRLHDAWLQFAEEIPCHKSETSDDQLQADAVMGAAAAADGSSHQAILTWKESNEMVKKKKKPLKVAMEAMIRGFQKFADDEVEEEEKAEGKLPASNEECYHNVAAWHNVPHPEERLRFWSDPDTELKLAKDSGISVFRMGIDWSRIMPQEPVNGLKDAVNYAALERYKWIISRVRSYGMKVMLTLFHHSLPPWAGDYGGWKLDKTVDYFIDFTKLVVNRVSDMVDYWITFNEPHVFCMLTYCAGAWPGGHPDMLEVATSALPTGVFKQVMHRMAIAHSKAYDFIHEQSSTLSNKVVGVAHNVSFTRPYGLFDVAAVTLANSLTIFPYVDSISDKLDFMGINYYGQEVISGTGLKLVETDEYSESGRGVYPDGLFRMLIQFHERYKHLKVPFIITENGVSDETDVIRPPYLLEHLLAVYAAMIKGVPVLGYLFWTISDNWEWADGYGPKFGLVAVDRANDLTRVPRPSYYLFSKVKLHVKTGREHGMNFKKLLQRSKKDLSIGQLINTV >EOY06600 pep chromosome:Theobroma_cacao_20110822:4:32424579:32430331:-1 gene:TCM_021272 transcript:EOY06600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Penguin, putative MAAKNQQTQKPKKRKQISIVEVERDGSKSKKPKLLASKPSKDGSSKPLKKPFKLPKQKREQPNDSKFEKSESGNENKELTKRERRLLAKELAEARKKKRKPHYTLEQELASLWEKMRRRNIAKEDRSKLITEALQKMKGKISEIAGSHVSSRVLQTCVKYCSQTERDAVFAELRPHLLTLSCNAYAVHLVKKMLDTASKTQLAGVISSLRGHVASLLRHMVGSVVIEHAYQLGNATQKQELLMELYSTELQLFKDLASIKESRLMDVISKLGLQKSSVLRHMNSVIQPILEKGIVDHSMIHRVLLEYLSIADQSSAADLIQQLSGPLLVRMIHTRDGSKIGMLCVKHGSAKERKKIIKGMKGHISKIAHDQCGCMVLICIVSMVDDTKLITKIVIRELQTTLKELVLDKSGRRLLLQLLHPNCSRYLSPDDLASLNLSVPSLSIKNELEVKSEAISRDEESSKVAARSVPDVTAPEFGNSDTPAENYVAEGGKKDPSLRRRELLVSGGLAENLVDVCIENAGELLRSNFGKDLLFEIAMGGFDGILHLSLDEKLNNLYEAIAALAAKPKSEESGEEHVLENFHSSRTIRKLVLDCPAFASTLWKKSLEGKCQLWAQGYSSKVVCAFWESSDSKVHKLAKEELRPLIDGGILKIPETKQSTKG >EOY05962 pep chromosome:Theobroma_cacao_20110822:4:30411322:30412239:1 gene:TCM_020819 transcript:EOY05962 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein, putative MESGKQGSSDASSEENDRVDRVKGDTAATTKRSYECTFCKRGFTNAQALGGHMNIHRKDRAKAKQPTSSSVPSEPMNEDYMNPGYLPPISTEPPKYYPVLEAQRNYHMYLQPPVSSPTLPYGYYESDFLVPARSQSLSMNEELLGANLSLQIGPTHVDDREVRRGIIKEDEVDLELRLGHHP >EOY03874 pep chromosome:Theobroma_cacao_20110822:4:20129827:20133104:1 gene:TCM_019085 transcript:EOY03874 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein isoform 2 MAPPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKQEGDLPVFGFHDPESFVQSIQKPRVIIMLVKAGAPVDQTIKTLSKYMEKGDCIIDGGNEWYENTERRAKAMAELGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNKELHCVFSEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIAASLDSRFLSGLKEERVEAAKVFKSGGLGDVLTEQTVDKARLIDDVRQALYASKICSYAQGMNLIREKSIEKGWDLKLGELARIWKGGCIIRAIFLDRIKKAYDRNPDLANLLVDPEFAKEIIDRQSAWRRVVCLAINSGISTPGMSSSLAYFDTYRRERVPANMVQAQRDYFGAHTYERIDMEGSFHTEWFKIAKQLKN >EOY03875 pep chromosome:Theobroma_cacao_20110822:4:20131050:20170071:1 gene:TCM_019085 transcript:EOY03875 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein isoform 2 MAPPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKQEGDLPVFGFHDPESFVQSIQKPRVIIMLVKAGAPVDQTIKTLSKYMEKGDCIIDGGNEWYENTERRAKAMAELGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNKELHCVFSEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIAASLDSRFLSGLKEERVEAAKVFKSGGLGDVLTEQTVDKARLIDDVRQALYASKICSYAQGMNLIREKSIEKGWDLKLGELARIWKGGCIIRAIFLDRIKKAYDRNPDLANLLVDPEFAKEIIDRQSAWRRVVCLAINSGISTPGMSSSLAYFDTYRRERVPANMVQAQRDYFGAHTYERIDMEGSFHTEWFKLNEIILVP >EOY03876 pep chromosome:Theobroma_cacao_20110822:4:20129878:20132478:1 gene:TCM_019085 transcript:EOY03876 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein isoform 2 FRCLFFSRIIEKSDFLRFGNMAPPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKQEGDLPVFGFHDPESFVQSIQKPRVIIMLVKAGAPVDQTIKTLSKYMEKGDCIIDGGNEWYENTERRAKAMAELGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNKELHCVFSEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIAASLDSRFLSGLKEERVEAAKVFKSGGLGDVLTEQTVDKARLIDDVRQALYASKICSYAQGMNLIREKSIEKGWDLKLGELARIWKGGCIIRAIFLDRIKKAYDRNPDLANLLVDPEFAKEIIDRQSAWRRVVCLAINSGISTPGMSSSLAYFDTYRRERVPANMVQAQRD >EOY06033 pep chromosome:Theobroma_cacao_20110822:4:30697643:30700493:1 gene:TCM_020882 transcript:EOY06033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 1,4 isoform 2 MANDKLQVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHKEGAANPGDLPSNVKAAVNGVAFCGTLTGQLFFGWLGDKMGRKRVYGMTLILMVICSVASGLSLGHDPKAVMVTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGMVAIIVSAAFKAKYPAPTYEMDANGSTVPEADYVWRIILMFGALPALLTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVDLEAEQEKLEPNKGSDFGLFTREFLLRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKAKTMNALEEVHKIARAQTLIALCSTVPGYWFTVALIDKMGRFKIQLMGFFFMTVFMFALAIPYHHWTLPDNRIGFVIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKAGAMVGAFGFLLE >EOY06032 pep chromosome:Theobroma_cacao_20110822:4:30697503:30700539:1 gene:TCM_020882 transcript:EOY06032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 1,4 isoform 2 MANDKLQVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHKEGAANPGDLPSNVKAAVNGVAFCGTLTGQLFFGWLGDKMGRKRVYGMTLILMVICSVASGLSLGHDPKAVMVTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGMVAIIVSAAFKAKYPAPTYEMDANGSTVPEADYVWRIILMFGALPALLTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVDLEAEQEKLEPNKGSDFGLFTREFLLRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKAKTMNALEEVHKIARAQTLIALCSTVPGYWFTVALIDKMGRFKIQLMGFFFMTVFMFALAIPYHHWTLPDNRIGFVIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKAGAMVGAFGFLYAADSIGVKKSLIILGFINLLGMLFTFLVPESKGKSLEEISGEAEQENGTETQPGQQDSNGVQSVPV >EOY02762 pep chromosome:Theobroma_cacao_20110822:4:2158633:2162327:1 gene:TCM_017164 transcript:EOY02762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGSCVSVHKSSQESAMKLGLSFGSKTDKLIIPPSPVKEKPAANCDFALNVQSPSTLKDLGSKDETFFDSRAWLDSDCEDDFFSVNGDFTPSRGNTPVHHSFAMGTPQVKKGTEEGSPGSVSETSPTGKKKKLVELFRESIREDQDVNELNTPRNQDLANGKIEAKPTIQDLLPPKSADGTPYVSGANSLCTSERTANGDNPIFKEKPFKSVQCCLPSLVSCKSFSERKKKMSPAIVVHVTHP >EOY02761 pep chromosome:Theobroma_cacao_20110822:4:2158518:2162192:1 gene:TCM_017164 transcript:EOY02761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGSCVSVHKSSQESAMKLGLSFGSKTDKLIIPPSPVKEKPAANCDFALNVQSPSTLKDLGSKDETFFDSRAWLDSDCEDDFFSVNGDFTPSRGNTPVHHSFAMGTPQVKKGTEEGSPGSVSETSPTGKKKKLVELFRESIREDQDVNELNTPRNQDLANGKIEAKPTIQDLLPPKSADGTPYVSGANSLCTSERTANGDNPIFKEKPFKSVQCCLPSLVSCKSFSERKKKMSPAIVVHVTHP >EOY03872 pep chromosome:Theobroma_cacao_20110822:4:20092657:20093180:1 gene:TCM_019081 transcript:EOY03872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISICEFEILVYGISTTRDHNSGTTAYEFRLCVENIRKANYERTDPNFVMLTPNKEHLAKVIVWKIGKTPMVQSYVNAGNFESLPKELRPQGKIL >EOY05979 pep chromosome:Theobroma_cacao_20110822:4:30504048:30511624:-1 gene:TCM_020838 transcript:EOY05979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 9 MDYLKTVVPSQLLSERGSNLVVINPGSANIRVGLAKQDSPFIIPHCIARRTTQFSKLNVQDQLLNSQLTTAQHMEREKAYDVIASLLKIPFLDEEVANSSVPRKMGRVDGYNLQNTRKDVAFTWTDIHVKDIHSSVAPESSMDKSFINESLVQHEGTDSKEPTLTKRKFRAVICGEEALRISPTEPYCLRRPIRRGHLNISQHYPMQQVLEDLHALWDWILSDKLHISHQERSLYSAILVVPETFDNREIKEILSILLRDLCFSSAVVHQEGLAAVFGNGLSTACVVNMGAQVTSVICIEDGVALPNTEKTLPFGGEDISRCLLWTQRHHQTWPQIRTDILTKPIDLLMLNRLKVSYCEIKEGEVDAIAVVHSYEDAMPPGSHKTRLTALNVPPMGLFYPTLLIPDLYPPPPRSWFHDYEDMLEDTWHVEFPRRPDMPDGLYPGINVGLPMWDNYPIFSMKPKKEEKVGLAEAITSSILSTGRIDLQRKLFCSIQLIGGVALTGGLIPAVEERVLHAIPSNEAIDTVEVLQSRTNPTFVSWKGGAILGVLDFGRDAWIHREDWTRNGIHIGSGRKYKDSYFLQAQAMCYINS >EOY05139 pep chromosome:Theobroma_cacao_20110822:4:27340047:27347143:1 gene:TCM_020222 transcript:EOY05139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Winged-helix DNA-binding transcription factor family protein MDPTAPSASGGGGGGGGPAPFLLKTYDMVDDSSTDDIVSWSSNKKSFVVWNPPEFARLLLPTYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEDFVKDQKHLLKNIHRRKPIHSHSHPQGSLIDPERAGFEEEIEKLSREKAALEANVLRFRQERSAAKHQLEELAQRADQMERRQDTLFNFLEKAAQDPIFVEHLVRKIESMDVTAYNKKRRLPQVDQIKPVGENSLLDNNSSSRSEFGNIFHQDFSNKLRLELSPAVSDINLVSHSTQSSNEDGVSPQRRISEGEPKDAQTRPEGLLFTPETLDLSDTGTSFTFKMDSSFTQRVPMNESPPVHSLQQRLNSNEEPDSHISCQLNLTLASSSLHVNRSPSLTRMSQQGQETGKGPESRSNANTKDSDTRAFENNRNMVDDEAALSSPIEAPNINQEPAAPPVRVNDIFWEQFLTERPGSSENEEASSSYRANPYEEQEDKRSGYGLLRNAKNMEQLSL >EOY03773 pep chromosome:Theobroma_cacao_20110822:4:19159829:19160673:1 gene:TCM_018951 transcript:EOY03773 gene_biotype:protein_coding transcript_biotype:protein_coding description:B12D protein MGRWMKPEVYPLLGAMTFVTSMCIFQLTRNVFLNPAVRINKADRSKAVLENYEEGEKYAEHGLRKFLRTRPPEVMPAINHFFSEDK >EOY03204 pep chromosome:Theobroma_cacao_20110822:4:7767517:7768379:1 gene:TCM_017831 transcript:EOY03204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-sulfur lyases isoform 2 MEPKLVVHNGGCHCRKVRWHVLAPTSVVAWKCNCSDCSMRGNTHFVVPHERFELLGDSKEYLTTYTFGTHTAKHTFCKVCGITSFYTPRSNPDGIAVAFRCLDPGTLSDVEIRHYDGKNWENSYNQTGISSCSKEQSAIDSTQQQHFRE >EOY03201 pep chromosome:Theobroma_cacao_20110822:4:7766579:7768719:1 gene:TCM_017831 transcript:EOY03201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-sulfur lyases isoform 2 MEPKLVVHNGGCHCRKVRWHVLAPTSVVAWKCNCSDCSMRGNTHFVVPHERFELLGDSKEYLTTYTFGTHTAKHTFCKVCGITSFYTPRSNPDGIAVAFRCLDPGTLSDVEIRHYDGKNWENSYNQTGISSCSKEQSAIDSTQQQGKSNGKYSFGKQC >EOY03205 pep chromosome:Theobroma_cacao_20110822:4:7767639:7768105:1 gene:TCM_017831 transcript:EOY03205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-sulfur lyases isoform 2 LAFALVVCMEPKLVVHNGGCHCRKVRWHVLAPTSVVAWKCNCSDCSMRGNTHFVVPHERFELLGDSKEYLTTYTFGTHTAKHTFCKVCGITSFYTPRSNPDGIAVAFRCLDPGTLSDVEIRNPQLIPPSSRY >EOY03202 pep chromosome:Theobroma_cacao_20110822:4:7766573:7768638:1 gene:TCM_017831 transcript:EOY03202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-sulfur lyases isoform 2 MEPKLVVHNGGCHCRKVRWHVLAPTSVVAWKCNCSDCSMRGNTHFVVPHERFELLGDSKEYLTTYTFGTHTAKHTFCKVCGITSFYTPRSNPDGIAVAFRCLDPGTLSDVEIRHYDGKNWENSYNQTGISSCSKEQSAIDSTQQQESN >EOY03203 pep chromosome:Theobroma_cacao_20110822:4:7766573:7768638:1 gene:TCM_017831 transcript:EOY03203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-sulfur lyases isoform 2 MEPKLVVHNGGCHCRKVRWHVLAPTSVVAWKCNCSDCSMRGNTHFVVPHERFELLGDSKEYLTTYTFGTHTAKHTFCKVCGITSFYTPRSNPDGIAVAFRCLDPGTLSDVEIRHYDGKNWENSYNQTGISSCSKEQSAIDSTQQQN >EOY05853 pep chromosome:Theobroma_cacao_20110822:4:30079778:30085244:-1 gene:TCM_020756 transcript:EOY05853 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MAMELIPIGTILAVVTNQVIKTAQAAKDVVIEKDSFKVLAKHLFDIEPVLKELQLQQLNDSQAARLALESLEADVKKANNLVEKYKNRGRFYLLVKCRHIVNEVQEVTRDIGRSLASLSIANTEVLSGISDQVNRLQSEMQRVEFETSHSQLQIVDKLNQGLRDQKHDQGFANDMLEEIARAVGVPVEPSEISKELASFRREIEEAGNRKERAEVLFLEQVIELLSQADAARDYEEMKKQYFQRAQVIERYDATKEDIPPLKSFICRISGTVMVDPVSLCTGTTCERAAIEAQFDCGQKTDPETGDVLEVTSLRSNLPLRQSIEEWRELNYCLKIRACEAKLSSGVDSSALEALNQMQDLIRENTINKDWISIGGLTDSIISILGSSHNREVKKKILIILKDLVEGHARNKEKVTEHQGLDHIVPCLGRDRSISMAAVELLYELLQDRSNWNVSVCHQLSQKCSGILFLVTLLKGPVRESAEYAEKILNKLFDVDEENISRAARSGWYKPLIDRIVQGPESSRMSMMKALVTMELVDSNLKLLGEEGIMPSLLSMVDSGNLESKELSLSVLVKLSGCRANKELIAAAGGVPLVLKLMFSPHVRAILILRCSEIVEKLSSEGDGVKFFVDEKGVPLEMEPIIIDLLALQQNVNSSNNYRRPALRALLGICKSEAGLVKTAVLTANGVSLVLPLLDDPDSVVREISINLLFLFSQHELQGVVEYLLKPKRLEALVGFLENGNNSDVQMAAAGLLANLPKSEVPLTMKLIELDGLHAIINLLKSGTMEAKEHALSALFRFTDPTNVESQRIVVQQGAYPLLVSFLRVGTVTAKARAAALIGNLSMSSPKLTIVSKKTGCWCFRTSRVPLCPAHGGICNVEDSFCLLEAKALPYLVKLLHEEVEATAYEAIQTVSTLVQDSCLQKGVNVLHEAEAIKPVLEILSWGTDSLKEEALGLLEKVFVSREMVENYGSKARYLLVGLTGRNVNDDGRPGRKAMDT >EOY05854 pep chromosome:Theobroma_cacao_20110822:4:30079120:30086935:-1 gene:TCM_020756 transcript:EOY05854 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MAMELIPIGTILAVVTNQVIKTAQAAKDVVIEKDSFKVLAKHLFDIEPVLKELQLQQLNDSQAARLALESLEADVKKANNLVEKYKNRGRFYLLVKCRHIVNEVQEVTRDIGRSLASLSIANTEVLSGISDQVNRLQSEMQRVEFETSHSQLQIVDKLNQGLRDQKHDQGFANDMLEEIARAVGVPVEPSEISKELASFRREIEEAGNRKERAEVLFLEQVIELLSQADAARDYEEMKKQYFQRAQVIERYDATKEDIPPLKSFICRISGTVMVDPVSLCTGTTCERAAIEAQFDCGQKTDPETGDVLEVTSLRSNLPLRQSIEEWRELNYCLKIRACEAKLSSGVDSSALEALNQMQDLIRENTINKDWISIGGLTDSIISILGSSHNREVKKKILIILKDLVEGHARNKEKVTEHQGLDHIVPCLGRDRSISMAAVELLYELLQDRSNWNVSVCHQLSQKCSGILFLVTLLKGPVRESAEYAEKILNKLFDVDEENISRAARSGWYKPLIDRIVQGPESSRMSMMKALVTMELVDSNLKLLGEEGIMPSLLSMVDSGNLESKELSLSVLVKLSGCRANKELIAAAGGVPLVLKLMFSPHVRAILILRCSEIVEKLSSEGDGVKFFVDEKGVPLEMEPIIIDLLALQQNVNSSNNYRRPALRALLGICKSEAGLVKTAVLTANGVSLVLPLLDDPDSVVREISINLLFLFSQHELQGVVEYLLKPKRLEALVGFLENGNNSDVQMAAAGLLANLPKSEVPLTMKLIELDGLHAIINLLKSGTMEAKEHALSALFRFTDPTNVESQRIVVQQGAYPLLVSFLRVGTVTAKARAAALIGNLSMSSPKLTIVSKKTGCWCFRTSRVPLCPAHGGICNVEDSFCLLEAKALPYLVKLLHEEVEATAYEAIQTVSTLVQDSCLQKGVNVLHEAEAIKPVLEILSWGTDSLKEEALGLLEKVFVSREMVENYGSKARYLLVGLTGRNVNDDGRPGRKVAKVLSLLERYSKSSTSIIPGLF >EOY05856 pep chromosome:Theobroma_cacao_20110822:4:30079134:30086935:-1 gene:TCM_020756 transcript:EOY05856 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MAMELIPIGTILAVVTNQVIKTAQAAKDVVIEKDSFKVLAKHLFDIEPVLKELQLQQLNDSQAARLALESLEADVKKANNLVEKYKNRGRFYLLVKCRHIVNEVQEVTRDIGRSLASLSIANTEVLSGISDQVNRLQSEMQRVEFETSHSQLQIVDKLNQGLRDQKHDQGFANDMLEEIARAVGVPVEPSEISKELASFRREIEEAGNRKERAEVLFLEQVIELLSQADAARDYEEMKKQYFQRAQVIERYDATKEDIPPLKSFICRISGTVMVDPVSLCTGTTCERAAIEAQFDCGQKTDPETGDVLEVTSLRSNLPLRQSIEEWRELNYCLKIRACEAKLSSGVDSSALEALNQMQDLIRENTINKDWISIGGLTDSIISILGSSHNREVKKKILIILKDLVEGHARNKEKVTEHQGLDHIVPCLGRDRSISMAAVELLYELLQDRSNWNVSVCHQLSQKCSGILFLVTLLKGPVRESAEYAEKILNKLFDVDEENISRAARSGWYKPLIDRIVQGPESSRMSMMKALVTMELVDSNLKLLGEEGIMPSLLSMVDSGNLESKELSLSVLVKLSGCRANKELIAAAGGVPLVLKLMFSPHVRAILILRCSEIVEKLSSEGDGVKFFVDEKGVPLEMEPIIIDLLALQQNVNSSNNYRRPALRALLGICKSEAGLVKTAVLTANGVSLVLPLLDDPDSVVREISINLLFLFSQHELQGVVEYLLKPKRLEALVGFLENGNNSDVQMAAAGLLANLPKSEVPLTMKLIELDGLHAIINLLKSGTMEAKEHALSALFRFTDPTNVESQRIVVQQGAYPLLVSFLRVGTVTAKARAAALIGNLSMSSPKLTIVSKKTGCWCFRTSRVPLCPAHGGICNVEDSFCLLEAKALPYLVKLLHEEVEATAYEAIQTVSTLVQDSCLQKGVNVLHEAEAIKPVLEILSWGTDSLKEEALGLLEKVFVSREMVENYGSKARYLLVGLTGRNVNDDGRPGRKAMDT >EOY05855 pep chromosome:Theobroma_cacao_20110822:4:30079120:30085973:-1 gene:TCM_020756 transcript:EOY05855 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MAMELIPIGTILAVVTNQVIKTAQAAKDVVIEKDSFKVLAKHLFDIEPVLKELQLQQLNDSQAARLALESLEADVKKANNLVEKYKNRGRFYLLVKCRHIVNEVQEVTRDIGRSLASLSIANTEVLSGISDQVNRLQSEMQRVEFETSHSQLQIVDKLNQGLRDQKHDQGFANDMLEEIARAVGVPVEPSEISKELASFRREIEEAGNRKERAEVLFLEQVIELLSQADAARDYEEMKKQYFQRAQVIERYDATKEDIPPLKSFICRISGTVMVDPVSLCTGTTCERAAIEAQFDCGQKTDPETGDVLEVTSLRSNLPLRQSIEEWRELNYCLKIRACEAKLSSGVDSSALEALNQMQDLIRENTINKDWISIGGLTDSIISILGSSHNREVKKKILIILKDLVEGHARNKEKVTEHQGLDHIVPCLGRDRSISMAAVELLYELLQDRSNWNVSVCHQLSQKCSGILFLVTLLKGPVRESAEYAEKILNKLFDVDEENISRAARSGWYKPLIDRIVQGPESSRMSMMKALVTMELVDSNLKLLGEEGIMPSLLSMVDSGNLESKELSLSVLVKLSGCRANKELIAAAGGVPLVLKLMFSPHVRAILILRCSEIVEKLSSEGDGVKFFVDEKGVPLEMEPIIIDLLALQQNVNSSNNYRRPALRALLGICKSEAGLVKTAVLTANGVSLVLPLLDDPDSVVREISINLLFLFSQHELQGVVEYLLKPKRLEALVGFLENGNNSDVQMAAAGLLANLPKSEVPLTMKLIELDGLHAIINLLKSGTMEAKEHALSALFRFTDPTNVESQRIVVQQGAYPLLVSFLRVGTVTAKARAAALIGNLSMSSPKLTIVSKKTGCWCFRTSRVPLCPAHGGICNVEDSFCLLEAKALPYLVKLLHEEVEATAYEAIQTVSTLVQDSCLQKGVNVLHEAEAIKPVLEILSWGTDSLKEEALGLLEKVFVSREMVENYGSKARYLLVGLTGRNVNDDGRPGRKVAKVLSLLERYSKSSTSIIPGLF >EOY06553 pep chromosome:Theobroma_cacao_20110822:4:32249573:32250273:1 gene:TCM_021234 transcript:EOY06553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein Lea5-D MARPLSSLKLLVASVSDGLSLSISRRGYSVTPHGAVTAAFGRGEARPGMVGKVEQRGVMKEESGASTAWAPDPVTGYYRPENCLAEIDAAGLREMLLNHKVRAH >EOY03605 pep chromosome:Theobroma_cacao_20110822:4:17379042:17381032:-1 gene:TCM_018705 transcript:EOY03605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein 1 precursor isoform 1 MAGVQDQLEIKFRLTDGSDIGPKTFPAATSVATLKESVLAQWPKEKENGPRTVKDVKLISAGKILENNKTLGECQSPLCDIPGGVTTMHVVVQPPPLEKEKKATNQPKQNKCVCVIL >EOY03606 pep chromosome:Theobroma_cacao_20110822:4:17378533:17381967:-1 gene:TCM_018705 transcript:EOY03606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein 1 precursor isoform 1 MAGVQDQLEIKFRLTDGSDIGPKTFPAATSVATLKESVLAQWPKEKENGPRTVKDVKLISAGKILENNKTLGECQSPLCDIPGGVTTMHVVVQPPPLEKDEECWNWYRKRSWETLLLVKAVGILHIYHIGLVFPCCWSTPYLCS >EOY06593 pep chromosome:Theobroma_cacao_20110822:4:32399074:32407629:1 gene:TCM_021267 transcript:EOY06593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D P1, ZETA 1 isoform 3 MASEQLMSEGGPRYYQMQSEPLPSMMSSFFSFAQGVAPESTRIFDELPKATIVSVSRPDAGDISPMLLSYTIEFQYKQFKWRLLKKASHVFYLHFALKKRLFIEEIHEKQEQVKEWLQNLGIGDHTPVVQDDDEPDDDAVPLHHDESARNRDVPSSAALPVIRPALGRQSSMSDRAKVAMKEYLNHFLGNMDIVNSREVCKFLEVSKLSFSPEYGPKLKEDYVMVKHLPKIAKNDDSDRCCACHWFSCCNDNWQKVWAVLKPGFLALLGDPFDTKPLDIIVFDVLPASDGNGEGRVSLAAEVKERNPLRHAFKVTCGIRSIRLRAKSSAKVKDWVAAINDAGLRPPEGWCHPHRFGSFAPPRGLTDDGSQAQWFIDGRAAFEAIASSIEEAKSEIFICGWWLCPELYLRRPFHELASSRLDALLEAKAKQGVQIYILLYKEVALALKINSVYSKRKLLSIHENVRVLRYPDHFSTGVYLWSHHEKLVIVDNQICFIGGLDLCFGRYDTFEHKVGDNPPLVWPGKDYYNPRESEPNSWEDTMKDELDREKYPRMPWHDVHCALWGPPCRDVARHFVQRWNYAKRNKAPYEEAIPLLMPQQHMVIPHYMGRSKETDSESKNIEDNNKGIRRQDSFSSRSSLQDIPLLVPQEAEELDNFSGFPKLNGLDSTASKSASFAFRKSKIEPAVADTPMKGFVDDLDSLDLHLERSLDVKRQPGNKISDPEWWETQERGDQVGFVDDAGQVGPRTSCRCQIIRSVSQWSAGTSQIEESIHCAYCSLIEKAEHFVYIENQFFISGFSGDEIIQNRVLEALYRRIMRAYNDKKCFRVIIVIPLLPGFQQGGLDDAGAASVRAIMHWQYRTICRGQNSILHNLYDLLGPKTHDYISFYGLRAYGELFDGGPVATSPVYVHSKVMIIDDSTALIGSANINDRSLLGSRDSEIAVLIEDKELVDSQMGGNPWKAGKFALSLRLSLWSEHLGLHQGEINQIIDPISDSSYKDIWVATAKMNTTIYQDVFSCVPSDLIHTRLALRQSIMFWKERLGHTTIDLGIAPEKLESYHSGDIRKTDPMDRLKSVRGHLVSFPLDFMCKEDLRPVFNESEYYASPQVFH >EOY06594 pep chromosome:Theobroma_cacao_20110822:4:32398879:32405648:1 gene:TCM_021267 transcript:EOY06594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D P1, ZETA 1 isoform 3 MASEQLMSEGGPRYYQMQSEPLPSMMSSFFSFAQGVAPESTRIFDELPKATIVSVSRPDAGDISPMLLSYTIEFQYKQFKWRLLKKASHVFYLHFALKKRLFIEEIHEKQEQVKEWLQNLGIGDHTPVVQDDDEPDDDAVPLHHDESARNRDVPSSAALPVIRPALGRQSSMSDRAKVAMKEYLNHFLGNMDIVNSREVCKFLEVSKLSFSPEYGPKLKEDYVMVKHLPKIAKNDDSDRCCACHWFSCCNDNWQKVWAVLKPGFLALLGDPFDTKPLDIIVFDVLPASDGNGEGRVSLAAEVKERNPLRHAFKVTCGIRSIRLRAKSSAKVKDWVAAINDAGLRPPEGWCHPHRFGSFAPPRGLTDDGSQAQWFIDGRAAFEAIASSIEEAKSEIFICGWWLCPELYLRRPFHELASSRLDALLEAKAKQGVQIYILLYKEVALALKINSVYSKRKLLSIHENVRVLRYPDHFSTGVYLWSHHEKLVIVDNQICFIGGLDLCFGRYDTFEHKVGDNPPLVWPGKDYYNPRESEPNSWEDTMKDELDREKYPRMPWHDVHCALWGPPCRDVARHFVQRWNYAKRNKAPYEEAIPLLMPQQHMVIPHYMGRSKETDSESKNIEDNNKGIRRQDSFSSRSSLQDIPLLVPQEAEELDNFSGFPKLNGLDSTASKSASFAFRKSKIEPAVADTPMKGFVDDLDSLDLHLERSLDVKRQPGNKISDPEWWETQERGDQVGFVDDAGQVGPRTSCRCQIIRSVSQWSAGTSQIEESIHCAYCSLIEKAEHFVYIENQFFISGFSGDEIIQNRVLEALYRRIMRAYNDKKCFRVIIVIPLLPGFQGGLDDAGAASVRAIMHWQYRTICRGQNSILHNLYDLLGPKTHDYISFYGLRAYGELFDGGPVATSPVFSCTDANICQFCLILFTVA >EOY06592 pep chromosome:Theobroma_cacao_20110822:4:32397896:32406934:1 gene:TCM_021267 transcript:EOY06592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D P1, ZETA 1 isoform 3 MASEQLMSEGGPRYYQMQSEPLPSMMSSFFSFAQGVAPESTRIFDELPKATIVSVSRPDAGDISPMLLSYTIEFQYKQFKWRLLKKASHVFYLHFALKKRLFIEEIHEKQEQVKEWLQNLGIGDHTPVVQDDDEPDDDAVPLHHDESARNRDVPSSAALPVIRPALGRQSSMSDRAKVAMKEYLNHFLGNMDIVNSREVCKFLEVSKLSFSPEYGPKLKEDYVMVKHLPKIAKNDDSDRCCACHWFSCCNDNWQKVWAVLKPGFLALLGDPFDTKPLDIIVFDVLPASDGNGEGRVSLAAEVKERNPLRHAFKVTCGIRSIRLRAKSSAKVKDWVAAINDAGLRPPEGWCHPHRFGSFAPPRGLTDDGSQAQWFIDGRAAFEAIASSIEEAKSEIFICGWWLCPELYLRRPFHELASSRLDALLEAKAKQGVQIYILLYKEVALALKINSVYSKRKLLSIHENVRVLRYPDHFSTGVYLWSHHEKLVIVDNQICFIGGLDLCFGRYDTFEHKVGDNPPLVWPGKDYYNPRESEPNSWEDTMKDELDREKYPRMPWHDVHCALWGPPCRDVARHFVQRWNYAKRNKAPYEEAIPLLMPQQHMVIPHYMGRSKETDSESKNIEDNNKGIRRQDSFSSRSSLQDIPLLVPQEAEELDNFSGFPKLNGLDSTASKSASFAFRKSKIEPAVADTPMKGFVDDLDSLDLHLERSLDVKRQPGNKISDPEWWETQERGDQVGFVDDAGQVGPRTSCRCQIIRSVSQWSAGTSQIEESIHCAYCSLIEKAEHFVYIENQFFISGFSGDEIIQNRVLEALYRRIMRAYNDKKCFRVIIVIPLLPGFQGGLDDAGAASVRAIMHWQYRTICRGQNSILHNLYDLLGPKTHDYISFYGLRAYGELFDGGPVATSPVYVHSKVMIIDDSTALIGSANINDRSLLGSRDSEIAVLIEDKELVDSQMGGNPWKAGKFALSLRLSLWSEHLGLHQGEINQIIDPISDSSYKDIWVATAKMNTTIYQDVFSCVPSDLIHTRLALRQSIMFWKERLGHTTIDLGIAPEKLESYHSGDIRKTDPMDRLKSVRGHLVSFPLDFMCKEDLRPVFNESEYYASPQVFH >EOY06456 pep chromosome:Theobroma_cacao_20110822:4:31977906:31980780:-1 gene:TCM_021170 transcript:EOY06456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative MLNLSRKTSSFFHRLSYIVMNNSSSNSHLFLRVRCISNTCSDPSQSFAFSYLIDKFGFSQKSALAASKYLLFKTPDKPDSVIAFLEKHGFSKTQIQQIVKVRPPVLYCNVENNILPKLEFFRSKGVSSPDLIKLLSCNPRILCRSLENQIIPCFNYLSNLLQSDDKAVKALKRYPYLVSCNFDAYMLPNINTLLDNGVPESNIRAMFLHHPRSFVMIPDRFKEIVKEVKEMGFDPMLMKFIGAVIMFRKMSKSTLERKFDVYNKWGWSEQESWDAFCKNPYCMEASEEKIMAIMDFLVNKMGFQSLLIADQPNVLGRSLKKRIVPRGLFAQDLLSKGLIKKLTLSGLFDTSEKVFLQRFIIRYEDVAPQLLKLYKEKMDLAIGGLVCHLFRVMKGIRENEFQFFEVYICFSGVWIGENQQIHIGEDFNVYKKCGWTDEEICLAFPGCPLYNLAASENSLRLQIISCRQNGASQSAVTFQLTIRKNQLVEELHAVGYFFPHLVLPYQYSCV >EOY02828 pep chromosome:Theobroma_cacao_20110822:4:2441396:2444076:1 gene:TCM_017231 transcript:EOY02828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKPYPTISKREMNTTQSNPVAKQHLFTSDEVLFTNPASKPTVTNFAKNQSNVRAAFITAMRRLGRVGVKTGKAGEMKMIHCNSGCTWDQNRCFFTGHLACTREVQNPAADRHDSNQATISTIRESATKVPEKASNTCLSSNVQNSLNRTVTVSTDFGKPWVS >EOY05346 pep chromosome:Theobroma_cacao_20110822:4:28172656:28175047:1 gene:TCM_020365 transcript:EOY05346 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MERKMQFTLPPALPEGCIANILSFTSPLDACRSALVSPTFRSAASSDAVWERFLPADYQDIISKSSLKLSSLDSLSKKDLVFHLCHHPVILNNGSLSFTLDKWTGKKCYMLGARELSIIWGDTHTYWRWLSRPESRFSEVAELKVVCWLDIKGKIDTKTLSTKTTYAAYLVYKFATFRHGFKRSPVNMQVKFEEEEGGVTSIVFLDPPFATREQSQHRENGWMEIKMGEFYNEQGDDGTVVCSLWEVDNFTSKHGLIIEGIELRPKRNL >EOY03895 pep chromosome:Theobroma_cacao_20110822:4:20261964:20263502:1 gene:TCM_019113 transcript:EOY03895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGIGVKRYSTLLTNGNQLNKHEVKVVQVREFSAPNGEYNQDKLLEHVPKDVARKIVVSIPLSLTPSPDELYWALTSSAGCAVSDSDGHWLRGFTVKLDSYSAYQAKLWQYIYQSLKLAWELGLRRIWLQVDNRMVVNAVTTPSSRPYANSDLLQVIRTFLQRQWEVKILHIYQEGNMIADHMAN >EOY05048 pep chromosome:Theobroma_cacao_20110822:4:26961745:26970129:-1 gene:TCM_020153 transcript:EOY05048 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein isoform 1 MMLQAPKILLLMVILSHCTIYTLQHLHLYCMTELRSLFLLTGIQHHCLGRFIQTYGTHIIVGMAIGGQDLICVRQNNSSTIPPAELRGYLEDLGDVMFSDGKSPSLLQRKTRDGKLKVPEVFNRILQSNTMQLASIAETSSKDGLSVICSKRGGNVFLHSHSNWLQTVPAKPEGILFKFVPITSLLTGIPGSGYLSHAINLYLRYKPTPEDLRYFLEFQVPRQWAPMFCELPLRHQMKKASCPSLQFSFMGPKIHVSSTQVSSDLKPIVGLRLFLEGKKCNQLAIHVQHLSSLPNIMTFTSGRPCQWRGSDDYNSSDQFLEPVRWKRYSNVCTSVVKHDPNWLKEVSSGVFIVTGAQLLNKGKWPKTVLHLRLLYTHIPNCTIRKTEWAAAPETSRKTGFLTNLSTTFTFTQRAVTEQQKQAPTALNSGVYPDGPPTPIRSKKLLKYLDVSEVVHGPHDAPGHWLVTAAKLVNEGGKISLQVKFALLDYP >EOY05047 pep chromosome:Theobroma_cacao_20110822:4:26961745:26971640:-1 gene:TCM_020153 transcript:EOY05047 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein isoform 1 MVMEDTEKPIEVRAMEALGKGIDISGDFRLKYAKGTRLVVLDETNKRDIVFPGAFTVQGVSQDIRLDKGDRIRFKSDVLEFNQMSELLNQKSSIQGKVPSGYLNTIFDLSGDWLHDAAGTKNLAFDGYFISLYHLHLTASPLVLHDRVKKSVPPHWDPASLSRFIQTYGTHIIVGMAIGGQDLICVRQNNSSTIPPAELRGYLEDLGDVMFSDGKSPSLLQRKTRDGKLKVPEVFNRILQSNTMQLASIAETSSKDGLSVICSKRGGNVFLHSHSNWLQTVPAKPEGILFKFVPITSLLTGIPGSGYLSHAINLYLRYKPTPEDLRYFLEFQVPRQWAPMFCELPLRHQMKKASCPSLQFSFMGPKIHVSSTQVSSDLKPIVGLRLFLEGKKCNQLAIHVQHLSSLPNIMTFTSGRPCQWRGSDDYNSSDQFLEPVRWKRYSNVCTSVVKHDPNWLKEVSSGVFIVTGAQLLNKGKWPKTVLHLRLLYTHIPNCTIRKTEWAAAPETSRKTGFLTNLSTTFTFTQRAVTEQQKQAPTALNSGVYPDGPPTPIRSKKLLKYLDVSEVVHGPHDAPGHWLVTAAKLVNEGGKISLQVKFALLDYP >EOY06000 pep chromosome:Theobroma_cacao_20110822:4:30580794:30582999:1 gene:TCM_020858 transcript:EOY06000 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative MQSRITLNSRRESLKSCQKERAYQAPVKMASEISGEYNRSKELKDFDDTKAGVKGLVDAGIVSIPKIFIRPAEELAEELNSCRINCEVPIIDLTNIQEGNRRKEIVDEVRVASTEWGFFQVVNHGIPLSVLDEMIDGVRRFNEQDLESKQEFYSRDPTKKVRFHSNFDLYASRTADWRDTLTLSFLDSDPQPEELPAVCRESTSEYTKYIRKLGETLFELLSEALGLQADHLVSLGFAKGCSIVCHYYPACPQPELTLGARKHADPGLLTVLLQNEINGLQVLREGQWFDVHPVRGGLVVNIGDLLQIVSNDKLKSVKHRVVATHVGPRISVPCFFSGHSSLLDKPFRPIKELTSEANPPRYKDVVLREYVARFLSGSLDGKPPIDYYKQ >EOY03063 pep chromosome:Theobroma_cacao_20110822:4:4075606:4079370:1 gene:TCM_017487 transcript:EOY03063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein MSERRVLLICGDYAEDSEVMVPFQALQAYGVSVDAVCPGKKAGDFCRTAIHQSSVHQTYSQSRGHNFTLNASFDEIDHTQYHGLILPGGRAPEYLAVNESVVDLVRNFVNSGKPVASICHGPLILAAAGSVNGRKCTGYRAVRPALIAAGALWVEPETLAACVVDGNIITGCTYKGHPEFIRLFVKALGGIITTPKKRILFLCGDYMEDYEVTVPFQSLQALGCHVDAVCPKKKAGDTCPTAVHDFEGDQTYSEKPGYDFTLTANFEGIDASSYDALVIPGGRAPSYLALDETVIALVKKFMESRKPVASICHGQQILAAAGVLKGMKCTAYPAVKLNVVLAGATWLEPDPIHRCFTDGNLVTGAAWPGHPEFISQLMALLGIKVTF >EOY03951 pep chromosome:Theobroma_cacao_20110822:4:20626461:20629568:1 gene:TCM_019165 transcript:EOY03951 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor family protein MKRERQEICGATSYGSGSGSKSESSTIGNPNKEKLWEEEQEAGGMDELLAVLGYKVRYSDMADVAQKLEQLEMVMGTAQEDGISHLSCDTIHYNPSDLSGWVQSMLTELNNPAAVNLDPVLANSDSNSSILGQINQDPSKPQRQQQLVSSNQSQVYNDDSEYDLRAIPGVAANPPPKSESDSESTRKRMKTSVGLSGSTGYGSSSSSPQGIGVSGAVTESTRPVVLIDSQETGVRLVHTLMACAEAVQQDNLKLADALVKHIGLLAASQAGAMRKVATYFAEALARRIYRIYPQDSLDPSYTDILQMHFYETCPYLKFAHFTANQAILEAFATANSVHVIDFGLKQGMQWPALMQALALRPGGPPAFRLTGIGPPQPDNSDALQQVGWKLAQLANTIGVEFEFRGFVATSLADLEPEMLEIRPPEVEAVAVNSVFELHRLLARPGGIEKVLSSIKAMKPKILTVVEQEANHNGPFFLDRFTEALHYYSSLFDSLEGSGVGPPSQDLVMSELYLGRQICNVVACEGMDRIERHETLTQWRTRMETAGFSPVHLGSNAYKQASMLLALFAGGDGYRGRFFLMGAFVTFKVGSNDKAYLFCPFEFFLCVLDFLNKWVWHDSHRERVMLVWHSVQIAPSPSGLQNLDSFGDVFEKKMKA >EOY05565 pep chromosome:Theobroma_cacao_20110822:4:29014498:29020378:-1 gene:TCM_020538 transcript:EOY05565 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-like carbohydrate kinase family protein isoform 1 MIVKHGMNSGFSSLASSAVLRRQQFLIRSLRGYSDHTHQKRMEGMKCFSGGASLEADSENVLRAIIPSLDPTRHKGQAGKIAVIGGCREYTGAPYFAAISALKIGADLSHVFCTEGAATVIKSYSPELIVHPILEESYSISNVDDEGRRYVSQKILAEFDKWMERFDCLVIGPGLGRDPFLLECVSKIMKHARQSNVPIVVDGDGLFLVTNSVDLVSGYPLAVLTPNVNEYKRLVQKVLGCDVNDQDTHGELLSLCKGIGGVTILRKGKCDLISDGEIVQSVGIYGSPRRCGGQGDILSGSVAVFLSWARQHMLATEGKPIISPKNPTVLGCIAASALLRKAASLAFEHKKRSTLTTDIIECLGQSLEAICPAA >EOY05566 pep chromosome:Theobroma_cacao_20110822:4:29014261:29019134:-1 gene:TCM_020538 transcript:EOY05566 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-like carbohydrate kinase family protein isoform 1 MIVKHGMNSGFSSLASSAVLRRQQFLIRSLRGYSDHTHQKRMEGMKCFSGGASLEADSENVLRAIIPSLDPTRHKGQAGKIAVIGGCREYTGAPYFAAISALKIVEGAATVIKSYSPELIVHPILEESYSISNVDDEGRRYVSQKILAEFDKWMERFDCLVIGPGLGRDPFLLECVSKIMKHARQSNVPIVVDGDGLFLVTNSVDLVSGYPLAVLTPNVNEYKRLVQKVLGCDVNDQDTHGELLSLCKGIGGVTILRKGKCDLISDGEIVQSVGIYGSPRRCGGQGDILSGSVAVFLSWARQHMLATEGKPIISPKNPTVLGCIAASALLRKAASLAFEHKKRSTLTTDIIECLGQSLEAICPAA >EOY05564 pep chromosome:Theobroma_cacao_20110822:4:29014584:29019155:-1 gene:TCM_020538 transcript:EOY05564 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-like carbohydrate kinase family protein isoform 1 MIVKHGMNSGFSSLASSAVLRRQQFLIRSLRGYSDHTHQKRMEGMKCFSGGASLEADSENVLRAIIPSLDPTRHKGQAGKIAVIGGCREYTGAPYFAAISALKIGADLSHVFCTEGAATVIKSYSPELIVHPILEESYSISNVDDEGRRYVSQKILAEFDKWMERFDCLVIGPGLGRDPFLLECVSKIMKHARQSNVPIVVDGDGLFLVTNSVDLVSGYPLAVLTPNVNEYKRLVQKVLGCDVNDQDTHGELLSLCKGIGGVTILRKGKCDLISDGEIVQSVGIYGSPRRCGGQGDILSGSVAVFLSWARQHMLATEGKPIISPKNPTVLGCIAASALLRKAASLAFEHKKRSTLTTDIIECLGQSLEAICPAA >EOY03608 pep chromosome:Theobroma_cacao_20110822:4:17384916:17391432:-1 gene:TCM_018706 transcript:EOY03608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase 13 isoform 1 MQLHVSPSMRSITISSNNGFIDLMKIKVAARHISYRTLFHTILILAFLLPFVFILTALVTLEGVNKCSSFDCLGRRLGPRLLGRVDDSGRLVKDFYKILNQVNTEEIPDDLKLPDSFDQLVSEMKNNQYDAKTFAFMLRAMMEKLEREIRESKFAELMNKHFAASSIPKGIHCLSLRLTDEYSSNAHARRQLPSPELLPVLSNNSYHHFVLSTDNILAAAVVVASAVQSSLEPEKIVFHIITDKKTYAGMHSWFALNPISPAIVEVKGVHQFDWLTRENVPVLEAVENHNGIRNYYHGNHVAGANLSDTTPRTFASKLQARSPKYISLLNHLRIYLPELFPNLDKVVFLDDDVVIQRDLSPLWEIDLRGKVNGAVETCKGEDEWVMSKRFRNYFNFSHPLIMKHLDPDECAWAYGMNIFDLRAWRKTNIREKYHSWLKEHDVLETEE >EOY03607 pep chromosome:Theobroma_cacao_20110822:4:17383411:17390910:-1 gene:TCM_018706 transcript:EOY03607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase 13 isoform 1 MQLHVSPSMRSITISSNNGFIDLMKIKVAARHISYRTLFHTILILAFLLPFVFILTALVTLEGVNKCSSFDCLGRRLGPRLLGRVDDSGRLVKDFYKILNQVNTEEIPDDLKLPDSFDQLVSEMKNNQYDAKTFAFMLRAMMEKLEREIRESKFAELMNKHFAASSIPKGIHCLSLRLTDEYSSNAHARRQLPSPELLPVLSNNSYHHFVLSTDNILAAAVVVASAVQSSLEPEKIVFHIITDKKTYAGMHSWFALNPISPAIVEVKGVHQFDWLTRENVPVLEAVENHNGIRNYYHGNHVAGANLSDTTPRTFASKLQARSPKYISLLNHLRIYLPELFPNLDKVVFLDDDVVIQRDLSPLWEIDLRGKVNGAVETCKGEDEWVMSKRFRNYFNFSHPLIMKHLDPDECAWAYGMNIFDLRAWRKTNIREKYHSWLKENLKSNLTMWKLGTLPPALIAFKGHVHPIDPSWHMLGLGYQSKTNIDNVKRAAVIHYNGQSKPWLQIGFEHLRPFWTKYVNYSNDFVRNCHILES >EOY04054 pep chromosome:Theobroma_cacao_20110822:4:21741663:21743969:-1 gene:TCM_019315 transcript:EOY04054 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative MEMAVEETKSLTQPITMKGGDGPLSYARNSSFQKGVVDATKGMIIQAIANKLDMEKLCTDSYKTFQIADFGCSIGPNTFFSMQNIIEAVEQRHHADHGNSATTLEFQVFFNDHLSNDFNTLFQALPPSRPYFAAGVPGSFYGRLFPTSSIHIGHSSSALQWLSKVPEEVVDSSSPAWNKGSIYCTGTEKEVAKAFSGQFENDVETFLNARAEELVGGGLMVILMGGVPDGISLSQTSIGKFYDFFGSCLVDLTKKGLISEEKANSFNLPLYFPSAKELKTLIERNGRFSIESMDEIVEQVKSAHALPNTQNHISHIRAGTEGLIKLHLGTEIVEDFFECYAKKHVETRFVFAENGADNTLIFMILKRK >EOY04780 pep chromosome:Theobroma_cacao_20110822:4:25886318:25896983:1 gene:TCM_019958 transcript:EOY04780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 3 MSCGGKEKQWSCGKAAAAAAAAAGAVNIQRVGSIVRDIGDPCLSQSPIKVSKMLKPEKWQATFDNEGKVSGFQKALRLIVLGGVDPSIRPEVWEFLLGCYALGSTADYRRQLRTARRERYKDLVKQCQTMHSSIGTGSLAYNVGSKVMDMRTSSKDGGKRESKVECRQASTDDTDKTEKYSDLGNNGTDKSYADQRECCSDITDLVSVRGNTQTAAYDSCFLSTSGLYGRCSSKIGGECHGSEFITECHFDFPPLPVTDLFEKSEDKKEFDANEEGYSAQCKLIFEDDNMHSFQINNNADLIMESNVSPSLSNNISCPFNSEIQLIHPDAYEPVLTSNNVSYKTETVNRLRISDVPETPLVNATISQEGAANDERVSEWLWTLHRIVVDVVRTDSHLEFYEDTRNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWHILELTDREIFAHLSNIGAESLHFAFPMLLVLFRRELSFNEALRMWEMMWAADFDESVTCNLEEICLEALIVQLPRDSGSEIGEENSENGNDGVKDGLQLKHSLSENDGIKSASAYPFCGLTRNFWSRNDRLQICNVVSSTRKGDDDLPVFCVAAILIMNRQKIIRETRSIDDMIKIFNDKLLKIHVKRCIGAAIKLRKKYLYKLIKNKGHPARNSE >EOY04782 pep chromosome:Theobroma_cacao_20110822:4:25889232:25893855:1 gene:TCM_019958 transcript:EOY04782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 3 MHSSIGTGSLAYNVGSKVMDMRTSSKDGGKRESKVECRQASTDDTDKTEKYSDLGNNGTDKSYADQRECCSDITDLVSVRGNTQTAAYDSCFLSTSGLYGRCSSKIGGECHGSEFITECHFDFPPLPVTDLFEKSEDKKEFDANEEGYSAQCKLIFEDDNMHSFQINNNADLIMESNVSPSLSNNISCPFNSEIQLIHPDAYEPVLTSNNVSYKTETVNRLRISDVPETPLVNATISQEGAANDERVSEWLWTLHRIVVDVVRTDSHLEFYEDTRNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWHILELTDREIFAHLSNIGAESLHFAFPMLLVLFRRELSFNEALRMWEMMWAADFDESVTCNLEEICLEALIVQLPRDSGSEIGEENSENGNDGVKDGLQLKHSLSENDGIKSASAYPFCGLTRNFWSRNDRLQICNVVSSTRKGDDDLPVFCVAAILIMNRQKIIRETRSIDDMIKIFNDKLLKIHVKRCIGAAIKLRKKYLY >EOY04781 pep chromosome:Theobroma_cacao_20110822:4:25889276:25896983:1 gene:TCM_019958 transcript:EOY04781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 3 MHSSIGTGSLAYNVGSKVMDMRTSSKDGGKRESKVECRQASTDDTDKTEKYSDLGNNGTDKSYADQRECCSDITDLVSVRGNTQTAAYDSCFLSTSGLYGRCSSKIGGECHGSEFITECHFDFPPLPVTDLFEKSEDKKEFDANEEGYSAQCKLIFEDDNMHSFQINNNADLIMESNVSPSLSNNISCPFNSEIQLIHPDAYEPVLTSNNVSYKTETVNRLRISDVPETPLVNATISQEGAANDERVSEWLWTLHRIVVDVVRTDSHLEFYEDTRNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWHILELTDREIFAHLSNIGAESLHFAFPMLLVLFRRELSFNEALRMWEMMWAADFDESVTCNLEEICLEALIVQLPRDSGSEIGEENSENGNDGVKDGLQLKHSLSENDGIKSASAYPFCGLTRNFWSRNDRLQICNVVSSTRKGDDDLPVFCVAAILIMNRQKIIRETRSIDDMIKRFSMISF >EOY06206 pep chromosome:Theobroma_cacao_20110822:4:31295615:31300591:-1 gene:TCM_021013 transcript:EOY06206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopropyl malate isomerase large subunit 1 MASSVGFPHPMFCLFNNKKDLSPSAFASTSQTIYKCKKPVSKNIGMVMAPQQSEHKPATTGSVKSPMTMTEKILARASEKPQLRPGDNVWVNVDVFMTNDISGPGSIGIFKKEFGEDAKLWDREKIVVIPDHYIFTSDERANRNVDILREFSMEQNIKYFYDIKDLSNFKANPNYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTEAGFVLGTGKLLLKVPQTLRFVMDGEMPHYLLAKDLILQIIGEISVAGATYKSMEFIGTTVESLSMEERMTLCNMVIEAGGKNGVVSTDSTTFKYLEDKTSVPYEPLYSDAQASFLSEFRFAVSKLEPLVAKPHSPDNRALARECKDIKIDRVYIGSCTGGKTEDFLAAAKVFLASGRKVKVPTFLVPATQKVWMDIYTVTVPGSGGKTCSQIFEEAGCDTPASPSCAACMGGPKDTYARMNEAQVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYITDPREFLQ >EOY05452 pep chromosome:Theobroma_cacao_20110822:4:28612512:28613567:-1 gene:TCM_020448 transcript:EOY05452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7 MAEVKLLAAWPSPFYYRVVWALKLKGIAYEFIEEDLANKSPLLLQYNPVHKKIPVLLHGGKPICESMIILEYIEEIWPQNSLLPSYPYDRAIARFWIKFADEKSPAIWMVFRTNGEEHEKAVKDSFEMLKTIEEHALGEKKFFGGDKINMVDIAFGQLAYWLPVIEDVTGVKLLEAGNFPRLQTWIKNFKQVPIIKENLPDRDEMFAFFKRRREMILASK >EOY05368 pep chromosome:Theobroma_cacao_20110822:4:28274427:28277722:-1 gene:TCM_020384 transcript:EOY05368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone and stilbene synthase family protein MVTVEEVRKAQRAEGPATVLAIGTSTPPNCVDQSTYPDYYFRITNSEHKTELKEKFKRMCEKSMIKRRYMYLTEEILKENPNICAYMATSLDARQDMVVVEVPKLGKEAATRAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRVAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAVIIGADPVPEIEKPMFELVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLAEAFQPLGISDWNSLFWIAHPGGPAILDQVEAKLALKPEKLRATRHVLSEYGNMSSACVLFILDEMRKKSREDGLKTTGEGLEWGVLFGFGPGLTVETVVLHSISA >EOY05311 pep chromosome:Theobroma_cacao_20110822:4:28001127:28007533:-1 gene:TCM_046761 transcript:EOY05311 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory complex, non-ATPase subcomplex, Rpn2/Psmd1 subunit MAAAAATMVSSAGGLLARLNESHPQLKFHALSNLISFVDQFWPEISTSVPIIESLYEDEDFGQDQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDVFEDSDYVHTLLAKAIDEYASRRSKAAESSDEAAKVDPRLEAIVERMLDKCIMDGKYQQAMGIAVECLRLDKLEEAITRSDNVRGALAYCISVSHSFVYRQEYRLEVLRLLVRVYQQLPSPDYLSICQCLMFLDEPEGVANILEKLLRSEKKEDALLAFQVAFDLVENEHQAFLLNVRDRLSAPKSLPSESLQPVANDPAPAQNENSTDPEDVQMTDGSAAATTNVQEADPKEVMYAERLTKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSICHGATIYANAIMHAGTTVDTFLRDNLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTNVEVIQHGACLGLGLAALGTADEEIYDNIKSVLYTDSAVAGEAAGISMGLLMVGTASEKASEMLAYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVHINEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKVTAVVGLAVFSQFWYWYPLIYFVNLSFSPTAFIGLNYDLKVPRFEFLSHSKPSLFEYPKPTTVPTTTSAVKLPAAVLSTSAKAKARAKKEAEQKANAEISSGAESSSTGPSTGKGKSSSEKDGEAMQVDNPPEKKVEPEPSFEILTNPARVVPAQEKFIKFLEDSRYVPVKLAPSGFVLLRDLHPDEPEVLSLTDAPASTASAAGGSAAGQQSSSSAMAVDDEPQPPQPFEYTS >EOY03377 pep chromosome:Theobroma_cacao_20110822:4:13911380:13913583:-1 gene:TCM_018365 transcript:EOY03377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPHGMSKGDIWHDKRVIRVSFLFFLKILCACTTSSLPPTTLNPYLCLYTAFVSFLLFFPLFLFSFFFLSHLTFFFRNQ >EOY05941 pep chromosome:Theobroma_cacao_20110822:4:30309749:30312452:1 gene:TCM_020804 transcript:EOY05941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKHMHPKIPRLAKEKADTLAKGGVQREQGLFWVHQVGSSYGDVDADDGGSTFSESCSCVLPADCVRFDFFAQLVAAIRPLHGEQVLLKKFIPNLESFQRGPVPPSGHSHFSCIPRSSGRCVLDAMNVAGPLSRSPPPFPAMSFKRSAAAATSMENKSNN >EOY04428 pep chromosome:Theobroma_cacao_20110822:4:24289491:24290702:1 gene:TCM_019684 transcript:EOY04428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATAQFQISGRKPLQAKNFAATGNNNIAVVSKEGSKIKKSKPKQGQERMGVEIWVADESNKENLNQNHPHIPTYAKPTPAKKIEALDLDSSLAEELSAIRKKLERLRLDKEKTERMLKEKDAVLHFQTKELEDRGQIQKQLEIEVDRLFRLKELKSYCMRISPIKSLRERQQDDKKINGGQLHSLEIKAEDSEEESVDENTLQSPTPSDSSEFHIENNDD >EOY03140 pep chromosome:Theobroma_cacao_20110822:4:6132915:6149908:-1 gene:TCM_017685 transcript:EOY03140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPAPAAFRRECVTDIFSHCMQRRNNLNLVPFDLEIEITFRRHQRENLQVAALSQTMAEDNNNNGNNTINLVFEANRALRDYVVPLLQGCTKALGDLPSMQIILKLNQLTFR >EOY05664 pep chromosome:Theobroma_cacao_20110822:4:29416996:29420921:-1 gene:TCM_020612 transcript:EOY05664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MGKKELRDQKVDERVEAVLQLVRKQSPLTVKQEKFCNYACVERFLRAKGDNVKKAAKHLRACLSWRESIGTENLIADEFSAELAEGVAYVAGHDEESRPVMIFRIKQDYQKFHSQKLLTRLLVFTLEVAVGTMPKNVEQFVLLFDASFFRSASAFMNSLLAALKIVAEYYPGRLYKACVIDPPSLFSYIWKGVRPFVELSTATMVVSSLDFEESLEFNDFASYPRASSLRFDPSSIKSTAKIGSCSSSRFSFTVSHHFDSLKPWYLTLTDSSASKVGPTSPSPFGPALISPLNARSLSFASPAARTPRGNISMRKSLFPSTPLPQRSKGSESLKANHPRTPRPSFLQSPAMFFRRDCHVSTKTEKSRESFLPFLKFYRRPYDEMIYRSMMRPPLGGLISIVKRRHMSASQRF >EOY05665 pep chromosome:Theobroma_cacao_20110822:4:29398428:29420910:-1 gene:TCM_020612 transcript:EOY05665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MGKKELRDQKVDERVEAVLQLVRKQSPLTVKQEKFCNYACVERFLRAKGDNVKKAAKHLRACLSWRESIGTENLIADEFSAELAEGVAYVAGHDEESRPVMVNLISSFNSHFFFPLSFSRELKHGKLSSRVLSLLQIFRIKQDYQKFHSQKLLTRLLVFTLEVAVGTMPKNVEQFVLLFDASFFRSASAFMNSLLAALKIVAEYYPGRLYKACVIDPPSLFSYIWKGVRPFVELSTATMVVSSLDFEESLEFNDFASYPRASSLRFDPSSIKSTAKIGSCSSSRFSFTVSHHFDSLKPWYLTLTDSSASKVGPTSPSPFGPALISPLNARSLSFASPAARTPRGNISMRKSLFPSTPLPQRSKGSESLKANHPRTPRPSFLQSPAMFFRRDCHVSTKTEKSRESFLPFLKFYRRPYDEMIYRSMMRPPLGGLISIVKRRHMSASQRF >EOY05894 pep chromosome:Theobroma_cacao_20110822:4:30210291:30213071:1 gene:TCM_020784 transcript:EOY05894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MDPSNSISSDEQTVQAEISTRLKGELYKAALDGNVEAFIAYQGPLHCLVTPNQNTVLHIYLAIPNYRIIAFWPFKFRIPLKNSRSTNFVEEIVNRSPSLLLKPNAKGEIPLQIAARFGHANIVELLVKRAKAEHRHLENGIEPAKRMLRMTDNEENTALHKAIRYGHLDAVHALIQEDPGFSYSVNKSGETPLYIAARRGYHRLVKVILDKCESAKHDGPCGRTALHAAVMAADRRSTREILRKRSNLTKATDENGRTPLHYAAHIGYRYQIVKLLLECDESAAYITDKEKMTPLLMAARQGHNLTMREIINHCPGCCEIVDKRGWNFYHFAAASLPITNLHEIFFGPNCRRLVDEEDIHGNTPSQVFAASRLSPPIGTLDFVTDDMVTIRKDNLDSKKKEQIFQLLDEVGSGEVAGVAVRPLLVRKKGNHQGFDKDREARAVVAGLIVTVTFAAALTLPGGYKSDQGTAMLSHNYAFNAFVIADAIAMISSVLVINFNLWSSRPNVTGRERQYSTHLMAMFAGIAEVALVVAFVTGLYAVLKPSSALAISTSIIILGFFICYYRLSLKIVDVL >EOY03460 pep chromosome:Theobroma_cacao_20110822:4:16133487:16135751:-1 gene:TCM_018552 transcript:EOY03460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein 34, putative MDIAPTSTTPLSTTPKSPEPESETPTRIQPTKPVSFTNGVLKRHQPHHHPVHHHHHHPHAPPIVITYKKCLKNHAANLGGHALDGCGEFMPSPTATPTDPTSLKCAACGCHRNFHLREPEDPPLATATATIEYQPHHRHHPPPPATQPHRSPNSASPPPISSSYYPSAPHMLLALSGGLAGALDNTHNNSHLPAGAFAERVGWKMQKRDEELVQEFCSEVGVDRGVLKVWMHNNKNTFGKKDQANGGGSGGVGSRNNGSGNNNNIDSELNHEENHESHENNNNSGQNLNHHFESDSVAHVGTNGSSSSS >EOY02813 pep chromosome:Theobroma_cacao_20110822:4:2350895:2351945:1 gene:TCM_017207 transcript:EOY02813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLLGLILPPSFALDLIVLVAKTLPRTTSSFSRSHPSSFSAKPSPSLLRYMPSLALSKVPGINYRHLTSENRNGKHHLVKNKSKASIRDIMVSTPSECGDQSKIGDSMTSISSPLHTALREFASRNWEQPLAAIDLVPRKTVEEMISDNDGSMVKMEKDVKMIVEENNKLRDMV >EOY05063 pep chromosome:Theobroma_cacao_20110822:4:27036428:27062982:1 gene:TCM_020167 transcript:EOY05063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease 4 MNSTTSSSSRNQSHYNDEQGQKAFTNKGYLPLLLINYACLFVGSLSSSLLSKYYFNHKGSSRWVSTWVQSAGFPLLVIPIFLPYYLFKCTERKPFTHFTPKVLGLSIFIGLMLGINNLLFSWGNSYLPVSTSSLLLSSQLVFNLILSVIIVKQKITFMNLNCVILLTLGSALLAFESSHDKPRGLTRAKYFIGFSATIGAGLLFALYLPIMEMIYKKVFCYAMVMEMQLVMEIAATALASVGMACDGGFAEMRRESREVFDKGERVYWAAVVSNVVTWQLCFIGTAGMVFLTSSLTGGICMTALLGMNVLGGVLVYGEEFGGVKAVSTVMCVWGFCSYVYGMYVKNKMMKDSDDLDHKQINTPPPTEMAQIVAPGV >EOY06022 pep chromosome:Theobroma_cacao_20110822:4:30657590:30659147:-1 gene:TCM_020874 transcript:EOY06022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLTHLLVLLLGVVVLATPSLGTYELPGYGKPPTPVYKPPEVKPPPIYKPPPVYEPPKKPEPQPPVYEPPKKEKPEPKPPVYEPPKKEKPEPKPPVYEPPKKPPVYEPPKKPPVYEPKPPKPPVYEPKPPKPPVYEPPKKPPVYEPKPPKPEPKPPVYEPPKKPPVYEPPKKPPVYEPKPPKPPVYEPKPPKPPVYEPKPPVYEPKPPKPPVYEPPKKPPVYEPPKKPPVYEPPKKPPVYEPKPPKPPVYEPKPPKPPVYEPPKKEKPEPKPPVYEPPKKPPVYEPKPPKPPVYEPKPPKPPVYEPPKKEKPEPKPPVYEPPKKPPVYEPPKKPPVYEPPYGHYPGHPPMGKP >EOY03256 pep chromosome:Theobroma_cacao_20110822:4:9921009:9925963:-1 gene:TCM_018023 transcript:EOY03256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNYLWPSKQFVPRRVASDYALDVISVLATQVDALSKKINAWGDNAFQSPFVTFGDQTLDWLGFLLFAMFVGLLREVPL >EOY04640 pep chromosome:Theobroma_cacao_20110822:4:25321748:25332104:-1 gene:TCM_019847 transcript:EOY04640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPSMSHDSESSPSSSRDWFFPSPSFIHSTSNPSQSPNYPRRWTTIPRHSPPSPPDWKPSKTPTFRSVSLSDSAPYGDRKYSRIRRRVDFTRRSEKSSKQEEDGAILEQKRGVSNAVSGGKQNVLSDKTASGEMGIRIACQRIKVRWQMAFSIAILITAFGSLVHKNFSLHNRVIELQDQISNLNIRLQACNLLDSVDTNTMLQESDHLSSKGLKILALIVSLALLSIPIFIFKYIDHVSKLRSSENSSEKVSLNKQLEYRVDVFLSVHPYAKPLALLVATLMLICLGGLALFGVTDDSLADCLWLSWTFVADSGNHANSEGIGPRLASVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGRSEVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGIVVVMAERDKEEMELDIAKMEFDFRGTSVICRSGSPLILADLKKVSVSKARSIIVLAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGELVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLDGMQFEDVLISFPDAIPCGVKVASHGGKIILNPDDSYVLQEGDEVLVIAEDDDTYAPATLPMVKEASFMHIARPARKPQKILLCGWRRDIDDMIVVWRGNLPRDFIVPKSTEKILLCGWRRDIEDMIMVLDAFLAPGSELWMFNEVLENEREKKLIDGGLDLTRLVNITLVNREGNAVIRRNLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPFREAMVTRSHRGSFSQGSWIGEMQQASDRSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNELHIRYADLYLHEGEELSFYEIILRARQRREIVIGYRLARAERAVINPPAKKDILDTKNG >EOY04642 pep chromosome:Theobroma_cacao_20110822:4:25321830:25331786:-1 gene:TCM_019847 transcript:EOY04642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGIRIACQRIKVRWQMAFSIAILITAFGSLVHKNFSLHNRVIELQDQISNLNIRLQACNLLDSVDTNTMLQESDHLSSKGLKILALIVSLALLSIPIFIFKYIDHVSKLRSSENSSEKVSLNKQLEYRVDVFLSVHPYAKPLALLVATLMLICLGGLALFGVTDDSLADCLWLSWTFVADSGNHANSEGIGPRLASVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGRSEVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGIVVVMAERDKEEMELDIAKMEFDFRGTSVICRSGSPLILADLKKVSVSKARSIIVLAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGELVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLDGMQFEDVLISFPDAIPCGVKVASHGGKIILNPDDSYVLQEGDEVLVIAEDDDTYAPATLPMVWRGNLPRDFIVPKSTEKILLCGWRRDIEDMIMVLDAFLAPGSELWMFNEVLENEREKKLIDGGLDLTRLVNITLVNREGNAVIRRNLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPFREAMVTRSHRGSFSQGSWIGEMQQASDRSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNELHIRYADLYLHEGEELSFYEIILRARQRREIVIGYRLARAERAVINPPAKSERRRWSVKDVFVVITEKE >EOY04643 pep chromosome:Theobroma_cacao_20110822:4:25326035:25331925:-1 gene:TCM_019847 transcript:EOY04643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPSMSHDSESSPSSSRDWFFPSPSFIHSTSNPSQSPNYPRRWTTIPRHSPPSPPDWKPSKTPTFRSVSLSDSAPYGDRKYSRIRRRVDFTRRSEKSSKQEEDGAILEQKRGVSNAVSGGKQNVLSDKTASGEMGIRIACQRIKVRWQMAFSIAILITAFGSLVHKNFSLHNRVIELQDQISNLNIRLQACNLLDSVDTNTMLQESDHLSSKGLKILALIVSLALLSIPIFIFKYIDHVSKLRSSENSSEKVSLNKQLEYRVDVFLSVHPYAKPLALLVATLMLICLGGLALFGVTDDSLADCLWLSWTFVADSGNHANSEGIGPRLASVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGRSEVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGIVVVMAERDKEEMELDIAKMEFDFRGTSVICRSGSPLILADLKKVSVSKARSIIVLAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGELVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLDGMQFEDVLISFPDAIPCGVKVASHGGKIILNPDDSYVLQEGDEVLVIAEDDDTYAPATLPMVWRGNLPRDFIVPKSTEKILLCGWRRDIEDMIMVILQGIYNDAAKCFLTAVPTV >EOY04641 pep chromosome:Theobroma_cacao_20110822:4:25321748:25332104:-1 gene:TCM_019847 transcript:EOY04641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPSMSHDSESSPSSSRDWFFPSPSFIHSTSNPSQSPNYPRRWTTIPRHSPPSPPDWKPSKTPTFRSVSLSDSAPYGDRKYSRIRRRVDFTRRSEKSSKQEEDGAILEQKRGVSNAVSGGKQNVLSDKTASGEMGIRIACQRIKVRWQMAFSIAILITAFGSLVHKNFSLHNRVIELQDQISNLNIRLQACNLLDSVDTNTMLQESDHLSSKGLKILALIVSLALLSIPIFIFKYIDHVSKLRSSENSSEKVSLNKQLEYRVDVFLSVHPYAKPLALLVATLMLICLGGLALFGVTDDSLADCLWLSWTFVADSGNHANSEGIGPRLASVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGRSEVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGIVVVMAERDKEEMELDIAKMEFDFRGTSVICRSGSPLILADLKKVSVSKARSIIVLAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGELVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLDGMQFEDVLISFPDAIPCGVKVASHGGKIILNPDDSYVLQEGDEVLVIAEDDDTYAPATLPMVKEASFMHIARPARKPQKILLCGWRRDIDDMIVVLDAFLAPGSELWMFNEVLENEREKKLIDGGLDLTRLVNITLVNREGNAVIRRNLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPFREAMVTRSHRGSFSQGSWIGEMQQASDRSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNELHIRYADLYLHEGEELSFYEIILRARQRREIVIGYRLARAERAVINPPAKSERRRWSVKDVFVVITEKE >EOY04104 pep chromosome:Theobroma_cacao_20110822:4:22036879:22040659:1 gene:TCM_019364 transcript:EOY04104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p family protein, putative MDPPPTTTPPKLRLMCSYGGHIIPRPQTKSLYYSGGENRIITIPPTTAPTLTLSSLTTHLSTFLHLGTPFVLKYQLPHHDLNSLISISTDDDLQIMLEERCRLSSTGTPSRVRLFIFPVVNSVNAELSHPKRESWFVDALRSARVGFGGEISSEQESIVLETSSSFGSTSSSHSLSNLPPIKPSSDSIPSDDRVGSAVSNVRTGTCQDQVAPFAATENKASSNPFEPDNKVADPSSGIELHKPIQASGSPINLVDLPQQQTQFVLEGTHYIPQNMPGVQPVTSYYPVYHPLPPQQQHLHYQSNQPYPLYYLPVVPTQSYSIPMQCGMVQASSSSIGSGQPQIHPNASLIPPQRVIKEVAALLQPVADLTSQTYKNVPGHPLIHLPYNETETRPVGAQIQHSPQAFGVAAGETANCTSKLDDDPARVQIYKSQPPPPMLPSQYQTMTKATTLLLSEALGQLHTDDAKQQIRTSEPQ >EOY05731 pep chromosome:Theobroma_cacao_20110822:4:29611438:29613018:-1 gene:TCM_020657 transcript:EOY05731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein, putative isoform 2 MASRKITLGLVLLLVAMLWARTMAQSDCTSVLITMAPCLNYVTGSSSTPSASCCSQLANVVQSQPQCLCMVLNGGGASLGVSINQTLALALPALCNVKTPPVSKCNAGSPQGLSGDSDDTPSSASASGGSKTVPATSSEVIIKMPIQLILFLLPIALYASISSNF >EOY05730 pep chromosome:Theobroma_cacao_20110822:4:29611690:29612934:-1 gene:TCM_020657 transcript:EOY05730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein, putative isoform 2 MASRKITLGLVLLLVAMLWARTMAQSDCTSVLITMAPCLNYVTGSSSTPSASCCSQLANVVQSQPQCLCMVLNGGGASLGVSINQTLALALPALCNVKTPPVSKCNAADGPATAISPSDSPAGSPQGLSGDSDDTPSSASASGGSKTVPATSSEVIIKMPIQLILFLLPIALYASISSNF >EOY05669 pep chromosome:Theobroma_cacao_20110822:4:29413526:29415586:1 gene:TCM_020611 transcript:EOY05669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein, putative MEKMAPAFAMRIMIFAILAFHPLTVFGGDTGINYGRNGDNLPSPRRAIDFISREMNNKISLIRVHDANLEILEPLSGTNLVVTIGVPNEAIAYETKPSQVPVVASYVLGAIKNLHESIRKEGIDYIFVTTAVGGSVLGASYPPSQGQFAPGVAQVMSHLTCFLHSIGSPLLINVYPFFALASEPNHIPLDYVLFQSQTPVIIDGNLEYYNLFDAMVDAFLAAMVRAVGREDVKLVVSETGWPTAGLAPYSGIENARIYNSKLREHVVGVGRTPRKADMIMEVYIYEMFNENLVAEGVKRNFGSFYPDFREVYPLWH >EOY02548 pep chromosome:Theobroma_cacao_20110822:4:1292415:1297711:-1 gene:TCM_016991 transcript:EOY02548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF707) [Source:Projected from Arabidopsis thaliana (AT3G27470) TAIR;Acc:AT3G27470] MGIPLRRKTNETMRLLITTFAGIIFGFFLGVSFPTISLTKMNFPSSLFPSIDITYIEDKYSGLSTEALLDAFNTFKANKVMSVPSSKDNETKIWIPTNPRGAERLPPDIIESESDLYPRRLWGQPSEDLTIKPKYLVTFTVGISQKYNIDAAVKKFSENFTIMLFHYDGQASAWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDIVAPYDYIFMWDEDLGVEHFDAEEYIKLVRKHGLEISQPGLEPNSGLTWAMTRKRDDTEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRNAWRCAWHMIQVCSSDFIFSGRCHFSPFPCVGYKFFFEMQNDLVHGWGLDFALRRCVEPPHEKIGVVDAQWIVHQGVPSLGNQGHAEGGRAPWEGVRERCRKEWAMFQDRMADAETAYFKAMGMNTSNLIAHKWIES >EOY02544 pep chromosome:Theobroma_cacao_20110822:4:1292429:1297482:-1 gene:TCM_016991 transcript:EOY02544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF707) [Source:Projected from Arabidopsis thaliana (AT3G27470) TAIR;Acc:AT3G27470] MGIPLRRKTNETMRLLITTFAGIIFGFFLGVSFPTISLTKMNFPSSLFPSIDITYIEDKYSGLSTEALLDAFNTFKANKVMSVPSSKDNETKIWIPTNPRGAERLPPDIIESESDLYPRRLWGQPSEDLTIKPKYLVTFTVGISQKYNIDAAVKKFSENFTIMLFHYDGQASAWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDIVAPYDYIFMWDEDLGVEHFDAEEYIKLVRKHGLEISQPGLEPNSGLTWAMTRKRDDTEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRNAWRCAWHMIQNDLVHGWGLDFALRRCVEPPHEKIGVVDAQWIVHQGVPSLGNQGHAEGGRAPWEGVRERCRKEWAMFQDRMADAETAYFKAMGMNTSNLIAHKWIES >EOY02545 pep chromosome:Theobroma_cacao_20110822:4:1292624:1296198:-1 gene:TCM_016991 transcript:EOY02545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF707) [Source:Projected from Arabidopsis thaliana (AT3G27470) TAIR;Acc:AT3G27470] MGIPLRRKTNETMRLLITTFAGIIFGFFLGVSFPTISLTKMNFPSSLFPSIDITYIEDKYSGLSTEALLDAFNTFKANKVMSVPSSKDNETKIWIPTNPRGAERLPPDIIESESDLYPRRLWGQPSEDLTIKPKYLVTFTVGISQKYNIDAAVKKFSENFTIMLFHYDGQASAWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDIVAPYDYIFMWDEDLGVEHFDAEEYIKLVRKHGLEISQPGLEPNSGLTWAMTRKRDDTEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRNAWRCAWHMIQNDLVHGWGLDFALRRCVEPPHEKIGVVDAQWIVHQGVPSLGNQGHAEGGRAPWEGVRERCRKEWAMFQDRMADAETAYFKAMGMNTSNLIAHKWIES >EOY02549 pep chromosome:Theobroma_cacao_20110822:4:1292429:1297711:-1 gene:TCM_016991 transcript:EOY02549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF707) [Source:Projected from Arabidopsis thaliana (AT3G27470) TAIR;Acc:AT3G27470] MGIPLRRKTNETMRLLITTFAGIIFGFFLGVSFPTISLTKMNFPSSLFPSIDITYIEDKYSGLSTEALLDAFNTFKANKVMSVPSSKDNETKIWIPTNPRGAERLPPDIIESESDLYPRRLWGQPSEDLTIKPKYLVTFTVGISQKYNIDAAVKKFSENFTIMLFHYDGQASAWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDIVAPYDYIFMWDEDLGVEHFDAEEYIKLVRKHGLEISQPGLEPNSGLTWAMTRKRDDTEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRNAWRCAWHMIQNDLVHGWGLDFALRRCVEPPHEKIGVVDAQWIVHQGVPSLGNQGHAEGGRAPWEGVRERCRKEWAMFQDRMADAETAYFKAMGMNTSNLIAHKWIES >EOY02550 pep chromosome:Theobroma_cacao_20110822:4:1292429:1297482:-1 gene:TCM_016991 transcript:EOY02550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF707) [Source:Projected from Arabidopsis thaliana (AT3G27470) TAIR;Acc:AT3G27470] MRLLITTFAGIIFGFFLGVSFPTISLTKMNFPSSLFPSIDITYIEDKYSGLSTEALLDAFNTFKANKVMSVPSSKDNETKIWIPTNPRGAERLPPDIIESESDLYPRRLWGQPSEDLTIKPKYLVTFTVGISQKYNIDAAVKKFSENFTIMLFHYDGQASAWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDIVAPYDYIFMWDEDLGVEHFDAEEYIKLVRKHGLEISQPGLEPNSGLTWAMTRKRDDTEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRNAWRCAWHMIQNDLVHGWGLDFALRRCVEPPHEKIGVVDAQWIVHQGVPSLGNQGHAEGGRAPWEGVRERCRKEWAMFQDRMADAETAYFKAMGMNTSNLIAHKWIES >EOY02551 pep chromosome:Theobroma_cacao_20110822:4:1292415:1297467:-1 gene:TCM_016991 transcript:EOY02551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF707) [Source:Projected from Arabidopsis thaliana (AT3G27470) TAIR;Acc:AT3G27470] MGIPLRRKTNETMRLLITTFAGIIFGFFLGVSFPTISLTKMNFPSSLFPSIDITYIEDKYSGLSTEALLDAFNTFKANKVMSVPSSKDNETKIWIPTNPRGAERLPPDIIESESDLYPRRLWGQPSEDLTIKPKYLVTFTVGISQKYNIDAAVKKFSENFTIMLFHYDGQASAWDEFEWSKRAIHVSVRKQTKW >EOY02546 pep chromosome:Theobroma_cacao_20110822:4:1292415:1297711:-1 gene:TCM_016991 transcript:EOY02546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF707) [Source:Projected from Arabidopsis thaliana (AT3G27470) TAIR;Acc:AT3G27470] MGIPLRRKTNETMRLLITTFAGIIFGFFLGVSFPTISLTKMNFPSSLFPSIDITYIEDKYSGLSTEALLDAFNTFKANKVMSVPSSKDNETKIWIPTNPRGAERLPPDIIESESDLYPRRLWGQPSEVCIFYTDLTIKPKYLVTFTVGISQKYNIDAAVKKFSENFTIMLFHYDGQASAWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDIVAPYDYIFMWDEDLGVEHFDAEEYIKLVRKHGLEISQPGLEPNSGLTWAMTRKRDDTEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRNAWRCAWHMIQNDLVHGWGLDFALRRCVEPPHEKIGVVDAQWIVHQGVPSLGNQGHAEGGRAPWEGVRERCRKEWAMFQDRMADAETAYFKAMGMNTSNLIAHKWIES >EOY02547 pep chromosome:Theobroma_cacao_20110822:4:1292624:1296198:-1 gene:TCM_016991 transcript:EOY02547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF707) [Source:Projected from Arabidopsis thaliana (AT3G27470) TAIR;Acc:AT3G27470] MGIPLRRKTNETMRLLITTFAGIIFGFFLGVSFPTISLTKMNFPSSLFPSIDITYIEDKYSGLSTEALLDAFNTFKANKVMSVPSSKDNETKIWIPTNPRGAERLPPDIIESESDLYPRRLWGQPSEDLTIKPKYLVTFTVGISQKYNIDAAVKKFSENFTIMLFHYDGQASAWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDIVAPYDYIFMWDEDLGVEHFDAEEYIKLVRKHGLEISQPGLEPNSGLTWAMTRKRDDTEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRNAWRCAWHMIQNDLVHGWGLDFALRRCVEPPHEKIGVVDAQWIVHQGVPSLGNQQGHAEGGRAPWEGVRERCRKEWAMFQDRMADAETAYFKAMGMNTSNLIAHKWIES >EOY05455 pep chromosome:Theobroma_cacao_20110822:4:28617098:28618047:1 gene:TCM_020451 transcript:EOY05455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase MMENQYSPSISKMYRASGEEQEKAVKDILEMLETIEDHGLIGGKKFFGGDKISMVDMAFGAVAHWLGVIEDAAGLKIFEAHKFPCLQSLIQNFKEVPIIKENLPDRDKLFALLKLRREMLLASK >EOY03231 pep chromosome:Theobroma_cacao_20110822:4:8795160:8810333:1 gene:TCM_017919 transcript:EOY03231 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein MSASSKFVPQVEQVAQNKNEKPEWLEAFLQRTFFDSCSVHPIRRNEKNRYCINCDTSACQYCMTCTTHRHHKILKIYRHVYKDVVSLAAMEKYIDCAEIQPYKCNKRLVIALNPLPHCGPTSKNETSCDICNRRLAEPDMYRYCSISCKVIAFERKSSDSAPPFLSIQSPNKEKRKELLLKTEQPKHKRKGIPQRAPFF >EOY03786 pep chromosome:Theobroma_cacao_20110822:4:19312529:19314235:1 gene:TCM_018972 transcript:EOY03786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENITASEIAGFGVGTLLLCATIAAPKVDAFISASQRSSLGMCKRCGDLRMIACSRCRGTGLIKANGPFSFNLIDDLYKSFASAELKVKSIGCTKCQARGHFSCPDCSNIPKV >EOY04355 pep chromosome:Theobroma_cacao_20110822:4:24031074:24033930:1 gene:TCM_019632 transcript:EOY04355 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme isoform 2 MAFPVSAIGFEGYEKRLEVSFFDPGSFVDPRGMGLRSLSKIQLDEILKPAECTIVSSLSNDHVDSYVLSESSLFVYPYKIIIKTCGTTKLLLSIPAILRFANNLSLSVRSVRYTHGSFIFPGAQPFPHRNFSEEVAILDRFFLKLGSRRKAYIMGSSDKTKKWHVYSAYAERSGHSDPVYTLEMCMTGLDKKKASVFYKTHVSSAVLMTEHSGIREILPQSQICDFEFDPCGYSMNSIEKDAISTIHVTPEDGFSYASFEAAGYDFETVNLNQLMERVLACFRPTEFSVSLHADVNGDVLDHRIHLDVNGYCCEERRFDVLGNGSSVIYYSFIGADVCVSPRSILKCCWSEDEKDEEVGFRPVPYHLSAL >EOY04354 pep chromosome:Theobroma_cacao_20110822:4:24031071:24034033:1 gene:TCM_019632 transcript:EOY04354 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme isoform 2 MESKGGKKKSSSSKSLFYEAPLGYSIEDVRPHGGIKKFRSAAYSNAKMAFPVSAIGFEGYEKRLEVSFFDPGSFVDPRGMGLRSLSKIQLDEILKPAECTIVSSLSNDHVDSYVLSESSLFVYPYKIIIKTCGTTKLLLSIPAILRFANNLSLSVRSVRYTHGSFIFPGAQPFPHRNFSEEVAILDRFFLKLGSRRKAYIMGSSDKTKKWHVYSAYAERSGHSDPVYTLEMCMTGLDKKKASVFYKTHVSSAVLMTEHSGIREILPQSQICDFEFDPCGYSMNSIEKDAISTIHVTPEDGFSYASFEAAGYDFETVNLNQLMERVLACFRPTEFSVSLHADVNGDVLDHRIHLDVNGYCCEERRFDVLGNGSSVIYYSFIGADVCVSPRSILKCCWSEDEKDEEVGFRPVPYHLSAL >EOY06686 pep chromosome:Theobroma_cacao_20110822:4:32667574:32669306:1 gene:TCM_021337 transcript:EOY06686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein isoform 1 MSSKKEEKTQAAAERIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVKLGERKDKTSMSTMGASQCQKCFQAGHWTYECKNERVYMSRPSRTQQLKNPKLRMKLSISYDLDNPDIKDEKEDNRSKKSKRKHRSDSDSGSDSEASVFETDSGASSVTGSDSSSAESSTDYSSSSDSEEERRRRRRKKKQKKGRRRRYSSSSESSDSDSASDSDSDDRSSRRKSRRHSRRR >EOY06687 pep chromosome:Theobroma_cacao_20110822:4:32667514:32669339:1 gene:TCM_021337 transcript:EOY06687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein isoform 1 MSSKKEEKTQAAAERIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVKLGERKDKTSMSTMGASQCQKCFQAGHWTYECKNERVYMSRPSRTQQLKNPKLRMKLSISYDLDNPDIKDEKEDNRSKKSKRKHRSDSDSGSDSEASVFETDSGASSVTGSDSSSAESSTDYSSSSDSEEERRRRRRKKKQKKGRRRRYSSSSESSDSDSASDSDSDDRSSRRKSRRHSRRR >EOY05445 pep chromosome:Theobroma_cacao_20110822:4:28590243:28591205:1 gene:TCM_020441 transcript:EOY05445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQESPLDSNNCTTNTGEENPQEPPKVYSDNCITSDVTSNITAGASCGGTGYICTTSNPMKCPSTELLNEAKTKKAILLPNNPNSGFSKIHLPPPLTTSCICNHFNPPQTPMQSPPGNANIVAEPVQRTSDSYPSPGRTEKSFNDTIVRVSRRTKGANPSNDAKREINVVCNQTV >EOY05080 pep chromosome:Theobroma_cacao_20110822:4:27110617:27113369:1 gene:TCM_020177 transcript:EOY05080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 1 MEKDKVLMAEGLNRTAAPPTWNSCSFGMDMQTNELNCATEQVGSCFFNPNWDKSMDQSDPFESALSSMVSSPAASNAGSTLPGFGENVMIRELIGRLGNICNSGDISPQSFVKPNNNTNSGNTSCYSTPLNSPPKLNLSMVESQIRGNLNLPGLGNQLPNHPSLAPFSADPGFAERAARFSCFSTTSRNFGGLNGQLGLTETELPQRLRPRMDSVKLSRVSSNQSIKVTGSQVNVPESNKNSPQEGSSGSDKKNSRLSRSSSPENAEFGDSKEESSVSEQIPGGDSSIKVQNDANARKRKSIPRGKAKETPSPVAADAKVAPENGESTAKRSKQEEAAGNAKEKTEQNGNGKAANDGNQKQGKENSKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPRMDINMEALLSKDMFRSGGSLPHALYSMDSSAPAFPFGYQLQQQALPLHSGISNNIETQFSMNPLNAVLRKTQGVQLPPIDGFTDANPQVIYKITCLVCSIFYLILFRISIRS >EOY05079 pep chromosome:Theobroma_cacao_20110822:4:27110723:27113991:1 gene:TCM_020177 transcript:EOY05079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 1 MEKDKVLMAEGLNRTAAPPTWNSCSFGMDMQTNELNCATEQVGSCFFNPNWDKSMDQSDPFESALSSMVSSPAASNAGSTLPGFGENVMIRELIGRLGNICNSGDISPQSFVKPNNNTNSGNTSCYSTPLNSPPKLNLSMVESQIRGNLNLPGLGNQLPNHPSLAPFSADPGFAERAARFSCFSTTSRNFGGLNGQLGLTETELPQRLRPRMDSVKLSRVSSNQSIKVTGSQVNVPESNKNSPQEGSSGSDKKNSRLSRSSSPENAEFGDSKEESSVSEQIPGGDSSIKVQNDANARKRKSIPRGKAKETPSPVAADAKVAPENGESTAKRSKQEEAAGNAKEKTEQNGNGKAANDGNQKQGKENSKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPRMDINMEALLSKDMFRSGGSLPHALYSMDSSAPAFPFGYQLQQQALPLHSGISNNIETQFSMNPLNAVLRKTQGVQLPPIDGFTDANPQVASFWEDDLQSIVQMGFGQNQAQSYQGSMAAAGQVKIEL >EOY03336 pep chromosome:Theobroma_cacao_20110822:4:13206440:13208634:1 gene:TCM_018290 transcript:EOY03336 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MMMKGDMWSNLGSTMAAIMFMYAMFRQYFPPQLQDYIFRYSKKLSNLMYPYIHVTFDEFTGERMKRSEAFSAIQNYLSGKSSAFAKRLKADVVKDSQSLVLSMDYDEEITDEFKGVKVWWAARRNIPKAQQFSIYPSSDEKRYYILKFHKRDREFITGTYLIHVLKQGKAIAADNRQRKLYSNNPGQSWSGYRSTKWSHVVFEHPATFDTLAMDAKKKEEIKKDLIKFSKGKEYYAKIGKAWKRGYLLYGPPGTGKSTMIAAMANFLNYDVYDLELTTVKNNIELRRLLIETSNKSIIVIEDIDCSLDLTGQREKKKKKDENEEEMDPISKKAKEEEQKDSEVTLSGLLNFIDGLWSACGGERIIVFTTNYVEKLDPALIRRGRMDKHIEMSYCRFEAFKVLAKNYLDIELHPLFGEISSLLEETDMTPADVAENLMLKSDDDEEDETCLKNLIEALKDAKEEARKKAEEEARLKAEKEEKEKEQAEKEEKEKEQSVREDVKEDATAAKEVKENGVIH >EOY06733 pep chromosome:Theobroma_cacao_20110822:4:32791191:32798111:1 gene:TCM_021372 transcript:EOY06733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome B isoform 1 MASGGRAVHSQHQQQQQQAQSSGTSNMRAPRGHNHQADSVSKAIAQYTVDARLHAVFEQSGETGKSFDYSQSVRTTTQSVPEQQITAYLSKIQRGGHIQPFGCMMAVDEPSFRVIAYSENAREMLGITPQSVPNLEKTEVLTIGTDVRTLFTPSSATLLEKAFGAREITLLNPVWIHSKNSGKPFYAILHRIDVGIVIDLEPARTEDPALSIAGAVQSQKLAVRAISQLQSLPGGDIKLLCDTVVESVQELTGYDRVMVYKFHEDEHGEVVAESKRPDFDPYIGLHYPASDIPQASRFLFKQNRVRMIVDCHATPVRVVQDDGLMQPLCLVGSTLRAPHGCHAQYMANMGSIASLAMAVIINGNDEEAIGGRNSMRLWGLVVCHHTSARCIPFPLRYACEFLMQAFGLQLNMELQLASQLSEKRVLRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYQGKYYPLGVTPTEAQIKNIVEWLLEFHGDSTGLSTDSLADAGHPGAASLGDAVCGMAVAYITKRDFLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDTEASNSKAVVHAQLGELELQGVDELSSVAREMVRLIETATAPIFAVDVEGLINGWNAKVAELTGLSVEEAMGKSLVHDLVYKEYQETVDKLLSRALQGEEDKNVEIKLRTFGSEGHKKAIYVVVNACSSKDYKNNIVGVCFVGQDVTGQKVVMDKFIHIQGDYKAIVHSPNPLIPPIFASDENTCCLEWNTAMEKLTGWTREEIIGKMLVGEVFGSYCRLKGPDALTKFMIVLHNAIGGQEADKFPFSFFDRNGKFVQALLTANERVNMEGQVVGAFCFLQIASPELQQALKVQRQQENKCFARMKELTYICQEIKSPLNGIRFTNSLLEATELTEDQKQFLETSAACEKQMLKIIRDVDVESIEDGSMELERADFYLGSVINAVVSQVMLLLRERNLQLIRDIPEEIKTLAVYGDQARIQQVLADFLLNMVRHAPSAEGWVEIHVRPNLKRISDGLTIVRTEFRMVCPGEGLPPELVQDMFHSSRWMTQEGLGLSMCRKILKLMNGEVQYIRESERCYFLIILELPVPRRGSKSVD >EOY06735 pep chromosome:Theobroma_cacao_20110822:4:32790761:32796324:1 gene:TCM_021372 transcript:EOY06735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome B isoform 1 MASGGRAVHSQHQQQQQQAQSSGTSNMRAPRGHNHQADSVSKAIAQYTVDARLHAVFEQSGETGKSFDYSQSVRTTTQSVPEQQITAYLSKIQRGGHIQPFGCMMAVDEPSFRVIAYSENAREMLGITPQSVPNLEKTEVLTIGTDVRTLFTPSSATLLEKAFGAREITLLNPVWIHSKNSGKPFYAILHRIDVGIVIDLEPARTEDPALSIAGAVQSQKLAVRAISQLQSLPGGDIKLLCDTVVESVQELTGYDRVMVYKFHEDEHGEVVAESKRPDFDPYIGLHYPASDIPQASRFLFKQNRVRMIVDCHATPVRVVQDDGLMQPLCLVGSTLRAPHGCHAQYMANMGSIASLAMAVIINGNDEEAIGGRNSMRLWGLVVCHHTSARCIPFPLRYACEFLMQAFGLQLNMELQLASQLSEKRVLRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYQGKYYPLGVTPTEAQIKNIVEWLLEFHGDSTGLSTDSLADAGHPGAASLGDAVCGMAVAYITKRDFLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDTEASNSKAVVHAQLGELELQGVDELSSVAREMVRLIETATAPIFAVDVEGLINGWNAKVAELTGLSVEEAMGKSLVHDLVYKEYQETVDKLLSRALQGEEDKNVEIKLRTFGSEGHKKAIYVVVNACSSKDYKNNIVGVCFVGQDVTGQKVVMDKFIHIQGDYKAIVHSPNPLIPPIFASDENTCCLEWNTAMEKLTGWTREEIIGKMLVGEVFGSYCRLKGPDALTKFMIVLHNAIGGQEADKFPFSFFDRNGKFVQALLTANERVNMEGQVVGAFCFLQIASPELQQALKVQRQQENKCFARMKELTYICQEIKSPLNGIRFTNSLLEATELTEDQKQFLETSAACEKQMLKIIRDVDVESIEDGSMELERAD >EOY06734 pep chromosome:Theobroma_cacao_20110822:4:32792198:32796930:1 gene:TCM_021372 transcript:EOY06734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome B isoform 1 MASGGRAVHSQHQQQQQQAQSSGTSNMRAPRGHNHQADSVSKAIAQYTVDARLHAVFEQSGETGKSFDYSQSVRTTTQSVPEQQITAYLSKIQRGGHIQPFGCMMAVDEPSFRVIAYSENAREMLGITPQSVPNLEKTEVLTIGTDVRTLFTPSSATLLEKAFGAREITLLNPVWIHSKNSGKPFYAILHRIDVGIVIDLEPARTEDPALSIAGAVQSQKLAVRAISQLQSLPGGDIKLLCDTVVESVQELTGYDRVMVYKFHEDEHGEVVAESKRPDFDPYIGLHYPASDIPQASRFLFKQNRVRMIVDCHATPVRVVQDDGLMQPLCLVGSTLRAPHGCHAQYMANMGSIASLAMAVIINGNDEEAIGGRNSMRLWGLVVCHHTSARCIPFPLRYACEFLMQAFGLQLNMELQLASQLSEKRVLRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYQGKYYPLGVTPTEAQIKNIVEWLLEFHGDSTGLSTDSLADAGHPGAASLGDAVCGMAVAYITKRDFLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDTEASNSKAVVHAQLGELELQGVDELSSVAREMVRLIETATAPIFAVDVEGLINGWNAKVAELTGLSVEEAMGKSLVHDLVYKEYQETVDKLLSRALQGEEDKNVEIKLRTFGSEGHKKAIYVVVNACSSKDYKNNIVGVCFVGQDVTGQKVVMDKFIHIQGDYKAIVHSPNPLIPPIFASDENTCCLEWNTAMEKLTGWTREEIIGKMLVGEVFGSYCRLKGPDALTKFMIVLHNAIGGQEADKFPFSFFDRNGKFVQALLTANERVNMEGQVVGAFCFLQIASPELQQALKVQRQQENKCFARMKELTYICQEIKSPLNGIRFTNSLLEATELTEDQKQFLETSAACEKQMLKIIRDVDVESIEDGSMELERADFYLGSVINAVVSQVMLLLRERNLQLIRDIPEEIKTLAVYGDQARIQQVLADFLLNMVRHAPSAEGWVEIHVRPNLKRISDGLTIVRTEFRLMMA >EOY02886 pep chromosome:Theobroma_cacao_20110822:4:2816050:2821930:1 gene:TCM_017288 transcript:EOY02886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein MKSSFDKLRRFALHKNDAKDKLDVLSSAHLDELAQAAQDMQDMRNCYDSLLSAAAATANSAYEFSESLQEMGSCLREKRVLPDDEESSRILLMLGNLQFELQKLVDNYRAHILLTITNPSESLLNELRTVEDMKRQCDEKRNVYEYMVTQQKEKGRLKGGKGETLTLQQLQTARDEYDEVATLCVFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKGLKSLEAIEPHVRQVTEQQHIDYQFSGLEDDDGEDGELAYDPNEDGELSFDYRANEKGLDVTSASRNSMEVDEIGRSYPQTSKMENAEVNLEKSHGDILVSSREHRVGSYSAPIFPERKLDPAERVKQMLQSSTRKSNTYVLPTPNDSKSALSSRTISPIPPTRPTNVAGRPHNLWHSSPLEQKKHEKDSGDGQSEFTIWKSESVFKECNSSNTSTQLPPPLSEGPVPTQLDTSSEVKKIKRKAVSGPLTSKQLPTKPLSATGPIPSAELPHLASAAFSHLPIPQPLSPPKVSPSASPPLVSSPRINELHELPRPPGSSAAKPAKSPALIGHSAPLVSRNHEVSTSNIPSLTSSGASPLPTPPLVVPRSFSIPSSNQRAMAIHVSRLLETPQVSEKDGDVASPPLTPISIGNIKTIPGVSEVASHSGQIRGGS >EOY02765 pep chromosome:Theobroma_cacao_20110822:4:2166373:2170616:1 gene:TCM_017166 transcript:EOY02765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myzus persicae-induced lipase 1 isoform 3 MVLMYGLLTLEGQDSAESTLHSTLASRDFWNWSWDELVTYDLPAVFDFVFNQTGQKIHYIGHSQGTLIGLASFSEGHQAGKLKSAVFLSPIAYLSHMTTALGVVAAKAFVGEITTLLGIAEFNPKGKEVTVFLNSLCNYPGVDCYDLLNALTGSNNCCLNLSTANIFLKNEPQSTATKNMVHFAQTVRDGVLAKYNYGRPDYNVMHYGEDTPPLYNISNIPHDLPIFMSYGGLDALSDVQDVQLLLDHLKFHDVGKLTVQYIKNYAHADFIMGISAKDIVYNQVVQFFKNHQ >EOY02763 pep chromosome:Theobroma_cacao_20110822:4:2166507:2170493:1 gene:TCM_017166 transcript:EOY02763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myzus persicae-induced lipase 1 isoform 3 MAPPGLLSLCVLILVVMPHRAYGSGRGPFGRKNGAATTADSGICATSVTIYGYKCEEHEVTTQDGFILNMQRIPEGRVGGNSTGGNKKQPVLIQHGVLVDGMTWLLNSPEQNLPMILADNGFDVWIANSRGTRFSRKHASLDPSQPDFWNWSWDELVTYDLPAVFDFVFNQTGQKIHYIGHSQGTLIGLASFSEGHQAGKLKSAVFLSPIAYLSHMTTALGVVAAKAFVGEITTLLGIAEFNPKGKEVTVFLNSLCNYPGVDCYDLLNALTGNNCCLNLSTANIFLKNEPQSTATKNMVHFAQTVRDGVLAKYNYGRPDYNVMHYGEDTPPLYNISNIPHDLPIFMSYGGLDALSDVQDVQLLLDHLKFHDVGKLTVQYIKNYAHADFIMGISAKDIVYNQVVQFFKNHQ >EOY02764 pep chromosome:Theobroma_cacao_20110822:4:2166138:2170738:1 gene:TCM_017166 transcript:EOY02764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myzus persicae-induced lipase 1 isoform 3 QIDSSAKTRKKEQLAYGSGRGPFGRKNGAATTADSGICATSVTIYGYKCEEHEVTTQDGFILNMQRIPEGRVGGNSTGGNKKQPVLIQHGVLVDGMTWLLNSPEQNLPMILADNGFDVWIANSRGTRFSRKHASLDPSQPDFWNWSWDELVTYDLPAVFDFVFNQTGQKIHYIGHSQGTLIGLASFSEGHQAGKLKSAVFLSPIAYLSHMTTALGVVAAKAFVGEITTLLGIAEFNPKGKEVTVFLNSLCNYPGVDCYDLLNALTGNNCCLNLSTANIFLKNEPQSTATKNMVHFAQTVRDGVLAKYNYGRPDYNVMHYGEDTPPLYNISNIPHDLPIFMSYGGLDALSDVQDVQLLLDHLKFHDVGKLTVQYIKNYAHADFIMGISAKDIVYNQVVQFFKNHQ >EOY04747 pep chromosome:Theobroma_cacao_20110822:4:25774359:25778757:-1 gene:TCM_019934 transcript:EOY04747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase, 2,3-bisphosphoglycerate-independent isoform 1 MRSSGQVSWRLEDHPKLPKGKTVAVVVLDGWGENKPDEFNCIHVAQTPTMDSLKQGAPEKWRLIRAHGTAVGLPTEDDMGNSEVGHNALGAGRIYAQGAKLVDLALASGKIYEGEGFKYISESFEKGTLHLIGLLSDGGVHSRLDQLQLLLKGASDHGAKRIRVHVLTDGRDVLDGSSIGFVETLENDLAKLREKGVDAQIASGGGRMYVTMDRYENDWNVVKRGWDAQVLGEAPHKFTNAVEAVKKLRENANDQYLPPFVIVDENKKAVGPIVDGDAVVTFNFRADRMVMLAKALEYEDFDKFDRVRFPKIRYAGMLQYDGELKLPSCYLVSPPEIERTSGEYLVHNGIRTFACSETVKFGHVTFFWNGNRSGYFKPEMEEYVEIPSDIGITFNVQPKMKALEIGEKARDAILSGKFDQVINGLGTCEHTK >EOY04746 pep chromosome:Theobroma_cacao_20110822:4:25773196:25778661:-1 gene:TCM_019934 transcript:EOY04746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase, 2,3-bisphosphoglycerate-independent isoform 1 MRSSGQVSWRLEDHPKLPKGKTVAVVVLDGWGENKPDEFNCIHVAQTPTMDSLKQGAPEKWRLIRAHGTAVGLPTEDDMGNSEVGHNALGAGRIYAQGAKLVDLALASGKIYEGEGFKYISESFEKGTLHLIGLLSDGGVHSRLDQLQLLLKGASDHGAKRIRVHVLTDGRDVLDGSSIGFVETLENDLAKLREKGVDAQIASGGGRMYVTMDRYENDWNVVKRGWDAQVLGEAPHKFTNAVEAVKKLRENANDQYLPPFVIVDENKKAVGPIVDGDAVVTFNFRADRMVMLAKALEYEDFDKFDRVRFPKIRYAGMLQYDGELKLPSCYLVSPPEIERTSGEYLVHNGIRTFACSETVKFGHVTFFWNGNRSGYFKPEMEEYVEIPSDIGITFNVQPKMKALEIGEKARDAILSGKFDQVRVNIPNSDMVGHTGDIQATVVACKAADEAVKMILDAIEQVGGIYVVTADHGNAEDMVKRSKSGQPLYDKNGNLQILTSHTCQPVPIAIGGPGVAPGVRFRKDVPDGGLANVAATVMNLHGLVAPNDYEPTLIEVVDN >EOY03389 pep chromosome:Theobroma_cacao_20110822:4:14289617:14295039:1 gene:TCM_018393 transcript:EOY03389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTAALLLILLLCTAAVPSTSAPILGLDSFLTHQSHLDPKSTNDPFPSLASSLKKSLAASGPGPLPIPSLISDLLSLSLPIPLHIRLVGPTFSSSSPSVLNSFLQSSLTSSHFHLISSSLSSHSLSVRHSLHLDISLSSSSLVSSLSAALSSAISSTPSSLRSPLLSVPYSTIDPIIFRHFDSDKTDNSLYIYILNLGLSSKQPYAYSYTHSDSSAGYTNCLGTLWTGKKRYLWIDLGAGPVDYGPALSGDGVLPRGEFHPLAALHGRPKSEKALLSELASLIYSAYQVLVVPPLRIPVHFENTLTVQFIHVHASESKDSSGLDWNQIIKKFHDEANDGELLFGNQSLEFKRYSVRYEECSICSFAVSRSINSYTSRFLFDNYTLIVSEYLDSKRLHQILSDSAEEFRRVAGLPEEELGSRVLPIYVFDLDYNTILLLDRYHQSVAFKDMVIAVRTKTAQTVSDYSCNGRHVFTRTRELERPLVGSLLQSMWGVSPTHLLWSPTHNSTLVDYSWSVGQTPFGPFSEISSLSFVQKDAARRNFLLTSLNYSITSAIDVLESVYAHGGDRNLLKQNQHVEFIQRWHLFRYKLDKAVSSLSHFDFEMAFYYIRSSDHDLYAMHNLVYTASQEIEASLVCFKDPPFPWASLSFCAVGFLALSYVYAKRDKLFRNKRKQF >EOY05928 pep chromosome:Theobroma_cacao_20110822:4:30278966:30283755:-1 gene:TCM_020797 transcript:EOY05928 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-carbamyl-L-amino acid amidohydrolase protein isoform 3 MCLRFSYSLSLPLLFLFFLGSIPSILTQQNEDPTIKTMQDFSGYPVVEPPHPFVSNSLSVDAQTLQKQIDELSTFSDTPAPSVTRILYTEKDVLARRFIKYLMGLAGLSVREDAVGNIFGRWDGYEPELAAVATGSHIDAIPYSGKYDGVVGVLGAIEAINALKRSGFKPRRSLEIILFTSEEPTRFGIGCLGSRLLAGGEALAKALKTTVDSQNISFLDAARSAGYAKDQDDLSSVFLKKGSYSAFVELHIEQGPILEEEGVSIGIVTAIAAPASIKVDFEGNGGHAGAVLMPSRNDAGLAAAELALSVEKHVLESGSIDTVGTVGILELHPGAINSIPSKSHLEIDTRDIDEKRRNVIIEKIHQSAIAIATKRKVNLSEFRIINQDPPALSEKSIIEAMEAASKELNLTQKFMISRAYHDSLFMASQDISYGHDIYSMLQRLQP >EOY05929 pep chromosome:Theobroma_cacao_20110822:4:30280805:30283638:-1 gene:TCM_020797 transcript:EOY05929 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-carbamyl-L-amino acid amidohydrolase protein isoform 3 MCLRFSYSLSLPLLFLFFLGSIPSILTQQNEDPTIKTMQDFSGYPVVEPPHPFVSNSLSVDAQTLQKQIDELSTFSDTPAPSVTRILYTEKDVLARSRFIKYLMGLAGLSVREDAVGNIFGRWDGYEPELAAVATGSHIDAIPYSGKYDGVVGVLGAIEAINALKRSGFKPRRSLEIILFTSEEPTRFGIGCLGSRLLAGGEALAKALKTTVDSQNISFLDAARSAGYAKDQDDLSSVFLKKGSYSAFVELHIEQGPILEEEGVSIGIVTAIAAPASIKVDFEGNGGHAGAVLMPSRNDAGLAAAELALSVEKHVLESGSIDTVGTVEGF >EOY05927 pep chromosome:Theobroma_cacao_20110822:4:30278920:30284180:-1 gene:TCM_020797 transcript:EOY05927 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-carbamyl-L-amino acid amidohydrolase protein isoform 3 MCLRFSYSLSLPLLFLFFLGSIPSILTQQNEDPTIKTMQDFSGYPVVEPPHPFVSNSLSVDAQTLQKQIDELSTFSDTPAPSVTRILYTEKDVLARRFIKYLMGLAGLSVREDAVGNIFGRWDGYEPELAAVATGSHIDAIPYSGKYDGVVGVLGAIEAINALKRSGFKPRRSLEIILFTSEEPTRFGIGCLGSRLLAGGEALAKALKTTVDSQNISFLDAARSAGYAKDQDDLSSVFLKKGSYSAFVELHIEQGPILEEEGVSIGIVTAIAAPASIKVDFEGNGGHAGAVLMPSRNDAGLAAAELALSVEKHVLESGSIDTVGTVGILELHPGAINSIPSKSHLEIDTRDIDEKRRNVIIEKIHQSAIAIATKRKVNLSEFRIINQDPPALSEKSIIEAMEAASKELNLTQKFMISRAYHDSLFMARISPMGMIFIPCYKGYSHKPEEYASTQDIANGVKVLALTLAKLSLR >EOY05470 pep chromosome:Theobroma_cacao_20110822:4:28665825:28666985:1 gene:TCM_020465 transcript:EOY05470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tau class glutathione transferase GSTU43 MSNLLLEYKPVHKKIPVLVHGGKPTAESLVILEYIDETRTMWEFFHKFGEEQEKAINNNLEILKTMEHGLGDKKFFGGDKLGLADLVFGLVLHILVPTEEVAGIKFIKADTFPRLHAWMKNFSEEPVSKTSGIRKEATVSK >EOY03844 pep chromosome:Theobroma_cacao_20110822:4:19790858:19813959:-1 gene:TCM_019038 transcript:EOY03844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein, putative MASLSSPSSSRPVKHQVFLSFRGEDTRNNFLAYLDQALQRKGIGTYIDSKELPRGEEISSALLKAIQESTISAIVFSKNYASSSWCLEELSKIIEFKDTKGLLVVPIFYHVDPSDVRKQTGSFQQAFAEHEKNRIDKVQEWRHALTQAGNLRGFHIKNDEHEPTIIEDIAQDVLKKLNRMSASDYEGLIGIGPQMEQIKSSLCVGDRENIRIIGIWGMGGIGKTTLAQAIYDEVFSQFESHYFLANVREESGEPGGITSLRDKLLSNIFEEKNLHISTPRIGSTFTIDRLRYKRVLVVLDDVSEVEQLEKLGVKHNHFGPGSRIIVTSKDKQVLRNGVVDALYEVRELNYDDSLQLFSLSAFKQNHPVDDFKDLSNRVLQYARGVPLALKVLGSAFYQKSRIYWESEMKKLKEHPHQKIQKILKISYDGLDETEKCIFLDIACFFKGYNRDDVEKILDSCYSGSALGGITNLIDRSLLYVAESNTLWMHDLLQEMGREVVRSESNKPEERSRLWTSKDVSEVLKKNSGTKSIEGMCLNMSNIVEPIKLRATALKRMIHLKFIKFYDSSEYRHSRKQKILLPAQRLKSLSDKLRYFYWEKYPLKSVPSNFCPENLVQLILPESDIEQLWDGDQNLVNLRVLSLRESGNLIRIPNLSQATNLEILDLYRCRSLVELPCLNHLKSLKGLYIQFCRNLKKFPEVPCHLDSLYLTGTGIEEVPDSVEHLLQLRELHMGGSKVKNVSSNIYKLGSLRSLYLIGCPFAEFPEVPRNLSSLMLLTLEMDGTRIQKLPSGVVKSLQVLTVMNCKYLKSLPELPPSLIYMSADGCTSLKEVSFADHNQTRFVGSYHGYFSFDHCFNLNHNAINNIVANELLRIHCLAKQLSKEFPRGSGDLECCCCFPGSEIPERFEQQSPNSSITVKLHPNRCRRRFLCFAICIVIHCTDENHEEFFFKDHQEFFFKGKCKLKSIDDDDDRSFKFGWSGICDDPVDLPHPDRVLIVFDGSGMFLKDKLYEEASFDFYRVGDLFGGSRDINIFVKKFGVHVFYEDADSKRKRSLSIGDEDEPEPKRFKGEAEDFSSEGEEPEDR >EOY06572 pep chromosome:Theobroma_cacao_20110822:4:32339572:32343895:-1 gene:TCM_021251 transcript:EOY06572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily, putative MHWLVILPLFVTESFRCSVKPASRGALLSRNQEAQAYARTPWFNYQLGTGKPESRIHGPYQGGSDTVQSPNAPTRLPKPEPKGQELIIKEPQQLCKVFISFVYQRKKGKKNQIRKNFSCLKMGKKVAIISVCSVFLVAMVVAVAVGVSRSHGGRGNGGGATPTGEISTSTKAIKAICQPTDYRETCEKSLSSANTTDPKELIKIGFQAAISEIKKVIANSSTVKEVAKDPMARQALENCHELMDYAIDDLKNSFNQLGEFDFSKLDEYIENLKIWLGGAITYQQTCLDGFMNTTGEAGKKMQALLKTSQELTSNGLAMVSEISSILGNLNIQNIGEISTGQRRLFAENGFPMWVSSEQRSRIRQTPATIKPNVVVAKDGSGKYTSINEALQEVPKDNPTTFVIYIKAGVYKEQVIVTKSMTNVMFIGDGRTKTKITGDLNYADGTGTFRTATVGVVGDGFIAKDIGFENTAGAIKHQAVALRVQSDQSIFYNCQMDGYQDTLYAHSHRQFYRDCTISGTIDFIFGDSATVLQNCKIIVRKPLENQQCIVTAQGRIERREVSALVLQNCTISGDPEYLPVKDKNKAYLGRPWKEFSRTLIMQSQIDDIIAPEGWLPWNGDFALNTLWYAEFGNRGPGAVQTHRVTWRGIKKINAAIARRFTPGVFLRGDDWIPKAGVPYTPGLIRGL >EOY05789 pep chromosome:Theobroma_cacao_20110822:4:29799336:29803122:1 gene:TCM_020699 transcript:EOY05789 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein MGASDKDLREEVIQAWYMDDSDADQRLPHHREPKEFVSLDQLAVLGVLSWRLDADDYETDEELKKIRDDRGYSYMDFCEVCPEKLPNYEEKIKNFFEEHLHTDEEIRYCVAGSGYFDVRDHNDQWIRVWVKKGGMIVLPAGIYHRFTLDTDNYIKAMRLFVGDPVWTPYNRPHDHLPARKEYIENFLQKEGGGQAVDAASPNDQVHCTLLVVFSASKCLGLE >EOY04064 pep chromosome:Theobroma_cacao_20110822:4:21826202:21828546:-1 gene:TCM_019327 transcript:EOY04064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKRRFYKFEHGDKDNVSDSSSSSSDSELDVEATEESESESEEEAVAQVKETDQTCSTSSGYESEDSSANEVGVDSAGLIDDDNDETDDDREIFIKDKLPSKHGAQMLETISNTPTKEDSLPDDFPTCILKCKSVFKCKLCPRIVCLNEETMRAHLKSKRHARSEKLLKEGRLKTTLNSDGEIENQETATETHAPVDAVAQDKQKKKHKGGRHRWGKGSKRKRTREEKHSNKNGAKRGRKNEN >EOY03206 pep chromosome:Theobroma_cacao_20110822:4:7769090:7777002:-1 gene:TCM_017833 transcript:EOY03206 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone dehydrogenase, mitochondrial, putative isoform 2 MGLGLLASRTLKSSRILSSQFSRFRPIVTKPELQSPDASAAAAAPQPEPTPPAPKKPVAGARVHFTNPDDAIEVFVDGFPVKIPKGMTVLQACEIAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPLAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTEVKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRIDSRGPEVMRIIPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGADGRFKAVSWRDALAVVAEVALQVKPEEIVGVAGQLSDAESMMALKDLLNNMGSNNVWSEGTGTSPNADLRYRYLMNSSIAGLEKADVFLLVGTQPRVEAAMVNARIRKTVRATNAKVGYIGPPTEFNYDCQHLGTGPQTLLEIAEGRHSFCSVILYAKNPAIIVGAGLFERKDKDAIFSAVEAIAKYGKVIRPDWNGLNVLLLNAAQAAALDLGLVPESNDSIASAKFLYLMGADDVNLDKVPADAFVVYQGHHGDRSVYRANVILPSAAYTEKEGTYENTEGCTQQTLPAVPTVGDARDDWKIIRALSEVAGIRLPYDTLRGIRSRMRTVAPNLLRMDEIEPATFGPSLSPDITQEISLTPFRPAVENFYMTDSITRASKIMAQCSAMLLKE >EOY03207 pep chromosome:Theobroma_cacao_20110822:4:7770215:7776938:-1 gene:TCM_017833 transcript:EOY03207 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone dehydrogenase, mitochondrial, putative isoform 2 PTCGNHTPVFHFSFQPNISTSLATLHSSNKVSLEISAEIRGTKKMGLGLLASRTLKSSRILSSQFSRFRPIVTKPELQSPDASAAAAAPQPEPTPPAPKKPVAGARVHFTNPDDAIEVFVDGFPVKIPKGMTVLQACEIAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPLAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTEVKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRIDSRGPEVMRIIPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGADGRFKAVSWRDALAVVAEVALQVKPEEIVGVAGQLSDAESMMALKDLLNNMGSNNVWSEGTGTSPNADLRYRYLMNSSIAGLEKADVFLLVGTQPRVEAAMVNARIRKTVRATNAKVGYIGPPTEFNYDCQHLGTGPQTLLEIAEGRHSFCSVILYAKNPAIIVGAGLFERKDKDAIFSAVEAIAKYGKVIRPDWNGLNVLLLNAAQAAALDLGLVPESNDSIASAKFLYLMGADDVNLDKVPADAFVVYQGHHGDRSVYRANVILPSAAYTEKEGTYENTEGCTQQTLPAVPTVGDARDDWKIIRALSEVAGIRLPYDTLRGIRSRMRTVA >EOY02621 pep chromosome:Theobroma_cacao_20110822:4:1562165:1566772:1 gene:TCM_017046 transcript:EOY02621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEELQQKEPPNGKYYEIRFLYLPLALIAAVLGFMVDLPVISLIALWKSPYMLFKGWNRLFHDLIGREGPFLETICVPFAGLAILLWPLAVVGAVLGSMVSSIFLGAYAGVVVYQGSSFWFGLCYIVASVSIYDEYSNDVLDMPEGSCFPRPQYRRNKKESTRSASSFTNSDSFKPHPPARANSLTNTRIDLKPLELLEGLFKECSRHGEKMVSEGLITPKDIEDAKSSKGSSVVSIGLPAYCLLQALLRSVNANKSGILLSDNTEITATNRPKDTFFDWFLNPFLILKEQIKAENLSLEEEDYLGKLVLLSGDPERLKISNIGSPPESERKRAELDALARRLRGITKSVSRYPTYWRHFANLVNTLSEDLAKKNHDSSRLSNGAQPIRRTKSALARIFSNKSFKQNASYNMSIQESGSVIARDIEIV >EOY02619 pep chromosome:Theobroma_cacao_20110822:4:1561301:1566772:1 gene:TCM_017046 transcript:EOY02619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEPPKGFLATLWNFICFLPYFIGLLFLGTIKGIIFCAPICLIMTVGNSSIILGLLLFHFYWTCYSVVRAKLLGPVLKLLICVCLPVLLILWVVVAIVGSIIGGILYGFLSPIFATFDAVGEGKTNVFFHCFYDGTWSTIKGSFTFVRDFNDVCFHSFTSYMEELQQKEPPNGKYYEIRFLYLPLALIAAVLGFMVDLPVISLIALWKSPYMLFKGWNRLFHDLIGREGPFLETICVPFAGLAILLWPLAVVGAVLGSMVSSIFLGAYAGVVVYQGSSFWFGLCYIVASVSIYDEYSNDVLDMPEGSCFPRPQYRRNKKESTRSASSFTNSDSFKPHPPARANSLTNTRIDLKPLELLEGLFKECSRHGEKMVSEGLITPKDIEDAKSSKGSSVVSIGLPAYCLLQALLRSVNANKSGILLSDNTEITATNRPKDTFFDWFLNPFLILKEQIKAENLSLEEEDYLGKLVLLSGDPERLKISNIGSPPESERKRAELDALARRLRGITKSVSRYPTYWRHFANLVNTLSEDLAKKNHDSSRLSNGAQPIRRTKSALARIFSNKSFKQNASYNMSIQESGSVIARDIEIV >EOY02620 pep chromosome:Theobroma_cacao_20110822:4:1561237:1565731:1 gene:TCM_017046 transcript:EOY02620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEPPKGFLATLWNFICFLPYFIGLLFLGTIKGIIFCAPICLIMTVGNSSIILGLLLFHFYWTCYSVVRAKLLGPVLKLLICVCLPVLLILWVVVAIVGSIIGGILYGFLSPIFATFDAVGEGKTNVFFHCFYDGTWSTIKGSFTFVRDFNDVCFHSFTSYMEELQQKEPPNGKYYEIRFLYLPLALIAAVLGFMVDLPVISLIALWKSPYMLFKGWNRLFHDLIGREGPFLETICVPFAGLAILLWPLAVVGAVLGSMVSSIFLGAYAGVVVYQGSSFWFGLCYIVASVSIYDEYSNDVLDMPEGSCFPRPQYRRNKKESTRSASSFTNSDSFKPHPPARANSLTNTRIDLKPLELLEGLFKECSRHGEKMVSEGLITPKDIEDAKSSKGSSVVSIGLPAYCLLQALLRSVNANKSGILLSDNTEITA >EOY02288 pep chromosome:Theobroma_cacao_20110822:4:312274:315339:1 gene:TCM_016811 transcript:EOY02288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 KLHIQQQKFWVLFSRHRGDGSEWRSGGSRLKGSCLAGNDLRSKLIRKSRPHHFRSVLEDRRKRNSEKLSKDTRPPQNLSMHPCRPGPNGIDNLNRITTNCVRDGLHIYPLQTMDGSRGFAEMPQIIPTAPARADLFSSNGVFDPCRVTGIIPLTEKDVTASRVTYVAPMSSLVQRRQHVDNEPFTVTALLDSLGLGKYAIHFRAEEVDMTALRQMGDRDLKELGIPMGPRKKLLAFMPPSRRHLPHM >EOY02289 pep chromosome:Theobroma_cacao_20110822:4:311540:315339:1 gene:TCM_016811 transcript:EOY02289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEKPRVTITLGDSGKVVKMRDIVMVDRRRMSGSKRFIKNRPRSYGGAENYIYSNKRHRGDGSEWRSGGSRLKGSCLAGNDLRSKLIRKSRPHHFRSVLEDRRKRNSEKLSKDTRPPQNLSMHPCRPGPNGIDNLNRITTNCVRDGLHIYPLQTMDGSRGFAEMPQIIPTAPARADLFSSNGVFDPCRVTGIIPLTEKDVTASRVTYVAPMSSLVQRRQHVGTEKETSCFYAPFKAASSTYVTLVCCLVDGVSTACKFENLKECKLTRCAFHF >EOY02286 pep chromosome:Theobroma_cacao_20110822:4:311476:315270:1 gene:TCM_016811 transcript:EOY02286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEKPRVTITLGDSGKVVKMRDIVMVDRRRMSGSKRFIKNRPRSYGGAENYIYSNKRHRGDGSEWRSGGSRLKGSCLAGNDLRSKLIRKSRPHHFRSVLEDRRKRNSEKLSKDTRPPQNLSMHPCRPGPNGIDNLNRITTNCVRDGLHIYPLQTMDGSRGFAEMPQIIPTAPARADLFSSNGVFDPCRVTGIIPLTEKDVTASRVTYVAPMSSLVQRRQHVDNEPFTVTALLDSLGLGKYAIHFRAEEVDMTALRQMGDRDLKELGIPMGPRKKLLAFMPPSRRHLPHM >EOY02287 pep chromosome:Theobroma_cacao_20110822:4:311777:315122:1 gene:TCM_016811 transcript:EOY02287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEKPRVTITLGDSGKVVKMRDIVMVDRRRMSGSKRFIKNRPRSYGGAENYIYSNKRHRGDGSEWRSGGSRLKGSCLAGNDLRSKLIRKSRPHHFRSVLEDRRKRNSEKLSKDTRPPQNLSMHPCRPGPNGIDNLNRITTNCVRDGLHIYPLQTMDGSRGFAEMPQIIPTAPARADLFSSNGVFDPCRVTGIIPLTEKDVTASRVTYVAPMSSLVQRRQHVVDMTALRQMGDRDLKELGIPMGPRKKLLAFMPPSRRHLPHM >EOY04651 pep chromosome:Theobroma_cacao_20110822:4:25356900:25360781:1 gene:TCM_019852 transcript:EOY04651 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MASKEVEFSFSSPPLSSTRWWCRDTVAVVTGANKGIGFALVKRFAELGLTVVLTARDVERGNKAAESLREQGLPNVHFSPLDVSKPASIQTFVSWLDTTFGGLDILVNNAAVSFNEIDENSVEYAETVIKTNFYGAKLLTESLLPLFRFSTSISRILNISSRLGSMNKVRDLNIKATLQNERLSEEQIEEVVNLFLQSVKNGTWQSEGWPEIWTDYSVSKLALNAYSRVLAKRFQGSRLSINCFCPGFTQTSMTRGQGTHTPDEAAEVGARLALLPPDHLPTGQFFLGFGPYNKSKL >EOY04652 pep chromosome:Theobroma_cacao_20110822:4:25356784:25362646:1 gene:TCM_019852 transcript:EOY04652 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MASKEVEFSFSSPPLSSTRWWCRDTVAVVTGANKGIGFALVKRFAELGLTVVLTARDVERGNKAAESLREQGLPNVHFSPLDVSKPASIQTFVSWLDTTFGGLDILVNNAAVSFNEIDENSVEYAETVIKTNFYGAKLLTESLLPLFRFSTSISRILNISSRLGSMNKVRDLNIKATLQNERLSEEQIEEVVNLFLQSVKNGTWQSEGWPEIWTDYSVSKLALNAYSRVLAKRFQGSRLSINCFCPGFTQTSMTRGQGTHTPDEAAEL >EOY02352 pep chromosome:Theobroma_cacao_20110822:4:525464:530158:-1 gene:TCM_016859 transcript:EOY02352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, putative MYMCNWHWNKHSKPVPVFCIFVLITSAQVTVSQPSTTKCSLEFESTASPSSSCEGGDWGGFLNKNCCGAAFPGYLDALGKRANKTGLIFLSYSEQTSCLASMKRFEGDVFICGIEKLTRGAGGCSDYSVADVANKLGDELRSFSEKCKFFSSDGFDESCDSCVRSWKDIGGTQSKFTDAESMICRFAVLVSLTSSKIGDENIERIYECLSRKTSSYAENIEESTPEDKKKTKVKTGIWVLIGSLVAFLVIIVIIMYFFSKRGRPSKLSSKKHSSKDVLLKKSGCPKFRIKEVYSATNSLDESNFIGEGTAGKVYKGILSNQQPVAVKHIINDGNVETFVREVTSVSHIKHPNLVTLLGYCLSGEECFLIYELCPNGNLAEWLFGKDKVLSWIQRLEIAIGSARGLWFLHTYSEGCIVHRDIKPTNILLGPNFEAKLSDFGLSKLIDLGETNVSSEVRGTFGYVDPEYQNNRQVNSSGDVYSYGIVLLQILSGKKVFNLNLKKPMALNKMARVLSRGGGVKEFADPKLEGEYSVEAFDLTFQLALSCTSVKQQRPCMEQVVANLEKALDISTRERASTPEATPDRHSTT >EOY06058 pep chromosome:Theobroma_cacao_20110822:4:30792993:30801976:1 gene:TCM_020902 transcript:EOY06058 gene_biotype:protein_coding transcript_biotype:protein_coding description:XB3 in, putative isoform 2 MGQQQSKGELLYNQVNYGNTEGIKALCREGAGLEWIDREGKTPLILACLNPELFNVAKTLIELGANVNAYRPGRHAGTPLHHAAKRGLQNTVKVLLSHGANPLALNDDCQTPLDVARVKGHVNVVRAIEDHICLFSGWMREFYGPGFIEMFAPQLVSRKVWVAVLPTGSRNQTKPFKLELAIYSSLQDAQPRTIIPLWRANLEEPKLNQPDPSVAILDNSTISRRGRRRRSIYTSREARCKPGIIARLKHETRIKLAPEYENDRQKLQWFCDACKGIPQATGPAFLHNSQPPAAQATAPQDAEDLELAMAISASIQSAIAETPNFNLHSGNEAGSSTSWSSSVSASNHSGSVAAMASTPSKASISEWSMTEPGSGSNSTEGTGIHNNNISAVHKTVQTSDSVPSAPPAANEIIEDDPIQYPSIDSSPIDMSFSPIDMSSSNIESVPASADQTKEDEVPSSCVICLDAPSEAACVPCGHVAGCMSCLNEIKAKKWGCPVCRTKIEQVIRLYRV >EOY06059 pep chromosome:Theobroma_cacao_20110822:4:30792993:30797125:1 gene:TCM_020902 transcript:EOY06059 gene_biotype:protein_coding transcript_biotype:protein_coding description:XB3 in, putative isoform 2 MGQQQSKGELLYNQVNYGNTEGIKALCREGAGLEWIDREGKTPLILACLNPELFNVAKTLIELGANVNAYRPGRHAGTPLHHAAKRGLQNTVKVLLSHGANPLALNDDCQTPLDVARVKGHVNVVRAIEDHICLFSGWMREFYGPGFIEMFAPQLVSRKVWVAVLPTGSRNQTKPFKLELAIYSSLQDAQPRTIIPLWRANLEEPKLNQPDPSVAILDNSTKTRIKLAPEYENDRQKLQWFCDACKGIPQATGPAFLHNSQPPAAQATAPQDAEDLELAMAISASIQSAIAETPNFNLHSGNEAGSSTSWSSSVSASNHSGSVAAMASTPSKASISEWSMTEPGSGSNSTEGTGIHNNNISAVHKTVQTSDSVPSAPPAANEIIEDDPIQYPSIDSSPIDMSFSPIDMSSSNIESVPASADQTKEDEVPSSCVICLDAPSEAACVPCGHVAGCMSCLNEIKAKKWGCPVCRTKIEQVIRLYRV >EOY06369 pep chromosome:Theobroma_cacao_20110822:4:31690274:31696186:-1 gene:TCM_021114 transcript:EOY06369 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing DNA repair protein, putative isoform 7 MGSLGDDNGKIKPSQMNPKTDSSLAETQPFDFDSQFSLPAVSGDKVDNEDDDGLQYLWSSAPFDDDNVPGEDAFETQVVNFCGETQVLNFGGETQVLDDVDCFENMETQLLDEFDDEVALDNDGEGTDVTEVLADGDEDSNDDLSRGDCGRFLGQEEKKESLEQCNASIDEQRSSAVHVSTPDVEAVPESKPGSVRRFTSVRAASLRASGLAARNAALRGMNSESCSIRTDSQFSDQCIGNSDGLNPKVEKINQAHDQGNHDEKSISLRNGVNCSVGCSTARKLFAEKEGPFCRGENADAKEGLLQRDGSLAGFSYIDSQEPGELSQANALNFVERFVIDNLMELDGEVDLGKSTSGKSKLISSAKGLQSLAKKTIERSTAGETRIFDWDDFIEDEGGGDIYCRKKEEFYGNESRARKSSTHPQKPKGRKLDESCNEDRPNSHDKKIVYSDSKLLLCKSNVNGKKVSEGSMNFRKNLFNESDEQFNSDFSRGQLEATASKTGAPELVNVGFDTQMAAEAMEALFYGDGATGQNANRGAESITKGSSKGSLRGKSRKRVSSREPTMGKGVRCSDAGPVTRRSKKTKSSKECLLLQEKHSKNVRKECDTELLLPEMKKAKQNTDEHQIAGGIDMFKTPSKSIKQRKAGGALERSQYNGTRRSTRGSSIKKRHPEKVHTFTPIAHRTRQSLVMNVQMSETQASDWRKGRKHQKEVDFLQENRTGSTDIKLSAVSNAKGQLSELGSNQSGECENVKSSDNDQLHLELIARSSNHALSYPKQRRSSWKMCVDVGESDNLKAQSKRSVLQEDKGQSIAVLKRSRSNNRSTHIHSSTRRITRSSVNSRPVLYFSDQNPEGKLSHQSSDKEGSEDDVINYNSTEMNGRMVSTRITGPEPAKSAKHSDGNRDAVSSPIAESVAVNVTLDKSPKEKSKSPGSKCTTPVNCPTPINAASPVCMGEEYYKQSCKKNLSKSSLNKELKSLSPIEPEPISPLKDMRKRRDLANVRVLFSNHLDEDIIKQQKKILARLGISEVSSILDATHFITDKFVRTRNMLEAIASGKPVVTYLWLESIGQVNIHIDEEAYILRDIRKEKELGFCMPVSLARARKRPLLQGRRVFITPNTKPGKETISHLVTAVGGQMLSRL >EOY06370 pep chromosome:Theobroma_cacao_20110822:4:31690219:31696186:-1 gene:TCM_021114 transcript:EOY06370 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing DNA repair protein, putative isoform 7 MGSLGDDNGKIKPSQMNPKTDSSLAETQPFDFDSQFSLPAVSGDKVDNEDDDGLQYLWSSAPFDDDNVPGEDAFETQVVNFCGETQVLNFGGETQVLDDVDCFENMETQLLDEFDDEVALDNDGEGTDVTEVLADGDEDSNDDLSRGDCGRFLGQEEKKESLEQCNASIDEQRSSAVHVSTPDVEAVPESKPGSVRRFTSVRAASLRASGLAARNAALRGMNSESCSIRTDSQFSDQCIGNSDGLNPKVEKINQAHDQGNHDEKSISLRNGVNCSVGCSTARKLFAEKEGPFCRGENADAKEGLLQRDGSLAGFSYIDSQEPGELSQANALNFVERFVIDNLMELDGEVDLGKSTSGKSKLISSAKGLQSLAKKTIERSTAGETRIFDWDDFIEDEGGGDIYCRKKEEFYGNESRARKSSTHPQKPKGRKLDESCNEDRPNSHDKKIVYSDSKLLLCKSNVNGKKVSEGSMNFRKNLFNESDEQFNSDFSRGQLEATASKTGAPELVNVGFDTQMAAEAMEALFYGDGATGQNANRGAESITKGSSKGSLRGKSRKRVSSREPTMGKGVRCSDAGPVTRRSKKTKSSKECLLLQEKHSKNVRKECDTELLLPEMKKAKQNTDEHQIAGGIDMFKTPSKSIKQRKAGGALERSQYNGTRRSTRGSSIKKRHPEKVHTFTPIAHRTRQSLVMNVQMSETQASDWRKGRKHQKEVDFLQENRTGSTDIKLSAVSNAKGQLSELGSNQSGECENVKSSDNDQLHLELIARSSNHALSYPKQRRSSWKMCVDVGESDNLKAQSKRSVLQEDKGQSIAVLKRSRSNNRSTHIHSSTRRITRSSVNSRPVLYFSDQNPEGKLSHQSSDKEGSEDDVINYNSTEMNGRMVSTRITGPEPAKSAKHSDGNRDAVSSPIAESVAVNVTLDKSPKEKSKSPGSKCTTPVNCPTPINAASPVCMGEEYYKQSCKKNLSKSSLNKELKSLSPIEPEPISPLKDMRKRRDLANVRVLFSNHLDEDIIKQQKKVLVVSDNNSSLMIHNLV >EOY06371 pep chromosome:Theobroma_cacao_20110822:4:31690219:31696186:-1 gene:TCM_021114 transcript:EOY06371 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing DNA repair protein, putative isoform 7 MGSLGDDNGKIKPSQMNPKTDSSLAETQPFDFDSQFSLPAVSGDKVDNEDDDGLQYLWSSAPFDDDNVPGEDAFETQVVNFCGETQVLNFGGETQVLDDVDCFENMETQLLDEFDDEVALDNDGEGTDVTEVLADGDEDSNDDLSRGDCGRFLGQEEKKESLEQCNASIDEQRSSAVHVSTPDVEAVPESKPGSVRRFTSVRAASLRASGLAARNAALRGMNSESCSIRTDSQFSDQCIGNSDGLNPKVEKINQAHDQGNHDEKSISLRNGVNCSVGCSTARKLFAEKEGPFCRGENADAKEGLLQRDGSLAGFSYIDSQEPGELSQANALNFVERFVIDNLMELDGEVDLGKSTSGKSKLISSAKGLQSLAKKTIERSTAGETRIFDWDDFIEDEGGGDIYCRKKEEFYGNESRARKSSTHPQKPKGRKLDESCNEDRPNSHDKKIVYSDSKLLLCKSNVNGKKVSEGSMNFRKNLFNESDEQFNSDFSRGQLEATASKTGAPELVNVGFDTQMAAEAMEALFYGDGATGQNANRGAESITKGSSKGSLRGKSRKRVSSREPTMGKGVRCSDAGPVTRRSKKTKSSKECLLLQEKHSKNVRKECDTELLLPEMKKAKQNTDEHQIAGGIDMFKTPSKSIKQRKAGGALERSQYNGTRRSTRGSSIKKRHPEKVHTFTPIAHRTRQSLVMNVQMSETQASDWRKGRKHQKEVDFLQENRTGSTDIKLSAVSNAKGQLSELGSNQSGECENVKSSDNDQLHLELIARSSNHALSYPKQRRSSWKMCVDVGESDNLKAQSKRSVLQEDKGQSIAVLKRSRSNNRSTHIHSSTRRITRSSVNSRPVLYFSDQNPEGKLSHQSSDKEGSEDDVINYNSTEMNGRMVSTRITGPEPAKSAKHSDGNRDAVSSPIAESVAVNVTLDKSPKEKSKSPGSKCTTPVNCPTPINAASPVCMGEEYYKQSCKKNLSKSSLNKELKSLSPIEPEPISPLKDMRKRRDLANVRVLFSNHLDEDIIKQQKKILARLGISEVSSILDATHFITDKFVRTRNMLEAIASGKPVVTYLWLESIGQVNIHIDEEAYILRDIRKEKELGFCMPVSLARARKRPLLQGRRVFITPNTKPGKETISHLVTAVGGQAVERIGRSATKDDKVPDDLLVLSCEEDYVICVPFLEKGYKCFLSYLLACLMKFGLLLESFAAFMLSGAAVYSSELLLNGIVTQKLEYERHRLFADHVKRTRSTIWLRKDDKFLPVTKHK >EOY06368 pep chromosome:Theobroma_cacao_20110822:4:31690895:31695829:-1 gene:TCM_021114 transcript:EOY06368 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing DNA repair protein, putative isoform 7 MGSLGDDNGKIKPSQMNPKTDSSLAETQPFDFDSQFSLPAVSGDKVDNEDDDGLQYLWSSAPFDDDNVPGEDAFETQVVNFCGETQVLNFGGETQVLDDVDCFENMETQLLDEFDDEVALDNDGEGTDVTEVLADGDEDSNDDLSRGDCGRFLGQEEKKESLEQCNASIDEQRSSAVHVSTPDVEAVPESKPGSVRRFTSVRAASLRASGLAARNAALRGMNSESCSIRTDSQFSDQCIGNSDGLNPKVEKINQAHDQGNHDEKSISLRNGVNCSVGCSTARKLFAEKEGPFCRGENADAKEGLLQRDGSLAGFSYIDSQEPGELSQANALNFVERFVIDNLMELDGEVDLGKSTSGKSKLISSAKGLQSLAKKTIERSTAGETRIFDWDDFIEDEGGGDIYCRKKEEFYGNESRARKSSTHPQKPKGRKLDESCNEDRPNSHDKKIVYSDSKLLLCKSNVNGKKVSEGSMNFRKNLFNESDEQFNSDFSRGQLEATASKTGAPELVNVGFDTQMAAEAMEALFYGDGATGQNANRGAESITKGSSKGSLRGKSRKRVSSREPTMGKGVRCSDAGPVTRRSKKTKSSKECLLLQEKHSKNVRKECDTELLLPEMKKAKQNTDEHQIAGGIDMFKTPSKSIKQRKAGGALERSQYNGTRRSTRGSSIKKRHPEKVHTFTPIAHRTRQSLVMNVQMSETQASDWRKGRKHQKEVDFLQENRTGSTDIKLSAVSNAKGQLSELGSNQSGECENVKSSDNDQLHLELIARSSNHALSYPKQRRSSWKMCVDVGESDNLKAQSKRSVLQEDKGQSIAVLKRSRSNNRSTHIHSSTRRITRSSVNSRPVLYFSDQNPEGKLSHQSSDKEGSEDDVINYNSTEMNGRMVSTRITGPEPAKSAKHSDGNRDAVSSPIAESVAVNVTLDKSPKEKSKSPGSKCTTPVNCPTPINAASPVCMGEEYYKQSCKKNLSKSSLNKELKSLSPIEPEPISPLKDMRKRRDLANVRVLFSNHLDEDIIKQQKKILARLGISEVSSILDATHFITDKFVRTRNMLEAIASGKPVVTYLWLESIGQVNIHIDEEAYILRDIRKEKELGFCMPVSLARARKRPLLQGRRVFITPNTKPGKETISHLVTAVGGQAVERIGRSATKDDKVPDDLLVLSCEEDYVICVPFLEKGAAVYSSELLLNGIVTQKLEYERLVLSL >EOY06367 pep chromosome:Theobroma_cacao_20110822:4:31689913:31696581:-1 gene:TCM_021114 transcript:EOY06367 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing DNA repair protein, putative isoform 7 MGSLGDDNGKIKPSQMNPKTDSSLAETQPFDFDSQFSLPAVSGDKVDNEDDDGLQYLWSSAPFDDDNVPGEDAFETQVVNFCGETQVLNFGGETQVLDDVDCFENMETQLLDEFDDEVALDNDGEGTDVTEVLADGDEDSNDDLSRGDCGRFLGQEEKKESLEQCNASIDEQRSSAVHVSTPDVEAVPESKPGSVRRFTSVRAASLRASGLAARNAALRGMNSESCSIRTDSQFSDQCIGNSDGLNPKVEKINQAHDQGNHDEKSISLRNGVNCSVGCSTARKLFAEKEGPFCRGENADAKEGLLQRDGSLAGFSYIDSQEPGELSQANALNFVERFVIDNLMELDGEVDLGKSTSGKSKLISSAKGLQSLAKKTIERSTAGETRIFDWDDFIEDEGGGDIYCRKKEEFYGNESRARKSSTHPQKPKGRKLDESCNEDRPNSHDKKIVYSDSKLLLCKSNVNGKKVSEGSMNFRKNLFNESDEQFNSDFSRGQLEATASKTGAPELVNVGFDTQMAAEAMEALFYGDGATGQNANRGAESITKGSSKGSLRGKSRKRVSSREPTMGKGVRCSDAGPVTRRSKKTKSSKECLLLQEKHSKNVRKECDTELLLPEMKKAKQNTDEHQIAGGIDMFKTPSKSIKQRKAGGALERSQYNGTRRSTRGSSIKKRHPEKVHTFTPIAHRTRQSLVMNVQMSETQASDWRKGRKHQKEVDFLQENRTGSTDIKLSAVSNAKGQLSELGSNQSGECENVKSSDNDQLHLELIARSSNHALSYPKQRRSSWKMCVDVGESDNLKAQSKRSVLQEDKGQSIAVLKRSRSNNRSTHIHSSTRRITRSSVNSRPVLYFSDQNPEGKLSHQSSDKEGSEDDVINYNSTEMNGRMVSTRITGPEPAKSAKHSDGNRDAVSSPIAESVAVNVTLDKSPKEKSKSPGSKCTTPVNCPTPINAASPVCMGEEYYKQSCKKNLSKSSLNKELKSLSPIEPEPISPLKDMRKRRDLANVRVLFSNHLDEDIIKQQKKILARLGISEVSSILDATHFITDKFVRTRNMLEAIASGKPVVTYLWLESIGQVNIHIDEEAYILRDIRKEKELGFCMPVSLARARKRPLLQGRRVFITPNTKPGKETISHLVTAVGGQAVERIGRSATKDDKVPDDLLVLSCEEDYVICVPFLEKGAAVYSSELLLNGIVTQKLEYERHRLFADHVKRTRSTIWLRKDDKFLPVTKHK >EOY06372 pep chromosome:Theobroma_cacao_20110822:4:31689495:31694427:-1 gene:TCM_021114 transcript:EOY06372 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing DNA repair protein, putative isoform 7 MNSESCSIRTDSQFSDQCIGNSDGLNPKVEKINQAHDQGNHDEKSISLRNGVNCSVGCSTARKLFAEKEGPFCRGENADAKEGLLQRDGSLAGFSYIDSQEPGELSQANALNFVERFVIDNLMELDGEVDLGKSTSGKSKLISSAKGLQSLAKKTIERSTAGETRIFDWDDFIEDEGGGDIYCRKKEEFYGNESRARKSSTHPQKPKGRKLDESCNEDRPNSHDKKIVYSDSKLLLCKSNVNGKKVSEGSMNFRKNLFNESDEQFNSDFSRGQLEATASKTGAPELVNVGFDTQMAAEAMEALFYGDGATGQNANRGAESITKGSSKGSLRGKSRKRVSSREPTMGKGVRCSDAGPVTRRSKKTKSSKECLLLQEKHSKNVRKECDTELLLPEMKKAKQNTDEHQIAGGIDMFKTPSKSIKQRKAGGALERSQYNGTRRSTRGSSIKKRHPEKVHTFTPIAHRTRQSLVMNVQMSETQASDWRKGRKHQKEVDFLQENRTGSTDIKLSAVSNAKGQLSELGSNQSGECENVKSSDNDQLHLELIARSSNHALSYPKQRRSSWKMCVDVGESDNLKAQSKRSVLQEDKGQSIAVLKRSRSNNRSTHIHSSTRRITRSSVNSRPVLYFSDQNPEGKLSHQSSDKEGSEDDVINYNSTEMNGRMVSTRITGPEPAKSAKHSDGNRDAVSSPIAESVAVNVTLDKSPKEKSKSPGSKCTTPVNCPTPINAASPVCMGEEYYKQSCKKNLSKSSLNKELKSLSPIEPEPISPLKDMRKRRDLANVRVLFSNHLDEDIIKQQKKILARLGISEVSSILDATHFITDKFVRTRNMLEAIASGKPVVTYLWLESIGQVNIHIDEEAYILRDIRKEKELGFCMPVSLARARKRPLLQQGRRVFITPNTKPGKETISHLVTAVGGQAVERIGRSATKDDKVPDDLLVLSCEEDYVICVPFLEKGYKCFLSYLLACLMKFGLLLESFAAFMLSGAAVYSSELLLNGIVTQKLEYERHRLFADHVKRTRSTIWLRKDDKFLPVTKHK >EOY06366 pep chromosome:Theobroma_cacao_20110822:4:31689261:31696186:-1 gene:TCM_021114 transcript:EOY06366 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing DNA repair protein, putative isoform 7 MGSLGDDNGKIKPSQMNPKTDSSLAETQPFDFDSQFSLPAVSGDKVDNEDDDGLQYLWSSAPFDDDNVPGEDAFETQVVNFCGETQVLNFGGETQVLDDVDCFENMETQLLDEFDDEVALDNDGEGTDVTEVLADGDEDSNDDLSRGDCGRFLGQEEKKESLEQCNASIDEQRSSAVHVSTPDVEAVPESKPGSVRRFTSVRAASLRASGLAARNAALRGMNSESCSIRTDSQFSDQCIGNSDGLNPKVEKINQAHDQGNHDEKSISLRNGVNCSVGCSTARKLFAEKEGPFCRGENADAKEGLLQRDGSLAGFSYIDSQEPGELSQANALNFVERFVIDNLMELDGEVDLGKSTSGKSKLISSAKGLQSLAKKTIERSTAGETRIFDWDDFIEDEGGGDIYCRKKEEFYGNESRARKSSTHPQKPKGRKLDESCNEDRPNSHDKKIVYSDSKLLLCKSNVNGKKVSEGSMNFRKNLFNESDEQFNSDFSRGQLEATASKTGAPELVNVGFDTQMAAEAMEALFYGDGATGQNANRGAESITKGSSKGSLRGKSRKRVSSREPTMGKGVRCSDAGPVTRRSKKTKSSKECLLLQEKHSKNVRKECDTELLLPEMKKAKQNTDEHQIAGGIDMFKTPSKSIKQRKAGGALERSQYNGTRRSTRGSSIKKRHPEKVHTFTPIAHRTRQSLVMNVQMSETQASDWRKGRKHQKEVDFLQENRTGSTDIKLSAVSNAKGQLSELGSNQSGECENVKSSDNDQLHLELIARSSNHALSYPKQRRSSWKMCVDVGESDNLKAQSKRSVLQEDKGQSIAVLKRSRSNNRSTHIHSSTRRITRSSVNSRPVLYFSDQNPEGKLSHQSSDKEGSEDDVINYNSTEMNGRMVSTRITGPEPAKSAKHSDGNRDAVSSPIAESVAVNVTLDKSPKEKSKSPGSKCTTPVNCPTPINAASPVCMGEEYYKQSCKKNLSKSSLNKELKSLSPIEPEPISPLKDMRKRRDLANVRVLFSNHLDEDIIKQQKKILARLGISEVSSILDATHFITDKFVRTRNMLEAIASGKPVVTYLWLESIGQVNIHIDEEAYILRDIRKEKELGFCMPVSLARARKRPLLQGRRVFITPNTKPGKETISHLVTAVGGQAVERIGRSATKDDKVPDDLLVLSCEEDYVICVPFLEKGAAVYSSELLLNGIVTQKLEYERHRLFADHVKRTRSTIWLRKDDKFLPVTKHK >EOY06299 pep chromosome:Theobroma_cacao_20110822:4:31531673:31544500:-1 gene:TCM_021077 transcript:EOY06299 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 family, putative isoform 1 MSGFGKHSGPTTAPKSANPFQIQRPPPPSSTAPTRSSRGIEAVDRVRRPPAAFENFSPAVRPHQYGGVQRPIESPPRWAGGQGLLKDDAAQTHLRPPAVASFIASRISETSVTSKIARFQESKRARSPPSLSVDDTVPRNSSQAILQRPSFSPPMQHNPAKLPATYPNLPAHQDQSVVSSHVGPTGYRKSFVNEVPDMQAPKQARLPRTQPAEEVTPENFLSVRNGSKRPSGSPPRLGTKSNILSSSSDVPIRPRSLPSAHGIVSTAVRNTGLPVSKRTRSPPLIYRDEFLEENSSPIEDGTERELQAKAKRLARFKAELSETVQMSPPDIVDQRLSANRFQQNVEERKKLVGEHSTDSAGDFLNDTALSDFEGMETSSIIIGLCPDMCPESERAERERKGDLDQYERLDGDRNQTSEFLAVKKYTRTAEREASLIRPMPVLQKTIDYLLNLLDQPYGDRFLGIYNFLWDRMRAIRMDLRMQHIFDQGAITMLEQMIRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKKGINVPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPEIRQTPEVLFARNVARACRTGNFVAFFRLARRASYLQACLMHAHFAKLRTQALASLHSSLQNNQGLPVTYVARWLGIEEEDIESLLDYYGFSIKEFEEPYMVKEGPFLNVDSDYPTKCSRLVHLKRSRTIAEDVAVSRELTSLPIRATKESQLGKIYKQRSNAFSSPRRASSVIAVDEEMPDSKVVSSPKDGVQLHSVTETSIGVQQLQRHLKTGASFKPLDFSVSRSSPRSLPAKVAVMEKANNDALFTILPERAITSGTEQMPLQIMSKASLPERSTSGIFDHAVENSKPQSMAIDKVKSLPARSPSGKYDYITKDSVPQTMATNDLKSLSETPSDKYDYALENLVPQGMAVDDLGDEPPDSHLEIENQETVANNQDKEVAEAKLKLILRLWRRRAIKLRELREQRQLAGEAALRSLPLGIPVWQNKNQWSTFGELDFDHVMRERYEKQERSWSKLNVSDVVSGILANRNPGAKCLCWKIVLCSPENKQGDQLMQKSQVAHLAAGSWLFSKIMPSTGDNNDDDLAVSSSGLSIWQKWIPSLSGTDLTCCLSVVKDANCGDLNETVSGASAVLFLVSDSIPWKLQKIHLHNLLTSIPPGSCLPLLVLSGSYNVEGSDPSAVIVNELELHDIDKSRVSSFLVVFLVGKQHLEHSNWFFSDEQLRKGLKWLANESPVQPVLSSVKTRELVMSHLSPLLEVLDRMSDHEVGPSHCISVFNEALDWSLGEIAAAVKANPTNWPCSETMLLEDSSDELLAVKLFLPSVGWSSTAKTAPLECALRDCRLPSFPDDISWLRRGSKMGKDIDNHRLLLESCFIGYLTQSSKMMGIPLATKETSVMLQRNTQLELHGMSYYLVPNWVTIFRRIFNWRLMSLSTGACSLAYVLQCHNVAAKLGDIPKLQDEGDTSPYFWSYPSLDEIIEVGCSPLKSPRVGLDPQASQQETVLDIEVQEAATTSTSSIKDKGDSSQKHGLAIADDVACTIRESNSSYSEIVMARTETDRLSQLLEKCNIVQNSIGEKLSIYF >EOY06302 pep chromosome:Theobroma_cacao_20110822:4:31535900:31544296:-1 gene:TCM_021077 transcript:EOY06302 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 family, putative isoform 1 MSGFGKHSGPTTAPKSANPFQIQRPPPPSSTAPTRSSRGIEAVDRVRRPPAAFENFSPAVRPHQYGGVQRPIESPPRWAGGQGLLKDDAAQTHLRPPAVASFIASRISETSVTSKIARFQESKRARSPPSLSVDDTVPRNSSQAILQRPSFSPPMQHNPAKLPATYPNLPAHQDQSVVSSHVGPTGYRKSFVNEVPDMQAPKQARLPRTQPAEEVTPENFLSVRNGSKRPSGSPPRLGTKSNILSSSSDVPIRPRSLPSAHGIVSTAVRNTGLPVSKRTRSPPLIYRDEFLEENSSPIEDGTERELQAKAKRLARFKAELSETVQMSPPDIVDQRLSANRFQQNVEERKKLVGEHSTDSAGDFLNDTALSDFEGMETSSIIIGLCPDMCPESERAERERKGDLDQYERLDGDRNQTSEFLAVKKYTRTAEREASLIRPMPVLQKTIDYLLNLLDQPYGDRFLGIYNFLWDRMRAIRMDLRMQHIFDQGAITMLEQMIRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKKGINVPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPEIRQTPEVLFARNVARACRTGNFVAFFRLARRASYLQACLMHAHFAKLRTQALASLHSSLQNNQGLPVTYVARWLGIEEEDIESLLDYYGFSIKEFEEPYMVKEGPFLNVDSDYPTKCSRLVHLKRSRTIAEDVAVSRELTSLPIRATKESQLGKIYKQRSNAFSSPRRASSVIAVDEEMPDSKVVSSPKDGVQLHSVTETSIGVQQLQRHLKTGASFKPLDFSVSRSSPRSLPAKVAVMEKANNDALFTILPERAITSGTEQMPLQIMSKASLPERSTSGIFDHAVENSKPQSMAIDKVKSLPARSPSGKYDYITKDSVPQTMATNDLKSLSETPSDKYDYALENLVPQGMAVDDLGDEPPDSHLEIENQETVANNQDKEVAEAKLKLILRLWRRRAIKLRELREQRQLAGEAALRSLPLGIPVWQNKNQWSTFGELDFDHVMRERYEKQERSWSKLNVSDVVSGILANRNPGAKCLCWKIVLCSPENKQGDQLMQKSQVAHLAAGSWLFSKIMPSTGDNNDDDLAVSSSGLSIWQKWIPSLSGTDLTCCLSVVKDANCGDLNETVSGASAVLFLVSDSIPWKLQKIHLHNLLTSIPPGSCLPLLVLSGSYNVEGSDPSAVIVNELELHDIDKSRVSSFLVVFLVGKQHLEHSNWFFSDEQLRKGLKWLANESPVQPVLSSVKTRELVMSHLSPLLEVLDRMSDHEVGPSHCISVFNEALDWSLGEIAAAVKANPTNWPCSETMLLEDSSDELLAVKLFLPSVGWSSTAKTAPLECALRDCRLPSFPDDISWLRRGSKMGKDIDNHRLLLESCFIGYLTQSSKMMGIPLATKETSVMLQRNTQLELHGMSYYLVPNWVTIFRRIFNWRLMSLSTGACSLAYVLQCHNVAAKLGDIPKLQDEGDTSPYFWSYPSLDEIIEVGCSPLKSPRVGLDPQASQQETVLDIEVQEAATTSTSSIKDKGDSSQKHGLAIADDVACTIRESNSSYSEIVMARTETDRLSQLLEKCNIVQNSIGEKLSIYF >EOY06300 pep chromosome:Theobroma_cacao_20110822:4:31533412:31544334:-1 gene:TCM_021077 transcript:EOY06300 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 family, putative isoform 1 MSGFGKHSGPTTAPKSANPFQIQRPPPPSSTAPTRSSRGIEAVDRVRRPPAAFENFSPAVRPHQYGGVQRPIESPPRWAGGQGLLKDDAAQTHLRPPAVASFIASRISETSVTSKIARFQESKRARSPPSLSVDDTVPRNSSQAILQRPSFSPPMQHNPAKLPATYPNLPAHQDQSVVSSHVGPTGYRKSFVNEVPDMQAPKQARLPRTQPAEEVTPENFLSVRNGSKRPSGSPPRLGTKSNILSSSSDVPIRPRSLPSAHGIVSTAVRNTGLPVSKRTRSPPLIYRDEFLEENSSPIEDGTERELQAKAKRLARFKAELSETVQMSPPDIVDQRLSANRFQQNVEERKKLVGEHSTDSAGDFLNDTALSDFEGMETSSIIIGLCPDMCPESERAERERKGDLDQYERLDGDRNQTSEFLAVKKYTRTAEREASLIRPMPVLQKTIDYLLNLLDQPYGDRFLGIYNFLWDRMRAIRMDLRMQHIFDQGAITMLEQMIRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKKGINVPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPEIRQTPEVLFARNVARACRTGNFVAFFRLARRASYLQACLMHAHFAKLRTQALASLHSSLQNNQGLPVTYVARWLGIEEEDIESLLDYYGFSIKEFEEPYMVKEGPFLNVDSDYPTKCSRLVHLKRSRTIAEDVAVSRELTSLPIRATKESQLGKIYKQRSNAFSSPRRASSVIAVDEEMPDSKVVSSPKDGVQLHSVTETSIGVQQLQRHLKTGASFKPLDFSVSRSSPRSLPAKVAVMEKANNDALFTILPERAITSGTEQMPLQIMSKASLPERSTSGIFDHAVENSKPQSMAIDKVKSLPARSPSGKYDYITKDSVPQTMATNDLKSLSETPSDKYDYALENLVPQGMAVDDLGDEPPDSHLEIENQETVANNQDKEVAEAKLKLILRLWRRRAIKLRELREQRQLAGEAALRSLPLGIPVWQNKNQWSTFGELDFDHVMRERYEKQERSWSKLNVSDVVSGILANRNPGAKCLCWKIVLCSPENKQGDQLMQKSQVAHLAAGSWLFSKIMPSTGDNNDDDLAVSSSGLSIWQKWIPSLSGTDLTCCLSVVKDANCGDLNETVSGASAVLFLVSDSIPWKLQKIHLHNLLTSIPPGSCLPLLVLSGSYNVEGSDPSAVIVNELELHDIDKSRVSSFLVVFLVGKQHLEHSNWFFSDEQLRKGLKWLANESPVQPVLSSVKTRELVMSHLSPLLEVLDRMSDHEVGPSHCISVFNEALDWSLGEIAAAVKANPTNWPCSETMLLEDSSDELLAVKLFLPSVGWSSTAKTAPLECALRDCRLPSFPDDISWLRRGSKMGKDIDNHRLLLESCFIGYLTQSSKMMGIPLATKETSVMLQRNTQLELHGMSYYLVPNWVTIFRRIFNWRLMSLSTGACSLAYVLQCHNVAAKLGDIPKLQDEGDTSPYFWSYPSLDEIIEVGCSPLKSPRVGLDPQASQQETVLDIEVQEAATTSTSSIKDKGDSSQKHGLAIADDVACTIRESNSSYSEIVMARTETDRLSQLLEKCNIVQNSIGEKLSIYF >EOY06301 pep chromosome:Theobroma_cacao_20110822:4:31531673:31542309:-1 gene:TCM_021077 transcript:EOY06301 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 family, putative isoform 1 MILKEGKQFVLFFSIFLHQMRTLPDRSIKERTRQCCRGGIHLKFRFRTEKLCAHDTAFFTALPLSWPSGSPPRLGTKSNILSSSSDVPIRPRSLPSAHGIVSTAVRNTGLPVSKRTRSPPLIYRDEFLEENSSPIEDGTERELQAKAKRLARFKAELSETVQMSPPDIVDQRLSANRFQQNVEERKKLVGEHSTDSAGDFLNDTALSDFEGMETSSIIIGLCPDMCPESERAERERKGDLDQYERLDGDRNQTSEFLAVKKYTRTAEREASLIRPMPVLQKTIDYLLNLLDQPYGDRFLGIYNFLWDRMRAIRMDLRMQHIFDQGAITMLEQMIRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKKGINVPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPEIRQTPEVLFARNVARACRTGNFVAFFRLARRASYLQACLMHAHFAKLRTQALASLHSSLQNNQGLPVTYVARWLGIEEEDIESLLDYYGFSIKEFEEPYMVKEGPFLNVDSDYPTKCSRLVHLKRSRTIAEDVAVSRELTSLPIRATKESQLGKIYKQRSNAFSSPRRASSVIAVDEEMPDSKVVSSPKDGVQLHSVTETSIGVQQLQRHLKTGASFKPLDFSVSRSSPRSLPAKVAVMEKANNDALFTILPERAITSGTEQMPLQIMSKASLPERSTSGIFDHAVENSKPQSMAIDKVKSLPARSPSGKYDYITKDSVPQTMATNDLKSLSETPSDKYDYALENLVPQGMAVDDLGDEPPDSHLEIENQETVANNQDKEVAEAKLKLILRLWRRRAIKLRELREQRQLAGEAALRSLPLGIPVWQNKNQWSTFGELDFDHVMRERYEKQERSWSKLNVSDVVSGILANRNPGAKCLCWKIVLCSPENKQGDQLMQKSQVAHLAAGSWLFSKIMPSTGDNNDDDLAVSSSGLSIWQKWIPSLSGTDLTCCLSVVKDANCGDLNETVSGASAVLFLVSDSIPWKLQKIHLHNLLTSIPPGSCLPLLVLSGSYNVEGSDPSAVIVNELELHDIDKSRVSSFLVVFLVGKQHLEHSNWFFSDEQLRKGLKWLANESPVQPVLSSVKTRELVMSHLSPLLEVLDRMSDHEVGPSHCISVFNEALDWSLGEIAAAVKANPTNWPCSETMLLEDSSDELLAVKLFLPSVGWSSTAKTAPLECALRDCRLPSFPDDISWLRRGSKMGKDIDNHRLLLESCFIGYLTQSSKMMGIPLATKETSVMLQRNTQLELHGMSYYLVPNWVTIFRRIFNWRLMSLSTGACSLAYVLQCHNVAAKLGDIPKLQDEGDTSPYFWSYPSLDEIIEVGCSPLKSPRVGLDPQASQQETVLDIEVQEAATTSTSSIKDKGDSSQKHGLAIADDVACTIRESNSSYSEIVMARTETDRLSQLLEKCNIVQNSIGEKLSIYF >EOY06303 pep chromosome:Theobroma_cacao_20110822:4:31535900:31542222:-1 gene:TCM_021077 transcript:EOY06303 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 family, putative isoform 1 MILKEGKQFVLFFSIFLHQMRTLPDRSIKERTRQCCRGGIHLKFRFRTEKLCAHDTAFFTALPLSWPSGSPPRLGTKSNILSSSSDVPIRPRSLPSAHGIVSTAVRNTGLPVSKRTRSPPLIYRDEFLEENSSPIEDGTERELQAKAKRLARFKAELSETVQMSPPDIVDQRLSANRFQQNVEERKKLVGEHSTDSAGDFLNDTALSDFEGMETSSIIIGLCPDMCPESERAERERKGDLDQYERLDGDRNQTSEFLAVKKYTRTAEREASLIRPMPVLQKTIDYLLNLLDQPYGDRFLGIYNFLWDRMRAIRMDLRMQHIFDQGAITMLEQMIRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKKGINVPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPEIRQTPEVLFARNVARACRTGNFVAFFRLARRASYLQACLMHAHFAKLRTQALASLHSSLQNNQGLPVTYVARWLGIEEEDIESLLDYYGFSIKEFEEPYMVKEGPFLNVDSDYPTKCSRLVHLKRSRTIAEDVAVSRELTSLPIRATKESQLGKIYKQRSNAFSSPRRASSVIAVDEEMPDSKVVSSPKDGVQLHSVTETSIGVQQLQRHLKTGASFKPLDFSVSRSSPRSLPAKVAVMEKANNDALFTILPERAITSGTEQMPLQIMSKASLPERSTSGIFDHAVENSKPQSMAIDKVKSLPARSPSGKYDYITKDSVPQTMATNDLKSLSETPSDKYDYALENLVPQGMAVDDLGDEPPDSHLEIENQETVANNQDKEVAEAKLKLILRLWRRRAIKLRELREQRQLAGEAALRSLPLGIPVWQNKNQWSTFGELDFDHVMRERYEKQERSWSKLNVSDVVSGILANRNPGAKCLCWKIVLCSPENKQGDQLMQKSQVAHLAAGSWLFSKIMPSTGDNNDDDLAVSSSGLSIWQKWIPSLSGTDLTCCLSVVKDANCGDLNETVSGASAVLFLVSDSIPWKLQKIHLHNLLTSIPPGSCLPLLVLSGSYNVEGSDPSAVIVNELELHDIDKSRVSSFLVVFLVGKQHLEHSNWFFSDEQLRKGLKWLANESPVQPVLSSVKTRELVMSHLSPLLEVLDRMSDHEVGPSHCISVFNEALDWSLGEIAAAVKANPTNWPCSETMLLEDSSDELLAVKLFLPSVGWSSTAKTAPLECALRDCRLPSFPDDISWLRRGSKMGKDIDNHRLLLESCFIGYLTQSSKMMGIPLATKETSVMLQRNTQLELHGMSYYLVPNWVTIFRRIFNWRLMSLSTGACSLAYVLQCHNVAAKLGDIPKLQDEGDTSPYFWSYPSLDEIIEVGCSPLKSPRVGLDPQASQQETVLDIEVQEAATTSTSSIKDKGDSSQKHGLAIADDVACTIRESNSSYSEIVMARTETDRLSQLLEKCNIVQNSIGEKLSIYF >EOY04698 pep chromosome:Theobroma_cacao_20110822:4:25543209:25550823:-1 gene:TCM_019889 transcript:EOY04698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysyl-tRNA synthetase 1 isoform 4 MEGSVEETAKAVSDLAMDSTAHGETQSKNARKKELKNKQREEERRRKEEEKAAKQAAAKVGSQSQKHAGADDEDMDPTQFHENRLKFLAGQKAEGKNPYPHKFFPSMSIIEYIDKYGSLGNGEHIEDLSVSLAGRIMSKRSSSSKLFFYDLHGDGAKVQVMADASKSGLDESEFAKFHSSVKRGDIVGVTGFPGKTKRGELSIFPKSFIVLSHCLHMMPRQKAGPDANVKKTDAWVPGSNRNPETYILKDQETRYRQRYLDLMLNLEVRQIFRTRSKIISYIRSFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNELNMKLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMELTEKMLSGMVKELTGSYKIKYHANGLDNDPIEIDFTPPFRRLDMVEELEKMANLNIPKDFSGDEANKYLVDACAKFEIKCPPPQTTARLLDKIVGHFLEDTCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFVNKHELCNAYTELNDPVVQRQRFAEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGVDRLAMLLTDSQNIKEVLLFPAMKPQDEPSVKAPAS >EOY04696 pep chromosome:Theobroma_cacao_20110822:4:25543605:25550800:-1 gene:TCM_019889 transcript:EOY04696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysyl-tRNA synthetase 1 isoform 4 MEGSVEETAKAVSDLAMDSTAHGETQSKNARKKELKNKQREEERRRKEEEKAAKQAAAKVGSQSQKHAGADDEDMDPTQFHENRLKFLAGQKAEGKNPYPHKFFPSMSIIEYIDKYGSLGNGEHIEDLSVSLAGRIMSKRSSSSKLFFYDLHGDGAKVQVMADASKSGLDESEFAKFHSSVKRGDIVGVTGFPGKTKRGELSIFPKSFIVLSHCLHMMPRQKAGPDANVKKTDAWVPGSNRNPETYILKDQETRYRQRYLDLMLNLEVRQIFRTRSKIISYIRSFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNELNMKLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMELTEKMLSGMVKELTGSYKIKYHANGLDNDPIEIDFTPPFRRLDMVEELEKMANLNIPKDFSGDEANKYLVDACAKFEIKCPPPQTTARLLDKIVGHFLEDTCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFVNKHELCNAYTELNDPVVQRQRFAEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGVDRLAMLLTDSQNIKEVLLFPAMKPQDEPSVKVSCLA >EOY04699 pep chromosome:Theobroma_cacao_20110822:4:25543728:25550800:-1 gene:TCM_019889 transcript:EOY04699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysyl-tRNA synthetase 1 isoform 4 MEGSVEETAKAVSDLAMDSTAHGETQSKNARKKELKNKQREEERRRKEEEKAAKQAAAKVGSQSQKHAGADDEDMDPTQFHENRLKFLAGQKAEGKNPYPHKFFPSMSIIEYIDKYGSLGNGEHIEDLSVSLAGRIMSKRSSSSKLFFYDLHGDGAKVQVMADASKSGLDESEFAKFHSSVKRGDIVGVTGFPGKTKRGELSIFPKSFIVLSHCLHMMPRQKAGPDANVKKTDAWVPGSNRNPETYILKDQETRYRQRYLDLMLNLEVRQIFRTRSKIISYIRSFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNELNMKLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMELTEKMLSGMVKELTGSYKIKYHANGLDNDPIEIDFTPPFRRLDMVEELEKMANLNIPKDFSGDEANKYLVDACAKFEIKCPPPQTTARLLDKIVGHFLEDTCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFVNKHELCNAYTELNDPVVQRQRFAEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGVDRLAMLLTDSQNIKEVLLFPAMKPQDEPSVKECCF >EOY04697 pep chromosome:Theobroma_cacao_20110822:4:25543812:25550682:-1 gene:TCM_019889 transcript:EOY04697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysyl-tRNA synthetase 1 isoform 4 MEGSVEETAKAVSDLAMDSTAHGETQSKNARKKELKNKQREEERRRKEEEKAAKQAAAKVGSQSQKHAGADDEDMDPTQFHENRLKFLAGQKAEGKNPYPHKFFPSMSIIEYIDKYGSLGNGEHIEDLSVSLAGRIMSKRSSSSKLFFYDLHGDGAKVQVMADASKSGLDESEFAKFHSSVKRGDIVGVTGFPGKTKRGELSIFPKSFIVLSHCLHMMPRQKAGPDANVKKTDAWVPGSNRNPETYILKDQETRYRQRYLDLMLNLEVRQIFRTRSKIISYIRSFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNELNMKLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMELTEKMLSGMVKELTGSYKIKYHANGLDNDPIEIDFTPPFRRLDMVEELEKMANLNIPKDFSGDEANKYLVDACAKFEIKCPPPQTTARLLDKIVGHFLEDTCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFVNKHELCNAYTELNDPVVQRQRFAEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGVDRLAMLLTDSQNIKEVLLFPAMKPQDEPSVKGN >EOY04700 pep chromosome:Theobroma_cacao_20110822:4:25543909:25550682:-1 gene:TCM_019889 transcript:EOY04700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysyl-tRNA synthetase 1 isoform 4 MEGSVEETAKAVSDLAMDSTAHGETQSKNARKKELKNKQREEERRRKEEEKAAKQAAAKVGSQSQKHAGADDEDMDPTQFHENRLKFLAGQKAEGKNPYPHKFFPSMSIIEYIDKYGSLGNGEHIEDLSVSLAGRIMSKRSSSSKLFFYDLHGDGAKVQVMADASKSGLDESEFAKFHSSVKRGDIVGVTGFPGKTKRGELSIFPKSFIVLSHCLHMMPRQKAGPDANVKKTDAWVPGSNRNPETYILKDQETRYRQRYLDLMLNLEVRQIFRTRSKIISYIRSFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNELNMKLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMELTEKMLSGMVKELTGSYKIKYHANGLDNDPIEIDFTPPFRRLDMVEELEKMANLNIPKDFSGDEANKYLVDACAKFEIKCPPPQTTARLLDKIVGHFLEDTCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFVNKHELCNAYTELNDPVVQRQRFAEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGVDRLAMLLTDSQNIKVLSLSLSSVCACVWIHSPMLTPGDSLVDF >EOY04712 pep chromosome:Theobroma_cacao_20110822:4:25596053:25600479:1 gene:TCM_019901 transcript:EOY04712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport, ribosome-binding, bacterial, putative isoform 1 MEIAVKTLSLSLNPKIISYKQVEDVFIPNLSCNRACFPPQKRYRALKYQGRERHVHLLTVHAVSSDLEDVGVSSQFEDFNVTTASINENRELKISVEISGAKTRAIFDDVFDKMVAAAQPIPGFRRVKGGKTPNESLAVGKDLRVEQSFEDLEEMFEPDEKFRFDAVIKLQETN >EOY04711 pep chromosome:Theobroma_cacao_20110822:4:25596088:25600474:1 gene:TCM_019901 transcript:EOY04711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport, ribosome-binding, bacterial, putative isoform 1 MEIAVKTLSLSLNPKIISYKQVEDVFIPNLSCNRACFPPQKRYRALKYQGRERHVHLLTVHAVSSDLEDVGVSSQFEDFNVTTASINENRELKISVEISGAKTRAIFDDVFDKMVAAAQPIPGFRRVKGGKTPNIPRDILLEVLGASKVYKQVITKVINSTVAEYVEKESLAVGKDLRVEQSFEDLEEMFEPDEKFRFDAVIKLQETN >EOY04710 pep chromosome:Theobroma_cacao_20110822:4:25596053:25600479:1 gene:TCM_019901 transcript:EOY04710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport, ribosome-binding, bacterial, putative isoform 1 MEIAVKTLSLSLNPKIISYKQVEDVFIPNLSCNRACFPPQKRYRALKYQGRERHVHLLTVHAVSSDLEDVGVSSQFEDFNVTTASINENRELKISVEISGAKTRAIFDDVFDKMVAAAQPIPGFRRVKGGKTPNIPRDILLEVLGASKVYKQVITKVINSTVAEYVEKQRLHRSRSGHLSECSCKHPDYEESLAVGKDLRVEQSFEDLEEMFEPDEKFRFDAVIKLQETN >EOY03277 pep chromosome:Theobroma_cacao_20110822:4:10627083:10629338:1 gene:TCM_018082 transcript:EOY03277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein, putative MGRPPCCDKSNVKRGLWTAEEDARILAYVSNYGIGNWTLVPKKAGLNRCGKSCRLRWTNYLRPDLKHESFTPQEEEVIINLHKHIGSRWSLIAKHLPGRTDNDVKNYWNTKLRKKLSKMGIDPITHKPISQVLSDYGNINSLPNTENLFGSFGKNFRNTLMPKNEPSSIPIGLPNNCMIMKPVIEQVQDNSSAANNLSWDILAQGQVNNRGSIQPNFFSEVNSSCSSSSSTAFSQLSSPQSSSFQASQSEITPTSPFNWSDFLLSDPLFHDNSQQKQEHKFPGPRRSSTMTQKEISQFKANGGSDHSFNRIELQGFGAYDYSSSTMNQVNIKTDASSLSASSFVDAILDRDSEIRSQFPEILDGSFDY >EOY05458 pep chromosome:Theobroma_cacao_20110822:4:28623984:28625076:1 gene:TCM_020454 transcript:EOY05458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7 MAEVKLHGFWASPFSHRVIWALKIKGVEYEYIEEDLSNKSELLLKYNPVYKKIPVLVHGGKPIAESLVILEYVEETWPENPLLPSDPYERAMARFWIQFVEDKSPVFRAFFLSTGGEEQEKAAKELLEMLKIIQEKALGEKKFFGGDTINLVDISYGVLAYWLKIMQEIIGVKVLEPNTLPRLHEWAQNFMEVAVIKETIPDRDKVLAHMRGVREKIVAEQFNKLHKKASH >EOY03022 pep chromosome:Theobroma_cacao_20110822:4:3700429:3701957:1 gene:TCM_017433 transcript:EOY03022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative isoform 2 MAKESELEKIELTVSVNCCDGCKKKVKKALQSIEGVLKIEVDSLQPKVTVLGNVDPEVLTKRLSKVGKQAEVRRHGNQNGAAKGNQGETMPAVAKEKEKKSKTDKSDCQREQAKPASDSSCGNGSKDEGAEKSSKKKDETELIQMGNPFPFPAYPEVIGYKLPEMMGDVRSNGTQYALTIPLPCYGVPSYALAAAAAPLPQTCCSQEYFHHHPPLFNTPTTRVGDYFSDENTLGCSVM >EOY03023 pep chromosome:Theobroma_cacao_20110822:4:3700407:3701957:1 gene:TCM_017433 transcript:EOY03023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative isoform 2 QPQQSTTSCSNCFISSLVSCLSRFPVHCLFFLLLRIQPFCLFQTTDQSWTMAKESELEIELTVSVNCCDGCKKKVKKALQSIEGVLKIEVDSLQPKVTVLGNVDPEVLTKRLSKVGKQAEVRRHGNQNGAAKGNQGETMPAVAKEKEKKSKTDKSDCQREQAKPASDSSCGNGSKDEGAEKSSKKKDETELIQMGNPFPFPAYPEVIGYKLPEMMGDVRSNGTQYALTIPLPCYGVPSYALAAAAAPLPQTCCSQEYFHHHPPLFNTPTTRVGDYFSDENTLGCSVM >EOY02884 pep chromosome:Theobroma_cacao_20110822:4:2801327:2803140:1 gene:TCM_017286 transcript:EOY02884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCGVSKFDIADDGAAASSKTGRFRPVHPRNDRAVVDSSLFCKPLPEGGKEGEHAEGEIQEINAKKVNSAGKGGIQMEKVKKKEARDKEDSEDDDCSFYPRSPSFREYCILSQSDDDSSEGDSTAGKLKDKRQSNVRNSNKHQQARLQGLLGNPRRMKAILILNLELPSACVFFPSPDVFSHGRNKIEQEN >EOY02961 pep chromosome:Theobroma_cacao_20110822:4:3210200:3211768:-1 gene:TCM_017354 transcript:EOY02961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cofactor assembly of complex C isoform 2 MATRIISPHPQALSALSLPSKFSSRNGSHLHQHQPWLGRAPPTHLRPGRQLLARLSLQQTLLSSSSALSDHLQNYHQNPDSMYLLAESAGYSLASYYTSLGLFVISVPGLWSLIKRSVKSKIVQKTFIGEGEEKKAPNQVAGEILSFFTRNNFVVTDRGETITGAYYWKRASRKEQIKVKVLVANDGTLSEIVVQGDDQQVDQMRKELKLSEKGMVYVKGIFER >EOY02960 pep chromosome:Theobroma_cacao_20110822:4:3210070:3211708:-1 gene:TCM_017354 transcript:EOY02960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cofactor assembly of complex C isoform 2 MATRIISPHPQALSALSLPSKFSSRNGSHLHQHQPWLGRAPPTHLRPGRQLLARLSLQQTLLSSSSALSDHLQNYHQNPDSMYLLAESAGYSLASYYTSLGLFVISVPGLWSLIKRSVKSKIVQKTFIGEGEEKKAPNQVAGEILSFFTRNNFVVTDRGETITFEGMMVPSRGQAALLTFCTCISLASVALVLTITVPDVGNNWFWITVLSPSAGAYYWKRASRKEQIKVKVLVANDGTLSEIVVQGDDQQVDQMRKELKLSEKGMVYVKGIFER >EOY03971 pep chromosome:Theobroma_cacao_20110822:4:20966233:20971155:-1 gene:TCM_019204 transcript:EOY03971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin like 23, putative MASPLGSMEEMKEVFNKFDKNGDGKISRDELKSILSALGSPPSSDEVDRIMSMMDKDGNGYVDLDEFIAFHGTNINGNGDDSQCGNKELKDAFDMYDLDKNGLISANELHAVLKRLGEKCSLSDCRRMISQVDKDGDGNVNFEEFKKMMTNA >EOY06900 pep chromosome:Theobroma_cacao_20110822:4:33314227:33318241:-1 gene:TCM_021485 transcript:EOY06900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MASDLNKSLSKTYIGLQLWVLIVIGLGVVFLVILGISLWLSFRKKSRRANDILPVRQAPYVSEEIKEIRVDQVSANSGGLNTLNDKFSDRDSEKVVFSVDNGDDSGQSGSFNHVEKDVKGSQPGEEGGNGTVSTYRPSSHPLTAPSPLSGLPEFSHLGWGHWFTLRDLQLSTNRFSKDNIIGDGGYGVVYRGNLINGTPVAVKKLLNNPGQADKDFRVEVEAIGHVRHKNLVRLLGYCIEGTQRLLVYEYVNNGNLEQWLRGDMCHKGYLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDNFDAKISDFGLAKLLGDGKSYITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRYPVDYGRPQPEVNMVEWLKMMVQLRRSEEVVDPNIETRPSTSALKRALLTALRCVDPDADKRPKMSQVVRMLESEEYPIPREDRRRRRNQAVNSDADPQRKNSDADRSDDPDLRLDSRMPHHQ >EOY03303 pep chromosome:Theobroma_cacao_20110822:4:11642900:11649145:1 gene:TCM_018167 transcript:EOY03303 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter family protein, putative MSGGQKQRIAIARTMIKAPKILLLDEATSALDSESERIVQEALDKASLGRTTIIIAHRLSTIRHADLIAIVQDGQVMEAGSHDELMVNEKGFYLMLVQLQQTEKEKVQEKGDKDLAINASSYVTNVDSNNASTRKLSLASRTSSANSVAPNHASLDGDINVEDKKSLAPSFRRLLALNLPEWKQPTLHGMLGGSIIRCSATTFSFHKRVNDINIFPHRSW >EOY04787 pep chromosome:Theobroma_cacao_20110822:4:25911381:25911485:1 gene:TCM_019960 transcript:EOY04787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSFSAGMFFSILCRMRVTEKGSQTCLIILQKEL >EOY04786 pep chromosome:Theobroma_cacao_20110822:4:25905568:25915564:1 gene:TCM_019960 transcript:EOY04786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANPPGNHQQEANHASSSFNGGNLSNGSTIPDSSGSGMKHNPGIALDWTLEEQAILDEGLKKFASESSIIRYAKIAMQLQNKTVRDVALRCRWMTKKENSKRRKEEHNLARKSKDKKERVADPSTKPAHFAARPNVPPYAPPMIPMDYDDGIPYKAIGGATGELLEQNAQAFNQISANLAAFQIQENVGLLCQTRDNIFKIMNDLNDMPDIMKQMPPLPVKVNDELAGTILPPSTHMMQS >EOY03558 pep chromosome:Theobroma_cacao_20110822:4:17137252:17138754:1 gene:TCM_018672 transcript:EOY03558 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family, putative MNPFKASSINTIKSLKNFPNPRASSRNVSASSYLMLRTPHHSIPTQLGSSVRSFSTDSYKTRTPIGCLHFLMPIVDKIRYVHSLKEQAIPISDQTAITKDNVPIAIDWVLYIKISDPLLASYGIEDPIFAVIQLSQTTMRSELGKITLDKTFEERDMLNKSILDAINDWPLKKNWGLECLRYEIKDVSPPNGMRKAVNSQAEAERKRRAQILNSEGDQRQASEAEAILANAHATAKAIRDVSNAIYSPRGREAAGMRVAEQYIEAFGEIGKKSRVTLLPGNIANPASMITKALDIYKSMMKKNSGDD >EOY02483 pep chromosome:Theobroma_cacao_20110822:4:1015462:1023046:1 gene:TCM_016945 transcript:EOY02483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein / RNA recognition motif-containing protein, putative MELKVASPKLGGLSPPDCVSDPEEKEVSDEDDDDRNHKHRRRETRSQSLETDCTDPVFTRPYRKHNKPLENGHPFRENESQAGETWKNYNSLPLDKDLTSKFDRRRPGLASLPRGHLDLNQRIRSNQTFSGDSGPGRGRGRDSTSWNQRDSRFNSVDIASQMVQPRSVAPGLFAGRGLSNVTNAQSPSWSAFGLMSGIPNGGLDTLHPIGLQGALRPPMNSSLNMGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVTVPNAQLLATPAGPGPLTSGVPPSTTLMNSKGIHSKSSKTGMADDVLGLNGIYTGSTSASGADLYDPDQPLWNKNGPEASAALSGIHSPKLDEAEPLLNDDMSDRHHGRLRDSVDNELPIRGTGSQGTSLSVWGRIGGSRSRIDTKEKIDLTSSDYLENETKEEQEAFPSSQGTSRHVKHISTEDDGSKVMDSSFKSQTDSMRNSRKPTQKALRTLFVNGIPQKSNKREALLSHFRKFGEVVDIYIPLNSERAFVQFSRREEAEAALKAPDAVMGNRFIKLWWANRDSIPDDGVSSGSGISITPRGLTASVIPSQTSVANRGKDNLQPIPQKSNVVHGADVPSLNSPKPVSANGPKVPPPLQKKFETLEQMKEELRKKQEMLEQKRNDFRRQLDKLEKQSSGVKGDLMTEQAAKRQKVGIAADPAKASTPMSSEPGASAATPCTIGMTEKNKSTENVVSHSPKSNTTMALQESMSSKQQSRPSAPAGHPFLMNRYKLDNRPPAFRVIPPLPSGFADVDALKEHFLQYGDLFAVELEEVENDGDGLGSEALKNCSALITYSTRRAAERAFVNGKCWQGNNLQFTWLTSTSNDPSSKETSSSIPKGSLDADVQREEKLTCSVSQEVVGSGNGESENSEGKSFVEHMELFEEVSRHSPSSSASEKESHKGDAC >EOY05909 pep chromosome:Theobroma_cacao_20110822:4:30239602:30244327:1 gene:TCM_020790 transcript:EOY05909 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MEIGSELEKSNVKAPEEGTSMQMDSQESLETKGDTDEKEKLADSMDKLNIESSSSGFKRKPVIIIVVGMAGSGKTTFLHRLVCHTQASNIRGYVMNLDPAVMTLPFGANIDIRDTVKYKEVMKQFNLGPNGGILTSLNLFATKFDEWMEDFEAFQAAISSDTSYSSTLTQSLSLSLDEFYKNLRSVGVSAISGAGMDEFFKAIEASAEEYMENYKADLDKRRAEKQRLEEERRKESMDKLRRDMEQSRGETVVLSTGLKDKDGRRRTMMDPEDELEEQEEEDDDYERFTEEEEDLIDEDEDEEIARFSF >EOY05908 pep chromosome:Theobroma_cacao_20110822:4:30239602:30244054:1 gene:TCM_020790 transcript:EOY05908 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MEIGSELEKSNVKAPEEGTSMQMDSQESLETKGDTDEKEKLADSMDKLNIESSSSGFKRKPVIIIVVGMAGSGKTTFLHRLVCHTQASNIRGYVMNLDPAVMTLPFGANIDIRDTVKYKEVMKQFNLGPNGGILTSLNLFATKFDEVISVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVTYVVDTPRSASPVTFMSNMLYACSILYKSRLPLVLAFNKTDVAQHQFALEWMEDFEAFQAAISSDTSYSSTLTQSLSLSLDEFYKNLRSVGVSAISGAGMDEFFKAIEASAEEYMENYKADLDKRRAEKQRLEEERRKESMDKLRRDMEQSRGETVVLSTGLKDKDGRRRTMMDPEDELEEQEEEDDDYERFTEEEEDLIDEDEDEEIARFSF >EOY03519 pep chromosome:Theobroma_cacao_20110822:4:16703530:16704642:1 gene:TCM_018619 transcript:EOY03519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casparian strip membrane protein 5, putative MKAGGIELGEGGKSSTSRSGVNKGVSVLDFIFRILAFIGTLGSAIAMVTTNETLPFFTRFFQFRAEYDDLPTFTFFVVANAIVSGYLLLSLPFSIFHIVRSSAQRTRMILIFFDMVMLALLTAGASAAAAIVYLAHKGNARVNWLAICQQFNSFCQRTSGSLIGSFAGIILLALLIMLLGVALARRP >EOY03155 pep chromosome:Theobroma_cacao_20110822:4:6510375:6514404:1 gene:TCM_017717 transcript:EOY03155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARNHCLKTTFLCILIVTISAYIAQHISPELQHLYISLGCLSFITFSPWEKLVKLPHQGHSQNGWFSA >EOY06163 pep chromosome:Theobroma_cacao_20110822:4:31148199:31149305:-1 gene:TCM_020976 transcript:EOY06163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPFSTTFYLSYLLGNRSKLQRMFLCLLVNSIKPPMPCISPSKLSMFQNTQRTSVDLFPFHYYTARIALHFPEARLSLLVYLSLQSYHGGATIRMPPLYMVLDHCAASAAACSLKSAAMNYLAADTVYPAADEAADLVGSDSVRHYSFTSAHIGINN >EOY06813 pep chromosome:Theobroma_cacao_20110822:4:33016823:33020508:1 gene:TCM_021430 transcript:EOY06813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-oxidase MVLASPNPIRAEKVQPIELPVIDLSAERSEVSKLIVEACEEYGFFKVINHGVSDDIIGQMEEEGLNFFTKPLSEKQKAGPATPFGYGCKNIGFNGDIGEVEYLLLDTNPLSVSQRSNSISNDPNKFSSAVIGYIQAVRGLACEILDLMAEGLWVQDSSVFSRMIKDVESDSLFRLNHYPPILCKDRETSPSSFHSHSSSKVGFGEHSDPQILTILRSNDVSGLQISLGDGVWVPVPPDPTAFCVNVGDVLQAMSNGRFLSVRHRALTNPYKSRMSMAYFGAPPLHACVTAPAELVTTNRPLLYRPFTWGEYKKASYSLRLGDSRLDLFRTAQQ >EOY04701 pep chromosome:Theobroma_cacao_20110822:4:25551385:25554059:1 gene:TCM_019890 transcript:EOY04701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase Sec MAGGTAPPRGSAAAVASLRRRRSTSGAASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAILHVMGKLYFVRREA >EOY03129 pep chromosome:Theobroma_cacao_20110822:4:5776035:5778457:1 gene:TCM_017655 transcript:EOY03129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTMCMYARMVEITPDDDYVMMPLVKTTLGDDYVYDAIRWEITPDDDYIDDTICRKITPDDDLTFRQLIRHRT >EOY03771 pep chromosome:Theobroma_cacao_20110822:4:19149467:19153607:1 gene:TCM_018949 transcript:EOY03771 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 1 precursor MANLFLFITRSFFKLSSLSILLLFLLSFSSLTTTEAYDPLDPNGNITIKWDIMSWTPDGYVAVVTIYNFQQYRHIQSPGWTLGWKWAKKEVIWGMMGGQTTEQGDCSRFKGNIPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVLNSWVQDPAAAASSFQVSVGQAGTTNKTVRVPKNFTLKAPGPGYTCGPAKIVKPSRFVSPDKRRVTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVPCPQCSCGCQNTSQPGSCLDPETPHIASVIPSTGKNSYTPLVQCTSHMCPVRIHWHVKLNYKEYWRVKISITNFNYNMNYTLWNLVVQHPNFDNLTQIFSFNYKSITPYAAINDTAMLWGVKFYNDLLSQAGPLGNVQSELLFRKDKSTFTFEKGWAFPRRIYFNGDICVMPPPDAYPWLPNASSHQFISTLSLLMTLLSAIAFLFGYA >EOY02609 pep chromosome:Theobroma_cacao_20110822:4:1466561:1480538:-1 gene:TCM_017033 transcript:EOY02609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aceous RNase P 1 isoform 2 MLGVNPYVPSMSMFKKIPFSFIYQNCFRCSLLPFGTCYYHLFLKPVRISNVHVNYFAVDKTRNLSAVATAAKSTVNTSNSTNAMSNKARKKAHRESPESVLKYKLDMCSKHGKLVEALGLYEEGRSNGVTLKQHHYNVLLYLCAREASGDGVELKELGLKRGFEIFQKMVGDKVSPNETTFTSMARLAVAREDPDLAFELVKQMKSLGIPPKLRSYGPALFGFCEKGNAEKGYELDAHMAESGVTPEEPELSALLKVSADTKNADKVYDMLQRLRATVRQVSESTFQIIEDWFKSEDATKVGVESWDVNKIKKGVVDGGGGWHGQGWLGSGRWRVMRTKMTENGVCRCCGEKLVSIDIDPKETENFATKLTELACNREVKADFIRFQEWLQQHGPFDAVVDGANVGLIKADTFNFNQLNNVVNQLRQMSPSKRSPLVILHQGRVTNGPARNPNNRNWLDSWKRAGALYATPAGSNDDWYWLYAAVSCKCLLVTNDEMRDHLFQLLGTSFFPRWKEKHQVRLSMTRLGLSLHMPPPYSIVIQESESGSWHVPTIADDDLLNPRQWLCVGRTRNKS >EOY02610 pep chromosome:Theobroma_cacao_20110822:4:1470250:1480768:-1 gene:TCM_017033 transcript:EOY02610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aceous RNase P 1 isoform 2 MLGVNPYVPSMSMFKKIPFSFIYQNCFRCSLLPFGTCYYHLFLKPVRISNVHVNYFAVDKTRNLSAVATAAKSTVNTSNSTNAMSNKARKKAHRESPESVLKYKLDMCSKHGKLVEALGLYEEGRSNGVTLKQHHYNVLLYLCAREASGDGVELKELGLKRGFEIFQKMVGDKVSPNETTFTSMARLAVAREDPDLAFELVKQMKSLGIPPKLRSYGPALFGFCEKGNAEKGYELDAHMAESGVTPEEPELSALLKVSADTKNADKVYDMLQRLRATVRQVSESTFQIIEDWFKSEDATKVGVESWDVNKIKKGVVDGGGGWHGQGWLGSGRWRVMRTKMTENGVCRCCGEKLVSIDIDPKETENFATKLTELACNREVKADFIRFQEWLQQHGPFDAVVDGANVGLIKADTFNFNQLNNVVNQLRQMSPSKRSPLVILHQGRVTNGPARNPNNRNWLDSWKRAGALYATPAGSNDDCLSKSLPAFSIPC >EOY03106 pep chromosome:Theobroma_cacao_20110822:4:4882374:4897439:-1 gene:TCM_017564 transcript:EOY03106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of abi3-5 isoform 2 MDPARYPLQQGWDNNSALEGYSGVHEPNFRVGGSYDERRFLDERYSRDNVYPRSTYHREFLERDNHSTPSAAAAIWSQSRRRSYEEEYPHDRDSRHHQKPYVDSYSDMDTFRDHEITSFQDFDKFRDGYRGVDNFRDHEFDRPSRCGGRERDDYSYDDYDYRPRVSHQSREDSRERDYEYGRHSYDSDYESGSRRDGNWRRRESRDRDRLSRERDQSPHKRHERSRSRSRGRDGRPRSRSPRSRNHGRSHREDSYDDGRNEKIEKRRDREEKYQRGHYSVAPSATIVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERHSGISRGFAFIDFPSVGAARTMMDRIGDDGLVVDGRKLFFEYSKPTGGTGGPFGQDNAVKSGHSNHKSITVPSDWMCTICGCVNFARRTSCFQCNEPRADDAPLADISLSNSTSLGKKGSESGPTHVLVIRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVDDATKALEATNGTTLEKNGQILRVAYAKSILGPGSGTLGPSQSSSLAAAAIEAAAFSQQYDAVGWTPKEYNPDDKQSTGWQEQVAGSVAVQRDGSALHSGFVWDEASGYYFDAASGFYYDGNTGLYYDGNSGIWYSYDQQSQQYIPCSDQNHNRTPGTQSEPSKGADGSINRKAVISAPAATVMSVEKAASLPDAVQAAATAALAAEKKEKEKEKSKEIKLASKSSILANKKKMNNVLTMWKQRSYEGQATRLALDDSHLSASAEDRPLSAGQQAKSKVKFDVMGLKESNTSSLGVNTAAQAAFTGGLDSPVKPRPVSNSSGGTLMGVIRGSARGLVKSDTPYSGSSAGVSTSSAAAASGEGSFSSTNSDIPTVMTPFRTDASALGSYTPTVTGSGKRRFSETPVPSSINKEQSHSAYRDRAAERRNLYGSSSTGDDLPDHDFWNSNRDLASKKFSSDPMPFPPGVGGGRGVISDDVQSFEVITAEKAIDENNVGNRMLRNMGWHEGLGLGKDGSGMTEPVQAQAMDSRAGLGSQLKKLDPSLAVQAGDSYKTVIHKKALARFREMS >EOY03105 pep chromosome:Theobroma_cacao_20110822:4:4881032:4907353:-1 gene:TCM_017564 transcript:EOY03105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of abi3-5 isoform 2 MDPARYPLQQGWDNNSALEGYSGVHEPNFRVGGSYDERRFLDERYSRDNVYPRSTYHREFLERDNHSTPSAAAAIWSQSRRRSYEEEYPHDRDSRHHQKPYVDSYSDMDTFRDHEITSFQDFDKFRDGYRGVDNFRDHEFDRPSRCGGRERDDYSYDDYDYRPRVSHQSREDSRERDYEYGRHSYDSDYESGSRRDGNWRRRESRDRDRLSRERDQSPHKRHERSRSRSRGRDGRPRSRSPRSRNHGRSHREDSYDDGRNEKIEKRRDREEKYQRGHYSVAPSATIVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERHSGISRGFAFIDFPSVGAARTMMDRIGDDGLVVDGRKLFFEYSSKPTGGTGGPFGQDNAVKSGHSNHKSITVPSDWMCTICGCVNFARRTSCFQCNEPRADDAPLADISLSNSTSLGKKGSESGPTHVLVIRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVDDATKALEATNGTTLEKNGQILRVAYAKSILGPGSGTLGPSQSSSLAAAAIEAAAFSQQYDAVGWTPKEYNPDDKQSTGWQEQVAGSVAVQRDGSALHSGFVWDEASGYYFDAASGFYYDGNTGLYYDGNSGIWYSYDQQSQQYIPCSDQNHNRTPGTQSEPSKGADGSINRKAVISAPAATVMSVEKAASLPDAVQAAATAALAAEKKEKEKEKSKEIKLASKSSILANKKKMNNVLTMWKQRSYEGQATRLALDDSHLSASAEDRPLSAGQQAKSKVKFDVMGLKESNTSSLGVNTAAQAAFTGGLDSPVKPRPVSNSSGGTLMGVIRGSARGLVKSDTPYSGSSAGVSTSSAAAASGEGSFSSTNSDIPTVMTPFRTDASALGSYTPTVTGSGKRRFSETPVPSSINKEQSHSAYRDRAAERRNLYGSSSTGDDLPDHDFWNSNRDLASKKFSSDPMPFPPGVGGGRGVISDDVQSFEVITAEKAIDENNVGNRMLRNMGWHEGLGLGKDGSGMTEPVQAQAMDSRAGLGSQLKKLDPSLAVQAGDSYKTVIHKKALARYGGLMVIVEH >EOY05337 pep chromosome:Theobroma_cacao_20110822:4:28138185:28141817:-1 gene:TCM_020357 transcript:EOY05337 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MKSDITSVLPEECISYILSFTSPTDVCRSKLVSPVFRSAANSDTIWGKFLPSDCYEIISNASSSLSKRLTASMSKTQLYFHLCNRGVLINNGTMSFGLDKATGKKCYMMGARRLSIAEVNTPTKWRWKCLPQSRFSEVAELKQVWSLDVKGVIETKILSPKTAYVAYLVYKFATSRSGFDKKPVDLHVKLEESDAGRTFRVFLDPSSNIPQFSRERGDGWMEVKLGEFFNEHGDDGKAVCRLREVDNSTAKKGLIIEGIDIRPKDS >EOY05728 pep chromosome:Theobroma_cacao_20110822:4:29607987:29609463:-1 gene:TCM_020655 transcript:EOY05728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein isoform 2 MANGKMATCLVLVLVAMLCAGATAQSSCTSILISLSPCLNYITGNSSTPSQQCCTQLANVVRSSPQCLCEVLNGGGSSLGITINQTQALALPGSCNVQTPPISSCNAASPAPADSPVGSPESGSIIPTGGGSKTVPSTQEDGSSDGSTNKLSLSLLIFLLLATSYSSIFSSH >EOY05729 pep chromosome:Theobroma_cacao_20110822:4:29608298:29609709:-1 gene:TCM_020655 transcript:EOY05729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein isoform 2 MANGKMATCLVLVLVAMLCAGATAQSSCTSILISLSPCLNYITGNSSTPSQQCCTQLANVVRSSPQCLCEVLNGGGSSLGITINQTQALALPGSCNVQTPPISSCNAASPAPADSPVGSPESGSIIPTGGGSKTVP >EOY04035 pep chromosome:Theobroma_cacao_20110822:4:21587873:21592494:1 gene:TCM_019290 transcript:EOY04035 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MTHACCSFTVSKALNLGAFAVRRSLNLGSNFSYKCSVRSIRIMENANTSSLMGADNESRGALVVFEGLDRSGKTSQCGRLVSYLEGLGHSVELWRFPDRTTSVGQMISAYLTNNSQLDDRTIHLLFSANRWEKRSLMETKLKAGTTLIVDRYSYSGVAFSSAKGLDFEWCKAPEIGLIAPDLVVYLDIVPEKAAERGGYGGERYEQLEFQRKVAQRYKLLEDSSWKIVDACQPLEDVEKQVKEIVLEHVMTCQRGKPVSSLWSS >EOY04494 pep chromosome:Theobroma_cacao_20110822:4:24663951:24669089:1 gene:TCM_019736 transcript:EOY04494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase 2 isoform 2 MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPDFRFEITSPRLACVFVLLVTLFWYEILMPQLSAWRVRRNARLRERKRSEAIELQKLRKTATRRCRNCQTPYRDQNPGGGRFMCSYCGHISKRPVLDLPVPPGLGISNSGIIKDLVGKGGKILNGKGWSDNGWMCGQDWLENGNWVTGSVAGKSSYWRKNGSGVFGDEDCLAEKSYSGVVIFVCKLLTSFFLSISWLWRKIFRVSSSRDDTSSDADRGMLTKRGENGTSFHESRGEKARRKAEEKRQARLEKELWEEEERKQREEVARLVEERRRLRDEKLEAEKDRSILSPPSREKEIKKEAEKKRQERRKEKDKASSKSNSDAEEIEKRAGKETERKRDIDKKSEIDRRENQKSGTDNVKGNAVEAGYGMKNTLANNFTRGNAGTRYLDRMRGTFLSSSKAFSGSSFFGKSTNSPATVTKENKPNNSVDHVHTSAHRRDFCPAERVAGKLSMNGDDKNVNTNHSVLSEPQPRAAPKKTWQQLFTRSSSVPPASNTNVISRPNSKVQAEAQSPPLPGHSSTIQMYDNPINFGLPSPFTSTYSNGAPSSSLGFSPAIEPIFPRAGEGLHELIPEEPELFEDPCYVPDPVSLLGPVSESLDNFQLDLGSGFGMDNGMERPHTLKNISASSEISKPSPIESPLSRLRSADERHNNSNRLPTTPKAQDLHSFPVDGTNGNEKGTWQMWNSSPLGQDGLGLVGGPASWLFPLEHNRSNKEDFVHPPTQKTMASLFTKEDPILAGTQSPQKVFLGSGQNGGTFSPVTGPTDQDPWLRNAFFPPLSGSDDHFPIKPREELSEMTYGSPSGSACTHPFELSPVNCWPKKEWAMQGPGEVVGKSSVARPHVGGLFPTPDVQSLW >EOY04495 pep chromosome:Theobroma_cacao_20110822:4:24663390:24669811:1 gene:TCM_019736 transcript:EOY04495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase 2 isoform 2 MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPDFRFEITSPRLACVFVLLVTLFWYEILMPQLSAWRVRRNARLRERKRSEAIELQKLRKTATRRCRNCQTPYRDQNPGGGRFMCSYCGHISKRPVLDLPVPPGLGISNSGIIKDLVGKGGKILNGKGWSDNGWMCGQDWLENGNWVTGSVAGKSSYWRKNGSGVFGDEDCLAEKSYSGVVIFVCKLLTSFFLSISWLWRKIFRVSSSRDDTSSDADRGMLTKRGENGTSFHESRGEKARRKAEEKRQARLEKELWEEEERKQREEVARLVEERRRLRDEKLEAEKDRSILSPPSREKEIKKEAEKKRQERRKEKDKASSKSNSDAEEIEKRAGKETERKRDIDKKSEIDRRENQKSGTDNVKGNAVEAGYGMKNTLANNFTRGNAGTRYLDRMRGTFLSSSKAFSGSSFFGKSTNSPATVTKENKPNNSVDHVHTSAHRRDFCPAERVAGKLSMNGDDKNVNTNHSVLSEPQPRAAPKKTWQQLFTRSSSVPPASNTNVISRPNSKVQAEAQSPPLPGHSSTIQMYDNPINFGLPSPFTSTYSNGAPSSSLGFSPAIEPIFPRAGEGLHELIPEEPELFEDPCYVPDPVSLLGPVSESLDNFQLDLGSGFGMDNGMERPHTLKNISASSEISKPSPIESPLSRLRSADERHNNSNRLPTTPKAQDLHSFPVDGTNGNEKGTWQMWNSSPLGQDGLGLVGGPASWLFPLEHNRSNKEDFVHPPTQKTMASLFTKEDPILAGTQSPQKVFLGSGQNGGTFSPVTGPTDQDPWLRNAFFPPLSGSDDHFPIKPREELSEMTYGSPSGSACTHPFELSPVNCWPKSGLCRVQEKLLESLQLQGPMLGVYFPPRMYSHFGDLVEIG >EOY04180 pep chromosome:Theobroma_cacao_20110822:4:22436339:22448562:-1 gene:TCM_019442 transcript:EOY04180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MAILLSIQSTFHLQSTPKMTFQPFAILNKTQKMRVPYELKQGQARIFHQLPSGLNMEVIVQKSVKEKDPDETKSPTLVFVHGSYHAAWCWAECWLPFFSASGFDCYAPSLLAQGESDAPSGTVAGSLQTHAGDVADFIQRNLSSPPVLLGHSFGGLIIQYYIANMRNEQSFEMDTLYPKLTGAVLVCSVPPSGNSGLVWRYLFTKPIAAFKVTRSLAAKAFQTSVSLCRETFFSSKMEDNLVLRYQELMKESSRMPLFDLRKLNASLPVPKMTKSSTEVLVLGAKDDFIVDPEGLRETGRFYDVSPICIEGVAHDIMLDCSWEKGANVILSWLNGLSR >EOY03190 pep chromosome:Theobroma_cacao_20110822:4:7655401:7661754:-1 gene:TCM_017819 transcript:EOY03190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein, putative isoform 1 MLLKPYRTSSIDWKPSPVVALATSADDSQVAAAREDGSLEIWLVSPGSVGWHHQLTIHGDSTRRVSSLVWCCVGSKGLSSGRLFSSSIDGSVSEWDLFDLKQKIVLESIGVSIWQMAVAPVNRLPALKESRSQHFGNGYLNDKYEYDDSDDDESSDSEDVSDLEQVHKKLVMEYRPVAVACDDGAVRIYTISDSDQLIYHKSLPRVSGRVLSVAWSHDSTRIYSGSSDGLIRCWNADLGHEIFRSTVSLGGLGSGPELCIWSLLSLRCGTVISADSTGSVQFWNGDNGTLHQAHCNHKGDVNALAAAPSQNRVFSAGSDGQVILYKLSNETSGNDKSSSEMKTWVYVGYVRAHTHDVRALTMAVPISSEASLFEEAKDVQDENGKKVKRIRRREKKPLDFSYSKWAHFGVPMLVSAGDDAKLFAYSAKEFTKFSPHDVCPAPQRVPVQLVVNTSFNQTSFLLVQASYWLDILCVRVPDVGSGPYGGLVTTNLVARVKSKACRKIICSAMSNSGDLFGYSDHIRPSLFVLSRQDGQSTWTISKRQLPQKLPSAHSMIFTSDGLRLLIAGHDRRIYVVDLESLKLLHTFIPCREEHEKEGPPSEPPITKLFTSCDGQWLAAINCFGDIYIFNLEIQRQHWFISRLDGASVTAGGFPPQDNNVLIITTSSNQFYIFDVEARQLGEWSMQHTFALPRRYQEFPGEVIGLSFSPSSSSHPSKSSSLVVYSTRAMCSIDFGKPVDQDEESELVNGALLKFQGSLTNMKWKHWLRDSQTESKHTSRKSNFDLIVFRDPVLFIGHLSKHSILIVDKPWMEVVKTFDAPPVQRHIFGT >EOY03191 pep chromosome:Theobroma_cacao_20110822:4:7655700:7661515:-1 gene:TCM_017819 transcript:EOY03191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein, putative isoform 1 MLLKPYRTSSIDWKPSPVVALATSADDSQVAAAREDGSLEIWLVSPGSVGWHHQLTIHGDSTRRVSSLVWCCVGSKGLSSGRLFSSSIDGSVSEWDLFDLKQKIVLESIGVSIWQMAVAPVNRLPALKESRSQHFGNGYLNDKYEYDDSDDDESSDSEDVSDLEQVHKKLVMEYRPVAVACDDGAVRIYTISDSDQLIYHKSLPRVSGRVLSVAWSHDSTRIYSGSSDGLIRCWNADLGHEIFRSTVSLGGLGSGPELCIWSLLSLRCGTVISADSTGSVQFWNGDNGTLHQAHCNHKGDVNALAAAPSQNRVFSAGSDGQVILYKLSNETSGNDKSSSEMKTWVYVGYVRAHTHDVRALTMAVPISSEASLFEEAKDVQDENGKKVKRIRRREKKPLDFSYSKWAHFGVPMLVSAGDDAKLFAYSAKEFTKFSPHDVCPAPQRVPVQLVVNTSFNQTSFLLVQASYWLDILCVRVPDVGSGPYGGLVTTNLVARVKSKACRKIICSAMSNSGDLFGYSDHIRPSLFVLSRQDGQSTWTISKRQLPQKLPSAHSMIFTSDGLRLLIAGHDRRIYVVDLESLKLLHTFIPCREEHEKEGPPSEPPITKLFTSCDGQWLAAINCFGDIYIFNLEIQRQHWFISRLDGASVTAGGFPPQDNNVLIITTSSNQFYIFDVEARQLGEWSMQHTFALPRRYQEFPGEGNVLN >EOY04089 pep chromosome:Theobroma_cacao_20110822:4:21997559:22000108:-1 gene:TCM_019356 transcript:EOY04089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, putative isoform 1 MAERLTMDLNQSMEFTPSSTSSPQIHNFLPMTSFMLSFHQASKEDGEEVKHSHLLIQKRNLELFWYQQMMEIHNITAFKSHHQLPLARIKRIMKSDKDVKMISADAPVLFSKACELFILELTLRAWLETEEGKRRTLQRSDIARAIRQEEALDFLVDVVPLINQKRKMSVIL >EOY04086 pep chromosome:Theobroma_cacao_20110822:4:21997743:22000108:-1 gene:TCM_019356 transcript:EOY04086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, putative isoform 1 MAERLTMDLNQSMEFTPSSTSSPQIHNFLPMTSFMLSFHQASKEDGEEVKHSHLLIQKRNLELFWYQQMMEIHNITAFKSHHQLPLARIKRIMKSDKDVKMISADAPVLFSKACELFILELTLRAWLETEEGKRRTLQRSDIARAIRQEEALDFLVDVVPLINQKDDDVKFAEENECDPINQLQFPVLDTNADLVMRSPEVQHLMIKTPMSSDEFNYESATRV >EOY04090 pep chromosome:Theobroma_cacao_20110822:4:21998022:22000108:-1 gene:TCM_019356 transcript:EOY04090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, putative isoform 1 MAERLTMDLNQSMEFTPSSTSSPQIHNFLPMTSFMLSFHQASKEDGEEVKHSHLLIQKRNLELFWYQQMMEIHNITAFKSHHQLPLARIKRIMKSDKDVKMISADAPVLFSKACELFILELTLRAWLETEEGKRRTLQRSDIARAIRQEEALDFLVDVVPLINQKDDDVKFAEENECDPINQLQFPVLDTNAVSMRAQI >EOY04085 pep chromosome:Theobroma_cacao_20110822:4:21997959:22965422:-1 gene:TCM_019356 transcript:EOY04085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, putative isoform 1 MAAVVGARSTIPWGLDMKRPMAERLTMDLNQSMEFTPSSTSSPQIHNFLPMTSFMLSFHQASKEDGEEVKHSHLLIQKRNLELFWYQQMMEIHNITAFKSHHQLPLARIKRIMKSDKDVKMISADAPVLFSKACELFILELTLRAWLETEEGKRRTLQRSDIARAIRQEEALDFLVDVVPLINQKDDDVKFAEENECDPINQLQFPVLDTNADLVMRSPEVQHLMIKTPMSSDEFNYESAT >EOY04087 pep chromosome:Theobroma_cacao_20110822:4:21997864:22965422:-1 gene:TCM_019356 transcript:EOY04087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, putative isoform 1 MAAVVGARSTIPWGLDMKRPMAERLTMDLNQSMEFTPSSTSSPQIHNFLPMTSFMLSFHQASKEDGEEVKHSHLLIQKRNLELFWYQQMMEIHNITAFKSHHQLPLARIKRIMKSDKDVKMISADAPVLFSKACELFILELTLRAWLETEEGKRRTLQRSDIARAIRQEEALDFLVDVVPLINQKRKMSVIL >EOY04088 pep chromosome:Theobroma_cacao_20110822:4:21997554:22000135:-1 gene:TCM_019356 transcript:EOY04088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, putative isoform 1 MKRPMAERLTMDLNQSMEFTPSSTSSPQIHNFLPMTSFMLSFHQASKEDGEEVKHSHLLIQKRNLELFWYQQMMEIHNITAFKSHHQLPLARIKRIMKSDKDVKMISADAPVLFSKACELFILELTLRAWLETEEGKRRTLQRSDIARAIRQEEALDFLVDVVPLINQKDDDVKFAEENECDPINQLQFPVLDTNADLVMRSPEVQHLMIKTPMSSDEFNYESATR >EOY03576 pep chromosome:Theobroma_cacao_20110822:4:17244831:17252252:-1 gene:TCM_018688 transcript:EOY03576 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein isoform 1 MATQKPQRTPEEVEDIILRKIFLVTLKENQENSSSDPKVVYLERTAAEILSEGKSLLLSRDLMERVLIDRLSGDFPNSESPFLYLIGCYRRAHEEIKKISNMKDKTLRSEMEAAAKQAKKLAASYARIHLGNPEWFSNGNLRDSNLKTGSSLSSNSPLLPLLFAEVSSGVMLDGFGGNELGSGVDCPPGFLEEFFKDSDFDTLDQILKGLYEDLRGSVLKVSALGNFQQPLRALLYLAHFPVCAKSLVNHPWWIPKGVYLNGRVIEMTSILGPFFHVSALPDHTIFKSQPDVGQQCFSEASTRRQDNSFIKTIMNTLYDGLAEVLLCLLKNTETRESVLEYLAEVINKNASRAHIQVDPISCASSGMFVNLSAVMLRLCEPFLDANLTKRDKIDPNYVFYSNRLDLRGLTALHATSEEVSEWMNKDNPVKTDGTRPHGDGENRLLQSQEATSSGSTLSVKPTSSSGEKAKYPFICECFFMTARVLNLGLLKAFSDFKHLVQDISRCEDTLATLKAMQGQAASSQLELDISRLEKEIELYSQEKFCYEAQILKDGALIQHALSFYRLMVIWLVGLVGGFKMPLPSTCPMEFASMPEHFVEDAMELLIFSSRIPRALDGVLLDDFMNFIIMFMASPQFIKNPYLRAKMVEVLNCWMPRGSGSSATSTLFDGHQLSLEYLVRNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPSHRNAWKQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKELEAEMSNSAEWERRSAQERQERTRLFHSQENIIRIDMKLANEDVSMLAFTSEQITAPFLLPEMVERVASMLNYFLLQLVGPQRKSLSLKDPVKYEFRPKELLEQIVRIYVHLARGDAKNIFPAAISSDGRSYNEQLFSAAADVLRRIGMDGRIIEDFIELGAKAKAAASEAMDTEAALGDIPDEFLDPIQYTLMKDPVILPSSRITVDRPVIQRHLLSDSTDPFNRSHLTADMLIPHTELKARIQEFIRSRELKRRGEGLNMQSSKGTIQPTSGEMLID >EOY03577 pep chromosome:Theobroma_cacao_20110822:4:17243577:17252092:-1 gene:TCM_018688 transcript:EOY03577 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein isoform 1 MATQKPQRTPEEVEDIILRKIFLVTLKENQENSSSDPKVVYLERTAAEILSEGKSLLLSRDLMERVLIDRLSGDFPNSESPFLYLIGCYRRAHEEIKKISNMKDKTLRSEMEAAAKQAKKLAASYARIHLGNPEWFSNGNLRDSNLKTGSSLSSNSPLLPLLFAEVSSGVMLDGFGGNELGSGVDCPPGFLEEFFKDSDFDTLDQILKGLYEDLRGSVLKVSALGNFQQPLRALLYLAHFPVCAKSLVNHPWWIPKGVYLNGRVIEMTSILGPFFHVSALPDHTIFKSQPDVGQQCFSEASTRRQDNSFIKTIMNTLYDGLAEVLLCLLKNTETRESVLEYLAEVINKNASRAHIQVDPISCASSGMFVNLSAVMLRLCEPFLDANLTKRDKIDPNYVFYSNRLDLRGLTALHATSEEVSEWMNKDNPVKTDGTRPHGDGENRLLQSQEATSSGSTLSVKPTSSSGEKAKYPFICECFFMTARVLNLGLLKAFSDFKHLVQDISRCEDTLATLKAMQGQAASSQLELDISRLEKEIELYSQEKFCYEAQILKDGALIQHALSFYRLMVIWLVGLVGGFKMPLPSTCPMEFASMPEHFVEDAMELLIFSSRIPRALDGVLLDDFMNFIIMFMASPQFIKNPYLRAKMVEVLNCWMPRGSGSSATSTLFDGHQLSLEYLVRNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPSHRNAWKQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKELEAEMSNSAEWERRSAQERQERTRLFHSQENIIRIDMKLANEDVSMLAFTSEQITAPFLLPEMVERVASMLNYFLLQLVGPQRKSLSLKDPVKYEFRPKELLEQIVRIYVHLARGDAKNIFPAAISSDGRSYNEQLFSAAADVLRRIGMDGRIIEDFIELGAKAKAAASEAMDTEAALGDIPDEFLDPIQYTLMKDPVILPSSRITVDRPVIQRHLLSDSTDPFNRSHLTADMLIPHTELKARIQEFIRSRELKRRGEGLNMQSSKGTIQPTSGEMLID >EOY03923 pep chromosome:Theobroma_cacao_20110822:4:20398545:20403937:-1 gene:TCM_019134 transcript:EOY03923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASIEGAFHRLSEESKNFLKKPFLKEKVWETIYGCDGNKAPSLNKYNLEFFKGQWQVVKVHVFLHCVDVYVSIEWHYWVIMVTVRVQCGNAHDGDSGVLLVCCVSAHTDDICHVRDSGVLEKLSGAGCLTSPEFRCSENAFSLQRETLRWLGNGASLQLWIEFWVDRLSLRKIVPMMYALTINKEGSPYVLSGVPVVPLFLLCFY >EOY06283 pep chromosome:Theobroma_cacao_20110822:4:31492816:31495709:1 gene:TCM_021067 transcript:EOY06283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor-1 family protein isoform 1 MNGYERVNSKGASKAEQIDLESGETLYPGISYGENQLRWGFIRKVYGILAAQLVLTTVVSAFVVLSAPVNELLRGNSGLLLFLCLIPFILLWPLHVYHQKHPVNLIVLGLFTVSLSLTVGVSCANTDGRIVLEALILTAGVVTSLTGYTFWASKKGKDFSYLGPILFTSLIILILTGLIQMFFPLGPTSVAIYGGISALIFCGYIVYDTDNLIKRFTYDDYILASAALYLDILNLFISILRVLRSGDN >EOY06284 pep chromosome:Theobroma_cacao_20110822:4:31492749:31495644:1 gene:TCM_021067 transcript:EOY06284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor-1 family protein isoform 1 LTNHFDWLPSSLYICSHGYLTFYPSHFTRKQEKEQGNREKTMNGYERVNSKGASKAEQIDLESGETLYPGISYGENQLRWGFIRKVYGILAAQLVLTTVVSAFVVLSAPVNELLRGNSGLLLFLCLIPFILLWPLHVYHQKHPVNLIVLGLFTVSLSLTVGVSCANTDGRIVLEALILTAGVVTSLTGYTFWASKKGKDFSYLGPILFTSLIILILTGLIQMFFPLGPTSVAIYGGISALIFCGYIVYDTDNLSYGHGTIV >EOY03328 pep chromosome:Theobroma_cacao_20110822:4:12678531:12679952:-1 gene:TCM_018245 transcript:EOY03328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGLWSMIYTWQEHGLICVARQPVDICGCDHLIFLMSTIIVETTIVVGIRWSGAPRCYYVEVGPHVGCYDYRLKSLESF >EOY05515 pep chromosome:Theobroma_cacao_20110822:4:28850053:28860357:-1 gene:TCM_020499 transcript:EOY05515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serinc-domain containing serine and sphingolipid biosynthesis protein MSCLASCCAASTCGLCSSVASGISKKSARLAYCGLFGLSLVVSWILREVAAPLLEKLPWINTSTHTEAWFQQQAVLRVSLGNCLFFAILALIMIGVKDQNDRRDSWHHGGWIAKMVIWLLLVILMFFLPNVVITVYGTLSKFGAGLFLLVQVIILLDFTHSWNDAWVEKDEQKWYIALLAVSVGCYLAAFVFSGILFIWFNPSGHDCGLNIFFIVMTMVLAFAFGIIALHPAVNGSLLPASVISIYCAYVCFTGLSSEPRDYVCNGLHNKSSAVSTSTLILGMLTTVLSVLYSALRAGSSTTFLSPPSSPKSGGKKPLLEGEDVEEGKEKKEKEARPVSYSYSFFHLIFALASMYSAMLLSGWTSSSESSVLVDVGWTSVWVRICTEWVTAALYVWTLVAPTILHDREFF >EOY05083 pep chromosome:Theobroma_cacao_20110822:4:27118840:27126826:-1 gene:TCM_020178 transcript:EOY05083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phragmoplast-associated kinesin-related protein, putative isoform 1 MAKSKSPLPPRPPSSNPLKRKLYTETLPENAVPGISDSGVKVVVRMRPPNKEEEEGEIIVQKVTSDSLSINGQTFTFDSVANTDATQLDIFQLVGAPLVENCLAGFNSSVFAYGQTGSGKTYTIWGPANALLEENLSSDQQGLTPRVFERLFARINEEQIKHADKQLKYQCRCSFLEIYNEQITDLLDPNQRNLQQIREDVKSGVYVENLTEEYVSSMKDVTQLLMKGLSNRRTGATSINAESSRSHSVFTCVVESRCKSVADGISSFKTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEISQTGKQRHIPYRDSKLTFLLQESLGGNAKLAMVCAISPAQSCKSETFSTLRFAQRAKAIKNKAVVNEVMQDDVNFLREVIRQLKDELHRMKANGNNQTDPNGSYSTGWNARRSLNLLKFSLHHPRTLPHVDEDGDEEMEIDEEAVENLCAQVGLQSADVYHHSNELTKLELIESDIGNTPSENGCVGEPGPNTSECVKAQDAEDSDVNMEEEISEQPKTSEIMIVDCVQPVTNTPNVFTGHDSVKEDPGHLIVETTDGHSSAILKSPTPSVSPRVNQSRKSLRTSSMFTASQKDLKDDGKLGSEAMRASFTPTEHLAASLHRGLEIIDCHRRSLALRRSSFRYSLKPADPKPILAAHKVDVGVQTFPQDYEIQEEEPVVFLCSNCKQRTNLEGKEDGESSNLQLVPVDESESNEKTLVPADEVESAEKTKKQVPKAVEKVLAGSIRREMALEEFCAKRASEIMQLNRLVQQYKHERECNAIIGQTREDKILRLESLMDGVLPTEEFMEEELASLKHEHKLLKEKYENHPEVLRTKIELKRVQDELERFRNFHDLGEREVLLEEIQDLRNQLQYYIDSSSTSARRRNSLLQLTYSCEPNVPPPLSAIPETSEESAEEKFEQERIRWTEAESKWISLAEELRTELDASRSLAEKRKQELDMEKKCAEELKEAMQMAMEGHARMLEQYADLEEKHIQLLARHRKIQEGIDDVKKAAARAGVRGAESKFINALAAEISALKVEREKERRYLRDENKGLQAQLRDTAEAVQAAGELLVRLKEAEEAVADAQKQALEAEQEAEKAHKQIDKLKRKHEHEISTLNELLAESRLPKEAIPPAYDNFDNAKYDAGETHYASDQRWREEFEPFYNGEDGELSKLAENSSWFSGYDRCNI >EOY05081 pep chromosome:Theobroma_cacao_20110822:4:27115386:27127146:-1 gene:TCM_020178 transcript:EOY05081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phragmoplast-associated kinesin-related protein, putative isoform 1 MKHFMLPRNTVLREPMENPSSPSPTPSKSKTLRKQKSSKENAPPPDPNSQPSPAAVATTMAKSKSPLPPRPPSSNPLKRKLYTETLPENAVPGISDSGVKVVVRMRPPNKEEEEGEIIVQKVTSDSLSINGQTFTFDSVANTDATQLDIFQLVGAPLVENCLAGFNSSVFAYGQTGSGKTYTIWGPANALLEENLSSDQQGLTPRVFERLFARINEEQIKHADKQLKYQCRCSFLEIYNEQITDLLDPNQRNLQIREDVKSGVYVENLTEEYVSSMKDVTQLLMKGLSNRRTGATSINAESSRSHSVFTCVVESRCKSVADGISSFKTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEISQTGKQRHIPYRDSKLTFLLQESLGGNAKLAMVCAISPAQSCKSETFSTLRFAQRAKAIKNKAVVNEVMQDDVNFLREVIRQLKDELHRMKANGNNQTDPNGSYSTGWNARRSLNLLKFSLHHPRTLPHVDEDGDEEMEIDEEAVENLCAQVGLQSADVYHHSNELTKLELIESDIGNTPSENGCVGEPGPNTSECVKAQDAEDSDVNMEEEISEQPKTSEIMIVDCVQPVTNTPNVFTGHDSVKEDPGHLIVETTDGHSSAILKSPTPSVSPRVNQSRKSLRTSSMFTASQKDLKDDGKLGSEAMRASFTPTEHLAASLHRGLEIIDCHRRSLALRRSSFRYSLKPADPKPILAAHKVDVGVQTFPQDYEIQEEEPVVFLCSNCKQRTNLEGKEDGESSNLQLVPVDESESNEKTLVPADEVESAEKTKKQVPKAVEKVLAGSIRREMALEEFCAKRASEIMQLNRLVQQYKHERECNAIIGQTREDKILRLESLMDGVLPTEEFMEEELASLKHEHKLLKEKYENHPEVLRTKIELKRVQDELERFRNFHDLGEREVLLEEIQDLRNQLQYYIDSSSTSARRRNSLLQLTYSCEPNVPPPLSAIPETSEESAEEKFEQERIRWTEAESKWISLAEELRTELDASRSLAEKRKQELDMEKKCAEELKEAMQMAMEGHARMLEQYADLEEKHIQLLARHRKIQEGIDDVKKAAARAGVRGAESKFINALAAEISALKVEREKERRYLRDENKGLQAQLRDTAEAVQAAGELLVRLKEAEEAVADAQKQALEAEQEAEKAHKQIDKLKRKHEHEISTLNELLAESRLPKEAIPPAYDNFDNAKYDAGETHYASDQRWREEFEPFYNGEDGELSKLAENSSWFSGYDRCNI >EOY05082 pep chromosome:Theobroma_cacao_20110822:4:27119897:27126968:-1 gene:TCM_020178 transcript:EOY05082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phragmoplast-associated kinesin-related protein, putative isoform 1 MKHFMLPRNTVLREPMENPSSPSPTPSKSKTLRKQKSSKENAPPPDPNSQPSPAAVATTMAKSKSPLPPRPPSSNPLKRKLYTETLPENAVPGISDSGVKVVVRMRPPNKEEEEGEIIVQKVTSDSLSINGQTFTFDSVANTDATQLDIFQLVGAPLVENCLAGFNSSVFAYGQTGSGKTYTIWGPANALLEENLSSDQQGLTPRVFERLFARINEEQIKHADKQLKYQCRCSFLEIYNEQITDLLDPNQRNLQIREDVKSGVYVENLTEEYVSSMKDVTQLLMKGLSNRRTGATSINAESSRSHSVFTCVVESRCKSVADGISSFKTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEISQTGKQRHIPYRDSKLTFLLQESLGGNAKLAMVCAISPAQSCKSETFSTLRFAQRAKAIKNKAVVNEVMQDDVNFLREVIRQLKDELHRMKANGNNQTDPNGSYSTGWNARRSLNLLKFSLHHPRTLPHVDEDGDEEMEIDEEAVENLCAQVGLQSADVYHHSNELTKLELIESDIGNTPSENGCVGEPGPNTSECVKAQDAEDSDVNMEEEISEQPKTSEIMIVDCVQPVTNTPNVFTGHDSVKEDPGHLIVETTDGHSSAILKSPTPSVSPRVNQSRKSLRTSSMFTASQKDLKDDGKLGSEAMRASFTPTEHLAASLHRGLEIIDCHRRSLALRRSSFRYSLKPADPKPILAAHKVDVGVQTFPQDYEIQEEEPVVFLCSNCKQRTNLEGKEDGESSNLQLVPVDESESNEKTLVPADEVESAEKTKKQVPKAVEKVLAGSIRREMALEEFCAKRASEIMQLNRLVQQYKHERECNAIIGQTREDKILRLESLMDGVLPTEEFMEEELASLKHEHKLLKEKYENHPEVLRTKIELKRVQDELERFRNFHDLGEREVLLEEIQDLRNQLQYYIDSSSTSARRRNSLLQLTYSCEPNVPPPLSAIPETSEESAEEKFEQERIRWTEAESKWISLAEELRTELDASRSLAEKRKQELDMEKKCAEELKEAMQMAMEGHARMLEQYADLEEKHIQLLARHRKIQEGIDDVKKAAARAGVRGAESKFINALAAEISALKVEREKERRYLRDENKGLQAQLRDTAEAVQAAGELLVRLKEAEEAVADAQRWICILHSYYR >EOY05969 pep chromosome:Theobroma_cacao_20110822:4:30466182:30468874:-1 gene:TCM_020827 transcript:EOY05969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MEYSIFISTLVLSAASLFLYSVLRIIYVVWWRPKSLEKYFRQQGIKGTSYKLLHGDTKEVVRSSKEARSKPMTLNHHIVPRVVPFIHQMVENYGKICMSWKETRPSIIVADTELMKLVLTDKNGHFVKPPVNPLVDLLQLGVSTLEGQKWAKRRRLITPAFHLEKLKEMVPAFATSCCNLVDRWTKLVSPEGSCELDVAPEFHNLAGDVIAQTAFGSSYEEGKRIFELQKEQVVLALEAFYSFYIPGFRFIPTRKNRRRYDIDKEIKTTLRDMIHKKEQAMRNGEFRNNDLLGLLLQCKGQSENEMTVEDVIEECKLFYFAGQETTATWLTWTLIILSMYPNWQEKAREEVQQICGKTIPSAESINHLKVVSMILHEVLRLYPPVTALGRHTSKKTSIGGISIPAGVDIQLPTLLIHYEPGYWGDDVEEFKPERFAEGVSKASKDQIAFYPFGWGPRFCLGQNFAIIEAKMALAMILQHFWFELSPSYTHAPHQVITLQPQHGAPIILHQI >EOY03841 pep chromosome:Theobroma_cacao_20110822:4:19767587:19772826:1 gene:TCM_019033 transcript:EOY03841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MGGVTSTVAAKFAFFPPNPPSYTVVESGGKLVMNGVVARESVDVLKVGTKRGNQVVAVYIKNPGAALTVLYSHGNAADLGQMYDLFSELSLHLRVNLLGYDYSGYGQSTGKPTEQNTYADIEAVYRCLGEKYGLKEEDVILYGQSVGSGPTLDLATRLPKLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPFVNCPVLVIHGTADDVVDWSHGKQLWELCKEKYEPLWVKGGNHCDLELYPQYIKHLKKFISAIEKSHRRKGSVPLRDQPEKPRNSTDFRETSRPSIDQREKSRASIDQREMPRLSTDHREKARNSTDKREKSRKSVDQPEKFCNGSDQPEKARNSIDRFGEMMRSVGLCNIDCFRPTATAV >EOY03842 pep chromosome:Theobroma_cacao_20110822:4:19767582:19772419:1 gene:TCM_019033 transcript:EOY03842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MGGVTSTVAAKFAFFPPNPPSYTVVESGGKLVMNGVVARESVDVLKVGTKRGNQVVAVYIKNPGAALTVLYSHGNAADLGQMYDYSGYGQSTGKPTEQNTYADIEAVYRCLGEKYGLKEEDVILYGQSVGSGPTLDLATRLPKLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPFVNCPVLVIHGTADDVVDWSHGKQLWELCKEKYEPLWVKGGNHCDLELYPQYIKHLKKFISAIEKSHRRKGSVPLRDQPEKPRNSTDFRETSRPSIDQREKSRASIDQREMPRLSTDHREKARNSTDKREKSRKSVDQPEKFCNGSDQPEKARNSIDRFGEMMRSVGLCNIDCFRPTATAV >EOY03089 pep chromosome:Theobroma_cacao_20110822:4:4546992:4565726:-1 gene:TCM_017528 transcript:EOY03089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFPRHFHAPMLFSPYHLAFEKKNRKNQLRKERREKWEAWVVSDSRVIEEISSGSQDSRNISSAQVSTLMKATALSCSKLRHRGSHGSNAKESKATTLVK >EOY02570 pep chromosome:Theobroma_cacao_20110822:4:1336841:1338933:-1 gene:TCM_017005 transcript:EOY02570 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 6 MKQKNEQIHTTKLLTYLLIGLIGVLGLLCLYYGSSFAPGSRRSDNTGSRLDGSDPVFGGFSRNRDLDDLLDEQGHYPEVPKSIPICDIKYSELIPCLDRNLIYQLKLKPNLTVMEHYERHCPPPERRYNCLIPPPRGYKIPIRWPASRDEVWKANIPHTHLAEEKSDQHWMVVDGEKIKFPGGGTHFHDGADKYITGLAQMLKFPGDKLHNGGSIRNVLDVGCGVASFGAYLLPLDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYEQDPENRKIWNAMYNLLKRMCWKVAVKRGQTVIWAKPLSNSCYLKRDPGTRPPLCSSGDDPDASWNVSMKACITPYSAKMHKERWSGLLPWPQRLTTAPPRLEEIGVSPEEFHEDTVSF >EOY02565 pep chromosome:Theobroma_cacao_20110822:4:1335863:1339112:-1 gene:TCM_017005 transcript:EOY02565 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 6 MKQKNEQIHTTKLLTYLLIGLIGVLGLLCLYYGSSFAPGSRRSDNTGSRLDGSDPVFGGFSRNRDLDDLLDEQGHYPEVPKSIPICDIKYSELIPCLDRNLIYQLKLKPNLTVMEHYERHCPPPERRYNCLIPPPRGYKIPIRWPASRDEVWKANIPHTHLAEEKSDQHWMVVDGEKIKFPGGGTHFHDGADKYITGLAQMLKFPGDKLHNGGSIRNVLDVGCGVASFGAYLLPLDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYEQDPENRKIWNAMYNLLKRMCWKVAVKRGQTVIWAKPLSNSCYLKRDPGTRPPLCSSGDDPDASWNVSMKACITPYSAKMHKERWSGLLPWPQRLTTAPPRLEEIGVSPEEFHEDTKIWHFRVIEYWKQMRSVIQKNSFRNVMDMNSNLGGFAAALKDKDVWVMNVAPVKMSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWAVFSEIEERGCSAEDLLIEMDRILRPDGFVIIRDKHSMINHIQKFITALRWDGWLSEVEPRTDALSAGEERVLIARKKLWSDGFMTM >EOY02569 pep chromosome:Theobroma_cacao_20110822:4:1335863:1339112:-1 gene:TCM_017005 transcript:EOY02569 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 6 MKQKNEQIHTTKLLTYLLIGLIGVLGLLCLYYGSSFAPGSRRSDNTGSRLDGSDPVFGGFSRNRDLDDLLDEQGHYPEVPKSIPICDIKYSELIPCLDRNLIYQLKLKPNLTVMEHYERHCPPPERRYNCLIPPPRGYKIPIRWPASRDEVWKANIPHTHLAEEKSDQHWMVVDGEKIKFPGGGTHFHDGADKYITGLAQMLKFPGDKLHNGGSIRNVLDVGCGVASFGAYLLPLDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYEQDPENRKIWNAMYNLLKRMCWKVAVKRGQTVIWAKPLSNSCYLKRDPGTRPPLCSSGDDPDASWNVSMKACITPYSAKMHKERWSGLLPWPQRLTTAPPRLEEIGVSPEEFHEDTKIWHFRVIEYWKQMRSVIQKNSFRNVMDMNSNLGGFAAALKDKDVWVMNVAPVKMSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWAVFSEIEERGCSAEDLLIEMDRILRPDGFVIIRDKHSMINHIQKFITALRWDGWLSEVEPRTDALSAGEERVLIARKKLWSDGFMTM >EOY02567 pep chromosome:Theobroma_cacao_20110822:4:1336034:1338102:-1 gene:TCM_017005 transcript:EOY02567 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 6 MVVDGEKIKFPGGGTHFHDGADKYITGLAQMLKFPGDKLHNGGSIRNVLDVGCGVASFGAYLLPLDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYEQDPENRKIWNAMYNLLKRMCWKVAVKRGQTVIWAKPLSNSCYLKRDPGTRPPLCSSGDDPDASWNVSMKACITPYSAKMHKERWSGLLPWPQRLTTAPPRLEEIGVSPEEFHEDTKIWHFRVIEYWKQMRSVIQKNSFRNVMDMNSNLGGFAAALKDKDVWVMNVAPVKMSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWAVFSEIEERGCSAEDLLIEMDRILRPDGFVIIRDKHSMINHIQKFITALRWDGWLSEVEPRTDALSAGEERVLIARKKLWSDGFMTM >EOY02568 pep chromosome:Theobroma_cacao_20110822:4:1335863:1339112:-1 gene:TCM_017005 transcript:EOY02568 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 6 MVVDGEKIKFPGGGTHFHDGADKYITGLAQMLKFPGDKLHNGGSIRNVLDVGCGVASFGAYLLPLDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYEQDPENRKIWNAMYNLLKRMCWKVAVKRGQTVIWAKPLSNSCYLKRDPGTRPPLCSSGDDPDASWNVSMKACITPYSAKMHKERWSGLLPWPQRLTTAPPRLEEIGVSPEEFHEDTKIWHFRVIEYWKQMRSVIQKNSFRNVMDMNSNLGGFAAALKDKDVWVMNVAPVKMSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWAVFSEIEERGCSAEDLLIEMDRILRPDGFVIIRDKHSMINHIQKFITALRWDGWLSEVEPRTDALSAGEERVLIARKKLWSDGFMTM >EOY02566 pep chromosome:Theobroma_cacao_20110822:4:1335164:1339235:-1 gene:TCM_017005 transcript:EOY02566 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 6 MKQKNEQIHTTKLLTYLLIGLIGVLGLLCLYYGSSFAPGSRRSDNTGSRLDGSDPVFGGFSRNRDLDDLLDEQGHYPEVPKSIPICDIKYSELIPCLDRNLIYQLKLKPNLTVMEHYERHCPPPERRYNCLIPPPRGYKIPIRWPASRDEVWKANIPHTHLAEEKSDQHWMVVDGEKIKFPGGGTHFHDGADKYITGLAQMLKFPGDKLHNGGSIRNVLDVGCGVASFGAYLLPLDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYEQDPENRKIWNAMYNLLKRMCWKVAVKRGQTVIWAKPLSNSCYLKRDPGTRPPLCSSGDDPDASWNVSMKACITPYSAKMHKERWSGLLPWPQRLTTAPPRLEEIGVSPEEFHEDTKIWHFRVIEYWKQMRSVIQKNSFRNVMDMNSNLGGFAAALKDKDVWVMNVAPVKMSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWAVFSEIEERGCSAEDLLIEMDRILRPDGFVIIRDKHSMINHIQKFITALRWDGWLSEVEPRTDALSAGEERVLIARKKLWSDGFMTM >EOY03462 pep chromosome:Theobroma_cacao_20110822:4:16161911:16166401:-1 gene:TCM_018554 transcript:EOY03462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSEHLVLCGDHHLVTPPGPALFGSKQGLPEPYGEDGLTSTSESLTVPGIPREVQLAELISSEDVERLLEAEFDECNNETHENENVATIWPNAILIARLYASQFNLQHKYQT >EOY06557 pep chromosome:Theobroma_cacao_20110822:4:32264591:32271749:-1 gene:TCM_021238 transcript:EOY06557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multifunctional protein 2 MGSKNEGRTTVEVGADGVAVITMINPPVNSLSLDVLQSLKESFDEALRRDDVKAIVVTGAKGKFSGGFDITAFGRIQGGNVEQPKPGYISVEVLSDTLEAARKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGLIPGFGGTQRLPRLVGLAKSLEMMLTSKPVKGEEALGLGLVDAVVSSHELVNTARRWALAILERRKPWVASLYKTDKLEPLGEAREILNFARAQARKQAPNLKHPLVCIDVIEEGIVAGPRAGLWKEAEDFQGLLKSDTCKSLIHVFFAQRGTSKVPGITDRGLVPRRVKKVAVLGGGLMGSGIATALILSNYTVILKEVNEKFLEAGIGRVKANLQSRVKKGKMTQEKFEKTISLLRGVLDYESFRDVDMVIEAVIENVSLKQQIFADLEKYCPPHCILASNTSTIDLNLIAERTKSQDRIVGAHFFSPAHIMPLLEIVRTKQTSPQIIVDLLDVGKKIRKTPVVVGNCTGFAVNRMFFPYTQAGLFLIERGTDVYQIDRVITKFGMPMGPFRLADLVGFGVAIATGMQFVENFPERTYKSLLIPIMQEDKRAGETTQKGFYLYDNKRKASPDPELKKYIEKARSISGVAIDPKFVKLSEKDIVEMIFFPVVNEACRVFAEGIAVKAADLDIAAVMGMGFAPYRGGIMFWADSLGSKYIYSRLEDWSKMYGDFFKPCAFLAERAAKGAPLSAPVEQAKSRL >EOY05522 pep chromosome:Theobroma_cacao_20110822:4:28894258:28901430:1 gene:TCM_020506 transcript:EOY05522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MNSLSTSSTISSSAASPTSWFSSIVRGRQDRSSSVKMAGSSSSGSGVAADCEGPIKGKNQFRGVLFKYGPKPIQVAFKTGDYKQQVIFIGGLTDGFLATEYLEPLAIALDNEKWSLVQLLMSSSYTGYGTSSLQQDAMEIDQLISYLINKENSEGVVLLGHSTGCQDIVHYMHTNAACSRAVRAAILQAPVSDREYRATLPETASMIDLASSMIKEGRGSDLMPKEADPSSPITAYRYNSLCAYMGDDDMFSSDLNDNQLRMRLGHMANTPCQVIYSMADEYVPEYVDKKALVERLCRAMGGAEKVEIEYGNHSLSNRVHEAVQAIISFVKREGPNGWDDPWS >EOY05525 pep chromosome:Theobroma_cacao_20110822:4:28895775:28900163:1 gene:TCM_020506 transcript:EOY05525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MNSLSTSSTISSSAASPTSWFSSIVRGRQDRSSSVKMAGSSSSGSGVAADCEGPIKGKNQFRGVLFKYGPKPIQVAFKTGDYKQQVIFIGGLTDGFLATEYLEPLAIALDNEKWSLVQLLMSSSYTGYGTSSLQQDAMEIDQLISYLINKENSEGVVLLGHSTGCQDIVHYMHTNAACSRAVRAAILQAPVSDREYRATLPETASMIDLASSMIKEGRGSDLMPKEADPSSPITAYR >EOY05523 pep chromosome:Theobroma_cacao_20110822:4:28895821:28901444:1 gene:TCM_020506 transcript:EOY05523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MNSLSTSSTISSSAASPTSWFSSIVRGRQDRSSSVKMAGSSSSGSGVAADCEGPIKGKNQFRGVLFKYGPKPIQVAFKTGDYKQQVIFIGGLTDGFLATEYLEPLAIALDNEKWSLVQLLMSSSYTGYGTSSLQQDAMEIDQLISYLINKENSEGVVLLGHSTGCQDIVHYMHTNAACSRAVRAAILQAPVSDREYRATLPETASMIDLASSMIKEGRGSDLMPKEADPSSPITAYRYNSLCAYMGDDDMFSSDLNDNQLRMRLGHMANTPCQQVIYSMADEYVPEYVDKKALVERLCRAMGGAEKVEIEYGNHSLSNRVHEAVQAIISFVKREGPNGWDDPWS >EOY05524 pep chromosome:Theobroma_cacao_20110822:4:28895775:28901444:1 gene:TCM_020506 transcript:EOY05524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MNSLSTSSTISSSAASPTSWFSSIVRGRQDRSSSVKMAGSSSSGSGVAADCEGPIKGKNQFRGVLFKYGPKPIQVAFKTGDYKQQVIFIGGLTDGFLATEYLEPLAIALDNEKWSLVQLLMSSSYTGYGTSSLQQDAMEIDQLISYLINKENSEGVVLLGHSTGCQDIVHYMHTNAACSRAVRAAILQAPVSDREYRATLPETASMIDLASSMIKEGRGSDLMPKEADPSSPITAYRYNSLCAYMGDDDMFSSDLNDNQLRMRLGHMANTPCQVIYSMADEYVPEYVDKKALVERAMGGAEKVEIEYGNHSLSNRVHEAVQAIISFVKREGPNGWDDPWS >EOY02997 pep chromosome:Theobroma_cacao_20110822:4:3397006:3400170:-1 gene:TCM_017389 transcript:EOY02997 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair metallo-beta-lactamase family protein isoform 2 MPLPMHADHSSDDDYGYDHESSDLLLDENGFPLVEQGRQDVEEGSFAADFYRCGTDWSCLGREDMDNDRGRSRKLKQANLFQMWGLKKPRLLQDASFPTPNRTNIPNPKPSSTIISNRPRVCPFYKKIPGTPFTVDAFRYGCVQGCSAYFLTHFHCDHYGGLTKGWSHGPIYCTPLTAGLLTLCLSVNPLFINPLELDKEHSIQGIKVTLLEANHCPGAALIHFRLPDGKCYLHTGDFRSCKLMQTYPLLVNHRVNLLYLDTTYCNPRYKFPSKEDVLNFVVRVTNNYLKMQPKTLVVVGAYSIGKECVYLAISKSLGVKIFANTSRRRILQSFDWPELSSSLCSDGKDTLLHVLPISSLRIETLKDYLKTYRKNYAAVLAFRPTGWTYSENIGNQLDLIRPISRGNVTIYGVPYSEHSSFTELREFVQFLRPDKIIPTVNVGNATNRDKMQSYFRQWLKG >EOY02998 pep chromosome:Theobroma_cacao_20110822:4:3396948:3400285:-1 gene:TCM_017389 transcript:EOY02998 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair metallo-beta-lactamase family protein isoform 2 MPLPMHADHSSDDDYGYDHESSDLLLDENGFPLVEQGRQDVEEGSFAADFYRCGTDWSCLGREDMDNDRGRSRKLKQANLFQMWGLKKPRLLQDASFPTPNRTNIPNPKPSSTIISNRPRVCPFYKKIPGTPFTVDAFRYGCVQGCSAYFLTHFHCDHYGGLTKGWSHGPIYCTPLTAGLLTLCLSVNPLFINPLELDKEHSIQGIKVTLLEANHCPGAALIHFRLPDGKCYLHTGDFRSCKLMQTYPLLVNHRVNLLYLDTTYCNPRYKFPSKEDVLNFVVRVTNNYLKMQPKTLVVVGAYSIGKECVYLAISKSLGVKIFANTSRRRILQSFDWPELSSSLCSDGKDTLLHVLPISSLRIETLKDYLKTYRKNYAAVLAFRPTGWTYSENIGNQLDLIRPISRGNVTIYGVPYSEHSSFTELREFVQRL >EOY02767 pep chromosome:Theobroma_cacao_20110822:4:2174022:2178989:1 gene:TCM_017168 transcript:EOY02767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase/uracil phosphoribosyltransferase 1 isoform 1 MPEETTSIDYVMEKASGPHFSGLRNPTASSASSSAFADANAPRQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTTEELKRVHEYNFDHPDAFDTEQLLDCIQKLKSGHSVQVPIYDFKHHRRSSDSFRQVNASDVIILEGILVFHDQRVRKLMNLKIFVDTDADVRLARRIRRDTVERGRDVNSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIHTKLGQHDLCKIYPNVYVIQSTFQIRGMHTLIRDREISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTASVYTGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRDGDNGKQLIYEKLPKDILERHVLLLDPVLATGNSANHAIELLIQKGVPESHIIFLNLISAPEGIHCVCKRFPSLKIVTSEIDVALNEEFRVIPGMGEFGDRYFGTDD >EOY02768 pep chromosome:Theobroma_cacao_20110822:4:2173928:2179965:1 gene:TCM_017168 transcript:EOY02768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase/uracil phosphoribosyltransferase 1 isoform 1 SASTVELKFQGFFSSFYFFFHFFEFEFLFLKMPEETTSIDYVMEKASGPHFSGLRNPTASSASSSAFADANAPRQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTTEELKRVHEYNFDHPDAFDTEQLLDCIQKLKSGHSVQVPIYDFKHHRRSSDSFRQVNASDVIILEGILVFHDQRVRKLMNLKIFVDTDADVRLARRIRRDTVERGRDVNSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIHTKLGQHDLCKIYPNVYVIQSTFQIRGMHTLIRDREISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTASVYTGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRDGDNGKQLIYEKLPKDILERHVLLLDPVLATGSNCNQYQMDQLLE >EOY05819 pep chromosome:Theobroma_cacao_20110822:4:29882906:29885253:1 gene:TCM_020718 transcript:EOY05819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MNSSNPIFTHPTLNYLSKCKTFYSLKQVHAQMITTGLTLHTYPLSKLLYVSATLALSHALAIFNQIPNPTIFLFNTLISSIITNQRSHTHLAFSLYERILCYETVKPNSHTYPSLFKACGSHPWLHHGLALHAHVLKFLEPTYDNFVHASLLNFYANYGKLGVARYMFDHISNPDLATWNSILSAYARSDSSSYYVSNNSNITSEDSSLSLEALYLFNEMQHSLVKPNEVTLAALISACANLGALTQGTWAHVYVLKYSIKVNYYVGTALIDMYSKCGCLGLAYQLFDQLPERDVLCYNAMIGGFGIHGYGQRALELYEKMKFQGLVPDDVTFIVIMCACSHVGLVEEGCKIFDSIKEVYGKEPRLEHYGCLVDLLGRAGRVQEAEEKLREMPMKPNAILWRSLLGAARVYGNLELGEVALKQLIELEPEISGNYVLLSNMYASIDRWEDAKRVRKLMKDHGINKIPGSSLVEVNGAMHEFLTGDKRHPCTSEIYSKLEEVGRRLQQYGHNPRTKEVLFDIDEEEKEDALTYHSERLAIAFALIASDSTAPIRIIKNLRVCIDCHESTKLISVIYGREIVVRDRNRFHHFKEGTCSCLDYW >EOY05379 pep chromosome:Theobroma_cacao_20110822:4:28338041:28339372:-1 gene:TCM_020389 transcript:EOY05379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin modifier 2 MSSSLAKYLPNARVRITIKNQDGQEAYYQMKRTTPLRKLMNAHCSKYSFEPNTVAFLFDGRRLNEDETPEQVKMEDEEEIDCMIHQVGGYGVHSA >EOY03440 pep chromosome:Theobroma_cacao_20110822:4:15651341:15655571:-1 gene:TCM_018504 transcript:EOY03440 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase SUPV3L1 isoform 1 MGRGLATFLLRIYTSNNNVSRVKFMLSNRYFHPFRQFENWVLDKDRFVPSFDVPKREFSTSLIGLVGKFRNSECVSVKPFSSVVENGDDDGGEDRGMRGSRMEGIKEGRNVVDFVKSIDFDGVNENKGEDGVGCFNENGMYGSRDVESEHQSDKENKDIVDFMRSIDFDCVNEKRDEDGVDDFNDNGLCDSTTVESECESDDVGNDRTVCGEHVAFCDPVKLYQELRNSEKGVKLKRADWEILQEVFNYFSKSGWAANQSLAIYVGRSFFPTAARRFRSFFFKKCSAVVVKHVISLGPSDVAVKFLFPIFVEFCIEEFPDEIKRFRSMIQSADLTKPHTWFPFARAMKRKIIYHCGPTNSGKTYNALQQFMEAKKGIYCSPLRLLAMEVFDKVNAQGVYCSLHTGQEKKYVPFSNHVACTVEMVSTDELYDVAVIDEIQMMSDPYRGYAWTRALLGLKADEIHLCGDPSVLNIVRKICSDTGDELHENHYDRFKPLVVEAKTLLGDLQNVRSGDCVVAFSRREIFEVKMAIEKHTSHRCCVIYGALPPETRRQQANLFNDQDNEFDVLVASDAVGMGLNLNIRRVVFYSLSKYNGDKIVAVPASQVKQIAGRAGRRGSRYPDGLTTTLHLDDLDYLIECLKQPFEEVKKVGLFPFFEQVELFDGQLPNITFCQLLEKFGENCRLDGSYFLCRHDHIKKVANMMEKVQGLSLEDRFNFCFAPVNVRDPKAMYHLLRFASAYSRNVPVNIAMGIPKGSAKNDAELLDLETKHQVLSMYLWLSHHFKEETFPYVKKAEEMAIDVADLLGQSLVNACWKPESRQAKKSKPQEKEEGYQRPRSLIKLHENLHWKKVRQKRRKIGEGWGKVNLCQWFLNFFGPRLKPGIKEHSLC >EOY03439 pep chromosome:Theobroma_cacao_20110822:4:15652030:15655250:-1 gene:TCM_018504 transcript:EOY03439 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase SUPV3L1 isoform 1 MGRGLATFLLRIYTSNNNVSRVKFMLSNRYFHPFRQFENWVLDKDRFVPSFDVPKREFSTSLIGLVGKFRNSECVSVKPFSSVVENGDDDGGEDRGMRGSRMEGIKEGRNVVDFVKSIDFDGVNENKGEDGVGCFNENGMYGSRDVESEHQSDKENKDIVDFMRSIDFDCVNEKRDEDGVDDFNDNGLCDSTTVESECESDDVGNDRTVCGEHVAFCDPVKLYQELRNSEKGVKLKRADWEILQEVFNYFSKSGWAANQSLAIYVGRSFFPTAARRFRSFFFKKCSAVVVKHVISLGPSDVAVKFLFPIFVEFCIEEFPDEIKRFRSMIQSADLTKPHTWFPFARAMKRKIIYHCGPTNSGKTYNALQQFMEAKKGIYCSPLRLLAMEVFDKVNAQGVYCSLHTGQEKKYVPFSNHVACTVEMVSTDELYDVAVIDEIQMMSDPYRGYAWTRALLGLKADEIHLCGDPSVLNIVRKICSDTGDELHENHYDRFKPLVVEAKTLLGDLQNVRSGDCVVAFSRREIFEVKMAIEKHTSHRCCVIYGALPPETRRQQANLFNDQDNEFDVLVASDAVGMGLNLNIRRVVFYSLSKYNGDKIVAVPASQVKQIAGRAGRRGSRYPDGLTTTLHLDDLDYLIECLKQPFEEVKKVGLFPFFEQVELFDGQLPNITFCQLLEKFGENCRLDGSYFLCRHDHIKKVANMMEKVQGLSLEDRFNFCFAPVNVRDPKAMYHLLRFASAYSRNVPVNIAMGIPKGSAKNDAELLDLETKHQVLSMYLWLSHHFKEETFPYVKKAEEMAIDVADLLGQSLVNACWKPESRQAKKSKPQEKEEGYQRPRSLIKLHEKKRQINPC >EOY03215 pep chromosome:Theobroma_cacao_20110822:4:8224911:8225749:-1 gene:TCM_017867 transcript:EOY03215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSWATDHGILKLSIVILFIAHFTACQVKAVVAEASSSPTRGYKPVAVGPTEYRVLQGNADDARRKLAPFQLCLLCKCCTTSAASTCATMPCCFGIDCQLPNKPFGVCAFVPKTCNCNSCSA >EOY03111 pep chromosome:Theobroma_cacao_20110822:4:5080328:5084233:-1 gene:TCM_017585 transcript:EOY03111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase, putative isoform 2 MINETLNTAAKLQMALIVAEVSLSDLPRDTPYQNLELRFREWGFERGWGDTAERVQETMRSLSEVLQAPDPQNLEKFFSKLPIVFKVVVFSPHGYFGQSDVLGLPDTGGQVVYILDQVKAMEEELLHRIKCQGLNIKPQILVVTRLIPDARGTKCNQEWEPIIGTKYSHILRVPFRTDSGVLRRWVSRFDIYPYLERFAQDVTSKIQEAMEGKPDLIIGNYTDGNLVASLIASKLGITQATIAHALEKTKYEDSDIKWKELDPKYHFSCQFIADTIAMNATDFIITSTYQEIAGSKDRPGQYESHAAFTLPGLCRVVSGINVYDPKFNIAAPGADQSVYFPYTETEKRFRQFHPVIEELLYSKADNDEHIGYLADRKKPIIFSMARLDTVKNLTGLTEWYGKNKRLRSLVNLVIVGGFFDPSKSKDREEVAEINKMHAVIENYQLKGQIRWIAAQSDRNRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPTNGDESSNKIADFFEKCKANPGHWNQFSADGLKRINECYTWKIYANKVLNMGCIYSFWKQLNKDQKQAKQRYIQAFYSLQFRNLVRNVPIASDGTQQPESKPAGKPQSTQRRSQSRLQRGCLELKIPTGQQ >EOY03109 pep chromosome:Theobroma_cacao_20110822:4:5066782:5086791:-1 gene:TCM_017585 transcript:EOY03109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase, putative isoform 2 MASTSTLKRTDSIADNMPNALKQSQYYMKRCFGTYIEKGARIMKLKELRDEMEKVIDDKSERDQVLEGFLGSMFSAVQEAVVIPPHVTFAVRPTPGYWEFVKVNSLDLSDVKQVTSTDYLKLKEMITDASWSKDENALEVDFGAFDFSMPKLTMSSSIGNGLNFVSKFVTAKLSGRVENAQPLVDYLLSLEYQGEKLMINETLNTAAKLQMALIVAEVSLSDLPRDTPYQNLELRFREWGFERGWGDTAERVQETMRSLSEVLQAPDPQNLEKFFSKLPIVFKVVVFSPHGYFGQSDVLGLPDTGGQVVYILDQVKAMEEELLHRIKCQGLNIKPQILVVTRLIPDARGTKCNQEWEPIIGTKYSHILRVPFRTDSGVLRRWVSRFDIYPYLERFAQDVTSKIQEAMEGKPDLIIGNYTDGNLVASLIASKLGITQATIAHALEKTKYEDSDIKWKELDPKYHFSCQFIADTIAMNATDFIITSTYQEIAGSKDRPGQYESHAAFTLPGLCRVVSGINVYDPKFNIAAPGADQSVYFPYTETEKRFRQFHPVIEELLYSKADNDEHIGYLADRKKPIIFSMARLDTVKNLTGLTEWYGKNKRLRSLVNLVIVGGFFDPSKSKDREEVAEINKMHAVIENYQLKGQIRWIAAQSDRNRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPTNGDESSNKIADFFEKCKANPGHWNQFSADGLKRINECYTWKIYANKVLNMGCIYSFWKQLNKDQKQAKQRYIQAFYSLQFRNLVRNVPIASDGTQQPESKPAGKPQSTQSTRRSQSRLQRLFGA >EOY03110 pep chromosome:Theobroma_cacao_20110822:4:5080154:5085061:-1 gene:TCM_017585 transcript:EOY03110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase, putative isoform 2 MASTSTLKRTDSIADNMPNALKQSQYYMKRCFGTYIEKGARIMKLKELRDEMEKVIDDKSERDQVLEGFLGSMFSAVQEAVVIPPHVTFAVRPTPGYWEFVKVNSLDLSDVKQVTSTDYLKLKEMITDASWYVYDVIALHYENALEVDFGAFDFSMPKLTMSSSIGNGLNFVSKFVTAKLSGRVENAQPLVDYLLSLEYQGEKLMINETLNTAAKLQMALIVAEVSLSDLPRDTPYQNLELRFREWGFERGWGDTAERVQETMRSLSEVLQAPDPQNLEKFFSKLPIVFKVVVFSPHGYFGQSDVLGLPDTGGQVVYILDQVKAMEEELLHRIKCQGLNIKPQILVVTRLIPDARGTKCNQEWEPIIGTKYSHILRVPFRTDSGVLRRWVSRFDIYPYLERFAQDVTSKIQEAMEGKPDLIIGNYTDGNLVASLIASKLGITQATIAHALEKTKYEDSDIKWKELDPKYHFSCQFIADTIAMNATDFIITSTYQEIAGSKDRPGQYESHAAFTLPGLCRVVSGINVYDPKFNIAAPGADQSVYFPYTETEKRFRQFHPVIEELLYSKADNDEHIGYLADRKKPIIFSMARLDTVKNLTGLTEWYGKNKRLRSLVNLVIVGGFFDPSKSKDREEVAEINKMHAVIENYQLKGQIRWIAAQSDRNRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPTNGDESSNKIADFFEKCKANPGHWNQFSADGLKRINECYTWKIYANKVLNMGCIYSFWKQLNKDQKQAKQRYIQAFYSLQFRNLVRNVPIASDGTQQPESKPAGKPQSTQSTRRSQSRLQRLFGA >EOY03112 pep chromosome:Theobroma_cacao_20110822:4:5080545:5083999:-1 gene:TCM_017585 transcript:EOY03112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase, putative isoform 2 MINETLNTAAKLQMALIVAEVSLSDLPRDTPYQNLELRFREWGFERGWGDTAERVQETMRSLSEVLQAPDPQNLEKFFSKLPIVFKVVVFSPHGYFGQSDVLGLPDTGGQVVYILDQVKAMEEELLHRIKCQGLNIKPQILVVTRLIPDARGTKCNQEWEPIIGTKYSHILRVPFRTDSGVLRRWVSRFDIYPYLERFAQDVTSKIQEAMEGKPDLIIGNYTDGNLVASLIASKLGITQATIAHALEKTKYEDSDIKWKELDPKYHFSCQFIADTIAMNATDFIITSTYQEIAGSKDRPGQYESHAAFTLPGLCRVVSGINVYDPKFNIAAPGADQSVYFPYTETEKRFRQFHPVIEELLYSKADNDEHIGYLADRKKPIIFSMARLDTVKNLTGLTEWYGKNKRLRSLVNLVIVGGFFDPSKSKDREEVAEINKMHAVIENYQLKGQIRWIAAQSDRNRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPTNGDESSNKIADFFEKCKANPGHWNQFSADGLKRINECYTWKIYANKVLNMGCIYSFWKQLNKDQKQAKQRYIQAFYSLQFRNLVVRNVPIASDGTQQPESKPAGKPQSTQSTRRSQSRLQR >EOY05022 pep chromosome:Theobroma_cacao_20110822:4:26868422:26878197:-1 gene:TCM_020135 transcript:EOY05022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding,nucleic acid binding,hydrolases, putative isoform 2 MLTGRESLSRLIGKRRRFLPSLQSVLSSPIQGSLNLSSDKNGSLAETQCSKGKVEMSSSDWVTCPVCGNKVPGEDCILNSHLDGCLSRRTKRKLTQLTLLQLNFGCSQSNVQVSSSESEKLLTSDLYKSPCDSEEKATCGFSKVSPSEGKSHDQWRRFLQTESVKQIDMAGSTENPISDGRAKIMVDVPALSADNEEPRHLMDETVDDISGVPIDTFIVGRKFSDVKYLNLGASISLLRDPDNINDSNAIKVLSASSACCKVLGYLPRELAQYLSPLIEKYCLTFEGCVIAVPRSSLHAVPIQIVCQNMILNGEKGCDNFEVFKHLWQKVLQVVEFAKNRPPNTTKYQENFCLLLQEVLRSSPHLFTDDEKKFIESFTSLSEDSRRLFVRLYTRKGPWFRLSTIMYPEVCNCQQAVKELSANGYICLFEDITELPEDDMKNLLSLLTVSELRDILCTLKKKCNRGSRKQNLIASLLSCYKGGSCPVLPHLILERTDICTRISSEAESLFWRAERLFFLNGEQDLSAFLLVDLGIVKYPTYNCIISEQIFSSKSDLLAYEEAIGVAQIMDQSLDENNFELVLRCIMIAESRISSSSEKLIETSTPELMSTFLSCFSASWVYSKVILLGISFLEREQRYNDAIHLLRRLLNCFTCDRRRGYWTVRLSIDLEHMGCPNESLSVAETGLLDPWIRAGSRMALQRRVLRLGKPPRRWKTPSFPESIKRNITEVHIQGRPLNCEAGRKSRFYGEDGEQCGVEQLALQYYATEGGGWQGVHTESGIWLTIFGLLMWNVLFSDVPNVFRTRFQKRFSSLHGNCIWEQLVEELIGTDTPSLTFEQLFHALEVLVWLLSADILLRITGVGPVECQICCFGASMETTEVKPNLLRSRVPEINSLNNKELGCCF >EOY05023 pep chromosome:Theobroma_cacao_20110822:4:26869942:26878197:-1 gene:TCM_020135 transcript:EOY05023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding,nucleic acid binding,hydrolases, putative isoform 2 MLTGRESLSRLIGKRRRFLPSLQSVLSSPIQGSLNLSSDKNGSLAETQCSKGKVEMSSSDWVTCPVCGNKVPGEDCILNSHLDGCLSRRTKRKLTQLTLLQLNFGCSQSNVQVSSSESEKLLTSDLYKSPCDSEEKATCGFSKVSPSEGKSHDQWRRFLQTESVKQIDMAGSTENPISDGRAKIMVDVPALSADNEEPRHLMDETVDDISGVPIDTFIVGRKFSDVKYLNLGASISLLRDPDNINDSNAIKVLSASSACCKVLGYLPRELAQYLSPLIEKYCLTFEGCVIAVPRSSLHAVPIQIVCQNMILNGEKGCDNFEVFKHLWQKVLQVVEFAKNRPPNTTKYQENFCLLLQEVLRSSPHLFTDDEKKFIESFTSLSEDSRRLFVRLYTRKGPWFRLSTIMYPEVCNCQQAVKELSANGYICLFEDITELPEDDMKNLLSLLTVSELRDILCTLKKKCNRGSRKQNLIASLLSCYKGGSCPVLPHLILERTDICTRISSEAESLFWRAERLFFLNGEQDLSAFLLVDLGIVKYPTYNCIISEQIFSSKSDLLAYEEAIGVAQIMDQSLDENNFELVLRCIMIAESRISSSSEKLIETSTPELMSTFLSCFSASWVYSKVILLGISFLEREQRYNDAIHLLRRLLNCFTCDRRRGYWTVRLSIDLEHMGCPNESLSVAETGLLDPWIRAGSRMALQRRVLRLGKPPRRWKTPSFPESIKRNITEVHIQGRPLNCEAGRKSRFYGEDGEQCGVEQLALQYYATEGGGWQGVHTESGIWLTIFGLLMWNVLFSDVPNVFRTRFQLNPMFAS >EOY05021 pep chromosome:Theobroma_cacao_20110822:4:26868801:26877706:-1 gene:TCM_020135 transcript:EOY05021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding,nucleic acid binding,hydrolases, putative isoform 2 MSSSDWVTCPVCGNKVPGEDCILNSHLDGCLSRRTKRKLTQLTLLQLNFGCSQSNVQVSSSESEKLLTSDLYKSPCDSEEKATCGFSKVSPSEGKSHDQWRRFLQTESVKQIDMAGSTENPISDGRAKIMVDVPALSADNEEPRHLMDETVDDISGVPIDTFIVGRKFSDVKYLNLGASISLLRDPDNINDSNAIKVLSASSACCKVLGYLPRELAQYLSPLIEKYCLTFEGCVIAVPRSSLHAVPIQIVCQNMILNGEKGCDNFEVFKHLWQKVLQVVEFAKNRPPNTTKYQENFCLLLQEVLRSSPHLFTDDEKKFIESFTSLSEDSRRLFVRLYTRKGPWFRLSTIMYPEVCNCQQAVKELSANGYICLFEDITELPEDDMKNLLSLLTVSELRDILCTLKKKCNRGSRKQNLIASLLSCYKGGSCPVLPHLILERTDICTRISSEAESLFWRAERLFFLNGEQDLSAFLLVDLGIVKYPTYNCIISEQIFSSKSDLLAYEEAIGVAQIMDQSLDENNFELVLRCIMIAESRISSSSEKLIETSTPELMSTFLSCFSASWVYSKVILLGISFLEREQRYNDAIHLLRRLLNCFTCDRRRGYWTVRLSIDLEHMGCPNESLSVAETGLLDPWIRAGSRMALQRRVLRLGKPPRRWKTPSFPESIKRNITEVHIQGRPLNCEAGRKSRFYGEDGEQCGVEQLALQYYATEGGGWQGVHTESGIWLTIFGLLMWNVLFSDVPNVFRTRFQTGPLDLETDHFYLARMSLIESHLQKIHDGLAEEILITSWELHMGTACRGVNWDRHSLSDLRAAVSCIGGPCLASLCRHLAQDYRSWSSGMPDLLLWRFHGDYRGEAKLVEVKGPRDQLSEQQRAWLLLLMDCGFKAEVCKVSPALTST >EOY05020 pep chromosome:Theobroma_cacao_20110822:4:26867899:26878336:-1 gene:TCM_020135 transcript:EOY05020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding,nucleic acid binding,hydrolases, putative isoform 2 MLTGRESLSRLIGKRRRFLPSLQSVLSSPIQGSLNLSSDKNGSLAETQCSKGKVEMSSSDWVTCPVCGNKVPGEDCILNSHLDGCLSRRTKRKLTQLTLLQLNFGCSQSNVQVSSSESEKLLTSDLYKSPCDSEEKATCGFSKVSPSEGKSHDQWRRFLQTESVKQIDMAGSTENPISDGRAKIMVDVPALSADNEEPRHLMDETVDDISGVPIDTFIVGRKFSDVKYLNLGASISLLRDPDNINDSNAIKVLSASSACCKVLGYLPRELAQYLSPLIEKYCLTFEGCVIAVPRSSLHAVPIQIVCQNMILNGEKGCDNFEVFKHLWQKVLQVVEFAKNRPPNTTKYQENFCLLLQEVLRSSPHLFTDDEKKFIESFTSLSEDSRRLFVRLYTRKGPWFRLSTIMYPEVCNCQQAVKELSANGYICLFEDITELPEDDMKNLLSLLTVSELRDILCTLKKKCNRGSRKQNLIASLLSCYKGGSCPVLPHLILERTDICTRISSEAESLFWRAERLFFLNGEQDLSAFLLVDLGIVKYPTYNCIISEQIFSSKSDLLAYEEAIGVAQIMDQSLDENNFELVLRCIMIAESRISSSSEKLIETSTPELMSTFLSCFSASWVYSKVILLGISFLEREQRYNDAIHLLRRLLNCFTCDRRRGYWTVRLSIDLEHMGCPNESLSVAETGLLDPWIRAGSRMALQRRVLRLGKPPRRWKTPSFPESIKRNITEVHIQGRPLNCEAGRKSRFYGEDGEQCGVEQLALQYYATEGGGWQGVHTESGIWLTIFGLLMWNVLFSDVPNVFRTRFQTGPLDLETDHFYLARMSLIESHLQKIHDGLAEEILITSWELHMGTACRGVNWDRHSLSDLRAAVSCIGGPCLASLCRHLAQDYRSWSSGMPDLLLWRFHGDYRGEAKLVEVKGPRDQLSEQQRAWLLLLMDCGFKAEVCKVSPALTST >EOY05024 pep chromosome:Theobroma_cacao_20110822:4:26868422:26878046:-1 gene:TCM_020135 transcript:EOY05024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding,nucleic acid binding,hydrolases, putative isoform 2 MILNGEKGCDNFEVFKHLWQKVLQVVEFAKNRPPNTTKYQENFCLLLQEVLRSSPHLFTDDEKKFIESFTSLSEDSRRLFVRLYTRKGPWFRLSTIMYPEVCNCQQAVKELSANGYICLFEDITELPEDDMKNLLSLLTVSELRDILCTLKKKCNRGSRKQNLIASLLSCYKGGSCPVLPHLILERTDICTRISSEAESLFWRAERLFFLNGEQDLSAFLLVDLGIVKYPTYNCIISEQIFSSKSDLLAYEEAIGVAQIMDQSLDENNFELVLRCIMIAESRISSSSEKLIETSTPELMSTFLSCFSASWVYSKVILLGISFLEREQRYNDAIHLLRRLLNCFTCDRRRGYWTVRLSIDLEHMGCPNESLSVAETGLLDPWIRAGSRMALQRRVLRLGKPPRRWKTPSFPESIKRNITEVHIQGRPLNCEAGRKSRFYGEDGEQCGVEQLALQYYATEGGGWQGVHTESGIWLTIFGLLMWNVLFSDVPNVFRTRFQTGPLDLETDHFYLARMSLIESHLQKIHDGLAEEILITSWELHMGTACRGVNWDRHSLSDLRAAVSCIGGPCLASLCRHLAQDYRSWSSGMPDLLLWRFHGDYRGEAKLVEVKGPRDQLSEQQRAWLLLLMDCGFKAEVCKVSPALTST >EOY04076 pep chromosome:Theobroma_cacao_20110822:4:21938238:21943144:-1 gene:TCM_019345 transcript:EOY04076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDEIIRERGEDRFWEEVHARQVNEHPSESVGYDWNRLYSEAPPHRRSGTPGPSILKFRFERGEFPLSATKLGSNSQFVHHWDEWVTKVLKNPSYVKLLSFAGILDAIRITFKLNIRKKKKRIDMWPAILARWSTFFHTMITAWGEFTFTLEDVCVLLELPCIGKDDFHFIKLYEEEVCTRDFFFDLLKSLSKTSKVARFSNWIGIFYKKFNAKGIEIGSPEYPNHKYELVALTIFWLARHALPGCPDDGISSAIVPLAIKIIKGIRFPLTPLYLGSLYKRLDLYQLKIVESAGRYKVLTYVDVSFIQMCLWERYNYRAWAWHDRLQRGNVLEVMDVTKEFNPRPYVQPINGFGDPIIYYDLHPLQSERMSSRGMNFCIWVHSSHLPSMIESSSFGGDRNFRSVEVYSPYRVARQFGFDQPAPLDSSSPISFSSCVSSFFMTGLSLRSDKLKSCIILAFDRVGIHTSGWFAYCDESDDESIDVDKAEVEGGPTPFDDFIDVDIVLTPQAIRDEFVLDTKTIPAGEVVPEVTPNVEIIQDVGINTDDVRAIPMTPRVSSSPVLEHRDTSSAFGTQIAYTKQIDKKVNFYGFQVSLEYMAYLEQIFNIEGEFWSTSFLKNINVICLMMEVLGRALVIFHAPLISTSPEELQHMLQDFDDACNFGFKLECFNDCRSKAKIFLNKSSLEDELEDIAVKITSLKKREAEV >EOY05736 pep chromosome:Theobroma_cacao_20110822:4:29625541:29628773:-1 gene:TCM_020662 transcript:EOY05736 gene_biotype:protein_coding transcript_biotype:protein_coding description:20S proteasome beta subunit D1 MECVFGLVGNGFAIVAADTSAVHSILVHKSNEDKIMILDSHKLIAASGESGDRVQFTEYIQKNVALYQFRNGIPLTTAAAANFTRGELATALRKNPYFVNILLAGYDKETGPSLYYIDYIATLHKVDKGAFGYGSYFSLAMMDRHYHSGMTVEEAIDLVDKCIMEIRSRLVVAPPNFVIKIVDKDGAREYAWRESVKDAEVASA >EOY06421 pep chromosome:Theobroma_cacao_20110822:4:31861992:31864344:-1 gene:TCM_021147 transcript:EOY06421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease E inhibitor RraA/Dimethylmenaquinone methyltransferase isoform 1 MQNAGKLAMVLGTRTVLLNSYHRFLSSFTQINSSPLSSLQCQLHTDASSGMWRGSNFPMQQHLVQSTMSRTYFPTEAGTPNANHAGRRMAAIATADACDSNAALLLSGSLRALEPIFKIYGQCRAFSGPIVTLKVFEDNVLVRQLLEIRGEGRVLVIDGGGRTRCALVGGNMVQSAQNMGWAGIVVNGCVRDVDEINACDIGVRALGSNPLKSNKKAVGDKHVLIRIAGTLIHDGEWLYADSDGILIWKTELSV >EOY06420 pep chromosome:Theobroma_cacao_20110822:4:31861995:31864282:-1 gene:TCM_021147 transcript:EOY06420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease E inhibitor RraA/Dimethylmenaquinone methyltransferase isoform 1 MQNAGKLAMVLGTRTVLLNSYHRFLSSFTQINSSPLSSLQCQLHTDASSGMWRGSNFPMQQHLVQSTMSRTYFPTEAGTPNANHAVKQMYMHSLRVSCMGRNRKLVFRSSNVDHGGCKLLYLGQTVPAGRRMAAIATADACDSNAALLLSGSLRALEPIFKIYGQCRAFSGPIVTLKVFEDNVLVRQLLEIRGEGRVLVIDGGGRTRCALVGGNMVQSAQNMGWAGIVVNGCVRDVDEINACDIGVRALGSNPLKSNKKAVGDKHVLIRIAGTLIHDGEWLYADSDGILIWKTELSV >EOY04514 pep chromosome:Theobroma_cacao_20110822:4:24785471:24788494:-1 gene:TCM_019760 transcript:EOY04514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MKVAKQENLRRTQSKFHFKILVMASRGFILLQISLLLTVATTAFSLSPYYYKNICPEALPTIKKLVEAAVYKERRMGASLLRLHFHDCFVNGCDASILLDPTPNIDSEKNAVANNNSARGFEVIDQIKAEVDKVCGHPVVSCADILAVAARDSVVALGGPSWKVRLGRRDSTTASRTQANLDIPSPFMDLPALINNFKNQGLNQRDLVALSGGHTIGFAQCFTFRNRIYNATNIDPAFAKERRVTCPRTGENTNLAPLDPTPAFFDTAYFNNLVKQRGLLISDQELFNGGSTDNLVKTYSLYPEAFWKDFAKSMIKMGNIKPLTGNQGQIRVNCRKVNY >EOY05148 pep chromosome:Theobroma_cacao_20110822:4:27364477:27386797:-1 gene:TCM_020229 transcript:EOY05148 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair-recombination protein (RAD50) isoform 3 MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGSNGAGKTTIIECLKLSCTGELPPNARSGHSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEYKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEVKAYKLKLEHLQTLKDAAYKLRESIAQDQEKTESLKSQIQDLEKNIDNLDAKIHNAEATLKDLRKLEDQKSTKTAERSTLFKEQQKQYAALAEENEDTDEELMEWKTKFDERIMLLENKIQKMESNQQDLNNESSAYRRKLETYIGEIGKLQRDAENLVVLKDERDSAIRGLYVKLNLGSIPNSPFSDEVALNLTNQIEVRLMELDKDLDEKKKSNEMKLKSAWDCYMGANDRWNSTEAQKKAKLEIKSSILKRLEEKKIDRDSLELQISDVNLSRIDEREKNMQIEIDRKKKQLDERNFDANIRQKQHEVYDIDQKIKVLNRERDIIAGDAEDRTLLSIKKSELENKKKQHKKIIDEYKDRIRGVLKGRVPTDKDLKREITKALRSLQMEFDELSTKSSEAEKEVNMLQMKIEEINNNLSKHHKDMDSRKRFLEARLNSLDQQSFTIDSYPNFLETAKEKKDIHKSKFNIADGMRQMFDPFERVARAHHICPCCERPFSAEEEDEFVKKQRVKAASSAEHMKVLAMESSNAESHFQQLDNLRMVYEEYVKIGKETIPLAEKTLHKLTEELDQKSQAHYDVLGVLAQVKTDKDSIETLVEPIETADRIFQEIQSLQAQVEGLEYKFDFRGQGTRTMEEIQLELNGLQSTRDVLHNEVEKLRDEQIFMEKDLSSIQLRWHDIREKKVEVANTLRDFKKAEEELEHLAEEKSQLDLEEKHLAEALSSLFKEKERLLKDYECLKVKLTQEYEQQDKSRSAYQHEAEALSQINNKIKGYYNLNKGEKLKELLEQQSVMESQLLSCDARKQEISAELNKSKDLMRNQDQLRRNIEDNLNYRKTKAEVDKLTREIDLLQERALEIGGISKFEGELRKISEERERLLSESTHALPFNENGGNKQNYKRVVAANIQRTGYRLY >EOY05147 pep chromosome:Theobroma_cacao_20110822:4:27365464:27386797:-1 gene:TCM_020229 transcript:EOY05147 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair-recombination protein (RAD50) isoform 3 MFKQQIFSCVVLLDTDEELMEWKTKFDERIMLLENKIQKMESNQQDLNNESSAYRRKLETYIGEIGKLQRDAENLVVLKDERDSAIRGLYVKLNLGSIPNSPFSDEVALNLTNQIEVRLMELDKDLDEKKKSNEMKLKSAWDCYMGANDRWNSTEAQKKAKLEIKSSILKRLEEKKIDRDSLELQISDVNLSRIDEREKNMQIEIDRKKKQLDERNFDANIRQKQHEVYDIDQKIKVLNRERDIIAGDAEDRTLLSIKKSELENKKKQHKKIIDEYKDRIRGVLKGRVPTDKDLKREITKALRSLQMEFDELSTKSSEAEKEVNMLQMKIEEINNNLSKHHKDMDSRKRFLEARLNSLDQQSFTIDSYPNFLETAKEKKDIHKSKFNIADGMRQMFDPFERVARAHHICPCCERPFSAEEEDEFVKKQRVKAASSAEHMKVLAMESSNAESHFQQLDNLRMVYEEYVKIGKETIPLAEKTLHKLTEELDQKSQAHYDVLGVLAQVKTDKDSIETLVEPIETADRIFQEIQSLQAQVEGLEYKFDFRGQGTRTMEEIQLELNGLQSTRDVLHNEVEKLRDEQIFMEKDLSSIQLRWHDIREKKVEVANTLRDFKKAEEELEHLAEEKSQLDLEEKHLAEALSSLFKEKERLLKDYECLKVKLTQEYEQQDKSRSAYQHEAEALSQINNKIKGYYNLNKGEKLKELLEQQSVMESQLLSCDARKQEISAELNKSKDLMRNQDQLRRNIEDNLNYRKTKAEVDKLTREIDLLQERALEIGGISKFEGELRKISEERERLLSEINRCRGTMSVYQSNISKNKAELKQAQYKDIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHSMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGAGTRSYSYKVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALLRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAERYYRVTKDDHQHSIIEAQE >EOY05146 pep chromosome:Theobroma_cacao_20110822:4:27364821:27386861:-1 gene:TCM_020229 transcript:EOY05146 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair-recombination protein (RAD50) isoform 3 MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGSNGAGKTTIIECLKLSCTGELPPNARSGHSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEYKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEVKAYKLKLEHLQTLKDAAYKLRESIAQDQEKTESLKSQIQDLEKNIDNLDAKIHNAEATLKDLRKLEDQKSTKTAERSTLFKEQQKQYAALAEENEDTDEELMEWKTKFDERIMLLENKIQKMESNQQDLNNESSAYRRKLETYIGEIGKLQRDAENLVVLKDERDSAIRGLYVKLNLGSIPNSPFSDEVALNLTNQIEVRLMELDKDLDEKKKSNEMKLKSAWDCYMGANDRWNSTEAQKKAKLEIKSSILKRLEEKKIDRDSLELQISDVNLSRIDEREKNMQIEIDRKKKQLDERNFDANIRQKQHEVYDIDQKIKVLNRERDIIAGDAEDRTLLSIKKSELENKKKQHKKIIDEYKDRIRGVLKGRVPTDKDLKREITKALRSLQMEFDELSTKSSEAEKEVNMLQMKIEEINNNLSKHHKDMDSRKRFLEARLNSLDQQSFTIDSYPNFLETAKEKKDIHKSKFNIADGMRQMFDPFERVARAHHICPCCERPFSAEEEDEFVKKQRVKAASSAEHMKVLAMESSNAESHFQQLDNLRMVYEEYVKIGKETIPLAEKTLHKLTEELDQKSQAHYDVLGVLAQVKTDKDSIETLVEPIETADRIFQEIQSLQAQVEGLEYKFDFRGQGTRTMEEIQLELNGLQSTRDVLHNEVEKLRDEQIFMEKDLSSIQLRWHDIREKKVEVANTLRDFKKAEEELEHLAEEKSQLDLEEKHLAEALSSLFKEKERLLKDYECLKVKLTQEYEQQDKSRSAYQHEAEALSQINNKIKGYYNLNKGEKLKELLEQQSVMESQLLSCDARKQEISAELNKSKDLMRNQDQLRRNIEDNLNYRKTKAEVDKLTREIDLLQERALEIGGISKFEGELRKISEERERLLSEINRCRGTMSVYQSNISKNKAELKQAQYKDIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHSMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGAGTRSYSYKVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALLRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAERYYRVTKDDHQHSIIEAQEIFD >EOY05632 pep chromosome:Theobroma_cacao_20110822:4:29227841:29230075:1 gene:TCM_046767 transcript:EOY05632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MPAVVASHGDMPEHEGRFTIYVIVCVIIAAFGGLMFGYDIGISGGVTSMDDFLKKFFPVVYERKRHAHENNYCKYNSQSLQLFTSSLYLAALLASFAASRVSSKAGRKRTMQIASIFFLIGVILTAGGLNIEMIIIGRILLGCGVGFANQAVPLFLSELAPPKIRGAINIAFQLFVTIGILVANLINYWTSGIHSHGWRISLALAGVPALVLCVGSFVICETPTSLIERDEVEKGRQVLRKIRGVENVDDEFDSIVHACEMARQEKHPFRKLMKPASRPPLVIAILLQVFQQFTGINAIMFYAPVLFQTMGFRNDAALASAVITGVVNVVSTFFSVYAVDRVGRRILLLEACVQMFLTQSIIGVILLKDLKPTGDNLGRGEATFVVILVCLFVMGFAWSWGPLGWLIPSETFPLETRTAGFAFAVSSNMLFTFIIAQAFLSMLCNMQAGIFFFFAAWIIIMGSFTWFLLPETKGVPIDSMVDEVWKQHWFWSRFMGK >EOY03537 pep chromosome:Theobroma_cacao_20110822:4:17031670:17035493:1 gene:TCM_018652 transcript:EOY03537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin methylesterase 31 isoform 2 MAARVITVSQDGSGDYRTAQEAIDAVPLCNTRRTIIRLSPGIYKQPVYVPKTKNLITLAGLGPELTVLTWNNTATKIEHHQASRVIGTGTFGCGSVIVEGEDFIAENITFENSAPEGSGQAVAIRVTADRCAFYNCRFLGWQDTLYLHYGKQYLKDCYIEGSVDFIFGNSTALLEHCHIHCKSAGFITAQSRKSSQESTGYVFLRCVITGNGGSSYSYLGRPWGPFGRVVFAYTYMDQCIRHVGWNNWGKPENERSACFYEYRCYGPGCCQSKRATWARELLDEEAEQFLMHGFIDPDPNRPWLAQRMALRIPYSA >EOY03538 pep chromosome:Theobroma_cacao_20110822:4:17031695:17035076:1 gene:TCM_018652 transcript:EOY03538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin methylesterase 31 isoform 2 MAARVITVSQDGSGDYRTAQEAIDAVPLCNTRRTIIRLSPGIYKQPVYVPKTKNLITLAGLGPELTVLTWNNTATKIEHHQASRVIGTGTFGCGSVIVEGEDFIAENITFENSAPEGSGQAVAIRVTADRCAFYNCRFLGWQDTLYLHYGKQYLKDCYIEGSVDFIFGNSTALLEHCHIHCKSAGFITAQSRKSSQESTGYVFLRCYGPGCCQS >EOY02883 pep chromosome:Theobroma_cacao_20110822:4:2798777:2800633:1 gene:TCM_017285 transcript:EOY02883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFGLRRRLLTFTPPFLSMEGGLVVVLVDEGVYSEVAQSGRELSYLSIAFEGGSELIFSFVYSSVSPSKAMSNSFKF >EOY05577 pep chromosome:Theobroma_cacao_20110822:4:29057273:29060263:1 gene:TCM_020546 transcript:EOY05577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPWATSTGHKSSIQANQLAPSTFSREQSCMELLVITGGDAGIGRSVCYHSALEAATLAFTYVKGQENRDKDDTLQMLQEEKTCEAKDALAIAAHIRTVGGPKQLGYGANQRSHRGIHQGSGSQNLWRRELQPVWSPLQPASLPVDWVKSLESEAQMERAAQPYEIAPSFSVLGSFQCSSYFTGQFFHLNVVFSCVYECELCMNWGGLEVQVSFVSLTSDPHTFPVAMEKSVENSVILAGKEEKEKLGVNQQLVEGRKELGNGIGLFL >EOY03750 pep chromosome:Theobroma_cacao_20110822:4:19004342:19005014:-1 gene:TCM_018916 transcript:EOY03750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein, putative MLSLTFVPSPNSYAFINGIEIVSKPSSLYMRSDDTQPTLVGYGSSFLLQNTTNLETFYRLNVGGQEISNIEDTGMYRTWSQDEAYIYGVAIRTIQSFLNDSIKYTPRIPAYTAPLNVYATERTMAVDSHINLNYNLT >EOY06901 pep chromosome:Theobroma_cacao_20110822:4:33318303:33325295:1 gene:TCM_021486 transcript:EOY06901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein MANKTLFMLLLSHIILCFSIVFSNLDASLAEDGAPHFVHVCDPARFEMLGLDMDEFAYCDKSLPHHVRAKDLLDRMTLVEKADQMGHGTSVGIPRIGLPPYNWWSEALHGVSNTGPGTHFDSVVPGATSFPTVILTAAAFNQTLWKTIGKVVSTEARAMYNLGRAGLTFWSPNINVVRDPRWGRTLETPGEDPFVVGLYAVNYVRGLQDIEGQDNTSDPNSRPLKVSACCKHFAAYDVENYLGLDRLHFNAKVAEQDMIETFNLPFEMCVKDGDVSSVMCSYNRVNNIPTCADSYLLKKLVREDWNLHGYIVSDCDSIAEIVKSHKWLDDSWEDATAHVLKAGLYLYHLILMRMDLDCGDSYKYLPSAVSQGKVGEADMDKSLNHLYVVLMRLGFFDGIPALASLGKKDICSEENIELAAEAARQGIVLLKNDNETLPLDATEINNLALIGPHANATDAMIGNYAGIPCKIISPLEGFSAIGEEGVSGFAGVNYQMGCAEMKCQNDSMINSAVQAAKKADATLLFVGLDLSIEAEWVDKEDLLLPGYQTQLVTEVAEASKGPVILVIMSATAVDISLAKINPKIKSILWAGYPGEQGGRAIADVVFGNYNPGGRLPLTWYEASYVDNLPMTSMALRPVGDYPGRTYKFFNGSTVYPFGYGLSYTNFTYERKSEEMSIDIKLNRLQHCHNLTYLDENYQQDCPSVSIDDLPCEDEIEFDITVQNAGQRDGSDVLMVYSIPPKEINGTHIKQLVGFERVYLKAKESKKVNFGLNACQSLNIVDVSGYRLLASGLHKIVVGDTALQIPVQVNYNR >EOY05783 pep chromosome:Theobroma_cacao_20110822:4:29775321:29777437:1 gene:TCM_020693 transcript:EOY05783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyllase isoform 2 MSAPTTSATNVFGFGSFTTMLQKVDSVTTSSLPVPPPKPLLIATPCEAGEFPLIIFLHGYLQYNTFYSQLLQHVASHGFIVIAPQQLYTVAGADATDEIKSTAAITSWLSKEVLQGLLPPYVQPNLSKLGLAGHSRGGKVAFALALEKAMTTLKFSALIGVDPVDGMDKGKQTPPPVLTYVPYSFNLDMAVMVIGSGLGEVKRNPLFPPCAPKGVNHEDFFKECRKPACYFVAKDYGHLDMLDDDTKGIRGRSSYCLCKNGKAREPMRRFVGGVVVAFVEAYLNGDHTDLIAIRDGYETAPVELKTVEFLV >EOY05782 pep chromosome:Theobroma_cacao_20110822:4:29775285:29777608:1 gene:TCM_020693 transcript:EOY05782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyllase isoform 2 MSAPTTSATNVFGFGSFTTMLQKVDSVTTSSLPVPPPKPLLIATPCEAGEFPLIIFLHGYLQYNTFYSQLLQHVASHGFIVIAPQLYTVAGADATDEIKSTAAITSWLSKEVLQGLLPPYVQPNLSKLGLAGHSRGGKVAFALALEKAMTTLKFSALIGVDPVDGMDKGKQTPPPVLTYVPYSFNLDMAVMVIGSGLGEVKRNPLFPPCAPKGVNHEDFFKECRKPACYFVAKDYGHLDMLDDDTKGIRGRSSYCLCKNGKAREPMRRFVGGVVVAFVEAYLNGDHTDLIAIRDGYETAPVELKTVEFLV >EOY02607 pep chromosome:Theobroma_cacao_20110822:4:1450011:1451272:-1 gene:TCM_017031 transcript:EOY02607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQCLIKRGRKHIENHLRLCLNISLMCAPSMVSLWKHFGCLRKAGVMGYSLYLVGVQRVCNGRIWDERAVHSDIGVGALELAASSKVVATTLVPNLCT >EOY02873 pep chromosome:Theobroma_cacao_20110822:4:2762618:2766115:1 gene:TCM_017278 transcript:EOY02873 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein MYVVPPPQRSDPGSAGGGSVDLRVYQTWKGSNIFLFQGRFIFGPDVRSLGLTILLIVAPVSIFCVFVAGKLMDDFSHHLGISIMVVAVAFTVYDLVLLLLTSGRDPGIIPRNAHPPEPEGFDGNTDVGAGQTPQLRLPRMKEVEVNGVSVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFAFCWVYIRRIMASEETTIWRAMIKTPASIVLIVYTFISMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNKGVVENFKEIFCSSIPLSKNNFREKVMREPALPTRPGGFMSPNMGKAVDDIEMGRKTVWGDMGAGTDHCEGQLTRDRVNVKEGELGELSPDIRTTVEDTGDRSGIHPRRSSWGRKSGSWEMSPEVLALAARVGEPNRAVGSSSGGGLTSENRQT >EOY02517 pep chromosome:Theobroma_cacao_20110822:4:1185858:1189193:1 gene:TCM_016974 transcript:EOY02517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrase/ferredoxin-like family protein, putative isoform 1 MHFFDYWMNMNFYKLTSKKQKSSSTEPSMAITPPNCPHPISTRAFAPRSISFLIQTQKPTSRPPRMRIAHCSRSSLFSSIISFTRPRLHPSPKPLALACFSSSSMADSNNLSSVSAEDETQFGFTREEMYSSNLAGTVNPYDRHVFLRHKSYTDWVSRVEEDLLPKLLSSALKSRKSDIPDKTLFTVIEGEGSDGDVLIFPDMIKYKGLTDSDVDGFVEDVLVSGKPWASGVQETLTGSYVFVCAHGNRDKRCGVCGPVLIEKLNKEIELRGLNDQVFVSACSHIGGHKYAGNLIIFSPDSEGKITGHWYGYVTPDDVPELLDQHIAKGEIIERLWRGQLGAPTEGGDKADEQKLPNRTNADKNEKHEKSTAQQTKENVGGCCQGANGFTCCMNASSEVSERKQSEETIEEHGKTGVCRLTRWVESWEQRDVLTAAAVVGAVATVAVAYSYYRRSG >EOY02518 pep chromosome:Theobroma_cacao_20110822:4:1185893:1187527:1 gene:TCM_016974 transcript:EOY02518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrase/ferredoxin-like family protein, putative isoform 1 MHFFDYWMNMNFYKLTSKKQKSSSTEPSMAITPPNCPHPISTRAFAPRSISFLIQTQKPTSRPPRMRIAHCSRSSLFSSIISFTRPRLHPSPKPLALACFSSSSMADSNNLSSVSAEDETQFGFTREEMYSSNLAGTVNPYDRHVFLRHKSYTDWVSRVEEDLLPKLLSSALKSRKSDIPDKTLFTVIEGEGSDGDVLIFPDMIKYKGLTDSDVDGFVEDVLVSGKPWASGVQETLTGSYVFVCAHGNRDKRCGVCGPVLIEKLNKEIELRGLNDQVFVSACSHIGGHKYAGNLIIFSPDSEGKITGHW >EOY03949 pep chromosome:Theobroma_cacao_20110822:4:20599076:20607432:1 gene:TCM_019161 transcript:EOY03949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyl pyrophosphate synthase 1 MALFNPYPKSWQFNQSCIITSKMIICHFGNVKVVRGVNSGHEFRKLIFQTTSASRRLAHISQDKLPLVTFQFEEYLVMKGKQVNNSLDEVVPLRHPIKIHEAMRSSLLASGKRVRPILCIASCELVGGDESLAMPIACALEMIHTASLIHDDLPCMDNDDLRRGKPTNHKVFGEATAVLVGDALLSLAFEHIASNTRNISPDRVVRAIAELSTAIGSQGLVAGQMMDLESEGKEVSLTELEYIHVHKTAKLLEACLVCGAKMGGGNTTDIEKVRKYARCMGLLFQVVDDILDVTKSSEELGKTAGKDLVSNKGTYPKLMGIDKAKKFASELMIQAVGELAYFDATRAAPLYHVANYIANREN >EOY03727 pep chromosome:Theobroma_cacao_20110822:4:18483031:18483865:-1 gene:TCM_018856 transcript:EOY03727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNMEVWQSFILLTFIFLCKNQTTWALFLFQLKISCMALNDMFELFHLLREKRPEKNMEATMKKKCLSLAILVLVVCNSMNPSRAISMEPNSSTSIIADDNELEFLMDSHSSRILQSGGSVTGNTGNAGQSAVDCGRGQSYDSCLPNPNRPVVPQNCGVYNRACNR >EOY05297 pep chromosome:Theobroma_cacao_20110822:4:27950844:27959250:1 gene:TCM_020330 transcript:EOY05297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin-domain containing receptor kinase A4.3 MQINRLCFILPADFDEIAPLDHTKSDKPAMKEVKKHPYRECGSQILDFIGGALRRFYDSKWVHFCHHDVPSKQQPSVFHDLEGVQMLEKVGGENPRIFSYAELYIGSNGFSEDEILGSGGFGKVYRAVLPSDGTAVAVKCLAEKGERFEKTFAAELVAVAHLRHRNLVRLRGWCVHEDQLLLVYDYMPNRSLDRVLFRRPENIGAAPLHWERRRKIIGGLAAALFYLHEQLETQIIHRDVKTSNVMLDSHYNARLGDFGLARWLEHELEYQIKTPATKRHQFRLADTTRIGGTIGYLPPESFQKRSVATAKSDVFSFGIVVLEVVSGRRAVDLTFPDEQIILLDWIRRLSDEGRLLHAGDTRLTDGSYRLADMDRLLHIGLLCTLHNPLLRPNMKWIVEVLSGNISGKLPALPSFESHPLYISLSSPSNTSGSMSTTGGRSSTTTSTNTTVTFASSNYVTATEETLYATAEFGINSSSLYHDSSRRPTNFFVVETPREISFKELISATNNFAESNREAELDFGTAYQGFLDNHHHILVKRLGMTQCPALRTRFSDELQNLARLRHRNLVQLRGWCTEQGEMLVVYDYSLNRLLSHLLFHHNNRIGSPILRWQHRYSIIKSLASAILYLHEEWDEQVIHRNITSSAIILDSEMNPRLGSFALAEFLTRNDHGHHAATNKNKSVRGIFGYMSPEYMESGEATPMADVYSFGVVVLEVVSGHMAADFRRPEVLLVKRVHDFETQRRPLEELVDIRLNEEYNDKELLRLTKLGIACTRSDPELRPTMRQIVSILDGNDKIFMEEGQRKEGTEEWKQRNASSLSLVKGIHALGIH >EOY04851 pep chromosome:Theobroma_cacao_20110822:4:26200354:26207157:-1 gene:TCM_020019 transcript:EOY04851 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY domain protein MPPNRLMQLRIGSGGLDVCLVLCKLHLLLMFVLFHPKPLEIGAGSYHLLLLVMAKALFCTLRRASPLLNTIPPHSFNVSSTFLNEVPIFCPLKVIVPPNARTPSWVLRNLSHGTVSLVISQGKPKFETHQVDPPKKEKWKTKKRLKLQRKREKANRKAANKRDPRRLGLTGKKKKFANAEERIKYKLEKAKIKEALLLERLKRYEVPKAQGPVVEPHHLTGEEQFYMRKMAQKRSNYVPVGRRGIFGGVILNMHMHWKKHETVKVICKPCKPGQVHEYADEIARLSGGIPVQIIGDDTIVFYRGKNYVQPEVMSPIDTLSKKRALEKSKYEQSLESVRHFIAIAEKELELYYRHIALYGDPNNRNPISILDTPTKDTRESRKLKMLERESHDLTCEGFSSCTSVAEADSLGEELSETEDDLKGGDLSMRESDSEDNGSYFAKAREGSSSR >EOY06329 pep chromosome:Theobroma_cacao_20110822:4:31580646:31582715:1 gene:TCM_021087 transcript:EOY06329 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP33pep like protein isoform 1 MAIESYVVVHNIAKKHNVGTLARSATAFGVSEIIIVGRRDFNAFGSHGSTSHLRFRHFHSLTDANLYLKKDCDICGVEITDGAVSVTEHPFKKSTAFLLGNEGTGLSAKECEMCDFFVYIPQYGGGTASLNVTVAASIVLHHFGVWAGFSERIRDGNKFVVAEKPLKQVSRKYCTETDDSIIEERKSRRENASNGFFDEGKNGASSANLLDSVFTEE >EOY06328 pep chromosome:Theobroma_cacao_20110822:4:31580662:31582599:1 gene:TCM_021087 transcript:EOY06328 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP33pep like protein isoform 1 MAIESYVVVHNIAKKHNVGTLARSATAFGVSEIIIVGRRDFNAFGSHGSTSHLRFRHFHSLTDANLYLKEKDCDICGVEITDGAVSVTEHPFKKSTAFLLGNEGTGLSAKECEMCDFFVYIPQYGGGTASLNVTVAASIVLHHFGVWAGFSERIRDGNKFVVAEKPLKQVSRKYCTETDDSIIEERKSRRENASNGFFDEGKNGASSANLLDSVFTEE >EOY05552 pep chromosome:Theobroma_cacao_20110822:4:28972657:28974925:1 gene:TCM_020526 transcript:EOY05552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MASPFHLLLFFSFLSCLGNTFPVLGFHSNSVFFPVRKDAATLQYVARISHGTPSGPTNLVIDLGGPFLWMDCDSGHVSSSNRLISSCSVNCSKAKFHDHGSKSAGGCLCNTDCNVFSYNGITGLTAMGELVEDIIAVDSVGRSEVGQIKTVDHFLFSCAPTFLLQGLANGSKGTLGLGKASLSLPSQFSSSIGHPQKFFLCLSSSDGVVLTGSGHPLFGTKITRSLVYAPLITKQHDYFINIQSIKINGKRLAVDKSMLLGDEEGKLGTKLSTIVPYTTVKSSIYAIFSKAYAKAADSMNMTRVAAVAPFELCFSSKGIENTILGPLVPEIDLILQSEMVKWRIQGRNSMVKVSNQVMCLGFLDGGLEQSSSIVIGGLQLEDNLLEFDVGSSMLGFSSSLLLKETTCSTIIQDTKRKQCI >EOY05278 pep chromosome:Theobroma_cacao_20110822:4:27840947:27845788:-1 gene:TCM_020314 transcript:EOY05278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein isoform 1 MHVPLVQTVALYSQVAFVFFPTLSRLMLLMLSIATTSVWPWHLVPVILLALPLLPKLIPVMDLVCTHLLQAGGIPAPTPPATVINNPNVPMTPLTTIPINGDGSTGLNNPGLTPPVPTTDESKASLDYIVATSSMSVMLLLVLSFILHPMWIS >EOY05277 pep chromosome:Theobroma_cacao_20110822:4:27840770:27845638:-1 gene:TCM_020314 transcript:EOY05277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein isoform 1 MQCSKDVLIFCRRRFTPGTSWCVVRSDASNQALQTALDYACAAGADCSPIQSSGLCFLPNTIQAHASYAFNSYYQRMAMAPGACDFAGTATVAKTDPSYGSCMYPSSPSTAGGIPAPTPPATVINNPNVPMTPLTTIPINGDGSTGLNNPGLTPPVPTTDESKASLDYIVATSSMSVMLLLVLSFILHPMWIS >EOY06591 pep chromosome:Theobroma_cacao_20110822:4:32396027:32397340:1 gene:TCM_021266 transcript:EOY06591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19e family protein isoform 2 MVSLKLQKRLAASVLKCGRGKVWLDPNEINEISMANSRQNVRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLFDQFEAKRAKNKASRERKLARREERLAQTGTW >EOY06590 pep chromosome:Theobroma_cacao_20110822:4:32395926:32397704:1 gene:TCM_021266 transcript:EOY06590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19e family protein isoform 2 MVSLKLQKRLAASVLKCGRGKVWLDPNEINEISMANSRQNVRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLFDQFEAKRAKNKASRERKLARREERLAQGPGEKAAPASAPQQAEGVKKSKK >EOY03372 pep chromosome:Theobroma_cacao_20110822:4:13890025:13894704:1 gene:TCM_018361 transcript:EOY03372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MGFGHQSEKVEEKKSSRKKKDGGEEETGCWVKLRFMGSCMSSRSRVDNSMSGRTGTHYAESKPTKEKSRDQPVVPVSSTTTSNAESASSTPKFSEELKVASQLRKFTFIDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNIDGLQGHKEWLAEVDFLGNLLHPNLVKLVGYCIEDDHRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGDKRRFFRILDPRLEGHFSIKGAQKAAQLAAQCLSRDPKARPRMSEVVETLKPLLNLKDMASSSSYFQTMQSDRRSNLNAKNGIRTQAGFVVRNGQPIRSLSSSNGPQASPFHHPHPSPKPKAKEA >EOY03371 pep chromosome:Theobroma_cacao_20110822:4:13889988:13894290:1 gene:TCM_018361 transcript:EOY03371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MGFGHQSEKVEEKKSSRKKKDGGEEETGCWVKLRFMGSCMSSRSRVDNSMSGRTGTHYAESKPTKEKSRDQPVVPVSSTTTSNAESASSTPKFSEELKVASQLRKFTFIDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNIDGLQGHKEWLAEVDFLGNLLHPNLVKLVGYCIEDDHRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGDKRRFFRILDPRLEGHFSIKGAQKAAQLAAQCLSRDPKARPRMSEVVETLKPLLNLKDMASSSSYFQTMQSDRRSNLNAKNGIRTQAGFVVRNGQPIRSLSSSNGPQASPFHHPHPSPKPKAKEA >EOY03894 pep chromosome:Theobroma_cacao_20110822:4:20260048:20260812:1 gene:TCM_019112 transcript:EOY03894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMRLNTDSVTAECIKDKALYFFAISSSYCFTCASVALSLRTGFGMSFSTSFHKFTPLRNAFILMAHTG >EOY04379 pep chromosome:Theobroma_cacao_20110822:4:24102681:24104290:-1 gene:TCM_019645 transcript:EOY04379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin like 42 MEMEAAAAASADTGTDPQCKRSLSRKVSSTSSFRLRCPSLNSLRLRRIFDIFDKNGDGMITVQEINLALSLLGLEADLSELHSTIKSFIEPGNIGLKFEDFVALHQSLDQTFFGLEEDDQEEGVEDTVCDGSEAKMSQEDSDLTEAFKVFDEDGDGFISAQELQVVLGKLGLPEGREIDRVQQMICSVDQNHDGRVDFFEFKHMMQSVIVRSS >EOY04560 pep chromosome:Theobroma_cacao_20110822:4:25002577:25019556:-1 gene:TCM_047047 transcript:EOY04560 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing small subunit of acetolactate synthase protein MAALSTPHLPIHCSKPESDSAWKAICFPARIGRSISHVPRKLVLSPRSVDKKISNNTFSANGPVPSTPTRSKVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTERVLQQVVEQLQKLVNVWKVEDLSNEPQVERELMLIKVNADPKFGAEIKWLVDIFRAQIVDISEHSLTIEVTGDPGKMVAVQRNLSKFGIKEIARTGKIALRREKMGASAPFWRFSAASYPDLQETVAVNALAGARVRPVISEADVSGGGDVYPVEPPDGFMIDQVLDAHWGVVNDEDTSGFQSHTLSMLVNDSPGVLNIVTGVFARRGYNIQSLAVGHAETEGLSRITTVVPGTDESISKLVQQLYKLVDLHEVRDLTYLPFAERELMLIKIAVNAAARRDVLDIANIFRAKAVDVSGHTITLELTGDLDKMVALQRLLEPYGICEVARTGRVALVRESGVDSKYLRGYSFPV >EOY04430 pep chromosome:Theobroma_cacao_20110822:4:24295531:24296182:-1 gene:TCM_019686 transcript:EOY04430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLRLWLLVKPIYFCRLIEAWGGTRNCVAEMGICREDRDRTAASRSSSSSSSPSFGLLLISHSTKMFATQKRVQLSSNFCLWKSLSCQKFHR >EOY06701 pep chromosome:Theobroma_cacao_20110822:4:32702901:32704991:-1 gene:TCM_021348 transcript:EOY06701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase-like, multi-helical MEGKTGEEMGKTLMTETWLRKHRLLYVGATRHPFIRSIRDGNIDLSSFKTWLGQDYVFVRAFVPFVASVLSKACKGSDNSSNDVEVMLGGMAALNDEIAWFKKEASKWGVQLSDIVPQKANQNYCRFLESLMSPEVEYTVAITAFWAIEAVYQESFAHCLEDGTKPPPELQETCQRWGNEGFGQYCNALRKIADRQLEKASDDVITKAEVTFLRVLEHEVDFWNISHGGT >EOY06794 pep chromosome:Theobroma_cacao_20110822:4:32974899:32977356:-1 gene:TCM_021418 transcript:EOY06794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Desiccation-related protein PCC13-62 MQSIRSKSTQRQLNTMAFSSAAIVFISSLVFSLLPPAYPGELFVDHRNVSVPKSDVNLLEFPLNLEYLEAEFFLYGALGYGLDKVDPSLTMGGPSPIGAKKANLDPFTRDVILQFALQEVGHLKAIKKTVKGFPRPQLDLSASSFAKVMDHAFKKPLVPPFDPYANSINYLIASYVIPYVGLTGYVGANPKLQGAISKRLVAGLLGVESGQDAVIRGLLYERAVEKVHPYEVTVAEFTNRISHLRNRLGHAGLKDEGLIVPKILGAEGKISGNVLAGDKFSVSYDRTPEEILRIVYGSGNESVPGGFYPKGGNGRIARFSPGFQEPEPVLAMWCVLVKSIDITE >EOY03470 pep chromosome:Theobroma_cacao_20110822:4:16209483:16212198:1 gene:TCM_018560 transcript:EOY03470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter isoform 1 MEAMPHEESSLTVPLIEDENEERLGSNLEEVESNSAHASAGTTSVFRTCFNGLNALSGVGILSIPYALASGGWLSLIFLFAIATAAFYSGLLIQRCMDADSNIRTYPDIGEHAFGNKGRLIVSVFMYIELYLVATGFLILEGDNLQKLFPNVDFEVAGLTVGGKQGFIIIVALIILPSVWLDNLSLLSYVSASGVLASAVILGSIIWTAAFDGIGFQQRGTLLNWDGIPTAVSLYAFCYCAHPVFPTLYTSMKKRHQFSNVLVICFVLCTLSYASMAIFGYLMFGSDVQSQITLNLPTNKLSSRVAIYTTLVNPISKYALMVTPIVNATKTWFPYHCNKRFLSLFVGTTLMISTVLVALAVPFFGSLMSLVGAFLSITASIIIPCLCYLKISGKYQRFGCEMVAIGLIILMGVAVVIFGTYTSVIDIIGNL >EOY03471 pep chromosome:Theobroma_cacao_20110822:4:16207067:16211815:1 gene:TCM_018560 transcript:EOY03471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter isoform 1 MATEHQDAAPDLNVPLVPDEKHSKLQDLEAPGNHVDIHTQGATSFFKTCFNGLNALSGVGILSIPYALASGGWLSLIFLFAIATAAFYSGLLIQRCMDADSNIRTYPDIGEHAFGNKGRLIVSVFMYIELYLVATGFLILEGDNLQKLFPNVDFEVAGLTVGGKQGFIIIVALIILPSVWLDNLSLLSYVSASGVLASAVILGSIIWTAAFDGIGFQQRGTLLNWDGIPTAVSLYAFCYCAHPVFPTLYTSMKKRHQFSNVLVICFVLCTLSYASMAIFGYLMFGSDVQSQITLNLPTNKLSSRVAIYTTLVNPISKYALMVTPIVNATKTWFPYHCNKRFLSLFVGTTLMISTVLVALAVPFFGSLMSLVGAFLSITASIIIPCLCYLKISGKYQRFGCEMVAIGLIILMGVAVVIFGTYTSVIDIIGNL >EOY03469 pep chromosome:Theobroma_cacao_20110822:4:16206571:16211564:1 gene:TCM_018560 transcript:EOY03469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter isoform 1 MATEHQDAAPDLNVPLVPDEKHSKLQDLEAPGNHVDIHTQGATSFFKTCFNGLNALSGSNLEEVESNSAHASAGTTSVFRTCFNGLNALSGVGILSIPYALASGGWLSLIFLFAIATAAFYSGLLIQRCMDADSNIRTYPDIGEHAFGNKGRLIVSVFMYIELYLVATGFLILEGDNLQKLFPNVDFEVAGLTVGGKQGFIIIVALIILPSVWLDNLSLLSYVSASGVLASAVILGSIIWTAAFDGIGFQQRGTLLNWDGIPTAVSLYAFCYCAHPVFPTLYTSMKKRHQFSNVLVICFVLCTLSYASMAIFGYLMFGSDVQSQITLNLPTNKLSSRVAIYTTLVNPISKYALMVTPIVNATKTWFPYHCNKRFLSLFVGTTLMISTVLVALAVPFFGSLMSLVGAFLSITASIIIPCLCYLKISGKYQRFGCEMVAIGLIILMGVAVVIFGTYTSVIDIIGNL >EOY05361 pep chromosome:Theobroma_cacao_20110822:4:28254539:28258917:-1 gene:TCM_020380 transcript:EOY05361 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein isoform 1 MMNRIVARNFGSNVYRLRIPWRPFSNDAASNLSSSNAGNPANEGEGQQYDIAIVGGGMVGLALACSLASRPLTKHLNVAIIDSNPALGRKHFIKKEDLPDPRVSTVTPATISFFKDIGAWQYVEQHRHAYFDKMQVWDYTGLGYTKYNARDANKEVLGCVVENKVLLSSLLSCVQDTDLQKKIYPSRLTSMSILPNSSSLEEDSTASETALFTYGRLAKLELEDGHSVYAKLVVGADGGKSRVRELAGFRTTGWNYSQNAIICTVEHAVENHCAWQRFLPAGPIALLPIGDKFSNIVWTMNPKESSEFKSMSEDDFLKAVNHALDYGYGPHPTSSLLGNTDIFSWFKGDITMSARDCFEVPPNVAKLASERMVFPLSLRHASDYASKRVVLIGDAAHTVHPLAGQGVNLGFGDASILSSIISEGIAVGTDIGEVSLLKKYEADRKPANVMMMAVLDGFQKAYSVDFGPLNILRAAAFHGAHYISPLKKSIISYASGEHRLPLFS >EOY05360 pep chromosome:Theobroma_cacao_20110822:4:28255556:28258748:-1 gene:TCM_020380 transcript:EOY05360 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein isoform 1 MMNRIVARNFGSNVYRLRIPWRPFSNDAASNLSSSNAGNPANEGEGQQYDIAIVGGGMVGLALACSLASRPLTKHLNVAIIDSNPALGRKHFIKKEDLPDPRVSTVTPATISFFKDIGAWQYVEQHRHAYFDKMQVWDYTGLGYTKYNARDANKEVLGCVVENKVLLSSLLSCVQDTDLQKKIYPSRLTSMSILPNSSSLEEDSTASETALFTYGRLAKLELEDGHSVYAKLVVGADGGKSRVRELAGFRTTGWNYSQNAIICTVEHAVENHCAWQRFLPAGPIALLPIGDKFSNIVWTMNPKESSEFKSMSEDDFLKAVNHALDYGYGPHPTSSLLGNTDIFSWFKGDITMSARDCFEVPPNVAKLASERMVFPLSLRHASDYASKRVVLIGDAAHTVHPLAGQGVNLGFGDASILSSIISEGIAVGTDIGEVSLLKKYEADRKPANVMMMAVLDGFQKAYSVDFGPLNILRAAAFHGAHYISPLKKSIISYASGEHRLPLFS >EOY02238 pep chromosome:Theobroma_cacao_20110822:4:156:15041:1 gene:TCM_016762 transcript:EOY02238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVAGRPPDPPNHPLPESSSPPMMSTPTPSFMADKNGGLQASDNHTQPPTSPRFQKKSFLSIAAGSKPPVIPLNRDPAVYKDRPAAVFYEDEICILAKPFSLCLVGKFTRMPKLQEVRSAFKGIGLSGAYEIKWLDYKHVLIHLSNDQDFNRIWTRQQWFIVGQKMRIFKWSPEFEAEKESPVVPVWISFPNLKAHLYEKSALLLIAKTIGKPLFVDEATAKGSRPSVARVCVEYDCREPPIDQVWIVTQKRETGMVTNGYAQKVEFSQMPDYCEHCCHVGHNETTCLVLGNNSKSSGSMKAQLKGHTKQTLNMSKTQTREKTDGEKEDKAKGIMVEEIRPATKQTDMSKQSIWRVVGKAGKSGAKDASGKEIDVEKRDADSVIPVSNRFQEIMEVESHEQTRNANQGNIDTTYNTLETSNQGEQNNGHPKAPDERQKDTATQHSSGGRKGAELETMSAAPPEGVTRVADFSEQLQIEGSETQNFLHENRMHGQKESIVGERMQKLHERSRSDVEKKELTLHTARGYTEGGILLQEIPQGDSQKNSKNPSFKPPALAETADMQHGSTENGLINSRQSPRMQDVGEADHSLHEAGKQCPDKTSTDREPLTIVPTPGDVDGTKPPGAKRQGTLPSYCLQHQRAQGSTFTGAKERVITPHDGTLRQVSTQNSADDKNKNYLSLPLVRQTGIMQKKSLQMPDIGTGVQNVIIDSPAMVISASVDSLQDVLPLHGSSDASKQKPSHAQADTISGNENNLSKSTDTASNALTAPANDKAVERTRFDDDQTIAENAQPFTVRKPTMRKKAKPALVNLVSAMNIEEADVVLQQVDPTATCTRVETMEVEGSREIFSRAEPGTCMLNMETDSIPSNEATRSYTQQAKSSTGHSDSPMQSHATPESQNLNTHPCVLRRRKSDSSLCSSNNWNSLNASEPIEIQCLHVKLSLPWLPHPVFTSFVYAKCTRIERRELWTSLRIISDGMQAPWLVGGDFNSIVSCDERLNGAIPHDGSMEDLSSTLFDCGLLDAGFEGNSFTWTNNRMFQRLDRVVYNQEWAEFFSSTRVQHLNRDGSDHCPLLISCSNTNQRGPATFRFLHAWTKHHDFISFVEKSWNTPIHAEGLNAFWTKQQRLKRDLKWWNKHIFGDIFKILRLAEVEAEQRELNFQQNPSAANRELMHKAYAKLNRQLSIEELFWQQKSGVKWLVEGERNTKFFHMRMRKKRMRNHIFRIQDQEGNVLEEPHLIQNSGVEFFQNLLKAEQCDISRFDPSITPRIISTTDNEFLCATPSLQEVKEAVFNINKDSVAGPDGFSSLFYQHCWDIIKQDLFEAVLDFFKGSPLPRGITSTTLVLLPKTQNVSQWSEFRPISLCTVLNKIVTKLLANRLSKILPSIISENQSGFVNGRLISDNILLAQELVDKINARSRGGNVVLKLDMAKAYDRLNWEFLYLMMEQFGFNALWINMIKACISNCWFSLLINGSLVGYFKSERGLRQGDSISPSLFILAAEYLSRGLNQLFSRYNSLHYLSGCSMSVSHLAFADDIVIFTNGCHSALQKILVFLQEYEQVSGQQVNHQKSCFITANGCPLSRRQIIAQVTGFQHKTLPVTYLGAPLHKGPKKVFLFDSLISKIRDRISGWENKILSPGSRITLLRSVLSSLPMYLLQVLKPPAIVIEKIERLFNSFLWGDSNEGKRMHWAAWNKINFPCSEGGLDIRNLKDVFDAFTLKLWWRFYTCDSLWTLFLKTKYCLGRIPHYVQPKIHSSSIWKRITGGRDVTIQNTRWKIGRGELFFWHDCWMGDQPLVISFPSFRNDMSFVHKFYKGDSWDVDKLRLFLPVNLIYEILLIPFDRTQQDVAYWTLTSNGEFSTKSAWETIRQQQSHNTLGSLIWHRSIPLSISFFIWRALNNWIPVELRMKGKGIHLASKCVCCNSEESLMHVLWGNSVAKQVWAFFAKFFQIYVLNPKHVSHILWAWFYSGDYVKRGHIRTLLPIFICWFLWLERNDAKYRHSGLNTDRIVWRIMKLLRQLKDGSLLQQWQWKGDTDIAAMWQYNFQLKLRAPPQIVYWRKPSTGEYKLNVDGSSRHGQHAASGGVLRDHTGKLIFGFSENIGTCNSLQAELRALLRGLLLCKERHIEKLWIEMDALAAIQLLPHSQKGSHDIRYLLESIRKCLNSISYRISHIHREGNQVADFLSNEGHNHQNLHVFTEAQGKLHGMLKLDRLNLPYVRF >EOY04895 pep chromosome:Theobroma_cacao_20110822:4:26360062:26360639:1 gene:TCM_020049 transcript:EOY04895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein MCATQSQHVLRLVLSCRNITAHVTQPGTSYIVAMASSTEQEFLIQNRSKLDHFPRSHHYWDAKVASRVGEKLGFRLKGIGVSNIAVDVNEEISRPIHRRRLVLPLFDSLRRVGVEIDGADRLAEIGPSMG >EOY02738 pep chromosome:Theobroma_cacao_20110822:4:1982985:1983840:-1 gene:TCM_017128 transcript:EOY02738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative MLMTKQNEMRVHTEHTVPGLIHLGNSSYICKDLFVLLQSKHKQTIKLVYNKKRDHSILGFTPNRLFIATKVYTKLSLPHFTLHNEVLQHIEPERPTHPHLRTHLRFHQHDEVLQEFGRLGLVNQGR >EOY04609 pep chromosome:Theobroma_cacao_20110822:4:25205951:25212044:-1 gene:TCM_019830 transcript:EOY04609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 family protein isoform 1 MPTTTVTDLLRLLLLLLLSTIPTTTSFHYHRHLLHQPFFPQTSLPPTYPPSSSPFLSPQPQPHHNQQQQEQQPKYPFSTTPPSTPQNPFFPSFPSPPPPPPPSTLPTFPANISSLLIPRSPSPSSHRHHLLLISLSSSLLLAAIILSIAALTLFLRHRSHQNTSSDDKASRSDSLRLFPPNISPSDASQKPPPPQPPLQPPRYVSTNRSSEFLYLGTLVNSRVDPEKTTHSSNGGIRLGVTSSPYQKLGSPELNPLPPLPKVQTFQSGEQFLQNEQTGSFENNVEDEEEEFFSPRGSSGRRESPPRGPPARIGSSSRREFRGENFGSRSFNSRTASYPYSNSCSPTNSFLNSSPLSQRSKSPDTVVPIYTVRIKTPSSTSASSTRLSSSSSERDSPDRGSSLSGQNKESPSRIVLKKLPPPPPPLPPPRFWEVPVAVKAVSEANPGGPPVLVAPSRPLVLQNLAVDEHLKKNEGIERSEETPKPKLKPLHWDKVRASSDRAMVWDQIKASSFQLNEEMIETLFMVNNSNLATKDHGRRQILPSVNQENRVLDPKKSQNIAILLRALNVTIDEVCEALMEGNSDTLGTELLESLLKMAPTKEEEHKLKDFKDESPFKLGPAEKFLKAVLDIPFAFKRVDAMLYIANFDSEIEYLKRSFETLEAACGELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRAEGSRLCGANQNLKAEKIQRSDIQDDVEFRKLGLQVVSGLSGELTNVKKAAAMDSDVLSIEVAKLASGISKIREVIKLNEEIALKDSRRKFSESMNEFLKKVEEEIVRIQAQERVALSMVKEITEYFHGNSAKEEAHPFRIFMVVRDFLSILDQVCKEVAKVNERTIYSSVRPLPNPTHLPVFPGLNVRQHYGSSDDESSSSSS >EOY04610 pep chromosome:Theobroma_cacao_20110822:4:25205613:25211549:-1 gene:TCM_019830 transcript:EOY04610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 family protein isoform 1 MPTTTVTDLLRLLLLLLLSTIPTTTSFHYHRHLLHQPFFPQTSLPPTYPPSSSPFLSPQPQPHHNQQQQEQQPKYPFSTTPPSTPQNPFFPSFPSPPPPPPPSTLPTFPANISSLLIPRSPSPSSHRHHLLLISLSSSLLLAAIILSIAALTLFLRHRSHQNTSSDDKASRSDSLRLFPPNISPSDASQKPPPPQPPLQPPRYVSTNRSSEFLYLGTLVNSRVDPEKTTHSSNGGIRLGVTSSPYQKLGSPELNPLPPLPKVQTFQSGEQFLQNEQTGSFENNVEDEEEEFFSPRGSSGRRESPPRGPPARIGSSSRREFRGENFGSRSFNSRTASYPYSNSCSPTNSFLNSSPLSQRSKSPDTVVPIYTVRIKTPSSTSASSTRLSSSSSERDSPDRGSSLSGQNKESPSRIVLKKLPPPPPPLPPPRFWEVPVAVKAVSEANPGGPPVLVAPSRPLVLQNLAVDEHLKKNEGIERSEETPKPKLKPLHWDKVRASSDRAMVWDQIKASSFQLNEEMIETLFMVNNSNLATKDHGRRQILPSVNQENRVLDPKKSQNIAILLRALNVTIDEVCEALMEGNSDTLGTELLESLLKMAPTKEEEHKLKDFKDESPFKLGPAEKFLKAVLDIPFAFKRVDAMLYIANFDSEIEYLKRSFETLEAACGELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRAEGSRLCGANQNLKAEKIQRSDIQDDVEFRKLGLQVVSGLSGELTNVKKAAAMDSDVLSIEVAKLASGISKIREVIKLNEEIALKDSRRKFSESMNEFLKKVEEEIVRIQAQERVALSMVKEITEYFHGNSAKEEAHPFRIFMVVRDFLSILDQVCKEVAKVNERTIYSSVRPLPNPTHLPVFPGLNVRQHYGSSDDESSSSSS >EOY05542 pep chromosome:Theobroma_cacao_20110822:4:28955926:28956967:-1 gene:TCM_020520 transcript:EOY05542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 1 MSHIAVERNRRRQMNEHLKVLRSLTPCFYIKRGDQASIIGGVIEFIKELHQVLQALESKKRRKSLSPSPGPSPRPLQQATQPDHSPIGFESVGELGACCNSSLADVEARISGSNVILKIISKRIPGQILKIIAVLEKFSFEVLHLNISSMEDTVLYSFVIKISLEIPEGTLAAAFQVKKRNIA >EOY05541 pep chromosome:Theobroma_cacao_20110822:4:28955094:28956967:-1 gene:TCM_020520 transcript:EOY05541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 1 MSHIAVERNRRRQMNEHLKVLRSLTPCFYIKRGDQASIIGGVIEFIKELHQVLQALESKKRRKSLSPSPGPSPRPLQQATQPDHSPIGFESVGELGACCNSSLADVEARISGSNVILKIISKRIPGQILKIIAVLEKFSFEVLHLNISSMEDTVLYSFVIKIGLECQLSLEELAVEVQQSFFSEPVFLNEI >EOY03339 pep chromosome:Theobroma_cacao_20110822:4:13410968:13413556:-1 gene:TCM_018306 transcript:EOY03339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLSRKLPQILQINDRHPLLVVVADVVELAFWCSSCGVSWVSIRGSGLGVVYVFTHGLCSKIEMRTVETKIAKDGSKRATIESHKDFRDVIRRMVSHLNSKVRGKIISPLHCVLLVIGGIEVNAEKPAIVPTPIIEQSIKEARKARGMGVGNNTQGRASGSGAHENSDFQGKKFLAASKINLATTRIVQIELGGFLLQ >EOY05614 pep chromosome:Theobroma_cacao_20110822:4:29159785:29166092:-1 gene:TCM_020571 transcript:EOY05614 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat protein interacting with ABF2 isoform 1 QDQGLPERKGQKRKLEEENQEDRETSLPTGDARRALLAEVTAQVSVLDSTFSWREPDRAAAKRATHVLAELAKNEEVVNVIVEGGAVPALVKHLQAPPCSDGDRSPKPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALSHLVNLLRRHKDGSTSRAVISVIRRAADAITNLAHENSSIKTRVRMEGGIPPLVELLDFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPSLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLAAGALQPVIGLLTSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIDMLHSPDVQLKEMSAFALGRLAQDTHNQAGIAHNGGLVPLLKLLDSKNGSLQHNAAFALYGLADNEDNVSDFIMVGGVQRLQDGEFIVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKPVQRRVALALAHLCSPEDQRTIFIDNNGLELLLGLLGSTSPKQQLDGAVALYKLANKAMSLSPMDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYRVSSLLRHVNVSVGHSSSSQEWPRNPFTLGVGMGVIVQVSF >EOY05613 pep chromosome:Theobroma_cacao_20110822:4:29158845:29166092:-1 gene:TCM_020571 transcript:EOY05613 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat protein interacting with ABF2 isoform 1 QDQGLPERKGQKRKLEEENQEDRETSLPTGDARRALLAEVTAQVSVLDSTFSWREPDRAAAKRATHVLAELAKNEEVVNVIVEGGAVPALVKHLQAPPCSDGDRSPKPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALSHLVNLLRRHKDGSTSRAVISVIRRAADAITNLAHENSSIKTRVRMEGGIPPLVELLDFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPSLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLAAGALQPVIGLLTSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIDMLHSPDVQLKEMSAFALGRLAQDTHNQAGIAHNGGLVPLLKLLDSKNGSLQHNAAFALYGLADNEDNVSDFIMVGGVQRLQDGEFIVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKPVQRRVALALAHLCSPEDQRTIFIDNNGLELLLGLLGSTSPKQQLDGAVALYKLANKAMSLSPMDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYRSLPFRASIIYLISFLYRFIYTGSVDVTLDIAQDLLRAADQYLLEGLKRLCEYTIAQDISLENVSSMYELSEAFHAISLRHTCILFILENFDKLSTRPGHLHLIQRIIPEIRNYFAKALTKPNPHNLRL >EOY05612 pep chromosome:Theobroma_cacao_20110822:4:29158408:29166508:-1 gene:TCM_020571 transcript:EOY05612 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat protein interacting with ABF2 isoform 1 MELQRSQDQGLPERKGQKRKLEEENQEDRETSLPTGDARRALLAEVTAQVSVLDSTFSWREPDRAAAKRATHVLAELAKNEEVVNVIVEGGAVPALVKHLQAPPCSDGDRSPKPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALSHLVNLLRRHKDGSTSRAVISVIRRAADAITNLAHENSSIKTRVRMEGGIPPLVELLDFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPSLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLAAGALQPVIGLLTSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIDMLHSPDVQLKEMSAFALGRLAQDTHNQAGIAHNGGLVPLLKLLDSKNGSLQHNAAFALYGLADNEDNVSDFIMVGGVQRLQDGEFIVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKPVQRRVALALAHLCSPEDQRTIFIDNNGLELLLGLLGSTSPKQQLDGAVALYKLANKAMSLSPMDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRFIYTGSVDVTLDIAQDLLRAADQYLLEGLKRLCEYTIAQDISLENVSSMYELSEAFHAISLRHTCILFILENFDKLSTRPGHLHLIQRIIPEIRNYFAKALTKPNPHNLRL >EOY04998 pep chromosome:Theobroma_cacao_20110822:4:26763181:26766589:1 gene:TCM_020121 transcript:EOY04998 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 94, putative MVPMGFKFCPTDEELIQILIQKVSGNVMAPFDFIVERNIYKLEPQDLQWIQSAALNNNERYYYCKRESDSREVSGRGWWKATSHVKTISANGRVVGYKRPLTFHRFRDNGRKRKGAIKTDWIMHEYALHSIPTDWRLCKIKYKGKERLEEDMENIRNSSCPMSLEATGGCISSINPMQVDQFACKEQQPQPQQFLPPLTFINNEYENYFGSNSNSNSNVRFEISIGEQQQQQEMEPISSFDPSWMPLMATPSHIASQQHNQSAETREDQSPFPDLWSSWENWH >EOY05842 pep chromosome:Theobroma_cacao_20110822:4:29975658:29980143:1 gene:TCM_020739 transcript:EOY05842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase isozyme A, chloroplastic MSQSLKFFTPSRSPYLTISKLPATSYTRFPVINLPSKKHSITITKSLSSDLDATSSQVLASGNGQSGVSGVLSADNVGSVLSHAPSDSGAAAIEVDAVTEAELKENGFRSTRRTKLVCTIGPATCGFEQLEALAVGGMNVARINMCHGTREWHQRVIERVRRLNEEKGFAVAIMMDTEGSEIHMGDLGGAASAKAEDGEIWTFSVRAFGSPRPERTINVNYDGFAEDVKVGDELLVDGGMVRFEVIEKIGPDVKCRCTDPGLLLPRANLTFWRDGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAISFVKSAEVINHLKSYIAARSRGSDIAVIAKIESIDSLKNLEDIIQASDGAMVARGDLGAQIPLEQVPSAQQRIVQLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQFPEKALAVLRSVSVRIEKWWREEKRHEAMELPDVGTSFADSISEEICNSAAKMANNLEVDALFVYTKTGHMASLLSRCRPDCPIFAFTTTTSVRRRLNLQWGLIPFRLSFSDDMESNLNKTFSLLKARGMIKSGDLVIAVSDMLQSIQVMNVP >EOY04018 pep chromosome:Theobroma_cacao_20110822:4:21506732:21509188:1 gene:TCM_019278 transcript:EOY04018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MQDPRMPPSEEDMNPKLWKKKSSTNKAPSFPLERSDIKGAQQVLGSLPLRTGQKTSKRNLKKEISPIFQQPERSNSDSIPDSSTSGNEYRALRRKYLLLEEESFALGKELKDVVDEVKVLEDEKFALLDQLVVLEGLVDPSEMQSQGAFLEMLLSENDGKLLANGMMCLALSRRAPKEKYWLYCAAGT >EOY04019 pep chromosome:Theobroma_cacao_20110822:4:21507050:21508565:1 gene:TCM_019278 transcript:EOY04019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MQDPRMPPSEEDMNPKLWKKKSSTNKAPSFPLERSDIKGAQQVLGSLPLRTGQKTSKRNLKKEISPIFQQPERSNSDSIPDSSTSGNEYRALRRKYLLLEEESFALGKELKDVVDEVKVLEDEKFALLDQLVVLEGLVDPSEMQSQGV >EOY04020 pep chromosome:Theobroma_cacao_20110822:4:21506521:21508567:1 gene:TCM_019278 transcript:EOY04020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MQDPRMPPSEEDMNPKLWKKKSSTNKAPSFPLERSDIKGAQQVLGSLPLRTGQKTSKRNLKKEISPIFQQPERSNSDSIPDSSTSGNEYRALRRKYLLLEEESFALGKELKDVVDEVKVLEDEKFALLDQLVVLEGLVDPSEMQSQGV >EOY06798 pep chromosome:Theobroma_cacao_20110822:4:32981629:32986470:-1 gene:TCM_021420 transcript:EOY06798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 1 MARKGNQQKNGKKRGSDAGFPVSDAKGRGKASEVKVFPGEELPNGNPSGTPFTESVSKGHQVGTENNYRQNSERIVSTEKHGDAAEGLGQSISSGSSSGDCIENALPKEASSEREQNKISPDRYLHPKHKRAVWGCFPNGFHLKDAMENVNFSNNVVVRNVRASAVSTLKVVNQWLQRQRPFFVSLTTNIYNARDYVKVKIEHLYPVVLKWLMHFGNIMLLLSIVWLDCTLRGIDSFLRMGTTSLFSVIWCSMFSVIAMVGMLKFLMVLAMAALTAVFVGFTLAMLVVAVFGTIFLWFYGSFWTTLLVIFLGGLAFSFSHERLALLITTIYSVYCAWTYAGWLGLLLTLNLSFISSDALIYYLKNNINQQARPDGNPEETNGMHGQPGFFSDESVHASFSENVPGFSADHGPGLASTSGVDTEITSEDEVARLLNCTDHYSALGLSRYQNVDVNVLKREYRKKAMLVHPDKNMGNEKAAEAFKKLQNAYEVLLDSLKRKAYDDELRREELLNYFRRFQNASQKNGGHGFFSSGFAWSEADGEELFGDSRRIACKKCSNSHVWIHTKKSKSQARWCQECKDFHQAKDGDGWVEQSSQPFFFGLLQKVDAPSAYVCADSKIYNATEWYICQGMRCPPNTH >EOY06797 pep chromosome:Theobroma_cacao_20110822:4:32980661:32986971:-1 gene:TCM_021420 transcript:EOY06797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 1 MARKGNQQKNGKKRGSDAGFPVSDAKGRGKASEVKVFPGEELPNGNPSGTPFTESVSKGHQVGTENNYRQNSERIVSTEKHGDAAEGLGQSISSGSSSGDCIENALPKEASSEREQNKISPDRYLHPKHKRAVWGCFPNGFHLKDAMENVNFSNNVVVRNVRASAVSTLKVVNQWLQRQRPFFVSLTTNIYNARDYVKVKIEHLYPVVLKWLMHFGNIMLLLSIVWLDCTLRGIDSFLRMGTTSLFSVIWCSMFSVIAMVGMLKFLMVLAMAALTAVFVGFTLAMLVVAVFGTIFLWFYGSFWTTLLVIFLGGLAFSFSHERLALLITTIYSVYCAWTYAGWLGLLLTLNLSFISSDALIYYLKNNINQQARPDGNPEETNGMHGQPGFFSDESVHASFSENVPGFSADHGPGLASTSGVDTEITSEDEVARLLNCTDHYSALGLSRYQNVDVNVLKREYRKKAMLVHPDKNMGNEKAAEAFKKLQNAYEVLLDSLKRKAYDDELRREELLNYFRRFQNASQKNGGHGFFSSGFAWSEADGEELFGDSRRIACKKCSNSHVWIHTKKSKSQARWCQECKDFHQAKDGDGWVEQSSQPFFFGLLQKVDAPSAYVCADSKIYNATEWYICQGMRCPPNTHKPSFHVNTSVTSKHGTGKGSNSGQRAGRIPTPNLEETMTEEEFFEWLQNAVQAGMFDNVSGSSSAESPFAKAGSGSKSSGSNMSGGSGNKRRKKGKKQW >EOY06796 pep chromosome:Theobroma_cacao_20110822:4:32978966:32986922:-1 gene:TCM_021420 transcript:EOY06796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 1 MARKGNQQKNGKKRGSDAGFPVSDAKGRGKASEVKVFPGEELPNGNPSGTPFTESVSKGHQVGTENNYRQNSERIVSTEKHGDAAEGLGQSISSGSSSGDCIENALPKEASSEREQNKISPDRYLHPKHKRAVWGCFPNGFHLKDAMENVNFSNNVVVRNVRASAVSTLKVVNQWLQRQRPFFVSLTTNIYNARDYVKVKIEHLYPVVLKWLMHFGNIMLLLSIVWLDCTLRGIDSFLRMGTTSLFSVIWCSMFSVIAMVGMLKFLMVLAMAALTAVFVGFTLAMLVVAVFGTIFLWFYGSFWTTLLVIFLGGLAFSFSHERLALLITTIYSVYCAWTYAGWLGLLLTLNLSFISSDALIYYLKNNINQQARPDGNPEETNGMHGQPGFFSDESVHASFSENVPGFSADHGPGLASTSGVDTEITSEDEVARLLNCTDHYSALGLSRYQNVDVNVLKREYRKKAMLVHPDKNMGNEKAAEAFKKLQNAYEVLLDSLKRKAYDDELRREELLNYFRRFQNASQKNGGHGFFSSGFAWSEADGEELFGDSRRIACKKCSNSHVWIHTKKSKSQARWCQECKDFHQAKDGDGWVEQSSQPFFFGLLQKVDAPSAYVCADSKIYNATEWYICQGMRCPPNTHKPSFHVNTSVTSKHGTGKGSNSGQRAGRIPTPNLEETMTEEEFFEWLQNAVQAGMFDNVSGSSSAESPFAKAGSGSKSSGSNMSGGSGNKRRKKGKKQW >EOY03959 pep chromosome:Theobroma_cacao_20110822:4:20710119:20712277:-1 gene:TCM_019179 transcript:EOY03959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSNFWCLCRQTCSFIMSLIVWSKGFSVKLKGYKPSSDVESVRCMNLISELFKPMKSSKDFSLLKKKKNLMIFTLGDSDAANEVKFYTDAHLLLLKIVTIF >EOY04398 pep chromosome:Theobroma_cacao_20110822:4:24183451:24187801:1 gene:TCM_019662 transcript:EOY04398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 1 MVSDNSSVTSSNRSPSSKFGNSFTTRIFSDVAGDITISVDGESFLLHKFPLVSRSGKIRKMIADGKDSNISKLELLDLPGGPQTFELAMKFCYGMNFEITTTNVAPLRCAAEYLEMTEDYREENLMARTEIYLNEVVVLSLEKSVEVLSTCETLPPIAEEVGIPNRCVEAIAMNACKEQLVSGLSRLDCASEATELKSGCLEWWIEDLSVLRIDYYQKVICAMGRVGVRPDSIVESLMHYAQASLKGVGKYQSWNPVRMKPSPGIGENDQKTIVETLVNLLPAEKSSSIPLSFLFGMLRMGIMVEATMACRLELERRIAFRLEMVSLDDLLIPSLLTGDSLFDVDTVHRILVNFLQLIEDEESEDCGYESEGLGSPSHGSLLKVGRLIDTYLAEIAPDPYLSLQKFVAMIEILPDYARVIDDGLYRAIDIYLKAHPMLSDQECKKLCKFIDCQKLSQEAGNHAAQNDRLPVQMAVRVLYFEQLRLKNALSGNSGDGFLSQKISSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMFMKRGMMDKSGNGKTFFTSLSKGIGRIGIFSGPAGGKRQKSSRKSRGSDGKTGRSRSGWLKQCSAWT >EOY04399 pep chromosome:Theobroma_cacao_20110822:4:24183080:24188634:1 gene:TCM_019662 transcript:EOY04399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 1 MVSDNSSVTSSNRSPSSKFGNSFTTRIFSDVAGDITISVDGESFLLHKFPLVSRSGKIRKMIADGKDSNISKLELLDLPGGPQTFELAMKFCYGMNFEITTTNVAPLRCAAEYLEMTEDYREENLMARTEIYLNEVVVLSLEKSVEVLSTCETLPPIAEEVGIPNRCVEAIAMNACKEQLVSGLSRLDCASEATELKSGCLEWWIEDLSVLRIDYYQKVICAMGRVGVRPDSIVESLMHYAQASLKGVGKYQSWNPVRMKPSPGIGENDQKTIVETLVNLLPAEKSSSIPLSFLFGMLRMGIMVEATMACRLELERRIAFRLEMVSLDDLLIPSLLTGDSLFDVDTVHRILVNFLQLIEDEESEDCGYESEGLGSPSHGSLLKVGRLIDTYLAEIAPDPYLSLQKFVAMIEILPDYARVIDDGLYRAIDIYLKAHPMLSDQECKKLCKFIDCQKLSQEAGNHAAQNDRLPVQMAVRVLYFEQLRLKNALSGNSGDGFLSQKISSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMFMKRGMMDKSGNGKTFFTSLSKGIGRIGIFSGPAGGKRQKSSRKSRGSDGKTGRSRRKGEVKSMFGVGLERGGGTKRPFYRDYLAIWDTV >EOY06179 pep chromosome:Theobroma_cacao_20110822:4:31207813:31210026:1 gene:TCM_020990 transcript:EOY06179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MNLLRDPTWKPESNSDPRIIHAQALKFPRIDRSVFNNLITLYSKNNFLASSLRVFNQIPSPNIVSWTSLISAYSNSSLSLTFFLSMLRHPILPNQRTLASLFKTCVSLPRCLFFGLSLHALSFKLSLNTQPFSGSALINFYSTHRLPVDARKVFDEMPERDEVCYAAVIVGLARNSKPDESLSLFAKMKSCNVGSTMYSVSGALRAVADLAALEQSRMIHGHAVVTGFDKNVIVGSALVDGYGKAGLVLDARRVFDENIEVMNIVGWNALMAGYAQHGDKNTVIELFRLMESLGFVPDEYSFLALLSSFYNAGLVGEAEMWLERMKLEYGIEPALEHYTCLVGALGRAGQLEYAERIAMTMPVKPDAAVWRSLLSSCAHHGAADMALRMARRLLELDPNGDSAYVIAANVLSVAGRWDEVAEVRKLMKDRRVKKEGGKSWLEVKGNVHVFWAGDRKHERTEEIYAKLAELMEEIEKLGYKPVWDEMLHEVGKGEKREALWYHSEKLALAYGIVSDAAPPGKPLRIIKNLRICKDCHEAFKYISRVIEKEIIVRDVNRYHNFSNGSCTCRDFW >EOY02473 pep chromosome:Theobroma_cacao_20110822:4:984096:985952:1 gene:TCM_016939 transcript:EOY02473 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein MISCGVPIYQFSVPKSSHIYGKFNPNKSNSRWGKKWTEIRCCNQRVGEKARAKKNYYELLGVSADSNPQEIKEAYRRLQKKYHPDIAGQEGHEYTLMLNEAYQVLIKDDLRRNYDASIGPIKVQFGNTVSGYSSWKGPLRPQALFVDGNACIGCRECVHHASNTFVMDEALGCARVRVQYGDDDQKIDVSVDSCPVNCIHWVDREELAVLEFLIQPQPKEGYGVYGGGWERPANVFVAAKAFSKQLKQQAEAEGQQRNGRVAVDEETPAQAEARANASMKIRMERFSRIWNNLKSFFGSNI >EOY02301 pep chromosome:Theobroma_cacao_20110822:4:351102:354169:-1 gene:TCM_016820 transcript:EOY02301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein, putative isoform 2 MLPILSGPLMLMIKPLANLFLALLSNQLLQEETHPTGILHHVDCNKYLEVVGSTSLFADPRMKHINLANQVETQMMPFNCQSYIVEFDGASKGNPGPAGAGAVLRAADGRVVCRLREGVGIATNNVAEYRGAILGMKCALKKGFKHIRVQGDSNLVCMQVQGRWKIKSQNLVELNKQAMELKDKFVSFQINHVDREFNSEADALANQGVNLMSGQVQEDWIM >EOY02303 pep chromosome:Theobroma_cacao_20110822:4:351842:354050:-1 gene:TCM_016820 transcript:EOY02303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein, putative isoform 2 MEGEKDAFYVVKKGDVIGIYRSLRDLQAQTVNDPSLIVYKGYGLTEQAEAYLGSCGLKNAAYSIRASDVNDKTFGKLVPCPPQQPTSSRGNTSNRDPSPRRLQQILGKEVVGSTSLFADPRMKHINLANQVETQMMPFNCQSYIVEFDGASKGNPGPAGAGAVLRAADGRVVCRLREGVGIATNNVAEYRGAILGMKCALKKGFKHIRVQGDSNLVCMQVQGRWKIKSQNLVELNKQAMELKDKFVSFQINHVDRVQAYNKFLTYELSTKYMLLFRLVVMKNDEAIRTSWVYMMNRGFFYDLFLS >EOY02304 pep chromosome:Theobroma_cacao_20110822:4:351842:354050:-1 gene:TCM_016820 transcript:EOY02304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein, putative isoform 2 MEGEKDAFYVVKKGDVIGIYRSLRDLQAQTVNDPSLIVYKGYGLTEQAEAYLGSCGLKNAAYSIRASDVNDKTFGKLVPCPPQQPTSSRGNTSNRDPSPRRLQQILGKEVVGSTSLFADPRMKHINLANQVETQMMPFNCQSYIVEFDGASKGNPGPAGAGAVLRAADGRVVCRLREGVGIATNNVAEYRGAILGMKCALKKGFKHIRVQGDSNLVCMQVQGRWKIKSQNLVELNKQAMELKDKFVSFQINHVDRVQAYNKFLTYELSTKYMLLFRLVVMKNDEAIRTSWVYMMNRGFFYDLFLS >EOY02300 pep chromosome:Theobroma_cacao_20110822:4:351102:354163:-1 gene:TCM_016820 transcript:EOY02300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein, putative isoform 2 MEGEKDAFYVVKKGDVIGIYRSLRDLQAQTVNDPSLIVYKGYGLTEQAEAYLGSCGLKNAAYSIRASDVNDKTFGKLVPCPPQQPTSSRGNTSNRDPSPRRLQQILGKEVVGSTSLFADPRMKHINLANQVETQMMPFNCQSYIVEFDGASKGNPGPAGAGAVLRAADGRVVCRLREGVGIATNNVAEYRGAILGMKCALKKGFKHIRVQGDSNLVCMQVQGRWKIKSQNLVELNKQAMELKDKFVSFQINHVDREFNSEADALANQGVNLMSVVKFKRIGSCSKSKVQGAPRKMH >EOY02302 pep chromosome:Theobroma_cacao_20110822:4:351412:354192:-1 gene:TCM_016820 transcript:EOY02302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein, putative isoform 2 MEGEKDAFYVVKKGDVIGIYRSLRDLQAQTVNDPSLIVYKGYGLTEQAEAYLGSCGLKNAAYSIRASDVNDKTFGKLVPCPPQQPTSSRGNTSNRDPSPRRLQQILGKEVVGSTSLFADPRMKHINLANQVETQMMPFNCQSYIVEFDGASKGNPGPAGAGAVLRAADGRVVCRLREGVGIATNNVAEYRGAILGMKCALKKGFKHIRVQGDSNLVCMQVQGRWKIKSQNLVELNKQAMELKDKFVSFQINHVDREFNSEADALANQGVNLMSKGLQAPVNLWNNYLWLSKVCDTLVLVLA >EOY02816 pep chromosome:Theobroma_cacao_20110822:4:2365676:2367162:1 gene:TCM_017213 transcript:EOY02816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSMRLDNSNCHGDMDSDLIKLNSWPLLKVVKCVNSRRVDNALLAAGGNPTSWAKDDNKVHWDLRNAMNNLEFYQKNKIYAIQQLVEKFCSDPAWCSVFGWEFADFCL >EOY04840 pep chromosome:Theobroma_cacao_20110822:4:26155840:26158996:-1 gene:TCM_020010 transcript:EOY04840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorsulfuron/imidazolinone resistant 1 MAAATANTTFPKPSPLSSSFKSSTRHPKSTLPFSTTSQKLTPSRSLLISCALSRSSSKPGSAADIVTPTSAPSHEFASRYAPDEPRKGADILVEALEREGVKDVFAYPGGASLEIHQALTRSQIIRNVLPRHEQGGVFAAEGYARSSGLPGVCIATSGPGATNLVSGLADAMLDSIPLVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRIVSEAFFLATSGRPGPVLIDVPKDIQQQLAVPNWNQPIRLPGYMSRLPKAPEEAHLEQIVRLVSESKKPVLYVGGGCLNSSKELGRFVELTGIPVASTLMGLGTFPSSDELSLQMLGMHGTVYANYAVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSVCSDVKLALKGINKILESKGAKLKLDYSAWRQELDEQKLKYPLSYKTFGEAIPPQYAIQVLDELTNGNAIISTGVGQHQMWAAQFYKYKKPRQWLTSGGLGAMGFGLPAAIGAAVANPGAVVVDIDGDGSFIMNVQELATIRVENLPIKILLLNNQHLGMVVQWEDRFYKANRAHTYLGDPSNESEIFPNMLKFAEACGIPAARVTRKEDLRAAIQKMLDTPGPYLLDVIVPHQEHVLPMIPSGGAFKDMITEGDGRTQY >EOY04317 pep chromosome:Theobroma_cacao_20110822:4:23854048:23854335:-1 gene:TCM_019602 transcript:EOY04317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein RGLYRSIFLSIMEGEESFEQFSPEVRIKDPSSRDAASLLPPIKLFHGTSDYSIPSDA >EOY03390 pep chromosome:Theobroma_cacao_20110822:4:14294075:14298465:1 gene:TCM_018394 transcript:EOY03390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MKRRQLLVNLFKACNDGKSAAKLHSLLLKTGFSDDSFFTTKLTSIYAKFTSIEEAQKLFDEMPRRTVYLWNSILRAYSKQKQWNKAWVLFKNMISNEKGDKNEPDNFTLSIVLKACAGLQLLQQGEILHGFLRQNEKVGLDLFVGSALIEFYSKCGQMGDALKVFEEFEKPDVVLCTSMVSGYEQNGCFEKAVAFFSRMVTEESVEPDRVTLVSLVSACAKLMNLKLGRSVHGFVIRRGFEKNLSLVNALLHLYAKTGVVEVAENLFRRMVGKDVVSWSSMIGCYSHNGAAVEALRVFNEMINQGFQPNTVTVVSALQACAVACDLDNGQKIHELATKRGFDLEVSVSTALIDMYMKCLSPNEAVNVFRKMPRKDVVSWAALLSGYAQTGMADKSIRVFRDMLSSGILPDAVSMVKILASSSELGILHQAVCLHGYITRSGFDSNPFVGASLIELYSKCGSLDYAIKVFKGIIDKDVVLWSAMIAGYGIHGKGRESLKLFELMVKSSAARPNNVTFLSILSACSHAGLVLEGIEIFSMMVNDYGLGPTSEHYGIVVDLLGRTGELDRAMDIINRMPVPVEPHVWGTLLAACRIHHNVEIGELAAKNLLCLDSNHAGYYILLSNIYAVDGKWGNVAKIRTLIKEKELKKMFAQSMVEIRNEVHSFVADDKFHPECERIYELLGKLDLTMRLQLENIYGISEALLNFKIT >EOY02655 pep chromosome:Theobroma_cacao_20110822:4:1684139:1685182:1 gene:TCM_017071 transcript:EOY02655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLARMPRLSSNGKLGNSKLHQTLQTYIWQCLCDRVAGWLIHLNWWRLESWLVDCACSHGLSILHNSSLSLKCASNIGAYQPEVAS >EOY03342 pep chromosome:Theobroma_cacao_20110822:4:13552362:13564373:1 gene:TCM_018317 transcript:EOY03342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVPWRVRTLIIQSSKLLDRIGRWEIKHILRLANEVVDDLARDAMGRANDLLWGISETQLDTAQNLPNVINND >EOY05649 pep chromosome:Theobroma_cacao_20110822:4:29297997:29300449:1 gene:TCM_020589 transcript:EOY05649 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAT/LH2 domain-containing lipoxygenase family protein isoform 2 MNGLTVEKALEKNKLFILNHHDALMPYLRRINSTSTKTYATRTLFFLQDDDTLKPLAIELSLPHPQSDKHGAVSKVFTPAEDGVEGSVWQLAKAYAAVNDSGYHQLICHWLNTHAVIEPFIIASNRQLSVVHPIYKLLHPHFRDTMNINALARQTLINAGGVLELTVFPGRYALEMSSAIYKTWVFPDQALPVDLVKRGMAVPDASLPHGVRLLIQDYPYAVDGLEIWSAIETWVTEYCSFYYPSDEVVKEDNEIQSWWAEIRTEGHGDLKDEPWWPEIKTRAELIEACTIIIWVASAFHAAVNFGQYPYAGYLPNRPTVSRRFMPEPGTPEYAELEKDPDLAFLKTITAQFQTLLGVSLIEVLSRHSADEIYLGQRDSPEWTSDAEPLAAFERFGKKLIEIESRIMDRNNDSRLKNRTGPVKMPYTLLYPNTSDYSREGGLTGKGIPNSISI >EOY05647 pep chromosome:Theobroma_cacao_20110822:4:29295222:29300535:1 gene:TCM_020589 transcript:EOY05647 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAT/LH2 domain-containing lipoxygenase family protein isoform 2 MEKENSKNGTEYMLMTATTTWECPRRVHHMHVQFLVDRSVHIPEEGELVGNHTRKIPIQRADYSLSLNIYVPRDERFNLVKFSDFLAYALKSLFQILIPEIAAVCDKTINEFDSFQDVLDLYEGGVKLPNNATLKKIRECIPWEMIKELIRNDGERLMKFPMPAVIKEDRSAWRTDEEFARETLAGVNPVMIRRLREFPPASKLDPKTYGNQKSTVTEEHIERNMNGLTVEKALEKNKLFILNHHDALMPYLRRINSTSTKTYATRTLFFLQDDDTLKPLAIELSLPHPQSDKHGAVSKVFTPAEDGVEGSVWQLAKAYAAVNDSGYHQLICHWLNTHAVIEPFIIASNRQLSVVHPIYKLLHPHFRDTMNINALARQTLINAGGVLELTVFPGRYALEMSSAIYKTWVFPDQALPVDLVKRGMAVPDASLPHGVRLLIQDYPYAVDGLEIWSAIETWVTEYCSFYYPSDEVVKEDNEIQSWWAEIRTEGHGDLKDEPWWPEIKTRAELIEACTIIIWVASAFHAAVNFGQYPYAGYLPNRPTVSRRFMPEPGTPEYAELEKDPDLAFLKTITAQFQTLLGVSLIEVLSRHSADEIYLGQRDSPEWTSDAEPLAAFERFGKKLIEIESRIMDRNNDSRLKNRTGPVKMPYTLLYPNTSDYSREGGLTGKGIPNSISI >EOY05648 pep chromosome:Theobroma_cacao_20110822:4:29298251:29300449:1 gene:TCM_020589 transcript:EOY05648 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAT/LH2 domain-containing lipoxygenase family protein isoform 2 MNGLTVEKALEKNKLFILNHHDALMPYLRRINSTSTKTYATRTLFFLQDDDTLKPLAIELSLPHPQSDKHGAVSKVFTPAEDGVEGSVWQLAKAYAAVNDSGYHQLICHWLNTHAVIEPFIIASNRQLSVVHPIYKLLHPHFRDTMNINALARQTLINAGGVLELTVFPGRYALEMSSAIYKTWVFPDQALPVDLVKRGMAVPDASLPHGVRLLIQDYPYAVDGLEIWSAIETWVTEYCSFYYPSDEVVKEDNEIQSWWAEIRTEGHGDLKDEPWWPEIKTRAELIEACTIIIWVASAFHAAVNFGQYPYAGYLPNRPTVSRRFMPEPGTPEYAELEKDPDLAFLKTITAQFQTLLGVSLIEVLSRHSADEIYLGQRDSPEWTSDAEPLAAFERFGKKLIEIESRIMDRNNDSRLKNRTGPVKMPYTLLYPNTSDYSREGGLTGKGIPNSISI >EOY05233 pep chromosome:Theobroma_cacao_20110822:4:27673049:27674544:1 gene:TCM_020284 transcript:EOY05233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P family protein / Rpp14 family protein isoform 1 MVGFKNRYMVMEVFLDPNKENLGDDPIVVTQFNISKAIKDSILVNFGECGLASSLGSFQVKYVNPITKLCVIRASREDYQKIWSAISLVRSIGSCPVLFNLLDLSGSIRACKNAALKCDELKFEQYKLMVGARLSADVTQHMQNCLEKIKILEH >EOY05230 pep chromosome:Theobroma_cacao_20110822:4:27673049:27674880:1 gene:TCM_020284 transcript:EOY05230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P family protein / Rpp14 family protein isoform 1 MVGFKNRYMVMEVFLDPNKENLGDDPIVVTQFNISKAIKDSILVNFGECGLASSLGSFQVKYVNPITKLCVIRASREDYQKIWSAISLVRSIGSCPVLFNLLDLSGSIRACKNAALKCDELKFEQYKLMVGARLSADVTQHMQNCLEKIKILEH >EOY05231 pep chromosome:Theobroma_cacao_20110822:4:27672778:27674870:1 gene:TCM_020284 transcript:EOY05231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P family protein / Rpp14 family protein isoform 1 MVGFKNRYMVMEVFLDPNKENLGDDPIVVTQFNISKAIKDSILVNFGECGLASSLGSFQVKYVNPITKLCVIRASREDYQKIWSAISLVRSIGSCPVLFNLLDLSGSIRACKNAALKCDELKFEQYKLMVGARLSADVTQHMQNCLEKIKILEH >EOY05234 pep chromosome:Theobroma_cacao_20110822:4:27673049:27674970:1 gene:TCM_020284 transcript:EOY05234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P family protein / Rpp14 family protein isoform 1 MVGFKNRYMVMEVFLDPNKENLGDDPIVVTQFNISKAIKDSILVNFGECGLASSLGSFQVKYVNPITKLCVIRASREDYQKIWSAISLVRSIGSCPVLFNLLDLSGSIRACKNAALKCDELKFEQYKLMVGARLSADVTQHMQNCLEKIKILEH >EOY05229 pep chromosome:Theobroma_cacao_20110822:4:27672778:27674652:1 gene:TCM_020284 transcript:EOY05229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P family protein / Rpp14 family protein isoform 1 MVGFKNRYMVMEVFLDPNKENLGDDPIVVTQFNISKAIKDSILVNFGECGLASSLGSFQVKYVNPITKLCVIRASREDYQKIWSAISLVRSIGSCPVLFNLLDLSGSIRACKNAALKCDELKFEQYKLMVGARLSADVTQHMQNCLEKIKILEH >EOY05232 pep chromosome:Theobroma_cacao_20110822:4:27673049:27674612:1 gene:TCM_020284 transcript:EOY05232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P family protein / Rpp14 family protein isoform 1 MVGFKNRYMVMEVFLDPNKENLGDDPIVVTQFNISKAIKDSILVNFGECGLASSLGSFQVKYVNPITKLCVIRASREDYQKIWSAISLVRSIGSCPVLFNLLDLSGSIRACKNAALKCDELKFEQYKLMVGARLSADVTQHMQNCLEKIKILEH >EOY05978 pep chromosome:Theobroma_cacao_20110822:4:30502689:30503924:1 gene:TCM_020837 transcript:EOY05978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-butyric acid response 10 MCTLEKRGNLFLLTLTGDDQHRLNPTLITSLLSALSQAKAQSTRGCALITVAQGRFFSNGFDLAWAQSAGSKQGAQERLLHLVESFKPVVAALLNLPMPTVTAVTGHASAAGFALALSHDYAVMRRDRGVLYMAEVDIGLSVPDYFMALFKEKMGAASARRDLLLRGLKMKGDEAEKRGIVEAAYDSDGEVREASVRMGEDLAKRKWDGEVYAEIRKGLYPELSAMLGLATKVFASPRL >EOY05823 pep chromosome:Theobroma_cacao_20110822:4:29906032:29907375:1 gene:TCM_020723 transcript:EOY05823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonol 3-sulfotransferase, putative MEISFPFQTNLASDISSESREKYQKLIATLPKENGWTPMQPLCPYQGFWFFPMFLEGVMYTQDHFQAQSTDILLCSSMKTGTAWLKALSFSIATRTQFNNSISPLQTTFTHECIPFLDYGEYAASQGPRFPLLATHVPYTCLPKSVIDSGCKIVYICRDPKDNFVSLWHFVRTATRNNIKELKEGDLISMEEAFELYCKGISLYGPYWEHVWGYWNASLEHPEKILFLKYEDMMKETESYAKRLAEFLGCPFSLEEERGGKIQEIIQFCSFENLSNVEVNKTGIHQAQGRLVENKAYFRKGKVGDWRNYLTAEMGEHLDKIMEQKLSGSGLTFSVSPQE >EOY04286 pep chromosome:Theobroma_cacao_20110822:4:23176940:23184950:1 gene:TCM_019537 transcript:EOY04286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear matrix constituent protein 1-like protein, putative isoform 1 MFTPQRKVWSGWSLTPGKKVDGSGSDPNSNGVAVGKGKGAAFVEPVTPNGNGLGSEDHEGVPEKVLRLENELFDYQYNMGLLLIEKKEWTSKYEELSQALIEAKDALKREQAAHLIAIADVEKREENLRKALGVEKQCVLDLEKALRDMRSENAEIKFTADSKLSEANALIASVEEKSLEVEAKLRAADAKLAEVSRKNSEIARKSQEVESRENALRRERLSFISEQEANETTLSKQREDLREWEKKLQDTEERLAKSQRYVNQREERANENDRLFKLKEKDLEETQKKIDAANQTLKEKEEDINSRLAHLTLKVKEWDAVREKLEMKEKELLIIEEKLNAREKVEIQKLLDEHNAILDGRKHEFELEIAEKRKSLDADLKSKVIEVEKKEAEVKHLEEKVSKREQALDKKLEKFKEKEKEFELQVKNHKEREKAIRSEGKNLEIEKKQMLADKEDLLSLKAEVEKIRVENEEKLLKMHEENDRLRVTEEERSEYLRLQLELKEEIEKCRLSEELLLKEVEDLKRQKENFEREWEELDEKRLEIEKELKNISQQTEKFEKQKLAEEERLKNEKQVAEDYIKRELDALEVAKETFAATMEHEQSVIAEKAESERSQRLHDLELQKRKLESDMQNRFEEMEKELGESKKSFEEEKERELDKINHLREVARRELEELKQERLKIEKEEQEVNASKMHLEGQQIEIRKDIDDLVDISKKLKDQREHFIKERNRFISFVEKHKSCKNCGEMTSEFMLSDLQSLQKIEDEEVLPLPSLADDYISGNAFRNLAVSKRQKDEISPPVGSGSPVSGGTMSWLRKCTSKIFKLSPGKNIEPHAVTKLNVEAPLSGGQVNMEGMSNVEHEPELSIAAATESLDVHRVQSDTSTRDVDAGQDLSIDNQSNIDSKELEVLGDSQNSDFNRGNQLRKRGRPRVKRTRSVKAVVKDAEAIIGKALESNELEHPNGNLDSGHANAESRDESGLFDGGTSRNARKRNRAQTSQKTESEQDGVDSGHSDSIVAGQQRKRRQKVVLAMPTPGEARYNLRRPKTGVTVAKTTSDVNRENEGAKDAGDQVNYSKAPMPVSENGDASENGGSAHFLQQCETARDTNDGDADATKKLAADAALSEEVNTAPEGVGEYGDGNDYRSDSRSEGLKDEDEDEDDEEHPGEVSMGKKLWNFFTT >EOY04287 pep chromosome:Theobroma_cacao_20110822:4:23177157:23183814:1 gene:TCM_019537 transcript:EOY04287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear matrix constituent protein 1-like protein, putative isoform 1 MFTPQRKVWSGWSLTPGKKVDGSGSDPNSNGVAVGKGKGAAFVEPVTPNGNGLGSEDHEGVPEKVLRLENELFDYQYNMGLLLIEKKEWTSKYEELSQALIEAKDALKREQAAHLIAIADVEKREENLRKALGVEKQCVLDLEKALRDMRSENAEIKFTADSKLSEANALIASVEEKSLEVEAKLRAADAKLAEVSRKNSEIARKSQEVESRENALRRERLSFISEQEANETTLSKQREDLREWEKKLQDTEERLAKSQRYVNQREERANENDRLFKLKEKDLEETQKKIDAANQTLKEKEEDINSRLAHLTLKVKVSYFHVEQLFHMKLILLIIEEKLNAREKVEIQKLLDEHNAILDGRKHEFELEIAEKRKSLDADLKSKVIEVEKKEAEVKHLEEKVSKREQALDKKLEKFKEKEKEFELQVKNHKEREKAIRSEGKNLEIEKKQMLADKEDLLSLKAEVEKIRVENEEKLLKMHEENDRLRVTEEERSEYLRLQLELKEEIEKCRLSEELLLKEVEDLKRQKENFEREWEELDEKRLEIEKELKNISQQTEKFEKQKLAEEERLKNEKQVAEDYIKRELDALEVAKETFAATMEHEQSVIAEKAESERSQRLHDLELQKRKLESDMQNRFEEMEKELGESKKSFEEEKERELDKINHLREVARRELEELKQERLKIEKEEQEVNASKMHLEGQQIEIRKDIDDLVDISKKLKDQREHFIKERNRFISFVEKHKSCKNCGEMTSEFMLSDLQSLQKIEDEEVLPLPSLADDYISGNAFRNLAVSKRQKDEISPPVGSGSPVSGGTMSWLRKCTSKIFKLSPGKNIEPHAVTKLNVEAPLSGGQVNMEGMSNVEHEPELSIAAATESLDVHRVQSDTSTRDVDAGQDLSIDNQSNIDSKELEVLGDSQNSDFNRGNQLRKRGRPRVKRTRSVKAVVKDAEAIIGKALESNELEHPNGNLDSGHANAESRDESGLFDGGTSRNARKRNRAQTSQKTESEQDGVDSGHSDSIVAGQQRKRRQKVVLAMPTPGEARYNLRRPKTGVTVAKTTSDVNRENEGAKDAGDQVNYSKAPMPVSENGDASENGGSAHFLQQVRVLEN >EOY06448 pep chromosome:Theobroma_cacao_20110822:4:31949886:31951258:-1 gene:TCM_021165 transcript:EOY06448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMLDKLSTISSDAAKLSATVEFSFGAEDHATSLHLVTLQPLKVPKLGNEKEKRKAEGCVSVLTFLVKGSSSRKLHDLA >EOY04862 pep chromosome:Theobroma_cacao_20110822:4:26245995:26249950:-1 gene:TCM_020030 transcript:EOY04862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein isoform 2 MFQCFLQSTIGSMMDNQSSLEFDSDESDLDVRAEEHGQMEDVLQKNLDFCVSEDEKLAEQSGGSLSHITDALEPYMGMEFNSRDEAREFYAAYGRRTGFTVRIHHNRRSRVNNQVIGQDFVCSKEGFRAKKYIYRKDRVLPPPPITREGCQAMIRLALRDGAKWVVTKFVKGHNHKLMSPNKVPLRESGKHLVGEKDKRIRELSLELYNERQKCKRRCAAYEEQLNLILKDLENHTAHISKTVADMVQSIKEIEEEQSVSDGVG >EOY04863 pep chromosome:Theobroma_cacao_20110822:4:26246488:26249950:-1 gene:TCM_020030 transcript:EOY04863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein isoform 2 MFQCFLQSTIGSMMDNQSSLEFDSDESDLDVRAEEHGQMEDVLQKNLDFCVSEDEKLAEQSGGSLSHITDALEPYMGMEFNSRDEAREFYAAYGRRTGFTVRIHHNRRSRVNNQVIGQDFVCSKEGFRAKKYIYRKDRVLPPPPITREGCQAMIRLALRDGAKWVVTKFVKGHNHKLMSPNKVPLRESGKHLVG >EOY04864 pep chromosome:Theobroma_cacao_20110822:4:26247880:26249950:-1 gene:TCM_020030 transcript:EOY04864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein isoform 2 MFQCFLQSTIGSMMDNQSSLEFDSDESDLDVRAEEHGQMEDVLQKNLDFCVSEDEKLAEQSGGSLSHITDALEPYMGMEFNSRDEAREFYAAYGRRTGFTVRIHHNRRSRVNNQVIGQDFVCSKEGFRAKKYIYRKDRVLPPPPITREGCQAMIRLALRDGAKWVVTKFVKGHNHKLMSPNKVPLRESGKHLVGEVLLRLIFFSIISE >EOY04861 pep chromosome:Theobroma_cacao_20110822:4:26246124:26249923:-1 gene:TCM_020030 transcript:EOY04861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein isoform 2 MFQCFLQSTIGSMMDNQSSLEFDSDESDLDVRAEEHGQMEDVLQKNLDFCVSEDEKLAEQSGGSLSHITDALEPYMGMEFNSRDEAREFYAAYGRRTGFTVRIHHNRRSRVNNQVIGQDFVCSKEGFRAKKYIYRKDRVLPPPPITREGCQAMIRLALRDGAKWVVTKFVKGHNHKLMSPNKVPLRESGKHLVGEDEKDKRIRELSLELYNERQKCKRRCAAYEEQLNLILKDLENHTAHISKTVADMVQSIKEIEEEQSVSDGVG >EOY06603 pep chromosome:Theobroma_cacao_20110822:4:32433070:32441999:1 gene:TCM_021273 transcript:EOY06603 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 2 isoform 1 MSSLSRELVFLILQFLEEEKFKETVHKLEQESGFFFNMKYFEEKALAGEWDEVEKYLSGFTKVDDNRYSMKIYFEIRKQKYLEALDRHDRAKAVEILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLVELKKLIEANPLFREKLVLPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCSPPNGARAPTPVTLPVAAVAKPSTYAPLGAHGGPFPPPPPPPAATANANALAGWMANANPSSSVQSAIVAASASSLPVPQNQAVSVLKHPRTASNMLGMIEYGSTDHEHLMKRLRTAQSVDEVTYPAPPQQASWSLDDLPRSVACTIHQGSNVTSMDFHPSHDTLLAVGCSNGEISLWELSMRERLVSKPFKIWDMATCSVPFQASIVKESSISVSRVAWSPDGSLIGVAFTKHLVHLHVYQASNDLRPHLEIDAHVGGVNDLAFAHPNKKLCVVTCGDDKLIKVWDLSGSRLFNFEGHEAPVYSVCPHQKENIQFIFSTAVDGKIKAWLYDNMGSRVDYDAPGQWCTTMLYSADGSRLFSCGTSKDGDSFLVEWNESEGKIKRTYSGFRKNSPGVVQFDTTRNRFLAVGDDSQIKFWDMDNTNILTSTEAEGGLLSLPRLRFNKEGNLLVVTTADNGFKVLANANGLRALRALDARSYEASRTPLEMKCLIVDQVSNSAMGTSIGPAVSKVERVDSPARPTPILNGVEPMSRGIEKPRTLEDVSDKTKPWELTEIVDPSQCRTVTMPDNLDTASKVARLLYTNSGVGVLALGTNGIQKLWKWSRSEQNPSGKATASIVPQHWQPNSGLLMTNDVPDNSEDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPAPTFLAFHPQDNNIIAIGMEDSAIHIYNVRVDELFFWNADDWEKIKSVALQMPAGKAPQGDTRVQFHTDQVRLLVFHETQLAVYDANKMERIRQWMPQEVLSSPISSAAYSCNSQLVYATFTDGNIGIFDADSLRLRCRIAPSAYISPALLNSQTVYPLVVTAHPQDANQFAVGLTDGSVKVIEPSEMERKLGLPMPVDNGTENGRTATSSTTNTSEQLQR >EOY06604 pep chromosome:Theobroma_cacao_20110822:4:32433020:32442331:1 gene:TCM_021273 transcript:EOY06604 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 2 isoform 1 MSSLSRELVFLILQFLEEEKFKETVHKLEQESGFFFNMKYFEEKALAGEWDEVEKYLSGFTKVDDNRYSMKIYFEIRKQKYLEALDRHDRAKAVEILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLVELKKLIEANPLFREKLVLPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCSPPNGARAPTPVTLPVAAVAKPSTYAPLGAHGGPFPPPPPPPAATANANALAGWMANANPSSSVQSAIVAASASSLPVPQNQAVSVLKHPRTASNMLGMIEYGSTDHEHLMKRLRTAQSVDEVTYPAPPQQASWSLDDLPRSVACTIHQGSNVTSMDFHPSHDTLLAVGCSNGEISLWELSMRERLVSKPFKIWDMATCSVPFQASIVKESSISVSRVAWSPDGSLIGVAFTKHLVHLHVYQASNDLRPHLEIDAHVGGVNDLAFAHPNKKLCVVTCGDDKLIKVWDLSGSRLFNFEGHEAPVYSVCPHQKENIQFIFSTAVDGKIKAWLYDNMGSRVDYDAPGQWCTTMLYSADGSRLFSCGTSKDGDSFLVEWNESEGKIKRTYSGFRKNSPGVVQFDTTRNRFLAVGDDSQIKFWDMDNTNILTSTEAEGGLLSLPRLRFNKEGNLLVVTTADNGFKVLANANGLRALRALDARSYEASRTPLEMKVSNSAMGTSIGPAVSKVERVDSPARPTPILNGVEPMSRGIEKPRTLEDVSDKTKPWELTEIVDPSQCRTVTMPDNLDTASKVARLLYTNSGVGVLALGTNGIQKLWKWSRSEQNPSGKATASIVPQHWQPNSGLLMTNDVPDNSEDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPAPTFLAFHPQDNNIIAIGMEDSAIHIYNVRVDELFFWNADDWEKIKSVALQMPAGKAPQGDTRVQFHTDQVRLLVFHETQLAVYDANKMERIRQWMPQEVLSSPISSAAYSCNSQLVYATFTDGNIGIFDADSLRLRCRIAPSAYISPALLNSQTVYPLVVTAHPQDANQFAVGLTDGSVKVIEPSEMERKLGLPMPVDNGTENGRTATSSTTNTSEQLQR >EOY06601 pep chromosome:Theobroma_cacao_20110822:4:32433020:32442331:1 gene:TCM_021273 transcript:EOY06601 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 2 isoform 1 MSSLSRELVFLILQFLEEEKFKETVHKLEQESGFFFNMKYFEEKALAGEWDEVEKYLSGFTKVDDNRYSMKIYFEIRKQKYLEALDRHDRAKAVEILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLVELKKLIEANPLFREKLVLPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCSPPNGARAPTPVTLPVAAVAKPSTYAPLGAHGGPFPPPPPPPAATANANALAGWMANANPSSSVQSAIVAASASSLPVPQNQAVSVLKHPRTASNMLGMIEYGSTDHEHLMKRLRTAQSVDEVTYPAPPQQASWSLDDLPRSVACTIHQGSNVTSMDFHPSHDTLLAVGCSNGEISLWELSMRERLVSKPFKIWDMATCSVPFQASIVKESSISVSRVAWSPDGSLIGVAFTKHLVHLHVYQASNDLRPHLEIDAHVGGVNDLAFAHPNKKLCVVTCGDDKLIKVWDLSGSRLFNFEGHEAPVYSVCPHQKENIQFIFSTAVDGKIKAWLYDNMGSRVDYDAPGQWCTTMLYSADGSRLFSCGTSKDGDSFLVEWNESEGKIKRTYSGFRKNSPGVVQFDTTRNRFLAVGDDSQIKFWDMDNTNILTSTEAEGGLLSLPRLRFNKEGNLLVVTTADNGFKVLANANGLRALRALDARSYEASRTPLEMKVSNSAMGTSIGPAVSKVERVDSPARPTPILNGVEPMSRGIEKPRTLEDVSDKTKPWELTEIVDPSQCRTVTMPDNLDTASKVARLLYTNSGVGVLALGTNGIQKLWKWSRSEQNPSGKATASIVPQHWQPNSGLLMTNDVPDNSEDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPAPTFLAFHPQDNNIIAIGMEDSAIHIYNVRVDEVKTKLKGHQNHITGLAFSTSLKILVSSGADARLFFWNADDWEKIKSVALQMPAGKAPQGDTRVQFHTDQVRLLVFHETQLAVYDANKMERIRQWMPQEVLSSPISSAAYSCNSQLVYATFTDGNIGIFDADSLRLRCRIAPSAYISPALLNSQTVYPLVVTAHPQDANQFAVGLTDGSVKVIEPSEMERKLGLPMPVDNGTENGRTATSSTTNTSEQLQR >EOY06602 pep chromosome:Theobroma_cacao_20110822:4:32433070:32441995:1 gene:TCM_021273 transcript:EOY06602 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 2 isoform 1 MSSLSRELVFLILQFLEEEKFKETVHKLEQESGFFFNMKYFEEKALAGEWDEVEKYLSGFTKVDDNRYSMKIYFEIRKQKYLEALDRHDRAKAVEILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLVELKKLIEANPLFREKLVLPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCSPPNGARAPTPVTLPVAAVAKPSTYAPLGAHGGPFPPPPPPPAATANANALAGWMANANPSSSVQSAIVAASASSLPVPQNQAVSVLKHPRTASNMLGMIEYGSTDHEHLMKRLRTAQSVDEVTYPAPPQQASWSLDDLPRSVACTIHQGSNVTSMDFHPSHDTLLAVGCSNGEISLWELSMRERLVSKPFKIWDMATCSVPFQASIVKESSISVSRVAWSPDGSLIGVAFTKHLVHLHVYQASNDLRPHLEIDAHVGGVNDLAFAHPNKKLCVVTCGDDKLIKVWDLSGSRLFNFEGHEAPVYSVCPHQKENIQFIFSTAVDGKIKAWLYDNMGSRVDYDAPGQWCTTMLYSADGSRLFSCGTSKDGDSFLVEWNESEGKIKRTYSGFRKNSPGVVQFDTTRNRFLAVGDDSQIKFWDMDNTNILTSTEAEGGLLSLPRLRFNKEGNLLVVTTADNGFKVLANANGLRALRALDARSYEASRTPLEMKCLIVDQVSNSAMGTSIGPAVSKVERVDSPARPTPILNGVEPMSRGIEKPRTLEDVSDKTKPWELTEIVDPSQCRTVTMPDNLDTASKVARLLYTNSGVGVLALGTNGIQKLWKWSRSEQNPSGKATASIVPQHWQPNSGLLMTNDVPDNSEDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPAPTFLAFHPQDNNIIAIGMEDSAIHIYNVRVDEVKTKLKGHQNHITGLAFSTSLKILVSSGADARLFFWNADDWEKIKSVALQMPAGKAPQGDTRVQFHTDQVRLLVFHETQLAVYDANKMERIRQWMPQEVLSSPISSAAYSCNSQLVYATFTDGNIGIFDADSLRLRCRIAPSAYISPALLNSQTVYPLVVTAHPQDANQFAVGLTDGSVKVIEPSEMERKLGLPMPVDNGTENGRTATSSTTNTSEQLQR >EOY05459 pep chromosome:Theobroma_cacao_20110822:4:28626057:28628709:1 gene:TCM_046764 transcript:EOY05459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7 MAEVKLHGSWASPFSHRVIWALKIKGVDYEYIEEDLSNKSELLLKYNPVYKKIPVLVHGGKPIAESLVILEYIEETWPENPLLPSDPYERAMARFWIQFVEDKGPIFRAFFLSTGREEQEKAAKELLEVLKIIEEKALGEKKFFGGDTINLVDISYGVLAYWFKNVEEVIGIMVLEPNTLPRLHEWAQNFKEVAVIKETIPDRDKMLAYLRRVREKIVAEQSNK >EOY03620 pep chromosome:Theobroma_cacao_20110822:4:17439592:17442921:1 gene:TCM_018717 transcript:EOY03620 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 protein family, putative MEVAKNSKLGTPVKDPEGNSQSKTQKVSKLSENSNPNISHSSSPLTKSSKSQKCSSKNPVVYSPRNKLKERKFVVAKKNSKKERADSNPTVGIDCKCKEKFGGNLKKCLCVAYENLRASQEEFFKNKAENEAEEEIEEKGVLIENLREGYGSDNQDIDEIENSSQAGSSTIKRRRDKLMEEARKSVPESGKVKHLVKAFEKLLSIPNLKESEKEGDDEKEPEEEKNYNNKKKPMKWALPGLQPPKVPEADIENENETKDSSPAFCPSDFVLTAENLGLDPRFSVSSSWDSSQGSISSRTSKGGRRSRRNSSDSVGTIGGRRWKKQLKPTSQKPFKLRTEQRGKTKEDEFMKKIQEMMVEEEKQRIPIAQGLPWTTDEPEVLIKPPVKENTRPVDLRLHSDVRAEERAEFDHQVAEKMSLIEQYKMERERQQKMAEGEEIKRLRKELIPKAQPMPYFDRPFIPRRSSKHPTIPREPKFHIPQHKKIKCCISWNDMSTYTIQGSEAREI >EOY06040 pep chromosome:Theobroma_cacao_20110822:4:30745226:30747235:1 gene:TCM_020889 transcript:EOY06040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 13, putative MVRAPCCDKMGMKKGPWTPEEDQILISYVQKYGHANWRALPKQAGLSRCGKSCRLRWINYLRPDIKRGNFTSEEEETIIKLHEMLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLKQYQTKPGTKQNTKSKSKIKCESSDNIVPSQSESGNPSSSTGYDIPARTETPGQTPRSPQPSSSEISSITNATTATTDREVNNTDVKAENIDSWEYFPEIDESFWSDAMSSDNSSAPLTFEGVSDEFQYQFPSSSVDVMEPDYGCGQNLDDAMEFWYDLFIKAGGETTLIQGRDKPVPSPKVRITSLTKKPKASPLGKSINQMEKSSSWRLVVKGQTGE >EOY05689 pep chromosome:Theobroma_cacao_20110822:4:29503845:29504860:-1 gene:TCM_020628 transcript:EOY05689 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein IYTLEYRDLRVKGSSLDFTPLTLCIIQPLQVLDTCKSIQGGVNEGNELGKNLILSTTTNSSPTLKRASPRCPYQKGTMATLHFTPSPSFILNKPRPTTLATSQLNPRARHGSLRFSTVIARSYKVVIEHEGQSTELEVEPDETILSKALDSGLSVPHDCKLGVCMTCPAKLLSGEVDQSDGMLSDDVMERGFALLCAAYPRSDCHIKTIPEEELLSLQLATAND >EOY06418 pep chromosome:Theobroma_cacao_20110822:4:31857684:31861674:-1 gene:TCM_021145 transcript:EOY06418 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING finger and CHY zinc finger domain-containing protein 1 isoform 1 MQVLSNARNFAGGLGSQTILLNSNHRLLSSLTRINSSPLSSLQCHVLTEASSGLCRGSYFPMPQHLVYSIISRTCFSTEAGTANSNPTEAVKELYDNMLQSVNVKRTMPPNASLWSMIENCKNHEDIKLLFDVLQNLRRFRLSNLRIHSNFNCNLCQEVTRACARVGAIDFGKKALWKHNVYGLTPSIASAHHLLLNAKGRNDAKLMVEVMQLLKRNNLPLQAGTADIFFSICYNTNNWELISKYSKKFIKAGVKLRQTTFDTWMKFAAQRGDTESLWNIEYLRSETMKQHTLTTGFSCAKGLLLEGKPEDAAALIQVLNQTLSDAKKPGIAVEVEKLVNEWPSDVIKHQKEEDRKALAASLKSDIPAMVASLLNTGFTMSINLEDLTKKEIPS >EOY06419 pep chromosome:Theobroma_cacao_20110822:4:31857712:31861686:-1 gene:TCM_021145 transcript:EOY06419 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING finger and CHY zinc finger domain-containing protein 1 isoform 1 MQVLSNARNFAGGLGSQTILLNSNHRLLSSLTRINSSPLSSLQCHVLTEASSGLCRGSYFPMPQHLVYSIISRTCFSTEAGTANSNPTEAVKELYDNMLQSVNVKRTMPPNASLWSMIENCKNHEDIKLLFDVLQNLRRFRLSNLRIHSNFNCNLCQEVTRACARVGAIDFGKKALWKHNVYGLTPSIASAHHLLLNAKGRNDAKLMVEVMQLLKRNNLPLQAGTADIFFSICYNTNNWELISKYSKKFIKAGVKLRQTTFDTWMKFAAQRGDTESLWNIEYLRSETMKQHTLTTGFSCAKGLLLEGKPEDAAALIQVLNQQTLSDAKKPGIAVEVEKLVNEWPSDVIKHQKEEDRKGIGCFFEI >EOY03713 pep chromosome:Theobroma_cacao_20110822:4:18302092:18308743:-1 gene:TCM_046754 transcript:EOY03713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter associated with antigen processing protein 2 MKFLTDCDYHQIFSDIIQNAHANGNQSPNRPVSDLEQGNAVEAPNVGLSRAFSLAKPDAGKLIVGTIALLTASTSSLLIPKYGGMIIDIVSRDIKTQEQQSEALDAIKNTITYILLIIVAGSLCRAVQAWLFSSAGERIVARLRKNLFSHLIHLEIAFFDVTSIGELLNRLSEDTQIIKNAATTNLSEALRNLTTFIIGIGFMFSSSWKLTLLASAVVPVISVAIRKFGRYLKQLSHTTQAAAALSASAAEESFGAIRTIRSFAQEGYAISKYSEKVDDTLKLGLIRAKVVGLYFGGLNVASKLSVIMVVIYGAYLTIMGFMTAGSLTSFILYSLTVGSSVSSLSGSDVSAMKAAGSSRRIFQLLDRVSSMPKSGDKCPVGNPDGDVELNDVWFAYPSSPSHMILKGLTLKLKPGSKVALVGPSGGGKTTIANLIERFYDPLKGKILLNGVPVVEISHDYLHRKVSMVSQEPILFNCSVEENIAYRFNGKASSAEVESVAMVQKMANAHEFIEKFPEKYQTVVGERGLRLSGGQKQRVAIARALLMNPKVLLLDEATSALDAESEYLVQDAMDSLMRGRTVLVIAHRLSTVKSADSIAVLADGQIAERGTHEELLRQDGIYTALVRRQLQGPNTNI >EOY03789 pep chromosome:Theobroma_cacao_20110822:4:19328877:19334863:1 gene:TCM_018977 transcript:EOY03789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSHIDNVATIILTTASVPTATITVNGSTIAPTPLIVPPMPSVSYAKLFSDIFKIKKLSGDATSIIDRILQGDDHVVVEWVCRICHYLSILLGALNPFNFNPQPDPS >EOY05120 pep chromosome:Theobroma_cacao_20110822:4:27276438:27279822:1 gene:TCM_020208 transcript:EOY05120 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein MPILRRAMLSKFLLAILEPNITIRFCQLDMPAAPLGTRSSTGLDKSSPYFVTNFLEIRSLLSRIVSSKIYVIDHRTLWGQNQFITIGKSSVMALLALLLSSLLVVSLAEISSKIGINYGRQGDNLPSPYQSIEIMKSMKARRVKLYDSDPEILKLFSGTNIDMAIMVPNKDIVLISSSQTAAEQWVRNNVLAYPNTNIRFVLVGNEVLSYVSKQDQTIWAHLVPAMRRIKNSLSANDIKNIKIGTPLAMDILQSTFPPSSGTFRSDISNTVMAPLLKFLNGSKSFFFLDVYPYFPWSANPMNISLDFALFGGNVNHTDPGSGLVYTNLLDQMLDSVTFAMEKLGYPSIRLAIAETGWPTAGDVDQVGANIYNAATYNRNLIRKMTAKPPLGTPARPGLVIPTFIFSLYEENQKTGPGTERHWGLLHSNGTAIYDIDLTGKRLASDYKPLPPAQNNVPYKGKVWCEVAPGANLMNLSSALTYACSQDNQTCAALSPGKECYEPISVFWHSSYAFSSYWAKFRSQGATCYFSGLARQTTVNPSRGRCKFPSVIL >EOY05826 pep chromosome:Theobroma_cacao_20110822:4:29924952:29930057:1 gene:TCM_020727 transcript:EOY05826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase/archaeosine transglycosylase-like family protein MSRFIPPTQHNSTQTQLINPTWIPPHLQVSPTRDKHKHNQNHFPCLSHFLIQTVFFIPELPFYPTPVPFSHYYYLTHQSVSQITKPTKEEEDEEEEEEPKPNILVLSSIMASSLFTKTSLPSKSFNKSLNAHSISSFKLPVSLSFKPRTTLPKRFSLRAGLIEPDGGRLVDLRVAEPERDLKKREAALLPRVKLTTIDVQWVHVLSEGWASPLTGFMRESEFLQTLHFNSLRLDDGSFVNMSVPIVLAIDDSQKERIGESNRVALVDSDDNPLAILTDIEIYKHPKEERIARTWGTTAPGLPYVEETITNAGNWLIGGDLEVIEPIKYHDGLDRFRLSPAELREEFERRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGFKNPILLLHPLGGYTKADDVPLSWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKSRINAGANFYIVGRDPAGMGHPVEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDRTQRKMAFFDPSRAQDFLFISGTKMRTLAKNKENPPDGFMCPGGWKVLVEYYDSLTPSDNGRIAEAVPA >EOY04455 pep chromosome:Theobroma_cacao_20110822:4:24387201:24390255:-1 gene:TCM_019700 transcript:EOY04455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor P family protein MAATAAFNVLSATSIFRLSSALQFSSKPLLLPVCFSPRTPCRPRILRIYALSSNDIKVGTNLEVDGAPWRVLEFLHVKPGKGAAFVRTKMRNYITGNTVEKTFRAGSTIDEADVFKETKQFTYKDGSQYVFMDLNTFEETRLNEADVGGKTKWLKEGMDCNLLFWNGKVIDFELPITVQLAVVDVDPGLKGDTASGGSKPATLDTGAVVNVPLFINIGDQILVDTRTGQYMSRA >EOY04599 pep chromosome:Theobroma_cacao_20110822:4:25164357:25166402:-1 gene:TCM_019821 transcript:EOY04599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTDENKKAYKDSEAWQGPPEGWLKINFDGAFKQDSIEDGICGIVSDSSGAVFDGVREEYFC >EOY03621 pep chromosome:Theobroma_cacao_20110822:4:17445346:17450075:1 gene:TCM_018718 transcript:EOY03621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein isoform 1 MAIKRLLSLARRSHKPSSSFTTARSSSSAVSPLATTASSEPPKPSPPSPTAMIYDRLALSVKSKLQKLENPDPRFLKYGSPHPTVASHTHILSSPETKITTLPNGLRVATESTLSARTATVGVWIDAGSRFETDETNGTAHFLEHMIFKGTEKRSARELEEEIENMGGHLNAYTSREQTTYYAKVMDKDVFKALDILADILQNSKFEEHRISRERDVILREMEEVEGQTEEVIFDHLHSTAFQYTPLGRTILGPAENIKTITKEHLQNYIQTHYTAPRMVIAASGAVKHEEVVEQVKKLFTKLSADPTTASQLVANEPATFTGSEVRMINDDIPLAQFAVAFEGASWTDPDSIALMVMQAMLGSWSKNAGGGKHMGSELVQRVGINEIAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLAYAIMYETTKLAHRVSEADVIRARNQLKSSLMLHIDGTSPVAEDIGRQLLTYGRRIPFAELFARIDAVDPSSVKRVANRYIYDRDIAIAAMGPIQGLPDYNWFRRRTYWNRY >EOY03623 pep chromosome:Theobroma_cacao_20110822:4:17445129:17450213:1 gene:TCM_018718 transcript:EOY03623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein isoform 1 MAIKRLLSLARRSHKPSSSFTTARSSSSAVSPLATTASSEPPKPSPPSPTAMIYDRLALSVKSKLQKLENPDPRFLKYGSPHPTVASHTHILSSPETKITTLPNGLRVATESTLSARTATVGVWIDAGSRFETDETNGTAHFLEHMIFKGTEKRSARELEEEIENMGGHLNAYTSREQTTYYAKVMDKDVFKALDILADILQNSKFEEHRISRERDVILREMEEVEGQTEEVIFDHLHSTAFQYTPLGRTILGPAENIKTITKEHLQNYIQTHYTAPRMVIAASGAVKHEEVVEQVKKLFTKLSADPTTASQLVANEPATFTGSEVRMINDDIPLAQFAVAFEGASWTDPDSIALMVMQAMLGSWSKNAGGGKHMGSELVQRVGINEIAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLAYAIMYETTKLAHRVSEADVIRARNQLKSSLMLHIDGTSPVAEDIGRQLLTYGRRIPFAELFARIDAVDPSSVKRVANRYIYDRDIAIAAMGPIQGLPDYNWFRRRTYWNRY >EOY03622 pep chromosome:Theobroma_cacao_20110822:4:17445124:17450075:1 gene:TCM_018718 transcript:EOY03622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein isoform 1 MAIKRLLSLARRSHKPSSSFTTARSSSSAVSPLATTASSEPPKPSPPSPTAMIYDRLALSVKSKLQKLENPDPRFLKYGSPHPTVASHTHILSSPETKITTLPNGLRVATESTLSARTATVGVWIDAGSRFETDETNGTAHFLEHMIFKGTEKRSARELEEEIENMGGHLNAYTSREQTTYYAKVMDKDVFKALDILADILQNSKFEEHRISRERDVILREMEEVEGQTEEVIFDHLHSTAFQYTPLGRTILGPAENIKTITKEHLQNYIQTHYTAPRMVIAASGAVKHEEVVEQVKKLFTKLSADPTTASQLVANEPATFTGSEVRMINDDIPLAQFAVAFEGASWTDPDSIALMVMQAMLGSWSKNAGGGKHMGFENPLNSSELVQRVGINEIAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLAYAIMYETTKLAHRVSEADVIRARNQLKSSLMLHIDGTSPVAEDIGRQLLTYGRRIPFAELFARIDAVDPSSVKRVANRYIYDRDIAIAAMGPIQGLPDYNWFRRRTYWNRY >EOY03624 pep chromosome:Theobroma_cacao_20110822:4:17445328:17450063:1 gene:TCM_018718 transcript:EOY03624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein isoform 1 MAIKRLLSLARRSHKPSSSFTTARSSSSAVSPLATTASSEPPKPSPPSPTAMIYDRLALSVKSKLQKLENPDPRFLKYGSPHPTVASHTHILSSPETKITTLPNGLRVATESTLSARTATVGVWIDAGSRFETDETNGTAHFLEHMIFKGTEKRSARELEEEIENMGGHLNAYTSREQTTYYAKVMDKDVFKALDILADILQNSKFEEHRISRERDVILREMEEVEGQTEEVIFDHLHSTAFQYTPLGRTILGPAENIKTITKEHLQNYIQTHYTAPRMVIAASGAVKHEEVVEQVKKLFTKLSADPTTASQLVANEPATFTGSEVRMINDDIPLAQFAVAFEGASWTDPDSIALMVMQAMLGSWSKNAGGGKHMGSELVQRVGINEIAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLAYAIMYETTKLAHRVSEADVIRARNQQLKSSLMLHIDGTSPVAEDIGRQLLTYGRRIPFAELFARIDAVDPSSVKRVANRYIYDRDIAIAAMGPIQGLPDYNWFRRRTYWNRY >EOY03626 pep chromosome:Theobroma_cacao_20110822:4:17445367:17449966:1 gene:TCM_018718 transcript:EOY03626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein isoform 1 MIFKGTEKRSARELEEEIENMGGHLNAYTSREQTTYYAKVMDKDVFKALDILADILQNSKFEEHRISRERDVILREMEEVEGQTEEVIFDHLHSTAFQYTPLGRTILGPAENIKTITKEHLQNYIQTHYTAPRMVIAASGAVKHEEVVEQVKKLFTKLSADPTTASQLVANEPATFTGSEVRMINDDIPLAQFAVAFEGASWTDPDSIALMVMQAMLGSWSKNAGGGKHMGSELVQRVGINEIAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLAYAIMYETTKLAHRVSEADVIRARNQLKSSLMLHIDGTSPVAEDIGRQLLTYGRRIPFAELFARIDAVDPSSVKRVANRYIYDRDIAIAAMGPIQGLPDYNWFRRRTYWNRY >EOY03625 pep chromosome:Theobroma_cacao_20110822:4:17445124:17450075:1 gene:TCM_018718 transcript:EOY03625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein isoform 1 MAIKRLLSLARRSHKPSSSFTTARSSSSAVSPLATTASSEPPKPSPPSPTAMIYDRLALSVKSKLQKLENPDPRFLKYGSPHPTVASHTHILSSPETKITTLPNGLRVATESTLSARTATVGVWIDAGSRFETDETNGTAHFLEHMIFKGTEKRSARELEEEIENMGGHLNAYTSREQTTYYAKVMDKDVFKALDILADILQNSKFEEHRISRERDVILREMEEVEGQTEEVIFDHLHSTAFQYTPLGRTILGPAENIKTITKEHLQNYIQTHYTAPRMVIAASGAVKHEEVVEQVKKLFTKLSADPTTASQLVANEPATFTGSEVRMINDDIPLAQFAVAFEGASWTDPDSIALMVMQAMLGSWSKNAGGGKHMGSELVQRVGINEIAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLAYAIMYETTKLAHRVSEADVIRARNQLKSSLMLHIDGTSPVAEDIGRQLLTYGRRIPFAELFARIDAVDPSSVKRVANRYIYDRDIAIAAMGPIQGLPDYNWFRRRTYWNRY >EOY02449 pep chromosome:Theobroma_cacao_20110822:4:879519:890315:1 gene:TCM_016922 transcript:EOY02449 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT family protein isoform 2 MCFDHSISSPYYFIAAKIPKGLLRLISLLPVITLLSILPFDLNSLHIGFPTWCFVAWLANFKLLLFAFDQGPLSVPKHDLLRFILMACFPFKIKQNPSPKKPFETKTNPTLEAATRATILWTVVYTYKNYEHYFHKHVLFIYFFYTYHALQLLLALAATPAQLLLGVELEPQFNAPLFSTSLQDFWGHRWNLRVSEILRATAYIPVHRISTRIIGPRWASLPGVFLTFLVSGLMHELLVYHMTRERPTWQMTWFFILQGVFVDMEIVLKKKLVATNKFRLHKAISGPLTLANIAVIAGWLSYTQALRNGIDEKLIKEFNMFMQFLKGMAISKC >EOY02448 pep chromosome:Theobroma_cacao_20110822:4:888941:890279:1 gene:TCM_016922 transcript:EOY02448 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT family protein isoform 2 MCEAKEMEGELKNFIRLCVLTIAFLLYSYFIAAKIPKGLLRLISLLPVITLLSILPFDLNSLHIGFPTWCFVAWLANFKLLLFAFDQGPLSVPKHDLLRFILMACFPFKIKQNPSPKKPFETKTNPTLEAATRATILWTVVYTYKNYEHYFHKHVLFIYFFYTYHALQLLLALAATPAQLLLGVELEPQFNAPLFSTSLQDFWGHRWNLRVSEILRATAYIPVHRISTRIIGPRWASLPGVFLTFLVSGLMHELLVYHMTRERPTWQMTWFFILQGVFVDMEIVLKKKLVATNKFRLHKAISGPLTLANIAVIAGWLSYTQALRNGIDEKLIKEFNMFMQFLKGMAISKC >EOY02982 pep chromosome:Theobroma_cacao_20110822:4:3314615:3316642:1 gene:TCM_017373 transcript:EOY02982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S27 MVLQNDIDLLNPPAELEKKKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKGD >EOY06885 pep chromosome:Theobroma_cacao_20110822:4:33232129:33240010:1 gene:TCM_021471 transcript:EOY06885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine kinases,protein tyrosine kinases,ATP binding,protein kinases isoform 2 MEEKETCFECLERRIKSDWSDQLVFCYGISDSPLPFGYSAVVQFSCSNSASQFILSYTPSNPHHCFSKYLDQHMIQNGEETEVSSVTGNTNLSHFLLGESQFLSTGMGYECCACNHSAKFSCLRTIPALAPLAHVGNSSHSTFQEVASSFLSGTLEDHILSSINLLIQGKGSGRDSINYMRLLGIPSFDETSVPGCLRHPNIAPVLGLLKSPGYINLVLPKTPYTLENILHYSPNALKSDWHVRFLMYQLLSALTYLHALGIHHGSICPSNVMLTHSCWAWLRIWDNPRLGCNLSFKHGKYGANYTPSRLGCCTEGCSSQGLYADLKLSPSLDCNSQFNRWWSGELSNFEYLLFLNKLAGRRWGDHTFHPVMPWVIDFSTKPSEDSDSGWRDLSKSKWRLAKGDEQLDFTYSTSEVPHHVSDECLSELAVCSYKARRLPLSVLRMAVRAVYEPNEYPSTMQRLYQWTPDECIPEFYCDPQIFYSQHSGMTDLAVPSWAGSPEKFIKLHRDALESNRVSCQIHHWIDITFGYKLSGQAAVAAKNVMLSSSEPTKPRSIGRRQLFSRPHPARRGAMEETRDRLKQSAVCHQANEMDNEKSCPYKTACLQELEEASLFSEHARHLSPLYYLDQENLLKQSSSLKEAQSENLEKSASNPHDISNYCGFPFDVDFSYLLEHIEVQDDDSIGYQELMHWRQKSYLSRTSSIDAAKDIFSVGCLLAELYLRRPLFDSTSLAMYLERGILPGLMQELPSHAKEIIEACIEREWMRRPSAKSLLESPYFPSTVKSVYLFTAPLQLMVKDGSRLHYAANFAKQGALKAMGTLAAEMCAPYCLPLAVAPLSDSEAEWAYILLKEFIKCLTPEAVKASVLPAIQKILQTTGYSHLKVSLLQDSFVREIWNQIGKQAYLEIIHPLVISNLYISPHKSSAAAASVLLICSSEELGVPITVHQTILPLIHCFGKGLCPDGIDVLVRIGGLLGETFIVRQMLPLLEHVAHSCIGVSCMNKPEPVHSWSGLALIDCLLTLDGLVAFLPREAVVKDLIEDKSCLHVLALMQTNIEITVLQVAATTLMAICQRIGPELTAVHVLPQLKELFDELAFSQESFNGSGSTGKTSKVSKSKVNGEFQIESRMDLVLLLYPSFASLLGIEKLRQSCATWLLLEQFLLRFHNWKWEYTGESSRSIENVVAKVSALSKGSTSDYSPAKLLLNGVGWSIPQSQGIRGAKNLMPQRRLPNAHQSSVQTHETSSNHFKSEPWFWFPSPAASWDGSDLLGRFGCPKDEFPWKIRASVLSSVRAHQGALRSLAVCQDENIVFTAGIGLGFKGTVQKWDLTRINCVSGYYGHEEVVNDICLLSLSGKIASCDGTIHVWNSQTGKLISLFSEPSPDSLHLATPLSSPSKISADHVDMLNSNTLSSGVLTSPFDGSLYTCMHYLQHVEKLVVGTGNGSLRFIDVSQGRKLHLWKGEFSESAFPSLVSSICSCGSDREQRNGGSASWIAAGLSSGHCRLFDVRSGNVIACWRAHDGFVTKLIS >EOY06884 pep chromosome:Theobroma_cacao_20110822:4:33232116:33241769:1 gene:TCM_021471 transcript:EOY06884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine kinases,protein tyrosine kinases,ATP binding,protein kinases isoform 2 MEEKETCFECLERRIKSDWSDQLVFCYGISDSPLPFGYSAVVQFSCSNSASQFILSYTPSNPHHCFSKYLDQHMIQNGEETEVSSVTGNTNLSHFLLGESQFLSTGMGYECCACNHSAKFSCLRTIPALAPLAHVGNSSHSTFQEVASSFLSGTLEDHILSSINLLIQGKGSGRDSINYMRLLGIPSFDETSVPGCLRHPNIAPVLGLLKSPGYINLVLPKTPYTLENILHYSPNALKSDWHVRFLMYQLLSALTYLHALGIHHGSICPSNVMLTHSCWAWLRIWDNPRLGCNLSFKHGKYGANYTPSRLGCCTEGCSSQGLYADLKLSPSLDCNSQFNRWWSGELSNFEYLLFLNKLAGRRWGDHTFHPVMPWVIDFSTKPSEDSDSGWRDLSKSKWRLAKGDEQLDFTYSTSEVPHHVSDECLSELAVCSYKARRLPLSVLRMAVRAVYEPNEYPSTMQRLYQWTPDECIPEFYCDPQIFYSQHSGMTDLAVPSWAGSPEKFIKLHRDALESNRVSCQIHHWIDITFGYKLSGQAAVAAKNVMLSSSEPTKPRSIGRRQLFSRPHPARRGAMEETRDRLKQSAVCHQANEMDNEKSCPYKTACLQELEEASLFSEHARHLSPLYYLDQENLLKQSSSLKEAQSENLEKSASNPHDISNYCGFPFDVDFSYLLEHIEVQDDDSIGYQELMHWRQKSYLSRTSSIDAAKDIFSVGCLLAELYLRRPLFDSTSLAMYLERGILPGLMQELPSHAKEIIEACIEREWMRRPSAKSLLESPYFPSTVKSVYLFTAPLQLMVKDGSRLHYAANFAKQGALKAMGTLAAEMCAPYCLPLAVAPLSDSEAEWAYILLKEFIKCLTPEAVKASVLPAIQKILQTTGYSHLKVSLLQDSFVREIWNQIGKQAYLEIIHPLVISNLYISPHKSSAAAASVLLICSSEELGVPITVHQTILPLIHCFGKGLCPDGIDVLVRIGGLLGETFIVRQMLPLLEHVAHSCIGVSCMNKPEPVHSWSGLALIDCLLTLDGLVAFLPREAVVKDLIEDKSCLHVLALMQTNIEITVLQVAATTLMAICQRIGPELTAVHVLPQLKELFDELAFSQESFNGSGSTGKTSKVSKSKVNGEFQIESRMDLVLLLYPSFASLLGIEKLRQSCATWLLLEQFLLRFHNWKWEYTGESSRSIENVVAKVSALSKGSTSDYSPAKLLLNGVGWSIPQSQGIRGAKNLMPQRRLPNAHQSSVQTHETSSNHFKSEPWFWFPSPAASWDGSDLLGRFGCPKDEFPWKIRASVLSSVRAHQGALRSLAVCQDENIVFTAGIGLGFKGTVQKWDLTRINCVSGYYGHEEVVNDICLLSLSGKIASCDGTIHVWNSQTGKLISLFSEPSPDSLHLATPLSSPSKISADHVDMLNSNTLSSGVLTSPFDGSLYTCMHYLQHVEKLVVGTGNGSLRFIDVSQGRKLHLWKGEFSESAFPSLVSSICSCGSDREQRNGGSASWIAAGLSSGHCRLFDVRSGNVIACWRAHDGFVTKLAAPEEHLLVSSSLDRTLRIWDLRRNLPTPITFKGHGDGVSAFSVWGQDVISISRNKIGLSSLAKSADEDGQHRIIPQKLYSSDHGSRNMSVLSSIILYINLYIKYKKKKKNKRLGSFLPCPAFLLCFVSFLSAKEKFGSFEYKRVKRKSKLGKVMMMMSSSGKQSESEAASKAGAASVEDGVGGVQVTTFSELVDDVTLHFQIIRLPKQIFAWIGCNSAKFGDLYAAAPTRPKNSVSVASILGGASDNTGSGIARRLVIKTGLNVIVACNMPKNSPMLEANAEKKLVEKLIALGYSRPKSPGPGLSS >EOY04400 pep chromosome:Theobroma_cacao_20110822:4:24188681:24190818:-1 gene:TCM_019663 transcript:EOY04400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATP synthase subunit E1 isoform 2 MNDGDVSRQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQIVEVERRKIKQEYERKAKQVEVRKKIQYSMQLNASRLKVLQAQDDLVNSIKESARKELLRLSNDKRGYKKLLKALIVQSLVRLREVAVLLRCREVDRKVVESVLEEAKREYADKLKVQPPKITIDNVYLPPPPSNADSHDPYCSGGVVLASEDGKIVCENTLDARLDVAFRQKLPEIRRRLVGQVGA >EOY04401 pep chromosome:Theobroma_cacao_20110822:4:24188643:24190706:-1 gene:TCM_019663 transcript:EOY04401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATP synthase subunit E1 isoform 2 MNDGDVSRQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQIVEVERRKIKQEYERKAKQVEVRKKIQYSMQLNASRLKVLQAQDDLVNSIKESARKELLRLSNDKRGYKKLLKALIVQSLVRLREVAVLLRCREVDRKVVESVLEEAKREYADKLKVQPPKITIDNVYLPPPPSNADSHDPY >EOY05143 pep chromosome:Theobroma_cacao_20110822:4:27359985:27361125:1 gene:TCM_020227 transcript:EOY05143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTGELLVGFGNQATKMVEINQKGPPWSPTPEGLLTATAGKRDEVEERKRVDFQAGVGGVEMTELPLNNPISDQPT >EOY06383 pep chromosome:Theobroma_cacao_20110822:4:31732160:31733591:-1 gene:TCM_021119 transcript:EOY06383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase 2, putative MSSPMDYCLMVFFAVLLVFVVHANAAWGVPFEQNYLVEYGSDHIQYFEGHTEVQLTLDQTSGSGFGSKGGYGSGFFQMRIKLPDKDTTRILATFYIISVTSIHDEIDFEFLGGNESFILHTNVFTNGVGGREQQFSFWFDPTADFHTYKILWNDHQIVLFVDEIPIRVFKNITYSGVGYPTQPMRVEGTIWSADWASNGKPVNWSSAPFRANYQWFGIDGCQAQTLNPQQCHSPDLFWNGQKFWDLDANQRKAYENVRNKFLMYDYCLDRARYPNVPPECQLNG >EOY04014 pep chromosome:Theobroma_cacao_20110822:4:21478522:21481856:-1 gene:TCM_019272 transcript:EOY04014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 44 MTTLVILLLLSLTLFSTALNANADALSHLKTQRLDTGGLSREIFPEGFVFGTATSAYQVEGMASKEGRGRSIWDVFVNIPGNIVDNATGEVSVDQYHHYKEDVNLMHMLNFDAYRFSISWPRIFPNGTGKVNWKGVAYYNRLINALLEKGITPYANLYHYDLPLALQEKYGGLLGDQVVKDFADYADFCFKAFGDRVKNWMTFNEPRVIAALGFDNGINPPCRCSKPFGNCTAGDSATEPYIAAHNLILSHAEAAKRYREKYQTKQKGRIGILLDFVWYEPLTRGKADNYAAQRARDFHIGWFLHPLVYGEYPKTMQNIVGERLPKFSKSDVETVKNSFDFIGINHYTSFYMYDPHQPKPNVTGYQQDWNVGFAFERWGEPIGRRAHSGWLYQVPWGIYKAVTYVKERYGNPNVILAENGMDNPGNVTFPEALFDRERVNYYRSYLKELKRAMDDGANVTGYFAWSLLDNFEWLLGYSSRFGMVYVDFKTLKRYPKMSAYWFKQMLERKQQ >EOY06333 pep chromosome:Theobroma_cacao_20110822:4:31592985:31594311:-1 gene:TCM_021091 transcript:EOY06333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTEVLRPQDCLIERIRFAPTACSRRRYGNGSFNPSYYYNNNNNNSGNGRFNRKPAHRKRTGSEQAISKRSSSADDLKTVRNNVTMEKVTLLRRGESLDSKIKSSSGGREGGLVVTGTDRLGPAPEMVPKQIKIVDIRSAVTAKSDVYAGSAFAVSPAPSSLPLPSFSKKKQVSIDDSATRDLRRLLRLDL >EOY05275 pep chromosome:Theobroma_cacao_20110822:4:27823075:27824208:-1 gene:TCM_020312 transcript:EOY05275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRIDKGILIQAFRLKKFASLRTEILGAMLISKFHIPLAFSTSVCLFVFVFSFFEEGWGWVSKLVTNFCTHHLTSFGNIIFDTPITKLPLVSSLFAILSLTHH >EOY04318 pep chromosome:Theobroma_cacao_20110822:4:23864658:23871302:-1 gene:TCM_019603 transcript:EOY04318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dihydroflavonol 4-reductase/flavanone 4-reductase isoform 3 MGEEREDPQKLKRIGAAAYDYENDPRWADYWSNILIPPHMASRSDVIDHFKRKFYQRYIDPDLVVEAMSSSSPSQSAKPSASSSASSAAANDQARPRNAGSTARSSGTSAAAGSIPTSVRWDRQTIQFSVNAWVFIVAVLAIFPLVPRSLSNRAYRLSFMGTACSSLYSLYALYGRPRAWNLQAVQVYFQSIIATKDFIYFIYCLTFVTSHLCLKFALIPILCRALEHVAKFLRRNFNRSTLYRKYLEDPCVWVESNTTTLSILSSHAEIGLGLLLMMSLFSWQRNIIQTFMYWQLLKLMYHAPVTAGYHQSVWAKIGRIVNPLVHRYAPFLNTPVSAIQRWWLR >EOY04320 pep chromosome:Theobroma_cacao_20110822:4:23865003:23869765:-1 gene:TCM_019603 transcript:EOY04320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dihydroflavonol 4-reductase/flavanone 4-reductase isoform 3 MRKSRTVFTNLYITKDPDLVVEAMSSSSPSQSAKPSASSSASSAAANDQARPRNAGSTARSSGTSAAAGSIPTSVRWDRQTIQFSVNAWVFIVAVLAIFPLVPRSLSNRAYRLSFMGTACSSLYSLYALYGRPRAWNLQAVQVYFQSIIATKDFIYFIYCLTFVTSHLCLKFALIPILCRALEHVAKFLRRNFNRSTLYRKYLEDPCVWVESNTTTLSILSSHAEIGLGLLLMMSLFSSVTNIFSFLVIFPFKPIHSTFSSFSNLLKLYIKFCRWQRNIIQTFMYWQLLKLMYHAPVTAGYHQSVWAKIGRIVNPLVHRYAPFLNTPVSAIQRWWLR >EOY04319 pep chromosome:Theobroma_cacao_20110822:4:23864581:23869934:-1 gene:TCM_019603 transcript:EOY04319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dihydroflavonol 4-reductase/flavanone 4-reductase isoform 3 MRKSRTVFTNLYITKDPDLVVEAMSSSSPSQSAKPSASSSASSAAANDQARPRNAGSTARSSGTSAAAGSIPTSVRWDRQTIQFSVNAWVFIVAVLAIFPLVPRSLSNRAYRLSFMGTACSSLYSLYALYGRPRAWNLQAVQVYFQSIIATKDFIYFIYCLTFVTSHLCLKFALIPILCRALEHVAKFLRRNFNRSTLYRKYLEDPCVWVESNTTTLSILSSHAEIGLGLLLMMSLWQRNIIQTFMYWQLLKLMYHAPVTAGYHQSVWAKIGRIVNPLVHRYAPFLNTPVSAIQRWWLR >EOY04155 pep chromosome:Theobroma_cacao_20110822:4:22324791:22327744:-1 gene:TCM_019415 transcript:EOY04155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSSINMIEPKEPICAQNQPDDVGDSIKIKEEDMRKSDLEIENESIEREIIVENSRPQKERKMPQRFGDYLAIHIASNPVFHKRAKHIELDCHFIREHVQQKLLTINFAPLHNQVVDLLIKRLSAETFNLLLNQLSTNLREAQGKYP >EOY05227 pep chromosome:Theobroma_cacao_20110822:4:27666708:27669950:1 gene:TCM_020282 transcript:EOY05227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MKKIMTISPPPLPFLGQWKEAAILFHRMMDEGIHPDVVTFSSLIDALCQQKKVKEARRMLEQMSERGVKPDVITYNSLIHGLCRSGQWKEATSFLSGMACQGICPDKITLNFMLDAFCKQGMMEKAEAVLELMNKKDVKPDEITYGTMIIGYCLQGEMDKAKDVFELMITKGFSPSLGTYKMLVNAYSKIKRKDEALRLIDEILQKGMMPGTTTQNAKRHDQGEEG >EOY04477 pep chromosome:Theobroma_cacao_20110822:4:24534629:24539343:-1 gene:TCM_019720 transcript:EOY04477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MVGLGGERGIGRSIWVRWFEGDVEIERSLCEEETRSVVVSNGSVTAAQELTVDQNLLIDPKLLFIGSKIGEGAHGKVYEGRYGDRIVAIKVLHRGSTVEERTALESRFAREVNMMSRVKHENLVKFFGACKDPLMVIVTELLPGMSLRKYLISIRPKVLDFHVALNFALDIARAMECLHANGIIHRDLKPDNLLLTANQSSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPSLPEDISPDLAFIIQSCWVEDPNMRPSFSQIIRMLNAILFTLTPPSPSIPESDTSETAETSNGTMAELSARTKGKFAFLRQLFTAKRTRNSQ >EOY04478 pep chromosome:Theobroma_cacao_20110822:4:24535071:24539343:-1 gene:TCM_019720 transcript:EOY04478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MAKFTKEVLHRGSTVEERTALESRFAREVNMMSRVKHENLVKFFGACKDPLMVIVTELLPGMSLRKYLISIRPKVLDFHVALNFALDIARAMECLHANGIIHRDLKPDNLLLTANQSSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPSLPEDISPDLAFIIQSCWVEDPNMRPSFSQIIRMLNAILFTLTPPSPSIPESDTSETAETSNGTMAELSARTKGKFAFLRQLFTAKRTRNSQ >EOY02513 pep chromosome:Theobroma_cacao_20110822:4:1167360:1168854:1 gene:TCM_016970 transcript:EOY02513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELYREEPSLEYSGHYGGGEFGHYGEYGSGTEPYRGEPSLIYSADLEGGEFGGLKCYGGLMEPHRDEPFLEYSGHSGEGEFGRYGEYGSGMELYRGEPSLQYSGHHGGGEFGQFKYHGGVMEPYTEEPSLEYSNHCGRDEFGSGMEPYRGEPFKYWRHVEGGQFGGYGGAKELCRKEPFDEHSILSRGGFNKGYDVGHGFGGPSDIYGEYGAGGIGRGYRGGGEGAFFGHRGGHGSVERGAWYHPYAR >EOY04660 pep chromosome:Theobroma_cacao_20110822:4:25398944:25400821:1 gene:TCM_019860 transcript:EOY04660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSVWTELWGVLDGLRLAWDSGFKKVMVQVDNKMVAQAISMDKLLSCSNTDLIHAIKDILQKEWEVHLLYIYCKGFWTYWASPEGNMIADYMANYGFDLVKTYGFFEHPPIGAKKLLMNDMLVVYFPRMIQV >EOY05208 pep chromosome:Theobroma_cacao_20110822:4:27607569:27615565:1 gene:TCM_020273 transcript:EOY05208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose phosphate synthase 3F isoform 5 MAGNEWINGYLEAILDSGAAAIEEQKPATVSLRETGHFNPTKYFVEEVVTGVDETDLHRTWIKVVATRNTRERSSRLENMCWRIWHLTRKKKQLEWEELQRLAKRRWEREQGRRDATEDLSEDLSEGEKGDALGELVQAETPRKTFQRNLSNLEVWSDDKQEKKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELSRALAKMPGVYRVDLFTRQISSPEVDWSYGEPTDMLTAGAEDADGNDVGESSGAYIIRIPFGPRDKYLRKELLWPYIQEFVDGALAHVLNMSKVLGEQIGGGHPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIMRRIEAEELSLDAAELVITSTKQEIEEQWGLYDGFDVKLEKVLRARARRGVNCHGRYMPRMVVIPPGMDFSNVVVQEDGPEVDGELATLIGGSDGSSPKAIPAIWSEVMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDEMSGGNASVLITVLKLIDKYDLYGLVAYPKHHKQSDVPDIYRLAANTKGVFINPALVEPFGLTLIEAAAHGLPMVATRNGGPVDIQRALNNGLLVDPHDQQAIADALLKLVSEKNLWHDCRKNGWKNIHLYSWPEHCRTYLTRVAACRMRHPQWQTDTPGDEITAEELSFNDSLKDVQDMSLRLSVDGDKSSLNGSLDPVTASSGDPELQDQVKRVLSKIKKPETNSKDTEGGKLENVASKYPILRRRRRLIVVALDCYDSEGVPEKKIVQIVQDILQAVRLDIQTARVTGLAISTAMPVSETIEFLKSAKVQVNDFDALICSSGSEVYYPGTYTEEDGKLFPDPDYASHIDYRWGYEGLKKTIWKLMTPEEEENSNLYPSPIEEDVKSSNAHCVAYFVKDPSKAKRVDDLRQKLRMRGLRCHPMYCRNSTRMQVVPLLASRAQALRYLFVRWRLNVANMFVIAGESGDTDYEELISGAHKTLIIKEIVANGSEGLLRTTDLRDDIVPVDSPLVTMMMTFESNC >EOY05210 pep chromosome:Theobroma_cacao_20110822:4:27607569:27615565:1 gene:TCM_020273 transcript:EOY05210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose phosphate synthase 3F isoform 5 MAGNEWINGYLEAILDSGAAAIEEQKPATVSLRETGHFNPTKYFVEEVVTGVDETDLHRTWIKVVATRNTRERSSRLENMCWRIWHLTRKKKQLEWEELQRLAKRRWEREQGRRDATEDLSEDLSEGEKGDALGELVQAETPRKTFQRNLSNLEVWSDDKQEKKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELSRALAKMPGVYRVDLFTRQISSPEVDWSYGEPTDMLTAGAEDADGNDVGESSGAYIIRIPFGPRDKYLRKELLWPYIQEFVDGALAHVLNMSKVLGEQIGGGHPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIMRRIEAEELSLDAAELVITSTKQEIEEQWGLYDGFDVKLEKVLRARARRGVNCHGRYMPRMVVIPPGMDFSNVVVQEDGPEVDGELATLIGGSDGSSPKAIPAIWSEVMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDEMSGGNASVLITVLKLIDKYDLYGLVAYPKHHKQSDVPDIYRLAANTKGVFINPALVEPFGLTLIEAAAHGLPMVATRNGGPVDIQRALNNGLLVDPHDQQAIADALLKLVSEKNLWHDCRKNGWKNIHLYSWPEHCRTYLTRVAACRMRHPQWQTDTPGDEITAEELSFNDSLKDVQDMSLRLSVDGDKSSLNGSLDPVTASSGDPELQDQVKRVLSKIKKPETNSKDTEGGKLENVASKYPILRRRRRLIVVALDCYDSEGVPEKKIVQIVQDILQAVRLDIQTARVTGLAISTAMPVSETIEFLKSAKVQVNDFDALICSSGSEVYYPGTYTEEDGKLFPDPDYASHIDYRWGYEGLKKTIWKLMTPEEEENSNLYPSPIEEDVKSSNAHCVAYFVKDPSKAKRVDDLRQKLRMRGLRCHPMYCRNSTRMQVVPLLASRAQALRYCSVQLYKLILLFRWDFDY >EOY05209 pep chromosome:Theobroma_cacao_20110822:4:27608104:27615091:1 gene:TCM_020273 transcript:EOY05209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose phosphate synthase 3F isoform 5 MAGNEWINGYLEAILDSGAAAIEEQKPATVSLRETGHFNPTKYFVEEVVTGVDETDLHRTWIKVVATRNTRERSSRLENMCWRIWHLTRKKKQLEWEELQRLAKRRWEREQGRRDATEDLSEDLSEGEKGDALGELVQAETPRKTFQRNLSNLEVWSDDKQEKKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELSRALAKMPGVYRVDLFTRQISSPEVDWSYGEPTDMLTAGAEDADGNDVGESSGAYIIRIPFGPRDKYLRKELLWPYIQEFVDGALAHVLNMSKVLGEQIGGGHPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIMRRIEAEELSLDAAELVITSTKQEIEEQWGLYDGFDVKLEKVLRARARRGVNCHGRYMPRMVVIPPGMDFSNVVVQEDGPEVDGELATLIGGSDGSSPKAIPAIWSEVMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDEMSGGNASVLITVLKLIDKYDLYGLVAYPKHHKQSDVPDIYRLAANTKGVFINPALVEPFGLTLIEAAAHGLPMVATRNGGPVDIQRALNNGLLVDPHDQQAIADALLKLVSEKNLWHDCRKNGWKNIHLYSWPEHCRTYLTRVAACRMRHPQWQTDTPGDEITAEELSFNDSLKDVQDMSLRLSVDGDKSSLNGSLDPVTASSGDPELQDQVKRVLSKIKKPETNSKDTEGGKLENVASKYPILRRRRRLIVVALDCYDSEGVPEKKIVQIVQDILQAVRLDIQTARVTGLAISTAMPVSETIEFLKSAKVQVNDFDALICSSGSEVYYPGTYTEEDGKLFPDPDYASHIDYRWGYEGLKKTIWKLMTPEEEENSNLYPSPIEEDVKSSNAHCVAYFVKDPSKAKRVDDLRQKLRMRGLRCHPMYCRNSTRMQVVPLLASRAQALRYVPFCALEIECCKHVCDCW >EOY05207 pep chromosome:Theobroma_cacao_20110822:4:27607248:27615515:1 gene:TCM_020273 transcript:EOY05207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose phosphate synthase 3F isoform 5 MAGNEWINGYLEAILDSGAAAIEEQKPATVSLRETGHFNPTKYFVEEVVTGVDETDLHRTWIKVVATRNTRERSSRLENMCWRIWHLTRKKKQLEWEELQRLAKRRWEREQGRRDATEDLSEDLSEGEKGDALGELVQAETPRKTFQRNLSNLEVWSDDKQEKKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELSRALAKMPGVYRVDLFTRQISSPEVDWSYGEPTDMLTAGAEDADGNDVGESSGAYIIRIPFGPRDKYLRKELLWPYIQEFVDGALAHVLNMSKVLGEQIGGGHPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIMRRIEAEELSLDAAELVITSTKQEIEEQWGLYDGFDVKLEKVLRARARRGVNCHGRYMPRMVVIPPGMDFSNVVVQEDGPEVDGELATLIGGSDGSSPKAIPAIWSEVMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDEMSGGNASVLITVLKLIDKYDLYGLVAYPKHHKQSDVPDIYRLAANTKGVFINPALVEPFGLTLIEAAAHGLPMVATRNGGPVDIQRALNNGLLVDPHDQQAIADALLKLVSEKNLWHDCRKNGWKNIHLYSWPEHCRTYLTRVAACRMRHPQWQTDTPGDEITAEELSFNDSLKDVQDMSLRLSVDGDKSSLNGSLDPVTASSGDPELQDQVKRVLSKIKKPETNSKDTEGGKLENVASKYPILRRRRRLIVVALDCYDSEGVPEKKIVQIVQDILQAVRLDIQTARVTGLAISTAMPVSETIEFLKSAKVQVNDFDALICSSGSEVYYPGTYTEEDGKLFPDPDYASHIDYRWGYEGLKKTIWKLMTPEEEENSNLYPSPIEEDVKSSNAHCVAYFVKDPSKAKRVDDLRQKLRMRGLRCHPMYCRNSTRMQVVPLLASRAQALRYLFVRWRLNVANMFVIAGESGDTDYEELISGAHKTLIIKEIVANGSEGLLRTTDLRDDIVPVDSPLVTCIKGGATADEIANALKALSKASL >EOY05211 pep chromosome:Theobroma_cacao_20110822:4:27607569:27615565:1 gene:TCM_020273 transcript:EOY05211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose phosphate synthase 3F isoform 5 MAGNEWINGYLEAILDSGAAAIEEQKPATVSLRETGHFNPTKYFVEEVVTGVDETDLHRTWIKVVATRNTRERSSRLENMCWRIWHLTRKKKQLEWEELQRLAKRRWEREQGRRDATEDLSEDLSEGEKGDALGELVQAETPRKTFQRNLSNLEVWSDDKQEKKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELSRALAKMPGVYRVDLFTRQISSPEVDWSYGEPTDMLTAGAEDADGNDVGESSGAYIIRIPFGPRDKYLRKELLWPYIQEFVDGALAHVLNMSKVLGEQIGGGHPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIMRRIEAEELSLDAAELVITSTKQEIEEQWGLYDGFDVKLEKVLRARARRGVNCHGRYMPRMVVIPPGMDFSNVVVQEDGPEVDGELATLIGGSDGSSPKAIPAIWSEVMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDEMSGGNASVLITVLKLIDKYDLYGLVAYPKHHKQSDVPDIYRLAANTKGVFINPALVEPFGLTLIEAAAHGLPMVATRNGGPVDIQRALNNGLLVDPHDQQAIADALLKLVSEKNLWHDCRKNGWKNIHLYSWPEHCRTYLTRVAACRMRHPQWQTDTPGDEITAEELSFNDSLKDVQDMSLRLSVDGDKSSLNGSLDPVTASSGDPELFVRWRLNVANMFVIAGESGDTDYEELISGAHKTLIIKEIVANGSEGLLRTTDLRDDIVPVDSPLVTCIKGGATADEIANALKALSKASL >EOY06156 pep chromosome:Theobroma_cacao_20110822:4:31132870:31137873:1 gene:TCM_020969 transcript:EOY06156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2 MNLIGDLDDACWVGDIRSKALHNWGVGVEHTVQGEELDIFLFSRMASFERASPALKEILLRIYSAEKPIEVDHHLYEFGSVEYHIKSSASDPQFTYLSISTPLLTQGVLLSYGLSPYTTQMVREISADFLEIVEPAKEGYQLTLRLDFSKIPRDVDSLKVITEVSSVQAVILSSQLKEMLRNVNSRDTSQGMYKPIKLVYHPREPFYVIRQSQKIIAVFPMRFKEYSDVIIATSFFQELMDVGSSEKWAKVPPCSWSPIPPPELRGEPFEDLSTNGGFVSFDISSRHIEGKRLDKTVWSLLNFYAYVKHHVKCTRGFIQRRMRKRLESLVEVLQNSSLEEDGRIKKVTGWRYMKKLVTLPSKSKILKRRCGDFTGKIKRIRFRIKIHGFGRFRRKWLTMPKFSSSIGYTKLE >EOY05137 pep chromosome:Theobroma_cacao_20110822:4:27328811:27331464:1 gene:TCM_020220 transcript:EOY05137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein, putative MKFHVLIREFCVGKPIRSKWRNQSGRKYCTNQFWFVLFLSIVLFFLLLCLRHLFFFGRMEGFVYFSSSDSSKYQSIYFTRNSIDTKTSLDDSKKKISSSDGHENTSRKLEKNKDSCSGRYIYIHDLPKRFNEDVLKNCQLLTRATDKSSMCTYIENSGLGPQIESSDALDLWKNSWFSTNQFLLEVIFHNRMKTYKCLTNDSSIASAIFVPYYAGLDLRRYLWGFNTSMRDSSGLDLVKWLAGKPEWKSMWGKDHFLISGRIARDFRRQSNRKSDWGSKFRFLPESENMSMLTIESGSWKNDFAVPYPTYFHPSTDNEVFQWQELMRRQNRPYLFSFAGAPRSRQKGSIRSEIISQCQASKKLCNLLDCDSVGHKCDDPINLMKLFQSSIFCLQPSGDSLTRRSTFDSILAGCIPVFFHPGSAYSQYVWHLPKNYAKYSVFISPKNLRLGKVSINQTLLGVSKDEELAMREEVIRLIPRIIYANPRSRLESIEDAFDLAIKGILKRVETFRKVIIS >EOY04714 pep chromosome:Theobroma_cacao_20110822:4:25604813:25605315:1 gene:TCM_019903 transcript:EOY04714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAARLAILVLVLTCLLVLHECSRDMVAEEFNLGKAAEEEMEGVKLTITPCAPRCSSIEFVWKCVTANRCYGTEEECLSNCPTSPPL >EOY03007 pep chromosome:Theobroma_cacao_20110822:4:3492935:3495974:-1 gene:TCM_017405 transcript:EOY03007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant stearoyl-acyl-carrier-protein desaturase family protein MALTLHLTAFFPSSFKQLSLSQGLKCPKASMVSTHCSPTVESENKPFRPAKEVGFQVTHSMPPDKIEVFKSIENWATDNILVHLHNVEKSWQPQDFLPAPESEGFYDQVKELRERSKELPDEYFVVLVGNMITEEALPTYQTALNTLDAVRDETGASLTPWAIWTRAWTAEENKHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSAMDTKFENNPYNGFIYTSFQERATFISHGNTARLAKAHGDTKLAQICGTIAADEKRHEAAYSKIVEKLFQIDPDATMLALADMMRKKITMPAYLMYDGQDDILFHHYSAVAQRLGVYTAKDYADILEFLVGRWKVEKLTGLSAEGYRAQHFVCGLPSKIRRMEEKAQTRAKAKQASSAPFSWIFHKEIIV >EOY05484 pep chromosome:Theobroma_cacao_20110822:4:28729875:28742499:-1 gene:TCM_020474 transcript:EOY05484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triglyceride lipases,triglyceride lipases isoform 2 MATLSLQPHYSPLQFRRFRLSNYHLKVVPRFSRQFYGKKVRFLSTSRGNVIVRGRDGVLSISCFSKTNAEIEKVSSEEKKDEERPPLDINLAVILAGFAFEAYTSPPENIGRREIDAADCKTVYLSESFVREIYDGQLFIKLKKGFDFPAMDPWGTSDPYVVMQLDGQVVKSKTKWGTKEPKWNEDLTFNIKLPPLKYIQILISTVKLQVAAWDANLVTPHKRMGNAGISLESLCDGNLHEVLVELEGMGGGGKLQLEVKYKSFDEIEEEKMWWKVPFVTEFLQRNGFESALKMFVGTETVPARQFVEYAFGQLKSFNDAYFLKERLLNGNKNGAEGVGTSNDFAVSGMSLHVESSSETSIIDTGTNNENNSEKFHLDNVGMADGQSTEPVAQVGEIMQFDKYFWKNFADVINQNVVHKLGVPVPEKLKWDGFDLLNKIGLQSRKIAEAKYIESGLATPDNQDIEGDKVLESGFANPEDQENKNDKAIGPLTISSIQSSLPDIKKATEDVLRQTDSVLGALMVLTAAVSQSKREGQENETKEDSSAGVENNVSRYSGGENVSSSLDGSVLDEKKAEEMKELFATAESAMEAWAMLATSLGHPSFIKSEFEKICFLDNATTDTQVAIWRDSARRQIVIAFRGTEQARWKDLRTDLMLVPAGLNPERIDGDFKQEVQVHSGFLSAYDSVRIRIISLLKTSIRYIDETTKPLRRWQVYVTGHSLGGALATLLALELSSSQLAKHGAISVTMYNFGSPRVGNRRFAEVYNEKVKDSWRIVNHRDIIPTVPRLMGYCHVAQPVYLAAGELRDALENMELWKDGYQGDVIGEYTPDVLVTEFMKGERELIEQILQTEINIFRAIRDGSALMQHMEDFYYITLLESVRSNYQTVASSRNNEQGSMSTS >EOY05485 pep chromosome:Theobroma_cacao_20110822:4:28734380:28742213:-1 gene:TCM_020474 transcript:EOY05485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triglyceride lipases,triglyceride lipases isoform 2 MATLSLQPHYSPLQFRRFRLSNYHLKVVPRFSRQFYGKKVRFLSTSRGNVIVRGRDGVLSISCFSKTNAEIEKVSSEEKKDEERPPLDINLAVILAGFAFEAYTSPPENIGRREIDAADCKTVYLSESFVREIYDGQLFIKLKKGFDFPAMDPWGTSDPYVVMQLDGQVVKSKTKWGTKEPKWNEDLTFNIKLPPLKYIQVAAWDANLVTPHKRMGNAGISLESLCDGNLHEVLVELEGMGGGGKLQLEVKYKSFDEIEEEKMWWKVPFVTEFLQRNGFESALKMFVGTETVPARQFVEYAFGQLKSFNDAYFLKERLLNGNKNGAEGVGTSNDFAVSGMSLHVESSSETSIIDTGTNNENNSEKFHLDNVGMADGQSTEPVAQVGEIMQFDKYFWKNFADVINQNVVHKLGVPVPEKLKWDGFDLLNKIGLQSRKIAEAKYIESGLATPDNQDIEGDKVLESGFANPEDQENKNDKAIGPLTISSIQSSLPDIKKATEDVLRQTDSVLGALMVLTAAVSQSKREGQENETKEDSSAGVENNVSRYSGGENVSSSLDGSVLDEKKAEEMKELFATAESAMEAWAMLATSLGHPSFIKSEFEKICFLDNATTDTQVAIWRDSARRQIVIAFRGTEQVSKMEGLTDRFNACSCWVISNIYPLLLLSCSLPERFFTCIAEHGFLLIQ >EOY05486 pep chromosome:Theobroma_cacao_20110822:4:28735007:28742213:-1 gene:TCM_020474 transcript:EOY05486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triglyceride lipases,triglyceride lipases isoform 2 MATLSLQPHYSPLQFRRFRLSNYHLKVVPRFSRQFYGKKVRFLSTSRGNVIVRGRDGVLSISCFSKTNAEIEKVSSEEKKDEERPPLDINLAVILAGFAFEAYTSPPENIGRREIDAADCKTVYLSESFVREIYDGQLFIKLKKGFDFPAMDPWGTSDPYVVMQLDGQVVKSKTKWGTKEPKWNEDLTFNIKLPPLKYIQVAAWDANLVTPHKRMGNAGISLESLCDGNLHEVLVELEGMGGGGKLQLEVKYKSFDEIEEEKMWWKVPFVTEFLQRNGFESALKMFVGTETVPARQFVEYAFGQLKSFNDAYFLKERLLNGNKNGAEGVGTSNDFAVSGMSLHVESSSETSIIDTGTNNENNSEKFHLDNVGMADGQSTEPVAQVGEIMQFDKYFWKNFADVINQNVVHKLGVPVPEKLKWDGFDLLNKIGLQSRKIAEAKYIESGLATPDNQDIEGDKVLESGFANPEDQENKNDKAIGPLTISSIQSSLPDIKKATEDVLRQTDSVLGALMVLTAAVSQSKREGQENETKEDSSAGVENNVSRYSGGENVSSSLDGSVLDEKKAEEMKELFATAESAMEAWAMLATSLGHPSFIKSEFEKICFLDNATTDTQAPAGGNLA >EOY04949 pep chromosome:Theobroma_cacao_20110822:4:26592358:26595212:-1 gene:TCM_020089 transcript:EOY04949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MSESGNFGHQHSLVLNEGQSNQSERAFCSRCKKKLSLSAPSFSCAECEFHLHKKCAEAPLEINHPFHCKHPFLLLQHPPYGGRCFCDFCGETCKAFIYHCSCGLDFHITCALFTYNIAQKNFEELQHVALQDPLVSTENDGEELDSFQCFGCWKPLLSSTYFSLDCGFHLHKKCAELPLKISHMCHRKHPLVLQFNSLWLSCNICQETRRRGFVYCCLPCKVAVHIECVSPLPVIEDKSHQHPFTLFWIRAPFVCHACGIEGNCAAYVCCTCSIIVHKKCISLPRIIKHKRHHHLIFHKYFLHEDDFKSWDCIICHEDVNAEHGCYSCSDCKITAHVNCAMKDENWYYLVSPENKDEESTNSLALLPGESIDSITCVIERNDAGEATKIKHFKHMHDLMLSEKIAGYDKCCEGCMLPISASFYCCSECDFFLHKACAELPKMKMMHSSIHVCPRRSLILTSNCIFRCGLCWHLSNGFAYKCDECGGTVCLRCGALELDSTLTCRGHEHPLRFHFEYEGKCCACGGDILLMAYRCKDCSFALHCKCVAIPTTTQHKCDEHVLVLTYGDDNDYLECHYCDICEKEREPNLWFYQCIIYYTFAHIDCVLGRYPFIKLGSIYKEGDHPHPLTFVKKIYHYPKCIECSKSCQDLALECVFPGCNYIVHWKCIAPDNLQWSVHLL >EOY03957 pep chromosome:Theobroma_cacao_20110822:4:20707555:20716369:-1 gene:TCM_019177 transcript:EOY03957 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase subunit beta-1 MEIATTRCCLDKQISWFSSHLRALDAENAHRLPYILTGWKLRTSHRRLTLDSARKQNKSCIVWKTHSVPVGLEESSSLQSGDPLNEDEIVSEDSPEQLFAKPLSSDELKSLLADSERTKLTKKLSEANQQNRFLKRQLHIKEDALVNFKSELAVMELEIQALVSLAEEISQAGIPEGSRKINGRYIQSHLHSRLEAVHEKLKEQIKDVDAARSKEIPLFWCGMAESVQVMGSFDGWSQGEHLSPEYTGSFTKFSTTLLLRPGRYEIKFVVDGEWQLSREYPTVGEGLMENNLLIVE >EOY06100 pep chromosome:Theobroma_cacao_20110822:4:30910365:30913050:-1 gene:TCM_020929 transcript:EOY06100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin 4, putative isoform 1 MESSRARRPHFESSDEEITVYLKLMKTVACKVKPTETVKNFKALLLEKGIISDNIQDLFYAGQLLKDGERLVDHGIMRNSTLHLVLQDFIGVKLFVKIPSEQRTILVEARANETVQSIKSLIQVTEGIESDRFSLVYEGKLLEEDRTLSYLNVKNESTLHLVLCQKDVLSIYVKALTGEVVKLKVKVTFTVADVKAIVRSMLGASVGTLFYLGQQLEDSKTLACYDIKEESTLEMLHPLFQIFVRTWSGRTLTLDVQQSATVKDVKDKIFQKLKIPVHLQSIIFAGKRLEEGRDLASYSIQKHCTLCMVFAPSSTIVHMKVREISGYIFHFTTIRSVKEMIQLKNGVRVKEVLYKEAALCDDFSLEHYGIGRDTTLAIVY >EOY06102 pep chromosome:Theobroma_cacao_20110822:4:30910478:30913151:-1 gene:TCM_020929 transcript:EOY06102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin 4, putative isoform 1 MESSRARRPHFESSDEEITVYLKLMKTVACKVKPTETVKNFKALLLEKGIISDNIQDLFYAGQLLKDGERLVDHGIMRNSTLHLVLQDFIGVKLFVKIPSEQRTILVEARANETVQSIKSLIQVTEGIESDRFSLVYEGKLLEEDRTLSYLNVKNESTLHLVLCQKDVLSIYVKALTGEVVKLKVKVTFTVADVKAIVRSMLGASVGTLFYLGQQLEDSKTLACYDIKEESTLEMLHPLFQIFVRTWSGRTLTLDVQQSATVKDVKDKIFQKLKIPVHLQSIIFAGKRLEEGRDLASYSIQKHCTLCMVFAPSSTIVHMKVREISGYIFHFTTIRSVKEMIQLKNGVRVKEVLYKEAALCDDFSLEHYGIGRDTTLAIVY >EOY06101 pep chromosome:Theobroma_cacao_20110822:4:30910749:30913119:-1 gene:TCM_020929 transcript:EOY06101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin 4, putative isoform 1 MESSRARRPHFESSDEEITVYLKLMKTVACKVKPTETVKNFKALLLEKGIISDNIQDLFYAGQLLKDGERLVDHGIMRNSTLHLVLQDFIGVKLFVKIPSEQRTILVEARANETVQSIKSLIQVTEGIESDRFSLVYEGKLLEEDRTLSYLNVKNESTLHLVLCQKDVLSIYVKALTGEVVKLKVKVTFTVADVKAIVRSMLGASVGTLFYLGQQLEDSKTLACYDIKEESTLEMLHPLFQIFVRTWSGRTLTLDVQQSATVKDVKDKIFQKLKIPVHLQSIIFAGKRLEEGRDLASYSIQKHCTLCMVFAPSSTIVHMKVREISGYIFHFTTIRSVKEMIQLKNGVRVKEVLYKEAALCDDFSLEHYGIGRDTTLAIVY >EOY04484 pep chromosome:Theobroma_cacao_20110822:4:24572478:24581788:1 gene:TCM_019727 transcript:EOY04484 gene_biotype:protein_coding transcript_biotype:protein_coding description:NT domain of poly(A) polymerase and terminal uridylyl transferase-containing protein, putative MFLMGDLRDWSPEPNGVASEERSSSSSSSSSNQAGIAAEYWKKAEEATQGIIAQVQPTVVSEERRKAVIDYVQRLIGNYLGCGVFPFGSVPLKTYLPDGDIDLTAFGGLNFEEALANDVCSVLEREDHNRAAEFVVKDVQLIRAEVKLVKCLVQNIVVDISFNQLGGLCTLCFLEKVDRRIGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHLFHSSLDGPLAVLYKFLDYFSKFDWDNYCISLNGPIHISSLPEVVVETPENGGGDLLLSNDFLKECVEMFSVPSRGFETNSRTFPQKHLNIVDPLRENNNLGRSVSKGNFYRIRSAFTYGARKLGKILSQAEESMADELRKFFSNTLDRHGSGQRPDVQDCIPSLSRFSGFGATSSVSGTESCQEDQTFYETESSNSITMTRNHRSDNEGSLHKVDNGNVSGRETNFSRILNEPQASANGMGVSEIRLSGDAKDLATSRIQGLVISNDAHKSYDPNSEENVSPSDNVRHAPHLYFYSSSLDNGDIRNGNAECKQPENSGFAEKKVTSGILPATGDEMGTNVHGDHRENQLVVSQGVQSPVGSKHPPLVVNSAWSSEDLYPGYSGYPTSSSVAGGQEALSSFLDLCGDHDSHLRSLSYGRWCFDYAFNASVSPITPLVSQLQSNNSWDVVRQSVQFRRNAISPMNANGVVPRQVYYPMNPPMLPAAGFGMEEMPKPRGTGTYFPNHNTNHYRDRSLTARGRSQVQVRSPRNNSRAITSPETNSPERSSRELAQVQSPHQGGGKSGSSDLRHFGSEKVLYPNANGSVHHPERVVEFGSIGPLPLGPASPESNMQHNPGSPHALNLSASQPPSGMQRSKSTVGVEQDRIAIRSYHLKNEEDFPPLSI >EOY04541 pep chromosome:Theobroma_cacao_20110822:4:24926390:24927766:1 gene:TCM_019777 transcript:EOY04541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 1 MWNCCSLISVPKDIGELRSLIYLEISFCPKLTSIPEEILGNLTSLKELRIGFFSEELEEFPGLSSIHLLCASLECLYLFGWKKLKSLPPQLQHLVALKSFVICFFDGMESLPEWLGNFSSLQKLRIEKCNSLMHLPSMEAMQCLSKLQGLEINRCPILAERCIKESRPEWRKIAHMPYIQINWQHIKQ >EOY04540 pep chromosome:Theobroma_cacao_20110822:4:24926321:24928161:1 gene:TCM_019777 transcript:EOY04540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 1 MWNCCSLISVPKDIGELRSLIYLEISFCPKLTSIPEEILGNLTSLKELRIGFFSEELEEFPGLSSIHLLCASLECLYLFGWKKLKSLPPQLQHLVALKSFVICFFDGMESLPEWLGNFSSLQKLRIEKCNSLMHLPSMEAMQCLSKLQGLEINRCPILAERCIKESRPEWRKIAHMPYIQINWQHIKQ >EOY03981 pep chromosome:Theobroma_cacao_20110822:4:21051556:21057242:1 gene:TCM_019213 transcript:EOY03981 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOD26-like intrinsic protein 4,1 MATKTDNIEEEEISKMEQGHPTSNKSETDQLSILCSSTSVVTTVQKLIAELIGTYFIIFAGCGSVAVNKIYGSVTFPGVCVTWGLIVMVMIYSVGHISGAHFNPAVTITFAIFRRIPFKQVPVYVAGQLMGSILASATLALVLDVTPSAYFGTVPVGSNAQSFSVEIIISFLLMFVISGATTDNRAVGELGGIAVGMTIMLNVFVAGPISGASMNPARSIGPALVKHVYKGLWLYIFGPIIGTIAGAFIYNLMRFTDKSLRDLTIRSN >EOY02388 pep chromosome:Theobroma_cacao_20110822:4:642085:645778:1 gene:TCM_016881 transcript:EOY02388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MPGLAMDAINGESGVDEPNNGFCTPYKDSFNQERSPRSALSPQSQQSDSIDLAIDGVVETSIEQLYHNVYEMQSSDQSPSMTSYGSYGEESRIDSELRHLVGDFGVVEMTKEVVAEKKEEGSVGDLTPKKENVSSDKKPVKKKIKNQTPGVKHRSRLQLDSAASAKSSPQSKSSRDKTPVEKRYEKNARKLNAASPLRKQRNFALLGAKFQNGTGDNLEAGLENPDLGPFLLKQTRDMMSSGENPQKALEMALRATKSFEICANGEPSLELVMSLHVLAALYYNLGQYNEAIPVLERSIEIPVIEDGQTHALAKFAGCMQLGDTYAMLGQIENSILCYTAGLEIQRQVLGETDPRVGETCRYVAEAHVQALQFDEAEKLCQMALDIHRENGAPPSIEEAADRRLMGLICDSKGDYESALEHYVLASMALAANGHEVDVASIDCSIGDAYLSMARFDEAVFAYQKALTVFKSAKGENHPTVASVFVRLADLYNKIGKLRDSRTYCENALRIYGKPNPGIPSEEIASGLIDIAAMYQSMNELDQALKLLKKALSIFGEAPGQQSTIAGIEAQMGVMYYMMGSYADSYNTFKSAISKFRASGEKKSALFGITLNQMGLACVQLYAINEAADLFEEARSILEKEYGPYHPDTLGVYSNLAGTYDAMGRLDDAIELLDYVVDMREEKLGTANPDVIDEKRRLGELLKEAGRVRSRKSRSLVTLLDTSNQIMKDDGIKVS >EOY02659 pep chromosome:Theobroma_cacao_20110822:4:1690961:1695305:-1 gene:TCM_017073 transcript:EOY02659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 59 kDa regulatory subunit B' eta isoform 1 MIKQILNRLPRKPSKSSDNREGGGGTSTSSSNASTSSRNSDLASTRYANASGAAFSGFNSTPNIGLNPGNKISQVVNAKLNGNMFASFEALPSFRDIPNSEKQNLFIRKLNLCCIVFDFTDPTKNFKEKDIKRQTLLELVDYVSSASGKFSEIVMQEIVKMVSVNLFRALTSPPRENKVLEAFDLEEEEPSMDPAWPHLQVVYEFLLRFVASPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPPEFQRCMVPLFRQIGRCLSSSHFQVAERALFLWNNDHIENLIKQNRKVILPIIFASLEKNARNHWNQAVQSLTLNVRKIFSDSDPELFEECLQKFQEDEARENEVKSKREATWKRLEEIAAMKAASNEPVLVSPKITTRKPSG >EOY02658 pep chromosome:Theobroma_cacao_20110822:4:1690445:1695360:-1 gene:TCM_017073 transcript:EOY02658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 59 kDa regulatory subunit B' eta isoform 1 MIKQILNRLPRKPSKSSDNREGGGGTSTSSSNASTSSRNSDLASTRYANASGAAFSGFNSTPNIGLNPGNKISQVVNAKLNGNMFASFEALPSFRDIPNSEKQNLFIRKLNLCCIVFDFTDPTKNFKEKDIKRQTLLELVDYVSSASGKFSEIVMQEIVKMVSVNLFRALTSPPRENKVLEAFDLEEEEPSMDPAWPHLQVVYEFLLRFVASPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPPEFQRCMVPLFRQIGRCLSSSHFQVAERALFLWNNDHIENLIKQNRKVILPIIFASLEKNARNHWNQAVQSLTLNVRKIFSDSDPELFEECLQKFQEDEARENEVKSKREATWKRLEEIAAMKAASNEPVLVSPKITTRKPSG >EOY02660 pep chromosome:Theobroma_cacao_20110822:4:1691340:1695806:-1 gene:TCM_017073 transcript:EOY02660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 59 kDa regulatory subunit B' eta isoform 1 MIKQILNRLPRKPSKSSDNREGGGGTSTSSSNASTSSRNSDLASTRYANASGAAFSGFNSTPNIGLNPGNKISQVVNAKLNGNMFASFEALPSFRDIPNSEKQNLFIRKLNLCCIVFDFTDPTKNFKEKDIKRQTLLELVDYVSSASGKFSEIVMQEIVKMVSVNLFRALTSPPRENKVLEAFDLEEEEPSMDPAWPHLQVVYEFLLRFVASPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPPEFQRCMVPLFRQIGRCLSSSHFQVAERALFLW >EOY03828 pep chromosome:Theobroma_cacao_20110822:4:19646253:19650230:1 gene:TCM_019017 transcript:EOY03828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide 4-kinase gamma 7, gamma 7,ubdk gamma 7,pi4k gamma 7 isoform 1 MAVAAFKGLFNGECRGNKRMERKSSGKRRVFVQTETGCVLGIELDRSDNAHTVKRKLQLALNVPTEERSLIYGDAVLKNDLSTVRNDSPLLLQRNFMHRSSSTPCLSPTGKDLQQRDHSGPIEILVCSNHFSRTKKLVKEIVKAMKIGIDPIPVCGGLGGAYYFRNCQGENCAIVKPTDEEPYAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDNFANVPSTALVKVTHSIFNVNDGVNRNKQQERKEVSKIASMQQFIPHDFDASDHGTSSFPVAAVHRIGILDIRILNTDRHAGNLLVRKIDGDGGLGQVELFPIDHGLCLPENLEDPYFEWIHWPQASIPFTDDELEYISHLDPFRDSEMLRMELPMIREACLRVLIVCTVFLKEAATFGLCLAEIGEMMSREFRGLEEEPSELEFICIEARRLLEERNMLFDNVKAGENDFQFEIDCKEEKVDLTSNVENNLASHAYCRPLSGNIRNPLSKLDEYIEEWTEDEENTVPPRLDDYAFAAHDWTPTVPRLSMSMKNVSIGEKSWRHEGAMQKSGYLAGTSSGNRSVNEQLPGSTSFVKLADISEEEWVQYLENFQRLLYPAFSNRKSVSVGQRQRQRLGTSCQF >EOY03827 pep chromosome:Theobroma_cacao_20110822:4:19646200:19650230:1 gene:TCM_019017 transcript:EOY03827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide 4-kinase gamma 7, gamma 7,ubdk gamma 7,pi4k gamma 7 isoform 1 MAVAAFKGLFNGECRGNKRMERKSSGKRRVFVQTETGCVLGIELDRSDNAHTVKRKLQLALNVPTEERSLIYGDAVLKNDLSTVRNDSPLLLQRNFMHRSSSTPCLSPTGKDLQQRDHSGPIEILVCSNHFSRTKKLVKEIVKAMKIGIDPIPVCGGLGGAYYFRNCQGENCAIVKPTDEEPYAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDNFANVPSTALVKVTHSIFNVNDGVNRNKQQERKEVSKIASMQQFIPHDFDASDHGTSSFPVAAVHRIGILDIRILNTDRHAGNLLVRKIDGDGGLGQVELFPIDHGLCLPENLEDPYFEWIHWPQASIPFTDDELEYISHLDPFRDSEMLRMELPMIREACLRVLIVCTVFLKEAATFGLCLAEIGEMMSREFRGLEEEPSELEFICIEARRLLEERNMLFDNVKAGENDFQFEIDCKEEKVDLTSNVENNLASHAYCRPLSGNIRNPLSKLDEYIEEWTEDEENTVPPRLDDYAFAAHDWTPTVPRLSMSMKNVSIGEKSWRHEGAMQKSGYLAGTSSGNRSVNEQLPGSTSFVKLADISEEEWVQYLENFQRLLYPAFSNRKSVSVGQRQRQRLGTSCQF >EOY05738 pep chromosome:Theobroma_cacao_20110822:4:29631176:29633878:-1 gene:TCM_020665 transcript:EOY05738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vicilin-A, putative MVISKSPFIVLIFSLLLSFALLCSGVSAYGRKQYERDPRQQYEQCQRRCESEATEEREQEQCEQRCEREYKEQQRQQEEELQRQYQQCQGRCQEQQQGQREQQQCQRKCWEQYKEQERGEHENYHNHKKNRSEEEEGQQRNNPYYFPKRRSFQTRFRDEEGNFKILQRFAENSPPLKGINDYRLAMFEANPNTFILPHHCDAEAIYFVTNGKGTITFVTHENKESYNVQRGTVVSVPAGSTVYVVSQDNQEKLTIAVLALPVNSPGKYELFFPAGNNKPESYYGAFSYEVLETVFNTQREKLEEILEEQRGQKRQQGQQGMFRKAKPEQIRAISQQATSPRHRGGERLAINLLSQSPVYSNQNGRFFEACPEDFSQFQNMDVAVSAFKLNQGAIFVPHYNSKATFVVFVTDGYGYAQMACPHLSRQSQGSQSGRQDRREQEEESEEETFGEFQQVKAPLSPGDVFVAPAGHAVTFFASKDQPLNAVAFGLNAQNNQRIFLAGKKNLVRQMDSEAKELSFGVPSKLVDNIFNNPDESYFMSFSQQRQRGDERRGNPLASILDFARLF >EOY06554 pep chromosome:Theobroma_cacao_20110822:4:32253239:32253783:1 gene:TCM_021235 transcript:EOY06554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTKKEVPKSCGLKRCICACPMVHKLMFCSNNSVPGQQLFSCLLAILNFIKFLRLIMTFRDISRPAPHPPLPPPPKIKTQAGFT >EOY04528 pep chromosome:Theobroma_cacao_20110822:4:24889244:24904679:1 gene:TCM_019767 transcript:EOY04528 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat,WD domain isoform 1 MALRWFCTRSLLHESLDSSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISHPVLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSPFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHAYFIRFLNSVEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLILVCLKHLHGSMQSDAQTEPLFLQWLCLCLGKLWEDFPEAQIIGLQADAPTICARLLSEPQPEVRASSVFALATLLDVGFDSFRDGVGGDEECDDDDKSRAEIIIIRSLLNVVSDGSPLVRAEVAVALARFAFGHKQHLKSIAAAYWKPQSNSLLNSLPSLANINGTGSGNIVSSQIGPLIRVGNDNTAVVRDGRVSTSSPLATAGIMHGSPLSDDSSQHSDSGILNDGVSNGVVHHSRPKPLDNAMYSQCVLAMCSLAKDPSPRIANLGRRVLSIIGIEQVTKSVKSAGSTGRPGEPTTSSPTPNFAGLVRSSSWFDMNGGHLPLTFRTPPVSPPRQNYLAGMRRVCSLEFRPHLMNSPDSGLPHALLGSGSGTSERSLLPQSTIYNFSCGHFSKPLLTPSDDSEELLARREERERFALEHIAKCQHSSVSKLNNNNQIASWDTRFETGTRTALLHPYSPIVIAADENERIRIWNYEGAALLNGFDNHDFPEKGISKLCLLNELDESLLLVASCDGNIRVWKDYTVSGKQKLVTAFSSIQGHKPGVRSLSAVVDWQQQSGYLYASGEISSIMLWDLDKEQLVNSISSSSDCSISALASSQVHGGQFAAGFVDGSVRLYDIRTPDMMVCATRPHTQQVQRVVGIGFQPGLDQGKIVSAAQAGDIQFLDIRSLRDAYLTIDAFRGSLTALAVHRHAPVIASGSAKQLIKVFSLQGEQLGTIRYQHTFMAQKIGSNLGGSTPRTNGVVDTEIKVNGVQCLSLDAAAAAAAATFTKIHCGVFLEIQVGGGHNQLIVCYI >EOY04525 pep chromosome:Theobroma_cacao_20110822:4:24886232:24901066:1 gene:TCM_019767 transcript:EOY04525 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat,WD domain isoform 1 MALGDLMTSRFSQLPLAVSNHVIEGNGSSSDYHEDDAAADVAFQRRDFDTATTSSYTNAAASSAAAPTSMAYLPQTIVLCELRHAAFEASTPTGPSDSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALETIGKSLRDQYERWQPKARCKVELDPTVDEVKKLCNTCRRYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPINDLDSWLRTPSIYVFDCSAAGNIVNSFIELLDCGTSNYPGSARDCILLAACEAHETLPQSAEFPADVFTACLTTPIKMALRWFCTRSLLHESLDSSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISHPVLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSPFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHAYFIRFLNSVEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLILVCLKHLHGSMQSDAQTEPLFLQWLCLCLGKLWEDFPEAQIIGLQADAPTICARLLSEPQPEVRASSVFALATLLDVGFDSFRDGVGGDEECDDDDKSRAEIIIIRSLLNVVSDGSPLVRAEVAVALARFAFGHKQHLKSIAAAYWKPQSNSLLNSLPSLANINGTGSGNIVSSQIGPLIRVGNDNTAVVRDGRVSTSSPLATAGIMHGSPLSDDSSQHSDSGILNDGVSNGVVHHSRPKPLDNAMYSQCVLAMCSLAKDPSPRIANLGRRVLSIIGIEQVTKSVKSAGSTGRPGEPTTSSPTPNFAGLVRSSSWFDMNGGHLPLTFRTPPVSPPRQNYLAGMRRVCSLEFRPHLMNSPDSGLPHALLGSGSGTSERSLLPQSTIYNFSCGHFSKPLLTPSDDSEELLARREERERFALEHIAKCQHSSVSKLNNNNQIASWDTRFETGTRTALLHPYSPIVIAADENERIRIWNYEGAALLNGFDNHDFPEKGISKLCLLNELDESLLLVASCDGNIRVWKDYTVSGKQKLVTAFSSIQGHKPGVRSLSAVVDWQQQSGYLYASGEISSIMLWDLDKEQLVNSISSSSDCSISALASSQVHGGQFAAGFVDGSVRLYDIRTPDMMVCATRPHTQQVQRVVGIGFQPGLDQGKIVSAAQAGDIQFLDIRSLRDAYLTIDAFRGSLTALAVHRHAPVIASGSAKQLIKVFSLQGEQLGTIRYQHTFMAQKIGSNLGGSTPRTNGVVDTEIKVNGVQCLSLDAAAAAAAATFTKIHCGVFLEIQVGGGHNQLIVCYI >EOY04529 pep chromosome:Theobroma_cacao_20110822:4:24889244:24904679:1 gene:TCM_019767 transcript:EOY04529 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat,WD domain isoform 1 MALRWFCTRSLLHESLDSSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISHPVLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSPFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHAYFIRFLNSVEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLILVCLKHLHGSMQSDAQTEPLFLQWLCLCLGKLWEDFPEAQIIGLQADAPTICARLLSEPQPEVRASSVFALATLLDVGFDSFRDGVGGDEECDDDDKSRAEIIIIRSLLNVVSDGSPLVRAEVAVALARFAFGHKQHLKSIAAAYWKPQSNSLLNSLPSLANINGTGSGNIVSSQIGPLIRVGNDNTAVVRDGRVSTSSPLATAGIMHGSPLSDDSSQHSDSGILNDGVSNGVVHHSRPKPLDNAMYSQCVLAMCSLAKDPSPRIANLGRRVLSIIGIEQVTKSVKSAGSTGRPGEPTTSSPTPNFAGLVRSSSWFDMNGGHLPLTFRTPPVSPPRQNYLAGMRRVCSLEFRPHLMNSPDSGLPHALLGSGSGTSERSLLPQSTIYNFSCGHFSKPLLTPSDDSEELLARREERERFALEHIAKCQHSSVSKLNNNNQIASWDTRFETGTRTALLHPYSPIVIAADENERIRIWNYEGAALLNGFDNHDFPEKGISKLCLLNELDESLLLVASCDGNIRVWKDYTVSGKQKLVTAFSSIQGHKPGVRSLSAVVDWQQQSGYLYASGEISSIMLWDLDKEQLVNSISSSSDCSISALASSQVHGGQFAAGFVDGSVRLYDIRTPDMMVCATRPHTQQVQRVVGIGFQPGLDQGKGLTYCFSCS >EOY04526 pep chromosome:Theobroma_cacao_20110822:4:24886643:24898599:1 gene:TCM_019767 transcript:EOY04526 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat,WD domain isoform 1 MALGDLMTSRFSQLPLAVSNHVIEGNGSSSDYHEDDAAADVAFQRRDFDTATTSSYTNAAASSAAAPTSMAYLPQTIVLCELRHAAFEASTPTGPSDSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALETIGKSLRDQYERWQPKARCKVELDPTVDEVKKLCNTCRRYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPINDLDSWLRTPSIYVFDCSAAGNIVNSFIELLDCGTSNYPGSARDCILLAACEAHETLPQSAEFPADVFTACLTTPIKMALRWFCTRSLLHESLDSSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISHPVLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSPFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHAYFIRFLNSVEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLILVCLKHLHGSMQSDAQTEPLFLQWLCLCLGKLWEDFPEAQIIGLQADAPTICARLLSEPQPEVRASSVFALATLLDVGFDSFRDGVGGDEECDDDDKSRAEIIIIRSLLNVVSDGSPLVRAEVAVALARFAFGHKQHLKSIAAAYWKPQSNSLLNSLPSLANINGTGSGNIVSSQIGPLIRVGNDNTAVVRDGRVSTSSPLATAGIMHGSPLSDDSSQHSDSGILNDGVSNGVVHHSRPKPLDNAMYSQCVLAMCSLAKDPSPRIANLGRRVLSIIGIEQVTKSVKSAGSTGRPGEPTTSSPTPNFAGLVRSSSWFDMNGGHLPLTFRTPPVSPPRQNYLAGMRRVCSLEFRPHLMNSPDSGLPHALLGSGSGTSERSLLPQSTIYNFSCGHFSKPLLTPSDDSEELLARREERERFALEHIAKCQHSSVSKLNNNNQIASWDTRFETGTRTALLHPYSPIVIAADENERIRIWNYEGAALLNGFDNHDFPEKGISKLCLLNELDESLLLVASCDGNIRVWKDYTVSGKQKLVTAFSSIQGHKPGVRSLSAVVDWQQQSGYLYASGEISSIMLWDLDKEQLVNSISSSSDCSISALASSQVHGGQFAAGFVDGSVRLYDIRTPDMMVCATRPHTQQVQRVVGIGFQPGLDQGKIVSAAQAGDIQFLDIRSLRDAYLTIDAFRGSLTALAVHRHAPVIASGSAKQLIKVFSLQGEQLGTIRYQHTFMAQKIGSVSCLTFHPYQVRLAAGATDACVSIYADDNSQPR >EOY04527 pep chromosome:Theobroma_cacao_20110822:4:24886232:24901066:1 gene:TCM_019767 transcript:EOY04527 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat,WD domain isoform 1 MALRWFCTRSLLHESLDSSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISHPVLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSPFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHAYFIRFLNSVEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLILVCLKHLHGSMQSDAQTEPLFLQWLCLCLGKLWEDFPEAQIIGLQADAPTICARLLSEPQPEVRASSVFALATLLDVGFDSFRDGVGGDEECDDDDKSRAEIIIIRSLLNVVSDGSPLVRAEVAVALARFAFGHKQHLKSIAAAYWKPQSNSLLNSLPSLANINGTGSGNIVSSQIGPLIRVGNDNTAVVRDGRVSTSSPLATAGIMHGSPLSDDSSQHSDSGILNDGVSNGVVHHSRPKPLDNAMYSQCVLAMCSLAKDPSPRIANLGRRVLSIIGIEQVTKSVKSAGSTGRPGEPTTSSPTPNFAGLVRSSSWFDMNGGHLPLTFRTPPVSPPRQNYLAGMRRVCSLEFRPHLMNSPDSGLPHALLGSGSGTSERSLLPQSTIYNFSCGHFSKPLLTPSDDSEELLARREERERFALEHIAKCQHSSVSKLNNNNQIASWDTRFETGTRTALLHPYSPIVIAADENERIRIWNYEGAALLNGFDNHDFPEKGISKLCLLNELDESLLLVASCDGNIRVWKDYTVSGKQKLVTAFSSIQGHKPGVRSLSAVVDWQQQSGYLYASGEISSIMLWDLDKEQLVNSISSSSDCSISALASSQVHGGQFAAGFVDGSVRLYDIRTPDMMVCATRPHTQQVQRVVGIGFQPGLDQGKIVSAAQAGDIQFLDIRSLRDAYLTIDAFRGSLTALAVHRHAPVIASGSAKQLIKVFSLQGEQLGTIRYQHTFMAQKIGSNLGGSTPRTNGVVDTEIKVNGVQCLSLDAAAAAAAATFTKIHCGVFLEIQVGGGHNQLIVCYI >EOY04671 pep chromosome:Theobroma_cacao_20110822:4:25446312:25457269:1 gene:TCM_019867 transcript:EOY04671 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein / GRAM domain-containing protein isoform 5 FLCRRASCPVLGSVTVPVECEGQSTAVWHKLDSPSGQVCLHIKTIKLPVNSSRGINGFAGANTRRRIIDKQGPTVVHQKPGPLQTIFSLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVVVPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHALRALQRAANNYHAMLEAEKKERAESALRAHSSSIRGSKGQARAPEDNASKTEKVQAFIKEEALSGIHNDVFPCTAEQFFNLLLGDDSSFTNEYRSARKDTNLNMGQWHAADEYDGQVREITFRSICNSPMCPPDTAMTEYQHSVLSSDKKKLVFETVQQAHDVPFGSYFEVHCRWCAETNGENSSVLDIKVGAHFKKWCVMQSKIRAGAINEYKKEVELMLDVARSYIKSHTASGETNNSPSLPSVSQEIS >EOY04667 pep chromosome:Theobroma_cacao_20110822:4:25441476:25457586:1 gene:TCM_019867 transcript:EOY04667 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein / GRAM domain-containing protein isoform 5 MIETAAVVAYFVPSWWEIKVAVAASLFVIVSYWFFTFQGGDGGGGDRSQILENSVDGILDDKDKMVQLKGDLQTNSAYLIKVELLAAKNLIGANLNGTSDPYAIITCGSEKRFSSMVPGSRNPMWGEEFNFSVDELPVQINVTIYDWDIIWKSAVLGSVTVPVECEGQSTAVWHKLDSPSGQVCLHIKTIKLPVNSSRGINGFAGANTRRRIIDKQGPTVVHQKPGPLQTIFSLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVVVPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHALRALQRAANNYHAMLEAEKKERAESALRAHSSSIRGSKGQARAPEDNASKTEKVQAFIKEEALSGIHNDVFPCTAEQFFNLLLGDDSSFTNEYRSARKDTNLNMGQWHAADEYDGQVREITFRSICNSPMCPPDTAMTEYQHSVLSSDKKKLVFETVQQAHDVPFGSYFEVHCRWCAETNGENSSVLDIKVGAHFKKWCVMQSKIRAGAINEYKKEVELMLDVARSYIKSHTASGETNNSPSLPSVSQEIS >EOY04670 pep chromosome:Theobroma_cacao_20110822:4:25442023:25457018:1 gene:TCM_019867 transcript:EOY04670 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein / GRAM domain-containing protein isoform 5 MIETAAVVAYFVPSWWEIKVAVAASLFVIVSYWFFTFQGGDGGGGDRSQILENSVDGILDDKDKMVQLKGDLQTNSAYLIKVELLAAKNLIGANLNGTSDPYAIITCGSEKRFSSMVPGSRNPMWGEEFNFSVDELPVQINVTIYDWDIIWKSAVLGSVTVPVECEGQSTAVWHKLDSPSGQQVCLHIKTIKLPVNSSRGINGFAGANTRRRIIDKQGPTVVHQKPGPLQTIFSLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVVVPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHALRALQRAANNYHAMLEAEKKERAESALRAHSSSIRGSKGQARAPEDNASKTEKVQAFIKEEALSGIHNDVFPCTAEQFFNLLLGDDSSFTNEYRSARKDTNLNMGQWHAADEYDGQVREITFRSICNSPMCPPDTAMTEYQHSVLSSDKKKLVFETVQQAHDVPFGSYFERFIVGGVQRPMVKIHLF >EOY04672 pep chromosome:Theobroma_cacao_20110822:4:25446312:25457269:1 gene:TCM_019867 transcript:EOY04672 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein / GRAM domain-containing protein isoform 5 NFSVDELPVQINVTIYDWDIIWKSAVLGSVTVPVECEGQSTAVWHKLDSPSGQVCLHIKTIKLPVNSSRGINGFAGANTRRRIIDKQGPTVVHQKPGPLQTIFSLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVVVPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHALRALQRAANNYHAMLEAEKKERAESALRAHSSSIRGSKGQARAPEDNASKTEKVQAFIKEEALSGIHNDVFPCTAEQFFNLLLGDDSSFTNEYRSARKDTNLNMGQWHAADEYDGQVREITFRSICNSPMCPPDTAMTEYQHSVLSSDKKKLVFETVQQAHDVPFGSYFEVHCRWCAETNGENSSVLDIKVVQERGGVDVRRCALIYQVAYCQW >EOY04669 pep chromosome:Theobroma_cacao_20110822:4:25441476:25457586:1 gene:TCM_019867 transcript:EOY04669 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein / GRAM domain-containing protein isoform 5 MIETAAVVAYFVPSWWEIKVAVAASLFVIVSYWFFTFQGGDGGGGDRSQILENSVDGILDDKDKMVQLKGDLQTNSAYLIKVELLAAKNLIGANLNGTSDPYAIITCGSEKRFSSMVPGSRNPMWGEEFNFSVDELPVQINVTIYDWDIIWKSAVLGSVTVPVECEGQSTAVWHKLDSPSGQVCLHIKTIKLPVNSSRGINGFAGANTRRRIIDKQGPTVVHQKPGPLQTIFSLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVVVPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHALRALQRAANNYHAMLEAEKKERAESALRAHSSSIRGSKGQARAPEDNASKTEKVQAFIKEEALSGIHNDVFPCTAEQFFNLLLGDDSSFTNEYRSARKDTNLNMGQWHAADEYDGQVREITFRSICNSPMCPPDTAMTEYQHSVLSSDKKKLVFETVQQAHDVPFGSYFEVHCRWCAETNGENSSVLDIKVHILRNGV >EOY04668 pep chromosome:Theobroma_cacao_20110822:4:25442023:25457018:1 gene:TCM_019867 transcript:EOY04668 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein / GRAM domain-containing protein isoform 5 MIETAAVVAYFVPSWWEIKVAVAASLFVIVSYWFFTFQGGDGGGGDRSQILENSVDGILDDKDKMVQLKGDLQTNSAYLIKVELLAAKNLIGANLNGTSDPYAIITCGSEKRFSSMVPGSRNPMWGEEFNFSVDELPVQINVTIYDWDIIWKSAVLGSVTVPVECEGQSTAVWHKLDSPSGQVCLHIKTIKLPVNSSRGINGFAGANTRRRIIDKQGPTVVHQKPGPLQTIFSLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVVVPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHALRALQRAANNYHAMLEAEKKERAESALRAHSSSIRGSKGQARAPEDNASKTEKVQAFIKEEALSGIHNDVFPCTAEQFFNLLLGDDSSFTNEYRSARKDTNLNMGQWHAADEYDGQVREITFRSICNSPMCPPDTAMTEYQHSVLSSDKKKLVFETVQQAHDVPFGSYFEVHCRWCAETNGENSSVLDIKVGVHILRNGV >EOY02991 pep chromosome:Theobroma_cacao_20110822:4:3370684:3377261:1 gene:TCM_017384 transcript:EOY02991 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ uptake transporter 3 isoform 3 MVIGDGVLTPAISVLSSVSGLKVTEKKLTNGEVLLLACVILVGLFALQHFGTHRVAFMFAPIVIIWLVSIFSIGLYNIIHWNPKIIRAISPYYIIKFFRETGKDGWISLGGILLSITGTEAMFADLGHFTAFSIRLAFAFVIYPCLVVQYMGQAAFLSRNLQSIRNSFYDSIPGSVFWPVFVIATLAAIVGSQAVITATFSIIKQCHALGCFPRVKIVHTSKHIYGQIYIPEINWILMILTLSITIGFQDTTLIGNAYGLACMTVMFITTFLMALVITFVWQKTVLLAAMFLLFFWFVEGVYLSAALTKVPQGGWVPLVLSIIFMMVMYIWHYGTRKKYNFDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHKVLVFVCVKSVPVPYVSPEERFLIGRICPRPYRMYRCIVRYGYKDIQRDDGDFENQLIQSIAEFIQMEAGEPQFCSSESSSYDGRMAVISTRTIQSSSSLIVSEIEDFTISNTIQSSKSLDLQSLRSAYDDENPAVRRRQVRFQLPPNPGMDPLVREELMDLIEAKEAGVAYIMGHSYVKARRSSSFLKKLVIDMGYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >EOY02992 pep chromosome:Theobroma_cacao_20110822:4:3370770:3376964:1 gene:TCM_017384 transcript:EOY02992 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ uptake transporter 3 isoform 3 MEPEYGISTPIRNPSPLSWVNLSRNLILAYQSLGVVYGDLSTSPLYVYSSTFIGKLQNHQNEEAIFGAFSLIFWTITLIPLLKYVFILLSADDNGEGGTFALYSLLCRHAKFSLLPNQQAADEELSAYKYGPSTQAAGSSPLKRFLEKHKRLRTALLVVVLFGASMVIGDGVLTPAISAVLSSVSGLKVTEKKLTNGEVLLLACVILVGLFALQHFGTHRVAFMFAPIVIIWLVSIFSIGLYNIIHWNPKIIRAISPYYIIKFFRETGKDGWISLGGILLSITGTEAMFADLGHFTAFSIRLAFAFVIYPCLVVQYMGQAAFLSRNLQSIRNSFYDSIPGSVFWPVFVIATLAAIVGSQAVITATFSIIKQCHALGCFPRVKIVHTSKHIYGQIYIPEINWILMILTLSITIGFQDTTLIGNAYGLACMTVMFITTFLMALVITFVWQKTVLLAAMFLLFFWFVEGVYLSAALTKVPQGGWVPLVLSIIFMMVMYIWHYGTRKKYNFDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHKVLVFVCVKSVPVPYVSPEERFLIGRICPRPYRMYRCIVRYGYKDIQRDDGDFENQLIQSIAEFIQMEAGEPQFCSSESSSYDGRMAVISTRTIQSSSSLIVSEIEDFTISNTIQSSKSLDLQSLRSAYDDENPAVRRRQVRFQLPPNPGMDPLVREELMDLIEAKEAGVAYIMGHSYVKARRSSSFLKKLVIDMGYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >EOY02989 pep chromosome:Theobroma_cacao_20110822:4:3366596:3377260:1 gene:TCM_017384 transcript:EOY02989 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ uptake transporter 3 isoform 3 MEPEYGISTPIRNPSPLSWVNLSRNLILAYQSLGVVYGDLSTSPLYVYSSTFIGKLQNHQNEEAIFGAFSLIFWTITLIPLLKYVFILLSADDNGEGGTFALYSLLCRHAKFSLLPNQQAADEELSAYKYGPSTQAAGSSPLKRFLEKHKRLRTALLVVVLFGASMVIGDGVLTPAISVLSSVSGLKVTEKKLTNGEVLLLACVILVGLFALQHFGTHRVAFMFAPIVIIWLVSIFSIGLYNIIHWNPKIIRAISPYYIIKFFRETGKDGWISLGGILLSITGTEAMFADLGHFTAFSIRLAFAFVIYPCLVVQYMGQAAFLSRNLQSIRNSFYDSIPGSVFWPVFVIATLAAIVGSQAVITATFSIIKQCHALGCFPRVKIVHTSKHIYGQIYIPEINWILMILTLSITIGFQDTTLIGNAYGLACMTVMFITTFLMALVITFVWQKTVLLAAMFLLFFWFVEGVYLSAALTKVPQGGWVPLVLSIIFMMVMYIWHYGTRKKYNFDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHKVLVFVCVKSVPVPYVSPEERFLIGRICPRPYRMYRCIVRYGYKDIQRDDGDFENQLIQSIAEFIQMEAGEPQFCSSESSSYDGRMAVISTRTIQSSSSLIVSEIEDFTISNTIQSSKSLDLQSLRSAYDDENPAVRRRQVRFQLPPNPGMDPLVREELMDLIEAKEAGVAYIMGHSYVKARRSSSFLKKLVIDMGYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >EOY02990 pep chromosome:Theobroma_cacao_20110822:4:3366596:3377260:1 gene:TCM_017384 transcript:EOY02990 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ uptake transporter 3 isoform 3 MEPEYGISTPIRNPSPLSWVNLSRNLILAYQSLGVVYGDLSTSPLYVYSSTFIGKLQNHQNEEAIFGAFSLIFWTITLIPLLKYVFILLSADDNGEGGTFALYSLLCRHAKFSLLPNQQAADEELSAYKYGPSTQAAGSSPLKRFLEKHKRLRTALLVVVLFGASMVIGDGVLTPAISVLSSVSGLKVTEKKLTNGEVLLLACVILVGLFALQHFGTHRVAFMFAPIVIIWLVSIFSIGLYNIIHWNPKIIRAISPYYIIKFFRETGKDGWISLGGILLSITGTEAMFADLGHFTAFSIRLAFAFVIYPCLVVQYMGQAAFLSRNLQSIRNSFYDSIPGSVFWPVFVIATLAAIVGSQAVITATFSIIKQCHALGCFPRVKIVHTSKHIYGQIYIPEINWILMILTLSITIGFQDTTLIGNAYGLACMTVMFITTFLMALVITFVWQKTVLLAAMFLLFFWFVEGVYLSAALTKVPQGGWVPLVLSIIFMMVMYIWHYGTRKKYNFDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHKVLVFVCVKSVPVPYVSPEERFLIGRICPRPYRMYRCIVRYGYKDIQRDDGDFENQLIQSIAEFIQMEAGEPQFCSSESSSYDGRMAVISTRTIQSSSSLIVSEIEDFTISNTIQSSKSLDLQSLRSAYDDENPAVRRRQVRFQLPPNPGMDPLVREELMDLIEAKEAGVAYIMGHSYVKARRSSSFLKKLVIDMGYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >EOY05151 pep chromosome:Theobroma_cacao_20110822:4:27408056:27410179:1 gene:TCM_020234 transcript:EOY05151 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-glucuronate 4-epimerase 6 MPTPPDTSKTVKLERYNSYLRKLHSTKLLNASSKLLFRVTLLIALVLILFFTINYPPLSDNPHHVPPHQHHHSFLSTSLFSISPGGAAWEKQVRHSSTPRRPNGFSVLVTGAAGFIGSHCSLALKKRGDGVLGLDNFNNYYDPSLKRARQNLLSKHQIFIVEGDLNDGPLLTKLFDVVPFTHVLHLAAQAGVRYAMQNPQSYVSSNIAGFVNLLEVAKSANPQPSIVWASSSSVYGLNTENPFSERDRTDQPASLYAATKKAGEEIAHTYNHIYGLALTGLRFFTVYGPWGRPDMAYFFFTKDILQGKSIDIYQTQDQKEVARDFTYIDDVVKGCLGALDTAGKSTGSGGKKKGPAQLRVYNLGNTSPVPVGRLVSILEGLLNTKAKKHVITMPRNGDVPFTHANVTLAYKDFGYKPTTDLATGLRKFVKWYVSYFGIQRRVKKETQHADESG >EOY03043 pep chromosome:Theobroma_cacao_20110822:4:3862664:3865153:-1 gene:TCM_017455 transcript:EOY03043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEDRGALLVRVDDSEERFGNLSSVYKPRSAYRFCESEWPDYKRKEEWVTRLRRLMSIEVTWRAPWMPRMQVMYKCGDKPWVPLMGPWGAISYAPIMVRRQFGSEQFVPMTHQLDQLEFTYGEPETLKRIEEIAQDWKKTCRVDQGRVTDEVTTGYHTWHDQRVKNVIHPPKNPSKHPVNPEPQDVLLESELTRKRLEKEMMNMKRRHEDELEEVKKETARKVRVALKERDEWQSKFEEVSVANSSLLARIQELQSANNALQHEVQRKEQTIQELKNDYDMLETAMEGYKAQYEAVRQEYFQMRERNNSCAQSLQRKEAEMQWILRQMREVAFRARVMADKTEELRREILPKDELSERLISHLKMVRDQYDKVGFSF >EOY06599 pep chromosome:Theobroma_cacao_20110822:4:32422583:32424577:1 gene:TCM_021271 transcript:EOY06599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative MGRVGLESDAKSQLVLEICSMSGTPIACSHRHHFNNSVKSHFIDWYRVLGDLPLQVAEDAGTELVKKRYHKLALQLHPDKNKHPKAEIAFKLVSQAYTCLSDSVKRRAFNSERWKYFCIECNRIPYTSGNSLANSRYSSKPRAQGPTSCARSSRVVQGLKDIRDRLKEEVRVIEHCLRVNSLSRRESPLFDPSNNLCQSKIRQKSQRETPIFEPLDYLFQGYPHLRVQSYKKPESFWHLQRRSVQGRGTYQSPIFENASTTGIFRGMLKSKSVCIHS >EOY02394 pep chromosome:Theobroma_cacao_20110822:4:650717:652026:-1 gene:TCM_016883 transcript:EOY02394 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase 1 isoform 3 MAMAHRAQAVISNMEFVQFHPTALADEGLPIKPKKTRENAFLVTEAVRGDGGILFNLSMERFMPFYDERAELAPRDVVARSIDDQLKKRNEKYVLLDISHKPREKILSHFPNIAAECLQHGLDITQQPIPVVPAAHYMCGGVRAGLQGETNVHGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMKSSSLDLSASNLWTQPVVPKSLGSDVMHKILRTTKEVRKELQSVMWKYVGIVRSTTRLQEAEQKIGELEAKWETYLFEHGWQQTMVALDACEMRNLFCCAKLVVSSALARHESRGLHYMIDFPHLEESKRLPTVIFPSSHMMSTWSSRQLHQQPMY >EOY02392 pep chromosome:Theobroma_cacao_20110822:4:650220:654649:-1 gene:TCM_016883 transcript:EOY02392 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase 1 isoform 3 MDACRESSHGHVGYPSFCRSIGVIFLIIRGVAGLRYALEVAKHGTVAVITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEETVKVVCTEGPDRIRELIAMGASFDHGEDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVINDPNIVVFKHHFAIDLLTSQYGSDTVCHGVDALNTETQEVVRFVSKVTLLASGGAGHIYPSTTNPPVATGDGMAMAHRAQAVISNMEFVQFHPTALADEGLPIKPKKTRENAFLVTEAVRGDGGILFNLSMERFMPFYDERAELAPRDVVARSIDDQLKKRNEKYVLLDISHKPREKILSHFPNIAAECLQHGLDITQQPIPVVPAAHYMCGGVRAGLQGETNVHGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMKSSSLDLSASNLWTQPVVPKSLGSDVMHKILRTTKEVRKELQSVMWKYVGIVRSTTRLQEAEQKIGELEAKWETYLFEHGWQQTMVALDACEMRNLFCCAKLVVSSALARHESRGLHYMIDFPHLEESKRLPTVIFPSSHMMSTWSSRQLHQQPMY >EOY02391 pep chromosome:Theobroma_cacao_20110822:4:649932:654855:-1 gene:TCM_016883 transcript:EOY02391 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase 1 isoform 3 MAASVAYVGGQLQYGMNFYWGQGYRQALWVPGVTINGCLQRELSWSRGVSKFLQIHRCNFSHYPVRENWKSFRTVTTSAYLKDGSTKYFDFAVIGSGVAGLRYALEVAKHGTVAVITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEETVKVVCTEGPDRIRELIAMGASFDHGEDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVINDPNIVVFKHHFAIDLLTSQYGSDTVCHGVDALNTETQEVVRFVSKVTLLASGGAGHIYPSTTNPPVATGDGMAMAHRAQAVISNMEFVQFHPTALADEGLPIKPKKTRENAFLVTEAVRGDGGILFNLSMERFMPFYDERAELAPRDVVARSIDDQLKKRNEKYVLLDISHKPREKILSHFPNIAAECLQHGLDITQQPIPVVPAAHYMCGGVRAGLQGETNVHGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMKSSSLDLSASNLWTQPVVPKSLGSDVMHKILRTTKEVRKELQSVMWKYVGIVRSTTRLQEAEQKIGELEAKWETYLFEHGWQQTMVALDACEMRNLFCCAKLVVSSALARHESRGLHYMIDFPHLEESKRLPTVIFPSSHMMSTWSSRQLHQQPMY >EOY02393 pep chromosome:Theobroma_cacao_20110822:4:650393:654769:-1 gene:TCM_016883 transcript:EOY02393 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase 1 isoform 3 MQDTIVAGAYLCDEETVKVVCTEGPDRIRELIAMGASFDHGEDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVINDPNIVVFKHHFAIDLLTSQYGSDTVCHGVDALNTETQEVVRFVSKVTLLASGGAGHIYPSTTNPPVATGDGMAMAHRAQAVISNMEFVQFHPTALADEGLPIKPKKTRENAFLVTEAVRGDGGILFNLSMERFMPFYDERAELAPRDVVARSIDDQLKKRNEKYVLLDISHKPREKILSHFPNIAAECLQHGLDITQQPIPVVPAAHYMCGGVRAGLQGETNVHGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMKSSSLDLSASNLWTQPVVPKSLGSDVMHKILRTTKEVRKELQSVMWKYVGIVRSTTRLQEAEQKIGELEAKWETYLFEHGWQQTMVALDACEMRNLFCCAKLVVSSALARHESRGLHYMIDFPHLEESKRLPTVIFPSSHMMSTWSSRQLHQQPMY >EOY06250 pep chromosome:Theobroma_cacao_20110822:4:31433016:31435310:-1 gene:TCM_021048 transcript:EOY06250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEQQIRTWQNGRERNRGARRGREPEMEMAAQPSPWSSFIFFPHYNDIQLHNNLIHFPFCPLSRSHLRRRLSSYAARDSRRRRRKWDSNAETIRAKGFSFNTQNDDEEADDDDDDDDEEIASSGILDEAIDSFWILKVFKSFGWALPAILLSLFFATGPKAFLMALALPLGQSAITLAFQKLFGRSQSKQKRKTRVRKRTKNTSPRTVKNVKMEEEVQEGQQSRKGMKGYQSWVVSDDGSVNEGGQDAPSFGGWDELDGMGSTRMSSTKASSSKKTTNEKGKLSMKRTNSDAPLLLRLLFAVFPFLGSWTKLFW >EOY06322 pep chromosome:Theobroma_cacao_20110822:4:31573674:31574112:-1 gene:TCM_021085 transcript:EOY06322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHTSASTQQLGSEHCSFCSSFFLPPGLNCPGLLVESLHLKFIETILVFFLFPNFFTFKCISLYIVVDDDGRGFPWQNLGAPMVLRFGCC >EOY06952 pep chromosome:Theobroma_cacao_20110822:4:33431258:33438878:-1 gene:TCM_021511 transcript:EOY06952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein MPLPVPSKHYSAKRENRVWQRSGRTPLPAPNNHYSAKRENRVWQSSGRTPCKSQKVKRQLKQGTKWCVLAGGGVGCEAIVGIRGFSFRLVQARPCSLLMDDPSSSAKTANLDAPLHVFGFELQDLSPTKVTGRLQVTQKCCQPFKVLHGGVSALIAEALASIGAHMASGYQRVAGIHLSINHLKRAELGDLIFAEATPVNVGKTIQVWEVRLWKTDPSSSGSRSLVSSSRVSLLCNMPVPEHAKDAGENLKKFAKL >EOY05698 pep chromosome:Theobroma_cacao_20110822:4:29528559:29530762:-1 gene:TCM_020635 transcript:EOY05698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 3 MPFLTANELGSLLVSAISTHSLLFGRATHAHILKSLQIPFPSFLSNHLINMYSKFNLPNSAHLVLLQTPPESRSVVTWTALISGHVQNGHFASALIHFSHMRKDLISPNDFTFPCAFKASAALRSPVVGKQLHALALKSAQIFDSFVGCSCFDMYLKTGLRGEARNMFDEMPDRSVAMWNANISNAVLDGKPSIAVDVFIKFRRVGGEPDPITFCVFLNACSDAFYLELGRQLHGCVIRSGFDGNLSVCNGLVDFYGKCKEVESAKMVFDGMEKRNAVSWCSLVSAYEQNYEEENACEVFLAARKEGVEPTDFMVSSVISACAGMSGLEFGRSVHGLAVKACVKGNVFVGSALIDMYGKCGSIKDAEQAFHEMPERNLVTWNAMIGGYAHQGCADMALALFQDMMSCGVVPNYVTLVCVLSACSRGGAVKLGVKIFESMNERFHIEPGAEHYACVVDLLGRAGMVERAYDFIKKMPIAPTISVWGALLNACRVYKKPELGRIAAYKLFELDPKDSGNHVLLSNLFASTGRWEEADLVRKEMKDVGIKKGAGCSWITVKNEVHTFQAKDTSHEMNSKIQEMLAKLRREMKSAGYIADTNFALYDLEEEEKISEVGYHSEKIALAFGLIVIPPGVPIRITKNLRICGDCHSAFKFMSGIVGREIIVRDNNRFHRFRDGQCSCRDYW >EOY05701 pep chromosome:Theobroma_cacao_20110822:4:29527941:29530808:-1 gene:TCM_020635 transcript:EOY05701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 3 MPFLTANELGSLLVSAISTHSLLFGRATHAHILKSLQIPFPSFLSNHLINMYSKFNLPNSAHLVLLQTPPESRSVVTWTALISGHVQNGHFASALIHFSHMRKDLISPNDFTFPCAFKASAALRSPVVGKQLHALALKSAQIFDSFVGCSCFDMYLKTGLRGEARNMFDEMPDRSVAMWNANISNAVLDGKPSIAVDVFIKFRRVGGEPDPITFCVFLNACSDAFYLELGRQLHGCVIRSGFDGNLSVCNGLVDFYGKCKEVESAKMVFDGMEKRNAVSWCSLVSAYEQNYEEENACEVFLAARKEGVEPTDFMVSSVISACAGMSGLEFGRSVHGLAVKACVKGNVFVGSALIDMYGKCGSIKDAEQAFHEMPERNLVTWNAMIGGYAHQGCADMALALFQDMMSCGVVPNYVTLVCVLSACSRGGAVKLGVKIFESMNERFHIEPGAEHYACVVDLLGRAGMVERAYDFIKKMPIAPTISVWGALLNACRVYKKPELGRIAAYKLFELDPKDSGNHVLLSNLFASTGRWEEADLVRKEMKDVGIKKGAGCSWITVKNEVHTFQAKDTSHEMNSKIQEMLAKLRREMKSAGYIADTNFALYDLEEEEKISEVGYHSEKIALAFGLIVIPPGVPIRITKNLRICGDCHSAFKFMSGIVGREIIVRDNNRFHRFRDGQCSCRDYW >EOY05700 pep chromosome:Theobroma_cacao_20110822:4:29527038:29530804:-1 gene:TCM_020635 transcript:EOY05700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 3 MPFLTANELGSLLVSAISTHSLLFGRATHAHILKSLQIPFPSFLSNHLINMYSKFNLPNSAHLVLLQTPPESRSVVTWTALISGHVQNGHFASALIHFSHMRKDLISPNDFTFPCAFKASAALRSPVVGKQLHALALKSAQIFDSFVGCSCFDMYLKTGLRGEARNMFDEMPDRSVAMWNANISNAVLDGKPSIAVDVFIKFRRVGGEPDPITFCVFLNACSDAFYLELGRQLHGCVIRSGFDGNLSVCNGLVDFYGKCKEVESAKMVFDGMEKRNAVSWCSLVSAYEQNYEEENACEVFLAARKEGVEPTDFMVSSVISACAGMSGLEFGRSVHGLAVKACVKGNVFVGSALIDMYGKCGSIKDAEQAFHEMPERNLVTWNAMIGGYAHQGCADMALALFQDMMSCGVVPNYVTLVCVLSACSRGGAVKLGVKIFESMNERFHIEPGAEHYACVVDLLGRAGMVERAYDFIKKMPIAPTISVWGALLNACRVYKKPELGRIAAYKLFELDPKDSGNHVLLSNLFASTGRWEEADLVRKEMKDVGIKKGAGCSWITVKNEVHTFQAKDTSHEMNSKIQEMLAKLRREMKSAGYIADTNFALYDLEEEEKISEVGYHSEKIALAFGLIVIPPGVPIRITKNLRICGDCHSAFKFMSGIVGREIIVRDNNRFHRFRDGQCSCRDY >EOY05699 pep chromosome:Theobroma_cacao_20110822:4:29527038:29530804:-1 gene:TCM_020635 transcript:EOY05699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 3 MPFLTANELGSLLVSAISTHSLLFGRATHAHILKSLQIPFPSFLSNHLINMYSKFNLPNSAHLVLLQTPPESRSVVTWTALISGHVQNGHFASALIHFSHMRKDLISPNDFTFPCAFKASAALRSPVVGKQLHALALKSAQIFDSFVGCSCFDMYLKTGLRGEARNMFDEMPDRSVAMWNANISNAVLDGKPSIAVDVFIKFRRVGGEPDPITFCVFLNACSDAFYLELGRQLHGCVIRSGFDGNLSVCNGLVDFYGKCKEVESAKMVFDGMEKRNAVSWCSLVSAYEQNYEEENACEVFLAARKEGVEPTDFMVSSVISACAGMSGLEFGRSVHGLAVKACVKGNVFVGSALIDMYGKCGSIKDAEQAFHEMPERNLVTWNAMIGGYAHQGCADMALALFQDMMSCGVVPNYVTLVCVLSACSRGGAVKLGVKIFESMNERFHIEPGAEHYACVVDLLGRAGMVERAYDFIKKMPIAPTISVWGALLNACRVYKKPELGRIAAYKLFELDPKDSGNHVLLSNLFASTGRWEEADLVRKEMKDVGIKKGAGCSWITVKNEVHTFQAKDTSHEMNSKIQEMLAKLRREMKSAGYIADTNFALYDLEEEEKISEVGYHSEKIALAFGLIVIPPGVPIRITKNLRICGDCHSAFKFMSGIVGREIIVRDNNRFHRFRDGQCSCRDYW >EOY06928 pep chromosome:Theobroma_cacao_20110822:4:33377879:33381207:-1 gene:TCM_021501 transcript:EOY06928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAIGKLIVVCLSGGKFTTNADATLSYSGGDAHATSVTLDTKFDDFKSEVADMWKYDPHSLTIKYFLPNNKRTLITISTDKDVRRFIEFHEDSASADVYVITPMPPAPSDAVSMPCSRSSHTMPNGPVSPVDAPTDFAADEPVSPADAPMYFLPDEEDSEQQKTPGISSWKNCITGIGQTFNTRRELHDALDKFSLAYGFLYTLKNSDGRRFCARCKAEGCPWFFIAPKVSTTKLFRIKKMNDTHTCGVGSKRTHASRKLVTSIVKEKLRDTPTYKPQEIIDDIKRDLGIELNYAQAYGGIAAALEELQGSHRKAYNQLPLLCEKIMETNPGSAAILNTKEDSSFHCIFVAFHASVHGFQNGCRQLLFLDCVPLKSKYRGELFAATALDGNDGIFLLAFAIVDVLSNDNWHWFLEQLKTVLCTSLEITFVADMTKEMSESLSSIFPNCFHSYCLHQLTESLKNKFKGSFTQEVVRVLISEFHGATYAPTAEGFKKCIETIKNISPEAYEWVLQTEPEHWANAFFKGARYNHLKSSVAESFYEWVSDLPAMPITQVIETIRRKMMEFIYTCKIDSDQWSSKLTPSAEENLQRCLVNSRSLEVLLSPDSSFKVCDKLGVINVVSLDNWDCSCREWQIKGLPCSHAAAAIEHIGKNVHDYCCRYFTTEAFRVTYSESINPIPALDRSMQRESSPVQVHPPCIPRPVGRPKERKHAFTSKQAVKRTLQCSKCKKLGHNKRKCKLSV >EOY06927 pep chromosome:Theobroma_cacao_20110822:4:33377736:33381198:-1 gene:TCM_021501 transcript:EOY06927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAIGKLIVVCLSGGKFTTNADATLSYSGGDAHATSVTLDTKFDDFKSEVADMWKYDPHSLTIKYFLPNNKRTLITISTDKDVRRFIEFHEDSASADVYVITPMPPAPSDAVSMPCSRSSHTMPNGPVSPVDAPTDFAADEPVSPADAPMYFLPDEEDSEQQKTPGISSWKNCITGIGQTFNTRRELHDALDKFSLAYGFLYTLKNSDGRRFCARCKAEGCPWFFIAPKVSTTKLFRIKKMNDTHTCGVGSKRTHASRKLVTSIVKEKLRDTPTYKPQEIIDDIKRDLGIELNYAQAYGGIAAALEELQGSHRKAYNQLPLLCEKIMETNPGSAAILNTKEDSSFHCIFVAFHASVHGFQNGCRQLLFLDCVPLKSKYRGELFAATALDGNDGIFLLAFAIVDVLSNDNWHWFLEQLKTVLCTSLEITFVADMTKEMSESLSSIFPNCFHSYCLHQLTESLKNKFKGSFTQEVVRVLISEFHGATYAPTAEGFKKCIETIKNISPEAYEWVLQTEPEHWANAFFKGARYNHLKSSVAESFYEWVSDLPAMPITQVIETIRRKMMEFIYTCKIDSDQWSSKLTPSAEENLQRCLVNSRSLEVLLSPDSSFKVCDKLGVINVVSLDNWDCSCREWQIKGLPCSHAAAAIEHIGKNVHDYCCRYFTTEAFRVTYSESINPIPALDRSMQRESSPVQVHPPCIPRPVGRPKERKHAFTSKQAVKRTLQCSKCKKLGHNKRKCKLSV >EOY05841 pep chromosome:Theobroma_cacao_20110822:4:29972527:29975124:-1 gene:TCM_020738 transcript:EOY05841 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor C1 isoform 1 MGAFVSKFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGDVVTTHPTVGSNVEELVYKNIRFEVWDLGGQERLRTSWATYYRGTHAVIVVIDSTDRARITIMKDELFRLLGHEDLQHSVILVFANKQDLKDAMTPAEITDALSLHSIKNHDWHIQSCCALTGDGLYDGLGWIAQHVTGKAPS >EOY05840 pep chromosome:Theobroma_cacao_20110822:4:29972096:29975275:-1 gene:TCM_020738 transcript:EOY05840 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor C1 isoform 1 MGAFVSKFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGDVVTTHPTVGSNVEELVYKNIRFEVWDLGGQERLRTSWATYYRGTHAVIVVIDSTDRARITIMKDELFRLLGHEDLQHSVILVFANKQDLKDAMTPAEITDALSLHSIKNHDWHIQSCCALTGDGLYDGLGWIAQHVTGKAPS >EOY04171 pep chromosome:Theobroma_cacao_20110822:4:22399477:22404738:1 gene:TCM_019432 transcript:EOY04171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANWGLKSCCNNDQVAFLVTIAVFTVVILALWRTVVLMPFKLITVFLHEASHAIACKLTCGHVEGIQVHANEGGVTQTRGGVYWLILPAGYLGSSFWGMALILASTNLLTARIAAGCFLLALVVVLFYAKNWTLRGLCIGFIIFLAIIWLLQEKTKVRILRYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEICPCPCNGVGWGFIWGMISFLFLAGAMYLGLVILS >EOY05944 pep chromosome:Theobroma_cacao_20110822:4:30319514:30330947:-1 gene:TCM_020807 transcript:EOY05944 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like superfamily protein MAGVASEESGVGRSVEGISSGQRCQLGEALAEWRSSEQVENGTPSTSPPYWDTDDDDDGGPKPSELYGKYTWKIEKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVNNHDKLLPGWSHFAQFTIAVVNKDQKKSKYSDTLHRFCKKEHDWGWKKFMELSKVYDGFIESDTLIIKAQVQVIREKADRPFRCLDCQYRRELVRVYLTNVEQICRRFLDERRGKLGRLIEDKARWSSFCAFWLGIDQNARRRMSREKADVILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQSKGKKAKLKLLDAEEMPAPIVRVEKDMFVLVDDVLLLLERAALEPLPPKDEKGPQNRTKDGNSGEDFNKDSIERDERRLTELGRRTVEIFVLAHIFSNKIEVAYQEAVALKRQEELIREEAAWLAESEKAKRGASVKEKKSKKKQAKQKRNNRKSKDKGREEKASVAAQDKHQEDHPGDEKEVSMMVEVQPVPEKSDVLGDVSDVSDSVDGATEVLQPDSEDRDASPVNWDTDTSEIHPPAEASSSGISGLSCVQNGIADKRSLSIMDDSSSTCSTDSVPSVVMNGPYKGNSFSNNQNQKSPSRGNYQRSKTSSDGSSWTTEIDNRPSFPAIDAGDHNDVSESSKAGESESEAAVSSLPDQTKWVEPDAVKKEEVVLLQKKPSTQDAVDLERPKEKTAAIPCSPRSPPKNLPPTAQFRSEYRSAGSVDSMPGRKASSNSLQQSDQPASSSTSFQMTGISKSETQKAATPKPMEKPMTPQLPVMSRPSSAPLIPGPRPTAPVVSMVQTTPFLARSVSAAGRLGPDPSPATSYVPQSYRNAIMGNHVASSSAGFTHPNSPNSGVNPSPAYSQPPALVSAPVYMPQSSERIEPNSVQSGFPYGMVARDTLPNAPQWMESSQRDGSRNMHSDPSSLLSDIQNLDLYKPVHNGYREHFSTEFPACTSGLQTQGVLADEFPHLDIINDLLDEEHNVGRAGTGFQSLGNGSHLLNRHFSFPSNFGMSGEMGSSSGSCRFERARSYQDDGFQRGYSSSSGNHFDTLREFIPQASPLTYANGQIDGLVPTQWPMASSDLSLLGMRNAEGDSYPYYSPDYSNLACGVNGYTVFRPSNGH >EOY02348 pep chromosome:Theobroma_cacao_20110822:4:506968:511180:1 gene:TCM_016857 transcript:EOY02348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated channel 18 MNSIIPSFRHLPSSSPPPRRPSTANAPTDFFLLWRYQIFDPDSDIVSYWNHVFLVICIMSLFIDPLYFFLPYVGGPACLRTDASMGVIITVFRSMADLLYLSNMLMKFRTAFVAPNSRVFGRGELVMDAREIAMRYLKSDFVIDLAAALPLPQFVIWIVIPTTRNSRADHANNTVALIVLLQYIPRLFQIFPLNQKIIKSTGVVARTAWAGAAYNLLLYVLASHVLGAIWYLCSITRQFSCWKMECARENASKLLACVPSFLDCNSLTKPERQYWVNVTNVLRNCDATNSLVDFKFGMFADAFTTNVASKNFIVKYLYCLWWGLRNLSSYGQNLETSIYIWENLFCIVICILGLILFALLIGNMQTSLQSMTVRIEEWRVKRRDTEEWMRHRQLPENLQERVRRFVQYKWLATRGVDEESILQSLPLDLRREIQRHLCISLVRRVPFFSQVDDQFLDAICERLVSSLSTEGTYIVREGDLVNEMLFIIRGQLESSTTNGGRSGFFNSIALRPGDFCGEELLTWALMPNSTSLPSSTRTVRALSEVEAFALQADDLQFFAHQFKRLQSKKLQHTFRYYSHQWRTWGACFIQAAWRRFKKRKMAKELITRESSFYYRSLSNPESYYIDDIEDEDENIERTSTDSPTNVQHLGATILASKFAANTRKGSNDQKVAVIDSSSNSLKMPKLFKPDEPDFSVDHEDG >EOY05603 pep chromosome:Theobroma_cacao_20110822:4:29128194:29132445:1 gene:TCM_020565 transcript:EOY05603 gene_biotype:protein_coding transcript_biotype:protein_coding description:OB-fold-like, putative isoform 2 MGKKKRAEVEAEPEPQTNNHTNPSLLNGDAHKKKKKKKKTKAEKETNSEAKADEIPTVSIAVAGSIIDNAQSLELATRLASQIARAATIFRIDEVVVFDSKSNSVNNGSFSFPTQNNSNENERGAAFLVRILQYLETPQYLRKALFPKHNSLRYVGMLPPLDAPHHLRKHEWAPFREGVTLKERGTSSVGTVVDVGLDKNVVVDQVLEPGIRVTVAMGTNNNLDSDLPRQVVSSSKPREEAGTYWGYKVRYASNISSVFSECPYKGGYDHLIGTSEHGLIVNSSELTLPVFRYIFVLIGPFKHLLIAFGGLSGLEKSVEEDHKLQGKNVRNIFDLYLNTCPHQGSRTIRTEEALYISLQYFQEPIARALQRARS >EOY05604 pep chromosome:Theobroma_cacao_20110822:4:29128209:29132544:1 gene:TCM_020565 transcript:EOY05604 gene_biotype:protein_coding transcript_biotype:protein_coding description:OB-fold-like, putative isoform 2 MGKKKRAEVEAEPEPQTNNHTNPSLLNGDAHKKKKKKKKTKAEKETNSEAKADEIPTVSIAVAGSIIDNAQSLELATRLASQIARAATIFRIDEGMLPPLDAPHHLRKHEWAPFREGVTLKERGTSSVGTVVDVGLDKNVVVDQVLEPGIRVTVAMGTNNNLDSDLPRQVVSSSKPREEAGTYWGYKVRYASNISSVFSECPYKGGYDHLIGTSEHGLIVNSSELTLPVFRHLLIAFGGLSGLEKSVEEDHKLQGKNVRNIFDLYLNTCPHQGSRTIRTEEALYISLQYFQEPIARALQRARS >EOY05605 pep chromosome:Theobroma_cacao_20110822:4:29128182:29132436:1 gene:TCM_020565 transcript:EOY05605 gene_biotype:protein_coding transcript_biotype:protein_coding description:OB-fold-like, putative isoform 2 MGKKKRAEVEAEPEPQTNNHTNPSLLNGDAHKKKKKKKKTKAEKETNSEAKADEIPTVSIAVAGSIIDNAQSLELATRLASQIARAATIFRIDEVVVFDSKSNSVNNGSFSFPTQNNSNENERGAAFLVRILQYLETPQYLRKALFPKHNSLRPRQVVSSSKPREEAGTYWGYKVRYASNISSVFSECPYKGGYDHLIGTSEHGLIVNSSELTLPVFRHLLIAFGGLSGLEKSVEEDHKLQGKNVRNIFDLYLNTCPHQGSRTIRTEEALYISLQYFQEPIARALQRARS >EOY03270 pep chromosome:Theobroma_cacao_20110822:4:10484717:10487943:1 gene:TCM_018064 transcript:EOY03270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MDEKSPKNVKLETLPVTSTLKDLCLNNNGVSISGSDSSVCSSNNGSISSSSSSSFQDANFNKNSVSISLCSSISESSHKISLSRNADSVVTDYEESQKSNLDANECSGFKSFCPSKPHKGNDIRWDAIQHVKGKDGDLALAHFRLLKKLGCGDIGSVYLAELRGMGCLFAMKVMDKGMLAGRKKLIRAQTEREILSSLDHPFLPTLYSHFETEKFSCLLMEFCSGGDLHILRQRQLGKHFSETAARFYASEVLLTLEYLHMMGVVYRDLKPENVLVREDGHIMLSDFDLSLRCFVNPTLVQSSTGATCRITSYCIQPACIDPACKLPVCVEPACLQPSCFKPRFLSSKTAKAKSERTNLINSDSFPVLIAEPTNARSMSFVGTHEYLAPEIIRGDGHGSAVDWWTFGIFLYELLLGRTPFKGNGNRETLFNVVGQSLKFPEGSSISFGAKDLIRGLLVKDPQKRLGFKRGATEIKQHPFFESVNWALIRSTHPPEIPKPIDLSFLNQAFKSSLPPNEKGATDSDRSSGPYLDFEFF >EOY04097 pep chromosome:Theobroma_cacao_20110822:4:22030911:22035885:-1 gene:TCM_019363 transcript:EOY04097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase 3 isoform 3 MPSWNTGFLSWSENFCNSFQDLSSWRFENSANASHSLSSIDLPLIEPRNKECRMREVQLGSHTVRSHGVKVARTHMHDWLILLLLVVIEVVLYIIHPFYRFVGKDMMEDLKYPLKSNTVPGWAVPVYAVLLPMMIFLLVYIRRRDVYDLHHAILGLLFSVLVTAVITESIKNAVGRPRPDFFWRCFPDGKDAYDKWGNVICHGDKSVIKEGHKSFPSGHTSGSFAGLGFLSLYLSGKVKAFDHRGHVAKLCIVFLPLLVASLVGISRVDDYWHHWQDVFAGGLLDMLSFAGLVVATFCYLQFFPPPYQADGWGPYAYFQVLEESLVSTQATNVENQQDATAEEVQMANQEEERSDNVGMHLACNASSTLEDMESGKR >EOY04102 pep chromosome:Theobroma_cacao_20110822:4:22030586:22036055:-1 gene:TCM_019363 transcript:EOY04102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase 3 isoform 3 MREVQLGSHTVRSHGVKVARTHMHDWLILLLLVVIEVVLYIIHPFYRFVGKDMMEDLKYPLKSNTVPGWAVPVYAVLLPMMIFLLVYIRRRDVYDLHHAILGLLFSVLVTAVITESIKNAVGRPRPDFFWRCFPDGKDAYDKWGNVICHGDKSVIKEGHKSFPSGHTSGSFAGLGFLSLYLSGKVKAFDHRGHVAKLCIVFLPLLVASLVGISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFFPPPYQADGWGPYAYFQVLEESLVSTQATNVENQQDATAEEVQMANQEEERSDNVGMHLACNASSTLEDMESGKR >EOY04099 pep chromosome:Theobroma_cacao_20110822:4:22031066:22036107:-1 gene:TCM_019363 transcript:EOY04099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase 3 isoform 3 MPSWNTGFLSWSENFCNSFQDLSSWRFENSANASHSLSSIDLPLIEPRNKECRMREVQLGSHTVRSHGVKVARTHMHDWLILLLLVVIEVVLYIIHPFYRFVGKDMMEDLKYPLKSNTVPGWAVPVYAVLLPMMIFLLVYIRRRDVYDLHHAILGLLFSVLVTAVITESIKNAVGRPRPDFFWRCFPDGKDAYDKWGNVICHGDKSVIKEGHKSFPSGHTSGSFAGLGFLSLYLSGKVKAFDHRGHVAKLCIVFLPLLVASLVGISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFFPPPYQADGWGPYAYFQVLEESLV >EOY04096 pep chromosome:Theobroma_cacao_20110822:4:22030624:22036034:-1 gene:TCM_019363 transcript:EOY04096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase 3 isoform 3 MPSWNTGFLSWSENFCNSFQDLSSWRFENSANASHSLSSIDLPLIEPRNKECRMREVQLGSHTVRSHGVKVARTHMHDWLILLLLVVIEVVLYIIHPFYRFVGKDMMEDLKYPLKSNTVPGWAVPVYAVLLPMMIFLLVYIRRRDVYDLHHAILGLLFSVLVTAVITESIKNAVGRPRPDFFWRCFPDGKDAYDKWGNVICHGDKSVIKEGHKSFPSGHTSGSFAGLGFLSLYLSGKVKAFDHRGHVAKLCIVFLPLLVASLVGISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFFPPPYQADGWGPYAYFQVLEESLVSTQATNVENQQDATAEEVQMANQEEERSDNVGMHLACNASSTLEDMESGKR >EOY04101 pep chromosome:Theobroma_cacao_20110822:4:22031340:22033385:-1 gene:TCM_019363 transcript:EOY04101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase 3 isoform 3 MREVQLGSHTVRSHGVKVARTHMHDWLILLLLVVIEVVLYIIHPFYRFVGKDMMEDLKYPLKSNTVPGWAVPVYAVLLPMMIFLLVYIRRRDVYDLHHAILGLLFSVLVTAVITESIKNAVGRPRPDFFWRCFPDGKDAYDKWGNVICHGDKSVIKEGHKSFPSGHTSGSFAGLGFLSLYLSGKVKAFDHRGHVAKLCIVFLPLLVASLVGISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFFPPPYQADGKLLPLSRRWLLPTLSFCQLLVW >EOY04100 pep chromosome:Theobroma_cacao_20110822:4:22030586:22036055:-1 gene:TCM_019363 transcript:EOY04100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase 3 isoform 3 MPSWNTGFLSWSENFCNSFQCRMREVQLGSHTVRSHGVKVARTHMHDWLILLLLVVIEVVLYIIHPFYRFVGKDMMEDLKYPLKSNTVPGWAVPVYAVLLPMMIFLLVYIRRRDVYDLHHAILGLLFSVLVTAVITESIKNAVGRPRPDFFWRCFPDGKDAYDKWGNVICHGDKSVIKEGHKSFPSGHTSGSFAGLGFLSLYLSGKVKAFDHRGHVAKLCIVFLPLLVASLVGISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFFPPPYQADGWGPYAYFQVLEESLVSTQATNVENQQDATAEEVQMANQEEERSDNVGMHLACNASSTLEDMESGKR >EOY04103 pep chromosome:Theobroma_cacao_20110822:4:22030722:22033362:-1 gene:TCM_019363 transcript:EOY04103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase 3 isoform 3 MHDWLILLLLVVIEVVLYIIHPFYRFVGKDMMEDLKYPLKSNTVPGWAVPVYAVLLPMMIFLLVYIRRRDVYDLHHAILGLLFSVLVTAVITESIKNAVGRPRPDFFWRCFPDGKDAYDKWGNVICHGDKSVIKEGHKSFPSGHTSGSFAGLGFLSLYLSGKVKAFDHRGHVAKLCIVFLPLLVASLVGISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFFPPPYQADGWGPYAYFQVLEESLVSTQATNVENQQDATAEEVQMANQEEERSDNVGMHLACNASSTLEDMESGKR >EOY04098 pep chromosome:Theobroma_cacao_20110822:4:22031089:22036107:-1 gene:TCM_019363 transcript:EOY04098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase 3 isoform 3 MPSWNTGFLSWSENFCNSFQDLSSWRFENSANASHSLSSIDLPLIEPRNKECRMREVQLGSHTVRSHGVKVARTHMHDWLILLLLVVIEVVLYIIHPFYRFVGKDMMEDLKYPLKSNTVPGWAVPVYAVLLPMMIFLLVYIRRRDVYDLHHAILGLLFSVLVTAVITESIKNAVGRPRPDFFWRCFPDGKDAYDKWGNVICHGDKSVIKEGHKSFPSGHTSGSFAGLGFLSLYLSGKVKAFDHRGHVAKLCIVFLPLLVASLVGISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFFPPPYQADGKLLPLSRRWLLPTLSFCQLLVW >EOY06795 pep chromosome:Theobroma_cacao_20110822:4:32978371:32981014:-1 gene:TCM_021419 transcript:EOY06795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1B/ribosomal protein S6 family protein MHSMSTDNARSIIQEKFLLPSLPKARGMSTMYDVQANLSPLKRVMSCRFNPLVCGGSQLNTQEMAQPNLFYPSRLRGKIHSRLTVMSSCLCAHSIPAMESLLQSPAKVVAPRLPKLKTGSGFFGNQSSFLKLPQENSYLGRRKLLIVEAKKNKNKDNKQDSHSFIPKPDETTGFFPEAVLLKEKKVQEDGKLLPEFADDEEQELYESLNLQLQSALNVERMRHYEVVYLIHEKHAEEVGSVNEKVQDFLREKRGRLWRLNDWGLRRLAYKIKKAKNAHYILMNFELEARWINEFKTMLDKDERVIRHLVIKRDEAITEDCPPPPEWHTLRAGGDDYDEEEDDADYDVYEVEDDEDLGEDWDGEDDSDIFEDNGVEDGIIAGKDDDIEDLNNKSASVANTGKRSHKAEKVAR >EOY06550 pep chromosome:Theobroma_cacao_20110822:4:32244203:32245141:1 gene:TCM_021232 transcript:EOY06550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L22e protein family isoform 1 MSRGAAAGGAKGKKKGATFTIDCGKPVEDKIMDIASLEKFLQERIKVGGKAGNLGDSVTITREKSKITVTSDSAFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEAEEED >EOY06551 pep chromosome:Theobroma_cacao_20110822:4:32244084:32245299:1 gene:TCM_021232 transcript:EOY06551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L22e protein family isoform 1 MSRGAAAGGAKGKKKGATFTIDCGKPVEDKIMDIASLEKFLQERIKVGGKAGNLGDSVTITREKSKITVTSDSAFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEAEEED >EOY02401 pep chromosome:Theobroma_cacao_20110822:4:668315:669511:1 gene:TCM_016886 transcript:EOY02401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 66, putative MTMKKGESPYRKGLWTVEEDKILMDYVKVNGKGQWNKIAKKTGLKRCGKSCRLRWINYLNPNVKKGDFSEEEEDLIIRLHKLLGNRWSLIAKRVPGRTDNQVKNYWNSHLSKKLGIKEQNRKRVDSCQSSKQVKEPDQAAIDPSPGDATSGTMETAVNQSSQKATEVLNAAQESGISENYANPFWIPGDDLELSSLSMMEYLDEYSSFDLA >EOY05164 pep chromosome:Theobroma_cacao_20110822:4:27449036:27450500:1 gene:TCM_020241 transcript:EOY05164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFEQRKGCQIKLVGNGIEGRLGSTDMAIWNKACVRKQLWTVYCKSGSTWVLEEDFKTKHEIGDGRNTFFWLDNWHPHGPLLNHFSGLHKQVKVAVVVEGNGWNWFKTRSRAISVIVAAVDSIITPNPLQADTILWLPTGSSKPRG >EOY02374 pep chromosome:Theobroma_cacao_20110822:4:601359:603285:1 gene:TCM_016871 transcript:EOY02374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein Nup214 MAAMPLPSSSSSPSYVHTHQLFSSCNQISPSLLHFHHLYTIQIRPPRPKQSYSNNGYLASIKAYMENPNSISGFANKVIGSLPVIGLVARIFSDEGGVGGDIIDFAEFRRRVGKKCTITDSRAFYEFQDRKGRAGDPLYVLLCCWLAAVGAGLLKSEEILVGVARLRLSNDIEFEEQNFIAMMSDARERRAKLNVETPTVAMETRAEKALDAIYVCCFGRDPIEEEDESLLNIMLSAVFPTVEKSQIQRIIKDKAVKVAEGGDADTVPEPKPLSKEAVQLQMKDLEFLKQNRET >EOY06549 pep chromosome:Theobroma_cacao_20110822:4:32240551:32243201:1 gene:TCM_021231 transcript:EOY06549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2 gamma subunit, GAMMA isoform 1 MSWSVILLLSLDMQMQRYTNVKMNVALVLCATSRLISSNCFLINFMSIKNNFKFDLKLFCRAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFVSPPNMIIIRSFDVNKPGFEVDEIKGGVAGGSILRGVLKVNQFIEVRPGIFHKDENGNIKAAPIYSRIVSLFAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVFVELEVNFFLLRRLLGVRTKGSERQGKVSKLAKGEILMLNIGSMSTGARVIAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >EOY06547 pep chromosome:Theobroma_cacao_20110822:4:32239599:32243519:1 gene:TCM_021231 transcript:EOY06547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2 gamma subunit, GAMMA isoform 1 MSRKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMCYKAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFVSPPNMIIIRSFDVNKPGFEVDEIKGGVAGGSILRGVLKVNQFIEVRPGIFHKDENGNIKAAPIYSRIVSLFAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVFVELEVNFFLLRRLLGVRTKGSERQGKVSKLAKGEILMLNIGSMSTGARVIAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >EOY06548 pep chromosome:Theobroma_cacao_20110822:4:32240279:32243491:1 gene:TCM_021231 transcript:EOY06548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2 gamma subunit, GAMMA isoform 1 MSRKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMCYKAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQVSYFVLQKMDRYFILVFLAKNNSLQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFVSPPNMIIIRSFDVNKPGFEVDEIKGGVAGGSILRGVLKVNQFIEVRPGIFHKDENGNIKAAPIYSRIVSLFAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVFVELEVNFFLLRRLLGVRTKGSERQGKVSKLAKGEILMLNIGSMSTGARVIAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >EOY03992 pep chromosome:Theobroma_cacao_20110822:4:21130024:21134581:-1 gene:TCM_019225 transcript:EOY03992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMFLESGGDKNEQGVGVNGATREKITKPMEHDNPITRGTDDLSCSMELESNETVAMQNSFIMRRQASDDVIVVQEAIHTIRTMKRKKGVLAIKINLEKAYDRVKWSFLQEHWRNLSIFETDFQWLVNASQKVWLKAKEAWDILDCCELNMSYEIPASWVKPNLSYVKLNMDGSAKGQPKNAVAGGLVKDEKGNWLLGFAFNIVTSFSLGAELWAILKGLELCWDRGFRKVLAKTDSKLSI >EOY06324 pep chromosome:Theobroma_cacao_20110822:4:31574406:31582578:1 gene:TCM_021086 transcript:EOY06324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate orthophosphate dikinase isoform 1 MVIGQRRVFTFGKGRSEGNKGMKSLLGGKGANLAEMASIGLSVPSGFTISTEACQEYQQNAKRLPEGLWEEILEGLKTVEEDMGAFLGDPSKPLLLSVRSGAAISMPGMMDTVLNLGLNDNMVAGLAAKSGERFAYDSYRRFLDMFGDVVMGIPHSSFEEKISRMKQVRGVELDTELTESDLKELVEQYKNVYLTVKGEEFPSDPKKQLQLAVKAVFNSWDSPRAIKYRSINKISGLKGTAVNIQCMVFGNMGDTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVSGNRTPEDLDTMKSHMPEPYKELVMNCKILEQHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVNEGLVDTRTAIKMVEPRHLDQLLHPWFEDPSSYKDKVLAVGLPASPGAAVGQIVFNAEDAEEWDAEGKSVILVRTETSAEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVAGCSDIQVNDIEKVLVIGKMMLKEGEWLSVNGATGEVILGKQPLSPPVLSVDLETFMSWADEIRHLKVMANVDTPEDALTARKNGAQGIGLCRTEHMFFASEERIKAVRMMIMAVTPKQREAELELLLPYQRSDFEGIFKAMDGLPVTIRLLDPPLHEFLSKGDAEQIVYELASETGLSKEEVLSKIEKLSEVNPMLGLRGCRLGISSPELTQMQVRAIFQAAVSVSNQGVKVLPEIMVPLVGAPEELGHQVCLIHSVANEVFSEMGFSISYKVGTMIEIPRAALVADEIAMEAEFFSFGTNDLTQMTFGFSRDDVGKFLPLYLSEGILQSDPFEVLDRKGVGQLIKIAVEKGRQARPGLEVGICGEHGGEPSSIAFFAEAGFDYVSCSPFRVPIARLAAAQVAL >EOY06323 pep chromosome:Theobroma_cacao_20110822:4:31573898:31582237:1 gene:TCM_021086 transcript:EOY06323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate orthophosphate dikinase isoform 1 MVIGQRRVFTFGKGRSEGNKGMKSLLGGKGANLAEMASIGLSVPSGFTISTEACQEYQQNAKRLPEGLWEEILEGLKTVEEDMGAFLGDPSKPLLLSVRSGAAISMPGMMDTVLNLGLNDNMVAGLAAKSGERFAYDSYRRFLDMFGDVVMGIPHSSFEEKISRMKQVRGVELDTELTESDLKELVEQYKNVYLTVKGEEFPSDPKKQLQLAVKAVFNSWDSPRAIKYRSINKISGLKGTAVNIQCMVFGNMGDTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVSGNRTPEDLDTMKSHMPEPYKELVMNCKILEQHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVNEGLVDTRTAIKMVEPRHLDQLLHPWFEDPSSYKDKVLAVGLPASPGAAVGQIVFNAEDAEEWDAEGKSVILVRTETSAEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVAGCSDIQVNDIEKVLVIGKMMLKEGEWLSVNGATGEVILGKQPLSPPVLSVDLETFMSWADEIRHLKVMANVDTPEDALTARKNGAQGIGLCRTEHMFFASEERIKAVRMMIMAVTPKQREAELELLLPYQRSDFEGIFKAMDGLPVTIRLLDPPLHEFLSKGDAEQIVYELASETGLSKEEVLSKIEKLSEVNPMLGLRGCRLGISSPELTQMQVRAIFQAAVSVSNQGVKVLPEIMVPLVGAPEELGHQVCLIHSVANEVFSEMGFSISYKVGTMIEIPRAALVADEIAMEAEFFSFGTNDLTQMTFGFSRDDVGKFLPLYLSEGILQSDPFEVLDRKGVGQLIKIAVEKGRQARPGLEVGICGEHGGEPSSIAFFAEAGFDYVSCSPFRVPIARLAAAQVAL >EOY06325 pep chromosome:Theobroma_cacao_20110822:4:31573898:31582237:1 gene:TCM_021086 transcript:EOY06325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate orthophosphate dikinase isoform 1 MVIGQRRVFTFGKGRSEGNKGMKSLLGGKGANLAEMASIGLSVPSGFTISTEACQEYQQNAKRLPEGLWEEILEGLKTVEEDMGAFLGDPSKPLLLSVRSGAAISMPGMMDTVLNLGLNDNMVAGLAAKSGERFAYDSYRRFLDMFGDVVMGIPHSSFEEKISRMKQVRGVELDTELTESDLKELVEQYKNVYLTVKGEEFPSDPKKQLQLAVKAVFNSWDSPRAIKYRSINKISGLKGTAVNIQCMVFGNMGDTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVSGNRTPEDLDTMKSHMPEPYKELVMNCKILEQHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVNEGLVDTRTAIKMVEPRHLDQLLHPWFEDPSSYKDKVLAVGLPASPGAAVGQIVFNAEDAEEWDAEGKSVILVRTETSAEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVAGCSDIQVNDIEKVLVIGKMMLKEGEWLSVNGATGEVILGKQPLSPPVLSVDLETFMSWADEIRHLKVMANVDTPEDALTARKNGAQGIGLCRTEHMFFASEERIKAVRMMIMAVTPKQREAELELLLPYQRSDFEGIFKAMDGLPVTIRLLDPPLHEFLSKGDAEQIVYELASETGLSKEEVLSKIEKLSEVNPMLGLRGCRLGISSPELTQMQVRAIFQAAVSVSNQGVKVLPEIMVPLVGAPEELGHQVCLIHSVANEVFSEMGFSISYKVGTMIEIPRAALVADEIAMEAEFFSFGTNDLTQMTFGFSRDDVGKFLPLYLSEGILQSDPFEVLDRKGVGQLIKIAVEKGRQARPGLEVGICGEHGGEPSSIAFFAEAGFDYVSCSPFRVPIARLAAAQVAL >EOY06327 pep chromosome:Theobroma_cacao_20110822:4:31575519:31582578:1 gene:TCM_021086 transcript:EOY06327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate orthophosphate dikinase isoform 1 MVAGLAAKSGERFAYDSYRRFLDMFGDVVMGIPHSSFEEKISRMKQVRGVELDTELTESDLKELVEQYKNVYLTVKGEEFPSDPKKQLQLAVKAVFNSWDSPRAIKYRSINKENRLWMLQCRSGKRTGKGAVKIAVDMVNEGLVDTRTAIKMVEPRHLDQLLHPWFEDPSSYKDKVLAVGLPASPGAAVGQIVFNAEDAEEWDAEGKSVILVRTETSAEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVAGCSDIQVNDIEKVLVIGKMMLKEGEWLSVNGATGEVILGKQPLSPPVLSVDLETFMSWADEIRHLKVMANVDTPEDALTARKNGAQGIGLCRTEHMRIKAVRMMIMAVTPKQREAELELLLPYQRSDFEGIFKAMDGLPVTIRLLDPPLHEFLSKGDAEQIVYELASETGLSKEEVLSKIEKLSEVNPMLGLRGCRLGISSPELTQMQVRAIFQAAVSVSNQGVKVLPEIMVPLVGAPEELGHQVCLIHSVANEVFSEMGFSISYKVGTMIEIPRAALVADEIAMEAEFFSFGTNDLTQMTFGFSRDDVGKFLPLYLSEGILQSDPFEVLDRKGVGQLIKIAVEKGRQARPGLEVGICGEHGGEPSSIAFFAEAGFDYVSCSPFRVPIARLAAAQVAL >EOY06326 pep chromosome:Theobroma_cacao_20110822:4:31573898:31582237:1 gene:TCM_021086 transcript:EOY06326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate orthophosphate dikinase isoform 1 MVIGQRRVFTFGKGRSEGNKGMKSLLGGKGANLAEMASIGLSVPSGFTISTEACQEYQQNAKRLPEGLWEEILEGLKTVEEDMGAFLGDPSKPLLLSVRSGAAISMPGMMDTVLNLGLNDNMVAGLAAKSGERFAYDSYRRFLDMFGDVVMGIPHSSFEEKISRMKQVRGVELDTELTESDLKELVEQYKNVYLTVKGEEFPSDPKKQLQLAVKAVFNSWDSPRAIKYRSINKISGLKGTAVNIQCMVFGNMGDTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVSGNRTPEDLDTMKSHMPEPYKELVMNCKILEQHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVNEGLVDTRTAIKMVEPRHLDQLLHPWFEDPSSYKDKVLAVGLPASPGAAVGQIVFNAEDAEEWDAEGKSVILVRTETSAEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVAGCSDIQVNDIEKVLVIGKMMLKEGEWLSVNGATGEVILGKQPLSPPVLSVDLETFMSWADEIRHLKVMANVDTPEDALTARKNGAQGIGLCRTEHMFFASEERIKAVRMMIMAVTPKQREAELELLLPYQRSDFEGIFKAMDGLPVTIRLLDPPLHEFLSKGDAEQIVYELASETGLSKEEVLSKIEKLSEVNPMLGLRGCRLGISSPELTQMQVRAIFQAAVSVSNQGVKVLPEIMVPLVGAPEELGHQVCLIHSVANEVFSEMGFSISYKVGTMIEIPRAALVADEIAMEAEFFSFGTNDLTQMTFGFSRDDVGKFLPLYLSEGILQSDPFEVLDRKGVGQLIKIAVEKGRQARPGLEVGICGEHGGEPSSIAFFAEAGFDYVSCSPFRVPIARLAAAQVAL >EOY04134 pep chromosome:Theobroma_cacao_20110822:4:22200666:22236020:-1 gene:TCM_019388 transcript:EOY04134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVISGYRCSSARTPDNHNLLVAPIPRKGKRYVCRVQIEERRMVGWIGGRENQIREARLSRQLKTWAKTPGL >EOY05744 pep chromosome:Theobroma_cacao_20110822:4:29642800:29647652:-1 gene:TCM_020667 transcript:EOY05744 gene_biotype:protein_coding transcript_biotype:protein_coding description:CVP2 like 1 isoform 1 MRDGKPKKSKLSWSKKMVRKWFNIKSKTEDFQADDHVYGGGEVEYRTSFSEREPCTIKKSKTEKFSKNTEQSRRGRMNLDHPRIIDVQNYSIFVATWNVAGRSPPSNLNLEDWLHASPPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWLSLIRKTLNNLPGTSGGGGCYTPSPVPQPIVEMDADFEGSSRQKNSSFFHRRSFQTTSSWRMDNDPSISQPRLDRRYSVCDRVIFGHRPSDYDSSYRWGNRPSDYSRPSDYSRPSDYSRWGSSDDDNGIADSPSTVLYSPMSYGGSASNEQGYRMPGHSRYCLVASKQMVGIFLTIWVRSELRDHVKNMKVSCVGRGLMGYLGNKGSISVSMLLHQTSFCFICSHLTSGQKEGDELRRNSDVMEILKKTRFPRVHNAADEKSPETILQHDRIIWLGDLNYRIALSYRSAKALVEMQNWRALLENDQLRIEQKRGRVFVGWNEGKIYFPPTYKYSTNSDRYAGDEMHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESRFSDHRPVYGIFWAEVESSHGRLKKSMSYSSSRIEVEELLPYAHGYTELNFF >EOY05740 pep chromosome:Theobroma_cacao_20110822:4:29641926:29647449:-1 gene:TCM_020667 transcript:EOY05740 gene_biotype:protein_coding transcript_biotype:protein_coding description:CVP2 like 1 isoform 1 MRDGKPKKSKLSWSKKMVRKWFNIKSKTEDFQADDHVYGGGEVEYRTSFSEREPCTIKKSKTEKFSKNTEQSRRGRMNLDHPRIIDVQNYSIFVATWNVAGRSPPSNLNLEDWLHASPPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWLSLIRKTLNNLPGTSGGGGCYTPSPVPQPIVEMDADFEGSSRQKNSSFFHRRSFQTTSSWRMDNDPSISQPRLDRRYSVCDRVIFGHRPSDYDSSYRWGNRPSDYSRPSDYSRPSDYSRWGSSDDDNGIADSPSTVLYSPMSYGGSASNEQGYRMPGHSRYCLVASKQMVGIFLTIWVRSELRDHVKNMKVSCVGRGLMGYLGNKGSISVSMLLHQTSFCFICSHLTSGQKEGDELRRNSDVMEILKKTRFPRVHNAADEKSPETILQHDRIIWLGDLNYRIALSYRSAKALVEMQNWRALLENDQLRIEQKRGRVFVGWNEGKIYFPPTYKYSTNSDRYAGDEMHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESRFSDHRPVYGIFWAEVESSHGRLKKSMSYSSSRIEVEELLPYAHGYTELNFF >EOY05742 pep chromosome:Theobroma_cacao_20110822:4:29641926:29647449:-1 gene:TCM_020667 transcript:EOY05742 gene_biotype:protein_coding transcript_biotype:protein_coding description:CVP2 like 1 isoform 1 MRDGKPKKSKLSWSKKMVRKWFNIKSKTEDFQADDHVYGGGEVEYRTSFSEREPCTIKKSKTEKFSKNTEQSRRGRMNLDHPRIIDVQNYSIFVATWNVAGRSPPSNLNLEDWLHASPPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWLSLIRKTLNNLPGTSGGGGCYTPSPVPQPIVEMDADFEGSSRQKNSSFFHRRSFQTTSSWRMDNDPSISQPRLDRRYSVCDRVIFGHRPSDYDSSYRWGNRPSDYSRPSDYSRPSDYSRWGSSDDDNGIADSPSTVLYSPMSYGGSASNEQGYRMPGHSRYCLVASKQMVGIFLTIWVRSELRDHVKNMKVSCVGRGLMGYLGNKGSISVSMLLHQTSFCFICSHLTSGQKEGDELRRNSDVMEILKKTRFPRVHNAADEKSPETILQHDRIIWLGDLNYRIALSYRSAKALVEMQNWRALLENDQLRIEQKRGRVFVGWNEGKIYFPPTYKYSTNSDRYAGDEMHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESRFSDHRPVYGIFWAEVESSHGRLKKSMSYSSSRIEVEELLPYAHGYTELNFF >EOY05743 pep chromosome:Theobroma_cacao_20110822:4:29642623:29647637:-1 gene:TCM_020667 transcript:EOY05743 gene_biotype:protein_coding transcript_biotype:protein_coding description:CVP2 like 1 isoform 1 MRDGKPKKSKLSWSKKMVRKWFNIKSKTEDFQADDHVYGGGEVEYRTSFSEREPCTIKKKKFSKNTEQSRRGRMNLDHPRIIDVQNYSIFVATWNVAGRSPPSNLNLEDWLHASPPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWLSLIRKTLNNLPGTSGGGGCYTPSPVPQPIVEMDADFEGSSRQKNSSFFHRRSFQTTSSWRMDNDPSISQPRLDRRYSVCDRVIFGHRPSDYDSSYRWGNRPSDYSRPSDYSRPSDYSRWGSSDDDNGIADSPSTVLYSPMSYGGSASNEQGYRMPGHSRYCLVASKQMVGIFLTIWVRSELRDHVKNMKVSCVGRGLMGYLGNKGSISVSMLLHQTSFCFICSHLTSGQKEGDELRRNSDVMEILKKTRFPRVHNAADEKSPETILQHDRIIWLGDLNYRIALSYRSAKALVEMQNWRALLENDQLRIEQKRGRVFVGWNEGKIYFPPTYKYSTNSDRYAGDEMHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESRFSDHRPVYGIFWAEVESSHGRLKKSMSYSSSRIEVEELLPYAHGYTELNFF >EOY05741 pep chromosome:Theobroma_cacao_20110822:4:29642085:29647337:-1 gene:TCM_020667 transcript:EOY05741 gene_biotype:protein_coding transcript_biotype:protein_coding description:CVP2 like 1 isoform 1 MRDGKPKKSKLSWSKKMVRKWFNIKSKTEDFQADDHVYGGGEVEYRTSFSEREPCTIKKSKTEKFSKNTEQSRRGRMNLDHPRIIDVQNYSIFVATWNVAGRSPPSNLNLEDWLHASPPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWLSLIRKTLNNLPGTSGGGGCYTPSPVPQPIVEMDADFEGSSRQKNSSFFHRRSFQTTSSWRMDNDPSISQPRLDRRYSVCDRVIFGHRPSDYDSSYRWGNRPSDYSRPSDYSRPSDYSRWGSSDDDNGIADSPSTVLYSPMSYGGSASNEQGYRMPGHSRYCLVASKQMVGIFLTIWVRSELRDHVKNMKVSCVGRGLMGYLGNKGSISVSMLLHQTSFCFICSHLTSGQKEGDELRRNSDVMEILKKTRFPRVHNAADEKSPETILQHDRIIWLGDLNYRIALSYRSAKALVEMQNWRALLENDQLRIEQKRGRVFVGWNEGKIYFPPTYKYSTNSDRYAGDEMHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESRFSDHRPVYGIFWAEVESSHGRLKKSMSYSSSRIEVEELLPYAHGYTELNFF >EOY02581 pep chromosome:Theobroma_cacao_20110822:4:1369324:1372677:-1 gene:TCM_017013 transcript:EOY02581 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein MSKSVVEMPPKGGFSFDLCKRNEMLSKKGVNPPSFRKTGTTIVGLIFQDGVILGADTRATEGPIVCDKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKRHLFNYQGYVSAALVLGGVDVTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAVFESKYREGLTRDEGIALVTEAICSGIFNDLGSGSNVDVCVITKAGKEYLRNHLQPNPRTYTSSKGYSFPKKTEVLLTKITPLKEKVEIIEGGDAMEE >EOY06047 pep chromosome:Theobroma_cacao_20110822:4:30767090:30769818:1 gene:TCM_020894 transcript:EOY06047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7 isoform 1 MATEEVKLLGFWVSPPVFRVKWALEIKGVKYEYIEEDIFNKSTLLMELNPVHKKVPVLVHDGKAIAESFVILEYIDETWKQNPLLPQDPYQRALARFWAKFAEEKILRGAWNALCSQGEEKQKALEQTIEAMEKIEGELEGKEQFFGGESVGYLDIILGWISYCLPVWEEVGSMQILNPLKFPAITAWINRFLNHPVVKDKLPPTDKMLVYFHQRSKALASTSHGNRAENGKNKNKTPRTG >EOY06048 pep chromosome:Theobroma_cacao_20110822:4:30767446:30769188:1 gene:TCM_020894 transcript:EOY06048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7 isoform 1 EEVKLLGFWVSPPVFRVKWALEIKGVKYEYIEEDIFNKSTLLMELNPVHKKVPVLVHDGKAIAESFVILEYIDETWKQNPLLPQDPYQRALARFWAKFAEEKILRGAWNALCSQGEEKQKALEQTIEAMEKIEGELEGKEQFFGGESVGYLDIILGWISYCLPVWEEVGSMQILNPLKFPAITAWINRFLNHPVVKDKLPPTDKMLVYFHQRSKALASTSHG >EOY04176 pep chromosome:Theobroma_cacao_20110822:4:22415729:22420069:-1 gene:TCM_019438 transcript:EOY04176 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Histone acetylation protein /.../terPro:IPR019519); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G18410) TAIR;Acc:AT2G18410] MAESICRALRDGALEGEHAPALTIKDSIASPFGFHVFCHVLSQLSSFILAGKSQSGGLVVVSFSRSPSFYLELLKNKGIDVVSSDKRIQILDCYSDPLGWKDRLIEAGNFTALSHEASNSSTANVLKDVKHMDKLYNSIVERGKGLIGGGKNRFSVAIDSVDEMSRHASTSSVAGLLSNLRSHDQVSSIFWLLHSDLHEARVAAVLEYLSSMVTSLEPLHQPANGHRGDLENLSLIEHNLKKGKFHVRIKRRNGRVRVMSEEVHIEQSGISFTSLSSEGAINQGLVPKVQFSLELSEKERIDRANVVLPFEHQGNGKPIQIYDGRRSLADSKHERQVAATEKVQTNEDSGGGEIIYFRDSDDEMPESPKASI >EOY04175 pep chromosome:Theobroma_cacao_20110822:4:22415336:22420069:-1 gene:TCM_019438 transcript:EOY04175 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Histone acetylation protein /.../terPro:IPR019519); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G18410) TAIR;Acc:AT2G18410] MAESICRALRDGALEGEHAPALTIKDSIASPFGFHVFCHVLSQLSSFILAGKSQSGGLVVVSFSRSPSFYLELLKNKGIDVVSSDKRIQILDCYSDPLGWKDRLIEAGNFTALSHEASNSSTANVLKDVKHMDKLYNSIVERGKGLIGGGKNRFSVAIDSVDEMSRHASTSSVAGLLSNLRSHDQVSSIFWLLHSDLHEARVAAVLEYLSSMVTSLEPLHQPANGHRGDLENLSLIEHNLKKGKFHVRIKRRNGRVRVMSEEVHIEQSGISFTSLSSEGAINQGLVPKVQFSLELSEKERIDRANVVLPFEHQGNGKPIQIYDGRRSLADSKHERQVAATEKVQTNEDSGGGEIIYFRDSDDEMPESPKASI >EOY04174 pep chromosome:Theobroma_cacao_20110822:4:22415817:22420060:-1 gene:TCM_019438 transcript:EOY04174 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Histone acetylation protein /.../terPro:IPR019519); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G18410) TAIR;Acc:AT2G18410] MAESICRALRDGALEGEHAPALTIKDSIASPFGFHVFCHVLSQLSSFILAGKSQSGGLVVVSFSRSPSFYLELLKNKGIDVVSSDKRIQILDCYSDPLGWKDRLIEAGNFTALSHEASNSSTANVLKDVKHMDKLYNSIVERGKGLIGGGKNRFSVAIDSVDEMSRHASTSSVAGLLSNLRSHDQVSSIFWLLHSDLHEARVAAVLEYLSSMVTSLEPLHQPANGHRGDLENLSLIEHNLKKGKFHVRIKRRNGRVRVMSEEVHIEQSGISFTSLSSEGAINQGLVPKVQFSLELSEKERIDRANVVLPFEHQGNGKPIQIYDGRRSLADSKHERQVAATEKVQTNEDSGGGEIIYFRDSDDEMPDSDEDPDDDLDI >EOY04965 pep chromosome:Theobroma_cacao_20110822:4:26654401:26658880:1 gene:TCM_020101 transcript:EOY04965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative isoform 3 MERSECLSSLTYKGSIPEAILEAKNQKKLFVVYISGDDAESKNLEDSTWTDLKVKESLSKYCILLHIQGGSADAANFSAIYPQKSVPCITAIGYNGVQAWQSEGSVSAEVLASSLEKAWLSLHIQETTVTVLTAALASKKYETSTSGASTVRQSEHGSSSSNSVPSSTMNERSLGSKSAVSSGVIEENFVSENTVKEKNAESVDKGSSESFSTDNLANVVDEQGDASNEATRTMASSITVGPAVSLSENTSSPPEDGCLIPVKGINNQASVSSPVSAAEAEEAVQHEKDKGINDKEDGGSDKPSTANIPTDVHLNIRLPDGSSLREKFPVADTLRMVKDYVDRNQSSGMGSYDLAIPYPRKLFGDQDLSKSLLDLGLLNRQALVVVPLQRTSGFQGQRTSADQINSTPTEASTGSNGGYFAYIKSILSYVNPFSYLGGGASSSTTEQESQSGIWEYSPNPTMQNNLAGTIRSYSPYSPNGSTSTVRDGSSNRRPTTSRYGSNIHTLKHDEDDGRFNDRNPFWNGNSTQYGGNSDGK >EOY04964 pep chromosome:Theobroma_cacao_20110822:4:26652044:26667165:1 gene:TCM_020101 transcript:EOY04964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative isoform 3 MRFKYCSVEEEQMERSECLSSLTYKGSIPEAILEAKNQKKLFVVYISGDDAESKNLEDSTWTDLKVKESLSKYCILLHIQGGSADAANFSAICILNPQKSVPCITAIGYNGVQAWQSEGSVSAEVLASSLEKAWLSLHIQETTVTVLTAALASKKYETSTSGASTVRQSEHGSSSSNSVPSSTMNERSLGSKSAVSSGVIEENFVSENTVKEKNAESVDKGSSESFSTDNLANVVDEQGDASNEATRTMASSITVGPAVSLSENTSSPPEDGCLIPVKGINNQASVSSPVSAAEAEEAVQHEKDKGINDKEDGGSDKPSTANIPTDVHLNIRLPDGSSLREKFPVADTLRMVKDYVDRNQSSGMGSYDLAIPYPRKLFGDQDLSKSLLDLGLLNRQALVVVPLQRTSGFQGQRTSADQINSTPTEASTGSNGGYFAYIKSILSYVNPFSYLGGGASSSTTEQESQSGIWEYSPNPTMQNNLAGTIRSYSPYSPNGSTSTVRDGSSNRRPTTSRYGSNIHTLKHDEDDGRFNDRNPFWNGNSTQYGGNSDGK >EOY04966 pep chromosome:Theobroma_cacao_20110822:4:26654401:26658880:1 gene:TCM_020101 transcript:EOY04966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative isoform 3 MERSECLSSLTYKGSIPEAILEAKNQKKLFVVYISGDDAESKNLEDSTWTDLKVKESLSKYCILLHIQGGSADAANFSAIYPQKSVPCITAIGYNGVQAWQSEGSVSAEVLASSLEKAWLSLHIQETTVTVLTAALASKKYETSTSGASTVRQSEHGSSSSNSVPSSTMNERSLGSKSAVSSGVIEENFVSENTVKEKNAESVDKGSSESFSTDNLANVVDEQGDASNEATRTMASSITVGPAVSLSENTSSPPEDGCLIPVKGINNQASVSSPVSAAEAEEAVQHEKDKGINDKEDGGSDKPSTANIPTDVHLNIRLPDGSSLREKFPVADTLRMVKDYVDRNQSSGMGSYDLAIPYPRKLFGDQDLSKSLLDLGLLNRQALVVVPLQRTSGFQGQRTSADQINSTPTEASTGSNGGYFAYIKSILSYVNPFSYLGGGASSSTTEQESQSGIWEYSPNPTMQNNLAGTIRSYSPYSPNGSTSTVRDGSSNRRPTTSRYGSNIHTLKHDEDDGRFNDRNPFWNGNSTQYGGNSDGK >EOY02559 pep chromosome:Theobroma_cacao_20110822:4:1319066:1321507:1 gene:TCM_016999 transcript:EOY02559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus protein 2, putative isoform 1 MEEASEEKKGSGRSKEGTDLLGPPTFTELLNGRLKCVETGHEMVVKDKDSYAQSKRCRLGLIDFALSHSKPPLNLFKQDPLSRSKLICKLTGDTVNKSEEHIWKHINGKRFLNKLEQKEMEKELANETMAEEGQQKPKQEKKKKNKKKEKAVEEIVSEVRDSAENESDSEELEFWMPPVGDRWDFDDGGDRWGSGSELEESDEENDTEVAVEDGGKESEELSTRTKRMSIEIGPSSFASRKKKSRKNTS >EOY02560 pep chromosome:Theobroma_cacao_20110822:4:1318932:1321776:1 gene:TCM_016999 transcript:EOY02560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus protein 2, putative isoform 1 MEEASEEKKGSGRSKEGTDLLGPPTFTELLNGRLKCVETGHEMVVKDKDSYAQSKRCRLGLIDFALSHSKPPLNLFKQDPLSRSKLICKLTGDTVNKSEEHIWKHINGKRFLNKLEQKEMEKELANETMAEEGQQKPKQEKKKKNKKKEKAVEEIVSEVRDSAENESDSEELEFWMPPVGDRWDFDDGGDRWGSGSELEESDEENDTVEDGGKESEELSTRTKRMSIEIGPSSFASRKKKSRKNTS >EOY02558 pep chromosome:Theobroma_cacao_20110822:4:1318932:1321609:1 gene:TCM_016999 transcript:EOY02558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus protein 2, putative isoform 1 MEEASEEKKGSGRSKEGTDLLGPPTFTELLNGRLKCVETGHEMVVKDKDSYAQSKRCRLGLIDFALSHSKPPLNLFKQDPLSRSKLICKLTGDTVNKSEEHIWKHINGKRFLNKLEQKEMEKELANETMAEEGQQKPKQEKKKKNKKKEKAVEEIVSEVRDSAENESDSEELEFWMPPVGDRWDFDDGGDRWGSGSELEESDEENDTEVAVEDGGKESEELSTRTKRMSIEIGPSSFASRKKKSRKNTS >EOY05482 pep chromosome:Theobroma_cacao_20110822:4:28728561:28729699:1 gene:TCM_020473 transcript:EOY05482 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0329 protein ECU01_0100/ECU01_1510/ECU08_0030, putative isoform 1 MGAFPSKKKSRPCHERRNVKSLTDKMRLLQEEIKEVVYEREKEARGYEKEVMVFACKEAEWKKERKRLKEEVKKLRKLVEEKEVKIRGMEDYAMAAEKCDKGWPLLGTSFLLEQTREERARRDEAVAKWKQLYLAIKAELDDLIQRTHDGDALYWKAEEEDMIEELKKEAKNKEETIEALRTRLAAMEREDYERGREMDILRQSLRILGTNNKAAYTTSSKGTTLAQYL >EOY05483 pep chromosome:Theobroma_cacao_20110822:4:28728179:28730851:1 gene:TCM_020473 transcript:EOY05483 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0329 protein ECU01_0100/ECU01_1510/ECU08_0030, putative isoform 1 MGAFPSKKKSRPCHERRNVKSLTDKMRLLQEEIKEVVYEREKEARGYEKEVMVFACKEAEWKKERKRLKEEVKKLRKLVEEKEVKIRGMEDYAMAAEKCDKGWPLLGTSFLLEQTREERARRDEAVAKWKQLYLAIKAELDDLIQRTHDGDALYWKAEEEDMIEELKKEAKNKEETIEALRTRLAAMEREDYERGREMDILRQSLRILGTNNKAAYTTSSKDSRIDRHLLPKFEDHRFTCIIDSLH >EOY03696 pep chromosome:Theobroma_cacao_20110822:4:18132555:18133958:1 gene:TCM_018805 transcript:EOY03696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHFSFWQMDIQWHRRQISCLPTCFVEITCLVADNSLNSHKGCHLSCTHSVILSIQSSCGVHYLDRPPHQVDLRNDPMPAYIARCEDEIDIFPFFVVYWPLTLHVPRNSSEISIVDRAFSVGNALCSIWMVSCEACIH >EOY05897 pep chromosome:Theobroma_cacao_20110822:4:30216496:30219030:-1 gene:TCM_020785 transcript:EOY05897 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit RPB7, putative isoform 1 MLIQDMFSEVELQRDVKVHAGNLDKRGLVSQRSILVLLLEDLFKERASEDHGYFLSVTSLKSIGKGDVVDESGNMVFTVVFTCRTFKPFKGEVLQGVVHHICHRGVFLRCGPVRIAYLSAWKMPNYHYVPGAKPVFISDDLSKIEKDVVVCFLVLAVRWISASRDFELLASLNADSLGPISLPWSDEVDL >EOY05896 pep chromosome:Theobroma_cacao_20110822:4:30216191:30219030:-1 gene:TCM_020785 transcript:EOY05896 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit RPB7, putative isoform 1 MLIQDMFSEVELQRDVKVHAGNLDKRGLVSQRSILVLLLEDLFKERASEDHGYFLSVTSLKSIGKGDVVDESGNMVFTVVFTCRTFKPFKGEVLQGVVHHICHRGVFLRCGPVRIAYLSAWKMPNYHYVPGAKPVFISDDLSKIEKDVVVCFLVLAVRWISASRDFELLASLNADSLGPISLPWSDEVDL >EOY05899 pep chromosome:Theobroma_cacao_20110822:4:30216324:30219031:-1 gene:TCM_020785 transcript:EOY05899 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit RPB7, putative isoform 1 MFSEVELQRDVKVHAGNLDKRGLVSQRSILVLLLEDLFKERASEDHGYFLSVTSLKSIGKGDVVDESGNMVFTVVFTCRTFKPFKGEVLQGVVHHICHRGVFLRCGPVRIAYLSAWKMPNYHYVPGAKPVFISDDLSKIEKDVVVCFLVLAVRWISASRDFELLASLNADSLGPISLPWSDEVDL >EOY05898 pep chromosome:Theobroma_cacao_20110822:4:30216282:30219031:-1 gene:TCM_020785 transcript:EOY05898 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit RPB7, putative isoform 1 MFSEVELQRDVKVHAGNLDKRGLVSQRSILVLLLEDLFKERASEDHGYFLSVTSLKSIGKGDVVDESGNMVFTVVFTCRTFKPFKGEVLQGVVHHICHRGVFLRCGPVRIAYLSAWKMPNYHYVPGAKPVFISDDLSKIEKDVVVCFLVLAVRWISASRDFELLASLNADSLGPISLPWSDEVDL >EOY05895 pep chromosome:Theobroma_cacao_20110822:4:30216545:30217788:-1 gene:TCM_020785 transcript:EOY05895 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit RPB7, putative isoform 1 MLIQDMFSEVELQRDVKVHAGNLDKRGLVSQRSILVLLLEDLFKERASEDHGYFLSVTSLKSIGKGDVVDESGNMVFTVVFTCRTFKPFKGEVLQGVVHHICHRGVFLRCGPVRIAYLSAWKMPNYHYVPGAKPVFISDDLSKIEKDVVVCFLVLAVRWISASRDFELLASLNADSLGPISLPWSDEVDL >EOY06877 pep chromosome:Theobroma_cacao_20110822:4:33215616:33220586:-1 gene:TCM_021468 transcript:EOY06877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator protein with CG-1 and Ankyrin domains, putative isoform 1 MCWHALQGYRSGISRILADPGSQSESLQTGSAPSLAHENSPAPTVQTSHASTSRIDWNGQTLSSEFEDVDSGDYPSTSSPVQPIYGSTSCTASLEPEVAGRNPPGSWFAGSNCNNSSESCFWPEIHHSVADTISMPDQKLYVERPTTGDFITHKEAEVRLHDVSDVVTRGDKLISDVEAQAAGESPQKVIEVPQAYGFGLMGLLSQNYSGPQKVVSSSAQIENESKGSGLNNDEPGELKKLDSFGRWMDKEIGGDCDDSLMASDSANYWNTLDTETDDKEVSSLSHHMQLDVDSLGPSLSQEQLFSIVDFSPDWAYSGVETKVLIIGNFLRTKELSSAAKWGCMFGEIEVSAEVLTNHVIRCQVPSHAPGCVPFYVTCSNRLACSEVREFEYREKPPGFSFTKAVKSTAAEEMHLHVRLAKLLDIGPGRKWLDCSVEECDKCRLKNNIYSMEVANANESIQSKDGLIQNLLKERLCEWLLYKVHEDGKGPHILDDKGQGVIHLAASLGYEWAMGPIVAAGISPNFRDAQGRTGLHWASYFGREETVIALIKLGAAPGAVDDPTPSFPGGRTAADLASSRGHKGIAGYLAEADLITHLSSLTVNENVVGNDAATTAAEEAIESAAQVAPSNGALDEHCSLKGSLAAVRKSAHAAALIQAAFRALSFRDRQLTEGNDEMSEVSLELGLLGSLNRLPKMSHFGDYLHIAAAKIQQKYRGWKGRKEFLKIRNRIVKIQAHVRGHQVRKQYKKVVWSVSIVEKVILRWRRKGAGLRGFRVQKSIENAAPEIEIGDEYEFLRLGRQQKVRGVEKALARVKSMARDQEARDQYMRLATKFGESKVSDKGSSDSSNVETH >EOY06874 pep chromosome:Theobroma_cacao_20110822:4:33216390:33224051:-1 gene:TCM_021468 transcript:EOY06874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator protein with CG-1 and Ankyrin domains, putative isoform 1 MHQGLNARADLQQILQEAQHRWLRPVEVCEILSNYPKFRLSDKPPVKPPAGSLYLFDRKTIRYFRKDGHDWRKKKDGKTVKEAHEKLKIGSVDVLHCYYAHGQFNENFQRRCYWMLDGQFEHIVFVHYREVKEGYRSGISRILADPGSQSESLQTGSAPSLAHENSPAPTVQTSHASTSRIDWNGQTLSSEFEDVDSGDYPSTSSPVQPIYGSTSCTASLEPEVAGRNPPGSWFAGSNCNNSSESCFWPEIHHSVADTISMPDQKLYVERPTTGDFITHKEAEVRLHDVSDVVTRGDKLISDVEAQAAGESPQKVIEVPQAYGFGLMGLLSQNYSGPQKVVSSSAQIENESKGSGLNNDEPGELKKLDSFGRWMDKEIGGDCDDSLMASDSANYWNTLDTETDDKEVSSLSHHMQLDVDSLGPSLSQEQLFSIVDFSPDWAYSGVETKVLIIGNFLRTKELSSAAKWGCMFGEIEVSAEVLTNHVIRCQVPSHAPGCVPFYVTCSNRLACSEVREFEYREKPPGFSFTKAVKSTAAEEMHLHVRLAKLLDIGPGRKWLDCSVEECDKCRLKNNIYSMEVANANESIQSKDGLIQNLLKERLCEWLLYKVHEDGKGPHILDDKGQGVIHLAASLGYEWAMGPIVAAGISPNFRDAQGRTGLHWASYFGREETVIALIKLGAAPGAVDDPTPSFPGGRTAADLASSRGHKGIAGYLAEADLITHLSSLTVNENVVGNDAATTAAEEAIESAAQVAPSNGALDEHCSLKGSLAAVRKSAHAAALIQAAFRALSFRDRQLTEGNDEMSEVSLELGLLGSLNRLPKMSHFGDYLHIAAAKIQQKYRGWKGRKEFLKIRNRIVKIQAHVRGHQVRKQYKKVVWSVSIVEKVILRWRRKGAGLRGFRVQKSIENAAPEIEIGDEYEFLRLGRQQKVRGVEKALARVKSMARDQEARDQYMRLATKFGESKCTG >EOY06878 pep chromosome:Theobroma_cacao_20110822:4:33216063:33220586:-1 gene:TCM_021468 transcript:EOY06878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator protein with CG-1 and Ankyrin domains, putative isoform 1 MCWHALQGYRSGISRILADPGSQSESLQTGSAPSLAHENSPAPTVQTSHASTSRIDWNGQTLSSEFEDVDSGDYPSTSSPVQPIYGSTSCTASLEPEVAGRNPPGSWFAGSNCNNSSESCFWPEIHHSVADTISMPDQKLYVERPTTGDFITHKEAEVRLHDVSDVVTRGDKLISDVEAQAAGESPQKVIEVPQAYGFGLMGLLSQNYSGPQKVVSSSAQIENESKGSGLNNDEPGELKKLDSFGRWMDKEIGGDCDDSLMASDSANYWNTLDTETDDKEVSSLSHHMQLDVDSLGPSLSQEQLFSIVDFSPDWAYSGVETKVLIIGNFLRTKELSSAAKWGCMFGEIEVSAEVLTNHVIRCQVPSHAPGCVPFYVTCSNRLACSEVREFEYREKPPGFSFTKAVKSTAAEEMHLHVRLAKLLDIGPGRKWLDCSVEECDKCRLKNNIYSMEVANANESIQSKDGLIQNLLKERLCEWLLYKVHEDGKGPHILDDKGQGVIHLAASLGYEWAMGPIVAAGISPNFRDAQGRTGLHWASYFGREETVIALIKLGAAPGAVDDPTPSFPGGRTAADLASSRGHKGIAGYLAEADLITHLSSLTVNENVVGNDAATTAAEEAIESAAQVAPSNGALDEHCSLKGSLAAVRKSAHAAALIQAAFRALSFRDRQLTEGNDEMSEVSLELGLLGSLNRLPKMSHFGDYLHIAAAKIQQKYRGWKGRKEFLKIRNRIVKIQAHVRGHQVRKQYKKVVWSVSIVEKVILRWRRKGAGLRGFRVQKSIENAAPEIEIGDEYEFLRLGRQQKVRGVEKALARVKSMARDQEARDQYMRLATKFGESKVSDKGSSDSSNVETH >EOY06875 pep chromosome:Theobroma_cacao_20110822:4:33215870:33222204:-1 gene:TCM_021468 transcript:EOY06875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator protein with CG-1 and Ankyrin domains, putative isoform 1 MLDGQFEHIVFVHYREVKEGYRSGISRILADPGSQSESLQTGSAPSLAHENSPAPTVQTSHASTSRIDWNGQTLSSEFEDVDSGDYPSTSSPVQPIYGSTSCTASLEPEVAGRNPPGSWFAGSNCNNSSESCFWPEIHHSVADTISMPDQKLYVERPTTGDFITHKEAEVRLHDVSDVVTRGDKLISDVEAQAAGESPQKVIEVPQAYGFGLMGLLSQNYSGPQKVVSSSAQIENESKGSGLNNDEPGELKKLDSFGRWMDKEIGGDCDDSLMASDSANYWNTLDTETDDKEVSSLSHHMQLDVDSLGPSLSQEQLFSIVDFSPDWAYSGVETKVLIIGNFLRTKELSSAAKWGCMFGEIEVSAEVLTNHVIRCQVPSHAPGCVPFYVTCSNRLACSEVREFEYREKPPGFSFTKAVKSTAAEEMHLHVRLAKLLDIGPGRKWLDCSVEECDKCRLKNNIYSMEVANANESIQSKDGLIQNLLKERLCEWLLYKVHEDGKGPHILDDKGQGVIHLAASLGYEWAMGPIVAAGISPNFRDAQGRTGLHWASYFGREETVIALIKLGAAPGAVDDPTPSFPGGRTAADLASSRGHKGIAGYLAEADLITHLSSLTVNENVVGNDAATTAAEEAIESAAQVAPSNGALDEHCSLKGSLAAVRKSAHAAALIQAAFRALSFRDRQLTEGNDEMSEVSLELGLLGSLNRLPKMSHFGDYLHIAAAKIQQKYRGWKGRKEFLKIRNRIVKIQAHVRGHQVRKQYKKVVWSVSIVEKVILRWRRKGAGLRGFRVQKSIENAAPEIEIGDEYEFLRLGRQQKVRGVEKALARVKSMARDQEARDQYMRLATKFGESKCTG >EOY06876 pep chromosome:Theobroma_cacao_20110822:4:33215870:33222204:-1 gene:TCM_021468 transcript:EOY06876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator protein with CG-1 and Ankyrin domains, putative isoform 1 MFFIVTMHMGNSMRIFSVVAIGCLMGQFEHIVFVHYREVKEGYRSGISRILADPGSQSESLQTGSAPSLAHENSPAPTVQTSHASTSRIDWNGQTLSSEFEDVDSGDYPSTSSPVQPIYGSTSCTASLEPEVAGRNPPGSWFAGSNCNNSSESCFWPEIHHSVADTISMPDQKLYVERPTTGDFITHKEAEVRLHDVSDVVTRGDKLISDVEAQAAGESPQKVIEVPQAYGFGLMGLLSQNYSGPQKVVSSSAQIENESKGSGLNNDEPGELKKLDSFGRWMDKEIGGDCDDSLMASDSANYWNTLDTETDDKEVSSLSHHMQLDVDSLGPSLSQEQLFSIVDFSPDWAYSGVETKVLIIGNFLRTKELSSAAKWGCMFGEIEVSAEVLTNHVIRCQVPSHAPGCVPFYVTCSNRLACSEVREFEYREKPPGFSFTKAVKSTAAEEMHLHVRLAKLLDIGPGRKWLDCSVEECDKCRLKNNIYSMEVANANESIQSKDGLIQNLLKERLCEWLLYKVHEDGKGPHILDDKGQGVIHLAASLGYEWAMGPIVAAGISPNFRDAQGRTGLHWASYFGREETVIALIKLGAAPGAVDDPTPSFPGGRTAADLASSRGHKGIAGYLAEADLITHLSSLTVNENVVGNDAATTAAEEAIESAAQVAPSNGALDEHCSLKGSLAAVRKSAHAAALIQAAFRALSFRDRQLTEGNDEMSEVSLELGLLGSLNRLPKMSHFGDYLHIAAAKIQQKYRGWKGRKEFLKIRNRIVKIQAHVRGHQVRKQYKKVVWSVSIVEKVILRWRRKGAGLRGFRVQKSIENAAPEIEIGDEYEFLRLGRQQKVRGVEKALARVKSMARDQEARDQYMRLATKFGESKVSDKGSSDSSNVETH >EOY03233 pep chromosome:Theobroma_cacao_20110822:4:8809919:8828721:1 gene:TCM_017920 transcript:EOY03233 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIN3-like 2, putative isoform 1 MKRIRDDIYSGSQFKRPFGSSRAESYGQNQMPGGGGGGGGGGGGIGGVGVGVGSTSQKLTTNDALTYLKEVKEMFQDQKEKYDMFLEVMKDFKAQRTDTVGVIARVKELFKGHNNLIYGFNTFLPKGYEITLDEDEAPPKKTVEFEEAISFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKDINEVYSEVAALFEDHPDLLEEFTRFLPDASAASLTHQVPYGRNSTQRYNERSSATPTLRHIQIDKQRRRDRITSHADRDLSVDRPELDDDKAMMKMQKEQRKRVDKENRDRRTRDQDDPEHDNNRDFNLHRFADKKRSGRKVEGFASYDDRDTLKSMCNQGFVFCEKVKERLCSSDDYQAFLKCLNIYSNGIIRRNDLQNLVTDLLGKYPDLMNEFNQFLEHCENTDGLLAGVISKKSLSGDGHASRPLKLEDKDREQKREMEGAKDKERYREKYMAKSIQELDLSNCERCTPSYRLLPDDYPIPSASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNNINENKINMDSSVRVEDHFTALNLRCIERLYGDHGLDVMEILRKNPALALPVILTRLKQKQEEWTKCRADFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSAKSLVAEIKELKEKNQKEDDVLVASVAGHRQPLAPHLEYEYLDVDIHEDLYKLIEYSCEEMCSTKEQLNKVMRLWTTFLEPMLGIPPRPNGREGTDDAGKVQNPAVNCTGSSIAESDGSPGADATINSGQQKAPSDGDENSSPELTNSCRNSLTNGETLAKEERSGCVSRDDSKVEKEIKFVGDKRPGINMLTSIEKVGNSIAALAIGAENNHSRNNVEGASGCGAAASRPSVAPGEDHEAEANADLVHSSEGGDAAKHALLVNGVPTDGSNAGRYHEESAGPSKIEKEEGELSPNADFEEDNFVAYGDTGLKAVPKAKHGVENRQYRSGNGKELHCEDAGGENDADADDEDSENASEAGDDASGSESAGDECSREEHEEEEVERDEVDGKAESEGEAEGMTDIHFVGDGMSLSFSERFLFTVKPLAKHVSAVLPDEDRHSSWVFYANDDFYVLFRLHQILYERILSAKTNSTGGEIKWKHSKDGSSSDLYARFVSALYSLLDGSADNAKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQAVATDEMDNKLLQLFEYEKSRKHGKTMDSVYYENARVLLHEENIYRLKCSSSPSRLSIQLMDNVIEKPEAFAVSMEPNFSAFLHNDFLSVFPGKKEPHGITLKRNKSKYAGLDEFAATCLAMEGVEVVNGLENKIACNSYKISYVLDTEDYFFRRRRSSSQCRSSFNNQKPMVQPDLERLSKKGTFLVCS >EOY03232 pep chromosome:Theobroma_cacao_20110822:4:8810499:8818574:1 gene:TCM_017920 transcript:EOY03232 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIN3-like 2, putative isoform 1 MKRIRDDIYSGSQFKRPFGSSRAESYGQNQMPGGGGGGGGGGGGIGGVGVGVGSTSQKLTTNDALTYLKEVKEMFQDQKEKYDMFLEVMKDFKAQRTDTVGVIARVKELFKGHNNLIYGFNTFLPKGYEITLDEDEAPPKKTVEFEEAISFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKDINEVYSEVAALFEDHPDLLEEFTRFLPDASAASLTHQVPYGRNSTQRYNERSSATPTLRHIQIDKQRRRDRITSHADRDLSVDRPELDDDKAMMKMQKEQRKRVDKENRDRRTRDQDDPEHDNNRDFNLHRFADKKRSGRKVEGFASYDDRDTLKSMCNQGFVFCEKVKERLCSSDDYQAFLKCLNIYSNGIIRRNDLQNLVTDLLGKYPDLMNEFNQFLEHCENTDGLLAGVISKKSLSGDGHASRPLKLEDKDREQKREMEGAKDKERYREKYMAKSIQELDLSNCERCTPSYRLLPDDYPIPSASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEDLLNNINENKINMDSSVRVEDHFTALNLRCIERLYGDHGLDVMEILRKNPALALPVILTRLKQKQEEWTKCRADFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSAKSLVAEIKELKEKNQKEDDVLVASVAGHRQPLAPHLEYEYLDVDIHEDLYKLIEYSCEEMCSTKEQLNKVMRLWTTFLEPMLGIPPRPNGREGTDDAGKVQNPAVNCTGSSIAESDGSPGADATINSGQQKAPSDGDENSSPELTNSCRNSLTNGETLAKEERSGCVSRDDSKVEKEIKFVGDKRPGINMLTSIEKVGNSIAALAIGAENNHSRNNVEGASGCGAAASRPSVAPGEDHEAEANADLVHSSEGGDAAKHALLVNGVPTDGSNAGRYHEESAGPSKIEKEEGELSPNADFEEDNFVAYGDTGLKAVPKAKHGVENRQYRSGNGKELHCEDAGGENDADADDEDSENASEAGDDASGSESAGDECSREEHEEEEVERDEVDGKAESEGEAEGMTDIHFVGDGMSLSFSERFLFTVKPLAKHVSAVLPDEDRHSSWVFYANDDFYVLFRLHQILYERILSAKTNSTGGEIKWKHSKDGSSSDLYARFVSALYSLLDGSADNAKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQAVATDEMDNKLLQLFEYEKSRKHGKTMDSVYYENARVLLHEENIYRLKCSSSPSRLSIQLMDNVIEKPEAFAVSMEPNFSAFLHNDFLSVFPGKKEPHGITLKRNKSKYAGLDEFAATCLAMEGVEVVNGLENKIACNSYKISYVLDTEDYFFRRRRSSSQCRSSFNNQARVQRFHRFLSASQ >EOY06449 pep chromosome:Theobroma_cacao_20110822:4:31950798:31956618:-1 gene:TCM_021166 transcript:EOY06449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein MERGPESDPQPEWTAPGPETGLEEPVWRLGLGGGPESYPERPEEADCIYYLRTGFCGYGSRCRFNHPRDRAAVMGAGRGGVGEYPERVGQPVCQYYMRTGTCKFGVSCKYHHPKQGGGSVSSVLLNYYGYPLRPGEKECSYYVKTGQCKFGATCKFHHPAPPAQVPAPSPAPPVASVPTPVPAPAIYSTVQSPSGPSSQQYGVVMARPPLMPGSYMQGHYGPLLLSPGMVSVPSWNPYMAPVSPGTQPTVGSSSIFGVTPLSPSAPAYTGPYLPVPSSVGPSSSSQKEQSFPERPGQPECQYYMKTGDCKYGSSCRYHHPPEVIAPKADVMLGPLGLPLRPGAPPCSHYSQRGVCKFGAACKFDHPTGTLSYSPSASSLADMPVAPYPVGSTIGTLAPSSSSSELRPDLISGSSKDTATAIMSSSVSTLSESVGSVFSEGAPIPQSSIQQSSQSTAPSTGSGSSSTEGRTSS >EOY06445 pep chromosome:Theobroma_cacao_20110822:4:31938409:31943803:1 gene:TCM_021162 transcript:EOY06445 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP1/OBG family protein MASISISASFFSRPQAQARSKTQKPSPQKNPNPKLKSKKVAKFKSFSLSPPPPSPSPSPSPSSSLGAQATTYTRLPPKEDFDFDSEVSSSSESDEIKLSDSDIAKITFNDSSSEIEAEMLNTDDDDEVNESSGFEDESHDGKIADFYNGDESLDFEEDEEEEVYYYNSDGKLVNLSENDGDDMGLEVKEKGVPAVMRCFDRAKIYVKAGDGGNGVVAFRREKYVPLGGPSGGDGGRGGNVYLEVDGAMNSLLPFRNCVHFRAGRGAHGLGRMMCGRKGEDVVVKVAPGTVVREAGSEEVLLELLHPGQRALLLPGGRGGRGNASFKSGNNKVPKIAENGEEGPEMWLELELKLVADVGIVGAPNAGKSTLLSVISAAQPAIANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHRGFGLGHEFLRHTERCSALVHVVDGSGQQPELEFDAVRLELEMFSPELSEKPYIVAYNKMDLPEAYENWQSFKEKLQGRGIETFCMSAVKQEGTHEVISAAYRLLQKNKESNEGFEGFQDPVDLNHVADMVNKQRSSSISEFEITHDSSCNTWHVFGAGLQRFVQMTNWRYLDSEKRFQHVLEACGVNRSLMKLGVKEGDTVIVGEMEMVWHDSAENSGTANMKKRSTESIKWPQWK >EOY05804 pep chromosome:Theobroma_cacao_20110822:4:29835672:29838606:1 gene:TCM_020711 transcript:EOY05804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum induced protein with YGL and LRDR motifs isoform 1 MLAVFDKSVAKSPDALNAPDHSEAVSALQNGFLANHFGSIHPGSVTVNLGSAGVMAYSLEKQNPLLPRLFAVVDDIFCLFQGHIENVALLKQQYGLNKTANEGIIVIEAYRTLRDRGPYPPDQVVRDIQGKFAFILYDSSSKVTFIASDADGSVPFFWGTDAEGHLVLADDVETVKKGCGKSFAPFPKGCFFTSSGGLRSFEHPLNELKPVPRVDSSGQVCGATFSVDVETKKEPTGMKKVGSAANWSSHY >EOY05803 pep chromosome:Theobroma_cacao_20110822:4:29832603:29838723:1 gene:TCM_020711 transcript:EOY05803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum induced protein with YGL and LRDR motifs isoform 1 MLAVFDKSVAKSPDALNAPDHSEAVSALQNGFLANHFGSIHPGSVTVNLGSAGVMAYSLEKQNPLLPRLFAVVDDIFCLFQGHIENVALLKQQYGLNKTANEGIIVIEAYRTLRDRGPYPPDQVVRDIQGKFAFILYDSSSKVTFIASDADGSVPFFWGTDAEGHLVLADDVETVKKGCGKSFAPFPKGCFFTSSGGLRSFEHPLNELKPVPRVDSSGQVCGATFSVDVETKKEPTGMKKVGSAANWSSHY >EOY06817 pep chromosome:Theobroma_cacao_20110822:4:33022943:33025863:-1 gene:TCM_021432 transcript:EOY06817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutral invertase isoform 3 MKSSTCIGISSMKPCCRILISYKSSSIFGLSPPKMNRSGIHNLSKSLSKAVDRRRFHCYKHSKSQIVGYNCAVDSNRRAFSVSDSSWGQSRGFTGSFCVNKGRSRGVLVIPKVASDFRNHSTSVEPHVNEKNFERIYIQGGLNVKPLVIERIETGNGLVKEDNTGIDVNESGVNIDNVKGLNLTETEIEREVSEIEKEAWKILRGAVVNYCGHPVGTVAANDPADKQPLNYDQIFIRDFVPSALAFLLNGEPEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTAPLDGSSEAFEEVLDADFGESAIGRVAPVDSGLWWIILLRAYGKITGDYTLQERVDVQTGISLILNLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLTVNDATKNLVAAINSRLSALSFHIREYYWVDMKKINEIYRYKTEEYSTDAINKFNIYPDQIPSWLVDWIPDEGGYFIGNLQPAHMDFRFFTLGNLWAIVSSLGTSKQNEDVLNLIEAKWDDFVANMPLKIIYPALESDEWRIITGSDPKNT >EOY06815 pep chromosome:Theobroma_cacao_20110822:4:33021891:33032970:-1 gene:TCM_021432 transcript:EOY06815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutral invertase isoform 3 MKSSTCIGISSMKPCCRILISYKSSSIFGLSPPKMNRSGIHNLSKSLSKAVDRRRFHCYKHSKSQIVGYNCAVDSNRRAFSVSDSSWGQSRGFTGSFCVNKGRSRGVLVIPKVASDFRNHSTSVEPHVNEKNFERIYIQGGLNVKPLVIERIETGNGLVKEDNTGIDVNESGVNIDNVKGLNLTETEIEREVSEIEKEAWKILRGAVVNYCGHPVGTVAANDPADKQPLNYDQIFIRDFVPSALAFLLNGEPEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTAPLDGSSEAFEEVLDADFGESAIGRVAPVDSGLWWIILLRAYGKITGDYTLQERVDVQTGISLILNLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLTVNDATKNLVAAINSRLSALSFHIREYYWVDMKKINEIYRYKTEEYSTDAINKFNIYPDQIPSWLVDWIPDEGGYFIGNLQPAHMDFRFFTLGNLWAIVSSLGTSKQNEDVLNLIEAKWDDFVANMPLKIIYPALESDEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTVACIKMGKPELAQKAVALAEERLSADQWPEYYDTRSGKFIGKQSRLFQTWTVAGFLTSKMLLQNPQKASLLFWEEDYELLETCVCGLGKTGRRKCSRLAAKS >EOY06816 pep chromosome:Theobroma_cacao_20110822:4:33022943:33025863:-1 gene:TCM_021432 transcript:EOY06816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutral invertase isoform 3 MKSSTCIGISSMKPCCRILISYKSSSIFGLSPPKMNRSGIHNLSKSLSKAVDRRRFHCYKHSKSQIVGYNCAVDSNRRAFSVSDSSWGQSRGFTGSFCVNKGRSRGVLVIPKVASDFRNHSTSVEPHVNEKNFERIYIQGGLNVKPLVIERIETGNGLVKEDNTGIDVNESGVNIDNVKGLNLTETEIEREVSEIEKEAWKILRGAVVNYCGHPVGTVAANDPADKQPLNYDQIFIRDFVPSALAFLLNGEPEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTAPLDGSSEAFEEVLDADFGESAIGRVAPVDSGLWWIILLRAYGKITGDYTLQERVDVQTGISLILNLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLTVNDATKNLVAAINSRLSALSFHIREYYWVDMKKINEIYRYKTEEYSTDAINKFNIYPDQIPSWLVDWIPDEGGYFIGNLQPAHMDFRFFTLGNLWAIVSSLGTSKQNEDVLNLIEAKWDDFVANMPLKIIYPALESDEWRIITGSDPKNTPWSYHNGGSWPTLLWQVKLIFDQVIWILKSFIMLVKVDYAINYLSVTPWSCNDCNDPESRNYTP >EOY04838 pep chromosome:Theobroma_cacao_20110822:4:26148320:26148858:1 gene:TCM_020007 transcript:EOY04838 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MIDTMHSASKISWFVRRIGRSKSKKYYRRLEEEGEVGKAAPLEAREGYVAMYVGEEAKRYEVPIKYLSLPTFKELLMQSQEDYLDAKIGGPILISCTIENFDQLLKNAKHLQLDPRCSSSSVD >EOY04606 pep chromosome:Theobroma_cacao_20110822:4:25200291:25205529:1 gene:TCM_019828 transcript:EOY04606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase/thiol ester dehydrase-isomerase superfamily protein isoform 1 MYTLKRPLSHSFRFNNSNFTSLRSSTKTYQANETIRSQNPIFSAKFPDPTMPFFIANSPSVSRINTHFPNSLIQPRLTSTTQTFPQIRNFCNKQSNPNAELSASNDISAVIPPIRSSQPIDAGSSMRKPISLWPGMYHSPVTNALWEARSSMFEKSAGDKQYSQSELVAKTPSRSRTCIDYKFSSDYILKEQYRNPWDEIRMGKLLEDLDALAGTISYKHCRNDDGATRPILLVTASVDRMVLKKPIHVDFDLKISGAVTWVGRSSMEIQLEVTQSTQDSPNPSDSIAFVANFTFVARDSQTGKSAPVNQILPETEREKLLWKEAEERNKMRKQKRAERKKDANNSDEDRLYSLLAEGRVFCDMPALADRDSILIRDTCHENSLICQPQQRNIHGRIFGGFLMRKAFELAFSNAYAFAGAAPCFLEVDHIDFFKPVDVGNFLRLKSSVLYTEVENRAKPLINVEVVAHVTRPELRSSEVSNKFYFTFTVRPEAMKEGLRIRNVVPATEEEARRVLEHMDAESSQ >EOY04607 pep chromosome:Theobroma_cacao_20110822:4:25200381:25205664:1 gene:TCM_019828 transcript:EOY04607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase/thiol ester dehydrase-isomerase superfamily protein isoform 1 MYTLKRPLSHSFRFNNSNFTSLRSSTKTYQANETIRSQNPIFSAKFPDPTMPFFIANSPSVSRINTHFPNSLIQPRLTSTTQTFPQIRNFCNKQSNPNAELSASNDISAVIPPIRSSQPIDAGSSMRKPISLWPGMYHSPVTNALWEARSSMFEKSAGDKQYSQSELVAKTPSRSRTCIDYKFSSDYILKEQYRNPWDEIRMGKLLEDLDALAGTISYKHCRNDDGATRPILLVTASVDRMVLKKPIHVDFDLKISGAVTWVGRSSMEIQLEVTQSTQDSPNPSDSIAFVANFTFVARDSQTGKSAPVNQILPETEREKLLWKEAEERNKMRKQKRAERKKDANNSDEDRLYSLLAEGRVFCDMPALADRDSILIRDTCHENSLICQPQQRNIHGRIFGGFLMRKAFELAFSNAYAFAGAAPCFLEVDHIDFFKPVDVGNFLRLKSSVLYTEVENRAKPLINVEVVAHVTRPELRSSEVSNKFYFTFTVRPEAMKEGLRIRNVVPATEEEARRVLEHMDAESSQ >EOY04608 pep chromosome:Theobroma_cacao_20110822:4:25200381:25205664:1 gene:TCM_019828 transcript:EOY04608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase/thiol ester dehydrase-isomerase superfamily protein isoform 1 MYTLKRPLSHSFRFNNSNFTSLRSSTKTYQANETIRSQNPIFSAKFPDPTMPFFIANSPSVSRINTHFPNSLIQPRLTSTTQTFPQIRNFCNKQSNPNAELSASNDISAVIPPIRSSQPIDAGSSMRKPISLWPGMYHSPVTNALWEARSSMFEKSAGDKQYSQSELVAKTPSRSRTCIDYKFSSDYILKEQYRNPWDEIRMGKLLEDLDALAGTISYKHCRNDDGATRPILLVTASVDRMVLKKPIHVDFDLKISGAVTWVGRSSMEIQLEVTQSTQGKSAPVNQILPETEREKLLWKEAEERNKMRKQKRAERKKDANNSDEDRLYSLLAEGRVFCDMPALADRDSILIRDTCHENSLICQPQQRNIHGRIFGGFLMRKAFELAFSNAYAFAGAAPCFLEVDHIDFFKPVDVGNFLRLKSSVLYTEVENRAKPLINVEVVAHVTRPELRSSEVSNKFYFTFTVRPEAMKEGLRIRNVVPATEEEARRVLEHMDAESSQ >EOY05394 pep chromosome:Theobroma_cacao_20110822:4:28418937:28423905:1 gene:TCM_020404 transcript:EOY05394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein isoform 1 MSMPSSRQSSSSFFFFYNLSFSSFFISFLFFFLTTATFAAPNKEASILFSWIQSSPTPHSSFSNWNNLDPNPCNWTYITCSPQGFVTDINIQSVPLELPSPTNLSSFHSLKRLVIYDANLTGTIPVDIGYCTELTIIKLSSNSLVGSIPAGIGRLQNLEDLILNSNQLTGKIPVELGNCKSLKNIWLYDNLLGGAIPAELGKLSSLEVLRAGGNKDIVGRIPDEIGDCSNLTVLGLADTRVSGSLPPSLGKLSKLQTLSIYTTMLSGEIPPEIGNCSELVDLYLYENSLSGSIPPQIGKLKKLEQLFLWQNSLVGSIPEEIGNCSKLINIDLSLNSLSGTIPLSLGGLLELQEFMISNNNVSGSIPSNLSNASNLLQLQLDTNQISGLIPPELGMLSKLTVFFAWQNQLEGSIPSSLSSCTDLQALDLSHNSLTGSIPPGLFQLRNLTKLLLISNDISGSIPPEIGNCSSLVRLRLGNNRITGVIPSEIGSLKRLNFLDLSSNRLSGPIPYEIGGCTELQMIDLSNNILQGPLPNSLSSLSDLQVLDVSINRFDGQIPASLGRLVSLNKLILSKNSLSGSIPSALGLCSSLQLLDLSSNELTGGIPTELGRIEALEIALNLSCNGLTGPIPSQMSALSKLSILDLSHNKLEGDLAPLAALDNLVSLNISYNNFEGYLPDNKLFRQLPPADLAGNQGLCPTNRDSCFLGSDGRAGLSRTENEIRRSRRLKLAIALLITLTVAMVIMGTIAMIRARKTIRDDDDSELGDSWPWQFTPFQKLNFSVEQVLKCLVDANVIGKGCSGVVYRADMDNGEVIAVKKLWPTTMAASDGCNDDKCGVRDSFSAEVKTLGSIRHKNIVRFLGCCWNRNTRLLMYDYMPNGSLGSLLHERTGNALEWELRYQILLGAAQGVAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDGDFARSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHVVDWVRQKRGGIEVLDPSLLSRPESEIEEMMQALGIALLCVNSCPDERPNMKDVAAMLKEIKHEREEYAKVDVLLKGSPAIDTKESKNSSTVPATSSSKPAMQSLYPKSNNSSFSASSLLYSSSPNPRVGLK >EOY05395 pep chromosome:Theobroma_cacao_20110822:4:28418937:28423905:1 gene:TCM_020404 transcript:EOY05395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein isoform 1 MSMPSSRQSSSSFFFFYNLSFSSFFISFLFFFLTTATFAAPNKEASILFSWIQSSPTPHSSFSNWNNLDPNPCNWTYITCSPQGFVTDINIQSVPLELPSPTNLSSFHSLKRLVIYDANLTGTIPVDIGYCTELTIIKLSSNSLVGSIPAGIGRLQNLEDLILNSNQLTGKIPVELGNCKSLKNIWLYDNLLGGAIPAELGKLSSLEVLRAGGNKDIVGRIPDEIGDCSNLTVLGLADTRVSGSLPPSLGKLSKLQTLSIYTTMLSGEIPPEIGNCSELVDLYLYENSLSGSIPPQIGKLKKLEQLFLWQNSLVGSIPEEIGNCSKLINIDLSLNSLSGTIPLSLGGLLELQEFMISNNNVSGSIPSNLSNASNLLQLQLDTNQISGLIPPELGMLSKLTVFFAWQNQLEGSIPSSLSSCTDLQALDLSHNSLTGSIPPGLFQLRNLTKLLLISNDISGSIPPEIGNCSSLVRLRLGNNRITGVIPSEIGSLKRLNFLDLSSNRLSGPIPYEIGGCTELQMIDLSNNILQGPLPNSLSSLSDLQVLDVSINRFDGQIPASLGRLVSLNKLILSKNSLSGSIPSALGLCSSLQLLDLSSNELTGGIPTELGRIEALEIALNLSCNGLTGPIPSQMSALSKLSILDLSHNKLEGDLAPLAALDNLVSLNISYNNFEGYLPDNKLFRQLPPADLAGNQGLCPTNRDSCFLGSDGRAGLSRTENEIRRSRRLKLAIALLITLTVAMVIMGTIAMIRARKTIRDDDDSELGDSWPWQFTPFQKLNFSVEQVLKCLVDANVIGKGCSGVVYRADMDNGEVIAVKKLWPTTMAASDGCNDDKCGVRDSFSAEVKTLGSIRHKNIVRFLGCCWNRNTRLLMYDYMPNGSLGSLLHERTGNALEWELRYQILLGAAQGVAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDGDFARSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHVVDWVRQKRGGIEVLDPSLLSRPESEIEEMMQALGIALLCVNSCPDERPNMKDVAAMLKEIKHEREEYAKVDVLLKGSPAIDTKESKNSSTVPATSSSKPAMQSLYPKSNNSSFSASSLLYSSSPNPRVGLK >EOY02453 pep chromosome:Theobroma_cacao_20110822:4:898971:902561:-1 gene:TCM_016925 transcript:EOY02453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate/phosphate translocator 2 isoform 2 MQSTALALSPTIPFFKPLNKNTNPRYSPLSNLSSLKPLDLSSIHGLSCQKACYLSSWSSFNARISESFVVSNGRNDDSFKVRAASVPENKGETKDSSGLSGTLQLGAMFAIWYLLNIYFNISNKQVLKVYTFPATVTAFQLGCGTLMILIMWASNLYHRPKLTRSQLAAIIPLAVIHTLGNLLTNVSLGQVNVSFTHTIKAMEPFFTVLFSVLLLGEWPTLWILSSLIPVVGGVALASFTEVSFNWIGFSSAMASNVTNQSRNVLSKKFMVRKEDTLDNINLFSVITIISFILLVPTAILLEGVKFTPSYLQSAANQGLNVKELCIRSLLAGFCFHSYQQVSYMILQMVSPVTHSVGNCVKRVVVIVSSVIFFQTPVSPINSLGTAVALAGVFLYSKAKRMKPKPKAA >EOY02454 pep chromosome:Theobroma_cacao_20110822:4:899663:902564:-1 gene:TCM_016925 transcript:EOY02454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate/phosphate translocator 2 isoform 2 MQSTALALSPTIPFFKPLNKNTNPRYSPLSNLSSLKPLDLSSIHGLSCQKACYLSSWSSFNARISESFVVSNGRNDDSFKVRAASVPENKGETKDSSGLSGTLQLGAMFAIWYLLNIYFNISNKQVLKVYTFPATVTAFQLGCGTLMILIMWASNLYHRPKLTRSQLAAIIPLIGFSSAMASNVTNQSRNVLSKKFMVRKEDTLDNINLFSVITIISFILLVPTAILLEGVKFTPSYLQSAANQGLNVKELCIRSLLAGFCFHSYQQVSYMILQMVSPVTHSVGNCVKRVVVIVSSVIFFQTPVSPINSLGKNCSYPLLKHGKLTVLV >EOY04333 pep chromosome:Theobroma_cacao_20110822:4:23955725:23964682:1 gene:TCM_019620 transcript:EOY04333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWHRLDLYLPTNRNGPKPVVVFVTGGAWIIGGKPKPVWVTTDRGRGCQIRRSEGKRALRERHRSIWAAGLREAKGVVHRGRRAKQCRFSGVAEVGRSRPNDVVLSDSTSTKLCVLSSALWASKGRPGVVDLGSCGPPSWANPSLERVDLVAYMESSWPSSGYDGIYEVTQHMASVQQSEGDCLSKDHFSSLPDRVHLDLKQNDFIDLLNIWDNYIMKHRDIEQGQLVMALGIYGLVIFPKVLGHIEVGIIDFFQQVINKANPSPSILAETLRSLNYCRRKGEGRFVGCSQLLSIWIASHFECKIWHDQRVKDVVYPKEDALCGPVDPKPRDALLESELARKKSEAENASWKQRYEDLQKECEKMKREVSEQRKKVRRIEGKYESLNDKFSATTSELQREIQVKENRGNELQTHNDELRRQVRFQQESIQLLRQEYEELEGVMTTYQQEYECLKQQSTRIQEWGESYRQAYIEKHNQMDYLVWQMREVAYKARSMAWKTDILKSQIFPVGKQEQQLIKYLDERARIMEEEQRERMDRMERAQEEMREQLTKMMELMMSFSKGKRAIEEPAPSENPPAQDSGNQRDDPSYPPGFTPPHAQTSQRVHPQVMPSVYYNAPPPLGHQPTHRQFRPYSGA >EOY04004 pep chromosome:Theobroma_cacao_20110822:4:21385413:21386813:-1 gene:TCM_019261 transcript:EOY04004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 6 MRKPCCDKQGTNKGAWSKQEDQKLIDYIRTHGEGCWRSLPKAAGLHRCGKSCRLRWINYLRPDIKRGNFAQDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHIKRKLIKLGIDPNNHKLNQYPLRPQPQHVSPSSPASLNVARKPRRSSAAKDRVSDAASCLEDERSGRVSKLDLDLTIAFPPTPTNHHEEKQQNTASIMTSEEENYTAPTLLLFR >EOY02364 pep chromosome:Theobroma_cacao_20110822:4:579753:583438:1 gene:TCM_016868 transcript:EOY02364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP38 isoform 3 MAAIIFCNCYSSLAASKWVNPRIPTKGTSLLRTQKSSSWLNRQLLPKCTSQKHVQCHLQDGQKGRSFSLKECAVSIVLAAGLITGMPSLDWSPNAYAASPALPDLSVLISGPPIKDPGVLLRNALPINNKAVREVQKPLEDITESLKIAGVKALDSVERNVRQASRALKQGKTLIISGLAETKKDHGVELLDKLEVGMDELQQIVEDRDRDAVAPKQKELLQYVGDVEEDMVDGFPYEVPEEYRSLPLLKGRAAVDMKVKVKDNPNLDECVFHIVLDGYNAPVTAGNFVDLVQRHFYDGMEIQRADGFVVQTGDPEGPAQGFIDPSTEKTRTIPLEIMVNGEKAPFYGATLEEFENDSASSQVFWLLKESELTPSNANILDGRYAVFGYVTENQDFLADLKVGDVIESIQVVSGLDKLVNPSYKIAG >EOY02363 pep chromosome:Theobroma_cacao_20110822:4:579800:582888:1 gene:TCM_016868 transcript:EOY02363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP38 isoform 3 MAAIIFCNCYSSLAASKWVNPRIPTKGTSLLRTQKSSSWLNRQLLPKCTSQKHVQCHLQDGQKGRSFSLKECAVSIVLAAGLITGMPSLDWSPNAYAASPALPDLSVLISGPPIKDPGVLLRNALPINNKAVREVQKPLEDITESLKIAGVKALDSVERNVRQASRALKQGKTLIISGLAETKKDHGVELLDKLEVGMDELQQIVEDRDRDAVAPKQKELLQYVGDYDGMEIQRADGFVVQTGDPEGPAQGFIDPSTEKTRTIPLEIMVNGEKAPFYGATLEELGLYKAQTKLPFNAFGTMAMARDEFENDSAS >EOY02362 pep chromosome:Theobroma_cacao_20110822:4:579753:583438:1 gene:TCM_016868 transcript:EOY02362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP38 isoform 3 MAAIIFCNCYSSLAASKWVNPRIPTKGTSLLRTQKSSSWLNRQLLPKCTSQKHVQCHLQDGQKGRSFSLKECAVSIVLAAGLITGMPSLDWSPNAYAASPALPDLSVLISGPPIKDPGVLLRNALPINNKAVREVQKPLEDITESLKIAGVKALDSVERNVRQASRALKQGKTLIISGLAETKKDHGVELLDKLEVGMDELQQIVEDRDRDAVAPKQKELLQYVGDVEEDMVDGFPYEVPEEYRSLPLLKGRAAVDMKVKVKDNPNLDECVFHIVLDGYNAPVTAGNFVDLVQRHFYDGMEIQRADGFVVQTGDPEGPAQGFIDPSTEKTRTIPLEIMVNGEKAPFYGATLEELGLYKAQTKLPFNAFGTMAMARDEFENDSASSQVFWLLKESELTPSNANILDGRYAVFGYVTENQDFLADLKVGDVIESIQVVSGLDKLVNPSYKIAG >EOY02742 pep chromosome:Theobroma_cacao_20110822:4:2018266:2020854:1 gene:TCM_017136 transcript:EOY02742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative MFKSVCKPNVDKSCVRTTTTTKSLPILPTEEQWLSIFRRFDSDGDGLLSKQDIANAFKALGVSPPNKQTFAALSHVDENGNRYIGEDKIGELVQYVMKRGYTIKSCYFTFSLNARLCYRFGSFS >EOY05064 pep chromosome:Theobroma_cacao_20110822:4:27045900:27047586:-1 gene:TCM_020168 transcript:EOY05064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall associated kinase-like 6, putative MGVHLVFSSHLEKHQYYVLLHLATASSSIARTGRAWLWGNINKDKNRTAASVNLTGSPFYFSSRFNVFGCVGCGNLASIFRNQTDPIGGCLEPRCGDETSKDLTFPQDINIDTTHVSAILEWNLVKCDMEATQCQERGVVPHKFDCTERCGNVDIQSNLQQNTFISRINLQLLSVSFSEESISVNNSVTYFNCRSIENNGISVNLTGSSFFFSNIDNGFVSVGCGSLATIYHNRSAVYPLGGCLQPGCSNMETFNSGCLMTIPPGLSSFVANVTEIYPNNDSNRSCGSSFMIGLSLLDSDVTIFRDALVESSTSHVPRILQWGTPKVGLHELKEGSKIFCSPGGE >EOY05575 pep chromosome:Theobroma_cacao_20110822:4:29048932:29053301:1 gene:TCM_020544 transcript:EOY05575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase, putative MSIAARKTMPELSKIIVGSGGMKAEEIGKITVDGIKSGSFSNPCNFLGQTLAIVTAGVSPQRSFLMASLEVVFAGLFRFGAGINLPYYQPFSMVLFMLVILPFLLLLLYFIARPRPVKVPIKDRHVFITGGSSGIGLAIAKRAASEGARVSIMARNLEKLEEARQSIRLASGALDVSIFSADARDSNAVQRAVNDAGPIDVLVVNHGVYEHDKLEKQGLDVVNYILDVNLIGSFNVIKAALPLMKDRKDRGPASIALMASQAAQVGIYGYTAYSASNFGLRGLAEALQQKVIEDNIHVSLMFPPDTETPNLKKAKSTMPELTKIIIGSPVPMKADEVAKKTLEGIKSGSFIIPCNLIGYPLAFATPGVSPQRSFLMAFLEVALAGISRLVVLFYLWNWGLEFFVA >EOY03755 pep chromosome:Theobroma_cacao_20110822:4:19034102:19034845:1 gene:TCM_018923 transcript:EOY03755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQILLSKRKTMGNDFKRRILHVSLAISAMLFSIHAFETPTSPEVNNVIALCNGTITEDCVLNVNGENHLAKRSLQGGSASRHICYGAIQRPSICNANTYGNCIVPVGPSYRPCTVYTRCKRGIR >EOY02494 pep chromosome:Theobroma_cacao_20110822:4:1076208:1082699:1 gene:TCM_016956 transcript:EOY02494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pescadillo-related MGKPKHYRPPGKKKEGNAARYVTRSQAIKLLQVSLSDFRKLCIHKGVFPREPKKKVKGNHHTYYHLKDVMYILHDPLLEKFREIRAYKKKIKKAKAKKNDELARLLLSRAPSYKLDMVIRDRYPTFVDALRDLDDPLTMVHLFAMLPAIDRLKIEVKCIHNCRRLCHEWQAYISRTHKLRKVFVAVKGIYYQAEVEGQKITWLAPHARQQVLTDDVDFNVMLTFLEFYEALLGFVNFQLYHSMNVKYPPILDPRLEALAADLYALSRYFDANYKTSVQEPQVAGSSISEQEQEESNLRLAQLQRQLPANEPGALMHLVQDAASETEEDEATRDCKNLFQNMKIFLSREVPRESLLFVIPAFGGIVSWEGDGAPFAEADDSITHQIVDRPTQGHVYLSREYVQPQWIYDSVNARIILPTEAYMVGRDPPPHLSPFVDNEAEGYVPDYAKTIKELQAAAKNDVQPFPGMGNDVLDNSQNMLAEGYISRTEAMEAAEKKQKMMLLEKQYHDDLKMELQDVSSINKQSSAEDMESKEELLRDVQQSAMDSEDLSRLMMSRKKRGLAKAIEMNKQRKKDHVEKLKERKRNIEAAQKSEKKHKKA >EOY04415 pep chromosome:Theobroma_cacao_20110822:4:24244307:24249130:-1 gene:TCM_019675 transcript:EOY04415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ATP synthase D chain-related protein, putative MEDEKKKKRNKKKKNKQTKTTEEDVDQNNHVGNCQNGDVPGNAEVDLDTHQPNGALANTVEEMIRELQKENESHVQEEATLQETIKHLQNENESHVQKEATLEEAIKQLRNEFDSHLKKEVSLEETILKLQHGNDSQLRKEASLEETIRQLQYENESHMQKVAGLEMNIVELQTEKKFWLQEKASLDKKISQLQEEKAALDLKGANLEDKIKQLEKEKESWILTENSTKEAIYSLDRDITRLKMQVVELEESRSKLSQENQQLKENVSGLQLNIQNFERNMTSGPSSDEVNKQASANEELNSQIEAASALVGKLITENAELVEKVNELYVELERQSMAAGLSSAIGSVPMAKVDETASVSYSIPEPIENGSMLAPKLDSREAAPIHNGKIDSEDSGEIVQIPLDDSDVRDLESQPIDSEQNAVPLSDAPLIGAPFRLMSFVAKYVSGADLVNNTT >EOY03990 pep chromosome:Theobroma_cacao_20110822:4:21107777:21127242:1 gene:TCM_019222 transcript:EOY03990 gene_biotype:protein_coding transcript_biotype:protein_coding description:DegP protease 7 isoform 1 MGDPLERLGSETAMGLESTIKEELCMEIDPPFKENVATAEDWRKALNKVVPAVVVLRTTACRAFDTEPAGASYATGFVVDKRRGIILTNRHVVKPGPVVAEAMFVNREEITVHPIYRDPVHDFGFFRYNPDAIQFLDYEEILLAPDAACVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLERVVRALKFLQKGGDSYMSKWEAVSIPRGTLQATFLHKGFDEIRRLGLQSETEQMARRASAQGETGMLVVDSVVPGGPAHNHLEPGDVLVRVNGEVITQFLKLETLLDDSVEQTIELQIERGGTPLTVQLLVQDLHSITPAHFLEVSGAVIHPLSYQQARNFRFQCGLVYVSEPGYMLFRAGVPRHAIIKKFAGEAISKLEDLISVLSKLSRGARVPLEYISYLDRHRRKSVLVTVDRHEWYAPPRIYTRDDSSGLWTAKPAFKSMLPSSGVNGEATHMEHIHQDNHQELTDGVTSMETSCEHASAELHSRDETGIGSKKRRVEEDMSFDGVVADCSLNETGEVKLEDTTATENAVLRDYQGATATAANASIAEQVIEPTLVMFEVHVPPSCMLDGVHSQHFFGTGVIIYHSRSMGLVAVDKNTVAISASDVMLSFAAYPIEIPGEVVFLHPVHNYAVVAYDPLALGPVGASVVRAAELLPEPALRRGDSVYLVGLSRSLQATSRKSVVTNPCAALNIGSADCPRYRATNMEVIELDTDFGSTFSGVLTDEHGKVQAVWGSFSTQLKFGCNTSEDHQFVRGVPVYAISQVLDKIISGANGPPLLINGAKRPMPLVRILEVELYPTLLSKARSFGLSDDWIQALVKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLSVNKEPVTCFRDIENVCQALDNGDNGGNLSMTIFRQGREIDLLVGTDVRDGNGTTRVINWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKATPDLDAFVNVTKELEHGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFDPETAIWRRRVIKTLDC >EOY03991 pep chromosome:Theobroma_cacao_20110822:4:21107887:21127072:1 gene:TCM_019222 transcript:EOY03991 gene_biotype:protein_coding transcript_biotype:protein_coding description:DegP protease 7 isoform 1 MFVNREEITVHPIYRDPVHDFGFFRYNPDAIQFLDYEEILLAPDAACVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLERVVRALKFLQKGGDSYMSKWEAVSIPRGTLQATFLHKGFDEIRRLGLQSETEQMARRASAQGETGMLVVDSVVPGGPAHNHLEPGDVLVRVNGEVITQFLKLETLLDDSVEQTIELQIERGGTPLTVQLLVQDLHSITPAHFLEVSGAVIHPLSYQQARNFRFQCGLVYVSEPGYMLFRAGVPRHAIIKKFAGEAISKLEDLISVLSKLSRGARVPLEYISYLDRHRRKSVLVTVDRHEWYAPPRIYTRDDSSGLWTAKPAFKSMLPSSGVNGEATHMEHIHQDNHQELTDGVTSMETSCEHASAELHSRDETGIGSKKRRVEEDMSFDGVVADCSLNETGEVKLEDTTATENAVLRDYQGATATAANASIAEQVIEPTLVMFEVHVPPSCMLDGVHSQHFFGTGVIIYHSRSMGLVAVDKNTVAISASDVMLSFAAYPIEIPGEVVFLHPVHNYAVVAYDPLALGPVGASVVRAAELLPEPALRRGDSVYLVGLSRSLQATSRKSVVTNPCAALNIGSADCPRYRATNMEVIELDTDFGSTFSGVLTDEHGKVQAVWGSFSTQLKFGCNTSEDHQFVRGVPVYAISQVLDKIISGANGPPLLINGAKRPMPLVRILEVELYPTLLSKARSFGLSDDWIQALVKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLSVNKEPVTCFRDIENVCQALDNGDNGGNLSMTIFRQQGREIDLLVGTDVRDGNGTTRVINWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKATPDLDAFVNVTKGIGTWGVCSCEDSPFEWKASSFNIEAGSALLADMGTEI >EOY06911 pep chromosome:Theobroma_cacao_20110822:4:33347910:33350618:-1 gene:TCM_021493 transcript:EOY06911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MSSFQLLQATPLSSAGHAPSKPRLHSPLEALQTCSSMAHLKQHHSHLIKLGLSADNDAMGRIIKFCAISENGHLDYGLHLFDTLPHPDAFIYNTLIRGYLQRQQPTHCILFYLQMLQHSVFPNKFTFPCLIRACSLANAIEQGSQIHAHVFKFGFAADTFCLNNLIHMYVNFQALEKARKVFEMMPTRDVVSWTTLISGYAQLGLVDEAFEIFELMQERNSVSWNAMIAAYVQSNRFHEAFALFNRMRAEKVVLDKFVAASMLSACTGLGALEQGKWIHGYIQDSRIELDAKLATTIIDMYCKCGCLEKAYETFKGLTCRGISSWNCMIGGFAMHGKWEAAIALFKEMEKEGVAPDNITFVNILSACAHSGLVEEGRYYFHYMTEVHAIERRMEHYGCMVDLLGRAGLLDDAKKLIDQMPMSPDVGVLGALFGACRIHGNIELGEQIGKRVIELEPENSGRYVLLANLYANTGRWEDVANVRRMMNDRGVKKVPGFSVIELEGVVNEFIAGGRAHSETKEIYSKVDEMLECIRSVGYVPDTEGVVHDLDEEERENPLYYHSEKLAIALGLLKTKTGETFRITKNLRVCRDCHHASKLISKVFDREIIVRDRNRFHHFKDGECSCKDYW >EOY03302 pep chromosome:Theobroma_cacao_20110822:4:11642647:11645591:1 gene:TCM_018166 transcript:EOY03302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLLQRFYDPLEVDILLDGVSVNKLQLKWLRSQMGLVALSLILTACGGWCGCFLEGYCWTRTSERQATRMRTRYLKAVLRQDVGYFDLNVTATAEVVTSVSNDSLIIQEVISEKVPNLIARGVTSVGTYIAAFLILWRLALVVFPFVLLLVIPALIYGKILLNLARKIRVEYNKASTVEEQAISSMRTVYAFVGESKTTTEFSAALQGSVKLGLRQGLAKGLAIGSSGITFAIWAFTTYYGSRMVMYHGAQGGTVFMVGTCIAMGGQYEF >EOY03260 pep chromosome:Theobroma_cacao_20110822:4:9988246:9991328:1 gene:TCM_018029 transcript:EOY03260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease, putative isoform 1 MSYIQDTFGWVLGFAIPMFAMVISVALFTCGSRIYEYKPVRTMDGKPFQSIVQVIKVTASKLIKGRISLPNDKSDVVELELQEKPLCDQKLGSKEGSELDGNPKNDTYLLENSKIILRLLPIWTMLLMFAVIFQQPATFFTKQGMTMERNIGRNFKIPPATLQSAITLSIILLMPLYDKVLIPITQIVTCNRKGISVMQRMGVGMFLSIIAMIIAAVVEMKRLEISKNIGVLESETVPLSIFWLLPQYVLLGISDIFTVVGMQEFFYNEVPVRMRTMGFALYTSVFGVGSFLSALMISIIEAFTSSKGRQSWFSDDMREDGLDKYYWLLALASALSLLLYAILCKCYKSRSEMENGSCK >EOY03261 pep chromosome:Theobroma_cacao_20110822:4:9988055:9991314:1 gene:TCM_018029 transcript:EOY03261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease, putative isoform 1 MSYIQDTFGWVLGFAIPMFAMVISVALFTCGSRIYEYKPVRTMDGKPFQSIVQVIKVTASKLIKGRISLPNDKSDVVELELQEKPLCDQKLGSKEGSELDGNPKNDTYLLENSKIILRLLPIWTMLLMFAVIFQQPATFFTKQGMTMERNIGRNFKIPPATLQSAITLSIILLMPLYDKVLIPITQIVTCNRKGISVMQRMGVGMFLSIIAMIIAAVVEMKRLEISKNIGVLESETVPLSIFWLLPQYVLLGISDIFTVVGMQEFFYNEVPVRMRTMGFALYTSVFGVGSFLSALMISIIEAFTSSKGRQSWFSDDMREDGLDKYYWLLALASALSLLLYAILCKCYKSRSEMENGSCK >EOY03259 pep chromosome:Theobroma_cacao_20110822:4:9988246:9991314:1 gene:TCM_018029 transcript:EOY03259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease, putative isoform 1 MAGGGRAQGLSRSCILLIDVVKMSNSSAAKTVNSWCGFTSMLPLLVAPLADSFWDRYSTILTSSFLYVLGLGAVASTALLWTWSPPSNTSSSAFLFWSLCLISLGQGAYNPSLQAFGADQLDHDEELPCSKDDKSSNKKSLFFQWWYFGVCSGSLLGVILMSYIQDTFGWVLGFAIPMFAMVISVALFTCGSRIYEYKPVRTMDGKPFQSIVQVIKVTASKLIKGRISLPNDKSDVVELELQEKPLCDQKLGSKEGSELDGNPKNDTYLLENSKIILRLLPIWTMLLMFAVIFQQPATFFTKQGMTMERNIGRNFKIPPATLQSAITLSIILLMPLYDKVLIPITQIVTCNRKGISVMQRMGVGMFLSIIAMIIAAVVEMKRLEISKNIGVLESETVPLSIFWLLPQYVLLGISDIFTVVGMQEFFYNEVPVRMRTMGFALYTSVFGVGSFLSALMISIIEAFTSSKGRQSWFSDDMREDGLDKYYWLLALASALSLLLYAILCKCYKSRSEMENGSCK >EOY03258 pep chromosome:Theobroma_cacao_20110822:4:9983908:9991453:1 gene:TCM_018029 transcript:EOY03258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease, putative isoform 1 MAGGGRAQGLSRSCILLIVIAGMERFAFKGVASNMVTYLTDVVKMSNSSAAKTVNSWCGFTSMLPLLVAPLADSFWDRYSTILTSSFLYVLGLGAVASTALLWTWSPPSNTSSSAFLFWSLCLISLGQGAYNPSLQAFGADQLDHDEELPCSKDDKSSNKKSLFFQWWYFGVCSGSLLGVILMSYIQDTFGWVLGFAIPMFAMVISVALFTCGSRIYEYKPVRTMDGKPFQSIVQVIKVTASKLIKGRISLPNDKSDVVELELQEKPLCDQKLGSKEGSELDGNPKNDTYLLENSKIILRLLPIWTMLLMFAVIFQQPATFFTKQGMTMERNIGRNFKIPPATLQSAITLSIILLMPLYDKVLIPITQIVTCNRKGISVMQRMGVGMFLSIIAMIIAAVVEMKRLEISKNIGVLESETVPLSIFWLLPQYVLLGISDIFTVVGMQEFFYNEVPVRMRTMGFALYTSVFGVGSFLSALMISIIEAFTSSKGRQSWFSDDMREDGLDKYYWLLALASALSLLLYAILCKCYKSRSEMENGSCK >EOY03852 pep chromosome:Theobroma_cacao_20110822:4:19932351:19935761:-1 gene:TCM_019055 transcript:EOY03852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein, putative MTIETFENIPKEHEQIADERAPLLPRNRDEETQVDKIGRASFHGSVFNLTCTIVGSGIMSLPATMKIVGVVPGVVLIVLAALLTQASIEMLLRFSKPESAYSYEDVLSGSTSSGVHHAGILEGWFGEHWWTSRAVVVLVLTAVVLVPFLCFKRIDSLRFTSAISFALAVVFLAVVIGITIYKFIMGSIEAPKYFPTVTNLSSFWELFTAVPVVIFAYLCHYNVHPIANELADSPSMPTVVKTSVALCAIVYVMTGLFGFFLFGDSTLSDLLSNFDTDLGIPYNSLFNDIVRISYAGHIMLVFPISFFPLRLNVDGLLFPSAAPLSSDNLRFGLVTVGLIAIILLGAIFIPSIWVAFEFTGATVGALLAFIFPACITLKDPHGIATKKDKILSVFMIIVAVFSNVAAIYSDAYSLLTA >EOY03458 pep chromosome:Theobroma_cacao_20110822:4:16097733:16101761:-1 gene:TCM_018546 transcript:EOY03458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLLLSMLFIITIWNPYGIYSSTISFHCAFGLRASSYGGFNGSPLGMTPQRTWKAMWFYWQVIIEKVAVKVELMKRGLRNSSFIAFLKVFLSSNYASSWDIFRIDIYPKDSSSILTT >EOY06122 pep chromosome:Theobroma_cacao_20110822:4:30986012:30990339:1 gene:TCM_020943 transcript:EOY06122 gene_biotype:protein_coding transcript_biotype:protein_coding description:No pollen germination related 2 isoform 1 MAIKDWINKRGFSVRERFQKMMKCIWSGQQIRVDDTIASSESLATRDYSVSGYSSRPGEMAETKVDNSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALHVFEGIDVAAVTSKMKVSLARRCEQNRRRSQSDAAPAMSMHAVSLLLEAIFLKAKSLQHLGRFGEAAQSCKVILDNVESALPEGLSDNYSTDCKLQEILNKAVELLPELWKLAGDPQEAILSYRRALLYYWNLDMGTKSKLEKEFAVLLLYSGADASPPNLRSQMEGSFVPRNNIEEAILLLLVLLRKFFLKRIGWDPSILDHLAFALSVSGELRAIAHQVEELHPEIMNRKERYSTLALCYYGEGEDIVALNLLRNLLNSRDNPDCILELLLASKICGENMSCIEEGISWARKALSGLNGRCGQMVSKANCLLGVLLSSQSRLVSSDSERILKQSETIEVLETAEKMMTERDPYIVFHLCLENAEQRKLDVALYYAKQLLKLEAGSSVKGYVLLARILSAQKQFVDAETVINAALDQSGKWDQGELLRTKAKLQIAQNQLKNAVETYTHLLAVLQVQYKSSGAGKKLLKTKGKWDRSLEMETWHDLANVYTSLSQWRDAEVCLSKSKAISPFSASRWYSTGLLNEAKGFHQEALRSYRKALDVEPTHVPSLISTACILRQLGGQSMPIVRSFLTDAVRLDRTNPAAWYNLGLLYKADASASALEAAECFEAAALLEESAPIEPFR >EOY06127 pep chromosome:Theobroma_cacao_20110822:4:30986564:30989485:1 gene:TCM_020943 transcript:EOY06127 gene_biotype:protein_coding transcript_biotype:protein_coding description:No pollen germination related 2 isoform 1 MAIKDWINKRGFSVRERFQKMMKCIWSGQQIRVDDTIASSESLATRDYSVSGYSSRPGEMAETKVDNSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALHVFEGIDVAAVTSKMKVSLARRCEQNRRRSQSDAAPAMSMHAVSLLLEAIFLKAKSLQHLGRFGEAAQSCKVILDNVESALPEGLSDNYSTDCKLQEILNKAVELLPELWKLAGDPQEAILSYRRALLYYWNLDMGTKSKLEKEFAVLLLYSGADASPPNLRSQMEGSFVPRNNIEEAILLLLVLLRKFFLKRIGWDPSILDHLAFALSVSGELRAIAHQVEELHPEIMNRKERYSTLALCYYGEGEDIVALNLLRNLLNSRDNPDCILELLLASKICGENMSCIEEGISWARKALSGLNGRCGQMVSKANCLLGVLLSSQSRLVSSDSERILKQSETIEVLETAEKMMTERDPYIVFHLCLENAEQRKLDVALYYAKQLLKLEAGSSVKGYVLLARILSAQKQFVDAETVINAALDQSGKWDQGELLRTKAKLQIAQNQLKNAVETYTHLLAVLQVQYKSSGAGKKLLKTKGKWDRSLEMETWHDLANVYTSLSQWRDAEVCLSKSKAISPFSASRWYSTGISYW >EOY06124 pep chromosome:Theobroma_cacao_20110822:4:30985242:30990362:1 gene:TCM_020943 transcript:EOY06124 gene_biotype:protein_coding transcript_biotype:protein_coding description:No pollen germination related 2 isoform 1 MAIKDWINKRGFSVRERFQKMMKCIWSGQQIRVDDTIASSESLATRDYSVSGYSSRPGEMAETKVDNSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALHVFEGIDVAAVTSKMKVSLARRCEQNRRRSQSDAAPAMSMHAVSLLLEAIFLKAKSLQHLGRFGEAAQSCKVILDNVESALPEGLSDNYSTDCKLQEILNKAVELLPELWKLAGDPQEAILSYRRALLYYWNLDMGTKSKLEKEFAVLLLYSGADASPPNLRSQMEGSFVPRNNIEEAILLLLVLLRKFFLKRIGWDPSILDHLAFALSVSGELRAIAHQVEELHPEIMNRKERYSTLALCYYGEGEDIVALNLLRNLLNSRDNPDCILELLLASKICGENMSCIEEGISWARKALSGLNGRCGQMVSKANCLLGVLLSSQSRLVSSDSERILKQSETIEVLETAEKMMTERDPYIVFHLCLENAEQRKLDVALYYAKQLLKLEAGSSVKGYVLLARILSAQKQFVDAETVINAALDQSGKWDQGELLRTKAKLQIAQNQLKNAVETYTHLLAVLQVQYKSSGAGKKLLKTKGKWDRSLEMETWHDLANVYTSLSQWRDAEVCLSKSKAISPFSASRWYSTGLLNEAKGFHQEALRSYRKALDVEPTHVPSLISTACILRQLGGQSMPIVRSFLTDAVRLDRTNPAAWYNLGLLYKADASASALEAAECFEAAALLEESAPIEPFR >EOY06125 pep chromosome:Theobroma_cacao_20110822:4:30985242:30990362:1 gene:TCM_020943 transcript:EOY06125 gene_biotype:protein_coding transcript_biotype:protein_coding description:No pollen germination related 2 isoform 1 MAIKDWINKRGFSVRERFQKMMKCIWSGQQIRVDDTIASSESLATRDYSVSGYSSRPGEMAETKVDNSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALHVFEGIDVAAVTSKMKVSLARRCEQNRRRSQSDAAPAMSMHAVSLLLEAIFLKAKSLQHLGRFGEAAQSCKVILDNVESALPEGLSDNYSTDCKLQEILNKAVELLPELWKLAGDPQEAILSYRRALLYYWNLDMGTKSKLEKEFAVLLLYSGADASPPNLRSQMEGSFVPRNNIEEAILLLLVLLRKFFLKRIGWDPSILDHLAFALSVSGELRAIAHQVEELHPEIMNRKERYSTLALCYYGEGEDIVALNLLRNLLNSRDNPDCILELLLASKICGENMSCIEEGISWARKALSGLNGRCGQMVSKANCLLGVLLSSQSRLVSSDSERILKQSETIEVLETAEKMMTERDPYIVFHLCLENAEQRKLDVALYYAKQLLKLEAGSSVKGYVLLARILSAQKQFVDAETVINAALDQSGKWDQGELLRTKAKLQIAQNQLKNAVETYTHLLAVLQVQYKSSGAGKKLLKTKGKWDRSLEMETWHDLANVYTSLSQWRDAEVCLSKSKAISPFSASRWST >EOY06126 pep chromosome:Theobroma_cacao_20110822:4:30985337:30990339:1 gene:TCM_020943 transcript:EOY06126 gene_biotype:protein_coding transcript_biotype:protein_coding description:No pollen germination related 2 isoform 1 MAIKDWINKRGFSVRERFQKMMKCIWSGQQIRVDDTIASSESLATRDYSVSGYSSRPGEMAETKVDNSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALHVFEGIDVAAVTSKMKVSLARRCEQNRRRSQSDAAPAMSMHAVSLLLEAIFLKAKSLQHLGRFGEAAQSCKVILDNVESALPEGLSDNYSTDCKLQEILNKAVELLPELWKLAGDPQEAILSYRRALLYYWNLDMGTKSKLEKEFAVLLLYSGADASPPNLRSQMEGSFVPRNNIEEAILLLLVLLRKFFLKRIGWDPSILDHLAFALSVSGELRAIAHQVEELHPEIMNRKERYSTLALCYYGEGEDIVALNLLRNLLNSRDNPDCILELLLASKICGENMSCIEEGISWARKALSGLNGRCGQMVSKANCLLGVLLSSQSRLVSSDSERILKQSETIEVLETAEKMMTERDPYIVFHLCLENAEQRKLDVALYYAKQLLKLEAGSSVKGYVLLARILSAQKQFVDAETVINAALDQSGKWDQGELLRTKAKLQIAQNQLKNAVETYTHLLAVLQVQYKSSGAGKKLLKTKGKWDRSLEMETWHDLANVYTSLSQWRDAEVYLMKLRGSTKKL >EOY06123 pep chromosome:Theobroma_cacao_20110822:4:30984605:30990398:1 gene:TCM_020943 transcript:EOY06123 gene_biotype:protein_coding transcript_biotype:protein_coding description:No pollen germination related 2 isoform 1 MAIKDWINKRGFSVRERFQKMMKCIWSGQQIRVDDTIASSESLATRDYSVSGYSSRPGEMAETKVDNSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALHVFEGIDVAAVTSKMKVSLARRCEQNRRRSQSDAAPAMSMHAVSLLLEAIFLKAKSLQHLGRFGEAAQSCKVILDNVESALPEGLSDNYSTDCKLQEILNKAVELLPELWKLAGDPQEAILSYRRALLYYWNLDMGTKSKLEKEFAVLLLYSGADASPPNLRSQMEGSFVPRNNIEEAILLLLVLLRKFFLKRIGWDPSILDHLAFALSVSGELRAIAHQVEELHPEIMNRKERYSTLALCYYGEGEDIVALNLLRNLLNSRDNPDCILELLLASKICGENMSCIEEGISWARKALSGLNGRCGQMVSKANCLLGVLLSSQSRLVSSDSERILKQSETIEVLETAEKMMTERDPYIVFHLCLENAEQRKLDVALYYAKQLLKLEAGSSVKGYVLLARILSAQKQFVDAETVINAALDQSGKWDQGELLRTKAKLQIAQNQLKNAVETYTHLLAVLQVQYKSSGAGKKLLKTKGKWDRSLEMETWHDLANVYTSLSQWRDAEVCLSKSKAISPFSASRWYSTGLLNEAKGFHQEALRSYRKALDVEPTHVPSLISTACILRQLGGQSMPIVRSFLTDAVRLDRTNPAAWYNLGLLYKADASASALEAAECFEAAALLEESAPIEPFR >EOY03380 pep chromosome:Theobroma_cacao_20110822:4:14160735:14169376:-1 gene:TCM_018380 transcript:EOY03380 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group isoform 3 MTDGHLFNNISLGGRGGTNPGQLKIYSGGILWKKQGGGKAVEVDKSDILGVTWMKVPRTNQLGVKIKDGLYYKFTGFRDQDVASLTNFFQNNCGITPEEKQLSVSGRNWGEVDLNENMLTFLTGSKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEISFHVPNTNTQFVGDENRPPAQVFREKIMSVADVGAGVEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVVVTLDPPIRKGQTLYPHIVLQFETDYVVQSTLSLNEDLLNTKYKDKLEPSYKGLIHEVFTTILRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKTEQEHLFRNIQRNEYHTLFDFISSKGLKIMNLGDVRTTDGVAEILQNEDDDAVDPHLERIKNEAGGDESDEEDEDFVIDKDDGGSPTDDSGDEESDASESGDEKEKPAKKVPRKEASSSKATKKKARDGEDDGKKKKQKKKKDPNAPKRAMSGFMFFSQMERENVKKSNPGIAFTEVGKVLGDKWKKMSAEEKEPYEAKARADKQRYTDEKSGYKNPQPMNIDSGNESDSA >EOY03381 pep chromosome:Theobroma_cacao_20110822:4:14159721:14166692:-1 gene:TCM_018380 transcript:EOY03381 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group isoform 3 MTDGHLFNNISLGGRGGTNPGQLKIYSGGILWKKQGGGKAVEVDKSDILGVTWMKVPRTNQLGVKIKDGLYYKFTGFRDQDVASLTNFFQNNCGITPEEKQLSVSGRNWGEVDLNENMLTFLTGSKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEISFHVPNTNTQFVGDENRPPAQVFREKIMSVADVGAGVEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVVVTLDPPIRKGQTLYPHIVLQFETDYVVQSTLSLNEDLLNTKYKDKLEPSYKGLIHEVFTTILRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKTEQEHLFRNIQRNEYHTLFDFISSKGLKIMNLGDVRTTDGVAEILQNEDDDAVDPHLERIKNEAGGDESDEEDEDFVIDKDDGGSPTDDSGDEESDASESGDEKEKPAKKVPRKEASSSKATKKKARDGEDDGKKKKQKKKKDPNAPKRAMSGFMFFSQMERENVKKSNPGIAFTEVGKVLGDKWKKMSAEEKEPYEAKARADKQRYTDEKSGYKNPQPMNIDSGNESDSA >EOY03382 pep chromosome:Theobroma_cacao_20110822:4:14161192:14166692:-1 gene:TCM_018380 transcript:EOY03382 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group isoform 3 MTDGHLFNNISLGGRGGTNPGQLKIYSGGILWKKQGGGKAVEVDKSDILGVTWMKVPRTNQLGVKIKDGLYYKFTGFRDQDVASLTNFFQNNCGITPEEKQLSVSGRNWGEVDLNENMLTFLTGSKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEISFHVPNTNTQFVGDENRPPAQVFREKIMSVADVGAGVEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVVVTLDPPIRKGQTLYPHIVLQFETDYVVQSTLSLNEDLLNTKYKDKLEPSYKGLIHEVFTTILRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKTEQEHLFRNIQRNEYHTLFDFISSKGLKIMNLGDVRTTDGVAEILQNEDDDAVDPHLERIKNEAGGDESDEEDEDFVIDKDDGGSPTDDSGDEESDASESGDEKEKPAKKVPRKEASSSKATKKKARDGEDDGKKKKQKKKKDPNAPKRAMSGFMFFSQMERENVKKSNPGIAFTEVGKVLGDKWKKMSGMVISELLIVSCSFFKLIC >EOY04239 pep chromosome:Theobroma_cacao_20110822:4:22967544:22974690:1 gene:TCM_019502 transcript:EOY04239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acclimation of photosynthesis to environment isoform 1 MPMKAISPTSTAIIIHSHHHSVNHHRWFLSLPPRPQRHQHLTRSTPKPHSLKLTFITKAADSTSQPSSSVAKTIVADDGFSFSKLSFGVIGLGVGISLLSYGFGAYFNFLPGSEWSAIMLTYGFPLALIGMALKYAELKPVPCLTYSDAQMLRETGATPILKQVKSDVTRYRYGDEQHLDEALKRIFQYGQGGGIPRRSAPILQMIREEVTEDGNYCLVLVFEAKALQLSDFEQRQAKFASFFGPGITAEGRERITYMKFDLFQIPPPMHQLHEDEALDIVNSKPDLHWQYKDPSYWGKKKALLLHIIGVLTIRIGGVSKLDRCNLSFKQQKKIPFD >EOY04240 pep chromosome:Theobroma_cacao_20110822:4:22967444:22973057:1 gene:TCM_019502 transcript:EOY04240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acclimation of photosynthesis to environment isoform 1 MPMKAISPTSTAIIIHSHHHSVNHHRWFLSLPPRPQRHQHLTRSTPKPHSLKLTFITKAADSTSQPSSSVAKTIVADDGFSFSKLSFGVIGLGVGISLLSYGFGAYFNFLPGSEWSAIMLTYGFPLALIGMALKYAELKPVPCLTYSDAQMLRETGATPILKQVKSDVTRYRYGDEQHLDEALKRIFQYGQGGGIPRRSAPILQMIREEVTEDGNYCLVLVFEAKALQLSDFEQRQAKFASFFGPGITAEVGKGEDNIYEVRLISNSTSNASAS >EOY05953 pep chromosome:Theobroma_cacao_20110822:4:30361762:30366350:1 gene:TCM_046773 transcript:EOY05953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like G2 MEKSLPLHLCHVHNFSIIINRSHALLHSIAVALLIYYRASFLFQESKNRTVPTLPWLLVFASELLLSLAWLLRQAYGWRPVSRTVFPERLPSDDKLPAIDVFICTADPNKEPTVEVMNTVISAMALDYPPEKLHVFLSDDGGSDITLRGTKEAWNFARSWVPFCRRYDIKTSCPEAYFSCSEDDDHGDFKSSEFKAERQKIEQKYEMFKERVRRVREEHSKSAEVAATVSNSRDHPSVIEVIRDNSNEELQEDQVKMPLLVYVSREKRPSHRHNFKAGALNVLLRVSGMMSNSPYILVLDCDMYCNDPTSARQSMCYHFDPEISPSLAFVQFPQKFRNISKDDIYDSEIRAGFRILWHGMDGLEGPVLSGTNFYIKREALLGSVTQEGIDLMELKRSFGPSNEFIKTLRQDYKPSFINDGESSSMLLEEAKVLASCSYENQTTWGTKVGFMYFCVVEDYFTSFTLHCKGWKSVYLNPPRPQFLGTSTTNISDLLIQGTRWASGLTEVAISRFCPLIYGPLRMSLLHSLCYAELAFWPLLFSLPLWGFALIPQLCLLNGIPLYPEVSDPYFSIFLFIFISALSKNLYEILATGGQIRTWTNERRIWMIKSVTSDFYGCVDAILSKLGMSEASFLPTSKVTDDEQVKRYEMGVFDFQAPTMLLAPLATIILVNIASLVGGVVRMVAMDNGDWKKMVGQISLSFYILIANYAIIEGMIIRKDKASIPSYVTLLSVVFSMIILLVGSIVLC >EOY05415 pep chromosome:Theobroma_cacao_20110822:4:28510467:28514308:-1 gene:TCM_020424 transcript:EOY05415 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase, putative MEYLQFLLLLFSLFQTQFYSVMCQMGDLDSRTDKEALLSFKLHVSDPQNALSEWTQNSSHCNWYGVSCSSNGSRVESLQLGSLGLAGTLVPSLSNLTFLHTLNLSHNLFHGQFQLEFSRLSLLQHIDLRNNSINGTVPAILSDCHNLETLRLQGNSFSGNLPPELGNLQRLRILDISVNNLTGSLPPTFGNLSSLTFLAFARNKLVGEIPSELGRLRNLQQIQLSENHLTGQIPYSIFNITSLVFLSVTQNNLSGNLPTDIGQVLPNLKRLFLALNRFEGIIPGSLTNASNIEFLDLSRNRFRGPIPLLGNMKKLIKLDLGANSLSSTTAQNFQFIDSLTNCTQLEYLMINSNRLSGEFPSVANLSSNIQHFCISDNLLTGSFPQGIEKFQDLISLSIEQNSFTGEIPRSIATLKNLQSFLVFQNMFSGEIPESFANFTQVSEILMGNNQFSGKIPTSLGNCQQLQTLDLSWNRLNGSIPEEVFMLSGLNYLILVHNVLGGPLPSEVGNLKQLQVLDVSENKLFGNLTSSISGCSSLLYLNMSRNNISGEIPDSLGKLVSLEVLDLSSNNLSGPIPQDLENLQFLKMLNLSFNHLEGEVPTGKVFLNVSTFSIEGNDALCSSDQEIAKNLELPQCNTRKSKRNHLLKILVSAAGAASFICLIFCFVWALMSRKKKKREKGSKSSLSLKGLPPMISYSGIRLATSNFATENLIGKGGFGSVYKGSFSTNENGANTNNTTLAVKVLDLQQSKAIQSFLAECEALRNVRHRNLVKIITSCSSVDHKGDEFKALVMEFMPNGNLEKWLYPEDEESGLCLTFLQRLNIAIDVASAIDYLHNDCEPTVVHCDLKPANVLLDEDMAAHVGDFGLARFLSQNPSQGESSTMAVKGSIGYIAPEYGLGGKASISGDVYSFGILLLEMFIAKKPTDGMLIEGLSLNKFALAMERNQIFETADPRLFKYQGSLLQSAFKTYSSESSSSSNSESDWPRKYEECLAAVIRIALCCAAQSAKDRLSMRETLTKLHDIKKILLKLS >EOY05402 pep chromosome:Theobroma_cacao_20110822:4:28442912:28445722:1 gene:TCM_020410 transcript:EOY05402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKFGHFLKQNMDSISAEVLKAAQAVIDAVIRLCKEYLQVIYWEFSAAEPENDEHDMNCEQACVTNHIMNITTVTIEKLFELGILAANGGGSLVTILNVSWKGVVTLLQLAKGKLTVNVKVADIIVTLISLVNDSLKCAAEAWSSPLKDTVSVTEARRIFVPIKFYLINAVKISSLYPCQAYMVYRDLTLCVLILSTFKLSLSHEKLMKNVSEVMAELLEKTSLDLLISLLNSADVKQELKYELLDWLFYDDCWSNDVNEDPVSKCRTTSMDEIFSVSCEAMPRSRVLLLGRIALYSSFLRYSFDLEEDVKLVIARKLGWFMNIIIDEEVYSFILVSQIPVLYVSGKTVELTWELTYSALLHALKTFVVVVSSTLAWEELGSFMVKNFLHPHFLCSEIIMELWCFLVRHAAIELVNDIIDELCALMKLVASPESVFVPDSSLRKMARSVCMLLTFSTPSVVDRVYSSVAGDDRSQLSPVLYAVLLLEGFPLTLLSQNMRSITKDKIITDYFGFIDSLDDKSLTVSSSEFGIPVFALSAFLQSLQVTVSDTDMKTLKFLVAIACGCRNSVDKLNKEVYCLLLSQTLVIISNLSHLYASDEMEEVILELHNLFVSGPGASDTLLYQCKPGLALFMAGLSNMGMSESDNCAKSSAVWELYHMLLRERHWAIIHLSIAAFGYFAARTNCNQLWKFVPQDAALSYDLVSGNDANEERFMSEFKAFLEKEMALPAVTPSSEQQGLLLEEGLVLKEKVRKISNIKAEASGCDSMEIDDENQSNKRRKLPDGINKGVELLQNGLKVISDCLTQWQPSHVESAELHDKFLTHFSSLENVIARLVGLTS >EOY05401 pep chromosome:Theobroma_cacao_20110822:4:28439672:28446227:1 gene:TCM_020410 transcript:EOY05401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEKSSSSSELQKLVEAIKISEVVEGRAELIAKLADLHLSEQSDLNCLVESLINAAKDFKFTRFREWAFWEDYTCLDVSQCMLNKTILHVAAKYLDSDISGCLLQFLLLGTKASTWCGKHLKMTVMSTQESPEEEHHDLFYQFLLDFLSFSAASFTTTTRYSVLVDEASMVVVEKFILEQLNLAKDAISEIKNMDSISAEVLKAAQAVIDAVIRLCKEYLQVIYWEFSAAEPENDEHDMNCEQACVTNHIMNITTVTIEKLFELGILAANGGGSLVTILNVSWKGVVTLLQLAKGKLTVNVKVADIIVTLISLVNDSLKCAAEAWSSPLKDTVSVTEARRIFVPIKFYLINAVKISSLYPCQAYMVYRDLTLCVLILSTFKLSLSHEKLMKNVSEVMAELLEKTSLDLLISLLNSADVKQELKYELLDWLFYDDCWSNDVNEDPVSKCRTTSMDEIFSVSCEAMPRSRVLLLGRIALYSSFLRYSFDLEEDVKLVIARKLGWFMNIIIDEEVYSFILVSQIPVLYVSGKTVELTWELTYSALLHALKTFVVVVSSTLAWEELGSFMVKNFLHPHFLCSEIIMELWCFLVRHAAIELVNDIIDELCALMKLVASPESVFVPDSSLRKMARSVCMLLTFSTPSVVDRVYSSVAGDDRSQLSPVLYAVLLLEGFPLTLLSQNMRSITKDKIITDYFGFIDSLDDKSLTVSSSEFGIPVFALSAFLQSLQVTVSDTDMKTLKFLVAIACGCRNSVDKLNKEVYCLLLSQTLVIISNLSHLYASDEMEEVILELHNLFVSGPGASDTLLYQCKPGLALFMAGLSNMGMSESDNCAKSSAVWELYHMLLRERHWAIIHLSIAAFGYFAARTNCNQLWKFVPQDAALSYDLVSGNDANEERFMSEFKAFLEKEMALPAVTPSSEQQGLLLEEGLVLKEKVRKISNIKAEASGCDSMEIDDENQSNKRRKLPDGINKGVELLQNGLKVISDCLTQWQPSHVESAELHDKFLTHFSSLENVIARLVGLTS >EOY05403 pep chromosome:Theobroma_cacao_20110822:4:28440018:28446065:1 gene:TCM_020410 transcript:EOY05403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEKSSSSSELQKLVEAIKISEFLLLGTKASTWCGKHLKMTVMSTQESPEEEHHDLFYQFLLDFLSFSAASFTTTTRYSVLVDEASMVVVEKFILEQLNLAKDAISEIKNMDSISAEVLKAAQAVIDAVIRLCKEYLQVIYWEFSAAEPENDEHDMNCEQACVTNHIMNITTVTIEKLFELGILAANGGGSLVTILNVSWKGVVTLLQLAKGKLTVNVKVADIIVTLISLVNDSLKCAAEAWSSPLKDTVSVTEARRIFVPIKFYLINAVKISSLYPCQAYMVYRDLTLCVLILSTFKLSLSHEKLMKNVSEVMAELLEKTSLDLLISLLNSADVKQELKYELLDWLFYDDCWSNDVNEDPVSKCRTTSMDEIFSVSCEAMPRSRVLLLGRIALYSSFLRYSFDLEEDVKLVIARKLGWFMNIIIDEEVYSFILVSQIPVLYVSGKTVELTWELTYSALLHALKTFVVVVSSTLAWEELGSFMVKNFLHPHFLCSEIIMELWCFLVRHAAIELVNDIIDELCALMKLVASPESVFVPDSSLRKMARSVCMLLTFSTPSVVDRVYSSVAGDDRSQLSPVLYAVLLLEGFPLTLLSQNMRSITKDKIITDYFGFIDSLDDKSLTVSSSEFGIPVFALSAFLQSLQVTVSDTDMKTLKFLVAIACGCRNSVDKLNKEVYCLLLSQTLVIISNLSHLYASDEMEEVILELHNLFVSGPGASDTLLYQCKPGLALFMAGLSNMGMSESDNCAKSSAVWELYHMLLRERHWAIIHLSIAAFGYFAARTNCNQLWKFVPQDAALSYDLVSGNDANEERFMSEFKAFLEKEMALPAVTPSSEQQGLLLEEGLVLKEKVRKISNIKAEASGCDSMEIDDENQSNKRRKLPDGINKGVELLQNGLKVISDCLTQWQPSHVESAELHDKFLTHFSSLENVIARLVGLTS >EOY05517 pep chromosome:Theobroma_cacao_20110822:4:28860438:28870390:-1 gene:TCM_020501 transcript:EOY05517 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein with a domain of Uncharacterized protein function isoform 1 MAVFLSCQSPLSSSLQPPKLSTINSKRSISIAHIPKTFRTGYPSLTHQPICCSNSSSQSESHSSTSAGVFIKGLPQSTAEGRLKRVFSQFGEVKQAVNVVRERVSKQSLGSAFVWFDEEQSAQLAVKEMNGKFFDGRMHPINAVVAARMLLNTAASVNESVGGGIPFGSPWWFIYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTPTEKKQAATILPVVQKQHQLLVTLLLCNAAAMEALPIYLDKLFNQYVAIILSVTFVLAFGEVIPQSICTRYGLAVGANFVVLVRILMIICYPIAYPIGKVLDWVLGHNEALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKGKNKILPPTVDGQKYEENKVSLADSQLTTPLLSKLEEKPESIAVDIDKAPRPANLNRQDAATNGLPITSEDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSSRRLIGQKGAGAQSKQGQATKKPAEGDSNSTRIQG >EOY05518 pep chromosome:Theobroma_cacao_20110822:4:28861307:28868917:-1 gene:TCM_020501 transcript:EOY05518 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein with a domain of Uncharacterized protein function isoform 1 MERMHPINAVVAARMLLNTAASVNESVGGGIPFGSPWWFIYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTPTEKKQAATILPVVQKQHQLLVTLLLCNAAAMEALPIYLDKLFNQYVAIILSVTFVLAFGEVIPQSICTRYGLAVGANFVVLVRILMIICYPIAYPIGKVLDWVLGHNEALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKGKNKILPPTVDGQKYEENKVSLADSQLTTPLLSKLEEKPESIAVDIDKAPRPANLNRQDAATNGLPITSEDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSSRRLIGQKGADVQVDCYWSFCPLDTCKH >EOY03133 pep chromosome:Theobroma_cacao_20110822:4:5960536:5974667:1 gene:TCM_017670 transcript:EOY03133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKPQVMWSYDVRHKLKVGNYKELLKRNSIMNLVKTGIKLCSYKKEVVSLKVDTCNDIEKGNPWSVNRAIKLGFVIQARKGKDLVAKVG >EOY03662 pep chromosome:Theobroma_cacao_20110822:4:17674022:17678576:-1 gene:TCM_018752 transcript:EOY03662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MSLEQFLLAKGISAEKILEIEYIRAVAPRKEEEPSPHDDWVSAVDGSSPRFILTGCCDGLGRVWKEAGLCTHILEGHSDAISSVSIINSEALGSVTVATASKDRTLRLWKFDAEDSNDHPAMIRAFKILRGHNASVHSVAAKTSGDMVCSGSWDCTINLWRTNDSDTDGDVVSIKKRKVNSKAEQSQSEGEAVSMLVGHTQCVSSVVWPQHETIYSASWDHSVRQWDVETGKDLSNIFCGKVLNCIDVGGEGSALIAAGGSDPILRIWDPRKPGTSAPVFQFSSHSSWISACKWHDRSSFHLLSSSYDGKVMLWDLRTAWPLSVLDTHKDKVLCADWWKGDSVVSGGVDAQLRICSDISIP >EOY03663 pep chromosome:Theobroma_cacao_20110822:4:17674020:17678576:-1 gene:TCM_018752 transcript:EOY03663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MSLEQFLLAKGISAEKILEIEYIRAVAPRKEEEPSPHDDWVSAVDGSSPRFILTGCCDGLGRVWKEAGLCTHILEGHSDAISSVSIINSEALGSVTVATASKDRTLRLWKFDAEDSNDHPAMIRAFKILRGHNASVHSVAAKTSGDMVCSGSWDCTINLWRTNDSDTDGDVVSIKKRKVNSKAEQSQSEGEAVSMLVGHTQCVSSVVWPQHETIYSASWDHSVRQWDVETGKDLSNIFCGKVLNCIDVGGEGSALIAAGGSDPILRIWDPRKPGTSAPVFQFSSHSSWISACKWHDRSSFHLLSSSYDGKVMLWDLRTAWPLSVLDTHKDKVLCADWWKGDSVVSGGVDAQLRICSDISIP >EOY03661 pep chromosome:Theobroma_cacao_20110822:4:17673995:17678764:-1 gene:TCM_018752 transcript:EOY03661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MEIDGDTNEDNSRRIQVRFVTKLKAPYKVPTTAIAIPSDLSRLGLSSIVNKLLQAVVSEWKTEPFDFLINGELVRMSLEQFLLAKGISAEKILEIEYIRAVAPRKEEEPSPHDDWVSAVDGSSPRFILTGCCDGLGRVWKEAGLCTHILEGHSDAISSVSIINSEALGSVTVATASKDRTLRLWKFDAEDSNDHPAMIRAFKILRGHNASVHSVAAKTSGDMVCSGSWDCTINLWRTNDSDTDGDVVSIKKRKVNSKAEQSQSEGEAVSMLVGHTQCVSSVVWPQHETIYSASWDHSVRQWDVETGKDLSNIFCGKVLNCIDVGGEGSALIAAGGSDPILRIWDPRKPGTSAPVFQFSSHSSWISACKWHDRSSFHLLSSSYDGKVMLWDLRTAWPLSVLDTHKDKVLCADWWKGDSVVSGGVDAQLRICSDISIP >EOY03664 pep chromosome:Theobroma_cacao_20110822:4:17674520:17678576:-1 gene:TCM_018752 transcript:EOY03664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MSLEQFLLAKGISAEKILEIEYIRAVAPRKEEEPSPHDDWVSAVDGSSPRFILTGCCDGLGRVWKEAGLCTHILEGHSDAISSVSIINSEALGSVTVATASKDRTLRLWKFDAEDSNDHPAMIRAFKILRGHNASVHSVAAKTSGDMVCSGSWDCTINLWRTNDSDTDGDVVSIKKRKVNSKAEQSQSEGEAVSMLVGHTQCVSSVVWPQHETIYSASWDHSVRQWDVETGKDLSNIFCGKVLNCIDVGGEGSALIAAGGSDPILRIWDPRKPGTSAPVFQFSSHSSWISACKWHDRSSFHLLSSSYDGKVMLWDLRTAVSYSLFSFTI >EOY03660 pep chromosome:Theobroma_cacao_20110822:4:17673727:17678990:-1 gene:TCM_018752 transcript:EOY03660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MEIDGDTNEDNSRRIQVRFVTKLKAPYKVPTTAIAIPSDLSRLGLSSIVNKLLQAVVSEWKTEPFDFLINGELVRMSLEQFLLAKGISAEKILEIEYIRAVAPRKEEEPSPHDDWVSAVDGSSPRFILTGCCDGLGRVWKEAGLCTHILEGHSDAISSVSIINSEALGSVTVATASKDRTLRLWKFDAEDSNDHPAMIRAFKILRGHNASVHSVAAKTSGDMVCSGSWDCTINLWRTNDSDTDGDVVSIKKRKVNSKAEQSQSEGEAVSMLVGHTQCVSSVVWPQHETIYSASWDHSVRQWDVETGKDLSNIFCGKVLNCIDVGGEGSALIAAGGSDPILRIWDPRKPGTSAPVFQFSSHSSWISACKWHDRSSFHLLSSSYDGKVMLWDLRTAWPLSVLDTHKDKVLCADWWKGDSVVSGGVDAQLRICSDISIP >EOY03158 pep chromosome:Theobroma_cacao_20110822:4:6712411:6718557:1 gene:TCM_017732 transcript:EOY03158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein isoform 1 MRMMVRSVNGKMAIGWAKESKGCLSSTMTRASNTWKDNQSSQILLSPPLVTLDLPDIWAANSTHYDPPSGQKISNVQIGTVINGKSIAEEIRSRVASEVKRMKECIGKVPGLAVILVGDRRDSQTYVRNKIKACEEAGIKSVMAELPNYCAEDDVMTAVLKFNEDPSVHGVLVQLPLPEHLDEEKILNVLSLEKDVDGFHPVNMGNLAMRGREPLFIPCTPKGCLELLIRSGVEIAGKKAVVIGRSNIVGLPISLLLQRHHATVSIVHACTKNPEQITREADIVVTAAGVPNLIRSSWLKQGSVVIDVGTCPIEDPSSEFGYRLVGDVCYEEALRVASAVTPVPGGVGPMTIAMLLCNTLDSAKRVYGFA >EOY03162 pep chromosome:Theobroma_cacao_20110822:4:6712732:6718557:1 gene:TCM_017732 transcript:EOY03162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein isoform 1 MKECIGKVPGLAVILVGDRRDSQTYVRNKIKACEEAGIKSVMAELPNYCAEDDVMTAVLKFNEDPSVHGVLVQLPLPEHLDEEKILNVLSLEKDVDGFHPVNMGNLAMRGREPLFIPCTPKGCLELLIRSGVEIAGKKAVVIGRSNIVGLPISLLLQRHHATVSIVHACTKNPEQITREADIVVTAAGVPNLIRSSWLKQGSVVIDVGTCPIEDPSSEFGYRLVGDVCYEEALRVASAVTPVPGGVGPMTIAMLLCNTLDSAKRVYGFA >EOY03160 pep chromosome:Theobroma_cacao_20110822:4:6702048:6718498:1 gene:TCM_017732 transcript:EOY03160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein isoform 1 MRMMVRSVNGKMAIGWAKESKGCLSSTMTRASNTWKDNQSSQILLSPPLVTLDLPDIWAANSTHYDPPSGQKISNVQIGTVINGKSIAEEIRSRVASEVKRMKECIGKVPGLAVILVGDRRDSQTYVRNKIKACEEAGIKSVMAELPNYCAEDDVMTAVLKFNEDPSVHGVLVQLPLPEHLDEEKILNVLSLEKDVDGFHPVNMGNLAMRGREPLFIPCTPKGCLELLIRSGVEIAGKKAVVIGRSNIVGLPISLLLQRHHATVSIVHACTKNPEQITREADIVVTAAGVPNLIRSSWLKQGSVVIDVGTCPIEDPSSEFGYRLVGDVCYEEALRVASAVTPVPGGVGPMTIAMLLCNTLDSAKRVYGFA >EOY03161 pep chromosome:Theobroma_cacao_20110822:4:6712728:6718527:1 gene:TCM_017732 transcript:EOY03161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein isoform 1 MIPRQARKSIGTVINGKSIAEEIRSRVASEVKRMKECIGKVPGLAVILVGDRRDSQTYVRNKIKACEEAGIKSVMAELPNYCAEDDVMTAVLKFNEDPSVHGVLVQLPLPEHLDEEKILNVLSLEKDVDGFHPVNMGNLAMRGREPLFIPCTPKGCLELLIRSGVEIAGKKAVVIGRSNIVGLPISLLLQRHHATVSIVHACTKNPEQITREADIVVTAAGVPNLIRSSWLKQGSVVIDVGTCPIEDPSSEFGYRLVGDVCYEEALRVASAVTPVPGGVGPMTIAMLLCNTLDSAKRVYGFA >EOY03163 pep chromosome:Theobroma_cacao_20110822:4:6702048:6718442:1 gene:TCM_017732 transcript:EOY03163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein isoform 1 MKECIGKVPGLAVILVGDRRDSQTYVRNKIKACEEAGIKSVMAELPNYCAEDDVMTAVLKFNEDPSVHGVLVQLPLPEHLDEEKILNVLSLEKDVDGFHPVNMGNLAMRGREPLFIPCTPKGCLELLIRSGVEIAGKKAVVIGRSNIVGLPISLLLQRHHATVSIVHACTKNPEQITREADIVVTAAGVPNLIRSSWLKQGSVVIDVGTCPIEDPSSEFGYRLVGDVCYEEALRVASAVTPVPGGVGPMTIAMLLCNTLDSAKRVYGFA >EOY03159 pep chromosome:Theobroma_cacao_20110822:4:6702048:6718442:1 gene:TCM_017732 transcript:EOY03159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein isoform 1 MRMMVRSVNGKMAIGWAKESKGCLSSTMTRASNTWKDNQSSQILLSPPLVTLDLPDIWAANSTHYDPPSGQKISNVQIGTVINGKSIAEEIRSRVASEVKRMKECIGKVPGLAVILVGDRRDSQTYVRNKIKACEEAGIKSVMAELPNYCAEDDVMTAVLKFNEDPSVHGVLVQLPLPEHLDEEKILNVLSLEKDVDGFHPVNMGNLAMRGREPLFIPCTPKGCLELLIRSGVEIAGKKAVVIGRSNIVGLPISLLLQRHHATVSIVHACTKNPEQITREADIVVTAAGVPNLIRSSWLKQGSVVIDVGTCPIEDPSSEFGYRLVGDVCYEEALRVASAVTPVPGGVGPMTIAMLLCNTLDSAKRVYGFA >EOY06452 pep chromosome:Theobroma_cacao_20110822:4:31965076:31975776:1 gene:TCM_021168 transcript:EOY06452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Always early, putative isoform 3 MAPSRKSKSVNKKFSYVNEVASSKDGDSSAKRSGQRKRKLSDMLGPQWTKEELERFYEAYRKYGKDWKKVATVVRNRSVEMVEALYTMNRAYLSLPEGTASVVGLIAMMTDHYCVMGGSDSEQESNEGVGASRKPQKRSRGKLRDQPSKSLDKSFPDLLQFHSAASSYGCLSLLKRRRSESRPRAVGKRTPRVPISFSHDKNKGERYFSPIRQGMKLKVDTVDDDVAHEIALVLTEASQRGGSPQVSRTPNRKAEASSPILNSERMNAESETTSAKIHGSEMDEDACELSLGSTEADNADYARGKNYSMNIEGTGTIEVQQKGKRYYRRKPGVEESVNNHLEDTKEACSGTEEDQKLCDFKGKFEAEVADTKPSRGSIKGLRKRSKKVLFGRVEDTSFDALQTLADLSLMMPETAADTESSVQFKEEKNEVVEKTKLKGNHPVSGAKGTAPKTCKQGKVFGHDVRAIPEAKEETHPGNVGMRKRRQKSSPYKLQIPKDETDADSHLGESRNIEALDEVKNFPSKGKRSNNVAHSKQGKSVRPPEHRSSSTDHGRDLNNSTPSTIQVSPVNQVNLPTKVRSKRKIDAQKQVIGKDIKSSDGIVKGKFSVPVSLFHDRALNLKEKLCNFLCPYQARRWCTFEWFCSTIDYPWFAKREFVEYLDHVGLGHVPRLTRVEWGVIRSSLGKPRRFSEQFLKEEREKLYQYRESVRTHYAELRAGIGEGLPTDLARPLSVGQRVIAIHPKTREIHDGNVLIVDHSRYRIQFDSTELGVESVMDIDCMALNPLENLPASLVRQNAAVRKFFENYNELKMNGQPKESKMEENIKFAPCEENANSPSRTSPSTFSVGNLSQPVKVDPSSPNLQLKVGPMETVYTQQAVNSQLSALALIQAREADVEALSQLTRALDKKHLQEAVVSELRRMNDEVLENQKGGDNSIKDSDSFKKQYAAVLLQLNEVNEQVSSALFSLRQRNTYQGTSSVRLLKPLAKIGEHGCQLSSFDHSMHHAQESVSHVAEIVESSRTKARSMVDAAMQAMSSLRKGGKSIERIEDAIDFVNNQLSVDDLSVPAPRSSIPIDSAHSTVTFHDHLTAFVSNPLATGHAPDTKLQNSSDQDDLRIPSDLIVHCVATLLMIQKCTERQFPPGDVAQVLDSAVTSLKPCCSQNLSIYAEIQKCMGIIRNQILALVPT >EOY06451 pep chromosome:Theobroma_cacao_20110822:4:31965076:31975776:1 gene:TCM_021168 transcript:EOY06451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Always early, putative isoform 3 MAPSRKSKSVNKKFSYVNEVASSKDGDSSAKRSGQRKRKLSDMLGPQWTKEELERFYEAYRKYGKDWKKVATVVRNRSVEMVEALYTMNRAYLSLPEGTASVVGLIAMMTDHYCVMGGSDSEQESNEGVGASRKPQKRSRGKLRDQPSKSLDKSFPDLLQFHSAASSYGCLSLLKRRRSESRPRAVGKRTPRVPISFSHDKNKGERYFSPIRQGMKLKVDTVDDDVAHEIALVLTEASQRGGSPQVSRTPNRKAEASSPILNSERMNAESETTSAKIHGSEMDEDACELSLGSTEADNADYARGKNYSMNIEGTGTIEVQQKGKRYYRRKPGVEESVNNHLEDTKEACSGTEEDQKLCDFKGKFEAEVADTKPSRGSIKGLRKRSKKVLFGRVEDTSFDALQTLADLSLMMPETAADTESSVQFKEEKNEVVEKTKLKGNHPVSGAKGTAPKTCKQGKVFGHDVRAIPEAKEETHPGNVGMRKRRQKSSPYKLQIPKDETDADSHLGESRNIEALDEVKNFPSKGKRSNNVAHSKQGKSVRPPEHRSSSTDHGRDLNNSTPSTIQVSPVNQVNLPTKVRSKRKIDAQKQVIGKDIKSSDGIVKGKFSVPVSLFHDRALNLKEKLCNFLCPYQARRWCTFEWFCSTIDYPWFAKREFVEYLDHVGLGHVPRLTRVEWGVIRSSLGKPRRFSEQFLKEEREKLYQYRESVRTHYAELRAGIGEGLPTDLARPLSVGQRVIAIHPKTREIHDGNVLIVDHSRYRIQFDSTELGVESVMDIDCMALNPLENLPASLVRQNAAVRKFFENYNELKMNGQPKESKMEENIKFAPCEENANSPSRTSPSTFSVGNLSQPVKVDPSSPNLQLKVGPMETVYTQQAVNSQLSALALIQAREADVEALSQLTRALDKKEAVVSELRRMNDEVLENQKGGDNSIKDSDSFKKQYAAVLLQLNEVNEQVSSALFSLRQRNTYQGTSSVRLLKPLAKIGEHGCQLSSFDHSMHHAQESVSHVAEIVESSRTKARSMVDAAMQAMSSLRKGGKSIERIEDAIDFVNNQLSVDDLSVPAPRSSIPIDSAHSTVTFHDHLTAFVSNPLATGHAPDTKLQNSSDQDDLRIPSDLIVHCVATLLMIQKCTERQFPPGDVAQVLDSAVTSLKPCCSQNLSIYAEIQKCMGIIRNQILALVPT >EOY06453 pep chromosome:Theobroma_cacao_20110822:4:31965595:31974988:1 gene:TCM_021168 transcript:EOY06453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Always early, putative isoform 3 MAPSRKSKSVNKKFSYVNEVASSKDGDSSAKRSGQRKRKLSDMLGPQWTKEELERFYEAYRKYGKDWKKVATVVRNRSVEMVEALYTMNRAYLSLPEGTASVVGLIAMMTDHYCVMGGSDSEQESNEGVGASRKPQKRSRGKLRDQPSKSLDKSFPDLLQFHSAASSYGCLSLLKRRRSESRPRAVGKRTPRVPISFSHDKNKGERYFSPIRQGMKLKVDTVDDDVAHEIALVLTEASQRGGSPQVSRTPNRKAEASSPILNSERMNAESETTSAKIHGSEMDEDACELSLGSTEADNADYARGKNYSMNIEGTGTIEVQQKGKRYYRRKPGVEESVNNHLEDTKEACSGTEEDQKLCDFKGKFEAEVADTKPSRGSIKGLRKRSKKVLFGRVEDTSFDALQTLADLSLMMPETAADTESSVQFKEEKNEVVEKTKLKGNHPVSGAKGTAPKTCKQGKVFGHDVRAIPEAKEETHPGNVGMRKRRQKSSPYKLQIPKDETDADSHLGESRNIEALDEVKNFPSKGKRSNNVAHSKQGKSVRPPEHRSSSTDHGRDLNNSTPSTIQVSPVNQVNLPTKVRSKRKIDAQKQVIGKDIKSSDGIVKGKFSVPVSLFHDRALNLKEKLCNFLCPYQARRWCTFEWFCSTIDYPWFAKREFVEYLDHVGLGHVPRLTRVEWGVIRSSLGKPRRFSEQFLKEEREKLYQYRESVRTHYAELRAGIGEGLPTDLARPLSVGQRVIAIHPKTREIHDGNVLIVDHSRYRIQFDSTELGVESVMDIDCMALNPLENLPASLVRQNAAVRKFFENYNELKMNGQPKESKMEENIKFAPCEENANSPSRTSPSTFSVGNLSQPVKVDPSSPNLQLKVGPMETVYTQQAVNSQLSALALIQAREADVEALSQLTRALDKKAFAGGCGL >EOY06454 pep chromosome:Theobroma_cacao_20110822:4:31965595:31973528:1 gene:TCM_021168 transcript:EOY06454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Always early, putative isoform 3 MAPSRKSKSVNKKFSYVNEVASSKDGDSSAKRSGQRKRKLSDMLGPQWTKEELERFYEAYRKYGKDWKKVATVVRNRQPSKSLDKSFPDLLQFHSAASSYGCLSLLKRRRSESRPRAVGKRTPRVPISFSHDKNKGERYFSPIRQGMKLKVDTVDDDVAHEIALVLTEASQRGGSPQVSRTPNRKAEASSPILNSERMNAESETTSAKIHGSEMDEDACELSLGSTEADNADYARGKNYSMNIEGTGTIEVQQKGKRYYRRKPGVEESVNNHLEDTKEACSGTEEDQKLCDFKGKFEAEVADTKPSRGSIKGLRKRSKKVLFGRVEDTSFDALQTLADLSLMMPETAADTESSVQFKEEKNEVVEKTKLKGNHPVSGAKGTAPKTCKQGKVFGHDVRAIPEAKEETHPGNVGMRKRRQKSSPYKLQIPKDETDADSHLGESRNIEALDEVKNFPSKGKRSNNVAHSKQGKSVRPPEHRSSSTDHGRDLNNSTPSTIQVSPVNQVNLPTKVRSKRKIDAQKQVIGKDIKSSDGIVKGKFSVPVSLFHDRALNLKEKLCNFLCPYQARRWCTFEWFCSTIDYPWFAKREFVEYLDHVGLGHVPRLTRVEWGVIRSSLGKPRRFSEQFLKEEREKLYQYRESVRTHYAELRAGIGEGLPTDLARPLSVGQRVIAIHPKTREIHDGNVLIVDHSRYRIQFDSTELGVESVMDIDCMALNPLENLPASLVRQNAAVRKFFENYNELKMNGQPKESKMEENIKFAPCEENANSPSRTSPSTFSVGNLSQPVKVSYDCKMVLVS >EOY06691 pep chromosome:Theobroma_cacao_20110822:4:32673075:32684332:-1 gene:TCM_021341 transcript:EOY06691 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40/YVTN repeat-like-containing domain,Bromodomain isoform 1 MALQKYVPSSDSPKVKMKPLSFSSKVQEKVQLANLETRHSKKHDVDVDLREVYFLIMHFLSTGPCKRTCGMFLNELLENQLLPRRYHAWYSRKGAHSGHENDDGMSFPLSYAQLVERNPHIEMDHLVKLLKQLLLSAPSPLEGVNVRHTPNAVDVPTLLGTGPFSLLSCNSNEGKSDVKCPPVHMRWPHMHADQVRGLGLREIGGGFTRHHRSPSIRAACYAIAKPSSMVQKMQNIKRLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETAYCLASCRGHEGDITDLAVSSNNIMVASSSNDCIIRVWRLPDGLPISVLRGHTGAVTAIAFSPRPGSVYQLLSSSDDGTCRIWDARNAEFRPRIYVPRPSDSVAGKNNGSSSTAVQQSHQIFCCAFNANGTVFVTGSSDTLARVWNACKPNTDDSDQPNHEIDVLAGHENDVNYVQFSGCSVSSRFFTVDSLKEESVPKFRNSWFSHDNIVTCSRDGSAIIWIPRSRRSHGKVGRWSKAYHLKLPPPPIPPQPPRGGPRQRILPTPRGVNMIIWSLDNRFVLAAIMDCRICVWNAADGSLVHSLTGHTDSTYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGTPIQIYEISRFKLVDGKFSSDGTSIILSDDVGQLYILNTGQGESQKDAKYDQFFLGDYRPLIHDTSGYAVDQETQLTTYRRNMQDLLCDSGMIPYTEPYQTMYQQRRLGALGIEWNPNTLKLAVGPDVSLDQDYQMMPLADLDAIADPLPEFLDVMDWEPEHEVQSDDNDSEYNVTEEFSTGGEQGSLGSSSGDQECSTEDSEIDDTHKDGLRRSKRKKQKADIEIMTSSGRRVKRRNLDESDGNSFRNSRNRKSIIGRKALSRKSSTSKSSRPRRAAARNALHFFSKITGTSTDGEDEDDSEGESSESESMIRDSYSDESDRALPDEQIKHSKGKEVFLGESEDVGRINELPESYNTGNRRRLVLKLPGRDPSKLVPPDSTMQRKVDRQDNSVGLSCKASKEATEGGVKHISSLDLGCSSGDANYSILGRGTRGQFDKMEDHLDLTEGYKDGAIKWGGVRARTSKRLRLGETVSSDAYIESRLCLDNHKEKESNVNGYMKPEKACAIASPTTEIQTCKDMNGEVTVVEKHLENDREVLNGAANSEEHSGPSEQISYNDLPKWFNRFAVDTPGPTVNQNGNDLPSELNEGLLPISTELTVISKGTKIYNENPGLKLKPSGEGHVNGGCAALNASSSDKTKDLVSEAPLVDRSNEIRLDREGDGLQDSNAQVDRPMSIFNDSGGLHPDSKKMYNVVYRRSKTQRDRSTSEGDSAMVESTRNNCNHNIGMVADLHEGTMNGAHNKRSSRLKAGHILQSEDIQRSTRGGSTNGSQLPGEEWGSSSRMVVGSRSTRNRRSNYYFHDTSPIRKPHQSARNGSWLMLTTHEEGSRYIPQLGDEIAYLRQGHQEYIDHISSKEAGPWTSMKGENMIRAVEFCRVEVLEYSTVPGSGESCCKMTLRFTDPSSCMFNRSFKLTLPEVTGFPDFIVERTRFDAAIHRNWSCRDKCRVWWKNETEDDGSWWDGRVVAVKPKSSEFPDSPWERYSVQYRSEPKEPHLHSPWELFDADTQWEQPHIDSKIRDKLLSAFAKLEQSSQKVQDQYAVYKLKQVSQKSNFKNR >EOY06690 pep chromosome:Theobroma_cacao_20110822:4:32670303:32685303:-1 gene:TCM_021341 transcript:EOY06690 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40/YVTN repeat-like-containing domain,Bromodomain isoform 1 MALQKYVPSSDSPKVKMKPLSFSSKVQEKVQLANLETRHSKKHDVDVDLREVYFLIMHFLSTGPCKRTCGMFLNELLENQLLPRRYHAWYSRKGAHSGHENDDGMSFPLSYAQLVERNPHIEMDHLVKLLKQLLLSAPSPLEGVNVRHTPNAVDVPTLLGTGPFSLLSCNSNEGKSDVKCPPVHMRWPHMHADQVRGLGLREIGGGFTRHHRSPSIRAACYAIAKPSSMVQKMQNIKRLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETAYCLASCRGHEGDITDLAVSSNNIMVASSSNDCIIRVWRLPDGLPISVLRGHTGAVTAIAFSPRPGSVYQLLSSSDDGTCRIWDARNAEFRPRIYVPRPSDSVAGKNNGSSSTAVQQSHQIFCCAFNANGTVFVTGSSDTLARVWNACKPNTDDSDQPNHEIDVLAGHENDVNYVQFSGCSVSSRFFTVDSLKEESVPKFRNSWFSHDNIVTCSRDGSAIIWIPRSRRSHGKVGRWSKAYHLKLPPPPIPPQPPRGGPRQRILPTPRGVNMIIWSLDNRFVLAAIMDCRICVWNAADGSLVHSLTGHTDSTYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGTPIQIYEISRFKLVDGKFSSDGTSIILSDDVGQLYILNTGQGESQKDAKYDQFFLGDYRPLIHDTSGYAVDQETQLTTYRRNMQDLLCDSGMIPYTEPYQTMYQQRRLGALGIEWNPNTLKLAVGPDVSLDQDYQMMPLADLDAIADPLPEFLDVMDWEPEHEVQSDDNDSEYNVTEEFSTGGEQGSLGSSSGDQECSTEDSEIDDTHKDGLRRSKRKKQKADIEIMTSSGRRVKRRNLDESDGNSFRNSRNRKSIIGRKALSRKSSTSKSSRPRRAAARNALHFFSKITGTSTDGEDEDDSEGESSESESMIRDSYSDESDRALPDEQIKHSKGKEVFLGESEDVGRINELPESYNTGNRRRLVLKLPGRDPSKLVPPDSTMQRKVDRQDNSVGLSCKASKEATEGGVKHISSLDLGCSSGDANYSILGRGTRGQFDKMEDHLDLTEGYKDGAIKWGGVRARTSKRLRLGETVSSDAYIESRLCLDNHKEKESNVNGYMKPEKACAIASPTTEIQTCKDMNGEVTVVEKHLENDREVLNGAANSEEHSGPSEQISYNDLPKWFNRFAVDTPGPTVNQNGNDLPSELNEGLLPISTELTVISKGTKIYNENPGLKLKPSGEGHVNGGCAALNASSSDKTKDLVSEAPLVDRSNEIRLDREGDGLQDSNAQVDRPMSIFNDSGGLHPDSKKMYNVVYRRSKTQRDRSTSEGDSAMVESTRNNCNHNIGMVADLHEGTMNGAHNKRSSRLKAGHILQSEDIQRSTRGGSTNGSQLPGEEWGSSSRMVVGSRSTRNRRSNYYFHDTSPIRKPHQSARNGSWLMLTTHEEGSRYIPQLGDEIAYLRQGHQEYIDHISSKEAGPWTSMKGENMIRAVEFCRVEVLEYSTVPGSGESCCKMTLRFTDPSSCMFNRSFKLTLPEVTGFPDFIVERTRFDAAIHRNWSCRDKCRVWWKNETEDDGSWWDGRVVAVKPKSSEFPDSPWERYSVQYRSEPKEPHLHSPWELFDADTQWEQPHIDSKIRDKLLSAFAKLEQSSQKVQDQYAVYKLKQVSQKSNFKNRFPVPLSLDTIHSRLENNYYRCFEAVEHDIQVMLSSAESYFGRNAELSTRLRRLSDFFARTVSSLQPS >EOY06689 pep chromosome:Theobroma_cacao_20110822:4:32671790:32685303:-1 gene:TCM_021341 transcript:EOY06689 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40/YVTN repeat-like-containing domain,Bromodomain isoform 1 MALQKYVPSSDSPKVKMKPLSFSSKVQEKVQLANLETRHSKKHDVDVDLREVYFLIMHFLSTGPCKRTCGMFLNELLENQLLPRRYHAWYSRKGAHSGHENDDGMSFPLSYAQLVERNPHIEMDHLVKLLKQLLLSAPSPLEGVNVRHTPNAVDVPTLLGTGPFSLLSCNSNEGKSDVKCPPVHMRWPHMHADQVRGLGLREIGGGFTRHHRSPSIRAACYAIAKPSSMVQKMQNIKRLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETAYCLASCRGHEGDITDLAVSSNNIMVASSSNDCIIRVWRLPDGLPISVLRGHTGAVTAIAFSPRPGSVYQLLSSSDDGTCRIWDARNAEFRPRIYVPRPSDSVAGKNNGSSSTAVQQSHQIFCCAFNANGTVFVTGSSDTLARVWNACKPNTDDSDQPNHEIDVLAGHENDVNYVQFSGCSVSSRFFTVDSLKEESVPKFRNSWFSHDNIVTCSRDGSAIIWIPRSRRSHGKVGRWSKAYHLKLPPPPIPPQPPRGGPRQRILPTPRGVNMIIWSLDNRFVLAAIMDCRICVWNAADGSLVHSLTGHTDSTYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGTPIQIYEISRFKLVDGKFSSDGTSIILSDDVGQLYILNTGQGESQKDAKYDQFFLGDYRPLIHDTSGYAVDQETQLTTYRRNMQDLLCDSGMIPYTEPYQTMYQQRRLGALGIEWNPNTLKLAVGPDVSLDQDYQMMPLADLDAIADPLPEFLDVMDWEPEHEVQSDDNDSEYNVTEEFSTGGEQGSLGSSSGDQECSTEDSEIDDTHKDGLRRSKRKKQKADIEIMTSSGRRVKRRNLDESDGNSFRNSRNRKSIIGRKALSRKSSTSKSSRPRRAAARNALHFFSKITGTSTDGEDEDDSEGESSESESMIRDSYSDESDRALPDEQIKHSKGKEVFLGESEDVGRINELPESYNTGNRRRLVLKLPGRDPSKLVPPDSTMQRKVDRQDNSVGLSCKASKEATEGGVKHISSLDLGCSSGDANYSILGRGTRGQFDKMEDHLDLTEGYKDGAIKWGGVRARTSKRLRLGETVSSDAYIESRLCLDNHKEKESNVNGYMKPEKACAIASPTTEIQTCKDMNGEVTVVEKHLENDREVLNGAANSEEHSGPSEQISYNDLPKWFNRFAVDTPGPTVNQNGNDLPSELNEGLLPISTELTVISKGTKIYNENPGLKLKPSGEGHVNGGCAALNASSSDKTKDLVSEAPLVDRSNEIRLDREGDGLQDSNAQVDRPMSIFNDSGGLHPDSKKMYNVVYRRSKTQRDRSTSEGDSAMVESTRNNCNHNIGMVADLHEGTMNGAHNKRSSRLKAGHILQSEDIQRSTRGGSTNGSQLPGEEWGSSSRMVVGSRSTRNRRSNYYFHDTSPIRKPHQSARNGSWLMLTTHEEGSRYIPQLGDEIAYLRQGHQEYIDHISSKEAGPWTSMKGENMIRAVEFCRVEVLEYSTVPGSGESCCKMTLRFTDPSSCMFNRSFKLTLPEVTGFPDFIVERTRFDAAIHRNWSCRDKCRVWWKNETEDDGSWWDGRVVAVKPKSSEFPDSPWERYSVQYRSEPKEPHLHSPWELFDADTQWEQPHIDSKIRDKLLSAFAKLEQSSQKVQDQYAVYKLKQVSQKSNFKNRFPVPLSLDTIHSRLENNYYRCFEAVEHDIQVMLSSAESYFGRNAELSTRLRRLSDFFARTVSSLQPS >EOY06431 pep chromosome:Theobroma_cacao_20110822:4:31907558:31907998:1 gene:TCM_021154 transcript:EOY06431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein MERVTKLASEKPVVIFSKSSCCMCHTIKTLFYDFGVNPAVHELDEIARGREIEQALSRLGCSPSVPAVFVGGELVGGANEVMSLHLNRSLIPMLRRVGALWV >EOY06711 pep chromosome:Theobroma_cacao_20110822:4:32727603:32733201:1 gene:TCM_021357 transcript:EOY06711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 22A1 MAFWWPIIVLAFAYAICRFLLMLIPPNVPSIDVDASDVDERSQAQENSFIYIPPRGRTQQSDRIQCYEPATMKYLGCFPALTPDAVKVRVAQARKAQKIWAKSSFKQRRQFLRILLKYIIEHQELICEVSSRDTGKTMVDASLGEIMTTCEKITWLLSEGEKWLKPEYRASGRSMLHKISKVEFHPLGVIGAIVSWNYPFHNIFNPMLAAVFSGNSVVIKVSEHASWSGCFYFRIIQSALAAVGAPENLVEVITGFAETGEALVSSVDKIIFVGSPGVGKMIMRKAAETLIPVTLELGGKDAFIVCEDVDIPHVAQVAVRAVLQSSGQNCAGAERFYVHRDIYTSFVNQVAKIVKSVSAGPPLAGRYDMGAICMQEHSDKLQSLVDDAVDKGAEIVARGSFGHLGEGVDQFFPPTVLTNVDHTMKLMQEEAFGPIMPIMKFSTDEEVVELANDSRYGLGCAVFSGSKRRAKMIASQIHCGVAAVNDFASTYMCQSLPFGGVKDSGFGRFAGIEGLRACCLVKSIAEDRWWPYIKTKIPKPIQYPVAENGFEFQESLVEALYGLNIWDRLRALVNVLKIISEQNTVAGNKRND >EOY02271 pep chromosome:Theobroma_cacao_20110822:4:219910:281477:-1 gene:TCM_016794 transcript:EOY02271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKKISRTVWLELKQVLSNILYAATHLHGHVVHKLKPLPPRPSAPPKISTRQAQTSKLDKTPKSVPTRSLD >EOY02722 pep chromosome:Theobroma_cacao_20110822:4:1902869:1905380:-1 gene:TCM_017110 transcript:EOY02722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 VGQFFDLKAKSNLLNPFLFAKILQIPWKHFLFSDFCNTDTTSDWCLEPFQVRVHQLLHWLYLGGGMEILRGFWNSPIGPKTTHFWGPVFNWSIPIANVFLYQALLDTKKPPEMISGNMTAVMCGYSALFMRFAWMVQPRNLHLLVCHASNETVQLYQLSRWIKAQEYFLKKEEAETQGSKD >EOY02720 pep chromosome:Theobroma_cacao_20110822:4:1902869:1905483:-1 gene:TCM_017110 transcript:EOY02720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEILRGFWNSPIGPKTTHFWGPVFNWSIPIAALLDTKKPPEMISGNMTAVMCGYSALFMRFAWMVQPRNLHLLVCHASNETVQLYQLSRWIKAQEYFLKKEEAETQGSKD >EOY02718 pep chromosome:Theobroma_cacao_20110822:4:1902656:1905972:-1 gene:TCM_017110 transcript:EOY02718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLNPIFPHSNKIYGSYFGPCHHMRFMALHWDHDIFPDGVGWRQEVRVHQLLHWLYLGLKFAGGGMEILRGFWNSPIGPKTTHFWGPVFNWSIPIAALLDTKKPPEMISGNMTAVMCGYSALFMRFAWMVQPRNLHLLVCHASNETVQLYQLSRWIKAQEYFLKKEEAETQGSKD >EOY02721 pep chromosome:Theobroma_cacao_20110822:4:1902869:1905544:-1 gene:TCM_017110 transcript:EOY02721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAARSTDTTSDWCLEPFQVRVHQLLHWLYLGGGMEILRGFWNSPIGPKTTHFWGPVFNWSIPIAALLDTKKPPEMISGNMTAVMCGYSALFMRFAWMVQPRNLHLLVCHASNETVQLYQLSRWIKAQEYFLKKEEAETQGSKD >EOY02723 pep chromosome:Theobroma_cacao_20110822:4:1902858:1905380:-1 gene:TCM_017110 transcript:EOY02723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 VGQFFDLKAKSNLLNPFLFAKILQIPWKHFLFSDFCNTDTTSDWCLEPFQVRVHQLLHWLYLGGMEILRGFWNSPIGPKTTHFWGPVFNWSIPIAALLDTKKPPEMISGNMTAVMCGYSALFMRFAWMVQPRNLHLLVCHASNETVQLYQLSRWIKAQEYFLKKEEAETQGSKD >EOY02719 pep chromosome:Theobroma_cacao_20110822:4:1902869:1905515:-1 gene:TCM_017110 transcript:EOY02719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAARSTDTTSDWCLEPFQVRVHQLLHWLYLAYFGLEGLKFAGGGMEILRGFWNSPIGPKTTHFWGPVFNWSIPIAALLDTKKPPEMISGNMTAVMCGYSALFMRFAWMVQPRNLHLLVCHASNETVQLYQLSRWIKAQEYFLKKEEAETQGSKD >EOY03431 pep chromosome:Theobroma_cacao_20110822:4:15459388:15461644:-1 gene:TCM_018488 transcript:EOY03431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S4 MVHVSFYRNYGKTFKKPRRPYEKERLDAELRLVGEYGLRCKRELWRVQYALSRIRNAARDLLTLDEKNPRRIFEGEALLRRMNRHGLLDESQNKLDYVLALTVENFLERRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRRNQRAAAKKAAGGDGDEEEDE >EOY03998 pep chromosome:Theobroma_cacao_20110822:4:21211155:21213212:-1 gene:TCM_019238 transcript:EOY03998 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H MNFFLDGNILYKRSRDQTLLRCVDSTEARRIVEEVHEGVCGAHASGHKLARQVMRAGYYWLTLETDCIDFARKCHKCQIYADRIHTPANTLHVLTSPWPFSMWGMDVIGLITPKASNGHRFILVAIDYFTKWVEAASYANVTQKVVCKFIQKEIVCRYGLPERIITDNASNFNGSMMKEVCAKFKIKHHNSTPYRPKMNGVVEAANKNIKRIIEKMTDIYKDWHEKLPFALHAYRTTVQTSTGATPFSLVYGMEAHDPREKWTPNWEGPFVVKKAFQEEH >EOY03208 pep chromosome:Theobroma_cacao_20110822:4:7833609:7838887:1 gene:TCM_017838 transcript:EOY03208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARNLGLDNWIEGRGVGTSSQGRPSGSGHQSSIGKSQARVFALTQQEAQTSNAVVSSILSICDMNDWVLFDPGATHSFISPCFTSRLGRDCVRRKEQLVVSTPLKEIFMVEWEYESCVVRVKDNDTLVNLVVLDTLDFDVISEMDWLSPCHASVDCYHKLVTFDFPGYSIVVRDTQAKVGNVSQVSVVKEFVDVFPDELPGLPPEREIKFCIDLILDTRPISIPPYRMAPTELKELKDQLEDLLDEGFIRPSVSPWGTPVLFVKKKDGSLRLCIDYRQLKKVTVKNKYPLPRIDDLFDQLQGAQCFSKIDLRFGYHQLRIRNEDIPKTVFRTRYGHYEFLVMSFGLTNAPAAFMDLMNRVFKPYLDKFVVVFIDDILIYSKSREEHEQHLKIVL >EOY04077 pep chromosome:Theobroma_cacao_20110822:4:21959396:21962380:1 gene:TCM_019349 transcript:EOY04077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRQYNGHSRDKPQKWQHGSIEEEQLWTMHGTLFSFCLIFVPLGFFSFRFLMKQYNGHLRGSVMNISEYLLYFIISNVSSQIQCV >EOY02839 pep chromosome:Theobroma_cacao_20110822:4:2533979:2536373:1 gene:TCM_017246 transcript:EOY02839 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA double-strand break repair rad50 ATPase MKTAGKYSKNNKLIMDRNAHTSRGRKNNIRLLRVHENPFNFKNYFTVSLSLSPNRFDSLVKNFRCKRKFGYKVMALTNFILTVAGVSAVVLLLRSDVKQSAAIFRRNVKHIRNWLEEESSAASKAAEKVKPKELESKVPPKEKD >EOY02910 pep chromosome:Theobroma_cacao_20110822:4:2918131:2921671:-1 gene:TCM_017309 transcript:EOY02910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin signaling F-box 2 MNYFPDEVLEHVFDFISSHKDRNSVSLVCKSWYKIERFSRQRVFIGNCYSISPDRLIARFPGLKSLTLKGKPHFADFNLVPHDWGGFLDPWIRALAKSRIGLEELRLKRMVVSDESLELLSKSFLNFKSLVLVSCEGFTTDGIAAIAANCRFLRELDLQENEVDDHRGHWLSCFPESCTSLVSLNFACLKGEINLGALERLVVRSPNLKSLRLNRAVPLDTLQKILMRAPQVVDLGTGSYVHDPSSEVYNKLKSAFQRCKSIRSLSGFLEVAPRCMSAIYPICTNLTFLNLSYAPGLHGNELTKLIQHCRKLQRLWILDCIGDKGLGVVASTCKELQELRVFPSDPYGAGNAAVTEEGLVLISAGCTKLNSLLYFCQQMTNAALITVAKNCPNFIRFRLCILDPIKPDAVTNQPLDEGFGAIVQSCKGLKRLSLSGLLTDQVFLYIGMYAEQLEMLSIAFAGDSDKGMLYVLNGCKKLRKLEIRDCPFGNAALLEDVGKYETMRSLWMSSCEVTLGGCKTLAEKMPSLSVEIINDTDQMEFSLDDRQKVEKMYLYRTLVGHREDAPEFVWIL >EOY06304 pep chromosome:Theobroma_cacao_20110822:4:31545439:31547237:1 gene:TCM_021078 transcript:EOY06304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine sulfoxide reductase B5 MSASSGSVQKTEEEWRAILSPEQFRILRQKGTEMRGTGEYGKFFDEGVYNCAGCGQPLYKSTTKFDSGCGWPAFYEGFPGAINRSPDPDERRTEITCAACGGHLGHVFKGEGFSVPTDERHCVNSVAIKFVPANSAPPCEEKVVSA >EOY03997 pep chromosome:Theobroma_cacao_20110822:4:21200435:21201307:1 gene:TCM_019235 transcript:EOY03997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARSLLIVSLALQNPSFSPVPVNFLFAILSVFSVFSITVFLCASHKNRGFQRQKNNEESMSSERKLLSKMNSNLGSKAQLMVKMISWRKRQAEEEEDYNGSDEAVWRKTIIMGERCRPLDFSGKILYDSQGNLLPADST >EOY03324 pep chromosome:Theobroma_cacao_20110822:4:12567143:12570047:1 gene:TCM_018237 transcript:EOY03324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNINQENGETEGDIVETQIEEQNEHSHKDWVIDAKQFIINNAGRPLSRRCCIYRAHILRDVDKAAFTPQIVSIGPLHHFEEHLMGMEETKVKFLEQFLQRVAKTARLHEHPELTSLEDRLAFVNPDEVEIERAHDFPCLGNFLNILKSLENDIRSSYAENLNHIVSEVFLRLILVDAAFIIELFLRYNFRSIVRTCLPTVYFFAIIRSDLWLLENQLPFFVLRQLYDLAFGSCSYIYPPFLQLTCKFFELYNEQKKSITGEVNHFTDLLRYIKLEEKEQTCDEDEFDFSYKVLREHLPSATQLHAAGVKFRASESKCLFDIKFCDGILEIPSLHIWEETAYRFRNLIALEQYHYPHEQFISDYFTIMDYLLDTSKDIDLLVEKKIIKHWLGSSGKVANLFNSLCTNILKGRINRRFFRLIVKLNKYHNQPWHSWKATLYRQYFSTPWRSASTVAATIFLVLTLTQTIMTGFTL >EOY05845 pep chromosome:Theobroma_cacao_20110822:4:29999805:30001714:-1 gene:TCM_020742 transcript:EOY05845 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase MALFGVIFARFPTFSIEDFMQHSENERFNHVTVILISASLVFLATLYFKTRPSKVFLVNFACYKPDPTQICSKEHAMQISAWSGRFTEESMAFGKKILERSGMGQKTYFPKSLMKVPLTKPMTDARNEAEAAVFGAIDELLGKTRIKPRDIRILVVNCSLFNPIPSLSAMVVNRYKLRENTLSYNLGGMGCSAGLISINLAKELLKVHPNSYALVVSTQNITHGSYFGNNRSMLLSNCLFRVGGAAILLSNLSSDRHRSKYELVHALRTHEAAKDTSYKCVFQQDDEEGIIGVTLSKDLVVAAGEALKRNIITLGPLVLPVSEQHRFLATLLARKVFNNKVKQYIPDFKLALEHFCIHPGGRAVLDELQKSLGLTEWHMEPSKMTLYRFGNTSNTSLWYELAYLEAKGRVKKGDRICQIGLGS >EOY04677 pep chromosome:Theobroma_cacao_20110822:4:25479807:25485141:-1 gene:TCM_019873 transcript:EOY04677 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein MVDDFMVCVDRIIASACFESSSVNEGQPSSTTTTGNTNVVVSVQESSGGGDDDDDEKEGCFSKKLKEMVECRICQEEDDLLSLEAPCACNGTLKFAHRRCIQRWCNKKGDITCEICKQVFSPNYSIPPTRSSPDVLAIDIRQAWSPHMDLRDSHLLVLTASQSQLLQSEYEDYVAANSGSLACLRSVALILLIILLIRQALMLTRNSGMVQESSAFINFQVMLLQFAGFLLPCYVMARTWYLQSRRRRQG >EOY05861 pep chromosome:Theobroma_cacao_20110822:4:30103571:30111082:-1 gene:TCM_020761 transcript:EOY05861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylaminoacyl-peptidase-related isoform 1 MDSSKACSVKELPVGLDEATEEEYASQSKLLQEFTSISSIDKAWIFKSDSGIGSQAMFSISQPNLLANKKRKFMLSSSISKESINNVNFQWAPFPIEMTGVSLFAPSPSGSKLLVIRNPENESPSQFEIWSSSQLEKEFMISQSVHGSVYADGWFEGISWNSDESLIAYVAEEPSPSKPSFDDQGYRKGAIKDKECSSWKAQGDWEEEWGECYAGKRQPALFVINVNSGKVEAVKGIAKSLSVGQVVWAPQVEGTEQYLVFVGWSADPRKLGIKYCYNRPCALYAVKAPLYKSEAAEFDLKSTEESTVVNLTQSISSAFFPQFSPDGKFLMFLSAKASVDSGAHSATDSLQRIDWPTGGKLCSSTKIIDVIPIVNCAEDGHLPGLYCSSFLSKPWLSDGCTMILSSYWHSCEVILSVNVISGKVLRISPADSDFSWNVLTLDGDNVIAVCSSPIDVPQIKYGCLVDKANNSTGWRWLNVSSPIFRCSEKVMSLLSSHQFSILQIPVKDVSDCLTKGAAKPFEAIFVSSKKNDGTDPLIVMLHGGPHSVSLSSFSKSSAFLSSIGYSLLIVNYRGSLGFGEEALQSLPGKIGSQDVNDVLTAIDYVIEKGLANPSKITVLGGSHGGFLTTHLIGQAPDKFVAAAVRNPVCNLSSMVGITDIPDWCYVESYGSNGKSIYTEAPSAEHLTHLYNKSPISHISKVKAPTLFLLGAQDLRVPVSNGLQYSRALKERGVETKVIMFPNDIHAIERPQSDFESFLNIGMWFKRYCQ >EOY05860 pep chromosome:Theobroma_cacao_20110822:4:30103005:30112014:-1 gene:TCM_020761 transcript:EOY05860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylaminoacyl-peptidase-related isoform 1 MNRTLALASFHSVSTISNWSVTLATAPRLSPPFLSIQLRRPSLFHLTPISHYTKKLSAVLAMDSSKACSVKELPVGLDEATEEEYASQSKLLQEFTSISSIDKAWIFKSDSGIGSQAMFSISQPNLLANKKRKFMLSSSISKESINNVNFQWAPFPIEMTGVSLFAPSPSGSKLLVIRNPENESPSQFEIWSSSQLEKEFMISQSVHGSVYADGWFEGISWNSDESLIAYVAEEPSPSKPSFDDQGYRKGAIKDKECSSWKAQGDWEEEWGECYAGKRQPALFVINVNSGKVEAVKGIAKSLSVGQVVWAPQVEGTEQYLVFVGWSADPRKLGIKYCYNRPCALYAVKAPLYKSEAAEFDLKSTEESTVVNLTQSISSAFFPQFSPDGKFLMFLSAKASVDSGAHSATDSLQRIDWPTGGKLCSSTKIIDVIPIVNCAEDGHLPGLYCSSFLSKPWLSDGCTMILSSYWHSCEVILSVNVISGKVLRISPADSDFSWNVLTLDGDNVIAVCSSPIDVPQIKYGCLVDKANNSTGWRWLNVSSPIFRCSEKVMSLLSSHQFSILQIPVKDVSDCLTKGAAKPFEAIFVSSKKNDGTDPLIVMLHGGPHSVSLSSFSKSSAFLSSIGYSLLIVNYRGSLGFGEEALQSLPGKIGSQDVNDVLTAIDYVIEKGLANPSKITVLGGSHGGFLTTHLIGQAPDKFVAAAVRNPVCNLSSMVGITDIPDWCYVESYGSNGKSIYTEAPSAEHLTHLYNKSPISHISKVKAPTLFLLGAQDLRVPVSNGLQYSRALKERGVETKVIMFPNDIHAIERPQSDFESFLNIGMWFKRYCQ >EOY05865 pep chromosome:Theobroma_cacao_20110822:4:30104733:30111692:-1 gene:TCM_020761 transcript:EOY05865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylaminoacyl-peptidase-related isoform 1 MNRTLALASFHSVSTISNWSVTLATAPRLSPPFLSIQLRRPSLFHLTPISHYTKKLSAVLAMDSSKACSVKELPVGLDEATEEEYASQSKLLQEFTSISSIDKAWIFKSDSGIGSQAMFSISQPNLLANKKRKFMLSSSISKESINNVNFQWAPFPIEMTGVSLFAPSPSGSKLLVIRNPENESPSQFEIWSSSQLEKEFMISQSVHGSVYADGWFEGISWNSDESLIAYVAEEPSPSKPSFDDQGYRKGAIKDKECSSWKAQGDWEEEWGECYAGKRQPALFVINVNSGKVEAVKGIAKSLSVGQVVWAPQVEGTEQYLVFVGWSADPRKLGIKYCYNRPCALYAVKAPLYKSEAAEFDLKSTEESTVVNLTQSISSAFFPQFSPDGKFLMFLSAKASVDSGAHSATDSLQRIDWPTGGKLCSSTKIIDVIPIVNCAEDGHLPGLYCSSFLSKPWLSDGCTMILSSYWHSCEVILSVNVISGKVLRISPADSDFSWNVLTLDGDNVIAVCSSPIDVPQIKYGCLVDKANNSTGWRWLNVSSPIFRCSEKVMSLLSSHQFSILQIPVKDVSDCLTKGAAKPFEAIFVSSKKNDGTDPLIVMLHGGPHSVSLSSFSKSSAFLSSIGYSLLIVNYRGSLGFGEEALQSLPGKIGSQDVNDVLTAIDYVIEKGLANPSKITVLGGSHGGFLTTHLIGQAPDKFVAAAVRNPVCNLSSMVGITDIPDWCYVESYGSNGKSIYTEAPSAEHLTHLYNKSPISHISKVLK >EOY05864 pep chromosome:Theobroma_cacao_20110822:4:30104390:30111770:-1 gene:TCM_020761 transcript:EOY05864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylaminoacyl-peptidase-related isoform 1 MNRTLALASFHSVSTISNWSVTLATAPRLSPPFLSIQLRRPSLFHLTPISHYTKKLSAVLAMDSSKACSVKELPVGLDEATEEEYASQSKLLQEFTSISSIDKAWIFKSDSGIGSQAMFSISQPNLLANKKRKFMLSSSISKESINNVNFQWAPFPIEMTGVSLFAPSPSGSKLLVIRNPENESPSQFEIWSSSQLEKEFMISQSVHGSVYADGWFEGISWNSDESLIAYVAEEPSPSKPSFDDQGYRKGAIKDKECSSWKAQGDWEEEWGECYAGKRQPALFVINVNSGKVEAVKGIAKSLSVGQVVWAPQVEGTEQYLVFVGWSADPRKLGIKYCYNRPCALYAVKAPLYKSEAAEFDLKSTEESTVVNLTQSISSAFFPQFSPDGKFLMFLSAKASVDSGAHSATDSLQRIDWPTGGKLCSSTKIIDVIPIVNCAEDGHLPGLYCSSFLSKPWLSDGCTMILSSYWHSCEVILSVNVISGKVLRISPADSDFSWNVLTLDGDNVIAVCSSPIDVPQIKYGCLVDKANNSTGWRWLNVSSPIFRCSEKVMSLLSSHQFSILQIPVKDVSDCLTKGAAKPFEAIFVSSKKNDGTDPLIVMLHGGPHSVSLSSFSKSSAFLSSIGYSLLIVNYRGSLGFGEEALQSLPGKIGSQDVNDVLTAIDYVIEKGLANPSKITVLGGSHGGFLTTHLIGQAPDKFVAAAVRNPVCNLSSMVGITDIPDWCYVESYGSNGKSIYTEAPSAEHLTHLYNKSPISHISKEHEGVRVI >EOY05862 pep chromosome:Theobroma_cacao_20110822:4:30104079:30111770:-1 gene:TCM_020761 transcript:EOY05862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylaminoacyl-peptidase-related isoform 1 MNRTLALASFHSVSTISNWSVTLATAPRLSPPFLSIQLRRPSLFHLTPISHYTKKLSAVLAMDSSKACSVKELPVGLDEATEEEYASQSKLLQEFTSISSIDKAWIFKSDSGIGSQAMFSISQPNLLANKKRKFMLSSSISKESINNVNFQWAPFPIEMTGVSLFAPSPSGSKLLVIRNPENESPSQFEIWSSSQLEKEFMISQSVHGSVYADGWFEGISWNSDESLIAYVAEEPSPSKPSFDDQGYRKGAIKDKECSSWKAQGDWEEEWGECYAGKRQPALFVINVNSGKVEAVKGIAKSLSVGQVVWAPQVEGTEQYLVFVGWSADPRKLGIKYCYNRPCALYAVKAPLYKSEAAEFDLKSTEESTVVNLTQSISSAFFPQFSPDGKFLMFLSAKASVDSGAHSATDSLQRIDWPTGGKLCSSTKIIDVIPIVNCAEDGHLPGLYCSSFLSKPWLSDGCTMILSSYWHSCEVILSVNVISGKVLRISPADSDFSWNVLTLDGDNVIAVCSSPIDVPQIKYGCLVDKANNSTGWRWLNVSSPIFRCSEKVMSLLSSHQFSILQIPVKDVSDCLTKGAAKPFEAIFVSSKKNDGTDPLIVMLHGGPHSVSLSSFSKSSAFLSSIGYSLLIVNYRGSLGFGEEALQSLPGKIGSQDVNDVLTAIDYVIEKGLANPSKITVLGGSHGGFLTTHLIGQAPDKFVAAAVRNPVCNLSSMVGITDIPDWCYVESYGSNGKSIYTEAPSAEHLTHLYNKSPISHISKVKAPTLFLLGAQDLRVPVSNGLQTAVGA >EOY05863 pep chromosome:Theobroma_cacao_20110822:4:30103894:30111082:-1 gene:TCM_020761 transcript:EOY05863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylaminoacyl-peptidase-related isoform 1 MDSSKACSVKELPVGLDEATEEEYASQSKLLQEFTSISSIDKAWIFKSDSGIGSQAMFSISQPNLLANKKRKFMLSSSISKESINNVNFQWAPFPIEMTGVSLFAPSPSGSKLLVIRNPENESPSQFEIWSSSQLEKEFMISQSVHGSVYADGWFEGISWNSDESLIAYVAEEPSPSKPSFDDQGYRKGAIKDKECSSWKAQGDWEEEWGECYAGKRQPALFVINVNSGKVEAVKGIAKSLSVGQVVWAPQVEGTEQYLVFVGWSADPRKLGIKYCYNRPCALYAVKAPLYKSEAAEFDLKSTEESTVVNLTQSISSAFFPQFSPDGKFLMFLSAKASVDSGAHSATDSLQRIDWPTGGKLCSSTKIIDVIPIVNCAEDGHLPGLYCSSFLSKPWLSDGCTMILSSYWHSCEVILSVNVISGKVLRISPADSDFSWNVLTLDGDNVIAVCSSPIDVPQIKYGCLVDKANNSTGWRWLNVSSPIFRCSEKVMSLLSSHQFSILQIPVKDVSDCLTKGAAKPFEAIFVSSKKNDGTDPLIVMLHGGPHSVSLSSFSKSSAFLSSIGYSLLIVNYRGSLGFGEEALQSLPGKIGSQDVNDVLTAIDYVIEKGLANPSKITVLGGSHGGFLTTHLIGQAPDKFVAAAVRNPVCNLSSMVGITDIPDWCYVESYGSNGKSIYTEAPSAEHLTHLYNKSPISHISKVKAPTLFLLGAQDLRVPVSNGLQVRLPFLSLA >EOY03756 pep chromosome:Theobroma_cacao_20110822:4:19035412:19035878:1 gene:TCM_018924 transcript:EOY03756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSFPLFSLASLLFFLLSTTLSRAAAPESKIYTTRYEETIAEYIEGEEEEVFLGGTRISQRHLAGPPSRHISYRSLENPPICNANIYGNCIQPIGQNYRPCTVYNRCKRGL >EOY04987 pep chromosome:Theobroma_cacao_20110822:4:26741622:26745064:1 gene:TCM_020118 transcript:EOY04987 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MRAPSLLAQCLPGLVPQDRGSQSVSTVSERDVHLPSPAVEILPSKTAHPYKYAGDNVDLQGLNVFKGRVSVADIIGFTGSELISSKPEGFLKSWDSSIDLVNVLKHEIRDGQLSFRGKRVLELGCGYGLPGIFACLKGACTVHFQDLSAETIRCTTIPNVLANLEQARDRQSRQPESPLTPSRQTLAPTVHFYAGDWEELPTVLSVVRNDVSEVTTGMSLSFSEEDFMDGCSSQDGSIIAQEISSRRSRKLSGSRAWERASEIDQGEGGYDVILMTEIPYSVSSLKKLYALIKKCLRPPYGVLYLATKKNYVGFNNAARHLRSLVDEEGIFGAHLIKEVADRDIWKFFLK >EOY04988 pep chromosome:Theobroma_cacao_20110822:4:26740768:26745225:1 gene:TCM_020118 transcript:EOY04988 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MRAPSLLAQCLPGLVPQDRGSQSVSTVSERDVHLPSPAVEILPSKTAHPYKYAGDNVDLQGLNVFKGRVSVADIIGFTGSELISSKPEGFLKSWDSSIDLVNVLKHEIRDGQLSFRGKRVLELGCGYGLPGIFACLKGACTVHFQDLSAETIRCTTIPNVLANLEQARDRQSRQPESPLTPSRQTLAPTVHFYAGDWEELPTVLSVVRNDVSEVTTGMSLSFSEEDFMDGCSSQDGSIIAQEISSRRSRKLSGSRAWERASEIDQGEGGYDVILMTEIPYSVSSLKKLYALIKKCLRPPYGVLYLATKKNYVGFNNAARHLRSLVDEEGIFGAHLIKEVADRDIWKFFLK >EOY05762 pep chromosome:Theobroma_cacao_20110822:4:29711026:29713609:-1 gene:TCM_020680 transcript:EOY05762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S3Ae isoform 2 MAVGADPFAKKDWYDIKAPSVFTTRNVGKTLVTRTQGTKIASEGLKHRVFEISLADLQGGDEDHAYRKIRLRAEDVQGRNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRMFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMTAQATSCDLKELVQKFIPEMIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYGEDVGVKLERPADETMAEATTEVVGA >EOY05761 pep chromosome:Theobroma_cacao_20110822:4:29711026:29713550:-1 gene:TCM_020680 transcript:EOY05761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S3Ae isoform 2 MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFTTRNVGKTLVTRTQGTKIASEGLKHRVFEISLADLQGGDEDHAYRKIRLRAEDVQGRNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRMFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMTAQATSCDLKELVQKFIPEMIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYGEDVGVKLERPADETMAEATTEVVGA >EOY03667 pep chromosome:Theobroma_cacao_20110822:4:17704710:17710711:-1 gene:TCM_018756 transcript:EOY03667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MMMAAVKLGSLPPAALGGVTVTKKCERSSGGVRVVGECGSGGNGFPSFLPKQVEKIKDPFARNLARRIKRLPVQIECSDSFIMSSCVQPLIQRDANPVVLLHCFDSSCLEWRRAYPLLEESGLEAWAIDVLGWGFSDLGRLPPCNVASKRYHLYQLWNSYIKRPVVLVGPSLGAAVAIDFAVNYPEAVKKLVLINPSVYAEGTGNLAKLPRVVAYAGVSLLKTFPLRLYANLLAFNGISLSRSLDWTNVGRLHCHMPWWKDATVNFMVSGGYNVVSQIKQVKQKTLLICGENDRIVSNQLAMRLLFELPK >EOY03666 pep chromosome:Theobroma_cacao_20110822:4:17704690:17710701:-1 gene:TCM_018756 transcript:EOY03666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MMMAAVKLGSLPPAALGGVTVTKKCERSSGGVRVVGECGSGGNGFPSFLPKQVEKIKDPFARNLARRIKRLPVQIECSDSFIMSSCVQPLIQRDANPVVLLHCFDSSCLEWRRAYPLLEESGLEAWAIDVLGWGFSDLGRLPPCNVASKRYHLYQLWNSYIKRPVVLVGPSLGAAVAIDFAVNYPEAVKKLVLINPSVYAEGTGNLAKLPRVVAYAGVSLLKTFPLRLYANLLAFNGISLSRSLDWTNVGRLHCHMPWWKDATVNFMVSGGYNVVSQIKQVKQKTLLICGENDRIVSNQLAMRLLFELPKARMQRVPDSGHLPHVEKPRRVVKLIADFAQSN >EOY03668 pep chromosome:Theobroma_cacao_20110822:4:17705780:17710454:-1 gene:TCM_018756 transcript:EOY03668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MMMAAVKLGSLPPAALGGVTVTKKCERSSGGVRVVGECGSGGNGFPSFLPKQVEKIKDPFARNLARRIKRLPVQIECSDSFIMSSCVQPLIQRDANPVVLLHCFDSSCLEWRRAYPLLEESGLEAWAIDVLGWGFSDLGRLPPCNVASKRYHLYQLWNSYIKRPVVLVGPSLGAAVAIDFAVNYPEAVKKLVLINPSVYAEGTGNLAKLPRVVAYAGVSLLKTFPLRLYANLLAFNGISLSRSLDWTNVGRLHCHMPWWKDATVNFMVSGGYNVVSQIKQAGEAENTTHLW >EOY06848 pep chromosome:Theobroma_cacao_20110822:4:33142242:33146538:1 gene:TCM_021450 transcript:EOY06848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MDLARGFLFIWVLAILCLHHDSSNVDARYHYHKKQKEKGSNKGSPVSPSPVYAPDPQGPVPSSPVDVPAPQDPVPSTPAETPSNTPSIPSDPYPNDPGSTSSDCIFDVTSFGAVGDGSSDDTAAFREAWKAACAVESATILAPSDKVFIITSTIFSGPCKPGLVLQIDGVLMPPDGPDCWPKADSRKQWLVFYRLDDMKLTGNGIIEGNGQKWWELPCKPHRGPNGSTLSGPCDSPAMIRFFMSSNLVVSGIRIQNSPQFHMKFDGCEGVLIEKLSISTPKLSPNTDGIHIENTKSVGIYNSMISNGDDCISIGPGCSNVDIEGVTCGPSHGISIGSLGVHNSQACVSNVTVRNAIIRESDNGVRIKTWQGGTGSVSGISFENIQMENVRNCIIVDQYYCLTKACLNQTSAVFVTDVQYRNIKGTYDVRNTPIHFACSDTVPCTNITMSEVELLPQEGELLDDPFCWNAYGVEETLTIPPIGCLQEGMPQTIAETSQYGC >EOY06849 pep chromosome:Theobroma_cacao_20110822:4:33142195:33146556:1 gene:TCM_021450 transcript:EOY06849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MDLARGFLFIWVLAILCLHHDSSNVDARYHYHKKQKEKGSNKGSPVSPSPVYAPDPQGPVPSSPVDVPAPQDPVPSTPAETPSNTPSIPSDPYPNDPGSTSSDCIFDVTSFGAVGDGSSDDTAAFREAWKAACAVESATILAPSDKVFIITSTIFSGPCKPGLVLQIDGVLMPPDGPDCWPKADSRKQWLVFYRLDDMKLTGNGIIEGNGQKWWELPCKPHRGPNGSTLSGPCDSPAMIRFFMSSNLVVSGIRIQNSPQFHMKFDGCEGVLIEKLSISTPKLSPNTDGIHIENTKSVGIYNSMISNGDDCISIGPGCSNVDIEGVTCGPSHGISIGSLGVHNSQACVSNVTVRNAIIRESDNGVRIKTWQGGTGSVSGISFENIQMENVRNCIIVDQYYCLTKACLNQTSAVFVTDVQYRNIKGTYDVRNTPIHFACSDTVPCTNITMSEVELLPQEGELLDDPFCWNAYGVEETLTIPPIGCLQEGMPQTIAETSQYGC >EOY06293 pep chromosome:Theobroma_cacao_20110822:4:31516464:31518097:-1 gene:TCM_021073 transcript:EOY06293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase-like 4 MASWRTSTSIASLLGLLSFLLLHCSTTSTAIRVSTIIRNPSPMKLPIFREAPAFRNGDSCGSEEAYRIHIAMTLDANYLRGTMAAVLSMLQHSTCPENLSFHFLCAHSDSELVSSIKSTFPYLNFTIYRFDSNRVRGKISKSIRQALDQPLNYARIYLADILPADVKRVIYLDSDLVVVDDVGKLWGVDMEDNVLAAPEYCHANFTLYFNDAFWSDPVLSKTFQGRNPCYFNTGVMVVDVEKWRRGGYTKKVEQWMAFQKQKRIYHLGSLPPFLLVLAGNIKAVNHRWNQHGLGGDNFEGKCRNLHPGPISLLHWSGKGKPWLRLDSRKPCVVDHLWAPYDLYRSSRHFLED >EOY06246 pep chromosome:Theobroma_cacao_20110822:4:31420145:31423769:1 gene:TCM_021045 transcript:EOY06246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter 1,5 MQRFAWRLCSYNSRVLYSRTPELLVRGCLCFDKLHGGCGAWGVIFTGLLASEKYVKEVYTTRPVRYGLFMGGGANLLAACWPSLCLVLLSSSLSLHLLSALLGMATCSADLAPLLGPNATAAADYICNKFSDSSLAVDNTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAATGGLFYYLFGFAFAFGSPSNGFIGRHNFGLKSIPSSSSSLDYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWATDGWASSFRNDDFLFDSGVIDFAGSGVVHIVGGVAGLWGALIEGPRIGRFDHSGRSVALRGHSATLVVLGTFMLWFGWYGFNPGSFNKISPSYASGNFYGQWSAVGRTAVTTTLAGCTAALTTLFGKRILTGHWNVTDVCNGLLGGFAAITSGCSVVEPWAAVICGFVAALVLIGCNKLAEKVKFDDPLEAAQLHGGCGAWGVLFTGLFATKKYVREVYPSSRPVKYGLFMGGGGRLFAAQIIQILVIVGWVSATMGTLFYLLHKFGLLRISADDEMAGMDLTRHGGLAYIYHDEDESHKQGIQMRKIESHPTPPAV >EOY05472 pep chromosome:Theobroma_cacao_20110822:4:28669844:28670411:-1 gene:TCM_020467 transcript:EOY05472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase MSRTSSEEQETAVKDILEMLETIEEHGLIGGKKFFGGDKISMVDMAFGAVAYWLGVIEDAAGLKISEAHEFPRLQSWVQNFKEVLIIKENLPDRDKMFPLLKRRRDVAGV >EOY02311 pep chromosome:Theobroma_cacao_20110822:4:385878:388976:1 gene:TCM_016826 transcript:EOY02311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 22, putative MKSSSSSPFFQDKLHQYNKKERCLNMGMLPPFFLSSLVVTVIFILFILYSPNPLKAMSKRDLDQRLALIRPPDTTFQGDDDEILNCDLFKGHWIPDLQGSQYTNSSCTTIPTSKNCFHHGREDRDFLNWRWKPDQCDLPRFDPKTFLEFVQGKKLAFVGDSVARNHMESLLCLLSKVETPKDQYKDSEDRKRIWYFPDHDFTLMILWTKFLVAGEERVVNGSSSGIFDLHLDKIDKEWSRDLPALDYVIISDAHWFFRPIYLHDATGVVGCVYCNTPNVTDYGVGFALKMAFRSALSHINRCKKCKVRVTLARTFSPAHFENGTWNTGGSCNRTSPLSEGEINLSSNEWELRSLQMEEIEKARIEGDKRGKRFGVLDVTRAMLMRPDGHPGQYWGNKWMRGYNDCVHWCLPGPIDVWNDFLMAVLRREAALVS >EOY03673 pep chromosome:Theobroma_cacao_20110822:4:17742213:17746126:-1 gene:TCM_018763 transcript:EOY03673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit exo70 family protein E1 MAMGDYESVAPQLEGEENLIAAAKHLARALGSNKNLTKDVKKILADLGSQLSSMATIDDNMVEDGKSGIQEQLSVVQEKIMSWEADESMIWDSGPDEAVEYLNAADEARKLTERLENQCLNSEEEKELLRRAHDVLQMAMQRLEEEFKYMLVQHRQPFEPEHLSFRSSEDDAVDESSIVSFGDDSIEESTPQDSISRTSEEYIIDLVHPDVIPDLKGIANLMFMSNYDHECCQAYVIVRKDALDECLFNLEIEKLSIKDVLKMEWGSLNSKIKRWVRAMKVFVRPYLASEKWLCDQIFAELGSANLVCFVEAAKASMLQLLNFAEAISISSHQPEKLVRILDMYEVLADLLPDIDALFLDEAGSSVRIDYHEVLERLGDTVRATFVEFENAVASNASTNPFAGGGIHHLTRYVMNYLRLLADYKDTLNLLLKNHDGAAVSQISPDMSPATEEESMSRDFSGSCSPMALHFRSLTSILEANLYDKSKLYRDASLQHLFLMNNIHYMAQKVKNSELRLIFGDNWVRKHNWKFQQHAMDYERATWSSILSLLKDDGNSSSSSVSRTLLKERLRSFYVAFEEVYKTQTAWLIPDVQLREDLRISTSLKVIQAYRTFVGRQMSHIGEKHIKYNAEDLQDYLLDLFEGSQKSLHNPHRR >EOY05501 pep chromosome:Theobroma_cacao_20110822:4:28797555:28802695:1 gene:TCM_020487 transcript:EOY05501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MHAMQVALCYVNKFAKSRCGPTHALIIETNEEADPLAKEGVRMARDILLVVDHGVAAEGEEREPFLLFPHLYGIFIWLLWGSKASSGKLPPGPRRIPILGNLLDLGDKPHKSLAELAKTHGPLMSLKLGSLTTIVVSSAAMAKEVLQNHDLTFSNRTIGDALRVNQHDEVGLPWMPVSPLWRTLRKVCSSHFFSNKKLDAHQYLRRKKIEELLSYVHKHCRAGEAINIGQAAFNSSINLLSDTVFSKDLVDPNSKDAQAFKHTVCSIMEEGGKTNLADYFPVLRKIDPQGVRRRMSIHLTKLLNLVEKMFDERLHLRKEHGSIASDDVLDALLDIIEDNLVELNKTHLTHLLGVLFVAGTDTTSVSLEWAMAELLHNPQVLQKAQVELKKTIGKGNQVEESDIARLPYLQAIVKETVRMHPPLPLLLPRRAGADVEIHGFRIPEGSQVLVNAWAIGRDPDVWENPECFTPERFLGLEIDVKGRNFELIPFGAGRRICPGLPLAMRMLHLMLGSLINNFDWKIEGGVMNMEEKFGISLQKAEPLRAIPIPLV >EOY05200 pep chromosome:Theobroma_cacao_20110822:4:27567005:27584125:1 gene:TCM_020268 transcript:EOY05200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta galactosidase 9 isoform 3 MMVSEKRAFVQLLSLYLFIQFSVTAAKFFEPFNVTYDHRALIIDGKRRMLISAGIHYPRATPQMWPDLIAKSKEGGADVIESYTFWNGHEPVRGQYTFEGRFDLVKFVKLVGDSGLYFLLRIGPYVCAEWNFGGFPVWLRDVPGIEFRTDNEPFKREMQRFVTKIVDLLREEKLFSWQGGPIILLQIENEYGNMERSYGQKGKDYVKWAANMALGLRAGVPWVMCKQTDAPGDIIDTCNDYYCDGYKPNSPNKPTIWTENWDGWYTSWGGRLPHRPVEDLAFAIARFFQRGGSLMNYYMYFGGTNFGRTSGGPFYITSYDYDAPIDEYGLLSEPKWGHLKDLHAAIRLCEPALVAADLPRYMKLGPKQEAHLYWANIQTNGLNNTLSESQSVCSAFLANIDEHKAATVTFRGKSYTLPPWSVSILPDCRNTAFNTAKVGAQTSVKLVEHALSPKISVPELVMTKNEVSSIPESWMSVNEPIGIWSVNNFTFQGMLEHLNVTKDESDYLWHMTRIYVSDEDITFWEENQVSPTLVIDSMRDVLRVFINGQLTGSVSGHWVKVVQPVQFQQGYSDLILLSQTVGLQNYGAFLEKDGAGFRGQIKLTGFKNGDIDLSKLSWTYQVGLKGEFQKIFTIEENEKAGWTKLKRDATPSTFTWYKAYFDAPDGKEPVAFDLGSMGKGQAWVNGHHIGRYWNLVAPKDGCSKSCDYRGAYNPNKCMTNCGKPTQSWYHIPRSWLQATNNLLVIFEENGGNPFEISVKLRVPRILCAQVSESHYPRLQKWFHPDVIHGKVSISDMKPEIHLQCEEGHIISSIEFASYGTPHGSCQNFSEGNCHSQNSLSMVSKACKGRNSCVIEVSNSGFGGDPCRGIVKTLAIEARCVSSSTIGVSQF >EOY05202 pep chromosome:Theobroma_cacao_20110822:4:27569358:27583674:1 gene:TCM_020268 transcript:EOY05202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta galactosidase 9 isoform 3 MERSYGQKGKDYVKWAANMALGLRAGVPWVMCKQTDAPGDIIDTCNDYYCDGYKPNSPNKPTIWTENWDGWYTSWGGRLPHRPVEDLAFAIARFFQRGGSLMNYYMYFGGTNFGRTSGGPFYITSYDYDAPIDEYGLLSEPKWGHLKDLHAAIRLCEPALVAADLPRYMKLGPKQEAHLYWANIQTNGLNNTLSESQSVCSAFLANIDEHKAATVTFRGKSYTLPPWSVSILPDCRNTAFNTAKVGAQTSVKLVEHALSPKISVPELVMTKNEVSSIPESWMSVNEPIGIWSVNNFTFQGMLEHLNVTKDESDYLWHMTRIYVSDEDITFWEENQVSPTLVIDSMRDVLRVFINGQLTGSVSGHWVKVVQPVQFQQGYSDLILLSQTVGLQNYGAFLEKDGAGFRGQIKLTGFKNGDIDLSKLSWTYQVGLKGEFQKIFTIEENEKAGWTKLKRDATPSTFTWYKAYFDAPDGKEPVAFDLGSMGKGQAWVNGHHIGRYWNLVAPKDGCSKSCDYRGAYNPNKCMTNCGKPTQSWYHIPRSWLQATNNLLVIFEENGGNPFEISVKLRVPRILCAQVSESHYPRLQKWFHPDVIHGKVSISDMKPEIHLQCEEGHIISSIEFASYGTPHGSCQNFSEGNCHSQNSLSMVSKACKGRNSCVIEVSNSGFGGDPCRGIVKTLAIEARCVSSSTIGVSQF >EOY05201 pep chromosome:Theobroma_cacao_20110822:4:27567132:27583042:1 gene:TCM_020268 transcript:EOY05201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta galactosidase 9 isoform 3 MMVSEKRAFVQLLSLYLFIQFSVTAAKFFEPFNVTYDHRALIIDGKRRMLISAGIHYPRATPQMWPDLIAKSKEGGADVIESYTFWNGHEPVRGQYTFEGRFDLVKFVKLVGDSGLYFLLRIGPYVCAEWNFGGFPVWLRDVPGIEFRTDNEPFKREMQRFVTKIVDLLREEKLFSWQGGPIILLQIENEYGNMERSYGQKGKDYVKWAANMALGLRAGVPWVMCKQTDAPGDIIDTCNDYYCDGYKPNSPNKPTIWTENWDGWYTSWGGRLPHRPVEDLAFAIARFFQRGGSLMNYYMYFGGTNFGRTSGGPFYITSYDYDAPIDEYGLLSEPKWGHLKDLHAAIRLCEPALVAADLPRYMKLGPKQEAHLYWANIQTNGLNNTLSESQSVCSAFLANIDEHKAATVTFRGKSYTLPPWSVSILPDCRNTAFNTAKVGAQTSVKLVEHALSPKISVPELVMTKNEVSSIPESWMSVNEPIGIWSVNNFTFQGMLEHLNVTKDESDYLWHMTRIYVSDEDITFWEENQVSPTLVIDSMRDVLRVFINGQLTGSVSGHWVKVVQPVQFQQGYSDLILLSQTVGLQNYGAFLEKDGAGFRGQIKLTGFKNGDIDLSKLSWTYQVGLKGEFQKIFTIEENEKAGWTKLKRDATPSTFTWYKAYFDAPDGKEPVAFDLGSMGKGQAWVNGHHIGRYWNLVAPKDGCSKSCDYRGAYNPNKCMTNCGKPTQSWYYLHWKRTTYRGHGYRQQTIYL >EOY02753 pep chromosome:Theobroma_cacao_20110822:4:2097716:2099640:1 gene:TCM_017149 transcript:EOY02753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLAMNEEIQHRQYKDLDSLLIVPREKLAFNVTINTHYKWSQLHYITKTLQQKGEYDAVKRTCFGMLLGFNPQGYFCAGLLYSIMIHRITERQSMDHELWFAIGKSNVRLSKQEFCLITRLKFGPMPDVFRRPYEVATEGIHDRYWNRQESAKLQALLDTFRGGNFQRPGDATKMALVLITNNILFGQDYRRRVTPWLLSLMEDIDAWNVFPWGHYVWKLTLDYLLKEFEVPDSSVTKKTRLHYNIYRFT >EOY06905 pep chromosome:Theobroma_cacao_20110822:4:33331046:33334452:-1 gene:TCM_021488 transcript:EOY06905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant snare 13 isoform 1 MATTELQMSPQLEQIHGEIRDNVRALANGFQRLDKIKDSNRQSKQLEELTAKMRECKRLIKEFDREIKDEESRNPPEVNKQLNDEKQSMIKELNSYVALRKTYMNTLGNKKIELFDMGAGVSEPTADENVKVASSMSNQELVDHGMKTMDETDQAIERSKKVVEQTIEVGTQTAVTLKGQSEQMGRIVNELDTIQFSIKKASQLVKEIGRQVATDKCIMLFLFLIVCGVIAIIVVKIVNPSNKDIRDIPGLAPPAPSRRLLYLRESQYLE >EOY06906 pep chromosome:Theobroma_cacao_20110822:4:33331046:33334320:-1 gene:TCM_021488 transcript:EOY06906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant snare 13 isoform 1 MVKFAIMSVLLQMASRGWIRSKIPIGKANRNSYVALRKTYMNTLGNKKIELFDMGAGVSEPTADENVKVASSMSNQELVDHGMKTMDETDQAIERFVEQTIEVGTQTAVTLKGQSEQMGRIVNELDTIQFSIKKASQLVKEIGRQVATDKCIMLFLFLIVCGVIAIIVVKIVNPSNKDIRDIPGLAPPAPSRRLLYLRESQYLE >EOY06403 pep chromosome:Theobroma_cacao_20110822:4:31814992:31823273:-1 gene:TCM_021134 transcript:EOY06403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase isoform 2 MGCVFGREASGRPVGREARKKEEVGRVARISEEVVTRKAEKEEEVVRNGSSNRKVEEAKAEEESAAAAKARAKRRSSRPNPRLSNPPKHVHGEQVAAGWPSWLSNVASEAISGWTPRRADTFEKLDKIGQGTYSNVYKARDTLTGKIVALKKVRFDNLEPESVKFMAREIMILRRLDHPNVVKLEGLATSRMSCSLYLVFEYMEHDLAGLAASPAIKFTESQVKCYMHQLLSGLEHCHNRHLLHRDIKGSNLLIDDGGVLKIADFGLASFFDPNYKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQSYKRCIEETFKDFPPSSLPLIETLLAIDPVERLTATAALNSEFFMTKPYACDPSSLPKYPPSKEMDAKLRDEEARRLRAAGRNADGVKKARPRDRAVRAFPAPEANAELQANLDRRRLITHANAKSKSEKFPPPHQDGALGYPLGSSHPIDPGFDPSDVPFSTTNFSYSKAPIQTWSGPLVDPAAVGAPRRRKHMSVFLSSQPGKPEIASEGKMRPPGPGGPGPGPGPPGPGGPGAPWPAPGGLIVGFFNGLCSGVSSCLYVLCCCWLIQDCFGGPPPPGPPGGPGDAPPGPPAPAPPPPIAPAPPPSLPGPAPPYAGPPGGPPGPFGGQPGPPGPFSGPPGPPGGPPGPPGGPPGLCRHIFKQNRLLNQVLPLSPLTASLLMGPENQEPKHTPNCANLGKNELKSLFKGSMFSGPRDSGARGCSINFHS >EOY06404 pep chromosome:Theobroma_cacao_20110822:4:31816858:31823207:-1 gene:TCM_021134 transcript:EOY06404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase isoform 2 MGCVFGREASGRPVGREARKKEEVGRVARISEEVVTRKAEKEEEVVRNGSSNRKVEEAKAEEESAAAAKARAKRRSSRPNPRLSNPPKHVHGEQVAAGWPSWLSNVASEAISGWTPRRADTFEKLDKIGQGTYSNVYKARDTLTGKIVALKKVRFDNLEPESVKFMAREIMILRRLDHPNVVKLEGLATSRMSCSLYLVFEYMEHDLAGLAASPAIKFTESQVKCYMHQLLSGLEHCHNRHLLHRDIKGSNLLIDDGGVLKIADFGLASFFDPNYKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQSYKRCIEETFKDFPPSSLPLIETLLAIDPVERLTATAALNSEFFMTKPYACDPSSLPKYPPSKEMDAKLRDEEARRLRAAGRNADGVKKARPRDRAVRAFPAPEANAELQANLDRRRLITHANAKSKSEKFPPPHQDGALGYPLGSSHPIDPGFDPSDVPFSTTNFSYSKAPIQTWSGPLVDPAAVGAPRRRKHMSGDGHAHLKSSKKDKNSARI >EOY04879 pep chromosome:Theobroma_cacao_20110822:4:26299820:26305176:-1 gene:TCM_020042 transcript:EOY04879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) plant phosphoribosyltransferase family protein MPQANVDFSLKETAPNIGGGRVSGSEKLTSSFDLVEQMHFFYVRVVRVRDLPRTRNPFVEVNIGNYKGTTKYFKMDHDPEWNQVFAFAKERIQAVTVEVTVRSKETINDEVVGKLAFDIADVPTRVPPDSPLAPQWYSLEDESGRKGGRGELMLAMWMGTQADEVFPDAWHSDAATVSGESIANTRSKVYISPRLWYLRVNIIQAQDLVPISRNRNPQVYVQAMLGNMVLRSRFSPDKNINPTWNEDLMFLVAEPFDDPLILSVVDKLGNNKEHSLGMCTIHLSKVEKRLLPIPVCANWYNLEKVAQDNQNNMEVKFASRLHLRVCLDGGYHVLDESIYYSSDFRATSKLLWPPTIGVLELGILNATGLLPMKSKDGRGTTDAYCVAKYGPKWVRTRTIVDSFAPKWNEQYTWEVYDPYTVITIGVFDNCHLQEGSDAGGSKDPRIGKVRIRLSTLNADRIYTHSYPLIVLQPNGVKKMGEIQLAVRFTCSSTWNLFQSYTHPLFPQMHYLLPLSVYQVESLRHQATQTLSLRLSRAEPPLRREVVEYMLDVGSNIWSLRRGRANLERLLATCNLLVEAWKWFDHICKWKNPISTMVVHFVYMIFVLFPNLILPLVFLMCIVYGASQYRKRPRHPAHMDTKLSLIDSAHSDELDEEFDTFPTSKNGEVLKKRYDRLRSIAGRMMTIIGDLATQAERLNSMLSWRDPRATPMFLAFCILASIGFYFIPWRLFALGVGFFAMRHPKFRISIPSLPQNFFRRLPARTESMI >EOY06380 pep chromosome:Theobroma_cacao_20110822:4:31712300:31730676:-1 gene:TCM_021118 transcript:EOY06380 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MASSSHNVELEAAKFLHKLIQDSKDEPAKLATKLFVILQHMKSSGKEHSMPFHVISRAMETVINQHGLDMDALKSSRVPLTGGSQTVDSTSGQYAGSSQAVGVPKDPKAGLVQNEMSKIDPFSSIRPPVGPSITGHEYYQGAGTHRSSQSFDHESPSSLDARSANSQSQDKQMNQNDSIKAATKRKRGDSSSPLEPNFDNSQQLDSHNAVTDPRKGKMNKAEPSGPANYSMVLSSGQMEHFPSLPGNMRSMLRCRQDGSIVPENLVDTTSITNLMSRAPSSKYPEEVEVSSTHNVPGQQQGGVPGSHEVFSSRGVWNQNKAGLPFDRSQLHRFPPNVVSGNMTAEIPAQQSMHTALVSGAFGKVQGGLPATSNSYPSGELAFSGPGQFSGAESQKHGFSKGSVTSPDGLSTTLSAGKVLEHEGGSSNVLADANKIAQVGRQNSASEMTMLRATAPRDTGKSPVSQSATFSGMPFKEQQLKQLRAQCLVFLAFRNGLMPKKLHLEIALGNIYPKEDGPRKELIDTRGKAQTSNEPSSISEVAMPFGRMNNAPPGSTSTGRFPEADSLSKEAEKLKMEERNGPTSDFSAIADERKHILATRKAEAEIQSLEAVEPQAYLTTMSRQPESGTIKGGFTVSNPVDGMENGHLQLGKGDQASSVIGANKQLNPEMMGWSGIGCHNEVSRASLPAAAVQHDLVLERKDNAPSQFQSPEQDEEDKSALTDSLPSPKHTMLEKWIMDQQKRKFLAEQNWVLKQQKTKHRIVTCFTKLKENVSSSEDISAKTKSVIELKKLQLLELQRRLRSDFLNDFFKPITNDMERLKSYKKHRHGRRIKQLEKYEQKMKEERQKRIRERQKEFFSEIEVHKERLDDVFKIRRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKAITIRFENDMDEMRTASVVENDTAMENEDEAKHYMESNEKYYMMAHSIKENISEQPTFLKGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEINFWAPEINKIVYAGPPEERRRLFKERIVQRKFNVLLTTYEYLMNKHDRPKLSKLHWHYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLIRCEASAYQKLLMKRVEENLGAMGNSKARSVHNSVMELRNICNHPYLSQLHVEEVDNLIPQHYLPPMIRLCGKLEMLDRLLPKLKATDHRVLLFSTMTRLLDVMEDYLSLKQYRYLRLDGHTSGNDRGALIDNFNRHDSPFFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKDVLVLRFETVQTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDVLARSESEIDVFESVDKQRREEEMAKWKKLVLGSGMDGSKTLLPLPSRLVTDDDLQEFYEAMKLYDVPKTGVQPNVGVKRKGENLGGLDTRQYGRGKRAREVRSYEEQWTEEEFEKLCQVDSPESPKLKEEAVERNLPKDASVETVSSTEANAPAPPPPPPQPLPVEHAQQPQQQSKDATPPSKRGRGRPRRATADKSPTTQVLPAPSGISKVDAGLQKVLESSSSASPAPDPHNSTGVSQNLQPSMPSVSATPDQSNPPGFSPMVQLKGQGRKAQTGGQAPRRRGKKQEPAFSSAIDGLAGSPPKPDEQSQIKSVNPADSQAVAISGTVPGVSSVPKTEYANQLPTSAGVDCASGTNHPAGAGISLNSQSTPTPSGAPITQSTPPLPTVPVQVKGQGRKAQSGLGPPRRRGKKQAPISAASLDVSAGQDSKSNPQAQDKSADAFPNKVIAMRGNQENDTADATKLIQEQAQGTKAPAAITAQDQHSTESQSKQPESSQAVHNSTAITLGPAVVQIQNADVHEKASVITEVSPECSSQKSKSGEVCGNQGGAVPVIPVLSHTSVEVVKNQISEDKVHATISTVKTASSVAGATMDCLPSSDPLEGANKTMPRATAKIASSSQPFPTYAPVASAPQSVASCPAECVQSKRPGRKTTNRAEAPKRRGRKPVIPDASSGQDLKVNSQPQNKSRDLLVNKAPTMKNSQDSGPHELANVTQVHASEVHSPGALVGHDSKRKVTSAIQFTRIQTADVNDVARVMKEIFSETCSSKTKVGEPAGSEGWNTPTAPLSSKTLEEVTKNQSLDGKTCVNSPAHDQAAAACDVPTEKNTKQAETKADAKELEDNTSLVVKDSVQRADSLKPKCKTHTGFDNIADSGQTTSENSITESNMEVDSTCPLNAGEKKDVCQGPPGPGGDHTGSRVQPDPPGPMDLPQTAESDKTNIAPVFKESPKADNTCDNSRAVPSVAGVVEPSIIDSETKKESPGMTEIYPGNEVEPSLKESPKASDDNGRSVGLNGIPSETLDSDLSAVNLSGISFDKSDLPSVVLKCSTEAIVVEGPEVSENSDNLGATAVVDDAAPACETSILDEAPVDNCDVDGQFGCGEAKGDPVPEPFLSTATDSTDTELVPQDGGGLQQPLVVKDGEGDGVEIHNMEVDPSETDVPSLNDFTVESASRDPASEFNGGKQLCAGVKSTKGDYVEVCDAEVKPSVTQSSEPAMSSLEIAVPVSDNLQDKNIEQPRIDADANKSEEKPPVVVMTPISESVSLVSQCQAAIGSENISDSRKLSCENSNTESSMGVDCKVHLSAREEEDFASQGLKSPNGDSTDLTDGPSSNQIELSVASPIKVEPPQLNSCGNKTEISSMSPCDVSKLESLIDSTNGSDVRNHSEAISSISVLIAPNVASSEDKEKSSMEFSNSSPLDISSSKAGAACDYSGVTAVVPLSSDHSVAGSLPDLAIPSENSSEPSARESLGSSAINVESAEGTPNVIKFHDVADNPGESLPITIGPDKSGTVDTPAMVESNSECEAEPCPDKSGMVEAPAMVENNSECEAESFPDKSGVMEAPAMVEDNPECEAEPCPDKSGMIEAPAMVENNSECEAEPCPDKSGMVEAPAMVANNSECEAEPCPDNSGMVEAPVMVENNSECEAEPCPDKSGMVEAPSVVETNSEREAESSLESCQKASALDVENLGSAAMSKKPDINDVPLVTSSISPSPIHSAMVELPAITESELGKATEPSLEESLQSSATERGNLEALSVSTKSHALSDHCPEAVAAECCGEAFIGGPEVSQKSNDPGAAPVVVDTAAGDNHVSHQASDNVDGPSSGSENKRDSVSDPIHLVASESTNIETMPKDDALQVSSSVERGDGNSVDVSNEEVDPSGQPISSMKDFAAESANVDFVPGDHGEVKPSLGIESIGSDHVDNDADPLEIEASIEQDVVGVPSGMELVPGDHNKMHLEVGVASTEGDNIQVRNTEVDPLEAHATSSEFTTKESPNGEHVLNDQSQELPGIEKMEADNVEASTIGLNSSEAQESSLQSGDSDNRELVQRSEVDLVEPCNVESDPTEGPSSSQVISDASANPELTHSDQVRTDLQSEDHGKASQLPEVESTNVSNMEIDPTETKASSPGGDETDVSNMEIDPIETKAPSSGADGTNA >EOY06381 pep chromosome:Theobroma_cacao_20110822:4:31711791:31731658:-1 gene:TCM_021118 transcript:EOY06381 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MASSSHNVELEAAKFLHKLIQDSKDEPAKLATKLFVILQHMKSSGKEHSMPFHVISRAMETVINQHGLDMDALKSSRVPLTGGSQTVDSTSGQYAGSSQAVGVPKDPKAGLVQNEMSKIDPFSSIRPPVGPSITGHEYYQGAGTHRSSQSFDHESPSSLDARSANSQSQDKQMNQNDSIKAATKRKRGDSSSPLEPNFDNSQQLDSHNAVTDPRKGKMNKAEPSGPANYSMVLSSGQMEHFPSLPGNMRSMLRCRQDGSIVPENLVDTTSITNLMSRAPSSKYPEEVEVSSTHNVPGQQQGGVPGSHEVFSSRGVWNQNKAGLPFDRSQLHRFPPNVVSGNMTAEIPAQQSMHTALVSGAFGKVQGGLPATSNSYPSGELAFSGPGQFSGAESQKHGFSKGSVTSPDGLSTTLSAGKVLEHEGGSSNVLADANKIAQVGRQNSASEMTMLRATAPRDTGKSPVSQSATFSGMPFKEQQLKQLRAQCLVFLAFRNGLMPKKLHLEIALGNIYPKEDGPRKELIDTRGKAQTSNEPSSISEVAMPFGRMNNAPPGSTSTGRFPEADSLSKEAEKLKMEERNGPTSDFSAIADERKHILATRKAEAEIQSLEAVEPQAYLTTMSRQPESGTIKGGFTVSNPVDGMENGHLQLGKGDQASSVIGANKQLNPEMMGWSGIGCHNEVSRASLPAAAVQHDLVLERKDNAPSQFQSPEQDEEDKSALTDSLPSPKHTMLEKWIMDQQKRKFLAEQNWVLKQQKTKHRIVTCFTKLKENVSSSEDISAKTKSVIELKKLQLLELQRRLRSDFLNDFFKPITNDMERLKSYKKHRHGRRIKQLEKYEQKMKEERQKRIRERQKEFFSEIEVHKERLDDVFKIRRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKAITIRFENDMDEMRTASVVENDTAMENEDEAKHYMESNEKYYMMAHSIKENISEQPTFLKGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEINFWAPEINKIVYAGPPEERRRLFKERIVQRKFNVLLTTYEYLMNKHDRPKLSKLHWHYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLIRCEASAYQKLLMKRVEENLGAMGNSKARSVHNSVMELRNICNHPYLSQLHVEEVDNLIPQHYLPPMIRLCGKLEMLDRLLPKLKATDHRVLLFSTMTRLLDVMEDYLSLKQYRYLRLDGHTSGNDRGALIDNFNRHDSPFFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKDVLVLRFETVQTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDVLARSESEIDVFESVDKQRREEEMAKWKKLVLGSGMDGSKTLLPLPSRLVTDDDLQEFYEAMKLYDVPKTGVQPNVGVKRKGENLGGLDTRQYGRGKRAREVRSYEEQWTEEEFEKLCQVDSPESPKLKEEAVERNLPKDASVETVSSTEANAPAPPPPPPQPLPVEHAQQPQQQSKDATPPSKRGRGRPRRATADKSPTTQVLPAPSGISKVDAGLQKVLESSSSASPAPDPHNSTGVSQNLQPSMPSVSATPDQSNPPGFSPMVQLKGQGRKAQTGGQAPRRRGKKQEPAFSSAIDGLAGSPPKPDEQSQIKSVNPADSQAVAISGTVPGVSSVPKTEYANQLPTSAGVDCASGTNHPAGAGISLNSQSTPTPSGAPITQSTPPLPTVPVQVKGQGRKAQSGLGPPRRRGKKQAPISAASLDVSAGQDSKSNPQAQDKSADAFPNKVIAMRGNQENDTADATKLIQEQAQGTKAPAAITAQDQHSTESQSKQPESSQAVHNSTAITLGPAVVQIQNADVHEKASVITEVSPECSSQKSKSGEVCGNQGGAVPVIPVLSHTSVEVVKNQISEDKVHATISTVKTASSVAGATMDCLPSSDPLEGANKTMPRATAKIASSSQPFPTYAPVASAPQSVASCPAECVQSKRPGRKTTNRAEAPKRRGRKPVIPDASSGQDLKVNSQPQNKSRDLLVNKAPTMKNSQDSGPHELANVTQTADVNDVARVMKEIFSETCSSKTKVGEPAGSEGWNTPTAPLSSKTLEEVTKNQSLDGKTCVNSPAHDQAAAACDVPTEKNTKQAETKADAKELEDNTSLVVKDSVQRADSLKPKCKTHTGFDNIADSGQTTSENSITESNMEVDSTCPLNAGEKKDVCQGPPGPGGDHTGSRVQPDPPGPMDLPQTAESDKTNIAPVFKESPKADNTCDNSRAVPSVAGVVEPSIIDSETKKESPGMTEIYPGNEVEPSLKESPKASDDNGRSVGLNGIPSETLDSDLSAVNLSGISFDKSDLPSVVLKCSTEAIVVEGPEVSENSDNLGATAVVDDAAPACETSILDEAPVDNCDVDGQFGCGEAKGDPVPEPFLSTATDSTDTELVPQDGGGLQQPLVVKDGEGDGVEIHNMEVDPSETDVPSLNDFTVESASRDPASEFNGGKQLCAGVKSTKGDYVEVCDAEVKPSVTQSSEPAMSSLEIAVPVSDNLQDKNIEQPRIDADANKSEEKPPVVVMTPISESVSLVSQCQAAIGSENISDSRKLSCENSNTESSMGVDCKVHLSAREEEDFASQGLKSPNGDSTDLTDGPSSNQIELSVASPIKVEPPQLNSCGNKTEISSMSPCDVSKLESLIDSTNGSDVRNHSEAISSISVLIAPNVASSEDKEKSSMEFSNSSPLDISSSKAGAACDYSGVTAVVPLSSDHSVAGSLPDLAIPSENSSEPSARESLGSSAINVESAEGTPNVIKFHDVADNPGESLPITIGPDKSGTVDTPAMVESNSECEAEPCPDKSGMVEAPAMVENNSECEAESFPDKSGVMEAPAMVEDNPECEAEPCPDKSGMIEAPAMVENNSECEAEPCPDKSGMVEAPAMVANNSECEAEPCPDNSGMVEAPVMVENNSECEAEPCPDKSGMVEAPSVVETNSEREAESSLESCQKASALDVENLGSAAMSKKPDINDVPLVTSSISPSPIHSAMVELPAITESELGKATEPSLEESLQSSATERGNLEALSVSTKSHALSDHCPEAVAAECCGEAFIGGPEVSQKSNDPGAAPVVVDTAAGDNHVSHQASDNVDGPSSGSENKRDSVSDPIHLVASESTNIETMPKDDALQVSSSVERGDGNSVDVSNEEVDPSGQPISSMKDFAAESANVDFVPGDHGEVKPSLGIESIGSDHVDNDADPLEIEASIEQDVVGVPSGMELVPGDHNKMHLEVGVASTEGDNIQVRNTEVDPLEAHATSSEFTTKESPNGEHVLNDQSQELPGIEKMEADNVEASTIGLNSSEAQESSLQSGDSDNRELVQRSEVDLVEPCNVESDPTEGPSSSQVISDASANPELTHSDQVRTDLQSEDHGKASQLPEVESTNVSNMEIDPTETKASSPGGDETDVSNMEIDPIETKAPSSGADGTNA >EOY06382 pep chromosome:Theobroma_cacao_20110822:4:31714056:31727037:-1 gene:TCM_021118 transcript:EOY06382 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 ALGNIYPKEVGDTDGPRKELIDTRGKAQTSNEPSSISEVAMPFGRMNNAPPGSTSTGRFPEADSLSKEAEKLKMEERNGPTSDFSAIADERKHILATRKAEAEIQSLEAVEPQAYLTTMSRQPESGTIKGGFTVSNPVDGMENGHLQLGKGDQASSVIGANKQLNPEMMGWSGIGCHNEVSRASLPAAAVQHDLVLERKDNAPSQFQSPEQDEEDKSALTDSLPSPKHTMLEKWIMDQQKRKFLAEQNWVLKQQKTKHRIVTCFTKLKENVSSSEDISAKTKSVIELKKLQLLELQRRLRSDFLNDFFKPITNDMERLKSYKKHRHGRRIKQLEKYEQKMKEERQKRIRERQKEFFSEIEVHKERLDDVFKIRRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKAITIRFENDMDEMRTASVVENDTAMENEDEAKHYMESNEKYYMMAHSIKENISEQPTFLKGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEINFWAPEINKIVYAGPPEERRRLFKERIVQRKFNVLLTTYEYLMNKHDRPKLSKLHWHYIIIDEGHRIKNASCKLNADLKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLIRCEASAYQKLLMKRVEENLGAMGNSKARSVHNSVMELRNICNHPYLSQLHVEEVDNLIPQHYLPPMIRLCGKLEMLDRLLPKLKATDHRVLLFSTMTRLLDVMEDYLSLKQYRYLRLDGHTSGNDRGALIDNFNRHDSPFFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKDVLVLRFETVQTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDVLARSESEIDVFESVDKQRREEEMAKWKKLVLGSGMDGSKTLLPLPSRLVTDDDLQEFYEAMKLYDVPKTGVQPNVGVKRKGENLGGLDTRQYGRGKRAREVRSYEEQWTEEEFEKLCQVDSPESPKLKEEAVERNLPKDASVETVSSTEANAPAPPPPPPQPLPVEHAQQPQQQSKDATPPSKRGRGRPRRATADKSPTTQVLPAPSGISKVDAGLQKVLESSSSASPAPDPHNSTGVSQNLQPSMPSVSATPDQSNPPGFSPMVQLKGQGRKAQTGGQAPRRRGKKQEPAFSSAIDGLAGSPPKPDEQSQIKSVNPADSQAVAISGTVPGVSSVPKTEYANQLPTSAGVDCASGTNHPAGAGISLNSQSTPTPSGAPITQSTPPLPTVPVQVKGQGRKAQSGLGPPRRRGKKQAPISAASLDVSAGQDSKSNPQAQDKSADAFPNKVIAMRGNQENDTADATKLIQEQAQGTKAPAAITAQDQHSTESQSKQPESSQAVHNSTAITLGPAVVQIQNADVHEKASVITEVSPECSSQKSKSGEVCGNQGGAVPVIPVLSHTSVEVVKNQISEDKVHATISTVKTASSVAGATMDCLPSSDPLEGANKTMPRATAKIASSSQPFPTYAPVASAPQSVASCPAECVQSKRPGRKTTNRAEAPKRRGRKPVIPDASSGQDLKVNSQPQNKSRDLLVNKAPTMKNSQDSGPHELANVTQVHASEVHSPGALVGHDSKRKVTSAIQFTRIQTADVNDVARVMKEIFSETCSSKTKVGEPAGSEGWNTPTAPLSSKTLEEVTKNQSLDGKTCVNSPAHDQAAAACDVPTEKNTKQAETKADAKELEDNTSLVVKDSVQRADSLKPKCKTHTGFDNIADSGQTTSENSITESNMEVDSTCPLNAGEKKDVCQGPPGPGGDHTGSRVQPDPPGPMDLPQTAESDKTNIAPVFKESPKADNTCDNSRAVPSVAGVVEPSIIDSETKKESPGMTEIYPGNEVEPSLKESPKASDDNGRSVGLNGIPSETLDSDLSAVNLSGISFDKSDLPSVVLKCSTEAIVVEGPEVSENSDNLGATAVVDDAAPACETSILDEAPVDNCDVDGQFGCGEAKGDPVPEPFLSTATDSTDTELVPQDGGGLQQPLVVKDGEGDGVEIHNMEVDPSETDVPSLNDFTVESASRDPASEFNGGKQLCAGVKSTKGDYVEVCDAEVKPSVTQSSEPAMSSLEIAVPVSDNLQDKNIEQPRIDADANKSEEKPPVVVMTPISESVSLVSQCQAAIGSENISDSRKLSCENSNTESSMGVDCKVHLSAREEEDFASQGLKSPNGDSTDLTDGPSSNQIELSVASPIKVEPPQLNSCGNKTEISSMSPCDVSKLESLIDSTNGSDVRNHSEAISSISVLIAPNVASSEDKEKSSMEFSNSSPLDISSSKAGAACDYSGVTAVVPLSSDHSVAGSLPDLAIPSENSSEPSARESLGSSAINVESAEGTPNVIKFHDVADNPGESLPITIGPDKSGTVDTPAMVESNSECEAEPCPDKSGMVEAPAMVENNSECEAESFPDKSGVMEAPAMVED >EOY03321 pep chromosome:Theobroma_cacao_20110822:4:12449142:12449936:-1 gene:TCM_018225 transcript:EOY03321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVSGVIGVRVESCPWIVGAQCKSRTEGYLGPYFIRNLLVLMMYKWISNHCLVVYRLEGAPIACTMSRREGSPDTSYSIKEGSLDFIARSRYMPEGESVTSNPTQISSGSVPLRGKPLFKSLRSLIRLVRNEIDPRKKNMNKMEKRTIS >EOY04442 pep chromosome:Theobroma_cacao_20110822:4:24330636:24337267:1 gene:TCM_019692 transcript:EOY04442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor family protein, expressed isoform 2 MKQRDFHRGRIKIITMFMLAGLFLGFPNADASIHEYRMEAFSPRSDAFFFHGGSEGLYASKLHEPTSASETKQSLKGKSSISFDSVTFVRPKEMANKHNEMQQNTGLVEAIILEVKDREKIGGSSLKSDIICCNKYHSEQGVCKVGEVIIHNNPDNPGWPKRIKTFFQGNNEEVKMELENVEINSTGMYYLYFMFCDPQLKGTLIKGRTVWKNPNGYLPGKMAPLMTFFGVMSLAYLVLGLGWFLRFVQFWKDIIHLHYHITLVIALGMCEMAVWYFEYSNFNSTGSRPMGITLWAVTFGSVKKTLSRLLLLVVSMGFGVVRPTLGGLTSKVLLLGLVYFIATDALELVEHLGNINDFSGKAKLFLVLPVAFLDACFILWIFSSLSKTLEKLQMRRNLAKLELYRKFTNALAVSVLLSIAWIGFELYFNATDPLSELWQIAWIIPAFWNMLAYSLLVVICVLWAPSRNPTRYAYMEEMDGDSDEEGIALTSNGGDMAMKLERQAIGDDLEEDKRE >EOY04443 pep chromosome:Theobroma_cacao_20110822:4:24332181:24336158:1 gene:TCM_019692 transcript:EOY04443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor family protein, expressed isoform 2 MKQRDFHRGRIKIITMFMLAGLFLGFPNADASIHEYRMEAFSPRSDAFFFHGGSEGLYASKLHEPTSASETKQSLKGKSSISFDSVTFVRPKEMANKHNEMQQNTGLVEAIILEVKDREKIGGSSLKSDIICCNKYHSEQGVCKVGEVIIHNNPDNPGWPKRIKTFFQGNNEEVKMELENVEINSTGMYYLYFMFCDPQLKGTLIKGRTVWKNPNGYLPGKMAPLMTFFGVMSLAYLVLGLGWFLRFVQFWKDIIHLHYHITLVIALGMCEMAVWYFEYSNFNSTGSRPMGITLWAVTFGSVKKTLSRLLLLVVSMGFGVVRPTLGGLTSKVLLLGLVYFIATDALELVEHLGNINDFSGKAKLFLVLPVAFLDACFILWIFSSLSKTLEKLQMRRNLAKLELYRKFTNALAVSVLLSIAWIGFENQSLP >EOY05821 pep chromosome:Theobroma_cacao_20110822:4:29894212:29905091:-1 gene:TCM_020721 transcript:EOY05821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDIHSKWRNFRLSEEEACPLQIKSDGERSTRRGKEFCLVRLVWESKAVNREALSKTMGAAWRLQGQLIVNVIGDNKFLFAFSMKGHYERVIKGKPWCFDRSLLALKEFEEDLMDPEEIDFTKEEFWIQVTGVPLKLMTGETAKAIGNLVGQYVAVDGEKEDLRDCFLRLRVLLDFKKPLRRGIVLACDNNQLKWINIQYERLPRFCYRCGVLGHNEKDCRSPCFDEEGKEVSNQYGPFLVAPLRRKTQRVIITEAEHDGKSSSKEKNRADNFMSDQSRVQQAGCRITTKTLNEILNAEPKIAESSNENSGPMGGFGDGVLNDKRLEPTEDPVRQMDTEKCEQEVEEANRDNADDGTSKVAEQEKLGLTQGKISGKIMLQTDVINNGEKNEAQRGMSDAMQPNIELGNMKYSFHEQIQEEGSVLRFRSAVALTSRGYTTIWAGFIVRDCNGELLITGGSKIARYSSAAVAELKALLRALEICQLEQVQISEIELDCEQVVKWIKDQQFNGVVGHIIADCCSALSQINCSIIKHCRRTANAVAHEIARTIQELGLIRVIKVEVDSNLFLIPQ >EOY02700 pep chromosome:Theobroma_cacao_20110822:4:1848814:1852196:-1 gene:TCM_017100 transcript:EOY02700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome C1 family isoform 1 FFFFSPIYIFPLSSFCCFSSFLLFLWISEAAASSFDHHLSLISFQSHSQVPALSWFTSRKANENAGSAGMRTVALLGAGISGLLGVATVASADEAEHGLEVPNYPWPHQGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVMIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSIFKSRRLV >EOY02699 pep chromosome:Theobroma_cacao_20110822:4:1848418:1852211:-1 gene:TCM_017100 transcript:EOY02699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome C1 family isoform 1 MAGGLIPQVLRRKLQSQSAVPALSWFTSRKANENAGSAGMRTVALLGAGISGLLGVATVASADEAEHGLEVPNYPWPHQGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVMIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSIFKSRRLVVDVVN >EOY02701 pep chromosome:Theobroma_cacao_20110822:4:1848813:1852197:-1 gene:TCM_017100 transcript:EOY02701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome C1 family isoform 1 FFFFSPIYIFPLSSFCCFSSFLLFLWISEAAASSFDHHLSLISFQSHSQVPALSWFTSRKANENAGSAGMRTVALLGAGISGLLGVATVASADEAEHGLEVPNYPWPHQGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSIFKSRRLV >EOY05329 pep chromosome:Theobroma_cacao_20110822:4:28102875:28104564:1 gene:TCM_020351 transcript:EOY05329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQEKNFFALLTDQESEVVSKLIDSLKVEEPSKPDALDNKKEQKPTHKFQNMDPRKMLAKRIFLPRHVLRSFLVLRKEMKEEQKPEGNSDAKEPGEKEGEIKATSATNNNGQQPSSGNGENAKIEGKNYPDNYHNHVYHEGQRGYRVGNGYQGNYRNYSYGGGDGYRGNYSTPPGYQVNNNGGYRGGRGGIGGRGFQQSRKFYEKEGQAVAYANNHQHQQEFDYGKERLQYYGGYRRRGPRGYYRRDGGECCNNGKVYRKVDGDNEYNNDNSKNDNIAVVANEGQEAAASNSGDSEEGNGDNHGDKKSEMMESKSKGFEGKSVIKAEEKEKVDDGKKLMTLKEYEESLLEKKKPVEAMKKDGGRKVTANKEFGSMQMIGKKKEEKTSETKRKDTCHSLEEERVIRKSTSLNEFLKPAEGIREKNEQQYGDRSYGQRPYGRGNYGQGNNGRQEGQMPLYGGYRPYEDYGPQIEDLSQFPVLGRNRTA >EOY03670 pep chromosome:Theobroma_cacao_20110822:4:17728083:17729181:-1 gene:TCM_018760 transcript:EOY03670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTVERRSEKGEKCKEEERILESNHGEKRVKIREESSKGTRRNLTKRRQIRDGVREQLDYKVNFEYELGEMICQKVKI >EOY06271 pep chromosome:Theobroma_cacao_20110822:4:31468579:31470370:-1 gene:TCM_021058 transcript:EOY06271 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MPRPNLSQDIIEEILSRLPVKSLLRFKCVSKSWKSLISSPSFARMQLERASGTSVVITSTPSRLNLVDYETSFCEVPLDFPPKRQDRRVRIMGSCHGLVAVALEKDKVFLWNPSTGDYKKLPDPCFPSSGLLCFYGFGYDSSTDDYKLLLGAQTSAWHPISYTFCKEVTVSIFSLRNNSWRMIQFPSANSSIFPFLNLSGSLVNGALHWLKGKNVTVEIQAFDLKTERFSIVPIPDKPQTSLHYLKIGVLGGRLCLTFYNHNFCLNEPPSHHPLEIWVMKDYGVKESWSKFLTVGEKPIIWLMPLCISKGNEVISINEKKDLIRSDAEEAISEKFRICKCDAEIFGGFHLCHAAVCARSLLSPNVQGRNRKRKNMTRGIYP >EOY06886 pep chromosome:Theobroma_cacao_20110822:4:33241926:33243982:1 gene:TCM_021472 transcript:EOY06886 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein MANQISTNPDELARSISEAAPSHYTLKIQSFSLLTKNDIDKYESGEFEAGGYKWKLILHPGGNKSRNVKEHLSFYLTLADASFLFRNNWEVHAYFRLFLLDQRSDNYLIVHDATGKARRFHRLKYQWGFDQFIPIRTFNDVSNGYLLDDSCVFGAEVFVTKETSSGKGECLSMVKDAISSKHVWKIENFSILESEYHESQEFFAGDQKWKIQLYPKGRRHGSGTHISLYLTLADSATLTAASKIFAEFTLRIQDQQQSRHIAGKVSHWFSASSPESGWAKFASLVYFHHSGTGCLVKDICIVEAEVTVNAIANSL >EOY03830 pep chromosome:Theobroma_cacao_20110822:4:19651240:19656325:-1 gene:TCM_019018 transcript:EOY03830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 isoform 1 MVHKGDLVAIGISVGLALGILLASLVFFGLRWYKKRANLRRCSNERSVTVLPIRTNGLGTSTDFSASLSNPIAVQGPEYHQKSSPNSWWSLHSKDHFASASGLPKYSYKDVQKATQTFTTILGEGSFGPVYKATMPTGGVVAVKVLASNSHQGEKEFHTEVCLLGRLHHRNLVNLVGYCVDKGQRMLIYEFMSNGSLANILYSEGQSLSWEERLQIGLDISHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSKEEVYDGRNSGLKGTYGYIDPEYISTNKFTMKSDIYSFGVIIFELITAIHPHQNLMEYVNLATMSPDGVDEILDNQLVGKCKIEEVRELAKIAHKCLHNSPRKRPSIGEVTQAILKIKQRRLAKEDTMSLAEGDFSRIMSRIQDQHIELTKLASLKETS >EOY03829 pep chromosome:Theobroma_cacao_20110822:4:19651879:19655080:-1 gene:TCM_019018 transcript:EOY03829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 isoform 1 MVHKGDLVAIGISVGLALGILLASLVFFGLRWYKKRANLRRCSNERSVTVLPIRTNGLGTSTDFSASLSNPIAVQGPEYHQKSSPNSWWSLHSKDHFASASGLPKYSYKDVQKATQTFTTILGEGSFGPVYKATMPTGGVVAVKVLASNSHQGEKEFHTEVCLLGRLHHRNLVNLVGYCVDKGQRMLIYEFMSNGSLANILYSEGQSLSWEERLQIGLDISHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSKEEVYDGRNSGLKGTYGYIDPEYISTNKFTMKSDIYSFGVIIFELITAIHPHQNLMEYVNLATMSPDGVDEILDNQLVGKCKIEEVRELAKIAHKCLHNSPRKRPSIGEVTQAILKIKQRRLAKEDTMSLAEGDFSRIMSRIQDQHIELTKLASLKETS >EOY03831 pep chromosome:Theobroma_cacao_20110822:4:19651288:19656328:-1 gene:TCM_019018 transcript:EOY03831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 isoform 1 MVHKGDLVAIGISVGLALGILLASLVFFGLRWYKKRANLRRCSNERSVTVLPIRTNGLGTSTDFSASLSNPIAVQGPEYHQKSSPNSWWSLHSKDHFASASGLPKYSYKDVQKATQTFTTILGEGSFGPVYKATMPTGGVVAVKVLASNSHQGEKEFHTEVCLLGRLHHRNLVNLVGYCVDKGQRMLIYEFMSNGSLANILYSEGQSLSWEATMSPDGVDEILDNQLVGKCKIEEVRELAKIAHKCLHNSPRKRPSIGEVTQAILKIKQRRLAKEDTMSLAEGDFSRIMSRIQDQHIELTKLASLKETS >EOY06416 pep chromosome:Theobroma_cacao_20110822:4:31852988:31856091:1 gene:TCM_021142 transcript:EOY06416 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein, putative MSTVHHLAINVVEFPLQNSSLSIRKSLALSFHQLFPPKFSFSPTIFLSLYLKLVSLLPITLIVLLHSLIYLFFVNMESQNNGKVSAVDGVGSKREVATPRKVNSCDLILRVLALLLTLVAAIVLGVNKQTKVVPIQIAPTLPPLNIEAQARWHYLSAFVYAMVSNIIACSYAAISILMVMGTRNAKKGLAQTVILLLDLVMVALLFSANGAAFAIGLMGYKGNSHVRWNKVCNVFDKFCNQVAVFVVLSMLGSVAFMLLVALAAVTLQKRCK >EOY05116 pep chromosome:Theobroma_cacao_20110822:4:27262693:27270940:1 gene:TCM_020205 transcript:EOY05116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MSNHLHHLLLPLLLLSAVLAAADDGATILKIASSFSTLPSGWSSTSSDNYCSWPGVNCDKSSRVTSINLSSKSLSGSISPDISTLSELRSISLQRNSLSGAIPSFANLSNLQSIYLDSNAFTSVSPGAFSGLTSLQTLSMSENVKLSPWTFPGLSQSTSLVELQLDNTNLYGTLPDFFESMNSLQSIRLSYNSLNGTLPASLGGSMIQNLWINNQNGGFTGTIEVLSNMTQLSQVWLHKNMFTGPIPDLSKCTGIFDLQLRDNQLTGPVPESLINLPNLKNVSLSNNKLQGPLPKFPSSVEKVTVNGTNNFCTNNGDPCDPQVSTMLEIASGFGYPVFLSDDWAGNDTCGWSFVTCDSQRNVITVNLGRKDLAGTISPAFGNLTALKNLNLNDNKLTGSIPDSLAKLSSLQLLDVSNNNLSGDIPKFSSSVKFVSSGNSLLGKSSGSGDGGTSNSGASGGGSDGNSKSGGNGKNSIALIVGVVVGVLIFVAVVCFVSYKYIVKKRYGKFGKMEDSDAEKGVVNNGAMGGGVNGYGGVPGELQSQSSGDHSDRHFFEGGNVVISMQVLRQVTDNFSEANILGRGGFGVVYKGELHDGTQIAVKRMECVATGTKGMNEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVYEYMPKGTLGQHLFEWQENGYAPLTWKQRVTIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPEGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMEIITGRKALDETLPDEKSHLVTWFRRVLINKDNIPKVVDETINCDEETMASIFKVAELAGHCTAREPYQRPDMGHAVNVLGPLVEQWKPTSHEDEENSGIDLHMSLPQALRRWQADEGTSTMYGDISYSDTQSSIPAKPSGFPDSFRSSDCR >EOY05117 pep chromosome:Theobroma_cacao_20110822:4:27266171:27270072:1 gene:TCM_020205 transcript:EOY05117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MSNHLHHLLLPLLLLSAVLAAADDGATILKIASSFSTLPSGWSSTSSDNYCSWPGVNCDKSSRVTSINLSSKSLSGSISPDISTLSELRSISLQRNSLSGAIPSFANLSNLQSIYLDSNAFTSVSPGAFSGLTSLQTLSMSENVKLSPWTFPGLSQSTSLVELQLDNTNLYGTLPDFFESMNSLQSIRLSYNSLNGTLPASLGGSMIQNLWINNQNGGFTGTIEVLSNMTQLSQVWLHKNMFTGPIPDLSKCTGIFDLQLRDNQLTGPVPESLINLPNLKNVSLSNNKLQGPLPKFPSSVEKVTVNGTNNFCTNNGDPCDPQVSTMLEIASGFGYPVFLSDDWAGNDTCGWSFVTCDSQRNVITVNLGRKDLAGTISPAFGNLTALKNLNLNDNKLTGSIPDSLAKLSSLQLLDVSNNNLSGDIPKFSSSVKFVSSGNSLLGKSSGSGDGGTSNSGASGGGSDGNSKSGGNGKNSIALIVGVVVGVLIFVAVVCFVSYKYIVKKRYGKFGKMEDSDAEKGVVNNGAMGGGVNGYGGVPGELQSQSSGDHSDRHFFEGGNVVISMQVLRQVTDNFSEANILGRGGFGVVYKGELHDGTQIAVKRMECVATGTKGMNEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVYEYMPKGTLGQHLFEWQENGYAPLTWKQRVTIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPEGKYSVETRLAGTFGYLAPEYAGS >EOY03835 pep chromosome:Theobroma_cacao_20110822:4:19701717:19707073:1 gene:TCM_019025 transcript:EOY03835 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase 7, putative MSNLISSFLFAFLHFFVLIRSKPLAPALYVFGDSLFDSGNNNHLPTLAKANYPPYGQNFVQHFTGRFTNGRTLPDFIAELLELPYPPPYLSINESITLSGLNYASSACGILPETGSQLGKCLSLGQQIDFFQSTVESKLPSHFKSSKELSNYLAKSIFMLTMGSNDYIQSYFEPTLFSTSPDYDPQTYAQLLIDALSKHLERLYELGARKLIMLEIPPLGCIPHYTRRYELTGKCHEETNQIVSFFNSRLHPMLKNLTSTLRGGVFILAPINSLVYDLITNPSKYGFTDTSNPCCTTWANGTLSCIPFLEPCPNPNAHFFWDGYHNTETGNSIAASLCFNDPEFCSPISIRELLQI >EOY03685 pep chromosome:Theobroma_cacao_20110822:4:17807744:17811290:-1 gene:TCM_018774 transcript:EOY03685 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI five binding protein 3, putative MAKAVEIGKKETQQNISMQLANLPRDLLQRFMSSSNRFSHKSHVEEENGEEEEEIELSLGLSLNGRFGVDPSAKKLTRSSSIPDFINNSTRKDTNESSSSMFPMACGSLARTCSLPTETQEEWRKRKELQSLRRMEAKRKRSEKQKNLKAARDRNRGGFGEENCAEDKREEAGNGASWVNGGRGPCEGVAASQGSIGSQGSGSSGISELDSQSAQGTNKCAEARSPASEQSAVETEQKPMIIPGRILSEKSEKLAGVATENKPSQPAVAEKRFKEVVRNILEDMPCVSTTGDGPNGKRIEGFLYRYRKGEEVRIVCVCHGSFLSPAEFVKHAGGGDVAQPLKHIVVNPSFLF >EOY04095 pep chromosome:Theobroma_cacao_20110822:4:22027665:22029774:-1 gene:TCM_019362 transcript:EOY04095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKRKMEMKVIENEKARKRVFEKRRNNLLKKAKELSILCDIKILVIIFELGIPKAQIWPDNDEEATQIINRFKQQPVKGDSKKAYYDSFASKKKNFGEKVPISEYKTMINHFSENQLQNLCYELDAKIAAMMNAINSKQDLTEGPMPKMLAAECQGKGFLNKGRGKEVDIYQEPIPIQQDQSFEMLYHKPNFMESPMMMQNDISYPQFDGSTSSSNIPYVPLPIHHVDPSWMQPAYMSYYDPTIHHIPPGIYYPVMPSFPSLTNESG >EOY04976 pep chromosome:Theobroma_cacao_20110822:4:26693656:26698794:-1 gene:TCM_020108 transcript:EOY04976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol transporter 1 isoform 2 MTIESLPGSSGYLDLFPERRMTYFSNPYVLGLTVVAGIGGLLFGYDTGVISGALLYIKDDFEVVNQSSFLQETIVSMALVGAMVGAASGGWINDAYGRKKATLLADVVFTAGAIVMAIAPDPYVLILGRFLVGLGVGIASVTAPVYIAEASPSEVRGGLVSTNVLMITGGQFISYLVNLAFTEMRKLKP >EOY04975 pep chromosome:Theobroma_cacao_20110822:4:26693001:26698821:-1 gene:TCM_020108 transcript:EOY04975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol transporter 1 isoform 2 MTIESLPGSSGYLDLFPERRMTYFSNPYVLGLTVVAGIGGLLFGYDTGVISGALLYIKDDFEVVNQSSFLQETIVSMALVGAMVGAASGGWINDAYGRKKATLLADVVFTAGAIVMAIAPDPYVLILGRFLVGLGVGIASVTAPVYIAEASPSEVRGGLVSTNVLMITGGQFISYLVNLAFTEVPGTWRWMLGVSAVPAVIQFFFMLCLPESPRWLFMKNEKAKAIAVLSKIYDIARLEDEVDHLSAALEEERQKKRTVRYLDVFKTKEIRLAFVAGAGLQAFQQFTGINTVMYYSPTIVQMAGFKSNQLALLLSLVVAAMNAAGTIVGIYLIDHVGRKKLALSSLSGVIASLVILAGAFFAESSGSSNGLYGWLAVIGLALYIAFFSPGMGPVPWTVNSEAYPEQYRGICGGMSATVNWISNLIVAQTFLTIAEAVGTGATFLILAGIAVLAVAFVIVFVPETKGLTFVEVEQIWKERAWGSGYNTDSLLEQGNES >EOY03322 pep chromosome:Theobroma_cacao_20110822:4:12471991:12488125:1 gene:TCM_018229 transcript:EOY03322 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS (ERD1/XPR1/SYG1) family protein, putative MKFGKELAAQMVPEWQAAYMDYSSLKNFLKEIHALKQKSRQADAPTSLQQALTLYRGFSGLIQRQNQRATSFVDHDIENQAILVDSMQGNGSGKYVTTFLMAAEEGAEYEHEFFRMLDSELSKVDKFYRSKVKEVVAEAEILTKQMDAFIAFRIKAEKVEKKFDVSKMIPTNAVQGGRAGSNKHNDAKQSNDSLQEIDHEDNPKNPAASIRLASQEVLNRVRLNRDIQVPFPAAKVNCVSMNKQTQMNFTKDNLTKIQKQLKQAFIEYYYKLRLLKNYSFLNVLAFSKIMKKYDKITSRRAAKHYMRTVDDSYIGSADEVTKLMERVEATFIKHFSNSNRSKGMNNLRPKSKKERHIISFCTGFFVGCTAALTLALILIIHARDILNKEGRAQYMESMFPLYSLFGFVVLHMLVYTGNLYLWRRCRINYTFIFGFKQGTGLGFREVLLFSFGLATLALTSVISNLDMEMDPKTGDYKALTELLPLALVMLVMILLLCPFNILYRSSRFFLLTTLFHCICAPLYKVRFQDFFLADQLTSEVQAFRSLEYYICHYGWGDYKLRQNTCKSNDVFNTFYFIIAVIPYWSRLLQCVRRFYDEKDPMQGYNGLKYFLTIVAVCTRTAYGLDRGDSWKTLAWTFSAMAAIFGTYWDLVIDWGLLQRKSRNRWLRDKLLVPYKSVYFAAMVLNVLLRFAWLQTVLNFHLSLHRETLTAIVASLEIIRRGIWNFFRLENEHLNNVGKFRAFKSVPLPFNYDEDEDRSD >EOY05934 pep chromosome:Theobroma_cacao_20110822:4:30289254:30292236:-1 gene:TCM_020800 transcript:EOY05934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MLLKRATSSNNAAQKTTTKTQLSLSSLFSENPPQISSSNEEKTTVLGPNKFEYYIRHQCKSGKINLYDALSYFEKLIKVKPFPFVDTFNHVLSSVLKLRCNSDVISMYRELNYRGIKPNLCTLNILLTSCCHLRQINFGFCVLGDIIRRGFEPDLVTVDRLLKGLCMEGKALEAVQVFDKINERGFQGDVFTYGILITGLCKIHETNFALKLYRKMAERNCGGTLVIYSMIIDSLCKDRLVDEGVNMFFEMVSKGINPDVVVYSSLISGLCSLGRLKEAVKFFDEMVGRGIAADLVMYNSLIHGYCQVGMWKEAMRIFNRMVEEGIFPDVVTFTTLIDCLCKEGKVGEAHKIFVLMRQQGKEPNLFTYNSLMSGLCMVGQLDEAAKLFGMLADQGVEPNAFSYNIMINGYCKSRKIDEAFQLFQEMHFQGCKPNAVTFNTLIGALCQAGRLRTAEKMYHEMHACGQSPIFSTYVVMLDGLCKNGHIDEAIALFHSLGSTKYKSSIELCSILIDGMCRTGRLEEAMNMFNEIPKKGLVPDVVTYNIMINGLCKKGMLLEADKLLIDMEEKGCLPNSISFNIIIQGCLQENNIHKAMNLLGEMRRRNFAPNEAVTSMLLHLAVEDSQCLAALESLPDALQKDVFSK >EOY06731 pep chromosome:Theobroma_cacao_20110822:4:32785269:32790617:1 gene:TCM_021371 transcript:EOY06731 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP citrate lyase subunit B 2 isoform 2 MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKQLIAYARSNNKVVIGPATVGGIQGGAFKIGDTAGTIDNIIQCKLYRPGSIGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQGKNQALREAGAIVPTSYEALEAAIKETFEKLVIFEGKITPVKEVKPPQIPEDLNSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVEGMKKKGIRVPGIGHRYRWGQQR >EOY06732 pep chromosome:Theobroma_cacao_20110822:4:32785269:32790061:1 gene:TCM_021371 transcript:EOY06732 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP citrate lyase subunit B 2 isoform 2 MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKQLIAYARSNNKVVIGPATVGGIQGGAFKIGDTAGTIDNIIQCKLYRPGSIGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQGKNQALREAGAIVPTSYEALEAAIKETFEKLVEEGKITPVKEVKPPQIPEDLNSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVEGMKKKGIRVPGIGHRIKRGDNRDKRVELLQRFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIG >EOY06730 pep chromosome:Theobroma_cacao_20110822:4:32785049:32791006:1 gene:TCM_021371 transcript:EOY06730 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP citrate lyase subunit B 2 isoform 2 MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKQLIAYARSNNKVVIGPATVGGIQGGAFKIGDTAGTIDNIIQCKLYRPGSIGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQGKNQALREAGAIVPTSYEALEAAIKETFEKLVEEGKITPVKEVKPPQIPEDLNSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVEGMKKKGIRVPGIGHRIKRGDNRDKRVELLQRFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >EOY02849 pep chromosome:Theobroma_cacao_20110822:4:2643601:2645431:1 gene:TCM_017259 transcript:EOY02849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Teosinte branched 1 MDPKGSKQPPQEVPNFLSLPPQPQQHHQHPQPQQQQQQPQNMGENKPAEIKDFQIVIADKEEGKKQQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGSGTIPASALAAAGGSVSQQGASLSAGLHQKMEDLGGSSIGSGSSRTSWAMVGGNLGRPHHVATGLWPPVSGYGFQSSSGPSTTNLGSESSNYLQKIGFPGFDLPATNMGQMSFTSILGGASQQLPGLELGLSQDGHIGLLNTQALSQIYQQMGQARVHQQQQQHQQQHQQQPPAKDDSQGSGQ >EOY05256 pep chromosome:Theobroma_cacao_20110822:4:27754099:27767278:-1 gene:TCM_020299 transcript:EOY05256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT domain-containing protein, putative isoform 4 MAGSRRKGGNKAKVKNLSLGDLVLAKVKGFPPWPAKISRPEDWEREPDPKKYFVQFFGTQEIAFVAPGDIQAFTSETKSKLSAKCQVRTKHFVQAVKEICVAFDELHEEKWSGLRDETDRSTPGCEASSVDGTEDDGAEVDLKNGTGAVAPGRETTSEGKGDLASNLERCSCRGEINSEDIKPSISGHADDCSFLIMSSEVKHKISNGEQPKTEVLFPSSLDEPSHIKEEFSGDKIATVNCTKKTLRDDQKSKKMASGFKKGTEVFVEGHKSSSSAATFLKDDKSGGSLDRHDSEEQPKDRVKGKVSGSSIRKFSPDAPKLDSNYTGGKKAKQLLKTKSNFKATDDVQDAVTNSKGETTGKKKRGEPGIGKSKLGTDEILHPAKKSKFVDMKNDASKGSLAKNVKSNSPSSNNVNDKAAKQAELKKSTSHVLALRAPTAISSDVSGDEAVLPLSKRRRRALEAMSDSASINSNGKIGKNPVELKNETSSSNNMRVPATQLSKRRRAVCLFDDDEEEDPKTPVHGGSARNVKVTSVVSDASKSIDENHVSALTAQRSVGDSTRFENSGPKEASPQLANDFVSPVRPQTVERSEPEQLSSKEAKPVLISPRKSPHLVSATKSVVEQQRTIKSTVKVSTNETQKKALSGSVKGLGVITDGSKSSQNQALSQRNRQASSVERLKSTPKAISRANDTTFVTESSMELDVIREDRSSSLIDSKTPDSAMSMKHLIAAAQAKRRQAHSQQYSLGNPSSVSVSISDVQGASPSPAVQPFPSAINNVMQADVQGFAHRTNVVSPTLGRQSAQNQQDAEDIEERRASSGHMAAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLESEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQTALPRLLGAAAPPGASARENRRQCLKVLRLWLERKIFPESILRRYMDDIGVSNDDTISGFSLRRPSRAERAIDDPIREMEGMLVDEYGSNATFQLPGFLTSNAFEDEEEEDLSSSPCREAADASPLEQAHALGESETCTVTPSDRRHCILEDVDGELEMEDVSGHPKDDRPSFINDSLETDLQHSTDRIMEPATNSSNEFPPLPEGSPPLPPDSPPPPPPLPPSPPPPPPPPPPSSPSPPPPPPPLPTQPPPPPVPPSCPPPPFVPQPPPPVPTQPSLLSQPMLPPQSSIQSSPQLAYQPPVPHEFRGTPNGNQIVQMAGNTSHGGHIDAAMKSELFPQQSPCFPTGVCNSREPSGYNSSRPLEYGHNEMYLNAQSSQPSQQFQPGNTGFVQRPLHPSLPQTSSSHFSFTKPAMPPHPQHSYPPQYPLPSQHDGRRPFLADEQWRMPPAGEYNTDNQRGGWIAGRNPSPAGPLFVQEGYFRPPVERPPSNNMGFPITSTNNLPAGAPNSGHGVSQMMPCRPDSSAINCWRPA >EOY05259 pep chromosome:Theobroma_cacao_20110822:4:27754099:27767278:-1 gene:TCM_020299 transcript:EOY05259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT domain-containing protein, putative isoform 4 MAGSRRKGGNKAKVKNLSLGDLVLAKVKGFPPWPAKISRPEDWEREPDPKKYFVQFFGTQEIAFVAPGDIQAFTSETKSKLSAKCQVRTKHFVQAVKEICVAFDELHEEKWSGLRDETDRSTPGCEASSVDGTEDDGAEVDLKNGTGAVAPGRETTSEGKGDLASNLERCSCRGEINSEDIKPSISGHADDCSFLIMSSEVKHKISNGEQPKTEVLFPSSLDEPSHIKEEFSGDKIATVNCTKKTLRDDQKSKKMASGFKKGTEVFVEGHKSSSSAATFLKDDKSGGSLDRHDSEEQPKDRVKGKVSGSSIRKFSPDAPKLDSNYTGGKKAKQLLKTKSNFKATDDVQDAVTNSKGETTGKKKRGEPGIGKSKLGTDEILHPAKKSKFVDMKNDASKGSLAKNVKSNSPSSNNVNDKAAKQAELKKSTSHVLALRAPTAISSDVSGDEAVLPLSKRRRRALEAMSDSASINSNGKIGKNPVELKNETSSSNNMRVPATQLSKRRRAVCLFDDDEEEDPKTPVHGGSARNVKVTSVVSDASKSIDENHVSALTAQRSVGDSTRFENSGPKEASPQLANDFVSPVRPQTVERSEPEQLSSKEAKPVLISPRKSPHLVSATKSVVEQQRTIKSTVKVSTNETQKKALSGSVKGLGVITDGSKSSQNQALSQRNRQASSVERLKSTPKAISRANDTTFVTESSMELDVIREDRSSSLIDSKTPDSAMSMKHLIAAAQAKRRQAHSQQYSLGNPSSVSVSISDVQGASPSPAVQPFPSAINNVMQADVQGFAHRTNVVSPTLGRQSAQNQQDAEDIEERRASSGHMAAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLESEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQTALPRLLGAAAPPGASARENRRQCLKVLRLWLERKIFPESILRRYMDDIGVSNDDTISGFSLRRPSRAERAIDDPIREMEGMLVDEYGSNATFQLPGFLTSNAFEDEEEEDLSSSPCREAADASPLEQAHALGESETCTVTPSDRRHCILEDVDGELEMEDVSGHPKDDRPSFINDSLETDLQHSTDRIMEPATNSSNEFPPLPEGSPPLPPDSPPPPPPLPPSPPPPPPPPPPSSPSPPPPPPPLPTQPPPPPVPPSCPPPPFVPQPPPPVPTQPSLLSQPMLPPQSSIQSSPQLAYQPPVPHEFRGTPNGNQIVQMAGNTSHGGHIDAAMKSELFPQQSPCFPTGVCNSREPSGYNSSRPLEYGHNEMYLNAQSSQPSQQFQPGNTGFVQRPLHPSLPQTSSSHFSFTKPAMPPHPQHSYPPQYPLPSQHDGRRPFLADEQWRMPPAGEYNTDNQRGGWIAGRNPSPAGPLFVQEGYFRPPVERPPSNNMGFPITSTNNLPAGAPNSGHGVSQMMPCRPDSSAINCWRPA >EOY05261 pep chromosome:Theobroma_cacao_20110822:4:27757148:27767278:-1 gene:TCM_020299 transcript:EOY05261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT domain-containing protein, putative isoform 4 MAGSRRKGGNKAKVKNLSLGDLVLAKVKGFPPWPAKISRPEDWEREPDPKKYFVQFFGTQEIAFVAPGDIQAFTSETKSKLSAKCQVRTKHFVQAVKEICVAFDELHEEKWSGLRDETDRSTPGCEASSVDGTEDDGAEVDLKNGTGAVAPGRETTSEGKGDLASNLERCSCRGEINSEDIKPSISGHADDCSFLIMSSEVKHKISNGEQPKTEVLFPSSLDEPSHIKEEFSGDKIATVNCTKKTLRDDQKSKKMASGFKKGTEVFVEGHKSSSSAATFLKDDKSGGSLDRHDSEEQPKDRVKGKVSGSSIRKFSPDAPKLDSNYTGGKKAKQLLKTKSNFKATDDVQDAVTNSKGETTGKKKRGEPGIGKSKLGTDEILHPAKKSKFVDMKNDASKGSLAKNVKSNSPSSNNVNDKAAKQAELKKSTSHVLALRAPTAISSDVSGDEAVLPLSKRRRRALEAMSDSASINSNGKIGKNPVELKNETSSSNNMRVPATQLSKRRRAVCLFDDDEEEDPKTPVHGGSARNVKVTSVVSDASKSIDENHVSALTAQRSVGDSTRFENSGPKEASPQLANDFVSPVRPQTVERSEPEQLSSKEAKPVLISPRKSPHLVSATKSVVEQQRTIKSTVKVSTNETQKKALSGSVKGLGVITDGSKSSQNQALSQRNRQASSVERLKSTPKAISRANDTTFVTESSMELDVIREDRSSSLIDSKTPDSAMSMKHLIAAAQAKRRQAHSQQYSLGNPSSVSVSISDVQGASPSPAVQPFPSAINNVMQADVQGFAHRTNVVSPTLGRQSAQNQQDAEDIEERRASSGHMAAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLESEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQTALPRLLGAAAPPGASARENRRQCLKVLRLWLERKIFPESILRRYMDDIGVSNDDTISGFSLRRPSRAERAIDDPIREMEGMLVDEYGSNATFQLPGFLTSNAFEDEEEEDLSSSPCREAADASPLEQAHALGESETCTVTPSDRRHCILEDVDGELEMEDVSGHPKDDRPSFINDSLETDLQHSTDRIMEPATNSSNEFPPLPEGSPPLPPDSPPPPPPLPPSPPPPPPPPPPSSPSPPPPPPPLPTQPPPPPVPPSCPPPPFVPQPPPPVPTQPSLLSQPMLPPQSSIQSSPQLAYQPPVPHEFRGTPNGNQIVQMAGNTSHGGHIDAAMKSELFPQQSPCFPTGVCNSREPSGYNSSRPLEYGHNEMYLNAQSSQPSQQFQPGNTGFVQRPLHPSLPQTSSSHFSFTKPAMPPHPQHSYPPQYPLPSQHDGRRPFLADEQWRMPPAGEYNTDNQRGGWIAGRNPSPAGPLFVQEVVAYNEALPVWQHC >EOY05258 pep chromosome:Theobroma_cacao_20110822:4:27751354:27766870:-1 gene:TCM_020299 transcript:EOY05258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT domain-containing protein, putative isoform 4 MAGSRRKGGNKAKVKNLSLGDLVLAKVKGFPPWPAKISRPEDWEREPDPKKYFVQFFGTQEIAFVAPGDIQAFTSETKSKLSAKCQVRTKHFVQAVKEICVAFDELHEEKWSGLRDETDRSTPGCEASSVDGTEDDGAEVDLKNGTGAVAPGRETTSEGKGDLASNLERCSCRGEINSEDIKPSISGHADDCSFLIMSSEVKHKISNGEQPKTEVLFPSSLDEPSHIKEEFSGDKIATVNCTKKTLRDDQKSKKMASGFKKGTEVFVEGHKSSSSAATFLKDDKSGGSLDRHDSEEQPKDRVKGKVSGSSIRKFSPDAPKLDSNYTGGKKAKQLLKTKSNFKATDDVQDAVTNSKGETTGKKKRGEPGIGKSKLGTDEILHPAKKSKFVDMKNDASKGSLAKNVKSNSPSSNNVNDKAAKQAELKKSTSHVLALRAPTAISSDVSGDEAVLPLSKRRRRALEAMSDSASINSNGKIGKNPVELKNETSSSNNMRVPATQLSKRRRAVCLFDDDEEEDPKTPVHGGSARNVKVTSVVSDASKSIDENHVSALTAQRSVGDSTRFENSGPKEASPQLANDFVSPVRPQTVERSEPEQLSSKEAKPVLISPRKSPHLVSATKSVVEQQRTIKSTVKVSTNETQKKALSGSVKGLGVITDGSKSSQNQALSQRNRQASSVERLKSTPKAISRANDTTFVTESSMELDVIREDRSSSLIDSKTPDSAMSMKHLIAAAQAKRRQAHSQQYSLGNPSSVSVSISDVQGASPSPAVQPFPSAINNVMQADVQGFAHRTNVVSPTLGRQSAQNQQDAEDIEERRASSGHMAAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLESEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQTALPRLLGAAAPPGASARENRRQCLKVLRLWLERKIFPESILRRYMDDIGVSNDDTISGFSLRRPSRAERAIDDPIREMEGMLVDEYGSNATFQLPGFLTSNAFEDEEEEDLSSSPCREAADASPLEQAHALGESETCTVTPSDRRHCILEDVDGELEMEDVSGHPKDDRPSFINDSLETDLQHSTDRIMEPATNSSNEFPPLPEGSPPLPPDSPPPPPPLPPSPPPPPPPPPPSSPSPPPPPPPLPTQPPPPPVPPSCPPPPFVPQPPPPVPTQPSLLSQPMLPPQSSIQSSPQLAYQPPVPHEFRGTPNGNQIVQMAGNTSHGGHIDAAMKSELFPQQSPCFPTGVCNSREPSGYNSSRPLEYGHNEMYLNAQVTLDHLLKDHPRIIWVFRLLPPIIYQLELQIQVMVFRK >EOY05257 pep chromosome:Theobroma_cacao_20110822:4:27754099:27767278:-1 gene:TCM_020299 transcript:EOY05257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT domain-containing protein, putative isoform 4 MAGSRRKGGNKAKVKNLSLGDLVLAKVKGFPPWPAKISRPEDWEREPDPKKYFVQFFGTQEIAFVAPGDIQAFTSETKSKLSAKCQVRTKHFVQAVKEICVAFDELHEEKWSGLRDETDRSTPGCEASSVDGTEDDGAEVDLKNGTGAVAPGRETTSEGKGDLASNLERCSCRGEINSEDIKPSISGHADDCSFLIMSSEVKHKISNGEQPKTEVLFPSSLDEPSHIKEEFSGDKIATVNCTKKTLRDDQKSKKMASGFKKGTEVFVEGHKSSSSAATFLKDDKSGGSLDRHDSEEQPKDRVKGKVSGSSIRKFSPDAPKLDSNYTGGKKAKQLLKTKSNFKATDDVQDAVTNSKGETTGKKKRGEPGIGKSKLGTDEILHPAKKSKFVDMKNDASKGSLAKNVKSNSPSSNNVNDKAAKQAELKKSTSHVLALRAPTAISSDVSGDEAVLPLSKRRRRALEAMSDSASINSNGKIGKNPVELKNETSSSNNMRVPATQLSKRRRAVCLFDDDEEEDPKTPVHGGSARNVKVTSVVSDASKSIDENHVSALTAQRSVGDSTRFENSGPKEASPQLANDFVSPVRPQTVERSEPEQLSSKEAKPVLISPRKSPHLVSATKSVVEQQRTIKSTVKVSTNETQKKALSGSVKGLGVITDGSKSSQNQALSQRNRQASSVERLKSTPKAISRANDTTFVTESSMELDVIREDRSSSLIDSKTPDSAMSMKHLIAAAQAKRRQAHSQQYSLGNPSSVSVSISDVQGASPSPAVQPFPSAINNVMQADVQGFAHRTNVVSPTLGRQSAQNQQDAEDIEERRASSGHMAAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLESEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQTALPRLLGAAAPPGASARENRRQCLKVLRLWLERKIFPESILRRYMDDIGVSNDDTISGFSLRRPSRAERAIDDPIREMEGMLVDEYGSNATFQLPGFLTSNAFEDEEEEDLSSSPCREAADASPLEQAHALGESETCTVTPSDRRHCILEDVDGELEMEDVSGHPKDDRPSFINDSLETDLQHSTDRIMEPATNSSNEFPPLPEGSPPLPPDSPPPPPPLPPSPPPPPPPPPPSSPSPPPPPPPLPTQPPPPPVPPSCPPPPFVPQPPPPVPTQPSLLSQPMLPPQSSIQSSPQLAYQPPVPHEFRGTPNGNQIVQMAGNTSHGGHIDAAMKSELFPQQSPCFPTGVCNSREPSGYNSSRPLEYGHNEMYLNAQSSQPSQQFQPGNTGFVQRPLHPSLPQTSSSHFSFTKPAMPPHPQHSYPPQYPLPSQHDGRRPFLADEQWRMPPAGEYNTDNQRGGWIAGRNPSPAGPLFVQEGYFRPPVERPPSNNMGFPITSTNNLPAGAPNSGHGVSQMMPCRPDSSAINCWRPA >EOY05255 pep chromosome:Theobroma_cacao_20110822:4:27753914:27767182:-1 gene:TCM_020299 transcript:EOY05255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT domain-containing protein, putative isoform 4 MAGSRRKGGNKAKVKNLSLGDLVLAKVKGFPPWPAKISRPEDWEREPDPKKYFVQFFGTQEIAFVAPGDIQAFTSETKSKLSAKCQVRTKHFVQAVKEICVAFDELHEEKWSGLRDETDRSTPGCEASSVDGTEDDGAEVDLKNGTGAVAPGRETTSEGKGDLASNLERCSCRGEINSEDIKPSISGHADDCSFLIMSSEVKHKISNGEQPKTEVLFPSSLDEPSHIKEEFSGDKIATVNCTKKTLRDDQKSKKMASGFKKGTEVFVEGHKSSSSAATFLKDDKSGGSLDRHDSEEQPKDRVKGKVSGSSIRKFSPDAPKLDSNYTGGKKAKQLLKTKSNFKATDDVQDAVTNSKGETTGKKKRGEPGIGKSKLGTDEILHPAKKSKFVDMKNDASKGSLAKNVKSNSPSSNNVNDKAAKQAELKKSTSHVLALRAPTAISSDVSGDEAVLPLSKRRRRALEAMSDSASINSNGKIGKNPVELKNETSSSNNMRVPATQLSKRRRAVCLFDDDEEEDPKTPVHGGSARNVKVTSVVSDASKSIDENHVSALTAQRSVGDSTRFENSGPKEASPQLANDFVSPVRPQTVERSEPEQLSSKEAKPVLISPRKSPHLVSATKSVVEQQRTIKSTVKVSTNETQKKALSGSVKGLGVITDGSKSSQNQALSQRNRQASSVERLKSTPKAISRANDTTFVTESSMELDVIREDRSSSLIDSKTPDSAMSMKHLIAAAQAKRRQAHSQQYSLGNPSSVSVSISDVQGASPSPAVQPFPSAINNVMQADVQGFAHRTNVVSPTLGRQSAQNQQDAEDIEERRASSGHMAAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLESEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQTALPRLLGAAAPPGASARENRRFSLRRPSRAERAIDDPIREMEGMLVDEYGSNATFQLPGFLTSNAFEDEEEEDLSSSPCREAADASPLEQAHALGESETCTVTPSDRRHCILEDVDGELEMEDVSGHPKDDRPSFINDSLETDLQHSTDRIMEPATNSSNEFPPLPEGSPPLPPDSPPPPPPLPPSPPPPPPPPPPSSPSPPPPPPPLPTQPPPPPVPPSCPPPPFVPQPPPPVPTQPSLLSQPMLPPQSSIQSSPQLAYQPPVPHEFRGTPNGNQIVQMAGNTSHGGHIDAAMKSELFPQQSPCFPTGVCNSREPSGYNSSRPLEYGHNEMYLNAQSSQPSQQFQPGNTGFVQRPLHPSLPQTSSSHFSFTKPAMPPHPQHSYPPQYPLPSQHDGRRPFLADEQWRMPPAGEYNTDNQRGGWIAGRNPSPAGPLFVQEGYFRPPVERPPSNNMGFPITSTNNLPAGAPNSGHGVSQMMPCRPDSSAINCWRPA >EOY05260 pep chromosome:Theobroma_cacao_20110822:4:27754105:27763113:-1 gene:TCM_020299 transcript:EOY05260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT domain-containing protein, putative isoform 4 MSSEVKHKISNGEQPKTEVLFPSSLDEPSHIKEEFSGDKIATVNCTKKTLRDDQKSKKMASGFKKGTEVFVEGHKSSSSAATFLKDDKSGGSLDRHDSEEQPKDRVKGKVSGSSIRKFSPDAPKLDSNYTGGKKAKQLLKTKSNFKATDDVQDAVTNSKGETTGKKKRGEPGIGKSKLGTDEILHPAKKSKFVDMKNDASKGSLAKNVKSNSPSSNNVNDKAAKQAELKKSTSHVLALRAPTAISSDVSGDEAVLPLSKRRRRALEAMSDSASINSNGKIGKNPVELKNETSSSNNMRVPATQLSKRRRAVCLFDDDEEEDPKTPVHGGSARNVKVTSVVSDASKSIDENHVSALTAQRSVGDSTRFENSGPKEASPQLANDFVSPVRPQTVERSEPEQLSSKEAKPVLISPRKSPHLVSATKSVVEQQRTIKSTVKVSTNETQKKALSGSVKGLGVITDGSKSSQNQALSQRNRQASSVERLKSTPKAISRANDTTFVTESSMELDVIREDRSSSLIDSKTPDSAMSMKHLIAAAQAKRRQAHSQQYSLGNPSSVSVSISDVQGASPSPAVQPFPSAINNVMQADVQGFAHRTNVVSPTLGRQSAQNQQDAEDIEERRASSGHMAAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLESEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQTALPRLLGAAAPPGASARENRRQCLKVLRLWLERKIFPESILRRYMDDIGVSNDDTISGFSLRRPSRAERAIDDPIREMEGMLVDEYGSNATFQLPGFLTSNAFEDEEEEDLSSSPCREAADASPLEQAHALGESETCTVTPSDRRHCILEDVDGELEMEDVSGHPKDDRPSFINDSLETDLQHSTDRIMEPATNSSNEFPPLPEGSPPLPPDSPPPPPPLPPSPPPPPPPPPPSSPSPPPPPPPLPTQPPPPPVPPSCPPPPFVPQPPPPVPTQPSLLSQPMLPPQSSIQSSPQLAYQPPVPHEFRGTPNGNQIVQMAGNTSHGGHIDAAMKSELFPQQSPCFPTGVCNSREPSGYNSSRPLEYGHNEMYLNAQSSQPSQQFQPGNTGFVQRPLHPSLPQTSSSHFSFTKPAMPPHPQHSYPPQYPLPSQHDGRRPFLADEQWRMPPAGEYNTDNQRGGWIAGRNPSPAGPLFVQEGYFRPPVERPPSNNMGFPITSTNNLPAGAPNSGHGVSQMMPCRPDSSAINCWRPA >EOY05653 pep chromosome:Theobroma_cacao_20110822:4:29315396:29319071:-1 gene:TCM_020593 transcript:EOY05653 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MGEISCSNGINNGNGVNGKIHPLTGYRKSCWYEEEIEENLRWSFALNSILHTAATQYQDIALLDTKPFGKALVIDGKLQSAEVDEFIYHECLVHPALLHHSYAKSIFIMGGGEGSTAREILRHKTIEKLVMCDIDEEVVEFCKSYLVVNREAFCDSRMELIINDARAELENRKERYDVIIGDLADPIEGGPCYKLYTKSFYELTVKPRLNHGGIFVTQAGPAGIFSHTEVFSCIYNTLRQVFKYVVPYSAHIPSFADTWGWVMASDSPLELSPEELDLRMKHRIKGENRYLDGKTFSSASTLSKAVRNSLDNETEVYTEGTARFIYGHGKHCQE >EOY04508 pep chromosome:Theobroma_cacao_20110822:4:24737036:24781021:-1 gene:TCM_019752 transcript:EOY04508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MASHTNFLLHAFVWLALATTVFSLSPKFYDKVCPQALPAIKKIVQAAVHRERRMGASLLRLHFHDCFVNGCDGSLLLDSTSTMDSEKNARGNFNSVRGFEVVDQIKAEVDRVCGRPVVSCADILAVAARDSVVALGGPSWKVRLGRRDSTTASRTLADTVLPSASMDLPALINNFKNQGLNQRDLVALSGGHTIGLSQCSIFRNRIYNATNIDPAFAKERRATCPLVGGNTNLAPLDPTPARFDTAYFKNLVKKRGLLTSDQALFNGGSTDKLVKFYSLNPDAFWDDFAKSMIKTGNIKPLTGKQGQIRVNCRKIQLKHDFQS >EOY05642 pep chromosome:Theobroma_cacao_20110822:4:29259067:29259974:-1 gene:TCM_020586 transcript:EOY05642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTITRNLGDPRKYRSNRSNSLFRFHSAPETRFPTNKNVRPGTEPGDSWLSISEPDLNRRWIDSTAGISGRELQSRSRNFRGCGPHNQLPI >EOY06697 pep chromosome:Theobroma_cacao_20110822:4:32694760:32695661:1 gene:TCM_021344 transcript:EOY06697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit F isoform 2 LQFNAPSTASLSAKVHPLVILNICDCYVRRPDQAERVIGTLLGSVLPDGTVDVCNSYAVPHTESSEQVALDIEYHHNMLVSHQKVNPKEVIVGWYSTGLGVRGGSALIHDFYSREVPNPVHLTVDTGFGNGEGTIKAYVSVNLALGDLQLAAQFQKIPLDLRMLEAERLGLDILKTTAVDKLPNDLEGMEVTMERLLALIDDVYKYVDDVVEGRVAADNSIVCRISCCYYTCQASSGHNSA >EOY06696 pep chromosome:Theobroma_cacao_20110822:4:32694558:32695867:1 gene:TCM_021344 transcript:EOY06696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit F isoform 2 MAASKHTVLQFNAPSTASLSAKVHPLVILNICDCYVRRPDQAERVIGTLLGSVLPDGTVDVCNSYAVPHTESSEQVALDIEYHHNMLVSHQKVNPKEVIVGWYSTGLGVRGGSALIHDFYSREVPNPVHLTVDTGFGNGEGTIKAYVSVNLALGDLQLAAQFQKIPLDLRMLEAERLGLDILKTTAVDKLPNDLEGMEVTMERLLALIDDVYKYVDDVVEGRVAADNSIGRFIADTVASLPKLSPPAFDKLVNESLQDQLLLLYLSSIIRTQLGLVEKLNTAADQIL >EOY04345 pep chromosome:Theobroma_cacao_20110822:4:24002567:24006980:1 gene:TCM_019628 transcript:EOY04345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylenetetrahydrofolate reductase family protein isoform 2 MATRLLSPKLLNDLRYFTRPLNSASSASITAVSPLNFDEKPEPAAAAIEKPPINQPSATFDLNDHQKLFDSVSTLKLLRSSANLALASIEPFVDFGMWVMNSRLMETSLIRDVILKTVKHTFFEHFCAGENTKEAGECVRKIHEAGLRGMLGYAVEHTSDNAGCERNLEAFLRSVEFAKSLPPSSVSFVIAKITAICPINLVRRVSDLLRWQYKDPSFNLPWKLNTFPIFSDSSPLYHTLQRPEPLTPQEELDFQLAHQRLLKLCQKCVEDNVALTIDAEDTSLQPAIDYLTYSSALMYNRDDNPIVYGTIQAYLKDAKERLFLAEKTAEKLGIPMGFKLVRGAYMTSERKLASSLGYDSPIHNSIQETHACYNDCASFMLERIADGHGAVVLATHNVESAGSIESTRFGDSEGKSKA >EOY04344 pep chromosome:Theobroma_cacao_20110822:4:24003037:24005775:1 gene:TCM_019628 transcript:EOY04344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylenetetrahydrofolate reductase family protein isoform 2 MATRLLSPKLLNDLRYFTRPLNSASSASITAVSPLNFDEKPEPAAAAIEKPPINQPSATFDLNDHQKLFDSVSTLKLLRSSANLALASIEPFVDFGMWVMNSRLMETSLIRDVILKTVKHTFFEHFCAGENTKEAGECVRKIHEAGLRGMLGYAVEHTSDNAGCERNLEAFLRSVEFAKSLPPSSVSFVIAKITAICPINLVRRVSDLLRWQYKDPSFNLPWKLNTFPIFSDSSPLYHTLQRPEPLTPQEELDFQLAHQRLLKLCQKCVEDNVALTIDAEDTSLQPAIDYLTYSSALMYNRDDNPIVYGTIQAYLKDAKERLFLAEKTAEKLGIPMGFKLVRGAYMTSERKLASSLGYDSPIHNSIQETHACYNDCASFMLERIADGHGAVVLATHNVESGTV >EOY04343 pep chromosome:Theobroma_cacao_20110822:4:24002567:24006980:1 gene:TCM_019628 transcript:EOY04343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylenetetrahydrofolate reductase family protein isoform 2 MATRLLSPKLLNDLRYFTRPLNSASSASITAVSPLNFDEKPEPAAAAIEKPPINQPSATFDLNDHQKLFDSVSTLKLLRSSANLALASIEPFVDFGMWVMNSRLMETSLIRDVILKTVKHTFFEHFCAGENTKEAGECVRKIHEAGLRGMLGYAVEHTSDNAGCERNLEAFLRSVEFAKSLPPSSVSFVIAKITAICPINLVRRVSDLLRWQYKDPSFNLPWKLNTFPIFSDSSPLYHTLQRPEPLTPQEELDFQLAHQRLLKLCQKCVEDNVALTIDAEDTSLQPAIDYLTYSSALMYNRDDNPIVYGTIQAYLKDAKERLFLAEKTAEKLGIPMGFKLVRGAYMTSERKLASSLGYDSPIHNSIQETHACYNDCASFMLERIADGHGAVVLATHNVESGQLAASKARDLGIQKGNQKLEFAQLYGMSEALSFGLRNAGFQVSKYLPYGPVDMVMPYLLRRAEENRGLLSTSSLDRELMGKELKRRLKNLQFSKPEITAPRSIKVEIGTQ >EOY03468 pep chromosome:Theobroma_cacao_20110822:4:16191392:16194161:-1 gene:TCM_018558 transcript:EOY03468 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOD26-like intrinsic protein 4,2 MATTDHRNEIVPVDQTPTPQRPDIVRNSFLQHYPPDFPRKVFAEVIATYLLVFVTCGSAAVSSTDENKISRLGASVAGGLIVTVMIYAVGHVSGAHMNPAVTVAFAAVRHFPWKQVPFYAAAQFTGSISASFTLRVLLHPIKLLGTTSPSGSDVQALVMEMVVTFSMMFVTSAVATDTKAVGELAGMAVGSAVCITSILAGPISGGSMNPARTIGPALASAHYKAIWVYVVGPVTGTLMGAWSYSRIRATDKPGQAISPQSSSFKLRRMRGQDGELPNKDPFDDL >EOY04614 pep chromosome:Theobroma_cacao_20110822:4:25226327:25232768:-1 gene:TCM_019832 transcript:EOY04614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 6 MEGGGPPQPADTEMTDAAQPPHSQQPQQSDPQHQQQPPQMENIPATLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETNEHVAVKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIIPPPQRESFNDVYIAYELMDTDLHQIIRSNQALSEEHCQASILDVLPNLYHLNGAFARRSVFEYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSESDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEAELGFLNENAKRYIRQLPLYRRQSFTEKFPSVHPLAIDLVEKMLTFDPRQRITVEAALAHPYLTSLHDISDEPVCMTPFSFDFEQHALTEEQMKELIYREALAFNPEYMQQ >EOY03179 pep chromosome:Theobroma_cacao_20110822:4:7001501:7002643:-1 gene:TCM_017764 transcript:EOY03179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPAELKELKDQLEDFLDKGFIHPSVSPWGAQVLFVKKKYESLRLYIDYRQLSKVTVKNKYPFSRIDDLFDQLQGAQCFSQTDLRSWYHQLRIQNEDVPKAAFRTRYGHYEFFVMSFGLMNALATFMDLMNRVFKPYLDKFVVVFIDDSLIYSRRREEHNILR >EOY04152 pep chromosome:Theobroma_cacao_20110822:4:22296070:22305920:-1 gene:TCM_019409 transcript:EOY04152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid hexose transporter isoform 2 MQASTHLIEGNLGFDLSKRRELPCCGEVRERNLTLNRNLCIGSGSICSGLRSGAVSMGAELARARNGIETVVRSSVKSRSVKAQASAAGGDVEDLTPINPQGKSSGIVLPFVGVACLGAILFGYHLGVVNGALEYLSKDLGIAENTVLQGWVVSTLLAGATVGSFTGGALADKFGRTRTFQLDAIPLIIGAVLSSTAQTIQTMIIGRLLAGIGIGISSAIVPLYISEISPTEIRGALGSVNQLFICIGILAALVAGLPLAGNPLWWRTMFGIAAVPSILLALGMAFSPESPRWLFQQGKIPEAEKSIRTLYGKERVAEVMYELRTAGQGSTEQEARWFDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGTAIASSLMDRQGRKSLLITSFAGMAASMLVLSLSFTWKVLAPYSGTLAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWISNFVIGLYFLSVVNKFGISSVYLGFAGVCLLAVLYIAGNVVETKGRSLEEIELALNPVT >EOY04153 pep chromosome:Theobroma_cacao_20110822:4:22295865:22305532:-1 gene:TCM_019409 transcript:EOY04153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid hexose transporter isoform 2 MQASTHLIEGNLGFDLSKRRELPCCGEVRERNLTLNRNLCIGSGSICSGLRSGAVSMGAELARARNGIETVVRSSVKSRSVKAQASGGDVEDLTPINPQGKSSGIVLPFVGVACLGAILFGYHLGVVNGALEYLSKDLGIAENTVLQGWVVSTLLAGATVGSFTGGALADKFGRTRTFQLDAIPLIIGAVLSSTAQTIQTMIIGRLLAGIGIGISSAIVPLYISEISPTEIRGALGSVNQLFICIGILAALVAGLPLAGNPLWWRTMFGIAAVPSILLALGMAFSPESPRWLFQQGKIPEAEKSIRTLYGKERVAEVMYELRTAGQGSTEQEARWFDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGTAIASSLMDRQGRKSLLITSFAGMAASMLVLSLSFTWKVLAPYSGTLAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWISNFVIGLYFLSVVNKFGISSVYLGFAGVCLLAVLYIAGNVVETKGRSLEEIELALNPVT >EOY04794 pep chromosome:Theobroma_cacao_20110822:4:25956122:25960166:1 gene:TCM_019974 transcript:EOY04794 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding ASCH domain protein, putative isoform 2 MEQPPSSPGTNPVDLRNCVEELVKFTLHSQMNGTLEWDIGLSQEFCSSLLDHHSADPISTIANSPGVSQNPLYIQLASAFYEIITFGSLQASSKCNKLASSYEGSGLKQKEEWIDLVHKKGSELAEILKNINFELHVQEPFFTQLKDGLKTMEGRCAVGDYNRIASGALILFNKCLVLEVQDVHHYASFFEMLEAESLAKVLPGVETIDEGIQVYRKFYSEEKEMSNGVLAICVAKVAAQPYLSLARILSGLSYEGVHSLINEEHLLGSIP >EOY04795 pep chromosome:Theobroma_cacao_20110822:4:25956391:25960194:1 gene:TCM_019974 transcript:EOY04795 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding ASCH domain protein, putative isoform 2 MEQPPSSPGTNPVDLRNCVEELVKFTLHSQMNGTLEWDIGLSQEFCSSLLDHHSADPISTIANSPGVSQNPLYIQLASAFYEIITFGSLQASSKCNKLASSYEGSGLKQKEEWIDLVHKKGSELAEILKNINFELHVQEPFFTQLKDGLKTMEGRCAVGDYNRIASGALILFNKCLVLEVQDVHHYASFFEMLEAESLAKVLPGVETIDEAPVRTVNSLQSSFCGNGGHNLTPVVLYIVV >EOY06256 pep chromosome:Theobroma_cacao_20110822:4:31445915:31453291:-1 gene:TCM_021053 transcript:EOY06256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 4 MRDSSLWAEDLNAPSTSSSRRPSNVFQLLARREVSPRTKRSSRKLWGEEPKSRHDSFGSTCQAARDARRDLLSWVEAESLRHFSAKYCPLLPPPRSTIAAAFSPDGNTLASTHGDHTVKIIDCQTGSCLKVLTGHRRTPWVVRFHPLYPEILASGSLDHEVRLWNANTAECIGSRDFYRPIASIAFHAQGEVLAVASGHKLYIWHYNRRGETSSPAIILKTRRSLRAVHFHPYAAPFLLTAEVNDLDSSDSSMTVATSPGYLRYPPPTVYLADAHSSDRSNLANELPLVSLPLLIWPSFARDNGRTSLQHTDGDVGSNGVHQRGDQSASVRLLTYSTPTGQYELLLSPIEPNNSSPLPEETGANPLPSEMETDGSHSAMEPMETMEVQSVERSNQFFPFGDPTSWELPFLQGWLIGQTQAGQRNMRLATSAGHENLLPAGETGNSASVVSSGMPTSVSQSRVAGRSSLRHRSSRSRMMSSSGSGEAGYSNIMHDGSDPQPAVNRIQSELATSLAAAAELPCTVKLRIWPHDMKDPCAFLDPEKCRLTIPHAVLCSEMGAHFSPCGRFLAACVACVLPHLEADPGVQSQLNPDVTGASTSPTRHPISAHRVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLKSVVIDGETTVPIYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSVGGGLVYGTKEGKLRILQYDSSNGINHNSSSFIDENMLEVGGPSLQVPTYALEC >EOY06258 pep chromosome:Theobroma_cacao_20110822:4:31445919:31453184:-1 gene:TCM_021053 transcript:EOY06258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 4 MRDSSLWAEDLNAPSTSSSRRPSNVFQLLARREVSPRTKRSSRKLWGEEPKSRHDSFGSTCQAARDARRDLLSWVEAESLRHFSAKYCPLLPPPRSTIAAAFSPDGNTLASTHGDHTVKIIDCQTGSCLKVLTGHRRTPWVVRFHPLYPEILASGSLDHEVRLWNANTAECIGSRDFYRPIASIAFHAQGEVLAVASGHKLYIWHYNRRGETSSPAIILKTRRSLRAVHFHPYAAPFLLTAEVNDLDSSDSSMTVATSPGYLRYPPPTHTDGDVGSNGVHQRGDQSASVRLLTYSTPTGQYELLLSPIEPNNSSPLPEETGANPLPSEMETDGSHSAMEPMETMEVQSVERSNQFFPFGDPTSWELPFLQGWLIGQTQAGQRNMRLATSAGHENLLPAGETGNSASVVSSGMPTSVSQSRVAGRSSLRHRSSRSRMMSSSGSGEAGYSNIMHDGSDPQPAVNRIQSELATSLAAAAELPCTVKLRIWPHDMKDPCAFLDPEKCRLTIPHAVLCSEMGAHFSPCGRFLAACVACVLPHLEADPGVQSQLNPDVTGASTSPTRHPISAHRVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLKSVVIDGETTVPIYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSVGGGLVYGTKEGKLRILQYDSSNGINHNSSSFIDENMLEVPTYALEC >EOY06262 pep chromosome:Theobroma_cacao_20110822:4:31447991:31452923:-1 gene:TCM_021053 transcript:EOY06262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 4 MRDSSLWAEDLNAPSTSSSRRPSNVFQLLARREVSPRTKRSSRKLWGEEPKSRHDSFGSTCQAARDARRDLLSWVEAESLRHFSAKYCPLLPPPRSTIAAAFSPDGNTLASTHGDHTVKIIDCQTGSCLKVLTGHRRTPWVVRFHPLYPEILASGSLDHEVRLWNANTAECIGSRDFYRPIASIAFHAQGEVLAVASGHKLYIWHYNRRGETSSPAIILKTRRSLRAVHFHPYAAPFLLTAEVNDLDSSDSSMTVATSPGYLRYPPPTVYLADAHSSDRSNLANELPLVSLPLLIWPSFARDNGRTSLQHTDGDVGSNGVHQRGDQSASVRLLTYSTPTGQYELLLSPIEPNNSSPLPEETGANPLPSEMETDGSHSAMEPMETMEVQSVERSNQFFPFGDPTSWELPFLQGWLIGQTQAGQRNMRLATSAGHENLLPAGETGNSASVVSSGMPTSVSQSRVAGRSSLRHRSSRSRMMSSSGSGEAGYSNIMHDGSDPQPAVNRIQSELATSLAAAAELPCTVKLRIWPHDMKDPCAFLDPEKCRLTIPHAVLCSEMGAHFSPCGRFLAACVACVLPHLEADPGVQSQLNPDVTGASTSPTRHPISAHRVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQVNPPPFLPFSLFSYILCSLVLILL >EOY06259 pep chromosome:Theobroma_cacao_20110822:4:31445919:31453184:-1 gene:TCM_021053 transcript:EOY06259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 4 MRDSSLWAEDLNAPSTSSSRRPSNVFQLLARREVSPRTKRSSRKLWGEEPKSRHDSFGSTCQAARDARRDLLSWVEAESLRHFSAKYCPLLPPPRSTIAAAFSPDGNTLASTHGDHTVKIIDCQTGSCLKVLTGHRRTPWVVRFHPLYPEILASGSLDHEVRLWNANTAECIGSRDFYRPIASIAFHAQGEVLAVASGHKLYIWHYNRRGETSSPAIILKTRRSLRAVHFHPYAAPFLLTAEVNDLDSSDSSMTVATSPGYLRYPPPTHTDGDVGSNGVHQRGDQSASVRLLTYSTPTGQYELLLSPIEPNNSSPLPEETGANPLPSEMETDGSHSAMEPMETMEVQSVERSNQFFPFGDPTSWELPFLQGWLIGQTQAGQRNMRLATSAGHENLLPAGETGNSASVVSSGMPTSVSQSRVAGRSSLRHRSSRSRMMSSSGSGEAGYSNIMHDGSDPQPAVNRIQSELATSLAAAAELPCTVKLRIWPHDMKDPCAFLDPEKCRLTIPHAVLCSEMGAHFSPCGRFLAACVACVLPHLEADPGVQSQLNPDVTGASTSPTRHPISAHRVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQQFSPTSEHILLAYGRRHSSLLKSVVIDGETTVPIYTILERFTEFLIWNL >EOY06260 pep chromosome:Theobroma_cacao_20110822:4:31445919:31453290:-1 gene:TCM_021053 transcript:EOY06260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 4 MRDSSLWAEDLNAPSTSSSRRPSNVFQLLARREVSPRTKRSSRKLWGEEPKSRHDSFGSTCQAARDARRDLLSWVEAESLRHFSAKYCPLLPPPRSTIAAAFSPDGNTLASTHGDHTVKIIDCQTGSCLKVLTGHRRTPWVVRFHPLYPEILASGSLDHEVRLWNANTAECIGSRDFYRPIASIAFHAQGEVLAVASGHKLYIWHYNRRGETSSPAIILKTRRSLRAVHFHPYAAPFLLTAEVNDLDSSDSSMTVATSPGYLRYPPPTVYLADAHSSDRSNLANELPLVSLPLLIWPSFARDNGRTSLQHTDGDVGSNGVHQRGDQSASVRLLTYSTPTGQYELLLSPIEPNNSSPLPEETGANPLPSEMETDGSHSAMEPMETMEVQSVERSNQFFPFGDPTSWELPFLQGWLIGQTQAGQRNMRLATSAGHENLLPAGETGNSASVVSSGMPTSVSQSRVAGRSSLRHRSSRSRMMSSSGSGEAGYSNIMHDGSDPQPAVNRIQSELATSLAAAAELPCTVKLRIWPHDMKDPCAFLDPEKCRLTIPHAVLCSEMGAHFSPCGRFLAACVACVLPHLEADPGVQSQLNPDVTGASTSPTRHPISAHRVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLKSVVIDGETTVPIYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSVGGGLVYGTKEGKLRILQYDSSNGINHNSSSFIDENMLEVPTYALEC >EOY06257 pep chromosome:Theobroma_cacao_20110822:4:31447116:31452848:-1 gene:TCM_021053 transcript:EOY06257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 4 MRDSSLWAEDLNAPSTSSSRRPSNVFQLLARREVSPRTKRSSRKLWGEEPKSRHDSFGSTCQAARDARRDLLSWVEAESLRHFSAKYCPLLPPPRSTIAAAFSPDGNTLASTHGDHTVKIIDCQTGSCLKVLTGHRRTPWVVRFHPLYPEILASGSLDHEVRLWNANTAECIGSRDFYRPIASIAFHAQGEVLAVASGHKLYIWHYNRRGETSSPAIILKTRRSLRAVHFHPYAAPFLLTAEVNDLDSSDSSMTVATSPGYLRYPPPTHTDGDVGSNGVHQRGDQSASVRLLTYSTPTGQYELLLSPIEPNNSSPLPEETGANPLPSEMETDGSHSAMEPMETMEVQSVERSNQFFPFGDPTSWELPFLQGWLIGQTQAGQRNMRLATSAGHENLLPAGETGNSASVVSSGMPTSVSQSRVAGRSSLRHRSSRSRMMSSSGSGEAGYSNIMHDGSDPQPAVNRIQSELATSLAAAAELPCTVKLRIWPHDMKDPCAFLDPEKCRLTIPHAVLCSEMGAHFSPCGRFLAACVACVLPHLEADPGVQSQLNPDVTGASTSPTRHPISAHRVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLKSVVIDGETTVPIYTILERFTEFLIWNL >EOY06264 pep chromosome:Theobroma_cacao_20110822:4:31448895:31453184:-1 gene:TCM_021053 transcript:EOY06264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 4 MRDSSLWAEDLNAPSTSSSRRPSNVFQLLARREVSPRTKRSSRKLWGEEPKSRHDSFGSTCQAARDARRDLLSWVEAESLRHFSAKYCPLLPPPRSTIAAAFSPDGNTLASTHGDHTVKIIDCQTGSCLKVLTGHRRTPWVVRFHPLYPEILASGSLDHEVRLWNANTAECIGSRDFYRPIASIAFHAQGEVLAVASGHKLYIWHYNRRGETSSPAIILKTRRSLRAVHFHPYAAPFLLTAEVNDLDSSDSSMTVATSPGYLRYPPPTHTDGDVGSNGVHQRGDQSASVRLLTYSTPTGQYELLLSPIEPNNSSPLPEETGANPLPSEMETDGSHSAMEPMETMEVQSVERSNQFFPFGDPTSWELPFLQGWLIGQTQAGQRNMRLATSAGHENLLPAGETGNSASVVSSGMPTSVSQSRVAGRSSLRHRSSRSRMMSSSGSGEAGYSNIMHDGSDPQPAVNRIQSELATSLAAAAELPCTVKLRIWPHDMKDPCAFLDPEKCRLTIPHAVLCSEMGAHFSPCGRFLAACVACVLPHLEADPGVQSQLNPDVTGASTSPTRHPISAHRVMYELRIYSLEE >EOY06265 pep chromosome:Theobroma_cacao_20110822:4:31448896:31452848:-1 gene:TCM_021053 transcript:EOY06265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 4 MRDSSLWAEDLNAPSTSSSRRPSNVFQLLARREVSPRTKRSSRKLWGEEPKSRHDSFGSTCQAARDARRDLLSWVEAESLRHFSAKYCPLLPPPRSTIAAAFSPDGNTLASTHGDHTVKIIDCQTGSCLKVLTGHRRTPWVVRFHPLYPEILASGSLDHEVRLWNANTAECIGSRDFYRPIASIAFHAQGEVLAVASGHKLYIWHYNRRGETSSPAIILKTRRSLRAVHFHPYAAPFLLTAEVNDLDSSDSSMTVATSPGYLRYPPPTVYLADAHSSDRSNLANELPLVSLPLLIWPSFARDNGRTSLQHTDGDVGSNGVHQRGDQSASVRLLTYSTPTGQYELLLSPIEPNNSSPLPEETGANPLPSEMETDGSHSAMEPMETMEVQSVERSNQFFPFGDPTSWELPFLQGWLIGQTQAGQRNMRLATSAGHENLLPAGETGNSASVVSSGMPTSVSQSRVAGRSSLRHRSSRSRMMSSSGSGEAGYSNIMHDGSDPQPAVNRIQSELATSLAAAAELPCTVKLRIWPHDMKDPCAFLDPEKCRLTIPHAVLCSEMGAHFSPCGRFLAACVACVLPHLEADPGVQSQLNPDVTGASTSPTRHPISAHRVMYELRIYSLEE >EOY06261 pep chromosome:Theobroma_cacao_20110822:4:31447073:31451565:-1 gene:TCM_021053 transcript:EOY06261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 4 EAESLRHFSAKYCPLLPPPRSTIAAAFSPDGNTLASTHGDHTVKIIDCQTGSCLKVLTGHRRTPWVVRFHPLYPEILASGSLDHEVRLWNANTAECIGSRDFYRPIASIAFHAQGEVLAVASGHKLYIWHYNRRGETSSPAIILKTRRSLRAVHFHPYAAPFLLTAEVNDLDSSDSSMTVATSPGYLRYPPPTVYLADAHSSDRSNLHTDGDVGSNGVHQRGDQSASVRLLTYSTPTGQYELLLSPIEPNNSSPLPEETGANPLPSEMETDGSHSAMEPMETMEVQSVERSNQFFPFGDPTSWELPFLQGWLIGQTQAGQRNMRLATSAGHENLLPAGETGNSASVVSSGMPTSVSQSRVAGRSSLRHRSSRSRMMSSSGSGEAGYSNIMHDGSDPQPAVNRIQSELATSLAAAAELPCTVKLRIWPHDMKDPCAFLDPEKCRLTIPHAVLCSEMGAHFSPCGRFLAACVACVLPHLEADPGVQSQLNPDVTGASTSPTRHPISAHRVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLKSVVIDGETTVPIYTILEVYRVSDMELVRVLPSAEDEVNVAC >EOY06263 pep chromosome:Theobroma_cacao_20110822:4:31448896:31452848:-1 gene:TCM_021053 transcript:EOY06263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 4 MRDSSLWAEDLNAPSTSSSRRPSNVFQLLARREVSPRTKRSSRKLWGEEPKSRHDSFGSTCQAARDARRDLLSWVEAESLRHFSAKYCPLLPPPRSTIAAAFSPDGNTLASTHGDHTVKIIDCQTGSCLKVLTGHRRTPWVVRFHPLYPEILASGSLDHEVRLWNANTAECIGSRDFYRPIASIAFHAQGEVLAVASGHKLYIWHYNRRGETSSPAIILKTRRSLRAVHFHPYAAPFLLTAEVNDLDSSDSSMTVATSPGYLRYPPPTVYLADAHSSDRSNLHTDGDVGSNGVHQRGDQSASVRLLTYSTPTGQYELLLSPIEPNNSSPLPEETGANPLPSEMETDGSHSAMEPMETMEVQSVERSNQFFPFGDPTSWELPFLQGWLIGQTQAGQRNMRLATSAGHENLLPAGETGNSASVVSSGMPTSVSQSRVAGRSSLRHRSSRSRMMSSSGSGEAGYSNIMHDGSDPQPAVNRIQSELATSLAAAAELPCTVKLRIWPHDMKDPCAFLDPEKCRLTIPHAVLCSEMGAHFSPCGRFLAACVACVLPHLEADPGVQSQLNPDVTGASTSPTRHPISAHRVMYELRIYSLEE >EOY03472 pep chromosome:Theobroma_cacao_20110822:4:16210928:16213666:-1 gene:TCM_047037 transcript:EOY03472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MCNQNFLSRKNQFLVFLKLCSSIKHLSQVHAQILISNLHQDSFLLTELVRFSSLSPYKNLSYTHTLLVNSLNSTPSTWNILIRGYASSDTPQKAIWVLKEMRKRGLQRNKLTYPFVLKACARGEALAEGRQVHGEIFKHGLDDDVYVENNLVHFYGCCKKIIDAKQVFDGMGERTVVSWNAVLSACVENFCIEDAIGYFDKMRNCGLDETTIVIMLSACAELGSLSFGRLLHLQVVERGLILNCQLGTALVDMYAKSGYVGYASRVFDRMEEKNVWTWSAMILGFAQHGFAKEALEIFVKMMKSSCIRPNYVTYLGVLCACSHSGLVDDGYRYFHEMEYVHGIKPMMVHYGAMVDALGRAGRLKDAYTFIMNMPIEPDPILWRTLLSACTIHNVNDTDGVSDRVRKRLLELEPRRSGNLVMVANMYAEAGMWDRAANVRKVMRDGRLKKMAGESCLELNGSIYQFFSGRRLFFNKLQSAI >EOY04067 pep chromosome:Theobroma_cacao_20110822:4:21838807:21851214:1 gene:TCM_019333 transcript:EOY04067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphate dependent pyruvate decarboxylase family protein isoform 2 MCPWARWSIQSQDQHLAHGHDLELLCYLDLPTDVLHQTITESEAENLLAEAEKGRTFEETIVPESSEIEKAVSLLRKAERPLIVFGKGAAYGRAEGEMRKLVERTGIPFLPTPMGKGLVNDDHELAASAARSLAIGKCDVALVVGARLNWLLHFGESPKWDKDVKFILVDVCEEEIKLRKPHLGLVGDAKKVLEMINKEIKDDPFCLGNSHPWVEAVSKKVKDNLSRMEAQLAKDVVPFNFLTPMRIIRDAILGLGSPAPVVVSEGANTMDVGRSVLVQTEPRTRLDAGTWGTMGVGLGYCIAAAVANPDRLVVAVEGDSGFGFSAMEVETLVRYKLPVVVIVFNNGGVYGGDRRSPEEVTGPFKDDPAPTSFVPGAAYHTLMEAFGGKGYLVGTPGELKSALLESFSARKPAVINVTIDPFAGAESGRMQHKN >EOY04066 pep chromosome:Theobroma_cacao_20110822:4:21847982:21851920:1 gene:TCM_019333 transcript:EOY04066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphate dependent pyruvate decarboxylase family protein isoform 2 MADSLDGNVLAAKSLARFGVAHMFGVVGIPVTSLANRAVQMGIRFIAFHNEQSAGYAASAYGYLTGRTGLLLTVSGPGCVHGLAGLSNAMINTWPLVMISGSSDQKDSGRGDFQELDQIEAVKPFSKFSIKAKDIKEIPSCVARVLDHSVSGRPGGCYLDLPTDVLHQTITESEAENLLAEAEKGRTFEETIVPESSEIEKAVSLLRKAERPLIVFGKGAAYGRAEGEMRKLVERTGIPFLPTPMGKGLVNDDHELAASAARSLAIGKCDVALVVGARLNWLLHFGESPKWDKDVKFILVDVCEEEIKLRKPHLGLVGDAKKVLEMINKEIKDDPFCLGNSHPWVEAVSKKVKDNLSRMEAQLAKDVVPFNFLTPMRIIRDAILGLGSPAPVVVSEGANTMDVGRSVLVQTEPRTRLDAGTWGTMGVGLGYCIAAAVANPDRLVVAVEGDSGFGFSAMEVETLVRYKLPVVVIVFNNGGVYGGDRRSPEEVTGPFKDDPAPTSFVPGAAYHTLMEAFGGKGYLVGTPGELKSALLESFSARKPAVINVTIDPFAGAESGRMQHKN >EOY05982 pep chromosome:Theobroma_cacao_20110822:4:30520718:30523215:1 gene:TCM_020840 transcript:EOY05982 gene_biotype:protein_coding transcript_biotype:protein_coding description:HR-like lesion-inducing protein-related isoform 2 MSFVSFVGRVLFASVFILSAWQEFNDFGVHGGPAAKALKPKFKVFSKLVSSHTGVEVPEFEIKYLVAAIVAFKGVGGIFFIFGSIIGAYLLVVLQQFIVTPILYDFYNYDMEKKEFGLLFTKFTQNLALLGALFFFIGMKNSMPRRQLKKKASKSKTV >EOY05981 pep chromosome:Theobroma_cacao_20110822:4:30520651:30523248:1 gene:TCM_020840 transcript:EOY05981 gene_biotype:protein_coding transcript_biotype:protein_coding description:HR-like lesion-inducing protein-related isoform 2 MSFVSFVGRVLFASVFILSAWQEFNDFGVHGGPAAKALKPKFKVFSKLVSSHTGVEVPEFEIKYLVAAIVAFKGVGGIFFIFGSIIGAYLLVLQQFIVTPILYDFYNYDMEKKEFGLLFTKFTQNLALLGALFFFIGMKNSMPRRQLKKKASKSKTV >EOY02661 pep chromosome:Theobroma_cacao_20110822:4:1696110:1703110:-1 gene:TCM_017074 transcript:EOY02661 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAUS augmin-like complex subunit 6 MTMDREKEREIELESAMYTNCLLLGLDPSIIGLGASNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPTQSARDFDRVWPIFDSAQSRDFRKVVQGIISELEAQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFAADVASNPLPAPLTDVAFSHAATLLPVTKARIALERRRFLKNAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGELWDDLVSTSSQNSHLVSKATRLWESILARKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSSQAPYTDVLSIQSGDMDDKEQNDGYHAQVNEETLSRVDDRSGRVHQTVDVAEIIRRWTHALQRIHKQSLQLAKANDGEGPDILRSAHDGGTSGHAESLAATLAEHQQHLASFQVLINQLKEVAPAIQKSISECTEKVNCVSSYLPSMGKHRGQASSPIQAQSSGRTLESSSDDVGDVTSKMSTVQLDKVSASPPALKLPQLFSLTPNSSGKGGNMQKRHTLAPQTNQTEILSERNSVDQPLPNNLSDSPPQDSDNSYVQNLKRSVRQAALSMPSCNSESSRDSQSDESSEHFFVPVSSNNFSRGGLESKVSSIRTKRLFSTQTGNSLLDSHGGNGHIGSNYDDLPHMLNNLDSLNDFDQVNGFLSAAASSCAASDGQRSFFDMEEAQDQVFSPPLLMDTSLLADSYEDLLAPLSETETALMEH >EOY03879 pep chromosome:Theobroma_cacao_20110822:4:20161341:20164500:-1 gene:TCM_019091 transcript:EOY03879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKPFSAMSSARFRPITTQPASPILVGGAIFLLFNFDIIIREFYANAIEHVDGVAFIRGKQVPFHSQAINAFFRTPNIENDEYRQYLGIRIAMRLYRRFVLRKPNGRHHMGRAKRDGIGFPSLIIALCARAGVHWNDKEELQQSKIPITMGILKRLEESAPGAGSSSQAGPYLPK >EOY03255 pep chromosome:Theobroma_cacao_20110822:4:9866986:9875631:-1 gene:TCM_018014 transcript:EOY03255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLVVHISNTQCRQPIHWPSVRFINTTLHSRTNGIVPKISKITSFLTFTLKVRKHAQLKLNGVDTSK >EOY03684 pep chromosome:Theobroma_cacao_20110822:4:17800440:17807514:1 gene:TCM_018773 transcript:EOY03684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 2 MGSFSASVIKWQPFFLLFFCVLNCVVLGDISSDKAVLLEFKKSVSDPSGLLSTWTETSHHCSWAGVSCDNNSSVLSLNITGFGKGQKGNFNNTDASVSFSCSDYSLFPFYGFGIRRNCGGSNGSLFGKLLPSIGKLSELRILSLPFNGFGGEIPTEIWGLKKLEVLDLENNLLSGSLPPGVSGLKNLRVLNLGFNNISGEIPSWLSSLEQMEILNLAGNLVNGTIPGFVGRFRGVYLSFTWLGGSLPADIGEGCKLEHLDLSGNYLVGQIPASLGKCSQLRSLLLYTNLLEEGIPREIGQLQNLEVLDVSRNSLSGPIPVELGNCSGLTVLVLSNMFNPYDDLAMAKGDPSSVNDDFNFYQGGIPDEITKLSKLRVLWAPRATLEGNLPSDWGTCDSLEMVNLAQNFFAGEIPIGLSLCEKLRYLDLSSNKRLTGELSEELAVPCMSVFDIGENSLSGSIPRFYNRGCPDVLTSDSYSFEPFNATSAYLSFLASKTRAGTSIEFFGGNAAPAVFHNFGGNNFTGSVLSMPIAPQRLGKQISYAFYAGENLLSGPFPGNLFENCNTLDALFVNISYNRMSGQIPAEISKICKSLKFLDVSVNEITGPIPPSVGDLVSLVSLNLSSNLLQDQIPSSFGQMKDLRYISLAGNNLTGSIPSSFGQLQSLQVLDLSSNSLSGEIPEGLVNLRNLAVLLLNNNKLSGQIPSGLANVTMLSEFNVSFNNLSGPLPSSNNLMKCSSLLGNPLLQPCHAYSLMPSSDQARAGDSQNYAASPPGSATQRTGNNGFNSIEIASITSASAILSVLLALVILFLYTRKWNSKSKIISSTKKEVTIFSDIGVPLTFDSVVRATGNFNASNCIGNGGFGSTYKAEISPGVLVAIKRLAIGRLQGFEHFDAEIKILGRLRHANLVTLIGYHVSETETFLVYNYLPGGNLEKFIQERSTRAVDWRILYKIALDIARALAYLHDECVPRILHRDVKPSNILLDDDYTAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSPYGNGFNIVQWSCLLLRQGQAKEFFTAGLWDAGPQNDLVEVLHLAVVCTVDSLSTRPTMKQVVRRLKQLQPSSC >EOY02493 pep chromosome:Theobroma_cacao_20110822:4:1071984:1077481:1 gene:TCM_016955 transcript:EOY02493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 81, subfamily D, polypeptide 8, putative MNTYYNESYNSREDHMSIVKTNTQKRACRSKLNIEETAILYSSLSLIFLLVCLNFFFQSKKSHKNLPPSPPSLPIVGHLHLVKPPIHRLCHSLSQKYGPIFSLQLGSRLLVVVSSSAAAEECFTRNDIVLANRPKLIRGKHLGYNCTTVVSSSYVEHWRNLRRIGAIEIFSSSRLNITICVRRDEIRRLLLKLSRDSSQDFTKVELKSMLAELTLNNIMRMVAGKRYCGDEVTNEAEAREFRGLIAEVFKNGGATNPADFLPMLNWFGQYEKKDKELGKRMDGFLQKLIDEHRSNRQENTSMIAHLLSLQESDPHYYTDDIMKGLILVMILAGTDTSAVTLEWAMSNLLNHPQVLKKARAEIASQIGQENLIDEPDVAKLHYLQSVMSETLRLYPAVPLLLPHMASSDCTIGGYDVPRGRLYWSTHGLFIGIQNYGMIQQVSSQRDLKMRKENHTSSCHLG >EOY03348 pep chromosome:Theobroma_cacao_20110822:4:13625235:13629598:-1 gene:TCM_018325 transcript:EOY03348 gene_biotype:protein_coding transcript_biotype:protein_coding description:T30E16.31, putative MMVRICSWQNKGFSMRSGMEIFQKATSVRLRSHHDKYLLAEDDQETVCQERDGTVRNAKWTVEILESNASCIRLKSCYGKYLTASNMPFLLGMTGKKVLQTLPRRLDSSLEWEPIRDGVQVRLRTRYGQYLRANGGLPPWRNHITHDVPHRTATQDWILWNVDVVAFRKQAPPPLAPPRVPPSQPAVNPIDSAPSAPASPTEISLKGPRMSRLESDDSFHGSPVVFEGRVINYEVFDDNGNVDEAIGERTFTFKGSGVEELKQMLKEEAGVSEDVCICSRNPLNGKLYRLRLHLPPNNTAMHVIVVPLSSKVAGDLEIH >EOY04258 pep chromosome:Theobroma_cacao_20110822:4:23043723:23045122:-1 gene:TCM_019513 transcript:EOY04258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 isoform 2 MQNPTQTHFAAAKRVLRYIRGTVECRLKFTRKDCHDLIGYSDNDWAEDTDDSKSTRGYCFSFGSGIFSWNSKKQEVVAQSSAEVEYIAAAAATNHAIWLRKVLQDLGFEQVKGTILFIDNKSAISIAQNPVQYGRTKHIKVKYHAIRDAIKYEKIEVKHCGTDIQLADIFTKSLGKDKFMFLRSELRICSLNTKEVC >EOY04257 pep chromosome:Theobroma_cacao_20110822:4:23043723:23047569:-1 gene:TCM_019513 transcript:EOY04257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 isoform 2 MQNPTQTHFAAAKRVLRYIRGTVECRLKFTRKDCHDLIGYSDNDWAEDTDDSKSTRGYCFSFGSGIFSWNSKKQEVVAQSSAEVEYIAAAAATNHAIWLRKVLQDLGFEQVKGTILFIDNKSAISIAQNPVQYGRTKHIKVKYHAIRDAIKYEKIEVKHCGTDIQLADIFTKSLGKDKFMFLRSELRICSLNTKEVC >EOY04256 pep chromosome:Theobroma_cacao_20110822:4:23043255:23044470:-1 gene:TCM_019513 transcript:EOY04256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 isoform 2 MFSASLLSRFMQNPTQTHFAAAKRVLRYIRGTVECRLKFTRKDCHDLIGYSDNDWAEDTDDSKSTRGYCFSFGSGIFSWNSKKQEVVAQSSAEVEYIAAAAATNHAIWLRKVLQDLGFEQVKGTILFIDNKSAISIAQNPVQYGRTKHIKVKYHAIRDAIKYEKIEVKHCGTDIQLADIFTKSLGKDKFMFLRSELRICSLNTKEVC >EOY04259 pep chromosome:Theobroma_cacao_20110822:4:23043595:23048112:-1 gene:TCM_019513 transcript:EOY04259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 isoform 2 MALSGFNTSAPSVFTSENYAIWSVKMMSYLKAFSLWEAMEIGEEPVQRHANPTLAQIRQFEEDKAKSLLSRFMQNPTQTHFAAAKRVLRYIRGTVECRLKFTRKDCHDLIGYSDNDWAEDTDDSKSTRGYCFSFGSGIFSWNSKKQEVVAQSSAEVEYIAAAAATNHAIWLRKVLQDLGFEQVKGTILFIDNKSAISIAQNPVQYGRTKHIKVKYHAIRDAIKYEKIEVKHCGTDIQLADIFTKSLGKDKFMFLRSELRICSLNTKEVC >EOY05033 pep chromosome:Theobroma_cacao_20110822:4:26918299:26920467:1 gene:TCM_046759 transcript:EOY05033 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein MATARVGRIKLGSQGLELSAQGLGCMGMSAFYGPPKPEADMIALIHHAINSGVTLLDTSDVYGPHTNEILLGKALKDGFRDKVELATKFGLDYTEGKNDIRGDPAYVRAACEGSLKRLGVVCVDLYYQHRIDTRVPIEVTMGELKKLVEEGKVKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEAHIIPTCRELGIGIVAYSPLGRGFFSSGPKIVETLSNDDFRKSQPRFQPENLAHNKRLYERVNEIAVKKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNIGALSVKLTAEEMAELESIASVDTVKGDRYGEGISTYHDSETPPLSSWKPE >EOY05775 pep chromosome:Theobroma_cacao_20110822:4:29755089:29758051:1 gene:TCM_020689 transcript:EOY05775 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: EGF-like (InterPro:IPR006210); Has 259 Blast hits to 234 proteins in 55 species: Archae - 0; Bacteria - 0; Metazoa - 184; Fungi - 0; Plants - 69; Viruses - 0; Other Eukaryotes - 6 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G14746) TAIR;Acc:AT4G14746] MASLRTVAFIAILLVLHLKIAESDFLSPLLSPVFDDVCKEVECGRGKCRPSLNGTFPYYRCDCDLNWKQTRADNDDHLKFLPCIVPNCTLNSACAAATSPVQEKAAKANESILDICSWTNCGGGSCNKTSPFTYDCKCSEGYFNLFNVSAFPCYRECAIGVDCSNLGISMSNKSTSTAPTLSQSDLNQAGLKLLGNGHWVIISVLLMAMVA >EOY02309 pep chromosome:Theobroma_cacao_20110822:4:363499:366983:-1 gene:TCM_016824 transcript:EOY02309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose-synthase-like C4 isoform 1 MAPGSVVVTIEKPNKFSLVEINASDSSLLLEKQKAVSPKQFTWFLLLKAHRVFACISWLAMALKTMLLSVKKRIALSDVSEEEAKSRRLYRFIKAFLVISIAALVIEIVAHLKKWNLKMIQPWEVQGLVQWSYMAWLSFRVDYIAPLVLTMSKFCTVLFLIQSLDRLILCLGCFWIKYKKLKPRIEGAAYDMEDGSSFPMVLVQIPMCNEREVYAQSIAAVCQLDWPKDRILIQVLDDSDDGNLQLLIKDEVSLWREKGVNIIYRHRLIRTGYKAGNLKSAMACDYVKDYEFVAIFDADFQPNPDFLKQTVPHFKGDPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYKKQQHRWHSGPMQLFRLCLPAIITSKISVLRKSNLIFLFFLLRKLILPFYSFTLFCIILPLTMFIPEAELPLWVICYVPIFMSLLNILPSPKSVPFLVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKTGRSSESDLLALAERESTSPNEEKILRRHSESGLEMLNKLKEQEATPVKKRNRLYRKELALAFLLLTAAARSLLSAHGVHFYFLLFQGLTFLAVGLDLIGEQIS >EOY02308 pep chromosome:Theobroma_cacao_20110822:4:363574:366950:-1 gene:TCM_016824 transcript:EOY02308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose-synthase-like C4 isoform 1 MAPGSVVVTIEKPNKFSLVEINASDSSLLLEKQKAVSPKQFTWFLLLKAHRVFACISWLAMALKTMLLSVKKRIALSDVSEEEAKSRRLYRFIKAFLVISIAALVIEIVAHLKKWNLKMIQPWEVQGLVQWSYMAWLSFRVDYIAPLVLTMSKFCTVLFLIQSLDRLILCLGCFWIKYKKLKPRIEGAAYDMEDGSSFPMVLVQIPMCNEREVYAQSIAAVCQLDWPKDRILIQVLDDSDDGNLQLLIKDEVSLWREKGVNIIYRHRLIRTGYKAGNLKSAMACDYVKDYEFVAIFDADFQPNPDFLKQTVPHFKGDPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYKKQQHRWHSGPMQLFRLCLPAIITSKISVLRKSNLIFLFFLLRKLILPFYSFTLFCIILPLTMFIPEAELPLWVICYVPIFMSLLNILPSPKSVPFLVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKTGRSSESDLLALAERESTSPNEEKILRRHSESGLEMLNKLKEQEATPVKKRNRLYRKELALAFLLLTAAARSLLSAHGVHFYFLLFQGLTFLAVGLDLIGEQIS >EOY04316 pep chromosome:Theobroma_cacao_20110822:4:23833208:23836117:1 gene:TCM_019601 transcript:EOY04316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKTVQIRMARHRVHVPVVTCGSENPSARRGRKLKSRGSRHQSFLSKSSDLSRRFGENLSLTSHPKISTEKSGGTLPSL >EOY06364 pep chromosome:Theobroma_cacao_20110822:4:31682056:31683950:-1 gene:TCM_021112 transcript:EOY06364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRIKFLDWYLKIAVGSALIGGSMEMFMIKTGFYDKVTVLESEKRAWESSPEAQTIREALNPWRNHDAQERKNS >EOY03212 pep chromosome:Theobroma_cacao_20110822:4:8013650:8017717:-1 gene:TCM_017849 transcript:EOY03212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSTMCHLNCIRVVMPKPNLMANDCVGALNGSLVHAFVPLKIQGKFHGKKKGTTQNVLSIIRLKLKFTFVLASWEGNAHDFCILSDALSRLNNEL >EOY03237 pep chromosome:Theobroma_cacao_20110822:4:8836312:8838041:1 gene:TCM_017924 transcript:EOY03237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase-like 10 MFVSRSVFGVIFLASLLLFPVNAIRLFTEKVTSSGGDETERESDLFMKFAEAPEYHNGPECPVLAEESLLCDPSVVHIAMTIDPEYLRGSTAAIHSVVKHSSCPENVFFHLIASDSSFVNANDLTQIVKSAFPSLSFKVYVFQENLVRNLISSSIRQALDSPLNYARSYLADIFEACVERVIYLDSDTIVVDDTQKLWRITLTGSRTIGAPEYCHANFAKYFTGEFWSDPELSRVFEGKRPCYFNTGVMVMDLARWREGDYTRKIERWMRIQKEKRIYKLGSLPPFLLVFGGDVEAIDHRWNQHGLGGDNLVNSCRSLHPGPVSLLHWSGRGKPWVRLDAGRPCPVDFLWAPYDLHK >EOY03100 pep chromosome:Theobroma_cacao_20110822:4:4769032:4775836:1 gene:TCM_017553 transcript:EOY03100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Set domain group 40, putative isoform 3 MNPSGSEAKGKATNTQSQEEGEEEERGSLDSFLKWAAGLGVSDSPNPDSCSCLGHSLGVSYFPDAGGRGLGAVRDITRGELLLKVPKSALITTHSLLNDERLSTALKAHPSLSPAQFRAWIWATGTISSRTLHIPWDEAGCLCPVGDLFNYAAPGEDLNGFDNVDNLQNGYALDDLDTQHSQRLTDGAFEEDAAAYCFYAKTNYKKGEQVLLSYGTYTNLELLEYYGFLLEDNPNEKVFIPLEPDIHSSSSWPNDSLYIHQNGRPSFALMAALRVWATPPYQRKSIRHQAYSGSQLSQDNEISVMTWIAKKCHATLKAMPTSIEDDNLLLSFTDKIQEFDNLWEWGKAMPAFGGEFCNLLQATNLKRNDESFASRRAKMLIDRWKLAVHWRLIYKKVLVDCISYCTDTINSLSSFVS >EOY03099 pep chromosome:Theobroma_cacao_20110822:4:4767734:4775742:1 gene:TCM_017553 transcript:EOY03099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Set domain group 40, putative isoform 3 MNPSGSEAKGKATNTQSQEEGEEEERGSLDSFLKWAAGLGVSDSPNPDSCSCLGHSLGVSYFPDAGGRGLGAVRDITRGELLLKVPKSALITTHSLLNDERLSTALKAHPSLSPAQVDYAIWAAQKALSKAEYEWKKATPLMKELKLKLQFLTFRAWIWATGTISSRTLHIPWDEAGCLCPVGDLFNYAAPGEDLNGFDNVDNLQNGYALDDLDTQHSQRLTDGAFEEDAAAYCFYAKTNYKKGEQVLLSYGTYTNLELLEYYGFLLEDNPNEKVFIPLEPDIHSSSSWPNDSLYIHQNGRPSFALMAALRVWATPPYQRKSIRHQAYSGSQLSQDNEISVMTWIAKKCHATLKAMPTSIEDDNLLLSFTDKIQEFDNLWEWGKAMPAFGGEFCNLLQATNLKRNDESFASRRAKMLIDRWKLAVHWRLIYKKVLVDCISYCTDTINSLSSFVS >EOY03097 pep chromosome:Theobroma_cacao_20110822:4:4767734:4775742:1 gene:TCM_017553 transcript:EOY03097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Set domain group 40, putative isoform 3 MNPSGSEAKGKATNTQSQEEGEEEERGSLDSFLKWAAGLGVSDSPNPDSCSCLGHSLGVSYFPDAGGRGLGAVRDITRGELLLKVPKSALITTHSLLNDERLSTALKAHPSLSPAQVLTICFLYEMSKGKASPWHPYLLHLPRSYGILAAFGEFEKQALQVDYAIWAAQKALSKAEYEWKKATPLMKELKLKLQFLTFRAWIWATGTISSRTLHIPWDEAGCLCPVGDLFNYAAPGEDLNGFDNVDNLQNGYALDDLDTQHSQRLTDGAFEEDAAAYCFYAKTNYKKGEQVLLSYGTYTNLELLEYYGFLLEDNPNEKVFIPLEPDIHSSSSWPNDSLYIHQNGRPSFALMAALRVWATPPYQRKSIRHQAYSGSQLSQDNEISVMTWIAKKCHATLKAMPTSIEDDNLLLSFTDKIQEFDNLWEWGKAMPAFGGEFCNLLQATNLKRNDESFASRRAKMLIDRWKLAVHWRLIYKKVLVDCISYCTDTINSLSSFVS >EOY03098 pep chromosome:Theobroma_cacao_20110822:4:4769074:4775686:1 gene:TCM_017553 transcript:EOY03098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Set domain group 40, putative isoform 3 MVDYAIWAAQKALSKAEYEWKKATPLMKELKLKLQFLTFRAWIWATGTISSRTLHIPWDEAGCLCPVGDLFNYAAPGEDLNGFDNVDNLQNGYALDDLDTQHSQRLTDGAFEEDAAAYCFYAKTNYKKGEQVLLSYGTYTNLELLEYYGFLLEDNPNEKVFIPLEPDIHSSSSWPNDSLYIHQNGRPSFALMAALRVWATPPYQRKSIRHQAYSGSQLSQDNEISVMTWIAKKCHATLKAMPTSIEDDNLLLSFTDKIQEFDNLWEWGKAMPAFGGEFCNLLQATNLKRNDESFASRRAKMLIDRWKLAVHWRLIYKKVLVDCISYCTDTINSLSSFVS >EOY03101 pep chromosome:Theobroma_cacao_20110822:4:4767734:4775742:1 gene:TCM_017553 transcript:EOY03101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Set domain group 40, putative isoform 3 MNPSGSEAKGKATNTQSQEEGEEEERGSLDSFLKWAAGLGVSDSPNPDSCSCLGHSLGVSYFPDAGGRGLGAVRDITRGELLLKVPKSALITTHSLLNDERLSTALKAHPSLSPAQISSRTLHIPWDEAGCLCPVGDLFNYAAPGEDLNGFDNVDNLQNGYALDDLDTQHSQRLTDGAFEEDAAAYCFYAKTNYKKGEQVLLSYGTYTNLELLEYYGFLLEDNPNEKVFIPLEPDIHSSSSWPNDSLYIHQNGRPSFALMAALRVWATPPYQRKSIRHQAYSGSQLSQDNEISVMTWIAKKCHATLKAMPTSIEDDNLLLSFTDKIQEFDNLWEWGKAMPAFGGEFCNLLQATNLKRNDESFASRRAKMLIDRWKLAVHWRLIYKKVLVDCISYCTDTINSLSSFVS >EOY03466 pep chromosome:Theobroma_cacao_20110822:4:16181600:16189914:1 gene:TCM_018557 transcript:EOY03466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 4 isoform 4 MKPKAQGPHDEGFLEYLEDIIGTNKYVEKIDESSKELETLNEKRSGVVQMVKLAEKERDSLEDVKNEAEAYMLKELSLLKWQEKAAKLAFEDTNLKMVELQENLSDLEENLKNKREGIRESNKRLKELESAHNTHLRRKEELDNDLRTCKEDFKEFERQDVKYREDLKHMKQKLKKLEDKLEKDSLKIEDMTKECENSTNLIPKLEENIPKLQKLLLDEEKVLEEMKENSKVETERYRSELSKVRAELEPWEKELIVHKGKLEVAYTESKLLTQKHEAAHTAFEDAQKEMENILGKTEAITAAIEGKRSNLEKNKLEALEARKLEQECIKEQEALIPLEQAAREKVAELKSVLDSEKSQGSVLKAILQAKESNQIEGIYGRMGDLGAIDAKYDVAISTACPGLDYIVVETTAAAQACVELLRREQLGVATFMILEKQVDLLHKSKEKVRTPEGIPRLYDLIKVQDERLKLAFFAALGNTIVAKDLDQATRIAYGGNKEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRAASVSREAVINAEKELATLVESLNSIRQRIADAVRRYQASEKIVVQLEMEIAKNQKEIDSLNSEYKYLEKQLDSLEAASRPKQDEVHRLEQLKETISAEEKEIDRLIKGSKQLKEQALDLQNKIENAGAEKLKTQKSKVEKIQSDIDKNSTEINRHKVQIETGEKMVKKLTKGIEESKKEKERIIEGKEKLRGMFKEIEQKAFMVQENYKKMQKLIDEHGEVLDKSKLEYEKEKKMVDELRASEVDAEFKFQEMKKMYKELEIKGNGYKKRLDDLEIALQKHMEQIQKDLVDTEKLQATLADETLTEACDLKRALEMVALLEAQLKEMNPNLDSISEYRRKVSLYNERVEDLNTVTQQRDDIKKQYDEWRKKRLDEFMAGFNAISLKLKEMYQQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALETSRMFLSWDIMSRIGQRMHSL >EOY03465 pep chromosome:Theobroma_cacao_20110822:4:16180155:16189348:1 gene:TCM_018557 transcript:EOY03465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 4 isoform 4 MGIKSSDEHATREPDQARGGSRGPRLVINEMVMRNFKSYAGEQRVGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSTNHQNLESAGVSVHFQEIIDLDDGTYEAVPGSDFVISRVAFRDNSSKYYINNRASNFTEVTKKLKGKGVDLDNNRFLILQGEVEQISLMKPKAQGPHDEGFLEYLEDIIGTNKYVEKIDESSKELETLNEKRSGVVQMVKLAEKERDSLEDVKNEAEAYMLKELSLLKWQEKAAKLAFEDTNLKMVELQENLSDLEENLKNKREGIRESNKRLKELESAHNTHLRRKEELDNDLRTCKEDFKEFERQDVKYREDLKHMKQKLKKLEDKLEKDSLKIEDMTKECENSTNLIPKLEENIPKLQKLLLDEEKVLEEMKENSKVETERYRSELSKVRAELEPWEKELIVHKGKLEVAYTESKLLTQKHEAAHTAFEDAQKEMENILGKTEAITAAIEGKRSNLEKNKLEALEARKLEQECIKEQEALIPLEQAAREKVAELKSVLDSEKSQGSVLKAILQAKESNQIEGIYGRMGDLGAIDAKYDVAISTACPGLDYIVVETTAAAQACVELLRREQLGVATFMILEKQVDLLHKSKEKVRTPEGIPRLYDLIKVQDERLKLAFFAALGNTIVAKDLDQATRIAYGGNKEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRAASVSREAVINAEKELATLVESLNSIRQRIADAVRRYQASEKIVVQLEMEIAKNQKEIDSLNSEYKYLEKQLDSLEAASRPKQDEVHRLEQLKETISAEEKEIDRLIKGSKQLKEQALDLQNKIENAGAEKLKTQKSKDIDKNSTEINRHKVQIETGEKMVKKLTKGIEESKKEKERIIEGKEKLRGMFKEIEQKAFMVQENYKKMQKLIDEHGEVLDKSKLEYEKEKKMVDELRASEVDAEFKFQEMKKMYKELEIKGNGYKKRLDDLEIALQKHMEQIQKDLVDTEKLQATLADETLTEACDLKRALEMVALLEAQLKEMNPNLDSISEYRRKVSLYNERVEDLNTVTQQRDDIKKQYDEWRKKRLDEFMAGFNAISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFVVCEKAA >EOY03467 pep chromosome:Theobroma_cacao_20110822:4:16181600:16189914:1 gene:TCM_018557 transcript:EOY03467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 4 isoform 4 MKPKAQGPHDEGFLEYLEDIIGTNKYVEKIDESSKELETLNEKRSGVVQMVKLAEKERDSLEDVKNEAEAYMLKELSLLKWQEKAAKLAFEDTNLKMVELQENLSDLEENLKNKREGIRESNKRLKELESAHNTHLRRKEELDNDLRTCKEDFKEFERQDVKYREDLKHMKQKLKKLEDKLEKDSLKIEDMTKECENSTNLIPKLEENIPKLQKLLLDEEKVLEEMKENSKVETERYRSELSKVRAELEPWEKELIVHKGKLEVAYTESKLLTQKHEAAHTAFEDAQKEMENILGKTEAITAAIEGKRSNLEKNKLEALEARKLEQECIKEQEALIPLEQAAREKVAELKSVLDSEKSQGSVLKAILQAKESNQIEGIYGRMGDLGAIDAKYDVAISTACPGLDYIVVETTAAAQACVELLRREQLGVATFMILEKQVDLLHKSKEKVRTPEGIPRLYDLIKVQDERLKLAFFAALGNTIVAKDLDQATRIAYGGNKEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRAASVSREAVINAEKELATLVESLNSIRQRIADAVRRYQASEKIVVQLEMEIAKNQKEIDSLNSEYKYLEKQLDSLEAASRPKQDEVHRLEQLKETISAEEKEIDRLIKGSKQLKEQDIDKNSTEINRHKVQIETGEKMVKKLTKGIEESKKEKERIIEGKEKLRGMFKEIEQKAFMVQENYKKMQKLIDEHGEVLDKSKLEYEKEKKMVDELRASEVDAEFKFQEMKKMYKELEIKGNGYKKRLDDLEIALQKHMEQIQKDLVDTEKLQATLADETLTEACDLKRALEMVALLEAQLKEMNPNLDSISEYRRKVSLYNERVEDLNTVTQQRDDIKKQYDEWRKKRGWMSSWQDSMQYL >EOY03464 pep chromosome:Theobroma_cacao_20110822:4:16177961:16189912:1 gene:TCM_018557 transcript:EOY03464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 4 isoform 4 MGIKSSDEHATREPDQARGGSRGPRLVINEMVMRNFKSYAGEQRVGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSTNHQNLESAGVSVHFQEIIDLDDGTYEAVPGSDFVISRVAFRDNSSKYYINNRASNFTEVTKKLKGKGVDLDNNRFLILQGEVEQISLMKPKAQGPHDEGFLEYLEDIIGTNKYVEKIDESSKELETLNEKRSGVVQMVKLAEKERDSLEDVKNEAEAYMLKELSLLKWQEKAAKLAFEDTNLKMVELQENLSDLEENLKNKREGIRESNKRLKELESAHNTHLRRKEELDNDLRTCKEDFKEFERQDVKYREDLKHMKQKLKKLEDKLEKDSLKIEDMTKECENSTNLIPKLEENIPKLQKLLLDEEKVLEEMKENSKVETERYRSELSKVRAELEPWEKELIVHKGKLEVAYTESKLLTQKHEAAHTAFEDAQKEMENILGKTEAITAAIEGKRSNLEKNKLEALEARKLEQECIKEQEALIPLEQAAREKVAELKSVLDSEKSQGSVLKAILQAKESNQIEGIYGRMGDLGAIDAKYDVAISTACPGLDYIVVETTAAAQACVELLRREQLGVATFMILEKQVDLLHKSKEKVRTPEGIPRLYDLIKVQDERLKLAFFAALGNTIVAKDLDQATRIAYGGNKEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRAASVSREAVINAEKELATLVESLNSIRQRIADAVRRYQASEKIVVQLEMEIAKNQKEIDSLNSEYKYLEKQLDSLEAASRPKQDEVHRLEQLKETISAEEKEIDRLIKGSKQLKEQALDLQNKIENAGAEKLKTQKSKVEKIQSDIDKNSTEINRHKVQIETGEKMVKKLTKGIEESKKEKERIIEGKEKLRGMFKEIEQKAFMVQENYKKMQKLIDEHGEVLDKSKLEYEKEKKMVDELRASEVDAEFKFQEMKKMYKELEIKGNGYKKRLDDLEIALQKHMEQIQKDLVDTEKLQATLADETLTEACDLKRALEMVALLEAQLKEMNPNLDSISEYRRKVSLYNERVEDLNTVTQQRDDIKKQYDEWRKKRLDEFMAGFNAISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFVVCEKAA >EOY03860 pep chromosome:Theobroma_cacao_20110822:4:19956833:19960667:-1 gene:TCM_019061 transcript:EOY03860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSSRPHITSIGPRSHQSNVKAHIGLEQTLIPFVTTQVQPPVQQLFGPKPYDPQKLKLKLLVVVGLDCYIGLNNHIHIRCGMLDITI >EOY05161 pep chromosome:Theobroma_cacao_20110822:4:27433387:27440935:1 gene:TCM_020238 transcript:EOY05161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transport complex protein rnfC, putative isoform 3 MNLCFSKIILNFQEKQKEKKHKKDKKEKEKRENKEKKEKDRSDGKHKDKKDKKEKHKDKKKEKDRDKEKDRSNNSEEKKFPGHPEGQNGEKISDEKKLQGKFEGHSGEKFIQKEKGRDKDRSSFSGEKKLAGQFSGYNGEKITQNSHLAEDFRDSKFVQELGRRVRDEGAGTGNQLADRFMGTDQKRDEGMVRLVAKTANILEEKEKSKRSDDRKLDVQGIREETRSSGNAMVQNLPGAAKARVEGIPRQVEKDTERRMEGKEKTKEKQSDDKIRDKRKDKDREKKSHGKDKDRDKEKKKEEKAKAKSERRNIEQDNVKGSNKDDPVGTINLKTSHPSKEGNKDAVAEGNLRKRKDLEKNGFFHVNDNKPNKFPRTTSSSHPLTDNGRTLESCQAPIPLTSDSQGAGTSLKVDNKDCKVNGIIEAQLLSVSPTKPLAANAQAIQIDEVSMKPPHPDSKYLSQVLSVPKMEEWSDFDDQDWLFHSNESQSKKPKVGFSEIDEAPQVWAEALQIESADICALPYVIPY >EOY05160 pep chromosome:Theobroma_cacao_20110822:4:27431886:27441032:1 gene:TCM_020238 transcript:EOY05160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transport complex protein rnfC, putative isoform 3 MSRCFPFPPPGYEKKARTDDVDIIKKEKQKEKKHKKDKKEKEKRENKEKKEKDRSDGKHKDKKDKKEKHKDKKKEKDRDKEKDRSNNSEEKKFPGHPEGQNGEKISDEKKLQGKFEGHSGEKFIQKEKGRDKDRSSFSGEKKLAGQFSGYNGEKITQNSHLAEDFRDSKFVQELGRRVRDEGAGTGNQLADRFMGTDQKRDEGMVRLVAKTANILEEKEKSKRSDDRKLDVQGIREETRSSGNAMVQNLPGAAKARVEGIPRQVEKDTERRMEGKEKTKEKQSDDKIRDKRKDKDREKKSHGKDKDRDKEKKKEEKAKAKSERRNIEQDNVKGSNKDDPVGTINLKTSHPSKEGNKDAVAEGNLRKRKDLEKNGFFHVNDNKPNKFPRTTSSSHPLTDNGRTLESCQAPIPLTSDSQGAGTSLKVDNKDCKVNGIIEAQLLSVSPTKPLAANAQAIQIDEVSMKPPHPDSKYLSQVLSVPKMEEWSDFDDQDWLFHSNESQSKKPKVGFSEIDEAPQVWAEALQIESADICALPYVIPY >EOY05159 pep chromosome:Theobroma_cacao_20110822:4:27431956:27441302:1 gene:TCM_020238 transcript:EOY05159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transport complex protein rnfC, putative isoform 3 MSRCFPFPPPGYEKKARTDDVDIIKKEKQKEKKHKKDKKEKEKRENKEKKEKDRSDGKHKDKKDKKEKHKDKKKEKDRDKEKDRSNNSEEKKFPGHPEGQNGEKISDEKKLQGKFEGHSGEKFIQKEKGRDKDRSSFSGEKKLAGQFSGYNGEKITQNSHLAEDFRDSKFVQELGRRVRDEGAGTGNQLADRFMGTDQKRDEGMVRLVAKTANILEEKEKSKRSDDRKLDVQGIREETRSSGNAMVQNLPGAAKARVEGIPRQVEKDTERRMEGKEKTKEKQSDDKIRDKRKDKDREKKSHGKDKDRDKEKKKEEKAKAKSERRNIEQDNVKGSNKDDPVGTINLKTSHPSKEGNKDAVAEGNLRKRKDLEKNGFFHVNDNKPNKFPRTTSSSHPLTDNGRTLESCQAPIPLTSDSQGAGTSLKVDNKDCKVNGIIEAQLLSVSPTKPLAANAQAIQIDEVSMKPPHPDSKYLSQVLSVPKMEEWSDFDDQDWLFHSNESQSKKPKVGFSEIDEAPQVWAEALQIESADICALPYVIPY >EOY06242 pep chromosome:Theobroma_cacao_20110822:4:31408532:31411365:-1 gene:TCM_021042 transcript:EOY06242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein MPSFLSKSFLRLPPKSPRFFRHFPMSTLTTKPRLRGVVFDMDGTLTVPVIDFAAMYKAVLGDDEYKRVKAENPCGIDILHHIENWNPDKQRKAYQIIADYEKQGLDRLQIMPGAVELCGFLDAKKIRRGLITRNIKESVDLFHQRFGMMFSPALSREFRPYKPDPAPLLHICSTWEVQPNEVMMVGDSLKDDVACGKQAGAVTCLLDERGRYISQDFARLDLTPDFKVSSLNEVYFLLESSFDLTP >EOY03936 pep chromosome:Theobroma_cacao_20110822:4:20545676:20546607:1 gene:TCM_019151 transcript:EOY03936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGASKGNSRETGIGGVLRDETDKILIQFSLLVSVMDANMAEIMAVRKTLQIVAALRWANRYNVIF >EOY05142 pep chromosome:Theobroma_cacao_20110822:4:27352513:27355521:-1 gene:TCM_020226 transcript:EOY05142 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-homocysteine hydrolase MSLLVEKTSSGREYKVKDMSQADFGRLEIELAEVEMPGLMACRTEFGPSQPFKGAKITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAASAIARDSAAVFAWKGETLQEYWWCTERALDWGPTGGPDLIVDDGGDATLLIHEGVKAEEVYEKTGQLPDPASTDNAEFQIVLTIIRDGLKTDRKKYTRMKNRLVGVSEETTTGVKRLYQMQANGSLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVCEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMCGLENYPGVKRITIKPQTDRWVFPDTNSGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNEKATGKYEKKVYVLPKHLDEKVAALHLPKLGAKLTKLSKEQADYISVPVEGPYKPAHYRSFVWVVGKLLAEKKWIGLSMKKTRRV >EOY06692 pep chromosome:Theobroma_cacao_20110822:4:32671250:32671760:1 gene:TCM_021340 transcript:EOY06692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNMFLWKRSSYLMHLLLESFKIYLFGIKSYCGCKFSGDLLAKAHLAIIDNYITAGAVSFFFSACK >EOY05061 pep chromosome:Theobroma_cacao_20110822:4:27029819:27030959:-1 gene:TCM_020165 transcript:EOY05061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase, putative MYKVLKRRKSIKLKQKYFKRNGGLLLQQQLSSNEGNVDQIKLFTSKELEKATEYYNENRILGQGGQGIVYKGMLTDGSIVAIKKSKMVEDQKKLDERMVQQFINEVIILSQINHRNVVKLLGCCLETKVPLLVYEFVPNGTLSQLIHDKNEEFPLTRKMRLRIATEIANALSYLHCSASIPIYHRDIKSSNILLDDKYRAKLSDFGTSRSIAIEQTHLTTRVQGTFGYLDPEYFRSSQFTEKSDVYSFGVVLIELLTGQKPISSTQSEEARSLHACRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFT >EOY06286 pep chromosome:Theobroma_cacao_20110822:4:31499652:31504912:-1 gene:TCM_021070 transcript:EOY06286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mo25 family protein isoform 1 MSFSFFKPSRPKTPQEVAKAIKDSLMALDTKTVVEVKALEKAMEEVEKNFVTMRCMLSGDGEVEPNVDQVLQLALEISKEGVLSLVIHKLPILGWEARKDLVHCWSILLKQKVDSTYCCVEYIENHLELLDFLVVCYDNKEIALSCGNMLRECIKFPTLAQYILKSASFVLFFKFVELPNFDVASDAFSTFKDLLTKHGTLVSEYLTAHYDEFFDLYEKLLTSSNYVTRRQSLKLLSEFLLEPPNSHIMKRYILEVRYLKIMMTLLKDASKNIQISAFHIFKVFVANPNKPREVKIILAKNHEKLLDLLHNLSGKGAEDEQFEEEKELIIKEIERVSRLPILDR >EOY06287 pep chromosome:Theobroma_cacao_20110822:4:31500078:31504760:-1 gene:TCM_021070 transcript:EOY06287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mo25 family protein isoform 1 MLDRKMFGCGWMIFVLATSKCWGFILLQGLCSEMKSRTDCRGIQAMEEVEKNFVTMRCMLSGDGEVEPNVDQVLQLALEISKEGVLSLVIHKLPILGWEARKDLVHCWSILLKQKVDSTYCCVEYIENHLELLDFLVVCYDNKEIALSCGNMLRECIKFPTLAQYILKSASFVLFFKFVELPNFDVASDAFSTFKDLLTKHGTLVSEYLTAHYDEFFDLYEKLLTSSNYVTRRQSLKLLSEFLLEPPNSHIMKRYILEVRYLKIMMTLLKDASKNIQISAFHIFKVFVANPNKPREVKIILAKNHEKLLDLLHNLSGKGAEDEQFEEEKELII >EOY04955 pep chromosome:Theobroma_cacao_20110822:4:26618339:26620740:-1 gene:TCM_020096 transcript:EOY04955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein MEWLKEWQKLPYGSPHADASHLDPRTDVSEKRMVGVFHELLHLTIQKKTERRNVSNLRKPLSLPQKFTKVFERHPGIFYMSRMCDTQTVVLREAYDCQRLIQRHPLVGIRERFASMMRKGFLDRSRGLNKKTVNVGLEDPSKIVLGSEVESDCDLFSEYDSDDSINCPS >EOY05999 pep chromosome:Theobroma_cacao_20110822:4:30578519:30580302:1 gene:TCM_020857 transcript:EOY05999 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 2 MAASLAEDSCTENLTTYDRFQELKAFDESKSGVKGLVDTGITKIPRIFSRPKEDRNSVKPICTQFSIPVIDLENIENRCDEVIAGVQKAAGDVGFFQVVNHGVPQRVLDEMLAAARGFHELPREVKEGYYTRETMTKVKYGSNFDLYQSSYANWRDTLFCVMGPEPLDPQELPLVCRDITMEYSKQIHKLGTTLYELLSEALGLKPDHLLGLDCPKGHCLLSHYYPACPEPELTMGTTKHSDPDFLTILLQDHIGGLQVLQAIVY >EOY05998 pep chromosome:Theobroma_cacao_20110822:4:30578410:30580795:1 gene:TCM_020857 transcript:EOY05998 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 2 MAASLAEDSCTENLTTYDRFQELKAFDESKSGVKGLVDTGITKIPRIFSRPKEDRNSVKPICTQFSIPVIDLENIENRCDEVIAGVQKAAGDVGFFQVVNHGVPQRVLDEMLAAARGFHELPREVKEGYYTRETMTKVKYGSNFDLYQSSYANWRDTLFCVMGPEPLDPQELPLVCRDITMEYSKQIHKLGTTLYELLSEALGLKPDHLLGLDCPKGHCLLSHYYPACPEPELTMGTTKHSDPDFLTILLQDHIGGLQVFHQNQWIDVPSVPGALVVNIGDLLQLISNEKFKSVEHRVLANHIGPRVSVACFFTPHLYPSTRIYGPIKELLSEENPPVYRETTVQDFINYYDSKGLDENSALTHFKLQG >EOY03799 pep chromosome:Theobroma_cacao_20110822:4:19382313:19385688:1 gene:TCM_018984 transcript:EOY03799 gene_biotype:protein_coding transcript_biotype:protein_coding description:DSBA oxidoreductase family protein isoform 1 MRLVGVQKSLSFLFQKDSRHGRIMAQSSGSNTGKKLIRIDVSSDSVCPWCFVGKRNLDKAIAASKDQFDFEIKWHPFFLDPSAPKEGVNKREFYERKFGSRAQGILARMTEIFRNLGLEYDMSGLTGNTLDSHRLIYFAGKQDLDKQHNLVEELFLGYFTRGKYIGDREFLLESARKVGVEGAAEFLENPNNGLKEVNEELEKYSANISGVPNYMINGKHQLSGGQPPEVFMRAFEVAAK >EOY03800 pep chromosome:Theobroma_cacao_20110822:4:19383520:19385702:1 gene:TCM_018984 transcript:EOY03800 gene_biotype:protein_coding transcript_biotype:protein_coding description:DSBA oxidoreductase family protein isoform 1 MAQSSGSNTGKKLIRIDVSSDSVCPWCFVGKRNLDKAIAASKDQFDFEIKWHPFFLDPSAPKEGVNKREFYERKFGSRAQGILARMTEIFRNLGLEYDMSGLTGNTLDSHRLIYFAGKQDLDKQHNLVEELFLGYFTRGKYIGDREFLLESARKVGVEGAAEFLENPNNGLKEVNEELEKYSANISGVPNYMINGKHQLSGGQPPEVFMRAFEVAAK >EOY05276 pep chromosome:Theobroma_cacao_20110822:4:27828870:27840542:1 gene:TCM_020313 transcript:EOY05276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 15 MESVSVSLHVVMFLSVILSLTFVDGAIPTTLDGPFKPVTVLLDKSFRGHAVDLPDTDPRVQRPVKGWQPEQIKVSLSTNYDSVWISWITGEFQIGDDVKPLDPESVASVVRYGMLRFPLTHRAMGHSLVYSQLYPFQGLRNYTSGIIHHVRLSGLKPDTLYFYQCGDPSIPAMSDVYYFRTMPVSCPWSYPARIGVVGDLGLTYNTTSTIGHLMRNDPDLVLLVGDASYANLYLTNGTGADCYSCSFTNSPIHETYQPRWDYWGRYMQRLVSKVPIMMIEGNHEIEEQVGKQTFAAYSARFAFPSEESGSSSTFYYSFNAGGIHFVMLGGYTNYNKSGDQYRWLQKNLAKVDRNVTPWLVATWHPPWYSTYAAHYREAECMRVAMEELLYKYGVDIVFNGHVHAYERSNRVYNYSLDPCGPVHIAIGDGGNREKMAITHADEPGNCPKPSTTPDKFMGGFCAFNFTSGPAAGKFCWDRQPDYSAYRESSFGHGILEVKNETHALWTWYRNQDAYGTTGDVVYIVRQPDRCPVEPEHRPCLFVCFPSPTVPWSQVSTVINLFSFFFVHANKNPAMVLAMVFINGPLHCLILLSLMFVVNGDIPTTLEGPFKPVTVPVNTSIIGNMTSLPYNDTSLQRPTLDFQPEQISVSLSSNCSSVWISWVTGEFQFGDDIKPLDPQSVASVVQYGTFNSSRNNQATGNSLVYSQQYPYEGLKSYTSGIIHCVLVTGLDPDTLYEYQCGDPSIPAMSDVHYFRTMPSQPGDYPNRIAVVGDLGLTYNTSTTINHMLANHPDLALLVGDLSFADLYLTNGTLSDCSSCSFPETPFQETYQPRWDYWGRFMQILTASVPTMVIEGDHEIEKQADNQTFTAYSSRFAFPCEESGSSSTLYYSFNAGGIHFVMLGAYVSYDKSSDQYNWLERDLSNVNRSVTPWLVAAWHPPWYSTYKEHYREAECMRVEMEDLLYEYGVDLVFNGHVNAYERSNRVYNYTLDPCGPVYITVGSGGNEKNLTLAHAEEPGNCPDPSTTPDRFMGGFCAFNFTSGQATGNFCWNEQPDYSAYRDTSFGYGILEVQHTVKNETHALWTWYRNQDRYYESGDVIYIVREPDRCPVETKVIKLLTAESLEVSDSFKEKRTD >EOY06116 pep chromosome:Theobroma_cacao_20110822:4:30951038:30951854:1 gene:TCM_020937 transcript:EOY06116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQEKEPHTSIARPSDDHTWTARASRAPYSAAIEGSTQDNSASMDLDKEARIVEDIASTSYMPKALVRERHTP >EOY05310 pep chromosome:Theobroma_cacao_20110822:4:27997225:27999479:-1 gene:TCM_020336 transcript:EOY05310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein MEKEENYSANFTGLDYSLDHHGHDHHHHHHQDQLMKQPRIGETSGDNNGMIDYMLNNPQQQQQISSSGFCSSTPTSYDKLSFADVMQFADFGPKLALNQTRIPEEETGIDPAGYFLRFPVLNDRLEDQSLMVTPSGIENVENRATGILVEEKGNREDEEARVSDNASVQLRFLGEDHVQNKNATTEAKNKRKRPRTIKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRLYGEASSRPMGDTSMAIQQQQQPFFPAPMSLPNDQIKLVDFDTGLREETAENKSCLADVEVKLLGFDAMIKILSRRRPGQLIKTIAALEDLQLNILHTNITTIEQTVLYSFNVKVASEARFSAEDIASSVQQIFTFIQANGSM >EOY05788 pep chromosome:Theobroma_cacao_20110822:4:29797325:29798989:1 gene:TCM_020698 transcript:EOY05788 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein MAIEAWFMDESNEDQRLPHQRKPNEPVSLDHLAELGVLYWLLNPKVYENDVELKKIREARGYNYMDMLDLRPENVANFEEKLRNFYTEHIHADEEIRYCLEGSAYFDVRDKEDRWIRIWIKAGDLIILPAGIYHRFTLDTSNYVRLMRLFVGEPVWTAHNRPQEDHPARKEYVKSLTERVGVPLAAH >EOY03422 pep chromosome:Theobroma_cacao_20110822:4:15388753:15391468:1 gene:TCM_018478 transcript:EOY03422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQWHQQGGDLIDWLKINFQKSNLYGIGVNDDILKSWANRIHCKIGSLPSIYLGLLLGVGQALLKIWKPVIDQVETKLEGWKLKLLSRGGQIILLKLVISSLLVFFMSIFKMPQGVKEELEKIQRHFLWVGGNSTGGIHYITWDTVSNYKEEGGFDLIDLEVKNQALLTKWIWMY >EOY03795 pep chromosome:Theobroma_cacao_20110822:4:19368433:19370829:-1 gene:TCM_018982 transcript:EOY03795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTFTNFNATAPPVFTRENYSIWIVKMKAYLRAFDLWEIIEVGKNPHEMRQSNPIIALLKQHSEEITKRYKALSCIHSAIFDSIFTHLMNCEFAKKAWDKLKVEFHGSDRTRQIQVLNLLREFEVWKIKNEESVTDYLEKVLKVVNQLRLLGKNLLERRIVNKFFVSLPEKFEAKISSLEDSKDMSTLTVTKFVNALQAQEQRRALRQEDHVENALLARASEKKLVIKNKRVCKNKLDQGEEKAIVAKEHEVNEEVLFMAKNLERSISSRELEDMRRKSCSSRRT >EOY03720 pep chromosome:Theobroma_cacao_20110822:4:18388682:18394606:1 gene:TCM_018841 transcript:EOY03720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein isoform 2 MSMSYAFINGIEVVSMPTNLYYSRLDDQGLPFIGQEAQFQINNYTALENVHRLNIGGNSIPAVNDTGMYRNWYDDYFYLVPAGVVASNTSIKLDYSIIPQYTAPDDVYRTARSMGSNRTENLLYNLTWRLPVDSGFRYLVRLHFCEFDPYVETASDRRFSIYIDNKTAEAAFDVMEASGGKGRPMYKDYVVMIGNTGDKSEYTLFIALHPKNLFSAYADGFLNGLEVFKLNNSGGNLAGPNPAPQAPPAGNEESSPKAEKSNTKRKLLFSIGGCGIGLLIILVLLCCIIVWRHRKMHWYGAYCKGSRFCCWMDPYKRKSFWAKSSSLPDELCRHFSLDEIKAATSDFHEALIIGVGGFGNVYKGFLDNGETIAAIKRLNPLSRQGAREFKTEIEMLSQLRHIHLVSLIGYCNDNSEMILVYDYMINGTLRDHLYDTKNDPLTWKQRLKICHGAAIGLNYLHTEVKYTIIHRDVKTSNILLDEKFSAKVSDFGLSKMDPKIDVVNTGVKGTWGYLDPEYARGHALTEKSDVYSFGVVLFEVLCARKALDQKLAVDQVNLAHWVKKCIADGPLYQIIDPRLRGKISPECFKVFVEIAESCIQEAGVNRPLMNDVMEKLGFALELQETADAGKEKINPAGEHSYQDILFPLARDIDVDTLGSNVIAAPNPVHDSGSSLSYDIFSSTISPKEL >EOY03721 pep chromosome:Theobroma_cacao_20110822:4:18392716:18394987:1 gene:TCM_018841 transcript:EOY03721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein isoform 2 MEASGGKGRPMYKDYVVMIGNTGDKSEYTLFIALHPKNLFSAYADGFLNGLEVFKLNNSGGNLAGPNPAPQAPPAGNEESSPKAEKSNTKRKLLFSIGGCGIGLLIILVLLCCIIVWRHRKMHWYGAYCKGSRFCCWMDPYKRKSFWAKSSSLPDELCRHFSLDEIKAATSDFHEALIIGVGGFGNVYKGFLDNGETIAAIKRLNPLSRQGAREFKTEIEMLSQLRHIHLVSLIGYCNDNSEMILVYDYMINGTLRDHLYDTKNDPLTWKQRLKICHGAAIGLNYLHTEVKYTIIHRDVKTSNILLDEKFSAKVSDFGLSKMDPKIDVVNTGVKGTWGYLDPEYARGHALTEKSDVYSFGVVLFEVLCARKALDQKLAVDQVNLAHWVKKCIADGPLYQIIDPRLRGKISPECFKVFVEIAESCIQEAGVNRPLMNDVMEKLGFALELQETADAGKEKINPAGEHSYQDILFPLARDIDVDTLGSNVIAAPNPVHDSGSSLSYDIFSSTISPKEL >EOY04028 pep chromosome:Theobroma_cacao_20110822:4:21561832:21567980:-1 gene:TCM_019284 transcript:EOY04028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formyltransferase, putative isoform 2 MCSSLMLRRFFCFNAASSSSVAAVSSSTSKKKSLVFLGSPQVSATVLDALFNASAAPSSSFEVAAIVTQPPSRRERGRKMMPSPVAQYALDRGFPSDLIFTPQRAGEEAFLSSLTTLQPELCITAAYGNILPTRFLNIPSLGTVNIHPSLLPLYRGAAPVQRALQDGVKGTGVSLAFTVRALDAGPVIARGRLEVDDQIKAPDLLALLFSEGSKLLISKLPSIFDGSAKVHAEPQDDSKATLAPKITPEESWLSFDDEALVLHNKAGQEPEQKFLLLMTKVAAIISWNLKSSPQE >EOY04026 pep chromosome:Theobroma_cacao_20110822:4:21561788:21567951:-1 gene:TCM_019284 transcript:EOY04026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formyltransferase, putative isoform 2 MCSSLMLRRFFCFNAASSSSVAAVSSSTSKKKSLVFLGSPQVSATVLDALFNASAAPSSSFEVAAIVTQPPSRRERGRKMMPSPVAQYALDRGFPSDLIFTPQRAGEEAFLSSLTTLQPELCITAAYGNILPTRFLNIPSLGTVNIHPSLLPLYRGAAPVQRALQDGVKGTGVSLAFTVRALDAGPVIARGRLEVDDQIKAPDLLALLFSEGSKLLISKLPSIFDGSAKVHAEPQDDSKATLAPKITPEESWLSFDDEALVLHNKAGQEPEQKFLLLMTKVAAIISWNLKSSPQE >EOY04027 pep chromosome:Theobroma_cacao_20110822:4:21561788:21567951:-1 gene:TCM_019284 transcript:EOY04027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formyltransferase, putative isoform 2 MCSSLMLRRFFCFNAASSSSVAAVSSSTSKKKSLVFLGSPQVSATVLDALFNASAAPSSSFEVAAIVTQPPSRRERGRKMMPSPVAQYALDRGFPSDLIFTPQRAGEEAFLSSLTTLQPELCITAAYGNILPTRFLNIPSLGTVNIHPSLLPLYRGAAPVQRALQDGVKGTGVSLAFTVRALDAGPVIARGRLEVDDQIKRHQICWHYYFLKGLNFLLANFLPYSTDQPRCMLNLKMILKLPWLLRITPEESWLSFDDEALVLHNKAGQEPEQKFLLLMTKVAAIISWNLKSSPQE >EOY04025 pep chromosome:Theobroma_cacao_20110822:4:21560907:21568011:-1 gene:TCM_019284 transcript:EOY04025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formyltransferase, putative isoform 2 MCSSLMLRRFFCFNAASSSSVAAVSSSTSKKKSLVFLGSPQVSATVLDALFNASAAPSSSFEVAAIVTQPPSRRERGRKMMPSPVAQYALDRGFPSDLIFTPQRAGEEAFLSSLTTLQPELCITAAYGNILPTRFLNIPSLGTVNIHPSLLPLYRGAAPVQRALQDGVKGTGVSLAFTVRALDAGPVIARGRLEVDDQIKAPDLLALLFSEGSKLLISKLPSIFDGSAKVHAEPQDDSKATLAPKITPEESWLSFDDEALVLHNKVRAFAGWPGTRAKIFVVNDKSSSHNILELKIITTRVGPADNIQGNDVNDIAFVKGALVFPCGGCTALEVLEVQLPGKKVITAAAFWNGLRGQKLKTL >EOY02836 pep chromosome:Theobroma_cacao_20110822:4:2487402:2488305:-1 gene:TCM_017241 transcript:EOY02836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRAGEQQSGAIYELCSMITQILKSPPPIPIPIPSSHDTSSSSSSRPHTHVMFSRVSYAAFATLFLGISLALMLVGSVTFIIGFVIMPWVICLLAVFHFVAVVSTFSELWRSFIGSKDAPSQRFS >EOY05190 pep chromosome:Theobroma_cacao_20110822:4:27550445:27550964:1 gene:TCM_020263 transcript:EOY05190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSDPYERAKGGRLTFKGGSLATRKSIDKGKKKHKKKKNADDESQPSHDDVAAASAVEGAEPGGDVYTIDAAKRMKYEELFPVEAKKFCYDPKNPQAKSVEQALDDRVKKKADRYCK >EOY02492 pep chromosome:Theobroma_cacao_20110822:4:1066099:1070543:-1 gene:TCM_016954 transcript:EOY02492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MQMDSSASQSFFHSVRSRELSSFRVRKRPFIDELASDFTEIGAVAIEHDAVQTPPLAISFCKASKNSHIFAVSDEDGYVSLFDSRKKLSSAGLHQENAEKARISDWVAHQNAIFDVCWIKKDTRILTASGDQTIKIWDAQEKKCTGVLMGHTGSVKCLSSHPTNCDLVISGSRDGSFAIWDLRCKINSKSRCDEVCPPSTSMLKGAHPSTQARRGRRGKAAAASITSVLYLKDGNSVATAGAADSVVKFWDTRNLKSNVTQACPHPESSTQKGISSLSQDLKGVFLTASCMDNRIYLYNILQLDKGPMQALCGPRIESFYVKSAISPDADHIVSGSSDGNAYIWKVNKPQVEPITLKSHDGEVTAVDWCPSEIGKVATSADDFTVRIWNIQTSHCSRSRSPSCIRRRVMAIPSAECRKLLMNENEDPMPLTKDPGSSHSPDEALHQISSSSPSTAPLLSTPKAQKKNISSVSDSNETFEKTPEGAMKSPSSVLNPPSSLKRKTIRDYFLAAP >EOY04165 pep chromosome:Theobroma_cacao_20110822:4:22370182:22375521:-1 gene:TCM_019426 transcript:EOY04165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNEQSGKTASTLAITEKKPHRPGGCVGIFFQLFDWNRRFAKKKLFSGKLLPPARTKASKRFGGDEKMPKSKPHLIADENSGGFPNVKKNAKHGNREMEQKHEMRSPGLVARLMGLESMPAVNRDESNRKAPVSGSNSDVRDEKMVNIQSVVNGEVLALEKGSAKVEPRPQKIQKIESYDRRAVTRFGAEALQIKGVLSRSKKHQHQKFVSPVKSPRISSARNASRASRLIDAAAKILEPGLQATNRAKYALAYSSSMHYSAKNEVVTEGIGVVSPDVLKQSACNVGTAKSLMGHTSCKNCGNLLDVVESRAKLEEQPFVCPSFAPNLVDASSQGLEKNWPRPSPSSLSQGKEVIFQRCHEQPLSFTGQEEKSVQSGSDSNTSRKPLSQEVKAQWHLSNQPGKPQKNEKSPIAFKPRNQTQNHISLDRDRIPARAKLNNIQSRRAVSAANAVSGAKDFVSLNRSLSSRTRLRVPTKVDSSLIEIERKPSSHRDDSLSQLRSPVRKRRTISVNGQAESAGFINSAIGKERNAKCNPVTRREIVRGARSLDQTCVESRPTSQETGNGANDKNETDIISFTFNSPLKQNHGISTEVKDKRKDQNHIHYGSTSLQRKEILEDNYGETSLQKNMPLTGDALSVLLEQKLRELTSQEEDELKTGCNLPKRSTAMILQELISALTSEQTITQNGYLFNSDMAFQTETKGEATSVGFASHGDHFSPGSVLEASFSNDSCVSSSLDESLGHRLHLDSMDYSYDEPQPTELDADLLDSATSLDKDMNGNEMVTDLVNRISAMLRVISNVGLGLSGDKLIHVKEAILKAELLFGNVTPRDSDGTDDFLLGPYIHDEVETLAGAMWVDFSSLLGVDQSQTKENNQLRVFLFDCAIECLDSKYGRYCNSGFRAWRSLPFCMNSGKLIRDVAGEVRRWTKLAGMVPDEIIEWEMSYSLGKWTDFDIEAFETGAELDWDILQNLVLEIVVDLVSP >EOY03561 pep chromosome:Theobroma_cacao_20110822:4:17145433:17147752:1 gene:TCM_018675 transcript:EOY03561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVAMVHGPWKGDQCNKTPIWRTIWLDLATTVPDQHFPAIGSGHGVLDSTVRFGREAPDSVLFAARSGWISIEYISCLIE >EOY03384 pep chromosome:Theobroma_cacao_20110822:4:14231927:14234485:1 gene:TCM_018386 transcript:EOY03384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MEMILVKDEQIQGRRRMCSADGEIQTIRCVKRRRRDPASVALGCYDNQGQQPQQQNDQTNAATTVKRSSRFRGVSRHRWTGRYEAHLWDKLSWNVTQKKKGKQVYLGAYDDEEAAARAYDLAALKYWGTSTFTNFPISDYEKEIEIMQTVAKEEYLASLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPGTNNPVAADESLGMTEPESVPLASSYSPREESKPSFYHSFATEYLNSPQKQEVVEGKIPVNSYNKTSSPTALGLLLRSSIFRELVEKNANVSEDESTDADDEPKNQQAGSDDEFGGLFYDGIGDFQFICSSGKDSIELQEREVPFVL >EOY02864 pep chromosome:Theobroma_cacao_20110822:4:2726025:2729985:1 gene:TCM_017273 transcript:EOY02864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H protein MHHLPRVQSDHRPLLVVLDDHQNGQSPSVCHFQSAWLTHEDFGNFVQQRRLLARLGGVEKALEHRVARRKNKLKIIRLKDEQGNWCDDQSTLKLQAVAFFQKLYTKDNGTLSSYSIRGTFLTLSDKDKLRLTQLVESKEVYDALFEMKPLKTPGLDGLPTLFFQSQWAIVGQSLVKNVSNIMEGGDFGDNICSSLIVLIHKVFNPETISQFRPIILLPVAFKRDKWLSDKTLADITCRVANPALDKVVVREFLNPNGHWDYDKLSYCLPNEVVLQVVQTMPPTVIIAQDMPYWGESASGQFTVASVYDYLRQLSSPAKARPSGIWQGAWKWQGSQRVRTFLFQCLHGRLLTNRERLHRQLTTDSLCPQCRMEDETVTHVLRDCMVATSLWVKIIPQHEQNDFFTFPLREWLVSNLQKQQLILGNPWSVVFGLACWCLWKWRNGVVFYAAFNPTRKRISMIKSMATATIATSADFDGVQVERRKKEEVLIGWRTPQVGWVCLNTDEAYKRSIEEASTGGVIRNAEGDWQAEFLAKLGKCSAYRAELWGVLHGLRLAWDSGFKKVQVQVDNKMVVPAVSTNKLIPGANTDLIRAIKDVLQKEWEVSFMHTYCEGNMVTDYLASYAFVLEKSYIVLEQAPTGARKLLMYDMLGVCLPRMIPIQ >EOY03379 pep chromosome:Theobroma_cacao_20110822:4:14152137:14160216:1 gene:TCM_018379 transcript:EOY03379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase family protein MEVTKQRLVFLFSFAALIPSLWADIAEFDEVWKQRAEQAWKNALEAYEPMPENVTSNLNYNVNKALLKGRANKTRAFEGVTTNSTRRNLRGNHKKYIGPCMATNPIDRCWRCRKNWARNRKQLANCVLGFGHKTEGGKQGGYYLVTDNSDDNVLNPRPGTLRHAVIQKEPLWIIFAHDMNIKLSQELIVQSKKTIDGRGANVHIAYGAGITLQFVDNVIIHNLHIHHIRKSSGGLIRDSVDHYGFRTVGDGDGISIFGSTNIWLDHLSMSECEDGLIDAIQGSTAITISNCHFTHHNDVILLGASDSYSRDKLMQVTVAFNHFGKELIQRMPRCRWGFFHVVNNDYTHWKMYAIGGSQHPTIISQGNRFIAPNDPWAKEITNRNYAPESVWRHWVWRSEGDLFMNGAFFRTSGPPAPPHLKYNKLQMIKAKPATFVRRLTRFSGALNCKKRVKC >EOY05468 pep chromosome:Theobroma_cacao_20110822:4:28655048:28660576:1 gene:TCM_020462 transcript:EOY05468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSQIIVLDPIPTLDKVCSSVLREETQRNFLIQGQPVLESTTMVVMSDENKKYKKEKMRLLVTTPCFICLSLSNK >EOY04382 pep chromosome:Theobroma_cacao_20110822:4:24111947:24113620:-1 gene:TCM_019648 transcript:EOY04382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLHMSKKISAAGAKERRLELSLAGPGGREENNEAKDNHEVPAARSSGHVNSSGCNLPPTVPNNIQAAVALARNTKALKPETPIQDIVPPFDQKPEFKNEYLRPSCLRDFSNEMKLGAASLASVYQVKFPSIQNTIPYGSFGMCPKFIAAHQSGSIALTEVKDDSLYNACLPIVDQASASSSAYQQANGNFPS >EOY03375 pep chromosome:Theobroma_cacao_20110822:4:13905209:13907582:1 gene:TCM_018363 transcript:EOY03375 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family isoform 1 MGNLFCCVQVDQSTVAIKERFGKFDEVLQPGCHCLPWCLGSQLAGHLTLRLQQLDVRCETKTKDNVFVNVVASVQYRSLAEKANDAFYKLSNPRTQIQAYVFDVIRASVPKLDLDDAFEQKNDIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKIIQIKRAEGEAESKYLSGVGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVLDMVLITQYFDTMKEIGAASKSSSVFIPHGPGAVRDIATQIRDGLLQASHHHE >EOY03374 pep chromosome:Theobroma_cacao_20110822:4:13905520:13907564:1 gene:TCM_018363 transcript:EOY03374 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family isoform 1 MGNLFCCVQVDQSTVAIKERFGKFDEVLQPGCHCLPWCLGSQLAGHLTLRLQQLDVRCETKTKDNVFVNVVASVQYRSLAEKANDAFYKLSNPRTQIQAYVFDVIRASVPKLDLDDAFEQKNDIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKIIQIKRAEGEAESKYLSGVGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVLDMVLITQYFDTMKEIGAASKSSSVFIPHGPGAVRDIATQIRDGLLQASHHHE >EOY02400 pep chromosome:Theobroma_cacao_20110822:4:663225:667501:1 gene:TCM_016885 transcript:EOY02400 gene_biotype:protein_coding transcript_biotype:protein_coding description:DegP protease 1 isoform 1 MYSWDIIQSPAKVINLQLVVQTSKTAMAAYSLLSSLFLQSPSPSSPYSRSPNRTPKLPPLPSLSLPPTPRPSFLPPSSKLSLSSLHNLISSRTQSNTASNSCDSNRYFASALDSLFLLCTSLALSFSLFVTDVGPASAFVVTAPRKLQSDELATVRLFQENTPSVVYITNLAVRVTLADQSTYDAKVVGFDQDKDVAVLRVDAPKDKLRPIPIGISADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGINTAIYSPSGASSGVGFSIPVDTVGGIVDQLVKFGKVTRPVLGIKFAPDQSVEQLGVSGVLVLDAPANGPAGKAGLQPTKRDSYGRLILGDIITSVNGKKVTSGSDLYRILDQCKVGEQVTVEVLRGDHKEKIPVILEPKPDES >EOY02399 pep chromosome:Theobroma_cacao_20110822:4:663225:667501:1 gene:TCM_016885 transcript:EOY02399 gene_biotype:protein_coding transcript_biotype:protein_coding description:DegP protease 1 isoform 1 MYSWDIIQSPAKVINLQLVVQTSKTAMAAYSLLSSLFLQSPSPSSPYSRSPNRTPKLPPLPSLSLPPTPRPSFLPPSSKLSLSSLHNLISSRTQSNTASNSCDSNRYFASALDSLFLLCTSLALSFSLFVTDVGPASAFVVTAPRKLQSDELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKDGHIVTNYHVIRGASDLKVTLADQSTYDAKVVGFDQDKDVAVLRVDAPKDKLRPIPIGISADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGINTAIYSPSGASSGVGFSIPVDTVGGIVDQLVKFGKVTRPVLGIKFAPDQSVEQLGVSGVLVLDAPANGPAGKAGLQPTKRDSYGRLILGDIITSVNGKKVTSGSDLYRILDQCKVGEQVTVEVLRGDHKEKIPVILEPKPDES >EOY05624 pep chromosome:Theobroma_cacao_20110822:4:29197269:29205071:1 gene:TCM_020578 transcript:EOY05624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein isoform 1 MSFARNCRPQGGTFQDDYRYPFNRNNYNNNSSNNYRNRNWNSNCNPTRNFNHAHEFSGQFGEHNRGYHYAQPNCAPSLKRRKFSAATWGDSGRHYPPPNMHYTAAPSDSSNFIPPPIRSNAEASTSISSKRDRSQLEDDEPVFMSRDEIERYSPSRRDGIDALRETHLRYSYCAFIQNLGLQLELPQTTIGTAMVLCHRFFVRRSHACHDRFLIATAALFLAAKSEETPRPLNNVLRASCEIFHKQDVAFLSYLLPVDWFEQYRERVIEAEQMILTTLNFELNVQHPYAPLTSILNKLGLSQTVLVNMALNLVSEGLRSSLWLQFKPHHIAAGAAYLAAKFLNFDLASSNNIWQEFQTTPAILQDVSQQLMELF >EOY05622 pep chromosome:Theobroma_cacao_20110822:4:29197149:29205484:1 gene:TCM_020578 transcript:EOY05622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein isoform 1 MSFARNCRPQGGTFQDDYRYPFNRNNYNNNSSNNYRNRNWNSNCNPTRNFNHAHEFSGQFGEHNRGYHYAQPNCAPSLKRRKFSAATWGDSGRHYPPPNMHYTAAPSDSSNFIPPPIRSNAEASTSISSKRDRSQLEDDEPVFMSRDEIERYSPSRRDGIDALRETHLRYSYCAFIQNLGLQLELPQTTIGTAMVLCHRFFVRRSHACHDRFLIATAALFLAAKSEETPRPLNNVLRASCEIFHKQDVAFLSYLLPVDWFEQYRERVIEAEQMILTTLNFELNVQHPYAPLTSILNKLGLSQTVLVNMALNLVSEGSTNQQILWGFNFKRILGGVCPMKSWGVKKVIQVMHGTGLRHGMLFYRMLFVEECFSRGGEWADLYQANMALGQIGLGSGDFGIGFLWVQVFDFLVTLGLLEFRFFQVQVHLPPLCFSHKEEEKDNHVNKLCASDVLKSYLFLSCHGAEILAKYSTWIGAISWLRSSLWLQFKPHHIAAGAAYLAAKFLNFDLASSNNIWQEFQTTPAILQDVSQQLMELF >EOY05623 pep chromosome:Theobroma_cacao_20110822:4:29197220:29204886:1 gene:TCM_020578 transcript:EOY05623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein isoform 1 MSFARNCRPQGGTFQDDYRYPFNRNNYNNNSSNNYRNRNWNSNCNPTRNFNHAHEFSGQFGEHNRGYHYAQPNCAPSLKRRKFSAATWGDSGRHYPPPNMHYTAAPSDSSNFIPPPIRSNAEASTSISSKRDRSQLEDDEPVFMSRDEIERYSPSRRDGIDALRETHLRYSYCAFIQNLGLQLELPQTTIGTAMVLCHRFFVRRSHACHDRFLIATAALFLAAKSEETPRPLNNVLRASCEIFHKQDVAFLSYLLPVDWFEQYRERVIEAEQMILTTLNFELNVQHPYAPLTSILNKLGLSQTVLVNMALNLVSEGLRSSLWLQFKPHHIAAGAAYLAAKFLNFDLASSNNIWQEFQTTPAILQDVSQQLMELF >EOY06622 pep chromosome:Theobroma_cacao_20110822:4:32482936:32483577:1 gene:TCM_021287 transcript:EOY06622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major allergen Pru ar 1 isoform 1 AFVLDSDNLIPKILPQAIKSVVTLEGDGGAGTIKQVNFGEGYQFKYTKQRIDGIDQENFTCRYTVIEGDALMDTLEKISNEIKFEEASDGGSVCKSSSSYYTIGDINIKEEEIKAGKEKASGMFKAIEAYLLANPDAYN >EOY06621 pep chromosome:Theobroma_cacao_20110822:4:32482792:32483593:1 gene:TCM_021287 transcript:EOY06621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major allergen Pru ar 1 isoform 1 MGVVTYEMEVATTIPPAKMFKAFVLDSDNLIPKILPQAIKSVVTLEGDGGAGTIKQVNFGEGSQFKYTKQRIDGIDQENFTCRYTVIEGDALMDTLEKISNEIKFEEASDGGSVCKSSSSYYTIGDINIKEEEIKAGKEKASGMFKAIEAYLLANPDAYN >EOY03359 pep chromosome:Theobroma_cacao_20110822:4:13787089:13789242:1 gene:TCM_018342 transcript:EOY03359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNFKLFQMDVKSAFLNGLIQEEVYVEQPPGFENFEKSNHIFKLHKALYELKQAPRAWYERLSKFLVEKGYDRGSIDTTLFIKRYLNDLIVVQIYVDDIVFGATNEALWKKFAKEMQGEFEMSMIGELKYFLCLQIKQSEEEIFINQERYTHDMLKKFDMLKLKSISTPMSPSTKLDLDEKDVDFAGSRTDKKSTSGTCQFLRRMLVSWSSKKQNLVALSTAEAEYVSLGSCCAQILWIKQQLKYYGMTMHNVPIYCDNMSAINISKNPMQHSRTKHIEIRNHFIRDHVMKNDIKIEFVNTLHQLADIFTKPLSEDKFCEIRRNLGIISVKEL >EOY03570 pep chromosome:Theobroma_cacao_20110822:4:17192246:17193771:1 gene:TCM_018681 transcript:EOY03570 gene_biotype:protein_coding transcript_biotype:protein_coding description:S locus F-box protein with the low allelic sequence polymorphism 1-S2, putative MQGFGNLPRDLFLEVCLRLPVESLTRFRCVCKSWYALFKNPKFISMHLSYNSSNNEFVLIKRCLLTCLGKKVNMFSLVSSKDFSFANVAVDLPLYKKEPYLQLLGHCDGIICLSNYRDDIVLCNPATKESTVLPKSCLPCFSSNPNLIPRTNALGFGYDLKNQQYKVVRIVSYLEEFGDHSLPQLSMVEVYTMGTDSWREVKNVKVSANVQYCPIPCFDTYFNGAFHWHAMDYNNNEVILSFDMGEEEFQNISMPDFLSVYDHSICRSLLVWNGCLALIVYPGKGIEKSFQICVMENYGMKESWTKKLTIGPLAGVERPLMFWQNDEEIVMEGTDGQAVSYNFITKEIKNLRIYGVPKSFQSLTYVNSLVSIRRGNQCLMK >EOY02341 pep chromosome:Theobroma_cacao_20110822:4:480865:489819:-1 gene:TCM_016850 transcript:EOY02341 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein, putative MVRKWVVITLIFLVLFNGFSSVSATPPAKIVTSVVSNVVSALVKWLWSLKSAPKTAISSRSMMKFEGGYTVETVFDGSKLGIEPFAVEVSPSGAILVLDSENSNIYKISMPLSRYSRPKLVAGSSEGYSGHVDGKPREARMNHPKGLTVDDSGNVYIADTMNMAIRKISESGVTTIAGGGKWSRGGGHVDGPSEDAKFSNDFDVVYVGSSCSLLVIDRGNQAIREIQLNDDDCSHQYDGSFQLGIAVLVAAGFFGYMLALLQRRVQAMFSSHDVSDIMFYVFLLFLVINLLLILSESFPSINLQNILDFALCNAFNFANCKANGWKDSRTPIKREATMAPYQRPPKSVRPPLIPPEDEPEKAEEGFFGSVGRLVLNTGSSVAEIFGGLFSGFRRKPRHYQYQHQYQQSTIQSRGWPMQESFVIPDEDEPPSLDTRTPTPKRSYPFMTKDLERKHHIKQSRPHYNGWDAKYQQQQQMQMQHHQQQQQQQHPHKHYSSNPQTYYEKSCETNEIVFGAVQEQDGRREAVLAGDHYSPVAQSPGDALICLDSPFYGPSGFTMVPWRCSGESLRASGVEASSMKLKKTMRRRKGGVNLSFMAMNGGNDGELCLTSNGGKMLVSSKTYEKWVDVKEAGREIHSGKLFIFSELEFFGGMSQLPTTEEETVVVEDDVHDLKFSNGGCCFWMPCRSAVRSIWWERISTDDTNSAASTNTSHYVPWWTRGWKKVREWSELVAGPKWKTFIRRFNKNKTGNGGMKFHYDPLSYSLNFDEGPGQNGHFDEDFMNRNFSSRYASLPVSTKSSMDFGKDGPFLI >EOY03732 pep chromosome:Theobroma_cacao_20110822:4:18607359:18610693:-1 gene:TCM_018869 transcript:EOY03732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIDVFIWKFLQMLENNKRFTFAFIRYYSIKKMRKAILQANFMEEGRVRKTYKKALVSKDYQQSMNSSINILTKKVVKIVDINVEISDSDIDWTKMNAIACMKESLSL >EOY02398 pep chromosome:Theobroma_cacao_20110822:4:657921:662971:1 gene:TCM_016884 transcript:EOY02398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 9 isoform 2 MLKPIDDPHVDITATVSGPLDHKPEEKIAGNALFRWQSDVDDPHTFMDLFVSNSDHVLQMRSCAYYPRYGFGAFGILPLLSKKRVTAEDYGVMGLRYGSGKLSAGVTIMPYAIKDELPKSAWLVSKLGRFTVGVQYEPQYGSKDYMNYKNLLNWSCAIGYGVGSGSPLSPSFNFGLELARSSQQFIASFYQHVVVQRRVKNPLEENEVVGITNYIDFGFELQTRMDDTKTSNNIPDSTFQVAASWQANKNFLLKGKMGPLSSSLALALKSWWKPSFTFSISATRDHISRTTAYGFGLRVENLREASYERADPNFVMLTPNKEHLAEGIVWKIGKRPMLQSDVNAGNFESLPKELRPQGRIL >EOY02397 pep chromosome:Theobroma_cacao_20110822:4:657320:663054:1 gene:TCM_016884 transcript:EOY02397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 9 isoform 2 MDLFVSNSDHVLQMRSCAYYPRYGFGAFGILPLLSKKRVTAEDYGVMGLRYGSGKLSAGVTIMPYAIKDELPKSAWLVSKLGRFTVGVQYEPQYGSKDYMNYKNLLNWSCAIGYGVGSGSPLSPSFNFGLELARSSQFIASFYQHVVVQRRVKNPLEENEVVGITNYIDFGFELQTRMDDTKTSNNIPDSTFQVAASWQANKNFLLKGKMGPLSSSLALALKSWWKPSFTFSISATRDHISRTTAYGFGLRVENLREASYERADPNFVMLTPNKEHLAEGIVWKIGKRPMLQSDVNAGNFESLPKELRPQGRIL >EOY02396 pep chromosome:Theobroma_cacao_20110822:4:657320:663054:1 gene:TCM_016884 transcript:EOY02396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 9 isoform 2 MDLFVSNSDHVLQMRSCAYYPRYGFGAFGILPLLSKKRVTAEDYGVMGLRYGSGKLSAGVTIMPYAIKDELPKSAWLVSKLGRFTVGVQYEPQYGSKDYMNYKNLLNWSCAIGYGVGSGSPLSPSFNFGLELARSSQFIASFYQHVVVQRRVKNPLEENEVVGITNYIDFGFELQTRMDDTKTSNNIPDSTFQVAASWQANKNFLLKGKMGPLSSSLALALKSWWKPSFTFSISATRDHISRTTAYGFGLRVENLREASYERADPNFVMLTPNKEHLAEGIVWKIGKRPMLQSDVNAGNFESLPKELRPQGRIL >EOY02395 pep chromosome:Theobroma_cacao_20110822:4:657320:663054:1 gene:TCM_016884 transcript:EOY02395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 9 isoform 2 MGNWFDKEPPPPVVLVPPLFDFPPIAARTRMLQSSYNMLFGKLALKCLFEDYFEEARHFSTIIMLKPIDDPHVDITATVSGPLDHKPEEKIAGNALFRWQSDVDDPHTFMDLFVSNSDHVLQMRSCAYYPRYGFGAFGILPLLSKKRVTAEDYGVMGLRYGSGKLSAGVTIMPYAIKDELPKSAWLVSKLGRFTVGVQYEPQYGSKDYMNYKNLLNWSCAIGYGVGSGSPLSPSFNFGLELARSSQFIASFYQHVVVQRRVKNPLEENEVVGITNYIDFGFELQTRMDDTKTSNNIPDSTFQVAASWQANKNFLLKGKMGPLSSSLALALKSWWKPSFTFSISATRDHISRTTAYGFGLRVENLREASYERADPNFVMLTPNKEHLAEGIVWKIGKRPMLQSDVNAGNFESLPKELRPQGRIL >EOY04736 pep chromosome:Theobroma_cacao_20110822:4:25739272:25742225:-1 gene:TCM_019923 transcript:EOY04736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASRSVGLIQDQNFNVHYNGASVAGKANICKAPRKGGIGGRKPLGDLSNSVNPAPNQTSKKENSKNFSFAEKETGASKLTHDSSKKKSVSKASEKVQTGGRKALSDISNSGKPHLQETSRKNQTAKLNILAEDPRQPKDIAEEGFLHNHEECIKAQRRALSTNQFLQILGLDGFSKQSASAKEPPMSNKMKHGSPPRCSELGQMPELLIEDLSPPKHKLSSKFDSAPPSPEPLDNYMHWNDPKYIPSFKLIESP >EOY04737 pep chromosome:Theobroma_cacao_20110822:4:25739234:25742328:-1 gene:TCM_019923 transcript:EOY04737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASRSVGLIQDQNFNVHYNGASVAGKANICKAPRKGGIGGRKPLGDLSNSVNPAPNQTSKKENSKNFSFAEKETGASKLTHDSSKKKSVSKASEKVQTGGRKALSDISNSGKPHLQETSRKNQTAKLNILAEDPRQPKDIAEEGFLHNHEECIKAQRRALSTNQFLQILGLDGFSKQSASAKEPPMSNKMKHGSPPRCSELGQMPELLIEDLSPPKHKLSSKFDSAPPSPEPLDNYMHWNDPKYIPSFKLIESP >EOY03862 pep chromosome:Theobroma_cacao_20110822:4:19976988:19978089:1 gene:TCM_019063 transcript:EOY03862 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein MPTHNGENAINVVNNTNGNGKNGESTIDSFFNTTNPFIVGNFITATFLTFAKSFVTKKELANLLDQKNKSLNFSEFNLKLPYSASIIPKPYPKDYTSPKFKQFNSKIGDAREYVMKFVETFGATKLDDDLKLKEFSKFLIEKAYSWNVNLTPGFE >EOY05707 pep chromosome:Theobroma_cacao_20110822:4:29550419:29555483:1 gene:TCM_020641 transcript:EOY05707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1423) [Source:Projected from Arabidopsis thaliana (AT1G05410) TAIR;Acc:AT1G05410] MELDNTKETNRSTPRKRENGLHLKPVSPEASGVGLPYAPVDWPKKGDVWSWKVGRRVAITGHFLDRYLYPPKRLHKLDNSTRRKRGLASKLSVERFLQTALPGADISAFFASFSWKVPAKKHSLTNVTRTFFAPPPEEIADLSVSDPQSDGVTCKAGNKKCNSLYAEAESPSLVPLPCDLCCGEPHFCRDCCCILCSMTIDLKYGGYSYIKCEATVDGCICGHVAHLNCALRSYMAGTVGGSIGLDAEYYCRRCDAKTSLVPHVTMLLKTCESIDSCDDIEKILNFGFCILRGSGKTDARELLDRIELAITKLKCGTSLEDIWKVKESAISTGVFHNGNLELEPTNHQDSLDIRPFSVSVQSISSDYQSEYLKLEYEIDQVLQALRKAQESEYKIAEEKLYGQKNYLRNLYQQLDKERSELSHRASGTKADALLNAILNRVDQIKKEVMKLKEMEVVSNGFGRTPKGTLKEHFGLEIED >EOY05240 pep chromosome:Theobroma_cacao_20110822:4:27689811:27695727:1 gene:TCM_020288 transcript:EOY05240 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 3 MRRSGLHRQHGKQGGGGGGGAKGIYAKLTIAVVVLLICTLSLLFSATISGNRGSVEPSEINAEELWESAKSGGWRPSSAPRSDWPPPPRETNGYLRVRCNGGLNQQRSAICNAVLAARIMNATLILPELDANSFWHDDSGFQGIYDVEHFIQMLKYDVRIVESIPEIRKNGKTKKMKAYQLRPPRDAPISWYTTVALEKMQEHGAIYLTPFSHRLAEEIDNAEYQRLRCRVNYHALRFKPNIMKLSESIVDKLRAQGHFMAIHLRFEMDMLAFAGCFDIFNPQEQSILKKYRKENFAEKRLVYEERRAIGKCPLTPEEVGLVLRAMGFDNSTRMYLAAGELFGGERFMKPFRALFPRLENHSSVDSSEELVANTWGLLGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKGLAPIFINRENGRTAGFEQAVRRVMLKTNFGGPHKRVPPESFYTNSWPECFCQVSPENPGDKCPPDNVLEILDSRLGNEESGDLEALAETNSTSRTER >EOY05239 pep chromosome:Theobroma_cacao_20110822:4:27689698:27695867:1 gene:TCM_020288 transcript:EOY05239 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 3 MRRSGLHRQHGKQGGGGGGGAKGIYAKLTIAVVVLLICTLSLLFSATISGNRGSVEPSEINAEELWESAKSGGWRPSSAPRSDWPPPPRETNGYLRVRCNGGLNQQRSAICNAVLAARIMNATLILPELDANSFWHDDSGFQGIYDVEHFIQMLKYDVRIVESIPEIRKNGKTKKMKAYQLRPPRDAPISWYTTVALEKMQEHGAIYLTPFSHRLAEEIDNAEYQRLRCRVNYHALRFKPNIMKLSESIVDKLRAQGHFMAIHLRFEMDMLAFAGCFDIFNPQEQSILKKYRKENFAEKRLVYEERRAIGKCPLTPEEVGLVLRAMGFDNSTRMYLAAGELFGGERFMKPFRALFPRLENHSSVDSSEELVANTWGLLGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKGLAPIFINRENGRTAGFEQAVRRVMLKTNFGGPHKRVPPESFYTNSWPECFCQVSPENPGDKCPPDNVLEILDSRLGNEESGDLEALAETNSTSRTER >EOY05241 pep chromosome:Theobroma_cacao_20110822:4:27690336:27695965:1 gene:TCM_020288 transcript:EOY05241 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 3 MLKYDVRIVESIPEIRKNGKTKKMKAYQLRPPRDAPISWYTTVALEKMQEHGAIYLTPFSHRLAEEIDNAEYQRLRCRVNYHALRFKPNIMKLSESIVDKLRAQGHFMAIHLRFEMDMLAFAGCFDIFNPQEQSILKKYRKENFAEKRLVYEERRAIGKCPLTPEEVGLVLRAMGFDNSTRMYLAAGELFGGERFMKPFRALFPRLENHSSVDSSEELVANTWGLLGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKGLAPIFINRENGRTAGFEQAVRRVMLKTNFGGPHKRVPPESFYTNSWPECFCQVSPENPGDKCPPDNVLEILDSRLGNEESGDLEALAETNSTSRTER >EOY05349 pep chromosome:Theobroma_cacao_20110822:4:28185247:28197856:-1 gene:TCM_020368 transcript:EOY05349 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative MEIDIPLPEELELLEANSHFYEEPYLDPPSPDPFPPKSPPSENLEIDGSKRPRNSVETESPPEENKRTKTIEIEEEEEEEKEKEELDWLRYSPPQENKVGAAVEKDEEVYLSRHVSAINGDCMPVTAPSGGERVYAKISRAQRDEILKKLNIKERSNGLIFEPVNVLLQRVEQQVLTKALQASSEHQSDITLHDTPMVHEQLWVDKYAPSSFTELLSDEQTNREVLLWLKQWDSCVFGSEIRSTSDEVLSALRRHSSTQHQKTFDSNFSRKSRGHRWSSGSYRPINNVDQGNNNQKGMQELWNKKSRLTGPPEQKILLLCGPPGLGKTTLAHVAAKHCGYHVVEVNASDDRSSSTIETKILDVVQMNSVMADSRPKCLVIDEIDGALGDGKGAVEVILKMVSAERKSDFGRENNANKKGRKTASLSRPVICICNDLYTPALRPLRQVAKVHIFVQPTVSRVVSRLKYICNKEGMRTSSIALTALADYTECDIRSCLNTLQFLNKKKEALNVMEISSQVVGRKDMSKSAFDIWKEIFQKRKMKRDRKSNSSSGSSYGEFDFLHSLISNRGDYDVILDGIHENILQLQYHDPVMQKTVKCLNSLGVSDLMQQYVMRTQQMPLQVYQPFIAITLHRMVAQVQKPIIEWPKSYQRYRTMLMEKTDILRSWHQKIPPYISRHLSTKSCIEDLISPLLHILSPPKLRPVALHLLSETEKNDLAQLVNVMVSYSITYKNVKSDSLSTNLGQEAIVDASALSFDPPIGEFIKYKDYTSDHHVLALAMKQVLLHEVEKQKILQVSIGKSVHTTDGCSNGDQNFIGKGVSGPKSAKPICENAVAGAKNFENVKNIPNTRQGFPSTSTVSSSLVSSRSASTGAKQKSTADTRKPPSGFNFFERFRKPSSKGSQDSEIADVKEVTLERDLRPLLFKFNEGFTNAVKRPVRMREFLLR >EOY03494 pep chromosome:Theobroma_cacao_20110822:4:16342473:16347097:1 gene:TCM_018584 transcript:EOY03494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYEFDLDGDGINVYVSIGHPLESSIVPEDVFLAAIEICKARARRNFGKANDLCQKTRQVGYRLINHENKRILACKYRFRLKGIDAPENDMPYGKEAKEKLIKLVHGKQLMILVYDMDRYGCYGFGHHQILTSHGIRGGTDEKDDSVAFPPGDPARMVKGVPFGGNKRSGVRGRVSPAEALYG >EOY06248 pep chromosome:Theobroma_cacao_20110822:4:31426856:31431332:1 gene:TCM_021046 transcript:EOY06248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein isoform 1 MSHSDKIRRHASVVFVRLVAAMLLVTSVSIAASNTLSWALSEENLLFLEAWRTIDRAYIDKTFNGQSWFRYRENALRNEPMNNREETYMAIKKMLATLDDPFTRFLEPEKFKNLKSGTQGALTGIGLAIGYPTGSEGSQAGLVVISAAPGGPAYQAGILSGDIILEIDNTSTESMSIYDAAERLQGAEGSSVEITIQTGPEIKHLALTREKVSLNPVKSRLCEIPGSEKNYPRIGYIKLTSFNQKASAAVKEAIDTLRRNRVNAFVLDLRDNSGGLFPEGIETAKIWLDKGVIVYICDNRGVRDIYDTDGVPAIAVSEPLAVLVNKGTASASEILAGALKDNKRAVLFGEPTYGKGKIQSVFQLSDGSGLAVTVARYETPAHNDIDKIGVIPDHPLPNSFPKDEDAFCGCLQDSGSACYVNNVQLFSR >EOY06247 pep chromosome:Theobroma_cacao_20110822:4:31425205:31431437:1 gene:TCM_021046 transcript:EOY06247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein isoform 1 MVQDWSRKACSSTVPGLHPKRTNRPNLSQNSYPAPFAISKNNDKETKNEKQRNEVNFVGLSMEVLASSTATSTHPHFILSNHKKPFILTFKPSIVSQVHPWKSFPVRVIEARLLSGILCIRTNVNRSGICGSSDALCKHEFLFHPLCRLNKTFSSQSSCFAISRGCSHRLRKHTSSLQKLMSHSDKIRRHASVVFVRLVAAMLLVTSVSIAASNTLSWALSEENLLFLEAWRTIDRAYIDKTFNGQSWFRYRENALRNEPMNNREETYMAIKKMLATLDDPFTRFLEPEKFKNLKSGTQGALTGIGLAIGYPTGSEGSQAGLVVISAAPGGPAYQAGILSGDIILEIDNTSTESMSIYDAAERLQGAEGSSVEITIQTGPEIKHLALTREKVSLNPVKSRLCEIPGSEKNYPRIGYIKLTSFNQKASAAVKEAIDTLRRNRVNAFVLDLRDNSGGLFPEGIETAKIWLDKGVIVYICDNRGVRDIYDTDGVPAIAVSEPLAVLVNKGTASASEILAGALKDNKRAVLFGEPTYGKGKIQSVFQLSDGSGLAVTVARYETPAHNDIDKIGVIPDHPLPNSFPKDEDAFCGCLQDSGSACYVNNVQLFSR >EOY06932 pep chromosome:Theobroma_cacao_20110822:4:33386863:33391108:-1 gene:TCM_021504 transcript:EOY06932 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein-coupled receptor 1 isoform 1 MATVLSIHDRRILTALNSGASSLSFLGSGFIVLCYSLFKELRKFSFKLVFYLSLSDMLCSFFNMVGDPSKGFFCYAQGYTTHFFCVASFLWTTTIAFTLHRTVVRHKTDVEDLEAIFHLYVWGTSLVMTVIRSIGNDHSHLGAWCWAQTGRTGKAIHFITFYVPLWGAILYNGFTYFQVIRMLNNATRMAVGMSDRAYQFDARTDMKALNRWGYYPLILIGSWAFGTINRIHDFIEPGHKIFWLSFLDVGTAALMGLFNSIAYGLNASVRRAIYERIELFWPERLQRWFPNSSRYRNQQQQSELISLKIQDQQ >EOY06933 pep chromosome:Theobroma_cacao_20110822:4:33386770:33391050:-1 gene:TCM_021504 transcript:EOY06933 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein-coupled receptor 1 isoform 1 MATVLSIHDRRILTALNSGASSLSFLGSGFIVLCYSLFKELRKFSFKLVFYLSLSDMLCSFFNMVGDPSKGFFCYAQGYTTHFFCVASFLWTTTIAFTLHRTVVRHKTDVEDLEAIFHLYVWGTSLVMTVIRSIGNDHSHLGAWCWAQTGRTGKAVHFITFYVPLWGAILYNGFTYFQVIRMLNNATRMAVGMSDRAYQFDARTDMKALNRWGYYPLILIGSWAFGTINRIHDFIEPGHKIFWLSFLDVGTAALMGLFNSIAYGLNASVRRAIYERIELFWPERLQRWFPNSSRYRNQQQQSELISLKIQDQQ >EOY03682 pep chromosome:Theobroma_cacao_20110822:4:17780485:17783548:1 gene:TCM_018770 transcript:EOY03682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVILRNIFGLAWPRPRPPTQSDTNLFVVLPTLILESLYVSTRVFGSDRLKIPRKFLTFQLNSNCDSAYGVIDRSWSSTHRVEAMEDKQGDGSSKHLINVEVNNYEVQTISRHGSSVFSSSSDSSQDLINVVENDGHDKPLEKSEQPGPESVAPFRVSFMSSTEQSPPNHVPAGQVPGSYEPNRIPSSIFSSRPATPMDWSTASNESLFSIHVGNNSFSKDQFFMLYKSGELTKFDEQIIAQGGLPPLNKLENMTTMNENVKKGSAATEMPENTTMVVDTSEVAEDHSHQKVSPAEEIHKPIINTTTEDLGAVAENHSQENKFPAEVHNSPTNSISARSDGSNNSTLSFAFPVLGSDVGRSSSVNGEQNNKGSQTESVKQPQKPSTEEVQPQTPVTPQNAAGRSWFSWFYCCRHS >EOY03807 pep chromosome:Theobroma_cacao_20110822:4:19440137:19441314:1 gene:TCM_018991 transcript:EOY03807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGMGFVKCCAQLGSRGMVGMAGKLYVPPWCCPEVMAWASPSFLLRVPPFLVFAISPPSIFPCLMAVFIISPEITISAKFFVALPTNRS >EOY02378 pep chromosome:Theobroma_cacao_20110822:4:611125:616174:1 gene:TCM_016874 transcript:EOY02378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein isoform 1 MESFPSLTVTQRDQILVEQQVFNIYKAFYDMPPSSQSLMLELQRENHVEYLTNGLKHLGPSFCVLDANRPWLCYWIIHSIALMDEFLDPELEDNTIDFLSRCQDPNGGYGGGPGQMPHVATTYAAVNSLVTLGGDKALCSINREKLYTFLRRMKDPNGAFRMHDDGEIDVRACYTAISVASLLNILDDDLVQDVGNYILSCQTYEGGIAGEPGSEAHGGYTFCGLATMILINEVDRLDLSSLIDWVVFRQGVEAGFQGRTNKLVDGCYSFWQGGVFALLKRLQSTKGEQSVPMGDGEDSGAGSPQTTASSDATGAEGLNEDSSQAGSHFEQGEPHDASCPVVVENIDHNSSKRRAKVEPLFNCLALQQYILLCAQEPGGGLRDKPGKSRDHYHTCYCLSGLSVCQHSWSEDEDSPPLPSAILGSYSNLLEPIHPLYNVVLDRYQEAHEFFSRS >EOY02377 pep chromosome:Theobroma_cacao_20110822:4:611125:616147:1 gene:TCM_016874 transcript:EOY02377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein isoform 1 MESFPSLTVTQRDQILVEQQVFNIYKAFYDMPPSSQSLMLELQRENHVEYLTNGLKHLGPSFCVLDANRPWLCYWIIHSIALMDEFLDPELEDNTIDFLSRCQDPNGGYGGGPGQMPHVATTYAAVNSLVTLGGDKALCSINREKLYTFLRRMKDPNGAFRMHDDGEIDVRACYTAISVASLLNILDDDLVQDVGNYILSCQTYEGGIAGEPGSEAHGGICQFVACGIASIQYEKASTSSDNLFIRYTFCGLATMILINEVDRLDLSSLIDWVVFRQGVEAGFQGRTNKLVDGCYSFWQGGVFALLKRLQSTKGEQSVPMGDGEDSGAGSPQTTASSDATGAEGLNEDSSQAGSHFEQGEPHDASCPVVVENIDHNSSKRRAKVEPLFNCLALQQYILLCAQEPGGGLRDKPGKSRDHYHTCYCLSGLSVCQHSWSEDEDSPPLPSAILGSYSNLLEPIHPLYNVVLDRYQEAHEFFSRS >EOY02379 pep chromosome:Theobroma_cacao_20110822:4:611788:615819:1 gene:TCM_016874 transcript:EOY02379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein isoform 1 MCSRPWLCYWIIHSIALMDEFLDPELEDNTIDFLSRCQDPNGGYGGGPGQMPHVATTYAAVNSLVTLGGDKALCSINREKLYTFLRRMKDPNGAFRMHDDGEIDVRACYTAISVASLLNILDDDLVQDVGNYILSCQTYEGGIAGEPGSEAHGGYTFCGLATMILINEVDRLDLSSLIDWVVFRQGVEAGFQGRTNKLVDGCYSFWQGGVFALLKRLQSTKGEQSVPMGDGEDSGAGSPQTTASSDATGAEGLNEDSSQAGSHFEQGEPHDASCPVVVENIDHNSSKRRAKVEPLFNCLALQQYILLCAQEPGGGLRDKPGKSRDHYHTCYCLSGLSVCQHSWSEDEDSPPLPSAILGSYSNLLEPIHPLYNVVLDRYQEAHEFFSRS >EOY05334 pep chromosome:Theobroma_cacao_20110822:4:28118500:28129081:-1 gene:TCM_020354 transcript:EOY05334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase, GBA2 type family protein isoform 1 MVTGNIFHCRKNSWPPEEYISRNTLQLFDFDSAAPPKQAWRRRLNSHANILKEFSVTFVEAIKMVRLGIRLWSYIREEASHGRKAPIDPFTRERCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIVPGTCDASPVMANQFSIFISRDGGNKKYASVLAPGQHEGLGKASDEGISSWGWNLSGQHSTYHALFPRAWTVYDGEPDPDLKVSCRQISPFIPHNYRDSSLPTAVFVYTLVNTGKERAKVSLLFTWANSIGGISHLSGDHVNEPFIGEDGVSGVLLHHKTTKGNPPVTFAVAACETQNVNVTVLPCFGLTEESSVTAKEMWGKMMQDGQFDRENFGCGPSMPSSPGETLCAAVSASAWVEPHGKCTIAFALAWSSPKIKFLKGNSYHRRYTKFYGTSERAALKLVHDALTNYKRWEEEIEKWQSPILKDERLPEWYKFTLFNELYFLVAGGTVWIDSSLPSINVNSDQDPLTKVESIDVKVTKDEVNCTHDTVFEHTSTSGCNGSTGVGLKNNGDSAISQNKRSSNYFPHHLKSQDQQYDSDDVGRFLYLEGVEYIMWCTYDVHFYASFALLELFPKIELNIQRDFAKAVLSEDGRKVKFLAEGNYGIRKVRGAVPHDLGTHDPWNEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDMAFGVDVWPAVRAAMEYMEQFDRDDDGLIENDGFPDQTYDTWTVHGVSAYCGCLWLAALQAAAAMALQVGDKFFAETCKSKFFGAKSAFEKKLWNGSYFNYDSGSTSNSKSIQADQLAGQWYTASSGLPPLFDEFKTRSALQKIYDFNVMKVKGGRMGAVNGMHPNGKVDESCMQSREIWTGVTYAVAANMILAGMEEEAFTAAEGIFIAGWSEEGYGYWFQTPEGWTIDGHFRSLMYMRPLAIWSMQWALSIPKAILDAPKNPKLGQVQGIPIYYGSGNKELGCNKKAVIDRVNSLQHILLIKKM >EOY05335 pep chromosome:Theobroma_cacao_20110822:4:28119345:28128577:-1 gene:TCM_020354 transcript:EOY05335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase, GBA2 type family protein isoform 1 MVTGNIFHCRKNSWPPEEYISRNTLQLFDFDSAAPPKQAWRRRLNSHANILKEFSVTFVEAIKMVRLGIRLWSYIREEASHGRKAPIDPFTRERCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIVPGTCDASPVMANQFSIFISRDGGNKKYASVLAPGQHEGLGKASDEGISSWGWNLSGQHSTYHALFPRAWTVYDGEPDPDLKVSCRQISPFIPHNYRDSSLPTAVFVYTLVNTGKERAKVSLLFTWANSIGGISHLSGDHVNEPFIGEDGVSGVLLHHKTTKGNPPVTFAVAACETQNVNVTVLPCFGLTEESSVTAKEMWGKMMQDGQFDRENFGCGPSMPSSPGETLCAAVSASAWVEPHGKCTIAFALAWSSPKIKFLKGNSYHRRYTKFYGTSERAALKLVHDALTNYKRWEEEIEKWQSPILKDERLPEWYKFTLFNELYFLVAGGTVWIGIYNSSLPSINVNSDQDPLTKVESIDVKVTKDEVNCTHDTVFEHTSTSGCNGSTGVGLKNNGDSAISQNKRSSNYFPHHLKSQDQQYDSDDVGRFLYLEGVEYIMWCTYDVHFYASFALLELFPKIELNIQRDFAKAVLSEDGRKVKFLAEGNYGIRKVRGAVPHDLGTHDPWNEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDMAFGVDVWPAVRAAMEYMEQFDRDDDGLIENDGFPDQTYDTWTVHGVSAYCGCLWLAALQAAAAMALQVGDKFFAETCKSKFFGAKSAFEKKLWNGSYFNYDSGSTSNSKSIQADQLAGQWYTASSGLPPLFDEFKTRSALQKIYDFNVMKVKGGRMGAVNGMHPNGKVDESCMQSREIWTGVTYAVAANMILAGMEEEAFTAAEGIFIAGWSEEGYGYWFQTPEGWTIDGHFRSLMYMRPLAIWSMQWALSIPKAILDAPKVNMMDRILISPATFSLSLTETGVRKIANKAKCFGNSVLQCTC >EOY04173 pep chromosome:Theobroma_cacao_20110822:4:22409144:22415811:-1 gene:TCM_019437 transcript:EOY04173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial editing factor 22 MALSPLIRKISSPFYFVSSLDPPKITHLDFATVKAFEFPATPFNFLKPFSSHDNSTIFHTFNFDSFYANLLDSSTRNAHLTQIHAKLVLLDIHQNGFLITKLINSAVNLGEISYARKVFDEFPDPDVFLWNAIVRGYSKCNMFANAIEMYSRMQVLWVSPDGYTLPHVLKACGGLPGFEMGRRVHGQIFRLGFEKDVFVQNGIVAFYAKCGKIESAKVVFDGLELRNVVSWTSMISGYAQNGQPIEALRVFDEMRKMGVMPDWVAFVSAIRAHTDVEDLEHGKSIHGCVIKMGLELEPDLLIALTAMYAKCGQVMVARSFFDQMKVPNLILWNAMISGYAKNGYAEEAVELFRKMISNNIRTDSITARCAVVACAQVGSLGLARWMDNYISKSEHRDDIFVNSALIDMFAKCGNVDMARMVFDRTLEKDVVVWSAMIVGYGLHGRGREALDLYQLMKQAGVCPNDVTFLGLLTACNHSGLVEDGWRLFHCMKDYGIEPRHQHYACVVDLLGRGGYLDQAYDFIMNMPIEPGVSVWGALLSACKIYRHVTLGEYAAEQLFSIESYNTGHYVQLSNLYASVRMWDRVAKVRVMMKEKGLSKDLGYSLIEINGKLQAFRVGDKSHPQSKEIYEELESLERRLKQAGFIPHTDSSLHDLNYEEMEETLCNHSERLAIAFGLISTAPGTTLRITKNLRACINCHSATKLISKLVNREIVVRDANRFHHFKDGVCSCGDYW >EOY05567 pep chromosome:Theobroma_cacao_20110822:4:29019430:29020378:1 gene:TCM_020539 transcript:EOY05567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKGENWEVNNEEQWMENWDRRRRMAVAQVLCYVVHAICVYHVHYRRYINVPGKSSKCKKRKATMWGREFKKIRDTIKDVTEPIPEGNAVRRESTAMLTLKKLLLKIW >EOY03956 pep chromosome:Theobroma_cacao_20110822:4:20705502:20706420:-1 gene:TCM_019176 transcript:EOY03956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRNVQKKKGNGNKNVKDSRFLITITMSLVTQVVNEDDLVAAVIDHVALKSYAHQGLLQAFGFDPILQKQLQDPR >EOY03426 pep chromosome:Theobroma_cacao_20110822:4:15451523:15452032:-1 gene:TCM_018484 transcript:EOY03426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVEKRRSSLFRQHSMEIQLDLVVGSTESGASRPDPTIGSSTPQPDPAAGKRRSGAIVARSGHMVRQIDVLLHQSPFQ >EOY02285 pep chromosome:Theobroma_cacao_20110822:4:308341:310779:1 gene:TCM_016810 transcript:EOY02285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin thioredoxin reductase catalytic beta chain family protein isoform 2 MRKFSEQYARRSGTYFCMDKGVTSVVIKGLAEHKDTLGAPLCPCSVKPTYYRHYDDKAAEVGQGFWNCPCVPMRERKECHCMLFLTPDNDFAGQDQVFIWFTKSFSITSEEIKETTANM >EOY02284 pep chromosome:Theobroma_cacao_20110822:4:307773:310716:1 gene:TCM_016810 transcript:EOY02284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin thioredoxin reductase catalytic beta chain family protein isoform 2 MTLQATSCNFGGISSLLCPPKTSLHRFVIRAKVEPSEKSVEIMRKFSEQYARRSGTYFCMDKGVTSVVIKGLAEHKDTLGAPLCPCRHYDDKAAEVGQGFWNCPCVPMRERKECHCMLFLTPDNDFAGQDQSITSEEIKETTANM >EOY02410 pep chromosome:Theobroma_cacao_20110822:4:704770:712712:1 gene:TCM_016893 transcript:EOY02410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MEHPSEKRYPVNAIDYKLYEEVGEGVSATVCRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLRAHCSFTAGHNLWVVMPYMAGGSCLHIMKSVYSEGFEEPVIATLLREVLKALVYLHAHGHIHRDVKAGNILIDSNGSVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKELVATCLVKDPKKRPTSEKLLKHHFFKHARPHDYLARSILDGLAPLGERFRVLKAKEADLLVQNKALYEDKEQLSQQEYIRGISAWNFNLEDLKSQAALIQDYDDVSSAEDRDGSRKQRDRHDDVGLPAERMSPEMASNSIAATSQEDGLSDLHDLESSLVSFPIKPLQALKGCFDIGEDDEGANSPNWKGATRSESEQIITKSSRAADQDAGRNEGENSGQSSSLPRQVIPEHKKFLSGSLIPDNAFSPKKVTGDGDRDFPQPKFPSDRNYSGPLSYRHRRETNNISSEDASEGAVVQRGRFKVTSADLSPKGPTNCNFNPAIGGSTCPSSLNLTASAVLPSLQCILQQNTVQREEIIRLIKYLEQTYGKPGDLTEVGTNDLLQIPHSSLRERELQSQVIQLQQSIGNLVEELQRQKMRNMQLEKQLSALANNKE >EOY02409 pep chromosome:Theobroma_cacao_20110822:4:704683:712712:1 gene:TCM_016893 transcript:EOY02409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MEHPSEKRYPVNAIDYKLYEEVGEGVSATVCRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLRAHCSFTAGHNLWVVMPYMAGGSCLHIMKSVYSEGFEEPVIATLLREVLKALVYLHAHGHIHRDVKAGNILIDSNGSVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKELVATCLVKDPKKRPTSEKLLKHHFFKHARPHDYLARSILDGLAPLGERFRVLKAKEADLLVQNKALYEDKEQLSQQEYIRGISAWNFNLEDLKSQAALIQDYDDVSSAEDRDGSRKQRDRHDDVGLPAERMSPEMASNSIAATSQEDGLSDLHDLESSLVSFPIKPLQALKGCFDIGEDDEGANSPNWKGATRSESEQIITKSSRAADQDAGRNEGENSGQSSSLPRQVIPEHKKFLSGSLIPDNAFSPKKVTGDGDRDFPQPKFPSDRNYSGPLSYRHRRETNNISSEDASEGAVVQRGRFKVTSADLSPKGPTNCNFNPAIGGSTCPSSLNLTASAVLPSLQCILQQNTVQREEIIRLIKYLEQTYGKPGDLTEVGTNDLLQIPHSSLRERELQSQVIQLQQSIGNLVEELQRQKMRNMQLEKQLSALANNKE >EOY04558 pep chromosome:Theobroma_cacao_20110822:4:24991723:25002379:1 gene:TCM_019792 transcript:EOY04558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding dehydrogenase family protein MATGAIDEASNMKVILKHHVSGSPQETDMHLTAGTIKLKASGGSNAVVVKNLFLSCDPYMIFKMMKLERHYSDSYTPGSPITGYGVAKVLDSAHPDFQKDDLVWGLTGWEEYSLITETNHLFKIQHTDVPLSFYAGILGMPGMTAYIGFYELCSPQKGEYVFVSAACGAVGQLVGQFAKLQGCYVVGSAGSNEKVDLLKNKFGFDEAFNYKEEPDFTATLKRFFPEGIDIYFDNVGGKMLDAVLLNLRVRARIAVCGMISQYNLEQPEGVHNLTSIVMKQARMQGYLVFEYYHLYPKYLEMILPKIREEKVVYVEDIADGLENAPAALVGLFSGRNIGKQVLRMAGGEQVVRNKQVILKNYVTEGLPKESDMEAREGSIQLKVPEGTKDAVVVKNLYLSCDPYMRNRMKKLETSSYVASFETGLPLSGYGVAKVLDSTLPDFKNGDFVWGMTGWEEYSLIIEPDRLFKIQHTDVPLTYYTGLLGMAGMTAYAGFYEVCSPKKGEYVYVSAASGAVGQLVGQFAKLLDCYVVGSAGSKEKVELLKNKFGFDAAFNYKEEPDLDAALKRYFPEGIDIYFENVGGKMLDAVLLNMRVHGRIAACGMISQYNQGRPEGVHNLMHLVGKQVRLQGFLVGDFYHLYPKFLEMIIPYIKEGKIAYVEDIAEGLESAPAALVGLFTGRNVGKQLVVVSHD >EOY06627 pep chromosome:Theobroma_cacao_20110822:4:32489201:32490117:-1 gene:TCM_021291 transcript:EOY06627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major allergen Pru ar 1, putative isoform 1 MIHFFHTWKILHSFICISTTSISRICHTTQRENSFKQLILLSLSSTNSIMGVFTYEMKVATVIPPARMFQAFVLDSDNLIPKILPQAIKSVETLEGDGGAGTAKQVNFGEGYQFKTVKNRIDGIDKEKFTYSYTVIEGDALMNTLEKICNEIKFQASPEGGSICKSSSTYYTIGDIEIKDEEIKSGKEKASGMFKAVEAHLLANPDTYN >EOY06626 pep chromosome:Theobroma_cacao_20110822:4:32489180:32490197:-1 gene:TCM_021291 transcript:EOY06626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major allergen Pru ar 1, putative isoform 1 MIHFFHTWKILHSFICISTTSISRICHTTQRENSFKQLILLSLSSTNSIMGVFTYEMKVATVIPPARMFQAFVLDSDNLIPKILPQAIKSVETLEGDGGAGTAKQVNFGEGSQFKTVKNRIDGIDKEKFTYSYTVIEGDALMNTLEKICNEIKFQASPEGGSICKSSSTYYTIGDIEIKDEEIKSGKEKASGMFKAVEAHLLANPDTYN >EOY04870 pep chromosome:Theobroma_cacao_20110822:4:26282911:26284230:-1 gene:TCM_020036 transcript:EOY04870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative isoform 1 MAVTSLTKATTLKCRLSSTQFFSTVPPKVPQYRYQISLANLLQRCGFPPSQFHTFLARNHSLLNHSDLHDIQNSLNILLSFKIPQNSLISLLSDCPAVLDSNFLKKWQIGISKFGNLDISPLVISNVLALSRRFQIDPDGFLKSFGALKGLGFNGGVLTRVLEGFPRVIMMKENEICRKVEFFEGIGIPRYGIERVFYLFPEVLGLDIGNRLKPLLEEFVELGFSENEVREEIVRDPRVLGMALGEMSRCLGLLRTLKCRVPIKDRIFSEGEFRAGLEVKLRVDCLCKHGLIHREAFKILWKEPRLVLYEIEEIEKKIEFLVNRMKYGVGCLVKVPEYLGVNFDKQIVPRYNVIEYLKSNGALGLEIGLKSLIKPSRLRFYNLYVKPYPECEKLFGRFVEDAGHQRRHPVGMWKLFKPQKYTESKEDVKNMKSFMEPLV >EOY04872 pep chromosome:Theobroma_cacao_20110822:4:26280739:26284270:-1 gene:TCM_020036 transcript:EOY04872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative isoform 1 MAVTSLTKATTLKCRLSSTQFFSTVPPKVPQYRYQISLANLLQRCGFPPSQFHTFLARNHSLLNHSDLHDIQNSLNILLSFKIPQNSLISLLSDCPAVLDSNFLKKWQIGISKFGNLDISPLVISNVLALSRRFQIDPDGFLKSFGALKGLGFNGGVLTRVLEGFPRVIMMKENEICRKVEFFEGIGIPRYGIERVFYLFPEVLGLDIGNRLKPLLEEFVELGFSENEVREEIVRDPRVLGMALGEMSRCLGLLRTLKCRVPIKDRIFSEGEFRAGLEVKLRVDCLCKHGLIHREAFKILWKEPRLVLYEIEEIEKKIEFLVNRMKYGVGCLVKVPEYLGVNFDKQIVPRYNVIEYLKSNGALGLEIGLKSLIKPSRLRFYNLYVKPYPECEKLFGRFVEDAGHQRRHPVGMWKLFKPQKYTESKEDVKNMKSFMEPLV >EOY04873 pep chromosome:Theobroma_cacao_20110822:4:26280739:26284248:-1 gene:TCM_020036 transcript:EOY04873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative isoform 1 MAVTSLTKATTLKCRLSSTQFFSTVPPKVPQYRYQISLANLLQRCGFPPSQFHTFLARNHSLLNHSDLHDIQNSLNILLSFKIPQNSLISLLSDCPAVLDSNFLKKWQIGISKFGNLDISPLVISNVLALSRRFQIDPDGFLKSFGALKGLGFNGGVLTRVLEGFPRVIMMKENEICRKVEFFEGIGIPRYGIERVFYLFPEVLGLDIGNRLKPLLEEFVELGFSENEVREEIVRDPRVLGMALGEMSRCLGLLRTLKCRVPIKDRIFSEGEFRAGLEVKLRVDCLCKHGLIHREAFKILWKEPRLVLYEIEEIEKKIEFLVNRMKYGVGCLVKVPEYLGVNFDKQIVPRYNVIEYLKSNGALGLEIGLKSLIKPSRLRFYNLYVKPYPECEKLFGRFVEDAGHQRRHPVGMWKLFKPQKYTESKEDVKNMKSFMEPLV >EOY04871 pep chromosome:Theobroma_cacao_20110822:4:26282911:26284230:-1 gene:TCM_020036 transcript:EOY04871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative isoform 1 MAVTSLTKATTLKCRLSSTQFFSTVPPKVPQYRYQISLANLLQRCGFPPSQFHTFLARNHSLLNHSDLHDIQNSLNILLSFKIPQNSLISLLSDCPAVLDSNFLKKWQIGISKFGNLDISPLVISNVLALSRRFQIDPDGFLKSFGALKGLGFNGGVLTRVLEGFPRVIMMKENEICRKVEFFEGIGIPRYGIERVFYLFPEVLGLDIGNRLKPLLEEFVELGFSENEVREEIVRDPRVLGMALGEMSRCLGLLRTLKCRVPIKDRIFSEGEFRAGLEVKLRVDCLCKHGLIHREAFKILWKEPRLVLYEIEEIEKKIEFLVNRMKYGVGCLVKVPEYLGVNFDKQIVPRYNVIEYLKSNGALGLEIGLKSLIKPSRLRFYNLYVKPYPECEKLFGRFVEDAGHQRRHPVGMWKLFKPQKYTESKEDVKNMKSFMEPLV >EOY05358 pep chromosome:Theobroma_cacao_20110822:4:28240136:28250690:1 gene:TCM_020378 transcript:EOY05358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MDVAAPRQLVYCGIDPVRFSVPRSNRVSIRTRTRRVLAVATEPKPARNGPSQPSPSKNNINGSSQSPSSKKSVNGASTRMGEVSQEIKRVRAQMEENEQLAILMKGLRGQNLRDSQFADDNIQLRLVEVDESSEFLPLVYDPASISVYWGKRPRAVATRIIQLLSVAGGFLSRLALDVINKKVKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPVAMTELQKLCDKVPSFPDDIAMALIREELGQPWQEVYSELSSSPIAAASLGQVYKGRLKENGDLVAVKVQRPFVLETVTVDLFIIRNLGLVLRKFPQISVDVVGLVDEWAARFFEELDYVKEGENGSLFSEMMRKDLPQVVIPRTYHKYTSRKVLTTEWIEGEKLSQSTESDVGELVNVGVICYLKQLLDTGFFHADPHPGNLIRTPAGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYAEIVKDFVKLDFIPQGVNLEPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPDFAIVDEAYPYIAQRLLTDESPRLRNALRYTIYGKSGVFDADRFIDVMQAFENFITAAKSGGGENLKGDMAELGLLQNQAAIAFPRFLPSESQSNQPIQTRAALAFLLSEKGNFFREFLLDEIVKGIDALTREQLVQLMSVLGVRNAAPVFSMVPTVGPFKPAGLLPSMTEEDKIILNNVQKIVEFLTAGSSISATSNQGVNVAQAVQELLPLLPGISARVLPEVISRLSSRIYPNFLHRFYGTLVFIKSSSRTTNQRKRRFRVSLSMAVHLEAGTCFTLNLGQFGNGFDDNDAYYLWTMLPAKIIIIIM >EOY05839 pep chromosome:Theobroma_cacao_20110822:4:29969782:29972526:1 gene:TCM_020736 transcript:EOY05839 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein gamma subunit 2 MQSGRSQSVVTPIPQRVQSFQAADTRGKHRIQAELKRLEQEARFLEEELEQIERMEKASAACKEMLSNVESRPDPLLPVTNGPLNPLWDRWFEGPQDAQGCKCWIL >EOY02754 pep chromosome:Theobroma_cacao_20110822:4:2100696:2101980:1 gene:TCM_017152 transcript:EOY02754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLEVKASIQSLSLAMQTLEDRIVAQILDGLKSQHDDADDGQHHEPDVDIDDDVLGVDGEHITHIDDVVDASAGGDGEPDSVVAERKHFLPTDAFVDAAVGAILMQLRYNHRHQSHLLSIMAQQKFQTQLRGTAENDEQIHGKPVRQPLSDPSRCEG >EOY06184 pep chromosome:Theobroma_cacao_20110822:4:31229074:31236831:1 gene:TCM_020996 transcript:EOY06184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein, putative MFKQDLINHANRLASWTVDKDCCDWVGVVCDNVTGHVLQLHLTNPLSSPGNLYARDADYEAFERSKLRGKINPSLLMLKHLNYLDLSNNAFEGIPIPKFLGSIESLRYLNLSHAGFKGLVPHQLGNLSSLQILNLADDEGYLYVANLQWLSGLSSLEHLDLSNVSLIEVSNWLKVVNTLPSLQELYLSGCQLPQVPPPANLNLSSLTILDLSSNSLENTLVDFSWIFQLKSLVSLDLSGNNFQGCIFDGLENMTSLTHLDLSDNSFNSSIPDWLYNLNSLQFLSLRFNYLQGLISSAVGNMSSAISLDFSGNELEGKIPRSMGNLCNLKSIDYSGVNLSQDISDILESLSGCVSKQLVFLGLSGCQLSGQLSNRLVNFKNLKELYLFNNSISGPIPLSIGQLSSLSVLFLGRNKLTGQLPESVGRLANLEIFSFSHNLLSGVVSEIHFDNLTKLKLLLASGTPLVLKVRPNWIPPFQLTTLKLRYWHVGRQFPLWLHSQKYLRYVDISNSGISDSIPSWVWNSPFQIYYLNLSHNQIHGQIPDIPRTAFVDSIIDLSFNSFSGPLPQVSSNVSFLDLSNNLLLGSLFHLLCYKLKETMRIKILNLGENFLSGEIPDCWMNWQNLRILKLDNNNLTGRIPNSIGILQSLQLLHLNGNHLSGEIPLSLKNCTNLMLLDFDDNEFHGHIPKWLGHGFPKLKVLILRSNKFSGYIPDQLCALDSLQVLDLSYNDLFGSLPRCLSNFSAMVKTSGTTETYTSLAPLIVMKGQMLDYQILSRIFVASIMMKGQMLEYSTTLDLVRSIDFSNNKLSGEIPVEVTNLLGLGSLNLSNNLLTGTIPKNIGVMKSLESVDFSLNKLSGRIPESISTLTFLNHLNLSYNNLIGQIPSSTQLQSLEPSNFVGNQLCGLPLPNKCFANGTIPNTRNGREENDKGFVTDWFWFGMAYGFVIGFWSVFLPLVIDRRQWRSIYARFTIQTNLGNR >EOY04745 pep chromosome:Theobroma_cacao_20110822:4:25766035:25770609:1 gene:TCM_019932 transcript:EOY04745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNTLQKLPQNQKAQISESPSQKGEISKSQEPDSDFTCEICIENVSADNKFKNRSMCKHDFCSDCIAKYIEAKTGLPVRIARRSFVFDARVHGMRVMDVGRRECSEIRTMF >EOY02840 pep chromosome:Theobroma_cacao_20110822:4:2536319:2537900:-1 gene:TCM_017247 transcript:EOY02840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding protein-like (SBP domain) transcription factor family protein MDLDSEDSALGLSQLEDTGQNNGGLSVDSKLGGLRNVRVKSLNKFKNSRGLKMESPPSGSIKRSAVAEGNVRISCLVDGCKSDLGKYREYYRRHRVCEHHSKDPVVTIGGEEQRFCQQCSRFHAVGEFDDEKRSCRKRLDGHNRRRRKYRPESLFTSAESNLGNTILQFSVATPATVYDSYEQLDLVGRLKPPNPLACIKHVENREFAGLLTHDHERINQVIPVVSDGRRFANVIPSSEGGRGSQKMQANGIIRPIDSYCALSLLSPLVPLHSNVIHSIAQASSSHGGMGQPFSSTLIPERNQTLQWQHDPSSLAQKIARRPGLDYI >EOY04733 pep chromosome:Theobroma_cacao_20110822:4:25725656:25734020:-1 gene:TCM_019920 transcript:EOY04733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 4 MAAKSHIITGLTLPLKPTASSSSSSSSNNSSGLCLVKKPLTSSFFNGGVAALKVTRIRTLPGRSHCHRQGGGALGTRMNLFDRFARVVKSYANSILSSFEDPEKILDQAVLEMNDDLVKMRQATAQVLASQKRLENKYKAAEQASEDWYRKAQLALKKGEEDLAREALKRRKSYADNANSLKAQLDQQKSVVENLVSNTRLLESKIQEAKSKKDTLKARAQSARTATKVNEMVGNVNTSSALSAFEKMEEKVMAMESEAEALGQLTTDDLEGKFALLESTSVDDDLASLKKELSGSSQKGELPPGRTVSASSNKTFPYRDSEIEMELNELRQKAKDF >EOY05163 pep chromosome:Theobroma_cacao_20110822:4:27448158:27448831:-1 gene:TCM_020240 transcript:EOY05163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSARASTQTGRTGAQGNGAQQSRQTGVQLGGANCPGSLPGARETLANPRPAGQPLSAVGNRTRGTSGGLRRVQNSP >EOY04184 pep chromosome:Theobroma_cacao_20110822:4:22459444:22466167:1 gene:TCM_019446 transcript:EOY04184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane isoform 2 MYRYLTQTGASVVLFIFGCLVPSSILFLLLQKPWKGRPLSNAQVVPSLINGAITAVYFILWGKGLKSCGPLSRAIMAEYSGAVLGVLSAVLYGRRGHVWKKVGGLIAMLASFYFLSQGWALATFSPFSFRDSLDSDVQAEQVLGMSQMMIPILAGILSALRRVIARRVSLKNQLKRRLHAITIASATCFLFPVAMWDLIIGSSGSSVELPFSAWAFLSTILFGIILIFYVDSIAEEKLHMVFSSPRHLMAAGGCIIVMEMVYKMDFSLAGFVICSSILGFGIYEATALERGRKNSFQKPDVSNGMLDDELEMSSLPT >EOY04183 pep chromosome:Theobroma_cacao_20110822:4:22459365:22466167:1 gene:TCM_019446 transcript:EOY04183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane isoform 2 MMSPKPISDDRGSPHFRHTPLQIIHVVGNFLRIWSVYSMYRYLTQTGASVVLFIFGCLVPSSILFLLLQKPWKGRPLSNAQVVPSLINGAITAVYFILWGKGLKSCGPLRAIMAEYSGAVLGVLSAVLYGRRGHVWKKVGGLIAMLASFYFLSQGWALATFSPFSFRDSLDSDVQAEQVLGMSQMMIPILAGILSALRRVIARRVSLKNQLKRRLHAITIASATCFLFPVAMWDLIIGSSGSSVELPFSAWAFLSTILFGIILIFYVDSIAEEKLHMVFSSPRHLMAAGGCIIVMEMVYKMDFSLAGFVICSSILGFGIYEATALERGRKNSFQKPDVSNGMLDDELEMSSLPT >EOY04185 pep chromosome:Theobroma_cacao_20110822:4:22460976:22465725:1 gene:TCM_019446 transcript:EOY04185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane isoform 2 YRYLTQTGASVVLFIFGCLVPSSILFLLLQKPWKGRPLSNAQVVPSLINGAITAVYFILWGKGLKSCGPLRAIMAEYSGAVLGVLSAVLYGRRGHVWKKVGGLIAMLASFYFLSQGWALATFSPFSFRDSLDSDVQAEQVLGMSQMMIPILAGILSALRRVIARRVSLKNQLKRRLHAITIASATCFLFPVAMWDLIIGSSGSSVELPFSAWAFLSTILFGIILIFYVDSIAEEKLHMVFSSPRHLMAAGGCIIVMEMVYKMDFSLAGFVICSSILGFGIYEATALERGRKNSFQKPDVSNGMLDDELEMSSLPT >EOY02499 pep chromosome:Theobroma_cacao_20110822:4:1125844:1128089:-1 gene:TCM_016963 transcript:EOY02499 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein MDTMLCDELLQEIFRRLPSTLSSSLSVSLVSKRWLNLYRSSKTSLSLRFLPHASVIDSLSSLLSYYPSLSSLSLVLSDATTDSTSKNSSTAFYDHLLLVVSSCCSNLHHLRFLAGPVSVSSLLSLSKACSHLTSITVSLSRPLYFNWVVSFSCLKELCFYVCSTDGVDDEVGGIRLCLNEELDAEFGLESLCLSGIQADDKGVGWLWRNCKRLKKLQLKSCESIGDGESFSSFILCVEGLQELELRKCRSIVDWVLLRLAENCASLNSLLVYDGGSREGLLDFISTCRCNLQKLDLRLPLDLNNDHLVAVAMNLRGLSTLRLQSCCLVTGEGLKTLGTALHSSLEELALINCDVVEREPGLLATLGQNLRMLRKLDLSYNETLLDKEFISMLVSCNHLTELKLRGCRRLTATALVSISKTCKRLESVDIMNCPGIEARAVEFFVLNCPQLRQMQVEESKLSAISRNCIKL >EOY06178 pep chromosome:Theobroma_cacao_20110822:4:31205287:31207300:1 gene:TCM_020989 transcript:EOY06178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHNFKVKFLSHLISLERNGMVVVVFTFTNSLFVFSLRKRSQELGKLVGWFLKRDHFVLNEHNLGLDYSAFVLYQCFWSMMILSPSLSLFLLEFALKKLFDGRVRLSEA >EOY02346 pep chromosome:Theobroma_cacao_20110822:4:503178:504515:1 gene:TCM_016855 transcript:EOY02346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENSSTVMKERLGFFEIIKDSLKIAFKNPNFILFTFLTSLPLFCFLVLYEIILQYVLIETGKILQEAADPFQIFGDGYERLVDIENLLGKVSSKVLLLGFIHLGIIHFLDLFNTIATVDVASIIYAGEKSISLKDMLCRPVKETRFKGPLITSICSLCLAFLILLGLLSFATYIYITSADVLFMMLFVVLFIALLAKFMEWSSIWNMGIVISILEEKQGDVALLVSSYLSRRNRAYGFFIMFGFFAWRLALRFSCLYQGWDNGGSTIVVTVGHIGLVCLANLFKWVAIMVYFYGCKKQSSYQYTDEEEAKFRNDLQAEPI >EOY02552 pep chromosome:Theobroma_cacao_20110822:4:1297541:1305595:1 gene:TCM_016993 transcript:EOY02552 gene_biotype:protein_coding transcript_biotype:protein_coding description:S tudor-like domain MSSPDIALILENSRELDRLRKEQEDVLVEINKLHKKLQATPEVVEKPGDSSLSRLRTLYIQAKDLSEREVSISNLLLSQLDSFLPSGPPGQQRRKMDGSEQKRKRMKSDSDISRLSPSMRSHIEACVSLKDEQVAARVTSDAEKDEWFVVKVINFDEKTKEFEVLDEEPGDDEEGSGQRKYKLPVSCIIPFPKRNDPSSTQEFPAGRHVLAVYPGTTALYKATVISTPRKRKSDEYLLEFDDDEEDGALPQRTVPFHKVVPLPEGHRQ >EOY06641 pep chromosome:Theobroma_cacao_20110822:4:32526378:32530095:-1 gene:TCM_021301 transcript:EOY06641 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein-7 precursor MGLKSYNLLLIFKLLLVFLSVLPHSMSQTEEAPSPAANSCNGVFLSYTYSSGTKLKPTDPTHQPYRFESVLTVLNNGDEKLKSWRVFVGFKNDELLVSASNAVLADGTSLPANVGNGTHFAGYPMSDLKTAIETAGDLSQMQVQVKLVGTQFGVAAPDVPLPENIELANDGFVCPTASLQGKNEMQVCCTKDPKFKTNVTVDEEFLPRQSGDLTIMYDVTRTYDSSYWAQVTISNNNPLGRLDNWKLSFDWMRDEFIYTMKGAYPYVVDSSDCIFGPQGTYYRDLDFGNVLNCERRPTIIDLPPTKANDTTLGLIPKCCRNGTILPRTMDPSKSSSVFQMQVFKMPPDLNRSELSPPQNWKINGTLNPDYKCGPPVRVSPSQFPDPSGLPNTTAVASWQVVCHITQPKGASPKCCVSFSSYYNDSVVPCRTCACGCSNTAHPCSTTAPAILLPPEALLIPFENRTAMAKAWADLKHLTVPNPTPCGDNCGVSINWHVYTDYSRGWSARITIFNWDETAFPDWFAAVQMDKATPGFQKMYSFNGSALELNGVNNTIFMQGLPGLNYIVGETDGANPQKDPRVPGKQQTVISFTKKSTPGINVAAGDGFPSKVFFNGEECALPSVLPTNNSNRKGSTTVLSLFIAVLVFMLVQQ >EOY03775 pep chromosome:Theobroma_cacao_20110822:4:19179437:19185955:1 gene:TCM_018954 transcript:EOY03775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNYELKALKDNGTWSVLPLLIDSFAIGCKWVFKVEMNADDYSLFTMTIVNGEFSALLVYVDDIVIASTTIQAVNHVKQYLSFQFKLKDLGTLKYFLGLEIARS >EOY03008 pep chromosome:Theobroma_cacao_20110822:4:3540089:3547290:1 gene:TCM_017410 transcript:EOY03008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVEPLFRAVASRDFTTYSAAVDRAQRIEMRTNESRAARDRAKKGKTEGYQGRRDFSSGGSSSSRQGPQRDSRLPQQGSDAPGANIRVGQRTFNSRRQQDSRQSSQVIRSCDTCGRRHSGR >EOY04352 pep chromosome:Theobroma_cacao_20110822:4:24015475:24021892:1 gene:TCM_019630 transcript:EOY04352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 4 MERRRAIDDYEPGPVPPQRPVDRFGFIKQDVSNTPDGLVKSRLAVEYEREARRVRKWRKMIGVGGSDWKHYARRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQVGLPLVQQYLFQFEQLVREHLPKLGEHFSQEMINPSMYASQWFITVFSYSFPFPLALRIWDVFLYEGVKIVFKVGLALLKYCHDDLVIIL >EOY04351 pep chromosome:Theobroma_cacao_20110822:4:24015475:24021892:1 gene:TCM_019630 transcript:EOY04351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 4 MERRRAIDDYEPGPVPPQRPVDRFGFIKQDVSNTPDGLVKSRLAVEYEREARRVRKWRKMIGVGGSDWKHYARRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQVGLPLVQQYLFQFEQLVREHLPKLGEHFSQEMINPSMYASQWFITVFSYSFPFPLALRIWDVFLYEGVKIVFKVGLALLKYCHDDLVIIL >EOY04350 pep chromosome:Theobroma_cacao_20110822:4:24016136:24022282:1 gene:TCM_019630 transcript:EOY04350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 4 MERRRAIDDYEPGPVPPQRPVDRFGFIKQDVSNTPDGLVKSRLAVEYEREARRVRKWRKMIGVGGSDWKHYARRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQVGLPLVQQYLFQFEQLVREHLPKLGEHFSQEMINPSMYASQWFITVFSYSFPFPLALRIWDVFLYEGVKIVFKVGLALLKYCHDDLIKLSFEKLIHALRNFPEDAMDPDTLLPMAYSIKVSRSLEESKNEYEKKNGKLMQSTEFIRKQKQLQ >EOY04349 pep chromosome:Theobroma_cacao_20110822:4:24015484:24022983:1 gene:TCM_019630 transcript:EOY04349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 4 MERRRAIDDYEPGPVPPQRPVDRFGFIKQDVSNTPDGLVKSRLAVEYEREARRVRKWRKMIGVGGSDWKHYARRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEGIMVPTSKVFLSLYVTFGFVGSGVCPSLSILAHYLMEQQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQVGLPLVQQYLFQFEQLVREHLPKLGEHFSQEMINPSMYASQWFITVFSYSFPFPLALRIWDVFLYEGVKIVFKVGLALLKYCHDDLIKLSFEKLIHALRNFPEDAMDPDTLLPMAYSIKVSRSLEESKNEYEKKNGKLMQSTEFIRKQKQLQ >EOY03461 pep chromosome:Theobroma_cacao_20110822:4:16158546:16160158:1 gene:TCM_018553 transcript:EOY03461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDMEATTTIKNITALEASRAPNAQHSVRGGAARPSTISHACSSVRNAAGNACVCPQGIMGTKLCALATTTGRPRKEDPSALEESFPIFLPLSLFPTESSSLIIVKHSIALLLTIYLRFVLLEMCWILYHFVTP >EOY03222 pep chromosome:Theobroma_cacao_20110822:4:8439273:8458522:1 gene:TCM_017886 transcript:EOY03222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRACLINLGVRWDRYLPVVEFAHNNSFQASIQMAPFEALYGRRCRSPIGWLEVGERKLLGTKLVQDATEKIRMIRQRMLSAQSRQKSYVDNRWRNLEFQVGDHVLLKVSPTKRVMRFCMKGKLSPRYIGPFEILERVGAMAYHLALQPELSNIHLVFYVSMLTKYNLNPSHVIRYETIQLKDDLNYKEQPVALLDLQVKKLRSKDVASIKVLWENHTSEEVMWEAEEEMRTKDAHELGEMRCPAMCTMWGDAHELGEMGCPAMCTMLGDAHGLGEMGCPAMCTMWGDAHELSEMGCPAMCMMWGYAHELDEM >EOY06488 pep chromosome:Theobroma_cacao_20110822:4:32085689:32086461:1 gene:TCM_021193 transcript:EOY06488 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding MRPLDEKETTAVFEKIFKFTGNNLKNIVENPSHEGPDQNPGRYCFRLHKNKVYYVSESLVKRATNVARPKLASIGTCIGKFTHGGNFHLTVECLNLLAANAKHKVWLKPTSEMSFLYANHVLKGGLGRITESIAPGDGVVIFSMSDLPLGFGIAAKSTQDCRKLDPNAIVVLHQADIGEYLRMENQSEQLIEE >EOY05322 pep chromosome:Theobroma_cacao_20110822:4:28078843:28081394:1 gene:TCM_020346 transcript:EOY05322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase superfamily protein, putative isoform 2 MPSTTDYARASGKEIQSVTTYFDVVDYGAAGDGQTDDSEAFNKAWTDVCSANTSQEAATLHVPPGKTFLLKPVAFKGPCKANSIHFQLRGTLIAPLGTSWSGDTLNTWIQFAWIDNLILDGDGKIDGQGSDWWKSCMIKATFGSSISCQQRPGALNFYACNGLRLHGLTHLNSPRTHIAIKGCVGVSISNIHIIAPEDSPNTDGIDIATSSNVVIQDSRIGTGDDCVAINGGSSFINITGVDCGPGHGISSIGSLGDGGANDTVVEVHVNSCNFNHTQNGVRIKTFQNRSGFARNIYFEHIGFDNVGNPIIIDQFYKDKGKPPQEVLETAAGIQVSHVTYSDIHGSSASDVAIDLSCSNVVGCSNIVMDNINITSAVPGQDIHAFCKNAQGTAASIHPEVPCLS >EOY05321 pep chromosome:Theobroma_cacao_20110822:4:28078241:28083643:1 gene:TCM_020346 transcript:EOY05321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase superfamily protein, putative isoform 2 MPSTTDYARASGKEIQSVTTYFDVVDYGAAGDGQTDDSEAFNKAWTDVCSANTSQEAATLHVPPGKTFLLKPVAFKGPCKANSIHFQLRGTLIAPLGTSWSGDTLNTWIQFAWIDNLILDGDGKIDGQGSDWWKSCMIKATFGSSISCQQRPGALNFYACNGLRLHGLTHLNSPRTHIAIKGCVGVSISNIHIIAPEDSPNTDGIDIATSSNVVIQDSRIGTGDDCVAINGGSSFINITGVDCGPGHGISIGSLGDGGANDTVVEVHVNSCNFNHTQNGVRIKTFQNRSGFARNIYFEHIGFDNVGNPIIIDQFYKDKGKPPQEVLETAAGIQVSHVTYSDIHGSSASDVAIDLSCSNVVGCSNIVMDNINITSAVPGQDIHAFCKNAQGTAASIHPEPLADIQTLSSLYTGAGDQACNTKTSPIAPHPNQCGGGLGGGGGGGGGLGGGAGGGLGGGGGLGGGAGGGLGGGXXXXPWWWP >EOY04325 pep chromosome:Theobroma_cacao_20110822:4:23892076:23894290:1 gene:TCM_019609 transcript:EOY04325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSGNQAQDDLLGSKALDQNSEAFTRRIVALLVEIEECMHELEEALAGLEEVIALINKINCFFGAILVVLCLFKLLKSVELL >EOY04456 pep chromosome:Theobroma_cacao_20110822:4:24399814:24409312:1 gene:TCM_019701 transcript:EOY04456 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-level expression of sugar-inducible gene 2, putative isoform 1 MASKSCMNGLCGASTSIEWRKGWTLRSGDFANLCDKCGSAYEQLIFCDVFHSKDSGWRECTSCGKRLHCGCIASRCLLELLDSGGVNCISCTKKSGFNPMIEDVKPNGFSIVKGDAGQLHSTSADNQLSGVSIENLKLMQLTSNAESIGLRQMLQLHNDDASGSLGQMKQEEVLPPAREIGSTCMSNINQVSNGSVQSVKPNICKANIYDSLPQTNLSISLGGPLGNQNVFPGSVVDEKGKMSSVLQQASKSRHLLPKPPKSVLATGLEVNAGMVPPIRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLKIQDVKGKEWMFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLVMGFRKATNTAAAQETLPSAIPNGSLSSESFFSGVFENLPIISGYSGLLQSLKGSTDPHLNALSKHLSSASGDISWHKSDKHEDRTREGLLLPSMLAPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPSIKPSVVTIENHDFEEYDEPPVFGKRSIFAVRSNGGQEQWAQCDSCSKWRRLPVDALLPPKWTCADNNWDQSRSSCSAPDELTPREVENLLRLNKDVPTMSEDFKKRRIVAYHRPTQEHESSGLDALANAAILGDNVDNLGTTSVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQRNQQAWGSREEAEVDSTSKHVSSHHDPSENEARSVNELESKSQGHNLPPKVVESNKGQIDLNCDPDREDDSQLGSTHVSMMNLLQVASLPLETYLKENGLTSLISEQPANSASHAPPQIIAEGDAQDNSCFPSATEERESKDEENGETGSDRVENDP >EOY04457 pep chromosome:Theobroma_cacao_20110822:4:24399814:24409318:1 gene:TCM_019701 transcript:EOY04457 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-level expression of sugar-inducible gene 2, putative isoform 1 MASKSCMNGLCGASTSIEWRKGWTLRSGDFANLCDKCGSAYEQLIFCDVFHSKDSGWRECTSCGKRLHCGCIASRCLLELLDSGGVNCISCTKKSGFNPMIEDVKPNGFSIVKGDAGQLHSTSADNQLSGVSIENLKLMQLTSNAESIGLRQMLQLHNDDASGSLGQMKQEEVLPPAREIGSTCMSNINQVSNGSVQSVKPNICKANIYDSLPQTNLSISLGGPLGNQNVFPGSVVDEKGKMSSVLQQASKSRHLLPKPPKSVLATGLEVNAGMVPPIRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLKIQDVKGKEWMFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLVMGFRKATNTAAAQETLPSAIPNGSLSSESFFSGVFENLPIISGYSGLLQSLKGSTDPHLNALSKHLSSASGDISWHKSDKHEDRTREGLLLPSMLAPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPSIKPSVVTIENHDFEEYDEPPVFGKRSIFAVRSNGGQEQWAQCDSCSKWRRLPVDALLPPKWTCADNNWDQSRSSCSAPDELTPREVENLLRLNKDFKKRRIVAYHRPTQEHESSGLDALANAAILGDNVDNLGTTSVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQRNQQAWGSREEAEVDSTSKHVSSHHDPSENEARSVNELESKSQGHNLPPKVVESNKGQIDLNCDPDREDDSQLGSTHVSMMNLLQVASLPLETYLKENGLTSLISEQPANSASHAPPQIIAEGDAQDNSCFPSATEERESKDEENGETGSDRVENDP >EOY04458 pep chromosome:Theobroma_cacao_20110822:4:24399964:24409318:1 gene:TCM_019701 transcript:EOY04458 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-level expression of sugar-inducible gene 2, putative isoform 1 MASKSCMNGLCGASTSIEWRKGWTLRSGDFANLCDKCGSAYEQLIFCDVFHSKDSGWRECTSCGKRLHCGCIASRCLLELLDSGGVNCISCTKKSGFNPMIEDVKPNGFSIVKGDAGQLHSTSADNQLSGVSIENLKLMQLTSNAESIGLRQMLQLHNDDASGSLGQMKQEEVLPPAREIGSTCMSNINQVSNGSVQSVKPNICKANIYDSLPQTNLSISLGGPLGNQNVFPGSVVDEKGKMSSVLQQASKSRHLLPKPPKSVLATGLEVNAGMVPPIRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLKIQDVKGKEWMFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLVMGFRKATNTAAAQSLKGSTDPHLNALSKHLSSASGDISWHKSDKHEDRTREGLLLPSMLAPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPSIKPSVVTIENHDFEEYDEPPVFGKRSIFAVRSNGGQEQWAQCDSCSKWRRLPVDALLPPKWTCADNNWDQSRSSCSAPDELTPREVENLLRLNKDFKKRRIVAYHRPTQEHESSGLDALANAAILGDNVDNLGTTSVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQRNQQAWGSREEAEVDSTSKHVSSHHDPSENEARSVNELESKSQGHNLPPKVVESNKGQIDLNCDPDREDDSQLGSTHVSMMNLLQVASLPLETYLKENGLTSLISEQPANSASHAPPQIIAEGDAQDNSCFPSATEERESKDEENGETGSDRVENDP >EOY02930 pep chromosome:Theobroma_cacao_20110822:4:3054445:3055655:-1 gene:TCM_017332 transcript:EOY02930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEFKRQEIVAQSSVETKYIATAVAVNQAQRLRKVLSNLGVVLTKGILLNVDNQSAIAIARNLVHHGRTKHIQVKFHALRDAMKNNEVDLKYCSTEDQLADIFTKGLSRERFEFLRSGLGVYQIKDQGCVLEK >EOY04453 pep chromosome:Theobroma_cacao_20110822:4:24384500:24385138:1 gene:TCM_019698 transcript:EOY04453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCYDHGCDDLEQLKKMALANENKSMDEISVILRRFGDEQSTLLDEFERLSFEVQLNQAILGRSLSEPSVAKRTLHSQFQAPPPAVRKGRRGSGFNRVLKKLLKPILGRKGSAGRKPVADPKNPMSWKAFSRSLRL >EOY04748 pep chromosome:Theobroma_cacao_20110822:4:25779148:25783469:-1 gene:TCM_019935 transcript:EOY04748 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase Rpb8, putative isoform 2 MQPLIVSLSLKLTLSVEIYYKNAMDTYFLKCRAVRRGHLDTIRYGSSVPFSSLDVLPPLRLSQEHHQTNPQSMSNIVLFEDIFVVDKLDPDGKKFDKARSRNCDMFMHLDMVHLTGYYALGGRKSLADKYEYIMRGKLYKISADGSGKSLKAEMIVSSGGLLMMLRGEASHVSQFELDQRLFLLMRKL >EOY04749 pep chromosome:Theobroma_cacao_20110822:4:25779212:25783407:-1 gene:TCM_019935 transcript:EOY04749 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase Rpb8, putative isoform 2 MDTYFLKCRAVRRGHLDTIRYGSSVPFSSLDVLPPLRLSQEHHQTNPQLAHTLKLDGTPHGLLCSGSLLTLVVGGRKSLADKYEYIMRGKLYKISADGSGKSLKAEMIVSSGGLLMMLRGEASHVSQFELDQRLFLLMRKL >EOY05413 pep chromosome:Theobroma_cacao_20110822:4:28494044:28500514:-1 gene:TCM_020422 transcript:EOY05413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein MLRNFESRILLEVGFWRFLLLAALLITIADGLNSEGQLLLELKNSLHDEYNYLGNWKPSDETPCGWIGVNCTSDYEPVVWSVDLSSMNLSGTLSPSIGGLTHLTFLDLSYNGFSGNIPKEIGNCSLLVFLYLNNNLLSSPIPGELGKLSYLRKLNICNNKISGSLPEELGNLSSLDEFVAYTNNLTGPLPRSIGKLQKLRIFRAGQNAISGNIPAEISGCQSLQMLGLAQNRIGGELPKEIGMLGSMTDLILWENQLSGLIPKELENCTSLETLALYANGLVGQIPMEIGNLKFLKKLYLYRNQLNGSIPREIGNLSLATEIDFSENYLIGEIPTEFSKIKGLHLLYLFQNQLTGVIPNELSSLRNLTKLDLSINYLTGPIPYGFQYLTEMLQLQLFDNSLSGTIPEQLGVYSPLWVVDFSNNHLAGKIPPYLCQHANLILLNLGANKLYGNIPTGIKSCETLVQLRLVGNKLSGSFPSELCKLVNLSAIELDQNNFTGPVPSEIGNCRKLQRLHIADNQFTFELPKEIGNLSQLVTFNVSSNLLSGRIPHEIVNCKMLQRLDISHNSFVDTLPNEIGTLSQLEILKLSENKFSGNIPAALGNLSRLTELQMGGNLFSGQIPQELGSLLSLQIAMNLSNNNLTGSIPPELGHLKMLEFLLLNNNHLSGVIPSTLENLSSLLGCNFSYNNLTGPLPAIPLFQNMPASSFIENEGLCGRPLEGCIGDPSSPSMLPVKKGTRGKIVTVVAGVVGGVSIILIVILIYQMRRPPEIVASLQEKEISSPASDIYFHPKDGFTFQDLIEATNNFHESYIVGRGACGTVYKAVMHSGQIIAVKRLASNAEGNNIENSFRAEILTLGNIRHRNIVKLYGFCYHQGSNLLLYEYMEKGSLGEVLHGASCSLEWPTRFLIALGAAEGLVYLHHDCKPRIVHRDIKSNNILLDENFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKTPVQPLDQGGDLVTHVRHYVRDHSLTAGILDDRLNLENKSIVNHMITVLKIALICTSMSPFDRPSMREVVMMLIESKEQEHNLVMSPTYELPLMDNAHESA >EOY06394 pep chromosome:Theobroma_cacao_20110822:4:31782388:31788207:1 gene:TCM_021128 transcript:EOY06394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall associated kinase-like 6, putative MAVRFVSSFTFLLLLTIRLAFSASIAKSKCPDLCGNVSIPYSFGIGADCFLNPWFEVSCNETSSPPIISLTSINMEVLDFRLDRYEYVRVKSPIISKNCSDRETSRGVNITGSPFFFSGSMNKFIAAGCNNKAFMTGTEPTIVGCESACIDNRLFGPNNTCNGETCCETVIPSRLRVFNARFESKESQSEGCKLAFLVEEKWFDVNITDKSSALQNMDYVPALLDWGIPDEALGLPKKRGREYYCTGYYSMHLEPYYLNSSRCYCQYGYEGNAYLLNGCQDIDECQDDPQKRCGDATCVNIPGHYQCERRKTWVIILGISLGFGVLCLAIGGWWLYKYLKKRRNIKLREKFFKRNGGLLLQQQVSSSEGSIDKTKIFTSKELDKATDNFNKNRVLGQGGQGTVYKGMLVDGRIVAVKKSIVVDAEKVEEFINEVVILSQINHRNVVKLLGCCLETAVPLLVYEFIPNGTLFQYLHDQSEEFPLSWETRLRIAKEIAEALSYLHSAASIPIYHRDIKSSNILLDEKYRAKVSDFGTSRSISIDQTHLTTHVHGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTSEKPISFERAEEGRSLATHSILSMEENQLFDIVDARVTKQTKDGEVVMVATLAYRCLSLSGRKRPTMKEAAMELERILSLQKDSNVQHDQEEIDCVKIDVTYPWDGASTSTGSVCDSGNAFSRESEPLISSKTK >EOY06180 pep chromosome:Theobroma_cacao_20110822:4:31210304:31214401:-1 gene:TCM_020991 transcript:EOY06180 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative MWRRAGLSWRWNMHRRLCTAAPRQIVDEGDWFYSSEWWGSESESEFNGNTVYRTVSEKGNGVVSVLAYPSSRPNRVYWPETEKWLQQRYAKIDPGYEQNGHFRILGYQWRVLRFNDNTRQSTVKIMAAYRETEPGSICIMQLAQCLAIPYVKSMVSLGLSTIVSSNYDLMAAVNGKKRMHILCIGHGGGSLPLFLASKIQGAVIHAVEIDPIVITASVQAMGFPAFSVMTPSGKRALSKPDIINEVMWKGIHERLYLYESDAEKFVVENNNLYDIIFIDAYDGDDIFPNKLWDPHSPFLKSLSDQLHPKHGTVVVNLHADTNISNPNESVSYFYQRILPVGKYVSRVCKAYKDVLIGNEQTSSNGKAGSGIGFTISVPWVCNTSLVVCRGFGLSSGLVNRDMVVNTLISKSVKVDHINMSFSGQGKKLGSGDNDDMGIIARLSRAVSDSPITDVTKRAASDAAYVTKKLLKSTGKAAWYAGTTFLVLIVPLIIEMDREQQFTDMELQQQSLLGSPPPLSPPK >EOY05572 pep chromosome:Theobroma_cacao_20110822:4:29029598:29032734:1 gene:TCM_020541 transcript:EOY05572 gene_biotype:protein_coding transcript_biotype:protein_coding description:E1 C-terminal related 1 isoform 1 MADAAPPSKSRDLDKLLLRPGNLVGPSFEPGVQLRDDLQEYAKVLVVGAGGLGCELLKDLALSGFKNLEVIDMDRIEVTNLNRQFLFRLEDVGKPKAEVAAKRVMERVSGVNIVPHFCRIEDKDIEFYSDFNIIALGLDSIEARSYINAVACSFLEYDSDDNPREETMKPMVDGGTEGFKGHARVIVPGVTPCFECTIWLFPPQVKFPLCTLAETPRNAAHCIEYAHLIKWDEVHSGKAFDPDNPDHMKWVYDEAVKRAELFGIQGVTYSLTQGVVKNIIPAIASTNAIISAACALETLKIASGCSKTLSNYLTMHKWNILEV >EOY05571 pep chromosome:Theobroma_cacao_20110822:4:29029492:29033794:1 gene:TCM_020541 transcript:EOY05571 gene_biotype:protein_coding transcript_biotype:protein_coding description:E1 C-terminal related 1 isoform 1 MADAAPPSKSRDLDKLLLRPGNLVGPSFEPGVQLRDDLQEYAKVLVVGAGGLGCELLKDLALSGFKNLEVIDMDRIEVTNLNRQFLFRLEDVGKPKAEVAAKRVMERVSGVNIVPHFCRIEDKDIEFYSDFNIIALGLDSIEARSYINAVACSFLEYDSDDNPREETMKPMVDGGTEGFKGHARVIVPGVTPCFECTIWLFPPQVKFPLCTLAETPRNAAHCIEYAHLIKWDEVHSGKAFDPDNPDHMKWVYDEAVKRAELFGIQGVTYSLTQGVVKNIIPAIASTNAIISAACALETLKIASGCSKTLSNYLTYNGVEGLHTKVTEFVKDKDCLVCGPGVLVELDTTVTLQKFIDMLEEHPKLLMSKASITYRGKNLYMQAPPVLEEMTRSNLSLPLYDLMDKVPKDILHVTGTINKDNKKSSGLRKLRVIFKGIDGVTDMDMAGGA >EOY04363 pep chromosome:Theobroma_cacao_20110822:4:24034954:24037902:-1 gene:TCM_019633 transcript:EOY04363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKKKRSREKVANLVETKEEKKRDKSSKKKRKSKEKNNAIIGIVDQLGDEEEDHFQKQNGKFKKDRKKKKKEHSSSGENQLLVEKSKAADKDEVYEIPSGDEDCSQGMKKWVIEYHQSRPGLKVLQQRIDEFIIAHEAKLEQERKEREACLTEGGWTLVEHHKGRKKTTEFESGTTVGSVSQAAVEGKLAKKKSKEVFDFYRFQKREAKRNELMMLQSRFEQDKKHIQQLRAARKFRPY >EOY04360 pep chromosome:Theobroma_cacao_20110822:4:24035159:24037165:-1 gene:TCM_019633 transcript:EOY04360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKKKRSREKVANLVETKEEKKRDKSSKKKRKSKEKNNAIIGIVDQLGDEEEDHFQKQNGKFKKDRKKKKKEHSSSGENQLLVEKSKAADKDEVYEIPSGDEDCSQGMKKWVIEYHQSRPGLKVLQQRIDEFIIAHEAKLEQERKEREACLTEGGWTLVEHHKGRKKTTEFESGTTVGSVSQAAVEGKLAKKKSKEVFDFYRFQKREAKRNELMMLQSRFEQDKKHIQQLRAARKFRPY >EOY04364 pep chromosome:Theobroma_cacao_20110822:4:24034954:24037909:-1 gene:TCM_019633 transcript:EOY04364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKKKRSREKVANLVETKEEKKRDKSSKKKRKSKEKNNAIIGIVDQLGDEEEDHFQKQNGKFKKDRKKKKKEHSSSGENQLLVEKSKAADKDEVYEIPSGDEDCSQGMKKWVIEYHQSRPGLKVLQQRIDEFIIAHEAKLEQERKEREACLTEGGWTLVEHHKGRKKTTEFESGTTVGSVSQAAVEGKLAKKKSKEVFDFYRFQKREAKRNELMMLQSRFEQDKKHIQQLRAARKFRPY >EOY04359 pep chromosome:Theobroma_cacao_20110822:4:24034945:24037909:-1 gene:TCM_019633 transcript:EOY04359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKKKRSREKVANLVETKEEKKRDKSSKKKRKSKEKNNAIIGIVDQLGDEEEDHFQKQNGKFKKDRKKKKKEHSSSGENQLLVEKSKAADKDEVYEIPSGDEDCSQGMKKWVIEYHQSRPGLKVLQQRIDEFIIAHEAKLEQERKEREACLTEGGWTLVEHHKGRKKTTEFESGTTVGSVSQAAVEGKLAKKKSKEVFDFYRFQKREAKRNELMMLQSRFEQDKKHIQQLRAARKFRPY >EOY04358 pep chromosome:Theobroma_cacao_20110822:4:24034776:24037909:-1 gene:TCM_019633 transcript:EOY04358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKKKRSREKVANLVETKEEKKRDKSSKKKRKSKEKNNAIIGIVDQLGDEEEDHFQKQNGKFKKDRKKKKKEHSSSGENQLLVEKSKAADKDEVYEIPSGDEDCSQGMKKWVIEYHQSRPGLKVLQQRIDEFIIAHEAKLEQERKEREACLTEGGWTLVEHHKGRKKTTEFESGTTVGSVSQAAVEGKLAKKKSKEVFDFYRFQKREAKRNELMMLQSRFEQDKKHIQQLRAARKFRPY >EOY04356 pep chromosome:Theobroma_cacao_20110822:4:24034945:24037909:-1 gene:TCM_019633 transcript:EOY04356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKKKRSREKVANLVETKEEKKRDKSSKKKRKSKEKNNAIIGIVDQLGDEEEDHFQKQNGKFKKDRKKKKKEHSSSGENQLLVEKSKAADKDEVYEIPSGDEDCSQGMKKWVIEYHQSRPGLKVLQQRIDEFIIAHEAKLEQERKEREACLTEGGWTLVEHHKGRKKTTEFESGTTVGSVSQAAVEGKLAKKKSKEVFDFYRFQKREAKRNELMMLQSRFEQDKKHIQQLRAARKFRPY >EOY04362 pep chromosome:Theobroma_cacao_20110822:4:24034945:24037798:-1 gene:TCM_019633 transcript:EOY04362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKKKRSREKVANLVETKEEKKRDKSSKKKRKSKEKNNAIIGIVDQLGDEEEDHFQKQNGKFKKDRKKKKKEHSSSGENQLLVEKSKAADKDEVYEIPSGDEDCSQGMKKWVIEYHQSRPGLKVLQQRIDEFIIAHEAKLEQERKEREACLTEGGWTLVEHHKGRKKTTEFESGTTVGSVSQAAVEGKLAKKKSKEVFDFYRFQKREAKRNELMMLQSRFEQDKKHIQQLRAARKFRPY >EOY04357 pep chromosome:Theobroma_cacao_20110822:4:24035159:24037165:-1 gene:TCM_019633 transcript:EOY04357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKKKRSREKVANLVETKEEKKRDKSSKKKRKSKEKNNAIIGIVDQLGDEEEDHFQKQNGKFKKDRKKKKKEHSSSGENQLLVEKSKAADKDEVYEIPSGDEDCSQGMKKWVIEYHQSRPGLKVLQQRIDEFIIAHEAKLEQERKEREACLTEGGWTLVEHHKGRKKTTEFESGTTVGSVSQAAVEGKLAKKKSKEVFDFYRFQKREAKRNELMMLQSRFEQDKKHIQQLRAARKFRPY >EOY04361 pep chromosome:Theobroma_cacao_20110822:4:24035159:24037165:-1 gene:TCM_019633 transcript:EOY04361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKKKRSREKVANLVETKEEKKRDKSSKKKRKSKEKNNAIIGIVDQLGDEEEDHFQKQNGKFKKDRKKKKKEHSSSGENQLLVEKSKAADKDEVYEIPSGDEDCSQGMKKWVIEYHQSRPGLKVLQQRIDEFIIAHEAKLEQERKEREACLTEGGWTLVEHHKGRKKTTEFESGTTVGSVSQAAVEGKLAKKKSKEVFDFYRFQKREAKRNELMMLQSRFEQDKKHIQQLRAARKFRPY >EOY06374 pep chromosome:Theobroma_cacao_20110822:4:31702774:31705759:-1 gene:TCM_021116 transcript:EOY06374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MPSTLHQLTTKIVSLSRSGLITSARKLFDEMPQKDSITWNAMLSSYSQLGLHKDALSLFHLMRISNAKPDHFTFTSTLNACSGLGSLQTGMKTHALIIAFGYQSFLPVSNSLIDMYGKCLVPSSARKVFEEMGQSQRNEVTWCSLLFAYVNSGLLNIAFETFYGMPNRVLVAWNIMISGFARFGEVEVCINLFKDMRVSLCCCPDQWTFSSLMNVCTESLEYTCGCAVHGFIIKSGWSSALEAKNSILSFYAKLGCVDVAMMEFESVGLLSQVSWNAMIDGYIKMGNTCEALNVFRHLPEKNVISWTCMIVGHARNGEGEQALSFFVQMVRSCVLPDDFTFGAVLHACSSLAVLGYGKMVHGCVIRYGFQAYVYVGNGLVNMYAKCGDIKGSSCAFNNILEKDLVSWNAMLFGFGMHGLSSKALQLYDDMVAHGAKPDKVTFIGLLTTCSHAGLIDKGRIFFDLMGSVCGLTYEMDHVACMVSMLGRGGYLAEANELASKYSEKERVEAKSTSYEALLGACSMHGDVGMGISIGDRLQILEAQKDMGYVLLSNLYCASGQWKEAEIVRKAMVDKGVKKMPGYSWIEVKNRVTVFVAGHISDTYMEELCKILNSLELEMRNPYFTNFEQPVLRLLCPNTESVAKICAGAELEKIYAGSTLY >EOY05497 pep chromosome:Theobroma_cacao_20110822:4:28778018:28783003:1 gene:TCM_020483 transcript:EOY05497 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB-POZ and MATH domain 2 MGTISFNRELPKPSTSSFPSSSSSSTSSTSLTETVNGSHQFKISGYSLAKGMGVGKYIASETFMVGGYEWAIYFYPDGKSAEDNASYVSLFIALASEGTDVRALFELTLLDQSGKGHHKVHSHFGRTLESGPYTLKYRGSMWGYKRFFKRTLIETSDYLRDDCLSICCCVGVVKSYTEGPKIFSIVMPPSNLGQHFGRLLQSGKGADVKFEVDGEIFDAHKLVLAARSPVFRAQLFGPLKDRNTQCIRVEDMEAPIFKALLHFIYWDDLPDMEELVGSTSKWASTLVAQHLLAAADRYAIERLRLLCEAKLCESVTINTVATTLALAEQHHCLHLKAVCLKFVALPENLRAVMQTDGFEYLKESCPTVLTELLHYVARIGEHSVIACGYRKETSFDGCDVNGRRVKPRLQ >EOY02800 pep chromosome:Theobroma_cacao_20110822:4:2311605:2317755:1 gene:TCM_017195 transcript:EOY02800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MSSEQNYTSAEKITIDITFSEACTGHGGFKCVNSSNCDVSIDGPAHVQASSLSIIKPNIKYSLLLVLSMKHRYGRVVVRMADDFCKDRAGNNFTRSNASTAIIHFDRRPVRVDLSSSVPSNELAINGVPRTVFATNRMKNLEVYLDFSIPVINSTEQILTALYVNSGSLIPVHERTHGNHRFVFRLKNIASETEIITVKLQAGLLIGRTSTPVSPVAALTFLYDCAKPGVGLSTSSQNVTKESNINAIVEFTKPVFGFEASMIEVNGGRLIRFKELSRALYSLTVLAVSNSKVSITIPEGKVNDISGNMNLASNRLEMVHYSTPAISTALHSFVTSGVLATTLAAAILSLSSTNLGAISKLNSASNNFVASDPSMNLHGMIGHLQVFVLSDWLLSDQPIEYSETTKGLRWLIPRQKLPWKRNGYSIWPNNVYLDQGRFVKRPSSWFSGYLSDERAYHDIGLDNSSYVQRKLLFPTEIDSKFSWLHGRQNISKEDSPFGLPLNSNEYFTFFLRGEPLSASNVVKKLENYKGWQDMAMNLFWLSIGVGSLLIVHFALLVFLRWRIGTAAQGILSVPRFELLLVILMLPCISQSSAFVIRGGTIEGIITGALLLAIPAAFILSVCLFLTITVFTGSLARYKEIRHANAEEKWHKKLWFFLVGRPASGKWFYMDGLPSSFLSRFGILFEDQKGPPLFVFVDQNDSNTMPRWVGSGQNGIGRMRAVSSDDSHEEMKISLFKRFLGCARSSYIIVDLLRRVCLGVISGSYSSHRSSQSVCALTITLLQFLCLFTLKPHIRRGVYIVESISLLSEAGVFGLSISMNKSNSVREKTLGLLMLALLFLSFVAQLVNEWYALIKCLLSISQPHKNSFKLGLKFAAKGLLLPFLPRKHWSRVIPGSSQANSVLVPALPRSRETEFVRRDHREPHGGQFSSMTATVVPLLSPGSPIIKATGTAAETTRTVQKPGDSKRGKGLKFDPRNDVKKLRELARASFSGNSKDEETNTSYRYRLQSFSPETLSDDPQTSTSKITK >EOY02801 pep chromosome:Theobroma_cacao_20110822:4:2312284:2317112:1 gene:TCM_017195 transcript:EOY02801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MSSEQNYTSAEKITIDITFSEACTGHGGFKCVNSSNCDVSIDGPAHVQASSLSIIKPNIKYSLLLVLSMKHRYGRVVVRMADDFCKDRAGNNFTRSNASTAIIHFGRPVRVDLSSSVPSNELAINGVPRTVFATNRMKNLEVYLDFSIPVINSTEQILTALYVNSGSLIPVHERTHGNHRFVFRLKNIASETEIITVKLQAGLLIGRTSTPVSPVAALTFLYDCAKPGVGLSTSSQNVTKESNINAIVEFTKPVFGFEASMIEVNGGRLIRFKELSRALYSLTVLAVSNSKVSITIPEGKVNDISGNMNLASNRLEMVHYSTPAISTALHSFVTSGVLATTLAAAILSLSSTNLGAISKLNSASNNFVASDPSMNLHGMIGHLQVFVLSDWLLSDQPIEYSETTKGLRWLIPRQKLPWKRNGYSIWPNNVYLDQGRFVKRPSSWFSGYLSDERAYHDIGLDNSSYVQRKLLFPTEIDSKFSWLHGRQNISKEDSPFGLPLNSNEYFTFFLRGEPLSASNVVKKLENYKGWQDMAMNLFWLSIGVGSLLIVHFALLVFLRWRIGTAAQGILSVPRFELLLVILMLPCISQSSAFVIRGGTIEGIITGALLLAIPAAFILSVCLFLTITVFTGSLARYKEIRHANAEEKWHKKLWFFLVGRPASGKWFYMDGLPSSFLSRFGILFEDQKGPPLFVFVDQNDSNTMPRWVGSGQNGIGRMRAVSSDDSHEEMKISLFKRFLGCARSSYIIVDLLRRVCLGVISGSYSSHRSSQSVCALTITLLQFLCLFTLKPHIRRGVYIVESISLLSEAGVFGLSISMNKSNSVREKTLGLLMLALLFLSFVAQLVNEWYALIKCLLSISQPHKNSFKLGLKFAAKGLLLPFLPRKHWSRVIPGSSQANSVLVPALPRSRETEFVRRDHREPHGGQFSSMTATVVPLLSPGSPIIKATGTAAETTRTVQKPGDSKRGKGLKFDPRNDVKKLRELARASFSGNSKDEETNTSYRYRLQSFSPETLSDDPQTSTSKITK >EOY02802 pep chromosome:Theobroma_cacao_20110822:4:2311897:2317112:1 gene:TCM_017195 transcript:EOY02802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MKNLEVYLDFSIPVINSTEQILTALYVNSGSLIPVHERTHGNHRFVFRNIASETEIITVKLQAGLLIGRTSTPVSPVAALTFLYDCAKPGVGLSTSSQNVTKESNINAIVEFTKPVFGFEASMIEVNGGRLIRFKELSRALYSLTVLAVSNSKVSITIPEGKVNDISGNMNLASNRLEMVHYSTPAISTALHSFVTSGVLATTLAAAILSLSSTNLGAISKLNSASNNFVASDPSMNLHGMIGHLQVFVLSDWLLSDQPIEYSETTKGLRWLIPRQKLPWKRNGYSIWPNNVYLDQGRFVKRPSSWFSGYLSDERAYHDIGLDNSSYVQRKLLFPTEIDSKFSWLHGRQNISKEDSPFGLPLNSNEYFTFFLRGEPLSASNVVKKLENYKGWQDMAMNLFWLSIGVGSLLIVHFALLVFLRWRIGTAAQGILSVPRFELLLVILMLPCISQSSAFVIRGGTIEGIITGALLLAIPAAFILSVCLFLTITVFTGSLARYKEIRHANAEEKWHKKLWFFLVGRPASGKWFYMDGLPSSFLSRFGILFEDQKGPPLFVFVDQNDSNTMPRWVGSGQNGIGRMRAVSSDDSHEEMKISLFKRFLGCARSSYIIVDLLRRVCLGVISGSYSSHRSSQSVCALTITLLQFLCLFTLKPHIRRGVYIVESISLLSEAGVFGLSISMNKSNSVREKTLGLLMLALLFLSFVAQLVNEWYALIKCLLSISQPHKNSFKLGLKFAAKGLLLPFLPRKHWSRVIPGSSQANSVLVPALPRSRETEFVRRDHREPHGGQFSSMTATVVPLLSPGSPIIKATGTAAETTRTVQKPGDSKRGKGLKFDPRNDVKKLRELARASFSGNSKDEETNTSYRYRLQSFSPETLSDDPQTSTSKITK >EOY04172 pep chromosome:Theobroma_cacao_20110822:4:22405307:22406170:1 gene:TCM_019435 transcript:EOY04172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVLVLNFGMVGWDLKDRRGWVSIASLNTQWISLLCTEELTFPTCLQTRKDDDSSSSPGCFPTSVAVPLNQNSTQYCCSKCVDEQRWWLAIMMQVGQMFTLLAQFNSSASISFTKQACPIPVDEQ >EOY02322 pep chromosome:Theobroma_cacao_20110822:4:427512:429533:-1 gene:TCM_016835 transcript:EOY02322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 1 MVSRWSYCYKDVLPFSAMVTMECANVGLNTLFKAATLKGMSYHVFVVYAYAIAALVLLPAPFFSYRSRVLPPLSFPILCKIGLLGLIGSSSQIMGYTGINYSSPTLASAISNLTPAFTFVLAIIFRMEKLVWKRTSSAAKVMGTIISVTGAFVVTLYKGPAIVIASTPSMSLQQPLNPSKSNLVFGGLHSANPNWVIGGVFLTAEYILVPLWYIVQGLFGSCLNNTVHTWALRLKGPVFVAMFKPLSIAIAAAMGVMFLGDTLHLGSLIGATIISI >EOY02321 pep chromosome:Theobroma_cacao_20110822:4:427029:431401:-1 gene:TCM_016835 transcript:EOY02321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 1 MVSRWSYCYKDVLPFSAMVTMECANVGLNTLFKAATLKGMSYHVFVVYAYAIAALVLLPAPFFSYRSRVLPPLSFPILCKIGLLGLIGSSSQIMGYTGINYSSPTLASAISNLTPAFTFVLAIIFRMEKLVWKRTSSAAKVMGTIISVTGAFVVTLYKGPAIVIASTPSMSLQQPLNPSKSNLVFGGLHSANPNWVIGGVFLTAEYILVPLWYIVQTQIMKEYPAELTVVFFYNLCVSFIAAIVGLASERNASAWRIRPDIALASVVCSGLFGSCLNNTVHTWALRLKGPVFVAMFKPLSIAIAAAMGVMFLGDTLHLGSLIGATIISIGFYTVMWGKAKEEMAEEYVSATDSSRVSPSAHKVPLLQSYKNEHV >EOY05529 pep chromosome:Theobroma_cacao_20110822:4:28915167:28915980:1 gene:TCM_020511 transcript:EOY05529 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-hand calcium-binding domain-containing protein 4A MHVVSKTQVTKRFESFKRKMPGLGIFPFGGCFDGCRDHTQASGFGTRIWNLSDRAVELQVRVGSILKKVHTLKPGSSKRLKCKSIYKAYMPGRSGNGSGGMKSLLYYYDETCHPYIWVHDTGGDSSRMVKQQYISLEDLRDCSEIRIFRDHQRGCISVRKKPRPDFC >EOY04482 pep chromosome:Theobroma_cacao_20110822:4:24552201:24556914:-1 gene:TCM_019725 transcript:EOY04482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARFCFRQTLSVKNMCPASDIESRGSSTSVNGDQHLHSRNYNEETMRTRSAEDKFIHGFLRKIQVNQLLRWLPLNETREPLNQASLPPLPSQFFSFFVHHSNVTVSPKILLHKNEFIVRLSVGEGM >EOY06319 pep chromosome:Theobroma_cacao_20110822:4:31564709:31572600:1 gene:TCM_021084 transcript:EOY06319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate orthophosphate dikinase isoform 7 MSSAMKGIVIRSTADVCKQGLFKGKYTDHHHYFDLVRENRSFLGARPRCVRRLGVARCVTEEYPRSNGKKLSSSKQRKVETVAEAILTPVSDPTRTMEKRVFTFGKGRSEGHKGMKSLLGGKGANLAEMSSIGLSVPPGLTISTEACQEYQQNGKKLPEGLWEEILEGSKSVEEDMGCILGDPAKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVAGLAAKSGERFAYDSYRRFLDMFGDVVMGIPHSLFEERLEKMKEAKGATLDTDLTASDLKELVEQYKNVYVEAKGEKFPSDPKKQLLLSVKAVFDSWDSPRAIKYRSINQITGLKGTAVNIQTMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEELDTMKSYMPEAYKELVQNCEILERHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVNEGLVDKRAAIKMVEPQHLDQLLHPQFEDPSAYKDKVVATGLPASPGAAVGQIVFSADDAEEWHAQGKSPILVRTETSPEDVGGMYAAAGILTARGGMTSHAAVVARGWGKCCVSGCSDIRVNDAEKVLTVGDMVIKEGEWFSLNGSTGEVILGKQPLAPPALSRDLEAFMSWADEIRRLKVMANADTPEDALTARNNGAQGIGLCRTEHMFFASDERIKAVRKMIMAVTPEQRKAALNLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLEQIVSELTSETGTTEDEVFSRIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFQAAVSMSNQGVKVLPEIMVPLVGTPQELGHQVSLIRSIAEKVFSEMGSSLSYKVGTMIEIPRAALVADEIAKEAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSKGILQSDPFEVLDQKGVGQLIKIATEKGRGARPSLKASTCVLLAGIILYHTYYHNFMNKG >EOY06320 pep chromosome:Theobroma_cacao_20110822:4:31564709:31572600:1 gene:TCM_021084 transcript:EOY06320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate orthophosphate dikinase isoform 7 MGYAQLLISMPGMMDTVLNLGLNDEVVAGLAAKSGERFAYDSYRRFLDMFGDVVMGIPHSLFEERLEKMKEAKGATLDTDLTASDLKELVEQYKNVYVEAKGEKFPSDPKKQLLLSVKAVFDSWDSPRAIKYRSINQITGLKGTAVNIQTMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEELDTMKSYMPEAYKELVQNCEILERHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVNEGLVDKRAAIKMVEPQHLDQLLHPQFEDPSAYKDKVVATGLPASPGAAVGQIVFSADDAEEWHAQGKSPILVRTETSPEDVGGMYAAAGILTARGGMTSHAAVVARGWGKCCVSGCSDIRVNDAEKVLTVGDMVIKEGEWFSLNGSTGEVILGKQPLAPPALSRDLEAFMSWADEIRRLKVMANADTPEDALTARNNGAQGIGLCRTEHMFFASDERIKAVRKMIMAVTPEQRKAALNLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLEQIVSELTSETGTTEDEVFSRIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFQAAVSMSNQGVKVLPEIMVPLVGTPQELGHQVSLIRSIAEKVFSEMGSSLSYKVGTMIEIPRAALVADEIAKEAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSKGILQSDPFEVLDQKGVGQLIKIATEKGRGARPSLKVGICGEHGGEPSSVAFFAEAGLDYVSCSPFRLDASYTLKQ >EOY06314 pep chromosome:Theobroma_cacao_20110822:4:31564095:31573344:1 gene:TCM_021084 transcript:EOY06314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate orthophosphate dikinase isoform 7 MSSAMKGIVIRSTADVCKQGLFKGKYTDHHHYFDLVRENRSFLGARPRCVRRLGVARCVTEEYPRSNGKKLSSSKQRKVETVAEAILTPVSDPTRTMEKRVFTFGKGRSEGHKGMKSLLGGKGANLAEMSSIGLSVPPGLTISTEACQEYQQNGKKLPEGLWEEILEGSKSVEEDMGCILGDPAKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVAGLAAKSGERFAYDSYRRFLDMFGDVVMGIPHSLFEERLEKMKEAKGATLDTDLTASDLKELVEQYKNVYVEAKGEKFPSDPKKQLLLSVKAVFDSWDSPRAIKYRSINQITGLKGTAVNIQTMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEELDTMKSYMPEAYKELVQNCEILERHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVNEGLVDKRAAIKMVEPQHLDQLLHPQFEDPSAYKDKVVATGLPASPGAAVGQIVFSADDAEEWHAQGKSPILVRTETSPEDVGGMYAAAGILTARGGMTSHAAVVARGWGKCCVSGCSDIRVNDAEKVLTVGDMVIKEGEWFSLNGSTGEVILGKQPLAPPALSRDLEAFMSWADEIRRLKVMANADTPEDALTARNNGAQGIGLCRTEHMFFASDERIKAVRKMIMAVTPEQRKAALNLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLEQIVSELTSETGTTEDEVFSRIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFQAAVSMSNQGVKVLPEIMVPLVGTPQELGHQVSLIRSIAEKVFSEMGSSLSYKVGTMIEIPRAALVADEIAKEAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSKGILQSDPFEVLDQKGVGQLIKIATEKGRGARPSLKVGICGEHGGEPSSVAFFAEAGLDYVSCSPFRVPIARLAAAQVAI >EOY06315 pep chromosome:Theobroma_cacao_20110822:4:31564095:31573344:1 gene:TCM_021084 transcript:EOY06315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate orthophosphate dikinase isoform 7 MSSAMKGIVIRSTADVCKQGLFKGKYTDHHHYFDLVRENRSFLGARPRCVRRLGVARCVTEEYPRSNGKKLSSSKQRKVETVAEAILTPVSDPTRTMEKRVFTFGKGRSEGHKGMKSLLGGKGANLAEMSSIGLSVPPGLTISTEACQEYQQNGKKLPEGLWEEILEGSKSVEEDMGCILGDPAKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVAGLAAKSGERFAYDSYRRFLDMFGDVVMGIPHSLFEERLEKMKEAKGATLDTDLTASDLKELVEQYKNVYVEAKGEKFPSDPKKQLLLSVKAVFDSWDSPRAIKYRSINQITGLKGTAVNIQTMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEELDTMKSYMPEAYKELVQNCEILERHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVNEGLVDKRAAIKMVEPQHLDQLLHPQFEDPSAYKDKVVATGLPASPGAAVGQIVFSADDAEEWHAQGKSPILVRTETSPEDVGGMYAAAGILTARGGMTSHAAVVARGWGKCCVSGCSDIRVNDAEKVLTVGDMVIKEGEWFSLNGSTGEVILGKQPLAPPALSRDLEAFMSWADEIRRLKVMANADTPEDALTARNNGAQGIGLCRTEHMFFASDERIKAVRKMIMAVTPEQRKAALNLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLEQIVSELTSETGTTEDEVFSRIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFQAAVSMSNQGVKVLPEIMVPLVGTPQELGHQVSLIRSIAEKVFSEMGSSLSYKVGTMIEIPRAALVADEIAKEAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSKGILQSDPFEVLDQKGVGQLIKIATEKGRGARPSLKASTCVLLAGIILYHTYYHNFMNKG >EOY06317 pep chromosome:Theobroma_cacao_20110822:4:31564095:31573344:1 gene:TCM_021084 transcript:EOY06317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate orthophosphate dikinase isoform 7 MSSAMKGIVIRSTADVCKQGLFKGKYTDHHHYFDLVRENRSFLGARPRCVRRLGVARCVTEEYPRSNGKKLSSSKQRKVETVAEAILTPVSDPTRTMEKRVFTFGKGRSEGHKGMKSLLGGKGANLAEMSSIGLSVPPGLTISTEACQEYQQNGKKLPEGLWEEILEGSKSVEEDMGCILGDPAKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVAGLAAKSGERFAYDSYRRFLDMFGDVVMGIPHSLFEERLEKMKEAKGATLDTDLTASDLKELVEQYKNVYVEAKGEKFPSDPKKQLLLSVKAVFDSWDSPRAIKYRSINQITGLKGTAVNIQTMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEELDTMKSYMPEAYKELVQNCEILERHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVNEGLVDKRAAIKMVEPQHLDQLLHPQFEDPSAYKDKVVATGLPASPGAAVGQIVFSADDAEEWHAQGKSPILVRTETSPEDVGGMYAAAGILTARGGMTSHAAVVARGWGKCCVSGCSDIRVNDAEKVLTVGDMVIKEGEWFSLNGSTGEVILGKQPLAPPALSRDLEAFMSWADEIRRLKVMANADTPEDALTARNNGAQGIGLCRTEHMFFASDERIKAVRKMIMAVTPEQRKAALNLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLEQIVSELTSETGTTEDEVFSRIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFQAAVSMSNQGVKVLPEIMVPLVGTPQELGHQVSLIRSIAEKVFSEMGSSLSYKVGTMIEIPRAALVADEIAKEAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSKGILQSDPFEVLDQKGVGQLIKIATEKGRGARPSLKVGICGEHGGEPSSVAFFAEAGLDYVSCSPFRVPI >EOY06321 pep chromosome:Theobroma_cacao_20110822:4:31564737:31571976:1 gene:TCM_021084 transcript:EOY06321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate orthophosphate dikinase isoform 7 MSSAMKGIVIRSTADVCKQGLFKGKYTDHHHYFDLVRENRSFLGARPRCVRRLGVARCVTEEYPRSNGKKLSSSKQRKVETVAEAILTPVSDPTRTMEKRVFTFGKGRSEGHKGMKSLLGGKGANLAEMSSIGLSVPPGLTISTEACQEYQQNGKKLPEGLWEEILEGSKSVEEDMGCILGDPAKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVAGLAAKSGERFAYDSYRRFLDMFGDVVMGIPHSLFEERLEKMKEAKGATLDTDLTASDLKELVEQYKNVYVEAKGEKFPSDPKKQLLLSVKAVFDSWDSPRAIKYRSINQITGLKGTAVNIQTMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEELDTMKSYMPEAYKELVQNCEILERHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVNEGLVDKRAAIKMVEPQHLDQLLHPQFEDPSAYKDKVVATGLPASPGAAVGQIVFSADDAEEWHAQGKSPILVRTETSPEDVGGMYAAAGILTARGGMTSHAAVVARGWGKCCVSGCSDIRVNDAEKVLTVGDMVIKEGEWFSLNGSTGEVILGKQPLAPPALSRDLEAFMSWADEIRRLKVMANADTPEDALTARNNGAQGIGLCRTEHMFFASDERIKAVRKMIMAVTPEQRKAALNLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLEQIVSELTSETGTTEDEVFSRIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFQAAVSMSNQGVKVLPEIMVPLVGTPQELGHQVSLIRSIAEKVFSEMGSSLSYKVGTMIEIPRAALVADEIAKEAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSKGILQSDPFEVGQQYMSVH >EOY06318 pep chromosome:Theobroma_cacao_20110822:4:31564095:31573344:1 gene:TCM_021084 transcript:EOY06318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate orthophosphate dikinase isoform 7 MSSAMKGIVIRSTADVCKQGLFKGKYTDHHHYFDLVRENRSFLGARPRCVRRLGVARCVTEEYPRSNGKKLSSSKQRKVETVAEAILTPVSDPTRTMEKRVFTFGKGRSEGHKGMKSLLGGKGANLAEMSSIGLSVPPGLTISTEACQEYQQNGKKLPEGLWEEILEGSKSVEEDMGCILGDPAKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVAGLAAKSGERFAYDSYRRFLDMFGDVVMGIPHSLFEERLEKMKEAKGATLDTDLTASDLKELVEQYKNVYVEAKGEKFPSDPKKQLLLSVKAVFDSWDSPRAIKYRSINQITGLKGTAVNIQTMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEELDTMKSYMPEAYKELVQNCEILERHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVNEGLVDKRAAIKMVEPQHLDQLLHPQFEDPSAYKDKVVATGLPASPGAAVGQIVFSADDAEEWHAQGKSPILVRTETSPEDVGGMYAAAGILTARGGMTSHAAVVARGWGKCCVSGCSDIRVNDAEKVLTVGDMVIKEGEWFSLNGSTGEVILGKQPLAPPALSRDLEAFMSWADEIRRLKVMANADTPEDALTARNNGAQGIGLCRTEHMFFASDERIKAVRKMIMAVTPEQRKAALNLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLEQIVSELTSETGTTEDEVFSRIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFQAAVSMSNQGVKVLPEIMVPLVGTPQELGHQVSLIRSIAEKVFSEMGSSLSYKVGTMIEIPRAALVADEIAKEAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSKGILQSDPFEVLDQKGVGQLIKIATEKGRGARPSLKASTCVLLAGIILYHTYYHNFMNKG >EOY06316 pep chromosome:Theobroma_cacao_20110822:4:31564737:31571976:1 gene:TCM_021084 transcript:EOY06316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate orthophosphate dikinase isoform 7 MSSAMKGIVIRSTADVCKQGLFKGKYTDHHHYFDLVRENRSFLGARPRCVRRLGVARCVTEEYPRSNGKKLSSSKQRKVETVAEAILTPVSDPTRTMEKRVFTFGKGRSEGHKGMKSLLGGKGANLAEMSSIGLSVPPGLTISTEACQEYQQNGKKLPEGLWEEILEGSKSVEEDMGCILGDPAKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVAGLAAKSGERFAYDSYRRFLDMFGDVVMGIPHSLFEERLEKMKEAKGATLDTDLTASDLKELVEQYKNVYVEAKGEKFPSDPKKQLLLSVKAVFDSWDSPRAIKYRSINQITGLKGTAVNIQTMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEELDTMKSYMPEAYKELVQNCEILERHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVNEGLVDKRAAIKMVEPQHLDQLLHPQFEDPSAYKDKVVATGLPASPGAAVGQIVFSADDAEEWHAQGKSPILVRTETSPEDVGGMYAAAGILTARGGMTSHAAVVARGWGKCCVSGCSDIRVNDAEKVLTVGDMVIKEGEWFSLNGSTGEVILGKQPLAPPALSRDLEAFMSWADEIRRLKVMANADTPEDALTARNNGAQGIGLCRTEHMFFASDERIKAVRKMIMAVTPEQRKAALNLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLEQIVSELTSETGTTEDEVFSRIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFQAAVSMSNQGVKVLPEIMVPLVGTPQELGHQVSLIRSIAEKVFSEMGSSLSYKVGTMIEIPRAALVADEIAKEAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSKGILQSDPFEVGQQYMSVH >EOY02943 pep chromosome:Theobroma_cacao_20110822:4:3099524:3101247:1 gene:TCM_017339 transcript:EOY02943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MACTIDFRRLDEGFGGKTYKRKRQESQITASSNDDAAAAVATSMDVDDSCPRPAKRSALPSADDPDKPTFGRPTYDGVIAGKVSGRNWKQTRKQRASAKHVSVKRTTFEERERQKEIKRAYKERMNGLKEEIRQNKIEKRKKREEREKKKKENILRSGTKFQKITNPNTLKKIAKSKQKKLLQVVPDEFVNKNKK >EOY05246 pep chromosome:Theobroma_cacao_20110822:4:27706559:27708686:-1 gene:TCM_020290 transcript:EOY05246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MKSLRLLFERNSSPAKTNSSSSSFKKPKPPISHGSSSSSSQDPLKTHFASLIQSSKTTLQLRQIHAQIFRRNLSSSSNLTTLLISASSSLKSIPYAISLFNHFHHKSIFLFNALIRGLTDNSLLESSISHFLLMLSLGVRPDKLTYPFVLKSIAGLGLRCLGLILHGRIIKSGVEFDSFVRVALVEMYVKLKELGFALQVFDESPERNKSGSILLWNVLINGYCKDGNLGKAMELFEATPERNIGSWNSLINGFMRNGDLDKAVELFDEMKEKDVVSWTTMVNGFSQNGDHEKALSMFFKMLEAALRPNDLTLVPALSACAKIGALEAGARIHDYVLENGFRLNKAIGAALVDMYAKCGDIQSASKVFDETKERDILTWSVMIWGWAIHGYYEQAIQCFKKMMFSGIKPDGVVFLALLTACSHSGQVNLGLNFFDSMRLDYSIEPTMKHYTLVVDLLGRAGQLDESLKFIQRMPMSPDFVTWGALFCACRAHKNIKMAELVSQNLLQLEPKHPGSYVFLSNVYAAVGRWEDVERVRMLMQNRAVDKDPGWSYIEVGGEMHSFVAGDHAHKHAREIYLKLEEIVAGTRQHGYMPETGWVLHNIEEEEKEDALGSHSEKLALAFALIRTSPGTTIRIVKNLRVCGDCHSLMKYASKMSQREIVLRDIKRFHHFKDGACSCGDYW >EOY05247 pep chromosome:Theobroma_cacao_20110822:4:27705009:27708742:-1 gene:TCM_020290 transcript:EOY05247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MKSLRLLFERNSSPAKTNSSSSSFKKPKPPISHGSSSSSSQDPLKTHFASLIQSSKTTLQLRQIHAQIFRRNLSSSSNLTTLLISASSSLKSIPYAISLFNHFHHKSIFLFNALIRGLTDNSLLESSISHFLLMLSLGVRPDKLTYPFVLKSIAGLGLRCLGLILHGRIIKSGVEFDSFVRVALVEMYVKLKELGFALQVFDESPERNKSGSILLWNVLINGYCKDGNLGKAMELFEATPERNIGSWNSLINGFMRNGDLDKAVELFDEMKEKDVVSWTTMVNGFSQNGDHEKALSMFFKMLEAALRPNDLTLVPALSACAKIGALEAGARIHDYVLENGFRLNKAIGAALVDMYAKCGDIQSASKVFDETKERDILTWSVMIWGWAIHGYYEQAIQCFKKMMFSGIKPDGVVFLALLTACSHSGQVNLGLNFFDSMRLDYSIEPTMKHYTLVVDLLGRAGQLDESLKFIQRMPMSPDFVTWGALFCACRAHKNIKMAELVSQNLLQLEPKHPGSYVFLSNVYAAVGRWEDVERVRMLMQNRAVDKDPGWSYIEVGGEMHSFVAGDHAHKHAREIYLKLEEIVAGTRQHGYMPETGWVLHNIEEEEKEDALGSHSEKLALAFALIRTSPGTTIRIVKNLRVCGDCHSLMKYASKMSQREIVLRDIKRFHHFKDGACSCGDYW >EOY05245 pep chromosome:Theobroma_cacao_20110822:4:27704921:27708763:-1 gene:TCM_020290 transcript:EOY05245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MKSLRLLFERNSSPAKTNSSSSSFKKPKPPISHGSSSSSSQDPLKTHFASLIQSSKTTLQLRQIHAQIFRRNLSSSSNLTTLLISASSSLKSIPYAISLFNHFHHKSIFLFNALIRGLTDNSLLESSISHFLLMLSLGVRPDKLTYPFVLKSIAGLGLRCLGLILHGRIIKSGVEFDSFVRVALVEMYVKLKELGFALQVFDESPERNKSGSILLWNVLINGYCKDGNLGKAMELFEATPERNIGSWNSLINGFMRNGDLDKAVELFDEMKEKDVVSWTTMVNGFSQNGDHEKALSMFFKMLEAALRPNDLTLVPALSACAKIGALEAGARIHDYVLENGFRLNKAIGAALVDMYAKCGDIQSASKVFDETKERDILTWSVMIWGWAIHGYYEQAIQCFKKMMFSGIKPDGVVFLALLTACSHSGQVNLGLNFFDSMRLDYSIEPTMKHYTLVVDLLGRAGQLDESLKFIQRMPMSPDFVTWGALFCACRAHKNIKMAELVSQNLLQLEPKHPGSYVFLSNVYAAVGRWEDVERVRMLMQNRAVDKDPGWSYIEVGGEMHSFVAGDHAHKHAREIYLKLEEIVAGTRQHGYMPETGWVLHNIEEEEKEDALGSHSEKLALAFALIRTSPGTTIRIVKNLRVCGDCHSLMKYASKMSQREIVLRDIKRFHHFKDGACSCGDYW >EOY05244 pep chromosome:Theobroma_cacao_20110822:4:27705010:27708742:-1 gene:TCM_020290 transcript:EOY05244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MKSLRLLFERNSSPAKTNSSSSSFKKPKPPISHGSSSSSSQDPLKTHFASLIQSSKTTLQLRQIHAQIFRRNLSSSSNLTTLLISASSSLKSIPYAISLFNHFHHKSIFLFNALIRGLTDNSLLESSISHFLLMLSLGVRPDKLTYPFVLKSIAGLGLRCLGLILHGRIIKSGVEFDSFVRVALVEMYVKLKELGFALQVFDESPERNKSGSILLWNVLINGYCKDGNLGKAMELFEATPERNIGSWNSLINGFMRNGDLDKAVELFDEMKEKDVVSWTTMVNGFSQNGDHEKALSMFFKMLEAALRPNDLTLVPALSACAKIGALEAGARIHDYVLENGFRLNKAIGAALVDMYAKCGDIQSASKVFDETKERDILTWSVMIWGWAIHGYYEQAIQCFKKMMFSGIKPDGVVFLALLTACSHSGQVNLGLNFFDSMRLDYSIEPTMKHYTLVVDLLGRAGQLDESLKFIQRMPMSPDFVTWGALFCACRAHKNIKMAELVSQNLLQLEPKHPGSYVFLSNVYAAVGRWEDVERVRMLMQNRAVDKDPGWSYIEVGGEMHSFVAGDHAHKHAREIYLKLEEIVAGTRQHGYMPETGWVLHNIEEEEKEDALGSHSEKLALAFALIRTSPGTTIRIVKNLRVCGDCHSLMKYASKMSQREIVLRDIKRFHHFKDGACSCGDYW >EOY04441 pep chromosome:Theobroma_cacao_20110822:4:24325370:24326501:-1 gene:TCM_019691 transcript:EOY04441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF599 [Source:Projected from Arabidopsis thaliana (AT5G43180) TAIR;Acc:AT5G43180] MGFILYLDSFLIPSSLFLTFGYHAYLWQSFRQKPSSTKFGVDKLRRKAWFGDIKQGDDKKGMLAVQSLRNTLMTTILTASIAILVNLSLAALTNNTYNASRLFNSEVFGSHSARIYPLKYGSASLFLLVSFLCSSMALGYLIDANFLINASYDEFSSSPAYTQTIFERGFALAVIGNRVLCISFPLLLWMFGPLPVAVSSAALVWALYELDFAVAGKFKCNPNVQTL >EOY04190 pep chromosome:Theobroma_cacao_20110822:4:22474190:22479597:-1 gene:TCM_047045 transcript:EOY04190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MALKSSNLLRSHLFDGFLSRGRREKRKVMAKPVRLKGVDDELQKILDANMDEAPARRRAREAFKKFQLGIDHILFKTPIDGLKMNESYQVNSRGLEIFSKSWLPKTSCPKAMVFFCHGYGDTCTFFVEGIARKLALCGYGVFAMDYPGFGLSEGLHCYIPSFDRLVDYVIEQYSKVKENPEFRTLPSFLFGQSMGGAVALKVHLKQPNAWTGACLVAPMCKIAADMVPPWIVQQILIGVANVLPKQKLVPQKDLAEAAFRDIKKRELTPYNVIAYKDKPRLWTAVEMLRTTNEIEKNLEKVSLPILILHGENDAVTDPSVSRALYEKARSSDKRIIIYKDAGHSLLEGEPDDMILRVFSDIISWLDEHSSNTAC >EOY03867 pep chromosome:Theobroma_cacao_20110822:4:20055360:20058209:-1 gene:TCM_019074 transcript:EOY03867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGRLWWSWDRSKMWESCSWTTSRMIFNRGSAISGIRTRLKRVKHMRTMEINNKMRFHGVKMEDVTIVEKILRSLTPKFDYVICSIEQSKDIDVVSLNELKALPNDKEMGEKSNFTEKKEVETLLMTVQVNKEFESDVCYVDTGCNNHMSGKKSYFSYLYEGFHSIVSFGDSSIVNVTGKSDIKIRPKNVFVDTISNVLYIPNLKSNMLSAGQLQEKSHVIIIQKGVCEIYYHTRRVIAVVQMSSNRLFPLKIESVRFCLMAKVKVL >EOY03154 pep chromosome:Theobroma_cacao_20110822:4:6487388:6498714:-1 gene:TCM_017714 transcript:EOY03154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKTSLPSDAHEKKKEKRLAEENPSSRAQKKGEKSGPESMLHCLAAPLKEKEKTSSGPELEGTSVRISPTSQSVVVWELQF >EOY04830 pep chromosome:Theobroma_cacao_20110822:4:26118379:26120321:-1 gene:TCM_019999 transcript:EOY04830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEKKKKISRPKGMGSASKRKAFSLPQVHIPVSAQSGRMLANRQGGSSRTTLHSPINNTLRLAYLLPTSKVSENGESQEPGFHSDTTSCCSSYCYISRCSNHMQHACFGFDGMQASCDPSQPSSPNIHLLLRSLPS >EOY04682 pep chromosome:Theobroma_cacao_20110822:4:25498959:25501949:-1 gene:TCM_019878 transcript:EOY04682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ribosomal protein L51/S25/CI-B8 family protein MALRGVWQLQKLIVSYCDWGGSSRGIRAFMESQLPTFKEKNPQLEVVTELIRGQHPHLKGFYKNKNERVICVKNMTPEDILLHASRLRNALGRKVVKLKTRHVTKHPSVQGTWTTDVKF >EOY05265 pep chromosome:Theobroma_cacao_20110822:4:27791525:27795565:1 gene:TCM_020305 transcript:EOY05265 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG (high mobility group) box with ARID/BRIGHT DNA-binding domain-like protein MVTIMHARIPILRERKVDLHRLFVEVTARGGYEKTVSEQRWNKVTAIFNCPTATNVSLELRNCYTSLLLQYEQVYFPKAPDLTPNPSITHNRSQEIVRSSIEIQAERPTSTAGSLANEIIHGKFNSGYFVTVTIGSEKLQGVLYQATESAASRAPQENGTFASKSDLVQQRRHRKQSAIKRDPLQQKRNRSGYNFFFAEQCDSLKAFHRGKSRDLMKLIGEMWKNLNESEEAVFKEKALKDKEIHGHELKDYKENLRIVPPQLGLAKAEVPRDKFMAKLLEWRKRRAKAPSYQLELGFCFSNVKWNSDFTMLNFSHCSGNEIEMRAAPLAVEQMQLV >EOY06726 pep chromosome:Theobroma_cacao_20110822:4:32772391:32776615:1 gene:TCM_021368 transcript:EOY06726 gene_biotype:protein_coding transcript_biotype:protein_coding description:4Fe-4S ferredoxin, iron-sulfur binding isoform 2 MALSFFCSSAICPQHQVNVTFGCKNSLQNVKNLIKSIGVPSKSCSPHESLRKGNWVKLICGASFEDVVDIRNLSLVYTLAGVDCIDCAADPSVVNAVNEGIETAREIVPIRRPWVMISVNDDEDLHFRKAEFDPKNCPSDCSRPCEIVCPANAIFFERETATMEVPFGANRTGESKGGVMTERCYGCGRCFPVCPYDKIREVTYVRDAMATAELLKMKDVDAVEIHTSGRQTHLFGELWDELVDSIRYLRLVAVSLPDNGDATISSMNEMHAIMEPHLSCFNLWQLDGRPMSGDIGRGATRESIAFAVRLAASRERPPGFLQLAGGTNAHTVDGLKQRGLFQTHITSGAPKQLHSLIGGIAYGGYARKIVGRVLSSMHSQHGLTSIEHHPEHLLEALKEALHLVGTVKSYKHFATQP >EOY06727 pep chromosome:Theobroma_cacao_20110822:4:32772870:32775932:1 gene:TCM_021368 transcript:EOY06727 gene_biotype:protein_coding transcript_biotype:protein_coding description:4Fe-4S ferredoxin, iron-sulfur binding isoform 2 MALSFFCSSAICPQHQVNVTFGCKNSLQNVKNLIKSIGVPSKSCSPHESLRKGNWVKLICGASFEDVVDIRNLSLVYTLAGVNAVNEGIETAREIVPIRRPWVMISVNDDEDLHFRKAEFDPKNCPSDCSRPCEIVCPANAIFFERETATMEVPFGANRTGESKGGVMTERCYGCGRCFPVCPYDKIREVTYVRDAMATAELLKMKDVDAVEIHTSGRQTHLFGELWDELVDSIRYLRLVAVSLPDNGDATISSMNEMHAIMEPHLSCFNLWQLDGRPMSGDIGRGATRESIAFAVRLAASRERPPGFLQLAGGTNAHTVDGLKQRGLFQTHITSGAPKQLHSLIGGIAYGGYARKIVGRVLSSMHSQHGLTSIEHHPEHLLEALKEALHLVGTVKSYKHFATQP >EOY06471 pep chromosome:Theobroma_cacao_20110822:4:32017438:32029127:1 gene:TCM_021180 transcript:EOY06471 gene_biotype:protein_coding transcript_biotype:protein_coding description:CW-type Zinc Finger-like protein MDENSELEEGEACYHKDDDDYINPDTDFSYLDEKIKNVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYERSPSRLSRPKTPQRNSSTPRSPNNLSMEGASQNLKAPPNAPPTGRPGSAFCSTGNIAAKHDSHLSSAQVAEKAALKDENFNRAGIPTDQKKLKFRIKMGSDNKTQKNAAIYRGLGLDFSPSSSLGNSPEESGGTVTTSHDTTSESPARILQVMTSLYVPGGVLISPLHDSLLLLLRKEKEGATRDSKSIPSLKACQEHSAGLIDEFVLGNGKQLNKKKTKVLMGKSKKMVESKHGNGFNVANDKTLLIKKKSENEIAGREELFLHDLKHTALSNSVNVADSMEATARAFDVSAVANQDVSIGRFFSSDSAKEDSLESISGRSRTSGKNKKWNMQSRSVEKGWEQSVVNSHMKASLDLGDNVGRKCYQNSAPLKGKEDPEMKGGLIAKFRAGDKINISSKKEKTLLEGKKKSKGSKNTGQFADSMKERLSLDVGATPKDTTASSQGLSTGKYKHKLKLQKDINNVRDNHRDMLDTNFEQKSDQMELSVRPFHNRSKDFGSLDFEREQSAYLDKSEEIFSGRTVDNLLLGVDFLGVVPHLPDKSLASQAAAAAATASVLIQDNWVQCDYCHKWRLLPLDTTPGQLPEKWMCSMLNWLPGMNRCDISEEETTKAFNALYQVPVTKNQNNPQNHANGITSLAAPAHLQHLDQNNSSFNSQVPSIQGKKKYGLKEVRKAGISGLSQMSNSKKNQQQESLKSRSLNDMTHVPVESNLMKKSRFQQKEKHSVGGEAKQAKTKKKRESDLYAYDGSKKTKTEDMYTIDKHQGSNLDPRRVGLNSSAGLPNQANGRSMQNYNECSHSGDVKHDMKERSVVSVKKFVDHTQASSDGGSLDMRICDKRDTFMKKRKLEDWQDSQNGHELYMKELSSESGFRNKKKSRLSKNEGKQSHRNDGDGTSNRKSMDHLIGGVEEISNDQNQKLSKHKKKSASQKTLDGLDSLRRDSGTGQISVAATSSSSKVSGSCKTGANFEEAKGSPVESVSSSPMRTSYPEKFISTGGDGSGKNDAANGGIPLRGNFRKCWDGEGTVELAQSGTEVKEKASGDFNPRSCKSSTLDYWARDSICKISIKTKVSCRLRNSHLFNGDNHFEENGQHAVEHSSGEDRVNKECHVNALFSQKSDKVSTSWTKESESTSAAVKMNVYDPRNEQEDLCSRKSMKYRSDVDPEGHALQETIADCKRNLPDKSNAKSSKDDKNSVGRRDPSGRWSSDSRMETQSNIKHDGFDAKSAAPCSTKGKTAPEQNLIKDFGGQTKVMKVQSRSGMSKSSSHCEVESQQETKIYQTVPEAQRGVVSDGFPVNGSGNGDVSKALKQPGKAGSKNGSNHSLGQHMPDLPAVRDFNAPSPGRKNISSQAATNAMKDATELRNYADRLKSSGFVFESNEIYFQTALKFLGVAALLETSNSESGRHGDMNQMQVYSTATKLCEMCAQEYERRHEMAAAALAYKCMEIAYMRVVYCKHSTSSRDRNELQATLQMVPQGESPSSSASDVDNNLNNYSTVDKAPLAKGNVSHVAGTHVILARNRPSFVRLLDFTRDVSFAMEASRKSQNAFAAANLKLEEAQNTECITSVKKVIDFSFQDVDGLICMVQQAMEVISRSGLGGAGD >EOY03489 pep chromosome:Theobroma_cacao_20110822:4:16307149:16314243:-1 gene:TCM_018579 transcript:EOY03489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MASTSKNKKKRRPDISCSHCGKKGHLKEKCFRIIGFPKDFKFTKDKDKGSFRKHTSSINSVSAGSSSFVETPIQLSQEEELIGLDFITAYPVNNCFVQLPNNLQATVTLIETIKLISLLVLHNVLCAPSFQFNLVSDSWIVTGVARMSSGLYFVQDNQDDQYLSKFNIDKILKFPSTVFANGCKTIRNQFDIWHFRLGHIPSNRISVLHRQHPKLHCSDNLICDIFTIKCIRSDNGKEFRLSDFFNSTGIIHQLSCTETPQQNGVVERKHQHLFNVARALLHQSSLPIKFWGDAVLTVAHIINRTPSKLLHNKSPYECLYHKSPCYDYFKVFGSLCYVSTLESHRTKFAKKASKCVFIGYPNGTKGFKSTKSAIGSSAFPKTVGMHDPTLYYFFFFYFNSANSARLTSLSTELPISQLPSTSDIASTLYTHISLSNSPLLDYISSVSQYASNSGSNLKRSTRIKHKDAMTAELIALEENGTWSIVPLPSNSHAIGCKWVYKIKMNTDGSVDKYKARLVEKGYNQREGFDYQETFNPVAKQSTVRVFMALAASQEWQLSQLDINNAFLNGHLEETMYMQLPQGFSVKREYQHTKPSIKLVCKLHKSLNGLKQASRQWNSKFTATLLEYGFKQSMSDYSLFTLVTNKGEFVALLVYVDDILLGSNSIQAICDVKAYLSSKYKLKDLGLVKYFLGLEVASSSEGISLCQIKYMLDLLKEFSLLGAKPITTLIDYNHELKKKTKADKGVDSTGYKQLIGKLFYLTFTRPDISYAIQTLSQFMDNHIEEHQVAAHRVLKYLKGSPRQGILMKFVSDLKRTAYYDSDWARCPDTRKSVVARSSTEAEYRAMASTICEVMWLKSLLTNFGISQDTPIKLYCDNQSAIHMSKNPIFHKRTKHIEIDCHVIREKVVEPTHVSTIDQIAYLFTKALQPKQFYRLLGKMHVNNIHMHLELENQPGKELIEDQEKRLMNSKLKGLTKE >EOY02597 pep chromosome:Theobroma_cacao_20110822:4:1433322:1434409:1 gene:TCM_017027 transcript:EOY02597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARQLIVLALVFVAVAGAFAADQSPASSPSSAPKASPSKSPSSTPAASPKSSSSSPSPSPKKSSSPAASPKSAHAPNTPAASPKSSTPSQSPSEDPSAEAPELASPPAPTDEAPASGPSADGPTADGTPAPAPGNSGAAALKATGILGVAALAGFFF >EOY06739 pep chromosome:Theobroma_cacao_20110822:4:32809533:32810602:1 gene:TCM_021376 transcript:EOY06739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MRKLSKRLGVFAVIFVFAIGIVECRKLEKETLGGGFGGGAGGGGGIGGGVGGGKGGGVGVGGGAGGGLGGGGGAGGGAGGGLGGGGGAGGGFGGGKGGGVGVGGGAGGGLGGGGGAGGGGKGGGIGAGGGLGGGGGAGGGLGGGAGAGGGVGGGAGGGAGGGLGGGGGLGGGAGAGAGGGFGGGAGGGLGGGAGGGAGGGFGGGAGGGIGGGF >EOY06740 pep chromosome:Theobroma_cacao_20110822:4:32809606:32810858:1 gene:TCM_021376 transcript:EOY06740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MRKLSKRLGVFAVIFVFAIGIVECRKLEKETLGGGFGGGAGGGGGIGGGVGGGKGGGVGVGGGAGGGLGGGGGAGGGAGGGLGGGGGAGGGFGGGKGGGVGVGGGAGGGLGGGGGAGGGLGGGGGAGGGAGGGIGGGKGGGIGAGGGLGGGGGAGGGLGGGAGAGGGVGGGAGGGAGGGLGGGGGLGGGAGAGAGGGIGGGF >EOY05562 pep chromosome:Theobroma_cacao_20110822:4:29011920:29014215:1 gene:TCM_020537 transcript:EOY05562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-9 isoform 1 MDQQGHGQTSATGVVGTAAAVPYGVTTYQPNQMMATSAPGSVGSIQSPTQPAGLSASSAQLAQHQLAYQHIHQQQQQQLQQQLQSFWANQYQEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASVPRVGGPADGLPYYYMPPQLAPQVGAPGMTVGKPVMDHALYGQQSRPYAGQQMWPPQQQPPSHS >EOY05563 pep chromosome:Theobroma_cacao_20110822:4:29011912:29014226:1 gene:TCM_020537 transcript:EOY05563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-9 isoform 1 MDQQGHGQTSATGVVGTAAAVPYGVTTYQPNQMMATSAPGSVGSIQSPTQPAGLSASSAQLAQHQLAYQHIHQQQQQQLQQQLQSFWANQYQEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASVPRVGGPADGLPYYYMPPQLAPQVGAPGMTVGKPVMDHALYGQQSRPYAGQQMWPPQQQPPSHS >EOY04154 pep chromosome:Theobroma_cacao_20110822:4:22322401:22337161:1 gene:TCM_019414 transcript:EOY04154 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor family protein MRSPVLVYLKACAQAFRDGNLKLADQLMDRIWNPASNELESLEAESVVKKRKRWAEALVRRAYRLYPNIPFVYFPHPHRSICEKAILSVIMGKERVHIIDFLLPNPDDWEDLLENSSRGPDRPSSIRLSVIAPPLLKNVYATFFEEAITSFALRVFWVNVKWEFKLVIANSLADVDASELEFRRTEDEIVIVRYAYKMKRLLAQPGTMERELFKLGQIKPEFVITVEQEANHNSNFVDPLELLLEEFEDLSTINYTSLSMCAVECDGMNGVEMAQLRSLLHAAGFLPFPLNQSEPTGGFVQKENGCLVVSVERWPPVIISAWKFTDSVHHSISMQAMFYIEPGCCCC >EOY05385 pep chromosome:Theobroma_cacao_20110822:4:28371105:28375000:-1 gene:TCM_020395 transcript:EOY05385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel beta subunit 1 MQYKNLGRSGLRVSQLSYGAWVSFGNQLDVKEAKSLLQCCKDNGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDIVVSTKIFWGGPGPNDKGLSRKHIVEGTKASLKRLDMDYVDVIYCHRPDSSTPIEETVRAINYVIDKGWAFYWGTSEWSAQQVTEAWGIAERLDLVGPIVEQPEYNLLTRHKVESEYLPLYTNYGLGLTTWSPLASGVLTGKYSKGSIPADSRFALDNFKNLANRSLVDDVLKKVNGLKPIADELGVPLAQLAIAWCAANPNVSSVITGATKESQIQENMKALDVVPLLTPAVMEKIEAVVQSKPKRPDSYR >EOY03962 pep chromosome:Theobroma_cacao_20110822:4:20830702:20832416:1 gene:TCM_019186 transcript:EOY03962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIFRNDRRQLKNNHNYGRYTTLRNILGSKNGAPNGLLIEEIRTQDTFMQWSQQGGEKGRLGHGLSSQWSDFFSIHMAYTAFSYVLDKTMTPVVINLLRHIGPLTQQDLPVSSELSPGHRYWSLFSDLYPCGLLAVMKYRGSAMTLLEAWTGVKSYHVDTDTVVQQPNSFQF >EOY02698 pep chromosome:Theobroma_cacao_20110822:4:1800688:1804471:1 gene:TCM_017094 transcript:EOY02698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGGEKWSMLSYPGGSLDKTVINGIMLRFRPIAPKPVAGETGSGGGQFDNKNLLLSKPRAKRKYVRVRKNNIKRKKRSSSDHLHHEEVSKDPERIVTLQLLPEKTEANGSTINQNDAVLEENQGPPLLFNLNFNNRWIDRMGVVEEPDQTVVVSQKRKVTVVESWVTVERVTDTCMDGRELGSTDVDRTKNLEADTCPGFISDGLNKVQWVNGAYKRMLTVEEGNDGPSPDIMVWLVMKQELPRFCTAFSCKVRLQYTWQNEKYSRMLPCDVWKMDTGGCFAWRLDVEAALSLGR >EOY05352 pep chromosome:Theobroma_cacao_20110822:4:28207108:28209060:1 gene:TCM_020370 transcript:EOY05352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 721, VAMP7B MDETYPAYCVVAVESAGRQVPIAFLERVKEDFNKRYGGGKLQQLPRIAKIENLEISKLAKVKAQVSEVKGSMMENIEKVLDCGEKIELLVDKTENLRSQAQDFR >EOY02741 pep chromosome:Theobroma_cacao_20110822:4:2008502:2016844:1 gene:TCM_017134 transcript:EOY02741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MAGALVDAIMKHIAEIATGGVVKGYRWVKMLRGVKKEVERLERNFESIQDVLEDAEQKQTVEKNVECWLDRLKEVSYNMEDVLDEGNTALIRLQINGVGNAPLFKRMVRSFISYFCFYSRVARYRHLAVTIKTINKELDELTKEKDRYQLIKREVKQPRRVESTSLVDVSKLHGREEVKKDIMNKLLCRTSEKGEDIQTISVIGMGGIGKTSLAQLIYNDDEVRTHFHKTSCVCVSDFFYHVKIARAILGGLDQDATDLHNQNSLGILLDDVWTDREEDWEPLITTFRSGKLGSRILVTTRIESVATVMKSSHKISLERLSDDVCWLILNQIALEGTKNEGDKTLQDIGWKVVKKCQGLPLAAKALGGLLRDKTREEWENVMNSEIWNLNLAHEYIFTTLLLSYYGLPSAIRLCLLHCAIFPKNYEMWIDIDLIPHWMALGYLNSGENSEKELKGDEYFKCLAAHSFFQDFQEDEHGTIYSCKMHDIVHDFVAFFTKKEVVVKEVNSVENLGLDSSSVRKVRHLSLTVGKGRDFPLSGAYHLRSLVVTASNNNYDVSAEDLRNLFCQSKRLRLLEFGFKSETSLVAEKFLKEMRNLIHLRYLKLSHSNEIKRLPEAVCDLCNLQVLDLFKCRGFEKLPDGIGRLINLRHLSSEGCNSLQYYPKGIGRLTSLRVLFPVIASADGNDAKQFSVGDLENLDLLRKSLWIKLVGNAIDKDEIRRAKLQNKTHFNRLYIKLEGKEMKEDDVIAASNPPPTLGVEFTSLWMS >EOY03797 pep chromosome:Theobroma_cacao_20110822:4:19375250:19381619:-1 gene:TCM_018983 transcript:EOY03797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein isoform 3 MDIDAIIGETRARSVNIPTKSAIYVWGYNYSGQTGRKGKEQQLRIPKQLPPDLFGCPAGANAHWLDFACGREHTAAVASDGSLFTWGANEFGQLGDGTEEGRKYPKKVKQLQTEFVKYVSCGAHCTAAIAGPRENDGTISTSRLWVWGQNQGSNLPHLFWGAFTPNMVIRQVSCGAAHAVALSEEGLLQAWGYNEYGQLGRGITCEGLQRARMISAYAKFLDEAPELVKITQVSCGEYHTAAISEKGEVYTWGLGNMGQLGHSSLQSGDKELLPRRVVALDGICIKDVACGGVHTCALTSEGALYAWGGGQVGQLGLGPQTGIFSCDSKKSFFRNIPALVVPTGVQLIACGHSHTLICLKDGRIHGWGYNSYGQAANEKSVYAWYPSPVDWCVGEVRKLAAGGGHSAVLTDACSLKELCEFRLADNVTLSNAAQIEDVASRTGSDALARLCERLRYWLTFLAAFI >EOY03798 pep chromosome:Theobroma_cacao_20110822:4:19375250:19381619:-1 gene:TCM_018983 transcript:EOY03798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein isoform 3 MDIDAIIGETRARSVNIPTKSAIYVWGYNYSGQTGRKGKEQQLRIPKQLPPDLFGCPAGANAHWLDFACGREHTAAVASDGSLFTWGANEFGQLGDGTEEGRKYPKKVKQLQTEFVKYVSCGAHCTAAIAGPRENDGTISTSRLWVWGQNQGSNLPHLFWGAFTPNMVIRQVSCGAAHAVALSEEGLLQAWGYNEYGQLGRGITCEGLQRARMISAYAKFLDEAPELVKITQVSCGEYHTAAISEKGEVYTWGLGNMGQLGHSSLQSGDKELLPRRVVALDGICIKDVACGGVHTCALTSEGALYAWGGGQVGQLGLGPQTGIFSCDSKKSFFRNIPALVVPTGVQLIACGHSHTLICLKDGRIHGWGYNSYGQAANEKSVYAWYPSPVDWYV >EOY03796 pep chromosome:Theobroma_cacao_20110822:4:19374435:19381619:-1 gene:TCM_018983 transcript:EOY03796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein isoform 3 MDIDAIIGETRARSVNIPTKSAIYVWGYNYSGQTGRKGKEQQLRIPKQLPPDLFGCPAGANAHWLDFACGREHTAAVASDGSLFTWGANEFGQLGDGTEEGRKYPKKVKQLQTEFVKYVSCGAHCTAAIAGPRENDGTISTSRLWVWGQNQGSNLPHLFWGAFTPNMVIRQVSCGAAHAVALSEEGLLQAWGYNEYGQLGRGITCEGLQRARMISAYAKFLDEAPELVKITQVSCGEYHTAAISEKGEVYTWGLGNMGQLGHSSLQSGDKELLPRRVVALDGICIKDVACGGVHTCALTSEGALYAWGGGQVGQLGLGPQTGIFSCDSKKSFFRNIPALVVPTGVQLIACGHSHTLICLKDGRIHGWGYNSYGQAANEKSVYAWYPSPVDWCVGEVRKLAAGGGHSAVLTDACSLKELCEFRLADNVTLSNAAQIEDVASRTGSDALARLCERLREHFVDGGDGDYQDDTSNVKK >EOY06112 pep chromosome:Theobroma_cacao_20110822:4:30936911:30941401:1 gene:TCM_020935 transcript:EOY06112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty alcohol oxidase 3 isoform 1 MGEGQCQQPLLNGERRDRGKRRYAHGLSSAEVQTLASIGEAVFPSLKPNSDFEGKQNQPRKAVQSFLEASASESPIPDEVVGENNDNPAWEAIGYQVDNVENSKQVSKERPLQKGMIETMHEKDSTLLQSLTQKGLQVTEDTERNVYKIKCDAVIVGSGCGGGVAAAILASSGLKVVVLEKGSYFAPSDYSPFEGPSMDQLYESGGILPSIDGQMLILAGSTVGGGSAINWSASIKTPKSILQEWAEDCQLPLFGSPEYLSAMDNVCERIGVTENCKEEGFQNQILRKGCKNLGLEVENVPRNSSESHYCGSCGFGCRRGDKKGTDRTWLVDAVSNGAVILTGCKAEKFILEKNKICSKRKLKCLGVIAKTSNKNITKKLHIEAKVTISACGALFTPLLMHSSGLKNRNIGRNLHLHPVLMAWGYFPDSDSEFKGKVYEGGIITSVHKVVAADNKVRAIIETPSLGPAQYAALCPWESGLDMKRRMLNFSRTAHMITIIRDQGSGKVHAGGRVTYKFDALDRQNMQSGLRQALRILVAAGAVEVGTHRSDGQSIRCKGISNKELEEFLESVSPVEGPMFPAEKWVTHTSAHQMGSCRMGINEKEGAVDENGESWEAEGLFVCDASVLPGAIGVNPMITVQSTAYCLSKRIAESLRQK >EOY06111 pep chromosome:Theobroma_cacao_20110822:4:30936472:30941937:1 gene:TCM_020935 transcript:EOY06111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty alcohol oxidase 3 isoform 1 MGEGQCQQPLLNGERRDRGKRRYAHGLSSAEVQTLASIGEAVFPSLKPNSDFEGKQNQPRKAVQSFLEASASESPIPDEVAQLLVKRTFMETRILLRVVLLLLSTRLGTLLLCGSLCLGDKWPYINYFSCMPLENREKVLQMWFKSWLFTLVRIAFIYLKVACLYVFFSRVGENNDNPAWEAIGYQVDNVENSKQVSKERPLQKGMIETMHEKDSTLLQSLTQKGLQVTEDTERNVYKIKCDAVIVGSGCGGGVAAAILASSGLKVVVLEKGSYFAPSDYSPFEGPSMDQLYESGGILPSIDGQMLILAGSTVGGGSAINWSASIKTPKSILQEWAEDCQLPLFGSPEYLSAMDNVCERIGVTENCKEEGFQNQILRKGCKNLGLEVENVPRNSSESHYCGSCGFGCRRGDKKGTDRTWLVDAVSNGAVILTGCKAEKFILEKNKICSKRKLKCLGVIAKTSNKNITKKLHIEAKVTISACGALFTPLLMHSSGLKNRNIGRNLHLHPVLMAWGYFPDSDSEFKGKVYEGGIITSVHKVVAADNKVRAIIETPSLGPAQYAALCPWESGLDMKRRMLNFSRTAHMITIIRDQGSGKVHAGGRVTYKFDALDRQNMQSGLRQALRILVAAGAVEVGTHRSDGQSIRCKGISNKELEEFLESVSPVEGPMFPAEKWVTHTSAHQMGSCRMGINEKEGAVDENGESWEAEGLFVCDASVLPGAIGVNPMITVQSTAYCLSKRIAESLRQKLVF >EOY06113 pep chromosome:Theobroma_cacao_20110822:4:30936614:30941401:1 gene:TCM_020935 transcript:EOY06113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty alcohol oxidase 3 isoform 1 MGEGQCQQPLLNGERRDRGKRRYAHGLSSAEVQTLASIGEAVFPSLKPNSDFEGKQNQPRKAVQSFLEASASESPIPDEVVGENNDNPAWEAIGYQVDNVENSKQVSKERPLQKGMIETMHEKDSTLLQSLTQKGLQVTEDTERNVYKIKCDAVIVGSGCGGGVAAAILASSGLKVVVLEKGSYFAPSDYSPFEGPSMDQLYESGGILPSIDGQMLILAGSTVGGGSAINWSASIKTPKSILQEWAEDCQLPLFGSPEYLSAMDNVCERIGVTENCKEEGFQNQILRKGCKNLGLEVENVPRNSSESHYCGSCGFGCRRGDKKGTDRTWLVDAVSNGAVILTGCKAEKFILEKNKICSKRKLKCLGVIAKTSNKNITKKLHIEAKVTISACGALFTPLLMHSSGLKNRNIGRNLHLHPVLMAWGYFPDSDSEFKGKVYEGGIITSVHKVVAADNKVRAIIETPSLGPAQYAALCPWESGLDMKRRMLNFSRTAHMITIIRDQGSGKVHAGGRVTYKFDALDRQNMQSGLRQALRILVAAGAVEVGTHRSDGQSIRCKGISNKELEEFLESVSPVEGPMFPAEKWVTHTSAHQMGSCRMGINEKEGAVDENGESWEAEGLFVCDASVLPGAIGVNPMITVQSTAYCLSKRIAESLRQK >EOY06109 pep chromosome:Theobroma_cacao_20110822:4:30936736:30941644:1 gene:TCM_020935 transcript:EOY06109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty alcohol oxidase 3 isoform 1 MGEGQCQQPLLNGERRDRGKRRYAHGLSSAEVQTLASIGEAVFPSLKPNSDFEGKQNQPRKAVQSFLEASASESPIPDEVAQLLVKRTFMETRILLRVVLLLLSTRLGTLLLCGSLCLGDKWPYINYFSCMPLENREKVLQMWFKSWLFTLVRIAFIYLKVACLYVFFSRVGENNDNPAWEAIGYQVDNVENSKQVSKERPLQKGMIETMHEKDSTLLQSLTQKGLQVTEDTERNVYKIKCDAVIVGSGCGGGVAAAILASSGLKVVVLEKGSYFAPSDYSPFEGPSMDQLYESGGILPSIDGQMLILAGSTVGGGSAINWSASIKTPKSILQEWAEDCQLPLFGSPEYLSAMDNVCERIGVTENCKEEGFQNQILRKGCKNLGLEVENVPRNSSESHYCGSCGFGCRRGDKKGTDRTWLVDAVSNGAVILTGCKAEKFILEKNKICSKRKLKCLGVIAKTSNKNITKKLHIEAKVTISACGALFTPLLMHSSGLKNRNIGRNLHLHPVLMAWGYFPDSDSEFKGKVYEGGIITSVHKVVAADNKVRAIIETPSLGPAQYAALCPWESGLDMKRRMLNFSRTAHMITIIRDQGSGKVHAGGRVTYKFDALDRQNMQSGLRQALRILVAAGAVEVGTHRSDGQSIRCKGISNKELEEFLESVSPVEGPMFPAEKWVTHTSAHQMGSCRMGINEKEGAVDENGESWEAEGLFVCDASVLPGAIGVNPMITVQSTAYCLSKRIAESLRQKLVF >EOY06110 pep chromosome:Theobroma_cacao_20110822:4:30936480:30942224:1 gene:TCM_020935 transcript:EOY06110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty alcohol oxidase 3 isoform 1 MGEGQCQQPLLNGERRDRGKRRYAHGLSSAEVQTLASIGEAVFPSLKPNSDFEGKQNQPRKAVQSFLEASASESPIPDEVAQLLVKRTFMETRILLRVVLLLLSTRLGTLLLCGSLCLGDKWPYINYFSCMPLENREKVLQMWFKSWLFTLVRIAFIYLKVACLYVFFSRVGENNDNPAWEAIGYQVDNVENSKQVSKERPLQKGMIETMHEKDSTLLQSLTQKGLQVTEDTERNVYKIKCDAVIVGSGCGGGVAAAILASSGLKVVVLEKGSYFAPSDYSPFEGPSMDQLYESGGILPSIDGQMLILAGSTVGGGSAINWSASIKTPKSILQEWAEDCQLPLFGSPEYLSAMDNVCERIGVTENCKEEGFQNQILRKGCKNLGLEVENVPRNSSESHYCGSCGFGCRRGDKKGTDRTWLVDAVSNGAVILTGCKAEKFILEKNKICSKRKLKCLGVIAKTSNKNITKKLHIEAKVTISACGALFTPLLMHSSGLKNRNIGRNLHLHPVLMAWGYFPDSDSEFKGKVYEGGIITSVHKVVAADNKVRAIIETPSLGPAQYAALCPWESGLDMKRRMLNFSRTAHMITIIRDQGSGKVHAGGRVTYKFDALDRQNMQSGLRQALRILVAAGAVEVGTHRSDGQSIRCKGISNKELEEFLESVSPVEGPMFPAEKWVTHTSAHQMGSCRMGINEKEGAVDENGESWEAEGLFVCDASVLPGAIGVNPMITVQSTAYCLSKRIAESLRQKLVF >EOY06114 pep chromosome:Theobroma_cacao_20110822:4:30937533:30941394:1 gene:TCM_020935 transcript:EOY06114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty alcohol oxidase 3 isoform 1 METRILLRVVLLLLSTRLGTLLLCGSLCLGDKWPYINYFSCMPLENREKVLQMWFKSWLFTLVRIAFIYLKVACLYVFFSRVGENNDNPAWEAIGYQVDNVENSKQVSKERPLQKGMIETMHEKDSTLLQSLTQKGLQVTEDTERNVYKIKCDAVIVGSGCGGGVAAAILASSGLKVVVLEKGSYFAPSDYSPFEGPSMDQLYESGGILPSIDGQMLILAGSTVGGGSAINWSASIKTPKSILQEWAEDCQLPLFGSPEYLSAMDNVCERIGVTENCKEEGFQNQILRKGCKNLGLEVENVPRNSSESHYCGSCGFGCRRGDKKGTDRTWLVDAVSNGAVILTGCKAEKFILEKNKICSKRKLKCLGVIAKTSNKNITKKLHIEAKVTISACGALFTPLLMHSSGLKNRNIGRNLHLHPVLMAWGYFPDSDSEFKGKVYEGGIITSVHKVVAADNKVRAIIETPSLGPAQYAALCPWESGLDMKRRMLNFSRTAHMITIIRDQGSGKVHAGGRVTYKFDALDRQNMQSGLRQALRILVAAGAVEVGTHRSDGQSIRCKGISNKELEEFLESVSPVEGPMFPAEKWVTHTSAHQMGSCRMGINEKEGAVDENGESWEAEGLFVCDASVLPGAIGVNPMITVQSTAYCLSKRIAESL >EOY06668 pep chromosome:Theobroma_cacao_20110822:4:32598738:32601023:-1 gene:TCM_021323 transcript:EOY06668 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAMP-regulated phosphoprotein 19-related protein isoform 1 MADCKHGEDFYASQDHEGTTTAEKYGGLVPKKKPLISKDHERAFFDSADWALCKQGAGANQKSTVAIETLRPKLQRTPHQQLPPRRPACTSGRENIGQ >EOY06669 pep chromosome:Theobroma_cacao_20110822:4:32598830:32601198:-1 gene:TCM_021323 transcript:EOY06669 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAMP-regulated phosphoprotein 19-related protein isoform 1 MADCKHGEDFYASQDHEGTTTAEKYGGLVPKKKPLISKDHERAFFDSADWALCKQGAGANQKSTVAIETLRPKLQRTPHQQLPPRRPACTSGRENIGQ >EOY03032 pep chromosome:Theobroma_cacao_20110822:4:3739867:3746058:-1 gene:TCM_017438 transcript:EOY03032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-CoA synthetase isoform 3 MYKRSIGDPAGFWSDIASSEFYWKQRWGEQVYSENLDVRKGNIKIEWFKGGITNMCYNCLDRNIDAGLADKIALYWESNEPGFDATLTYSQLLDRVCQLANYLKAIGVRKGDAVVVYLPMLMELPITMLACARIGAVHSVVFAGFSSESLAQRIMDCKPKVIITCNAVKRGPKTIHLKDIVDAALVESAKNGVPVDVCLTYENQSALKKESTKWQDGRDIWWEDVVPKYATTCNVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKASDVYWCTADCGWITGHSYVTYGPMLNGATIVVYEGAPNYPDPGRCWDIVDKYKVTIFYTAPTLVRSLMRDGDEYVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGGSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGVEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVETVSFLLPVDDTILIFAVDIVLAQLRWNLL >EOY03030 pep chromosome:Theobroma_cacao_20110822:4:3739798:3746832:-1 gene:TCM_017438 transcript:EOY03030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-CoA synthetase isoform 3 MLGRIPLLKNKKKKRKMMTISISPHALPQLQRYKRAAPTPSQVKQGLGQSVGGRAGGGVLAMAYLKNLTTTNHLRHVESMATLPSGAGKISHFNAVVLGEALASEEDDLVFPSDDFSREALVPSPQKYLEMYKRSIGDPAGFWSDIASSEFYWKQRWGEQVYSENLDVRKGNIKIEWFKGGITNMCYNCLDRNIDAGLADKIALYWESNEPGFDATLTYSQLLDRVCQLANYLKAIGVRKGDAVVVYLPMLMELPITMLACARIGAVHSVVFAGFSSESLAQRIMDCKPKVIITCNAVKRGPKTIHLKDIVDAALVESAKNGVPVDVCLTYENQSALKKESTKWQDGRDIWWEDVVPKYATTCNVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKASDVYWCTADCGWITGHSYVTYGPMLNGATIVVYEGAPNYPDPGRCWDIVDKYKVTIFYTAPTLVRSLMRDGDEYVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGGSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGVEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGIYAFVTLVDGVSYSEELRKSLILTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLAEPGVVDQLIALADC >EOY03031 pep chromosome:Theobroma_cacao_20110822:4:3739906:3746520:-1 gene:TCM_017438 transcript:EOY03031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-CoA synthetase isoform 3 MLGRIPLLKNKKKKRKMMTISISPHALPQLQRYKRAAPTPSQVKQGLGQSVGGRAGGGVLAMAYLKNLTTTNHLRHVESMATLPSGAGKISHFNAVVLGEALASEEDDLVFPSDDFSREALVPSPQKYLEMYKRSIGDPAGFWSDIASSEFYWKQRWGEQVYSENLDVRKGNIKIEWFKGGITNMCYNCLDRNIDAGLADKIALYWESNEPGFDATLTYSQLLDRVCQLANYLKAIGVRKGDAVVVYLPMLMELPITMLACARIGAVHSVVFAGFSSESLAQRIMDCKPKVIITCNAVKRGPKTIHLKDIVDAALVESAKNGVPVDVCLTYENQSALKKESTKWQDGRDIWWEDVVPKYATTCNVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKASDVYWCTADCGWITGHSYVTYGPMLNGATIVVYEGAPNYPDPGRCWDIVDKYKVTIFYTAPTLVRSLMRDGDEYVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGGSRCPISDTWWQTETGGFMDYSSAGCLAAEAWFCYLSFLWSSACHSGRERS >EOY02834 pep chromosome:Theobroma_cacao_20110822:4:2460674:2462715:-1 gene:TCM_017237 transcript:EOY02834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWRVSSITRCWLCLTSIQKFSNIQNLGFKSELKKERGKERKREMSADWGPVIVAVVLFILLSPGLLFQLPSRTRVIEFGNMCTSGIAILVHAVIYFCIVTILIIAIGIHIHVN >EOY06312 pep chromosome:Theobroma_cacao_20110822:4:31557205:31562058:1 gene:TCM_021082 transcript:EOY06312 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MASMVLNGSSSSQVSPLISIQEKGSRNKRKFRADPPLGDPNKIIPSPQNEYPSYEFCAEKFEITPVHGQASACDLCGVNQDHSDGLKLDLGLSSTVGSSEVGPSQPREEIEADEYQDADWSDLTESQLEELVLSNLDAIFKSAIKKIVACGYTEEIATKAVLRSGLCYGCKDTVSNIVDNTLAFLRSGQDINSSRDHCFEDLQQLEKYILAELVCVLREVRPFFSTGDAMWCLLICDMNVSHACSMDGDPLSGFVGDEASNGSSSTSNLLKTEAKSSDMNFPNPCKPVPCIPCSHSSLPKAPSMGVNSTTKSKNSLVLSGIVSEKEGTSSISDSADKTFCAAGTSQSSTLEEKFVGSRKIHSTKREYILRQKSLHLEKNYRTYGTRGSSRAKLSGLGGLILDKKLKSVSDSAAVNIKNASLKIKAMGADIPQDNGSHNLSVNSGPSSSATFCLDNGNNISALPKTNIATTSPQVNMPPALLPINNPPALSTADTELSLSLPTKSNSIVVPSVSHCESANLSYAGMPYDKSLGQWVPQDKKDEMILKLVPRVQELQNQLQEWTEWANQKVMQAARRLSKDKAELKTLRQEKEEVERLKKEKSTLEDNTLKKLVEMESALSKAGGQVDGANATVRRLEVENAALRQEMEAAKLRAAESAASCQEVSKREKKTLMKVQSWEKQKTFFQEELMTEKRKVAQLLQELQQAKVLQEQLEARWQQEEKAKEEVLTQASSIRKEREKIEASAKSKELMIKSKAETSLQKYKEDIQKLEKEISQLRLKTDSSKIAALRRGIDGSYVGRFIDSKYGMAQKESQTPFISEVVTDFQDFSGRGGVKRERECVMCLSEEMSVVFIPCAHQVVCTTCNELHEKQGMKDCPSCRSPIQRRIPVRYARS >EOY06310 pep chromosome:Theobroma_cacao_20110822:4:31557966:31561225:1 gene:TCM_021082 transcript:EOY06310 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MASMVLNGSSSSQVSPLISIQEKGSRNKRKFRADPPLGDPNKIIPSPQNEYPSYEFCAEKFEITPVHGQASACDLCGVNQDHSDGLKLDLGLSSTVGSSEVGPSQPREEIEADEYQDADWSDLTESQLEELVLSNLDAIFKSAIKKIVACGYTEEIATKAVLRSGLCYGCKDTVSNIVDNTLAFLRSGQDINSSRDHCFEDLQQLEKYILAELVCVLREVRPFFSTGDAMWCLLICDMNVSHACSMDGDPLSGFVGDEASNGSSSTSNLLKTEAKSSDMNFPNPCKPVPCIPCSHSSLPKAPSMGVNSTTKSKNSLVLSGIVSEKEGTSSISDSADKTFCAAGTSQSSTLEEKFVGSRKIHSTKREYILRQKSLHLEKNYRTYGTRGSSRAKLSGLGGLILDKKLKSVSDSAAVNIKNASLKIKAMGADIPQDNGSHNLSVNSGPSSSATFCLDNGNNISALPKTNIATTSPQVNMPPALLPINNPPALSTADTELSLSLPTKSNSIVVPSVSHCESANLSYAGMPYDKSLGQWVPQDKKDEMILKLVPRVQELQNQLQEWTEWANQKVMQAARRLSKDKAELKTLRQEKEEVERLKKEKSTLEDNTLKKLVEMESALSKAGGQVDGANATVRRLEVENAALRQEMEAAKLRAAESAASCQEVSKREKKTLMKVQSWEKQKTFFQEELMTEKRKVAQLLQELQQAKVLQEQLEENYLRLDGNRKRRQRKKYLLRLVQ >EOY06309 pep chromosome:Theobroma_cacao_20110822:4:31557205:31564160:1 gene:TCM_021082 transcript:EOY06309 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MASMVLNGSSSSQVSPLISIQEKGSRNKRKFRADPPLGDPNKIIPSPQNEYPSYEFCAEKFEITPVHGQASACDLCGVNQDHSDGLKLDLGLSSTVGSSEVGPSQPREEIEADEYQDADWSDLTESQLEELVLSNLDAIFKSAIKKIVACGYTEEIATKAVLRSGLCYGCKDTVSNIVDNTLAFLRSGQDINSSRDHCFEDLQQLEKYILAELVCVLREVRPFFSTGDAMWCLLICDMNVSHACSMDGDPLSGFVGDEASNGSSSTSNLLKTEAKSSDMNFPNPCKPVPCIPCSHSSLPKAPSMGVNSTTKSKNSLVLSGIVSEKEGTSSISDSADKTFCAAGTSQSSTLEEKFVGSRKIHSTKREYILRQKSLHLEKNYRTYGTRGSSRAKLSGLGGLILDKKLKSVSDSAAVNIKNASLKIKAMGADIPQDNGSHNLSVNSGPSSSATFCLDNGNNISALPKTNIATTSPQVNMPPALLPINNPPALSTADTELSLSLPTKSNSIVVPSVSHCESANLSYAGMPYDKSLGQWVPQDKKDEMILKLVPRVQELQNQLQEWTEWANQKVMQAARRLSKDKAELKTLRQEKEEVERLKKEKSTLEDNTLKKLVEMESALSKAGGQVDGANATVRRLEVENAALRQEMEAAKLRAAESAASCQEVSKREKKTLMKVQSWEKQKTFFQEELMTEKRKVAQLLQELQQAKVLQEQLEARWQQEEKAKEEVLTQASSIRKEREKIEASAKSKELMIKSKAETSLQKYKEDIQKLEKEISQLRLKTDSSKIAALRRGIDGSYVGRFIDSKYGMAQKESQTPFISEVVTDFQDFSGRGGVKRERECVMCLSEEMSVVFIPCAHQVVCTTCNELHEKQGMKDCPSCRSPIQRRIPVRYARS >EOY06311 pep chromosome:Theobroma_cacao_20110822:4:31557353:31562062:1 gene:TCM_021082 transcript:EOY06311 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MASMVLNGSSSSQVSPLISIQEKGSRNKRKFRADPPLGDPNKIIPSPQNEYPSYEFCAEKFEITPVHGQASACDLCGVNQDHSDGLKLDLGLSSTVGSSEVGPSQPREEIEADEYQDADWSDLTESQLEELVLSNLDAIFKSAIKKIVACGYTEEIATKAVLRSGLCYGCKDTVSNIVDNTLAFLRSGQDINSSRDHCFEDLQQLEKYILAELVCVLREVRPFFSTGDAMWCLLICDMNVSHACSMDGDPLSGFVGDEASNGSSSTSNLLKTEAKSSDMNFPNPCKPVPCIPCSHSSLPKAPSMGVNSTTKSKNSLVLSGIVSEKEGTSSISDSADKTFCAAGTSQSSTLEEKFVGSRKIHSTKREYILRQKSLHLEKNYRTYGTRGSSRAKLSGLGGLILDKKLKSVSDSAAVNIKNASLKIKAMGADIPQDNGSHNLSVNSGPSSSATFCLDNGNNISALPKTNIATTSPQVNMPPALLPINNPPALSTADTELSLSLPTKSNSIVVPSVSHCESANLSYAGMPYDKSLGQWVPQDKKDEMILKLVPRVQELQNQLQEWTEWANQKVMQAARRLSKDKAELKTLRQEKEEVERLKKEKSTLEDNTLKKLVEMESALSKAGGQVDGANATVRRLEVENAALRQEMEAAKLRAAESAASCQEVSKREKKTLMKVQSWEKQKTFFQEELMTEKRKVAQLLQELQQAKVLQEQLEENYLRLDGNRKRRQRKKYLLRLVQ >EOY06313 pep chromosome:Theobroma_cacao_20110822:4:31557277:31562062:1 gene:TCM_021082 transcript:EOY06313 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MASMVLNGSSSSQVSPLISIQEKGSRNKRKFRADPPLGDPNKIIPSPQNEYPSYEFCAEKFEITPVHGQASACDLCGVNQDHSDGLKLDLGLSSTVGSSEVGPSQPREEIEADEYQDADWSDLTESQLEELVLSNLDAIFKSAIKKIVACGYTEEIATKAVLRSGLCYGCKDTVSNIVDNTLAFLRSGQDINSSRDHCFEDLQQLEKYILAELVCVLREVRPFFSTGDAMWCLLICDMNVSHACSMDGDPLSGFVGDEASNGSSSTSNLLKTEAKSSDMNFPNPCKPVPCIPCSHSSLPKAPSMGVNSTTKSKNSLVLSGIVSEKEGTSSISDSADKTFCAAGTSQSSTLEEKFVGSRKIHSTKREYILRQKSLHLEKNYRTYGTRGSSRAKLSGLGGLILDKKLKSVSDSAAVNIKNASLKIKAMGADIPQDNGSHNLSVNSGPSSSATFCLDNGNNISALPKTNIATTSPQVNMPPALLPINNPPALSTADTELSLSLPTKSNSIVVPSVSHCESANLSYAGMPYDKSLGQWVPQDKKDEMILKLVPRVQELQNQLQEWTEWANQKVMQAARRLSKDKAELKTLRQEKEEVERLKKEKSTLEDNTLKKLVEMESALSKAGGQVDGANATVRRLEVENAALRQEMEAAKLRAAESAASCQEVSKREKKTLMKVQSWEKQKTFFQEELMTEKRKVAQLLQELQQAKVLQEQLEARWQQEEKAKEEVLTQASSIRKEREKIEASAKSKELMIKSKAETSLQKYKEDIQKLEKEISQLRLKTDSSKIAALRRGIDGSYVGRFIDSKYGMAQKESQTPFISEVVTDFQDFSGRGGVKRERECVMCLSEEMSVVFIPCAHQVVCTTCNELHEKQGMKDCPSCRSPIQRRIPVRYARS >EOY04915 pep chromosome:Theobroma_cacao_20110822:4:26448959:26457423:-1 gene:TCM_020061 transcript:EOY04915 gene_biotype:protein_coding transcript_biotype:protein_coding description:P4H isoform 1 MAPGMKIVFGLLTFVTVGMIIGALFQLAFIRGLEDSYGSDFPTAKLRVSQSDGYLKLPRGMSHWHGDKEAEILRLGYVKPEIISWSPRIIVLHNFLSNEECDYLRAVAQPRLQISTVVDARTGKGIKSNVRTSSGMFLSPTERKYPMIQAIEKRISVFSQIPAENGELIQVLRYEKDQFYKPHHDYFSDTFNLKRGGQRIATMLMYLSNDVEGGETYFPMAGTGDCSCGGKIVKGLSVKPVKGDAVLFWSMGLDGQSDPNSIHGGCEVLSGEKWSATKWMRQKPTF >EOY04916 pep chromosome:Theobroma_cacao_20110822:4:26448855:26457520:-1 gene:TCM_020061 transcript:EOY04916 gene_biotype:protein_coding transcript_biotype:protein_coding description:P4H isoform 1 MAPGMKIVFGLLTFVTVGMIIGALFQLAFIRGLEDSYGSDFPTAKLRVSQSDGYLKLPRGMSHWHGDKEAEILRLGYVSENGELIQVLRYEKDQFYKPHHDYFSDTFNLKRGGQRIATMLMYLSNDVEGGETYFPMAGTGDCSCGGKIVKGLSVKPVKGDAVLFWSMGLDGQSDPNSIHGGCEVLSGEKWSATKWMRQKPTF >EOY03065 pep chromosome:Theobroma_cacao_20110822:4:4093304:4096564:-1 gene:TCM_017489 transcript:EOY03065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQVEAQMENPEGDHNPLEIQNLEDDDEFQIENPFRKEGATNQATRVGLEGRLLHILDLNGGGIKIEKALIWHPVTRNSEARRGEELEPVYDEHDAEIEEINVYPAQDESLVLKKGHGVPITIQCLEKFTTGGNLDDEASCDVVPMDVGHILVGRPWLYDHDMNHKTKPTTYSFYNENKRYTLYPLKKETKKSATSSPTNSKITGGKSPQYPTEIQQLLKEFRELVNEDLPKSLPPLRSIQHAIDMVPGASLPNLPAYRMPPMQRAEVQRQVEELSEKDLVSDSKSPCACPALLAPKKDGGLKPDPEKICAISEWPAPTSIKEMDLSGQSAKKALVLALPDFEKLFVVECDASHHYLAYHEFAVYSDHHHSDLDQGTRIRQFLVKWLGKLANESTWIAEKELKRVDPNIYEKYVKAHSSEPSLF >EOY03485 pep chromosome:Theobroma_cacao_20110822:4:16262164:16262691:1 gene:TCM_018571 transcript:EOY03485 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MPRIKVKESGKDCSICLEEFKVDEEARETPCKHVFHSGCVEKWLRIHGSCPVCRFFMPAEEEESGGDRSGGDRGQESLEGGEIGSGFVRSVLAFASFMAMAGLHVGSDQVDEDDCSAQDMDGN >EOY06255 pep chromosome:Theobroma_cacao_20110822:4:31443035:31445667:1 gene:TCM_021052 transcript:EOY06255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGSRVLYKLRTPWSRNSQRLGAVVSLVGLLDAPLALLPTTGNSCPTGSDLASVFRSSGRLSWQPACPTFAPICMPFSYKPEHPICLLAHAPNLLASLCTHSLVGANASEPQCYPGIELRFQPLLFTHFRWLAYSRAPNPCHRAGPPLTTKWA >EOY04024 pep chromosome:Theobroma_cacao_20110822:4:21560359:21560941:-1 gene:TCM_019283 transcript:EOY04024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLISQRNWKRKETNFDGRPKRRVALHGEEREFEIWTVVHDSLLLELGWASGKELLLFHFLAIIKLLEYKQNGLRDCCH >EOY05684 pep chromosome:Theobroma_cacao_20110822:4:29483578:29485327:-1 gene:TCM_020624 transcript:EOY05684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II 11 kDa protein-related MALNPAAKICVPTVSNTMETVSRPENECKLQFRYHVVNPCKEALSSRRLVIARTSASLLTVLTFNCGLTPLPVWAEPDDEKQDVQGAVGAIKSLFDPNEKTKSGKVLPKAYLKSAREVVKTLRESLKEDPKDIVKFRRTADSAKESIRDYLSNWKGQDKVAREESYVELEKAIRSLASFYSKAGPSAPLPEEIKNEILNDLSTAEEFL >EOY03045 pep chromosome:Theobroma_cacao_20110822:4:3916362:3920566:-1 gene:TCM_017464 transcript:EOY03045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like kinase 1 MKLNPNTHASSIISFSLLLWATLLVSVSSDLASDRAALVALRAAVGGRSLLWNLSSTPCNWTGVKCEQNRVVVLRLPGMGLSGHLPIAIGNLTQLQTLSLRFNALSGPIPSDFANLASLRNLYLQGNGFSGEIPGFLFTLQNLIRLNLANNNFTGTIPESVNNLTRLGTLYLENNHLSGSIPDVNLPSLVQFNVSFNQLNGSIPKALSGESESAFQGNSLCGKPLVPCNGTESSSSKLSGGAIAGIVVGCVVGVLLILILLICLCRRKGGKKTETRDVGPAKQAEVEIPQEKAAGEADNRSSGLSGVVKKEARSSGTKNLVFFGKASRVFDLEDLLRASAEVLGKGTFGTAYKATLEMGMIVAVKRLKDVTVSEKEFKEKMEVVGAMDHQNLVSLRAYYFSGDEKLLVYDYMPMGSLSALLHGNRGAGRTPLNWDTRSGIALGAARGIAYLHSKGTGISHGNIKSSNILLTTSYEARVSDFGLAHLAGPMSTPNRVDGYRAPEVTDARKVSQKADVYSFGILLLELLTGKAPTHALLNEEGVDLPRWVQSIVREEWTAEVFDLELLRYQNVEEDMVQLLQLAINCTAQYPDKRPSMAEVTSQIEELCRSSSEKETYQTPDVGDGSSQQAYSVDSGAPSSVKD >EOY06096 pep chromosome:Theobroma_cacao_20110822:4:30901726:30903407:1 gene:TCM_020927 transcript:EOY06096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein 1 isoform 5 MEGTVFTPSLEGMQHIKSPQGEMLTKPFLEVCKLVLPVIDKFGTAMTLVKSDIGGNISRLEKKYQSDTTKYNYLYNMVKEEVECKTVKGSSSCTNGLLWLTRAMDFLVELFRNLLAHPDWTMAEACTDSYGKTLKKFHGWIASSSFT >EOY06093 pep chromosome:Theobroma_cacao_20110822:4:30901545:30904674:1 gene:TCM_020927 transcript:EOY06093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein 1 isoform 5 MEGTVFTPSLEGMQHIKSPQGEMLTKPFLEVCKLVLPVIDKFGTAMTLVKSDIGGNISRLEKKYQSDTTKYNYLYNMVKEEVECKTVKGSSSCTNGLLWLTRAMDFLVELFRNLLAHPDWTMAEACTDSYGKTLKKFHGWIASSSFTVAMKLAPDRKKFMEVIAGSGDVNADMEKFCSSFSPFLEENHKFLANFGLDDMKA >EOY06094 pep chromosome:Theobroma_cacao_20110822:4:30901631:30904722:1 gene:TCM_020927 transcript:EOY06094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein 1 isoform 5 MEGTVFTPSLEGMQHIKSPQGEMLTKPFLEVCKLVLPVIDKFGTAMTLVKSDIGGNISRLEKKYQSDTTKYNYLYNMVKEEVECKTVKGSSSCTNGLLWLTRAMDFLVELFRNLLAHPDWTMAEACTDSYGKTLKKFHGWIASSSFTVAMKLAPDRKKFMEVIAGSGDVNADMEKFCSSFSPFLEENHKFLANFGLDDMKA >EOY06095 pep chromosome:Theobroma_cacao_20110822:4:30901588:30904780:1 gene:TCM_020927 transcript:EOY06095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein 1 isoform 5 MEGTVFTPSLEGMQHIKSPQGEMLTKPFLEVCKLVLPVIDKFGTAMTLVKSDIGGNISRLEKKYQSDTTKYNYLYNMVKEEVECKTVKGSSSCTNGLLWLTRAMDFLVELFRNLLAHPDWTMAEACTDSYGKTLKKFHGWIASSSFTVAMKLAPDRKKFMEVIAGSGDVNADMEKFCSSFSPFLEENHKFLANFGLDDMKA >EOY06097 pep chromosome:Theobroma_cacao_20110822:4:30901545:30903988:1 gene:TCM_020927 transcript:EOY06097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein 1 isoform 5 MEGTVFTPSLEGMQHIKSPQGEMLTKPFLEVCKLVLPVIVDKFGTAMTLVKSDIGGNISRLEKKYQSDTTKYNYLYNMVKEEVECKTVKGSSSCTNGLLWLTRAMDFLVELFRNLLAHPDWTMAEACTDSYGKTLKKFHGWIASSSFTVAMKLAPDRKKFMEVIAGSGDVNADMEKFCSSFSPFLEENH >EOY02479 pep chromosome:Theobroma_cacao_20110822:4:992690:996645:1 gene:TCM_016941 transcript:EOY02479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 1 MSAMKRKAVQQKSQIRWKRKVLAALLVAFCLASLALMETQYSRIVSLASLRHRFAVKPKIAFLFIARNRLPLDMVWDAFFKGEDNRFSIYVHSRPGFLFNKATTRSSYFLNRQVNDSIQVDWGEASMIEAERILLRHALTDPFNERFVFVSDSCIPLYNFSYMYDYIMSTSTSFVDSFADTKEGRYNPKMNPIIPVYNWRKGSQWVVLTRKHAEVVINDTTVFPMFQEHCKAKEIIARVLARSPLSSRSSEGA >EOY02478 pep chromosome:Theobroma_cacao_20110822:4:992660:996641:1 gene:TCM_016941 transcript:EOY02478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 1 MSAMKRKAVQQKSQIRWKRKVLAALLVAFCLASLALMETQYSRIVSLASLRHRFAVKPKIAFLFIARNRLPLDMVWDAFFKGEDNRFSIYVHSRPGFLFNKATTRSSYFLNRQVNDSIQVDWGEASMIEAERILLRHALTDPFNERFVFVSDSCIPLYNFSYMYDYIMSTSTSFVDSFADTKEGRYNPKMNPIIPVYNWRKGSQWVVLTRKHAEVVINDTTVFPMFQEHCKRRSLPEFWRDHPFPADPAKEHNCIPDEHYVQTLLANYLSLCNAILFPNIQQEGFEGEL >EOY02475 pep chromosome:Theobroma_cacao_20110822:4:992526:997503:1 gene:TCM_016941 transcript:EOY02475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 1 MSAMKRKAVQQKSQIRWKRKVLAALLVAFCLASLALMETQYSRIVSLASLRHRFAVKPKIAFLFIARNRLPLDMVWDAFFKGEDNRFSIYVHSRPGFLFNKATTRSSYFLNRQVNDSIQVDWGEASMIEAERILLRHALTDPFNERFVFVSDSCIPLYNFSYMYDYIMSTSTSFVDSFADTKEGRYNPKMNPIIPVYNWRKGSQWVVLTRKHAEVVINDTTVFPMFQEHCKRRSLPEFWRDHPFPADPAKEHNCIPDEHYVQTLLAQEGFEGELTRRSLTYSAWDLSASKDRERRGWHPMTYKFSDATPELIKSIKDIDNIHYETENRREWCSREGKPSPCFLFARKFTRPAALHLLNQSVLGARRGPKNES >EOY02477 pep chromosome:Theobroma_cacao_20110822:4:992660:997080:1 gene:TCM_016941 transcript:EOY02477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 1 MLFSRPGFLFNKATTRSSYFLNRQVNDSIQVDWGEASMIEAERILLRHALTDPFNERFVFVSDSCIPLYNFSYMYDYIMSTSTSFVDSFADTKEGRYNPKMNPIIPVYNWRKGSQWVVLTRKHAEVVINDTTVFPMFQEHCKRRSLPEFWRDHPFPADPAKEHNCIPDEHYVQTLLAQEGFEGELTRRSLTYSAWDLSASKDRERRGWHPMTYKFSDATPELIKSIKDIDNIHYETENRREWCSREGKPSPCFLF >EOY02476 pep chromosome:Theobroma_cacao_20110822:4:992691:997578:1 gene:TCM_016941 transcript:EOY02476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 1 MSAMKRKAVQQKSQIRWKRKVLAALLVAFCLASLALMETQYSRIVSLASLRHRFAVKPKIAFLFIARNRLPLDMVWDAFFKGEDNRFSIYVHSRPGFLFNKATTRSSYFLNRQVNDSIQVDWGEASMIEAERILLRHALTDPFNERFVFVSDSCIPLYNFSYMYDYIMSTSTSFVDSSFADTKEGRYNPKMNPIIPVYNWRKGSQWVVLTRKHAEVVINDTTVFPMFQEHCKRRSLPEFWRDHPFPADPAKEHNCIPDEHYVQTLLAQEGFEGELTRRSLTYSAWDLSASKDRERRGWHPMTYKFSDATPELIKSIKRILTISIMRLKTEENGVVERGSHPRASFLRESSPGPLLSTFLISRFWELAVDQKMNLNHARYFL >EOY04869 pep chromosome:Theobroma_cacao_20110822:4:26275929:26279631:-1 gene:TCM_020035 transcript:EOY04869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive (FAR1) family protein MDLDEGAEAIESFTGGETVAVPCEGDSIVEPHEGMEFESEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGYCVSIRGKFGPVRKPRPSTREGCKAMIHIKFDKSGKWVITRFVKDHNHPLVVAPREARQTMDEKDKKIQELTAELRNKKRLCAAYQEQLTAFMKIVEEHSNQLSKKVQNVVNNLKEYESIELELLQHR >EOY04822 pep chromosome:Theobroma_cacao_20110822:4:26074090:26085814:1 gene:TCM_019995 transcript:EOY04822 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein, putative isoform 2 MVPPMKQHKLGKESDELETPSNNLWVGNLSGETVDSDLMELFNKYGPLDSVTTYSLRSYAFVFFERVEDAKAAKDALQGATLHGNQIKIEFARPAKPCKNLWVGGISQTVSKEELEEEFCKFGKIEDFKFLRDRNTAFVEYFRMEDASQAMRSMNGKRIGGEQIRVDFLRSHPSRREQWPNSHDLRDGPFSSRMGPSEGHSMAKRLHPQLGGRRGDGQPSNVLWVGYPPSVQIDEQMLHNAMILFGEIERIKSFPSRHYAFVEFRSVEEARRAKEGLQGRLFNDPRITIMFSSSELAPGKDYSGFYSGIKGPRPDMLYTDHPFRPSQVDMFGQNHSVLPNSVSGPLPPGSILGSNVSIRPFSHQGSYEPLVSGSEFNDLSAHHNMQDADPKTLISPNWRRPSPPLPSAQGFRPPMRQASGSWDVYDVNQFQRDAKRSRIEASLPIDDTSFPLRKMDDLGPGSDHSYGLGPVIGGAASGPFATIQGKGRLSPVPGKVTAGGPGLAHPDNDYIWRGIIAKGGTPVCHARCVPIGTGVETELPKVVNCSARTGLDMLAKHYREAIGFDIVFFLPDSEDDFASYTEFLRYLGSKNRAGVAKFDDGTTLFLVPPSDFLTKVLKVVGPERLYGVVLKLPPQVLSATTLQPHPPLLSQPDYSLSHLKEEQALQMEYGRVLHEDTKPPARPLGQSTMQSQPPSNTAALSQTGVALTPDLIATLASLLPTTSQSTAVGGVQPPLVTSTTQSPFAQTLAPKGASAQTWNQDQQASEPPPPSFQQFNPQLQLPPIQHYSSISSTPNHSAQMAVGSTQFQESEGSLQQQGAASSRPLTNFNTPSQSAHGAVSAPISQPYQPEVPSNTQKGYGMMHGVDASGLYGAPAFQQPSNPNVLSNQVHGANVSQPQNVMQADRKNLELPSQVQQLQSVLSGAGQGTSDVEVDKNQRYQSTLQFAASLLLQIQQQQTNTPGGQGTGSQL >EOY04823 pep chromosome:Theobroma_cacao_20110822:4:26074090:26082859:1 gene:TCM_019995 transcript:EOY04823 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein, putative isoform 2 MVPPMKQHKLGKESDELETPSNNLWVGNLSGETVDSDLMELFNKYGPLDSVTTYSLRSYAFVFFERVEDAKAAKDALQGATLHGNQIKIEFARPAKPCKNLWVGGISQTVSKEELEEEFCKFGKIEDFKFLRDRNTAFVEYFRMEDASQAMRSMNGKRIGGEQIRVDFLRSHPSRREQWPNSHDLRDGPFSSRMGPSEGHSMAKRLHPQLGGRRGDGQPSNVLWVGYPPSVQIDEQMLHNAMILFGEIERIKSFPSRHYAFVEFRSVEEARRAKEGLQGRLFNDPRITIMFSSSELAPGKDYSGFYSGIKGPRPDMLYTDHPFRPSQVDMFGQNHSVLPNSVSGPLPPGSILGSNVSIRPFSHQGSYEPLVSGSEFNDLSAHHNMQDADPKTLISPNWRRPSPPLPSAQGFRPPMRQASGSWDVYDVNQFQRDAKRSRIEASLPIDDTSFPLRKMDDLGPGSDHSYGLGPVIGGAASGPFATIQGKGRLSPVPGKVTAGGPGLAHPDNDYIWRGIIAKGGTPVCHARCVPIGTGVETELPKVVNCSARTGLDMLAKHYREAIGFDIVFFLPDSEDDFASYTEFLRYLGSKNRAGVAKFDDGTTLFLVPPSDFLTKVLKVVGPERLYGVVLKLPPQVLSATTLQPHPPLLSQPDYSLSHLKEEQALQMEYGRVLHEDTKPPARPLGQSTMQSQPPSNTAALSQTGVALTPDLIATLASLLPTTSQSTAVGGVQPPLVTSTTQSPFAQTLAPKGASAQTWNQDQQASEPPPPSFQQFNPQLQLPPIQHYSSISSTPNHSAQMAVGSTQFQESEGSLQQQGAASSRPLTNFNTPSQSAHGAVSAPISQPYQPEVPSNTQKGYGMMHGVHGANVSQPQNVMQADRKNLELPSQVQQLQSVLSGAGQGTSDVEVDKNQRYQSTLQFAASLLLQIQQQQTNTPGGQGTGSQL >EOY03612 pep chromosome:Theobroma_cacao_20110822:4:17403025:17408837:-1 gene:TCM_018711 transcript:EOY03612 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 5 MEEEPSSEGKEIVDSYVALEDKGDGCCMVEDDANPIVLDDPGKEDEHLNLEFSQYLVGGALEPTLGMEFTSEDDARNFYNAYAKQTGFSIRVNSYYRSKKDNSIISREFCCSKEGFRREKRSRNVDSGDDTKKRRSRPITREGCKALMTVRRRDNGKWYVAKLEKSHSHELVTPAMRHFLRSHKEEYDPKKSLSGSISSSGVCLNASVNVLTEECNSFGKLGFAAHNNINFIGKGRLSNFGVDAQSLLGFFKVMQARDPAFYYAIQVDEEDRLSSVFWVDTRSRIAYNCFSDVVSFDTTYQVNQYKMPFAPFIGVNHHRQSVLFGCALLADETESTFIWLFTTWLEAMSRQQPGLLITDYDSAISRAVESVFPQSSHRYCKWHILSKMPKEMGHVYSALPKTFQVEFDKCVNKSETPEEFESAWHLLLDKYNLRGNEWLQSLYIDRKLWVSTYVRDTFFAAMYTTQRSKSVNSLFDGYVNAGTTLQDFAEQYEKALDDRYEKEARAEFETFYTKPVLKTPLPMEKQATEVYTRKLFSVFQDEIYESLVLAVNLSQDEGLSRTYEVARFDEEHKVYFVGLNIADQIASCSCKMFEFEGILCRHVIAVFKATNIFLLPQHYILRRWTRNAKDEAMLDAMPSVEMNGNSQKGKNSQYNLLYQEAVKCAEEGMASDHSFKVSLNALREARIKITTAKKNAINAQKLETAASTNFLDENTTVGSQVDSSSVVTLHDHQQAKMRDSCAENDISKYASEQSSSRVSICTNCKCPGHESLSCLWLKDGRGSTSLENKIQ >EOY06608 pep chromosome:Theobroma_cacao_20110822:4:32450295:32456938:1 gene:TCM_021275 transcript:EOY06608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein, putative isoform 5 MGSSNIRDILTAFSPSLDYFAISSGDGRIKIWDTLKGQIQTEFADFVSSEATNIYPKAERGHLSIDYKCMKWLSFDKKKKRKLASSLLILGTGSGDLLALDVSAGQLKWRVSDCHPGGVSAIAFSTNGSCIYSAGADGMVCKIDSLTGNLLGKFRASTKSISSMAVSSDGKMLVTAAAQLRTFDCSNHKKIQKFTGHPGSVRCMIFTEDGKLILSSALGERYIAVWRTDGGKKQSASCVLAMEHPAVFIDSRCVNDRDLYVFAISETGVCYFWYGQDVEKLRNAKPTKVSLSLEAGFSKTYKGALPTIFAAKLQGIAEPASVNAFLAQGLLVKPSFQKIVVHYGTDILLSCSQDGVLLPMSQSLNKSKKGLDSQNRAIALDRAHAEDAILPIPKIFGLHGEKERYRSLSVDADDVMVDSIRHGNQAKLLDGKGDMIQVEADSKALCMEDRLRTLGILDDLTSNSSLDSMIFDGINLEANVPPKKMRAAVSSMVPSDAHKLLENLVGLWQSRLLDPEGWLFSLCYNYLDDYNL >EOY06607 pep chromosome:Theobroma_cacao_20110822:4:32450295:32456865:1 gene:TCM_021275 transcript:EOY06607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein, putative isoform 5 MGSSNIRDILTAFSPSLDYFAISSGDGRIKIWDTLKGQIQTEFADFVSSEATNIYPKAERGHLSIDYKCMKWLSFDKKKKRKLASSLLILGTGSGDLLALDVSAGQLKWRVSDCHPGGVSAIAFSTNGSCIYSAGADGMVCKIDSLTGNLLGKFRASTKSISSMAVSSDGKMLVTAAAQLRTFDCSNHKKIQKFTGHPGSVRCMIFTEDGKLILSSALGERYIAVWRTDGGKKQSASCVLAMEHPAVFIDSRCVNDRDLYVFAISETGVCYFWYGQDVEKLRNAKPTKVSLSLEAGFSKTYKGALPTIFAAKLQGIAEPASVNAFLAQGLLVKPSFQKIVVHYGTDILLSCSQDGVLLPMSQSLNKSKKGLDSQNRAIALDRAHAEDAILPIPKIFGLHGEKERYRSLSVDADDVMVDSIRHGNQAKLLDGKGDMIQVEADSKALCMEDRLRTLGILDDLTSNSSLDSMIFDGINLEANVPPKKMRAAVSSMVPSDAHKLLENLVGLWQSRSNSEKYVLPWIYSVLVNHGPLIMSQESLTPMLNSLLKTTRSRGLAIQPLLQLSGRLQLVTAQIDKAAQSKSRLSTSDHQMDESEDEDEDEDDVDEVIYREEDDESQLSSDDDN >EOY06610 pep chromosome:Theobroma_cacao_20110822:4:32451331:32456976:1 gene:TCM_021275 transcript:EOY06610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein, putative isoform 5 MKWLSFDKKKKRKLASSLLILGTGSGDLLALDVSAGQLKWRVSDCHPGGVSAIAFSTNGSCIYSAGADGMVCKIDSLTGNLLGKFRASTKSISSMAVSSDGKMLVTAAAQLRTFDCSNHKKIQKFTGHPGSVRCMIFTEDGKLILSSALGERYIAVWRTDGGKKQSASCVLAMEHPAVFIDSRCVNDRDLYVFAISETGVCYFWYGQDVEKLRNAKPTKVSLSLEAGFSKTYKGALPTIFAAKLQGIAEPASVNAFLAQGLLVKPSFQKIVVHYGTDILLSCSQDGVLLPMSQSLNKSKKGLDSQNRAIALDRAHAEDAILPIPKIFGLHGEKERYRSLSVDADDVMVDSIRHGNQAKLLDGKGDMIQVEADSKALCMEDRLRTLGILDDLTSNSSLDSMIFDGINLEANVPPKKMRAAVSSMVPSDAHKLLENLVGLWQSRLLDPEGWLFSLCYNYLDDYNL >EOY06606 pep chromosome:Theobroma_cacao_20110822:4:32450295:32456938:1 gene:TCM_021275 transcript:EOY06606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein, putative isoform 5 MGSSNIRDILTAFSPSLDYFAISSGDGRIKIWDTLKGQIQTEFADFVSSEATNIYPKAERGHLSIDYKCMKWLSFDKKKKRKLASSLLILGTGSGDLLALDVSAGQLKWRVSDCHPGGVSAIAFSTNGSCIYSAGADGMVCKIDSLTGNLLGKFRASTKSISSMAVSSDGKMLVTAAAQLRTFDCSNHKKIQKFTGHPGSVRCMIFTEDGKLILSSALGERYIAVWRTDGGKKQSASCVLAMEHPAVFIDSRCVNDRDLYVFAISETGVCYFWYGQDVEKLRNAKPTKVSLSLEAGFSKTYKGALPTIFAAKLQGIAEPASVNAFLAQGLLVKPSFQKIVVHYGTDILLSCSQDGVLLPMSQSLNKSKKGLDSQNRAIALDRAHAEDAILPIPKIFGLHGEKERYRSLSVDADDVMVDSIRHGNQAKLLDGKGDMIQVEADSKALCMEDRLRTLGILDDLTSNSSLDSMIFDGINLEANVPPKKMRAAVSSMVPSDAHKLLENLVGLWQSRSNSEKYVLPWIYSVLVNHGPLIMSQESLTPMLNSLLKTTRSRGLAIQPLLQLSGRLQLVTAQIDKAAQSKSRLSTSDHQMDESEDEDEDEDDVDEVIYREEDDESQLSSDDDN >EOY06609 pep chromosome:Theobroma_cacao_20110822:4:32450295:32456938:1 gene:TCM_021275 transcript:EOY06609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein, putative isoform 5 MGSSNIRDILTAFSPSLDYFAISSGDGRIKIWDTLKGQIQTEFADFVSSEATNIYPKAERGHLSIDYKCMKWLSFDKKKKRKLASSLLILGTGSGDLLALDVSAGQLKWRVSDCHPGGVSAIAFSTNGSCIYSAGADGMVCKIDSLTGNLLGKFRASTKSISSMAVSSDGKMLVTAAAQLRTFDCSNHKKIQKFTGHPGSVRCMIFTEDGKLILSSALGERYIAVWRTDGGKKQSASCVLAMEHPAVFIDSRCVNDRDLYVFAISETGVCYFWYGQDVEKLRNAKPTKVSLSLEAGFSKTYKGALPTIFAAKLQGIAEPASVNAFLAQGLLVKPSFQKIVVHYGTDILLSCSQDGVLLPMSQSLNKSKKGLDSQNRAIALDRAHAEDAILPIPKIFGLHGEKERYRSLSVDADDVMVDSIRHGNQAKLLDGKGDMIQVEADSKALCMEDRLRTLGILDDLTSNSSLDSMIFDGINLEANVPPKKMRAAVSSMVPSDAHKLLENLVGLWQSRLLDPEGWLFSLCYNYLDDYNL >EOY06444 pep chromosome:Theobroma_cacao_20110822:4:31932860:31936035:1 gene:TCM_021160 transcript:EOY06444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MSKIATIVGGAAGALAFVAIIVGFFWFYKSCKNFSNRTSETGSSDPSALMEWNKGSGPSSSAGQSLFGPQGARQFILEELEQATKQFSDSNLIGCGSFGPVYKGLLRDIVVAIKRHPGAPRQEFVAGVIYLSEIRHRNLVTLLGYCQESGSQMLVYEYLPNGSMCNHLYDTGREAPTRLEFKQRLSIALGVARGLCHLHGLKPPLIHKNFKTANVLVDEDFIAKVADAGFSMLLEKIEEAGPSHTSSVNVFQDPEVEVSGSFTEMSDVYSFGVFLLELITGKEAVHINYLRSNESLIQWVQSRLSSNDFVDRQLVGNFTMDGIRDMIRLTLKCMSFPGKERPNMNIVVLELERIHEKEMELTTVRGEGTAKITLGSELFASK >EOY06443 pep chromosome:Theobroma_cacao_20110822:4:31932763:31936049:1 gene:TCM_021160 transcript:EOY06443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MSKIATIVGGAAGALAFVAIIVGFFWFYKSCKNFSNRTSETGSSDPSALMEWNKGSGPSSSAGQSLFGPQGARQFILEELEQATKQFSDSNLIGCGSFGPVYKGLLRDIVVAIKRHPGAPRQEFVAGVIYLSEIRHRNLVTLLGYCQESGSQMLVYEYLPNGSMCNHLYDTGREAPTRLEFKQRLSIALGVARGLCHLHGLKPPLIHKNFKTANVLVDEDFIAKVADAGFSMLLEKIEEAGPSHTSSVNVFQDPEVEVSGSFTEMSDVYSFGVFLLELITGKEAVHINYLRSNESLIQWVQSRLSSNDFVDRQLVGNFTMDGIRDMIRLTLKCMSFPGKERPNMNIVVLELERIHEKEMELTTVRGEGTAKITLGSELFASK >EOY04537 pep chromosome:Theobroma_cacao_20110822:4:24923016:24928077:1 gene:TCM_019773 transcript:EOY04537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSKYPHATKPTAQSDQSQDVKGVSFRLRSCSNVCLHQVAVTTRALTVFSFHSLTRSMEVVGAVVEVMLAKVILLATEQINVSLGFKEELTRLHDSLTIIQAALQDADRRQGKDRAVKLWLEKLRDVAYEADDVLDEFAYDFLRRKVEIQNQMMKKGG >EOY04536 pep chromosome:Theobroma_cacao_20110822:4:24922963:24928154:1 gene:TCM_019773 transcript:EOY04536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MRLKTWVGKHPAIMSKYPHATKPTAQSDQSQDVKGVSFRLRSCSNVCLHQVAVTTRALTVFSFHSLTRSMEVVGAVVEVMLAKVILLATEQINVSLGFKEELTRLHDSLTIIQAALQDADRRQGKDRAVKLWLEKLRDVAYEADDVLDEFAYDFLRRKVEIQNQMMKKWEAWPECSRESICGVGSFSRLLKKPLISSRT >EOY04958 pep chromosome:Theobroma_cacao_20110822:4:26633056:26639686:-1 gene:TCM_020098 transcript:EOY04958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthocyanin-related membrane protein 3 MMSVKQFWTKKTLVGLGLGQFLSLLITSTGFSSSELAKKGINAPTSQSFLNYVLLTVVYGSVMLYRRQALKVNILLSGIMVCDGISLGVMHPSNACSRREHAKWYYYVVLGLVDVEANYLVVKAYQYTSITSVMLLDCWSIPSVMLLTFLFLKTKYRFRKIAGVIVCVAGLVMVVFSDVHAGDRSGGSNPRKGDLFVIAGATLYAISNVSEEFLVKNADRVELMSFLGLFGAIISAIQIYESSTIPVIAGYIFLYCIYYCQSVTLNDVYRSIIERNELKSIRWTAGAAFPFFGFSLAMFLFYSFVPVLLKMSGSTMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFLAFVAVAVGLIIYSGGDKEEDQRRADVADEDAERSKHFDEETGFDNLNRGTMAGSSKTGETSKHDCTTSTGAREIISNKNVGKDIQGKKS >EOY03335 pep chromosome:Theobroma_cacao_20110822:4:13057361:13059905:-1 gene:TCM_018276 transcript:EOY03335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVILSVCTMWGDAHELGEMGCLAMCTMWGDAHELGEMGCPAMCTMWGDAHELDEMWCIESFKIDTPYSPLLVGYCFTNLDSLCS >EOY02555 pep chromosome:Theobroma_cacao_20110822:4:1309047:1310756:-1 gene:TCM_016995 transcript:EOY02555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase, putative MEFARVIFSGILVSLLLSVGAAAKVKDLPPCNFKAIYNFGDSNSDTGAISAAIFPRQWPNGETFFHKPAGRVCDGRLMIDFIAERLGLPFLSAFLDSIGSNFRQGANFASGGSTIVPQNKTIAESGLSPFALNVQVFQYDQFKARTTDLYKDKRYSCRSHLPRPKDFPEALYVFDIGQNDIAYGLRTVGDAQILASIPDIISQLAIAVEHLYTQGARTFWIHNTGPSGCLPSTLLGIKNPPPGFLDKHGCVKSQNDIAKEFNRQLKNRVIKLRAELPQAAITYVDIFAAKYGLISNSKQQGFVDAQKICCGYHKNGIDVGCGGILPLPNGTQIFGAACKDPSLYISWDGVHYTEAANRWIANRLINGSLSDPPIPITHACYRTS >EOY03833 pep chromosome:Theobroma_cacao_20110822:4:19675537:19677004:1 gene:TCM_019023 transcript:EOY03833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVITWNVRGLGSWLKISKVRKLVRIYKAEMIRRFDSYLPTRCYQKVSVMVKERNLLIQGRWLPDNFECVMVNLYAPCEAEDKRQLRNELTVTKMGTSTNWCCTDFNTTRNSEEKKGCLTKSVGTEDFEEFINEGDLWNYPCWGRNLHGSETVHLKSLSNFACNWGPCPFRFLGCWFKNKDFPELFSKRWRLCNVASRPGFKLKVKFNRLKGCLK >EOY05581 pep chromosome:Theobroma_cacao_20110822:4:29066864:29070230:-1 gene:TCM_020549 transcript:EOY05581 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 group F1A, putative isoform 1 MADFTSSPSAAVDSSCSMLEKPIALMAASSSSPPSSSYGGVLDDGSEDGCSICLEPFSAQDPATVTSCRHEYHLQCILEWSQRSKECPICWQSFVLKDPASQELLDTVRIERHCRSRNPSPAVLIDFHHFHDGFGAEEDASHSDDSDFDERILQHLAAAASRARYVRRRERQRSFGLDPSQVLFFTSPEHMLHTQQTNPTSPDECQNLRYGLSQPDSLASVIPNVDPPLPVNPSVDVVSSSAVSGDKAIDSRQPQVDTPHRPSSSETFSFTESIKSKWSIASARYLCFNSGLFETDNYVLLMAWMYCNRYKESISKGTRGLKEKLLARNNSVKELSKGVQREMSAGIAGVAKMIERLDIATKRPGASIPVSGGTGGTSNILFKGKGVQENVIAQGLNKNCAEFNHGLNSEEPSYSSCTIPGKVEVSRTQRGQ >EOY05579 pep chromosome:Theobroma_cacao_20110822:4:29066464:29070455:-1 gene:TCM_020549 transcript:EOY05579 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 group F1A, putative isoform 1 MADFTSSPSAAVDSSCSMLEKPIALMAASSSSPPSSSYGGVLDDGSEDGCSICLEPFSAQDPATVTSCRHEYHLQCILEWSQRSKECPICWQSFVLKDPASQELLDTVRIERHCRSRNPSPAVLIDFHHFHDGFGAEEDASHSDDSDFDERILQHLAAAASRARYVRRRERQRSFGLDPSQVLFFTSPEHMLHTQQTNPTSPDECQNLRYGLSQPDSLASVIPNVDPPLPVNPSVDVVSSSAVSGDKAIDSRQPQVDTPHRPSSSETFSFTESIKSKWSIASARYKESISKGTRGLKEKLLARNNSVKELSKGVQREMSAGIAGVAKMIERLDIATKRPGASIPVSGGTGGTSNILFKGKGVQENVIAQGLNKNCAEFNHGLNSEEPSYSSCTIPGKVEVSRTQRGQ >EOY05580 pep chromosome:Theobroma_cacao_20110822:4:29066168:29070531:-1 gene:TCM_020549 transcript:EOY05580 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 group F1A, putative isoform 1 MADFTSSPSAAVDSSCSMLEKPIALMAASSSSPPSSSYGGVLDDGSEDGCSICLEPFSAQDPATVTSCRHEYHLQCILEWSQRSKECPICWQSFVLKDPASQELLDTVRIERHCRSRNPSPAVLIDFHHFHDGFGAEEDASHSDDSDFDERILQHLAAAASRARYVRRRERQRSFGLDPSQVLFFTSPEHMLHTQQTNPTSPDECQNLRQPQVDTPHRPSSSETFSFTESIKSKWSIASARYKESISKGTRGLKEKLLARNNSVKELSKGVQREMSAGIAGVAKMIERLDIATKRPGASIPVSGGTGGTSNILFKGKGVQENVIAQGLNKNCAEFNHGLNSEEPSYSSCTIPGKVEVSRTQRGQ >EOY03040 pep chromosome:Theobroma_cacao_20110822:4:3814022:3819098:-1 gene:TCM_017450 transcript:EOY03040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNVEKMVAIFLYIISHHANNRIIKREFVRSGETNCLDTLDGTYIKVNALEIDKPRYKTRKNEIATNVLGVCSQDMQFIYILPGWKGSASDSRVLRDAISRRNGLKIPKGFFYLRDVGDTNGEEFLTPYRGQRYHLNEWRQNRTPRSKEELFNYKHSGARNVIERCFGFLKMRWMEVASSQGLGRTSKKSTHQWTPIEDGVLIDCCIDLVNEVGVEIMGHSSLKTQYREIAEMISHSDSGFGWDDVKKCVTCDDDVWIGWVKSHSAAAGLRNKPFPHFDQLAIIFGKDKATGEGAESPADAVENIETEEVAFAATRVASKAFSALNDDEDNNGDDDVSPAQATNSEGSIAARRRTIEQGDRKVSGKKSKAKSNGDNIVHAFQSNVDKIGEICQGAREGIDKLASCFQFMAEDARLKKRVAKIVQGVEGLTPEEIVKARHIISSDIWKINYLFSLLEELQKIYVKPLLSGSI >EOY06426 pep chromosome:Theobroma_cacao_20110822:4:31877157:31881034:-1 gene:TCM_021150 transcript:EOY06426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MGHKTWLILVIFTCLIASSCGRELQAKHKDNFAVYNHTLATILVEYASAVYMSDLTELFTWTCERCDGLTKGFEVIELVVDIQNCLQAFVGVAKDLNAIVIAFRGTQEHSLQNWVEDLFWKQLDLNYPGMPDAMVHHGFYTAYHNTTIRPGILHAVKKAKEFYGDLEIMVTGHSMGGAMASFCALDLTVNHEAKNVQVMTFGQPRIGNAAFTSYYSKLVPNTIRVTNDHDIVPHLPPYYSYFPQKTYHHFPREVWLYNLGLGSLVYRVEKVCDGSGEDPACSRSVTGNSIADHLNYYGVDLMCQQWRSCRIVMDPRVAEYGETDHKGNIVLSRDPAIVDLKMNSQSNEGGVTSST >EOY05348 pep chromosome:Theobroma_cacao_20110822:4:28179921:28185245:1 gene:TCM_020367 transcript:EOY05348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like D1 MATSSPKKSSSNAARPPQAVKFSRRTSSGRVVSLSRDDDIDISGDLSNQNDYINYTVLMPPTPDNQPGSGSSSDTKPDGQQGTYGASRFASDSQRTSRRVEEEGGESGPAMGAKLDRRVSIMNSANNRSMLLRSQTGDFDHNRWLFESKARYGIGNAFWQEDDENQYGTDGGVTMSDFMDKPWKPLTRKVKVPPGVLSPYRLLIVIRLVALFLFLAWRWQNPNPDAIWLWVLSTVCECWFAFSWLLDILPKLNPINRATDLAALRDKFEQASPSNPTGRSDLPGVDVFVSTADPEKEPPLVTANTILSILAADYPVEKLACYISDDGGAILTFEAMAEAVRFAEVWVPFCRKHAIEPRNPDSYFSLKTDPTKNKKRPDFVKDRRWIKREYDEFKVRINGLPESIRKRSEMHNSKEAMKEKRLAKEKNGGSLPPDFKVEKATWMADGTHWPGTWHNPTADHSKGDHAGILQVMSKVPESEPVLGQPDERKLDFTGVDIRIPMFSYVSREKRPGYDHNKKAGAMNALVRASAILSNGPFILNLDCDHYVYNSLAIREGMCFMMDRGGDRVCYIQFPQRFEGIDPSDRYANHNTVFFDGSMRALDGLQGPVYVGTGCMFRRYALYGFHPPRASEYSGMFGRIKSQAPIIRAQPESEEDESQPLNVHPDLDLPRKFGNSTMFTESIAVAEFQGRPLADHASVKNGRPPGALLAPRPPLDAPTVAEAVAVISCWYEDKTDWGGKIGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNPLLATRRLKFLQRIAYLNVGFYPFTSLFLVTYCFLPALSLFSGQFIVQGLNVAFLFYLLIITLTLTFLSLLEVKWSGIALEEWWRNEQFWVIGGTSAHLVAVIQGLLKVIAGIEISFTLTSKSAGDDEDDIYADLYVVKWTSLFILPLTIIVVNLVAVVIAVSRTIYSVIPQWNKLFGGLFFSFWVLAHMYPFAKGLMGRRGRVPTIVYVWSGLVSITVSLLWISISPPDGNTASAGGGSVQV >EOY06782 pep chromosome:Theobroma_cacao_20110822:4:32943362:32945686:-1 gene:TCM_021408 transcript:EOY06782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein, putative MKTSISSPPIFSLHLLLLLLLLTVSLPSIQCGDLVDQICKQTPFYDLCISTLRSNSKGTESDVKGLASVVADILLANATDTLNYIRAQINQASDPEMERALAYCAELYIPVVKYNLPQAIEALSKGQFEFASDGLSDAAKEADACEKKFSGSMASPLSDRNKLLHSLSDVAVAIVKILLKG >EOY02283 pep chromosome:Theobroma_cacao_20110822:4:303693:305371:-1 gene:TCM_016809 transcript:EOY02283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNNSLTKVKSFKLEGIIASHIENRWILPNKKETSCDEKISSGVDNHVIQELISTPIYCLHKHHTPKRKKNKCIKTSPMLANECTVTFVFVKILLQARDVRLIIRLRPKVVYF >EOY04969 pep chromosome:Theobroma_cacao_20110822:4:26667361:26675277:1 gene:TCM_020103 transcript:EOY04969 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-isopropylmalate synthase 1 isoform 3 MASSILTSSKFSPFAPPSLKSSLFLSPASLHFPSSKLPSFPSFPSKSCSKTFLISSSLTPNPPSPSRRPPFIPNHIPDPNYVRVFDTTLRDGEQSPGATMTSKEKLDIARQLAKLGVDIIEAGFPAASKDDFEAVKTIAKEVGNAVDEDGYVPVICGLSRCNEKDIKAAWEAVKYAKRPRIHTFIATSGIHMEYKLRKSKAEVVDIARSMVRFARSLGCNDVEFSPEDAGRSDREFLYEILGEVIKAGATTLNIPDTVGINLPSEFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTLAGACAGARQVEVTINGIGERAGNASLEEVVMALKCRGEHVLGGLYTGINTRHIVMASKMVEEYTGLHVQPHKAIVGANAFAHESGIHQGKLHDLQVAFLKRSRMQKNHDLGYMKWIAFLGGSSDPGYLWNSWS >EOY04967 pep chromosome:Theobroma_cacao_20110822:4:26667219:26677285:1 gene:TCM_020103 transcript:EOY04967 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-isopropylmalate synthase 1 isoform 3 MASSILTSSKFSPFAPPSLKSSLFLSPASLHFPSSKLPSFPSFPSKSCSKTFLISSSLTPNPPSPSRRPPFIPNHIPDPNYVRVFDTTLRDGEQSPGATMTSKEKLDIARQLAKLGVDIIEAGFPAASKDDFEAVKTIAKEVGNAVDEDGYVPVICGLSRCNEKDIKAAWEAVKYAKRPRIHTFIATSGIHMEYKLRKSKAEVVDIARSMVRFARSLGCNDVEFSPEDAGRSDREFLYEILGEVIKAGATTLNIPDTVGINLPSEFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTLAGACAGARQVEVTINGIGERAGNASLEEVVMALKCRGEHVLGGLYTGINTRHIVMASKMVEEYTGLHVQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLERSNEAGIVLGKLSGRHALSDRLKELGYELDQEQLGNIFWRFKAVAEQKKRVTDADLIALVSDEVFQPEVVWKLHDLQVAFLKRSRMQKNHDLGYMKWIAFLGGSSDPGNYVTCGTLGLSTATVKLISADGEEHVACSVGTGPVDSAYKAVDLITKEAVTLLEYSLIAVTEGIDAIATTRVLIRTEKSHMSTHALTGEITHRTFSGTGAGMDIVVSSVKAYIGAINKMLGFKEQIPTKGSAERTTISA >EOY04968 pep chromosome:Theobroma_cacao_20110822:4:26667350:26677240:1 gene:TCM_020103 transcript:EOY04968 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-isopropylmalate synthase 1 isoform 3 MASSILTSSKFSPFAPPSLKSSLFLSPASLHFPSSKLPSFPSFPSKSCSKTFLISSSLTPNPPSPSRRPPFIPNHIPDPNYVRVFDTTLRDGEQSPGATMTSKEKLDIARQLAKLGVDIIEAGFPAASKDDFEAVKTIAKEVGNAVDEDGYVPVICGLSRCNEKDIKAAWEAVKYAKRPRIHTFIATSGIHMEYKLRKSKAEVVDIARSMVRFARSLGCNDVEFSPEDAGRSDREFLYEILGEVIKAGATTLNIPDTVGINLPSEFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTLAGACAGARQVEVTINGIGERAGNASLEEVVMALKCRGEHVLGGLYTGINTRHIVMASKMVEEYTGLHVQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLERSNEAGIVLGKLSGRHALSDRLKELGYELDQEQLGNIFWRFKAVAEQKKRVTDADLIALVSDEVFQPEVVWKLHDLQVTCGTLGLSTATVKLISADGEEHVACSVGTGPVDSAYKAVDLITKEAVTLLEYSLIAVTEGIDAIATTRVLIRTEKSHMSTHALTGEITHRTFSGTGAGMDIVVSSVKAYIGAINKMLGFKEQIPTKGSAERTTISA >EOY06561 pep chromosome:Theobroma_cacao_20110822:4:32288762:32289467:-1 gene:TCM_021241 transcript:EOY06561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF581) [Source:Projected from Arabidopsis thaliana (AT5G49120) TAIR;Acc:AT5G49120] MVGLSVVLENQKSGSNIISKKTMLINRNSSSSGSKACSSSCVLLSARTNSQLNYPVPAFLEQCYLCKQKLLPGKDIYMYNAFCSVECRCRQIFMDEEETLKKENCSLAAMKPSASSASSPSSASSASRHRKAARNRAGGFAY >EOY06560 pep chromosome:Theobroma_cacao_20110822:4:32288618:32289462:-1 gene:TCM_021241 transcript:EOY06560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF581) [Source:Projected from Arabidopsis thaliana (AT5G49120) TAIR;Acc:AT5G49120] MVGLSVVLENQKSGSNIISKKTMLINRNSSSSGSKACSSSCVLLSARTNSQLNYPVPAFLEQCYLCKQKLLPGKDIYMYKGDSAFCSVECRCRQIFMDEEETLKKENCSLAAMKPSASSASSPSSASSASRHRKAARNRAGGFAY >EOY04770 pep chromosome:Theobroma_cacao_20110822:4:25833742:25838046:1 gene:TCM_019947 transcript:EOY04770 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA double-strand break repair rad50 ATPase, putative isoform 1 MAKFHRTDCIEILSQIKHQEKLVNLKRRWLMGLSTSRSKRKQCKEPKFFRYKTLPESLLRDDDIFWETIKTHVEEAFGARNFGRGSHVIQHSVQSFDAPKIMGFLLSFLNALTNNGLYLIAMILTGGSGNFEKTRCKMKQVIRESLPRCLSSENHDHKRKDMIMKLYRVLDDHQNFRDNSMKSVTATFQIHHDAAIHVLDGLGDFPLQTLIAMDRKLRCLKRLPQLQACEGGKKRKRLIEKVSKTGKRMLRDLEKGGELREPLAKALAVADLSMKLSTGCLNTPTTCFHQFSPEIISLQNDIIKAIWILKTKVRFPKLKTLKLLLDPKVDISNRSLRGAITNMLTEFLFECSDMDTIPKSLLETLAVINKDSRSMPHGRFLKEEFEEEIECILSVSAQLKQIVWDLLPDHELDEEFADAYGEELEDSDDGSCIEDDGQMENEDSESFMSCSVNSIERDEGKLNVKVDPEIISNYETGSEDINEVKSVSKLHAFSDGNCFSPFSLPSGELNDYSIERDEVKLNSGVGPENASIFSSNLQLGNAMFVHNKSRMCRNQYLNIQEACDVTSLVSYNLIGQLLEKFTKEQDMELDWSDSLYLRRDSSIQEHSQGKKQKLPEEDVGGSFIQILKELMPSVPKSDREKLKE >EOY04771 pep chromosome:Theobroma_cacao_20110822:4:25833898:25838033:1 gene:TCM_019947 transcript:EOY04771 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA double-strand break repair rad50 ATPase, putative isoform 1 MGFLLSFLNALTNNGLYLIAMILTGGSGNFEKTRCKMKQVIRESLPRCLSSENHDHKRKDMIMKLYRVLDDHQNFRDNSMKSVTATFQIHHDAAIHVLDGLGDFPLQTLIAMDRKLRCLKRLPQLQACEGGKKRKRLIEKVSKTGKRMLRDLEKGGELREPLAKALAVADLSMKLSTGCLNTPTTCFHQFSPEIISLQNDIIKAIWILKTKVRFPKLKTLKLLLDPKVDISNRSLRGAITNMLTEFLFECSDMDTIPKSLLETLAVINKDSRSMPHGRFLKEEFEEEIECILSVSAQLKQIVWDLLPDHELDEEFADAYGEELEDSDDGSCIEDDGQMENEDSESFMSCSVNSIERDEGKLNVKVDPEIISNYETGSEDINEVKSVSKLHAFSDGNCFSPFSLPSGELNDYSIERDEVKLNSGVGPENASIFSSNLQLGNAMFVHNKSRMCRNQYLNIQEACDVTSLVSYNLIGQLLEKFTKEQDMELDWSDSLYLRRDSSIQEHSQGKKQKLPEEDVGGSFIQILKELMPSVPKSDREKLKE >EOY04772 pep chromosome:Theobroma_cacao_20110822:4:25833898:25838033:1 gene:TCM_019947 transcript:EOY04772 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA double-strand break repair rad50 ATPase, putative isoform 1 MAKFHRTDCIEILSQIKHQEKLVNLKRRWLMGLSTSRSKRKQCKEPKFFRTLPESLLRDDDIFWETIKTHVEEAFGARNFGRGSHVIQHSVQSFDAPKIMGFLLSFLNALTNNGLYLIAMILTGGSGNFEKTRCKMKQVIRESLPRCLSSENHDHKRKDMIMKLYRVLDDHQNFRDNSMKSVTATFQIHHDAAIHVLDGLGDFPLQTLIAMDRKLRCLKRLPQLQACEGGKKRKRLIEKVSKTGKRMLRDLEKGGELREPLAKALAVADLSMKLSTGCLNTPTTCFHQFSPEIISLQNDIIKAIWILKTKVRFPKLKTLKLLLDPKVDISNRSLRGAITNMLTEFLFECSDMDTIPKSLLETLAVINKDSRSMPHGRFLKEEFEEEIECILSVSAQLKQIVWDLLPDHELDEEFADAYGEELEDSDDGSCIEDDGQMENEDSESFMSCSVNSIERDEGKLNVKVDPEIISNYETGSEDINEVKSVSKLHAFSDGNCFSPFSLPSGELNDYSIERDEVKLNSGVGPENASIFSSNLQLGNAMFVHNKSRMCRNQYLNIQEACDVTSLVSYNLIGQLLEKFTKEQDMELDWSDSLYLRRDSSIQEHSQGKKQKLPEEDVGGSFIQILKELMPSVPKSDREKLKE >EOY02951 pep chromosome:Theobroma_cacao_20110822:4:3117527:3126993:-1 gene:TCM_046752 transcript:EOY02951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 38 protein MAIVGLYAVVLLLGILCAESKYMVYNTSAGIVAGKINVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSIVPALLADKNRKFIYVEQAFFQRWWRDQSELMQSIVKNLVSSGQLEFINGGMCMHDEAVPHYIDMIDQTTLGHRFIKEEFGVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSFFFGRIDYQDRIKRKKEKSLEVIWRGSKSLGSSAQIFAGAFPKNYEPPPGFYFEVNDDSPIVQDDISLFDYNVQDRVNDFVAAAISQANITRTNHIMWTMGTDFKYQYAHTWFRQMDKLIHYVNKDGRVNAFYSTPSIYTDAKYAMSKSWPLKTDDYFPYADRVNAYWTGYFTSRPALKRYVRIMSGYYLAARQLEFFKRRSDSGPNTDSLADALAIAQHHDAVTGTEKQHVADDYAKRLSMGYIESEKVVASSLACLADSKSSNGCGHSTANFQQCPLLNITYCPASEIDLSHGKKLIVVVYNSLGWKREDVIRFPVVNEDVIVHDSEGREIESQLVPPVDAYVDLRNYYVRAYFGTNPKAVPKYWLAFTVSVPPLGFNTYTISTSEKTGAGSTKSSIYKFQRGEKSGIQVGEGNLKLTISASQGKIINYVNSRNLVEESVEQSFSFYTGYNGTNDKEPQNSGAYIFRPNGTYLIKPEQASLTVIRGPLVQELHQQINPWIFQTTRLYKEKEHVEVEFIVGPVPIDDGFGKEVATQITTSLENSKTFYTDSNGRDFIKRIRDFRTDWDLEVNQPVAGNYYPINLGIYIQDSKKEFSVLVDRSLGGSSMVDGQIELMLHRRLLLDDSRGVAEALNETVCILDDCRGLTIQGKYYYRIDPLGEGAKWRRSLGQEIYSPLLLAIAQEDGDNWMSSHVPTFSGIDSSYSLPDNVAVITLQELDDGKVLLRLAHLYEIGEDSVLSVVTSVELKKLFPGKKIAKVTEVSLSANQERAVMEKKRLVWKVENSSGEYPKAARGGPVDPKKLVVELAPMEIRTFVIDLDQTSSKRVFDA >EOY06873 pep chromosome:Theobroma_cacao_20110822:4:33203587:33216401:1 gene:TCM_021467 transcript:EOY06873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phragmoplast orienting kinesin 1, putative MSRDISSSGFVSKNANENEFENSSSSAHFPPLRTPLNSIPDPSQYQKETQNQDEHDFDFKDKSESTRTLHKTPRVTGRHGKLHSEPNSAQSTPARSGPRFSLGGGAGTCVASRVTQVIGGRGGVSSSSYSRASRGTSMMDNSNFLVGAPYFELIEDPSFWRDRNVQVLIRIRPLSTIERVSEGYGLCLKQESAQTLVWLGHPDTRFTFDNVACETVSQEKLFRVAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMMGEIYEMEGQLSENCGITPRVFEYLFSRIRMEEESRKDEKLRFSCKCSFLEIYNEQITDLLEPSSTNLQLREDLKKGVYVENLMEYNVRTVDDVLKLLLQGAANRRMAATNMNSESSRSHSVFTCIIESQWEKDAMTHFRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSICAANETLSTLKFAQRAKLIQNNAKVNEDASGDVHALQRQIQQLKGQLSSLLKHHNFPNSPTSCVPSFEESKMGDDSGKNENTGEKMADSRIQNIHNKKMKRMEATLAGSLRREKMAEVAIRKLEAEIEQMNRLICQREEDVQRAKMMLRFREEKIKNLELLSTGLVSTEEYLTEENRALKEEIELLQTKIDRNPEVTRFALENIRLLEQLQKFQNFYEHGERETLLGEVSELRNQFLEVLEGKNRLSARYENQDGNTMKELEDCRNMNSKLMREVEELQMELRKYLNCSQSASDSVAYSPSKDHEEYKYSLVETISVCCDSGDEIASYSQKDDVALENQNEENISVASDTQHSVSQKELIDARLLIKAMEAEHIYLLKELQHLQEENRRYMEIISNKELENESVHKLQIDCLEPDHLASKNKGLVMEREDIDPKDLQDKLDRLTKDLDNARLLNCQYQQVQASQLSCQHEADLVCEQVEMETTRTILHLQEEVATLQLELNERLASVTQENIRLRDTITAKEEEVKSTCIEWERATLELTSFLLDGSKSLKDASWQIENIARSFPQINVWVGENVERAARVCIDKEERILLLQRSLEDAQRMTVEMEMKLSSLKGATIALNEFQEPRGDMKTDDTALLSMLFNEKIDLEKVLLYELKLKEDQLTMAVKRADAAFLVAKWLVDCNKAVYGDHAEVDIPILTLATSEGMQSNVMARIKFPTVDDLKAQVELAKLVILESENVINTSHKDAEVHLSTLQTDIFEAYSVYKELLQDLLREILDIRSKFSELNENCNNFQFSTIKLQSVGAAKSLKCHLLQQIKGELAQANEILKLIKDCIKTKASMNVCMSNEEDVIENDSWSSNSSTSSSDFSIESFASGNNLSGSHCSRKTAELMDDTKFKGVSLESDLEFSEKSVTFGLRKELRKALDVFHKLYVWLTTILDENDIGECSHTEGLPSFGLTMQIDEAGSHNTVEVCINSKLSSFAVPVGNIGVSALADDKISPAKSFLKKFEEAHATMKEADYMLNALLKANESTKLLNSIWKQASEELIVEKSNLIDEVEKLRYSISLKERENELLQDQIRYTLVETADSISLLEGCFQQMQRQVEDKFKVLYSEVLSIRQGVLFSVCNTRSSFEDICYEMMEKEFSLFVLYQCYCGDFIRKTLTFSNELRSHPLQRPEFHSVVNTSVKSHSIRQGDNVVYHKKSIEEGNEGKQLKHLEDQEADLSHNDLIDENFSLKKELKRKEVLLEGLLFDLHLLQESASNSKEIKDESEKLMSALRQVRHEVEMKTNQVDDLLVQHSKLENRLSDAENALLISNSNLEQAKETIDSLLDQNAEMRMLLKDLYLKKAEAEERLEEQKEVVKGLEKEILHLNYSVEKDLLSSVEGIEADLRKVTSERDELREEIFSLNDKLEIAHALADENEAIAVEARQESEASKIYAEQKEEEVKILEHSVEELESTINVLEKKLYELDEEVERHRLIRNSLEHELQALRDRLSKVDNFADVVHSVNSNAEQTEDLTSRQMHNKLLELHEAHDQIRILEKEKAELSIEIKQCKEYISELVLHSEAQASQYQQKICLSCQTCGHAAYVPYPLVEQYKTLEAMVREVKTDLPSSTSTVPISDKNEKTSARSRGSSSPFRCIASLVQQMNSEKDQELSMARLRIEELEAVSASRQKEICMLNTRLAAAESMTHDVIRDLLGVKLDMTNYANLIDQHQVKKLVEEAHQQAEEFLAKEQEILNLRKQVNDLIEEKESCLSEISKKDADILTAQLSLEQLQQRDQFFSAQNQMLKMEKTNLIKRVAELDELIKTLEGTSSSNQKQTNQTSQIKENGPSNLGGFDFPKRLAHSERLLSRVNTELAQFRRTNGRQLHGKTCGQGIEAKYRKHKD >EOY06202 pep chromosome:Theobroma_cacao_20110822:4:31283087:31285261:1 gene:TCM_021010 transcript:EOY06202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein, putative MRNMNVLAPFQLLELNVISAQDLEPVSRRKMRTYAVAWVHPQRKLSTRIDNLGHTNPTWNDKFVFRVDDEFLHGDTSAVMIELYAVHWFRDIHVGTVRVIVGNLISPPTSRPRHRHEVQIGMRFVALQVWRPSGRPQGILNIGVALLDSSMRSMPLYMQMGSSAVGYRHLMGEEIQSSNNNNNNNPSITSKSNNPQLLLNGLIKPMLRRTKSDSSSMFSSDLKSKRSIKGGSVVNGGSMVNGGSIVNGSEIGKTYRINSRGSSMVNYTFEKSKLGKPSSIINGSQDPGKKGSEVTGLSKKIDKGANEKNGPKAVVKSSAAKSPGGFKYNGLDYGAPRKMGGGTFCTDSELGPSPSEVAAAMARNMYPNRMDETESSLVDEWSLDGSIEGLRSKLDRWRTELPPVYDRGEFSSYLSSGIPTTTKKTRHVRRHTVNEGLFSCFGNVCGCEISISCGPSGAAAAAREKGKLRRTHSTDNLSFL >EOY02847 pep chromosome:Theobroma_cacao_20110822:4:2585484:2590754:-1 gene:TCM_017254 transcript:EOY02847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts 3A, putative MLMHQGQQHCLIGTFLDPKVIHAAGKHLRGNLPLLKRMSLSCLHKVRLHDKNHQPWTLLATTGLLLVSSDDAKILDVLLFNNPISSFKSHSPCSSKSISIHSSYENQSILTSSSSKREQNEAHLLLLLLQLLGWMQHKLRQSNIEPFKDFTIGNYCSCLSAHSSLNDQDSNPKSASGHGHGSRFSTLSQQESENSFTEFEAKGVEENFGDEASTVISGLFHGFLTIGTLGSELIISEPVTPTFAMSLENSEDKAEVTENDLKIINDELEKFLEAEAEEHGSNESSGRNSQVSTITLSGKPMQEANAKEYGKTIVCPLQGYLFGSSIELPEARFEVKKEKASLAELFYRTKIAEESPTEKCGKEEMQTKQANKPVKHLIKKILKKLHASSGSSTSTSSPKETNSISTKKKLHKVIKLFHRKIHPESSIAERESKNLHTDKMNNAPYDDGEYTDVQQTHQGKGNRRFPQGSWSKEGTQNYKTISKLPQYGLTGFTAGANGEHWIKTDADYLVLEL >EOY04665 pep chromosome:Theobroma_cacao_20110822:4:25428066:25432704:-1 gene:TCM_019865 transcript:EOY04665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTSQNYNGDESAVAVHASGGGAMDAKHVDGCQLLHVLPLHCLLQLAYLLNLLSKSAQLSPCNGKANQNQFHGLFVVSKDIIALSHIILQLALFMQDPKNSHTRKPWYQRAMERATVWKTVPKTAEIPTTSATLWKTISKPTEIPMTNATLWKAIPKSTEIPSTHPNREKLRKCTSLRVATSFTRVCLCAPISSYNEVFRADVPPRRSNSYPRSKPFTLSQERIPSARLSTEGRRVFRGKSLTDDVLMRRFVVEEEAMMQVRRRNQMEVIRRRSMMRRKKLGPSPLSRMVKAEEEEENF >EOY02814 pep chromosome:Theobroma_cacao_20110822:4:2352035:2353141:1 gene:TCM_017208 transcript:EOY02814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFETTPRKEPGESREKEKRGHQHNKSQLRKNKFQICSHKQGIVAQTTVAAEYMAVVITANQATWIRKVPLHFGMPQKKPTELFVVNKSTITVAKNAVFYGRTKHISVKYYLSSDVKKSGEVLIPHFSFEEQFTDMLTKSLSKPKIN >EOY06362 pep chromosome:Theobroma_cacao_20110822:4:31671546:31678459:-1 gene:TCM_021110 transcript:EOY06362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MQCLSSKMQQNLKRQKLRKRSKREREREREMKNSLVFSLISLIGLSGFVGVLCQGGALCNNFYETSCPLAEHIVQSITWTYVASNFTLPAKFLRMHFHDCFVRGCDGSVLLDSTANNSAEKEAIPNQSLAGFDVIDEIKSKLEETCPGVVSCADILALVARDSVSFQFGKPLWEVPTGRRDGTISRASEALANIPSPFFNFTTLKQSFANKGLTVQDLVVLSGGHTIGVGHCNFFSNRLYNFTGKGDADPSLNSTYADFLKTKCQSLSDNTTIVEMDPGSSLSFDNNYFTILKQNEGLFQSDAALLTDQGSSNIVDEMLNSKTFFTEFRQSMVRMGTIEVLTGSAGEIRKKCTVINS >EOY06243 pep chromosome:Theobroma_cacao_20110822:4:31411874:31420711:1 gene:TCM_021043 transcript:EOY06243 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MAAVSPPQQQEQESASLSKKRKQKRKRRVHDPELERLDSLPWNSSLRDKEEDDTFSLFIGSGDLDGGFLSLEEIDEANYGLDVPGPKKKVSDKKSKSKKEKLKEVTKGSAEDVEAEPADEMAEEKNAKAKKKKKKNKKRKAKTAQQGEESTVVSDGKDDEEEEMLEEAEAYSEFYAWNELRLHPLLMKSISRLGFKEPTPIQRACIPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKAANMIQEKGEEAEKFAPKGVLRALIITPTRELALQVTDHLKEVSKGINIRVVPIVGGMSAEKQERLLKTRPEIIVGTPGRLWELISVGEKHLVELHSLSFFVLDEADRMVEAGHFRELQSIIEMLPMTTGVTKGQSQNTQNCVTVSSLSRKKRQTFVFSATLALSADFRKKLKRGSLKSKQPAEGLNSIEILSERAGMRPNAAIIDLTNASILAKNLEESFIECREEDKDSYLYYILSIHGEGRTIVFCTSIAALRHISSLLRILGINVSTLHAQMQQRARLKAIDRFRANEHGILVATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGRTARASSDGCSIALISPNDSSKFASLCKSFAKESIKQFPLENSYLPEVMKRLSLARQIDKILRKDSQERANKSWLERSAESLELVMENYDSEEERVNNFKQKKASSNQLKKLQQELNLLLSRPLRPKSFSHRYPAAAGVTHLIQHQFEELAKQNVGGNLVSGENKRRKLMVIGQDCMEPLQALRNAGHEVHMDVKEMAEKRRNVESLRRKRKEEKKRLRDQRRKQKKKLEGRHAYLVFSMQLGFAMLCAGSVRAKNTMKIMPTGSQRSPLVDSYTTISVSPLPLVPFLMGSPALP >EOY06244 pep chromosome:Theobroma_cacao_20110822:4:31412180:31418394:1 gene:TCM_021043 transcript:EOY06244 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MNAGFLSLEEIDEANYGLDVPGPKKKVSDKKSKSKKEKLKEVTKGSAEDVEAEPADEMAEEKNAKAKKKKKKNKKRKAKTAQQGEESTVVSDGKDDEEEEMLEEAEAYSEFYAWNELRLHPLLMKSISRLGFKEPTPIQRACIPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKAANMIQEKGEEAEKFAPKGVLRALIITPTRELALQVTDHLKEVSKGINIRVVPIVGGMSAEKQERLLKTRPEIIVGTPGRLWELISVGEKHLVELHSLSFFVLDEADRMVEAGHFRELQSIIEMLPMTTGVTKGQSQNTQNCVTVSSLSRKKRQTFVFSATLALSADFRKKLKRGSLKSKQPAEGLNSIEILSERAGMRPNAAIIDLTNASILAKNLEESFIECREEDKDSYLYYILSIHGEGRTIVFCTSIAALRHISSLLRILGINVSTLHAQMQQRARLKAIDRFRANEHGILVATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGRTARASSDGCSIALISPNDSSKFASLCKSFAKESIKQFPLENSYLPEVMKRLSLARQIDKILRKDSQERANKSWLERSAESLELVMENYDSEEERVNNFKQKKASSNQLKKLQQELNLLLSRPLRPKSFSHRYPAAAGVTHLIQHQFEELAKQNVGGNLVSGENKRRKLMVIGQDCMEPLQALRNAGHEVVNLKINFLLFFNSVPLTGNLLLIEQFYIL >EOY05090 pep chromosome:Theobroma_cacao_20110822:4:27145571:27149201:-1 gene:TCM_020183 transcript:EOY05090 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-type peptidyl-prolyl cis-trans isomerase family protein isoform 1 MEDDFEVPTASDMEEDEMNNLENEPVDPIDPILKVGEEKEIGKNGLKKKLVKEGEGWETPDNGDEVEVHYTGTLLDGTKFDSSRDKGSTFKFKLGLGQVIKGWDEGIKTMKKGENAIFTIPSELAYGESGSPPTIPPNATLQFDVELVSWNSVKDICKDGGIFKKILVEGEKWENPKDLDEVFVKYEACLEDGTLISKSDGVEFTVGDGYFCPALAKAVKTMKKGEKVLLTVKPQYAFGEDGRPAVANEGAVPPNATLHITLEVVSWKNVSDVTKDRKVMKKILKEGEGYDQPNDGTVVHVKLIGKLQDGKIFVKKGHDEEPFEFKIDEEQVIDGLDRAVKMMKKGEHALITIQPEYAFGSSESQQELAVVPANATVYYEVEMVSFVKEKESWDMNTQEKIEAAGKKKEEGNALYKAGKYERASKRYEKAVKFIEYDSSFSDEEKQQTKLLKITCNLNNAACKLKLKDYKEAEKLCTKVLELDNKNVKALYRRAQAYIQIVDLDLAEVDIKKALEIDPDNRDVKLEYRVLKEKIREYNKKDAQFYGNIFAKMNKLEQAKAAKRGPTPMAIDSKA >EOY05088 pep chromosome:Theobroma_cacao_20110822:4:27145249:27149201:-1 gene:TCM_020183 transcript:EOY05088 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-type peptidyl-prolyl cis-trans isomerase family protein isoform 1 MEDDFEVPTASDMEEDEMNNLENEPVDPIDPILKVGEEKEIGKNGLKKKLVKEGEGWETPDNGDEVEVHYTGTLLDGTKFDSSRDKGSTFKFKLGLGQVIKGWDEGIKTMKKGENAIFTIPSELAYGESGSPPTIPPNATLQFDVELVSWNSVKDICKDGGIFKKILVEGEKWENPKDLDEVFVKYEACLEDGTLISKSDGVEFTVGDGYFCPALAKAVKTMKKGEKVLLTVKPQYAFGEDGRPAVANEGAVPPNATLHITLEVVSWKNVSDVTKDRKVMKKILKEGEGYDQPNDGTVVHVKLIGKLQDGKIFVKKGHDEEPFEFKIDEEQVIDGLDRAVKMMKKGEHALITIQPEYAFGSSESQQELAVVPANATVYYEVEMVSFVKEKESWDMNTQEKIEAAGKKKEEGNALYKAGKYERASKRYEKAVKFIEYDSSFSDEEKQQTKLLKITCNLNNAACKLKLKDYKEAEKLCTKVLELDNKNVKALYRRAQAYIQIVDLDLAEVDIKKALEIDPDNRDVKLEYRVLKEKIREYNKKDAQFYGNIFAKMNKLEQAKAAKRGPTPMAIDSKVCRLLVILVCLCLILSMITILTWQKWSDPLVDHVVM >EOY05091 pep chromosome:Theobroma_cacao_20110822:4:27144658:27149312:-1 gene:TCM_020183 transcript:EOY05091 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-type peptidyl-prolyl cis-trans isomerase family protein isoform 1 MEDDFEVPTASDMEEDEMNNLENEPVDPIDPILKVGEEKEIGKNGLKKKLVKEGEGWETPDNGDEVEVHYTGTLLDGTKFDSSRDKGSTFKFKLGLGQVIKGWDEGIKTMKKGENAIFTIPSELAYGESGSPPTIPPNATLQFDVELVSWNSVKDICKDGGIFKKILVEGEKWENPKDLDEVFVKYEACLEDGTLISKSDGVEFTVGDGYFCPALAKAVKTMKKGEKVLLTVKPQYAFGEDGRPAVANEGAVPPNATLHITLEVVSWKNVSDVTKDRKVMKKILKEGEGYDQPNDGTVVHVKLIGKLQDGKIFVKKGHDEEPFEFKIDEEQVIDGLDRAVKMMKKGEHALITIQPEYAFGSSESQQELAVVPANATVYYEVEMVSFVKEKESWDMNTQEKIEAAGKKKEEGNALYKAGKYERASKRYEKAVKFIEYDSSFSDEEKQQTKLLKITCNLNNAACKLKLKDYKEAEKLCTKVLELDNKNVKALYRRAQAYIQIVDLDLAEVDIKKALEIDPDNRFADCWLFWFASV >EOY05089 pep chromosome:Theobroma_cacao_20110822:4:27144580:27149429:-1 gene:TCM_020183 transcript:EOY05089 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-type peptidyl-prolyl cis-trans isomerase family protein isoform 1 MEDDFEVPTASDMEEDEMNNLENEPVDPIDPILKVGEEKEIGKNGLKKKLVKEGEGWETPDNGDEVEVHYTGTLLDGTKFDSSRDKGSTFKFKLGLGQVIKGWDEGIKTMKKGENAIFTIPSELAYGESGSPPTIPPNATLQFDVELVSWNSVKDICKDGGIFKKILVEGEKWENPKDLDEVFVKYEACLEDGTLISKSDGVEFTVGDGYFCPALAKAVKTMKKGEKVLLTVKPQYAFGEDGRPAVANEGAVPPNATLHITLEVVSWKNVSDVTKDRKVMKKILKEGEGYDQPNDGTVVHVKLIGKLQDGKIFVKKGHDEEPFEFKIDEEQVIDGLDRAVKMMKKGEHALITIQPEYAFGSSESQQELAVVPANATVYYEVEMVSFVKEKESWDMNTQEKIEAAGKKKEEGNALYKAGKYERASKRYEKAVKFIEYDSSFSDEEKQQTKLLKITCNLNNAACKLKLKDYKEAEKLCTKVLELDNKNVKALYRRAQAYIQIVDLDLAEVDIKKALEIDPDNRDVKLEYRVLKEKIREYNKKDAQFYGNIFAKMNKLEQGLQIAGYFGLPLSDTLHDHNLNMAKMVRSPCGSRGYVSFVPWVHVVFAVEHK >EOY03778 pep chromosome:Theobroma_cacao_20110822:4:19222378:19225842:1 gene:TCM_018959 transcript:EOY03778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reversibly glycosylated polypeptide 1 MAEPATATQAAAPAVPQLKDELDIVIPTIRNLDFLEMWRPFFEPYHLIIVQDGDPSKIIKVPDGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKAINALEQHIKNLLSPSTPFFFNTLYDPFREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVLTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLGLGVKTGLPYIYHSKASNPFVNLRKEYKGIFWQEEIIPFFQQSVLPKDCTTVQKCYIELAKQVKEKLSKVDPYFDKLADAMVTWIEAWDELNPAGASLANGKAA >EOY05950 pep chromosome:Theobroma_cacao_20110822:4:30345770:30349789:1 gene:TCM_020810 transcript:EOY05950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase, putative isoform 1 MASSKILHSCTTQKRRTILNRLHTLFHFTATLLLLYYRVSHFFDGNNMPALAWALMTLSELIFTFIWGLTLAFRWQPLSRSVSVDKIPADMDLPGLDVFVCTADPQKEPTVEVMNTVLSALALDYPPEKLAVYLSDDGGSYITLYALKEAFRFATCWLPFCKKYGIKTRCPEAFFSSLAKYEQLPTTDEFSAENEELEMKYRLVKRNVEKVCEEASIYAVQDRPAHVEIIHGDTDMKDEDRTKMPLLVYVSRERRPSKPHRFKAGALNALLRVSEKFSNGPCLLVLDCDMYCNDPTSPTQAMCFHLDPEMSPSLAFVQFPQMFYNIFTDLQKFSSEQEIARFEGPSDAILKEAKLLASCDYERNTKWGEEIGFSYESLLESTFTGYLLHCRGWTSVYLCPTRPCFLGCTTIDMKDALVQLVKWSSGLLQIGLSRFSPLSYGVSRMSILQSMCYGYFTFQPLFAIALLIYGIVPQLCFLKGIPLYPKVLSPWFAVFSTVYLSALGQQLHEVLSDGGTIWTWWNEQRIWVIKSVSGSLFGCLDLSMKRLGVKKTTFRLTNKAVDKEKLEKYEKGEFDFQGAAMFMVPLSVLVILNMACFIAGIR >EOY05949 pep chromosome:Theobroma_cacao_20110822:4:30345815:30350891:1 gene:TCM_020810 transcript:EOY05949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase, putative isoform 1 MASSKILHSCTTQKRRTILNRLHTLFHFTATLLLLYYRVSHFFDGNNMPALAWALMTLSELIFTFIWGLTLAFRWQPLSRSVSVDKIPADMDLPGLDVFVCTADPQKEPTVEVMNTVLSALALDYPPEKLAVYLSDDGGSYITLYALKEAFRFATCWLPFCKKYGIKTRCPEAFFSSLAKYEQLPTTDEFSAENEELEMKYRLVKRNVEKVCEEASIYAVQDRPAHVEIIHGDTDMKDEDRTKMPLLVYVSRERRPSKPHRFKAGALNALLRVSEKFSNGPCLLVLDCDMYCNDPTSPTQAMCFHLDPEMSPSLAFVQFPQMFYNISKNDIYDNQARSAYRIKWQGMNGIRGPSLSGTGFYLKRKALYGSPNFEDKHLFEPQSSFGMSSKFTDLQKFSSEQEIARFEGPSDAILKEAKLLASCDYERNTKWGEEIGFSYESLLESTFTGYLLHCRGWTSVYLCPTRPCFLGCTTIDMKDALVQLVKWSSGLLQIGLSRFSPLSYGVSRMSILQSMCYGYFTFQPLFAIALLIYGIVPQLCFLKGIPLYPKVLSPWFAVFSTVYLSALGQQLHEVLSDGGTIWTWWNEQRIWVIKSVSGSLFGCLDLSMKRLGVKKTTFRLTNKAVDKEKLEKYEKGEFDFQGAAMFMVPLSVLVILNMACFIAGIRGVIIRKNYGEMFGQIFLSLFIMVLSYPMIEGLLKKSKKEQ >EOY06292 pep chromosome:Theobroma_cacao_20110822:4:31511846:31518767:1 gene:TCM_021072 transcript:EOY06292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein isoform 1 MPNDCSIRALWILNSFDAVVFSRRFPVVEKRWRAAVQSEKESSVDDPVKYTVFSSLPSDSELAAAFFERKGREGSVRGFGIRVTQSREGSDSWVDDPITRHIIGLYINKGEEGENNLLWPLALHIKGPYCILILPLVEPRHVKAYAKLCQRSDCGNAVMTDEHLSSLLLDLPSITGAFMVAHAIGDIVTGDVVEPEVVVSASPSVGGLLDSLTGSIGISGISSRAKPVAAPVASSTPSGTAAIGALASDVPKIGSRLLDKDALRSFISSAMPFGTPMDLSYSNIFSIKVNGFSSLDIPPQDLKQPAWKPYLYKGKQRLLFTIHETLHAAMYDRDEIPDRLSVSGQINCRAELEGLPDVSFPLTGLTTAKIESLSFHPCAQVPEQNVDKQALMFSPPLGNFVLMRYQAACGLGPPVKGFYQLSMVSEDEGAFLFKLRLMEGYKSPLTMEFCNVTMPFPRRRILSFDGTPSIGTVSNVEHSVEWKIITSGRGLSGKSIEATFPGTVRFAPWQTQRLSSFRSVFEGTADDDSDNETESTNNMVNVEEFLMEKMSKDLPPVDLEEPFSWQAYNYAKVSFKIVGASLSGMSIDPKSVSIYPAVKAPVELSTQIASGDYILWNTLGKCPSAVSAKV >EOY06291 pep chromosome:Theobroma_cacao_20110822:4:31511864:31518772:1 gene:TCM_021072 transcript:EOY06291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein isoform 1 MPNDCSIRALWILNSFDAVVFSSRRFPVVEKRWRAAVQSEKESSVDDPVKYTVFSSLPSDSELAAAFFERKGREGSVRGFGIRVTQSREGSDSWVDDPITRHIIGLYINKGEEGENNLLWPLALHIKGPYCILILPLVEPRHVKAYAKLCQRSDCGNAVMTDEHLSSLLLDLPSITGAFMVAHAIGDIVTGDVVEPEVVVSASPSVGGLLDSLTGSIGISGISSRAKPVAAPVASSTPSGTAAIGALASDVPKIGSRLLDKDALRSFISSAMPFGTPMDLSYSNIFSIKVNGFSSLDIPPQDLKQPAWKPYLYKGKQRLLFTIHETLHAAMYDRDEIPDRLSVSGQINCRAELEGLPDVSFPLTGLTTAKIESLSFHPCAQVPEQNVDKQALMFSPPLGNFVLMRYQAACGLGPPVKGFYQLSMVSEDEGAFLFKLRLMEGYKSPLTMEFCNVTMPFPRRRILSFDGTPSIGTVSNVEHSVEWKIITSGRGLSGKSIEATFPGTVRFAPWQTQRLSSFRSVFEGTADDDSDNETESTNNMVNVEEFLMEKMSKDLPPVDLEEPFSWQAYNYAKVSFKIVGASLSGMSIDPKSVSIYPAVKAPVELSTQIASGDYILWNTLGKCPSAVSAKV >EOY04615 pep chromosome:Theobroma_cacao_20110822:4:25239013:25245948:-1 gene:TCM_019833 transcript:EOY04615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 MMAKCSIAPSVEPLFQSFNGLQYLAETCRFKAWFLDQFGVLHDGKQPYPGAISTLEKLATTGAKMVIISNSSRRASTTIEKMKNLGFDPSLFAGAITSGELTHQYLQRRDNAWFAALGRSCIHMTWSDRGAISLEGLGLQVVENVEEADFILAHGTEALGLPSGVVRPMNLEGLEKILECCATKKIPMVVANPDFVTVEARALSVMPGTLAAKYEKLGGEVKWMGKPDKIIYESAMAMVGVDASDSIAVGDSLHHDIKGANAAGIQSVFITGGIHATELGLHSFGQVADISSVQPLASKYDACPTYVLPAFTW >EOY04617 pep chromosome:Theobroma_cacao_20110822:4:25239013:25245952:-1 gene:TCM_019833 transcript:EOY04617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 MMAKCSIAPSVEPLFQSFNGLQYLAETCRFKAWFLDQFGVLHDGKQPYPGAISTLEKLATTGAKMVIISNSSRRASTTIEKMKNLGFDPSLFAGAITSGELTHQYLQRRDNAWFAALGRSCIHMTWSDRGAISLEGLGLQVVENVEEADFILAHGTEALGLPSGVVRPMNLEGLEKILECCATKKIPMVVANPDFVTVEARALSVMPGKDIHIDRSTLAAKYEKLGGEVKWMGKPDKIIYESAMAMVGVDASDSIAVGDSLHHDIKGANAAGIQSVFITGGIHATELGLHSFGQVADISSVQPLASKYDACPTYVLPAFTW >EOY04620 pep chromosome:Theobroma_cacao_20110822:4:25239783:25245919:-1 gene:TCM_019833 transcript:EOY04620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 MMAKCSIAPSVEPLFQSFNGLQYLAETCRFKAWFLDQFGVLHDGKQPYPGAISTLEKLATTGAKMVIISNSSRRASTTIEKMKNLGFDPSLFAGAITSGELTHQYLQRRDNAWFAALGRSCIHMTWSDRGAISLEGLGLQVVENVEEADFILAHGTEALGLPSGVVRPMNLEGLEKILECCATKKIPMVVANPDFVTVEARALSVMPGTLAAKYEKLGGEVKWMGKPDKIIYESAMAMVGVDASDSIAVGDSLHHDIKGANAAGIQSVFITGGIHATELGLHSFGQVADISSVQPLASKYDACPTYVLPAFTW >EOY04619 pep chromosome:Theobroma_cacao_20110822:4:25239493:25245895:-1 gene:TCM_019833 transcript:EOY04619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 MMAKCSIAPSVEPLFQSFNGLQYLAETCRFKAWFLDQFGVLHDGKQPYPGAISTLEKLATTGAKMVIISNSSRRASTTIEKMKNLGFDPSLFAGAITSGELTHQYLQRRDNAWFAALGRSCIHMTWSDRGAISLEGLGLQVVENVEEADFILAHGTEALGLPSGVVRPMNLEGLEKILECCATKKIPMVVANPDFVTVEARALSVMPGTLAAKYEKLGGEVKWMGKPDKIIYESAMAMVGVDASDSIAVGDSLHHDIKGANAAGIQSVFITGGIHATELGLHSFGQVADISSVQPLASKYDACPTYVLPAFTW >EOY04616 pep chromosome:Theobroma_cacao_20110822:4:25240543:25245863:-1 gene:TCM_019833 transcript:EOY04616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 MMAKCSIAPSVEPLFQSFNGLQYLAETCRFKVPNAWFLDQFGVLHDGKQPYPGAISTLEKLATTGAKMVIISNSSRRASTTIEKMKNLGFDPSLFAGAITSGELTHQYLQRRDNAWFAALGRSCIHMTWSDRGAISLEGLGLQVVENVEEADFILAHGTEALGLPSGVVRPMNLEGLEKILECCATKKIPMVVANPDFVTVEARALSVMPGTLAAKYEKLGGEVKWMGKPDKIIYESAMAMVGVDASDSIAVGDSLHHDIKGANAAGIQSVFITGGIHATELGLHSFGQVADISSVQPLASKYDACPTYVLPAFTW >EOY04618 pep chromosome:Theobroma_cacao_20110822:4:25234420:25246024:-1 gene:TCM_019833 transcript:EOY04618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 MMAKCSIAPSVEPLFQSFNGLQYLAETCRFKAWFLDQFGVLHDGKQPYPGAISTLEKLATTGAKMVIISNSSRRASTTIEKMKNLGFDPSLFAGAITSGELTHQYLQRRDNAWFAALGRSCIHMTWSDRGAISLEGLGLQVVENVEEADFILAHGTEALGLPSGVVRPMNLEGLEKILECCATKKIPMVVANPDFVTVEARALSVMPGTLAAKYEKLGGEVKWMGKPDKIIYESAMAMVGVDASDSIAVGDSLHHDIKGANAAGIQSVFITGGIHATELGLHSFGQVADISSVQPLASKYDACPTYVLPAFTW >EOY03584 pep chromosome:Theobroma_cacao_20110822:4:17304770:17309785:1 gene:TCM_018693 transcript:EOY03584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTIKLFEYDIYQISHMTSIHRSILDYKNAKKLMEAIDEQFESLDKALASSLMSKFTSMKLTGVKGVCEHIMQMRDINAQLKSLKMEISYDFLVHFVLNSLPSQYNAFRISYNTHKDKWSINELLTIFTSNGCENGIPQWKFRGKSCNLKGSFQIKFEKSLKDDIAPNDLIIIAHRGDTEADAKPCGVSIGIRGNECLSKCRSGCHELDGSSGS >EOY05633 pep chromosome:Theobroma_cacao_20110822:4:29231117:29234018:1 gene:TCM_046768 transcript:EOY05633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MTNKFGCHIAGGKEMTAETEDYPSKITLYVVVCWILAAFGGLMFGYDIGISGGVTAMDDFLIKFFPKVHERKMHAKENNYCKYDDQNLQLFTSSLYLAALVSSFAASKVCTKFGRKPTILLASAFFLGGAALSAAAQNLWMLIIARILLGIGVGFGNEAVPLFLSEIAPVQHRGAVNILFQLFVTIGIFFANLVNYGTLKMHPYGWRVSLGLAGVPATMLCIGSLIITETPASLVERGKELAGHKTLKKIRGVDEVDSEFDQIVRASKIAQEVKHPFKKLMKLSSMPPLIIGIMLQVFQQFTGINAIMFYAPVLFQTVGFKNDASLLSSVITGTVNVLSTLVSVYAVDKIGRRKLLLQACIQMFICQTAIGAILLVHLHSKDSLTKTQAAFVVTLVCLFVMSFAWSWGPLGWLIPSETFPLETRTAGFAFAVSSNMLFTFIIAQAFLSMMCHLRASIFFFFAAWIFAMGLFVLFLLPETKNVPIDVMVDRVWKKHPVWKRFMVGDGGS >EOY06428 pep chromosome:Theobroma_cacao_20110822:4:31883421:31889855:1 gene:TCM_021151 transcript:EOY06428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin-remodeling protein 11 isoform 1 LINLISLFVFISSQLSIPKVGLSLLIFFLYIFFLSLQKKREEKKKKRSSRSEKEMAKSSKRQASSDDALSNGSNSSEEERIDDQINGEEEDEEEIEAVARPADASDEDEDAALDENVDDADEDESNGADPEISKREKERLKEMQKLKKQKIQEILDAQNAAIDADMNNRGKGRLKYLLQQTELFAHFAKGDQSTSQKAKGRGRHASKVTEEEEDEECLKEEEDGLSGNTRLVTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEYRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPEERRYIREELLLAGKFDVCVTSFEMAIKEKSCLRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEAATAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKFDFKKIVSENWIEPPKRERKRNYSESEYFKQTMRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQTHQKNQIKDSIDVDEPEEGGDPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIKSIASEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLEMKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWEELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKVLHIFYLICYQNANCQYIHESHACYDSVILCSISLELYISLVIMFILVGSFIMILILHFQNMTPSKRGGRQPTESPTQMKKRKQLSMDDYVI >EOY06427 pep chromosome:Theobroma_cacao_20110822:4:31881258:31890452:1 gene:TCM_021151 transcript:EOY06427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin-remodeling protein 11 isoform 1 MAKSSKRQASSDDALSNGSNSSEEERIDDQINGEEEDEEEIEAVARPADASDEDEDAALDENVDDADEDESNGADPEISKREKERLKEMQKLKKQKIQEILDAQNAAIDADMNNRGKGRLKYLLQQTELFAHFAKGDQSTSQKAKGRGRHASKVTEEEEDEECLKEEEDGLSGNTRLVTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEYRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPEERRYIREELLLAGKFDVCVTSFEMAIKEKSCLRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEAATAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKFDFKKIVSENWIEPPKRERKRNYSESEYFKQTMRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQTHQKNQIKDSIDVDEPEEGGDPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIKSIASEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLEMKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWEELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKNMTPSKRGGRQPTESPTQMKKRKQLSMDDYVISGKKRK >EOY02736 pep chromosome:Theobroma_cacao_20110822:4:1958402:1960337:-1 gene:TCM_017121 transcript:EOY02736 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative MAWLPKLRVATAAVSALVNPLRRGVRAFGSAAALELDYDYEYYEYEQAHRDRHPAQLKVDAGGSATERGVQWVLIGEPGVKRHAYAERLSKLLEVPHISMGTLVRQELNPHSSLYKQIANAVNEGKLVPEDVIFALLSKRLEEGYYGGENGFILEGIPRTRMQAEILDQIADIDLVVNFKCTEEYSLKKNSESEFLPIGNSKDVGSSWKENAHVYSEQAKSLEDYYSKQKKLLNYQVAGAPGDSWQGLLAALHLQHVNALSSSHKLTA >EOY03905 pep chromosome:Theobroma_cacao_20110822:4:20336237:20339986:1 gene:TCM_019124 transcript:EOY03905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase family protein isoform 3 MNSLNINSIFLISAIFRVGLILYGEWQDAHMEVRYTDVDYLVFSDASSLMASGHSPYKRTTYRYSPLLAFLLIPNSFLSASWGKFLFSTSDLLVGLFIRIILKQRKVPEDLCTYSVLLWLFNPFTFTIGTRGNCEPIVCAMILWIVICLMNGKVVQAACWYGLVVHFRIYPIIYALPIIFFLDPRFFQSGMKPLLCDWTSAHGKTPQINSEVTDQCDIWLAFKSIFTRSRILFGLVSGSVFLFCTGFFFYLYGWEFLHEALLYHLTRTDPRHNFSIYFYHIYLNYERELSVLEKLISFLPQFIVQLVLIFCFAKDLLFCFFVQTVAFVAFNKVITAQYFVWFFCLLPLILPWSNMKLKWQGLCCILLWIGAQSHWLMWGYLLEFKGKNVFLQLWMASLLFLAANTLILIMLTRHHNFSPVFRRHAGSKRASKRD >EOY03906 pep chromosome:Theobroma_cacao_20110822:4:20336271:20339968:1 gene:TCM_019124 transcript:EOY03906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase family protein isoform 3 MNSLNINSIFLISAIFRVGLILYGEWQDAHMEVRYTDVDYLVFSDASSLMASGHSPYKRTTYRYSPLLAFLLIPNSFLSASWGKFLFSTSDLLVGLFIRIILKQRKVPEDLCTYSVLLWLFNPFTFTIGTRGNCEPIVCAMILWIVICLMNGKVVQAACWYGLVVHFRIYPIIYALPIIFFLDPRFFQSGMKPLLCDWTSAHGKTPQINSEVTDQCDIWLAFKSIFTRSRILFGLVSGSVFLFCTGFFFYLYGWEFLHEALLYHLTRTDPRHNFSIYFYHIYLNYERELSVLEKLISFLPQFIVQLVLIFCFAKDLLFCFFVQTVAFVAFNKVITAQYFVWFFCLLPLILPWSNMKLKWQGLCCILLWIGAQSHWLMWGYLLEFKVFRRHAGSKRASKRD >EOY03907 pep chromosome:Theobroma_cacao_20110822:4:20336271:20339943:1 gene:TCM_019124 transcript:EOY03907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase family protein isoform 3 MNSLNINSIFLISAIFRVGLILYGEWQDAHMEVRYTDVDYLVFSDASSLMASGHSPYKRTTYRYSPLLAFLLIPNSFLSASWGKFLFSTSGKVVQAACWYGLVVHFRIYPIIYALPIIFFLDPRFFQSGMKPLLCDWTSAHGKTPQINSEVTDQCDIWLAFKSIFTRSRILFGLVSGSVFLFCTGFFFYLYGWEFLHEALLYHLTRTDPRHNFSIYFYHIYLNYERELSVLEKLISFLPQFIVQLVLIFCFAKDLLFCFFVQTVAFVAFNKVITAQYFVWFFCLLPLILPWSNMKLKWQGLCCILLWIGAQSHWLMWGYLLEFKGKNVFLQLWMASLLFLAANTLILIMLTRHHNFSPVFRRHAGSKRASKRD >EOY06651 pep chromosome:Theobroma_cacao_20110822:4:32547343:32549025:-1 gene:TCM_021309 transcript:EOY06651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT5G49320) TAIR;Acc:AT5G49320] MNSIFFGTSKMPKTVAVTHADLAPSRRSTELGSRTGVVLMVLTILCGLFCFILCLIAEATRSQEVKNECAYSGSGKTPLLCAAVAFVGLAAAMVVEHMYMLIAVSKSPPPALLSWDPVSSRAKTLTWQAGFFFVMTWLCFSVGEILLLIGLSVESGHLKNWSKPRESCLIIREGLFCAAGVFSLLTVFLAAGLYLTALHAQKMFQEQRNVQQEVLATSALYASPPGSPPHRMTTVAREDPMITEFPNEPPPSFSYSWDFSKQWNNA >EOY06650 pep chromosome:Theobroma_cacao_20110822:4:32547343:32548946:-1 gene:TCM_021309 transcript:EOY06650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT5G49320) TAIR;Acc:AT5G49320] MNSIFFGTSKMPKTVAVTHADLAPSRRSTELGSRTGVVLMVLTILCGLFCFILCLIAEATRSQERWVGTDTNRKEVKNECAYSGSGKTPLLCAAVAFVGLAAAMVVEHMYMLIAVSKSPPPALLSWDPVSSRAKTLTWQAGFFFVMTWLCFSVGEILLLIGLSVESGHLKNWSKPRESCLIIREGLFCAAGVFSLLTVFLAAGLYLTALHAQKMFQEQRNVQQEVLATSALYASPPGSPPHRMTTVAREDPMITEFPNEPPPSFSYSWDFSKQWNNA >EOY03634 pep chromosome:Theobroma_cacao_20110822:4:17490248:17500492:-1 gene:TCM_018724 transcript:EOY03634 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 1 MSCEEVLKVVFPLLDGIDLAACMVVCKQWRDIARDDYFWRCVCAKRWPSICNRPNPPTVTYYELYQTFYKWQHKRTLLPPRLSFDDLEFFIDIWTEDKLIFSEVVPGPAFQTVFYVEGPEYKMTLPVEPSFTVLWGQTLSVSVLVERKDSNKVACIINKSLFDYIDRTAYGALAFDNLDFSPNYPFVSGILAFISLLFVEDGNDGVIDVFGIEMDFCDAANSKEEVLWLLDMLDWK >EOY03635 pep chromosome:Theobroma_cacao_20110822:4:17490181:17492274:-1 gene:TCM_018724 transcript:EOY03635 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 1 MSCEEVLKVVFPLLDGIDLAACMVVCKQWRDIARDDYFWRCVCAKRWPSICNRPNPPTVTYYELYQTFYKWQHKRTLLPPRLSFDDLEFFIDIWTEDKLIFSEVVPGPAFQTVFYVEGPEYKMTLPVEPSFTVLWGQTLSVSVLVERKDSNKVACIINKSLFDYIDRTAYGALAFDNLDFSPNYPFVSGILAFISLLFVEDGNDGVIDVFGIEMDFCDAANSKEEVLWLLDMLDWK >EOY03636 pep chromosome:Theobroma_cacao_20110822:4:17490120:17500466:-1 gene:TCM_018724 transcript:EOY03636 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 1 MSCEEVLKVVFPLLDGIDLAACMVVCKQWRDIARDDYFWRCVCAKRWPSICNRPNPPTVTYYELYQTFYKWQHKRTLLPPRLSFDDLEFFIDIWTEDKLIFSEVVPGPAFQTVFYVEGPEYKMTLPVEPSFTVLWGQTLSVSVLVERKDSNKVACIINKSLFDYIDRTAYGALAFDNLDFSPNYPFVSGILAFISLLFVEDGNDGVIDVFGIEMDFCDAANSKEEVLWLLDMLDWK >EOY03637 pep chromosome:Theobroma_cacao_20110822:4:17490110:17500488:-1 gene:TCM_018724 transcript:EOY03637 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 1 MSCEEVLKVVFPLLDGIDLAACMVVCKQWRDIARDDYFWRCVCAKRWPSICNRPNPPTVTYYELYQTFYKWQHKRTLLPPRLSFDDLEFFIDIWTEDKLIFSEVVPGPAFQTVFYVEGPEYKMTLPVEPSFTVLWGQTLSVSVLVERKDSNKVACIINKSLFDYIDRTAYGALAFDNLDFSPNYPFVSGILAFISLLFVEDGNDGVIDVFGIEMDFCDAANSKEEVLWLLDMLDWK >EOY04381 pep chromosome:Theobroma_cacao_20110822:4:24110975:24111607:1 gene:TCM_019647 transcript:EOY04381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGNNVFLLLFMVCLSASGATATRELNSYSKAPGHNIAARLDEASLDSVNCWNVLHQLTPCGIEIVRFFINGRNGIIRDCCNAIQDMEQCEPGMFISLGFSYEEEKALISYCDASLGPAAAPLAGSPLSAEAYI >EOY04979 pep chromosome:Theobroma_cacao_20110822:4:26711876:26719347:1 gene:TCM_020112 transcript:EOY04979 gene_biotype:protein_coding transcript_biotype:protein_coding description:IBR domain-containing protein isoform 2 MESEDDIIDDLVSMEDDFYSGDFSDYYDDPNDEDNDYYSDGDDDYGLLEDDEDDQDAGVSRRDQLGYTVLKEADIKQRQEDDISKVSTVLSISRVDATLLLRYYNWSVSKVHDEWFADEEGVRQTVGLLERPVIRVSNARELTCGICFESLPRDNISSAACGHPFCRTCWQGYICTTINDGPGCLSLRCPVPSCNAAVGQGMIDKLATIEEKEKYSRYLLRSYIEDNRKTKWCPAPGCEFAVDFAVGSGNFDVSCICSHSFCWNCTEEAHRPVDCNTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFDFCWLCLGTWSEHGERTGGFYACNRYEAAKQEGVYDEAERRREMAKNSLEKYTHYYERWASNQLSRHKALADLNQMQTVHLEKLSDLQCTPESQLKFITEAWLQQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELNKFILCDGPSKEFDEFRAKLAGLTSVTKNYFENLVRALENGLADVDSQAAAAACSKTTSSKNLGGTSKGRGGRGKGGSKTGGSSRNVDDTSN >EOY04980 pep chromosome:Theobroma_cacao_20110822:4:26711876:26719347:1 gene:TCM_020112 transcript:EOY04980 gene_biotype:protein_coding transcript_biotype:protein_coding description:IBR domain-containing protein isoform 2 MESEDDIIDDLVSMEDDFYSGDFSDYYDDPNDEDNDYYSDGDDDYGLLEDDEDDQDAGVSRRDQLGYTVLKEADIKQRQEDDISKVSTVLSISRVDATLLLRYYNWSVSKVHDEWFADEEGVRQTVGLLERPVIRVSNARELTCGICFESLPRDNISSAACGHPFCRTCWQGYICTTINDGPGCLSLRCPVPSCNAAVGQGMIDKLATIEEKEKYSRYLLRSYIEDNRKTKWCPAPGCEFAVDFAVGSGNFDVSCICSHSFCWNCTEEAHRPVDCNTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFDFCWLCLGTWSEHGERTGGFYACNRYEAAKQEGVYDEAERRREMAKNSLEKYTHYYERWASNQLLEKLSDLQCTPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELNKFILCDGPSKEFDEFRAKLAGLTSVTKNYFENLVRALENGLADVDSQAAAAACSKTTSSKNLGGTSKGRGGRGKGGSKTGGSSRNVDDTSN >EOY04978 pep chromosome:Theobroma_cacao_20110822:4:26708070:26718816:1 gene:TCM_020112 transcript:EOY04978 gene_biotype:protein_coding transcript_biotype:protein_coding description:IBR domain-containing protein isoform 2 MESEDDIIDDLVSMEDDFYSGDFSDYYDDPNDEDNDYYSDGDDDYGLLEDDEDDQDAGVSRRDQLGYTVLKEADIKQRQEDDISKVSTVLSISRVDATLLLRYYNWSVSKVHDEWFADEEGVRQTVGLLERPVIRVSNARELTCGICFESLPRDNISSAACGHPFCRTCWQGYICTTINDGPGCLSLRCPVPSCNAAVGQGMIDKLATIEEKEKYSRYLLRSYIEDNRKTKWCPAPGCEFAVDFAVGSGNFDVSCICSHSFCWNCTEEAHRPVDCNTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFDFCWLCLGTWSEHGERTGGFYACNRYEAAKQEGVYDEAERRREMAKNSLEKYTHYYERWASNQLSRHKALADLNQMQTVHLEKLSDLQCTPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELNKFILCDGPSKEFDEFRAKLAGLTSVTKNYFENLVRALENGLADVDSQAAAAACSKTTSSKNLGGTSKGRGGRGKGGSKTGGSSRNVDDTSN >EOY03777 pep chromosome:Theobroma_cacao_20110822:4:19208981:19210774:1 gene:TCM_018957 transcript:EOY03777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein, putative MSMALTTFSFTISFILFSFMLVIASASDYGYGTKPELLYKPKSDVKEKPLPIGIEGLILCKSGPKRLIPIKGAVARITCLAVDEHGYETAPFSILSKATDSKGYYFATLFPHELSNKLKLTECKAFLEKSPLENCKVATDVNKGISGAPLSYCRLLNNNKMKLYSVPPFIYTSQPKPISPGY >EOY06266 pep chromosome:Theobroma_cacao_20110822:4:31455555:31459364:1 gene:TCM_021054 transcript:EOY06266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 1 isoform 1 MIPSTLFPSPHIQCLKHFQPKSRNRKKKKKEKKRKPKKKNISLRNFHLLFPSHSVSYLGENSKEIMALNLASQIGTLAGTQIPTEVSNGEQLSSGTVSASAVWRAPAANLRCKTSQDTVSPPSLTPPLTPRSPGSRSPLMSPMLRPDLSAACQAFTTLAPPETVEEEAAGVAWKEGGRKEEKRGVPVYVMMPLDSVTYGNTLNRKKAMNASLHALKSAGVEGIMVDVWWGLVEREAPGAYNWGGYAELLEMAKKHGLKVQAVMSFHQCGGNVGDSCTIPLPKWVVEEIDKDPDIAYTDQWGRRNYEYVSLGCDTLPVLKGRTSVQCYADFMRAFRDNFKHLLGDTIVEIQVGMGPAGELRYPSYPEQDGTWRFPGIGAFQCYDKYMLSSLKAAAEAVGKPEWGSTGPTDAGHYNYWPEDTPFFKKEGGGWNSRYGEFFLSWYSQMLLDHGERILSSATSVFEGAGVKISVKVAGIHWHYGTRSHAPELTAGYYNTRFRDGYLPIAQMLARHGAVFNFTCIEMRDHEQPQDALCAPEKLVRQVALATAAAQVPLAGENALPRYDEYAHDQILQASSLNIDGSSDDREMCAFTYLRMNPSLFQPENWRRFVAFVKKMNEGKDAYRCWEQVEREAEHFVHVTQPLVQEAAVALSH >EOY06267 pep chromosome:Theobroma_cacao_20110822:4:31456273:31458533:1 gene:TCM_021054 transcript:EOY06267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 1 isoform 1 ISLRNFHLLFPSHSVSYLGENSKEIMALNLASQIGTLAGTQIPTEVSNGEQLSSGTVSASAVWRAPAANLRCKTSQDTVSPPSLTPPLTPRSPGSRSPLMSPMLRPDLSAACQAFTTLAPPETVEEEAAGVAWKEGGRKEEKRGVPVYVMMPLDSVTYGNTLNRKKAMNASLHALKSAGVEGIMVDVWWGLVEREAPGAYNWGGYAELLEMAKKHGLKVQAVMSFHQCGGNVGDSCTIPLPKWVVEEIDKDPDIAYTDQWGRRNYEYVSLGCDTLPVLKGRTSVQCYADFMRAFRDNFKHLLGDTIVEIQVGMGPAGELRYPSYPEQDGTWRFPGIGAFQCYDKYMLSSLKAAAEAVGKPEWGSTGPTDAGHYNYWPEDTPFFKKEGGGWNSRYGEFFLSWYSQMLLDHGERILSSATSVFEGAGVKISVKVAGIHWHYGTRSHAPELTAGYYNTRFRDGYLPIAQMLARHGAVFNFTCIEMRDHEQPQDALCAPEKLVRQVALATAAAQVPLAGENALPR >EOY06144 pep chromosome:Theobroma_cacao_20110822:4:31071458:31075914:1 gene:TCM_020960 transcript:EOY06144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclopropane fatty acid synthase isoform 2 METMARHIVIKFFEQYISTGCVILLEEEGTVLTFTGSMEKCSLKVVLKVHNPQFYWKIMTEADLGLADAYIQGDFSFEDKEEGLLNLFLIFIANRVSDSSASGLNKKRGWWAPALFTASISSAKYFLKHVLRQNTLIQARRNISRHYNLAENEDLKVAQLRKISSLIDKARIEKGHEVIDIGCGWGYFAIEVVKRTGCKYTGVTLSEEQLKYAEAKVKEAGLQDNIRFLLCDYRQLPETGKYDRIISCEMIEHVGNEYIEEFFRCCESILAKDGLFVLQFISMTEEQFPEHLRSPGFVKEYIFPGGCLLSLTRMLSAMAAGSRLSVEHVENIGPNYVQTLRCWRKNFLENKSKILALGFDEKFMRTWEYYFDYCAAGFKSRTIGDYQVVFSRPGNFAALGDPYQGFPLAYSY >EOY06143 pep chromosome:Theobroma_cacao_20110822:4:31071458:31075914:1 gene:TCM_020960 transcript:EOY06143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclopropane fatty acid synthase isoform 2 METMARHIVIKFFEQYISTGCVILLEEEGTVLTFTGSMEKCSLKVVLKVHNPQFYWKIMTEADLGLADAYIQGDFSFEDKEEGLLNLFLIFIANRVSDSSASGLNKKRGWWAPALFTASISSAKYFLKHVLRQNTLIQARRNISRHYNLSNELFELFLDEKMQYSSAIFKAENEDLKVAQLRKISSLIDKARIEKGHEVIDIGCGWGYFAIEVVKRTGCKYTGVTLSEEQLKYAEAKVKEAGLQDNIRFLLCDYRQLPETGKYDRIISCEMIEHVGNEYIEEFFRCCESILAKDGLFVLQFISMTEEQFPEHLRSPGFVKEYIFPGGCLLSLTRMLSAMAAGSRLSVEHVENIGPNYVQTLRCWRKNFLENKSKILALGFDEKFMRTWEYYFDYCAAGFKSRTIGDYQVVFSRPGNFAALGDPYQGFPLAYSY >EOY04465 pep chromosome:Theobroma_cacao_20110822:4:24440184:24449445:-1 gene:TCM_019707 transcript:EOY04465 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MNCIAKNRESPTYFSAGRTIFLIKKLGNPAAKRSPIRITSTHKTIYSLPFPYPRDKEDALSVSLSSWNLDNIAVAQKNLCVLPKWSAMLPSIVNMHRRLLETEVSLPPANGNKTHDSYISEANFDTNMVIILAALLCALICALGLNSIVRCALRCSRRFALETPEQAATRLATTGLKKRDLKQIPVAVYGTGVNFPSTECPICLGDFVDGEKVRVLPKCNHGFHVRCIDTWLVSHSSCPNCRHSLLDHDTASTETTPEVTGRRTPVNGLGDHQHGSVVLVVEDGS >EOY04466 pep chromosome:Theobroma_cacao_20110822:4:24442473:24444348:-1 gene:TCM_019707 transcript:EOY04466 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MLPSIVNMHRRLLETEVSLPPANGNKTHDSYISEANFDTNMVIILAALLCALICALGLNSIVRCALRCSRRFALETPEQAATRLATTGLKKRDLKQIPVAVYGTGVNFPSTECPICLGDFVDGEKVRVLPKCNHGFHVRCIDTWLVSHSSCPNCRHSLLDHDTASTETTPEVTGRRTPVNGLGDHQHGSVVLVVEDGS >EOY04467 pep chromosome:Theobroma_cacao_20110822:4:24442653:24444211:-1 gene:TCM_019707 transcript:EOY04467 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MLPSIVNMHRRLLETEVSLPPANGNKTHDSYISEANFDTNMVIILAALLCALICALGLNSIVRCALRCSRRFALETPEQAATRLATTGLKKRDLKQIPVAVYGTGVNFPSTECPICLGDFVDGEKVRVLPKCNHGFHVRCIDTWLVSHSSCPNCRHSLLDHDTASTETTPEVTGRRTPVNGLGDHQHGSVVLVVEDGS >EOY06385 pep chromosome:Theobroma_cacao_20110822:4:31735010:31741381:1 gene:TCM_021120 transcript:EOY06385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein with ARM repeat domain isoform 1 MNHYHIYEAIGRGKYSNVYKGRKKKTIEYFAIKSVDKSQRSKVLQEVRILHSLNDPNILKFYSWYETSAHLWLVLEYCTGGDLMTLLRQDGQLPEDSIHFLACDLVKALQYLHSKGIIYCDLKPSNILLDENGHTKLCDFALARKLSDISKTPSSMLPQAKRGTPCYMAPELFEDGGVHSYASDFWALGCVLYECYAGKPPFVGREFTQLVKSIISDPTPPLPGSPSPSFVNLVNSLLVKDPAERIKWSELCVHAFWRAKFSLVPLPPQPAFENMIELYAKPCLSERNGDRSQSKTPPKYREKDLKGASRKDENSLVGLRGHETPVKNTPIGRKTQIKAPGKGLEEKHKDHSSAIRRVNLLRLSRIAKTNLQKENEKENYRRPLPNNSENESEVKIENNDMELDFDENTEEEVQDEPDGSDTPTCTTEDKFSSQNQQQFKVEERDNNIDRSDGPAVSNLPASDDSKTYDQESSSDQVEVAATPPSGSSQHRNQRIKESPGSALESDYSKSSNNISEVLWHPSDLAVRPVMPSRKSDKMSEVIPSLPFEALQPSDFIKMGKEQLDALNNRIISIFGGNAGIGEKQNVIRYLEMLSNNADAANVLTNGPIMLMLVKMFRQSKTSALRVQLASLIGLLIRHSTFIEDDLANSGILGALTDGLRDRQEKVRRFSMAALGELLFYISTQNEHARDNNPPESPSKDNRPASGWQVPNSLISLVSSVLRKGEDDMTQLYALRTIENICSQGGHWATRFTSQDVISNLCYIYRAAGKQESMRLTAGSCLVRLVRFSPHCIQSVIDKLSLKDIASALIKGNLREQQISLNILNMAMLGSHMFTNIGRYLLPLVEDKNLVPSLASLIEQGSEVLRGKALVFVALLCKNGRRWLPQFFCNARLLPTVDRLAKEKDNYLQQCLDSFLHVVASTVPALLDGITGDIQQMMGGRRHGQIAALTSRAAPKNNIHLFPVILHLLGSSSFRNRVVTHQVLRQLANLIQVVETPFQGRDDFQITLLRVLESIPEESPVILESPNVFIRGILPSLAVLYKGNKDGNARFLCLKIMFDVMVIFLNEPSLNDQRSEDLKLIANSHFLPLYPALIEDEDPIPMYAQKLLVMLIEFDYIKISDILDLKMVSKCFEFLLGDLTNANVNNVKLCLALASAPEMDSKLLSQLKVVRKIGNLLEFVYAKDMEDFLEPTLCLCRAFLLRSVGSRKDFVYTKEPTLLGDGSSESSGPVDQQQYIRDIIDFGSNVGVLLELSASREANVADIASECVVLLLKAAPREATIGFLTNLPKAGSILEAWRKGISHLLLQRILHAVGYSCRQYLSHAMILSISKPEITRIEGIVADLKSSSIPGLANVASIVISELQRLPRCI >EOY06384 pep chromosome:Theobroma_cacao_20110822:4:31734886:31741330:1 gene:TCM_021120 transcript:EOY06384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein with ARM repeat domain isoform 1 MNHYHIYEAIGRGKYSNVYKGRKKKTIEYFAIKSVDKSQRSKVLQEVRILHSLNDPNILKFYSWYETSAHLWLVLEYCTGGDLMTLLRQDGQLPEDSIHFLACDLVKALQYLHSKGIIYCDLKPSNILLDENGHTKLCDFALARKLSDISKTPSSMLPQAKRGTPCYMAPELFEDGGVHSYASDFWALGCVLYECYAGKPPFVGREFTQLVKSIISDPTPPLPGSPSPSFVNLVNSLLVKDPAERIKWSELCVHAFWRAKFSLVPLPPQPAFENMIELYAKPCLSERNGDRSQSKTPPKYREKDLKGASRKDENSLVGLRGHETPVKNTPIGRKTQIKAPGKGLEEKHKDHSSAIRRVNLLRLSRIAKTNLQKENEKENYRRPLPNNSENESEVKIENNDMELDFDENTEEEVQDEPDGSDTPTCTTEDKFSSQNQQQFKVEERDNNIDRSDGPAVSNLPASDDSKTYDQESSSDQVEVAATPPSGSSQHRNQRIKESPGSALESDYSKSSNNISEVLWHPSDLAVRPVMPSRKSDKMSEVIPSLPFEALQPSDFIKMGKEQLDALNNRIISIFGGNAGIGEKQNVIRYLEMLSNNADAANVLTNGPIMLMLVKMFRQSKTSALRVQLASLIGLLIRHSTFIEDDLANSGILGALTDGLRDRQEKVRRFSMAALGELLFYISTQNEHARDNNPPESPSKDNRPASGWQVPNSLISLVSSVLRKGEDDMTQLYALRTIENICSQGGHWATRFTSQDVISNLCYIYRAAGKQESMRLTAGSCLVRLVRFSPHCIQSVIDKLSLKDIASALIKGNLREQQISLNILNMAMLGSHMFTNIGRYLLPLVEDKNLVPSLASLIEQGSEVLRGKALVFVALLCKNGRRWLPQFFCNARLLPTVDRLAKEKDNYLQQCLDSFLHVVASTVPALLDGITGDIQQMMGGRRHGQIAALTSRAAPKNNIHLFPVILHLLGSSSFRNRVVTHQVLRQLANLIQVVETPFQGRDDFQITLLRVLESIPEESPVILESPNVFIRGILPSLAVLYKGNKDGNARFLCLKIMFDVMVIFLNEPSLNDQRSEDLKLIANSHFLPLYPALIEDEDPIPMYAQKLLVMLIEFDYIKISDILDLKMVSKCFEFLLGDLTNANVNNVKLCLALASAPEMDSKLLSQLKVVRKIGNLLEFVYAKDMEDFLEPTLCLCRAFLLRSVGSRKDFVYTKEPTLLGDGSSESSGPVDQQQYIRDIIDFGSNVGVLLELSASREANVADIASECVVLLLKAAPREATIGFLTNLPKAGSILEAWRKGISHLLLQRILHAVGYSCRQYLSHAMILSISKPEITRIEGIVADLKSSSIPGLANVASIVISELQRLPRCI >EOY05189 pep chromosome:Theobroma_cacao_20110822:4:27544908:27549168:1 gene:TCM_020262 transcript:EOY05189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 1,5 MARNQLGVLNALDAAKTQWYHFTAIIIAGMGFFTDAYDLFSISLITKLLGRIYYHVEGAQKPGTLPPNVAAAVNGVAFCGTLAGQLFFGWLGDKLGRKRVYGLTLMLMVVCSIASGLSFGDSPKGVVATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFISAVFAMQGFGILAGGIVALIVSAAFDHAYKAPPYSVDADGSTVPEADYIWRIILMFGAVPALLTYYWRMKMPETARYTALIARNAKQAAADMSKVLQVELEAEEEKVEKMRVAESNSFGLFSKEFARRHGVHLLGTTTTWFLLDIAYYSSNLFQKDIFSAIGWLPKPETMSATHEVYRVAKAQTLIALCGTVPGYWFTVAFIDYLGRFTIQLMGFFFMTVFMFALAIPYHHWKSHNAGFLIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYASQSTNPANTDAGYPPGIGMKNSLIVLGAINCLGMAFTLLVPESRGKSLEELTGENEEDGDEIQKTSAQTVPV >EOY05549 pep chromosome:Theobroma_cacao_20110822:4:28963570:28964167:1 gene:TCM_020523 transcript:EOY05549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMLCLCLLNYFSRDFDSSSNFIENCQYSKRSDTTLSNSWTTSQHFYISHLNIVFLVKIWFYGYCVGSST >EOY04874 pep chromosome:Theobroma_cacao_20110822:4:26284822:26290580:1 gene:TCM_020038 transcript:EOY04874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein isoform 1 MAGAADPHDFSDSDRSSEDETAVNTAEYEGMGPELLQGHNVMNNEFIALEQSGKALDIGNLEPYNGMTFQSLDDARDFYFEYAKRTGFTIRTNRIRHSLKNMAIIGRDFVCSREGFRAAKHTHRKDRVLPPRPITREGCKAMIRLAARDGGKWIVTKFVREHNHKLMTLCKFPGELPTINMLSEAFAHTLLTLIAVVSAIAYSSLWVGIVEKTLLSKIWVSGTFVVAPGLRYLMLRHARKEYNSLFGKTKQNQEEKDKKIQDLTSELQREKERSAAFRQQLQKILKDLEEHAEFMSIRVEDIVDSLKKVELDDV >EOY04875 pep chromosome:Theobroma_cacao_20110822:4:26284937:26290492:1 gene:TCM_020038 transcript:EOY04875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein isoform 1 MAGAADPHDFSDSDRSSEDETAVNTAEYEGMGPELLQGHNVMNNEFIALEQSGKALDIGNLEPYNGMTFQSLDDARDFYFEYAKRTGFTIRTNRIRHSLKNMAIIGRDFVCSREGFRAAKHTHRKDRVLPPRPITREGCKAMIRLAARDGGKWIVTKFVREHNHKLMTLCKFPGELPTINMLSEEEKDKKIQDLTSELQREKERSAAFRQQLQKILKDLEEHAEFMSIRVEDIVDSLKKVELDDV >EOY04695 pep chromosome:Theobroma_cacao_20110822:4:25533054:25536536:-1 gene:TCM_019887 transcript:EOY04695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MSKMPPNGELDEEVYMDLPPGFEGASGNKKGQTDHTRFFKHSQDGKKTILIVYVDDIILTRDNKEEMEGLKKTLRSEFEIKDLRQLRYFLMMEVARSMKCITSQSKYTLDLLKETRMLGSKPAETPIGMNKKLGRARSGIPGDRGRDQCLVGRLISLSHTRPDIAFAVSVVSQYMHSPSEEHLEAVNRILQYVKPTPGKGLFFKKNELRSVEAFTDADWASSVEDRRSTSGYCTKVWGNLVTWRSKKQPVVARSSAEAEFRALAQGTCELIWLKKLTEELKVSSMGPKKLYCDNKAAISIAHNLVHHD >EOY06412 pep chromosome:Theobroma_cacao_20110822:4:31835757:31840220:-1 gene:TCM_021138 transcript:EOY06412 gene_biotype:protein_coding transcript_biotype:protein_coding description:DsRNA-binding protein 5, putative isoform 1 MYKNQLQELAQRSCFNLPAYACIREGPDHAPRFKATVNFNGEIFESPTFCSTLRQAEHAAAEVALNVLSKKGPSKALAARVLDETGVYKNLLQETAHRAGLKLPVYTTVRSGPGHVPVFSCTVELAGMSFTGETAKTKKQAQKNAAMTAWSTLKKLSKLGASPPSPPSESGSNDEQEQLTVACYLASLKPPETNKSSRRFQHHGQGSAPIQRDVSQYGGNRSLFSLQHRNCARSQISPEVSMYQAWLEERAYHNQNHLLALSSLPTSPHRPQIVPYIHSVFQPDHRQYFLSQEPDPISLVPGISPVLYFSMHPVPVPVKSISQVTIQEIEEKPQVEEEWFSGDRDSNHWEKNYLSVASTPSPNTAINILGSLNSYSEQRLLERLEGKDEERSGRTMPNAGCSNQLESNQIEQYWVSSAFTDFGLRPQATSTDKPNLPLRNPHALDYLQSNARPQDFPVVSSATSTRGCLPGSFAAPVMVRTAGTSCPVTLRPENLNPRMPTPPPRRITASTCSRRPWLEGMKNAGVMPSASFIAPAVHIRSVVPVCSAPSAKKTPGPIQERLFPGV >EOY06411 pep chromosome:Theobroma_cacao_20110822:4:31835757:31839603:-1 gene:TCM_021138 transcript:EOY06411 gene_biotype:protein_coding transcript_biotype:protein_coding description:DsRNA-binding protein 5, putative isoform 1 MYKNQLQELAQRSCFNLPAYACIREGPDHAPRFKATVNFNGEIFESPTFCSTLRQAEHAAAEVALNVLSKKGPSKALAARVLDETGVYKNLLQETAHRAGLKLPVYTTVRSGPGHVPVFSCTVELAGMSFTGETAKTKKQAQKNAAMTAWSTLKKLSKLGASPPSPPSESGSNDEQEQLTVACYLASLKPPETNKSSRRFQHHGQGSAPIQRDVSQYGGNRSLFSLQHRNCARSQISPEVSMYQAWLEERAYHNQNHLLALSSLPTSPHRPQIVPYIHSVFQPDHRQYFLSQEPDPISLVPGISPVLYFSMHPVPVPVKSISQVTIQEIEEKPQVEEEWFSGDRDSNHWEKNYLSVASTPSPNTAINILGSLNSYSEQRLLERLEGKDEERSGRTMPNAGCSNQLESNQIEQYWVSSAFTDFGLRPQATSTDKPNLPLRNPHALDYLQSNARPQDFPVVSSATSTRGCLPGSFAAPVMVRTAGTSCPVTLRPENLNPRMPTPPPRRITASTCSRRPWLEGMKNAGVMPSASFIAPAVHIRSVVPVCSAPSAKKTPGPIQERLFPGV >EOY03933 pep chromosome:Theobroma_cacao_20110822:4:20527565:20534881:1 gene:TCM_019148 transcript:EOY03933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 81, subfamily D, polypeptide 8 MEETTILYSSLSLVFLLISLNFFFQSKKSHKNLPPSPPSRPILGHLHLLKPPIHRSYHALSQKYGPIFSLQLGSRLQVVVSSSAAAEECFTKNDIILANRPRLIRGKHLGYNYTTVSASPYGDHWRNLRRIGAIEIFSSSRLNTTISVRRDEIRRLLLKLSRDSRQHFTKVELKSMLSELTFNNIMRMVAGKRYYGDQVTNEAEAKEFWDLIAEVFKNGGAANPADFFPMLNWIGQWYEKMDKKLGQRMDVFFQKLIDEHRSSRQENTSMIAHLLSLQESEPHYYTDEILKGLMLVMILAGTDTSAVTLEWAMSNLLNHPEVLKKARAEIDSQIGQENLIDEPDVSKLHYLQSVISETLRLYPALPLLLPHMASSDCTIGGYDVPRDTIVMVNAWAIHRDPKLWDDPTSFKPERFENEKGESHKLMPFGLGRRACPGASLAQRLVGLTLGSLIQCFEWERVDGKEIDMTEGTGSTMPKAQPLEAMCKARPIVNKVVYKENV >EOY05187 pep chromosome:Theobroma_cacao_20110822:4:27526604:27528676:-1 gene:TCM_020260 transcript:EOY05187 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MAAQEDQKDIKLPSDSIPEGWVLDTMVQDDGTEVQCYLCPPTDQRFYTYEDLMRYVRYAKQAKVSIYADNFWETVEKEDGFGPIKRGGHSSNPLAGIKIRDIKGKSVVTSD >EOY04000 pep chromosome:Theobroma_cacao_20110822:4:21283888:21291812:1 gene:TCM_019247 transcript:EOY04000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTEAAQALAAFFAAIAGQAQTGQVPPVVPPATPLVPPPIQDLDATVAKDWINQVSETLSDMGLAIRSGVESNTPSHPHSRPQTRTATRVFVVTEDEARVRPGAVTGTMSLFDKDVYVLIDSGSDRSYIKAEHQKLSGTLQPLSIPEWKWEHVIMDFVLGLPQTQSGKDAIWVIVDRLTKSAHFLAIHSTYSIERLARLYIDEIVRLHGVPVSIVSDRDPRFTSRFWPKFQEALGTKLRFSTAFHPQKDGQSERTIQTLEDMLWAYVIDFIESWDKHLPLVEFAYNNSFQSSIGMAPYEALYGRKCRTPLCWDEVGERKLVNVELIDLTNDKVKVIRERLKTAQDRQKNYSDKRRKDLEFEVDDKVFLKVSPWKGVIRFAKRGKLNPRYIGPFCIIERIGPVAYRLELPPELDRIHNVFHVSMLKKYVPDPSHILETPPIELHEYLKFEVQPVRILDRKDRVLRNKSIPMVKVLWKNARMEEMTTNLVKLSILYCVKVVSPPIKARKLHPRTSRPSASKVCILDIDASAKVSPRMDLWHKPRFL >EOY03064 pep chromosome:Theobroma_cacao_20110822:4:4079463:4103262:1 gene:TCM_017488 transcript:EOY03064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 8-1 MKLSASGLGQHGHEGENKCLNSELWHACAGPLVCLPTVGSRVVYFPQGHSEQVAATTNKEVDAHIPNYPNLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPEEQKDTFLPMELGIPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDAEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTIFYNPRASPSEFVIPLSKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGSSSLHDNRDDVANGLMWLRGGSGDQGLHSLNFQSFGSFPWMQQRLDLSFPGNDHTLQYQAMLAPGLQNLGSGDPLRQQLQQSLQYVQQPGSHNLLLHLQQQQQQQQQRAVSQSVPHNMLQAQSQILSENLPSVLGQEQVGNQLEEQAQQQHNMNQSDQLQQRQPVNVPSSFLKTDFIESAKFSGSVPAAQNMLGSLCGESSANLLNFSRTGQSILAEQLPQQSWAPKSTHSQVNAFASSTSLPPVFHGKDAIIEPEIGSSDAQNSALFGGNNDSYGLLLPTTMPGFATSSSEADVPSIPLGDPSFQNPLFGCMQDSSELQSTGQVDPPTPTRTFVKVYKSGSVGRSLDISRFSSYHELREELAQMFGIEGKLEDPLRSGWQLVFVDRENDILLLGDDPWDAFVNNVWYIKILSPEDVQKMGEQRVEPFSPTPGQRMNSSGTGARDRVSGLSSVGSLEY >EOY03826 pep chromosome:Theobroma_cacao_20110822:4:19636521:19642626:-1 gene:TCM_019016 transcript:EOY03826 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 2 MKEGKAFLKSRMKWVGLVGLVLSAFSLFVHFLLARFTEDGFSEYQSSITVFSWRPIFETSDLSRTSPLYRRLWRPVGQLESLHPDANPRGYYAAGPSAQTNGYIFVRIQGGFHEIRSSICDVVAVSRFLNATLVTPEIQQTTSKKGISSQFKSFAYLYNEDQFMAALAKDVNIVKTLPKSLKSARRNKEIPVFRVPYSASPYYYLHNVLPVLIKHSVVEIVVSDGGCLQAILPPHLEEYQRLRCRVAFHALRFREDVQELATKILHRLRAPGRPFIAFDPGMTRDALAYHGCSELFQDVHTELIQHRRSWMRKRGIIKGQLSVNSAKQRLKGSCPLTPEEVGILLRAYGYSWDTIIYVSGGEVFGGQRTLIPLHGMFENVVDRTSLSTVWELSRIYGREVNLEENYPKAPPSAQVEMKLDAWKNSGPRPRPLPPPPAKPKTYNIEGWWGWVAESDNEPESTVVELRTNAHKLLWEAIDYIVSVEADVFIPGFDRDGKGHPNFASLVMGHRLYQSAASKTYRPDRKEVVRLLEEIRGHIYHANHTWLTTIRRHLRSSLIDGLIEASNKSKSSTFLSHPVPECSCLRLDSGETSSNSSSNTAHLQVQTALGVVHRCPVWMDGERSSSSKEKENEEDIDENDPSSSGLFFQHSGSQDVGGEDINLKEESQFEDQEEDGGD >EOY03825 pep chromosome:Theobroma_cacao_20110822:4:19635723:19645695:-1 gene:TCM_019016 transcript:EOY03825 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 2 MKEGKAFLKSRMKWVGLVGLVLSAFSLFVHFLLARFTEDGFSEYQSSITVFSWRPIFETSDLSRTSPLYRRLWRPVGQLESLHPDANPRGYYAGPSAQTNGYIFVRIQGGFHEIRSSICDVVAVSRFLNATLVTPEIQQTTSKKGISSQFKSFAYLYNEDQFMAALAKDVNIVKTLPKSLKSARRNKEIPVFRVPYSASPYYYLHNVLPVLIKHSVVEIVVSDGGCLQAILPPHLEEYQRLRCRVAFHALRFREDVQELATKILHRLRAPGRPFIAFDPGMTRDALAYHGCSELFQDVHTELIQHRRSWMRKRGIIKGQLSVNSAKQRLKGSCPLTPEEVGILLRAYGYSWDTIIYVSGGEVFGGQRTLIPLHGMFENVVDRTSLSTVWELSRIYGREVNLEENYPKAPPSAQVEMKLDAWKNSGPRPRPLPPPPAKPKTYNIEGWWGWVAESDNEPESTVVELRTNAHKLLWEAIDYIVSVEADVFIPGFDRDGKGHPNFASLVMGHRLYQSAASKTYRPDRKEVVRLLEEIRGHIYHANHTWLTTIRRHLRSSLIDGLIEASNKSKSSTFLSHPVPECSCLRLDSGETSSNSSSNTAHLQVQTALGVVHRCPVWMDGERSSSSKEKENEEDIDENDPSSSGLFFQHSGSQDVGGEDINLKEESQFEDQEEDGGD >EOY03868 pep chromosome:Theobroma_cacao_20110822:4:20068442:20069739:1 gene:TCM_019076 transcript:EOY03868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMQRALPASTTNQAIWVRKVLHDLTIPQLKATDLWLDNKSVIAIAKNLVFHGKTKHISVKYHALRDADKKGEICIHYCPFEDQLADIMTKALKKQMFKFQRNKLMVHQASIMGEC >EOY03557 pep chromosome:Theobroma_cacao_20110822:4:17133091:17136622:-1 gene:TCM_018671 transcript:EOY03557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF3598) [Source:Projected from Arabidopsis thaliana (AT3G29185) TAIR;Acc:AT3G29185] MASICYSSISVLFPPNSSNPNKPFPFPPKLPYPNPFPSLRTSKIRAANSSSVQEEHYMSIDNLHRFVDLNMGKWTGSFHQFDGNGNLLQKINTRLSASSYGEDELISLIQTLYIQQPQSSTSVSGHDEEPEWAEYKIKETNMFTVDKYQQVQVRILPFLGKWEGHSVTKRSGVYGSTITEADTVALLQMDDKNKLVQDVTSMGGGRDVRTNVHWTGTISDNLVEFEGAYQLTLLPGGMYMGYPSDIAKSVAESKSFHLEFCWLEAPGKRQRLVRTYDAEGLAVSSTYFSEVTI >EOY03556 pep chromosome:Theobroma_cacao_20110822:4:17134026:17137160:-1 gene:TCM_018671 transcript:EOY03556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF3598) [Source:Projected from Arabidopsis thaliana (AT3G29185) TAIR;Acc:AT3G29185] MASICYSSISVLFPPNSSNPNKPFPFPPKLPYPNPFPSLRTSKIRAANSSSVQEEHYMSIDNLHRFVDLNMGKWTGSFHQFDGNGNLLQKINTRLSASSYGEDELISLIQTLYIQQPQSSTSVSGHDEEPEWAEYKIKETNMFTVDKYQQIGFFPKERAYSLRYLTAGMLETVLRQGVLGEDDTGEESPKNLKLPSRRPSIVCENCLYSQEKDRRARAFHIMDPRGFIDMLVIFLEDRGDGLLFHPSLGNMMVRILPFLGKWEGHSVTKRSGVYGSTITEADTVALLQMDDKNKLVQVCACLSKCINQNTIVVKG >EOY03555 pep chromosome:Theobroma_cacao_20110822:4:17132894:17137202:-1 gene:TCM_018671 transcript:EOY03555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF3598) [Source:Projected from Arabidopsis thaliana (AT3G29185) TAIR;Acc:AT3G29185] MLVTRKQNFINTKIYLQRKKNSYKIVLEQNQALHCLSLFRPMASICYSSISVLFPPNSSNPNKPFPFPPKLPYPNPFPSLRTSKIRAANSSSVQEEHYMSIDNLHRFVDLNMGKWTGSFHQFDGNGNLLQKINTRLSASSYGEDELISLIQTLYIQQPQSSTSVSGHDEEPEWAEYKIKETNMFTVDKYQQIGFFPKERAYSLRYLTAGMLETVLRQGVLGEDDTGEESPKNLKLPSRRPSIVCENCLYSQEKDRRARAFHIMDPRGFIDMLVIFLEDRGDGLLFHPSLGNMMDVQVRILPFLGKWEGHSVTKRSGVYGSTITEADTVALLQMDDKNKLVQDVTSMGGGRDVRTNVHWTGTISDNLVEFEGAYQLTLLPGGMYMGYPSDIAKSVAESKSFHLEFCWLEAPGKRQRLVRTYDAEGLAVSSTYFSEVTI >EOY02923 pep chromosome:Theobroma_cacao_20110822:4:3038049:3039671:1 gene:TCM_017325 transcript:EOY02923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase interacting family protein, putative MENSAASSSPACPQLSQWLQTTLSDLDEKMKAMMTLLEEEDKSSCLKADIAHYRKPGLVQMLGELNRSYCSLAEKYDQLRSESHNVRHRSSPLSSNSKQIQQASNKKRVVGASDDLILEASDSRPESVVDDPDFKHHRSSFEYLNKLADDLILAEQCNMSTIRKPEQTNGEFSDEENVVSKINGFQRLKPKAAEFQKGSSESENTWFQLKFQFTKLMEENLRQQAELFRRNEEKRETINELQLQLEHLKSENRALQKCLHSSKIGVKRNHSQTSRSRGLFLGKFFQGGCS >EOY04347 pep chromosome:Theobroma_cacao_20110822:4:24010873:24015281:-1 gene:TCM_019629 transcript:EOY04347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein ftsH isoform 3 MEIALCPFHSSVSSPCWQALKSRFRLVSNGRRNEVPLLGFQVCCKAHNRLLMRGNNGDRKTLLGKRESSNVRKRFSLRLRPRLRLLTIRMKGVSVRSTLNDIGMFLRKNIRRVTLCSTISLALAMCYLFLKLTALPSPKIVPYSELITSLQNSSVTKVLLEEGSRRIYFNMDSKSAEDTQNSEEESLAVNESIENVTDMAAQDDGVEGRRLQKQGLFKKVSRPQSSTSEWQYLTRKIDHDEKFLLSLMREKGTTYSSAPQSVLMSMRSTLITILSLWVPLTPLMWLLYRQLSAANSPARKRRPNNQFIGFDDVEGVDTAKAELMEIVSCLQGSINYQKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFSVSASEFVELFVGRGAARIRDLFNVARKSAPSIIFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESDMKVVVIGATNRPEALDPALCRPGRFSRKVLVGEPDEEGRRKILAVHLRGVPLEEDKQLIADLVASLTPGFVGADLANIVNEAALLAARRGAETLTREDIMEAVERAKFGINGRQPSTIGKELGKLFLWMPSLMGRSDTRQDGLQGPLGYQTLS >EOY04346 pep chromosome:Theobroma_cacao_20110822:4:24010906:24015396:-1 gene:TCM_019629 transcript:EOY04346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein ftsH isoform 3 MACFTVACNNGFFVSKENSVIASGKTKSFRRYNSFSSSSFCFHSLRIYNYNHSKTQNLFFSGDNRFRLVSNGRRNEVPLLGFQVCCKAHNRLLMRGNNGDRKTLLGKRESSNVRKRFSLRLRPRLRLLTIRMKGVSVRSTLNDIGMFLRKNIRRVTLCSTISLALAMCYLFLKLTALPSPKIVPYSELITSLQNSSVTKVLLEEGSRRIYFNMDSKSAEDTQNSEEESLAVNESIENVTDMAAQDDGVEGRRLQKQGLFKKVSRPQSSTSEWQYLTRKIDHDEKFLLSLMREKGTTYSSAPQSVLMSMRSTLITILSLWVPLTPLMWLLYRQLSAANSPARKRRPNNQFIGFDDVEGVDTAKAELMEIVSCLQGSINYQKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFSVSASEFVELFVGRGAARIRDLFNVARKSAPSIIFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESDMKVVVIGATNRPEALDPALCRPGRFSRKVLVGEPDEEGRRKILAVHLRGVPLEEDKQLIADLVASLTPGFVGADLANIVNEAALLAARRGAETLTREDIMEAVERAKFGINGRQPSTIGKELGKLFLWMPSLMGRSDTRQDGLQGPLGYQTLS >EOY04348 pep chromosome:Theobroma_cacao_20110822:4:24012351:24015281:-1 gene:TCM_019629 transcript:EOY04348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein ftsH isoform 3 MACFTVACNNGFFVSKENSVIASGKTKSFRRYNSFSSSSFCFHSLRIYNYNHSKTQNLFFSGDNRFRLVSNGRRNEVPLLGFQVCCKAHNRLLMRGNNGDRKTLLGKRESSNVRKRFSLRLRPRLRLLTIRMKGVSVRSTLNDIGMFLRKNIRRVTLCSTISLALAMCYLFLKLTALPSPKIVPYSELITSLQNSSVTKVLLEEGSRRIYFNMDSKSAEDTQNSEEESLAVNESIENVTDMAAQDDGVEGRRLQKQGLFKKVSRPQSSTSEWQYLTRKIDHDEKFLLSLMREKGTTYSSAPQSVLMSMRSTLITILSLWVPLTPLMWLLYRQLSAANSPARKRRPNNQFIGFDDVEGVDTAKAELMEIVSCLQGSINYQKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFSVSASEFVELFVGRGAARIRDLFNVARKSAPSIIFIDELDAVGGKRGRSFNDERDQTLNQAL >EOY06132 pep chromosome:Theobroma_cacao_20110822:4:31006045:31009414:1 gene:TCM_020951 transcript:EOY06132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMQKPMTDLLVSEHPAQSLSAYLDIFRVDFDFISIPCPDDAFKYFKILIVVENFAFHCLDACFKPYRDLCNLQTNLEFAARSPLTEYP >EOY05717 pep chromosome:Theobroma_cacao_20110822:4:29581558:29584898:-1 gene:TCM_020648 transcript:EOY05717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MAPHSLSSISILPSQNLILSFLSSSPLPSHHQNRRRKPIVPVAVSHRHRLRPKHRRKRNDMSVTIPLTSREKEPFLDSRNSAGNREMLAMEVEQETRTSTSSRKKNRYFGGGGRLKCFGVDLSPDNVAVAMVYFVQGVLGLSRLAVSFYLKDDLHLDPAETAVISGFSALPWLVKPLYGFISDSIPLFGYRRRSYLVLSGLLGALSWSLMATFVDSKYSAAFCILLGSLSVAFSDVVVDSMVVERARGESQSMSGSLQSLCWGSSAFGGIVSSYFSGSLVDTYGVRFVFGVTALLPLITSAVAVLVKEQRLLGPARVQNVLLGNPSFLESSRESIIQLWNAVRQPNVFLPTVFIFLWQATPQSDSALFYFTISRTCQACYFSCFIGWSWTV >EOY05715 pep chromosome:Theobroma_cacao_20110822:4:29580484:29584898:-1 gene:TCM_020648 transcript:EOY05715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MAPHSLSSISILPSQNLILSFLSSSPLPSHHQNRRRKPIVPVAVSHRHRLRPKHRRKRNDMSVTIPLTSREKEPFLDSRNSAGNREMLAMEVEQETRTSTSSRKKNRYFGGGGRLKCFGVDLSPDNVAVAMVYFVQGVLGLSRLAVSFYLKDDLHLDPAETAVISGFSALPWLVKPLYGFISDSIPLFGYRRRSYLVLSGLLGALSWSLMATFVDSKYSAAFCILLGSLSVAFSDVVVDSMVVERARGESQSMSGSLQSLCWGSSAFGGIVSSYFSGSLVDTYGVRFVFGVTALLPLITSAVAVLVKEQRLLGPARVQNVLLGNPSFLESSRESIIQLWNAVRQPNVFLPTVFIFLWQATPQSDSALFYFTTNKLGFTPEFLGRVKLVTSVASLVGVGLYNGFLKNVPLRKIFLATTIIGTALGMTQVFLVTGLNRQFGVSDEWFAIGDSLILTVLGQASFMPVLVLAAKLCPEGMEATLFATLMSISNGGSVIGGLIGAGLTQVFGVTKDKFDNLATLIILCNLSSLLPLPLLGLLPGDDSDTVSEGNVDIEMKSN >EOY05718 pep chromosome:Theobroma_cacao_20110822:4:29581886:29584898:-1 gene:TCM_020648 transcript:EOY05718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MAPHSLSSISILPSQNLILSFLSSSPLPSHHQNRRRKPIVPVAVSHRHRLRPKHRRKRNDMSVTIPLTSREKEPFLDSRNSAGNREMLAMEVEQETRTSTSSRKKNRYFGGGGRLKCFGVDLSPDNVAVAMVYFVQGVLGLSRLAVSFYLKDDLHLDPAETAVISGFSALPWLVKPLYGFISDSIPLFGYRRRSYLVLSGLLGALSWSLMATFVDSKYSAAFCILLGSLSVAFSDVVVDSMVVERARGESQSMSGSLQSLCWGSSAFGGIVSSYFSGSLVDTYGVRFVFGVTALLPLITSAVAVLVKEQRLLGPARVQNVLLGNPSFLESSRESIIQLWNAVRQPNVFLPTVFIFLWQATPQSDSALFYFTFFSFQHK >EOY05716 pep chromosome:Theobroma_cacao_20110822:4:29580500:29585102:-1 gene:TCM_020648 transcript:EOY05716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MLAMEVEQETRTSTSSRKKNRYFGGGGRLKCFGVDLSPDNVAVAMVYFVQGVLGLSRLAVSFYLKDDLHLDPAETAVISGFSALPWLVKPLYGFISDSIPLFGYRRRSYLVLSGLLGALSWSLMATFVDSKYSAAFCILLGSLSVAFSDVVVDSMVVERARGESQSMSGSLQSLCWGSSAFGGIVSSYFSGSLVDTYGVRFVFGVTALLPLITSAVAVLVKEQRLLGPARVQNVLLGNPSFLESSRESIIQLWNAVRQPNVFLPTVFIFLWQATPQSDSALFYFTTNKLGFTPEFLGRVKLVTSVASLVGVGLYNGFLKNVPLRKIFLATTIIGTALGMTQVFLVTGLNRQFGVSDEWFAIGDSLILTVLGQASFMPVLVLAAKLCPEGMEATLFATLMSISNGGSVIGGLIGAGLTQVFGVTKDKFDNLATLIILCNLSSLLPLPLLGLLPGDDSDTVSEGNVDIEMKSN >EOY03292 pep chromosome:Theobroma_cacao_20110822:4:11065177:11071430:1 gene:TCM_018126 transcript:EOY03292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDSDKTVALKVAYAEMILNTAKEAAARVMVSEKRAALFQHELNCSKEESLRLLLRLKQMIDAKTTEAEITSSNQKRKIDELEAQLHEAEDIIIDLRVELNCLRQKLERAKNTRVQPLSGQITSEDASSLKNPTPEPNVQSPLSSGYVTVTDSDMGNKILNQAYTDDRCCNEASQRDQSNVSHVENYYPRNSDLATILMTSKKPELSRNGCTQRICALERNLLDDKFTPGGVDGQHSLLRKEFIMESSAGDKRECLQSFIKTKNVKTMVFSGEERKNSVKVRTLRRRRKTLFRRIKAVSRRFRPGQHMKPCQSSSVLSYCRTYTINGDFKPYEGSRTVSSIRVDNTDRSENSRELEEELKHNSSYCEDIVATYNGKAKSSNDIATSFQSLPDQLIEPCQSSTVLSCSQNLSSVCCNLKSNEDQLKKAETETKIKPLACLEPGLTLIKCNSDPLSGSKNFTVSVRALNKSRFFPRAADNDIKLADESVLVRQEGNSGEISTLSPSEMSTNKVNVSFKHSDLKDAKASNVTYESSTKVENINDRLLKYTFQRKRKKESLSDLDEKTSIGSCLKRRAEEKENSLQETAKSNLLNESSRGNHRLAQVPHQLISVSSKPWC >EOY02331 pep chromosome:Theobroma_cacao_20110822:4:453875:456299:1 gene:TCM_016843 transcript:EOY02331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MYGSSQLKLNQHVLGILEKCNHLNHLKQLQSFLITQGHSRTQFYIFKLVRFCTLKIFNFCYARLLFDHLYAPNIYLYTAMITAYASHPNHHTSAFALYRHMLCKGKPTPNHFIYPHVLKSAPEVLESHGTQLIHSQIFKSGFGQYPVVQTALVDSYARTGSSTGIARDLFDEMAERNVVSWTAMVSGYMRVGDVGKALLLFEEMPNRDVPSWNAVIAGCTQNGLFSEAISLLRRMVMGEKQGVHRPNQVTVVCSLSACGHNVMFQLGKSLHGYVYRNVVGDDCLVANALIDMYGKCGSLETARRIFEMSSKKNLTSWNSIINCFALHGQSDRAISLFEEMIKCRAEGVRPDAVTFIGLLNACTHGGLVEKGRAYFELMTSSYNIEPRIEHYGCLIDLLGRAGQFDEALEVINGMKMEPDEVVWGSLLNGCKIYGHTDLAEFAVKKLIEIDPNNGGYGVMLANLYGELGKWDEVRKVRKKLKEQNSYKIPGCSWIEVDSQVHQFYSVDKTHPRTDEIYNILESVVGLY >EOY03082 pep chromosome:Theobroma_cacao_20110822:4:4441388:4446111:1 gene:TCM_017516 transcript:EOY03082 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein, putative isoform 1 MEAKGKEVRNLDHLLSQFAYKSGHSYEKVLKESEIVSGQNGHRMRADVQVPKVSPYFQTSGEKQEMLSGNCQPKVNLLSQVVHSNKKVLKKGDVNKQNGKRRRADAQVLKVSPYFQTSGEKQEMLSGNCKPKLNLISQVVHSYKKVLKKGDVNKQNGKRRRADAQVLKVSPYLQRSGEKQDMESGTSKPKHKVVKASPYFLKNKDNILGGMKKAMKPAGVKPVLSASQKRDEAYQRKTPNNTWIPPRSNAPLLQEDHTHDPWRVLLICMLLNKTSGNQARNVLSDLFTLCPDAKTATEVATGEIEKAIKPLGLQRKRAEMIQRMSQEYLWKEWTHVTELHGVGKYAADAYAIFCTGKGDRVTPSDHMLNYYWNFLYGPKDTS >EOY03083 pep chromosome:Theobroma_cacao_20110822:4:4441388:4446111:1 gene:TCM_017516 transcript:EOY03083 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein, putative isoform 1 MEAKGKEVRNLDHLLSQFAYKSGHSYEKVLKESEIVSGQNGHRMRADVQVPKVSPYFQTSGEKQEMLSGNCQPKVNLLSQVVHSNKKVLKKGDVNKQNGKRRRADAQVLKVSPYFQTSGEKQEMLSGNCKPKLNLISQVVHSYKKVLKKGDVNKQNGKRRRADAQVLKVSPYLQRSGEKQDMESGTSKPKHKVVKASPYFLKNKDNILGGMKKAMKPAGVKPVLSASQKRDEAYQRKTPNNTWIPPRSNAPLLQEDHTHDPWRVLLICMLLNKTSGNQARNVLSDLFTLCPDAKTATEVATGEIEKAIKPLGLQRKRAEMIQRMSQEYLWKEWTHVTELHGVGKYAADAYAIFCTGKGDRVTPSDHMLNYYWNFLYGPKDTS >EOY05644 pep chromosome:Theobroma_cacao_20110822:4:29277274:29282374:-1 gene:TCM_020587 transcript:EOY05644 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family isoform 1 MGSGKGATSSSQQMDTSIEDLRERHQQELEHLTLTTQPFKTLRLFILAIFQYFKRSVLYLLAKGGWLMLLSTLIAAFGILLVTIEGPHEKHVEEVSRYVRFGLWWTALGVASSIGLGSGLHTFVLYLGPHIALFTIKAMQCGRVDLKSAPYDTIQLKRGPSWLDKSCGEFGPPLYGSWVPLSSILRQVQMEAILWGIGTALGELPPYFISRAARVSGSKIDAMEELDASSIEDNGFIATHLKQIKRWLLSHSQHLNFFTILVLASVPNPLFDLAGIMCGQFGIPFWKFFLATLFGKAIIKTHIQTVFIISVCNNQLLDWIENELIWVLSLIPGFDSFLPTLTAKLHAVKEKYLTAPRPVPSNSKEIVNATAQRYLKKEQDKQLAETLSASTHSD >EOY05643 pep chromosome:Theobroma_cacao_20110822:4:29276859:29282182:-1 gene:TCM_020587 transcript:EOY05643 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family isoform 1 MGSGKGATSSSQQMDTSIEDLRERHQQELEHLTLTTQPFKTLRLFILAIFQYFKRSVLYLLAKGGWLMLLSTLIAAFGILLVTIEGPHEKHVEEVSRYVRFGLWWTALGVASSIGLGSGLHTFVLYLGPHIALFTIKAMQCGRVDLKSAPYDTIQLKRGPSWLDKSCGEFGPPLYGSWVPLSSILRQVQMEAILWGIGTALGELPPYFISRAARVSGSKIDAMEELDASSIEDNGFIATHLKQIKRWLLSHSQHLNFFTILVLASVPNPLFDLAGIMCGQFGIPFWKFFLATLFGKAIIKTHIQTVFIISVCNNQLLDWIENELIWVLSLIPGFDSFLPTLTAKLHAVKEKYLTAPRPVPSNSKEKWDFSFAFIWNTVVWLMLMNFFVKIVNATAQRYLKKEQDKQLAETLSASTHSD >EOY05645 pep chromosome:Theobroma_cacao_20110822:4:29277274:29282374:-1 gene:TCM_020587 transcript:EOY05645 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family isoform 1 MGSGKGATSSSQQMDTSIEDLRERHQQELEHLTLTTQPFKTLRLFILAIFQYFKRSVLYLLAKGGWLMLLSTLIAAFGILLVTIEGPHEKHVEEVSRYVRFGLWWTALGVASSIGLGSGLHTFVLYLGPHIALFTIKAMQCGRVDLKSAPYDTIQLKRGPSWLDKSCGEFGPPLYGSWVPLSSILRQVQMEAILWGIGTALGELPPYFISRAARVSGSKIDAMEELDASSIEDNGFIATHLKQIKRWLLSHSQHLNFFTILVLASVPNPLFDLAGIMCGQFGIPFWKFFLATLFGKAIIKTHIQTVFIISVCNNQLLDWIENELIWVLSLIPGFDSFLPTLTAKLHAVKEKYLTAPRPVPSNREVGFFICFYLEHCCVAYAHELLCQDC >EOY06763 pep chromosome:Theobroma_cacao_20110822:4:32876259:32880578:1 gene:TCM_021395 transcript:EOY06763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEKENQMSRKEHPRSSSDLANRRSKDSPAKKTSKKTAQKSLTEAFASPVKDVLPEISEESFDFSSISAISDSNYNGETTKSFVMASYPSLSTSTETFTPSELTPCSKISTVNRDEQIDFSKIASAEVEIVVNLIKKARLQALNSVDMENKSKKVLDELIKFIINEFYSLPQERDKIPHLVSRNAYVGFLCFLLWIVVVLLFSFYLFYYSRLACSFTGLPPT >EOY02595 pep chromosome:Theobroma_cacao_20110822:4:1425197:1427038:-1 gene:TCM_017025 transcript:EOY02595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAGVVLKLLICLFLLGQYQISAARPVAGMMGLKDQHQEMNGKQVNGQEPVPQSQLWTEDYSLPRRRRPVHNKFDP >EOY04843 pep chromosome:Theobroma_cacao_20110822:4:26176064:26180008:1 gene:TCM_020013 transcript:EOY04843 gene_biotype:protein_coding transcript_biotype:protein_coding description:COL domain class transcription factor isoform 1 MKIQCDVCERAPATVICCADEAALCAKCDVEVHAANKLASKHQRLLLQCLSNKLPPCDICQEKAAFIFCVEDRALFCQDCDEPIHSAGSLSANHQRFLATGIRVALSSSCNKNTEKSGLEPPNKSAPQTSMKMPVQQQSNFTSSWAVDDLLQFSDIESPEKQKEQLELGELEWLADIGLFGEQLPQEALAPAEVPQLPIPQSANFNSCRPTRYSMPLKKPRIEIPEDDDDEFFTVPDLG >EOY04842 pep chromosome:Theobroma_cacao_20110822:4:26176378:26179566:1 gene:TCM_020013 transcript:EOY04842 gene_biotype:protein_coding transcript_biotype:protein_coding description:COL domain class transcription factor isoform 1 MKIQCDVCERAPATVICCADEAALCAKCDVEVHAANKLASKHQRLLLQCLSNKLPPCDICQEKAAFIFCVEDRALFCQDCDEPIHSAGSLSANHQRFLATGIRVALSSSCNKNTEKSGLEPPNKSAPQTSMKMPVQQQSNFTSSWAVDDLLQFSDIESPEKKEQLELGELEWLADIGLFGEQLPQEALAPAEVPQLPIPQSANFNSCRPTRYSMPLKKPRIEIPEDDDDEFFTVPDLG >EOY03438 pep chromosome:Theobroma_cacao_20110822:4:15645030:15651231:1 gene:TCM_018503 transcript:EOY03438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative isoform 1 MDPEKEKRRVDDSSNEGNFQNLTPIKAALFNNETALINAVTQDDNTRFLLHSRQLIHDSDDDPSNRRSVALKLLFLCCYFDAVECATSLLNGDVETDFLPVVNEVDTATKMTALHSAAEAQAARCVELLLNKRARTELRSEGGRGLLALEMALSSNRMDVIWNPDDHSVEELVVLLSEKDLTAVKLLSEKTKAIGEVAYSIAMGGKIVALAALLIVAAEKVNESIIVLRDAESGSKEKDTVYQCVIREALLLGRRDTSPLRAAKRHCTLSKVESDKKRKLLLCEIELLQLFGAIAENVCGDKKMASPLIRAIKARDEAVVELLLKTNMDVNDADAEGNTALHWSLRMSWSSSSQQIKIIWLLLKHGARVNQRNKLELTAFHTAAANGNTQALQVLLLEDPDGINYKTIMKETPLFFAVKNDHIDCAELLQRWGANSEVLNLRRERPIDLAKSQDMRFMLNPTNITLMNRNPPVQQIYTPCFQGDEIIFDTCEALLTTADEGSCTERIGTSVKTEICKYFESSGGCARGSSCFYAHGEEKLRQVKQGMHLIHSSAVQKLKRKIFVGGLNPLLDSDSLGKVFEDQFGSVEDAHVARIRTGDEVHSRGFGFVTFKHEKSVSKAVQAHYITIMGKQVEIKSAVGKWDESLKPSLQQHYQEQNDQYQPQLERSSEKTAQEMPRRKTLEEAKADQISWVNKLLHDQPKTSSESQVPVGPISTNHNTPVWLRTFKKWLPSFLEEVSKKAKEGDYPLSSLKADFRAAFGLELDHASLGYHKLSDFMRSFPDLCRMKVTPVGGCGSPNHMVLMPHLPRPGWKLLQPLTMFGPPCCAAQPDENADIDSKYVQDLVSDSCENVSISSGEVKPYQNIPQENSAVKDSSPVVHSKFLQFLKSDFRLTQPKLYKERKSQTGNANDERGTHGFSDTKFSEIGRHLVLEALQRKRNNTSMFFLREFDFYHRFKEELSLMHRRRRQ >EOY03436 pep chromosome:Theobroma_cacao_20110822:4:15645032:15651231:1 gene:TCM_018503 transcript:EOY03436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative isoform 1 MDPEKEKRRVDDSSNEGNFQNLTPIKAALFNNETALINAVTQDDNTRFLLHSRQLIHDSDDDPSNRRSVALKLLFLCCYFDAVECATSLLNGDVETDFLPVVNEVDTATKMTALHSAAEAQAARCVELLLNKRARTELRSEGGRGLLALEMALSSNRMDVIWNPDDHSVEELVVLLSEKDLTAVKLLSEKTKAIGEVAYSIAMGGKIVALAALLIVAAEKVNESIIVLRDAESGSKEKDTVYQCVIREALLLGRRDTSPLRAAKRHCTLSKVESDKKRKLLLCEIELLQLFGAIAENVCGDKKMASPLIRAIKARDEAVVELLLKTNMDVNDADAEGNTALHWSLRMSWSSSSQQIKIIWLLLKHGARVNQRNKLELTAFHTAAANGNTQALQVLLLEDPDGINYKTIMKETPLFFAVKNDHIDCAELLQRWGANSEVLNLRRERPIDLAKSQDMRFMLNPTNITLMNRNPPVQQIYTPCFQGDEIIFDTCEALLTTADEGSCTERIGTSVKTEICKYFESSGGCARGSSCFYAHGEEKLRQVKQGMHLIHSSAVQKLKRKIFVGGLNPLLDSDSLGKVFEDQFGSVEDAHVARIRTGDEVHSRGFGFVTFKHEKSVSKAVQAHYITIMGKQVEIKSAVGKWDESLKPSLQQHYQEQNDQYQPQLERSSEKTAQEMPRRKTLEEAKADQISWVNKLLHDQPKTSSESQVPVGPISTNHNTPVWLRTFKKWLPSFLEEVSKKAKEGDYPLSSLKADFRAAFGLELDHASLGYHKLSDFMRSFPDLCRMKVTPVGGCGSPNHMVLMPHLPRPGWKLLQPLTMFGPPCCAAQPDENADIDSKYVQDLVSDSCENVSISSGEVKPYQNIPQENSAVKDSSPVVHSKFLQFLKSDFRLTQPKLYKERKSQTGNANDERGTHGFSDTKFSEIGRHLVLEALQRKRNNTSMFFLREFDFYHNYKASIKQGKCLWCNKPNLLWANFPCQHLLWCGECRIEAARAGGDFDHRCVVCDVKVQKFILPTLDRYSHSLLHGKILKHEEFPPFDPSHIRNASKKNYL >EOY03437 pep chromosome:Theobroma_cacao_20110822:4:15645032:15651231:1 gene:TCM_018503 transcript:EOY03437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative isoform 1 MDPEKEKRRVDDSSNEGNFQNLTPIKAALFNNETALINAVTQDDNTRFLLHSRQLIHDSDDDPSNRRSVALKLLFLCCYFDAVECATSLLNGDVETDFLPVVNEVDTATKMTALHSAAEAQAARCVELLLNKRARTELRSEGGRGLLALEMALSSNSRMDVIWNPDDHSVEELVVLLSEKDLTAVKLLSEKTKAIGEVAYSIAMGGKIVALAALLIVAAEKVNESIIVLRDAESGSKEKDTVYQCVIREALLLGRRDTSPLRAAKRHCTLSKVESDKKRKLLLCEIELLQLFGAIAENVCGDKKMASPLIRAIKARDEAVVELLLKTNMDVNDADAEGNTALHWSLRMSWSSSSQQIKIIWLLLKHGARVNQRNKLELTAFHTAAANGNTQALQVLLLEDPDGINYKTIMKETPLFFAVKNDHIDCAELLQRWGANSEVLNLRRERPIDLAKSQDMRFMLNPTNITLMNRNPPVQQIYTPCFQGDEIIFDTCEALLTTADEGSCTERIGTSVKTEICKYFESSGGCARGSSCFYAHGEEKLRQVKQGMHLIHSSAVQKLKRKIFVGGLNPLLDSDSLGKVFEDQFGSVEDAHVARIRTGDEVHSRGFGFVTFKHEKSVSKAVQAHYITIMGKQVEIKSAVGKWDESLKPSLQQHYQEQNDQYQPQLERSSEKTAQEMPRRKTLEEAKADQISWVNKLLHDQPKTSSESQVPVGPISTNHNTPVWLRTFKKWLPSFLEEVSKKAKEGDYPLSSLKADFRAAFGLELDHASLGYHKLSDFMRSFPDLCRMKVTPVGGCGSPNHMVLMPHLPRPGWKLLQPLTMFGPPCCAAQPDENADIDSKYVQDLVSDSCENVSISSGEVKPYQNIPQENSAVKDSSPVVHSKFLQFLKSDFRLTQPKLYKERKSQTGNANDERGTHGFSDTKFSEIGRHLVLEALQRKRNNTSMFFLREFDFYHNYKASIKQGKCLWCNKPNLLWANFPCQHLLWCGECRIEAARAGGDFDHRCVVCDVKVQKFILPTLDRYSHSLLHGKILKHEEFPPFDPSHIRNASKKNYL >EOY05073 pep chromosome:Theobroma_cacao_20110822:4:27076421:27078225:1 gene:TCM_020172 transcript:EOY05073 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase A5E isoform 2 MSDDEAVEEYLFKIVIIGDSAVGKSNLLTRYARNEFNAHSKATIGVEFQTQSVEIDGKDVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRATFDNVARWLDELNLARMLVGNKCDLENIREVTLEEGKSLAEAEGLFFIETSALDSTNVRTAFEIVIREIYNNVSRRVLNSDSYKAELTVNRVSLVNDDGLRQTQTKNSCCS >EOY05072 pep chromosome:Theobroma_cacao_20110822:4:27076405:27078087:1 gene:TCM_020172 transcript:EOY05072 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase A5E isoform 2 MSDDEAVEEYLFKIVIIGDSAVGKSNLLTRYARNEFNAHSKATIGVEFQTQSVEIDGKDVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRATFDNVARWLDELNSHSDTTVARMLVGNKCDLENIREVTLEEGKSLAEAEGLFFIETSALDSTNVRTAFEIVIREIYNNVSRRVLNSDSYKAELTVNRVSLVNDDGLRQTQTKNSCCS >EOY03947 pep chromosome:Theobroma_cacao_20110822:4:20589273:20592508:-1 gene:TCM_019159 transcript:EOY03947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydroascorbate reductase 1 isoform 3 MAATSTTPLEVCVKASVTTPNKLGDCPFCQRVLLTFEEKHLPYDMKLVDLANKPEWFLQISPEGKVPVAKLDEKWVPDSDVITQSLEEKYPDPPLVTPPEKASVGSKIFSTFIGFLKSKDPTDGTEQALLNELSSFNDYIKENKISAADLSLGPKLYHLEIALGHYKKWSVPDTLPYVKSYMETIFSMDSFVKTRASPDDVIAGWRPKVMG >EOY03946 pep chromosome:Theobroma_cacao_20110822:4:20589256:20592683:-1 gene:TCM_019159 transcript:EOY03946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydroascorbate reductase 1 isoform 3 MMSTASALSCSLKHHLAFGLRFPQNHALCRPNGARITTSRSLAVTMAATSTTPLEVCVKASVTTPNKLGDCPFCQRVLLTFEEKHLPYDMKLVDLANKPEWFLQISPEGKVPVAKLDEKWVPDSDVITQSLEEKYPDPPLVTPPEKASVGSKIFSTFIGFLKSKDPTDGTEQALLNELSSFNDYIKENISAADLSLGPKLYHLEIALGHYKKWSVPDTLPYVKSYMETIFSMDSFVKTRASPDDVIAGWRPKVMG >EOY03945 pep chromosome:Theobroma_cacao_20110822:4:20589246:20592606:-1 gene:TCM_019159 transcript:EOY03945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydroascorbate reductase 1 isoform 3 MMSTASALSCSLKHHLAFGLRFPQNHALCRPNGARITTSRSLAVTMAATSTTPLEVCVKASVTTPNKLGDCPFCQRVLLTFEEKHLPYDMKLVDLANKPEWFLQISPEGKVPVAKLDEKWVPDSDVITQSLEEKYPDPPLVTPPEKASVGSKIFSTFIGFLKSKDPTDGTEQALLNELSSFNDYIKENGPFINGEKISAADLSLGPKLYHLEIALGHYKKWSVPDTLPYVKSYMETIFSMDSFVKTRASPDDVIAGWRPKVMG >EOY05058 pep chromosome:Theobroma_cacao_20110822:4:26994647:27031525:-1 gene:TCM_020161 transcript:EOY05058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTEPSKCAFPEYNKYSKYKYCHMLCVNAPGKSCSPCPARYQYNHTEDVCKPKEYNYSSPTVLAKKTQAGAIIIGAY >EOY03665 pep chromosome:Theobroma_cacao_20110822:4:17691959:17701221:-1 gene:TCM_018754 transcript:EOY03665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVNRWGRICARMFHKDFKIFERKFESLDKAEDGIGKKRRAGDLALLWRKGVIVDVKLYFKSHIDAKIMMRGIRWRMIGFYGALMVSNK >EOY04892 pep chromosome:Theobroma_cacao_20110822:4:26353980:26359472:-1 gene:TCM_020048 transcript:EOY04892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSKSKSRLILSWVFFQQLLVQFSFTISANRKEIGFPERRICRTTVQGRYLLSDDNGYVCDALSLDPESRCCPERGDKFSCHGCNILSQCCNSYEFCVSCCLHPAQIQKEQVLKLKIAKPATAGAYLSVFDFCAGRCRHSSESVVHENAYVSDFHHCFSLPSNSTGSSVTPVEARLHGINVIIGRQGESCDSVCRSNGQSCVLNKLLVLNQCDIIQKYMSCKGACLASVGADQPAEVVYDAPKHLNPGACLYTRTQSMLSCDGSHLHTKRLCPCA >EOY04894 pep chromosome:Theobroma_cacao_20110822:4:26354355:26359337:-1 gene:TCM_020048 transcript:EOY04894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MCVMLSHWIQSPVAVLKEETNSLIQKEQVLKLKIAKPATAGAYLSVFDFCAGRCRHSSESVVHENAYVSDFHHCFSLPSNSTGSSVTPVEARLHGINVIIGRQGESCDSVCRSNGQSCVLNKLLVLNQCDIIQKYMSCKGACLASVGADQPAEVVYDAPKHLNPGACLYTRTQSMLSCDGSHLHTKRLCPCA >EOY04893 pep chromosome:Theobroma_cacao_20110822:4:26355081:26359335:-1 gene:TCM_020048 transcript:EOY04893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 VFFQQLLVQFSFTISANRKEIGFPERRICRTTVQGRYLLSDDNGYVCDALSLDPESRCCPERGDKFSCHGCNILSQCCNSYEFCVSCCLHPAQIQKEQVLKLKIAKPATAGAYLSVFDFCAGRCRHSSESVVHENAYVSDFHHCFSLPSNSTGSSVTPVEARLHGINVIIGRQGESCDSVCRSNGQSCVLNKLLVLNQCDIIQKYMSCKGACLASVGADQPAEVVYDAPKHLVYYPFFIKLYICKNCVLPDNLVISWKCLNIKTFMLSFSPCHGSKIKLYVLNWLFILIIEIISF >EOY05960 pep chromosome:Theobroma_cacao_20110822:4:30399165:30400453:-1 gene:TCM_020817 transcript:EOY05960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELATIATAKKYQKTKKREKVVAVYNCQHNADATMPAANIISFEKALIYTTLSQRKEIPVHPPHDFLSLFFENKGARFIREAV >EOY04221 pep chromosome:Theobroma_cacao_20110822:4:22859940:22864889:1 gene:TCM_019488 transcript:EOY04221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALEGSKSVEVVSSHHKIVDVMLAGDGLNFVEWKSLVKVNVRGLGNEAHLTDPCPEKEDAAKVWMIDDGRLFSQIFNCIDRKMVLSMQHTTTIKELQELLHGCFSGTKNMKILYSLSQKVSRPSQNGRTIMDYYYDYKRANEDFYTALLLSTDVAKKKEQCGKLFVFSWLSSVNSEYDVIHSQLLANKDVSSLFDVVTTVLSVTKESVFTTSDVSDRSALVSQGTNGFGSGYRGGSGGGKGNFRGGRGGKGASGCGASQVSDSTTPSTEGHTIIISDEEFSTYIQFQKSQQPSFSSTATLVKTGNPTASLSSSSHHWVIDSSATDHMTENSGILSTYRHSNLCPNVTLANGSTTKVLGSGSTSVTSSLPLSSILHLPHFPFKLMSISQITKSLNCCVAFFPTIVALPSAGASIACSSDLSPFLLHSQLGHPSLSELNKMCPELISESSLPCDSCEFAKHRHVPYVPYVSRVNKRATFLFELVHFDVWGPCPVTSKTNFKYFVTLVDDFSRSTWLYLMKSRSKLFSIFVAFWAEIRTQFHSNIRILRSDNCGIPNGGPTPSIIHQSSCIVTPQQNGVAERKNRHPMEVARALTVRQVSCSRDVTFFEHSPFFASLPSFIAETEPADGFLIYIVSNLSLDTLSLSSQPPITQVYTHCHGTDAALLQLVDTSSDSILIPTSTSVDSDLDLPIALQKTLTETLSHDGWQTAMEEEMLALETNSTWNLISLPSAATHHWPVDQLDEKNAFLHINLREEVCMEQPPGFVAQGDFGKVCKLRISVYGLKQSPRAWFERFSDVVLEFGLLQSSCDHTMFFRHTDNGCILLVVYVDDIVITGSDEQGIHDLKVFLQEKFQTKDLGSLKYCLGIEEIYYRILWVVAGNLVSWKSKKQNVVSRSSAESEYCTVAQTTCKLMRIHHLLEEIGFSDSSLMRLWCDNQATMHISSNPVFHESTKHLEVDCHFVREKIQQKLISTSYVSRGEQLADLFTKNLSRVSIDYICNKRGMINIYAPA >EOY03250 pep chromosome:Theobroma_cacao_20110822:4:9152397:9157171:-1 gene:TCM_017958 transcript:EOY03250 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase family protein isoform 3 MESSDQEKAVAVIMVGGPTKGTRFRPLSFNTPKPLFPLAGQPMVHHPISACKRLPNLAHIFLIGFYEEREFALYVSSISSELKVPVRYLKEDKPHGSAGGLYYFRNIIMEDNPSHVFLLNCDVCCSFPLTEMLEAHKRYGGMGTVLVIKVVSAESANQFGELVADTITNELLHYTEKPETFVSDLINCGVYIFTPDVFTAIQEVSTHREGQANIRHLSSFETLQSPTRALPVDFIRLDQDILSPFAGKKQLYTYETMDFWEQIKTPGMSVKCSGLYLAQFRLTSPHLLAAGDGTKSATIVGDVYVHPSAKVHPTAKIGPSVSISANVRVGAGVRLISCIILDDVEVQENAVVINSIVGWKSSIGKWSRVQALMGTTMQSLELPSLEKLLLLKMKLW >EOY03251 pep chromosome:Theobroma_cacao_20110822:4:9152773:9156965:-1 gene:TCM_017958 transcript:EOY03251 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase family protein isoform 3 MESSDQEKAVAVIMVGGPTKGTRFRPLSFNTPKPLFPLAGQPMVHHPISACKRLPNLAHIFLIGFYEEREFALYVSSISSELKVPVRYLKEDKPHGSAGGLYYFRNIIMEDNPSHVFLLNCDVCCSFPLTEMLEAHKRYGGMGTVLVIKVSAESANQFGELVADTITNELLHYTEKPETFVSDLINCGVYIFTPDVFTAIQEVSTHREGQANIRHLSSFETLQSPTSRALPVDFIRLDQDILSPFAGKKQLYTYETMDFWEQIKTPGMSVKCSGLYLAQFRLTSPHLLAAGDGTKSATIVGDVYVHPSAKVHPTAKIGPSVSISANVRVGAGVRLISCIILDDVEVQENAVVINSIVGWKSSIGKWSRVQQADGDYNAKLGITILGEAVTVEDEVVVINSIVLPNKTLNLSVQDEIIL >EOY03249 pep chromosome:Theobroma_cacao_20110822:4:9152397:9157171:-1 gene:TCM_017958 transcript:EOY03249 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase family protein isoform 3 MESSDQEKAVAVIMVGGPTKGTRFRPLSFNTPKPLFPLAGQPMVHHPISACKRLPNLAHIFLIGFYEEREFALYVSSISSELKVPVRYLKEDKPHGSAGGLYYFRNIIMEDNPSHVFLLNCDVCCSFPLTEMLEAHKRYGGMGTVLVIKVSAESANQFGELVADTITNELLHYTEKPETFVSDLINCGVYIFTPDVFTAIQEVSTHREGQANIRHLSSFETLQSPTRALPVDFIRLDQDILSPFAGKKQLYTYETMDFWEQIKTPGMSVKCSGLYLAQFRLTSPHLLAAGDGTKSATIVGDVYVHPSAKVHPTAKIGPSVSISANVRVGAGVRLISCIILDDVEVQENAVVINSIVGWKSSIGKWSRVQADGDYNAKLGITILGEAVTVEDEVVVINSIVLPNKTLNLSVQDEIIL >EOY03248 pep chromosome:Theobroma_cacao_20110822:4:9152464:9157220:-1 gene:TCM_017958 transcript:EOY03248 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase family protein isoform 3 MESSDQEKAVAVIMVGGPTKGTRFRPLSFNTPKPLFPLAGQPMVHHPISACKRLPNLAHIFLIGFYEEREFALYVSSISSELKVPVRYLKEDKPHGSAGGLYYFRNIIMEDNPSHVFLLNCDVCCSFPLTEMLEAHKRYGGMGTVLVIKVSAESANQFGELVADTITNELLHYTEKPETFVSDLINCGVYIFTPDVFTAIQEVSTHREGQANIRHLSSFETLQSPTSRALPVDFIRLDQDILSPFAGKKQLYTYETMDFWEQIKTPGMSVKCSGLYLAQFRLTSPHLLAAGDGTKSATIVGDVYVHPSAKVHPTAKIGPSVSISANVRVGAGVRLISCIILDDVEVQENAVVINSIVGWKSSIGKWSRVQADGDYNAKLGITILGEAVTVEDEVVVINSIVLPNKTLNLSVQDEIIL >EOY04839 pep chromosome:Theobroma_cacao_20110822:4:26149915:26155099:1 gene:TCM_020008 transcript:EOY04839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARLDSKPTMALNFLLLLSFLLILTMAESKLLNRGGTKKDSLFRSLVPWKETLMLAFLPRTNDAIYSNLTKNLVLHLCPVNAYDVNYPLKMCINMNVNTAHLADEWTDHSILYFVSNKVTVKSLYAAHGRIGFGAISHLLECKNALCCICGKALWLVLHLFVDISGANVDNSAKGKLDLVGRSGLQSDSSSFIPALLSGLLLIVLKTLMAYSNWSGQREAFRSRSMEKMSFALVVPPRRAARLKGTLKVLPHGNGSENNHGDVAGNGSGNTCVPVEVTNALDPNLQSPVTHNSASMEGPVPFGFHDALANAQNQSTTSEFMISLVAMPPAVPDSLSQFIVPSAKTCPSPVISKEDEPRSPRMLQAQSVVDPDGIRPVVDLDCCPAYHHQIKTPTMTFPSHEPDIDSESDDGVNSSPKGLKASFIDEFPELVSDRKKWSYQYQEVEKKKANSWDLFLDALNSYCWTIYWQLRKPIYRILLLVMISGFAGWQVFKRISSWNVNGLVWVKAAFSPITFLIKARFILISGAWLADNFDCVSFLYSGPCMMAQTLSSNLTPKRLQLRPVNHFSITMPGS >EOY06653 pep chromosome:Theobroma_cacao_20110822:4:32549354:32553629:-1 gene:TCM_021310 transcript:EOY06653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 11 isoform 1 MSEGTSMVMESSENGTDLSQDDTGTIVEMPEDTILSQQTSVNLVPFIGQRFVSQDAAYEFYCSFAKQCGFSIRRHRTRGKDGVGRGVTRRDFTCHRGGYPQMKLSEDGKTQRNRKSSRCGCLASMRIVKRADFDVPEWRVTGFSNVHNHELLKANEVRLLPAYCTITPDDKARICMFAKAGMSVRQMLRLMELEKGVKLGCLPFTEIDVRNLLQSFRNVNRDYDAIDLIAMCKKLKDENPHFQYDFKLDGHNRLEHIAWSYASSVQLYETFGDAVVFDTTHRMDSYDMLLGIWVGVDNYGMTSFFGCVLLRDENMQSFSWALKTFLGFMKGKAPQTLLTDQNMWLKEAIAIEMPETKHAFCIWHIISKFSDWFSFLLGSRYDDWKSDFYQLYTLELVEDFEEEWRDMVDKNGLHENKHIISLYALRTFWALPFLRPYFFAGLTSSCQSETINAFIQRILSAQSQQDRFVEQVAEIVEFNDRAGSKQKMQRKLQKVCLKTGSPIESHAATVLTPYAFGKLQEELLLAPQYASFLVDEGCFHVKHHSQMDGGCKVLWVPCEEHISCSCHHFEFSGILCRHVLRVLSSNNCFHIPEQYLPNRWRINSSSSTNLLRNATREHSEKIQLLESMASTLIAESIETEERLDVACDQTAMVLSRIKDLPRPTHSANDIVYNCPSDLILPEVEDTDGIVQSFTIGTSHESLTSGKMKDRRSREGIDIARKRRHFSGSCCGQFGHDSSDCPMLGGDNLNGDALGYL >EOY06652 pep chromosome:Theobroma_cacao_20110822:4:32549917:32552640:-1 gene:TCM_021310 transcript:EOY06652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 11 isoform 1 MSEGTSMVMESSENGTDLSQDDTGTIVEMPEDTILSQQTSVNLVPFIGQRFVSQDAAYEFYCSFAKQCGFSIRRHRTRGKDGVGRGVTRRDFTCHRGGYPQMKLSEDGKTQRNRKSSRCGCLASMRIVKRADFDVPEWRVTGFSNVHNHELLKANEVRLLPAYCTITPDDKARICMFAKAGMSVRQMLRLMELEKGVKLGCLPFTEIDVRNLLQSFRNVNRDYDAIDLIAMCKKLKDENPHFQYDFKLDGHNRLEHIAWSYASSVQLYETFGDAVVFDTTHRMDSYDMLLGIWVGVDNYGMTSFFGCVLLRDENMQSFSWALKTFLGFMKGKAPQTLLTDQNMWLKEAIAIEMPETKHAFCIWHIISKFSDWFSFLLGSRYDDWKSDFYQLYTLELVEDFEEEWRDMVDKNGLHENKHIISLYALRTFWALPFLRPYFFAGLTSSCQSETINAFIQRILSAQSQQDRFVEQVAEIVEFNDRAGSKQKMQRKLQKVCLKTGSPIESHAATVLTPYAFGKLQEELLLAPQYASFLVDEGCFHVKHHSQMDGGCKVLWVPCEEHISCSCHHFEFSGILCRHVLRVLSSNNCFHIPEQYLPNRWRINSSSSTNLLRNATREHSEKIQLLESMASTLIAESIETEERLDVACDQTAMVLSRIKDLPRPTHSANDIVYNCPSDLILPEVEDTDGIVQSFTIGTSHESLTSGKMKDRRSREGIDIARKRRHFSGSCCGQFGHDSSDCPMLGGDNLNGDALGYL >EOY06654 pep chromosome:Theobroma_cacao_20110822:4:32549764:32553660:-1 gene:TCM_021310 transcript:EOY06654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 11 isoform 1 MSEGTSMVMESSENGTDLSQDDTGTIVEMPEDTILSQQTSVNLVPFIGQRFVSQDAAYEFYCSFAKQCGFSIRRHRTRGKDGVGRGVTRRDFTCHRGGYPQMKLSEDGKTQRNRKSSRCGCLASMRIVKRADFDVPEWRVTGFSNVHNHELLKANEVRLLPAYCTITPDDKARICMFAKAGMSVRQMLRLMELEKGVKLGCLPFTEIDVRNLLQSFRNVNRDYDAIDLIAMCKKLKDENPHFQYDFKLDGHNRLEHIAWSYASSVQLYETFGDAVVFDTTHRMDSYDMLLGIWVGVDNYGMTSFFGCVLLRDENMQSFSWALKTFLGFMKGKAPQTLLTDQNMWLKEAIAIEMPETKHAFCIWHIISKFSDWFSFLLGSRYDDWKSDFYQLYTLELVEDFEEEWRDMVDKNGLHENKHIISLYALRTFWALPFLRPYFFAGLTSSCQSETINAFIQRILSAQSQQDRFVEQVVAEIVEFNDRAGSKQKMQRKLQKVCLKTGSPIESHAATVLTPYAFGKLQEELLLAPQYASFLVDEGCFHVKHHSQMDGGCKVLWVPCEEHISCSCHHFEFSGILCRHVLRVLSSNNCFHIPEQYLPNRWRINSSSSTNLLRNATREHSEKIQLLESMASTLIAESIETEERLDVACDQTAMVLSRIKDLPRPTHSANDIVYNCPSDLILPEVEDTDGIVQSFTIGTSHESLTSGKMKDRRSREGIDIARKRRHFSGSCCGQFGHDSSDCPMLGGDNLNGDALGYL >EOY03198 pep chromosome:Theobroma_cacao_20110822:4:7747499:7754099:1 gene:TCM_017829 transcript:EOY03198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hydroxyisobutyryl-CoA hydrolase 1 isoform 3 MISRLLELFLAYEEDPNVKLVILKGKGRAFCAGGDVAALVRDIRGDDWRAGAKFFLKEFTLNYLMAAYSKPQVSILNGIVMGGGAGASVHGRFRVATENSVFAMPETALGLFPDVGASYFLSRLPGFFGEYVGLTGSRLDGAEMLACGLATHFVPSAKLAMLEAALCIVDSSDPVIISSIIDQYCEQPSLKEQSVYKRLDVIDRCFSQKTVEEIISALERELVHKMDVWISTTIQTLKKASPTSLKISLRSIREGRLQGVGQCLVREYRMVCHVMRGEVSKDFFEGCRAILLDKDKNPKWEPSKLELVTDSRVDRYFSKMNTEEWEDLKLPTRSKHKLPLYAIAKL >EOY03197 pep chromosome:Theobroma_cacao_20110822:4:7747499:7754099:1 gene:TCM_017829 transcript:EOY03197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hydroxyisobutyryl-CoA hydrolase 1 isoform 3 MASSVSSQQDHQVLVEANSSARILSLNRPKQLNALSFQMISRLLELFLAYEEDPNVKLVILKGKGRAFCAGGDVAALVRDIRGDDWRAGAKFFLKEFTLNYLMAAYSKPQVSILNGIVMGGGAGASVHGRFRVATENSVFAMPETALGLFPDVGASYFLSRLPGFFGEYVGLTGSRLDGAEMLACGLATHFVPSAKLAMLEAALCIVDSSDPVIISSIIDQYCEQPSLKEQSVYKRLDVIDRCFSQKTVEEIISALERELVHKMDVWISTTIQTLKKASPTSLKISLRSIREGRLQGVGQCLVREYRMVCHVMRGEVSKDFFEGCRAILLDKDKNPKWEPSKLELVTDSRVDRYFSKMNTEEWEDLKLPTRSKHKLPLYAIAKL >EOY03200 pep chromosome:Theobroma_cacao_20110822:4:7747499:7754099:1 gene:TCM_017829 transcript:EOY03200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hydroxyisobutyryl-CoA hydrolase 1 isoform 3 MASSVSSQQDHQVLVEANSSARILSLNRPKQLNALSFQMISRLLELFLAYEEDPNVKLVILKFTLNYLMAAYSKPQVSILNGIVMGGGAGASVHGRFRVATENSVFAMPETALGLFPDVGASYFLSRLPGFFGEYVGLTGSRLDGAEMLACGLATHFVPSAKLAMLEAALCIVDSSDPVIISSIIDQYCEQPSLKEQSVYKRLDVIDRCFSQKTVEEIISALERELVHKMDVWISTTIQTLKKASPTSLKISLRSIREGRLQGVGQCLVREYRMVCHVMRGEVSKDFFEGCRAILLDKDKNPKWEPSKLELVTDSRVDRYFSKMNTEEWEDLKLPTRSKHKLPLYAIAKL >EOY03199 pep chromosome:Theobroma_cacao_20110822:4:7747499:7754099:1 gene:TCM_017829 transcript:EOY03199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hydroxyisobutyryl-CoA hydrolase 1 isoform 3 MISRLLELFLAYEEDPNVKLVILKFTLNYLMAAYSKPQVSILNGIVMGGGAGASVHGRFRVATENSVFAMPETALGLFPDVGASYFLSRLPGFFGEYVGLTGSRLDGAEMLACGLATHFVPSAKLAMLEAALCIVDSSDPVIISSIIDQYCEQPSLKEQSVYKRLDVIDRCFSQKTVEEIISALERELVHKMDVWISTTIQTLKKASPTSLKISLRSIREGRLQGVGQCLVREYRMVCHVMRGEVSKDFFEGCRAILLDKDKNPKWEPSKLELVTDSRVDRYFSKMNTEEWEDLKLPTRSKHKLPLYAIAKL >EOY02944 pep chromosome:Theobroma_cacao_20110822:4:3101193:3102569:1 gene:TCM_017340 transcript:EOY02944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFGSAIRYFSTKPKPKMKPIELKTPPEQTQTITRVIFDILKEHGPLTVGDTWERVKEVGLRGLTSKRHMKIVLRWMRERQKLRLICNHVGPHKQFLYTTWFTKSNIGQARPVKNSSATSLPVNNSSSPKSP >EOY03448 pep chromosome:Theobroma_cacao_20110822:4:15803608:15807394:-1 gene:TCM_018525 transcript:EOY03448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34e superfamily protein MVQRLTYRTRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLPRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKTKEKQASKS >EOY03977 pep chromosome:Theobroma_cacao_20110822:4:21017787:21021349:1 gene:TCM_019209 transcript:EOY03977 gene_biotype:protein_coding transcript_biotype:protein_coding description:DsRNA-binding domain-like superfamily protein, putative MENPILAFLESKLFNQPSAPNPSRAPEPLMHKNRLQEYTQRSSIPLPVYQTTNEGSLHAPRFRSTVLVDGTSYTSLDTFSHRKAAEQDAAKVALDCITKKIKDEGCPIIREDTVFCKSILNEFAVKMNMEMPSYNTIQSEGLIPLFVSSLVFNDVTYSGKTGRNKKEAEQLAARAALLSLLDDSRYGTFLSEIIKSKAKLYVALNKVKDSSISHIGTTPAGANTSIHKNEEIETAVVTNSVPNTVILPSSSGAKRPHHVFKIEKLEEGSEHIDLPIVFVPTAVAESLPVGESSSRKRRRKKKRAKLDTETQ >EOY06360 pep chromosome:Theobroma_cacao_20110822:4:31668182:31668951:-1 gene:TCM_021108 transcript:EOY06360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative MANEKKKDEKKVIVAEFKVSMHCNACERTVAKAIAKLKGVEKFTTDMNKHKVVISGKIDPQKVLKKLKKKTGKKIEIMVKEKKEEISKDTSNENCKPFVNSLPLSRCNEALMMMFSDENPNACSIL >EOY02441 pep chromosome:Theobroma_cacao_20110822:4:822146:839445:1 gene:TCM_016909 transcript:EOY02441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 118, putative MEFETSLREDFPFLSNLFSDNSPLKPDFGNGFPLDGSSSSKGLLHNFILPDHNHYSPSVNNGSLLNPYHFHQFPAEGSSRNPFFGFSSTCTDAFEPYVNGFSNDLNAYIPSLPFAPDGVNNNGFLQGFQSEGCWDFSQKVPPQPLQPETQTTYQPLIFQDQHGAVTAKVADEVSCVTGDQNGYQDKVDQKKNKRFLTRRCSKAPKKSNIIKGQWTPQEDSYIYEDLIMPISFPRLLVQLVTRHGTKKWSLIAKMLNGRVGKQCRERWHNHLRPDIKKDSWSEDEDRILIEAHKEIGNKWAEIARRLPGRTENTIKNHWNATKRRQYSRRKGKDSNPKGALLQSYIKSVSSTSTRKDKGKRVMEANAQMLINNNPAAKNPQVVQVQSSDFNPKDWPVAVYNAQADHHQPMNFSFDASVFGESCTASFESMLEEVPSGSLVEESNAVMDFELPLEMDSAKKELDLLEMISQGNL >EOY04113 pep chromosome:Theobroma_cacao_20110822:4:22075140:22078489:-1 gene:TCM_019369 transcript:EOY04113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrovirus-related Pol polyprotein from transposon TNT 1-94-like protein MVERMTRLSLKFLHVDNGGVYTSNDFEEYCSKHGRRHKKTEPKTPQHNGVIERMNCTTVEKVRCMLGITKLPKSFWGVVIGIASYLINKSPQFLWDLTFQKGCGQERFFVLTLERHAFDKNVKTKQVVEFDDLTLVMIPPRRATNDKNAQEIKLANTDEPTTVSDELDVSFNIAQPNLIGHMMKDCLRPRQSMGDNRGYMQLMETTPSKVTPFARDAMKDKGKGIATSSQGRIKQQCSIGSGQARVFTLILCDAQASNSVVTEKKREEMVDHLFESLKVGKFG >EOY05974 pep chromosome:Theobroma_cacao_20110822:4:30488645:30496786:1 gene:TCM_020834 transcript:EOY05974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVNRDVAAIVMGLREVPGRDIFYQLAFRGRSLLKIEPSVSDTHLHRDKRWSRNILGMNIPRLSMKSKAIKAKKPLAAQGVGRWYRVQVLAAWSVAFYLHKNCAEAPLEINHPFHRKHPLVLMPTPPYGPRARCFCDFCGRTCKMFVYHCSCGLDFHIKCALFSCTIAEKNFGELEHIVLPWISIDEQTEELESAKCFGCWNPLLESTYFSLDCRFNLHKKCVELSPEINHFSHQEYLLVLQCNGERFSSNVSKTTTIWFCLLLFTMQVCPPY >EOY04295 pep chromosome:Theobroma_cacao_20110822:4:23227240:23237186:1 gene:TCM_019546 transcript:EOY04295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 6, putative MGSFLYLSISMSLLNLLLLLFLVSSVQPLCHPDERSALLQFKESFVINNSASSTPEAHAKTESWKLERESADCCSWDGVECDNSTGHVIGLDLRSSYLYGSIDSRSSLFHLVHLQWLNLADNLFKNSKIPSEIRNLSRLTSLNLSYSDFSGQIPSEIFQLTELELLDLSGNSLKLRKPGMRSLLENLTNLQELYLTDVRISSSVPNILAKFSSLKALILSNCDLRGDFPPRIFELPSLQFLSLQSNPDLTGYLPDIRSNHPLLELSLANTTFFGQLPESFGNFKSLELLDINTCHFSGKVPYSLVYLSLRTNNFDRGTWSWLGTQINLTYLDLTNTSLSGDIPSSLQNLTQLTCLYLWANKLDGQIPPWIGNLTKLTEIKFQENNLSGPVPESIFKLENLELLYLHMNRLNGILMLDSFLELKNLTNLQLSGNNLSLLNSVSINATSPKFKLLGLASCNLSEFPHFLRSQDELVFLELSDNRFHGQIPKWFWSVGKETLQQLNLGFNFLTGFEELPVVLPWTHLQALDLSNNSLTGMLPRCLFSQSDSLKVVSLRKNQFTGAIPSTYMKSCGLRMMDLSQNQLQGRIPRSLAHCTKLEVLILGNNLINDTFPSWLGTLPELKVLILRANGLHGVIGEPRAKSEFSELQVIDLSDNSLRGKLPSEYFNIWVAMEVANANSLSPYMNANTSFEDGELSWYDYYNYVLILANKGRDLSYENVPGSISAIDLSSNQFQGEIPEAISNLKQIRVLNLSNNNLTGHIPSALGEISNLESLDLSRNKLSGKIPQQLANLNFLESFNVSYNNLEGNIPRGAQFNTFDNDSYEGNSRLCGYPLSEKCGNPEVLQPPPRLAPKEDDEGIESVFKFDWKIVMTGYGAGLVIGMSIGYNFTTRKHEWLMKVFRKWGVSNNWNGSNWQESLRSVWKKVSWNY >EOY02654 pep chromosome:Theobroma_cacao_20110822:4:1680306:1683607:-1 gene:TCM_017070 transcript:EOY02654 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein MKEAKPTPNLPVFINAEDFLDSLPPGYCFKPRDDELIVHYLRRRVCNKPLPPNRIKEVELYKYSPDDLTQADNASSKKESEWYFFTPRDRKYVNGSRPSRTAGDGFWKATGSDTSVMFKGNIVGFKKTLVYYYGKPPKGEKTNWIMHEYVLSNPPARQRAGKDDMRLDDWVLCRLHNRNHTKVGATRQATDQKQEEENYAITAAQTEILEQQYENILPLQIEIPAAQAEILGQQYGNIPPPQTEIPAARTEILKQEYGNILPPQTEQVQVQDFNLLLPQQICSMPLYNPYDDSTSGFASFPESLHPMLEYHFTMFPRQDVSVYGNIITTVAPIATIPPPQFQHSEGHDPLNMHQQQQQFSGAPSIHNPSNMHQQQQFSSVPNIHDPLNMHQQQFSGAPYILDPSNMHQQQQQFSSAPNIPDSLNMDQQQQQFSGAPNIHGPLNMHQQEQQFSDAPSIHGPLNMHKQAQQLSDAPNINEFLVADQYILVTDHGLPDLQMPVLLVSVPITSSSKPRCFYPNLFGQLQAGDLDIVEPTIGAELKYGVASKLRYVIEGLFQHINGGKFLNSCMIVEVQSFARYTFPTAAASLPG >EOY06430 pep chromosome:Theobroma_cacao_20110822:4:31901870:31902424:-1 gene:TCM_021153 transcript:EOY06430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein MDRVAKLASQKAVVIFSKSSCCMCHAIKRLFYEQGVSPAIYELDEDARGKEMEWALVRLGCNPPVPAVFIGGKFVGSANTIMTLQLNGSLKNLLKNAGAIWL >EOY03107 pep chromosome:Theobroma_cacao_20110822:4:4916074:4923978:1 gene:TCM_017567 transcript:EOY03107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRPLTAERLGIKCYEMPLPICCGPYTPMITRYADPYLQKGKKRGVSFTDSVIISSYQHGMVIVYSELTSTSRKACLGLGWSFPEVSDVGRDRGGVVTKVVSEL >EOY06769 pep chromosome:Theobroma_cacao_20110822:4:32908713:32910630:1 gene:TCM_021400 transcript:EOY06769 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 48, putative isoform 2 MEKKKPEGEKRENSMANLRFSDENPAASGFGGSIFDMAAGACEGSGGDKWGTLGFMDLLGIHQDFAAPSLFDSFQPPILSSSPPPPPPPSSSELHQEILHELQLENKQLIQKQQQLQGLPSPASTVPESSEVLNNPATPNSSSISSSSNEAANDEQTKTGDDEEQDQDKTKKQLKPKKKNQKRQREPRFAFMTKSEVDHLDDGYRWRKYGQKAVKNSPYPSAGCGVKKRVERSSDDPTVVVTTYEGQHTHPCPITPRGSIGISPDSSTFGAASAASFIVPQPQYLHQQQPYIYTSLPPLNITTATATSSSFINPSFPAFLQERRVAPPSASSLRDHGLLQDIVPSQMRNEAKEE >EOY06768 pep chromosome:Theobroma_cacao_20110822:4:32908685:32910524:1 gene:TCM_021400 transcript:EOY06768 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 48, putative isoform 2 MEKKKPEGEKRENSMANLRFSDENPAASGFGGSIFDMAAGACEGSGGDKWGTLGFMDLLGIHQDFAAPSLFDSFQPPILSSSPPPPPPPSSSELHQEILHELQLENKQLIQKQQQLQGLPSPASTVPESSEVLNNPATPNSSSISSSSNEAANDEQTKTGDDEEQDQDKTKKQLKPKKKNQKRQREPRFAFMTKSEVDHLDDGYRWRKYGQKAVKNSPYPRSYYRCTSAGCGVKKRVERSSDDPTVVVTTYEGQHTHPCPITPRGSIGISPDSSTFGAASAASFIVPQPQYLHQQQPYIYTSLPPLNITTATATSSSFINPSFPAFLQERRVAPPSASSLRDHGLLQDIVPSQMRNEAKEE >EOY04278 pep chromosome:Theobroma_cacao_20110822:4:23142009:23143156:-1 gene:TCM_019528 transcript:EOY04278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Matrixin family protein MAPKPSHQVFEAIFLFLVLQPFVVKSRPLEPESLRNLERAQKGDTLNGLSHVKKYLRAFGYYPNDFNLIDDHFDDSLESALKAHQQNYYLKVTGKIDPDTIKAMMTPRCGVPDIISNRTSNDTGETPHGVIFHLVISSQVLRPIIARAFQTWAAVSPFRFQEVAQGNRADIRIGFYRYEHGDGYPFDGPGNILAHAFAPQDGRFHYDADENWSPNPTAPNQIDLETVAVHEIGHNLGLGHSQDQAAIMFPSLPAGATKRNLGQDDIVGLQALYGY >EOY02467 pep chromosome:Theobroma_cacao_20110822:4:939977:949441:-1 gene:TCM_016933 transcript:EOY02467 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding,ATP-dependent helicases,DNA helicases MDSCSLKSVFDLPALFRSIFSFRYFNSLQSECFPVCFLSDVNMVISAPTGSGKTVLFELCILRLLSRFITGDGRFVHIKGTLKTFGFGLKIYIAPSKALVQEKLRDWNQKFGSLGINCLELTGDNESYSIRNIQEADIIVTTPEKFDAVTRYRIKDGGLSFFSDIALLLIDEVHLLNDLRGAALEAIVSRIKMLARNPEMKSSPLASVRFLAGSATIPNIEDLAEWLEVPVQGIKRFGEEMRPVKLTTKVFGYAAAKNDFLFEKASNCVWIIKSYTFFIRLQNYIFDILMQFSRGKSALVFCSTRKGAQEAAQRLSQTVMTFGYSNPFIRSHEQQERLREASLSCSDKQMQSYILYGVGYHNGGLSLKDRNLIESLFLSGDVQVLCTTNTLAHGINLPAHTVVIKSTQHFNKEKGLYMEYDRSMVLQMCGRAGRPPFDETGMVVIMTRRETVHLYENLLNGCEMVESQLLSCLTEHLTAEIVQLTISDITKAIEWMKCSYLYNPENYAVRKGIPRDRIEKHMQEICLKNVNELSYHQMIQTDEDGFVLKPQEPGRLMTKYYLKFNTMKHIMQAQPSYSLEDVLQIISCAEEIAWIQLRRSEKKLLNDINADKDGRLRFHVSGDKGKRKKRIQTREEKIFVLANDCLTGDPLVHDLSMTQDANSICSNGCRIAKCMKEYFIYKKNYKGALNSSLLAKSLYQKLWDDSPYLLKQLPGIGMVTAKALHSMGIKSFETLAEADPRRIELVTLRKYPFGNHIKESLTSLPPKVDIKIEVNECQRQGKSKLAVTLTLTRLSQGVQSTKRHYADMIVASEEENLILFHEKISRMDDFLSPYSTTILVANPLGKMTVKADLIFEEYIGVDLHEKLLLVNESNAYANNKRTKEQPQFFAPPEEVCVVEDDNAATNQTLAQEPPDLIESKRESSLMPSFNLLDEELGEGEPAAGTEKDDCEITIGHTIFDHIREKAKSFPLLTPSNSAYPPTSGGLILTRKRSRDQPLEEMERSKTHQHIVLDPSPESREPEQNVHGINSYMTRKYHINSGSSVTIDLTDESGDLPSEPEVLSFKTLTEETIFDHIRKKSRNFPVLNTLNPNESDSFFRTDEYFAVNQRWFCNATSRTSNDSNSSNVVRDAMVISELEPGEVNIDVCETKAGTKAKNNVFHGSSSGANGKSAVSPKVSSTNAGISSIKMLTFDISMVKNSKRLADLGSCIEDGSKELRSPIESKRPCCSVASTGKVSEVDSFLGFQSVFSFL >EOY04952 pep chromosome:Theobroma_cacao_20110822:4:26605048:26608195:-1 gene:TCM_020092 transcript:EOY04952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MSESENFGHEHPLALNEGQSNQSEEAYCSRCGKEVSPSAPSFSCVDCGFYLHKKCAEAPLEINHPFHPKHPLLLLQNSPYARGVRCICDFCDKTCKASIYHCSCGLDFHIACALFTYNIAQKNVEELQHVALEDPLISAENDGEELDSFECFGCWKPLLSSTYFSLDCGFHLHKKCAELPLKINHMCHRKHPLVLQFNSERFSCHICQETQKRGFVYCCLPCKVSVHIECVSPLPVVEDKSHQHPFTLFWIRAPFVCHACGIEGNCAAYVCCTCSIIVHKKCISLPRIIKHKWHQHLIFHKYFLHEDDFKGWDCIICHEDVNAEHGCYSCSDCKVTAHVSCAMKEEDWYYIVSPENKDEESTNSLALLPGESIDSITCVIERNDAGEATKIKHFKHMHYLILSEKIAKYDNSCEGCMLPISASFYYCLECDFFLHKACAELPKMMKHVWLHYCQQSSFILTSDYIFRCQLCHHQSNGFAYKCNECYGHVCLRCVSLTPDTLTCQGHEHPLLFYVEYEGKCCACGGDIDAGYCCKGCNFALCVPCVTLPTTIRHKCDEHVLALTYKDDNDYLKCHYCDICEERRDPNHWFYHCATCDTSGHKDCVLEAYRYIKLGSTYKRGRHPHPLIFVKKIYYYPKCIYCGKPCQDLTLECVFSGCNYIIHWECIIRLED >EOY05128 pep chromosome:Theobroma_cacao_20110822:4:27301747:27306996:1 gene:TCM_020211 transcript:EOY05128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Selenium-binding protein 2 isoform 1 MAGNGTGCCKTGPGYATPLAAMSGPREALIYVTCVYTGTGREKPDFLATVDVDPNSPTYSKVIHRLPVPYLGDELHHSGWNSCSSCHGDPSAERRFLILPSLVSGHIYVIDTQTNPKAPSLHKVVDPEDIVQKTGLAYPHTSHCLASGDIMVSCLGDKDGNAKGNGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISSSWGAPAAFTKGFNLQHVADGLYGRHLYVYSWPDGELKQTLDLGDSGLLPLEIRFLHDPSKDTGFVGCALTSNMVRFFKTKDGSWSHEVAISVKPLKVQNWILPEMPGLITDFLISLDDRFLYFANWLHGDVRQYNIEDPKNPVLAGQVWVGGLIQNGSPVVAVIEDGKTWQCNVPEIQGHRLRGGPQMIQLSLDGKRLYVTNSLFSTWDRQFYPELIEKGSHMLQIDVDTEKGGLKVNPYFFVDFGAEPDGPSLAHEMRYPGGDCTSDIWI >EOY05129 pep chromosome:Theobroma_cacao_20110822:4:27301923:27306468:1 gene:TCM_020211 transcript:EOY05129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Selenium-binding protein 2 isoform 1 MVSCLGDKDGNAKGNGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISSSWGAPAAFTKGFNLQHVADGLYGRHLYVYSWPDGELKQTLDLGDSGLLPLEIRFLHDPSKDTGFVGCALTSNMVRFFKTKDGSWSHEVAISVKPLKVQNWILPEMPGLITDFLISLDDRFLYFANWLHGDVRQYNIEDPKNPVLAGQVWVGGLIQNGSPVVAVIEDGKTWQCNVPEIQGHRLRGGPQMIQLSLDGKRLYVTNSLFSTWDRQFYPELIEKGSHMLQIDVDTEKGGLKVNPYFFVDFGAEPDGPSLAHEMRYPGGDCTSDIWI >EOY03267 pep chromosome:Theobroma_cacao_20110822:4:10260790:10263173:-1 gene:TCM_018055 transcript:EOY03267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGPKEVVLFDDLIDKIKGCISRWENKILSPSGRITLLRSVLSSLLIYLLQVLKPPACVVEKIERLFKNFLWGDSTGNKRMHWTSWQQSFSHPLRVIRGYVQPKLHDSMTWKRMIMSRIVTDLNMRWQIGEGQLFFWHDCWMGDEPLVNRFPSFSTSTTKVCYFFDKGKWDVDKLKMVLPDEIIGEIMKISIDISSVDVAYWVPTSDGQFSTKSAWELIPKRQSVNPIYNIIWHRNIPITTSFFLWWLISNWILVEMRLKNKGF >EOY05249 pep chromosome:Theobroma_cacao_20110822:4:27717219:27723448:1 gene:TCM_020292 transcript:EOY05249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENQEQQDRSKTLITDPKQINAAEGKAKVIEWEDFEHELARLWSLTSALKEANEKKQSLQEKLQSFIQVKTESLNQLNELEEMRERLEARKLVIGNMSMRCKLATEDAKKQEEMLSTEVRSLLVAGTSLSVARKRLQESNRLLTEERGYIKLQNVQRKLRARQQYMISQVSLLYPVKILVGPAQEQELESYPSSSRLGNYSVSKPINQGSLTILGLHLTMLPFTKMSFFTDKKEVQRSATALGYVAHGVSLIASYLQVPLRYPVHLGGSRSYINDYAPSAEPTSSDLSWNTALSVNVKPVEFPLFLEGQDTTKAAYAVFLLNKDIEQLLNFVSGKSLGPRHVLENLKELLRTVQSSEYIDT >EOY03715 pep chromosome:Theobroma_cacao_20110822:4:18311822:18319860:-1 gene:TCM_046755 transcript:EOY03715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter associated with antigen processing protein 2 MGKKQHLDWTSKSISSSGSNRVPLLYKEKSKQANDHQSPNGPVSDLEQGDAAEVANVGFCRVFSLAKPDAGKIIVGTIALLIASTSNLLIPKYGGMIIDIVSRDIRTPEQQSDALKAIKDTVIYIVLIVVVGSLCTTLRAWLFSSASERVVARLRKDLFSHLIQQEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNLTTAFIGVGFMFSSSWKLTLLALAVVPVFSVAVRKFGRYLKELSHTTQAAAAVAASIAEESFGAIRTVRSFAQESYAISNYSEKVDETLNLGLKQAKVVGLFFGGLNAASTLSVIIVVIYGAYLTIMGFMTAGSLTSFILYSLTVGSAVSSLSGLYTTTMKAAGASRRVFQLLDRVSSMPKSGDKRPEGNPDGDVELNDVWFAYPSRPSHMVLKGITLKLKPGSKVALVGPSGGGKTTIANLIERFYDPLKGKILLNGVPLVEISHEFLHRKVSIVSQEPVLFNCSIEENIAYGFNGKASSAEIESVAKMANAHDFIEKFPDKYQTVVGERGLRLSGGQKQRVAIARALLMNPKVLLLDEATSALDAESEYLVQDAMDSLMRGRTVLVIAHRLSTVKSADSVAVISDGQIAESGTHEELLSEDGIYTALVRRQLQGSNTKV >EOY03678 pep chromosome:Theobroma_cacao_20110822:4:17764990:17766028:1 gene:TCM_018767 transcript:EOY03678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein MEQVTEKSIQSENVGLENKAPPQNQQIDQNSQDSSNDLKKTCTPDRLKVPKAFKYPERYRSPTDSMMSPVTKGLLARNRKGGASLLPPSINQTKIHELRVQDVGLSQN >EOY04946 pep chromosome:Theobroma_cacao_20110822:4:26583828:26591256:-1 gene:TCM_020088 transcript:EOY04946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase family protein isoform 2 MNPSSAVVASRDRALSFFNHPLSQAHTFPLAKFKNGSIIAPINNQTRKPKPNALFTSSKRFQAIRACSGERNPPAKALRQILELPGVHQGPACFDGLSAKLVERAGFQYCFTSGFSISAARLGLPDTGFISYGEMVDQGQQITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIRAGFAGIILEDQVSPKACGHTQGRKVVSREEAVMRIKAAVDARKESGSDIVIVARTDSRQALSLEESLWRSRAFADAGADVLFIDALASKEEMKAFCEVSPLVPKMANMLEGGGKTPIVNPLELEEIGYKLVAYPLSLIGVSIRAMQDSLTALKGGRIPPPGSMPSFDEIKEILGFNNYYEEEKRYATSTYQLYSDRVSSNAYSIQRVQDDSEQRGQSPQDPVVEVITPDVYNNYGADGSRGPFSGIWSRTLRIKITGRDGFEKLDVRIPAGFLEGVTNIVPALGGVNIKALLDDAAEEVGGKLLLDFNDTMGDRIQVFLE >EOY04948 pep chromosome:Theobroma_cacao_20110822:4:26585562:26591358:-1 gene:TCM_020088 transcript:EOY04948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase family protein isoform 2 MNPSSAVVASRDRALSFFNHPLSQAHTFPLAKFKNGSIIAPINNQTRKPKPNALFTSSKRFQAIRACSGERNPPAKALRQILELPGVHQGPACFDGLSAKLVERAGFQYCFTSGFSISAARLGLPDTGFISYGEMVDQGQQITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIRAGFAGIILEDQVSPKACGHTQGRKVVSREEAVMRIKAAVDARKESGSDIVIVARTDSRQALSLEESLWRSRAFADAGADVLFIDALASKEEMKAFCEVSPLVPKMANMLEGGGKTPIVNPLELEEIGYKLVAYPLSLIGVSIRAMQDSLTALKGGRIPPPGSMPSFDEIKEILGFNNYYEEEKRYATSTYQLYSDRVSSNAYSIQRVQDDSEQRGQSPQDPVVEVITPDVYNNYGADGSRGPFSGIWSRTLRIKITGRDGFEKLDVRIPVSSSCLIKVS >EOY04944 pep chromosome:Theobroma_cacao_20110822:4:26584618:26591596:-1 gene:TCM_020088 transcript:EOY04944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase family protein isoform 2 MNPSSAVVASRDRALSFFNHPLSQAHTFPLAKFKNGSIIAPINNQTRKPKPNALFTSSKRFQAIRACSGERNPPAKALRQILELPGVHQGPACFDGLSAKLVERAGFQYCFTSGFSISAARLGLPDTGFISYGEMVDQGQQITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIRAGFAGIILEDQVSPKACGHTQGRKVVSREEAVMRIKAAVDARKESGSDIVIVARTDSRQALSLEESLWRSRAFADAGADVLFIDALASKEEMKAFCEVSPLVPKMANMLEGGGKTPIVNPLELEEIGYKLVAYPLSLIGVSIRAMQDSLTALKGGRIPPPGSMPSFDEIKEILGFNNYYEEEKRYATSTYQLYSDRGGMANSVSQTKPTVIFGNNVKLLSCLPNPKNMVYVSVSSNAYSIQRVQDDSEQRGQSPQDPVVEVITPDVYNNYGADGSRGPFSGIWSRTLRIKITGRDGFEKLDVRIPAGFLEGVTNIVPALGGVNIKALLDDAAEEVGGKLLLDFNDTMGDRIQVFLE >EOY04945 pep chromosome:Theobroma_cacao_20110822:4:26585261:26591171:-1 gene:TCM_020088 transcript:EOY04945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase family protein isoform 2 MNPSSAVVASRDRALSFFNHPLSQAHTFPLAKFKNGSIIAPINNQTRKPKPNALFTSSKRFQAIRACSGERNPPAKALRQILELPGVHQGPACFDGLSAKLVERAGFQYCFTSGFSISAARLGLPDTGFISYGEMVDQGQQITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIRAGFAGIILEDQVSPKACGHTQGRKVVSREEAVMRIKAAVDARKESGSDIVIVARTDSRQALSLEESLWRSRAFADAGADVLFIDALASKEEMKAFCEVSPLVPKMANMLEGGGKTPIVNPLELEEIGYKLVAYPLSLIGVSIRAMQDSLTALKGGRIPPPGSMPSFDEIKEILGFNNYYEEEKRYATSTYQLYSDRVSSNAYSIQRVQDDSEQRGQSPQDPVVEVITPDVYNNYGADGSRGPFSGIWSRTLRIKITGRDGFEKLDVRIPAGFLEGVTNIVPALGGVNIKALLDDAAEEVGGKLLLDFNDTMGDRIQVFLE >EOY04947 pep chromosome:Theobroma_cacao_20110822:4:26584486:26591390:-1 gene:TCM_020088 transcript:EOY04947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase family protein isoform 2 MNPSSAVVASRDRALSFFNHPLSQAHTFPLAKFKNGSIIAPINNQTRKPKPNALFTSSKRFQAIRACSGERNPPAKALRQILELPGVHQGPACFDGLSAKLVERAGFQYCFTSGFSISAARLGLPDTGFISYGEMVDQGQQITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIRAGFAGIILEDQVSPKACGHTQGRKVVSREEAVMRIKAAVDARKESGSDIVIVARTDSRQALSLEESLWRSRAFADAGADVLFIDALASKEEMKAFCEVSPLVPKMANMLEGGGKTPIVNPLELEEIGYKLVAYPLSLIGVSIRAMQDSLTALKGGRIPPPGSMPSFDEIKEILGFNNYYEEEKRYATSTYQLYSDRDGSRGPFSGIWSRTLRIKITGRDGFEKLDVRIPAGFLEGVTNIVPALGGVNIKALLDDAAEEVGGKLLLDFNDTMGDRIQVFLE >EOY04977 pep chromosome:Theobroma_cacao_20110822:4:26701063:26703692:-1 gene:TCM_020110 transcript:EOY04977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMTWMENCELSTSPSQFHYSHNCFLCPCDVRWEFLANRLSIKNGGNMGWRRRRRRRAQKLICHDCCVGCIHPLCKELMQR >EOY03480 pep chromosome:Theobroma_cacao_20110822:4:16244478:16247515:1 gene:TCM_018568 transcript:EOY03480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAYLASCWLLIESIVIPDGYFLKWFYLSFYIHPAFLFACQIFLWLKLLTRCILVVFIYPFRIISFVCSYVYRFCRNCIIYIFSFFRVTNLQVVEEVGSNLEVVKFSVGSQYQLVSLGISSSIIPSIPCKTEVVGLQRDELEDANGCEDNEILPGRENSHKEDWSFCLHKDLNSDEYSSSFCISSPSIDEAYLNEYSPLFSSFSSPFMKEYSLPPAACSSGSPVSEREVITRATDEVESEEFYKKYSERMGWFDVLNHDRTCGISAILNKEEGIPSSLESIKAKDFSIPYISWSKIDKKMLLRSIKSDFELVYVAQSCLTWEALHHQYRKVKFLTFSNYLFSDDVAGEFQNFHVLLERFMEDERCYQGKRVWNYVQKRFASKSLLQVPKLSGFLEDENERIKGETVHAKHVLKAIEECIRAFGKFVATDRKSLWWKFKSSSWTYSPVEDPRDLELLADVTRRLQKKELGLKELQGKQRCWFNKAVNPVEESQKEAILLTMIEMKLVSRVLQMSILSSAQLKWCREKLENIEFKRGRLFRTSSGPLFPSC >EOY03479 pep chromosome:Theobroma_cacao_20110822:4:16243569:16247730:1 gene:TCM_018568 transcript:EOY03479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAYLASCWLLIESIVIPDGYFLKWFYLSFYIHPAFLFACQIFLWLKLLTRCILVVFIYPFRIISFVCSYVYRFCRNCIIYIFSFFRVTNLQVVEEVGSNLEVVKFSVGSQYQLVSLGISSSIIPSIPCKTEVVGLQRDELEDANGCEDNEILPGRENSHKEDWSFCLHKDLNSDEYSSSFCISSPSIDEAYLNEYSPLFSSFSSPFMKEYSLPPAACSSGSPVSEREVITRATDEVESEEFYKKYSERMGWFDVLNHDRTCGISGCTDCSSWLAVLGAILNKEEGIPSSLESIKAKDFSIPYISWSKIDKKMLLRSIKSDFELVYVAQSCLTWEALHHQYRKVKFLTFSNYLFSDDVAGEFQNFHVLLERFMEDERCYQGKRVWNYVQKRFASKSLLQVPKLSGFLEDENERIKGETVHAKHVLKAIEECIRAFGKFVATDRKSLWWKFKSSSWTYSPVEDPRDLELLADVTRRLQKKELGLKELQGKQRCWFNKAVNPVEESQKEAILLTMIEMKLVSRVLQMSILSSAQLKWCREKLENIEFKRGRLFRTSSGPLFPSC >EOY03504 pep chromosome:Theobroma_cacao_20110822:4:16515914:16528858:1 gene:TCM_018598 transcript:EOY03504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKLMLSLAGFRSAFGVMIAYQDVAAIVTGSMGVPGRDRIIMSPRRKQPPFTRSAGRGRGCFQRRQLGAIEEESTASTIRAAPAAEQIETPPHPPPPLPLTSILAMPFEAVQALAAFFTAIAGQAQAGQVLPTVPLAAPSVPLSPPPVPPPVLDVSDSKKLKEARQHSCVSFMGESDATVAKEVVRMALRAEKLANENKSLRAELAKRRSLSVSSSQPPNKGKNSSLSKPGEKRGKKKTRILPEKFGENQEKSRNQALKRW >EOY05315 pep chromosome:Theobroma_cacao_20110822:4:28044319:28047813:-1 gene:TCM_020342 transcript:EOY05315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin dependent kinase inhibitor, putative MGKYIRKAKTAGEVAVMEVSQSSLGVRTRAKTLALQRLQKSSTSPATVATAPVTEDGSYLQLRSRRLEKPPIMVHHHDSKRQKQQQQQQGSKKESCGQNPNPNSNSRVRVGSGSGSAAEKKKEGEIGREEIVQENNGNDNTNNCSNNNNNESKDFGGVEASFGENVLDIEARERSARESTPCSLIRDPESIRTPGSTTRPTSSAETNQRVQNSTRRHIPTAQEMDEFFAVAEEEQQRQFIEKYNFDPVNDKPLPGRYEWEKVDP >EOY04135 pep chromosome:Theobroma_cacao_20110822:4:22212025:22217038:1 gene:TCM_019390 transcript:EOY04135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MHPPLLQFIFWFFIIVSLILLIAPKSAFANDGNYSSCSTRFRCGNIDTGYPFWGLERPEDCGYPGFWLNCSGNVPEITIMSVTYQVLNIESGTRNLRLARTDYSEDVCVQYLRNTTLTTGVFEYNSNTQNMTIYYGCRPLTIGQSLPRGLSSQFECEINGTENVGYYVTRNITESSFGELANLISTSLGSCNDSVTVPVLKSEVEVVENSRTTESLIEALKVGFELQWFANDSSCDSCIGSGGQCGYNQDSREFLCYCSGGSYLSTCPQGLTVAAASVIVVICIVFFVIQRRKQNSTSNENSVHLRLPMNHNGSTEAFIKKYGCLAPKRYSYIDIKKMTNKFKDKLGQGGYGGVYKGKLPDGRLVAVKVRSESKGNGEEFMNEVASISRTSHVNIVTLLGFCYEISKRALVYEFMPHGSLDKFIYNQGSMNQPRQLEWKTLYDIALGIARGLEYLHQGARGTAGYIAPEVFCRNFGGVSHKSDVYSYGMMVLEMVGGRKNINVVVSQTSEAYFPSWIYEHLDQAMDLSLDGVTGEEEEEITRKLTVVSLWCIQTIPSDRPSMTKVLEMLTGSLQLLAIPPRPFVSSPVRSPKSSSPV >EOY05333 pep chromosome:Theobroma_cacao_20110822:4:28107504:28117868:-1 gene:TCM_020353 transcript:EOY05333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione-disulfide reductase isoform 1 MARKMLVDGELSQTNADEAHYHFDLFVIGAGSGGVRAARFSANYGAKVGICELPFHPISSEVIGGVGGTCVIRGCVPKKILVYGAAFGGEIEDARNYGWEVNENLDFNWKKLLHKKTDEIIRLNGIYKRLLSSAGVKLFEGEGKIVGPNEVEVTQLDGTKLSYSAKHILIATGSRAHRLPIPGQELAITSDEALSLEDLPKRAVVLGGGYIAVEFASIWRGLGATVDLFFRKELPLRGFDDEMRAVVARNLEGRGINLHPRTNLTELIKTDNGIKVVTDHGEELIADVVLFATGRVPNSKRLNLEAVGVELDKTGAVKVDEYSCTNIPSIWAVGDVTNRMNLTPVALMEGTCFAKTVFGGESSKPDYSNIPCAVFSIPPLSVVGLSEEQAIEQANGDVLVFTSTFNPMKNTISGRQEKTVMKLVIDAETDKVLGASMCGPDAPEIMQGIAVGLKCGATKAQFDSTVGIHPSAAEEFVTMRSVSRRITAGGKPKTNL >EOY05332 pep chromosome:Theobroma_cacao_20110822:4:28107548:28117583:-1 gene:TCM_020353 transcript:EOY05332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione-disulfide reductase isoform 1 MARKMLVDGELSQTNADEAHYHFDLFVIGAGSGGVRAARFSANYGAKVGICELPFHPISSEVIGGVGGTCVIRGCVPKKILVYGAAFGGEIEDARNYGWEVNENLDFNWKKLLHKKTDEIIRLNGIYKRLLSSAGVKLFEGEGKIVGPNEVEVTQLDGTKLSYSAKHILIATGSRAHRLPIPGQELAITSDEALSLEDLPKRAVVLGGGYIAVEFASIWRGLGATVDLFFRKELPLRGFDDEMRAVVARNLEGRGINLHPRTNLTELIKTDNGIKVVTDHGEELIADVVLFATGRVPNSKRLNLEAVGVELDKTGAVKVDEYSCTNIPSIWAVGDVTNRMNLTPVALMEGTCFAKTVFGGESSKPDYSNIPCAVFSIPPLSVVGLSEEQAIEQANGDVLVFTSTFNPMKNTISGRQEKTVMKLVIDAETDKVLGASMCGPDAPEIMQGIAVGLKCGATKAQFDSTVGIHPSAAEEFVTMRSVSRRITAGGKPKTNL >EOY05931 pep chromosome:Theobroma_cacao_20110822:4:30284345:30286746:1 gene:TCM_020798 transcript:EOY05931 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC4, putative isoform 1 METSSSSTTRHTCLKLEIPSTEPIFVKGTWFDAHFDISITDGLHAWVCNATEEEVKERAAQWDQPVTEYIELAERYLGFQQSGSVYRFADAGDDHKRLSWAFEKEGTKLEWRWKFRPSPDSQKITGGILDFLMDANIRLSHVCVCVCVCVCLQEEVFRKTQSFEKLKVEAEKCLEQSERSTNEKMEFESEIYAKFLGVLNSKKAKLRELRDQLSKQETTGKALMEEEDSTDKTESYHTGSDAEGGEEEPEKNLTSTCKDVPAAAGRGRGRKRATRK >EOY05932 pep chromosome:Theobroma_cacao_20110822:4:30284260:30286746:1 gene:TCM_020798 transcript:EOY05932 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC4, putative isoform 1 METSSSSTTRHTCLKLEIPSTEPIFVKGTWFDAHFDISITDGLHAWVCNATEEEVKERAAQWDQPVTEYIELAERYLGFQQSGSVYRFADAGDDHKRLSWAFEKEGTKLEWRWKFRPSPDSQKITGGILDFLMDANIRLSKHVCVCVCVCVCLQEEVFRKTQSFEKLKVEAEKCLEQSERSTNEKMEFESEIYAKFLGVLNSKKAKLRELRDQLSKQETTGKALMEEEDSTDKTESYHTGSDAEGGEEEPEKNLTSTCKDVPAAAGRGRGRKRATRK >EOY05930 pep chromosome:Theobroma_cacao_20110822:4:30284260:30286642:1 gene:TCM_020798 transcript:EOY05930 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC4, putative isoform 1 METSSSSTTRHTCLKLEIPSTEPIFVKGTWFDAHFDISITDGLHAWVCNATEEEVKERAAQWDQPVTEYIELAERYLGFQQSGSVYRFADAGDDHKRLSWAFEKEGTKLEWRWKFRPSPDSQKITGGILDFLMDANIRLSEEVFRKTQSFEKLKVEAEKCLEQSERSTNEKMEFESEIYAKFLGVLNSKKAKLRELRDQLSKQETTGKALMEEEDSTDKTESYHTGSDAEGGEEEPEKNLTSTCKDVPAAAGRGRGRKRATRK >EOY06480 pep chromosome:Theobroma_cacao_20110822:4:32057762:32059851:-1 gene:TCM_021186 transcript:EOY06480 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAHD family acyltransferase, clade V, putative METFTISIANQDLVKQQPVTLVSAKNPMPLETIFLSNIDQAVCFAVETVFFFDLPPDKSSSTLGISLRVKQAVAEVLLGPYYFMAGRLRFNKQTNRLQLLCNNAGVLFVSATSRLRLKDLGNLSLPNSTFHHFVHRPGLYKSLDETALLTIQVTRFACGGFSIGFVTNHAILDGKSASEMFENLASICRGEGLQTKVIYNDRTSLKARNPLLISYPHKEYVKLNKKSSRASCFTAPEQSSPPRLIFSKIYTHKLYSFTPEMITTLRKKAMTRCSSFEAIVAHIWRARSKAIFTNLDDLSTVLFAVDIRSKISPPLPNGFAGNAIITAFADAKVVDLIEKPFSFCVEKIKEGRERITDEYARSVIDWLEVHRGFPATCNGTFYVSAWWKLGFNELDFGYGKPAHGGPVVSGNDEFVLLLSDAKCGDSGGGINVWLGLEPEKMKRLILHIFDI >EOY05691 pep chromosome:Theobroma_cacao_20110822:4:29508375:29509093:-1 gene:TCM_020630 transcript:EOY05691 gene_biotype:protein_coding transcript_biotype:protein_coding description:SecE/sec61-gamma protein transport protein MAVLLQFPVLSSTKAAAPSPTPAQSKPARVTLRQFLFPSKTRPFLKISGDQNKNRFLPVKAVEESNESVEEPEITAKEAEAATSANEDAELSEIGAEIKKAMKEREGNTNLAGGVAEEIKEIEWPAFGKVLGTTGVVLGVIAGSSVVLLTVNAVLAELSDRVFAGKGVQDFFS >EOY06224 pep chromosome:Theobroma_cacao_20110822:4:31328307:31336323:-1 gene:TCM_021024 transcript:EOY06224 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein isoform 2 MPVVGISRSARGYCITLVLETMKTYSSEDGLTEEALVTKLRMCRYHHLFLHLSLRDNASGTCRWGEFGAGGLLWGECTTRHFEWFEGNPVTELLYKVKELYGLDDEVSFRNVTVPSESRPRPLHLGTATQIGAIPTEGIPCLLKVLLPSNCTGLPALYIRDLLLNPPAHEIASTIQATCKLMSSIKCSIPEFTCVASAKLVKLLELREANHIEFCRIKNVVDEILHMHRSTDLKEILKLLMDPAWVATGLKIDFETLVDECEWVSERIGQMIFLDGENDQKISSYANIPGEFFEDMESSWKGRVKKLHIEEEVAEVDSAAEALSLVVTEDFLPIVSRIKATSAPLGGPKGEILYAREHEAVWFKGKRFAPAVWAGTPGEEQIKQLKPALDSKGRKVGEEWFTTMKVEDALTRYHDAGGKAKARVLELLRGLSAELQTKINILVFASMLLVIAKALFAHVSEGRRRKWVFPILTGFSSSKGGESLDETRGMKIVGLTPYWFDVSEGCAVLNTVDMQSLFLLTGPNGGGKSSLLRSICAAALLGICGFMVPAESALIPQFDSVMLHMKSYDSPADGKSSFQVEMSELRSIISGASSRSLVLVDEICRGTETVKGTCIAGSIVETLDEIGCLGIISTHLHGIFTLPLRTKNTIYKAMGTEYVDGQTKPTWKLVDGICRESLAFETAKKEGVAETIIQRAEELYSSVNAKEVSSGRFNTQLAQVGSEGAQLLSNRTQAGSLCHKSKPTNRMEVLQKEVESAVTLICQKKLMELYKQRNTLELPILNSVAIAAREQPPPSTIGASCLYVMFRPDKKLYIGETDDLDGRVRSHRSKEGMQNATFLYFIVPGKSIARQLETLLINQLSSQGFPLTNLADGKHQNFGTSSLSLGSITVA >EOY06223 pep chromosome:Theobroma_cacao_20110822:4:31328166:31339101:-1 gene:TCM_021024 transcript:EOY06223 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein isoform 2 MYWLATRNAVVSIPRWRSLALLLRSPLNKYASFNSSSLLLGRQFGQIHCFKDKKILRETTKFTRKFKAPNRALDDKDLSHIIWWKERLQLCRKPSTLNLVKRLVYSNLLGVDVNLKNGSLKEGTLNCEILQFKSKFPREVLLCRVGDFYEALGIDACILVEYAGLNPFGGLRSDSIPRAGCPVVNLRQTLDDLTRNGYSVCIVEEVQGPTQARSRKGRFISGHAHPGSPYVFGLVGVDHDLDFPEPMPVVGISRSARGYCITLVLETMKTYSSEDGLTEEALVTKLRMCRYHHLFLHLSLRDNASGTCRWGEFGAGGLLWGECTTRHFEWFEGNPVTELLYKVKELYGLDDEVSFRNVTVPSESRPRPLHLGTATQIGAIPTEGIPCLLKVLLPSNCTGLPALYIRDLLLNPPAHEIASTIQATCKLMSSIKCSIPEFTCVASAKLVKLLELREANHIEFCRIKNVVDEILHMHRSTDLKEILKLLMDPAWVATGLKIDFETLVDECEWVSERIGQMIFLDGENDQKISSYANIPGEFFEDMESSWKGRVKKLHIEEEVAEVDSAAEALSLVVTEDFLPIVSRIKATSAPLGGPKGEILYAREHEAVWFKGKRFAPAVWAGTPGEEQIKQLKPALDSKGRKVGEEWFTTMKVEDALTRYHDAGGKAKARVLELLRGLSAELQTKINILVFASMLLVIAKALFAHVSEGRRRKWVFPILTGFSSSKGGESLDETRGMKIVGLTPYWFDVSEGCAVLNTVDMQSLFLLTGPNGGGKSSLLRSICAAALLGICGFMVPAESALIPQFDSVMLHMKSYDSPADGKSSFQVEMSELRSIISGASSRSLVLVDEICRGTETVKGTCIAGSIVETLDEIGCLEYVDGQTKPTWKLVDGICRESLAFETAKKEGVAETIIQRAEELYSSVNAKEVSSGRFNTQLAQVGSEGAQLLSNRTQAGSLCHKSKPTNRMEVLQKEVESAVTLICQKKLMELYKQRNTLELPILNSVAIAAREQPPPSTIGASCLYVMFRPDKKLYIGETDDLDGRVRSHRSKEGMQNATFLYFIVPGKSIARQLETLLINQLSSQGFPLTNLADGKHQNFGTSSLSLGSITVA >EOY03864 pep chromosome:Theobroma_cacao_20110822:4:19994217:19995976:1 gene:TCM_019066 transcript:EOY03864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMEMEIDLLFNLGNVENFDPEPDYVNYLSNIYLRNDNESSSDFRENEVVVNGSCPAASSVVERLVEVKIGIENDGFCCIVCIEEFEEGEIVKGLPCLHYYHGDCIIPWLRIRATCPLCRCELPTDGEADERSQGGSAAAAGGGGSG >EOY03092 pep chromosome:Theobroma_cacao_20110822:4:4639294:4643514:-1 gene:TCM_017539 transcript:EOY03092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane kinase 1 isoform 1 MTSLKEVWLQSNSFSGPLPDFSGLKDLQSLSLRDNSFTGPVPISLVNLGSLKTVNLTNNLLQGPVPEFKNSISVDMVKDSNSFCLPSPGECDPRVTVLLTVVKPMGYPQKLAENWKGNDPCADWLGITCGNGNITVVNFEKIGLTGTISPDFASLKSLQRLILADNNLTGSIPEELTSLIALKELDVSNNQLYGKIPTFKSNVILNTNGNPDIGKEKSSSTSPGTTADNPMEGKGSNSSGSSGNSGKKSSALIGIIVVSVLGGLVVVGLFGLLLFCLYKKKQKRFSRVQSPNAMVIHPRHSGSDNESVKITVAGSSVSVGAVSETHTIPNSEPGDIQMVEAGNMVISIQVLRNVTNNFSEENILGRGGFGVVYKGELHDGTKIAVKRMESGVISGKGLAEFKSEIAVLTKVRHRHLVALLGYCLDGNEKLLVYEYMPQGTLSRHIFNWAEEGLKPLEWTKRLIIALDVARGVEYLHGLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKGSIETRIAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMELITGRRALDESQPEESMHLVTWFKRMHINKDLFRKAIDPTIDLIEETLASISTVAELAGHCCAREPYQRPDMGHAVNVLASLVELWKPTYQCSEDIYGIDLEMSLPQALKRWQAYEGRSNLESSSSSLLPSLDNTQTSIPTRPYGFAESFTSADGR >EOY03091 pep chromosome:Theobroma_cacao_20110822:4:4638856:4643550:-1 gene:TCM_017539 transcript:EOY03091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane kinase 1 isoform 1 MKKETHVGCCFNRFAIVLGLSLIFVSVKSQKSASDDAAVMLALRKTLNPPESLGWSDTDPCKWSHVVCSEGKRVTRIQIGHQNLQGTLPSNLQNLTELERLELQWNNISGSVPSLNGLSSLQVVMLSNNRFTSFPDDFFSGLSSLQSVEIDKNPFSAWEIPHSLKNASALQNFSANSANISGKIPDIFGPDEFPGLTILHLAFNSLEGELPSSFSGSPIQSLWVNGQESNGKLTGSIAVIQNMTSLKEVWLQSNSFSGPLPDFSGLKDLQSLSLRDNSFTGPVPISLVNLGSLKTVNLTNNLLQGPVPEFKNSISVDMVKDSNSFCLPSPGECDPRVTVLLTVVKPMGYPQKLAENWKGNDPCADWLGITCGNGNITVVNFEKIGLTGTISPDFASLKSLQRLILADNNLTGSIPEELTSLIALKELDVSNNQLYGKIPTFKSNVILNTNGNPDIGKEKSSSTSPGTTADNPMEGKGSNSSGSSGNSGKKSSALIGIIVVSVLGGLVVVGLFGLLLFCLYKKKQKRFSRVQSPNAMVIHPRHSGSDNESVKITVAGSSVSVGAVSETHTIPNSEPGDIQMVEAGNMVISIQVLRNVTNNFSEENILGRGGFGVVYKGELHDGTKIAVKRMESGVISGKGLAEFKSEIAVLTKVRHRHLVALLGYCLDGNEKLLVYEYMPQGTLSRHIFNWAEEGLKPLEWTKRLIIALDVARGVEYLHGLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKGSIETRIAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMELITGRRALDESQPEESMHLVTWFKRMHINKDLFRKAIDPTIDLIEETLASISTVAELAGHCCAREPYQRPDMGHAVNVLASLVELWKPTYQCSEDIYGIDLEMSLPQALKRWQAYEGRSNLESSSSSLLPSLDNTQTSIPTRPYGFAESFTSADGR >EOY02356 pep chromosome:Theobroma_cacao_20110822:4:543622:559414:1 gene:TCM_016863 transcript:EOY02356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG-binding domain-containing protein 9, putative isoform 1 MELTDSNDSKPETRSPLGIDLNEIPSSSFNETLPDSDSAEPDSFSIVRAIHENPDPALGEPAGVPVGKEEEPCGACGTAAAAGGGLVVVCDGCERGFHLACAGISGRLSAAEEWVCAECVSRGVRSKRWPLGLKAKKRILDINASPPSDGDGDGEEVQELLRKHIPGDNSFVGNGFGAPLKYSNFLYAGNGFGFQKASGIMTHAVKVGFEDILCHTRTRDRSFDEVDLGFPLGRLRSSNNTTIRFPSRNTSDIFLQDLREFVSERHGVLEEGWRVELKHSMSSCELYAVYCAPDGKTFDSMFDVACYLGLMSNYNLMDAEIKREGASLQERLLLPRKRKSTRFSIANGFPENKEGLISSYCKEFSCDGQSVEKCANKSGIMKVTEALQYGKVSSESEQINDGLPVQFEDFFVLSLGLVDTRPSYHDASLIFPIGYRSCWHDKITGSFFVCEVCDGGDSGPIFKVRRCSCSALPLPIGSTVLFWPHIDQTFCHNKEEGDAMCYDNKEFDDDGCIQMILSDPCAPMESDILTCLGSSLKETCGVQNFDRSQLEAGSVCDKSGDLLMEEIGRISVEERSSSAAWKTMSQKFIDACSEICRRKGSLKFSCKHVGKEMELSSWDMMDEKTKETYAPLVKFCGFPISFSIPFEHQADELETLADELTKWLGQDRFGLDAEFVQEIIEELPGIEACSRYESLKNRSSYLGSLTIRNGLLKIKTQGGLECKGEKGLYGLFGKSKMLRLVDDRGPPAGKPLCSRLPVELVGDFYQVWELLWRFHEVMGLKEPLLANELEEELINPWSNHSNLFRKFDGESQGSDVLSLSRIDGMGEQNVSPSDESCMATSTKNPHSFLQMETGEMMEADQARLASLSYRRCFGVTLTKTHSSLLGVLISELQSKVAALVDPNFDSGESRSKRGRKKDVDGTAPAKRVKLSVLPVNELTWPELARRYVLAVLSMDGNLDSAEITARESGKVFRCLQGDGGVLCGSLTGVAGMEADALLLAEATKRIFGSLNIKSDVLTVEDEGPDDNVACEKNVVNDGDIPEWAKLLEPVRKLPTNVGTRIRRCVYDALAKDPPEWAKKILEHSISKEVYKGNASGPTKKAVLSVLADVRNECLAKKSEKGRSKKKTVLSVSDIIMKECRIILRRAAAADDSKIFCNLLGRKLMNSSDNDDEGLLGSPAMVSRPLDFRTIDLRLAVGAYGGSHEAFLKDVRELWSNVRTAYTDQPDLVELAESLSQNFESLYEQEVLTLVQKLAEYAKLECLNAETKKEINDLLASTSEIPKAPWDEGVCKVCGIDKDDDSVLLCDTCDAEYHTYCLNPPLARIPEGNWYCPSCVLSKRMVQDASEHSQVIIRRRDKKYQGEVTRGYLEALAHLGAVLEEKEYWQFSIDERIFLLKFLCDELLNSALIRQHLEQCAETSELHQKLRSAYVEWKNLKSREDFVAAKAAKIDTSMSNAVGDVGVKDGDDWLPSDGGKEGADLNGSNKYASATYTEKNFTANGQTLNPMDTEAQLKGDQAIVDASKVSSQKSDKSFRPSELLVPNHLSQEIENSSKETSFQGKLEESKGMDVASPPSPSDCNGQFPPSDAAKQVPSVTENESQSHHLELNTIKNDIQRLQDLITSLESQLLKLSVRKEFLGSDSAGRLYWISAMPGGYPQVIVDGSLVLQKKRKFLGYEERVQNTFIWNSASAGTDNGMKAEGSKASCPFLYNSKDAISVGSPWVTYQTEAEIEGLIDWLNDNEPKEKELKEAILQKLKFQDYQKMKNQDQDECQTAFSMSSGSDKGSFSSFLGTKAAMLLEKKYGPCFKSEITDSLKKRGKKARVINGDKMYRCKCLEPIWPSRNHCISCHKTFFSDVEFEDHNDGKCNLGSPLNEKSTSVGDSLKGKGNMNIDINRVDCTVDMEIVETSKSGHSELSSRLIKFQNEGLVCPYNFEEISTKFVTRDSNEELVREIGLIGSNGVPSFVSSVSHFVSDSTLMTVRPHQERGDLGDKLKATEMPGFSQGNRSVANGINERLSDNSFRRSVASEIEVQRTIRPALRCLEQRDRISSADKYSPELGIGRCCVVPQSSLRPLVGKVSQISRQLKINLLDMDAALSEEALRPSKACMERRWAWRSFVKSAETIYEMVQATIVLEDMIKTEYLRNEWWYWSSLSAAVKISTVSSLALRIYSLDSAIIYEKSFEFHSIDNLKPSSIPDPKLLPNLDLAEKCKVSRKTSKKRKEPEG >EOY02358 pep chromosome:Theobroma_cacao_20110822:4:543553:560015:1 gene:TCM_016863 transcript:EOY02358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG-binding domain-containing protein 9, putative isoform 1 MELTDSNDSKPETRSPLGIDLNEIPSSSFNETLPDSDSAEPDSFSIVRAIHENPDPALGEPAGVPVGKEEEPCGACGTAAAAGGGLVVVCDGCERGFHLACAGISGRLSAAEEWVCAECVSRGVRSKRWPLGLKAKKRILDINASPPSDGDGDGEEVQELLRKHIPGDNSFVGNGFGAPLKYSNFLYAGNGFGFQKASGIMTHAVKVGFEDILCHTRTRDRSFDEVDLGFPLGRLRSSNNTTIRFPSRNTSDIFLQDLREFVSERHGVLEEGWRVELKHSMSSCELYAVYCAPDGKTFDSMFDVACYLGLMSNYNLMDAEIKREGASLQERLLLPRKRKSTRFSIANGFPENKEGLISSYCKEFSCDGQSVEKCANKSGIMKVTEALQYGKVSSESEQINDGLPVQFEDFFVLSLGLVDTRPSYHDASLIFPIGYRSCWHDKITGSFFVCEVCDGGDSGPIFKVRRCSCSALPLPIGSTVLFWPHIDQTFCHNKEEGDAMCYDNKEFDDDGCIQMILSDPCAPMESDILTCLGSSLKETCGVQNFDRSQLEAGSVCDKSGDLLMEEIGRISVEERSSSAAWKTMSQKFIDACSEICRRKGSLKFSCKHVGKEMELSSWDMMDEKTKETYAPLVKFCGFPISFSIPFEHQADELETLADELTKWLGQDRFGLDAEFVQEIIEELPGIEACSRYESLKNRSSYLGSLTIRNGLLKIKTQGGLECKGEKGLYGLFGKSKMLRLVDDRGPPAGKPLCSRLPVELVGDFYQVWELLWRFHEVMGLKEPLLANELEEELINPWSNHSNLFRKFDGESQGSDVLSLSRIDGMGEQNVSPSDESCMATSTKNPHSFLQMETGEMMEADQARLASLSYRRCFGVTLTKTHSSLLGVLISELQSKVAALVDPNFDSGESRSKRGRKKDVDGTAPAKRVKLSVLPVNELTWPELARRYVLAVLSMDGNLDSAEITARESGKVFRCLQGDGGVLCGSLTGVAGMEADALLLAEATKRIFGSLNIKSDVLTVEDEGPDDNVACEKNVVNDGDIPEWAKLLEPVRKLPTNVGTRIRRCVYDALAKDPPEWAKKILEHSISKEVYKGNASGPTKKAVLSVLADVRNECLAKKSEKGRSKKKTVLSVSDIIMKECRIILRRAAAADDSKIFCNLLGRKLMNSSDNDDEGLLGSPAMVSRPLDFRTIDLRLAVGAYGGSHEAFLKDVRELWSNVRTAYTDQPDLVELAESLSQNFESLYEQEVLTLVQKLAEYAKLECLNAETKKEINDLLASTSEIPKAPWDEGVCKVCGIDKDDDSVLLCDTCDAEYHTYCLNPPLARIPEGNWYCPSCVLSKRMVQDASEHSQVIIRRRDKKYQGEVTRGYLEALAHLGAVLEEKEYWQFSIDERIFLLKFLCDELLNSALIRQHLEQCAETSELHQKLRSAYVEWKNLKSREDFVAAKAAKIDTSMSNAVGDVGVKDGDDWLPSDGGKEGADLNGSNKYASATYTEKNFTANGQTLNPMDTEAQLKGDQAIVDASKVSSQKSDKSFRPSELLVPNHLSQEIENSSKETSFQGKLEESKGMDVASPPSPSDCNGQFPPSDAAKQVPSVTENESQSHHLELNTIKNDIQRLQDLITSLESQLLKLSVRKEFLGSDSAGRLYWISAMPGGYPQVIVDGSLVLQKKRKFLGYEERVQNTFIWNSASAGTDNGMKAEGSKASCPFLYNSKDAISVGSPWVTYQTEAEIEGLIDWLNDNEPKEKELKEAILQKLKFQDYQKMKNQDQDECQTAFSMSSGSDKGSFSSFLGTKAAMLLEKKYGPCFKSEITDSLKKRGKKARVINGDKMYRCKCLEPIWPSRNHCISCHKTFFSDVEFEDHNDGKCNLGSPLNEKSTSVGDSLKGKGNMNIDINRVDCTVDMEIVETSKSGHSELSSRLIKFQNEGLVCPYNFEEISTKFVTRDSNEELVREIGLIGSNGVPSFVSSVSHFVSDSTLMTVRPHQERGDLGDKLKATEMPGFSQGNRSVANGINERLSDNSFRRSVASEIEVQRTIRPALRCLEQRDRISSADKYSPELGIGRCCVVPQSSLRPLVGKVSQISRQLKINLLDMDAALSEEALRPSKDMIKTEYLRNEWWYWSSLSAAVKISTVSSLALRIYSLDSAIIYEKSFEFHSIDNLKPSSIPDPKLLPNLDLAEKCKVSRKTSKKRKEPEG >EOY02357 pep chromosome:Theobroma_cacao_20110822:4:543618:558991:1 gene:TCM_016863 transcript:EOY02357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG-binding domain-containing protein 9, putative isoform 1 MELTDSNDSKPETRSPLGIDLNEIPSSSFNETLPDSDSAEPDSFSIVRAIHENPDPALGEPAGVPVGKEEEPCGACGTAAAAGGGLVVVCDGCERGFHLACAGISGRLSAAEEWVCAECVSRGVRSKRWPLGLKAKKRILDINASPPSDGDGDGEEVQELLRKHIPGDNSFVGNGFGAPLKYSNFLYAGNGFGFQKASGIMTHAVKVGFEDILCHTRTRDRSFDEVDLGFPLGRLRSSNNTTIRFPSRNTSDIFLQDLREFVSERHGVLEEGWRVELKHSMSSCELYAVYCAPDGKTFDSMFDVACYLGLMSNYNLMDAEIKREGASLQERLLLPRKRKSTRFSIANGFPENKEGLISSYCKEFSCDGQSVEKCANKSGIMKVTEALQYGKVSSESEQINDGLPVQFEDFFVLSLGLVDTRPSYHDASLIFPIGYRSCWHDKITGSFFVCEVCDGGDSGPIFKVRRCSCSALPLPIGSTVLFWPHIDQTFCHNKEEGDAMCYDNKEFDDDGCIQMILSDPCAPMESDILTCLGSSLKETCGVQNFDRSQLEAGSVCDKSGDLLMEEIGRISVEERSSSAAWKTMSQKFIDACSEICRRKGSLKFSCKHVGKEMELSSWDMMDEKTKETYAPLVKFCGFPISFSIPFEHQADELETLADELTKWLGQDRFGLDAEFVQEIIEELPGIEACSRYESLKNRSSYLGSLTIRNGLLKIKTQGGLECKGEKGLYGLFGKSKMLRLVDDRGPPAGKPLCSRLPVELVGDFYQVWELLWRFHEVMGLKEPLLANELEEELINPWSNHSNLFRKFDGESQGSDVLSLSRIDGMGEQNVSPSDESCMATSTKNPHSFLQMETGEMMEADQARLASLSYRRCFGVTLTKTHSSLLGVLISELQSKVAALVDPNFDSGESRSKRGRKKDVDGTAPAKRVKLSVLPVNELTWPELARRYVLAVLSMDGNLDSAEITARESGKVFRCLQGDGGVLCGSLTGVAGMEADALLLAEATKRIFGSLNIKSDVLTVEDEGPDDNVACEKNVVNDGDIPEWAKLLEPVRKLPTNVGTRIRRCVYDALAKDPPEWAKKILEHSISKEVYKGNASGPTKKAVLSVLADVRNECLAKKSEKGRSKKKTVLSVSDIIMKECRIILRRAAAADDSKIFCNLLGRKLMNSSDNDDEGLLGSPAMVSRPLDFRTIDLRLAVGAYGGSHEAFLKDVRELWSNVRTAYTDQPDLVELAESLSQNFESLYEQEVLTLVQKLAEYAKLECLNAETKKEINDLLASTSEIPKAPWDEGVCKVCGIDKDDDSVLLCDTCDAEYHTYCLNPPLARIPEGNWYCPSCVLSKRMVQDASEHSQVIIRRRDKKYQGEVTRGYLEALAHLGAVLEEKEYWQFSIDERIFLLKFLCDELLNSALIRQHLEQCAETSELHQKLRSAYVEWKNLKSREDFVAAKAAKIDTSMSNAVGDVGVKDGDDWLPSDGGKEGADLNGSNKYASATYTEKNFTANGQTLNPMDTEAQLKGDQAIVDASKVSSQKSDKSFRPSELLVPNHLSQEIENSSKETSFQGKLEESKGMDVASPPSPSDCNGQFPPSDAAKQVPSVTENESQSHHLELNTIKNDIQRLQDLITSLESQLLKLSVRKEFLGSDSAGRLYWISAMPGGYPQVIVDGSLVLQKKRKFLGYEERVQNTFIWNSASAGTDNGMKAEGSKASCPFLYNSKDAISVGSPWVTYQTEAEIEGLIDWLNDNEPKEKELKEAILQKLKFQDYQKMKNQDQDECQTAFSMSSGSDKGSFSSFLGTKAAMLLEKKYGPCFKSEITDSLKKRGKKARVINGDKMYRCKCLEPIWPSRNHCISCHKTFFSDVEFEDHNDGKCNLGSPLNEKSTSVGDSLKGKGNMNIDINRVDCTVDMEIVETSKSGHSELSSRLIKFQNEGLVCPYNFEEISTKFVTRDSNEELVREIGLIGSNGVPSFVSSVSHFVSDSTLMTVRPHQERGDLGDKLKATEMPGFSQGNRSVANGINERLSDNSFRRSVASEIEVQRTIRPALRCLEQRDRISSADKYSPELGIGRCCVVPQSSLRPLVGKVSQISRQLKINLLDMDAALSEEALRPSKACMERRWAWRSFVKSAETIYEMVQATIVLEDMIKTEYLRNEWWYWSSLSAAVKISTVSSLALRIYSLDSAIIYEKSFEFHSIDNLKPSSIPDPKLLPNLDLAEKCKVSRKTSKKRKEPEG >EOY06236 pep chromosome:Theobroma_cacao_20110822:4:31385636:31387451:-1 gene:TCM_021036 transcript:EOY06236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caldesmon, putative MGKKNEKTLNEPVSTLDLKSMIHENALFFDKLIELIPARFYLSNEKDKPWFQGLSKAEKASAKKQSRENIKKARRDRLDPKKSTKTTLDLLKENLEKEKVIKESDEEEIEVKPIMSDLGNGEERSVTYEELRQRLRRKIEELRGGRNTGGSDKGKKKIEKKGNVQKKRKRDSGAEEKKVTSSTDRDNVEKDMEEAAKELTFSRVKLGDEEKHGKKKKRKLSKFKELENATKLQEAKKDPEKGEVIAKKHSWKAAMDRAAGIKVHDDPKLLKQSIQKEKKRHQKNVEKWNERVETTEKLKTEKQQKRSDNIAEKIHQKKMRRIAKREKKLLRPGFEGRKDGFINEGST >EOY05986 pep chromosome:Theobroma_cacao_20110822:4:30532317:30536669:1 gene:TCM_020843 transcript:EOY05986 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative MMITKSKSTNEEQATLKPEYDRASELKAFDETKAGVKGLVDAGIKEVPRIFYQPRDQFEKDSVSGGTQVSIPVIDLEGVEKNPITRKEIVQKVQIASKTWGFFQVLNHGIPVSVMEEMMDGVRRFFEQDVEAKKQLFSRDYTKRVVYNSNFDLYSAPAAKWRDTVFCSMAPNPPKPEELPTVFRDITLEYSKQIMKLGYLLFELLSEALGLNPDYLRDIDCAKGLVMLCHYYPICPQPELTLGSSKHADNGFLTVLLQDHVGGLQVLHENHWIDVPPAPGALVINIGDLLQLISNDSCTSVAHRVLTNSVGPRVSVASFFTTALLPDARLYGPIKELLSEENPSKYRETTVKDYITYFNAKGLSGTSPLPHFRL >EOY03148 pep chromosome:Theobroma_cacao_20110822:4:6409753:6415707:1 gene:TCM_017705 transcript:EOY03148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family with FYVE zinc finger domain, putative isoform 1 MIWGEGVVGGNICGASDSSGIQNGLKTDTLLPKLLESATMLDVQRISLGARHAALVTKQGEVFCWGDGNGGRLGHKINMDISHPKLVESLSGVAVKAVACGEYQTCVLTQSGEVYTWGGDFHGTNLVGEVRNTSQWLPHKISGPLDGVNVLSVSCGEWHTAIVSSAGKLFTYGDGTFGVLGHGNTLSLLQPKEVEFFKDLWVKSVSCGPWHMAAIAEIMTDRNKLNATCGKLYTWGDGDKGRLGHADGERKLLPTCVVQLVDFDFLQVSCGRMLTAALTSLGTVYTMGSAIHGQLGNPQAKDKSITVVEGKLKQEFVREISSGSYHVAVLTSAGSVYTWGSGSKGQLGLGDIEDRNMPTLVELLRDQQVESIACGSSLTAAICLHKSITINDQSACTGCKMTFGFTRKKHNCYNCGLLFCHACSSKKIANASLAPNKSKPSRVCNPCFNHLQKITNSSKLLNFAKRTTRQPLTPQRTFLDEKDAKEAIPTKSPLLSVKHSTYEENQDTERKTSKTQSEKQQYLEPASYFSVATPRWGQVSFPVLFEAPHSKNSSASSPLFRNKLPLVDPDSLQRASLQSKSTVCNSTIWEIDLTKSNKMLNEEVQRLKAEARSLEMQCQIGSQKIQECQRKIEHAWSLAKDEAEKCKAAKEFIKALALRLHSMSEKFPAGREQKTKSDVHLSRMMPLNTDSSNLEGVHPLSIAANLPPEVKFSTERQVDSLCGTPIVFSNKLKSMQARDGVHEKDRPLQDSHVTQMEAGQNGIKDSKLEWVEQYEPGVYVTFTILASGQKGLKRVRFSRKRFTEKKAEQWWEDNQLTVYRKYGIEGYLNSNQNQSKS >EOY03149 pep chromosome:Theobroma_cacao_20110822:4:6407966:6415734:1 gene:TCM_017705 transcript:EOY03149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family with FYVE zinc finger domain, putative isoform 1 MIWGEGVVGGNICGASDSSGIQNGLKTDTLLPKLLESATMLDVQRISLGARHAALVTKQGEVFCWGDGNGGRLGHKINMDISHPKLVESLSGVAVKAVACGEYQTCVLTQSGEVYTWGGDFHGTNLVGEVRNTSQWLPHKISGPLDGVNVLSVSCGEWHTAIVSSAGKLFTYGDGTFGVLGHGNTLSLLQPKEVEFFKDLWVKSVSCGPWHMAAIAEIMTDRNKLNATCGKLYTWGDGDKGRLGHADGERKLLPTCVVQLVDFDFLQVSCGRMLTAALTSLGTVYTMGSAIHGQLGNPQAKDKSITVVEGKLKQEFVREISSGSYHVAVLTSAGSVYTWGSGSKGQLGLGDIEDRNMPTLVELLRDQQVESIACGSSLTAAICLHKSITINDQSACTGCKMTFGFTRKKHNCYNCGLLFCHACSSKKIANASLAPNKSKPSRVCNPCFNHLQKITNSSKLLNFAKRTTRQPLTPQRTFLDEKDAKEAIPTKSPLLSVKHSTYEENQDTERKTSKTQSEKQQYLEPASYFSVATPRWGQVSFPVLFEAPHSKNSSASSPLFRNKLPLVDPDSLQRASLQSKSTVCNSTIWEIDLTKSNKMLNEEVQRLKAEARSLEMQCQIGSQKIQECQRKIEHAWSLAKDEAEKCKAAKEFIKALALRLHSMSEKFPAGREQKTKSDVHLSRMMPLNTDSSNLEGVHPLSIAANLPPEVKFSTERQVDSLCGTPIVFSNKLKSMQARDGVHEKDRPLQDSHVTQMEAGQNGIKDSKLEWVEQYEPGVYVTFTILASGQKGLKRVRFSRKRFTEKKAEQWWEDNQLTVYRKYGIEGYLNSNQNQSKS >EOY06672 pep chromosome:Theobroma_cacao_20110822:4:32620132:32622079:-1 gene:TCM_021326 transcript:EOY06672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitinase-like protein 2 MEAKCLVLLTMAVMLALANGQESVKPVVKIVKGKKVCDRGWECKGWSQYCCNQTISDYFQTYQFENLFAKRNTPVAHAVGFWDYRSFITAAARYQPHGFGTTGGKLQSMKEVAAFLGHVGSKTSCGYGVATGGPLAWGLCYNKEMSPSKIYCDDYYKYTYPCTPGVSYHGRGALPIYWNYNYGETGEALKVDLLNHPEYLEDNATLAFQAAMWRWMTPVKKHQPSAHDVFVGNWKPTKNDTLAKRVPGFGTTMNVLYGDQVCGQGDSESMNNIVSHYLYYLDLIGVGREEAGPHDVLTCEEQEPFSVSPSSATSS >EOY04953 pep chromosome:Theobroma_cacao_20110822:4:26612772:26618155:-1 gene:TCM_020094 transcript:EOY04953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MSESENFGHQHPLVFNEKQSNQSEEACCSRCGEEVSLSAPSFGCVECGFHLHKKCVEAPSEINHPFHPKHPLLLLQNSPYVNGDCICNICDKTCKASIYHCSCGLDFHITCALFTYNIAQKNFEELQHVALEDPLISTGNDGEELESFQCFVCWKPLLSSTYFSLDCGFHLHKKCAELPLKINHMCHHKHPLVLQFNSQRFSCNICQETQKRGYVYCCLPCKVAVHIECVSTPPLPIVEDNSHQHPFTLFWIQFPFICSACGTEGNCAAYICTTCNIIVHKKCISLPHIIKSRWHHHLIFHKYFLHEEDLKYWDCIICHDEVNAEHGSYYCSDCKIIAHVNCVTKNETWYYIVSPENEDEKSIDSLALLPGESIDSITCVIERNDAGEATKIKHFKHMHELMLSEQIVEYDKYCEGCMLLISASFYYCLECGFFLHQACAELPKMKHVWFHDCQQSVLILTSDYIFRCRLCQHISNGFAYKCNECDDRVCLLCVTLTPDTLTCQGHKHPLLFYIEYEGKCCACGGDIRAAYGCKDCNFALHLSCVAIPTIARHKFDEHVLALTYSDDNDYSECHYCDVCEEGIDLNYWFFHCATCETSAHRSCVLGHYPYIKLGSIYKEGDHPHPLIFVKKIYYYPNKTFIAHYPDLFSFVHLADDCVGLKLLSWNDTFAVSQLEKNAFLQMEEDLKNNCLAFPIGFTRGFGLKRKCVEWLKEWQKPPYTPLYADASHLDPRTDVSEKRIVGVFHEFLHLTIEKKTERQNVSNLLKPLSLPQKFTKVFERHPGIFYISKRCDTQTVVLREAYDCQRLIQRHPLVDIRERFASMMRKGFMDRSRGLYKKTANVGLEDPSKIVLGDKACGNGLDSEVESDCDLFSEYGSMTQLIALPEFLLKKC >EOY05162 pep chromosome:Theobroma_cacao_20110822:4:27445016:27447983:1 gene:TCM_020239 transcript:EOY05162 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MVPPEQDRLVYNIRLSSVGPGRVTGTDVVHELSGLDLAMKLHYLKGVYFFGSQAVEGLTITRMKEIMFFSLNDYYITSGRIKRSESGRPYIKCNDCGVRFVEGLCDKTIDEWLEMGDDSLDNLLVYHRAIGPELSFSPSVYLQVTWFKCGGISVGMSWAHILGDAFSASDFINSWGQYMAGLKLNGPLTLSKSVAKIEKPDDPTQLAKEPLSAKQVNPVGDLWVTANNCKMETFSFFLSKLQLLTLHVKICGEDGGKRISPFESVCAVIWQCIARVKEAYEPQIVTVCRKDPYHDINVPSNSQIISAVKANFSVTEADLNKLAMLLAEDQGLDERNKIEAAVEKENGVTDYIMYGTNLTFVNLEDAGLYELELKGHKPMFAYYSIQGVGDEGAVLFLPGPPSTESDGKERLVTITLPEDQLLKLKSELKRSGLLLATELE >EOY05773 pep chromosome:Theobroma_cacao_20110822:4:29748176:29750700:-1 gene:TCM_020687 transcript:EOY05773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQSYLFFADDSLLFATVDSRERERYTSLALRLQRDTLNTNYLVMKEILLVKSDLEKRKLNVEKSCLQYGEEEESMMHAIEDCSLANAVWEQLNFKWSSDSNGFLNVAELVALGGM >EOY04283 pep chromosome:Theobroma_cacao_20110822:4:23159161:23165040:-1 gene:TCM_019534 transcript:EOY04283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein MSSRTRIESPSSLFPHHYSALELEVVDIGRTSQTTLQYANKASWTAYVADSHWTAEWPQTSLDWNPIKLVSNLSNTPAATATSSKEYLKLPLLHKTPFPSPTQTILFDIHRISYLHRHQHHKNPKGSIKSPVVSGAPSGSSQYFVELRLGSPPQPLLLVVDTGSDLLWVTCSACRHNCSFFHSPGSTFLARQSSSFAPHHCFDPTCRLVPHPDPNPCNRTRLHSPCRYQYLYSDGSTTRGFFSKDTTTLNISSGREAKLEKLSFGCGFQILGPSVSGASFNGAQGVMGLGRGPISFASQLGRHFGNKFSYCLMDYTLSPPPTSYLIIGEGGDDGDKQNAISRNPKMSYTPLLINPLSPTFYYIGIKSVKVNNVKLRIDPSVWSLDELGNGGTIMDSGTTLTFLPEPAYVKILTAIKRRVRLPSPAELTPGFDLCFNVTGESRQKLPRLSFELAGGSVLEPPPRNYFIETEEDIKCFAVQPFGNGMGFSVIGNLMQQGFLFEFDRDKSRLGFSRHGCTSS >EOY03478 pep chromosome:Theobroma_cacao_20110822:4:16233045:16238402:-1 gene:TCM_018567 transcript:EOY03478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase 2, putative MFPEQLLETIAKTDSVITGKSWFFQVPWHVPCKGACYGDGNLVFIANDWHTALLPMYLKAYYRDNGLMSFTRSVLVIHKIAHQGKGLVEDFYHVDFLEHYMDLFKLYEPVEGDHFNIFTARLKTTDIVVTVSHGIILVVHAINGMKDIVQPFKAFEKSKLGGTFDSADANKLIHALGNCLLTYHK >EOY04068 pep chromosome:Theobroma_cacao_20110822:4:21838922:21841072:1 gene:TCM_019331 transcript:EOY04068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKLVERTGIPFLLTPMGKGLVNDDHELAASAANGCTTMLYSADGSRKLTERGDACGRGELKLQLEPRETEERERCRVLGWGGIRRREENIYNLCLTSTLMTKGPWRENRALPVFHFQLLDGRPS >EOY05977 pep chromosome:Theobroma_cacao_20110822:4:30501488:30502550:1 gene:TCM_020836 transcript:EOY05977 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxyacyl-CoA dehydratase 1, putative MCSLEKRGNLFILTLITDQDVEHRLNPNLFTSIISALSKAKAQSTRGSALVTVSHGKFFSNGLDLAWVKAAGSNQEAQQRFDQLLHCLNQLVLAFISLPMPTIAAVNGHAAAAGVVLALSHDYVLMRRDRGVLYMSDLDLGIKIPEPFMALFRAKLSPGSTRRDLLLRGLKIKGEEAVKMGIVDAVHDGEEGVSEAAMKMADGLVKRNWDAQVYVEIRKGLYPELCGMLGLATEVIATPRL >EOY05019 pep chromosome:Theobroma_cacao_20110822:4:26860333:26867463:-1 gene:TCM_020134 transcript:EOY05019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MSYPQVEDFYYMAEDFVDDMDEDNYGRGGGDMDADEYDMLTKVTDTSSAQARKGKDIQGIPWERLNITREKYRLTRLEQYKNYENIPSSGEAVDKECKQMEKGGNYYEFFHNTRLVKPTILHFQHLDRKGVSFCTRTTFDDNAITNAIEIYDSLRGGINFMASNNDCSMREYDTERYQLLNHFRFPWPVNHTSVSPDRRLITVVGDHLDGLLVDSQNGKTVAAVVGHLDYSFASAWHPDGRLFATGNQDKTCRVWDIRNLSLPVVTLKGNLGAVRSIRFSSDGQFMVVAEPADFVHVYSTGADYQKRQEIDFFGEISGVSLSPDDESLYIGIWDRTYASLLQYNKRHTYGYLDSYL >EOY05018 pep chromosome:Theobroma_cacao_20110822:4:26860165:26867546:-1 gene:TCM_020134 transcript:EOY05018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MSYPQVEDFYYMAEDFVDDMDEDNYGRGGGDMDADEYDMLTKVTDTSSAQARKGKDIQGIPWERLNITREKYRLTRLEQYKNYENIPSSGEAVDKECKQMEKGGNYYEFFHNTRLVKPTILHFQLRNLVWATSKHDVYLMSNYSVMHWSSLSCNLSEILNFSGHVAPTEKHPGSLLEGFTQTQISTLAVKDNFMVAGGFQGELTIKHLDRKGVSFCTRTTFDDNAITNAIEIYDSLRGGINFMASNNDCSMREYDTERYQLLNHFRFPWPVNHTSVSPDRRLITVVGDHLDGLLVDSQNGKTVAAVVGHLDYSFASAWHPDGRLFATGNQDKTCRVWDIRNLSLPVVTLKGNLGAVRSIRFSSDGQFMVVAEPADFVHVYSTGADYQKRQEIDFFGEISGVSLSPDDESLYIGIWDRTYASLLQYNKRHTYGYLDSYL >EOY05060 pep chromosome:Theobroma_cacao_20110822:4:27018744:27029816:1 gene:TCM_020164 transcript:EOY05060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSSGLSLGLRIPPSIRPWTAFDPLFSATKLGPYYGLIAAHRLQIQFALLHTPPNSVALPNFPDNHLFLICILEKLSGGEAGCSPVTRCSENTFSLQRDVYRKIVMTRNSHRARDNGCDVPIDTITWNVNRNPVRL >EOY02841 pep chromosome:Theobroma_cacao_20110822:4:2544051:2545769:-1 gene:TCM_017248 transcript:EOY02841 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 75 MENYQMFFPISSSAASAYPFPTNMAPSSQVFNNFHGNSSNGFLGLKTESFIQKPEVKELVQNGSFVGSETEVKLGKKKEKKIRKPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLTKDESVVVTTYEGMHTHPIEKSTDNFEHILSQMQIYTPF >EOY03138 pep chromosome:Theobroma_cacao_20110822:4:6109731:6121210:1 gene:TCM_017682 transcript:EOY03138 gene_biotype:protein_coding transcript_biotype:protein_coding description:F12P19.7 isoform 1 MSPSSSSSSSSSTSWTLVPRLFLLACFFTSKWLMAIDGAATVKVGNISKVEDAQNYHIYYGQTFKVIKNVIDGKSYLLIQSDSRMAGRTRYCTSRIKSFVIPLSNFSADTNSFPGIIELLGLLGSMKGMTSNSVASECALKMYAEGEINIINKTELTQFAAHFISDNAQTQACNVANFAAVGEETPLQRAEWIKFVGAFANLENRANQVYKAVKDNYLCLTKFAEAKKKTFKPIVAWMEYYNGIWSFTKETYKLKYVEDAGGENVDGSINKITYNISNPDDIEELHAILCTIDILIDETYASDPVGYTQAAFLQNINIEDKSCFGFVTNQSLWRYDKRIQNLTTLDWFDGAVSQPQLVLADLIEILFPSGNYNTTYFRNIAKGEGVMSIGPNMCDRNISTAMDPIIPACR >EOY03137 pep chromosome:Theobroma_cacao_20110822:4:6109523:6121370:1 gene:TCM_017682 transcript:EOY03137 gene_biotype:protein_coding transcript_biotype:protein_coding description:F12P19.7 isoform 1 MSPSSSSSSSSSTSWTLVPRLFLLACFFTSKWLMAIDGAATVKVGNISKVEDAQNYHIYYGQTFKVIKNVIDGKSYLLIQSDSRMAGRTRYCTSRIKSFVIPLSNFSADTNSFPVSFIELLGLLGSMKGMTSNSVASECALKMYAEGEINIINKTELTQFAAHFISDNAQTQACNVANFAAVGEETPLQRAEWIKFVGAFANLENRANQVYKAVKDNYLCLTKFAEAKKKTFKPIVAWMEYYNGIWSFTKETYKLKYVEDAGGENVDGSINKITYNISNPDDIEELHAILCTIDILIDETYASDPVGYTQAAFLQNINIEDKSCFGFVTNQSLWRYDKRIQNLTTLDWFDGAVSQPQLVLADLIEILFPSGNYNTTYFRNIAKGEGVMSIGPNMCDRNISTAMDPIIPACR >EOY06631 pep chromosome:Theobroma_cacao_20110822:4:32496810:32497398:-1 gene:TCM_021295 transcript:EOY06631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGVVTYEMEVATTIPPAKMFKAFVLDSDNLIQKILPQAIKSVTIVTLEGDGGAGIIKQVNFGEGYQFKYTKQRIDGIDQENFSYRYTVI >EOY06630 pep chromosome:Theobroma_cacao_20110822:4:32496618:32497313:-1 gene:TCM_021295 transcript:EOY06630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGVVTYEMEVATTIPPAKMFKAFVLDSDNLIQKILPQAIKSVTIVTLEGDGGAGIIKQVNFGEGSQFKYTKQRIDGIDQENFSYRYTVI >EOY06187 pep chromosome:Theobroma_cacao_20110822:4:31251571:31255299:1 gene:TCM_021002 transcript:EOY06187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein, putative MSIILMLLFFSLVTVNISFNEGCIESERQALFMFKQDLINHTNRLASWTLDEDCCDWVGVVCDNVTGHVLQLHLRNPLSSPADLYASDADHEAFERSKLRGKINPSLLELKHLSYLDLSNNAFEGIPIPKFLGSVESLRYLNLSRAGFKGLVPHQLGNLSSLQILDLHADHDHLSVANLQWLSGLSSLEHLDLCNVILTEVSNWLKVLNTLPSLQKLYMSGCQLPQVSPPTNLNLSSLAILDLSFNSLENTWVDWIFQIKSLVSLDLSCNSFRGCIFDGLKNMTSLTHLDLSFNTFNSSIPDWLYNLNSLQFLSLIGNNLQGLISSAVGNMSSAVSLDFSRNELEGKIPRSMGNLCNLKSIDYSDLNNNNLTGRIPNSMGILQSLQLLHLNGNHLSGEIPLSLKNCTYLMLLDFDDNEFHGHIPKWLGHDVPKLEVLILRSNKFSGYIPDQLCGLDSLQVLDLAYNNLFGSLPRCLSNFSAMVKTSGTTETYASLAASMWSGRFFENTILSRTFVASIMMKGQMLEYSTTLDLVRSIDFSNNNLSGEIPVEVTNLLGLRSLNLSHNLLTGTIPKNIGLMGTLESVDFSLNKLSGPIPESMSTLTFLNHLNLSYNNLIGQIPSSTQLQSLEPSNFVGNQLCGLPLPNKCFANGTIQTTRNRRGENDKGFVTDWFRFGMAYGFVIGFWSVFLPLVIDRRRWRSLYALFTFQKNLGNR >EOY04983 pep chromosome:Theobroma_cacao_20110822:4:26725854:26731291:-1 gene:TCM_020115 transcript:EOY04983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MVNHGLCFLTMQMQTKPTSSIFYNRFFFPLKTTTFSLHTATKSSSFIDSAKTRNKASKFLVYCNTQITQNGRNGNIEAAESIFYRMPFKSTVSWTAMLTAYADNGKISKAREVFDEMPERTTSSYNAMITAYNKNGCMVDEAYKLFCNMSERNAVSYAAMITGFVNKGRFDKAMEIYENTPSKWREPVCSNVLINGYLKVGRLDEAVGVSEGMVERDVVSWSLMVDGYCKSGRLAEARKLFDKMVERNVVTWTTMINGYMKMGNLIDGFGLFSSMRKESGVLVNSTTLSIMVEACGNFDRYREGIQMHGLVLQFGFEFDVFLGNSIITMYCRFGCIYAANLVFNMMRKKDLVSWNSLITGYVQENEVEEAYDLFEKMPKRDVVSWTTMIMGFSSKGQTEKSVELFRMMPEKDNVAWTAVISGFVSNEMYEEAFCWFTEMLQKSVKPNSLTLSSLLSASANLAILTQGQQIHGQAIKVHLEFDLSIQNSLVSMYLKCGNVSDACQVFMSIKEPNIVSFNSMITGFAQNGFGEEALKLFRKMQSEGQEPNQITFLAVLSACTHVGLVKVGWEYFKSMKSLYNIEPTPDHYACMVDLLGRAGLFDEAVDLIYSMPSEPHTGVWGALLGASRTHLRLDLAKLAAQQLTKLDPDNATPYVVLSNLYSILGKKKDGDQVRMDKKSKGIKKSPGCSWVIVKDKVHLFLAGDQSHKDSEEIRVTLRTIVKEMEELELTCNLQVTQFVKEVLFHPPKYVEQFVIQINHCHHNKLYLCYHYESHPQL >EOY06831 pep chromosome:Theobroma_cacao_20110822:4:33075819:33077315:1 gene:TCM_021439 transcript:EOY06831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stable protein 1 MEEAKGVVKHVLLAKFKDETTPEKIEELIKGYANLVNLIEPMKAFQWGKDVSIENLHQGFTHVFESTFESTEGLAEYVAHPVHVEFANLFLGHLEKVLVIDYKPMIAGC >EOY04322 pep chromosome:Theobroma_cacao_20110822:4:23878226:23882063:-1 gene:TCM_019606 transcript:EOY04322 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MKEVAVPKPFLVPVLGKEQKCVGNIFSSSLSAREKKLICVTSGNSYLGSCIVKELLARSYLVRVTIQHEAEFEDVKDLMGVEELNLLESVVVAKIEDSESLCDAFRGCHAVFHTSSFIDPHGISGYSEQMAFLETEGARNVMKACAKAAYIKRCIFTSSLLASIWRNDNIDRTIDESCWSSEEFCRENKLWLALGNTKAEKVAWMKAGELKVKLVTLCPGLLMAPTFPTAHKETSIPYLKGGQIMLQQGYLAISDVKKVAEAHVHVYEAMDYGASGRYFCFERVVKRLNEAIELENGLKMQGLLSGERHGLLSEDEGEEIPSKISNSKLATLLFQASQRLSCKS >EOY05382 pep chromosome:Theobroma_cacao_20110822:4:28358445:28360558:1 gene:TCM_020392 transcript:EOY05382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATEREDPVSISIGEMLQSLSPLSPDCCIARVPNYLRKANEQAYEPELIAIGPYHHAKPHLKAMEEHKIRYFQLLLQERRENDVSRYVMIIRSLEEKARKCYSDPFALESDDFVKMLLLDGCFIVQLIRKFSEIRLRDESDPIFKLVSLRGTIRRDTLLVENQLPLFVLWELYAMIEYPDQRTFMAIVFSFFCHILPGEGWPQNSLNSIRVIKHLVDLVHECWHPSPLELKAYQNLNKNVPWNFIHCVTELKEAGIKFQMKRGNSLFDLKFENGTMKIPTLRIYDSLEGTLRNLIAFEQFSSHRGLNHVTDYVLLFHCLVNSTKDVEILRQSGIIENMLGDDEEVARMLNRLGVSVFFSPDNFYYSELFNKVNKYCDRRWNKWIANLKHNYLNSPWALISFLAAVVLLLLTLVQTIFSVLSFYSK >EOY02994 pep chromosome:Theobroma_cacao_20110822:4:3380179:3391997:1 gene:TCM_017386 transcript:EOY02994 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box helicase MASLLPVPDISRPLILKLGSTSPSLRTLFHVNSPFLYKHMHKHRRNNRSSFPILTTQAVYTQGGVSISSLDTHKLAPKREKVELETDAISILNERIRREHGKREATRPVMDSQEADKYIQLVKEQQQRGLQKLKGDRERKEGGVFSYKVDPYTLRSGDYVVHKKVGVGRFVGIKFDVPKGSTEPIEYAFIEYADGMAKLPVKQAARMLYRYNLPNESKKPRTLSKLSDTSVWERRKIKGKVAIQKMVVDLMELYLHRLKQRRSPYPKSPAMAEFAAQFPYKPTPDQKQAFIDVEKDLTERETPMDRLICGDVGFGKTEVALRAIFCVVSAGRQAMVLAPTIVLAKQHFDVISERFSKYPSTKVGLLSRFQTKAEKEEHLNMIKKGDLAIIVGTHSLLGSRVVYNNLGLLVVDEEQRFGVKQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERVPIKTHLSAFGKEKVIAAIQYELDRGGQVFYVLPRIKGLEIVMDFLEQSFPDVDIAIAHGKQYSKQLEETMEKFAQGDIKILICTNIVESGLDIQNANTIIIQDVQQFGLAQLYQLRGRVGRADKEAYAYLFYPDKSLLSDQALERLAALEECRELGQGFQLAERDMGIRGFGTIFGEQQTGDVGNVGIDLFFEMLFESLSKVEEHRVVSVPYQSVQIDISINPRLPSEYINYLENPMEIINEAEKAAEKDIWSLVQFTENLRRQHGKEPYSMEILLKKLYVQRMAADLGISRIYASGKMVGMETNISKRVFKLMTDSMTSDAHRNSLLFEEDQIKVVVFNVVESDTVSRLCEVARVSKPMSFCFCFNGFLFSDDAAIWSHGYLTVSLSSQGCTHTEVEKEHREVHAAHNNYIEAKQRTVWKAKTGTENRITLILSLLVREKSGYFLVSVLLPLGSGIPKERPNMSFQDVSSGGRHSSSSSSMESKSPSQAVAASIFQINTAVAAFRRLVDAIGTAKDTPDHRQKLHNTRQRILQLVKETSAKLKALSESDHDPTVNPSKKIEDAKLARVFQTTLQEFQKVQQLASERESTYSPAAPPPSLPTRMFSSLDAALALMILGHLLCLKRNNLFLWNRGGEFILSPLCHFSSTSPVMDRIASVASLNALDITLRQEVILLDNEIAFNEAMIEEREQGIKEVEEQIGQVNEIFKDLAVLVHEQGVIIDDISSNIGSSSAATTQATVQLVKASKSVKSQTSWCAKLVSSFRSIHCMLALMVEYELQINLNY >EOY04375 pep chromosome:Theobroma_cacao_20110822:4:24089520:24092116:1 gene:TCM_019642 transcript:EOY04375 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MDANSERISQDVPLTGSPGHMEGDLFVDHSGQPALCTLCRRSLAPDYEATDDLETVGLCGDCKFLLLEDLASPPQDSHRRRPARRRRNRQSSSESIENLFSQHFSHMINLVRQNQSPVSGLEDQIMDGGSTARSLQRSSSRTTPSGSRRWRRVVSDTESDGFDNLDSIYGESESNVSFSQYRVFRGESDAISFSTYGGDSDASVDGHSFLDTEIFVQADNRSDIDTDTDIDPMHAGLNQWNWDEPEEDEGGDDDEWEEADAEEDVVEFAISRAGLQDLFISSPSETNLPVFNFRRSRAGFEQLLDHLAETDGSRRGAPPASASFVNNLPRVIVSDEHEKHDGLACAICKDVLPIGTEVNQLPCFHVYHPSCILPWLSARNSCPLCRYELPTDDKDYEEGKQHINQSVEIHQIQQQDASEDSSSDDSDEAEADEACELGPCRLQEEPHVDPTISSSAREGGRDWLFRAAAPLAGQVPDTSSLNLRGSRSRRWWSLF >EOY04374 pep chromosome:Theobroma_cacao_20110822:4:24089035:24092045:1 gene:TCM_019642 transcript:EOY04374 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MDANSERISQDVPLTGSPGHMEGDLFVDHSGQPALCTLCRRSLAPDYEATDDLETVGLCGDCKFLLLEDLASPPQDSHRRRPARRRRNRQSSSESIENLFSQHFSHMINLVRQNQSPVSGLEDQIMDGGSTARSLQRSSSRTTPSGSRRWRRVVSDTESDGFDNLDSIYGESESNVSFSQYRVFRGESDAISFSTYGGDSDASVDGHSFLDTEIFVQADNRSDIDTDTDIDPMHAGLNQWNWDEPEEDEGGDDDEWEEADAEEDVVEFAISRAGLQDLFISSPSETNLPVFNFRRSRAGFEQLLDHLAETDGSRRGAPPASASFVNNLPRVIVSDEHEKHDGLACAICKDVLPIGTEVNQLPCFHVYHPSCILPWLSARNSCPLCRYELPTDDKDYEEGKQHINQSVEIHQIQQQDASEDSSSDDSDEAEADEACELGPCRLQEEPHVDPTISSSAREGGRDWLFRAAAPLAGILGVVLVFWLGKPLIGRRGSTSHTNLPSGCQYQIQVPDTSSLNLRGSRSRRWWSLF >EOY05493 pep chromosome:Theobroma_cacao_20110822:4:28758365:28769932:1 gene:TCM_020480 transcript:EOY05493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MEQLVNFIIRPPRAEYNPDNDLLDQEFMLKGKWYQRKDIEVKNSRGDVLRCSHYVPLVSPEGKPLPCVIYCHGNSGCRADASEAAIILLASNITVFTLDFSGSGLSGGEHVTLGWNEKDDLKAVVDYLRADGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKFRLPKFTVKFAIQYMRKAIQKKAKFDITDLNAIKVAKSCFVPVLFGHAVDDDFIRPHHSDRIFEGYVGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGATLYDSIHDYFGKGSWAVPEVGFLPESSAASKEPATSSTADAIKEVRSKRPMSRTEVPSDIPSKENHTECQEKDNVDDNGSSSSNMISFELSNGNPFGPHVPTTMDDDQYVEYQLDDLTGFPCNVEEEERMFMEAIIESLKDLETQRPQVEDQPKACADSSESLQKDDINSRDTSSTTEKCSSLPPESTSTPVERQAPFETESKLVINGSNVAHEHTSPSTCVSSVGPAFDTPLSTIESGSTVTSARSDSSASIQSSSDADMSASTKATVTVIRNPSTNIMDGLMRRWDLNFFRNSR >EOY06671 pep chromosome:Theobroma_cacao_20110822:4:32612756:32620135:-1 gene:TCM_021325 transcript:EOY06671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-activating enzyme 7 MSCRLLSLNNLRIYIYVSFSCILDQQRKEKKEKERQKKKNQREIVRECAATVVEMRDIDDLPKNAANYMALTPLWFLERAATVHPARKAVVHASRTYTWLQTYRRCCCLASALSRRSVGVGSTVAIIAPNVPALYEAHFGIPMSGAVLNTVNIRLNASTIAFLLGHSQSAVVIVDQEFFTLAEDALKIMKEKSQGNFKHPLLIVIADESCDPKALRYALGKGAIEYEKFLESGDPEFAWKPPQDEWQSIALGYTSGTTASPKGVVLHHRGAYLMSLSNPLIWGMTEGAVYLWTLPMFHCNGWCFTWSLAALCGTNICLRQVTAKGVYSAIAKYGVTHFCGAPVVLNTIVNAPPKDTILPLPHVVHVMTAGAAPPPAVLSAMSQKGFRVTHTYGLSETYGPSTVCAWKPEWDSLPPETQARLNSRQGVRYIGLEGLDVISTKTGQPVPADGKTIGEIVIRGNVVMKGYLKNPKVNEETFANGWFHSGDLGVKHSDGYIEIKDRSKDIIISGGENISSVEVENNLYLHPAVLEASVVARVDERWGESPCAFVTLKPGVDKSDEQQLAEDIMRFCRSKMPAYWVPKSVVFGPLPKTATGKIQKHVLRAKAKELGPVKLSKL >EOY05870 pep chromosome:Theobroma_cacao_20110822:4:30121083:30134095:1 gene:TCM_020766 transcript:EOY05870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MISCQLQSPQPLLLPPRISRLTQTSSTRTQSTRGANNTILFQKSMLCSFRWKNSSSYNVHNFMIRNRGKWKVTCQRTTNKLHYVSDSSNVKFFRMDLQNSSQGYQAKLITGTVSSFFLLRLMQLDFVNTLMKMVQDFFPHLLQTLGATSLPLACMSNSLNKPTPLNLDVSLPSIQDIRWNFARLLYLFNIQLEKNVATFLVVLLVACFSFVVIGGLLFFKFRGNTQSLEDCFWEAWACLCSSSTHLKQRTRIERVIGFILAIWGILFYSRLLSTMTEQFRNNMQKLREGAQMQVLETDHIIICGVNSRLAFILKQLNKYHEFAVRLGTATARRQRIILMSDLPRKQMDKLADNIAKDLNHIDILTRSCSLSLTKSFERAAANKARAIIILPTKGDQYEVDTDAFLSVLALQPIPEMESIPTIVEVSNSSTCELLKSISGLKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLCHFPSLTGLTYRQIRQGFQEAVVCGLYRSGKIYFHPRDDEILQQTDKVLLIAPIHRTGKQLALSDTVKDDTNTLQSLEVFKNNADTPKHALELRKERILNVVKRPNKPGSKASDWSLGPKECILMLGWRPDVVQMIEEYDNYLGPGSVLEILSDVPLEERKKASFMSGQGKLKNVQVSHRIGNPMNYDTLEETITHIQNSVKKSNHIPLSIVVISDREWLLGDPSRADKQSAYSLLLAENICNKLGVTVQNLVAEIGDSKLGKQITRIKPSLTYIAAEEVTSLVTAQVAEHSELNEVWKDILNAEGDEIYVKDISLYMKEGERLSFSELSERACLRREVAIGYIKDNKKVINPTPKSEPLSLEMTDSLIVISELEGEQPIAV >EOY05871 pep chromosome:Theobroma_cacao_20110822:4:30121532:30134093:1 gene:TCM_020766 transcript:EOY05871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MISCQLQSPQPLLLPPRISRLTQTSSTRTQSTRGANNTILFQKSMLCSFRWKNSSSYNVHNFMIRNRGKWKVTCQRTTNKLHYVSDSSNVKFFRMDLQNSSQVQGYQAKLITGTVSSFFLLRLMQLDFVNTLMKMVQDFFPHLLQTLGATSLPLACMSNSLNKPTPLNLDVSLPSIQDIRWNFARLLYLFNIQLEKNVATGNTQSLEDCFWEAWACLCSSSTHLKQRTRIERVIGFILAIWGILFYSRLLSTMTEQFRNNMQKLREGAQMQVLETDHIIICGVNSRLAFILKQLNKYHEFAVRLGTATARRQRIILMSDLPRKQMDKLADNIAKDLNHIDILTRSCSLSLTKSFERAAANKARAIIILPTKGDQYEVDTDAFLSVLALQPIPEMESIPTIVEVSNSSTCELLKSISGLKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLCHFPSLTGLTYRQIRQGFQEAVVCGLYRSGKIYFHPRDDEILQQTDKVLLIAPIHRTGKQLALSDTVKDDTNTLQSLEVFKNNADTPKHALELRKERILNVVKRPNKPGSKASDWSLGPKECILMLGWRPDVVQMIEEYDNYLGPGSVLEILSDVPLEERKKASFMSGQGKLKNVQVSHRIGNPMNYDTLEETITHIQNSVKKSNHIPLSIVVISDREWLLGEIQVGQTSNLHTLSFLLKISATNLE >EOY05869 pep chromosome:Theobroma_cacao_20110822:4:30121083:30134095:1 gene:TCM_020766 transcript:EOY05869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MISCQLQSPQPLLLPPRISRLTQTSSTRFRFWGPICVFDLPLDYVCQVKSPKHRHSMHYHGDSCSYSYSASWTVMAAEQCVLFVLLSRDWFSIMPFLLFPIYISEERAFLFGFGLSKLKRQLNEIGVDAKSPYNWVDMFCMDDDDDATSASNDLRTQSTRGANNTILFQKSMLCSFRWKNSSSYNVHNFMIRNRGKWKVTCQRTTNKLHYVSDSSNVKFFRMDLQNSSQTKVAGLRSSIEVSLCLICLPIYGNEVDALWKLHTYNGTFQQVQGYQAKLITGTVSSFFLLRLMQLDFVNTLMKMVQDFFPHLLQTLGATSLPLACMSNSLNKPTPLNLDVSLPSIQDIRWNFARLLYLFNIQLEKNVATFLVVLLVACFSFVVIGGLLFFKFRGNTQSLEDCFWEAWACLCSSSTHLKQRTRIERVIGFILAIWGILFYSRLLSTMTEQFRNNMQKLREGAQMQVLETDHIIICGVNSRLAFILKQLNKYHEFAVRLGTATARRQRIILMSDLPRKQMDKLADNIAKDLNHIDILTRSCSLSLTKSFERAAANKARAIIILPTKGDQYEVDTDAFLSVLALQPIPEMESIPTIVEVSNSSTCELLKSISGLKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLCHFPSLTGLTYRQIRQGFQEAVVCGLYRSGKIYFHPRDDEILQQTDKVLLIAPIHRTGKQLALSDTVKDDTNTLQSLEVFKNNADTPKHALELRKERILNVVKRPNKPGSKASDWSLGPKECILMLGWRPDVVQMIEEYDNYLGPGSVLEILSDVPLEERKKASFMSGQGKLKNVQVSHRIGNPMNYDTLEETITHIQNSVKKSNHIPLSIVVISDREWLLGDPSRADKQSAYSLLLAENICNKLGVTVQNLVAEIGDSKLGKQITRIKPSLTYIAAEEVTSLVTAQVAEHSELNEVWKDILNAEGDEIYVKDISLYMKEGERLSFSELSERACLRREVAIGYIKDNKKVINPTPKSEPLSLEMTDSLIVISELEGEQPIAV >EOY02291 pep chromosome:Theobroma_cacao_20110822:4:318433:321377:1 gene:TCM_016813 transcript:EOY02291 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein with ARM repeat domain, putative MEFREAMKLMIHKTPTHKDFFHEGSGKQKVKVASSAAAKQKWRISFYRSSSTNASKTCQAQPKQTPEEFSCPISGSLMADAVIVSSGHTFERACVEACKTLEFTPTLQDGSNPDFSTVIPNLALKSTILNWCQKHSLNPPKPLDFSTAEELVRTLIAKNPNTLIKKEQEKVSNSEKELIQGVEDIPSVKFDHAATQLSRRPPQFHSSSEESIAAADAAAAATNVLTPPLQLATRPSCYSSTSSSSEIETLTPNINEEEEFFLTKLEGPQVFYVEEATASLRKITRTQESSRVVLCTPRILSALKSLITSRYVNIQGNSLAALVNLSLEKINKVKIVRSGLVPVLIDVLKAGSLEAQEHASGALFSLALHDDNKTAIGVLGALQPLMHMLRSGTERTRHDSALALYHLSLVQSNRSKLVKIGSVPVLLSMVKSGHMTGRVLLILCNLASAPDGRAAVLDAGGVECLVSLLRGKQLDESTREACVAVLYGLSQGRLRFKGLAKAAGAVEELVKVERTGSERAREKARRMLEMMKGSSEEKEEDVDWEELLDAGLMSRSRFRLEGGKNGSCANSSEF >EOY03809 pep chromosome:Theobroma_cacao_20110822:4:19445558:19450220:1 gene:TCM_018993 transcript:EOY03809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyltransferase family protein, expressed MGSRILPSASLFLCSVFLSSFCASCRSSLSIPPLRASFPCASSKSLAPICRLSLLHACGLGILVLFIASSLAMADVVADVAAPVKPKNRASKKFSSRGVDLDVLLEMSSSNSSPLLLAEGFFERFEEKALGFDQENSVMPNGRPHLVVFSLQCPLPFISSSWALEGARSTAFSLGTGGKFHYPARREFFASGRLLFLLLASPLAGTLYYFTSESHDIRVLIFATFVGMKVSDIESVARAVLPKFYSSDLHPGFRFMWEEMCAYGDSEGHSGVKSPGVLVGKNKADALENESHVVPAKAEAGAVSHDKLPKPIVFHDGRLVQNPTPFMALLTILWIPVGFLLACLRIAVGILLPTPLVYYAMWPLGFRIHIKGTPPQPTKKSIGQTVVLFACSHRTLLDPIALSVALCRPIPTATYSISGLSEIISPIKTARLCLDRATDASIIKKLLEKGDLVICPEGTKT >EOY05217 pep chromosome:Theobroma_cacao_20110822:4:27624942:27629686:1 gene:TCM_020275 transcript:EOY05217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phytoceramidase (aPHC) isoform 1 MEGGLSSFWGPVTSPEWCEKNYVHSSYIAEFFNTISNVPGILLALIGLINALRQRFEKRFSVLHISNMILAIGSMLYHATLQRMQQQGDETPMVWEMLLYFYILYSPDWHYRSTMPTFLFIYGAGFAVVHALFRFGIGFKVHYVVLCLLCIPRMYKYYIYTNDVSAKRLAKLYVVTLFLGSMCWLSDRVFCEEISHWYFNPQGHALWHVLMGFNSYFANTFLMFCRAQQRGWDPKVVYFMRLFPYVKIQKPKSQ >EOY05216 pep chromosome:Theobroma_cacao_20110822:4:27625102:27630034:1 gene:TCM_020275 transcript:EOY05216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phytoceramidase (aPHC) isoform 1 MEGGLSSFWGPVTSPEWCEKNYVHSSYIAEFFNTISNVPGILLALIGLINALRQRFEKRFSVLHISNMILAIGSMLYHATLQRMQQQGDETPMVWEMLLYFYILYSPDWHYRSTMPTFLFIYGAGFAVVHALFRFGIGFKVHYVVLCLLCIPRMYKYYIYTNDVSAKRLAKLYVVTLFLGSMCWLSDRVFCEEISHWYFNPQGHALWHVLMGFNSYFANTFLMFCRAQQRGWDPKVVYFMRLFPYVKIQKPKSQ >EOY03484 pep chromosome:Theobroma_cacao_20110822:4:16254768:16258584:-1 gene:TCM_018570 transcript:EOY03484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF298) [Source:Projected from Arabidopsis thaliana (AT3G28970) TAIR;Acc:AT3G28970] MDSLGPSGFDICEIYRRFCEGYRQDENSQRAKFSRDALNQLLKMVESRMHTRTAIFDELLKLMLQLDLMVDFSEFSCFYDFVFFVCRENGQKNITVNRAVAAWRLVLAGRFRLLNQWCDFVEKNQRHNISEDTWQQVLAFSRCVHENLEGYDPEGAWPVLIDDFVEHMYRISGSNKDSNVFCSCDDSDSQSCTYEDSLPGLRVFPGLKRKLPGCHSDIMETSDPHFSNPADLLSSKRSRLITHRAVNREDNRTRSSSDASCMEVVKHSSPMVSSKSPCAVEGCLSKGFAGLFSSRSYMQFDRERRVSYT >EOY03483 pep chromosome:Theobroma_cacao_20110822:4:16254739:16258584:-1 gene:TCM_018570 transcript:EOY03483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF298) [Source:Projected from Arabidopsis thaliana (AT3G28970) TAIR;Acc:AT3G28970] MDSLGPSGFDICEIYRRFCELRIGNDYVCGEEGYRQDENSQRAKFSRDALNQLLKMVESRMHTRTAIFDELLKLMLQLDLMVDFSEFSCFYDFVFFVCRENGQKNITVNRAVAAWRLVLAGRFRLLNQWCDFVERHNISEDTWQQVLAFSRCVHENLEGYDPEGAWPVLIDDFVEHMYRISGSNKDSNVFCSCDDSDSQSCTYEDSLPGLRVFPGLKRKLPGCHSDIMETSDPHFSNPADLLSSKRSRLITHRAVNREDNRTRSSSDASCMEVVKHSSPMVSSKSPCAVEGCLSKGFAGLFSSRSYMQFDRERRVSYT >EOY03482 pep chromosome:Theobroma_cacao_20110822:4:16254713:16258614:-1 gene:TCM_018570 transcript:EOY03482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF298) [Source:Projected from Arabidopsis thaliana (AT3G28970) TAIR;Acc:AT3G28970] MDSLGPSGFDICEIYRRFCELRIGNDYVCGEEGYRQDENSQRAKFSRDALNQLLKMVESRMHTRTAIFDELLKLMLQLDLMVDFSEFSCFYDFVFFVCRENGQKNITVNRAVAAWRLVLAGRFRLLNQWCDFVEKNQRHNISEDTWQQVLAFSRCVHENLEGYDPEGAWPVLIDDFVEHMYRISGSNKDSNVFCSCDDSDSQSCTYEDSLPGLRVFPGLKRKLPGCHSDIMETSDPHFSNPADLLSSKRSRLITHRAVNREDNRTRSSSDASCMEVVKHSSPMVSSKSPCAVEGCLSKGFAGLFSSRSYMQFDRERRVSYT >EOY03363 pep chromosome:Theobroma_cacao_20110822:4:13861671:13864553:-1 gene:TCM_018355 transcript:EOY03363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF185) [Source:Projected from Arabidopsis thaliana (AT3G28700) TAIR;Acc:AT3G28700] MVGVWAMCLWEQMGQPKRVNLVELGPGRGTLMADLLRGASKFKHFTESLHIHMVECSPALQKLQHQSLQCMDDDNTSEGVEKRITSTLAGTPVSWHVALEQVPSGLPTIIIAHEFYDALPIHQFQRASHGWCEKMIDVTEDSLFRFVLSPQPTPAALYLMKRCKWAVPEEIKKLNQIEVCPKAMDLTHTLAKRISADGGGALIIDYGLNGVVSDSLQAIRKHKFVDMLDNPGTADLSAYVDFACIRHSAEEASDDVSVHGPITQSEFLGSLGINFRVEALLQNCTDEQAESLRTGYWRLVGEGEGPFWEGPDEQVPIGMGTRYLAMAIVNKKQGIPVPF >EOY03362 pep chromosome:Theobroma_cacao_20110822:4:13861120:13865054:-1 gene:TCM_018355 transcript:EOY03362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF185) [Source:Projected from Arabidopsis thaliana (AT3G28700) TAIR;Acc:AT3G28700] MLRKLLFQQASTARRLLSAQSIAPLLNKCSVCSYSSSSRSEIPQTNSIEQIEDQPTKTISIDRSGLYNPPEHSHEPSSDSELVKHLKGIIKFRGGPISVAEYMEEVLTNPKAGFYINRDVFGAEGDFITSPEVSQMFGEMVGVWAMCLWEQMGQPKRVNLVELGPGRGTLMADLLRGASKFKHFTESLHIHMVECSPALQKLQHQSLQCMDDDNTSEGVEKRITSTLAGTPVSWHVALEQVPSGLPTIIIAHEFYDALPIHQFQRASHGWCEKMIDVTEDSLFRFVLSPQPTPAALYLMKRCKWAVPEEIKKLNQIEVCPKAMDLTHTLAKRISADGGGALIIDYGLNGVVSDSLQAIRKHKFVDMLDNPGTADLSAYVDFACIRHSAEEASDDVSVHGPITQSEFLGSLGINFRVEALLQNCTDEQAESLRTGYWRLVGEGEGPFWEGPDEQVPIGMGTRYLAMAIVNKKQGIPVPF >EOY05683 pep chromosome:Theobroma_cacao_20110822:4:29480133:29482992:1 gene:TCM_020623 transcript:EOY05683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazoleglycerol-phosphate dehydratase MELSVPSRLLNSSAAAAAAIKSKSRIPFRPLLIPLLPYQRSLSPFTLKHMNNNSQSILSCPSLPQNNGSPSSEPGRIGEVKRVTKETNVCVKINLDGTGVANSSTSIPFLDHMLDQLASHGLFDVHVKATGDIHIDDHHTNEDVALALGTALLQALGDRKGINRFGDFSAPLDEALIHVSLDLSGRPHLSYDLNIPTARVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRMATEYDPRRLGAIPSSKGVLSRS >EOY02932 pep chromosome:Theobroma_cacao_20110822:4:3062354:3069093:-1 gene:TCM_017334 transcript:EOY02932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp ATPase MEKLEQQQSVKLEMLNKYATDLTKMAQEGKLDPLVGRLKQVERVTQILCKRRKNNPCLIGDPGVGKTVIVEGLAQNIIKSGVPLKLQGKKIFSIDMGRLIAGASNRGEFEERLIMLIDEVKQSEGAIILFIDEVHTLIGAGAGGQGLDAANILKPALARGELKCIGATTPDEYRKYIEKDAALKRRFLPVEVPEPPVDETIHILKGLSKKYGAYHNVIYEENALIAAAQLSHQYISERFLPDKAIDLIDEAGARVQLCQAHKPPRTQILTKHSIQEIVASWTGIPVEKLSSEETLKLLNMESTLQKRIIGQHEAVEAISRAIRRARVGVRDPSIPIASFLFTGPTGVGKTEMAKALADEYYGAKEAMIRVDMSEYMEKHTVSKFFGSPPGYVGYDDRGQLTESVRRRPHTVILFDEIEKAHRNVFDTMLQILDDGRLTDNKGQLIDFKNTIIIMTANVAGTVIGEGNNQSEQIKLKVAEELKKIFRAEFLNRIDEVILFHTLTNRQLKEIVDVMLKEVSDRVMKLKNIEIQVTERFKERLVIEGKNPSYGARPLRRAIVRLLEDTLAERILNGYVQEGGSVTVDIDSEGSVAMYK >EOY04974 pep chromosome:Theobroma_cacao_20110822:4:26691394:26692761:1 gene:TCM_020107 transcript:EOY04974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDYVRGSMFFCVCLLVGFIALSLCIQVLYCQVYSLLEVIFVIIIPSCYFKLFLNQMLARTLEIESN >EOY03656 pep chromosome:Theobroma_cacao_20110822:4:17657689:17658391:-1 gene:TCM_018747 transcript:EOY03656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEINGSVGELFCHDHYVTDKCYDVWVLGELGLKNARTKLFTIGSISDAYWPLGVDSNGGFLFSIRSSRGECLVRYRSSNQETETLLHLGGSSLQLVMYAESLVSLKGGNAFIH >EOY05464 pep chromosome:Theobroma_cacao_20110822:4:28647872:28649153:-1 gene:TCM_020458 transcript:EOY05464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7 MWARSSKWNSNMKSQTKQLSIAYEYIEEDLSNKSPLLLQCNPVHKKIPVLIHSGKPICESSVILEYIEEIWPQNSLLPCDPYERAIARFWIKFADDKGPIVWKICGTRGEERQKVIEESLEMLKTIEEHGLGDKKFFGGDKISMVDIAFGGLAYWPGVIEKVLGRNLLEAHKFPRLHAWTKNFKEVPEIKENLLDFGRLLKRET >EOY04555 pep chromosome:Theobroma_cacao_20110822:4:24977011:24978410:1 gene:TCM_019788 transcript:EOY04555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding dehydrogenase family protein, putative MAKDYVPEVVRNKRVILRDYVTGFPKESDMYISFSTMTSKIPENHKGVVLVTNLYLSCDPYMRLRMRNDQDPEFTPFPPGSPITGFGVAQVLDSTHHGFQEGDFVWRTRGWEEYSFIARPERLFKIRHTDVPLSYYAGILGKLFYSQMIFIFFI >EOY06825 pep chromosome:Theobroma_cacao_20110822:4:33058964:33065237:1 gene:TCM_021435 transcript:EOY06825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle (CCHC-type) family protein MVKVRMNTADVAAEVKCLRRLIGMRCSNVYDLSPKTYVFKLMNSSGITESGESEKVLLLMESGVRLHTTAYVRDKSNTPSGFTLKLRKHIRTRRLEDVRQLGYDRIILFQFGLGANAHYVILELYAQGNILLTDSSFTVLTLLRSHRDDDKGFAIMSRHRYPTEICRHFERTTISKLQAALTSASEPVENEATKVNEAGNNLPDARKEKEKQDSRKGGKPSESNKKASDNTRAKQATLKNVLGEALGYGPALSEHIILDAGLVPSTKVTKDSKFDDDKIQVLAQAVAKFEDWLQDVISGDKVPEGYILMQKRNPGKDGPLSEGTTDQVAVIYDEFCPILLNQFKSRDYVNFETFDAALDEFYSKIESQRSEQQQKSKESSAIQKLNKIRLDQENRVHMLKKEVDNCVQMAELIEYNLEDVDAAILAVRVALAKGMNWEDLARMVKEEKKSGNPVAGLIDKLYLERNCMTLLLSNNLDEMDDDEKTLPVDKVEVDLALSAHANARRWYESKKKQESKQEKTITAHEKAFKAAERKTRLQLSQEKTVASITHMRKVHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYMSKGDLYVHADLHGASSTIIKNHRPEQPVPPLTLNQAGCFTVCHSQAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLIMGFGLLFRLDESSLGSHLNERRVRGEEEGINDVEETGPLIENSESESEKGDEAIDVPELAVEGRTGLNDVGNANISDVVDGGVASVSPQLEDLLDRTLVLGSAAVLGKNSVLGTSQNDLVEEDNHEEKKATVRDKPYISKAERKKLKKGPSSNDVNASIEKGNKKAKENGNAVSQPENIVGNKKPGGGKISRGQRGKLKKIKKYADQDEEERSIRMALLASSGKGNKNDGGLDDANATTNNNQKPGASAPEDAPKICYKCKRAGHLSRDCPEHPDDTLHDHANGIGDKRHAGLDESNELDRVVMEEDDVHEIGEEEKGRLNDVDYLTGNPLPSDILLYAVPVCGPYSAVQSYKYSVKIIPGTAKKGKAAKTAMNLFSHTPEASNREKELMKACTDPELVAAIIGNVKITAAGLTQLKQKQKKGKKSNKGES >EOY06707 pep chromosome:Theobroma_cacao_20110822:4:32716889:32719776:-1 gene:TCM_021353 transcript:EOY06707 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAPA-1-like family protein / zinc finger family protein, putative isoform 1 MESFGGEGFAVVGCAVRKKRSSMSRRPRCSQQTFMHNYILMSSPTQAIGSSGNEDQNFRNGSNGFGSENKLKLKLKLGGVTRTIHTTSTAEHAFGGVPTLTKSSHFSDAPQTREKSFLLDNKGSYPSDKGKGFGVQWKDISRSGSGYGKGYSSRGKAPGVSVAVNETDRNEPTRKSKRVPKRRVLDVGLNSDDDGEDEEIRYLGRLNAPNGLSNYKDEEDERYGRDGAIFEDKDYVEEDEPISDDEPGSKRKKLGRGSVDLLVEGRTESIPTTRNRALQSGKDLLSGPVVSLVEFPDGLPPAPTKNKRRNFLKWSNS >EOY06706 pep chromosome:Theobroma_cacao_20110822:4:32716432:32720134:-1 gene:TCM_021353 transcript:EOY06706 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAPA-1-like family protein / zinc finger family protein, putative isoform 1 MESFGGEGFAVVGCAVRKKRSSMSRRPRCSQQTFMHNYILMSSPTQAIGSSGNEDQNFRNGSNGFGSENKLKLKLKLGGVTRTIHTTSTAEHAFGGVPTLTKSSHFSDAPQTREKSFLLDNKGSYPSDKGKGFGVQWKDISRSGSGYGKGYSSRGKAPGVSVAVNETDRNEPTRKSKRVPKRRVLDVGLNSDDDGEDEEIRYLGRLNAPNGLSNYKDEEDERYGRDGAIFEDKDYVEEDEPISDDEPGSKRKKLGRGSVDLLVEGRTESIPTTRNRALQSGKDLLSGPVVSLVEFPDGLPPAPTKKQKEKLSEVEQQLKKAEAAQRRRIQSEKAAREAEAEAIRKILGQDSGRKKREERIKKQRGELAQGKAAKSETLASNTVRWVIGPAGTTVIFSEDIGLPHLFNSVPCSYPPPREKCAGPNCTNTYKYRDSKSKLPLCSLDCYKAIHGKTQPLIAC >EOY02645 pep chromosome:Theobroma_cacao_20110822:4:1657804:1658823:-1 gene:TCM_017062 transcript:EOY02645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding site, putative MKREGRQHGMVRTYRILPAPWNPRPELRFVQRFDSPPTAGLFTKVAVKPTNHSKFTGRCSRPRCLGCHMHPACKSKDKTKGSHKLRSSDDMVTNYRLITWRVVDGRPGLNLSGFSATRILDHLANDYEDYEGNDDYIDGSDHDYDYDNDNDDDVNNRCDLANDGFEEIEKEGEIEENIVDDDEKNEGVYVDDEVKFVLDQDLEEEGWCLVE >EOY04472 pep chromosome:Theobroma_cacao_20110822:4:24480968:24481990:1 gene:TCM_019712 transcript:EOY04472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein 6, putative isoform 2 MATNMSLKLACVVVLCLVVGAPLAQGAISCGQVTGYLTPCISYLRGSGGAVPSSCCSGIKGLNSAAQTSQDRKAACACIKSAAGSISGINYALASQMPSKCGVSIPYQISPNIDCTSTEVRRGKFSAEGKGNMIVSE >EOY04471 pep chromosome:Theobroma_cacao_20110822:4:24481095:24481799:1 gene:TCM_019712 transcript:EOY04471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein 6, putative isoform 2 MATNMSLKLACVVVLCLVVGAPLAQGAISCGQVTGYLTPCISYLRGSGGAVPSSCCSGIKGLNSAAQTSQDRKAACACIKSAAGSISGINYALASQMPSKCGVSIPYQISPNIDCSSVN >EOY03211 pep chromosome:Theobroma_cacao_20110822:4:7999797:8013038:-1 gene:TCM_017847 transcript:EOY03211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATGSFTKSFTDIDFESNTKPDAMPVNLDKDIDEEMRQRQSSSSMGTSLPLFRRKRSHSQSSEDDSLIFLSKHLREIASIIKKLRDDKVDNSLLYAHVMSMDGYD >EOY04994 pep chromosome:Theobroma_cacao_20110822:4:26749851:26756917:-1 gene:TCM_020120 transcript:EOY04994 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein isoform 4 MYAVPRSFPLGFGVGLGGMKLNSKMPKTRLAFKTLSSSSTTEVPSSDPNPGSETTDNVSVPAVRVFTRKKRVKKTVDVVQEIPKAENKGLKLCGLPDIEEFAYKKVDGPSLSGKSKSTSDEINVGTGIASPVGIGGNAPANWEKVLEGIRKMRSAEDAPVDTMGCEKAGSVLPPKERRFAVLISSLLSSQTKDHVTHGAIQRLIQNCLMTPDAIDKADEATIKDLIYPVGFYTRKAINVKKIAKICLMKYDGDIPSSLEELLLLPGIGPKMAHLVMNIAWDDVQGICVDTHVHRICNRLGWVSRPGTKQVGFGQTICTPLRPQCEVCSITEFCPSAFKETSSPSSKVKKSGVTKKLSSS >EOY04996 pep chromosome:Theobroma_cacao_20110822:4:26749851:26756917:-1 gene:TCM_020120 transcript:EOY04996 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein isoform 4 MYAVPRSFPLGFGVGLGGMKLNSKMPKTRLAFKTLSSSSTTEVPSSDPNPGSETTDNVSVPAVRVFTRKKRVKKTVDVVQEIPKAENKGLKLCGLPDIEEFAYKKVDGPSLSGNAPANWEKVLEGIRKMRSAEDAPVDTMGCEKAGSVLPPKERRFAVLISSLLSSQTKDHVTHGAIQRLIQNCLMTPDAIDKADEATIKDLIYPVGFYTRKAINVKKIAKICLMKYDGDIPSSLEELLLLPGIGPKMAHLVMNIAWDDVQGICVDTHVHRICNRLGWVSRPGTKQVGFGQTICTPLRPQCEVCSITEFCPSAFKETSSPSSKVKKSGVTKKLSSS >EOY04995 pep chromosome:Theobroma_cacao_20110822:4:26750471:26756687:-1 gene:TCM_020120 transcript:EOY04995 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein isoform 4 MYAVPRSFPLGFGVGLGGMKLNSKMPKTRLAFKTLSSSSTTEVPSSDPNPGSETTDNVSVPAVRVFTRKKRVKKTVDVVQEIPKAENKGLKLCGLPDIEEFAYKKVDGPSLSGNAPANWEKVLEGIRKMRSAEDAPVDTMGCEKAGSVLPPKERRFAVLISSLLSSQTKDHVTHGAIQRLIQNCLMTPDAIDKADEATIKDLIYPVGFYTRKAINVKKIAKICLMKYDGDIPSSLEELLLLPGIGPKMAHLVMNIAWDDVQGICVDTHVHRICNRLGWVSRPGTKQKTLYPEETRVALQQWLPKEEWVPINPLLVGFGQTICTPLRPQCEVCSITEFCPSAFKETSSPSSKVKKSGVTKKLSSS >EOY04993 pep chromosome:Theobroma_cacao_20110822:4:26750471:26756687:-1 gene:TCM_020120 transcript:EOY04993 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein isoform 4 MYAVPRSFPLGFGVGLGGMKLNSKMPKTRLAFKTLSSSSTTEVPSSDPNPGSETTDNVSVPAVRVFTRKKRVKKTVDVVQEIPKAENKGLKLCGLPDIEEFAYKKVDGPSLSGKSKSTSDEINVGTGIASPVGIGGNAPANWEKVLEGIRKMRSAEDAPVDTMGCEKAGSVLPPKERRFAVLISSLLSSQTKDHVTHGAIQRLIQNCLMTPDAIDKADEATIKDLIYPVGFYTRKAINVKKIAKICLMKYDGDIPSSLEELLLLPGIGPKMAHLVMNIAWDDVQGICVDTHVHRICNRLGWVSRPGTKQKTLYPEETRVALQQWLPKEEWVPINPLLVGFGQTICTPLRPQCEVCSITEFCPSAFKETSSPSSKVKKSGVTKKLSSS >EOY04997 pep chromosome:Theobroma_cacao_20110822:4:26749950:26756790:-1 gene:TCM_020120 transcript:EOY04997 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein isoform 4 MYAVPRSFPLGFGVGLGGMKLNSKMPKTRLAFKTLSSSSTTEVPSSDPNPGSETTDNVSVPAVRVFTRKKRVKKTVDVVQEIPKAENKGLKLCGLPDIEEFAYKKVDGPSLSGKSKSTSDEINVGTGIASPVGIGGNAPANWEKVLEGIRKMRSAEDAPVDTMGCEKAGSVLPPKMNIAWDDVQGICVDTHVHRICNRLGWVSRPGTKQVGFGQTICTPLRPQCEVCSITEFCPSAFKETSSPSSKVKKSGVTKKLSSS >EOY03340 pep chromosome:Theobroma_cacao_20110822:4:13476917:13479007:1 gene:TCM_018313 transcript:EOY03340 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MSPRNMIGHLGSVVASLMFIWAVVHQYFPYQFRSYIEKYSQRLVSFVYPYIQITFNEFTGERLMRSGAYSAIENYLSSTSSLQPKRLKADIVKNSQSLVLNEIRFYKLTFRKRHRDLIIGPYLKHVLQEGRAIKVRNRQRKLYTNNGSMWSHVVFEHPATFQTLAMEPEKEQEIMEDLTTFSKAEEFYSRIGRAWKRGYLLYGPPGTGKSTMIAAMANLLGYDIYDLELTAVKDNTELRKLLIEPSSKSIIVIEDIDCSLDLTGQRRMKNEKEEPEDMKDPRQKLTKDQEPKSSQVTLSGLLNFINGLWSACGGERLIVFTTNFVGKLDPALIRKGRMDKHIELSYCGFEAFKVLANNYQKLESHNMFRRIQELLEDARMTPAEVAEHLMPKTVSADPETCLESLIQALESAKEEARLKAEKEAEEKELPSQRPRENNTEPGIS >EOY02445 pep chromosome:Theobroma_cacao_20110822:4:872311:886133:1 gene:TCM_016917 transcript:EOY02445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKGSDSFPMKLSGFSESEGNEVKTKEMFSKRLTRTDMSKRLALPTKRLSLFPPFDGGNEIELVIWDDDVNGQVWNFVCSIRQTGYPKPVFSRGRLDFVVAKGLTVGDKVTLCKKEDTAEIFGHVLCQSRYSVKEDRAAEASIGTGTRAAVGASETTLEHKNELRAING >EOY04552 pep chromosome:Theobroma_cacao_20110822:4:24968966:24971471:1 gene:TCM_019786 transcript:EOY04552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding dehydrogenase family protein isoform 2 MANEGVEISNKQVILKEYITGLPKESDLLVTTNNTISLKVGRGSPKAVLVKNLYLSCDPYMGYSMKHPDCDLHNPYTPGSPITGFGVAKVLDSSHPDFKKGDLIWGITGWEQYSLLTATEPLFKINHTDVPLSYYTGILGMPGLTAYAGFYEICSPKKGEYVLVSAASGAVGQLVGQFAKLMGCYVVGSAGSKEKVDLLKNKLGFDDAFNYKEELDFNATLKSRYFPEGIDIYFENVGGKMLDAVLLNMRVHGRIAVCGMISQYHVDQLEAVHYLTSIIYKRVHIEGFVVSDYFPLYCKFMDTLLPCIREGKIKYVEDIVEGLESGPAALIGLYSGQNVGKQVVVVDHD >EOY04553 pep chromosome:Theobroma_cacao_20110822:4:24969372:24971337:1 gene:TCM_019786 transcript:EOY04553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding dehydrogenase family protein isoform 2 DLHNPYTPGSPITGFGVAKVLDSSHPDFKKGDLIWGITGWEQYSLLTATEPLFKINHTDVPLSYYTGILAYAGFYEICSPKKGEYVLVSAASGAVGQLVGQFAKLMGCYVVGSAGSKEKVDLLKNKLGFDDAFNYKEELDFNATLKRYFPEGIDIYFENVGGKMLDAVLLNMRVHGRIAVCGMISQYHVDQLEAVHYLTSIIYKRVHIEGFVVSDYFPLYCKFMDTLLPCIREGKIKYVEDIVEGLESGPAALIGLYSGQNVGKQVVVVDHD >EOY04551 pep chromosome:Theobroma_cacao_20110822:4:24968966:24971471:1 gene:TCM_019786 transcript:EOY04551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding dehydrogenase family protein isoform 2 MANEGVEISNKQVILKEYITGLPKESDLLVTTNNTISLKVGRGSPKAVLVKNLYLSCDPYMGYSMKHPDCDLHNPYTPGSPITGFGVAKVLDSSHPDFKKGDLIWGITGWEQYSLLTATEPLFKINHTDVPLSYYTGILGMPGLTAYAGFYEICSPKKGEYVLVSAASGAVGQLVGQFAKLMGCYVVGSAGSKEKVDLLKNKLGFDDAFNYKEELDFNATLKRYFPEGIDIYFENVGGKMLDAVLLNMRVHGRIAVCGMISQYHVDQLEAVHYLTSIIYKRVHIEGFVVSDYFPLYCKFMDTLLPCIREGKIKYVEDIVEGLESGPAALIGLYSGQNVGKQVVVVDHD >EOY02647 pep chromosome:Theobroma_cacao_20110822:4:1661740:1664524:-1 gene:TCM_017064 transcript:EOY02647 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein MASWNSIPLEITYEVLGWLAFFSWSISFYPQVILNFRRKSVVGLNFDFVMLNLTKHSSYMIYNVCLYFSPVIQKQYLEKYGSGEMIPVAANDVAFSIHAVLLTSITLFQILIYDRGTQKVSKISIGIVSAAWLTAAICVFIALPSQSWLWLISIFNSIQVFMTVIKYIPQAVMNFARKSTDGFSIGNILLDFVGGLANYAQMAVQSIDQNSWVNFYGNIGKTLLSLVSVFFDIIFMCQHFVLYPGKKATIASKLEREGKEPLVKSSDQPGPENV >EOY02931 pep chromosome:Theobroma_cacao_20110822:4:3059890:3062213:1 gene:TCM_017333 transcript:EOY02931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein MGGKCFKAVKGGGTPESPEQELKIPLRDIQLATQNFSQNYIGTGMTSSVYKCLLHDRVVAVKRMNIMVGYSYLKGEKRYIRFDFVFVVDAKALREFQHPKIVKFVGFCQEGNERLIVSEYVKGKNLHQCLHGNPNVVPYITWKQRFQIAVDIAEGIQYLHQQGVIHRRLRSTNVLLDQNLTARIADYGFREIRETYTQSRICDRGPISGALEYLCPQYMTLGIAGKNSDVYAYGIVLLELLSGKDALGLIYWVSDRLKEGRYLDICDPRIISEIDDQIFKVAVDIAMQCTAANLGDRPEISDVVVALGACRNVVG >EOY02515 pep chromosome:Theobroma_cacao_20110822:4:1179449:1184071:1 gene:TCM_016973 transcript:EOY02515 gene_biotype:protein_coding transcript_biotype:protein_coding description:D6 protein kinase like 2 isoform 1 MLNSSMESLAISSSKSQSPGSAIGNNNPHSASGTPRPSRPPSPKQSRNEGPSSTSQATTLNHGVSIVRHPNGTIGYQKHSYKPAYDNMQHEELPNMGKHYYDSSKGKSELVGKNEATNPSQKKILTVESKSSFKHPVNDHKSSNSRGPLEFEKTVSGPCKGGVVQLNNHVASQSERSFCQSPSNSMCAGTLYAEAKQSFTNTEVSECASSVDKSAESGEVSNSCDLVESRKTSIYRGSTGSDVSDESSSSCLSSAIYKPHKANDIRWEAIQAVRSRKGDLDFKHFRVLRRLGCGDIGSVYLSELTGTKTYFAMKVMDKALLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKLSCLVMEFCPGGDLHALRQRQPGKYFSEQAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSSISSLESKNSAYCAQPACIEPTCVMQPDCIQPACFGPRFFSSKPKKEKKSKVKNETSHQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLYGKTPFKGAGNRATLFNVVGQPLRFPEYPSVSFAARDLIRGLLVKEPQHRLAYRRGATEVKQHPFFQSVNWALIRCANPPEVPKQAMMDFSVRTDMAKVPNNDKVPGVDVKPSGNYLEIDFF >EOY02516 pep chromosome:Theobroma_cacao_20110822:4:1179298:1184192:1 gene:TCM_016973 transcript:EOY02516 gene_biotype:protein_coding transcript_biotype:protein_coding description:D6 protein kinase like 2 isoform 1 MLNSSMESLAISSSKSQSPGSAIGNNNPHSASGTPRPSRPPSPKQSRNEGPSSTSQATTLNHGVSIVRHPNGTIGYQKHSYKPAYDNMQHEELPNMGKHYYDSSKGKSELVGKNEATNPSQKKILTVESKSSFKHPVNDHKSSNSRGPLEFEKTVSGPCKGGVVQLNNHVASQSERSFCQSPSNSMCAGTLYAEAKQSFTNTEVSECASSVDKSAESGEVSNSCDLVESRKTSIYRGSTGSDVSDESSSSCLSSAIYKPHKANDIRWEAIQAVRSRKGDLDFKHFRVLRRLGCGDIGSVYLSELTGTKTYFAMKVMDKALLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKLSCLVMEFCPGGDLHALRQRQPGKYFSEQAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSSISSLESKNSAYCAQPACIEPTCVMQPDCIQPACFGPRFFSSKPKKEKKSKVKNETSHQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLYGKTPFKGAGNRATLFNVVGQPLRFPEYPSVSFAARDLIRGLLVKEPQHRLAYRRGATEVKQHPFFQSVNWALIRCANPPEVPKQAMMDFSVRTDMAKVPNNDKVPGVDVKPSGNYLEIDFF >EOY02438 pep chromosome:Theobroma_cacao_20110822:4:811573:817837:1 gene:TCM_016908 transcript:EOY02438 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 46 isoform 2 MAATATASSAGPRYAPPDPTLPKPWKGLVDGKTGYLYFWNPVTNVTQYERPTSIDSVQKFSAVPISSSVQVQQSSEGRRGYSPDKENDRYGRGSNAVSKLEPVSRSNQNARGGPVHSLNTPNGTASSLIGGSSTRGHGSAAAGSNMSSDAYRRQHEITVTGDAVPAPFTSFEATGLPSEILREVHNAGFSAPTPIQAQSWPIALQSRDIVAIAKTGSGKTLGYLIPGFVHLKRCRNEPQMGPTVLVLSPTRELATQIQDEALKFGKSSRISCTCLYGGAPKGPQLREIERGVDIVVATPGRLNDILEMRKISLHQVSYLVLDEADRMLDMGFEPQIRKIVKEVPTRRQTLMYTATWPREVRKIAADLLVNPVQVNIGNIDELVANKSITQYVEVLSPMEKHRRLEQILRSQEPGSKIIIFCSTKKMCDQLARNLSRQFGAAAIHGDKSQADRDYVLSQFRTGRSPVLVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGLAYTFFGDQDSKYASDLIKVLEGANQRVPAELRDMASRGGGMGRPRRWAPSSGGRDGGRGGRTDSGYGGRDSGRGGRGISTSSSSWHERSGGRGYDHESRDRYDRGFHDSYDRGRSRSRSRSPAGWSDRNKSSGRDRSRSRSLDRHDRAAGRERSPARSFHEAMMKRSRSSPPQQRGPPFGNENSREHKNFVASRSPPRERSGSPYGGGNGRDNFGGSYGDSQKDRGRSGYANGFRTGFGDEEEGMIPADEDGIIPPNDH >EOY02440 pep chromosome:Theobroma_cacao_20110822:4:809921:817814:1 gene:TCM_016908 transcript:EOY02440 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 46 isoform 2 MAATATASSAGPRYAPPDPTLPKPWKGLVDGKTGYLYFWNPVTNVTQYERPTSIDSVQKFSAVPISSSVQVQQSSEGRRGYSPDKENDRYGRGSNAVSKLEPVSRSNQNARGGPVHSLNTPNGTASSLIGGSSTRGHGSAAAGSNMSSDAYRRQHEITVTGDAVPAPFTSFEATGLPSEILREVHNAGFSAPTPIQAQSWPIALQSRDIVAIAKTGSGKTLGYLIPGFVHLKRCRNEPQMGPTVLVLSPTRELATQIQDEALKFGKSSRISCTCLYGGAPKGPQLREIERGVDIVVATPGRLNDILEMRKISLHQVSYLVLDEADRMLDMGFEPQIRKIVKEVPTRRQTLMYTATWPREVRKIAADLLVNPVQVNIGNIDELVANKSITQYVEVLSPMEKHRRLEQILRSQEPGSKIIIFCSTKKMCDQLARNLSRQFGAAAIHGDKSQADRDYVLSQFRTGRSPVLVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGYANGFRTGFGDEEEGMIPADEDGIIPPNDH >EOY02439 pep chromosome:Theobroma_cacao_20110822:4:811573:817837:1 gene:TCM_016908 transcript:EOY02439 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 46 isoform 2 MAATATASSAGPRYAPPDPTLPKPWKGLVDGKTGYLYFWNPVTNVTQYERPTSIDSVQKFSAVPISSSVQVQQSSEGRRGYSPDKENDRYGRGSNAVSKLEPVSRSNQNARGGPVHSLNTPNGTASSLIGGSSTRGHGSAAAGSNMSSDAYRRQHEITVTGDAVPAPFTSFEATGLPSEILREVHNAGFSAPTPIQAQSWPIALQSRDIVAIAKTGSGKTLGYLIPGFVHLKRCRNEPQMGPTVLVLSPTRELATQIQDEALKFGKSSRISCTCLYGGAPKGPQLREIERGVDIVVATPGRLNDILEMRKISLHQVSYLVLDEADRMLDMGFEPQIRKIVKEVPTRRQTLMYTATWPREVRKIAADLLVNPVQVNIGNIDELVANKSITQYVEVLSPMEKHRRLEQILRSQEPGSKIIIFCSTKKMCDQLARNLSRQFGAAAIHGDKSQADRDYVLSQFRTGRSPVLVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGLAYTFFGDQDSKYASDLIKVLEGANQRVPAELRDMASRGGGMGRPRRWAPSSGGRDGGRGGRTDSGYGGRDSGRGGRGISTSSSSWHERSGGRGYDHESRDSRYDRGFHDSYDRGRSRSRSRSPAGWSDRNKSSGRDRSRSRSLDRHDRAAGRERSPARSFHEAMMKRSRSSPPQQRGPPFGNENSREHKNFVASRSPPRERSGSPYGGGNGRDNFGGSYGDSQKDRGRSGYANGFRTGFGDEEEGMIPADEDGIIPPNDH >EOY03955 pep chromosome:Theobroma_cacao_20110822:4:20694241:20695671:-1 gene:TCM_019175 transcript:EOY03955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMKRNVHEKIMPQTHKKKGNENKNVKKSTRFLITINVLGSAGPLRFLVNEDDLVAAVIDVALKSYAREGRLPVLGLDPNKFLLYCANAGSDALSPWEKIGSQGRRNFVLCKKQVQPQMTEARSEIIAQKGSGWKAWLNKSFSFKILSH >EOY04703 pep chromosome:Theobroma_cacao_20110822:4:25574998:25578702:1 gene:TCM_019893 transcript:EOY04703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing-like protein MADQDAKQNKPLLPGQPSKGENKLRPVGRFGNINLDDELDEAKAGESLPSIHYTDENENNTEGMIRRLMEKKKQDEGNLDLGLDRYEPLWEAISLEDWKEVKKQLDSNPLALTSPITALYETVLHILVNSKEALWLVEEIIDQIDSDSLGKTDHQHDTPLSIAAYVGNAEAAMMMALKSPELLTKINHSNDSPFHAAARFGHKETFSRLLTVAQTTQMDDQSFFSGDNGATMVQYLISSNLYGLALDLLKRYPKLGISVTKEVTVPSIQAENDDCLQINIVDRDNKVNPTNSDGEPKMSSIDFFAYCRQQAYSIMGLMFPSVKRIYRTKLMNEQARQLVKSMCAGVVWTFPEASSTLKLPVLKAASLGIQEIVQEILKVYKASTMFYDDNNYNIFQLAVLHRREKVFNLVYKMGLSQKWVASYPCKDNENILHLAGRCASSRHINGAALQMQWEMQWFTVINLY >EOY04488 pep chromosome:Theobroma_cacao_20110822:4:24605943:24610378:-1 gene:TCM_019730 transcript:EOY04488 gene_biotype:protein_coding transcript_biotype:protein_coding description:AF4/FMR2 family member 1 MPFYSRIMGGLYKFICFMIILIIDAIFISQALCTRVRLLDGLLKLPVSDNVLTTDQDLFLYLHHQTFQVGYQNVRSDKNVCQPTTLAETASQDVQNSDNDRISIQHHTFDKAMPPFLLDRYLQTTIMPQEYYQMMLFKAHHYFQRILMGNYRFRLSDMMPNAWFYKLKDIGRARNHSNANPSKKKLQHPVSPASTTTQPSKIKQPHHSYPRKSYYFTRELIPTDRFYGSPTNTKSANAHFPDPPRKSSKKRSRKRNNRSSPKLVTSSVSAGCSCRATLWTKADSPPEYSASSSSDSSSDQKLCESFPQEFRSDCILTTESFDNMVSWSRSCTCKVNSNANDIVIDVDNKSFVKKFDKLDEFDKLSELELPPIITKPAKFNDTVKDIKRKEQNSEPTKYRRSSAKFEEKNAHGSLSVKVVKEERITVKEQKNYSPVRKFSVNSPGVRLRINSPKIASRRIQGHARKSVSSSSSSSSRRSLSDSFAVVKSSFDPQRDFRDSMVEMIMENNIRASKDLEDLLACYLSLNADEYHDVIIKVFKQIWFDLSDVRLK >EOY06073 pep chromosome:Theobroma_cacao_20110822:4:30854193:30855437:1 gene:TCM_020913 transcript:EOY06073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGYGWNSRHANMHGLHHERLYPDTKLFACRVCGDILMGKKALFDHVELHLLFDESAAIRQVLLSHLWSAQSILFTNHFNKNSMLPIETCPFSIGTYIGYPDLQWATVPSPICFGSRYNHMPPIQTQQPTTYGGAIQIWVPEPRNQCFTKLFLNQLEKPC >EOY02956 pep chromosome:Theobroma_cacao_20110822:4:3172579:3174800:-1 gene:TCM_017350 transcript:EOY02956 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetyltransferase mak3, putative MEASSEEKEEKAEFEEAEIEYVSYGGEHHLPLIMSLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHNGKCVGTVVCKMGEHRNTFRGYIAMLVVIKPYRGRGIATELVTRSIKMMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLFHYYLNGVDAFRLKLLFPRQELHPSLPMSTNIYAGHENNDLDTT >EOY06581 pep chromosome:Theobroma_cacao_20110822:4:32364796:32365514:1 gene:TCM_021257 transcript:EOY06581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MACSIDRLKKVALEGFPLIDELYGRQTGKAHRPTPPPTRIQDHYYHHQKLAPNQYVYHGPQSVTVVQDPDTGSYHQIQTAKNYERWYVCQVSQPRSGSPTISSEDAAAYYGGKLLNDYGKTKQKQRA >EOY05834 pep chromosome:Theobroma_cacao_20110822:4:29953695:29955379:-1 gene:TCM_020731 transcript:EOY05834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-like 11 isoform 2 SLSQTSQLTVIRSLDQNPTEEELQDMISEVDADGNGTIEFAEFLNLMAKKMKETDAEEELKEAFKVFDKDQNGYISATELRHVMINLGEKLTDEEVEQMIKEADLDGDGQVNYEEFVKMMTTVG >EOY05833 pep chromosome:Theobroma_cacao_20110822:4:29953718:29955413:-1 gene:TCM_020731 transcript:EOY05833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-like 11 isoform 2 MNMGDILTEEQIVEFKEAFCLFDKDGDGCITVEELATVIRSLDQNPTEEELQDMISEVDADGNGTIEFAEFLNLMAKKMKETDAEEELKEAFKVFDKDQNGYISATELRHVMINLGEKLTDEEVEQMIKEADLDGDGQVNYEEFVKMMTTVG >EOY04225 pep chromosome:Theobroma_cacao_20110822:4:22915130:22919664:1 gene:TCM_019492 transcript:EOY04225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPIWHTRWPDLATTVSDLRFPAAGSGRGVLDPTMGFGREAPDPVLLTARSGR >EOY03164 pep chromosome:Theobroma_cacao_20110822:4:6775360:6792935:1 gene:TCM_017739 transcript:EOY03164 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: GOLD (InterPro:IPR009038); Has 172 Blast hits to 172 proteins in 43 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi - 0; Plants - 63; Viruses - 0; Other Eukaryotes - 14 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G01010) TAIR;Acc:AT5G01010] MASTEGLVPITRTFLASYYDKYPFDPLSDDVSRLSFEIRSFAQDLLQGLPPTQGESLLIQEADSQPPHKIDENMWKNREHIEEILFLLERSHWPPLLQQPSTSEVAEFATICGRLKDKFQRILRILASFQSRNSERVFNTVMTYMPQDFRGTLIKQQKERSERNKQAEVDALVNSGGSIHDRYALLWKQQMDRRRQLAQLGAATGVYKTLVKYLVGVPQVLLDFIRQINDDDGPMEEQRQRYGPPLYNLTKTVLIIRLFLSLAWQRFEAFKLNRHQISVLEEAVDVYTSEFERFINFISEVFANSPFFISAEDASMFETRKSDEYNEITVPAGKSYEVSLAVESINSYIAWDFSLVQGKMNMDIGFSVEYTNTVGEKTLILPYRRYESDQGNFSTCMAGHYKLIWDNSYSTFFKKALRYKVDCIPPVLDSAESNEVEG >EOY03166 pep chromosome:Theobroma_cacao_20110822:4:6775709:6790515:1 gene:TCM_017739 transcript:EOY03166 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: GOLD (InterPro:IPR009038); Has 172 Blast hits to 172 proteins in 43 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi - 0; Plants - 63; Viruses - 0; Other Eukaryotes - 14 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G01010) TAIR;Acc:AT5G01010] MASTEGLVPITRTFLASYYDKYPFDPLSDDVSRLSFEIRSFAQDLLQGLPPTQGESLLIQEADSQPPHKIDENMWKNREHIEEILFLLERSHWPPLLQQPSTSEVAEFATICGRLKDKFQRILRILASFQSRNSERVFNTVMTYMPQDFRGTLIKQQKERSERNKQAEVDALVNSGGSIHDRYALLWKQQMDRRRQLAQLGAATGVYKTLVKYLVGVPQVLLDFIRQINDDDGPMEEQRQRYGPPLYNLTKTVLIIRLFLSLAWQRFEAFKLNRHQISVLEEAVDVYTSEFERFINFISEVFANSPFFISAEDASMFETRKSDEYNEITVPAGKSYEVCFIGC >EOY03167 pep chromosome:Theobroma_cacao_20110822:4:6775360:6792935:1 gene:TCM_017739 transcript:EOY03167 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: GOLD (InterPro:IPR009038); Has 172 Blast hits to 172 proteins in 43 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi - 0; Plants - 63; Viruses - 0; Other Eukaryotes - 14 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G01010) TAIR;Acc:AT5G01010] MASTEGLVPITRTFLASYYDKYPFDPLSDDVSRLSFEIRSFAQDLLQGLPPTQGESLLIQEADSQPPHKIDENMWKNREHIEEILFLLERSHWPPLLQQPSTSEVAEFATICGRLKDKFQRILRILASFQSRNSERVFNTVMTYMPQDFRGTLIKQQKERSERNKQAEVDALVNSGGSIHDRYALLWKQQMDRRRQLAQLGAATGVYKTLVKYLVGVPQVLLDFIRQINDDDGPMEEQRQRYGPPLYNLTKTVLIIRLFLSLAWQRFEAFKLNRHQISVLEEAVDVYTSEFERFINFISEVFANSPFFISAEDASMFETRKSDEYNEITVPAGKSYEVSLAVESINSYIAWDFSLVQGKMNMLILPYRRYESDQGNFSTCMAGHYKLIWDNSYSTFFKKALRYKVDCIPPVLDSAESNEVEG >EOY03168 pep chromosome:Theobroma_cacao_20110822:4:6775532:6792606:1 gene:TCM_017739 transcript:EOY03168 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: GOLD (InterPro:IPR009038); Has 172 Blast hits to 172 proteins in 43 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi - 0; Plants - 63; Viruses - 0; Other Eukaryotes - 14 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G01010) TAIR;Acc:AT5G01010] MASTEGLVPITRTFLASYYDKYPFDPLSDDVSRLSFEIRSFAQDLLQGLPPTQGESLLIQEADSQPPHKIDENMWKNREHIEEILFLLERSHWPPLLQQPSTSEVAEFATICGRLKDKFQRILRILASFQSRNSERVFNTVMTYMPQDFRGTLIKQQKERSERNKQAEVDALVNSGGSIHDRYALLWKQQMDRRRQLAQLGAATGVYKTLVKYLVGVPQVLLDFIRQINDDDGPMEEQRQRYGPPLYNLTKTVLIIRLFLSLAWQRFEAFKLNRHQISVLEEAVDVYTSEFERILDLVWSTQIPLVKKH >EOY03170 pep chromosome:Theobroma_cacao_20110822:4:6775532:6792607:1 gene:TCM_017739 transcript:EOY03170 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: GOLD (InterPro:IPR009038); Has 172 Blast hits to 172 proteins in 43 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi - 0; Plants - 63; Viruses - 0; Other Eukaryotes - 14 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G01010) TAIR;Acc:AT5G01010] MASTEGLVPITRTFLASYYDKYPFDPLSDDVSRLSFEIRSFAQDLLQGLPPTQGESLLIQEADSQPPHKIDENMWKNREHIEEILFLLERSHWPPLLQQPSTSEVAEFATICGRLKDKFQRILRILASFQSRNSERVFNTVMTYMPQDFRGTLIKQQKERSERNKQAEVDALVNSGGSIHDRYALLWKQQMDRRRQLAQLGAATGVYKTLVKYLVGVPQVLLDFIRQINDDDGPMEEQRQRYGPPLYNLTKTVLIIRLFLSLAWQRFEAFKLNRHQISVLEEAVDVYTSEFERILDLVWSTQIPLVKKH >EOY03169 pep chromosome:Theobroma_cacao_20110822:4:6775395:6793264:1 gene:TCM_017739 transcript:EOY03169 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: GOLD (InterPro:IPR009038); Has 172 Blast hits to 172 proteins in 43 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi - 0; Plants - 63; Viruses - 0; Other Eukaryotes - 14 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G01010) TAIR;Acc:AT5G01010] MASTEGLVPITRTFLASYYDKYPFDPLSDDVSRLSFEIRSFAQDLLQGLPPTQGESLLIQEADSQPPHKIDENMWKNREHIEEILFLLERSHWPPLLQQPSTSEVAEFATICGRLKDKFQRILRILASFQSRNSERVFNTVMTYMPQDFRGTLIKQQKERSERNKQAEVDALVNSGGSIHDRYALLWKQQMDRRRQLAQLGAATGVYKTLVKYLVGVPQVLLDFIRQINDDDGPMEEQRQRYGPPLYNLTKTVLIIRLFLSLAWQRFEAFKLNRHQISVLEEAVDVYTSEFERFINFISEVFANSPFFISAEDASMFETRKSDEYNEITVPAGKSYEVSLAVESINSYIAWDFSLVQGKMNMLILPYRRYES >EOY03165 pep chromosome:Theobroma_cacao_20110822:4:6775540:6792146:1 gene:TCM_017739 transcript:EOY03165 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: GOLD (InterPro:IPR009038); Has 172 Blast hits to 172 proteins in 43 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi - 0; Plants - 63; Viruses - 0; Other Eukaryotes - 14 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G01010) TAIR;Acc:AT5G01010] MASTEGLVPITRTFLASYYDKYPFDPLSDDVSRLSFEIRSFAQDLLQGLPPTQGESLLIQEADSQPPHKIDENMWKNREHIEEILFLLERSHWPPLLQQPSTSEVAEFATICGRLKDKFQRILRILASFQSRNSERVFNTVMTYMPQDFRGTLIKQQKERSERNKQAEVDALVNSGGSIHDRYALLWKQQMDRRRQLAQLGAATGVYKTLVKYLVGVPQVLLDFIRQINDDDGPMEEQRQRYGPPLYNLTKTVLIIRLFLSLAWQRFEAFKLNRHQISVLEEAVDVYTSEFERFINFISEVFANSPFFISAEDASMFETRKSDEYNEITVPAGKSYEVSLAVESINSYIAWDFSLVQGKMNMDIGFSVEYTNTVGEKTLILPYRRYESDQGNFSTCMAGHYKLIWDNSYSTFFKKLRTFCGCLLTSNI >EOY04238 pep chromosome:Theobroma_cacao_20110822:4:22964257:22965241:-1 gene:TCM_019501 transcript:EOY04238 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERD15, putative MAAVVGARSSALNPNAPMFIPAAFRQVEDFSPEWWELVKTSTWFRDYWLSEHQEEGFAADDEDDDADVANLLPESFELGFDEELVDLDAQFEEFLESESREKTEAKKDRNGVGMNPKALIRSLSMPKSPRERGTRYFEKPAKYVSSSPKARCSPRRIQQPR >EOY06880 pep chromosome:Theobroma_cacao_20110822:4:33224701:33227496:-1 gene:TCM_021469 transcript:EOY06880 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MINIANPYETPRCSSDSLIISTTLKSSELTFCNSKPKSDYNAINKSRLSLNRLAERMTLGTPPTQAYGEPWYWDNRYAHESAPFDWYQKYPALAPLVHLYVPHRHQRILVVGCGNSVFSEDMVNDGYEDVVNVDISSVVIEAMQTKYSNRQQLKYIKMDVRDMSPFQAGSFDAVIDKGTLDSILCGNNSRQNATQMLEEVWRVLKDKGVYILITYGAPAYRLGLLKESSIWSIKLHVIVKFGPEGSSEQPIRELTNPVPLEEGGSSVEDVLGKNPDVHYIYVCTKPRPRNEVAA >EOY06879 pep chromosome:Theobroma_cacao_20110822:4:33225017:33227484:-1 gene:TCM_021469 transcript:EOY06879 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MINIANPYETPRCSSDSLIISTTLKSSELTFCNSKPKSDYNAINKSRLSLNRLAERMTLGTPPTQAYGEPWYWDNRYAHESAPFDWYQKYPALAPLVHLYVPHRHQRILVVGCGNSVFSEDMVNDGYEDVVNVDISSVVIEAMQTKYSNRQQLKYIKMDVRDMSPFQAGSFDAVIDKGTLDSILCGNNSRQNATQMLEEVWRVLKDKGVYILITYGAPAYRLGLLKESSIWSIKLHVIVKFGPEGSSEQPIRELTNPVPLEEGGSSVEDVLGKNPDVHYIYVCTKEAKAKE >EOY06882 pep chromosome:Theobroma_cacao_20110822:4:33226437:33227696:-1 gene:TCM_021469 transcript:EOY06882 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MINIANPYETPRCSSDSLIISTTLKSSELTFCNSKPKSDYNAINKSRLSLNRLAERMTLGTPPTQAYGEPWYWDNRYAHESAPFDWYQKYPALAPLVHLYVPHRHQRILVVGCGNSVFSEDMVNDGYEDVVNVDISSVVIEAMQTKYSNRQQLKYIKMDVRDMSPFQAGSFDAVIDKGTLDSILCGNNSRQNATQMLEEVWS >EOY06881 pep chromosome:Theobroma_cacao_20110822:4:33225007:33227841:-1 gene:TCM_021469 transcript:EOY06881 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MINIANPYETPRCSSDSLIISTTLKSSELTFCNSKPKSDYNAINKSRLSLNRLAERMTLGTPPTQAYGEPWYWDNRYAHESAPFDWYQKYPALAPLVHLYVPHRHQRILVVGCGNSVFSEDMVNDGYEDVVNVDISSVVIEAMQTKYSNRQQLKYIKMDVRDMSPFQAGSFDAVIDKGTLDSILCGNNSRQNATQMLEEVWRVLKDKGVYILITYGAPAYRLGLLKESSIWSIKLHVIVKFGPEGSSEQPIRELTNPVPLEEGGSSVEDEAKAKE >EOY03890 pep chromosome:Theobroma_cacao_20110822:4:20221088:20231869:1 gene:TCM_019106 transcript:EOY03890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLLELLMFQEYICYLEQEATFPPFSLLHDREVVDYVQWCLHDHYHEDWHQDDTNGIAVEHAAFGSKDLIIL >EOY03355 pep chromosome:Theobroma_cacao_20110822:4:13701582:13702786:-1 gene:TCM_018331 transcript:EOY03355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin 2 MGSFFSSLLGSASEDSSSNSSSSEPSRVLTFHSSSRWQLHFNSVKETPKLIVIDFSASWCGPCKFIEPAVHDMAAKFTEVDFVKIDVDELPDVAQEFGVQAMPTFVLVKKGKEVDRVVGAQKNDLEKKVEKHRTLQAAT >EOY06034 pep chromosome:Theobroma_cacao_20110822:4:30713862:30715130:-1 gene:TCM_020884 transcript:EOY06034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase kinase 1, putative MTEALKRSYEVCEEIGRGRFGVVFRCVSLSSGESFAVKSIDKRIISTGDSLDSQCLFNEPKILALVSPHPNIIHLHNLYEDDSHLHMVLDLCPPSQDLYNLIIHNGPFSEAQARPIMTQLIQALAHIHKLGIVHRDIKPDNILFDSKNSVKLTDFGSAEVAAEAMQGVVGTPYYVAPEVLNGREYGEKVDVWSCGVILYIMLAGFPPFYGETVVEIFEAVLRGNLRFPVRVFQSVSPAAKDLLRKMLCKDVSRRFSAEQVLRHPWITTGG >EOY06457 pep chromosome:Theobroma_cacao_20110822:4:31982127:31982457:1 gene:TCM_021171 transcript:EOY06457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWSLSNSFPNLLFRPTPFLVNIKFSLQDSFAHFSKHNDSTKKFHLQRFKPHFLHFFHNLYKPIRDHDKGSRMVKKHCSDI >EOY03541 pep chromosome:Theobroma_cacao_20110822:4:17043259:17046000:-1 gene:TCM_018655 transcript:EOY03541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport v-SNARE family protein MSEVFEGYERQYCELSANLSKKCTAAGALDGEQKKQKLSDIRAGLEGAEALIRKMDLEARSLQPNVKAVLLAKLREYKSDLNNLKSEVKRIASGNLNSAARDELLESGMADALTASADQRSRLMMTTERLNQSSDRIKDSRRTMLETEELGVSILQDLHSQRQSLLHANNTLHGVDDNVGKSKRILTNMSRRMSRNKWIIGIIIAVLILAVALILYFKLAK >EOY02947 pep chromosome:Theobroma_cacao_20110822:4:3109530:3112358:1 gene:TCM_017343 transcript:EOY02947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVYIVPKDLQEIEVAKEKISGRDFLGARKMLLQVKRDFPAVDNISGMIAVCDILFSAALKFMDFDFYFVLQIPPEATSSEIKSKYNKFTALLEPILNNFPGAASALRIIQDAFSVLLHQEKRKMFDIKRARKLESCESRISNENNVMDSQLATEILIQRHENSRSSKRWRMGFYKAGDGYGNIYESNSMEIVSKIITDAKVSTYLGERQVHDSKLPTQSVICEGSIVGEQKKEIYDQEESWTACAMSSIGTMAGKPHDKFSPSMFLSDPSKKSSRLENCNQDYYTFDNTRNAALYSVGQIARQLVQPVPVTAHERKWYELKMPVVCGSFNLDRNERTVVEPTLLSHLISTHASEQIETYPQTDVVVKRLVKIQGPKSVFRRCTNNDSDHSFAIPAKSLYKFSHRIPAYRFADQEMDRVSDEMFELNHSAAPGVLDWDMTRGSRCQSTLTLNHATPLHKPSNAENSKDISWLQDNLPFASGLFRCGETTLNLDLARFSHTVKCEKIGEESLYRIYPEKGEFWALHKNRDGARKRADFKSHHQYQIVEIVKDFSEESGLIAVSLIEVPGRKSFFKRQLQNGHELCQTVTRKEMVRFSHQEPAYTVEGIELYGIPRGSWHLEPDALPPKLSTTVHLWNLDAR >EOY04038 pep chromosome:Theobroma_cacao_20110822:4:21605939:21606634:1 gene:TCM_019295 transcript:EOY04038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVTSVSSFRLIYRQRPLRLDPKYGKKSPSFIVASCRGSDGPDYVGKLVDESMIVLRMRIKEMKISESSVELPSDWMEWEKQYFLHYNEDVCEAMGLLQNFLMNMRPSLAVGMVALVLLSVPLSSGLTLFHALQIAQGFLSRFNPS >EOY06582 pep chromosome:Theobroma_cacao_20110822:4:32368350:32368982:1 gene:TCM_021258 transcript:EOY06582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASGQRDDHLRMVGLEGFGLIDQIYGPTRRPSPRVQSQQVHQNHYRHPQQHQHQQSCIYHGSQANTVRESVVNHSLGTTRQFYSIVQSVYQEPQVSAAEKAEISSNEAARVYGGMVMLSYTRTRPLR >EOY05651 pep chromosome:Theobroma_cacao_20110822:4:29303696:29304970:1 gene:TCM_020591 transcript:EOY05651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYLLGPKRPGDDLGPMISRSINTETSLQRSDARHRPNQHTILCDKRCISFVPSSSFSKNPKKRQNHFEPSIIHPFRKNNVTSLANTRQTRTTPITPMSHIQLIFAVPSSQ >EOY05737 pep chromosome:Theobroma_cacao_20110822:4:29630291:29630983:-1 gene:TCM_020664 transcript:EOY05737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARPSASLHFLLVLLIFISVKNMVPKVNGDCQLAVRGGGCPDKDKCLETCRPCYRGIGQITAFCRPAGGGIPFDECVCYLSHGAPCNPPPPPQCPGRPPLNRTMTLV >EOY02756 pep chromosome:Theobroma_cacao_20110822:4:2126597:2127521:-1 gene:TCM_017158 transcript:EOY02756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKVGQKEGRRRCTTAKVACGVIQLDLFKEGIGVWVRIVKGLNVAVTFEEWEEMEALPDHYWDSFEVWFEELVSYVTAPRESTQKYESFDHAFALVKIRSKVVIPEHKELEAGGERFKVNVGSETYNILEL >EOY05467 pep chromosome:Theobroma_cacao_20110822:4:28654165:28655838:-1 gene:TCM_020461 transcript:EOY05467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIQGPTVVSLFQTTGEEREKARKQLVQKLKILEEHALGDKKFSGGEAINLVDIEFGVLAHWVEGMEEVMGLQLLKPGTLPQLRQWIKNFKEVAVIKENLPDKAKLLAHMKVVRELFVFNVSG >EOY03012 pep chromosome:Theobroma_cacao_20110822:4:3639037:3640087:1 gene:TCM_017424 transcript:EOY03012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sarcoplasmic reticulum histidine-rich calcium-binding protein, putative MKNKASGLLKQIITYLTTMAKAKTLAFKSKTKAIKTRLVIFSLLRNKKLLMSSISQKLNGLMGQNDRNSKELEDGVAGGLGDQQSQAIMLCNSNSAMWLPSATRTVTEMEMELQEKGEYEEGEDDEEVEDKYPDLTHSLFDSEEMEFEDPGGSVIDIVKNSKEDKGEEFKLEDEIDRVADLFIRRFHRQIRLQKQLSLKRHQEMLERSI >EOY06338 pep chromosome:Theobroma_cacao_20110822:4:31605186:31608676:1 gene:TCM_021093 transcript:EOY06338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MRDAREPNSAPSCGELSVDSNGSHKTARKWEPFRHMRRRHRAGFSDSISSLDLASLGAEVERIDQPSPTAALEDCSTSLESIESRSVESIESAESEPTSSKASTSSDSENHQSSATSVHWRGFFRLLKKGPGIPFQTLPPLKPRLTRRKSKRVRDDMVPQLSSTLDAELCCFKSTWKNFSLSELHEATNNFSHEYLIGEGGYAEVYKGQLKSGKLVAIKRLTRGSSEEMTMDFLSELGIIVHVDHPNIAKLIGYGVEGGMHLVLQLSPHGSLASLLYGPKEKLSWGIRFKIAVGTAEGLSYLHEGCQRRIIHKDIKASNILLTEDFDAQISDFGLAKWLPDQWTHHTVSRVEGTFGYLPPEFFMHGIVDEKTDVYAFGVLLLELITGRQAVDSSQQSLVMWAKPLIKENKIEELVDPTLGDAYNVDQLNRVTATASMCIHQSAVNRPQMSQVVDILKGDLTCLEMLKHREKSILQRTYSEEIHDAEEYNSTKYLNDLRQMEILLGQPNEELC >EOY06339 pep chromosome:Theobroma_cacao_20110822:4:31606305:31608633:1 gene:TCM_021093 transcript:EOY06339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MRRRHRAGFSDSISSLDLASLGAEVERIDQPSPTAALEDCSTSLESIESRSVESIESAESEPTSSKASTSSDSENHQSSATSVHWRGFFRLLKKGPGIPFQTLPPLKPRLTRRKSKRVRDDMVPQLSSTLDAELCCFKSTWKNFSLSELHEATNNFSHEYLIGEGGYAEVYKGQLKSGKLVAIKRLTRGSSEEMTMDFLSELGIIVHVDHPNIAKLIGYGVEGGMHLVLQLSPHGSLASLLYGPKEKLSWGIRFKIAVGTAEGLSYLHEGCQRRIIHKDIKASNILLTEDFDAQISDFGLAKWLPDQWTHHTVSRVEGTFGYLPPEFFMHGIVDEKTDVYAFGVLLLELITGRQAVDSSQQSLVMQNH >EOY06340 pep chromosome:Theobroma_cacao_20110822:4:31606204:31608234:1 gene:TCM_021093 transcript:EOY06340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MRRRHRAGFSDSISSLDLASLGAEVERIDQPSPTAALEDCSTSLESIESRSVESIESAESEPTSSKASTSSDSENHQSSATSVHWRGFFRLLKKGPGIPFQTLPPLKPRLTRRKSKRVRDDMVPQLSSTLDAELCCFKSTWKNFSLSELHEATNNFSHEYLIGEGGYAEVYKGQLKSGKLVAIKRLTRGSSEEMTMDFLSELGIIVHVDHPNIAKLIGYGVEGGMHLVLQLSPHGSLASLLYGPKEKLSWGIRFKIAVGTAEGLSYLHEGCQRRIIHKDIKASNILLTEDFDAQISDFGLAKWLPDQWTHHTVSRVEGTFGYLPPEFFMHGIVDEKTDVYAFGVLLLELITGRQAVDSSQQSLVMWVGLLYTTVSHCSESIPMIQLP >EOY03244 pep chromosome:Theobroma_cacao_20110822:4:9069605:9070562:1 gene:TCM_017950 transcript:EOY03244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQAQNTVATTNQAAVVTLPPVEGGEINGSKDDKVVQNKDPNNTPRADENAQQGLLEIVFDCVAINVACPRDRTEGYDDNPPNLESASGKGMYNKELNDIPSISSNNHAELEVHPRERHRRHLDNAVPFWKTFSSATKDVIVMSGNEGDSDEDSISISFVARNHQ >EOY02651 pep chromosome:Theobroma_cacao_20110822:4:1671377:1676130:-1 gene:TCM_017068 transcript:EOY02651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein isoform 2 MGSCEEYYSSLPETPNQTQTESALGFNSSTLLSNLYPGTEKEEESLVEGLKNVTFEESDRKSYAGDDNSENLQVDWQNGENYQYPLRPYAEDCSFYLKTGHCKFGLNCKFNHPDGGGSQDKENHKGWTAEQTGQIECKYYRATGGCRYGDACRYRHSKEDYVLAPLEVNSFGLPVQVGINEKREQDGSVEQTGQIECKYYLTSGGCKYGNACRYSHSKEKSRYLEKSEIPPPELNFLGLPIRMLEKECPYYMRTGSCAYGPNCRFNHPDPTTAEGSNIFSSDSSGFGGHSSGNYNRESSLLPLSSKPTAASLSLNMMPDKHVPYLNQSSSYAHGMHLNSEWNGHQEKTSHPYSASSIPNAIKMADNSKLHQEQIQADEFPERPGEPECPYFMKTGYCKYKAACKFHHPKTRPSKPPVFILSSAGLPLRPDRKICWNYEKYGICKYGTSCFFNHPENHFSSAGPVDSIP >EOY02652 pep chromosome:Theobroma_cacao_20110822:4:1673143:1676048:-1 gene:TCM_017068 transcript:EOY02652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein isoform 2 MGSCEEYYSSLPETPNQTQTESALGFNSSTLLSNLYPGTEKEEESLVEGLKNVTFEESDRKSYAGDDNSENLQVDWQNGENYQYPLRPYAEDCSFYLKTGHCKFGLNCKFNHPDGGGSQDKENHKGWTAEQTGQIECKYYRATGGCRYGDACRYRHSKEDYVLAPLEVNSFGLPVQVGINEKREQDGSVEQTGQIECKYYLTSGGCKYGNACRYSHSKEKSRYLEKSEIPPPELNFLGLPIRMLEKECPYYMRTGSCAYGPNCRFNHPDPTTAEGSNIFSSDSSGFGGHSSGNYNRESSLLPLSSKPTAASLSLNMMPDKHVPYLNQSSSYAHGMHLNSEWNGHQEVWMMVFLFNPNLSLSCDDFSHLGCLVSEYVF >EOY06353 pep chromosome:Theobroma_cacao_20110822:4:31651658:31655619:1 gene:TCM_021102 transcript:EOY06353 gene_biotype:protein_coding transcript_biotype:protein_coding description:SC35-like splicing factor 28 isoform 1 MGRYRSRSRSYSPRRRSRTPTRGRKKYEDDPRDRHRSHRDRRSPAPSGLLIRNLPLDARPEDLRVPFERYGPVKDVYLPKNYYTGEPRGFGFVKFRYGEDAAEAKQRMNHQVIGGREIRIVFAEENRKTPQEMRLSTRTSGRHGGSRRTPPRSPRRRYRSYSRSPSPARHDSRDRGVRDDYRSLRRSRSISQDRGMRDDYRSTSRSRSISRDHGAKDDYCSPRRSRSVSRSLSARSPHGDREYRTKPRSPSPRENGRSSHDERRYAHGQSRSPRRDSLSPSRSRSRSFSPR >EOY06352 pep chromosome:Theobroma_cacao_20110822:4:31651586:31655654:1 gene:TCM_021102 transcript:EOY06352 gene_biotype:protein_coding transcript_biotype:protein_coding description:SC35-like splicing factor 28 isoform 1 MGRYRSRSRSYSPRRRSRTPTRGRKKYEDDPRDRHRSHRDRRSPAPSGLLIRNLPLDARPEDLRVPFERYGPVKDVYLPKNYYTGEPRGFGFVKFRYGEDAAEAKQRMNHQVIGGREIRIVFAEENRKTPQEMRLSTRTSGRHGGSRRTPPRSPRRRYRSYSRSPSPARHDSSFWIQVLYICSETLERCRDRGVRDDYRSLRRSRSISQDRGMRDDYRSTSRSRSISRDHGAKDDYCSPRRSRSVSRSLSARSPHGDREYRTKPRSPSPRENGRSSHDERRYAHGQSRSPRRDSLSPSRSRSRSFSPR >EOY04305 pep chromosome:Theobroma_cacao_20110822:4:23593319:23604468:-1 gene:TCM_019573 transcript:EOY04305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRALLTLFLLLSALLFSASSIAAQSIGSKGGGGSGSGTPVTGNRPPAAVPCRGRPFTSCIPKPPKKCNTPFQEEARKLMLSLVRFRLAFRVMNVYRDITAVVTDSMGVLGGEYHAKTTLIQLPNMRALLTLFLLLSALLFSASSIAAQSIGSKGGGGSGSGTPVTGNRPPAAVPCRGRPFTSCIPKPPKKCNSPFQGDCP >EOY02451 pep chromosome:Theobroma_cacao_20110822:4:891236:893535:1 gene:TCM_016923 transcript:EOY02451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKGQHKDLEKTKIPIWPGLSLAIPTKSLAFLPHFCGSNAIELLVRDDNGCSWTFVCSLRKTGYAKPVLQKGWRHFALAKGLTVGDKVTFYQQETDDGIKVFGTVFPRSCYSIEVERAIKPSLSRAGVDHNLAMK >EOY05434 pep chromosome:Theobroma_cacao_20110822:4:28565434:28568956:-1 gene:TCM_020438 transcript:EOY05434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 60 isoform 1 MYRFASSLASKARIARNTTHQIGSRLSWNRNYAAKDIKFGVEARALMLKGVEELADAVKVTMGPKGRNVVIEQSYGAPKVTKDGVTVAKSIDFKDKIKNVGASLVKQVANATNDVAGDGTTCATLLTRAIFTEGCKSVAAGMNAMDLRRGITMAVDDVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLFNELEVVEGMKLDRGYISPYFITNTKNQKCELDDPLILIHEKKISSLNAVVKVLELALKRQRPLLIVAEDVESEALATLILNKLRAGIKVCAIKAPGFGENRKASLQDLAVLTGGEVITEELGMNLEKVDLDMLGTCKKATVSKDDTVFLDGDGDKKAIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGVQIIQNALKVCKIFSAHLRGINFRKIHSR >EOY05435 pep chromosome:Theobroma_cacao_20110822:4:28565680:28568956:-1 gene:TCM_020438 transcript:EOY05435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 60 isoform 1 MYRFASSLASKARIARNTTHQIGSRLSWNRNYAAKDIKFGVEARALMLKGVEELADAVKVTMGPKGRNVVIEQSYGAPKVTKDGVTVAKSIDFKDKIKNVGASLVKQVANATNDVAGDGTTCATLLTRAIFTEGCKSVAAGMNAMDLRRGITMAVDDVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLFNELEVVEGMKLDRGYISPYFITNTKNQKCELDDPLILIHEKKISSLNAVVKVLELALKRQRPLLIVAEDVESEALATLILNKLRAGIKVCAIKAPGFGENRKASLQDLAVLTGGEVITEELGMNLEKVDLDMLGTCKKATVSKDDTVFLDGDGDKKAIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGKDF >EOY05433 pep chromosome:Theobroma_cacao_20110822:4:28564925:28569474:-1 gene:TCM_020438 transcript:EOY05433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 60 isoform 1 MYRFASSLASKARIARNTTHQIGSRLSWNRNYAAKDIKFGVEARALMLKGVEELADAVKVTMGPKGRNVVIEQSYGAPKVTKDGVTVAKSIDFKDKIKNVGASLVKQVANATNDVAGDGTTCATLLTRAIFTEGCKSVAAGMNAMDLRRGITMAVDDVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLFNELEVVEGMKLDRGYISPYFITNTKNQKCELDDPLILIHEKKISSLNAVVKVLELALKRQRPLLIVAEDVESEALATLILNKLRAGIKVCAIKAPGFGENRKASLQDLAVLTGGEVITEELGMNLEKVDLDMLGTCKKATVSKDDTVFLDGDGDKKAIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVVVSLFCMHPKSWISYLQRTLTRRLVFKLYRML >EOY05432 pep chromosome:Theobroma_cacao_20110822:4:28564587:28569229:-1 gene:TCM_020438 transcript:EOY05432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 60 isoform 1 MYRFASSLASKARIARNTTHQIGSRLSWNRNYAAKDIKFGVEARALMLKGVEELADAVKVTMGPKGRNVVIEQSYGAPKVTKDGVTVAKSIDFKDKIKNVGASLVKQVANATNDVAGDGTTCATLLTRAIFTEGCKSVAAGMNAMDLRRGITMAVDDVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLFNELEVVEGMKLDRGYISPYFITNTKNQKCELDDPLILIHEKKISSLNAVVKVLELALKRQRPLLIVAEDVESEALATLILNKLRAGIKVCAIKAPGFGENRKASLQDLAVLTGGEVITEELGMNLEKVDLDMLGTCKKATVSKDDTVFLDGDGDKKAIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGVQIIQNALKTPVYTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGQ >EOY05430 pep chromosome:Theobroma_cacao_20110822:4:28564437:28569518:-1 gene:TCM_020438 transcript:EOY05430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 60 isoform 1 MYRFASSLASKARIARNTTHQIGSRLSWNRNYAAKDIKFGVEARALMLKGVEELADAVKVTMGPKGRNVVIEQSYGAPKVTKDGVTVAKSIDFKDKIKNVGASLVKQVANATNDVAGDGTTCATLLTRAIFTEGCKSVAAGMNAMDLRRGITMAVDDVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLFNELEVVEGMKLDRGYISPYFITNTKNQKCELDDPLILIHEKKISSLNAVVKVLELALKRQRPLLIVAEDVESEALATLILNKLRAGIKVCAIKAPGFGENRKASLQDLAVLTGGEVITEELGMNLEKVDLDMLGTCKKATVSKDDTVFLDGDGDKKAIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGVQIIQNALKTPVYTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGEYVDMVKAGIVDPLKVIRTALVDAASVSSLMTTTEAIVVELPKDEKDVSAMPGGMGGMDY >EOY05431 pep chromosome:Theobroma_cacao_20110822:4:28564587:28569229:-1 gene:TCM_020438 transcript:EOY05431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 60 isoform 1 MYRFASSLASKARIARNTTHQIGSRLSWNRNYAAKDIKFGVEARALMLKGVEELADAVKVTMGPKGRNVVIEQSYGAPKVTKDGVTVAKSIDFKDKIKNVGASLVKQVANATNDVAGDGTTCATLLTRAIFTEGCKSVAAGMNAMDLRRGITMAVDDVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLFNELEVVEGMKLDRGYISPYFITNTKNQKCELDDPLILIHEKKISSLNAVVKVLELALKRQRPLLIVAEDVESEALATLILNKLRAGIKVCAIKAPGFGENRKASLQDLAVLTGGEVITEELGMNLEKVDLDMLGTCKKATVSKDDTVFLDGDGDKKAIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGVQIIQNALKTPVYTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGQ >EOY05436 pep chromosome:Theobroma_cacao_20110822:4:28565055:28569474:-1 gene:TCM_020438 transcript:EOY05436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 60 isoform 1 MYRFASSLASKARIARNTTHQIGSRLSWNRNYAAKDIKFGVEARALMLKGVEELADAVKVTMGPKGRNVVIEQSYGAPKVTKDGVTVAKSIDFKDKIKNVGASLVKQVANATNDVAGDGTTCATLLTRAIFTEGCKSVAAGMNAMDLRRGITMAVDDVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLFNELEVVEGMKLDRGYISPYFITNTKNQKCELDDPLILIHEKKISSLNAVVKVLELALKRQRPLLIVAEDVESEALATLILNKLRAGIKVCAIKAPGFGENRKASLQDLAVLTGGEVITEELGMNLEKVDLDMLGTCKKATVSKDDTVFLDGDGDKKAIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGWSSSVCIQRVG >EOY06619 pep chromosome:Theobroma_cacao_20110822:4:32477626:32478429:-1 gene:TCM_021284 transcript:EOY06619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related protein 10.5 MGVVTYEMEVATSIPPAKMFKAFVLDADNLIPKVVPQAIKSSELLEGDGGPGSIKKITFGEGSQFKYVKHKVEGIDKENFSYSYSVIEGDALMNTLEKISYETKFAAGPDGGSVCKSTGKYYTIGDTEIKEEQIKAGKERALGMYKAVEAYLLANPDAYN >EOY06090 pep chromosome:Theobroma_cacao_20110822:4:30891493:30893177:-1 gene:TCM_020924 transcript:EOY06090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14 MPFKRYVEIGRVALVNYGKDYGKLVVIVDVVDQNRALVDAPDMVRGQMNFKRLTLTDITIDIPRVPKKKTLIEAMEKADVKNKWENSSWGRKLIVQKRRASLNDFDRFKLMLAKIKRAGVIRQEFAKLKKEN >EOY06858 pep chromosome:Theobroma_cacao_20110822:4:33165146:33169610:-1 gene:TCM_021457 transcript:EOY06858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yth domain-containing protein, putative isoform 2 MYQEGAPEFVIDQGIYYPTTNYGFYCTGFESSVEWEDHQNIFSADGPDVQYAGAQTETLPYVYYTPSYGYAQSPYNPYNPYIPGAVMGDGPFIGAQQYYTLPPYQNPVSPSAYVPVVIQPDGIPNSSTDSLLDTRASIANRPDGRGVKHNLASASAAFSRNSSKSAPNQTDSLTRVSDGQSKQSAIHGSIPDSSGPASARAHQGRIASGFGNFPGGKLPSHRNQLKADLPVGNAFSDYGSSTPGRGALDKLRPKIHVGRDLNDAYGFPDTLGEQNRGPRTNRLKNQLMVRAYTTKAGNSDTEGNIIIYTDQYNKDDFPIDYVDAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLESAFEDTQKFAAGKPSGCPIFLFFSVNASGQFCGVAEMIGPVDFQKDMDFWQQDKWSGSFPVKWHIIKDVPNSHFRHIILENNENKPVTNSRDTQEIMYKQGMEMLKVFKNHTMKTSLLDDFMYYENRQKIMQEEKARLLIKSFESPILAPTLDPANKPNYVELLLRENEMTMKPSDPDMLKRTVPSSSRQVSEDSDITNARTMNENVDQIAVEAKDDVSTLKIGSFTINPKQAESKPSADAAMDSEAVEVVTVGSMPVKVNGFTESSGFLTVGTIPLNPKTLQLDEGGVSVKKGISGAEAYD >EOY06859 pep chromosome:Theobroma_cacao_20110822:4:33165166:33169715:-1 gene:TCM_021457 transcript:EOY06859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yth domain-containing protein, putative isoform 2 MYQEGAPEFVIDQGIYYPTTNYGFYCTGFESSVEWEDHQNIFSADGPDVQYAGAQTETLPYVYYTPSYGYAQSPYNPYNPYIPGAVMGDGPFIGAQQYYTLPPYQNPVSPSAYVPVVIQPDGIPNSSTDSLLDTRASIANRPDGRGVKHNLASASAAFSRNSSKSAPNQTDSLTRVSDGQSKQSAIHGSIPDSSGPASARAHQGRIASGFGNFPGGKLPSHRNQLKADLPVGNAFSDYGSSTPGRGALDKLRPKIHVGRDLNDAYGFPDTLGEQNRGPRTNRLKNQLMVRAYTTKAGNSDTEGNIIIYTDQYNKDDFPIDYVDAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLESAFEDTQKFAAGKPSGCPIFLFFSVNASGQFCGVAEMIGPVDFQKDMDFWQQDKWSGSFPVKWHIIKDVPNSHFRHIILENNENKPVTNSRDTQEIMYKQGMEMLKVFKNHTMKTSLLDDFMYYENRQKIMQEEKARLLIKSFESPILAPTLDHGFTESSGFLTVGTIPLNPKTLQLDEGGVSVKKGISGAEAYD >EOY06860 pep chromosome:Theobroma_cacao_20110822:4:33165944:33168425:-1 gene:TCM_021457 transcript:EOY06860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yth domain-containing protein, putative isoform 2 MGDSYKISMYGVDCIWTGFESSVEWEDHQNIFSADGPDVQYAGAQTETLPYVYYTPSYGYAQSPYNPYNPYIPGAVMGDGPFIGAQQYYTLPPYQNPVSPSAYVPVVIQPDGIPNSSTDSLLDTRASIANRPDGRGVKHNLASASAAFSRNSSKSAPNQTDSLTRVSDGQSKQSAIHGSIPDSSGPASARAHQGRIASGFGNFPGGKLPSHRNQLKADLPVGNAFSDYGSSTPGRGALDKLRPKIHVGRDLNDAYGFPDTLGEQNRGPRTNRLKNQLMVRAYTTKAGNSDTEGNIIIYTDQYNKDDFPIDYVDAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLESAFEDTQKFAAGKPSGCPIFLFFSVNASGQFCGVAEMIGPVDFQKDMDFWQQDKWSGSFPVKWHIIKDVPNSHFRHIILENNENKPVTNSRDTQEIMYKQGMEMLKVFKNHTMKTSLLDDFMYYENRQKIMQEEKARLLIKSFESPILAPTLDHGFTESSGFLTVGTIPLNPKTLQLDEGGVSVKKGISGAEAYD >EOY02882 pep chromosome:Theobroma_cacao_20110822:4:2795985:2797537:1 gene:TCM_017284 transcript:EOY02882 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 83, putative MESSQNFVVNGGIKMPIGYRFHPTDEELVVHYLKRKALSLPLPASVIPEFDVFQTDPWSLPGDSKENRYFFSNSYGNDSNKNCKRAAGSGYWQQIGKEKPILASGSNQVVGMRKALIYCERKRSSDTKIRWLLHQYRLVGSAATLGSSQMSKWKLFGDWLVFRVFQRKRKAKKHRASSNKSQITSAVTMPSCIDFTVEDCSVYGPPQPSSPSSSEITEVSLNGLDEEESSDFIGSYSNR >EOY03617 pep chromosome:Theobroma_cacao_20110822:4:17423145:17425779:-1 gene:TCM_018714 transcript:EOY03617 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein, putative MEKNSGKGKAKENMDFQGYGLRDNPKKSWKSLSFTDGSSSSMLKFQCKVCGKDFESMKALFGHMRHHSGRERKRVNCQECGRKFQSLKGLTAHMRLHPVKLRVSGEPGPGGPRQDLVLESITVRKKRSKRMRYSNAPNSSPSSLNESSDVFEIDQEVEDVALCLIMLSWGVRNWSEFNSSRESSDNSVIKSFHQSKEIIQNEIGIPFGDGDESFQMKKPRVDKSNPDVSVSMNVFYEKKISECKELDSGIVTDKEEKIGSEAPNDMFCRDVEFRVSTVEDESGFELYATEIEERNSGEKMTFRSIEVESGQDLMEGLDLAGLGSTKLSSCKDAMFDACDAEPGGNSSNKQICTPLNSEMSDDSKKKNRYKCRICDKTFKSHQALGGHQTFHRKSNSCAIEQIENCEKNTQSSSSPKTEASPKFRRVENVENSVEQEINGVTSNGTSRCKVHKCGICFKVFASGQALGGHKRSHILKESGTRDKQPPMQIGFISDVLDLNLPALHNEEANGDVGFKSCRVGSDCKSEPLVSLVAN >EOY05502 pep chromosome:Theobroma_cacao_20110822:4:28804138:28807700:-1 gene:TCM_020488 transcript:EOY05502 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing-like protein MESTITLVLEIVYDILLRLPAKSLQRFKLVSKPWGSLISDPNFAESHLRRVNTSQDNVNLLRVGQIRAVTGPRPRLSLYSMDSDGLNREIVKLDYPFGDNLRHARILGSCNGLLLIDTESCAEYFLWNPSTGKYKTIPPPFIQEDGEFISGLAYESTSRNYKGIIVSHYVSGPSSPSHDNYGNYESLCYRVYDYQKNSWTSKDFCEFSYRIHTSSTAVMVNGVPHWCVYRRKLDERNDQNYAQHLRLFYFHVTYVIIYFDLKNENFKEVELPMWATQEMKFDLGVLGGCLSMSLNPHGSFTEVWAMKEYGIPESWTKLFVISSSFGKLRPVCFPTNNRNKVLLKVGVKKLEKWKKEGVEKWIIFNLKEEITERILLLKNDNWYDTYFSECTYVGSLVFPEEHQTNHEELVDEFCTL >EOY06580 pep chromosome:Theobroma_cacao_20110822:4:32361962:32362925:1 gene:TCM_021256 transcript:EOY06580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSSLYIEPQLLTISQQNKRNLQSTMAYHQVDYLQKVALDGFSIIEKQYGRGNQRHTPPPPPPPAGRQGQYHHQKLAPNQYQMPYSYQEPQAYDTEKEPDFRSYRPATKQQGYETWYFVPVPQAPIKGGPVISSSEAAETYGGILFMDYGYKSKPYRWGCN >EOY05221 pep chromosome:Theobroma_cacao_20110822:4:27633425:27638499:-1 gene:TCM_020277 transcript:EOY05221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MLLLVCLSVIHVVLSIPLMLCFLFILAGVGNSVKKRDMLTSSGDNCPETLDAEEKTKATDACKPSVKKLLEEEMSGEQVAKKEVNNTEIEAKRCDSGQEDNRRKNRKRKNKTRKKSRDNSLDMDVAENLVSEGSCPHKSEQQTTSNLNIDNLMEEFCQQIHQKRINCENHGQPAEGHMQPNQRSSGFEERLTEAIKFLVSQKLINGNQLTEDGELQASKEVMDALQILSLDEELFLKLLRDPNSLLVKYVHDLPDAQLKEEEESTPLAGSNFSEQELVDSRQSSEPVNRKQRNFFRRKLKSHERDLSDGNKVSQASNKIVILKPGPTCLQTPETGSSLGSSPEPQYIIRHREPNEKVGSHFFLAEIKRKLKHAMGREQHRIPTDCISKRFPGERQNSGDSGGVKEYIGMNSPTKDHFFIERMARPSIGVKKGEKTSKLKGSELGTDYETADFSKQRVSNIYIEAKKHLSEMLTNGDENVDLSSRQVPKTLGRILSLPEYNSSPVGSPGRNSEPNFITAQMRFAGSENFEEVNVNNQQNHVSHLSQVAESQLCISDNKTNNEVHGDNAILNNLDTCVNDDKEDQTFCAIKDEMSSEGSVSYVKAPELMVQEESKVLDTFSETSDSSITRDDKNVDVREVCDEKQNHQCLKQDSSEEDQQPFSPLASPSNSSVTKKVECPESVTDIQERPSPVSVLEPLFAEDVISPASIRSHSAETSMQPLRIRFEEHGSLGTNHSNH >EOY05223 pep chromosome:Theobroma_cacao_20110822:4:27632889:27636259:-1 gene:TCM_020277 transcript:EOY05223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MLLLVCLSVIHVVLSIPLMLCFLFILAGVGNSVKKRDMLTSSGDNCPETLDAEEKTKATDACKPSVKKLLEEEMSGEQVAKKEVNNTEIEAKRCDSGQEDNRRKNRKRKNKTRKKSRDNSLDMDVAENLVSEGSCPHKSEQQTTSNLNIDNLMEEFCQQIHQKRINCENHGQPAEGHMQPNQRSSGFEERLTEAIKFLVSQKLINGNQLTEDGELQASKEVMDALQILSLDEELFLKLLRDPNSLLVKYVHDLPDAQLKEEEESTPLAGSNFSEQELVDSRQSSEPVNRKQRNFFRRKLKSHERDLSDGNKVSQASNKIVILKPGPTCLQTPETGSSLGSSPEPQYIIRHREPNEKVGSHFFLAEIKRKLKHAMGREQHRIPTDCISKRFPGERQNSGDSGGVKEYIGMNSPTKDHFFIERMARPSIGVKKGEKTSKLKGSELGTDYETADFSKQRVSNIYIEAKKHLSEMLTNGDENVDLSSRQVPKTLGRILSLPEYNSSPVGSPGRNSEPNFITAQMRFAGSENFEEVNVNNQQNHVSHLSQVAESQLCISDNKTNNEVHGDNAILNNLDTCVNDDKEDQTFCAIKDEMSSEGSVSYVKAPELMVQEESKVLDTFSETSDSSITRDDKNVDVREVCDEKQNHQCLKQDSSEEDQQPFSPLASPSNSSVTKKVECPESVTDIQERPSPVSVLEPLFAEDVISPASIRSHSAETSMQPLRIRFEEHGSLGTNHSNHIKTCMDDKESIFEHIKTVLQASSFNWDELYIRSLSSDQLLDPLLLDEVEYSPNQLCHDQKLLFDCINEVIMEVCGYYFGSPGVSFVKPNIRPIPNMKNTIQEVWQGVYWHLLPMPLPRTLDQIVRKDMSKTGTWMDLGLDTNCIGVEMGEAILEDLVEDTVTSYINESLECEYHVLPA >EOY05222 pep chromosome:Theobroma_cacao_20110822:4:27633476:27638514:-1 gene:TCM_020277 transcript:EOY05222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAKTSNRRPVRYEKEQLGCMWGLISMFDFRHGRSTQRLLSDRRRSYRNAVGVGNSVKKRDMLTSSGDNCPETLDAEEKTKATDACKPSVKKLLEEEMSGEQVAKKEVNNTEIEAKRCDSGQEDNRRKNRKRKNKTRKKSRDNSLDMDVAENLVSEGSCPHKSEQQTTSNLNIDNLMEEFCQQIHQKRINCENHGQPAEGHMQPNQRSSGFEERLTEAIKFLVSQKLINGNQLTEDGELQASKEVMDALQILSLDEELFLKLLRDPNSLLVKYVHDLPDAQLKEEEESTPLAGSNFSEQELVDSRQSSEPVNRKQRNFFRRKLKSHERDLSDGNKVSQASNKIVILKPGPTCLQTPETGSSLGSSPEPQYIIRHREPNEKVGSHFFLAEIKRKLKHAMGREQHRIPTDCISKRFPGERQNSGDSGGVKEYIGMNSPTKDHFFIERMARPSIGVKKGEKTSKLKGSELGTDYETADFSKQRVSNIYIEAKKHLSEMLTNGDENVDLSSRQVPKTLGRILSLPEYNSSPVGSPGRNSEPNFITAQMRFAGSENFEEVNVNNQQNHVSHLSQVAESQLCISDNKTNNEVHGDNAILNNLDTCVNDDKEDQTFCAIKDEMSSEGSVSYVKAPELMVQEESKVLDTFSETSDSSITRDDKNVDVREVCDEKQNHQCLKQAYERIILFVTHSTFVLNFVLSHFV >EOY05220 pep chromosome:Theobroma_cacao_20110822:4:27632085:27638580:-1 gene:TCM_020277 transcript:EOY05220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAKTSNRRPVRYEKEQLGCMWGLISMFDFRHGRSTQRLLSDRRRSYRNAVGVGNSVKKRDMLTSSGDNCPETLDAEEKTKATDACKPSVKKLLEEEMSGEQVAKKEVNNTEIEAKRCDSGQEDNRRKNRKRKNKTRKKSRDNSLDMDVAENLVSEGSCPHKSEQQTTSNLNIDNLMEEFCQQIHQKRINCENHGQPAEGHMQPNQRSSGFEERLTEAIKFLVSQKLINGNQLTEDGELQASKEVMDALQILSLDEELFLKLLRDPNSLLVKYVHDLPDAQLKEEEESTPLAGSNFSEQELVDSRQSSEPVNRKQRNFFRRKLKSHERDLSDGNKVSQASNKIVILKPGPTCLQTPETGSSLGSSPEPQYIIRHREPNEKVGSHFFLAEIKRKLKHAMGREQHRIPTDCISKRFPGERQNSGDSGGVKEYIGMNSPTKDHFFIERMARPSIGVKKGEKTSKLKGSELGTDYETADFSKQRVSNIYIEAKKHLSEMLTNGDENVDLSSRQVPKTLGRILSLPEYNSSPVGSPGRNSEPNFITAQMRFAGSENFEEVNVNNQQNHVSHLSQVAESQLCISDNKTNNEVHGDNAILNNLDTCVNDDKEDQTFCAIKDEMSSEGSVSYVKAPELMVQEESKVLDTFSETSDSSITRDDKNVDVREVCDEKQNHQCLKQDSSEEDQQPFSPLASPSNSSVTKKVECPESVTDIQERPSPVSVLEPLFAEDVISPASIRSHSAETSMQPLRIRFEEHGSLGTNHSNHIKTCMDDKESIFEHIKTVLQASSFNWDELYIRSLSSDQLLDPLLLDEVEYSPNQLCHDQKLLFDCINEVIMEVCGYYFGSPGVSFVKPNIRPIPNMKNTIQEVWQGVYWHLLPMPLPRTLDQIVRKDMSKTGTWMDLGLDTNCIGVEMGEAILEDLVEDTVTSYINESLECEYHVLPA >EOY05238 pep chromosome:Theobroma_cacao_20110822:4:27686202:27688833:-1 gene:TCM_020287 transcript:EOY05238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKAAKESFNVMNGEELEEGYSAKSREKCDRSSEIGILDPPAGLAVGPPALPLKGAVVQSAPQIMAPKGSVPPPMPQKKQVAPPPPPPPLGAGKLFNQKRATGKLKRSSQMGNLFRDLKTKMEGSSLQVKPSSRTKKQLGGSAGGKEGLAASLAELTKRSPYFQQIEEDAQKHAKTILALKIAINSLQTKDMIKLIKFRENVEAFLENLTDESQVLAKFQDFPTKKLETIRTAAALYSKSNLIVSNLKKWEVKPPTGQLLHKFDCYFSKVKEELDAFERIKDEESRKFKSHGIDFDFNIFTMIKELMVDVSSSCMELALKEWRETKGSMVNKNNGCQTDIQTKGNIQLLWKAFQLAFRVYSFAGGHDDRADKLAKELANEILCDSSNQ >EOY05177 pep chromosome:Theobroma_cacao_20110822:4:27491999:27493253:-1 gene:TCM_020250 transcript:EOY05177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSDSEFVKLQLNQFMEANTWRYVQQSFVVNQQRSHQSLTLNRVIKKKGLLFSGVLERRSSGVLCDGLSCIHTAPSILQCSSLRFLILQRSNSMVTRHQFCW >EOY04063 pep chromosome:Theobroma_cacao_20110822:4:21823094:21825303:1 gene:TCM_019326 transcript:EOY04063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone chaperone ASF1A MSAVNITNVAVLDNPASFLTPFQFEISYECLTPLKDDLEWKLIYVGSAEDETYDQLLESVLVGPVNVGNYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYLGQEFVRVGYYVNNDYDDEQLREEPPPKVLIEKVQRNILSDKPRVTKFPINFHPENGGNEEPPPADHPGENDGNEELPASPNQKEEQQGP >EOY05973 pep chromosome:Theobroma_cacao_20110822:4:30477906:30485452:-1 gene:TCM_020832 transcript:EOY05973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase protein with RING/U-box domain MEAIDIDTVEDKKIQQSQSVADNNVVSVVKLLPNDPDSCLQSNTVSRMVTATGFRVSTLIGSRDQFEESEPVKTQVKEEPFLKVKEEPDLGLQRNVLMKEDSGIKVKEEPDLGFDIKFPVKEETASSCREEAVAEKFDSKSRMSFDEFLQFTNTKVQSLDDSVKTQIKEESPGPVSVAQVKACENAKVKSENKNSRLVKKEPGFECSEGLGKPTDVKKEGFEERRVNGVLVEDGEFPEDPDWYLVGRTMVNAVSTTKGKNKLLDNEIVYFTFPSPAASHKLQSIVRFSTKRCGVIGRLPMDWGKWVNPLVYSNKVKVIGRCIASPTTLSIMQEIMLFVSFYIHSSIFTEGDKSSWMFDAPWKMESTLNPLLNLFKHLNLRPYQKADFTPEELSSGKRVLHIEDDYGEAEGALPIAKRRKSCLEQNKDEQDISEASFNKIFGSADAYNLEEMEPPYTLTCDLRPYQKQALYWMSESEKGIDAEKAAQTLHPCWSAYSICDERASSIYVNVFSGEATVHFPSARQMARGGILADAMGLGKTVMTIALILARLGRGNPANEKPDSRNADDSRSTNKKKDAHENTPRRAMGGTLIICPMALLSQWKDELETHSRPESISIFVHYGGERTNDPRVISENNVVLTTYGVLTAAYKSDAENSIYHKVDWYRVVLDEAHTIKSSKTIGARAAFALSAHCRWCLTGTPLQNNLEDLYSLLCFLHVEPWCNWAWWKAEIQGPYENGNPTGLKWIKAILRLLMLRRTKETKDKEGGPILVLPPTDIQIIECEQSEAERDFYDALFKRSKVQFDQFVAQGKVLHNYASILELLLRLRQCCNHPFLVMSRADSQQYSDLNKLARRFLETLPHSVSPDQNAPTRAYIEEVVDGIRRGENRECPICMESADDPVLTPCAHRMCRECLLSSWRTPTVGLCPICRTVLKKSDLITCPTDSKFRVDIEKNWKESSKVSKLLECLERIRRSGSGEKSIVFSQWTSFLDLLEIPLRRRGIGFLRFDGRLVQKQRENVLKEFRETGEKMVLLMSLKAGGVGLNLTAASNVFLVDPWWNPAVEEQAIMRIHRIGQKRAVSVRRFIVKDTVEERMQQVQARKQKMIAGALTDEEVRSARIEELQMLFR >EOY05383 pep chromosome:Theobroma_cacao_20110822:4:28365537:28368681:1 gene:TCM_020393 transcript:EOY05383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEAERVDPVAVRIGQKLQAISPISSDCCIFKVPNYLRKVNEKAYEPEVVAIGPFHRGKDHLKPMEERKIRFLQLILQERGENDITKYVVVMRELEERARKCYAEPVSLDSDGFVEMMLLDGCLIIQLIRKSARTTSIDDPIFKMSGFHGILCRDMLLIENQLPLFVLWELFCVIAVPREDRFIDDIIKFFTVVLPGKGCIRKSLRSITENKHLLGLIYDCWHPSAFEMEVKTKTIECSFMHCATELKEAGIRFKKVEGRSIFDIKFENGTMKIPTLEIDDDTEWFLRNVIAYEQFFSGSSLNHVTDYMNFMDCLINSRKDVEILRQRGIVKNWLGDDEVIATMFNRLGDSVTIPAFSLYSEVFNNVNMYCSGRWNKRFANLKHNYFNSPWAFLSFLAAVLFLLLTMVQAVFSVLSYVK >EOY05384 pep chromosome:Theobroma_cacao_20110822:4:28365537:28368681:1 gene:TCM_020393 transcript:EOY05384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEAERVDPVAVRIGQKLQAISPISSDCCIFKVPNYLRKVNEKAYEPEVVAIGPFHRGKDHLKPMEERKIRFLQLILQERGENDITKYVVVMRELEERARKCYAEPVSLDSDGFVEMMLLDGCLIIQLIRKSARTTSIDDPIFKMSGFHGILCRDMLLIENQLPLFVLWELFCVIAVPREDRFIDDIIKFFTVVLPGKGCIRKSLRSITENKHLLGLIYDCWHPSAFEMEVKTKTIECSFMHCATELKEAGIRFKKVEGRSIFDIKFENGTMKIPTLEIDDDTEWFLRNVIAYEQFFSGSSLNHVTDYMNFMDCLINSRKDVEILRQRGIVKNWLGDDEVIATMFNRLGDSVTIPAFSLYSEVFNNVNMYCSGRWNKRFANLKHNYFNSPWAFLSFLAAVLFLLLTMVQAVFSVLSYVK >EOY06213 pep chromosome:Theobroma_cacao_20110822:4:31309154:31310719:-1 gene:TCM_021017 transcript:EOY06213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATPase assembly integral membrane protein VMA21-like domain isoform 4 KKIKTARNKRDFTIQGSFISLFNVNFAYILIDFKSLLSIFSFSEYWLFPSRFIHAFMATQMLNEFPSSLDCGGLKMPAGVVQKFFITSMFMWVAPLAILYGFNHNLLPGLSNLSPNAMTLLSGFAAVISVNIVIAFYICMAMKEPSDKHEPDPKFLADAKASVSQPTGEATTSSQSQKKQE >EOY06215 pep chromosome:Theobroma_cacao_20110822:4:31309101:31310537:-1 gene:TCM_021017 transcript:EOY06215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATPase assembly integral membrane protein VMA21-like domain isoform 4 MPAGVVQKFFITSMFMWVAPLAILYGFNHNLLGLSNLSPNAMTLLSGFAAVISVNIVIAFYICMAMKEPSDKHEPDPKFLADAKASVSQPTGEATTSSQSQKKQE >EOY06212 pep chromosome:Theobroma_cacao_20110822:4:31309101:31310625:-1 gene:TCM_021017 transcript:EOY06212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATPase assembly integral membrane protein VMA21-like domain isoform 4 MATQMLNEFPSSLDCGGLKMPAGVVQKFFITSMFMWVAPLAILYGFNHNLLPGLSNLSPNAMTLLSGFAAVISVNIVIAFYICMAMKEPSDKHEPDPKFLADAKASVSQPTGEATTSSQSQKKQE >EOY06214 pep chromosome:Theobroma_cacao_20110822:4:31309149:31310780:-1 gene:TCM_021017 transcript:EOY06214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATPase assembly integral membrane protein VMA21-like domain isoform 4 MPAGVVQKFFITSMFMWVAPLAILYGFNHNLLPGLSNLSPNAMTLLSGFAAVISVNIVIAFYICMAMKEPSDKHEPDPKFLADAKASVSQPTGEATTSSQSQKKQE >EOY04501 pep chromosome:Theobroma_cacao_20110822:4:24696572:24700168:-1 gene:TCM_019744 transcript:EOY04501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Saposin B domain-containing protein, putative isoform 2 MDARVGLLFLFVLGASRASVARQLEAVEVVISDASVVQINQGQDEEVVKKVARNDNVCTLCEEFANEAIDYLSQNKTQTEIVEMLHKSCSRVPSFKQQCITLVDYYVPLFFMEVSSIRPEDFCQKVNLCQKVALISSQIREDSCGMCHRAIAEVLIKLKDPDTQQLDIIELLLKGCDSMQNYVKKCKRIVFEYGPLILTNAEQFLETTDVCTILHACNGAKQASVADS >EOY04500 pep chromosome:Theobroma_cacao_20110822:4:24696280:24701187:-1 gene:TCM_019744 transcript:EOY04500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Saposin B domain-containing protein, putative isoform 2 MDARVGLLFLFVLGASRASVARQLEAVEVVISDASVVQINQGQDEEVVKKVARNDNVCTLCEEFANEAIDYLSQNKTQTEIVEMLHKSCSRVPSFKQQCITLVDYYVPLFFMEVSSIRPEDFCQKVNLCQKVALISSQIREDSCGMCHRAIAEVLIKLKDPDTQLDIIELLLKGCDSMQNYVKKCKRIVFEYGPLILTNAEQFLETTDVCTILHACNGAKQASVADS >EOY05359 pep chromosome:Theobroma_cacao_20110822:4:28250301:28254447:1 gene:TCM_020379 transcript:EOY05359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein MLGKLWKSMAKLPCPETSRLSHQVASETVHGANLALRQVTCDVCIEENEVCKCGHGDIEDVQSMVKGKDVDQQAGANSSFLHAVLNMSGMLIAGDNIFYFTCKAGLGQLSTPYALESGGWASVFLLVGLGVICTYTSHILGKCLERNPKSQSYADIGENAFGRKGRVLAATIIYLEIFMALVSYTISLHDNLSTVFAGAQLKLPGAKLSTSQLITVIAVLIALPSLWLRDLSSISFLSSGGILMSIIIFTSVVCTAIFGGVKANHTIPMLHMQKIPAISGLYIFSYAGHIVFPNLYKAMKDPSKFTKVSIVSFASVIALYTALAFLGAKLFGPQVNAQITLSLPQHHFITKIALWATVLTPMTKYALEFAPFAIQLEHNLPESVSSRMKLIIRGCVGSILLLSILALALSVPYFEHVLSLTGSLVSVCICVILPSAFYIKLSWPQISRRLLILNLSVVAFGLLLGVLGTISSAKLLIKSLLKAHST >EOY03884 pep chromosome:Theobroma_cacao_20110822:4:20181644:20184038:1 gene:TCM_019098 transcript:EOY03884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGIWEQWRRAHRDNFQNKYGHIAWLLYVPVDDQMLRAIVQFWDPSYHCFVFNKVDMTPTIEEYSSLLRKDHMQPDKIYWRAQNTGHRRKLAKLLGMTTVEVDQHLKKKGDTECLPWSFLNGYIKKHMEDEQGLLAFAMAIYGLVVFPKVLGYVEVSVIDFFDQVTRNINPAPSILAETFRSLNFCRRKGEGRFIGCAQLLTIWIKSHFECKESKFRKLYLSASCPILEFCESEWPDYKRKEEWVARLRRLMSIEVTWRAPWMPRMQVMYKCGDKPWVPLMGPWGAISYAPIMVRRQFGSEQFVPMTHQLDQLEFTYGEPETLKRIEEIAQDWKKTCRVDQGRVTDEVTTGYHTWHDQRVKNVIHPPKNPSKHPVNPEPQDVLLESELTRKRLENEMMNMKRRHEDELEEVXXXXXXXXRVALKERDEWQSKFEEVSVANSSLLARIQELQSANNALQHEVRRQGQTTQELKNDCNMLETAMEGYKAQYKAIRQEYFQMRERNNSCTQSLQRKEAEMQWILRQMREVAFRARVMADKTEELRREILPKDELSERLISHLKMVRDQYDKVGFSF >EOY06235 pep chromosome:Theobroma_cacao_20110822:4:31383851:31384884:1 gene:TCM_021035 transcript:EOY06235 gene_biotype:protein_coding transcript_biotype:protein_coding description:AWPM-19-like family protein MAVGRNIAAPLLFLNLVMYFIVLGFASWCVNRYINAQTAHPSMGGNGATGFFLTFSMLAAVVGIVSKFAGGHHIRSWRTDTLAEAGSSSLIAWALTALAFGFACKHINIGSWRGWRLRILEAFIIILTFTQLLYVMLLHAGVISSRYGPGYRDADYGIRGPADEPGPKAGTAVAGTRV >EOY02955 pep chromosome:Theobroma_cacao_20110822:4:3168910:3169845:1 gene:TCM_017349 transcript:EOY02955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLGPERWARAPSPVRRYKLMTSNIAECINSCLRHARKMPKTVLIECIRGMFQSWFHDRHNEALNLTKPLRLWATDLLNRRFNETCHFSIQVIDWEEFQVIGGTTDIMVNLSTKTCSCGEFQTDLLPCMHAVAAISANVQPLNSARTITRLDLEWRDMRFPFARLGIPVSGTSPMTFNKVSFCRQVDEVKWET >EOY04032 pep chromosome:Theobroma_cacao_20110822:4:21580466:21584536:1 gene:TCM_019287 transcript:EOY04032 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit 3 MEMSLTHIAKPPPSLVNKQFLFPNKRREKTICVKALKSSYRKTATLSSDWDVSDLSALTSASAPASFSRFEEFDTTNMLLRQRIIFLGSQVDDMTADLIISQLLLLDAEDSKKDIKLFINSPGGSVTAGMGIYDAMKMCKADVSTICLGLAASMGAFLLASGTKGKRLCMPNSRVMIHQPLGTAGGKATEMSIRIREMVYHKVKLNKILSRVTGKPEQQIEIDTDRDNFMNPWEAKEYGLVDGVIDEGKPGLVAPTADATAPPKTRVWDLWKVEGSKQAKKNLPTEHKILQNGNRGGQGSDRGTDSEQEKREPAPS >EOY02332 pep chromosome:Theobroma_cacao_20110822:4:455719:458658:-1 gene:TCM_016844 transcript:EOY02332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA transporter family protein MGLTSYLWSAAPFVAMITVECTDVGISVISKAALTKGMSNIVSVVYYNALGTLILLPYLIFCRNKQLPLTFSLLWRFFLLGLIGSSGQIVYLTGVKYSSPTLSSALVNLIPIFTFLLAAIFRMEKLELRRSSSQAKALGAVVAVTGAFVVTLYKGPSVLMASSPSNFPQNLHLSDQSKWIIGGFLIVLVCLSSATWNVVQAATVKEYPDKMTTVFFYTFFITIQSLVFSLILERNPTAWKLKSNVEVAAIVCTAIFGSVFRIAIHTWCLQKKGPVYVSMFKPLGIVVAVVLTVIFLGDTLYLGSVIGSVIIAIGFYTVMWGRAKEKNMVLENEVSRLESSGQKDPLIPSS >EOY03540 pep chromosome:Theobroma_cacao_20110822:4:17039370:17041973:-1 gene:TCM_018654 transcript:EOY03540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MKWPILQAFPSFSPRYLRPFLRLCARQSALTIGKKLHALVLTTGVYRIRNSFLLNALLHLYASCGDTPAAHKLFDEIPPSSKDTADWTALMSSFSRDNMPLDALHLFAQMRGNSMEIDDVVMVCLFCACAWLRDVGVGSQVHGCVVKTGFQGRVKVCNAVMDMYGKCGMVGEMRKVFGDMKEKSVVSWTVLLDGVLKWEGVRSGRVVFDEMPERNEVAWTIMIVGYMGSGFCREGFSLLSEMMFHWGFKLNHVTLCSLLSACAQSGDVLMGGWVHVYGLKMMGMEMDIMVGTALVDMYSKCGRVDTAVKVFECMPRRNLVAWNAMLSGLAMHGRGRVVVEMFPRMIEEVKPDDLTFIAVLSACSHSGLVDQGSCYFDSLESVYGITPKIDHYACMVDLLGRAGRLEEAETLIKQMPIAPNEVVLGSLLGSCSAHGKLQLGEHALQRLIEMDPHNTEYHILLSNMYALAGKRDQANALRTVLKTKGIRKVPGMSSIHVDGQVHQFSAGDKSHSKTQDIYLMLDNMIQRLRSAGYVPNTASQVFSGSDGAEDNARDSEEKEQALFLHSEKLAVCFGLLSTKPGTPLYIFKNLRICQDCHAALKIVSKIYNRKVVVRDRNRFHYFKQGSCSCCDFW >EOY04489 pep chromosome:Theobroma_cacao_20110822:4:24611338:24614343:-1 gene:TCM_019731 transcript:EOY04489 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative MAVSPQVFPPRKRRPSAGSFLSPKLTDLNLVQSLLSLSQEISSLKPLQFLLKRNSLSTINKAKLLAILFEEPLRNPVSNFFSPSTILCFEEMYIVLQRIKTLMEDCSNGSMMWLLLQIKPLANSFHELTLELSTLLDIFPVKEVDLSRDVEELVVLLRKQCTQSKPLIDPRDDFLMREVIAMLDQIKKEIVPDHSRLKQIVENLGLRDNSSCREEIESLQEEIQNQMDEKSKADIVSVIGLVRYAKCVLYGSSTTQKSDHRRRKSMSDISIPADFRCPISLELMRDPVVVASGQTYDRESINQWIESGHNTCPKTGQTLAHISLIPNRALRNLIAMWCREQRIPFETAGSNEKVNGVKATKAALEATKMTVSFLVNKLSVSQSMEAANGVIYELRALAKTDSDSRACIAEAGAIPILVRYLGSAVGSDHPCLQVNAVTTILNLSILEANKTRIMETDGALNGVIEVLRSGASWEAKGNAAATIFSLSGVHAYRKRLGRKTRVIKGLMDLAKDGPTSSKRDALVAILNLAGDRETVGRLVEGGVIEVVSGVINELPEEAVTILEAVVKRGGLQAIAAAYSAIRKLGVILREGSDTARESAAAALVTICRKGGSEVVAELVAIPGIERIIWEVMGTGTVRARRKAATLLRILRRWAAGLDINAVDSSSMMTTTVSTSRIVLPA >EOY04083 pep chromosome:Theobroma_cacao_20110822:4:21983601:21984124:1 gene:TCM_019354 transcript:EOY04083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVEEGHSKAEVVYMNQNMVVEGVQEGREVVEKHEEAVVVVVDQLVDDGDVVVEEVAHSTQDEVAEVVAVHHTRVVVVVVVQKGPMGAVVVQKGLVEAAAVQQGVVGAYGMPLL >EOY05447 pep chromosome:Theobroma_cacao_20110822:4:28596824:28600938:-1 gene:TCM_020443 transcript:EOY05447 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein METEEKPWSVMGEEGGERRLKKRKRNEENDPEDQKQEQGNEEEEVVARDPLEVFGRDIMVMILSNLDARSVALSLLVSRAWHGVASSDRLWSSKCEELWHGKAHIPRASQARGLSKLAAYSISVMDGKRTRIMKDDLCDHVWEFHFNKAAPEYWRNLDPYWKGTGPLMRRYFHPDGSQTADPGDKVWGGHECCYSVVTSVVGEGKIRVHYVRINRWPRMFVSRNQDWSWEMSNCLYCYTSVPDADKKGGTGPLLSPCVNISPQTFGR >EOY04197 pep chromosome:Theobroma_cacao_20110822:4:22550966:22551613:1 gene:TCM_019455 transcript:EOY04197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MNERLRGAAHSGSIDALYASIQENAHVFELIDQIPFVDTSLHLAAKAGHVEFVMEMMNLKPSFARKLNQDGLSPIHLALAYEQKEMVDLLLASDKDLACVKGKEGYTPLH >EOY02367 pep chromosome:Theobroma_cacao_20110822:4:584617:590067:-1 gene:TCM_016869 transcript:EOY02367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MKEKSESGGGGYVRADQIDLKSLDEQLQRHLSRAWTMEKNKNRKEEGEEGGGGGEQLRPSNTMRRQEWEIDPSKLIIRGVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSEAEIASLRAAFTQEVAVWHKLDHPNVTKFIGATMGSSELNIQTENGHIGMPSNVCCVVVEYCPGGALKSYLIKNRRRKLAFKVVIQLALDLARGLSYIHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPHDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDRRPEMDEVVSMIEAIDTSKGGGMIPHDQPQGCLCFRRYRGP >EOY02365 pep chromosome:Theobroma_cacao_20110822:4:583473:589990:-1 gene:TCM_016869 transcript:EOY02365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MKEKSESGGGGYVRADQIDLKSLDEQLQRHLSRAWTMEKNKNRKEEGEEGGGGGEQLRPSNTMRRQEWEIDPSKLIIRGVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSEAEIASLRAAFTQEVAVWHKLDHPNVTKFIGATMGSSELNIQTENGHIGMPSNVCCVVVEYCPGGALKSYLIKNRRRKLAFKVVIQLALDLARGLSYIHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPHDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDRRPEMDENAFFILPLREGLVCNLQKHQLILGNPWSVVFGLVCWHLWKWRNGVVFDAAPTPARNRLSMVKSVATATTAALAEFDGTRVDRRRKEVLIGWRAPQAGWVCLNTDGAYKKSIDEASAGGVIRNAEGEWRTGFVAKLGLCSAYRAELRGVLHGLRLAWDSGFKKVMVRQ >EOY02369 pep chromosome:Theobroma_cacao_20110822:4:583928:590169:-1 gene:TCM_016869 transcript:EOY02369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MKEKSESGGGGYVRADQIDLKSLDEQLQRHLSRAWTMEKNKNRKEEGEEGGGGGEQLRPSNTMRRQEWEIDPSKLIIRGVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSEAEIASLRAAFTQEVAVWHKLDHPNVTKFIGATMGSSELNIQTENGHIGMPSNVCCVVVEYCPGGALKSYLIKNRRRKLAFKVVIQLALDLARGLSYIHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPHDMTGETGTLGYMAPENAFFILPLREGLVCNLQKHQLILGNPWSVVFGLVCWHLWKWRNGVVFDAAPTPARNRLSMVKSVATATTAALAEFDGTRVDRRRKEVLIGWRAPQAGWVCLNTDGAYKKSIDEASAGGVIRNAEGEWRTGFVAKLGLCSAYRAELRGVLHGLRLAWDSGFKKVMVRQ >EOY02368 pep chromosome:Theobroma_cacao_20110822:4:584988:589246:-1 gene:TCM_016869 transcript:EOY02368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MKEKSESGGGGYVRADQIDLKSLDEQLQRHLSRAWTMEKNKNRKEEGEEGGGGGEQLRPSNTMRRQEWEIDPSKLIIRGVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSEAEIASLRAAFTQEVAVWHKLDHPNVTKFIGATMGSSELNIQTENGHIGMPSNVCCVVVEYCPGGALKSYLIKNRRRKLAFKVVIQLALDLARGLSYIHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPHDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDRRPEMDEVVSMIEAIDTSKGGGMIPHDQPQGCLCFRRYRGP >EOY02366 pep chromosome:Theobroma_cacao_20110822:4:584880:590169:-1 gene:TCM_016869 transcript:EOY02366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MKEKSESGGGGYVRADQIDLKSLDEQLQRHLSRAWTMEKNKNRKEEGEEGGGGGEQLRPSNTMRRQEWEIDPSKLIIRGVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSEAEIASLRAAFTQEVAVWHKLDHPNVTKFIGATMGSSELNIQTENGHIGMPSNVCCVVVEYCPGGALKSYLIKNRRRKLAFKVVIQLALDLARGLSYIHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPHDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDRRPEMDEVVSMIEAIDTSKGGGMIPHDQPQGCLCFRRYRGP >EOY04936 pep chromosome:Theobroma_cacao_20110822:4:26563510:26565384:1 gene:TCM_020081 transcript:EOY04936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 LQVSSTSISIPTSSILLQVSTTTKTRRKTPILLPLPTTTSPFSTPTILLQIPATTSPFSTTTILLQISTTTIAISSSTILLQITSTSFTLSHTPILLQVSTTTSPFPSTTILLQITTTSSKVSSTSLPLQLSSSTITISPSSILLQIPTTSISITITPILLQISSSTVAISSSTLLLQIPTTTSPLSTTTILLQVPTTTIAISSSTILLQIPSTSFTLSSTTILLQITTTSSRGSGTNLSLQIPTTTILLQLSPTTPILLQVPTPTFPITTTSLLLQVSTSTFPVTTTSLLLQIPTSSLTLTPSPILLPLTSPTSDITSTSGLHLRFSSTTNSLLGSERSITKLHRSCFSFSKGIKQGTGKERFGHQTFPLSSPFNKGLNISLESYNFGNPGQITSTSLVAILHMLLIYQKRVRVVFPGWLL >EOY04935 pep chromosome:Theobroma_cacao_20110822:4:26557677:26565040:1 gene:TCM_020081 transcript:EOY04935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTGPRADPVRGRFWPQVLVALAIVVVASNVEKPPYYYKSPPPPSPSPPPPYYYKSPPPPPKHEEKPPYYYKSPPPPSPSPPPPYYYKSPPPPKHEEKPPYYYKSPPPPSPSPPPPYYYKSPPPPKHEEKPPYYYKSPPPPVKPPPKPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPRPPYYYKSPPPPPHYVSPPYYYKSPPPPAKSPPYYYNSPPPPAPYYPAPHPYPHHHPLIVKVVGKVYCYRCYDWGYPVKSHDKKHLKGAVVEVTCNVGEKKVKAYGKTKINGKFSITVEGFDYAKYGAEACKAKLHAAPTGSPCNIPTSLHEGNTGAELKVKSKTKYEVVLKAKPFAYAPKTPYKECEKPKPKPKPPTPYYYTSPPPPKPVYIYKSPPPPPPTYVYKSPPPPSHPYKPPTEPYKPPPYYYKSPPPPSPSYIYKSPPPPTPTYVYKSPPPPSHPYKPPTEPYKPPPYYYKSPPPPSPTYVYKSPPPPTPTYVYKSPPPPSHPYKPPTEPYKPPPYYYKSPPPPSPTYVYKSPPPPTPTYVYKSPPPPTHPYKPPTEPHKPPPYYYKSPPPPSPTYVYKSPPPPTPTYSPPPPSPSPPPPYYYKSPPPPKHEEKPPYYYHSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPLPPYYYKSPPPPSPSPIPPYYYKSPPPPVHSPPPPYYYKSPPPPVKSPAPVYHYNSPPPPSPSPHPPYYYKSPPPPSPSPLPPYYYKSPPPPSPSPLPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPLPPYYYKSPPPPSPSPPPPYYYKSPPPPVEAPAPTYHYKSPPPPYYYNSPPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPHPYYYKSPPPPSPSPLPPYYYHSPPPPVTSPPPPVYIYASPPPPTHY >EOY04937 pep chromosome:Theobroma_cacao_20110822:4:26563951:26565041:1 gene:TCM_020081 transcript:EOY04937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 PTTSISITITPILLQISSSTVAISSSTLLLQIPTTTSPLSTTTILLQVPTTTIAISSSTILLQIPSTSFTLSSTTILLQITTTSSRGSGTNLSLQIPTTTILLQLSPTTPILLQVPTPTFPITTTSLLLQVSTSTFPVTTTSLLLQIPTSSLTLTPSPILLPLTSPTSDITSTSGLHLRFSSTTNSLLGSERSITKLHRSCFSFSKGIKQGTGKERFGHQTFPLSSPFNKGLNISLESYNFGNPGQITSTSLVAILHMLLIYQKRVRVVFPGWLL >EOY03459 pep chromosome:Theobroma_cacao_20110822:4:16120096:16121126:-1 gene:TCM_018549 transcript:EOY03459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKCLKCSYSFFIFFLSFRPASNTPLHRLVTLWLNLVMDCQIRLDHLRGARDLAAYPSFWALLGSIRSGASLMCSLGEPQIWCSLRERRQLRGGR >EOY02598 pep chromosome:Theobroma_cacao_20110822:4:1436672:1437575:-1 gene:TCM_017028 transcript:EOY02598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPKGRSTDLVLPKERSTDLVLPSHREYLPWVGQPANGSALITLYEKKKEYFSFFIFFVNGVYNFEFHCLF >EOY04120 pep chromosome:Theobroma_cacao_20110822:4:22105059:22105613:1 gene:TCM_019373 transcript:EOY04120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVCVKSCVVRRLRRATSYAVIRRELAKEGLEAQVRLLDVMNVLLVFDEWEYMEATLEHYMEVSATWSVNVTSLASAQKREKGVCTKHHEVPVQVWHPNTFRATGECWGDFVGVDKSTFTRERFD >EOY04595 pep chromosome:Theobroma_cacao_20110822:4:25127500:25159578:-1 gene:TCM_019815 transcript:EOY04595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTATDCLEANSMQQIPILKGEGDVNTSAIENLNFELNKPPKRIVLDEVGASKKAKGKNVCSQLDHLYNRSSSISCGLEWQKIMLDYLQEALDKLNFSRIAQNGGASKSIFSTGRNTDIRWNCYGSEDKVRHNQMLEKIKQIEERKEKALADSAMKGEIWNPLTVRNAIQEQIKLVNKISLELRRKKRDGCLQFSDYKEIVRLLTKRHLFQKIMATDCLEANSMQQIPVFKGEADVISSAIEKLDLQLNKPKKGFKRRMLHGSSNMATERQILKEIARSQQRLNDFIDPRPLLQEYIWWYSYGNIDKARYIQMVENMKQIEEREEKALGDAIAKGEPWNPLSAKRAIQEQIKLVNKISMELRRERSEVMPEIVRIEKDLKAMEKEARLLIQQQRGLRQRKVEAQERILNLRQQMNDRYHEYLSLLSDARELARKKDVGALRKLSQGQVKKFMSKWKTSKTFRISYEISISPSLDNRQLSRDGRTHDKFIKQGSKSGNKNKGKRYHPYRIQKPAWPLTLAPMPQKQKLRLLLQTKTRAAVPVTVHEAESKPPPKHPAT >EOY03365 pep chromosome:Theobroma_cacao_20110822:4:13871794:13872632:-1 gene:TCM_018357 transcript:EOY03365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPTNDQTQNTNNPEIPIFLPVVAHFYLTFNIFSTIYQAYAHGDFPMVAFIVFVYLAYFFLMYCMTLLQALPPQDRSPRKDFLKSVIWVLTSVIFFGFAYQFSTFIHPVAAAFVFALAILASAFIFFLYFVYDGQQQQQQKQSGSSTYRLRIHVLGFSPSSSKVCDSKIRQVVPGPENV >EOY04857 pep chromosome:Theobroma_cacao_20110822:4:26232098:26238205:-1 gene:TCM_020026 transcript:EOY04857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin protein family MEEVYRRDNEGKCRLFNAMKEALVDLALVSKEDTQYPCISFFSPFGEDWCSLPLESPIWGSLREHRCSSFLPLKAKFQLQKWKPPVGFLIKIVFLTHRQQQKSRSCRSAMVALKDLSPDAIVKDLISKILRNEEEGDDEERQKEIEETMQETKAVLEKIVNVRVSAAQPKNVTKQSSDSKYKYIKYKPSQRSDAFNSGDKARIIRMVGMSVDPLERPKFKRKRVPKASGSPPVPVMHSPPRPVTVKDHQDWKIPPCISNRMNPKGYSIPLDKHLAADERGLQEVQINDTFAKLSKALNVAVQKAREEVAMRSKLLEEMMVKERKKQELRALAAKARSERTGTVAPSAAVPMSSDRKATDTDMRVDYEPVREREREMPKESKEEREKRLQREKIREERRRERERERRLEAKDAAMGKKSTITRDRDREISEKVDLGMASTGAGRGGEVMYDQRLFNQEKGMDSVFATDNQYNIYDKGLFTEQPTLSTLYRPKKDMDSDMYGGADEQLEKMLKTDRFKPDKAFGGTSERIGPRDRAVEFEKEAEEADPFGLDQFSTDVKKGKKAMEKLGTGATMKASAGSSMR >EOY03632 pep chromosome:Theobroma_cacao_20110822:4:17474541:17482721:1 gene:TCM_018721 transcript:EOY03632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHGQMGTAATEREMTGTRGVESTLNATGGRPDTQFSPNKQGKQTKKNKNKNRGTGGRNMGLTTLAENDGTLRQKSTLEDKGESSKNNSIKLLTRAATSQHGDGHQSEHVGAGKDSKNYLSKPPTQGASFMHGEGQLRIESGLGGQNESMDMMKGSGKHSPITEQSVSQTKILADNNRVALTVECSRERMKYYAENPPNLESASGKCMYNKELSDVPFIPTVSETNFVEIEVHPRVRRRRHSDIEISIDKIFSLTLDKAVDIRENDEASDEDSISVNFAASWERESSEKYDHKMKHSTLASKANLVDGTRISADEIAFVLYAKEKEVALAGPHFEVLHAHSATKIASFQIMMYHSTYHIE >EOY03846 pep chromosome:Theobroma_cacao_20110822:4:19880052:19886707:-1 gene:TCM_019048 transcript:EOY03846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein, putative MFCAVSLTPLLFILHQFYRSHTSFILTVEKASLKNPSFHANSQKTNFCSLMASLSSPSSSRPLKHQVFLSFRGEDTRNNFLAYLHQALQRKGIGTYIDSKELPRGEEISSALLNAIQESTISAIVFSKNYASSSWCLEELSKIMEFKDTKGLLVVPIFYHVDPSDVRKQTESFQQAFAEHEKNRIDKVQKWRHALTQAGNLSGFHIKKDEHEPTVIEEIAQDVLKKLNRMSASDREGLIGIGPQMEQIKSLLCVGDRESIRIIGIWGMGGIGKTTLAQAIYDEVLSQFESYYFLANVREKSEESGGITSLRDKLLSNILEEKTLHISTPRIGSTFTIYRLRRKRVLVVLDDVSDVEQLEQLAIRHDHFGPGSRIIVTTRNKQVLNGAVDASYEVQELNDDDSLQLFSQHAFRQNHRVDDLQDLSNGVLQYARGFPLALKVLGSAFYRKCRVYRESEMKKLKQHPHPKIQKILKISYDGLDETEKCIFLDIACFFQGYNRDYVKNILDSCYGGSSLAGTTNLIDRSLLYVAEYNTLWMHDLLQEMGREVVRSESSKPEERSRLWTSKDVSEVLKKNSGTKSIEGMCLNMSNIVEPIKLRATAFKRMIHLKFIKFYDSSEHRHSRKQKILLPAQRLKSLSDKLTYFHWENCPLKSVPSNFCPENLVQLILPGSDIERLWNGDQNLVNLRVFNLSRSRNLIRIPNLSQATNIEKLDLSECKSLVELPCLNHLESLEMLELWGCSNLKKFPEVRCHLDSLDLIDTGIEEVPDSVEHLVQLRELNLGRSKVKNVSSNIYKLGSLRALSLRDCPIAEFPQVPRNLSSLMLLQLDLSGTRIQNIPSGIVKSLKGLGVRTCKYLKSLPELPPSLRYIAAEGCISLEEVSFADHNQTRFVGSCQRNEFDDHFNFGHCFNLNHNAINNIVAYELLRIHCLAKQFAKEFPCGSGELYCYFPGSEIPERFEHQSPNSSITVKLHPNRCRRRFLCFALCFVVHCTDEKYQTFYLDGECKLKSIDDDDDRSFLIRCSGICDDPVDLQHPDLVFIGFGDRLMFLEDKLYEEASFDFYRVRDQI >EOY06161 pep chromosome:Theobroma_cacao_20110822:4:31145880:31147967:1 gene:TCM_020975 transcript:EOY06161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxygenase 2 isoform 1 MASGVENEALATEAPFAPVTYERRVRNDLEDKLPKPYMPRALVASDTEHPNGTPGHRHNQLSVLQQHVAFFDQDDNGIVYPWETFTGLRAMGFNPLASLVIAAVINVALSYVTLPGWLPSPFFPVYIHNIHRAKHGSDSGTYDTEGRFTPVYIENMFSKYARTVPDKFTLREIWNMTEGNRQAFDFFGWIASKLEWGLLYVLARDEEGMLSKEAVRRCFDGSLFEYCAQRRTGGKEKTG >EOY06162 pep chromosome:Theobroma_cacao_20110822:4:31145854:31154578:1 gene:TCM_020975 transcript:EOY06162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxygenase 2 isoform 1 MASGVENEALATEAPFAPVTYERRVRNDLEDKLPKPYMPRALVASDTEHPNGTPGHRHNQLSVLQQHVAFFDQDDNGIVYPWETFTGLRAMGFNPLASLVIAAVINVALSYVTLPGWLPSPFFPVYIHNIHRAKHGSDSGTYDTEGRFTPVYIENMFSKYARTVPDKFTLREIWNMTEGNRQAFDFFGWIASKLEWGLLYVLARDGVGMLSKE >EOY03074 pep chromosome:Theobroma_cacao_20110822:4:4219934:4229768:1 gene:TCM_017499 transcript:EOY03074 gene_biotype:protein_coding transcript_biotype:protein_coding description:NC domain-containing protein-related MGMSEEIGKGVEEENGNERRNRLLKMGVLSNRISREELKPGDHIYSWRHAYIYAHHGIYVGEGKVIHFTQGGGREINSGIVLDGIIFRSSPSHLSPRPCLVCGDDQSRLGGVISSCLDCFLADGDLYLFQYDVSLSFFLAKARGGTCTMAASDSSEDVLHRAFFLHRNGFGVYHIFKNNCEDFAIYCKTGLLVTTRISVGRSGQATSFLAAASAIVSSPLRYLTTSFSGLAVVGFGMYCFSRLVSDIGVRRDVEKVPVERLVRVVSDSGVQN >EOY02626 pep chromosome:Theobroma_cacao_20110822:4:1573333:1582228:-1 gene:TCM_017049 transcript:EOY02626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolases, acting on ester bonds isoform 2 MLNNMENERRNNNKNEDARMRGFRPSLRAMMLVIAVIWVGVAALYGLLKPVSNGCIMTYMYPTYIPISTREGVSSVKYGLYLYHEGWRKIDFKEHLKNLNGIPVLFIPGNGGSYKQVRSLAAESDRAYQGGSLERTFYREAYLTSEEGGNVDVADFQLPNRYANRLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESRDARKREGAATTGSLPKSVILIGHSMGGFVARAATIHPHLRKSAVETILTLSSPHQSPPVALQPSLGHYYESINQEWRKGYEVQTTQTGHYVSGPALSHVVVVSISGGYNDYQVRSKLESLDSIVPPTHGFMISSTSMKNVWLSMEHQAILWCNQLVVQVSHTLLSLIDSRTGQPLPDTRQRLEIFTRMLRSGIPQSFNWKMQSQSIWSTHVPVKDVKDTAGSQVHNLFDCPSSVHWSDDGLERDLYIQTTTVTVLAMDGRRRWLDIEKLGSNGKSHFIFVTNLAPCSGVRIHLWPQKGKSSSDLPAGKRVLEVTSKMVQIPAGPAPRQIEPGSQTEQAPPSAVLHLGPEEMHGFRFLTISVAPRPTISGRPPPATSMAVGQFFNPDEGEIEFSPISMLLATHSHKDVLLKEDHPLAFNLSFAISLGLLPVTFSLKTAGCGIKDSGLLDEAGDMENTKLCKLRCFPPVALAWDPTSGLHVFPNLYSENLVVDSSPALWASTGTEKTTVLLLLDPHCSYKASIAVSVTTAASRFLLLYSSQIVGFSVAVILFALMRQAHARPIPSILKAVESNLKIPFPFLPFAVVPILVSLFFSFVISQPFPPFFSFTIVSMICYLFANGFVILLILVSQLVFYVAAYIHVLIKRRWQLWEGNFCFLFLQWFMNLSSKFFSLKVVRVLRANPLFIPISAAIVLSTFVHPALGLFILILSHALCCHSSLCNHARKKELSDCKGEGNYLSQQFASKPGSPSKENSSSYGQTQEDTFHHRHGLLMLHLLAALMFVPSLVSWLQLQRIGMHQSFPRFLDSFLCICLILHGIFSSESLLSSSLPFPRILGQEVRLNFVYLIAGMYSYLSGLALEPYKVFYAMGAVGIVSFALSILQVWTGAPLSILQVWTGAPRFGRRRHWHRH >EOY02625 pep chromosome:Theobroma_cacao_20110822:4:1573333:1582228:-1 gene:TCM_017049 transcript:EOY02625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolases, acting on ester bonds isoform 2 MLNNMENERRNNNKNEDARMRGFRPSLRAMMLVIAVIWVGVAALYGLLKPVSNGCIMTYMYPTYIPISTREGVSSVKYGLYLYHEGWRKIDFKEHLKNLNGIPVLFIPGNGGSYKQVRSLAAESDRAYQGGSLERTFYREAYLTSEEGGNVDVADFQLPNRYANRLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESRDARKREGAATTGSLPKSVILIGHSMGGFVARAATIHPHLRKSAVETILTLSSPHQSPPVALQPSLGHYYESINQEWRKGYEVQTTQTGHYVSGPALSHVVVVSISGGYNDYQVRSKLESLDSIVPPTHGFMISSTSMKNVWLSMEHQAILWCNQLVVQVSHTLLSLIDSRTGQPLPDTRQRLEIFTRMLRSGIPQSFNWKMQSQSIWSTHVPVKDVKDTAGSQVHNLFDCPSSVHWSDDGLERDLYIQTTTVTVLAMDGRRRWLDIEKLGSNGKSHFIFVTNLAPCSGVRIHLWPQKGKSSSDLPAGKRVLEVTSKMVQIPAGPAPRQIEPGSQTEQAPPSAVLHLGPEEMHGFRFLTISVAPRPTISGRPPPATSMAVGQFFNPDEGEIEFSPISMLLATHSHKDVLLKEDHPLAFNLSFAISLGLLPVTFSLKTAGCGIKDSGLLDEAGDMENTKLCKLRCFPPVALAWDPTSGLHVFPNLYSENLVVDSSPALWASTGTEKTTVLLLLDPHCSYKASIAVSVTTAASRFLLLYSSQIVGFSVAVILFALMRQAHARPIPSILKAVESNLKIPFPFLPFAVVPILVSLFFSFVISQPFPPFFSFTIVSMICYLFANGFVILLILVSQLVFYVAAYIHVLIKRRWQLWEGNFCFLFLQWFMNLSSKFFSLKVVRVLRANPLFIPISAAIVLSTFVHPALGLFILILSHALCCHSSLCNYLTTSFRSHARKKELSDCKGEGNYLSQQFASKPGSPSKENSSSYGQTQEDTFHHRHGLLMLHLLAALMFVPSLVSWLQRIGMHQSFPRFLDSFLCICLILHGIFSSESLLSSSLPFPRILGQEVRLNFVYLIAGMYSYLSGLALEPYKVFYAMGAVGIVSFALSILQVWTGAPLSILQVWTGAPRFGRRRHWHRH >EOY02624 pep chromosome:Theobroma_cacao_20110822:4:1573219:1582557:-1 gene:TCM_017049 transcript:EOY02624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolases, acting on ester bonds isoform 2 MLNNMENERRNNNKNEDARMRGFRPSLRAMMLVIAVIWVGVAALYGLLKPVSNGCIMTYMYPTYIPISTREGVSSVKYGLYLYHEGWRKIDFKEHLKNLNGIPVLFIPGNGGSYKQARSSFYHCCYLVSKLCIALHKLLSFLQVRSLAAESDRAYQGGSLERTFYREAYLTSEEGGNVDVADFQLPNRYANRLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESRDARKREGAATTGSLPKSVILIGHSMGGFVARAATIHPHLRKSAVETILTLSSPHQSPPVALQPSLGHYYESINQEWRKGYEVQTTQTGHYVSGPALSHVVVVSISGGYNDYQVRSKLESLDSIVPPTHGFMISSTSMKNVWLSMEHQAILWCNQLVVQVSHTLLSLIDSRTGQPLPDTRQRLEIFTRMLRSGIPQSFNWKMQSQSIWSTHVPVKDVKDTAGSQVHNLFDCPSSVHWSDDGLERDLYIQTTTVTVLAMDGRRRWLDIEKLGSNGKSHFIFVTNLAPCSGVRIHLWPQKGKSSSDLPAGKRVLEVTSKMVQIPAGPAPRQIEPGSQTEQAPPSAVLHLGPEEMHGFRFLTISVAPRPTISGRPPPATSMAVGQFFNPDEGEIEFSPISMLLATHSHKDVLLKEDHPLAFNLSFAISLGLLPVTFSLKTAGCGIKDSGLLDEAGDMENTKLCKLRCFPPVALAWDPTSGLHVFPNLYSENLVVDSSPALWASTGTEKTTVLLLLDPHCSYKASIAVSVTTAASRFLLLYSSQVINLNLMLANSYYYFYFPIVGFSVAVILFALMRQAHARPIPSILKAVESNLKIPFPFLPFAVVPILVSLFFSFVISQPFPPFFSFTIVSMICYLFANGFVILLILVSQLVFYVAAYIHVLIKRRWQLWEGNFCFLFLQWFMNLSSKFFSLKVVRVLRANPLFIPISAAIVLSTFVHPALGLFILILSHALCCHSSLCNDSKCYSFFLGNSYLTTSFRSHARKKELSDCKGEGNYLSQQFASKPGSPSKENSSSYGQTQEDTFHHRHGLLMLHLLAALMFVPSLVSWLQRIGMHQSFPRFLDSFLCICLILHGIFSSESLLSSSLPFPRILGQEVRLNFVYLIAGMYSYLSGLALEPYKVFYAMGAVGIVSFALSILQVWTGAPLSILQVWTGAPRFGRRRHWHRH >EOY04507 pep chromosome:Theobroma_cacao_20110822:4:24732611:24736705:-1 gene:TCM_019751 transcript:EOY04507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase isoform 2 MMIMWRYLRRGMSTSTRVRALRRSWWDHVTPAPKDPINAVTEAFLADPCPYKINLGVGAYRDDDGKPVVLQCVREAEGKIAATNFLESTCAAISSKLVEESAKLVYGEDSDVIKEGRFAGVQALSGTGACRLFAEFQRRFYPDSRIYMPDPTWSNHHNIWRDAQVPIRTFHYYHPDSKGLNFVALMDDVKNAPNGSFFLLHPCAHNPTGVDPSDEQWQEISSLFKVKNHFPFFDMAYQGFASGDLERDARAIRIFLDDGHLIGCAQSFAKNMALYGHRVGCLSLLCDDKIQAVAIKSQLQQIASTIYGSPPIHGMLAVSKILSDPDIKAIWLKEVKVYFSSFSFLFHSLFTSIFIITCIRTIGLMHLLIISTCYKDMATRVQRMRATLKEALEKLGSPLNWQPITNQVGMFCFSGLTPDEVDRLAKEFHVYMTYDGRISMAGVTTKNVDYLASAIHEVTAFDQDGKILHTVCA >EOY04506 pep chromosome:Theobroma_cacao_20110822:4:24732557:24736714:-1 gene:TCM_019751 transcript:EOY04506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase isoform 2 MMIMWRYLRRGMSTSTRVRALRRSWWDHVTPAPKDPINAVTEAFLADPCPYKINLGVGAYRDDDGKPVVLQCVREAEGKIAATNFLESTCAAISSKLVEESAKLVYGEDSDVIKEGRFAGVQALSGTGACRLFAEFQRRFYPDSRIYMPDPTWSNHHNIWRDAQVPIRTFHYYHPDSKGLNFVALMDDVKNAPNGSFFLLHPCAHNPTGVDPSDEQWQEISSLFKVKNHFPFFDMAYQGFASGDLERDARAIRIFLDDGHLIGCAQSFAKNMALYGHRVGCLSLLCDDKIQAVAIKSQLQQIASTIYGSPPIHGMLAVSKILSDPDIKAIWLKEVKDMATRVQRMRATLKEALEKLGSPLNWQPITNQVGMFCFSGLTPDEVDRLAKEFHVYMTYDGRISMAGVTTKNVDYLASAIHEVTAFDQDGKILHTVCA >EOY06883 pep chromosome:Theobroma_cacao_20110822:4:33228246:33231286:1 gene:TCM_021470 transcript:EOY06883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLVLLVSKSKVHREFQIESRMDLVLGNKTECTGFLACATIKPETKAELDKTESIMASRTLGSPWPDLVRECLIGAFCGRHRCCCHRPLVMEAK >EOY05597 pep chromosome:Theobroma_cacao_20110822:4:29087332:29090677:-1 gene:TCM_020555 transcript:EOY05597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal adenine nucleotide carrier 1 isoform 2 ELTINKNTGPTRNISDVLWEAISTGQVISLYQGLGTKNLQSFISQFIYFYGYSFFKRLYLQKSGSKTIGTKVNLIVAAAAGACTVIITQPLDTASSRMQTSEFGKSKGLWKTLSEGSWREAFDGLGISLLLTSNPSIQYTAFDQLKQRLLKRQLKEKTGTEPSPVALSAFSAFVLGAVSKCIATFLTYPAIRCKVMIQAAKYDDDGDDDETKTAQLKHQKTVSGALYSIWRREGLLGFYKGLEAQILKTVLSSALLLMIKEKISKTTWVLMLALRRYLFTTRTRLKSA >EOY05596 pep chromosome:Theobroma_cacao_20110822:4:29087683:29091702:-1 gene:TCM_020555 transcript:EOY05596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal adenine nucleotide carrier 1 isoform 2 MAFDLESLAEATSGAIGALVSTTILYPLDTCKSKYQAEVRAHHQQKYRNISDVLWEAISTGQVISLYQGLGTKNLQSFISQFIYFYGYSFFKRLYLQKSGSKTIGTKVNLIVAAAAGACTVIITQPLDTASSRMQTSEFGKSKGLWKTLSEGSWREAFDGLGISLLLTSNPSIQYTAFDQLKQRLLKRQLKEKTGTEPSPVALSAFSAFVLGAVSKCIATFLTYPAIRCKVMIQAAKYDDDGDDDETKTAQLKHQKTVSGALYSIWRREGLLGFYKGLEAQILKTVLSSALLLMIKEKISKTTWVLMLALRRYLFTTRTRLKSA >EOY06744 pep chromosome:Theobroma_cacao_20110822:4:32815798:32818186:1 gene:TCM_021379 transcript:EOY06744 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein MASMIQKSNLNVYHVLNMLFIFEVMFRISAAFLFLFDITLAKNITAIFVFGDSMVDVGNNNYIKTIAKAAFPNGIDFGLREHNLGVKNFTPPYLAPTTTGDMVLKGVNYASSGSGILKNTGTVWGGHISFDEQISNFEETKKTIVSRIGRREAKRLLMHQALFMVVTGANDFLIGQQMTSLQNLSSQEAYLNILTSKFKSQLTRIYHLEARKIVVTNIPRIGCSPYERDTYPDVKGCVASLNQPIKSYNSGLKSLLVDLTANLTGSTYVYADIHAMLEDILQNYKSYGQMDNGICRCCLPFDFRLGGGVGTSGYDGYISCRKPNEPHQMKSIDTQLFGVQIKSP >EOY03213 pep chromosome:Theobroma_cacao_20110822:4:8065185:8075788:-1 gene:TCM_017855 transcript:EOY03213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNCVLIICAYGSNGDQGCEELLSCMWNSRLLDVSRPQSVIYTWQDHGLIYVARPQVNISGCNHLISPMSTNIVETAMAIRIQWKRASQMLLHGRKSTG >EOY06288 pep chromosome:Theobroma_cacao_20110822:4:31507135:31511393:1 gene:TCM_021071 transcript:EOY06288 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 3 MRGHDWINTCLPDELILEILRRVDSKASHDACSLVCKRWLGLERLSRSTLRIDASGSPDLFVKLLAQRFVNVKAVHIDERLSVYVPVNVGKRRGRDENAMTSLKVHYAGEKSGSEEEVCESFCLTDAGLTAVADGFSKLEKLSLIWCSNVTSLGIMSLAQKCYFLKSLDLQGCYVGDQGLAVVGKCCKQLEDLNLRFCESLTDAGLVDLATGCGKSLKSLGVAACARITDRSLEAVGSHCKSLETLSLDSEFIHNKGILAIAQGCPLLKVLKLLCINVTDEALTAVGVSCLSLEMLALYSFQQFTDKGLRAVGKGCKKLKNLTLSDCYFLSDKGLEAIATGCTELTHLEVNGCHNIGTIGLESVGKYCPRLTELALLYCQRIGNFALYEVGRGCKDLQALHLVDCSSIGDEAICSIAYGCRNLKKLHIRRCYEVGNKGIIAVGENCHSLTDLSLRFCDRVLDEALIAVGQGCPLQHLNVSGCNQIGDAGIVAIARGCPQLSYLDVSVLQNLGDMALAELGEGCPLLKDIVLSHCRQITDIGLSHLVKNCQMLESCHMVYCPSITAAGVATVVSSCPSVKKVLVEKWKVSPRTKRRAGSVLSYLCVDL >EOY06290 pep chromosome:Theobroma_cacao_20110822:4:31507179:31511211:1 gene:TCM_021071 transcript:EOY06290 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 3 MRGHDWINTCLPDELILEILRRVDSKASHDACSLVCKRWLGLERLSRSTLRIDASGSPDLFVKLLAQRFVNVKAVHIDERLSVYVPVNVGKRRGRDENAMTSLKVHYAGEKSGSEEEVCESFCLTDAGLTAVADGFSKLEKLSLIWCSNVTSLGIMSLAQKCYFLKSLDLQGCYVGDQGLAVVGKCCKQLEDLNLRFCESLTDAGLVDLATGCGKSLKSLGVAACARITDRSLEAVGSHCKSLETLSLDSEFIHNKGILAIAQGCPLLKVLKLLCINVTDEALTAVGVSCLSLEMLALYSFQQFTDKGLRAVGKGCKKLKNLTLSDCYFLSDKGLEAIATGCTELTHLEVNGCHNIGTIGLESVGKYCPRLTELALLYCQRIGNFALYEVGRGCKDLQALHLVDCSSIGDEAICSIAYGCRNLKKLHIRRCYEVGNKGIIAVGENCHSLTDLSLRFCDRVLDEALIAVGQGCPLQHLNVSGCNQIGDAGIVAIARGCPQLSYLDNLGDMALAELGEGCPLLKDIVLSHCRQITDIGLSHLVKNCQMLESCHMVYCPSITAAGVATVVSSCPSVKKVLVEKWKVSPRTKRRAGSVLSYLCVDL >EOY06289 pep chromosome:Theobroma_cacao_20110822:4:31507896:31510873:1 gene:TCM_021071 transcript:EOY06289 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 3 MDMLKRWFKLLVQGKRRGRDENAMTSLKVHYAGEKSGSEEEVCESFCLTDAGLTAVADGFSKLEKLSLIWCSNVTSLGIMSLAQKCYFLKSLDLQGCYVGDQGLAVVGKCCKQLEDLNLRFCESLTDAGLVDLATGCGKSLKSLGVAACARITDRSLEAVGSHCKSLETLSLDSEFIHNKGILAIAQGCPLLKVLKLLCINVTDEALTAVGVSCLSLEMLALYSFQQFTDKGLRAVGKGCKKLKNLTLSDCYFLSDKGLEAIATGCTELTHLEVNGCHNIGTIGLESVGKYCPRLTELALLYCQRIGNFALYEVGRGCKDLQALHLVDCSSIGDEAICSIAYGCRNLKKLHIRRCYEVGNKGIIAVGENCHSLTDLSLRFCDRVLDEALIAVGQGCPLQHLNVSGCNQIGDAGIVAIARGCPQLSYLDVSVLQNLGDMALAELGEGCPLLKDIVLSHCRQITDIGLSHLVKNCQMLESCHMVYCPSITAAGVATVVSSCPSVKKVLVEKWKVSPRTKRRAGSVLSYLCVDL >EOY04750 pep chromosome:Theobroma_cacao_20110822:4:25783445:25787100:1 gene:TCM_019936 transcript:EOY04750 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein isoform 2 MASSPSSWLLPLGSASGKSLSANHVVISNGGAQGLFVRCSSSKTRKHSNGLNLATRRDVMGLLFGVPSFLMNSADANGAGLPPEEKPKLCDDACEKELENVPMVTTESGLQYKDIKIGGGPTPPVGFQVAANYVAMVPSGQIFDSSLEKGQLYIFRVGSGQVIKGLDEGILTMKVGGKRRLYIPGPLAFPKGLSSAPGRPRVAPSSPVIFDVSLEYIPGLEDEE >EOY04751 pep chromosome:Theobroma_cacao_20110822:4:25783445:25787100:1 gene:TCM_019936 transcript:EOY04751 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein isoform 2 MASSPSSWLLPLGSASGKSLSANHVVISNGGAQGLFVRCSSSKTRKHSNGLNLATRRDVMGLLFGVPSFLMNSADANGAGLPPEEKPKLCDDACEKELENVPMVTTESGLQYKDIKIGGGPTPPVGFQVAANYVAMVPSGQIFDSSLEKGQLYIFRVGSEQVIKGLDEGILTMKVGGKRRLYIPGPLAFPKGLSSAPGRPRVAPSSPVIFDVSLEYIPGLEDEE >EOY04752 pep chromosome:Theobroma_cacao_20110822:4:25783498:25786200:1 gene:TCM_019936 transcript:EOY04752 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein isoform 2 MASSPSSWLLPLGSASGKSLSANHVVISNGGAQGLFVRCSSSKTRKHSNGLNLATRRDVMGLLFGVPSFLMNSADANGAGLPPEEKPKLCDDACEKELENVPMVTTESGLQYKDIKIGGGPTPPVGFQVAANYVAMVPSGQIFDSSLEKGQLYIFRVGSGQVSSRSVLSLILIIKILVHLIVK >EOY06704 pep chromosome:Theobroma_cacao_20110822:4:32710029:32712838:-1 gene:TCM_021351 transcript:EOY06704 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor, putative MADVVFTFDDLNIGGVPDKLRSSDKDFESFEGGGKGKQSSFYGGAYWGETGGIESLSSDYGFYQDDSLEAGFTFSKYRPQEQQQQQQPFTDYGLLDGVSVNAASPPIQTCLEEIAKLGQIPTGIQDAAEMKKENQHPFSLASLGLLNNYGSGFKRLNGERRSEGANDITMLTKEEDRKLSTEEIMRVAGEMFIQSSCQTIDSISMLDHPFNLSFSGLSDQEIKDVELAGLLLAAAEKVGYQQYDRASRLLKQCDYMTFKTGNPVQRSVYYFAEALREKIERETGSASSKGLRRKPLFNLDEATMSLNPTTLACHGELPFCQITQFTGIQAIVENVAEAKRIHIIDLAIRNGVQWTVLMQALASRYECPLELLKITAVATNAKHLMEETGKRLSSFAQSLGIPFAFKIIMVSDMLDLKEDLFELDAEEAVAVYSAYAFRSMLATPNRLENIMRVVRVINPCLMVISEIEANHNSPIFVNRFIEVLFFFSAYFDCIATCMKQNYKNREIIESVFFSEGIKNMVAAEGEDRKVRHVNFDVWRAFFVRYGMEEVELSMASLYQADLIRKNFSCGSSCTLDMNGKCLLVGWKETPLHSLSVWKFL >EOY03935 pep chromosome:Theobroma_cacao_20110822:4:20542057:20556925:-1 gene:TCM_019150 transcript:EOY03935 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding storekeeper protein-related transcriptional regulator, putative MDSTPLQPQPLKPSASKLPIKRKTPFPQHHHQFLTPIPQPLAFSPLDHSPPSSSTPKPRPPPFKFHRIWTELDEISFLQGLLQSHGLSFPKDLPIFYFNFSNSISQPYSKSQLFEKLRRLRKKFRVISSKLARGLNPSALSPHDQALFDLSKRLWSPEFSSTSPFGKSSSGFNLGFSLDHENSGIVDGNDFEDCDLIENDGELKMNEVNVNYDFGGGRDEVVNDGQIDGVVAKSVLDVFDECFKEVGMIFAKQGLVWLDTMKRRWKEQRISELDMLGRRLRLVIENSLTR >EOY05003 pep chromosome:Theobroma_cacao_20110822:4:26802423:26805737:-1 gene:TCM_020127 transcript:EOY05003 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 94, putative MFQAGFRFCPTDEELIEILIQKVSGNKTMLFDFIVERNIYELEPQDLQYLHSFLLLYSYINFLITSNANHKSFFVWFLYIWIQNAALNNNERYYYCKRETESREVSGRGWWKATSHVKTISANGLVVGYKRPLTFHRFRDHERKRKDAIKTDWIMHEYALDSIPTDWRLCKIKYKGKERLEEDMENIRNRSCPMSLEAAGGCSSSTNPMQLDQFAFEEQQLQPQPSLPPLTLINNDYENYFGSNSNTRFHISSGEQQQGMAQPITSFDPSWIPLLAAPSHIASQQHNQSAEPREAQFPFPDLWSSWENWH >EOY04124 pep chromosome:Theobroma_cacao_20110822:4:22139271:22142470:1 gene:TCM_019378 transcript:EOY04124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHCHRFLPSLFSLPFFLVARIPQSLGNPACSEACFKCGGIFIGYPFFGDSRLGVYGLLGLQLECKEQIATIDILNVRYQVLHICEDNQTLQIARKNFMNDFCHPQFGNSTLDSTIFNIALGYCNVTLFYDYTSVIPLYIKSYNCNTNKGSHENVSIVTSVLGPEVCACNITIPIHQTSLQ >EOY02280 pep chromosome:Theobroma_cacao_20110822:4:291701:293983:1 gene:TCM_016806 transcript:EOY02280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxamine 5\'-phosphate oxidase family protein isoform 2 MKTKGLYCFRTFLLVLFFLGFQDCVQGRLLAVSKPDRDDAAAYARWLVSQNTWGILNTLSSELEGAPFGNVVSFSDGLPDKGTGIPYFYLTTLDPTARNALKDQRSSLTISEYPLGTCGKADPESPVCAKITFTGSLGLLDAKSKEAEFAQTALFTKHPEMKGWPKSHNFQIFKLEIKDIFMINWFGGPKPLTVEQYLDYKM >EOY02279 pep chromosome:Theobroma_cacao_20110822:4:291724:294161:1 gene:TCM_016806 transcript:EOY02279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxamine 5\'-phosphate oxidase family protein isoform 2 MKTKGLYCFRTFLLVLFFLGFQDCVQGRLLAVSKPDRDDAAAYARWLVSQNTWGILNTLSSELEGAPFGNVVSFSDGLPDKGTGIPYFYLTTLDPTARNALKDQRSSLTISEYPLGTCGKADPESPVCAKITFTGSLGLLDAKSKEAEFAQTALFTKHPEMKGWPKSHNFQIFKLEIKDIFMINWFGGPKPLTVEQYLDYKMNKFAVSL >EOY05188 pep chromosome:Theobroma_cacao_20110822:4:27533972:27535583:-1 gene:TCM_020261 transcript:EOY05188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHGIMKQPKQTCTTKTFQHRANLQREAPPQCPAESSKVAASSHPLSLPTSHQRTKKIGNSIQENQCQNTCHPSPPRNQRPKNVTGRGYPQTKPGKK >EOY06772 pep chromosome:Theobroma_cacao_20110822:4:32915805:32926796:-1 gene:TCM_021403 transcript:EOY06772 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding,abscisic acid binding, putative isoform 4 MNRYGGKNSSSNNNNNNSNFDDKFDNQDFYHHRRRSPSNFRGSGGGGHRLFDSPPRQHHGGGGGGFRPMGGGGGGGGGFRPMGGGFEGNYPSPPPHPPQQPHTGQKRAYPFSGRGGGSPNRDRFAGGGGNFAKLFVGSVPRAATEEDIRPLFEEHGNVIEVALIKDKKTGQPQGCCFIKYATLEEADRAIRALHNQHTLPGGMGPIQVRYADGERERLVEYKLFVGSLNRQATEMDVQEIFSRFGRVEDVYLMRDELKQSRGCGFVKYSDRDMALAAIDALNGIYTMRGCDQPLTVRFADPKRPRPGAGDSRGGAPAFGGPGFGPRFQAPGPRPAPNFGDAMGDNVPPNPWHPMSPQNMGPTPGIRSLGSQLLPRSGELAIPLNPGGPFGGPTDGSLPGPAVSSSSTSQQGFNQPSPLVPTVGQQISPLQKPLQSPQGLPPSFQLHPLAPVSYSQSQAVHVGQLQVPLSATQSPFSQALPSQHFVSGQLPASRPQIQQNASSAAVLQNPLSVNLPPNLQPNSVPTTSNQQQLPVPMQQQLLQPLQQSPSQLAQMLSQQTQTLQASFQSSQQAFSQLQQQLQLMQPSNQNMTLQQNSQASKQQWAGMTPQAAGSTPASTPSTDVPSSASAPAAPVMTPTVTPGECHWTEHTSPDGFKYYHNSLTRESRWEKPEELALFEQQQQQQQKPPVQQPQTQSHPPQQASQAQQVQFQTQLQTQIHHPLQLQLPFFPSAYSASGVRNQQNTQELGYGQLPVAPSPNNPTRFQQGASDCAGFGMEE >EOY06773 pep chromosome:Theobroma_cacao_20110822:4:32916630:32926518:-1 gene:TCM_021403 transcript:EOY06773 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding,abscisic acid binding, putative isoform 4 MNRYGGKNSSSNNNNNNSNFDDKFDNQDFYHHRRRSPSNFRGSGGGGHRLFDSPPRQHHGGGGGGFRPMGGGGGGGGGFRPMGGGFEGNYPSPPPHPPQQPHTGQKRAYPFSGRGGGSPNRDRFAGGGGNFAKLFVGSVPRAATEEDIRPLFEEHGNVIEVALIKDKKTGQPQGCCFIKYATLEEADRAIRALHNQHTLPGGMGPIQVRYADGERERLGAVEYKLFVGSLNRQATEMDVQEIFSRFGRVEDVYLMRDELKQSRGCGFVKYSDRDMALAAIDALNGIYTMRGCDQPLTVRFADPKRPRPGAGDSRGGAPAFGGPGFGPRFQAPGPRPAPNFGDAMGDNVPPNPWHPMSPQNMGPTPGIRSLGSQLLPRSGELAIPLNPGGPFGGPTDGSLPGPAVSSSSTSQQGFNQPSPLVPTVGQQISPLQKPLQSPQGLPPSFQLHPLAPVSYSQSQAVHVGQLQVPLSATQSPFSQALPSQHFVSGQLPASRPQIQQNASSAAVLQNPLSVNLPPNLQPNSVPTTSNQQQLPVPMQQQLLQPLQQSPSQLAQMLSQQTQTLQASFQSSQQAFSQLQQQLQLMQPSNQNMTLQQNSQASKQQWAGMTPQAAGSTPASTPSTDVPSSASAPAAPVMTPTVTPGECHWTEHTSPDGFKYYHNSLTRESRWEKPEELALFEQQQQQQQKPPVQQPQTQSHPPQQASQAQQVQFQTQLQTQIHHPLQLQLPFFPSAYSASGVRNQQNTQELGYGQLPVAPSPNNPTRFQQQGLQTVQDLAWKNKP >EOY06771 pep chromosome:Theobroma_cacao_20110822:4:32915805:32926796:-1 gene:TCM_021403 transcript:EOY06771 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding,abscisic acid binding, putative isoform 4 MNRYGGKNSSSNNNNNNSNFDDKFDNQDFYHHRRRSPSNFRGSGGGGHRLFDSPPRQHHGGGGGGFRPMGGGGGGGGGFRPMGGGFEGNYPSPPPHPPQQPHTGQKRAYPFSGRGGGSPNRDRFAGGGGNFAKLFVGSVPRAATEEDIRPLFEEHGNVIEVALIKDKKTGQPQGCCFIKYATLEEADRAIRALHNQHTLPGGMGPIQVRYADGERERLGAVEYKLFVGSLNRQATEMDVQEIFSRFGRVEDVYLMRDELKQSRGCGFVKYSDRDMALAAIDALNGIYTMRGCDQPLTVRFADPKRPRPGAGDSRGGAPAFGGPGFGPRFQAPGPRPAPNFGDAMGDNVPPNPWHPMSPQNMGPTPGIRSLGSQLLPRSGELAIPLNPGGPFGGPTDGSLPGPAVSSSSTSQQGFNQPSPLVPTVGQQISPLQKPLQSPQGLPPSFQLHPLAPVSYSQSQAVHVGQLQVPLSATQSPFSQALPSQHFVSGQLPASRPQIQQNASSAAVLQNPLSVNLPPNLQPNSVPTTSNQQQLPVPMQQQLLQPLQQSPSQLAQMLSQQTQTLQASFQSSQQAFSQLQQQLQLMQPSNQNMTLQQNSQASKQQWAGMTPQAAGSTPASTPSTDVPSSASAPAAPVMTPTVTPGECHWTEHTSPDGFKYYHNSLTRESRWEKPEELALFEQQQQQQQKPPVQQPQTQSHPPQQASQAQQVQFQTQLQTQIHHPLQLQLPFFPSAYSASGVRNQQNTQELGYGQLPVAPSPNNPTRFQQGLQTVQDLAWKNKP >EOY06774 pep chromosome:Theobroma_cacao_20110822:4:32915805:32926796:-1 gene:TCM_021403 transcript:EOY06774 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding,abscisic acid binding, putative isoform 4 MNRYGGKNSSSNNNNNNSNFDDKFDNQDFYHHRRRSPSNFRGSGGGGHRLFDSPPRQHHGGGGGGFRPMGGGGGGGGGFRPMGGGFEGNYPSPPPHPPQQPHTGQKRAYPFSGRGGGSPNRDRFAGGGGNFAKLFVGSVPRAATEEDIRPLFEEHGNVIEVALIKDKKTGQPQGCCFIKYATLEEADRAIRALHNQHTLPGGMGPIQVRYADGERERLGAVEYKLFVGSLNRQATEMDVQEIFSRFGRVEDVYLMRDELKQSRGCGFVKYSDRDMALAAIDALNGIYTMRGCDQPLTVRFADPKRPRPGAGDSRGGAPAFGGPGFGPRFQAPGPRPAPNFGDAMGDNVPPNPWHPMSPQNMGPTPGIRSLGSQLLPRSGELAIPLNPGGPFGGPTDGSLPGPAVSSSSTSQQGFNQPSPLVPTVGQQISPLQKPLQSPQGLPPSFQLHPLAPVSYSQSQAVHVGQLQVPLSATQSPFSQALPSQHFVSGQLPASRPQIQQNASSAAVLQNPLSVNLPPNLQPNSVPTTSNQQQLPVPMQQQLLQPLQQSPSQLAQMLSQQTQTLQASFQSSQQAFSQLQQQLQLMQPSNQNMTLQQNSQASKQQWAGMTPQAAGSTPASTPSTDVPSSASAPAAPVMTPTVTPGECHWTEHTSPDGFKYYHNSLTRESRVRHPEELALFEQQQQQQQKPPVQQPQTQSHPPQQASQAQQVQFQTQLQTQIHHPLQLQLPFFPSAELGYGQLPVAPSPNNPTRFQQGLQTVQDLAWKNKP >EOY04602 pep chromosome:Theobroma_cacao_20110822:4:25187333:25191865:-1 gene:TCM_019825 transcript:EOY04602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MAEALLSFAIRATLSKVISIAGEQINLAWGFKKGLARLLDSLTMIQAVLQDADGRQVRDKAVRLWLERLRDIAYEADDVLDEFAYEILRRKVKYQNQLGTKVCYFHFYKPVTFSFKIANKIKKINESLIQIKSDAAGFGLRVGTVDGVPQISRDYETDSILDSEVVGRKDDVSKIVDMLISLSGQQAISVISIVGMAGIGKTTLAKSVCKVVEEKNIFDAVMWVCLSDNFSDQKILGGMLESLDRGAGGLSNINAIIQNLRKELEGQRFLLVLDDVWNEDREKWVRLRSRLSKINNNANSIVVTTRSQNVASIMETFAWHTHHLEKLSDDDCWSIIKERAFGKTGELVSSELEDIGRAIAKRCGGVPLVASILGGTMGFKLEKDAWLSIKNSDAWKLKNNNEVLPTLKLSFDNLPYSLKQCFAYCSIFPKDHEIERDQLIQLWMAQGFLQPSEESSPCDRSLALMEDIGNKYFNDLLSNSLFQDAERDMYGNITTCKMHDLVHDLALYVSKSETVTLKTDCVGDFSRVHHLNVISEGEMVPEVSRATKQKLHSLFSKFDIFHNLSGDFKSLRVLNFEGAYIEELPASLGSLRHLRYFDISWTNIRAIPESITKLYNLQTLRFMCCFCLQNLPKEMRDLVSLRHIFFNDPMLMPVEIGQLTCLQTLPLFSVGREMGNQIEELGCLSQLRGELKISNLEYVRDKDEARGAKLQEKTKIYKLEFVWQSHREGLNNDEDVLEGLQPHLNLKSLTIMGYAGDNFPSWISTKAQIVGDSLLLNNLVNLNLINCRKCQNIPTIGQLRNLKVLTIDGLENVKYIGIEFYLNDSMCGGQEALSLFPALRKFTLKEMSNLEEWVEEVEAAMIGRAQVLVFPCLEELIIWRCPKLKSVPIMSGYSCLQKLDIRWCEQLSFIGDGLSASTCLKELSIWECSSLMSIPGMNMLCSLTKLEISGCGGLTCLPSGLCSCTCLEVLRISNCPKLISLPEDLGKLHSLCSLGITFCGKLTSIPASLCHLTQLKVLRIGGFLEKLEEFPGFGSIQSLNLSLEDLRLYGWEKLKALPYQLQYLTSLTSLDIRDFNEVEAMPMWLGNLSSLRELEFRRCKNLMHVPPLETMLHLTKLQTLRLYDCPKLKERCAEDSRHEWSKISHIPNIIIDDALVQSRED >EOY06491 pep chromosome:Theobroma_cacao_20110822:4:32098297:32102071:-1 gene:TCM_021196 transcript:EOY06491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 724 MSQEPFIYSFVARGTMILAEYTEFTGNFPAIAAQCLQRLPSSNNKFTYNCDHHTFNFLVEDGYAYCVVAKDSVGKQISIAFLERMKADFKKRYGGGKADTAIAKSLNKEFGPIMKEHMKYIIEHAEEIEKLLKVKAQVSEVKSIMLENIDKAIDRGENLTTLADKTENLRDQAQAYRKQGAQIRRKMWYQNMKIKLVVLGILLLLVLIIWLSVCHGFDCTN >EOY06563 pep chromosome:Theobroma_cacao_20110822:4:32306841:32311288:1 gene:TCM_046789 transcript:EOY06563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoamide acyltransferase component of branched-chain alpha-keto acid dehydrogenase isoform 2 MIGRRISQTRAWNAGRRWLCPYMSSAPCPIPAAAPTEECGRHFSSYAKAPFSLTNNPVNFYIPYVVQRCQFSSNAVADLPMEGTVDVPLAQTGEGIAECELLKWFVQEGDKVEEFQPLCEVQSDKATIEITSRYQGRVSHILHVPGSIVKVGETLVKMAVEETQVPSVIPNNLEKEKPVDAKLNKYSTGGVLSTPAVRSLAKEHDIDINDVQGSGKDGRVLKEDVLKYAMQKGVIKDPSVTATATATVGELLHGEESCSYGSAEVAGLYEDTIVPLRGFQRTMVKTMSMAAKVPHFHYVEEINCDALAELKASFQTNNTEPGIKFTFLPILIKSLSMALSKYPMMNSCFNEESLEVILRGSHNIGIAMATPYGLVVPNIKNVQSLSILEITKELSRLQQLALDNKLSPADISGGTITLSNIGAIGGKFGAPILNLPEVAIIAIGRIQKLPQFTDDGNVYPASIMTANIGADHRVLDGATVARFCNELKQFIEKPELLMLHMK >EOY06564 pep chromosome:Theobroma_cacao_20110822:4:32306941:32310323:1 gene:TCM_046789 transcript:EOY06564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoamide acyltransferase component of branched-chain alpha-keto acid dehydrogenase isoform 2 MIGRRISQTRAWNAGRRWLCPYMSSAPCPIPAAAPTEECGRHFSSYAKAPFSLTNNPVNFYIPYVVQRCQFSSNAVADLPMEGTVDVPLAQTGEGIAECELLKWFVQEGDKVEEFQPLCEVQSDKATIEITSRYQGRVSHILHVPGSIVKVGETLVKMAVEETQVPSVIPNNLEKEKPVDAKLNKYSTGGVLSTPAVRSLAKEHDIDINDVQGSGKDGRVLKEDVLKYAMQKGVIKDPSVTATATATVGELLHGEESCSYGSAEVAGLYEDTIVPLRGFQRTMVKTMSMAAKVPHFHYVEEINCDALAELKASFQTNNTEPGIKFTFLPILIKSLSMALSKYPMMNSCFNEESLEVILRGSHNIGIAMATPYGLVVPNIKNVQSLSILEITKELSRLQQLALDNKLSPADISGGTITLSNIGAIGGKFGAPILNLPEVAIIAIGRIQKLPQFTDDGNVYPASIMTG >EOY02489 pep chromosome:Theobroma_cacao_20110822:4:1056315:1057994:-1 gene:TCM_016952 transcript:EOY02489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral organ boundaries domain family protein MASSSYSNSPCAACKFLRRKCMPDCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNEVPPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQRQVMRLQRELDATNADLIRFACNEMPPASISQYGRRTGHHGGAAGAASFNQNSGYYYPSQWNSDDPCGDNERSSGGGSM >EOY04852 pep chromosome:Theobroma_cacao_20110822:4:26207128:26212994:1 gene:TCM_020020 transcript:EOY04852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein MVEFGVHGDVNGGMVLNSVQVEAGSMDNENEAVESPVEETFQQGVNEKMDRTSPERDDIPEGIPADEPYVGQEFESEAAAHAFYNAYATRVGFIIRVSKLSRSRRDGSAIGRALVCNKEGFRMPDKREKIVRQRAETRVGCRAMILVRKVSSGKWVVTKFMKEHTHPLTPGKGRRDCIYDQYPRVEVDYLRIASLYHPVRSWVHGFSLSKGKPVLMCHISWNLFIAIDTCCLEPIISRNILTGRVIMCNCGYLSPFSSCYCFNNEHNKIRELTQQLANEKKRAATYKRHLELIFEQIEEHNESLSKKIQHIVDSVREMDNKEQLSHV >EOY05850 pep chromosome:Theobroma_cacao_20110822:4:30030240:30032235:-1 gene:TCM_020750 transcript:EOY05850 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase MLVTNCLFRVGGVAILLSNRSSDQRHSKYELIHTLCTHKGADDKSYNCVLQQDDEENKIKPYIPDFKLAFEHFCIHAGGRGVLDELEKSLDLTQWHMEPSRMTLYRFGNTSSSSLWYELGYSEAKGRITKRDRVWQIGFRSGFKCNSAVWRAVRTVNPTVEKNPWMDEIDKFPVRVPQVASMSSENLGIQCS >EOY02827 pep chromosome:Theobroma_cacao_20110822:4:2435085:2444110:1 gene:TCM_017230 transcript:EOY02827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MEVKTGLILFLVFMILQRGEGQLSETFYQTTCPKLESIVRKVVTQKINQTFVTVPATLRLFFHDCFVEGCDASILIASPNGDAEKDAPDNLSLAGDGFDTVIKAKQAVEKRCPGLVSCADILAIATRDIIDLAGGPSFKVELGRRDGLISQASRVAGNLPEPNFNLTQLDTIFAKNSLTQIDMIALSGCHTVGFSHCNRFASRLYSFSPSSPVDPDLDPTYAQELKQACPQDVDARIAINMDPITPQTFDNMYFQNLVAKKGLFTSDEVLFTNPASQPTVIDFAKNPGDFNAAFITAMRKLGRVGVKTGQAGQIRSGEGRLSQSFYNTTCPILESIVRKVVEEKVNQTFVTVPATLRLFFHDCFVEGCDASIMIASPNGDAEKDAPDNLSLAGDGFDTVIKAKQAVEAKCPGVVSCADILVIAARDVVRLAGGPSFKIQLGRRDGLVSQASRVAGNLPEPNFDLTQLNTLFAKNNLTQTDMIALSGAHTLGFSHCSRFANRLYSFSPSSPVDPDLDPTYVQQLKEDCPQDVDPRIAIDMDPVTPQIFDNMYFKNLIAKKGLFTSDDVLFTNPASKPTVMNFAGNQEL >EOY02852 pep chromosome:Theobroma_cacao_20110822:4:2660716:2663813:-1 gene:TCM_017262 transcript:EOY02852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monovalent cation:proton antiporter, putative MEPDDIVILKSMPNFTSPNFTHVCSTFSTVQSIGIFYFNNPLNFMLPVLLIQMSLASAAILVTFHLLRPLGQPIMVAQILAGIIVGPSFLCRIPGLLDSLFPMRSFVVMDVVSAIGFMFYFFLIGVQIDVWILKRINRQNFAIGFFTVAVPMILTIGSSVIWAHFVDTDGNEMDALVPIAQAESVLSFPAIAYYLSELRVINSDFGKVALCSSIVSWLCSFCVITFNVLFRQSGKDMFLVFKTISCALGFAALIFFFLGPLLLWQMKRNTAGQPLKQSNLIVLFLAVVMAGFWGHYFGLHIYFGPFLFGLMIPSGPPLGSALVEKLDLVTYWIWLPLYFVKFGLAIDIFAISLKTYSTVFFIALLGACGKFLGASLSALSCQMPLRDAVSLGFVMNFQGILEIGMFKLMKNAKIIDTESFTAMCTASLFIMGVITPMVRHYCDPSEKYRVYHGRTVMHSRPNSELKLLVCIHDQENVPSAINLLGALNPSKQSPIAVHLLHLIELTGSATPLLIPHKITKSFSSRSSGSGPVINAFKHFQEIQGGTVSVIPFTSISLPQTMHDDVCAIALDKGTSLVIIPFYKRFHVNGASQSSKQAIKIATQNVLTKAPCSVAILVDRGPPKTQRALWTSWSSYKVAVIFLGGADDREALALGARMAGQPNINLSLIRILYDGNFPKNYMEESRLDNEIINEFKTDISGKYSAMYREEVVMEGAGTAAVLRTLENQYELVVVGRRNDGLPPLLSGLTEWIENKELGVIGDLLASSDFLGNTSILVVQQHIDSSHGD >EOY04722 pep chromosome:Theobroma_cacao_20110822:4:25647468:25651791:1 gene:TCM_019909 transcript:EOY04722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carrot EP3-3 chitinase, putative isoform 1 MRLSKKLWSLKQQMKRKGASQDMLISKDRLEQDYAVTDISSPHDSINTWCINLSAHHKFSSHSLKMESLAMRKYSLTVVLVGILAAVAMPQNVLAQNCGCAPNLCCSQFGFCGLGNDYCGTGCKGGPCFSKSPGGASVASIVSPAFFNGIINQARSDCVGKRFYTRQAFLTAHDSFPDFGKLGSDVDSKREIAAFFAHATHETEHFCYTEEIDKSNRYCENSPQYPCAPGKSYHGRGPIQLTGNINYGNAGKALGLNLLNNPELVATNPVVSFKTALWYWMNAVRPVVRQGFGATIKAINGRIECGGGAPQKVQRRIGFYTAYCKQLGVDPGPNLSC >EOY04723 pep chromosome:Theobroma_cacao_20110822:4:25649948:25651291:1 gene:TCM_019909 transcript:EOY04723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carrot EP3-3 chitinase, putative isoform 1 MESLAMRKYSLTVVLVGILAAVAMPQNVLAQNCGCAPNLCCSQFGFCGLGNDYCGTGCKGGPCFSKSPGGASVASIVSPAFFNGIINQARSDCVGKRFYTRQAFLTAHDSFPDFGKLGSDVDSKREIAAFFAHATHETERKLSFFSTEHRFFTKAHYSYFCYTEEIDKSNRYCENSPQYPCAPGKSYHGRGPIQLTGNINYGNAGKALGLNLLNNPELVATNPVVSFKTALWYWMNAVRPVVRQGFGATIKAINGRIECGGGAPQKVQRRIGFYTAYCKQLGVDPGPNLSC >EOY02381 pep chromosome:Theobroma_cacao_20110822:4:616791:618304:1 gene:TCM_016875 transcript:EOY02381 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein isoform 1 MENEEQEQKEDKRQHHHQEEDKQQQNHQEEDKEQQEERQHHHQKLQEEEEKQQQHHQEQQNHREEEQEQRKQQQEQQKQEEKEEKEPENKQNHTVSRFPLSKRSTPPRTIPKAQSPPSNHSPVDSPLSTDHSSLSHGFSPPQPTSKLSDPNFKPPSLVVSTVEFTSRDQSTTTTTTTVEVEEQSQKLGSGSGKRLRPDLSILRRTKRDKMVKKALLGFRISGFVFCLVSFSVLAADRDQGWALDSFYRYKEFRSLTKTC >EOY02380 pep chromosome:Theobroma_cacao_20110822:4:616785:619719:1 gene:TCM_016875 transcript:EOY02380 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein isoform 1 MENEEQEQKEDKRQHHHQEEDKQQQNHQEEDKEQQEERQHHHQKLQEEEEKQQQHHQEQQNHREEEQEQRKQQQEQQKQEEKEEKEPENKQNHTVSRFPLSKRSTPPRTIPKAQSPPSNHSPVDSPLSTDHSSLSHGFSPPQPTSKLSDPNFKPPSLVVSTVEFTSRDQSTTTTTTTVEVEEQSQKLGSGSGKRLRPDLSILRRTKRDKMVKKALLGFRISGFVFCLVSFSVLAADRDQGWALDSFYRYKEFRFCMAVNVIGFVYSGSQAYDLAYQLTIGKQKARSRLRCYLDFVLDQMLAYLLLSASSSAAVRVDDWQSNWGKDKFPEMARASMALSLVAFIALALSSLVSGYTLSTFRSM >EOY03320 pep chromosome:Theobroma_cacao_20110822:4:12433421:12434182:1 gene:TCM_018223 transcript:EOY03320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWIPSKGEIIFKSWQSLERMAHTSIDLKEKDKEKVEKVTIKNYRPRKVFSIRDFPPGCGKGATLVSREDYVNEQQGENNVDEEDLQEAEVDLEDDPVNEYEFRQ >EOY04600 pep chromosome:Theobroma_cacao_20110822:4:25166119:25174808:-1 gene:TCM_019822 transcript:EOY04600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance-like protein MAEAAMVVAKGIVSGLSSVASEQIKIAWTFEDELKRLQDSLAMIQDVLQDAEDQQGSQRAVRRWLLKLREVSYEADDVLDELVYEDLRREIVTNKPMCSFVWKQVCNFFSLSNPVLFHFMMANKVEKINESLDKIKNEGVVLGLRNRPVGRITVLSQDIYETDSILDSEPIIGRRYDVSKIVNMLSSLSNQHEISVISIVGMPGLGKTTLARTVCKEVKEKKMFDVVIWVCVSYDFSHQKILGGMLESLDRSAGGMSNIDAILSNLQKELKDKSFLLILDDVWIEDDQMWRELKNRLSKTNDNANELSLQKKNKANAIVVTTRSHRTASIVETSPDHRHNLEQLSREECWSIIKERACRIGGALVSVDLEDLEDIGKEIAEKCGGVALLASVLGGTLGFRRRKEEWLAVKNSDVLRLGNNDEVLPKLKLSFDNLPFCLKQCFAYCSIFPKGHVIEKDQLIQLWMAQGFLQSFEEIMWSEEGGVTELEDVGDKFFNGLLSNSLFQDVQRDTCGNIQTCKMHDVVHDLAQFVSQSELIASELTSELTTDISDHVRHLNVAHVEALVPRISEDVARKLLSLFSKVNVFNDELRDFKSLRILNFCDAKINDLPTFLGRVKHLRYLDVSGTSIKELPQSIDRLYNLQTLRFMHCRHLELLPKGLGNLVSLRHIYFNDEKLMPVQIGCLTSLRTLPLFVVGVEIGCQIKELGCLNHLRGDLTICKLEYVKDGEEARGANLQAKTNIYKLIYEWSIERESCDDHEEVAIRSDPNWSYRSFNYDREVLDDLQSYSSDQSFNYDTEVLDDLQSYSSDQCFGQDELTDDLDEISTASNPNKQLRDWLKFLLSSQRSYEPSTRFNDEQVLRFNDEQVLEGLEPPISLKSLSIKNFRGENFPPWIWRSISVISTGSDSLLLDNLVELNLFNCMNCESLPRLGKLRNLKILAIKNMNNVRCIGGEFYSYYNRGVLGALFPVLKELTIEGMETLKTWMDPTRLNSASEGDSTSIEVFPCLEDLTVGCCPQLEMVPMMGGLPSLQTLKIYSCEGLTFIGDGLSASTCLKKINIQDCPSLKKIPGVNRLSFLTELEVKKCSGLHSLPRGISHCTSLENLSIRDCTVAKIGSINALSSLRILVLKNCKDLKRLPRGLGSCTSLEQLDIVGNFNLQLHKEEIRQLPSVRFLNITICPKLRTIPKGWLGRFSNLKVLTIGGFSEELDEFPGLSSSEELEELDEFPGLSSVGCLPASLDYLLLLGWKRLGSLPEQLQHLSALKRLEIWSFDGVEALPDWLGNLSSLQSLKIHQCEKLMCLPSAQAMQQLTQLKRLFIHNCPILSGRCAQEGGPEWFKISRIPEVIIKNND >EOY06836 pep chromosome:Theobroma_cacao_20110822:4:33089498:33093254:-1 gene:TCM_021443 transcript:EOY06836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,3-galactosyltransferase 16 isoform 2 MKSLSLAFGLFRMKKWYGGVLIVVLAIILVFSYSLRETQPKKQSAYDFFNNHPPKDSHTKENDSIKSPKVEVKKLALIKKPKLINVEGLNDLYAPTNISEESKALLLWPHMRLLLSRSDALPETGQGIKEAAIAWKELLAVIEEEKTTSHNIRLKEKNCPFSVSNLDKTLFSGGNILELPCGLVEDSSITVIGIPDGRYRSFEIELAGSNFSGEPQPSVILHYNVSVAGDNMTEEPFIVQNTWTNELGWGKEERCPAHVSSNNLKVDRLGLCNEQLVRSLMEENQNVSLSSGNALTNASQARSHASANFPFIEGNPFTATLWVGLEGFHMTVNGRHETSFAYREKLEPWSVSGVKVAGGLDLLSAFAKGLPVPEDHDLIVNSKLLKAPAVSRKRLLMLVGVFSTGNNFERRMALRRSWMQFQAVRSGDVAVRFFIGLNKNRQVNFELWKEAQAYGDIQFMPFVDYYSLISLKTIAICILGTKILPAKYIMKTDDDAFVRIDEVLSSLKEKASDGLLYGRIAFDSSPHRDKDSKWYISNEEWPHSSYPPWAHGPGYIISRDIAKFIVRGHQERELKLFKLEDVAMGIWIEEFKNSGREVHYITDERFYNAGCESNYILAHYQGPRMVLCLWEKLQKEHQAHCCE >EOY06837 pep chromosome:Theobroma_cacao_20110822:4:33088840:33093997:-1 gene:TCM_021443 transcript:EOY06837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,3-galactosyltransferase 16 isoform 2 MKSLSLAFGLFRMKKWYGGVLIVVLAIILVFSYSLRETQPKKQSAYDFFNNHPPKDSHTKENDSIKSPKVEVKKLALIKKPKLINVEGLNDLYAPTNISEESKALLLWPHMRLLLSRSDALPETGQGIKEAAIAWKELLAVIEEEKTTSHNIRLKEKNCPFSVSNLDKTLFSGGNILELPCGLVEDSSITVIGIPDGRYRSFEIELAGSNFSGEPQPSVILHYNVSVAGDNMTEEPFIVQNTWTNELGWGKEERCPAHVSSNNLKVDRLGLCNEQLVRSLMEENQNVSLSSGNALTNASQARSHASANFPFIEGNPFTATLWVGLEGFHMTVNGRHETSFAYREKLEPWSVSGVKVAGGLDLLSAFAKGLPVPEDHDLIVNSKLLKAPAVSRKRLLMLVGVFSTGNNFERRMALRRSWMQFQAVRSGDVAVRFFIGLNKNRQVNFELWKEAQAYGDIQFMPFVDYYSLISLKTIAICILGMMMPLSGLMKFSPA >EOY03475 pep chromosome:Theobroma_cacao_20110822:4:16217252:16218110:1 gene:TCM_018563 transcript:EOY03475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAC24089.1 MEQTEEGIGIKVYNATPPEGTTPTSSLPRLPPEPGRKRKAVAKGVQKTISKTSMLVNFLPTGTLLTFEMVLPSVYRNGDCSPVNTMMIYFLLCLCALSCFFFHFTDSFRGPDGKVYYGFVTPKGLAVFKAGLDVETPKDEKYKVGLTDLVHAIMSVLVFMAIAFSDHRVTNCVFPGHEKEMDQVMESFPLMVGIVCSGLFLVFPTTRYGVGCMAA >EOY02993 pep chromosome:Theobroma_cacao_20110822:4:3378677:3379493:1 gene:TCM_017385 transcript:EOY02993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein, putative MKMKLWACFLTLLFVLASLVPQGWGQGQGQGQGQGEDSSTSLCLNQLAPCLNYLNGTRDVPDSCCDPLKSVIKSKPECLCSMISNEGSREAEQAGINISEAQQLPGRCGQHVNPLVCLSGSPNSKNSAEDSAGVLLFPPHSAIIIAVAICMTVEKLWVYPTPH >EOY03177 pep chromosome:Theobroma_cacao_20110822:4:6853546:6857995:-1 gene:TCM_017749 transcript:EOY03177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRIKSTSCHGKPHRGLPPLTYLSTWFGNTALVTLNVLSDEVPLRPKIEVEPQEKMFANNVGASNEDGIGAGCGEVVLGLLAR >EOY06498 pep chromosome:Theobroma_cacao_20110822:4:32108170:32112014:-1 gene:TCM_021200 transcript:EOY06498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MRGENFNTSEGEIKVSFGYQCNSHKGIPCSTANGCAIQLGSQMPRTSSFSCLSGAALSANATLANTNICNGLIGAEILPSLDSPNSFRRVPSSPSLTRLDILSSSLQSSMSNLSCSPPSLDDSPESDSYLLKSMSAPSRSDSFLNAMELQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYETIIVYFNLLDRESKHDVPRALNGLNGNGPFEYVHDDSRVGNEAKIPSRIDSKKYASAESFMKKSADHKMEVSSNSFSHGVLDSFQRALSQAENDFLYMVEQEMEDRPDLVSVGSCVLVMLLHGNDLYTLNLGDSRAVLATYNEGNDMGQCGRLRAIQLTDSHTVDNEVERTRLLHEHPDDSMPIVAGKVKGKLKVTRAFGVGYLKKKKLNDALMGILRVRNLISPPYISTEPSLNVHKISRSDHFVIVGSDGLFDFFSNEEAVKLVHSYISSNPSGDPAKFLVEQLVIKAAHSAGFSKEELMNIPAGRRRKYHDDVTVIVIILGTNYRTSKASTCV >EOY06499 pep chromosome:Theobroma_cacao_20110822:4:32109241:32112330:-1 gene:TCM_021200 transcript:EOY06499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MRGENFNTSEGEIKVSFGYQCNSHKGIPCSTANGCAIQLGSQMPRTSSFSCLSGAALSANATLANTNICNGLIGAEILPSLDSPNSFRRVPSSPSLTRLDILSSSLQSSMSNLSCSPPSLDDSPESDSYLLKSMSAPSRSDSFLNAMELQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYETIIVYFNLLDRESKHDVPRALNGLNGNGPFEYVHDDSRVGNEAKIPSRIDSKKYASAESFMKKSADHKMEVSSNSFSHGVLDSFQRALSQAENDFLYMVEQEMEDRPDLVSVGSCVLVMLLHGNDLYTLNLGDSRAVLATYNEGNDMGQCGRLRAIQLTDSHTVDNEVERTRLLHEHPDDSMPIVAGKVKGKLKVTRAFGVGYLKKVGTGFSHYENFPLYLTP >EOY03120 pep chromosome:Theobroma_cacao_20110822:4:5210758:5214811:1 gene:TCM_017603 transcript:EOY03120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHAGDWEASLHFKAFRLIKPLREAMEGPSHPERQVGGVTKGRMQHLMDAKQFQFWYFQGRKVHLAQCEECLEEELHPFYDDYDEDNGKVDVHPVLGESLKVNGAFSELVFFDVIFYSRPWLFDHDMENLTKPSTYSFYRGNKKYTLHPLKEEAKTEAGCSSLGSKFYAVVRTVHHCLHYLAYKEFILYSNHQAIKYLNSKKKLNDHRARLSAFLDEFNFSFNYKTGESNVVADALSRHSLVLTVMSNQIISFEELKNQYQGDPYFSQLDWTICSRKTATQVARRLFIQRQSIMHSRGVFTRAIIRQLHGSGLEHFGRDKTIAMVPDCYYWPKMLKDVDRLVKKCSACQLGKGNSQNKGLVHSFTWTRGSMDSFEQRRQIDGVLLEALWRIYGNKLRYSSTCHPQANGQPEATNRSLVKKTAKKTPLEAAYGLNPHELDLVPLPLEAHITDGGEAFVEHIRQLHEEVKDAMKGNNEAYATAASRHQ >EOY03976 pep chromosome:Theobroma_cacao_20110822:4:21008484:21010874:-1 gene:TCM_019208 transcript:EOY03976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MAKTKKRSHSQRSPSPPSRNPTPPKHPIFPSYQTTPNIQPKVKLLCEIISTTPSSTVEALLEGAGLRVTQPDVENVLKLSYSFPSQAVKFFRWSGYQLQHQHSPYSWNLVVDLLGKNGLFDAMWDAVKSMKKEGIVSLATFASIFSSYVSFDKVREAILTFEVMEQYGCVRDIVALNSLISAICREGKTIDGLEFLRVIKSRIRPDSDSFAILLEGWEKEGSVSLAKETFEEMVMEIGWDPGNVPAYDSFLNTLIKGKDGINEVLKYVDVLQERRCYPGMKFFKEVLEGFRKVGDVRGAELIWEAMVGKVGFRPDTEMYNLMIALYSSKNYMDMAKKMLDEMVYTGAFPDLQSYNLLFHFLIKNRKLKDASVLFNEMVKNECFPSKANCIAAVKIFIDIGDPYMAIKVWKFMIDNYDSDLEETGNLLVVGLRDAKLLPEAVKYAEGMIEKGIKVTYSTLSKLKQGLSKARKEGVYEELLRKWKSC >EOY04756 pep chromosome:Theobroma_cacao_20110822:4:25795106:25799687:1 gene:TCM_019941 transcript:EOY04756 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MEQKVVEAVLGAAVQLTLSKVISLAAEQISLACDFKKDLKRLRVLLTMIQAMLQDAEEKHVRDGAVRLWLEELRDVAHEVDDVLDEFAYENLRMKVKIQNQISRKLCNPFSPTFPVSYHFKMANKIKNISISLRNINDQATQFGLQRRVGDMALVPRGNQETHSLLGDSSHVVGRGDDVSKIIDLLIRSDSQQTLSVISLVGMAGLGKTTLAKVVCNNEPIQDHFGKIMWVCVSDDFDVERILVEMLESLTKNPCAIKNKDTVLRRIQEELGGERYLLIFDDVWNENTEKWEDLKGCLLGISRNIGSKIIVTTRSDNVALVMGTIPERRHHPRKLVDDECWSIIKEKVFGSASIPPELEVIGKDIAKKCRGVPLVARVIGGTMSNKRDKEEWLSIKRCNIWDSLERNNSILHVLKLSFDRLPSPSLKRCFAYCSNFPKDFCIEREQLIQFWMAEGFLHPSEEEGHMTMEDIGNMHFKALLSNSLFQDVERDAYGNIQVFKMHDLVHDLAVFVSKEETMVLDTDSMRDTSHVRHMRVTFNGEVVPTLLRHAAPKLHSLFLKVDVFSMFSGDLKSLRTLNLSGACIEKLPASLGKLKHLRFLDISRTNITELPKSFTRLYNLQTLRLVKCSLEKLPKGMKNLVSLRHIYFDLEKLMPVDIGHLACLQTLPFFFVNMEKGCQVEELRCLSQLRGKLKICNLEDVKDNAEATRANMQAKTKLYKLKLKWSYKRKGYINDKEVLEGLKPFSNLKSLTIVNYWGDDLPSWMLRRGYGSDHTFPLNNLVKLKLINCKECLNVPSLGELCNLRVLEIDEMKKVNRIGCEFYFNGTHDKKYRTSSSQGQGEATKLFPALRRFVLVEMESLEEWSDDMDPAMIEREGVVVFPCLEELIISGCPKLKSAPIQRKLSSLQVLQVSYCGEISTLGDGLSASSCLKELHIQACPNLRSIPTINGLSMCLKELRIWDCPNLRSIPSIEGFSSLTDLTIKDCEGLSCLPNGLESCTSLENLNIHNCPNLSSVSQDLGELRSLIFLSITSCRKLTCLPGEILGCFTNLKTLHIGGFSEQLEEFPGLSSIQHLHASLKYLELYGWKNLKCLPYQLQHLAALKSFEMWNFNGVEVLPEWLGNFSSLQRLQIWNCNNLTHMPSLEAMQQLSELQRLEINKCPQLKENCAKESGSEWPKISHLPNIRIEGRCIQH >EOY06216 pep chromosome:Theobroma_cacao_20110822:4:31311022:31314024:1 gene:TCM_021018 transcript:EOY06216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTFQVKAKASTFHCSSTKGTREKLDSSTLFDQVPADILRSIFTRLYIIDIVRAKAVCSSRNSLGEEILSRTPWLMLPSREEEVGGGYDVDNNVYSGFLIPGESRVFGLKKIPKEFRESCCIGSSNGWRVFLKLETTEPFLFQPFRQEKIRLPPLHGQVDILRIEGKAERDIEIEIDFDILFVMRFIGEFVDWEGNLVSEEAVFNTNIKRPTVYPYRTRLFLVYKLDFNEQKWVEMLTLGDRALFLSENQSVSVSIQSFSNCEENSIYYTDEYWDKIMDDAYSYEGHDMGIYNLKDGRVKPSYEFSFRKYSATTMLRHPKSQAMPENSNSPQQLPLFSQTYFMSTKTFDNVL >EOY02912 pep chromosome:Theobroma_cacao_20110822:4:2928842:2931686:-1 gene:TCM_017311 transcript:EOY02912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGETKSADEPALPAKRKPDLTSQDQENLPLKSRKLQTQDETIQPKDDSFVPDNEEPGVDAEEEGEEDDDDYKDDDDHDGEENGNATVDRKGKGILIEDAKDSDDDDDDDDDSSDGGDESEGESDLSDDPLAEVDLDNILPSRTRRRVVQPGVYIAKDVGNNDESDDSDGSDT >EOY05100 pep chromosome:Theobroma_cacao_20110822:4:27210244:27216989:-1 gene:TCM_020195 transcript:EOY05100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGQDQGKNHSSGHESHGVHLCHKCGWPFPNQHPSARHRRAHKKICGNIEGYKLVDSGDITLSTASDDEALSDEDHQSPIAQVPKVLESDSLKKSISGIGAMSNRSEDEVFSDAAMEFHDGGKGRQDSLDNASKADKIAEKDLTATISFKDCEDTDILQPPQNPADTSQNLNAVLENIPIMPSGTPEHQDIGLSYSKDSDDRNGSACDVVLTKPETITGVSEESRKVSAVDRVAECSIERETDAIENEKGKLNKNLAGGSVLPSQHCGELSESVSVSERRLEGTSDTVLTDDIVQSKEEFSNRLASKIVMSENGEEETDGKGHPRKRNLMDVVASNCEYATITSEKREDITSESGLADKIVELEENTDKLALNKVIDNLSLKDEPTKLMDVSADTFQMKTDPAQATDSATSVNSNEVYEKEEKENESVYVLSVPDDIPIVDNAEIKLEGFKDHKGVKLPLLEALASEEIIIDTEDEVRDHVSQEISDTFRSNQLDENIKVDSSQMHDVEVSHKLGGDNEAMVKEVLVEGKADVLQINKGSDALGSPVDADTSENEKDHKVCSLEEQQPVYVSDDLHQTGFSGSMINVLPDVNPMVAPADAEARKLSNVVGSDDMGIPESTRIGAIDVAGSNEDRRIDDGNYVENTETLCESTNNSSLPQTNPASNLLEVDNSDDIGTRKAEKYDIDVVESGEGLEEGYISMKTNSAFESSSAHHQSPVVTEEVNNEYVRTLSETEGPQLDRVSNSQVDIKECEINRDNKVQGDCAGKDLMASALDHSGGNEFGRTSEDQLKKELNHLPSDAEPTSQSSGAVDDSHTRGSRVDASGISTVTLPGEADNGHVKHQLDTTVVDVSVESSSQTDSLEGHWGSVSVLSTQSDYPAVIDTETLPSTGSHALVEAEEDNIKKSKVASEGQHFDKSDEFEPPSFMTLVEPRGGDQKDAASEVQTAQNEQHPRAAPLQAGWFPSLAHVANESQGRKKNEEIIAKVTNWNAKQHTPLKNLLGEAHSETKPKSPNAKENPAVVLPREEKVGKDNGVLGTKVSSVLGPEAPVAEPTNMEAGKEWNSPARYPADIRREKRKVKGRPLWVQFVCCSSVN >EOY06064 pep chromosome:Theobroma_cacao_20110822:4:30825223:30830947:1 gene:TCM_020906 transcript:EOY06064 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC (cAMP-dependent, cGMP-dependent and protein kinase C) kinase family protein isoform 1 METARCWFNKLKSKDKLRPSKKKEAAGNAKEGSKPPTSEEAPSNVTKQRVAAAKQYIENHYKKQMKSLQERKERRDILEKKLADAEVSEEEQNNLLKYLEKKETEYMRLQRHKMGADDFEPLTMIGKGAFGEVRVCREKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLQEKDFSMANNLSGALQSDGRPAAPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGANEIKAHPWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKFEEADNQIPSAAKSGPWRKMLSSKDINFVGYTYKNFEIVNDNQLPGIAELKKKSSKPKRPSIKSLFEDESAAAASQPVQGSFLNLLPPQIEAPENHSRK >EOY06065 pep chromosome:Theobroma_cacao_20110822:4:30825521:30830912:1 gene:TCM_020906 transcript:EOY06065 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC (cAMP-dependent, cGMP-dependent and protein kinase C) kinase family protein isoform 1 METARCWFNKLKSKDKLRPSKKKEAAGNAKEGSKPPTSEEAPSNVTKQRVAAAKQYIENHYKKQMKSLQERKERRDILEKKLADAEVSEEEQNNLLKYLEKKETEYMRLQRHKMGADDFEPLTMIGKGAFGEVRVCREKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLQEKDFSMANNLSGALQSDGRPAAPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGANEIKAHPWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKFEEVDYCYCVYKYEITCLEVFLFLPSFMHQADNQIPSAAKSGPWRKMLSSKDINFVGYTYKNFEIVNDNQLPGIAELKKKSSKPKRPSIKSLFEDESAAAASQPVQGSFLNLLPPQIEAPENHSRK >EOY06063 pep chromosome:Theobroma_cacao_20110822:4:30825223:30830947:1 gene:TCM_020906 transcript:EOY06063 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC (cAMP-dependent, cGMP-dependent and protein kinase C) kinase family protein isoform 1 METARCWFNKLKSKDKLRPSKKKEAAGNAKEGSKPPTSEEAPSNVTKQRVAAAKQYIENHYKKQMKSLQERKERRDILEKKLADAEVSEEEQNNLLKYLEKKETEYMRLQRHKMGADDFEPLTMIGKGAFGEVRVCREKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLQEKDFSMANNLSGALQSDGRPAAPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGANEIKAHPWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKFEEADNQIPSAAKSGPWRKMLSSKDINFVGYTYKNFEIVNDNQLPGIAELKKKSSKPKRPSIKSLFEDESAAAASQPVQGSFLNLLPPQIEAPENHSRK >EOY03229 pep chromosome:Theobroma_cacao_20110822:4:8637284:8639588:-1 gene:TCM_017906 transcript:EOY03229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDAFALGISGGHRCLECLPLGSLCLEVDQGLLIEVAIEVIFTFQKLIAHSIPKDDVYPLMYKWKCNQKLKDFYKVIETLESS >EOY06724 pep chromosome:Theobroma_cacao_20110822:4:32766958:32767871:1 gene:TCM_021366 transcript:EOY06724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSSHLWIFQLVMLLILFCDIEMHAESSKKFLNSTCGDNCQLTRVNTRPQQAFSSQRKLLVRGSCTDRDISISQSKDSTSGIPQYIVQIVNTCVSGCAPSDIHLHCGWFASARIVIPRTFKRVSYDDCLVNGGKPLKTNQGIRFTYSNSFMYPLSLKSAKFC >EOY04638 pep chromosome:Theobroma_cacao_20110822:4:25310695:25314401:-1 gene:TCM_019845 transcript:EOY04638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast heat shock protein 70 isoform 3 MASSTVQIHVHGGIGFASSRKTNHHSPRTVFLGQRLGKASPLNAAFLRLAKANNIKRCNVGPVRVVNEKAVGIDLGTTNSAVAAIEGGKLTIVTNAEGQRTTPSGVAYTKNGDRLVGQIAKRQAVINPENTFFSVKRFIGRKMSEVDEESKQVSYKVVRDENGNVKLECPAIGKQFAAEEISAQVLRKLVDDASKYLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILAFDLGGGTFDVSVLEVGDGVFEVLSTSGDTRLGGNDFDKRIVDWLADNFKRDEGIDILKDKQALQRLTEIAEKAKMELSSLTQTNISLPFVTATADGPKHIETTLTRVKFEELCSDLLDRLKTPVENSLRDAKLSFKDIDEVILVGGSTRMPAVQELVRKMTSKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPSDEVDRMVKEAERFAKEDKERRDAIDTKNQADSVVYQTEKQLKELGDKVPGPVKEKVEAKLQELKDAISGDSTQGMKDAMAALNQEVMQLGQSLYNQPGAGGSAGPAPGGESGPSDSSNKGPDGDVIDADFTDSK >EOY04637 pep chromosome:Theobroma_cacao_20110822:4:25309794:25314264:-1 gene:TCM_019845 transcript:EOY04637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast heat shock protein 70 isoform 3 AFLRLAKANNIKRCNVGPVRVVNEKAVGIDLGTTNSAVAAIEGGKLTIVTNAEGQRTTPSGVAYTKNGDRLVGQIAKRQAVINPENTFFSVKRFIGRKMSEVDEESKQVSYKVVRDENGNVKLECPAIGKQFAAEEISAQVLRKLVDDASKYLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILAFDLGGGTFDVSVLEVGDGVFEVLSTSGDTRLGGNDFDKRIVDWLADNFKRDEGIDILKDKQALQRLTEIAEKAKMELSSLTQTNISLPFVTATADGPKHIETTLTRVKFEELCSDLLDRLKTPVENSLRDAKLSFKDIDEVILVGGSTRMPAVQELVRKMTSKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPSDEVGI >EOY04636 pep chromosome:Theobroma_cacao_20110822:4:25310057:25314580:-1 gene:TCM_019845 transcript:EOY04636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast heat shock protein 70 isoform 3 MASSTVQIHVHGGIGFASSRKTNHHSPRTVFLGQRLGKASPLNAAFLRLAKANNIKRCNVGPVRVVNEKAVGIDLGTTNSAVAAIEGGKLTIVTNAEGQRTTPSGVAYTKNGDRLVGQIAKRQAVINPENTFFSVKRFIGRKMSEVDEESKQVSYKVVRDENGNVKLECPAIGKQFAAEEISAQVLRKLVDDASKYLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILAFDLGGGTFDRIVDWLADNFKRDEGIDILKDKQALQRLTEIAEKAKMDLPFVTATADGPKHIETTLTRVKFEELCSDLLDRLKTPVENSLRDAKLSFKDIDEVILVGGSTRMPAVQELVRKMTSKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPSDEVDRMVKEAERFAKEDKERRDAIDTKNQADSVVYQTEKQLKELGDKVPGPVKEKVEAKLQELKDAISGDSTQGMKDAMAALNQEVMQLGQSLYNQPGAGGSAGPAPGGESGPSDSSNKGPDGDVIDADFTDSK >EOY04896 pep chromosome:Theobroma_cacao_20110822:4:26361577:26364334:1 gene:TCM_020050 transcript:EOY04896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFTSCFLLAFSIVVMLSGIDTNLAARYLLDKTPSPAPSVLSVSAPQSSLPPRSSKSSFTAPAEPPLAGSQPSVSSSMPSSSESTTPSSASDKPSLPNSTPSLTQPAAAPKASTQPSLSKTIPSSTQPTPSQQASPNSIPGSREPTMAPMGSSHPSLSNTEPSLTQPAMSPLANAPPSSSTNNPSLSNSTNNLAQPAVAPMASTHPSSPDKVPSLAVPATSPSATTQPSLPTNNSPSSNSMPGLAQPAMAPMDSTHPSAPGKEPSLTLPATSPSETTQPSLPNPSSSNSTSGLTQHGMAPMASSLPSSPDKMPSLTLPATSPSATSQPSLPTNSLSFSNSTPSLAQPGMAPMASSQPSLSNSTPSLGLPSMSPSATSQPSLPANIPSFSNSTPSLAQPGMAPMASSQPSLSNSTPSLGLPSVSPSPTSQPSLPINNPSFSNSTPSLTQPGMAPMASSQPSLSNSTPSLGLPSMSPSATSQPSLPTNIPSFSNSIPSLAQPGMAPMASSQPSLSNSTPSLGLPSMSPSATSQPSLPTNIPSFSNSTPSLAQPGMPPMSSSQPSLPNSTPSLTQPGMAPMASSQPSLSNSTPSLGLPSMSPSATSQPSLPTNSPSFSNSTSSLTQPGMAPIASSQPSSPDKIPSLALPSNSPSNTTQPSLPNNINPSLSNSTPSLTQPAISPSAHQASPQSSMTPTASPNHTSPSNIAPKASSQPSLPNTTPSSTQSAVAPSPTAHPSSSNTTSGLKQPAMAPPRTSETPLRGASLPPLSGMNPTTPTNASTTLPSIPTKISFPFLPPPSTKTRP >EOY04198 pep chromosome:Theobroma_cacao_20110822:4:22551786:22552679:1 gene:TCM_019456 transcript:EOY04198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWFFFISFKQMMKLLLECKVDKNAINQSGLTTMDISQEQSQVDNKECIEILRRVIGLNASSIPPAPSLQDKLRSKLTFHEKLLGEAFREIFDISVERSNALLVISVLILTATYQATLSPPGGLGQRGSGGNNGSMGAGPAHLLGKSSCLLE >EOY06750 pep chromosome:Theobroma_cacao_20110822:4:32828549:32830225:1 gene:TCM_021384 transcript:EOY06750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 23, putative MALSVHSMSQNGSCEVEEIDVFRQFDVVSDDSDHYFLNSKSKTKSAQPDPIANASSGVHKKIMQEWRILEKSLPESIIVRVYEKRLDLLRAAIVGAAGTPYHDGLFFFDLAFPPDYPTKPPLVHYRSFGLRINPNLYANGRVCLSLINTWSGRKSEKWNPKESTVLQVLVSIQALVLNEKPYFNEPGHGIWPGKTIWEKKSAAYNEDVFVMSCKKMLFLMRKPPKNFEGFVVGHFRERGSSILLACKSYANGSVKVGYFKNDGPAGTSSADFPSGSFDVSERFKGYLKQLYPELAAAFLKAGASLGNSIEQLNVEEKKKKKKPKSSKKQVTETRARKTGGVARRLLGKLKKVFGQKLGGTGSINVIKK >EOY06640 pep chromosome:Theobroma_cacao_20110822:4:32522315:32525489:1 gene:TCM_021300 transcript:EOY06640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sensor histidine kinase, putative isoform 2 LRTCLYEVGSTSWPHSRLRIFAVMSADDGKHVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKRKNEWKDFEQSGSVEEGDRQPKQSEEADYSSSANEGNWKSSKKRKDDDDEAEERDDTSTLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQSNLNNSFMSPQEATFGPLSPLNGLDLQTLAATGQLPAQSLATFQAAGLGRSTAKSGIAMPLVDQRNIFSFENPKLRFGEGQQQHMNNNKQLNLLHGIPTTMEPKQLASLHHSAQSIGNINMQVTSHGVQGSQNNSLLIQMAQPQPRGQILNDSTGSHAPRLPSTLGQPILSNGIAANVSTRNGIPENIRGPGYNPVSQTSSLLNFPMNHTSELPGNSFPLGTTPGISSLTSKGAFQEDINSDVKGSGGFMPSYDIFNDLNQHKPQNWELQNVGMTFDASQHSNSLQGNLDLAQSILVQQGFSSGQMNGQNRSAAVVSKAMFSAGDCTEQGNAQNVNHHLNNLLVDNTIRIKSERVADAGPANLFPDHFGQEDLMSALLKQ >EOY06639 pep chromosome:Theobroma_cacao_20110822:4:32521572:32526286:1 gene:TCM_021300 transcript:EOY06639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sensor histidine kinase, putative isoform 2 MNSSSGKGSMSAASSSAAWKAGDVVPDQFPAGLRVLVVDDDPTCLMILEKMLRTCLYEVTKCNRAETALSLLRENKNGFDIVISDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKHVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKRKNEWKDFEQSGSVEEGDRQPKQSEEADYSSSANEGNWKSSKKRKDDDDEAEERDDTSTLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQSNLNNSFMSPQEATFGPLSPLNGLDLQTLAATGQLPAQSLATFQAAGLGRSTAKSGIAMPLVDQRNIFSFENPKLRFGEGQQQHMNNNKQLNLLHGIPTTMEPKQLASLHHSAQSIGNINMQVTSHGVQGSQNNSLLIQMAQPQPRGQILNDSTGSHAPRLPSTLGQPILSNGIAANVSTRNGIPENIRGPGYNPVSQTSSLLNFPMNHTSELPGNSFPLGTTPGISSLTSKGAFQEDINSDVKGSGGFMPSYDIFNDLNQHKPQNWELQNVGMTFDASQHSNSLQGNLDLAQSILVQQGFSSGQMNGQNRSAAVVSKAMFSAGDCTEQGNAQNVNHHLNNLLVDNTIRIKSERVADAGPANLFPDHFGQEDLMSALLKQQDGIAPAENEFDFDGYSMDNIPV >EOY05560 pep chromosome:Theobroma_cacao_20110822:4:29008482:29009258:-1 gene:TCM_020534 transcript:EOY05560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTSKGRNVQVALLGCWSCIAIAMVENIILLENARPGQYEDASLQPQLGLLSCYELLIEKLDLLCRMGKKPGEGSFRRKCVCCRNKAPG >EOY03722 pep chromosome:Theobroma_cacao_20110822:4:18391852:18392817:1 gene:TCM_018842 transcript:EOY03722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein MRDLSIIIATLSLFFSLQHVAICAQIYTPPDNIALDCGSSTSGNSAVPGGRKWTGDKDSKVALIEESSSKSVFATALSQSSSILRVPYLTARISISQFTYVFPVTAGQKFIRLHFYPAQYQGFDRSKNFFSVKVGSYSLLNNFSASLTAQYLGEA >EOY05798 pep chromosome:Theobroma_cacao_20110822:4:29824217:29827517:-1 gene:TCM_020708 transcript:EOY05798 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein, putative MVGVWLICLKIKGKVELGAGFSWTSNFWYSFSNFDRLEYLEVVYSSRLVSRLGLGCAGLSGIYNAPVSHEDGCSVIKEAFNRGITFFDTADVNGEKHDNEIMVGKGRRLVEVKGTPEHVRACCEASLKRLDVEYIDLYYQHRVDTSVPIEETMGELKKLVEEGKIKYIGLSEASVDTIKRAHTVHPITALQMEYSLWTREIEDEIIPLCRELGIGILAYSPLGKGFFGGKVVVETLANGSQLAIHPRFTGENLEKNKLLYTRLSNLAIKHACTVPQLALAWLLHRGDDIIPIPGTTKVKNIIDNIGTLALKLRQEDSKEICDAVPLDEVSGENDLGVLSKYAWKFANTPSK >EOY04999 pep chromosome:Theobroma_cacao_20110822:4:26769148:26771536:-1 gene:TCM_020122 transcript:EOY04999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHLLTGKVLEGIRKIRSAEDAPVDTMGCEKAGSVLPPKRFGGYLSKADENGVGWNVLSGVTSWLLWKWRTVRIFESKTVVIEGRLSSIYSKILSIKNVVMTETSGRISTRPREEILIGWTEFSSGWMALNSDGACRKTTEQVAIRGVLRDSNGQWRGGYAMKL >EOY03708 pep chromosome:Theobroma_cacao_20110822:4:18230541:18234094:-1 gene:TCM_018815 transcript:EOY03708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein, putative isoform 1 MQDNLVQVNESQASFVGTLETGKDTAEICSEVRLAIEEKTKFRKRMEQQQQFDSSESSSQSQTDKLPEEVGLTNTDQMDGISTLTISEQENSGERIGSYDTKERKDVSLAIITPPVSQLRNKLLVLDLNGLLADIVYQPPKTFKADAYIGGRAIFKRPFCDDFLRFCFQRFEVGIWSSRLRKNVARFLNYLMEDMKHKLLFCWDSSHCTATQFNTPGYKYKPLVFKEMRKIWEKHDPDLPWEKGYYNESNTLLIDDSPYKALLNPPHTAIFPYSFKFDSNDNSLGAEGDLRAYLERLALSDNVQNFIEQNPFGQIAITERSNDWSFYSQVINICARPELQSNVSLPA >EOY03707 pep chromosome:Theobroma_cacao_20110822:4:18230685:18234189:-1 gene:TCM_018815 transcript:EOY03707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein, putative isoform 1 MQDNLVQVNESQASFVGTLETGKDTAEICSEVRLAIEEKTKFRKRMEQQQQFDSSESSSQSQTDKLPEEVGLTNTDQMDGISTLTISEQENSGERIGSYDTKERKDVSLAIITPPVSQLRNKLLVLDLNGLLADIVYQPPKTFKADAYIGGRAIFKRPFCDDFLRFCFQRFEVGIWSSRLRKNVARFLNYLMEDMKHKLLFCWDSSHCTATQFNTPGYKYKPLVFKEMRKIWEKHDPDLPWEKGYYNESNTLLIDDSPYKALLNPPHTAIFPYSFKFDSNDNSLGAEGDLRAYLERLALSDNVQNFIEQNPFGQIAITERSNDWSFYSQVINICARPELQSNVSLPA >EOY03709 pep chromosome:Theobroma_cacao_20110822:4:18230685:18234189:-1 gene:TCM_018815 transcript:EOY03709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein, putative isoform 1 MQDNLVQVNESQASFVGTLETGKDTAEICSEVRLAIEEKTKFRKRMEQQQQFDSSESSSQSQTDKLPEEVGLTNTDQMDGISTLTISEQENSGERIGSYDTKERKDVSLAIITPPVSQLRNKLLVLDLNGLLADIVYQPPKTFKADAYIGGRRFEVGIWSSRLRKNVARFLNYLMEDMKHKLLFCWDSSHCTATQFNTPGYKYKPLVFKEMRKIWEKHDPDLPWEKGYYNESNTLLIDDSPYKALLNPPHTAIFPYSFKFDSNDNSLGAEGDLRAYLERLALSDNVQNFIEQNPFGQIAITERSNDWSFYSQVINICARPELQSNVSLPA >EOY03706 pep chromosome:Theobroma_cacao_20110822:4:18230999:18233324:-1 gene:TCM_018815 transcript:EOY03706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein, putative isoform 1 MQDNLVQVNESQASFVGTLETGKDTAEICSEVRLAIEEKTKFRKRMEQQQQFDSSESSSQSQTDKLPEEVGLTNTDQMDGISTLTISEQENSGERIGSYDTKERKDVSLAIITPPVSQLRNKLLVLDLNGLLADIVYQPPKTFKADAYIGGRAIFKRPFCDDFLRFCFQRFEVGIWSSRLRKNVARFLNYLMEDMKHKLLFCWDSSHCTATQFNTPGYKYKPLVFKEMRKIWEKHDPDLPWEKGYYNESNTLLIDDSPYKALLNPPHTAIFPYSFKFDSNDNSLGAEGDLRAYLERLALSDNVQNFIEQNPFGQIAITERSNDWSFYSQVINICARPELQSNVSLPA >EOY03710 pep chromosome:Theobroma_cacao_20110822:4:18230681:18234189:-1 gene:TCM_018815 transcript:EOY03710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein, putative isoform 1 MQDNLVQVNESQASFVGTLETGKDTAEICSEVRLAIEEKTKFRKRMEQQQQFDSSESSSQSQTDKLPEEVGLTNTDQMDGISTLTISEQENSGERIGSYDTKERKDVSLAIITPPVSQLRNKLLVLDLNGLLADIVYQPPKTFKADAYIGGRRFEVGIWSSRLRKNVARFLNYLMEDMKHKLLFCWDSSHCTATQFNTPGYKYKPLVFKEMRKIWEKHDPDLPWEKGYYNESNTLLIDDSPYKALLNPPHTAIFPYSFKFDSNDNSLGAEGDLRAYLERLALSDNVQNFIEQNPFGQIAITERSNDWSFYSQVINICARPELQSNVSLPA >EOY03839 pep chromosome:Theobroma_cacao_20110822:4:19754119:19763967:-1 gene:TCM_019031 transcript:EOY03839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIHRFCFEALNKSLQDLLTDNCLETENKAFSGKIMLLGGDFRQILPIVEVGTRSDIVNASINQSLLWKFCCIYKLKTNMRLLRSNFDKHTIFEISSCAQWLLDIENGNIPASNYGDEDEPCWIQIPDDLLLPSIENPIETIVSVVYNQLQEKFADLDYLKQRSIITPYNENVDLINSNVLNLIPGILKSYFSINQISKSADHSMEHEVLYLVEFLNSLNFLGIPNHQLDLKNTKFQLTANIIDVQAPNGWYYNAYNQCAAGLRFCADKFWCPVHDEKTPVLTMILGLTVEDLTGKIQLLAFGQQAEKLIGATVGELAIIKTIDKMVLPPPIKALINTRKTFKVGLTGKAIEVGLTIFKIFDSTNPIESSTRTFKMHKGTTSVNEQPTCSQPSLLTI >EOY06306 pep chromosome:Theobroma_cacao_20110822:4:31547099:31553590:1 gene:TCM_021079 transcript:EOY06306 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein, putative isoform 2 MRGRKKAAINPSSSSKGGGGVVGHEPTANPCNFFQLPRRTIFDIFSRLPINTLFHCRCVCKRFLCFISDPEFARLHLSRSPLCILIKTMPLQNASKRLQLAQVEADGANCQVMKMNFTPKSNVPTCDISHMNACNGLLCLVGAEKDSSFYVCNPILGEFITIQPPYKGRHRGTFWGLGYSAVTNQYKVLQSYYPAIESAERHVVAEIYTIGTGSWRSIGNAPTDTVALPFNAFLNGTLHWFPCTPNGSEFIHSFDFETEQFGTLPPPDHFREEDKKFTNYARIGVLGGCLFIIYFTNSIRFDIWVMKEYGVKESWTKQFVIENLYPKQGSWDFYEPMVVLNNGEILMLYNNDAVVCYNQKRRNLRGTKFFRTRSQFDAIAYIPCFISLYNVAKGEQISRIQGTREYEKLCTEEFQVCAGCGAPLINPGYSIPAFGAPCGAVNYPEEKQWEGWQHLLLTRSSVKVT >EOY06305 pep chromosome:Theobroma_cacao_20110822:4:31545617:31551623:1 gene:TCM_021079 transcript:EOY06305 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein, putative isoform 2 MRGRKKAAINPSSSSKGGGGVVGHEPTANPCNFFQLPRRTIFDIFSRLPINTLFHCRCVCKRFLCFISDPEFARLHLSRSPLCILIKTMPLQNASKRLQLAQVEADGANCQVMKMNFTPKSNVPTCDISHMNACNGLLCLVGAEKDSSFYVCNPILGEFITIQPPYKGRHRGTFWGLGYSAVTNQYKVLQSYYPAIESAERHVVAEIYTIGTGSWRSIGNAPTDTVALPFNAFLNGTLHWFPCTPNGSEFIHSFDFETEQFGTLPPPDHFREEDKKFTNYARIGVLGGCLFIIYFTNSIRFDIWVMKEYGVKESWTKQFVIENLYPKQGSWDFYEPMVVLNNGEILMLYNNDAVVCYNQKRRNLRGTKFFRTRSQFDAIAYIPCFISLYNVAKGEQISRIQGTREYEKLCTEEFQVCAGCGAPLINPGYSIPAFGAPCGAVNYPEDLCARNSEIICTACDKHQAAPLKSFLTLGSL >EOY06014 pep chromosome:Theobroma_cacao_20110822:4:30606131:30609111:-1 gene:TCM_020867 transcript:EOY06014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein beta isoform 1 MKIMVAVKRVVDYAVKIRVKPDKSGVETQNVKMSMNPFCEIALEEALRIKEAGLAKEVVAVSMGLANCVDTLRTSLAMGADRGIHVEAARELIPLTVAKILKKLVEVENPGLLILGKQAIDDDCNQTGQMIAGLLGWPQGTFASKVVLDKEKQVVTVDREVDGGLETLCLDLPAVITTDLRLNQPRYATLPNIMKAKSKPIKKYTPEELNVEIKSDLEVVQVTEPPKRKAGVILSSVDELIDKLRNEAHVI >EOY06015 pep chromosome:Theobroma_cacao_20110822:4:30606291:30609184:-1 gene:TCM_020867 transcript:EOY06015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein beta isoform 1 MKIMVAVKRVVDYAVKIRVKPDKSGVETQNVKMSMNPFCEIALEEALRIKEAGLAKEVVAVSMGLANCVDTLRTSLAMGADRGIHVEAARELIPLTVAKILKKLVEVENPGLLILGKQAIDDDCNQTGQMIAGLLGWPQGTFASKVVLDKEKQVVTVDREVDGGLETLCLDLPAVITTDLRLNQPRYATLPNIMKAKSKPIKKYTPEELNVEIKSDLEVVQVTEPPKRKAGVILSSVDELIDKLRNEAHVI >EOY04791 pep chromosome:Theobroma_cacao_20110822:4:25948142:25950160:1 gene:TCM_019971 transcript:EOY04791 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MAVLPDTLKVLEDTYVFPPPGSVPTTSLPLTFFDIHWLGSSPMQRLLFYDFPYPTFYFTQSTLPNLKRSLSLTLQHFFPLAGNLVFPPPPQKPYILYKEGDSVPFIAKESTADFSHLIGDHARHVQEFQALLPKLKPASTYSHSTTSTACMQKPLMAIQVTLFPKAGICVGATFNHVAADGKAFTHFMKSWASAHRSQGDLMTCLNKSLPDYNKDWIKDPLGLASIFMKDKWNWEDLDSIPYDKFRVTFVIKRSQVELLKNWVTRKCMEENGSETLRTSTFVVTCAYMWVCLVQLRESGTHDLSSTDSYNMLCHFIFLADCRDRLKLPATYFGNCLEPRFAAAKKSELLGGKGILLAAKAIGREVMELEKGALREAEKWLSRAEEIFKFGRHVCIAASPKLRVYETDFGWGRPIKTEVAHIGSFGSISMAESREEEGGVEFGLALAQDELDSFNAVFEQGLLKLQ >EOY02711 pep chromosome:Theobroma_cacao_20110822:4:1872229:1876143:-1 gene:TCM_017105 transcript:EOY02711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component domain protein MLGSLSNKIEACTAGPVTKEQASTFALIIARFISSCVADQIRLAPDKFISVCKRFKDQVLLLEEPLHGVAPMLTAIRKLQSSTEHLTTLHPEFLLLCLLAKCYRTGLSILEEDIFEVDQPRDLFLYCYYGGMICVGQKRFQKALELLHNVVTAPMSTINAIAVEAYKKYILVSLILHGQFSTSLPKYASSVAQRNLKNLCQPYVELANSYNNGKVADLETYVKANEEKFQSDNNLGLVKQVISSMYKRNIQRLTQTYLTLSLHDIANTVQLNSPKEAEMHVLQMIQDGEIYATINQKDGMVRFLEDPEQYKTCEMIERIDSSIQRLMTLSKKLTVMDELMSCDPLYLAKAGRERQRLDFDDFDTVPQKY >EOY06909 pep chromosome:Theobroma_cacao_20110822:4:33340382:33342396:-1 gene:TCM_021491 transcript:EOY06909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fcf2 pre-rRNA processing protein MAESKAVIGLTWEPKLPGFSFQSKTTSGSDSKSQNEPQSSALWKPNQDLVDGLFLPPSDPSKLNKLLRKQVKDTAGTAWFDMPAPTLTPELKKDLQLLKLRGAIDPKRHYKKDSKSKALPKYFQVGTVVESVTDYYSGRMTKKERKTTLADELLSDPAVKQYRKRKIREIEERNRPAGNEKWKIKGRQTFKRAKQRRH >EOY05500 pep chromosome:Theobroma_cacao_20110822:4:28784179:28789859:-1 gene:TCM_020486 transcript:EOY05500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 16 isoform 3 MQPDQRRKSAVDVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAEAIARVRNEKARRYLSSMRKKKPIPFSHKFPNADPLALRLLERMLAFEPKDRPTAEEALADPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEYLEGSEPTGFMYPSAVDHFKKQFAFLEEHYGNGTTAAPLERQHASLPRCNG >EOY05499 pep chromosome:Theobroma_cacao_20110822:4:28784180:28788845:-1 gene:TCM_020486 transcript:EOY05499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 16 isoform 3 MLEAPLMVSAVDVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAEAIARVRNEKARRYLSSMRKKKPIPFSHKFPNADPLALRLLERMLAFEPKDRPTAEEALADPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEYLEGSEPTGFMYPSAVDHFKKQFAFLEEHYGNGTTAAPLERQHASLPRPCVLYSDNSVQNSTDVTDNLSKCSIKETEKPHTERSCGIPMSRLPLQVPQSIQAGAARPGK >EOY05498 pep chromosome:Theobroma_cacao_20110822:4:28783304:28789517:-1 gene:TCM_020486 transcript:EOY05498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 16 isoform 3 MQPDQRRKSAVDVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAEAIARVRNEKARRYLSSMRKKKPIPFSHKFPNADPLALRLLERMLAFEPKDRPTAEEALADPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEYLEGSEPTGFMYPSAVDHFKKQFAFLEEHYGNGTTAAPLERQHASLPRPCVLYSDNSVQNSTDVTDNLSKCSIKETEKPHTERSCGIPMSRLPLQVPQSIQAGAARPGKVVGSVLRYNNCGAAAVAETLEPRRTVRNPTVPTQYTATNCSYPRRNPVCKNDRGEDEVVEGSNGLQPKPQYMARKVAAAQGGSGSQWY >EOY06642 pep chromosome:Theobroma_cacao_20110822:4:32530611:32531831:1 gene:TCM_021302 transcript:EOY06642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVSPRRKSIGFQALNSEMDMDSLFCSIHFLWFVTRNCLIHDQRAKPKPILASVKGSLSSQESVETKTRFHGSFPVSLATLFPQEFERVEHDQNQEPVITNKAKNIINKSLIQPGVAATSFLGHRAPQTVSPVHHL >EOY02818 pep chromosome:Theobroma_cacao_20110822:4:2380440:2384563:1 gene:TCM_017215 transcript:EOY02818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 6 MPKMQSIDISSNRNLTGRLPEFPLISILQVLSLYGTNFSGKLPESLDNLKFLTHLDLYGCNFFGQVPSAIANLTNLVYLDLRHNYLRGSIPKSIFKLPRLEELYIGYNNFDSLKLDMFSQLKNLRGLDLSNMSLSFNAIDFPKQFPRGDANSSIPMLRWLLLQSCNISTFPEILKSQENLEYLDLSNNKISGAVPNWVWKKSLQYLSLSNNHLSSLDQFLSNQSLTSSQGSSPRPICNLSQLWYFNASYNNLSGSIPNCLGNISTLYSLDLQGNNFTGFLPNFVKAANLRILKVNENRLEGKLPRSLAKCTYLQVLDVGNNMMHDTFPFWLEKLPALKVLVLRENRFYGQIKRFKRKLFFPALDVLDIASNEFSGELSIDSLQATQLRSLKIGENKLEGKLPRSLAYCTKLEVLDLGKNMIHDKFPFWLEKLPSLKVLVLRANKFYGTIQVSVAENAFPMLRILDLASNNFSGELSVEFLQCLRAMMVRTDGNKAKLDYIGEENYQDSVTIVNKGLEMVLEKILTIFTCLDLSNNSFHGRIPEEIQNLGSLIVLNLSHNSFSGQIPPALENLKELESLDLSQNKLSGKIPPQLTSLTFLSALDLSYNNLEGSIPQSNQFNTFSNDSYRGNPRLYGPPLTRKCNEVGVPPSIPGEDVDSLVDGISDWKIVLIGYGCGMVIGLCIGYTVLNEMGNKWHDNCKRNGKRNGRRSR >EOY06165 pep chromosome:Theobroma_cacao_20110822:4:31151215:31166537:1 gene:TCM_020978 transcript:EOY06165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caleosin-related family protein, putative MEKAAIPTQAPRAPVTGERKIRDDLEEKLPKPYLARALEAPDVEHPNGTAGRENNGMSVLQQHVAFFDQDNNGIIYPWETYRGIRDLGFNPIISFFIAILINLSLSYATLPIKTLTAMKETEPGKAKNNGEGRYSNSGTQCTGERKIRDDLEENLPKPYLARALEAPDVEHPNGTSEHENNGMSVLQQHVAFFDQDNNGIVYPWETYRGMRDIGFNPFSSFFIAILINLTLSHRTLPGWLPNLLFPIYIDNIHKAKHGSDSATYDTEGRFMPVNLENIFSKYARTEPDKLSFEELWRMTEANRLAFDFAGWVISKGEWLLLYRLAKDQNGFLHKEAVRRCFDGSLFEYCAKMNKGGDRKRRAAD >EOY06787 pep chromosome:Theobroma_cacao_20110822:4:32950498:32954104:-1 gene:TCM_021412 transcript:EOY06787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) polymerase, putative isoform 1 MGLTPLGFMVQSRTSMLYALVLTLPPWHMLKSRPEVSEIHCVQDAKVPLMRFKFDGISMDLPYTRLKVLAVTENVDIFNPFFLSDIGETGWKSLSGVRANKRICQLVPNLEKFQSMLRCVKLWAKRRGVYGNLNGFLGGVHLAILAAFVCQCDPNASLSALISNFFKTFALWPWPTPVVLRDGMSQHTINPTETQLFMPIRLPCNPYEYCRCNITKNTFYKIRAELLRGHNLTKDLLKSDFDNILEPFPYTQTYTRFVKIFVSASKQDELGDWVGWIKSRFRCLILKLEEVQGLCDPNPAEYIDLDVAEPNVVFYWGLQPGKTNVIDIESLREDFWRNISNGYQGLLRRMELSIVQASQLAKYAQFDTLSRKGTKASWKMSDCNQQRIPIYSQHLPHYVVGYLSTNGDSEYRSAGG >EOY06786 pep chromosome:Theobroma_cacao_20110822:4:32949221:32954104:-1 gene:TCM_021412 transcript:EOY06786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) polymerase, putative isoform 1 MEDERSRSILQLMVKEGLVPSPEEEEKRKNVVEQLKKIVMAWVKRVAWQRLLPRQDIAATSATVLTYGSYALGVHGPESDIDALCIGPYFATMAEDFFVVLYSMLKSRPEVSEIHCVQDAKVPLMRFKFDGISMDLPYTRLKVLAVTENVDIFNPFFLSDIGETGWKSLSGVRANKRICQLVPNLEKFQSMLRCVKLWAKRRGVYGNLNGFLGGVHLAILAAFVCQCDPNASLSALISNFFKTFALWPWPTPVVLRDGMSQHTINPTETQLFMPIRLPCNPYEYCRCNITKNTFYKIRAELLRGHNLTKDLLKSDFDNILEPFPYTQTYTRFVKIFVSASKQDELGDWVGWIKSRFRCLILKLEEVQGLCDPNPAEYIDLDVAEPNVVFYWGLQPGKTNVIDIESLREDFWRNISNGYQGLLRRMELSIVQASQLAKYAQFDTLSRKGTKASWKMSDCNQQRIPIYSQHLPHYVVGYLSTNGDSEYRSAGDAPLKFKTQSMAAALDNELTQQTYNARCHKRKIMDNFITSIKCKFLASNPLLLISETEAAGVAKK >EOY06092 pep chromosome:Theobroma_cacao_20110822:4:30899541:30901075:1 gene:TCM_020926 transcript:EOY06092 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCTP pyrophosphatase 1 MGEEKENAEGRVVDISLKDLSKRLEEFARARDWEKYHSPRNLLLAMVGEVGELSEIFQWRGEVDKGLPNWQQSDKEHLGEELSDVLLYLIRLSDICGIDLGDAATKKLVKNAIKYPAKVL >EOY05457 pep chromosome:Theobroma_cacao_20110822:4:28620860:28623834:-1 gene:TCM_020453 transcript:EOY05457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tau class glutathione transferase GSTU43 MYTTNGIHLRQHVSYGDIAENLRNSGFGLKIPRCKNKSHLGHSRRVIWALKLKGVNYEYIEENLPNNKSHLLLQYNPVHKKIPVLVHGGKPIAESLVILVYIDETRTMWEFFHKFEEEQEKAMNNNLEILKTIEEHGLGDKKFFGGDKLGLADLVFGWVPRILVPMEEVAGIKFIKADTFPRLHAWMKNFSEEPVIKDKRDKEIGYGFEVKQHRVTGEKRRHFSHYKSSIINDVDSCVGINMAGFDQACVTIYSPFGRGKPTLHKNAWT >EOY02945 pep chromosome:Theobroma_cacao_20110822:4:3102341:3105378:-1 gene:TCM_017341 transcript:EOY02945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MRPYRPSIALKNVASLALSQPPNSLRHVETLVDARSIKTGFDRNTCLSNFMVESFLKQGNLWEARQLFDQMPNRNTVSTNMMISGYVKSGDLLRARQMFDAMFDRTAVTWTILIGGYSQKNQFREAFKLFAEMRRRDTEPDYVTFATLLSGCNDAGVDKEFIQVHACVVKLGYESSLMICNSLVDSYCKTNHLDLACRVFNEMPERDSVSINALITGFSKDGLYEDAISLFLEMQNFGYKPSDFTFAGVLSAGIGLNALAFGKQIHGLLVKTGFVWNVFVANALLDFYSKHDCLVEARRFFDEMPNLDGISYNVIITCYVWFGEHEEAVRLFRELQFTRFNQRQFPFATMLSIAANTLDLQMGQQIHSLAIVTTADSELLVGNSLVDMYAKCGRFEEAETIFRSLAHRSTVPWTALISGYVQKGFHEEGLNLFNEMHKAGVRADQATFASMLKASANLASLSLGKQLHSFVIRTGFMSNVFSGSALLDMYAKCGSIKDAIQLFRDMPERNIVSWNALISAYAQNGDGEATLDSFEKMVQSGFQPDSVSFLSVLSACSHCGLVQEGLRYFRSMTQSHKLVPKKEHYASMVDMFCRSGQFNEAEKLMAEMPFDPDEIMWSSVLNSCRIHKNEELARKAADQLFRMEVLRDAAAYVSMSNIYAAAGQWDNVGKMKKAMRERGIRKVPAYSWVEIRHKVHVFSANDMLHPQREEIRKKIDMLSEQMEKEGYKPDTGCALHDVDEKIKIESLKYHSERLAIAFALISTPEGSPILVMKNLRACTDCHAAIKVISKIVGREITVRDSSRFHHFKDGICSCGDYW >EOY05263 pep chromosome:Theobroma_cacao_20110822:4:27780938:27784760:1 gene:TCM_020303 transcript:EOY05263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYAIRQSIAKALVAFYQNYVDEQSKKKIKDISVWYDRTLVLEDPRPCEPKKFSVCGGIFGTLEDGLRFETMVLFCPDQIPSSWEVSCQITRDLE >EOY06190 pep chromosome:Theobroma_cacao_20110822:4:31266655:31267199:-1 gene:TCM_021005 transcript:EOY06190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGLMHGHDVEGARRVFEGKLGEGYFMNGTLEEGLKVFREMPGKDVISWNLVIEGLLNCEKFDLKRIEL >EOY02744 pep chromosome:Theobroma_cacao_20110822:4:2022836:2025472:1 gene:TCM_017138 transcript:EOY02744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGQSGRSDDTSVAINIESLLSSLQADLSNARLSMPPSYCIFKTPSILSRHRENSFLPNCFSIGPMHHGKENLAATGKIKIKYLKGLLSRVITFTSPGTMSKKASKIEHQKILTDCIAAVKSIEKEASDYYAGHDYAAELGDEFVKLLVLDACFIIELFRKDAAEITKEQDDPIFSMSCMLQFLHHDLILLENQIPWLVLETLFEKTKLPSETKSLVELALHFFANMFSSHPFRNKTSLFEGQDIKHILDLLRLSLVLPSEEIKNNPRSGWQPIHSVTRLKEAGVKFVKVAPDSILDIKFRDGSLEIPSLLIQETTETILRNLIAYEQCLPHCPPIFTCYAKLLDNLIDTTNDMDILCKREIFDNWLSPEDATQFFNKLYNDTYVKVFYYSKLCDDLNRHCKRGWPRWRAYYVQNYFTKPWAIAAQIYAVIMFVLTLWQTYIKKG >EOY05396 pep chromosome:Theobroma_cacao_20110822:4:28427721:28429093:-1 gene:TCM_020405 transcript:EOY05396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTRKLAAKLSKFLPAGAMGGSSTRAFVTAARPLQCKKSEESADSVKEAAESVKDAAESISKSVKTMSDKVSETADVVKEKAAELFSLGAAKIATEFVKKKNEMKKEGNKNKK >EOY06845 pep chromosome:Theobroma_cacao_20110822:4:33120420:33121025:1 gene:TCM_021447 transcript:EOY06845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKSVPEKKSMVVADVIPVMTKITKHKLNGSNYLDWSKTVRVYLRSIDKDDHITNDPHTDNTSQTWMKEDARLFLQIRNSINSEIISLINHCEFVTELMNYFDFFIFW >EOY03421 pep chromosome:Theobroma_cacao_20110822:4:15367062:15368458:-1 gene:TCM_018476 transcript:EOY03421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix (bHLH) DNA-binding family protein MSSRRSRQSASVSRISDDQIIELVSKLRQLLPEIRDRRSDKVSASKVLQETCNYIRSLHREVDDLSERLSQLLATIDSDSAEAAIIRSLIM >EOY05535 pep chromosome:Theobroma_cacao_20110822:4:28936455:28939192:1 gene:TCM_020515 transcript:EOY05535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSKEEFMKIQTCVLKVNIHCDGCKQKVKKILQKIDGVYKTSIDSEQGKVTVSGNVDPAVLIKKLSKSGKHAELWGAQKANKNQNDLTSQMKNMQLDNGKGGNNKGGQKGGNNNNNNNQPKGGQPTPQQLQQLQQYQLQQLQQMKGFQDLKLPQLKDMKMPPFNQNNNQKAVRFTEEDDFIDDDYDDLDDYDDLDDDEYDDDELDDPHHPLNKMKSMMGNGPKGMPNMMMLNGNHPQLMNALKGGQNGVVNAGGNGKKGGNGGGGGNAVPVQVLAGGGNNEGKNGNGGKKGGGGGGGGGGGGGGNNGGNQNQGGGGKNGGKNGGGGLPQEVKNGNNGGGGGANKNGGNNGGNGGKNGNNGAPNGINEGFPSMGGPNGNIGQMANMNLAMGQMGNLPMSQMGQMGNMPAVQGLPAAALNGGGGRGGGGGGGGYFQGAGPDVMPGNPYHQQQYLAAMMNQRAAAAAMGGNERFQPLMYARPPPAVNYMPPYPYPYPPPQPDPYTHFFSDENTSSCNVM >EOY05536 pep chromosome:Theobroma_cacao_20110822:4:28936423:28939456:1 gene:TCM_020515 transcript:EOY05536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSKEEFMKIQQTCVLKVNIHCDGCKQKVKKILQKIDGVYKTSIDSEQGKVTVSGNVDPAVLIKKLSKSGKHAELWGAQKANKNQNDLTSQMKNMQLDNGKGGNNKGGQKGGNNNNNNNQPKGGQPTPQQLQQLQQYQLQQLQQMKGFQDLKLPQLKDMKMPPFNQNNNQKAVRFTEEDDFIDDDYDDLDDYDDLDDDEYDDDELDDPHHPLNKMKSMMGNGPKGMPNMMMLNGNHPQLMNALKGGQNGVVNAGGNGKKGGNGGGGGNAVPVQVLAGGGNNEGKNGNGGKKGGGGGGGGGGGGGGNNGGNQNQGGGGKNGGKNGGGGLPQEVKNGNNGGGGGANKNGGNNGGNGGKNGNNGAPNGINEGFPSMGGPNGNIGQMANMNLAMGQMGNLPMSQMGQMGNMPAVQGLPAAALNGGGGRGGGGGGGGYFQGAGPDVMPGNPYHQQQYLAAMMNQRAAAAAMGGNERFQPLMYARPPPAVNYMPPYPYPYPPPQPDPYTHFFSDENTSSCNVM >EOY05537 pep chromosome:Theobroma_cacao_20110822:4:28937069:28938610:1 gene:TCM_020515 transcript:EOY05537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 ILQKIDGVYKTSIDSEQGKVTVSGNVDPAVLIKKLSKSGKHAELWGAQKANKNQNDLTSQMKNMQLDNGKGGNNKGGQKGGNNNNNNNQPKGGQPTPQQLQQLQQYQLQQLQQMKGFQDLKLPQLKDMKMPPFNQNNNQKAVRFTEEDDFIDDDYDDLDDYDDLDDDEYDDDELDDPHHPLNKMKSMMGNGPKGMPNMMMLNGNHPQLMNALKGGQNGVVNAGGNGKKGGNGGGGGNAVPVQVLAGGGNNEGKNGNGGKKGGGGGGGGGGGGGGNNGGNQNQGGGGKNGGKNGGGGLPQEVKNGNNGGGGGANKNGGNNGGNGGKNGNNGAPNGINEGFPSMGGPNGNIGQMANMNLAMGQMGNLPMSQMGQMGNMPAVQGLPAAALNGGGGRGGGGGGGGYFQGAGPDVMPGNPYHQQQYLAAMMNQLGHPQQSIT >EOY02717 pep chromosome:Theobroma_cacao_20110822:4:1899414:1905407:-1 gene:TCM_017109 transcript:EOY02717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 family protein MKALAGLRRINLEGLRWRVLDAKGQVLGRLASQISSVIQGKDKPTYALNRDDGGVCIVINAKDVCVTGRKLTKKFYHWHTGYVGNLKERSLKDQMAKDSTEVIRKAVLHMLPRNKLHDDRDRKLRIYAGSEHPFGDRPLEPFVMPPQQVREMRPRARTALIRAQKKAEEQEQGAEYSGKSANSSGQRHKKTIQLRTEDLETAVLLLQVILSDMLRR >EOY03974 pep chromosome:Theobroma_cacao_20110822:4:20993509:20999443:-1 gene:TCM_019207 transcript:EOY03974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 1 MRYPPHSFSLSLFSLFLRKFSLPLSKQSSFHFFSFLFSKTQPFFLVCLSFLSFGSGFLCSCFSYIEICFKAMGFYEETCTNSRTTKNGLTALYAATSTPPTDHCCDYNESKIKSLFAKMIWDFGFACILPGSQRKGLRKNQKNNGEKKGRNLEHNKAWLLAESGGGAELTSTEPQSVHSSFRFSFCSQVELEAMNANSLSSATVLMVNLDNGVSEERAKELKWRRIESLERSISPVANCLVRFSYGEIVSATRNFSKGRVLGRGALSFVFRGRVGLLKTAVAIKRLDKEDKESAKAFCRELMIASSLHHPNIVPLLGFCIDPEEGLFLVYKFVSGGSLERHLHDKKGVKGRPSTLPWSVRYKVALGIAEAVAYLHNGTERCVVHRDIKPSNILLSSKKTPKLCDFGLATWTSAPSIPFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYAFGVVLLELITGRKPIEARRPPGEENLVLWAKPLLHRGMAAVEELLDSRLKCTLKNLTEIARMIQAAAACISNEESRRPVIDEIIAILRGEEEPFYSIRKKSNFSGIIDCYPQLQHSKSEMKSHLALAMLGVSEFEDGDHLYCR >EOY03975 pep chromosome:Theobroma_cacao_20110822:4:20994071:20997130:-1 gene:TCM_019207 transcript:EOY03975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 1 MGFYEETCTNSRTTKNGLTALYAATSTPPTDHCCDYNESKIKSLFAKMIWDFGFACILPGSQRKGLRKNQKNNGEKKGRNLEHNKAWLLAESGGGAELTSTEPQSVHSSFRFSFCSQVELEAMNANSLSSATVLMVNLDNGVSEERAKELKWRRIESLERSISPVANCLVRFSYGEIVSATRNFSKGRVLGRGALSFVFRGRVGLLKTAVAIKRLDKEDKESAKAFCRELMIASSLHHPNIVPLLGFCIDPEEGLFLVYKFVSGGSLERHLHDKKGVKGRPSTLPWSVRYKVALGIAEAVAYLHNGTERCVVHRDIKPSNILLSSTKPLLHRGMAAVEELLDSRLKCTLKNLTEIARMIQAAAACISNEESRRPVIDEIIAILRGEEEPFYSIRKKSNFSGIIDCYPQLQHSKSEMKSHLALAMLGVSEFEDGDHLYCR >EOY03616 pep chromosome:Theobroma_cacao_20110822:4:17419394:17421419:-1 gene:TCM_018713 transcript:EOY03616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFEATDFVASVCAFPYLLVVQKLISCTYVQGITISSNGIPQVAIANPSSTLWANPKKLNLKGIETGRSARRKLQRLYNLRICNVVCNTETVFSHNLAGQKHAPRVKKHASAPGVAAAYCLNFKV >EOY03219 pep chromosome:Theobroma_cacao_20110822:4:8351846:8383515:-1 gene:TCM_017881 transcript:EOY03219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDIGCPAMCTMWWDAHELGEMGCPAMCTMWWDAHELSEMGCPTMCTMWWDAHELGGEHSSKSKETVLEDQETEYLEFDSQTTVADSVGIALGQGEVRKTNVKPCEVSIDIPGNECLSRCRDGCDGLNGSSGSLEGALVACAMSKRDDSPDALHSTSEGSLDSTAKRQWLPNPERLESSESLESEDSSDIQEAIRNFLLKRSEEWHREKMKEAITKGNIHPRKVSTVRHFPPGCGISVEPVSSEECRRIQRAWIEDKMRKYQEVEGDSSMCTDQGEMGCPAMCTMWWDAHELGEMGCPAMCTMWWDAHELGEIGCLALCTMWWDAHELGAQCKSRTGGYMGPYFIRNLPVLMMYKWIGNQCLVTYRFEGVPVARAMCRRDGSPDAPHGATEGSLDSTAMSQWCPDLEDNGRKLEIKRFLKDLIERIRTLEGKTIIFYKAWSKLPLKALTLLFVPHGQPSHVVKLSIRVRRLYPKTRIRSTSILNIPWQTRLDASDQGEVCKLILSLARFWLALRATNVYRDIEAIVTGSKGAPGHDRGWLKARTVALIPLVIPTCSALMPSIEITPSDATSQVGALI >EOY04372 pep chromosome:Theobroma_cacao_20110822:4:24071836:24079149:1 gene:TCM_019639 transcript:EOY04372 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MEASSTNLDAYRGGSTNSRRYGMLSASNIIQAPISALLEYSGLLRTSRSIHQEPDPLIPNQNLENSTPTLANNGEVAIRIIGAGDHESERDASGMVVGQLREVTSQSEVSLGLGTSDGQGGDTRSSDRGVATGEGVSQSSPNGSAGAADAEAGDGGGAGVNNSRDSSYQRYDIQQAARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKISVLIGIALAFTLHVIAVYWWYWNDDLLYPLVMLPPKSIPPFWHAIFIIMVNDTLVRQEAMVLKCFLLMYYKNSRGRNYRKQGQMLTLVEYMMLLYRALLPTPVWYRFFLNKDYGSLFSSLMTGLYLTFKLTSVVEKVQSFFAALKALSRKEVHYGAYATLEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSYGDGSTSLFFQIF >EOY05387 pep chromosome:Theobroma_cacao_20110822:4:28375873:28402351:-1 gene:TCM_020397 transcript:EOY05387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 23 isoform 2 MEDCKLCILVTFFLIITKVVVTGKDIPTTLEGPFDPVTIRFDPSLRQGSDDIPMDDPRLKKNVTSMFPEQIALALSTPTSMWVSWVTGDAQIGSNVTTLDPTSVASEVWYGKESGKYTSKKTGNATVYSQLYPFEGLLNYTSGIIHHVIIDGLEPGTKYYYKCGDSSLPAMSDEHVFETLLLPGPNSYPRRIALIGDLGLTSNSSTTIDHLTKNDPSLILMVGDLTYANQYLTTGGKGVPCFSCAFPDAPIRETYQPRWDGWGRFMKPLISRVPMMVIEGNHEIEPQVAGITFKSYLTRFAVPAEESGSKTNFYYSFDAGGVHFIMLGAYVDYNSTGAQYAWLKEELHKVDRMVTPWLVAAMHPPWYNSYSSHYQEFECMRLEMEELLYQYGVDIIFSGHVHAYERMNRVYNYTLHSCGPVYITVGDGGNIEKVDVDHADDPGKCPSEGDNIPEFGGVCHLNFSSGPAKGKFCWDRQPEWSAFRESSFGHGILEVLNSTYALWTWHRNQDIYKEESSGDQIYIVRQPELCSPSTSLKGSNETQQGAQVKESAAVSAKWAWFLPLFFIVIIAFC >EOY05388 pep chromosome:Theobroma_cacao_20110822:4:28377259:28381366:-1 gene:TCM_020397 transcript:EOY05388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 23 isoform 2 MEDCKLCILVTFFLIITKVVVTGKDIPTTLEGPFDPVTIRFDPSLRQGSDDIPMDDPRLKKNVTSMFPEQIALALSTPTSMWVSWVTGDAQIGSNVTTLDPTSVASEVWYGKESGKYTSKKTGNATVYSQLYPFEGLLNYTSGIIHHVIIDGLEPGTKYYYKCGDSSLPAMSDEHVFETLLLPGPNSYPRRIALIGDLGLTSNSSTTIDHLTKNDPSLILMVGDLTYANQYLTTGGKGVPCFSCAFPDAPIRETYQPRWDGWGRFMKPLISRVPMMVIEGNHEIEPQVAGITFKSYLTRFAVPAEESGSKTNFYYSFDAGGVHFIMLGAYVDYNSTGAQYAWLKEELHKVDRMVTPWLVAAMHPPWYNSYSSHYQEFECMRLEMEELLYQYGVDIIFSGHVHAYERMNRVYNYTLHSCGPVYITVGDGGNIEKVDVDHADDPGKCPSEGDNIPEFGGVCHLNFSSGPAKGKFCWDRQPEWSAFRESSFGHGILEKSGYL >EOY03967 pep chromosome:Theobroma_cacao_20110822:4:20952517:20957213:-1 gene:TCM_019200 transcript:EOY03967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHCELKALEDNTAWSVVPLPFNSHSIGRKWVFKVKMNVDGTMERYKARLVAKGYNQIEGFDYQETFSLVSKQSRIKGKYLLGFKMVCKLHKSFYGLKQASR >EOY02773 pep chromosome:Theobroma_cacao_20110822:4:2226128:2230004:-1 gene:TCM_017177 transcript:EOY02773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel B isoform 1 MFGATTLIVKIVGSIGAVSAGLDLGKEGPLVHIGSCISSLLAQGGPDNHRLKWRWLRYFNNDRDRRDIITCGASSGVCAAFRAPVGGVLFSLEEVATWWRSSLLWRTFFSTAVVVVVLRAFIEICNSGKCGLFGTGGLIMFDVSDVTVSYHPMDVIPVIIIGIIGGLLGSLYNHVLHKVLRLYNLINQKGKMHKVLLALAVSLFTSVCQYCLPFLAQCRPCDPSFQDTCPTNDRSGNFKQFNCPDGYYNDLATLLLTTNDDAVRNIFSSNTSSEFQVSSILFFFVLYCILGLITFGIAVPSGLFLPIILMGSGYGRLLGMVMGSYTNLDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYEIILELKGLPFLDANPEPWMRNLTVGDLADAKPPVVTLCGVEKVSRIVDVLKNTTHNAFPIVDQGVLVPGTISTGATELHGLILRAHLVQALKKKWFMPEKRRTEEWEVREKFNWVDLAERELKIEQVAVTRDEMEMYADLHPLTNTTPYTVVESMSVAKAMVLFRQVGLRHLLIVPKYQGAGVTPVVGILTRQDLRAYNILTAFPHLARCKGEEKDC >EOY02774 pep chromosome:Theobroma_cacao_20110822:4:2226788:2230009:-1 gene:TCM_017177 transcript:EOY02774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel B isoform 1 MEEDSTQLAKPETPHNLEAEADEEERDPESNSLGEPLLKRNRTLSSSPLALVGAKVSHIESLDYEINENDIFKHDWRSRSKAQVLQYIFLKWTLAFLVGLLTGLIATLINLAIENIAGYKLLAIVGFIEEGRYLSGLVFFTGVNFSLTLAAALLCVCFAPTAAGPGIPEIKAYLNGVDTPNMFGATTLIVKIVGSIGAVSAGLDLGKEGPLVHIGSCISSLLAQGGPDNHRLKWRWLRYFNNDRDRRDIITCGASSGVCAAFRAPVGGVLFSLEEVATWWRSSLLWRTFFSTAVVVVVLRAFIEICNSGKCGLFGTGGLIMFDVSDVTVSYHPMDVIPVIIIGIIGGLLGSLYNHVLHKVLRLYNLINQKGKMHKVLLALAVSLFTSVCQYCLPFLAQCRPCDPSFQDTCPTNDRSGNFKQFNCPDGYYNDLATLLLTTNDDAVRNIFSSNTSSEFQVSSILFFFVLYCILGLITFGIAVPSGLFLPIILMGSGYGRLLGMVMGSYTNLDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYEIILELKGLPFLDANPEPWMRNLTVGDLADAKPPVVTLCGVEKVSRIVDVLKNTTHNAFPIVDQGVLVPGTISTGATELHGLILRAHLVQALKKKWFMPEKRRTEEWEVREKFNWVDLAERELKIEQVAVTRDEMEMYADLHPLTNTTPYTVVESMSVAKAMVLFRQVGLRHLLIVPKYQGAGVTPVVGILTRQDLRAYNILTAFPHLARCKGEEKDC >EOY02775 pep chromosome:Theobroma_cacao_20110822:4:2225625:2229522:-1 gene:TCM_017177 transcript:EOY02775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel B isoform 1 MFGATTLIVKIVGSIGAVSAGLDLGKEGPLVHIGSCISSLLAQGGPDNHRLKWRWLRYFNNDRDRRDIITCGASSGVCAAFRAPVGGVLFSLEEVATWWRSSLLWRTFFSTAVVVVVLRAFIEICNSGKCGLFGTGGLIMFDVSDVTVSYHPMDVIPVIIIGIIGGLLGSLYNHVLHKVLRLYNLINQKGKMHKVLLALAVSLFTSVCQYCLPFLAQCRPCDPSFQDTCPTNDRSGNFKQFNCPDGYYNDLATLLLTTNDDAVRNIFSSNTSSEFQVSSILFFFVLYCILGLITFGIAVPSGLFLPIILMGSGYGRLLGMVMGSYTNLDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYEIILELKGLPFLDANPEPWMRNLTVGDLADAKPPVVTLCGVEKVSRIVDVLKNTTHNAFPIVDQGVLVPGTISTGATELHGLILRAHLVQALKKKWFMPEKRRTEEWEVREKFNWVDLAERELKIEQVAVTRDEMEMYADLHPLTNTTPYTVVESMSVAKAMVLFRQVGLRHLLIVPKYQGAGVTPVVGILTRQDLRAYNILTAFPHLARCKGEEKDC >EOY02776 pep chromosome:Theobroma_cacao_20110822:4:2225625:2230173:-1 gene:TCM_017177 transcript:EOY02776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel B isoform 1 EDSTQLAKPETPHNLEAEADEEERDPESNSLGEPLLKRNRTLSSSPLALVGAKVSHIESLDYEINENDIFKHDWRSRSKAQVLQYIFLKWTLAFLVGLLTGLIATLINLAIENIAGYKLLAIVGFIEEGRYLSGLVFFTGVNFSLTLAAALLCVCFAPTAAGPGIPEIKAYLNGVDTPNMFGATTLIVKIVGSIGAVSAGLDLGKEGPLVHIGSCISSLLAQGGPDNHRLKWRWLRYFNNDRDRRDIITCGASSGVCAAFRAPVGGVLFSLEEVATWWRSSLLWRTFFSTAVVVVVLRAFIEICNSGKCGLFGTGGLIMFDVSDVTVSYHPMDVIPVIIIGIIGGLLGSLYNHVLHKVLRLYNLINQKGKMHKVLLALAVSLFTSVCQYCLPFLAQCRPCDPSFQDTCPTNDRSGNFKQFNCPDGYYNDLATLLLTTNDDAVRNIFSSNTSSEFQVSSILFFFVLYCILGLITFGIAVPSGLFLPIILMGSGYGRLLGMVMGSYTNLDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYEIILELKGLPFLDANPEPWMRNLTVGDLADAKPPVVTLCGVEKVSRIVDVLKNTTHNAFPIVDQGVLVPGTISTGATELHGLILRAHLVQALKKKWFMPEKRRTEEWEVREKFNWVDLAERELKIEQVAVTRDEMEMYADLHPLTNTTPYTVVESMSVAKAMVLFRQVGLRHLLIVPKYQGAGFCSFFTDPRVDRRESTTMYNFDQLRLFFFIKFQSIMKKFPFSSAQRNEW >EOY02585 pep chromosome:Theobroma_cacao_20110822:4:1386476:1387145:-1 gene:TCM_017017 transcript:EOY02585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPYNKEASNMVWNLSWCCGDVCDNQLALSICKDHVFHERTKYIEVDSHFVRKKVIASLIQPKYVPTKMQLADFFAKALLPGDFQFLLSKMCILNIYKHLEGECREVIP >EOY04143 pep chromosome:Theobroma_cacao_20110822:4:22247541:22253702:-1 gene:TCM_019399 transcript:EOY04143 gene_biotype:protein_coding transcript_biotype:protein_coding description:maize chloroplast splicing factor CRS1, putative isoform 2 MIFGFCPHKLSKAIKTEPTKQGTQLSMFRYLLSISSSSLMLATVFISPIPFSSSLNSSQNPSKTHKENRSLNNNSKFSVSKDPNNGPIKMPTAPWMKGPLLLQPHEVLNPSKSTSKKSSNSKAKAPDKALFGKESGVRGKKVMKKIIRNVEMLQGNEVLEDTQIGIREEFEVGNWLEEFGSDGEVKRFDGKMPWLREEEKVVFRRMKKEKLLTQAEISLDKDLLERLRRKAMRMRKWIKVMKLGVTKAVVDEIKLAWRKNELVMVKFGVPLCRNMDRAREIIEMKTRGLVVWGKKDALVVYRGCSHGLTSKISSMKYPRCADGQEISSSTFSHLTSSNNINMSLEKFNGSTLQSGLYREDREKESMPINIFMKEDENNQPVIGSLYERETDRLLDGLGPRFIDWWMRKPLPIDADLLPEEVPGFRPPLRLSPPNTRPNLTDDELKYLRKLTHPLPFHFALGKNRNLQGLAAAILKLWEKSLIAKIAIKWGIQNTDNEQMAYELKNLTGGVLLVRNKFLLILYRGKDFLPQGVANLVVEREMALRRCQLNEEGARVKVAETCQVADEPLAKTSTVGTLSEFEDIQTRFGDLKKESSELELQLEAQKENLERELRNQERKLSILNIKIEKSAKELAKLKSSRQPAEQDVDLEIITEEERECLRKIGLKLNSFLVLGRRGVFNGVIEGVYQHWKHREVVKVITMQRVFARVIYTAKFLVAETGGILVSVEKLKEGHALIIYRGKNYRRPLKLMTNNLLTKREALRQSIELQRIGSLKFFAYQRRQAILDLKLKLAELKDRRVGTSQRENEERLLDEMVPRA >EOY04147 pep chromosome:Theobroma_cacao_20110822:4:22248586:22252718:-1 gene:TCM_019399 transcript:EOY04147 gene_biotype:protein_coding transcript_biotype:protein_coding description:maize chloroplast splicing factor CRS1, putative isoform 2 MIFGFCPHKLSKAIKTEPTKQGTQLSMFRYLLSISSSSLMLATVFISPIPFSSSLNSSQNPSKTHKENRSLNNNSKFSVSKDPNNGPIKMPTAPWMKGPLLLQPHEVLNPSKSTSKKSSNSKAKAPDKALFGKESGVRGKKVMKKIIRNVEMLQGNEVLEDTQIGIREEFEVGNWLEEFGSDGEVKRFDGKMPWLREEEKVVFRRMKKEKLLTQAEISLDKDLLERLRRKAMRMRKWIKVMKLGVTKAVVDEIKLAWRKNELVMVKFGVPLCRNMDRAREIIEMKTRGLVVWGKKDALVVYRGCSHGLTSKISSMKYPRCADGQEISSSTFSHLTSSNNINMSLEKFNGSTLQSGLYREDREKESMPINIFMKEDENNQPVIGSLYERETDRLLDGLGPRFIDWWMRKPLPIDADLLPEEVPGFRPPLRLSPPNTRPNLTDDELKYLRKLTHPLPFHFALGKNRNLQGLAAAILKLWEKSLIAKIAIKWGIQNTDNEQMAYELKNLTGGVLLVRNKFLLILYRGKDFLPQGVANLVVEREMALRRCQLNEEGARVKVAETCQVADEPLAKTSTVGTLSEFEDIQTRFGDLKKESSELELQLEAQKENLERELRNQERKLSILNIKIEKSAKELAKLKSSRQPAEQDVDLEIITEEERECLRKIGLKLNSFLVLGRRGVFNGVIEGVYQHWKHREVVKVITMQRVFARVIYTAKFLVAETGGILVSVEKLKEGHALIIYRGKNYRRPLKLMTNNLLTKREALRQSIELQRIGVSPFFFISNDFTALILS >EOY04146 pep chromosome:Theobroma_cacao_20110822:4:22248065:22252701:-1 gene:TCM_019399 transcript:EOY04146 gene_biotype:protein_coding transcript_biotype:protein_coding description:maize chloroplast splicing factor CRS1, putative isoform 2 MFRYLLSISSSSLMLATVFISPIPFSSSLNSSQNPSKTHKENRSLNNNSKFSVSKDPNNGPIKMPTAPWMKGPLLLQPHEVLNPSKSTSKKSSNSKAKAPDKALFGKESGVRGKKVMKKIIRNVEMLQGNEVLEDTQIGIREEFEVGNWLEEFGSDGEVKRFDGKMPWLREEEKVVFRRMKKEKLLTQAEISLDKDLLERLRRKAMRMRKWIKVMKLGVTKAVVDEIKLAWRKNELVMVKFGVPLCRNMDRAREIIEMKTRGLVVWGKKDALVVYRGCSHGLTSKISSMKYPRCADGQEISSSTFSHLTSSNNINMSLEKFNGSTLQSGLYREDREKESMPINIFMKEDENNQPVIGSLYERETDRLLDGLGPRFIDWWMRKPLPIDADLLPEEVPGFRPPLRLSPPNTRPNLTDDELKYLRKLTHPLPFHFALGKNRNLQGLAAAILKLWEKSLIAKIAIKWGIQNTDNEQMAYELKNLTGGVLLVRNKFLLILYRGKDFLPQGVANLVVEREMALRRCQLNEEGARVKVAETCQVADEPLAKTSTVGTLSEFEDIQTRFGDLKKESSELELQLEAQKENLERELRNQERKLSILNIKIEKSAKELAKLKSSRQPAEQDVDLEIITEEERECLRKIGLKLNSFLVLGRRGVFNGVIEGVYQHWKHREVVKVITMQRVFARVIYTAKFLVAETGGILVSVEKLKEGHALIIYRGKNYRRPLKLMTNNLLTKREALRQSIELQRIGSLKFFAYQRRQAILDLKLKLGK >EOY04144 pep chromosome:Theobroma_cacao_20110822:4:22248214:22253702:-1 gene:TCM_019399 transcript:EOY04144 gene_biotype:protein_coding transcript_biotype:protein_coding description:maize chloroplast splicing factor CRS1, putative isoform 2 MIFGFCPHKLSKAIKTEPTKQGTQLSMFRYLLSISSSSLMLATVFISPIPFSSSLNSSQNPSKTHKENRSLNNNSKFSVSKDPNNGPIKMPTAPWMKGPLLLQPHEVLNPSKSTSKKSSNSKAKAPDKALFGKESGVRGKKVMKKIIRNVEMLQGNEVLEDTQIGIREEFEVGNWLEEFGSDGEVKRFDGKMPWLREEEKVVFRRMKKEKLLTQAEISLDKDLLERLRRKAMRMRKWIKVMKLGVTKAVVDEIKLAWRKNELVMVKFGVPLCRNMDRAREIIEMKTRGLVVWGKKDALVVYRGCSHGLTSKISSMKYPRCADGQEISSSTFSHLTSSNNINMSLEKFNGSTLQSGLYREDREKESMPINIFMKEDENNQPVIGSLYERETDRLLDGLGPRFIDWWMRKPLPIDADLLPEEVPGFRPPLRLSPPNTRPNLTDDELKYLRKLTHPLPFHFALGKNRNLQGLAAAILKLWEKSLIAKIAIKWGIQNTDNEQMAYELKNLTGGVLLVRNKFLLILYRGKDFLPQGVANLVVEREMALRRCQLNEEGARVKVAETCQVADEPLAKTSTVGTLSEFEDIQTRFGDLKKESSELELQLEAQKENLERELRNQERKLSILNIKIEKSAKELAKLKSSRQPAEQDVDLEIITEEERECLRKIGLKLNSFLVLGRRGVFNGVIEGVYQHWKHREVVKVITMQRVFARVIYTAKFLVAETGGILVSVEKLKEGHALIIYRGKNYRRPLKLMTNNLLTKREALRQSIELQRIGSLKFFAYQRRQAILDLKLKLAELKDRRVGTSQR >EOY04145 pep chromosome:Theobroma_cacao_20110822:4:22248383:22252640:-1 gene:TCM_019399 transcript:EOY04145 gene_biotype:protein_coding transcript_biotype:protein_coding description:maize chloroplast splicing factor CRS1, putative isoform 2 MFRYLLSISSSSLMLATVFISPIPFSSSLNSSQNPSKTHKENRSLNNNSKFSVSKDPNNGPIKMPTAPWMKGPLLLQPHEVLNPSKSTSKKSSNSKAKAPDKALFGKESGVRGKKVMKKIIRNVEMLQGNEVLEDTQIGIREEFEVGNWLEEFGSDGEVKRFDGKMPWLREEEKVVFRRMKKEKLLTQAEISLDKDLLERLRRKAMRMRKWIKVMKLGVTKAVVDEIKLAWRKNELVMVKFGVPLCRNMDRAREIIEMKTRGLVVWGKKDALVVYRGCSHGLTSKISSMKYPRCADGQEISSSTFSHLTSSNNINMSLEKFNGSTLQSGLYREDREKESMPINIFMKEDENNQPVIGSLYERETDRLLDGLGPRFIDWWMRKPLPIDADLLPEEVPGFRPPLRLSPPNTRPNLTDDELKYLRKLTHPLPFHFALGKNRNLQGLAAAILKLWEKSLIAKIAIKWGIQNTDNEQMAYELKNLTGGVLLVRNKFLLILYRGKDFLPQGVANLVVEREMALRRCQLNEEGARVKVAETCQVADEPLAKTSTVGTLSEFEDIQTRFGDLKKESSELELQLEAQKENLERELRNQERKLSILNIKIEKSAKELAKLKSSRQPAEQDVDLEIITEEERECLRKIGLKLNSFLVLGRRGVFNGVIEGVYQHWKHREVVKVITMQRVFARVIYTAKFLVAETGGILVSVEKLKEGHALIIYRGKNYRRPLKLMTNNLLTKREALRQSIELQRIGSLKFFAYQRRQAILDLKLKLVRISFFFSLFIHLHLLKIACDTS >EOY05759 pep chromosome:Theobroma_cacao_20110822:4:29697658:29701054:-1 gene:TCM_020678 transcript:EOY05759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDDKGWILTLKLHVMTDHYGSTGHMGRPSLLKLEFGIALSCLKAAFESKRNGEVNLNVTPILFKALLMLTRYQSLPGHALKALELPKITDSIDLDNSVSLSPEKENGKLSSRSH >EOY06857 pep chromosome:Theobroma_cacao_20110822:4:33158646:33162179:-1 gene:TCM_021455 transcript:EOY06857 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative isoform 1 MMSFSVNEVEFALDKLGEHAPLNELVDFIAAAQIAEEFEKESEGSLSSDEEKDQQNVTNEFLFGTMEKTLHLLEMGFSENEVSIAIEKFGSEVPIAELADSIFTGQIAGNYTESKKFTSAALRGGLIHNSCDTVKIEPEDCSSSAVPQSRNINTGESCKGKRPKEESFDDFPVSLPQFKQSSYEKKHKGKRPRQDYVDNTSSFLDPAWLEEKIDPNIIRFEMPRPFKSNSCKSVDKMVAKPPYFFYGNVVNMSPDCWAKVSQFLYGIEPEFVNTQFFSALSRIEGYVHNLPAGNRFNILPKSPLTIQDALPHTKKWWPSWDTRKQLSCMGCEVNGVSKLCDRLGKIVADSRGILSPDQQKDIFRHCKTSNLIWVGPYKLGLAEPGHWELILGYPLNHTKALENDSSRRLQLLEQSFQTDTLGYHLSVLKSMCPGGLTMLSVFSGIGGAVVTLHRLGIHLKGVVSVETSEARQSILRNWWQSTGQTGELVLIEDIQKLTSKKLENLIDKLGGFDFVICQNSSSSMTGPDDDRLPGFDFSLFYEFVRVLQRVRSMMERRS >EOY06856 pep chromosome:Theobroma_cacao_20110822:4:33158897:33165138:-1 gene:TCM_021455 transcript:EOY06856 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative isoform 1 MSRYSNGKSSSSQEGQKAIVPKPEMLDFDLPEDALYSRHVGDNVASSSGSNVRSFFIGMGFSPSLVEKVIEEKGEDNADLLLETLVEYSEVRKVNTHSSASLHSLFADKDGGSCPESSTYIQPKEEPDVFDEVHVDKRASLLMMSFSVNEVEFALDKLGEHAPLNELVDFIAAAQIAEEFEKESEGSLSSDEEKDQNVTNEFLFGTMEKTLHLLEMGFSENEVSIAIEKFGSEVPIAELADSIFTGQIAGNYTESKKERVISFCFIIARFTIKKLLHCHVQFTSAALRGGLIHNSCDTVKIEPEDCSSSAVPQSRNINTGESCKGKRPKEESFDDFPVSLPQFKQSSYEKKHKGKRPRQDYVDNTSSFLDPAWLEEKIDPNIIRFEMPRPFKSNSCKSVDKMVAKPPYFFYGNVVNMSPDCWAKVSQFLYGIEPEFVNTQFFSALSRIEGYVHNLPAGNRFNILPKSPLTIQDALPHTKKWWPSWDTRKQLSCMGCEVNGVSKLCDRLGKIVADSRGILSPDQQKDIFRHCKTSNLIWVGPYKLGLAEPGHWELILGYPLNHTKALENDSSRRLQLLEQSFQTDTLGYHLSVLKSMCPGGLTMLSVFSGIGGAVVTLHRLGIHLKGVVSVETSEARQSILRNWWQSTGQTGELVLIEDIQKLTSKKLENLIDKLGGFDFVICQNSSSSMTGPDDDRLPGFDFSLFYEFVRVLQRVRSMMERRS >EOY03188 pep chromosome:Theobroma_cacao_20110822:4:7443140:7448276:-1 gene:TCM_017806 transcript:EOY03188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type one serine/threonine protein phosphatase 4 MEGLEGMIERLLEGRNNRGKRIQLNDSEIRQLCLTAKKVFLNQPNLLELGAPINICGDIHGQYSDLLRLFEYGGFPPNANYLFLGDYVDRGKQSIETICLLLAYKIKFPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKVFTDCFNCLPVAAVIDEKILCMHGGLSPEMRSLDQIRAIERPIDVPDQGLLCDLLWADPDRDISGWGENDRGVSYTFGADKVAEFLKKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMSVDPSLLCSFQILKPSTETMGLLE >EOY03127 pep chromosome:Theobroma_cacao_20110822:4:5653472:5690911:-1 gene:TCM_017644 transcript:EOY03127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIHFITIILGERQSIVDPPLFNGENYPYWKIRMKFFIQANNYDVQSTIVDGPHKPTKEEKEWDTNGINMVQLNAKAMHILLYALGEKEYNRVSKCESGKEIWEKLEKLYREAKKEEKFKEKPYKGQCSTCGKAIRDEESSEIQSSIQFKSCLMALKELKIMVKITFEVDRLLQHWENKFTALVKGSSPYNFSDSSALQRRRSSTATLL >EOY04323 pep chromosome:Theobroma_cacao_20110822:4:23883614:23890265:-1 gene:TCM_019607 transcript:EOY04323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich receptor protein kinase PERK10 isoform 1 MTSVLPSVIPPTSGDVSPPPAPPTSSSSNSSTTSPSPTSSRPNQTIDPPSSSAPSNSSAPPPQSPPPAVPAAPPPSPSLSPPPALTTPPASPPPLTPASPPPSPPASPPPSVPTSPPPSSPAAPPPSPVLSPPPPSGGSPPPQAATAPPVATSPPPQVNSHSAPPPANVATPPSSKSPPSPRATPPPESSSSPPTLTPPPSRSKSAPPPSTTSPSTPKLASPPPSVPSTSSPPTISPPATPSTPSTTGSPSSLSPLPSIPTEKPTAESTNGKSASANATSSGKNVLGTGGAVAIGAVVGFIVLSLLVMAVWFALKRKRKRAGPKIGYTMPSPFASSQNSDSVFLRPQSPHQLMGSGSNSGFYSPSEPGGVNNSRSWFTYEELVRATDGFSEHNLLGEGGFGCVYKGILTDGREVAVKQLKIGGGQGEREFRAEVEIISRVHHRHLVSLVGYCISDHQRLLVYDYVPNNTLHYHLHGRGRPVMDWAVRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDSNFEARVSDFGLAKLALDSNTHVTTRVMGTFGYMAPEYATSGKLTEKSDVYSFGVVLLELITGRKPVDDSQPLGDESLVEWARPLLAEAIEHQEFEELVDPRLEKNYVAHEMFRMIEAAAACVRHSAAKRPRMSQVVRALDSLDESSDLTNGMKPGQSEVFDSAQQSAQIRMFQRLAFGSQEYSSSFFNHSQSSWRSQEDGSQGSWRSRDHGNQSSWSREHRERSTLMP >EOY04324 pep chromosome:Theobroma_cacao_20110822:4:23883900:23889501:-1 gene:TCM_019607 transcript:EOY04324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich receptor protein kinase PERK10 isoform 1 MTSVLPSVIPPTSGDVSPPPAPPTSSSSNSSTTSPSPTSSRPNQTIDPPSSSAPSNSSAPPPQSPPPAVPAAPPPSPSLSPPPALTTPPASPPPLTPASPPPSPPASPPPSVPTSPPPSSPAAPPPSPVLSPPPPSGGSPPPQAATAPPVATSPPPQVNSHSAPPPANVATPPSSKSPPSPRATPPPESSSSPPTLTPPPSRSKSAPPPSTTSPSTPKLASPPPSVPSTSSPPTISPPATPSTPSTTGSPSSLSPLPSIPTEKPTAESTNGKSASANATSSGKNVLGTGGAVAIGAVVGFIVLSLLVMAVWFALKRKRKRAGPKIGYTMPSPFASSQNSDSVFLRPQSPHQLMGSGSNSGFYSPSEPGGVNNSRSWFTYEELVRATDGFSEHNLLGEGGFGCVYKGILTDGREVAVKQLKIGGGQGEREFRAEVEIISRVHHRHLVSLVGYCISDHQRLLVYDYVPNNTLHYHLHGRGRPVMDWAVRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDSNFEARVSDFGLAKLALDSNTHVTTRVMGTFGYMAPEYATSGKLTEKSDVYSFGVVLLELITGRKPVDDSQPLGDESLVEWARPLLAEAIEHQEFEELVDPRLEKNYVAHEMFRMIEAAAACVRHSAAKRPRMSQVVRALDSLDESSDLTNGMKPGQSEVFDSAQQSAQIRMFQRLAFGSQEYSSSFFNHSQSSWRSQEDGSQGSWRSRDHGNQSSWSREHRERSTLMP >EOY06703 pep chromosome:Theobroma_cacao_20110822:4:32707871:32709770:-1 gene:TCM_021350 transcript:EOY06703 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor, putative MFYTSIMASIHGLSAASLIKIARASFNKIASLEWFDLSVLNKFFNDGCFNLSGDEIKDVELAILLLASADKVDSRQFEHARKFLNLCDFLSSNAGSSIQRVVHYFSKALREKMDGETGATTSKAGESRGGELSHPDETMVSLNPALITCSLKLPFVQVTQFAGLQAIIENVASAKKVHFIDLAIRSGAQCTALMQALATRDQCSIELLKVTAVGTTSQTKMKETGKRLARFAEALNIPFLFNTVMVTDLKDISEDMFELNDDEAVVVSSRFLLRHTLTQSDCLESIIRVVKNLNPCIVVITEFEADHTSPIFMERFSEALSFYTTYFDCLEDCFDRCDQTRTILEAAYLGLEIRNTVAAEDEDRIFRDMKIEAWRTCLTNLGLVEVELSSSSLYQANLVATQFAPGNTCTCCRNGKSLVVNWKGTPILSLSTWKLFEQSKPRRNCNVKRKSLGDPAL >EOY04681 pep chromosome:Theobroma_cacao_20110822:4:25497528:25498438:1 gene:TCM_019877 transcript:EOY04681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRDPGNIVNHFPGQGSTYKETASANPPAWKPSAYRPPYAYNAAAIQSLTTVRGVSYNGELNEVQLINKKQIKILSSSKEDN >EOY05250 pep chromosome:Theobroma_cacao_20110822:4:27725321:27726154:-1 gene:TCM_020294 transcript:EOY05250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMGKGLWGGKEEDDTLMNCLKFYKVSVFNANAIRKCPSNVAAMNVRDLEGKIGFRYWNGGLGGGSLLGAKWTVAVVATAHLGGNVTK >EOY03156 pep chromosome:Theobroma_cacao_20110822:4:6514406:6543082:-1 gene:TCM_017718 transcript:EOY03156 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein MGYNFRVYTLFNLDQIKVKILFKNDGQMEFCTWQHGDRGRDSELLLVASLNELWLQCWEDSGHGVELVKSIIQMAESRASQCWRNNAAMPPMFAMASSRQELCCKESTPSSNMERTHTKSALKLVKNGTSQSAVSWSSTAKGMTKDQLKDKSKVTPKPPAKENTKPLDFKLHTEQRAVKRAMFNYSVATKLYLLELQKKQVEKLQKMIEEEEVRLLRKEMVPKAQLMPFFDRPFFPQRSNRPLTIPREPSFRSANSKCWTCISENEVYYFQHAHAWSPIK >EOY03600 pep chromosome:Theobroma_cacao_20110822:4:17363992:17367763:1 gene:TCM_018701 transcript:EOY03600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 1 MGELLSNSASFSIISNGFGCRYQSCSQSRGRNGVCSRHFRGCFFVGSVMKTYRRRVVQRRLNMVWMPVHQCVWFGHLRKKMSPMNFLFELSRIVPKMVASIESEMDCEDDEENILIQKGKEEFGLDSLGQNLPPWGNLVVDESLDFEHTSVGQPAISSNGKDSVHDSKVHFLEETNEEELSRRVLMLSRSNKVRSALELCRSMKLSGLQPSAHACNSLLSCLLRNGLVDDALRTFEFMKANELITGHTYSLILKAIADTQGCDAALDMFAELERDYEQKKGFDVIVYNTALSICGRWNNWVETERVWRRILENGYSGTQVTYSLLVSIFVRCNQNELALDAYDEMIRNGLEPRDDTMHAVISACTKEEKWDLALSIFQKILNDGLKPNPVACNALINSLGKAGEVRLAFKIYDIMKSLGHTPDAFTWNSLLGALYRANQYADALHLFERIRKQSSLANVHLYNTALMSCQKLGLWDRALQLLWQMEASGLLVSTASYNLVISACETARKPKVALQVYDHMIHQKCVPDTFTHLSLIRSCIWGSLWAEVEEILNRVPENVSLYNAVIHGMCLKGKVESAKKLYMRMRKNGLKPDGKTRALMLQNLRKDQIKVKRSSYHSR >EOY03601 pep chromosome:Theobroma_cacao_20110822:4:17364750:17367988:1 gene:TCM_018701 transcript:EOY03601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 1 MGELLSNSASFSIISNGFGCRYQSCSQSRGRNGVCSRHFRGCFFVGSVMKTYRRRVVQRRLNMVWMPVHQCVWFGHLRKKMSPMNFLFELSRIVPKMVASIESEMDCEDDEENILIQKGKEEFGLDSLGQNLPPWGNLVVDESLDFEHTSVGQPAISSNGKDSVHDSKVHFLEETNEEELSRRVLMLSRSNKVRSALELCRSMKLSGLQPSAHACNSLLSCLLRNGLVDDALRTFEFMKANELITGHTYSLILKAIADTQGCDAALDMFAELERDYEQKKGFDVIVYNTALSICGRWNNWVETERVWRRILENGYSGTQVTYSLLVSIFVRCNQNELALDAYDEMIRNGLEPRDDTMHAVISACTKEEKWDLALSIFQKILNDGLKPNPVACNALINSLGKAGEVRLAFKIYDIMKSLGHTPDAFTWNSLLGALYRANQYADALHLFERIRKQSSLANVHLYNTALMSCQKLGLWDRALQLLWQMEASGLLVSTASYNLVISACETARKPKVALQVYDHMIHQKCVPDTFTHLSLIRSCIWGSLWAEVEEILNRVPENVSLYNAVIHGMCLKGKVESAKKLYMRMRKNGLKPDGKTRALMLQNLRKDQIKVKRSSYHSR >EOY06207 pep chromosome:Theobroma_cacao_20110822:4:31301127:31306181:-1 gene:TCM_021015 transcript:EOY06207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopropyl malate isomerase large subunit 1 MASSLVSPSPTSSFISNKKDLGFSAFPSTSQVAIRKCKKQVSKQIVSVMAPQQSERKPATTGSVKTGMTMTEKILARASEKPQLSPGDNVWVNVDILMTHDVCGPGSIGIFKKEFGENAKVWDREKIVIIPDHYIFTSDERANRNVDILRDFCKEENIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKLLLKVPPTLRFVMDGEMPHYLLAKDLILQIIGEISVAGATYKSMEFVGTTVESLNMEERMTLCNMVVEAGGKNGVVPADSTTFKYLEDKTSVPYEPVYSDAQASFLSEYRFDISKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFLAAAKVFLASGKKVKVPTFLVPATQKVWLDIYTLPVPGAGGKTCSQIFEEAGCDTPASPSCGACLGGPKDTYARMNEPKVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPREFLL >EOY02325 pep chromosome:Theobroma_cacao_20110822:4:436287:438734:-1 gene:TCM_016838 transcript:EOY02325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein, putative MARWSCYNDVLPFTAMVVLESANVGVNILFKAATLKGMSYYIFIAYSYAVGTVLFLPLSFIFPSRAVLPRLKFHVVSRIFLLGLIGFSAQICAYKGIEYSSPTMASAVSNLTPAITFILAVLSRLERVALRSSSSQAKVLGTIASISGALVVVLYKGPKVFSSPRGTSSSVLLEWPLESSESNWAIGGILLAVAYLLFSFCYIIQAQVMVIYPAEIIVAFLYNLCGTIISAPVCLIAEPNLSSWRLRPSVAVIAVLYSGIFQTFYSLVVIWGLHLKGPVYIVIFKPLSIAIAAFMSAVFLGDSLHVGSIVGAVIISMGFYAVIWGKAKEEQRIHDDSGINSLEPSSSGKVPLLQSHKLEDT >EOY04493 pep chromosome:Theobroma_cacao_20110822:4:24651120:24656554:-1 gene:TCM_019735 transcript:EOY04493 gene_biotype:protein_coding transcript_biotype:protein_coding description:AZA-guanine resistant1 METPSEPKKRSIASRLNSFVGSSKVGKRFKLTERKTTFTTELRAGTATFLTMAYILAVNASILTDSGGPCTIADCNNPSESCKFPPVDPGYAACLERTRKDLIVATVASSLIGCLIMGIFANLPLALAPGMGTNAYFAYTVVGFHGTGNVPYKSALTAIFIEGLLFLLISAVGLRAKLAKLVPKPVRISSSAGIGLFLAFIGLQNNQGIGLVGYSSSTLVTLAACPRSSRKTLAPVVTAANGTISLIPGGTVSGEIFCMNHRMESPTFWLGIVGFVIIAYCLVKNIKGAMIYGIIFVTVISWFRNTAVTAFPNTEAGNSAYQYFKKVVDVHVIKRTAGALNFKGMNKGYFWEALVTFLYVDILDTTGTLYSMARFAGFTDENGDFEGQYFAFMSDAASIVVGSLLGTSPVTAFIESSTGIREGGRTGLTALTVAGYFFLAFFFTPLLASIPAWAVGPPLILVGVLMMRSVVEVEWDDMRQAIPAFVTLILMPLTYSIAYGLIGGIGTFIVLHLWDWAEDLLVRFGIRKEVKANNLLNETTNAIHNASQGDDKMPDL >EOY04291 pep chromosome:Theobroma_cacao_20110822:4:23203453:23208031:-1 gene:TCM_019540 transcript:EOY04291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamete-expressed 3, putative MPAIHFLLSFLFMVAFDFGFAQSTWSQNYPHYPHLFSGGARFRSPNRLSKPLIGDGGRIYACSEKMLLAFENNGSIAWLLPLSFKCNMSKAPVHGGTGKIYLIAENRVLKINIMKIGTSEPAIQVFFGPGPGQQGGDEIVGLAVSTLSSSVFINVKNRGLFAYMMRGQLLWSAGPVLDQYGYKQGCRKDVSDCYFASVPVIAQCEASIYISNTKGELYSLSVRSPYFKWIQDLSSFDKVYTVTPGNNGRLYVTVPVKSLILALDVSSGNVLWQKSVGPLSTAESSLLVVSYGWVTIGSLDGFLYSFSPTGTLKKFPKAAALEYVIQYSPFLDCSGYAVYFCHTEMEGKVIHTNDQYTHVSAMKPKRSVFTLMVPATGKIYWSESHHGPLLSSLSTSDLQNFVVDEGMLLAFVTASQTGNPLPCRSKGLKLASSCSQGTSKHLNIYTGNKRAVLIFLLFESLLLVALAAVVRFCCVFWRKKKLQDQDLGRFLDKRRSLQLKKRAIDRTITEFKQTAANKAVANEAIEKLSDLVRGRQGIERKLSTTYSLGRDGEGSKPKSLLPLYGGRTRSYSFQRAEKEGVTIFHTLSNTSSEGSSTEREISSDSEEEEEPVFTAKAKAPIEPESSSEDEHLGGKYERSPAEPASSSKGYMHPLSVEQESGDEKLHDEGVMVESMKSSSRSIWLKRRRTLSSSN >EOY06945 pep chromosome:Theobroma_cacao_20110822:4:33416548:33421848:1 gene:TCM_021509 transcript:EOY06945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase, putative isoform 1 MGDKNFLLVMCLLASTLYVAIASSDGLVRVGLKKQRFDINSINAARIKGKDSMYAKGEGSTTHDSDDSTLNTVSLKNYLDVQYFGEIGIGSPPQKFTVIFDTGSSNLWIPSSHCYFSVACFFHSRYNSSKSSTYTEIGKPCKIHYSSGTILGFFSEDNIRVGDLVVKNQVFTEAIREGSAILSLASFDGILGLGFQDMSVGNVAPLWYSMMQQSLVVQKVFSFWFNKDPSASEGGEIVFGGVDQKHFKGKHTYVPVAQKGYWQIDLADFLIADHSTGFCTRNCTAIVDSGTSFIAGPTAAVTKINHAIGAKGFVSMECKKVAAQYGDLMWELLLSGLQPDKLCSNIGVCFNNGTRQLSNSIEMVVEQKRERHTEVGQDLLCTACELILAWIRTELMENKTKGRVIEYVNKLCDNLPNPTRELAVDCDKVPTMPPVSFIIGNKSFNLTPEQVRTSSKWNKISLPSASVVLLHWTFLLARVLSGFSGQSSW >EOY06947 pep chromosome:Theobroma_cacao_20110822:4:33416548:33421608:1 gene:TCM_021509 transcript:EOY06947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase, putative isoform 1 MGDKNFLLVMCLLASTLYVAIASSDGLVRVGLKKQRFDINSINAARIKGKDSMYAKGEGSTTHDSDDSTLNTVSLKNYLDVQYFGEIGIGSPPQKFTVIFDTGSSNLWIPSSHCYFSVACFFHSRYNSSKSSTYTEIGKPCKIHYSSGTILGFFSEDNIRVGDLVVKNQVFTEAIREGSAILSLASFDGILGLGFQDMSVGNVAPLCMMQQSLVVQKVFSFWFNKDPSASEGGEIVFGGVDQKHFKGKHTYVPVAQKGYWQIDLADFLIADHSTGFCTRNCTAIVDSGTSFIAGPTAAVTKINHAIGAKGFVSMECKKVAAQYGDLMWELLLSGLQPDKLCSNIGVCFNNGTRQLSNSIEMVVEQKRERHTEVGQDLLCTACELILAWIRTELMENKTKGRVIEYVNKLCDNLPNPTRELAVDCDKVPTMPPVSFIIGNKSFNLTPEQYILKVEQN >EOY06946 pep chromosome:Theobroma_cacao_20110822:4:33416548:33421608:1 gene:TCM_021509 transcript:EOY06946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase, putative isoform 1 MGDKNFLLVMCLLASTLYVAIASSDGLVRVGLKKQRFDINSINAARIKGKDSMYAKGEGSTTHDSDDSTLNTVSLKNYLDVQYFGEIGIGSPPQKFTVIFDTGSSNLWIPSSHCYFSVACFFHSRYNSSKSSTYTEIGKPCKIHYSSGTILGFFSEDNIRVGDLVVKNQVFTEAIREGSAILSLASFDGILGLGFQDMSVGNVAPLWYSMMQQSLVVQKVFSFWFNKDPSASEGGEIVFGGVDQKHFKGKHTYVPVAQKGYWQIDLADFLIADHSTGFCTRNCTAIVDSGTSFIAGPTAAVTKINHAIGAKGFVSMECKKVAAQYGDLMWELLLSGPDKLCSNIGVCFNNGTRQLSNSIEMVVEQKRERHTEVGQDLLCTACELILAWIRTELMENKTKGRVIEYVNKLCDNLPNPTRELAVDCDKVPTMPPVSFIIGNKSFNLTPEQYILKVEQN >EOY06948 pep chromosome:Theobroma_cacao_20110822:4:33417498:33420960:1 gene:TCM_021509 transcript:EOY06948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase, putative isoform 1 MGDKNFLLVMCLLASTLYVAIASSDGLVRVGLKKQRFDINSINAARIKGKDSMYAKGEGSTTHDSDDSTLNTVSLKNYLDVQYFGEIGIGSPPQKFTVIFDTGSSNLWIPSSHCYFSVACFFHSRYNSSKSSTYTEIGKPCKIHYSSGTILGFFSEDNIRVGDLVVKNQVFTEAIREGSAILSLASFDGILGLGFQDMSVGNVAPLWYSMMQQSLVVQKVFSFWFNKDPSASEGGEIVFGGVDQKHFKGKHTYVPVAQKGYWQIDLADFLIADHSTGFCTRNCTAIVDSGTSFIAGPTAAVTKINHAIGAKGFVSMECKKVAAQYGDLMWELLLSGLQPDKLCSNIGVCFNNGTRQLSNSIEMVVEQKRERHTEVGQDLLCTACELILAWIRTELMENKTKGRVIEYVNKVLYLYIIT >EOY06944 pep chromosome:Theobroma_cacao_20110822:4:33415743:33422257:1 gene:TCM_021509 transcript:EOY06944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase, putative isoform 1 MGDKNFLLVMCLLASTLYVAIASSDGLVRVGLKKQRFDINSINAARIKGKDSMYAKGEGSTTHDSDDSTLNTVSLKNYLDVQYFGEIGIGSPPQKFTVIFDTGSSNLWIPSSHCYFSVACFFHSRYNSSKSSTYTEIGKPCKIHYSSGTILGFFSEDNIRVGDLVVKNQVFTEAIREGSAILSLASFDGILGLGFQDMSVGNVAPLWYSMMQQSLVVQKVFSFWFNKDPSASEGGEIVFGGVDQKHFKGKHTYVPVAQKGYWQIDLADFLIADHSTGFCTRNCTAIVDSGTSFIAGPTAAVTKINHAIGAKGFVSMECKKVAAQYGDLMWELLLSGLQPDKLCSNIGVCFNNGTRQLSNSIEMVVEQKRERHTEVGQDLLCTACELILAWIRTELMENKTKGRVIEYVNKLCDNLPNPTRELAVDCDKVPTMPPVSFIIGNKSFNLTPEQYILKVEQNFSTFCISGFIALDVPPSQGPLWILGAIFMEAYHTVFDFGNLRVGFAEAV >EOY04390 pep chromosome:Theobroma_cacao_20110822:4:24152996:24163502:-1 gene:TCM_019659 transcript:EOY04390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MMMMRVSDCILGLWLWCCCLLLLLAAAQITDPSEVSALMAVTEKLVDPMKNLRNWKKGDPCTFNWTGVICSDNLGSDGYFHVQELQLLNMNLSGTLAPELGQLSHLRSLDVMWNELTGSIPKEIGHISTLRLLLLNGNKLSGSLPEELGYLSNLNRLQIDENNISGQIPKAYANLGSVQHLHFNNNSLSGQIPPELSQLSTLVHLLLDSNNFSGYLPPEFSNIPDLAILQLDNNNFNGSDIPASYGNFSRLAKLSLRNCSLQGAVPDLSRISSLRYLDLSWNNLTGPIPSNKLSENMTTIDLSDNQLNGSIPGSFSDLPSLQELSVKNNLLTGPVPTNIWQNMSFSTSAKLTLDLRNNSFSSIQGHLNPPVNVTLRLGGNPVCNNANLLNLSLFCGSESGEMPTYLNNSPVQCRTQECPTDGFYEYIPASPVPCFCAAPLRIGYRLKSPSFSYFPPYIQPFEVYMTSSLNLSLYQMSIDTYSWEKGRLWMYLKLFPSIDNKSSHAFDNSEVKRIRDFYSSWKFHRNDVFGPYELLNFTLLGPYEERNLENESKGIRMGTLLAIVGAGVACAVALSAVVTILITRRHARHQLAMSRKRFSSRVSMKIDGVKNFTFKEMALATDNFNSSTQVGQGGYGKVYKGTLSDKTVVAIKRAEEGSLQGQNEFLTEIKLLSRLHHRNLVSLVGYCDEEGEQMLVYEFMPNGTLRDWLSAKVKETLNFGMRLRVALGSAKGILYLHTEAHPPVFHRDIKASNILLDSKLNAKVADFGLSRLAPVLEDEGTVPDHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFMELLTGMQPISHGKNIVREVNMAHQSGMMFSLIDGRMGSYPSECIERFVGLALSCCHDKPEKRPSTLDVVRELEYMLKMMPETDSVSSELISLSGKSLSSSSSYSTRDPYVSSSNVSGSDLISGVIPSITAR >EOY04391 pep chromosome:Theobroma_cacao_20110822:4:24153638:24163255:-1 gene:TCM_019659 transcript:EOY04391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MAVTEKLVDPMKNLRNWKKGDPCTFNWTGVICSDNLGSDGYFHVQELQLLNMNLSGTLAPELGQLSHLRSLDVMWNELTGSIPKEIGHISTLRLLLLNGNKLSGSLPEELGYLSNLNRLQIDENNISGQIPKAYANLGSVQHLHFNNNSLSGQIPPELSQLSTLVHLLLDSNNFSGYLPPEFSNIPDLAILQLDNNNFNGSDIPASYGNFSRLAKLSLRNCSLQGAVPDLSRISSLRYLDLSWNNLTGPIPSNKLSENMTTIDLSDNQLNGSIPGSFSDLPSLQELSVKNNLLTGPVPTNIWQNMSFSTSAKLTLDLRNNSFSSIQGHLNPPVNVTLRLGGNPVCNNANLLNLSLFCGSESGEMPTYLNNSPVQCRTQECPTDGFYEYIPASPVPCFCAAPLRIGYRLKSPSFSYFPPYIQPFEVYMTSSLNLSLYQMSIDTYSWEKGRLWMYLKLFPSIDNKSSHAFDNSEVKRIRDFYSSWKFHRNDVFGPYELLNFTLLGPYEERNLENESKGIRMGTLLAIVGAGVACAVALSAVVTILITRRHARHQLAMSRKRFSSRVSMKIDGVKNFTFKEMALATDNFNSSTQVGQGGYGKVYKGTLSDKTVVAIKRAEEGSLQGQNEFLTEIKLLSRLHHRNLVSLVGYCDEEGEQMLVYEFMPNGTLRDWLSGEYGSSVRHDVLSNRR >EOY04393 pep chromosome:Theobroma_cacao_20110822:4:24155170:24163255:-1 gene:TCM_019659 transcript:EOY04393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MAVTEKLVDPMKNLRNWKKGDPCTFNWTGVICSDNLGSDGYFHVQELQLLNMNLSGTLAPELGQLSHLRSLDVMWNELTGSIPKEIGHISTLRLLLLNGNKLSGSLPEELGYLSNLNRLQIDENNISGQIPKAYANLGSVQHLHFNNNSLSGQIPPELSQLSTLVHLLLDSNNFSGYLPPEFSNIPDLAILQLDNNNFNGSDIPASYGNFSRLAKLSLRNCSLQGAVPDLSRISSLRYLDLSWNNLTGPIPSNKLSENMTTIDLSDNQLNGSIPGSFSDLPSLQELSVKNNLLTGPVPTNIWQNMSFSTSAKLTLDLRNNSFSSIQGHLNPPVNVTLRLGGNPVCNNANLLNLSLFCGSESGEMPTYLNNSPVQCRTQECPTDGFYEYIPASPVPCFCAAPLRIGYRLKSPSFSYFPPYIQPFEVYMTSSLNLSLYQMSIDTYSWEKGRLWMYLKLFPSIDNKSSHAFDNSEVKRIRDFYSSWKFHRNDVFGPYELLNFTLLGPYEERNLENESKGIRMGTLLAIVGAGVACAVALSAVVTILITRRHARHQLAMSRKRFSSRVSMKIDGVKNFTFKEMALATDNFNSSTQVGQGGYGKVYKGTLSDKTVVAIKRAEEGSLQGQNEFLTEIKLLSRLHHRNLVSLVGYCDEEGEQVYSCGPDQ >EOY04392 pep chromosome:Theobroma_cacao_20110822:4:24154133:24163255:-1 gene:TCM_019659 transcript:EOY04392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MAVTEKLVDPMKNLRNWKKGDPCTFNWTGVICSDNLGSDGYFHVQELQLLNMNLSGTLAPELGQLSHLRSLDVMWNELTGSIPKEIGHISTLRLLLLNGNKLSGSLPEELGYLSNLNRLQIDENNISGQIPKAYANLGSVQHLHFNNNSLSGQIPPELSQLSTLVHLLLDSNNFSGYLPPEFSNIPDLAILQLDNNNFNGSDIPASYGNFSRLAKLSLRNCSLQGAVPDLSRISSLRYLDLSWNNLTGPIPSNKLSENMTTIDLSDNQLNGSIPGSFSDLPSLQELSVKNNLLTGPVPTNIWQNMSFSTSAKLTLDLRNNSFSSIQGHLNPPVNVTLRLGGNPVCNNANLLNLSLFCGSESGEMPTYLNNSPVQCRTQECPTDGFYEYIPASPVPCFCAAPLRIGYRLKSPSFSYFPPYIQPFEVYMTSSLNLSLYQMSIDTYSWEKGRLWMYLKLFPSIDNKSSHAFDNSEVKRIRDFYSSWKFHRNDVFGPYELLNFTLLGPYEERNLENESKGIRMGTLLAIVGAGVACAVALSAVVTILITRRHARHQLAMSRKRFSSRVSMKIDGVKNFTFKEMALATDNFNSSTQVGQGGYGKVYKGTLSDKTVVAIKRAEEGSLQGQNEFLTEIKLLSRLHHRNLVSLVGYCDEEGEQMLVYEFMPNGTLRDWLSGMKFSGFIAFQFLYYAPYFVYFYFMYEVLTNYQKFWC >EOY06644 pep chromosome:Theobroma_cacao_20110822:4:32534991:32536865:1 gene:TCM_021304 transcript:EOY06644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFPKMESFQTIVVMVVVVLLLPLAAVCAEESSSPNATSKYQIECTMCSSCDNPCQQVPSPPPPSPPPPSPSPPPPPATSNNNNCPPPPSPPSSGGGGGGGSYYYSPPPPQSGGNNYYYPPPPGGVIGGMYYPPPTYRNYPTPPPPNPIVPYFPFYYHTPPPPSGSGKLIACWVSTFAATLGLLLCFF >EOY02359 pep chromosome:Theobroma_cacao_20110822:4:558618:561034:-1 gene:TCM_016864 transcript:EOY02359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein HAT5 MESGRLFFNSSTCHGNMLFLGNCDPVFRGARTMISMEETSKRRPFFSSPEDMYDEEYYDEQLPEKKRRLTAEQVHLLEKSFETENKLEPERKTQLAKKLGLQPRQVAVWFQNRRARWKTKQLERDYDLLKSSYDSLVSNYDCIVQENEKLKSEVASLTEKLQAKDATTEPVIGQKPEPLPADIVSSLQFSVKVEDRQSTGSAGSAVVDEDAPQLLDSGDSYFPSDEYPGGCVGPVNRLQSEEDDGSDDGRSYFSNVFTATEEQQQHEESLGWWVWS >EOY05054 pep chromosome:Theobroma_cacao_20110822:4:26986100:26988288:1 gene:TCM_020157 transcript:EOY05054 gene_biotype:protein_coding transcript_biotype:protein_coding description:RS21-C6, EAR, NTP pyrophosphohydrolase MazG catalytic core, putative isoform 1 MTGVREKEGVTLEELKERMAEFARERDWDQFHSPRNLLLALVGEVGELSEIFQWKGEVPRGLPDWKDEEKQHLGEELSDVLLYLVRLSDICGVDLGKAALRKLEINAIKYPVKLCKGSSKKHTHINADKNNFNGDSGSAAATEGIRSDSKSSSDADV >EOY05055 pep chromosome:Theobroma_cacao_20110822:4:26985813:26987964:1 gene:TCM_020157 transcript:EOY05055 gene_biotype:protein_coding transcript_biotype:protein_coding description:RS21-C6, EAR, NTP pyrophosphohydrolase MazG catalytic core, putative isoform 1 MTGVREKEGVTLEELKERMAEFARERDWDQFHSPRNLLLALVGEVGELSEIFQWKGEVPRGLPDWKDEEKQHLGEELSDVLLYLVRLSDICGVDLGKAALRKLEINAIKYPVKLCKGSSKKHTHINADKNNFNGDSGSAAATEGIRSDSKSSSDADV >EOY03764 pep chromosome:Theobroma_cacao_20110822:4:19113669:19115072:-1 gene:TCM_018939 transcript:EOY03764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKGCCIPALVDVAENGSEKGKASALELLRLLRDIEQECFESDIATISQDANNCPKGKKSHKTLFGVKSLRFSKSI >EOY02472 pep chromosome:Theobroma_cacao_20110822:4:978767:983875:-1 gene:TCM_016938 transcript:EOY02472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAWAAKFLLPIRQSISQSRQLFHGKFGPLRTWAESMEPTRQNRVSKFEYKLNIHRKYVQDFCLPGNRNARSIFGVSVVFGVVYCWPRFTYAMDGLDILVDDYHIESFDASEGEDDRHKLWMLMKKLWLPVFFVFTILVNWDNPSAVAIRVLLFLLSTKPSPSSIYLFVERLCHGYMHHKPHFYIYKSLHASNVEVQDYKLLCIAKVEIGDQKLRVIGILGGWWTLPSSLGIYFLDQEQCSSTPQR >EOY02471 pep chromosome:Theobroma_cacao_20110822:4:980880:983875:-1 gene:TCM_016938 transcript:EOY02471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAWAAKFLLPIRQSISQSRQLFHGKFGPLRTWAESMEPTRQNRVSKFEYKLNIHRKYVQDFCLPGNRNARSIFGVSVVFGVVYCWPRFTYAMDGLDILVDDYHIESFDASEGEDDRHKLWMLMKKLWLPVFFVFTILVNWDNPSAVAIRVLLFLLSTKPSPSSIYLFVERLCHGYMHHKPHFYIYKSLHASNVEVQDYKLLCIAKVEIGDQKLRVIGILGGWWTLPSSLGIYFLDQEQCSSTPQR >EOY02905 pep chromosome:Theobroma_cacao_20110822:4:2898746:2899835:1 gene:TCM_017303 transcript:EOY02905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSSLLTFLANNPERSVPPPWERFFDLRLKIIFYKNDLSEALVADLRSRVNLGGGMFHESTLWSRLTGSSIDHSRYCLIRMKYDQDHPFVLQASCCCGPLMYLVVPEPVWYCPLCGHPFPIFC >EOY04299 pep chromosome:Theobroma_cacao_20110822:4:23395572:23396224:1 gene:TCM_019560 transcript:EOY04299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKVIVRTKSIMYGQVITQILAYVNIDVSSMDNATSQPKIKYLDRIMICRMGYTEDVEIKTSLHKRVRREGDDDGENVPPPTSAEPSSSITSSNALDINAKLKVIMSTLDENSEQLDVLAEHFDNFD >EOY04460 pep chromosome:Theobroma_cacao_20110822:4:24419393:24424931:-1 gene:TCM_019703 transcript:EOY04460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MGCFTVLKSKKKKSEQSVFVNRIAHKEHMPTTLPEPQVQTRSLQSAPPSFRTRVKPIQPNNKSTSNRTRALSAPSSLDAAEQDALASVEFEEQEELKSRVVLVKEQRSSTPQPLPLPSPHGTVLKTMGSFKTGNASGPLFASGPLPLPPSGTLRNFAYEEIAAACHHFSSDRCTSEGLSSVMYKASFGDDTSSSKKFEATVTRLHPSTQGLREFINEVNTLASLQHPNLCKLLGYHARDSSEQRMLVYERLFHGSLDRLLYGRSDGPPLDWNTRMKIALCSAQGLTFLHEEGPFQAMYNEFSTTNIQIDKDFSAKLSGYGCVGHIPETEEISTNSAAVANLSVETLERGWLTPKSNVWSFGIVLLELLTGRKNLDSRHPREERNLVKWSRPFLADDCRLSLIMDPQLKCRFPMKAARTVADIAQRCLQKDPSERPTMRTIVEHLKIIQDMKYSCRFPLQEPAAIAGKQMSRSPSLNGIITPAPRLSFSPSPPSGARLSVSPTRPPALPLTLPPRACSSTLSLEELERQESRRSSSATLRRASVEGF >EOY04461 pep chromosome:Theobroma_cacao_20110822:4:24419934:24424436:-1 gene:TCM_019703 transcript:EOY04461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MGCFTVLKSKKKKSEQSVFVNRIAHKEHMPTTLPEPQVQTRSLQSAPPSFRTRVKPIQPNNKSTSNRTRALSAPSSLDAAEQDALASVEFEEQEELKSRVVLVKEQRSSTPQPLPLPSPHGTVLKTMGSFKTGNASGPLFASGPLPLPPSGTLRNFAYEEIAAACHHFSSDRCTSEGLSSVMYKASFGDDTSSSKKFEATVTRLHPSTQGLREFINEVNTLASLQHPNLCKLLGYHARDSSEQRMLVYERLFHGSLDRLLYGRSDGPPLDWNTRMKIALCSAQGLTFLHEEGPFQQAMYNEFSTTNIQIDKDFSAKLSGYGCVGHIPETEEISTNSAAVANLSVETLERGWLTPKSNVWSFGIVLLELLTGRKNLDSRHPREERNLVKWSRPFLADDCRLSLIMDPQLKCRFPMKAARTVADIAQRCLQKDPSERPTMRTIVEHLKIIQDMKYSCRFPLQEPAAIAGKQMSRSPSLNGIITPAPRLSFSPSPPSGARLSVSPTRPPALPLTLPPRACSSTLSLEELERQESRRSSSATLRRASVEGF >EOY05735 pep chromosome:Theobroma_cacao_20110822:4:29618913:29623753:-1 gene:TCM_020661 transcript:EOY05735 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MGMTGYKWDDEYSVIGDKGEIDFIDYENDNSVCNYNPLEEGPVVVSAPFSFIDGKPQSVFVGETAFDSITIRNTSGDPMDLWTKIYASTPEDSFTLSLMKPPSANSEGNTSQGFIEFFDLEDRMIQPGETLTIWLSCKAKEIGLHTTVVHFDVGGDRLERVAFLLVEDKISQSLASKKPYSRGQRKKQFAVDAFVTGSRPARVMDRGFKNRLPRYDIPKDIRELVESKQTPDVIHAGLTKDNYASFFKHLLILEELQLEEDMRAYNMENINMRKNGKFLSLKVPGLAERRPSLVHGDHIFAKLACPDASETARVYQGFIHRVEADEVFLKFAPEFHLSHVDENLYNVQFTYNRINMRRLYQAIDAAEGLELNLLFPSESPESRLIETTPLVPISCTLNEEQMCSIEMILGCKGGPPYVIYGPPGTGKTMTVVEAILQLHKTRDCSRILVCAPSNSAADLILEKLLNAESVELKENEIFRLNAATRPYNDVKPDFLRFCFFDELVFKCPPLNAITCYRIVISTYMSSSLLYAESVPKGHFSHIFLDEAGQASEPESMVPIANLCQRDTVVVLAGDPMQLGPVIYSREAETLGLGKSYLERLYECEFYSEGDENYVTKLVRNYRCDPEILYLPSLLFYNGELIPCKDYKGSFLNSVKFLPNKEFPVFFFGIQGFDEREGSNPSWFNRIEASKVVEVVKSLTASGILGQEDIGVITPYRQQVLKLQNALENLEMPDIKVGSVEQFQGQERKVIIISTVRSTVKHNEFDRTHCLGFLSNPRRFNVAITRAISLLVIIGNPHIISKDPYWSRLIWRCADNNSYQGCALPERQVYVDEVSIEEDCWNHDENTHGESGWVQDTIQSEVPKPVTDEAEWSDGWK >EOY04604 pep chromosome:Theobroma_cacao_20110822:4:25192518:25196032:-1 gene:TCM_019826 transcript:EOY04604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein isoform 1 MSGAGEKGSTTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGA >EOY04605 pep chromosome:Theobroma_cacao_20110822:4:25192594:25196028:-1 gene:TCM_019826 transcript:EOY04605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein isoform 1 MSGAGEKGSTTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGA >EOY04603 pep chromosome:Theobroma_cacao_20110822:4:25192509:25196042:-1 gene:TCM_019826 transcript:EOY04603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein isoform 1 MSGAGEKGSTTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGA >EOY03873 pep chromosome:Theobroma_cacao_20110822:4:20095355:20096209:1 gene:TCM_019082 transcript:EOY03873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKEGSEVQLAGCVPHPARHLLHLASREKFASGKVVERAPSRAQESGIKEETLKHSEKKKKDLHIKKIFQISRGKREKPQTKKRRRKKEGRGLFLSAAGLGE >EOY04353 pep chromosome:Theobroma_cacao_20110822:4:24023337:24030761:1 gene:TCM_019631 transcript:EOY04353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MANSVPQNNSARFSHTIFTIILKGHWNTLLKPKICTQLTSTTINYLLYKLSLFCSSPSLSWSFFKWIEISIPNYDHSLQSTWAMVHILTKHKHFKTAHNLLGKISNKDFLSSNSVLNALVSTHSDFEVNSHVLSWLVISYGKLRMTQDALQVFEAMRLHGLKPHLHACTVLLNCLVKEKLIDNVWKVYKKMVRLGVVGNLHVYNVLVHACCKGGDVEKAEKVLSEMELKSVFPDRFTYNTLIALYCKKGMHYEALCVQDRMERAGISPDIITYNSLIYGFCREGRMREAVRLFKEIKGVSPNHVTYTTLIDGYCRVNDLGEALRVREMMEAKGIYPGVVTYNSIIRKLCEEGKIREANRVLNEMSEKKVEPDNVTCNTLINAYCKIGDMGSAMKVKNKMVEAGLKLDQFTFKALIHGFCRVREMDSAIEFLINMLDAGISPSYSTYSWLVDGYCNQGNEEKVMKLPDELLKRGLCIDVSVYRALIRRFCKLERVDCAQRIFTLMLGKGISGDSVIYTSLAYAYWKMGKVNAASDVLNEMYKRRLMITLKIYRCFNASYADDNSILGFFWNHVVERGLMSKSILKDIQQRKLQL >EOY05812 pep chromosome:Theobroma_cacao_20110822:4:29860703:29862450:1 gene:TCM_046771 transcript:EOY05812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREKEERRGGESKRDRESASFCSFTSSSHYVQHRKRYITDIGT >EOY04907 pep chromosome:Theobroma_cacao_20110822:4:26402243:26404220:-1 gene:TCM_020055 transcript:EOY04907 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyltransferase, putative MPQPPWDVTLTRHFQWTLVPLQKQINRKQNFLHSVKAKHTKRTMGSDQHIDIVMLPFMAHGHLIPFLAFARQIHHRTGFNIAIANTPLNIQYLRSTLHQDPTSGIILSELQFNSADHGLPPNIENTENLPLDQIDPFFGWTVDVAKSTGTINITFTTGGAYGTMAYISLWLNLPHRQTDSEEFSLPGFPERCRFHVSQLHKFLRNADGTDQWSRFMQSQISGSLQSFGWLCNTVEVIEPLGLELLRNYIKYPVWTIGPLLPKPLLNKSASSSSNRTSLYRQHAGKEPGVSPEKCIQFLDLHNPDSVLYISFGSQNTISPSQMTELAIGLEESGNPFIWVIRPPIGFDLSTEFRAEWLPERFEDRMSSSKQGLLVKNWAPQLEILSHKSTGAFVSHCGWNSTLESLSQGVKMIGWPMAAEQAYNSKMLVEEMGVSVELTRGVQSSISGEGVKRLIQLVMDKEGKGGDMKKKAEEIAERMRAAAREEGNEKGSSIKALDDFVSAIISS >EOY05174 pep chromosome:Theobroma_cacao_20110822:4:27462968:27483188:1 gene:TCM_020246 transcript:EOY05174 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative MATPSHAQAVKSLNKSPGRRRFVFKTFSQRIEDIDINVFRSLDKIKSEPSEGSSFLRDCLNEWRELNTAEDFISFYAEALPFVQTLPLVLLHKELIFTKLISRLQMKARLSLEPILRLLAAFSRDLLEDFLPFLPRMADSLVSLLKSGADREPEIIEQIFTSWSCIMMHLQKYFIRDIINVLKVTVQLRYYGKDYVQEFMAEATSFLLRNAPVEQLIKGIRKIMFEVTKNPLPIRKSGVSALLCYVMLGTSSRFHSGAERVLQLLVDNSIFAIGEKCPEGSDAILEVVIIAFQKLSEELEPKELTLMWECLYQEINDSETNGSFLHLSRLLSLLTSAVQVNNGRGVSNYQQMLKVVGSLVRKIVRPSNKGNGSLPEVVDKVLSLMLHILDGLYGSNNLSSISGCLLQWTPVFELGNSSLLTFLRELLLKDPSVIYIFRDHILSAMNDLVESSSEEVICLLLSFFERLQMHPQSSNFLDGISEGRLAKICYYMQGVISNWIRLINDIVLGNSLPAEIDDAKLAVLWGVISCYPHMFDVQANGSVLMDLIDALHQLLMIEDEYIAGVSKHTWESLVGSALGSHNKWYSAKKPGYGEMSKVLHLAKACKSSSQVLLAAADYLDIVNGPALQADSSKKIYHPVLEGENTVDAVGIFADNLCHPDKGIRLPTLRILCHYEPLSCEISDKDQPAEKKLKTEGSQACIVATDDSNVLRLLLSIEATSLSIFTSRKVTLLISRIQMGLSPGRISETYVPLVLNGIIGIFHNRFSYIWDAASECLSVLISKHTGLVWDRFISYFEQCQSLVQASDILLDGGNANLSNTSSDLVRKFNLFLKPASDNTPGTSVLSLLLQSLQKIPSVAESQSRQIIPLFLRFLGYDSDNLVSVGLFNSDIYDGKEWKGILKEWLSLLKLMRNPRSFYRNQFLKDVLQNRLLDETDAEIQARVLDCLLLWKDDFLLPYDQHLKNLINSKYLREELTTWSLSKESGLIEEAHRVTLVPLVVRLLIPKIRKLKTLASRKHASVHLRKAVLGFIAQLDAHELPLFFALLLKPLQIISNEDDCASNLYWNLPNSSIDEFHAPNYLKYFTVENITALSWKKRYGFLYVIEDVIGVFDEFHVRPFLDLLMGCVVRVLASCSSSIDIARVAESSLVKDHPGVELSSDDKDSAVVNDVQTGIAIKQFKDLRSLCLKIVSLVLNKYEDHDFGCEFWDLFFTSMKPLIHGFKQEGSSSEKPSSLFSCFLAMSRSHRLVSLLCRERNLVPDIFSILAVPTASEAILSCVLKFISNLLDLDCELDDENSPIQSVIYPNLEALVCSLYHHFQSDSASKRKLVRCPGETEIRIFKLLSKYIRDPLLAKKFVDILLPFLSKRVQGSGICLEAIQVIRDIIPVLGSERTTEIINAVAPLLISVKLDIRVFICDLLEALARTDASVHVVARHVRQLNATSAFELDELDYDTIGKAYEEIGMGFFCAMPVEHTLLILSQCVYDMSSEELILRHHAYRLLLTFLEFSAKILGQEVTDHHETAEEMMIDDEGRWTRACMRRIINKFLLKNMGDAISRGISVRKEWIDLLREMVIKLPQLANLNLFRALCSEDADQDFFNNIIHLQKHKRAKALSRFADVIGKSNMSKDIINKVFIPLFFNMLFDLQHGKDEHVRAACMQALASVSARMEWKSYYTLLLRCFREIRMKPDKQKVLLRLICCILDQFSYSQLCSNQGSKDSLDNILDSETSSTVSSALQNGGNSVMVAEIQTCLQKTVLPKIRNLLSSDSDNVNVNISLAALKLLKLLPGDIMDSQLSSIIYRISNFLKNRLESIRDEARSVLAECLKELGLEYMQFIVQVLRATLKRGFELHVLGYTLNFVLSKALSKSTYGSLDYCLEDLLCVVENDILGDVAEEKEVEKIASKMKETRKCKSFETLKLIAQSITFKIHAVKLLSPITAHLQKHLTPKVKAKLENMLKHIADGIGCNPTVNQTDLFIFVYGLIADATNEENGLGVNSSGTEANKHGNEKTVFSGQAFGTKSACSHLITVFALGVLQNRIKSIKLDKNDEQLLSMLDPFIKLLGNCLSSKYEDVLSASLRCLTPLVRLPLPSLESQSDKLKVTLLSIAQGSVNPGNPLMQSCLKFLTVLLRSTKITLSSDQLHLLVQFPMFVDLERNPSFVALSLLKAIVKRKLVVHEIYDIVVQVAELMVTSQVEPIRKKCSQILLQFLLDYHLSNKRLQQHLDFLLANLRYEHPTGRESVLEMLHAIMIKFPKSIVDEQSQTIFVHLVVCLANDQDNKVRSMTGAIIKLLIGRVSQHSVNSILEYSLSWYMGEKQQLWSAGAQVLGLVIEVMKKSFQKHISSILPVTKSILHSTIDALTNTEMGLSDESTIPFWKEAYYSLVMLEKMLLQFHDLSFERDLEDIWEMICELLLHPHAWLRNVSNRLIALYFTSMNEARRGSFEKSYGALFLMTPSRLFMIAVSLCCQLKAPISDDEAAAKDLRLGAKKEKEKNHHHRSSLITKNLVFAIGGLNSLMKEWAGVNHTQFWSTLEQHEQEQFLKGFQLLNPRKATGMLLSITGATHDQNDTDHSEGLQYLLVFNLLKELGKLALQMEAIQLRIVFNSFQKILPEISKDDCRHYASYMMLPLYKVCEGFAGKIIPDDLKQLAQEVLESIRNTLGTEDFGHVFSEIKKKLKSKRDKRKREEKRMAVINPERNAKRKLRIAAKHRANRKRKIMAMKMERWMR >EOY03937 pep chromosome:Theobroma_cacao_20110822:4:20559035:20560609:-1 gene:TCM_019153 transcript:EOY03937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESGVKNATVTKVIGRTGSRGQVTQVRVRFINDSREITRNVKGPVRVGDILTLLESEREARRLR >EOY03816 pep chromosome:Theobroma_cacao_20110822:4:19546152:19548405:-1 gene:TCM_019007 transcript:EOY03816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKWKVSKILTEVNSEADTLVTARMLRQQELLVGYVVSDVSMWVSLEFVMLRRIVVPLERSSFAPWISIGFLLYTSMH >EOY06685 pep chromosome:Theobroma_cacao_20110822:4:32665171:32666530:-1 gene:TCM_021336 transcript:EOY06685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase family protein MGSTSSLNLPLLMSLVIGCPVAASASNFYQDFDITWGDGRGKIVNNGEVLTLSLDKASGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGSTWDEIDFEFLGNLSGDPYILHANVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIIFSVDGTPIREFKNTESLGVPFPKNQPMRIYSSSWNADDWATRGGLVKTDWTQAPFTASYRNFNADACVWSNEASSCKSNPLSSASTNNAWFSQELDSTSQQRLQWVPKNYMIYNYCTDAKRFPQGLPPECNMS >EOY02954 pep chromosome:Theobroma_cacao_20110822:4:3139558:3147988:-1 gene:TCM_017347 transcript:EOY02954 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein MVVQSPHSVESSVPTAKNGGRRRKGSSEKENVSGQRRASARLLAAKERAEKALSIKRGVEVLDPEEDGGRSRKKANVESEKLNLKDAQNGSPKLSETEPKINEKVAKMVERAAKIAEGLDTTNANAPNVVEKSAHIKVKETIRLFNKHYLHFVQEEEKRCGAVKVGKKAPKGKKTKKRDVSEGDGKGKAKRPDLKAITKASQSDLRLFCSLMLIQIILMMEKNEVLYPEKTIGSLPGIDVGHRFYSRAEMVAVGFHSHWLNGIDYMGQSYKKGEYEHYIFPLGVAIVLSGMYEDDLDNAEDVVYTGQGGHDLTGNKRQIRDQVMERGNLALKNCVDQGVPVRVVRGHESASSYSGKIYTYDGLYKVVKYWAEKGISGFTVFKYRLRRLEGQPTLTTSQVQFTYGRVPKCPSEIRGLVCEDLSGGQEDVPIPATNLVDDPPVAPTGFTYCKSMKVARNIKLPSNAAGCDCKGVCWDPKACACARLNGSDFPYVHRDGGRLIEAKHIVFECGPKCRCNANCVNRTSQRGLKYRLEVFRTPKKGWAVRSWDFIPAGAPVCEYIGVLTRTEELDNVSENNYIFDIDCLQTMRGLGGRERRQQDASLPMIQNMDKNDEQRSESVPEFCIDAGSFGNVARFINHSCEPNLFIQCVLSAHQDFKLARVMLFAADNIPPLQELTYDYGYALDSVYGPDGKVKRMTCYCGAEDCRKRLF >EOY04709 pep chromosome:Theobroma_cacao_20110822:4:25594587:25595977:-1 gene:TCM_019900 transcript:EOY04709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASTTLTTISSKFQHLHSPRVSFSSCWCFNRSLKFQYNRKILSHRVVTYVRKPISAFASDDNVISLKDARIVVESRDKNKIQQ >EOY05876 pep chromosome:Theobroma_cacao_20110822:4:30140627:30146393:1 gene:TCM_020768 transcript:EOY05876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 47 protein isoform 1 KYGVDEHESKITSTAGGGTLTLEFGVLSRLTNDPIFERVTKNAVRGLWARRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLFIFQEAYSAAMHYLYNDPWYVEVNMDSGAIVWPLFNSLQAFWPGLQVLAGDVDPAIRTHTAFFSVWKRYGFTPEGFNLATLSVQHGQKSYPLRPELIESTYWLYKATRDPRYLDAGRDMVASLQYGARCPCGYCHISDVEFHKSEDHMESFFLAETVKYLWLLFDLAVGPDNLVENGPYKYIFTTEGHLLPATPQISLVQEHCSYFGAYCNHGDFKHEPHASDMSADSQETNGSRVSGGWVRTGFPLDSSYLEASPVSGLIKGLCPGLTHGQKYGISYLAPVDTPHEDYSVKQKDTVVQSHALIVVSDHSANQSLSGDSNDDNVEESSEREPEHDPSQS >EOY05874 pep chromosome:Theobroma_cacao_20110822:4:30138375:30146376:1 gene:TCM_020768 transcript:EOY05874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 47 protein isoform 1 MKALNLILQFLLFLLLFSLHKAVAEGVTPEEAKQLRDEVREMFYHAFDGYMDHAFPLDELRPLSCEGEDTLGGYALTLIDSLDTLALLGDRERFTASVEWIGKNLQFDINKTVSIFETSIRVLGGLLSAHLIASDYATGMGIPSYDNQLLDLAEDLARRLLPAFDTPTGIPFGSVNLKYGVDEHESKITSTAGGGTLTLEFGVLSRLTNDPIFERVTKNAVRGLWARRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLFIFQEAYSAAMHYLYNDPWYVEVNMDSGAIVWPLFNSLQAFWPGLQVLAGDVDPAIRTHTAFFSVWKRYGFTPEGFNLATLSVQHGQKSYPLRPELIESTYWLYKATRDPRYLDAGRDMVASLQYGARCPCGYCHISDVEFHKSEDHMESFFLAETVKYLWLLFDLAVGPDNLVENGPYKYIFTTEGHLLPATPQISLVQEHCSYFGAYCNHGDFKHEPHASDMSADSQETNGSRVSGGWVRTGFPLDSSYLEASPVSGLIKGLCPGLTHGQKYGISYLAPVDTPHEDYSVKQKDTVVQSHALIVVSDHSANQSLSGDSNDDNVEESSEREPEHDPSQS >EOY05875 pep chromosome:Theobroma_cacao_20110822:4:30138375:30146388:1 gene:TCM_020768 transcript:EOY05875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 47 protein isoform 1 MKALNLILQFLLFLLLFSLHKAVAEGVTPEEAKQLRDEVREMFYHAFDGYMDHAFPLDELRPLSCEGEDTLGGYALTLIDSLDTLALLGDRERFTASVEWIGKNLQFDINKTVSIFETSIRVLGGLLSAHLIASDYATGMGIPSYDNQLLDLAEDLARRLLPAFDTPTGIPFGSVNLKYGVDEHESKITSTAGGGTLTLEFGVLSRLTNDPIFERVTKNAVRGLWARRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLFIFQEAYSAAMHYLYNDPWYVEVNMDSGAIVWPLFNSLQAFWPGLQVLAGDVDPAIRTHTAFFSVWKRYGFTPEGFNLATLSVQHGQKSYPLRPELIESTYWLYKATRDPRYLDAGRDMVASLQYGARCPCGYCHISDVEFHKSEDHMESFFLAETVKYLWLLFDLAVGPDNLVENGPYKYIFTTEGHLLPATPQISLVQEHCSYFGAYCNHGDFKHEPHASDMSADSQETNGSRVSGGWVRTGFPLDSSYLEASPVSGLIKGLCPGLTHGQKYGISYLAPVDTPHEDYSVKQKDTVVQSHALIVVSDHSANQSLSGDSNDDNVEESSEREPEHDPSQS >EOY05532 pep chromosome:Theobroma_cacao_20110822:4:28925597:28931766:1 gene:TCM_020513 transcript:EOY05532 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MGISWSSASNSNRRRNQNYLHAPPQPPPPPPPPYYYPQEPTSLPAPTPPPPPSTSHPYPAHPQNPYHTHPPPPPPPIHSNYYCSQPYNSCGYSNPMMGRFPVHYHPYYANQTNGWPAIRAPAVVAPALPPPPYVEHQNAKKVRNDVNVHKDTLKIEVDDQNPDHHLVSFVFDALFDGSITIFYFAKEEMNCRFVPLFPEAYEPVRVPFQKGLGQRFQQPSGTGIDLGFYELDDLSKPSPGEDVFPLVISAETCVLPHSSNEHIDDSAQNTSAHMQITQAVLEKNNGNTFQVKVIRQILWVDGVRYELREIYGIGSSAAEEGFDDSDPGKECVICMTEPKDTAVLPCRHMCMCSECAKALRLQSNKCPICRQPIEELIEIKINSNH >EOY05530 pep chromosome:Theobroma_cacao_20110822:4:28925597:28931766:1 gene:TCM_020513 transcript:EOY05530 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MGISWSSASNSNRRRNQNYLHAPPQPPPPPPPPYYYPQEPTSLPAPTPPPPPSTSHPYPAHPQNPYHTHPPPPPPPIHSNYYCSQPYNSCGYSNPMMGRFPVHYHPYYANQTNGWPAIRAPAVVAPALPPPPYVEHQNAKKVRNDVNVHKDTLKIEVDDQNPDHHLVSFVFDALFDGSITIFYFAKEEMNCRFVPLFPEAYEPVRVPFQKGLGQRFQQPSGTGIDLGFYELDDLSKPSPGEDVFPLVISAETCVLPHSSNEHIDDSAQNTSAHMQITQAVLEKNNGNTFQVKVIRQILWVDGVRYELREIYGIGSSAAEEGFDDSDPGKECVICMTEPKDTAVLPCRHMCMCSECAKALRLQSNKCPICRQPIEELIEIKINSNH >EOY05531 pep chromosome:Theobroma_cacao_20110822:4:28926132:28931687:1 gene:TCM_020513 transcript:EOY05531 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 HAPPQPPPPPPPPYYYPQEPTSLPAPTPPPPPSTSHPYPAHPQNPYHTHPPPPPPPIHSNYYCSQPYNSCGYSNPMMGRFPVHYHPYYANQTNGWPAIRAPAVVAPALPPPPYVEHQNAKKVRNDVNVHKDTLKIEVDDQNPDHHLVSFVFDALFDGSITIFYFAKEEMNCRFVPLFPEAYEPVRVPFQKGLGQRFQQPSGTGIDLGFYELDDLSKPSPGEDVFPLVISAETCVLPHSSNEHIDDSAQNTSAHMQITQAVLEKNNGNTFQVKVIRQILWVDGVRYELREIYGIGSSAAEEGFDDSDPGKECVICMTEPKDTAVLPCRHMCMCSECAKALRLQSNKCPICRQPIEELIEIKINSNH >EOY05533 pep chromosome:Theobroma_cacao_20110822:4:28925597:28931766:1 gene:TCM_020513 transcript:EOY05533 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MGISWSSASNSNRRRNQNYLHAPPQPPPPPPPPYYYPQEPTSLPAPTPPPPPSTSHPYPAHPQNPYHTHPPPPPPPIHSNYYCSQPYNSCGYSNPMMGRFPVHYHPYYANQTNGWPAIRAPAVVAPALPPPPYVEHQNAKKVRNDVNVHKDTLKIEVDDQNPDHHLVSFVFDALFDGSITIFYFAKEEMNCRFVPLFPEAYEPVRVPFQKGLGQRFQQPSGTGIDLGFYELDDLSKPSPGEDVFPLVISAETCVLPHSSNEHIDDSAQNTSAHMQITQAVLEKNNGNTFQVKVIRQILWVDGVRYELREIYGIGSSAAEEGFDDSDPGKECVICMTEPKDTAVLPCRHMCMCSECAKALRLQSNKCPICRQPIEELIEIKINSNH >EOY06171 pep chromosome:Theobroma_cacao_20110822:4:31180288:31184502:-1 gene:TCM_020983 transcript:EOY06171 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT motif family protein isoform 2 MLQDVIHPPEQLPIDDIPSPISGPIFDFSDPDLFSEALQNSEVTSSNYCYEENSSYGNNLTIPPDIEKLNGYQDNNGNTNSATPTATSTTTTTTSTNTTATAATNHNNSNLSIIFDSPDEIDNDISASIDFSQSPSFSVPPFLTQQDQFDLSLVQSQIQLADVAADGLSQYTADPVAPLMGLPLPSVFDEDCLSSVPSYVPLNPSSPSCCFLSPAMATFMPAGAMTTALSADSSGIFAGSILMGSELQPQELEYQGDNGIFCPDSVQRVFNPGDLQALSSESQQLVGAAASSTPLASEISSLEDSTFNKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGETPRQACSNHEEDDDDEVVVKEEEDMVDSSDIFAHISGVNSFKCNYPIQSWI >EOY06170 pep chromosome:Theobroma_cacao_20110822:4:31180369:31182938:-1 gene:TCM_020983 transcript:EOY06170 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT motif family protein isoform 2 MKNHDGCMAKEKDDIPSPISGPIFDFSDPDLFSEALQNSEVTSSNYCYEENSSYGNNLTIPPDIEKLNGYQDNNGNTNSATPTATSTTTTTTSTNTTATAATNHNNSNLSIIFDSPDEIDNDISASIDFSQSPSFSVPPFLTQQDQFDLSLVQSQIQLADVAADGLSQYTADPVAPLMGLPLPSVFDEDCLSSVPSYVPLNPSSPSCCFLSPAMATFMPAGAMTTALSADSSGIFAGSILMGSELQPQELEYQGDNGIFCPDSVQRVFNPGDLQALSSESQQLVGAAASSTPLASEISSLEDSTFNKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGETPRQACSNHEEDDDDEVVVKEEEDMVDSSDIFAHISGVNSFKCNYPIQSWI >EOY02649 pep chromosome:Theobroma_cacao_20110822:4:1667214:1668085:-1 gene:TCM_017066 transcript:EOY02649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKLSTVTWIFVIISGIRTNVVHASNRLSVPMEAQGLEPPKSITITGDVATMTVTQLVAIVTSRDNHQYASIAAKKRHKLRASDCNFKDDESLSYESIINENSDLDVGILHEV >EOY06829 pep chromosome:Theobroma_cacao_20110822:4:33071384:33075590:1 gene:TCM_021438 transcript:EOY06829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactinol synthase 1 isoform 3 MVYLDGDIQVFENVDHLFDSPNGYLYAVMDCFCEKTWANSPQYKIGYCQQCPEKVHWPAKLGSPPPPYFNAGMFVFEPNPFTYHDLLQTLKITTPTSFAEQDFLNSFFRNVYRPIPPVYNLVLAMLWRHPENVDLDKVKVVHYCAAGSKPWRYTGEEENMQREDIKVLVKKWWEIYENESLDYKNCRPEQGNMKPLVSTLPAANPLLQQGSSSGPSAA >EOY06828 pep chromosome:Theobroma_cacao_20110822:4:33073701:33075574:1 gene:TCM_021438 transcript:EOY06828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactinol synthase 1 isoform 3 MSQSAVADQEVPNRAYVTFLAGNGDYVKGVVGLVKGLRKAESAYPLVVAILPDVPQEHRDILESQGCIVREIQPVYPPANHTQFAMAYYVVNYSKLRIWEFVEYDKMVYLDGDIQVFENVDHLFDSPNGYLYAVMDCFCEKTWANSPQYKIGYCQQCPEKVHWPAKLGSPPPPYFNAGMFVFEPNPFTYHDLLQTLKITTPTSFAEQDFLNSFFRNVYRPIPPVYNLVLAMLWRHPENVDLDKVKVVHYCAAGSKPWRYTGEEENMQREDIKVLVKKWWEIYENESLDYKNCRPEQGNMKPLVSTLPAANPLLQQGSSSGPSAA >EOY06830 pep chromosome:Theobroma_cacao_20110822:4:33074108:33075590:1 gene:TCM_021438 transcript:EOY06830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactinol synthase 1 isoform 3 ADQEVPNRAYVTFLAGNGDYVKGVVGLVKGLRKAESAYPLVVAILPDVPQEHRDILESQGCIVREIQPVYPPANHTQFAMAYYVVNYSKLRIWEFVEYDKMVYLDGDIQVFENVDHLFDSPNGYLYAVMDCFCEKTWANSPQYKIGYCQQCPEKVHWPAKLGSPPPPYFNAGMFVFEPNPFTYHDLLQTLKITTPTSFAEQVSDHASTSLIISMPSFAYVFGFPYLILLQDFLNSFFRNVYRPIPPVYNLVLAMLWRHPENVDLDKVKVVHYCAAGSKPWRYTGEEENMQREDIKVLVKKWWEIYENESLDYKNCRPEQGNMKPLVSTLPAANPLLQQGSSSGPSAA >EOY02249 pep chromosome:Theobroma_cacao_20110822:4:118771:120001:-1 gene:TCM_016779 transcript:EOY02249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAITVSSSLFSDSPQTIWFSRNDLIFNGKLFDIFQIFDVIKLKVGWWAKAKWPPKNSSVMDVVSDPSYAKASVVLKKNKLMTDWIKPPNGCMKFNVDETARGYPRDAAISGVLCDNNGSIKILFSKSVGIFYSNFAEIFTIKETFLGLTLMNLLWSLILLMLFNGLTILLLLLGE >EOY03787 pep chromosome:Theobroma_cacao_20110822:4:19321680:19324374:1 gene:TCM_018974 transcript:EOY03787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLEQEEKEILPHQELIEIINLENGEEKKEVKIGTSLSSDERQKLEELLREYVDVFAWSYQDMPSLNTDIVVHKLPLGPNCKPIKQKLRRMKPEMLLKIKEEVKKQFDAGFLEMAKYPECVANIVSVPKKDGKVRMCVDYRDLNRASPKDSFPLPHIDTLVDNTAKHALFSFMDDFSGYNQIKMTPEDMEKTRFVTMWRTFCYKVMPFGLKNAGATYQRAMVALFHDMMHKEIEVYVDDMIAKSHTERDHTVNLKKQFERLRKFQLKLNPAKCTFGVTSGKLLGFIVSEKGIEVDPDKILAIQKLPPPKTQKEKERAVYYLSKKFMEYESKYSALEKMCCALAWTVQRLRQYMLYHTTWLVAKLDPIKYIFEKPCLFGRIARWQVLLSEYDIVYVSQKSIKGSAIADFLADQANKDYESVSFNFSDEDLMAVLHIENDGPNELNPWKMYFDGASNALGHEIGAVLISPNGKYYPATARLNFNCTNNMAEYEALVMGLQAAIEMKADAIDVYGDSALVICQVRGEWETRDSKLVPYKKLVTELSKQFKEISFNHLPREENQIVDALATLTAMFKIKEAADVRPFDLEVREVSAHCLNVEEEVDGKPWYHDIMQYIKH >EOY03005 pep chromosome:Theobroma_cacao_20110822:4:3436411:3437703:1 gene:TCM_017398 transcript:EOY03005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein, putative MESSFIELKVISCKDLKAFNFFQKLSVYALVSIASDDDKKVVEKQQRQRTPTDREGDGNPEWNHTIRLEVSQALFQDCDNLFIHFDLRHEGVMFGDKTIGEVRVPFRDVIQESNGVVRFVIYEVRTTDGKSNGVLNFSFKVNGKDQGLGTDSPASQIAGYPVIHYSPETQFSSSEAHSESPTVHYPFLELENMSQGSQLQPSLSGNQDPFQEKHFMPQNAYYQLPPPPPLMPPLPHPHPPPPPRAPFPHGPCYYPPPPGPNPWGSGPYVGAYGYSTHGSQLGSADGHLETWPTGWQGVENRHSSSWNGR >EOY02415 pep chromosome:Theobroma_cacao_20110822:4:724468:734153:-1 gene:TCM_016898 transcript:EOY02415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein, putative isoform 1 MESLQGKKMEAFDKPAKTLFRDRSLEQDAKPLKESSKGAAAAAAFMVEKSWENGVRVSINGKEGSRDDEDGDGLEDSEMNGVSSLLQMKGGVRNIDVNGGNESAKGFGSLLGAVGRSKEIDDENVLASGDDGGSLMVDIHGEIVKTDGKRRRDLDDKENGGGDIMGRMDAIVDEEGDDDVGGDWGWEFSAGDFVWGKIRSHPWWPGQVYDPSNASDYAVKVRQKGRLLVAYFGDSSFAWCHPSQLKPFEENFEEMSRLSNSKNFLNAVQTSANEIGRLVELKMTCTCVPEENFIGLDRSLAANAGIKKGVPVPEGGIGKLSIGLFAPEEILGKLKDIAQAVLMSNLLECTVLKGWLSAFYRLVGRQMPMYHDPMSILDPEENVSTLVVDMSDYSEAMEVPIAGLVEEDWVSSTPGLKFGQRNQTLLRCPEISEDGMYLMRKQKSIAEIIKGEVDADARKDEDVALKGTNSGEQASSSRRKKTRANGDDDSNLSSISRKRKGTELSGYLTARKGKMSTVETDGIGAKEDMDKGYSSRGRKKKDKGASNNVDDSRGKEDTNNDPVSARRKANVGSGVGKSDVEAKDLIESGSLLRERKKSKYLSPPYTSPTGKLSRMGIEAESLKVSNESQLGEQMTKATGNLVRSSQVPNYSGQRNQLPEEVHTEQEASNESSFHTPKRYLNRMIDLAKANTPANEVLIEVQSVALSPQYPRKNNTFEIAVEFLSEFRSSVYRDGLNYKIYSQFQPHRKRKSPDSVTGSSGKDQNLTDYAPSGRTSLKKKVGKNEESKMAQSEAGQATRSSPKKTSEELKAYNPEIKQAARAAVMKKNDNEVENSLPTALFVTFGPGSSLPTKDDLIRIYSRYGALNVEDTDMFYNNFCARVVFIRSSEAKQAFNSSQYASPFGASNVSFRLRIHPAASAHDHREKPSAKPSPLAKERAKSSKKSLASQKSADQASQNSADQASQLNFIRHKLEMLTSMLEKSDEKMSSEIKSKVHSEIKGLLEKVNTMVKSSS >EOY02416 pep chromosome:Theobroma_cacao_20110822:4:730304:734792:-1 gene:TCM_016898 transcript:EOY02416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein, putative isoform 1 MESLQGKKMEAFDKPAKTLFRDRSLEQDAKPLKESSKGAAAAAAFMVEKSWENGVRVSINGKEGSRDDEDGDGLEDSEMNGVSSLLQMKGGVRNIDVNGGNESAKGFGSLLGAVGRSKEIDDENVLASGDDGGSLMVDIHGEIVKTDGKRRRDLDDKENGGGDIMGRMDAIVDEEGDDDVGGDWGWEFSAGDFVWGKIRSHPWWPGQVYDPSNASDYAVKVRQKGRLLVAYFGDSSFAWCHPSQLKPFEENFEEMSRLSNSKNFLNAVQTSANEIGRLVELKMTCTCVPEENFIGLDRSLAANAGIKKGVPVPEGGIGKLSIGLFAPEEILGKLKDIAQAVLMSNLLECTVLKGWLSAFYRLVGRQMPMYHDPMSILDPEENVSTLVVDMSDYSEAMEVPIAGLVEEDWVSSTPGLKFGQRNQTLLRCPEISEDGMYLMRKQKSIAEIIKGEVDADARKDEDVALKGTNSGEQASSSRRKKTRANGDDDSNLSSISRKRKGTELSGYLTARKGKMSTVETDGIGAKEDMDKGYSSRGRKKKDKGASNNVDDSRGKEDTNNDPVSARRKANVGSGVGKSDVEAKDLIESGSLLRERKKSKYLSPPYTSPTGKLSRMGIEAESLKVSNESQLGEQMTKATGNLVRSSQVPNYSGQRNQLPEEVHTEQEASNESSFHTPKRYLNRMIDLAKANTPANEVLIEVQSVALSPQYPRKNNTFEIAVEFLSEFRSSVYRDGLNYKIYSQFQPHRKRKSPDSVTGSSGKDQNLTDYAPSGRTSLKKKVGKNEESKMAQSEAGQATRSSPKKTSEELKAYNPEIKQAARAAVMKKNDNEVENSLPTALFVTFGPGSSLPTKDDLIRIYSRYGALNVEDTDMFYNNFCARVVFIRSSEAKQAFNSSQYASPFGASNVSFRLRIHPAASAHDHREKPSAKPSPLAKERAKSSKKSLASQKSADQASQNSADQASQLNFIRHKLEMLTSMLEKSDEKMSSEIKSKVHSEIKGLLEKVNTMVKSSS >EOY06725 pep chromosome:Theobroma_cacao_20110822:4:32768716:32772160:-1 gene:TCM_021367 transcript:EOY06725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein, putative MFFFLLYIPLPVSSHFLLFLSNITKGFFVREMKSACQIIVFVLFFPFTMNSILVLSGSSESNFPYILSCGASGDETDADGRKWSPDTKFLASSDNSETVTALYQDPSLPSTVPYMNARIFTSTTSYKFSVSQKTRLWLRLYFYPSTYNNLDPSKGCFSVVANGFTLLKNFSASITAKALTQAYIAREFSLTPVESGDLNVTITPSTEQSGSYAFINGIEVIPMPDIFQQPAALVGYSDQSVDVQSSSLQTMYRLNVGGQSIAPNNDSGLARIWYDDSPYLFGAAIGVSSKAGKNVRIQYSKDVTEDIAPLNVYSTARTMGPDPKVNQNYNLTWVFQIDANFTYIVRLHFCEYQLTKINQRVFDIFVNNQTAQSGADVIGWAGSRGIPVYKDYAAYVTDQTGDDEIWVALHPTVSMKPEYYDAILNGLEVFKVNDTNANLAGPNPDPSEMLVEAEAAEKTFSSSGSKFGSLVGGVAGGLAGCALAVAIVIFVHIKKNNVGGIHAKSGNWLPLYSHSNTTSKSTISGKSNASSHLSSLAAGLCRHFSLWEIKHGTKNFNESKVIGVGGFGKVYKGTIDGGTEVAIKRSNPSSEQGVHEFQTEIEMLSKLRHRHLVSLIGFCEEDGEMILVYDYMANGTLREHLYKSNKPPLSWKQRLEICIGAARGLHYLHTGARYTIIHRDVKTTNILLDENWVAKVSDFGLSKTGPNLNEGHVSTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLGARPALNANLPKEQVSLADWALHCQRKGTLHEIIDPHLKGKINPECLKKFAETAEKCLSDHGIDRPSMGDVLWNLEFSLQLEENPGRVVVAENKANDAYATHTALLGIEEEIANEEVDDLNQNAVFSELVNPEAR >EOY06678 pep chromosome:Theobroma_cacao_20110822:4:32641176:32646045:-1 gene:TCM_021331 transcript:EOY06678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoamide dehydrogenase 1 isoform 1 MHSALSSLSFSQATTVPRSNYAFDSHSPAFALSQPVNLRFCGLRREAFGFSSLTQSCSGRVRVSSRGHSKKISASAVGNGTPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQSEHHMKAFGLQVSAAGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGVGTIVGPQKVKYGKVGFPDNIVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLEFVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEIGKLAQRVLINPRKIDYHTGVFATKITPARDGKPVIIELTDAKTKEPKDTLEVDAALIATGRAPFTNGLGLENINVVTQRGFVPVDERMRVIDANGKLVTHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHMLNHLSIPAACFTHPEISMVGMTEPQAREKAEKEGFEVSVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKFAVHAHPTLSEVLDELFKSAKVKAPSSSSPVSEPVAV >EOY06679 pep chromosome:Theobroma_cacao_20110822:4:32640736:32649959:-1 gene:TCM_021331 transcript:EOY06679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoamide dehydrogenase 1 isoform 1 MHSALSSLSFSQATTVPRSNYAFDSHSPAFALSQPVNLRFCGLRREAFGFSSLTQSCSGRVRVSSRGHSKKISASAVGNGTPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQSEHHMKAFGLQVSAAGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGVGTIVGPQKVKYGKVGFPDNIVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLEFVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEIGKLAQRVLINPRKIDYHTGVFATKITPARDGKPVIIELTDAKTKEPKDTLEVDAALIATGRAPFTNGLGLENINVVTQRGFVPVDERMRVIDANGKLVTHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHMLNHLSIPAACFTHPEISMVGMTEPQAREKAEKEGFEVSVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKFAVHAHPTLSEVLDELFKSAKSTQQPSYQKKHLGGICSFADDSHT >EOY05513 pep chromosome:Theobroma_cacao_20110822:4:28846059:28849912:-1 gene:TCM_020498 transcript:EOY05513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34e superfamily protein isoform 2 MLHLLIMPPSSKPTSTTSSTAAAAAAAAKISGHHHHHHNSHSPLLLCKHSPSATLDLLILILVLFSGAFLLSSYFSYLFHSLSLLLSPLLSTHSHSLSLPFLSYLLGCTLFFATTLISIEFCCGSRSRKCEKPGCKGLKKALEFDLQLQTEDCVKNGSKEIDCLPWKGGSEGNPDYECLRAELRKMAPPNGRAVLLFRARCGCPIARLEGWGPKRGRRHKKALASVAQNGDHR >EOY05514 pep chromosome:Theobroma_cacao_20110822:4:28846519:28849326:-1 gene:TCM_020498 transcript:EOY05514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34e superfamily protein isoform 2 MLHLLIMPPSSKPTSTTSSTAAAAAAAAKISGHHHHHHNSHSPLLLCKHSPSATLDLLILILVLFSGAFLLSSYFSYLFHSLSLLLSPLLSTHSHSLSLPFLSYLLGCTLFFATTLISIEFCCGSRSRKCEKPGCKGLKKALEFDLQLQTEDCVKNGSKEIDCLPWKGGSEGNPDYECLRAELRKMAPPNGRAVLLFRARCGCPIARLEGWGPKRGRRHKKSAYA >EOY06939 pep chromosome:Theobroma_cacao_20110822:4:33410528:33415374:1 gene:TCM_021508 transcript:EOY06939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 1 MQDPSLTSMKQHETPTTLKPAACKLTPMSHLQSTTPSRCRVNSKPINHSAKAEARPETATPHVKDSTKNSSKSLLLNKPKSGDQPQVVGSHHKGRVVDQFARPRRLNANLTKKSEESRSAIEKNNIDELREKLSCSEALVKDLRTQVLGLKAELDGARSLNMELESLNRKLNEDLVAAEAKIAALASRDKVQLQRESNGDDQSFKFKDIQEFIANKLEHPKITREAIKEIRTVQTPLPQPASLTTKLAGAEPCAKAVSSPPPPPPPPRPPAKTTTTPKADSSVVVPLQCYNSLSLTRQERKKYPPAAAAWNHNKPTVISAHSSIVGEIQNRSAHLLAIKADVETKGEFINSLIHKVLAAAHTDIEDVLKFVDWLDSELSSLADERAVLKHFKWPERKADAMREAAIEYRDLKLLENEISSYEDDTSIPCGAALKRLAGLLDKSEKSMQRLIKLRNLVMHSYQEYKIPIDWMLDSGITCKIKQGSMKLATLYIKRVATELQLVRSLDKESAQGALLLQVMHFAHKVQQFAGGLDSETLCAFEEIRQCIPRHLVGSKELLAGIASP >EOY06941 pep chromosome:Theobroma_cacao_20110822:4:33411662:33415374:1 gene:TCM_021508 transcript:EOY06941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 1 LASRDKVQLQRESNGDDQSFKFKDIQEFIANKLEHPKITREAIKEIRTVQTPLPQPASLTTKLAGAEPCAKAVSSPPPPPPPPRPPAKTTTTPKADSSVVVPLQCYNSLSLTRQERKKYPPAAAAWNHNKPTVISAHSSIVGEIQNRSAHLLAIKADVETKGEFINSLIHKVLAAAHTDIEDVLKFVDWLDSELSSLADERAVLKHFKWPERKADAMREAAIEYRDLKLLENEISSYEDDTSIPCGAALKRLAGLLDNRPCNHDRSEKSMQRLIKLRNLVMHSYQEYKIPIDWMLDSGITCKIKQGSMKLATLYIKRVATELQLVRSLDKESAQGALLLQVMHFAHKVQQFAGGLDSETLCAFEEIRQCIPRHLVGSKELLAGIASP >EOY06940 pep chromosome:Theobroma_cacao_20110822:4:33410528:33415374:1 gene:TCM_021508 transcript:EOY06940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 1 MQDPSLTSMKQHETPTTLKPAACKLTPMSHLQSTTPSRCRVNSKPINHSAKAEARPETATPHVKDSTKNSSKSLLLNKPKSGDQPQVVGSHHKGRVVDQFARPRRLNANLTKKSEESRSAIEKNNIDELREKLSCSEALVKDLRTQVLGLKAELDGARSLNMELESLNRKLNEDLVAAEAKIAALASRDKVQLQRESNGDDQSFKFKDIQEFIANKLEHPKITREAIKEIRTVQTPLPQPASLTTKLAGAEPCAKAVSSPPPPPPPPRPPAKTTTTPKADSSVVVPLQCYNSLSLTRQERKKYPPAAAAWNHNKPTVISAHSSIVGEIQNRSAHLLAIKADVETKGEFINSLIHKVLAAAHTDIEDVLKFVDWLDSELSSLADERAVLKHFKWPERKADAMREAAIEYRDLKLLENEISSYEDDTSIPCGAALKRLAGLLDKSEKSMQRLIKLRNLVMHSYQEYKIPIDWMLDSGITCKGSMKLATLYIKRVATELQLVRSLDKESAQGALLLQVMHFAHKVQQFAGGLDSETLCAFEEIRQCIPRHLVGSKELLAGIASP >EOY06943 pep chromosome:Theobroma_cacao_20110822:4:33410929:33413489:1 gene:TCM_021508 transcript:EOY06943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 1 MQDPSLTSMKQHETPTTLKPAACKLTPMSHLQSTTPSRCRVNSKPINHSAKAEARPETATPHVKDSTKNSSKSLLLNKPKSGDQPQVVGSHHKGRVVDQFARPRRLNANLTKKSEESRSAIEKNNIDELREKLSCSEALVKDLRTQVLGLKAELDGARSLNMELESLNRKLNEDLVAAEAKIAALASRDKVQLQRESNGDDQSFKFKDIQEFIANKLEHPKITREAIKEIRTVQTPLPQPASLTTKLAGAEPCAKAVSSPPPPPPPPRPPAKTTTTPKADSSVVVPLQCYNSLSLTRQERKKYPPAAAAWNHNKPTVISAHSSIVGEIQNRSAHLLAIKADVETKGEFINSLIHKVLAAAHTDIEDVLKFVDWLDSELSSLADERAVLKHFKWPERKADAMREAAIEYRDLKLLENEISSYEDDTSIPCGAALKRLAGLLDNRPCNHDRSEKSMQRLI >EOY06942 pep chromosome:Theobroma_cacao_20110822:4:33410967:33415363:1 gene:TCM_021508 transcript:EOY06942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 1 MQDPSLTSMKQHETPTTLKPAACKLTPMSHLQSTTPSRCRVNSKPINHSAKAEARPETATPHVKDSTKNSSKSLLLNKPKSGDQPQVVGSHHKGRVVDQFARPRRLNANLTKKSEESRSAIEKNNIDELREKLSCSEALVKDLRTQVLGLKAELDGARSLNMELESLNRKLNEDLVAAEAKIAALASRDKVQLQRESNGDDQSFKFKDIQEFIANKLEHPKITREAIKEIRTVQTPLPQPASLTTKLAGAEPCAKAVSSPPPPPPPPRPPAKTTTTPKADSSVVVPLQCYNSLSLTRQERKKYPPAAAAWNHNKPTVISAHSSIVGEIQNRSAHLLAIKADVETKGEFINSLIHKVLAAAHTDIEDVLKFVDWLDSELSSLADERAVLKHFKWPERKADAMREAAIEYRDLKLLENEISSYEDDTSIPCGAALKRLAGLLDKSEKSMQRLIKLRNLVMHSYQEYKIPIDWMLDSGITCKIKQGSMKLATLYIKRVATELQLVRSLDKESAQGALLLQVMHFAHKVQQQFAGGLDSETLCAFEEIRQCIPRHLVGSKELLAGIASP >EOY03920 pep chromosome:Theobroma_cacao_20110822:4:20370594:20373202:-1 gene:TCM_019130 transcript:EOY03920 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group A isoform 1 MATEEVNKPPSLPSYPQQMIVNAIEALNDKNGSNKTSISKYIESQYGDLPAGHTTLLSHHLNRMKETGELIFWKNNYMKADPNAPPRRGRGRPPKSKDPLPPGVVLSPARPRGRPPKDPNAPPKSPKPKVASGTGKPRGRPRKMARPAGGIGGSSTTTMVAAVRPRGRPPKVKASALTEANSGLGKVESEV >EOY03919 pep chromosome:Theobroma_cacao_20110822:4:20370295:20373222:-1 gene:TCM_019130 transcript:EOY03919 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group A isoform 1 MATEEVNKPPSLPSYPQMIVNAIEALNDKNGSNKTSISKYIESQYGDLPAGHTTLLSHHLNRMKETGELIFWKNNYMKADPNAPPRRGRGRPPKSKDPLPPGVVLSPARPRGRPPKDPNAPPKSPKPKVASGTGKPRGRPRKMARPAGGIGGSSTTTMVAAVRPRGRPPKVKASALTEANSGLGKVESEV >EOY04370 pep chromosome:Theobroma_cacao_20110822:4:24064792:24067313:-1 gene:TCM_019638 transcript:EOY04370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MASYVTCPFLIEKRKRNICTGYYNSTTPPEFKTPTNVSFLSSAMERDFLGLKRKDSSGTLTEITSNNIFNDSVGGMQRWWSEDSSWSQFQPFGATRDDTPDTLASPVYAFDFTCNPLSTLPKRSFNSTTPWKFPFQEETIPTSKQFLGGFPFAERSATSSPTDPRNKSKQVEAADDRLTIVYDNKVHVFEDISPNKAEAILRLARDEYYSFLTADDDRNQVVLPEQTTMDLLCWTSNGNQHALDPPSIINSSAEFDSHTYSAISN >EOY04369 pep chromosome:Theobroma_cacao_20110822:4:24064792:24067313:-1 gene:TCM_019638 transcript:EOY04369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MASYVTCPFLIEKRKRNICTGYYNSTTPPEFKTPTNVSFLSSAMERDFLGLKRKDSSGTLTEITSNNIFNDSALTKKEHVDFPKLRLSWRKNLFYFVGGMQRWWSEDSSWSQFQPFGATRDDTPDTLASPVYAFDFTCNPLSTLPKRSFNSTTPWKFPFQEETIPTSKQFLGGFPFAERSATSSPTDPRNKSKQVEAADDRLTIVYDNKVHVFEDISPNKAEAILRLARDEYYSFLTADDDRNQVVLPEQTTMDLLCWTSNGNQHALDPPSIINSSAEFDSHTYSAITLPQARKATLEHFLEKRKDRLTNGMFYKFMKTVLYSPELLR >EOY04368 pep chromosome:Theobroma_cacao_20110822:4:24064533:24067471:-1 gene:TCM_019638 transcript:EOY04368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MASYVTCPFLIEKRKRNICTGYYNSTTPPEFKTPTNLSSAMERDFLGLKRKDSSGTLTEITSNNIFNDSVGGMQRWWSEDSSWSQFQPFGATRDDTPDTLASPVYAFDFTCNPLSTLPKRSFNSTTPWKFPFQEETIPTSKQFLGGFPFAERSATSSPTDPRNKSKQVEAADDRLTIVYDNKVHVFEDISPNKAEAILRLARDEYYSFLTADDDRNQVVLPEQTTMDLLCWTSNGNQHALDPPSIINSSAEFDSHTYSAITLPQARKATLEHFLEKRKDRLTNGMFYKFMKTVLYSPELLR >EOY04371 pep chromosome:Theobroma_cacao_20110822:4:24064787:24066600:-1 gene:TCM_019638 transcript:EOY04371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MQRWWSEDSSWSQFQPFGATRDDTPDTLASPVYAFDFTCNPLSTLPKRSFNSTTPWKFPFQEETIPTSKQFLGGFPFAERSATSSPTDPRNKSKQVEAADDRLTIVYDNKVHVFEDISPNKAEAILRLARDEYYSFLTADDDRNQVVLPEQTTMDLLCWTSNALPQARKATLEHFLEKRKDRLTNGMFYKFMKTVLYSPELLR >EOY04654 pep chromosome:Theobroma_cacao_20110822:4:25364059:25366525:-1 gene:TCM_019854 transcript:EOY04654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDHIAAMEQQLVSERMRKKLNEVNLAAQAQLAPVQDHINFTLQQAYFKCAYECFDRRRKQEEISNCVEHCSVPVVNAQQHFENEMAKFQEMSKERLNRSLMVCQDKFESAKLQQIKTEAINELESCVNQSIEDNMKTMPHLVGRLKASFNIGA >EOY04655 pep chromosome:Theobroma_cacao_20110822:4:25364099:25366566:-1 gene:TCM_019854 transcript:EOY04655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDHIAAMEQQLVSERMRKKLNEVNLAAQAQLAPVQDHINFTLQQAYFKCAYECFDRRRKQEEISNCVEHCSVPVVNAQQHFENEMAKFQERLNRSLMVCQDKFESAKLQQIKTEAINELESCVNQSIEDNMKTMPHLVGRLKASFNIGA >EOY05369 pep chromosome:Theobroma_cacao_20110822:4:28284391:28291857:1 gene:TCM_020385 transcript:EOY05369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydratase family isoform 2 MQATFLTSRATVLPTNKPLTPPPNHRRCFRITAQSQATVTADPPSPPTTKLNKYSSRITEPKSQGGSQAMLFGVGLSEGDMSKPQVGISSVWYEGNTCNMHLLRLSEEVKRGVEEAGMVGFRFNTVGVSDGISMGTRGMCYSLQSRDLIADSIETVMSAQWYDGNISIPGCDKNMPGTIMAMGRLNRPSLMVYGGAIKPGHFQGNTYDIISAFQCYGEYVSGSITDEQRKNVVRNSCPGAGACGGMYTANTMASAIEAMGMSLPYSSSIPAEDPLKLDECRLAGKYLVELLKMDLKPRDIITHKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLELTLDDFQKVSDEVPFLADLKPSGKYVMEDVHKIGGTPAVIRYLLELGFLDGDCMTVTAKTLAENAQSYPPLPEGQDILRPVSNPIKETGHIQILRGNLAPDGSVAKITGKEGLFFSGPALVFEGEEAMLAAISENPMSFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKDVALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLIQNGDLISIDVQKRAINVHLTDAELSERRKKWTPPPYKANRGVLHKYIKNVQSASKGCVTDE >EOY05370 pep chromosome:Theobroma_cacao_20110822:4:28284297:28289401:1 gene:TCM_020385 transcript:EOY05370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydratase family isoform 2 MQATFLTSRATVLPTNKPLTPPPNHRRCFRITAQSQATVTADPPSPPTTKLNKYSSRITEPKSQGGSQAMLFGVGLSEGDMSKPQVGISSVWYEGNTCNMHLLRLSEEVKRGVEEAGMVGFRFNTVGVSDGISMGTRGMCYSLQSRDLIADSIETVMSAQWYDGNISIPGCDKNMPGTIMAMGRLNRPSLMVYGGAIKCYGEYVSGSITDEQRKNVVRNSCPGAGACGGMYTANTMASAIEAMGMSLPYSSSIPAEDPLKLDECRLAGKYLVELLKMDLKPRDIITHKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLELTLDDFQKVSDEVPFLADLKPSGKYVMEDVHKIGGTPAVIRYLLELGFLDGDCMTVTAKTLAENAQSYPPLPEGQDILRPVSNPIKETGHIQILRGNLAPDGSVAKITGKEGLFFSGAFFAHLPY >EOY02920 pep chromosome:Theobroma_cacao_20110822:4:3026280:3029833:1 gene:TCM_017322 transcript:EOY02920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATP synthase subunit C (VATC) / V-ATPase C subunit / vacuolar proton pump C subunit (DET3) MASRYWVVSLPVQNSASTLWNSLQDQISKHSFDTPLYRFNIPNLRVGTLDSLLALSDDLLKSNSFIEGVSHKIRRQIEELERVSGMESNALTVDGVPIDSYLTRFVWDEAKYPTMSPLREIVDGIHTQVAKIEDDLKVRVAEYNNVRGQLNAINRKQSGSLAVRDLSNLVKPEDIITSEHLVTLLAIVPKYSQKDWLSSYETLTSYVVPRSSKKLYEDNEYALYTVTLFGRVADNFRTSARERGFQIRDFEYSPEAQESRKQELEKLIQDQDSLRSSLLQWCYASYGEVFSSWMHFCAVRVFSESILRYGLPPSFLACVLAPSVKGEKKVRSILEGLCDSTNSTYWKTEDESGAMAGLGGDADAHPYVSFTINIA >EOY03144 pep chromosome:Theobroma_cacao_20110822:4:6166853:6167901:1 gene:TCM_017689 transcript:EOY03144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TAU 19 MSKEELVILDCWVSPFCMSVKIALAEKGLEYEAREEDLFGGKSDLLLNSNPIYMKVPVLLHQAKPLCESTIIVNYIDETWPSPPLLPPCSYGRAQARFWADFIDKKLFDAGCNIWRSKGEAPEEAKREVIEILKQLEEALREKAFFGGDTFEFVDIITVPVTSWFHAIEKFGNFKVEDECPKFSAWMKRCMQRETVAKVLPNPEKVYEFLIMFRKMQGIE >EOY04445 pep chromosome:Theobroma_cacao_20110822:4:24337914:24338387:1 gene:TCM_019693 transcript:EOY04445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSRHHHHHHHHHGDSPYDDPFLRCCCCPCFIMSSIFRGLGRCIFVACYPVLHCFGFDDDWAHRHHHRHRHFP >EOY04444 pep chromosome:Theobroma_cacao_20110822:4:24337944:24339529:1 gene:TCM_019693 transcript:EOY04444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSRHHHHHHHHHGDSPYDDPFLRCCCCPCFIMSSIFRGLGRCIFVACYPVLHCFGFDDDWAHRHHHRHRYE >EOY04446 pep chromosome:Theobroma_cacao_20110822:4:24338170:24339525:1 gene:TCM_019693 transcript:EOY04446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 HHGDSPYDDPFLRCCCCPCFIMSSIFRGLGRCIFVACYPVLHCFGFDDDWAHRHHHRHRHFP >EOY04251 pep chromosome:Theobroma_cacao_20110822:4:23009218:23010917:1 gene:TCM_019507 transcript:EOY04251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein MKERQRWQPEEDALLKAYVKQYGPKEWNLISQRMGKTLNRDPKSCLERWKNYLKPGIKKGSLTPEEQSLVISLQAKYGNKWKKIASEVPGRTAKRLGKWWEVFKEKQLKQLQKKQGRKEFSPEGNSNIPVVSSSPGQYDHILETFAEKYVQPNNKFLAYSTMNLSPIMPPIISLPDPDPVLSLGSGSSGTATTSSSVVLPLWMNHTTSSLSSSTSSTTPSPSVSLSLSPGEPGLDPDLARFVPGQVGTLVQCCKELEEGRQSWMQHKKEATWRLSRLEQQLESEKARKRREKMEEIEAKIRCLREEETAFLGRIESEYKEQLNVLQRDAETKDAKLMEAWCSKHVKLAKLVEQIGFSTQSLP >EOY02786 pep chromosome:Theobroma_cacao_20110822:4:2256046:2260723:1 gene:TCM_017182 transcript:EOY02786 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MEESNSKEKKMCWRKEVDQNLKRLHSLLFGAELCLEKRDFAAAQVLSLRLLGFLDSHSHSEVDEAFTRPIRRDTVSKLDSARRALVQESDRRAFEQAGKAPGCVFGRKGGVDIDKIKQSKYFCALLQQSKGKAVNELGGQQDRQDKLISKPSKVTTQAKLTSLYGNKITRANNCSYKSSLDSKTNSSEDCVIVEKGHFNRNLHRGHSVSIFSKVEEEERAHVNTSGTKRAHIEISSPNSPSSNEEALADISGNGFVTARAKLEMDARQKRGLAGSPSPSASPQSDTNFNTRGYGAKSYGFSRRGVRGNFVPPIRSNGGSVGNVTSRIGGKGDESLDDSTRTCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDRDPNVRWDDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSEGEHESSRRLKTQFLIEMEGFDSGSEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIVRNLLEKDGLFKLSEEDIGAICKLTEGYSGSDMKNLVKDASMGPLREALRQGIEITKLKKEDMRPVTLQDFENALQEVRPSVSLNELGTYEEWNKQFGSLSL >EOY02785 pep chromosome:Theobroma_cacao_20110822:4:2256247:2262714:1 gene:TCM_017182 transcript:EOY02785 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MCWRKEVDQNLKRLHSLLFGAELCLEKRDFAAAQVLSLRLLGFLDSHSHSEVDEAFTRPIRRDTVSKLDSARRALVQESDRRAFEQAGKAPGCVFGRKGGVDIDKIKQSKYFCALLQQSKGKAVNELGGQQDRQDKLISKPSKVTTQAKLTSLYGNKITRANNCSYKSSLDSKTNSSEDCVIVEKGHFNRNLHRGHSVSIFSKVEEEERAHVNTSGTKRAHIEISSPNSPSSNEEALADISGNGFVTARAKLEMDARQKRGLAGSPSPSASPQSDTNFNTRGYGAKSYGFSRRGVRGNFVPPIRSNGGSVGNVTSRIGGKGDESLDDSTRTCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDRDPNVRWDDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSEGEHESSRRLKTQFLIEMEGFDSGSEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIVRNLLEKDGLFKLSEEDIGAICKLTEGYSGSDMKNLVKDASMGPLREALRQGIEITKLKKEDMRPVTLQDFENALQEVRPSVSLNELGTNSCSITGWQESNQKSHVIAAEVVSLPAMMKLIAISLISSSSWPANINLDKRSSPQFSSPSSIFFFLSRIISSTPRTKEQLHAWRMSLTPCQKLC >EOY02971 pep chromosome:Theobroma_cacao_20110822:4:3268974:3271871:-1 gene:TCM_017365 transcript:EOY02971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKQKKLTSHFVSIKLENGSTSSSKLYSTLMVTLGFQSNSTSKWHTNLMHLDSWLMVSYVSKDTLWVSFSSGSTDFFSSKTYLIIIGKKKRTGRRRNPLSCEWSHVLQACTCREVSSLINSAIQVQGETLRVQVQISSCTDFSCSGMQCSKSLEDEGMCNHGYMQMKSFVPSARGQWSCDTPKLIKPSEKKKKLKHLGKKPKNISIKSFIYGMNPNLTKVSNFYNFVEKLAASFANISP >EOY04726 pep chromosome:Theobroma_cacao_20110822:4:25684209:25685377:-1 gene:TCM_019911 transcript:EOY04726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMEDVGKNGVLAVQTLRNNIMASTVLASTAIMLSSLIALLMSNTGRDKSTWLDFGDRSEQAFSIKYFSILVCFMAVFLLNVQSIRYYSHASILINIPFKKMYSDQHHRNLTAEYVATTVNRGSYFWSLGLRGFYFSLPLFLWIFGPIPMILCCFVLVFMLYFLDVNVEFGSAVSVADDNRDGNEDDAELGGLNSSV >EOY04725 pep chromosome:Theobroma_cacao_20110822:4:25684209:25685373:-1 gene:TCM_019911 transcript:EOY04725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSMEMKYLDCILVPLGILTMVAYHIWQLHRIIKHPTKTIIGVNAISRRFWVHSMMEDVGKNGVLAVQTLRNNIMASTVLASTAIMLSSLIALLMSNTGRDKSTWLDFGDRSEQAFSIKYFSILVCFMAVFLLNVQSIRYYSHASILINIPFKKMYSDQHHRNLTAEYVATTVNRGSYFWSLGLRGFYFSLPLFLWIFGPIPMILCCFVLVFMLYFLDVNVEFGSAVSVADDNRDGNEDDAELGGLNSSV >EOY06386 pep chromosome:Theobroma_cacao_20110822:4:31742608:31743265:1 gene:TCM_021121 transcript:EOY06386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFWFLLLHIMFFMASPLLSLASSQLSSDIPTVSASPVSMSDPPLAPFQELSPDIAPLFPSPGGVVPTTGSSMPTIPSSPSPPNPDDVMAAGPDSAAFPPFGSLPGFSTSHRISVGSLNLAAFPVVAAYCLIQLVRVL >EOY05327 pep chromosome:Theobroma_cacao_20110822:4:28097992:28102112:1 gene:TCM_020350 transcript:EOY05327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type 1 membrane protein, putative isoform 1 MCPRDRETTTQIGLSPSLVHFLTMKIFHLFLISSLLFSFSRAEDTGSVFFIDSSTHQFLRTQSTGDVAQSQSMLLHEVGAAVSVLLGFAPPTTLSAAGSSKLNEVLIPNPFDRPRAVFLLEVTGIDDPLVVDPKNALFINALKRSVDLGSRADIQLPDEEEVSVISLDEPLGDYTEEEINDFASWLGGSYVTDATEPLQGVLTIPLANGDNVNLHMSKKVHREFASKLLALSHNIRKAMKMHADLSQTLHRPAELIMGSFDAIKALQEQHDADGINKQGMRLQLATISKIFDSLLTAYEAIVFNGVPQPESKTLMNVMFTSRPSPRWLAEKIRSQNTTDAAQVLVRRTLAWVTGLVLVIATLLGVYFLLNMPLTRDTLLYSNVKLD >EOY05326 pep chromosome:Theobroma_cacao_20110822:4:28097724:28102348:1 gene:TCM_020350 transcript:EOY05326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type 1 membrane protein, putative isoform 1 MCPRDRETTTQIGLSPSLVHFLTMKIFHLFLISSLLFSFSRAEDTGSVFFIDSSTHQFLRTQSTGDVAQSQSMLLHEVGAAVSVLLGFAPPTTLSAAGSSKLNEVLIPNPFDRPRAVFLLEVTGIDDPLVVDPKNALFINALKRSVDLGSRADIQLPDEEEVSVISLDEPLGDYTEEEINDFASWLGGSYVTDATEPLQGVLTIPLANGDNVNLHMSKKVHREFASKLLALSHNIRKAMKMHADLSQTLHRPAELIMGSFDAIKALQEQHDADGINKQGMRLQLATISKIFDSLLTAYEGEIVGAIVFNGVPQPESKTLMNVMFTSRPSPRWLAEKIRSQNTTDAAQVLVRRTLAWVTGLVLVIATLLGVYFLLNMPLTRDTLLYSNVKLD >EOY05328 pep chromosome:Theobroma_cacao_20110822:4:28097992:28102470:1 gene:TCM_020350 transcript:EOY05328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type 1 membrane protein, putative isoform 1 MCPRDRETTTQIGLSPSLVHFLTMKIFHLFLISSLLFSFSRAEDTGSVFFIDSSTHQFLRTQSTGDVAQSQSMLLHEVGAAVSVLLGFAPPTTLSAAGSSKLNEVLIPNPFDRPRAVFLLEVTGIDDPLVVDPKNALFINALKRSVDLGSRADIQLPDEEEVSVISLDEPLGDYTEEEINDFASWLGGSYVTDATEPLQGVLTIPLANGDNVNLHMSKKVHREFASKLLALSHNIRKAMKMHADLSQTLHRPAELIMGSFDAIKHDAALRIPLMLHKCWLEGPLLG >EOY05906 pep chromosome:Theobroma_cacao_20110822:4:30228624:30230504:-1 gene:TCM_020788 transcript:EOY05906 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S15-like isoform 1 MANVETEVAAAAVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFPARARRRFQRGLKRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSVIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >EOY05905 pep chromosome:Theobroma_cacao_20110822:4:30228693:30230566:-1 gene:TCM_020788 transcript:EOY05905 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S15-like isoform 1 MANVETEVAAAAVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFPARARRRFQRGLKRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSVIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >EOY05886 pep chromosome:Theobroma_cacao_20110822:4:30180510:30184194:1 gene:TCM_020779 transcript:EOY05886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein isoform 1 MDFNELEAIEGLRWPWHSWPTTKPDLTIPLAVMCTPLTEFAELPILRYDPLTCSKCGAVLNPYARVDYTSRIWFCPFCFFKNPFPRTYSSSLRESNLPAELFPNYSSVEYAKTVNSVSNPIGSSLSSSSLSSMTSLKMTALAGEVGVRGPAFVFVVDGCLEEEELRGVKSELLRVVEQLPESALVALVTFDAMVNVYDLGFSECSRVVVFHGDRELSSEQIQKFLGLGGTKLQQLGKTPVIQKQSFLLPISECEFNITSAIEELHSFAQMTPGYRPQRSTGAAISTALGLLEGCLVNTGSRIMVFTSGPATLGPGIVVDSDLGNAIRNHRDLINGQAPYYRKSSTFYKRLSQRLCDSSVVLDLFACSLDQVGAAELRVPVESSGGFMILGESFESDQFRKSMRHIFSRDEEGNLKMHFDATIEIVTTKDVKICGALGPCVSLKKKNNLVSDNEIGDGGTYMWKLGTLTNKTCIAFFFQINDEHKPQAGSAFLIQFITRYRHGNMGIRKRVTTAARRWVAKQSPEIPAGFDQEAAASVMARLAIHRAETCNARDVIRWLDDTLIHFASKFGDYIQEDPSSFRLSSNFSLYPQFMFYLRRSQFLDVFNSTPDETAFFRLMLNREGVMDSIVMIQPTLLQYSFDGPPVPVLLDIRSISPDVILLFDSYFYVVIHYGSKIAQWRKLGYDKDPNHGNLSKLLEAPELDAGQLVAGRVPPPKLVKCDQHSSQARFLLAKLNPSVTQDSTYTDGSDIIFTDDLSLQVFIDHLQALAVQG >EOY05888 pep chromosome:Theobroma_cacao_20110822:4:30180488:30184165:1 gene:TCM_020779 transcript:EOY05888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein isoform 1 MDFNELEAIEGLRWPWHSWPTTKPDLTIPLAVMCTPLTEFAELPILRYDPLTCSKCGAVLNPYARVDYTSRIWFCPFCFFKNPFPRTYSSSLRESNLPAELFPNYSSVEYAKTVNSVSNPIGSSLSSSSLSSMTSLKMTALAGEVGVRGPAFVFVVDGCLEEEELRGVKSELLRVVEQLPESALVALVTFDAMVNVYDLGFSECSRVVVFHGDRELSSEQIQKFLGLGGTKLQQLGKTPVIQKQSFLLPISECEFNITSAIEELHSFAQMTPGYRPQRSTGAAISTALGLLEGCLVNTGSRIMVFTSGPATLGPGIVVDSDLGNAIRNHRDLINGQAPYYRKSSTFYKRLSQRLCDSSVVLDLFACSLDQVGAAELRVPVESSGGFMILGESFESDQFRKSMRHIFSRDEEGNLKMHFDATIEIVTTKDVKICGALGPCVSLKKKNNLVSDNEIGDGGTYMWKLGTLTNKTCIAFFFQINDEHKPQAGSAFLIQFITRYRHGNMGIRKRVTTAARRWVAKQSPEIPAGFDQEAAASVMARLAIHRAETCNARDVIRWLDDTLIHFASKFGDYIQEDPSSFRLSSNFSLYPQFMFYLRRSQFLDVFNSTPDETAFFRLMLNREGVMDSIVMIQPTLLQYSFDGPPVPVLLDIRSISPDVILLFDSYFYVVIHYGSKIAQWRKLGYDKDPNHGNLSKLLEAPELDAGQLVAGRVPPPKLVKCDQHSSQG >EOY05887 pep chromosome:Theobroma_cacao_20110822:4:30180488:30184165:1 gene:TCM_020779 transcript:EOY05887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein isoform 1 MDFNELEAIEGLRWPWHSWPTTKPDLTIPLAVMCTPLTEFAELPILRYDPLTCSKCGAVLNPYARVDYTSRIWFCPFCFFKNPFPRTYSSSLRESNLPAELFPNYSSVEYAKTVNSVSNPIGSSLSSSSLSSMTSLKMTALAGEVGVRGPAFVFVVDGCLEEEELRGVKSELLRVVEQLPESALVALVTFDAMVNVYDLGFSECSRVVVFHGDRELSSEQIQKFLGLGGTKLQQLGKTPVIQKQSFLLPISECEFNITSAIEELHSFAQMTPGYRPQRSTGAAISTALGLLEGCLVNTGSRIMVFTSGPATLGPGIVVDSDLGNAIRNHRDLINGQAPYYRKSSTFYKRLSQRLCDSSVVLDLFACSLDQVGAAELRVPVESSGGFMILGESFESDQFRKSMRHIFSRDEEGNLKMHFDATIEIVTTKDVKICGALGPCVSLKKKNNLVSDNEIGDGGTYMWKLGTLTNKTCIAFFFQINDEHKPQAGSAFLIQFITRYRHGNMGIRKRVTTAARRWVAKQSPEIPAGFDQEAAASVMARLAIHRAETCNARDVIRWLDDTLIHFASKFGDYIQEDPSSFRLSSNFSLYPQFMFYLRRSQFLDVFNSTPDETAFFRLMLNREGVMDSIVMIQPTLLQYSFDGPPVPVLLDIRSISPDVILLFDSYFYVVIHYGSKIAQWRKLGYDKDPNHGNLSKLLEAPELDAGQLVAGRVPPPKLVKCDQHSSQARFLLAKLNPSVTQDSTYTDGSDIIFTDDLSLQVFIDHLQALAVQG >EOY03866 pep chromosome:Theobroma_cacao_20110822:4:20028756:20029572:1 gene:TCM_019070 transcript:EOY03866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine-zipper 42 MQPSEVSGLHYLVPSNPSPYSAHFGMNHSNTPTFELNRFSNPLYNFYIPPQFQEINPHSSCLSSNSTSDEADEQQLSLINERKQRRMISNRESARRSRMRKQRHLDELWSQVVWLRNENHQLIDKLNHVSESHDKVLQENAQLKEEASELRQMLSDMQLSSPYSTLRDLEDIALEHGLSEN >EOY02616 pep chromosome:Theobroma_cacao_20110822:4:1546944:1547659:1 gene:TCM_017043 transcript:EOY02616 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein MLYICNANHRGRCTNQVHQLIFTSRFSKLFFPKRKMALISQLFGDEIYDPFLTMINKCPVLNTPTDWKETPEAHVFVSDLPGLKKEEVKVEVDDGRVLKISGERTVERDEKNDKWHRVERCQGKFHRSFRLPENAKTDEVKASMENGVLVVTVPKQKLKKPEKKVVEIEEIKG >EOY04058 pep chromosome:Theobroma_cacao_20110822:4:21768383:21796517:1 gene:TCM_019320 transcript:EOY04058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKISLTVAKKVTSRDNVPHFRQSNDMRDPKIGQWLGASGHRLIWCRASRRSSLSGAAPAAAPLSLPPFGAPSPRARSSLLLLPSPAANNQAVAPPTGGKPAQISLLLPAANTPPTGSKHSQAFTPPTGSKQPSCCSSYRRQTSPDFSPPTGSKQPSCFSIFLFCPALLCLEKLPPCCRFSPSHLYPISGLWRGRSSTALSLGTQGQVPLTCQTRIFRVWQNMVM >EOY06867 pep chromosome:Theobroma_cacao_20110822:4:33186217:33188925:-1 gene:TCM_021462 transcript:EOY06867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-fold NAD(P)-binding domain-containing protein isoform 2 METMIKELKEALRFVLSAEFWRMGVLWTISLLASYLQLYTNRLFSRKAQSYPRCHPPISPSLRPVCVITGATSGLGAAAAHALSREGFYVVLVGRSSHSLSKIMTDIKTQNEDARVKAFQVDLSSFHSILEFKGSLQQWLLDYKMHSSVQLLINNAGILATSSRFTPEGYDQMLGTNYIGAFCLTTFLLPLLKRSPVPSRIVNVTSFTHRNVFDVQVDQESVSGACFLRSGQYPFARIYEYSKLYLLLFSYELHQQLGLMDKPCHVSVTAADPGVVKTNIMREVPSRLSQLAFEVLKLLGLLQSPENGVSSILDAALAPPEASGVYFFGGKGRTLNSSPLSHNIKLAKELWTTSYSLFLEASLASKETSSSMSDNLS >EOY06868 pep chromosome:Theobroma_cacao_20110822:4:33185983:33189204:-1 gene:TCM_021462 transcript:EOY06868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-fold NAD(P)-binding domain-containing protein isoform 2 METMIKELKEALRFVLSAEFWRMGVLWTISLLASYLQLYTNRLFSRKAQSYPRCHPPISPSLRPVCVITGATSGLGAAAAHALSREGFYVVLVGRSSHSLSKIMTDIKTQNEDARVKAFQLLINNAGILATSSRFTPEGYDQMLGTNYIGAFCLTTFLLPLLKRSPVPSRIVNVTSFTHRNVFDVQVDQESVSGACFLRSGQYPFARIYEYSKLYLLLFSYELHQQLGLMDKPCHVSVTAADPGVVKTNIMREVPSRLSQLAFEVLKLLGLLQSPENGVSSILDAALAPPEASGVYFFGGKGRTLNSSPLSHNIKLAKELWTTSYSLFLEASLASKETSSSMSDNLS >EOY03988 pep chromosome:Theobroma_cacao_20110822:4:21068712:21072281:1 gene:TCM_019219 transcript:EOY03988 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO protein 4 isoform 1 MSMALRGYWRSYSSKVDLKKLRPMILKRIENRAKDYPVPGMIPVAQEVLMARALLFQGVSILLKLFPVLACKFCPEVYIGEKGHLIKTCCGYKRIGKNRVHEWVNGGLNDILVPVEAFHLHNMFQGVIKHQQRFDFERVPAVVELCWQAGADLNDENLNSGSLVADEFYGGVRGIESLSHDDLTVIANGTLRAWETLRSGVMKLLLVYPAKVCKYCSEVHVGPSGHRARLCGVFRYESWRGAHFWKKAGVDDLVPPKIVWRRRPQDPLVLLDEGRDYYGHAPAVVDLCSGAGAIVPTKYSCMMKVSGLPAPL >EOY03986 pep chromosome:Theobroma_cacao_20110822:4:21068712:21072287:1 gene:TCM_019219 transcript:EOY03986 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO protein 4 isoform 1 MSMALRGYWRSYSSKVDLKKLRPMILKRIENRAKDYPVPGMIPVAQEVLMARALLFQGVSILLKLFPVLACKFCPEVYIGEKGHLIKTCCGYKRIGKNRVHEWVNGGLNDILVPVEAFHLHNMFQGVIKHQQRFDFERVPAVVELCWQAGADLNDENLNSGSLVADEFYGGVRGIESLSHDDLTVIANGTLRAWETLRSGVMKLLLVYPAKVCKYCSEVHVGPSGHRARLCGVFRYESWRGAHFWKKAGVDDLVPPKIVWRRRPQDPLVLLDEGRDYYGHAPAVVDLCSGAGAIVPTKYSCMMKVSGLPAPL >EOY03987 pep chromosome:Theobroma_cacao_20110822:4:21068726:21072219:1 gene:TCM_019219 transcript:EOY03987 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO protein 4 isoform 1 MSMALRGYWRSYSSKVDLKKLRPMILKRIENRAKDYPVPGMIPVAQEVLMARALLFQGVSILLKLFPVLACKFCPEVYIGEKGHLIKTCCGYKRIGKNRVHEWVNGGLNDILVPVEAFHLHNMFQGVIKHQQRFDFERVPAVVELCWQAGADLNDENLNSGSLVADEFYGGVRGIESLSHDDLTVIANGTLRAWETLRSGVMKLLLVYPAKVCKYCSEVHVGPSGHRARLCGVFRYESWRGAHFWKKAGVDDLVPPKIVWRRRPQDPLVLLDEGRDYYGHAPAVVDLCSGAGAIVPTKYSCMMKVSGLPAPL >EOY06643 pep chromosome:Theobroma_cacao_20110822:4:32534667:32541178:-1 gene:TCM_021303 transcript:EOY06643 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 15, putative MLDPSEKGSESEDRSKKYPEGISVKETQNQSFSNETKKTCADCGTSKTPLWRGGPAGPKSLCNACGIRSRKKRRAILGLNKGEDKKSKRGSNSSSKNLGDNLKQRLLSLGREVLMQRSTVEKQRKKLGEEEQAAVLLMALSCGSVYA >EOY03131 pep chromosome:Theobroma_cacao_20110822:4:5797931:5799891:1 gene:TCM_017658 transcript:EOY03131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARTGKEQEDVEALFYVLSDWWDFNIGVNIHCKQTQLHVIREVLQKVNELEAMKKTCFGHLMDVKSDKSLFCANLVHNLMLSRINQPNAIEGLRTSSGGSGKTETLPTIRICMGVLVLGIGGDS >EOY06719 pep chromosome:Theobroma_cacao_20110822:4:32750516:32754670:-1 gene:TCM_021362 transcript:EOY06719 gene_biotype:protein_coding transcript_biotype:protein_coding description:High chlorophyll fluorescent 107 isoform 1 MRLFSSSPSSSSPKPNFTLFSPSQNPNKFTFKTVHPFKTRLPTPSLLSLIPPCSSKIYSSSTVLNKEADQEGSVEAEQDTFKKVLVVRRPVKDVSREDDESEDVEAEGNDDALKSSRIDAGLEEFAKKMPMFEPERVESGGSLGKPLTVNLDLALYKARVLARKYRYEEAETILEKCIYYWPEDGRSYVTLGKILSKQSKMAEARAVYERGCQATQGENPYIWQCWAVLENKMGNIRRARELFDAATVADKRHIAAWHGWAVLELKQGNVKKARHLLAKGLKFCSGNEYIYQTLALLEARASRYEQARYLFRQATKCNPKSCASWLAWAQLEVQQENNRAARLLFEKAVQASPKNRFAWHVWGVFEANIGNIDKGRKLLKIGHALNPRDPVLLQSLALLEYKHSTANLARVLFRRASELDPRHQPVWIAWGWMEWKEGNISTARELYQRALSIDSTTESAARCLQAWGVLEQRAGNLSAARRLFRSSLNINSQSYVTWMTWAALEEDQGNSVRAEEIRNLYFQQRTEVVDDASWVMGFLDVIDPALDSIKRLLNLDQDPSNKAQEPLKDAGVNETSAQEPLVSSSSGQNDGFDIENESGLDLDAFIEDKLLLDPSKLDILMENPGSFTPKRIKSPRRVWRSQKRTTMTLPQTSI >EOY06718 pep chromosome:Theobroma_cacao_20110822:4:32750036:32754746:-1 gene:TCM_021362 transcript:EOY06718 gene_biotype:protein_coding transcript_biotype:protein_coding description:High chlorophyll fluorescent 107 isoform 1 MRLFSSSPSSSSPKPNFTLFSPSQNPNKFTFKTVHPFKTRLPTPSLLSLIPPCSSKIYSSSTVLNKEADQEGSVEAEQDTFKKVLVVRRPVKDVSREDDESEDVEAEGNDDALKSSRIDAGLEEFAKKMPMFEPERVESGGSLGKPLTVNLDLALYKARVLARKYRYEEAETILEKCIYYWPEDGRSYVTLGKILSKQSKMAEARAVYERGCQATQGENPYIWQCWAVLENKMGNIRRARELFDAATVADKRHIAAWHGWAVLELKQGNVKKARHLLAKGLKFCSGNEYIYQTLALLEARASRYEQARYLFRQATKCNPKSCASWLAWAQLEVQQENNRAARLLFEKAVQASPKNRFAWHVWGVFEANIGNIDKGRKLLKIGHALNPRDPVLLQSLALLEYKHSTANLARVLFRRASELDPRHQPVWIAWGWMEWKEGNISTARELYQRALSIDSTTESAARCLQAWGVLEQRAGNLSAARRLFRSSLNINSQSYVTWMTWAALEEDQGNSVRAEEIRNLYFQQRTEVVDDASWVMGFLDVIDPALDSIKRLLNLDQDPSNKAQEPLKDAGVNETSAQEPLVSSSSGQNDGFDIENESGLDLDAFIEDKLLLDPSKLDILMENPGSFTPKRIKSPRRVWRSQKRTTMTLPQTSI >EOY03743 pep chromosome:Theobroma_cacao_20110822:4:18890918:18898513:-1 gene:TCM_018906 transcript:EOY03743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVWVRVRSKLMIPPKVMLEAAGRIHFITVSIVGGESNEEMAMYEPGKELLWEEETLTENGKGGQITVIDKTAMVETLGKKFTYRNFREVEAFSKLDRFLMGVEILGLYGELVQIRKTWEEIRASGSNNRGIWGRLKKVKPRIREWQQKELGDSQRKIKFIETGNIDGYVNATFITLIPKCSFPKNIRDYRPISLVGSIYKIIAKVLANRMRSVIGEVIAKISVLVNGVPTRQFKMRKGLRQGCSLSPFLFNCVAEAFSVLMSEAVEQGICKGIEIGSAGLVLSYH >EOY03189 pep chromosome:Theobroma_cacao_20110822:4:7568679:7577792:-1 gene:TCM_017814 transcript:EOY03189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPKTRAASRRAREQDAPIEMTDRPRASTQRGRGRRGRVTRPVGLDTPVSRQEEGQSSSEVDRHPAGGITIEDLAAGLQGVNRVVEMMTTRMEDIQRVVEGRPTVQESPSSQGQADHQHHEEERGHLYISLPDFLKLKPPTFSGSDASEKPQVFLDKMEKICKALGCSSVWSVELAAFQLEDVAQEWYSSLCRGRPTNATPLAWSEFSAAFLDRFLPLSVRNARAREFETLVQTSSMTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVEPLFRAVASRDFTTYSAAVDRAQRIEMRTSESRAARDRAKRGKTEGYQGRRDFSSGGSSSSCQGPQRDSQLPQQGSDAPGANIRILLVVPPSQLHLLRQLLSHLAGRLVDREVEVRVLPLKADHLGPDIKVLLVEAKRGCLL >EOY03769 pep chromosome:Theobroma_cacao_20110822:4:19138034:19143336:-1 gene:TCM_018946 transcript:EOY03769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein MEASTSQMQTFGQTEINWDKLDKTKFYVVGAGIFTGVTVALYPVSVVKTRLQVASKDTVEKSAVSVIRGLLRTDGIPGLYRGFGTVITGAIPARVIFLTALETTKVTAFRMIEPFKLSEPTQAAIANGIAGMTASLLSQAVFVPIDVISQKLMVQGYSGHAKYSGGLDVARKVLKSDGIRGLYRGFGLSVMTYSPSSAVWWASYGSSQRVIWRFLGHGTDLKEAAAPDTWKIVSVQAAGGIIAGATASCITTPLDTIKTRLQVMGHEKRPSTRQVVKNLIADDGWKGLYKGLGPRFFSMSAWGTSMILSYEYLKRLCVKE >EOY03774 pep chromosome:Theobroma_cacao_20110822:4:19169081:19172103:1 gene:TCM_018953 transcript:EOY03774 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein MSPYQNLLLLTAVSLFLSVASACSDGQCRLLDECSSDGDCEAGLYCFACSQGFSGSRCVRSTITDQFKLLNNSLPFNKYAFLTTHNAYAIDGYPLHTPVPRVTFTNQEDSITNQLNNGARGLMLDTYDFDGDVWMCHSFGGNCHDYTAFGPAIDYLKEIEAFLSANPEEIVTLILEDYVGPNGLTKVFTDAGLMKYWFPVSSMPKNGEDWPLVSDMVANNQRLLVFTSIQSKEASEGIAYQWNYMVENQYGNGGMQAGSCPNRGESSALDDTSKSLVLVNYFHSIPSDSATCKDNSGDLINMLHTCYGAAGNRWANFVAVDYYKRSEGGGSFQAVDTLNGKLLCGCDDIHACVPGSTSGACTP >EOY06145 pep chromosome:Theobroma_cacao_20110822:4:31090543:31092645:1 gene:TCM_020961 transcript:EOY06145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPMAQGIGYLIGSGTGINFWDDQWIDGIILRSTFSRIFSLANKKFGKVYEFGYWDNWGWQWQMYLRKRLFDWEKDYWAHFKECLVHIHLDRETNDKLIWKCNPNCYYSPNSFCRSVLQNNDKNRELWRYVWAGLAPPKVEIFVWQVMKGRVVVKEDLVKRNLVQRDASLCTL >EOY05955 pep chromosome:Theobroma_cacao_20110822:4:30374824:30396649:1 gene:TCM_020815 transcript:EOY05955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like G2, putative isoform 1 MLACKMKPLPFNLCNPKKRPAIINRSYALLHFIAATSLIFYRVSSLLHSRPSLPHLLMFASELILSLLWLLNQAYLWRPVSRKTFPERLLQEKGEELPGIDVFICTADPKKEPPLEVMNTVLSAMALDYPIGKLSVYVSDDGGSSSTLHAIREAWKFGRSWLPFCTRFGIKTRCPKAYFSRYEDDLGEKGYHEEKEKIKQQYKWLEEHVKKAGSSGGMLGETCSLNSINHPAHIEVKWPGMDGLQGPMLSGTGFYMKRKALYGDIVQEDTDPIQLKQYLGPSNELVKSLHRSNHHNSVNNMDSPSRLLEETRNLASCTYEKETQWGKQVGFLYNSVVEDYLTGFILHCKGWNSLFCDPPRPAFLGTATTKLNDTLLQGARWNCGVLQVALSRFSPLIYGLSRMSLLQTMCYAHLSLQPLYSLPMWCLATIPQLCLLNAIPLYPKVSDSWFMIYSYIFIMSQLKHLEEVLSTGDPMWTWWNEERIWMMKSVTSYSIGSLNAVLKFFGLREANFVPTNKVADDEQVTFYQKGIFNFQASTIVLAPLVTLVSLNMISFAGGLARIIVKGSNWNEMFGQIFLSFFILMVHFPIVEGMMFRKDKGRIPPSVTLFSLALSTCFLCLGSLILMP >EOY05954 pep chromosome:Theobroma_cacao_20110822:4:30391359:30396035:1 gene:TCM_020815 transcript:EOY05954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like G2, putative isoform 1 MLACKMKPLPFNLCNPKKRPAIINRSYALLHFIAATSLIFYRVSSLLHSRPSLPHLLMFASELILSLLWLLNQAYLWRPVSRKTFPERLLQEKGEELPGIDVFICTADPKKEPPLEVMNTVLSAMALDYPIGKLSVYVSDDGGSSSTLHAIREAWKFGRSWLPFCTRFGIKTRCPKAYFSRYEDDLGEKGYHEEKEKIKQQYKWLEEHVKKAGSSGGMLGETCSLNSINHPAHIEVIQDESHDTNQAKMPLLVYVSREKSPSSPHHFKAGALNVLLRVSSMISNSPYILVLDCDMRCNDPTSALQAMCFHLDPRISSNLAFVQFPQKFHNLSTMDIYDGQLRSVFLVKWPGMDGLQGPMLSGTGFYMKRKALYGDIVQEDTDPIQLKQYLGPSNELVKSLHRSNHHNSVNNMDSPSRLLEETRNLASCTYEKETQWGKQVGFLYNSVVEDYLTGFILHCKGWNSLFCDPPRPAFLGTATTKLNDTLLQGARWNCGVLQVALSRFSPLIYGLSRMSLLQTMCYAHLSLQPLYSLPMWCLATIPQLCLLNAIPLYPKVSDSWFMIYSYIFIMSQLKHLEEVLSTGDPMWTWWNEERIWMMKSVTSYSIGSLNAVLKFFGLREANFVPTNKVADDEQVTFYQKGIFNFQASTIVLAPLVTLVSLNMISFAGGLARIIVKGSNWNEMFGQIFLSFFILMVHFPIVEGMMFRKDKGRIPPSVTLFSLALSTCFLCLGSLILMP >EOY05956 pep chromosome:Theobroma_cacao_20110822:4:30374191:30396649:1 gene:TCM_020815 transcript:EOY05956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like G2, putative isoform 1 MLACKMKPLPFNLCNPKKRPAIINRSYALLHFIAATSLIFYRVSSLLHSRPSLPHLLMFASELILSLLWLLNQAYLWRPVSRKTFPERLLQEKGEELPGIDVFICTADPKKEPPLEVMNTVLSAMALDYPIGKLSVYVSDDGGSSSTLHAIREAWKFGRSWLPFCTRFGIKTRCPKAYFSRYEDDLGEKGYHEEKEKIKLRVSSMISNSPYILVLDCDMRCNDPTSALQAMCFHLDPRISSNLAFVQFPQKFHNLSTMDIYDGQLRSVFLVKWPGMDGLQGPMLSGTGFYMKRKALYGDIVQEDTDPIQLKQYLGPSNELVKSLHRSNHHNSVNNMDSPSRLLEETRNLASCTYEKETQWGKQVGFLYNSVVEDYLTGFILHCKGWNSLFCDPPRPAFLGTATTKLNDTLLQGARWNCGVLQVALSRFSPLIYGLSRMSLLQTMCYAHLSLQPLYSLPMWCLATIPQLCLLNAIPLYPKVSDSWFMIYSYIFIMSQLKHLEEVLSTGDPMWTWWNEERIWMMKSVTSYSIGSLNAVLKFFGLREANFVPTNKVADDEQVTFYQKGIFNFQASTIVLAPLVTLVSLNMISFAGGLARIIVKGSNWNEMFGQIFLSFFILMVHFPIVEGMMFRKDKGRIPPSVTLFSLALSTCFLCLGSLILMP >EOY05926 pep chromosome:Theobroma_cacao_20110822:4:30276538:30278483:1 gene:TCM_020796 transcript:EOY05926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alba DNA/RNA-binding-like protein MESITAVVSESPKAKEEANNVGSGGNIKISEKPYIVALTLVPTAAAHHHDKVPSITSPAETESPQEKKMKKKQKNKIQVSNTKKPFIFYLNLSKRYINEHNEVELCALGMDYDMLVVDDYEIAWHFKFTSRFPVKLANGLISIPTVVTIAEILKRKGLAIEKRITTSTVLSPEEDLKGRRIEKAKIEIVLEKAEKLDKTNAPETPKKA >EOY05218 pep chromosome:Theobroma_cacao_20110822:4:27630148:27631881:1 gene:TCM_020276 transcript:EOY05218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTTETAKKTGPPKIVKLNNALKLAEQWVCKMSGSAEDEAVEVEPEGRPSGLGLGAKVPRQSKVGPSNDPVERKLYAKLDAGKRKASKNQESTTPSGVDVVDDEDLESRSSAFVRKKAVPLTSQLQAKRKRK >EOY05219 pep chromosome:Theobroma_cacao_20110822:4:27630503:27631818:1 gene:TCM_020276 transcript:EOY05219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSGSAEDEAVEVEPEGRPSGLGLGAKVPRQSKVGPSNDPVERKLYAKLDAGKRKASKNQESTTPSGVDVVDDEDLESRSSAFVRKKAVPLTSQLQAKRKRK >EOY05990 pep chromosome:Theobroma_cacao_20110822:4:30546590:30548750:1 gene:TCM_020848 transcript:EOY05990 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative isoform 1 MVVAGGVEVCDDSNYDRKRELKAFDDSKAGVKGLVDAGVTKVPRMFIRPPDNLRDASDTKKIQFSIPVIDLEGIENNPVRRKEIIDEVRHASETWGFFQVVNHGIPSSILEEMKDGVQRFFEQDIEVKKEFYARDRVRRYRYTSNFDLYTSPFANWRDTCFCVMAPDPPKPEELPAVLRDIQLEYRKQVIRLGSSLFKLLSEALGLKPSHLEEMGCAEGLSALCHYYPPCPEPELTLGTSKHSDNDFLTVLLQDQIGGLQVLHQDQWVDVPPVPGALVVNIGDLLQLITNDKFKSVEHRVLANREGPRISVACFFTTGLLPSSRLYGPIEELLLENNPPIYRETTVRDFVSYIYEHGLDGTSPLHLFRL >EOY05991 pep chromosome:Theobroma_cacao_20110822:4:30546957:30548937:1 gene:TCM_020848 transcript:EOY05991 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative isoform 1 MVVAGGVEVCDDSNYDRKRELKAFDDSKAGVKGLVDAGVTKVPRMFIRPPDNLRDASDTKKIQFSIPVIDLEGIENNPVRRKEIIDEVRHASETWGFFQVVNHGIPSSILEEMKDGVQRFFEQDIEVKKEFYARDRVRRYRYTSNFDLYTSPFANWRDTCFCVMAPDPPKPEELPAVLRDIQLEYRKQVIRLGSSLFKLLSEALGLKPSHLEEMGCAEGLSALCHYYPPCPEPELTLGTSKHSDNDFLTVLLQDQIGGLQVLHQDQWVDVPPVPGALVVNIGDLLQS >EOY05446 pep chromosome:Theobroma_cacao_20110822:4:28593304:28596288:-1 gene:TCM_020442 transcript:EOY05446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSYSALAPLLCMLFLACCSFQTLPASAAEVDKLMVRLRYKVHILNGFADNAKPLIIHCHSRDDDLGEHTLWKDQEFRFKFIVHFVKTTHFVCDFNWGSKSLDNISVFKNDAETSSCRATGNCFWKAEEDGIYFSNNDQNWVKRFDCFQIYNHHLQIHQPLVFVTIREVTIEKSGFPEVELEVELKITVSPNSMLPEVIVSRPDMNH >EOY02881 pep chromosome:Theobroma_cacao_20110822:4:2783218:2787632:1 gene:TCM_017283 transcript:EOY02881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKLTPNEANSLDMENHSWGQNLQEVSVSIPVPHGTRSRCHVGFYRHPNRAALGSPLAWLPNPSQPSMPNS >EOY04414 pep chromosome:Theobroma_cacao_20110822:4:24240201:24245160:1 gene:TCM_019673 transcript:EOY04414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MKLPSYFAAFAFLFLFCIPETVADLNSDEQALLQFSATVPHGRKLNWSPATPVCASWVGINCTKDGSRVLAVHLPGVGLYGPIPANTLGKLDALMILSLRSNRLSGNLPSDILSLPSLQYLYLQHNNFSGDIPSALPPKLDFLDLSFNFFTGNIPTTIQNLTNLTGLSLQNNSLTGLIPNFNLPRLRLLNLSYNHLNGSVPSSLQKFPASSFVGNDICGPPLNQCITITPSPSPSPSPSPSPAHLPPPKVPENPRGGSHKKLSTGVIIAIAVGGSALVFFMLLMLVLCCLKRKDGQGTLTSKGKGGRGEKPKEDFGSGVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRLKEVAAGKREFEQQMEIVGRLGEHPNLVPLRAYYYSKDEKLLVYDYKAAGSFSSLLHGSRESGRALPDWDSRLKISLGAAKGIAYIHSCAGGKFSHGNIKSSNVLLNQDLHGCISDFGLTSLMSFPAVPSRSAGYRAPEVIETRKFTQKSDVYSFGVLLLEMLTGKSPVQLSGHEDVVDLPRWVQSVVREEWTAEVFDVELMKYQNVEEELVQMLQIAMTCVARLPDMRPTMEEVTRMMEEIRPSDSENRPSSEDNRSKGSNTQTP >EOY04413 pep chromosome:Theobroma_cacao_20110822:4:24239795:24244304:1 gene:TCM_019673 transcript:EOY04413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MKLPSYFAAFAFLFLFCIPETVADLNSDEQALLQFSATVPHGRKLNWSPATPVCASWVGINCTKDGSRVLAVHLPGVGLYGPIPANTLGKLDALMILSLRSNRLSGNLPSDILSLPSLQYLYLQHNNFSGDIPSALPPKLDFLDLSFNFFTGNIPTTIQNLTNLTGLSLQNNSLTGLIPNFNLPRLRLLNLSYNHLNGSVPSSLQKFPASSFVGNDICGPPLNQCITITPSPSPSPSPSPSPAHLPPPKVPENPRGGSHKKLSTGVIIAIAVGGSALVFFMLLMLVLCCLKRKDGQGTLTSKGKGGRGEKPKEDFGSGVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRLKEVAAGKREFEQQMEIVGRLGEHPNLVPLRAYYYSKDEKLLVYDYKAAGSFSSLLHGSRESGRALPDWDSRLKISLGAAKGIAYIHSCAGGKFSHGNIKSSNVLLNQDLHGCISDFGLTSLMSFPAVPSRSAGYRAPEVIETRKFTQKSDVYSFGVLLLEMLTGKSPVQLSGHEDVVDLPRWVQSVVREEWTAEVFDVELMKYQNVEEELVQMLQIAMTCVARLPDMRPTMEEVTRMMEEIRPSDSENRPSSEDNRSKGSNTQTP >EOY06160 pep chromosome:Theobroma_cacao_20110822:4:31142445:31144771:-1 gene:TCM_020973 transcript:EOY06160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic initiation factor 4E protein MASEAAMEVSAPAAAAVEAEVAAIGAEKQPHKLERKWTFWFDNQSKPKQGAAWGTSLRKVYTFDTVEEFWCLYDQIFKPSKLPGNADFHLFKAGIEPKWEDPECANGGKWSVTINRKPILDNMWLETMMALIGEQFDEADEICGVVASVRQRQDKLALWTKTATNEAAQMGIGRKWKEIIDFNDKITYSFHDDSRRERSAKGRYNV >EOY03050 pep chromosome:Theobroma_cacao_20110822:4:3970251:3970761:1 gene:TCM_017473 transcript:EOY03050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPQYLASTPQQDKVVNVKARGQQEGMKSKLKERRGREMEGRKCHIQKCRLRLMAVCLCLAVPSLDLWCSQYLTDRRPFVIFLPRQDKMS >EOY04314 pep chromosome:Theobroma_cacao_20110822:4:23801645:23809489:1 gene:TCM_019598 transcript:EOY04314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELSYCSSFFQRGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYGQSPWKGELHFFGGFGMQEVNGLPRHGTAAGGLFPVTGVPLPLPPPPLEPTNVMRILKKMKMIFMLS >EOY05175 pep chromosome:Theobroma_cacao_20110822:4:27483480:27486774:1 gene:TCM_020247 transcript:EOY05175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-imprinted in Prader-Willi/Angelman syndrome region protein MGMSIDNVRGLVMAVSSSIFIGSSFIIKKKGLKKAGATGTRAGQGGHSYLYEPWWWAGMITMIVGEIANFAAYAYAPAVLVTPLGALSIIFSAVLAHFILEERLHIFGVLGCALCVVGSTTIVLHAPQERNIESVKEVWQLATEPGFLAYTLLILILVAVLIFRYVPRYGQTHLIVYVGICSLMGSLTVMGVKAVGIALKLSFSGMNQFKYYETWVFTFVVTFCCLLQVNYLNKALDTFNTAVISPVYYVMFTTFTIIASMIMFKEWDSQAAADIATELCGFVTILAGTFLLHKTKDMGKSPSVRSPVFTSPDLNPTPDSK >EOY02913 pep chromosome:Theobroma_cacao_20110822:4:2939231:2943748:1 gene:TCM_017312 transcript:EOY02913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain base2 isoform 1 MIAIPYLTALTTYFSYGLLFSFGQIRDFFRKFIDWCCTSNNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDNNKTLKRTANMSRCLNLGSYNYLGFAAADEYCTPRAIESLNRFSPSTCSSRVDGGTTILHNELEECVARFVGKPAAIVFGMGYVTNSAILPVLVGKGSLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLEEVLREQIADGQPRTHRPWKKIVVIVEGIYSMEGELCKLPEIIAICKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAGSKELIQYLKYTCPAHLYATSISPPAAQQIISSIKVILGQDGSNRGAQKLAQIRENSNFFRSELIKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISASHTKEDLIKALEVISRVGDLVGIKYFPAEPEKQQQEERMKLE >EOY02914 pep chromosome:Theobroma_cacao_20110822:4:2939358:2943689:1 gene:TCM_017312 transcript:EOY02914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain base2 isoform 1 MLTFIQMKGYAPICLGLEDFYIRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDNNKTLKRTANMSRCLNLGSYNYLGFAAADEYCTPRAIESLNRFSPSTCSSRVDGGTTILHNELEECVARFVGKPAAIVFGMGYVTNSAILPVLVGKGSLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLEEVLREQIADGQPRTHRPWKKIVVIVEGIYSMEGELCKLPEIIAICKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAGSKELIQYLKYTCPAHLYATSISPPAAQQIISSIKVILGQDGSNRGAQKLAQIRENSNFFRSELIKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISASHTKEDLIKALEVISRVGDLVGIKYFPAEPEKQQQEERMKLE >EOY06078 pep chromosome:Theobroma_cacao_20110822:4:30866733:30868842:1 gene:TCM_020918 transcript:EOY06078 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein, putative MGTSLLNQIKKQASFFLQEKYKDARMALTDVTAAELLAEEATNTDPWGPDARTMTKISEASFYIDDYWRIVFVLHKRLDSIDWKHWRQSYKSLILLEFLLTHGPAEFAEEFQRNSDVIEELGRFRYVDEKGFNWGLNMQKRSDKVLELLGGGDTLREARLKALKITKEIQGFGSTTTSPSSASSSSYSSRASSFGSYSSTWNDISELDKFETQILPTKEPVQHYSEGGIQEEKTPEFPSENENFSRLHLWDCPPIQEKGSLLESEGEEDEKSDGFISGICSKLVGNSPSKRATGQKVALIRSISDAGKVTKNRFGRQYSLRL >EOY02248 pep chromosome:Theobroma_cacao_20110822:4:127240:134301:-1 gene:TCM_016778 transcript:EOY02248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic NADP+-dependent isocitrate dehydrogenase isoform 1 MAFEKIKVVNPIVEMDGDEMTRVIWKSIKDKLIFPFLELDIKYFDLGLPYRDATDDKVTVESAEATLKYNVAIKCATITPDEARVKEFNLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVFVPEGQDEKTEWEVFNFTGDGGVSLAMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAKWKSKYEAAGIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLGVPRWEDNRSRGCSWYGYATLQSSPKGW >EOY02247 pep chromosome:Theobroma_cacao_20110822:4:118038:138426:-1 gene:TCM_016778 transcript:EOY02247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic NADP+-dependent isocitrate dehydrogenase isoform 1 MKRVSPRKSKSQQFACHLLLTKLPSFDFSSKNHIHLSRNRLGLTLKAMAFEKIKVVNPIVEMDGDEMTRVIWKSIKDKLIFPFLELDIKYFDLGLPYRDATDDKVTVESAEATLKYNVAIKCATITPDEARVKEFNLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVFVPEGQDEKTEWEVFNFTGDGGVSLAMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAKWKSKYEAAGIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNIRLLEFTEKLEAACIGAVESGKMTKDLALIIHGSKLARYQYLNTEDFIDAVAEELRARLSVKA >EOY02491 pep chromosome:Theobroma_cacao_20110822:4:1062290:1065873:1 gene:TCM_016953 transcript:EOY02491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 isoform 1 MLSDSGTVNLLSRSYGVYNINELGLQKCTSSPVDESDQGEKTYRCASHEMRIFGAIGSGASSVVQRAMHIPSHRILALKKINIFEKEKRQQLLTEIRTLCEAPCSEGLVEFHGAFYTPDSGQISIALEYMDGGSLADILRLQKSIPEPVLSSMFQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLDNSMAILFDGPDETWHHTRTLYNEDSTFSFSGKKFVGPNDIHAKLSNIRSTLLGDWPPEKLVHVVEKLQCRAHGQDGVAIRVSGSLIIGNQFLICGDGLQVEGMANFKDLAIDIPSKRMGKFQEQFIMEPRDLIGRYFIAKQELYIIQ >EOY02490 pep chromosome:Theobroma_cacao_20110822:4:1061754:1065974:1 gene:TCM_016953 transcript:EOY02490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 isoform 1 MAGLEEFRKKLAPLFDAEKGFSAGSTLDPCDSYMLSDSGTVNLLSRSYGVYNINELGLQKCTSSPVDESDQGEKTYRCASHEMRIFGAIGSGASSVVQRAMHIPSHRILALKKINIFEKEKRQQLLTEIRTLCEAPCSEGLVEFHGAFYTPDSGQISIALEYMDGGSLADILRLQKSIPEPVLSSMFQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLDNSMAMCATFVGTVTYMSPERIRNESYSYPADIWSLGLALFECGTGEFPYTANEGPVNLMLQILDDPSPSPPEHKFSPEFCSFIDACLQKDADARPTAEQLLSHPFIKKHEHTRVDLAAFVRSVFDPTQRLKDLADMLTIHYYLLFDGPDETWHHTRTLYNEDSTFSFSGKKFVGPNDIHAKLSNIRSTLLGDWPPEKLVHVVEKLQCRAHGQDGVAIRVSGSLIIGNQFLICGDGLQVEGMANFKDLAIDIPSKRMGKFQEQFIMEPRDLIGRYFIAKQELYIIQ >EOY06531 pep chromosome:Theobroma_cacao_20110822:4:32174980:32176525:-1 gene:TCM_021217 transcript:EOY06531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translationally-controlled tumor protein MLVYQDLLCGDELLSDSFPYKEIENGMLWEVEGKWVVKGSVDVDIGANPSAEDGEEDEGVDDQAVKVVDIVDTFRLQEQPPFDKKQFVTYIKRLIKNLTPKLEEEKAETFKKHIEGATKFLLSKLKDLQFFVGESMHDDGCLVFAYYKDGAVDPTFLYFAYALKEVKC >EOY06074 pep chromosome:Theobroma_cacao_20110822:4:30856925:30857763:1 gene:TCM_020914 transcript:EOY06074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASYGWNSRHANTHGLHHARLKSDTDLIACRICGRILRGVKALFDHIEHHLFLDETATKRQLFLSHLPSAQSTSFTNHVNQNPMLPTERSHFPIEINAGYPDLLWAATPSHVCFGSWNNHMPLIQTQKPTAYGGATQMMVPKPQNQCFTRPFLNQLEATLPMEGMSTLVNRETTAKFEDQQVLDVTLKLGRADQD >EOY05797 pep chromosome:Theobroma_cacao_20110822:4:29820482:29823893:-1 gene:TCM_020706 transcript:EOY05797 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein isoform 1 MEKESQVQIPRVKLGSQGLEVSRLGFGCGGLSGIYNAPLSHEEGCSVIKEAFSKGITFFDTSDLYGDDHHNEIMVGKALKQLPRDEVQLATKFGIIRFEGFSFGVKGTPEYVRKCCEASLKRLGVDYIDLYYQHRVDTSVPIEDTMGELKKLVEEGKIKYIGLSEPSVDTIRRAHAVHPITALEMEYSLWTREIEEDIIPLCRELGIGIVAYSPLGRGFFGGKATVESLPTESLLAMHPRFTGDNLEKNKLVYARFEDLARKRGRTPPQLALAWLLHQGEDIIPIPVVFYRDNKD >EOY05796 pep chromosome:Theobroma_cacao_20110822:4:29819827:29824491:-1 gene:TCM_020706 transcript:EOY05796 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein isoform 1 MACRFRISMGLLPAFSRPRCFFFNTRIKWKVTSGSGSLHQYNKGWWHIIDFLKENKRIKKMKASIFHQYQVALKMEKESQVQIPRVKLGSQGLEVSRLGFGCGGLSGIYNAPLSHEEGCSVIKEAFSKGITFFDTSDLYGDDHHNEIMVGKALKQLPRDEVQLATKFGIIRFEGFSFGVKGTPEYVRKCCEASLKRLGVDYIDLYYQHRVDTSVPIEDTMGELKKLVEEGKIKYIGLSEPSVDTIRRAHAVHPITALEMEYSLWTREIEEDIIPLCRELGIGIVAYSPLGRGFFGGKATVESLPTESLLAMHPRFTGDNLEKNKLVYARFEDLARKRGRTPPQLALAWLLHQGEDIIPIPGTTKIKNLENNIGSLALRLTQDDLKEIGDAVPVEEVSGLRDYDVFADYCYKLANTPKK >EOY06481 pep chromosome:Theobroma_cacao_20110822:4:32067109:32069974:1 gene:TCM_021187 transcript:EOY06481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLMFSLVPESKIMILYILIMWQMHVAEINHFGNCTEDASNEQSLSAVSLDTYNVFEDPEVLPRVGDQYQVEIPPLITESDPLLLTDNPTDVKSSVVSYEHLMGLPVSIMWVSMEVGKIKHEPAETLVNSIDLSNKNESVKSECTLETHREDGDLMAKLEATDITPDDGIKFQESEKLALELEIKIEMHQKYYFGVPGTPSDAWNDLEEASFLLGLYIFGKNLVLVKKFVESKKMRDILSFYYGKFYRSEKYRRWSECRKMRRRRCIYGQRIFTGWRQQELLARLLPNVSEECQNTLLEVSKAFGEGKIMLEEYVFTLKATVGLNSLVSAVGIGKGKEDLTGITLEPMKANQVAPVRPEIPVGKACSALTPLEIINFLTGSYRLSKARSNDLFWEAVWPRLLARGWHSEQPASQGYTAGSKHSLVFLIPGVKKFSRRKLVKGDHYFDSVSDVLSRVASDPGLLELEIGADKGDSSKEENGTESDRDDLPNRQRHCYLKPRIPNRGADVMAFTVVDTSLDDGGKFKVRELRSLPIEMNISNSSDSEESTSEELIDESDLADTSCSGRVETNGLKPTEINHDREVYPDGNASNNKFPVDGQASTNVPAIPKDPKTKVCNGKAMKNQPSQRIKIDNKNNLAPVTKRCRKLTACSRKETIQKGKIISVSPGLKQKEASCCEGNPDGSAEIPSEVDPVEQQLSSASSSKGSPTIRGEGILRSTCAGAEQTHVEHQHRTLIDLNLPVLLDGETDEPFMGEVTESEHENPSRQPNNASQPEATCCMPSSELQPNMNARRQSTRNRPPTTKALEALACGFLTTTQKRKRRDGFARENSLSRASRRAHGGAKVSENYGDGMVDFKAEVKGNGMCNGNGVMEQTSDLTQMEVDSNLGVS >EOY06482 pep chromosome:Theobroma_cacao_20110822:4:32065491:32070536:1 gene:TCM_021187 transcript:EOY06482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKMHVAEINHFGNCTEDASNEQSLSAVSLDTYNVFEDPEVLPRVGDQYQVEIPPLITESDPLLLTDNPTDVKSSVVSYEHLMGLPVSIMWVSMEVGKIKHEPAETLVNSIDLSNKNESVKSECTLETHREDGDLMAKLEATDITPDDGIKFQESEKLALELEIKIEMHQKYYFGVPGTPSDAWNDLEEASFLLGLYIFGKNLVLVKKFVESKKMRDILSFYYGKFYRSEKYRRWSECRKMRRRRCIYGQRIFTGWRQQELLARLLPNVSEECQNTLLEVSKAFGEGKIMLEEYVFTLKATVGLNSLVSAVGIGKGKEDLTGITLEPMKANQVAPVRPEIPVGKACSALTPLEIINFLTGSYRLSKARSNDLFWEAVWPRLLARGWHSEQPASQGYTAGSKHSLVFLIPGVKKFSRRKLVKGDHYFDSVSDVLSRVASDPGLLELEIGADKGDSSKEENGTESDRDDLPNRQRHCYLKPRIPNRGADVMAFTVVDTSLDDGGKFKVRELRSLPIEMNISNSSDSEESTSEELIDESDLADTSCSGRVETNGLKPTEINHDREVYPDGNASNNKFPVDGQASTNVPAIPKDPKTKVCNGKAMKNQPSQRIKIDNKNNLAPVTKRCRKLTACSRKETIQKGKIISVSPGLKQKEASCCEGNPDGSAEIPSEVDPVEQQLSSASSSKGSPTIRGEGILRSTCAGAEQTHVEHQHRTLIDLNLPVLLDGETDEPFMGEVTESEHENPSRQPNNASQPEATCCMPSSELQPNMNARRQSTRNRPPTTKALEALACGFLTTTQKRKRRDGFARENSLSRASRRAHGGAKVSENYGDGMVDFKAEVKGNGMCNGNGVMEQTSDLTQMEVDSNLGVS >EOY06483 pep chromosome:Theobroma_cacao_20110822:4:32065493:32070520:1 gene:TCM_021187 transcript:EOY06483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MHVAEINHFGNCTEDASNEQSLSAVSLDTYNVFEDPEVLPRVGDQYQVEIPPLITESDPLLLTDNPTDVKSSVVSYEHLMGLPVSIMWVSMEVGKIKHEPAETLVNSIDLSNKNESVKSECTLETHREDGDLMAKLEATDITPDDGIKFQESEKLALELEIKIEMHQKYYFGVPGTPSDAWNDLEEASFLLGLYIFGKNLVLVKKFVESKKMRDILSFYYGKFYRSEKYRRWSECRKMRRRRCIYGQRIFTGWRQQELLARLLPNVSEECQNTLLEVSKAFGEGKIMLEEYVFTLKATVGLNSLVSAVGIGKGKEDLTGITLEPMKANQVAPVRPEIPVGKACSALTPLEIINFLTGSYRLSKARSNDLFWEAVWPRLLARGWHSEQPASQGYTAGSKHSLVFLIPGVKKFSRRKLVKGDHYFDSVSDVLSRVASDPGLLELEIGADKGDSSKEENGTESDRDDLPNRQRHCYLKPRIPNRGADVMAFTVVDTSLDDGGKFKVRELRSLPIEMNISNSSDSEESTSEELIDESDLADTSCSGRVETNGLKPTEINHDREVYPDGNASNNKFPVDGQASTNVPAIPKDPKTKVCNGKAMKNQPSQRIKIDNKNNLAPVTKRCRKLTACSRKETIQKGKIISVSPGLKQKEASCCEGNPDGSAEIPSEVDPVEQQLSSASSSKGSPTIRGEGILRSTCAGAEQTHVEHQHRTLIDLNLPVLLDGETDEPFMGEVTESEHENPSRQPNNASQPEATCCMPSSELQPNMNARRQSTRNRPPTTKALEALACGFLTTTQKRKRRDGFARENSLSRASRRAHGGAKVSENYGDGMVDFKAEVKGNGMCNGNGVMEQTSDLTQMEVDSNLGVS >EOY05959 pep chromosome:Theobroma_cacao_20110822:4:30396755:30399086:1 gene:TCM_020816 transcript:EOY05959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase fray2, putative MAHEQEHHPKLQFPLESEAYKIIDEIGVGVSAVVYKAECTPMNSTVVAIKSIDLDQSKDFDNIRRETKILSLLSHPNILNAHCSFTVDQRLWVVMPFMSGGSLQSIISSSFPDGLPEQCIAIVLKETLNALSYLHNQGHLHRDIKAGNILMDSNGCVKLADFGVSASIYESNPGYGSGSSTSSSPLMLNDVTGTPYWMAPEVIHSHTGYSFKADIWSFGITALELAHGRPPLSHLPLSKSLIMKITKRFRFSDYESNSKEGKSKKFSKAFKDMVASCLDQDPAKRPSAEKLLKHSFFKSCKGSEFLVKNVLHGLSSVEERFRVSKILGKGVHVEADDDDVDGESVSQLVKYRRISGWNFNEDGFELEPVFPDESKEDSIVKQVRFGGEMIIPGTVGEADGLSNSGRINLNSPETESSLLSSRGEGGDEASSPSSWRVGGKEESGIEGIVGDEAGIEGTVNTDIMVGGLTALIRSLDDQRQRVTDLITLFGGDVTSREDQLLQLIERLRLDLENERQKNFQLEMELEFLKIHISGASNTDENN >EOY03323 pep chromosome:Theobroma_cacao_20110822:4:12484196:12486406:1 gene:TCM_018230 transcript:EOY03323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTMEPLHKKKKQRSHQRYVLRCYHLIREIIGIGDINIERVSTEDNLADPLTKVLSQQKHDLDLEGFGIRYKGYWL >EOY04582 pep chromosome:Theobroma_cacao_20110822:4:25102400:25103555:-1 gene:TCM_019810 transcript:EOY04582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHVIIFFFFCPLMRTNVKLQVSNNTWQVKMANHPEYARLLSRRRKFSLQEEDVRLNLSGYHLQKQRQRDAAQWPYKSIFTIEIPPTSHLASLVSAD >EOY03299 pep chromosome:Theobroma_cacao_20110822:4:11589770:11606144:1 gene:TCM_018162 transcript:EOY03299 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter family protein isoform 1 MRHEKQKTGSAKKVNGSIRSIFMHADGVDMWLMTLGFIGAIGDGFSTPLVLLVTSKLMNNLGDASAFTADMFTHNIHKNSVALLYLACGSWLACFLEGFCWSRTGERQATRMRARYLKAILRQDVGYFDLHVTSTAEVITSVSNDSLVIQDVLSEKVPNFLMNVAIFVGCYMVAFIMLWRLAIVGFPFAVLLVIPGLMYGRGLIGIARKTREEYNKAGTIAEQAISSIRTVYSFVGENKTIAEFSAALQGSLKLGLRQGLAKGLAIGSNGVVFATWSFMSYYGSRMVMYHGAPGGTVFIVGAAIAMGGLSLGASLSNLKYFSEACSAGERIIEVIKRVPKIDSYNLEGEILDKVSGAVEFRHVEFAYPSRPESMIFTDFCLDIPAGKTVALVGGSGSGKSTVIALLQRFYDPLGGEILLDGIAIDKLQLNWLRSQMGLVSQEPALFATTIKENILFGKEDASMEEVVEAAKASNAHNFICQLPQGYDTQVGERGVQMSGGQKQRIAIARAIIKAPQILLLDEATSALDAESERVVQEAIDQAAIGRTSIIIAHRLSTIRNADLIAVVQNGQVLETGSHDALIENENGHYTSLVHLQQTEKERFPEEVNSNSSTYASSLISNVDTNSTSSRRLSLVSRSSSANSFIQNRVSLARESAVENQKLPMPSFRRLLALNLPEWRQAILGCLSAILFGAVQPVYAFSLGSMVSVYFLTDHDEIKEKTKIYALCFLGLSVFSLLINVGQHYNFAYMGEYLTKRIRERMLSKILTFEVGWYDQDENSSGAICSRLAKDANVVRSLVGDRMALIVQTISAVTIACTMGLVIAWRLALVMIAVQPIIIVCFYTRRVLLKSMSQKAIKAQDESSKLAAEAVSNLRTITAFSSQHRILKMLDKAQEGPRRESIRQSWFAGIGLGTSQSLTTCTWALDFWYGGKLISHGYITAKALFETFMILVSTGRVIADAGSMTTDLAKGSDAVGSVFTVLDRYTNIEPEDPESYKPEKIIGHVELRDIDFAYPARPDVVIFRGFSLNIEASKSTALVGQSGSGKSTIIGLIERFYDPLEGIVKVDGRDIRSYHLKSLRKHIALVSQEPTLFGGTIRENIAYGASDKMDESEIVEAAKAANAHDFISGLKDGYETWCGDKGVQLSGGQKQRIAIARAILKNPAILLLDEATNALDSRSEKAVQDALERVMVGRTSVVVAHRLSTIQNCDQIAVLDRGKVVEKGTHQSLLAKGPIGAYFSLVSLQRRPYNSTHKIN >EOY03300 pep chromosome:Theobroma_cacao_20110822:4:11589772:11595690:1 gene:TCM_018162 transcript:EOY03300 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter family protein isoform 1 MRHEKQKTGSAKKVNGSIRSIFMHADGVDMWLMTLGFIGAIGDGFSTPLVLLVTSKLMNNLGDASAFTADMFTHNIHKVPNFLMNVAIFVGCYMVAFIMLWRLAIVGFPFAVLLVIPGLMYGRGLIGIARKTREEYNKAGTIAEQAISSIRTVYSFVGENKTIAEFSAALQGSLKLGLRQGLAKGLAIGSNGVVFATWSFMSYYGSRMVMYHGAPGGTVFIVGAAIAMGGLSLGASLSNLKYFSEACSAGERIIEVIKRVPKIDSYNLEGEILDKVSGAVEFRHVEFAYPSRPESMIFTDFCLDIPAGKTVALVGGSGSGKSTVIALLQRFYDPLGGEILLDGIAIDKLQLNWLRSQMGLVSQEPALFATTIKENILFGKEDASMEEVVEAAKASNAHNFICQLPQGYDTQVGERGVQMSGGQKQRIAIARAIIKAPQILLLDEATSALDAESERVVQEAIDQAAIGRTSIIIAHRLSTIRNADLIAVVQNGQVLETGSHDALIENENGHYTSLVHLQQTEKERFPEEVNSNSSTYASSLISNVDTNSTSSRRLSLVSRSSSANSFIQNRVSLARESAVENQKLPMPSFRRLLALNLPEWRQAILGCLSAILFGAVQPVYAFSLGSMVSVYFLTDHDEIKEKTKIYALCFLGLSVFSLLINVGQHYNFAYMGEYLTKRIRERMLSKILTFEVGWYDQDENSSGAICSRLAKDANVVRSLVGDRMALIVQTISAVTIACTMGLVIAWRLALVMIAVQPIIIVCFYTRRVLLKSMSQKAIKAQDESSKLAAEAVSNLRTITAFSSQHRILKMLDKAQEGPRRESIRQSWFAGIGLGTSQSLTTCTWALDFWYGGKLISHGYITAKALFETFMILVSTGRVIADAGSMTTDLAKGSDAVGSVFTVLDRYTNIEPEDPESYKPEKIIGHVELRDIDFAYPARPDVVIFRGFSLNIEASKSTALVGQSGSGKSTIIGLIERFYDPLEGIVKVDGRDIRSYHLKSLRKHIALVSQEPTLFGGTIRENIAYGASDKMDESEIVEAAKAANAHDFISGLKDGYETWCGDKGVQLSGGQKQRIAIARAILKNPAILLLDEATNALDSRSEKAVQDALERVMVGRTSVVVAHRLSTIQNCDQIAVLDRGKVVEKGTHQSLLAKGPIGAYFSLVSLQRRPYNSTHKIN >EOY06914 pep chromosome:Theobroma_cacao_20110822:4:33356296:33359298:-1 gene:TCM_021496 transcript:EOY06914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L3 plastid isoform 1 MSALSRGLISRLHQHLLSLSRPSSSSPSASVAASTLLPFHLLARRLFSSDALMDGSQILQQQPSRIIQATPAIMTSNSKRTGLIAVKLGMTALWDKWGARLPITVLWVDDNIVSQVKTVEKEGIFSLQIGCGHKKAKHLTRPEVGHFRAQGVPLKRKLREFPVTEDALLPVGTSLGVRHFVPGQYVDVTGISRGKGFQGGMKRWGFKGMPASHGASLSHRSIGSTGQRDAPGKVFKGKKMPGRMGGKQRTVKNVWVYRIDPARNLMWVKGQVPGAEGNFVFIKDAVYKKPDVSELPFPTYFAAEDEDETDLEPLVADLGEVDPFMVAD >EOY06915 pep chromosome:Theobroma_cacao_20110822:4:33356175:33359353:-1 gene:TCM_021496 transcript:EOY06915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L3 plastid isoform 1 MSALSRGLISRLHQHLLSLSRPSSSSPSASVAASTLLPFHLLARRLFSSDALMDGSQILQQQPSRIIQATPAIMTSNSKRTGLIAVKLGMTALWDKWGARLPITVLWVDDNIVSQVKTVEKEGIFSLQIGCGHKKAKHLTRPEVGHFRAQGVPLKRKLREFPVTEDALLPVGTSLGVRHFVPGQYVDVTGISRGKGFQGGMKRWGFKGMPASHGASLSHRSIGSTGQRDAPGKVFKGKKMPGRMGGKQRTVKNVWVYRIDPARNLMWVKGQYHSESSYSLQVPGAEGNFVFIKDAVYKKPDVSELPFPTYFAAEDEDETDLEPLVADLGEVDPFMVAD >EOY06705 pep chromosome:Theobroma_cacao_20110822:4:32714151:32716415:1 gene:TCM_021352 transcript:EOY06705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 32 MAEDKYNLKNPAVKRILQEVKEMQSNPSDDFMSLPLEENIFEWQFAIRGPRESEFEGGIYHGRIQLPAEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTSPNGALGSLDYKKEERRALAIKSREAPPKFGNPERQKLIDEIHEYMLSKAPPVPQLSPSQASEEHSMDRDGVTQANQQDSVSMAGGDGLPNQAVGDRVVEEEPVAPANANPAPAEIRVVREVPARGPSNQPLQRPELRVQKSADDRLFTWAAVGLTIAILVLLLKKFLKSSGHGAVFMDGS >EOY03790 pep chromosome:Theobroma_cacao_20110822:4:19341446:19345034:-1 gene:TCM_018978 transcript:EOY03790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCFMRDFYSLGSLNININTSFTLVITCNNRSQYGLISLVRSIYKILVKILANRLKMVLHLVIDDNQFSFVKGKQILHCFFIANEVIDSIKKSLSSVYFATTLIPLVFLKEYKLVATLIYFSSLIVDDLIIFYKFDLQHLKGTQRILKGFKIIFSLKTIFSKSYLMGVNVDQQIVQDWAKSIECKARKLPTEYLGLFLGASHSCISGLIIQFSPFVFFVFMLLCPSRLVLLLRMVIGLVILDLGLLSCIQESLIENCRNGMFLNNYYKHEI >EOY06470 pep chromosome:Theobroma_cacao_20110822:4:32011357:32017163:-1 gene:TCM_046785 transcript:EOY06470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose nonfermenting 4 MNPARDAGRVTTAGMVLFPIQFTWPHGGRTVFLSGSFNRWTELMPMSQVEGCPNVFQAVCAVPHGCHEYKFFVDGEWRHDERQPHRNGEYGIVNTFDALPVPVPVPVPAEAPQVQPRSEILSNMDVDNGLTNRISAVTLNQAMPRILEEDLQASCHRISVFLAAHTAYELLPESGKVVALDVDLPVKQAFHILSEQGIPMAPLWDFSKGKFVGILSALDFILILRELGNHGSNLTEEELETHTISAWKEGKAYMNGQVDGHGRPISRRLIHAGPYDNLKDVALKFLQNGVATVPIIHSSSEDGLFPQLLHLASLSGILNCICRYFKHCSGSLPILQLPIYAIPLGTWVPRIGESSSRPFAMLRPTASLSSALNMLVQAQVSSIPIVDDNDSLLDIYCRSDITALAKGRAYTHNLNEMTVYQALQLGQDSNTPYETRSQRCQMCLRTDTLLKVMEQLANPGVRRLVIVEAGTNRVEGIVSLADIFRFLLG >EOY03579 pep chromosome:Theobroma_cacao_20110822:4:17272404:17277197:-1 gene:TCM_018690 transcript:EOY03579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-regulated ion channel family protein isoform 1 MPSPSNLSLSRWIGLCQLQNSPGENSDHSGDISEDNPISNTVECYACTQVGVPVFHSTSCDHAHQPEWEASAGSSLVPIQARAGQKKTQAAASRHPSGPLGRVLDPRSKRVQKWNRAFLLARGMALAIDPLFFYALSIGRGGFPCLYMDGGLAAIVTILRTCVDAVHLFHLWLQFRLAYVSRESLVVGCGKLVWDARAIASHYVRSLKGFWFDAFVILPVPQAVFWLVVPKLIREEQIKLIMTILLLIFLFQFLPKVYHSICLMRRMQKVTGYIFGTIWWGFGLNLIAYFIASHVAGGCWYVLAIQRVASCLRQQCERSERCKLSLSCSEEVCYQFLFPAEAVGNPCGGNSTKVVGKPLCLDVHGPFNYGIYQWALPVVSSNSVAVKILYPIFWGLMTLSTFGNDLEPTSHWLEVMFSICIVLAGLLLFTLLIGNIQVFLHAVMAKKRKMQLRCRDMEWWMKRRQLPSRLRQRVRHFERQKWATLGGEDEMELIKDLPEGLRRDIKRYLCLDLIKKVPLFHNLDDLILDNICDRVKPLVFSKDEKIIREGDPVQRMVFIVRGRIKRSQSLSKGMVATSIIEPGGFLGDELLSWCLRRPFIDRLPASSATFVCVESIEAFGLDANNLRYITDHFRYKFANERLKRTARYYSSNWRTWAAVNIQLAWRRYRTRTRGPMISVTENGNSSDRRLLQYAAMFMSIRPHDHLE >EOY03580 pep chromosome:Theobroma_cacao_20110822:4:17272927:17276679:-1 gene:TCM_018690 transcript:EOY03580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-regulated ion channel family protein isoform 1 SPGENSDHSGDISEDNPISNTVECYACTQVGVPVFHSTSCDHAHQPEWEASAGSSLVPIQARAGQKKTQAAASRHPSGPLGRVLDPRSKRVQKWNRAFLLARGMALAIDPLFFYALSIGRGGFPCLYMDGGLAAIVTILRTCVDAVHLFHLWLQFRLAYVSRESLVVGCGKLVWDARAIASHYVRSLKGFWFDAFVILPVPQAVFWLVVPKLIREEQIKLIMTILLLIFLFQFLPKVYHSICLMRRMQKVTGYIFGTIWWGFGLNLIAYFIASHVAGGCWYVLAIQRVASCLRQQCERSERCKLSLSCSEEVCYQFLFPAEAVGNPCGGNSTKVVGKPLCLDVHGPFNYGIYQWALPVVSSNSVAVKILYPIFWGLMTLSTFGNDLEPTSHWLEVMFSICIVLAGLLLFTLLIGNIQVFLHAVMAKKRKMQLRCRDMEWWMKRRQLPSRLRQRVRHFERQKWATLGGEDEMELIKDLPEGLRRDIKRYLCLDLIKKVPLFHNLDDLILDNICDRVKPLVFSKDEKVQAYEIIREGDPVQRMVFIVRGRIKRSQSLSKGMVATSIIEPGGFLGDELLSWCLRRPFIDRLPASSATFVCVESIEAFGLDANNLRYITDHFRYKFANERLKRTARYYSSNWRTWAAVNIQLAWRRYRTRTRGP >EOY02387 pep chromosome:Theobroma_cacao_20110822:4:634470:639050:-1 gene:TCM_016880 transcript:EOY02387 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2L domain class transcription factor MESSESMRNKCAACFRQFIRMEHLVDHMRTTYHSVHEPTCGVCKKHCRSFESLREHLIGPLPKQECKNLFNIRGCKFCLAILDSPYALRIHQDRCQLSGVNYGIAARLANLGLRDSLTIDNGFSRGPQVVALACKMVGAGSDGSLDLCARVCIIDENENMIYHTYVKPPIPVTNYRYETTGIRPEYLRDATPLRQVQRRIQDFLFNGEPMWKIRSPKGGKARILVGHGLDHDLDRLQVEYPAIMIRDTAKYPPLMKTSKLSNSLKYLTQAYLGYDIQTGIQDPYEDCVATMRLYMRMRNQAHRRENYPLASDPQNRNNFASWRQSELERMSPEEMLATSRSDYYCWCLDSE >EOY05419 pep chromosome:Theobroma_cacao_20110822:4:28536692:28537994:1 gene:TCM_020429 transcript:EOY05419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATVPNPHSIFRRGSSPKTPEKPRALKQKQEKKLMSMNLLVCHYYIPKTMIASSSPTVAVTSPGGRISSRVYRPRTRPAKVMLQQQKKRPAARIIHIVGWRSPSESFWAVKAAASGGVPLPPLDLTEENIELVLADARVELAQLFDTSVGITGQVELAELDGPFVKISLKGRFWHKRSTVVSRVGNYLKQRIPEILEVDIEDEKQLDDSPENF >EOY03754 pep chromosome:Theobroma_cacao_20110822:4:19030969:19034055:1 gene:TCM_018922 transcript:EOY03754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSEKSSTNPARNINDPLCISTTKSIERQEQQSEPQVKSYETITNNWKGEETRIEKQGVTVTSSLQQVKVKGNISYGSLQKPPVCNANEYGNCIKPVDEDNRPCTVYNRCKRDNH >EOY06086 pep chromosome:Theobroma_cacao_20110822:4:30882566:30884925:-1 gene:TCM_020920 transcript:EOY06086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein, putative MGLKDLRLKLKAFRLRRFRVGDGGSKKRENGIKKKPSWMMPISHGYHVVDHKSLRGSSDDSDFDSVVVQREQIEELELWFFGVFDARIGDGITKYIQSHFFDKKPKESQVHRKSKETMRRAYLGARAKVREAQKEDETLRAGSASVMLINGEKLVTANLGGYRAVICRDGVAHQLSSKRHSGARRHWTRRLFPVRMLVCDSTNAAAIRHGKSSELLVGAEKVDAETEFIIIASNGIWEVMKNQEAVNLIRHLGDPQEAAEWLTKEALSRMSKNSISCVVIRFD >EOY06921 pep chromosome:Theobroma_cacao_20110822:4:33363646:33367642:-1 gene:TCM_021498 transcript:EOY06921 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 1 isoform 1 IQLNITDQIKREIATLKLLKHPNVVRLHEVLASKTKIYMVLEYVTGGELFDRIASKGKLSESQGRKLFQQLIDGVSYCHGKGVYHRDLKLENVLIDAKGNIKISDFGLSALPQHIRGDGLLHTTCGSPNYVAPEILANKGYDGATSDIWSCGVILYVILTGYLPFDDRNLAVLYQKIFKGDAQIPKWLSSGARNMIRRILDPNPDTRITIAGIEDDEWFKQDYTPAVADDEEEDLYIDDEAFSMDEVASDGTRRPESPTIINAFQLIGMSSCLDLSGFFEEEDVSERKIRFTSNHSAKDLLARIQDIVTEMGFRVQMKNGRLKATQEHRGQKCHGSLSVAAEVFEISPSLYVVELRKSCGDSTVYRQFDT >EOY06922 pep chromosome:Theobroma_cacao_20110822:4:33363084:33368097:-1 gene:TCM_021498 transcript:EOY06922 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 1 isoform 1 MSSTRTRDKENNNKKQQQQPQPGMLQLGNYELGRTLGEGNFAKVKLAKNLHSGHPFAVKILDKAKIIQLNITDQIKREIATLKLLKHPNVVRLHEASKGKLSESQGRKLFQQLIDGVSYCHGKGVYHRDLKLENVLIDAKGNIKISDFGLSALPQHIREIFKGDAQIPKWLSSGARNMIRRILDPNPDTRITIAGIEDDEWFKQDYTPAVADDEEEDLYIDDEAFSMDEVASDGTRRPESPTIINAFQLIGMSSCLDLSGFFEEEDVSERKIRFTSNHSAKDLLARIQDIVTEMGFRVQMKNGRLKATQEHRGQKCHGSLSVAAEVFEISPSLYVVELRKSCGDSTVYRQLCKKLSNDLGVRQGQGLVYTQA >EOY06920 pep chromosome:Theobroma_cacao_20110822:4:33362971:33367928:-1 gene:TCM_021498 transcript:EOY06920 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 1 isoform 1 MSSTRTRDKENNNKKQQQQPQPGMLQLGNYELGRTLGEGNFAKVKLAKNLHSGHPFAVKILDKAKIIQLNITDQIKREIATLKLLKHPNVVRLHEVLASKTKIYMVLEYVTGGELFDRIASKGKLSESQGRKLFQQLIDGVSYCHGKGVYHRDLKLENVLIDAKGNIKISDFGLSALPQHIRGDGLLHTTCGSPNYVAPEILANKGYDGATSDIWSCGVILYVILTGYLPFDDRNLAVLYQKIFKGDAQIPKWLSSGARNMIRRILDPNPDTRITIAGIEDDEWFKQDYTPAVADDEEEDLYIDDEAFSMDEVASDGTRRPESPTIINAFQLIGMSSCLDLSGFFEEEDVSERKIRFTSNHSAKDLLARIQDIVTEMGFRVQMKNGRLKATQEHRGQKCHGSLSVAAEVFEISPSLYVVELRKSCGDSTVYRQLCKKLSNDLGVRQGQGLVYTQA >EOY05397 pep chromosome:Theobroma_cacao_20110822:4:28430341:28430772:-1 gene:TCM_020406 transcript:EOY05397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGPRRLSCLARVLIMLTAIILFFSLIAEAAPICQGKCEDIPDCDGFCRRIGFKGGACQPPFYQFCCCNQ >EOY04927 pep chromosome:Theobroma_cacao_20110822:4:26532912:26537396:-1 gene:TCM_020074 transcript:EOY04927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family MCLSSDCKFHFDFGTHDVDSGSVHVKTIGSRALLQPSREAATGFHCFAEGLVELPTGLTPIRIAADNFKMVYEITEQKKIGLGLIGFGIFFSFLGVILFFDRGLLALGNIFCLAGVAILIGWYSTLQLFTNRKNYKGSASFLLGLFFLFVRWPIVGIILEIYGCIVLFGGFWPSVRVFLCQIPVFGWVIQYPVMILDRLRRGSA >EOY06158 pep chromosome:Theobroma_cacao_20110822:4:31138114:31139397:-1 gene:TCM_020971 transcript:EOY06158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function, putative MASLATHLSACLFFVPIGIRRLLCSSSLYLKNPSLFKSKPWYFSNPKWKNLDLYILSIALPIASFCQIFFFLSISGHPTYRFSFFQHFASLCLFWVLTIFFVLYENLDHFLFNESFIYIYAAIAFLVEYSVIGVGITGLGSVVYELLAQLTLVCAGSCLVLSVKPTAFFAEFLLSTGLVFKGTWLLQAGLCLYTDAFVLKGCNKMVLLPGGSNADVHCELEEDGMRAVALVNLLFVVHAIGVVIAGFVVFGLLSSFRNLRFGEASGPLLAELESESILMRPSHEHEME >EOY03037 pep chromosome:Theobroma_cacao_20110822:4:3782877:3805350:1 gene:TCM_017447 transcript:EOY03037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthase clone R1, 1,ATGLN1,1 isoform 1 MSLLSDLVNLNLSDCTEKIIAEYIWIGGSGMDLRSKARTLSGPVSDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRCAAAKIFRHPDVVAEEPWYGIEQEYTLLQKDVKWPIGWPLGGYPGPQGPYYCGVGVDKAFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAGDELWIARYILERITEIAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMRCDGGYEVIKKAIQKLGQRHKEHIAAYGEGNERRLTGRHETADINTFLWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTIVWKP >EOY03038 pep chromosome:Theobroma_cacao_20110822:4:3802336:3805157:1 gene:TCM_017447 transcript:EOY03038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthase clone R1, 1,ATGLN1,1 isoform 1 SDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRCAAAKIFRHPDVVAEEPWYGIEQEYTLLQKDVKWPIGWPLGGYPGPQGPYYCGVGVDKAFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAGDELWIARYILERITEIAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMRYGEGNERRLTGRHETADINTFLWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTIVWKP >EOY06754 pep chromosome:Theobroma_cacao_20110822:4:32835616:32842764:1 gene:TCM_021386 transcript:EOY06754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MESRSSLSYLLACAWILFALSGLATRSESAQQAFKRDPGHPHWHHSAFLDVRDSVRSDVGRMLHTRAEVPFQVPLEVNVVLIGLNGDGGYRYTVDAPKLEEFLRVSFPSHRPSCQETGEPLDIKHHVVYNTFPAGQPELIALEKALKEAMVPAGTARESDFGREVPLFEVDATAVEPIFQKLYSYIFDIDNGGYSAKEMDRPVPTAIFIVNFDKVRMDPRNKEIDLDSLMYSKLTPLTEEDMKKQEGDYIYRYRYNGGGASQVWLGSGRFVVIDLSAGPCTYGKIETEEGSVSPRTLPRIRSMILPRGLAAVSDHTTHDNFMGHLAALIATTVEHVIAPDVRFETVDLTTRLLIPIIVLQNHNRYNIMEKGHNYSIDIGAIEAEVKKLVHDDQEVVIIGGSHALHRHEKLAIAVSKAMRGHSLQETKKDGRFHVHTKTYLDGAILKEEMEHSADVLAAGLLEMADPSLSNKFFLRQHWMDESEGSTDSVLKHKPLWAAYYSKSGKDKKKKKQMKKGDLHPTYGTRVIPVFVLSLADVDPQLMMEDDSLVWASNDVVIVLEHQSEKIPLRYVQNVLTSEKDKMRCCQIEFKYPVHSSQTFVYGGILLAGFFVYFVVIFFSSPPGR >EOY06752 pep chromosome:Theobroma_cacao_20110822:4:32835450:32842529:1 gene:TCM_021386 transcript:EOY06752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MESRSSLSYLLACAWILFALSGLATRSESAQQAFKRDPGHPHWHHSAFLDVRDSVRSDVGRMLHTRAEVPFQVPLEVNVVLIGLNGDGGYRYTVDAPKLEEFLRVSFPSHRPSCQETGEPLDIKHHVVYNTFPAGQPELIALEKALKEAMVPAGTARESDFGREVPLFEVDATAVEPIFQKLYSYIFDIDNGGYSAKEMDRPVPTAIFIVNFDKVRMDPRNKEIDLDSLMYSKLTPLTEEDMKKQEGDYIYRYRYNGGGASQVWLGSGRFVVIDLSAGPCTYGKIETEEGSVSPRTLPRIRSMILPRGLAAVSDHTTHDNFMGHLAALIATTVEHVIAPDVRFETVDLTTRLLIPIIVLQNHNRYNIMEKGHNYSIDIGAIEAEAMVVKKLVHDDQEVVIIGGSHALHRHEKLAIAVSKAMRGHSLQETKKDGRFHVHTKTYLDGAILKEEMEHSADVLAAGLLEMADPSLSNKFFLRQHWMDESEGSTDSVLKHKPLWAAYYSKSGKDKKKKKQMKKGDLHPTYGTRVIPVFVLSLADVDPQLMMEDDSLVWASNDVVIVLEHQSEKIPLSYVSETERRHAIPSQAQRHILAGLASAVGGLSAPYEKASHIHERPVVNWLWAAGCHPFGPFSNTSQISQMLQDAALRNMIYARVDSALRIIRETSEAVQSFAAQYLKTPLGEPVKGKKNKTTTELWLEKFYKKTTNMPEPFPHELVERLEKYSDNLEEQLVDLSSLLYDHRLRDAHLNSSDILQSTMFTQQYVQNVLTSEKDKMRCCQIEFKYPVHSSQTFVYGGILLAGFFVYFVVIFFSSPPGR >EOY06753 pep chromosome:Theobroma_cacao_20110822:4:32835548:32842801:1 gene:TCM_021386 transcript:EOY06753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MESRSSLSYLLACAWILFALSGLATRSESAQQAFKRDPGHPHWHHSAFLDVRDSVRSDVGRMLHTRAEVPFQVPLEVNVVLIGLNGDGGYRYTVDAPKLEEFLRVSFPSHRPSCQETGEPLDIKHHVVYNTFPAGQPELIALEKALKEAMVPAGTARESDFGREVPLFEVDATAVEPIFQKLYSYIFDIDNGGYSAKEMDRPVPTAIFIVNFDKVRMDPRNKEIDLDSLMYSKLTPLTEEDMKKQEGDYIYRYRYNGGGASQVWLGSGRFVVIDLSAGPCTYGKIETEEGSVSPRTLPRIRSMILPRGLAAVSDHTTHDNFMGHLAALIATTVEHVIAPDVRFETVDLTTRLLIPIIVLQNHNRYNIMEKGHNYSIDIGAIEAEVKKLVHDDQEVVIIGGSHALHRHEKLAIAVSKAMRGHSLQETKKDGRFHVHTKTYLDGAILKEEMEHSADVLAAGLLEMADPSLSNKFFLRQHWMDESEGSTDSVLKHKPLWAAYYSKSGKDKKKKKQMKKGDLHPTYGTRVIPVFVLSLADVDPQLMMEDDSLVWASNDVVIVLEHQSEKIPLSYVSETERRHAIPSQAQRHILAGLASAVGGLSAPYEKASHIHERPVVNWLWAAGCHPFGPFSNTSQISQMLQDAALRNMIYARVDSALRIIRETSEAVQSFAAQYLKTPLGEPVKGKKNKTTTELWLEKFYKKTTNMPEPFPHELVERLEKYSDNLEEQLVDLSSLLYDHRLRDAHLNSSDILQSTMFTQQYVQNVLTSEKDKMRCCQIEFKYPVHSSQTFVYGGILLAGFFVYFVVIFFSSPPGR >EOY05770 pep chromosome:Theobroma_cacao_20110822:4:29742097:29743976:1 gene:TCM_020685 transcript:EOY05770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein LHSRSLILPFASPHLSVAPHYTPPTRALFSLPAALYCFLLLLLFSPFLFFHLSHFLFLFSCAAVAGGVSSAAGDVLSFSSFAFSSLGFLFFYFLNRVGF >EOY03289 pep chromosome:Theobroma_cacao_20110822:4:11045589:11054318:1 gene:TCM_018123 transcript:EOY03289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAC66597.1 MAASSSPILSPLHYNCNTINDNKKKLFLLANPLLFSISSKPIKTNEGSSIILFSYKSGSGLTAKDKKVLVERYGFDPNEDISEPKTKRIKEVLGQGKGKRKKVVTEEEAKPPRTTHKLLQVLGGKAKRMKLLSPKGMDVRPMMEVVKGAAFDILQVAGGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEVHFVEVDPWVISNVLRPNLEWTRFLDVSVIHPVRVENFLEQADRFVGSDGPFDYISVTPPYIQVDYGTLMAQISKSAIIGEDTFIVVEYPLRTNMLDSCGCLVKIEDTVSKSMVPIKRRGFCFNRGRKLIMGRQHIKRLGKIIDIGTYLVELNQQKDMGRGGRALRSLFGVTLLVGVIWFFFVGIIANHATAMTKSMMVPSTRDFKHWEFVAQERYNFHQDFHLNYVSKRRVPNGPDPIHNRRAAKSREPPGRA >EOY02297 pep chromosome:Theobroma_cacao_20110822:4:339898:341556:-1 gene:TCM_016817 transcript:EOY02297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPIPRILETIKEVNNEELPPVKPLNSNKAGAMVFLVFVTNLAKPCLIEKAAKLMAFDKAAVIFTAWLACSMLTISAPAAAETSSWAPAPTPVLHPVPSNHFQQCWSIPQLLNTIGLLAQILFTLTLFSRFLLGHEGLSLRPISVKSNTNVDPKAQPFISVFF >EOY02779 pep chromosome:Theobroma_cacao_20110822:4:2242388:2244686:-1 gene:TCM_017179 transcript:EOY02779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 family protein isoform 1 MATSLSLSNFLSFLLPSNPPPPPPKAAPLNNLYLSSQKPKNTFLSVSAQEDQSSSLSTELSAVICPSLAYANTLFFKSAYNVQVIVDDNEPEERLLNRFRREVMRAGVIQECKRRRFFENKQDEKKRKSREAAKRNRRRRPQARSSLPNKQEVSSKKRDDDEEDNWEMPDGDLPY >EOY02778 pep chromosome:Theobroma_cacao_20110822:4:2242388:2244592:-1 gene:TCM_017179 transcript:EOY02778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 family protein isoform 1 MATSLSLSNFLSFLLPSNPPPPPPKAAPLNNLYLSSQKPKNTFLSVSAQEDQSSSLSTELSAVICPSLAYANTLFFKSAYNVQVIVDDNEPEERLLNRFRREVMRAGVIQECKRRRFFENKQDEKKRKSREAAKRNRRRRPQARSSLPNKQEVSSKKRDDDEEDNWEMPDGDLPY >EOY04308 pep chromosome:Theobroma_cacao_20110822:4:23644805:23645940:1 gene:TCM_019582 transcript:EOY04308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MKVFNAGNLSYMNATTGFQNKEFSWSDYYRYSVELTNKRVELQYESVQDSFTAIDLSNNKFNGEIHEDIGNLKALNMLNLSNNNLTGHIPSSMASLTHLESLDLSRNKLSGEIPRQLVQLTFLSFFDVSHNRLKGPIPSGRQFSTFDTNSFLGNLGLCGSPLPKKCGIPEKSSGQDPLNSEEDKGIGSLFSFDWKVVLVGFAAGLIIGMPLGCNFINRKHGRFLMVLGNKQLRRREKTRRHKFCF >EOY06916 pep chromosome:Theobroma_cacao_20110822:4:33359393:33362967:1 gene:TCM_021497 transcript:EOY06916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-activated RelA/spot isoform 1 MVAMSSMELLIPKLRLGECHSKSQLLALSSSCIAKQQHPRALMCKASAAESNATALEQTGGKMVVELVGAFNQLTQKINVLSSSSSRLLFKSLKLSIPILQALPLVPDGRSPLSKALSLALLLAALQMDAEVISAGLLTQVLEAGAISIYQVRDRIGTGTAHLLHESMRLKNIPSKVEVLDDDSAAALRKFCLTFYDIRALILDLALKLDMMRHLDYLPRYQQQMLSLEVLKIYAPLAHAVGTNHLSLELEDLSFRYLFPYSYLYVDTWLRSHQPGNKPLIDIYKEQLLQTLKADPILADMVDDVAVKGRYKSRYSTMKKLLRDGRKPEEVNDVLGLRVILNPRSGIDMSQEGERACYRTREIIQSLWKEMPHRTKDYIARPKANGYKSLHMAVDVSDNGMARPLMEIQIRTTEMDMLATGGTASHSLYKGGLTDPEEAKRLKAIMIAAAELAALRLKDFPSTNHKGLGFGQRDEVFRLLDKNADGKISIEELMEVMEELGAPGEDAREMMQLLDSNSDGSLSSDEFGLFQKQVEFMRNLEDRDVQCKTMLNDKLQVADNSGLIQVYSKEFGDRLVN >EOY06919 pep chromosome:Theobroma_cacao_20110822:4:33359712:33361499:1 gene:TCM_021497 transcript:EOY06919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-activated RelA/spot isoform 1 MVAMSSMELLIPKLRLGECHSKSQLLALSSSCIAKQQHPRALMCKASAAESNATALEQTGGKMVVELVGAFNQLTQKINVLSSSSSRLLFKSLKLSIPILQALPLVPDGRSPLSKALSLALLLAALQMDAEVISAGLLTQVLEAGAISIYQVRDRIGTGTAHLLHESMRLKNIPSKVEVLDDDSAAALRKFCLTFYDIRALILDLALKLDMMRHLDYLPRYQQQMLSLEVLKIYAPLAHAVGTNHLSLELEDLSFRYLFPYSYLYVDTWLRSHQPGNKPLIDIYKEQLLQTLKADPILADMVDDVAVKGRYKSRYSTMKKLLRDGRKPEEVNDVLGLRVILNPRSGIDMSQEGERACYRTREIIQSLWKEMPHRTKDYIARPKANGYKSLHMAVDVSDNGMARPLMEIQIRTTEMDMLATGGTASHSLYKGGLTDPEEVCRMLFD >EOY06917 pep chromosome:Theobroma_cacao_20110822:4:33359712:33363098:1 gene:TCM_021497 transcript:EOY06917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-activated RelA/spot isoform 1 MVAMSSMELLIPKLRLGECHSKSQLLALSSSCIAKQQHPRALMCKASAAESNATALEQTGGKMVVELVGAFNQLTQKINVLSSSSSRLLFKSLKLSIPILQALPLVPDGRSPLSKALSLALLLAALQMDAEVISAGLLTQVLEAGAISIYQVRDRIGTGTAHLLHESMRLKNIPSKVEVLDDDSAAALRKFCLTFYDIRALILDLALKLDMMRHLDYLPRYQQQMLSLEVLKIYAPLAHAVGTNHLSLELEDLSFRYLFPYSYLYVDTWLRSHQPGNKPLIDIYKEQLLQTLKADPILADMVDDVAVKGRYKSRYSTMKKLLRDGRKPEEVNDVLGLRVILNPRSGIDMSQEGERACYRTREIIQSLWKEMPHRTKDYIARPKANGYKSLHMAVDVSDNGMARPLMEIQIRTTEMDMLATGGTASHSLYKGGLTDPEEAKRLKAIMIAAAELAALRLKDFPSTNHKGLGFGQRDEVFRLLDKNADGKISIEELMEVMEELGAPGEDAREMMQLLDSNSDGSLSSDEFGLFQKQRTEMSNARPCSMTSSKWQTTVA >EOY06918 pep chromosome:Theobroma_cacao_20110822:4:33359712:33363098:1 gene:TCM_021497 transcript:EOY06918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-activated RelA/spot isoform 1 MVAMSSMELLIPKLRLGECHSKSQLLALSSSCIAKQQHPRALMCKASAAESNATALEQTGGKMVVELVGAFNQLTQKINVLSSSSSRLLFKSLKLSIPILQALPLVPDGRSPLSKALSLALLLAALQMDAEVISAGLLTQVLEAGAISIYQVRDRIGTGTAHLLHESMRLKNIPSKVEVLDDDSAAALRKFCLTFYDIRALILDLALKLDMMRHLDYLPRYQQQMLSLEVLKIYAPLAHAVGTNHLSLELEDLSFRYLFPYSYLYVDTWLRSHQPGNKPLIDIYKEQLLQTLKADPILADMVDDVAVKGRYKSRYSTMKKLLRDGRKPEEVNDVLGLRVILNPRSGIDMSQEGERACYRTREIIQSLWKEMPHRTKDYIARPKANGYKSLHMAVDVSDNGMARPLMEIQIRTTEMDMLATGGTASHSLYKGGLTDPEEAKRLKAIMIAAAELAALRLKD >EOY05584 pep chromosome:Theobroma_cacao_20110822:4:29072863:29075837:1 gene:TCM_020550 transcript:EOY05584 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MASSTSATVLLSLTITAPYPKPTTCRFLLQPRNRYLNLSSSANFSAKALFSSYNSNSVPLTKELETNCNSLTLREICQDHVPDHVLGRMEELGYVMPTDVQREALPVLFSGNDCILHAQTGSGKTLTYLLLIYSVINPKRSAVQALIVVPTRELGMQVTKVARMLAAKPMDPEVEQKSYTVMALLDGGMLRRHKSWLKAEPPNIVVATIGSLSQMLEKQIFKLDSMRILVVDEVDFIFNSSKQVSSIRKLLTSYSSCNNRQTVFASASIPQHRRFLHDCIQQKWTKGDVVHVHVNPVKPMPSCLLHRFVICGRKEKHRLLLSLLQSDLPESGIIFVNEQVRTAYPSSCVDF >EOY05582 pep chromosome:Theobroma_cacao_20110822:4:29072392:29076767:1 gene:TCM_020550 transcript:EOY05582 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MASSTSATVLLSLTITAPYPKPTTCRFLLQPRNRYLNLSSSANFSAKALFSSYNSNSVPLTKELETNCNSLTLREICQDHVPDHVLGRMEELGYVMPTDVQREALPVLFSGNDCILHAQTGSGKTLTYLLLIYSVINPKRSAVQALIVVPTRELGMQVTKVARMLAAKPMDPEVEQKSYTVMALLDGGMLRRHKSWLKAEPPNIVVATIGSLSQMLEKQIFKLDSMRILVVDEVDFIFNSSKQVSSIRKLLTSYSSCNNRQTVFASASIPQHRRFLHDCIQQKWTKGDVVHVHVNPVKPMPSCLLHRFVICGRKEKHRLLLSLLQSDLPESGIIFVNEQSEKSKKAGKAPSTTLIIDFLKASYGGSLEIILLEDNMNFNSRAASLSEVRQGGGHLLVSTDIAARGIDLPETTDIYNFDLPKTAIDYLHRAGRTGRKPFSDKKCTVTNIILSEERFVLQRYENELMFNCEELILETLC >EOY05583 pep chromosome:Theobroma_cacao_20110822:4:29072392:29077111:1 gene:TCM_020550 transcript:EOY05583 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MASSTSATVLLSLTITAPYPKPTTCRFLLQPRNRYLNLSSSANFSAKALFSSYNSNSVPLTKELETNCNSLTLREICQDHVPDHVLGRMEELGYVMPTDVQREALPVLFSGNDCILHAQTGSGKTLTYLLLIYSVINPKRSAVQALIVVPTRELGMQVTKVARMLAAKPMDPEVEQKSYTVMALLDGGMLRRHKSWLKAEPPNIVVATIGSLSQMLEKQIFKLDSMRILVVDEVDFIFNSSKQVSSIRKLLTSYSSCNNRQTVFASASIPQHRRFLHDCIQQKWTKGDVVHVHVNPVKPMPSCLLHRFVSEKSKKAGKAPSTTLIIDFLKASYGGSLEIILLEDNMNFNSRAASLSEVRQGGGHLLVSTDIAARGIDLPETTDIYNFDLPKTAIDYLHRAGRTVIPDRM >EOY06417 pep chromosome:Theobroma_cacao_20110822:4:31856379:31857659:1 gene:TCM_021144 transcript:EOY06417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQKQRKKERERKMEDQQNWKGEEEEESEINELLCSIMEGYNDKLIPPLSQFSPSRQAAAAIVEEKGSSSRERGRAKEAKEVTESLKKERDRREKMAENYDLLQSMVPNLFPKATREMIVGETIAYIQSLEKEITRMEELKNSSESSKGKMHLYSNRNSSIDVTVSSNVVFFGIQSMVRPRLVTDIFMVLHKHKAEVLGANVAVNHRQLTLTVTAVVNGNRDRTIEKIKGDILIL >EOY05421 pep chromosome:Theobroma_cacao_20110822:4:28542826:28544034:1 gene:TCM_020431 transcript:EOY05421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyethylthiazole kinase family protein MEAEKQREWGPKAWAHLSSVRNQSPLIQCITNFVSMDLTANTLLSAGASPAMLHSPNETPDFTPQVHALYINVGTLSDNWLPAMKLAAEIASKFKKPWVLDPVATGASGFRLQACLDLISLKPTVIRGNASEIIALANASVGFTKGVDSSHDSTDAVEAAKSLANSSGAVVAVSGAVDFVTDGNRVVGARNGVPMMQKITATGCAVTALIAAFVAIDPLQALEATASALAVFGVAGEIGMEMARGPASLRMHLIDSLHGLDQTTVISRVKITTS >EOY04804 pep chromosome:Theobroma_cacao_20110822:4:25993101:25995698:-1 gene:TCM_019980 transcript:EOY04804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial editing factor 22-like protein MSSKKCPLYLVNLRITNSTNNGHFADTLNIYSPMLHNSNVHGNSFTFPLLFKACAALTSLRDGTKLHAQVLQLGFIHDIFVQTSLLLMCSKRFDLVSARNVLDEMLSRNVISWNSMISVYCRGFRVTKAIKLLKVILVLNMYAKCGDIGSARRVFAMVDKKCICLWTSIIAAYTQFGYPAKALDLFKSLGKEIEHYVKPNGFASNQQVQTSSIHMDCKCGIVEKVEEVFAEVLHKDLGVWSSMINGYAIHGLGNEALNLFHQMQITETFSLDHVVFTSILLACSHSGLVEDGFKYFKDMKRVYRIEPSIEHYTCMVDLLGRAGHFYLALKTIQEIPVQVQAQVWARLLSACRKYCNVDLGEYIARKLLDLNPGNTSNHVLMSNLYTSGGKWKEATITRSMLRNRGLIKEPGWSQVQINGYIRVFVAGDRSHNWSADIYKRLDEFNIKLKEAGCIAEIDMVFHDLENEEKEEALEVHIERLAVAWGPISTDVGTTLTIIKNL >EOY03675 pep chromosome:Theobroma_cacao_20110822:4:17749357:17754311:-1 gene:TCM_018765 transcript:EOY03675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 25 isoform 2 MNMTQRQILVSMVLVFLVVSVSRSEGSVREEEEADRISALPGQPKVSFQQFSGYVTVNKAAGRALFYWLTEAANDPLSKPLVIWLNGGPGCSSVAYGASEEIGPFRINKTASGLYLNKFSWNNVANLLFLETPAGVGFSYSNHSSDLFDTGDRRTAMDSLEFLIRWLDRFPRYKNRDIYITGESYAGHYVPQLARQIMIYNKKSKHRINLKGIMVGNAVTDNYYDNLGTVTYWWSHAMISDKTYQQLINTCDFRRQKESNECESLYTYAMDQEFGNIDQYNIYAPPCNNSDGSLFTRQTMRMPHRPYRIFRAISGYDPCTEKYAEIYYNRPDVQKALHANTTGIHYKWTACSEVLNRNWNDTDASVLPIYREMIAGGLRVWVFSGDVDSVVPVTATRYSLAQLKLTTKIPWYPWYVKKQVVGGWTEVYHGLTFATVRGAGHEVPLFKPRAALQLFKSFLRGEPLPKS >EOY03676 pep chromosome:Theobroma_cacao_20110822:4:17749636:17754282:-1 gene:TCM_018765 transcript:EOY03676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 25 isoform 2 MNMTQRQILVSMVLVFLVVSVSRSEGSVREEEEADRISALPGQPKVSFQQFSGYVTVNKAAGRALFYWLTEAANDPLSKPLVIWPMDSLEFLIRWLDRFPRYKNRDIYITGESYAGHYVPQLARQIMIYNKKSKHRINLKGIMVGNAVTDNYYDNLGTVTYWWSHAMISDKTYQQLINTCDFRRQKESNECESLYTYAMDQEFGNIDQYNIYAPPCNNSDGSLFTRQTMRMPHRPYRIFRAISGYDPCTEKYAEIYYNRPDVQKALHANTTGIHYKWTACSEVLNRNWNDTDASVLPIYREMIAGGLRVWVFSGDVDSVVPVTATRYSLAQLKLTTKIPWYPWYVKKQVGGWTEVYHGLTFATVRGAGHEVPLFKPRAALQLFKSFLRGEPLPKS >EOY03677 pep chromosome:Theobroma_cacao_20110822:4:17750971:17754302:-1 gene:TCM_018765 transcript:EOY03677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 25 isoform 2 MNMTQRQILVSMVLVFLVVSVSRSEGSVREEEEADRISALPGQPKVSFQQFSGYVTVNKAAGRALFYWLTEAANDPLSKPLVIWLNGGPGCSSVAYGASEEIGPFRINKTASGLYLNKFSWNNVANLLFLETPAGVGFSYSNHSSDLFDTGDRRTAMDSLEFLIRWLDRFPRYKNRDIYITGESYAGHYVPQLARQIMIYNKKSKHRINLKGIMVGNAVTDNYYDNLGTVTYWWSHAMISDKTYQQLINTCDFRRQKESNECESLYTYAMDQEFGNIDQYNIYAPPCNNSDGSLFTRQTMRMPHRPYRIFRAISGYDPCTEKYAEIYYNRPDVQKALHANTTGIHYKWTACRYFNCILSTSIT >EOY03674 pep chromosome:Theobroma_cacao_20110822:4:17749263:17787266:-1 gene:TCM_018765 transcript:EOY03674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 25 isoform 2 MTSINHNLSLSLSLSLSYLIQPHVRQSTLPITHAHTHTHTTVGEDASPFSTYFFFFFFLIFIEAMNMTQRQILVSMVLVFLVVSVSRSEGSVREEEEADRISALPGQPKVSFQQFSGYVTVNKAAGRALFYWLTEAANDPLSKPLVIWLNGGPGCSSVAYGASEEIGPFRINKTASGLYLNKFSWNNVANLLFLETPAGVGFSYSNHSSDLFDTGDRRTAMDSLEFLIRWLDRFPRYKNRDIYITGESYAGHYVPQLARQIMIYNKKSKHRINLKGIMVGNAVTDNYYDNLGTVTYWWSHAMISDKTYQQLINTCDFRRQKESNECESLYTYAMDQEFGNIDQYNIYAPPCNNSDGSLFTRQTMRMPHRPYRIFRAISGYDPCTEKYAEIYYNRPDVQKALHANTTGIHYKWTACSEVLNRNWNDTDASVLPIYREMIAGGLRVWVFSGDVDSVVPVTATRYSLAQLKLTTKIPWYPWYVKKQVGGWTEVYHGLTFATVRGAGHEVPLFKPRAALQLFKSFLRGEPLPKS >EOY04459 pep chromosome:Theobroma_cacao_20110822:4:24411063:24416999:-1 gene:TCM_019702 transcript:EOY04459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDTGTLKVMRKEEEDVISKLPEKVLGHILSHLPTIEAVQTSVLATKWRYLWTHIDNLDFDADDFLGDRNFFMDFVDRVLRLRHTNDIEKFSLRFYDLKSDLRRVNDWIRYAMSCNVKEIELLLLSSDEEGIPVRLPDNFSSCDSLVALNLGNDFVFDIPPTNKCFPSLKVLHVDVTSPDDEFLNKLLCSCPVLEDFSICGDFQYGGDYDYAFKISIPTLKKLKIKLIYDDFKDELDNEFIIETPKLEYLSIQDPSIAFFVIDEIPSLIEAHVGIGHTNYVRDNLISEYRALRVMEVLKGVRNAKSLTLRENTIATLSSAFNDADDFPTFLHLMHLQLGIDYCFGWKLLPHFLKISPILKSLVLEKEYPMDEEDEIEREANFGWISPRFAPYCLSQHLKEIKMKNLWGSEDEVDVVKYLLENSKVLEKMCIKFDPGKEIVKEELDENMIIKFPRSSEKCKIEFFHHFLRSPSGKSPKEGLLLEEGSSCSHGSLCANLLSICFPLISHGFKTEENFFSFIHILPKSLMLSSVTSSKFFYLVCDEERRRRCYPTEKVLGHILSYLPTIETVQTSVLSSKWRDNLVSEYRALRVMEVLNGVRNAKSPTLWENTIATLSSVFNDADDYFPAFLHLLHLQLGIDYCFGWKLLPHFLKNSPILKSLVLEKVSCHILAKWLTWCISGINIIWFCYSSGISHGRKG >EOY04503 pep chromosome:Theobroma_cacao_20110822:4:24705809:24709562:-1 gene:TCM_019748 transcript:EOY04503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromosome partition protein smc MEVDSSELGDSGTKMGALKGGVRAEIDTSAPFESVKEAVSRFGGIGYWKPSQNKLISETEHDMEEVDIAKLEEQAAELEKDLIVKERETLDVLKELETTKTTVEELKLKLQKEASEVNGTLNMNTDVKTVTSEVKEAEKENHQGGHPNLAGSLSPHPSSSPGLILMELKQAKLNLSRTTNDLADIRGSVESLNKKLEKERISLEKTRERLTQNSSKISSLEEELNQTRLKLQVAKEAETKSSADNHLDISRELQRLSSEAEQFKKVGEAARSEVSRAISEIEQTRTRIKTAEMRLLAAKKMKEAARAAEAVALAEIKTLSSRESSSGIPVQKAEGVTISFEEYSSLKSKAQKAEELSNGRVVDAMLQVDEANSSKMEILKRVEEATEEVKTSKKALEEALSRVQAANKGKLAVEEALRKWRSEHGQKRRSVHNSTKFKNSYPSHNRKDSRLLDVNGLNLVSDGPTPVLKPTLSIGQILSRKLLLPEDFETEMLAEKGTVKRKVSLGQMLSKQNGDCPSFQKTERESGHKQFSGKRKKFGFARFSLLLAKQSKKKKKPTLNLR >EOY02484 pep chromosome:Theobroma_cacao_20110822:4:1023053:1026221:-1 gene:TCM_047032 transcript:EOY02484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MTFRNILEVGFLPSVISCNCLLNGLLRLNFVDQCWLLYEEMCRVGIHPNSYTFNILTHVFCKDGNADKINEFLERMEEEGFDPDLVTYNTLISSYCRKGRLNDAFYLYRIMYRRNVVPDLVSYTALMNGLCKEGRVREAHQLFHRMVHRGLSADIVSYNTLISGYCKEGRMQESKYLMHEMIGNGICPDSFTCRVLVEGYGKQGRLISALNLVVELRRFRVSVSSGIYDYLMVSLCHEDRPFAAKNLLGRISQDGYVPKLDIYNELIESFCRCDSAADALHLKAEMAQRRIKVDLVAYRALICCLCRTGH >EOY04533 pep chromosome:Theobroma_cacao_20110822:4:24914827:24917571:-1 gene:TCM_019771 transcript:EOY04533 gene_biotype:protein_coding transcript_biotype:protein_coding description:LURP-one-related 1, putative MAYPSSRAPSANPVSIIDPQFCALNSIELAVLRKLMSSHDKWQAFRGDSTESSDLIFTVKQSSMFQLKTKLDVFLANNTKEDVCDFKIKGGWSERSCVIYAGESSTIVAQVRLSRCTRNTVESVLVGKDKFMVTVHPNIDYAFIVALIVVLDGIKTQKGHSTAIDFGINIDL >EOY03523 pep chromosome:Theobroma_cacao_20110822:4:16749663:16750497:-1 gene:TCM_018624 transcript:EOY03523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWSIWKAWNSLIFKQVRLDPKAVVKLGHEMISQYRNANYSSGQRGVEDVEYGRLWRRPNGWRLNTNAAVFEIHGNKEEEQAYVKNSNGDLILVGAKRFTQDSSVTEAELGSLVWSLLVCNRENINVNQIELDCKQAVGWVKEKNYNGGMGHVVDDCIVLMQRLDYHSIVHCKREANMAAHAIAKSVKTLDEDQVVWKDLNEVPIQARRTIMIDGNFM >EOY05847 pep chromosome:Theobroma_cacao_20110822:4:30012880:30014224:1 gene:TCM_020746 transcript:EOY05847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRSLLGYPLVCWGLLDQSGCISMPHVHIRMMLPSSYCLLLLVLILSPLEIKILVWVFFLSENNTAPHALLLEALSCGFNFRSTGATAGSTVTRTPTRII >EOY03922 pep chromosome:Theobroma_cacao_20110822:4:20384260:20391299:-1 gene:TCM_019132 transcript:EOY03922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated family protein MAFTASKVPGGSVVTNRVGNTRPQGKIYPTSQGFVHPRLRNAQPLMAKLSFPNESLGSIYGKTGGFTVSRGSSMICLSTRTQNSEATESVKPYADCSDASGAQIGEEEVGHSAMPGRTIHSSLGLAEACRFVCNDAKFVNERARNDIILLSRGIMRLDARVRQDVAILGSGFLKLDARAREDTEKIDRDVKKKAERLHHIATILKDKAQSRLKSAADKHWSDGALEADLRRADFRAKQRAMEDALMALEFMKNIHDMMVSKVYKFPLQWEKGSLSANDIMLEKNGKTLDFFNGEVSTDRINAIQEAYWSIASALSEADGIDYTDPEELELLITTLIDLDAMDGKSSVSLLAECSSSPDVRTRQALANALAAAPSMWTLGNAGMGALQRLAEDSNPAVAVAASKAIYELKKQWEIAEGDSWRFMMNLKPVEETDGEGDKDDADKN >EOY06675 pep chromosome:Theobroma_cacao_20110822:4:32632521:32634759:-1 gene:TCM_021328 transcript:EOY06675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein MFLVDWFYGILASLGLYQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQFPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDELLANVPFLILGNKIDLPYAASEDELRYHMGLTNFTTGKGNVNLDGTNVRPLEVFMCSIVRKMGYGEGFRWLSQYIK >EOY05266 pep chromosome:Theobroma_cacao_20110822:4:27795142:27797612:-1 gene:TCM_020306 transcript:EOY05266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPMMKEQKRMVQLFDDLIIGIMARHPPKSILRFRCLSKSWNALLSSSSFITMHLNHVKESKYNDMQRLILSSTYFLQSMSYEAEEKPSYRIDFPLDLKYCIELLGSCNGLLCLGMPRETLILWNPSIKEFKKLPLSCPLDMRDTFGFGYDHSTDVYKIIRIFKLVDRIYSVEAPVDIYSLKSNTWKRIQSFHLSRTFFENSLSGTLVDKILYWNVIYLPNHEQGQEGYSYSDITRRILGFDLTYETFEVLPLPNDVIEGRHFTLRVIGGCLSLAQNLKNLEGDSIEMWKLEKDNMKQSWTKFMTIMNLQTLQYPHNLVPICVMKNGEVLITYSASVRFFAMGRKPSIFELYDPVKDTFRRLKVDGLLLFCKRLSMTKESGLDKIIPEHIQKASQWLIFTAELFLSGIFTAEHIQKA >EOY03781 pep chromosome:Theobroma_cacao_20110822:4:19251414:19254440:1 gene:TCM_018963 transcript:EOY03781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol N-acetylglucosaminyltransferase subunit P MYLFPKRLFGVFSDLQQRGSTAVYHRFGFCILKKKKEEKHMEEPLSVNSPRRILSFSKRRKAAASVSFLDPDERTSSGFGVSGEHGPKPSEVYGFVGSITTVVATAIFLVWAYIPEPWLHSVGIFYYPSRYWALAVPTYAMVIIVLAIVLYIGLNFMSTPPSTSLTTIFDEFSNEPSSFLSHNMEDDDQPIEPISDLGINKINVFMFDNAK >EOY03139 pep chromosome:Theobroma_cacao_20110822:4:6121915:6123633:-1 gene:TCM_017684 transcript:EOY03139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISFGEGAAGMGGVMRNEKGEVKVTFSKSIGEADANFVEMPAIKKAFTILVASKVYVLRIEKLKKRVSKWEIKHFLRSANQLADELAKKGVCREFDLYNVLH >EOY02582 pep chromosome:Theobroma_cacao_20110822:4:1373085:1376198:-1 gene:TCM_017014 transcript:EOY02582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 724B1 MVGLFPLLLLSLLLGLGLHFLLQVFAKQEPANLPHGSMGWPLCGETLGFLKPHRSNSMGNFLQEHCSRYGRVFKSHLFGSPTVVSCDHELNWFVLQNEEKFFRVSYPKAMHGILGKFSLLIVSGDVHKKLRNVGASFISASKSKPNFANCVEKMSISMMNSWKNCKQIGFYKEVKKFTLNLMLKHLLSIEPEEPLAVKILEDFLTYMKGFVSLPVYIPGTPYASAVKARARLSATVREIIKERKKEKLGLEEGDFLDIILSKGSLSDEETVSIVLDILLGGYETTATLMALIVYFLAHAPDALEKLKQEHNAIRNSKEDGEPLSWEDYQKMEFTCNVVYEAMRCGNVVKFVHRIALQDVKFKGYFIPSGWKVLPVFTAAHFDPSLHENPLEFNPWRWTDKATSKKVMPFGGGPRLCPGAELAKVEIAFFLHHLVLNYRWKTMADDFPLAYPYVEFRRGLLLEIEPVEAMLEKKPNCTT >EOY06872 pep chromosome:Theobroma_cacao_20110822:4:33201274:33202618:1 gene:TCM_021466 transcript:EOY06872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MQHPPKHYLFTLVALTLVSQFLPVIFTVNPCRGICGSIAINYPFGVDDGCGAPQYRQMLNCTNDLFFVTTSGRYKVQSIDYEKKTMVVYDPAMSTCSILQPHHDFVMTDIQSVIIPPTSDTVFALLNCSIDSPVLNHYKNLCFNFSGHSCDELYGACNAFRIFHSLTNSSPPCCFTGYDTVKYMSMNILDCTHYTTVINTDSLKGVGPPDWVYGIKLSYSVPETGCERCTRSGGACGYDTETGGMMCLCSSSMNATRECGSIADLGEGKRLALWTNIHGYALLLGAFIYIIL >EOY06871 pep chromosome:Theobroma_cacao_20110822:4:33201256:33202700:1 gene:TCM_021466 transcript:EOY06871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MQHPPKHYLFTLVALTLVSQFLPVIFTVNPCRGICGSIAINYPFGVDDGCGAPQYRQMLNCTNDLFFVTTSGRYKVQSIDYEKKTMVVYDPAMSTCSILQPHHDFVMTDIQSVIIPPTSDTVFALLNCSIDSPVLNHYKNLCFNFSGHSCDELYGACNAFRIFHSLTNSSPPCCFTGYDTVKYMSMNILDCTHYTTVINTDSLKGVGPPDWVYGIKLSYSVPETGCERCTRSGGACGYDTETGGMMCLCSSSMNATRECAAGSIADLGEGKRLALWTNIHGYALLLGAFIYIIL >EOY06154 pep chromosome:Theobroma_cacao_20110822:4:31127488:31129033:1 gene:TCM_020967 transcript:EOY06154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGSYPTNYRYQNCRESYDEEDEVAFEESDLEGDDCYDDDDDYYYYYDGDDSDNVDKDVDDQRQRQEEFLDQFDSVNMESAKGASLVHLDEDKAKNKMTLCASTDGESKSIGCGNARIRSQYLCSVLNPVENTTQWKEIKARAAPPPTHWWREENIALEEEPQTPFSPKLSSNLPPKCNQSRPLLQDIAVDASLSNWLTSPHTDASKATGSTKSCSVAFDTVSSKKSICDGSFSRRSREDRVILEITNLESL >EOY06153 pep chromosome:Theobroma_cacao_20110822:4:31127451:31129415:1 gene:TCM_020967 transcript:EOY06153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGCFLGCFGISTKRKRRKPANRILPGDSQRLVTYEPLDSSVSINLDIPEEPIASNPQLCNKPKERLSIKVKKKVSFNLNVQTYEPIPAEETTTYQFLQSFEEKESEKNGAEAGKGSLLSNSLQMGSYPTNYRYQNCRESYDEEDEVAFEESDLEGDDCYDDDDDYYYYYDGDDSDNVDKDVDDQRQRQEEFLDQFDSVNMESAKGASLVHLDEDKAKNKMTLCASTDGESKSIGCGNARIRSQYLCSVLNPVENTTQWKEIKARAAPPPTHWWREENIALEEEPQTPFSPKLSSNLPPKCNQSRPLLQDIAVDASLSNWLTSPHTDASKATGSTKSCSVAFDTVSSKKSICDGSFSRRSREDRVILEITNLESL >EOY06152 pep chromosome:Theobroma_cacao_20110822:4:31127454:31129415:1 gene:TCM_020967 transcript:EOY06152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGCFLGCFGISTKRKRRKPANRILPGDSRLVTYEPLDSSVSINLDIPEEPIASNPQLCNKPKERLSIKVKKKVSFNLNVQTYEPIPAEETTTYQFLQSFEEKESEKNGAEAGKGSLLSNSLQMGSYPTNYRYQNCRESYDEEDEVAFEESDLEGDDCYDDDDDYYYYYDGDDSDNVDKDVDDQRQRQEEFLDQFDSVNMESAKGASLVHLDEDKAKNKMTLCASTDGESKSIGCGNARIRSQYLCSVLNPVENTTQWKEIKARAAPPPTHWWREENIALEEEPQTPFSPKLSSNLPPKCNQSRPLLQDIAVDASLSNWLTSPHTDASKATGSTKSCSVAFDTVSSKKSICDGSFSRRSREDRVILEITNLESL >EOY02973 pep chromosome:Theobroma_cacao_20110822:4:3272322:3275361:-1 gene:TCM_017366 transcript:EOY02973 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIN domain-like family protein, putative isoform 2 MRFKKQKRHRKTVRFFSVCFEFRQPFKVLCDGTFVHHLLHNDLIPADKALSDCLSAPVKLFTTSCVLAELKTLGASQSASFQAARKLAIARCDHEKRVSADACIAEVIGENNSEHFFVATQDADLRKKLQKVPKVPLIFGLRNALFLEPPSKFQREFAQSSEEKRLHMTDKEYKALEKRTTSILANADAEDSSDEEGLGYHSPGLLPHNTRNYAGKERDIKDRVRFKRKKAKEAKGDDGNAQSKRKRKRSRRGKRLDGTDGV >EOY02972 pep chromosome:Theobroma_cacao_20110822:4:3272322:3275361:-1 gene:TCM_017366 transcript:EOY02972 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIN domain-like family protein, putative isoform 2 MRFKKQKRHRKTVRFFSVCFEFRQPFKVLCDGTFVHHLLHNDLIPADKALSDCLSAPVKLFTTSCVLAELKTLGASQSASFQAARKLAIARCDHEKRVSADACIAEVIGENNSEHFFVATQDADLRKKLQKVPKVPLIFGLRNALFLEPPSKFQREFAQSSEEKRLHMTDKEYKALEKRTTSILANADAEDSSDEEGLGYHSPGLLPHNTRNYAGKERDIKDRVRFKRKKAKGPNPLSVKKKKSHENTSLASGKEAKGDDGNAQSKRKRKRSRRALLRMARSSSIILVICED >EOY02974 pep chromosome:Theobroma_cacao_20110822:4:3273338:3275011:-1 gene:TCM_017366 transcript:EOY02974 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIN domain-like family protein, putative isoform 2 MRFKKQKRHRKTVRFFSVCFEFRQPFKVLCDGTFVHHLLHNDLIPADKALSDCLSAPVKLFTTSCVLAELKTLGASQSASFQAARKLAIARCDHEKRVSADACIAEVIGENNSEHFFVATQDADLRKKLQKVPKVPLIFGLRNALFLEPPSKFQREFAQSSEEKRLHMTDKEYKALEKRTTSILANADAEDSSDEEGLGYHSPGLLPHNTRNYAGKERDIKDRVRFKRKKAKVRSYRISIFLLVPIWSCLLISKLYGYYMQEFYGLCICCYELIFPGFLDCAILSLHRLI >EOY05810 pep chromosome:Theobroma_cacao_20110822:4:29859782:29860276:-1 gene:TCM_020715 transcript:EOY05810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSFKIKQGKERASLPREIKLCDTLSLAKLAICWIVAKPASDWCQLQNTTTTTSTLYINIYIYI >EOY03239 pep chromosome:Theobroma_cacao_20110822:4:8841666:8846134:-1 gene:TCM_017926 transcript:EOY03239 gene_biotype:protein_coding transcript_biotype:protein_coding description:DP-E2F-like 1, putative MSSFLSRESEPNSRTFYCRKEKSLGLLCSNFLALYNHDSIQTIGLDDAASKLGVERRRIYDVVNILESIGVVARKGKNQYSWKGFGEIPRALEKLKEEALKENFYISGCNKSLRVLDENGSRGSFNLKIDGQDNPSESSKHIDTKREKSLWLITQNFVKLFLCSDVRQLLFNVGLWFMNCLLVLSGEYLISFLQAEIITLDSAAVALLGDVHNSTAMRTKVRRLYDIANVFSSMNLIEKTHHPESRKPAFRWLGWGAKLRNGSMTALALNESKKRMFGTEITNHSLKRMKANSSVHRKLNQKENMAMHIKHECVENDNKMKQHLKQSSRGFVFGPFTPGGMPTGNKNKRPVGDWESLASTYKPQYLNQVHGDWTRKKRLKFLVIRFDKITHQSQCLPSEHNGICYRILLVTSLLITWKHGSLGMQKLLEKEKLILVPDPLLCFSNSRSPNTPVQNGKQTFFTGQHAVHIHRLDSIPKTAKLRGGSSRFISGLYPNPIDVEFLNCADIVVAKSQVLWCSSLCRETMRATCDPLVPCPNFEKRDFTETTNNGPTIV >EOY06007 pep chromosome:Theobroma_cacao_20110822:4:30593662:30597206:1 gene:TCM_020863 transcript:EOY06007 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein, putative MRVFPGSPGTLTSLFLRVAQCVFAAGSIASMATTSSFFNFTAFCYLIASMGLQAVWSFGLALLDGIALVKRKVLHSPVLVSLFVVGDWVTATLSLAAASASAGIAVLYFNDVGNCKFGEECQKYQLLNHLLYRVLVFFHLQERVNLRNFDLFIASIQKKKVNIVS >EOY06308 pep chromosome:Theobroma_cacao_20110822:4:31554632:31555879:-1 gene:TCM_021081 transcript:EOY06308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFCIVPNGFDRRPKRAKPHATTTPHPPPPRHPQKNWTKKRHRQNLRPCLNRSKRCSTKTTPPTTMRM >EOY06044 pep chromosome:Theobroma_cacao_20110822:4:30754619:30758809:1 gene:TCM_020891 transcript:EOY06044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA splicing Prp18-interacting factor isoform 2 MATASVAFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIFQADKYRKGACENCGAMTHDSKACMERPRKKGAKWTSMHIAPDEKIETFELDYDGKRDRWNGYDASTYARVIERYEARDEARRKYLKEQQLKKLEEKNSKNNGEEEVSDEDDDDDALKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNEKFYGGDNQYRMSGQALEFKQLNIHAWEAFDKGQDIHMQAAPSQAELLFRNYKVIKEKLKSKTKDTIMEKYGNAATEEEIPMELLLGQSERQVEYDRAGRVIKGMETLLPKSKYEEDVFINNHTSVWGSWWKDHQWGYKCCKQTIRNSYCTGAAGIEAAEAATDLMKANIARKAASEGRCSCSCRGEETCYLGN >EOY06043 pep chromosome:Theobroma_cacao_20110822:4:30754619:30758809:1 gene:TCM_020891 transcript:EOY06043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA splicing Prp18-interacting factor isoform 2 MATASVAFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIFQADKYRKGACENCGAMTHDSKACMERPRKKGAKWTSMHIAPDEKIETFELDYDGKRDRWNGYDASTYARVIERYEARDEARRKYLKEQQLKKLEEKNSKNNGEEEVSDEDDDDDALKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNEKFYGGDNQYRMSGQALEFKQLNIHAWEAFDKGQDIHMQAAPSQAELLFRNYKVIKEKLKSKTKDTIMEKYGNAATEEEIPMELLLGQSERQVEYDRAGRVIKGMETLLPKSKYEEDVFINNHTSVWGSWWKDHQWGYKCCKQTIRNSYCTGAAGIEAAEAATDLMKANIARKAASEGRCSCSCRGEETCYLGN >EOY06042 pep chromosome:Theobroma_cacao_20110822:4:30754606:30759332:1 gene:TCM_020891 transcript:EOY06042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA splicing Prp18-interacting factor isoform 2 MATASVAFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIFQADKYRKGACENCGAMTHDSKACMERPRKKGAKWTSMHIAPDEKIETFELDYDGKRDRWNGYDASTYARVIERYEARDEARRKYLKEQQLKKLEEKNSKNNGEEEVSDEDDDDDALKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNEKFYGGDNQYRMSGQALEFKQLNIHAWEAFDKGQDIHMQAAPSQAELLFRNYKVIKEKLKSKTKDTIMEKYGNAATEEEIPMELLLGQSERQVEYDRAGRVIKGMETLLPKSKYEEDVFINNHTSVWGSWWKDHQWGYKCCKQTIRNSYCTGAAGIEAAEAATDLMKANIARKAASEDAPAPAEEKKLATWGTDVPDDLVLDEKLLTEALKKEDERRREEKDERKRKYNVRWNDEVTAEDMEAYRMKKIHHDDPMKDFLN >EOY05374 pep chromosome:Theobroma_cacao_20110822:4:28292322:28296322:1 gene:TCM_020386 transcript:EOY05374 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 4 MIQLLLGFSSLFSIPIVTRSENTSITISFEKMEDMDIDLVMDVPDTPDRLSARRMNRADCIEKESNLSVAGYFGGSDTAGEESLDRLGGRGRLVAENGHNRKHYIHPRKHSGNVDEIEHRRNAIVLSSLKNARENAPLFRRTMERSRNSSREQYMDKGKDPFSKLPSKSSVSREDHAILDLTEQSRHNRILEMAFPPGGSKNCLAEGRREGQGPRNSGSYLRNASNNAATSRDNCKGKEKVDDIGFKRVGSVMDDGKGMGRSHGTPLRTQKQLPVSHHSIVSPRAIGQKRLVRNGCISPENIAIRAKQLNEQYENSSKAEQDFGNVISSSPRMTDIRKIIAEDNNYVKGKGVVHPHTSKEYDMHVINLFSSPMSNNGEVSGIRDSGRDACFEEKGGWRSTHNHSKNVDHAAVHQFSRFNNIGCQEQNENRVVKRGENNRIGCDFPENQDATETAPLIVSKFNQISEPSLATNMLPKRQKKHELTSRNSGESSRVIRNDSDIVFLGSSRGSSSSRSSNIHIGQHLDVLDLDESSEMRGTNANNMDCVKDEDSEARARQVEADEMLARELQEQFYHEVPIFGDGEIDENIAWALQQEEDALRPTTTQSHREPNQLV >EOY05373 pep chromosome:Theobroma_cacao_20110822:4:28292322:28300022:1 gene:TCM_020386 transcript:EOY05373 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 4 MFCLIEKMEDMDIDLVMDVPDTPDRLSARRMNRADCIEKESNLSVAGYFGGSDTAGEESLDRLGGRGRLVAENGHNRKHYIHPRKHSGNVDEIEHRRNAIVLSSLKNARENAPLFRRTMERSRNSSREQYMDKGKDPFSKLPSKSSVSREDHAILDLTEQSRHNRILEMAFPPGGSKNCLAEGRREGQGPRNSGSYLRNASNNAATSRDNCKGKEKVDDIGFKRVGSVMDDGKGMGRSHGTPLRTQKQLPVSHHSIVSPRAIGQKRLVRNGCISPENIAIRAKQLNEQYENSSKAEQDFGNVISSSPRMTDIRKIIAEDNNYVKGKGVVHPHTSKEYDMHVINLFSSPMSNNGEVSGIRDSGRDACFEEKGGWRSTHNHSKNVDHAAVHQFSRFNNIGCQEQNENRVVKRGENNRIGCDFPENQDATETAPLIVSKFNQISEPSLATNMLPKRQKKHELTSRNSGESSRVIRNDSDIVFLGSSRGSSSSRSSNIHIGQHLDVLDLDESSEMRGTNANNMDCVKDEDSEARARQVEADEMLARELQEQFYHEVPIFGDGEIDENIAWALQQEEDALRPTTTQSHREPNQRGSIRHSRVQPSSRIFLNSSNRRGGQARFPTSARMSRLRSRVLNESRAAPTRTRNFRFPLDMDLDMRLDILEAMEAAIGDDDSMGMASHIFQERDFNENDYEMLLALDENNHQHGGASISQINSLPLSKVQTDNFDEACAICLETPSIGETIRHLPCLHKFHKECIDPWLSRKTSCPVCKSHIT >EOY05372 pep chromosome:Theobroma_cacao_20110822:4:28292322:28300022:1 gene:TCM_020386 transcript:EOY05372 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 4 MFCLIEKMEDMDIDLVMDVPDTPDRLSARRMNRADCIEKESNLSVAGYFGGSDTAGEESLDRLGGRGRLVAENGHNRKHYIHPRKHSGNVDEIEHRRNAIVLSSLKNARENAPLFRRTMERSRNSSREQYMDKGKDPFSKLPSKSSVSREDHAILDLTEQSRHNRILEMAFPPGGSKNCLAEGRREGQGPRNSGSYLRNASNNAATSRDNCKGKEKVDDIGFKRVGSVMDDGKGMGRSHGTPLRTQKQLPVSHHSIVSPRAIGQKRLVRNGCISPENIAIRAKQLNEQYENSSKAEQDFGNVISSSPRMTDIRKIIAEDNNYVKGKGVVHPHTSKEYDMHVINLFSSPMSNNGEVSGIRDSGRDACFEEKGGWRSTHNHSKNVDHAAVHQFSRFNNIGCQEQNENRVVKRGENNRIGCDFPENQDATETAPLIVSKFNQISEPSLATNMLPKRQKKHELTSRNSGESSRVIRNDSDIVFLGSSRGSSSSRSSNIHIGQHLDVLDLDESSEMRGTNANNMDCVKDEDSEARARQVEADEMLARELQEQFYHEVPIFGDGEIDENIAWALQQEEDALRPTTTQSHREPNQRGSIRHSRVQPSSRIFLNSSNRRGGQARFPTSARMSRLRSRVLNESRAAPTRTRNFRFPLDMDLDMRLDILEAMEAAIGDDDSMGMASHIFQERDFNENDYEMLLALDENNHQHGGASISQINSLPLSKVQTDNFDEACAICLETPSIGETIRHLPCLHKFHKECIDPWLSRKTSCPVCKSHIT >EOY05371 pep chromosome:Theobroma_cacao_20110822:4:28292300:28300322:1 gene:TCM_020386 transcript:EOY05371 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 4 MEDMDIDLVMDVPDTPDRLSARRMNRADCIEKESNLSVAGYFGGSDTAGEESLDRLGGRGRLVAENGHNRKHYIHPRKHSGNVDEIEHRRNAIVLSSLKNARENAPLFRRTMERSRNSSREQYMDKGKDPFSKLPSKSSVSREDHAILDLTEQSRHNRILEMAFPPGGSKNCLAEGRREGQGPRNSGSYLRNASNNAATSRDNCKGKEKVDDIGFKRVGSVMDDGKGMGRSHGTPLRTQKQLPVSHHSIVSPRAIGQKRLVRNGCISPENIAIRAKQLNEQYENSSKAEQDFGNVISSSPRMTDIRKIIAEDNNYVKGKGVVHPHTSKEYDMHVINLFSSPMSNNGEVSGIRDSGRDACFEEKGGWRSTHNHSKNVDHAAVHQFSRFNNIGCQEQNENRVVKRGENNRIGCDFPENQDATETAPLIVSKFNQISEPSLATNMLPKRQKKHELTSRNSGESSRVIRNDSDIVFLGSSRGSSSSRSSNIHIGQHLDVLDLDESSEMRGTNANNMDCVKDEDSEARARQVEADEMLARELQEQFYHEVPIFGDGEIDENIAWALQQEEDALRPTTTQSHREPNQDKRDRGSIRHSRVQPSSRIFLNSSNRRGGQARFPTSARMSRLRSRVLNESRAAPTRTRNFRFPLDMDLDMRLDILEAMEAAIGDDDSMGMASHIFQERDFNENDYEMLLALDENNHQHGGASISQINSLPLSKVQTDNFDEACAICLETPSIGETIRHLPCLHKFHKECIDPWLSRKTSCPVCKSHIT >EOY04034 pep chromosome:Theobroma_cacao_20110822:4:21585812:21588684:-1 gene:TCM_019289 transcript:EOY04034 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription elongation factor, putative isoform 1 MANNTNKEEPSTAPKPNRWYNISLGSSFKDQHQPSLKFCTLRYEFKPASIDKNQPGSFHKAKDNRVKVEFENNQHGKPKVTFEGVSEDYKDNDAVLFFDGETFRMERLHRAVKRLRHVRQPGESTAAATTAMAPSVGPSADSYSPPLAKGAKHESLNKGPVQPVSLQVEHIDTGDFESGESRKENNVQYPSSLPNQPTVSPDPKNYESEEQVDIVNDDDDNYGLGTAKQENASEKVPYTGIGIDINLPHHGDTDDEIADVDVSDDEEHKVGRNAAE >EOY04033 pep chromosome:Theobroma_cacao_20110822:4:21585067:21587775:-1 gene:TCM_019289 transcript:EOY04033 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription elongation factor, putative isoform 1 MANNTNKEEPSTAPKPNRWYNISLGSSFKDQHQPSLKFCTLRYEFKPASIDKNQPGSFHKAKDNRVKVEFENNQHGKPKVTFEGVSEDYKDNDAVLFFDGETFRMERLHRAVKRLRHVRQPGESTAAATTAMAPSVGPSADSYSPPLAKGAKHESLNKGPVQPVSLQVEHIDTGDFESGESRKENNVQYPSSLPNQPTVSPDPKNYESEEQVDIVNDDDDNYGLGTAKQENASEKVPYTGIGIDINLPHHGDTDDEIADVDVSDDEEHKVGRNAAEALRAQVNAEGKEEQTSSSSSSSGSESSGSGSGSGSGSGSSSSDSESSDGDSVISI >EOY03353 pep chromosome:Theobroma_cacao_20110822:4:13694474:13701348:1 gene:TCM_018330 transcript:EOY03353 gene_biotype:protein_coding transcript_biotype:protein_coding description:H(+)-ATPase 4 isoform 2 MENNNETLDAVLKEAVDLENVPLEEVFQTLRCNKDGLTTEAAEQRLAIFGYNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLFINSTISFIEENNAGNAAAALMARLAPKAKVFRDGKWIEEDAAILVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPVTKGPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQQGHFQKVLTAIGNFCICSIAVGMITEIIVMYPIQHREYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSLQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLVEIFAKGVDPDTVVLMAARASRLENQDAIDAAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDNQGRMHRVSKGAPEQILNLAHNKSELERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESSGGLWQFIGLMPLFDPPRHDSADTIRRALNLGVNVKMITGAYPYIDTASNYLNALGDQLAIAKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVVSSLALALQCCFLTIIFSVTAALGFMLLALIWQFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLAEIFATGVILGGYLAMMTVIFFWAAYETDFFPRTFGVSSLQQKDHDDMRKLASAIYLQVSIISQALIFVTRARSWSYVERPGLLLVTAFVAAQLIATLIAVYANWSFAAIEGIGWGWAGVIWLYNLVFYIPLDFIKFFIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQAPDTKMFSDRTSFTELNQMAEEAKRRAEIARLRELTTLKGHVESVVRLKGLDIDTIQQAYTV >EOY03354 pep chromosome:Theobroma_cacao_20110822:4:13694510:13701183:1 gene:TCM_018330 transcript:EOY03354 gene_biotype:protein_coding transcript_biotype:protein_coding description:H(+)-ATPase 4 isoform 2 MENNNETLDAVLKEAVDLENVPLEEVFQTLRCNKDGLTTEAAEQRLAIFGYNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLFINSTISFIEENNAGNAAAALMARLAPKAKVFRDGKWIEEDAAILVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPVTKGPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQQGHFQKVLTAIGNFCICSIAVGMITEIIVMYPIQHREYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSLQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLVEIFAKGVDPDTVVLMAARASRLENQDAIDAAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDNQGRMHRVSKGAPEQILNLAHNKSELERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESSGGLWQFIGLMPLFDPPRHDSADTIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWQFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLAEIFATGVILGGYLAMMTVIFFWAAYETDFFPRTFGVSSLQQKDHDDMRKLASAIYLQVSIISQALIFVTRARSWSYVERPGLLLVTAFVAAQLIATLIAVYANWSFAAIEGIGWGWAGVIWLYNLVFYIPLDFIKFFIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQAPDTKMFSDRTSFTELNQMAEEAKRRAEIARLRELTTLKGHVESVVRLKGLDIDTIQQAYTV >EOY06638 pep chromosome:Theobroma_cacao_20110822:4:32510902:32513956:-1 gene:TCM_021299 transcript:EOY06638 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase III polC-type, putative isoform 2 MAGAVSFSLLQFPRCRIQPLPHFQSTYVGCLPHKFDILRHSFKAFSKFKLLGSHDFEPFQGTTNRTQVLRPRSTESGLRTRDKEKGERSNVRHEFLDGQVQASTISSSVSSCLSSIQYCDAKRQILESQDPKQFVRVFVFDIETTGFCKESGRIIEIAIRDLMGGKNSCFHTLINPEQHVPNSHIHGITTNMVNQPDVPRMKDFIPILLHYIRSRQQIPGSLALFIAHNARCFDLPFLVKEFSRCSMDIPPNWLFLDTLPLARQMMKLNGSRLFSLQALCEHFDIQAVDATHRAMSDVNLLSVILERMTVDMKLTIADFLEKSFQASDLSDLMKTKKKS >EOY06636 pep chromosome:Theobroma_cacao_20110822:4:32511064:32513947:-1 gene:TCM_021299 transcript:EOY06636 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase III polC-type, putative isoform 2 MAGAVSFSLLQFPRCRIQPLPHFQSTYVGCLPHKFDILRHSFKAFSKFKLLGSHDFEPFQGTTNRTQVLRPRSTESGLRTRDKEKGERSNVRHEFLDGQVQASTISSSVSSCLSSIQYCDAKRQILESQDPKQFVRVFVFDIETTGFCKESGRIIEIAIRDLMGGKNSCFHTLINPEQHVPNSHIHGITTNMVNQPDVPRMKDFIPILLHYIRSRQQIPGSLALFIAHNARCFDLPFLVKEFSRCSMDIPPNWLFLDTLPLARQMMKLNGSRLFSLQALCEHFDIQAVDATHRAMSDVNLLSVILERMTVDMKLTIADFLEKSFQASDLSDLMKTKKKS >EOY06637 pep chromosome:Theobroma_cacao_20110822:4:32511064:32514336:-1 gene:TCM_021299 transcript:EOY06637 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase III polC-type, putative isoform 2 MAGCLPHKFDILRHSFKAFSKFKLLGSHDFEPFQGTTNRTQVLRPRSTESGLRTRDKEKGERSNVRHEFLDGQVQASTISSSVSSCLSSIQYCDAKRQILESQDPKQFVRVFVFDIETTGFCKESGRIIEIAIRDLMGGKNSCFHTLINPEQHVPNSHIHGITTNMVNQPDVPRMKDFIPILLHYIRSRQQIPGSLALFIAHNARCFDLPFLVKEFSRCSMDIPPNWLFLDTLPLARQMMKLNGSRLFSLQALCEHFDIQAVDATHRAMSDVNLLSVILERMTVDMKLTIADFLEKSFQASDLSDLMKTKKKS >EOY04261 pep chromosome:Theobroma_cacao_20110822:4:23051671:23053009:1 gene:TCM_019516 transcript:EOY04261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEPSLKEVDDELEIFNNEEIEEVSADHGEALVVRRNLNTAMMTKDESWLRHNIFHARCTSQGKVCNVIIDSGSCENVIANYMVEKLKLQTEVLPHPYKLQWLRKGNEVKVTKHCCVQFSIGNKYEDEVWCDVIPMDACQLLLGRPWQYDRRAHHDGYKNTYSFIKDGAKIMLTPLKSEDYPKKQEKDKALITMSGLNKAFRKSSLLYLLLVCEE >EOY06555 pep chromosome:Theobroma_cacao_20110822:4:32254150:32256774:-1 gene:TCM_021236 transcript:EOY06555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMEGIKGGGRVGVGEEDMGDGMQCSDHPYRNNPGGICAFCLQEKLGKLVSSSFPLPIRGSSSSSSSPSFRSDTGAVGASCGNGVVGTSSTSLSLSLSVRPTSTKSRNDNGNNSHYQEYYTRRARIPFLLAKKKKKIMVASSDHHAAPDIVFKRSKSTTTPRRGRFLDASVDDREDSSPRKRGGFWSFLNLSSKSHSTKKLEKIASLAAPAVAATTATTATATTTRPAGAAATSSVVKPKEKCLGSSLSKRGGIVVVEDDDSPNSQATPSASSFERKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKPKVAASSSAMKERVKCGGIFGGFIMTSSSSSSSSSSYWVSSSAEDVNGKSTAGTLVHGRSKSWGWAFASPMRAFSKPSSKDGKRDTIIRESNSKNTTPNLAAIPSLLAVRG >EOY06028 pep chromosome:Theobroma_cacao_20110822:4:30689817:30692654:1 gene:TCM_020881 transcript:EOY06028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 1,7 isoform 1 MAREQLGVLNALDAAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDRAPKPGTLPPNVAAAVTGVAFVGTLTGQLFFGWLGDKMGRKKVYGITLILMVVCSIASGLSFGSSPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILGGGIVALIVSSSFDRAFKAPAYSVNAAASTVPQADYVWRIILMFGAFPAALTYYWRMKMPETARYTALIAKNAKQAAADMSKVLNVDLEAEEDKVIKLTENQANSFGLFSREFLKRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSGIGWIPPAASMNAIHEVYKIAKAQTLIALFSTVPGYWFTVALIDIIGRFAIQLMGFFFMTVFMFALAIPYHHWTLKPNRIGFVIIYSLTFFFANFGPNSTTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSTDPTKTDAGYPTGIGIRYSLIVLGVINFLGMLFTLLVPESKGKSLEELTGENEGEVGETELQTGPTRTVPL >EOY06031 pep chromosome:Theobroma_cacao_20110822:4:30690210:30692789:1 gene:TCM_020881 transcript:EOY06031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 1,7 isoform 1 MAREQLGVLNALDAAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDRAPKPGTLPPNVAAAVTGVAFVGTLTGQLFFGWLGDKMGRKKVYGITLILMVVCSIASGLSFGSSPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILGGGIVALIVSSSFDRAFKAPAYSVNAAASTVPQADYVWRIILMFGAFPAALTYYWRMKMPETARYTALIAKNAKQAAADMSKVLNVDLEAEEDKVIKLTENQANSFGLFSREFLKRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSGIGWIPPAASMNAIHEVYKIAKAQTLIALFSTVPGYWFTVALIDIIGRFAIQLMGFFFMTVFMFALAIPYHHWTLKPNRIGFVIIYSLTFFFANFGPNSTTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSTDPTKTDAGYPTGIGIRYSLIVLGVINFLGMLFTLLVPESKGKSLEELTGENEGEVGETELQTGPTRTVPL >EOY06029 pep chromosome:Theobroma_cacao_20110822:4:30688933:30692789:1 gene:TCM_020881 transcript:EOY06029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 1,7 isoform 1 MAREQLGVLNALDAAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDRAPKPGTLPPNVAAAVTGVAFVGTLTGQLFFGWLGDKMGRKKVYGITLILMVVCSIASGLSFGSSPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILGGGIVALIVSSSFDRAFKAPAYSVNAAASTVPQADYVWRIILMFGAFPAALTYYWRMKMPETARYTALIAKNAKQAAADMSKVLNVDLEAEEDKVIKLTENQANSFGLFSREFLKRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSGIGWIPPAASMNAIHEVYKIAKAQTLIALFSTVPGYWFTVALIDIIGRFAIQLMGFFFMTVFMFALAIPYHHWTLKPNRIGFVIIYSLTFFFANFGPNSTTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSTDPTKTDAGYPTGIGIRYSLIVLGVINFLGMLFTLLVPESKGKSLEELTGENEGEVGETELQTGPTRTVPL >EOY06030 pep chromosome:Theobroma_cacao_20110822:4:30688827:30692654:1 gene:TCM_020881 transcript:EOY06030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 1,7 isoform 1 MAREQLGVLNALDAAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDRAPKPGTLPPNVAAAVTGVAFVGTLTGQLFFGWLGDKMGRKKVYGITLILMVVCSIASGLSFGSSPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILGGGIVALIVSSSFDRAFKAPAYSVNAAASTVPQADYVWRIILMFGAFPAALTYYWRMKMPETARYTALIAKNAKQAAADMSKVLNVDLEAEEDKVIKLTENQANSFGLFSREFLKRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSGIGWIPPAASMNAIHEVYKIAKAQTLIALFSTVPGYWFTVALIDIIGRFAIQLMGFFFMTVFMFALAIPYHHWTLKPNRIGFVIIYSLTFFFANFGPNSTTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSTDPTKTDAGYPTGIGIRYSLIVLGVINFLGMLFTLLVPESKGKSLEELTGENEGEVGETELQTGPTRTVPL >EOY06027 pep chromosome:Theobroma_cacao_20110822:4:30688811:30692654:1 gene:TCM_020881 transcript:EOY06027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 1,7 isoform 1 MAREQLGVLNALDAAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDRAPKPGTLPPNVAAAVTGVAFVGTLTGQLFFGWLGDKMGRKKVYGITLILMVVCSIASGLSFGSSPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGFGILGGGIVALIVSSSFDRAFKAPAYSVNAAASTVPQADYVWRIILMFGAFPAALTYYWRMKMPETARYTALIAKNAKQAAADMSKVLNVDLEAEEDKVIKLTENQANSFGLFSREFLKRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSGIGWIPPAASMNAIHEVYKIAKAQTLIALFSTVPGYWFTVALIDIIGRFAIQLMGFFFMTVFMFALAIPYHHWTLKPNRIGFVIIYSLTFFFANFGPNSTTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSTDPTKTDAGYPTGIGIRYSLIVLGVINFLGMLFTLLVPESKGKSLEELTGENEGEVGETELQTGPTRTVPL >EOY04061 pep chromosome:Theobroma_cacao_20110822:4:21798714:21799600:1 gene:TCM_019323 transcript:EOY04061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNYVSCTLSTPLGKSSKATKVILPSGEIRQFNEPIKAAELMLETPNFFLVNSQSLKLGCRFSPLHADEDLEFANVYVMFPMKRVNSTVTAGDMGALFLAANSAAKKGFGGEVRILPEAEEPNLRDVSLENIEETEKMPKLNLDDIEEFSTPGFMHRLSMSRSKKPLLETIAEEPVRAR >EOY04816 pep chromosome:Theobroma_cacao_20110822:4:26047072:26053866:1 gene:TCM_019990 transcript:EOY04816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLGNLQQLLEPLGCAGDRNRPINPGIKIIILRSIEQFLKRWRRKILDRNFVPLCLFAHVHRYVTSWSLDGFGVLSLRQPTVGQLGLAQGYGSLNNRREKGGLALAYLARIRLLILSWKAYFNSINFLGYANKEPRKSKVCQQFREDYALYKIMTPKFELKEFGMVIGSGIDKDRIPGIDIALNDGDKLCWSWGACHQNSWSCPRPYQLLFPWFWSHIYWRYLFVLAYHAASFWKEPLSRFRRSLIIPFGQLGKLESTKGSTNYRFRGKLGPRLNRTHMLAFLVVENYNMGLKNDGLE >EOY06103 pep chromosome:Theobroma_cacao_20110822:4:30913244:30914333:-1 gene:TCM_020930 transcript:EOY06103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKPTSIQVKIPETIGNLKAKVREKKGNLENDQGFFFTGELLWIVPLTRTLPSILFPTVKIRLYVKVPSDDKIMAVKVKSSDTIQKIKSIALAKESIQGKQYSLYHAGKLLEDNRTLASLNIPRKVTPNGFYSSGCPSIVPGDTKQRYNKT >EOY02456 pep chromosome:Theobroma_cacao_20110822:4:906184:907049:1 gene:TCM_047030 transcript:EOY02456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein 1589 of Uncharacterized protein function MYHKHQLPCLHCQPHDYIRMVQHMIERCLLFQMSRDDCVKALAKHAKIEPIITLTVWKELLKENKGFFQAYFQANSPRQFNNWSTQSMATFRRRRHWR >EOY04248 pep chromosome:Theobroma_cacao_20110822:4:22995816:22996563:-1 gene:TCM_019505 transcript:EOY04248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGFGLPVVQLKANRLESENCWCHDESSSDICGQCLIEMRSPSCHNHDTETKVRCHVGTCDTIGECCFFTQRIVSRLGYRLRLGFL >EOY06045 pep chromosome:Theobroma_cacao_20110822:4:30759670:30762744:-1 gene:TCM_020892 transcript:EOY06045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPLNLTKKLKPARKAWRSFTNKVRSKLHNFHVPSSIKAASHRFLEFCTLRLFAPLRKCFLSKYSSSRPRRYEYNHLYHYQHYQNQLHKNRKVIYIDQLYAEPMSMQAKHVEPEAESSRRNEVVGDKVLRSKGKEDESSVYSIEDAWNAIVARSPHLRGVDERADEFIDKFHEDRKLEKERSDLDFQEMLARSA >EOY04683 pep chromosome:Theobroma_cacao_20110822:4:25503460:25509508:-1 gene:TCM_019879 transcript:EOY04683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing-like protein isoform 1 MADTIASPLLQSLLDRLDSFCLSFGDGDEMLTRLRSVVASVKEVAAVAEQRFEMAAETKRWLTEVKRLACELDYLLDDYEQQVRKVRNQKLISSCFCFLTRIENRRPIEHVLQKLTTLASQGDWPLETNWRPDLYFRLYSGTSFVGSEPEVVGRDLDRDKVISLLFRKTQACKVVSIVGVGGLGKTALARLIYNDEKVTHTFRYRYWVSLGNDLNVNIERIGVAICSRKILSMNALEDGVTRELVGKIFLVVLDNLCHEEMDLAITLRRWFSVGSPGSAVIVTTRSTAAADSVGDMPVYYLQPLRDADCLDMFWKVALLPREEMEENQNTKLSEIGKAVVANCRGLPLAVKILGALLPYNGEMDDWLSVASLALLELQKYSYTSNILPVLCLSYDLLPSKLKQCFAYCSIFPREFWISKENLMQLWIAEGFLQTSGSSESLDDIAEDCFMKLLQCSFFEDIIRDDSGNILCRMHDLVYDFALTVSSTTCSVMRIASFEHFSAELRHCSLICESEPSPALRYLSKLGDLQTLLLLSGNFDSISDAIFSRFSHLRVLDFCQTGICELPVSIGALKHMRYLDLSRTYIRKIPESIGNLKYLQILKLTDCYNLEELPKTLPQLTNLINLGIWSCCSLTYFPSGIGKLRLLKKLPTFVLGKRSDCAKLNDLSGLDLTERLEIKNLENVTKEADAQDAKLYEKVSLHSLGLSWGDNDCMNAQMSAKILENLSPPQNLRDLCLKGYRGSSFPSWMNQSLLNLLKISLINCSCQELPPLGQLPSLKVLYLKGMSEVRTIGHEFYGNGAVRGFPCLEQLEIYDMHNLEGWKSIQMEKTEIFTVVGGSSGPLSQEAFPCLDKLVVKGCRRLTALPVIPNLRSLALCDSNGMLLCSVVHLPSLSSLVIEKLKELESLTDYSKSFCSIEKLTLYDCDHLDYLFERNQVFSALKYLSILYCDGLMSLPLGLRLLTSLQRFDVIECGHLNDISILQTLSSLRELIIEGCPMLLSLPSGIHNLTNLRRLVIKGCPALQKDTWI >EOY04684 pep chromosome:Theobroma_cacao_20110822:4:25506375:25509461:-1 gene:TCM_019879 transcript:EOY04684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing-like protein isoform 1 MADTIASPLLQSLLDRLDSFCLSFGDGDEMLTRLRSVVASVKEVAAVAEQRFEMAAETKRWLTEVKRLACELDYLLDDYEQQVRKVRNQKLISSCFCFLTRIENRRPIEHVLQKLTTLASQGDWPLETNWRPDLYFRLYSGTSFVGSEPEVVGRDLDRDKVISLLFRKTQACKVVSIVGVGGLGKTALARLIYNDEKVTHTFRYRYWVSLGNDLNVNIERIGVAICSRKILSMNALEDGVTRELVGKIFLVVLDNLCHEEMDLAITLRRWFSVGSPGSAVIVTTRSTAAADSVGDMPVYYLQPLRDADCLDMFWKVALLPREEMEENQNTKLSEIGKAVVANCRGLPLAVKILGALLPYNGEMDDWLSVASLALLELQKYSYTSNILPVLCLSYDLLPSKLKQCFAYCSIFPREFWISKENLMQLWIAEGFLQTSGSSESLDDIAEDCFMKLLQCSFFEDIIRDDSGNILCRMHDLVYDFALTVSSTTCSVMRIASFEHFSAELRHCSLICESEPSPALRYLSKLGDLQTLLLLSGNFDSISDAIFSRFSHLRVLDFCQTGICELPVSIGALKHMRYLDLSRTYIRKIPESIGNLKYLQILKLTDCYNLEELPKTLPQLTNLINLGIWSCCSLTYFPSGIGKLRLLKKLPTFVLGKRSDCAKLNDLSGLDLTERLEIKNLENVTKEADAQDAKLYEKVSLHSLGLSWGDNDCMNAQMSAKILENLSPPQNLRDLCLKGYRGSSFPSWMNQSLLNLLKISLINCSCQELPPLGQLPSLKVLYLKGMSEVRTIGHEFYGNGAVRGFPCLEQLEIYDMHNLEGWKSIQMEKTEIFTVVGGSSGPLSQEAFPCLDKLVVKGCRRLTALPVIPNLRSLALCDSNGMLLCSVVHLPSLSSLVIEKLKELESLTDYSKSFCSIEKLTLYDCDHLDYLFERNQVFSALKYLSILYCDGLMSLPLGLRLLTSLQRFDVIECGHLNDISILQTLSSLRELIIEGCPMLLSLPSGIHNLTNLRRLVIKGCPALQKDTWI >EOY06117 pep chromosome:Theobroma_cacao_20110822:4:30966940:30968093:1 gene:TCM_020938 transcript:EOY06117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cadmium resistance 2 MLAAGDGNRDIFMLKLSSTGNMNIFNSPRPPKWSASLCDFGDIGTCCITCWLPCITFGQIAEIVDQGQSSCIKQGCVYGLLMLCSCHCLLSCVYREKLRAKFGLPPEPCNDCCVHFCCEACALCQEHAELKNRGFDPSKGWIGPPNAPPPSMPPTMRR >EOY03396 pep chromosome:Theobroma_cacao_20110822:4:14456916:14458678:1 gene:TCM_018406 transcript:EOY03396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQIMNLTREFDTMRMKDSENAKDVISRFMRVVNQLRLSGEDISERRVVQKALVSLPERFEATVASLERELSKMSLSDVAYALQAAEHRRVMRSESVTKNVLFAKMKGKTVEETCTRKGPVE >EOY06588 pep chromosome:Theobroma_cacao_20110822:4:32386302:32388336:1 gene:TCM_021264 transcript:EOY06588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive element binding protein, putative MCGGAIISDFIAVKRGRKLTAEDFWSEIDTFSDLLGLDYNGKDAFNQSDNTKVGPKGKQLNKVTSRGTGKEGKTQRTRKNVYRGIRQRPWGKWAAEIRDPHKGVRVWLGTYNTAEEAARAYDEAAKRIRGDKAKLNFPQATPAPIQPPAKKRRIVAPELSHASFETIGAPPPPPTPQPYMGFGYGNEVYRPSEAVETTELELKEQILSLESFLGLEPETPQPSGNGEPDSVDLWMLDDLVTHHQQQRQLVY >EOY04036 pep chromosome:Theobroma_cacao_20110822:4:21592677:21594925:1 gene:TCM_019291 transcript:EOY04036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALSISLFPSPYTHRNPPIFHQELHVYPCPIKTSQRLSKLGPTPVLCTAKPSSKNPKTCKNCKTQFDPLLNHPRACRFHTAHFGGETKRKFESVYTGGTMNTPESGKVFQYWHCCGSEDPFDPGCTAAPHASYDD >EOY02276 pep chromosome:Theobroma_cacao_20110822:4:283217:287871:-1 gene:TCM_016803 transcript:EOY02276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamete expressed protein 1, putative MKASVIYHHFFLFQLVLLSVSPRCQSWGWFSSSAETHSNTKAIRHASAAEFSVDGLNNEKGIRLLEEAKNKLVGSNSCWKNAYRHLFAGCKEIIATDEKRSRFAWHLSDCFQRDSGRSPFPLCDTKSAMVYCLKNLNDLEHKVYLEFLLETNSICYQLQNQAFKHDMERLVNELINSAQYAEDKLDSIADRTTVLLQNSNQIHDSLNAVDIRIRNVDQTTHSLEGHMHSLNERWQTVYKQAVDIAASQKELRNGQAMMNDQLKEGLAMLDGANKNLVHEIDNLKNEAISIENEISRVGNAMSSSISNLQRTADDIGNKAGISLDKQQELLEGQSTALEGLRSMTRFQSEALEESRNTLQQLAEYGRKQREELLKQQERLQQVHDHLDESSKSILAAQEATASKQASMFIALDKLHALHNAMLFESRLIKAFLIYSMSIVIIYMFTSTKQTYAVRPRLYIGLCATFLIEVAVLRFTTNDIDYKTWMVNLVRSLFVIIASIQLLHAIFTYRDYEYLNHQILLTLMDKVNKIQSNEALSWETDSDVDWSSWIDDELAEDVDKLEDPDFIIQEEIGENSIITSSNTRKYNLRQRKG >EOY05569 pep chromosome:Theobroma_cacao_20110822:4:29023834:29028693:1 gene:TCM_020540 transcript:EOY05569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 11 isoform 2 MCKSPSQQDHETMPIFEAFKKFKRLRLFEPSLGVLGFFFVAVCVICSFFYLDYRAVARGYRVPSQSKRFMWLKLDGYSSSEIKKVDFLSQEGEGCDVFDGDWVWDERYPLYESRDCSFLDEGFRCTENGRPDLFYTKWRWQPKHCNLPRFDGKVMLEKLRNKRLVFVGDSIGRNQWESLLCMLASAVPNKDSIYEVNGSPITKHKGFLVFKFKDYNCTVEYYRAPFLVLQSRPPAGSPQKIKTTLKLDQMDWNSIRWKDADVLVFNTGHWWNYEKTIRGGCYFQEGEEVKLEMTIDHAYQRSIETVMQWVHNEVNTSRTKVFFRTFAPVHFRGGDWKTGGSCHMETLPELGTSLVPSETWEQFKIHDGKMATHLCTIWVPRKALHHFIGRTAVIGVCPECLMHGMNYSMQCSLNIILIIHSTHQHTKHRFD >EOY05570 pep chromosome:Theobroma_cacao_20110822:4:29023834:29028183:1 gene:TCM_020540 transcript:EOY05570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 11 isoform 2 MCKSPSQQDHETMPIFEAFKKFKRLRLFEPSLGVLGFFFVAVCVICSFFYLDYRAVARGYRVPSQSKRFMWLKLDGYSSSEIKKVDFLSQEGEGCDVFDGDWVWDERYPLYESRDCSFLDEGFRCTENGRPDLFYTKWRWQPKHCNLPRFDGKVMLEKLRNKRLVFVGDSIGRNQWESLLCMLASAVPNKDSIYEVNGSPITKHKGFLVFKFKDYNCTVEYYRAPFLVLQSRPPAGSPQKIKTTLKLDQMDWNSIRWKDADVLVFNTGHWWNYEKTIRGGCYFQEGEEVKLEMTIDHAYQRSIETVMQWVHNERRRLENWG >EOY05568 pep chromosome:Theobroma_cacao_20110822:4:29023834:29028693:1 gene:TCM_020540 transcript:EOY05568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 11 isoform 2 MCKSPSQQDHETMPIFEAFKKFKRLRLFEPSLGVLGFFFVAVCVICSFFYLDYRAVARGYRVPSQSKRFMWLKLDGYSSSEIKKVDFLSQEGEGCDVFDGDWVWDERYPLYESRDCSFLDEGFRCTENGRPDLFYTKWRWQPKHCNLPRFDGKVMLEKLRNKRLVFVGDSIGRNQWESLLCMLASAVPNKDSIYEVNGSPITKHKGFLVFKFKDYNCTVEYYRAPFLVLQSRPPAGSPQKIKTTLKLDQMDWNSIRWKDADVLVFNTGHWWNYEKTIRGGCYFQEGEEVKLEMTIDHAYQRSIETVMQWVHNEVNTSRTKVFFRTFAPVHFRGGDWKTGGSCHMETLPELGTSLVPSETWEQFKIVSGVLLAYSNASRAMKFDILNVTCMTARRKDGHSSLYYLGPKESPAPLHRQDCSHWCLPGVPDAWNELLYAVFLKHYINHTFNSSTYEAQV >EOY04914 pep chromosome:Theobroma_cacao_20110822:4:26438649:26447815:-1 gene:TCM_020060 transcript:EOY04914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 1 MFRGITSHDYYYSLLLISFLLVSSAISSAYSWGVLNVNYKYAGRQRSFSDLIEHDSHRQLRILAGVDLPLGGSGRPDGVGLYYAKIGIGTPPKDYYVQVDTGSDIMWVNCIQCKECPKRSSLGIDLTLYDIKDSATGKLVSCDQEFCYAINGGPLAGCTANMSCPYLEIYGDGSSTAGYFVKDIVLYDRVSGNLETSSANGSVIFGCGATQSGNLDSSNEEALDGILGFGKSNSSMISQLASSGNVKKMFAHCLDGVNGGGIFAIGHVVQPKVNMTPLVPNQPHYNVNMTAVQVGHDFLNLTTDIFEAGDRKGTIIDSGTTLAYLPDMVYEPLVSKILSQQPSLKLQTVHDEYTCFQYSASLDEGFPNITFHFENSVILKVYPHEYLFPFR >EOY04913 pep chromosome:Theobroma_cacao_20110822:4:26437757:26447813:-1 gene:TCM_020060 transcript:EOY04913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 1 MFRGITSHDYYYSLLLISFLLVSSAISSAYSWGVLNVNYKYAGRQRSFSDLIEHDSHRQLRILAGVDLPLGGSGRPDGVGLYYAKIGIGTPPKDYYVQVDTGSDIMWVNCIQCKECPKRSSLGIDLTLYDIKDSATGKLVSCDQEFCYAINGGPLAGCTANMSCPYLEIYGDGSSTAGYFVKDIVLYDRVSGNLETSSANGSVIFGCGATQSGNLDSSNEEALDGILGFGKSNSSMISQLASSGNVKKMFAHCLDGVNGGGIFAIGHVVQPKVNMTPLVPNQPHYNVNMTAVQVGHDFLNLTTDIFEAGDRKGTIIDSGTTLAYLPDMVYEPLVSKILSQQPSLKLQTVHDEYTCFQYSASLDEGFPNITFHFENSVILKVYPHEYLFPFNGLWCVGWQNSGMQSRDRKNMTLLGDLVLSNKLVLYDLENQSIGWTEYNCSSSIQVLDERTGTVHLIGYHYISSACHLNAQLIIILILLVTLLHYLDN >EOY05289 pep chromosome:Theobroma_cacao_20110822:4:27904753:27908794:1 gene:TCM_020325 transcript:EOY05289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MLQENMRLASCRFGQRYGPFNTVVSFCYVPFGKSHLQEKKMNVIVKLAILLTSSFCLYLLVALVKVLHQYWWVPLRIQHLLSSQGIKGPPYRFIHGNNKEVNHFRKEALSKHMALTHDIFPKVLPHVYSWINIYGKNYLFWDGIQAQVAITEPELVKEVLKNSEKAFPKQKPPIYFSRLLGDGLATTEGKKWAKQRKLANHAFHGESLKNMTPAVIASVERMLEQWKGQEGKEIEVFQEFRLLTSEVISRTAFGSSYLEGKKIFDMLMELSIIVSRSLFKARIPGISKFWKTDDDIESEKLAKGIHDSVMEIVKKREDKVVSGEADSFGSDFLGLLIASYHDLDDKNRLSVEDLVDECKTFYFAGQETTNSLLAWTVFLLAVHRDWQEKARREVIEIFGNQNPHSEGLAKLKTITMIMYETLRLYAPVNGLGRKVGREVQLGKLVLPAGIEFMIPNMALHHDPQLWGDDVHLFKPERFAEGIAKATKYNAAAFIPFGLGPRSCVGMSFATTETKTALSMILQRYTIALSPAYVHSPVSNLTLRPQHGIQVILQSLHSDA >EOY03503 pep chromosome:Theobroma_cacao_20110822:4:16513570:16516159:-1 gene:TCM_018597 transcript:EOY03503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMCHRRQRGRLKQFLKYFCFGWLFLLLVREFLDGQFLPLQELQDEGNPDFVVEVVSLFFDDSKKLLNDLTIAL >EOY06897 pep chromosome:Theobroma_cacao_20110822:4:33298375:33305787:-1 gene:TCM_021482 transcript:EOY06897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding protein, putative isoform 2 MTMQSVGRRPRLLGLLLAVQCVKWRTVGLILAMPRIIIDGIKFVICIRRPVKHWWVLLCSGSVNSVAEFDEGKRSCRRRLAGHNRRRRKTHPDNVATAGSLNDERSSSYLLISLLRILSNMHSNNSDQTKDQDLLSHLLRSLASLGGAIDGRNVSGLLQGSQGVVNAARAVGNLEKVTDVVSNGSEHARPSGSASKIDDSANIPDWQGSMGHCGTLPASNLAQRRSANNDVQDGSLSGSPFKMPIPSGGGPPFGANAPEATVGRIRMNNIDLNNVYDDSQDYVENLERSLVLKNPVNETLHSSVRVPESHKSSPPQLSANSDSTSSQSPSTSSGEAQSRTDQIVFKLFGKDPNGFPIALRRQILDWLSHSPTDIESYIRPGCVILTIYLRLRESAWEELCFDLGSSLRRLVDVSNNSFWKTGWLYARVQHSIAFIYNGRVVLDTPLPLKSHKCCRISSIKPIAVSVTERAQFIVKGFNLNRSSTRLLCAIEGKYLVQETCYDLMQVIDPVNEQDELQSLCFLCSIPDVSGRGFIEVEDHGLSSTFFPFIVAEQEVCSEICTLEGVIETAVPTVDINKNAEKMESKNQALDFIHEMGWLLHRNHLHWRLGRLNPNSNLFPFRRFEWLMEFSMDHEWCAVVKKLLGILFDGTVDLGDHSSIEYALLDMCLLHRAVRRNCRPMVELLLRYVPDKVLDKPGSEQKPLVDVNYNGFIFKPNVAGPAGLTPLHVAASKEGSENVLDALTDDPGLVAVEAWKSARDSTGLTPNDYACLRGHYSYIHLVQRKINKRSECGHVVLDISGTRLDCNSKQKLSDGTRVAKAASLETEKIKMKARHQRCRACEQKLTYGNSRTSLVYRPAMLSMVAIAAVCVCVALLFKSSPEVLYVFRPFRWELLKYGSS >EOY06896 pep chromosome:Theobroma_cacao_20110822:4:33298364:33310086:-1 gene:TCM_021482 transcript:EOY06896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding protein, putative isoform 2 MDSKFGGKPHHVYGPMVSDLKAVEKKSVEWDLNDWKWDGDLFTATPLNSVPLDCRSRQLFPVGPETPANAGSSHTSSSCSEHNNPGNEKGKREVEKRRRVVVAEDEEVNADSASLNLKLGGQIYPIMDDDAKCGKKTKVTGAASSRAVCQVEDCRADLSNAKDYHRRHKVCDMHSKAGKALVGTVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNRRRRKTHPDNVATAGSLNDERSSSYLLISLLRILSNMHSNNSDQTKDQDLLSHLLRSLASLGGAIDGRNVSGLLQGSQGVVNAARAVGNLEKVTDVVSNGSEHARPSGSASKIDDSANIPDWQGSMGHCGTLPASNLAQRRSANNDVQDGSLSGSPFKMPIPSGGGPPFGANAPEATVGRIRMNNIDLNNVYDDSQDYVENLERSLVLKNPVNETLHSSVRVPESHKSSPPQLSANSDSTSSQSPSTSSGEAQSRTDQIVFKLFGKDPNGFPIALRRQILDWLSHSPTDIESYIRPGCVILTIYLRLRESAWEELCFDLGSSLRRLVDVSNNSFWKTGWLYARVQHSIAFIYNGRVVLDTPLPLKSHKCCRISSIKPIAVSVTERAQFIVKGFNLNRSSTRLLCAIEGKYLVQETCYDLMQVIDPVNEQDELQSLCFLCSIPDVSGRGFIEVEDHGLSSTFFPFIVAEQEVCSEICTLEGVIETAVPTVDINKNAEKMESKNQALDFIHEMGWLLHRNHLHWRLGRLNPNSNLFPFRRFEWLMEFSMDHEWCAVVKKLLGILFDGTVDLGDHSSIEYALLDMCLLHRAVRRNCRPMVELLLRYVPDKVLDKPGSEQKPLVDVNYNGFIFKPNVAGPAGLTPLHVAASKEGSENVLDALTDDPGLVAVEAWKSARDSTGLTPNDYACLRGHYSYIHLVQRKINKRSECGHVVLDISGTRLDCNSKQKLSDGTRVAKAASLETEKIKMKARHQRCRACEQKLTYGNSRTSLVYRPAMLSMVAIAAVCVCVALLFKSSPEVLYVFRPFRWELLKYGSS >EOY03761 pep chromosome:Theobroma_cacao_20110822:4:19106843:19112017:1 gene:TCM_018937 transcript:EOY03761 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR class disease resistance protein, putative isoform 1 MAESVVSFLVERLGDLLIQEASLLWGVEDQVRQMHIELKRMQCFLKDADKRQDEDESVRNWVSEIRDAAYDVEDVIDTFIVKFASKKGGRIRNVVIQGKELHNLASEIERIKSRISDLTRSLRTYGIIARKGEGSSFASERQRQLRWSYSHLVEEHIVGFEENIEVLIKKLVPEKERCRVVSICGMGGLGKTTLAKTLYHHADIRRHFEAFAWAYVSQQCRRRDVWEGILLKLITPSKEEKEEILRMRDDELAKKLYKVQLEKRCLIVIDDIWTTEAWETLQPAFPKETTVGSKVLLTTRNKEVALGADLSGFLHEPQCLNEEKSWELFQRKAFPWKHESGFTVSKDMENLGREMVGSCAGLPLAIIVLGGLLATKETVNEWDMVHRNIKSHLARSKGRGEQARLSEVLALSYHELPYQLKPCFLYLSQFPEDFDIPTKKLVQQWVAEGIVSLQDEKEVDGTMEEVAKSYLRDLINRSMVQLGVRGSTGTIKTCRLHDLMRDLCLSKAKQENFFHIIDHVDGNKTNGDLQSSGYSKTTSGSRIRRWAIHLSQDVQEPVLPEYQKNPNLRSLFFFRPKKHRLHDGRLLKSVFDKFKLLKVLDLEGIKGLDEKLPEDIGALIQLRFLSLKKTRIRELPPSLVNLVGLQTLNLQTIDKVSWESTVQVPNMIWKMDQLRHLYLPKWCGNVTDKLTLANLSNLQTLVNFPANKCDVKDLLRLTNLQKLVLNDPRHFETFVEIFEPPNNTLQCLMSLSLKTDLLSFPNKVVNLRRLLSGCPRLSKLHVEGRIDKLPKNNQFPSSLTKLTLWGSRLGEDPMEALGKLPYLKYFGGWEVFIGKKMICSKDTFPQLKTLLLRGLPNFEEWTIEEGAMPTLSHLGISDCYKLKMVPDGLRFITTLRELEIRWMSRAFKSSLEEDGEAFYKVQHVPSIVFLN >EOY03762 pep chromosome:Theobroma_cacao_20110822:4:19106817:19111306:1 gene:TCM_018937 transcript:EOY03762 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR class disease resistance protein, putative isoform 1 MAESVVSFLVERLGDLLIQEASLLWGVEDQVRQMHIELKRMQCFLKDADKRQDEDESVRNWVSEIRDAAYDVEDVIDTFIVKFASKKGGRIRNVVIQGKELHNLASEIERIKSRISDLTRSLRTYGIIARKGEGSSFASERQRQLRWSYSHLVEEHIVGFEENIEVLIKKLVPEKERCRVVSICGMGGLGKTTLAKTLYHHADIRRHFEAFAWAYVSQQCRRRDVWEGILLKLITPSKEEKEEILRMRDDELAKKLYKVQLEKRCLIVIDDIWTTEAWETLQPAFPKETTVGSKVLLTTRNKEVALGADLSGFLHEPQCLNEEKSWELFQRKAFPWKHESGFTVSKDMENLGREMVGSCAGLPLAIIVLGGLLATKETVNEWDMVHRNIKSHLARSKGRGEQARLSEVLALSYHELPYQLKPCFLYLSQFPEDFDIPTKKLVQQWVAEGIVSLQDEKEVDGTMEEVAKSYLRDLINRSMVQLGVRGSTGTIKTCRLHDLMRDLCLSKAKQENFFHIIDHVDGNKTNGDLQSSGYSKTTSGSRIRRWAIHLSQDVQEPVLPEYQKNPNLRSLFFFRPKKHRLHDGRLLKSVFDKFKLLKVLDLEGIKGLDEKLPEDIGALIQLRFLSLKKTRIRELPPSLVNLVGLQTLNLQTIDKVSWESTVQVPNMIWKMDQLRHLYLPKWCGNVTDKLTLANLSNLQTLVNFPANKCDVKDLLRLTNLQKLVLNDPRHFETFVEIFEPPNNTLQCLMSLSLKTDLLSFPNKVVNLRRLLSGCPRLSKLHVEGRIDKLPKNNQFPSSLTKLTLWGSRLGEDPMEALGKLPYLKYFGGWEVFIGKKMICSKDTFPQLKTLLLRGLPNFEEWTIEEGAMPTLSHLGISDCYKLKMVPDGLRFITTLRELEIRWMSRAFKSSLEEDGEAFYKVQHVPSIVFLN >EOY03763 pep chromosome:Theobroma_cacao_20110822:4:19106817:19112703:1 gene:TCM_018937 transcript:EOY03763 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR class disease resistance protein, putative isoform 1 MAESVVSFLVERLGDLLIQEASLLWGVEDQVRQMHIELKRMQCFLKDADKRQDEDESVRNWVSEIRDAAYDVEDVIDTFIVKFASKKGGRIRNVVIQGKELHNLASEIERIKSRISDLTRSLRTYGIIARKGEGSSFASERQRQLRWSYSHLVEEHIVGFEENIEVLIKKLVPEKERCRVVSICGMGGLGKTTLAKTLYHHADIRRHFEAFAWAYVSQQCRRRDVWEGILLKLITPSKEEKEEILRMRDDELAKKLYKVQLEKRCLIVIDDIWTTEAWETLQPAFPKETTVGSKVLLTTRNKEVALGADLSGFLHEPQCLNEEKSWELFQRKAFPWKHESGFTVSKDMENLGREMVGSCAGLPLAIIVLGGLLATKETVNEWDMVHRNIKSHLARSKGRGEQARLSEVLALSYHELPYQLKPCFLYLSQFPEDFDIPTKKLVQQWVAEGIVSLQDEKEVDGTMEEVAKSYLRDLINRSMVQLGVRGSTGTIKTCRLHDLMRDLCLSKAKQENFFHIIDHVDGNKTNGDLQSSGYSKTTSGSRIRRWAIHLSQDVQEPVLPEYQKNPNLRSLFFFRPKKHRLHDGRLLKSVFDKFKLLKVLDLEGIKGLDEKLPEDIGALIQLRFLSLKKTRIRELPPSLVNLVGLQTLNLQTIDKVSWESTVQVPNMIWKMDQLRHLYLPKWCGNVTDKLTLANLSNLQTLVNFPANKCDVKDLLRLTNLQKLVLNDPRHFETFVEIFEPPNNTLQCLMSLSLKTDLLSFPNKVVNLRRLLSGCPRLSKLHVEGRIDKLPKNNQFPSSLTKLTLWGSRLGEDPMEALGKLPYLKYFGGWEVFIGKKMICSKDTFPQLKTLLLRGLPNFEEWTIEEGAMPTLSHLGISDCYKLKMVPDGLRFITTLRELEIRWMSRAFKSSLEEDGEAFYKVQHVPSIVFLN >EOY05131 pep chromosome:Theobroma_cacao_20110822:4:27309439:27311423:1 gene:TCM_020213 transcript:EOY05131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKYNVDGAANGCTGEAGIGGIMRNDEGNIKIVFSKAIGVEDASAAEVRAIREAFLSFAGSKWVATHSLILKVTRKMLSNGLTTHPKRPGGLGSGFCTLKGSRRRLSLTVSWMLLGRSVSISCVLLRGPLDDVLCFWP >EOY03733 pep chromosome:Theobroma_cacao_20110822:4:18641622:18644144:-1 gene:TCM_018873 transcript:EOY03733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEINLGRGISLATLIALMILLDTCSSQAASFASLKEKINATATIAEINMESEFLMDSDIGRLLLDYRYLVSRSNIRMQPIVNCNRGNAYRSCLPPKNQPIRPERCGIYKRKSCS >EOY02729 pep chromosome:Theobroma_cacao_20110822:4:1944486:1949570:1 gene:TCM_017117 transcript:EOY02729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MGYFYLFVLTLFSWSYFIRPTHGLQTYHTQLLLQIRMHLEYPSQLQILDNYNGDLCNLSATGDVMISCQDNLVTELKIRGDKLANISGFNGYAIPSKTLSETFSIDSLVTTLTRLTSLRVLSLVSLGIWGPLPDKIHRLYSLELLDLSSNFMFGSIPPQISRMVKLQTLTLDGNYFNDTIPDTLDSISNLTVLSLRGNRLEGQFPSSICRISSLTDIALCHNKLSGELPDLSSLTRLRVLDLRENQLDSKLPGMPQGLVTALLAKNLFSGEIPGQFGKLSHLQHLDLSFNHLSGTPPSALFDLPSTTYLNLASNMLSGSLPEHLTCGSKLGFVDISSNKLSGELPSCLDNISDKRVVKFGGNCLSIDRQQQHQASHCKEANTRKSRREIAVLIAIIVGSVLLLVLLAFGVYILSRRCCRRRTFETHIRQKVVQDNPTNGVSPEVLANARFISEVVKLGTQGAPVCRLFPLEELKEATNNFDSSMFMGESSTGKLYKGRLENGTYVAIRSLTLLKKYSIQNLKVRLEFFSKLHHPHLVGLLGHCIDGGVQDDPSANKVFLVYDYVPNGNYHMHLSENCPEKVLKWSDRLAILIDVAKAVHFLHTGVIPGVFNNRLKTNNILLDEHRIAKLSDYGMSIIMEENEKLEAKGDGLKSSSHFLSHCRNCCTTSIRTCTPHQQLRRYCYHLNHSLIHLPSTFKHIL >EOY02728 pep chromosome:Theobroma_cacao_20110822:4:1943188:1951558:1 gene:TCM_017117 transcript:EOY02728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MGYFYLFVLTLFSWSYFIRPTHGLQTYHTQLLLQIRMHLEYPSQLQILDNYNGDLCNLSATGDVMISCQDNLVTELKIRGDKLANISGFNGYAIPSKTLSETFSIDSLVTTLTRLTSLRVLSLVSLGIWGPLPDKIHRLYSLELLDLSSNFMFGSIPPQISRMVKLQTLTLDGNYFNDTIPDTLDSISNLTVLSLRGNRLEGQFPSSICRISSLTDIALCHNKLSGELPDLSSLTRLRVLDLRENQLDSKLPGMPQGLVTALLAKNLFSGEIPGQFGKLSHLQHLDLSFNHLSGTPPSALFDLPSTTYLNLASNMLSGSLPEHLTCGSKLGFVDISSNKLSGELPSCLDNISDKRVVKFGGNCLSIDRQQQHQASHCKEANTRKSRREIAVLIAIIVGSVLLLVLLAFGVYILSRRCCRRRTFETHIRQKVVQDNPTNGVSPEVLANARFISEVVKLGTQGAPVCRLFPLEELKEATNNFDSSMFMGESSTGKLYKGRLENGTYVAIRSLTLLKKYSIQNLKVRLEFFSKLHHPHLVGLLGHCIDGGVQDDPSANKVFLVYDYVPNGNYHMHLSENCPEKVLKWSDRLAILIDVAKAVHFLHTGVIPGVFNNRLKTNNILLDEHRIAKLSDYGMSIIMEENEKLEAKGDGLKSSQRKNLEDDVYNFGFILLESLVGPIVSGKGETFLLNEMASFGSQDGRKQIVDPSVLTTCSQESLSIVVSITGKCICPEPSSRPSFEDVLWNLQYAAQVQAAADVDQKSDST >EOY02730 pep chromosome:Theobroma_cacao_20110822:4:1944647:1948887:1 gene:TCM_017117 transcript:EOY02730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MGYFYLFVLTLFSWSYFIRPTHGLQTYHTQLLLQIRMHLEYPSQLQILDNYNGDLCNLSATGDVMISCQDNLVTELKIRGDKLANISGFNGYAIPSKTLSETFSIDSLVTTLTRLTSLRVLSLVSLGIWGPLPDKIHRLYSLELLDLSSNFMFGSIPPQISRMVKLQTLTLDGNYFNDTIPDTLDSISNLTVLSLRGNRLEGQFPSSICRISSLTDIALCHNKLSGELPDLSSLTRLRVLDLRENQLDSKLPGMPQGLVTALLAKNLFSGEIPGQFGKLSHLQHLDLSFNHLSGTPPSALFDLPSTTYLNLASNMLSGSLPEHLTCGSKLGFVDISSNKLSGELPSCLDNISDKRVVKFGGNCLSIDRQQQHQASHCKEANTRKSRREIAVLIAIIVGSVLLLVLLAFGVYILSRRCCRRRTFETHIRQKVVQDNPTNGVSPEVLANARFISEVVKLGTQGAPVCRLFPLEELKEATNNFDSSMFMGESSTGKLYKGRLENGTYVAIRSLTLLKKYSIQNLKVRLEFFSKLHHPHLVGLLGHCIDGGVQDDPSANKVFLVYDYVPNGNYHMHLSENCPEKVLKWSDRLAILIDVAKAVHFLHTGVIPGVFNNRLKTNNILLDEHRIAKLSDYGMSIIMEENEKLEAKGDGLKSSSHFLSHCRNCCTTSIRTCTPHQQLRRYCYHLNHSLIHLPSTFKHIL >EOY02731 pep chromosome:Theobroma_cacao_20110822:4:1944486:1948091:1 gene:TCM_017117 transcript:EOY02731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MGYFYLFVLTLFSWSYFIRPTHGLQTYHTQLLLQIRMHLEYPSQLQILDNYNGDLCNLSATGDVMISCQDNLVTELKIRGDKLANISGFNGYAIPSKTLSETFSIDSLVTTLTRLTSLRVLSLVSLGIWGPLPDKIHRLYSLELLDLSSNFMFGSIPPQISRMVKLQTLTLDGNYFNDTIPDTLDSISNLTVLSLRGNRLEGQFPSSICRISSLTDIALCHNKLSGELPDLSSLTRLRVLDLRENQLDSKLPGMPQGLVTALLAKNLFSGEIPGQFGKLSHLQHLDLSFNHLSGTPPSALFDLPSTTYLNLASNMLSGSLPEHLTCGSKLGFVDISSNKLSGELPSCLDNISDKRVVKFGGNCLSIDRQQQHQASHCKEANTRKSRREIAVLIAIIVGSVLLLVLLAFGVYILSRRCCRRRTFETHIRQKVVQDNPTNGVSPEVLANARFISEVVKLGTQGAPVCRLFPLEELKEATNNFDSSMFMGESSTGKLYKGRLENGTYVAIRSLTLLKKYSIQNLKVRLEFFSKLHHPHLVGLLGHCIDGGVQDDPSANKVFLVYDYVPNGNYHMHLSENCPEKVLKWSDRLAILIDVAKAVHFLHTGVIPGVFNNRLKTNNILLDEHRIAKLSDYGMSIIMEENEKLEVCFLVI >EOY04387 pep chromosome:Theobroma_cacao_20110822:4:24130619:24135683:1 gene:TCM_019653 transcript:EOY04387 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein MSGLYNPNFSPARAASPQIRSTPDVDSQYLSELLAEHQKLGPFMQVLPICSRLLNQEIFRVSGMMSNQGFGDFDRMRHRSPSPMASSNLISNVSGTGLGGWNSLPQERLSGPPGMTMDWQGAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNDPLHILIEADLPANIVDIRLRQAQEIIEELLKPVDESQDFIKRQQLRELAMLNSNFREESPGPSGSVSPFNSSGMKRPKTGR >EOY03618 pep chromosome:Theobroma_cacao_20110822:4:17428814:17432496:-1 gene:TCM_018715 transcript:EOY03618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIHKRPYVDDSQGVVCKHPRQWENTSCFASVVNGVHPNGALQNHQISEGKWEDIYSKCQDEGRFDEDPCNKVLSGANKEYETSASCSVPHFWWVNSNGIDADTESEVAVHLPLFPEYFASGHQIRAFLHADEIYSSILSPRKLVSIGPEHQANIPEWRQQGLKSSSDCPDTSDPQVPLKSSCASLMVDDDDDQKKMMGTCVIPMPDSETTAKFCCEDVGHRIDCECLDQGSIRCIRQHVTEARENLRKNLGPELFGELGFCDTGEELAKRWPEEEELAFQNVVLTNPVSLGKNFWDHLPAVFPSHSKRDLVSYYFNVFMLRKRAEQNRVDPVNIDSDDDEWQTAECGIPAEDDDSVVESPSDQGTSAHFEHNHVEDCHEYIEDDDEDGVDSSGNVVADICRAATDEEDEGDIDEISGPHVENFIGNYDSCDFQLSSKVQGNNEDDYDIQDDSCTSYEYQREKVDCCGLPETVMNAKQPSQE >EOY06776 pep chromosome:Theobroma_cacao_20110822:4:32933198:32937828:1 gene:TCM_021405 transcript:EOY06776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate/galactokinase family protein isoform 1 MAKHEELPIPIYSSLEPVYGEGSQLEEAQLRFNKLKSKFLEVFGHPPDLFARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRKHDKGEAEKLLRIANVNDKYTPCTYPADPNQEIDLKNHRWGHYFICGYKGYYEYAKSKGVDVGVPVGLDVLVDGTVPTGSGLSSSAAFVCSSTIAIMAAFDVNFPKKELAQVTCDCERHIGTLSGGMDQAISVMAKTGFAELIDFNPIRATDVQLPAGGTFVIAHSLAESQKAVTAAINYNNRVVECRLAAIVLGIKLGMKAPEAISKVKTLSDVEALCVQFARDRYSNDPVLAVKEFLKEEPYTTEEIEKITEKNLPSILGDNPTSLDVLKAAKHFKLHQRAAHVYSEAKRVHAFKDTVSSSFSEEEKLKKLGDLMNESHYSCSVLYECSCPELEELVKVCRDNGALGARLTGAGWGGCAVALVKESIVPQFILNLKEQFYKSRSDFGLYVFASKPSSGAAILKV >EOY06777 pep chromosome:Theobroma_cacao_20110822:4:32933253:32937340:1 gene:TCM_021405 transcript:EOY06777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate/galactokinase family protein isoform 1 MAKHEELPIPIYSSLEPVYGEGSQLEEAQLRFNKLKSKFLEVFGHPPDLFARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRKHDKGEAEKLLRIANVNDKYTPCTYPADPNQEIDLKNHRWGHYFICGYKGYYEYAKSKGVDVGVPVGLDVLVDGTVPTGSGLSSSAAFVCSSTIAIMAAFDVNFPKKELAQVTCDCERHIGTLSGGMDQAISVMAKTGFAELIDFNPIRATDVQLPAGGTFVIAHSLAESQKAVTAAINYNNRVVECRLAAIVLGIKLGMKAPEAISKVKTLSDVEALCVQFARDRYSNDPVLAVKEFLKEEPYTTEEIEKITEKNLPSILGDNPTSLDVLKAAKHFKLHQRAAHVYSEAKRVHAFKDTVSSSFSEEEKLKKLGDLMNESHYSCSVLYECSCPELEELVKVCRDNGALGARLTGAGWGGCAVALVKESIVPQFILICFCIIRNNSTNRGVTLVSMFLLQSRQAVQPFLKSSLTA >EOY03276 pep chromosome:Theobroma_cacao_20110822:4:10623139:10626452:1 gene:TCM_018081 transcript:EOY03276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent oxygenase MDSRFFLGFFLLIFLHSSFASAEINGSLLKMKRGTSSVLFDPSRVTQLSWHPRAFIYEGFLSAEECDHLITLAKDKLEKSMVADNESGQSLESEVRTSSGMFLQKAQDEVIADIEARIAAWTFLPVENGESMQILHYEQGQKYEPHFDYFHDKANQELGGHRIATVLMYLSDVESGGETVFPNSEGKLAQPKDDSWSACAKNGYAVKPRKGDALLFFSLHPDATTDTNSLHGSCPVIKGEKWSATKWIHVRSFDKLERRSENGDCVDESENCPVWAKAGECEKNPTYMVGSEESYGFCRKSCKVCSS >EOY06465 pep chromosome:Theobroma_cacao_20110822:4:31995059:31997300:-1 gene:TCM_021176 transcript:EOY06465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative isoform 1 MFCFLCQRILHGRHAVTASQSHKVLYVSQNDPSSLVGVSASLTLRCISSSSKKQSFTVSYLKKKCGLSSESALTAAKYVQFATSDRADTVIAFFKNHGFSEPQISRLIKRRPVVLLYDVEKTLSPKLEFLRSKGTSSPDLIKILSDNPAIFGSSLEKQIVPSFNCLSNLLKSDEKIIHAVKRYPRLLCYDLNAILLPNIDLLLDNGVPECRIVTTLHSLPSTLIRSPIQFKNMIEETKEMGLIPSRPMFMVALSAMSSMSKSTWKKKFEVFKKYGWSEKEALEAFRRYPMFIKVSEDKFLLTMDFLVNKMGFRSSIFAKRPRILMMSLDKKIVPRGLFALDLLSKGIIKRVNLQALLETSDNLFIEKFVNRFKAEESELLKLYQEKLNLSKNWKIG >EOY06466 pep chromosome:Theobroma_cacao_20110822:4:31995574:31997300:-1 gene:TCM_021176 transcript:EOY06466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative isoform 1 MFCFLCQRILHGRHAVTASQSHKVLYVSQNDPSSLVGVSASLTLRCISSSSKKQSFTVSYLKKKCGLSSESALTAAKYVQFATSDRADTVIAFFKNHGFSEPQISRLIKRRPVVLLYDVEKTLSPKLEFLRSKGTSSPDLIKILSDNPAIFGSSLEKQIVPSFNCLSNLLKSDEKIIHAVKRYPRLLCYDLNAILLPNIDLLLDNGVPECRIVTTLHSLPSTLIRSPIQFKNMIEETKEMGLIPSRPMFMVALSAMSSMSKSTWKKKFEVFKKYGWSEKEALEAFRRYPMFIKVSEDKFLLTMDFLVNKMGFRSSIFAKRPRILMMSLDKKIVPRGLFALDLLSKGIIKRVNLQALLETSDNLFIEKFVNRFKAEESELLKLYQEKLNLSKNWKIG >EOY05453 pep chromosome:Theobroma_cacao_20110822:4:28614253:28615266:1 gene:TCM_020449 transcript:EOY05453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7 MEQVQLLGMWLSPYSYRVIWALQLKGIAYEYIEEDLSNKSPLLLQCNPVHKKIPVLIHSGKPICESSVILEYIEEIWPQNSLLPCDPYERAIARFWIKFADDKGPIVWKICGTRGEERQKVIEESLEMLKTIEEHGLGDKKFFGGDKISMVDIAFGGLAYWPGVIEKVLGRNLLEAHKFPRLHAWTKNFKEVPEIKENLPDFGRLLKRQT >EOY05478 pep chromosome:Theobroma_cacao_20110822:4:28691017:28694020:-1 gene:TCM_020470 transcript:EOY05478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yucca 3 MSSSCLNIPTMFQTFAPEDFFTRRCIWVNGPVIVGAGPSGLAVSAGLKKQGVPFILLERADCIASLWQKRTYDRLKLHLPKQFCQLPNFPFPEDFPEYPTKNQFINYLESYAKQFDINPHFNETVQSAKYDETFGLWRVKTISTGGPNPVEVEYICRWLVVATGENAEKVVPEFEGLQDFDGHVTHACDYKSGQSYSGERVLVVGCGNSGMEVSLDLCNHNAYPSMVVRSSVHVLPREVFGKSTFELAVLLMKWLPLWLVDKIVLILALLILGNIEKYGLKRPSVGPLELKNTAGKTPVLDIGALQKIRSGGIKIVPGIKKFSRGRVELVNGETLEIDSVILATGYRSNVPSWLKENEFFSNDGVPKNPFPNGWKGKAGLYAVGFTRRGLSGASLDAISVAHDIAKSWKEETKQKKKSMAARHRRCISHF >EOY02418 pep chromosome:Theobroma_cacao_20110822:4:736066:737245:-1 gene:TCM_016899 transcript:EOY02418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12-A MASSTLSTLSLRSPSYPPPTSSHPTHPVSFRTPSLQFPLRSTTPSNLTHRSTFLRPLNATAAPEKIEKLGNEISSLTLEEARTLVDYLQEKLGVTAAALAPAAVAVGGAPGADAGPAAVEEKTEFDVVIEEVPSNARIAVIKAVRSLTNLALKEAKDLIEGLPKKFKEGVSKDEAEDAKKQLEEAGAKVSVA >EOY02963 pep chromosome:Theobroma_cacao_20110822:4:3216105:3229822:1 gene:TCM_017356 transcript:EOY02963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKFYMEICRAVSSFLTLLSAASTLCTYRPRVRSYALDFATNREKPEPIPTNSTNNRWKWFKNCLGALDGTYIRVKVPSADKPRYRTRKGNIATNMLGVCTPDMQFVFVLPGWEGSVADGRVLRDALRRRNGLKVPNGCYYLVDAGYTNCEGFLAPFRGQRYHLNEWRQGHEPSSPKEFFNMKHAAARNVIERCFGLLKMRWGILRSPSFYPIRIHNRIIIACCLLHNFIRREMSFDPIEVDLGEFVETNIAVDEDFISTIDPTDVWGNFRMELANQMFNEWQASRQNDD >EOY05028 pep chromosome:Theobroma_cacao_20110822:4:26888964:26894490:1 gene:TCM_020139 transcript:EOY05028 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein MQEPCEVSVWIYRVMKVYRDFAAVVMDLREVPGRDKYFSGRDTKCWCKSVAENISRRLVMADYNLQPNFDRKTMATTKVGRIKLGSQGLEVSAQGLGCMGMSAIYGPPKREADMVALTHHAINSGVTLLDTSDVYGPHTNEILLGKALKAGVRNRVELATKFGIHLTEGQREIRGDPAYVRAACEGSLKRLGVDCIDLYYQHRIDTRVPIEVTIGELKKLVEEGKVKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEEIVPTCRELGIGIVAYSPLGRGFLSSGPKMVETLSNDDFRKYLPRFQPENLKHNERLFEQVNKIAARKECTPSQLALAWIHHQGNDVCPIPGTTKIENFKQNIAAVALKLTPKEMAELESIASADAVKGDRYIGTFATYHESETPPLSSGQPV >EOY05284 pep chromosome:Theobroma_cacao_20110822:4:27872580:27873628:1 gene:TCM_020320 transcript:EOY05284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated family protein MAGKGIHGCCRAQTFLLNIIASASTDRAFPLKTRNINMARLSWLPFAFFLILALGFAIQTANAGKEGSLRLEDCPKACDGRCSKTHHRKPCLFFCNYCCKSCLCVPSGTYGNREECPCYNNIKTKEGKNKCP >EOY03114 pep chromosome:Theobroma_cacao_20110822:4:5177785:5179355:-1 gene:TCM_017598 transcript:EOY03114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIIVVSDVGDGTVVQDFMQENASSGEYSPIMGPGAVQTGSENGNVRITSSEACSCGKMEDYAENPPNLESTSSKCMYNKELSDVPSFPSVSGTNFTKIEIHPKVPRRRHSDTELSIDKILSLASDKAVDMWENDEALDDDAILVNFATSWERERRQTISQPLKTPLDEIQPSKLESKVRFGVFGFLS >EOY02916 pep chromosome:Theobroma_cacao_20110822:4:3020707:3021644:1 gene:TCM_017320 transcript:EOY02916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVVFSLPKSPNAIDFCCKKMQNFCNTWKLSTFHSSAISWLWPNHPYPPTNTSHFSTLTLNLNSGYFPLSWVLSLPTLHKITHQRKRPGIHIAHLSAEP >EOY04601 pep chromosome:Theobroma_cacao_20110822:4:25174776:25182852:-1 gene:TCM_019824 transcript:EOY04601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWFGMSAFGWPNILLKIYISSGRPIFIYLMPTLSFIDVGSMTCSARVFVLSYDGMDFWAVSGGRIKRGGGLLGMRVVIVSTGDGEQISDDTLLLVASVMET >EOY06835 pep chromosome:Theobroma_cacao_20110822:4:33084530:33086972:-1 gene:TCM_021442 transcript:EOY06835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein MIVSQNMVAEADIIYRHQVVDVMSISTQVSRFESVAACAETIGDAVIESSAVKSVPRIRSGSYADIGPRRSMDDEHIRIDDLSSHLGSIFKPSMPSAFYAVFDGHGGPDAAAYIKRNATRLFFEDFDLPQVSDIDAVFLKELEDSHRKAFLLADLALAAEGSVSSSCGTTVLTALVLGRHLLVANAGDCRAVLCRKGVAVEMSQDHRPSYSPERKRVEELGGYIDDGYLNGYLSVTRALGDWDLKFPLGPSSPLIAEPDVRQTVLTEDDEFLIIGCDGIWDVMSSQFAVSLVRRGLRQHDNPEECARELVNEASRLNSSDNLTAIIICFSCPAPIESSPLQRRRFRCCNLSEEARNRLKSLLEGN >EOY02628 pep chromosome:Theobroma_cacao_20110822:4:1583817:1587240:-1 gene:TCM_017050 transcript:EOY02628 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0392 protein RCOM_0530710 isoform 2 MRDRRKHDVVSWSRFFWFTAVVVVSCVVLTGFSFSTFRLFFGETFHPVLGSTRQTSTMNAVVSNEFPVVPVLSIRETILFPDQVILFLECPPRARLFTKEELLCVYLSADNNSSETRLKKPPARVDSRQVGEQIVWCPGCPRGLIVTVASKSNGVIPAGPSHRWGTLAYEALIDKDNTTLLFVKGLNLRPERVSNASRFECVYGWDFSRLNLVLRSEVLSIAQEIVRCKTPLSVLNCQQKVNGSVKVSIRIKGNGLLPSVARLRHLVGPGLQPVPTRKPHEMCICTMARNQARFLKEWVMYHAQIGVQRWYIYDNNSDDDTDSVIESLSDANYNISRHIWPWIKTQEAGFAHCALRSRSSCDWVGFIDVDEFFHLPSGLTLQAVLRNLSSRAPSLDTEAAHIPIGELRVSCYSFGPSGLRHVPRQGVMVGYTCRMTAPERHKSIVRPEALNSTLINVVHHFHLMHGFRFLDVDKTMMVVNHYKYQVWEVFKEKFYRRVATYVADWKDEQNVGSKDRAPGLGTKAVEPVDWSSRFCEVLDTGLRDRVLQNFVNPKTYLLPWQDANDGKQSLV >EOY02627 pep chromosome:Theobroma_cacao_20110822:4:1582604:1587424:-1 gene:TCM_017050 transcript:EOY02627 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0392 protein RCOM_0530710 isoform 2 MRDRRKHDVVSWSRFFWFTAVVVVSCVVLTGFSFSTFRLFFGETFHPVLGSTRQTSTMNAVVSNEFPVVPVLSIRETILFPDQVILFLECPPRARLFTKEELLCVYLSADNNSSETRLKKPPARVDSRQVGEQIVWCPGCPRGLIVTVASKSNGVIPAGPSHRWGTLAYEALIDKDNTTLLFVKGLNLRPERVSNASRFECVYGWDFSRLNLVLRSEVLSIAQEIVRCKTPLSVLNCQQKVNGSVKVSIRIKGNGLLPSVARLRHLVGPGLQPVPTRKPHEMCICTMARNQARFLKEWVMYHAQIGVQRWYIYDNNSDDDTDSVIESLSDANYNISRHIWPWIKTQEAGFAHCALRSRSSCDWVGFIDVDEFFHLPSGLTLQAVLRNLSSRAPSLDTEAAHIPIGELRVSCYSFGPSGLRHVPRQGVMVGYTCRMTAPERHKSIVRPEALNSTLINVVHHFHLMHGFRFLDVDKTMMVVNHYKYQVWEVFKEKFYRRVATYVADWKDEQNVGSKDRAPGLGTKAVEPVDWSSRFCESQIQVRGSHGARLFASLVVEDTPDRQSKHKKRSDLILKTPPSTTSRRKRRAQSRSPVSTPITPLNLDEEGTSKQGGKKIDFYCIIMLPREWKQSMEQRINVNEEKKSEVNEPTQQAPGVSCSNPAPQCMDKLREELSCTIFLEICFEPSTTLSGHSFCKKCLRLGADKCGKKCPKCRQLISNGRSCNVDTVLWNPIQLLFPQEVEARKAAGALNGRKVSVKVQRVELVVPEELQVLDLQWSLPVLEMQE >EOY04766 pep chromosome:Theobroma_cacao_20110822:4:25827000:25833240:1 gene:TCM_019946 transcript:EOY04766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MRGFTALLKTLLGCVINYNRFSYCMIQWCQKARRAMDCFQTVSRLKGSLCQQLILFILWLSSFQDVVTLQTLLDPSHVSSTSELANPPSTGLFEPIEISPAVIPRYPYPGESLPPMYPTFPRTYEPNLTGRCPVNFSALSNIMGKTASDCSLPLAALVGNVICCPQLGSLLHIFQGYYSINSDMLVLRNAVANDCFSDIISILASRGANSTIPTVCTVKSSNLTGGSCPVKDVNTFEKMVNTSKLLEACSTVDPLKECCRPVCQPAIMEAALKISGTQMMLNENKNVIGETTHIDAINDCKGVIYSYLSRKLPPDAANTAFRILSACKVNKVCPLEFNQPLEVIKACRNVAAPSPSCCSSLNTYIAGIQKQMLITNKQAIICATMFGSMLRKGGVMTNVYELCDVDLKDFSIQAYGQQGCLLRSLPADVVFDNSTGYSFTCDLTDNIAAPWPSSSSMSSLSLCAPEMSLPALPTSETLKNPGCHGCMLEIWVPIFSFFVFSTFLY >EOY04767 pep chromosome:Theobroma_cacao_20110822:4:25826995:25833240:1 gene:TCM_019946 transcript:EOY04767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MRSASSGFTALLKTLLGCVINYNRFSYCMIQWCQKARRAMDCFQTVSRLKGSLCQQLILFILWLSSFQDVVTLQTLLDPSHVSSTSELANPPSTGLFEPIEISPAVIPRYPYPGESLPPMYPTFPRTYEPNLTGRCPVNFSALSNIMGKTASDCSLPLAALVGNVICCPQLGSLLHIFQGYYSINSDMLVLRNAVANDCFSDIISILASRGANSTIPTVCTVKSSNLTGGSCPVKDVNTFEKMVNTSKLLEACSTVDPLKECCRPVCQPAIMEAALKISGTQMMLNENKNVIGETTHIDAINDCKGVIYSYLSRKLPPDAANTAFRILSACKVNKVCPLEFNQPLEVIKACRNVAAPSPSCCSSLNTYIAGIQKQMLITNKQAIICATMFGSMLRKGGVMTNVYELCDVDLKDFSIQAYGQQGCLLRSLPADVVFDNSTGYSFTCDLTDNIAAPWPSSSSMSSLSLCAPEMSLPALPTSETLKNPGCHGCMLEIWVPIFSFFVFSTFLY >EOY04769 pep chromosome:Theobroma_cacao_20110822:4:25829110:25833161:1 gene:TCM_019946 transcript:EOY04769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MDCFQTVSRLKGSLCQQLILFILWLSSFQDVVTLQTLLDPSHVSSTSELANPPSTGLFEPIEISPAVIPRYPYPGESLPPMYPTFPRTYEPNLTGRCPVNFSALSNIMGKTASDCSLPLAALVGNVICCPQLGSLLHIFQGYYSINSDMLVLRNAVANDCFSDIISILASRGANSTIPTVCTVKSSNLTGGSCPVKDVNTFEKMVNTSKLLEACSTVDPLKECCRPVCQPAIMEAALKISGTQMMLNENKNVIGETTHIDAINDCKGVIYSYLSRKLPPDAANTAFRILSACKVNKVCPLEFNQPLEVIKACRNVAAPSPSCCSSLNTYIAGIQKQMLITNKQAIICATMFGSMLRKAYGQQGCLLRSLPADVVFDNSTGYSFTCDLTDNIAAPWPSSSSMSSLSLCAPEMSLPALPTSETLKNPGCHGCMLEIWVPIFSFFVFSTFLY >EOY04768 pep chromosome:Theobroma_cacao_20110822:4:25829110:25833161:1 gene:TCM_019946 transcript:EOY04768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MDCFQTVSRLKGSLCQQLILFILWLSSFQDVVTLQTLLDPSHVSSTSELANPPSTGLFEPIEISPAVIPRYPYPGESLPPMYPTFPRTYEPNLTGRCPVNFSALSNIMGKTASDCSLPLAALVGNVICCPQLGSLLHIFQGYYSINSDMLVLRNAVANDCFSDIISILASRGANSTIPTVCTVKSSNLTGGSCPVKDVNTFEKMVNTSKLLEACSTVDPLKECCRPVCQPAIMEAALKISGTQMMLNENKNVIGETTHIDAINDCKGVIYSYLSRKLPPDAANTAFRILSACKVNKVCPLEFNQPLEVIKACRNVAAPSPSCCSSLNTYIAGIQKQMLITNKQAIICATMFGSMLRKGGVMTNVYELCDVDLKDFSIQAYGQQGCLLRSLPADVVFDNSTGYSFTCDLTDNIAAPWPSSSSMSSLSLCAPEMSLPALPTSETLKNPGCHGCMLEIWVPIFSFFVFSTFLY >EOY06041 pep chromosome:Theobroma_cacao_20110822:4:30750603:30753921:-1 gene:TCM_020890 transcript:EOY06041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactate/malate dehydrogenase family protein MAKEPVRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDVVEACTRVNIAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEQHAAPNCKVLVVANPANTNALILKEFAPSIPANNITCLTRLDHNRALGQISERLNVQVCDVKNVIIWGNHSSTQYPDVNHATVKTPSGEKPVRELVKDDAWLNGEFITTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPEGTWVSMGVYSDGSYNVPAGLIYSFPVTCKNGEWKIVQGLAIDEFSRKKLDLTGEELSEEKALAYSCLT >EOY03405 pep chromosome:Theobroma_cacao_20110822:4:14824055:14826396:1 gene:TCM_018427 transcript:EOY03405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGMFYYHQELMEPTSSFPIPYTTGAICFAKALCDLGASINLMPLSIYNKLGLEKIKPTSVTLQLADRFVTYPYGIVEDVLLKVGKFFFPIDFIILDMEEDREIPIILGRPFLRTAQALIDVKKDELTLRVEDQQVTFSIFRALKVFNEHDECFSINVVDGIIENHYDGPLETSLIPSLGVVLDQRKVKILHPLYYANRTLNEAQANYTTIEKELFAIVFTFDKFHSYLVGTKVIVYINHAAIKYLIEKKDVKPCLIIWIL >EOY05809 pep chromosome:Theobroma_cacao_20110822:4:29846939:29852999:1 gene:TCM_046769 transcript:EOY05809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MQLPHSTDVIDTLPENPDRKPNQQKEENDDDENDTNSTAVTSAVDGGADSNNNHSSSGTVEDSVLDVSGKSVEFSILEESGESVDGLYLYKNVFNLIPKSVGAFSRLRNLKFFGNEINLFPAEVGGLVGLECLQVKISSPGFNGMALRKLKGLKELELSRVPPRPSVLTLLSEIARLKCLTKLSVCYFSIRYLPPEIGCLKNLEYLDLSFNKIKSLPIEISNLNDLISLKVANNKLVELPSGLSSLQRLENLDLSNNRLTSLGSLELSLMHNLQTLNLQYNKLISCSQIPSWVHCNLEGNGKGTSSDDFTSSSVEMDVYETAAQDSDGSVSYNGSHKTSSGILTVALSNSRCFATRRSSKRWKRRHYLQQRARQERLNNSRKWKGEGHAEVLTMKAGGDVPGNNDVPTSDTCAEAASEVVGVDDDKTLSSSEAKDEKLGSVRYEDDTLTLEKGFYVKSSTSVGHESLNKGSEDKCSQLDASLDPVGEGAIEQDEGSSSDICKSNSKSKRHSDRDLNNPKPCKSRKPTDYCYNLSRKYSTNSFCGTEDHLPDGFYDAGRDRPFMPLSRYEQTFHLDSREVILVDRERDEELDAIALSAQALVFHLKNLNGLAKDRERVPVDNLQIASLLALFVSDHFGGSDRSGIVERTRKALSGSNYKKPFICTCSTGNGDSVSASNKTLDTVEDIVFSELCERSLRSIKSRRNSIVVPIGTLQFGVCRHRALLMKTWYDFNCYSIYVIGWNLQFLVSLLGATWISCHMPGISFL >EOY05807 pep chromosome:Theobroma_cacao_20110822:4:29846928:29853652:1 gene:TCM_046769 transcript:EOY05807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MQLPHSTDVIDTLPENPDRKPNQQKEENDDDENDTNSTAVTSAVDGGADSNNNHSSSGTVEDSVLDVSGKSVEFSILEESGESVDGLYLYKNVFNLIPKSVGAFSRLRNLKFFGNEINLFPAEVGGLVGLECLQVKISSPGFNGMALRKLKGLKELELSRVPPRPSVLTLLSEIARLKCLTKLSVCYFSIRYLPPEIGCLKNLEYLDLSFNKIKSLPIEISNLNDLISLKVANNKLVELPSGLSSLQRLENLDLSNNRLTSLGSLELSLMHNLQTLNLQYNKLISCSQIPSWVHCNLEGNGKGTSSDDFTSSSVEMDVYETAAQDSDGSVSYNGSHKTSSGILTVALSNSRCFATRRSSKRWKRRHYLQQRARQERLNNSRKWKGEGHAEVLTMKAGGDVPGNNDVPTSDTCAEAASEVVGVDDDKTLSSSEAKDEKLGSVRYEDDTLTLEKGFYVKSSTSVGHESLNKGSEDKCSQLDASLDPVGEGAIEQDEGSSSDICKSNSKSKRHSDRDLNNPKPCKSRKPTDYCYNLSRKYSTNSFCGTEDHLPDGFYDAGRDRPFMPLSRYEQTFHLDSREVILVDRERDEELDAIALSAQALVFHLKNLNGLAKDRERVPVDNLQIASLLALFVSDHFGGSDRSGIVERTRKALSGSNYKKPFICTCSTGNGDSVSASNKTLDTVEDIVFSELCERSLRSIKSRRNSIVVPIGTLQFGVCRHRALLMKYLCDRMEPPVPCELVRGYLDFMPHAWNIILVRRGDSWVRMVVDACHPHDIREETDPEYFSRYIPLSRKKASLRTESTPVFSCSFPSMTISDEIERVASSSLIRCKYGSMEAAAKVRTLEVLGASLDEVKNFEYSCLGEVRILGALKHPCIVEMYGHQISSKWIPIGDGKSEHRILQSAILMEYIKGGSLKTHIEKLAEAGEKHVPVDFALCIARDIASALVELHSKHVIHRDIKSENILIDLDEKRVDGSPIVKLCDFDRAVPLRSFLHTCCIAHVGIHPPNVCVGTPRWMAPEVLRAMHKRNQYGLEVDIWSFGCLLYELLTLQVPYSGLSELHIHELLQMGKRPRLTEELEALDSLSESAMTQSGTELDGKEAEVDTLRFLVDVFCRCTEENPTDRPTAKELYDILLEHTNGFRNSS >EOY05808 pep chromosome:Theobroma_cacao_20110822:4:29846939:29852999:1 gene:TCM_046769 transcript:EOY05808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MQLPHSTDVIDTLPENPDRKPNQQKEENDDDENDTNSTAVTSAVDGGADSNNNHSSSGTVEDSVLDVSGKSVEFSILEESGESVDGLYLYKNVFNLIPKSVGAFSRLRNLKFFGNEINLFPAEVGGLVGLECLQVKISSPGFNGMALRKLKGLKELELSRVPPRPSVLTLLSEIARLKCLTKLSVCYFSIRYLPPEIGCLKNLEYLDLSFNKIKSLPIEISNLNDLISLKVANNKLVELPSGLSSLQRLENLDLSNNRLTSLGSLELSLMHNLQTLNLQYNKLISCSQIPSWVHCNLEGNGKGTSSDDFTSSSVEMDVYETAAQDSDGSVSYNGSHKTSSGILTVALSNSRCFATRRSSKRWKRRHYLQQRARQERLNNSRKWKGEGHAEVLTMKAGGDVPGNNDVPTSDTCAEAASEVVGVDDDKTLSSSEAKDEKLGSVRYEDDTLTLEKGFYVKSSTSVGHESLNKGSEDKCSQLDASLDPVGEGAIEQDEGSSSDICKSNSKSKRHSDRDLNNPKPCKSRKPTDYCYNLSRKYSTNSFCGTEDHLPDGFYDAGRDRPFMPLSRYEQTFHLDSREVILVDRERDEELDAIALSAQALVFHLKNLNGLAKDRERVPVDNLQIASLLALFVSDHFGGSDRSGIVERTRKALSGSNYKKPFICTCSTGNGDSVSASNKTLDTVEDIVFSELCERSLRSIKSRRNSIVVPIGTLQFGVCRHRALLMKYLCDRMEPPVPCELVRGYLDFMPHAWNIILVRRGDSWVRMVVDACHPHDIREETDPEYFSRYVLSITDTYPSVEKKLLLERKALLSSVVRFLL >EOY03367 pep chromosome:Theobroma_cacao_20110822:4:13881024:13883727:1 gene:TCM_018359 transcript:EOY03367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase-like protein, putative MTPNSKLCFCFMTNLTDYDYMATCKPLFLILLIQCILLAESCDQDQHNIFDVINFGAIGDGANDDTEAFKHAWDAVCGSSVSSPTFVVPGGKTFVLQPLTFNGQCNSTTFTFQAIISLLPYQFYRVLHLLVRMAAHIINGTIIAPSNPSEWKCNDKNCHQWITFEHFDGLSIQGSGTINGQGTNWWTLSCEDNEELCDKKATGFVIAHSNNVQISELTFEDSPKVHISLERSTLINATRLTIQAPGDSPNTDGIHIQHSTNVSIDQSLIQTGDDCVSIGDGSSYIDISNINCGPGHGISIGSLGRNGHNESVEFVHVRDVSFNRSTNGVRIKTWQGGHGHVRNITFERITSHGARRPIIIDQYYCPHDHCSNETSAVEIDNVAYSQIEGTTDRETAVQLACSESTPCTNIFMKDINLRYEEDEEKTSSYCLNAQGLRNGRVSPNVTCLQQDDNF >EOY03671 pep chromosome:Theobroma_cacao_20110822:4:17734290:17741687:1 gene:TCM_018761 transcript:EOY03671 gene_biotype:protein_coding transcript_biotype:protein_coding description:RIK, putative MTEDGGARVSSNDATVTNDASQTRQRKKRKWDQPAEAMVSAGFAVPFSNLGTLGGIPLPGVAPVTGTILSNPLAASCTAVSPVFQQHAAAVVPKQNQPKIQDELVIAREIVINDAESSVRYKLTKRQTQEEIQRCTGAVVITRGKYRPPNAPPDGEKPLYLHISAGAHLKETAERILAVDRAAAMVEEMLKHGQSSQTGSASFMAAMINSVKVLSTCVYLGFDADPSLNVAARIRGPNDQYINHIMNETGATVILRGHGSGNFESLQGEETPQPLHLFLSSNNPKSLDDAKRLAENLLDTISVEFGASRTSSSKVYGAVPPPQQLLTGVQSSGSETNVNASSAAGLTSMAVTTPAPPVAITTGNSQGMVGGMPNSGPIQANAVGYPQPLVSRGTSYSGYGGIYPQATPLQQVALALRQSSPISSSVVPATSVASMGVPTTSVPSTVSKSNVSSTLQKEQQPPQKRKFQELPAGSKGPARPNQGSNSLIPSKPLGDLGVKNVSTTPSPKKSVHPSSNGMPSPRTFQPPPPKTMLPPPPPPKFTSSMPPGKSHDKNNIFSKDKLDTVPDTLVQLMAYGDEDDDSEESSDESLNRNSNPDAVRKPFWAL >EOY06827 pep chromosome:Theobroma_cacao_20110822:4:33070282:33073322:1 gene:TCM_021437 transcript:EOY06827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactinol synthase 1 MPCVHCRKIPTLVHHLVPTHTSSSLFLLTLSWSVCLAFCLFSSSSNKEMSPTDDTTKRAYVTFLAGSGDYVKGVVGLAKGLRKAESVYPLVVAVLPDVPEEHREILRSQGCVVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYKKMIYLDGDIQVFDNIDHLFDLADGYFYAVMDCFCEKTWSSSPQYKIGYCQQSPEKVQWPVEMGSPPPLYFNAGMFVYEPDLLTYHHLLETLKVTPPTSFAEQDFLNMFFRGIYKPIPPVYNLVLAMLWRHRENVDLDKVKVVHYCAAGAKPWRYTGKEENMERDDIKLLVKKWWDIYEDESLDYKNAMQGEPGKLGSLISTLNEDGVVHQRSAPSAA >EOY05288 pep chromosome:Theobroma_cacao_20110822:4:27897053:27900036:1 gene:TCM_020324 transcript:EOY05288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MRLASCRFGQRYGPFNTVVSFCYVPFVKSHLQGQRVTHERKNRGDQLIYIKLKSKNVKQAQDPRTYSDRMKGIMEKKMNVIVKLAILLTSSFCLYLLIALVKVLYQYWWVPLRIQHLLSSQGIKGPPYRFIHGNNKEVNHFRKEALSKHMALTHDIFPKVLPHVYSWINIYGKNYLFWDGIQAQVAITEPELVKEVLKNSEKAFPKQKPPIYVSRLLGDGLVTTEGEKWAKQRKLANYVFHGESLKNMTPAVIASVERMLEQWKGQEGKEIEVFQEFRLLTSEVISRTAFGSSYLEGKKIFDMLMELSIIVSRSLFKARIPGISKFWKTDDDIESEKLAKGIHDSVMEIVKKREDKVVSGEADSFGSDFLGLLIASYHDLDDKNRLSVEDLVDECKTFYFAGQETTNSLLAWTVLLLAVHRDWQEKARREVIEIFGNQNPHSEGLAKLKTITMIMYETLRLYAPVNGLVRKVGREIQLGKLVLPAGIEFMIPNMALHHDPELWGDDVHLFKPERFAEGIAKATKYNAAAFIPFGLGPRSCVGMSFATTETKTALSMILQRYTIALSPAYVHSPVSNLTLRPQHGIQVILQSLHSDA >EOY05422 pep chromosome:Theobroma_cacao_20110822:4:28544122:28545268:-1 gene:TCM_020432 transcript:EOY05422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive (dirigent-like protein) family protein MFKLQSSLCIALLIATVYMVTCLAAVNPAAATAEEPILEFYMHDILGGSSPTARPITGLLGNIYSGQVPFAKPVGFLPPQGGVAIPNANGAIPTVNGVNGLPLGTGLAGTAFAGDQNQNGNPQIPLGPDGLGLGFGTITVIDDILTASPDLGSQAIGKAQGVYVASSADGTTQMMAFTAMIEGGEYNDNLNFYGVYKIGSTMSHVSVTGGTGKFKNACGLAEVRPLIPPGQHVTDGAETLLRITVHLKY >EOY02531 pep chromosome:Theobroma_cacao_20110822:4:1251333:1254805:1 gene:TCM_016984 transcript:EOY02531 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain transcriptional regulator superfamily protein, putative MARSWLIDSRAIAKKVKNASWSSSIRITDCGSNRECPKCHYCIDNSDVTPQWPGLPAGVKFDPSDAELLEHLAAKCGVGNSKAHLFIDEFIPTLEEDKGICYTHPENLPGANKDGSSVHFFHRTINAYATGQRKRRKIQNQHNSNEEHVRWHKTGKTKPVIENGVQKGWKKIMVLYKSSKRGCKPEKSNWVMHQYHLGAEEEEHDGEYVVSKIFYQQQKQNDKNDDNPMVEEPDTSTIRTSPRTPKTIPPNPPRPWKSMMDDDLDENMQQEAKFDAEASHVPPHAVQCEDGLDYVAWLAGESQAAETSDLNCLDNLLCDEIMDSSSLLKNSGKNQASYTGSVHSINEVAGNNDVSCGISELENLDFDTPPDLPLADLQFGSQESILSWLDRL >EOY04512 pep chromosome:Theobroma_cacao_20110822:4:24745691:24755204:-1 gene:TCM_019755 transcript:EOY04512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATNNMLETRQCGWSLWEDCSSSFNSSIFGEPTEDRDDIHVRNADERTGCSKLGSSIGDFNDFINDCELSNLPLEGKKFTWFGTSAKCNRLDRFLSSAEWFLRFRKLRVITLIPKVPNPTSLKEYRVISLIFSLYKNLAKLLINKLKKVIHIVIVKIKVYLFMAGRSVMVFVAMCSILVLGLNGGSRFMYTSPQSRAEQMQYFHGITLMRLNLTISHLQFVDDIVIFLEPSTNNALNIKRFLRSFELLSSININYGKSYVYPVGVENSVASNMVNIMCYNVGTLPFTYLGRPLKANPRNLATWEPIIAKFISRLAI >EOY03960 pep chromosome:Theobroma_cacao_20110822:4:20730905:20732614:1 gene:TCM_019180 transcript:EOY03960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein MEDLMGLLRIHVQRGVNLAVRDVVSSDPYVVVRMGKQKLKTRVIKKNTNPEWNDDLTLSIADQSLPVKLAVYDKDTFSFDDKMGDAEFEIGPFIEAVKMHLEGLPSGTVIKKIQPSRQNCLSEDSCIIWSNGKVVQNMFLRLRNVESGEVELQLQWIHVPGSRGL >EOY03316 pep chromosome:Theobroma_cacao_20110822:4:12269953:12274717:-1 gene:TCM_018204 transcript:EOY03316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESMIIESMRLTLYLPFADSDWPSVFEVPLQHNMNNSKEKGNDSDSTEEGSMESTARSHFVQGCHTVSKEDYVNEQQGENDVDDLESDQSMSTSSDSDSSE >EOY02562 pep chromosome:Theobroma_cacao_20110822:4:1324371:1326711:-1 gene:TCM_017002 transcript:EOY02562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNALRFLYGKCCKPTTTGDSDSLGPPYTTTASGVSALAHDLFNFEITSQVPEGLSQHVVSSRKAQAKWYGKLLQAWREAKPPPKTPEEVARLIIQTLSRHQKADVEGLLEFYGLPYPSTPVEIFSEGPTKLPEGVEFEMHTLPVDGSTVPDGDGINVYVNTADPRESSSVPRDVLLAAVRRSKARAKKNYARADELRQKIIELGYQVINIQNEEILARKYRIRLRGIDAPENSMPYGKEAKQELVKLVNGKCLRVLVYGEDRYGRCVADVYCNGIFVQEIMLKKGLAWHYAAYDQRIELATWEKEARAKRIGLWASSNPEKPWEWRKDRREGR >EOY04389 pep chromosome:Theobroma_cacao_20110822:4:24146575:24152624:-1 gene:TCM_019658 transcript:EOY04389 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family MIKTMQFRFKHLLLFLFFLVVFLECITADGQNEISQRIKAPHKNVGNNVIDGTGTEKVRNFEENDNAMGGWKGSYNRVSVSTVALFTLAMAAATGLGAVPFFFVELDPQWAGICNGMAAGVMLAASFDLIQEGQEHGAGSWVVIGILAGGIFILLCKKFLEQYGEVSMLDIKGAEATKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFTQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSVITSLPQPIVAVPSFICADAFNKFLPFCTGFAAGCMIWMVVAEVLPDAFKEASPTPVASAATISVAFMEALSALFQNFSHDYNSEDASGFFVSLLFGLGPLLGGLVLVAFALAFRLQHALLMGAASGIAFILGAWRPLQLLLSFKMGFFPLMSLLIVGAAFVHVSSSSILKIVCNKRASSSNLPSVTGSPVSVLTLKSFLACGAVAFHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGATDSWHGSLAAAALIGFVGPISAIAAILAGIDYSGLDHVMVLACGGLLPCFVRIVQRAMRLDMRKSSCGLAVGVGFATLCLTCTKLVCLHTPYCNSAPEANLEKKRYKRRPQWDLQFNMRRWGFLLYTSFSSYYTLLTDKAGSGNACWASLGF >EOY06616 pep chromosome:Theobroma_cacao_20110822:4:32469917:32470869:-1 gene:TCM_021281 transcript:EOY06616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGVFTYESEIVTAIPPAKMFQALVLDGDKLIPKIVPKAIKSVEIIEGDGGPGSIKKLTFGDGSQFKYVKHKVESVDKDNFTYCYTVIEGDALMNAFEKISYETKLEPSPDGGSICKSTSKYYTIGDFEIKEEEIKSGKEKALGLFKAIEAYLLANPDA >EOY06617 pep chromosome:Theobroma_cacao_20110822:4:32469859:32470703:-1 gene:TCM_021281 transcript:EOY06617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGVFTYESEIVTAIPPAKMFQALVLDGDKLIPKIVPKAIKSVEIIEGDGGPGSIKKLTFGDGSQFKYVKHKVESVDKDNFTYCYTVIEGDALMNAFEKISYETKLEPSPDGGSICKSTSKYYTIGDFEIKEEEIKSGKEKALGLFKAIEAYLLANPDA >EOY05994 pep chromosome:Theobroma_cacao_20110822:4:30564682:30566751:1 gene:TCM_020853 transcript:EOY05994 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative MAAEIGKIQESNFDRKKELKAFDDTKTGVKGLADAGLANIPRIFVTEQLRQENNSEPRHGSDFCIPIIDLTGVDEDASLHREIINKVRDACEKWGFFQVVNHGIPLNTLDDMIDGIRRFHEQDPEVKKGIYTRDYTKKVLYNSNPDLHRKKTAANWRDSLTFHMAPKQPDPEELPAVCRDIIFDYTNKVTTLGRTLFKLLSEALGLHPTYLEESGCMEATFCFGHYYPACPEPDLALGTASHADSSFLTVLLQNQIGGLQVLHENQWIDIPSVRGALVVNLGDMLQLISNGKFVSVYHRVLAKAIGPRVSVAYFFRIHLPPANPLRVYGPIKKLLSEENPPIYRDITIKDFVYHYYGEGLDGRRSLEHFRL >EOY02402 pep chromosome:Theobroma_cacao_20110822:4:669927:673862:-1 gene:TCM_016887 transcript:EOY02402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase 1 isoform 2 MIPCAMSTASINGWCLTSTSSALRRDTLRPPTFARLNSSVSPPTLIRNQPVFAAPAPLLVPSNWNEEMGSKSYEEAIEALKKLMSEKGELKASAAAKVNQITAELAKGQSPKYMVVACSDSRVCPSHVLDMQPGEAFVVRNVANMVPPYDQTRYSGIGAAVEYAVLHLKVQEIVVIGHSACGGIKGLMSFPYDGTTSTDFIEDWVKIGMPAKTKVLAENRGEPLGVQCTCCEKEAVNVSLGNLLSYPFVRTGLVNKTLALKGGYYDFIKGTFELWSLQFALSAPLSVKDVATILHWKLY >EOY02403 pep chromosome:Theobroma_cacao_20110822:4:670506:673789:-1 gene:TCM_016887 transcript:EOY02403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase 1 isoform 2 MSTASINGWCLTSTSSALRRDTLRPPTFARLNSSVSPPTLIRNQPVFAAPAPLLVPSNWNEEMGSKSYEEAIEALKKLMSEKGELKASAAAKVNQITAELKTASSDGKPSDASVERLKEGFIYFKREKYEKNPALYAELAKGQSPKYMVVACSDSRVCPSHVLDMQPGEAFVVRNVANMVPPYDQTRYSGIGAAVEYAVLHLKVQEIVVIGHSACGGIKGLMSFPYDGTTSTDFIEDWVKIGMPAKTKVLAENRGEPLGVQCTCCEKEAVNVSLGNLLSYPFVRTGLVNKTLALKGGYYDFIKGTFELWSLQFALSAPLSV >EOY05574 pep chromosome:Theobroma_cacao_20110822:4:29035977:29044996:1 gene:TCM_020543 transcript:EOY05574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase, putative MVLFVLLLLPILLLLLYFIVRPRPLTIPIKNRHVFITGGSIGIGLAIAKQAASEGARISLLARSLDKLEEAKQSIRQAYQVDVAIFAADVRDYDAVQSAIKEAGPIDVLVVNHGVYVIQELENQGLDVVKFMIDVNLTGSFNVIKAALPLMKDRKDKWPASIALMSSMAGQVGIYGYAAYSATKFGLRGLAESLQQEVIEDNIHVSIIFPPVTETPGIARKNMPELSKIIVGSGVMKAEEIGKITVDGIKCGSFSIPCNFLGQTFAIATAGVSPQRSFLMASLEVVFAGLFRFGGLLFQWNLYGIIEKWHAQKKR >EOY03036 pep chromosome:Theobroma_cacao_20110822:4:3766014:3768280:1 gene:TCM_017443 transcript:EOY03036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monooxygenase, putative isoform 2 MKRNVEFRKGIETIVLERSENLRATGAAIIVQPNGWRALDQLGIASKLRQTAVSIQSGRYITVKDGKQKDLPVGYCPPRMQGGVHNIRSLNVLPDSSIAGWKCANGQEVVIGCDGVNSTIANILGLNSTRLFSTSVIRGFTNYETGHEFGSAFLVFSKDDVQLGLLPVTEKLVYWFVTRKQTSQDSKVSKSQTLIKESTVEAMKGFPIHIMEMVKDSDLDSLHLTDLRFLAPWDLLGTNLRRGTVTVAGDAMHAMAPFLAQGGSASLEDAVVLARCLSQNQTMRVDEKQAKTMMDMEAALDQYVKERKMRVFWLSLETFLIGTMLDTSTLLVKCLCIISLMVLFRDKIAHTRYDCGRL >EOY03035 pep chromosome:Theobroma_cacao_20110822:4:3763166:3768250:1 gene:TCM_017443 transcript:EOY03035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monooxygenase, putative isoform 2 MRSCLCSVSSYCHDLVECLFFWYCKGWQCNSCSGCILGGGKGIETIVLERSENLRATGAAIIVQPNGWRALDQLGIASKLRQTAVSIQSGRYITVKDGKQKDLPVGDVGELRCLKRTDLLNALAENLPADTVRLGCKVVSITLDPSTSYPILQLQDGSVLMAKVVIGCDGVNSTIANILGLNSTRLFSTSVIRGFTNYETGHEFGSAFLVFSKDDVQLGLLPVTEKLVYWFVTRKQTSQDSKVSKSQTLIKESTVEAMKGFPIHIMEMVKDSDLDSLHLTDLRFLAPWDLLGTNLRRGTVTVAGDAMHAMAPFLAQGGSASLEDAVVLARCLSQNQTMRVDEKQAKTMMDMEAALDQYVKERKMRVFWLSLETFLIGTMLDTSTLLVKCLCIISLMVLFRDKIAHTRYDCGRL >EOY05712 pep chromosome:Theobroma_cacao_20110822:4:29566364:29570013:1 gene:TCM_020645 transcript:EOY05712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MSALPQPTISLVSPNLKSLPTPKTLLKTLSSSPSLTHLKQIHAQILRSNHSHSHTLILKLLLFSPSLPYSLSIFSHLPHPLPSLSTRFVRHLSRSSRPEFALFVYQRLRNEGIKIDRFTFPPLLKAVARVEGLAEGKEIHGFGFKLGLDSDPFVQTGLVGMYLACGRVLEARSVFDKMSYRDIVAWSIMIDGYCLSGLFDDALELFEEMKRANIEVDKFILSSILSACGRVGNLNHGKAIHDYIIEKILVVDSHLQSALMTMYASCGCMEMAQKLFNQMAPKNLVVSTAMVSGYSRHRRIEDARLIFDQMVEKDLVCWSAMISGYAESDQPQEALRLFNELQSLGMRPDQVTMLSVISACAHLGVLEKAKWIHVYADKNGFGGALPINNALIDMHAKCGSLERARGVFEKMTRRNVISWTSMINAFAIHGDANNALSFFHKMKEAHVEPNGVTFVGVLYACSHAGLVDEGQRIFASMINEHKIAPKHEHYGCMVDLFGRANLLREALEIVETMPLAPNVVIWGSLMAACQIHGETELGEFAAKRLLELEPDHDGALVLLSNIYAKEKKWQDVGELRHLMKERGISKEKGCSRIELNNEVHEFLMADRNHKQADKIYEKLDEVISQLKLVGYFPNTRSVLVDLEEEEKREVVLWHSEKLALCYGLINGEKDSCIRIVKNLRVCEDCHTFMKLVSKLYGREIVVRDRTRFHHYKDGLCSCKDYW >EOY05703 pep chromosome:Theobroma_cacao_20110822:4:29531290:29534498:1 gene:TCM_020636 transcript:EOY05703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MCQIPKKRVYIGRCVRYISATDNYIKQLEQQISLPDGSDGQQQENTFHSEQASAAGHVIPLHGLEQYQAINQSQIDQRSSLSQTNSTGRGGRRKPSVLSEEEKRERKRLNDAKYRYNKRVENEKLKDEINMLRNMLQASEKGKETEALSIHGPVQDFGCDRDLPVVVGNEPSRILEENESPTNPQDASYRDLLVVMHNDVQSQSLEKNQPITYLQNDTWKSLEENQLLTQPQLFAVDYLTFMDKLERDEKSTVSYSDFEILLQGEKQEVGGYRIPLVLQPIFEKIVTSYGDITSNSLLSSFSAENVLLQFLATIQEMGDTTLEQVTEELIFRWRDCIAEAKRIKFNVDFATEHLKKVAKSYLGRKALNDLNNIDKRIEVLETEFNDLQRQKFEKLEACSPYLLAASEDFNRNVGLF >EOY05702 pep chromosome:Theobroma_cacao_20110822:4:29531293:29534498:1 gene:TCM_020636 transcript:EOY05702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MCQIPKKRVYIGRCVRYISATDNYIKQLEQQISLPDGSDGQQQENTFHSEQASAAGHVIPLHGLEQYQAINQSQIDQRSSLSQTNSTGRGGRRKPSVLSEEEKRERKRLNDAKYRYNKRVENEKLKDEINMLRNMLQASEKGKETEALSIHGPVQDFGCDRDLPVVVGNEPSRILEENESPTNPQDASYRDLLVVMHNDVQSQSLEKNQPITYLQNDTWKSLEENQLLTQNDTWKSLEENQLLTQPQLFAVDYLTFMDKLERDEKSTVSYSDFEILLQGEKQEVGGYRIPLVLQPIFEKIVTSYGDITSNSLLSSFSAENVLLQFLATIQEMGDTTLEQVTEELIFRWRDCIAEAKRIKFNVDFATEHLKKVAKSYLGRKALNDLNNIDKRIEVLETEFNDLQRQKFEKLEACSPYLLAASEDFNRNVGLF >EOY04307 pep chromosome:Theobroma_cacao_20110822:4:23642609:23643302:1 gene:TCM_019580 transcript:EOY04307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMSDQLCYNSRKASSSILRPLTVMMPIPRPTRGKSKGKVQIAARGMGSSVTTTLVMSSALTLAAAISMVQSIPAAASSVLFTFNGSV >EOY05996 pep chromosome:Theobroma_cacao_20110822:4:30573350:30575171:1 gene:TCM_020855 transcript:EOY05996 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative MVVTNTATVESNYDRKSELMAIDRSKAGIKGLVDAGLAKLPRVFVDDHLKLKLKSGPTNAIVEIPVINLGGVNNDPTRRAEIIDQIRNACQKWGFFQVVNHGIPVTTLEETLNGIRREIMIGYTNNVMNLGLTLFELLSEGLGLNPNHLKDIGCAEGLHIMGHYYPACPEPELTMGTGIHTASGFLTVLLQDQVGGLQVLHDNQWIDVTPIPGALIINLGDLLQLITNDKFISVYHRVLAKNVGPRISIATFFRTYIQPDNALRMYGPIKELLSEENPPLYKETNVVDYFKFKHVKGVEGTSALAHFKL >EOY03950 pep chromosome:Theobroma_cacao_20110822:4:20616526:20624664:-1 gene:TCM_019163 transcript:EOY03950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, Ty1-copia subclass, putative MDVKAAFLNRNLVEVVYLLQPKGFKEEGKDHLMCRLKKFVYKFKQVSHQWYLKFDEAVTSLVSVENKVDKCIYFKISGSKFIFLILYIDDILLVSSDLRMLQETKKLLTKQFDMKDFGEASYVLGIAIHRDRSRHLLGLSQSAYMDRVLKRFFMLDYKNGAVPIVKGDKLSLVQCPKNDVERESMKAIPYASAIGSLMYAQVCTR >EOY02384 pep chromosome:Theobroma_cacao_20110822:4:624715:626543:1 gene:TCM_016877 transcript:EOY02384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, zinc-binding dehydrogenase family protein, putative MENDAGAASVPSTMKAWVYAQHGKPADVLKLKSDVAVPQVKEDQVLVKVAAAGLNPPDNKRMIGIFIHAECPFPTIPGYDVAGVVVKVGSQVKNLKVGDEVYGNVHEKALDHPKQYGTLAEYTAVEERLLALKPKNVSFPEAAALPVGTGTAYEGLQRCQFSAGQSILVLGGAGGVGSMVVQLAKHVFGASRVAATASTGKLELLKSLGADLAIDYTEENFEYLPEKFDVVYDCVGQCERTVKAMKGGGRVVTVSGAVTVPAFKFIVSSNGDDLEKLNPYLESGKVKAVIDPKGIYPFSQTLEGLAHVDTGRVAGKWSHIQSKKRIDYVNLSFAFFYISCCSLYNSNKCE >EOY05825 pep chromosome:Theobroma_cacao_20110822:4:29917136:29920407:1 gene:TCM_020726 transcript:EOY05825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGHVEKVLKKKSFATNKALQIAETVVNHEDSLFMALENSVNDVDNHEWLLDSGISNHVTPTEAIFVNLDKYYQLKLEGFEVKDEPDKVYKLHKALYGLKQAPQSWYKLIDAYLISKGFTKSKNEATLYTLKSKSQSPLFVSLYVDDLIIIGGDDITLQLFKKQMQSEFEMSDLGLMSFFLGLEIKQSTLGLLLSQ >EOY04332 pep chromosome:Theobroma_cacao_20110822:4:23927140:23961135:-1 gene:TCM_019615 transcript:EOY04332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta type 2 MATQSLFLANPPSILLASSSIYIKGEEQWLGCLPLKRKQMHIRLSNGYRVRARSALNADSTAIEIPRQWYNLIADLSVKPPPPLHPKTCEPVKPEDLSPLFPDELIKQEATNERFIDIPDEVLDIYRLWRPTPLIRAKRLEKLLNTPARIYYKYEGVSPAGSHKPNTAVPQVFYNAQQGIKNVVTETGAGQWGSSLAFACSLFGLGCEVWQVRASYDQKPYRKLMMQTWGAKVHPSPSDITEAGRKILQMDPSSPGSLGIAISEAVEVAATNDDTKYCLGSVLNHVLLHQTVIGEECIRQMEAIGETPDLIIGCTGGGSNFAGLSFPFIREKLSGKINPVIRAVEPTACPSLTKGVYAYDFGDTAGMTPLMKMHTLGHDFIPDPIHAGGLRYHGMAPLISHVYELGFVEAVSIPQNECFQGAIQFARSEGLIPAPEPTHAIAATIREALRCRATGEPKVILMAMCGHGHFDLTSYEKYLQGDMVDLSFEEEKIKESLAKIPRVTGMS >EOY06393 pep chromosome:Theobroma_cacao_20110822:4:31772028:31788207:1 gene:TCM_021127 transcript:EOY06393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall associated kinase-like 6, putative MREKMVVRFVSSFTFLLLLTIRLAFSASIAKNGCKDRCGNVSIPYPFGIGAKCSLNPWFEVSCNDTSSPPTISLTSIKMELLEFRLGRDEYLRVKSPIISKNCSGRETSRRVNITGSPFCFSESMNKFIAAGCNNEAFMTGIEPTIVGCESACIGNRLFGPNPNATCDGSTCCETVIPSRLHVFNATFQSKESESEGCKLAFLVEEEWFYNNITDKSSAFQNVDYVPALLDWAIPDEAFELPTKRGRDYYCNGHRYMDTEPYYLNSSRCYCYGGYEGNAYLPNGCQDIDECLDGPHKRCGNATCVNRPGHYECERKKTWIIIFGISLGFGVLCLAIAGWWLYKFLKKRRNIKLKKKFFKRNGGLLLQQQMSSSESSIEKTKIFTSKELDKATDYFNKNRVLGQGGQGTVYKGMLVDGRIVAVKKSKVVDAEKVEEFINEVVILSQINHRNVVKLLGCCLETEVPLLVYEFIPNGTLFQYLHDQSEEFPLSWDTRLRIAKEIAEALSYLHSAASIPIYHRDIKSSNILLDEKYRAKVSDFGTSRSISIDQTHLTTHVQGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTSEKPISLERAEEGRSLATHFILSVEENQLFDIVDARVTKQAKDGEVVMVAKLAYRCLSLCGRKRPTMKEVAMELERILSLQNDSNVQHDQEEIEYVRIDVTCPRDGVSTSTEAGGEQPTNAEKLKIYFPIVLEKVRQQYRCGYACGVLTLPLYYDYYYSNQSKSSFI >EOY06579 pep chromosome:Theobroma_cacao_20110822:4:32360046:32361154:1 gene:TCM_021255 transcript:EOY06579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASRVAVHNQNDRLAKAGSEAFAMIDELYGLPQGRRAAGRAAPHQYPNYQHPYKGYGSQDCYMREPIITSVEAARMYGGAIIGREQAPAGTVAPANKFEYFLSEPQAGTAISSSEAAELYGGLLFMDYGYRSKYSRTAVPANKVDCDEGNLDIKHSTHQVQKPSLKPATVISTNSKSSSCSEGTHKPKGKP >EOY02854 pep chromosome:Theobroma_cacao_20110822:4:2664741:2667900:-1 gene:TCM_017263 transcript:EOY02854 gene_biotype:protein_coding transcript_biotype:protein_coding description:GYF domain-containing-like protein isoform 1 MQGTLGNPASHLSIANEFSKANVPSHRDDELHPFGLLMSELKGTHSKHSQSSNMASSIGDKGQFLDPSLDIEATFSGQSVVGTVAEQTSFPEAWSDDYRRNALSNSNIHLGTTGARLSSQREQEYNGFDLVQHLMSQKLPNEPLQEQNRFSPHTFSHSAGFGVEQIQNFDLMQSKNLNLQQSIHHSAPHIEHLLELQYQQQRQLELQRQQQQQQLEIQRQQQQQQQLEIQRQQQQQQLELQRQQQLRHQQMKLLQQQQQQQQLQQQHSQAQQLLLDQLLQHQMSDPGYGQPKFDAARDNVLDQVHLQMHLLNELQQNSHASRHLDPSLEQIIQAKINLSALQGQQADFLDFMSQTKYGNMLPSEHQLRLQQEQLQVQQLSMALRQQLGMEGERRSAGSWSVDEAGQFVRNISHHQAQSVGLNASDIYQQRHSSLEEQFSNLRRNHSLQEQQQRGIFDPNHAAFDRLTLPAVAPGMKVDNVNSLDLAEHLFMRSNNQLGPFSSGISLSQQISGDVYASHPDAIESLHSRKNGQLENSWTEKQRQQLQLEAELQRRESEVDSSAWASAGGVHENSKKALMDILHQKLGIQSVQSSEVDYQHPISSSRGRETFWPVSEPQTSNFPFNHFPKQEVHVNDSFMEGSRNSNSSALLQDHLFGVAVSDCVNHMGNSERLALKAGSGSFAEEQSFLLGIEDPSRSSYADASLMGKSAANKELAVLEGKKERKKGLKGMIARSGSVSGSEDNMAEQVETLDCGDLQSGIQSRHDSLSTGCYRCQKGLTKFHRNAHLCHGFHHPRMFFLIKAQWHLSSRKILQALQLLMKEDRKLWGIQVQ >EOY02853 pep chromosome:Theobroma_cacao_20110822:4:2664179:2671990:-1 gene:TCM_017263 transcript:EOY02853 gene_biotype:protein_coding transcript_biotype:protein_coding description:GYF domain-containing-like protein isoform 1 MADGKFELPDDLLASKTASDHSSLKGEAWDGNLEEKGLTGLLDDIKDQANSESSIPLSPQWLYAKPADAKMLTAGASGDIRVPNSLPHGTSGDPNLKDSWRLDGSQDKKDWRRPAPDLESSRRWREEERETSLLGRRDRRKEDRRADVTSTRDVPENRTLSSSERWHDGSSRSSGHESRRDSKWSSRWGPEDKEKDSRTEKRTDAEKEDAPNDKQAFVSGSRIASERENDSRDKWRPRHRLEVHAGGSASYRSAPGFGPERGRVEGSNVRFAAGRGRSNANGSLQIGRPASASVIGSLPVDRHKTSNAYCYPRGKVLDIYRKQKTGPNFDILPDEMDHLSPITQKETVEPLAFVPPDAEEEVVLGDIWKGKTTSSGVFYNSFRDTSRGSNDSIAGEGKQSSSVNREDNVESGEKAAVNNYYQGNHAETFDVSDSQMIITKERNSSKEGEQRCLTSSDIDVTNALMSDGEIGGSRNDVYEIKSFDSQQAADLKVQKHPKWEDNESSMQFEVGNELPEDSSSLFDFPSLQPTPGSKQINLRGNNEGQSLESVTLPEDLSLCYLDPQGVIQGPYLGIDIITWFEQGYFSTDLPVRLADAPDGSPFQELGDIMPHLRMNSGSASSVNAVTRMQIPDSVECNLEETISSSASAPDLKGSAMGNKHQILSAFETSDTNFQFSGPNRSCHSEHWFSEDQSFHKFAAQEEEIIFPGSANGDRLKVSGDMQGTLGNPASHLSIANEFSKANVPSHRDDELHPFGLLMSELKGTHSKHSQSSNMASSIGDKGQFLDPSLDIEATFSGQSVVGTVAEQTSFPEAWSDDYRRNALSNSNIHLGTTGARLSSQREQEYNGFDLVQHLMSQKLPNEPLQEQNRFSPHTFSHSAGFGVEQIQNFDLMQSKNLNLQQSIHHSAPHIEHLLELQYQQQRQLELQRQQQQQQLEIQRQQQQQQQLEIQRQQQQQQLELQRQQQLRHQQMKLLQQQQQQQQLQQQHSQAQQLLLDQLLQHQMSDPGYGQPKFDAARDNVLDQVHLQMHLLNELQQNSHASRHLDPSLEQIIQAKINLSALQGQQADFLDFMSQTKYGNMLPSEHQLRLQQEQLQVQQLSMALRQQLGMEGERRSAGSWSVDEAGQFVRNISHHQAQSVGLNASDIYQQRHSSLEEQFSNLRRNHSLQEQQQRGIFDPNHAAFDRLTLPAVAPGMKVDNVNSLDLAEHLFMRSNNQLGPFSSGISLSQQISGDVYASHPDAIESLHSRKNGQLENSWTEKQRQQLQLEAELQRRESEVDSSAWASAGGVHENSKKALMDILHQKLGIQSVQSSEVDYQHPISSSRGRETFWPVSEPQTSNFPFNHFPKQEVHVNDSFMEGSRNSNSSALLQDHLFGVAVSDCVNHMGNSERLALKAGSGSFAEEQSFLLGIEDPSRSSYADASLMGKSAANKELAVLEGKKERKKGLKGMIARSGSVSGSEDNMAEQVETLDCGDLQSGIQSRHDSLSTGGNGRLYSYEIGLDTSVGEDASNDRLLSLPKGLDKVSQKCPPVSRVSSSQDVFSDQSSVAFVKQKNSTSLATSDEGRQEAVGNTGAVRIAETQASVKKDVRFRRTSSCSDAAVSEASFIDVLKKPVLHGTEAATNGAALEPSDGVSQAGRSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRLDD >EOY02855 pep chromosome:Theobroma_cacao_20110822:4:2664197:2671909:-1 gene:TCM_017263 transcript:EOY02855 gene_biotype:protein_coding transcript_biotype:protein_coding description:GYF domain-containing-like protein isoform 1 MADGKFELPDDLLASKTASDHSSLKGEAWDGNLEEKGLTGLLDDIKDQANSESSIPLSPQWLYAKPADAKMLTAGASGDIRVPNSLPHGTSGDPNLKDSWRLDGSQDKKDWRRPAPDLESSRRWREEERETSLLGRRDRRKEDRRADVTSTRDVPENRTLSSSERWHDGSSRSSGHESRRDSKWSSRWGPEDKEKDSRTEKRTDAEKEDAPNDKQAFVSGSRIASERENDSRDKWRPRHRLEVHAGGSASYRSAPGFGPERGRVEGSNVRFAAGRGRSNANGSLQIGRPASASVIGSLPVDRHKTSNAYCYPRGKVLDIYRKQKTGPNFDILPDEMDHLSPITQKETVEPLAFVPPDAEEEVVLGDIWKGKTTSSGVFYNSFRDTSRGSNDSIAGEGKQSSSVNREDNVESGEKAAVNNYYQGNHAETFDVSDSQMIITKERNSSKEGEQRCLTSSDIDVTNALMSDGEIGGSRNDVYEIKSFDSQQAADLKVQKHPKWEDNESSMQFEVGNELPEDSSSLFDFPSLQPTPGSKQINLRGNNEGQSLESVTLPEDLSLCYLDPQGVIQGPYLGIDIITWFEQGYFSTDLPVRLADAPDGSPFQELGDIMPHLRMNSGSASSVNAVTRMQIPDSVECNLEETISSSASAPDLKGSAMGNKHQILSAFETSDTNFQFSGPNRSCHSEHWFSEDQSFHKFAAQEEEIIFPGSANGDRLKVSGDMQGTLGNPASHLSIANEFSKANVPSHRDDELHPFGLLMSELKGTHSKHSQSSNMASSIGDKGQFLDPSLDIEATFSGQSVVGTVAEQTSFPEAWSDDYRRNALSNSNIHLGTTGARLSSQREQEYNGFDLVQHLMSQKLPNEPLQEQNRFSPHTFSHSAGFGVEQIQNFDLMQSKNLNLQQSIHHSAPHIEHLLELQYQQQRQLELQRQQQQQQLEIQRQQQQQQQLEIQRQQQQQQLELQRQQQLRHQQMKLLQQQQQQQQLQQQHSQAQQLLLDQLLQHQMSDPGYGQPKFDAARDNVLDQVHLQMHLLNELQQNSHASRHLDPSLEQIIQAKINLSALQGQQADFLDFMSQTKYGNMLPSEHQLRLQQEQLQVQQLSMALRQQLGMEGERRSAGSWSVDEAGQFVRNISHHQAQSVGLNASDIYQQRHSSLEEQFSNLRRNHSLQEQQQRGIFDPNHAAFDRLTLPAVAPGMKVDNVNSLDLAEHLFMRSNNQLGPFSSGISLSQQISGDVYASHPDAIESLHSRKNGQLENSWTEKQRQQLQLEAELQRRESEVDSSAWASAGGVHENSKKALMDILHQKLGIQSVQSSEVDYQHPISSSRGRETFWPVSEPQTSNFPFNHFPKQEVHVNDSFMEGSRNSNSSALLQDHLFGVAVSDCVNHMGNSERLALKAGSGSFAEEQSFLLGIEDPSRSSYADASLMGKSAANKELAVLEGKKERKKGLKGMIARSGSVSGSEDNMAEQVETLDCGDLQSGIQSRHDSLSTGCYRCQKGLTKFHRNAHLCHGFHHPRMFFLIKAQWHLSSRKILQALQLLMKEDRKLWGIQVQ >EOY02653 pep chromosome:Theobroma_cacao_20110822:4:1677804:1679930:1 gene:TCM_017069 transcript:EOY02653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein, putative MVRAEPKQTPQDSKQAIPIENSQKVDTRFSPRFKSVAAMAGWDEEALLVASLIVEDTPDRQSKHKKRSDLVFKTPPSTTSRRKRRAQRRSPVSTPIAPLNLDEEGTSKQESGKQSMEQRINVNEEKKSEVNEPTQQAPGVSCSNPAPQCMDKLRDELSCAICLEICFEPSTTPCGHSFCKKCLRSAADKCGKKCPKCRQLISNGRSCTVNTVLWNTIQLLFPQEVEARKAAGALNSRKVQRQSPESGSRSTRRTRSTTDASMRRRGVMSQDEDAALALRLQREDLSRLVSTRRRREMPSQDVDAALALRLQREEFMESFRGTHQQSRTPLSLARANLRAMASRAINLRIRDRPV >EOY06468 pep chromosome:Theobroma_cacao_20110822:4:32006409:32007975:-1 gene:TCM_046783 transcript:EOY06468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative MFYFLCKTVLHGRMHSVTTLRNYPPLMLSLRCISASSDQKSFTVSYLIETCGFSKESALTAAKHVYFRTPENPDSVIAFFKKQGFSKTQITTVIKKRPQVLICKVEKTLLPKIEFFRFKGVSSSDLVRIFTAYSTILVTSLEKQIIPAFNFISNLLESDEDTVYAIKRLPRLISYDVESYVLPNINVLRNIGVPQSYIILMFRMQPQTLLRSPVKFMESVETVKKMGFNPMNKSYLAALFVYTSMSKSTWEKKFDTYRMWGWSEEEIFKAFRNQPNCMVTSKEKIMTMMHFLVNKMSFKSSAIAKHPRILMSSLEKKILPRGLFAQDLLLSKGFVKNFNLRSLFLSSEKVFLNKFINCYGAEAPKLLKLYQEKLDLSSKWKSDMKASTFVKSGH >EOY05462 pep chromosome:Theobroma_cacao_20110822:4:28644092:28646043:-1 gene:TCM_020456 transcript:EOY05462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase, putative MAVVKLFGAWTSPYFYRVIWALKLKGIPYEYIEEDLLNKSPLLLQYNPVHKKIPVLVHDGKPICESTIILQYIEEIWPQPSLLPSDPYERAMARFWIKFADDKLILLALSLSISKMYRASGEEQEKAVKDILEMLETIEDHGLIGGKKFFGETRLAWWTWHLVQLLTGWDSPRKESTGQGRRWKETSELPREHLVLPRWGARCFLRKLRSGSPFGEHQVLPWDPRCPLKELQIELPKGAPIYHMGSFFFN >EOY05504 pep chromosome:Theobroma_cacao_20110822:4:28810706:28812448:-1 gene:TCM_020490 transcript:EOY05504 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing-like protein MENTSGSLLLLPDEIVYDILPRLPAKSLQRFKLVSKPWGSLISDPNFAESHLHRANTSHDKNMLRVGQLDLTMGSHPYFSFYSMDFDGSNRDVVTLDYDESNRDVVTLDYVFRNYHAQILGSCNDESRNYSEFISYFRMTYVIVCFDLKNEKFKEVELPEWATDEMKFCLGVLGGCLSISLDPQESFTEVWAMKEYGIPELD >EOY06688 pep chromosome:Theobroma_cacao_20110822:4:32670128:32671882:1 gene:TCM_021339 transcript:EOY06688 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerases M/15 Kd subunit MSTMKFCRECNNILYPKEDREQKILLYACRNCDHQEVAENNCVYRNEVHHSAGERTQILQDVAADPTLPRTKSVICANCKHGEAVFFQATARGEEGMTLFFVCCNPNCGHRWRD >EOY02683 pep chromosome:Theobroma_cacao_20110822:4:1759001:1775895:1 gene:TCM_017089 transcript:EOY02683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine histidine transporter 1 isoform 1 MGTQAPSDKDNARNDSHYLELCILSETDKRDLFLCLSQFEEKLARQKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLGLPYAMSELGWGPGVVVLVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLIVEVGVCIVYMVTGGKSLKKFHDTVCSTCQSIKLTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGVQPNVQYGYKAKTAAGTVFDFFGALGEVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVVVAYIVVALCYFPVALIGYWMFGNAVEDNILMSLEKPAWLIAMANMFVVIHVIGSYQIYAMPVFDMMETVLVKKLNFKPTRTLRFIVRNLYVAFTMFVGITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRKFGLSWWTNWICIVLGVVLMVVSPIGGLRQIIIQAKNYEFYS >EOY02684 pep chromosome:Theobroma_cacao_20110822:4:1771610:1775258:1 gene:TCM_017089 transcript:EOY02684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine histidine transporter 1 isoform 1 MVGAGVLGLPYAMSELGWGPGVVVLVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLIVEVGVCIVYMVTGGKSLKKFHDTVCSTCQSIKLTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGVQPNVQYGYKAKTAAGTVFDFFGALGEVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVVVAYIVVALCYFPVALIGYWMFGNAVEDNILMSLEKPAWLIAMANMFVVIHVIGSYQIYAMPVFDMMETVLVKKLNFKPTRTLRFIVRNLYVAFTMFVGITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRKFGLSWWTNWICIVLGVVLMVVSPIGGLRQIIIQAKNYEFYS >EOY06800 pep chromosome:Theobroma_cacao_20110822:4:32990288:32991096:1 gene:TCM_021424 transcript:EOY06800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT5G49600) TAIR;Acc:AT5G49600] MCRVTQETKPKAEVYYGDETCREKFTSLLEAKGLPNGLLTLQDIQECGYVKDTGFVWLRHKKKTDSYKFKDVVISYDNEITAYFEPNKIKNLTGVKAKEFMIWVTLTEIYVEESHPSASITFKTQVGLSRSFPVSMFKVQTVMFPEEAEEEEGKKGNCARKKLYLHV >EOY06759 pep chromosome:Theobroma_cacao_20110822:4:32856501:32860661:-1 gene:TCM_021391 transcript:EOY06759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNLAMILFALVLLLATLGADGKRMTLEDNKRKLLSDQTSTLCRKPHVGAKDEKDPAANNKGAATAGTVENNGVVGLVDALQEQDLSDALTTDNYNNKIVAYPCPSQPSPNKKDPCKPGN >EOY02347 pep chromosome:Theobroma_cacao_20110822:4:504519:506873:-1 gene:TCM_016856 transcript:EOY02347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKRFKTNQILIKLDWVSSFISLNSVPKPKFAAGFSFEYQKCAVFNNPMVSGMSSVIPGQFSKISPLWPDLDGICFLSDMHFSPSFSEVYVAVRYEDLHVNLHIADGVEFLEAAPWGSYYAPIVDSSDPTTKAICPGGVVCTQAESLWLHMHIIGRRQIFKGSVNICISKIHSAAFCLPSSAEKVLGPKLT >EOY03253 pep chromosome:Theobroma_cacao_20110822:4:9273981:9287015:1 gene:TCM_017969 transcript:EOY03253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFHPWMIPSDDLMDGGFVPLVIPTYCAMMIYDAYKDGDKQGWGFYLTCSVMMPSVEVILDDDSRLRHLSWQQGMT >EOY05835 pep chromosome:Theobroma_cacao_20110822:4:29955942:29956927:1 gene:TCM_020732 transcript:EOY05835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGGILSLYNLMGRFQRPWPLTKIHEQYDKQYGSCSATLPTKSSAGDLTLNRDASSS >EOY03291 pep chromosome:Theobroma_cacao_20110822:4:11054435:11061889:-1 gene:TCM_018125 transcript:EOY03291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MKGSFSSRLKILFSFVLAWIFLPGFVLSAVTEDDMKCLEGVKNSLKDPDRKLSSWTFNNNSVGFICKFVGVTCWNERENRLLSLQLRDMKLSGQLPESLEYCQSLQTLDLSANKLSGTIPPQICTWLPYLVTLDLSSNDLSGSIPPELSKCAYLNYLTLSNNRLSGSIPNQLSALGRLKKFSVANNDLTGAIPSSFENHDKADFAGNSGLCGGNLGKCGGLSKKNLAIIIAAGVFGAAASMLLGFGVWWWYHLRSMRRRKKGYFGRGDDSGWAERLRAYKLTQVSLFQKPLVKVKLADLMAATNNFNAENIIISTRTGTTYKAVLPDGSALAIKRLTTCKLGEKQFRWEMNRLGQLRHPNLAPLLGFCIVEEEKLLVYKHMSNGTLYSLLHGSVAAIDWPTRFRIGLGAARGLAWLHHGCQPPFLQQNICSNVIFVDEDFDARIMDFGLAGLMTSSDVNETSFENGDLGEFGYIAPEYSSTMVTTLKGDVYGFGVVLLELVTRQKPLEINAGEEGYKGNLVDWVNHLSSSGRIKDAIDNSLRGKGHDEEILQFLKIACNCVVARPKDRWSMYQVYQSLKSMAEELGFSEQFDDFPLIFSKQDNESM >EOY04411 pep chromosome:Theobroma_cacao_20110822:4:24233307:24237630:1 gene:TCM_019672 transcript:EOY04411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MMNRNLRESLVGGGRNNINVLAASHHHRRGQSLTGGLFPRDSDENLDLFSKNRRSLSVASSDESSDVKLGRLSLGSARVGKGGLDDLLSSTDGGKHDYDWLLTPPGTPLFPSSEGSESQSTSLAPRSNSKVRSVSTTKTSRLSVSQSESNHSTRPTRSSSVTRPSLSSSYSTYSSNRGPSILNTSSVSVSSYTRPSSPITRSRPSTPSARSTPSRASTPSKVRPSSTSSYIDKSRPSQSSRPSTPSSRPQIPANLNSTAVRSNSRPSTPTRRNPIPSLSSAAAGASPSAGRTLSNGRSAAPASRPSSPGPRVRPPQQPVVPPDFPLDTPPNLRTTLPDRPVSAGRSRPGVSVGMKANQDTTSSVNMPRRHSSPIVTRGRLTEPPGRTRVHSNGHASDIHESRKTSHVNDSAMRKPVKSSTTTADSAGFGRTISKKSLDMAIRHMDIRNGTGSIRSLSGTTLFPQSIRSATTRTQSLRSFSTSDSVNSNGSPGSLQNGDFSENGNSISRPVQNGSDSHDGRYSAKFSEVDIYESSRYDAILLKEDLKNTNWLHSIDDKSDPGSIFENGFEPLPEPFGPL >EOY04410 pep chromosome:Theobroma_cacao_20110822:4:24233708:24237630:1 gene:TCM_019672 transcript:EOY04410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 ASSDESSDVAVKLGRLSLGSARVGKGGLDDLLSSTDGGKHDYDWLLTPPGTPLFPSSEGSESQSTSLAPRSNSKVRSVSTTKTSRLSVSQSESNHSTRPTRSSSVTRPSLSSSYSTYSSNRGPSILNTSSVSVSSYTRPSSPITRSRPSTPSARSTPSRASTPSKVRPSSTSSYIDKSRPSQSSRPSTPSSRPQIPANLNSTAVRSNSRPSTPTRRNPIPSLSSAAAGASPSAGRTLSNGRSAAPASRPSSPGPRVRPPQQPVVPPDFPLDTPPNLRTTLPDRPVSAGRSRPGVSVGMKANQDTTSSVNMPRRHSSPIVTRGRLTEPPGRTRVHSNGHASDIHESRKTSHVNDSAMRKPVKSSTTTADSAGFGRTISKKSLDMAIRHMDIRNGTGSIRSLSGTTLFPQSIRSATTRTQSLRSFSTSDSVNSNGSPGSLQNGDFSENGNSISRPVQNGSDSHDGRYSAKFSEVDIYESSRYDAILLKEDLKNTNWLHSIDDKSDPGSIFENGFEPLPEPFGPL >EOY04409 pep chromosome:Theobroma_cacao_20110822:4:24233096:24237725:1 gene:TCM_019672 transcript:EOY04409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MMNRNLRESLVGGGRNNINVLAASHHHRRGQSLTGGLFPRDSDENLDLFSKNRRSLSVASSDESSDVAVKLGRLSLGSARVGKGGLDDLLSSTDGGKHDYDCYDVMDHSTRHSPFAPMPNVSKDYHFEAESVFGNLRSSQCVITTYVIRLLTPPGTPLFPSSEGSESQSTSLAPRSNSKVRSVSTTKTSRLSVSQSESNHSTRPTRSSSVTRPSLSSSYSTYSSNRGPSILNTSSVSVSSYTRPSSPITRSRPSTPSARSTPSRASTPSKVRPSSTSSYIDKSRPSQSSRPSTPSSRPQIPANLNSTAVRSNSRPSTPTRRNPIPSLSSAAAGASPSAGRTLSNGRSAAPASRPSSPGPRVRPPQQPVVPPDFPLDTPPNLRTTLPDRPVSAGRSRPGVSVGMKANQDTTSSVNMPRRHSSPIVTRGRLTEPPGRTRVHSNGHASDIHESRKTSHVNDSAMRKPVKSSTTTADSAGFGRTISKKSLDMAIRHMSLELQWHDQWLELVVIFYVEAYAVDIRNGTGSIRSLSGTTLFPQSIRSATTRTQSLRSFSTSDSVNSNGSPGSLQNGDFSENGNSISRPVQNGSDSHDGRYSAKFSEVDIYESSRYDAILLKEDLKNTNWLHSIDDKSDPGSIFENGFEPLPEPFGPL >EOY04412 pep chromosome:Theobroma_cacao_20110822:4:24233116:24237630:1 gene:TCM_019672 transcript:EOY04412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MNPRTLSVSQSESNHSTRPTRSSSVTRPSLSSSYSTYSSNRGPSILNTSSVSVSSYTRPSSPITRSRPSTPSARSTPSRASTPSKVRPSSTSSYIDKSRPSQSSRPSTPSSRPQIPANLNSTAVRSNSRPSTPTRRNPIPSLSSAAAGASPSAGRTLSNGRSAAPASRPSSPGPRVRPPQQPVVPPDFPLDTPPNLRTTLPDRPVSAGRSRPGVSVGMKANQDTTSSVNMPRRHSSPIVTRGRLTEPPGRTRVHSNGHASDIHESRKTSHVNDSAMRKPVKSSTTTADSAGFGRTISKKSLDMAIRHMDIRNGTGSIRSLSGTTLFPQSIRSATTRTQSLRSFSTSDSVNSNGSPGSLQNGDFSENGNSISRPVQNGSDSHDGRYSAKFSEVDIYESSRYDAILLKEDLKNTNWLHSIDDKSDPGSIFENGFEPLPEPFGPL >EOY03639 pep chromosome:Theobroma_cacao_20110822:4:17513640:17516240:-1 gene:TCM_018727 transcript:EOY03639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDLKCTNLVKLSILYCVKVVSPPIKARKLHPRTNRQSASKVCILDIVQYRL >EOY05324 pep chromosome:Theobroma_cacao_20110822:4:28085969:28087440:-1 gene:TCM_020348 transcript:EOY05324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDIDPFWITGGHWFICHSNFMATANKSSICETSTKSHVLLLLFLVLLLISPLFARPAYMSKVPICSSYRQLLSSSTTNLHPFSTSSTPAATSTTSSTTSSSTATTDRQFKAAAHEVPSGPNPESNNFQYGIFV >EOY03010 pep chromosome:Theobroma_cacao_20110822:4:3629808:3636139:-1 gene:TCM_017422 transcript:EOY03010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKICFTPKVRYGEPGRAALGKKKKTIAVIFLSKEMRVGRTDEIPLVPENWDNGVIRERGEDCFREVVRSKQVDKHPCGEFPFSITKFGSNSLWVQGSDVWVEKVLKYPTYVTALNNARVLDVVRVSTKLHIHRKSRIEVWRAILARYVLLGCPYDGISSSVILYEITIVKGMSFPFASIILGSLYKSCGPTPKVNQHFVSSFTSKAFRDNYRAWAWHGQTSRDNILNVLDEEWTSFVVPDPKVQLHVPSINNNDMSLRLICFKRNKPLVRKSTSSAEMEEQDISKFKSEEESCDHTNDYELNDELVKERLPRHEYLSLGGGVDGIAIQEGLTDMIDFLLFTMQNVSGDDVNVTTRVYGDNVNATTRVSGDDVNAITEVGQSVLWLGFGTP >EOY02896 pep chromosome:Theobroma_cacao_20110822:4:2850395:2858316:-1 gene:TCM_017293 transcript:EOY02896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein, putative MAAIGASAYLAAGLSPFSQWRTTLYGAIQKRRGIFLAVSTERIDGATSFGENARFENKKKKAMKEETEVKPNIYANPEELPKVEEGKKGLKDYFEECKELVRSDGGPPRWFSPLECSSSSPDCPLLLFLPGIDGTGLGLVMHHHKLGKMFNVWCLHIPVKDRTPFTELVKLVERTVRSENYRSPNSPIYLVGESIGACLAIAVAARNPEMDLVLVLSNPATSFSKSQLQPLIPLLEIMPDQFPLNLPYMLSLATGDPLRMLMDNFVKRGPLPQTIGELSRDLVTMSSYLPVLADILPRETLRWKLDFLKSGSACANSCLHAVKAQMLILCSGRDQLLPSQEESQRFQKATPDCEIRMFEESGHFLFLEDSVDLVTIIKGATFYRRGKHLDRVSDYMPPTPSEFKRIYESFKWVVTATGPVMLSTLEDGKVVRGLAGIPSEGPVLFVGYHMLLGIEIIPFVVQLLTDRNILVRGIAHPALFVRVKDRRAPEPELSNFDVLRVMGAVPVSPANFYKLMSSKSHALLYPGGVREALHRKGEEYKLFWPEQSEFVRMAARFGAKIIPFGVVGEDDIAEIILDYNDQMKIPWRREEIERVTNRSIKLRTDATGEVSNQQMHLPWMLPKFPGRFYYYFGKPIETEAMKVELRDKDKSRELYLHIKSEVERCLAYLKEKREKDPYRNLLSRLVYQATHGSTSASQIPTFEL >EOY04579 pep chromosome:Theobroma_cacao_20110822:4:25091673:25092549:1 gene:TCM_019807 transcript:EOY04579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPGIHIFNDRTMESISVKPVGIGDKRVYANPLRNPISSLSQWNALKAEENGDEDDIESYQRRFPTEGCRRLNPLSNPVADFHEWRALMAKDTKPPTEPLLQGCSLDSNPSFKEFHQEVPVDASLSCWLSSSQTTPTK >EOY02488 pep chromosome:Theobroma_cacao_20110822:4:1051530:1052927:-1 gene:TCM_016951 transcript:EOY02488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKTWLEEEIEGEKGLGGWGIFGVWDDLKDPICLSLTYKGEARERKLGKKARKKLMVNRAGTMGPQKVDYHANPFPFSIHFTCIHLSFSRGSGRATTQPPKGKEEKGRIKLTQSTQMFWRLGKILID >EOY06436 pep chromosome:Theobroma_cacao_20110822:4:31914608:31920477:-1 gene:TCM_021157 transcript:EOY06436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-containing protein isoform 1 MDVPKEQITALLDNALFSSAQLLGSFLVSSSTVNAETSPHLKAENLILLGDALFREREYRRAIHAYKQALQYYKIIPKQNSTTSRSSLTASNRSSSPNSFNISAINENEVKFKIASCHFALTETKAALVEMEGIPSKARNLQMSLLMAKLYRNSKQNRGAVTCYRECLRQCPFVIEAIIALAELGASAKDIISLFPQTPNRGGRAQLEHSDSSRWLQRYVEAQCCIASNDYKGGLEIFTELLQRFPNNIHILLEMAKVEAIIGKNDEAVMNFEKVRSIDPYVMTYMDEYAMLLKMKSDYSKLNKLVHDLLSIDPTRPEVFVALSVLWERKDERGALSYAEKSIKIDERHIPGYIMKGNLLLSLKRPEAAVMAFRGAQELRADLRSYQGLVHSYLAFSKVKEALYAAREAMKAMPQSAKALKLVGDVHASNSGGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNGDAVSLLERYLKDWADDSLHVKLAQVFAATNMLQDSFSHYQDALRINPQNEAAKKGLERLEKQMKGVDPDAPEEEEENEVEDVDGDHEETELL >EOY06437 pep chromosome:Theobroma_cacao_20110822:4:31915125:31920703:-1 gene:TCM_021157 transcript:EOY06437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-containing protein isoform 1 MDVPKEQITALLDNALFSSAQLLGSFLVSSSTVNAETSPHLKAENLILLGDALFREREYRRAIHAYKQALQYYKIIPKQNSTTSRSSLTASNRSSSPNSFNISAINENEVKFKIASCHFALTETKAALVEMEGIPSKARNLQMSLLMAKLYRNSKQNRGAVTCYRECLRQCPFVIEAIIALAELGASAKDIISLFPQTPNRGGRAQLEHSDSSRWLQRYVEAQCCIASNDYKGGLEIFTELLQRFPNNIHILLEMAKVEAIIGKNDEAVMNFEKVRSIDPYVMTYMDEYAMLLKMKSDYSKLNKLVHDLLSIDPTRPEVFVALSVLWERKDERGALSYAEKSIKIDERHIPGYIMKGNLLLSLKRPEAAVMAFRGAQELRADLRSYQGLVHSYLAFSKVKEALYAAREAMKAMPQSAKALKLVGDVHASNSGGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNGDAVSLLERYLKDWADDSLHVKLAQVFAATNMLQDSFSHYQDALRINPQNEAAKKGLERLEKQMKGVDPDAPEEEEENEVEDVDGDHEETELL >EOY06363 pep chromosome:Theobroma_cacao_20110822:4:31678502:31680456:-1 gene:TCM_021111 transcript:EOY06363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPKYSLERKRREWEASDLKLSAAASNEKTFSAHHIEKNVEAYITRTQVKGTVPWNSQLCPQQEQAYQLGVPNRKGSSRNDCLNSIPRVFDNGELIAWNVEHFTVLWTVGNRSALNRIQKPVLMGHGCATNKQILSLFHLFSKPPWTKNSKIDWLVFFSLSLSFVSKGAIDHDLRKEQWMDDMKPTNKNGFSSENLTPFKKKGEIFAYMDNKNGIVDVTCFLLVEDSGDSELDCEPIIQQCHNMDVGIAADEDDAESCSCDTSERLDDCYEVLQLDGDQDFSDWDEPADGWSSCEMWSIDEALGYASAEEGEEEPRFDINLCGEVMDGMEDRLFWETCMAVGYP >EOY05958 pep chromosome:Theobroma_cacao_20110822:4:30377189:30383189:1 gene:TCM_020813 transcript:EOY05958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like G2 MASSSSLPLHLCHVHKFTMIINRSHALLHSIAVALLIYYRASFLFQESKNRTVPTLPWLLVFASELLLSLAWLLRQAYGWRPVSRTVFPERLPSDDKLPAIDVFVCTADPNKEPTVEVMNTVISAMALDYPPEKLHVFLSDDGGSDITLRGTKEAWNFARSWVPFCRRYDIKTSCPEAYFSCSEDDDHGDFKSSEFKAERQKIEQKYEMFKERVRRVREEHSKSAEVAATVSNSRDHPSVIEVIRDNSNEELTEDQVKMPLLVYVSREKRPSHRHNFKAGALNVLLRVSGMMSNSPYILVLDCDMYCNDPTSARQSMCYHFDPEISPSLAFVQFPQKFRNISKDDIYDSEIRAGFRILWHGMDGLEGPALSGTNFYIKREALLGSVTQEGIDLMELKRSFGPSNEFIKTLRRDYKPSFINDGESSSMLLEEAKVLASCSYENQTTWGTKVGFMYFCVVEDYFTSFTLHCKGWKSVYLNPPRPQFLGTSTTNLSDLLIQGTRWASGLTEVAISRFCPLIYGPLRMSLLHSLCYAELAFWPLLFSLPLWGFALIPQLCLINGIPLYPEVSDPYFSIFLFIFISALSKNLYEIFATGGQIRTWTNERRIWMIKSVTSDFYGCVDAILSKLGMSEASFLPTSKVTDDEQVKRYEMGVFDFQAPTMLLAPLATIILVNIASLVGGVVRMVAMDNGDWKMMVGQISLSFYILIANYAIIEGMIIRKDKASIPSYVTLLSVVFSMIILSIGSIVLC >EOY03216 pep chromosome:Theobroma_cacao_20110822:4:8225904:8230096:-1 gene:TCM_017868 transcript:EOY03216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MGNCGTREESAVVTHAQVQQLHILSEKKQSHNRTLSDLSDPCTPRNFEDSRKNSLLYTHVIAFTLYELETITKSFRSDYILGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTTVPLSWATRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSVSIGDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSVDKTRPSKEQNLVEWARPKLNDKRKLLQIIDPRLENQYSVRAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQCTGGSAGEVSSSLNPKLTGGAGPFAMGGVPDYRMRHRFSNNVGPGTICRSPNPNCSPGGPAACRVR >EOY03217 pep chromosome:Theobroma_cacao_20110822:4:8225510:8229740:-1 gene:TCM_017868 transcript:EOY03217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 VQQLHILSEKKQSHNRTLSDLSDPCTPRNFEDSRKNSLLYTHVIAFTLYELETITKSFRSDYILGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTTVPLSWATRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSVDKTRPSKEQNLVEWARPKLNDKRKLLQIIDPRLENQYSVRAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQCTGGSAGEVSSSLNPKLTGGAGPFAMGGVPDYRMRHRFSNNVGPGTICRSPNPNCSPGGPAACRVR >EOY02252 pep chromosome:Theobroma_cacao_20110822:4:153394:157914:-1 gene:TCM_016784 transcript:EOY02252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase, 2,3-bisphosphoglycerate-independent MGSSGQNTWKLEDHPKLPKGKTIALVVLDGWGENKPDQYNCIHVADTPTMDSLKQGAPEKWRLIRAHGTAVGLPTEDDMGNSEVGHNALGAGRIFAQGSDALILFQENCALWRRIKYISECFKNGTLHLIGLLSDGGVHSRLDQLQLLLKGASEHGAKKIRVHILTDERDVLDGSSVGFVETLENDLAKLREKGIDAQIASGGGRMYVTMDRYEHRLMNHKCDSLVLCKLLGEAPHKFRNAVEAVKKLREHPNANDQYFQPFVVVDENGKAVDPIVDGDAVVTFNFRADRMVMLARALEYHDFDKFDRVPFPKIHYAGMLQYDGELKLPSHYLVSPPEIERTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGYFSPDMEEYVEISSDVGVTFNVQPKMKAVEIAEKARDAILSYKFDQVRVNLQKGDMVGHTGDIQATIVACKAADEAVKMILDAIEQVGGIYVVTADHGNAEDMVKRNKSGQPLYDKSGNLQILTSHTCQPVPIAIGGSGLAPGVRFRKDVPDGGLANVAATVMNLHGFVAPSDYEPTLIEVADN >EOY04836 pep chromosome:Theobroma_cacao_20110822:4:26143607:26145185:-1 gene:TCM_020004 transcript:EOY04836 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 13 isoform 1 MGRPLFYEILEKPATSCIIGICSVIWFYIQKKNIGYHHVGLSYETAVEGHHWRIITSAFSHISVIHLVFNMSALWSLGIVEQLGHLGLGVAYYLQYTLVLVVLSGLVVLGMYHFLIQRFKIEYFRRVTAVGYSCVVFGWMTILSVKQPSSKLDLFGFLSLPISFAPFESLIFTSIIVPQASFLGHLSGIIVGYAIAWGLIHGMTNYWAVSMLGWVAVVFVFSLKHSGAYDFNFLEIESVTDPSLPSLRFIGNGRTLQMSTIPVEGVEIV >EOY04835 pep chromosome:Theobroma_cacao_20110822:4:26143226:26145101:-1 gene:TCM_020004 transcript:EOY04835 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 13 isoform 1 MGRPLFYEILEKPATSCIIGICSVIWFYIQKKNIGYHHVGLSYETAVEGHHWRIITSAFSHISVIHLVFNMSALWSLGIVEQLGHLGLGVAYYLQYTLVLVVLSGLVVLGMYHFLIQRFKIEYFRRVTAVGYSCVVFGWMTILSVKQPSSKLDLFGFLSLPISFAPFESLIFTSIIVPQASFLGHLSGIIVGYAIAWGLIHGMTNYWAVSMLGWVAVVFVFSLKHSGAYDFNFLEIESVTDPSLPSLRFIGNGRTLQMSTIPVEGVEIV >EOY02832 pep chromosome:Theobroma_cacao_20110822:4:2456573:2456968:1 gene:TCM_017235 transcript:EOY02832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSDWGPVFVAVVLFILLTPGLLIQVPGKSRFIEFGNFQTSGLSILVHSIIYFALICIFLLAVGVHMYVGS >EOY04973 pep chromosome:Theobroma_cacao_20110822:4:26684687:26690937:1 gene:TCM_020105 transcript:EOY04973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spliceosome protein-related, putative MADDQVDSDSYAGSKRRTTFDAEQEEETLFQLQLLSPLSEITTLHHHHQEETALDDSSPKKKLKCSSLLSEVNQELGLQSSTENVSLSGSFEKEQKDNVRSKEGFFGVQEVDTQVNAIEVDGGSVLEASKKEHLGTCLEFEEKRAIKGKALDSENVLEAEKKRLLGELELGNIFGAKTCTGHTFGSATDSSKIDDGKKIDGIKGLDLPIRSSLKVEVIDDTALIESFPLSKTGNGSVKDEKKKKGNQEIDGKKAKRSRRKGKNAKKVLGEDERNMELTKIVVVQNGRKESNTQSKRMYSREDLEALRFAKVVEQRKIWLDMYNGLGAAVIKEYEDLAIWKHQKNISLSADTRHCFGRKAESPAIMREDYFENVDNELKYMEDNGTENVYSLHLTCTHDVGDEDAFIDVEEECIEDDNSDEDYTSILRPAFIVEGEPDFDSGPPEDGLEYLRRVRWEAAQIPKVTIAKPDRTKLNEEQSVYMPQIPEIAKCPEHLLPLKQWEEAFLADFSELRLTLSHLEYPSVEISCKLPMFTVQEDNLYQLPERGVEKCNNLTTSEVLSDGTSLSNATDTVILLSHQNLSAKTSVSDTCDDYPALSAIQKMDSVARVSMLRKRISSVETMASLSRSNCMWLFALCAAVDTPLDADSCASLRSLLRKCASLRAQKSEADDEVVMLNILATIAGRYFGQSET >EOY06568 pep chromosome:Theobroma_cacao_20110822:4:32323145:32324657:-1 gene:TCM_021246 transcript:EOY06568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MHVPWLFFLFQLKREKERNGAGRAQDDQRQWHQYARSGERLNPVILFLHRFAEPWYCWRHQIIAVTSLGYRAVAPDLRGHGDTDTDAPEEASSYTSLNVVGDLIGLLDAVAPDQDRVFIVGHVRGILTGNPLRVSELSNGDDYYICRFQIKAEMANGKDCLIVLQEPGVIEAEFLELGTERVIKGALTIRSPDPLFLPKGKYFGRPDNTPFSLPSWLSEEVV >EOY03293 pep chromosome:Theobroma_cacao_20110822:4:11071524:11081598:1 gene:TCM_018127 transcript:EOY03293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane lipoprotein, putative MEGKKEEEYCQRGNANESVNTALFTAGLLLLMVCFKRDLPLFLVEQWRAWVFLVLNLVLLAIFLTSVNPITSTQNPESKNNDEETKKQSGDCKSSNEVEACKQDPIESNKRSNEAEGKLEKEAAVEQNNELPKLSKEELNERVEAFIAMFRQHLASDARKGRDKLERKGGDVKHMKVSSDGVNCFVLKVQGLRTVC >EOY05676 pep chromosome:Theobroma_cacao_20110822:4:29453308:29454432:1 gene:TCM_020617 transcript:EOY05676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLEGCKFPLIEAPNKDVNLGVIVTSLGKAQVVMPQTKRNVFNGRETMKDMLRPMFIGDCFAKDRAWSGLTKFKS >EOY02272 pep chromosome:Theobroma_cacao_20110822:4:221452:222691:-1 gene:TCM_016795 transcript:EOY02272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamete expressed protein 1, putative MSAGRQYTKQTVDIAASQKELRNGQAMMNDQLKEGLTMLVGANKNLVHEIDNLKNEAISIENEISKVGNAMSSSINNLQRTAHDIGNTAGISLDKQRQLLEGQSTAVEGVQYMIRFQSEALEESRNALQQLPEYEQKQLEEFLER >EOY04011 pep chromosome:Theobroma_cacao_20110822:4:21469198:21476781:1 gene:TCM_019270 transcript:EOY04011 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAR2 protein family isoform 1 MEPEKALEAVKSGAILLLLDVPQYTLIGIDTQAFYVGPAFQGIKMIPPGVHFVFYSSSTRDGKEFSPIIGFFMDAGRSQVVVRRWNPQEECLLKVSEEEEERFSRAVRNLEFDKHLGPYDRSHYADWKRLSNYVTKSTIERLEPIGGEITVTCESGMLKNTRKNAMERALDEHLRNSKFSTPGEKSQRRGCYYTPIPHIVKRKGIESGQLTSLNLDKTELLETLLVKDYGGSEDSLLGELQFAFIAFLMGQSLEAFIQWKSLVSLLFGCTKAPFRTRSQLFTKFIKVIYYQLTYGLQKDSRIVEAGASAVLDDSWFSSDSFLHHLCKDFFSLVQDASVVDGDLLSWTRKLQELLENRLGWEFQQKSGVDGIYFEEDDEYAPVVEMLDEPSES >EOY04013 pep chromosome:Theobroma_cacao_20110822:4:21469204:21476790:1 gene:TCM_019270 transcript:EOY04013 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAR2 protein family isoform 1 MEPEKALEAVKSGAILLLLDVPQYTLIGIDTQQAFYVGPAFQGIKMIPPGVHFVFYSSSTRDGKEFSPIIGFFMDAGRSQVVVRRWNPQEECLLKVSEEEEERFSRAVRNLEFDKHLGPYDRSHYADWKRLSNYVTKSTIERLEPIGGEITVTCESGMLKNTRKNAMERALDEHLRNSKFSTPGEKSQRRGCYYTPIPHIVKRKGIESGQLTSLNLDKTELLETLLVKDYGGSEDSLLGELQFAFIAFLMGQSLEAFIQWKSLVSLLFGCTKAPFRTRSQLFTKFIKVIYYQLTYGLQKDSRIVEAGASAVLDDSWFSSDSFLHHLCKDFFSLVQDASVVDGDLLSWTRKLQELLENRLGWEFQQKSGVDGIYFEEDDEYAPVVEMLDEPSES >EOY04012 pep chromosome:Theobroma_cacao_20110822:4:21469198:21476836:1 gene:TCM_019270 transcript:EOY04012 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAR2 protein family isoform 1 MEPEKALEAVKSGAILLLLDVPQYTLIGIDTQAFYVGPAFQGIKMIPPGVHFVFYSSSTRDGKEFSPIIGFFMDAGRSQVVVRRWNPQEECLLKVSEEEEERFSRAVRNLEFDKHLGPYDRSHYADWKRLSNYVTKSTIERLEPIGGEITVTCESGMLKNTRKNAMERALDEHLRNSKFSTPGEKSQRRGCYYTPIPHIVKRKGIESGQLTSLNLDKAKLLETLLVKDYGGSEDSLLGELQFAFIAFLMGQSLEAFIQWKSLVSLLFGCTKAPFRTRSQLFTKFIKVIYYQLTYGLQKDSRIVEAGASAVLDDSWFSSDSFLHHLCKDFFSLVQDASVVDGDLLSWTRKLQELLENRLGWEFQQKSGVDGIYFEEDDEYAPVVEMLDEPSES >EOY04023 pep chromosome:Theobroma_cacao_20110822:4:21556513:21558321:-1 gene:TCM_019282 transcript:EOY04023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein MGALDYLSNFCTVTSTRSKRKPMQTVEIKVKMDCDGCERRVKNAVTSMKGVKTVEVNRKQSRVTVSGYVDPNKVLKRVKSTGKRAEFWPYIPQHLVYYPYVSGAYDKRAPSGFVRNVVQAFPATSSSNAPEENFVSFFSDDNVHACSIICLDYPR >EOY06673 pep chromosome:Theobroma_cacao_20110822:4:32626014:32632466:1 gene:TCM_021327 transcript:EOY06673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding,transcription regulators, putative isoform 1 MDGDRPGRLVGTEIHGFHTLEDLDVQNTMEEARSRWLRPNEIHAILCNHKYFPIHVKPMNLPKSGIIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGQDNPTFVRRCYWLLDKTLEHIVLVHYRETQESQGSPATPVNSNSSSISDQSTPLLVTEEFDSGAGNINYEEPSGLTVRNHEMRLHEINTLEWDDLLVTNDTNDSTLSRRDKDSFFNQGSQIAANGFSNDDGHTSAYNLSTGISSLGNLTDPVAQSNNAYINYPEGICNQVSGGQVNSNVQRKDFRVIGTGDSLDLLVDDGLQSQDSFGRWINYIITESPGSVDDPVPESSISSGQEAITSPEQIFSITGVSPAWAYTTEKTKILVTGVFHQAYQHLVKSNLFCVCGDVCIPAELIQVGVYCCSLSEHSPGLVNLYMSLDGHKPISQVLSFEYRVPVLHDPIPPLEDESRWEEFQLQMRLAYLLFSTSQSLNILSGKVSPNTLKEAKKFALKTTNISKSWAYLIKSIEENRVSFTQAKDSLLEIALKSKLKDWLLERIIEGCKTTEYDAQGQGVLHLCAILGYTWAIYLFSWSGLSLDFRDKHGWTALHWAAYYGREKMVAVLLSAGAKPNLVTDPTAQNPSGRTAADLASLKGYDGLAAYLSEEALVAQFNDMAVAGNASGSLETSRTETTNRENLNEEELYLKETLAAYRTAADAAARIHTAFREQSLKMRTKAVQFSNPEDEARNIVAALKIQHAFRNFETRKKMAAAARIQYRFRTWKIRKDFLALRRQAAAFRGFQVRRQYRKIIWSVGVLEKAILRWRLKRKGFRGLQVNTVEPVGEPKQESVTEEDFYRTSRKQAEERVEKAVVCVQSMFRSKKAQQEYRRMKMVHELAMLEYESLLDPSSDMDA >EOY06674 pep chromosome:Theobroma_cacao_20110822:4:32626014:32632466:1 gene:TCM_021327 transcript:EOY06674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding,transcription regulators, putative isoform 1 MDGDRPGRLVGTEIHGFHTLEDLDVQNTMEEARSRWLRPNEIHAILCNHKYFPIHVKPMNLPKSGIIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGQDNPTFVRRCYWLLDKTLEHIVLVHYRETQESQGSPATPVNSNSSSISDQSTPLLVTEEFDSGAGNINYEEPSGLTVRNHEMRLHEINTLEWDDLLVTNDTNDSTLSRRDKDSFFNQGSQIAANGFSNDDGHTSAYNLSTGISSLGNLTDPVAQSNNAYINYPEGICNQVSGGQVNSNVQRKDFRVIGTGDSLDLLVDDGLQSQDSFGRWINYIITESPGSVDDPVPESSISSGQEAITSPEQIFSITGVSPAWAYTTEKTKILVTGVFHQAYQHLVKSNLFCVCGDVCIPAELIQVGVYCCSLSEHSPGLVNLYMSLDGHKPISQVLSFEYRVPVLHDPIPPLEDESRWEEFQLQMRLAYLLFSTSQSLNILSGKVSPNTLKEAKKFALKTTNISKSWAYLIKSIEENRVSFTQAKDSLLEIALKSKLKDWLLERIIEGCKTTEYDAQGQGVLHLCAILGYTWAIYLFSWSGLSLDFRDKHGWTALHWAAYYGREKMVAVLLSAGAKPNLVTDPTAQNPSGRTAADLASLKGYDGLAAYLSEEALVAQFNDMAVAGNASGSLETSRTETTNRENLNEEELYLKETLAAYRTAADAAARIHTAFREQSLKMRTKAVQFSNPEDEARNIVAALKIQHAFRNFETRKKMAAAARIQYRFRTWKIRKDFLALRRQAAAFRGFQVRRQYRKIIWSVGVLEKAILRWRLKRKGFRGLQVNTVEPVGEPKQESVTEEDFYRTSRKQAEERVEKAVVCVQSMFRSKKAQQEYRRMKMVHELAMLEYESLLDPSSDMDA >EOY06013 pep chromosome:Theobroma_cacao_20110822:4:30603429:30606116:-1 gene:TCM_020866 transcript:EOY06013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 1 MDSIEDWDFLDYSFIDENTSPDLLLPNYREIELSSGNVVHQEKEFEDRDCSRKRGRSGSCSRPGTKACRERLRRERLNEILDLSSILEPGRPARTDKSAILDDAIRVLTQLRTEAQELKETNEKLQEEIKSLKAEKNELREEKLVLKGNKEKIEQQLKTMTIPPAGYLPAHPAAYHPGASKMAVFPGYGLVPMWQYLPQSARDTSHDHELRPPAA >EOY06012 pep chromosome:Theobroma_cacao_20110822:4:30602849:30606175:-1 gene:TCM_020866 transcript:EOY06012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 1 MDSIEDWDFLDYSFIDENTSPDLLLPNYSDGREIELSSGNVVHQEKEFEDRDCSRKRGRSGSCSRPGTKACRERLRRERLNERFLDLSSILEPGRPARTDKSAILDDAIRVLTQLRTEAQELKETNEKLQEEIKSLKAEKNELREEKLVLKGNKEKIEQQLKTMTIPPAGYLPAHPAAYHPGASKMAVFPGYGLVPMWQYLPQSARDTSHDHELRPPAA >EOY06855 pep chromosome:Theobroma_cacao_20110822:4:33156885:33157780:-1 gene:TCM_021454 transcript:EOY06855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKNGFKDLNPKEKEMLKSDQVFKRSRHVLQSHWYGQKHPKRRQMPFVGRKREDMPKFISSNSEIQQPTILLKEKRVPLLSVLITMIHCTGDKLILIFTWTRFSITLI >EOY04253 pep chromosome:Theobroma_cacao_20110822:4:23015903:23020571:1 gene:TCM_019509 transcript:EOY04253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFGKLKQSRIGNLQQEVEWRKLKEIFDEFSVVVDIFLPCPKRNTTTRYAFVRYREERELTKVVFLGHGRMINGRRIRVSKAEKPKNERGIVEAKGSESKPQKEIGQSKKTFKETFIVNSQIEDIGGQGIQTGNKIEMRRIKNVVINKEKLKWLGRNAVGQLRSPINCGSVECSFFKEEGILGFEVGSQWSEEGPYMEWKVTKAASEKSCRRSASRVGDKFTWCGYHDGWVFSRLDHFLVDMEWLDCVQGMIQECLTSSLSDHKPIILRSNKVD >EOY03776 pep chromosome:Theobroma_cacao_20110822:4:19205361:19208914:1 gene:TCM_018956 transcript:EOY03776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brassinosteroid-6-oxidase 2 MALFMVILGLFVLVLCICTALLRWNEMRYRKKGLPPGTMGWPVFGETTEFLKQGPNFMKNQRARFGSFFKSHILACPTVVSMDPELNRYILMNEAKGLVPGYPQSMLDILGKCNIAAVHGSTHKQMRGALLALISPTMIREQLLPKIDEFMRTFLSNWDNKVINIQEKTKEMAFLSSLKQIASAESGSVAQEFMSEFFKLVLGTLSLPIDLPGTNYCRGLQARKNIVRILGQLIAERRASEESHKDMLGYLMRKDDSNRYKLSDDEIIDQIITILYSGYETVSTTSMMAVKYLHDHPRVLEELRKEHMALRDRKRQEDPIEWNDLKSMRFTRAVIFETSRLATIVNGVLRKTTQEMELNGFVIPKGWRIYVYTREINYDPFLYPDPLAFNPWRWMDKSLESQSYFLIFGGGTRQCPGKELGIAEISTFLHYFVTRYRWEEVGGEKLMKFPRVEAPNGLHIRVSSY >EOY04288 pep chromosome:Theobroma_cacao_20110822:4:23184429:23194701:-1 gene:TCM_019538 transcript:EOY04288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase of the CBP family 1, putative MNQQTLEYPFKVLNVNPTPSFMPNRMVMPTSGLVQDGNLAIPDALKVLNVNPTPSFMSNSMMMPTSGLVQDGNLAIPGGLFNQNQLNDVTMDYKEIVDDPNQFGFLKEFFGDRHKAQTAGYTTTDWNIAPTLGMLPVEGEMVLSSGQASTITSCYGGDGFSDVGSLESRPPFSKGKLLHLYDGKINMMDHIGWLGNNQSSDSMVFPHILGGSLAFSEANTQTSQEILQELSEVPDILPGLNSAMASSTIIPCMQSSRPCEAEAKCSFTGKNQSYCPVEAKTAGHFPQLPLEDAPADSRNQLTWAIQHRVLLAYIQYKKSMVIIGNSQVSFVNHMHSATCNKHACKCEQFFSLVSHFDGCHDADCNICSPVWYSCVTNKPHPKFERVKRGLLRDGDSDQPSCGSSETMQPSLKRLKVENPLCPSLTENGICCAKAPLKVQPCYAKLPPLRQLPESPVSNNSEVMEVNMELLPKLIEASMSTKDISNNVADNFPILPTENLPGASEVVVCSYKLEETDAVGSEKEGGMDFRSDTDIADNVIDHSNILESNTLPSFSEGLAAGYEEEETEARTNSNQAELAIENELITQESNCGKELSAGCEEGETEATTNSNQAALAIENELIAQESNCGKELDAGCEDGETEAKTNSNLAELAMENKLIAPELNCGKEIELESQTIRGLSLIENFTAQQIKEHISSLRQCIDQDIPKKERGKRISNVYSENSCQLCGADKLSLAPAPIYCSSCGNRIRRSANYYITPEEKDIRICLCTSCYKVSRGRSIVFSGIALSKAKLDKIKNEEEAEESWVQCDKCEGWQHQICALFNDKNDMEGKAQFICPICCLKEIQSGERMPPLMSTVFGAKDLPCTILSDHIEQRLFRRLQKEREEKARVTGKLIDEVPEAEGLVVRVVVSVDKHVKVKKQLLEIVQNENYPAEFPYKSKVILLFQKIDGVDVCLFSMYVQEFGSECGHPNQRCVYIAYLDSVKYFRPETKTAAGEALRTVVYHEILIGYLEYCKKRGFATCYLWACPPLKGEDYILNCHPEIQKTPKTDKLRQWYQFMLQKAAKEKVVVGLTNLYDHFFVSTGKYNSKVTAAHLPYFDGDYWSGAAEDVINNIEKACSEDPKKMGNRIMSKRTLKAMGHTNPSGDATKDILLMQKLGQTILPIKEDFIIAHLQFVCIHCHRAILSGWRWFCSLCKGFQLCERCHDAEQNVYKDCSHTLCNGEKHALCKIMVDDVPSDTDDTDASMDNGLFGNRHSFLSFCQKNSHQFDTLRRAKHSSMMILHYLHNSTLLTAETTCIICYKDTPMDQSWLCEICPNVAVCAACYRRDGCSLHIHKLILHCSAVDSATKNREAKKKELLKMRLLDVLLHACQCRSPCSYPNCLLIKKLFFHAKKCTVRISGGCEHCKKMWLILRLHSRNCKDSDCDVPRCRDLKQHANSRLQQLEEAAHEEPPIVPDQMRQRI >EOY02865 pep chromosome:Theobroma_cacao_20110822:4:2734336:2737722:-1 gene:TCM_017274 transcript:EOY02865 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein isoform 3 MGSSFNPQILVEKLAKLNNSQASIETLSHWCIFHMNKAKQVVETWDRQFHCSPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPDALRDVIENGDEFGRNAAFRLISIWEERKVFGSRGQILKEELVGRQSENNSSRNGRHLGLKLQKQPVGSTVDKIVSGYQVVYGSQMDEDVILSKCRNAMSCIEKVDKEIGSDVNSGQFHGSALVEEVQGQHAVLRDCIEQLTAAASSRASLISHLREALQEQEFKLEQVRTQLQSAQSQSEQAGNICRQLLSCENPQLLAEESSKESQTSIAPQSFIPAATEQSAPVMYSRQLSFPDNSGHIEEDPRKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVIGNPTKESSGDYPSEKRPKLENDQPYIPSQNPQQAPVSPFPRPESHQHNVVTTTQQLTPNEVPPPPSSSPPPLPPLPPMPPYAVPQYLPTAGSINGAPYSYGVTQSQQPSLPGYSVVGTAMTGISPFATSSTNSYQNFQGSEGFYNQPSSMPAVPISRQ >EOY02867 pep chromosome:Theobroma_cacao_20110822:4:2734696:2738705:-1 gene:TCM_017274 transcript:EOY02867 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein isoform 3 MGSSFNPQILVEKLAKLNNSQASIETLSHWCIFHMNKAKQVVETWDRQFHCSPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPDALRDVIENGDEFGRNAAFRLISIWEERKVFGSRGQILKEELVGRQSENNSSRNGRHLGLKLQKQPVGSTVDKIVSGYQVVYGSQMDEDVILSKCRNAMSCIEKVDKEIGSDVNSGQFHGSALVEEVQGQHAVLRDCIEQLTAAASSRASLISHLREALQEQVTLTNPFTNIVCDCVKIKLIYFCYQEFKLEQVRTQLQSAQSQSEQAGNICRQLLSCENPQLLAEESSKESQTSIAPQSFIPAATEQSAPVMYSRQLSFPDNSGHIEEDPRKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVIGNPTKESSGDYPSEKRPKLENDQPYIPSQNPQQAPVSPF >EOY02866 pep chromosome:Theobroma_cacao_20110822:4:2734071:2738823:-1 gene:TCM_017274 transcript:EOY02866 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein isoform 3 MGSSFNPQILVEKLAKLNNSQASIETLSHWCIFHMNKAKQVVETWDRQFHCSPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPDALRDVIENGDEFGRNAAFRLISIWEERKVFGSRGQILKEELVGRQSENNSSRNGRHLGLKLKQPVGSTVDKIVSGYQVVYGSQMDEDVILSKCRNAMSCIEKVDKEIGSDVNSGQFHGSALVEEVQGQHAVLRDCIEQLTAAASSRASLISHLREALQEQEFKLEQVRTQLQSAQSQSEQAGNICRQLLSCENPQLLAEESSKESQTSIAPQSFIPAATEQSAPVMYSRQLSFPDNSGHIEEDPRKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVIGNPTKESSGDYPSEKRPKLENDQPYIPSQNPQQAPVSPFPRPESHQHNVVTTTQQLTPNEVPPPPSSSPPPLPPLPPMPPYAVPQYLPTAGSINGAPYSYGVTQSQQPSLPGYSVVGTAMTGISPFATSSTNSYQNFQGSEGFYNQPSSMPAVPISRQ >EOY04105 pep chromosome:Theobroma_cacao_20110822:4:22042231:22051501:-1 gene:TCM_019365 transcript:EOY04105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MTSPLLLLILLVCNYTAHLGALNDEGRALLSFKQSIENSTEGYYLTNWNSSDVNPCSWHGVTCRKEKVTALIIPNMGVAGFLSPALANLPAIRHVNLKNNSFRGSLPIQLFTATRLKSLVLSGNSLSGALPPPVGNLKNLQTLDLSQNSFNGSIPASIVQCRNLTTLVLSENNFTGSLPVGLGNSLISLQKLNLSYNSLGSSIPSDIGNLSNLQGTLDLSHNFFTGNIPASLGSLPEKVYIDLGYNNLSGPIPQNGALVNAGPTAFVGNPLLCGSPLKLSCPSGSPTADYQSNIHNPFQSSGGSSMKSGKDSHSSSWHQVIEIIAGVIVGVCLIGFLFSYRYKKASVCKGVQKVSGYRLEEKLMIGRDIFCFAKKDIDTPSENMEQCNFVPLDSEVNFDLDQLLKASAFLLGKSASGILYKVVLDNGQTVAVRRLGDGSGQRLKEFQIEVEAIGKIRHPNIVNLCAYCWSDDEKLLIYDYITNGDLTAAIHGKAGYIPFKPLSWSVRVKIMKGIAKGLAYLHELSPKRYVHGNLKPSNILLGEDMEPHISDFGLCRLANIAEESPTFQVEQMTSWTPQHGSPYELTPINSSLNGSYYQAPEASKDTKPSQKWDVYSFGVILLEMISGKLPSIQVGSLEMDMVQWIQLSIEERKPLSSIIDPSLTHNWGEEDNIAAILKLALACIHKSPDRRPTMRCVSYSFDKLTSYS >EOY04046 pep chromosome:Theobroma_cacao_20110822:4:21654361:21657315:-1 gene:TCM_019302 transcript:EOY04046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5 isoform 1 MALQNIGAGNSDDAFYRYKMPKMITKIEGRGNGIKTNVVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGTSLVNGSHDTAKLAGLLENFIKKYVQCYGCGNPETEILITKNQMIQLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGSKDKKALRRAEKERLKEGEAADEEQKKLKKEGKKKGSSSKEGTTKAVSTKKKAGGSDDDHISPSHSQVDEKEEVDEEEDADDVQWQTDTSLEAARQRIQEQLSAVTADMVMLSTNESEKKAKVTTKASNNLNGMSSPRKDNHKAEKGNSNLHETLVEELKASLKKGVSANQLKSLLGSLSGSGQEKINALLEALFDGIEKGLAKEVVKRKNYLAAAVAQDEGSQHLLLKAVEFFCGKVSSSALKEVALVLKALYDADLLEEECMLQWYQAGLKGENKDSQIWKNAKPFIDWLQSAESESEEE >EOY04047 pep chromosome:Theobroma_cacao_20110822:4:21653460:21657315:-1 gene:TCM_019302 transcript:EOY04047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5 isoform 1 MALQNIGAGNSDDAFYRYKMPKMITKIEGRGNGIKTNVVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGTSLVNGSHDTAKLAGLLENFIKKYVQCYGCGNPETEILITKNQMIQLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGSKDKKALRRAEKERLKEGEAADEEQKKLKKEGKKKGSSSKEGTTKAVSTKKKAGGSDDDHISPSHSQVDEKEEVDEEEDADDVQWQTDTSLEAARQRIQEQLSAVTADMVMLSTNESEKKAKVTTKASNNLNGMSSPRKDNHKAEKGNSNLHETLVEELKASLKKGVSANQLKSLLGSLSGSGQEKINALLEALFDGIEKGLAKEVVKRKNYLAAAVAQDEGSQHLLLKAVEFFCGKVSSSALKEVALVLKALYDADLLEEECMLQWYQAGLKGENKDSQIWKNAKPFIDWLQSAESESEEE >EOY06345 pep chromosome:Theobroma_cacao_20110822:4:31616707:31629111:-1 gene:TCM_021097 transcript:EOY06345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine-uridine preferring nucleoside hydrolase family protein isoform 2 MESDPVPLEKTEQHLICLFSVLPPFSDVTNEVDVTVFPGDQKQLFDHLSFSGGKRIFVGGAVTGGDRMLWQRNFWIAIILIIEVFGASLYTIQAKPHRILLDTDVDTDDFFALLYLLKLNRSEFELEAVTINPNAWTDAGHAVNQLYDILYMMGRDDIAVGVGGEGGILEDGTILPNVGGYLPIIEQGMTTAGGCRYRQAIPVGLGGRLDIDTNYGIRKAFLPQGSRKYSPLRQPTAQQVMIDKISAGPITVFVIGAHTNVAIFLMNNPHLKKNIEHIYVMGGGVRSKNPTGCCPKNASTSCQQRQCGDRGNLFTDYNSNPYAEFNIFGDPFAAHQVFHSGIPVTLVPLDATNTIMITEKFFEAFEESQGTYEAQYCFQSLKMARDTWFDNQFYTSYFMWDSFTSGVAVSIMRNSHKNNGENEFAEMEYMNITVVTSNKPYGISDGSNPLFDGLKVPKFNLKKGGVHSGHVQTGLRDPFCFVENGKGKCKDGYTEEVTGPDAVRVLVATRAKPNQDVSSKLDREFFISFLDVLNRPEHAGRFNLTTEFPYYREVLYKPDFKNKKLGKPVVFDMDMSAGDFMALFYLLKVPVEVLNLKAILVSPTGWANAATIDIIYDLLHMMGRDDIPVGLGDVFAMNQSDKVFPPVGDCKYVKAIPHGSGGFLDSDTLYGLARDLPRSPRRYTAENSKKSGAPRDTDHPELRQPLALEVWTSVLKTLDPGSKVTILTNGPLTNLAKIITETNTASRIEKVYIVGGHISRCSHDKGNLFTVPSNKYAEFNMFLDPFSAKTVLESGLNITLIPLGTQRNVSLFAETLGRLKLTRKTPEAQFVKRLLFRLYTLQQTHHRYGHMDTFLGEILGAIFLTGDHPNLKPTLQEMPIKVIAEGVESRDGQILIDKRQGKSVKILNNVDPMAYYDLFANRLGDKKQSAVLGSYDEQRIMWNTPPNQT >EOY06346 pep chromosome:Theobroma_cacao_20110822:4:31616950:31622294:-1 gene:TCM_021097 transcript:EOY06346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine-uridine preferring nucleoside hydrolase family protein isoform 2 MLWQRNFWIAIILIIEVFGASLYTIQAKPHRILLDTDVDTDDFFALLYLLKLNRSEFELEAVTINPNAWTDAGHAVNQLYDILYMMGRDDIAVGVGGEGGILEDGTILPNVGGYLPIIEQGMTTAGGCRYRQAIPVGLGGRLDIDTNYGIRKAFLPQGSRKYSPLRQPTAQQVMIDKISAGPITVFVIGAHTNVAIFLMNNPHLKKNIEHIYVMGGGVRSKNPTGCCPKNASTSCQQRQCGDRGNLFTDYNSNPYAEFNIFGDPFAAHQVFHSGIPVTLVPLDATNTIMITEKFFEAFEESQGTYEAQYCFQSLKMARDTWFDNQFYTSYFMWDSFTSGVAVSIMRNSHKNNGENEFAEMEYMNITVVTSNKPYGISDGSNPLFDGLKVPKFNLKKGGVHSGHVQTGLRDPFCFVENGKGKCKDGYTEEVTGPDAVRVLVATRAKPNQDVSSKLDREFFISFLDVLNRPEHAGRFNLTTEFPYYREVLYKPDFKNKKLGKPVVFDMDMSAGDFMALFYLLKVPVEVLNLKAILVSPTGWANAATIDIIYDLLHMMGRDDIPVGLGDVFAMNQSDKVFPPVGDCKYVKAIPHGSGGFLDSDTLYGLARDLPRSPRRYTAENSKKSGAPRDTDHPELRQPLALEVWTSVLKTLDPGSKVTILTNGPLTNLAKIITETNTASRIEVSGFYLFLLFCYVKHL >EOY05545 pep chromosome:Theobroma_cacao_20110822:4:28962915:28968488:-1 gene:TCM_020522 transcript:EOY05545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase 1 isoform 2 MPVLKGKKIKKSLSIFAMDQIDDSMRNQIAALLRVVNFTRCFKEDNVPCKIEEGLFLGSVAAAYNMDALKHLNITHILTVASSLKPAHSNDFVYKIIPVLDKEDTNLSQYFDECFDFIDEAKRQGGGVLVHCFVGKSRSVTIVVSYLMKKHGMSLSQALEHVKSKRPLAAPNSGFIQQLQELEKSLQANQEQMKNNE >EOY05548 pep chromosome:Theobroma_cacao_20110822:4:28964525:28968453:-1 gene:TCM_020522 transcript:EOY05548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase 1 isoform 2 MDQIDDSMRNQIAALLRVVNFTRCFKEDNVPCKIEEGLFLGSVAAAYNMDALKHLNITHILTVASSLKPAHSNDFVYKIIPVLDKEDTNLSQYFDECFDFIDEAKRQGGGVLVHCFVGKSRSVTIVVSYLMKKHGMSLSQALEHVKSKRPLAAPNSGFIQQLQELEKSLQANQEQMKNNE >EOY05547 pep chromosome:Theobroma_cacao_20110822:4:28964248:28968453:-1 gene:TCM_020522 transcript:EOY05547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase 1 isoform 2 MDQIDDSMRNQIAALLRVVNFTRCFKEDNVPCKIEEGLFLGSVAAAYNMDALKHLNITHILTVASSLKPAHSNDFVYKIIPVLDKEDTNLSQYFDECFDFIDEAKRQGGGVLVHCFVGKSRSVTIVVSYLMKKHGMSLSQALEHVKSKRPLAAPNSGFIQQLQELEKSLQANQEQMKNNE >EOY05546 pep chromosome:Theobroma_cacao_20110822:4:28964236:28968379:-1 gene:TCM_020522 transcript:EOY05546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase 1 isoform 2 MDQIDDSMRNQIAALLRVVNFTRCFKEDNVPCKIEEGLFLGSVAAAYNMDALKHLNITHILTVASSLKPAHSNDFVYKIIPVLDKEDTNLSQYFDECFDFIDEAKRQGGGVLVHCFVGKSRSVTIVVSYLMKKHGMSLSQALEHVKSKRPLAAPNSGFIQQLQELEKSLQANQEQMKNNE >EOY06060 pep chromosome:Theobroma_cacao_20110822:4:30802057:30803453:1 gene:TCM_020903 transcript:EOY06060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF640) [Source:Projected from Arabidopsis thaliana (AT3G23290) TAIR;Acc:AT3G23290] MDSLQGFETSTANPSTTLTPNFPTNLSITTVTTTATTINTSPSAATSSSPSSSSSTSPSTLSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTQLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEEHGGKPEANPFGARAVRLYLREVRDSQAKARGISYEKKKRKRPPQAPPLPPPNGNQNQYCTFQ >EOY02574 pep chromosome:Theobroma_cacao_20110822:4:1343780:1348798:1 gene:TCM_017007 transcript:EOY02574 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING domain ligase2 isoform 3 MGSSSSREGSWRQNSSIRSNSPSWSSHQGYPQSSYGHESQGYAPRESQGYAPQYSYASPQYYPPTQDPQYHPPTQDPHYYPPTQDHDRDKRTLDRRYSRIADNYNSLDQVTEALANAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHHIGDGLNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPNERFCNGFEDVLSRYREIVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQRGQLSPQEQKTVDAIVQASKLPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTEIMSKNTAPSRKETEFALAALMEIPSQYKATIELNILGGRKGNVPERVPLPPPTYGAASFNSSKPSHSTSFQYGATSFSSSKPSNPTSFQPSVPPHPEDSSSVSSAAPAPSSTYDSQLCPICLSNSKDMAFGCGHQTCEDCAKDLQTCPICRSPIQTKIKLYF >EOY02573 pep chromosome:Theobroma_cacao_20110822:4:1344370:1348669:1 gene:TCM_017007 transcript:EOY02573 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING domain ligase2 isoform 3 MGSSSSREGSWRQNSSIRSNSPSWSSHQGYPQSSYGHESQGYAPRESQGYAPQYSYASPQYYPPTQDPQYHPPTQDPHYYPPTQDHDRDKRTLDRRYSRIADNYNSLDQVTEALANAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHHIGDGLNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPNERFCNGFEDVLSRYREIVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQRGQLSPQEQKTVDAIVQASKLPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTEIMSKNTAPSRKETEFALAALMEIPSQYKATIELNILGGRKGNVPERVPLPPPTYGAASFNSSKPSHSTSFQYGATSFSSSKPSNPTSFQPSVPPHPEDSSSVSSAAPAPSSTYDSQQLCPICLSNSKDMAFGCGHQTCEDCAKDLQTCPICRSPIQTKIKLYF >EOY02572 pep chromosome:Theobroma_cacao_20110822:4:1343780:1348798:1 gene:TCM_017007 transcript:EOY02572 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING domain ligase2 isoform 3 MGSSSSREGSWRQNSSIRSNSPSWSSHQGYPQSSYGHESQGYAPRESQGYAPQYSYASPQYYPPTQDPQYHPPTQDPHYYPPTQDHDRDKRTLDRRYSRIADNYNSLDQVTEALANAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHHIGDGLNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPNERFCNGFEDVLSRYREIVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQRGQLSPQEQKTVDAIVQASKLPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTEIMSKNTAPSRKETEFALAALMEIPSQYKATIELNILGGRKGNVPERVPLPPPTYGAASFNSSKPSHSTSFQYGATSFSSSKPSNPTSFQPSVPPHPEDSSSVSSAAPAPSSTYDSQLCPICLSNSKDMAFGCGHQTCEDCAKDLQTCPICRSPIQTKIKLYF >EOY02571 pep chromosome:Theobroma_cacao_20110822:4:1345247:1348775:1 gene:TCM_017007 transcript:EOY02571 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING domain ligase2 isoform 3 MGSSSSREGSWRQNSSIRSNSPSWSSHQGYPQSSYGHESQGYAPRESQGYAPQYSYASPQYYPPTQDPQYHPPTQDPHYYPPTQDHDRDKRTLDRRYSRIADNYNSLDQVTEALANAGLESSNLIVGIDFTKSNEWTGKRSFNRKSLHHIGDGLNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPNERFCNGFEDVLSRYREIVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQRGQLSPQEQKTVDAIVQASKLPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTEIMSKNTAPSRKETEFALAALMEIPSQYKATIELNILGGRKGNVPERVPLPPPTYGAASFNSSKPSHSTSFQYGATSFSSSKPSNPTSFQPSVPPHPEDSSSVSSAAPAPSSTYDSQLCPICLSNSKDMAFGCGHQTCEDCAKDLQTCPICRSPIQTKIKLYF >EOY04001 pep chromosome:Theobroma_cacao_20110822:4:21313781:21315000:1 gene:TCM_019252 transcript:EOY04001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWNIHWVIHKNPLICFLSWVDLATSLNNGLLWKMARFAICWAIWTFRNDMVCNSKIWDGKQIFELSKVKVACWMHAKWLGHFTPITDLARFLHESNLPILQSKIKSTVSWSKPNEGSFKFNTDGSSKGCPGDSRISGVLRNGSSEVLVLFCKSVGIIDSNKAELLAVREATIIFVASRWCSPHSFILECDNCTVVKWLLNPKDVPWRLRVIVFQTSSFLAKIDMWTTKHIPRSVNEVADSLAKEGVHRLVDFLWIHEGYS >EOY02710 pep chromosome:Theobroma_cacao_20110822:4:1865837:1872923:1 gene:TCM_017104 transcript:EOY02710 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MDILFAQIQADLRSNDALRQSGALLSALQHSAAGRDISVIAKSAVEEIVAAPASAVCKKLAFDLIRSTRLTADLWDSVSIGIRNDLHFPDPDVVAAAVSILAAIPSYSLSKLISDVNAEISACFDSPSDSLRFSITETLGCVLARDDLVTLCENNVNLLDKVSAWWARIGLNMLDKSDTVSKVAFESVGRLFQEFDSKRMSRLAGDKLVDSENSLAIRSNWVSSMVDFVWKKRSALMARSLILPVESFRATVFPLVYAVKAVASGGLEVIRKISKGTKVNGTVVDSNAEKLVGVSDLVTHLAPFLASSLEPALIFEVGINMLYLADVPGGKPEWASQSIIAILTLWDRQEFTSARESIVRAVVTNLHLLDLHMQVSLFKRLLLMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPLAGTDIASLFEDARVKDDLHNVTSKSLFREELVATLVESCFQLSLPLPEQKNSGMESRVIGALAYGTGYGALNWTETALEVVEVCRPCVKWDCDRRTYAIDCYLKLLVRLCHIYDTRGGVKRVKDGASQDQILNETRLQNLQRDLVKDLREVNTPRICARLLWAISEHIDLEGLDPLLADDPEDPLNIIVSNIHKVLFNVDSSANTTNRPQDVQAVLLCAQRLGSRHARAGQLLTKELEEFRTNGLADSVSKHQCRMILQKIKYVSSHPESRWAGVSEARGDYPFSHHKLTVQFYEASAAQDRKLEGLVHKAILELWRPDPSELTLLLTKGIDSTSLKVPPTAHTLTGSSDPCYIEAYHLADAGDGRISLHLKVLNLTELELNRVDIRVGLSGSLYFMDGSPQALRQLRNLVSQDPVLCSVTVGVSHFERCGFWVQVLYYPFYGSGAVGDYEGDYAEEDPQIIRQKRSLRPELGEPVILRCQPYKIPLTELLLPHKISPVEFFRLWPSLPAIVEYTGTYIYEGSGFKATAAQQYGSSPFLSGLKSLYSKPFHRVCSHIIHTVAGFQLCYAAKTWHGGFLGMMIFGASEVSRNVDLGDETTTMMCKFVVRASDASITKQIESDPQGWLDGLTDGGVEYMPEDEVKVAAAERLRISMERIALLKAAQPKKTPKSDDEEEDEEEEEEDAEKKKDGEENGKPKGPSTLSKLTAEEAEHRALQAAVLQEWHMLCKDRSFKIS >EOY04241 pep chromosome:Theobroma_cacao_20110822:4:22973941:22978345:-1 gene:TCM_019503 transcript:EOY04241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASAVEQSSYSSRRHDEPEFNLREWGLKARISRENTTSRRYSASYIRSFREDARSFRSNITISSTASSPGYSLKDEIDPSTYSFTTALKALQARTVCSGWECLSPDGFALNSKWNEAEKYICNPLSGEVPMECLSAKTLSGRSFRNLTNRITMSAPLVYSHSCHIQTNPSRTVPEDVAQFPTPEKKAESMTRDVGTQSTPPDLSSGSLSPASTPSILERALKRCGTENGDSPNTNTKPRAEEQVEVKETGEREETIIDKAERRRKDELMCRCSRQPGCLSWMRRRQREKHKSRKRSIFFPHFKGC >EOY04242 pep chromosome:Theobroma_cacao_20110822:4:22973878:22978160:-1 gene:TCM_019503 transcript:EOY04242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASAVEQSSYSSRRHDEPEFNLREWGLKARISRENTTSRRYSASYIRSFREDARSFRSNITISSTASSPGYSLKDEIDPSTYSFTTALKALQARTVCSGWECLSPDGFALNSKWNEAEKYICNPLSGEVPMECLSAKTLSGRSFRNLTNRITMSAPLVYSHSCHIQTNPSRTVPEDVAQFPTPVHLIAEKKAESMTRDVGTQSTPPDLSSGSLSPASTPSILERALKRCGTENGDSPNTNTKPRAEEQVEVKETGEREETIIDKAERRRKDELMCRCSRQPGCLSWMRRRQREKHKSRKRSIFFPHFKGC >EOY03927 pep chromosome:Theobroma_cacao_20110822:4:20492848:20498242:1 gene:TCM_019141 transcript:EOY03927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 81, subfamily D, polypeptide 5, putative MMIRHIDVKQQFFTPLSLIFLLISLDFFFQSKRSHKNLPPSPPSLPILGHLHLPKLPIHRSYHTLSQKYGPIFSLQLGFRLQVVVSSSTAAEECFTKNDIILANRPKLIRGKHLGYNYTTLIASSYSDHRRNQGRS >EOY04971 pep chromosome:Theobroma_cacao_20110822:4:26679937:26684508:1 gene:TCM_020104 transcript:EOY04971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MRRWLCCSCQVEENYQSHENELLKSPKHHTDGHQKNSKVAAPAKLEVQKSAPPIEVPALSLEELKEKTDNFGSKALIGEGSYGRVYYANLNNGKAVAVKKLDVSSEPESNVEFLTQVSMVSRLKHDNLVELQGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPVLDWMQRVRIAVDAARGLEYLHEKVQPSVIHRDIRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKAPAPAPAPAPET >EOY04970 pep chromosome:Theobroma_cacao_20110822:4:26680747:26684608:1 gene:TCM_020104 transcript:EOY04970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MSSAIVQAHAPRPSYFVRLENTDDLYLKKRTRMRRWLCCSCQVEENYQSHENELLKSPKHHTDGHQKNSKVAAPAKLEVQKSAPPIEVPALSLEELKEKTDNFGSKALIGEGSYGRVYYANLNNGKAVAVKKLDVSSEPESNVEFLTQVSMVSRLKHDNLVELQGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPVLDWMQRVRIAVDAARGLEYLHEKVQPSVIHRDIRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKAPAPAPAPAPET >EOY04972 pep chromosome:Theobroma_cacao_20110822:4:26679937:26684508:1 gene:TCM_020104 transcript:EOY04972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MRRWLCCSCQVEENYQSHENELLKSPKHHTDGHQKNSKVAAPAKLEVQKSAPPIEVPALSLEELKEKTDNFGSKALIGEGSYGRVYYANLNNGKAVAVKKLDVSSEPESNVEFLTQVSMVSRLKHDNLVELQGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPVLDWMQRVRIAVDAARGLEYLHEKVQPSVIHRDIRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKAPAPAPAPAPET >EOY03752 pep chromosome:Theobroma_cacao_20110822:4:19016106:19016782:1 gene:TCM_018918 transcript:EOY03752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMRSDKLKNPGLSFALAVLLILLNTIVSQAALISRDSSSSTKANIAEYIGEEEFLMESGAGVNARLLASNYISYDALQKGQPFPGDKYKTILFPFTICVNVLLLLFFFPCFSSGLL >EOY03599 pep chromosome:Theobroma_cacao_20110822:4:17361971:17364529:-1 gene:TCM_018699 transcript:EOY03599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEGKEVGEESKEERVGEVKEGVASIALLPSGSLSGHFIQLPQSICYGLHGTELACEMECSRGEDYRLIKLTIIDYNSKKEHAVVVECRGHDAARFHNIDHAHGWEKDVVDMVERKEGKKKILISFECETLKSDKAAEDHIKQFMPKLSGLDAVVNIGRMSISGLDFEAEAADSKQDLSTA >EOY05491 pep chromosome:Theobroma_cacao_20110822:4:28749006:28750160:-1 gene:TCM_020478 transcript:EOY05491 gene_biotype:protein_coding transcript_biotype:protein_coding description:AWPM-19-like family protein MASGGSKPTALILLFLNLGLYIIVTLIAGWAVNHAIERTHETASVLSIPARIFPIFFPMGNMATGFFIVFSLIAGVVGVANSVTGLTNVLQWDAPNLNAAASSSLLTWALTLLAMGLACKEINIGWTEANLRTLEVLTIIASATQLFCTGAIHAGAEEVGPKRMQAAGPV >EOY02831 pep chromosome:Theobroma_cacao_20110822:4:2451208:2460672:1 gene:TCM_017234 transcript:EOY02831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSWMERPQGIIVLLMSIPQALYNQLQYTMYLLVLHAPGSPSISGLVFENTTSFYKRSSAKSRKFLVMADWAPVLVGVVLFVLLSPGLLFSFPGNSKQLEFGSMKTNGKAITIHTLLFFAIYAVLILAVHLHIYTG >EOY03054 pep chromosome:Theobroma_cacao_20110822:4:4025026:4028943:1 gene:TCM_017480 transcript:EOY03054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKDLEKVKDYVNKLMRVVNQIRLLGEDLSERRVVQKALVSLLERFELTIASLEGSKELSKLSFSDVAYALQAVEQRKVIRSDSITENALFARMKGKTVGESSMRKDSDEQEEKEKRGLFHFLRYGMRPSVKHLQVFESICYGCRPKEHRNKFLRKVQIGVLAGYSLHSKAYKIYLVYSGKISISTNFASDKNSEWNWNQSQVDEDEIVD >EOY06955 pep chromosome:Theobroma_cacao_20110822:4:33463639:33477555:1 gene:TCM_021516 transcript:EOY06955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase methylesterase 1 MASWKKTITSQFKKACTFFNQQPRDKKSQRGTYFVGAGHENRVMDLHGEVQACAYEDVQVMWSILGKSKSTACHINS >EOY06458 pep chromosome:Theobroma_cacao_20110822:4:31987380:31989360:-1 gene:TCM_021172 transcript:EOY06458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative isoform 1 MFNLSRKNNSILHRLSYIVVNNSSNSLLFLKVRCISNTCSDLSQSFAYSYLIDKFGFSQQSALAASKYLRFKTPDQPDSVIAFLEKHGFSRTQIQQMIRSRPNLLSSNVEKTLLPKLEFFRSRGVSSPDLIKFLFGNPTILTRSLENQVIPCFNHLSSLLQSDDKAVKAIKRYPRLVTCNFDAYMLPNINILLDNGVPESYIRTMFFHHPRYFVMIPDRFKEIVKEVKEMGFDPLLMKFLRAVIMFRKMSKPTLERKFDVYKKWGWSEQEIWEAIRKNPQCVDFSEETIMARMDFLVNRMGFKPLHIANQPSVICRSLEKRIVPRGLYARELLSKGLMKKFTLSGLFDISEKTFFERLINRYEDIAPQLLKLYKEKVKLAIGGKY >EOY06460 pep chromosome:Theobroma_cacao_20110822:4:31987380:31989360:-1 gene:TCM_021172 transcript:EOY06460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative isoform 1 MFNLSRKNNSILHRLSYIVVNNSSNSLLFLKVRCISNTCSDLSQSFAYSYLIDKFGFSQQSALAASKYLRFKTPDQPDSVIAFLEKHGFSRTQIQQMIRSRPNLLSSNVEKTLLPKLEFFRSRGVSSPDLIKFLFGNPTILTRSLENQVIPCFNHLSSLLQSDDKAVKAIKRYPRLVTCNFDAYMLPNINILLDNGVPESYIRTMFFHHPRYFVMIPDRFKEIVKEVKEMGFDPLLMKFLRAVIMFRKMSKPTLERKFDVYKKWGWSEQEIWEAIRKNPQCVDFSEETIMARMDFLVNRMGFKPLHIANQPSVICRSLEKRIVPRGLYARELLSKGLMKKFTLSGLFDISEKTFFERLINRYEDIAPQLLKLYKEKVKLAIGGKY >EOY06459 pep chromosome:Theobroma_cacao_20110822:4:31987386:31989360:-1 gene:TCM_021172 transcript:EOY06459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative isoform 1 MFNLSRKNNSILHRLSYIVVNNSSNSLLFLKVRCISNTCSDLSQSFAYSYLIDKFGFSQQSALAASKYLRFKTPDQPDSVIAFLEKHGFSRTQIQQMIRSRPNLLSSNVEKTLLPKLEFFRSRGVSSPDLIKFLFGNPTILTRSLENQVIPCFNHLSSLLQSDDKAVKAIKRYPRLVTCNFDAYMLPNINILLDNGVPESYIRTMFFHHPRYFVMIPDRFKEIVKEVKEMGFDPLLMKFLRAVIMFRKMSKPTLERKFDVYKKWGWSEQEIWEAIRKNPQCVDFSEETIMARMDFLVNRMGFKPLHIANQPSVICRSLEKRIVPRGLYARELLSKGLMKKFTLSGLFDISEKTFFERLINRYEDIAPQLLKLYKEKVKLAIGGKY >EOY04479 pep chromosome:Theobroma_cacao_20110822:4:24543818:24545912:1 gene:TCM_019721 transcript:EOY04479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSARTFEFDVTISADKNWKKREEKRKPVAGAVKPNRRLLVFSVFIRKPSTLSSLLEHQADPIVAGLLSGFSSQMSVKLREKIFQIQNIGGLVQFYV >EOY04961 pep chromosome:Theobroma_cacao_20110822:4:26648739:26653343:1 gene:TCM_020100 transcript:EOY04961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MRKRWLSPLISYSKSLLFSAQNPQLPRQNLFSPLTRFISSSSPDNLDGLIDPDDPFSVQNNPPVEPVSAQDFAFIGAGSGPSQEKLNVGKFSNDAVLIANAILSDSGEFGDKTQIFLKQFREKLNEKLVVEVLNLAKLKPELGVKFFLWAGRQIGYSHTAPVFNSLLDLLESGNSDRIPEKFLCEIRNEDTEVLKRLLNLLIRKYCKNGLWNVALEELGRLKDFGYKPSGATYCALIQVFLQADRLDTAHLVHREMSDAGFRMDRYTLTCYAYSLCRVGQWREALRLIEKEEFKPDTVGYTKMISGLCEASLFEEAMDFLNRMRANSCIPNVVTYKVLLCGCLNKRQLGRCKRILNMMITEGCYPSPNIFNSLVHAYCKSGDFSYAYKLLKKMVKCGCQPGYVVYNILIGGICANEELPSTDVLELAENAYSEMLAAGVVLNKINVSNLARCLCSIGKFEKACKIIHEMMSKGFIPDTSTYAKVIAHLCNASKVENAFLLFEEMKKNGVGPDVYTYTILIDSFCKAGLIEQARNWFDEMVGGGCAPNVVTYTALIHAYLKARKVSKADELFEMMLSQGCIPNVVTYTALIDGHCKAGQIEKACQIYARMHTNVEIPDVDLYFKVVDSDAKVPNVFTYGALVDGLCKAHKVKEARDLLEAMSTVGCKPNHVVYDALIDGFCKGGKLDEAQEVFSKMSEHGYSPNIYTYSSLIDRLFKDKRLDLALKVLSKMLENSCAPNVVIYTEMIDGLCKADKTDEAYKLMLMMEEKGCYPNVVTYTAMIDGFGKAGKINKSLELLEQMGSKGCAPNFITYGVLINHCCAAGLLDKAYELLEEMKQTYWPRHMAGYRKVIEGFNREFITSLGLLDEIGKSETLPVIPVYRVLINNFLKAGKLEVALQLHNEIASFSPISAAYKSTYDALIESLSLAHKVNKAFELYADMIRMGGVPELSTFIHLIKGLITVNKWEEALQLSDSLCQMDIQWLQEKETPDAA >EOY04962 pep chromosome:Theobroma_cacao_20110822:4:26648739:26653341:1 gene:TCM_020100 transcript:EOY04962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MRKRWLSPLISYSKSLLFSAQNPQLPRQNLFSPLTRFISSSSPDNLDGLIDPDDPFSVQNNPPVEPVSAQDFAFIGAGSGPSQEKLNVGKFSNDAVLIANAILSDSGEFGDKTQIFLKQFREKLNEKLVVEVLNLAKLKPELGVKFFLWAGRQIGYSHTAPVFNSLLDLLESGNSDRIPEKFLCEIRNEDTEVLKRLLNLLIRKYCKNGLWNVALEELGRLKDFGYKPSGATYCALIQVFLQADRLDTAHLVHREMSDAGFRMDRYTLTCYAYSLCRVGQWREALRLIEKEEFKPDTVGYTKMISGLCEASLFEEAMDFLNRMRANSCIPNVVTYKVLLCGCLNKRQLGRCKRILNMMITEGCYPSPNIFNSLVHAYCKSGDFSYAYKLLKKMVKCGCQPGYVVYNILIGGICANEELPSTDVLELAENAYSEMLAAGVVLNKINVSNLARCLCSIGKFEKACKIIHEMMSKGFIPDTSTYAKVIAHLCNASKVENAFLLFEEMKKNGVGPDVYTYTILIDSFCKAGLIEQARNWFDEMVGGGCAPNVVTYTALIHAYLKARKVSKADELFEMMLSQGCIPNVVTYTALIDGHCKAGQIEKACQIYARMHTNVEIPDVDLYFKVVDSDAKVPNVFTYGALVDGLCKAHKVKEARDLLEAMSTVGCKPNHVVYDALIDGFCKGGKLDEAQEVFSKMSEHGYSPNIYTYSSLIDRLFKDKRLDLALKVLSKMLENSCAPNVVIYTEMIDGLCKADKTDEAYKLMLMMEEKGCYPNVVTYTAMIDGFGKAGKINKSLELLEQMGSKGCAPNFITYGVLINHCCAAGLLDKAYELLEEMKQTYWPRHMAGYRKVIEGFNREFITSLGLLDEIGKSETLPVIPVYRVLINNFLKAGKLEVALQLHNEIASFSPISAAYKSTYDALIESLSLAHKVNKAFELYADMIRMGGVPELSTFIHLIKGLITVNKWEEALQLSDSLCQMDIQWLQEKETPDAA >EOY04960 pep chromosome:Theobroma_cacao_20110822:4:26648739:26653979:1 gene:TCM_020100 transcript:EOY04960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MRKRWLSPLISYSKSLLFSAQNPQLPRQNLFSPLTRFISSSSPDNLDGLIDPDDPFSVQNNPPVEPVSAQDFAFIGAGSGPSQEKLNVGKFSNDAVLIANAILSDSGEFGDKTQIFLKQFREKLNEKLVVEVLNLAKLKPELGVKFFLWAGRQIGYSHTAPVFNSLLDLLESGNSDRIPEKFLCEIRNEDTEVLKRLLNLLIRKYCKNGLWNVALEELGRLKDFGYKPSGATYCALIQVFLQADRLDTAHLVHREMSDAGFRMDRYTLTCYAYSLCRVGQWREALRLIEKEEFKPDTVGYTKMISGLCEASLFEEAMDFLNRMRANSCIPNVVTYKVLLCGCLNKRQLGRCKRILNMMITEGCYPSPNIFNSLVHAYCKSGDFSYAYKLLKKMVKCGCQPGYVVYNILIGGICANEELPSTDVLELAENAYSEMLAAGVVLNKINVSNLARCLCSIGKFEKACKIIHEMMSKGFIPDTSTYAKVIAHLCNASKVENAFLLFEEMKKNGVGPDVYTYTILIDSFCKAGLIEQARNWFDEMVGGGCAPNVVTYTALIHAYLKARKVSKADELFEMMLSQGCIPNVVTYTALIDGHCKAGQIEKACQIYARMHTNVEIPDVDLYFKVVDSDAKVPNVFTYGALVDGLCKAHKVKEARDLLEAMSTVGCKPNHVVYDALIDGFCKGGKLDEAQEVFSKMSEHGYSPNIYTYSSLIDRLFKDKRLDLALKVLSKMLENSCAPNVVIYTEMIDGLCKADKTDEAYKLMLMMEEKGCYPNVVTYTAMIDGFGKAGKINKSLELLEQMGSKGCAPNFITYGVLINHCCAAGLLDKAYELLEEMKQTYWPRHMAGYRKVIEGFNREFITSLGLLDEIGKSETLPVIPVYRVLINNFLKAGKLEVALQLHNEIASFSPISAAYKSTYDALIESLSLAHKVNKAFELYADMIRMGGVPELSTFIHLIKGLITVNKWEEALQLSDSLCQMDIQWLQEKETPDAA >EOY04963 pep chromosome:Theobroma_cacao_20110822:4:26648711:26654294:1 gene:TCM_020100 transcript:EOY04963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MRKRWLSPLISYSKSLLFSAQNPQLPRQNLFSPLTRFISSSSPDNLDGLIDPDDPFSVQNNPPVEPVSAQDFAFIGAGSGPSQEKLNVGKFSNDAVLIANAILSDSGEFGDKTQIFLKQFREKLNEKLVVEVLNLAKLKPELGVKFFLWAGRQIGYSHTAPVFNSLLDLLESGNSDRIPEKFLCEIRNEDTEVLKRLLNLLIRKYCKNGLWNVALEELGRLKDFGYKPSGATYCALIQVFLQADRLDTAHLVHREMSDAGFRMDRYTLTCYAYSLCRVGQWREALRLIEKEEFKPDTVGYTKMISGLCEASLFEEAMDFLNRMRANSCIPNVVTYKVLLCGCLNKRQLGRCKRILNMMITEGCYPSPNIFNSLVHAYCKSGDFSYAYKLLKKMVKCGCQPGYVVYNILIGGICANEELPSTDVLELAENAYSEMLAAGVVLNKINVSNLARCLCSIGKFEKACKIIHEMMSKGFIPDTSTYAKVIAHLCNASKVENAFLLFEEMKKNGVGPDVYTYTILIDSFCKAGLIEQARNWFDEMVGGGCAPNVVTYTALIHAYLKARKVSKADELFEMMLSQGCIPNVVTYTALIDGHCKAGQIEKACQIYARMHTNVEIPDVDLYFKVVDSDAKVPNVFTYGALVDGLCKAHKVKEARDLLEAMSTVGCKPNHVVYDALIDGFCKGGKLDEAQEVFSKMSEHGYSPNIYTYSSLIDRLFKDKRLDLALKVLSKMLENSCAPNVVIYTEMIDGLCKADKTDEAYKLMLMMEEKGCYPNVVTYTAMIDGFGKAGKINKSLELLEQMGSKGCAPNFITYGVLINHCCAAGLLDKAYELLEEMKQTYWPRHMAGYRKVIEGFNREFITSLGLLDEIGKSETLPVIPVYRVLINNFLKAGKLEVALQLHNEIASFSPISAAYKSTYDALIESLSLAHKVNKAFELYADMIRMGGVPELSTFIHLIKGLITVNKWEEALQLSDSLCQMDIQWLQEKETPDAA >EOY03717 pep chromosome:Theobroma_cacao_20110822:4:18341688:18347972:1 gene:TCM_018831 transcript:EOY03717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENISMIIPITFLVPFFQFAFFITSEQPPYIQTDNITLNCKALSDSHGSDGRFWAGDKSSKFGPFESSRDSPSAPYEAANQGGFVKTVPYMNARVSSSEFKYNFPEFCLNVEENQGLELIFSPTPSSSNDTYAFINGIEIVSMPPNLYYTPSDLLKGSGLITDYILSESYFTVNTTVPLNYAMIPKYTAPEEVYQTARTMGPDGDYNKKTQHNMGIARGFRIHVYASNVPSYKDYVLLMSKEENKQDITIALHPKYYNVILNGIEVFKVNGSDGNLARPNPEPLLAPPPPESFNPTSGKSKTKRRVVLL >EOY06167 pep chromosome:Theobroma_cacao_20110822:4:31166870:31169014:-1 gene:TCM_020980 transcript:EOY06167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14p/L23e family protein MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >EOY06024 pep chromosome:Theobroma_cacao_20110822:4:30668025:30669367:-1 gene:TCM_020877 transcript:EOY06024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKAFLLVFLLGVVVLMITTPSLANQHHDNEPYKGKGGKPFPGQKPPTHPGEKGKGKEPPVHGEKPPHRHLLSEDVEDTHKPPKTTGGKPPKGKGEKPPQGHELPNGKEPKKPFPGDKPPKGEGKEPPHDHKPPHRRLLGEDVEDSREPPRFSGGKPPKGKGEKPPHGHELPNGKEPKKPFPGDKPPKGEGKEPPHDHKPPHRRLLGEDVEDSHKPPIFSGGKPPKGKGETPPLEHKPPHKRHPGQGTEASRKTPINKLKPKVPPYKPPHKPPHGN >EOY03745 pep chromosome:Theobroma_cacao_20110822:4:18935951:18943245:-1 gene:TCM_018909 transcript:EOY03745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVSMRKGFSLGILLITLIILLNTSPSGATSASLLKDFHDLYATIADNMELEFLHRSNIGRMLADDEESFVTSGALIAGEAVLKTCGRGRSYGSCLPPPTNPIPVPDTCGIYKRGRCPKPNA >EOY04502 pep chromosome:Theobroma_cacao_20110822:4:24701691:24705064:-1 gene:TCM_019747 transcript:EOY04502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MRQGRWNSQLPREMRLEGSFFQPFEKNFVCPAAGAEVQRLKSENPALRLPPGPRKLPLIGNMHQLVGSLPHHSLTNLAKKHGSLIHLKTGAVSNIVISSPELAKEALKTNDIIFASRSCLLVSKIMSYDSTNIVFSPYGNYWRQDMFSAGSETSLITVEWTLAEMLKNTRIMEKATNEVRQVFDGRGNVDEAGIHEPKFLKAVIKETLRLHPAVPLILRECRNNCKLNGYDIPIKSKVLVNAGAIGRDPNYWNDAETFYPERFLESSIDLKGTDFKYIPFGAGRRICPGISFALSNIELPIAQLLYHFDWKLPHGMKPEELDMTEALGLSIRRRHELFAIPIPYHPLPVN >EOY02317 pep chromosome:Theobroma_cacao_20110822:4:411263:418880:-1 gene:TCM_016832 transcript:EOY02317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein, putative MLEFLKNTFLSWLLHRLIYENFCEVISKMTIIDAFLFLINLIFKLLTITFSCLCVCFEQFVHSKDNLGIWHRLPVILGLIHLAARRHLHQEYNLLNVGQSPSGVRFNPGNYPYRTSDGRFNDPFNEGAGTCFLLIINIRKYLKLVQLMRPDPMIELIAPKAVAAKCPPASFKFYKTKVFPTGFCEIKNGAKNIRTPWWDGSVIYGANVERLRKLRTFKDGKLKISEDGLLLHDQDGIALSGDVRNSWAGVSTLQALFIKEHNAVCDAIKIQTIDWTVELLKTDILLAGMRTNWYGLLGKKFKDKFGHVGGAILGGVVGLKKPDNHGVPYSLTEEFVSVYRMHSLLPDYLYLRDTKAAPGPNKSPPLAGKIPMANLIAQDVDAKDRPDRVDMAALEIYRDRERNVARYNQVRRALFLIPISKWEDLTDNKKEILLGNYRIIQTLKEVYDDDVEELDLLVGLMAEKKIKGFAISETAFFIFLLMATKQSNIYIIKFKKGYWKYKGRGKERNF >EOY06633 pep chromosome:Theobroma_cacao_20110822:4:32497927:32500029:-1 gene:TCM_021296 transcript:EOY06633 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA (guanine-N-7) methyltransferase isoform 1 MLENKANPTINKSTGLPRKRFYRARAHSNPLSDSHFPIPLSPSHVDYSLHYPQLFPSSDQINGSKIQFADIGCGFGGLLISLSTLFPETLMIGMELRDKVTEYVKERISRLRVANPGQYQNISVVRTNSMKYIPNYFEKGQLSKMFFLFPDPHFKEKNHRRRVISPHLLHEYAYVLQVGGIIYTITDVEELGEWMKSCLENHPMFEALSQEELEADPAVKLLSTATEEGQKVARNGGQTFQAVYRRIVPAS >EOY06632 pep chromosome:Theobroma_cacao_20110822:4:32497936:32500004:-1 gene:TCM_021296 transcript:EOY06632 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA (guanine-N-7) methyltransferase isoform 1 MLENKANPTINKSTGLPRKRFYRARAHSNPLSDSHFPIPLSPSHVDYSLHYPQLFPSSDQINGSKIQFADIGCGFGGLLISLSTLFPETLMIGMELRDKVTEYVKERISRLRVANPGQYQNISVVRTNSMKYIPNYFEKGQLSKMFFLFPDPHFKEKNHRRRVISPHLLHEYAYVLQVGGIIYTITDVEELGEWMKSCLENHPMFEALSQEELEADPAVKLLSTATEEGQKVARNGGQTFQAVYRRIVPAS >EOY03294 pep chromosome:Theobroma_cacao_20110822:4:11210337:11216737:1 gene:TCM_018138 transcript:EOY03294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFYWIMKGEIRFAKRGKLNPRYIGPFRIIERIGLVAYRLELPPELDRIHNVFHVSMLKKYVPDPSHVLEAPPIELHDDLKFEVQPVSILDRKDRVLRNKSISMVKVLWKSARMEEMTWEVKHQMRNQYPHLFVESGGEPSGKGKEVVPDE >EOY05325 pep chromosome:Theobroma_cacao_20110822:4:28094037:28096674:1 gene:TCM_020349 transcript:EOY05325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein, putative MESRICMLLLYSTMAATLFIHCDARKSMGLIKDPKHSRSSVIKHTKKLQLMSKLMSLVIEQPTDTSNTQPYGVSSPFSLPPFDSLPPVNSPPYCVNPPITPGTPTTLLPPPSGTTLSPPSPSSILPPVLPGQSPPPSSGGGAVPSPPQFSVTPNPPAIFPGPPQAIPTPTIYIPSPSGPGSPPYFEPSPPSIVLSPPFYVPSPFGFNPSPPVFLPPIVFPPPTVPPPPNVAPSIALWCVAKPSVPDPIIQEAMNYACGSGADCDSIQPSGSCFQPDTLFAHASYAFNSYWQKTKVAGGTCEFGGTAILVTVDPSYDGCHFEYH >EOY03266 pep chromosome:Theobroma_cacao_20110822:4:10214385:10242282:1 gene:TCM_018051 transcript:EOY03266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPSELKELKDQLEDLLDKGFIHPNVSPWGAPVLFVKKKDGSLRLCIDYRQLNKVTVKNKYGHYEFLVMSFGLTNAPAAFMDLMNRVFKPYLDKLMVVFIDDMLINSRSREEHEQHLKIMLQTLREHQLYANFSKCEFWLESVAFLGHVVSKDGVQVNPKKVEHGKVIAYASRQLKRHEQNYPLHDLQMVAIVFALKIWRHYLYGKCGGRCLRSKINGELAHISTDRRLLIKEMHSLGDMGVHLEVSEANALLAHFRVRHILMDWIKEAQSKDEFVAKALENLQGRKGKMFTKGTDGVLRYGTKLYVPASDGLRREIFEEAHMAAYVVHPGATKMYQDLREVEDHVFFKVSPTKRVMRFGKKEKLSPRYIGPFEILERVRAIAYRLALPPDLSNIHPMFHVSMLRKYKLNPSHVIRYETIQLKDDLTYKEQSVAILDQQVKKLRSKDVASVKVLWRNQTNKEVMWDTEDEIGTKYPHLFNV >EOY03903 pep chromosome:Theobroma_cacao_20110822:4:20317671:20321778:-1 gene:TCM_019122 transcript:EOY03903 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein MFKLVEMGMTKKVTDCLQACYKSMPLSASPVRLKRSKMAKQWLVFRATIVLVLGTLASAATTSSVTFVFGDSLAEVGNNNHLQYSLARSDYPWYGIDYTGKQATGRFTNGRTIGDIISEKLGISPPPPYLSLLQNDDAILKGVNYASGGAGILNDTGLYFIQRLTFDDQIDYFKKTKENIRAKIGEEAANKLCNEAMYFIGIGSNDYVNNFLQPFLPDGQQYTHDEFVELLTSTLEQQLLRLYQLGARKVLYHGLGPLGCIPSQRVKSKTGQCLTRVNEWVSEFNSKVQYLINSINRRVPNAQMIFADTYPAVLDLIDNPSTYGFKISNTSCCNVDTSIGGLCLPNSKLCNERNDYVFWDAFHPSDAANQVLAEKLLSSLSSASAPTPAPAPKPH >EOY05599 pep chromosome:Theobroma_cacao_20110822:4:29102089:29104305:1 gene:TCM_020559 transcript:EOY05599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSPTAVCKDHSIFVCSSSNRLFNLKESKVIAVSKNPKKNWVYCTSNSTTPTCLHFLFCFDRPLLAVCCKQKLRRPPPTKLRRLTIFNLREIKSKVFFCLWWPDSHTVVENY >EOY03824 pep chromosome:Theobroma_cacao_20110822:4:19628357:19632330:-1 gene:TCM_019015 transcript:EOY03824 gene_biotype:protein_coding transcript_biotype:protein_coding description:BES1-interacting Myc-like protein 2, putative isoform 2 FLRAKKEKNIYIYIYPTISPFLLSAKPDPPDSFEPLSWSSISRPAAPSLFFLAENFYRYFFKKILKMVKPVATAQLHQEEEDEDDYEDKGETVKEGKGSEPKANTNRSKHSETEQRRRSKINERFQTLRDLIPQNDQKRDKASFLLEVIEYIQFLQEKLQMYEGSYQGWSQEPTKLIPWRNHGLPESFIDNSQVMKNGSSCENNVIIPSILANTQNSIESDLGNAAVFKALDHPPGSATSVVNMQTQPNTFATHGRGSIPTQSFHESASDSENVVYRPQFQSWQSRECLTESAVPNNSANGQEDLTIRDDSVGLSSAYSQGLQVWICHRQASLCKLMLVNE >EOY03823 pep chromosome:Theobroma_cacao_20110822:4:19627960:19632242:-1 gene:TCM_019015 transcript:EOY03823 gene_biotype:protein_coding transcript_biotype:protein_coding description:BES1-interacting Myc-like protein 2, putative isoform 2 MVKPVATAQLHQEEEDEDDYEDKGETVKEGKGSEPKANTNRSKHSETEQRRRSKINERFQTLRDLIPQNDQKRDKASFLLEVIEYIQFLQEKLQMYEGSYQGWSQEPTKLIPWRNHGLPESFIDNSQVMKNGSSFFKALDHPPGSATSVVNMQTQPNTFATHGRGSIPTQSFHESASDSENVVYRPQFQSWQSRECLTESAVPNNSANGQEDLTIRDDSVGLSSAYSQGILNSLTQALQSSGVDMSQASISVQIDVGKRVASGVTSMASSSKEKEIQYRSNQMMAQTGAHSYTEESDQAYKRRRTGKS >EOY03822 pep chromosome:Theobroma_cacao_20110822:4:19627927:19635671:-1 gene:TCM_019015 transcript:EOY03822 gene_biotype:protein_coding transcript_biotype:protein_coding description:BES1-interacting Myc-like protein 2, putative isoform 2 MVKPVATAQLHQEEEDEDDYEDKGETVKEGKGSEPKANTNRSKHSETEQRRRSKINERFQTLRDLIPQNDQKRDKASFLLEVIEYIQFLQEKLQMYEGSYQGWSQEPTKLIPWRNHGLPESFIDNSQVMKNGSSCENNVIIPSILANTQNSIESDLGNAAVFKALDHPPGSATSVVNMQTQPNTFATHGRGSIPTQSFHESASDSENVVYRPQFQSWQSRECLTESAVPNNSANGQEDLTIRDDSVGLSSAYSQGILNSLTQALQSSGVDMSQASISVQIDVGKRVASGVTSMASSSKEKEIQYRSNQMMAQTGAHSYTEESDQAYKRRRTGKS >EOY04367 pep chromosome:Theobroma_cacao_20110822:4:24058875:24064201:1 gene:TCM_019637 transcript:EOY04367 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor-like A1A MSKINHLQILQYNSCAMRFGVFKVGNQVSLRFGPCNLRCSEFGIFNNVKLSRAGQLRTKFPSWSSSSLMEMESGKKFKSSECSRKLDFPKTKLYKDKTKRKPTGHPREETNPDTFCSAKFPGLPFFPSRSLPWWSFSFSISFSLSPCKRQQLQFSQMGLWDSLLNWLRSFAAGNVWWILEDFISHTLDLSASVLLTEYLPGSLFFKQEMELSLVGLQNAGKTSLVNAIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAIVYVVDAADRDGVPISRTELHDLLTKPSLSGIPLLVLGNKIDKSEALSKQALVDQLGLESVTDREVCCYMISCKDSVNIDVVIDWLIKHSRTAK >EOY03067 pep chromosome:Theobroma_cacao_20110822:4:4195698:4202327:-1 gene:TCM_017494 transcript:EOY03067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTIMRDVETMTKTSCMDFVLEKSENHENIDGNKDQVINKSCHPKRPKNAFVFYYESICGENEKDKKMKLTNKVMGDIAKTFASLPDERKLVFKNLAIVHRLRYLEEKKEFNAKVNKIVFLDHISGARFDNKYGQHFLPRIAVWNQEKVDGWLTRIKDFKNPKNVQAYIITPTMDMKSEGKLSFDSHLFSQIKDICKCVDNKEELKSEIKSLKYEIEKLKGLLEYDKNNQQVKEKENEGDKEYLLDAASFAPTLVNKEHLSYQIHNVKQKVYKNAIKKSSEEKIVDFIDTYAIRSEMMTLEPRKWLDDTDYILRNPATDFKDLNQRGLQPYRSSIQNCEMIFVPMNDSNMHWYLCVLNLKEKVIKVFDSLPLSRGETRRLNAIKKVVAYFEKLFEGTLFASKETCWEIIVPNDVPRQSNSYDCAMYVCKFMQTVHLNSYHEVCVEKLDRSLLLAYLVKHPHNKNRSVVMNVVHNGLHNKNIDYDATRKYERR >EOY06748 pep chromosome:Theobroma_cacao_20110822:4:32823558:32825341:1 gene:TCM_021382 transcript:EOY06748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase/isomerase A MGQSSSEKLILVNREPDGIATITINRPASLNSLTRPMITDLAQAFKALGRDDSVRVIILSGSGRAFCSGVDLTVAQEVFKGDVKDPESDPVFQMELCPKPIIGAINGFAVTAGFEISLTCDIVVAAKGAKFMDTHARFGIFPSWGLSQKLPRIIGPNKAREVSLTAMPLTAEQAEKLGFVNYVVDESELLKKARQLAGAIVKNNQDMVVRYKSVINDGFKLDLHHALALEKERAHKYYDGMTTEQFKKMQEFIAGRNSKKRSSKL >EOY04706 pep chromosome:Theobroma_cacao_20110822:4:25587158:25589210:1 gene:TCM_019897 transcript:EOY04706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MRDTATSCMVVDALIIAMVFAAIIAVPGNNEKGIPNFRHETLFEVFVIADAAALFSSSASLLLFVRILTSRYAEEDFIKALPKSLLLGLITLIFSIATMLVASSSALIMLIDAVPGPKVMPRKSTVIPVAILVAFPIIFFIWSQSHLLIDVLLSTYCPTILCY >EOY03741 pep chromosome:Theobroma_cacao_20110822:4:18872689:18892113:1 gene:TCM_018903 transcript:EOY03741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein, putative MKNTRKGYVSLQKLSIPAPFYFMLLLQNLNISVAYTPPDNIALDCGSINNNTDITGRLWLGDTKYLDQPNNIESVVLSVSDNQKLKISFTSNHSMPDSYAFINGIEIVSMPDNLYYSADNDTGFLFVNELTFTRIGKNMALEMLYRTNVGGKFLSPSEDTGMCRSWSTDDDYLTDARPSALPFNTSIKLNFSKIRYYTAPVPIYVCGRSMGNDKTMNGNYRLTWQFQVDPGFTYLVRLHFCEFQSEITAVGDRVFQIYIHDLVAENEADVVSWAGGSRIPVYRDYAVMIAEAGKQKKTNLSIALHPGPVRDTLYSDAILNGLDIFKLSKDGNLAGSNPDLDLNLQMSPPSGASPSQLVKPKNDKATIITAVGGVVAGLFTISLLCFMISRFGSVHCNSWCALSFCSKTEPTSTYGPVLPSDMCRHFSLGEIKTATNNFENTSVIGAGGFGNVYKAFIDGGSKAVAIKRLNPGSQKGAHEFRTEIEMLSQLRHHNLVSLIGYCEDNNEMILVYDYMVHGALRDHLYKTNKPPLDWEQRLRICIGAAHGLHYLHRGPNHTIIHRDVKTTNILLGEKWVAKVSDFGLSKMNNLSKTHISTVVKGSFGYLDPEYYRLLRLTEKSDVYSFGVVLCEVLCARAPLDPTVDHMQISLVEWAQHCYNNGTLDQIIDPYLQGKINPASLKKFDEVAINCLASEGIKRPAMSEVVCGLELALQLQESEMNAKAENHLNDSTVIDYHVLFTSGSGSMNTGR >EOY06505 pep chromosome:Theobroma_cacao_20110822:4:32119104:32121715:-1 gene:TCM_021202 transcript:EOY06505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic peroxidase 2 precursor MVRIRITRYDQESSKAMRRGSRVLRTTKKMSPSAQMASLFTSLLFLLAIFFISPAVSLPLKIGFYQKTCPSAEAIVMSTVKAALASDPGIPAALIRLHFHDCFVRGCDASILLDSTPSNRAEKDSMGNKGVQGFQVIDEAKAKIEAQCPNTVSCSDILAFAARDSVTLAGGLHYGVPSGRGDGRVSSAAEVTQNLPDAFFNVTQLKQNFARKGLSMEEMVTLSGAHSIGDSHCSSFSKRLYSFDKTSTQDPSMDPSYARLLKAKCPRPLNTRTGPDPLVPFDPVTPNRLDNNYYKNLKSSKGLLASDQVLWNSMLTRKFVKENVNHPSAWAAKFAAAMVHMGSIDVLTGKQGEIRRNCRVVN >EOY02780 pep chromosome:Theobroma_cacao_20110822:4:2248954:2251351:1 gene:TCM_017180 transcript:EOY02780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein, putative MAEDFGDDLMDSLHMSPRQKIQICKAMREAHPNHNGFSSSRSSFGDSSGVEPQDADYSYVPQLSDELEVLILARFPMSEYWKLYLLNKNFLHLLRSGELFKIRREIGFKESSIFMAPSGGKCWWAFDRLFKSCRKLPELPSSDGCLISGDRESLCAGSHLIVSGRETEGPVVWRFELETSKWFKGPSMIDPRCLFASATCGTFAFVAGGIGKNTKTLNSAEKYNPETKLWELLPRMRQKRNLCSGCFMDNRFYVIGGRDGQKNELTCGEAYDQDKNAWELIPDMLKDTTIATGLSPPLVAVVNNELYGLETSSNELRVYLKSSNTWKKLGPVPVRADLHRGWGVAFKSLGNELLVIGFSSSGSSQAMTIYTCSPQPDTEELQWRCIEGCRDRLNFFLLNCTVMVA >EOY02411 pep chromosome:Theobroma_cacao_20110822:4:712849:715252:-1 gene:TCM_016894 transcript:EOY02411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome assembly chaperone 3 MGGLEPRFPVPYKNFSLEIKGNSTDLVVCRYDDHFLVMATQIGTMGTILHARKEEGVSIQPTFHVSVIFGKRDEPMLLAIARQLIEQISSSASSLPLVLSLGLKDHSAETLKGIVSAVMENRLCLQ >EOY06131 pep chromosome:Theobroma_cacao_20110822:4:31002879:31005126:-1 gene:TCM_020950 transcript:EOY06131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyanate hydratase MEIEDFCWIFGSGSLPGCKYGLRWLKGPSKWVRMCYSRSCFFGCKSGLTMKQNPKHIKMEDSQNKANVTRRLQIIKLRSGKTFNQIAEETGLTNVYVAQLFKRQAQLKAETAPKLRDALPDLPEELLDEMMKPPLRSYDPNLIQEPTVYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVKGVDGKERVVVTFDGKYLPYTEQGLRQQLVVNVDVGFNFHFLVYLDRFQGKRQSIWFQDYGCNEAKQQSDNEEAK >EOY06533 pep chromosome:Theobroma_cacao_20110822:4:32196901:32199404:1 gene:TCM_021221 transcript:EOY06533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASIEELCSICFEDASDISDRTVVRLRCSHVFHLDCIGSAFNSNGVMQCPNCRHLENGTWRRFPHINMEETTNEELAEDDHEEFRWYNPSAWQSSSCFGCDVIIIHNMRGLRPTWKNGKATRILLVHLDLSTNNQRVGRSQVASSNDIRRHSALRRRAVEFSVNQATTGFASSRPAAQMESAGRLFAHDNQGSSSPAMPASVTNASAAYPMENAAANLPDVASMSLSFQNEPQEAIDPVQPLQEGEGDVAASNE >EOY05420 pep chromosome:Theobroma_cacao_20110822:4:28537997:28542038:-1 gene:TCM_020430 transcript:EOY05420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein, putative MHHHQQPTLPPPFAPNASATTNLPKDHTRITLYIILATSFFSLLFIISIPSPSSSTSSQSFIKIRPDPVLFPDRPISPTELPSGPAPPSIAYLISGSAGDSARILRLLFASYHPRNHYLLHLDLSAPQTERDRLAVTVQSVPIFKAAQNVDVIGKADYAYPRGSSTISSTLHGASVLLRLASNWDWFISLNAGDYPLVTQDDLLHILSYLPKDLNFVNHTGYIGWKESKRLKPIIVDTGLYLLEKHEIFYASQKRELPNAFRLFSGSSFTMLTRSFMEFCILGTDNLPRTLLMYFANTPYSFTNYFPTVLCNSDQFKRTVINHNLQYIAFNKSSTKKPPQMNSADFDAMIQSGAAFATQFRFDDPVLDRIDQEILKRSPGKAVPGGWCLGEPDNGTCSVWGDADILRPGPGARRLEKRIVESLSDGRFQSQQCQEE >EOY05697 pep chromosome:Theobroma_cacao_20110822:4:29526885:29528129:-1 gene:TCM_020634 transcript:EOY05697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein isoform 2 MAVAKAACLNHISRESSDIRRLADFYKEIFAFEEIESPNFEFKVIWLNLPGAFPMHLIERSPLTKLPEGPYSATSAVADPSHLPRGHHICFTVSNFDSFVQSLKDKGIETFQRSLPNGKVRQVFFFDPDGSYLRIEL >EOY05696 pep chromosome:Theobroma_cacao_20110822:4:29526810:29528134:-1 gene:TCM_020634 transcript:EOY05696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein isoform 2 MAVAKAACLNHISRESSDIRRLADFYKEIFAFEEIESPNFEFKVIWLNLPGAFPMHLIERSPLTKLPEGPYSATSAVADPSHLPRGHHICFTVSNFDSFVQSLKDKGIETFQRSLPNGKVRQVFFFDPDGNGLEVASREDP >EOY03128 pep chromosome:Theobroma_cacao_20110822:4:5749106:5756303:1 gene:TCM_017652 transcript:EOY03128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MMANVHIMARIGMSKTNAIVSLVFPSTLSSQKRKGLFKEPFLKDFGPFPNVKRVKRLHKKKRKTSGLVKGIIVIGDTHELGETGCSIMCTIWGDAHELGLLGPSGLCLLGPYAGHGSKMGLRHHHHQKSHFIASSSQPSIVNSKVCGIILKTQFSQNHNCFSASHNINNAFIFNHNSWIVDTGATDHIACSLHSFTTFKSIQGVFVELPNNAKALVTHIGIVQISPTLQLDNVLFVPSFKFNLISVSQLSKSRNHCLIFTNKHCIILEIPSWTMIGVAEVKVGLHLMQCKLLGKRPQGSISASTTIKIPICNSVSLRFNLWHHRLRHILEDKMKQIKQVCPDIFFKESSVCYVCPLAKQKHLPFLVHVKSSKAPFDLIHTNIWGPYYVNSIHRYQYFLTIVDDFTRFTWVFLMRFKYDVVSIIPSFFKMVKNQFNSTIKEWVYKVKLHANGIVERYKAKLVAQVFTQKERFDYNEQFSSVAKHTTVQMFFALAAIHGWYLSQLDINNAFLYGDLHEDVYMNLPQGYMVKEEYPSNVKLVCKLHKSLYGIKQASRQWNTKVTECLMNYGFVQSKADYSLFTKISNDGFIDDIVIASSSLDFAVDVKTYLEKSFKLKDLGTPKYFLGLEIARLDKGMLLCQRKYVLDFE >EOY06667 pep chromosome:Theobroma_cacao_20110822:4:32593574:32596597:-1 gene:TCM_021322 transcript:EOY06667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide (PPR) domain protein 40, putative MRRLSSLASRSTTSLRNLTKDPKNTTISCQSQFKSNTPVPPPPSRGNSAINHHYISQILSRNDWFLLLNHELKAKRIVLNPQFVVSLLQNQENPLYPLRFYIWVSNIDPLFAKNQSVKGVLATALYRKGPVLLSVELVKDIRNSGLVVTEDLLCILIGSWGRLGLAKYCAEIFGQISFLGISPSTRLYNAVIDALIKSNSLDLAYLKFQQMSADNCKPDRFTYNILIHGVCRTGVVDEALRLVKQMEGLGYSPNVYTYTILIDGFCNARRVDEAFRLVEMMKKRNVIPNEATVRSLIHGVFRCVAPHEAFELLIMFLEKEPMMQKLACDTLLYCLSNNSMAREAALFMKKLAGRGYLPDNSTFNLTMACLIKGLDLDETCLILDSFVELGVKLGFNTYLALIQALYNIGKFVEGDQYLDQMAKDGLLANVFSYNMVIDSFCKASMMDRARKTFREMYLRGIAPTLVTFNTIISGHCKIGEVHKAKEFLVMLLESGFDPDIFTFSSLIDGLCRAHMIDDAFDCFSEMFQWDVTPNDVTYNILIRSLCAIGDVARAMKLLRKMQATGINADIFSFNALIQSFCRMKKIEKAEKLFNSMLRLGLDPDNYTYGAFIKALCESERFDEVIRMLKSMEAKGCIPDSYTCNLVLESLVQKGCLEEARDIAKRCNRRGTKLKVIPGL >EOY02777 pep chromosome:Theobroma_cacao_20110822:4:2241206:2242312:1 gene:TCM_017178 transcript:EOY02777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWLRNPLTEISSYLSISCELYPIADKSFGRDLNPHPEYQSVCNLIPNKECIDHFSLLQVQYFSEVKFGSLCKPCDLSQIFVVQATCCEKIESKIHHLKLVLDDWRNFTELSAKNASSKWSPSSWRAPQKCTG >EOY06130 pep chromosome:Theobroma_cacao_20110822:4:30999527:31002430:-1 gene:TCM_020948 transcript:EOY06130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Differentiation and greening-like 1 MAQTLTRAASSAVTRYRTISVLSPKRLLSTTSITHPTPIPSLLFSRRPLTPLSHAVRALPLVPTRFTAIRCRVNRSGNSYSPLNSGSNFSDRPPTEMAPLFPGCDYQHWLIVMDKPGGEGATKQQMIDCYIETLAKVVGSKEEAIKRIYNVSCERYLAFGCEIDEETSQKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRPPERQRRVEPQPQRAQDRPRYNDRTRYVRRRENMR >EOY04209 pep chromosome:Theobroma_cacao_20110822:4:22641679:22649531:-1 gene:TCM_019464 transcript:EOY04209 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALG6, ALG8 glycosyltransferase family MKKKRGMEKVKRLEDMVNAENDDSEGDPWWWLVQKGIKHSFLCISLFALLVRVAVGLHPYSGAKTPPKYGDYEAQRHWMEITLNLPAKDWYRNSSVNNLSYWGLDYPPLTAYQSYIHGVFLKTFDPDSVALFTSRGHESYLGKLLMRWTVLFSDVLIFFPAVLYFVFVYRAMQSGRGRKSDVAWHIAIILLNPCLILIDHGHFQYNCISLGFTMAAVAASLSQRDPVASVLYCLALNHKQMSAYFAPAFFSYLLGKCLRHKNPLLEVSKLGLMVIGTFAIVWWPYLHSKDAAFSVLSRLAPFERGIYEDYVANFWCTTSVLVKWKKVFTTQSLRIFSLAATVLTCLPSMVQQVLAPSSKGFLYGLLNSSLSFYLFSFQVHEKSILLPLLPLSLLALEEPRSLLWLTHFAMFSMFPLLQRDKLVLAYMALYALFVLLYFAPGGPGGRCNSSKTLDHKQKAKKGTKFVSSVAFHPLVMGFLCLCSLILHVIYLTMRPPKKYPFLFEAVIMLLCFSQFIIFTFYFNSKQWMLLKHLTSKDKQKKLI >EOY05030 pep chromosome:Theobroma_cacao_20110822:4:26897994:26906101:-1 gene:TCM_020141 transcript:EOY05030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MAKNEANQVNVTLVSSANASHNIAIDTKSPYFLHSSDHPGLIFVTHPLNENGENYFTWRRSFLNALRSKNKAGFVDGTIVKPDVNSQDYDSWVQCNAIVLFWLINALAKEIQSSAAHADTTHEVWADLQERFTQRMAPRMYELRRAIALLQQEKSSISSYYGKLKTVWGELQASNPIPVCTCGCTCGAAKKMEDMQEQEKVFDFLMGLDDTFSTVRSQILSVDPLPSLGKTSSIAAQEEKQRQVAVNRVPTVEGAAFLAGQDLSSRRPIRVGRVRDGLYYLEPIREGKALMASNMRHADMWHRRLGHLPMNRLSFIGDLSINVKENKFCDACCRARQHRLPFFASTYESSRIFELIHCDIWGDYKTPSLSGAVYFLTIVNDYSRAIWVYLMKHKSKTRDYLLHFYQWVRTQFNTQVKIVRSDNRMEFKHSDLLTYYNENGIERQTSCTNTPQQNGRVERNHRHLLEVARVLRFQAYLPIKFWGECVLTAAYLINRMPLSVLKNKTPYEILFGRSPTYQHLRTFGCLCYGLITNKSRDKFTPCSKPGIFLGYPHGQKGYRIFDIEDKKIYTSRDVQFFKNIFPSALTKGSNEIDGSPITHILASWTSTNPNIQVHTYDESFMCSYLNPFDKLAQGSSMIESHGDSAGHLETEPCESYDTARSGELNDTGTLDEIGQHHSLSNEECTIDANGSLRQQIEQPSTAPAAILSTDEPTNFHQAIKHTHWRDAMAKEISTLEENKTWVLSKLPLGKPAINSKWVYKIKYNPDGSVERYKARLVAKGYTQIEGVDFHETFAPVAKLVTVRCLLAVASVQNWELHQLDVNNAFLHGDLNEEVYMKIPQGFTRKREQRVYHSLFLFHRGPAFIAVLIYVDDVIITGNDLDRIIKLKRYLDKKFRIKDLGKLKYFLGIEVARSPSGIVLSQHKYVLDILSKCGLMGSKPSSFPIDQQHKLASDTGPLCSNPELYRRLVGRLLYLTITRPDISYVVHLLSQFMHNPRQLHLNAVFCVLRYLKNAPGQGLLLPSNNSLSLRAYCDADWAGCPTTRRSTTDYIIFLGSSPISWRSKKQTVVSRSSTEAEYRAMATISNEIIWLIQLLRDLQVPCSNLVPLFCDNQAAIHIAANPVFHEPKSIGGSKVLSSSSKV >EOY06570 pep chromosome:Theobroma_cacao_20110822:4:32331354:32333005:1 gene:TCM_021249 transcript:EOY06570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toxicos en levadura 2, putative MSENQDTARRVPTETSSSYALSGKIMLSAIVVLFFVVILMFTLHLYARWYLLRARRRQSRNPRRHSRRTQLVFYVDPNANAVPAASRGLDARVLKSLPVFTFSSKTHPDSALECAVCLSEFEENESGRVLPKCNHNFHLECIDMWFHSHSTCPLCRTPVEESVPVSDNPGDLVLTINEPSGGGSGSNQSSELCSTCQHEEGQAGTSAVGAGRKLSIEVPRRNTEGFEGESSGCDSGPSQSYKSPMSRMLSFTRMLSRDRRGSGASPCPSPMNAGSCGSITVPESDIERGGEETRQTQGGLGACMTQ >EOY06891 pep chromosome:Theobroma_cacao_20110822:4:33261506:33264828:1 gene:TCM_021477 transcript:EOY06891 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthetase 2 MNGPKRGPKRAQVKNRVTPASFLLTHRLRLGCHLLLSSIQIETQQQHVLHLRGGFINGSHSALRVTISPQIQISPDSNESSLDYASEGSRKQAAPSLILHSRIQEMETFLFTSESVNEGHPDKLCDQISDAVLDACLSQDPDSKVACETCTKTNMVMIFGEITTKANVDYEKIVRDTCRGIGFTSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKSPEEIGAGDQGHMFGYATDETSELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYYNDKGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPDKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANELARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMISIKLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWDKAQA >EOY02528 pep chromosome:Theobroma_cacao_20110822:4:1245701:1249933:1 gene:TCM_016983 transcript:EOY02528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MGSGNGFFSTQEFNLDAKWLIDPQQLFVGPKIGEGAHAKVYEGKYKNQTVAVKVVRRGETPEEIARREGRFAREVAMLSRVQHKNLVKFIGACKEPIMVIVTELLLGGTLRKYLLSMRPKCLDMRVAVGFALDIARAMECLHSHGIIHRDLKPENLILSEDHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAEDLPEDLASIVTSCWQEDPNARPNFSKIIQMLLRYLSTISPPEPVMPPKRTNSENAVLPPESPGTSSLMAARDDVGETPKATAEDRPRSFFFCFNQCY >EOY02529 pep chromosome:Theobroma_cacao_20110822:4:1246783:1249925:1 gene:TCM_016983 transcript:EOY02529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MLSRVQHKNLVKFIGACKEPIMVIVTELLLGGTLRKYLLSMRPKCLDMRVAVGFALDIARAMECLHSHGIIHRDLKPENLILSEDHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAEDLPEDLASIVTSCWQEDPNARPNFSKIIQMLLRYLSTISPPEPVMPPKRTNSENAVLPPESPGTSSLMAARDDVGETPKATAEDRPRSFFFCFNQCY >EOY02530 pep chromosome:Theobroma_cacao_20110822:4:1246783:1249925:1 gene:TCM_016983 transcript:EOY02530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MYFNPFDVFRYKNQTVAVKVVRRGETPEEIARREGRFAREVAMLSRVQHKNLVKFIGACKEPIMVIVTELLLGGTLRKYLLSMRPKCLDMRVAVGFALDIARAMECLHSHGIIHRDLKPENLILSEDHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAEDLPEDLASIVTSCWQEDPNARPNFSKIIQMLLRYLSTISPPEPVMPPKRTNSENAVLPPESPGTSSLMAARDDVGETPKATAEDRPRSFFFCFNQCY >EOY03697 pep chromosome:Theobroma_cacao_20110822:4:18154026:18155556:-1 gene:TCM_018807 transcript:EOY03697 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MKILEFTRIKPSPDSPKSAAELSLPLTFFDIFWFKLPPVERLFFYQINNSTPAYFNSVILPKLKHSLSLTLLHYLPLAGNLKWPSTSPKPIILYTPNDGVSLTVAESAADFNLLSSKGIYEAVELHPLTPELITSDDSASTIALQITLFPNMGFCIGITAHHAVLDGKATTLFMKSWAYLCNQGYTEHSSLPPELTPFLDRSVIKDVTGLDLDMLYLNQWLASIGSDSGTNNKSLKILPNKGEAPNLVRATFEITREDFKKLRDRALAQLSDSGKELHLSTFVLTLAYVTRCIVKARGGEDDRNVGVGFTIDCRPRLNPPVPENYFGNCNTITGDLIKARDFLDENGFGFSVHKCCRITSI >EOY05441 pep chromosome:Theobroma_cacao_20110822:4:28571293:28581661:-1 gene:TCM_020439 transcript:EOY05441 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLIP-associated protein, putative isoform 1 MEKPLELARSKDPKGRAAKAELLHSLLQSSTKPLSSSDVASLVSTCLDLLDDPYNLKASLGALQCLASAAVLSADHLKLHFDGVVPAVVECLGDDNQPLRDAARGLLLTFMEVSSPTIIVDKAGPVTWVHNNWRVREEFVRIVTSAVTVFASTEFMKAILPPILQVLNDSTQSIREAAMLCIEEMYMQFGPQFRAELQQHNLPSSVLGDVNIRLEQIEPKVCSSDGLVCHTSPDGVDLVKVNQAESSSMTKSSVKEISVSGGEIDLIDKPVVPVTLYSEKELIREMEKIACMLMAENDWSVRIAAMQQVQALVIGGAVDYLCFHALLKQLVAPLCTQLSDRRLSIVKQACHLLSFLSKELLGDFEACAEMFVPVLFKLVVITVLVIAESADTCIKTMLCSCKVSRVLPQIVDHAKHDRNAVLRARCCEYSLLMLEYWADAPEIQRSADLYEDLIQCCIADAMSEVRSNARHCYRMFKKTWPERSLQLFQSFDPVIQRIINDEDGGTQRRHPSPSVRGRDLRKCHKAFHVATSTNLSEPGTSLLTTTDRSRAVPVGVPLSSGPFSSEVKSLGNGTERSFENMPRANKQRVPAIQNMLAGLDISEELNFQKKRLASLDLGVDPPSARGLPFPPVVTASALATNAIFTDSTASTIKAVSSLNAGKQASERLGGGSMEEHTDDRFARKSVNNHIDRQYVDTSSKDSNFRNSQGNTIPNFQRPLLRKNISGRVSGNSRSCLDDNQILPGEMSNYLDGPASLQEALAEGLSPSSNWSARVAAFNYIRSLLQQDQKGIQEVIQNFEKVMKLFFQHLDDPHHKVGHAALSALADIIPTCRKPFESYLDRILHHVFSRLIDPKQLVRQLSVMNLEVVSKAYGIDSLLPALLRALDEQRSPKAKLAVIEYAIGSFKKNAMNSEGAANSGILKLWLAKLIPLVYDKNTKLKEAAISCIISVHTHYDSIGVINYIMCMSVEEQNSLRRVLRLQTPRIEMDLMNFLQNKRERPRPKNIYELSDVGLSPDAEYTGALKKANYFGRYSSGSSDTDNSKKLFTMQEPVQILEPIAQKVSNEADEHLHWNLEGSSNNVIICSATGAGEKAESPLSHPDNVDYENIMDNYLPSSCFDTNMVTSSNHPGVSSSNVDGDTLVDVNHSSENSMNTKFGSSLKLRISVPQILHQISNNNESAAIRKQALQQLIEASTDTNYSIWTKYFNQILKAVLEVLDDPNSSTREVTLQLVTVMVNKQKDAMEDSIEIVIEKLLHIAKDAVSKVPLFSSVVYYRLRPNVTPSLEPNSIQILCSGFY >EOY05437 pep chromosome:Theobroma_cacao_20110822:4:28569568:28582008:-1 gene:TCM_020439 transcript:EOY05437 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLIP-associated protein, putative isoform 1 MEKPLELARSKDPKGRAAKAELLHSLLQSSTKPLSSSDVASLVSTCLDLLDDPYNLKASLGALQCLASAAVLSADHLKLHFDGVVPAVVECLGDDNQPLRDAARGLLLTFMEVSSPTIIVDKAGPVTWVHNNWRVREEFVRIVTSAVTVFASTEFMKAILPPILQVLNDSTQSIREAAMLCIEEMYMQFGPQFRAELQQHNLPSSVLGDVNIRLEQIEPKVCSSDGLVCHTSPDGVDLVKVNQAESSSMTKSSVKEISVSGGEIDLIDKPVVPVTLYSEKELIREMEKIACMLMAENDWSVRIAAMQQVQALVIGGAVDYLCFHALLKQLVAPLCTQLSDRRLSIVKQACHLLSFLSKELLGDFEACAEMFVPVLFKLVVITVLVIAESADTCIKTMLCSCKVSRVLPQIVDHAKHDRNAVLRARCCEYSLLMLEYWADAPEIQRSADLYEDLIQCCIADAMSEVRSNARHCYRMFKKTWPERSLQLFQSFDPVIQRIINDEDGGTQRRHPSPSVRGRDLRKCHKAFHVATSTNLSEPGTSLLTTTDRSRAVPVGVPLSSGPFSSEVKSLGNGTERSFENMPRANKQRVPAIQNMLAGLDISEELNFQKKRLASLDLGVDPPSARGLPFPPVVTASALATNAIFTDSTASTIKAVSSLNAGKQASERLGGGSMEEHTDDRFARKSVNNHIDRQYVDTSSKDSNFRNSQGNTIPNFQRPLLRKNISGRVSGNSRSCLDDNQILPGEMSNYLDGPASLQEALAEGLSPSSNWSARVAAFNYIRSLLQQDQKGIQEVIQNFEKVMKLFFQHLDDPHHKVGHAALSALADIIPTCRKPFESYLDRILHHVFSRLIDPKQLVRQLSVMNLEVVSKAYGIDSLLPALLRALDEQRSPKAKLAVIEYAIGSFKKNAMNSEGAANSGILKLWLAKLIPLVYDKNTKLKEAAISCIISVHTHYDSIGVINYIMCMSVEEQNSLRRVLRLQTPRIEMDLMNFLQNKRERPRPKNIYELSDVGLSPDAEYTGALKKANYFGRYSSGSSDTDNSKKLFTMQEPVQILEPIAQKVSNEADEHLHWNLEGSSNNVIICSATGAGEKAESPLSHPDNVDYENIMDNYLPSSCFDTNMVTSSNHPGVSSSNVDGDTLVDVNHSSENSMNTKFGSSLKLRISVPQILHQISNNNESAAIRKQALQQLIEASTDTNYSIWTKYFNQILKAVLEVLDDPNSSTREVTLQLVTVMVNKQKDAMEDSIEIVIEKLLHIAKDAVSKVSIEAEKCLSIILSEYDPFRCLSITVPLLIAGDEKTLIICIKTLTKLVRRLSQEELLAQLPSFLPILFDAFSNQSAEVRKTVVFCLVDIYVMLGKAFLPYLQDLNGAQLRLVTIYANRIAQARKGTSVEAINGQQLESVN >EOY05442 pep chromosome:Theobroma_cacao_20110822:4:28569601:28577333:-1 gene:TCM_020439 transcript:EOY05442 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLIP-associated protein, putative isoform 1 MFVPVLFKLVVITVLVIAESADTCIKTMLCSCKVSRVLPQIVDHAKHDRNAVLRARCCEYSLLMLEYWADAPEIQRSADLYEDLIQCCIADAMSEVRSNARHCYRMFKKTWPERSLQLFQSFDPVIQRIINDEDGGTQRRHPSPSVRGRDLRKCHKAFHVATSTNLSEPGTSLLTTTDRSRAVPVGVPLSSGPFSSEVKSLGNGTERSFENMPRANKQRVPAIQNMLAGLDISEELNFQKKRLASLDLGVDPPSARGLPFPPVVTASALATNAIFTDSTASTIKAVSSLNAGKQASERLGGGSMEEHTDDRFARKSVNNHIDRQYVDTSSKDSNFRNSQGNTIPNFQRPLLRKNISGRVSGNSRSCLDDNQILPGEMSNYLDGPASLQEALAEGLSPSSNWSARVAAFNYIRSLLQQDQKGIQEVIQNFEKVMKLFFQHLDDPHHKVGHAALSALADIIPTCRKPFESYLDRILHHVFSRLIDPKQLVRQLSVMNLEVVSKAYGIDSLLPALLRALDEQRSPKAKLAVIEYAIGSFKKNAMNSEGAANSGILKLWLAKLIPLVYDKNTKLKEAAISCIISVHTHYDSIGVINYIMCMSVEEQNSLRRVLRLQTPRIEMDLMNFLQNKRERPRPKNIYELSDVGLSPDAEYTGALKKANYFGRYSSGSSDTDNSKKLFTMQEPVQILEPIAQKVSNEADEHLHWNLEGSSNNVIICSATGAGEKAESPLSHPDNVDYENIMDNYLPSSCFDTNMVTSSNHPGVSSSNVDGDTLVDVNHSSENSMNTKFGSSLKLRISVPQILHQISNNNESAAIRKQALQQLIEASTDTNYSIWTKYFNQILKAVLEVLDDPNSSTREVTLQLVTVMVNKQQKDAMEDSIEIVIEKLLHIAKDAVSKVSIEAEKCLSIILSEYDPFRCLSITVPLLIAGDEKTLIICIKTLTKINHAQYFQISVWPCWLQTVVFCLVDIYVMLGKAFLPYLQDLNGAQLRLVTIYANRIAQARKGTSVEAINGQQLESVN >EOY05440 pep chromosome:Theobroma_cacao_20110822:4:28569568:28582008:-1 gene:TCM_020439 transcript:EOY05440 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLIP-associated protein, putative isoform 1 MEVSSPTIIVDKAGPVTWVHNNWRVREEFVRIVTSAVTVFASTEFMKAILPPILQVLNDSTQSIREAAMLCIEEMYMQFGPQFRAELQQHNLPSSVLGDVNIRLEQIEPKVCSSDGLVCHTSPDGVDLVKVNQAESSSMTKSSVKEISVSGGEIDLIDKPVVPVTLYSEKELIREMEKIACMLMAENDWSVRIAAMQQVQALVIGGAVDYLCFHALLKQLVAPLCTQLSDRRLSIVKQACHLLSFLSKELLGDFEACAEMFVPVLFKLVVITVLVIAESADTCIKTMLCSCKVSRVLPQIVDHAKHDRNAVLRARCCEYSLLMLEYWADAPEIQRSADLYEDLIQCCIADAMSEVRSNARHCYRMFKKTWPERSLQLFQSFDPVIQRIINDEDGGTQRRHPSPSVRGRDLRKCHKAFHVATSTNLSEPGTSLLTTTDRSRAVPVGVPLSSGPFSSEVKSLGNGTERSFENMPRANKQRVPAIQNMLAGLDISEELNFQKKRLASLDLGVDPPSARGLPFPPVVTASALATNAIFTDSTASTIKAVSSLNAGKQASERLGGGSMEEHTDDRFARKSVNNHIDRQYVDTSSKDSNFRNSQGNTIPNFQRPLLRKNISGRVSGNSRSCLDDNQILPGEMSNYLDGPASLQEALAEGLSPSSNWSARVAAFNYIRSLLQQDQKGIQEVIQNFEKVMKLFFQHLDDPHHKVGHAALSALADIIPTCRKPFESYLDRILHHVFSRLIDPKQLVRQLSVMNLEVVSKAYGIDSLLPALLRALDEQRSPKAKLAVIEYAIGSFKKNAMNSEGAANSGILKLWLAKLIPLVYDKNTKLKEAAISCIISVHTHYDSIGVINYIMCMSVEEQNSLRRVLRLQTPRIEMDLMNFLQNKRERPRPKNIYELSDVGLSPDAEYTGALKKANYFGRYSSGSSDTDNSKKLFTMQEPVQILEPIAQKVSNEADEHLHWNLEGSSNNVIICSATGAGEKAESPLSHPDNVDYENIMDNYLPSSCFDTNMVTSSNHPGVSSSNVDGDTLVDVNHSSENSMNTKFGSSLKLRISVPQILHQISNNNESAAIRKQALQQLIEASTDTNYSIWTKYFNQILKAVLEVLDDPNSSTREVTLQLVTVMVNKQKDAMEDSIEIVIEKLLHIAKDAVSKVSIEAEKCLSIILSEYDPFRCLSITVPLLIAGDEKTLIICIKTLTKTVVFCLVDIYVMLGKAFLPYLQDLNGAQLRLVTIYANRIAQARKGTSVEAINGQQLESVN >EOY05438 pep chromosome:Theobroma_cacao_20110822:4:28570075:28581555:-1 gene:TCM_020439 transcript:EOY05438 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLIP-associated protein, putative isoform 1 MEVSSPTIIVDKAGPVTWVHNNWRVREEFVRIVTSAVTVFASTEFMKAILPPILQVLNDSTQSIREAAMLCIEEMYMQFGPQFRAELQQHNLPSSVLGDVNIRLEQIEPKVCSSDGLVCHTSPDGVDLVKVNQAESSSMTKSSVKEISVSGGEIDLIDKPVVPVTLYSEKELIREMEKIACMLMAENDWSVRIAAMQQVQALVIGGAVDYLCFHALLKQLVAPLCTQLSDRRLSIVKQACHLLSFLSKELLGDFEACAEMFVPVLFKLVVITVLVIAESADTCIKTMLCSCKVSRVLPQIVDHAKHDRNAVLRARCCEYSLLMLEYWADAPEIQRSADLYEDLIQCCIADAMSEVRSNARHCYRMFKKTWPERSLQLFQSFDPVIQRIINDEDGGTQRRHPSPSVRGRDLRKCHKAFHVATSTNLSEPGTSLLTTTDRSRAVPVGVPLSSGPFSSEVKSLGNGTERSFENMPRANKQRVPAIQNMLAGLDISEELNFQKKRLASLDLGVDPPSARGLPFPPVVTASALATNAIFTDSTASTIKAVSSLNAGKQASERLGGGSMEEHTDDRFARKSVNNHIDRQYVDTSSKDSNFRNSQGNTIPNFQRPLLRKNISGRVSGNSRSCLDDNQILPGEMSNYLDGPASLQEALAEGLSPSSNWSARVAAFNYIRSLLQQDQKGIQEVIQNFEKVMKLFFQHLDDPHHKVGHAALSALADIIPTCRKPFESYLDRILHHVFSRLIDPKQLVRQLSVMNLEVVSKAYGIDSLLPALLRALDEQRSPKAKLAVIEYAIGSFKKNAMNSEGAANSGILKLWLAKLIPLVYDKNTKLKEAAISCIISVHTHYDSIGVINYIMCMSVEEQNSLRRVLRLQTPRIEMDLMNFLQNKRERPRPKNIYELSDVGLSPDAEYTGALKKANYFGRYSSGSSDTDNSKKLFTMQEPVQILEPIAQKVSNEADEHLHWNLEGSSNNVIICSATGAGEKAESPLSHPDNVDYENIMDNYLPSSCFDTNMVTSSNHPGVSSSNVDGDTLVDVNHSSENSMNTKFGSSLKLRISVPQILHQISNNNESAAIRKQALQQLIEASTDTNYSIWTKYFNQILKAVLEVLDDPNSSTREVTLQLVTVMVNKQKDAMEDSIEIVIEKLLHIAKDAVSKVSIEAEKCLSIILSEYDPFRCLSITVPLLIAGDEKTLIICIKTLTKLVRRLSQEELLAQLPSFLPILFDAFSNQSAEVRKVKLCACWYMWCRGVLFWDSCRSVI >EOY05439 pep chromosome:Theobroma_cacao_20110822:4:28569602:28581502:-1 gene:TCM_020439 transcript:EOY05439 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLIP-associated protein, putative isoform 1 MEVSSPTIIVDKAGPVTWVHNNWRVREEFVRIVTSAVTVFASTEFMKAILPPILQVLNDSTQSIREAAMLCIEEMYMQFGPQFRAELQQHNLPSSVLGDVNIRLEQIEPKVCSSDGLVCHTSPDGVDLVKVNQAESSSMTKSSVKEISVSGGEIDLIDKPVVPVTLYSEKELIREMEKIACMLMAENDWSVRIAAMQQVQALVIGGAVDYLCFHALLKQLVAPLCTQLSDRRLSIVKQACHLLSFLSKELLGDFEACAEMFVPVLFKLVVITVLVIAESADTCIKTMLCSCKVSRVLPQIVDHAKHDRNAVLRARCCEYSLLMLEYWADAPEIQRSADLYEDLIQCCIADAMSEVRSNARHCYRMFKKTWPERSLQLFQSFDPVIQRIINDEDGGTQRRHPSPSVRGRDLRKCHKAFHVATSTNLSEPGTSLLTTTDRSRAVPVGVPLSSGPFSSEVKSLGNGTERSFENMPRANKQRVPAIQNMLAGLDISEELNFQKKRLASLDLGVDPPSARGLPFPPVVTASALATNAIFTDSTASTIKAVSSLNAGKQASERLGGGSMEEHTDDRFARKSVNNHIDRQYVDTSSKDSNFRNSQGNTIPNFQRPLLRKNISGRVSGNSRSCLDDNQILPGEMSNYLDGPASLQEALAEGLSPSSNWSARVAAFNYIRSLLQQDQKGIQEVIQNFEKVMKLFFQHLDDPHHKVGHAALSALADIIPTCRKPFESYLDRILHHVFSRLIDPKQLVRQLSVMNLEVVSKAYGIDSLLPALLRALDEQRSPKAKLAVIEYAIGSFKKNAMNSEGAANSGILKLWLAKLIPLVYDKNTKLKEAAISCIISVHTHYDSIGVINYIMCMSVEEQNSLRRVLRLQTPRIEMDLMNFLQNKRERPRPKNIYELSDVGLSPDAEYTGALKKANYFGRYSSGSSDTDNSKKLFTMQEPVQILEPIAQKVSNEADEHLHWNLEGSSNNVIICSATGAGEKAESPLSHPDNVDYENIMDNYLPSSCFDTNMVTSSNHPGVSSSNVDGDTLVDVNHSSENSMNTKFGSSLKLRISVPQILHQISNNNESAAIEVLDDPNSSTREVTLQLVTVMVNKQKDAMEDSIEIVIEKLLHIAKDAVSKVSIEAEKCLSIILSEYDPFRCLSITVPLLIAGDEKTLIICIKTLTKLVRRLSQEELLAQLPSFLPILFDAFSNQSAEVRKTVVFCLVDIYVMLGKAFLPYLQDLNGAQLRLVTIYANRIAQARKGTSVEAINGQQLESVN >EOY03394 pep chromosome:Theobroma_cacao_20110822:4:14416116:14432532:1 gene:TCM_018403 transcript:EOY03394 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 72, putative MEVLLLKMPRADAAVKQEKTAQSVVCEEACSPEARKTDMGRKLPKNSELNSSSPSHEGLVDVKEEDELQSAKSEMGEVKEENERLKMMLEQIQENYKSLQLRFFEILQQGAIKKSTDSDPSHDDEAPEPELVSLSLGRSPTNSKKDEKTGSSGKTKEDQEIKAGLTLGLDSKLQLSTEIVSNPGPENSSEEPKEDDAGETWPPSKIQKTTRNGDEEVAQQGHVKRARVSVRARCDAPTMNDGCQWRKYGQKISKGNPCPRAYYRCTVAPGCPVRKQVQRCAEDMSILITTYEGNHNHPLPVSATAMASTTSAAASMLLSGSSTSQPGLISTATSTLTAELNGLNFNLHDNSRTRQFYLPNSTSPSFPTVTLDLTASPSSSSSYFSRFSTDIPTTARFPSASLNFSSSESNILPTVWGNGYPAYGAVPYNQSHTENLNLGKESQEQFYQTFLEKNHQTAASQHSLTETLTKAITSDPSFRSVIAAAISSTVSSSAKSAGQTDQTAERFGQNLMQVISQNLLTQNGKGCATSHFTALTSSSSQTGSSLQSSLPISIFNSASTPASDNKEHNN >EOY03224 pep chromosome:Theobroma_cacao_20110822:4:8479333:8483921:1 gene:TCM_017892 transcript:EOY03224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain GLABROUS 11, putative MDPVMGSSGSSGNEQEASDSGNGKKSFHRHTAHQISTLEAYFKECPHPDDNQRRQLSNQLGLEPKQIKFWFQNKRTQTKSQHERADNSALRAENERMKCENFAMLEALKMVICPACGGPPIGEEERQRKAVSQSESLMISIPTSSLPLPPANFTTQGMGHLPLYNYLDPKHWDNMALPYQFNGVTDVEKALMSETAASAMDELIRLLQVNEPLWAKSPSDGRYAIQRESYQKTFPRATRLRSPSARIESSKDSALVTMNAAQLVDMFLDADKWVDLFPTIVTKAKTIQLLETRMVGNKNVSLQLMYERMHILSPFVAPREFYFLRHCKQIETGLWVLVDVSYSYCFFKETSHSWKFPSGCMIQEMPNGCSKVTWVEHVEVDDKIHTHRLYRDLICGSSAYGAERWVITLQRMCERLSVSNGETEHIHDLGGVLSLPEGRRSIMRLAHRMVKSFCSILNMSGELDFPQLSEENNSGVRVSVRQSIEPGQPRGMIVSAATSLWLPLPCQSVFNLLNDEKVRFQWDVLCHGNRVKEMANISTGNTPGNCISIITPSVPSGNILMLQEMSCTESLGSMVVYAPMGIAAMHTAINSGDSSNIPILPSGFIISGDGRSELGVGANSTRSSGSLLTVAYQIMACSPSSSMELSVQSVATVNTLISSTVQRIKAVLNTFNLD >EOY02527 pep chromosome:Theobroma_cacao_20110822:4:1237791:1240018:1 gene:TCM_016982 transcript:EOY02527 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MKEGQSKKRKRARHKKPQKPQQSLQPKHSIKHKKETHQQKNHAHRSSASSKPPKSSSSNSSSFLDKMRARLAGGHFRLINEKLYTCTGKEALDYFQEDPELFDMYHTGYQEQMSHWPEQPVNIIIKWLKDHSSSLIVADFGCGDARLAKNVKNKVFSFDLVSNNPSVIACDMSNIPLDPSSVDVAVFCLSLMGTNYASYLQEAYRVLKPSGWLLIAEVKSRFDPNNGGADPNKFSKAVCEMGFTSALKVIIFFYLHQCNPLSFANSYISCAGLLK >EOY02526 pep chromosome:Theobroma_cacao_20110822:4:1237740:1240785:1 gene:TCM_016982 transcript:EOY02526 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MKEGQSKKRKRARHKKPQKPQQSLQPKHSIKHKKETHQQKNHAHRSSASSKPPKSSSSNSSSFLDKMRARLAGGHFRLINEKLYTCTGKEALDYFQEDPELFDMYHTGYQEQMSHWPEQPVNIIIKWLKDHSSSLIVADFGCGDARLAKNVKNKVFSFDLVSNNPSVIACDMSNIPLDPSSVDVAVFCLSLMGTNYASYLQEAYRVLKPSGWLLIAEVKSRFDPNNGGADPNKFSKAVCEMGFTSALKDFSNKMFVLLYFKKKEKQRSKGKEIEWPELKPCIYKRR >EOY05053 pep chromosome:Theobroma_cacao_20110822:4:26977029:26982315:1 gene:TCM_020156 transcript:EOY05053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQKHLLSTAVVDKRSHFTRATSHVYHFPFLATHKFQENQLRPPLPSLRRPLAPSKASPPSNKSINISAHFISIGYDMTLQVEAHHFCAHQNEEMRQCLIYDSPEADAKLIGLEYIISENLFLTLPDNEKPLWHSHLGGNLPFGLPQLMMALTRDGQLYDELARDVEKRFGVSFAKERANRPELTGPTHGIHPLANGGGEGLKGDEINQ >EOY05939 pep chromosome:Theobroma_cacao_20110822:4:30304237:30306991:1 gene:TCM_020802 transcript:EOY05939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGERKFVKIAQVMMMLVLIILSAILAPTTARPLNIGQAVVGGVALHQAPVPPIGPSGCTHLPISKGICPPLTPVHGYWIKHPLNDISRITLGAQFGAAIRPFHEEQVLLKKIIPNLESLQMGPVPPSDHSHCSNIPGTGQCGLNEMNIAGRHIPSPPPFPGNGMDVKRFAAAAAAAATSMENKSNN >EOY04729 pep chromosome:Theobroma_cacao_20110822:4:25705022:25709845:1 gene:TCM_019914 transcript:EOY04729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carrot EP3-3 chitinase MLVSDMIRKGLLAIVLAGIIAGAAPVKAQNCGCAEGLCCSRWGFCGTGDDFCGTGCQEGPCNPPPTLNNVSVADIVTPQFFNGILDEAEASCEGKNFYSRAAFLEALNSYPQFGRIGSIDDSKREIAAFFGHVTHETGHFCFIEEINGTTRDYCDESSTQYPCNPDKGYYGRGPIQLSWNFNYGPAGESIGFDGLNSPETVATDPVISFKTALWYWVNFVQPVIGQGFGATIRAINGALECDGGNPATVQARIEYYTDYCNQLGVDPGANLTC >EOY03052 pep chromosome:Theobroma_cacao_20110822:4:3977697:3978396:1 gene:TCM_017475 transcript:EOY03052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRQTQQTKRYNAIRSAKSFLHSFQRNHQQSRNRNPPSSSSQHISFWKLNSQNCLHGNAIMRGHRDQQGILIFHHKQQPKDIPKQEDKNSNHPTIENTQDISSATIQWALDRGMEIS >EOY05429 pep chromosome:Theobroma_cacao_20110822:4:28561141:28562925:-1 gene:TCM_020437 transcript:EOY05429 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 1, putative MEAFDMAASFDENLLDFGSDVGEEDEDEENNKSSKLNTSSSLNANRSFPEFAEEELEWISNKDAFPSVETFVDILGTAAKHQSPVSVLDNSNSSSNSSGSSTLTNGNIVMYCCGNLKVPVKARSKRLRKCRDLRNQENSWWVQENVKNASAHVKGAGSRTIGRKCQHCGAEKTPQWRAGPLGPKTLCNACGVRYKSGRLVPEYRPASSPTFSIELHSNSHRKILEMRRQKQFGFSAMKPMDKG >EOY06749 pep chromosome:Theobroma_cacao_20110822:4:32825732:32827256:1 gene:TCM_021383 transcript:EOY06749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 25, putative MASGLQQVGVKFKQFDYVTDFSDHFYHLRAMSKKSNVNDGKVHNKNSVNRIMKEWEILEKHLPESIFVRVYEGRIDLLRAAIIGAEKTPYHHGLFFFDILFPSDYPNKPPKVRYHSFGLRLNPNLYGDGFVCLSLLNTWVGHETEMWDTLNSTILQVLLSLQGLVLNEKPYYNEPGAKPAWSWENYNADVFTLSCKTMLFLLHNPLRNFEAIIAAHFREHGSTILRACIAYRECRVCIGFFNGDDSNAPSSKKKIRISKKFKNSMDELYPNLFEAFNGIGAPLQNLPEKLMNDIDIQQKPVKGIISRLKTFWEAIMSY >EOY05043 pep chromosome:Theobroma_cacao_20110822:4:26940754:26957476:1 gene:TCM_020150 transcript:EOY05043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytics,carbohydrate kinases,phosphoglucan MDSIPLRSLHPQILVRKQLRFLPNTALSNPRISFPLPPGINRHRKHSLPLFFAVSSTLTREEEKKKMKAKPKSGRGKVGLNVCLDHQVEFGEHVAILGSTKELGSWKKQVPMNWTEGGWVCDLELKGDESVEYKFVIVRKDKSVVWEGGDNRVLKLPQSGNFGMVCHWNSTGETVELLPLSLEEYGDRVEDDGHNESTAEVLEVETSPFVRNWQGRPASFMRSNEHHNRELERKWDTTGLEGLALKLVEGDKSSRNWWRKLEVVHELLVGSLQSGELLEALICSAIYLKWINTGQIPCFEDGGHHRPNRHAEISRHIFCELERISSRKDTSPQEVLVIRKIHPCLPSFKAEFTASVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLVATDAMLARVTKNPGEYSEPFVEQFKIFHQELKDFFNAGSLTEQLESIRESLDEWSLAALAMFLECKRSLDAAEESSSSLDLIKTMRSLSALREVILKGLDSGLRNDAPDAAIAMRQKWRLCEIGLEDYSFVLLSRLLNTHEAMGGANWLADNLESKNTGSWNNPLAALIVGVHQLNLSGWKPEECAAIENELTAWQEKVLFEKEGSEDGKRIWALRLKATLDRTRRLTEEYSEALLQIFPQKVQMLGKALGIPENSVRTYAEAEIRAGVIFQVSKLCTLLLKAVRAALGLQGWDVLVPGVASGTLVQVENIVPGSLPSFLEGPVILVVNKADGDEEVTAAGSNITGVVLLQELPHLSHLGVRARQEKVVFVTCEDEDIVSNIQILAGKYVRLEALSTGVHLSPSSLDDHNADSVAKNLSRNGSPAVEVHGSHDSSRLAVKAPNSNQGSSSARVILLADADTLTSGAKAAACGRLASLAAVSDKVYSEQGVPASFRVPAGVVIPFGSMELALEQNKSSETFMSLLEKIETAELENDELDKLCHQLQQLVSSLQPSKDVIDSIIRVFPGNVRLIVRSSANVEDLAGMSAAGLYESIPNVSPSNPTVFSSAISQVWASLYTRRAVLSRRAAGVTQKDAAMAVLVQEMLSPDLSFVLHTLSPTDHDHNYVEAEIAPGLGETLASGTRGTPWRVSSGKFDGLVRTLAFANFSEEMVVSGAGPADGEVIRLTVDYSKKPLTVDPIFRHQLSQRLCAVGFFLERKFGCPQDVEGCVLGKDIYVVQTRPQPQ >EOY06738 pep chromosome:Theobroma_cacao_20110822:4:32806021:32809480:-1 gene:TCM_021375 transcript:EOY06738 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding enhancer protein-related MLSSTEPPPSESRRILPVFRFPYNQPTANNVRVLALEASSVSPPLPPSKSISLREKQSMEAGDGGVDRVVDSKDLQQQSKAFDKLVDRVEDRQLDSSRVQTAMASIAASAEAEKNAMRMREKELAAVKINTADVDIIANELELDKTVAERTLREHKGDAVAAIRSLLH >EOY06538 pep chromosome:Theobroma_cacao_20110822:4:32215146:32217655:-1 gene:TCM_021224 transcript:EOY06538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPERQGGAPHGVILAVVVGIVVLAPFLFGDQGEAITEAITELLSPVGLLLLPIILLLTIQFLSSDRGSFVSSIFSTGEPDSIHRVSGSPFGVALFLVLILFLLYNRVSIFGGDDDSDI >EOY04281 pep chromosome:Theobroma_cacao_20110822:4:23152014:23153024:1 gene:TCM_019531 transcript:EOY04281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNPSYSTLAIVLLYRFWELMVWLDPVQHTSSQTFPLLHRKWFDLSSMFSQIDSQFWTSLLYHKTPMACLVI >EOY05724 pep chromosome:Theobroma_cacao_20110822:4:29603238:29604584:1 gene:TCM_020653 transcript:EOY05724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein isoform 1 MEENCPVQLDTSKGKDECSDLSLRLLDLSDIDDFMVWATDEKVARFCTWEPYTNKEDGLNFIRNTVLPHPWFRAICLDNRPIGAISVIANSGNDKCRGELGYVLASKYWGKGIATRAVKMVAKTIFVEWPHLERLEALVEVENVGSQRVLEKAGFQKEGVLRKYFIRKGRTRDMVMFSLVSTDPQI >EOY05725 pep chromosome:Theobroma_cacao_20110822:4:29603085:29604565:1 gene:TCM_020653 transcript:EOY05725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein isoform 1 MEENCPVQLDTSKGKDECSDLSLRLLDLSDIDDFMVWATDEKVARFCTWEPYTNKEDGLNFIRNTVLPHPWFRAICLDNRPIGAISVIANSGNDKCRGELGYVLASKYWGKGIATRAVKMVAKTIFVEWPHLERLEALVEVENVGSQRVLEKAGFQKEGVLRKYFIRKGRTRDMVMFSLVSTDPQI >EOY04938 pep chromosome:Theobroma_cacao_20110822:4:26558159:26563581:1 gene:TCM_020078 transcript:EOY04938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTGPRADPVRGRFWPQVLVALAIVVVASNVGSVSADAYPYSSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPPPYEYKSPPPPSPSPPPPYHYHSPPPPKHEEKPPYYYKSPPPPSPSPPPPYYYKSPPPP >EOY04674 pep chromosome:Theobroma_cacao_20110822:4:25463627:25467921:-1 gene:TCM_019871 transcript:EOY04674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 1 MQTLCSCEEGIEIGKIMENKRGPCSVDHSSFTSLASKRQKADLSISTKDRKEKLGERIVALQQLVSPYGKTDTASVLLEAMEYIRFLHEQVKVLSAPYLQTAPTNNMQDVEHYSLRSRGLCLVPISCTAGVARSNGADIWAPIKTTSPKFEKAISQFN >EOY04676 pep chromosome:Theobroma_cacao_20110822:4:25463532:25466564:-1 gene:TCM_019871 transcript:EOY04676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 1 MENKRGPCSVDHSSFTSLASKRQKADLSISTKDRKEKLGERIVALQQLVSPYGKTDTASVLLEAMEYIRFLHEQVKVLSAPYLQTAPTNNMQDVEHYSLRSRGLCLVPISCTAGVARSNGADIWAPIKTTSPKFEKAISQFN >EOY04675 pep chromosome:Theobroma_cacao_20110822:4:25463272:25467577:-1 gene:TCM_019871 transcript:EOY04675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 1 MQTLCSCEEGIEIGKIMENKRGPCSVDHSSFTSLASKRQKADLSISTKDRKEKLGERIVALQQLVSPYGKTDTASVLLEAMEYIRFLHEQVKVLSAPYLQTAPTNNMQDVEHYSLRSRGLCLVPISCTAGVARSNGADIWAPIKTTSPKFEKAISQFN >EOY06656 pep chromosome:Theobroma_cacao_20110822:4:32559883:32560732:1 gene:TCM_021312 transcript:EOY06656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSAPETNGADEPGREQPSTLKLFGFPVAAYDKVPGFVPGNTEYKRFECQFCHRGFANSQALGGHQNAHKRERRAKQSSFFGDYHHQQQQRFVTSGPVITAHSARSRRLIYARGSTSMTATDHAARFRGLSAPSLPVLPQRGPCPFHVGGAQQGQGPFPAQAEVGVSSTSDSSAATEVSEGDNIDLHLRLAPSSTTSR >EOY06543 pep chromosome:Theobroma_cacao_20110822:4:32222420:32223458:1 gene:TCM_021227 transcript:EOY06543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative isoform 2 MLQVPALLDEQGVTAGVPNEYLVCCSYFYLSIVKNLQKDQLEAALHFLQAILVSPILVRTQFASELCESVFLSCTKCETQDMGQMRRLQSVPRKDFAEDNLGELTRQMARRYKHWLMYYRVTLYGETTQRQHGRSDILSPAYESQNFGKLIKMMLLML >EOY06544 pep chromosome:Theobroma_cacao_20110822:4:32222583:32223665:1 gene:TCM_021227 transcript:EOY06544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative isoform 2 SRLNFQKQEFFEFSDQAVLSNLYWGIDSIEAAFQSKWPEEKTSRLKNSEKMLQVPALLDEQGVTAGVPNEYLVCCSYFYLSIVKNLQKDQLEAALHFLQAILVSPILVRTQFASELCESVFLSCTKCETQDMGQMRRLQSVPRKDFAEDNLGELTRQMARRYKHWLMYYRVTLYGETTQRQHGRSDILSPAYESQNFGHEKASCTESSKSTEHRYQGQ >EOY06285 pep chromosome:Theobroma_cacao_20110822:4:31496756:31498540:-1 gene:TCM_021069 transcript:EOY06285 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD domain-containing protein, putative MDFFKSVFSDDAQCQFSDPPTDPNPNPNPGSRWSFGGLMRTLADKSESVMESYRKDLEDFGSGLKKETEIIRSVASQAVNDSLEIGASVAQEKLESVGQAIDDIGSSVWKSTAQIIAHGKDTFLSPSDDDNSDSENANSKKLNISNNSSNEKRYSRFEMQIRALQSDRSTYCAEPEDLEDFEKWKLGFNLEEKQGEIEDLFKENSVIEDTFKEVASDEIESKRYWSNYFYKLSRLRKAEEARAKLVKRAISGEEEEDLSWDIDEDDEEESRNSESEKKNNLTEDGEKWLKNEEEKADSCKDSDVSVVSSNLSMPEEEGWDEIEEIRSSDESKGEDVGSGNRVDLRKRMIVAEEEEDLSWDIDDEEEDRPVKA >EOY03306 pep chromosome:Theobroma_cacao_20110822:4:12097857:12102261:-1 gene:TCM_018180 transcript:EOY03306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNPFANCVSDGLVKLITLQPEFKGTASHCRPSSFSNFSFSLEASVECITRSGFNFKFVSPSFQAEPHLHTPPALYACHLLRHQAAIGEGRLASQQASRKRRLSRFFSFRLVPVLVFAFSPAASGATLEIELSMGSKNKDQKAKSARFASLQSDLRFQKVPKHKTKVVIDSRFNRMFSNKRFSSSSAPLDKRGKPKKENSQNSLCHYYHLEDKEEEKRKNDVLSGGDDSEEVDVESESSEISKKDNDGESEEEGSESGSTTEEEDIDIIYED >EOY04821 pep chromosome:Theobroma_cacao_20110822:4:26064180:26073175:1 gene:TCM_019994 transcript:EOY04821 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase family protein, putative MVVTTTANDVSYGDWNETRTCVVLGGRGFLGRTLVARLLRLGGWIVRVADSSSHSLHLDPSSASDSLLSDALCSGQASFCNVDVRDTSQIIKVTKGADVVFYMEPSDLNTHDFCNCYMIIVQGAKNVINACRDCKVRRLIYNSSADVVFDGSQDIHNGDELFTCPGKFQDMLIDLKVQAEGLVRLANNIDGLLTCVLRPSNIFGPGDTQFVPLLVNLAKSGLAKFIIGSGENMSDFTYAENVAHAYICAAETLDSRIVSVAGKAFFITNLEPVMFWDFVSLILEGLGYQRPFIKIPTWMVSYSLSLQQCIYDKLHFRMYNYSVSPHYFVQLASRTRTFDCSAAQKHLGYSPVVSLEDGVKSTIESFSCLSKDSSFMRYSNYNEKSKAEKLLGSGIVAEILLWRNEKRTFTCFLTLALVFYWFFLCGRTFTSSAAKLLLLVTVVLYGYGILPSKICGFAVQRISSSCFKISESAVRDSIRSISYMWNKGVRNIRLLAKGEDWSNFFKAVVVLYFVMLILSYSMAVLIGIALVFAFTAFFVYEQYESEIDGLGKVLCCGIMGSKGLLMRTLPASITSFLGNYKILDQEKAPAVVKEWK >EOY02650 pep chromosome:Theobroma_cacao_20110822:4:1668425:1670699:-1 gene:TCM_017067 transcript:EOY02650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase 2-2 MATTLIRRAMSMTSRVSSSTVAPALRLVVTRPYASEAEAEKGDAATTNMKTFQIYRWNPDNPSKPQLQDYKIDLKECGPMVLDALIKIKNEIDPSLTFRRSCREGICGSCAMNINGCNGLACLTKIESGSSETTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKNPPPTAGKEIPQSKKDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWISDSRDEYTKERLEAINDEFKLYRCHTILNCARACPKGLNPGKQITHIKQLQLLGSA >EOY04523 pep chromosome:Theobroma_cacao_20110822:4:24838582:24844563:1 gene:TCM_019765 transcript:EOY04523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrimidine 4 isoform 2 MQRFIPAKRVLSETKYSLRWQGRRCFSQVAQKEGDNNDVVVPKMPTFDYSPPPYTGPSAEEILSKRKQYLSPSLFHFYNKPLNVVDGRMQYLFDETGRRYLDAFGGIATVCCGHCHPDVVDTIVNQTKRLQHSTVLYLNHAISDFAEALASKLPGNLKVVFFTNSGTEANELAMMIARLYTGCHDIISLRNAYHGNAAGTMGATAQSNWKFNVIQSGVHHALNPDPYRGVFGSEGEKYAKDVQDLIQFGTSGNIAGFISEAIQGVGGIIELAPGYLPAVYSTIKKAGGLCIADEVQAGFARTGSHFWGFEGHGVVPDIVTMAKGIGNGIPLGAVVTTPEIAEVLTRRCYFNTFGGNPVCTAAGLAVLKVIEKEKLQENAFVVGSYLKERLTALKDKYNLIGDVRGRGLMLGVELVTDRKLQTPAKLETLHVMDRMKEIGVLVGKGGFYGNVFRITPPLCFTKEDADAMDYTLAKM >EOY04522 pep chromosome:Theobroma_cacao_20110822:4:24838847:24844546:1 gene:TCM_019765 transcript:EOY04522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrimidine 4 isoform 2 MQRFIPAKRVLSETKYSLRWQGRRCFSQVAQKEGDNNDVVVPKMPTFDYSPPPYTGPSAEEILSKRKQYLSPSLFHFYNKPLNVVDGRMQYLFDETGRRYLDAFGGIATVCCGHCHPDVVDTIVNQTKRLQHSTVLYLNHAISDFAEALASKLPGNLKVVFFTNSGTEANELAMMIARLYTGCHDIISLRNAYHGNAAGTMGATAQSNWKFNVIQSGVHHALNPDPYRGVFGSEGEKYAKDVQDLIQFGTSGNIAGFISEAIQGVGGIIELAPGYLPAVYSTIKKAGGLCIADEVQAGFARTGSHFWGFEGHGVVPDIVTMAKGIGNGIPLGAVVTTPEIAEVLTRRCYFNTFGGNPVCTAAGLAVLKVIEKEKLQENAFVVGSYLKERLTALKDKYNLIGDVRGRGLMLGVELVTDRKLQTPAKLETLHVMDRMKEIGVLVGKGGFYGNVFRITPPLCFTKEDADFLVDAMDYTLAKM >EOY04578 pep chromosome:Theobroma_cacao_20110822:4:25085933:25091627:1 gene:TCM_019806 transcript:EOY04578 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB and TAZ domain protein 3 isoform 1 MIQDIWEEVYLMASLAIDSAWLPSPSESLCRSLNVCVEEANPADILPALEAPMSSVSDNCNIPKPPPLPSKVRMRTKYSKRPLECSLVPKETKNTWDKLFKEGYGVDVCIITKDKSCVLAHSNILSIASPVLSNILSRSKVKHGMRYIKIPGVPHDAIFMFIRFLYSSCYEEEELRKFVLHLLVLSHSYSVLPLKRVCICLLEQGWLTRENVIDVLQLAKNCDAPRLAFICVRMIVKDFKSISSTEGWKVMKRANPALEQELVESVVEADSRKQERQRKMEEKKVYLQLYEAMEALLHICKDGCRTIGPRDKVLKGSQVTCNFPACKGLETLVRHFSNCKTRVPGGCVHCKRMWQLLELHSRMCNEPDSCKVPLCRHFKEKMQQQSKKDETKWKLLVSKVIAAKNAVGSFSSRRSCLS >EOY04577 pep chromosome:Theobroma_cacao_20110822:4:25085933:25091627:1 gene:TCM_019806 transcript:EOY04577 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB and TAZ domain protein 3 isoform 1 MASLAIDSAWLPSPSESLCRSLNVCVEEANPADILPALEAPMSSVSDNCNIPKPPPLPSKVRMRTKYSKRPLECSLVPKETKNTWDKLFKEGYGVDVCIITKDKSCVLAHSNILSIASPVLSNILSRSKVKHGMRYIKIPGVPHDAIFMFIRFLYSSCYEEEELRKFVLHLLVLSHSYSVLPLKRVCICLLEQGWLTRENVIDVLQLAKNCDAPRLAFICVRMIVKDFKSISSTEGWKVMKRANPALEQELVESVVEADSRKQERQRKMEEKKVYLQLYEAMEALLHICKDGCRTIGPRDKVLKGSQVTCNFPACKGLETLVRHFSNCKTRVPGGCVHCKRMWQLLELHSRMCNEPDSCKVPLCRHFKEKMQQQSKKDETKWKLLVSKVIAAKNAVGSFSSRRSCLS >EOY06953 pep chromosome:Theobroma_cacao_20110822:4:33440943:33443088:-1 gene:TCM_021512 transcript:EOY06953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGFSGYNQIKMAPEDREKTTFITMSGTFYYKVMPFSLKNAGATYQRAMVTLFHDMMHREVEVYVDDMIVKARKIEDHATNLERLFKSERGIEVDPDKVQAILLVPLVVGRPLFLYLTVNERSMGCVLRQHDETGKKERAVYYLSKKFTEYESKYSSLEKMCCALAWTAHRLRQYMLYHTTWLIAKLDLIKYIFEKPSLSGRVARWQVLLSEYDIVLCHGSSSNNREENSHFGSICFTHLPQEENQMADALATLAVMFKVGTNVKIQPIMINLRECPAHCSSVEEEIDGKSWYHDLCIISSFSSTRIKAQK >EOY06209 pep chromosome:Theobroma_cacao_20110822:4:31306196:31308329:1 gene:TCM_021016 transcript:EOY06209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositolglycan synthase family protein isoform 2 MRSQSTYLKLPTFKTQKNPVFPTQLQRFFFSEFKLRKLHLPYSRAIVMDTSTSGDSFPTRCKWRKVAYGGMQPGFDDNHTDGTFLEDMVMNANVVKRNMLKVMQDSVSISQYLCIVALVVLVWTYTLRSTLNENSLLLLDVSLLGSGFLILLLTEEMLSLNLLLHYVLNVSFFTTGLYVLAPIYQTLTRSISSDSIWSVTVSLLLLHLFLHDYSGSTIRAPGALKNPNLTSCISLNASIVASVFLASRLPSRLHVFAIMLFSLQVFLFAPLVTYCIRKYSFRLHLLFSFALMAVTLTFVYSLHRLLFVLLVGMLVFVNVVCPYWLIRIQEYKFEINGPWDEAKLCFDITD >EOY06210 pep chromosome:Theobroma_cacao_20110822:4:31306252:31308328:1 gene:TCM_021016 transcript:EOY06210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositolglycan synthase family protein isoform 2 MDTSTSGDSFPTRCKWRKVAYGGMQPGFDDNHTDGTFLEDMVMNANVVKRNMLKVMQDSVSISQYLCIVALVVLVWTYTLRSTLNENSLLLLDVSLLGSGFLILLLTEEMLSLNLLLHYVLNVSFFTTGLYVLAPIYQTLTRSISSDSIWSVTVSLLLLHLFLHDYSGSTIRAPGALKNPNLTSCISLNASIVASVFLASRLPSRLHVFAIMLFSLQVFLFAPLVTYCIRKYSFRLHLLFSFALMAVTLTFVYSLHRLLFVLLVGMLVFVNVVCPYWLIRIQEYKFEINGPWDEAKLCFDITD >EOY06208 pep chromosome:Theobroma_cacao_20110822:4:31306282:31308328:1 gene:TCM_021016 transcript:EOY06208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositolglycan synthase family protein isoform 2 MDTSTSGDSFPTRCKWRKVAYGGMQPGFDDNHTDGTFLEDMVMNANVVKRNMLKVMQDSVSISQYLCIVALVVLVWTYTLRSTLNENSLLLLDVSLLGSGFLILLLTEEMLSLNLLLHYVLNVSFFTTGLYVLAPIYQTLTRSISSDSIWSVTVSLLLLHLFLHDYSGSTIRAPGALKNPNLTSCISLNASIVASVFLASRLPSRLHVFAIMLFSLQVFLFAPLVTYCIRKYSFRLHLLFSFALMAVTLTFVYSLHRLLFVLLVGMLVFVNVVCPYWLIRIQEYKFEINGPWDEAKLCFDITD >EOY06211 pep chromosome:Theobroma_cacao_20110822:4:31306056:31308412:1 gene:TCM_021016 transcript:EOY06211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositolglycan synthase family protein isoform 2 MDTSTSGDSFPTRCKWRKVAYGGMQPGFDDNHTDGTFLEDMVMNANVVKRNMLKVMQDSVSISQYLCIVALVVLVWTYTLRSTLNENSLLLLDVSLLGSGFLILLLTEEMLSLNLLLHYVLNVSFFTTGLYVLAPIYQTLTRSISSDSIWSVTVSLLLLHLFLHDYSGSTIRAPGALKNPNLTSCISLNASIVASVFLASRLPSRLHVFAIMLFSLQVFLFAPLVTYCIRKYSFRLHLLFSFALMAVTLTFVYSLHRLLFVLLVGMLVFVNVVCPYWLIRIQEYKFEINGPWDEAKLCFDITD >EOY02983 pep chromosome:Theobroma_cacao_20110822:4:3317295:3318764:1 gene:TCM_017374 transcript:EOY02983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSVCWDHFGNLRMKIKRPWSKRWEFLWRLSGQLSMTKVGDNRFLFTFTVKNDYGKVRKGKPWCFHKSLLVLKDFDESNMDPEEVAMIKSNRNVYLDFVIAMARWGTTIKTVEFLALMKTSREEALEVSHNDVGMVGEFGVRSLDVANDTQDAVNLINVLTSVESDVQVDDVQVEETSDKGKKVL >EOY03889 pep chromosome:Theobroma_cacao_20110822:4:20216462:20217079:-1 gene:TCM_019105 transcript:EOY03889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine dumper 2, putative MRPASNSTASATGTGFGHWNSPVPYLFSGLALMLGLISFALVILACSYKKSPSNSAHDEAEEKPAKQVSMQLEMEPKIVVIMAGDENPTYLAKPVSSTRLSEQV >EOY03152 pep chromosome:Theobroma_cacao_20110822:4:6456894:6461897:1 gene:TCM_017709 transcript:EOY03152 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRP38 family protein isoform 1 MFSRCLQYQDWISLLEICCRSKDFMDLYYFDTLFPRIPVPVLRQVVSNLEKMKLPTKPSGATGDSNRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRSLPPPPYDRSGSDDLKRSPSHRRSQSREYSDREYSEKDRDWDRDRERERERDRDRDRDRNRDRGRDRDRNRDRHRDRDKDRNRGRDCDRERAKDKERDRERRHDYDRRSRYSDKRDYEELRDDNRHYRVASSHRSRSRTRSRSRSRSRSRSLQAGNSHFDRHSSPPKEGSKEKTSASSNLAKLKDLYGDLSDQKGDGFERVPRRDNSGEEVIRLGGSTWK >EOY03150 pep chromosome:Theobroma_cacao_20110822:4:6456121:6461897:1 gene:TCM_017709 transcript:EOY03150 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRP38 family protein isoform 1 MEIQTCGKPINSLLEKVLCMNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYAADPKTLWTWFEPYIKDEEEFPPGSNGRMTTMGVYVRDLLLGQYYFDTLFPRIPVPVLRQVVSNLEKMKLPTKPSGATGDSNRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRSLPPPPYDRSGSDDLKRSPSHRRSQSREYSDREYSEKDRDWDRDRERERERDRDRDRDRNRDRGRDRDRNRDRHRDRDKDRNRGRDCDRERAKDKERDRERRHDYDRRSRYSDKRDYEELRDDNRHYRVASSHRSRSRTRSRSRSRSRSRSLQAGNSHFDRHSSPPKEGSKEKTSASSNLAKLKDLYGDLSDQKGDGFERVPRRDNSGEEVIRLGGSTWK >EOY03151 pep chromosome:Theobroma_cacao_20110822:4:6455860:6461965:1 gene:TCM_017709 transcript:EOY03151 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRP38 family protein isoform 1 MEIQTCGKPINSLLEKVLCMNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYAADPKTLWTWFEPYIKDEEEFPPGSNGRMTTMGVYVRDLLLGQYYFDTLFPRIPVPVLRQVVSNLEKMKLPTKPSGATGDSNRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRSLPPPPYDRSGSDDLKRSPSHRRSQSREYSDREYSEKDRDWDRDRERERERDRDRDRDRNRDRGRDRDRNRDRHRDRDKDRNRGRDCDRERAKDKERDRERRHDYDRRSRYSDKRDYEELRDDNRHYRVASSHRSRSRTRSRSRSRSRSRSLQAGNSHFDRHSSPPKEGSKEKTSASSNLAKLKDLYGDLSDQKGDGFERVPRRDNSGEEVIRLGGSTWK >EOY03153 pep chromosome:Theobroma_cacao_20110822:4:6459431:6461897:1 gene:TCM_017709 transcript:EOY03153 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRP38 family protein isoform 1 MFSLQYYFDTLFPRIPVPVLRQVVSNLEKMKLPTKPSGATGDSNRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRSLPPPPYDRSGSDDLKRSPSHRRSQSREYSDREYSEKDRDWDRDRERERERDRDRDRDRNRDRGRDRDRNRDRHRDRDKDRNRGRDCDRERAKDKERDRERRHDYDRRSRYSDKRDYEELRDDNRHYRVASSHRSRSRTRSRSRSRSRSRSLQAGNSHFDRHSSPPKEGSKEKTSASSNLAKLKDLYGDLSDQKGDGFERVPRRDNSGEEVIRLGGSTWK >EOY04853 pep chromosome:Theobroma_cacao_20110822:4:26213709:26214831:1 gene:TCM_020022 transcript:EOY04853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scorpion toxin-like knottin superfamily protein MILCGRDGRPQQSMHKPREQILACNTFNLLDLSDSQTLTLVLFMAVLFFPHSVTKAKVSRLFIEGIEMEKRSLGIFFLLLIVLASQWVAQPAEGRVCESKSHKFKGPCLGDHNCALVCRNEGFSGGRCRGFRHRCFCTKLC >EOY03553 pep chromosome:Theobroma_cacao_20110822:4:17124277:17132891:1 gene:TCM_018668 transcript:EOY03553 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 1 MGCITSKHGGSIVAASPVSVVHNNSHGLIFASSSSLKQQSGRLESNRDDEKLDDNGKTINHHHRKSGRELRKSKRGSSSRKSGSFSLKLGFSHRFVEAEQVAAGWPAWLSSAAGEAVHGWVPLQADAFEKLDKIGQGTYSSVFQAREVETGRMVALKKVRFDNFQPESIRFMAREITILRRLDHPNIMKLEGVITSRFSSTVYLVFEYMEHDLAGLSSCPDIKFSEAQVKCYMKQLLSGLEHCHLRGIMHRDIKASNILVNNEGVLKLGDFGLANILTSRNRQQLTSRVVTLWYRPPELLMGSTSYDVSVDLWSVGCVFAELLMGKPILKGRTEVEQLHKIFKLCGSPPDDYWKKTRLPHSTMFKPQHPYESCLRERCKDIPASAMNLLEALLSVEPHKRGTASSSLMSEYFHTKPYACDPSSLPKYSPNKEIDAKQRDELRRKKAGSRTRESGVSKKTRRSRKALQEPNNFSKTAPKEELRDDTQFASKNHGLTANNLKGREGAAHKGQLNSSFFDTRSETSQVTTVSQGDIFTAPPPVTGSSSFSWAKRKDSTSTLSYNQPSSISQLSDADSSSFTFSNSAFKLTKEETQDVPQGVYDYWREQKQQQNGLNRHGFFYVNDQQVQSKFDRSDVFHASDVPQSHDYSMAFHQETAINNDMVKIDYSGPLVTESYNVDELLQRNESHIRQVARRSRFHREK >EOY03554 pep chromosome:Theobroma_cacao_20110822:4:17124277:17130588:1 gene:TCM_018668 transcript:EOY03554 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 1 MGCITSKHGGSIVAASPVSVVHNNSHGLIFASSSSLKQQSGRLESNRDDEKLDDNGKTINHHHRKSGRELRKSKRGSSSRKSGSFSLKLGFSHRFVEAEQVAAGWPAWLSSAAGEAVHGWVPLQADAFEKLDKIGQGTYSSVFQAREVETGRMVALKKVRFDNFQPESIRFMAREITILRRLDHPNIMKLEGVITSRFSSTVYLVFEYMEHDLAGLSSCPDIKFSEAQVKCYMKQLLSGLEHCHLRGIMHRDIKASNILVNNEGVLKLGDFGLANILTSRNRQQLTSRVVTLWYRPPELLMGSTSYDVSVDLWSVGCVFAELLMGKPILKGRTEVEQLHKIFKLCGSPPDDYWKKTRLPHSTMFKPQHPYESCLRERCKDIPASAMNLLEALLSVEPHKRGTASSSLMSEYFHTKPYACDPSSLPKYSPNKEIDAKQRDELRRKKAGSRTRESGVSKKTRRSRKALQEPNNFSKTAPKEVYTCLSECMPLSVCGVNTKS >EOY06347 pep chromosome:Theobroma_cacao_20110822:4:31629916:31630840:-1 gene:TCM_021098 transcript:EOY06347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASPTAKIAFRTVIGVLLLLALFYVGRPLYWKISATVHDIRHNKQTVQQGISQIMHEAQKSVGWFHDESDSGFREDRKQASRRLLFKVL >EOY06348 pep chromosome:Theobroma_cacao_20110822:4:31630087:31630963:-1 gene:TCM_021098 transcript:EOY06348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 TSCIGALSPADCFFPSSFHCSCRALHYLEKSSLKETNPIKSMASPTAKIAFRTVIGVLLLLALFYVGRPLYWKISATVHDIRHNKQTVQQGISQIMHEAQKSVGWFHDESDSGFREDRKQASRRLLFKVL >EOY06046 pep chromosome:Theobroma_cacao_20110822:4:30765459:30766659:1 gene:TCM_020893 transcript:EOY06046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7 MGMASINTENQLGACKQEQEILMATKEVKLLGFWVSPFVFRVEWALKIKGVKYEYIEEDIFNKSTLLMELNPVRKKVPVLVHDGKVIAESFVILEYIDETWKQNPLLPQDPYQRALARFWAKFAEEKILEGAWNALCSQGEEKQKALRQTIEAMEKIEGELEGKQQFFGGESVGYLDIILGWISYWLPVWEEVGSMEILNPIKFPAITAWINSFLNHPVIKDKLPPTDKMLVYFHQRSEALASTSQSV >EOY04622 pep chromosome:Theobroma_cacao_20110822:4:25250561:25260942:1 gene:TCM_019836 transcript:EOY04622 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZPR1 zinc-finger domain protein MESNKEKLVDDVGSVVEAISADDENAAPLYQVESLCMRCTQNGTTRFLLTSIPHFRKVLLSAFECPHCGERNNEVQFAGEIQPRGCNYRLEVQSGDEKMFTRQVVKSESATIKIPELDFEIPPEAQRGSLSTVEGILVRAIDGLQALQEERKKVDPQTAEAIDQFLLKLRACATGESPFTFILDDPAGNSFIENPFAPSPDPSLSIKFYDRTPEQQALLGYLVDSSQIIEPTAGASMEEASNAANQIRQAHGSVGAVAGQRAIAQSNSAEMAEALFRYSAPEEVMTFPSTCGACAIKCETRMFVTRIPYFQEVIVMASTCDACGYRNSELKPGGRIPEKGKRIILRVKNINDLSRDVIKSDTASVRVPELDLELASGTLGGIVTTVEGLITKISESLERVHGFTFGDSLDDSKKNKWLDFKARLSKILSMAEPWTLILDDALANSFIAPATDDIKDDHQLMFEEYERSWEQNEELGLNDMDTSSADAAYNSTGVMSMQKTEE >EOY05732 pep chromosome:Theobroma_cacao_20110822:4:29615003:29616323:1 gene:TCM_020658 transcript:EOY05732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative MMGFSKPSSRLVPVLFVALTALMLPVYGQINTPCSPSMLSTFTPCINFLRNSTANGTSPTADCCKSLQTLTSGGRDCLCLIVTGSVPFQIPINRTLAISLPRACNMPGVPVQCKAAAGVPVPAPGPIALSPTLSPGASPTLSPKGSLVPEPTPSAEAPEFDTTPALTPPSSTAGSEAPTASTGSRPVLTPSSANPAYCFSPFLVVFALSFVIFKSF >EOY05538 pep chromosome:Theobroma_cacao_20110822:4:28939482:28941939:1 gene:TCM_020517 transcript:EOY05538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNERWVPTTQIRNDHELDMSRDDHDENRRGEEEEEDERGESSLNAHQNSIHLSNQGHVLHLTANEKLLRPIVVHAMGARIERRVKDFDGGLRKESHGGSYS >EOY02523 pep chromosome:Theobroma_cacao_20110822:4:1225385:1228989:-1 gene:TCM_016980 transcript:EOY02523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase family protein isoform 2 MTKRHENGSLVVFLWISFTSQKLEWRKGKVNPFVSSIRARLRPKAMPLNIVRDADGLPRIILTEPTGSSAEVLLYGGQVVSWKNERREELLFMSSKASWKPPKAIRGGIPVCFPQFGNLGSLEQHGFARNRMWSLDSDPSPLPPANNQSSVDLVLKSTEEDLKIWPRSFELRLRVTLSAGKLTLIPRVRNTDHKAFSFMFALCNYLSVSDISEVRVEGLETLDYFDNLINRERFTEQADAITFDGEFERVYLSTPTKIAIIDHEKKRTFELWKDGMPDAVVWNPWDKKAKALPDLGDEDYQSMLCVDSAAIETPIILKPFEEWKGRQEISTVSSSYCSGQLDPRRVLYGFH >EOY02524 pep chromosome:Theobroma_cacao_20110822:4:1225410:1228651:-1 gene:TCM_016980 transcript:EOY02524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase family protein isoform 2 MPLNIVRDADGLPRIILTEPTGSSAEVLLYGGQVVSWKNERREELLFMSSKASWKPPKAIRGGIPVCFPQFGNLGSLEQHGFARNRMWSLDSDPSPLPPANNQSSVDLVLKSTEEDLKIWPRSFELRLRVTLSAGKLTLIPRVRNTDHKAFSFMFALCNYLSVSDISEVRVEGLETLDYFDNLINRERFTEQADAITFDGEFERVYLSTPTKIAIIDHEKKRTFELWKDGMPDAVVWNPWDKKAKALPDLGDEDYQSMLCVDSAAIETPIILKPFEEWKGRQEISTVSSSYCSGQLDPRRVLYGFH >EOY02361 pep chromosome:Theobroma_cacao_20110822:4:574635:577450:1 gene:TCM_016866 transcript:EOY02361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein, putative MGTFRYVVGIIGNIISFGLFLSPVPTFYRIHKKKAVEEFQPYPYLCTVLNCVFWMFYGLPIVRKDNILVLTINTIGLVIELIYLTIFVIYANDRKKRVLVGLFLLGEAVLTVIVVVIAMLAFEFKNRDIFVGVICDVFNIIMYASPLAVWRKVIKTKSVEYMPFWLSVASLANGCCWTLYALIQLDVFILISNGLGAVFGLMQLILYSYYYFLGKRDTKEDGKQSELQLSNQSSV >EOY06355 pep chromosome:Theobroma_cacao_20110822:4:31655955:31658060:-1 gene:TCM_021104 transcript:EOY06355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19-like CHCH family protein isoform 1 MASVVDAVGEPIPTSSVLMSAAKHIEITCMSENVEFLKCKKKDPNPEKCLDKGRQATRCALGVLKDLYQRCKDPMETYVGCMYYHTNEFDLCRKEQQAFEKACPLK >EOY06354 pep chromosome:Theobroma_cacao_20110822:4:31655840:31658004:-1 gene:TCM_021104 transcript:EOY06354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19-like CHCH family protein isoform 1 MASVVDAVGEPIPTSSVLMSAAKHIEITCMSENVEFLKCKKKDPNPEKCLDKGRQATRCALGVLKDLYQRCKDPMETYVGCMYYHTNEFDLCRKEQQAFEKACPLK >EOY03274 pep chromosome:Theobroma_cacao_20110822:4:10523702:10526382:1 gene:TCM_018071 transcript:EOY03274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 6 MAKTKENPFPSIAQCASIGRDKHTVVADMDGTLLRGRSSFPYFALVAFEVGGILRLLFLLLASPVAGILYYFISEAAGIRVLIFATFVGMKVSDIESVARAVLPKFYSSDLHPETWRVFSSCGKSCVLTANPRVMVEAFLKDYLGADMVTGTEIHVFRGRATGLVKSPGILVGKNKVDALENAFKDKPVADIALGDRKTDYPFMKLCKESYVVPAKPKVEAVSHDKLPKPIVFHDGRLVQKPTPFMALLTILWIPVGFLLACLRIAAGALLPMPLVYYAFWALGVRVHIKGTPPPPAKKSIGQTGVLFICSHRTLLDPIFLSTALGRKIPAVTYSLSRLSEVISPIKTIRLSRDRATDASMIKKLLEAGDLVICPEGTTCREPFLLRFSALFAELTNELVPVAMANRMSMFHGTTARGWKGMDPFYFFMNPSPAYEVTFLNKLPHELTCSAGKSSHEVANYIQRMIAASLSYECTSFTRKDKYRALAGNDGTVVEKPKLSPNKVMGC >EOY04950 pep chromosome:Theobroma_cacao_20110822:4:26595872:26603272:-1 gene:TCM_020090 transcript:EOY04950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein MSIYWCSMKGRAIKVKKLTAQGVERRCQCQLQVLAVWSVGFTSIRDVLRHHWRSITLFIPSILSFFCNTQLMLAEWAAFATFVMRYCVSPSPIIEDKSHQHPFTLFWIHDSFVCDACEHGSYCCSDCTIVVHVNCAMKKERWYYIVSQENEDGKSIDSLALLPGVSIDSITCVIERNDAGEATKIKHFKHLHDLMLSEKIVGKVSSSLLQTSFLNVEVCKCRSNGFAYKCNECGNHVCLRCETLTPDTLTCQGHEHPLHFYIEYEGKCCAFADDMEVGYCYKALDAVIAGERDLRAACNIVSILSSASNYCLPIYHLSRHRGQLGLPHDLKLSTFIRRYPTVFHESYVFDSGGTRVPCFELTAEALNLYHEEVGVIQESVINLLDRLRKLLMLTKDRTLPLQTIDQLNWDLGLPYNYSNTLIPHYPDLFSLVHLADDRIGLKLLSWDDTLAVSQLEKNAFLQMEDDLNNNCLAFPIGFTRGFGLKRKCMEWLKEWQKLPYSSPYADASHLDPRTDVSEKRIVGVFHELLHLTIQKKTERRNVSNLRKPLSLPQKFTKVFERHPGIFYISRMCDTQTVVLREAYDCQRLIQRHPLVDIRKRFASMMRKGFLDRSRGLYKKTVNVGHEDPSKIVPGSEVESDCDLFSEYDSDDSINCPS >EOY03195 pep chromosome:Theobroma_cacao_20110822:4:7728569:7739885:1 gene:TCM_017825 transcript:EOY03195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase, CAZy family GT8, putative isoform 2 MKGGGGGGGGGVAPAKRRWRGLAIGVLFLVVLSMLVPLGFLLGLHNGFHSAGIMPLQHTSSPGDRSSHIDSLVRKLGPTLQKDILKGFINEAKNETSSTNVTPKNQQRKGIPVPPQVLLQPLTINISSISDKAGMKGHLDESEGLCELKYGSYCIWHEENREEMKDSKVKKLKDQLFVARAYFPSIAKVPAQSKLSRELRQNIQELERVLSESTTDADLPPEIEKKSRRMEAAIARAKSVSVDCNNVDKKLRQIFDLTEDEANFHMKQSAFLYQLAVQTMPKSLHCLSMRLTVEYFKDHSFDKELPEKFSDPTLQHYVIFSNNVIASSVVINSTVMHARESMNLVFHVLTDGQNYFAMKLWFLKNTFKDAVIQVLNIEHLNSEYYDKATLSHLTLPVEFRVSFHSSDNAPAIHDRTQYLSIFSHSHYLLPEIFRNLEKVVVLDDDVVVQQDLSALRSLDMAGKVIGAVQICSVRLGQLRSYLGRSSFDKNSCSWMSGLNVIDLVMWRELGISETYWKLVKEKVSMKEGSALLASLLTFQDLVYALDSVWVLSGLGHDYGLNIEGIEKAAVLHYNGNMKPWLDLGIPKYKAYWKKFLNQEDQFLSECNVNP >EOY03194 pep chromosome:Theobroma_cacao_20110822:4:7728569:7739801:1 gene:TCM_017825 transcript:EOY03194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase, CAZy family GT8, putative isoform 2 MKGGGGGGGGGVAPAKRRWRGLAIGVLFLVVLSMLVPLGFLLGLHNGFHSAAGIMPLQHTSSPGDRSSHIDSLVRKLGPTLQKDILKGFINEAKNETSSTNVTPKNQQRKGIPVPPQVLLQPLTINISSISDKAGMKGHLDESEGLCELKYGSYCIWHEENREEMKDSKVKKLKDQLFVARAYFPSIAKVPAQSKLSRELRQNIQELERVLSESTTDADLPPEIEKKSRRMEAAIARAKSVSVDCNNVDKKLRQIFDLTEDEANFHMKQSAFLYQLAVQTMPKSLHCLSMRLTVEYFKDHSFDKELPEKFSDPTLQHYVIFSNNVIASSVVINSTVMHARESMNLVFHVLTDGQNYFAMKLWFLKNTFKDAVIQVLNIEHLNSEYYDKATLSHLTLPVEFRVSFHSSDNAPAIHDRTQYLSIFSHSHYLLPEIFRNLEKVVVLDDDVVVQQDLSALRSLDMAGKVIGAVQICSVRLGQLRSYLGRSSFDKNSCSWMSGLNVIDLVMWRELGISETYWKLVKEKVSMKEGSALLASLLTFQDLVYALDSVWVLSGLGHDYGLNIEGIEKAAVLHYNGNMKPWLDLGIPKYKAYWKKFLNQEDQFLSECNVNP >EOY04365 pep chromosome:Theobroma_cacao_20110822:4:24042715:24043995:1 gene:TCM_019635 transcript:EOY04365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein family protein MADNSQSMSYKAGEAKGQAQEKASNLMDKAADAAQSAKESMQETGQKMMEKAHGAKEAVKDATGMNK >EOY05407 pep chromosome:Theobroma_cacao_20110822:4:28461346:28461883:1 gene:TCM_020414 transcript:EOY05407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility protein S1 family, putative MGVRTHFVLFLVSVFILSVAGLGQHDKDLATVHVINAMPRDSEPMQIRCSSKSTDHGMQELHVGDDYQCGVREKALYYCEAISGRQIASWHAFQPRRDKNHKAVFWLVKEDGFYLSWDNSSWVRKSGWYTE >EOY05127 pep chromosome:Theobroma_cacao_20110822:4:27296650:27299110:1 gene:TCM_020210 transcript:EOY05127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MPIFHYLHQLQNCAKHHSPCSTKQLHAHFIKLGLTHSSPVLNTLINVYAKCSLLQEAIQLFDEMPQRDHVSWATVLTAHNQANFPDKTLSLFPSMFSLDRLLPDDFVFASLVKACASLGAVKQGKQVHANYLVSPFFDDDVVKSSLVDMYAKCGLPEEGRVVFDSIKLKNMASWTAMLYGYARKGRKKEALELFLRVPLKNLFAWTSLISGLVQSGNEVDAFGLFIEMRREGVTIIDPLVLSSIIGASANLAMLELGKQVHGLVIGLGYESCMFISNALVDMYAKCSDILAARDVFSKMLQKDVVSWTSMIVGAAQHGQAEEALSLYDKMVSLGVKPNEVTFVGLIYACSHVGLVNRGRELFKSMTEDYGIHPSLQHYTCLLDLLGRSGYLDEAENIINSMPFKPDEPTWAALLSACKHHGNAKMAIRVADHLLSLKPEEPSSYILLSNIYSSSSLWEHASKARHLLEAMDVKRDPGYSYVDFGKESQVFYAGETSHPMKAEIFGLLKVLDVEMRRRGYVPDTSCVLHNMEQQEKERQLFWHSERLAVAYGLLKGVPGTVIRIVKNLRVCGDCHTVLKFISNIAKREIVVRDAKRYHHFKDGNCSCNDFW >EOY05338 pep chromosome:Theobroma_cacao_20110822:4:28141881:28152983:1 gene:TCM_020358 transcript:EOY05338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYWDCFPETPSPYHDQIKAALREYNEGIPDLHEWFQDLWEYGEINEDKLAQLSIKLSRKTCKGHCDTDLEPRVLVLSLWSVYCKTCLYLLTVEVYSRTLVRYWQVHD >EOY03658 pep chromosome:Theobroma_cacao_20110822:4:17668230:17673753:-1 gene:TCM_018750 transcript:EOY03658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGTNKTKPYPSYCSNTSYDNNSVNLIRAIQSVAYSSRGLKRSNSEALQVPTLQEVKEAVFNFDKNSVAGPDGFSSLLYQHGWEIIAANFLEVAQDLFDGASLPQGITSTTSFLCQKKKDAKQWFDFRPISLCTVLNKIITKLLANRLSKILLALFLKTRVDLLMVGLLVTNIIGPRVNRQTGLQNKRSLLATSHQKGGYDKDIEKLRMVVSDVIADEIVKVPFDRSRDDTAY >EOY03136 pep chromosome:Theobroma_cacao_20110822:4:6098390:6101923:1 gene:TCM_017681 transcript:EOY03136 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441, putative isoform 1 MRAVGMKKTLVYYRGRAPHGTRTDWVMHEYRLDERECETPSGLQDAYALCRVFKKSATGPKIGEHYLATTANQITSEHSSSIELYSEGRCDESSDFAIPLDTCSPSILNRSAIDICEARDAKWMQSLSEDAFGLSNPPSFSSYGTLPYPPSKVDVALECARLQHRLSLPPLEVQDFPQVGLTDYKVMRTTPMRESQHETDILREILSVAHASQELINPTGQQDAWGGSSSNANDFTFMTADDYMSRYSLEESSTRSIDISEVHLGDLKAERMVENLRWVGMSSKDMEQQYCFMEETKIVPIENISSFTRNECNGIQGESGHNNNCDGFIDTKINDPEIEDFPQGFMDDDPNEHFLDEGNIDDLTSSPSFEVVEDIKINHGMFVSTRQVAETFFHQIVPSQTVKVHQNPVIATSFVLGKAESHETRYNKGNSFFRKFNACASGKFMEIITFTEPCKKTASAFLWIVVLLLMHGFYLEEDITNEKLMDGLSAKETKEKALTVMSITGKPRTKWNKKKEKYSLVKASTWNDFGWLLTKLGFFLTISLVLCTLLASTLY >EOY03135 pep chromosome:Theobroma_cacao_20110822:4:6097648:6102290:1 gene:TCM_017681 transcript:EOY03135 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441, putative isoform 1 MAPVSLPPGFRFHPTDEELVVYYLKRKINGRKIELEIIPEVDLYKCEPWDLPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSQMRAVGMKKTLVYYRGRAPHGTRTDWVMHEYRLDERECETPSGLQDAYALCRVFKKSATGPKIGEHYLATTANQITSEHSSSIELYSEGRCDESSDFAIPLDTCSPSILNRSAIDICEARDAKWMQSLSEDAFGLSNPPSFSSYGTLPYPPSKVDVALECARLQHRLSLPPLEVQDFPQVGLTDYKVMRTTPMRESQHETDILREILSVAHASQELINPTGQQDAWGGSSSNANDFTFMTADDYMSRYSLEESSTRSIDISEVHLGDLKAERMVENLRWVGMSSKDMEQYCFMEETKIVPIENISSFTRNECNGIQGESGHNNNCDGFIDTKINDPEIEDFPQGFMDDDPNEHFLDEGNIDDLTSSPSFEVVEDIKINHGMFVSTRQVAETFFHQIVPSQTVKVHQNPVIATSFVLGKAESHETRYNKGNSFFRKFNACASGKFMEIITFTEPCKKTASAFLWIVVLLLMHGFYLEEDITNEKLMDGLSAKETKEKALTVMSITGKPRTKWNKKKEKYSLVKASTWNDFGWLLTKLGFFLTISLVLCTLLASTLY >EOY03095 pep chromosome:Theobroma_cacao_20110822:4:4678897:4690126:-1 gene:TCM_017545 transcript:EOY03095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSDDDNAKLPDPRCLFICTCTIKVVSHTSAQPTIWLSTYMVKYTYLMALTSTLAHKLLKRTAYHGYITTYGSYLKP >EOY02682 pep chromosome:Theobroma_cacao_20110822:4:1752651:1758952:1 gene:TCM_017087 transcript:EOY02682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative isoform 1 MPPTYFPLRWESTGDQWWYASPIDWAAANGHYDLVRELLRIDGNHLIKLTSLRRIRRLETVWDDEEQFDDVAKCRSQVARKLFLECGSKKAKNSLIRAGYGGWLIYTAASAGDLGFVQELLERNPLLVFGEGEYGVTDILYAAARGKNSEVFRLLYDFAVSPRFLTAKGEGFEEHIEEIPPVYKWEITNRAVHAAARGGNLKILKELLSDYTDVLAYRDKRGSTVLHAAAGRGQVEVVKNLVSSFDIIDSVDDQGNTALHIAAYRGQAAVVEALILASPSLIPVRNKTGETFLHLAVSGFQTPAFRRVDRQIDLMKQIVDAKSFNMEDIINAKNNDGRTALHMAIIGNVHTDLVELLMSAQSINVNIRDTNGMTPLDLLRQRPRSASSDILIRHLISAGGMFGCQDYTARRAIASHLKLQGHGSSPGASFRISDTEIFLYTGVETTSDASDVGSGGRSRSSSTDFDSADEKRKPSVDKKPGSMNNAAQRLKSVLHWPRLKEKETKRFKKSINEGCSEETPIPLRQRFSKPASLPNNKRTLSVRSNQSSPIAKKKLASGIMQGVMQAMPQLTIPRRSRSSSFSKSSLSSPSSLDKQKGIFIETDIAGPSCSNPTVDDEKPNTIEKQGSTKKGLRSQYFCFGGSGLAVKTPVSRQRQKQTVNPAMVSMA >EOY02681 pep chromosome:Theobroma_cacao_20110822:4:1755357:1758825:1 gene:TCM_017087 transcript:EOY02681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative isoform 1 MPPTYFPLRWESTGDQWWYASPIDWAAANGHYDLVRELLRIDGNHLIKLTSLRRIRRLETVWDDEEQFDDVAKCRSQVARKLFLECGSKKAKNSLIRAGYGGWLIYTAASAGDLGFVQELLERNPLLVFGEGEYGVTDILYAAARGKNSEVFRLLYDFAVSPRFLTAKGEGFEEHIEEIPPVYKWEITNRAVHAAARGGNLKILKELLSDYTDVLAYRDKRGSTVLHAAAGRGQVEVVKNLVSSFDIIDSVDDQGNTALHIAAYRGQAAVVEALILASPSLIPVRNKTGETFLHLAVSGFQTPAFRRVDRQIDLMKQIVDAKSFNMEDIINAKNNDGRTALHMAIIGNVHTDLVELLMSAQSINVNIRDTNGMTPLDLLRQRPRSASSDILIRHLISAGGMFGCQDYTARRAIASHLKLQGHGSSPGASFRISDTEIFLYTGVETTSDASDVGSGGRSRSSSTDFDSADEKRKPSVDKKPGSMNNAAQRLKSVLHWPRLKEKETKRFKKSINEGCSEETPIPLRQRFSKPASLPNNKRTLSVRSNQSSPIAKKKLASGIMQGVMQAMPQLTIPRRSRSSSFSKSSLSSPSSLDKQKGIFIETDIAGPSCSNPTVDDEKPNTIEKQGSTKKGLRSQYFCFGGSGLAVKTPVSRQRQKQTVNPAMVSMA >EOY06485 pep chromosome:Theobroma_cacao_20110822:4:32073029:32076743:-1 gene:TCM_021189 transcript:EOY06485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein MEEPGQLKRALIDATAGAISGGISRTVTSPLDVIKIRFQVQLEPTSSWALLRRDLPGSSKYTGMFQATKDILREEGLPGFWRGNVPALLMVMPYTAIQFVVLHKLKTFASGSSKSADHINISPYLSYISGALAGCAATVGSYPFDLLRTILASQGEPKVYPNMRSAFFDIIRTRGFKGLYAGLSPTLVEIIPYAGLQFGTYDTFKRWTMSWNRLRSSNTSSTMDDSLSSFQLFICGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPKYGARVEHHAYMNMFDALRRILQLEGWHGLYKGIVPSTIKAAPAGAVTFVAYEFTSDWLESILT >EOY02408 pep chromosome:Theobroma_cacao_20110822:4:700887:704537:1 gene:TCM_016892 transcript:EOY02408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSISPSLPLLFLFELDRMINPLKLQLQYYEFDSKPTPLFLGKKKKENLALTSHSSWQMKCTDKRFRYNENEFNLDELVSRYGSPDMASNKEKDEQ >EOY06839 pep chromosome:Theobroma_cacao_20110822:4:33095045:33096136:-1 gene:TCM_021445 transcript:EOY06839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDDYNNNNNRAEGVGGDVSSDVESVLTEVREIKDNIYSSEGDDHSFSSSGDSVSKKIQMMVMLTSKKILMVILLLSRKLSIILWPERPRYYPGSRISLSVNLITNVAGHFINESWEYCKWVLALHSYGNLAEDPYLIANTATIDIQEWGIMNKISTVTIKNTNYSDKIAMPLQAQVQETKQHPLGGR >EOY02668 pep chromosome:Theobroma_cacao_20110822:4:1726114:1730479:1 gene:TCM_017079 transcript:EOY02668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide binding protein 35 MAMANGEIPENANEHCPGPQSESAGKSDACQGCPNQEVCATTPKGPDPDLVGIAERMATVKHKILVLSGKGGVGKSTFSAQLSFALAAKDFQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPDPNEAVIWRGPRKNGLIKQFLKDVYWGELDFLVVDAPPGTSDEHISIVQFLQATGIDGAIIVTTPQQVSLIDVHFKFLRMTETGEHTDVTGKVIEYMREKAPEMLDVIAANEVFDSSGGGAAKMCMEMGVPFLGKVPLDPQLCKAAEEGKSCFTEQKCGVSAPALKNIIEKLMANYWHEEMVGGGDGGVDRITLRLELIYRSTFIPPLSLTTASEVLPWAVEEVKATPVILVGLYQSNVGITLEELVSTTVDAIKEAITSMFGLRTK >EOY03630 pep chromosome:Theobroma_cacao_20110822:4:17456625:17467485:-1 gene:TCM_018720 transcript:EOY03630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pearli, putative isoform 2 MSNPSSTSGVSDQPGPGLMGLAHRGIGLSNTIHSEVAQCLPLPSLPVFCGASDPELLLFDDPTGGASRSLNRPEIIAQSSRIADLLRETDVSYLNLRDEASSATYDYVEPLELHVQVLQYNPAAFEYVTPGLVKEQVSGGAVFERKPPESSFPHISQFQRDISSTYNQQTDVIANDAPKSSSRKPKGKKKAANDVGSSVRPDPTELQDAIIGHFREMLEDFCGRAQIPSDDRDETEWLSLPVNDVRMLVNEIMSIRTKRLLHLVPVDILVKLLRVLDHQIHRAEGLSVDECEHQDSDVFSSVFCALESIHASLAVMAHNDMPKQLYHEEIIERILEFSRHQIMDVMSAYDPSYRALHKPSENGAVEDDEDEELDAELGSASKKRRSTKSVKAKKSALNKVSGAVNAILQKLCTILGLLKDLLLIEKLSDSCVLQLLKTSFTTFLVDNIQLLQLKAIGLITGIFYSYTQHRTYIIDEMVQLLWKLPFSKRALRAYHLPDEEQRQIQMVTALLIQLVHGSANLPEALKQTSSGSPILEVSVDDSYLTKCHESVQDTCCHFWTRVLQRLASVKTQDASELKVMIENLVADLLTTLNLPEYPAAAPALEVLCVLLLQNAGLKSKDISARAMAIDLVGTIAARLKHDSLLCRKDKFWISEELLSGDNDHESYPNGVCSICLDGKVEKVLYRCQGCQRFFHADCMGVREQEVPNRSWYCQFCVCKKQLLVLQSYCESQYQDNENKNYGRSERSESSDPITKVEIVQQMLLNYLQDAASIDDIHLFVRWCYLCLWYKDGPKSQQNFKYYLARLRSKAIVRDSGTVSSLLIRDSVKKIALALGQNNSFSRGFDKILYLLLVSLRENSPVIRAKALRAVSIIVEADPEVLGDKRVQVAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYFEKVAERIKDTGVSVRKRAIKIIRDMCNANPNFSGFTSACIEIISRVSDDESSIQDLVCKTFYEFWFEEPSGLQTQYPGDGSSVPLEVAKKTEQIVEMLRRLPNHQFLVTVIKRNLVLDFFPQSAKAAGINPVSLAAVRRRCELMCKCLLEKILQVEEMSNVEAEVPTLPYVLALHAFCVVDPSLCMPASDPSQFVITLQPYLKSQVDNRVVAQLLESIIFIIDAVVPLMRKLPPSVIEELKQDLKHMIVRHSFLTVVHACIKCLCSVTKKAGNGGTVVEYLIQLFFKLLDSQATDNKQQVGRSLFCLGLLIRYGNSLFSGPTNKNIDVASSLSLFKKYLLMDDFSIKVRSLQALGFALIARPEYMLEKDIGKILEAALAPSSNVRLKVKLSHITKFLYL >EOY03628 pep chromosome:Theobroma_cacao_20110822:4:17454016:17467485:-1 gene:TCM_018720 transcript:EOY03628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pearli, putative isoform 2 MSNPSSTSGVSDQPGPGLMGLAHRGIGLSNTIHSEVAQCLPLPSLPVFCGASDPELLLFDDPTGGASRSLNRPEIIAQSSRIADLLRETDVSYLNLRDEASSATYDYVEPLELHVQVLQYNPAAFEYVTPGLVKEQVSGGAVFERKPPESSFPHISQFQRDISSTYNQQTDVIANDAPKSSSRKPKGKKKAANDVGSSVRPDPTELQDAIIGHFREMLEDFCGRAQIPSDDRDETEWLSLPVNDVRMLVNEIMSIRTKRLLHLVPVDILVKLLRVLDHQIHRAEGLSVDECEHQDSDVFSSVFCALESIHASLAVMAHNDMPKQLYHEEIIERILEFSRHQIMDVMSAYDPSYRALHKPSENGAVEDDEDEELDAELGSASKKRRSTKSVKAKKSALNKVSGAVNAILQKLCTILGLLKDLLLIEKLSDSCVLQLLKTSFTTFLVDNIQLLQLKAIGLITGIFYSYTQHRTYIIDEMVQLLWKLPFSKRALRAYHLPDEEQRQIQMVTALLIQLVHGSANLPEALKQTSSGSPILEVSVDDSYLTKCHESVQDTCCHFWTRVLQRLASVKTQDASELKVMIENLVADLLTTLNLPEYPAAAPALEVLCVLLLQNAGLKSKDISARAMAIDLVGTIAARLKHDSLLCRKDKFWISEELLSGDNDHESYPNGVCSICLDGKVEKVLYRCQGCQRFFHADCMGVREQEVPNRSWYCQFCVCKKQLLVLQSYCESQYQDNENKNYGRSERSESSDPITKVEIVQQMLLNYLQDAASIDDIHLFVRWCYLCLWYKDGPKSQQNFKYYLARLRSKAIVRDSGTVSSLLIRDSVKKIALALGQNNSFSRGFDKILYLLLVSLRENSPVIRAKALRAVSIIVEADPEVLGDKRVQVAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYFEKVAERIKDTGVSVRKRAIKIIRDMCNANPNFSGFTSACIEIISRVSDDESSIQDLVCKTFYEFWFEEPSGLQTQYPGDGSSVPLEVAKKTEQIVEMLRRLPNHQFLVTVIKRNLVLDFFPQSAKAAGINPVSLAAVRRRCELMCKCLLEKILQVEEMSNVEAEVPTLPYVLALHAFCVVDPSLCMPASDPSQFVITLQPYLKSQVDNRVVAQLLESIIFIIDAVVPLMRKLPPSVIEELKQDLKHMIVRHSFLTVVHACIKCLCSVTKKAGNGGTVVEYLIQLFFKLLDSQATDNKQQVGRSLFCLGLLIRYGNSLFSGPTNKNIDVASSLSLFKKYLLMDDFSIKVRSLQALGFALIARPEYMLEKDIGKILEAALAPSSNVRLKMQVLQNLLEYLLDAESQMGTDKAGNDAVHYSVEGGGSVPVAAGAGDTNICGGIVQLYWDNILGRCLDFNEEVRQSALKIVEVVLRQGLVHPITCVPYLIALETDPLEVNQKLAHHLLMNMNEKYPAFFESRLGDGLQMSFIFMRSISGNARENLNEKSQSKFSGNLKGKSDAGSLTQARLGVSRIYKLIRGNRVARNKFMSSIVRKFDNPSWNDSVVPFLMYCTETLALLPFSSPDEPLYLIYAINRVIQVRAGALEANMKALSSNLLKADAQKTTNENGTVQLDHSRAVFNYMATVDLNGTIQEEAVVQPALYHMTSIDLNGAIQQKLTHESISHYTPAVETTMHKMNHSETHTLSEEDMQKIQADCLAATALQLLMKLKRHLKIVYSLNDQRCQAFSPNEPIKPGDVLTRQNIPFDISETHTSLPCTYQELVQRYQEFKNALREDSIDYSIFTANIKRKRPNPRRGGKAMRMTGGDEDDDYDDEDWKGGVRRLSNSGRKSYGSRGSRQRW >EOY03629 pep chromosome:Theobroma_cacao_20110822:4:17454074:17467329:-1 gene:TCM_018720 transcript:EOY03629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pearli, putative isoform 2 MSNPSSTSGVSDQPGPGLMGLAHRGIGLSNTIHSEVAQCLPLPSLPVFCGASDPELLLFDDPTGGASRSLNRPEIIAQSSRIADLLRETDVSYLNLRDEASSATYDYVEPLELHVQVLQYNPAAFEYVTPGLVKEQVSGGAVFERKPPESSFPHISQFQRDISSTYNQQTDVIANDAPKSSSRKPKGKKKAANDVGSSVRPDPTELQDAIIGHFREMLEDFCGRAQIPSDDRDETEWLSLPVNDVRMLVNEIMSIRTKRLLHLVPVDILVKLLRVLDHQIHRAEGLSVDECEHQDSDVFSSVFCALESIHASLAVMAHNDMPKQLYHEEIIERILEFSRHQIMDVMSAYDPSYRALHKPSENGAVEDDEDEELDAELGSASKKRRSTKSVKAKKSALNKVSGAVNAILQKLCTILGLLKDLLLIEKLSDSCVLQLLKTSFTTFLVDNIQLLQLKAIGLITGIFYSYTQHRTYIIDEMVQLLWKLPFSKRALRAYHLPDEEQRQIQMVTALLIQLVHGSANLPEALKQTSSGSPILEVSVDDSYLTKCHESVQDTCCHFWTRVLQRLASVKTQDASELKVMIENLVADLLTTLNLPEYPAAAPALEVLCVLLLQNAGLKSKDISARAMAIDLVGTIAARLKHDSLLCRKDKFWISEELLSGDNDHESYPNGVCSICLDGKVEKVLYRCQGCQRFFHADCMGVREQEVPNRSWYCQFCVCKKQLLVLQSYCESQYQDNENKNYGRSERSESSDPITKVEIVQQMLLNYLQDAASIDDIHLFVRWCYLCLWYKDGPKSQQNFKYYLARLRSKAIVRDSGTVSSLLIRDSVKKIALALGQNNSFSRGFDKILYLLLVSLRENSPVIRAKALRAVSIIVEADPEVLGDKRVQVAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYFEKVAERIKDTGVSVRKRAIKIIRDMCNANPNFSGFTSACIEIISRVSDDESSIQDLVCKTFYEFWFEEPSGLQTQYPGDGSSVPLEVAKKTEQIVEMLRRLPNHQFLVTVIKRNLVLDFFPQSAKAAGINPVSLAAVRRRCELMCKCLLEKILQVEEMSNVEAEVPTLPYVLALHAFCVVDPSLCMPASDPSQFVITLQPYLKSQVDNRVVAQLLESIIFIIDAVVPLMRKLPPSVIEELKQDLKHMIVRHSFLTVVHACIKCLCSVTKKAGNGGTVVEYLIQLFFKLLDSQATDNKQQVGRSLFCLGLLIRYGNSLFSGPTNKNIDVASSLSLFKKYLLMDDFSIKVRSLQALGFALIARPEYMLEKDIGKILEAALAPSSNVRLKMQVLQNLLEYLLDAESQMGTDKAGNDAVHYSVEGGGSVPVAAGAGDTNICGGIVQLYWDNILGRCLDFNEEVRQSALKIVEVVLRQGLVHPITCVPYLIALETDPLEVNQKLAHHLLMNMNEKYPAFFESRLGDGLQMSFIFMRSISGNARENLNEKSQSKFSGNLKGKSDAGSLTQARLGVSRIYKLIRGNRVARNKFMSSIVRKFDNPSWNDSVVPFLMYCTETLALLPFSSPDEPLYLIYAINRVIQVRAGALEANMKALSSNLLKADAQKTTNENGTVQLDHSRAVFNYMATVDLNGTIQEEAVVQPALYHMTSIDLNGAIQQKLTHESISHYTPAVETTMHKMNHSETHTLSEEDMQKIQVLLNPANLTFLSPAMNAFEFLHKLILRGGLYALL >EOY03631 pep chromosome:Theobroma_cacao_20110822:4:17457366:17467329:-1 gene:TCM_018720 transcript:EOY03631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pearli, putative isoform 2 KTFTLFPRHLLLSSSQSFLRAQKALQLLQGNPNSFQIIPRFLHYYETTTPFYTLHALSLQQIPRSPIKQSMSNPSSTSGVSDQPGPGLMGLAHRGIGLSNTIHSEVAQCLPLPSLPVFCGASDPELLLFDDPTGGASRSLNRPEIIAQSSRIADLLRETDVSYLNLRDEASSATYDYVEPLELHVQVLQYNPAAFDLSGLVKEQVSGGAVFERKPPESSFPHISQFQRDISSTYNQQTDVIANDAPKSSSRKPKGKKKAANDVGSSVRPDPTELQDAIIGHFREMLEDFCGRAQIPSDDRDETEWLSLPVNDVRMLVNEIMSIRTKRLLHLVPVDILVKLLRVLDHQIHRAEGLSVDECEHQDSDVFSSVFCALESIHASLAVMAHNDMPKQLYHEEIIERILEFSRHQIMDVMSAYDPSYRALHKPSENGAVEDDEDEELDAELGSASKKRRSTKSVKAKKSALNKVSGAVNAILQKLCTILGLLKDLLLIEKLSDSCVLQLLKTSFTTFLVDNIQLLQLKAIGLITGIFYSYTQHRTYIIDEMVQLLWKLPFSKRALRAYHLPDEEQRQIQMVTALLIQLVHGSANLPEALKQTSSGSPILEVSVDDSYLTKCHESVQDTCCHFWTRVLQRLASVKTQDASELKVMIENLVADLLTTLNLPEYPAAAPALEVLCVLLLQNAGLKSKDISARAMAIDLVGTIAARLKHDSLLCRKDKFWISEELLSGDNDHESYPNGVCSICLDGKVEKVLYRCQGCQRFFHADCMGVREQEVPNRSWYCQFCVCKKQLLVLQSYCESQYQDNENKNYGRSERSESSDPITKVEIVQQMLLNYLQDAASIDDIHLFVRWCYLCLWYKDGPKSQQNFKYYLARLRSKAIVRDSGTVSSLLIRDSVKKIALALGQNNSFSRGFDKILYLLLVSLRENSPVIRAKALRAVSIIVEADPEVLGDKRVQVAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYFEKVAERIKDTGVSVRKRAIKIIRDMCNANPNFSGFTSACIEIISRVSDDESSIQDLVCKTFYEFWFEEPSGLQTQYPGDGSSVPLEVAKKTEQIVEMLRRLPNHQFLVTVIKRNLVLDFFPQSAKAAGINPVSLAAVRRRCELMCKCLLEKILQVEEMSNVEAEVPTLPYVLALHAFCVVDPSLCMPASDPSQFVITLQPYLKSQVDNRVVAQLLESIIFIIDAVVPLMRKLPPSVIEELKQDLKHMIVRHSFLTVVHACIKCLCSVTKKAGNGGTVVEYLIQLFFKLLDSQATDNKQQVGRSLFCLGLLIRYGNSLFSGPTNKNIDVASSLSLFKKYLLMDDFSIK >EOY03387 pep chromosome:Theobroma_cacao_20110822:4:14262685:14285675:1 gene:TCM_018391 transcript:EOY03387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCKMFGEKNQRKIFYSSTQVGEVLIRNNKIQNNPFPTHPNTQGHVSVDINVQEEVSPSSNQEEDTYAVVMVTFSIVSSLLKAPRIMRNIKKPKFHILKVRISNYVSWCLDVEMHIQGQGLANAIIIDEKENDKDKVNALIFIRRHLHESLKTQYLSV >EOY03236 pep chromosome:Theobroma_cacao_20110822:4:8831400:8835973:1 gene:TCM_017923 transcript:EOY03236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNEAAFSQVLLVKFKVAMIIVKAAGISSIPPGLVGNGAFKVEFALVLSALLS >EOY03995 pep chromosome:Theobroma_cacao_20110822:4:21181575:21182437:-1 gene:TCM_019232 transcript:EOY03995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPHTHQKNPHQSLLVIFLILSISFLIPIIASTKKLEEIVPVVVPQAPTTEIKCGTCPCVNPCGQQSLPPPPPPPPSPPPPPRFTYCNPVPMTPPPPRFYYVTGVPGQLYTADADDRWTFFSSAGRDDIGILLLSFGFGLLGLLTIW >EOY04045 pep chromosome:Theobroma_cacao_20110822:4:21649985:21656052:1 gene:TCM_019300 transcript:EOY04045 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein MGDHFVLLVDRLLTESTLEAAIESKNQSQQGMSSASKDDMIEFSSHRMDENVGSSPSKLVECRICHDEDEDLNMEIPCSCRGSLKYAHRKCVQRWCNEKGDTICEICHQQFRPGYTAPPPLFHYGGVPMNFRGNWEISRRDLPAPRFITMVTTDRDFLESDFDDYSAPSSRSLICCRVIAIIFMVLLVLRHTLPIIISGAGDYSLTLFTLLMLRTVGILLPIYIMVKAFTAIQRRRHHQDPRFSLAASDEESELPQLQQPHSRFIGDVIFNIAEHKRQDHKMKSAEDSCLVRMNAYSTTTVNSYKNIQMGIYINLAYKDC >EOY04250 pep chromosome:Theobroma_cacao_20110822:4:22999177:23001116:-1 gene:TCM_019506 transcript:EOY04250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase isoform 2 MAASSSALGTTISPVKLDKVQELYVYEINERDRGSPAYLRLSQKPVNSLGDLVPFSNKIYTGDLQKRIGITSGICILIEHKPEKKGDRYEAIFSFYFGDYGHIAVQGPYLTYEDTYLAVTGGSGIFEGVTGQVKLQQIVFPFKIFYTFYLKGIGELPEELVCKPVEPNPGVEAAPAAKACEPHATVANFTN >EOY04249 pep chromosome:Theobroma_cacao_20110822:4:22999152:23005125:-1 gene:TCM_019506 transcript:EOY04249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase isoform 2 MAASSSALGTTISPVKLGNPRSSSNLTKSLLPAPSFKLIKNPSLTQSHKLFASNCSIFSVPKRSFTCKSQAIPSDNSTPNKVQELYVYEINERDRGSPAYLRLSQKPVNSLGDLVPFSNKIYTGDLQKRIGITSGICILIEHKPEKKGDRYEAIFSFYFGDYGHIAVQGPYLTYEDTYLAVTGGSGIFEGVTGQVKLQQIVFPFKIFYTFYLKGIGELPEELVCKPVEPNPGVEAAPAAKACEPHATVANFTN >EOY05505 pep chromosome:Theobroma_cacao_20110822:4:28812706:28818931:-1 gene:TCM_020491 transcript:EOY05505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MSGPQCCSNPPTLNPGSGVGHVEELAGLKTYFTGSLDSKLAVLLVSDVFGYDAPNLRKLADKVAAAGFYVVVPDFFYGDPFVAERSDRPLAVWLKDHGPDKGLEDAKLVIDALKSKGVSAIGAAGICWGAKVVVGLAKEALIPAAVLLHPSFVTVDDIKSVKVPIAILGAEIDQLSPPALVKQFDEILKAKPEVGSFVKIFPKCAHGWTVRYNVNDATAVSYADEAHQDMLNWFTKFSSWRLRHASGL >EOY05507 pep chromosome:Theobroma_cacao_20110822:4:28813328:28818786:-1 gene:TCM_020491 transcript:EOY05507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 VEELAGLKTYFTGSLDSKLAVLLVSDVFGYDAPNLRKLADKVAAAGFYVVVPDFFYGDPFVAERSDRPLAVWLKDHGPVEDAKLVIDALKSKGVSAIGAAGICWGAKVVVGLAKEALIPAAVLLHPSFVTVDDIKSVKVPIAILGAEIDQLSPPALVKQFDEILKAKPEVGSFVKIFPKCAHGWTVRYNVNDATAVSYADEAHQDMLNWFTKYVK >EOY05506 pep chromosome:Theobroma_cacao_20110822:4:28813086:28819030:-1 gene:TCM_020491 transcript:EOY05506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MSGPQCCSNPPTLNPGSGVGHVEELAGLKTYFTGSLDSKLAVLLVSDVFGYDAPNLRKLADKVAAAGFYVVVPDFFYGDPFVAERSDRPLAVWLKDHGPDKGLEDAKLVIDALKSKGVSAIGAAGICWGAKVVVGLAKEALIPAAVLLHPSFVTVDDIKSVKVPIAILGAEIDQLSPPALVKQFDEILKAKPEVGSFVKIFPKCAHGWTVRYNVNDATAVSYADEAHQDMLNWFTKYVK >EOY03171 pep chromosome:Theobroma_cacao_20110822:4:6793440:6800384:1 gene:TCM_017741 transcript:EOY03171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFTRVVNIECGRVMSDLSFGGLMKLVEDVVRMNVSVVYITIKEHHTNVMAHEEAKQHVQRSGETVEGQMSFSNDTVRLEDNTTLLKDDTATYEDDMVTLEDDDASDQVKIEHGKGVDLRDVQCNNPIANNPIAGANGISLLETLANDSY >EOY05750 pep chromosome:Theobroma_cacao_20110822:4:29661081:29668117:-1 gene:TCM_020671 transcript:EOY05750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulation of chlorophyll biosynthetic process, photosystem I assembly, thylakoid membrane organization, RNA modification, 4 anthesis, petal differentiation and expansion stage, E expanded cotyledon stage, D bilateral stage MAGTSLSPLITSPTFKTLTNQTQPKTKTKDSSSTGSLYSCNHLTELKKLHCQITKQGLIHHPSSITKLISTCTQMGTFDSVIYARKILNQFRQDNQNDGTLFMYNSLIRGYSSIDLGNEAIWVYLEMLELGISPDKYTFPFLLSACTKISARAEGLQVHGSVVKMGFQGDIFVLNSLIHFSSECGEVVLGRKVFDEMAERNVVSWTSLICGYARWGFAKEAVDLFFEMVEEGVRPNSVTMVCVISACAKLKDLDMAGRVCGCIGELGVKVNTLMVNALVDMYMKCGAFDTAKRLFDECGEKNLVVCNTIMSNYVREGMAREALAILDQMLGERLVPDRVTMLSAMSACAQLGSILWGKCCHGYVLRNGLEGWDSISNAIIDMYMKCGKQKIACAVFDGMANRTVVSWNSLIAGYIRNGDTGSAWEVFNNMPESDLVSWNTIISALVQESMFKEAIELFRMMQSEGIKADRVTMVSIASACGYLGALDLAKWIHAYIKKNKVPRDIRLSTALVDMFARCGDPLSAMEIFNKMEKRDVSAWTAAIGAMAMEGNGNQAIELFNEMLREGVKPDGVVFVGLLTACSHGGLVEQGQDLFRSMKSVHGISPQIVHYGCIVDLIGRAGLLEQALDLIKGMPMEPNDVIWGSLLAACRMHRNVDMAAYAAERIKELASERTGIQVLLSNIYASAGKWTDVAKVRLHLKEKGARKVPGSSSIEINGEIHEFTSGDESHPEMIHIAPMLDEMNCRVRDAGHAPDLTNVLLNVDEQEKEYLLSRHSEKLAMAFGLISTNQGMPIRVVKNLRICSDCHSFAKLASKIYDREIIVRDNNRFHFFQRGICSCNDY >EOY05984 pep chromosome:Theobroma_cacao_20110822:4:30526807:30529425:-1 gene:TCM_020842 transcript:EOY05984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVRGSTIWSLWLARNESMFNGKGWDSTEIFNNIRTLSLFWSKACDGMGSLDEYGWWPEPWNVNITRAPLHTSVNITWQPPQLGEFKFNVDGSARETSGPAGYEGVLRDSEGYVTDTIRATLGGVIFQHIARDGNSFVDMLAKHGADYMFCSLVLCMLTPVTL >EOY02239 pep chromosome:Theobroma_cacao_20110822:4:418:7457:1 gene:TCM_016763 transcript:EOY02239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQNALNQHEFPSLPTIRGLPSGRPPDLPQQPPAAFQPPPAAIPCLSVTNSPLSWTTQPQQQHSNGCQQTTQTQTPPPSSPRFQKKSFLSIVSGEKPSVVPLTRDPFVYKDRPAAAFFEDEIHILAQPFKLSLVGKFSRMPKLQEVRSAFKGIGLAGSYEIRWLDYKHILIHLSNEQDFNRFWTKQAWFIANQKMRVFKWTPEFEPEKESAVVPVWISFPNLKAHLFEKSALLLIAKTVGKPLFIDEATANGSRPSVARVCIEYDCREPPVDQVWIVVQNRATGAVTSGYPQKVEFAQMPAYCDHCCHVGHKEINCIVLGNKNGLQGSGKPQPHSVVDADKLRNLEKIKNPDKGKIVSTEDQAKHQQKWQPVGKVGTSGTKDRQGKEIDSDKGTKEANVPISNRFHGISGHGDEVQNRVMESSSQKKNEGAKPVEAGEQLNIVTSGRKESTTPAKVPQNGNNTQPLIGGPQKDTAVDNRDVTDVQRKGADGQNDEEEQPAGDRTVTARTANLSSTEDVELDFFHVHGMHGKTETRGERGLTVAKTGKIVMVTANSSSDKEPDTETRNGNSVMGRAEKVTEFLANMQPSENFTQAPLHENKEQFLIGNTEGVQSLPRKEQPALHENRVQFQMVKGGDGKQMSFSSTVGRLDNNFHQSVHGKRGQKDVASTEKDGDVTAPVEDGTLVYKQGPECAAVNSKKKGISTPQMQAAPVLQEERQRTTNWCAAENSKQCSLKPQIQVSPEQHEECLKMTEMESVSQVRPAAIEGQKQIPTREMDGVGQRVTVRKQKLKKKAKPVLASLVHVMNVEDERNSLVNYSSAEGQTSGRESQLPDEEPTDFKGNSNSNTLRSLPGVEVQRRLKKLKMMVILEPMVHIRRAEYFRRKLGFHKAGPWMVGGDFNSIVSTVERLNGAAPHVGSMEDFASTLFDCGLLDAGFEGNSFTWTNNHMFQRLDRVVYNPEWAQCFSSTRVQHLNRDGSDHCPLLISCNTASQKGASTFRFLHAWTKHHDFLPFVTRSWQTPIQGSGLSAFWFKQQRLKRDLKWWNKHIFGDIFEKLRLAEEEAEKKEIEFQHNPSLTNRNLMHKAYAKLNRQLSIEELFWQQKSGVKWLVEGENNTKFFHMRMRKKRVRSHIFQIQDSEGNVFDDIHSIQKSATDFFRDLMQAENCDLSRFDPSLIPRIISSADNEFLCAAPPLQEIKEAVFNINKDSVAGPDGFSSLFYQHCWDIIKNDLLDAVLDFFRGSPLPRGVTSTTLVLLPKKPNACHWSEYRPISLCTVLNKIVTKLLANRLSKILPSIISENQSGFVNGRLISDNILLAQELIGKIDAKSRGGNVVLKLDMAKAYDRLNWDFLYLMMEHFGFNAHWINMIKSCISNCWFSLLINGSLAGYFKSERGLRQGDSISPMLFILAADYLSRGLNHLFSCYSSLQYLSGCQMPISHLSFADDIVIFTNGGRSALQKILSFLQEYEQVSGQKVNHQKSCFITANGCSLSRRQIISHTTGFQHKTLPVTYLGAPLHKGPKKVLLFDSLISKIRDRISGWENKILSPGGRITLLRSVLSSLPMYLLQVLKPPVTVIERIDRLFNSFLWGDSTECKKMHWAEWAKISFPCAEGGLGIRKLEDVCAAFTLKLWWRFQTGNSLWTQFLRTKYCLGRIPHHIQPKLHDSHVWKRMISGREMALQNIRWKIGKGDLFFWHDCWMGDKPLAASFPEFQNDMSHGYHFYNGDTWDVDKLRSFLPTILVEEILQVPFDKSREDVAYWTLTSNGDFSTRSAWEMIRQRQTSNALCSFIWHRSIPLSISFFLWKTLHNWIPVELRMKEKGIQLASKCVCCNSEESLIHVLWENPVAKQVWNFFAQLFQIYIWNPRHVSQIIWAWYVSGDYVRKGHFRVLLPLFICWFLWLERNDAKHRHTGLYADRVIWRTMKHCRQLYDGSLLQQWQWKGDTDIATMLGFSFTHKQHAPPQIIYWKKPSIGEYKLNVDGSSRNGLHAATGGVLRDHTGKLIFGFSENIGPCNSLQAELRALLRGLLLCKERHIEKLWIEMDALVAIQLIQPSKKGPYNLRYLLESIRMCLSSFSYRLSHILREGNQAADYLSNEGHKHQNLCVFTEAQGQLHGMLKLDRLNLPYVRF >EOY05027 pep chromosome:Theobroma_cacao_20110822:4:26886020:26889211:-1 gene:TCM_020138 transcript:EOY05027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic polyadenylation element-binding protein 3 MNPTNCTHGPSPQSVSIESVEISIQNLIKSWYKRQRWQHFFNPRLELVVSSSRAPWRTHLAKFLESTQLRIVAISLLLLDLVLTILELSSTILSCSPKNSSINIDKAWYHWVGISILALLSAKTVALAVGLGSAFLRRPGYLVDGIVVVGALLLEALLERKGGGLLAIVSLWRVVRVVESAMELSDEAIEAQIEGIVCQFEALREENTRLLETIAQKDQIIETLEKELDEYRQA >EOY02419 pep chromosome:Theobroma_cacao_20110822:4:737699:742152:-1 gene:TCM_016900 transcript:EOY02419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase 4 isoform 2 MGRAFVYVILGGGVAAGYAALEFTKRGVSHGELCIISEEPVAPYERPALSKGFLLPESPARLPSFHTCVGANEERLNPKWYKEHGIELVLGTRVKSADVRRKTLLTATGETISYKILIIATGARALKLEEFGVSGSEAENVCYLRDLADANSLVKVMQSCAGGNAVVIGGGYIGMECTASLVINKINVTMVFPEAHCMARLFTPKIASYYEDYYQSKGVKFIKGTVLSSFEFDSSGKVTAVNLRDGSRLPADMVLVGIGIRPNTSLFEGQLTLEKGGIKVNGKLQTSNNSVYAVGDVAAFPVKLFGETRRLEHVDSARKSAKHAVAAILEPEKTGEFDYLPFFYSRVFAFSWQSFGDNAGEVVHFGDSSGSTFGAYWISKGHLVGSFLEGGTKEEYEAIANATRLQPAVEDLAEVGRQGLNFALTVSKRPLPTPPIEVSRSSLVVEKPVYAWHATAGVVLAASVAGFAYWYGRRRRRW >EOY02420 pep chromosome:Theobroma_cacao_20110822:4:737686:741651:-1 gene:TCM_016900 transcript:EOY02420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase 4 isoform 2 MGRAFVYVILGGGVAAGYAALEFTKRGVSHGELCIISEEPVAPYERPALSKGFLLPESPARLPSFHTCVGANEERLNPKWYKEHGIELVLGTRVKSADVRRKTLLTATGETISYKILIIATGARALKLEEFGVSGSEAENVCYLRDLADANSLVKVMQSCAGGNAVVIGGGYIGMECTASLVINKINVTMVFPEAHCMARLFTPKIASYYEDYYQSKGVKFIKGTVLSSFEFDSSGKVTAVNLRDGSRLPADMVLVGIGIRPNTSLFEGQLTLEKGGIKVNGKLQTSNNSVYAVGDVAAFPVKLFGETRRLEHVDSARKSAKHAVAAILEPEKTGGTKEEYEAIANATRLQPAVEDLAEVGRQGLNFALTVSKRPLPTPPIEVSRSSLVVEKPVYAWHATAGVVLAASVAGFAYWYGRRRRRW >EOY05968 pep chromosome:Theobroma_cacao_20110822:4:30459862:30463423:-1 gene:TCM_020826 transcript:EOY05968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT3G23160) TAIR;Acc:AT3G23160] MVAETWILKMGNQVSSNLKHALLLEPSFKKKNTQNNSTPKTHETVGILSFEVANVMSKTIHLHKSLSEPEISKLKSEILKSEGICHLISSDDNYLLTLALAEKLDELNKVASVVSRLGKKCNEPALQGFEHVYGDILNGDIDVRELGFLVKDMEGMVRKMERYVNSTANLYNEMEVLNELEQATKKFQANQHEESKRAFEQKLIWQKQDVRHLKDVSLWNQTFDKVVELLARTVCTLFARILVVFGESALRKDRECGQGSGKVSGGFCDREEVVSRQLKRALSKSSSVRGGQPANSERGVVEKRGVSLKHRGFDSRKGEVGLFRVEDFGFMCGTSPGRLFTDCLSLSSSGSRFDDDDDDGDGSVDHDDRSSQISGCCSVVNDGVKRERPNRSPFGQPQFTVPLNGDQRQSKCGVLNNAQFGPKSRLAVFATPCTVGGSALALHYANVIIVIEKLLRYPHLVGEEARDDLYQMLPTSLRLSLRTNLKSYVKNLAIYDAPLAHDWKETLDGILRWLAPLAHNMIRWQSERNFEQQQIVTRTNVLLLQTLYFADREKTEAAICELLVGLNYICRYEHQQNALLDCASSFDFEDCMEWQLQYGASYLN >EOY04215 pep chromosome:Theobroma_cacao_20110822:4:22777964:22778985:-1 gene:TCM_019481 transcript:EOY04215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein PSRP-3/Ycf65 MHRNISKVENCFLPWLSDSPLKLKILTKKMLVTTSLQTYLKPPFKPLTSFPSQNPTLFLLKPLTVSPKTSNLAKPIISPQVKAKAQVLSDELPLDDSPSESSSYKEKLGVVVKPLEKPRVVLKFIWMEKDIGIALDQVIPGHGTIPLSPYYFWPRKDAWEELKVLLENKPWISHMQRIHLLNQATDIINLWQTSGGNLS >EOY02578 pep chromosome:Theobroma_cacao_20110822:4:1356404:1358506:1 gene:TCM_017010 transcript:EOY02578 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 REKQKLKETSISNLEEEDDEQQDEGLDETDQEAAKGDEEKQEADDDEADGLEDGNGVPNKKKKKKKEKLLKEAAEADNRGVCYLSRIPPHMDHVKLRQLLSQYGEILRIYLTPSGHLPQVKGKRTRPSKVQEQEFSEGWVEFARKGIAKRVANMLNGGRKRSSFYYDLWNIKYLSKFKWDDLTEEIAYKSAIREQKLALEISAARRERDFYLSKVDQSHALNSIEERMKKKQKVQQESETNSELPVSQKKVIRQFPQKKPVTVDKSQSKPQLSKDILAGVSIT >EOY02577 pep chromosome:Theobroma_cacao_20110822:4:1356170:1358840:1 gene:TCM_017010 transcript:EOY02577 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MQKLENNKAKKMKKNKRREKQKLKETSISNLEEEDDEQQDEGLDETDQEAAKGDEEKQEADDDEADGLEDGNGVPNKKKKKKKEKLLKEAAEADNRGVCYLSRIPPHMDHVKLRQLLSQYGEILRIYLTPSGHLPQVKGKRTRPSKVQEQEFSEGWVEFARKGIAKRVANMLNGEQVGGRKRSSFYYDLWNIKYLSKFKWDDLTEEIAYKSAIREQKLALEISAARRERDFYLSKVDQSHALNSIEERMKKKQKVQQESETNSELPVSQKKVIRQFPQKKPVTVDKSQSKPQLSKDILAGIFAGS >EOY04718 pep chromosome:Theobroma_cacao_20110822:4:25630718:25631242:1 gene:TCM_019908 transcript:EOY04718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAARLAILVLVLASLLVLHECSRDMVAKEFNLGRAAEEKMEGIKLNITPCADKCDNKGMKWWCITNDFCYATEEQCNKFCPKLAT >EOY04719 pep chromosome:Theobroma_cacao_20110822:4:25613536:25631197:1 gene:TCM_019908 transcript:EOY04719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 LVLVLTSLLVLHECSRDMVAKEFNLGRAAEEKMEGIKLNITPCADKCDNKGMKWWCITNDFCYATEEQCNKFCPKLAT >EOY05993 pep chromosome:Theobroma_cacao_20110822:4:30561787:30564387:-1 gene:TCM_020852 transcript:EOY05993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQAVNNSRGVWRDVWSGLAPLRMEVFCWQVIHEGVAVKQELVRRGMITTDQLGVLFARMNQNLCDICFFTVRTFGRSGCDGVASGAKARWRLEYGVVMDNYRCPQLGKVMMKAMKERLVEEWCKPAAREMKFNVDGAAQGCPGESGIRGVLRDNQGDSKNAVKCVTEPEEAA >EOY03820 pep chromosome:Theobroma_cacao_20110822:4:19622596:19626006:1 gene:TCM_019014 transcript:EOY03820 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MCRVEETSGKCDYRKQWEIKMKIFGEGKVEKLKNSMVSRSRMKLWMIRAMTTILLWTCFAQLMTLEEMFGPRLLKGWPSCFSHSELPLVAELSSIPPKVILPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWNDPSEFQDIFDVDYFITSLRDEVRILKELPPRVQQRVEQGLFLSMQPISWSDISYYVHQILPLVLKHKVVELNKTDARLANNELPLEIQKLRCRVNFNALRFTSQIEELGRRVVKILREKGPFLVLHLRYEMDMLAFSGCTHGCNSEEEEELTRMRYAYPWWKEKVINSEMKRKEGLCPLTPEETALVLKALGIDRNVQIYTAAGEIYGGERRMAPMAEAFPNLVRKETLLGPSDLKFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFLGFKKTILLERKLLVNLIDQYQNGSLSWDEFSSTVKEAHADRMGSPKKRNVLPDRPKEEDYFYSNPHECLQLLDEPLRST >EOY03821 pep chromosome:Theobroma_cacao_20110822:4:19622170:19625339:1 gene:TCM_019014 transcript:EOY03821 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MCRVEETSGKCDYRKQWEIKMKIFGEGKVEKLKNSMVSRSRMKLWMIRAMTTILLWTCFAQLMTLEEMFGPRLLKGWPSCFSHSELPLVAELSSIPPKVILPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWNDPSEFQDIFDVDYFITSLRDEVRILKELPPRVQQRVEQGLFLSMQPISWSDISYYVHQILPLVLKHKVVELNKTDARLANNELPLEIQKLRCRVNFNALRFTSQIEELGRRVVKILREKGPFLVLHLRYEMDMLAFSGCTHGCNSEEEEELTRMRYAYPWWKEKVINSEMKRKEGLCPLTPEETALVLKALGIDRNVQIYTAAGEIYGGQKGDSARTIRLEILPKPLIPNGCIGLSSLLRKRHICSYI >EOY06479 pep chromosome:Theobroma_cacao_20110822:4:32053731:32054465:-1 gene:TCM_021185 transcript:EOY06479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-5 MAENAGASASNDDGGIKEQERLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTSEASEKCRKERRKTVNGDDICWALATLGFDDYAVPLRRYLHKYRELEGDKAANQDKVGNSNTDQDREEPSSYGRNQTINQAVAPTPLKFSMIGSSNNSNLGPFN >EOY05406 pep chromosome:Theobroma_cacao_20110822:4:28458139:28459977:-1 gene:TCM_020413 transcript:EOY05406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPFLSTWERLLPKLQIGVFCEAWWVEDTGLGASKQINYFGLLSNPKQGKILSLIVYMEISTGPILS >EOY03785 pep chromosome:Theobroma_cacao_20110822:4:19309868:19311129:1 gene:TCM_018971 transcript:EOY03785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 121 MVGMMGWEAPQQGWRKGPWTPEEDKLLTEYVNLHGEGRWSSVARSAGLNRSGKSCRLRWVNYLRPGLKRGHLTPQEEGIIIELHALWGNKWSTIARYLPGRTDNEIKNYWRTHFKKKEKSSQKQEKRKAQTLKLKQQLQQQQPKPQPDKDEMKRVLPVQTEKANTKVSQVTEAQARPEMVFMYPTLEDQCLPVTSPAAAASWLDQYLVDEGLWGGLWNLDDPHGGLDQAGNCNKIAMQSQATACSFGGDGSNLYNGGYIF >EOY02354 pep chromosome:Theobroma_cacao_20110822:4:536373:543005:-1 gene:TCM_016862 transcript:EOY02354 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MMSGNALRDLNTLPTSEWKNDSSSKGNFMKPCNGNTIENVEEQQKKSPASVHINGGETVNAGAEVANSEVEYIESENLSDLEDVDTCLEKLLSGLNSKDWVLVVEALNNVRRLSIFHKEVMHMMLGDVIPLVVKSLKNPRSAVCKTAIMTSADIFSAYNDDLIDSLDPLLVQLLLKSSQDKRFVCEAAERALEAMTTSVSPMSLLPKLQPYLKNRNPRIRAKASMCFCRSVPRLGVEGIKEYGIDKLIQVAASQLSDQLPESREAARTLLLELQTVYVKSHDLTTTVSEHPEMGSWENFCQSKLSPLSAQAVLRVTNIAGEGLVIGS >EOY02355 pep chromosome:Theobroma_cacao_20110822:4:536726:539757:-1 gene:TCM_016862 transcript:EOY02355 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MMSGNALRDLNTLPTSEWKNDSSSKGNFMKPCNGNTIENVEEQQKKSPASVHINGGETVNAGAEVANSEVEYIESENLSDLEDVDTCLELLSGLNSKDWVLVVEALNNVRRLSIFHKEVMHMMLGDVIPLVVKSLKNPRSAVCKTAIMTSADIFSAYNDDLIDSLDPLLVQLLLKSSQDKRFVCEAAERALEAMTTSVSPMSLLPKLQPYLKNRNPRIRAKASMCFCRSVPRLGVEGIKEYGIDKLIQVAASQLSDQLPESREAARTLLLELQTVYVKSHDLTTTVSEHPEMGSWENFCQSKLSPLSAQAVLRVTNIAGEGLVIGS >EOY03888 pep chromosome:Theobroma_cacao_20110822:4:20204953:20205472:-1 gene:TCM_019104 transcript:EOY03888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine dumper 4, putative MGAANTTATIKLWKSPIPFLFGSLAIVLLLIVVSLVMLVCSCRKNSANSPEDTEEKPPENIISVLDAEPKILVIMAGNDKPTYVATPVTSSVYRCEQV >EOY04866 pep chromosome:Theobroma_cacao_20110822:4:26254721:26258393:-1 gene:TCM_020033 transcript:EOY04866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein isoform 1 MDHKIVIRESCIESESDVCEADTNKEETFLITESCTGDESGLCELNLNQEPHEGMLFESVQAAKAFYDEYARRVGFLTRIISSRKSELDGSIIHRRLACNKEGFNLNRQKNGRVQIRKRESKREGCMARMIVKREKPGRWVISKFVKEHTHPLLITSVNGQPNPMQQDDKDKKIQELSSELVNANQELMACREQLCAFMNYIEEHTEHLSKTVEGAVQNIRELECRDYSCHL >EOY04867 pep chromosome:Theobroma_cacao_20110822:4:26254537:26259638:-1 gene:TCM_020033 transcript:EOY04867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein isoform 1 MDHKIVIRESCIESESDVCEADTNKEETFLITESCTGDESGLCELNLNQEPHEGMLFESVQAAKAFYDEYARRVGFLTRIISSRKSELDGSIIHRRLACNKEGFNLNRQKNGRVQIRKRESKREGCMARMIVKREKPGRWVISKFVKEHTHPLLITSVNGQPNPMQQDDKDKKIQELSSELVNANQELMACREQLCAFMNYIEEHTEHLSKTVEDR >EOY05291 pep chromosome:Theobroma_cacao_20110822:4:27913807:27923415:1 gene:TCM_020326 transcript:EOY05291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline monooxygenase (CMO-like), putative isoform 3 MTLLLKPISEVFLFQSHFQHPRLIANFSSVSCCSDRESYKAQTMVREFDPKIPIEKALTPPSSWYSDPSFYAFELDRVFYRGWQAVGYSEQIKEAHDYFTGRLGNVEFVVCRDDNGNIAAFHNVCRHHASLLVSGSGKKSCFTCPYHGWTYELNGALRKATRISGIQDFIVNVFCDNYLDGGYHVPYAHKGLASGLTLDSYTTSIFEKVSIQSVEGGSKETKDDRLGSNALYAFIYPNFMINRYGPWMDTNLVIPLGPRRCLVVFDYFLEASLKDDKAFIERSLVDSERVQMEDITLCEGVQRGIESPAYCSGRYAPTVEKAMHHFHCLLYDNLIN >EOY05292 pep chromosome:Theobroma_cacao_20110822:4:27913972:27923391:1 gene:TCM_020326 transcript:EOY05292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline monooxygenase (CMO-like), putative isoform 3 MTLLLKPISEVFLFQSHFQHPRLIANFSSVSCCSDRESYKAQTMVREFDPKIPIEKALTPPSSWYSDPSFYAFELDRVFYRGWQAVGYSEQIKEAHDYFTGRLGNVEFVVCRDDNGNIAAFHNVCRHHASLLVSGSGKKSCFTCPYHGWTYELNGALRKATRISGIQDFIVNVFEKVSIQSVEGGSKETKDDRLGSNALYAFIYPNFMINRYGPWMDTNLVIPLGPRRCLVVFDYFLEASLKDDKAFIERSLVDSERVQMEDITLCEGVQRGIESPAYCSGRYAPTVEKAMHHFHCLLYDNLIN >EOY05290 pep chromosome:Theobroma_cacao_20110822:4:27913807:27923415:1 gene:TCM_020326 transcript:EOY05290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline monooxygenase (CMO-like), putative isoform 3 MTLLLKPISEVFLFQSHFQHPRLIANFSSVSCCSDRESYKAQTMVREFDPKIPIEKALTPPSSWYSDPSFYAFELDRVFYRGWQAVGYSEQIKEAHDYFTGRLGNVEFVVCRDDNGNIAAFHNVCRHHASLLVSGSGKKSCFTCPYHGWTYELNGALRKATRISGIQDFIVNEFGLIPIKVATWGPFVLLNMDKEILQKDDIDTDILASEWLGSCSEILSLNGVDSPLTYVCRREYIIECNWKVFCDNYLDGGYHVPYAHKGLASGLTLDSYTTSIFEKVSIQSVEGGSKETKDDRLGSNALYAFIYPNFMINRYGPWMDTNLVIPLGPRRCLVVFDYFLEASLKDDKAFIERSLVDSERVQMEDITLCEGVQRGIESPAYCSGRYAPTVEKAMHHFHCLLYDNLIN >EOY03772 pep chromosome:Theobroma_cacao_20110822:4:19153886:19156405:-1 gene:TCM_018950 transcript:EOY03772 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like extracellular glycosyl-phosphatidyl inositol-anchored protein family MRFVNSALFLFVLFSHAAAYDPLDPNGNVTIKWDIVSWTPDGYVAVVTMNNFQMYRHIMSPGWTLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNIPHCCKKTPTVVDLLPGVPYNQQFTNCCKGGVLSAWGQDPQAAVSAFQVSIGLAGTSNKTVKLPKNFTLLGPGPGYTCGPAKVVPSTTFLTPDRRRRTQALMTWNVTCTYSQFLARKNPNCCVSFSSFYNETITPCPSCACGCQNKKNCVKSDSKILKMVGVNTPRKDNAPLLQCTHHMCPVRVHWHVKLNYKDYWRVKVSITNFNYRLNYTLWSLVVQHPNLNNVTQVFSFDYKPLVPYESINDTGMFYGMKYYNDLLMEAGPFGNVQSEVLLQKDQNTFTFKEGWAFPRKVYFNGDECMLPPPDTYPFLPNSAHQDLFSISTVIAAILFLLMSFW >EOY05851 pep chromosome:Theobroma_cacao_20110822:4:30056182:30058954:-1 gene:TCM_020754 transcript:EOY05851 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase MMNEKKKTLDQNEQNLVQATFSLSVRLKYVKLGYHYLVSNALYLMVIPLFCIILTHLSTITVEDFIQLSENLRLNYVAVWLLSISIVFLATFYFMCGPRNVYLVNFACYKPERAQMVSKELFMQLSAKNERFTEESLTFQKKILDRSGFGQTTYAPKALTQVPANQTMAEARKETETVMFGAIDELLAKTGVKPRDIGILVVNSSLFNPTPSLSAAIVNHYKLRGNILSYNLGGMGCSAGLVSIDLAKQLLQVHRNSYALVVSTENITYNWYFGNNRPMLVTNCLFRVGGAAILLSNRSSDRRHSKYELIHTLRTHKGADDKSYNCVMQQEDEVNKVGVSLSKDLMAVAGEALKANITTLGPLVLPLSEQLLFFATLVARKVLKMKIKPYIPDFKLAFEHFCIHAGGRGVLDELEKSLGLTQWHMQPSRMTLYRFGNTSSSSLWYELAYTEAKGRIKKRDRVWQIGFGSGFKCNSAVWRAVRTVNPTVEKNPWMDEINKFPVQVPQVTSIVY >EOY05868 pep chromosome:Theobroma_cacao_20110822:4:30119308:30120239:1 gene:TCM_020765 transcript:EOY05868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSEYIMNKYQRGLILAHLSQLSPRVKEHDKSHLCPTAKPSNNPKLIYIFMTSIVEANPGEQLLRGAK >EOY02902 pep chromosome:Theobroma_cacao_20110822:4:2879515:2881209:1 gene:TCM_017299 transcript:EOY02902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHMEGHWYAYLDYATTTLAFHLFKGLRLAASCLAWPKCLVTSFWSETSRPFLNLCGESISENMRSLHLKRIYSIMGSEAARNSTLKGKIYR >EOY02942 pep chromosome:Theobroma_cacao_20110822:4:3093379:3094383:1 gene:TCM_017338 transcript:EOY02942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALGFAYAWGVWKSPIDGGLMLVVSMCPQVMSPHAQVVPLGTLWHKSVLLVRALHQAGLVTSSQIMTFSFTRSKQHPHCLVVSLHHKFQWVPHECCGFIRDSPPLAISGALSSPNVFRHVDV >EOY03061 pep chromosome:Theobroma_cacao_20110822:4:4063556:4070990:1 gene:TCM_017485 transcript:EOY03061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCASKEEAAGRGAEHRPLGEPALTAPGRQPAQPRQIVYVRPSPACAPNAQAACPYGAQHFSPPTVAISPPEQPNSAQILDAGEGAVCLPTKYPVLGACCLCYVRAYEADLGSEAKLRASPRSHGLGRLQNPHVTGGIRPSAASQAVCWDSGRDRQCCWVCCKPSSVVGGQFVSSVPTVCKLHQSRKGKSCSRDLISSLDARLSRVKLTVGDMRDRLDVQEEHLEELNGLDEELKGKVHEMVREMLENVAEQNSQLESMVEILQHELEDLRAEVRAARAEGGYEVAARSEVRLEVPKPKELRCKRDAKEIDNFLWGLEQYFKVTGISTDDHQMTAASMYLGDTALLWWRHRCDDRLGGAPVLTWVDFQTELRKQLYPKYAMDEARGKLLAEGLIDYSRLDKDRTESAKPKDKGKGWEDKGKQSRVGSSTQRWQASQGAHVCRHGDGRSASGGLGGHEEQAAAKLGIKVDSTESWVKTVNSKWVLTKGIVKVIDVQLGEWHGTEDIEVIQMDDYEVVMRLYFLEQIQALLVPYNDCICILAKGEQICAAVLSLEDTPDSIVEALDEVLEVSEHELGGANPVTRESSKEATPSASSKVRAELLPHIKEGQAHYPTVQLVRENAKSGEARRIQVSDRLG >EOY04053 pep chromosome:Theobroma_cacao_20110822:4:21733500:21741504:-1 gene:TCM_019314 transcript:EOY04053 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative MKCISVKMEVANEQTKTVSRSFTMKGGDGPLSYARNSSLQKGVVDATKEMIIQAIANTLDIDKLCSESSKTFQIADFGCSIGPNTFYAMQNIIESVEQKCHAKHGSSASIDLEFQVFFNDHTSNDFNTLFSSLPPSLPYFAAGVPGSFYGRLFPKSSIQIGHSSSALQWLSKVPEEVVDSSSPAWNKGSIYCTGTEKEVAKAFAAQFENDMETFLNARAEELASGGLMVLVMGGIPDGISLCQTSIGKFYDFFGSCLLNLAKKGLVTEEKVKSFNLPLYFPSTKELKAIIGRNGDFSIERSDEIIEKLKHVHALPDAQIYISQIRAGMEGLIKHHFGKEIAEEFFQSYAAKHVETGFVFTDNACDNTLIFMILRRK >EOY03414 pep chromosome:Theobroma_cacao_20110822:4:15307848:15309980:-1 gene:TCM_018470 transcript:EOY03414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein 20 MIFSIDMAFPPHAFLFQSHEDNDHLPSPTSLSSLPSCPPQLFHGGAPLMMKRSVSFSGVDKSEEVHGDDELSDDGSHIGEKKKRLNLEQVKALEKSFELGNKLEPERKMQLAKALGLQPRQIAIWFQNRRARWKTKQLEKDYEALKKQFDALKADNDALQAQNKKLSAELLALKTKDSNEISIKKENEGSWSNGSDNSCDVNLDISRKTVITSPVSSQLSSKHFFPSSVRPASMTQLLQGSSRPDLQCLKLDQVVQEESFCHMFNGVEEQQGFWPWAEQQNFH >EOY06535 pep chromosome:Theobroma_cacao_20110822:4:32205896:32211391:1 gene:TCM_021222 transcript:EOY06535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin-2 complex subunit D3 isoform 2 MLVSVLGLIHLDRFPDSLKSLIQTVGEIPLMAMEKFGNLGSFNRLMHLCSRVLSEVLRSEHGEIANIAAEVLKALSPLILMVKSQARSFALGFVTDTMIELGNESDGVKKAVVSFPRYLAQKAPEKAEPRALAVDSIMEVVKVMEFDDQMGYIEYVVKMTQGKANFRLLGVDLIAMMLMSLTDPLGVDSDVEVRDPWGTRCLEALILRCSDLSAGIRARALSSLAQVVGFLSGDDRNKGILKEVMGLGEGGKERPEGGMNDLLRKRCTDEKAAVRKAALLLVTKLTALLGGSFDGVVLKTMGMACSDPLVSIRKAAISALSEAFRTFSDESVTTEWLHSVPRLITDNESSIQEECENLFLELVLDRVSRAGSACPTKKGSILPDSNLTTKSLEREMELLFPEGVLGLLQGICDGEVTSWVKKICTSLGTKRRLKPKIASALQNIIRTSESLWLSHSMPIEKWTAPAGAWFLLSEVSAYLSKAVDWEFLHHHWQLLDKHGAEGEFQSPLRQGNGDEERIESKSVAWAGDRVFLLQTISNVSVELPAEPAADLAHNLLKRVEKFSMHSTEELEKSDCAALRNNLVVMMADFCVRYTALVDCYIAKITKCLRDPCELVRRQTFILLSRLLQRDYVKWRGVLFLRFLLCLVDESEKIRQLADFLFGNILKAKAPLLAYNSFVEAIYVLNDCHAHNGHNNSMNSQTESQLFSIRGNDDRSRSKRMSVYVCLLKQMAPEHLLATFAKLCAEILAAASDGMLNIDDITGQSVLQDAFQILACKEIRASSNRGSASETADVEEEGGDSSASAAAAKGRAITQAVRKGLIQNTIPIFIELKRLLESKNSPLTGSLMECMRVLLKDYKNEIDDMLVADKQLQKELIYDMQKYESAKARTTAAEAVATMQNQSGYQSPCLSKGASGTHAKNKLSHKLQSNSKVASAMADAAAKATARSVLREVNKGAMTPPLNSISMPKLKSNQAGGSSAQNDRPLDVLESLRRRQSFNSDDEN >EOY06536 pep chromosome:Theobroma_cacao_20110822:4:32205896:32211391:1 gene:TCM_021222 transcript:EOY06536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin-2 complex subunit D3 isoform 2 MLVSVLGLIHLDRFPDSLKSLIQTVGEIPLMAMEKFGNLGSFNRLMHLCSRVLSEVLRSEHGEIANIAAEVLKALSPLILMVKSQARSFALGFVTDTMIELGNESDGVKKAVVSFPRYLAQKAPEKAEPRALAVDSIMEVVKVMEFDDQMGYIEYVVKMTQGKANFRLLGVDLIAMMLMSLTDPLGVDSDVEVRDPWGTRCLEALILRCSDLSAGIRARALSSLAQVVGFLSGDDRNKGILKEVMGLGEGGKERPEGGMNDLLRKRCTDEKAAVRKAALLLVTKLTALLGGSFDGVVLKTMGMACSDPLVSIRKAAISALSEAFRTFSDESVTTEWLHSVPRLITDNESSIQEECENLFLELVLDRVSRAGSACPTKKGSILPDSNLTTKSLEREMELLFPEGVLGLLQGICDGEVTSWVKKICTSLGTKRRLKPKIASALQNIIRTSESLWLSHSMPIEKWTAPAGAWFLLSEVSAYLSKAVDWEFLHHHWQLLDKHGAEGEFQSPLRQGNGDEERIESKSVAWAGDRVFLLQTISNVSVELPAEPAADLAHNLLKRVEKFSMHSTEVNAHVKALRTLCKRKALNPKEADQLVVKWVQQLLSKACKILEKYISESKEANKSNCFFTPPRSGSRKGKQATSASRLLSKAVIAVYTVGSLVVVCPSADVSTIVPLLYTVITSGNADPKLNKLPVPMVSLKQTAPSLYIQAWLTMGKICLADGKLAKSYIPLFVQELEKSDCAALRNNLVVMMADFCVRYTALVDCYIAKITKCLRDPCELVRRQTFILLSRLLQRDYVKWRGVLFLRFLLCLVDESEKIRQLADFLFGNILKAKAPLLAYNSFVEAIYVLNDCHAHNGHNNSMNSQTESQLFSIRGNDDRSRSKRMSVYVCLLKQMAPEHLLATFAKLCAEILAAASDGMLNIDDITGQSVLQDAFQILACKEIRASSNRGSASETADVEEEGGDSSASAAAAKGRAITQAVRKGLIQNTIPIFIELKRLLESKNSPLTGSLMECMRVLLKDYKNEIDDMLVADKQLQKELIYDMQKYESAKARTTAAEAVATMQNQSGYQSPCLSKGASGTHAKNKLSHKLQSNSKVASAMADAAAKATARSVLREVNKGAMTPPLNSISMPKLKSNQAGGSSAQNDRPLDVLESLRRRQSFNSDDEN >EOY06534 pep chromosome:Theobroma_cacao_20110822:4:32201020:32211570:1 gene:TCM_021222 transcript:EOY06534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin-2 complex subunit D3 isoform 2 MAGPLFNAFFQIDVLKAPPCAVFKLTTIRAFHHTGLVKCRTDCPIVDKLKMARGAFQYINMEEGIEEWTEDDLKEPVQYNLRGLPLAEAEQLFFLHTCCMYLLNLLYFLNSSICLPFGGPSDRNGSIFPKMQRFSSSSSPAIPVSMTYGFAANGVLRIENDRLRVDNSLGLSCGCGNGLLYNNFQWVRPFGNSPSPTVCASTASGKEMINGFMGNKTNGLQLDHAGSSSFVQTEFPFHASSSEQHAAYPMENAAVNLLDLASMSIASQNEPQEAIDLAQPLQEREGDVVASDEYAMGAMDPSWVPSTRVIETYPATAPACAGGVREYTKFKTNSTNAGQTIFPETEKLSQLKIPPLNFRPATAMEETIARILTDLEEINQIPNTQSQSPVISRSTLLDLQSLLSTNDPDLLSQFFDDLPSKSLSPSSLTNLLSFTMDSAPSYHLSLLASKVYLSLLLSPNSPVFTLFTPISFLSLLRSLRRAFKNRPLAQPDESPPSQAPPNRKRKGGGKGRGKRSNVRSSGGHSEGESEESDSLDIKDVFFVFEMLVSVLGLIHLDRFPDSLKSLIQTVGEIPLMAMEKFGNLGSFNRLMHLCSRVLSEVLRSEHGEIANIAAEVLKALSPLILMVKSQARSFALGFVTDTMIELGNESDGVKKAVVSFPRYLAQKAPEKAEPRALAVDSIMEVVKVMEFDDQMGYIEYVVKMTQGKANFRLLGVDLIAMMLMSLTDPLGVDSDVEVRDPWGTRCLEALILRCSDLSAGIRARALSSLAQVVGFLSGDDRNKGILKEVMGLGEGGKERPEGGMNDLLRKRCTDEKAAVRKAALLLVTKLTALLGGSFDGVVLKTMGMACSDPLVSIRKAAISALSEAFRTFSDESVTTEWLHSVPRLITDNESSIQEECENLFLELVLDRVSRAGSACPTKKGSILPDSNLTTKSLEREMELLFPEGVLGLLQGICDGEVTSWVKKICTSLGTKRRLKPKIASALQNIIRTSESLWLSHSMPIEKWTAPAGAWFLLSEVSAYLSKAVDWEFLHHHWQLLDKHGAEGEFQSPLRQGNGDEERIESKSVAWAGDRVFLLQTISNVSVELPAEPAADLAHNLLKRVEKFSMHSTEVNAHVKALRTLCKRKALNPKEADQLVVKWVQQLLSKACKILEKYISESKEANKSNCFFTPPRSGSRKGKQATSASRLLSKAVIAVYTVGSLVVVCPSADVSTIVPLLYTVITSGNADPKLNKLPVPMVSLKQTAPSLYIQAWLTMGKICLADGKLAKSYIPLFVQELEKSDCAALRNNLVVMMADFCVRYTALVDCYIAKITKCLRDPCELVRRQTFILLSRLLQRDYVKWRGVLFLRFLLCLVDESEKIRQLADFLFGNILKAKAPLLAYNSFVEAIYVLNDCHAHNGHNNSMNSQTESQLFSIRGNDDRSRSKRMSVYVCLLKQMAPEHLLATFAKLCAEILAAASDGMLNIDDITGQSVLQDAFQILACKEIRASSNRGSASETADVEEEGGDSSASAAAAKGRAITQAVRKGLIQNTIPIFIELKRLLESKNSPLTGSLMECMRVLLKDYKNEIDDMLVADKQLQKELIYDMQKYESAKARTTAAEAVATMQNQSGYQSPCLSKGASGTHAKNKLSHKLQSNSKVASAMADAAAKATARSVLREVNKGAMTPPLNSISMPKLKSNQAGGSSAQNDRPLDVLESLRRRQSFNSDDEN >EOY06233 pep chromosome:Theobroma_cacao_20110822:4:31375237:31380751:-1 gene:TCM_021034 transcript:EOY06233 gene_biotype:protein_coding transcript_biotype:protein_coding description:SU(VAR)3-9, putative isoform 1 MGSLVPFQDLNLSPEPPQTISVDTPPSVTSTSTITFLTPKIEPKQEPFDEPAPTQTNYRQINTLFSPSSSAPEFPSNLESTPLSNISSSTDDQNALYSEYFRISELFRSAFAKRLQKYGDIDVLDPDSRAIVPLPEEQREPTSETSPINTSNPDRALSVVGSRRRAGRSNELVRVTNLGIEDERHFRDVVRRTRMMYDSLRILAILEEEKRKGPGHGRRARGDLRAAALMRERGLWLNRDKRIVGSIPGIEIGDLFFFRMELCVMGLHGQAQAGIDYLPASQSSNGEPIATSIIVSGGYEDDQDAGDLIIYTGHGGQDKLSRQCMHQKLEGGNLALERSMHYGIEVRVIRGIKYENSVSSKVYVYDGLYKILDCWFDVGKSGFGVYKYRLLRIDGQPEMGSSIMRFAESLRTRPLSARPMGYLSLDISMKKEKVPVFLYNDIDSDHDPMYYDYLVNTVFPPYAFGQGSNRTGCECVSGCTEGCFCAMKNGGDFAYDHNGLLLRGKPVIFECGNFCQCPPTCRNRVSQHGLRNRLEIFRSRETGWGVRSLDLIQAGAFICEYAGVVLTREQAQVFTMNGDTLIYPNRFSERWAEWGDLSQIFAEYVRPSYPSIPPLGFAMDVSRMRNVACYMSHSSSPNVLVQCVLYDHNNLMFPHLMLFALENIPPMRELSIDYGVADEWTGKLSICN >EOY06234 pep chromosome:Theobroma_cacao_20110822:4:31378070:31380889:-1 gene:TCM_021034 transcript:EOY06234 gene_biotype:protein_coding transcript_biotype:protein_coding description:SU(VAR)3-9, putative isoform 1 MGSLVPFQDLNLSPEPPQTISVDTPPSVTSTSTITFLTPKIEPKQEPFDEPAPTQTNYRQINTLFSPSSSAPEFPSNLESTPLSNISSSTDDQNALYSEYFRISELFRSAFAKRLQKYGDIDVLDPDSRAIVPLPEEQREPTSETSPINTSNPDRALSVVGSRRRAGRSNELVRVTNLGIEDERHFRDVVRRTRMMYDSLRILAILEEEKRKGPGHGRRARGDLRAAALMRERGLWLNRDKRIVGSIPGIEIGDLFFFRMELCVMGLHGQAQAGIDYLPASQSSNGEPIATSIIVSGGYEDDQDAGDLIIYTGHGGQDKLSRQCMHQKLEGGNLALERSMHYGIEVRVIRGIKYENSVSSKVYVYDGLYKILDCWFDVGKSGFGVYKYRLLRIDGQPEMGSSIMRFAESLRTRPLSARPMGYLSLDISMKKEKVPVFLYNDIDSDHDPMYYDYLVNTVFPPYAFGQGSNRTGCECVSGCTEGCFCAMKNGGDFAYDHNGLLLRGKPVIFECGNFCQCPPTCRNRVSQHGLRNRLEIFRSRETGWGVRSLDLIQAGAFICEYAGVVLTREQAQVFTMNGDTLIYPNRFSERWAEWGDLSQIFAEYVRPSYPSIPPLGFAMDVSRMRNVACYMSHSSSPNVLVQCVLYDHNNLMFPHLMLFALENIPPMRELSIDYGVADEWTGKLSICN >EOY03420 pep chromosome:Theobroma_cacao_20110822:4:15350960:15351740:-1 gene:TCM_018473 transcript:EOY03420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPAGLGGDEERWGGARCDDVCDIDSWMGRLGLLVICSSIWYIEGLCDARQIDRSYKPFQVTKGFGGFGARICDCESLVGGVIEMRQMNGFCKLLEQLQKLECSVIDALSKFFSFMVRKEEGIIMAVKVFDALKMKGCTGISIYNILMEALDKTEGETSIVALSRNEAFEF >EOY06279 pep chromosome:Theobroma_cacao_20110822:4:31486379:31488556:-1 gene:TCM_021065 transcript:EOY06279 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 7 isoform 3 QATSQISKGSSDINANSGECVNAMFVKAYPVGMVHMLNNGNVEDEGESRMEPYVGLEFDSADEAREFYGQYAIRVGFKIRTGQLYRSRTDGSVSSRRFVCSKEGFQLNSRTGCPAFIRVQRRDSGKWLIDQIQKDHNHELGPVGESHSPILQQTPPVVTKSLVEVLRRPKVKLLEEIDNGGLIPSGIINFKRHKRGGDGGQSIAEPYTGLEFNSANEAYGYYQAYAEGAGFRVRIGQLFRSKNDGSITSRRFVCSKEGFQHPSRVGCGAYMRIKRKDSGTWIVDRLHKDHNHDLEVHAVYQRKFSTASKKLLEEEAGGLASMDLVEVNNGKLVKRRQENKIGAEWYSVLFEYFQTRQAEDTGFFYSVDVDNGSCMSVFWADGRSRFSCSQFGDVIVFDASYRKNNYVVPFATFVGVNHHKQPVLLGSALIANESKESFTWLFQTWFRAMSRCHPKSIIADQDMAIQQAIAKVFPGTHHRFSLWQIRAKERENFRSMPNEFRYEYEKCVYQSQTTVEFNTTWNLLITKYCLRENIWLKHMYEKRESWVPLYLRGKFFAGIPI >EOY06280 pep chromosome:Theobroma_cacao_20110822:4:31484928:31488787:-1 gene:TCM_021065 transcript:EOY06280 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 7 isoform 3 MFVKAYPVGMVHMLNNGNVEDEGESRMEPYVGLEFDSADEAREFYGQYAIRVGFKIRTGQLYRSRTDGSVSSRRFVCSKEGFQLNSRTGCPAFIRVQRRDSGKWLIDQIQKDHNHELGPVGESHSPILQQTPPVVTKSLVEVLRRPKVKLLEEIDNGGLIPSGIINFKRHKRGGDGGQSIAEPYTGLEFNSANEAYGYYQAYAEGAGFRVRIGQLFRSKNDGSITSRRFVCSKEGFQHPSRVGCGAYMRIKRKDSGTWIVDRLHKDHNHDLEVHAVYQRKFSTASKKLLEEEAGGLASMDLVEVNNGKLVKRRQENKIGAEWYSVLFEYFQTRQAEDTGFFYSVDVDNGSCMSVFWADGRSRFSCSQFGDVIVFDASYRKNNYVVPFATFVGVNHHKQPVLLGSALIANESKESFTWLFQTWFRAMSRCHPKSIIADQDMAIQQAIAKVFPGTHHRFSLWQIRAKERENFRSMPNEFRYEYEKCVYQSQTTVEFNTTWNLLITKYCLRENIWLKHMYEKRESWVPLYLRGKFFAGIPIGESVESLFGTQVNGQTPLREFISRYEQGLEQSREEERKEDFNSFNLQAYLQTKEPVEEQCRRLYTFTIFKIFQDELLQSYSYIGIKTYEEGAISRYVVRRSGNENDKHAVTFSAVNLSVNCSCQMFEFIGVLCRHVLKVFKLLDMRELPPQYILYRWMRNPEYRILCGAESGISSQELKAFMVWSLRETACKYVECGAASVEKYKLAHEIMREGGKRLC >EOY06278 pep chromosome:Theobroma_cacao_20110822:4:31485719:31488575:-1 gene:TCM_021065 transcript:EOY06278 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 7 isoform 3 MGIDSGSSHFTDFKSSDINANSGECVNAMFVKAYPVGMVHMLNNGNVEDEGESRMEPYVGLEFDSADEAREFYGQYAIRVGFKIRTGQLYRSRTDGSVSSRRFVCSKEGFQLNSRTGCPAFIRVQRRDSGKWLIDQIQKDHNHELGPVGESHSPILQQTPPVVTKSLVEVLRRPKVKLLEEIDNGGLIPSGIINFKRHKRGGDGGQSIAEPYTGLEFNSANEAYGYYQAYAEGAGFRVRIGQLFRSKNDGSITSRRFVCSKEGFQHPSRVGCGAYMRIKRKDSGTWIVDRLHKDHNHDLEVHAVYQRKFSTASKKLLEEEAGGLASMDLVEVNNGKLVKRRQENKIGAEWYSVLFEYFQTRQAEDTGFFYSVDVDNGSCMSVFWADGRSRFSCSQFGDVIVFDASYRKNNYVVPFATFVGVNHHKQPVLLGSALIANESKESFTWLFQTWFRAMSRCHPKSIIADQDMAIQQAIAKVFPGTHHRFSLWQIRAKERENFRSMPNEFRYEYEKCVYQSQTTVEFNTTWNLLITKYCLRENIWLKHMYEKRESWVPLYLRGKFFAGIPIGESVESLFGTQVNGQTPLREFISRYEQGLEQSREEERKEDFNSFNLQAYLQTKEPVEEQCRRLYTFTIFKIFQDELLQSYSYIGIKTYEEGAISRYVVRRSGNENDKHAVTFSAVNLSVNCSCQMFEFIGVLCRHVLKVFKLLDMRELPPQYILYRWMRNPEYRILCGAESGISSQELKAFMVWSLRETACKYVECGAASVEKYKLAHEIMREGGKRLC >EOY03681 pep chromosome:Theobroma_cacao_20110822:4:17767462:17770273:-1 gene:TCM_047043 transcript:EOY03681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MKEDLGFDYYTFLISKSIKNKNLKLGLLLHSHFIKKALTFNPFITNHLIAMYSKFNEIKSAQKAFDELHVKNSHSWNILLFGYLQHGHFDRARNLFDQMPNRNLVSYNSLISGFSQHGFFKESVNIFKKMLNECDHLVIDRYTVVSVVGACVCLGALKLLCQVHGFMIVFGLELDLIVYNALIDAYGKCGEVNSAYRIFCRMSERDVVSWTSMVVAYAKVSRLEDASRVFNEMPVKNTVSWTGLITGFAQNGRGNEALNLFWQMLEERVQPNAYTYVCVLSACADLARIEIGKQIHGHIVRVSSRCDSLNEFVFNALIDMYCKCGDMNSAKLLFARISEKDVVSWNSLITGFAQNGHGEESLDVFRMMIKANTRPNHVTFLGALSACNHTGLVSEGLRILDLMEKDFGVIPRSDHYAILIDLLGRKNRLEEAMSMIERTPNGPNHVGMWGALLGACRVHGNLGLARRAAEALFELEPRNAARYVMLSNVYAAARRWDDAKAVRRLLEERGLSKEAAYSWIEVRNVQHEFVAKDKGHSQIEEISEVIVKLVDHMKDAGYVPLSDGALFPDEVEEEGVC >EOY05269 pep chromosome:Theobroma_cacao_20110822:4:27810285:27812401:-1 gene:TCM_020308 transcript:EOY05269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 2 MIGIKDLYSVLTAVVPLYVTMFLAYASVKWWNIFTPEQCAGINRFVAIFAVPLLSFEFVSRINPYKMDLLFLAADGVSKILILVVLFCWANFSKTGSLDWSITLFSLSTLPNTLVMGIPLLKSMYGDDKEYLMIQVVVLQCIIWYTLLLFLFEYRETRTSVFSKFKESSFSLPEREKENFKGTAEKVGGNCSSEDEVINVIATTPSSQQTAQNVNKIAPDQSQRFKPMVAASVEGERNELHLFIWRCGCCISPATCESVQAGQREESSGKAVASEKQADVENSSIPSSLSSAMLLKILRKVWFKLVRNPNSYSSLLGLSWALVSCRWDIKKPQIMENSVTILSSAGLGMAMFSLGLFMALQPRIGCIKHCVSCSTNVNAVPFFSFLLTVGDN >EOY05268 pep chromosome:Theobroma_cacao_20110822:4:27810247:27812023:-1 gene:TCM_020308 transcript:EOY05268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 2 MIGIKDLYSVLTAVVPLYVTMFLAYASVKWWNIFTPEQCAGINRFVAIFAVPLLSFEFVSRINPYKMDLLFLAADGVSKILILVVLFCWANFSKTGSLDWSITLFSLSTLPNTLVMGIPLLKSMYGDDKEYLMIQVVVLQCIIWYTLLLFLFEYRETRTSVFSKFKESSFSLPEREKENFKGTAEKVGGNCSSEDEVINVIATTPSSQQTAQNVNKIAPDQSQRFKPMVAASVEGERNELHLFIWRCGCCISPATCESVQAGQREESSGKAVASEKQADVENSSIPSSLSSAMLLKILRKVWFKLVRNPNSYSSLLGLSWALVSCRWDIKKPQIMENSVTILSSAGLGMAMFSLGLFMALQPRIIACGTKLALYGMLARFITGPALMAIASVVVGLRGTALKVSIVQAALPQGIVPFVFSREYNLHPDVLSTAVIFGMIVSLPITILYYILLGI >EOY05271 pep chromosome:Theobroma_cacao_20110822:4:27809852:27812216:-1 gene:TCM_020308 transcript:EOY05271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 2 MIGIKDLYSVLTAVVPLYVTMFLAYASVKWWNIFTPEQCAGINRFVAIFAVPLLSFEFVSRINPYKMDLLFLAADGVSKILILVVLFCWANFSKTGSLDWSITLFSLSTLPNTLVMGIPLLKSMYGDDKEYLMIQVVVLQCIIWYTLLLFLFEYRETRTSVFSKFKESSFSLPEREKENFKGTAEKVGGNCSSEDEVINVIATTPSSQQTAQNVNKIAPDQSQRFKPMVAASVEGERNELHLFIWRCGCCISPATCESVQAGQREESSGKAVASEKQADVENSSIPSSLSSAMLLKILRKVWFKLVRNPNSYSSLLGLSWALVSCRWDIKKPQIMENSVTILSSAGLGMAMFSLG >EOY05270 pep chromosome:Theobroma_cacao_20110822:4:27810284:27812401:-1 gene:TCM_020308 transcript:EOY05270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 2 MIGIKDLYSVLTAVVPLYVTMFLAYASVKWWNIFTPEQCAGINRFVAIFAVPLLSFEFVSRINPYKMDLLFLAADGVSKILILVVLFCWANFSKTGSLDWSITLFSLSTLPNTLVMGIPLLKSMYGDDKEYLMIQVVVLQCIIWYTLLLFLFEYRETRTSVFSKFKESSFSLPEREKENFKGTAEKVGGNCSSEDEVINVIATTPSSQQTAQNVNKIAPDQSQRFKPMVAASVEGERNELHLFIWRCGCCISPATCESVQAGQREESSGKAVASEKQADVENSSIPSSLSSAMLLKILRKVWFKLVRNPNSYSSLLGLSWALVSCRWDIKKPQIMENSVTILSSAGLGMAMFSLGKIYLSSGSCFWILSQ >EOY05235 pep chromosome:Theobroma_cacao_20110822:4:27674941:27678203:-1 gene:TCM_020285 transcript:EOY05235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAC32909.1, putative MHNLRHVISRLSSSKKPIPFANEPFRAIVQRAFSVNSGGSDDPGWDSASSWSTGLTKDHFDGQVEGGRAASGDAYQSAVISDLQKQDDALKELEAENKKSRAFLDGWEQRMRDMGVLLKQVLEPGARGSYLKDSEKAQMYRLHKQNPEVYTVEKLAKDFRIMRQRVEAILWLKKDEEEMEKKLGHPLDDSVELLLDTCPEFFISHDREFHVASLPYKPDFKVMPEGWDGTIKDLDEVHYEISKKEDEMLYQEFVQRMNFNKMKIAGEVKRHKYSRRRSSEGWNFTVEKLGSKGKRGGGGGWKFVSLPDGSSRPLNEMEKMYVRRETPRRRRRILP >EOY02950 pep chromosome:Theobroma_cacao_20110822:4:3114543:3117252:-1 gene:TCM_017344 transcript:EOY02950 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MSILPSQAQGSKASSSSQSLSANPTSNHGNHQTSPPPISFGSLHISNPPPPFPFSNSPPGPLATAQGSGGSSKEVSEVETPNGKRTSPHENRGTNSQFRGKMSVDMVSTRNHQGTGSQGSATHSAGRRAQMMNGNHLLNFHYDPITRSRPQPRGPPPRRQRKIKPYNKDLFLQANYKFVVLDTGSYMPESMDPDKMLLWEDIICVRFSTPFPVQCPICLEYPQCPQITSCGHIFCFPCILQYLLMGEEDHKSECFKRCPLCFVMVSQKDLYTIYIENVGQYRVGDAIEFMLLTRQKDSFVLSQKDEKEMRTTQFGDKDIYDPFSKFTFTSDVDLSVRQAMSDLDSWLARADSGLVDDLEKLPYVCAAMEQLEQRKKYWNEHRASDSSNASRNTICKVESDGLVSIVNASKGKGDTHGFGGGTLSSGADEHNKCLCNSTTDKANGGSGLNGSAAVAESLEAQDTFLSSSYEESKSLQEHIGGFRDAKDNDSYNFYQEVDGQHIILHPLNVKCLLHHYGSYDSIPHRFEENHDLLFTCVLRYGIVKFKNV >EOY02948 pep chromosome:Theobroma_cacao_20110822:4:3112237:3117487:-1 gene:TCM_017344 transcript:EOY02948 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MSILPSQAQGSKASSSSQSLSANPTSNHGNHQTSPPPISFGSLHISNPPPPFPFSNSPPGPLATAQGSGGSSKEVSEVETPNGKRTSPHENRGTNSQFRGKMSVDMVSTRNHQGTGSQGSATHSAGRRAQMMNGNHLLNFHYDPITRSRPQPRGPPPRRQRKIKPYNKDLFLQANYKFVVLDTGSYMPESMDPDKMLLWEDIICVRFSTPFPVQCPICLEYPQCPQITSCGHIFCFPCILQYLLMGEEDHKSECFKRCPLCFVMVSQKDLYTIYIENVGQYRVGDAIEFMLLTRQKDSFVLSQKDEKEMRTTQFGDKDIYDPFSKFTFTSDVDLSVRQAMSDLDSWLARADSGLVDDLEKLPYVCAAMEQLEQRKKYWNEHRASDSSNASRNTICKVESDGLVSIVNASKGKGDTHGFGGGTLSSGADEHNKCLCNSTTDKANGGSGLNGSAAVAESLEAQDTFLSSSYEESKSLQEHIGGFRDAKDNDSYNFYQEVDGQHIILHPLNVKCLLHHYGSYDSIPHRISGRILELETVTQSEAVRRRYRYLSHFSLTTTFQLCEIDLSGVLPPDALLPFMDEIKKREKHRKQLARKERKEKIKAEVAAAVQFTPMISSLGRSSYSDSPTFSMDDFEALGSSTTISSSPVVIGERKLFSNVTRLGFAAAHDSPSLKIDEGNTVQNNDVATDSTGSKNSGTPSFANVISRAKAVENLETPKMNEMGKKGKKASRVLLSTAGGRRY >EOY02949 pep chromosome:Theobroma_cacao_20110822:4:3113649:3117252:-1 gene:TCM_017344 transcript:EOY02949 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MSILPSQAQGSKASSSSQSLSANPTSNHGNHQTSPPPISFGSLHISNPPPPFPFSNSPPGPLATAQGSGGSSKEVSEVETPNGKRTSPHENRGTNSQFRGKMSVDMVSTRNHQGTGSQGSATHSAGRRAQMMNGNHLLNFHYDPITRSRPQPRGPPPRRQRKIKPYNKDLFLQANYKFVVLDTGSYMPESMDPDKMLLWEDIICVRFSTPFPVQCPICLEYPQCPQITSCGHIFCFPCILQYLLMGEEDHKSECFKRCPLCFVMVSQKDLYTIYIENVGQYRVGDAIEFMLLTRQKDSFVLSQKDEKEMRTTQFGDKDIYDPFSKFTFTSDVDLSVRQAMSDLDSWLARADSGLVDDLEKLPYVCAAMEQLEQRKKYWNEHRASDSSNASRNTICKVESDGLVSIVNASKGKGDTHGFGGGTLSSGADEHNKCLCNSTTDKANGGSGLNGSAAVAESLEAQDTFLSSSYEESKSLQEHIGGFRDAKDNDSYNFYQEVDGQHIILHPLNVKCLLHHYGSYDSIPHRISGRILELETVTQSEAVRRRYRYLSHFSLTTTFQLCEIDLSGVLPPDALLPFMDEIKKREKHRKQLARKVTLHV >EOY03609 pep chromosome:Theobroma_cacao_20110822:4:17394840:17397515:-1 gene:TCM_018708 transcript:EOY03609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MNGQSLVLSKGKQYKSLLKHYAATKALTKTTQLHALAITSGLLSPHLRSSLSLSYANCGHLKNARKLFDEMPQPTLFSYNMMLKTYAQHGFYLQTLNLFVEMVNLGKCRADNYTYPFVFKAVGEEKLVIFGGVVHARVLTGGFEKDSFVMNSLLTMYMNCGEKEEGRKIFDTMWEPNVVSWNSMISGYFKNGCSKEALGVFNKMMDDGLETDCATVVSVLPVCGFLKELEVGRRVYELVKEKGWGKEMMVRNALVDMFAKCGSMKEARFIFDGMVERDVVTWTSLINVCILNGDMRAALRLCLLMVLEGVRPNSVTLASLLSACGESKNLMDGRCLHGWATRQRLEYSVMVETSLIDMYAKCNRVDLSFLVFKNTSKKNTVPWNAILSGCIHNRLGKEAVKLFKEMLIEGMKPNAATLKSLLPAYAICADMQQATNMHSYLLRSGLVSNNEIGTAVVDIYSKCGSLESAHKIFNGIPNENKDIYLWSVMIAGYGGHGHGEIAVSLFKQMVRAGVKPNEVTFTSVLHACSHAGLVDEGLDLFKLMLRNHQISPQADHYTCIVDLLGRSGRLDEAYDIIKTMPFAPTHAVWGALLGACVIHENVELGELAAKWLFELEPENTGNYVLMAKIYSAVGRWKDAENIRHIVNEIGLRKAPAHSLIEVRNM >EOY03760 pep chromosome:Theobroma_cacao_20110822:4:19105146:19106712:-1 gene:TCM_018936 transcript:EOY03760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein, putative MSFSLQSLLSFSGIFKQMKSKIRRGKTNFLQRRCLALPEELCCRFSLAEIKAATNNFHKDMVIAKGDSGFIYGGMINDGFFAIKRLRPSTNSLLPLQELKCGARMLCQLHHSNIVSLVGWCEEKGEMIHVYEYVSSGTLYDHLHGKDSDPLPWKRMLEICIGVARALHYLHTGARFVVIHRDVTSSSRNILLDGQWTAKLYNFGFSKRGPHSMMTNRPSHKADVYSFGVVLFEVLCCRRVFDATLNGDQRHLNRWACKCIENGTIYDIIDPYLKGRIASEYFKKFVEIAYSCVSFDVNKRPAMGEVEVTLELALERQNKADSKMECSSSR >EOY02986 pep chromosome:Theobroma_cacao_20110822:4:3345892:3349361:1 gene:TCM_017380 transcript:EOY02986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase MSGFLARTGRHRQRYDENNFRLVSGLPRYQAWLYPIYLCQFLYISQKCRFDSMSKEKIFSYFSVRICPLKFITLPHKIQEEGRKKRGREDFHVGKEVLVKEVGLPNGFWFHGHWMMNIEWNDLIFMAFPKLALTSRTYLANLLMKLKWGIQPTCEHLIMRSKFAYYLCWPYNRCIPYRLTEEDKDVDRENRIEVLMISSPNRSDLVFPKGGWEDDETVAEAACREALEEAGVRGILREIPLGVWEFRSKSKQDLCTLEGSCRGFMFALEVTEELDIWPEQKDRDRIWVNVKEAFRLCRYDWMRRALEEFLRVMAEDEELKLEEEIVEPPSIPVSDVVADCQVMAANCYVKPSNAQHHHGLSAISSPWHIPLKRLPLT >EOY04692 pep chromosome:Theobroma_cacao_20110822:4:25515851:25520698:-1 gene:TCM_019882 transcript:EOY04692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METIAVQAAGQVAGSLVTPAVEGGKGIFNCLKHNYAYMKNIKKNIAKLKNEESYLSAVDEDVKRKLERNESTKEKTNRCETWLNEVAKIKQDIETLESDYGKTSTYLCGLCPFTGLLKLGKRIVRKTAEVVELKNQIAQITIMVEKPPAAPIPVIRKHPPKIDDVPSLNSHVERLVEWLEDEKLKRICIWGPPGVGKTTIMENLHNRVGASCKFDIIFWVNVTAEGRIRNIQDVIWERLDMKMDGNYCADQRANMISDKLKDKRYVLFLDLDNILSEINLREVGIHDEHKHGKVVFACRYKNDNICGDTDEELNVRRLSKEDARNFFWKVVGSHLKGNPDIKPVAELIINECGGMPHLIKLIGNRLANMDDPAIWRDMLLKLRSPTMEPLEQLEEVYKAFKIVYERLTEEMKPCLLYWGVFPAGYEIFRDHIIDCWRAEKFFLNLRKLPKTRDRGHAILDEFVRKSLLEEGRKLGHFKMYEYFQRVALRIANLKENFNFFVTEDENIIEEEWERARRVSLIRVRLSTLPQRPQCRGILTLLLRESSLTEFPREFFGYMCGLQVLNLHETRINALPSSISSLINLKGLFLNNCDQLVQLPSQIGDLQSLEILDIRHTGLYSLPIEIGQLANLRCLRVSFTEDVGNHNHVEELRPMVPSNVITRLSKLEELSIGVSHNSSRYQNAAEIAREMSELEDLTTLCFFFPEMVSFEAFIQNSKSWKGNETPSAGNSFRSFSIVVGCQRNSSASEFSVFECSAEKHLKFSAGNETPDAIFQVLRQAKAFELIGHQTASSLSVFPADKLQGLEACIIEECNEMESIIDRDRTGTAFEDNDSTNVEFERLKSLHINNLPKLTRIWQGSIESKSLCRLTTLTLKGCHRLQMLFSQGMIIRLSQLQKLQVEDCGIMKEIIEDGITVESHAFPKLKNLQLRDLPELCSICHVSLRWPSLETILIKTCMKIRNLPHTMQSASKLRIIQCTEDWWNQQDWPNNINEDDFREFLNFI >EOY05663 pep chromosome:Theobroma_cacao_20110822:4:29395267:29398491:-1 gene:TCM_020607 transcript:EOY05663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 1, putative MSAKIVLPWFLMFSVNFCGLHAQNSSTITLGSSITAGTNASWHSPSGDFAFGFYSISGGLYLVGVWFDKIPERTLVWSANRDSPAEAGSTIQLTAGQLLLTYSNGTQQSINSGGAPASLGLMQDDGNFVLRDVNSSTVWRSFSFPTDTLLPGQALLSLQALYSNAKGTTDYSTGDIRLQMQYDGNLVLSTYHFSEPGYWWTGTTDIGSTEKNASLVFNQTAFMYLVNSTGQIIFSLTRNIPNPVEDYYHRATIDDHGNFQQYVYHKRNGSRWTRVWRALEDPCLVTSLCGVNGMCTSVDNETISCGCIPGHTNLDPSDLNLGCRPETPVNYCIGPSMRNFTVEVIDNADFPSEDNGELAKIENVDLEGCKNAVMEDCYTLAASLEFSTCYKKRMPLLKARKSASSIGIKALIKVPMKLTNADAIGVGFREFTFQELYRATNGFSKILGRGSSAKVYRGLLSLKGAHIEIAVKKLEKETGKSQNEFMTELKIIGRTHHKNLVRLVGFCVENDQQLLVYELMANGPLSRSLFGEEDKRPSWFQRAEMALGIARGLLYLHEECETQIIHCDIKPQNVLLDENYTAKIADFGLSKLLNKDQTRTDTNVRGTMGYLAPEWLKHAPITAKVDVFSYGVMLLEIICCRRHIEVSRVEEESEVDDLLLSEWIISCIVSGKLEMVVGHDADVLSDFKRFERMAMVGLWCICPDPTLRPSMKKVVQMLEGTMEVGVPPLLHYHQL >EOY04731 pep chromosome:Theobroma_cacao_20110822:4:25720965:25721505:-1 gene:TCM_019918 transcript:EOY04731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSRKLSTLLIIFVISMAALSHVGVEATRVLPEDFAKTNHLDTYSSVYQKAKFTMAYWLERLDSGPSPKGPGH >EOY02458 pep chromosome:Theobroma_cacao_20110822:4:911909:913991:-1 gene:TCM_016928 transcript:EOY02458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein MALPKAKEVVSANPVVVFSKSYCPYCVNVKQLLQQLGASFKAIELNNESDGSEIQAALAEWTGLRTVPNVFIGGKHIGGCDVTTTLHEEGKLIPLLTEAGAVAKPSG >EOY02242 pep chromosome:Theobroma_cacao_20110822:4:29708:36659:1 gene:TCM_016767 transcript:EOY02242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTNALNSCDFPSLPSAHDLASGRPPDPPNQSPAASIPPPQVSARNPPTIWPQNPNPSNVEDRVPNLQIQHQHPVSPKSVKKSFLSIVTAATSAVIPPTRATFRYKDKPAVRFYEDEIETLAKSFRFSIVGKFSRTPRLVEIRQAFVGLGLSGAYNIRWMDYKHVLIHLSNEQDFNRIWTKQTWFIAKQKMRVFKGTPNFESDKESSIVPVWISFPNLRAHLFEKSALLLIAKAIGNPLGVDEATANGTRPSVARVCIEYDCLKSPIKSVWIVTSKRVLGQKSGTFKQKLTEKPSGNSQGNPAVNRTMEAEVMNPKKEVGGMDVEKRKADARMAVTKHVKTWQVVHKGGSSGAKDAKGIEIASQVEEEDFVQTSNRFGVMEKLQEKECEKQSKTESGNSSNAGKQFASSTPVDVDERGLRETKTPAQVKGSGPIKPTGESLVQNSEKDKGGELRCLHRDATEERRRGAEDQNRNDKLEGAVTAAVLSATLQTEEDGVQMTFHGYGLHGQPQKHVEERDHHAEMERERTVNALNNKNKIKSRQKPTDGVAEASLHAVETSLHGKRTQLLSGNIEGVQLTPREGQATLHENGMQSQTRKAGGKRKYQNILYEKDEEMTEPADDGTLVQQTEQEGTAENSNKYFFKTQSQVVPIPHEECQRNSEIETGSQAGSTTVENQTHVSLREPAQIGHRITIRKQKLKKKAKPVLASLVLVMNVDDDRFSLDFVPPAAGQKNEKKKHHLLDKGLTDIEESGGLNNSRPEQGNCVFNTENSSIPSNEAACSNPEQERRMGTNVHPLMHFQAAIESHKIFGRKRWSRNRKFHLNEDFFSHLSMISCLIWNVRGVAGIEGPWMVGGDFNSIVSTVERLNGAAPHVGSMEDFASTLFDCGLVDAGFEGNSFTWTNNHMFQRLDRVVYNPEWAQCFSSTRVQHLNLDGSDHCPLLISCNTASQKGPSTFRFLHAWTKHHDFLPFITKSWQTPLQGSGLSTFWFKQQRLKRDLKWWNKHIFGDIFEKLRLAEEEAKKREIEFQHNPSLTNRNLMHKAYTKLNRQLSIEELFWQQKFSVKWLVEGESNTKFFHMRMRKKRVRSHVFQIQDSEGNVFDDTHSIQKSATDFFRNLMQAENCDNSRFDPSLIPRIISSADNEFLCAAPSLQEVKETVFNINKDSVAGSDGFSSLFYQHCWDIIKHDLLDAVLDFFRGSPLPRGVTSTTLVLLPKKPNACHWSDYSPISLCTVLNKIVTKLLANRLSKILPLIISENQSGFVNGRLISDNILLAHELIGKIDAKSRGGNVVLKLDMAKAYDRLNWDFLYLMMEHFGFNAHWINMIKSCISNYWLSLLINGSLVGYFKSERGLRQGDSISPMLFILAADYLSRGLNHLFSCYSSLQYLSGCQMPISHLSFADDIVIFTNGRWENKILSPGGRITLLRSVLSSLPMYLLQVLKPPVTVIERIDKLFNSFLWGDLVENKKMHWAEWAKISFPCAEGGLGIRKLEDVCAAFTLKLWWRFQTGVLGKRSDSGKRPMHYAPSSGTEAYLYRFPFSYGKPYTIGSQNDAKHRHSGLYPNRVIWRITKLCRQLYDGSLLQQWQWKGDTDIAAMMGLFFPPKQHAPPQIIYWKKPSIGEYKLNVDGSSRKGLHADSGGVLRDHTGKLIFGFSENIGQCNSLQAELHALLRGLLLCKEHHIEKLWIEMDALVAIQLIQHSKKGPHDIR >EOY04005 pep chromosome:Theobroma_cacao_20110822:4:21388022:21390945:1 gene:TCM_019262 transcript:EOY04005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNCVETWNIWMRWCNDWNFVWVMPNDLVTLFKSWTGVMMKGGQIRLWKMAFYALVWSLWLFRNDIVFCGKVWDPNQLYKLTELRVATWANAKWPQENYAARGCQGEAGIGGILRNSNGEIRMIFSKSIGFADSNLAEMLAKREAFLMFSASQWCNTHTLVVEIDSRNRDVNGYPIIGYQWVPDLVLNPDKEERYSKVPNQLTSLSRNVVKWIQTPESVPWRLRRWTLHIEMLKNQWRRWEIKHILREANQQADNLAKTGIGL >EOY06128 pep chromosome:Theobroma_cacao_20110822:4:30990430:30992273:-1 gene:TCM_020946 transcript:EOY06128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L28 family MATLATLGSSSVLRFQKPEPPKTRPSSQLLGFVTSQLSGVKISYNIPDLAPIKPISAPFTPALRPVARRVCPFTGKKANKANKVSFSNHKTKKLQFVNLQYKKVWWEAGKRYVKLRLSTKALKTIEKNGLDAVAKKAGIDLRKE >EOY05995 pep chromosome:Theobroma_cacao_20110822:4:30566803:30567532:1 gene:TCM_020854 transcript:EOY05995 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative MEMESNYDRRRELRAFDDSKTDVKGLVDSGVAKIPPIFFDERYQRDFRSDSGNCKVSIPIIDFEGIDKDTVKHSKVVDRIRDACLKRGFFQVINYGIPISILEEMLDGIRRFHKQETESKKEFYTRDATRKVAYNTN >EOY02504 pep chromosome:Theobroma_cacao_20110822:4:1145506:1159907:1 gene:TCM_016967 transcript:EOY02504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 3012, putative isoform 1 MIRLSLLLILTASLTAVTGTTSHSSSGPHIADVNILLPPRMTNPVEYRLQGSDGCFKWSWDHHEILSVLPEYNVTNHCSTSARLRSIAPYSGRKETAVYARDVYTGIVIRCKVFIDNFDRIQIFHNSIKLDLDGLATLRVRAFDSEDNVFSSLVGLQFTWQLMPKTNGPQHHLAHVPLKDSPLSDCGGLCGDLDIQIQLEEKGVFSDLFVARGIHIGHENVSVQLLEPLLEGMGDKIVLTVAEAMSLDPPSPVFVLINATLRYSLKVIRGTVPQEVTFPSPHHQWSVSNCSVAQVDSMLGVINALTLGETTVIVEDTRVDGHSQLSSLNVVLPDTLSLYISLLSTSGDSLEGMEPIPSVAHWYVVSGKQYLIQLKVFSQGPYSHEIYITENDDVEFYDNQSGYWKIVPVLEPIASRYGWRNSRILKATSEGMGKLTASLVYYNGHHDIKEVLEVVQEVIVCDPVKFSSEKITGESQIILLPWAPAVYQEMELKATGGCAKASSDYKWFSSDMTVVSITAYGVVQAKKPGKATVKVVSSFDSFNYDEVVVEVSIPSSMVMLQNFPVESAVGSHLPAAVTMKASNGVYFSRCDAFHSFIKWKAGSESFIVTNATREVPVFEKQEILELHAPVYGPPCSWTYVYASASGQAMLHAAFSKEFHHLDPTFSGPIVLKATSRIAAYQPLTLHQAGDGNHFGGYWVNTAGSEAANQLENLEKLYLVPGTHLDVVLHGGPEWWDKGVDFMETVEIFDEERAQDNGVHMHQISSSHGILYRILCRTMGTYNLVFKRGNLIGDDHPLPAVAEVSLSLACSLPSSIVVIVDEPVNDRDVIRTAIQADRIPGQIHVTPVTVANGQTIRVAAVSISTSGEPFANSSSLCLKWELGNCDSLAYWDYAYDSESSKKSSWERFLVLQNESGSCIVRATVTGFLGTSTADRYSAKLLESSNNFLTDAAWLQLVSTLRVSPEFNLLYFNPDAKANLSITGGSCFLEAVVNDSRVVEVTQPPPGLQCLQMMLSPKGLGTALVTVYDIGLAPNIAASVVVQVADVDWIKIMSGEEISLMEGSSQSIDLMAGVDDGSTFDISQYAYMNIHVHIEDDTVELVDKDDISTPGGGYIGAQNFKVRAKHLGITTLYVSGRRHSGHEILSQVIKVEVYAPPTIHPHDIFLVPGASYMLTMKGGPTIGAFVEYTSIDDGIAKVHKTSGRLTATSPGNTTLVATVYGNGDSVICQAYGSVKVGVPSSAILNVQSEQLAVGRETTIYPLFPEANLFSFYELCKDYKWTIEDEEVLKFGVPLVSSEAVQHLSTVDKEELKFINVFYGRAPGRTNVAVSFSCDFISFGSHLEARTYSASISLLVVSDLPLALGAPITWVLPPHYTTSSILPLSTESHGQRDSQSRKGSIIYSLLRNWEEATEVSQRAVSIDGDKIKTKESNNLACIQAKDRITGRTEIASCVRVAEVEQIRITNKEFLVHAIDLAVGAETELSISYFDALGNAFYEASNVILPYAETNYPDVVSVNTTHDTNSIHLKAMRHGRALLRVSIDNRPQKSDYMLISVGAHVHPQNPVLHQGSSINFNVVGSGDQASGHWLSANESVIVLHMQSGQAEAVGEGLTQGTK >EOY02502 pep chromosome:Theobroma_cacao_20110822:4:1144938:1164258:1 gene:TCM_016967 transcript:EOY02502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 3012, putative isoform 1 MIRLSLLLILTASLTAVTGTTSHSSSGPHIADVNILLPPRMTNPVEYRLQGSDGCFKWSWDHHEILSVLPEYNVTNHCSTSARLRSIAPYSGRKETAVYARDVYTGIVIRCKVFIDNFDRIQIFHNSIKLDLDGLATLRVRAFDSEDNVFSSLVGLQFTWQLMPKTNGPQHHLAHVPLKDSPLSDCGGLCGDLDIQIQLEEKGVFSDLFVARGIHIGHENVSVQLLEPLLEGMGDKIVLTVAEAMSLDPPSPVFVLINATLRYSLKVIRGTVPQEVTFPSPHHQWSVSNCSVAQVDSMLGVINALTLGETTVIVEDTRVDGHSQLSSLNVVLPDTLSLYISLLSTSGDSLEGMEPIPSVAHWYVVSGKQYLIQLKVFSQGPYSHEIYITENDDVEFYDNQSGYWKIVPVLEPIASRYGWRNSRILKATSEGMGKLTASLVYYNGHHDIKEVLEVVQEVIVCDPVKFSSEKITGESQIILLPWAPAVYQEMELKATGGCAKASSDYKWFSSDMTVVSITAYGVVQAKKPGKATVKVVSSFDSFNYDEVVVEVSIPSSMVMLQNFPVESAVGSHLPAAVTMKASNGVYFSRCDAFHSFIKWKAGSESFIVTNATREVPVFEKQEILELHAPVYGPPCSWTYVYASASGQAMLHAAFSKEFHHLDPTFSGPIVLKATSRIAAYQPLTLHQAGDGNHFGGYWVNTAGSEAANQLENLEKLYLVPGTHLDVVLHGGPEWWDKGVDFMETVEIFDEERAQDNGVHMHQISSSHGILYRILCRTMGTYNLVFKRGNLIGDDHPLPAVAEVSLSLACSLPSSIVVIVDEPVNDRDVIRTAIQADRIPGQIHVTPVTVANGQTIRVAAVSISTSGEPFANSSSLCLKWELGNCDSLAYWDYAYDSESSKKSSWERFLVLQNESGSCIVRATVTGFLGTSTADRYSAKLLESSNNFLTDAAWLQLVSTLRVSPEFNLLYFNPDAKANLSITGGSCFLEAVVNDSRVVEVTQPPPGLQCLQMMLSPKGLGTALVTVYDIGLAPNIAASVVVQVADVDWIKIMSGEEISLMEGSSQSIDLMAGVDDGSTFDISQYAYMNIHVHIEDDTVELVDKDDISTPGGGYIGAQNFKVRAKHLGITTLYVSGRRHSGHEILSQVIKVEVYAPPTIHPHDIFLVPGASYMLTMKGGPTIGAFVEYTSIDDGIAKVHKTSGRLTATSPGNTTLVATVYGNGDSVICQAYGSVKVGVPSSAILNVQSEQLAVGRETTIYPLFPEGDLFSFYELCKDYKWTIEDEEVLKFGVPLVSSEAVQHLSTVDKEELKFINVFYGRAPGRTNVAVSFSCDFISFGSHLEARTYSASISLLVVSDLPLALGAPITWVLPPHYTTSSILPLSTESHGQRDSQSRKGSIIYSLLRNWEEATEVSQRAVSIDGDKIKTKESNNLACIQAKDRITGRTEIASCVRVAEVEQIRITNKEFLVHAIDLAVGAETELSISYFDALGNAFYEASNVILPYAETNYPDVVSVNTTHDTNSIHLKAMRHGRALLRVSIDNRPQKSDYMLISVGAHVHPQNPVLHQGSSINFNVVGSGDQASGHWLSANESVIVLHMQSGQAEAVGEGLTQVSFESSGVKLQTTVTVLPGSTLVMDAPREMLTNVPFPSQGYSFSVKFSDTKDKINALGSSKGAPYDCRVDPPFVGYAKPWMDLETGNSFCLFFPYSPEHLVHTTPKFKNMKPYMYVSINATVKEHSHVSGSASALFVGGFSIMQMGKNIVQLNLTPNSNKTIITVLGNTDVDIRWHNQDLLMITPIQKEEFGVGGCVHYEVKALGAKQFKDKIIVTLPSTGQRAEVDVNYERASIIDITVFNSWLRGSALLALIIAIFIRILYLPSRPFRFAFRRPSTPPPTPSISAPVTPERSSPAVPDEQSPRTPQPFVDYVRRTIDETPYYKREGRRRFNPQKTY >EOY02503 pep chromosome:Theobroma_cacao_20110822:4:1145336:1163649:1 gene:TCM_016967 transcript:EOY02503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 3012, putative isoform 1 MIRLSLLLILTASLTAVTGTTSHSSSGPHIADVNILLPPRMTNPVEYRLQGSDGCFKWSWDHHEILSVLPEYNVTNHCSTSARLRSIAPYSGRKETAVYARDVYTGIVIRCKVFIDNFDRIQIFHNSIKLDLDGLATLRVRAFDSEDNVFSSLVGLQFTWQLMPKTNGPQHHLAHVPLKDSPLSDCGGLCGDLDIQIQLEEKGVFSDLFVARGIHIGHENVSVQLLEPLLEGMGDKIVLTVAEAMSLDPPSPVFVLINATLRYSLKVIRGTVPQEVTFPSPHHQWSVSNCSVAQVDSMLGVINALTLGETTVIVEDTRVDGHSQLSSLNVVLPDTLSLYISLLSTSGDSLEGMEPIPSVAHWYVVSGKQYLIQLKVFSQGPYSHEIYITENDDVEFYDNQSGYWKIVPVLEPIASRYGWRNSRILKATSEGMGKLTASLVYYNGHHDIKEVLEVVQEVIVCDPVKFSSEKITGESQIILLPWAPAVYQEMELKATGGCAKASSDYKWFSSDMTVVSITAYGVVQAKKPGKATVKVVSSFDSFNYDEVVVEVSIPSSMVMLQNFPVESAVGSHLPAAVTMKASNGVYFSRCDAFHSFIKWKAGSESFIVTNATREVPVFEKQEILELHAPVYGPPCSWTYVYASASGQAMLHAAFSKEFHHLDPTFSGPIVLKATSRIAAYQPLTLHQAGDGNHFGGYWVNTAGSEAANQLENLEKLYLVPGTHLDVVLHGGPEWWDKGVDFMETVEIFDEERAQDNGVHMHQISSSHGILYRILCRTMGTYNLVFKRGNLIGDDHPLPAVAEVSLSLACSLPSSIVVIVDEPVNDRDVIRTAIQADRIPGQIHVTPVTVANGQTIRVAAVSISTSGEPFANSSSLCLKWELGNCDSLAYWDYAYDSESSKKSSWERFLVLQNESGSCIVRATVTGFLGTSTADRYSAKLLESSNNFLTDAAWLQLVSTLRVSPEFNLLYFNPDAKANLSITGGSCFLEAVVNDSRVVEVTQPPPGLQCLQMMLSPKGLGTALVTVYDIGLAPNIAASVVVQVADVDWIKIMSGEEISLMEGSSQSIDLMAGVDDGSTFDISQYAYMNIHVHIEDDTVELVDKDDISTPGGGYIGAQNFKVRAKHLGITTLYVSGRRHSGHEILSQVIKVEVYAPPTIHPHDIFLVPGASYMLTMKGGPTIGAFVEYTSIDDGIAKVHKTSGRLTATSPGNTTLVATVYGNGDSVICQAYGSVKVGVPSSAILNVQSEQLAVGRETTIYPLFPEANLFSFYELCKDYKWTIEDEEVLKFGVPLVSSEAVQHLSTVDKEELKFINVFYGRAPGRTNVAVSFSCDFISFGSHLEARTYSASISLLVVSDLPLALGAPITWVLPPHYTTSSILPLSTESHGQRDSQSRKGSIIYSLLRNWEEATEVSQRAVSIDGDKIKTKESNNLACIQAKDRITGRTEIASCVRVAEVEQIRITNKEFLVHAIDLAVGAETELSISYFDALGNAFYEASNVILPYAETNYPDVVSVNTTHDTNSIHLKAMRHGRALLRVSIDNRPQKSDYMLISVGAHVHPQNPVLHQGSSINFNVVGSGDQASGHWLSANESVIVLHMQSGQAEAVGEGLTQVSFESSGVKLQTTVTVLPGSTLVMDAPREMLTNVPFPSQGYSFSVKFSDTKDKINALGSSKGAPYDCRVDPPFVGYAKPWMDLETGNSFCLFFPYSPEHLVHTTPKFKNMKPYMYVSINATVKEHSHVSGSASALFVGGFSIMQMGKNIVQLNLTPNSNKTIITVLGNTDVDIRWHNQDLLMITPIQKEEFGVGGCVHYEVKALGAKQFKDKIIVTLPSTGQRAEVDVNYERASIIDITVFNSWLRGSALLALIIAIFIRILYLPSRPFRFAFRRPSTPPPTPSISAPVTPERSSPAVPDEQSPRTPQPFVDYVRRTIDETPYYKREGRRRFNPQKTY >EOY04030 pep chromosome:Theobroma_cacao_20110822:4:21572863:21576636:-1 gene:TCM_019286 transcript:EOY04030 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box and TRAF-like domains, putative isoform 1 MAKFSVGIEEDGEGPSNRVAKRQRVVRVSDLVCPVQEDAEQEDEREEEEEEEEEGEEEEEEEEEEDEYYDEEEEESGAEQGAMSLETNRDGSISITLTDPEVLDCSICYEALTIPVFQCENGHIACSICCIKIRNKCPSCATPIGYSRCRAIEKVLESVKVSCQNTKYGCREAFRYSIKQKHEKICPYAPCSCPLADCNFEGSSKELYEHFRDKHKYSATRFQYDRTISITVGIDERFCILQEEQDGSLFILNNKVETLGNVVTLSRIGPSEERGFFYDLMAKALTEASTVRLQSFTKSTPKQVDNPPSLGFLLVPSQFSCNSRKLKMDLRLWHHAWRSPTRWLCGYVTPSKPKQVMACERFM >EOY04031 pep chromosome:Theobroma_cacao_20110822:4:21573351:21576636:-1 gene:TCM_019286 transcript:EOY04031 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box and TRAF-like domains, putative isoform 1 MAKFSVGIEEDGEGPSNRVAKRQRVVRVSDLVCPVQEDAEQEDEREEEEEEEEEGEEEEEEEEEEDEYYDEEEEESGAEQGAMSLETNRDGSISITLTDPEVLDCSICYEALTIPVFQCENGHIACSICCIKIRNKCPSCATPIGYSRCRAIEKVLESVKVSCQNTKYGCREAFRYSIKQKHEKICPYAPCSCPLADCNFEGSSKELYEHFRDKHKYSATRFQYDRTISITVGIDERFCILQEEQDGSLFILNNKVETLGNVVTLSRIGPSEERGFFYDLMAKALTEASTVRLQSFTKSTPKQVDNPPSLGFLLVPSQFSCNSRKLKMDLRLWHHGEYPFIFQRPSGV >EOY03520 pep chromosome:Theobroma_cacao_20110822:4:16705544:16711579:-1 gene:TCM_018620 transcript:EOY03520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MIRKRPSDGSLNRNQRSSATFWSKCTNLRSLKQIHASLITKGFNSNPSALRELIFKAAVGMSGGLSYAHELFDRISHPDNFMWNTIIRGSAQSQNPLNAVLRYTQMVKCGVEPDNFTFPFVLKACTKLCWRKMGFGIQGKALKMGFIGNSFLRNTLIYFHANCGDLSVASELFDASAKRDVVPWSALTSGYAKRGELDVARRYFDEMPVKDLVSWNVMITGYVKRGEMDSARKLFNEVPKKDVVTWNAMIAGYVICGECEKALKMFEEMKNAGERPDEVTMLSLLNACADLGDLQLGTRIHWSLSEMVSRNFNVLLGNALVDMYAKCGSIERALEVFREMREKDVSTWNSVIGGLAFHGHAEESIKLFTEMQRSKVRPNEITFVGVFVACSHAGKVNEGHQYFKLMRDGYNIEPNIRHYGCMVDMLGRAGQLDEAFKLIDSMEIEPNAIIWRTLLGACRIHGNVELGRRANERLLKMRREQSGDYVLLSNIYASKGEWDGVEKVRKMMDDSGVTKEPGCSLLEAEEKVLMHFLFDSKSKINLRPKNLVP >EOY03529 pep chromosome:Theobroma_cacao_20110822:4:16946835:16951744:1 gene:TCM_018643 transcript:EOY03529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein isoform 2 MAVSSCSCPPRVFNAYSSFECRSDPDFSGAPIGDKPRVRPSRRLTAASFCTGGIGGGGLSSLIFRFPPNFVRQLSIKARRNCSNIGVAQIVAASWSNSPASGSPSSAAAAAQTATAATVHVSDDVAFVQGCNDNGSVQIGGSDNSTTSFLSSDGSIAVHAGERLGRGIVTDAITTPVVNTSAYFFKKTQELIDFKEKRHKSFEYGRYGNPTTVVAEEKISALEGAESTLIVASGMCVSTVMLMTLVPAGGHIVTTTDCYRKTRIFIETILPKMGISATVIDPADVDGLEAALNKNKVSLFFTESPTNPFLRCVDIEKVSKLCHSKGALVCIDGTFATPLNQKVLALGADLVLHSATKFIGGHNDVLAGCVSGSEKLITEIRTLHHILGGTLNPNAAYLIIRGMKTLHLRVQQQNSTALKMAKVLEAHPRVKRVYYPGLPSHPEHEIAKLQMTGFGGVVSFEVDGDLMTTIKFVDALKIPYIAPSFGGCESIVDQPAIMSYWDLTQAERRKYGIEDNLVRFSFGVEDFEDLKADILQALETI >EOY03528 pep chromosome:Theobroma_cacao_20110822:4:16946478:16951651:1 gene:TCM_018643 transcript:EOY03528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein isoform 2 MAVSSCSCPPRVFNAYSSFECRSDPDFSGAPIGDKPRVRPSRRLTAASFCTGGIGGGGLSSLIFRFPPNFVRQLSIKARRNCSNIGVAQIVAASWSNSPASGSPSSAAAAAQTATAATVHVSDDVAFVQGCNDNGSVQIGGSDNSTTSFLSSDGSIAVHAGERLGRGIVTDAITTPVVNTSAYFFKKTQELIDFKEKRHKSFEYGRYGNPTTVVAEEKISALEGAESTLIVASGMCVSTVMLMTLVPAGGHIVTTTDCYRKTRIFIETILPKMGISATVIDPADVDGLEAALNKNKVSSVEIHPELKVHYDSIQVSLFFTESPTNPFLRCVDIEKVSKLCHSKGALVCIDGTFATPLNQKVLALGADLVLHSATKFIGGHNDVLAGCVSGSEKLITEIRTLHHILGGTLNPNAAYLIIRGMKTLHLRVQQQNSTALKMAKVLEAHPRVKRVYYPGLPSHPEHEIAKLQMTGFGGVVSFEVDGDLMTTIKFVDALKIPYIAPSFGGCESIVDQPAIMSYWDLTQAERRKYGIEDNLVRFSFGVEDFEDLKADILQALETI >EOY02615 pep chromosome:Theobroma_cacao_20110822:4:1540045:1544857:-1 gene:TCM_017042 transcript:EOY02615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFFANLWTLWLCRNETSFRGKNYKNPKHKVSTSWSPAPLRYLKLNSGVAAKGKPGPTDIREVLRDHLGYIRSTFSMHIGMEESNYSEFEAIQEGISFILSSPWAATHCLEVECDSSNVINWIQDHNKVPWRMKIISNAIESCLRSHTGISFSHIPREANSVADGLAKAGVLRTSNFKAYFDICPGRTHQDSTALG >EOY06490 pep chromosome:Theobroma_cacao_20110822:4:32087468:32088387:1 gene:TCM_021195 transcript:EOY06490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILIRFDSFRHGVLDSRQCPLRRTSVYITVNKIENINCELGCLADRVRPKKAPGLKVAHPGNRTPVSTVGGYYDTTTPDALVVGSTFS >EOY05710 pep chromosome:Theobroma_cacao_20110822:4:29562295:29566475:-1 gene:TCM_020644 transcript:EOY05710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 LELEQNLRSGKEQLTLQEQDFFHRCKSKAVRQFSAGVIAGATWKLNMLVRLNVSVGAATIFGLWRFGSSLESCVDDVLALDGSRMQRELADIIVKKYRDDPLKMRLISKHFYSEEVFDDLTSEPRLRWRYRNFYSDNISHDQGTHDHSQNDSHNATPSDFHDNSASKKTDLRSKQIPVNSGIDLMADPLDCVFGYTETAEEIHHSSTSNVPSRAQSHAHKRAHRRRRLRHQEGSLGSHDE >EOY05709 pep chromosome:Theobroma_cacao_20110822:4:29562348:29566554:-1 gene:TCM_020644 transcript:EOY05709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGEVLLELEQNLRSGKEQLTLQEQDFFHRCKSKAVRQFSAGVIAGGGLAWTATWKLNMLVRLNVSVGAATIFGLWRFGSSLESCVDDVLALDGSRMQRELADIIVKKYRDDPLKMRLISKHFYSEEVFDDLTSEPRLRWRYRNFYSDNISHDQGTHDHSQNDSHNATPSDFHDNSASKKTDLRSKQIPVNSGIDLMADPLDCVFGYTETAEEIHHSSTSNVPSRAQSHAHKRAHRRRRLRHQEGSLGSHDE >EOY05711 pep chromosome:Theobroma_cacao_20110822:4:29562294:29566465:-1 gene:TCM_020644 transcript:EOY05711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLVRLNVSVGAATIFGLWRFGSSLESCVDDVLALDGSRMQRELADIIVKKYRDDPLKMRLISKHFYSEEVFDDLTSEPRLRWRYRNFYSDNISHDQGTHDHSQNDSHNATPSDFHDNSASKKTDLRSKQIPVNSGIDLMADPLDCVFGYTETAEEIHHSSTSNVPSRAQSHAHKRAHRRRRLRHQEGSLGSHDE >EOY06807 pep chromosome:Theobroma_cacao_20110822:4:32992199:32996769:-1 gene:TCM_021426 transcript:EOY06807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 33 MGTIAPSKRVSTSPSFTYFFLGFLITHIKGSGAYLTAQESDRVINLPGQPPSPSISQFSGYITVNQEHGRALFYWFFEAQSQPSEKPLLLWLNGGPGCSSIAYGAASELGPLRVGKNGGDLHFNKYAWNKVANILFVESPVGVGFSYTNTSSDLTKLNDAFVAEDTYNFLVNWLQRFPQFKTHDFFISGESYAGHYVPQLAELVYDRNKDGIKYPLKGFMVGNPITNDYHDYTGIMDYAWSHSVIPDQLYHKVKQVCDFKLFDWSIECGDAVNQVFDKYSEIDIYNIYAPKCLINSTSSSAVSKVKNYGLRRMRIPGGYDQCYSEYAEDYFNRADVQTSLHANSRGGKWESCSDSILMTYNLSVFSVLPIYHKLIKGNLKIWIYSGDTDGRVPFISSRYCVEALGLPLKSPWRSWFHNHQVGGRMVEYQGLTLVTVRGAGHLVPLNKPGEALTLIASFLSGQPLSIHR >EOY05345 pep chromosome:Theobroma_cacao_20110822:4:28170096:28172547:-1 gene:TCM_020364 transcript:EOY05345 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MDFTRVLPEECLCLIISLTSPRDACRSALVSPAMRSVADSDAVWERFLPCDYKEIISGSSSSSSSLLSLPKKDLYFRLSLHPLLLENGSKSFQLEKETGKKCYMLGARALSIIWEDEPEYWGWTSIPESRFSEAAKLEIVWWLEVKGKIKTRILSSRTSYAAYLVFKFDDEYRYGFRNRSVSLCVNVEGGASGEVRDVLLDPSENMPRQARERGNGWMEIEMGEFWNECGDDGTVECILWEVNTAYRKEGLIIEGIELRPKDIGS >EOY06269 pep chromosome:Theobroma_cacao_20110822:4:31460505:31461504:1 gene:TCM_021056 transcript:EOY06269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein family protein, putative MSNIQQPLSAGQTQGQTQAKTEQVTQSAKDTANAARDSAANATQSAQESDQQEQEQTASFLLQQIPASRVMHLL >EOY06493 pep chromosome:Theobroma_cacao_20110822:4:32103855:32105389:-1 gene:TCM_021198 transcript:EOY06493 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MSSRIAQIRLVSSHPEVYEPCDDSFALVDALLADRKNLLEHKPTLCMEVGCGSGYVITSLMLMLGEEANGAQFIATDINHHAIRVTQETMEAHRVHAELINTDIASGLENRLAGLVDLLVVNPPYVPTPEDEVGREGIASAWAGGENGRSVIDRILLVALKLLSKRGWLYMVTLTANNPSQICRQMRKKGYDSRIIVQRSTEEESLHIIKFWKDFDIEVDAKDVVPNSNAAPAGVVDSVLSQFQRLSFWRSSDVNSSRSGK >EOY05417 pep chromosome:Theobroma_cacao_20110822:4:28525521:28527579:-1 gene:TCM_020427 transcript:EOY05417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLEINPCSLLTWQPIVEKFQFKLAGWKVIWKTTTSGSYSSSSFCKAFHDVPQSFDSFWSNVWTGNAPPKVKTFYWQVLKGKMGAKSIIMERGLMTAEEAMCQIHPISPKVKHAATHHFEVECDSFNAINWTRDHNKVPWRMKFISNAIESYLRNNVGISFIHILWQANLVADGLTKIGVLRACNFKAYFDMGNTPRLNYS >EOY04982 pep chromosome:Theobroma_cacao_20110822:4:26722619:26723352:-1 gene:TCM_020114 transcript:EOY04982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNVSSVPAITSFKGEMYLSFAISLLAFIYLFLVVLESHWRNKGVSWLPQDCCFPVPLISNKMGLVSDLDVSNVRE >EOY03076 pep chromosome:Theobroma_cacao_20110822:4:4364614:4367832:1 gene:TCM_017510 transcript:EOY03076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPSLQNLKCFLCLFVRPKVCHFENVFLGNVPHHSLSNLIALPSCSPFSTSSLPLRAHLTAKSQMGTCFSKKRASSSNHSQPQPVSVSSAPLSADTTLNNNSSHHSLKAGSDPKIYQVAEEEQVGKASREEDSLVKKEVFVIKHRKSHDRDRRSPPPQKAAPHSAENESASSVSAEGGICNINTAVRTSSCTKEEVDAILIQCGRLSRSNSSGKTASSSRKYSGSKRSYDFDNENDNDHIGVASEDYGSRKKGNDGFCDDDRPRHRQSSRSSSSQGRRRTPSREREQHQQRSGSRERGTSSGGRRVSRSPGRRSENTQGSLGSNAANATNRPGKMVSVPATVSSLVMDKSTNGAAGVEAPTTTANAIKRISVKRNVGEAAVGSRGTASPRSQSPARTNPNANNPKGCNENQLQPTLSRSSSRKAEHSPYRRNPLSEIDPNSLAYPQSAANKTSTCINKGQGGLKEYTNVINQKLNVEMNNKVVVQGANKAGSIGTADNKVVNVNSTAKEQRMVEEVKTEPPMPGAENPKPQTLTRSRSSRRSRDLDLNPETLLNPIPSSYTTLLLEDIQNFHQTNNPPSFSLPSCVSKACSILEAVADLNSTTSSNLSCAFSEDRKGLSTDESSKNGYNATVGRKMAETRDPFVESEVVGRDDLMEPSFHKYVTVRRGATLGGTDMEEQESSGSNSFVGSGQQQHWGFSPSSWEPNSADSTDRWTSRTKSREEDHSSSLEPQRQALAEPQSGSDIKNSTRKGLSGRRRDVDLQHAGIGRAGKIGAGKGLHFVAAST >EOY03077 pep chromosome:Theobroma_cacao_20110822:4:4364904:4367800:1 gene:TCM_017510 transcript:EOY03077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGTCFSKKRASSSNHSQPQPVSVSSAPLSADTTLNNNSSHHSLKAGSDPKIYQVAEEEQVGKASREEDSLVKKEVFVIKHRKSHDRDRRSPPPQKAAPHSAENESASSVSAEGGICNINTAVRTSSCTKEEVDAILIQCGRLSRSNSSGKTASSSRKYSGSKRSYDFDNENDNDHIGVASEDYGSRKKGNDGFCDDDRPRHRQSSRSSSSQGRRRTPSREREQHQQRSGSRERGTSSGGRRVSRSPGRRSENTQGSLGSNAANATNRPGKMVSVPATVSSLVMDKSTNGAAGVEAPTTTANAIKRISVKRNVGEAAVGSRGTASPRSQSPARTNPNANNPKGCNENQLQPTLSRSSSRKAEHSPYRRNPLSEIDPNSLAYPQSAANKTSTCINKGQGGLKEYTNKLNVEMNNKVVVQGANKAGSIGTADNKVVNVNSTAKEQRMVEEVKTEPPMPGAENPKPQTLTRSRSSRRSRDLDLNPETLLNPIPSSYTTLLLEDIQNFHQTNNPPSFSLPSCVSKACSILEAVADLNSTTSSNLSCAFSEDRKGLSTDESSKNGYNATVGRKMAETRDPFVESEVVGRDDLMEPSFHKYVTVRRGATLGGTDMEEQESSGSNSFVGSGQQQHWGFSPSSWEPNSADSTDRWTSRTKSREEDHSSSLEPQRQALAEPQSGSDIKNSTRKGLSGRRRDVDLQHAGIGRAGKIGAGKGLHFVAAST >EOY03917 pep chromosome:Theobroma_cacao_20110822:4:20359341:20363472:-1 gene:TCM_019128 transcript:EOY03917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Repressor of RNA polymerase III transcription MAF1 isoform 3 MKFLEYTPLDRLNDFLSALNLGERTIKGSLEAYSCKHTGTDKRLSLSLENEILDYLGKSSDTDSSSPVEFLLSRSSRKTLIYLVLTLYHMYPDYDFSAVKAHQFFTEESWDTFKQIFDTYMLEASKEWIETCGGSTLLETLYKALDEVVKLSECEIYSYNADSEADPFLEKGAIWSFSFFFYNRKQKRVVSFSFCCLSNLVADGFLADNLCYEEDGEIFDNMDI >EOY03916 pep chromosome:Theobroma_cacao_20110822:4:20359171:20363709:-1 gene:TCM_019128 transcript:EOY03916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Repressor of RNA polymerase III transcription MAF1 isoform 3 MKFLEYTPLDRLNDFLSALNLGERTIKGSLEAYSCKHTGTDKRLSLSLENEILDYLGKSSDTDSSSPVEFLLSRSSRKTLIYLVLTLYHMYPDYDFSAVKAHQFFTEESWDTFKQIFDTYMLEASKEWIETCGGSTLLETLYKALDEVVKLSECEIYSYNADSEADPFLEKGAIWSFSFFFYNRKQKRVVSFSFCCLSNLVADGFLADNLCYEEDGEIFDNMDI >EOY03918 pep chromosome:Theobroma_cacao_20110822:4:20359762:20363742:-1 gene:TCM_019128 transcript:EOY03918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Repressor of RNA polymerase III transcription MAF1 isoform 3 MKFLEYTPLDRLNDFLSALNLGERTIKGSLEAYSCKHTGTDKRLSLSLENEILDYLGKSSDTDSSSPVEFLLSRSSRKTLIYLVLTLYHMYPDYDFSAVKAHQFFTEESWDTFKQIFDTYMLEASKEWIETCGGSTLLETLYKALDEVVKLSECEIYSYNADSEADPFLEKGAINLVADGFLA >EOY03969 pep chromosome:Theobroma_cacao_20110822:4:20959341:20962170:1 gene:TCM_019202 transcript:EOY03969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-1-phosphate guanylyltransferases,GDP-galactose:mannose-1-phosphate guanylyltransferases,GDP-galactose:glucose-1-phosphate guanylyltransferases,GDP-galactose:myoinositol-1-phosphate guanylyltransferases,glucose-1-phosphate guanylyltransferase MLTIKRVATVLSNYQEDAYEKQEVGCGRNCLGNCCIPASTLPLYAFQKEQDDSGEYGELYPSEEQPQPSFLNLLLEQWGDRMRRGLFRYDVTNCKTKIIPGKYGFIAQLNEGRHLKKRPTEFRIDQVLQPFDDSKFNFTKVGQEEVLFRFEQSNDCKSHFLASAPVTAKPNYSPNVIAINVSPIEYGHVLLIPHVLDCLPQRIDHDSFLLAIHLAREAADPFFRVGYNSLGAFATINHLHFQAYYLEVPFPIEKAPIQRISTKEGLQDTGVIVSQLLNYPVRGLVFKGGKSAQDLSDVVANSCIFLQTNNIPFNVLISDCGKRVFLLPQCYAEKQAIGEVSLELLHTQVNPAVWEIGGHMVLKRREDYEDTSEAYAWRLLAEVSLSEERFQEVKAYILEAAALQATNMKDTEVNQAEDKVYQKEDSLCKQRAASQANQRVPYDCMVLQ >EOY03598 pep chromosome:Theobroma_cacao_20110822:4:17358611:17360316:1 gene:TCM_018698 transcript:EOY03598 gene_biotype:protein_coding transcript_biotype:protein_coding description:EID1-like 2 isoform 1 MILTKQYRCIHSSSCQCTKGHLSEDVIFLVFQHLNWNPKLIATLSCICKWFDDLAKRVLWKEFCKTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCSTGGLFNSIQIPGHFVYRTRFSRTSGKSFLLPQCRTDVLYVSDPCEHLDQGDEGDVGFFRGIFKSFLVSKVRKMLIDRGAQLHPTAVCPYCKAKLWN >EOY03597 pep chromosome:Theobroma_cacao_20110822:4:17358444:17361359:1 gene:TCM_018698 transcript:EOY03597 gene_biotype:protein_coding transcript_biotype:protein_coding description:EID1-like 2 isoform 1 MILTKQYRCIHSSSCQCTKGHLSEDVIFLVFQHLNWNPKLIATLSCICKWFDDLAKRVLWKEFCKTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCSTGGLFNSIQIPGHFVYRTRFSRTSGKSFLLPQCRTDVLYVSDPCEHLDQGDEGDVGFFRGIFKSFLVSKVRKMLIDRGAQLHPTAVCPYCKAKLWNMLQANMVPQSASCRLGAYEDCIEYYVCLNGHMLGICTLLPLSDSEEASESE >EOY04849 pep chromosome:Theobroma_cacao_20110822:4:26192632:26196416:-1 gene:TCM_020017 transcript:EOY04849 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-like carbohydrate kinase family protein MAPIGVPSDKSLIVSFGEMLIDFVPTESGVSLAEAPGFLKAPGGAPANVAIAVARLGGKASFVGKLGDDEFGHMLADILKKNGVSEDGILFDHGARTALAFVTLRADGEREFMFYRNPSADMLLKPEELNLDLIRSSKVFHYGSISLIVEPCRSAHLKAMEVAKQSGALLSYDPNLRLPLWPSADEARKQILSIWDKADVIKVSDVELEFLTGSDKIDDETAMKLWHPNLTLLLVTLGEKGSRYYTKNFHGSVDAFHVNTVDTTGAGDSFVGALLCKIVEDPTILENESKLREVLKFANACGAITTTKKGAIPALPTEADALALIKGA >EOY04856 pep chromosome:Theobroma_cacao_20110822:4:26229234:26231099:1 gene:TCM_020025 transcript:EOY04856 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 89C1, putative MEGETVLKAQGNGAHILIIPFPAGAHIFPHLDLTHQLLLRGLTASVVVTPKMLHYLNPILSLHDSSNIQPLVLPFPSQSPIPVGVEDMENVPISFLPHIVTAFSKLLDPLVEWFKSHPFPPVAIVTDPFFCCWANKLASHLNIPNIGFSAVNANTMSSWFVNGKDPATSMPGELFIACMQSWGIIFNSFSELDGEKMKVISEDFTKHDRLWAVGPLPRIKARNMTFTEKDQKPSSIPQDQVIAWLDSCDVDKSVVYVGFGTQIYLNNEQMEAVASALEKSGVRFIWTVKNPPNAENDHNVVPTGFEDRVAGRGLVIKGWAPQVAILGHRAVGSYLTHCGWNSTMEGILGGVLLLMWPMQADHFNNAKLLVDEQGAAIKVCEGLKSVPDATKLAQILADSVNTVRPERIQGLKLRQFALDAVKEGGSSHKALDVLVQRLSSLSISK >EOY05593 pep chromosome:Theobroma_cacao_20110822:4:29081829:29084754:1 gene:TCM_020552 transcript:EOY05593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoprotein membrane precursor GPI-anchored MAFSKLSPFFSLLLLSILFMINFSPIFCDTDEEEDLLKGINSYRASLNLTILTKNDNAECFADELADEFKNQPCTNSTGANTVPGTEPQFANYPKLLAKCHLNVSNTRDGAVMPACVPNLVPSLVLTNFTQSQYSDNFNDTKYTGVGIGSDGDWIVVVLTTGTPEGSFVPATTSAASSVSKIALIYHVLFLLMVAFYLL >EOY06577 pep chromosome:Theobroma_cacao_20110822:4:32351689:32357321:-1 gene:TCM_021253 transcript:EOY06577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 2 isoform 2 MPNTMRDRIQDTLSAYRNELVSLLSRYVALGKGILQSHHLIDELIKSVKEDEAMQKLRDSPFFKVLESAQEAIVLPPFVAIAVRPRPGVWEYVRVNVYELSVDHLSVPEYLRFKEELVDGGCNDSYVLELDFEPFNANFPRPTRSTSIGNGVQFLNRHLSSIMFRNKESLEPLLDFLRAHKHDGHVMMLNDRIQNIPKLQFALARAAEYLSKLPSETPYTEFEFDLQGMGFERGWGDTTQRVSETMHLLLDILHAPDPSTLETFLGRIPMVFNVVIVSPHGFFGQANVLGLPDTGGQIVYILDQVRALENEMLLRKQKQGLDVIPKILIVTRLIPDAKGTTCNQRLERISGTEHTHILRVPFRTENGILRKWISRFDVWPYLETFAEDASNEIAAELQGVPDLIIGNYSDGNLVASLLSYKLGITQCNIAHALEKTKYPDSDIYWRKYEDKYHFASQFTADLIAMNSADFIITSTYQEIAGSKNNVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMCIYFPYSDKERRLTALHGSIEELLYDPEQNDEHVGILSDRSKPIIFSMARLDRVKNLTGLVECYGKSSRLRELVNLVIVGGYMDVKKSRDREEMSEIEKMHDLIKQYNLHGQFRWIRAQMNRARNGELYRYIADTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEHGISGFHVDPYHPDQVAASMIDFFERCQNDPSCWDKISDGALQRIYERFTWKIYSERLLTLAGVYGFWKLVSKLDRRETRRYLEMFYILKFRKLVKSIPMAVEEQH >EOY06578 pep chromosome:Theobroma_cacao_20110822:4:32352527:32356887:-1 gene:TCM_021253 transcript:EOY06578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 2 isoform 2 MPNTMRDRIQDTLSAYRNELVSLLSRYVALGKGILQSHHLIDELIKSVKEDEAMQKLRDSPFFKVLESAQEAIVLPPFVAIAVRPRPGVWEYVRVNVYELSVDHLSVPEYLRFKEELVDGGCNDSYVLELDFEPFNANFPRPTRSTSIGNGVQFLNRHLSSIMFRNKESLEPLLDFLRAHKHDGHVMMLNDRIQNIPKLQFALARAAEYLSKLPSETPYTEFEFDLQGMGFERGWGDTTQRVSETMHLLLDILHAPDPSTLETFLGRIPMVFNVVIVSPHGFFGQANVLGLPDTGGQIVYILDQVRALENEMLLRKQKQGLDVIPKILIVTRLIPDAKGTTCNQRLERISGTEHTHILRVPFRTENGILRKWISRFDVWPYLETFAEDASNEIAAELQGVPDLIIGNYSDGNLVASLLSYKLGITQCNIAHALEKTKYPDSDIYWRKYEDKYHFASQFTADLIAMNSADFIITSTYQEIAGSKNNVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMCIYFPYSDKERRLTALHGSIEELLYDPEQNDEHVGILSDRSKPIIFSMARLDRVKNLTGLVECYGKSSRLRELVNLVIVGGYMDVKKSRDREEMSEIEKMHDLIKQYNLHGQFRWIRAQMNRARNGELYRYIADTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEHGISGFHVDPYHPDQVAASMIDFFERCQNDPSCWDKISDGALQRIYERFSIA >EOY05635 pep chromosome:Theobroma_cacao_20110822:4:29237996:29244144:-1 gene:TCM_020583 transcript:EOY05635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MPAGGFSSVPPAGVEFEAKITPIVIISCIMAATGGLMFGYDVGVSGGVTSMPDFLLKFFPVVYRRTNDPGINGNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGFFFIVGVVLNAAAQDLAMLIIGRILLGCGVGFANQAVPLFLSEMAPTRIRGGLNILFQLNVTIGILFANLVNYGTAKIEGGWGWRLSLGLAGIPALLLTVGALLVVDSPNSLVERGHLEEGKAVLRKIRGTDNIEPEFLELVEASRVAKQVKHPFRNLLQRRNRPQLVIAIALQIFQQCTGINAIMFYAPVLFDTLGFGNDAALYSAVITGAVNVLSTIVSIYSVDKVGRRVLLLEAGVQMFFSQVVIAIILGIKVKDHSDDLHKSFAILVVVMVCTFVSAFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHFKYGIFLFFSGWVLIMSIFTLFLIPETKNVPIEEMTERVWKQHWFWKRFIDDDHEGAAVANGNIAKKNGHANGFDPTSQL >EOY04084 pep chromosome:Theobroma_cacao_20110822:4:21985462:21987708:-1 gene:TCM_019355 transcript:EOY04084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTHHRHPPPPPPDPFAPPPGPPGPPPPAFSHPPPPPPNPFAPPPFNPHRPPPPLFEHTPPPPGPPGPPPPPAPGPHHPPQPPY >EOY05057 pep chromosome:Theobroma_cacao_20110822:4:26993466:27009448:-1 gene:TCM_020159 transcript:EOY05057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall associated kinase-like 6 MRDLITVAHREDAKVDAKPCGVSIGIRGNECLSGRRGGCHGLDESFGPKGGEPQIDAPNEGEHEMVDLGVLKDDEQRLCSSMVIIGNMMNVSCNALLVTTRNSHRARDNCRDVPISTHYPNADRNPARLSINFRISPFNSPSHKNHFTRIPLQKQINRTREKQLMGVHSVYYSILLLWLIQTAASQEPGELGCQEKCGDVNISFPFGIGAGCYANTWFRPRCGDVSSNSSCHASILENLKSYTSTISEMYPDHKDSKRCRSSVFLFYSGMLDTDSALLLDVNVNISTTHVPAVLRCDTVKCNLGDTRCKELKALSDQKSCTVSCGKVDIAYPFGIEVGCYMNDWFKVTCNDTADGKKPFLSSINLELFSSSFTLGSVQVNNPVTYIQCQDIHNNEVSVNLTGSPFFFSIDNYFVSVGCGSLATILHNQTHLIGGCLQSGCSNIVPSYGGCFTSIPPGLSSFVANMTEIYPSNGSNRSCGSAFLTDDISLLSLLYSHRVELSTKKNFSTKQQWGTPKVAPCSLNEGSEVLCSQDEEYCWTNLSSTHLCICRQTEHTNEDYYFSNICEEYQYNYTEGTCEPKEYSSATFLAKKTPARAIIIGCSTSIGTLFVLLGTWSMCKVLKRRKSIKLKQKYFKRNGGLLLQQQLSGNEGNVDKIKLFTSKELEKATEHYNENRILGQGGQGTVYKGMLTDGSIVAIKKSKMVEDQKKLDERMVQQFINEVIILSQINHRNVVKLLGCCLETKVPLLVYEFVLVKEFL >EOY03669 pep chromosome:Theobroma_cacao_20110822:4:17724684:17728020:-1 gene:TCM_018759 transcript:EOY03669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSEKEVMWLLRGDFNTVRFEHEKTGRADVGRSVAAFNEFINNTTLLDLPLTGDKFTWCGFRERWVFSRLDRFLVNVEWLNGSQELVQQCLPSSLSDHCPIDKLNGAEGIVEDPESIKREVVNHFQKLYTKQLVLDVKEMDWEMGTLKRESAEYLEKPFKEEEFWATIQGCDDNKAPGPDGYNLNFFKNQWNVVKKEVMKFMGDFHKEGGLGCGVNASFITLILKVQNPTSLGEYRPISLVGNLYKIVAKTLANRLRNVIGEVIGRNQFAFIKGKQLMDCALIANEMVDCVVVGDNGMSVTHLQYADDTIIFCEPKIENMSRVKQILRCFQSVSGLRINFSKSHLIGIGVEQNLVENWARRISCQIGEVPTTYLGLPLGVNHNSWDKVCNYKECGGIGITNIEVKNRALLNKWIWRYGKERDSLWREVLVAKTKSDPTMLLPSQVWANLAPYRVEAFAWQLLHGKIVVKDELDKRGMLLGNAIQ >EOY02603 pep chromosome:Theobroma_cacao_20110822:4:1445937:1447742:-1 gene:TCM_017030 transcript:EOY02603 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein isoform 1 MAGNSGCSVYIGNLDERVSDRVLYDILIQAGRVVDLYIPRDKETDKPKGFAFAEYETEEVAEYAVRLFSGLVTLYNRTLKFAISGQDKASQNPPNAAMAASNSSHKSRHYSGALNHMEISQQPMRLSTPCRIPDNPSHYSQVPPLPGVSHHSNEYGSHFSGTNYEYGRRVFGATLDSIGRLRSRRYDTSDPVSFSYY >EOY02605 pep chromosome:Theobroma_cacao_20110822:4:1446046:1447770:-1 gene:TCM_017030 transcript:EOY02605 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein isoform 1 MAGNSGCSVYIGNLDERVSDRVLYDILIQAGRVVDLYIPRDKETDKPKGFAFAEYETEEVAEYAVRLFSGLVTLYNRTLKFAISGQDKASQNPPNAAMAASNSSHKSRHYSGALNHMEISQQPMRLSTPCRIPDNPSHYSQVPPLPGVSHHSNEYGSHFSGTNYEYGRRVFGATLDSIGRLRSRRYDTSDPVSFSYY >EOY02604 pep chromosome:Theobroma_cacao_20110822:4:1445622:1480768:-1 gene:TCM_017030 transcript:EOY02604 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein isoform 1 MAGNSGCSVYIGNLDERVSDRVLYDILIQAGRVVDLYIPRDKETDKPKGFAFAEYETEEVAEYAVRLFSGLVTLYNRTLKFAISGQDKASQNPPNAAMAASNSSHKSRHYSGALNHMEISQQPMRLSTPCRIPDNPSHYSQVSLSMTWG >EOY02606 pep chromosome:Theobroma_cacao_20110822:4:1446334:1447712:-1 gene:TCM_017030 transcript:EOY02606 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein isoform 1 MAGNSGCSVYIGNLDERVSDRVLYDILIQAGRVVDLYIPRDKETDKPKGFAFAEYETEEVAEYAISGQDKASQNPPNAAMAASNSSHKSRHYSGALNHMEISQQPMRLSTPCRIPDNPSHYSQVPPLPGVSH >EOY05787 pep chromosome:Theobroma_cacao_20110822:4:29792890:29796951:1 gene:TCM_020697 transcript:EOY05787 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY domain/Divergent CCT motif family protein, putative isoform 1 MEAGVATTTTTTESFRSILDKPLSQLTEEDISQLTREDCRKFLKEKGMRRPSWNKSQAIQQVISLKALLESNEDSGAGAIRKILVSPPSPSVPPQNAAARVASNSCDSVKEAVVGEEGSPYRRKDPPLKPSPVGEINCLGGDTDNKNLSPRSPCESNELGGQMTIFYCGKVNVYDGVPLDKARAIMHLAATPIDFPQDNQCSGNAALRSFMCHVQAVGDKNGLVASTALNSHTMQTEKLTEYQHQFREKGNIARDADGQVNRKVSLQRYREKRKDRGRFFKGRKNTGQASSSLEMYLNHQIRTHNSNGQSSRSSTGSPPQSGLPHAFCSSADNQAKLVNLSVDLNDKSVQEH >EOY05786 pep chromosome:Theobroma_cacao_20110822:4:29792804:29799071:1 gene:TCM_020697 transcript:EOY05786 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY domain/Divergent CCT motif family protein, putative isoform 1 MEAGVATTTTTTESFRSILDKPLSQLTEEDISQLTREDCRKFLKEKGMRRPSWNKSQAIQQVISLKALLESNEDSGAGAIRKILVSPPSPSVPPQNAAARVASNSCDSVKEAVVGEEGSPYRRKDPPLKPSPVGEINCLGGDTDNKNLSPRSPCESNELGGQMTIFYCGKVNVYDGVPLDKARAIMHLAATPIDFPQDNQCSGNAALRSFMCHVQAVGDKNGLVASTALNSHTMQTEKLTEYQHQFREKGNIARDADVDGQVNRKVSLQRYREKRKDRGRFFKGRKNTGQASSSLEMYLNHQIRTHNSNGQSSRSSTGSPPQSGLPHAFCSSADNQAKLVNLSVDLNDKSVQEH >EOY05550 pep chromosome:Theobroma_cacao_20110822:4:28969456:28971249:1 gene:TCM_020524 transcript:EOY05550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MGDPGMDMNVVIDLGAQFLWFSCDGNYSSSSYRPVACGSAKWEAAKGLGCVGCFGPVRPGCTNNTCGLSPFNPFNGSLISGSLSEDELVAYSTNGLQYLLRTNVPSFPFSCADPLVLDGLAAVSQGMIGLARGQIAVPTQLSLKLKIPHKFALCLPSSTPDSGLGDIFIGGGPYFMPPYTKDFSKSLTTTPLVINPVSTAPIFSEGEASEEYFINVKSIKVDGNTASLKTSLLTIDVKGFGGTKFSTIDPYTVLHTSIYKALGQEFANKAAALKISRVASVAPFGLCFSSKTTRNSETGPAVRVIDLVLESNSAPWRIYGHNSMVKVDKNVMCLGFVDGGSKPRTSIVIGGHQVEDNLGEFDLVSSKLGFSSSLLLKNTSCSHFRVF >EOY04470 pep chromosome:Theobroma_cacao_20110822:4:24461787:24462534:-1 gene:TCM_019710 transcript:EOY04470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein 3, putative MASSGVLKLASLMLVVCMVVSATLQARAAVTCGDVVRTLTPCISYVQYGGPLPDNCCNGVKTLYGEAQTSADRQNVCKCIKSAVNGFSYSNFNLGLAAGLPGKCGLHLPYTISPSTDCNKVQ >EOY02915 pep chromosome:Theobroma_cacao_20110822:4:2975927:2978421:1 gene:TCM_017317 transcript:EOY02915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRCLQMMFAQFRSECASNEILGTLQQTQPWLENVVGPLLFTNNIVMVVSVDDASNQIEDDVEEDDMADWNDELHDNCEDNYVGEHDDCLKDDKEDVEGVDPIYDNLIILENDIRLPDYNDQDKARLFQQTYELGESALQTFLRPPYDIRP >EOY03921 pep chromosome:Theobroma_cacao_20110822:4:20375001:20383759:-1 gene:TCM_019131 transcript:EOY03921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF593 [Source:Projected from Arabidopsis thaliana (AT5G16720) TAIR;Acc:AT5G16720] MASNKFATFLHKNTSKITVILVYALLEWTLIILLLLNSVFTYLITKFANYFGLKPPCPWCTRVDHVLEPGNDANCHRDLVCDKHATEISKLSYCSRHGKLAESHLMCEECLASRPTPSDNKSIGMTRIAFISWVSTDHKLDDGEIVLPCSCCKGSFGSKLHPPYFLFKPTWGALDYAQKGSLIIEAIEAGGSESDQYKEPSKPDSQESQEGEDMIEIKLNTEEDYNENSEEATADQHMLSDVESFGFGEAPEEECSGSELKLQDTCHEKDVHEDEKPGTMNVMEQHFSAPSEIHQSSHDNIVEPYFAEDDLLEFINLHPQKCVSDRLFSVELIDLSTSANHCSEKQDLGKEVNENETSDSPIEETALHAIDEGAEDTCPRKVESPELGDGDIENPSIIDADKGKEDLVDEAFEQVVTLHGAIGGNKTEASSSKEPDNPPAQHQEKTDLNLLVEQPKPETLLSTQESDLPQIEESVPSLQSFQEDSSSTKNSEAKEVNAPESDSTNNVGANQNEKSTMENKMISSDENQGGINTALSIHLDPNEAEEEKFPDTPTSLEGMHYLHKKLLLFEKRESVHEESLDGSVVSEIESGDPIQTIERLKTSLKAERKALSALYAELEEERSASAIAANQTMAMITRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMIKREKEKEELEKELEVYRKKVLDYEAKEKMRIMRRSKDGSVQSRKSSAACSYVEDSDELSIDLNREAKDEDSSFCGHQGSSSENTPADAVLNLEEMALDCVNHMSALDESLTEFEEERLSILDQLKALEDKLLTMGDDQFMEDLKSIQHSLNGFDENNILSSKEDNGVSSGFSNDTTFPEGKTMASMAKSLLPFLDATDNETEEVLMCQKQGECEPVEMQKYPGSNFGLDSKKYEIVEEVDHAYERLQALEEDKEFLKNCMGSIKKGDKGMDLFQAILQHLRDLRAVELRTRNMSDDPQR >EOY05093 pep chromosome:Theobroma_cacao_20110822:4:27161431:27168565:1 gene:TCM_020185 transcript:EOY05093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Recombination initiation defect 1, putative MARFMLAHKPQNKRKIIKRKRSNKKNFRIYLSIYIYIYIYIYIYEKKFPFPLKFKISCSLPPCAMMLEDSQEPDVSFCVDQEPAVIQCSQGHRTTLCLRTQQGGSICLLCFSNLISNPCAPTLHVSYALSQLSHALSQPLFLNSLLSFHPHFLISPLLHALSSFDDDPIAQQLIDIITALCASANASVTADFVTQVAEQLSSGTLAWSRRQLYLLHCLGVLLNCQAAEPCMHIRDKVALVSNLVAGLQLPSDEIRGEILFVLYQLSLHAYTSKDCVGADVLQAFCPSLLRLSMEALLKTQRDDVRLNGVAFLMLLAQNGLFGNGHGNEISSMRSDEADNFIQTTEDGLDEPALSLLFAEAIKGPLLSADSQVQISTLLLIFHYLSCGDASAKQIQILVEENIVDYLFEILRLSECKDPVVYSCLKVLNLFPSTEQAFRQRLVIGFPTLIPVLRFVAEVPFHPAQTHTLKLIQNCVSDCPGIASTSNIEELALILSRMLERHRGGEIGMNPETFPLVCSIFVVLLRIPSSQGASSLAALLQESLKHAVLTSLEKDPGQLLHSLYLLKEAYSYTNEEFTANKSSHLELRNYTVDICTSHILPWFAMAINEIDEDTVLGVLETFHFILLQNPDTEATELAKVLLSSSWFSFSFGCLGLFPTEKMKWRVYLMLSSLVDILLGNQAGQPVRNAALFLPSDPIDLLFLLGQKNSHDLDLSSCQAAILLLLHVSCLHDDRLAGERSILASLEQYILVNSGDIVSGGIDSLTMMQVLNLYGLCRGLAKVNYEVSHSPEAERILFHILTESEWDLPSAMIHPVAVRWLFQQEKICKPLSYQLLKFCRRNCSDGNQIIIHGDKSHIMDVQVIAELVVTGDNYAAKLLMCLLVQLSEEGAQKHDIVAVVNLIATVINIFPAASDQLCLHGIGNAILTVVYYNSSHSSSSEFLVAILLLICNILSSVHPEKLSDGESWLALSTKLIDSLIPAVKKHGWNQEGLLLVGILSLILHHSSNKVLIEASKSIICNASLISTINSTVQAVSGRGPALIEYDEGTSSEENLIFLLLLYYFSLRCLRAVLPEVLDWQTFLNSPNMMQPLSTINIHWHDLCRLMHFGSPMVKLVASSCLLELFSGISYQTKRKHEELQCFMGQLMSIMTVLEGLVFYDDIRVAMNCCLCLSIILGWEELDMRESGIARSNWYRLIVEEMVMTMAVPCLASTSIINYHKPAVHVTVALLKLQKVPGWMRTVFDDLSISCIIDNLKMDVSPEMVLLFRALLNSGFLKAEHIGSLNHALQACRKRMYNNAEEHLMDKHVQKIVSSSDDLGEVCEYLIHLMMSQSSSDANSGNKRLFEEIEMFFSTSTVEGNS >EOY03843 pep chromosome:Theobroma_cacao_20110822:4:19772828:19779532:-1 gene:TCM_019035 transcript:EOY03843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein, putative MISVIVFSENYASSSWCLEELSKIMEFKHTKVLLVVPIFYHVDPSDIRKQTGSFQQAFAEDEKNGIDKVQKHEPTIIEEIAQDVLKKLHRMSASDCEGLIGIGPQMEQIKSLLCVGDGESIRIIGIWGMGGTGKTTLAQAIYDEVFSPFESHYFLANVREKSEEPGGITPLRDKLLSNILKEKDLHISYPRIGSTFTIFRLRRKRVLAVFDDASEVEQREKLATRRDNFGPGSRIIVTSRDKQVLRNVVDDDALYEVQELNYDDSLRLFSLYAFKQNHPVDDFLDLSNRVLQYARGVPLALKVLGSALYQKTRVCWESVTKKLKEHPDQTIQKILKISYDGLDETEKCIFLDIACFFKGYDGDDVKKILDSCYSGGALGGITNLIDRSLLYDDGSNNLGMHDLLQEMGRNVVRSESRWPEERSRLWTFEDVSKVLKNNSGTKSIEGMCLNMSNIVEPIKLRATAFKRMIHLKFIKFYDSSEHRHSRKQKILLPAQRLKSLSDKLTYFHWENCPLKSVPSNFCPENLVQLILPESDIEQLWDGDQNLVNLRVLSLRESGNLVRIPNLSQATNLEILDLDRCRSLVELPCLNHLKSLKGLYIQFCRNLKKFPEVPCHLDSLYLTGTGIEEVPDSVEHLLQLRELHMGGSKVENVSSNIYKLGSLRTLNLYCPIAEFPEVPRNLSSLILLELGMDGSRIQKLPSSIVRSLQILTVINCKYLKSLPELPPSLGSIPANGCISLEEVSFADHHQTRFDRSYQLNNFGHCFNLNHNAINNIVANGMLRIQRLAMQLAREFPRGPGQVACHFPGSEIPERPWSFNDPPPLQHPDLVLIFFNGHKMFNSGEMFLEDKLYEEALFDFFRAIAADYAFGNHTNYVVKKCGVHVFYEDTVLYRKRSLSISDEDEPEPSSFKGEAEDLAQRLKKLRIDD >EOY04673 pep chromosome:Theobroma_cacao_20110822:4:25458591:25461728:1 gene:TCM_019870 transcript:EOY04673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Selenoprotein family protein MLRNVLYYFLLIIVIISSAAVIASSSREQLSSKQCEDLGFTGLALCSDCNTFSEYVKDKELISDCLKCCTEDSDDSISKITYSGAILEVCMRKLVFYPEIVGFIEEEKDKFPTVKLQYLFNSPPKLIMLDDEGQHKETIRIDNWKREHVLQFLREKVKPNSASS >EOY03019 pep chromosome:Theobroma_cacao_20110822:4:3676034:3680394:1 gene:TCM_017430 transcript:EOY03019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase 4 MHMQMLLPGFRCCPSNDRHRMAQKGVSILFENGAKKKSVDFGGLKTLNKHESDVDTIRTQITYVYRINSWAAHVGYVDLRPTVLPKVQKGHGVSAYIYSIISSTLEHQPFPLADTREISGMASFLWTLCLGFLFLASGTMSAAPRKPVDVPFGRNYVPTWAFDHINYLNGGTEIQLKLDKYTGTGFQSKGSYLFGHFSMNIKMVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVYTGGKGDREQRIYLWFDPTKDYHTYSVLWNTFLIVYFVDDVPIRVFKNSKDLGVRYPFNQPMKIYSSLWNADDWATRGGLEKTDWSKAPFVASYKGFHIDGCEESVNARFCKTQGKRWWDQKEFQDLDAQQYDKLRWVRQKYTIYNYCTDRARFPTMAPECKRDQDI >EOY04810 pep chromosome:Theobroma_cacao_20110822:4:26022227:26031871:1 gene:TCM_019985 transcript:EOY04810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin 3 isoform 1 MEGQAAKQALLLFFLLFLHSLLFDCALSEIFFEERFEDGWKSRWVLSDWKRSEGKAGTFKHTAGKWSGDPDDKGIQTYNDAKHYAISAKIPEFSNKNRTLVVQYSIKLEQDIECGGGYIKLLSGYVNQKKFGGDTPYRSFCGFRLHRLPVLLDTFAFVIENVMFGPDICGSQTKKLHLILSYHGQNYPIKKDLQCETDKLTHFYTFILRPDASYSILVDNRERESGSMYTDWEILPPRKIKDVKAKRPADWDDREYIDDPNDVKPEGYDSIPAEIPDRKAKEPDDWDEELDGIWKPPKIPNPAYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPIKYVGIEVWQVKAGSVFDNILICDDPQYARQVVEDIWAKNREAEKEAFEEAEKERKAREEEEAQRAREGGEKRRRERDHRYGDRRHRRRHDPRDYLDDYHDEL >EOY04812 pep chromosome:Theobroma_cacao_20110822:4:26023985:26030644:1 gene:TCM_019985 transcript:EOY04812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin 3 isoform 1 MEGQAAKQALLLFFLLFLHSLLFDCALSEIFFEERFEDGWKSRWVLSDWKRSEGKAGTFKHTAGKWSGDPDDKGIQTYNDAKHYAISAKIPEFSNKNRTLVVQYSIKLEQDIECGGGYIKLLSGYVNQKKFGGDTPYSVMFGPDICGSQTKKLHLILSYHGQNYPIKKDLQCETDKLTHFYTFILRPDASYSILVDNRERESGSMYTDWEILPPRKIKDVKAKRPADWDDREYIDDPNDVKPEGYDSIPAEIPDRKAKEPDDWDEELDGIWKPPKIPNPAYKGPWKRKRKSRTPTIRENGKLHGLITLSLRMIRTFMC >EOY04811 pep chromosome:Theobroma_cacao_20110822:4:26023817:26031446:1 gene:TCM_019985 transcript:EOY04811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin 3 isoform 1 MEGQAAKQALLLFFLLFLHSLLFDCALSEIFFEERFEDGWKSRWVLSDWKRSEGKAGTFKHTAGKWSGDPDDKGIQTYNDAKHYAISAKIPEFSNKNRTLVVQYSIKLEQDIECGGGYIKLLSGYVNQKKFGGDTPYSVMFGPDICGSQTKKLHLILSYHGQNYPIKKDLQCETDKLTHFYTFILRPDASYSILVDNRERESGSMYTDWEILPPRKIKDVKAKRPADWDDREYIDDPNDVKPEGYDSIPAEIPDRKAKEPDDWDEELDGIWKPPKIPNPAYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPIKYVGIEVWQVSWFRF >EOY04813 pep chromosome:Theobroma_cacao_20110822:4:26023817:26031446:1 gene:TCM_019985 transcript:EOY04813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin 3 isoform 1 MEGQAAKQALLLFFLLFLHSLLFDCALSEIFFEERFEDGWKSRWVLSDWKRSEGKAGTFKHTAGKWSGDPDDKGIQTYNDAKHYAISAKIPEFSNKNRTLVVQYSIKLEQDIECGGGYIKLLSGYVNQKKFGGDTPYSVMFGPDICGSQTKKLHLILSYHGQNYPIKKDLQCETDKLTHFYTFILRPDASYSILVDNRERESGSMYTDWEILPPRKIKDVKAKRPADWDDREYIDDPNDVKPEGYDSIPAEIPDRKAKEPDDWDEELDGIWKPPKIPNPAYKGPWKRKKIKNPNYKGKWKTPWIDNPGKGWFRF >EOY04082 pep chromosome:Theobroma_cacao_20110822:4:21979691:21983385:1 gene:TCM_019353 transcript:EOY04082 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein, putative MEEALLGGREEEKWRATWRAFWEELKMVSYIAVPMVAVMVSQYLSQVILLMMVGHLGELALSGIAIASSVTNVTGFSFVVIMAGALETLCGQAYGAQQYQKLGTYTNCSMVSIIPVCIPICFLWIFMDQLLVLLGQDFEVAMVACSYSIWLIPGLFAYPFLQSLIRYFHCQGLIPPMFLSSCAALCLHIPVCWILLHKTNLGIIGAALSTGLSFWFNVVLLGIYMRYSSSCEKSRALIFNDIFLSIKEFFSFALPSAVMFCLEWWSFEVLILLSGLLPDSVLETSVLSICLTTTSFHYLVPYGISAAASTRVSNELGAGNPQAARLSVSAAMVLAAIEPIMVAITLFCCRYVYGYLFSNEKEVVNYVREMIPLLCISVIMDSVQAVLSGVARGTGWQHIGAYVNLGAYYLLGVPVAVVLCFALNLRGKGLWIGILAGSTVQASLLALVTGLTNWQKQASNARERMLEGSVPANNESA >EOY04074 pep chromosome:Theobroma_cacao_20110822:4:21909115:21911655:1 gene:TCM_019341 transcript:EOY04074 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein, putative MEELKKVSFVAAPFMAVAVSQYLLHVVSMMMAGHLAELSLSGVAIATSFCNVTGFSLLTGLASGLEALCGQPYGTMQFQKILNVAHTCTIFPAILQAQVHYFRSQSLILPMLFISLATLCFHVPICWVLVFKSGMENTGAALALGLSYWFNVILRGFYMKYLSSCEKTRVLILKDIFLSVKEFFHFGIPSAVMVCLEWWAFEILVLLSELLPNSKLETSVLSIWYYYTHPYKHVLHFNIPVGIRAAASTRVSNELGAGNPQAAQIAALVVMVLTLAESFIASTILFCCRHAFGYAYSNDKEVVNNVTKMIPLMCLSIVMDSLHAVLRGEGLWFGMLTGSTIQGILLSLVAAFAIWRKQAIKTRERIYKGTFAAESAAI >EOY03252 pep chromosome:Theobroma_cacao_20110822:4:9256733:9274567:-1 gene:TCM_017968 transcript:EOY03252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFRKNCCPNTPYSTNEGLLDFTAQSRYVLESESVTSNSTRFSSGSVSPRGKPLFKSLRSLARLVHNEIDSTKKNMNKMGKRMINNYRPRKVSISIVRHFPPGCGRGAAPISKEEFER >EOY02880 pep chromosome:Theobroma_cacao_20110822:4:2783101:2794480:-1 gene:TCM_017282 transcript:EOY02880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLWLNNMLLLRFTGELLWLLDECKCLLVLMGTDADVPFCFTGFKYLVAKYIALNGLWGETNLNQEEAAGRGAEHRPLGEPASTAPDRQLAQPKQTSADEGVVCLPTEYPLLGTCCLCWVRAAYAVYGVSRVEVAVGDMRDRLDVQEEHVEELNDQDEELKGEVQEIVREMLENVVEPNSQLESVVEILQRELEDLRTEVWIELRKQFYPEYAMDKARGKLCRLVQKGDVREYVWEFSDLALQVGDLGEKEALFTFMDGLKPWGKQELQRRGVQDLTRAMAVAEGLIDYSRLDKDRIEPAKPRDKGKGWADKGKQSRDEDGPYFVRDCPKRAKLVAIASEEEEQQGDETVRLGSMQLEAVCKGGKRAKGLIYADMVVAGQQVEALVDMGVSDLFVSEQGAVKLGLKADSAGGWVKTVNSKWVRTKGIAKGIDVQLGEWHWTEDIEVIQMDDYKVVMGLDFLERIQAFLVPHNDCICILGSKGQCIVLVRRGCAQPTKTLSAIQLVEGEQICAAVRSLEDTPNSIVEAPDEVLEVSEHQSGGANPVAGEPSREATPPASSKVRAELLPHIKEGKTPDPIEQPILESAEVENARLVHVSDGLRRAKVDRPHKPQHEGLRKLSLKECHDICGAGHSRIHRTLVSCPPCSTRHAGSRESSMTSRKSAVARPRGGVQMTGTGSFGTQQGKSCRRSRRGRVRQRPMPDGAARQNIDTRARGRVSRHESAHDQESREGAMRMSAQLKHPRARRALETAEGPRVSEGSNGEVPAKDPDRPTPPAGKVSSVRPKLWDAQEAQGQGAEWGAEAQKPPGRISGQSQRASNLNDRASRVAARGAWMDSAGHADRVGGARRTLTTRERLVRAHMRWKGNCLRVKQDGGPRRTGGSSDTRLTSSSRQRGRCQLSQEEAAGKGAEHRPLGEPTCTAPDRQPAQLRQTVCARPRAARAPSAYAACLAWPKHSSPLL >EOY06133 pep chromosome:Theobroma_cacao_20110822:4:31013338:31019602:1 gene:TCM_020953 transcript:EOY06133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide transporter PTR3-A MAVEEGIDDYTQDGTVDLKGNPVRRSKRGGWTACSFVVVSVPGLKPPPCHQANVDDCKKASTLQLAVFFGALYTLAVGTGGTKPNISTIGADQFDDFHHREKAQKLSFFNWWMFSIFFGTLFANTVLVYIQDNVGWTLGYGLPTLGLVISVLIFLAGTPFYRHRVPAGSPFTRMARVIVAAMRKWKVPLPGDPKELYELDLEEYARKGKFRIDSTPTLRFLNKAAVKTGSTDPWMLCSVTQVEETKQMLRMIPVMAATFVPSTMIAQIHTLFIKQGTTLDRQLGSSFKIPPASLAGFVTISMLISVVLYDRFFVPIMKKWTKNPRGITLLQRMGIGLVLHIIIMITASLIERHRLSVARENGLVENGGQLPLTIFILLPQFVLMGTADAFLEVAKIEFFYDQAPESMKSLGTSYSTTSLGIGNFLSSFLLSTVSDITKKHGHQGWILNNLNKSHLDYYYAFFAILNFLNFIFFLVVIKFYVYKAEVSDSLHVLTEELKVMRLKASNQEESGA >EOY02702 pep chromosome:Theobroma_cacao_20110822:4:1852367:1855351:1 gene:TCM_017101 transcript:EOY02702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein MAKNLHHHHQHQSKNNSCTKFVVILSSACLLGLACIANLLWASSFSFSSAYLSIASNWVPQKPRIFVVPNAEEEPNIVAGKIGDGIEKRKKERVSERFLSATFADLPAPDLPWEQMPSAPVPRLDGSAIQIKNLFYVLSGYGTLDYVHSHVDVFNFTDNTWSGRFDTPKDMANSHLGVASDGRYIYVVSGQYGPQCRSPTARTFVLDTVTRKWRGLPPLSAPRYAPATQLWRGRLHVMGGSKENRHTPGLEHWSIAVKDGKALEKEWRTEIPIPRGGPHRACVVANDRLFVIGGQEGDFMAKPGSPIFKCSRRHEVVYGDVYMLDAEMKKWEVLPPLPKPNSHIECAWVIVNNSIIITGGTTEKHPVTKRMILVGEVFQFHLDSLTWSVIGKLPFRVKTTLAGFWDGYLYFTSGQRDRGPDNPQPRKVIAEMWRTKLNL >EOY05267 pep chromosome:Theobroma_cacao_20110822:4:27798767:27808728:1 gene:TCM_020307 transcript:EOY05267 gene_biotype:protein_coding transcript_biotype:protein_coding description:small ubiquitinrelated modifier 5 [Source:Projected from Arabidopsis thaliana (AT2G32765) TAIR;Acc:AT2G32765] MSRPSGQASNSADGQPESIKITVKGQDGSTVVYKIGRKIKLSKLLHSYCQRKQLDYRTVRFVHEGRHVPGQHTADKLKLEDGAEIFCMFLQTGGGFHIMPKTT >EOY05001 pep chromosome:Theobroma_cacao_20110822:4:26788159:26788783:1 gene:TCM_020124 transcript:EOY05001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKLLAAKEVVTVLAVSRWVSSHSLFLECDNCNVVNGLPTLKTSLGRLRKILMQICVILSKINKWVIEHIPHLANVEANSLAKQGVLRTSDFLWVNLVVA >EOY04073 pep chromosome:Theobroma_cacao_20110822:4:21890619:21893847:1 gene:TCM_019339 transcript:EOY04073 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein, putative MDEALLPKKEGRIWEIITWEALGEEFKKVSFVAAPFVAVALSQYLLQVVSMMMAGHLGELALSGAAIATSFCNVTGFSLLWGLCGALETLNGQAYGAMQYQKLGSYTYCAIISTLPICLPVCLLWMYMDKLLVLIGQDPQAAVVACRYSMWLIPALFAYAILQSQVRFFQSQSLVLPMLFISLATLCFHIPVCWVLVFKSGLGNTGAAMAISFSYWFNVILLGLYMRYSSSCEKTRILYLNDVILSVKEFFQFAIPSAVMACLEWWSFEILVLMSGLLPNSKLETSVLSICLSSTSLHYNVPFGISVAASTRIANELGAGNPLTAQINSFIVVALTVVETVIASTILFCCRHIFGYAYSNEKDVVNKVTEIVPLMCLSIITDGLHAVACGIVRGIGWQHIGAYANLAAYYLIGIPAGVLCGFVLHLRGQGLWVGMLTGSSVQGILLAFVIAFTNWKKQAIGARKRVFQGTYAENGLY >EOY02745 pep chromosome:Theobroma_cacao_20110822:4:2022876:2059051:1 gene:TCM_017139 transcript:EOY02745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLASLLSYSFSSYPPPIKTYLFANQDIKKKQLTIMGKSGRSDDKIVAIDVGPMLSSLKDELRNARLSMASSYCIFKTPSILFRHRENSFLPNCFSIGPVHHGKENLAVTEKIKLKYLKGLLCRVTTSPERKSEEAKEIEQEEILTNFTDTVKEIEKEASGYYAGDDYAAKLGDEFVKILVLDACFIIELFRKDAGEITQDQDDPIFSMSCMLQFLYHDLILLENQLPWFVLETLFEKTKLPSETKSLIELALLFFANMFSSHRPPIKPDLFASKKIKHILDLLRLSLVLPSEEIKINPRSGWQPIHTVTRLKEAGLKFVKVTPDSILDIKFRDGSLEIPSLLIQETTETILRNLIAYEQCLPHCPPIFTCYAKVLDNLIDTTNDMEILCKSEIFDNWLSPEDATQFFNRLYNDTYVKEFYYSKLCDELDGYCKRWWPTWRAYYVHNYFSKPWAIAAQIYAVIMFVLTLWQTYIKKD >EOY02746 pep chromosome:Theobroma_cacao_20110822:4:2056728:2059003:1 gene:TCM_017139 transcript:EOY02746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGKSGRSDDKIVAIDVGPMLSSLKDELRNARLSMASSYCIFKTPSILFRHRENSFLPNCFSIGPVHHGKENLAVTEKIKLKYLKGLLCRVTTSPERKSEEAKEIEQEEILTNFTDTVKEIEKEASGYYAGDDYAAKLGDEFVKILVLDACFIIELFRKDAGEITQDQDDPIFSMSCMLQFLYHDLILLENQLPWFVLETLFEKTKLPSETKSLIELALLFFANMFSSHRPPIKPDLFASKKIKHILDLLRLSLVLPSEEIKINPRSGWQPIHTVTRLKEAGLKFVKVTPDSILDIKFRDGSLEIPSLLIQETTETILRNLIAYEQCLPHCPPIFTCYAKVLDNLIDTTNDMEILCKSEIFDNWLSPEDATQFFNRLYNDTYVKEFYYSKLCDELDGYCKRWWPTWRAYYVHNYFSKPWAIAAQIYAVIMFVLTLWQTYIKKD >EOY03575 pep chromosome:Theobroma_cacao_20110822:4:17241612:17244806:1 gene:TCM_018687 transcript:EOY03575 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA/rRNA methyltransferase family protein MSASKTLIRTSLSTLQSKLDLNLKPLFLPLSLRPLTSAPLCSRICSRRIRTRSFSAVQETAPLEDESPEELEKDTVEHLLTHQDDVSRLMKMERKSGMEVPSKWFPYLDRFRCGSDYLTSREVLEAVGPYLMEERKERLKRVVRNRSYSVCLVVEGLCDFGNVSATFRSADALGLQSVHVVSCDSSKRYRDNRHVSMGAEKWLDIELWKSPKECFEVLKSRGYQIATTHVGMDAVSIYDMDWSRPTAIVVGNENRGISDEALELSDLHCSIPMKGMVDSFNVSVAAGIVMHHAVCDRTTRLGCHGDLNEEESQILLAEFLLRHNNSAISIANEYAKRKATMAVPGL >EOY03416 pep chromosome:Theobroma_cacao_20110822:4:15348097:15357424:-1 gene:TCM_018472 transcript:EOY03416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLAKGYVLGKRCPNKGQRLDESYQFSAIAAAKVACLTDTINTGMQTVKPMDEKYQVSDITKSVASVILSCDVGNGSDVRVFILLMRIDSCRYSTCSAPLNASLVCSHITPPPNPHAPSLNMISALVIAPYFNSCLCVNWNQSLFY >EOY06791 pep chromosome:Theobroma_cacao_20110822:4:32965280:32970701:1 gene:TCM_021415 transcript:EOY06791 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acetyltransferase family protein isoform 1 MAIFGPITPGQVSFFLGIFPVISAWIYAEYLEYKKNSLESKAHSDVNLVEIGNGAVKEDDRAVLLEGGGLQSASPKARTSSSSLSPIFKFLMMDETFLVENRLTLRAISEFGGLLAYYYICDRTDVFDSAKKNYNRDLFLFLYFLLIIVSAITSFKIHHDKSPFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVFFCCVILNNSYVLYYICPMHTLFTLMVYGTLGILNKYNENGSVIAAKIIACFLVVILVWEVPGVFEILWSPFTFFLGYTDPAKPNFPRLHEWHFRSGLDRYIWIIGMIYAYYHPTVERWMEKLEEAEVKRRVLIKMAVATIALTMGYFWFEYIYKLDKITYNKYHPYTSWIPITVYICLRNVTQSFRSYSLTLFAWLGKITLETYISQIHIWLRSGVPDGQPKLLLSLIPDYPMLNFMLTTSIYVAISYRLFDLTNILKTAFVPTKDDKRLINNLITAVVISSILYSLSFALLRIPQMLV >EOY06790 pep chromosome:Theobroma_cacao_20110822:4:32965280:32969560:1 gene:TCM_021415 transcript:EOY06790 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acetyltransferase family protein isoform 1 MAIFGPITPGQVSFFLGIFPVISAWIYAEYLEYKKNSLESKARHSDVNLVEIGNGAVKEDDRAVLLEGGGLQSASPKARTSSSSLSPIFKFLMMDETFLVENRLTLRAISEFGGLLAYYYICDRTDVFDSAKKNYNRDLFLFLYFLLIIVSAITSFKIHHDKSPFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVFFCCVILNNSYVLYYICPMHTLFTLMVYGTLGILNKYNENGSVIAAKIIACFLVVILVWEVPGVFEILWSPFTFFLGYTDPAKPNFPRLHEWHFRSGLDRYIWIIGMIYAYYHPTVERWMEKLEEAEVKRRVLIKMAVATIALTMGYFWFEYIYKLDKITYNKYHPYTSWIPITVYICLRNVTQSFRSYSLTLFAWLGKITLETYISQIHIWLRSGVPDGQPKLLLSLIPDYPMLNFMLTTSIYVAISYRLFDLTNILKTAFVPTKDDKRLINNLITAVVISSILYSLSFALLRIPQMLV >EOY06402 pep chromosome:Theobroma_cacao_20110822:4:31809104:31812653:-1 gene:TCM_021133 transcript:EOY06402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 3 MEVSVIGSSSQAKICKTELAYRDLRFCFGKNNDKSKILSRKPNSVCFESQTARFRKARLRFTLEAVHSEAVLESKSSTGSNSLDKVRLFVGLPLDTVSDCNTVNHARAIAAGLKALKLLGVEGVELPVWWGVVENEAMGKYGWSGYLAVAEMVQKADLKLHVSLCFHASRQPKIPLPKWVMQIGESQSSIFFRDRSGQHYRESLSLAVDDLAVLNGKTPIQVYHDFCASFKSAFSPFIGSTIMGISMGLGPDGELRYPSHHKPAKSDKITGIGEFQCYDLNMLNLLKQHAEANGNPLWGLGGPHDAPTYHQSPNSNNFFRDHGGSWESPYGDFFLSWYSNELISHGNRLLSLASSIFGDTAVNVYGKVPLMYSWYKTRAHPCELTAGFYNTASRDGYEAVAQMFARNSCKIILPGMDLSDAHQPHESLSSPELLLAQIRTACGKHKVQVSGQNLASGAPGSFQQIKKNMLGENVLDLFTYQRMGAHFFSPEHFPSFTEFVRSLSQPELHSDDLLAEEEEATESVHTSSDANIQMQAA >EOY06359 pep chromosome:Theobroma_cacao_20110822:4:31662678:31667039:1 gene:TCM_021107 transcript:EOY06359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyxylulose-5-phosphate synthase isoform 1 MALCAFSFPAHINRAAASDPQKSTSFASHLLGGTDLLFQPLHKLNQVRKRPGGVCASLSERAEYHSQRPPTPLLDTINYPIHMKNLSVKELKQLADELRSDVIFNVSKTGGHLGSSLGVVELTVALHYVFNAPKDKILWDVGHQSYPHKILTGRRDKMYTMRQTNGLAGFTKRSESEYDCFGTGHSSTTISAGLGMAVGRDLKGERNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPIPPVGALSSALSRLQSNRPLRELREVAKGVTKQIGGPMHELAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIDDLVSILKEVKSTKTTGPVLIHVVTEKGRGYPYAERAADKYHGVVKFDLATGKQFKGSSATQSYTTYFAEALIAEAEADKNIVAIHAAMGGGTGLNLFLRRFPERCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFMQRAYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTFMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCFRYPRGNGLGVQVPPGNKGVPLEIGKGRVLIEGERVALLGYGSAVQSCLGAASLLASHGLRLTVADARFCKPLDHTLIRKLAKSHEVLITVEEGSIGGFGSHVAQFLALDGLLDGTVKWRPLVLPDRYIDHGSPADQLAEAGLTPSHIAATVFNVLGQKREALEIMSSRN >EOY06358 pep chromosome:Theobroma_cacao_20110822:4:31662439:31669948:1 gene:TCM_021107 transcript:EOY06358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyxylulose-5-phosphate synthase isoform 1 MALCAFSFPAHINRAAASDPQKSTSFASHLLGGTDLLFQPLHKLNQVQVRKRPGGVCASLSERAEYHSQRPPTPLLDTINYPIHMKNLSVKELKQLADELRSDVIFNVSKTGGHLGSSLGVVELTVALHYVFNAPKDKILWDVGHQSYPHKILTGRRDKMYTMRQTNGLAGFTKRSESEYDCFGTGHSSTTISAGLGMAVGRDLKGERNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPIPPVGALSSALSRLQSNRPLRELREVAKGVTKQIGGPMHELAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIDDLVSILKEVKSTKTTGPVLIHVVTEKGRGYPYAERAADKYHGVVKFDLATGKQFKGSSATQSYTTYFAEALIAEAEADKNIVAIHAAMGGGTGLNLFLRRFPERCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFMQRAYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTFMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCFRYPRGNGLGVQVPPGNKGVPLEIGKGRVLIEGERVALLGYGSAVQSCLGAASLLASHGLRLTVADARFCKPLDHTLIRKLAKSHEVLITVEEGSIGGFGSHVAQFLALDGLLDGTVKWRPLVLPDRYIDHGSPADQLAEAGLTPSHIAATVFNVLGQKREALEIMSSRN >EOY04984 pep chromosome:Theobroma_cacao_20110822:4:26731386:26734652:1 gene:TCM_020116 transcript:EOY04984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMGLRGRDNSSSDIAKRVTTTSPSMNKKHVVVQREEELPRPISACSQTESIGSQIKRMGPICTARKKVVSLSPQLSIEEDVDFVKQLGKGRFSSAADDSMWLSSGCISPSSFFEEHESSSPFHLVADECLVSWLSTLADSYVSLSKGASLSISSKIKPEEDKSFALAKVVSGKIAREGLEDEGEITWQLHPRGNYGTRPPAESAERIKSSCGQCSDESSSDGNCLTSHSTNLDSSSQVSDTDTTSFMASILNLDEDGFHWISNTELELDYFQSCFPSPSSTSFWDSEAKSSTSTTINRKDEITEPNLSLEQTSEAENVEDFSADEPLFWPFDRKIDWNSEETWEYFSMSPRKEIIKVTTSEGTSPRSIDSELNNRNVYPPNRCRRKLVLKSGSTASKILELNRGNKGCDSSSSGRVKRGNAMPSRLRESTKDSAKIVPLDIDNQILPLKVGEVPTTKSILTGRNFWEDEFSSNEELSIEAVLGLGEFDGHEGIDSEFNEGVFFLDEAL >EOY05957 pep chromosome:Theobroma_cacao_20110822:4:30374240:30376775:1 gene:TCM_046774 transcript:EOY05957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like G2 MLACKMKPLPFNLCNPKKRPAIINRSYALLHFIAATSLIFYRVSSLLHSRPSLPHLLVFASELILSLLWLLNQAYLWTPVSRKTFPERLLQEKGEELPGIDVFICTADPKKEPPLEVMNTVLSAMALDYPIGKLSVYVSDDGGSSSTLHAIREAWKFGRSWLPFCTRFGIKTRCPKAYFPRYEDDLGEKGYHEEKEKIKSTCECYVALFLTFRR >EOY06520 pep chromosome:Theobroma_cacao_20110822:4:32149212:32151027:-1 gene:TCM_021211 transcript:EOY06520 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MALRPIDNALPITPERPKKQAKVSVPVQKKPSEFGVNDENKAPLPPSADASVDYIPSENLKPFQDPESIIQSLIEGLDSKDWVNLCESLNDSRRFSLYHSTLLLPILEKVILVVVKAMKNPRSALCKTSIMAASDIFNAFGEKLLDSTDSGAFDQLLLQLLLKASQDKKFVCEEADRSLNAMVKSMAPLPLLQKLRGYVNHGNLRVRAKASVSISNSVLKMGLEEIKEFGMVTLLQMAADLLNDRLPEAREAARSIVFSVYKAFTENEEEMEEEAWQSFCQTNLPPNHAQSMVKVILSSRV >EOY02726 pep chromosome:Theobroma_cacao_20110822:4:1932057:1935298:1 gene:TCM_017113 transcript:EOY02726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase, putative MLLFPLVLFPFLLLLLYFIVRPRPVNIPIKNRHVFITGGSSGIGLALAHQAASQGARVSLLARSLSKLEEAKQSIRLSSGVDVSIFAADVRDYYAVQKAINDAGPIDVLVVNQGVFVPQELEKQGLEEVKFMIDVNLMGSFNVIKAVLPLMKERKDRGPTSIALMSSQAGQVGVYGYTAYSASKFGLRGLAEALQQEVIADNIHVTLIFPPDTDTPGFEQESKVRPELTTILAGSSGSMKADKVAKKALDGIKSGSFIVPCNFEGQMLAIATAGLSPQRSFLMAFFEVAFIGICRLVALFFQWNWYGSIEQWHAKKKEK >EOY04373 pep chromosome:Theobroma_cacao_20110822:4:24079328:24088797:-1 gene:TCM_019641 transcript:EOY04373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARKVRMSKWKEGQRRSPRISASHPCEAQRSRRVGRTALAPPPQAMGLQVQHSRPQDQGPASRTRARKKRKLRPVDDVAATSLSPFAQQGSDLQSHDEDHRISCDPPTAQGGNSPKFDDKAVSPDQLSSVPSTRWMPEKRILELVLDILQRRDTYEIFAEPVDREEVEDYYDIIKEPMDFGTMRAKLHEGMYTSLQQFEHDVYLISKNAMHFNSSATIYFRQARAIDELAKKVFHSLKTDPENFELEFSETRRRTSRRLMSEARAPSYSSSSKLATNLRRNSKTNVSSKPMPSFLPNSSNLRKGVRGICGLAGATTDCNARDHVVHSGALNGRGNNFAEVDRRCTYRPWTSLHTDNDSIVSTIYSDSKPLIPVNQQDIGYRDSLMFFVKDLGPTAQMIAKQKLIGCSVDASNCWTPGSKHWFQEPECQNPNAFHSTQRGLPILDSAFTAASENLFDHLQRGPNILGNANYKVDSSYAGAGEKAYASNKMLIPSASVVVVSSSDEKKSPVAFKGDGHFSNAMDIFGLFGCDKLHQDQCSEIQLGSHPSSVGQDIIAQDEVEVRGSVEGGQELKAGQPNQLGSQFIFDLPFLKKRLDQINSSGKDKLLQQGSSIETPFLNEEVNWKMQLACSRHKKLSTQNIQRSLSLSLCVRKKPTKKKLEEKEMAYRRRQGISRASTFKEEIHHPPDDNDSKTFTSSYSFSSSTSPANSLAAQAIRASAAARKTDSPAFAAHSDFGPSRSKGFNAYEDARNDSKGFWGVLARKAKAILDDDNMSQQFETPGRVGSSYMFDASTASQQKQQPGDQGFRRMDNPTIRRGLHKLTSSLNQIGDTFERAFEEGRTIVESKTQDIIQETRKLQIRRKGSGPEEQNLVTGFNSTSQQPLVQPTQLQNQTNHETQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKERCAQLEEENKLLRESREKGGNPADDDLIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVVYLDEGAEEVTEVYPINFPFSKMLSEDSPTSPESPPSPSEVTPSTTSPPMTQEILPLPESDVSPTSSVPDGEDARRPPPSSV >EOY04075 pep chromosome:Theobroma_cacao_20110822:4:21917449:21919383:1 gene:TCM_019343 transcript:EOY04075 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MWTSTWGKAIPKGWKLYLLCNDLYPSNLSHSMPGLDIHGQAISASRPRPSNCSGGSQILGLGNSGAALAIGFSYWFNVILHGFYMRYSSSCEKTRVLSLKDVFLSVKEFLRFGIPSAVMICLEWRSFEILVLLSGLLPNSKFETSVLSVCYDLFTSTSVHFYLPYGISAVASTRVSKELGAENPQAAQIASFVVMVLTLAESVNAAIILFCCLYVLGYAYTNEKDVITNVTKMVPILCLSIVMDSLHAVLAAQIPTIMKENIYLEFQRLFYVVSFAIERGRPLAWNVDRIHCRRNSALFRSCFHKLEKTGNHGQ >EOY04841 pep chromosome:Theobroma_cacao_20110822:4:26175682:26180986:-1 gene:TCM_020012 transcript:EOY04841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSGNGPLNRLLLRSREVSSVRFPIKGVRKPSYPAPSRSTDIIRLVELSKGIVHKIPRNFAPVLSHGSAKKSQVLR >EOY06799 pep chromosome:Theobroma_cacao_20110822:4:32988490:32989998:-1 gene:TCM_021423 transcript:EOY06799 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MNKNQVAFVNGALHWLTGSCSYILALDLAYEVWRKISLPDELSYGTGNRVYLLESNGRLSLIQISDAWMKIWVMKDYERELWHMVDSVSLRCIRGLVPGIFPITQTGEYVFLATHKQVLVYHQRSKVWKEMYSVKNSATLPLWFSAHAFCSTIFPCD >EOY05772 pep chromosome:Theobroma_cacao_20110822:4:29744000:29746411:-1 gene:TCM_020686 transcript:EOY05772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iq-domain 31 isoform 2 MGKTGKWLKSFLTGKKDKEKEKGKYTSNQNSSVAPENPTTPISIPPTTPKEKRRWSFRRSSAGATPTKDLNSTEQVATPPPSVEATLDNGDEQKKHAMAVAVATAAAADAAVAAAQAAAAVIRLTAAANEKASAAEEAAAIKIQSVFRSYLARKALNALKGLVKLQALVRGHLVRKQATATLRCMQALVTAQARARAQRIRMVEESNPASQRQSPHRRSTPDHRFRHAYHEIDRGMEENIKIVEMDLGDLKGSLKSRNSYSHYPQAERVVEHRFSTHYSSNHAYSKQDNYQVSPAPSALTDMSPRACSGHFEDYSFSTAQSSPPYYSAISKPDPSKLPFAFPRPEYAESVSYDYPLFPNYMANTESSRAKVRSQSAPKSRPDSFERQPSRRRASIEGRNVPRAVRMQRSSSHVGATAQNYQYPWSIKLDRSAVSLKDSECGSTSTVLTNTNYCRSLVAYDPHGDRY >EOY05771 pep chromosome:Theobroma_cacao_20110822:4:29742674:29746410:-1 gene:TCM_020686 transcript:EOY05771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iq-domain 31 isoform 2 MGKTGKWLKSFLTGKKDKEKEKGKYTSNQNSSVAPENPTTPISIPPTTPKEKRRWSFRRSSAGATPTKDLNSTEQVATPPPSVEATLDNGDEQKKHAMAVAVATAAAADAAVAAAQAAAAVIRLTAAANEKASAAEEAAAIKIQSVFRSYLARKALNALKGLVKLQALVRGHLVRKQATATLRCMQALVTAQARARAQRIRMVEESNPASQRQSPHRRSTPDHRFRHAYHEIDRGMEENIKIVEMDLGDLKGSLKSRNSYSHYPQAERVVEHRFSTHYSSNHAYSKQDNYQVSPAPSALTDMSPRACSGHFEDYSFSTAQSSPPYYSAISKPDPSKLPFAFPRPEYAESVSYDYPLFPNYMANTESSRAKVRSQSAPKSRPDSFERQPSRRRASIEGRNVPRAVRMQRSSSHVGATAQNYQYPWSIKLDRSAVSLKDSECGSTSTVLTNTNYCRSLVAYDPHGDRCSPVPTLTRLAPRCTRSLVITPTTFTSTHSSPLTLKTHPDDVAVALTAMPLMALSSKNFDGFIEATIHF >EOY05186 pep chromosome:Theobroma_cacao_20110822:4:27522537:27524373:1 gene:TCM_020259 transcript:EOY05186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSCSKTAHIKPLQEPPKSSQPSTKSSESGVFFIADGYSSSNMSLLSSSRSSAEASQAIDSRGSVMRRTLYLLKLLFFCVCLRCSLANWGSEIKDLHGLHTHFSCIRNLTGSLDSILAIMS >EOY03851 pep chromosome:Theobroma_cacao_20110822:4:19928246:19932287:1 gene:TCM_019054 transcript:EOY03851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSDERIKRLVNDKVLNTLDFTDFDAYVDYIKGKQTNKTKKGAKRSSDILEIIHTNICSLDMDSYKLDPKTISGYYVGYAERSKRYKCYYLSHNIRIVESRNAKFLENDLIRRNDQSQNLIFEKNHCDIQTPISSDRLIVIHNTHQIQMEIIEQLVVQHIPQEDVNTTLRRFTRMKKSAIPSDYIVYIQEADNNVGVENDFETFSQAMKLHQINVNTTFLNGDLDEEDCSPSVAPIGKGDRFNLNQCLKNELEREQMQSIPYASVVGSLMRTNNLEVVSYSDSNFVGCVNSQKSTSRYGFIFAGKAMSWRSAKQTLTATSTMEVEFVSCFEATSHVARVRGSRYDYWTTTMGYKNLAEFGDQGHILDEFTKNTRKHVKRRKRFLDEVFCKGS >EOY03027 pep chromosome:Theobroma_cacao_20110822:4:3721622:3735441:1 gene:TCM_047035 transcript:EOY03027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic release factor 1-2 MELQMKVAQAVHVLYHDTESCNRVAANQWLVQFQQTEAAWEVATSILTSDHQPFLSDFEVEFFAAQILKRKIQNEGCYLQLGVKDALLNALLLAAKRFSSGPPQLLTQICLALSALILRSVEHGKPIEQLFYSLQNLRTQNDGNAAVLEMLTVLPEEVIDTQTTDSKISASHRSQYGQELLSHTPVVIEFLLQQSENKFEGGIQLNERNKKILRCLLSWVRAGCFSEIPQGSLPTHPLLNFVFNSLQVSSSFDLAVEVLVELVSHHEGLPQVLLCRVHFLKEMLLLPALTGGDKKVIAGLACLMSEIGQAAPSLIVEASAEALLLADALLSCVAFPCEDWEIADSTLQFWSSLASYILGLDVDGTSKKNVEGMFFSVFSALLDALLLRAQVDESTLNDESGTFDLPDGLLQFRMNLVELLVDICQLLRPATFVQRLFFGGWFSTNMAIPWKEVETKLFALNVVSEVVLKEGQAFDFSVVMQLVTILSSRPSAELKGFMCIVYRSVADVIGSYSKWISALQTNSRPSLLFLAAGISEPLSSNACVSALRKFCEDVSAVIYEPSNLDILMWIGEALEKGCLPLEDEEEVVSAISLVLGSVSNKELQNNLLARLLSSSYEAIGKLIEDNNKHSLRQNPAAYTEILSFATRGLHRIGIVFSHLAMPFLCEPSTDNSILSVLRVFWPMLEKLFRSEHMENSSLAAAACRALSLAIQSSGQHFELLLPKILDCLSTNFLSFQSHECYIRTASVVIEEFGHKEEYGPLFMSTFERFTQASSVMALNSSYVCDQEPDLVEAYTNFASTYVRGSRKEVLAASGPLLEISFQKAAICCTAMHRGAALAAMSYLSCFLDIGLASLLESMTFSPEGSFGATAIHVISHSGEGLVSNIVYALLGVSAMSRVHKCATILQQLAAICCLSERTTWKAILCWDFLHSWLQAAVQALPVEYLKLGEAETLVPVWLKALAGAAADYLESKSSNGGKSDYGHMQGKGGRVLKRVIREFADGHRNIPNLT >EOY03408 pep chromosome:Theobroma_cacao_20110822:4:14999983:15005196:-1 gene:TCM_018447 transcript:EOY03408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYVWLGHQMIIRLRLLDSQMSANIVRLHGTWKSGGNDAPGCYYVTGIRLACNRGCVRSITNRFEGVPIVCAMSRRNGSLDALDSASEGLLDSTARSQLRPDLGNSESGPSRILINRIPSNLAEWFRNKESFESSESLESEDSSDTPETVRNFLLKQSEEWDREWTRKAIARGDIRPRKVSGVQHFPPGYGIGAALVSVEEYKRIQQAWIEEQRRKSQEEEKDPEEDSLMCSDQGDKDPKDT >EOY02450 pep chromosome:Theobroma_cacao_20110822:4:879519:880816:1 gene:TCM_016920 transcript:EOY02450 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT family protein MCEAKEMEVQLLLALAATPAQFLGVELEPRFNAPLFSTSLQDFWGHRWNLRVSEILRATVYIPVRRISTRIIGPRWASLPGVFLTFLVSGLMHELLVYHMTRERPTWEVTWFFILQGVFVDMEIVLKKKLVATNKFRLHRAISGPLALANIAVTAGWLSYTQALRNGIDEKLIKEFNMFMQFLKGMAISKN >EOY05521 pep chromosome:Theobroma_cacao_20110822:4:28892849:28894006:-1 gene:TCM_020505 transcript:EOY05521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRMARLWVAHISAKLHGPLQLWFGEVHLKLVFLGELTGVRVRLAWCAVLMWNWPEPTIDGRSALCGTFALLLWCCPCTLLSGARAACAARIMWFFVARPDSVGLIFAQLPFARLKCAQLVCILPLTAGPCTARIVWPRSDGASDMQICGMRAQYTCRVAMLAWLVVLAGHAGRTASGCVVRWPECRCCGPTTLLLRLLGTPFWLAAYLQSSINSLPQSCL >EOY06204 pep chromosome:Theobroma_cacao_20110822:4:31290504:31293300:1 gene:TCM_021011 transcript:EOY06204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA13, putative isoform 2 MEGGLVLLGGGGGGGGSGSGGGSSGVSTNESAVSKVEVVSEASSYPAESELELGLGLSLGGSGGATGKTKVGTSSWGECGRILTAKDFPSVVSHRANNGGPSVSVSGTKRAAESVSHEGGSPTGVSSQVVGWPPIRAYRMNSLVNQAKAPRAEDDGIGEKDKAKDSFKKKPYNGNKINSAVNEKGHLGFVKVNMDGIPIGRKVDLNAHSCYESLAQALEDMFLRSAPTINSIGAEKEQVTKPSKLLDGSSEFVLTYEDKEGDWMLVGDVPWRMFLSSVRRLRIMRTSEANGLAPRFHDRNERQRSKPI >EOY06203 pep chromosome:Theobroma_cacao_20110822:4:31290514:31293080:1 gene:TCM_021011 transcript:EOY06203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA13, putative isoform 2 MEGGLVLLGGGGGGGGSGSGGGSSGVSTNESAVSKVEVVSEASSYPAESELELGLGLSLGGSGGATGKTKVGTSSWGECGRILTAKDFPSVVSHRANNGGPSVSVSGTKRAAESVSHEGGSPTGVSQVVGWPPIRAYRMNSLVNQAKAPRAEDDGIGEKDKAKDSFKKKPYNGNKINSAVNEKGHLGFVKVNMDGIPIGRKVDLNAHSCYESLAQALEDMFLRSAPTINSIGAEKEQVTKPSKLLDGSSEFVLTYEDKEGDWMLVGDVPWRMFLSSVRRLRIMRTSEANGLAPRFHDRNERQRSKPI >EOY06514 pep chromosome:Theobroma_cacao_20110822:4:32140173:32145025:-1 gene:TCM_021209 transcript:EOY06514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the outer envelope membrane of chloroplasts 159, putative MDSMPHVSFSTTEETPSSSAAATGSLLIRAPLTADSDSEYETNGHETASSVGGSSLNSFENNSELGSESEEFLSGEEFETASEKRDPDEETLEEENGIGESYKIYVANKDDDIDSLENSVEEEGESSGDVGLVGVSSSKPVVPIAQLSMDDEEFSEVLSEEEIVTEVEDGGFSGVVKVPGAVESSPRIKVALGVEEGEGEESLELRSSAFVNQYESSTLVKAEIENGGSKGSQKDVLVEISKLDEDVEHSAVKSIATENLDDESIESKHGDGHELEKKSFVVGNDANHSSQPVVKPVDKIIVSNVKELKVEETGAEAGEIMSCQGNERSHVDVIESGAVDQGSESKKLENRIVLGAELYELLAANRDESNDHDIMIANTGNVGLLKTTEGEQIGDELMSDTNTFKFAASISNLDHKVKPEAEDIAKHVSEKVLRSDEDVVQLIFGSSETTKHVTKEVEQGLTSTSPLKVDLEEELETSSQQIVMDSDEEVETEKEHELKELFNSAALAALLKTATGAESDGGGLTITSNGGSRVFSLEHPAHSGSSLHSSKVDPPSNMANVISKDSISGEEKKRFEKLQLIRVKFLRLVERLGHSPTDPMVAQVLYRLALALGSLFNQEFTLESAKRAAMQLEAEGKDDLDFSLNIVVLGKTGVGKSASINSILCEQKSGTDAFEAATSAVKEIVGTVDGVKIRIFDTPGLRSPVTGEATNRKLLASVKRFVRKFPPDVVLYVDRLDTHDRDLTDLLLLKSLTDSLGSSIWQNAIVTLTHAASASPDGPLGEPLSYEVFVAQRSHVVHRAISQAVGDMRLMNPSMMHPVALVENHPSCQRDRNGESLLPNGQRWRSQLLLLCYSVKILSEASSLSKPQAPFDHRKLFGIRLRSPPLPYLLSSLLQSRSHLKLPTNQGGDDLDLDIELGDSTNSDEEDYDEYDQLPPFKPLRKSQVKKLSKEQRKAYCEEYDYRVKLLQKKQWREEVKRMREIKKKGKDGDNNFGYVGDDGDIEEGDNPATVPVPLPDMVLPPSFDGDNPTYRYRFLDSTSELLMRPVLDSQVWDHDIGYDGVSLERSLVIAGCFPGAIAGQISKDKKEFSIHLDSSVCAKHGENKSTMAGFDIQTVGKQLAYIFRGETKFRNFKINQTTAGLSVTFLGENAATGLKIEDQIAVRKHLVLAGSAGAMKSQGETAYGANMEIRLKGKDFPLEQNQTTLGLSLVKWRRDLGLMANLQSQFSIGRSSSMAVRVGLNNKRSGQITLKVSSSEQLQIALASVLPIAATIFRMIYPGSDRSWL >EOY06447 pep chromosome:Theobroma_cacao_20110822:4:31948392:31949790:1 gene:TCM_021164 transcript:EOY06447 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor, putative MSTSKTLDKPFGYEPVQTQAGFALLQRNTSPSQPGERRGRRKQAEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGTQARTNFLYSDNTTFHSLLTPFDVQAILPTSQFLTSSQPKQATNHNSAPQPDISHSKTPIQSNNDPSSADETPYGSVDDNSFFLSGDTNSGYLACVVPDNCLKPPSTPTSPRVSNFCSMSQNFRENQSHFDNYALPPDITSLPKSDNMEFPGFDELNQGFWSDQQSWEMNSNELSAMINPPLLVEDGCMGASYPYNDSASYNMIPQATSSVTCSPSMPPFGDVVDFGYSPF >EOY03979 pep chromosome:Theobroma_cacao_20110822:4:21042302:21045520:1 gene:TCM_019211 transcript:EOY03979 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MDTAADNHSASSSSSSSSSDVDGTPRGATSAVRRALQLIQSDDSDSKLQAAKEIRRLTKTSQRCRRLLADAVIPLVSMLRVDSPESYHESALLALLNLAVKDEKNKISIVEAGALEPVISFLENENLNLQEYATAALLTLSASVNNKPIIGALGAIPLLVDILRHGSTQAKVDAVMALSNLSTHPDNVKMILETSPIPSIVSLLKTCKRSSKTAEKCCSLIESLVGFDKGRTALTAEEGGVLAVVEVLENGTLQAREHAVGALLAMCESDRCKYREPILGEGVIPGLLELTVQGTPKSQTKAQTLLRLLRDSPYPRSELPPDTLENIVCNIISQIDGDDQSSKAKKMLAEMVQVSMEQSLRHLQQRALVCTPTDLPISSSCTSEVSLK >EOY03412 pep chromosome:Theobroma_cacao_20110822:4:15060694:15068674:-1 gene:TCM_018453 transcript:EOY03412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVLVVKMIITITMVVAMDMEVTMKKVIKVVTVRVDIATMKDMATVDTKVDTVRIDMVMVDLVILEVVAPLRAIIDLRIRV >EOY03069 pep chromosome:Theobroma_cacao_20110822:4:4203883:4215405:1 gene:TCM_017495 transcript:EOY03069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nijmegen breakage syndrome 1, putative isoform 1 MVGEEDKYYIFRKGTYKVGRKGCDIIVHKDKGVSRIHADIIVDDMTKSSQVRIKDLSKYGTLVKKNLSSKKKVHEFPNKETSLEDGNLLSFGAGNATYRFCYVPLVFYICCAEVSQVNHHLQDKVSSIGARLTHAFSQECTHVLIDQQMPLKGDLLDAIVAKKPVLHSSWLEFVAEKSIRTELPGYSSHVPTIIVDGVSVEVSDANTRENCLEGYAFLLESTYMYNFGDRLQSLLEVSGSRSFCVEDTCSSSQASKCGENIHLAYVIPARSADKFDHLDKLGLSCTVNEMALIRAVLSGNLDQSILISSSVLVSSSCSTDETVVADSDEEHEAATSVNANASTGKVGARSCVNREEISMEAPNYVSKAEVSTYHDATRLEDGQVIFRDDNGCLTARRDKAEESEYGNSDIVYSQVLIVRDVNLPSTTNFITDERLINYKRFRKASIQSGNSFDNLVPFSKNNEFGSEELAESVKEEKKRKKMEAIAEDLFNNEKGRQRGSGSLRGRHGRG >EOY03068 pep chromosome:Theobroma_cacao_20110822:4:4203352:4215811:1 gene:TCM_017495 transcript:EOY03068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nijmegen breakage syndrome 1, putative isoform 1 MVWALLPVDPSSGEEDKYYIFRKGTYKVGRKGCDIIVHKDKGVSRIHADIIVDDMTKSSQVRIKDLSKYGTLVKKNLSSKKKVHEFPNKETSLEDGNLLSFGAGNATYRFCYVPLVFYICCAEVSQVNHHLQDKVSSIGARLTHAFSQECTHVLIDQQMPLKGDLLDAIVAKKPVLHSSWLEFVAEKSIRTELPGYSSHVPTIIVDGVSVEVSDANTRENCLEGYAFLLESTYMYNFGDRLQSLLEVSGSRSFCVEDTCSSSQASKCGENIHLAYVIPARSADKFDHLDKLGLSCTVNEMALIRAVLSGNLDQSILISSSVLVSSSCSTDETVVADSDEEHEAATSVNANASTGKVGARSCVNREEISMEAPNYVSKAEVSTYHDATRLEDGQVIFRDDNGCLTARRDKAEESEYGNSDIVYSQVLIVRDVNLPSTTNFITDERLINYKRFRKASIQSGNSFDNLVPFSKYPYKNNEFGSEELAESVKEEKKRKKMEAIAEDLFNNEKGRQRGSGSLRGRHGRG >EOY03071 pep chromosome:Theobroma_cacao_20110822:4:4203352:4215877:1 gene:TCM_017495 transcript:EOY03071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nijmegen breakage syndrome 1, putative isoform 1 MVWALLPVDPSSGEEDKYYIFRKGTYKVGRKGCDIIVHKDKGVSRIHADIIVDDMTKSSQVRIKDLSKYGTLVKKNLSSKKKVHEFPNKETSLEDGNLLSFGAGNATYRFCYVPLVFYICCAEVSQVNHHLQDKVSSIGARLTHAFSQECTHVLIDQQMPLKGDLLDAIVAKKPVLHSSWLEFVAEKSIRTELPGYSSHVPTIIVDGVSVEVSDANTRENCLEGYAFLLESTYMYNFGDRLQSLLEVSGSRSFCVEDTCSSSQASKCGENIHLAYVIPARSADKFDHLDKLGLSCTVNEMALIRAVLSGNLDQSILISSSVLVSSSCSTDETVVADSDEEHEAATSVNANASTGKVGARSCVNREEISMEAPNYVSKAEVSTYHDATRLEDGQVIFRDDNGCLTARRDKAEESEYGNSDIVYSQVLIVRDVNLPSTTNFITDERLINYKRFRKASIQSGNSFDNLVPFSKYPYKNNEFGSEELAESVKEEKKRKKMEAIAEDLFNNEKVRIVTVFFSPGFDLMIYP >EOY03070 pep chromosome:Theobroma_cacao_20110822:4:4203352:4215877:1 gene:TCM_017495 transcript:EOY03070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nijmegen breakage syndrome 1, putative isoform 1 MVWALLPVDPSSGEEDKYYIFRKGTYKVGRKGCDIIVHKDKGVSRIHADIIVDDMTKSSQVRIKDLSKYGTLVKKNLSSKKKVHEFPNKETSLEDGNLLSFGAGNATYRFCYVPLVFYICCAEVSQVNHHLQDKVSSIGARLTHAFSQECTHVLIDQQMPLKGDLLDAIVAKKPVLHSSWLEFVAEKSIRTELPGYSSHVPTIIVDGVSVEVSDANTRENCLEGYAFLLESTYMYNFGDRLQSLLEVSGSRSFCVEDTCSSSQASKCGENIHLAYVIPARSADKFDHLDKLGLSCTVNEMALIRAVLSGNLDQSILISSSVLVSSSCSTDETVVADSDEEHEAATSVNANASTGKVGARSCVNREEISMEAPNYVSKAEVSTYHDATRLEDGQVIFRDDNGCLTARRDKAEESEYGNSDIVYSQVLIVRDVNLPSTTNFITDERLINYKRFRKASIQSGNSFDNLVPFSKNNEFGSEELAESVKEEKKRKKMEAIAEDLFNNEKGRQRGSGSLRGRHGRG >EOY03235 pep chromosome:Theobroma_cacao_20110822:4:8828767:8830980:1 gene:TCM_017922 transcript:EOY03235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEMGLLHSPQKRADKRNKSLHNLGTKSREENNEDMSIHDSDLESLMNSPIPSDLGHNDSREALSRSSCSGSSTGSSTSSGFCSGSVSEEEEDGCLDNWEDVADALSVDDNQHNPATVTPSKYETRIESACADQHYKNQRINQLNSDSEGTVCGSQMNCRAWRPEDAFRPRILPSLSKQHNILLIQIGMMATGLSPGHSEVLFPTLLHALFAVRIWMSQTLAFSLVHVDFGFASSVTKGFLKQMGNALDVGNIMIPLMGIYVSVDELHF >EOY04923 pep chromosome:Theobroma_cacao_20110822:4:26488858:26490278:1 gene:TCM_020068 transcript:EOY04923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRTLTSFSLLHFPHCSLVSLVPHSSPPALVLFSMSVVLCCFLPFYSFLFLFSLSFLFSCAAVAGSASFAVGGGFVPSSFLFPKFFS >EOY06645 pep chromosome:Theobroma_cacao_20110822:4:32537164:32539269:1 gene:TCM_021305 transcript:EOY06645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNRLGKGVGTISQHLFFMGMIVRSPGRTSWMARRVMNSGIQPDLRLGWARISISSPCGQGQFKVKHAVLSLVKELKMGLGLQDFMAYAGFATTSMLPGEMVPNHKIVSAIDS >EOY04156 pep chromosome:Theobroma_cacao_20110822:4:22332011:22343845:1 gene:TCM_019417 transcript:EOY04156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYDILEYVCLEYELPLGLTWTSWANIKPLPTEIMPDSYKKGTLFIPYHAFHDSDDQASQKFMDTCDAHELVEGQAIAGKLLQSNESLFIQDITELDGSEYPFAAAAREFGSRAALAISFCNRYVGDDVYVLEFYFPSSKKNLEDKELLKDRILHNLENMKKKFVAPRVVNGTGSVGVRKQTISNSIPVVTLATRTSLPVPASATCHNLFNSNETRSLNTTDAKDHHHVGTENLQAKQGAKKKNRRDINPEMVKENRGTLTVPHTKRRKLVSKVWEHFTKFEENALQWAECKYCNKKFTGSSKSGTTHLKNHLERCPIKKIKFPTQISSDLTSPGANEGNSIFDPERTRLEFATTIIKNQCLLDVVEDECYKNFLRTLQPMFELQSRESILSDIDRIYKEEKKKLRQYFDQLGCNYSLAIRFGEDNLKKNVYCCLVAYFINDDWELKKNIIAFKLLDRVYDSQTVSGIIRSSLLEWNISKKICSITVNSLGLSDDIVEQIKENCLPAVGQASLPSGGCYNSCTLIEDGLHEIDDLLLKIRKLIEYVSEKPSERLKFQDAVNQLKLLGGKSRDDCPLRLDSGFEMLDWALESREVFFQVEQSDDNFGIILSKEEWDKALLMHSCLKESLSCFGGIDQSLTANVYFPKLCGMYRKFLQLEKTNYPRMKLMKMKFDNYWTTHHLVFAIATVLDPRLKFKFVEVMYGEIYGRNSKMELNKFHKLLMDVYDNYANELDNQISPTAIFGDSSCSTTQSADDSILKSFWRYASKKKFDDVASWKSELDCYLEEPLLVPLDNNDEFFDILDWWRSNTLKFPRLGRMARDILAIRVSTAPPHSSFSTLITNPKNSDLGPEIIEAFVCGQDWLETPQTDNKSNHANVQIMVGTFVNLGTIVNF >EOY04517 pep chromosome:Theobroma_cacao_20110822:4:24815057:24831008:-1 gene:TCM_019763 transcript:EOY04517 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-mannose binding lectin protein with Apple-like carbohydrate-binding domain isoform 1 MKLYLCSILILLVNYGSCDSAIHVGHRISLAVPSEYSAGFIGRAFLMDANQLEPNFRVALSAEAIKGKYSCSLEVFLGDVKVWNSGHYSQFYTSDVCVLELTEDGDLQLKGPKERVGWRTGTSGQGVERLQILRTGNLVLVDVLNQIKWQSFNFPTDVMLRGQRLEVATRLSSFPRNSTSYYTFEILHNKIALYLNSGKLKYSYWEFKPSKNRNITFVELGSKGLELFNDKHKKIAQIASWRIQPLRFLALGNKTGNLGLYFYSPNTEKFEASFQALNSTCDLPLACKPYGICTFSNACSCIRLLTKQNGMSSDCNEGTPRKFCGGAQVEMVELNGVSSVLRDAPRRVNVSKTACANLCLDDCKCVAALHSSEDGAENSQECFLYRLVVGIKQVERGTGLSYMVKVPKGIHDSHSKPSVKKWVLIVVGVVDGLIIVLVLGGLAYYLIQKRRKNLSGTENNT >EOY04518 pep chromosome:Theobroma_cacao_20110822:4:24828961:24830452:-1 gene:TCM_019763 transcript:EOY04518 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-mannose binding lectin protein with Apple-like carbohydrate-binding domain isoform 1 MKLYLCSILILLVNYGSCDSAIHVGHRISLAVPSEYSAGFIGRAFLMDANQLEPNFRVALSAEAIKGKYSCSLEVFLGDVKLKGPKERVGWRTGTSGQGVERLQILRTGNLVLVDVLNQIKWQSFNFPTDVMLRGQRLEVATRLSSFPRNSTSYYTFEILHNKIALYLNSGKLKYSYWEFKPSKNRNITFVELGSKGLELFNDKHKKIAQIASWRIQPLRFLALGNKTGNLGLYFYSPNTEKFEASFQALNSTCDLPLACKPYGICTFSNACSCIRLLTKQNGMSSDCNEGTPRKFCGGAQVEMVELNGVSSVLRDAPRRVNVSKTACANLCLDDCKCVAALHSSEDGAENSQECFLYRLVVGIKQVERGTGLSYMVKVPKGIHDSHSKPSVKKWVLIVVGVVDGLIIVLVLGGLAYYLIQKRRKNLSGTENNT >EOY04519 pep chromosome:Theobroma_cacao_20110822:4:24828847:24830907:-1 gene:TCM_019763 transcript:EOY04519 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-mannose binding lectin protein with Apple-like carbohydrate-binding domain isoform 1 MKLYLCSILILLVNYGSCDSAIHVGHRISLAVPSEYSAGFIGRAFLMDANQLEPNFRVALSAEAIKGKYSCSLEVFLGDVKIASWRIQPLRFLALGNKTGNLGLYFYSPNTEKFEASFQALNSTCDLPLACKPYGICTFSNACSCIRLLTKQNGMSSDCNEGTPRKFCGGAQVEMVELNGVSSVLRDAPRRVNVSKTACANLCLDDCKCVAALHSSEDGAENSQECFLYRLVVGIKQVERGTGLSYMVKVPKGIHDSHSKPSVKKWVLIVVGVVDGLIIVLVLGGLAYYLIQKRRKNLSGTENNT >EOY04890 pep chromosome:Theobroma_cacao_20110822:4:26346280:26347614:1 gene:TCM_020046 transcript:EOY04890 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MGSAEQGEYAAFEEKVRRTVYMDNLSPNVTESVVRTALDQYGTVKSVHFIPNYLEPQNIPQCALVEMETGKQVEVVISTISQFPFMMSGMPRPVRARAAKVEMFSDRPRQPGRRIHFRWLDPADPDFEVANKLKHLAKRHATETAVMLKHQLEKEEKLAKQQGEALKANYKKYEMIDSLMADGTARRLARRYDLRVSDDSGPSTFH >EOY04889 pep chromosome:Theobroma_cacao_20110822:4:26346047:26347592:1 gene:TCM_020046 transcript:EOY04889 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MGSAEQGEYAAFEEKVRRTVYMDNLSPNVTESVVRTALDQYGTVKSVHFIPNYLEPQNIPQCALVEMETGKQVEVVISTISQFPFMMSGMPRPVRARAAKVEMFSDRPRQPGRRIHFRWLDPADPDFEVANKLKHLAKRHATETAVMLKHQLEKEEKLAKQQGEALKANYKKYEMIDSLMADGTARRLARRYDLRVSDDSGPSTFH >EOY03738 pep chromosome:Theobroma_cacao_20110822:4:18793841:18795893:-1 gene:TCM_018893 transcript:EOY03738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDNQRRGLLLAILITMMTVSINHCAAASLEKKRSSLHCNSSIQECFLSEEDEEGLDLSLVESETSHVFPDQASASNSLNNKNVYLALFPDARCGRNDKGGLKCTPPGNHRIKPPHCNNPYNRECGKQHKRP >EOY05508 pep chromosome:Theobroma_cacao_20110822:4:28820036:28823009:-1 gene:TCM_020493 transcript:EOY05508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MSSSQCFENPPSKNAAYGAGTVQELGGLTAYLTGPPDSKLAILLISDVFGYEVPNLRKLADKVAAAGYFVVVPDFFYGDPADIDNPQFDREAWKKVHNTFSIMSCGIPTGGPTPRQLSVESEWMAKLEKGFEDAKPVIAALKSKGVSAIGAAGFCWGGMVVVNLASSDDIQAAVVLRPGGITEKKSRFDSFVKIFPGVAHGWTVRYNVEDESAVKSAKEAHDDMLNWFIKYVK >EOY03548 pep chromosome:Theobroma_cacao_20110822:4:17074631:17075106:-1 gene:TCM_018661 transcript:EOY03548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic protein of unknown function (DUF872) [Source:Projected from Arabidopsis thaliana (AT3G29170) TAIR;Acc:AT3G29170] MSSRRHVRYSPLAGDENDYDANEGRRYDPRFDYTPKAFDKIPWKSIALAVILLCLGSLLLFLSFFILTGHMGGEKSQAYGLLILGILTFLP >EOY03547 pep chromosome:Theobroma_cacao_20110822:4:17073052:17075570:-1 gene:TCM_018661 transcript:EOY03547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic protein of unknown function (DUF872) [Source:Projected from Arabidopsis thaliana (AT3G29170) TAIR;Acc:AT3G29170] MSSRRHVRYSPLAGDENDYDANEGRRYDPRFDYTPKAFDKIPWKSIALAVILLCLGSLLLFLSFFILTGHMGGEKSQAYGLLILGILTFLPGFYETRIAYYSWRGAEGYRFASIPDY >EOY02663 pep chromosome:Theobroma_cacao_20110822:4:1706785:1710083:1 gene:TCM_017075 transcript:EOY02663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 1 isoform 2 MIVDGSWTLKRRRFTNIDPMEAASAKAKLAAAKEKFGREIRVFETLPTSSEVSNTEEPDDFYEFTPEDYYRVMASKKEDKFLKTRKIREAEEAARRSRITKVVIRVRFPDNHTLEVTFHPSETVLSLVDFIKKVIARPDLPFYIYTTPPKKQMRDMTQDFFSAGFVPGAIVYFSYDLPKDDDAAATNSAPFLQDEIMSLKGLEVIAEQPEPVQSAPEPAATSAAPAPVAQESKPTEKKPAKPKWFKM >EOY02662 pep chromosome:Theobroma_cacao_20110822:4:1706745:1710124:1 gene:TCM_017075 transcript:EOY02662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 1 isoform 2 MIVDGSWTLKRRRFTNIDPMEAASAKAKLAAAKEKFGREIRVFETLPTSSEVSNTEEPDDFYEFTPEDYYRVMASKKEDKFLKTRKIREAEEAARRSRITKVVIRVRFPDNHTLEVTFHPSETVLSLVDFIKKVIARPDLPFYIYTTPPKKQMRDMTQDFFSAGFVPGAIVYFSYDLPKVCTVEWILCSTSPHLDSIDLGLSDSTKCDSLANFALKCLDDDAAATNSAPFLQDEIMSLKGLEVIAEQPEPVQSAPEPAATSAAPAPVAQESKPTEKKPAKPKWFKM >EOY03900 pep chromosome:Theobroma_cacao_20110822:4:20301679:20309569:-1 gene:TCM_019118 transcript:EOY03900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MQGLLSFPPCSVGDQVHQDLPQESHPFGSGAVGQGSQYATSCQDVGLPINGNSQGSQAGQLTGTGTNADASAMGKTNVGVPLPSNNVPSNVSDIVKVGCGHKILLSLSKDDTNSSANTGVIAVNTVDKKFSDFTFGELDHIVLKERRKLLLKRKLVELEKPALEGTSVGLAEDLMQYSAGRMEQELQPTDGECMIARNQFNDIPIRNAFYLSSCSANGSSSLEYSAGRIKEELQFVDGESWLAGNGFNDIPTRTASDLQRTSATGTEAARSGNRKEESSIIYSSERTSMEFKARDGDESAPTSTDNIYSSTPNTSVKVKVEPLDGNNFQNPERSTLGNMVSVKCEEDISDGIDHMLLCDRMKLLKSIEDFEYNASKNFESLRKTEPAAFGFIPIVSESAKPIRVHHPRKRKKTATDSVETALEEDAPGLLKVLLDQGVSVDEIKLYGESQSDDALDESFDEDSFSELEAVMTKLLSQRSSLLKFAPFRCTKGPKPTYCLACLFSLVEQTRYLQFRSWPVEWGWCRDLQSFIFVFKRHHRIVLERPEYGYATYFFELLDSLPMDWQVKRLVTAMKLTSCGRITLIENKPLSVGEDLTEGEAKVLMEYGWIPNTGLGTMLNYRDRVVHDRKNESDSSEWRSKIGKLLVDGYNSGTIVSTSLTENVNEGKDGENAQIKVEL >EOY03899 pep chromosome:Theobroma_cacao_20110822:4:20299135:20310369:-1 gene:TCM_019118 transcript:EOY03899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MACLCVPEGEVEELLEHVPLATRRKLLLSCTVAFKNQDGSSNSPGLLSFPPCSVGDQVHQDLPQESHPFGSGAVGQGSQYATSCQDVGLPINGNSQGSQAGQLTGTGTNADASAMGKTNVGVPLPSNNVPSNVSDIVKVGCGHKILLSLSKDDTNSSAYTGVVASCQYVSLPISGNSQGSLADQLTGTEANADASGLAKVNVDVPLPPNVSDIMKVDCADNMLLSLSKDDTNSSANTGVIAVNTVDKKFSDFTFGELDHIVLKERRKLLLKRKLVELEKPALEGTSVGLAEDLMQYSAGRMEQELQPTDGECMIARNQFNDIPIRNAFYLSSCSANGSSSLEYSAGRIKEELQFVDGESWLAGNGFNDIPTRTASDLQRTSATGTEAARSGNRKEESSIIYSSERTSMEFKARDGDESAPTSTDNIYSSTPNTSVKVKVEPLDGNNFQNPERSTLGNMVSVKCEEDISDGIDHMLLCDRMKLLKSIEDFEYNASKNFESLRKTEPAAFGFIPIVSESAKPIRVHHPRKRKKTATDSVETALEEDAPGLLKVLLDQGVSVDEIKLYGESQSDDALDESFDEDSFSELEAVMTKLLSQRSSLLKFAPFRCTKGPKPTYCLACLFSLVEQTRYLQFRSWPVEWGWCRDLQSFIFVFKRHHRIVLERPEYGYATYFFELLDSLPMDWQVKRLVTAMKLTSCGRITLIENKPLSVGEDLTEGEAKVLMEYGWIPNTGLGTMLNYRDRVVHDRKNESDSSEWRSKIGKLLVDGYNSGTIVSTSLTENVNEGKDGENAQIKVEL >EOY04149 pep chromosome:Theobroma_cacao_20110822:4:22270455:22271307:1 gene:TCM_019401 transcript:EOY04149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKMEHGEDITSMFDRFTNITNKLSQLGKPIPKHELVKRLLRCLPKSWKPKVTAIREAKDLNIITLDEICSSLLTHELELKEEEEEDQRVAKEKKKSIALKARILEEELEELSYDDDEELALVARKFRKLMSRRNRRLTKRGFRKDQGASWKIRNKNDFNKKEEMIC >EOY04920 pep chromosome:Theobroma_cacao_20110822:4:26473794:26563787:-1 gene:TCM_020064 transcript:EOY04920 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase A5E isoform 2 MGEGEGGGEEYLFKIVIIGDSAVGKSNLLSRYARNEFNPNSKATIGVEFQTQSMEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDISRRTTFDSVGRWLDELKTHSDTTVARMLVGNKCDLETIRDVSVEEGKSLAEAEGLFFMETSALDSTNVKKAFEIVIREIYNNVSRKVLNSDTYKAELTVNRVTLTKSETDGSKQTQNFSCCSR >EOY04921 pep chromosome:Theobroma_cacao_20110822:4:26473055:26563792:-1 gene:TCM_020064 transcript:EOY04921 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase A5E isoform 2 MGEGEGGGEEYLFKIVIIGDSAVGKSNLLSRYARNEFNPNSKATIGVEFQTQSMEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDISRRTTFDSVGRWLDELKIARMLVGNKCDLETIRDVSVEEGKSLAEAEGLFFMETSALDSTNVKKAFEIVIREIYNNVSRKVLNSDTYKAELTVNRVTLTKSETDGSKQTQNFSCCSR >EOY06251 pep chromosome:Theobroma_cacao_20110822:4:31435404:31436022:1 gene:TCM_021049 transcript:EOY06251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small acidic protein 1 MRPMPMEFMVDPDDQGSAMEVDDVDPLEIFGEGSIPVDNKLADADFFNNFEDDFDDSDIN >EOY03048 pep chromosome:Theobroma_cacao_20110822:4:3951073:3953133:-1 gene:TCM_017469 transcript:EOY03048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich repeat secretory protein 60 MALSIAQGSPLGSLSILLFPLSFIARMASSPLSLSLSLTIFLISFSSLSLRTLALSSDTDSLVFGGCTQQKYTPHSPYESNLDSLLTSLVNSATYSSYNNYTIMGSSPQDVVYGLYQCRGDLSMPDCATCVARAVSQTGSLCPTTCGAAVQLQGCFVKYDNATFVGVEDKNVVLKKCGPSVEYKKESEIVGRRDAVMAGLMSAGGPFRVGGSGEVQGLAQCVGDLSVGECQDCLSEAIGRLKSECGAAAYGDMFLGKCYARFSTAGAGDHYYAKSHNDKSINDGEKTFAIIIGLLAGIALLIIFLAFLRKVLDRNGKITFPTLSEGDGMDLKAHGHFAFGRFICSPFSSMIFHFLLPLSLLFVGFFFILFI >EOY02985 pep chromosome:Theobroma_cacao_20110822:4:3323342:3334166:-1 gene:TCM_017377 transcript:EOY02985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase tnp2 MHRLLSPNRAFLCPDQRLFAALLRVSLTFPKSIFLCRDQKLFATLLGASLTFPKLSLLMPRPEFHPSSALNSPFWTTISRVSVYDNNSSLTVKPRGYHIILSVPRSHLFMVVISQMMDHSGRVFGPLDKSQFLDEDEFYAAELYVLMNCEEMLPYIKIFDEMVKRDVVHISEDELEKVRDARFVKWFKNYAAKHKDEIDPRILEISHGPGKLDNFTVLASDDYEEVNLLIEDEEDDMQRDEDEEDDMEGDDNEDKDEDELEDDDCETFSDDSDNNEEHEFVYSERTMVKEKHSKPRPRSTNASGKQVENETFTHDSRRSLSIDLGASVDDASSKSRDRGPSVGLQTTVDPSDRLCITPIGESYNNKDHQKSLPWSMVDMEESP >EOY04779 pep chromosome:Theobroma_cacao_20110822:4:25876785:25878598:1 gene:TCM_019957 transcript:EOY04779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKQLQWRGKMLRQLETVELFPTLVQFRAKVKQNVKIALCHCICYRAASKLSSCDSALKEGDDDSGGGRGRVDGGDISKSITEEGSDDGCCCCDGVTVTFLCLV >EOY06811 pep chromosome:Theobroma_cacao_20110822:4:33006813:33007431:1 gene:TCM_021428 transcript:EOY06811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEKEKLSEKEKAKHRLPHPQGHLVMHGSMIKGKRVLSRNKIIDELFQFSTCNSCVTVACSS >EOY05004 pep chromosome:Theobroma_cacao_20110822:4:26813852:26817731:-1 gene:TCM_020128 transcript:EOY05004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12/ ATP-dependent Clp protease adaptor protein ClpS family protein MAVVAGTATTSSFTLVKLQHLPSAPTWRKSGVGKQNPGGKLGSKFMGVKAKFGSFKSGGAGVLERPSLDQSQFDPSAQVLEGGDIGRLRDKKGTGRGDSYRVLLIDDTRHSENLVAKVLPQIVPSVTPDGARKLFHVSRENGVAVVIVTVKEHAEFYSQMMVRGGLRSTIEPDSSVL >EOY04233 pep chromosome:Theobroma_cacao_20110822:4:22954062:22963736:1 gene:TCM_019500 transcript:EOY04233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger domain of monoamine-oxidase A repressor R1 protein, putative isoform 2 MAVASTSASKMEEGKQTTGNGGIRVVGRRIFDSESGQTCHQCRQKIKGFLAPCKKLKKNKQCPIKYCCKCLLNRYGENAEEVALLVDWNCPKCRDNCNCSLCMKKKGHKPTGILVHTAKATGYSSVSELLQAKGPESFGYEKIGKDISVSPKKQVASKKECMAASPRKLGKENSFDGDSDSKVDSQNLTSFSNENKSKKMKREGLKELYYGNEDHEASLKKNSPKKPKVLNEASKKKVKGNGKDSGCVSDKNNSKTGVQMDDPSCPSKGDETKCAKSKKAGVLNGVKIPGEISKKREPTISDEESREKLKLKEKFKGDFMEEKNSKMQVLESNTISPVGNKKNGVKSEDPGGLIGFENDNTSAELKSDTEPRKNKKCTTQVLDKNFDMDIQLPQGTSLITVAGVDLPPQDVGHALQFLEFCAAFGLVFDMKKGQAESVIREIIRGRGRCRLQYSPLAQLHTQLLSLIQKDMGKKFPSLRTSDHTSWFRALGQCVSESQCALEEVPSNFFDKGVDAYNMLDSSTKLKLLNFLCDEALCTITLRSWIDKQNLEFVDSEKEAKEKILAARDKEKQLRQKMQDEVAKVITGRSGAPVSVSEHETLVAQMKREAAQAHADVLQAMGMLPKKRQRSDAVRTAPIVLDVNGHAFWRLRGYTSEPYILLQDIGTLDPVAPDEKWFAYDVKQKAHVEKYISSISIAFKPCNRGQKGLGFRNFQIAFQLLVLKLTRSMHSPCDWPFFQIKLFRKIVRDQE >EOY04236 pep chromosome:Theobroma_cacao_20110822:4:22955539:22962580:1 gene:TCM_019500 transcript:EOY04236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger domain of monoamine-oxidase A repressor R1 protein, putative isoform 2 ESGQTCHQCRQKIKGFLAPCKKLKKNKQCPIKYCCKCLLNRYGENAEEVALLVDWNCPKCRDNCNCSLCMKKKGHKPTGILVHTAKATGYSSVSELLQAKGPESFGYEKIGKDISVSPKKQVASKKECMAASPRKLGKENSFDGDSDSKVDSQNLTSFSNENKSKKMKREGLKELYYGNEDHEASLKKNSPKKPKVLNEASKKKVKGNGKDSGCVSDKNNSKTGVQMDDPSCPSKGDETKCAKSKKAGVLNGVKIPGEISKKREPTISDEESREKLKLKEKFKGDFMEEKNSKMQVLESNTISPVGNKKNGVKSEDPGGLIGFENDNTSAELKSDTEPRKNKKCTTQVLDKNFDMDIQLPQGTSLITVAGVDLPPQDVGHALQFLEFCAAFGLVFDMKKGQAESVIREIIRGRGRFPSLRTSDHTSWFRALGQCVSESQCALEEVPSNFFDKGVDAYNMLDSSTKLKLLNFLCDEALCTITLRSWIDKQNLEFVDSEKEAKEKILAARDKEKQLRQKMQDEVAKVITGRSGAPVSVSEHETLVAQMKREAAQAHADVLQAMGMLPKKRQRSDAVRTAPIVLDVNGHAFWRLRGYTSEPYILLQDIGTLDPVAPDEKWFAYDVKQKAHVEKYISSIRTKRLRIQ >EOY04237 pep chromosome:Theobroma_cacao_20110822:4:22956731:22961371:1 gene:TCM_019500 transcript:EOY04237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger domain of monoamine-oxidase A repressor R1 protein, putative isoform 2 MKKKGHKPTGILVHTAKATGYSSVSELLQAKGPESFGYEKIGKDISVSPKKQVASKKECMAASPRKLGKENSFDGDSDSKVDSQNLTSFSNENKSKKMKREGLKELYYGNEDHEASLKKNSPKKPKVLNEASKKKVKGNGKDSGCVSDKNNSKTGVQMDDPSCPSKGDETKCAKSKKAGVLNGVKIPGEISKKREPTISDEESREKLKLKEKFKGDFMEEKNSKMQVLESNTISPVGNKKNGVKSEDPGGLIGFENDNTSAELKSDTEPRKNKKCTTQVLDKNFDMDIQLPQGTSLITVAGVDLPPQDVGHALQFLEFCAAFGLVFDMKKGQAESVIREIIRGRGRCRLQYSPLAQLHTQLLSLIQKDMGKKFPSLRTSDHTSWFRALGQCVSESQCALEEVPSNFFDKGVDAYNMLDSSTKLKLLNFLCDEALCTITLRSWIDKQNLEFVDSEKEAKEKILAARDKEKQLRQKMQDEVAKVITGRSGAPVSVSEHETLVAQMKREAAQAHADVLQAMGMLPKKRQRSDAVRTAPIVLDVNGHAFWRLRGYTSEPYILLQDIGTLDPVAPDEKWFAYDVKQKAHVEKYISSIR >EOY04235 pep chromosome:Theobroma_cacao_20110822:4:22955292:22963636:1 gene:TCM_019500 transcript:EOY04235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger domain of monoamine-oxidase A repressor R1 protein, putative isoform 2 MAVASTSASKMEEGKQTTGNGGIRVVGRRIFDSESGQTCHQCRQKIKGFLAPCKKLKKNKQCPIKYCCKCLLNRYGENAEEVALLVDWNCPKCRDNCNCSLCMKKKGHKPTGILVHTAKATGYSSVSELLQAKGPESFGYEKIGKDISVSPKKQVASKKECMAASPRKLGKENSFDGDSDSKVDSQNLTSFSNENKSKKMKREGLKELYYGNEDHEASLKKNSPKKPKVLNEASKKKVKGNGKDSGCVSDKNNSKTGVQMDDPSCPSKGDETKCAKSKKAGVLNGVKIPGEISKKREPTISDEESREKLKLKEKFKGDFMEEKNSKMQVLESNTISPVGNKKNGVKSEDPGGLIGFENDNTSAELKSDTEPRKNKKCTTQVLDKNFDMDIQLPQGTSLITVAGVDLPPQDVGHALQFLEFCAAFGLVFDMKKGQAESVIREIIRGRGRCRLQYSPLAQLHTQLLSLIQKDMGKKFPSLRTSDHTSWFRALGQCVSESQCALEEVPSNFFDKGVDAYNMLDSSTKLKLLNFLCDEALCTITLRSWIDKQNLEFVDSEKEAKEKILAARDKEKQLRQKMQDEVAKVITGRSGAPVSVSEHETLVAQMKREAAQAHADVLQAMGMLPKKRQRSDAVRTAPIVLDVNGHAFWRLRGYTSEPYILLQDIGTLDPVAPDEKWFAYDVKQKAHVEKYISSIRTKRLRIQKLSDSLPIASVETNS >EOY04234 pep chromosome:Theobroma_cacao_20110822:4:22955553:22963621:1 gene:TCM_019500 transcript:EOY04234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger domain of monoamine-oxidase A repressor R1 protein, putative isoform 2 MLKCRQKIKGFLAPCKKLKKNKQCPIKYCCKCLLNRYGENAEEVALLVDWNCPKCRDNCNCSLCMKKKGHKPTGILVHTAKATGYSSVSELLQAKGPESFGYEKIGKDISVSPKKQVASKKECMAASPRKLGKENSFDGDSDSKVDSQNLTSFSNENKSKKMKREGLKELYYGNEDHEASLKKNSPKKPKVLNEASKKKVKGNGKDSGCVSDKNNSKTGVQMDDPSCPSKGDETKCAKSKKAGVLNGVKIPGEISKKREPTISDEESREKLKLKEKFKGDFMEEKNSKMQVLESNTISPVGNKKNGVKSEDPGGLIGFENDNTSAELKSDTEPRKNKKCTTQVLDKNFDMDIQLPQGTSLITVAGVDLPPQDVGHALQFLEFCAAFGLVFDMKKGQAESVIREIIRGRGRCRLQYSPLAQLHTQLLSLIQKDMGKKFPSLRTSDHTSWFRALGQCVSESQCALEEVPSNFFDKGVDAYNMLDSSTKLKLLNFLCDEALCTITLRSWIDKQNLEFVDSEKEAKEKILAARDKEKQLRQKMQDEVAKVITGRSGAPVSVSEHETLVAQMKREAAQAHADVLQAMGMLPKKRQRSDAVRTAPIVLDVNGHAFWRLRGYTSEPYILLQDIGTLDPVAPDEKWFAYDVKQKAHVEKYISSIRTKRLRIQKLSDSLPIASVETNS >EOY03914 pep chromosome:Theobroma_cacao_20110822:4:20350378:20359162:1 gene:TCM_019127 transcript:EOY03914 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein xp-C / rad4, putative isoform 1 MKVTCESDNGNPSGSIHDAGTLAGISQEGVNKLLRRANRRGSSRKEEKNEYLQKNDPKTNEQVVHTMIVQNASMAEGCSRNAVGSSQLEVDVGSYVDNLFDDSEDMNDSDWEDGSIPKLDPVDNSPKERMKGLTIEFDEPSGSAGRKPVRRASAEDKEIAELVHKVHLLCLLARGRLIDNACDDPLIQASLLSLVPTHLSKISGVSNITSNALSPLVTWFHNNFHVRSLVRAERSFHTALAFALETREGTPEEIAALSVALFRALKFTARFVSILDVASLKPEADKCEPSSQEANRVGGGIFSTSTLMVANPKEVSSSSYPVKSFSCSEKDGHCENSLRSSCKSKGGCPTSNDTQSRYSTAVDEVTDRTSNLFACQAQLDTYGQCAPTKSQGLKRKGDLEFEMQLAMAISATTVGTLENSAGSLDVSNFNGNNSLDASTPSKRWKKIHRVESATSSQGLSTALGSRKVGSPLFWAEVYCGGENLTGKWVHVDALNAIIDGEQKVEDAAAACKTALRYVVAFAGRGAKDVTRRYCMKWYKIAPKRVNSIWWDAVLAPLRELESGATGGTINMEKLHNNASNEQEKIKASGMSEYPGTDSPSNHVILPEKSGQEAFKEYGSKSEVESSTKHSLVATRNSLEDMELETRALTEPLPTNQQAYKNHALYALERWLTKCQILHPRGPILGYCSGHPVYPRTCVQTLKPRERWLREGLQVKGNEIPAKVLKRSAKLKKVQVSEEDDYEEIDSKGTIELYGKWQLEPLCLPHAVDGIVPKNERGQVDVWSEKCLPPGTVHLRLPRVFSVAKRLEIDYAPAMVGFEFRNGRAAPIFDGIVVCSEFKDAILEAYAEEEERRVAEEKKRNEAQAISRWYQLLSSIITRQKLKSYYGDGSSSQASRNIQDKNNEINAPDESSKDDRQSTGLWKGDGEDTLCNIPSGTLVEDHEHVFLRENESFDAENSVRTKRCHCGFSIQVEEL >EOY03915 pep chromosome:Theobroma_cacao_20110822:4:20350378:20359162:1 gene:TCM_019127 transcript:EOY03915 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein xp-C / rad4, putative isoform 1 MIVQNASMAEGCSRNAVGSSQLEVDVGSYVDNLFDDSEDMNDSDWEDGSIPKLDPVDNSPKERMKGLTIEFDEPSGSAGRKPVRRASAEDKEIAELVHKVHLLCLLARGRLIDNACDDPLIQASLLSLVPTHLSKISGVSNITSNALSPLVTWFHNNFHVRSLVRAERSFHTALAFALETREGTPEEIAALSVALFRALKFTARFVSILDVASLKPEADKCEPSSQEANRVGGGIFSTSTLMVANPKEVSSSSYPVKSFSCSEKDGHCENSLRSSCKSKGGCPTSNDTQSRYSTAVDEVTDRTSNLFACQAQLDTYGQCAPTKSQGLKRKGDLEFEMQLAMAISATTVGTLENSAGSLDVSNFNGNNSLDASTPSKRWKKIHRVESATSSQGLSTALGSRKVGSPLFWAEVYCGGENLTGKWVHVDALNAIIDGEQKVEDAAAACKTALRYVVAFAGRGAKDVTRRYCMKWYKIAPKRVNSIWWDAVLAPLRELESGATGGTINMEKLHNNASNEQEKIKASGMSEYPGTDSPSNHVILPEKSGQEAFKEYGSKSEVESSTKHSLVATRNSLEDMELETRALTEPLPTNQQAYKNHALYALERWLTKCQILHPRGPILGYCSGHPVYPRTCVQTLKPRERWLREGLQVKGNEIPAKVLKRSAKLKKVQVSEEDDYEEIDSKGTIELYGKWQLEPLCLPHAVDGIVPKNERGQVDVWSEKCLPPGTVHLRLPRVFSVAKRLEIDYAPAMVGFEFRNGRAAPIFDGIVVCSEFKDAILEAYAEEEERRVAEEKKRNEAQAISRWYQLLSSIITRQKLKSYYGDGSSSQASRNIQDKNNEINAPDESSKDDRQSTGLWKGDGEDTLCNIPSGTLVEDHEHVFLRENESFDAENSVRTKRCHCGFSIQVEEL >EOY04114 pep chromosome:Theobroma_cacao_20110822:4:22080926:22082343:-1 gene:TCM_019370 transcript:EOY04114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNVTTLVSFGRVSKGLVVVASVANESLTWCREHPPMGDDLVAASISSGRKLKNIGHYLAEVLAIREAMVLFAASSWAKIGGIIVECNSMNAVTWLTKPPNAPWRIRQLIFQIGALRDKVLNWQIRYIPGSRNEVADNLAKTGIERPNDLIRILL >EOY03433 pep chromosome:Theobroma_cacao_20110822:4:15578019:15588165:-1 gene:TCM_018496 transcript:EOY03433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein, putative MASSMITFIILGLWTTIVVLSSASTIIGTKLSLELEAQALLESGWWSSYNNLISNRCNWPGISCNNDGSITDIYPPPNIVKVGVKFGKMNFSSFPNLVHLNLNDHGLNGSIPPEIVTLSKLKNLYLNWNYLTGELPSLENLSQLAELDFSHNEISGSISQELGNLKSLVLLRLSWNNLTRSIPSALGFLSNLTHLEMRSNQFYNYIPPEIGELKNLITLDLSNNKLVGLIPSTLGKLTNLTTLNLSNNKLVGSIPSTLRRLTMLTSLDISNNMPVGSISSTLSQLIFLETLNLSNNILKGPIPQKIGNLRALYSLDVSKNKLNGPIPFQIGYLRKLISLDLSKNQLNGSIPSQIGYLRRLISFNLSHNFISGEIPLPLRNLSHLDNWDLSYNKLSGIAPIFLTSFPDIHKNYIGHNCYKIYSKSLVGNKDLSPYTCSLITRRKRILNHLKIFLPLTVFPAFLIYGHLLFSRSNLKSNNGMQESKTGNLFSILNYDGRITYEDIIKVIEDFNTRYCIGIGGHGSVYKAELPSGKTVALKKLHRLNAEDPNFDKSFMNEIKFLSEVCHRNIVKLHGFCVHQRSMFLIYEYMERGSLRSVLTKDVEAIELDWSKRVDLIHGIAHALSYLHNDCSLPIVHRDLSSHNILINSNLEAFVADFGAARMLDLDSSNQTILAGTYGYVAPELANTTTITKKCDVYSFGVLALEVLMGRHPQELLSLFPSNSLLQNIMLNDILDPRLSPPTSHLDTQKIVLVATIAFACLPMEPKSRPTMKQVSHEFLCCQRSLAKPLGEISLLQLVASEMDVEKELCN >EOY05760 pep chromosome:Theobroma_cacao_20110822:4:29702335:29710844:1 gene:TCM_020679 transcript:EOY05760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase interacting family protein, putative MATLLHSESRRLYSWWWDSHNSPKNSKWLQENLTDMDTKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATVELRHAHRTMAEAFPNQVPFVLADDSPSGSSGLEVVPHTPEMPHPIRAFFDPDDLQKDAVGLSSTFHAIKKSAGNLEESDSGISKRGLKQLNEIFGSGIVPPNSNIAEGRMKKGNGGEAEESEQGGVFQLSIENQNLKTRVLPESERAGKAEIEAQALKKTLAEIQAEKEAVLLQYHQSLKKLSSLERELNEAQKDAGNLDERAGKAEIEIKVLKESLTKLEAERDAGLHQYNQCLERISCMENTISQAQEDAKGLSDRAFKAEIEARNLKIELSRLEAEKEAGLLRYKQCLDMISALENQISLAEENAKMLNMQTERAESEVKALKEALAKLKEEKDTAAFQYEQCLKTITKMESEISCAQEDAKRLNSEILVNAEKLRSVDEQRFLLERSNQSLQVEADNLVQKIAIKDQELSEKQKELEKLQTSLLEEHLRFVQVEATLQTLQELHSQSQEEQRALTLELQNRLQMLKELEISNTQLEEDIQQVQGENQSLNELNSSSAISIQNLQDEIFSLKELKERLECEVALQIERSNVIQQEVHKLKEEIEVLSSAYQALIQQLLSVGLNPECLESSVKELRDENSKLKEECGKHRGETEILYEKLRDMDSLLEKNAVLRSSLSELNGKLEGSRELVQELQKSRGFLQGEKSSLFAEKATLLSQLQMMTENMQKLLEKNTSLESSLSCANIELEGLRSKSKSLEEFCQYLKNEKSNLVNERESLISNLVNVEKRLCILEFRFDKLEERYADLEKEKESTLSQVEELRDSLSVEQQERACYVQSSESRLADLENHVHLLQEESRLRKKEFEEEMDKAVKAQVEIFILQKFIKDLEEKNLSLLIECQKHVEASRLSDKLIRELESENLEQQIEGEFLLDEIEKLRSGIYQVFRALQFDPVNGHRDVIESDQIPLSHILDNVEDLKSSLSRNNEEKQQLLVENSVLLTLIGQLKLEGTELESESRTLQYEFEIVGKQNAMLQKNKQELVEMNQQLMLEGREGKLEKEILNAELETQHEKLKSMQGACLLLQEENFKQLEENRLLLKKFLDLKEDMHILEDENNVALQEAVALSSLSLVLETFGAEKANEVKALAEDVSGLQVINTELKEKVGKLEEKLDKKEAENLHLNGTFEKLHKELYAVKDLNDQLNYQIIIGNDFLKQKTIELSEADQKLQAAHNLNAELSRILEELTRECEESKQIRENLEKQILKLSKDSKEQKMELQHLREVNENLGSEVFTLQKEIEEQKLHEEYLSLELQERCNEFELWEAEAASFYFDFQVSAIREVLLENKVHELTEVCVTLEEESALKSAQIGQMKEKVEFLESEIGGLKVQMSAYVPVIASLRDSLTSLEHNAHLQPKLCVPSYDNDKDVEMADDLHEMSFEKVKEEQSSFLTAGISELQEMHTRLKAVEKAVVEEMDRLVMQESNRNSYYIEASVNGIEPSYQEKNIKKKDMQPSDELAENLKSKKMKPEISELRNGILLKDIPLDQVSDCSLYGRSKKENGTADDQMLELWESAEHECGVDSTMSDMQKRAIVPGEIIACHPFNGVEQKNDDLSLGTQVEKELSIDKLEISTSIREPKKGVKSRKVLERLASDAQKLMTLQTTVKELKKRMEIKKRKKAYDLEYGQVKEQLQEVEDAITELVNVNSQLTKDVEESPSSSGGTNSAELEEAGNSCWKKVRDQAQRGSEKIGKLQFEVQSIEYVLLKLEDERKSNGKNRTGILLRDFIYSGGRRTGRRKKACFCGCARPSAKGD >EOY04715 pep chromosome:Theobroma_cacao_20110822:4:25606828:25608429:1 gene:TCM_019904 transcript:EOY04715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIKRMVFDWEVDIWEQFKECLQRIHLDCDFNDKLIWEHTPSGCYSTNSFCRSILDNNESTVDLSRNVWIAFAPPKVEGTSQGKCFRECPPRRWFKFNTDEAGAAKGCRGQMGIGGVLRDEKRAIKMVFSKCTGWDDSNIAEAMASHEAMMLFAASSWASTCVVIIESDSKNAVMWVASPIVSPWKLQNLVLQIKALKEKVSSFIKYSQIK >EOY06344 pep chromosome:Theobroma_cacao_20110822:4:31614339:31614533:1 gene:TCM_021096 transcript:EOY06344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 KFIKSLSKLYWVIELLLVGSCFVPVCRERNKTK >EOY04029 pep chromosome:Theobroma_cacao_20110822:4:21568433:21572381:-1 gene:TCM_019285 transcript:EOY04029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein MAQNSGGGGGGNSGCGGGGANKKVEEPQAHPVKEQLPGIQYCINSPPPWPEALVLGFQHYLLTLGITVLIPSMIVPQMGGGNAEKATVIQTLLFVSGLSTLLQSFFGTRLPTVAVGSYAYLIPVTSIIQATRYKSYLDPYERFVWTMRGIQGALIIAACFQSVMGFLGLWRNAVRFLSPLSVVPYVTFTGLGLYHLGFPMVAKCVEIGLPAIIVMVFISQFLPRYIESKRPIYDRFAVLFSVAITWLFAQLLTSASVYKHKPENTQISCRTDRVGLLSSAPWIYIPYPFQWGSPTFNPGEAFAMMAASLVSLFESTGTFFAAARYGSATPVPPSVISRGAGWLGIGVLLNGLLGSVTGTTASVENTGLLALTRVGSRRVIQISAGFMIFFSIFGKFGAFFASVPLPILAALSCVLSGCVSSAGLGFLQFCNLNSFRTKFILGFSFFMGISVPQYFREYYHGGWRSAHNPGWLNDIVTVMFMSHTTVAALVALFLDVTLCRENDETRKDSGLKWWEKFSLYKSDVRNDEFYALPCSLNKLFPAL >EOY06865 pep chromosome:Theobroma_cacao_20110822:4:33181904:33183747:-1 gene:TCM_021460 transcript:EOY06865 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor A1B MRLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIITTIPTIGFKVETEEYKNISFTVWDVGGQDKACYNILGLVSIVGSPERT >EOY04438 pep chromosome:Theobroma_cacao_20110822:4:24307987:24314095:1 gene:TCM_019689 transcript:EOY04438 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 70, putative isoform 2 MSSGRRKAIEELARGRDLTNQLRDLLTKSFGDDGLLGSEDLVTKILNSFANTLSILRSSSGDYDEVSQNPRNSNMSWDGRKSEESGESIKSSTQKDRRGCYKRRKSEHSWTRDSPTLIDDGHAWRKYGQKVILNAKHPRNYYRCTHKHDQGCQATKQVQQIEDDPPKYGTTYYGHHTCKNLLKASQLILDSTSKDSSILLSFANTNKQDNSMFSAFPPVKQESKEDMPSDITYNLSTSSPDYLLSPDHLTTFESSAQMTVLSAADHADVISGVVDSVDLDDLLEF >EOY04439 pep chromosome:Theobroma_cacao_20110822:4:24311728:24313609:1 gene:TCM_019689 transcript:EOY04439 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 70, putative isoform 2 MSSGRRKAIEELARGRDLTNQLRDLLTKSFGDDGLLGSEDLVTKILNSFANTLSILRSSSGDYDEVSQNPRNSNMSWDGRKSEESGESIKSSTQKDRRGCYKRRKSEHSWTRDSPTLIDDGHAWRKYGQKVILNAKHPRCTHKHDQGCQATKQVQQIEDDPPKYGTTYYGHHTCKNLLKASQLILDSTSKDSSILLSFANTNKQ >EOY03805 pep chromosome:Theobroma_cacao_20110822:4:19427021:19445463:-1 gene:TCM_018989 transcript:EOY03805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEDRGALLVRVDDSEERFGNLSSVYKPRSAYRVTRSINPAPSILAETFRSLNFCRRKGEGRFIGCAQLLTIWIKSHFECKESKFRKLYLSASCPILEFCESEWPDYKRKEEWVVRLQRLMSIEVTWRAPWMPRMQVMYKCGDKPWVPLMGPWGAISYAPIMDWKKTCRVDQGRVTDEVTTGYHTWHDQRVKNVIHPPNDPSKHPVNPEPQDVLLESELTRKKLEKEMMNMKRRHEDELEEVKKETTRKHEVQRKGQTIQELKNDCDMLETAMEGYKAQYEAVRQEYFQIRERNNSCTQSLQRKETEMQWILRQMREVAFRARVMADKTEELRREILPKDELSERLISHLKMVRDQYDKNKIPEKQILHRYNTRARSKIMGDEHSERMDKIEKKQEEIMGQLSKILELISTDKGKKAAGSSGTPEDVQQTETNTDPVYPPGFTPPPARNASIPMPSVGQYPFFGMPMGPPPTYAQQRPIGGASPSDPISVPDLDDPKEQEKLKYGSVESKDNPDTHQKFDLFEERLRMVEGMGMYCSMDAIELCLVPDVVIPPKFKVPDFEKYDGTKCPLQPHNKQHLQTTILPVNQEDGETSKRKCSLTQSQSLMLNSSLKSAVNMISKESTHPMKIKPLTIFYEPKGEFVEDKNRAKMIIEVPKPFPYKDNKAVPWNYNCNVQVSEAKKWIAESQDDAANITAVGGITRSGRCYSPEAFENLKNEKGEEKEQSPRREEFIKHSEYNVVEQLNRLPARISLLSLLLSSEPHRNSLMKILNQAYVDHDISVENLDYIVGNILVGNIISFSDEEIPSGGRGNYKALHITTKCKGCTVAKVLLDNGSSLNVMPMRTLARLPINMSYMRKSQMIVRAFDGTRREVVGDIEIPVEIGPCTFTIEFQVMDIAPSYNYFLGRPWIHMAGAIPSSLHQKVKFIVEGKIVCVNGEEDLLISKPADTPYVEATEEVPECSFRSFKFVNTTVSHLAWTAHRLRQYMLYHTTWLIAKLDPIKYIFEKPSLSGRVARWQVLLSEYDIVYVSQKAIKGSAIADFLAERVEEDYEPMEFEFPDEDLMSIRQTSGEESEKENWKMFFDGASNALGHGIGVVLVSPEGDHYPVIAKLNFYCTNNVAEYLCHGHSSSNREENSHFGSVWGLCFGYLSVARRMGDT >EOY06612 pep chromosome:Theobroma_cacao_20110822:4:32460298:32461096:-1 gene:TCM_021277 transcript:EOY06612 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLP-like protein 423 MGVTSFTQEFTCPIAAARVFKALIIESNTLIPKLLPQFIKSVDVIQGDGGAGSIEQVNFTEASHFKYVKHRIDELDKENLMCKYTMIEGDALGDKLECIAYEVKFETISDGGCICKMTSNYHTLGEFEIKEEEIKAGKDKAMGIYKVVEAYLLENPTVYA >EOY06275 pep chromosome:Theobroma_cacao_20110822:4:31476825:31479318:1 gene:TCM_021062 transcript:EOY06275 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MSKLTQKENGSLPAFLLVSSFIIHFFCFLESFLSLGAPYFHQNCFLRKSKRVYRTIEVMKKERIIQQLPTAAEEEAEIPILPQEIIVEILSRLPIKSLCQFRCVSKLWLSLISDPLLAKAHLKQTIKNDYFYSQRKRVIISSHNLYSLEYESIGKDASCDENLVASELDYPLKDNPNGLAELLDSAKDGFLYCERSEDDEFPVMVKLNLPCCVNPRNWVDILGSCNGLVCIAPDEDTLFLFNPSTRESKRIPDPPSEYAASGLSVYGFGFDFVNDDYKVVKLGSGTVCVYSLRTDSWRKVVSFPFDDNVYESGVLLNGAIHWMASRGDGADYECVVAAFSLEKEVFLDMSAPDVVDTSFEFVVGVLNGCLCVLHSRNQMHNDFWVMTKYGIGESWTKLTLSISYICMKPLCLAHSGEALLEVDGKLLLYNLEDDSFRYLEVHGIPAGDGFEADTYLESLISPNGYCRSGMQMQY >EOY05106 pep chromosome:Theobroma_cacao_20110822:4:27235544:27237559:1 gene:TCM_020198 transcript:EOY05106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase 16 MGSSCNGVSMLVLVGLVVNSLVVVAYAGDFYQDFDLTWGDHRAKIFNGGKLLSLSLDRVSGSGFQSKKEYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLSGDPYILHTNVYTQGKGNREQQFFLWFDPTRNFHTYSIIWKPQHIIFLVDNTPIRVFKNAESVGVPFPKSQPMRIYSSLWNADDWATRGGLVKTDWTKAPFTAYYRNFNAKACTWSGGASSCASTSSVSDGVWETNELDAPGRRRLRWVQKYFMIYNYCTDLKRFPQGVPPECKRPRF >EOY04123 pep chromosome:Theobroma_cacao_20110822:4:22132620:22134812:1 gene:TCM_019377 transcript:EOY04123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein MAFNNDLSVILPRVLIVSRRSVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVNGVHMLLDSFEPIHGVLLCEGEDIDPSLYEAETSGLSPEELEEIRRLHASDTAIDTEKDSIELRLAKLCLERNIPYLGICRGSQVLNVACGGTLYQDIGKELSRKLPENQRVVHMDYDNYDGHRHSVKIVENTPLQCWFKDSLDDGKMEILVNSYHHQGVKRLAQRFVPMALAPDGLIEGFYDPDAYNPEEGKFIMGLQFHPERMRRPDSEEFDYSGCPSAYQEFVKAVIAYQKKLNSSTSIPKPLKLNQEMENRRKIIVRSFSLAKNLYTAGGGMHPSKVSELQAGAEFLESNTALSVQQEKRLKQMGATVRNGTNYIEKLKINEERERLARHVMGKMPVEQLSDLMSFYHMMGQICSEVLERKLHGIVNDISD >EOY02643 pep chromosome:Theobroma_cacao_20110822:4:1651901:1656111:-1 gene:TCM_017061 transcript:EOY02643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 5, putative isoform 1 MDSSDPDILTQGQNLDIENELQESNQVDVDVCQECQSLEACSVEKSQEVTESESDSQSPNASSVDKSEVEINADECQTAQVPIVNKCEIDVDGEQNSQSPNALSVDVLEARVSVKDEDDIFVVPAVGMEFESEEHAHKCYSRYAVLEGFSIRKDFVNKSRVNGAIVSRRYTCYRQGYRPGKHTVNVRKPQQEMRTGCLAHMTIARQPNGKFRVSHFETKHNHEFVNPSTAHLLPSQKRLTFAQAVEADLASSSGMDGVPKLGMGFKSEDHAYEFYNTYAGRVGFSVRKDYVNRSKIDGAVASRRFTCFREGFRQKDKRDLNVKRPRKETRIGCLAQLVISRQPDGKYRVTHFEEKHNHELVAACRVRMLRSQKRLAVARIVEGNALESYKIQAKSAYEVSCNSIGDCVDHGYDPIDHRSKLSSKRTRDMREGEAERIQQYFQSRKIKNRSFFYAIQLDAENQIANIFWADAKMIMDYSDFGDVLCFDTTYMLNKDCRLFSPFLGVNHHKQMVIFGAALLYDDTVDSFKWLFQKFLEAMSGKNPKTILTDEDAVVSEAVNSIFPEIHQRMCVWHVYQSALKQLGDKFVGPSFVNDLSSCFFNHEEEEDFIAAWNIMLDVHGLWENDWLNKIFETREQWAIAYKRHIFCADINSVQLHESFIVNLKKYLKPESDVLSFFKHLGKVVNDWHYKELEANYDMSQNMPRLMGDVILLKHARDAYTPRIFELFQQEYEMCLNIVINQCIESETAFEYKVSIYGQPREYAISYNLADNTVFCSCMKYEFMGVLCSHALKVLDYRNIRLLPSQYILKRWTRDARV >EOY02644 pep chromosome:Theobroma_cacao_20110822:4:1651901:1655999:-1 gene:TCM_017061 transcript:EOY02644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 5, putative isoform 1 MDSSDPDILTQGQNLDIENELQESNQVDVDVCQECQSLEACSVEKSQEVTESESDSQSPNASSVDKSEVEINADECQTAQVPIVNKCEIDVDGEQNSQSPNALSVDVLEARVSVKDEDDIFVVPAVGMEFESEEHAHKCYSRYAVLEGFSIRKDFVNKSRVNGAIVSRRYTCYRQGYRPGKHTVNVRKPQQEMRTGCLAHMTIARQPNGKFRVSHFETKHNHEFVNPSTAHLLPSQKRLTFAQAVEADLASSSGMDGVPKLGMGFKSEDHAYEFYNTYAGRVGFSVRKDYVNRSKIDGAVASRRFTCFREGFRQKDKRDLNVKRPRKETRIGCLAQLVISRQPDGKYRVTHFEEKHNHELVAACRVRMLRSQKRLAVARIVEGNALESYKIQAKSAYEVSCNSIGDCVDHGYDPIDHRSKLSSKRTRDMREGEAERIQQYFQSRKIKNRSFFYAIQLDAENQIANIFWADAKMIMDYSDFGDVLCFDTTYMLNKDCRLFSPFLGVNHHKQMVIFGAALLYDDTVDSFKWLFQKFLEAMSGKNPKTILTDEDAVVSEAVNSIFPEIHQRMCVWHVYQSALKQLGDKFVGPSFVNDLSSCFFNHEEEEDFIAAWNIMLDVHGLWENDWLNKIFETREQWAIAYKRHIFCADINSVQLHESFIVNLKKYLKPESDVLSFFKHLGKVVNDWHYKELEANYDMSQNMPRLMGDVILLKHARDAYTPRIFELFQQEYEMCLNIVINQCIESETAFEYKVSIYGQPREYAISYNLADNTVFCSCMKYEFMGVLCSHALKVLDYRNIRLLPSQYILKRWTRDARV >EOY04566 pep chromosome:Theobroma_cacao_20110822:4:25030977:25040598:1 gene:TCM_019795 transcript:EOY04566 gene_biotype:protein_coding transcript_biotype:protein_coding description:LETM1 and EF-hand domain-containing protein 1 MASRAILRRKRFISDYLNASSRSVQTFQSLGHPTQKSKSCGYRSTVDHPSEDFNHVKKLDVNSVAKQGLLGFSGLGSFSFRFQGISVLGLGSARFQFNSPLSVGLMSYSVRHASTATAKQSELGSDDEGDEELVAKKRKEASPEECDQAVEGLSTAKAKVKAKQLQDSQKVAKSVLQRVWATILGIGPALRAVASMSREDWAKKLVHWKHEIRSTLQHYWLGFKLLWADVRISSRLLLKLAGGRSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLHARIEYAKFLQDTVKEMAKEVQNSRSGEIKKTAEDLDEFLNRVRRGAGVSNEEILAFAKLFNDELTLDNISRPRLVNMCKYMGISPFGTDAYLRYMLRKRLQWIKNDDKLIQAEGVESLSEAELREDCRERGMLGVFSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVSGKLKPEDAVRATLSSLPDEVVDTVGVTALPSEDSVSERRRKLEYLEMQEELIKEEEENEEEELARMKESKASEEDVALKEMTIPTAREAQEQAVARTLEKREQLCELSRALAVLASASSVSREREEFLGLVNKEIELYNSMVDKEGTDGEKDAIKAYRAAREESDHSSEGSESDEVSSALIEKVDAMLQNLEKEIDDVDAKIGDHWRLLDRDHDGKVTPEEVAAAAIYLKDTLGKEGVQELISSLSKDRDGKILVEDIVKLGSQTEDENSVEEGRM >EOY02976 pep chromosome:Theobroma_cacao_20110822:4:3275722:3278082:-1 gene:TCM_017367 transcript:EOY02976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSSPHPDVFCLQWKRLRKCLKQTCFLLVPGDSSEGTPRSSTQPSPTFNLSREYTLAVQTNSYNEMRSRIEERVQVEDVLENGDTHHLILSQMLQPNRDCVAQALRHTNPKATLTRLVSTYFEHSENITDLCLLLCQCVDRARTLYSPITELLQVFPYELNSISQAQCNWAFDVFQQFYSLDNPFPRPDSHNFNEMRCSFSQLKEQLDHRINKSHSRVRFLHRATTGSAICLIGTVVGVVVSAVVISTNALASIVGLVATPLCLVYVPTDLRRKQLAHMAQLDVAKKGTSVHNYDLDTIDRLVALLYTSVEADRQLIRFGLERDRDIYPIHEVVKHLRGSHDNFLDQLKELEEHICLCFNSVNKFRAKLLDQIHHHQSTYS >EOY02975 pep chromosome:Theobroma_cacao_20110822:4:3275651:3278220:-1 gene:TCM_017367 transcript:EOY02975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MQCLSFKPSPPPTSPQTQTIDLNSCPPASQGDSSEGTPRSSTQPSPTFNLSREYTLAVQTNSYNEMRSRIEERVQVEDVLENGDTHHLILSQMLQPNRDCVAQALRHTNPKATLTRLVSTYFEHSENITDLCLLLCQCVDRARTLYSPITELLQVFPYELNSISQAQCNWAFDVFQQFYSLDNPFPRPDSHNFNEMRCSFSQLKEQLDHRINKSHSRVRFLHRATTGSAICLIGTVVGVVVSAVVISTNALASIVGLVATPLCLVYVPTDLRRKQLAHMAQLDVAKKGTSVHNYDLDTIDRLVALLYTSVEADRQLIRFGLERDRDIYPIHEVVKHLRGSHDNFLDQLKELEEHICLCFNSVNKFRAKLLDQIHHHQSTYS >EOY02631 pep chromosome:Theobroma_cacao_20110822:4:1619600:1622684:1 gene:TCM_017055 transcript:EOY02631 gene_biotype:protein_coding transcript_biotype:protein_coding description:AHP1 [Source:Projected from Arabidopsis thaliana (AT3G21510) UniProtKB/TrEMBL;Acc:A0A178V856] MPLRLFWSLILGLVIFVNHSSIFITCMLSMLPRTHSIPLELQSVKALWHGQFLPLQQLQDESNPDFVVEVVFLFFDDSKKLLNDLTIAFIAAQRVKNACIAFCNFFEEQNIATCLRCLQQLYAIFV >EOY02885 pep chromosome:Theobroma_cacao_20110822:4:2806321:2813752:1 gene:TCM_017287 transcript:EOY02885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLEKCDTIKQVFKREMRESQFRHMNSRHFERARRVKIGGTVRPNDRLNYRHWGKEEMGKWRNSLFTIFVGNLCPSIEWQEIKNWFNRFGVVVDVYLLRLKTEAPQDLPLSDTDKAHDSKEGTREKVGPRSYKDVLKAGVNTNYCNEQRLNIVEKKFDAKAESVGTSKESIKETERSMELVGKMREQKEGKEAGPFKAWIECSVQLAKAKSDWLNRSAVGRPRVGLDFAMVLVEVTSKLKITLRNKVNVDGVEYWIRAVILGTSDLSNVDNDDNKSSTKNKNNGEAGFMAPSTVEEKQADFDCVGASWKVDRCQRKLVEQETIRLTRGESRYDGIGLGGQLLVESLTRCDLEVNEVDKVKQYGGGKVILNSKEKGEFREGLKRNKEGRPSIGSGPRKINGLNFEDKKRLIKEVRIKRDQDGLKPKPCAVEGIEWKFTDNAQMHPAGGLEGRNMTNASLVSAIRTQPCESCCPNRKKRCECWGRAQLRETEPELVKKKLHKEKGNHNSTKDGALIKENEPNSVEKQSSRKKKWKKEARGGKGIKVNDVTVEVEQLTENTHKKDEGKGHKVAKRVKIGMVLVEGKVGECSNRLQFNWDDIVNLNEEVRVCMGGLKANSRTTSVTFGDQMRVIREKIRGIISSKVNRKISKGESKTESTNGSVRGNDQAGEEAEATWNLSRELGVQYKLNRAEVVSFFHEMEREANGQRGLGRVEKKRALRKLIKAEKPNMVFIQETKLELISRSLFDRLWKDDEIEGKAIEAEGRSGGILSLWQKKFFVLEGCKTEKHFIMLIGRVNGIECKCGFINIYALNNEVKRKELWDELSEQQPIWRSGWILGGDFNTVRFEDKRIRIGSIGRSAAHFDKFINFTGLVYLLLTGAKFTWCNNWQVAAFSKLDKFLVDVNFLERFDMYCKPVYRVLYRIIDLGKGIEAETRVKWVNEEDRNTKFFHGMASARKRSNYIDKVKVGERYVEDPAEVKNIIAAHFESLFKLGGGIVKGKMMEFVNHFFTTGKLEPGVNNSFITLIPKVRNPVKMKDYHPISLVDKLYKIMAKILVNRIKGVIGDVVGNNQFAFVKGRQLIDTVLVANELIDLIKKEKTEGLILKVDFEKAYDYIDWGFLDFIMAKMGFHEKWRGWIHECISTVHMSILVNGSPSKNFRMRRRPSPRNGLTVSHLQFADDIMIFCYPELKQLRNIKRVLRVFQSMSGLKINFAKSSLTGIDMELEVMEEWANLVGCGRDSLPTSYLGLPLGANHRSQQLWRPVIQKVQNRLVGWQSKLLFMGGKITLMRSVLSNLPTYHMSIFPMPIGVQPFFDLAFGDGENIRFWLDHWTENGCLKEMFPRIYALAENKCRVVKEFGQWENGIGNIRPLTIWERPGTGTVKFNVDGAANGCPVETGIGGLLRNENRKIRHTLREGNKEADLLANEGVNREVDLVKIYYPRKVCC >EOY04649 pep chromosome:Theobroma_cacao_20110822:4:25345539:25353662:1 gene:TCM_019851 transcript:EOY04649 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acetylserine lyase B isoform 1 MAAAASSSLFFNPLTSPFLDSKKKPSSDLSPDTLKLGFFGPTTNSATASLRLKKPDYGKGSSSGFSVVCKAVSVKPDTDIEGLNIADDVTQLIGKTPMVYLNNIVKGSVANIAAKLEIMEPCCSVKDRIGYSMIADAEQRGVITPGKSILVEPTSGNTGIGLAFIAASKGYQLILTMPASMSLERRVLLKAFGAELVLTDSAKGMKGAVQKAEEILKSTPNAYMLQQFDNPANPKIHYETTGPEIWEDSRGKVDIFIAGIGTGGTISGVGRFLKEKNPKIKVIGVEPTESNILSGGKPGPHKIQGIGAGFVPRNLDQDVVDEVIEISSDEAVETAKQLALQEGLLVGISSGAAAAAAMKVGKRSENAGKLIAVVFPSFGERYLSSVLFQSIRDECEKMQPEP >EOY04650 pep chromosome:Theobroma_cacao_20110822:4:25345759:25354208:1 gene:TCM_019851 transcript:EOY04650 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acetylserine lyase B isoform 1 MAAAASSSLFFNPLTSPFLDSKKKPSSDLSPDTLKLGFFGPTTNSATASLRLKKPDYGKGSSSGFSVVCKAVSVKPDTDIEGLNIADDVTQLIGKTPMVYLNNIVKGSVANIAAKLEIMEPCCSVKDRIGYSMIADAEQRGVITPGKSILVEPTSGNTGIGLAFIAASKGYQLILTMPASMSLERRVLLKAFGAELVLTDSAKGMKGAVQKAEEILKSTPNAYMLQQFDNPANPKIHYETTGPEIWEDSRGKVDIFIAGIGTGGTISGVGRFLKEKNPKIKVIGVEPTESNILSGGKPGPHKIQGIGAGFVPRNLDQDVVDEVIEISSDEAVETAKQLALQEGLLVGISSGAAAAAAMKVGKRSENAGKLIAVLSFQALASDTSPLYFSSLFEMNVRKCSRNLKVSCIF >EOY03181 pep chromosome:Theobroma_cacao_20110822:4:7006701:7014305:1 gene:TCM_017766 transcript:EOY03181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALQKPIVAEGQSTNRPPLFDGYNYSYWSTRMSICIRGIDYEMWDVITNGPFIPSTLNVVTNEMILKPRFEWTEAETKRVQTNFKAINTLHYALTPTEFNKVSSCTTTKQL >EOY03746 pep chromosome:Theobroma_cacao_20110822:4:18951549:18952173:-1 gene:TCM_018911 transcript:EOY03746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVIVGRGLTLGAVITLMILLDVSRIRAIGASLTEFNGSGRFATIAANMELEFLMDSEIGRMLASENQYVTESTRDPQNPAANCGRGKPYRSCIPPVNKDQKVQEKCSTYKRGCPTPSR >EOY05892 pep chromosome:Theobroma_cacao_20110822:4:30196812:30197904:-1 gene:TCM_020782 transcript:EOY05892 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAMV movement protein interacting protein 7, putative MSTLISSLKETAQSLTAIRRPWREFLDITAVDLPSSFSDVTTRIAQNLTHFRLNYTVILLLILFLSLIYHPLSLLTFLVILLAWFFLYFARDREEPVVIFGFTIDERLVIAALFGLTVAGLVLTGVWLNVLGAVAIGASMVILHAALRSTDDLVMDDLESPYGHVLAGGDEELDSPRGDYSGI >EOY03965 pep chromosome:Theobroma_cacao_20110822:4:20946962:20947828:1 gene:TCM_019198 transcript:EOY03965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALPKRKLLSIFYMLSFVSLGAKRFPNCFLLSSTRTSKAPQSSPITSFKRTRFNPSESVSLAYVEVITSQERSYLTPS >EOY06620 pep chromosome:Theobroma_cacao_20110822:4:32480639:32481417:-1 gene:TCM_021286 transcript:EOY06620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKQLQKNTRNIDKAIQQGFAALDLNPELRMVQKYTAAYLVHKFDLMLCFCQKMKMDDTEVLYSILFIEDCFSVDAGTIKKHYKEVALLVHPDKNDLIAAEEAFKIIRKAWETLLFDHNKRRIT >EOY02427 pep chromosome:Theobroma_cacao_20110822:4:770537:782051:1 gene:TCM_016904 transcript:EOY02427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypeptide deformylase, putative isoform 1 MACTSWLHLHSTSLTRVFIPIPHHPTALSTAFLHRLNRFTSPARFTSSLNQTNPQLTPVHAQAKRGFLSKDDEVASMEDLRFDSPLKIVEYPDPILRKRNKRIDTFDENLKKLVDEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPVGERGEGQEIVLVNPRVNKYSKKTVLFNEGCLSFPRIYADVERPESIKIDARDVNGARFTVNLSGLRARIFQHEFDHLQGILFFDRMTGEVLDSIRAQLEALEKKYEDTTGLPSPEKVETQKRKKAAAGFGKS >EOY02425 pep chromosome:Theobroma_cacao_20110822:4:770841:774339:1 gene:TCM_016904 transcript:EOY02425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypeptide deformylase, putative isoform 1 MACTSWLHLHSTSLTRVFIPIPHHPTALSTAFLHRLNRFTSPARFTSSLNQTNPQLTPVHAQAKRGFLSKDDEVASMEDLRFDSPLKIVEYPDPILRKRNKRIDTFDENLKKLVDEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPVGERGEGQEIVLVNPRVNKYSKKTVLFNEGCLSFPRIYADVERPESIKIDARDVNGARFTVNLSGLRARIFQHEFDHLQGILFFDRMTGEVLDSIRAQLEALEKKYEDTTGLPSPEKVETQKRKKAAAGFGKS >EOY02428 pep chromosome:Theobroma_cacao_20110822:4:770846:774246:1 gene:TCM_016904 transcript:EOY02428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypeptide deformylase, putative isoform 1 MACTSWLHLHSTSLTRVFIPIPHHPTALSTAFLHRLNRFTSPARFTSSLNQTNPQLTPVHAQAKRGFLSKDDEVASMEDLRFDSPLKIVEYPDPILRKRNKRIDTFDENLKKLVDEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPVGERGEGQEIVLVNPRVNKYSKKTVLFNEGCLSFPRIYADVERPESIKIDARDVNGARFTVNLSGLRARIFQHEFDHLQQGILFFDRMTGEVLDSIRAQLEALEKKYEDTTGLPSPEKVETQKRKKAAAGFGKS >EOY02424 pep chromosome:Theobroma_cacao_20110822:4:770537:774969:1 gene:TCM_016904 transcript:EOY02424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypeptide deformylase, putative isoform 1 MACTSWLHLHSTSLTRVFIPIPHHPTALSTAFLHRLNRFTSPARFTSSLNQTNPQLTPVHAQAKRGFLSKDDEVASMEDLRFDSPLKIVEYPDPILRKRNKRIDTFDENLKKLVDEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPVGERGEGQEIVLVNPRVNKYSKKTVLFNEGCLSFPRIYADVERPESIKIDARDVNGARFTVNLSGLRARIFQHEFDHLQGILFFDRMTGEVLDSIRAQLEALEKKYEDTTGLPSPEKVETQKRKKAAAGFGKS >EOY02426 pep chromosome:Theobroma_cacao_20110822:4:770537:774442:1 gene:TCM_016904 transcript:EOY02426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypeptide deformylase, putative isoform 1 MACTSWLHLHSTSLTRVFIPIPHHPTALSTAFLHRLNRFTSPARFTSSLNQTNPQLTPVHAQAKRGFLSKDDEVASMEDLRFDSPLKIVEYPDPILRKRNKRIDTFDENLKKLVDEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPVGERGEGQEIVLVNPRVNKYSKKTVLFNEGCLSFPRIYADVERPESIKIDARDVNGARFTVNLSGLRARIFQHEFDHLQGILFFDRMTGEVLDSIRAQLEALEKKYEDTTGLPSPEKVETQKRKKAAAGFGKS >EOY04878 pep chromosome:Theobroma_cacao_20110822:4:26297149:26299266:1 gene:TCM_020041 transcript:EOY04878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSMSLNLGTLSNALDSMLWCTKEDTDGSKLRACQKAELARLRSFWQAPSITTDFAILAQILLDNKQIVDFSNLSFISQILTGAVEGANVEEK >EOY04544 pep chromosome:Theobroma_cacao_20110822:4:24952342:24959989:1 gene:TCM_019781 transcript:EOY04544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVVGAVVEVILAKVISLAAEQISLALGFKEELTLLHDSLTIIQALLQDADRRQEEDRAVKLWLEKLRDVAYEADDVLDEFAYDVLRRKVEIQNRLMKKHILHLKRKVTKKKGKARHLETCIVLVKEEKLEQHQWK >EOY02500 pep chromosome:Theobroma_cacao_20110822:4:1129757:1134498:-1 gene:TCM_016964 transcript:EOY02500 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component family protein / Gaa1-like family protein MAETKETSEKDEKPKPRVRPIVRLGIFLISHSVLVSVVCCTAGVLALFLLPVLAKNTYISENALMPGSASPMLSYQHVSDGNRLVKDLTNLDLKSSETGIESQRVIAQYMLELGAEVSFHKFHAQLNQFHPLHFFSSPDSGVIQENFSCSSYGINTVGIIRAPRGDGKEAIVLVTPYNALKSGLGEAVSVGIAYSVFSLLTQVTWLAKDVIWLVADSRYGEYAAVAAWLRDYQIPKFSSLSTLNSEMCPDINNLYDLKVNSIAGSKYSNSFRRAGTMAAALVLKVGDQSEQYEDTISIYAEASNGQMPNLDLINVVNYLAVHRQGLRVQVEKLWSLLNSSWLKSLGEIFESLGKVAKSLNPEWKFGIPATEYVEGTATLASSLYYQALGVPTGPHGAFRDYQVDAITLEISPKYSLDKFRRNDILLRGGRLIEGVIRSVNNLLEKFHQSFFLYLLTSPSKFVSVGVYMIALALLIAPLPMVAASLYVDANSLDSKTNKSTPSTIADTAERGITIRSWRWLYSAKLVFAVHVWGAIVSLLPYFICQIPDCSSTISFMIWVVLSMISLLTLYSVLASPFSCNNASQEKEWALVKSVTISYVFIGLGLMSVINFATAEIGALLMVPMCLLARPLKFDLRAGSLRSFSRMVCNLVLGLIAFPPAAFFLLKGMLEDFGSVNIGDFWMWVESLWAWNSATYIFIGMVYLPCWVLCVHILLHTC >EOY02336 pep chromosome:Theobroma_cacao_20110822:4:468215:469938:-1 gene:TCM_016846 transcript:EOY02336 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein, putative MNGLNQTPSHSVITWFLMFVEIRRVTRDLPPAHYLFNIESFSLLVKTGVDYYESDAFEVGGHKWRLVLYPDGNKKSNGSGFISLYLQIEETDPLPRTWEVNVNFRFFVLDQIRDKYLTVEEGDGAIKRFYQMKTEWGIAQFLSLAHFSDASKGYLVDDSCTFGVEIFVIKHTGKLECLSMIQQPANNTITFKLDNYSKSYSDYYTSDVQTIGDSKWKLIVYPRGKGLWKGYSLSLFLELVEAYQLPPKRKVYAEYKLRVKDRYNSSNTKEFTATYWFTASSYQRGNLYFLSLWELQDTSKGYIVNDSLVVEAVITMVSKVKLFL >EOY04255 pep chromosome:Theobroma_cacao_20110822:4:23027318:23027652:1 gene:TCM_019511 transcript:EOY04255 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROTUNDIFOLIA like 21 MKMSISAAAMRASKKKISCRRLGGYIREQKGRLYIIRRCVVMLLCWHD >EOY03726 pep chromosome:Theobroma_cacao_20110822:4:18462252:18471451:1 gene:TCM_018855 transcript:EOY03726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein, putative MISPSKHPVLHFHISTPLLFIIFFFFSFLNYLKFTNANNIFLNCGTSTNSIRLDGHEWTGDSGTGSKFIASEQSNDTSIVSKSIKLESSIDPVPFRTARIFQSPFTYSFRVRPRQKFLRLYFNPRTYQEFPRSTAFFSVTAGPFTLLSNFSPSLTADSLGLQTLVKEFCLNIEENQVLNITFSPSSTPLGAYAFINAIEIVSMPTNLYYGGSGARRIHGSGLRNRFAVENNTALEMILRLNIGGGSILPANDSGLYRGWLEDSDYFRGSGDRLVNATVRIKYLKTAPYVAPANVYQTARSTNKQKSLSWNMTVDSGFTYLLRLHLCELQPEVTKHGSRKVLISIRYGKTEAEADVITWGAGRGIAVYRDYIVKVPNVGNSGNVDLVISLGNNTKLRNLNSDPILNGLEVFKLNDSEGNLAGPNPGSRTASIPSPLANKPKDRKSAFVIGWATLAAFSLILLSGLTIFCLLRKGKSNAKDKSVSPRGPCRRFTLDELRAATNNFDRELVIGNGGFGRVFKGCIDGETPVAIKALKPTSTQGSNEFEAEIQMLSDLRHPYLVSLIGYCDEGIKIIVYDYMPRGTLRDHLYSTQGPPLSWKQRLEICIGVARGLAYLHAKNPKIIHRDIKPSNILLDKNWVAKVSDFGLSRLGPTSLSRSHVTTGVKGTFGYLDPDYFQTNHLSVKSDVYSFGVVLFEVLCARPAVDLRQDDEQQSLAEWVRQCIKAGKLNRIIDHNLKGEIAPECLKMYASIALKCLNDDRHKRPTMAAVLKRLKHALELQESTDAASDEEIMSSNGMEIVLRPNNNSKVVIHSCPTFWNKTISHKELFRFVSDRTGMKWARPPALCGLKALCCAVPAYGALSFGGRMSNSSDCGLDGTPGRVMDPILLDDDDTFKL >EOY05539 pep chromosome:Theobroma_cacao_20110822:4:28948972:28949748:1 gene:TCM_020518 transcript:EOY05539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPVTSCANAPQEEKEDGRAHTENVAQLYACEKILLPVAEGITILVLKFEQAVSVQPQFLTLCSLVPILKYKQSI >EOY03619 pep chromosome:Theobroma_cacao_20110822:4:17434485:17437657:-1 gene:TCM_018716 transcript:EOY03619 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase family protein MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISIPRINAWNSDQLPIYEPGLDGVVKQCRGKNLFFSTDVEKHVREADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGNKAVQALKNVYAHWVPEERILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVTQVSYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKNRFVNRVVSSMFNTVSNKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKAQLSIYDPQVTEDQIQRDLTMNKFDWDHPLHLQPMSPTTVKQVTCVWDAYKATKDAHGICILTEWDEFKNLDFKRIYDNMQKPAFVFDGRNIVNVDQLREIGFIVYSIGKPLDPWLKDMPAVA >EOY02485 pep chromosome:Theobroma_cacao_20110822:4:1027045:1040085:-1 gene:TCM_047033 transcript:EOY02485 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative MDSYTSLLEKTRVPQPSLQKFAVISIFSKLRTAPVHLGPDSVPGSHAISQCLHSSSPAVVDQTVRELCRLVLDSNLDLFQALLDLQSVLEGSDPKFATLFVKSLGFLVRVGFERSSRSWTPESHEDHPFVKILSSRREVEAELVNQVLLFMAKNKGLGMVEVCEFLRPFLNFSILRIPFSDSSSILFVRRLISSMASFCCLFPNEAMPIFSLLINCLKYFPRKSLEETRNFGYVAECVIDSFIVVLRQLVGKGSLITEAQLCGVELIENVLSLYTSSHKQSGGAEPIVELLKHMLTAQKDLALQYIPELSSVILSLSVVLIESELEHEQLSVLKFIHFLLKWKSESEYVVDGAEYFLSEELLVIFPIISLISSPSKSVKGAATDLLVLLERLLVKLLTTPKIKLAKKGGYPSISRPELITYRLLQHLWFQDQFSLSSSFFLSFASLRETDVKEMHGGPRSWACQLKELALWIVERRRLGLPVPLSQEIFLTEMPLLLGAIAAVLVMHPSLGSAAIDAWASIGIMDPKLGVPLLLAILFYNNIFTRKDVTYKNMQLKLLGMLPSLALQSGMIPLVVQTLLPMLHKDAKPVLYATATRLLCQTWEVNDRVFGSLQGVLLPKGFTEFMSERNICISMAVSIRDVCRKNPDRGVDLILSVSACIESPDPTIQSFGFQSLSHLCEADVIDFYTAWDVIAKHVQGYHEDPVLAYSVCLLLRWGAMDADAYPEASREVMKIVWGVGCSLRMGHESQWAKAKASAFEALTQYEIPSIVNNISNFKQMVMDLLLSEINPDVLKALEGLQVKIIGYEHSIRRRYMKEKKVPASKIEKLLDVFPQVIFSSGKRSNAGELPGAALLCGFFTSNDLRNQGTARGLEGSHSGYEDMMVQIAGSLQLSRNIFVALLSLQSWKAFVRRWMRANILSIDAKVSVMVSDKTSKAANSILKIMMRVAEESIPRSAENIALAIAALCAVVPPSAHTIKSTASKFLLGWLFQYEHEHRQWSAAMSLGLISSSLHVTDHKPKFQNITGLLEVLCCSKSPLVKGACGIGLGFSCQDLLSRVEATDDSTANEENHKMQEERLLGRIVRTLSVILCPVADSSANTLESLCAHFPGSTDDIDTSVISGLLYDNCDDLEDDIWGIAGLVIGLGSCVGAIFRRGAYDAVLKIKDLIISWIPHMTSLVQNFDSSGERSEILLSVGSCLALPLVVAFCQRVEMVDGNELDHLVNGYMELISELLSVNKSDNFHKSLLMASTAGAGSLLACILNEGVHVIEVERVKCLLELLRKCYSSPYPPIIHLGGMLGVVNALGADAGNLFHFHPINSLVHSGYDQKEHSYISGPILVNPACEEHSTSLMQEIFLVAQNSDDHQLQQYAAWAVSFLRYRLWSREILNSASVTQSESAGSKSVSQGVPEDSAVMKLGLWLKSFNHSGTGSNTHMCTVATILRCLSLAPRLPTLDWGAIVRRCMRYEAQVTGLLMPHIALKEGTLRVECLHFALVHAKQFDVLLTFLDELADLSRFRTLELSLQSCLLSHVGDLIKLFSGSRLEKLLDDVTNYLSSVTSDQVHDLEQKSSLQICCWKGLYQCLDEASLDSLEYIKNIERCMEVLFSLLPTPQSAAVMEVDQLNSIEWSEAVRCLAKARQGWLLDFLQVSHLDSRKRDVQFVEVLKKIQAKAKLARIGSISLTELGKLKSYLLNSESLGTWGVLLEVVATLQPAEGSVKRQWLVDAVEISCVSSYPSTVLQFLGLLSGSCCKYMPLLILDPSSVLSDLPVTLTSLLSEPSWEVIAETFTSYLLTSTERIYSWATKLSVADDSPSSQPIDKSENDMAPFLLRVMHHACVCLKDYLPLEKQLRLANMVVM >EOY02825 pep chromosome:Theobroma_cacao_20110822:4:2426247:2428384:-1 gene:TCM_017228 transcript:EOY02825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding,nucleic acid binding,zinc ion binding MAMVVDYQHDLSFPYWTSTRRRFDPDSPFFSSGNIERELLAKQVALDLTEDERNQLEKMVAKDARGVFCPIVGCGARLISLEDFEDHYNARHTASCSVCSRVYPTSHLLSIHVSEAHDSFFQAKVARGYAVYECLVEGCGLKFKSYKGRQQHLVDKHKFPTSFEFFKKALPSKKQRQKNHRKQAMHKKDEEASSKMEVENETMDGLVSAVSKLSTSDSSPSTVSFGRHHTRGLTFVPRSVQQEKRADSRLAGAKR >EOY05966 pep chromosome:Theobroma_cacao_20110822:4:30449909:30451620:-1 gene:TCM_020823 transcript:EOY05966 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear localized protein 20 MDPAGNSPALSKRDLEISMNDTSNCRSNGRGDEDEDRDTGDEPKEGAVEVGTRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVASGTDVAESIAQFARRRQRGVCVLSGSGSVANVTLRQPAAPGAVVALHGRFEILSLTGAFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGSLIAAGPVMVIAATFANATYERLPIEDDEEAGSGGHGGQIQGGAGNSPPAIGSSGPQTGLPDPSSLPIYNLPPNLLANGGQLGHEAYAWAHGRPPY >EOY03930 pep chromosome:Theobroma_cacao_20110822:4:20501620:20514164:1 gene:TCM_019144 transcript:EOY03930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 81, subfamily D, polypeptide 8, putative MEETTILYSSLSLVLLLISLNFFFQSKKSHKNLPPSPPSLPILGHLHLLKPLIHRSYHTLSQKYGPIFSIQLGSRLQVVVSSSAAAEECFTKNDIILANRPKLIQGKHLGYNYTTLIASPYGDHWRNLRRIGAIEIFSSSRLNTSISVRRDEIRRLLLKLSRDSRQHFTKVELKSMLSELTFNNIMRMVAGKRYYGDEVTNEAEAKEFRDLIAEVVKHGGAANPADFFPMLSWFGQWYEKKDKKLGKRMDVFFQKLIDEHRSYRQENTSMIAHLLSLQESEPHYYTDDILKGLVLVMIVAGTDTSAVTLEWAMSNLLNHPEVLKKARAEIDSQIGQENLIDEPDVSKLHYLQSVISESLRLYPAAPLLLPHMASSDCTIGGYNVPRDAIVLINAWAIHRDPKLWDDPTSFKPERFQKEKGESHKLMSFGLGRRACPGANLAQRLVGLTLGSLIQCFEWERVDGKEIDMIEGTAGIMHKAQPLEAMCKARPIVNKVLCKEMFSLFVISLVNILQQ >EOY06866 pep chromosome:Theobroma_cacao_20110822:4:33183960:33185719:-1 gene:TCM_021461 transcript:EOY06866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSAHNAAFLLPLMAMVWLWHLSYPSSAITSNFLNGMCDETLNPGFCKTTLGKQSRIKEANVQKLAVISILLATAQARLNEHLVQELFNNEKDEVTKSHLSDCLSDYNVTLGKLKIAYRLSDKMEYKGMQKQVNDALKMSKKCEYRCTMAIKKEKKKPRHEGIEDDTNGTPNHIPRDDVRETAFGLICMGCDVSATSEINSSEVAKRLLEEKLGNLKLS >EOY05764 pep chromosome:Theobroma_cacao_20110822:4:29720535:29721031:1 gene:TCM_020682 transcript:EOY05764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMFSWKPFSCVVKVVLLALLLISGPKPREATRLLNDRKLNAAHTSLERAPPVPPMGSNPIRYTPKPPAHH >EOY03572 pep chromosome:Theobroma_cacao_20110822:4:17224508:17227535:1 gene:TCM_018684 transcript:EOY03572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeic acid 3-O-methyltransferase 1 MASSVENQFHGNNNAEQDQESFSYAMQIVSSSVLPMSMHAAIQLELFDIIAKAGPNAKFSPKEIAAQLPCKNPEAPSMLDRILRVLASHGIVGCSVVDEEGNNPQRLYSLTPVSKFFVRNEDGVSFGPLMALTQDKVSLDSWSHLKDAVLEGGVPFDRVHGTHAFEYFGKDPRLNQVFNTAMINHATIIVKKILETYKGFKQLNRVVDVAGGLGVTLSLITSKCPYIKGINFDLPHVIQHAPTCPGVEHVGGDMFESIPKGDAIFMKSVLHNWSDEQCLKLLKNCYNAIPDDGKVIVVDTVLPILPETNAFGRSTSQMDIIMMTHLPRGKERNKLEFEALATNAGFRGIRYECFVYDLWVMEFFK >EOY03094 pep chromosome:Theobroma_cacao_20110822:4:4669201:4678895:1 gene:TCM_017543 transcript:EOY03094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALKCPSLSPYFAQHSPLSQHQAISSWLTMPSLSPHSAKNPLARSPKATTSQPVGLASLSRAVWALLVLPVQSMCAPALPVCLCANSACLRSNSARLHPRGVLVPALHALCANAAGLARSTAWASCAPKLLVPIICVPKQGLVAGDQPHMQAMPPSI >EOY02443 pep chromosome:Theobroma_cacao_20110822:4:848416:849140:1 gene:TCM_016914 transcript:EOY02443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASKGKKAFHESKAKQKQAKKRRVLFSKRVSRTDVLKRLAIPTECLAFLPRFCGGHALELSVRDENGHCWTFVCSIRKTGAYQKPVLQQGWREFVLAKCLHVGDRVTFYEQESEDGIKIFGSILPRSRYGIEIEKTMRPLTARPC >EOY04223 pep chromosome:Theobroma_cacao_20110822:4:22901610:22909114:1 gene:TCM_019490 transcript:EOY04223 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKSNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVIFIGELMKQSERYIDEGMHPRVLVDGFEIAKRATLQFLEKFKTPVVMGNEPDKEILKMVARTTLRTKLYEALADQLTDIVVDSVLCVRKPDEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSSAEQREAMVAAERRSVDERVKKIIELKQKVCAGTDNNFVVINQKGIDPPSLHLLQQAGIIALRRAKRRNMERLVLACGGEAVNSVEDLTPDCLGWAGLVYEHVLGEDKYTFVENVKNPHSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTIEDEAVVLGAGAFEVAARQYLINEVKKTVQGRAQLGVEAFADALLVVPKTLAENSGLDTQDVIIGLTGEHDRGNIVGLNHQTGEPMDPQMEGIFDNYCVKRQIINSGPVIASQLLLVDEVIRAGRNMRKPT >EOY04922 pep chromosome:Theobroma_cacao_20110822:4:26479132:26484969:-1 gene:TCM_020066 transcript:EOY04922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MYPSSSSSSSQKPMGHTGLTRYGSAPGSLLTTTVDAVIGADPNHVGHYFTADSSSLTSESTCKVSSSNDPQEPKAAAAAPPHGSYPGTNSSSLLRQRSSPAGFLSHVTTENDYYVESLDMIMQSELNALIAGFSVTRGTGNYSSQGGANGGHRVSRLKSQLSFTRQDSLSQISEVSENLVDGVSTSSNHQNAAHSFAAAGFGMDSWDNTNSIVFSAPPSKRAKNIDGDFYNCLNALETQFSLPQTTLEMATVEKLLHIPEDSVPCKIRAKRGCATHPRSIAERERRTRISGKLKKLQELVPNMDKQTSYADMLDLAVEHIKGLQNEVQVFLGDAQTLGMFHYQALSSGPPIRIMILLLSLTYLPTSWSIEIKFTMGNINHLTTLELG >EOY03749 pep chromosome:Theobroma_cacao_20110822:4:18993550:18998079:1 gene:TCM_018915 transcript:EOY03749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein, putative MKSTIKQSFNHQNPLFPFLLLYLSIILEYLTVNVTGSSPPPYIPIENITIDCGSPNDNTALDGRSWTRDNTPKFSPIESQNNQSVYSEAPQQPPSGVGQVPFITARVSKAEFIYVFPLTSGQKFVRLYFYPTSYPPFDPLKAFFSVNTGEFTLLKNFSASLHAQGQDTLIKEFCVNVDEGQRLNLTFIPSPDISDSYAFINGIEIVSMPTNLYYRPADDEGVKFLGQGNPFSMGNDTALELMYRINVGGTQISPELDTGMYRFWSDDDNYLTDAAPSVLPVNGSINLNFSDKPSFSAPDVVYTTARTMGTNKSTNEHYKLTWEFPVDSGFNYFVRLHFCEFQIEITKQGDRVFEIFIANLTAETQAEVILWSGGRGVPTYKDYVVAIGRKGNEKKQNLSIALHPAPEWMTRYSDAILNGIEIFKLSNNGNLAGLNPDPEQKSSPTIVPPSTQPGKHKNNKTTIIGVVVGISGFVVVPLLCFFIIKRRMTIKDLASSDGGSWWGGQFSHTNKSTKSKGSSNLPSDICRHFSLSEIKAATNNFDTVFIIGVGGFGNVYKGFINGGATAVAIKRLNPESQQGAIEFKTEIQLLSQLRYLHLVSLIGYCNDDNEMILVYDFMAHGTLRDHLYNTDNPHLPWKQRLEICIGAARGLHYLHSGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKVGPTNMSKAYVSTVVKGSFGYLDPEYYRRQQLTEKSDVYSFGVVLCEVLCARPPISRIAEKGQVNLAMWAQKCHRNGTFYQIIDPFLRGKIAPECLKKYAEVAMSCLHDEGIQRPSMNDVVWGLEFALQLQESAEEEIKHGGAENEIDAEDDAPRFKQYDLGDDSGSGFSSFVITPGDEHSPSTKDSEVIISGAVFSELRNPQGR >EOY02373 pep chromosome:Theobroma_cacao_20110822:4:592443:600639:-1 gene:TCM_016870 transcript:EOY02373 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD domain-containing metal-dependent phosphohydrolase family protein isoform 3 MGAYCNENLSLPTACNLSPSHDLRLSKHVHDNVHGNIYLDPLSLKFIDTEQFQRLRELKQLGMTHMVYPGAVHSRFEHSLGVYWLAGEAIHKLKTYQGLELGIDRFDVQTVKIAGLLHDVGHGPFSHLFEREFLPQVLNGFKWAHEQMSAKLIDHIVDVHHIDVEAEMIKRVKELILASSEFALPKSAKEKQFLYDIVANGRNGIDVDKFDYIYRDSRACGLGCSFDFQRLMETMRVLGDEICYRAKDYLSIHKLFATRADLYRTVYTHSKVKAVEIMVVDALLKANSYLEISSSIQDPSEYWKLDDTIIKTIETAPDEELRESRDLIRRIRRRNLYQFCNEFAVPKDKLEHFKNVTAQDIACSQKNGGVLLKEEDIAVSNVRIDLTRGRQNPLERIMRVRRSSPYRMVASVTCCLHLIRI >EOY02370 pep chromosome:Theobroma_cacao_20110822:4:592010:600506:-1 gene:TCM_016870 transcript:EOY02370 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD domain-containing metal-dependent phosphohydrolase family protein isoform 3 MGAYCNENLSLPTACNLSPSHDLRLSKHVHDNVHGNIYLDPLSLKFIDTEQFQRLASRAQATRFKVGIHDVCIKYAFTATILSSLLHAKVCLLVLDLNQLHFVAFVLILPKFVQGMTHMVYPGAVHSRFEHSLGVYWLAGEAIHKLKTYQGLELGIDRFDVQTVKIAGLLHDVGHGPFSHLFEREFLPQVLNGFKCARQQKCLRPFQARHTPGAPKSAFFFKAVISVLSRAHEQMSAKLIDHIVDVHHIDVEAEMIKRVKELILASSEFALPKVSAYFYDPFFRHILITGNIFSLVLGKCMDVVVSCLYYYYCYYFVLMLKSAKEKQFLYDIVANGRNGIDVDKFDYIYRDSRACGLGCSFDFQRLMETMRVLGDEICYRAKDYLSIHKLFATRADLYRTVYTHSKVKAVEIMVVDALLKANSYLEISSSIQDPSEYWKLDDTIIKTIETAPDEELRESRDLIRRIRRRNLYQFCNEFAVPKDKLEHFKNVTAQDIACSQKNGGVLLKEEDIAVSNVRIDLTRGRQNPLERCSFLTELISGQDYESEEKFPIPDGRISHLLPTSYQDMIVRVYSKKPELIAAVSEAFENFQLKTYGVKAQVHATPEKKKRRL >EOY02371 pep chromosome:Theobroma_cacao_20110822:4:593003:600343:-1 gene:TCM_016870 transcript:EOY02371 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD domain-containing metal-dependent phosphohydrolase family protein isoform 3 MGAYCNENLSLPTACNLSPSHDLRLSKHVHDNVHGNIYLDPLSLKFIDTEQFQRLRELKQLGMTHMVYPGAVHSRFEHSLGVYWLAGEAIHKLKTYQGLELGIDRFDVQTVKIAGLLHDVGHGPFSHLFEREFLPQVLNGFKWAHEQMSAKLIDHIVDVHHIDVEAEMIKRVKELILASSEFALPKSAKEKQFLYDIVANGRNGIDVDKFDYIYRDSRACGLGCSFDFQRLMETMRVLGDEICYRAKDYLSIHKLFATRADLYRTVYTHSKVKAVEIMVVDALLKANSYLEISSSIQDPSEYWKLDDTIIKTIETAPDEELRESRDLIRRIRRRNLYQFCNEFAVPKDKLEHFKNVTAQDIACSQKNGGVLLKEEDIAVSNVRIDLTRGRQNPLESINFFKDYESEEKFPIPDGRISHLLPTSYQDMIVRVYSKKPELIAAVSEAFENFQLKTYGVKAQVHATPEKKKRRL >EOY02372 pep chromosome:Theobroma_cacao_20110822:4:593003:600343:-1 gene:TCM_016870 transcript:EOY02372 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD domain-containing metal-dependent phosphohydrolase family protein isoform 3 MGAYCNENLSLPTACNLSPSHDLRLSKHVHDNVHGNIYLDPLSLKFIDTEQFQRLRELKQLGMTHMVYPGAVHSRFEHSLGVYWLAGEAIHKLKTYQGLELGIDRFDVQTVKIAGLLHDVGHGPFSHLFEREFLPQVLNGFKWAHEQMSAKLIDHIVDVHHIDVEAEMIKRVKELILASSEFALPKVSAYFYDPFFRHILITGNIFSLVLGKCMDVVVSCLYYYYCYYFVLMLKSAKEKQFLYDIVANGRNGIDVDKFDYIYRDSRACGLGCSFDFQRLMETMRVLGDEICYRAKDYLSIHKLFATRADLYRTVYTHSKVKAVEIMVVDALLKANSYLEISSSIQDPSEYWKLDDTIIKTIETAPDEELRESRDLIRRIRRRNLYQFCNEFAVPKDKLEHFKNVTAQDIACSQKNGGVLLKEEDIAVSNVRIDLTRGRQNPLESINFFKDYESEEKFPIPDGRISHLLPTSYQDMIVRVYSKKPELIAAVSEAFENFQLKTYGVKAQVHATPEKKKRRL >EOY04568 pep chromosome:Theobroma_cacao_20110822:4:25040610:25045232:-1 gene:TCM_019796 transcript:EOY04568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine diphosphate glycosyltransferase 74E2 isoform 1 MKKQESVFQTHVLVLALPGQGHINPILQFSKRLGSEGLEVTLCTASVNKSMPVQVGPVKLELVCDELQVDNDMLERFKAVVTLRLPQIIAKLGISCLVYDAHFPWALDIAKKLGLPAAAFFTQSCAVDTIYYNVHEGLVKLPLAESSLSIDGLPLLQGCDLPSFVYDIGSYPAFLHTCVNQFSNFMEADWVFINTFTSLEEEVLNWMASQRPIKAIGPVIPSKYLDKRVEDDEEYGLHLFKPEIDICINWLNSKETGSVVYISFGSLAALGEEQMQEFASGLQSSNSYFLWVVRETEQKKLPASFIGETSDKGLVVSWSPQLEVLAHEAVGCFMTHCGWNSTLEALSLGVPMVAVPHWTDQTTNAKYVADVWQVGIRARKDDKGIITKEEIQRCIREIMEGDKTKDIKRNAEKWKTLAVEAVNVGGSSDKNIREFVAKLTCN >EOY04567 pep chromosome:Theobroma_cacao_20110822:4:25040452:25045236:-1 gene:TCM_019796 transcript:EOY04567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine diphosphate glycosyltransferase 74E2 isoform 1 MKKQESVFQTHVLVLALPGQGHINPILQFSKRLGSEGLEVTLCTASVNKSMPVQVGPVKLELVCDELQVDNDMLERFKAVVTLRLPQIIAKLGISCLVYDAHFPWALDIAKKLGLPAAAFFTQSCAVDTIYYNVHEGLVKLPLAESSLSIDGLPLLQGCDLPSFVYDIGSYPAFLHTCVNQFSNFMEADWVFINTFTSLEEEVLNWMASQRPIKAIGPVIPSKYLDKRVEDDEEYGLHLFKPEIDICINWLNSKETGSVVYISFGSLAALGEEQMQEFASGLQSSNSYFLWVVRETEQKKLPASFIGETSDKGLVVSWSPQLEVLAHEAVGCFMTHCGWNSTLEALSLGVPMVAVPHWTDQTTNAKYVADVWQVGIRARKDDKGIITKEEIQRCIREIMEGDKTKDIKRNAEKWKTLAVEAVNVGGSSDKNIREFVAKLTCN >EOY04230 pep chromosome:Theobroma_cacao_20110822:4:22948578:22949400:1 gene:TCM_019498 transcript:EOY04230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVAKKTTPALAGESLEMAGDRKMLKLGKGKKKKGGLMRVQREFQFMGWFYVGSGGKEVSPMTELTDSLNCRLKSTPQRT >EOY06270 pep chromosome:Theobroma_cacao_20110822:4:31463464:31466428:-1 gene:TCM_021057 transcript:EOY06270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELMKKAWQHQYCLKKSPAFARLHLQRTRKVPNISANQKVLISTCFDLNFLDYETAFGDDQIALIRLDFPPKRQIPPRFKIMDHKKLPYPYYVPINGGFIFALRYCASEDGIFNGFRYDSSSDDYKVLFGIRRWRGTLETRVAIFSLRQNSWRMVQPPPANPPVFFYQSGPFVNGALHWLGRHGNGIFAFDLKMESFSTLPTPYLGQTPCFCRLGVLDGKLSLKLRYRNNDAEPPSSHLHSHELWVMKEYGVRSSWTKLLTEGELFSNPLWISKGNALISFSRRGLMRCDCEGTKPEEFKICKCDKMFHLHEAIVANISFSGPSIGLMLEKKKYLRNLGICKCGSEDFGQYYTMHRHRCPSHRVCTESTLASMWITFFQPREAIPLGPWGLKIELDGTHQAPRID >EOY05423 pep chromosome:Theobroma_cacao_20110822:4:28545929:28554798:-1 gene:TCM_020433 transcript:EOY05423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serinc-domain containing serine and sphingolipid biosynthesis protein MQYVLITLTRALGDSFIFISLGTVKPRFAVQGSGPRSKRMSGSAAAVEASVLHISSSNSQLVQRVKASMEATNVDCSAERKKSLRARYFYGLIFFIINLTAWFIRDYGQSVLPRLYYRKACGVSGSDCFHTMGVLRVSLGCFIFFFLMFLTTFSTRKLFETCSKWHSGWWALKFVLLVVSIVVPFFLPPDFIHIYGEVARIGAGVFLLLQLISVIEFIGWWNNNWAPDEQRKQSCFFALFTSTVFYVASICGIVSMYYFYAPRPACSLNIFFITWTSILLIVMMAMSLHSKVNRGLLSSGIMASYVVFLCWSAIRSEPADEKCKLEKPKDGHGDWTAILGFLIAIGAIVMATFSTGIDSKSFQFRKDEVKLEDDIRYNYGFFHMIFSLGAMYFAMLFISWNLENSARKWSIDVGWTSTWVKIINEWFAATIYMWKLIAPVVKQPRPNTQACYSLAKERIGCGQVPFAKQLGFLPPAGGVAIPKANGALPPVNGINGIPLRTGQGGTAFAGKLNNQNVNPHLLGPDGLGLGFGTITVIDDILTSSTELGSQAVGKARGVYAASSADGTTQMMAFTALIEGGEYGDSLNFYGIYKIGGTMSRLSVTGGTGKFKNVRGLAEVRALIAPGQHVIDGAETLLRTLVHISYRECFRTKELIFFLSNACWHI >EOY05672 pep chromosome:Theobroma_cacao_20110822:4:29433071:29436941:-1 gene:TCM_020614 transcript:EOY05672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Survival protein SurE-like phosphatase/nucleotidase, putative isoform 1 MEKLDSSNSFEQRPTILITNDDGIEAPGLKALVSVLVSTHQFNLLVCAPQREMSAVGHSITWRRPLSVKQVDMHGATAFVVSGTPADCASLGVSSVLFPSVPDLVISGINQGSNCGYHIVYSGTAAAAREAFLNGVPAVSISYDYYGGLNSEQLEKMLRRLDAKAKTQDHASAAKTCLPIISAILVEIKNQAYPQGFFLNIDLPRDLANHKGYKLTKQGKSMLKIGWRQITSSVQGGKSLSTVSETDASSISQENLLFCRELRGFKVDNDESDQKCLQEGYITITPLGALTHPDNDCQAYFKDWLPKVAQQMRYRDD >EOY05671 pep chromosome:Theobroma_cacao_20110822:4:29433135:29437171:-1 gene:TCM_020614 transcript:EOY05671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Survival protein SurE-like phosphatase/nucleotidase, putative isoform 1 MEKLDSSNSFEQRPTILITNDDGIEAPGLKALVSVLVSTHQFNLLVCAPQREMSAVGHSITWRRPLSVKQVDMHGATAFVVSGTPADCASLGVSSVLFPSVPDLVISGINQGSNCGYHIVYSGTAAAAREAFLNGVPAVSISYDYYGGLNSEQLEKMLRLDAKAKTQDHASAAKTCLPIISAILVEIKNQAYPQGFFLNIDLPRDLANHKGYKLTKQGKSMLKIGWRQITSSVQGGKSLSTVSETDASSISQENLLFCRELRGFKVDNDESDQKCLQEGYITITPLGALTHPDNDCQAYFKDWLPKVAQQMRYRDD >EOY02656 pep chromosome:Theobroma_cacao_20110822:4:1685459:1689700:-1 gene:TCM_017072 transcript:EOY02656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MPSVAVKLYSVFFKFLLKHRLQNRIQTPLDESSNPYGVTTRPEESVSAPNPCFTDGVATKDIHIDPFTSLTIRIFLPESSLSPPEQPEPKSKPRSSQQDDPNSLNHRRNSYGPPNIGAPRNDPRRSSFEGLNLRSDNNVYRGYSPLPQNCRKLPIMLQFHGGGWVSGSNDSVANDFFCRRIAKLCDVIVVAVGYRLAPENRYPAAFEDGLKVLNWLGKQANLAECSKSMGSGARGVGAEFKKAEVQRHIVDAFGASMVEPWLAAHGDPSRCVLLGVSCGANIADYVARKAVEAGRLLDPVKVVAQVLMYPFFIGNAPTRSEIKLANSYFYDKAMCILAWKLFLPEEEFSLDHPAANPLIPDRGPPLKLMPPTLTVVAEHDWMRDRAIAYSEALRKVNVDAPVLEYKDAVHEFATLDILLKTPEAQACAEDIAIWVKKYISFRGHEFSY >EOY02657 pep chromosome:Theobroma_cacao_20110822:4:1685753:1689779:-1 gene:TCM_017072 transcript:EOY02657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MPSVAVKLYSVFFKFLLKHRLQNRIQTPLDESSNPYGVTTRPEESVSAPNPCFTDGVATKDIHIDPFTSLTIRIFLPESSLSPPEQPEPKSKPRSSQQDDPNSLNHRRNSYGPPNIGAPRNDPRRSSFEGLNLRSDNNVYRGYSPLPQNCRKLPIMLQFHGGGWVSGSNDSVANDFFCRRIAKLCDVIVVAVGYRLAPENRYPAAFEDGLKVLNWLGKQANLAECSKSMGSGARGVGAEFKKAEVQRHIVDAFGASMVEPWLAAHGDPSRCVLLGVSCGANIADYVARKAVEAGRLLDPVKVVAQVLMYPFFIGNAPTRSEIKLANSYFYDKAMCILAWKLFLPEEEFSLDHPAANPLIPDRGPPLKLMPPTLTVVAEHDWMRDRAIAYSEALRKVNVDAPVLEYKDAVHEFATLDILLKTPEAQACAEDIAIWVKKYISFRGHEFSY >EOY03564 pep chromosome:Theobroma_cacao_20110822:4:17161171:17162753:1 gene:TCM_047040 transcript:EOY03564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF179) [Source:Projected from Arabidopsis thaliana (AT3G29240) TAIR;Acc:AT3G29240] MEACFLSSNSFTKSVELVPSIKAKALSFPKRNANLSHRRKVAFPTCITCCHLGSSSPLDDDSRPILDPDWRSFRARLVAEEKVSRPEDSSAYADPDHPPVTLGDKWAHIIHEPEKGCLLIAKEKLDGVHIFERTVILLLSTGPIGPSGIILNRPSLMSIKEMRSTVLDVVGTFSDRPLFFGGPLEEGLFLVSPTGNDNSAVFEEVMKGLYYGTKESVGCAAEMVKRNEVGAGDLRFFDGYCGWEKEQLNEEIRAGYWTVAACSPSVIGLGSVGSVGLWEEILGLMGPREVW >EOY02497 pep chromosome:Theobroma_cacao_20110822:4:1086098:1107373:-1 gene:TCM_016960 transcript:EOY02497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATEAEIIPEPIAVGKTEEESRHLTKALCDKAVEFLPGDLKKFQGGFNQPAETDECLAKEENMQVASDTMNTVSEGNPEVSKGESFLISPETAKVASKETSGMSERSDVDDIPFKAEKIPEGEKQKAGTGENEVKATEDIVEKYDTDHDILNLEGTSLKAEKLEELNLAIGGEKTVEEVVVIADQGSSLEEKCGSIDDETSYSQERENEGTRAHENDDIESNKQVLSVPEANLELKKQASIDMPDPVIDSLKKVDETVTEASNSNLVQEKLEEKSCKEVKDTEPEKLPALVKKEKGVEGIEPIEISGTEKDQIISESIGGNLTSTVAESSEIKDHETTSEISEGVKKELPNTYEEVACEHKAAPEGDDKLEEVSGTIIKDQSQATIESSEEIKYITGDNDSIQDKNMLDTASVMKPEELCLQDVDNRDICSIGSNIRTENIVEKSPTEESGQSEINEITDKDSGTSIDISKDYSNSESEKVGADVKVVQATEKELAMTEASDEMKKDEECTVPAPEGNISEVENINVVQQSQEGKLEKEEILKESFQKQNEPEKERSACPLNAVYEDVNTAISSEIAETSTSIKEVTPVKDHEERFEGDGMEDTPTNTDAISENGESKEKDHLQIEVNVNTESVEVEIPNKNADDLHVADPLVGESVEKEDNNQYEFSDIQPEEQVHEENGASEEKDKHIGDVSEACQSRKSTISENIFTEEATTTDLESETSEMDKEKVKEVYLWDPEKCSETNEALENKQEGIQEEEEACNAEKPEIETRGDIAESGLTGGGTSDTVITSSFVKDETTPELTGENKSYKEEDADERSNTKEEDHDEPKIIKIGDDNDEQTVKDDPKLPSPILIEEETIESSKDEENKVEKLEEENSKDWITEDGKSKDLSPDSITEAPIQNLQDDEERAEEFEKSEKAVGESTGDETSQPAESPQEMLNISMMKQEEDLQCEDKNLNPTKAFPEEKRDEDRSYVIQAEESSRNIEQDEAKESNEKKPSIAEEDFEQISTENEPQEMLEKSLHITSEETETISSKADEIPSLMEEATVQNKEETTENEEKVKGETYDSSTNDNGIEKEDHGLDDGTSDAFKNTGSIVLETETKVDEMEVETITKGYELDSEEKPEPKDAVENIEDSLKQKETEEVFEGPKSTIKEECAVIDDSPASTEEEMTKSFQKDEQEETPELEVSKESGTAASGTGSETSDMEEQRIADISNSSISDETVKESIKEDESSPMKLTKEVSDSNIEETDSTEETTLQILKETAEPIDDPSLFDILKESKEEITPTEIKEDLVCSSSFEPEESKKDTEEETSVKGSINTVDLDSALPAAETEETDMKEAEAEEKKHAINKVLAVERNSLATTEHEGVGKDSADIDVKPVDSSISCEKDKEIPKEEEDKVPNEGSENQMEMTAREIPLKEILGDALKDYSTVPSEEHEPEAIEERKLTDETSEKDQAPYEHSEAPVSEAMDEKLMQMENNPTFDAPKVESEDNGKGNGHEVKENLPEEPYVHIEEETGKCNTVSEATDISKGEEPREPENQRDACESKTSQNEQSSDFGLERKETDDGKPLDEATNLEETSGTCEDGEKAIQEEENLAENLAKPESLKEDIEVEKEETELLQVSCDQMPEIVSTNDTAKNVELALAGSEEKPKSDSEPVAEDQTKETCPKTSKSQADETTTDVQNQEAEKQIKEEEEDKLEDEDYINREQNANEVSKAVILSEEVDKEVEKAVDSEGSKEHVEEESSTNELHPVAKGDETTNEVEDYSSVSTECLKEADSEEQIEAKHSEIEAHSTKDREASLIREESEERGQDKESRDPVSVHGEERESEEKIKEDVTDESKLCQDGSNSEAVTEVRGETSLNKTEVDEELLSTVKEESAIIDDSPKSTEEEMYKSFQKDEKEGTPEVEVCEKLETKNTVEDAEKQILEEEDTNKDQPTQLTEMMEENAVAIMSIKEVCKQSETAASGTSREKSDIEEHPIAGISNSSINDETVKESIREDESSPMKLTKEASASNIEETDSTEETTLQILKETAEPNNDPILFDILKESNEEITPTEIQEDLVCSSSFDPEESKKNTEEETSAEGSINTVDLDSALPAAETDETDMKEAEAEEKKHDIDNVLAVEKNGLETTDHEGVGTDSADIDVKPADSSISCEKHKEIRQEEEDKVPNEGSEDQKEMTAREIPLKEILGDALKDYSTVPSEEHEPEAIEERKLTDETSEKDQAPYEHSEAPVSEAMDEKLMQMENNPTLDAPKVESEDNGKGNGHEVKENLPEEPYVHIEEETGKCNTVSEATDISKREEPREPENQRDACESKTSQNEQSSDFGLERKETDDGKPLDEATNLEETSGTCEDGEKAIQEEENLAENLAKSESLMEDIAVEKEETEQLRRAHDQMPEADSVNDNAKNVELALAGFEEKPKSDSEPVAEDQSKETFPESSKSQADETTTDVQNPETEKQINEEVEDKLEHEEYINREQNANEANETVILSEEVDKEVDKADDNKDIKEHVMEEESSTNELHPVPKGDETTNEVEDYSSVSTECLKEADSEEQIEAKHPEVEAHSTKDRETSLIIEESEEKGQDEESRDPVSVHGEERESEEGIEEDIADESILCQDENDSEAVTKVRGETSLNNTEVDEELVNTLNASSGKMSLQTTESDANQETKEVENVQLEEISSGLATQIPSNDNDEVQKQGINVDIVDKDEAEVNERDSDAVHMSKDQIDEAEKSLTVEKVDQLPSIDQKLVETKSETSKTSNAGEPGKTELASDGNHVSEDQGTEEDAETKKSHTAEEQDENEVEETKGVPETSSDCISQRVETIVEDEIISHNTLPKEKPEEQLQTSVSTLPSEDEENSTAHPIEKIGEEIQTDAEIVKHETLEDSSDTKTKEEVCLQKEEQREPSAVSEEETIVDQGLQKEEPKEQIQTTSSTLPSEERGHGTGAISEEKEYDKAKEEVPIELDVFSGDESEQNLLANKPEEGTASSEFLPKEQEEEAISTVEKIEEEKRKEAEIPENKISEDSSDAKKTIEMCLEKEEFHELEDAKKDDSTAHPIEKIEEEIQKDAEIVKHETLEDSSDTKTTEEVCLQKEEQREPSIVSEEETIVDQGLQKEEPEEQIQNKSSTLPSEEREHGTGAISEEIEYDKTEEEVHTELDVISGDKSEQNLSANKSEEGTTSSELLPKEQKEEVISRVEKIEDEKIKEAEIPENKILEDSSDAKKTIEMCLEKEEFQELEDAKKDETAAAQALQVEEPKEQSLTSSSTLPSEDLEHGNTTRVDEIEEEKVKEVATLEKERPQEPEAVLDQEITVAQVSITEESLEIETMSTIQNLDEEKSTEAEKLNEKSRDSSAVETEEICLQKEEPSELQVFSKEEITSGQTFSEEQSDEQLYISTSAITSEELEHETKKAEDEKTNEEEIIKDESPEYASDARTTEEVCSQKERSIELEAVVEDEKTADHTLTEKILEEQVQNPTPALPSKEEECGSTSTTEKIGSEKTEEAEFLQDDNGEHECLRKEQLQEDEAIAKDDSASAHTIPTEKSEEQISGPFAALPSKEHKHETVNKVDKPEEEKVKEEEMQNEDSDEAKTVQETCSKKDETRDPQAVLEGETITTQVIAEESQEHGTLSEENKIKEAETLEDDKSPQSECARELQSALEDEDTAAQTLPGEKQADQLHVTTSTLPSEEQEDETREPELQEDESPEKAPKQTREIEEASNVKMETHEKAYDNELLTETEGAAIEEKLVKARDETRDEENQCETTNEGNEIRLNEVSKEQIIEDKEVIETSHSTPLSEGLTKDSCGVDELKDEPVEDKTNEAFKTTTCQNEKLVVEAQKSSENEIIEKQTVCEDKTVEDPGQASVARIETATVMEEESSIELAQAEGTKDLAEGNEIIDDIQQPEETANIASDKQIPRVFYPIKITEITGSIGKEDVPIDLQDRVAESSQKAEGGDVKEIYPKVEEVEHGGDKTTDNLGEEITKDSTSVEDLTKASTSDPVESSTKKTLQVTAQDMIEEREEKDETGATHILVDKPEKESPAPSSKFPCEEEEHGVTTKVDAVKEEELKEVETPDNDSSDTNTGGEICYEKEEIKELRAVMEQETVAVQAPPTELKDKELAETPVSKLEDVHKEDELKGGDIRDKTKETLMAPAYAIQNEELSMETKTDSAKNNFEKEIVAEDKTVKDLEQASVGMEEATTAREEIRGEADPVESTRTTSSIGKDHFPIEQQDRALETSEKAEVGDLETGSAQEICSEAGVDREAEKKTDNSGVGITQEPASPDSPKLSLSDLLQRSTREQMQVAKDVIQKRELIVSKEEPKEEEAEAIQLKEAKTDEEKDEGEEGDEHNKADSGSDAPVMVEAPRDTDIKPHKKSHNILSGVGSKVKHSIFKVKKAITGKSSHSKDPKPISPKGSEK >EOY04091 pep chromosome:Theobroma_cacao_20110822:4:22002984:22005438:-1 gene:TCM_019357 transcript:EOY04091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative MEKPRSPSSNQNTTVYNQNYVSVSPPSSPLQNHHRYRQDIATDFSSMYNSIFPPNSPLPHSLSLTPSSCSSSDDLHLNLKLTSDAIATEHRLNQARLILEYRRLCDHYDLCFTRLQALIRELESLRRENTDLRTANTELIKLLSLHSSQAAMNRNLQHEEVSDLNVKRWERRSSNGQRNSLPKSVSVRSSSYFKVNQQQGSSNQQRVVNPSRRMYVPSEAKRELEKAMELEVYNQGTVKTELCNKWQETGTCPYGDQCQFAHGITELRPVIRHPKYKTEVCRMVLAGQTCPYGHRCHFRHSLTEQERLLIPR >EOY05510 pep chromosome:Theobroma_cacao_20110822:4:28823324:28828702:1 gene:TCM_020494 transcript:EOY05510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoside-diphosphate reductase small chain A isoform 2 MGSLRDEQEGEEENKVMEKEEEKEEEEPILKKQNQRFCMFPIRYQTLWEMYKKAEASFWTAEEVDLSQDVQHWDALSNSEKHFISHVLAFFAASDGIVLENLAARFLNDVQIPEARAFYGFQIAMENIHSEMYSLLLETYIKDSKEKHRLFNAVESIPCVSKKAKWALDWIQRSFLIVPVCSSSLFAERLVAFACVEGIFFSGSFCSIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYGLLQKQLKWQKVHCIVHEAVEIETEFVCEALPCALIGMNSTLMSQYIKFVADHLLVLHWGVRESTMWKIHLIGWNLYLCKEKQTSLRGGLVIIRKHLLCLAFRMVVIKTMSSNWMKTSKPKTGSEILMSLCGIM >EOY05509 pep chromosome:Theobroma_cacao_20110822:4:28823072:28828881:1 gene:TCM_020494 transcript:EOY05509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoside-diphosphate reductase small chain A isoform 2 MGWLFHIVAEGPPYNESPNHWAHTTFKLEKERKRQLQKVQTTSYALQMKLSNCKSTVERSPCKGKTEKKTKSEKISFSSLSLSYNLSVFQGRVFVGFFLCFSSFSVQALYVNQDKRRKRSMGSLRDEQEGEEENKVMEKEEEKEEEEPILKKQNQRFCMFPIRYQTLWEMYKKAEASFWTAEEVDLSQDVQHWDALSNSEKHFISHVLAFFAASDGIVLENLAARFLNDVQIPEARAFYGFQIAMENIHSEMYSLLLETYIKDSKEKHRLFNAVESIPCVSKKAKWALDWIQSSSLFAERLVAFACVEGIFFSGSFCSIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYGLLQKQLKWQKVHCIVHEAVEIETEFVCEALPCALIGMNSTLMSQYIKFVADHLLVALGCQRKYNVENPFDWMEFISLQGKANFFERRVGDYQKASVMSSLQNGGNKNYVFKLDEDF >EOY03223 pep chromosome:Theobroma_cacao_20110822:4:8439273:8454566:1 gene:TCM_017887 transcript:EOY03223 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MSPKTRAASKRMGKQDALNEMINRPRASTLKRRSRRGWATRPVRADTLVGSDASEKPQIFLNKMEKICNTLGCSSVRSVELAAFRLKDVVQKCVRNAIAREFEALVQTSSMTVSDYDINFTQLSRYAPYLVSTKEMKIQRREEQLVVSTPLKEVFVAEWEYESCVVRVKAKDTLDFDVILGMNWLSPCHASVDCYHKLVRFDFSIEPSFSIQGDRNNAPTNLISVMATRRLLRQGYPGYLAVVRDTQAKVRDISQVSVVNEFMDVFPEELPGLPLEQEIEFCIDLILDIRPISTPLYRLAPTKLKELKDQLEDLLDKGFIHPTVLPWEAPINEISSFPSC >EOY06899 pep chromosome:Theobroma_cacao_20110822:4:33307861:33313764:1 gene:TCM_021484 transcript:EOY06899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase MRIQRSHVLDPKQNVDINDAMRSPEEMVGRAAHVKYGGWSLMGNLDQIRGERGIFGNGDILKANDKNEAKEGMRKHPWHGNIVPCPSHWEMGWDGMGCDCDPDSVRKIRTIIITGFSITITLTPKKPVRRIISVAKAWHGTWGFLLSSQFQQSQQSTKSMFFLLIFKPLVLMFAEMEGLYVSMPTINHMLSHSPFYNSKAIGEEEVDQILRDQNLQWVLICLPQVIGERHMSCFSCCEEDDIHKASENGPFRPNNPASAHVGNTAGGYLVQEAVTRDTQSVTIQPIAVPKISVDELKEMTDNFGTKSLIGEGSHGRVYYGILKSGQAAAIKKLDSSKQPDQEFLAQVSMVSRLKHDNVVELVGYCVDGSLRVLAYEYAPNGSLHDMLHGKKGVKGAEPGPVLSWTQRVKIAVEAAKGLEYLHEKARPHIIHRDIKSSNLLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGTLSSKSDVYSFGVILLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKQCVDARLNGEYPPKAVAKLAAVAALCVQYEADFRPNMSIVVKALQPLLNTRPAASHSETRHS >EOY02685 pep chromosome:Theobroma_cacao_20110822:4:1778665:1781479:1 gene:TCM_017090 transcript:EOY02685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine histidine transporter 1 MGTQGPPQEQLETNTPSTIDEKLAKQKDIDAWLPITSSRNAKWWYAAFHNVTAMVGAGVLSLPYAMSHLGWGPGIVIMILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQQAFGEKLGLYIVVPQQLICEVSVDIVYMVTGGKSLQKIHDLACKNHCKDIKLTSFIAIFASVHFVLAHLPNFNSISGVSLAAAVMSLSYSTIAWTASVHKGVQPDVQYGYKATTTPGTFFGFLNALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVVVAYIVVGLCYFPVAFIGYYMFGNNVEDNILISLQKPTWLIIAANMFVVIHVIGSYQLYAMPVFDMIETLLVKKFHFRPTRTLRFVTRNLYVAATMFVGMTFPFFGGLLGFFGGFAYAPTTYFLPCIIWLAIYKPKRFGLSWCINWICIILGVLLMTLAPIGGLRNIILQAKGYHFYS >EOY04680 pep chromosome:Theobroma_cacao_20110822:4:25494036:25494807:1 gene:TCM_019876 transcript:EOY04680 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein, putative MAVALKLSFLLALVAVLTIVFGHDILANFFTDSSSMIKKFASMSPLLAASIAIDVIQCVLSGVARGCGWQLLAACTNLGCFYVIGLSISVLLRFKFNLHTKGLWTGLICGLSCQAGIPLLITLYGKWSRVDLSEETPNLG >EOY04192 pep chromosome:Theobroma_cacao_20110822:4:22530920:22532999:1 gene:TCM_019451 transcript:EOY04192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNHCNLQQNAAVSAYEEMLGLISISDHQKGGSVVCPKPRRIGVLANNPIGPLRLHMSHQAEVSDSKAGAELLDIILKKEDFGTEQSATQVASSPPFFCGSPPSRAANPLVQDARFGEERLAALSTLQIPSPSSPSPSARKGGCVRMKFGLKPAAVRVEGFDCLNRDRQNSSIPAMA >EOY04191 pep chromosome:Theobroma_cacao_20110822:4:22530872:22532528:1 gene:TCM_019451 transcript:EOY04191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNHCNLQQNAAVSAYEEMLGLISISDHQKGGSVVCPKPRRIGVLANNPIGPLRLHMSHQAEVSDSKAGAELLDIILKKEDFGTEQSATQVASSPPFFCGSPPSRAANPLVQDARFGEERLAALSTLQIPSPSSPSPSARKGGCVRMKFGLKPAAVRVEGFDCLNRDRQNSSIPAMA >EOY04292 pep chromosome:Theobroma_cacao_20110822:4:23211480:23215209:1 gene:TCM_019542 transcript:EOY04292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MELSGKHLAVFSCLSSVYVAVILLSCLDMLGLATSVVGRNDTDHQALLEFKSKITGDQLGVMPLWNNSIHFCRWYGVTCGHKHQRVTKLELQSLKLVGSISPYIGNLSFLRVLNLENNSFNHEVPQEIGRLRRLQILLLLNNSIGGEIPSNLSGCSELTLLYFVGNLLAGEIPGNLSSLETMSLAYNRLSGVIPEALGQLKNLTRLNVLANGISGITMPHIEWLDVGDNRITGPFPASISNASNLTELQVQNNRLTGNLALFEKLDKLSGLRIFDNLFGTRGATDLNFLCSLTNTTKLEYLDISSNNFGGMLPECISNLSSTLAYLIIQENEISGRIPDGIGNLINLEVLAAAGNQISGSIPLVIGRLKKLKIFYAYYNSLSGAIPHSFGNLTMLTELGLGRNNLQGSIPSHLGRCENLVRLVLSNNNLSGSIPPEVIGLTSLSISLELSSNSLTGILPVEVGNLINLGVLDVSLNRLSGVLPNNLGGCVRLEELYLEGNSFEGSISSLSSLRGLKTLDISANNLSGEIPKFLVSFKLLQYLNLSFNDFEGALPIEGVFNNTNATFIEGNKKLCGGIRLLGLVLCFLFFFWFRRKKEQPTPISVENSLLRLSYQSILKATDGFSSANLVGTGGFGSVYKGILEENGGLIAVKVLNVSNTRASRSFMAECEALKNIRHRNLVKILTACSGVDYQGNDFKALIYEFMGNRSLEDWLHPSVEQEKMKTLNLFQSVNVAVDVAHALEYLHHHCGASIIHCDLKPSNILLNDEMVGHVGDFGLAKFLSADRPNYSTSQSSSLGVRGTIGYAPPEYGLGSKVSTKGDVYSYGILLLEIFTGKRPTDEIFREGLILHDFVKAALPERAAEILNPIFLREIFLREIVKGGTITNDGYPENSRTNGRLLQHLNAIFEIGVTCSAESPTVRMDMGDVAAKLCSIRAHLVST >EOY02789 pep chromosome:Theobroma_cacao_20110822:4:2264415:2264883:-1 gene:TCM_017185 transcript:EOY02789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSVAQAGFFLMFQFCCLDKAEHDGGEEKRTWMFGDKTVMVQLAYCKEEKAVDEISVYLLYKKIRGTRGLLLDVAVPAQE >EOY05667 pep chromosome:Theobroma_cacao_20110822:4:29405949:29409572:-1 gene:TCM_020609 transcript:EOY05667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primary amine oxidase MAHSFKVFLFFSFFTLSIIPFSHQLQHPLDSLTPNEFIQVQSIVNRSYPGSSHNLTFQYVGLEEPNKQVVVSWLENSSTTPPPRQALVIARINHRTHEISVDLSSVGIISDRIYDGYGYPLLTFEEQTAANQLPFKYAPFLASISKRGLKIEEVVCGSFTVGWHGEEKKNRRIVKVMCYYLDGTVNLYMRPIEAITVTVDLEEMKIIHFQDRFMIPVPKAAGTDYRESEQRAPFGPQLKGITVMQPDGPSFTIDGNRVRWANWDFHLSFDARVGPIISLASIYDIERQKFRRVMYRGYLSELFVPYMDLTEEWYYRTFFDAGEYGYGLCAVPLEPLRDCPANAVFMGAFVAGQDGMPIEMPNVFCIFERNAGDVMWRHTETMIPETLVTEVRPEVSLVVRMVSTVGNYDYINDWEFKRIGSIKVTVGLTGLLEVRGSKYTHKDQINEEAYGTLLAENTLGARHDHFLTYYLDLDVDGDSNSFVKSKLKTTRVTDQSSPRKSYWKVVSETAKTESDAKIKLGLESADLLFVNPNKKTKMGNLVGYRLIPGSVTGPLLTDDDYSQVRAAFTKYNVWVTPYNRSEKWAGGLYTDQSRGDDTLATWSSRNRKIENKDIVLWYTLGFHHVPYQEDFPLMPTLSSGFELRPANFFEYNPVLKVKAPNNVKWLNCST >EOY03006 pep chromosome:Theobroma_cacao_20110822:4:3439377:3440281:-1 gene:TCM_017399 transcript:EOY03006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVHARAPVIAKRLWNVLRITFFMIRKGLISKRKLMMDMNLMMKRGKLLRKSLSNLISHHHHSKRVTRGSFGLQEYEFSCSNSPNPVFFHVPKRKHHNYFPCINPPEVIEEEDDDDDQQHLEQEPKAVVLVAKTPEYTFNFRFDASEFAPGEKRSPLLSPFSVRVSNYSSEDETDGRNRQVDDEAEEFIKRFYEQLREQSRIQLLQ >EOY04785 pep chromosome:Theobroma_cacao_20110822:4:25905531:25937724:1 gene:TCM_019968 transcript:EOY04785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MANPPGNHQQEANHASSSFNGGNLSNGSTIPDSSGSGMKHNPGIALDWTLEEQAILDEGLKKFASESSIIRYAKIAMQLQNKTVRDVALRCRWMTKKENSKRRKEEHNLARKSKDKKERVADPSTKPAHFAARPNVSPYAPPMIPMDYDDGIPYKAIGGATGELLEQNAQAFNQISANLAAFQIQENIGLLCQTRDNILKIMNDLNDMPDIMQRCHHFP >EOY04784 pep chromosome:Theobroma_cacao_20110822:4:25926353:25938022:1 gene:TCM_019968 transcript:EOY04784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MANPPGNHQQEANHASSSFNGGNLSNGSTIPDSLGSGMKHNTGIALDWTLEEQAILDEGLKKFASESSIIRYAKIAMQLQNKTVRDVALRCRWMTKKENSKRRKEEHNLARKSKDKKERVADPSTKPAHFAARPNVSPYAPPMIPMDYDDGIPYKAIGGATGELLEQNAQAFNQISANLAAFQIQENIGLLCQTRDNILKIMNDLNDMPDIMQRCHHFP >EOY05183 pep chromosome:Theobroma_cacao_20110822:4:27507691:27511023:-1 gene:TCM_020255 transcript:EOY05183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein MTDSNESQYPGKEPQQSETEPQQSEQVCNFFRKPTKGKNIRKRTINEDEDEDSRNETSLLQNHKKTLKPDNKLYFSTGPSKSAAATESNIESDKPIFQFESSKEIQVHNDSRATATLETETEVTKDARAIREKVLKQSEEVLKGKSTSSGGEKLYKGMHGYVDHKAGFRREQTIASEKAGGSHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEKEWEEAEKARKRNLALGGDDDDGAGLNQSDDDDDDSLPFACFICRQPFVDPVVTKCKHYFCEHCALKHHAKNKKCFVCNQPTLGIFNTAHEIRRKMAEEGMLSLLELGYQLIVLIKCGKKNHPVFVYLFHSVCNCYVVPLTMFIW >EOY05820 pep chromosome:Theobroma_cacao_20110822:4:29886402:29887631:1 gene:TCM_020719 transcript:EOY05820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTGTVWLKALSFSIATRTQFNNSISPLQTTFTPECIPFLDYVEYAASQVQRFPLLATHVPYTCLPKSATGNNIKELKEGDLISVEQAFELYCKEISLWTLSGACIGILARKLRTS >EOY05017 pep chromosome:Theobroma_cacao_20110822:4:26853233:26860065:-1 gene:TCM_020133 transcript:EOY05017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein MRKMVVMGFRQLVVFLLLSMAVLHGCMGNDDASIQLNDDVLGLIVFKSDIKDPSSYLDSWNEDDNSPCSWRFIQCNPVNGRVSEVSLNGLGLSGKIGKGLQKLQYLKVLSLSHNNFSGSISPELGLIGSLERLNLSHNSLSGRIPSSFVNMNSIRFLDLSGNSLSGSVPDDLFQTCSSLRYLSLAENSLEGQLPSTLARCFSLNTLDLSKNHFSGNIDFASGIYNMQRLRTLDLSHNEFSGTVPEGVFALHNLKELLLQDNRFSGPMPLDIGFCPHLNTLDLSYNLFTGPLPDSLQRLNFLSFFSLSNNMFTGDFPQGIGNMSNLAYLDFSSNSLTGSLPSSIGNLKALNYLRLSNNRLTGNIPTSLGYCFQLSTIHLRDNGFNGSLPAGLFDLGLEEIDFSNNALTGSIPRGSSRLFESLQELDLSRNSLQGSIPAEMGLFANMRYLNLSWNNLQSRIPPELGLFQNLTVLDLRNNTLYGAVPGDICESGSLAILQMDGNSLTGPIPEEIGNCSSLYMLSLSHNNLSGSIPKTISNLSKLKILKLEFNELSGEIPQEIGLLQNLLAVNISYNQLTGRLPVGGIFPSLDQSALQGNLGICSPLLKGPCKMNVPKPLVLDPDAYNSQMGGHRQRNESSIPTKFHRHMFLSVSAIVAISAAILIVSGVIIISLLNVSARRRLAFVETALESMCSSSTRSGSLPTGKLILFDSKLSPDRIGNPEVLLNKAAEIGEGVFGTVYKVPLGAQGRIVAIKKLVTSNIIQYPDDFDREVRVLGKARHPNLISLEGYYWTPQSQLLVTEYAPNGNLQTKLHERIGSAPPLSWSNRFKIILGTAKGLAHLHHSFRPPIIHYNIKPSNILLDENSNPKISDFGLARLLMKLERHVISNRFQSALGYVAPELACQSLRVNEKCDVYGFGVLILELVTGRRPVEYGEDNVVILSDHVRVLLEQGNVLECVDVSMGDYPEDEVLPVLKLALVCTSQIPSSRPSMAEVVQILQVIKTPVPQRMEIF >EOY03731 pep chromosome:Theobroma_cacao_20110822:4:18595035:18607189:1 gene:TCM_018868 transcript:EOY03731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSASSPKEARHSPLYKNSFHLLLKSQPASTQNEMILNLLMRIDGKLTEQAMKITKIEEKLHQLAAFVHQNKGTKTPTSEAQATAAMTNSFVTSGY >EOY04217 pep chromosome:Theobroma_cacao_20110822:4:22840570:22841488:1 gene:TCM_019486 transcript:EOY04217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNILTSFPSYSHCFHENNRDKEADEEQGHARNIFALKIIKDQIWFSVQGRNV >EOY03735 pep chromosome:Theobroma_cacao_20110822:4:18697523:18709253:-1 gene:TCM_018879 transcript:EOY03735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKTKVMMESLDTLLMVRLGNTSTVHMSYLQWNLEMISHFFRDLCATEIPVDHMEALQGKICETICQLEKIFPPDFFDSTEHLSIHLLYEAKEVKKFNSGDFWSVRAKSYFATRGQNLGF >EOY05119 pep chromosome:Theobroma_cacao_20110822:4:27274868:27275942:1 gene:TCM_020207 transcript:EOY05119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATLFSAWNEVVIKKGEIQIWKMAFFAMIWSMWLAGNEVVFEGKPWDHDQLYDLIKLRVATWAKVKWPREYGTILNTFAEPSVGAVLKKAKKSRPEIEWEKTMEGTMKFNVDGAANASMGEAGIGGVQRNSRGEIRMMFSKSIGVGDSSLAEVLAIREAFVMFIASQRGDSHMLLVEVTR >EOY06954 pep chromosome:Theobroma_cacao_20110822:4:33460463:33460940:1 gene:TCM_021515 transcript:EOY06954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSGYTKQRKHSSFSIFSIFTSCCSNGGDDMSEEGIYVRRIWRSDEDGRRWTAEPGIDRKASAFIDRFRTRVSDPERQTLAV >EOY03758 pep chromosome:Theobroma_cacao_20110822:4:19087817:19091397:1 gene:TCM_018930 transcript:EOY03758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein, putative MLTILVSTVFLLIFFSSAPFTNSAPYTPTDHILLNCGTSSNTTSLDGRNWVGDADYKYSSSISKASSFASKASDLEPSVTEVPYMTARIFHGKFTYKFPVSPGPKFLRLYFYPNEYPGLDITTSFFSVTANNYTLLRNFSAYLVSATSPPKVFIIKEFVVPVSNNKMLHVTFSPSPYSFAFVNGIEVVSMPTNLYTVQKYGSHRLVGTNYFFELDNNTALETAYRLNVGGGDVSNIDDSGMFRTWHGDVDYIFGAASGVTPFQPEATIKYTLATPAYTAPEVVYKTSRTMGPTPSINLNYNLTWLCPVDSGFYYLVRLHFCETEPDVTMPNQRVFNIFINNQTAEAGMDVIAVSGGNGVPVYIDYVVWVPHGSPSTQDLWVAIHPSTQSHPLFIDAILNGLEIFRLNKTDGSLAAPNPEVEVVHISPVPELKHSKKRRNFKAIIGATTGSIASLFLLFSYIFWQRKHLLCITKSSKRRKVSPLSKGMRCTHFSLADILTATNNFDDALVIGRGGFGNVYKGHIQGLQHEVAIKRLNSMSHQGENEFWTEIEMLSQLRYINLVSLIGYCNDNNEMILVYDYMVNGTLCDHLYNNDKIPLPWKQRLQICIGAARGLDYLHSGAVQRIIHRDVKTTNILLDEKWVAKVSDFGLSKVGPIFMANVPITTMVKGTFGYMDPEYYRRLQLTEKSDVYSFGVVLFEVLCARPAVDIKLEDEQIGLAGWALKCVENETIEQIIDPYLQGKIAPECLRVYAEIAENCLRQVGLERPTMSDVGGMLEFALQLQETAEAKETVQVGDRIQAQTIDEVV >EOY04743 pep chromosome:Theobroma_cacao_20110822:4:25758774:25759576:1 gene:TCM_019929 transcript:EOY04743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWNSQEDLQDSITLFIKRNITGQGHSLHISVAVPSFNPYLGGNSVPDYSQEYDLADAMTMTENPEARREAVSRLVSEMATVENASGRCSICIESLKQSDKGDAKQVSCGHVYHQTCITNWLLNGRSNSCPLCRHEI >EOY03728 pep chromosome:Theobroma_cacao_20110822:4:18517656:18522826:-1 gene:TCM_018860 transcript:EOY03728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHFHMLLQFNFTLSHYLESQAKFKSFLWQLVIERVRAKLVGWKVNMLSIIGRVTLIKAILSSLPTYFMSILHMPQGSEKRIREAVMTILMGGLMEKELFIGWIRTLFLSGLIVELLFKSFQATMAINGAYSAKSFYETMEGNNQKKKVLWKKVWARVEPPKVEIFSWQVLPGRLTMRSELVKKGLMNIKSTCYGLYMRKMETTDHLFLIYEATWKI >EOY06736 pep chromosome:Theobroma_cacao_20110822:4:32797931:32799125:-1 gene:TCM_021373 transcript:EOY06736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDRDNLQQQQQKSLILLPFGTLNRSTIFHDRWKESSDSYIPVKKRVYQQKDKPPLQALGCHASLS >EOY03356 pep chromosome:Theobroma_cacao_20110822:4:13747667:13774151:-1 gene:TCM_018337 transcript:EOY03356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MENKEDKASKLCDDHKTEGIKYRGIRAMPFIIGNETFEKIGTLGTISNLLVYLTTVFNMKSITAATTINIFQGTSNMAPLLGAFLSDTYFGRYKTLAFASIASFLGMAVLTLTAAIYKLHPPKCSTSDIGTCVGPTTGQLAFLVSGFGFLVIGAGGIRPCNLAFGADQFDPATESGQKGINSFFNWYYFTFTFGVLVSVTVVVYVQSSVSWAIGLGIPAGLMVLSCILFFMGSRIYVKVKPEGSPLARVAQVLVAATKKRHLQLPDDEPRQLSLFNYMPDDSINSKLPHTDQFRFLDKSAILTPEDQINSDGSAANAWKLCSIQQVEEVKCLARVIPVWASSIIFLTSLVQQNTYVVFQVLQSDRGLGSGGFKVPAASYTVFQMLSLTLWIPLYDRVLVPSLRRLTGKQGGITLLQRMGIGIIFSLITMLLSGLVEKQRRNLALTRPTLGIAPKGGAISSMSGWWLVPQLFLTGICEGFNCIGQIEFYYKQFPENMRSIAGSFFFLGLAGSSYLSGFLVSIVHHITSRTEAGDWLPEDLNKGKLDYFYYLIAALGFINFVYFLVCAKWYKYKAVDETIELSATGEKQTEKLIV >EOY03452 pep chromosome:Theobroma_cacao_20110822:4:15866178:15870874:-1 gene:TCM_018530 transcript:EOY03452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MNVYRDIATVVMGSRRVPGGDKNPKVTAIREAKDLNVITLDEICGSLLTHELKLKEEEEEDRKEAKEKNKNIALKDSILEEELDKLSYDDDEELALVVRRFRKLMGKRNCRLTRRGFKKDQGSSWRTKNKNDSNKKEELTCFKCKKPRHFKSECPLLKKETPKKNKRSKKAMVAATWSDKDTSSSEAEEEKAEERANLCLMALDDESEVSSSPCNIFVDELQDEYESKVENDGRLWHRRLGHVYFLAHKNDALPAFISHCRKVENEKGLAIVSIRSDHRGTKWVFRNEVDNQENVARNKARLVVQGYNEEEGIDYDETFSPVARIKAIRLLLAFACFMNFKLFQMDVKSAFLSGVIQEELYIEQPPSFEDFEKPNHVFKLHKALNGLKQAPRAWYERISKFLVEKSYVRGSIDTTLFIKRYLNDLIVVQIYVDDIVFGATNKALCKNFAKEM >EOY05714 pep chromosome:Theobroma_cacao_20110822:4:29572670:29573207:-1 gene:TCM_020647 transcript:EOY05714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIESVRCECCGLKEDCTQDYINDVKAKFDGKWLCGLCSEAVRDEVSRSKKQFGVEEAVKAHMSFCGKFKSNPAVRVADGMRQMLRRRSGDLANTRNSPSSSKKFARSASTKLY >EOY06853 pep chromosome:Theobroma_cacao_20110822:4:33150945:33154892:-1 gene:TCM_021453 transcript:EOY06853 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCO3-transporter family isoform 1 MEESFVPFRGIKNDLRGRLKCYKQDWTGGFGAGFRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFAKNRLDLGSELFLAWTGWVCVWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQQAIKGLVDEFRIPERENPKLVEFQPSWRFANGMFALVLSFGLLLTALRSRKARSWRFGSGSLRGFIADYGVPLMVLVWTAISYVPAGTIPKGIPRRLFSPNPWSPGAYENWTVIKDMLKVPVLYIIGAFIPATMIAVLYYFDHSVAAQLAQQKEFNLRKPPSFHYDLLLLGFLTILCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNRLVATARKCMRKNGSLGQVYESMQEAYQQMQTPLIYQEPSARGLKELKESTIQMASNMGNINAPVDETVFDVEKEIDDLLPVEVKEQRLSNLLQATMVGGCVAAMPFIKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHATFVETVPFKTIAVFTIFQTAYLFVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKFFKGPHLQDLDAAEYEESPAVPFNLVTQEGELVRTASFADDEEILDGMITRSRGEIRRMCSPKVTSSTATPSKEFKSLQSPRFSEKVYSPRVSELREEQSPGKVGRGSFSPRTHEGKPSNLGKSG >EOY06854 pep chromosome:Theobroma_cacao_20110822:4:33150503:33155832:-1 gene:TCM_021453 transcript:EOY06854 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCO3-transporter family isoform 1 MEESFVPFRGIKNDLRGRLKCYKQDWTGGFGAGFRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFAKNRLDLGSELFLAWTGWVCVWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQQAIKGLVDEFRIPERENPKLVEFQPSWRFANGMFALVLSFGLLLTALRSRKARSWRFGSGSLRGFIADYGVPLMVLVWTAISYVPAGTIPKGIPRRLFSPNPWSPGAYENWTVIKDMLKVPVLYIIGAFIPATMIAVLYYFDHSVAAQLAQQKEFNLRKPPSFHYDLLLLGFLTILCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNRLVATARKCMRKNGSLGQVYESMQEAYQQMQTPLIYQEPSARGLKELKESTIQMASNMGNINAPVDETVFDVEKEIDDLLPVEVKEQRLSNLLQATMVGGCVAAMPFIKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYK >EOY06852 pep chromosome:Theobroma_cacao_20110822:4:33150266:33156087:-1 gene:TCM_021453 transcript:EOY06852 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCO3-transporter family isoform 1 MEESFVPFRGIKNDLRGRLKCYKQDWTGGFGAGFRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFAKNRLDLGSELFLAWTGWVCVWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQQAIKGLVDEFRIPERENPKLVEFQPSWRFANGMFALVLSFGLLLTALRSRKARSWRFGSGSLRGFIADYGVPLMVLVWTAISYVPAGTIPKGIPRRLFSPNPWSPGAYENWTVIKDMLKVPVLYIIGAFIPATMIAVLYYFDHSVAAQLAQQKEFNLRKPPSFHYDLLLLGFLTILCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNRLVATARKCMRKNGSLGQVYESMQEAYQQMQTPLIYQEPSARGLKELKESTIQMASNMGNINAPVDETVFDVEKEIDDLLPVEVKEQRLSNLLQATMVGGCVAAMPFIKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHATFVETVPFKTIAVFTIFQTAYLFVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKFFKGPHLQDLDAAEYEESPAVPFNLVTEGELVRTASFADDEEILDGMITRSRGEIRRMCSPKVTSSTATPSKEFKSLQSPRFSEKVYSPRVSELREEQSPGKVGRGSFSPRTHEGKPSNLGKSG >EOY03474 pep chromosome:Theobroma_cacao_20110822:4:16216312:16216896:-1 gene:TCM_018562 transcript:EOY03474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPALSLSVSHLPVCKSQDFSKDTCLPKGTNRENPSAKIASDVKLSKRKLLSSTALGLIGGLSVAQPIQRSQLKAHQIECHIQDSCSTWTKVL >EOY03182 pep chromosome:Theobroma_cacao_20110822:4:7047287:7065801:-1 gene:TCM_017776 transcript:EOY03182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPKKRAKGSNSVTRFDCSKFISAKAVVRHAKSLMQKVPIPEHNIDLHPILYGSVHRVLFVDVRYTLIVSPLSNSITYLTLRMMIMDNLWLAILIRMEFLECFVMNNVNQCGSNDSIVQAARLPHDGLWFPSLITTLCQKVGEVLPQLHAIHHHTGNLKTFQCIKDGTI >EOY06742 pep chromosome:Theobroma_cacao_20110822:4:32810366:32812568:-1 gene:TCM_021377 transcript:EOY06742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MHLAFAAIVAVAICFPGCANGMSAERVIDSPLLTEKISTNRTIKVDLNGNGDFTSVQEAINSVPKGNSQWVIIHLRKGVYREKVHVPKDKPYIFLRGNGRGRTAIAWSQSSVDNKASATFTVEAKHFIAFGISFKNEAPTGIAYTSQNQSVAAFVGADMVAFYHCAFFSTHNTLFDYKGRHYYDNCYIQGSIDFIFGRGRSLFHNCEIFVLQDKRIKIRGSITAQNRGSPQDNSGFVFVEGKVYGIGGVYLGRAKGAYSTVVFAKTYLSRTIVPHGWTDWSYHGGSDHLFHAEYDCRGPGADTSERGHWAKQLTEKEAAYWTSIDFINGKDWLPVWL >EOY06741 pep chromosome:Theobroma_cacao_20110822:4:32810916:32813263:-1 gene:TCM_021377 transcript:EOY06741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MWTTKEFVGGPLKIEDPFPTNFSSQLMNIQFYLPTNCIPKKSSETSLKSFANLQKASSQLNLFTNMSWRFFRPMHLAFAAIVAVAICFPGCANGMSAERVIDSPLLTEKISTNRTIKVDLNGNGDFTSVQEAINSVPKGNSQWVIIHLRKGVYREKVHVPKDKPYIFLRGNGRGRTAIAWSQSSVDNKASATFTVEAKHFIAFGISFKNEAPTGIAYTSQNQSVAAFVGADMVAFYHCAFFSTHNTLFDYKGRHYYDNCYIQGSIDFIFGRGRSLFHNCEIFVLQDKRIKIRGSITAQNRGSPQDNSGFVFVEGKVYGIGGVYLGRAKGAYSTVVFAKTYLSRTIVPHGWTDWSYHGGSDHLFHAEYDCRGPGADTSERGHWAKQLTEKEAAYWTSIDFINGKDWLPVWL >EOY06072 pep chromosome:Theobroma_cacao_20110822:4:30850252:30856838:1 gene:TCM_020912 transcript:EOY06072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGYGWNSRNANMHGLHHARLESDTNLIACRICGGFFRGVKALFDHIEERHLLFDEIAARRQLLLSQLPSTQSTPVTNHFNQNLMLPIARNPFPIGTDTGYPDLQWAAAPSHVCLGSRNNHMPVIQTQKPTTYGGANQMMVPRPPNQCFTRPFLNQLEANLIIEGMSTFVDREMTAKFEDQQGLDLTLKLGRADQD >EOY04755 pep chromosome:Theobroma_cacao_20110822:4:25791809:25795112:-1 gene:TCM_019939 transcript:EOY04755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGISQSASKRVSTTLTNSPQFSSACDSTYAHCLSLTQQAFPGVLPYQLSTAANHLHETLTSLHPHPLILRWLPSPPTRYQVDSAFRFVTRHQHEHRNDEEQLVLGPPQFREWAVVLFADAVVGNAGKAVLKRVPVGVLGIVGIGAAARSGKEAVGAAVGVYALGVATSIYLGLSDIR >EOY04679 pep chromosome:Theobroma_cacao_20110822:4:25492246:25493736:1 gene:TCM_019875 transcript:EOY04679 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein, putative MATNPNLEVVSDVRREGNYQGRWWGKILDLREAREQISTALPLIVAYVFCYSMTMVSIMFAGHLGELELAGSSLANSWATVTGFGLMTELSGALETLCGQAFGARIYRQLGIYLQASCQISFLFSILIPVLRLFTKPILVLLRQDPEVATAAALYIKYLIPGRFAFGFEQNIMRYCQAQGITLHLVLFTGVPFGLHFALVYFLVNRTSMGFIGSPAAASISIWLSCLSLAMFVIFSKRFENTWKGLSLESFGNILKILRLAIPSAANGVVIFRR >EOY04224 pep chromosome:Theobroma_cacao_20110822:4:22909125:22914331:-1 gene:TCM_019491 transcript:EOY04224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase biogenesis protein Cmc1-like MGYVQEARENHVKKKVEEALRSKMKQKALKECDHYTSKYAQCAAGRTISIVWQCRKQAKELNDCLHQYTNDAVLEEMKREYTLQENEKGSARV >EOY05398 pep chromosome:Theobroma_cacao_20110822:4:28433208:28434130:1 gene:TCM_020407 transcript:EOY05398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKLWAFFVLLMVAVHASQARNVPGDVGLNSQQTTMPDSSKEQTLQANAPKADSPKGKGIDDKKNFIYGGVGGFAGLGGYAGVVGGLPLVGGLGGIGKFGGIGGAAGIGGYHGIGGLGGLGEAGGLGGGAGGVGGLGGGAGGAAGGGLGGATGSSVLPHP >EOY02757 pep chromosome:Theobroma_cacao_20110822:4:2129776:2131655:1 gene:TCM_017159 transcript:EOY02757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQGGRDDTVIDVESLASSMEKLLTLSPDSCIFRTPSILARHKPEAYIPNCFSFGPFHHDKADLKVTETIKLKYLRGVLSRSDDRKTKLRECLGSIQEVEGKARDCYAGKIDQYVAENFVQMLVLDGCFIIELLRKDADVVPREDDDPIFSMSCMLQFLHHDLILLENQIPWFVLELLFNKTKTPSETKPLVELALHFLGSMFSYHSPLRTDLFVNQKVKHILDLLRLFLVLPSEEVKHYERERRLNQQDLGWQPIPSATRLKEAGVKFVRVTAGSILDIKFRHGVFEIPSLLIQETTETIFRNLISYEQCLPNCRPIFTSYAKIMDNLIDTTNDLETLCKKEILDSWLSPEDAAHCFNKLYNDTYVKEFYYCKLCDELNQYCQQRWPKWRAAYVHNYFTRPWALAAQIYAIIMFVLTFWQTLK >EOY02281 pep chromosome:Theobroma_cacao_20110822:4:295020:307357:1 gene:TCM_016808 transcript:EOY02281 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM family member 2, putative isoform 1 MLLPLYQQPFSLPPKTLIPHSLPAKILASQYPKTPKNSRKEIFVIRSSIKRTGNQTLPQSAIQRIADKLRSLGFSETQNPEPESEPGSGSDSPGEIFVPLPEKIPKYRVGHTIDTSWSTPENPVPDPGSGPGSLMARFREMKRERRKVGRVKEEDRAVPSLAELKLSAAELRRLRTVGIGEKRKLKLGKAGITEGIVNGIHERWRRSEVVKIVCEDICKMNMKRTHEVLEVCSLIWLFSLLLELFFFIALSMIDEEMRLIKVGLWLKKKLQMRKTGGLVVWRSGSKIILYRGANYRYPYFLADKIATDDTSSNASPDTNMDNVELHETESCSSEINSAKTAIPNATNKMTKPMIVQGVGSPSRVRFQLPGEAELVEEANHLLDGLGPRFTDWWGYEPLPVDGDLLPAIIPGYRRPFRLLPYGVKPILTNDEMTTLRRLGRPLPCHFVLGRNRKLQGLAASIVKHWEKCEIAKVAVKRGVQNTNSELMAEELKWLTGGTLLSRDKDFIVLYRGKDFLPSAVSSAIEERRRHVIHVEKQGAECSKSKKTAQEVIVEDTKSGSESKINSAKDQRSNFFGDPKNMKSAEAAIRKTDVKLSMALEKKAKAEKLLAELEQAEIPQQSEIDKEGITQEERYMLRKVGLRMKPFLLLGRRGVFDGTVENMHLHWKYRELVKIISKETNVEAVHQLARMLEAESGGILVAVERVSKGYAIIVYRGKNYERPTSLRPQTLLTKRQAMKRSLEEQRRKSLKLHILNLTRNVNDLEHQLVVDKEANSMQTVEQSSLPLVQEELETLQSVEYTGSVIECPASSGGHVESRDKDGSESTSMKNDKMVATISIRQPSKQEFMEPSSIHDGFENHKTESEFSAESVNRKSHATELRALHTQVEMVDTSYPDNLMEEIDDSGAINAEHGVSNNGPMESLVESASMLDVSISSAVENVSNKMASTANFLSNKDRLLLRKQALKMKKRPVLAVGRSNIVTGVAKAIRAHFQKHPLAIVNVKGRAKGTSVQEVVLKLQEATGAVLVSQEPSKVILYRGWGAGDELGRGDNRNAKDSLAQNRPAVSPELIAAIRLECGLQLQQEEEAT >EOY02282 pep chromosome:Theobroma_cacao_20110822:4:295614:302403:1 gene:TCM_016808 transcript:EOY02282 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM family member 2, putative isoform 1 MLLPLYQQPFSLPPKTLIPHSLPAKILASQYPKTPKNSRKEIFVIRSSIKRTGNQTLPQSAIQRIADKLRSLGFSETQNPEPESEPGSGSDSPGEIFVPLPEKIPKYRVGHTIDTSWSTPENPVPDPGSGPGSLMARFREMKRERRKVGRVKEEDRAVPSLAELKLSAAELRRLRTVGIGEKRKLKLGKAGITEGIVNGIHERWRRSEVVKIVCEDICKMNMKRTHEVLERKTGGLVVWRSGSKIILYRGANYRYPYFLADKIATDDTSSNASPDTNMDNVELHETESCSSEINSAKTAIPNATNKMTKPMIVQGVGSPSRVRFQLPGEAELVEEANHLLDGLGPRFTDWWGYEPLPVDGDLLPAIIPGYRRPFRLLPYGVKPILTNDEMTTLRRLGRPLPCHFVLGRNRKLQGLAASIVKHWEKCEIAKVAVKRGVQNTNSELMAEELKWLTGGTLLSRDKDFIVLYRGKDFLPSAVSSAIEERRRHVIHVEKQGAECSKSKKTAQEVIVEDTKSGSESKINSAKDQRSNFFGDPKNMKSAEAAIRKTDVKLSMALEKKAKAEKLLAELEQAEIPQQSEIDKEGITQEERYMLRKVGLRMKPFLLLGRRGVFDGTVENMHLHWKYRELVKIISKETNVEAVHQLARMLEAESGGILVAVERVSKGYAIIVYRGKNYERPTSLRPQTLLTKRQAMKRSLEEQRRKSLKLHILNLTRNVNDLEHQLVVDKEANSMQTVEQSSLPLVQEELETLQSVEYTGSVIECPASSGGHVESRDKDGSESTSMKNDKMVATISIRQPSKQEFMEPSSIHDGFENHKTESEFSAESVNRKSHATELRALHTQVEMVDTSYPDNLMEEIDDSGAINAEHGVSNNGPMESLVESASMLDVSISSAVENVSNKMASTANFLSNKDRLLLRKQALKMKKRPVLAVGRSNIVTGVAKAIRAHFQKHPLAIVNVKGRAKGTSVQEVVLKLQEATGAVLVSQEPSKVILYRGWGAGDELGRGDNRNAKDSLAQNRPAVSPELIAAIRLECGLQLQQEEEAT >EOY03928 pep chromosome:Theobroma_cacao_20110822:4:20495244:20495992:1 gene:TCM_019142 transcript:EOY03928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKLGKRMDVFFRKLIDERRAGSPFSLNYTNPTFLSEYSNMKPLTLNSNCSIVFACMFNKHYCGGDDTCKNRYICSHLRVGKCLIRLTTQEC >EOY04329 pep chromosome:Theobroma_cacao_20110822:4:23904420:23907348:-1 gene:TCM_019613 transcript:EOY04329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 21, putative MKFHVIELPDGKNSQQNSSTKVFLLTLFLILISSIPLCLLKSSHSPLPSPKINISSLRSIELEKKCDVFNGKWVPHPQGPYYTNETCRLIIDQQNCMKFGRPDSEFMKWRWKPDDCELPLFDAVHFLEIVRGKSMAFVGDSVGRNQMQSLLCLLADVAYPEDISHKYSSDIDYFKRWFYADYQFTLAAFWSPFLVKSRDADPDGHTYNSLMNVYLDEVDEAWANEIENFDYVIISAGQWFFRPLFFYEKGELVGCHKCNENNITSLTRYYGYKMAFRTAFRTLLGLKNYKGTTFLRTFSASHFENGDWDKGGNCVRTRPFTSQEMKLEEYNLEFYLTQAEELRKAEEEGKKIGLTFGLLNTTEIMWLRPDGHPNSYGHSMNRNATVNDCVHWCLPGPIDTWNEFLLYLMKREFLMRLKGKLMKNA >EOY02669 pep chromosome:Theobroma_cacao_20110822:4:1731355:1734316:1 gene:TCM_017080 transcript:EOY02669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase C2 isoform 1 MAKIKIGINGFGRIGRLVARVALQSDDIELVAVNDPFISIEYMIYMFKYDSVHGQWKNHELKVKDSKTLLFGEKPVTVFGIRNPEEIPWGESGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPHLDIVSNASCTTNCLAPLAKVINDKFGIVEATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKPASYEDIKSAVKVASETYMKGILGYVDEDLVSSDFVGDRRSSIFDAKAGIALNEKFAKLVAWYDNEWGYSSRVVDLIRYIASCK >EOY02670 pep chromosome:Theobroma_cacao_20110822:4:1731572:1734370:1 gene:TCM_017080 transcript:EOY02670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase C2 isoform 1 MAKIKIGINGFGRIGRLVARVALQSDDIELVAVNDPFISIEYMIYMFKYDSVHGQWKNHELKVKDSKTLLFGEKPVTVFGIRNPEEIPWGESGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPHLDIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKPASYEDIKSAVKVASETYMKGILGYVDEDLVSSDFVGDRRSSIFDAKAGIALNEKFAKLVAWYDNEWGYSSRVVDLIRYIASCK >EOY02863 pep chromosome:Theobroma_cacao_20110822:4:2723557:2725697:1 gene:TCM_017272 transcript:EOY02863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMPRVVIHNNPSFRVVLLKSMKDIRLSVGDLFFDEDMASEHADTPGGEAENEDESKDDEEGDYLRALLDGPWVIQGSYSLVQPWTPNYVRGANDLTAVAAWVRFPGMPFHLYHKSVLRRLAALLDSKKKTRGYEGGSRKQTVIEANSQVRDGSKFTILNHAPNDERPMQVNTKETVVASMQVNTKETEAVIKNGRKMQNTMRGADANGKIDKSFSRNASIRTRVEQPKKITLETEGNQKSAVEADKSLICSKDTEGENKQTDREVQERILDPLKHSVVSLGEKTTLRNTTIRLSPAKGDGLGERVKGVQIAKNEGKPPDRTGSVWP >EOY04532 pep chromosome:Theobroma_cacao_20110822:4:24910099:24912073:-1 gene:TCM_019770 transcript:EOY04532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNPMAALGGILPMPAPANAYTPPTNPIVVIREQFLAPYPVELKIQQKVFTLAENNFDVTDANGSLIFKVKGKLFSIRDRRVLLDAAGNPLVSLKQKILTVHRRWQVFRGESNSSNDLLFMPDFRIKGGWHESECTIYAGETMIAQMHRKHSLQTVVFDTDNFGVTACPNVDFAFIVTLVVILDEINADRSGED >EOY03108 pep chromosome:Theobroma_cacao_20110822:4:4976584:4981803:1 gene:TCM_017575 transcript:EOY03108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLVKHLSDVTKDRVVLLYAIVTGKSIDIRHLNFNKIIMSAHSPHNKLWYPFLITALCYQARVVWSPNEKLLHPKIPLDGGIIHKFHMCEQATIRGSSFAAPQPQIKNMLKYGARVVHQ >EOY03973 pep chromosome:Theobroma_cacao_20110822:4:20991598:20993502:1 gene:TCM_019206 transcript:EOY03973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MSYSGSIRAISGFNSFSANKAVGSHQHFYRRSVLNARPPLSKDNLISYYNLSLKPKTLPLPLALISFNKTSPKTINKFTLTATYHSKKEMADDAEKAERDRIFKRFDANGDGKISAAELGDALKTLGSVTGDEITRMMAEIDTDGDGYISYQEFTDFASANRGLMKDVAKIF >EOY03505 pep chromosome:Theobroma_cacao_20110822:4:16562511:16563637:1 gene:TCM_018599 transcript:EOY03505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSNIAKCINSCLRQVRTMPIAVLIECIKGMFQHWVYERHKEAFNLTIPLSPWATDLLNTWFNEACHFSTQVIDQVEF >EOY06341 pep chromosome:Theobroma_cacao_20110822:4:31608736:31612519:1 gene:TCM_021094 transcript:EOY06341 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein MAAARSFPLQFAISLSIASILYQCCDSFLTPPSSIINPAKAKQVSWKPRAFVYEGFLTDLECDHLISLAKSELKRSAVADNVSGKSKLSEVRTSSGMFISKGKDPIVAGIEDKISTWTFLPKENGEDIQVLRYEHGQKYDPHYDYFVDKVNIARGGHRIATVLMYLTDVTKGGETIFPQAEESSRRKTPATDDDLSECAKKGIAVKPRRGDALLFFSLSPTAIPDPSSLHAGCPVIEGEKWSATKWIHVDSFDKNLEAGGNCTDLNESCERWAALGSCKVSPYSTCA >EOY03463 pep chromosome:Theobroma_cacao_20110822:4:16163982:16164922:1 gene:TCM_018555 transcript:EOY03463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRITKLLIKSDSTNAVKWTKCPNSAPWRMRQLILQMERLKVEVKDWEIGHDRRKANQRADTLAKEGVRLQSEILRTFM >EOY05766 pep chromosome:Theobroma_cacao_20110822:4:29721709:29726148:1 gene:TCM_020683 transcript:EOY05766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MAEAEVGSGKGGPAGGNGGEEEISLKDKGNEFFKAGNYLKAAALYTQAIKQDPSNPTLYSNRAAAFLNLVKLNKALTDAETTITLNPQWEKGYFRKGCILEAMERYDDALAAFQIALQYNPQSAEVSRKIKRLSQLAKDKKRAQEVQNLRSNVDMAKSLETLKSEMSEKYGAENCWKDMFLFLVETMEAAVKSWHETSKVDPRVYFLLDKEKTQTDKYAPVVNIDKAFESPHTHSSCFSFLRQYAEDSFSGAACLVAPKSIIAYPQVWKGQGSRKWRHGQHDGFFVQFESPLLRKLWFISSANEMGQTLCRAPDVLDIGAHELLPRLFKEKLSNSS >EOY05765 pep chromosome:Theobroma_cacao_20110822:4:29721159:29726034:1 gene:TCM_020683 transcript:EOY05765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MAEAEVGSGKGGPAGGNGGEEEISLKDKGNEFFKAGNYLKAAALYTQAIKQDPSNPTLYSNRAAAFLNLVKLNKALTDAETTITLNPQWEKGYFRKGCILEAMERYDDALAAFQIALQYNPQSAEVSRKIKRLSQLAKDKKRAQEVQNLRSNVDMAKSLETLKSEMVLFSLPSEKYGAENCWKDMFLFLVETMEAAVKSWHETSKVDPRVYFLLDKEKTQTDKYAPVVNIDKAFESPHTHSSCFSFLRQYAEDSFSGAACLVAPKSIIAYPQVWKGQGSRKWRHGQHDGFFVQFESPLLRKLWFISSANEMGQTLCRAPDVLDIGAHELLPRLFKEKLSNSS >EOY05767 pep chromosome:Theobroma_cacao_20110822:4:29721592:29727670:1 gene:TCM_020683 transcript:EOY05767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MAEAEVGSGKGGPAGGNGGEEEISLKDKGNEFFKAGNYLKAAALYTQAIKQDPSNPTLYSNRAAAFLNLVKLNKALTDAETTITLNPQWEKGYFRKGCILEAMERYDDALAAFQIALQYNPQSAEVSRKIKRLSQLAKDKKRAQEVQNLRSNVDMAKSLETLKSEMQGSRKWRHGQHDGFFVQFESPLLRKLWFISSANEMGQTLCRAPDVLDIGAHELLPRLFKEKLSNSS >EOY05652 pep chromosome:Theobroma_cacao_20110822:4:29306750:29308761:-1 gene:TCM_020592 transcript:EOY05652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein MASPFDCLLFDLDDTLYPSTTGLAQGLKKNIDDFLIEKFGLSETKASSLRVELFKTYGSTLAGLRALGYDIDADDYHGFVHGRLPYAMIKPDPQLRNLLRSITQRKIIFTNSDRVHAIKVLKRLGLEDCFDQIICFETLNPNLPKSTRPDEFPVVLKPSMDAMKIALDVSHVDPGRTLFFDDNVRNIAAGKALGLWTCLVGKTVKTKEADYAIETVNNLEQVIPEMWVNETDGGDERISRTSSELDAILAATTPVGA >EOY03079 pep chromosome:Theobroma_cacao_20110822:4:4396662:4402036:-1 gene:TCM_017512 transcript:EOY03079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDTFPKCMDTFGLELVLTHENQRKKGNFLQQTFSNGSKASSTTKRLLQHIYKVKRVEKNIKYSSIKSYEEKEKK >EOY02750 pep chromosome:Theobroma_cacao_20110822:4:2041506:2042764:1 gene:TCM_017144 transcript:EOY02750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFEKAKEIEQQKILIDCISAIKLIKEKASAYYAEDDYVAELGDEFVKMLVLDGCFIVELLHKDTGELVLETLFEKTKVPFETKSLIELALHFFVNKFSSYPPPIKTYLFANQDIKHILDLLRSSLVLPFEERKNNPRSGWQHIQTVTRLKEAGVKFVKVAADSILDVKFRDRSLEILSLLIQETTETILRNLIMYEQCLPHCASIFTCYVKVLDNLIDTTNDMDVLCKRDIFYNWLSLVDATQFFNKLYNDTYFKQFYYSKLCDDLNRYCK >EOY03604 pep chromosome:Theobroma_cacao_20110822:4:17376486:17377435:-1 gene:TCM_018704 transcript:EOY03604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWHTRIDLQVHDKMVVKAITSSLSYPCSNFDLIQAIQYLMQHEWELVTHHVVKEDNEVVDYMVNKTLIE >EOY02824 pep chromosome:Theobroma_cacao_20110822:4:2424169:2425745:-1 gene:TCM_017227 transcript:EOY02824 gene_biotype:protein_coding transcript_biotype:protein_coding description:SecE/sec61-gamma protein transport protein MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFTKVAFRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >EOY04511 pep chromosome:Theobroma_cacao_20110822:4:24740493:24743174:-1 gene:TCM_019754 transcript:EOY04511 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein isoform 2 MGILSPVVVVTTLSTILLSILWRATLDLPQESFLQCLLENSLRSHPISAAIYTPQSSSYSSVLESYIRNLRFNETSTPKPFLILTASHESHIQAAVLCAKKQDFQMKIRSGGHDYEGLSYVSSVPFFILDMFNLRSVDVDIGNETAWVQTGATLGEVYYRIAERSNIHGFPAGVCPTVGVGGHLSGAGYGNMMRKYGVSADNIIDAQFIDADGRLHDRKSMGEDLFWAIRGGGGASFGVILAYKIMLVRVPQKVTSFQVEKTLEENATDFVDQWQHVADKLPEELFIRLALDVVNRSQSGEKTVRASFISLFLGDSDTLLSIMNERFPKLGLSKSDCVETSWIRSVLFWANIPIETKIDVLLDRTPQTLVSLKRKSDYVKEPIPKAGLEGIWKRMIELQVPKMFFNPYGGKMAEIPSTKIPFPHRAGNLWKIQYLANWNESGIEAANHHLGLTRKLYDYMTPFVSKNPREAYLNYRDVDLGTSYNGKPVYSEGRVYGIRSSCCFAWLLVCASCFLFSTRKRTEQSWLRCVEVRQCFM >EOY04510 pep chromosome:Theobroma_cacao_20110822:4:24741459:24743078:-1 gene:TCM_019754 transcript:EOY04510 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein isoform 2 MGILSPVVVVTTLSTILLSILWRATLDLPQESFLQCLLENSLRSHPISAAIYTPQSSSYSSVLESYIRNLRFNETSTPKPFLILTASHESHIQAAVLCAKKQDFQMKIRSGGHDYEGLSYVSSVPFFILDMFNLRSVDVDIGNETAWVQTGATLGEVYYRIAERSNIHGFPAGVCPTVGVGGHLSGAGYGNMMRKYGVSADNIIDAQFIDADGRLHDRKSMGEDLFWAIRGGGGASFGVILAYKIMLVRVPQKVTSFQVEKTLEENATDFVDQWQHVADKLPEELFIRLALDVVNRSQSGEKTVRASFISLFLGDSDTLLSIMNERFPKLGLSKSDCVETSWIRSVLFWANIPIETKIDVLLDRTPQTLVSLKRKSDYVKEPIPKAGLEGIWKRMIELQVPKMFFNPYGGKMAEIPSTKIPFPHRAGNLWKIQYLANWNESGIEAANHHLGLTRKLYDYMTPFVSKNPREAYLNYRDVDLGTSYNGKPVYSEGRVYGIRYFKGNFERLVQIKSKVDPSNFFRNEQSIPVLPHQENRDDQ >EOY02704 pep chromosome:Theobroma_cacao_20110822:4:1860665:1864551:1 gene:TCM_017103 transcript:EOY02704 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 5 MDQKNPTIDPKNGFNSKTKTFHSLRPPINLPPQHLPLTVTAYALSLQATSPWPRDSVALIDSATGQKITYSDLIRRMNSLAFYLRTVIKLSKGDTAFVLSTNSVEVPILYFALLSIGVVVTPANPLSTESEISRQVELSNPVIAFALNSTAHKLPKLRFGTILIDSPEFNSAMQTKPWFNQETYRVEVYQSDLAAIMYSSGTTGRVKAVMLTHRNLTSVITGYYSVKEETRTASVVLYTVPLFHTYGFIYVFKSVALSETVVVMGRFDVKKMLKAVEDFRVQRLATAPPLVVALVKGVVTKDFDLSSLEWVVSGGAPLGKDAIAAFTEKFPNVLLAQGYGLTETAGGAFGFVGREACRRWGSVGKLAAYCEAKIVDPDTGEALPPCKQGELWLKGPSIMKGYAADPESTAATLVADGWLRTGDLCYVDGEGFMFVVDRLKELIKYKGYQVAPVELEQLLQSHPQISDAAVIPYPDEEAGQIPMAFVVRQPQSSLSEAEIMDFVAKKVAPYKKIRRVAFINSIPKNPAGKILRKELRKIATPAGTSSKL >EOY02708 pep chromosome:Theobroma_cacao_20110822:4:1860626:1864563:1 gene:TCM_017103 transcript:EOY02708 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 5 MDQKNPTIDPKNGFNSKTKTFHSLRPPINLPPQHLPLTVTAYALSLQATSPWPRDSVALIDSATGQKITYSDLIRRMNSLAFYLRTVIKLSKGDTAFVLSTNSVEVPILYFALLSIGVVVTPANPLSTESEISRQVELSNPVIAFALNSTAHKLPKLRFGTILIDSPEFNSAMQTKPWFNQETYRVEVYQSDLAAIMYSSGTTGRVKAVMLTHRNLTSVITGYYSVKEETRTASVVLYTVPLFHTYGFIYVFKSVALSETVVVMGRFDVKKMLKAVEDFRVQRLATAPPLVVALVKGVVTKDFDLSSLEWVVSGGAPLGKDAIAAFTEKFPNVLLAQGYGLTETAGGAFGFVGREACRRWGSVGKLAAYCEAKIVDPDTGEALPPCKQGELWLKGPSIMKDPESTAATLVADGWLRTGDLCYVDGEGFMFVVDRLKELIKYKGYQVAPVELEQLLQSHPQISDAAVIPYPDEEAGQIPMAFVVRQPQSSLSEAEIMDFVAKKVAPYKKIRRVAFINSIPKNPAGKILRKELRKIATPAGTSSKL >EOY02709 pep chromosome:Theobroma_cacao_20110822:4:1860959:1864563:1 gene:TCM_017103 transcript:EOY02709 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 5 MDQKNPTIDPKNGFNSKTKTFHSLRPPINLPPQHLPLTVTAYALSLQATSPWPRDSVALIDSATGQKITYSDLIRRMNSLAFYLRTVIKLSKGDTAFVLSTNSVEVPILYFALLSIGVVVTPANPLSTESEISRQVELSNPVIAFALNSTAHKLPKLRFGTILIDSPEFNSAMQTKPWFNQETYRVEVYQSDLAAIMYSSGTTGRVKAVMLTHRNLTSVITGYYSVKEETRTASVVLYTVPLFHTYGFIYVFKSVALSETVVVMGRFDVKKMLKAVEDFRVQRLATAPPLVVALVKGVVTKDFDLSSLEWVVSGGAPLGKDAIAAFTEKFPNVLLAQGYGLTETAGGAFGFVGREACRRWGSVGKLAAYCEAKIVDPDTGEALPPCKQGELWLKGPSPEYFILLLV >EOY02705 pep chromosome:Theobroma_cacao_20110822:4:1860959:1864563:1 gene:TCM_017103 transcript:EOY02705 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 5 MDQKNPTIDPKNGFNSKTKTFHSLRPPINLPPQHLPLTVTAYALSLQATSPWPRDSVALIDSATGQKITYSDLIRRMNSLAFYLRTVIKLSKGDTAFVLSTNSVEVPILYFALLSIGVVVTPANPLSTESEISRQVELSNPVIAFALNSTAHKLPKLRFGTILIDSPEFNSAMQTKPWFNQETYRVEVYQSDLAAIMYSSGTTGRVKAVMLTHRNLTSVITGYYSVKEETRTASVVLYTVPLFHTYGFIYVFKSVALSETVVVMGRFDVKKMLKAVEDFRVQRLATAPPLVVALVKGVVTKDFDLSSLEWVVSGGAPLGKDAIAAFTEKFPNVLLAQGYGLTETAGGAFGFVGREACRRWGSVGKLAAYCEAKIVDPDTGEALPPCKQGELWLKGPSIMKG >EOY02707 pep chromosome:Theobroma_cacao_20110822:4:1861018:1863857:1 gene:TCM_017103 transcript:EOY02707 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 5 MDQKNPTIDPKNGFNSKTKTFHSLRPPINLPPQHLPLTVTAYALSLQATSPWPRDSVALIDSATGQKITYSDLIRRMNSLAFYLRTVIKLSKGDTAFVLSTNSVEVPILYFALLSIGVVVTPANPLSTESEISRQVELSNPVIAFALNSTAHKLPKLRFGTILIDSPEFNSAMQTKPWFNQETYRVEVYQSDLAAIMYSSGTTGRVKAVMLTHRNLTSVITGYYSVKEETRTASVVLYTVPLFHTYGFIYVFKSVALSETVVVMGRFDVKKMLKAVEDFRVQRLATAPPLVVALVKGVVTKDFDLSSLEWVVSGGAPLGKDAIAAFTEKFPNVLLAQGYGLTETAGGAFGFVGREACRRWGSVGKLAAYCEAKIVDPDTGEALPPCKQGELWLKGPSIMKGYAADPESTAATLVADGWLRTGDLCYVDGEGFMFVVDRLKELIKYKGYQVISSWSESISFKSGKSSKIFLCRSLP >EOY02706 pep chromosome:Theobroma_cacao_20110822:4:1860665:1864721:1 gene:TCM_017103 transcript:EOY02706 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 5 MDQKNPTIDPKNGFNSKTKTFHSLRPPINLPPQHLPLTVTAYALSLQATSPWPRDSVALIDSATGQKITYSDLIRRMNSLAFYLRTVIKLSKGDTAFVLSTNSVEVPILYFALLSIGVVVTPANPLSTESEISRQVELSNPVIAFALNSTAHKLPKLRFGTILIDSPEFNSAMQTKPWFNQETYRVEVYQSDLAAIMYSSGTTGRVKAVMLTHRNLTSVITGYYSVKEETRTASVVLYTVPLFHTYGFIYVFKSVALSETVVVMGRFDVKKMLKAVEDFRVQRLATAPPLVVALVKGVVTKDFDLSSLEWVVSGGAPLGKDAIAAFTEKFPNVLLAQGYGLTETAGGAFGFVGREACRRWGSVGKLAAYCEAKIVDPDTGEALPPCKQGELWLKGPSIMKGYAADPESTAATLVADGWLRTGDLCYVDGEGFMFVVDRLKELIKYKGYQQVAPVELEQLLQSHPQISDAAVIPYPDEEAGQIPMAFVVRQPQSSLSEAEIMDFVAKKVAPYKKIRRVAFINSIPKNPAGKILRKELRKIATPAGTSSKL >EOY03013 pep chromosome:Theobroma_cacao_20110822:4:3640357:3647463:1 gene:TCM_017425 transcript:EOY03013 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MEDGDRNASCRNLALQKDVHQGIDNYVKKSSTEQRDALAEEPKCVICGRYGEYVCDETDDDICSLECKQTLLSGIANSLLPVGPSSTQRLPATDECFYVRDSVDKSGFQFLTGDQAELLRKKLEIHVKGDVIPAPIVAFSSCALPQKLLQNIETAGYGMPTPVQMQVIPAALDGKSLLVSADTGSGKTASFLIPIISHCANFRRSNFSNHKKPIAVVLTPTRELCIQVEDNAKLLGKGLPFKTALVVGGDPMARQLYRIQQGVELIIGTPGRLIDLLTKHDVELNDVKIFGLDEVDCILQRGFRDQVMQIFRALSQPQVLMYSATISQDIEKIASFMAKDVTVVSIGKPNRPNKAVKQLPIWVESNKKKQKLFDILRSKHFTPPIVVYVGSRVGADLLSNAITVSTGIKALSLHGEKSMNERREILRLFLVGEVSVIVSTGVLGRGIDFLGVRQVIVFDMPSSIKEYVHQIGRASRLGEEGTAIVFVNEENKNLFPELVDILKSSGAVIPQELIQLRVLEIFSSNPPFLQLVLRSQKCEKVLL >EOY03014 pep chromosome:Theobroma_cacao_20110822:4:3640625:3647439:1 gene:TCM_017425 transcript:EOY03014 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MEDGDRNASCRNLALQKDVHQGIDNYVKKSSTEQRDALAEEPKCVICGRYGEYVCDETDDDICSLECKQTLLSGIANSLLPVGPSSTQRLPATDECFYVRDSVDKSGFQFLTGDQAELLRKKLEIHVKGDVIPAPIVAFSSCALPQKLLQNIETAGYGMPTPVQMQVIPAALDGKSLLVSADTGSGKTASFLIPIISHCANFRRSNFSNHKKPIAVVLTPTRELCIQVEDNAKLLGKGLPFKTALVVGGDPMARQLYRIQQGVELIIGTPGRLIDLLTKHDVELNDVKIFGLDEVDCILQRGFRDQVMQIFRALSQPQVLMYSATISQDIEKIASFMAKDVTVVSIGKPNRPNKAVKQLPIWVESNKKKQKLFDILRSKHFTPPIVVYVGSRVGADLLSNAITVSTGIKALSLHGEKSMNERREILRLFLVGEVSVIVSTGVLGRGIDFLGVRQVIVFDMPSSIKEYVHQIGRASRLGEEGTAIVFVNEENKNLFPELVDILKSSGAVIPQELSNSRYTVVSFPSGKGKGFKKRKYGC >EOY02353 pep chromosome:Theobroma_cacao_20110822:4:529743:536323:-1 gene:TCM_016860 transcript:EOY02353 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MNTNFLEATVQIIVMAIFIAVILLFIGVGMLVVIHVCIVGRAFRGGLRNPGRFDRSNSRNRCMSQDDLQKIPCYDYIAKDGGSSPVDCAVCLENFKMGEKCRLLPLCRHSFHADCVDSWLLRNPICPICRASADSGKAGLVLDEESSHSSEYVGQLRGRQSREGRDNQTNDARIEVRDNQMREGSNVTDSGAESRENQTNLVGTHLILFPFMSKGHTIPILHLARLLLRRGLAVTVFTTPGNRPFIAKSLADTSASIIDINYPENIPEIPAGVESTDALPSISLFVPFCAATKLMQHEFERKLQSLLPVSFVVSDGFLWWTLESATKFGLPRLMFYGMSHYASTVSKAVAVDRLLFGPESDDELITVTQFPWIRVTRNDFEPILSSKPDPDSPPMRLFMDQVIAAENSKGKLVNSFYELEKYFFDSCNLEERLKAWSVGPLCLAEPPKADISSEQLKQIATGLEESKVNFLWVVRKKESELGEGFEERVKETGIVVREWVDQKEILMHQSVQGFLSHCGWNSVLESICAGVPILAWPMMAEQPLNARMVVEEIKVGLRVETCDGTVKGLVKWEGLMKMVRELMEGEMGKEVRIKVEELAELAKMAMEENTGSSWRTLDMLINEFCNNK >EOY04951 pep chromosome:Theobroma_cacao_20110822:4:26603319:26604912:-1 gene:TCM_020091 transcript:EOY04951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGYWFLCGKAIVSSSSCLLRRGFNYQQNFSVVNVKLKWVKDKALDAVIAGERDLRSACSLVSILSSASDCCLPIYHLSRKRRREGARGAPAPQVSKIYNICLLKFLLNICLHLL >EOY05456 pep chromosome:Theobroma_cacao_20110822:4:28619063:28620214:1 gene:TCM_020452 transcript:EOY05456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tau class glutathione transferase GSTU43 MDEVKLFGFWPSPFSLRVIWALKLKGVNYEYIEENLPNKSDLLLQYNPVHKKIPVLVHGGKPIAESLVILEYIDEVWPENPLLPKDVHERSVDRFWAKFIDEKTRTMWEFFHKFGEEQEKAVKDNLEILKTIEEHGLGDKKFFGGDKLGLTDLVFGWALHILVPMEEVAGIKFIKADTFPRLHAWMKNFSEEPVIKDNVPDHNRVIDFFKTRRELYKTSPHHSQAKVN >EOY04480 pep chromosome:Theobroma_cacao_20110822:4:24545098:24546226:1 gene:TCM_019722 transcript:EOY04480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLVQYLPKQKSKLLNLVQFVMEKEIVIQLDQRKLSSFPLKESVLSYCHWLPKKKVCCVVLFHLMKMDKL >EOY05708 pep chromosome:Theobroma_cacao_20110822:4:29558464:29559767:-1 gene:TCM_020643 transcript:EOY05708 gene_biotype:protein_coding transcript_biotype:protein_coding description:17.6 kDa class II heat shock protein MISPFPFHNLIKISIPIQISSSLFFTKASFFLILILFQAFSLPSTSSQFTAKRSILCDKNQKPKSQIPSKNIMRIHPLPRKRNITIQYEMNPRTTRSQAESLLSGGSHKKLRRLPHIFSRVLELPFRSDADVAVEESPDCFKFVAETDGRIGDVVRAHTVEIHPGVTKIVIRSNSLVDFSLLDDLELDMWRFRLPETTRPELASAVYEDGELIVTVPKGGEVENLEEGGGGGEAEGNGEIRGGMGNGNTNNRLVLVQ >EOY06506 pep chromosome:Theobroma_cacao_20110822:4:32122289:32123740:1 gene:TCM_021203 transcript:EOY06506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAALESHHDFLLYDFVRGPFYMRILSDRHGDDAQQVIQATNLKIPYCDLTLSMNPTKFFVVIGHYDGLLCVGIQSVKSRLQALILWNPSLQDLKQIPVPRSWRRESWRVLGFGRDFSTDDYKILRVSNEYGVSEYSDVEIMSMKNNSIINAEKDLPYFAKSKQKSTFANGCLYWFACKPLRYGIYHDLILRFDLAKEKVREVSPPPCRVDSCSLSLFRGCLCVLRHNKITGDTDLWVRKKQHGMHKSWMKLITLPETPNYGKIWRPFPICYIRNKVLVSADNKNFFLFNPNDESYQKLVVHGITYWAKIFPYVESLVSPYSITKA >EOY03961 pep chromosome:Theobroma_cacao_20110822:4:20775048:20791299:1 gene:TCM_019183 transcript:EOY03961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-like protein MRGANNLETILCDLEIERTFCRLRRENQQGVALVSIMVDQQGEQQIQEHLVVDNHFLKDYAVPLVQGIPLSIRRLVIQVNNFEIKPAIITMIQTLVQFGGLLSDDPNGHISNFLEICDTFKHNGVTENAIHLRLFPFSLSDKVKTFYNGLSGHVRITIDATTRRALMAKLIDEVGQLANFLNNRTQGTLLSDTEANLRREGKDHLKAITLRSVSAIDRVMNEVFIEDHPNDPLEVSLISEVEPINDEVIECVNALNAHSRREATVTCSLQREPGPIKTLTTREFLAVAKLNLAAGPTMEMTKLPLARVIASIPSYKPIEVEMLDRLARKEYYCFLDGYSGYNQIVIPLEDQEKTTFTCPYDIVEKTIKVFTDDFSIFGNNFDECLLNLDRVLSRSEETNLVLNWEKCHFMVQEGIVLGHKVSSKGLEVDKAKIETIEKLPPPTSIKVIVYADHSAIKYLIAKKDAKLRLIRWVLLLQEFDLEIRDRNGLENQVADHLSRLELDSQGKDMSFINEAFPDEQLLHVGQKKLSWYQKVGNISKRHEMPLNNIWKWKFSVPRESMSWDHSCHHSIIDTSWTKVILRGAEAIERHMRSLVHKVPIPEHGIDLYPNLYSLVHKVLAERQWEQFFEQLDPAVLPMIQEFYAKAAVHIHGQVLVHK >EOY02277 pep chromosome:Theobroma_cacao_20110822:4:286686:287629:1 gene:TCM_016804 transcript:EOY02277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRCVSKVALILPFRRIAVNWPQWLDGVFVVKGQSGVHPNRLLKFYFIVHFRGVQSVRAGLEYSFVAKHGHKIAGAGQKDCNLNNNHHIHKYAKNPCLKLIHIHDRRGRNGLGHQVKLLKQKEHHFIS >EOY04451 pep chromosome:Theobroma_cacao_20110822:4:24351347:24362703:1 gene:TCM_019696 transcript:EOY04451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein MLLKVLVFLVLVSVVVNSQQPTFNDDVLGLIFFKAGLDDPNAKLQSWSEDDNDPCNWMGVKCDPTTYRVTELHLDGLSLSGHVGRGLLRLQFLQVLSLSNNNLTGPINSELSHIGSLKVIDLSGNSLSGLIPDDFFAQCGSLGSVSFARNNLTGQIPDSLSSCSTLVAVNFSSNQISGQLPSGIWFLRGLQSLDISGNLVEGDIPEGIGNLYDLRQIDFGNNRFSGRLPEDIGSCSQLKSLDFSENYLSGSLPDSMQRLGSCTSISLRGNSFRGQVPDWIGELTNLESLDLSANNFSGRVPFSLGNLQFLRKLDLSMNQFTGALPESMANCFNLLAMDVSQNLLTGNVPSWMFKLGVNSALISGNTLIGKMESPLLASRVSSYQSLQILDLSSNALSGEIPSNLGVLSSLILFNMSRNHLFGSIPSSIGELKTTEVIDLSDNWLNGSIPSEIGGAVSLKELSLQRNFLSGKVPTQIVNCSSLTTLILSQNNLSGSIPPAIANLSNLQYVDLSLNDLTGSLPKELANLSQLMSFNISHNHLRGELPLGGFFNTIPTSSVSGNPSLCGSVVNRSCPAVHPKPIVLNPNSSDSIGGSSPNHHRKKIVLSISALIAIGAAAFIVIGVVAVTVLNIHVRSSMSRAPATLTLSGGEDFSCSPANDPNYGKLVMFSGDADFDAGAHALLNKDCELGRGGFGVVYRTILRDGRSVAIKKLTVSSLIKSQEEFEREVKKLGKIRHHNLVALEGYYWTSSLQLLIFEFVSSGSLYKHLHDGPGRTCLSWRQRFNIILGTAKGLAYLHRMNVIHYNLKSTNILIDSSGEPKVGDFGLARLLPTLDRCILSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVITGKRPVEYMEDDVVVLSDMVRGALEDGRVEECIDGSLRSNFPAEEAIPVIKLGLICASQVPSNRPDMEEVVNILELIQCPSDGQEELE >EOY06129 pep chromosome:Theobroma_cacao_20110822:4:30992594:30999573:-1 gene:TCM_020947 transcript:EOY06129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor U2AF 50 kDa subunit, putative MGRWSRRKEKHEKGGELPQHDSHEGTSARTRPFSYDEIMFKRNNRKLNENAESVKEGNTEVGKIAKVSVIQNDSNVNNSEGGHRHGKDFSPGDGKRLPEELEKRNSHKKGENASRRKDSLSNQKNRENHASEKKLKSEVTKDIGVKDEGKYEKQIHVKRTNERPAGGFETIDAKKHSRDLVERDRHAGRMEGKYERDGKRKYQTRDDEENRERSTAKKHDMQKGHPSETIERRERKESSRSYYEESHHKRRRSYSREREHRHRRSISLSPQAHKRASHHVSKHEPFSHGLKERSGRQNFDDRSRMTSNGSSGHHRRHGGSTSGLGGYSPRKRKTEAAVRTPSPVHRSTEKRTAKWDLVPAEPEKIVSGSLSSNLQASSQTVSLNMHAVVSAVPSVSTTGKPHVVSLTSSLSWKHNVSVDSVQLTEATRPMRRLYVENVPASASEKAIMESLNNFLLSSGINHIPGAQPCISCIIHKGKGQALVEFLTPEDASAALSFDGSIFSGSILKIRRPKDFVEVTGELEKSEAVTKVSDFVKDSHHKIFIGGISKAISCEMLVEIANAFGPLKAYHFEINEDLGDQYAILEYVDESVTLKACAGLNGMKLGGQVITAVQAVPNGSSLGNGGDRQSFVIPQHARPLLQKPTQVLKLKSLFPEDFSSLSEAEAEEVLEDVRLECARFGTIKSVNIVKHANAIIATGDKKIDDNTRETGARRNLENDEINVQTETMEEVTDGNSGGTAQVKFPSDAHEEKAGDSINDEKPLCKLVDNESCRQGEFEGDINKEDINRESLDTEPCQPGGLDSNIAAGAQVDTELAVEDLASETVAMTVSQEVPKLMNASKEESDYYSDRNADNIKSVAINVDEILAANESNLEEVNGKLPEGCPNAEVAIEDPASKSVPISISQEIPRMPRTEEQDSQFDKVADNVQIEVINVEKKLVPKEDLELKEVDGKLPEAVDGSAGGVKIESDTIEQAENKENNLQQIFEPGCVFVEYRRIEASCMAAHCIHGRLFDDRIVTVEYIDPDLYRLKFPK >EOY03963 pep chromosome:Theobroma_cacao_20110822:4:20837638:20838449:1 gene:TCM_019187 transcript:EOY03963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSKDLRVKKGKEVAFEEKEVPLRDQFHIFQQKINVLIDNLMQRTFDLEASDFSRSGCGTEDVILKKTLDDSSKSTGWEQQKSPECYEGWGSLDESNQLASSNG >EOY04167 pep chromosome:Theobroma_cacao_20110822:4:22382755:22386042:-1 gene:TCM_019428 transcript:EOY04167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTIEFCPAETIPRISFSHDFGSSTISPMQQYCPLRSTSLPLNSSIDFEFEVRINSIDQECYSSADELFSNGKILPIQIKKNICPSKQDQQQPTSHKPQPQARNASSNDIEVATKEPNDQNQSSKSFWQFKRSSSLNFVSGYKRRLCPSKLLSGSSSTGSAPKVKPGPVLKDGHGPHGIGNHRQNNQKEKSLPCLQSSTSNQKSPLKKSFTYGSHGNGVTINPVLNVPLVDIFCLSSVFPSGKDKRK >EOY06141 pep chromosome:Theobroma_cacao_20110822:4:31053395:31087310:1 gene:TCM_020958 transcript:EOY06141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclopropane fatty acid synthase MGFAVIGGGIRGLVSAYVLAKAGVNVVVYEKEDQFGGHAKTVNFHAIDLDLGFLFLNPATYPTMLELFDILGVDVEASDVSFSVSHDKLGHGYEWGTHHGFSSLFAHRKNMLNPYFWQVLREIIKFRDDAISYLHMLENNPDIDRNETLGQFIKSRGYSETFQNTFLGPICGSIWCCSTQKVLNFSAFSTLSLCCSHHMFQLFGRPQWLTIKRHSYFVKKVRDILESRGCQLKLGCQVHSVLPADNAILWTSNDNGKKGLAGSIMVCGDGFQETYNGCIMAVDAPSALALLGNQATFEEMRILGAFQYSSSDIFLHRDSNLMPKNRSAWSALNFLRSSEDKACLTYWLNVLQNVGKTSLQFFVTLNPHHTPNNTLLKWSTGLLIPSIAASKASLELDQIQGKRGIWFCESIISTECHLSICLQYFQAMTSMRTNLREMLLFTTEDHFFYQAGMVAAHGILGKHSSVLNSQRHLSLSFTETGARLVVTKFFQQYISMGCVILFEEGGGVFTFKGSMEKCSLKAVLKVHNPQFYWKTMTEADLGLADAYIHGDFSFEDKEEGLLNLFLIFIANRESDSSASGLNKKRGWWAPALFTASISSAKYFLKHVLRQNTLIQARRNISRHYDLRNELFELFMDVTMQYSSAIFKVKTPDSMAENEDLKVAQLRKISSLIDKARIEKGHEVIEFGSGWGYFAIEVVKRTGCKYTGVTLSEEQLKYAEAKVKEAGLQDNIKFLLCDYRQLPKTCKYDRIISCEMTEHVGNEYIEEFFRCCESILAKDGLFVLQFISVTEELFHEYLRSPGFAKEYIFPGGCLLSLTRMLSAMGAGSRLSVEHVENIGPNYVQTLRCWRKNFLENKSKILALGFDEKFMRTWEYYFDYCAAGFKSRTIGDYQVVFSRPSNFAALGDPYQGFPSAYSY >EOY03982 pep chromosome:Theobroma_cacao_20110822:4:21052407:21053581:1 gene:TCM_019214 transcript:EOY03982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQKIRQRTLKPKIFLKPQTGKEEKAQRIEATKKRCSLLNKKKKINQNQQKGTCRAKRAFKAITKAKNTIFEDLQPKHEKQSKIPKNIARAKKEMSMEKQTIPPLSHSLDISPATTNRPLKDPPPPQSFEHPPKKP >EOY02561 pep chromosome:Theobroma_cacao_20110822:4:1322170:1324088:1 gene:TCM_017000 transcript:EOY02561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQNKVKLVLLSKLSMNMQVVSNHWITNILLVSLCLCYLKKTDIFLIDGIIRHDYDSYLDFDPCYGSFNYPIFVPHSIIFRLLLRFLTTGLSLVLVYLALWPAF >EOY02674 pep chromosome:Theobroma_cacao_20110822:4:1741580:1742721:1 gene:TCM_017083 transcript:EOY02674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAENWEEMDQEQEQEQEQSRQLLEQIYATFDPKDIDGFLNMYIGIIPMVATNIHDLDTFNVMNQVAQQKNASIDILKKKPVVISELADHQTRVDKLCKQIDDIAVRLAGYHYNSARLHFLQRSWKEALEHVHKAEKVLIQYESIYISVSFLSGILELKFGHHEEANQSFHRCKQACEILNLGFDFEIFFKLCDSMLISSLEDSKGKQKPMSKGKQKKLKGKKT >EOY04474 pep chromosome:Theobroma_cacao_20110822:4:24502230:24505993:-1 gene:TCM_019716 transcript:EOY04474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein, putative MAALNLKLVFGLAVLWMLVVDPMATAALTCDDVTGQLFPCLTYLTLRGKNVRPPPQGCCNGVRRLNKQAQSKADRQKACNCIKGLAGTFTGLNLDLVEGLPRRCNVNIPYKISPSTDCKKSLSLFILVTPRPPFNSFEFGSFIFCLCGLNFEKALANDVCPGLQIEDHNRVAEFVAKDVQLIQAKLAKH >EOY03493 pep chromosome:Theobroma_cacao_20110822:4:16340206:16340844:1 gene:TCM_018583 transcript:EOY03493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRSSFLKLLLLSMLLVLSSQGFGRKVMETVEFEESTAQSGEFADTSREMIEIMDYKDPGPNINPKTGYIFSPPPQG >EOY05557 pep chromosome:Theobroma_cacao_20110822:4:28987473:28991832:-1 gene:TCM_020530 transcript:EOY05557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic 7S globulin precursor, putative MAKPQLVFANLNKIYFSRAPSSLFKSLPQGISGLAALSRAPIAFSSQFTPPYIGITKKLCNLFAWWKQKSNSQEYHVGLKCISINGRETNFQPNAFSFDSYGNGGVKLSTVVPHTTLRSDVYKNFMEDFKCHTEGIPRAKNARSFLSGMCPPADNIVMKNGQYRCTVTTVNFVSKSCALAKLSYGNVILFWTNGKNPTGNTNLNKIYFSCAPISLFKSLPQGVCGLAALSRVPLALSSQLTSPYLGLTKKFAICLPSGNKDSRVTFFGDGPCYFLPTQFDPTKFLSYTPLLKKSNSVEYYVGLKGISINGKESKFQPNAIAFNSYGNGGVKLSTVAPYTILRSDVYKIVLKDFSRATKGIPRVKNASPFGLCLKASAMEWSRVGLLAPTIELEFGNGVKWRIFEANSMKQVGGDVACLAFFNGGKTAKEAVVIGSYQMQNNFLQFDLAGSRLGFSSSLYSYRTSCAKFNFTSAV >EOY03657 pep chromosome:Theobroma_cacao_20110822:4:17658534:17660911:-1 gene:TCM_018748 transcript:EOY03657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTNDPHQLIPLLYFLSEQDQSTRKNPSLCIRSSGLRCVDEGLGICALRIGQWRSFRSVLEFRSWPLHLSVLDTHKEKDKFEIPINAVAWVHYRHHVLTGGRGTVCSVVG >EOY05889 pep chromosome:Theobroma_cacao_20110822:4:30185892:30189934:1 gene:TCM_020780 transcript:EOY05889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2-2 isoform 1 MAHVVPADPQAVVTVKKKVQPSRSWVLLDSTGETTVLEVDKYAIMHRVNIHARDLRILDPLLSYPSTILGRDGAIVLNLEHIKAIITSEEVLLRDPSDEYVVPVVQELQRRLPPVNAFRQGQDYTGGQNDVEAGDEDESPFEFRALEVALESICSFLAARTLELESAAYPALDELTSQISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASPVSGSGAANWYPASPTIGSKISRASRASIATVRGDENDVEDLEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSICSLVAGIFGMNIPYTWNTDHGYMFKWVLIVTGILCSVLFILIMSYARFKGLVGS >EOY05890 pep chromosome:Theobroma_cacao_20110822:4:30185927:30189934:1 gene:TCM_020780 transcript:EOY05890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2-2 isoform 1 MAHVVPADPQAVVTVKKKVQPSRSWVLLDSTGETTVLEVDKYAIMHRVNIHARDLRILDPLLSYPSTILGRDGAIVLNLEHIKAIITSEEVLLRDPSDEYVVPVVQELQRRLPPVNAFRQGQDYTGGQNDVEAGDEDESPFEFRALEVALESICSFLAARTLELESAAYPALDELTSQISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASPVSGSGAANWYPASPTIGSKISRASRASIATVRGDENDVEDLEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQQLDNHRNQLIQLELFLSSGTVCLSICSLVAGIFGMNIPYTWNTDHGYMFKWVLIVTGILCSVLFILIMSYARFKGLVGS >EOY04093 pep chromosome:Theobroma_cacao_20110822:4:22015413:22018801:-1 gene:TCM_019360 transcript:EOY04093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNRVVIKLTVDDQEPLLVEEVNKAISSDNGAKSFNVQVDTRDFSSEPQVLVSNPKSASRIASFSNFQRSHSSGGSMILKRPTDLGKANDVPRVSNVEIGSHRGTKHREMTVSRQGRGRGGGKNSFWTQQRVDEIFHLMFDDRVTNGDIAKHNAFILREVKATIAIGKEHIIVRRRYIMIIGKPNELDTLFGFGDIYGPNDCEERLTFLEELLKVIRTWDVARCIVEDFNVIRNIEERSGCERVDSSMPYFNNFIEEAGLMDLLIIGSNFTWCGSHDNATFSRLDYFLIVMEMVKCWPNLVLSALISDHFLVILCWQEVRCLSCRLMKLEKQTASFFERPFNEQEIPEAIKSYEGNKAPRLDGFNFNFLKKKWGTIKDDIVRIVLDFENTGIMAKEVNSSFVTFYSEV >EOY03817 pep chromosome:Theobroma_cacao_20110822:4:19560229:19566441:-1 gene:TCM_019009 transcript:EOY03817 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative MASSSSLELNLSLKPSYVPKTIANLLKDLSHVDTASDKLAVLNDYINQHEEELNNIVAFKHQLPQCMLLLMEALGTLKDEFMSIKNGMESETGRPLMEFLAIKRKHYEEEQTDVSAAEKYDCKGKSLMRSSPQFWNSNCNNKKQKTFIEFIQAPNQNPIPFKESTFSSSLAEKGCISKEIIRKSYSRFASVGTNNGDSRLNLRADNRTLNYHPRPLTQPIWKNNRRSWSPELHARFVEALNLLGGIEVATPKQIREVMQVEGLTNDQVKSHLQKYRLHCRRLPENYAKCLVKLQDPWHPQMYYGDPLSPEDSDENNSNPEGSLSRKS >EOY04613 pep chromosome:Theobroma_cacao_20110822:4:25220891:25226286:1 gene:TCM_019831 transcript:EOY04613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonsense-mediated mRNA decay protein 2 isoform 2 MGKNQAYKAMQRARLGSTSAGPEEIEDGMVDGSFHSPEWHAARLASLKTSHTVTWEEFKMKQKVSYNALRKGELEADTDRMMREYRAQLDAERARKLAHGRNHSSKSNHKKDRKDKDAKKRSSRKRKRSRQRCSESSSSSSSSESSSSDDDGRESRRLKSKSKRDKRKKHKSRSKNSSTDNEGAEGPVPLSRFFDSVKS >EOY04612 pep chromosome:Theobroma_cacao_20110822:4:25220891:25226286:1 gene:TCM_019831 transcript:EOY04612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonsense-mediated mRNA decay protein 2 isoform 2 MGKNQAYKAMQRARLGSTSAGPEEIEDGMVDGSFHSPEWHAARLASLKTSHTVTWEEFKMKQKEDALRKGELEADTDRMMREYRAQLDAERARKLAHGRNHSNRKDKDAKKRSSRKRKRSRQRCSESSSSSSSSESSSSDDDGRESRRLKSKSKRDKRKKHKSRSKNSSTDNEGAEGPVPLSRFFDSVKS >EOY04611 pep chromosome:Theobroma_cacao_20110822:4:25220888:25226187:1 gene:TCM_019831 transcript:EOY04611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonsense-mediated mRNA decay protein 2 isoform 2 MGKNQAYKAMQRARLGSTSAGPEEIEDGMVDGSFHSPEWHAARLASLKTSHTVTWEEFKMKQKEDALRKGELEADTDRMMREYRAQLDAERARKLAHGRNHSSKSNHKKDRKDKDAKKRSSRKRKRSRQRCSESSSSSSSSESSSSDDDGRESRRLKSKSKRDKRKKHKSRSKNSSTDNEGAEGPVPLSRFFDSVKS >EOY05520 pep chromosome:Theobroma_cacao_20110822:4:28886889:28889219:1 gene:TCM_020504 transcript:EOY05520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTVQIIKIPNGQTTHHDKVRPDSSDLTRDGQPIKLPQNGCSLTPVTNVLLGAAFSRKFRMKDVAMLLEILVTLRTCLIVILIKNESVFNRKVWDGKEIFFLIRMRSTFWLRACDEGTLKFNVDGSARRKPRPAGCGGALRNSEGFLVGVFFGPLGVQDSNFAEIMAIKHALHLFSFSPYATTNKLLIEFDSKIALSWILHPSKRPWDKWMLFNDIDSLILQLKEVSFQHTLREGNSFADSLAKYGVDKESMFSAWW >EOY06478 pep chromosome:Theobroma_cacao_20110822:4:32045853:32049631:-1 gene:TCM_021184 transcript:EOY06478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin 1, putative MARTEEHQHSIKVEEGWPVIQEGVDKLIKGIEGDNSQSFSSEDYMRYYTTVYNMCHPNPAGANCQVLYEKYKNIFEEYITSKVMPSLQGKEGEALLQELEKRWSNHKMMTRWLSRFFHYIDRYFVAVKKVPSVQEVALCSFYNLIFGEMNNQVRDAVLSMIDREREGEDIDQALVKNVLAVYVDVGQGSLKYYEKDFEEAMFEDTAAFYSTKASKWIKNESYKNYMLKVASCLKHERETISCYLQDRSQRKLLEIVEHELLSVHATELQEKEQLDASPLTETSR >EOY04707 pep chromosome:Theobroma_cacao_20110822:4:25588671:25591166:-1 gene:TCM_019898 transcript:EOY04707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEAVFNEIPLNVGRYMVERIRTTTLGDKANLPCGNIFTALAKNNRIWNVRFQYNFTTNKDQGIFLGSVLKMGYKI >EOY02583 pep chromosome:Theobroma_cacao_20110822:4:1382461:1384864:1 gene:TCM_017015 transcript:EOY02583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASYLLLVILIHSSPFLSQAKQNGIDSFKCVNIYQQPAFSHRKLRHHKLQTKPNIPQFPGATFSRSDVNNDHGCPKGTVPIHANPSIHPQNDINSGVKYFATISTPPGEKTYRGGAAIMGVFNPVVKQMQSSRANVWVQNGPTSDLNSIEAGWAVHPNLYGDNYTRLTAYWTADDFRTTGCYNLLCPGFVQIDTSVFLGQAYLINLDHPMMIEQIGIFQDNVTGNWWLVTQMNYLIIPVGYWPKKIFTYLTLGADVVKYGGTTSTYLQQLDRPPMGSGKYPGDLGWVFGFFTRIRYVNDSYNLIDADHRKMNKVLDSVCYGLMYFTAGGAMKEAMKFGGPGGTPDRCKQLPP >EOY03132 pep chromosome:Theobroma_cacao_20110822:4:5868045:5871309:-1 gene:TCM_017664 transcript:EOY03132 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein MERAHANVKCKGWFGSAAILFQIPTPSIPSRVSTSPILPSLPLLSLLSNKHIKGKGKEKMDKLSKCNANYVPLTPLTFLKRASSVYANRTSVIYENTRFTWLQTYERCCRLASSLRSLNIVKNDVVSVLAPNVPAMYEMHFSVPMAGAVLNTINTRLDAKNVATILRHSEAKVLFVDYQYVPLARDALRMLMGDSHESSAAAESLIPLVIVIDDIDSPTGVRLGELEYEDLVHMGNPRFVPTEIQDEWDPISLNYTSGTTSEPKGVVYSHRGAYLSTLSLVLGWEMGTEPVYLWSLPMFHCNGWTFTWGIAARGGTNICLRNTTAYDMYRNIAFHKVTHMCCAPIVFNILLEAKPHERREIASPVQILTGGAPPPASLLEKMELLGFHVTHAYGLTEATGPALVCEWQARWNHLPRERQAGLKARQGISILTLADVDVKNMETMASVPRDGKTMGEIVLRGSSIMKGYLKDPEATTKAFKNGWFITGDVGVIHPDGYLEIKDRSKDVIISGGENISSVELESVLYRHPRVLEAAVVAMPHPRWGESPCAFIAVKENAAGKTEDVKEADIISYCRKNLPNYMVPKKVEFLQQLPKTSTGKIQKFQLRALAKSFHVSENSTRKKSTQVNKETPFYQPHEHILALSRL >EOY02999 pep chromosome:Theobroma_cacao_20110822:4:3409095:3410362:1 gene:TCM_017391 transcript:EOY02999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKKGERYDWQGSGIAPLMPRDKAIESAPSKAQKSGRVKAGFLPPVRRSKSWFVCRRLGGVKAGLFVAG >EOY02959 pep chromosome:Theobroma_cacao_20110822:4:3207565:3209820:1 gene:TCM_017353 transcript:EOY02959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit Rieske, mitochondrial MLRAATRRLSSLTSCPSAWRHHQAAAAIAPCPLINDTDSSDDLRSSSSFSFASHFILPTRGFTSESLTPKSEHSMIPDVPGTVAAIKNPTSKIVYDEYNHERFPPGDPSKRAFAYFVLTGGRFVYASLIRLLILKFVLSMSASKDVLALASLEVDLSSIEPGSTVTVKWRGKPVFIRRRTEDDIKLANSVDLGSLRDPQEDSARVKNPEWLIVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYTFLEENKLMIG >EOY02979 pep chromosome:Theobroma_cacao_20110822:4:3303606:3309021:-1 gene:TCM_017371 transcript:EOY02979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine biosynthesis bifunctional protein MAVSSFPCIQPVRAPFRACSLFSTGVCGRFRNKKKINAIVFASSRKLDKDIDLQSKVDTLLDSIKWDDKGLAVAIAQNVDTGAILMQGFVNRDALATTFSSRKATFFSRSRAALWTKGETSNNFINVHDIFVDCDRDSIIYLGKPDGPTCHTGSETCYFTSISDLLKEQEVEENNLALTTLYSLESTISQRESELAGQHGKPSWTKRLLLDETLLCSKIREEADELCRTLEEKEDNSRTASEMADVIYHAMVLLRRKGVKIENVLEVLRKRFSQSEGTPEIGKFPVLTWYQMEACGGGAGDAGGASGGDGSGGNGITLQPSKSHFTSQLTAPSTPGWQSPSFFGAQGFWVLSCADAAATPMDSSKSSAAT >EOY05347 pep chromosome:Theobroma_cacao_20110822:4:28176830:28179235:-1 gene:TCM_020366 transcript:EOY05347 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MERKMQFTVPPTLPEGCIANILSFTSPLDACRSALVSPTFRSAASSDAVWERFLPADYQDIISKSSLKLSSLDSLSKKDLFFHLCHHPVILNNGSLSFTLDKWTGKKCYMLGARELSIIWGDTRTYWRWLSRPESRFSEVAELKVVCWLNIKGKIDTKIISTKTTYAAYLVYKFAEVRHGFKQSPVVVQVKFEEEEGGVTSRVFLDPPSATREQSQHRENGWMEIKMGEFYNEQGDDGTVVCSLWEADNFKCKHGLIIEGIELRPKRNL >EOY05411 pep chromosome:Theobroma_cacao_20110822:4:28478364:28485527:-1 gene:TCM_020419 transcript:EOY05411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein, putative MGGNLFSGEIDRELGFLSSLQIAMNLSYNNLTDNIPSELGKLSSLEVLLLNNNHLSGEIPTTFQDLLSLEELNFSYKNLSGPLPAIPMFKNMNSCSIFANPPYKGNPLSLSNATDKSLLCCWRTTGFGKSGASQILLGAPFGPYIPQATITKGAIPVLYVNIDPTEGSFPLHAAVILHRAPEIRLELELEVVVYVHQNVEQFRTKESLWSRLVQLLLVATLVFSVSETLNSEGTYLLELKNSILDEFNHLGNWKPTDETPCRWIGVNCTSAYEPRVCSVDLNSMNLSGTLSPTIGGLTHQSYLDLSYNELLGHIPKEIGNCSLLEFLHLKNNQFSGPIPGELGTLSYLRSLNICNNKLSGSLPVELGDLFSLDEFVAYTNNLTGQLPRSIGNLRKLRIVRAGDNAISGLIPKELGNCSNLQTLVLIANGLVGQIPMEIGKLKLLKKLYIYMNELNGSIPREIGNLSLATEIDFSENYLTGEIPTEFSKIKGLYSLNLFHNQLRGVIPNELSSLRNLTMLDLATNYLTGPIPYGFQYLTEMLVLRLFENSLSGSIPQQLGVYSPLSLLEISDNHLTGKIPPHLSRYANLVFLNLGANKLYENIPTGIKNCERLERLNLIGNRLSGTLPSEVGNLVNLYSIRLDDNNFTGPIPAEIGNCRKLQRLQIAGNHFTSKLPKEIGNYLNSCF >EOY06912 pep chromosome:Theobroma_cacao_20110822:4:33350767:33353930:1 gene:TCM_021494 transcript:EOY06912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily, putative MFKSPSHCWGIINFYISTKMNRVAIGGVSFILVVGVCIGLIASVTHKNGSNDTTEKDVLSTTSKSVAAICSPTQFKQACVDTLGSVANNESAVPKDFIQAAIHVTLQELQAALNKSGSIFGSAVSDKTVKMAKDDCDELLQYAVDELQASFSVVGDSDLHNMEQREAEIKNWLNAVISFQESCVDQIPQPQLQQQLSNCLLNASQLTSNALAIVNSISQIMSAFNLTSSLNLNLGGGNDRQLMDEPNPDHDTTYPSWVSSKDRKLLAGGNNAQLTPNAVVAKDGSGQYKTIGDALKAYPKNLQGRYVIYVKAGIYNEYITVDKDKVNVFMYGDGPRKTMVTGRKSNRDGYTTTDTASFFAAAIGDGFIAKAMGFQNTAGPEGHQAVALRVISDRAVFYNCRMDGYQDTLYVQAHRQFYRNCVISGTVDFIFGDSNTIIQNSLLIVRKPMDNQQNIVTAHGRKFKQETTGLVIQNCRIVPDQALFPVRFKIRSYLGRPWKEHSRTVIMESTIGDFIQPAGWLEWAGNFALNTLYYAEYANRGPGAATNGRVKWKGYKVITNRNEALQFTAGPFLQGQTWLAESGVPFFLGFRPPNVKS >EOY03304 pep chromosome:Theobroma_cacao_20110822:4:12094391:12099669:-1 gene:TCM_018179 transcript:EOY03304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus isoform 1 MSVAVYPSEFGLQRMKEEEIHGPVGPFDDENEENDEVGDEEIDNEKLRAYERNRLSATADYLYKACDGAEFERSSNVLDLRFIPDSMEFKHSPRDVATEAPANYEGLNFHTQALQQSKIHLSLDEDEPQRGKILKRKFNAEQLAELELKEFLASDESESDDDENEDTTENQSDKKNKKRDIYCALLRSGDGSDGDGEDNGQDMEVTFNTGLEDISKRILEKKDMESETVWEAHLRKRWEKKKSKKNKSKDSSEDESHETDIEATEEPDDFFIEEPSVKRSKKEGKQHEDMEKEAEASRAELELLLTDDKGADTGLKGYNLKPKKAKGNKGKEVLDEEKIPIVDNDPRFSALFTSPLYALDPTNPQFKRSATYARQIAKKLQKGEQKELAVEDMKMSANSQFPSADPGMNKVEQEKSDILPSKEKHALSSMVRSVKMKLKQVQLPSDSKMSKKSISGMGRKQEQHLVHSMKKTKVLRK >EOY03305 pep chromosome:Theobroma_cacao_20110822:4:12094547:12096824:-1 gene:TCM_018179 transcript:EOY03305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus isoform 1 MQLAELELKEFLASDESESDDDENEDTTENQSDKKNKKRDIYCALLRSGDGSDGDGEDNGQDMEVTFNTGLEDISKRILEKKDMESETVWEAHLRKRWEKKKSKKNKSKDSSEDESHETDIEATEEPDDFFIEEPSVKRSKKEGKQHEDMEKEAEASRAELELLLTDDKGADTGLKGYNLKPKKAKGNKGKEVLDEEKIPIVDNDPRFSALFTSPLYALDPTNPQFKRSATYARQIAKKLQKGEQKELAVEDMKMSANSQFPSADPGMNKVEQEKSDILPSKEKHALSSMVRSVKMKLKQVQLPSDSKMSKKSISGMGRKQEQHLVHSMKKTKVLRK >EOY02862 pep chromosome:Theobroma_cacao_20110822:4:2702259:2702763:-1 gene:TCM_017271 transcript:EOY02862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein MHCQTGSWGSYVPTSTRSVGDPLERIERLASENAVVIFSISTCCMCHAIKRLFCGMGVNPTVYELDEDPRGKDMERALMRLLRSSSAVPVVFIGGKLVGAMDRVMASHINGTLVPLLKEAGALWL >EOY05776 pep chromosome:Theobroma_cacao_20110822:4:29759625:29766326:1 gene:TCM_020691 transcript:EOY05776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTAKSISVENCWPAGGLNVVGTKSWSLGIGATGHHVFNLIYKKILSFTEEKSITEMGCVTENVICALHCPASVSDLRDVGWVIRPSGIIEQDIGFSSAKRNSRVSLSSTNKSFSTDMEFCEATSVAHITFLDLGSWWFLSMLVCLAESQGTGSAESPADVLYYFVLLLRGSYLLGKYWLDPQEF >EOY05811 pep chromosome:Theobroma_cacao_20110822:4:29860442:29864172:1 gene:TCM_046770 transcript:EOY05811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSAIMHEEKLSEDSLTSGGRGLAVVVARVCLDLRRKSKDGFPWGNIRIEQK >EOY02900 pep chromosome:Theobroma_cacao_20110822:4:2874947:2878512:-1 gene:TCM_017297 transcript:EOY02900 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box type zinc finger protein with CCT domain, putative MMEKVSKSKPRRSVLVLCDFCNSKPAVLYCIADSAKLCLFCDQRVHSANALSFKHARSQICDGCKTKPASFLCSNDNLIFCQDCDWNSHNSNCSVSALHERSPVERFSGCPSVTELASLLGFDLKPKYLMNLDPGFSLYEQELMNLEDFMVPNENSSVSSALLSSVKLNHEVYRQLVEMGKRDLVRVSGDGAELGPGTPPGRSSEKGNLGSFEVENGDDEELLHQRTSFSSLLMLQNNVALRKTDYVAEGELIWDYNSSYQASKIWDFQSGRSRDYEESCPEDAGCMNSISSRGFVIKNYDEFAKEGSLSETKVFQDMDQMSLSMKCEDTLSRSSRCNQPFSSYTATTEASNHVPVVGSSSDSKVVEPLKCDSTRYVQVMEHLVLAGGESMNEAKAKIDMELLAQSRGNAMLRYQEKKKHRRYDKHIRYESRKARADTRKRFKGRFVKASEAPDVKV >EOY05381 pep chromosome:Theobroma_cacao_20110822:4:28345449:28352275:-1 gene:TCM_020391 transcript:EOY05381 gene_biotype:protein_coding transcript_biotype:protein_coding description:PB1 domain-containing protein tyrosine kinase, putative MASNTPVTSSPRSYHDKAIIVSNDRAATDRNVNNICAQTGEEFSTEFLRHPGALRRIALTTDVDHLQPSLTAFNYNQNCQQVCKDLKCIPGFRRKDSEYNSDVPDFVSGTVCPTEVDKNAYPDLFSGYDWQYGANGQKLGQFSDVSYFDQVTPGPTLPQLYVVESPQSHQPNSPGVTEGAFASKMKFLCSFGGRILPRPSDGKLRYVGGETRIISIRKNLTWEELARKTAAICNQPHTIKYQLPDEDLDSLISVCSDEDLHHMIEEYQELERNGRSQRLRLFLVSLGEAESPSSFEGRTPRQNDTDYQYVFAVNGMLDVSQNSNGQSLASQTAQLGNASDYSPSFYGDSTTSAHAFENKDYSTSSPNVVGMFSHPAAQLLSNLQIPSRSFNHSPPLSPGQVQQGDLKNSHLQFFVDTSCFDHSTEGINRFIVETHPCSKSYYMDATSYHNNRPHVPLPLMNHHHHNQHLLENILSNKSHEKHFHNRSPSGDFISYPLHSQNTVSPDRPMLKERTLSDSQLQGQDERYSSFLKEVTQQAPYNSGREKSPSLAMSTSSQEWLMQRQERDDGKCQVAKHEIQATFRRADSNENLELSQEKSKWIGWCNESSNEERKIERNAEVTSHNGSITDKSLPNLNNLPSASPPAKDLVGSGDSLFSSPVIIVPNSADTREHLHGYKLNIGTPESHIRHQSATRDEQHTMIDVVSSQSIALGSPNLQPATSHKLADQEPTISGSNLTSDNASSTQAFFHGEGAANPDHKSVEVSSSRYFYQRSKLEDVTSVQSQPSDNPYDGMVIESAVIIEDVTSDAPLDIPSSSAVVPHIQDMTSDEIQSTRETKEEIESDYEDMKSGGKNADESMTDAKMVEIESGIHGLQTALNTDIQIIKDADIEELHELGSGTFGTVFYGKWRGTDVAIKRIKESCFLGRSSEQERLTNEFWREARILSKLHHPNVVAFYGVVTDGPGGTMATVTEYMVNGSLRNALLKKDRALDRRRKLVVALDAAFGMEYLHFKNIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGIALWEILTGDEPYASMHCGAIIGGIVNNTLRPPIPERCDPEWRKLMEECWSFDPAARPSFTEITNRLRVMSAALQPKRRTAIR >EOY05036 pep chromosome:Theobroma_cacao_20110822:4:26927874:26928988:-1 gene:TCM_020146 transcript:EOY05036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTTWKYIVNLSSVEGVQNLAGFEACQWIVGNGSNVLFWFDQWLLMGPFLSNTFLRLFSLCVNKEAKVSNLWNRGSLNIPFRRTLFSSQYDTYTKLLDLLAR >EOY04403 pep chromosome:Theobroma_cacao_20110822:4:24193697:24196748:-1 gene:TCM_019665 transcript:EOY04403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYDDPDDGIYSSNLRSHRVKSCRFYVHDSRSRSSLELATLHSTAVDFAKTATPTEMARSLKPREFPDFMQRVDKPMYASLGVLGKLYRATINSTVCHHLSWVLILSMDKHMRVENPQIKISVVKAPIDLEMVPNLWMELQMGMSSSVCYARTSCIVNISVLDYLHQLMESFSS >EOY04908 pep chromosome:Theobroma_cacao_20110822:4:26404437:26415481:-1 gene:TCM_020056 transcript:EOY04908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin-7, putative isoform 3 MDLPSLAVVLQAALSPNPAERKAAEQSLNQFQYTPQHLVRLLQIIVDNNCDMAVRQVASIHFKNFIAKNWAPLDPNEQQQILQGDKDMVRDHILVFVAQVPPLLRVQLGECLKTIIHADYPEQWPRLLDWVKHNLQDQQVYGALFVLRILARKYEFKSEEERTPVHRIVEETFPHLLNIFNRLVQIDKPALEVADLIKLICKIFWSSIYLEIPKQLLDPNVFNAWMILFLNVLERPVPLEGQPVDPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLRNPENRAFAQMFQKSYAGKILACHLNLLGVIRVGGYLPDRVTNLILQYLSSSISKNSMYTLLQPQLDVLLFEIVFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFIQFIVEIFKRYDEAPIEYKPYRQKDGALLAVGALCDKLKQTEPYKSELEHMLMQHVFPEFRSPVGHLRAKAAWVAGQYAHINFSDQNNFRQALHSVVSGLRDPELPVRVDSVFALRSFVEACRDLNEIRPILPQLLDEIFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNTAEADDEADDPGALAAVGCLRAISTILESVSRLPHLFVQIEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISLDMWSLWPLMIEALADWAIDFFPNILVPLDNYISRGTAHFLTCKEPDYQQSLWNMISSIMADKNLEDNDIEPAPKLIEVVFQNCRGQVDHWAEPYLRITVDRLRRTEKSRLKCLLVQVIANAVYYNAALTISILNKLCVTTEVFNLWFQLLQQVRKSGLRANFKREHDKKVCCLGLASLLALPGEQLAGEALGRVFRATLDLLVAYKDQVAEAAKEEEAEDDDDMDGFQTDDDDDDVDGSDKEMGVDAEDGDEADSIRLQKLAAQAKAFRANDDDDDDSDDDFSDDEELQSPIDEVDPFVFFVDTVKGLQASDPMRFQNLTQTLDFHYQALANGVAQHAEQRRAEIEKEKMEKASATAAPS >EOY04909 pep chromosome:Theobroma_cacao_20110822:4:26404460:26415097:-1 gene:TCM_020056 transcript:EOY04909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin-7, putative isoform 3 MDLPSLAVVLQAALSPNPAERKAAEQSLNQFQYTPQHLVRLLQIIVDNNCDMAVRQVASIHFKNFIAKNWAPLDPNEQQQILQGDKDMVRDHILVFVAQVPPLLRVQLGECLKTIIHADYPEQWPRLLDWVKHNLQDQQVYGALFVLRILARKYEFKSEEERTPVHRIVEETFPHLLNIFNRLVQIDKPALEVADLIKLICKIFWSSIYLEIPKQLLDPNVFNAWMILFLNVLERPVPLEGQPVDPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLRNPENRAFAQMFQKSYAGKILACHLNLLGVIRVGGYLPDRVTNLILQYLSSSISKNSMYTLLQPQLDVLLFEIVFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFIQFIVEIFKRYDEAPIEYKPYRQKDGALLAVGALCDKLKQTEPYKSELEHMLMQHVFPEFRSPVGHLRAKAAWVAGQYAHINFSDQNNFRQALHSVVSGLRDPELPVRVDSVFALRSFVEACRDLNEIRPILPQLLDEIFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNTAEADDEADDPGALAAVGCLRAISTILESVSRLPHLFVQIEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISLDMWSLWPLMIEALADWAIDFFPNILVPLDNYISRGTAHFLTCKEPDYQQSLWNMISSIMADKNLEDNDIEPAPKLIEVVFQNCRGQVDHWAEPYLRITVDRLRRTEKSRLKCLLVQVIANAVYYNAALTISILNKLCVTTEVFNLWFQLLQQVRKSGLRANFKRIVLWVLQGT >EOY04910 pep chromosome:Theobroma_cacao_20110822:4:26404540:26414974:-1 gene:TCM_020056 transcript:EOY04910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin-7, putative isoform 3 MDLPSLAVVLQAALSPNPAERKAAEQSLNQFQYTPQHLVRLLQIIVDNNCDMAVRQVASIHFKNFIAKNWAPLDPNEQQQILQGDKDMVRDHILVFVAQVPPLLRVQLGECLKTIIHADYPEQWPRLLDWVKHNLQDQQVYGALFVLRILARKYEFKSEEERTPVHRIVEETFPHLLNIFNRLVQIDKPALEVADLIKLICKIFWSSIYLEIPKQLLDPNVFNAWMILFLNVLERPVPLEGQPVDPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLRNPENRAFAQMFQKSYAGKILACHLNLLGVIRVGGYLPDRVTNLILQYLSSSISKNSMYTLLQPQLDVLLFEIVFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFIQFIVEIFKRYDEAPIEYKPYRQKDGALLAVGALCDKLKQTEPYKSELEHMLMQHVFPEFRSPVGHLRAKAAWVAGQYAHINFSDQNNFRQALHSVVSGLRDPELPVRVDSVFALRSFVEACRDLNEIRPILPQLLDEIFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNTAEADDEADDPGALAAVGCLRAISTILESVSRLPHLFVQIEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISLDMWSLWPLMIEALADWAIDFFPNILVPLDNYISRGTAHFLTCKEPDYQQSLWNMISSIMADKNLEDNDIEPAPKLIEVVFQNCRGQVDHWAEPYLRITVDRLRRTEKSRLKCLLVQVIANAVYYNAALTISILNKLCVTTEVFNLWFQLLQQVRKSGLRANFKREHDKKVCCLGLASLLALPGEQLAGEALGRVFRATLDLLVAYKDQVAGRSCKGGRG >EOY03457 pep chromosome:Theobroma_cacao_20110822:4:16095596:16096591:1 gene:TCM_018545 transcript:EOY03457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini zinc finger 2 isoform 2 RQGQLLLLALYQSTEALSKSDNSSSALQGFGAEGGDKEEFGDRGMRKRQVVVRREEPPRSTTNSSLTIRSVRYGECQKNHAAGVGGYAVDGCREFMASGEEGTSAALTCAACGCHRNFHRREVETEVVCECSSPPSNGA >EOY03456 pep chromosome:Theobroma_cacao_20110822:4:16095832:16096492:1 gene:TCM_018545 transcript:EOY03456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini zinc finger 2 isoform 2 MPVLNILSPIRKALSKSDNSSSALQGFGAEGGDKEEFGDRGMRKRQVVVRREEPPRSTTNSSLTIRSVRYGECQKNHAAGVGGYAVDGCREFMASGEEGTSAALTCAACGCHRNFHRREVETEVVCECSSPPSNGA >EOY02278 pep chromosome:Theobroma_cacao_20110822:4:288674:290177:-1 gene:TCM_016805 transcript:EOY02278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of unknown function (DUF716) [Source:Projected from Arabidopsis thaliana (AT3G01360) TAIR;Acc:AT3G01360] MGFFTYAITGVGFILIGSWESITSLNLTRIPNPTSSSSLSTQIKSTSISKTKENPFSSSSSFIFVSVFSLLIFFNSLVSIFDAFSSKDRVGSMLQLQVLSIALLFLLYSAIGLSNNKQSSYVWPGSVLDLVLLLAFVEEFMLYYLQRKDTSGIENRYFDLLCVPIAICVVSTMLELRSKRSIYSKLGRGIGLILQGTWFVQMGFSFYTNLIVHGCSLHEKSRGNYTIKCKGHPEYHRGRAIATLQFNCHLAMVVALVVGMLSIMGKRNGVGVSEYGLRYRPLGAEMQQMDNNVGNFTLDSDDDLDDEIKEEDDLGKEKAAIVELGVNGQGSHV >EOY04704 pep chromosome:Theobroma_cacao_20110822:4:25584188:25585502:-1 gene:TCM_019895 transcript:EOY04704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWKDVVSLKEVEGLLSYMGTEGCQWLLGKGKKIMSWLDDWLPDKPLKVFFPTTLFPGIKEDEEIINVGQHLGHKAADNDGEIMEKMVQLENESTKAL >EOY03902 pep chromosome:Theobroma_cacao_20110822:4:20312218:20315070:1 gene:TCM_019120 transcript:EOY03902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase, putative isoform 1 MALLILLLLLAVSSPATADEDPYIGVNIGTDLSDMPHPTQVVALLKAQQIRHVRLYNADKGMLVALANSGIRVMVSIPNEQLLGIGQSNSTAANWVSRNIVAHYPATNITAICVGSEVLTTLPNAAPLLVNAIKFVHSALVASNLDNQIKVSTPLSSSIILDSFPPSQAFFNRSWNQVLVPMLNFLQSSGSFLMLNIYPYYDYMQSNGVIPLDYALFKPLPPNKEAVDANTLVHYSNVFDAMVDATYFAMAFLNFTNIPVIVTETGWPSKGDSNEPDATIENANTYNSNLIRHVLNKTGTPKRPGIAISTYIYELYNEDMKPGPISEKNWGLFDANGDPVYILRLTGSGSLLANDTTNQTYCTVKEGADPKMLQAALDWACGPGKVDCSPLLQGKPCYEPDNVIAHATYAFDTYYHRMGKTSDACDFNGVADITTTDPSKMACCVCYINVTFFSRLKAYIGRKLIPIYVLVQAMVLVFFQE >EOY03901 pep chromosome:Theobroma_cacao_20110822:4:20312242:20317357:1 gene:TCM_019120 transcript:EOY03901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase, putative isoform 1 MALLILLLLLAVSSPATADEDPYIGVNIGTDLSDMPHPTQVVALLKAQQIRHVRLYNADKGMLVALANSGIRVMVSIPNEQLLGIGQSNSTAANWVSRNIVAHYPATNITAICVGSEVLTTLPNAAPLLVNAIKFVHSALVASNLDNQIKVSTPLSSSIILDSFPPSQAFFNRSWNQVLVPMLNFLQSSGSFLMLNIYPYYDYMQSNGVIPLDYALFKPLPPNKEAVDANTLVHYSNVFDAMVDATYFAMAFLNFTNIPVIVTETGWPSKGDSNEPDATIENANTYNSNLIRHVLNKTGTPKRPGIAISTYIYELYNEDMKPGPISEKNWGLFDANGDPVYILRLTGSGSLLANDTTNQTYCTVKEGADPKMLQAALDWACGPGKVDCSPLLQGKPCYEPDNVIAHATYAFDTYYHRMGKTSDACDFNGVADITTTDPSHGSCIFPGSLGRNGTMVNITAPSMNSTSSGSPGRNFYGSGSLTSILVLIKVMLWSVIFF >EOY05723 pep chromosome:Theobroma_cacao_20110822:4:29600860:29602511:-1 gene:TCM_020652 transcript:EOY05723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein MEITLRPYELSDVDDFMKWSCDDKVISRTRLRYFTSREDALSYLKEVVIPRPWCRAICLEGKPIGFIAFKPCSANEKCRGSISYALGSTYWGKSIATKAVKMVVPIVFKEFPYVERVQGLVDVDNKASQRVLEKAGFQKEGTLRKYLILNGKTSDVYVFSLLLVNAKPPCPRAWRLRKKQANFTLDKQLSGFASTDIKVPKGELKDTLSVHIQQKIGNACCNIKSELSISSRWRSTHTMVVESDSKNAVQWINAPEKAPWRLRKWILHIEMLKRKVVQWEVQHIFREANQQADNLAKTGIRRVENLLNVREEASNNNYRA >EOY05356 pep chromosome:Theobroma_cacao_20110822:4:28230048:28233391:-1 gene:TCM_020375 transcript:EOY05356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MKERKEIEKQLPQSPPPPYHVLHKLPPGDSPYVRAKHVQLVDKDPDAAIVLFWKAINAGDRIDSALKDMAVVMKQQDRTEEAIEAIKSFRDRCSKQAQESLDNVLIDLYKKCGRIDEQIQLLKQKLRMIYEGEAFNGKPTKTARSHGKKFQVTIKQETSRILGNLGWAYMQQENYLAAEAVYRKAQIIDPDANKACNLCQCLIKQARYLEAESVLEYVVHDKLPGSSDPKSRNRVKELRQELESRQPVALASTAIELNLEDAFLEGLDQLMSQWAPYRSRRLPIFEEISSFRDQLAC >EOY03779 pep chromosome:Theobroma_cacao_20110822:4:19237342:19239944:1 gene:TCM_018961 transcript:EOY03779 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNase H family protein MLEPCEVSVDILVHARSSVEHQGGRKLYKKLLDLGYYWLTMEANVINYASKCHECQVHGNTIQAPTVVLHSIITPWPFHSWVFDMVRPISPTSRGHTWIFAATNCFTKWVKVVPLKKATGLSTLYYPKENDQVEVTNKTLFKVLSRMVHDNPNIWHDVVPAALWAYRTFKLALDAQMDNDTLQMLELETLEGERDKAKKNFSMYQKRLSRAYDKPVGKKSFEVGDLAFRAVEKLEEEHQ >EOY04306 pep chromosome:Theobroma_cacao_20110822:4:23606374:23610472:1 gene:TCM_019574 transcript:EOY04306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 6, putative MSLLNLLLLLFLVSSVQPLCHPDERSALLQFKESFVINNSASSTPEAHAKTESWKLERESADCCSWDGVECDNSTGHVIGLDLRSSYLYGSIDSRSSLFHLVHLQWLNLADNVFKNSRIPSEIRNLSRLTSLDLSYSNFSGQIPSEILQLTELELLDLSGNSLKLRKPGLRSLLENLTNLQGLYLTDVRISSSLPSLQFLSLQSNPDLTGYLPDIQSNHPLLELSLANTSFFGQLPESFGNFKSLELLDINYCHFSGKVPYSLGNLTELTYLDLSFNSFSGPIPPSLANLTQLVYLSLNTNNFDRGTLSWLGTQINLTYLDLTNTSLSGNIPSSLQNLTQLTNLYLWANKLDGQIPPWIGNLTKLTEIKLQENNLSGPVPESIFKLENLELLYLHTNRLNGILMLDSFLELKNLSKLQLSGNNLSLLNSVSINATSPKFKLLGLASCNLSEFPHFLRSQDELEFLELSDNGVHGQIPKWFWSVGKETLQQLNLGFNFLTGFEELPVVLPWTHLEVFNLESNMIQGSLPHPPPSIISYSFSNNSLSGEISPMLCNLSFLMALDLSNNNFTGILPRCLFSQSDSLKVVSLRNNQFTGAIPSTYMKSCGLRMMDLSQNQLQGRIPRSLAHCTKLEVLILGNNLINDTFPTWLGTLPKLKVLSLRANGLHGVIGKPRAKSEFSKLQVIDLSDDSLRGKLPSGYFNIWIAMEVANTNGLSPHMNSNTSFDDGELSWYDYYKYVLILANKGRDLSYENVPDSISAIDLSSNQFQGEIPEAIGNLKQIRMLNLSNNNLTGHIPSALGEISDLESLDLSRNKLSGKIPLQLVNLNFLASFNVSYNNLEGQILQGAQFNTFNNDSYEGNSRLCGPPLSEECGNAEVLQPPPPLAPKEDDEGIESVFKFNWKIVMTGYGAGLVIGMSYGYNFTLRKDGLFMKVFRKWLVSNNWNSSNWQGSLRSAWKKVSWNY >EOY05158 pep chromosome:Theobroma_cacao_20110822:4:27428599:27430971:-1 gene:TCM_020237 transcript:EOY05158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPEKKQKRKNARASDARERRSCSRERSLSYNRKPFVEAHVGRIPFACWLISLLVKNHHVAPPQPIDIYLSIHV >EOY03247 pep chromosome:Theobroma_cacao_20110822:4:9144323:9153481:1 gene:TCM_017957 transcript:EOY03247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi alpha-mannosidase II isoform 1 MPFSSYLGNTRRGGGWAQSLLPSSSATVKSTPKSHPARKSRKRTALINYLFTNFFTIALSLSLLFFLLTLLLFGIPKPISSHFKPRSTTRKPTIRKTVTRKQPTLNPKQNGAVVDVTTKELYDKIEFLDKDGGAWKQGWKVSYNGDEWDSEKLKVFVVPHSHNDPGWKFTVEEYYERQSRHILNTIVDTLSKDGRRKFIWEEMSYLERWWRDASEDKKESFTNLVKNGQLEIVGGGWVMNDEANSHYFAIIEQITEGNMWLNDTIGFVPKNSWAIDPFGYSPTMAYLLRRMGFENMLIQRTHYELKKELAWNKNLEYIWRQSWDAEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARTHGFFYELCPWGRHPVETNQENVHERAIKLLDQYRKKSTLYRTNTLLVPLGDDFRYVSVDEAEAQFRNYQMIFDYINSNPSLNAEAKFGTLDDYFQTLREEADKINYSLPREIGSGQVGGFPSLSGDFFTYADRQQDYWSGYYVSRPFFKAVDRVLEHTLRASEMLMAFLLGYCQRAQCEKLPTGYAYKLTAARRNLALFQHHDGVTGTAKDHVVLDYGTRMHTSLQDLQIFMSKAIEVLLGIRQEKSDQTPAQFDPEQVRSKYDALPVHRAISAREGTAQSVVLFNPLEQTREEVVMVVVNRPDVTVLDSNWTCVQSQVSPELQHDESKIFTGRHRIHWTASVPAMGLQTYYIANGFVGCEKAKPVELKLFSKLSSIQCPTPYACSKVDGDVVEIENLYQTLTFDVKHGLLQKVVHKNGPQSVVVEEIGLYSSSGGAYLFLPNGDAQPIIQSGGHLVISEGPLMQEVYSYPKTSWEKTPISHSTRIYHGGNTFQEFLIEKEYHVELLGRDFNDRELIVRYKTDTDNKRIFYSDLNGFQMSRRETYDKIPLQGNYYPMPSLAFMQGSNGQRFSVHSRQSLGAASLKEGWLEIMLDRRLVRDDGRGLGQGVMDNRVMNVVFHLLLESNISTSNSVSNSLPLSPSLLSHRVSAHLNYPLHAFIAKKPQEISVQIHSRTFAPLAAPLPCDLHIVSFKVPRPSKYSQQQLGDPRFVLMLHRRNFDSSYCQKARSQCTSVADEPVNLFNMFKGLAVLNARATSLNLLHEDTEMLGYSEQFGDVAQEGHVIITPMEIQAYKLELRPHQ >EOY03246 pep chromosome:Theobroma_cacao_20110822:4:9139406:9152300:1 gene:TCM_017957 transcript:EOY03246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi alpha-mannosidase II isoform 1 MPFSSYLGNTRRGGGWAQSLLPSSSATVKSTPKSHPARKSRKRTALINYLFTNFFTIALSLSLLFFLLTLLLFGIPKPISSHFKPRSTTRKPTIRKTVTRKQPTLNPKQNGAVVDVTTKELYDKIEFLDKDGGAWKQGWKVSYNGDEWDSEKLKVFVVPHSHNDPGWKFTVEEYYERQSRHILNTIVDTLSKDGRRKFIWEEMSYLERWWRDASEDKKESFTNLVKNGQLEIVGGGWVMNDEANSHYFAIIEQITEGNMWLNDTIGFVPKNSWAIDPFGYSPTMAYLLRRMGFENMLIQRTHYELKKELAWNKNLEYIWRQSWDAEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARTHGFFYELCPWGRHPVETNQENVHERAIKLLDQYRKKSTLYRTNTLLVPLGDDFRYVSVDEAEAQFRNYQMIFDYINSNPSLNAEAKFGTLDDYFQTLREEADKINYSLPREIGSGQVGGFPSLSGDFFTYADRQQDYWSGYYVSRPFFKAVDRVLEHTLRASEMLMAFLLGYCQRAQCEKLPTGYAYKLTAARRNLALFQHHDGVTGTAKDHVVLDYGTRMHTSLQDLQIFMSKAIEVLLGIRQEKSDQTPAQFDPEQVRSKYDALPVHRAISAREGTAQSVVLFNPLEQTREEVVMVVVNRPDVTVLDSNWTCVQSQVSPELQHDESKIFTGRHRIHWTASVPAMGLQTYYIANGFVGCEKAKPVELKLFSKLSSIQCPTPYACSKVDGDVVEIENLYQTLTFDVKHGLLQKVVHKNGPQSVVVEEIGLYSSSGGAYLFLPNGDAQPIIQSGGHLVISEGPLMQEVYSYPKTSWEKTPISHSTRIYHGGNTFQEFLIEKEYHVELLGRDFNDRELIVRYKTDTDNKRIFYSDLNGFQMSRRETYDKIPLQGNYYPMPSLAFMQGSNGQRFSVHSRQSLGAASLKEGWLEIMLDRRLVRDDGRGLGQGVMDNRVMNVVFHLLLESNISTSNSVSNSLPLSPSLLSHRVSAHLNYPLHAFIAKKPQEISVQIHSRTFAPLAAPLPCDLHIVSFKVPRPSKYSQQQLGDPRFVLMLHRRNFDSSYCQKARSQCTSVADEPVNLFNMFKGLAVLNARATSLNLLHEDTEMLGYSEQFGDVAQEGHVIITPMEIQAYKLELRPHQ >EOY03330 pep chromosome:Theobroma_cacao_20110822:4:12827057:12829471:-1 gene:TCM_018255 transcript:EOY03330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQGVRSDLLFACLMKLVEDVVGVNTQNDEIELHVLISTPGKLSWLIIKDNEDVALILLEQRNALVMYVTIKECHTNVKANVLPHEEAIEHVEDKRFPAGEDSFDDDSDGRTDGWQDDNFKGDWIYDNDNRNCTNVEVKPIDWVEFKVKDGEMDGLVNLSMKMCSCYEFQTDLLPCIHAIEIVTAQFSGLDRRKDLIGTTH >EOY04448 pep chromosome:Theobroma_cacao_20110822:4:24341465:24349432:1 gene:TCM_019694 transcript:EOY04448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting receptor isoform 1 MRENFGFLICVWILLWGNCLGRFVVEKNSLKVTSPESIKGVYECAIGNFGVPQYGGTLVGTVVYPKANQGACKIFDDFDISFKSKPGGLPTFLLVDRGDCFFTMKAWNAQKAGAAAILVADNKDEPLITMDTPEEEDASAEYVLNITIPSALVSKSLGDSIKKALSLGEMVNMNLDWTESLPHPDERVEYEFWTNSNDECGPKCDSQIEFVKNFKGAAQILEQKGYTQFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQACLFKVANESGKPWLWWDYVTDFAIRCPMKDEKYTKECADQVIRSLGVDLTKIDKCIGDTEADVDNPVLKAEQDTQIGKGSRGDVTILPTLVINNRQYRGKLDRAAVLKAICAGFQETTEPAICLSEDIETNECLENNGGCWWDKNANITACRDTFRGRVCECPIVNGVKFSGDGYTHCEASGALHCEINNGGCWRKTQDGRTYSACVDDHSHGCKCPPGFKGDGVNSCEDVDECKEKLACQCRECKCKNTWGSYECSCSGGSLYMREHDTCIGKEASTKVSWGYVWAIIFGLVAAGAAGYAFYKYRIRRYMDSEIRAIMAQYMPLDNQPNNVHHPDI >EOY04449 pep chromosome:Theobroma_cacao_20110822:4:24341348:24346370:1 gene:TCM_019694 transcript:EOY04449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting receptor isoform 1 MRENFGFLICVWILLWGNCLGRFVVEKNSLKVTSPESIKGVYECAIGNFGVPQYGGTLVGTVVYPKANQGACKIFDDFDISFKSKPGGLPTFLLVDRGDCFFTMKAWNAQKAGAAAILVADNKDEPLITMDTPEEEDASAEYVLNITIPSALVSKSLGDSIKKALSLGEMVNMNLDWTESLPHPDERVEYEFWTNSNDECGPKCDSQIEFVKNFKGAAQILEQKGYTQFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQACLFKVANESGKPWLWWDYVTDFAIRCPMKDEKYTKECADQVIRSLGVDLTKIDKCIGDTEADVDNPVLKAEQDTQIGKGSRGDVTILPTLVINNRQYRGKLDRAAVLKAICAGFQETTEPAICLSEDIETNECLENNGGCWWDKNANITACRDTFRGRVCECPIVNGVKFSGDGYTHCEASGALHCEINNGGCWRKTQDGRTYSACVDDHSHGCKCPPGFKGDGVTLERCR >EOY04447 pep chromosome:Theobroma_cacao_20110822:4:24341361:24347772:1 gene:TCM_019694 transcript:EOY04447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting receptor isoform 1 MRENFGFLICVWILLWGNCLGRFVVEKNSLKVTSPESIKGVYECAIGNFGVPQYGGTLVGTVVYPKANQGACKIFDDFDISFKSKPGGLPTFLLVDRGDCFFTMKAWNAQKAGAAAILVADNKDEPLITMDTPEEEDASAEYVLNITIPSALVSKSLGDSIKKALSLGEMVNMNLDWTESLPHPDERVEYEFWTNSNDECGPKCDSQIEFVKNFKGAAQILEQKGYTQFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQACLFKVANESGKPWLWWDYVTDFAIRCPMKDEKYTKECADQVIRSLGVDLTKIDKCIGDTEADVDNPVLKAEQDTQIGKGSRGDVTILPTLVINNRQYRGKLDRAAVLKAICAGFQETTEPAICLSEDIETNECLENNGGCWWDKNANITACRDTFRGRVCECPIVNGVKFSGDGYTHCEASGALHCEINNGGCWRKTQDGRTYSACVDDHSHGCKCPPGFKGDGVNSCEDVDECKEKLACQCRECKCKNTWGSYECSCSGGSLYMREHDTCIGKEASTKVSWGYVWAIIFGLVAAGAAGYAFYKYRIRRYMDSEIRAIMAQYMPLDNQPNNVHHPDI >EOY06615 pep chromosome:Theobroma_cacao_20110822:4:32464853:32469138:-1 gene:TCM_021280 transcript:EOY06615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit J MEDWEDEVPPIFSKEQPKTKWDDEDVDDDIVKESWEDEDEPPQEPVVKAPEEKAPKKPESKATVKKEKTAEVAKEEPLDPVAEKLRQQRLVEEADYRSTAELFAKKGDDKTLDNFIPKSESDFAEYAELISHKLLPYEKSYHYIGLLKAVMRLSITSLKAADAKDIASSITAIANEKLKAEKEATSKKKTGGKKKQLHVDKPDDDLVVNAYDDVDDYDFM >EOY03176 pep chromosome:Theobroma_cacao_20110822:4:6851295:6853544:1 gene:TCM_017748 transcript:EOY03176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRRDVKRRRTMDEEVRSQFTIAEALGASEAPDSPPMALHAASPIATPAVIVVTPHAAPLPASLLPLMHRRVTRRCVLKWLRAFSET >EOY05881 pep chromosome:Theobroma_cacao_20110822:4:30159629:30160743:1 gene:TCM_020772 transcript:EOY05881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MINVSPISIKETIIGSNYPDGRSGHGSTCSGPNWSEVEPTTQGFKQERVGKSFVFRQKGEPNQNFDLQW >EOY05363 pep chromosome:Theobroma_cacao_20110822:4:28260526:28261482:1 gene:TCM_020382 transcript:EOY05363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 ASFLNLKIPLHRSPTSQRIKLFSNLFHVKQSYNHLETTFLLIALVCSCYVPSRNFDSKGDQENSRSSGSSNIKKRSAVEKKDGVMEEVGNDESQGQDRISNGSGGSNSGCSGTYNGPHIELIPTRKSNLKKPTTVDQENQLKTERRKVSWPDAHGKDIAHVQEFEPRTFMK >EOY05364 pep chromosome:Theobroma_cacao_20110822:4:28260526:28261853:1 gene:TCM_020382 transcript:EOY05364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 ASFLNLKIPLHRSPTSQRIKLFSNLFHVKQSYNHLETTFLLIALVCSCYVPSRNFDSKGDQENSRSSGSSNIKKRSAVEKKDGVMEEVGNDESQGQDRISNGSGGSNSGCSGTYNGPHIELIPTRKSNLKKPTTVDQENQLKTERRKVSWPDAHGKDIAHVQEFEPRDNKRSHVFHIYSN >EOY05362 pep chromosome:Theobroma_cacao_20110822:4:28260560:28262072:1 gene:TCM_020382 transcript:EOY05362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNRCTALVCSCYVPSRNFDSKGDQENSRSSGSSNIKKRSAVEKKDGVMEEVGNDESQGQDRISNGSGGSNSGCSGTYNGPHIELIPTRKSNLKKPTTVDQENQLKTERRKVSWPDAHGKDIAHVQEFEPSVSDDGELEGVRNSCVCAIQ >EOY02740 pep chromosome:Theobroma_cacao_20110822:4:1995111:1996449:1 gene:TCM_017132 transcript:EOY02740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein MPQNALMTSTSSKKEDLGAFTKLYRPQVERGSLGSVFYGKQRGVELGWATREEFEPRFSNFGIARLLNLNSSNWTTVVGSYGQMAPELALTMRVTAKCDVYSFGLVALEIMMGKHLRELLNSLSSATLLSNNKELLLEDLLDERLPPSNDQIVEEVVFVVTMGLACTRSE >EOY05933 pep chromosome:Theobroma_cacao_20110822:4:30286791:30289096:-1 gene:TCM_020799 transcript:EOY05933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MLLKSKAYTNHAVQGTTKPLSSLSSLFTKDPRQNSSQKPKPRFQNPEKGHLSSPKEFEYFVRHQCKSGKINLNDAVTYFDRLTYEGIKPNLYTLSILMRCCQLRQINCGFCVFGEIIKRGFEPDLVTVSILLKGLCANGKGLDAVQVFDKMRESGFQGDGVTFGILINGLCKIREPALGLELHRKMEESNYEGTLVTYSMIIDAFCKDGMVDEAVGLFLEMVSKGITPDVVVYGSLIDGLCRLGRLKEAVNFFDEMVSGGLTADLVMYNSLIHGFCQAGMLEEATKIFNLMVRKGISPDVVTFTILIDCLCKEGKMGEAQGILDLMIQQGKEPDIRTYNSLISGFCSTGQLDEATKLFGLIADQGLDLDAFSYNIMINGYCKSWKIDEAFQLFQKMHDKGIKPTTVTYNTLIGALCQARRVSTAQKMFNEMHVYGQFPILSTYTVMLDGLCKNGHIEEAMDLFHSLESTEYKPGVEFFSILIDGMCKAGRLEEARKMFIEISEKGLVPDVVTYNIMFSGLCRKGMSLEADKLLTEMEEKGCLPDSISFNIIIHGLLRDKEVQRAMNRLEEMRRRNFSPDEAVTSKLLRLAMKDTKCHAALQSLPVPDVI >EOY05792 pep chromosome:Theobroma_cacao_20110822:4:29807896:29808727:1 gene:TCM_020702 transcript:EOY05792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDGCVLYKALKIRTNFGCNDHQHHLEFRGREQANTAQKTVGLIWNMRCSYRKGLGLIIPPFCLFFHGQRGEGRS >EOY04208 pep chromosome:Theobroma_cacao_20110822:4:22623760:22630611:-1 gene:TCM_019463 transcript:EOY04208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 735, subfamily A, polypeptide 1 MAAVVVFLMTLLVLFISLVLKVVLDTISCYMLNPRRIRKIMAKQGVRGPKPRGITGNMIEMFNLTTQSTSKDMVSIDHDIVGRLLPHYVNWSKSYGKRFIYWHGIEPRMCLTETELIKELMTKFPLVTGKSWLQQQGSKHFTGRGLLMANGQDWYHQRHIAAPAFMGDKLKSYAGHMVECTKHTLQLLQNAVESGQREFEIGEYMARLTADIIARTEFDSSYEKGKQIFHLLTSLQHLCAQASRHLCFPGSRFFPTKYNREIKSLKMEVDRLLLEIIQSRRDCVEIGRSSSYGNDLLGMLLNEMEKKRSDKFSLNLQLIMDECKTFFFAGHETTALLLTWTVMLLASNPAWQEKVRAEVKQGCNGGVPSVDQLSKLTLLHMVINESLRLYPPATVLPRMAFEDIKLGDLFIPKGLSIWIPVLAIHHSEELWGKDVNEFKPERFNSRPFASGRHFIPFAAGPRNCVGQSFAVMEAKIILAMLISRFSFTISESYRHAPVVVMTIKPKYGVQVYLKPLDP >EOY05095 pep chromosome:Theobroma_cacao_20110822:4:27176603:27178739:-1 gene:TCM_020188 transcript:EOY05095 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MKPPYITMFSILVLVLLSLSWTTSAQSHENFLHCLASLHSDDSSSISKVIYTRNNSSYSSILESSIQNLRFSEPTTPKPLVIVTPLHASHIQTTIYCSRKHELQIRTRSGGHDFEGLSYVSKVPFVIVDLVNLRAVDVDIESKVAWVQSGATLGELYYGIAEKSKTLAFPAGNCHTVGVGGHFSGGAYGLLFRKYGLAVDNIIDAQFIDVNGRILNRKSMGEDLFWAIRGGGGGSFGIVLAWKVKLVHVPETVTVFAINKTLEQNAIKLVHRWQYVAPKLPHDMFSNAVLTKVNSSQDGKRTTLAVFSSFYLGGVDELIPLMQERFPELGLRKEDCTEMSWIESVIYFGQIQNGTVDILLDRSYKTTLVSPSFKAKSDYVKEPIPEIAFTGILSKLYEVEAESAGIGFVAYGGKMDEIPETATPFPHRAGNLYKIIYSVGWNEEDNVKSQKYLSWIRDFYSYMSSFVSKSPREAYVNYRDLDIGVNNKGNTSFAQASIWGRKYFKKNFDRLVYVKTMIDPKNYFKHEQSIPPLFS >EOY06509 pep chromosome:Theobroma_cacao_20110822:4:32129411:32130244:1 gene:TCM_021206 transcript:EOY06509 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSPO(outer membrane tryptophan-rich sensory protein)-related, putative MIKQPAAPPPVRARPEDKKARAKRALCSLLTAIAIPLSLTLFVIFKFGSGKRYRAMAHKPFWFPPLWLIHLASIGTSILMSLAAWLVWVDRGFHVNSNALPLYVSQISLSIVWDPLELVMGAVGLGFLFCFLHFGTVIACYWTFRKVNPFARDLVKPCLVWSGFLTIVSYKLLTL >EOY03265 pep chromosome:Theobroma_cacao_20110822:4:10196845:10204343:1 gene:TCM_018049 transcript:EOY03265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNPLSILLNKCTLNDDNYSEWKRNLNIVLNYNKITLVLSDHEPKALTPLSTPQDIEYHKKWHDANELAKCYVLASMNSILQKQQEGMGNVANIMLHLYEMFGTKTRSAKVKAINAFKDLKQKLGVMTRLERLGYDKLPCHVDKDFINQVVDKIIHNSYNGGFVDQPKRGGTESDIPYLDWRSVWRVFLKGDAEADVKPCGVSIGISGNECLSGRRVGCHGLNGSFRS >EOY05203 pep chromosome:Theobroma_cacao_20110822:4:27583896:27598953:-1 gene:TCM_020269 transcript:EOY05203 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative MGKKRTQQPSASTDDLLKTLGDFTSKENWDSFFTVRGSDDSFEWYAEWPQLRDSLFPLLQQQQQQPSPSSSSLQILVPGCGNSRLSEHLYDAGFEDVTNVDFSKVVISDMLRRNVRDRPNMRWRVMDMTQMQFTDDTFDVVLDKGGLDALMEPELGPKLGNQYLSEVKRVLKSRGKFICLTLAESHVLGLLFPKFRFGWKLSLYAIPQKPSSNPELQTFMLVAEKENSNELHQIMSSFSRSSLDCHQHQASGLCEALENENRIRGEYLSGSDILYSLEDLQLGAKGDLTKLSPGRRVQLTLGEQGGSRFCYNAVLLDAKQPCGPFSFHCGVFIVPKTRAHEWLFSSEEGQWQVVESSKAARLIMKDLSPLVKPLAPADNDKEDQIPFMTASDGIKQRNIVYQGSSSLTGPIVIEDVVYENADGDVARSLPFRRLIFRRTEGLVQSEALLTRDGSFDKSVSKSEPKKASSSSKSKRRGTQRKNNESSSKMKVYHGFLASSYHTGIISGLSLISSYLESVASAGNRVKAVVIGLGAGLLPMFLHECMQFMQIEVVELDPTMLNLARDYFGFTQDKHLKVHIADGIEFVRDYRNLSAAGEMPVHENKDALSSEMLLSSNGRCNSSDEETGRSTTIDILIVDVDSSDSSSGMTCPAADFVEESFLRTVKDTLSEQGLFVINLVSRSSAIKDTVVSRMKEVFSHLFCLQLEGEVNLVIFGLCSESYIKEDCIPEATLRLEKLLKPNHPEISQSINDAVKKLRCLK >EOY05657 pep chromosome:Theobroma_cacao_20110822:4:29354696:29375412:1 gene:TCM_020602 transcript:EOY05657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase family protein MEMNTVSLLPNFENGGMTSGIWRNIVKPLCSLHGISQILKDGLGMYVLALTNNGFIESFVVFSCKVGDNIHTYDLIKLKVAWLAKARWPNLCISITDLERLPCMGVSQMKNKLVKQNISWQHPIKGTLKFNVDGALRVLAIHKALQIFATSKQANMYKVIIKNDFENVVKWAQNPNEATKLKVFPHTKMASPLNVALLFSIFSIFSILPITCSNPHPLDSLTPAELHQVRAIVHKSYPSSKYKLTFQYVGLEEPDKPAMLSWLSKPTSKAPPRRAFVIARLNKQSHEIIVDLSERSIISDEVYDGSGYPLLTIEEQEAAIDLAVKHEPFLASLKKRGLNASEVVCSTQTIGWFGEKKSKRELKIPCYYLDGTVNMYLRPIEGISVTVDLEEMKISQYTDRFVAAMPKAEGTEYRASMVTPPFGPLLNGAPASQPGRTGLKIDGNTVRWANWRFHLGFDARAGAVISLASIYDSEQRKYRQVLYRGFISELFIPYQDTTEEWYHITFLDCGEFGFGLSAVSLEPLNDCPANAVFFDGYYADQDGKPVKVEDAMCIFERHPGDIMWRHTEAEIPNLEIREVRPEVSLVVRMVATVGNYDYILDWEFKPSGSIKFGVGLTGVLEVKAAPYTHTDQIKEEAYGTLLADNTIGVHHDHFITYHLDLDIDGDANSLVKTNLVTKRVTDKSMPRKSYWTVEHETAKTEADARIKLGLKPEELVVVNPNKRTKPGNKVGYRLLPGSAAGPLLTLDDYPQIRAAFTNYNVWVTPYNKSEKWAGGLFADQSRGDDTLAVWSSRNRNIENKDIVLWYTMGFHHVPCQEDFPVMPTLSGGFELRPTNFFEYNPVLKTRPPLHASWPNCTT >EOY05357 pep chromosome:Theobroma_cacao_20110822:4:28233636:28238596:-1 gene:TCM_020377 transcript:EOY05357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alba DNA/RNA-binding-like protein MGQAISQTVAISEIIKKRIPGLHQETSISSMSITDMWELMEEGLVPYYLRLEMTRQVSLISISLSTKELNKSSPGYLAIKLHLMSSRTNISLSNSKTKCTFFLFQEKQVGEVVLKAMGQAISKMVAIAEIIKKRIPGLHQETSISSMSITDMWEPMEEGLVPLEMTCQVFLILISLSTKELNKSSPGYLGFPLHFLYGQIHCQHHTSMLRSNIVGKCFITRDQAPSHDQQNQYQSQQQQNQMHVPVTGLLKIHMDEDELMVEGEDRDGAGPVTGTIQRMVVIGIRGEEVDEAEVGAIVVGMEEAGVEGKAMVMDEDGAVAVDMVVDNRLNSGIVLM >EOY06888 pep chromosome:Theobroma_cacao_20110822:4:33250221:33253301:-1 gene:TCM_021474 transcript:EOY06888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein MAQKMLFILLSHIMLCFSVVSSLNLNASLIDDLGVPKFNHICDPARFNMLGFDIAEFAFCDKSLPYEVRAKDLVDRMTLAEKADQMGHGTSVGIPRIALPPYNWWSEALHGVSNTGPGTHFDSVVPGATSFPTVILTAAAFNQTLWKTIGKVVSTEARAMYNLGRAGLTFWSPNINVVRDPRWGRTLETPGEDPLVVGLYAVNYVRGLQDIEGQENTSDPNSRPLKVSACCKHFAAYDLDNHDGLDRYRFDAKVVAEQDMVETFNRPFEMCVKDGDVSSVMCSYNRVNSIPTCADSYLLKKLVREDWNLHGYIVSDCDSIKEIVERQKWLNDTVEEASAQVLKAGFLVKAVKQGKVGAADMDKSLNYLYVVLMRLGFFDGIPSLASLGKNDICSEENTELAAEAARQGIVLLQNDNETLPLDPAKFKSLALIGPHANATGVMKGNYAGIPCKFISPLEAFSALGQVTYELGCDVKCSNYSTVQSAVDVAKNADATLLFVGLNLDVEAESRDRDNLLLPGYQTHLVNKVAEASKGPVILVVMSATALDISFAKINPKIKSILWVGYPGEQGGRAIADVVFGNYNPGGRLPLTWYEANYVDKLPMTSMSLRPVGKYPGRTYKFFNGTTIYPFGYGLSYTSFKYEYNSAEMSLDIKLNRLLHCHNLPYNDTNYKQNCPSVLIDDLTCDDEIAFEITVQNVGHRDGSDVVLVYSVPPEEVTGTHIKQLVGFERVFLQANESKNVKFVLNACQSLNIVDVSGYRLLPSGSHKIVVGDNVISIPVKVSYSL >EOY04201 pep chromosome:Theobroma_cacao_20110822:4:22563554:22574145:1 gene:TCM_019459 transcript:EOY04201 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 41 MRMSCNGCRVLRKGCSENCSIRPCLQWIKSPESQANATVFLAKFYGRAGLMNLINAGPEHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQAAVEAVLKGAPITPIASEAAANGQGPPLKAYDIRHVSKDENSAASNDLHRVKTRCRVKRTVKPKVSKPVVEEENRNPEAEAQCYADEGNRSTSHESSLSHQSELAVMDGDSKETESMISVETAVASRLFPAEPEFRCKVSEERTPEGSGDVGLELTLGFVPVMKRCTNGVYMNRSAGVLYSWICALSSPYFVLPSIEVNLAPLRVEMFVWQLMHDKFAVKNELAKRVHVTRHGKSGQDGAKHRAVTTLGSGKAFFEAWNGVTTVGTDGKL >EOY05883 pep chromosome:Theobroma_cacao_20110822:4:30167927:30170179:1 gene:TCM_020774 transcript:EOY05883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid 7 isoform 1 GGGNEVETPKTTGKRGFSETVDLKLNLQSKETGMDLNKNIENGSKEKTLLPACTKDPAKPPAKAQVVGWPPVRSYRKNIMANQKNSCEESDKKASSGGAAFVKVSMDGAPYLRKVDLKMYKSYQELSDALAKMFSSFTMGNYGAQGMIDFMNESKLMDLLNSSDYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIG >EOY05882 pep chromosome:Theobroma_cacao_20110822:4:30167673:30170086:1 gene:TCM_020774 transcript:EOY05882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid 7 isoform 1 MEVSRKMANMLGAERDLNFKETELCLGLPGGGGGGGGGGGNEVETPKTTGKRGFSETVDLKLNLQSKETGMDLNKNIENGSKEKTLLPACTKDPAKPPAKAQVVGWPPVRSYRKNIMANQKNSCEESDKKASSGGAAFVKVSMDGAPYLRKVDLKMYKSYQELSDALAKMFSSFTMGNYGAQGMIDFMNESKLMDLLNSSDYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAMEKCKSRA >EOY04170 pep chromosome:Theobroma_cacao_20110822:4:22392517:22397921:-1 gene:TCM_019430 transcript:EOY04170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRYSSINFISQTGYSISFMIYDTRAVAYIYYYPTINMFMQNLSFAAGASDKSYAVAQTRLPDDHPLHRDSKTAPFVRIPAPITLPPPTSTAAAPPEIKPEGGSGSDQLPQIVIAISIVGVVLLLTLAIGLKKRIYIKMKRFCLTWMLWWLQLITSLRQTLLEGVDSALCIRCRFCILNSQFFVDKNKSTLLDWGQLHHIIKGVASGLIYLHQDSVLRIIHRDIIARNILLDNQINPKILDFGFAKLVNDKKVIRGLIILLKH >EOY02872 pep chromosome:Theobroma_cacao_20110822:4:2751394:2754954:1 gene:TCM_017277 transcript:EOY02872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 1 MRFQKLAKLQRSRLLHLSVLGDDSVGSKSVTGSRRESPAPTDEPNAGNRAQTFTYQELETATNGFRNTSLIGRGGFGPVYKGQLGSTGQVVAVKQLDRSGHQGEEEFLVEVLMLSLLHHPNLVNMIGYCAEGDQRLLVYEYMELGSLEDQLHFRTPGQKPLDWNTRLTIAAGAAKGLEYLHSGANPPVLCRDLTSSNILLGEGFHPKLSDFGLAKFGPSGDKSHVSTRIMGTRGYCAPEYLSSGKLSMKSDIFSFGVVLLELITGRKAHDITSAPDERYLVNWALPMLRDAKKILSLADPVLRGHFPRPTLRRVLEVASMCIQENANSRPSIGDVVLALNYLASRPYDPNEGNRVDVRARGPEIRESPTMAFDRERAVARAMEWGRRCREKRRQSGENVSGGSNRSKLKTFLELNQHERGTPCA >EOY02871 pep chromosome:Theobroma_cacao_20110822:4:2750829:2755809:1 gene:TCM_017277 transcript:EOY02871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 1 MGFCSCCCWRRVKKQKSGDDSVGSKSVTGSRRESPAPTDEPNAGNRAQTFTYQELETATNGFRNTSLIGRGGFGPVYKGQLGSTGQVVAVKQLDRSGHQGEEEFLVEVLMLSLLHHPNLVNMIGYCAEGDQRLLVYEYMELGSLEDQLHFRTPGQKPLDWNTRLTIAAGAAKGLEYLHSGANPPVLCRDLTSSNILLGEGFHPKLSDFGLAKFGPSGDKSHVSTRIMGTRGYCAPEYLSSGKLSMKSDIFSFGVVLLELITGRKAHDITSAPDERYLVNWALPMLRDAKKILSLADPVLRGHFPRPTLRRVLEVASMCIQENANSRPSIGDVVLALNYLASRPYDPNEGNRVDVRARGPEIRESPTMAFDRERAVARAMEWGRRCREKRRQSGENVSGGSNRKSKKIKIPFSLSLNFYLSFKQFDRPEALPYSDRPPCDQIWHSSTLRSSKSRPDSSKKSSDFPLKEHNNSLKGELRAPLGERNISSP >EOY05424 pep chromosome:Theobroma_cacao_20110822:4:28552737:28554024:-1 gene:TCM_020435 transcript:EOY05424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLSVLLCPQLDARTMAFRILFFVRKCWFSNHCQCKVKPHIVFQRKALFTVVIWKNEIYFKRFQHFLNIYLIQYILESRKKLQICCIHFWIMQFSTKLQKYIFLLFEINLESFQHHKIYAFWFTQFSSSSFLFFLIEKILVVFYYSV >EOY03734 pep chromosome:Theobroma_cacao_20110822:4:18644242:18648164:1 gene:TCM_018874 transcript:EOY03734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein, putative MKNTTKTSTFCLQNLLFFLLPCLFFFIEYLALPVIGDSQLTPYNPIENITIDCGSSTDGHALDNRPWTGDGNGKFSPIEQQSNNNNKSSVLLTALEQPPSVDKVPYSTARLSYSEFTYTISLTAGQKFIRLYFYPTSYRGFDDPSNKAFFSVKAGPFTLLRNFSALLHAQGQPTLIKEFCLNVDEGERLNLTFTPSPDISDSYAFINGIEIVSMPTNLYYRQASDEGVTFLGQGSSYPLGNNSALEMVHRVNVGGMQISPQDDTGMYRNWLSDDDYLAIAKPSALPVNNSVNLTFSSILSFSAPRVVYLTARSMGRNKTENENYNLTWEFPVDSGFSYFVRLHFCEFQIEITQQGDRVFEIFLANLTAETQADVIAWSGGNGFPIYKDYAVAMGKKGNQKQQNLSIALHPSPAWRTLYSDAILNGLEIFKLSSGFDLAGPNPDSVLPSSPPSQSTQSKNNNKTIFGIVGGLLAGFVVLSVLFFLIFRRKMRAKDSGSSEGVSWWSQFSTATKSTKSTKSRGSSLPSDLCRYFSLVEIKAATNNFDNVFIIGVGGFGNVYKGFVDGGATQVAIKRLNPESQQGAHEFKTEIEMLSQLRHVHLVSLIGYCNDDGEMILVYDYMARGTLRDHLYNAGNPPLPWKQRLEICIGAARGLHYLHSGAKHIIIHRDVKTTNILLDEKWVAKVSDFGLSRVGPTSMSKAHVSTVVKGSFGYLDPEYYRRQQLTEKSDVYSFGVVLCEILCARPPVSRTVDKAQMSLAAWAQQCYWNGTLYKIIDPFLRGKIAPECLKKFTEVAMSCLRDEGTERPSMGDVLWSLEFALQLQESGEEGLKPNPGAGIEIDIDEETPFKSNALEDDSGEVFSSIGDHVLNSKSASTFSLTTSDEQSFASKDSERLLSKAVFSQIKDPQGR >EOY03073 pep chromosome:Theobroma_cacao_20110822:4:4217171:4219307:-1 gene:TCM_017498 transcript:EOY03073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRWSASLVAMTVLAVGLLSISAAARPCKTFLVSSYSFSFQNPNDPSSSASSGFVTVFTEIRQLNPMPSDPKLSYDFSSLRQRSRDILSVVVALLFGVGCGALTAATMYLVWTLFSARLEYHRACLEDDESDEEFSPKKIGLLW >EOY06710 pep chromosome:Theobroma_cacao_20110822:4:32724804:32727034:-1 gene:TCM_021356 transcript:EOY06710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATPSEETDIRNQVAIEIPAASYDALVIPLKEKMETMSTASCICRVSKKLLEKNENQYIPQTISIGPFHQGKNNLKTMEEHKWRYLYSLLNRKPHLEPTLDKCVKTLRELEHKARLFYEDHEQIKLSSNEFVELMLVDAGFLIELFLKYAIKGLKRRGDYVFNTSGLLYELRCEMLLLENQIPYFILQRLFEIVPIPEQCKLSLTELAFRFFRNMIPGDHRLHLAKFGQEGNHLLDLIRYCFLPTFPRVKAKQGGQRGLPHKATGLKAAGIKLKKVTTEDLLDIKFVRGVLEIPPVEVHQYTERLFRNLIAFEQSRSDQSTPHISSYVLLMKSLLQDEKDAKLLKRNQILTNYDVIDRKQVSTLFLRLGEEMYVMENVNDFYYDGLCEQVKDYKKGSWQRRWNWKPTERRYLQHPVPRIVVVIAVFLILLILVGALFSIVSFFVHRF >EOY05763 pep chromosome:Theobroma_cacao_20110822:4:29714997:29716532:-1 gene:TCM_020681 transcript:EOY05763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein, putative MKKIPYLRSSLGVILTIILLFSKPSHGLIDTDINPPLIPNPRLLKAYTALQAWKHAMTSDPNRFTSNWYGPKVCNYTGVYCAPAPDDPYITTVAGIDLNHANIAGTLPEDLGLLTDLALFHINSNRFCGQVPESFRNLNFLHELDISNNRFSGRFPYVVLYLPSLKFLDIRYNQFSGTIPSQLFDLKLDALFVNNNKFQSSLPKNFGNSPVSVIVMANSGLTGCFPSSSLAQMAGTLQEIILMNNGFTGCLKPEIGNLKGVTVFDVSSNKLVGPLPDAIGQMKSLEQLNVANNKLSGYIPESICSLPKLENFTYSNNFFASEPPKCLKLPDKDDRKNCIPYRPLQRSPMECKSFYAHPVDCSISGCSPRSPPPPPPPPPVHHWP >EOY02809 pep chromosome:Theobroma_cacao_20110822:4:2337031:2338485:1 gene:TCM_017203 transcript:EOY02809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin/ribonucleotide reductase-like family protein MPSIPEEPLLASNPDRFCMFPIQYPEVWEMYKKAEASFWTAEEVDLSSDLRHWETLTDGERHFITHVLAFFAASDGIVLENLAVRFMKEVQIAEARAFYGFQIAIENIHSEMYSLLLETYIKDSNEKNRLFHAVETVPCVAKKAEWALKWIDGSETFAERLIAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLRSKLSEERVRGLVRDAVDIEREFVCDALPCALVGMNGELMSQYIEFVADRLLGALGYGKMYNVANPFDWMELISLQGKTNFFEKRVGEYQKAAVMSSLNGNGGTHEFKMDEDF >EOY03185 pep chromosome:Theobroma_cacao_20110822:4:7415571:7419392:1 gene:TCM_017803 transcript:EOY03185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METDKIVQHTEIHHLSTCFNQIKSIHIYLVINMAYEFLKRFGSCQNHSHTQLSGKPWPRAIPNRVVRRPKKAKELRLRTISLSSPGIQIFDTWSIRPVRHSSEWDIPPHVKQIVVLPPPWRGQARRLIRRRIPSAGEGNLA >EOY02634 pep chromosome:Theobroma_cacao_20110822:4:1634977:1637970:1 gene:TCM_017057 transcript:EOY02634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-soluble NSF attachment protein 2 MGDQLARGEDFEKKAEKKLNSWGLFGSKYEDAADLFDKAANCFKLAKSWDKAGSTYVKLSNCHLKLESKHEAAQAYVDAAHCYKKTATKEAISCLQQAVNMFCDIGRLSMAARYYKEIAELYESEQNIEQAIDYYEKAADFFQNEEVSTSANQCKQKVAQFAAQIEQYQKAIEIYEEIARQSLTNNLLKYGVKGHLLNAGICQLCKGDVVAITNALERYQELDPTFSGTRECKLLADIASAIDEEDVTKFTDVVKEFDSMTPLDSWKTTLLLRVKEKLKAKELEEDDLT >EOY06232 pep chromosome:Theobroma_cacao_20110822:4:31372809:31375152:1 gene:TCM_021033 transcript:EOY06232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 KLSSNQPNSVEFNYIKESEEIRLALQPLELIKRVKEIQQEAYAEQETVQPNDAKQTAAVDLSKRLKDFRSLNDAASLKEEWRKRKMERARQRELEKNGTVTSQA >EOY06231 pep chromosome:Theobroma_cacao_20110822:4:31372552:31375106:1 gene:TCM_021033 transcript:EOY06231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEIQQSWRLRLSLKSATIALNIFNVLAALFLLQWFLSSASSRTKLSSNQPNSVEFNYIKESEEIRLALQPLELIKRVKEIQQEAYAEQETVQPNDAKQTAAVDLSKRLKDFRSLNDAASLKALEEWRKRKMERARQRELEKNGTVTSQA >EOY03885 pep chromosome:Theobroma_cacao_20110822:4:20183884:20185778:1 gene:TCM_019099 transcript:EOY03885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSVGQYPFFGMPIGPPPTYAQQRPIGGASPSDPISVPDLDDPKEQEKLKCGSVESKDNPDTHQKFDLFEERLRMIEGMGIATKNFADMVISGEMIETAIKQGKIEGGDMTNTRKGGTFKRKEGEAQAITSRQHQGGTYNPYQPYLPYPYYPAVNNTSQSPYPYPPMPNAFPNPYPYNPIHRTPYPPASTPVTASTTQQTTPSNNHTTGESRGWQNKQEKVQFDPIPIPYAELFTQNPEL >EOY03033 pep chromosome:Theobroma_cacao_20110822:4:3746925:3755669:1 gene:TCM_017439 transcript:EOY03033 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MGEKPETPIRFGIIGCAKIAGKVARAINLAPSSVLYAIGSRSIEKAKQFASANGVHESVKIYGSYDQVLDDPFVDAVYMPLPTSLHLHWAVMAARRKKHVLIEKPTALDVTELEQILEACESNGVQFMDGSMWLHHPRTVKMKEMLFDSNHLGDVNYIYSTTTTSVSPEFLENDIRVKADMDALGALGDIGWYCLGAILWAKNYQLPTLVTALPDVSKNSNGVILSCSASIQYGEPAETVAIIQCSFFSSTSMDLAITGSRGSLNLRDFIIPYQESSASFEFTLGAKFLQLHIGWNVKPQKVVVASELPQEALMVQEFARLVHGIRTSASHPDPKWPDISRKTQMLLDAVKKSVDFGCKPVYFLGL >EOY02470 pep chromosome:Theobroma_cacao_20110822:4:976996:979040:1 gene:TCM_016937 transcript:EOY02470 gene_biotype:protein_coding transcript_biotype:protein_coding description:High affinity nitrate transporter 2.7 MEPCSTRKLPFSLPIDSDNKATEFHPLSSSPPHMRAFHLAWLSLFACFLSTFSIPSLIPVIRNDLNLSATDVGTAGIAAFVGSIFSRLAMGPVCDLVGPRIASASLSLITAPVVLATAFVSSPTSFILIRFLIGFCLANFVANQFWMSSMFSSSVVGLANGVSAGWANMGAGVAQLVMPLIYSLVKSFNVPENTAWRVIFVVPAAFQALTAILVLVYGQDLPCGNYRDSGEASNKPKENFFKVLFHGLLNYRGWILGLTYGYCFGVEITMDNVIDQYFYYRFGVNLEVAGMIAACFALTNCFARPTGGLLSDRLAKTFGIRGRLWGLWVVQTVAGLLCVLLGRLNSLGASVAVMCAFSVFVQASSGLTFGIVPFVSKRSLGVISGMTGSGGALGAISP >EOY02749 pep chromosome:Theobroma_cacao_20110822:4:2035516:2036321:1 gene:TCM_017142 transcript:EOY02749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKPSTTHLQAAYRVLKYLKKASRQGILLAFNSNVQEKVFTDSDWVGCLDFRRFVTGFGVFLDCSSSFFCDNQSAIHICKNPIFHERTKHIELDCHFIHDKVLEGVICPQHISTKDQLADIFTKALPPVQFTYLLGKMSIDNIHAPLKGGARYYILTCAVVHL >EOY02819 pep chromosome:Theobroma_cacao_20110822:4:2384585:2385415:1 gene:TCM_017217 transcript:EOY02819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSSTTKRIKFVDPRGVWVCFIDDTGCVGVLFDLVLLSYFFVISSSRGWLQ >EOY03500 pep chromosome:Theobroma_cacao_20110822:4:16504943:16511727:-1 gene:TCM_018596 transcript:EOY03500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane proteins 14C, putative isoform 3 MATSPTAPPTTKVCQLTCFSSINRKLQLYSRSIFCPITHPKSFVVMSVDGHGAEATSSELKTKQRFAVEGSKPFIEESTKSYLNVEGHVPESTNEPIEEHNIIQPKRAAKIHDFCFGIPYGGLVLSGGLVGFVFSRNPTTILFGGALLALSTFSLKIWRQGKSSLPFIFGQAALAAVLFWKNFQTYSLTKKLFPNAFYAAISAAMLCFYSYVVISGGNPPPKKLKSSASHQS >EOY03502 pep chromosome:Theobroma_cacao_20110822:4:16504943:16510991:-1 gene:TCM_018596 transcript:EOY03502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane proteins 14C, putative isoform 3 MSVDGHGAEATSSELKTKQRFAVEGSKPFIEESTKSYLNVEGHVPESTNEPIEEHNIIQPKRAAKIHDFCFGIPYGGLVLSGGLVGFVFSRNPTTILFGGALLALSTFSLKIWRQGKSSLPFIFGQAALAAVLFWKNFQTYSLTKKLFPNAFYAAISAAMLCFYSYVVISGGNPPPKKLKSSASHQS >EOY03501 pep chromosome:Theobroma_cacao_20110822:4:16504864:16510815:-1 gene:TCM_018596 transcript:EOY03501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane proteins 14C, putative isoform 3 MATSPTAPPTTKVCQLTCFSSINRKLQLYSRSIFCPITHPKSFVVMSVDGHGAEATSSELKTKQRFAVEGSKPFIEESTKSYLNVEGHVPESTNEPIEEHNIIQPKRAAKIHDFCFGIPYGGLVLSGGLVGFVFSRNPTTILFGGALLALSTFSLKIWRQGKSSLPFIFGQAALAAVLFWKNFQTYSLTKKLFPNAFYAAISGKLNEWFSGSICGIVTSFIGIVAFELCNRTPEPDCKKKELHRYCGTKI >EOY05404 pep chromosome:Theobroma_cacao_20110822:4:28447797:28448593:1 gene:TCM_020411 transcript:EOY05404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility protein S1 family MRIPTTALIVLEFRLWWKKRLHHYLPNMKIATRVLIMFCVAVGIIFMSVYQPEHFFGMEYEVRVINGFSNNSSLPLVIWCISQQDGDMGGRALQEGDDFGWRLKTNIWGNSHYLCTLKWDAKRRSFDAFKVPRDSQRCAPLKKCSWLVKEDGFYFSSDEVNWKKDFSWY >EOY04298 pep chromosome:Theobroma_cacao_20110822:4:23293753:23294599:1 gene:TCM_019552 transcript:EOY04298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWDCLDSAMEGELNFTVDGSVRGKPRPYKRSHHISFLFVKLIGEPKPIEKRFSSWASHLGHKDHLFRGGAVESTDHARQHVCTQVEEEVVFCD >EOY05625 pep chromosome:Theobroma_cacao_20110822:4:29205155:29218115:1 gene:TCM_020579 transcript:EOY05625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain acyl-CoA synthetase 6 MDSSKAQRRLNAIHGHLVSASSHSELRVNPTAGEFAFEQGYSVVLPEKLRTGKWNVYRSAHSPLKLMSRFPDHPDIGTLHDNFVHAVDTFRDYKYLGTRIRVDGTVGEYKWITYGEAGTARATIGSGLIYHGIPKGSCVGIYFINRPEWLIVDHSCSAYSLVSVPLYDTLGPDAVKYIVNHADVKAIFCVPQTLSSLLSFLSEIPSVQLVVVVGGMDNEVPSLPPSTGVQVVTYSKLLSQGHSNLQPFCPPKPDDVATICYTSGTTGTPKGVVLTHENLIASIAGCCISTKFNPSDIYISYLPLAHIYERSNQIISVYFGAAVGFYQGDNMKLMDDMAALRPTIFCSVPRLYNRIYAGILNAVKTSGPLKERLFNAAYNSKKQAIMNGKSPSPMWDRLVFNKIKAKLGGRVRFMGSGASPLSPDVMDFLKICFGGRVVEGYGMTETSCVISCIDESDNLSGHVGSPNPACEIKLVDVPEMNYMSDDHPYPRGEICVRGPIVFRGYYKDEVQTREVVDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVAIVSVDPDVLKAWAASEGIKYKDFGQLCNDPRARAAVQAEMDAVGREAQLRGFEFAKAVTLVLEPFTMENGLLTPTFKIKRPQAREYFAKAISNMYAELATSDPSPQK >EOY06089 pep chromosome:Theobroma_cacao_20110822:4:30890386:30890831:1 gene:TCM_020923 transcript:EOY06089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVNKNRWLVQPAPIFPPAILRALWSQPEERRHVKSVMCNLLRKLEKPIHTTELSQLTDLAAKYYGKEF >EOY02612 pep chromosome:Theobroma_cacao_20110822:4:1520240:1523061:1 gene:TCM_017038 transcript:EOY02612 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT motif family protein, putative MCNKNSGSRRRRRKSKSSSVSLKASRKTRTKTRKPKYLSLLHLEENLPQMNLNTKTSSNNDNNKSNHQQQLNLFPLHPENLVEDKDTQYDNVCLLFNTTEEDNVHAVTLNGILDDESSDYKNETTTTTTTTTTTTTSDESPLSPSLTYGYSGEDHTRSLERSAMKGKKERDESEEKWVVYSEVVEKKEMEEVSSCDGGGGGGDGGGDRGGAWCISSGSIISKKKMKKLLALKLDYQEIMNAWSDKGPLYIEGESPHTVPDLNDAAHVLGDVWGSVSDVWRVPEMVTNNVGSFKVKEEYEGKEDWRRGHREASVLRYKEKRRNRLFSKRIRYEVRKLNAEKRPRLKGRFVKRD >EOY02868 pep chromosome:Theobroma_cacao_20110822:4:2740421:2743400:-1 gene:TCM_017275 transcript:EOY02868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit exo70 family protein C2, putative MEKNHQPEVSSSFKKRDEEEKSSGADIVLPATESPECSNEEKPVAAEVTEVEIEKPEEMKTGETEIELIEKPEEKENEGGGEEGEATLPPEIDYNLETASEDVERFLSSLSTPKEEGEVGLEIPEFLEKYLDLVEEKISKHEPVETKVKGCLLPEDDASFLKAVNQISKLYKNLSAGFKLDSNKGPLINRIGKIQQRAMSHLEDEFRSLLEDPKLGEPEGEQAGADHCPLPGNDQSAESNEESNFPGYSHEVLGYLTKIAKEMISGGYESECCEVFLMTRRNIIEETLNKLGFEKLSIDEVQKMQWEALEREIPTWIKAFKQCANVYFSGERKLAETIFSNHPSLSDSLFSNLTRGVVIQLLNFAEAVAISKRSTEKLFKFLDMYETLRDNLPAIDSLYPEECAKELRAETTTARCRIGEISICIFCDLENSIKSDTGKTPVPGGAVHPLTRYTMNYLKYACEYKATLEQVFKEHSKIERADSTSRPRDYEGDSQNYKNDEKNHSPFAGQLMRIMDLLDANLEAKSKLYKDVSLGSIFMMNNGRYMLQKIKGSAEIHEVMGDTWCRKRSSDLRNYHKSYQRETWMKLLGCLSLEGLNVNGKVVKPVLKERFKSFNAMFDEIHKTQSSWVVSDEQLQSELRVSISAVVIPAYRSFLGRFAGVLDPGRQTEKYIKFQPEDIETYIDELFDGNPVSMAKRKT >EOY06743 pep chromosome:Theobroma_cacao_20110822:4:32813328:32814951:1 gene:TCM_021378 transcript:EOY06743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase family protein, putative MVMRTIVAVFFLLHFRFGVKGCSLSYDFYEISCPQVEDIVKDGLQAMFLTDPTSPAALLRLMFHDCQVQGCDASILVDPGEGSVPTEMASAKNFGIRKRELISILKSMVEAQCPQQVSCADILILAAREAVALTGGPRIQVPLGRRDSSQTLSYELADTVLPPATAGVADMLNIFAEKGMTLAESVAILGAHTLGITHCSNIQNRLYSSNNGELRAMEPGFASFLRLTCPRGPLTSNLSFVLNDPTTFVFDNEYYVNAMGGWGVLKIDAEMALDPQTAHVMQRFSINQDDFFQEF >EOY02600 pep chromosome:Theobroma_cacao_20110822:4:1438412:1447743:-1 gene:TCM_017029 transcript:EOY02600 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X-like 1 isoform 1 MSNSETMSFQGRNRPRNPSQSTRQEWVPRGSSSTTTTVVSSSPGASNSTPIVNHTSTRNDNRNRQIGRSTNHRRDKEKERSENHVVVKEIDPNLPQLVQEIQDKLIRSTVECMICYDTVRRSAPIWSCSSCYSIFHLNCIKKWARAPTSVDLVAEKNQGINWRCPGCQFVQLTSSKEIRYICFCGKRTDPPSDLYLTPHSCGEPCGKPLEKVLGLGAGVMKDELCPHVCVLQCHPGPCPPCKAFSPPRLCPCGKKVITTRCFDRQSVLTCGQCCDKLLECGRHRCELICHVGPCDPCQVPINAPCFCGKKVEAVICGDMAVKGEVKTEDGIFSCSSTCGNKLRCGNHNCAEICHPGHCGDCELMPNKIKSCYCRKTSLQEQRQSCLDPIPTCSEVCEKFLPCEVHQCDQVCHSGDCPSCSVVVTQKCQCGATSRRVECYKTTLENERFTCDKPCGRKKNCGRHRCSERCCLLSNTNNLPSGDWDPHFCQMACGKKLRCGQHSCESLCHSGHCPPCFETIFTDLTCACGRTSIPPPLPCGTPPPSCQLPCSVPQACGHSSSHSCHFGDCPPCSVPVAKKCIGGHVVLRNIPCGSKDIRCNKLCGKTRQCGLHACGRTCHPAPCDISSGSEPGIRISCGQTCGAPRRDCRHTCTAPCHPSAPCPDVRCDFRVTIACSCSRITATVPCDAGGFTSSFNADTVYEASIIQKLPVALQPVDSTGKKIPLGQRKLMCDDECAKLERKRVLEDAFNITPPNLDALHFGENSVTSELLSDLYRRDAKWVLAIEERCKFLVLGKNRGTATGLKVHVFCPMLKDKRDAVRIIAERWKLSVSAAGWEPKRFVVVHVTPKSKPPPRILGVKGATSIGALHPPVFDPLVDMDPRLVVSFLDLPREADISALVLRFGGECELVWLNDKNALAVFSDPARAATAMRRLDHGSVYYGVVIFVQNAGASVASTANNAWGGAGQNSALKGNPWKKAVVEELGWREDSWGDEESFGGTSDLGSVWKGKETPIAASINRWSVLDSETGVSSSSRTVQTEDLSKPAGVLSNSGIDSNTAKSNSAGLSGGDFNEPEPLEVVDDWEKAYE >EOY02601 pep chromosome:Theobroma_cacao_20110822:4:1438612:1441875:-1 gene:TCM_017029 transcript:EOY02601 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X-like 1 isoform 1 MSNSETMSFQGRNRPRNPSQSTRQEWVPRGSSSTTTTVVSSSPGASNSTPIVNHTSTRNDNRNRQIGRSTNHRRDKEKERSENHVVVKEIDPNLPQLVQEIQDKLIRSTVECMICYDTVRRSAPIWSCSSCYSIFHLNCIKKWARAPTSVDLVAEKNQGINWRCPGCQFVQLTSSKEIRYICFCGKRTDPPSDLYLTPHSCGEPCGKPLEKVLGLGAGVMKDELCPHVCVLQCHPGPCPPCKAFSPPRLCPCGKKVITTRCFDRQSVLTCGQCCDKLLECGRHRCELICHVGPCDPCQVPINAPCFCGKKVEAVICGDMAVKGEVKTEDGIFSCSSTCGNKLRCGNHNCAEICHPGHCGDCELMPNKIKSCYCRKTSLQEQRQSCLDPIPTCSEVCEKFLPCEVHQCDQVCHSGDCPSCSVVVTQKCQCGATSRRVECYKTTLENERFTCDKPCGRKKNCGRHRCSERCCLLSNTNNLPSGDWDPHFCQMACGKKLRCGQHSCESLCHSGHCPPCFETIFTDLTCACGRTSIPPPLPCGTPPPSCQLPCSVPQACGHSSSHSCHFGDCPPCSVPVAKKCIGGHVVLRNIPCGSKDIRCNKLCGKTRQCGLHACGRTCHPAPCDISSGSEPGIRISCGQTCGAPRRDCRHTCTAPCHPSAPCPDVRCDFRVTIACSCSRITATVPCDAGGFTSSFNADTVYEASIIQKLPVALQPVDSTGKKIPLGQRKLMCDDECAKLERKRVLEDAFNITPPNLDALHFGENSVTSELLSDLYRRDAKWVLAIEERCKFLVLGKNRGTATGLKVHVFCPMLKDKRDAVRIIAERWKLSVSAAGWEPKRFVVVHVTPKSKPPPRILGVKGATSIGALHPPVFDPLVDMDPRLVVSFLDLPREADISALVLRFGGECELVWLNDKNALAVFSDPARAATAMRRLDHGSVYYGVVIFVQNAGASVASTANNAWGGAGQNSALKGNPWKKAVVEELGWREDSWGDEESFGGTSDLGSVWKGKETPIAASINRWSVLDSETGVSSSSRTVQTEDLSKPAGVLSNSGIDSNTAKSNSAGLSGGDFNEPEPLEVVDDWEKAYE >EOY02599 pep chromosome:Theobroma_cacao_20110822:4:1438412:1447247:-1 gene:TCM_017029 transcript:EOY02599 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X-like 1 isoform 1 MSNSETMSFQGRNRPRNPSQSTRQEWVPRGSSSTTTTVVSSSPGASNSTPIVNHTSTRNDNRNRQIGRSTNHRRDKEKERSENHVVVKEIDPNLPQLVQEIQDKLIRSTVECMICYDTVRRSAPIWSCSSCYSIFHLNCIKKWARAPTSVDLVAEKNQGINWRCPGCQFVQLTSSKEIRYICFCGKRTDPPSDLYLTPHSCGEPCGKPLEKVLGLGAGVMKDELCPHVCVLQCHPGPCPPCKAFSPPRLCPCGKKVITTRCFDRQSVLTCGQCCDKLLECGRHRCELICHVGPCDPCQVPINAPCFCGKKVEAVICGDMAVKGEVKTEDGIFSCSSTCGNKLRCGNHNCAEICHPGHCGDCELMPNKIKSCYCRKTSLQEQRQSCLDPIPTCSEVCEKFLPCEVHQCDQVCHSGDCPSCSVVVTQKCQCGATSRRVECYKTTLENERFTCDKPCGRKKNCGRHRCSERCCLLSNTNNLPSGDWDPHFCQMACGKKLRCGQHSCESLCHSGHCPPCFETIFTDLTCACGRTSIPPPLPCGTPPPSCQLPCSVPQACGHSSSHSCHFGDCPPCSVPVAKKCIGGHVVLRNIPCGSKDIRCNKLCGKTRQCGLHACGRTCHPAPCDISSGSEPGIRISCGQTCGAPRRDCRHTCTAPCHPSAPCPDVRCDFRVTIACSCSRITATVPCDAGGFTSSFNADTVYEASIIQKLPVALQPVDSTGKKIPLGQRKLMCDDECAKLERKRVLEDAFNITPPNLDALHFGENSVTSELLSDLYRRDAKWVLAIEERCKFLVLGKNRGTATGLKVHVFCPMLKDKRDAVRIIAERWKLSVSAAGWEPKRFVVVHVTPKSKPPPRILGVKGATSIGALHPPVFDPLVDMDPRLVVSFLDLPREADISALVLRFGGECELVWLNDKNALAVFSDPARAATAMRRLDHGSVYYGVVIFVQNAGASVASTANNAWGGAGQNSALKGNPWKKAVVEELGWREDSWGDEESFGGTSDLGSVWKGKETPIAASINRWSVLDSETGVSSSSRTVQTEDLSKPAGVLSNSGIDSNTAKSNSAGLSGGDFNEPEPLEVVDDWEKAYE >EOY02602 pep chromosome:Theobroma_cacao_20110822:4:1437682:1444435:-1 gene:TCM_017029 transcript:EOY02602 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X-like 1 isoform 1 MSNSETMSFQGRNRPRNPSQSTRQEWVPRGSSSTTTTVVSSSPGASNSTPIVNHTSTRNDNRNRQIGRSTNHRRDKEKERSENHVVVKEIDPNLPQLVQEIQDKLIRSTVECMICYDTVRRSAPIWSCSSCYSIFHLNCIKKWARAPTSVDLVAEKNQGINWRCPGCQFVQLTSSKEIRYICFCGKRTDPPSDLYLTPHSCGEPCGKPLEKVLGLGAGVMKDELCPHVCVLQCHPGPCPPCKAFSPPRLCPCGKKVITTRCFDRQSVLTCGQCCDKLLECGRHRCELICHVGPCDPCQVPINAPCFCGKKVEAVICGDMAVKGEVKTEDGIFSCSSTCGNKLRCGNHNCAEICHPGHCGDCELMPNKIKSCYCRKTSLQEQRQSCLDPIPTCSEVCEKFLPCEVHQCDQVCHSGDCPSCSVVVTQKCQCGATSRRVECYKTTLENERFTCDKPCGRKKNCGRHRCSERCCLLSNTNNLPSGDWDPHFCQMACGKKLRCGQHSCESLCHSGHCPPCFETIFTDLTCACGRTSIPPPLPCGTPPPSCQLPCSVPQACGHSSSHSCHFGDCPPCSVPVAKKCIGGHVVLRNIPCGSKDIRCNKLCGKTRQCGLHACGRTCHPAPCDISSGSEPGIRISCGQTCGAPRRDCRHTCTAPCHPSAPCPDVRCDFRVTIACSCSRITATVPCDAGGFTSSFNADTVYEASIIQKLPVALQPVDSTGKKIPLGQRKLMCDDECAKLERKRVLEDAFNITPPNLDALHFGENSVTSELLSDLYRRDAKWVLAIEERCKFLVLGKNRGTATGLKVHVFCPMLKDKRDAVRIIAERWKLSVSAAGWEPKRFVVVHVTPKSKPPPRILGVKGATSIGALHPPVFDPLVDMDPRLVVSFLDLPREADISALVLRFGGECELVWLNDKNALAVFSDPARAATAMRRLDHGSVYYGVVIFVQNAGASVASTANNAWGGAGQNSALKGNPWKKAVVEELGWREDSWGDEESFGGTSDLGSVWKGKETPIAASINRWSVLDSETGVSSSSRTVQTEDLSKPAGVLSNSGIDSNTAKSNSAGLSGGDFNEPEPLEVVDDWEKAYE >EOY03693 pep chromosome:Theobroma_cacao_20110822:4:18030323:18030987:-1 gene:TCM_018793 transcript:EOY03693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVEIQPKLIQAKMGVFKGNRALVEVVLFSLLLEAALVAGKRHVHLISLVGAGDSITVHCASGDDDLGVHVLSGEGQEFQWSFRVNIFRTTLFYCDVSWRDIPDFHFDAYDDKRDRYECSNCLWLFAPEGIYRWSDELQTWDFRFPWRPS >EOY04623 pep chromosome:Theobroma_cacao_20110822:4:25262269:25263961:1 gene:TCM_019837 transcript:EOY04623 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase family protein, putative MAPTFVFMLVLIISNTCNLTRAATFTNWTSILVFGDSTVDTGNNNFINTFFKGNNLPYGQNFPGHIPTGRLSNGKLIPDFFASFLGIKQAVPPFLDPNLSDNDLRTGVTFASAGSGYDDLTSVATGVIPVSKQLDLFESYKAKLGGIVGETEAENIIKNSLVVISAGTNDFGFNYYILPHRRRQFDIKGYQDFLQTAIQDYVKALYNQGCRRIAVAGLPPMGCLPLLITARPKFPFDRTCLEDENADAVSYNQKLVKLLPRLQASLPGSRIVYADVYTPLIDMVNNAQKYGFTVTNRGCCGTGILEALFLCNPKTPACTTPSQFLFWDSIHPTEAAYKALADVLKKLITCFS >EOY02933 pep chromosome:Theobroma_cacao_20110822:4:3071232:3073854:1 gene:TCM_017335 transcript:EOY02933 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MLRSLARQFKFVTSKQLRFYSVDGSGGRLGGKVALITGGASGLGKATAFEFIKNGAQVIIADIDSQTGPQAADDLGPAAQFVQCDVAMESQVAEAVEIAMARHGKLDIMFNNAGVAGQAFPPSIADLDLDEFDRVMRINVRGMMAGIKHAARVMVPAVSGSILCTSSISGLMGGLGPHPYTVAKFTIPGIVKSVASELCRSGVRINCISPAPIPTPMVINQIAQIYQGVPKEKVMEIINGLGELKGAKCEDIDVAKAALYLASDEAKYVTGHNLVVDGGFTSFKNLSFPSP >EOY03173 pep chromosome:Theobroma_cacao_20110822:4:6838864:6849821:1 gene:TCM_017746 transcript:EOY03173 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor isoform 2 MPPILAPSLAAAPDTLLFMAACDLVGENGSEINGCSNSRESPVTSASNSSTSEGKMMRKRMASEIADYHRFPRRSLPSHPPSENMGCSFLAAATTANNPNPLLNYSTMNMNTTIIPSANLTAVTSGGPAFLCTTTSNITCIDNLSTTNPPPPAVCGFSGLPLFPPTDRNRNTVAASTTTATTAPVALTPISNSMDDTSATAWIDGIIRDLIHTSSNVSIPQLIQNVREIIYPCNPNLAALLEYRLRSLMDPLERRRKETPPVHLPAGLIPRHHSQHQQQQHGSSGLTLNLDSALDSVPNYSFTESCAMSQYLNWGITPLPISNSAATGSNQHHHNQISSSPSAPTPPVLSLNQTQHQPQVPHQAQEQPLPEENSSPVEKTTTSTTTTTPTSTVQAVQACSVRDRKEELRQQKRDEEGLHLLTLLLQCAEAVSANNFEEANRMLLELSQLSTPFGTSAQRVAAYFSEAMSARLVSSCLGISAELPSIPQSHTQKMVSAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPHVRLTGLGTSLEALEATGKRLSDFADKLGLPFEFCPVAEKVGNLEPERLNVSKREAVAVHWLQHSLYDVTGSDTNTLWLLQRLAPKVVTVVEQDLSHAGSFLGTFVEAIHYYSALFDSLGASYGEESEERHVVEQQLLSKEIRNVLALGGPSRSEEVKFHNWREKLQQSGFKGISLAGNAATQATLLLGMFPSDGYTLVEDNGALKLGWKDLCLLTASAWRPFYASAASATTIHRCSH >EOY03174 pep chromosome:Theobroma_cacao_20110822:4:6845442:6849842:1 gene:TCM_017746 transcript:EOY03174 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor isoform 2 MAACDLVGENGSEINGCSNSRESPVTSASNSSTSEGKMMRKRMASEIADYHRFPRRSLPSHPPSENMGCSFLAAATTANNPNPLLNYSTMNMNTTIIPSANLTAVTSGGPAFLCTTTSNITCIDNLSTTNPPPPAVCGFSGLPLFPPTDRNRNTVAASTTTATTAPVALTPISNSMDDTSATAWIDGIIRDLIHTSSNVSIPQLIQNVREIIYPCNPNLAALLEYRLRSLMDPLERRRKETPPVHLPAGLIPRHHSQHQQQQHGSSGLTLNLDSALDSVPNYSFTESCAMSQYLNWGITPLPISNSAATGSNQHHHNQISSSPSAPTPPVLSLNQTQHQPQVPHQAQEQPLPEENSSPVEKTTTSTTTTTPTSTVQAVQACSVRDRKEELRQQKRDEEGLHLLTLLLQCAEAVSANNFEEANRMLLELSQLSTPFGTSAQRVAAYFSEAMSARLVSSCLGISAELPSIPQSHTQKMVSAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPHVRLTGLGTSLEALEATGKRLSDFADKLGLPFEFCPVAEKVGNLEPERLNVSKREAVAVHWLQHSLYDVTGSDTNTLWLLQRLAPKVVTVVEQDLSHAGSFLGTFVEAIHYYSALFDSLGASYGEESEERHVVEQQLLSKEIRNVLALGGPSRSEEVKFHNWREKLQQSGFKGISLAGNAATQATLLLGMFPSDGYTLVEDNGALKLGWKDLCLLTASAWRPFYASAASATTIHRCSH >EOY03175 pep chromosome:Theobroma_cacao_20110822:4:6845442:6849148:1 gene:TCM_017746 transcript:EOY03175 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor isoform 2 MAACDLVGENGSEINGCSNSRESPVTSASNSSTSEGKMMRKRMASEIADYHRFPRRSLPSHPPSENMGCSFLAAATTANNPNPLLNYSTMNMNTTIIPSANLTAVTSGGPAFLCTTTSNITCIDNLSTTNPPPPAVCGFSGLPLFPPTDRNRNTVAASTTTATTAPVALTPISNSMDDTSATAWIDGIIRDLIHTSSNVSIPQLIQNVREIIYPCNPNLAALLEYRLRSLMDPLERRRKETPPVHLPAGLIPRHHSQHQQQQHGSSGLTLNLDSALDSVPNYSFTESCAMSQYLNWGITPLPISNSAATGSNQHHHNQISSSPSAPTPPVLSLNQTQHQPQVPHQAQEQPLPEENSSPVEKTTTSTTTTTPTSTVQAVQACSVRDRKEELRQQKRDEEGLHLLTLLLQCAEAVSANNFEEANRMLLELSQLSTPFGTSAQRVAAYFSEAMSARLVSSCLGISAELPSIPQSHTQKMVSAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPHVRLTGLGTSLEALEATGKRLSDFADKLGLPFEFCPVAEKVGNLEPERLNVSKREAVAVHWLQHSLYDVTGSDTNTLWLLQGWHPK >EOY06677 pep chromosome:Theobroma_cacao_20110822:4:32639098:32639578:1 gene:TCM_021330 transcript:EOY06677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L29e protein family MAKSKNHTAHNQSYKAHKNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKSGESATEEE >EOY04002 pep chromosome:Theobroma_cacao_20110822:4:21323129:21326573:-1 gene:TCM_019255 transcript:EOY04002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTGRVFQEGYVSKNEVCHKNKDVMGCKANEAKIEQVVGTVVPKSNQTMDSSEGECPPIKHVRRRMPRRSIGRRMTKK >EOY02953 pep chromosome:Theobroma_cacao_20110822:4:3137073:3139408:1 gene:TCM_017346 transcript:EOY02953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDSFNVRVGKAFGSLASSSSSKSQSLSSLWSLTDHEIEKREWNRDKESPERPEEEEGLLEKFRWKKTITFRAELEKDLDDLDEDEEDEEEARASSTSSKGGKPEDYNDEEWEIKSSIGRDCTLDYEEEEDQYDKVAVGREKTGDRLYMKDVNDYEIDADSGNVLPTTFRDFSRDPRANHIAAKLRLKEDAEAAKKRLEEDAETAKKIDSLRVSDSDGPAGMDAHVSTFEDGNLKSILKRKDDAESNPKPQKRVRFDSECKNDFNEGSEGAEDVPKEACLTDEEEAMVSNKASTLPQDYPSGIPDYLRNPSKYTRYTFDLSDVDDGSNRQAYMDFLKLIKRSDATEPLADDDPSDLTKPVTFIPKRKTSDVIMGESCNEPKQIREDTEKESMHRRGLPTGIAAGDTNDGVCAMEEDEPQTIIDKRNISQRSGRQYRMKPSSESHD >EOY02858 pep chromosome:Theobroma_cacao_20110822:4:2680321:2681080:-1 gene:TCM_017266 transcript:EOY02858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKCQSFLFLGMKIWFWSIWVGVGHWSWDLTHQPKESGVCKESLTGLWLPAYSLLMEPLLCLSVCLSICHD >EOY02258 pep chromosome:Theobroma_cacao_20110822:4:175361:177147:-1 gene:TCM_016786 transcript:EOY02258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycoprotein family, putative MTEDHEQISQKKHRQNICKRRCLSVGCVLIICLLLIFIIFLVLALTVFKAKQPRIKLLSATLDGVSPRITFPVFNIQLNITLDLQLLVVNRNRASFKHGSGKSFLSYRGNQIGEADIPPGFIPAMGSTTISSRLTLEVDEVVSNITALVSDVLDGQLVVDTRTRIPGRVTFLKLFKKHAVATSECHFTIAVLALKIQSQECKTKTKL >EOY04981 pep chromosome:Theobroma_cacao_20110822:4:26719066:26722572:-1 gene:TCM_020113 transcript:EOY04981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 24 MGSCISTPSRLVGVVSKKSYYNTSKTKRKLHASFDHEAARKSMNLRVTNGKVLKDSSGDNILERYELGKELGRGEFGVTHQCFDLVTGEAYACKKISKAKLRSEIDVEDVRREVEIMRHLPKHPNIVTFREAFEDKEAVYLVMELCHGGELFDRIVAKGHYTERAAATAIKTILEIVKVCHEHGVIHRDLKPENFLLADESETAPIKAIDFGLSIFYEPGQRFSDIVGSPYYMAPEVLRRNYGKEVDIWSIGVILYILLCGVPPFWADTEEGIAHAIIKGDIDFERDPWPKVSEEAKDLVRSMLDPNPYSRMTVQEVFEHPWIQNLEHAPNVNLGENVRTRIKQFSFMSKFKKKVLRVVAGNLPNEQTDAIVQIFRMMDTDENGHLSFEELRDGLQKIGHCVADPDVQLLMDAADIDGNGTLSCEEFVTMAVHLKRMSNDEHLSQAFHHFDKNQSGYIEFEELQEALLHDDLGPNNEQVIRDIIHDVDLDKDGRISYEEFKAMMLTGMDWKMASRQYSRALLNAVSIKILKQSGQLR >EOY02877 pep chromosome:Theobroma_cacao_20110822:4:2770641:2772304:-1 gene:TCM_017280 transcript:EOY02877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVLCDKFKGKVSSDDYSAMLKHLNTYSSGRITMIRLKEMVEDLLGKYPGLFDHFLYPFNVSHGLPPPLQSAQTINKRKRPAGSEEGVGENHGRNKKIIRLRLTSGSVPILDHPESTSRNDRLSRALRFCDKVRKETSSEKYLTLLRCLHYYGTGKITKGDTMKMMADEFPEFEQDFRHVLEFYESLSQPSPQAEDKAMKPNKDIKSNTPQAQLDDLTPSYRFLPKNLSANEISSGSEPGDLKVLNNCCYSKGLFNSGKVRRIDPYEEMLNEHEDYLYERDMLLEWLRSTKKNATKLYEAISDGKIKQPNPEEVDNYFNPYNFRFIERMYGSIHGPATVDELRQAAHIVLPYILKRLDQINSSSCQPTC >EOY05285 pep chromosome:Theobroma_cacao_20110822:4:27879797:27884344:1 gene:TCM_020321 transcript:EOY05285 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor, putative MSKENQFPKTETFMNKEWPSYIYGMATGPKFFNDPIMWGALSGTACHKNGSGIGSKLSSSSSSSPSPDRLLSSSNSSSSAEEAPGANLLKNIPGFIRQDVVHEHQSSLFVSGFSSVHPPGDSVSDIKDGFIPVNFLESFPEVHHAQVSEPPSPSSLSTTSKFPNLTLFLPEPTMIDPSTRAIVSIGKKSEPTSNLSQDPTYHTPQLGQIQSQPGNEWLRINQSLTNYPSKGFSDYWLSTTKTQPMKYTGRRLHNQHQKGSSVSSASSTGKLFRGVRQRHWGKWVAEIRLPRNRTRVWLGTFDTAEEAAMAYDTAAYILRGEYAHLNFPDLKHQLKANSLNGNTAALLEAKLQAISQGLSANKKSNDPSPTQEKVKDLNENTAKREWQFEVESKAGSEVNESNKKSQEMLPSDVDAVQLSRMPSLDMDMIWDALLVSDS >EOY04227 pep chromosome:Theobroma_cacao_20110822:4:22937098:22944665:-1 gene:TCM_019495 transcript:EOY04227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein MASISSVIAWGSGEDGQLGIGNNEEKEWVCVVKALEPHNVRSVVAGSRNSLAICDDGKLFTWGWNQRGTLGHPPETKTENIPSPVKALANVNIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEPERKDGTGRPLRRDIVNPQRCAPKLVVRQVAAGGTHSVVLTREGHVWTWGQPWPPGDITQISVPVRVQGLENVRLIAVGAFHNLALQEDGTLWAWGNNEYGQLGTGDTQPRSQPILVQGLSGLTLVDIAAGGWHSTALTDDGEVYGWGRGEHGRLGFGDNDKSSKMVPQRVHLLASEDIVQVSCGGTHSVALTRDGCMFSFGRGDHGRLGYGRKVTTGQPMEVPVNLPAPKNVNGSGAEGHWISKLVACGGRHTLAIVEWKTHESKP >EOY02474 pep chromosome:Theobroma_cacao_20110822:4:985982:987815:-1 gene:TCM_016940 transcript:EOY02474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sirohydrochlorin ferrochelatase B MSIELLSIPQTLTLKSSSVNEPVRKSAWRPLKSSDFQRVPPKSRNFSARSSLSFENGGYKQFPNGVGAKDGVIIVDHGSRRRESNLMLNEFVAMFRDKTGYQIVEPAHMELAEPSIRDAFGLCVQRGANRVIVSPFFLFPGRHWYQDIPSLTTDAAKEHPGVSYMVTAPLGLHELLVDVVNDRIKHCLSHVAGDADECAACAGTSKCKLY >EOY06052 pep chromosome:Theobroma_cacao_20110822:4:30773412:30775874:-1 gene:TCM_020899 transcript:EOY06052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Legumin B, putative isoform 1 MLLNLSTVISQRLVSLSSGIKMKNNSSVLLLPSFAINSTAKAFYYLHLPMLLSLSMLFQTGQAIQGTVFPGCPETYQSQSQQSQHGGDKQQSSWDQHQKIRRLSWSRLLMLAIRPTSSIKTLEGLVTGGQSQSQGRSRRGQEEEQQESGGNNLLSAFKEQLLAEVFGIDTRLARKIQNEKDNRGAIVKVAHEFRFASPQSVEEEQRQRGSESEEEEEEEGQEERGQRRERREQRRGNRKQEGRQGRKGGSRSCNGLEETFCTMRLRHWTDSPFADVFNPRAGRITTKTECFLRSSLEHQCVTRGSGRIQVVAENGNAIFDDQVEEGQVIIVPQNHAVVKKAGRQGFERTAFKTNANPMISQFAGRVSVFRSIPVDVLASSFGISREDAMRLKQNRQEVSLFSPRKESQQ >EOY06054 pep chromosome:Theobroma_cacao_20110822:4:30773412:30775493:-1 gene:TCM_020899 transcript:EOY06054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Legumin B, putative isoform 1 MDRLSLSWSRLLMLAIRPTSSIKTLEGLVTGGQSQSQGRSRRGQEEEQQESGGNNLLSAFKEQLLAEVFGIDTRLARKIQNEKDNRGAIVKVAHEFRFASPQSVEEEQRQRGSESEEEEEEEGQEERGQRRERREQRRGNRKQEGRQGRKGGSRSCNGLEETFCTMRLRHWTDSPFADVFNPRAGRITTVNSYNLPVLQFLQLSAERGVLYKNAFYAPHWNTNASPGAVEGFKL >EOY06053 pep chromosome:Theobroma_cacao_20110822:4:30774099:30774862:-1 gene:TCM_020899 transcript:EOY06053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Legumin B, putative isoform 1 MDRLSLSWSRLLMLAIRPTSSIKTLEGLVTGGQSQSQGRSRRGQEEEQQESGGNNLLSAFKEQLLAEVFGIDTRLARKIQNEKDNRGAIVKVAHEFRFASPQSVEEEQRQRGSESEEEEEEEGQEERGQRRERREQRRGNRKQEGRQGRKGGSRSCNGLEETFCTMRLRHWTDSPFADVFNPRAGRITTVNSYNLPVLQFLQLSAERGVLYKVT >EOY05157 pep chromosome:Theobroma_cacao_20110822:4:27425697:27427272:-1 gene:TCM_020236 transcript:EOY05157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich RNA-binding protein 4 isoform 1 MWLGGAVVKKMVQLSVIMAFSSKLGSLLRQNGQMPITSMLNSIRCMSTSTKKLFIGGLSYGTDDQTLKEAFSGFGDVTEARIIIDRDTGRSRGFGFVNFADDQSASNALSAMDGQELNGRNIRVSYANERPSGPRSFGGNNGGFRGDRGFGGDSGY >EOY05156 pep chromosome:Theobroma_cacao_20110822:4:27425795:27427947:-1 gene:TCM_020236 transcript:EOY05156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich RNA-binding protein 4 isoform 1 MAFSSKLGSLLRQNGQMPITSMLNSIRCMSTSTKKLFIGGLSYGTDDQTLKEAFSGFGDVTEARIIIDRDTGRSRGFGFVNFADDQSASNALSAMDGQELNGRNIRVSYANERPSGPRSFGGNNGGFRGDRGFGGDSGY >EOY05283 pep chromosome:Theobroma_cacao_20110822:4:27868943:27869719:1 gene:TCM_020319 transcript:EOY05283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated family protein MFSASTSHIFSLIQITAFSLHSAETNLRMARLSWAPIAFLLFLALAFTIQTASGGGEGSLKPEECEGACEVRCSATSHKKPCLYFCNYCCERCLCVPSGTYGNREECPCYNNIKTKEGANKCP >EOY06618 pep chromosome:Theobroma_cacao_20110822:4:32472553:32473339:-1 gene:TCM_021282 transcript:EOY06618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related protein 10.5 MGVFTYESEVATAIPPAKMFKACILDGDNLIPKIVPQAFKSVEYIEGSGEPGSIKKITFGEGSQFNYMKEKVEALDKDNFVYIYSVIEGDALMNTLEKITYETKLEQSPAGGSICKTTSKYYTIGDFEITEEGIKAGKEKALGIFKVVEAYLLANPDAY >EOY04481 pep chromosome:Theobroma_cacao_20110822:4:24549055:24551804:-1 gene:TCM_019724 transcript:EOY04481 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MQVGEEVLSLGKIAGPIIMTTLLIHSRSVISMLFLSHLGKEELAGGSLAMGFGNITGLSVIKGLSIGMDPICGQAYGARRYSVLSQTFHRALSLLLLISIPIAILWLNVEPIFLRLGQDPEATKVAKVYLAAFIPELVAQALLHPMRTFLRVQGITTPLTIAAICSVLLHPLINYIFAIYFQLGVKGIALALACNTFNLNLGLIIYMLMSEAPLKPWHGVTILSIFQGWRPLLALALPSLLSVCLEWWWYEIMLFLCGLLSNPKASVAAMGILIQTTGLLYNFPFSISASISTRVSQALGAGRPSCAHRTAITGLLMAFAFGVSAFVFMTVLRSWWGKLFTDEPQILDLISTVLPILGLCEVGNSPQTAACGVLTGTARPKDGVRINLCSFYLIGLPVAILTTFKFNFGFKGLWLGLLAAQMSCVCMMVYTLIRTDWKHQAKRADELTLAAGVKDDLETSLLTTDH >EOY02760 pep chromosome:Theobroma_cacao_20110822:4:2151020:2153712:-1 gene:TCM_017163 transcript:EOY02760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein MGVTPKIAPSMLSSDFANLASEAKRMLDFGADWLHMDIMDGHFVPNLTIGAPVIESLRKHTKAYLDCHLMVANPIDYVEPMGKAGASGFTFHVEISKDNWQELIQRIKSKGMRPGVALKPGTPIEEVYPLVDSENPVEMVLVMTVEPGFGGQKFMPEMMDKVRVLRKKYPSLDIEVDGGLGPSTIDMAASAGANCIVAGSSVFGAPEPAAVISLMRKSVLENQEKC >EOY04277 pep chromosome:Theobroma_cacao_20110822:4:23117834:23121655:-1 gene:TCM_019524 transcript:EOY04277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGSILFEMIMGMEFLLVALETFLLMLLRHKMGHFNIMQTRIGALILQHPTK >EOY03264 pep chromosome:Theobroma_cacao_20110822:4:10189974:10190733:1 gene:TCM_018048 transcript:EOY03264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELWNSLRLLSLDMQGPWMVGGDFNAIVSVAERLNGAPPHGGSMEDFAVILLDCGLLDAGFEGNNFTWTNNHMFQRLDRVVYNP >EOY05354 pep chromosome:Theobroma_cacao_20110822:4:28215023:28229010:1 gene:TCM_020372 transcript:EOY05354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane 721, VAMP7B-like protein MFPNDVYLGDIIDAAKSFRYLSISIHRAKVAAEEYFKLGNIDRAIQQGFAALDLNPNLRIVQKYIAAYLIHKFASMLSLCQKMKVDDTKVLYSILFIEDCSAVVDAATIRKHYKEVVLLVHPDKNDSVAADGAFKIMFPNDVYLGEIIDAVKSFRFVIRFFSLRAYCVVAVESAGRQVPIAFLERVKEDFNETYGGGKAATAPPDSVNREFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMIESIEKIIGCC >EOY03499 pep chromosome:Theobroma_cacao_20110822:4:16498855:16504325:1 gene:TCM_018595 transcript:EOY03499 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain MNLRSCKAISRDLRYKMKVVKYLFPKIYGGAANYEIEEVRKTCYDLLQEYHSRSTNLKPESSQSSLAATFDESPEPDECMLYGFFNSFIDGHAKLELDHYLKDKVLPFTKTFDILSWWKTNGIKYPTLQMIARDFLTIPISTVASELAFSTGGRVMTDHRSRLKPYTLEALMCTQN >EOY05341 pep chromosome:Theobroma_cacao_20110822:4:28160501:28162438:-1 gene:TCM_020360 transcript:EOY05341 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 FTSPRDACRISLVSRALRSVADSDAVWLVFLPCDYKQIISKSSSSPSLFSLPKKDLYFSLCHRSILFDDGTTSFRLEKENGKKCYMLGARALSIQWADCPDYWSWIPLPESRFSEVPKLKNVWRLDVKGRIELKTLSYRTNYSAYLVFKIVRDRYGFRHTPVEFRVIINEGTASGKVRSVILDPPPNVPQQAKERGDSWLEIEMGEFFNECGDDTRVEFYLRGVHDNQPKRGLIIEGIELRPKDNRGEKSLRFYNVYTSALRLTAFIHALY >EOY05340 pep chromosome:Theobroma_cacao_20110822:4:28159800:28162577:-1 gene:TCM_020360 transcript:EOY05340 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MDMTKVLPDECMSLIISFTSPRDACRISLVSRALRSVADSDAVWLVFLPCDYKQIISKSSSSPSLFSLPKKDLYFSLCHRSILFDDGTTSFRLEKENGKKCYMLGARALSIQWADCPDYWSWIPLPESRFSEVPKLKNVWRLDVKGRIELKTLSYRTNYSAYLVFKIVRDRYGFRHTPVEFRVIINEGTASGKVRSVILDPPPNVPQQAKERGDSWLEIEMGEFFNECGDDTRVEFYLRGVHDNQPKRGLIIEGIELRPKDNRTIQNSKFDSIFPDCRTGILRFTVSLFPSSFASQGMHRHLAFTMRTWEGNSRFIGYKDLALLKS >EOY03568 pep chromosome:Theobroma_cacao_20110822:4:17181680:17186252:1 gene:TCM_018680 transcript:EOY03568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Saccharopine dehydrogenase isoform 2 MQTSQLQNPSLLYDIIILGASGFTGKYVVKEALKFLNTPASPLKNLAIAGRSHEKLVRTLQWAAHPNPLPPSVSIITADTTDRPSLLSLCRQTKVLLNCVGPFRIHGEPVVAACASSGCDYLDISGEPEFMERMEAKYHEKAVETGSLVVSACGFDSIPAEMGFMFNSRQWVAPAVPNHVDAYVSLESDKRIVGNFGTYESAVLGVANMDKLQEFRSSRPKRPRPVPLLPSPPSHEVVMIGGNGHGVGIDNNGSCCVVGSDGADVHGKTMVYAFLYWRIAELAAVGGRGGWIMVLPVIASFDIVQYLTWALLLIPGPPPSRGPMIEHQEKIGLKAVRLPSADAVVVRRTLVTLIENPHGLPGVNESVEHSDKREAFWSSVKPAHFGVKIGSKSLLGIYRIIGVGMFIGLLGRTSFGRWLLLKFPSFFSLGWFRKKGPSEDEVRSASFKMWFVGYGFSDSSLASQANSKPDMQIITRVMGPEIGYVTTPIVLLQCALILLSQRESLPKGGAFTPGVVFGPHLEERLQENGISFDLISKCALPA >EOY03569 pep chromosome:Theobroma_cacao_20110822:4:17181654:17186213:1 gene:TCM_018680 transcript:EOY03569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Saccharopine dehydrogenase isoform 2 MQTSQLQNPSLLYDIIILGASGFTGKYVVKEALKFLNTPASPLKNLAIAGRSHEKLVRTLQWAAHPNPLPPSVSIITADTTDRPSLLSLCRQTKVLLNCVGPFRIHGEPVVAACASSGCDYLDISGEPEFMERMEAKYHEKAVETGSLVVSACGFDSIPAEMGFMFNSRQWVAPAVPNHVDAYVSLESDKRIVGNFGTYESAVLGVANMDKLQEFRSSRPKRPRPVIPGPPPSRGPMIEHQEKIGLKAVRLPSADAVVVRRTLVTLIENPHGLPGVNESVEHSDKREAFWSSVKPAHFGVKIGSKSLLGIYRIIGVGMFIGLLGRTSFGRWLLLKFPSFFSLGWFRKKGPSEDEVRSASFKMWFVGYGFSDSSLASQANSKPDMQIITRVMGPEIGYVTTPIVLLQCALILLSQRESLPKGGAFTPGVVFGPHLEERLQENGISFDLISKCALPA >EOY04263 pep chromosome:Theobroma_cacao_20110822:4:23084985:23087937:1 gene:TCM_019519 transcript:EOY04263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome maturation factor UMP1 MEAPKTIQHEIGGLQNDALRFGLHGVKSDLVGSHPLQSAYESAKKTQEEMRRKVLANTYGTALPLKMDLDRQILSRVYTHTDTHTISAASDYLVLFYFGTLTEGSYGLCGEWEVVVWKEKTICMNEALLFFRGLHHRSASKTLIGYKGRWRLLRFQRPLLPSSMLGLEALTGTLDDFGFEDYLNDPRESETVRPLDLHHNMEVHLGLSKGPVCPSFI >EOY06450 pep chromosome:Theobroma_cacao_20110822:4:31961890:31963805:1 gene:TCM_021167 transcript:EOY06450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative MFYFLSRTLLHRRCTVTGSTAAQKLSLLQKLPFSQFSPKPILAKVNQHSSVISYLINACGLSPKSALSASKKLHFETSDRPNLVLSFFKDHGLSRTQISKIIGRTPELLLYYPEKTLLPKFEFFYSKGISSSDLAALMYTCPRVIITGLESKIVPSFNCLEELLKSKDKAIAAIKHFPFILCHDIEGQVAILRDHGVPDPNIALFVRRWPHLSISNPDKFNQTVEVVIEMGINPLKSQFVIAIVAVKKIGKDIWERKVNLYKSWGCSEEQIIASFTKFPWCMIASENKISPAMDFFVNKMGWEANAVVERPILISLSLEKRILPRAPVLQFVLSKGWLEEKVSKVAWMFTCPEKRFVRKCLTWHKYEPQLLQMYRDKLDLCKVREGSI >EOY03943 pep chromosome:Theobroma_cacao_20110822:4:20578600:20580278:1 gene:TCM_019156 transcript:EOY03943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyl pyrophosphate synthase 1 MAFSAIIPSHKTSSLIKISIEDPMKLTPFSQINLVPRKIQASQIANASLPQAFVSKDGMSNSDPLPAFNFEEYMADKGQRVNKALIKAVPLKHPMQIHEAMRYSLLAGGKRVRPILCIAACELVGGEETLAMPVACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVFGEETAILAGDALLSLAFEHLASKTTENISPDRVVRAIAELGSAVGSEGLVGGQIVDLASEGKEISLNELEYIHLHKTAKLLEASVVCGAIIGGGNDGDVVRVRNYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLVSNKATYPKLIGLDNAKKFAGELMTQAVEELAYFDPARAAPLYHLAKFICDRDN >EOY03747 pep chromosome:Theobroma_cacao_20110822:4:18958327:18960677:-1 gene:TCM_018912 transcript:EOY03747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGATKGSLGEARIEGVLRDSNSEVKILFVKFIGIVDPRIAKLMAIRKALLIFSTSKWNLTYGLDIESDSINAIKWLKFWVDTPDDFVEMWAMVLSMVGCILGFSLM >EOY06119 pep chromosome:Theobroma_cacao_20110822:4:30969024:30971731:1 gene:TCM_020939 transcript:EOY06119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein isoform 2 MSDIAQEAWRKYLIQLQAHPLRTKAITAGILAGCSDLIAQKISGVKRLQLRRLLLIMLYGFAYSGPFGHFLHKLMDIIFRGKKGSKTVAKKVLLEQLTSSPWNNFFFMMYYGLVVEGRPWGLVRSKVRKDYPTIQLTAWKFWPIVGWINYQYMPLQFRVLFHSFVASCW >EOY06118 pep chromosome:Theobroma_cacao_20110822:4:30968814:30971976:1 gene:TCM_020939 transcript:EOY06118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein isoform 2 MSDIAQEAWRKYLIQLQAHPLRTKAITAGILAGCSDLIAQKISGVKRLQLRRLLLIMLYGFAYSGPFGHFLHKLMDIIFRGKKGSKTVAKKVLLEQLTSSPWNNFFFMMYYGLVVEGRPWGLVRSKVRKDYPTIQLTAWKFWPIVGWINYQYMPLQFRVLFHSFVASCWAIFLNLKARSVAIKKA >EOY05634 pep chromosome:Theobroma_cacao_20110822:4:29234045:29236312:-1 gene:TCM_020582 transcript:EOY05634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein, putative MALAERVVAQALLLVMAASLQLSHAAVYKVGDSGGWTSIGNIDYKQWAATKTFQVGDIIHFEYNAQYHNVMRVTHPMYRACNATAPLATYTTGNDTITITTKGHHYFLCGVPGHCQAGQKVDINVLRTSETAPTPSPSGLSPPPSFPSVGIPAPSPSKATSLKASKGSFSKLGLAMAAFAVFVSGLH >EOY03368 pep chromosome:Theobroma_cacao_20110822:4:13884903:13887770:1 gene:TCM_018360 transcript:EOY03368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonsense-mediated mRNA decay NMD3 family protein isoform 1 MVANMFVVPQTIVAMAANMFVVPQTRGTVLCCKCGIPMAPNPANMCVTCLRLEVDITEGLRKHVIIMYCPECESYLQPPRTWIKAQLESKELLTFCVKRLENDLKKVRLVNAEFIWTEPHSKRIKVKLKVQKEVLNGAILEQSYVVEYVQQDHMCESCTRFQANPDQWVAAVQLRQRVAHRRTFFYLEQLILKHDAAVHAIKIKQMDQGIDFFFGNRSHAVKFVEFLGKVAPTKSRHDKQLVSHDPKNNNYNYKYTFSVEISPICREDLICLPPKVAASLGNLGPLVICTKVTNNIALLDPFTLRQCFLDTDQYWRHSFKSLLGSRQLVEYDVFDVEVVSPEYNVGGSKYVLADIEVARITDYGKLFYIRTHLGHILKPGDRALGYDLYGANSNDMELDKYKGLVLPEAILIKKSYEEKRQKKRGKPRSWKLKSLDMEVDDTKGRAYEEKMNSEYEGFLRDLEENPELRFNLSLYRNRDYQPSEMASVSEGDDMPSVPLEELLADLELSEQEDGEDSMRE >EOY03370 pep chromosome:Theobroma_cacao_20110822:4:13885016:13887836:1 gene:TCM_018360 transcript:EOY03370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonsense-mediated mRNA decay NMD3 family protein isoform 1 MAANMFVVPQTRGTVLCCKCGIPMAPNPANMCVTCLRLEVDITEGLRKHVIIMYCPECESYLQPPRTWIKAQLESKELLTFCVKRLENDLKKVRLVNAEFIWTEPHSKRIKVKLKVQKEVLNGAILEQSYVVEYVQQDHMCESCTRFQANPDQWVAAVQLRQRVAHRRTFFYLEQLILKHDAAVHAIKIKQMDQGIDFFFGNRSHAVKFVEFLGKVAPTKSRHDKQLVSHDPKNNNYNYKYTFSVEISPICREDLICLPPKVAASLGNLGPLVICTKVTNNIALLDPFTLRQCFLDTDQYWRHSFKSLLGSRQLVEYDVFDVEVVSPEYNVGGSKYVLADIEVARITDYGKLFYIRTHLGHILKPGDRALGYDLYGANSNDMELDKYKGLVLPEAILIKKSYEEKRQKKRGKPRSWKLKSLDMEVDDTKGRAYEEKMNSEYEGFLRDLEENPELRFNLSLYRNRDYQPSEMASVSEGDDMPSVPLEELLADLELSEQEDGEDSMRE >EOY03369 pep chromosome:Theobroma_cacao_20110822:4:13884903:13887770:1 gene:TCM_018360 transcript:EOY03369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonsense-mediated mRNA decay NMD3 family protein isoform 1 MAANMFVVPQTRGTVLCCKCGIPMAPNPANMCVTCLRLEVDITEGLRKHVIIMYCPECESYLQPPRTWIKAQLESKELLTFCVKRLENDLKKVRLVNAEFIWTEPHSKRIKVKLKVQKEVLNGAILEQSYVVEYVQQDHMCESCTRFQANPDQWVAAVQLRQRVAHRRTFFYLEQLILKHDAAVHAIKIKQMDQGIDFFFGNRSHAVKFVEFLGKVAPTKSRHDKQLVSHDPKNNNYNYKYTFSVEISPICREDLICLPPKVAASLGNLGPLVICTKVTNNIALLDPFTLRQCFLDTDQYWRHSFKSLLGSRQLVEYDVFDVEVVSPEYNVGGSKYVLADIEVARITDYGKLFYIRTHLGHILKPGDRALGYDLYGANSNDMELDKYKGLVLPEAILIKKSYEEKRQKKRGKPRSWKLKSLDMEVDDTKGRAYEEKMNSEYEGFLRDLEENPELRFNLSLYRNRDYQPSEMASVSEGDDMPSVPLEELLADLELSEQEDGEDSMRE >EOY03691 pep chromosome:Theobroma_cacao_20110822:4:17856736:17859656:-1 gene:TCM_018779 transcript:EOY03691 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MTKFFRVDCAKAGIHNSQNLSEFRSQDCFPLPSLASLFAMASSKMLKVHEITRVSPSSDSPSSANEFSLPLTFFDIFLFKFHPIETVFFFQLTESTSTPSCFYSEILPRLKKSLSLALAHYLPLAGNLKWPSDSPKPILSYAPNDGISLTVAESEAQNFNSLSGNEIRKANELQPLVPQLMISDHIAAILSAQITLFPNQGFSIGVTTHHAAVDGRIGVMFLKSWAYLCKQGNKANPPLPPELTPFFERSVIEDPARLDLLYLKQWSAFTSLDSDPNKRNLKLWQEVRSTPDDLVRATFEFSRKDIKKLREKILSKLDNSKPLHLSTFVLTLAYTATCLVKAKGEGDRPVSIVFVADCRTRLDPPLPITYFGNCLMSFGRSTNATHFMNEDGFPFAVDLCCDLIEGLKNGVLEGAEEKVSHIFTSKKPGLQFINVGGSPQLLIYGLDFGLGKLKKVEFVSVHRDEAIAMTESRDGSGGVEVGLALNKHEMENFASLFSDGLRSID >EOY05254 pep chromosome:Theobroma_cacao_20110822:4:27750198:27752056:1 gene:TCM_020298 transcript:EOY05254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKVMVLMLLILSLNPTILTLAIRHLKIVVPNRGIVPPSQPSHCTYIPGNKGQPPCYNNPVKGNDAWKNP >EOY05722 pep chromosome:Theobroma_cacao_20110822:4:29597981:29599268:-1 gene:TCM_020651 transcript:EOY05722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein, putative MDPSRISLRRFLISDVDDFLKWASADKVTRYLRWNTITSREEALSYLEKVAIPQPYRRSICLDDHSIGYVSIKPGSGDDECRAHLGYAVSAEYWGQGIATAALKMAVSNVFKEFPGLVRLEAIVLVENQGSQKVLEKVGFLKEGLLRKYGFFKGEIRDVLVYSFLSTDLMK >EOY03062 pep chromosome:Theobroma_cacao_20110822:4:4071308:4071718:-1 gene:TCM_017486 transcript:EOY03062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWLLARNSKIWTLLGGSSKLIATVGGLECWAPHGQVAWALGRQAGLDRAQIVCLGCVGCLLGAVRASSPRGLCSAPLPAASS >EOY03046 pep chromosome:Theobroma_cacao_20110822:4:3947214:3948512:1 gene:TCM_017467 transcript:EOY03046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIMWNATKVVLGSDKIWKTTVFVITWTIWIGRNEVVFHNKVWDKELIWELIKLRVIIWVNARWQITSSSILDIYRYPVEGFNQLREMDPRPQTVWEKLEAGKVKFNVDKAAIECLSEAGIGGLLRNEKGEILIRFSKTIGMRDSNLAEYLGIREAFILFSNSIWANNYSLIIKSDSRNFHQVD >EOY02589 pep chromosome:Theobroma_cacao_20110822:4:1387998:1392078:-1 gene:TCM_017018 transcript:EOY02589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSEAYRDSFGSVKQFKAGPDFFGFYAREIADLLSQNESTLSTSNASELSQGKYGMVNGKEAMDCSHQDASSLFENSIGAGFSDFKKGKLKALLRQSVNDLSMEVDEMLDPVVAMSQLRYKLKSNNSLVAASNDNVAKIASKKPKMSSSCSSASITANSCPIKPESCVEVEDDLRFLLENDNPLLVEQTMKKYSDELSSTLLHMEQKLEETLDTIMSKCSFNL >EOY02586 pep chromosome:Theobroma_cacao_20110822:4:1387474:1392255:-1 gene:TCM_017018 transcript:EOY02586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSEAYRDSFGSVKQFKAGPDFFGFYAREIADLLSQNESTLSTSNASELSQGKYGMVNGKEAMDCSHQDASSLFENSIGAGFSDFKKGKLKALLRQSVNDLSMEVDEMLDPVVAMSQLRYKLKSNNSLVAASNDNVAKIASKKPKMSSSCSSASITANSCPIKPESCVEVEDDLRFLLENDNPLLVEQTMKKYSDELSSTLLHMEQKLEETLDTIMSKCRPMTLIEKQQLQKLIQKLPQENLVRVVDIIQRGRPAGKSRGEEIFVDLEQEKNVTLWRLYYHVEAVEKAKMLAHSQCSTTRT >EOY02588 pep chromosome:Theobroma_cacao_20110822:4:1387474:1392260:-1 gene:TCM_017018 transcript:EOY02588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSEAYRDSFGSVKQFKAGPDFFGFYAREIADLLSQNESTLSTSNASELSQGKYGMVNGKEAMDCSHQDASSLFENSIGAGFSDFKKGKLKALLRQSVNDLSMEVDEMLDPVVAMSQLRYKLKSNNSLVAASNDNVAKIASKKPKMSSSCSSASITANSCPIKPESCVELLHMEQKLEETLDTIMSKCRPMTLIEKQQLQKLIQKLPQENLVRVVDIIQRGRPAGKSRGEEIFVDLEQEKNVTLWRLYYHVEAVEKAKMLAHSQCSTTRT >EOY02587 pep chromosome:Theobroma_cacao_20110822:4:1387474:1392260:-1 gene:TCM_017018 transcript:EOY02587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSEAYRDSFGSVKQFKAGPDFFGFYAREIADLLSQNESTLSTSNASELSQGKYGMVNGKEAMDCSHQDASSLFENSIGAGFSDFKKGKLKALLRQSVNDLSMEVDEMLDPVVAMSQLRYKLKSNNSLVAASNDNVAKIASKKPKMSSSCSSASITANSCPIKPESCVEVEDDLRFLLENDNPLLVEQTMKKYSDELSSTLLHMEQKLEETLDTIMSKCRPMTLIEKQQLQKLIQKLPQENLVRVVDIIQRGRPAGKSRGEEIFVDLEQEKNVTLWRLYYHVEAVEKAKMLAHSQCSTTRT >EOY05756 pep chromosome:Theobroma_cacao_20110822:4:29676553:29686300:-1 gene:TCM_020676 transcript:EOY05756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MNMERKSGEEGGGVRTPKKSDEQEGGGNSKMKGTGGISSKDMIFRADKIDLKSLDIQLEKHLSRVLSRSIENQRPVEEWEIDLAKLDLRHVIAHGTYGTVYRATYDNQDVAVKLLDWGEDGIATTAETAALRASFRQEVAVWHKLDHPNVTKFVGASMGTSNLKIPSKNPSADSHNSLPSRACCVVVEYLPGGTLKQYLIRNRRKKLAFKVVVQLALDLSRGLSYLHSRKIVHRDVKTENMLLDIHRNLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRRCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVRQNLRPEIPRCCPSSLASIMRKCWDANPEKRPEMDEVVRMLEAVDTSKGGGMIPDDHTPACFCFAPARVVVSYSSPRNTYWFECHAQTPQDSNLPRNFIINQSLDKDPLSHCPWVHPTPPKQGGSEFQFSNGNGRLCLKGWIAVPGHNHELGLG >EOY02648 pep chromosome:Theobroma_cacao_20110822:4:1665281:1667846:1 gene:TCM_017065 transcript:EOY02648 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase mitochondrial F1 complex assembly factor 2 MATSLLNKALKPMKTRNVLSTLRSLNFTSIATVHDSPSDGPSSSSFTFDNTNHSKEKDNNSIYLKPPTSNLKPETTTSSSSSVAMPMSFMTGSIVGKRFYKKVSTRVSDDGVGWTVMLDYRTLKTPSKRPLKLPTLALAKAIAAEWEYQQIDGIRPFTMPLMKLACTALERVPLTRVKVIEHLMKKFNQDLVFCRAPEDNALTVGLHARQVEKVDPLLDWVESEFGFKPIVYSSFFGGKQGDGLTKAVENFLKKTDDCALAAIDALAAAAHSLVIALGIFRGKLQIEEAIELIRLEEDLQVDKWGLVEGGHDVDIADLKVQISSATVFLGLSRRNSFSD >EOY06104 pep chromosome:Theobroma_cacao_20110822:4:30914398:30918976:-1 gene:TCM_020931 transcript:EOY06104 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MAPTPSSSSKQGQTQMRTPQSKHRLNFSSTRTNPHPSPNPNSLARENPPGEHPVEVIGRIRNYPGDQKDKNPISFLQINPDNQTLRVRADIGYRDFSLDGISSSEEEDLDTFYKKFIESRINGVKMGAKCTIMMYGPTGSGKSHTMFGCSKQPGIVYRSLKDILGVHGEEQSGGDGERLGVGTFVQVTVLEIYNEEIYDLLSSNGGGGFGIGWPKGGSGSKVKLEVMGKKAKNATFISGSEAGKISKEIQKVEKRRIVKSTLCNDRSSRSHCMIILDVPTVGGRLMLVDMAGSENIDQAGQVGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDQSKILMILCASPDPKEIHKTICTLEYGAKAKCIVRGPHTPIKDKNEDSSSAVILGSRLAAMDQFIYKLQMENKMRERERNEAHKELLQKEEEVAALRALLQGKGSGVTEEDINSKVNERTQILKLELEKKLDECRRMAEEFVEMERRRMEERILQQQEEVEMLRRRLQEIEFELCHSREGNFEENEAKELDDGSSFAKRLIGIYGDEVPGMVKSMDLDMGDSEPSIRDVKHTVNQSESSSIQGYLNHPQAANHNSFALQFGSKACLSTVYEEEEVEEEEEHKENIEDEVVEKIIVEEKRVCSSGVVNRCTLGDDFNSLSLDSSPQKFESTPKWSDSGLTNESKDTASSRRLRIQNIFTLCGNQRELSQQMKTPTPAKAMPENIDPHWSPVMIASDDAVVKRLNKENSPVQNVYLEVVGQSPASLMTASLKENQNPSNDCTDAQIEVFVKWEASKENPGKFITALKVVKDATLADLRKLIDIHLGADNQAFTFLVLGDPTGAPVPKENEATIRASKLPICNNGHLACLRPAKGVQIINHLPLSPLENKLPLTPSNRFSLQGDDLSPKLSPHLNSTPFITVRRN >EOY03934 pep chromosome:Theobroma_cacao_20110822:4:20534723:20539837:-1 gene:TCM_019149 transcript:EOY03934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDENSAMIEQILREVENNNIQNDDVNGWQTVSYSKRNRKSSKPPPPDTFSVDRPNGGVSSDVFRSIEKHSEDRRRRSAEAAAAAAATVSTSVADGSKSDEEDDSDTGDAAENGAVEIKKAKPKKPKKPKVTVLEAASKIDAGDLSAFLIDITSSYETQQEIQLMRFADYFGRAFASVSAAQFPWLKIFKESTVSKLVDIPLSNVPEDVYRTSVDWLNRRSLDALVSFALWSLDSILADLTIHQGVAKGSKKVAQQAPSKSQVAIFVVLAMALRRKPDILISLVPTMRENPKYQGQDKLPITVWMIAQAIQGDLAVGLYMWVHVLLPMLSGKSSCNPQSRDLILQLAERIISSPKAHPILVNSAVRKGERLVPPSALEILMRITFPAPSARVKATERFEAIYPTLKEVALAGSPGSKAMKQVAQQILNYAVKAAGEGVPELSREASAIFIWCLTQNPDCYKQWDVLYLDNLEASVAVLRKLANEWKEHSVKHSTLDPLRETLKSFRQKNENAEDNDHASSLKEADKYCKLILGCLSKGHGCLKGVLFASIALVAGAAVVSQNIQSLDWDKLSAVFNLSKA >EOY02575 pep chromosome:Theobroma_cacao_20110822:4:1349530:1351113:1 gene:TCM_017008 transcript:EOY02575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAAAKFSYQRLRNGDDELDVDDIGERLTGRSRSFYRLKRIPVRRRFRLKVPSLKRFLRGKIKLVRLSFAKVMKRLKDSQSHFGDLFVGNYVFIQVNPTTMKCFAKSCEGPGLKALPSRCISNTSVMEGINSLHHGDVRTFTNCLDVISSKMNESCDLAGVGRMGVRSQCLTPRKLTLDTFCYCWHLAAAPVALVWT >EOY04148 pep chromosome:Theobroma_cacao_20110822:4:22254193:22258459:-1 gene:TCM_019400 transcript:EOY04148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(7)-sterol-C5(6)-desaturase MGEEYLQQFLDETTFYNSIVLGNLLPTKLWEPLPHFLQTWLRNYIAGTLLYFISGFLWCFYIYYLKRNVYVPKDAIPTNKAMLLQIYVAMKAMPWYCALPTLSEYMVENGWTKCFATISEVGWLPYVIYLSIYLVVVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPLDGILQAVPHVIALLIVPTHFTSHIGLLFLEAIWTANIHDCIHANLWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMLGTLRDPVHDEGKKVM >EOY02505 pep chromosome:Theobroma_cacao_20110822:4:1164701:1167459:1 gene:TCM_016968 transcript:EOY02505 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 1 MSLRTCLNSLERSRSTESCEIIMRFGFITFGMEQSVDDLLAKGNKVELDGGSQILLHKVYAGWELPPRGFFKLNSGGSSAADPGKAGAGGIIRNDQGEWNVGYSRKLGQATSTCAEHWGLRDGLQLAVKRGLFDVIIKVDLQVVLDLICKEAVDSHTLGPIIKECRSLLEQIPNHRFCQINRDSNCCADHLARMGATMTKDFVIFEFPPDCIKLLLFAESLEPEDNDVILGGETNEFKSLNHTKDEGSMPQTKCGASPGKIFVGGLARDTTSAQFLEHFGKYGEIVDSVIMKKKEPGQRRGFGFVTYADPSIVDKVIKDTHIINGKQVGIKRTIPKGAIGTKDF >EOY02506 pep chromosome:Theobroma_cacao_20110822:4:1164308:1167270:1 gene:TCM_016968 transcript:EOY02506 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 1 MSLRTCLNSLERSRSTESCEIIMRFGFITFGMEQSVDDLLAKGNKVELDGGSQILLHKVYAGWELPPRGFFKLNSGGSSAADPGKAGAGGIIRNDQGEWNVGYSRKLGQATSTCAEHWGLRDGLQLAVKRGLFDVIIKVDLQVVLDLICKEAVDSHTLGPIIKECRSLLEQIPNHRFCQINRDSNCCADHLARMGATMTKDFVIFEFPPDCIKLLLFAESLEPEDNDVILGGETNEFKSLNHTKDEGSMPQTKCGASPGKIFVGGLARDTTSAQFLEHFGKYGEIVDSVIMKKKEPGQRRGFGFVTYADPSIVDKVIKDTHIINGKQVGIKRTIPKGAIGTKDF >EOY03810 pep chromosome:Theobroma_cacao_20110822:4:19452095:19453185:1 gene:TCM_018994 transcript:EOY03810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein MGGLKMSWFPLILLLSLTINNLSEASRKLHSAVVVGTVYCDTCFQEDISKAAHLISGASVAVECKDGTSRPSFRQEVRTNEHGDFKVHLPFSVGKHVKKIKRCSVKLIRSSEPYCAVASTATSSSLHLKSREQGTHIFSAGFFTFKPLKQPNICNQKPSVEDSKQVNTQNTLRFGQPNFPTFPPPLAEPATPQPSPLLPNLPPLPQLPPLPPLPSLPGLPLPPIPGRN >EOY05191 pep chromosome:Theobroma_cacao_20110822:4:27555013:27555696:-1 gene:TCM_020264 transcript:EOY05191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSLPFSAIFLLVLFITAGNESMMANAIGSCDVLGHGGGCAIDECFNSCRMKYGQDAHGFCYAIKTPNDTCMCRHPC >EOY06276 pep chromosome:Theobroma_cacao_20110822:4:31479486:31481210:-1 gene:TCM_021063 transcript:EOY06276 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MARPIDVPHDILDEILSRLPVKSLVRFKSVSKSWSRFISSPPFTRNHYRRASSPDPEITALKILISKPDGFRFIDCEPSRLPVPVRFRFPQTRTRDIHDHVRFFGSCHGLVCLGIGNPRHLVLWNPSTGDSKTLPDYYKVLSVPAMRNCFGGLGYDPSCDDYKVILTDNSRLLIFSLRKNSWRNMRVRNGTGVLTNGVYSNGALYWKNYGEERIFGFDLKSERLYEMPQPDLVNDDAFYFHTGSLFGVGERLCVACRKPITNDLRGGIEFWVSMKPGVKESWTKIHRMPKHNRWSCFWNSFLYLLKRKGFILLGDPQQEIRTYDANGFGLQVEKTMFCNDPSRCKDWNARFHPHRHEAIVYTESLISPNLSN >EOY04789 pep chromosome:Theobroma_cacao_20110822:4:25920370:25923163:-1 gene:TCM_019964 transcript:EOY04789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPVLVKKSVSLNSFPLFDLMHLIEQLNYFCTYVSKEGIIVETSDLFLIKNTQVNQEKSYTIVKKYLCSDKVETSQGPQMSA >EOY03477 pep chromosome:Theobroma_cacao_20110822:4:16218990:16222023:-1 gene:TCM_018564 transcript:EOY03477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase1 isoform 2 NIGHGDYKLRIPCGLIQGSAITIIGIPDGLLGNFRIDLKGEEIRGKPDPPTILHYNVRLQGDKVTQDPVIVQNTWTADRGWGEEERCPSAGEGNKKKVDDLKQCSDKVGKVDSRRFATNESSVISLWPSTAENKTRSKQYFPFKLGYLSVMTLRVGEEGFQMTVDGKHMTSLAYRETEVRISGDIKLTSVLASGLPTSEDLEHINDLDSLRVVPLLPNQPLDLFIGVFSTANNFKRRMAVRRTWMQYPAVKSGAVAVRFFVGLHKNQIVNEELWSEIKTYGDVQLMPFVDYYGLITWKTVAICIFGTETASAKYIMKTDDDAFVRVDEVLAFLNKGNVSHGLLYGLIKYDAQPHRNTDSKWYISPEEYPGSTYPPWAHGPGYVVSHDIAKAVYVMHKEGRLHMFKLEDVAMGIWIAEMKREGLKVYYMNEERVYNEGCKDGYVVAHYQSPRELLCLWQKLEEESVAKCCA >EOY03476 pep chromosome:Theobroma_cacao_20110822:4:16219033:16223301:-1 gene:TCM_018564 transcript:EOY03476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase1 isoform 2 MKKWLGGLLLGSFLVLLMLRYDVMIKNSFGKNSLQRSMTVNLTDPPLKWIQPTIAPAVQNSEAVSQVVFADRFVSGIFLERNFSHEIQASLLTSNQMKHIVNHSKGLPNALEAVREARVAWENLLDTVAKKQQSEGNESSLENVKEKQCPYFLNNMNSANIGHGDYKLRIPCGLIQGSAITIIGIPDGLLGNFRIDLKGEEIRGKPDPPTILHYNVRLQGDKVTQDPVIVQNTWTADRGWGEEERCPSAGEGNKKKVDDLKQCSDKVGKVDSRRFATNESSVISLWPSTAENKTRSKQYFPFKLGYLSVMTLRVGEEGFQMTVDGKHMTSLAYREGLEPWLVTEVRISGDIKLTSVLASGLPTSEDLEHINDLDSLRVVPLLPNQPLDLFIGVFSTANNFKRRMAVRRTWMQYPAVKSGAVAVRFFVGLHKNQIVNEELWSEIKTYGDVQLMPFVDYYGLITWKTVAICIFGTETASAKYIMKTDDDAFVRVDEVLAFLNKGNVSHGLLYGLIKYDAQPHRNTDSKWYISPEEYPGSTYPPWAHGPGYVVSHDIAKAVYVMHKEGRLHMFKLEDVAMGIWIAEMKREGLKVYYMNEERVYNEGCKDGYVVAHYQSPRELLCLWQKLEEESVAKCCA >EOY04543 pep chromosome:Theobroma_cacao_20110822:4:24938198:24945811:1 gene:TCM_019779 transcript:EOY04543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MEVVGAVVEVILARVISLAAEHISVALGFKEELTMLHDSLIIIQALLQDADRRQEGDRAVKLWLEKLRDVAYEADDVLDEFAYDVLRRKVEIQSRLMKKVSYFFSSSNSMAFGVMMAKKIRKINISLRNINNQANLFGLQRRVTDRVALPRANQVTHSFLEDSSQVIGREDDVLKVVELLTNSACLQALPVLSIVGMPGLGKTTLAKLVCKHEQIQKYFSRIIWVCVSDEFNVERILLEMLESLAQNSCAVNNKDTILRKILEKLGGDNYLLILDDVWNEDTEKWEDLRSCLLGITKNIESRIIVTTRKENVALKMGALPEYIHHPHKLVDEECWSIIKGRAFGYTSIPSELEVIGKDIAKKCGGVPLVARVIGGTMSNKRDRDQWVSIKNSNVWGSLENDNGILSILKLSFDRLPSSSLKQCFAYCSIFPKDFDIKRDNLIQLWMAEGFLQSSEISQMEMETIGNKYFNDLLFNSLFQDVERDLYGNIKTCKMHDLVHDLALFVSKAETLVLEKTGSMNNASHIRRLSVISTGKEVPTIPEGIATKLHSLISKVDVFKNMSKQPRSLRVLNFQNAKVEKLPASIGKLRHLRYLDISRTNIRRLPKSFTQLYNLQTLSIMNCCLERLPKGITKLVSLRHLYFDKEKIMPVKIGCLTSLRTLPFFYVGMERGHRIDELGCLSQLSGELKIYNLECVEDKAEAIRAKLQEKTELYGMELLWSNRREGYGNDEEVLDGLKPCSNMKSLMIVNYRGDNLPSWMVMTVHDFGYTFPLDNLVFLKLIKCKECINISSLGQLRNLRFLEIDGMERVKCIYSSDIASHSSGWVEGITLFPSLRRISLENMSSFEEWVQGVDLGTEGREDMVLFPQLEELFVLSCPKLKSVPIQRRLASLRAFNIWYCDGLNNLKDGLSASRVLEKLRMSWCHSLVSVPKDIRELHSLVYLEISFCPKLSTIPEEILGHLTSLKELKIGFFSEELEEFPGLNSIHLLHASLEYLSLFGWQKLESLPPQLQHLAALKSFTIGFFDGMEALPEWLGNLTSLQTLRIAHCNNLMRLPSMEAMERLSKLQRLVIHRSSILAERCTKESGPEWHKIAHIPCIEIE >EOY05685 pep chromosome:Theobroma_cacao_20110822:4:29487038:29496022:1 gene:TCM_020625 transcript:EOY05685 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Domain of unknown function XS (InterPro:IPR005380); BEST Arabidopsis thaliana protein match is: XS domain-containing protein / XS zinc finger domain-containing protein-related (TAIR:AT5G23570.1); Has 565 Blast hits to 510 /.../ins in 121 species: Archae - 2; Bacteria - 90; Metazoa - 191; Fungi - 32; Plants - 51; Viruses - 4; Other Eukaryotes - 195 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G22430) TAIR;Acc:AT3G22430] MAGPNPPKQPSSSSNNHRKSRWESSSSIPNKNPSSTKPKPSPKTGPSPSPATQNKSQSDPNPALPPIPFPDPAALGPPPPPAYGFHMLERRTIVLYDGSVRSYFALPSDYQEFPTRPLLVPPDFGSPPLGFRDNRDYWNGPGEGPGLFKRKYGEEEKDLREEKKEEFARQRHGHPNAKVYSSGPGWPDRLAGTSSPFRNEEMRAAKYMRVGGGFENNNLGFNNKHLEVDQNALKKAFLHFVKAVFENAAQKKNYLEDGKQGRLQCLACGRFDDKFRSSKDFPDMHGLIMHTYYSDNADLRVDHLGLHKALCVLMGWNYSKPPDNSKVYRFLPADEAAANQEDLIMWPPVVIVHNTITGKSKDGRMEGLGNKAMDSKLRDLGFGSGKSKSMYGREGHLGITVVKFAGDQSGLKDAVRLAEYFEKENRGRKGWSHVQPLTLGKDDEKNPSLVKVDERTGEKKRIFYGYLGTVADLDKVDFDMRKRVVIESRREHKGPR >EOY03093 pep chromosome:Theobroma_cacao_20110822:4:4658302:4669316:-1 gene:TCM_017542 transcript:EOY03093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHVCVQVLRCPYDNMSSSGKKRKDSPSGKEGLTDSTARSHYVPEGKSIKSKPTRIPIEWVRPRYEIIFMGWIRLTRMMRNEIDLRKKNTNKVEKEIVWNYHLRKVSAVRDFPPGYERGAAPVSKEEFERQ >EOY02466 pep chromosome:Theobroma_cacao_20110822:4:936247:939695:1 gene:TCM_016932 transcript:EOY02466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 42 MKERRLKLIPMQFSASKQPYWRWVFLLVFPLLLSSSFSDPRISNAGLLCGHSRPPNGTNLIPNFSEAMRGLSQAINNSHFASYHLNSTSPMYVLAQCHQDLSQTDCLLCYAAARTTLPRCLPSVSGRIFLDGCFLRYDNYSFYQESVSSSLDNVSCSPDEATVFDGDSKRVNLIGRSVDYAVGNMTRIALRNGGFGTVGMNGVYALAQCWKSVPAEGCRECLEKAAKAVRGCVPKEEGRGMNTGCYLRYSTNKFYSKEGEAKHDHEIAIIVAIVSSIAAFLMVSLSAAYATYARLSKRKEELKNLGQISKSFDKSGLKFKYETLEKATDYFSFSRKLGQGGTGSVFMGILPNGKTVAVKRLIYNTRQWVDDFFNEVNLISRIQHKNLVKLLGCSIEGPESLLVYEYVPNKSLDQFIFDEKKTNLVKWKQRFDIIVGTAEGLAHLHGGGSHVRLIHRDIKSSNVLLDENLNPKIADFGLVRCLATDKSHLSTGVAGTLGYMAPEYLVRGQLTEKADVYSFGVLALEIVCGKRNTTFTKDSGSLLQTVWALYRSNRLAEAVDYSIKDDTSAKEAPDVLQIGLLCTQASVSLRPSMAQVVQMLTDKDCEIPSPNQPPFLNASVLDPTSSTRSNSTDSFTTNAVRKIQDSGTSSEPSRTLSSDEASRSQ >EOY06277 pep chromosome:Theobroma_cacao_20110822:4:31482428:31484580:-1 gene:TCM_021064 transcript:EOY06277 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MARPNDVPQDILDQILSRLPVKSLLRFKSVSKSWRCFISSPPFTGNHLRRASSLDPGITALKILISKPDGFRFVDCEPSHFPAAVRLRFPPKVTPDISDHVQLFGSCHGLVCLGVGNPRHLVLWNPSTGDSKTLPDNYDVIPVPAMWNCIGGLGYDPSSDDYKVFLSNNSLFVIFSLRKNSWRRIQLDYGPCVYTNGVYSNGALHWKNYGDGRIFGFDLETERFYLVPGPDLVNNDALYFQRGTLFEVGGERLGVGGFEFWLMKTHGVKESWTRIRNTPEFDEWPRIWNSFKCLLENNDFIVLGGLQQEIITHNANGIGRQIKNTMICDDPIASWCRDWKVRGHRHRREAIAYMESLISPHLSH >EOY02878 pep chromosome:Theobroma_cacao_20110822:4:2779600:2782583:1 gene:TCM_017281 transcript:EOY02878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-related gene 3 isoform 2 MALKAVHVSDVPNLDQVPENASLSLYSTRFSKGLQLNRATSFRIPKFLVIGHRGHGMNMLQSSDSRMKAIKENSILSFNSAAKSPIDFIEFDVQVTKDDCPVIFHDDVILSEENGTVFEKRVTELCLAEFLCYGPQREAGKEGRCLLRKTKDGKIVKWQVETDDPLCTLEEAFQRVEPSLGFNIELKFDDNIVYQQDYLVHVLQVILQVVFEFAKDRPIIFSSFHPDAAQLVRKLQNNYPVFFLTNGGTELYYDVRRNSLEEAIKVCLEGGLQGIVSEIKGVFRNPGALPKIKESKLSLLTYGKLNNVPEAVYMQYLMGIDGVIVDFVQEISQALSDMIKPAKAVPAEEGNGEAEAKSQLQFSQQELSFLLKLIPELIQH >EOY02879 pep chromosome:Theobroma_cacao_20110822:4:2780424:2782873:1 gene:TCM_017281 transcript:EOY02879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-related gene 3 isoform 2 MTALSFSMTTLSSLKKMYGTVFEKRVTELCLAEFLCYGPQREAGKEGRCLLRKTKDGKIVKWQVETDDPLCTLEEAFQRVEPSLGFNIELKFDDNIVYQQDYLVHVLQVILQVVFEFAKDRPIIFSSFHPDAAQLVRKLQNNYPVFFLTNGGTELYYDVRRNSLEEAIKVCLEGGLQGIVSEIKGVFRNPGALPKIKESKLSLLTYGKLNNVPEAVYMQYLMGIDGVIVDFVQEISQALSDMIKPAKAVPAEEGNGEAEAKSQLQFSQQELSFLLKLIPELIQH >EOY03446 pep chromosome:Theobroma_cacao_20110822:4:15758916:15759614:1 gene:TCM_018518 transcript:EOY03446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGYWVVAKIDLVKWMIKVVDSTRTSDAKDNRVRAAQMTPLTTMTPIICHQADYFNRTCLNTQDLTRMPLEIHLPKAKVHRQNDNVNCCMFITGYIDDILQSE >EOY02804 pep chromosome:Theobroma_cacao_20110822:4:2320015:2322029:1 gene:TCM_017197 transcript:EOY02804 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like/FBD-like domains-containing protein MAGVASECANNEDKDRISTLADGILTHILSLLPTKDAVMTSLLSRRWRSAWTCVTNVELDDSKVSNFEMFAERVMSVHDARIKKFTLRCNDWHNSQLIHQWISTAVMRNVAELDISLLTFEMINLPDCVYTCESLEVLKLAGWFALEVPDSKVVSLKRLKFLSFSWLQIKDDSYMTLLLSGCPVIEEIHIYKSMWEGLSTFSVCSPSLQRLSVDIEGRQVASKHCTFVLDVPNLKYLALINDVMALSENYFEEQASSIVSASLQVGNWVSPVPVIVKLLNHISSTVNDLWISYSTLEPIGRANDYAQLAAFNNLEYLKLEVESFAASHYWSVVHHLLQLSPNLKLLVLTAKGFASFAEWHQPDDVAECLLSNLVTLKVQGVDGEDRVMELIEYYLRNAMVLNQMYISSRVLPEVGNDQIQERLSAFAWGSSTCQLTIS >EOY05134 pep chromosome:Theobroma_cacao_20110822:4:27315467:27320163:-1 gene:TCM_020216 transcript:EOY05134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein MATNSSFQLFSSSSDKPNLGLGFLDSSEPPLPPPPPSIEVLSSEVSSSVRCTVEPVNLDGFTLLKGRVSTKEVFGLPNSDLVPGKYEGGLKLWEGSLDLVKALRSEVQNGHLSLEGKRVLELGCGHGLPGIFACLEGAAVVHFQDFNAEVLRCLTIPNVNANLSEKSQPDPSGEVRFFAGDWGEIHQLLPHAHESEMNLNSSSEHGQATGYDVILMAETIYSIPAQRNLYRLIKKCMSHPHGVVFMAGKKHYFGVGGGTRQFLSMLEKDGAMTASLITEVADGSSNVRENLQYTQGGDIHRKH >EOY06747 pep chromosome:Theobroma_cacao_20110822:4:32820429:32822426:1 gene:TCM_021381 transcript:EOY06747 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase isoform 2 MSELSNRQIIIGIVFQVVTVELLFKTCFAKDVPANFVFGDSLVDVGNNNYIASLSKANYAPNGIDFGGPTGRFTNGRTIVDILGQELGSPGFTPPYLAPTTRGPVVLQGVNYASGGGGILNHTGKIFGGRINFDAQLDNFENTRQDIISSIGAPAALELFQSALFSVTIGSNDFINNYFTPVVSAEEQKLVPPEVFVASMIGRFRLQLTRLYSLGARKIVVVNVGPIGCIPYERDLNPTAGDSCVSRPNQLAQLFNTELRSLVKELSTSLKGSFVVYADIYHIVADVLQNYESYGFDTGSFACCYVAGNFGGLIPCGPSSKVCPDRSKYVFWDPYHPSDAANVIIAKRLLDGDSNDITPMNIRQLVNV >EOY06746 pep chromosome:Theobroma_cacao_20110822:4:32820428:32823366:1 gene:TCM_021381 transcript:EOY06746 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase isoform 2 MSELSNRQIIIGIVFQVVTVELLFKTCFAKDVPANFVFGDSLVDVGNNNYIASLSKANYAPNGIDFGGPTGRFTNGRTIELGSPGFTPPYLAPTTRGPVVLQGVNYASGGGGILNHTGKIFGGRINFDAQLDNFENTRQDIISSIGAPAALELFQSALFSVTIGSNDFINNYFTPVVSAEEQKLVPPEVFVASMIGRFRLQLTRLYSLGARKIVVVNVGPIGCIPYERDLNPTAGDSCVSRPNQLAQLFNTELRSLVKELSTSLKGSFVVYADIYHIVADVLQNYESYGTQRCFDTGSFACCYVAGNFGGLIPCGPSSKVCPDRSKYVFWDPYHPSDAANVIIAKRLLDGDSNDITPMNIRQLPLYDTIGNLIELSKTAAEWRIAHVQKNEKRSAGATIPTESSFLWRSGRGNSYNLTAYTSQERKDVDAASNRNCCLFPLVVLHSPVRNNSLLF >EOY06307 pep chromosome:Theobroma_cacao_20110822:4:31551955:31554401:1 gene:TCM_021080 transcript:EOY06307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVDRFPIEYHRGDILKASCKGPRQMQVVEDSGTNRFWFEKMNFAKQFDLQNYECDLVNSCNGLVCLSGVQEGSIYVCNPVLGSWRSIGNALGEIREVPAPPQFKLDMLSFDRLRLGVFDGCLSACCYRANGAWELEICVTKDYGVKESWTTQFRINPYVTDCKTDYYYPLIFLKNGEILISFNDERTDIKEEKQWEGWQHLLLTRSSVKVTPSQGLKGRELSILLAVSYGQLKL >EOY03020 pep chromosome:Theobroma_cacao_20110822:4:3684137:3685902:1 gene:TCM_017431 transcript:EOY03020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNGTTTIDLVHRRLDWEGYLMVYRSIDVEVPKHPASWRQMQNLEKKKECSALQLPMEKKDFESYLTYVLR >EOY06455 pep chromosome:Theobroma_cacao_20110822:4:31975874:31977098:-1 gene:TCM_021169 transcript:EOY06455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWEFYVSRAFSSPDLAEFLSRYPTILRTSLEKQLVPSLDFFSNLLQSDDKTVRVLQRCPFILGYDLDAYMLPNIKTLLDNGVPKSNIISAVCYHPAAFLTYSDRFKEIVKKRKFAVYKKRGWSDQEVSRAFANCQATKRCWLVGAWRSGLFRGVLFAQYLLSRGLIKNSCGLSALFDTSEKVFLQTFVNRYEDDAPELLKAIKEKVNLAVGGKYRTRHSV >EOY03398 pep chromosome:Theobroma_cacao_20110822:4:14566573:14589671:-1 gene:TCM_018409 transcript:EOY03398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding endonuclease/exonuclease/phosphatase family, putative MVLGGEGGHEEEGSDGSTGNSINDNNNSNNSGSHKHGHSRSISYGGDEKGNFEYLSDWNGSKRGHVYKFLRSQGFVSSYDIAHEYTDSNTDAHKWVSHRNHRGNICGVDFIWLHNPNKSRKPLKISWAEAAFGIIKYQFQKASLAENDAFSFLSADNNGNYITYSAFCKALRQTKFPGNKRSLGPSRH >EOY02924 pep chromosome:Theobroma_cacao_20110822:4:3039735:3042565:-1 gene:TCM_017326 transcript:EOY02924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MKIPNPGSAFKSITFKYHYSTNANLTAWFSKYVDKSSVWSWNSIIAELARAGDSAEALRAFSSMRKLSLKPNRSTFPCAIKSCSALLDLNSGKQTHQQALIFGYGSDLFVSSALIDMYSKCGQLRDARILFDQIPQRNIVSWTSMITGYVQNNSADQALLLFKELLIQKSENGGNDAIGQVLIDPVAIVSVLSACSRVPIKGASGGVHGMIIKKGFDGEVSVGNTLLDAYAKSEDVGLSRKVFDAMVDKDEVSWNSMIAVYAQNGLSNEALEVFHGMVRDDNVNYNAVTLSAVLLACAHSGALQAGKCIHDQVIKMGLEDDVIVGTSIIDMYCKCGRVEMARKAFSCIKEKNVRSWTALIAGYGMHGHAKEALEVFYNMIRTGVRPNYITFVSVLASCSHAGLVQEGWHWFNAMKDEFNVEPGVEHYGCMVDLLGRAGYLSQAYKLIKQMKVTPDIVIWGSLLAACRIHKNVELAEISANKLFELDSNNCGYYVLLSNIYADAGRWEDVERMRLLMKDRGLIKPPGFSLVELKGKVHVFLVGDQEHPQHEKTHEHLEELNVKLQEVGYIPNMTSVLYDVDEEEKGLILRVHSEKLAVVFAIMHTVPGATIHIIKNLRICGDCHTFMKLISKIVDRQIVARDSKRFHHFKDGICSCGDYW >EOY04015 pep chromosome:Theobroma_cacao_20110822:4:21482435:21484037:1 gene:TCM_019273 transcript:EOY04015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative MLRTGLELEKIETYVLKVNINCEGCKQRVKKLLRKIEGVFSVHTDEENQVVKVTGKVDPTTLIKKLIKSGKHAEFWSPRSHLKFIKDDKNKNQMQYLRDGITDSKFEHESTFGYEVEDDLGNYLNNNIGKNSMTGEVGQNLIEKTNLQSLHMGREDEDTFANNGYMISMMDPAGFGGNRAGFVGLQGQEFGMFHEVPSRLPTYEYNYLPSMIKTNLQRGYHHNNPSAHMNTYMQEGHSNNNMIANYDNIYMHQHDMMSYLCPLTPPFTGYGISSLPHY >EOY04136 pep chromosome:Theobroma_cacao_20110822:4:22212705:22213714:1 gene:TCM_019391 transcript:EOY04136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRFSLKSVIGLVKLMHLALVIKIFKKKLLLLNANQNSPWLISPISLSSIYVLPNLTLDSLSC >EOY02987 pep chromosome:Theobroma_cacao_20110822:4:3349666:3350438:1 gene:TCM_017381 transcript:EOY02987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAWKRKLWKRAPALMLLLLCTKRTYFQLDPINGSTGTESGADRGARFPEKGMVRHVHTTPRVVHSTFKPTSSSEV >EOY02580 pep chromosome:Theobroma_cacao_20110822:4:1364757:1367675:-1 gene:TCM_017012 transcript:EOY02580 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding 2 MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVTIDGRPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANPNMTIMLIGNKCDLAHRRAVSKEEGEQFAKENGLLFLEASARTAQNVEEAFIKTAAKILQNIQEGVFDVSNESSGIKIGYGRAQGPSGARDGAVAQRGGCCG >EOY04384 pep chromosome:Theobroma_cacao_20110822:4:24119694:24120582:-1 gene:TCM_019650 transcript:EOY04384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPLINPCPLDVWLIVGKKKKRPVFNPCCVEHVNAPPIATDNVKTFSQTWHVTMDSPQTWKLRAQFLKPSER >EOY03257 pep chromosome:Theobroma_cacao_20110822:4:9976074:9983811:-1 gene:TCM_018028 transcript:EOY03257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLMEISNKPCSGLSENFSKVSDAGSNLGGVVTILAMCGLEVVGVRMSPAANWDWLRLDSNHATASFMLND >EOY02848 pep chromosome:Theobroma_cacao_20110822:4:2631956:2637043:1 gene:TCM_017257 transcript:EOY02848 gene_biotype:protein_coding transcript_biotype:protein_coding description:YELLOW STRIPE like 6 MGTEISEPLIVDKKDIDGGGVELERIPEWKEQITIRGLAVSALLGALFCIITHKLNLTVGIIPSLNVGAGLLGFFFVKSWTGFLTKLGFQVSPFTKQENTVIQTCVVACYGLAFSGGFGTYMIAMDERTYQLIGADYPGNRAEDIKNPGLGWMTGFLFVVSFLGLFSLVPLRKVMVMDYKLTYPSGTATAMLINSFHTNTGAELAGKQVKCLGKYLSISLVWSCFKWFFSGIGDSCGFDNFPSFGLTLFKNTFYFDFSPTYVGCGLICPHIVNCSVLLGAIISWGFLWPFISAHAGDWYPSGLESNDFKGLYGYKVFIAIALILGDGLYNLIKIVYITVKEIYNKSTKQSKLPIVNEVIDGESSKGLAEQRKRDEVFLKDRIPTWFAASGYVALAAISTATIPIIFPPLKWYLVLISYIIAPALAFCNSYGAGLTDWSLTSTYGKIGLFIIASLVGNNGGVIAGLAACGVMMSIVSTAADLMQDLKTGYLTLSSAKSMFVSQIVGTAMGCVIAPLTFWLFWTAFDIGSPDGPYKAPYAVIFREMAILGIEGFSELPKHCLALCCGFFVGALVVNLLRDATPKKVSQFIPIPMAMAIPFYIGAYFAVDMFVGTVILYVWERINRRDADDYAGAVASGLICGDGIWTIPSAILSIFRIDPPICMYFGPSLSS >EOY06487 pep chromosome:Theobroma_cacao_20110822:4:32083598:32084699:1 gene:TCM_021192 transcript:EOY06487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phi class glutathione transferase GSTF7 MSIKVHGYAMSTCTARVLLCLSEKGLEYEVVPVDVANGAHKQQPYLSLNPFGQIPAFEDGDVKIFESRAISKYLARKYKDTGIDLLGSSSLTVSTIVDTWMEVESHQFNSPMQAIIRQMIVNPIFGIATDEKIIETELEKLGKVLDVYEERLSKCKYLGGDFYSLADLHHIPYLVYFMRTPKSSVITSRPHVSAWWNDISSRPATVKVAETMKV >EOY05848 pep chromosome:Theobroma_cacao_20110822:4:30016914:30072001:-1 gene:TCM_020747 transcript:EOY05848 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase MMNEKRRAPENEQNLVQSLSETRTSSFSLSVKLKYVKLGYHYLVSSALYLMVVPFFCIILTHLSTFTIEDFVQLSENFRLNYLTLCVLSVSIAFLATFYFMSRPRKIYLVNFACYKPEKARMVSKELFMQLSAVSGSFTEESLAFKRKILERSGFGQMTYGPEALMQVPRNQCMAEARKETETVMFAAIDELLAKTGVKPRDIGILVVNSSLFNPTPSLSATVVNHYNLRGNILSYNLGGMGCSAGLISIDLAKQLLQVHSNSYALVVSTENITYNWYHGNNRSMLVTNCLFRVGGAAILLSNRSSDRRHSKYELVHTLRTHKGADNKSYNCVFQQEDELKNVGVSLSKDLMAVAGEALKTNITTLGPLVLPLSEQLLFAATLVARKVFKMKIKSYIPDFKLAFEHFCIHAGGRAVLDELENSLDLTQWHMEPSWMTLYRFGNTSSSSLWYELAYLEAKGRIKKRDRIWQIGFGSGFKCNSAVWRALSAIGPAMEKNPWTDEIDEFPVQVPKVAPIVY >EOY06613 pep chromosome:Theobroma_cacao_20110822:4:32461731:32462956:-1 gene:TCM_021278 transcript:EOY06613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related protein 10.9 MGVTKITQTFRSQVTPARMFKALILDSHNLGPKLMFSSIKSIEFIEGEGDAGSIKQINFTEASPFKYVKHRIDELDKEKFMCKYTLIEGDALTDKLESISYEVKFEAYGYGGCICKMTSEYKAKEGIEIKEQDIEFGKDRAIGMYEVIEAYLMAHPHAYT >EOY06106 pep chromosome:Theobroma_cacao_20110822:4:30922390:30927154:1 gene:TCM_020933 transcript:EOY06106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative isoform 1 MSASSVRRQRDLSHLTTGNQKPPKTLTPISNPTLRKSSSGKENPRPSSLSRASVVVQKPLIRPVPHVQKSAAVLGGSDSEDRVRWSTSSAPRGRSQSPSEFIRVFSDLKKDRISIDREKKGFRDLRVKGCKENGAFRENLVMKVKENEKKLNGVRVLDGNCKKDVKFSSDLGKPNGGFGALVEKGVSDFGSELEACDRIDEKCDAKFLKEKSLSGGKGLEVSKEKDLSVQESGCSGVGIKYPSKLHEKLAFLEGKVKRIATDIKRTKEMLDMNNPDASKLILSDIQDKISGIEKAMSHVVTDSNGKTSVSKGSGDEDVSTKGVERSQSKQVGNVKISVKELNSEELEARLFPHHKLIRNRTSLKESSGGFQGQEPSNALDPSSELKEEKKLLSPIEDNPIALEFLASLNKEQIIVTTRNEQVSLENSDTQEMDGDGASGAQGSLNIFNVKHGVELNLESDERLEEFEDQENRPTAVTGEETEDTNIYQLNEIGHKTSTGGWFVSEGEAVLLAHDDGSCSFYDIANCEEKAVYKPPAGVSPNIWRDCWIIRAPSADGCSGRYVVAASAGNSLESGFCSWDFYTKDVRAFHIECGETASRTVLGPLPNNTLYRRNTLCNSLSPETQQWWYKPCGPLIISTASSQKVVKVYDVRDGEEIMKWEVQKPVSTMDYSSPLQWRNRGKVVIAEAEMISVWDVNSLHPQPLLSVSSSGRKISALHVNNTDAEIGGGVRQRVSSSEAEGNDGVFCTPDSINVLDFRHPSGIGAKIAKVGVNVQSVFSRGDSIFLGCTNVRSSGKKQGCSQVQQFSLRKQRLLNTYSLPESNVHSHYSAITQVWGNSNLVMGVCGLGLFVFDALKDDGLQPFIYDYGNAQDVREIVGPDDLYSPSFDYLASRVLLISRDRPALWRHLS >EOY06107 pep chromosome:Theobroma_cacao_20110822:4:30922339:30926324:1 gene:TCM_020933 transcript:EOY06107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative isoform 1 MSASSVRRQRDLSHLTTGNQKPPKTLTPISNPTLRKSSSGKENPRPSSLSRASVVVQKPLIRPVPHVQKSAAVLGGSDSEDRVRWSTSSAPRGRSQSPSEFIRVFSDLKKDRISIDREKKGFRDLRVKGCKENGAFRENLVMKVKENEKKLNGVRVLDGNCKKDVKFSSDLGKPNGGFGALVEKGVSDFGSELEACDRIDEKCDAKFLKEKSLSGGKGLEVSKEKDLSVQESGCSGVGIKYPSKLHEKLAFLEGKVKRIATDIKRTKEMLDMNNPDASKLILSDIQDKISGIEKAMSHVVTDSNGKTSVSKGSGDEDVSTKGVERSQSKQVGNVKISVKELNSEELEARLFPHHKLIRNRTSLKESSGGFQGQEPSNALDPSSELKEEKKLLSPIEDNPIALEFLASLNKEQIIVTTRNEQVSLENSDTQEMDGDGASGAQGSLNIFNVKHGVELNLESDERLEEFEDQENRPTAVTGEETEDTNIYQLNEIGHKTSTGGWFVSEGEAVLLAHDDGSCSFYDIANCEEKAVYKPPAGVSPNIWRDCWIIRAPSADGCSGRYVVAASAGNSLESGFCSWDFYTKDVRAFHIECGETASRTVLGPLPNNTLYRRNTLCNSLSPETQQWWYKPCGPLIISTASSQKVVKVYDVRDGEEIMKWEVQKPVSTMDYSSPLQWRNRGKVVIAEAEMISVWDVNSLHPQPLLSVSSSGRKISAYRCENSKSWC >EOY03378 pep chromosome:Theobroma_cacao_20110822:4:13913633:13916040:-1 gene:TCM_018366 transcript:EOY03378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein MISLADVYHVVAATVPLYFAMILAYTSVKWWKLFTPEQCAGINKFVAKFSIPLLSFQVISENNPYKMNLKLILADFLQKLLAFVVLMAIEKFSSRGGLPSIITGLSLSTLPNTLILGIPLLRAMYGDKSATLLAQIVVLQSLIWYNILLFLYELNATKAASETPPSQDSGDLEAPEEAQAKEGGEEAHTRPRKSKAMLIFLTVGKKLMANPNTHATLLGLIWASIQFRWNIKFPAIIEKSIAILSSGGLGMAMFSLGLFMASRPSIIACGIRMAAVAMAMKFMAGPALMAASSAALGLRGKVLRVAIVQAALPQGVVPFVFAKEYNVHPDVLSTGVIFGLLIALPVALVYYLILAV >EOY05078 pep chromosome:Theobroma_cacao_20110822:4:27099971:27104477:1 gene:TCM_020176 transcript:EOY05078 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDK inhibitor P21 binding protein, putative MTKTKQAAVFSPSKTALKNKALRTFTARLNKMQRKPTRRRRLLPVLPLTFSPFARSVARAATAYLVKDHMLDYKQQSTGFSAELSCLSCGQIKNKLEKIDRQSESSEDEDFNGVVQADFAFFDPKPDDFHGVKTLLQSYLDNKQWDLSGFIDLILGQPTVGTVVKLEDDEDNGVFSVITALNLGRYKDHKCITEIKEFLLNICHEKDNIGNLRSLLGEKAQDVGLLVSQRVVNLPPELLPHLYNALFDEVSWATEDEPTEELCDSFRFKFYILVSKIYKQKNHNRKRSSGTDKIEEIIYIKPEDEIFHKLSMWSFLFPLQTQQVATHELKNYQLTGIVMAVEAKNISSFRQQLHTLINES >EOY05666 pep chromosome:Theobroma_cacao_20110822:4:29401267:29404691:-1 gene:TCM_020608 transcript:EOY05666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase 1 MSIPLFVLAFLLQCCLSLTLYHPLDPLTPAEINQAKLIIDKSKLGSLPNLTFHFVDLEEPDKKDVLNWISSNKQTRNALPRQAKVVVRAGGETRELLVDLAYGSIRSNHVYTGQGYPPFTFNELFQASRLPLQYPKFKNSILKRGLNLSEVSCVPLTVGWYGENVTKRALRVTCYYRGGSVNVYARPIEGISIFVDVDSMQITMYLDRFRVPLPKAEGTDFRSNQKTDSVTCNLKGEGFKIEGNNVNWANWKFHVGFDPQAGIVISTASIFDAKTKKTRQVLYRGHVSETFVPYMDPESEWYFRTFMDIGEFGFGRSASSLQPLIDCPQNAVYLDGYWAGADGQAQKMQRVICIFERYSGDIAWRHAEINVPGKVIRSGQTEKSLVARMVATVGNYDYVLDWEFTNSGTIKVGVCLTGILLMKGTPYINNDHIKSNVYGTLVAVNTVAVNHDHFLTYYLDLDVDGQGNSFVKAKLQTARVTDFNVSPRKSYWKVVRETAKKEADARVQLGSEPADLLIVNPNKETKLGNQVGYRLIPGQPVTSLLDDDDYPQIRAAYTKYQVWVTAYNKSERWAGGFYADRSHGDDGLAVWSKRNRVIENKDLVLWYTVGFHHIPYQEEFPVMPTFHGGFELRPANFFESNPLL >EOY05243 pep chromosome:Theobroma_cacao_20110822:4:27697306:27702950:-1 gene:TCM_020289 transcript:EOY05243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 2 MVRKRVPDWLNSSLWSTTPSDDRFQRYSPSPTTATAAVSEPAVQPPVPVPPPAAASRPQSSPTPKSEIRDPVNDNSDNNSNDNDQNGSSSDVSPEDISRQAQLLVELSKKVVNMRELRRIASQGIPDGEGIRSTVWKLLLGYLPPDRGQWSSELTKKRSQYKHFKEELLMNPSEITRRLEKSTGCDNDESKSESRGPLSRSQISHGEHPLSLGKSSIWNQFFQDSEIIEQIDRDVKRTHPDMHFFSGDSQLAKSNQDALRNILIVFAKLNPGIRYVQGMNEILAPLFYVFRNDPDEEMAQLDNSNVGIRSTITKLSQLLKEHDEELWRHLEVTTKVNPQFYAFRWITLLLTQEFNFADSLHIWDTLLSDPEGPLETLLRVCCAMLILVRRRLLAGDFTSNLKLLQNYPSANISHLLYVANKLRTQGSG >EOY05242 pep chromosome:Theobroma_cacao_20110822:4:27697565:27702579:-1 gene:TCM_020289 transcript:EOY05242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 2 MVRKRVPDWLNSSLWSTTPSDDRFQRYSPSPTTATAAVSEPAVQPPVPVPPPAAASRPQSSPTPKSEIRDPVNDNSDNNSNDNDQNGSSSDVSPEDISRQAQLLVELSKKVVNMRELRRIASQGIPDGEGIRSTVWKLLLGYLPPDRGQWSSELTKKRSQYKHFKEELLMNPSEITRRLEKSTGCDNDESKSESRGPLSRSQISHGEHPLSLGKSSIWNQFFQDSEIIEQIDRDVKRTHPDMHFFSGDSQLAKSNQDALRNILIVFAKLNPGIRYVQGMNEILAPLFYVFRNDPDEEMAAAAEADTFFCFVELLSGFRDHFCQQLDNSNVGIRSTITKLSQLLKEHDEELWRHLEVTTKVNPQFYAFRWITLLLTQEFNFADSLHIWDTLLSDPEGPLETLLRVCCAMLILVRRRLLAGDFTSNLKLLQNYPSANISHLLYVANKLRTQGSG >EOY03653 pep chromosome:Theobroma_cacao_20110822:4:17634892:17640735:-1 gene:TCM_018744 transcript:EOY03653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DDB_G0288861, putative isoform 5 MPGNEVEDGIHSLFELDNSLQGQHLPQAGDGNWPVLNYNQWVGKLRQIGAPQNFNLKNYSLQQLDTVRGCGDEALSVLHNQNYTQLSPAPEYSEILPRNHQLSTNGFLLGCQSFGMQQNQPGVLCENKGYDQHNLISRGSSIFNSHQEYECCESPTLTTNSERSEITEASTDLNFLKGQQQLLNTPQLGIQQSLPMQQSGYSDMHLLQQHLMIKQLQDLQRQQQLQQFGDARQQNTLNQLSAIAKQSAAGQFSPLINGTPVHEASQMFMNIVQRGTSPTTPGASNRVVFPQDQGQAFRSIGLSSQQPDASLYGTPVASARSNMNQYSQQGISNDAVNLLTKAGGQAQKPTMQSSGSFLRDQYTVLPDQIHMSQGALISNPGFQGKDIFGPASVQSINSGNMSGSFQAGNAAQINTFAKDYNGRQEPAGWPAMQQKTTEIGPSQGLVPLDPMEEKLLYNMDDNFWDPSFGRRNDLGAGSFSNALENSDFSNAFPSIQSGSWSALMQSAVAEASSSDTGLQEEWSGLTFQNTEQSTDNQLSNFVDSDKQQAGWIDSNLQSASSFSSKPMPMFNDSGVSSSFPGFQQPGTQFSTEQGENLPLDGSHGSSEKKSPKVTSEWVDCGAQQKQSFEGGQQVQSYVHLDNTWAGQMYEHSDSGAHQRRTISHEDFGQHYAKPKGSANDGCLLKTSTGGVEQVQSGTDNNLFNRKDSQIINNPSTGQQVIDNNRSDYMRHADVSATNESASTEQKQHQISNEPRGIASSCEGEGEIYVNHQKSYQRQASNESYNSKGLSGRDHGQVKFFGDVSSGNANFNEVRSPLEEVTSRDDIKSVGPDGSKTTTQTSQNMLELLHKVNLSTEGGAMAHSGSTDSNALAKVPDADAHMSVAQLYNQSSASQGFSLRLALPSQRLPNSNHFLNSQGSPQTLSYLKSGQVNQTWAAPPYSGQSLPPANELSQRVHLDAKSSTFGQTGVTPFSNMKGSAVAAFVSSLPLLRNQIQMQNMPNSPIVSQSLQATLSSATRNPPFNLATSQDTSRQISVNHFGEQFPVLEASQVSQPSIMSGMSRQGEFSAMQNAWTTLPTQQNLSILEPLKDPANLPPSMDPTDNSINSTKSGYGEMRAGKERSLQQMSFEMTDSSQPASFSRGEDPLQKQCLDASALPSSSSLSHSNQEVLVGMKHDNNQASMTSERNFAPAAHSLKPSSSLQQNYSLLHQIQAMSTAETDPIKSADDTQPVVSVVGQQLHEQNSRLRNSMDSGPNSAAGGDNKTLTFFTGSREDPSVKTLSQNALQNIPSHEMVRFGQNNSQSQSTSSSYVTNHMNHGQGNLHIAPSWFKQYGTFRNGQMLSMSDARITKSVSGQFSLLKPTQNLHIHASVGQVDAVEAGQAAIARPSSATPLVADEHFSAPYVLPSSINNQNFVTTRPKKRKAMTFELLPWCKEVSQGSQKLQNISVSEQEWAEATNRLCEKVEDEVETLDDVHPILRSKRRLVLTTQLMQLLLNPAPASILRADATSNYDSVSYFISRVALGDTCSLCCGVRDNMQLSSDNSNMISEKLKTFEKTGDQKILEVMEDLTDRAKKLENDFQRYVCH >EOY03650 pep chromosome:Theobroma_cacao_20110822:4:17634088:17642069:-1 gene:TCM_018744 transcript:EOY03650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DDB_G0288861, putative isoform 5 MPGNEVEDGIHSLFELDNSLQGQHLPQAGDGNWPVLNYNQWVGKLRQIGAPQNFNLKNYSLQQLGTNTVRGCGDEALSVLHNQNYTQLSPAPEYSEILPRNHQLSTNGFLLGCQSFGMQQNQPGVLCENKGYDQHNLISRGSSIFNSHQEYECCESPTLTTNSERSEITEASTDLNFLKGQQQLLNTPQLGIQQSLPMQQSGYSDMHLLQQHLMIKQLQDLQRQQQLQQFGDARQQNTLNQLSAIAKQSAAGQFSPLINGTPVHEASQMFMNIVQRGTSPTTPGASNRVVFPQDQGQAFRSIGLSSQQPDASLYGTPVASARSNMNQYSQQGISNDAVNLLTKAGGQAQKPTMQSSGSFLRDQYTVLPDQIHMSQGALISNPGFQGKDIFGPASVQSINSGNMSGSFQAGNAAQINTFAKDYNGRQEPAGWPAMQQKTTEIGPSQGLVPLDPMEEKLLYNMDDNFWDPSFGRRNDLGAGSFSNALENSDFSNAFPSIQSGSWSALMQSAVAEASSSDTGLQEEWSGLTFQNTEQSTDNQLSNFVDSDKQQAGWIDSNLQSASSFSSKPMPMFNDSGVSSSFPGFQQPGTQFSTEQGENLPLDGSHGSSEKKSPKVTSEWVDCGAQQKQSFEGGQQVQSYVHLDNTWAGQMYEHSDSGAHQRRTISHEDFGQHYAKPKGSANDGCLLKTSTGGVEQVQSGTDNNLFNRKDSQIINNPSTGQQVIDNNRSDYMRHADVSATNESASTEQKQHQISNEPRGIASSCEGEGEIYVNHQKSYQRQASNESYNSKGLSGRDHGQVKFFGDVSSGNANFNEVRSPLEEVTSRDDIKSVGPDGSKTTTQTSQNMLELLHKVNLSTEGGAMAHSGSTDSNALAKVPDADAHMSVAQLYNQSSASQGFSLRLALPSQRLPNSNHFLNSQGSPQTLSYLKSGQVNQTWAAPPYSGQSLPPANELSQRVHLDAKSSTFGQTGVTPFSNMKGSAVAAFVSSLPLLRNQIQMQNMPNSPIVSQSLQATLSSATRNPPFNLATSQDTSRQISVNHFGEQFPVLEASQVSQPSIMSGMSRQGEFSAMQNAWTTLPTQQNLSILEPLKDPANLPPSMDPTDNSINSTKSGYGEMRAGKERSLQQMSFEMTDSSQPASFSRGEDPLQKQCLDASALPSSSSLSHSNQEVLVGMKHDNNQASMTSERNFAPAAHSLKPSSSLQQNYSLLHQIQAMSTAETDPIKSADDTQPVVSVVGQQLHEQNSRLRNSMDSGPNSAAGGDNKTLTFFTGSREDPSVKTLSQNALQNIPSHEMVRFGQNNSQSQSTSSSYVTNHMNHGQGNLHIAPSWFKQYGTFRNGQMLSMSDARITKSVSGQFSLLKPTQNLHIHASVGQVDAVEAGQAAIARPSSATPLVADEHFSAPYVLPSSINNQNFVTTRPKKRKAMTFELLPWCKEVSQGSQKLQNISVSEQEWAEATNRLCEKVEDEVETLDDVHPILRSKRRLVLTTQLMQLLLNPAPASILRADATSNYDSVSYFISRVALGDTCSLCCGVRDNMQLSSDNSNMISEKLKTFEKTGDQKILEVMEDLTDRAKKLENDFQRLDKTVSVLDIRVECQELERFSVINRFARFHIRGQGDTSGAASSSAMHKPVPQRYVTALPMPRNLPEGVQCFTL >EOY03651 pep chromosome:Theobroma_cacao_20110822:4:17634088:17642069:-1 gene:TCM_018744 transcript:EOY03651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DDB_G0288861, putative isoform 5 MPGNEVEDGIHSLFELDNSLQGQHLPQAGDGNWPVLNYNQWVGKLRQIGAPQNFNLKNYSLQQLDTVRGCGDEALSVLHNQNYTQLSPAPEYSEILPRNHQLSTNGFLLGCQSFGMQQNQPGVLCENKGYDQHNLISRGSSIFNSHQEYECCESPTLTTNSERSEITEASTDLNFLKGQQQLLNTPQLGIQQSLPMQQSGYSDMHLLQQHLMIKQLQDLQRQQQLQQFGDARQQNTLNQLSAIAKQSAAGQFSPLINGTPVHEASQMFMNIVQRGTSPTTPGASNRVVFPQDQGQAFRSIGLSSQQPDASLYGTPVASARSNMNQYSQQGISNDAVNLLTKAGGQAQKPTMQSSGSFLRDQYTVLPDQIHMSQGALISNPGFQGKDIFGPASVQSINSGNMSGSFQAGNAAQINTFAKDYNGRQEPAGWPAMQQKTTEIGPSQGLVPLDPMEEKLLYNMDDNFWDPSFGRRNDLGAGSFSNALENSDFSNAFPSIQSGSWSALMQSAVAEASSSDTGLQEEWSGLTFQNTEQSTDNQLSNFVDSDKQQAGWIDSNLQSASSFSSKPMPMFNDSGVSSSFPGFQQPGTQFSTEQGENLPLDGSHGSSEKKSPKVTSEWVDCGAQQKQSFEGGQQVQSYVHLDNTWAGQMYEHSDSGAHQRRTISHEDFGQHYAKPKGSANDGCLLKTSTGGVEQVQSGTDNNLFNRKDSQIINNPSTGQQVIDNNRSDYMRHADVSATNESASTEQKQHQISNEPRGIASSCEGEGEIYVNHQKSYQRQASNESYNSKGLSGRDHGQVKFFGDVSSGNANFNEVRSPLEEVTSRDDIKSVGPDGSKTTTQTSQNMLELLHKVNLSTEGGAMAHSGSTDSNALAKVPDADAHMSVAQLYNQSSASQGFSLRLALPSQRLPNSNHFLNSQGSPQTLSYLKSGQVNQTWAAPPYSGQSLPPANELSQRVHLDAKSSTFGQTGVTPFSNMKGSAVAAFVSSLPLLRNQIQMQNMPNSPIVSQSLQATLSSATRNPPFNLATSQDTSRQISVNHFGEQFPVLEASQVSQPSIMSGMSRQGEFSAMQNAWTTLPTQQNLSILEPLKDPANLPPSMDPTDNSINSTKSGYGEMRAGKERSLQQMSFEMTDSSQPASFSRGEDPLQKQCLDASALPSSSSLSHSNQEVLVGMKHDNNQASMTSERNFAPAAHSLKPSSSLQQNYSLLHQIQAMSTAETDPIKSADDTQPVVSVVGQQLHEQNSRLRNSMDSGPNSAAGGDNKTLTFFTGSREDPSVKTLSQNALQNIPSHEMVRFGQNNSQSQSTSSSYVTNHMNHGQGNLHIAPSWFKQYGTFRNGQMLSMSDARITKSVSGQFSLLKPTQNLHIHASVGQVDAVEAGQAAIARPSSATPLVADEHFSAPYVLPSSINNQNFVTTRPKKRKAMTFELLPWCKEVSQGSQKLQNISVSEQEWAEATNRLCEKVEDEVETLDDVHPILRSKRRLVLTTQLMQLLLNPAPASILRADATSNYDSVSYFISRVALGDTCSLCCGVRDNMQLSSDNSNMISEKLKTFEKTGDQKILEVMEDLTDRAKKLENDFQRLDKTVSVLDIRVECQELERFSVINRFARFHIRGQGDTSGAASSSAMHKPVPQRYVTALPMPRNLPEGVQCFTL >EOY03652 pep chromosome:Theobroma_cacao_20110822:4:17633190:17642069:-1 gene:TCM_018744 transcript:EOY03652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DDB_G0288861, putative isoform 5 MPGNEVEDGIHSLFELDNSLQGQHLPQAGDGNWPVLNYNQWVGKLRQIGAPQNFNLKNYSLQQLDTVRGCGDEALSVLHNQNYTQLSPAPEYSEILPRNHQLSTNGFLLGCQSFGMQQNQPGVLCENKGYDQHNLISRGSSIFNSHQEYECCESPTLTTNSERSEITEASTDLNFLKGQQQLLNTPQLGIQQSLPMQQSGYSDMHLLQQHLMIKQLQDLQRQQQLQQFGDARQQNTLNQLSAIAKQSAAGQFSPLINGTPVHEASQMFMNIVQRGTSPTTPGASNRVVFPQDQGQAFRSIGLSSQQPDASLYGTPVASARSNMNQYSQQGISNDAVNLLTKAGGQAQKPTMQSSGSFLRDQYTVLPDQIHMSQGALISNPGFQGKDIFGPASVQSINSGNMSGSFQAGNAAQINTFAKDYNGRQEPAGWPAMQQKTTEIGPSQGLVPLDPMEEKLLYNMDDNFWDPSFGRRNDLGAGSFSNALENSDFSNAFPSIQSGSWSALMQSAVAEASSSDTGLQEEWSGLTFQNTEQSTDNQLSNFVDSDKQQAGWIDSNLQSASSFSSKPMPMFNDSGVSSSFPGFQQPGTQFSTEQGENLPLDGSHGSSEKKSPKVTSEWVDCGAQQKQSFEGGQQVQSYVHLDNTWAGQMYEHSDSGAHQRRTISHEDFGQHYAKPKGSANDGCLLKTSTGGVEQVQSGTDNNLFNRKDSQIINNPSTGQQVIDNNRSDYMRHADVSATNESASTEQKQHQISNEPRGIASSCEGEGEIYVNHQKSYQRQASNESYNSKGLSGRDHGQVKFFGDVSSGNANFNEVRSPLEEVTSRDDIKSVGPDGSKTTTQTSQNMLELLHKVNLSTEGGAMAHSGSTDSNALAKVPDADAHMSVAQLYNQSSASQGFSLRLALPSQRLPNSNHFLNSQGSPQTLSYLKSGQVNQTWAAPPYSGQSLPPANELSQRVHLDAKSSTFGQTGVTPFSNMKGSAVAAFVSSLPLLRNQIQMQNMPNSPIVSQSLQATLSSATRNPPFNLATSQDTSRQISVNHFGEQFPVLEASQVSQPSIMSGMSRQGEFSAMQNAWTTLPTQQNLSILEPLKDPANLPPSMDPTDNSINSTKSGYGEMRAGKERSLQQMSFEMTDSSQPASFSRGEDPLQKQCLDASALPSSSSLSHSNQEVLVGMKHDNNQASMTSERNFAPAAHSLKPSSSLQQNYSLLHQIQAMSTAETDPIKSADDTQPVVSVVGQQLHEQNSRLRNSMDSGPNSAAGGDNKTLTFFTGSREDPSVKTLSQNALQNIPSHEMGNLHIAPSWFKQYGTFRNGQMLSMSDARITKSVSGQFSLLKPTQNLHIHASVGQVDAVEAGQAAIARPSSATPLVADEHFSAPYVLPSSINNQNFVTTRPKKRKAMTFELLPWCKEVSQGSQKLQNIRWKMRLKHLMMCIQYFDQRGGLS >EOY03649 pep chromosome:Theobroma_cacao_20110822:4:17633358:17642061:-1 gene:TCM_018744 transcript:EOY03649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DDB_G0288861, putative isoform 5 MPGNEVEDGIHSLFELDNSLQGQHLPQAGDGNWPVLNYNQWVGKLRQIGAPQNFNLKNYSLQQLDTVRGCGDEALSVLHNQNYTQLSPAPEYSEILPRNHQLSTNGFLLGCQSFGMQQNQPGVLCENKGYDQHNLISRGSSIFNSHQEYECCESPTLTTNSERSEITEASTDLNFLKGQQQLLNTPQLGIQQSLPMQQSGYSDMHLLQQHLMIKQLQDLQRQQQLQQFGDARQQNTLNQLSAIAKQSAAGQFSPLINGTPVHEASQMFMNIVQRGTSPTTPGASNRVVFPQDQGQAFRSIGLSSQQPDASLYGTPVASARSNMNQYSQQGISNDAVNLLTKAGGQAQKPTMQSSGSFLRDQYTVLPDQIHMSQGALISNPGFQGKDIFGPASVQSINSGNMSGSFQAGNAAQINTFAKDYNGRQEPAGWPAMQQKTTEIGPSQGLVPLDPMEEKLLYNMDDNFWDPSFGRRNDLGAGSFSNALENSDFSNAFPSIQSGSWSALMQSAVAEASSSDTGLQEEWSGLTFQNTEQSTDNQLSNFVDSDKQQAGWIDSNLQSASSFSSKPMPMFNDSGVSSSFPGFQQPGTQFSTEQGENLPLDGSHGSSEKKSPKVTSEWVDCGAQQKQSFEGGQQVQSYVHLDNTWAGQMYEHSDSGAHQRRTISHEDFGQHYAKPKGSANDGCLLKTSTGGVEQVQSGTDNNLFNRKDSQIINNPSTGQQVIDNNRSDYMRHADVSATNESASTEQKQHQISNEPRGIASSCEGEGEIYVNHQKSYQRQASNESYNSKGLSGRDHGQVKFFGDVSSGNANFNEVRSPLEEVTSRDDIKSVGPDGSKTTTQTSQNMLELLHKVNLSTEGGAMAHSGSTDSNALAKVPDADAHMSVAQLYNQSSASQGFSLRLALPSQRLPNSNHFLNSQGSPQTLSYLKSGQVNQTWAAPPYSGQSLPPANELSQRVHLDAKSSTFGQTGVTPFSNMKGSAVAAFVSSLPLLRNQIQMQNMPNSPIVSQSLQATLSSATRNPPFNLATSQDTSRQISVNHFGEQFPVLEASQVSQPSIMSGMSRQGEFSAMQNAWTTLPTQQNLSILEPLKDPANLPPSMDPTDNSINSTKSGYGEMRAGKERSLQQMSFEMTDSSQPASFSRGEDPLQKQCLDASALPSSSSLSHSNQEVLVGMKHDNNQASMTSERNFAPAAHSLKPSSSLQQNYSLLHQIQAMSTAETDPIKSADDTQPVVSVVGQQLHEQNSRLRNSMDSGPNSAAGGDNKTLTFFTGSREDPSVKTLSQNALQNIPSHEMGNLHIAPSWFKQYGTFRNGQMLSMSDARITKSVSGQFSLLKPTQNLHIHASVGQVDAVEAGQAAIARPSSATPLVADEHFSAPYVLPSSINNQNFVTTRPKKRKAMTFELLPWCKEVSQGSQKLQNISVSEQEWAEATNRLCEKVEDEVETLDDVHPILRSKRRLVLTTQLMQLLLNPAPASILRADATSNYDSVSYFISRVALGDTCSLCCGVRDNMQLSSDNSNMISEKLKTFEKTGDQKILEVMEDLTDRAKKLENDFQRLDKTVSVLDIRVECQELERFSVINRFARFHIRGQGDTSGAASSSAMHKPVPQRYVTALPMPRNLPEGVQCFTL >EOY04742 pep chromosome:Theobroma_cacao_20110822:4:25751728:25754372:1 gene:TCM_019926 transcript:EOY04742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harpin-induced 1, putative MEVESSGSTNAKSMDERASSAARALRRRRKCRNICFAVMAVLLFIIVLIVILAFTVFKAKRPVTTIDSVSLANLKFSLDLVRLQVLLNASLDVDLSIKNPNKVAFKYTDSSAQLNYRGQQVGEVPIPAGKMPADATVPMNLTLTLMADRLLSDSQFFSDVSGGELPLNAFARIPGKVNLLNLFKIHVVSSTSCDFTVFLSNSTVGDQDCKYKTKL >EOY02978 pep chromosome:Theobroma_cacao_20110822:4:3298102:3300260:-1 gene:TCM_017370 transcript:EOY02978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone and stilbene synthase family protein MAMASVEEIRKAQRAQGPATVLAIGTATPPNCVSQADYPDYYFRITNSEHLTELKEKFKRMCDKSMIKKRYMYLTEEILKENPNMCAYMASSLDARQDMVVVEVPKLGKEAATKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRVAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAIIVGADPDTKIERPLFELVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNVEKSLVEAFSPIGINDWNSIFWIAHPGGPAILDQVEAKLGLKEEKLKATRHVLSEFGNMSSACVLFILDEMRKQSLEQGKPTTGEGLEWGVLFGFGPGLTVETVVLHSIPTEATH >EOY03157 pep chromosome:Theobroma_cacao_20110822:4:6628147:6631499:1 gene:TCM_017724 transcript:EOY03157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLFYVWGRPSGKQDEMKKKERSYCKRENGSKNKEERSRCEREREQRGKLPAKGEREGWKRVENKWQLPPLKGVKREALCCKILRAKKREFCCKF >EOY04940 pep chromosome:Theobroma_cacao_20110822:4:26568964:26572146:1 gene:TCM_020083 transcript:EOY04940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter, Pam16 MAAKILANLIVMGSGILVRAVVQAYRQALANASKSGVAQETLQNAARRASKVMTEQEARQILGVSEETAWEEIMKKYDVLFERNAKNGSFYLQSKVHKAKECLEAAHQGKGEGTPS >EOY05092 pep chromosome:Theobroma_cacao_20110822:4:27152564:27161177:1 gene:TCM_020184 transcript:EOY05092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein MSEMANTDPEGVDAVRMTWNVWPRTKVEASKCVIPLAASIAPIRPNPDIPTLPYAPLRCKTCSAALNAFARVDFTAKIWICPFCYQRNHFPPHYAMISETNLPCELYPQYTTVQYTLQANPDPHNPSGAPQLPPVFVFVLDTCMIEEELAFVKSAMNQAIGLLPEHALVGFVSFGTQAQVHELGFQDMSKVYVFRGSKEISKEQVLEQLGLGVAGRRPTPGYPKGLQNGYTNTGVNRFLLPASDCEYTLNSLLDELQTDQWPVQPGHRASRCTGVALSVAAGLLGACLPGTGARIVALVGGPCTEGPGTIVSKDLSDPVRSHKDLDKDASPYFKKAVKFYDSLAKQLVSQGHVLDLFASALDQVGVAEMKVAVERTGGLVVLAESFGHSVFKDSFKRVFEDGEQSLGLCFNGMLEINCSKDIKIQGVIGPCTSLEKKGPNVADTVIGEGNTTVWKMCGLDKSTCLTVLFDLSSTERSNVPGAANPQLYLQFLTSYQNPEGKTMLRVTTVTRRWVDSAVSAEELVQGFDQETAAVVMARITSLKMETEEGFDATRWLDRNLIRLCSKFGDYRKDDPSSFTLNPCFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRENITNAAVMIQPSLISYSFNSLPQPALLDVASISADRILLLDSYFSIVVFHGMTIAQWRNMGYQNQPEHQAFAQLLQAPQDDALVIIRERFPVPRLVVCDQHGSQARFLLAKLNPSATYNNANDIAAGSDVIFTDDVSLQVFFEHLQRLAVQS >EOY05000 pep chromosome:Theobroma_cacao_20110822:4:26771592:26772726:-1 gene:TCM_020123 transcript:EOY05000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKTRFSFKTLSSSSTIEVPSSDPNPGFKATDNASVPAVRVFKRKKRVKKTVDVVQEIPKAENKGLKPCGLPD >EOY04919 pep chromosome:Theobroma_cacao_20110822:4:26467237:26473231:1 gene:TCM_020063 transcript:EOY04919 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein MLSSFFSPKLMLRGDSCVLFSIADHKHTYHQKEILVTKHKDIAPQKKKKTASSFYMRAAVTAIASHRRLLLPVLQSFQTSITTNRNRTIVRNIMSEMDPTSFARPRLVTKKVLAKHQREGDGAIVRRCIGRSELKSLDPFLMMDDFSVSPPAGFPDHPHRGFETVTYMTQGGITHQDFAGHKGTIHTGDVQWMTAGKGIIHSEMPAGEGVHKGLQLWINLSSQDKMIEPRYQELLSEDIPSAEQDGAEVRVIAGESMGVRSPVYTRTPTMYLDFSLRPRAQVHQHVPESWNAFAYIVEGEGVFGYQNKTPISANHVLVLGPGDGVSVWNKSSKPLRFVLIAGQPLNEPVVQYGPFVMNTQAEIDQTIEDYHYSKNGFEMAKHWRSH >EOY03736 pep chromosome:Theobroma_cacao_20110822:4:18746111:18746950:-1 gene:TCM_018886 transcript:EOY03736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDLQKTGLYLAIVLTTMIMILSSTNHSAIGSPESNSRSFFHCNLSSHECFRQNEVDLGFEFLMESETSKMVLEMRRMRQQGGRPSTNTLHPADAALKVQCQNFWNV >EOY06721 pep chromosome:Theobroma_cacao_20110822:4:32756958:32761880:-1 gene:TCM_021363 transcript:EOY06721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKIDAFEEKPWRHPGIDITDFFNFGFNEDSWKRYCNSLEKFRHRSSRQARIPVYFSSKLDQAYEAEAGLETATQEAMTEDVSKVEPSFKCADRGEMPLELPKGRAIQVEDSINERQPSMDLRRPRFQDSDVIIQITVQDFTVDSSESAREELGHGRKCEVSESGKLDVKDDRDVCFSVSAGGDDLSGEHCARVRNASLSCPLRSLQPTTASNQTSLETNNHRNDKLSDMNGRCHPNMDVCISEGIAESMETTYKENEVACRNTYQSDPCMIEPEQSLDDRSHFSPTLSFSESNSEERSKDSVHAVSIDGPSPLRRQSLDYGSELQKSVASYHKSSRIGGSKTKSDDGESYSIHSSPLRDKQKHESWRHRPLVKQRILHESDDDISPIPDAECDRKRYQRCKNPIEEERKHHRGRPHGITDQKIYPENCYKASPSSNALKLCDKDYSSDCSRQKERLQDLGYHDREGSSCYMEKGPCVNGHKRFADSHLQAVRTKGHLSLKEDSDQFAGREWKKEFYHGRRAGIDKEDDMDGFWHHGQRLPAQQGLFPHTCRESGRLVSRYSSASKERDIQWRRGYDGLQLRKKTDHDDCPLDYKHENERLKEKYGRSIPFTRCERDMVEPYERWLPPIRREFKVSGRKGRYVDPAYFPLDRPWPMESEEYLRHTYCRSLALETDREPSVPNGRRWRNTLLSRNEAFDSKFIKRYHRHQRIVCHEEDGDNGRCGCYDYVDDNEDGILQNGNQVQSWRRGHSQRGRVVHWTKDKLLGNDRLLAQWVSFSCQKTSKHDLIHARHGSLRDEMLINDLMLEHHGYEMITEGSNANCHEGNSIIRQKQKVLKDRDSVDLIVGEGKVKLGKLRSKRPCIIVLVYMSVLFVLTKSSFLFLMLTYCMFLFFSFILSIIPYLFMPFI >EOY06720 pep chromosome:Theobroma_cacao_20110822:4:32755305:32762176:-1 gene:TCM_021363 transcript:EOY06720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDSMDDDFGDLYADVEIQASSAIDALFIEPEDNGRSNGAESTDGDEKFDPGSVMEDSDSEDDLNILLNDDDCEKFPVTGARSHGGGYEEDEDSGFGVEGTGSDKISRRVEPVGDGSELNCSGNGVERGTGAKTQFSLFKYVRPHGSPFPSNVRVTGCTGVSPFSSTSARGDREDDVYSQKKGGSLVQVANRHATPNSLPHQFGYGFSLPWYRTILDMKIDAFEEKPWRHPGIDITDFFNFGFNEDSWKRYCNSLEKFRHRSSRQARIPVYFSSKLDQAYEAEAGLETATQEAMTEDVSKVEPSFKCADRGEMPLELPKGRAIQVEDSINERQPSMDLRRPRFQDSDVIIQITVQDFTVDSSESAREELGHGRKCEVSESGKLDVKDDRDVCFSVSAGGDDLSGEHCARVRNASLSCPLRSLQPTTASNQTSLETNNHRNDKLSDMNGRCHPNMDVCISEGIAESMETTYKENEVACRNTYQSDPCMIEPEQSLDDRSHFSPTLSFSESNSEERSKDSVHAVSIDGPSPLRRQSLDYGSELQKSVASYHKSSRIGGSKTKSDDGESYSIHSSPLRDKQKHESWRHRPLVKQRILHESDDDISPIPDAECDRKRYQRCKNPIEEERKHHRGRPHGITDQKIYPENCYKASPSSNALKLCDKDYSSDCSRQKERLQDLGYHDREGSSCYMEKGPCVNGHKRFADSHLQAVRTKGHLSLKEDSDQFAGREWKKEFYHGRRAGIDKEDDMDGFWHHGQRLPAQQGLFPHTCRESGRLVSRYSSASKERDIQWRRGYDGLQLRKKTDHDDCPLDYKHENERLKEKYGRSIPFTRCERDMVEPYERWLPPIRREFKVSGRKGRYVDPAYFPLDRPWPMESEEYLRHTYCRSLALETDREPSVPNGRRWRNTLLSRNEAFDSKFIKRYHRHQRIVCHEEDGDNGRCGCYDYVDDNEDGILQNGNQVQSWRRGHSQRGRVVHWTKDKLLGNDRLLAQWVSFSCQKTSKHDLIHARHGSLRDEMLINDLMLEHHGYEMITEGSNANCHEGNSIIRQKQKVLKDRDSVDLIVGEGKSSVRHLDGGSLICNGRLEKIGLEFPMEQKSLRDVNDSCGGNRVKTDISNTDGSRTIEKQLDKFSVAECNQDLDIEEGQTICEEQSINLEKENVSETMVQRSKVKMRTLHVDSSDGNRAVGEYDNKRIVETLAKMEKRRERFKDPITIKMEPDKTSEPQVDLVVDTNEIKHQRPARKRRWGVS >EOY05983 pep chromosome:Theobroma_cacao_20110822:4:30525388:30526938:1 gene:TCM_046775 transcript:EOY05983 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative MVIANTGEVQTTSKPEYDRASEVKAFDDTKAGVKGLVDAGATEVPRMFHQPPDKFEKTSVPGDAQVRIPVINLDRVKEDPITRKEIVEEVRDASKKWGFFQIINHGIPVGVLEDMKHSVRRFFEQDVEVRKQFYTRDNMKTVVYNCNFDLHTAPAANWRDSVFCTMAPDPPKLEELPESFRDIMVEYSNRLMSLGYLLFELISEALGLNPDHLVKIDCAKGLGVLCHYYPACPQPELTLGASKHADSDFLTVLLQDHVGALQVLHGNQWIDVPPTPGALVVNIGDLLQLISNDRLLSVEHRVLANSVGPRVSVAGFFVTGFRPNPRLYGPIKELLTEDNPPKYRETTVIDYLKHFNGKGLDGTSALLHFRL >EOY03552 pep chromosome:Theobroma_cacao_20110822:4:17119792:17124222:1 gene:TCM_018667 transcript:EOY03552 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 3, putative MAEREAEQGTRSKLSALTRPTITLPPRPAMDGLFSSGSGLSPGPMTLVSAFFSDPDSTEHKSFSQLLAGAMASPGARLPYNSMDGLFMEVGFKDGAEKSAGFKQSRPLNLAVARSPLFTVPPGISPSGLLNSPGFFPLSPQSPFGISHQQALAQVTAQAALAQSHVRAQAEYQTLSVAAPSESLIHHPSFNSEETSQQMPPAASDPQSSAIEYSEASQSDRKNQPSVAVDKPAEDGYNWRKYGQKPIKGCEYPRSYYKCTHLNCSVKKKVERSADGQITEIIYKGAHNHEKPQPNKQGKGGNDGNSISQANSELGSQGVAGNLNKLSEIVPTHSIPRKNHESAQVAVEIPSESEEGCDEESREERDDDEPNPKRRNAAGESAVVLSHKTVTESKIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTSAGCNVRKHVERASTDPKAVITTYEGKHNHDVPAARNSSHTAVNNSLPQPKPHKVVAEGHSLLQKMDFLNNAQGPAVLRLKEEQIRV >EOY05056 pep chromosome:Theobroma_cacao_20110822:4:26992376:26993001:-1 gene:TCM_020158 transcript:EOY05056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNAKSLFDILDPQVMDDGTQEKIIAVVKLAKRCLNLKGQKRPTMKQVAMELERIRASGEANVIKQSDDEDSDIDDTIKPYAMTSCSTRSVITNTSVTLPSDA >EOY04211 pep chromosome:Theobroma_cacao_20110822:4:22673875:22681414:1 gene:TCM_019468 transcript:EOY04211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad23 UV excision repair protein family isoform 1 MKIFVKTLKGTHFEIEVKPEDTVADVKKNIDTAQGPDIYPAAQQMLIHQGKVLKDNTTLDDNKVAENSFIVIMLTKNKVSSSGASTASTTSAPTSQNKVSSSEASTTSATPAPTSQVESAAPAETQPSTTPEAPAPIVAVPESVPEPAVTQAAPASDSQMDVYSQAASNLVAGNNIEAIIQQILDMGGGSWDRDTVVRALRAAYNNPERAVEYLYSGIPAQAAVPAVARAPAAGQAANPPAQAQQPAAPTSGPNANPLDLFPQGLPNTGSNAGAGTLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQADFLRLINEPVEGEGTYLVSWLQLCHRL >EOY04210 pep chromosome:Theobroma_cacao_20110822:4:22673497:22681907:1 gene:TCM_019468 transcript:EOY04210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad23 UV excision repair protein family isoform 1 MKIFVKTLKGTHFEIEVKPEDTVADVKKNIDTAQGPDIYPAAQQMLIHQGKVLKDNTTLDDNKVAENSFIVIMLTKNKVSSSGASTASTTSAPTSQLPDKVLNILEFLLMHAWLIILEKGFIKWGLNCVCHTYTCKSVNEFKSHKQSLCIAVAEACCGLGCPYAYVVCGLTSVNIVIHDKLMNKVSSSEASTTSATPAPTSQVESAAPAETQPSTTPEAPAPIVAVPESVPEPAVTQAAPASDSQMDVYSQAASNLVAGNNIEAIIQQILDMGGGSWDRDTVVRALRAAYNNPERAVEYLYSGIPAQAAVPAVARAPAAGQAANPPAQAQQPAAPTSGPNANPLDLFPQGLPNTGSNAGAGTLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQADFLRLINEPVEGEGNLLGQLAAAMPQAVTVTPEEREAIERLEAMGFDRNIVLEVFFACNKNEELAANYLLDHMHEFEE >EOY02856 pep chromosome:Theobroma_cacao_20110822:4:2672989:2675143:-1 gene:TCM_017264 transcript:EOY02856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 family protein MAPKRGVKAPVVAAKKKTDKVVNPLFEKRPKQFGIGGDLPPKKDLTRFVKFPKTVRIQRQKRILKQRLKVPPALNQFTKTLDKNLASNLFKMLLKYRPEDKAAKKERLLKKAQSEAEGKPAEAKKPIVVKYGLNHVTYLIEQNKAQLVIIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGAIVHKKSASVLCLTTVKNEDKLEFSRILEAIKANFNDKYDEYRKKWGGGIMGTKSQARTKAKEKLLAKEAAQRMT >EOY02817 pep chromosome:Theobroma_cacao_20110822:4:2376844:2377981:-1 gene:TCM_017214 transcript:EOY02817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVERSCICPCKADAFFKKRVCTNEFELCRMVCGKDQPHPYTGDYYYHLTSDHDSLLSMMLNHLIPLTRHPGNELDWKEVLRTPLWAEAPQLS >EOY04331 pep chromosome:Theobroma_cacao_20110822:4:23923616:23924176:1 gene:TCM_019614 transcript:EOY04331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMNMMMGFGRLVVSLKSKLRYLKMKKPAYYDKIEKSESMRVEIRSRKARKLIEETMKIADSPRTSKTYAF >EOY03295 pep chromosome:Theobroma_cacao_20110822:4:11310125:11319069:-1 gene:TCM_018143 transcript:EOY03295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTGTPQGLHISFFPFLPKQSLSSQQDIFFFILEASTAILSFSHGKLIFFRFLYLFSFHFFSLLASFFSQLLCTKDTTSNPNFQHI >EOY03788 pep chromosome:Theobroma_cacao_20110822:4:19324428:19325511:1 gene:TCM_018975 transcript:EOY03788 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H MKEVHEGTCGAHANGHMLARQIMRAGYYWLTLESDCINFARKCHKCQVYADRIHALPAPLHVFTAPWPFSMWGMDVIGLITPKASNGHRFILVAIDYFTKWVKAASYANVTQKVEVCTKFKIKHHNSTTYHPKMNGAVEVANKNIKKIIEKTTEVYKDWHEKLPFALHAYRTSVRTSTGVTPYSLVYGIEAVLPVEVEISSLRVLMETELEDAEWVRSRYEQLNLIEEKRLATLCHGQMYQRRMMRAYEKKVRPRKFRE >EOY05044 pep chromosome:Theobroma_cacao_20110822:4:26953467:26954054:1 gene:TCM_020151 transcript:EOY05044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCLSTTTKLSKIDKNVKQRKLITKHNQYNGLFILLATQPGKLVSDNNVKLSCTFNNLFPPPCGNIMGNLSRVCPVVDHQQFKLFDVVHNKFLKAIRKIVTSLLV >EOY03532 pep chromosome:Theobroma_cacao_20110822:4:16967112:16971530:-1 gene:TCM_018646 transcript:EOY03532 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS family protein isoform 3 MKFGKEFAAQMVQEWQEAYMDYSNLKSILKDILRFKQLNKAPSPMAATTKGSLKRRVSFYRAFSGLTSRYRSGSPMQNNEDEVILVSAVQEAGREGDYQTMFLMSGEKGGEYELVFFRRLDDEFNKVIKFYKKKVEEVMVEADELSKQMNALIALRIKVDNPVVGGADMVNLAANGLSSNSSSVAHPTNGGKQVWTRMGIIQEVEMSSEGISEEEIKEGNDREKLSEAEANIQGFRPAPLDVLDHVKINCEPETPISTLKGVIKSSKSDLSFSRQELRKAEEKITQAFVEFYRKLRLLKSYCFLNQLAFSKIMKKYDKITSRNASKAYLQMVDKSYLGSSDEVSKLMERVEATYVKHFANGNHRKGMNTLRPQAKKERHRITFLYGFFSGCSIALIVAIIVNIHARDLLRSQGRDQYMVNIFPLYSLFGYIVLHMLMYSGNIFFWKRYRVNFSFIFGFKQGTELGYREVLLLSTGLSLLALAGVISHLDMEIDPRTKSFAVLTELIPLVLLIAVLSITFCPFNIIYRSSRFFLIKCAFHCVCTPLYKVTLPDFFLADQLTSQVQAFRSLEFYICYYGWGNFRKRLNKCEESEVYKVFYIVVAIIPYWFRFLQSLRRLFEEKDAAHGLNGLKYFSTIAAVAIRTIYQFQKQKTTPWLVLAAATSGIATIANTYWDIVIDWGLLRRNSRNPWLRDRLIVPHKGVYFVAMVRSHALMLFISFFFLLGGDGIVGECQHFERFDLP >EOY03531 pep chromosome:Theobroma_cacao_20110822:4:16966629:16984111:-1 gene:TCM_018646 transcript:EOY03531 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS family protein isoform 3 MKFGKEFAAQMVQEWQEAYMDYSNLKSILKDILRFKQLNKAPSPMAATTKGSLKRRVSFYRAFSGLTSRYRSGSPMQNNEDEVILVSAVQEAGREGDYQTMFLMSGEKGGEYELVFFRRLDDEFNKVIKFYKKKVEEVMVEADELSKQMNALIALRIKVDNPVVGGADMVNLAANGLSSNSSSVAHPTNGGKQVWTRMGIIQEVEMSSEGISEEEIKEGNDREKLSEAEANIQGFRPAPLDVLDHVKINCEPETPISTLKGVIKSSKSDLSFSRQELRKAEEKITQAFVEFYRKLRLLKSYCFLNQLAFSKIMKKYDKITSRNASKAYLQMVDKSYLGSSDEVSKLMERVEATYVKHFANGNHRKGMNTLRPQAKKERHRITFLYGFFSGCSIALIVAIIVNIHARDLLRSQGRDQYMVNIFPLYSLFGYIVLHMLMYSGNIFFWKRYRVNFSFIFGFKQGTELGYREVLLLSTGLSLLALAGVISHLDMEIDPRTKSFAVLTELIPLVLLIAVLSITFCPFNIIYRSSRFFLIKCAFHCVCTPLYKVTLPDFFLADQLTSQVQAFRSLEFYICYYGWGNFRKRLNKCEESEVYKVFYIVVAIIPYWFRFLQSLRRLFEEKDAAHGLNGLKYFSTIAAVAIRTIYQFQKQKTTPWLVLAAATSGIATIANTYWDIVIDWGLLRRNSRNPWLRDRLIVPHKGVYFVAMVLNCLLRLAWMQQVLGIQSVPFLHNKALIAVVASLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFYYDYSEDKSA >EOY03533 pep chromosome:Theobroma_cacao_20110822:4:16967112:16971530:-1 gene:TCM_018646 transcript:EOY03533 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS family protein isoform 3 MKFGKEFAAQMVQEWQEAYMDYSNLKSILKDILRFKQLNKAPSPMAATTKGSLKRRVSFYRAFSGLTSRYRSGSPMQNNEDEVILVSAVQEAGREGDYQTMFLMSGEKGGEYELVFFRRLDDEFNKVIKFYKKKVEEVMVEADELSKQMNALIALRIKVDNPVVGGADMVNLAANGLSSNSSSVAHPTNGGKQVWTRMGIIQEVEMSSEGISEEEIKEGNDREKLSEAEANIQGFRPAPLDVLDHVKINCEPETPISTLKGVIKSSKSDLSFSRQELRKAEEKITQAFVEFYRKLRLLKSYCFLNQLAFSKIMKKYDKITSRNASKAYLQMVDKSYLGSSDEVSKLMERVEATYVKHFANGNHRKGMNTLRPQAKKERHRITFLYGFFSGCSIALIVAIIVNIHARDLLRSQGRDQYMVNIFPLYSLFGYIVLHMLMYSGNIFFWKRYRVNFSFIFGFKQGTELGYREVLLLSTGLSLLALAGVISHLDMEIDPRTKSFAVLTELIPLVLLIAVLSITFCPFNIIYRSSRFFLIKCAFHCVCTPLYKVPRLKE >EOY05674 pep chromosome:Theobroma_cacao_20110822:4:29449130:29453273:1 gene:TCM_020616 transcript:EOY05674 gene_biotype:protein_coding transcript_biotype:protein_coding description:No lysine kinase 1 isoform 1 MNGETSFEQEMDGCPSLEPDYSQFVEVDRTGRYGRYNEILGKGASKTVYKAFDEYEGIEVAWNQVKLHDFLQSSEDLERLYCEIHLLKTLKHENIMKFYTSWVDPAKRNINFVTEMFTSGTLRQYRLKHRRVNIRAVKHWCRQILRGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAARCVGTPEFMAPEVYEEEYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVVSGKKPEALYKVKDPEVRQFVEKCLATVSRRLPARELLRDPFLQLDDYGSDLRPIEYQRDYYDAGPLVRQPLYDINHSNNSSLFNSYTNYLGYGPENGLGYNSLEFETSEIDLFTSQEDGHLGDVDLTIKGKWREDDGIFLRLRIADKEGCFRNIYFPFDIESDTALSVATEMVSELDINDQDVTKIADMIDGEIASLVPEWKRGSGIDENSQCLSSSFCQNCSSKGYISGYVSSSSAGAKNLQVLQCSKHGCTAIHGRFEEITYQVEGPEQCVSEGSNASLHRSNGIHYADIWAQREGPELSSSGPKDIHCDEANETSDQSIYGKEERIISIDSQSDSNARNSFSAYHSVDSGFLDDYENEIRQELRWLKAKYQMQLRELRDQQLGVRLRSQSLTQSFNDLENDKDTKASMSSILASPKREGNGPLLKSLPSGKHLTLYFSIDAEKKCASFASQGDQISEAISGSYNPETVATTKNFYTGALLPHPLYRASSLPVDAVDV >EOY05675 pep chromosome:Theobroma_cacao_20110822:4:29449109:29452232:1 gene:TCM_020616 transcript:EOY05675 gene_biotype:protein_coding transcript_biotype:protein_coding description:No lysine kinase 1 isoform 1 MNGETSFEQEMDGCPSLEPDYSQFVEVDRTGRYGRYNEILGKGASKTVYKAFDEYEGIEVAWNQVKLHDFLQSSEDLERLYCEIHLLKTLKHENIMKFYTSWVDPAKRNINFVTEMFTSGTLRQYRLKHRRVNIRAVKHWCRQILRGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAARCVGTPEFMAPEVYEEEYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVVSGKKPEALYKVKDPEVRQFVEKCLATVSRRLPARELLRDPFLQLDDYGSDLRPIEYQRDYYDAGPLVRQPLYDINHSNNSSLFNSYTNYLGYGPENGLGYNSLEFETSEIDLFTSQEDGHLGDVDLTIKGKWREDDGIFLRLRIADKEGCFRNIYFPFDIESDTALSVATEMVSELDINDQDVTKIADMIDGEIASLVPEWKRGSGIDENSQCLSSSFCQNCSSKGYISGYVSSSSAGAKNLQVLQCSKHGCTAIHGRFEEITYQVEGPEQCVSEGSNASLHRSNARISTAMRQMRLRTSQFMERRREL >EOY05389 pep chromosome:Theobroma_cacao_20110822:4:28402447:28407552:1 gene:TCM_020399 transcript:EOY05389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MAVSKKWVFACSAVLFLFLVGAMAAVRTDNTSSNVETEKLQSSNNSTMAARSEEAEPLNEHAVPDPGAVAAEVETMIDMNIRNVTERRKLGFFSCGTGNPIDDCWRCDPNWQKNRKRLADCGIGFGRNAIGGRDGRFYVVTDPGDDDPVNPRPGTLRHAVIQDQPLWIVFKRDMVIQLKQELIMNSFKTIDGRGVNVHIANGACITIQFVTNVIIHGLHIHDCKPTGNAMVRSSPSHFGWRTMADGDGISIFGSSHIWVDHNSLSNCADGLVDAVMGSTAITISNNHLTHHNEVMLLGHSDSYTRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYAAPTNPFAKEVTKRVDTGESQWKSWNWRSEGDLLLNGAYFTPSGAGASASYARASSLGAKSSSMVGAMTSNAGALPCRRGRQC >EOY03700 pep chromosome:Theobroma_cacao_20110822:4:18183638:18185801:1 gene:TCM_018809 transcript:EOY03700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcription factor 1, putative isoform 1 MIASSKEADSPARQEGNTNDTKFNKGPSSSSPWLRLKDPRIVRVSRAFGGKDRHSKVCTIRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAAKHEIDELPPLPVPPGNFSLNHQMVLSTSQEAGASQSNKEGIKTSSSVNWEDPGGLSRSSFWSSDAFWRAKSKEVERETTNEKDNWNKRNEEEKQGGSEGHHGAQVSSDSLLQRANHSSLPALLNNAMPYGSYYHFEPSNFPLAHLGSHGFGSHAEDLHNFNVVPLPSTLSLSSGSQILVCPPGATQPLFPPHATASLEIDPRQQVNHFQMLSSGAQNLLPNSLNPPPYSIGQSTRPFHLSMTPRLPHSHNSSGSQPDKQQEFPSK >EOY03699 pep chromosome:Theobroma_cacao_20110822:4:18183569:18185950:1 gene:TCM_018809 transcript:EOY03699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcription factor 1, putative isoform 1 MIASSKEADSPARQEGNTNDTKFNKGPSSSSPWLRLKDPRIVRVSRAFGGKDRHSKVCTIRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAAKHEIDELPPLPVPPGNFSLNHQMVLSTSQEAGASQSNKEGIKTSSSVNWEDPGGLSRSSFWSSDAFWRAKSKEVERETTNEKDNWNKRNEEEKQGGSEGHHGAQVSSDSLLQRANHSSLPALLNNAMPYGSYYHFEPSNFPLAHLGSHGFGSHAEDLHNFNVVPLPSTLSLSSGSQILVCPPGATQPLFPPHATASLEIDPRQQVNHFQMLSSGAQNLLPNSLNPPPYSIGQSTRPFHLSMTPRLPHSHNSSGSQPDKQQEFPSK >EOY02480 pep chromosome:Theobroma_cacao_20110822:4:999669:1007772:1 gene:TCM_016942 transcript:EOY02480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein MAPSLSKASSGVSGLVDSHHQSPFALEGSNFIANGHVFLSDVPDNITVTPSPYGSSTTDKSKSTVGSFVGFDAVEPASRHVVPIGKLKNIKFMSIFRFKVWWTTHWVGSNGGDLENETQMVILDKSDSGRPYVLLLPLLEGSFRASLQPGTDDNVDICVESGSTKVTSAGFRSVLYVHAGEDPFNLVKEAMKVIRCHLGTFKLLEEKTPPGIVDKFGWCTWDAFYLTVHPQGVWEGVKGLVDGGCPPGLVLIDDGWQSISHDEDPITKEGMNCTVAGEQMPCRLLKFQENYKFRDYVSPKTSGTGAPNKGMGAFIKDLKEQFNTVDFVYVWHALCGYWGGLRPNVPGLPETKVVQPELSPGAKKTMEDLAVDKIVSTGVGLVPPEMVDQLYEGIHSHLEKVGIDGVKVDVIHLLEMLCENYGGRVELAKAYYRALTDSVRKHFKGNGVIASMEHCNDFMFLGTEAICLGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAEFHAASRAISGGPIYVSDTVGKHNFPLLKRLVLPDGSILRCQYYALPTRDCLFEDPLHDGKTMLKIWNLNKYTGVIGAFNCQGGGWCRETRRNQCASQFSNMVTAKTNPKDIEWKSGKNPISIEAVQVFALYLSQSKKLVLSKPAESIEISLEPFNFELITVSPVTVLAGKSVHFAPIGLVNMLNAGGAIQSLAYDEFESSVEIGVKGAGEMRVFASDKPRACKIDGKDIGFEYEGQMVIVQVPWSSPAGLSTIEYLF >EOY03326 pep chromosome:Theobroma_cacao_20110822:4:12637544:12648452:-1 gene:TCM_018243 transcript:EOY03326 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MMATRMEDIQRVVEGRPTVQESPSSQGQADHQHHEEERGHLDISLPDFLKLKPPTFSGSDASEKPQVFLDKMEKICKALGCSSVRSVELAAFQLEDVAQEWYSSLCRGRPTNATPLAWSEFSVAFLDRFLPLSVRNARAREFETLVQTSSMTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVEPLFRAVASRDFTTYSTAVDRAQRIEMRTSESRAARDRAKRCKTEGYQGRRDFSGGGWDREPSVLGGSKILDRVVKSFALVILVGDDIVDGASLLQKLVTGAVNLGILGGIVRWHINHQILLVVPPSQLHLLRQLLSHLAGRGQARVFALTQQEAQTSNAVVSGILSVCNMNARVLFDPGATHSFISTCFASRLGRGRVRREEQLVVSTPLKEIFVAEWEYESCVVRVKDKDTSVNLVVLDTLDFDVILGMNWLSPCHASVDCYHKLVRFDFPGEPSFSIQGDRSNAPTNLISVISARRLLRQGCIGYLAVVKDSQAKIGDVTQVSVVKEFVDVFPEELPSLPPEREVEFCIDLIPDTRPISIPPYRMAPAELKELKDQLEDLLDKGFIRPSVSPWGAPVLFVKKKDGSLRLCIDYRQLNKVTVKNKYPLPRIDDLFDQLQGAQCFSKIDLRSGYHQLRIRNEDIPKTAFRTRYGHYEFLVMSFGLTNAPAAFMDLMNRVFKPYLDKFVVVFIDDILIYSKSREEHEQHLKIVLQILREHRLYAKFSKCEFWLESVAFLGHVVSKEGIRVDTKKIEAVEKWPRPTSVSEIRSFVGLAGYYRRFVKDFSKIVAPLTKLTRKDTKFEWSDACENSFEKLKACLTTAPVLSLPQGTGGYTMFCDASGVGLGCVLMQHGKVIAYASRQLKRHEQNYPIHDLEMAAIVFALKIWRHYLYGETCEIYTDHKSLKYIFQQRDLNLRQCRWMELLKDYDCTILYHPGKANVVADALSRKSMGSLAHISIVRPILMDKIKEAQSKDEFVIKALEDPQGRKGKMFTKGTDGVLRYGTRLYVPDGDGLRREILEEAHMAAYVVHPGATKMYQDLKEVYWWEGLKRDVAEFVSKCLVCQQVKAEHQKPAGLLQPLPVPEWKWEHIAMDFVTGLPRTSGGYDSIWIVVDRLTKSAHFLPVKTTYGAAQYARVYVDEIVRLHGIPISIVSDRGAQFTSRFWGKLQEALGTKLDFSTAFHPQTDGQSERTIQTLEAMLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWLEVGERKLLGPELVQDATEKIHMIRQRMLTAQSRQKSYADNRRRDLEFQVGDHVFLKVSPTKGVMRFGKKGKLSPRYIGPFEILEKVGAVAYRLALPPDLSNIHPVFHVSMLRKYNPDPSHVIRYETIQLQDDLTYEEQPVAILDRQVKKLRSKDVASVKVLWRNHTSEEVTWEAEDEMRTKHPHLFDM >EOY06511 pep chromosome:Theobroma_cacao_20110822:4:32134628:32140064:1 gene:TCM_021208 transcript:EOY06511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine methyltransferase 4A isoform 1 MMEGSAGLKHKHLEFTLAAVSELSSSSVSSSSSYTPVIARFSADGGVAELRFRQGSEFIDGFNVDLGTAQLFKLGPVKSLCVSESSETNKERPYSWGVTIEFRNEEESRDFHSAFEQWKEEDVVQGTHLPNGAISASKSKFDNKIEPSSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRADFTGHVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPTLGQRITVIKGKIEEVELPEKADILISEPMGTLLVNERMLESYIIARDRFLVPNGKMFPSIGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLTPLYGSAFQGYFSQPVVDAFDPRLLVSPPMCHVIDFTEVKEEDLYEIDIPLKFTASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGAPTTHWYQIRCVLSQPIYVMAGQEITGRLHMIAHNAQSYTIYLTLSAKMWGPGAEQGGILQTSSCKLDLKEPYYRMSQPQPYTLAQDQQPHQLLQAQDIPIHAEDLEEPELLQQPSENSGAQLQ >EOY06512 pep chromosome:Theobroma_cacao_20110822:4:32134628:32140235:1 gene:TCM_021208 transcript:EOY06512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine methyltransferase 4A isoform 1 MMEGSAGLKHKHLEFTLAAVSELSSSSVSSSSSYTPVIARFSADGGVAELRFRQGSEFIDGFNVDLGTAQLFKLGPVKSLCVSESSETNKERPYSWGVTIEFRNEEESRDFHSAFEQWKEEDVVQGTHLPNGAISASKSKFDNKIEPSSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRADFTGHVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPTLGQRITVIKGKIEEVELPEKADILISEPMGTLLVNERMLESYIIARDRFLVPNGKMFPSIGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLTPLYGSAFQGYFSQPVVDAFDPRLLVSPPMCHVIDFTEVKEEDLYEIDIPLKFTASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGAPTTHWYQIRCVLSQPIYVMAGQEITGRLHMIAHNAQSYTIYLTLSEQGGILQTSSCKLDLKEPYYRMSQPQPYTLAQDQQPHQLLQAQDIPIHAEDLEEPELLQQPSENSGAQLQ >EOY06513 pep chromosome:Theobroma_cacao_20110822:4:32134796:32139482:1 gene:TCM_021208 transcript:EOY06513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine methyltransferase 4A isoform 1 MMEGSAGLKHKHLEFTLAAVSELSSSSVSSSSSYTPVIARFSADGGVAELRFRQGSEFIDGFNVDLGTAQLFKLGPVKSLCVSESSETNKERPYSWGVTIEFRNEEESRDFHSAFEQWKEEDVVQGTHLPNGAISASKSKFDNKIEPSSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRADFTGHVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPTLGQRITVIKGKIEEVELPEKADILISEPMGTLLVNERMLESYIIARDRFLVPNGKMFPSIGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLTPLYGSAFQGYFSQPVVDAFDPRLLVSPPMCHEEDLYEIDIPLKFTASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGAPTTHWYQIRCVLSQPIYVMAGQEITGRLHMIAHNAQSYTIYLTLSAKMWGPGAEQGGILQTS >EOY05516 pep chromosome:Theobroma_cacao_20110822:4:28859297:28860391:1 gene:TCM_020500 transcript:EOY05516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFHLIVTPSGWVIERVAVWLSKNIQPINLNEMKEHLKNASKHILESWQMLGAQNRQGSPSFDYGKGVRGLSRSRERDFTLYGDARCCFYVGRSKSFEEISRHYMPTGLLASDGDWFFWIELVELYA >EOY03562 pep chromosome:Theobroma_cacao_20110822:4:17148178:17152470:-1 gene:TCM_018676 transcript:EOY03562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase 1 MEAKLLRASSPALPAANGTTKFSRPRYLLTLQTREFPRFKFDCRASLSKKNIQSLHASTTSVGPMGMKKRVDESENLTLEAVRHSLIRQEDSIIFSLLERAQYCYNADTYNPDAFSMDGFHGSLVEYMLRETEKLHAQVGRYKSPDEHPFFPDELPDPLLPPLQYPQVLHPIADSINVNNQVWEMYFRNLIPRLVKKGDDGNCGSTAVCDTMCLQALSKRIHYGKFVAECKFQASPDAYRSAIKRQDREILMDTLTYQAVEEAIKKRVAMKARTYGQVVSANTEEDGGNPVYKIKPSLVANLYGKWIMPLTKEVQVEYLLRRLD >EOY05251 pep chromosome:Theobroma_cacao_20110822:4:27727918:27731141:1 gene:TCM_020295 transcript:EOY05251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKIFFCIQKICINPYFYLYYIVYLKTMADDLKNIAKRKTRILIEVARDLCKSILDKRNLYYFFINYFFNQLSAKNRIYILNNSMENSADPESSNSRASTDSTFLETLQLSIGNLCLKNGKVEIDGSAPTQGNRASSSLPLNGSLTSILRGPGLNKRESMLSSSKKVSILPSLSHMNLNGVGYQPYGFSENLTGKTLNTEYGFEEPYLHNLTSKGWKDSLISNVNLFSGLYKEKENPCLPSHPEAVTTGGNLSRSGFNMSNKSSGGFQNLRGNQIIILAMTEGGSTYFQDLVLLKDPRITQLIFEGVIEYIFQLMTDQYGRYLFQKLIELENEIQLRMIVEKLTNSNGDIFYTSIHRYGTYSIKKLIQVLEKSPLVTEVVKALCNNFWDLMVNPTGRYVIMECLDVVDSQKNDLLYIEAIDKCLQLATHERGCISLNSFISRIKGPRRDQLLNLICDHVVYLSQDPAGNFVVQHVLGLQKPFVIDKICVKLKGYYVKLSLQKGGSHLVEDCLKSSGMDHVLHEFLLSNQLLQVAKDRYGNYVLQTALRETMKTGSPLHGSLLMKLRSYLKSLQHGYGRNVLTLMTAQFKKA >EOY04702 pep chromosome:Theobroma_cacao_20110822:4:25554794:25556923:-1 gene:TCM_019891 transcript:EOY04702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca-2+ dependent nuclease, putative MGDAEWLLFKFGVDNRFFTDFILSLLSFVTMKTTYQEKTSERVTVKGSESSGGQDSIGNDTEENSGGKIDKLDIIMEKIEPIESGPAQSPLHWNHAAGVFHLALREVTEPIESVLLLCEQTIVKAQTLAQKAGVKYSVPRLPQSAGVPTLPEGVLFKMHTLPVCTSSIPDGDGINVYVNAFDLLESSMVPEDVFLTAVEISKARARKNFGKANELRQKLSEVGYHLINHENKKILARKYRLRLRGIDAPENDMPYGKEAKEELIKLVCGKQLTVLVYDMDRYGRFVADVYCHDTFVQEVMLKKGLAWHYAAYDDRPEFAVWENEARAKRIGLWASPNPKKPWDWRKDRREGK >EOY06788 pep chromosome:Theobroma_cacao_20110822:4:32954260:32960224:1 gene:TCM_021413 transcript:EOY06788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATKAKDSRTVTKVHNTLSTMEKSNHLKLCKLQPLVRYDKLKSGHLKTDVGRENSKSGHRKTDEYHMGHICLCSAAKSPRGNSSSLTMKLDLRQMKLMEEEREYEEEKEIKVTKEEEADGEDESEVEGGEEEEEDDDDDEDDDDDDGEDDDDDDDDGGEEDDDEEVQVIHSSGGPPVQSVDDDEDDDEDEDDEDDDDGEGDGGDDDDDDSDDDEEGEGEEDMGTEYLVRPVGRAEDEEDASDFEPEENGEEEDVEEEGEDEDDEDEAGGKIEAPPKRKRSDRDDSDDDDDGGEDDERPSKR >EOY04181 pep chromosome:Theobroma_cacao_20110822:4:22451753:22452527:-1 gene:TCM_019444 transcript:EOY04181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVIAAYLLAVLGGNTNPCADDLKHILDSGQFKFENNKQIKPFIDFSLLLLFWLTVEVEAGDAKIELLLSQVNGKDLAELIAPGRQKLAAMPCSGEAAAAAAVGGHAAASAASPSAAAEDKEQKIEEESDEDMCFSLFD >EOY03714 pep chromosome:Theobroma_cacao_20110822:4:18308842:18311696:1 gene:TCM_018827 transcript:EOY03714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVITRLISERDGPGVLKERFSRIFTLGTNKEGCVCEFGNWSGDNWEWHVDLRRQPFGWEENQWEHFRNTVEECCLCKDMKDTLVWKCTASGQYSVKSYCKEVLQPNINVEDPWKEIWSGLVPFRIEVFVWQLLCERVAVKHELTRRGMITNDLAKCVEVKWVAPNDVGLFFKLWNNSSVKQGELKIWKMGFYTIAWSTWLQRNEMVFRGKGWDGNQVYEVSKLRVAIWAKTRWPCEYGTVLDTYRNPTLGVVIRKLRKGRTVDELRKSNLEEMKFNVDGVAQGCPGDAGIGGVMHDDKGQIKVLFSKSIGIGDSNLAEVKAIREAFLIFSASRWAIFHSLVIESDSQNVVK >EOY06850 pep chromosome:Theobroma_cacao_20110822:4:33147714:33149528:1 gene:TCM_021451 transcript:EOY06850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPSLQTALPPELANNVIRLYRECLRRAKYIGHKQHNTALVVDMVRQQFKKHMHETDPEKIQKLKDDAARGLINHILYESEQMSGRKFSKSS >EOY06851 pep chromosome:Theobroma_cacao_20110822:4:33147491:33149629:1 gene:TCM_021451 transcript:EOY06851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 RAATREEKRKRKKEMPSLQTALPPELANNVIRLYRECLRRAKYIGHKQHNTALVVDMVRQQFKKHMHETDPEKIQKLKDDAARGLIN >EOY06818 pep chromosome:Theobroma_cacao_20110822:4:33033017:33035172:-1 gene:TCM_021433 transcript:EOY06818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 112 isoform 1 MDVKARGCSADVQSEEEMDLRRGPWTVEEDFKLINYIATHGEGRWNSLARCAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQQLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLAERIQAAAAAATATSSTSVTTTSASATTTAPNVGTGQMVLPHGVLGNDFGRAQVTPSYTPENSSTAASSDSFGTQVSPVSDLTTDYYSNIPVSNNPNPDNYFQASQAGYSDSLISPSGNYYNHGMDFQSMEQNNTNNPWLDGGDDASDNFFNPEDFYFLQQQFNFNM >EOY06819 pep chromosome:Theobroma_cacao_20110822:4:33033017:33034466:-1 gene:TCM_021433 transcript:EOY06819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 112 isoform 1 MKVKSLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQQLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLAERIQAAAAAATATSSTSVTTTSASATTTAPNVGTGQMVLPHGVLGNDFGRAQVTPSYTPENSSTAASSDSFGTQVSPVSDLTTDYYSNIPVSNNPNPDNYFQASQAGYSDSLISPSGNYYNHGMDFQSMEQNNTNNPWLDGGDDASDNFFNPEDFYFLQQQFNFNM >EOY05145 pep chromosome:Theobroma_cacao_20110822:4:27361779:27365018:1 gene:TCM_020228 transcript:EOY05145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 4 isoform 1 MPGNRYYGGNEFIDEIENLCRARALQAFHLDPTKWGVNVQPYSGSPANFAAYTAILQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSSTGYIDYDKLEEKALDFRPKLIICGGSAYPRDWDYARFRAVADKCGALLLCDMAHISGLVAAQEAANPFEFCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEDAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQAMAPGFKAYAKQVKANAVALGNYLMSKGYKLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLLEKDFEQIGEFLHQAVTITLNIQKQYGKLLKDFNKGLVNNKEIEELKADVEKFASSFDMPGFKMSEMKLGRCLC >EOY05144 pep chromosome:Theobroma_cacao_20110822:4:27361516:27364792:1 gene:TCM_020228 transcript:EOY05144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 4 isoform 1 MDPVNAWGNSSLESVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDEIENLCRARALQAFHLDPTKWGVNVQPYSGSPANFAAYTAILQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSSTGYIDYDKLEEKALDFRPKLIICGGSAYPRDWDYARFRAVADKCGALLLCDMAHISGLVAAQEAANPFEFCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEDAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQAMAPGFKAYAKQVKANAVALGNYLMSKGYKLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLLEKDFEQIGEFLHQAVTITLNIQKQYGKLLKDFNKGLVNNKEIEELKADVEKFASSFDMPGFKMSEMKYKD >EOY06185 pep chromosome:Theobroma_cacao_20110822:4:31239666:31247105:1 gene:TCM_020999 transcript:EOY06185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein, putative MSAILMVLFFCLAIVNISFNEGCIESERRALFMFKQDLINHANRLASWTVDKDCCDWVGVVCDNVTGHVLQLHLTNPLSTPNTFASPAENEAFERSKLRGKINPSLLMLKHLNYLDLSNNAFEGIPIPKFLGSIESLRYLNLSRAGFEGFVPHQLGNLSSLQILDLHADDESYLYVANLQWLSGLSSLEHLDLGNVNLTKVSNWLKVLNTLPSLQKLYMLGCQLPQVSPPTNLNLSSLAILDLSFNSLENTLVDWIFQLKSLVSLDLSSNNFQGCIFDGLENMTSLTHLDLSDNLFNSSIPDWLYNLNSLQFLSLRSNNLQGLISSAVGNMSSAVSLDFSGNELEGKIPRSMGNLCNLKSIDYSGVNLSQDISDILEILSGCVSKQLDFLGLGGCQLSGQLINRLGCFKNLKVLALDNNSISGPIPWSIGQLSSLSVLILSRNKLTGHLPKSVGLLANLELFTIGFNLLSGVVSEIHFDNLTKLKALSASRNPLVLKVSPNWFPPFQLITLHLISSHIGPQFPLWLGSQKYLTHVDISNSGISDSIPSWFWNSPFQVQYFNLSHNQIHGQIPDIPRTAFVDSVIDLSFNNFSGPLPQVSSNVSFLDLSNNFLFGSLFPLLCHKLKETMKTKILILGKNFLFGEIPNCWMNWQNLMILNLENNKFIGRIPSSMGTLHSLQSLHLNGNQLSGEIPLSLKNCTNLVLLDLNDNELYGHIPKWLGHDFPKLKVLILRSNKFSGYIPDQLCGLDSLQVLDLAYNNLFGSLPRCLSNFSAMVKTSGTTETDITLAASILNSQIFEKINISSSCVASIMMKGQMLEYSTTLDLVRSIDFSNNKLSGEIPVEVTNLLGLRSLNLSNNLLTGTIPKNIGLMGTLESVDFSLNKLSGRIPESMSTLTFLNHLNLSYNNLIGQIPLSTQLQSLEPSNFVGNQLCGLPLPNKCSANGTIQNSRNGKGENDKGFVTHWFWFGMAYGFVVGFWSVFLPLVIDRRRWRSICALFTFQKNLEIDNFTNAGFNKIM >EOY03880 pep chromosome:Theobroma_cacao_20110822:4:20162824:20166575:1 gene:TCM_019092 transcript:EOY03880 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein, putative MPVFGFHDPESFVQLVQKSRVIIMLVKVGAPVDQTIKTLSKYMEKGDCIIDGGNKWHGNTERREKAMAKLGLLYLGMGVSAQVPDNGPCVTYIGEGGSGNFVKMDDEGDGDLVDNVLDKTGMKGTGKWTVQSRGTDVEQTPNQAPITKECHLMIV >EOY05046 pep chromosome:Theobroma_cacao_20110822:4:26957867:26960442:1 gene:TCM_020152 transcript:EOY05046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set superfamily protein isoform 1 MSAAVGNFSASKEIGFNKNETKNEDLRDNENNKFVDEAEENDHHKDEDDHHESEHDEEDDAKLISEKALDLGPQFSLKEQLEKDKKDDESLRRWKEQLLGSVDMSAVGESKEPQVKILSLSILCPGRPDIILPIPFTSKPKSSLFTLREGSRYRLKFSFTVSNNIVSGLKYTSTIWKTGVRVDNTKLMLGTFSPQKEPYTYELEEDTTPSGLFARGSYSARTKFADDDGKAYLDVSYHFEIQKNWPSSSRP >EOY05045 pep chromosome:Theobroma_cacao_20110822:4:26958013:26960412:1 gene:TCM_020152 transcript:EOY05045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set superfamily protein isoform 1 MSAAVGNFSASKEIGFNKNETKNEDLRDNENNKFVDEAEENDHHKDEDDHHESEHDEEDDAKLISEKALDLGPQFSLKEQLEKDKDDESLRRWKEQLLGSVDMSAVGESKEPQVKILSLSILCPGRPDIILPIPFTSKPKSSLFTLREGSRYRLKFSFTVSNNIVSGLKYTSTIWKTGVRVDNTKLMLGTFSPQKEPYTYELEEDTTPSGLFARGSYSARTKFADDDGKAYLDVSYHFEIQKNWPSSSRP >EOY06219 pep chromosome:Theobroma_cacao_20110822:4:31320405:31321647:1 gene:TCM_021020 transcript:EOY06219 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein with a domain of Uncharacterized protein function MVFRAKRMTSRVDSSSTKRRKSPDDLSVFDLSSWNLLGEEFVSKTPWLMLPSKEVERGDGTEATLRAYSGFLKLGESEVCSMKKIPKELRESCCIGSSNGWPIFLEEKAVPFLFHPFRQVKIALPSLYGLLGLLRMERTAEGDFEVERFNYPKARYGKQELRYYFIRKAILTGEPDCNNKKYSMILLFKDGKIAYHESGGSCWTEVLDTRHAPYQDIICHENHLFALSEGNNIEIWNCEDDFM >EOY06026 pep chromosome:Theobroma_cacao_20110822:4:30677310:30685604:1 gene:TCM_020880 transcript:EOY06026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type one serine/threonine protein phosphatase 6 MFNTCLTGRCAGKGWRGGLCPGRGLYSGNIVFSSSSSKVGGLCFGGGLLGLPSRVSVSEFCSKQVSSAGGWRPLALGCDQQIIERRRAAPQEAVITPAKDRSIGGRGWAMEPRVLDGIINRLLEVRGKPGKQVQLSESEIRQLCLVSKDIFLMQPILLELEAPIKICGDIHGQYSDLLRLFENGGFPPRANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIEEKIFCMHGGLSPELRNLDQIRNLKRPTDVPESGLLCDLLWSDPSKDIQGWGPNDRGVSYMFGADRVIDCLKKLDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAAAMMSVDETLMCSFQILKPADKKPKFGFGTLTPTKPSTPSSRIKSFLGAKEQEQEEGCHLISPLDETIKTKGISSFGLWHGIICFSGTQRTERVSRLSLPFKTANSITSNGDSSRRE >EOY02385 pep chromosome:Theobroma_cacao_20110822:4:626733:628439:1 gene:TCM_016878 transcript:EOY02385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, zinc-binding dehydrogenase family protein METTSSASNIPSKMKAWVYAHHGKPVDVLKLDLDVAVPQLTEDQVLIKVVAAGLNPVDFKRMLGMFIGADSPLPTVPGYDVAGVVLKVGSQVKTLKEGDEVLGADLAIDYTKDNFEDLPEKFDVVYDAVGQSERAVKAVKEGGQVVTIEPMKALTAPAFRFILTSSGAMLERLNPFLENGKVKPVIDPRGTFPFSQTPEAFSYLETGRVTGKIVLHPIP >EOY04321 pep chromosome:Theobroma_cacao_20110822:4:23871755:23878262:1 gene:TCM_019604 transcript:EOY04321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPYIGKPTMGLLQARKMEWAHVHTKLGQTFRNFRFPLPRARQYTCGLCLKSNSTVLTSMFILVLLQRVFDLEGGDTRNR >EOY03964 pep chromosome:Theobroma_cacao_20110822:4:20875587:20879496:1 gene:TCM_019192 transcript:EOY03964 gene_biotype:protein_coding transcript_biotype:protein_coding description:R2R3 MYB transcription factor splice variant 1 MYRKPMEGLSLGVRKGAWTEEEDILLKKCIEKYGEGKWHQVPSKAGLNRCRKSCRLRWLNYLKPNIKRGDFAADEVDLIIRLHKLLGNRWSLIAGRLPGRTANDVKNYWNTHLLKKFNPSKQKARDDDQNPSNPNNIVIKPRPRTLSKKSFPVQLGGTNINDNNSEAATASHNLLADADNSYYIPADNDQIIWWENLLINDNDAVDVQHAAASFNNSNTKSGTGDQTVLNSIDKYEEIGERTMVVEVGNNSSWDELFRDVQLWNVFNPEPEDYV >EOY06157 pep chromosome:Theobroma_cacao_20110822:4:31137576:31140480:-1 gene:TCM_020970 transcript:EOY06157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQQKGELLFSGRNWRLLFRAGALSFSIPSWFLTSLPRLCRGFDEEHTSMRVRKHVIVLDLDSNINLLC >EOY03290 pep chromosome:Theobroma_cacao_20110822:4:11049089:11049929:1 gene:TCM_018124 transcript:EOY03290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIFCVINALICQMQFIHWNCFCIILHFLKGLCFQIAYLSLQWNPIFLCCLMDVNQMPWFVKLILSKL >EOY04423 pep chromosome:Theobroma_cacao_20110822:4:24279011:24283372:-1 gene:TCM_019682 transcript:EOY04423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase 2 MVSSQLTGLTKAGTCKPVDAQLLFPVSPLEAVVPDQVELDFSDVFGPPPVQASIDVSCGELDNTVSAAVATALVYDEPAIVYSRSHSLRGPSSCVGQCLNLSKLTLSETGDSGHMVGCVKGETISEVEEQSLGDGDDKKFVEDVNGDHMKVRSIDIEDFEVLKVVGQGAFGKVYQVRKKGTPEIYAMKVMRKDKIMEKNHAEYMKAERDILTKVDHPFIVQLKYSFQTKYRLYLVLDFINGGHLFFQLYHHGLFREDLARVYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHVMLTDFGLAKQFDENTRSNSFCGTLEYMSPEIIAGKGHDKAADWWSVGILLYEMLTGKPPFIGGNREKVQQKIVKERIKLPSFLTGEAHSLLKGLLHKDASKRLGSGPTGSEEIKRHKWFKPINWKKLEAREIQPCFRPEVAGKHCIANFDKRWTDMSLSFSPASSPKTNVNPFTDFTYIRPITPCRQSSALR >EOY03891 pep chromosome:Theobroma_cacao_20110822:4:20231504:20234891:-1 gene:TCM_019107 transcript:EOY03891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein CONSTANS-LIKE 2 MLKEETSDASGGGGSGNNWARVCDTCRSAACTVYCQADSAYLCAGCDARVHAANRVASRHERVWVCEACERAPAAFLCKADAASLCTTCDAEIHSANPLARRHQRVPILPISGCLYGPSATELRGRKMASAAETEDGFMDPEGDETIAEDEDEAASWLLLNPGKNSTNQRNGCLFGGEVDDYLDIVEYNSSVENHITDQYNQQQQHYSVPNKSYGGDSVVPIQSGEAKDHLQQQQHQQQTLQFGLDYESSKAAYSYNGSVSHSVSLSSVDVGIVPESTMSDISISHRRPPKGTIDLFSGPSIQMPTQLTPMDREARVLRYREKKKTRKFEKTIRYASRKAYAETRPRIKGRFAKRTDVEVEVDQMFSTTLMTETGYGIVPSF >EOY03692 pep chromosome:Theobroma_cacao_20110822:4:18014775:18022667:1 gene:TCM_018791 transcript:EOY03692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPPRRECPPLTRSVGRGKGRSQRRQLDAVGEESAASTIRAAPAAEQADSPPHPPPPPPPTGVPTMPTEAAQALAAFFTAMAGGAPTGQVPTIVPPVTPLVSPPIQDVSISKKLKEARQLGCVSFTVKSRSTTPQTWFDFLKEFDGQYFTYFHQKEKKREFLSLKQGNLTVEEYETHFNKLMLYVPDLVKSEQDQASYFEEGLRNEIRERMTVTGREPHKEVVQMALRAEKLATENRTIRTEFAKRRNPGMSSSQLVKRGKDSAISGSTTSVFVTSPRPPFPPSQQRPSRFSRSAMTGSRKSFGGSDRCKNCGNYHSGLCRGPTRCFQCGQTGHIRSNCPRLGRATTVASSSPVHTDMQRRDSSGLPLRQGVAIRSGVESNTPAHPPSRPQTRTSTRVFAVTEDEARVRPGAVTGTMSLFDKDAYVLIDSVSDRSYVSTTFASIADRNFSPLVEEIQVKAEHQRPAGTLQSLLVPELKWEHVTMDFVLGLPRTQRGKDAIWVIVDRLTKSAHFLAVHSTYSIEKLAQLYIDEIVRLHGVPVFIVSDQDPRFTSRFWPKFQEALGTKLKFSTAFHPQTDGQSERTIQTLKDMLRACVIDFIGSWDRHLPLVEFAYNNSFQSSIGMAPYEALYGRKCRTPLCWDEVGERKLVSVELIELTNDKIKVIRERLKEESLSEKAKKSYPTSSDRGA >EOY05877 pep chromosome:Theobroma_cacao_20110822:4:30146518:30153541:1 gene:TCM_020769 transcript:EOY05877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MTVKSHPYYFWRHEMDRSKLVLSLLDRAQSLKPLKSIHARLLIDGSIASSDLVLNKFLRFYARFGSIQYAHKLFDQIPQPNAFLWTALIHGYVEHRNYQEVLSLFCHMCKKSVFPLNFTLASVLKGLARLKRVIDGEAVYGLGLKCGLGFDLIVQNAVIDLFMRCGEVDLARRVFNEMEEKDLVSWNSMILGYGRNGRVDLARETFDEMSERNVISWTSMIQGYIKAGDIEEARILFDRMSTKDLASWNVMVSGYMDVGDLGSAQSIFEAMPVRDAGTWNLMIAGYCKVGEMEVAKDFFDKMPRKNIASWTIMIDGYVKAGDVSTGRCLFDQMPEKNLVSWSTMIGGYARNGQPCDALELYKQFKKQGVKPDEAFVLAIISACSQLGILDAAESIINDFTGPLLFSSLRIVTSLIDMYAKCGCIDKAVQVFKMAYQKDLLCYSTMITAFANHGMAQDAISLFEDMQRTNIRPDGVAFLGVMTACNHGGLVSEGRRYFKQMLEEYRIQPSEKHYACIVDLLGRAGCLEEAYKLIRNMPILPSAVVWGALLAACRVHCDVQLAEIAADELFKIEPDNSGNYILLSNTYAAARRWDGVARMRAMIRKNQVRKNRASSWIELGCVVHEFVMGDALHIDSERIYFTLYLISEDMKLLGCLRDSRREEVLPSHAFWLSDVYPYNILEDG >EOY05878 pep chromosome:Theobroma_cacao_20110822:4:30146525:30149941:1 gene:TCM_020769 transcript:EOY05878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MTVKSHPYYFWRHEMDRSKLVLSLLDRAQSLKPLKSIHARLLIDGSIASSDLVLNKFLRFYARFGSIQYAHKLFDQIPQPNAFLWTALIHGYVEHRNYQEVLSLFCHMCKKSVFPLNFTLASVLKGLARLKRVIDGEAVYGLGLKCGLGFDLIVQNAVIDLFMRCGEVDLARRVFNEMEEKDLVSWNSMILGYGRNGRVDLARETFDEMSERNVISWTSMIQGYIKAGDIEEARILFDRMSTKDLASWNVMVSGYMDVGDLGSAQSIFEAMPVRDAGTWNLMIAGYCKVGEMEVAKDFFDKMPRKNIASWTIMIDGYVKAGDVSTGRCLFDQMPEKNLVSWSTMIGGYARNGQPCDALELYKQFKKQGVKPDEAFVLAIISACSQLGILDAAESIINDFTGPLLFSSLRIVTSLIDMYAKCGCIDKAVQVFKMAYQKDLLCYSTMITAFANHGMAQDAISLFEDMQRTNIRPDGVAFLGVMTACNHGGLVSEGRRYFKQMLEEYRIQPSEKHYACIVDLLGRAGCLEEAYKLIRNMPILPSAVVWGALLAACRVHCDVQLAEIAADELFKIEPDNSGNYILLSNTYAAARRWDGVARMRAMIRKNQVRKNRASSWIELGCVVHEFVMGDALHIDSERIYFTLYLISEDMKLLGCLRDSRREEVLPSHAFWLSDVYPYNILEDG >EOY04757 pep chromosome:Theobroma_cacao_20110822:4:25800216:25802371:1 gene:TCM_019942 transcript:EOY04757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 9 domain, putative isoform 1 MKPSLMGTLNLKFSLIPLTGFLQNPQNHKRQHPSTVTCGLRGGTRKHLWRSRVLSAEAIQAVHSLKLANSNSKLQHVFSNKLSRLLKADLLDTLAELQRQNEFHLALEVFEFVRKEVWYKPDLSLYCDMIQLLGKNRMTEMAERVFTELDKEGLKPDTRAFTEMIGAYLIVGMTDKAMETYEMLKASGCCPDKLTFTILIRNLENAGREDLAAVLKKDCTEYLEYPERFLEQVQQKHLLVHILASREQALVRLSYEDTGDFHWIRGMCRTVV >EOY04759 pep chromosome:Theobroma_cacao_20110822:4:25800263:25802374:1 gene:TCM_019942 transcript:EOY04759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 9 domain, putative isoform 1 YEKKNTRDPWAIRNPSEPKIPRREKNLKPGFAQSSNGQNPNSAIRTPTNQPFFTSFVRQATFHAMKPSLMGTLNLKFSLIPLTGFLQNPQNHKRQHPSTVTCGLRGGTRKHLWRSRVLSAEAIQAVHSLKLANSNSKLQHVFSNKLSRLLKADLLDTLAELQRQNEFHLALELLGKNRMTEMAERVFTELDKEGLKPDTRAFTEMIGAYLIVGMTDKAMETYEMLKASGCCPDKLTFTILIRNLENAGREDLAAVLKKDCTEYLEYPERFLEQVQQKHSKRRQLDLV >EOY04758 pep chromosome:Theobroma_cacao_20110822:4:25800460:25802204:1 gene:TCM_019942 transcript:EOY04758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 9 domain, putative isoform 1 MGTLNLKFSLIPLTGFLQNPQNHKRQHPSTVTCGLRGGTRKHLWRSRVLSAEAIQAVHSLKLANSNSKLQHVFSNKLSRLLKADLLDTLAELQRQNEFHLALEVFEFVRKEVWYKPDLSLYCDMIQLLGKNRMTEMAERVFTELDKEGLKPDTRAFTEMIGAYLIVGMTDKAMETYEMLKASGCCPDKLTFTILIRNLENAGREDLAAVLKKDCTEYLEYPERFLEQVQQKHSKRRQLDLV >EOY05314 pep chromosome:Theobroma_cacao_20110822:4:28042295:28043621:1 gene:TCM_020341 transcript:EOY05314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQVSRNNMSHPQASPKNSKDPKSFTKLVNLSLPVQPEASPPQLLLASRGGGHCEGYGSTISTLVCRNFGGALFLIFPRCDLIEFGYSQRHINMREAVPL >EOY02618 pep chromosome:Theobroma_cacao_20110822:4:1553828:1558283:1 gene:TCM_017045 transcript:EOY02618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein, putative MQALSIWPLNVGSLVVPHLDFELGSSCFASTKPSSRKTWSLAESRGPSFLLLSSYSRFSRSGTCYRNLNCSLRCGFLCWYSELKVVLFCEPKRGSSRGLVALAWALEQQEIGNELEREESHSRDGDNGNEDKNEEMDASSEGEVELEESARLDVRALASSLQFAKTADDIEKVLKDMDELPLQVHSSMIKGFGRDNYMDAAMALVEWLKRKKNDSGGSVGPNLFIYNSLLGAVKHSKQFREMEKILKDMEEEGVIPNIVTYNVLMAIYLEQGEATKALNVLEEIQEKGFSPSPVSYSTALLAYRRMEDGNGALKFFIELREKYVKGDLGKDADENWEYEFVKLENFTVRICQQVMRRWLVKDENLSTNVLKLLRDMDNAGLKLSKEDYERIIWACTCEEHYVVAKELYSRIRERHSEISLSVCNHLIWLMGKAKKWWAALEVYEELLDKGPSPNNLSYELVMSHFNILLTAARKRGIWRWGVRLLNKMEDKGLKPGSREWNAVLVACSKASETTAAVQIFRRMVEQGEKPTIISYGALLSALEKGKLYDEALRVWDHMIKVGVKPNLYAYTIMASIVTGKGNFRMVNAVFQEMASSGIEPTVVTYNAIISGCARNGMSSAAYEWFHRMKVQNISPNEITYQMLIEALAKDGKPRLAYELYLRAHNEGLNLSSKAYDAVVQSSQVYGATTDLSVLGPRPPDKKMKVQIRKTLTEFCNLADVPRRSKPFDRKEIYIPKKGGDQ >EOY05480 pep chromosome:Theobroma_cacao_20110822:4:28705082:28718585:-1 gene:TCM_020471 transcript:EOY05480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin XI B isoform 1 MLNIIDAVVFQHVFKMEQEEYKKEAIDWSYIEFIDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKDHKRFSKPKLARTDFTICHYAGDVTYQTELFLDKNKDYVVPEHQALLGASECSFVSSLFPPLPEESSKSSKFSSIGSRFKQQLQALLEILSATEPHYIRCVKPNNALKPAIFENNNVLQQLRCGGVMEAIRISCAGFPSRKMFREFIGRFAILAPEVLHGSGSYNEVTASKRILEKGNLSGYQIGKTKVFLRAGQMAELDARRSEVLGRSASVIQRKVRTYLGRKRFMLLRLSAIQIQALCRGQVTRHQYERMRREAACLNIQKHSRKFLGRKAYRRLYFSAVSIQAGMRGMTARNELLFRKQTRAATVIQSHCRRFLARLYFLRLKKAAIATQCAWRVRVARRELRKLKMAAKETGALQEAKSKLEKQVEELTWRLQLEKRMRVDLEESKNQENAKLQSALQKMQVEFQETKELLIKECEAAKSIAEKVPVIQEVPVIDDELMNKLTAENEQLKALLSSLEHKIDETERKYEETNKLSEERLKQALEAESKIIELKTAMQRLEERILDMETEDQILRQQALLSAPSRKMSEHLSIATSAPLENGHHAQLSSGPSKTFGREDSKLRRSHIERQQENVDALIKCVTQNLGYSQEKPVAAFTIYKCLLHWRSFEAERTNVFDRLIQMIGSALEDQDNNDHMAYWLSNTSALLFLLQRSLKSSGSSAAQKPPAPTSFFSRMTQSFRSSSANLPIGVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNLKKDLSPLISCCIQVPRTSKGTAFKTSEESQGDTSPASHWHSIIECLDRLLCTLKENFVPPILAQKIFTQIFAYINVQLFNSLLLRRECCTFSNGEYVKSGLAELELWCAQVKEEYAGPSWAELKHTRQAVGFLVIHQKSRISYDEITNDLCTVLSVQQLYRVCTLYRDDNYNTQSVSPDVISSMKLLMTGDSEDDGGSSFLLDDDSSIPFSVEDFTGSLQVKEFADVKPAAELIENPAFQFLQD >EOY05479 pep chromosome:Theobroma_cacao_20110822:4:28704409:28722494:-1 gene:TCM_020471 transcript:EOY05479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin XI B isoform 1 MVAPSNIIVGSHVWVEDAEVAWIDGEVKEINGEEIKVNCTSEKTIVAKTSSVYPKDPEFPSCGVDDMTKLAYLHEPGVLQNLRCRYDINEIYTYTGNILIAVNPFRRLPHLYDNHMMEQYKGAALGELSPHPFAVADSAYRQMINEGISQSILVSGESGAGKTESTKMLMRYLAYMGGRANKEGERSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDQRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVEKYQLGNPRTFHYLNQSNCYELDGLDDSKEYLDTRRAMDVVGISQAEQDAIFRVVAAILHLGNVEFAKGQETDAAEPKDDKSRFHLKTAAELFMCDKKSLEDSLCKRVIVTRDESITKSLDPDAAAVSRDALAKIVYSKLFDWLVDKINVSIGQDPESKFLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEAIDWSYIEFIDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKDHKRFSKPKLARTDFTICHYAGDVTYQTELFLDKNKDYVVPEHQALLGASECSFVSSLFPPLPEESSKSSKFSSIGSRFKQQLQALLEILSATEPHYIRCVKPNNALKPAIFENNNVLQQLRCGGVMEAIRISCAGFPSRKMFREFIGRFAILAPEVLHGSGSYNEVTASKRILEKGNLSGYQIGKTKVFLRAGQMAELDARRSEVLGRSASVIQRKVRTYLGRKRFMLLRLSAIQIQALCRGQVTRHQYERMRREAACLNIQKHSRKFLGRKAYRRLYFSAVSIQAGMRGMTARNELLFRKQTRAATVIQSHCRRFLARLYFLRLKKAAIATQCAWRVRVARRELRKLKMAAKETGALQEAKSKLEKQVEELTWRLQLEKRMRVDLEESKNQENAKLQSALQKMQVEFQETKELLIKECEAAKSIAEKVPVIQEVPVIDDELMNKLTAENEQLKALLSSLEHKIDETERKYEETNKLSEERLKQALEAESKIIELKTAMQRLEERILDMETEDQILRQQALLSAPSRKMSEHLSIATSAPLENGHHAQLSSGPSKTFGREDSKLRRSHIERQQENVDALIKCVTQNLGYSQEKPVAAFTIYKCLLHWRSFEAERTNVFDRLIQMIGSALEDQDNNDHMAYWLSNTSALLFLLQRSLKSSGSSAAQKPPAPTSFFSRMTQSFRSSSANLPIGVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNLKKDLSPLISCCIQVPRTSKGTAFKTSEESQGDTSPASHWHSIIECLDRLLCTLKENFVPPILAQKIFTQIFAYINVQLFNSLLLRRECCTFSNGEYVKSGLAELELWCAQVKEEYAGPSWAELKHTRQAVGFLVIHQKSRISYDEITNDLCTVLSVQQLYRVCTLYRDDNYNTQSVSPDVISSMKLLMTGDSEDDGGSSFLLDDDSSIPFSVEDFTGSLQVKEFADVKPAAELIENPAFQFLQD >EOY05165 pep chromosome:Theobroma_cacao_20110822:4:27451608:27453921:-1 gene:TCM_020242 transcript:EOY05165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 20 MRCGCGLAAIPGTDARFFVPDTSFIRLRRPVDPTRVQFGSLKIKARINEARKEVSFYELLGIPETGTLPEIKQAYKQLARKYHPDVSPPDRVEEYTQRFIRVQEAYETLSDPRRRASYDRDLALGIHLAFSARRRYQYDEELEERSEWKNRWQSQLSELKRRSMNRDARGNMSWGARMRRQRDESSREQ >EOY06539 pep chromosome:Theobroma_cacao_20110822:4:32218298:32219046:-1 gene:TCM_021225 transcript:EOY06539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific Stig1 family protein FSHRIGPAFLVKDNDTKSPISSVPCNVPMLSANSHFRYAVKNCLLFITLVLIETSDDGFAAGRELSLQDSDDASTHLNFFRAASRGRQRVLSCARDAKICLDREKNPWGGSTCCFQQFCRDTLRDSNNCGACGACGQACAYGLVCCDGKCVDVQNDPHNCGSCFQECPGQ >EOY04954 pep chromosome:Theobroma_cacao_20110822:4:26618203:26624805:-1 gene:TCM_020095 transcript:EOY04954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MSESENFGHEHPLVLNEGQSKPSEEAYCSRCEEEVTLSAPSFSCVECGFYLHKRCAEAPLEINHPFHPKHPLLLLQSSPYAPGLVCICDFCDEICEASIYHCSCGLDFHIACALFTYNIAQKNVEELQHVALEDPLISTENDGEELESFHCFGCWKPLLSSTYFSLDCGFHLHKKCAELPLKINHMCHRKHPLVLQFNSLRLSCNICEETRRRGFVYCCLPCKVAVHIECVSTAPPPIIEDKSHQHPFTLFWIQFPFICSACGTEGNCAAYMCSTCSIIVHKKCISLPRIIKHKWHHHLIFHKYFLHEDNFESWDCIICHEDVNAAHGCYFCSDCKITAHVNCATKEKNWYYEVSPENQDEESTNSLALLPDESIDSITCVIERNDAGEATKIKHFKHMHELMLSEKIAEYDKYCEGCMLPISASFYCCLECDFFLHKACAELPKMMKHVWFHYCQQSSFILISDCIFRCESCRHLSNGFACRCNECGDRVCLRCMSLTPDTLTCQGHKHPLLLYVEYEGKCCGCGDYMGQGYCCKGCNFALCVTCVALPTTTRHKCDEHVLALTYKDDNAYSECHYCDICEERRDSNHWFYYCATCDTSVHRDCVLEDYRYIKLGSIYEGEDHSHPLIFVKKIYYYPKCIKCGKPCQDLTLECVSSGCTYIIHWKCTASFYFLWKAQAEYGPYFVT >EOY06635 pep chromosome:Theobroma_cacao_20110822:4:32507834:32510520:-1 gene:TCM_021298 transcript:EOY06635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought-responsive family protein MESNSLSFRFSNPSSFSSSSRRHQSRSDLFLGGGYEEVDGDEDLKAEFLCPFCAEDFDIVGLCCHIDEDHPVEAKNGVCPVCAKRVGMDIVSHITMQHGNFLKRKRRLRKGGTNLTFAMLRKELREGNWQAFPGGSSCIVSSSNVEPDPLLSSFIFNPPTVDEPVSLQPLSIAEAHAVKESSNKEFLERKSQQSQLSDKDHEEKAQRCECIQGLLMSTILDDNL >EOY02857 pep chromosome:Theobroma_cacao_20110822:4:2675408:2679757:1 gene:TCM_017265 transcript:EOY02857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin related protein 2 MDNRNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPMLRYEESLMEQELKDIVVGETCADLRHQLDISYPVNNGIVQNWDDMCHVWDHAFYNELKINPTECKILLTDPPLNPSKNREKMVETMFEKYNFSGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITSYLVDLLSRRGYAMNRTADFETVREIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMVFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEKEILDRYLEVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWISKEDYLEDGIACLSKCGQA >EOY04126 pep chromosome:Theobroma_cacao_20110822:4:22148423:22225484:1 gene:TCM_019380 transcript:EOY04126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MHCRRFLPSLFPLSFFLVLLVIVRIPQSLGNPDGYRACRDPRFECGGISIGYPFSGDGIPPGCGHPGLQLYCENNIVTIEILDVIYQVLRIGEDNQTLQIARKDFMTDFCHPQFESSAFDSTLFNIFPGYTNVALFYDCTSAIPHSLGSYDCNGSHKNVSIIPPAFIREVCARNITVPISETSLRRIANSASLLEEALKTGFEVELNVSLKVDSKACQNAGIPVELVFLTYRINQIATAQMILGFL >EOY04125 pep chromosome:Theobroma_cacao_20110822:4:22148511:22153411:1 gene:TCM_019380 transcript:EOY04125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MHCRRFLPSLFPLSFFLVLLVIVRIPQSLGNPDGYRACRDPRFECGGISIGYPFSGDGIPPGCGHPGLQLYCENNIVTIEILDVIYQVLRIGEDNQTLQIARKDFMTDFCHPQFESSAFDSTLFNIFPGYTNVALFYDCTSAIPHSLGSYDCNGSHKNVSIIPPAFIREVCARNITVPISETSLRRIANSASLLEEALKTGFEVELNVSLKVDSKACQKCRDTGGTCGFDFQNHTNCYCLYDPGLSLKECPPPPPSQANTAEQDVNPEQQILKVAREDYWDGYCPRELINTTINFNHFDYGPGLRNLTLFYGCYLPSTSVFIFLTNCTINGAIMDVSYATRTVLGDPRPEVCHGSVIVPIYETAAQDLEVNPLTVNDALKGADKKKGLSFLLLINLTAAGCGDYHLWEVEAQQTISVGDCSEK >EOY03627 pep chromosome:Theobroma_cacao_20110822:4:17450530:17453578:1 gene:TCM_018719 transcript:EOY03627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein of acetyl-CoA carboxylase 2, putative MASSISVPCPKISSILRTNQLSQTQRISLPLPQSSTSSSKSSLSFGSSILVPAFSGCQWSNGKQTTAFKGHAQLNEVAAEKSSNSIPVVDAKPKVELPKENDKSSENTIPDVAAISAFMTQVSDLVKLVDSRDITELQLKQSDCELVIRKKEALQLPEPASPIVMPQYMPHAMFQTPPPAPPVAAPAPVSPATPAPAPSSHPPAKTGSSSHPPFKCPMAGTFYRSPAPGEPPFVKVGDKVQKGQVVCIIEAMKLMNEIEADQSGTISEILAEDGKAVSVDMPLLVIVP >EOY05344 pep chromosome:Theobroma_cacao_20110822:4:28168928:28169776:-1 gene:TCM_020363 transcript:EOY05344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATTFAFVRYKEINEMEKAINYGNGRRLDGFFITVKKADYGWDQRRKGSQRNHTTQPRKQQKDIYAKQPCINRHQWPCSSRDERTYLQALQNNMQSKSSPQQPSFNIDICQKEFEWLVRSAVGTLLNYVHHQILQEIFAEEGYQCTVKSM >EOY03263 pep chromosome:Theobroma_cacao_20110822:4:10123026:10126594:1 gene:TCM_018043 transcript:EOY03263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTPSVNRKVVNYLTHQHTIKDVFDASLDGAKLVIHIEFGEKNLVVKFDVEEQPKIPLGDCGVVGAIQANGVSDDKVKDTIKETKPRAARATSIVRWKINKGLVAYTDQPLYTNDYLILASVLVLLYQGFMIKLPTHPRPRLRRYLPTTFSRAFSTGGKGEASGKGVL >EOY02727 pep chromosome:Theobroma_cacao_20110822:4:1940908:1942212:1 gene:TCM_017116 transcript:EOY02727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLLPVFMRKLPEFVSTEGDSKRCLHLSLGLVCKLRQPWYGIDGKFSCFGTYLLRRSNRGREFGVGNEALEKDGGPPKNKKDATREIKVNQNSRAGHKTANSPDHVEDLGATTRFLFYHFGSLRPFKLCIG >EOY03954 pep chromosome:Theobroma_cacao_20110822:4:20683835:20692348:-1 gene:TCM_019174 transcript:EOY03954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKQKEHEGLSEEQAFKMAKLNKVFEKDYESSKFGPWMVAKKNYKKNAKIRSKRGEEEVILETMEQQIPKQIMIKTRNNMARFEDKETLKKNLQAYANVFNRNSVTVNAKIALSEHNLMHNKRSQIKEKSVSLAVTNMITLIKNQNAIMGVAFFNHVPKVIDPNSNISSFQEHGGKEDNLGVGVKGVAREKELT >EOY04326 pep chromosome:Theobroma_cacao_20110822:4:23894805:23900093:-1 gene:TCM_019610 transcript:EOY04326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 81 protein MLKKLGRKVKTLITKPFKRPKKPCKPPKSPSPSPSPSPPMSPPKPTKYCPFLFPGVQSSVLPDPSVFFSPKLLSTPLPTNSFFQNFTLKNGDQPEYIHPYLIKSADSSLSISYPSHFHNSSFLYQIFISDLTIFATDRTNPTSQKNHIISSYSDLSLTLDFPCSNLRFYLVRGSPFLTCFVTGNTPISISTIHAILSFSSNTSLTKHTIKLNNNQTWLIYTSSAISLNHSLSLITSGGFSGIIRIAVLPDSDPTYESILDQYSSCYPISGDAVLTKPFCLEYKWEKKGWGDLLMLAHPLHLNILSRDDSEVTVLENFKYKSIDGELVGIVGDSWVLKPHQVSVTWHSIKGVKEESYHEIVTALSKDVEALDSSAITTTSSYFYGKLIARAARLALIAEEVCFLDVIPAIRKFLKDTIEPWLDGTFNGNGFLYDSKWGGIVTKQGSTDSGADFGFGIYNDHHYHIGYFLYAIAVLAKIDPAWGRRYKPQAYTLVADFMNLGRRSNSNYPRLRCFDLYKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALMGLAYGDTHLVATGSTLAAMEIQAAQTWWHVKEGDNLYEEEFTTENRVVGVLWANKRDSGLWFAPADWRECRLGIQLLPILPISEVLFSDISFTRELVNWTLPALGREGVGEGWKGFVYALEAIYDKESALEKIRNLNGYDDGNSLTNLLWWVHSRGDEHEDKFGTEGKYCWFSHYCH >EOY06228 pep chromosome:Theobroma_cacao_20110822:4:31362586:31365385:1 gene:TCM_021030 transcript:EOY06228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSIAQLHGPPEIHTVEPTLNPSSTTNTTTSSDPIVQSLTTQAADLKLTGEPPRGRTENFSPTFLSSGNPCLDFFFHVVPDSPSDQLIQRLELAWAHDALTTLKLICNLRGVRGTGKSDKEGFYTAAIWLYSNHPKTLAFNLKSIAEFGYFKDFPEILYRILEGPESRKIQKKEFKDRKRGWKRFSKKSKPSRRFKQESDGKEEISDKEIDGVLGTVEEMGSGIDKEKARIMRKEREKAKAQRALDKYNFDSNYRFLFDCVAELFAEYLKSDIKNLNDEKLLKLSLAAKWCPSIDSSYDKATLICEGIARRVFPRESEKEYKGLEEGHYAYRVRDRLRKQVLVPLHKALELPEVYMSANEWNLLPYNRVASVAMKNYKELFAKHDNERFQEYLVKVKTGKAKIAAGALLPHEIIGSLNDKDGGEVAELQWSRMVGDLAKKGKLTNCIAVCDVSGSMEGIPMEVSVALGLLVSELSEEPWKGKVITFSANPELHLIQGDTLKDKTQFVRDMDWGANTDFQKVFDQILSVAVEGKLSEDQLIKRIFVFSDMEFDAATGNGSKYWEQMDSDEDSENDENYWGKNQMKMQARLEEWKNNRKALLQKEWETDYEVIQRKYSESGYSRVPEIVFWNLRNSSSTPVVAMQNGVALVSGFSKNLLTLFLEEGGIVNPQQVMGLAIAGEEYKKLVVYD >EOY02795 pep chromosome:Theobroma_cacao_20110822:4:2296106:2298875:-1 gene:TCM_017191 transcript:EOY02795 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD domain protein isoform 1 MESINSFWQLGDDLRGQSKVSEDHKWLMVASKLAEQTRIKGERMNNLDLSKGPAEIRTRDKFGFQEDNKFENLNFNMLNLDSKIGDNASKSSFRNSIYNMNAVYQKNNSNSLGNLAGNKYSGNNHSNKDVNNNSNTNNNNSNENSNANNAADKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLCKALEEDAFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSP >EOY02796 pep chromosome:Theobroma_cacao_20110822:4:2296050:2298972:-1 gene:TCM_017191 transcript:EOY02796 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD domain protein isoform 1 MESINSFWQLGDDLRGQSKVSEDHKWLMVASKLAEQTRIKGERMNNLDLSKGPAEIRTRDKFGFQEDNKFENLNFNMLNLDSKIGDNASKSSFRNSIYNMNAVYQKNNSNSLGNLAGNKYSGNNHSNKDVNNNSNTNNNNSNENSNANNAADKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLCKALEEDAFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSP >EOY05913 pep chromosome:Theobroma_cacao_20110822:4:30254040:30259996:-1 gene:TCM_020792 transcript:EOY05913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein isoform 1 MDETFFDLMEFLKKPTITETFVDILLCAVPIWLAVMIGLVIGWAWRPRWTSLVFLGLRSKFRFIWTAPPGFGARRLWLAFTALSALPVCRTIFSNFIKGRSKKSTASASNSAVPLPRPSSPVARISQEGAADAVSLSSQDEEGEQDIVTENDLAHLLHLFEGKDREMEWQSMMERTTPNLSYQAWRLEPESGPAVLRSRTVFEDATPEVVRDFFWDDEFRPKWDPMLAYVKILKECPHTGTMVVHWIKKFPFFCSDREYIIGRRIWDAGKTYYCVTKGVPYPGLQKRDKPRRVELYFSSWVIRAVESRKGDGQHSACEVTLVHYEDMGIPKDVARLGLRHGMWGALKKLHSGLRAYQNARKTDTSLSRSAQMARITTKISSDEIMDSLCPISGEDEKDQTVVIRRQNDNGLDWKWIVVGGTVALVFGLHSGVIGKALLLGAGQRIARRSEDDVKKMPSPSQPTKYDRVTNGQQRTSHAYHSKAPKLQLVIQRLRDSDMLLFPCRLTCSPGPRLLLSSAIGLDAWNPRADLQHGGPKLPKLALFYLTQELGHAI >EOY05915 pep chromosome:Theobroma_cacao_20110822:4:30254890:30259772:-1 gene:TCM_020792 transcript:EOY05915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein isoform 1 MDETFFDLMEFLKKPTITETFVDILLCAVPIWLAVMIGLVIGWAWRPRWTSLVFLGLRSKFRFIWTAPPGFGARRLWLAFTALSALPVCRTIFSNFIKGRSKKSTASASNSAVPLPRPSSPVARISQEGAADAVSLSSQDEEGEQDIVTENDLAHLLHLFEGKDREMEWQSMMERTTPNLSYQAWRLEPESGPAVLRSRTVFEDATPEVVRDFFWDDEFRPKWDPMLAYVKILKECPHTGTMVVHWIKKFPFFCSDREYIIGRRIWDAGKTYYCVTKGVPYPGLQKRDKPRRVELYFSSWVIRAVESRKGDGQHSACEVTLVHYEDMGIPKDVARLGLRHGMWGALKKLHSGLRAYQNARKTDTSLSRSAQMARITTKISSDEIMDSLCPISGEDEKDQTVVIRRQNDNGLDWKWIVVGGTVALVFGLHSGVIGKALLLGAGQRIARR >EOY05916 pep chromosome:Theobroma_cacao_20110822:4:30255287:30260192:-1 gene:TCM_020792 transcript:EOY05916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein isoform 1 MDETFFDLMEFLKKPTITETFVDILLCAVPIWLAVMIGLVIGWAWRPRWTSLVFLGLRSKFRFIWTAPPGFGARRLWLAFTALSALPVCRTIFSNFIKGRSKKSTASASNSAVPLPRPSSPVARISQEGAADAVSLSSQDEEGEQDIVTENDLAHLLHLFEGKDREMEWQSMMERTTPNLSYQAWRLEPESGPAVLRSRTVFEDATPEVVRDFFWDDEFRPKWDPMLAYVKILKECPHTGTMVVHWIKKFPFFCSDREYIIGRRIWDAGKTYYCVTKGVPYPGLQKRDKPRRVELYFSSWVIRAGKLESRKGDGQHSACEVTLVHYEDMGIPKDVARLGLRHGMWGALKKLHSGLRAYQNARKTDTSLSRSAQMARITTKISSDEIMDSLCPISGEDEKDQTVVIRRQNDNGLDWKWIVVGGTVALVFGLHSGVIGKALLLGAGQRIARR >EOY05914 pep chromosome:Theobroma_cacao_20110822:4:30254708:30259772:-1 gene:TCM_020792 transcript:EOY05914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein isoform 1 MDETFFDLMEFLKKPTITETFVDILLCAVPIWLAVMIGLVIGWAWRPRWTSLVFLGLRSKFRFIWTAPPGFGARRLWLAFTALSALPVCRTIFSNFIKGRSKKSTASASNSAVPLPRPSSPVARISQEGAADAVSLSSQDEEGEQDIVTENDLAHLLHLFEGKDREMEWQSMMERTTPNLSYQAWRLEPESGPAVLRSRTVFEDATPEVVRDFFWDDEFRPKWDPMLAYVKILKECPHTGTMVVHWIKKFPFFCSDREYIIGRRIWDAGKTYYCVTKGVPYPGLQKRDKPRRVELYFSSWVIRAVESRKGDGQHSACEVTLVHYEDMGIPKDVARLGLRHGMWGALKKLHSGLRAYQNARKTDTSLSRSAQMARITTKISSDEIMDSLCPISGEDEKDQTVVIRRQNDNGLDWKWIVVGGTVALVFGLHSGVIGKALLLGAGQRIARR >EOY03245 pep chromosome:Theobroma_cacao_20110822:4:9097558:9119927:-1 gene:TCM_017953 transcript:EOY03245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFDRFTNITNKLSQLGKPIPKHELVKRLLRSLPKSWKPKVTTIREAKDLNIIILDEICGSLLTHALELKEEEEEDRREAKEKKKSIALKASILEEDLEELSCDDDEELALVARKFRKLMSRRNQRLTRRGFRKNQGASWKIRNKNDSNKKAEMICYECKKLGHFKSECPLLKDETPKKNKKSKKAMVAAAWSDSDTSSFETDDEKSEERANICLMAQEDETEVPSSPCINSYDDLQDEYECLYDEFAKLFSKYKSLKKKLDKRKGGTVSFGDDSKGRIHGIDLEVNSEVCLVANAENDSWLWHRRLGHVSMHTMSKLIKKNLVAGLPELKFENDRICDACQLGKQVRISFKSKKIVSTSRPLELLHIDLFGPISTTSLGGKSYGFVIIDDYSRYT >EOY04161 pep chromosome:Theobroma_cacao_20110822:4:22362077:22367961:1 gene:TCM_019422 transcript:EOY04161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein isoform 1 MLHAISVPNTCIPYPRSRCFSQRNRGSTRLCVRASLPDNNDGFKVEYTPWLIVGLGNPGNKYHGTRHNVGFEMIDQISQVEGIALNTIQSKALIGLGAIGEVPILLAKPQAYMNFCGESVGPLAAYYQVPLRHILLIYDEMSLPNGVLRLQPKGGHGHHNGVKSVMGHLDGCREFPRLCIGIGNPPGSMDMKAYLLQKFSSVERNQIDAALEQGVEAVRTIVLNGFSHKITRFNLGQKYKYHKV >EOY04162 pep chromosome:Theobroma_cacao_20110822:4:22362006:22368138:1 gene:TCM_019422 transcript:EOY04162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein isoform 1 MLHAISVPNTCIPYPRSRCFSQRNRGSTRLCVRASLPDNNDGFKVEYTPWLIVGLGNPGNKYHGTRHNVGFEMIDQISQVEGIALNTIQSKALIGLGAIGEVPILLAKPQAYMNFCGESVGPLAAYYQVPLRHILLIYDEMSLPNGVLRLQPKGGHGHHNGVKSVMGHLDGCREFPRLCIGIGNPPGSMDMKAYLLQKFSSVERNQIDAALEQGVEAVRTIVLNGFSHKITRFNLGQKYKYHKV >EOY04163 pep chromosome:Theobroma_cacao_20110822:4:22362762:22368259:1 gene:TCM_019422 transcript:EOY04163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein isoform 1 RNRGSTRLCVRASLPDNNDGFKVEYTPWLIVGLGNPGNKYHGTRHNVGFEMIDQISQVEGIALNTIQSKALIGLGAIGEVPILLAKPQAYMNFCGESVGPLAAYYQVPLRHILLIYDEMSLPNGVLRLQPKGGHGHHNGVKSVMGHLDGCREFPRLCIGIGNPPGSMDMKAYLLQKFSSVERNQIDAALEQGVEAVRTIVLNGFSHKITRFNLGQKYKYHKV >EOY06240 pep chromosome:Theobroma_cacao_20110822:4:31401306:31404420:-1 gene:TCM_021040 transcript:EOY06240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MIYPFCSTKNPISLINPRIPRIQERYTKLSNFQLRSIFRSFQHHNPIPNSNTVNKDIKPKTQLINPIIVTNPDEVSVRIGRISKAYEERKGLSQEGFKEMLALCGLGYWVQGFRCFPWLALNFHMAHNLNLHPSTLQLVQNSGNLPMAAKPLYGILSDALYIGGAHRIPYISIGVLLQVLSWGQLALIPVAGQALPTLMACVLLSNLGASITEVAKDALVTEYGQKQRITGLQSYAFMALAAGGILGNLLGGYFLLKAPPRTMFLIFSVLLSLQLAISLSEREEGLGLAQPLDYILKRQTISEIIRKQLSDLMIAISEESIFRPLTWIVASIAMVPILSGSIFCYQTQCLRLDPSVIGMSRVIGQLMLLSLTVLYDRYWKHIPMRKLIGAVQVSYASSLLLDLVLVKQINLGMGIPNEVFALCFSGLAENLAQFKVLPFSVLLATLCPRSCEGSLTSFLASALCLSSIISGFWGVGLAALLGIQSGDYSRLPVGIMIQFLAALLPLGWIHQVPMSQPVQKERKKGLSKRTRRNRRIGRVVIGSVFVYRRERESEVQK >EOY06332 pep chromosome:Theobroma_cacao_20110822:4:31588942:31590091:-1 gene:TCM_021090 transcript:EOY06332 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF679 domain membrane protein 2 MCGFVKRVQTSCLKQAFEEYKQNHSIYSSPILRRSNSLLSLNLHRPPSLYKYSSGIPHFPGKLRNFTPASTSPTLPKMAKSSTSSSSTSISDKTFTSIGNLIKLLPTGTVFIFQFLNPILTNNGDCSPANKVLTSILIGLCGFSCAFASFTDSYKGSDGIVHYGIATVSGLWPSTNSGSSNLSSYKLTISDFVHAFFSVIVFAVLSLLDSNTVQCFYPSFESTEKALLMALPPVIGAISGTLFMALPNSRQGIGYRSSTDSSSSSNDS >EOY05205 pep chromosome:Theobroma_cacao_20110822:4:27599138:27600298:1 gene:TCM_020271 transcript:EOY05205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYVCCPAHKHDWSKEFIEDCRQAVHRKEVSDRPNGRVAGPQQRHASQQTAHFETVQSAWPASIATQHAHWASIPQIRMQEAPAKLGHTILAMQGPAVRDSAHTSPPQFSLVGGGYTHQPNTIGTRNRSPHESCCTSCSHTRQQHTWAREISGHMLPAPTHQRGFTLATHPRAQLPSRSCSLMESDPEEDHALAGLALEDLTRTLASSLRSSTRRHTVPDHYRTEPWSFAKIWATHRRAICTRPVEHTSSPHAPAGDTNNQHAQHIEP >EOY05540 pep chromosome:Theobroma_cacao_20110822:4:28951402:28955020:1 gene:TCM_020519 transcript:EOY05540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKEKITEANERRNLSVSLWRRARESFLLVFVFILFSGLKGQMPRVVRIGVKGMLGPTTPNSKSRYLMYRGMKTTVPWMCPNPSPIQCSHFPSTFLPLQKSEICLLFAAILFGARLFGTRQELKHPSMSEERLAFLIGRTLNLQN >EOY06006 pep chromosome:Theobroma_cacao_20110822:4:30591081:30592818:1 gene:TCM_020862 transcript:EOY06006 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative MEILGNGKNESDCDRMKELKAFDDTKLGVQGLVDSGVMNFPKMFLRSANELAEELNHSRSHIRLPVIDLNGLLTDQRRKIVDQIQNGVRQFHEQDLDERKEFYSRGRARRVRFNSNHDLFQSNRADRRDTLSVSMLTSDHVDPNELPTSCRDAAIEFINQTAKVGDTLFELLSEACPEPELAMGVTKHTDNTFLTILAENQTSGLQVLHESQWVDVHPIAGSLVVNIGDLVQVVSNDKFKSNMHRVLPRRVPRISVICFFTGRADPPARLDGPIKELISEGNPSKYRELLVSEYVSRFFTRGLHEKPSLKDYRL >EOY05790 pep chromosome:Theobroma_cacao_20110822:4:29805435:29807517:1 gene:TCM_020700 transcript:EOY05790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-6b, putative MNPYGRVKEEFPGESSSYPGMPSMATPQPMKGLHDAGPPPFLTKTYDIIDDTSTNHIISWSGGNNSFVVWDPQAFSMSLLPTYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEKFLRGQRHLLKNIRRRKTHPTQASQYALDPCVEVGRFGLDGEVDRLRRDKEVLMVELVKLRQQQQNTRTCLQVMEERLRKTEMKQQQMMNFLAKAMQNPNFVQQLVQQKDMRKELEEAITKKRRRRIDQWSSSVGNIELGQGEGGGTFVKTEAEDYDDIAEFEVSELGKLAMDMGLTGSENNIELEHIEEREERGSKDKYLDKWFWDDLLNDGIEQEISVLDAEDEDGDDVDMLVEQLGYLGSSPK >EOY03698 pep chromosome:Theobroma_cacao_20110822:4:18165957:18173189:1 gene:TCM_018808 transcript:EOY03698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGVIQPLLNLQTSVRLQRTPDDYKVRNKKMEMIEKNGTWILIDKPSYQHIIGVKWIYKTKLKADGSINRFKARLVVKGYFQIYGINYWETFTPIARHDTIRLGRDALLPRIAVYSKLLSTSTFSKYATELLKKFHMENSTTVETLLASNCKLSTIEYGLKFERNESNGLIGYYDNKWASNLDDSKSIGDYCFSFRSAIFSWNSKKHEIYLLKIEVKHCGTNEQLVDIFTKNLNKEKFICLRNDLGVCKTKPKEESSGENELKFNIDGSSRGKVGPAEMLRAHNGHVLGVFFGPLGIHECNYAALMTIENALKIFCHLYLEGHQKITCRVELQSGVTVGVGEPS >EOY04022 pep chromosome:Theobroma_cacao_20110822:4:21542346:21548113:1 gene:TCM_019281 transcript:EOY04022 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-S glucosidase 44 MMKAPFLLCCAFTVILCIASAADQHNEATVATAKAADLDTGGLSRESFPKGFVFGTATSAYQVEGMANKDGRGPSIWDAYVKVPGHIANNDTADVAVDQYHHYKEDIDLMAKFNFDAYRFSISWSRIFPEGTGRVNWKGVAYYNRLIDYLIKKGITPYGNLYHYDLPLALQEKYNGWLNRQAVEDYANYAEFCFKTFGDRVKNWFTFNEPRIVAALGFDNGINPPSRCSKEFGNCTAGNSATEPYIVAHNLILSHATAVKRYREKYQDKQKGRIGILLDFNWYEPLTRSKADEYAAQRARDFHVGWFLHPIRYGEYPRTMQEIVGERLPKFTKDEIKMVNGSMDYVGINHYTSTYIYDPKQPKPNVTGYQADWNAGFANAKNGVQIGPKANSWWLYIVPWGMYKTVTYVKEHYGNLNIFISENGMDDPGNGTLPNALNDTTRINFFKDYMTQLKKAIDDGANVTGYFAWSLLDNFEWLSGYTSRFGIVYVDYTTLRRYPKMSANWFQKILEKKK >EOY03931 pep chromosome:Theobroma_cacao_20110822:4:20505244:20506679:-1 gene:TCM_019145 transcript:EOY03931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 81, subfamily D, polypeptide 2 MASNDCIIGGYDVPRGTIILVNVWAIHRDPKLWDDPTSFKLERFENEKGESHKVMPFGVDTKEIDMTDGTRSTMPKARPLEAMCKARPIVNKVLYKENV >EOY06019 pep chromosome:Theobroma_cacao_20110822:4:30645019:30646144:1 gene:TCM_020871 transcript:EOY06019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 1B MDSCLFHPPNSNSSSESSFGSPEFFPLHNNHLPFNENDSEEMLLYGLLAEATQETSEITYPNQVKEEEVSSMEKESPKKEKSYRGVRRRPWGKFAAEIRDSTRHGIRVWLGTFDSAEAAALAYDQAAFAMRGSAAILNFPVERVQESLRDMKCHQEEGCSPVVALKRKHSMRRKMISRSKKERDVRINNVMVLEDLGADYLEELLTTSETARPW >EOY05754 pep chromosome:Theobroma_cacao_20110822:4:29668108:29671330:-1 gene:TCM_020674 transcript:EOY05754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 3 MGLEGVEPSVFVSDFLAKCGGYAVVDGGFATELERHGQDLNDPLWSAKCLISSPHLVRRVHLDYLDAGANVIITASYQATIQGFEAKGLSTEEAETLISRSVEIACEARQIYHDKCTKDSWDFLVDGNITRRPVLVAASVGSYGAYLADGSEYTGNYGDSVTLESLKDFHRRRLQILATSGADLIAFETIPNKLEAQAYAELLEEEGIDIPSWFSFTSKDGINVVSGDSICDCASIADSCKQVAAVGINCTPPRFIHGLILSIRKVTNKPVVIYPNSGETYDAERKTWVKSTGVADEDFVSYAAKWRDIGASLFGGCCRTTPNTIRAISRILFDKSSLTLSKADM >EOY05791 pep chromosome:Theobroma_cacao_20110822:4:29807812:29809952:-1 gene:TCM_020701 transcript:EOY05791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSFQVFFGFTPKTNLRSQLQSAKMKLKTLEMFGKVESGRFPPNLMLLGNILAELLNFFTKILTPPPNLDNGKMVKSYQYSRLGFRKVMME >EOY02969 pep chromosome:Theobroma_cacao_20110822:4:3263843:3268971:-1 gene:TCM_017364 transcript:EOY02969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 2, putative isoform 1 MAFLWFLLFSFSFTLSKSAPDSDSAILLSLKKSIFHDPSNLLSSWNPSITHCSWFGVRCANFSDKVTALSLAQNGFSGEIPADIGDLKFLEVLELQGNNFSSQIPSEISYLPSLSLLNLSFNSFSGNIPDRLIGNGNLKVIDLSNNKFSGRISLDNSSRCEFLTHLKLSNNYLVENIPPELRNCKNLRTLLVDGNILEGKLPAEIGQITELRVLDVSRNSLTDVIPKEIASCKKLSAVVLTNLENFGSDEKTSSMDSFRGEFNAFDGGVPSELLFLSSLQVLWAPRANLGGRLPAKWSGFCSLRVLNLGQNYFGGVVPENIGMCKNLTFLDLSSNNLLGYLPWHLHVPCMTYFNVSRNNISGNIPEYRKGSCAGSRISYGLDSSLIELEDTRVAYANLPFWGSNMWLMMDEDFSIVHDLSWNRFTGLVPMFSIGDQLLARNSKFSYRLSLNNNMLNGSSRRELIPDCWKLKSVSVNLSANQIGGMMQESFFLDCLQLTEFEAAYNQIEGSIDSRIGNLMMLQVLDFRGNRLFGSLPDQLGKLKNLRWISLGDNDLTGEIPSELGQLASLKVLDLSHNSLTGSIPASLTNATNLETLLLNHNQLSGEIPSSFSLLSHLTVLDLSFNDLSGPIPNFQHQNNCTAFRGNSHLHQCLFAATPPVNPGSPGKFQKGRKFKSFIIAAITSASVLLCMVPMIVVICQFRRRKFRRLGPLKGKVVVTFAAAPNELTYDNVARATGNFSIRNLIGTGGFGSTYKAELVPGYHVAVKRLSIGRFQGVQQFDAEIRTLGGVRHKNLVTLIGYYVGENEMFLVYNYLSGGNLETFIHDKSGKNVQWSVIYKITVDIAQALAYLHYSCVPRIVHRDIKPSNILLDENLNAFLSDFGLARLLEVSETHATTDVAGTFGYVAPEYATTCRVSDKADVYSFGVVLLELLSGKKSIDPSFSEFGNGFNIVEWTKWLIKEGRPSELFSAELWENGPRENLLGMLRLAAACTAEALSIRPRMKQVLEKLKQLKS >EOY02970 pep chromosome:Theobroma_cacao_20110822:4:3264903:3267950:-1 gene:TCM_017364 transcript:EOY02970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 2, putative isoform 1 MAFLWFLLFSFSFTLSKSAPDSDSAILLSLKKSIFHDPSNLLSSWNPSITHCSWFGVRCANFSDKVTALSLAQNGFSGEIPADIGDLKFLEVLELQGNNFSSQIPSEISYLPSLSLLNLSFNSFSGNIPDRLIGNGNLKVIDLSNNKFSGRISLDNSSRCEFLTHLKLSNNYLVENIPPELRNCKNLRTLLVDGNILEGKLPAEIGQITELRVLDVSRNSLTDVIPKEIASCKKLSAVVLTNLENFGSDEKTSSMDSFRGEFNAFDGGVPSELLFLSSLQVLWAPRANLGGRLPAKWSGFCSLRVLNLGQNYFGGVVPENIGMCKNLTFLDLSSNNLLGYLPWHLHVPCMTYFNVSRNNISGNIPEYRKGSCAGSRISYGLDSSLIELEDTRVAYANLPFWGSNMWLMMDEDFSIVHDLSWNRFTGLVPMFSIGDQLLARNSKFSYRLSLNNNMLNGSSRRELIPDCWKLKSVSVNLSANQIGGMMQESFFLDCLQLTEFEAAYNQIEGSIDSRIGNLMMLQVLDFRGNRLFGSLPDQLGKLKNLRWISLGDNDLTGEIPSELGQLASLKVLDLSHNSLTGSIPASLTNATNLETLLLNHNQLSGEIPSSFSLLSHLTVLDLSFNDLSGPIPNFQHQNNCTAFRGNSHLHQCLFAATPPVNPGSPGKFQKGRKFKSFIIAAITSASVLLCMVPMIVVICQFRRRKFRRLGPLKGKVVVTFAAAPNELTYDNVARATGNFSIRNLIGTGGFGSTYKAELVPGYHVAVKRLSIGRFQGVQQFDAEIRTLGGVRHKNLVTLIGYYVGENEMFLVYNYLSGGNLETFIHDKSGKNVQWSVIYKITVDIAQALAYLHYSCVPRIVHRDIKPSNILLDENLNAFLSDFGLARLLEVSETHATTDVAGTFGYVAPEYATTCRVSDKADVYSFGVVLLELLSGKKSIDPSFSEFGNGFNIVEWTKWLIKEGRPSELFSAELWENGPRENLLGMLRLAAACTAEALSIRPRMKQVLEKLKQLKS >EOY06761 pep chromosome:Theobroma_cacao_20110822:4:32860708:32867061:-1 gene:TCM_021393 transcript:EOY06761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNLAMILFVLVLLLATLGADGKRMTLENNKRKLLGDQASTLGRKPDVGAKDAKDPAANNKGAATAGTAENNGVVGLVDTLREDGLSDTLSLADSRSIMKNLAMILFAFVLLLATLGADGKRMTLENNERKLLSDQSSTLGRKPDVGAKDAKDPAVNNKGAATAGTAENNGVVGLVDALREDGLPDTLSTHRQYKTANNPYVPSKSKE >EOY02897 pep chromosome:Theobroma_cacao_20110822:4:2858398:2867011:-1 gene:TCM_017294 transcript:EOY02897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein, putative MATASLCVNGISSVSRRRRIASCFLRITKRSQSLAVSTERIEKAARLGENIGRIGKKKELTMKEAIEVKPNTYANPQELPEEEEGKKSLKDYFEDCKDLIKSDGGPPRWFCPLECTSTSPDYPLLLFLPGIDGTGLGLIMHHHKLGKIFEIWCLHIPVNDRTPFTELVKMVETTVRSESCRSPNRPIYILGESLGACLGLAVAARNPKIDLLLILANPATSFSKSQLQHLMPLLEIIPDQFPLNLPYMLSIMKGDPLKMLMDNVLKRGSLPQTIGGLSQDLFTMSSYLHVLTDILPKETLQWKLRMLKTASASTNSCLHAVKAQTLILCSGKDQLLPSQEEGKRLQNALPKCEIRVFDESGHLLFLEDGVDLVMTIKGASFYRRGKHLDCGSDFIPPTPSEFKKIYESHKWILTATSPVMLSTLENGKVVRGLAGIPSEGPVLFVGYHMLMAIEVVPFVAQLMSERDILLRALAHPAMFNKVKDSRFPDPSMFDVIRIMGAVPVSATNFYRLLSSKSHVLLYPGGLREALHRKGEEYKLFWPEESEFVRMAARFGAKIVPFGAVGEDDVAEVVLDYNDLVKIPWQRTQIEEMTELSMKLRPDATGEVANQQVYTPWMVPKFPGRFYFFFGKPIETEGMKLEPRDKEKSQELYLHVKSEVEKCLAFLQDKRKTDPFRNLLPRLLYQASLGSTASSSQIPTFEL >EOY06098 pep chromosome:Theobroma_cacao_20110822:4:30908011:30910411:1 gene:TCM_020928 transcript:EOY06098 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP-interactive CRIB motif-containing protein 5, putative isoform 2 MPRWGNGGILSLTSLDFPSENSVSYWFSIDLTTRSSTLPPNKTSPSFHFHTLRLPHFTVSLSFYLIGKSFAITIKIEGANPSSFFFWFLDISQKFKISEEEEEEEFFHPQPDAIVDSILAFVLLHLCQNKHIFHLGEEDFIRTLPNDPPLLPAKMKGLLRGFRYISHMFAYVFSITEAIDNFADEKEPEMQIGLPTDVKHVAHIGMDGPSANKPSWMTEFNSAPELSAVPINSNRQVRPSEAGNHDSSLPPLGNERQKKSRRKPSIGNGSPIGSPKVSEKHSRRQRSSNLSMDSPGRDSPCHGRRHQNSSRSIESSSQEQTDIPKKSRRKKSKGSLGGSSGSSRSKDQNSLPDIVELGP >EOY06099 pep chromosome:Theobroma_cacao_20110822:4:30908454:30910486:1 gene:TCM_020928 transcript:EOY06099 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP-interactive CRIB motif-containing protein 5, putative isoform 2 HHFCSDLEKFKISEEEEEEEFFHPQPDAVFLFFVNKSKQIVDSILAFVLLHLCQNKHIFHLGEEDFIRTLPNDPPLLPAKMKGLLRGFRYISHMFDEKEPEMQIGLPTDVKHVAHIGMDGPSANKPSWMTEFNSAPELSAVPINSNRQVRPSEAGNHDSSLPPLGNERQKKSRRKPSIGNGSPIGSPKVSEKHSRRQRSSNLSMDSPGRDSPCHGRRHQNSSRSIESSSQEQTDIPKKSRRKKSKGSLGGSSGSSRSKDQNSLPDIVELGP >EOY05594 pep chromosome:Theobroma_cacao_20110822:4:29084772:29085553:-1 gene:TCM_020553 transcript:EOY05594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHYQTQYQDQGCYFVHMLGRVTLTLCKKEHNRSGSTRFVSAQGSSRIFCGYMELVRKILASEVSNVLQSVTGWLMFYS >EOY04280 pep chromosome:Theobroma_cacao_20110822:4:23151007:23153326:-1 gene:TCM_019530 transcript:EOY04280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEADSSGAPPTDADGNQGREAVRVGSKRGRDDTEAMPVSKDSEDEDRLLEDFESDEDEFRSDIENLCPVRQKETEGFSEEQATKLASEKKNLVREHESTPYGPWMVAKKTYRRNSGNRTEGGTKSKLKMLPQIGTSKEVAKPGSRFYILVEEGNTLDDEEFVPETMLRGDTKKVLNKPEKIVTAVQKKQNLKESLQEATNVSNRNPTLLASKGTLVTSLVPKTVSSETDIRSNEKIQARENNVALMGSNGMAIQRNSQALVEIALASQTRMETIPITASFPIPQQKANVGKIGVGINGVARERECLKKDEGSHIRTEHLSSSVDMDLEIGEEDIMQV >EOY03490 pep chromosome:Theobroma_cacao_20110822:4:16314654:16317378:-1 gene:TCM_018580 transcript:EOY03490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase Sec, Sec61-beta subunit protein isoform 1 MAGGTAPPRGSAAAAASLRRRRTTSGAASGGAAGTMLQFYTDDAPGLKISPNGVLVMSIGFIAFVAILHVMGKLYFVRREA >EOY03491 pep chromosome:Theobroma_cacao_20110822:4:16314654:16317305:-1 gene:TCM_018580 transcript:EOY03491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase Sec, Sec61-beta subunit protein isoform 1 MAGGTAPPRGSAAAAASLRRRRTTSGAASGGAAGTMLQFYTDDAPGLKISPNGVLVMSIGFIAFVAILHVMGKLYFVRREA >EOY02469 pep chromosome:Theobroma_cacao_20110822:4:971860:974821:-1 gene:TCM_016936 transcript:EOY02469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MNSALKALFLTDPRKNFNSYVHKCASLLKNFSDKGLVSGGTLLHGLLFKKGVFSERFIAIKLLIMYLNFRKLPEANQIVKELDGFDLVVRNCLINANVQWGNLGEARKLFDEMPERNEVSWTTLISGLMKHGRVQESMWYFERNPFKNVVSWTAGISGFVRNGFSFHGLKLFVRLLESGVKPNQVTFTSVVSACIETGDFELGMSVVGLIVKTGFEDNVSVCNSLITLCLRMGEFDLARRLFDRMETRDVVSWTAILDMYVELGDLGEARRIFDEMPERNEVSWSAIIARYSQSGDHREALNLFRQMVQLGLKPTISCFSSILSALASLELLRAGRNIHAHVKKIGIEGDVFISSSLVDMYCKCGETEDGRLVFDSIEKKNVVLWNSMVGGYSVNRQMDEAKNLFDHMPTRNNVSWGAIIGGYLEYKQFDKVFEVFNEMLLTGEIPTKPTFSSVLCGCASVASLEKGKDLHGKIVKLGFQNDVFLGTALTDMYAKSGDIASSKQVFDRMPERNEISWTVMIQGLAESGFVEESLALFEEMRRTSSVAPNELMLLSVLFACSHSGLVDKGLQFFEEMERVYGIRPKGRHYTCMVDMLSRSGRLYEAEAFINSLPFQPEANALAALLSGCKTYKNEEIAERTARKLGELAEKSSAGFVLLSNIYASAGRWIDVSNIRKLMREKGLKKSGGCSWVEVRNHVHSFYSEDGTHSESAEIYDILELLRSEMLGS >EOY05098 pep chromosome:Theobroma_cacao_20110822:4:27191407:27193559:-1 gene:TCM_020191 transcript:EOY05098 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MSPFLLLVLVSLSWAISANAHENDFLHCLSSFHSKDTSPISKVIYTPNNSSYSSVLESSIQNLRFSEPTTPKPLIIITPKHTSHIQASIHCSRKHGFQIRIRSGGHDFEGLSYVSHVPFIIVDLVNFRSVDIDDKNKVAWIQSGAILGEFYYGIAQKSRTLAFPAGVCHTVGIGGYFSGGGYGLLFRKYGLAVDNIIDALFIDVNGRILDRESMGEDLFWAIRGGGGGSFGIVLAWKVKLVSVPATVTVFRISRTLEQNATKLVHRWQYVAHKLPDDMFSAVTMRRVNSSQDGKRTILASFSSFFLGGIDELIPLMQERFPELGLVKEDCTEMSWIESILYFGQIQNKSLDILLDRSYKTTLNGPSFKTKSDYVKKPIPETALEEIWSKLYEEDAESAVIGFIAYGGKMDEITESATPFPHRAGNLYKIVYTVGWQQEDNIKSRRYITWIRKLYSFMNSFVSKSPRQAYINYRDNDIGVNNKGNTSYVQARIWGRKYFKNNFDKLVQVKTIIDPENFFKHEQSIPPLLS >EOY03958 pep chromosome:Theobroma_cacao_20110822:4:20708320:20709710:1 gene:TCM_019178 transcript:EOY03958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQERPFLFIPQHKNPDTNPLLCYDFSYSLLVSFLFLTVKVWGLISLHVSLTLLISYSFLIACGFCNNIQAQYQNG >EOY02965 pep chromosome:Theobroma_cacao_20110822:4:3241015:3249469:1 gene:TCM_017360 transcript:EOY02965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKELKIENIPIVEEFSNVFLKDLLSLPPNREIDFSIDLVPRTSLIFKAPYKMAPVELKELKEQLFIQKGIHFEWSNDCEKSFKELKKRLVSAFMFTIPTSGKGFVVYSDTSKKRLGCVLIQDDRVIAYASKQLKPYEETYRIHYLELAVVVFALKIW >EOY05380 pep chromosome:Theobroma_cacao_20110822:4:28342921:28343595:-1 gene:TCM_020390 transcript:EOY05380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin modifier 2 MSSPRSEYLPNDRVRITVKNQDGEKACYSMKRTSPLCKLMKAHCSIFSLELNTASFLFGSRCLHEDETPEQVGMEDVEKIECMIYQIGG >EOY05942 pep chromosome:Theobroma_cacao_20110822:4:30314921:30315622:1 gene:TCM_020805 transcript:EOY05942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGFLKARTVFRISFLFTILFISFTAQFGAAVRPWKDEQLFRKIVPNFESLQQGPVPPSAGSPCTQIPGGKGHCVVNEINAAGHLLRSPPPFPGINVIKFAAAKLREE >EOY03654 pep chromosome:Theobroma_cacao_20110822:4:17646477:17654901:-1 gene:TCM_018745 transcript:EOY03654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase-like 3 MQPKSANVKLQGAVSDLEYQLLLSRSEVDRLKLELQQAQVQANAADLRASNAEKALLEFQELSKKKALEHGEEIRSLLEKIKKEAAERKKAVSKAFKTELQSIKAAIYAAKDTTRLRDNAYMRRCEALQRSLRTSESALKMWRQRAEMAESLLLKGRLSHEEDEEAIYIVNGGRIDLLTDDDSQKLKLLSDVWSIAQEKLKEGDMLIEHRIPEQTKLEPGTGTGREIVFQGFNGESWRRQWYQELALKAADLSQCGMTAVWFPPPTQSVAPQGYMPSDLYNWNSSYKSVEELKSCIEEMHSQDHLALGDVVLNHRCAHKQSPNGVWNIFGGKLAWGSEAIVCDDPKFQGHGNPSSGDIFHAAPNIDHSQDFVRGDIKEWLNWLRNEIGFDGWQLNFVRGFSGIFVKEYIEASNFAFAIGEYWDSLVYEQGNLCYNQDAHRQWIINWINATGDTSSAFDVTTKGILHSALHNQYWRLIDPQGKLTEVMGCWPSRTVTFLENHDTGSTQNISAIFNPLQAKLDYWPYSIHLTIRSLAIPRGKLTQGYAHILTHPGTPVIFYNHFYEFGIRDVLTELVEARRRAGIHCRSSVKIYHANNEGYVAQVGDTLVMKLMHFDLNPSKENQLGGSWQKFIDKGSDYQVWLRH >EOY03897 pep chromosome:Theobroma_cacao_20110822:4:20272474:20273562:1 gene:TCM_019115 transcript:EOY03897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKILSSWNLLLFVQISMQKVLGILPASTSRMLRLEIDVNKLQTFILECPAALKRLPLLRIWNLLGHLLKIDAPNFRSVELDSMPRNNSSERSRHLANACPYLMADHVNEDKSKNFILSCPSLERLAQLRHLKIHGPNLKYLEVGTGLENISIENTLNLVSFTISLGTPDVPCLIYQVQRSMVSSDCCPFLLSSRLLRYSKLFLGNFALLHQYYLAHFSFDNPSLTQNEVSKFQL >EOY06934 pep chromosome:Theobroma_cacao_20110822:4:33391355:33397952:-1 gene:TCM_021505 transcript:EOY06934 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette subfamily B4 MAAFSGGFVVAFIRGWLLTLVLLSSIPPLVISGAILHKLVGKHASCEQTAYSLAATVAEETIGSIRTVASFTGEKQAIARYNKSLSKAYKSGVQESLAAGLGFGTLMCILFCTYGFAFWFGGKMILEKGYSAGDVINVIFAVVISSLSLGLASPCLSAFAAGQAAAFKMFEAINRKPKIDAYDTKGRKMDNILGEVELRDVYFSYPARPKELILKGFSLSIPSGRTAALVGYSGCGKSTVISLIERFYDPQAGKVLIDGFNLKEFQVRWIRQKIGLVSQEPVLFASSIRDNIAYGRDDATSEDIAAAAIVANAANFICKLPEGLDTMVGEHGIQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERSVQEALDRAMINRTVLIVAHRLSTVRNADLIAVIEQGKIVEKGTHEELLKDRKGLYTQLLSLQDIGKEMNQNRLHDSDIGPRMFSAPLSVFPGISHSKKSQPKLPNVPASEASEKPREVPLPLSRLAYLNSPEIPVLFLGAILAVANGVIWPIFGSVLSSVIKTFYEPAEELKKDSRFWALMFVVLGFASLLANSLSTYFFAVAGCKLIQRVRSMCFEKVVNMDIGWFDEADHSSGAIGTRLSTDAVSVRRVVGDALALLAQSTATAVAGLVIAFEANWQLALLILGLLPLIGISGYAQLKSMKGFSANAKKMYEEASQVANEAVGSIRTVASFCAEKKVVQQYEQKCQYPLKAGMRHGLISGIGYGISSFFLYFAYALSFCVGAHLVHHGRTTFHEVFRVFFALSASAMGISQSNSLAANASKAKISAASVFEILDQKSKIDPSQNCGRILKRVKGDIEFQYVKFGYPSRPEIQVLRDFCLTIRSGMTVALVGESGSGKSTVLSLLQRFYEPDSGRIKLDGINIRRLQLKWLRQQMGLVSQEPVLFNDSIRANIAYGKEGNATEAELIAAAKLANAHNFISSLQQGYNTRVGEGGIHLSGGQKQRVAIARAIVKAPMILLLDEATSALDAESERAVQDAVNRVMVKRTTLVVSHRLSSIKGADLIGVVRNGGIVEKGRHERLINIKGGFYASLVAPCTRASSR >EOY04550 pep chromosome:Theobroma_cacao_20110822:4:24963255:24964797:-1 gene:TCM_019785 transcript:EOY04550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAAILITHPHTSRQCPLNKRQGLPVKHQFRPPKGNQQNTQKSTSRPLYTKQLKRTKNPLSRPKSPKEDDHPPKNHKPYNSSASAQGQQRQGESSNIRPAPLTEAQSTRSIRSKEKSSIKQTKRTQH >EOY04549 pep chromosome:Theobroma_cacao_20110822:4:24963271:24964797:-1 gene:TCM_019785 transcript:EOY04549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAAILITHPHTSRQCPLNKRQGLPVKHQFRPPKGNQQNTQKSTSRPLYTKQLKRTKNPLSRPKSPKEDDHPPKNHKPYNSSASAQGQQRQGESSNIRPAPLTEAQSTRSIRSKEKSSIKQTKRTQH >EOY05110 pep chromosome:Theobroma_cacao_20110822:4:27244069:27244982:-1 gene:TCM_020200 transcript:EOY05110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKPSSACQYAGTWGFSESEIDVARQLIKLCSDNDSIDDSRLTGKRRKVQDEGFGKASAMIVEDIFEDNEEEHLNRRKRKSRSIHYIYSSTKPLVVFDAKKICCS >EOY06913 pep chromosome:Theobroma_cacao_20110822:4:33353004:33356078:-1 gene:TCM_021495 transcript:EOY06913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF-2, putative MKREHQAECGGCSVPGRLLLHNVRYRASYRRLCTNCVLKNHQGLFCPICLEVFNESPPPHQRLICLKCPSISHLSCSSSSSQSSFTCPPCSNPNFSFFNVDPNITNKKPKSTPDHQGGSNDDHDNDKKKRVIDREAAKALLAAAKIAAASMSKAAAVARVEAERRVKEATLAKKRAKEALERLAFLARKDNDRLKSALVGIPKSKTAAAAAAIADPKTNALSPSPLPEKGNNGLYSVSAANAPIPIPKLQRQHSAHVLGGVTNNGSMAYLSSSAWLYMFIWIEVNIEALCAKSQRLNSLHLEGGSQGRKVRQILPATSVLGEMDQL >EOY03262 pep chromosome:Theobroma_cacao_20110822:4:10067108:10069719:1 gene:TCM_018039 transcript:EOY03262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKTLEGKTIIFYKALSKLPKEALTLHPYPMTDHCVQGTIFLLHLLPTPFLFLHHKNQRFSFSFPCYLFLLLPSSNFLGIKPAAFNPNFQHI >EOY04003 pep chromosome:Theobroma_cacao_20110822:4:21330345:21333225:-1 gene:TCM_019256 transcript:EOY04003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid movement impaired 2 MGNSMGGRKKAKVMKIDGETFKLKTPVRAWDVVKDYPGHVLLDSEAVKHFGIRAKPLEPQQDLKPKKIYFLVELPKFPEEKAPRRVRSGGIHMSAKDRLECLMLSRRSVSDLSMVRPSSSLGSDGLGRSSGGMTVKMRLPKSQMAKLVEESRDGVEVAEKILDLYVENAGGGDTDNQMHLEAPWKPGLGSIGENFKAKREKRVSFIPQEEGEIRLANQL >EOY06070 pep chromosome:Theobroma_cacao_20110822:4:30836978:30842065:-1 gene:TCM_020908 transcript:EOY06070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase I MEHIIGGKFKLGRKIGSGSFGELYLGVNVQSGEEVAVKLESVKTKHPQLHYESKLYMLLQGGMGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTYKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYPSEFTSYFHYCRSLRFEDRPDYSYLKRLFRDLFIREGYQFDYVFDWTILRYPQVGSSSRARPSPKPGLNPPGVSADRTERPSVGQEIRERFSGAVGVLGRRNGLHGDQSRYRSSDDVPSSKDVQPDSEKGRSSSRNGSTSKRAVVSSSRPSSSGEPSENRSSRLVSSSGRLSTTQRVQPGFESKSSTFTRTAATRGGRDDALRSFELLTIGSGKRK >EOY03445 pep chromosome:Theobroma_cacao_20110822:4:15756326:15758637:1 gene:TCM_018517 transcript:EOY03445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEAIPTLGKIVALSAPKDNVYPCMCKWQCNQKPKDFYKAVEMLESYQEKCTTTAGVDELSGLELIEEGDDHVGHTTIAPQPPKGPTQTHNANNPSLDHATTAPQPPRGLPQMHNANNQSLREWMIAPQPPIDPAQPHNDNEPPCGPSSHDANKDHDDADDGQHDEPSVHIHDDVVGADGDPIPEANPNDAVAGDMTLQSNDAEVDPVPKADLIIDASTEGEGDFHSVMAEGKHLPQADALVEAAAGGDHFP >EOY06657 pep chromosome:Theobroma_cacao_20110822:4:32561357:32562000:1 gene:TCM_021313 transcript:EOY06657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGVAREMEDFKAANRTFGGEWRLATKDNNTSSNQPSSHRSTPDPPPQPIRLRTPPMHNKASNNYTNYNYNSRPTWGVGNPELKRQRRVVKYKSYAVEAKLKSSLRHGFRWMKNKYCEFVRGY >EOY04069 pep chromosome:Theobroma_cacao_20110822:4:21853860:21859194:1 gene:TCM_019334 transcript:EOY04069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MADVLVSTLVRAILGNLNSLALREIEVARNLKTELQNLQSTLSTIQAVLQDAEQKQWRSEAVQNWLRKLKDVAYDADDILDEFAAKALRWRARRQMSSQVSDFFSSQNATVFNFNKAHKLKQVRQRLDAVAEEKNKFHLTEKVGEVEVDDREWRQTSSLVNESEILGRHEDKENIINVLLTSLRDQNDLSVHAICGMGGLGKTALTQLVYNDERVERAFDLKIWVCVSDDFQVRRLTKKIIESIDGSPSEVRELDVLQRHLQEKLRGKRFLLVLDDVWSESNEMWDRLKNPLTRGAKGSMVIVTTRIEKVALIMATLPIYHLGYLSEDNSWLLFKQRAFQMKRKEEYTKLEGIGKQIVKKCGGVPLAVKALGSMLRLKHRESDWLSAKESEIWELGDDGSTILPALRLSYDHLPSFLRQCFAYCSIFPKDSEMDKSNLIELWIANGFVPPRGQSELHEIGEEIFEELSWRSFFQDLTEHNDGTITCKMHDLIHDLALSIMRFECYIFDDKKLLEFPEKIRHLHIPMRPAPPFHLEASLVKKEKDFIKSCSFLRSLVLGGLFLDPKSSLKHMRALDCYMNQVPRSLGKMIHLRYLNVREYPSISISVKRIPKSISNLAHLTYLNLSHSSIKRLPESTTCLQNLQIMILSRCYYLCELPKGMKHMRNLRCVNISHCGSLKRTPPEIGYLTRLLELSIFIVRKDHGCGISQLKELNLGKELCIKELNNVTGSTEAKSANLITKENLKSLSLIWGKHAGECPHNEEEVLGSLQPHSNLKSLQICGYQGLRLPNWMIEIPNLVSVELDQCERCPRLPPLGKLPLLKFLKIRGMDAVKCISSEFCGDGVNSFPSLEELNFDLMPNLETWRTLDGRESFPRLQSLTFRKCPKLIELPEFPTLRKLRIWTRGDYFGLFSKRDGLGSLEINDLSILTVVPHGLLQNHTYLEELTIESLPNLKSLSNQLDNLSVLKHLDLRDCDKLEDIPEALQNLNALESLKLVGCDSLVSFPVNGLHGLTSLRTLTISCCERFAFLSNGVMHLTQLEELRLLRCPMLNSLPEEIQHLNALRTLTISDCDGLTSVPNQIEQLTSLSKLEIGLCPNLTSLPQGLRSLTALKTLWIRGCPHLERRCKIEGGEDWPNIAHIPSIQIMPYEKYYHGIRIFHGSLLTRLGDWKLPRKFLKSQSDS >EOY04226 pep chromosome:Theobroma_cacao_20110822:4:22935413:22936819:1 gene:TCM_019494 transcript:EOY04226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYLYFYLAVLRRCNMGRRRCFLMHSSTLGVEMFQWIIYVLLLLDKKNLVWFFHVRDFNALETEKCLFCVFCSFKKHIQYSSPLHLVSVFL >EOY05204 pep chromosome:Theobroma_cacao_20110822:4:27585501:27586190:1 gene:TCM_020270 transcript:EOY05204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPEGLGVTVRESMNNPTRLDHRSNSTRTCCITRRDVKQPWNDITHKLNTITIEPASIGISEHTIRQQHWAAQAGCKQTGHAMQCKTASFPHAHGHHAYGKYAHG >EOY03172 pep chromosome:Theobroma_cacao_20110822:4:6832971:6840745:-1 gene:TCM_017745 transcript:EOY03172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRLDVEDEDDLTFHTLAHTRTSFLTVPLRIPTPSTLEETTLGGWGANCATVWIGGVGGVDYVSWLGLATHRGGKARTQGNGVRSTRWQVRQRLGAKDRAWLRLPPILSL >EOY06716 pep chromosome:Theobroma_cacao_20110822:4:32741841:32744494:1 gene:TCM_021360 transcript:EOY06716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWLPRWTIMLFTDLVLETVEKVIERLEFLDFPWGQILVLSRIQFTSTINEAIKNIFSRQDKELHSVTEVLQREREGRMRGRVIKTEIKLEDYEHFLGNPYDDAFSYQQLNKIIYMHGFKKIHKRRKADLYEALSTIDLIKPQRSTLKDDYPPYDDSALSLDQVKQDLQTLQWQECPVQSIHSIHPTVQDNPATSSCSDGVCRGSAISSFTIRRKRQRSGRRKRMWAVYRGGVEQGNVAVGVTNGGVESGVSRDHDAVLIQPESSSLFVETYSWDF >EOY04925 pep chromosome:Theobroma_cacao_20110822:4:26513101:26524685:-1 gene:TCM_020071 transcript:EOY04925 gene_biotype:protein_coding transcript_biotype:protein_coding description:T20M3.5 protein, putative MWVKSLRLTSIVLGACNLLVVVLGGILVAIAFPGCGHRKVLPLAMVSMLAAIKIGTMIKLGIAQEATAKTITDSPSETDVLDAVIRHDRRVKYKTWLWWSRFALVLTLLQILGAFYLLFYVARYLSQDGNSSQCFKGLAASTGAWKLKVMVVFMVTACCIAFVQCFTGSDVLRWRFFYATQDDAWKAHYQEVFDYGIREALCCLGRIEYFTVLEDDEVYSVAKLLGDLVAYRASGTGHLELLTGLALLQKHGQSHKPDECFVEAPLEHLQVAAAFHKFAEAAYTGPLLDFGRNPFVFPCAWLYRQGILTPWTRNRRPALDGDNWWRGHAAAFLKFVNLPPGVLRRGRVCQKKCEAAYFVVVLHHLRSVVIAVRGTETPEDLITDGLGRECPLSEMDLDGLINSSYIHPSVKQRVESSFPHYGHSGIVEAARDLYTQIEGYSGDECQTVGFLSSLLGAGCECDGYSLCIVGHSLGGAIAALLGIRLYQQFPNLHVYSYGPLPCIDSVVSDACSDFVTSIVHGNEFSARLSVGSILRLRAAAITALSENTKTNTALIFRLAHQFLYANNSHRIKIEAENPAEYSSEISKESKDQDPEFSLCHETDRRQNLVDIGDSDFINPFVDIGDSDFINPFAADLNRSDDPISQFMETVSRSENGSASDPTEMFLPGRVIHIVPQKQNLNIPLWKSWTVQENSLKYKAFLVNREDLKDIVVSPNMFFDHLPWRCDYAMRKVLEAENVIGALDVSPIV >EOY02611 pep chromosome:Theobroma_cacao_20110822:4:1511304:1513435:-1 gene:TCM_017037 transcript:EOY02611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MAMSTFLIFLIFFISLLVPNLVSSSAVQDPELVVDEVHKSINASRRNLAYLSCGSGNPIDDCWRCDPSWESNRQRLADCAIGFGKNAMGGKNGRIYVVTDAGDDDAVNPRPGTLRHAVIQDEPLWIIFKTDMVITLKEELVMNSFKTIDGRGASVHISGGPCLTIHYVSNIIIHGINIHDCTPGGSTIIRDSPQHAGHWTPSDGDGVSIFASKNIWVDHCSLSNCHDGLIDVIHGSTAVTISNNYMTHHDKVMLLGHSDSYTQDKDMQVTVAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRFLAPDDGSKKEVTKHEDAPESEWRSWNWRSEGDLMLNGAFFRQSGAGASSTYARASSLSARPSSLVGAMTVTAGALNCRRGSRC >EOY04199 pep chromosome:Theobroma_cacao_20110822:4:22553714:22556253:-1 gene:TCM_019457 transcript:EOY04199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPVKCRISSKSELHGGCGDANLAEMLTIREALALFVVAAWCQAYGLIIETNSINVVTWVSKPLSSPWLLRTLIVCCVGCLWGCGSLLAAGGLALGISLGGVVGKWFMGSSCPGANSGVPKRKREKETGMLIVFPSLTNFTF >EOY03345 pep chromosome:Theobroma_cacao_20110822:4:13600478:13605024:-1 gene:TCM_018321 transcript:EOY03345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein MKRLKSYYMQIRHNNNQAMERRWIFPLAVGSIVSLFLLFLTTLTSYDGSPFLFFYRSSTVIGGSSTFVENQLKPIPISTLPPPPRFAYLVSGSAGDGRMLKRTLLALYHPLNQYVVHLDREASSEERLDLEKFVKNHQVFNKVGNVRMIVKANLVTYRGPTMVANTLHAAAILLKEGGDWDWFINLSASDYPLVTQDDLLHTFSYLPRDLNFIDHTSNIGWKEFQRAKPIIIDPGLYSVKKADVFWVTQRRSVPTAFKLFTGSAWMALSRPFIDYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNAQEFRNTTVNSDLHFISWDNPPKQHPHHLKLDDMQRMINSNAPFGRKFPQEDPVLDKIDSELLSRGPGMLTPGGWCVGSVKNGTDPCSVVGNTTVLRPGPGAKRLETLISSLLSNDNFRPRQCK >EOY02290 pep chromosome:Theobroma_cacao_20110822:4:315278:317171:-1 gene:TCM_016812 transcript:EOY02290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein MVTRKRTSSNIDKNKQGDKPTQLFPLEVSQQLYITEIKHGIRFQARLGLGHKTTSLYKGLPSLASAQNILNSDKSLLTLSMGGGTEAFPDLGRHCQHSDCHQLDFLPFKCDGCHKVFCLEHRSYKSHECLKSDHKSRKVVVCEICSTSIEIRAEGEEEKMVLERHEKSGDCDPTKKKKPTCPVRRCKQILTFSNTSVCKTCRLKVCLKHRFPSEHACKQTSTAPAEAARGGWNDKFLAALASRNGKDCAKNGRRSSSPTTPSVKAY >EOY03028 pep chromosome:Theobroma_cacao_20110822:4:3728519:3729014:1 gene:TCM_017437 transcript:EOY03028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRMLNFFILFFCQVFLFRSCFELVPLSRVAFVLLTKKKCCFFKMPDLPPLKNAMFGGKPIHFFPLKPKVDI >EOY04505 pep chromosome:Theobroma_cacao_20110822:4:24729254:24730084:1 gene:TCM_019750 transcript:EOY04505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSTSPDHPQKSTLQIKRDDKFFSRLLSKENSVANPSFRVYYGGVSGAVPFMWESQPGTPKYTFSDASLPPLTPPPSYYSKSCSKPIKKHSRSSLMHALFLKMISLKKIAVTSSPSSSSLSSSWFSLSSSSMSYQSRNRFSTPDSSFDSRGDGEEAAAIGSPTSTLCFAIGRGSIDKLRGCYGWRT >EOY05399 pep chromosome:Theobroma_cacao_20110822:4:28436958:28437542:-1 gene:TCM_020408 transcript:EOY05399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLFNSMVFVLFNILLLSKIIGSMSVNPNSLNEKKDCCEQGEGNGGDMGGGGGSNFGTGSRGGAAGSGGKDNDYRGEPGDGGDDNCDDGGDSGARNGFRRGSKGVGSRGGGGGGGGGGGSGGGYGGGFGYGGGRDNGGGGGGGGGGGFGWGGDHGR >EOY05681 pep chromosome:Theobroma_cacao_20110822:4:29463901:29466391:1 gene:TCM_020621 transcript:EOY05681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S24e family protein isoform 2 MADKAVTIRTRKFMTNRLLSRKQFAELKEKLARMYEVKDPNSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKAGDAAKKK >EOY05680 pep chromosome:Theobroma_cacao_20110822:4:29463830:29466922:1 gene:TCM_020621 transcript:EOY05680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S24e family protein isoform 2 MADKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRPNVSKAELKEKLARMYEVKDPNSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKAGDAAKKNTIANA >EOY06350 pep chromosome:Theobroma_cacao_20110822:4:31637420:31641144:-1 gene:TCM_046780 transcript:EOY06350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MAIGQLKDVETGEINGLEDLEKPLIVEEQTVVGSDESGSIWMVLICTFVAVCGSFEFGSCVGYSAPTQSAIREDLNLSLAEFSMFGSILTIGAMLGAVTSGRIADLIGRKGAMRLSAAFCSTGWLAVYFSKGALSLDIGRFSTGYGIGVFSYVVPIFIAEIAPKNLRGGLATLNQLMIVTGSSVAFLIGTIIPWRALALTGLVPCIFLLVGLCFIPESPRWLAKVGHSKEFQVALRKLRGKDADITQEAAEIQVYIETLQSLPKTRISDLFQPKYFGSVIIGVALMVFQQFGGINGITFYASETFASAGLSSEKTGTIAYACVQVPITIAGAMLMDRSGRRPLIMVSATGTFLGCFLAGTSFYLKDHSLLPEWVPILAVGGVLIYIASFSIGMGAVPWVIMSEIFPINVKGVAGSLVVLVNWLGAWAVSYTFNFLMSWSPSGTFFVYSGFSVMTVLFVAKFVPETKGKTLEEIQASINS >EOY03214 pep chromosome:Theobroma_cacao_20110822:4:8126010:8126673:1 gene:TCM_017861 transcript:EOY03214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALRAEKLANENRRMRVEFAKRKNPSISSSQPTKKGKDSSASESTTFASVASSKPPFPQLQQRPPRFSRSAMTIPGKSFEGSDRYKNCGKYHVGLCREPVRCFHCGQPGHIRST >EOY03383 pep chromosome:Theobroma_cacao_20110822:4:14199283:14200575:1 gene:TCM_018384 transcript:EOY03383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMDALRRDFQSNVRNENGRDIHEDPNLNVATFFLLLNDVDSDLWSGCTKHTKLPAMSQSLNVKSKFNMSETRFNCLMHLVKNMLPSDEALSENFYIMKKELKELGLSYLKIHSCKNNCLLFYNESFELSYCSRCGHLRYKLNKSKGGKEKKVPDKILRYLPLIPRL >EOY05236 pep chromosome:Theobroma_cacao_20110822:4:27678775:27685371:-1 gene:TCM_020286 transcript:EOY05236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding isoform 1 MANTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSLRISLKVKQADELENILTKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEEMQKQKLIDFIVQFMEDIDKEISELKMSVNTRGRLVATEFLKQFI >EOY05237 pep chromosome:Theobroma_cacao_20110822:4:27679080:27685228:-1 gene:TCM_020286 transcript:EOY05237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding isoform 1 MANTLRLYLTCIRNTLEAAMCLQQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSLRISLKVKQADELENILTKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEEMQKQKLIDFIVQFMEDIDKEISELKMSVNTRGRLVATEFLKQFI >EOY06559 pep chromosome:Theobroma_cacao_20110822:4:32281494:32286167:1 gene:TCM_021240 transcript:EOY06559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein MSTEEAKRSITGALTVKPTTDDRKPSPVTTTASAAATGKKVIIKSADMKDDMQKEAVDIAISAFEKNNVEKDVAEHIKKEFDKRHGPTWHCIVGRNFGVCSGRLQPSNRPQPSVFVTDGYNRLQSSVMPIDLVGCLARPSGGTPFPSNRPNNRPVIGFGSYVTHETNHFVYFYLDQKAVLLFKSG >EOY02805 pep chromosome:Theobroma_cacao_20110822:4:2322191:2322925:-1 gene:TCM_017198 transcript:EOY02805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPGTRMVILQVAGRSWHVKLKSYPSRSFLTSGWSLFAKENALQANDICVFELINSSNAVLRVYISKCAG >EOY02806 pep chromosome:Theobroma_cacao_20110822:4:2322161:2322696:-1 gene:TCM_017198 transcript:EOY02806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 KNPNFKVLLLPFGFAKRYMPGTRMVILQVAGRSWHVKLKSYPSRSFLTSGWSLFAKENALQANDICVFELINSSNAVLRVYISKCAG >EOY05038 pep chromosome:Theobroma_cacao_20110822:4:26934509:26940623:-1 gene:TCM_020148 transcript:EOY05038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase 13, putative MEKPIIGKQSYKQLWFVVLISFVFCLVLLCLDYSALPDAKNGVSFLVHSFADALNTQKTNQLVPVDKTNETIAPPPNFTSKTNETIAPPTNFTSSYINEATQDIPALENNVTLSNAKRENKIQDVADQQSSDDRKGEDPCAGKYIYIHHLPSRFNTDVLKDCRTLVKWFNMCPSLMNSGLGPRVVRPQGVLSDRNWFETNQFLLEVIFHHRMKQYKCLTDDSSLASTIFVPFYAGLDIGRCLWGFNTSVRDSSAYDLVNWLGKKAEWKRMWGRDHFFVAGRIAWDFRRQTEIQSDWGSRLMSLPESMNMTMLSIESSSWSNEFAIPYPTYFHPSSDSDITRWQRKMRSQKRRYLFSFAGAPRPGANDSIRSEIINQCLASEETCKLLNCQPGGNKCDSPVEVIKVFRDSTFCLQPPGDSYSRRSTFDSILAGCIPVFFHPYSAYAQYKWYFPKNYTKYSVYIPANEIKDGTVSIKEVLSRFSKEQVLAMRKQVVKLIPKMIYADPRSRLERLEDAFDIAVKRVLERVEKVRKDTKEGKDPSVDFAVGNRWKLKLSGIVGDHPLDQFF >EOY05686 pep chromosome:Theobroma_cacao_20110822:4:29497358:29501836:1 gene:TCM_020626 transcript:EOY05686 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein isoform 1 MGSIPDPGELTELTHPSFDEFQRQTSLMTSCTLLWKELSDHINSLEQNLMRQSEALKRKIETLDSETKASLDSLKKREHSIEGSVKIALDRVEFHTKAALKTLSDDVEDNPDGEVDNGDGLLQLLKSTCLKMEAKEFWNFVTGKKKEIDLLREKIPAALSECVDPARFVMEAISEVFPVDKRGNERGNDLGWACVLILESLIPVVVDPVIGKSRMLVTPSVKEKAKEIAETWKKSLEERGGIENVKTPDVHTFLQHLVTFGIVKKEDLELYRKLVVGSAWRKQMPKLAVSLGLGDKMPDMIEELVSKGQQLDAVHFTYEVGLVDKFPPVPLLKAFLRDAKKAASSILEDPSNAGRAAQLAARKEQSALRAVIKCIEEYKLEAEFPPENLKKRLEQLEKTKTEKRKAAAVPANKRTRASNGGPMPPAKAGRLMNAYVSSFPAPPTFVRSPSHTQYPAAVPAYPSPPAMYGSRSPPTNPYAYSPEAAPPPLSGSYPGAPMNYPAYGGYGNGLAPAYQQAYYR >EOY05687 pep chromosome:Theobroma_cacao_20110822:4:29497358:29500530:1 gene:TCM_020626 transcript:EOY05687 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein isoform 1 MGSIPDPGELTELTHPSFDEFQRQTSLMTSCTLLWKELSDHINSLEQNLMRQSEALKRKIETLDSETKASLDSLKKREHSIEGSVKIALDRVEFHTKAALKTLSDDVEDNPDGEVDNGDGLLQLLKSTCLKMEAKEFWNFVTGKKKEIDLLREKIPAALSECVDPARFVMEAISEVFPVDKRGNERGNDLGWACVLILESLIPVVVDPVIGKSRMLVTPSVKEKAKEIAETWKKSLEERGGIENVKTPDVHTFLQHLVTFGIVKKEDLELYRKLVVGSAWRKQMPKLAVSLGLGDKMPDMIEELVSKGQQLDAVHFTYEVGLVDKFPPVPLLKAFLRDAKKAASSILEDPSNAGRAAVFPYLLIVIIYLFNSYYI >EOY03021 pep chromosome:Theobroma_cacao_20110822:4:3691079:3694293:-1 gene:TCM_017432 transcript:EOY03021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde 3-phosphate dehydrogenase A subunit 2 MASATVSVAKPTLQANGKGFGEFSGLRNSSSSLPFPRKTSDDFLSVVAFQTSALGSNNGGYRKGVVEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPAGDDAISVDGKIIKVVSNRNPVNLPWKDLGIDLVIEGTGVFVDSDGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADSYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPTLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFRDSAEKELNGILSVCDEPLVSVDFRCSDVSSTVDASLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >EOY02422 pep chromosome:Theobroma_cacao_20110822:4:754056:756625:-1 gene:TCM_016902 transcript:EOY02422 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor A1F MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLEWLSNNIASKA >EOY06770 pep chromosome:Theobroma_cacao_20110822:4:32911639:32915967:1 gene:TCM_021402 transcript:EOY06770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MAKHLVFCLVMLKLSIAFANVPNTLNETCLDDIDIVLQINLYKNSCPEAEPIIFSWVQNAVSEDSRMAASLLRLHFHDCFVNASSQSFTPEMWVLQGCDASVLLDDTEDFIGEKTAPPNLNSLRGFEVIDAIKAELESVCPGIVSCADILATVARDSVVLAGGPSWEVEMGRKDSLDASKAAATNNIPGPNSTVPTLVAKFRNVGLSFNDMVALSGAHTMGMARCSTFSSRLQGSNGPDINLDFLETLQHLCSESDSNTRLAHLDLATPATFDNQYYVNLLSGEGLLPSDQALVTQDYQTRQLVLSYAEDPLAFFEDFKNSMLKMGSLGALTGNEGEIRRNCRIVN >EOY06191 pep chromosome:Theobroma_cacao_20110822:4:31268646:31271700:1 gene:TCM_021006 transcript:EOY06191 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein MAATAAAAVGSSFSPSIQTLKCMRFKQLTADHSVLRFPSSRTISSISHSFFTHELFLTSGTVQKWRVLKVSAAVAQQEAAATAQEEESLAEEEVKEGEVAAEGETEAQAETAAEAESPVNTKLYFGNLPYNVDSAQLAGIIQEYGSPELVEVLYDRETGKSRGFAFVTMSTVEDCNTVIENLDGSEYLGRTLRVNFSDKPRPREPLYPETEHKLFVGNLSWSVTSESLTQAFQEYGTVVGARVLYDGETGRSRGYGFVSYSTKSEMEAALQSLNGVELEGRAIRVSQAQGRRS >EOY06760 pep chromosome:Theobroma_cacao_20110822:4:32856520:32859620:1 gene:TCM_021392 transcript:EOY06760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIERWVEPWKCGNRRLAIDSRGGVEWTDPSVNEIKFYIDGSASARSKSGLAECGGMFQDNEGSVVGLVFDPLDIHESNFTE >EOY04625 pep chromosome:Theobroma_cacao_20110822:4:25267186:25268526:-1 gene:TCM_019839 transcript:EOY04625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAHSLTPVPASATTPIAKSKKIPSLGLQRVWACHQRPNHVLDDKLVHRRTVTLSLAGAVVGLNVGDRIANAARRPPPPPPAEKEDPNVSGVQAKLLASKKRKEAMKQAVAKMREQGKAVDGPSPSE >EOY03053 pep chromosome:Theobroma_cacao_20110822:4:4013366:4014995:1 gene:TCM_017479 transcript:EOY03053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGTMNNSNFLRFEFEFDVNNHHALKKRTRVSGNGNSFDKSKFILVQAEKHHTQSILHKVPTPKRDIDVQKAFHPNIFNIIDGRQWENFCTQPEATIMPVVREFFANVV >EOY05976 pep chromosome:Theobroma_cacao_20110822:4:30494829:30497370:1 gene:TCM_020835 transcript:EOY05976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative isoform 2 MESQHFGHAHALAFNEKQSNQSEEASCSRCGEVVSSPSFSCVECGFYLHKNCAEAPSEINHPLHRKHPLVLFPNPPESEEYADGYICDFCDKIGKMFVYHCSCGLDFHIKCALFSCNIAEKFFGELEHIAHPWISTDEQNEELESAECFGCWNPLLESTYFSFDCGFNLHKKCVELSPEINNFSHQKHPLVLQFNGERFSCNMCQKPQRRGFVYCCSSCKFALHIKCAELLSEINHPCHRKHPLILQFNTENLPCKICLETSQKFVYCCSSCKFALHIECVSPPPTIKEESHQHPFILFWRPVSFICDACGLEGNYVAYICSTCSIIVHTKCIFLPRIIKAVLHHHPISHTYFLHQHECKSWDCRICHDNVNTEHGSYCCLDCKFFVHVNCIIKRERWYYIVETEKKDEKPLLLTDIAVDSITCVIERNEAGEATKVKHFSHDHDLVLSKIIIEDYKCCDGCMLSISTSFYSCSQCDFFLHKTCAELPRKTRLWYHLCQNVVTLIANNVYECEICAYVRSGFSYNCEKFLVACL >EOY05975 pep chromosome:Theobroma_cacao_20110822:4:30494896:30496575:1 gene:TCM_020835 transcript:EOY05975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative isoform 2 MESQHFGHAHALAFNEKQSNQSEEASCSRCGEVVSSPSFSCVECGFYLHKNCAEAPSEINHPLHRKHPLVLFPNPPESEEYADGYICDFCDKIGKMFVYHCSCGLDFHIKCALFSCNIAEKFFGELEHIAHPWISTDEQNEELESAECFGCWNPLLESTYFSFDCGFNLHKKCVELSPEINNFSHQKHPLVLQFNGERFSCNMCQKPQRRGFVYCCSSCKFALHIKCAELLSEINHPCHRKHPLILQFNTENLPCKICLETSQKFVYCCSSCKFALHIECVSPPPTIKEESHQHPFILFWRPVSFICDACGLEGNYVAYICSTCSIIVHTKCIFLPRIIKAVLHHHPISHTYFLHQHECKSWDCRICHDNVNTEHGSYCCLDCKFFVHVNCIIKRERWYYIVETEKKDEKPLLLTDIAVDSITCVIERNEAGEATKVKHFSHDHDLVLSKIIIEDYKCCDGCMLSISTSFYSCSQCDFFLHKTCAELPRKTRLWYHLCQNVVTLIANNVYECEICAYVRSGFSYNCEK >EOY03641 pep chromosome:Theobroma_cacao_20110822:4:17541675:17544027:1 gene:TCM_018731 transcript:EOY03641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVSYLIRIKDYTRGPRIRVYLLLGQLRIGSRLSIASRSKFRSCILEGKVIDLNLLSYLRAHMSL >EOY06051 pep chromosome:Theobroma_cacao_20110822:4:30771521:30772291:1 gene:TCM_020898 transcript:EOY06051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSWPYIKSRGCKTLTCLHINKYSVSYFCYVMIMLKKRHKTWFVLYWEDVSSFVCGPDLEAERMSVNYPRKEFLQSILFLVIHC >EOY05503 pep chromosome:Theobroma_cacao_20110822:4:28808766:28810678:1 gene:TCM_020489 transcript:EOY05503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRGGPLRHGGGMPVKSMASLWSVIVFGTREACEAKVSSGENVGEAFPSPGKGMTALGYNEGWNWLAGFGEFCAIG >EOY05026 pep chromosome:Theobroma_cacao_20110822:4:26883141:26884922:-1 gene:TCM_020137 transcript:EOY05026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCDSYVNGEAVTNPFSIRQFVGATRRKDVFLCWPFQQKHLHICLKYGISNVLPPFEPCNPAIRTTEETVGLMCSQQNKENVSFENKVRDIIVQEQLIKDECNSFYDEVLLKAPCHDFLKSHLDDSSKHKDESNISFDYTSNVIAPLNRQSSSIEGSDLNVHPRTNTLSSKRLRHKQRKYKWRQKKRSMLDILAKAKPCTLEDLYKLDAAQ >EOY06071 pep chromosome:Theobroma_cacao_20110822:4:30846820:30849330:-1 gene:TCM_020910 transcript:EOY06071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLATQTKERKCSEWAVNIQRDSYASYIGHCPILAYFAVAENESIGRERYNFMQVQCKTTIEESKEWSRGLGQFPRGSGQPKLVETCGSAESIRKTKPFWGYSDCTGVNNKWIYWIIWLALYQNQTLANPSNQLLNTVVEVSTFGMIKK >EOY02980 pep chromosome:Theobroma_cacao_20110822:4:3309116:3313100:1 gene:TCM_017372 transcript:EOY02980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 1 MDANPTKAKEQRARGCNPVKKPGPVTMDHVLLALEETKEERDQRIRSLFNFFDSANAGFLDYAQIEAGLSALQIPSEYKYAKDLLDVCDANMDGRVDYQEFRRYMDDKELELYRIFQAIDVEHNGCILPEELYDALLRAGIEIDDEELALFVERVDQDNNGVITFKEWRDFLLLYPHEATIENIYHYLERVCLVDIGEQAVIPEGISKHVHASRYLIAGGVAGAASRTATAPLDRLKVVLQVQTTRAHIMPAIRDIWREGGILGFFRGNGLNVLKVAPESAIKFYMYEMLKEFIIKAKGGKDKAEIGAAGRLIAGGLAGAVSQTAIYPMDLVKTRLQTYSCEGGKIPNLGALSKDIWIQEGPRAFYRGLVPSLLGIIPYAGIDLAAYETLKDMSKKYILQDSEPGPLVQLGCGTISGALGATCVYPLQVVRTRMQAQCPNTGAAYKGMSDMFKRTFQHEGIRGFYKGLFPNLLKVVPSASITYLVYESMKRSLDLE >EOY02981 pep chromosome:Theobroma_cacao_20110822:4:3308281:3311538:1 gene:TCM_017372 transcript:EOY02981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 1 MDANPTKAKEQRARGCNPVKKPGPVTMDHVLLALEETKEERDQRIRSLFNFFDSANAGFLDYAQIEAGLSALQIPSEYKYAKDLLDVCDANMDGRVDYQEFRRYMDDKELELYRIFQAIDVEHNGCILPEELYDALLRAGIEIDDEELALFVERVDQDNNGVITFKEWRDFLLLYPHEATIENIYHYLERVCLVDIGEQAVIPEGISKHVHASRYLIAGGVAGAASRTATAPLDRLKVVLQVQTTRAHIMPAIRDIWREGGILGFFRGNGLNVLKVAPESAIKFYMYEMLKEFIIKAKGGKDKAEIGAAGRLIAGGLAGAVSQTAIYPMDLVKTRLQTYSCEGGKIPNLGALSKDIWIQEGPRAFYRGLVPSLLGIIPYAGIDLAAYETLKDMSK >EOY06272 pep chromosome:Theobroma_cacao_20110822:4:31471019:31472200:-1 gene:TCM_021059 transcript:EOY06272 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MNEKEQSQGLFNPALGFRMTGGGNQMEQKQNNMSRPDLSQDIIEEILARLPVKSLLRFKCVSRSWYSLISSPSFARMQLERASGTSVLITSTPSRLNLVDYEASFCEVPLDFPPKRQDHRVRIMGSCHGLVAVALEKDKVFLWNPSTGDYKKLPDPCFPSSGALYCHGFGYDSSTDDYKVLLGVQTWRPISYDF >EOY02792 pep chromosome:Theobroma_cacao_20110822:4:2289961:2293103:-1 gene:TCM_017189 transcript:EOY02792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase family M48 family protein MASLVLSSLCFFPSQKPCFLTSSLRFSFGAPTGSRSGGPLSVGFGSVEKRNGRIKVSVYSRASPLVFRDLDADDFRHPLDKQNTLLLRAIPGLNELGKALLGTMTEQIMLLENIGTSVRVSKDQLPELHKMMVEASGILNIEAPDLYVRQSPVPNAYTLAISGKKPFVIIHTSLVELLSRKELQAVLAHELGHLKCDHGVWLTFANLLTLGAYTVPGLGGFIARSLEEQLFRWLRAAELTCDRAALLVAQDPKVVISVLMKLAGGCPSMADQLNVDAFLDQARSYDKASSSPVGWYIRNAQTRQLSHPLPVLRAREIDEWSRSHEYRSLLKRATQMGVVEKV >EOY06442 pep chromosome:Theobroma_cacao_20110822:4:31924247:31929099:-1 gene:TCM_021159 transcript:EOY06442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonneau 2 (TON2), 2 isoform 1 MYSGSSDGEGHEAATQRKIPPASSMLWVRNLRRYIGSGAGLGSEALMELETKRILLDIFKDKQQKSAEAGTIPSFYKKKPEEGSISHRVQRLAKYRFLKKQSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEGYIRGLIPNLAQLRDMPPAFMQMYCRIAAHKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDMNGTLSKQELQEYADGTLTEIFIERVFDEHVRRGKSGAGNNREMDFESFLDFVLALENKDTPEGLTYLFHCLDLHVRGYLTTADIHSLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPVDPLRITLADLLACKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPEEE >EOY06441 pep chromosome:Theobroma_cacao_20110822:4:31924536:31929070:-1 gene:TCM_021159 transcript:EOY06441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonneau 2 (TON2), 2 isoform 1 MYSGSSDGEGHEAATQRKIPPASSMLWVRNLRRYIGSGAGLGSEALMELETKRILLDIFKDKQQKSAEAGTIPSFYKKKPEEGSISHRVQRLAKYRFLKKQSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEGYIRGLIPNLAQLRDMPPAFMQMYCRIAAHKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDMNGTLSKQELQEYADGTLTEIFIERVFDEHVRRGKSGAGNNREMDFESFLDFVLALENKDTPEGLTYLFHCLDLHVRGYLTTADIHSLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPVDPLRITLADLLACKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPEEE >EOY05553 pep chromosome:Theobroma_cacao_20110822:4:28974977:28980098:1 gene:TCM_020527 transcript:EOY05553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MASWPQTTSSFLYSSIFPWRLLFHFFLFCLLLFVSPSITLASFRPKALVLPVWKEPSSLQYLTQIWQRTPLVPVNLTVDLGGQFLWVNCAKDYVSSSYRPGTCRSSQCSLARALLCSNCSSSATPSPGCNNKTCTLFPDNTVIRAATFAELAQDVFSIQSTDGKNPGSVVSVPKFLFSCGATFILEGLANGVTGMAGLGRYPISLPSFFSAAFSFDRKFAICLSSATKSNGVIFFGDGPYIFPPNIDVSKNLIYTPLVLNPVSIDAIYYTGFPSTEYFIQVKSIKINGKTVPLNTSLLSFDNEGNGGTKISTVKPYMLMETSIYNAVVKAFLQEIANVSQVAAVAPLGACFNSNSIEKTRAGPAVPPIDLVLQSDLVYWRVFGANSMVHVSENVLCLGAVDGGSNPRTSIVIGGHQLEDNLLQFDLATSRLGFSSSLLFRQTTCSNFNFTSTASEG >EOY03929 pep chromosome:Theobroma_cacao_20110822:4:20495525:20501519:-1 gene:TCM_019143 transcript:EOY03929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 81, subfamily D, polypeptide 8, putative MKNVFSSIYVHLQSKLFSFPSILPKKIPFHIELKKETSKIVNMEPESTILYSSLSLILLLFCFKLLFQIKTSKQNLPPSPPSLPFLGHLHLIKRPIHCFYHSLSQKYGPIFSLRFGSRLVVVASSSTAAEECFTKNDIVLANRPKLLLGKYLGYNWSTVVGSPYGDHWRNLRRISAIEIFSSSRLNAFLSIRKDEVKRLLLKLSSDDNSGQEFTKVELRSLFVDLTFNNIMRMVAGKRYYGGDSVTDEGEAKEFRELMKESLASGGVAHPGDFLPILNWIGGRSYLKKLMNLGERMDGLLQKLVDEVRAKRQGNTMIDHLLSLQQTEPDYYTDQLIKGQILVMLFAGTDTSAVTLEWAMSNLLNNQDVLKKARTELDSQIGQENLIDEPDVSKLQYLQSIIFETLRLNPSVPLLLPHMASTDCRICGYDVPRDTIVLINAWAIHRDPTLWDDPTSFKPERYGNGEWESYKLMPFGLGRRACPGAGLAQRVVGLSLGSLIQCFEWERVSEKEVDMAEGGEITMPKVVPLEAMCKARPIVNKVLTRTI >EOY02635 pep chromosome:Theobroma_cacao_20110822:4:1638735:1641248:-1 gene:TCM_017058 transcript:EOY02635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein MGKWSKRFIFLFLCLSICLQIPSVFSSASPLQENQGHKESAAARTFKQEQGNAHVVHCSRERSRAAWKIIEEYLMPFVEKERYKISSGCRLHPDNDLYRDQEQHKLHVDINEWQCGYCNKNFYEEKYLDKHFDNRHFDLLNVSQSRCLADLCGALHCDLVMDSAPRKTKCNPAAAERNKHLCESLADSCFPVSNGPLSGRLHEFFLRQFCDAHTCTGGPKPFSKGSKKRTNILYISISILTLMLLPLFYIFVYLYQRGIKRGSQELKRI >EOY06605 pep chromosome:Theobroma_cacao_20110822:4:32445163:32450270:1 gene:TCM_021274 transcript:EOY06605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MGPTSSLSALSTHMRSQCPKHPLPLFYSPSIPLPHPIFHPLSILPLTCQTGSSSPNILTKSTSQLNSCLKANLKSTNPCHFITSHHSYVSLTNQFLTNFVSLLSVSAYQLFFFTTLSFWSLLLRPLTYEDAKMPASSFPFLALLHYKIDMFSSFKLVGVALLLLLALCNAIKVNGEESNGQCNHKLTLDPRPHSVSILEFGAVGDGKTLNTIAFQNAIFYLKSFADKGGAQLYVPPGRWLTGSFNLTSHLTLFLEKGAVILGSQDPSHWDIVEPLPSYGRGIELPGGRYRSLVNGYMLRDVVITGDNGTIDGQGSVWWEWFMSHSLNYSRPQLVEFVSSDYILVSNITFLNAPAYNIHPVYCSNVHIHNISVYAPPASPFTVGIVPDSSDNVCIEDCSISMGHDAIALKSGWDEYGITYGRPTTNVHIRRVNLQSSSGSSLAFGSEMSGGISDVQVEQAHLYNSLSGIEFRTTRGRGGYIEDIIISDVDLLNVHMAFGAIGHYGSHPDDKYDPDAFPVLQKITLQNIIGTNITVAGNFTGIRESPFTSICLSNISLSINSASSTSWVCSYVSGFSESVFPEPCPDLENSNTSSSCVSLLKPNGRAAVL >EOY03113 pep chromosome:Theobroma_cacao_20110822:4:5130635:5134437:1 gene:TCM_017591 transcript:EOY03113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKLQEIRTAFKGIDLVVWIVFPNLRAQLYEKSALMVIAKAVGHPLLVDEATANGTRPSIARVCIEFDCQKPLLDQIWIVTRDRSTGEVTDGFMQKVEFERLSEYCMHCCHVGHSALTCIVMGNGPGKQGLERSKTPAGKKKMGTEGIERDRQLEERPLNAIIEEDQGKQNRVPKQGQIEMNCVLAEKSDGFHRETKEKQSTGAEGMHVIDELNKAEQFAFFSTKVQIEKGEVHEQFHEQGKFGQTGYGMEERVRTEPKGGKPKLAPAAGTDAREKELIMHAEKERALTLAVDWPNALSSIYAQSKQEQRQRVTGVEDLEVTAHEKVEGTVGPTAFLSLESVAKQRMGANDKDNKGDPSVGEKIVAILAKLQAMPSDVQGNFHFARVKGWKVKQTFPPTQESASGKCMHNKLSDVPSFPSFSETKFTKIRVHPRIRRKRHSDTEVSIDKILSFASDKAVDMGENNEDSDEDAILVNFVASWERERYY >EOY02375 pep chromosome:Theobroma_cacao_20110822:4:603392:606629:-1 gene:TCM_016872 transcript:EOY02375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formate dehydrogenase MAMKQVASSAIKALANSGSSSVLTRQLHASPGSKKIVGVFYKANEYYEKNPNFVGCVEGALGLREWLESQGHQYIVTDDKEGPDCELEKHIPDLHVLISTPFHPAYVTAERIKKAKNLQLLLTAGIGSDHVDLKAAAEAGLTVAEVTGSNVVSVAEDELMRILILVRNFLPGHHQVITGDWNVAGIAYRAYDLEGKTVGTIGAGRIGRLLLQRLKPFNCNLLYHDRVKIDPELEKQTGAKFEEDLDAMLPKCDIIVINMPLTEKTRGMFDKDRIAKLKKGVLIVNNARGAIMDTQAVADACSSGHIAGYSGDVWYPQPAPKDHPWRFMPNQAMTPHISGTTIDAQLRYAAGVKDMLDRYFKGEEFPAQNYIVKEGELAPQYR >EOY02414 pep chromosome:Theobroma_cacao_20110822:4:724658:727352:1 gene:TCM_016896 transcript:EOY02414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEYNSPRVSRKVRGGPTWKSRYAVDEGGDFIECSGKYCRSCTAGVIADCVALCCCPCAVLDLLTLALVKVPWKMGRRCLGLGKKKRKKVEMKRKCKTSCCCCSTSGGGDHQVGDGNLRERVRVEEGMWEFPTAFGEEKEEEIGNLSARFEAERVWLELYQVGHLGFGRVSFTGI >EOY02413 pep chromosome:Theobroma_cacao_20110822:4:721237:728040:1 gene:TCM_016896 transcript:EOY02413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEYNSPRVSRKVRGGPTWKSRYAVDEGGDFIECSGKYCRSCTAGVIADCVALCCCPCAVLDLLTLALVKVPWKMGRRCLGLGKKKRKKVEMKRKCKTSCCCCSTSGGGDHQVGDGNLRERVRVEEGMWEFPTAFGEEKEEEIGNLSARFEAERVWLELYQVGHLGFGRVSFTGI >EOY04195 pep chromosome:Theobroma_cacao_20110822:4:22548167:22549171:-1 gene:TCM_019454 transcript:EOY04195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase (small chain) family protein isoform 1 MASSMISSATVATVNRASPAQASMVAPFTGLKSTSALPVARKANNDITSLASNGGRVQCMQVWPPLGKKKFETLSYLPDLTRERLFKEVEYLLRNKWVPCLEFELEVISSSHGFVYRENLRIPGYYDGRCWIMWKLPMFGCTDASQVLKELDEAKKTYPTAFIRIIGFDNRRQVQCISFIAYKPPGYEN >EOY04196 pep chromosome:Theobroma_cacao_20110822:4:22548151:22549171:-1 gene:TCM_019454 transcript:EOY04196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase (small chain) family protein isoform 1 MASSMISSATVATVNRASPAQASMVAPFTGLKSTSALPVARKANNDITSLASNGGRVQCMQVWPPLGKKKFETLSYLPDLTRERLFKEVEYLLRNKWVPCLEFELEHGFVYRENLRIPGYYDGRCWIMWKLPMFGCTDASQVLKELDEAKKTYPTAFIRIIGFDNRRQVQCISFIAYKPPGYEN >EOY03578 pep chromosome:Theobroma_cacao_20110822:4:17263455:17269552:-1 gene:TCM_018689 transcript:EOY03578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/lipid-binding (CaLB) phosphatase MFNPKGISHMGLKFARPGPGKNGNATLLLQHRMLAYLTATSFIRNLVSKKRRRMVVGGYDLDMSYITDRLLAMSFPAERMRAMYRNPLWQVKSVLEMRHQGHYKIYNLCIEEDYDPLHFHGRVEKFPFDDNHVPSLQMMKLFCESVHSWLSNDPKNIAVIHCMAGKGRTGLMVCAYLVYSGMLAEEALQLYAQKRTTNNEGVSIPSQRRYVGYWENILSFPRGVRNGLPDVNLPPPCSRELRRIRLYDTIATTSVFFVVSELQEIPSQMYCPPVEVAKSCCREINKGYEVNSSPRYFLTFIEGEEEGNTSESEKPRVVVQMDTESPVLYQKTCLDYHFSKPVQVSGDVRVIFYQKMIGGRLFYACFNTAFIKNSLLQFTIRDLDKVGSTGRSICGPAFCMELLFGPANPKHSVSCSSDYDN >EOY03737 pep chromosome:Theobroma_cacao_20110822:4:18789665:18793793:-1 gene:TCM_018892 transcript:EOY03737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDLQKTGLYLAIVLTTMIINLSSTNHSAIGSPESSSRSFFHCNLSSHECFRQNEVDLGFEFLMESETSKMVLEMRKMRQQGGRPSTNTLHPADAACGRDKYGYKCTPQGNKGVKRSENCHGSTFNRGCHQIRYK >EOY05312 pep chromosome:Theobroma_cacao_20110822:4:28012949:28019759:-1 gene:TCM_046762 transcript:EOY05312 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory complex, non-ATPase subcomplex, Rpn2/Psmd1 subunit MAAAAATMVSSAGGLLAMLNESHPQLKFHALSNLISFVDQFWPEISTSVPIIESLYEDEEFGQHQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDVSEDSDYVHTLLAKAIDEYASLRSKAAESSDEAAKVDPRLEAIVERMLDKCIMDEKYQQAMGIAIECRRLDKLEEAITRSDNVHGTLAYCINVSHSYVYRREFRREVLQLLVKVYQQLPSPDYLSICQCLMFLDEPEGVANILEKLLRSENKEDALLAFQVTFDLVENEHQAFLLNVRDRLSAPKSLPSESVQPVPNDPTPAQNENPTAPEDIQMTDGSAAASTNVHEADPKEVMYAERLTKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSICHSATIYANAIMHAGTTVDTFLRDNLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTNVEVIQHGACLGLGLAALGTADEEIYDDIKSVLYTDSAVAGEAAGISMGLLMVGTASEKASEMLAYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQINGASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKVTAVVGLAVFSQFWYWYPLIYFVSLSFSPTAFIGLNYDLKVPRFEFLSHAKPSLFEYPKPTTVPTTTSAVKLPTAVLSTSAKAKARAKKEAEQKASAEKSSGAESLSTGPSTGKGKSSGEKDGEAMQVDNLPEKKAEPEPSFEVLINPARVVPAQEKFIKFLEDSRYVPVKLAPSGFVLLRDLRPDEPEVLSLTDAPASTASPAGGSAAGQQSSSSAMAVDDEPQPPQPFEYTS >EOY02532 pep chromosome:Theobroma_cacao_20110822:4:1255401:1258769:1 gene:TCM_016985 transcript:EOY02532 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY domain protein MFAARNLQRHCLRTLSSLLQSNPHRNVGAFKDATPTLLSTNVIQLDGCFEDDFASFPSLTNPFNGWCRMMSTSRGRSMRSKVERRMQKESGKTAREIRRAKKIKKKLMTDEERLIYNLKRAKKKVALLLQKLKKYELPELPPSVHDPELLTPEQLQAYKKIGFRNKNYVPVGVRGVFGGVVQNMHLHWKFHETVQVCCDNFPRERIKEMATMLARLSGGIVINVHNVKTIIMFRGRNYRQPKNLIPINTLTKRKALFKARFEQALEAQKLNIKKIEQELRRKGINPEDPVAMASIQRVASTFFNAIDEKEGSPYVFRGDQSSLLEPKTTLAQAEAPAESDQEELDRFIAEIEDAADREWAEGEEEEKEEIGRIRYWNRQEFGGKPGRLDDVRNNYPEYEVRGSRGSKDTHGNKRTADSEDDYEDNFEGAAKQYPSNAGDLSETESDDDDSEEVFEFKRSRVENRKQDKIGRLNSTAGFRRNAGGSYRQQKAEDSESENMLSDLDNAMRESDAEEEDDFRASNPRDNFRSSSDEEDGFYSTKGNEKDRVHYYDSDDSYEAHTELEMSNTADKKLDGIDGGSRKMAKVAEDVFSD >EOY06142 pep chromosome:Theobroma_cacao_20110822:4:31063931:31065127:1 gene:TCM_020959 transcript:EOY06142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWKNIISPLTPSWNLSSVLHVGIGYLIGSGTRIKFWDDDWIDGIILRSTFSRIFSLTNKKFGKVSEFGYWDNGGWQWQMDLRRRLFDWEKDYWAHVKECLGHIHLDLETNDKLIWKCNPNGCYSPNFFCRSVLQNNDRNRELWRYVWARLAPPKAEVFVWQVMKGRVAVKEELVKRNLVQRDASLCTLCNREAESVGHIFFSCLESWKEFFAIIWPLWLYRNEMVFEEKCWDMLKVLDIVRIGVAWWAKVQMA >EOY03492 pep chromosome:Theobroma_cacao_20110822:4:16319754:16325346:-1 gene:TCM_018581 transcript:EOY03492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNNNFIGDLSHLKDRNSELLSNLKCKKLTYFKWYKDIFMTRVMQRLDNQQPFWKEKFLARLPTLLRDKVRNQKGETYKGIIPYENLTYGELISFTQKEGLKICQDLKLQKQLKKKNSIIMQKNWDLFANILMYLLFRTLLPTKPKKSFKYFSSFH >EOY02421 pep chromosome:Theobroma_cacao_20110822:4:747752:750478:-1 gene:TCM_016901 transcript:EOY02421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 21 MDKKPCKSQDVEVRKGPWTMEEDLILINYIANHGEGVWNSLAKAAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHAKWGNRWSKIAKHLPGRTDNEIKNYWRTRIQKHIKQAEVCSSQIHSELHKQASTSQVPCNTDMMETYPPSSFNHCNMEAFPGQSAMSMAVESNESYWSIEDLWSMQLLTGD >EOY04876 pep chromosome:Theobroma_cacao_20110822:4:26291299:26292543:-1 gene:TCM_020039 transcript:EOY04876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MPTVLLRIFLLYNLVLDYLVPKKLKTFLPSSWIPTRTLVSTGSESKTHTSTSTAPESASAPASSACCPQRMDGAELKRVFQMFDKNGDGRITKKELNDSLENLGIFIPDGELTHMIEKIDVNGDNCVDIDEFGELYHSIMDDKDEEEDMKEAFNVFDQNGDGYISVDELRSVLVSLGLKQGKTIEDCKRMIMKVDVDGDGRVNFKEFKQMMKGGGFSALT >EOY03279 pep chromosome:Theobroma_cacao_20110822:4:10946739:10956245:-1 gene:TCM_018113 transcript:EOY03279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWRKSAVDCTQRIEMRTSESRAARDRAKRAKTKGYQGRRDFNSGVSSSSCQGPQRDSRLPQQGSDLTSASIRSGIGEDASVLWELVSYVVNLDILRGIAQWLINHKVLLVAPPSQLLPLLQQASRSGRQSSVGRDQARVFALTPLKAQTSNVVVSGILSICNMNARVLFDPGATHSFISPCFASRLGKDRVRREEQLVVSTPLNEVFVAEWEYESCVVRVKDKDTLVNLVVLDTLDFDVILGMDWLSPCHASVDCYHKLVRFDFSDEPPFCYLAVVRDTQAKVGDISQVSMVNEFVDVFLEELPGLPPE >EOY03744 pep chromosome:Theobroma_cacao_20110822:4:18897387:18912787:-1 gene:TCM_018907 transcript:EOY03744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNDRLVWVLDKKGKFSIKRFFMAINNFHEQAEQEVEGIIQSWDNYGFGGGIKSHGWARYLGWVLLGFLQNLQSLSSTWMTLHVVNQVWLDMSGSFETQTSMQMECVMVPWAYSITHANAKVMTIFDVIKLFATISWVSANRLIVEFDSRITLSWVKETKLCPLNLWRTFSELDNICTDIGDISFFHIFREDKILTDSLARFG >EOY03406 pep chromosome:Theobroma_cacao_20110822:4:14824133:14846715:1 gene:TCM_018428 transcript:EOY03406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMLNLLIRIDGKLTDQAEKMVKIEENLQQFEALLNPTKETKVPKALVSATSQSSVRTATKQFESVTSSHDRETKKEILENPNVTHAGIEESGKNNVEQKEQEKEKQPPTEEHEEEKEKEHTTEENEKEKEKEKKKEPKVGKEHSNAEKSVVSSPTEFEEILVSNFIRDIINETKVDQAHQQARMHQEAQSAPPEIEQTVEKAHLNRGKATDTDLVAKKTIGKGKKTMAIKTRTFKRKKSTRLAMTSTQ >EOY04327 pep chromosome:Theobroma_cacao_20110822:4:23898789:23904075:1 gene:TCM_019611 transcript:EOY04327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAGLLAWAADVVGGHGGNNSQEDDVDNIPLIFSPDQQKYVQELERKASSLTRLIQDLRLRLPPPDISQRLPHLHAHSLASNAALALQLNSHSATREQAQSREETLQQENAAYEKAISNCENKMQEKVQEADTLRSKLKEMDDIEKSLKAELENAQAALDVSHSGKSADSVVESTVGAENEASIEASKSAMLDKLEKKKKESSSIEETVQDLENKWENIQNKALKQPSPAQREKALDKQLHSLIEQLAAKQAQAEGLVSEIHLKEKELERLNGLWTKLELNNAEVNTARNRFGRGGSDKGSSSDFSVDAHHKLPYYSGGRSENQQRLMLLRSAFVLYILALHILVFVKISF >EOY04328 pep chromosome:Theobroma_cacao_20110822:4:23900177:23903629:1 gene:TCM_019611 transcript:EOY04328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAGLLAWAADVVGGHGGNNSQEDDVDNIPLIFSPDQQKYVQELERKASSLTRLIQDLRLRLPPPDISQRLPHLHAHSLASNAALALQLNSHSATREQAQSREETLQQENAAYEKAISNCENKMQEKVQEADTLRSKLKEMDDIEKSLKAELENAQAALDVSHSGKSADSVVESTVGAENEASIEASKSAMLDKLEKKKKESSSIEETVQDLENKWENIQNKALKQPSPAQREKALDKQLHSLIEQLAAKQLSAIDHIPQVGCSQFMIIAEALGHWIFGFA >EOY04886 pep chromosome:Theobroma_cacao_20110822:4:26322013:26344515:-1 gene:TCM_020045 transcript:EOY04886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 2 MGSKKKKKRGGGGGGGGGGRRSKGRASLKDHNSHDGDDNELLSEEITALCAIFQEDCKVVSGSPLQISIQLRPYSKDMGYEDLDVSALLLVRCLPGYPYKCPKLQITPEKGLTKSEADNLLSLLNDQANANAREGRVMIFNLVEAAQEFLSEIVPVAQSHESLLYSTTGSSGQLLQKDVAISSNKSCSSRGPFVYGFIDLFSGSGESWNWPMDMDKNRGIVSAVQSHLSDGSKLGYNVREKKLEKNPTSLAMQEKKQVLSPLPVAKLDNLKEESEDDSKSISTADSSNFLMEDLGRNGMKGEKEDIVLEETEDDDGDLESDPWESLSSASLADDRASEAIEKDLMMVHLLRLACASKGPLNDSLPQIITELYNLGMFSEWVRDLAFKSSSTFNKTFDHTFCQHMVVSSKVSAFWKPASDLGGESASLPSSRYLNDFEELQSLGHGGFGHVVLCKNKLDGRQYAVKKICLKDKNLPVNDRILRCFQIADVYVSSKRIYALSISSDLGFGQLVLHLFRRLIMDWEVATLSRLQHQHVVRYYQAWLETGAASSSGDTAWGSGTATSSTFSKGAGLTDVPVQENKLESTYLYIQMEYCPRTLREVFESYNHFDKELAWHLFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLRFEQVDQDGGFPIDTPGVSVDGTGQVGTYFYTAPEIEQEWPRIDEKVDMFSLGVVFFELWHPFGTAMERNIVLSDLKQKGELPAAWVADFPEQASLLRCLMSQSPSGRPSATELLQNAFPPRMEYELLDDILRTMQTSEDTSVYDKVVHAIFDEEMLGMKNNHQNAGRLGMVQHDTSSIQFADLDTELRDYVAEISREVFKQHCAKHLEIIPMRLLDDCPQFYRNTVKLLTHGGDMLELCHELRLPFVSWIVANQKFSFKRYEISSVYRRAIGHSPPNRYLQGDFDIIGGASALTEAEALKVTMDILTRFFNSELCDIHLNHGDLLEAIWSWAGINAEHRQKVAELLSMMASLRPQSSEWKLKWVVIRRQLLQELKLAEATVNRLQTVGLRFCGAADQALPRLRGALPADKPTRKALDELSDLFSYLRVWRIEKHVYIDALMPPTESYHRDLFFQIYLGKENHPGSLTEGALLAVGGRYDYLLHQMWDHEYVGYAPVIPFLLFIFFIDLYMPINVLFFSGSIQKTNPPGTVGTSLALETIIQHCPVDFKPIRNEATTSILVCSRGGGGLLIERMELVAELWKENIKAELVPIPDPSLTEQYEYASEHEIKCLVIITDMGVSQTGFVKVRHLDLKKEKEVQRKDLVRFLLNAMGTQFRNPLVWS >EOY04887 pep chromosome:Theobroma_cacao_20110822:4:26321720:26342214:-1 gene:TCM_020045 transcript:EOY04887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 2 MGSKKKKKRGGGGGGGGGGRRSKGRASLKDHNSHDGDDNELLSEEITALCAIFQEDCKVVSGSPLQISIQLRPYSKDMGYEDLDVSALLLVRCLPGYPYKCPKLQITPEKGLTKSEADNLLSLLNDQANANAREGRVMIFNLVEAAQEFLSEIVPVAQSHESLLYSTTGSSGQLLQKDVAISSNKSCSSRGPFVYGFIDLFSGSGESWNWPMDMDKNRGIVSAVQSHLSDGSKLGYNVREKKLEKNPTSLAMQEKKQVLSPLPVAKLDNLKEESEDDSKSISTADSSNFLMEDLGRNGMKGEKEDIVLEETEDDDGDLESDPWESLSSASLADDRASEAIEKDLMMVHLLRLACASKGPLNDSLPQIITELYNLGMFSEWVRDLAFKSSSTFNKTFDHTFCQHMVSSKVSAFWKPASDLGGESASLPSSRYLNDFEELQSLGHGGFGHVVLCKNKLDGRQYAVKKICLKDKNLPVNDRILREVATLSRLQHQHVVRYYQAWLETGAASSSGDTAWGSGTATSSTFSKGAGLTDVPVQENKLESTYLYIQMEYCPRTLREVFESYNHFDKELAWHLFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLRFEQVDQDGGFPIDTPGVSVDGTGQVGTYFYTAPEIEQEWPRIDEKVDMFSLGVVFFELWHPFGTAMERNIVLSDLKQKGELPAAWVADFPEQASLLRCLMSQSPSGRPSATELLQNAFPPRMEYELLDDILRTMQTSEDTSVYDKVVHAIFDEEMLGMKNNHQNAGRLGMVQHDTSSIQFADLDTELRDYVAEISREVFKQHCAKHLEIIPMRLLDDCPQFYRNTVKLLTHGGDMLELCHELRLPFVSWIVANQKFSFKRYEISSVYRRAIGHSPPNRYLQGDFDIIGGASALTEAEALKVTMDILTRFFNSELCDIHLNHGDLLEAIWSWAGINAEHRQKVAELLSMMASLRPQSSEWKLKWVVIRRQLLQELKLAEATVNRLQTVGLRFCGAADQALPRLRGALPADKPTRKALDELSDLFSYLRVWRIEKHVYIDALMPPTESYHRDLFFQIYLGKENHPGSLTEGALLAVGGRYDYLLHQMWDHEYKTNPPGTVGTSLALETIIQHCPVDFKPIRNEATTSILVCSRGGGGLLIERMELVAELWKENIKAELVPIPDPSLTEQYEYASEHEIKCLVIITDMGVSQTGFVKVRHLDLKKEKEVQRKDLVRFLLNAMGTQFRNPLVWS >EOY04888 pep chromosome:Theobroma_cacao_20110822:4:26323073:26342081:-1 gene:TCM_020045 transcript:EOY04888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 2 MGSKKKKKRGGGGGGGGGGRRSKGRASLKDHNSHDGDDNELLSEEITALCAIFQEDCKVVSGSPLQISIQLRPYSKDMGYEDLDVSALLLVRCLPGYPYKCPKLQITPEKGLTKSEADNLLSLLNDQANANAREGRVMIFNLVEAAQEFLSEIVPVAQSHESLLYSTTGSSGQLLQKDVAISSNKSCSSRGPFVYGFIDLFSGSGESWNWPMDMDKNRGIVSAVQSHLSDGSKLGYNVREKKLEKNPTSLAMQEKKQVLSPLPVAKLDNLKEESEDDSKSISTADSSNFLMEDLGRNGMKGEKEDIVLEETEDDDGDLESDPWESLSSASLADDRASEAIEKDLMMVHLLRLACASKGPLNDSLPQIITELYNLGMFSEWVRDLAFKSSSTFNKTFDHTFCQHMVSSKVSAFWKPASDLGGESASLPSSRYLNDFEELQSLGHGGFGHVVLCKNKLDGRQYAVKKICLKDKNLPVNDRILREVATLSRLQHQHVVRYYQAWLETGAASSSGDTAWGSGTATSSTFSKGAGLTDVPVQENKLESTYLYIQMEYCPRTLREVFESYNHFDKELAWHLFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLRFEQVDQDGGFPIDTPGVSVDGTGQVGTYFYTAPEIEQEWPRIDEKVDMFSLGVVFFELWHPFGTAMERNIVLSDLKQKGELPAAWVADFPEQASLLRCLMSQSPSGRPSATELLQNAFPPRMEYELLDDILRTMQTSEDTSVYDKVVHAIFDEEMLGMKNNHQNAGRLGMVQHDTSSIQFADLDTELRDYVAEISREVFKQHCAKHLEIIPMRLLDDCPQFYRNTVKLLTHGGDMLELCHELRLPFVSWIVANQKFSFKRYEISSVYRRAIGHSPPNRYLQGDFDIIGGASALTEAEALKVTMDILTRFFNSELCDIHLNHGDLLEAIWSWAGINAEHRQKVAELLSMMASLRPQSSEWKLKWVVIRRQLLQLAEATVNRLQTVGLRFCGAADQALPRLRGALPADKPTRKALDELSDLFSYLRVWRIEKHVYIDALMPPTESYHRDLFFQIYLGKENHPGSLTEGALLAVGGRYDYLLHQMWDHEYKTNPPGTVGTSLALETIIQHCPVDFKPIRNEATTSILVCSRGGGGLLIERMELVAELWKENIKAELVPIPDP >EOY05416 pep chromosome:Theobroma_cacao_20110822:4:28517044:28527888:-1 gene:TCM_020426 transcript:EOY05416 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase, putative MCQMGDLDSRTEKEALLSFKLHVSDPQNALSGWTQNSSHCNWYGVSCSSNGFLVESLQLGRLGLVGTLAPSLSNLTFLHTLNLSHNLFHGQLQLEFSRLSLLQHIDLRNNSINGTVPPFLSDFHNLETLRLQGNNFSGILPPELGNLQRLRVLDISVNNLTGSLPATFGNLSSITSLAIARNKLVGEIPSELGQLRNLQQIQLSENHLTGQIPYSIFNITSLVFLSVTKNNLSGNLPNDIGQALPNLNQLFLALNRFQGIIPASLSNASNIEFLDLSRNRFHGPIPLLGNMKKLIKLELGSNSLSSTTAQNFQFIDSLTNCTQLEYLMINSNRLSGEFPSVANLSSNIQHFCISDNLLAGSFPQGIEKFQNLISLSIEQNSFTGEIPKSIAKLGNLQSFLGFQNMSSGEIPEIFANFTQVSVIQLGNNQFTGKFPTSLVYCQQLQTLDLSWNWLNGSIPEEVFLLSGLNYLILVHNVLWGPLPGEVGNLKQLQELDVSENKLFGNLPSSIRGCSSLLYLNMSRNNISGEIPDSLGKLVALEFLDLSSNYLSGPISQDLENVQFKMLNLSFNHLEGEVPTGKVFLNVSTFSIQGNDALCSSDQEIARNLELPQCNARKRKKNHLLKILVSAAGAASFICLILCFVWALISRKKKKREKGSKSSLSLKGLPPMISYSDIRLATGNFATENLIGKGGFGSVYKGAFSTNENGANTNNTTLAVKVLDLQQSKAIQSFLAECEALRNVRHRNLVKIITSCSSVDHKGDEFKALVMEFMPNGNLEKWLYPEDEESGLWLTFLQRLNIAIDVASAIDYLHNDCEPTVVHCDLKPANVLLDEDMAAHVGDFGLARFLSQNPPQGESSTTAVKGSIGYIAPEYGLGSKASTCGDVYSFGILLLEMFTAKKPTDEMFKEGLSLNKFASAVDRKQIFETADPRLFKNQGGLLQSSINTYSSESSSSSNSSNNSGKF >EOY04039 pep chromosome:Theobroma_cacao_20110822:4:21612410:21612964:1 gene:TCM_019296 transcript:EOY04039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METTAHFSSRTLNPHRILPALSTCRKTPFRKIMASTRGTNNGGDHYQGKLVDESMIQLRMRIKEMKVLEKSDELPSNWMEWEKQYFLHYNEDICKAIGLLQNYLMNVRPSLALGMIALLMLSVPISTAVLLFQGLEIAKGILSRF >EOY05016 pep chromosome:Theobroma_cacao_20110822:4:26848314:26851281:1 gene:TCM_020132 transcript:EOY05016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 3 subunit H1 isoform 1 MANSMARSFLQVAATEEVASPLRVVQIEGLVILKIIKHCKEFSPALVTGQLLGLDVGSVLEVTNCFPFPVTQIREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTILGSYQTVELIETFMNYQENIRRCVCIIYDPSRSNQGVLALKALKLSDSFMELYRANSFTGEKYGFFVEKNLSWVDIFEEIPIKVANSALISAFMTELESDTPVTQCDYDRLQLSTTPYMERNMEFLIECMDDLSMEQQKVFSILLQEPVTSTSP >EOY05015 pep chromosome:Theobroma_cacao_20110822:4:26848314:26851860:1 gene:TCM_020132 transcript:EOY05015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 3 subunit H1 isoform 1 MANSMARSFLQVAATEEVASPLRVVQIEGLIREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTILGSYQTVELIETFMNYQENIRRCVCIIYDPSRSNQGVLALKALKLSDSFMELYRANSFTGEKLREKNLSWVDIFEEIPIKVANSALISAFMTELESDTPVTQCDYDRLQLSTTPYMERNMEFLIECMDDLSMEQQKFQFYYRSLSRQQAQQQAWLQKRRSENMARKAVGEEPLPEEDPSNPIFKPIPEPSRLESFLITNQIANYC >EOY05014 pep chromosome:Theobroma_cacao_20110822:4:26848454:26852696:1 gene:TCM_020132 transcript:EOY05014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 3 subunit H1 isoform 1 MANSMARSFLQVAATEEVASPLRVVQIEGLVILKIIKHCKEFSPALVTGQLLGLDVGSVLEVTNCFPFPIREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTILGSYQTVELIETFMNYQENIRRCVCIIYDPSRSNQGVLALKALKLSDSFMELYRANSFTGEKLREKNLSWVDIFEEIPIKVANSALISAFMTELESDTPVTQCDYDRLQLSTTPYMERNMEFLIECMDDLSMEQQKFQFYYRSLSRQQAQQQAWLQKRRSENMARKAVGEEPLPEEDPSNPIFKPIPEPSRLESFLITNQIANYCNQINGVAGQSFNRLYLMKSLHDK >EOY03970 pep chromosome:Theobroma_cacao_20110822:4:20965851:20966716:1 gene:TCM_019203 transcript:EOY03970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MTPNMQLTKEDGELFEDPEKYRRLVGKLNYLTMTRPNIAYSVSIVSQFMSAPTINHWAALEQILCYLKGAPRCGLFYENHGHTNIECFSDADWAGSKSDKRFTTRYCVFIGGNLVSWKSKKQNVVSQSSAKSEYRGMAQTVCEVVWMYQLLSEVGLKSSLPAKLWCDNQAALHIASNPVFHERTKHIKIDCHCS >EOY03039 pep chromosome:Theobroma_cacao_20110822:4:3805651:3840922:1 gene:TCM_017449 transcript:EOY03039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MFVRKAAVSLLRRVRLPSQSIYVSASASRPVKATATPLPFPLSSKIKFPYQIGYGSSWRMNHADPSIWIILSVQAAIILGINVNHVLAEDVSTSSESDEQGANIVGLCKIEDGSVISNIHTSKWRVFTDNGRDYFLQGKLEEAEKFFLSALKEAKEGFGERDSHVASACNNLAELYRVKKAFDKAEPLYLEAINILEEAFGSEDIRVGVALHNLGQFYLVQRKLEESQMCYERALKIKGRVLGHSNIDYADTMYHLGTVLYLQGKVKDSEVLILDSIRILEEGGQGESIACIRRLRYLAQMYIKSNRTAEAENVQRKILHIVELSKGWNSLDTVIAAERLALTLQSSGSLKEAQELLERCLDARKTLLPEDHLQIGANMLHIARVVINSNQHRRMRVSDAIAELDKAKGLLNNSMRIAWQVTTKLKRQERKKQSYGVSGKTGRDGHAALIILLQSLDALGLLEISRQELQKSGDKSLSSPEAKTAHFECISAYKEFATERSIRDSPEEPNRYLPLNFRWGRMPFSIELSYSILTAEQRPKLDHEKKKRSSSDGLAQVKAAAWAWYQHGSGSEGKPIREFDITRTERASSSRPSRYKLEAMRNNTGKGNHSMEMEGSPSQTPRSSPNIQTQNSPLDSYETKSIAKRLNHLIEFGGIKFYKELLGIDGDYQKNLCTDGARSGSDRKKTSNKYLKGFLLRRKVVCGRRQDVDDRAVSDDRRRLEKQMVNPKPRESAHGY >EOY05460 pep chromosome:Theobroma_cacao_20110822:4:28628757:28634146:1 gene:TCM_046765 transcript:EOY05460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7 MAEVKLHRFWASLYSHGVIWALKIKGVDYEYIEEDLSNKTELLLKYNPVYKKIPVLVHGGKPIAESFTILEYIQETWPENPLLPSDPYERAMARFWIQFGAEKSPVFRAFFLSTGREEQEKAAKEFLEALKIIEEKALGDKKFFGGYTINLVDIYYGTLAYWFRNVEEIMGVGVLDTNTSLRLYQWAQNFLEVSVIKETIPDHDKMLAYARHVRKKFVAGQLNK >EOY02671 pep chromosome:Theobroma_cacao_20110822:4:1735216:1739010:-1 gene:TCM_017081 transcript:EOY02671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prohibitin 3 isoform 1 MGSSQAAVSFLTNLARAAFGLGAAATVLNSSMYTVDGGQRAVLFDRVRGVMEKTVGEGTHFLIPWLQKPFIFDIRTKPHTFSSVSGTKDLQMVNLTLRVLSRPQVDRLPVIYQRLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTDRPQVSALVRTGLMQRARDFNIVLDDVAITHLSYGAEFSRAVEQKQVAQQEAERSKYVVAKADQERRAAIIRAEGESEAAKLISEATATAGMGLIELRRIEASREIASTLARSPNVAYLPGGQNMLLAMNPSRP >EOY02673 pep chromosome:Theobroma_cacao_20110822:4:1735214:1739025:-1 gene:TCM_017081 transcript:EOY02673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prohibitin 3 isoform 1 MGSSQAAVSFLTNLARAAFGLGAAATVLNSSMYTVDGGQRAVLFDRVRGVMEKTVGEGTHFLIPWLQKPFIFDIRTKPHTFSSVSGTKDLQMVNLTLRVLSRPQVDRLPVIYQRLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTDRPQVSALVRTGLMQRARDFNIVLDDVAITHLSYGAEFSRAVEQKQVAQQEAERSKYVVAKADQERRAAIIRAEGESEAAKLISEATATAGMGLIELRRIEASREIASTLARSPNVAYLPGGQNMLLAMNPSRP >EOY02672 pep chromosome:Theobroma_cacao_20110822:4:1737279:1739010:-1 gene:TCM_017081 transcript:EOY02672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prohibitin 3 isoform 1 MGSSQAAVSFLTNLARAAFGLGAAATVLNSSMYTVDGGQRAVLFDRVRGVMEKTVGEGTHFLIPWLQKPFIFDIRTKPHTFSSVSGTKDLQMVNLTLRVLSRPQVDRLPVIYQRLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTDRPQVSALVRTGLMQRARDFNIVLDDVAITHLSYGAEFSRAVEQKQVAQQEAERSKYVVAKADQERRAAIIRAEGESEAAKLISEATATAGMGLIELRRIEASREIASTLARSPNVAYLPGGQNMLLAMNPSRP >EOY05032 pep chromosome:Theobroma_cacao_20110822:4:26912863:26915694:1 gene:TCM_020143 transcript:EOY05032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKLVREQQERNANPSQLVLACVHHQGNDVRPIPRTTEIENFNRNIAALALQFIREEIELESIASTDAAKGGRYIRTTATYRKSETPPLSSRKPA >EOY04017 pep chromosome:Theobroma_cacao_20110822:4:21499048:21505321:-1 gene:TCM_019277 transcript:EOY04017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein MRGQVVWLIGVIIFHLMVAMADTQMLKTSDFHYGPFDSSYYNFFAVIQPATISNGALQVTPDSIGNFSLSNRSGRIFLNQTFKLWDGDPKKIAIPTVASFNTSFLINVFRVNNSDPGEGVTFLIAPDLILPPGSSGQYLGLTNRSTDGLSSNQLVAIELDTFKQDFDPDDNHIGLNINSVRSNKTVSLSEFDIQIAPNGTKFYVVWIQYDGKNKSIQVYMAEQEEQTSPTPSRPSKPVLSADLDLSSLVKQKSYLGFSASTGSNVQLNCVLRWNLTIEVLPDGNDGEHSLKIGLAIGVPLVVLLLLGIGGLTYYWYKKRRARSDPNILGALKSLPGTPREFRFRDLKKATNNFDDKHKLGQGGFGVVYRGLLQKENLAIAVKKFSRDIKGKDDFLAELTIINRLRHKHLVRLLGWCHKNGMLLLVYDFMPNGSLDTHLFCGPEKTTLNWNLRYKIISGVASALHYLHNEYDQKVVHRDLKASNIMLDSKFNARLGDFGLARALENEKTSYAELEGVPGTMGYIAPECFHTAKATRESDVYGFGAVLLEVVCGQRPWTKIGEFQLLVDWVWWLHRERRILEAVDERLGDDYVVEEAERLLLLGLACSHPIASERPKTQAILQIISGSMTVPHVPPFKPAFVWPSMPPPTSFSITSSSMTNTADITPISSGWTPRYISRDVQGEFSDSSSLM >EOY06812 pep chromosome:Theobroma_cacao_20110822:4:33008399:33010854:-1 gene:TCM_021429 transcript:EOY06812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSHVSMLHKEGKKFEKQKGARCWEYLTQKELKQDTREIMRLRIKPQVEGFSFYVVTFLPPPQFTATDNRDSPCEVFIVA >EOY03288 pep chromosome:Theobroma_cacao_20110822:4:11028412:11044907:1 gene:TCM_018122 transcript:EOY03288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPPRHGRPPLTRSVERGKGRSQRRQLDAVGEESAASTIRAAPTAEQADSPPHPPPPPLPTVPPIVPPVTPLVPPPVQDVSISKKLKEARQLGCVSFTGELDATVAKDWINQVSETLSDMRLDDDMKPMVATRLLEKRARTWWNSVKSRSITPQTWSDFLREFDGQYFTYFYQKEKKREFLSLKQGNLTIEEYETRFNELMLYVLDLVKSEQDQASYFKEGLRNEIRERMTVTGREPHKEVVQMALRAEKLATENRRIRTEFAKRRNPGMSSSQPVKRGKDSAISGSTTSVSVTSPRPPFSPSQQRPSRFSRSAMTGSGKSFGGSDRCKN >EOY05727 pep chromosome:Theobroma_cacao_20110822:4:29604623:29607867:1 gene:TCM_020654 transcript:EOY05727 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAF1 complex component isoform 1 MDPLSALRDFTIRGELDKIVRVNDEFRFGTDYSFPCSGETAYRSKQGNLYTLETLVFYIQNHHLKHTDYMHNSLSLRIPAVTFTDRKPLLDYLTGKVSTSDSIVWNPPKFPDEFRPDPSGFDPDSSKPKGNTNDVVLDEIGDIHFDIKDKETELADYMGIIRSIEKPLKDREGILECKNRDFYSVLVASTKREEERQRLESQQRKDGLVAKSRLMGAEERRLGLSYGDEMVGYDSKPKMHLKGSKIGEGVPIILVPSAFQTLITIYNVKEFLEDGVFVPTDVKVKQMKGARPECVTVQKKFSRDRDRVVTAYEVRDKPSALKPEDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKIIGFFMRFEDDSVESAKIVKQWNVKIISISKNKRHQDRAAALEVWDRLEEFVRSRSHS >EOY05726 pep chromosome:Theobroma_cacao_20110822:4:29604652:29607941:1 gene:TCM_020654 transcript:EOY05726 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAF1 complex component isoform 1 MDPLSALRDFTIRGELDKIVRVNDEFRFGTDYSFPCSGETAYRSKQGNLYTLETLVFYIQNHHLKHTDYMHNSLSLRIPAVTFTDRKPLLDYLTGKVSTSDSIVWNPPKFPDEFRPDPSGFDPDSSKPKGNTNDVVLDEIGDIHFDIKDKETELADYMGIIRSIEKPLKDREGILECKNRDFYSVLVASTKREEERQRLESQQRKDGLVAKSRLMGAEERRLGLSYGDEMVGYDSKPKMHLKGSKIGEGVPIILVPSAFQTLITIYNVKEFLEDGVFVPTDVKVKQMKGARPECVTVQKKFSRDRDRVVTAYEVRDKPSALKPEDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKIIGFFMRFEDDSVESAKIVKQWNVKIISISKNKRHQDRAAALEVWDRLEEFVRSRSHS >EOY03847 pep chromosome:Theobroma_cacao_20110822:4:19891077:19901619:1 gene:TCM_019049 transcript:EOY03847 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MSIIETPLISSNLLDKFDHFVPLDNRNPSILYQNPPKISIVMWRNIAKHAISRRTHSFQCFARTYSFLGTSQDSIFHEKSKFRGLKFGSFCSNSRFLDMGGRRIGEVLTNVEYIGKQSFGSPRNEIGCSGLCPRGYVSVAEAVSSTDVEEDVSVVEEIKELLDEMKKEQRRETGNRRRRCQIIERGMGESKYRFLRRRQVKIETEAWEQAAKEYRELLMDMCEHKLAPNLPYIKSLFLGWFEPLRDAIIKEQELYRLGKLRAGYAGYLDQLPADMTAVITMHKLMGLLMTGGEHGCARVVQAACLIGDAIEQEVRIHKFLEKTKKKRVDKKNEDEGDKPNAEIKEQEKLRKKVTDLIKKQKLPAVRQIVKGQDDTKPWGQDAKAKVGSHLIELLMQTAYIQPPADQLADCPPDVRPAFVHSLRTVVKENKKTGRRYGVIECNPLVRKGLERTSMDPCKAHGDSLYANVGVKVKWTGYDRGAYLFIPSYIMRTHGVKQQREAVKRTPRKQLEPVFEALDTLGCTKWRVNKRVLNVVDRIWTSGGRLADLVDRKDVPLPEKPDSEDEAVLRKWKWKVRSVKKENRERHSLRCDVELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFGEGRPLGKSGLRWLKIHLANLYAGGVDKLSHGGRLAFAENHLDDIFDSADRPLEGKRWWLKAEDPFQCLAVCINLAEALRSSSPETFVSHIPVHQDGSCNGLQHYAALGRDKLGAASVNLVAGEKPADVYSGIAARVLDIIRRDAQKDPAVFPDALHAKVLVNQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERGVITDDKEIFGASCYAAKTTLTALGEMFQAARAIMNWLGECAKIIASENQPVRWTTPLGLPVVQPYRVLGRHLIKTSLQVLTLQRETEKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKKAGLTFAGVHDSYWTHASDVDKMNRILREKFVELYEVPILENLLESFQQSFPTLCFPPLPERGDFDLRDSKTNASLDVIVLPQAGDHAPTKEPNARVLSIGSWCCTLCTYCERCSWHGDFNMVQNAKLSVTWSIGYTCTVDM >EOY06670 pep chromosome:Theobroma_cacao_20110822:4:32602889:32608836:-1 gene:TCM_021324 transcript:EOY06670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Periplasmic beta-glucosidase, putative MGSKMSRESLRLFPLLLITLLYLVSVISLVESRPPFACDPRNGLTRSLRFCRVNLPLHVRVRDLLGRLTLQEKIRLLVNNAAAVPRLGIQGYEWWSEALHGVSNVGPGAKFGGAVPGATSFPQVITTAASFNESLWEQIGRVVSDEARAMYNGGMAGLTYWSPNVNIFRDPRWGRGQETPGEDPVLAGKYAARYVQGLQSATGNRLKVAACCKHYTAYDLDNWNGVDRYHFNARVSKQDLADTYDVPFKACVVEGKVASVMCSYNQVNGKPTCADPDLLKGTIRGQWHLNGYIVSDCDSVGVMYDTQHYTTTPEESAAATIKAGLDLDCGPFLAVYTDLAVRRGLLAEVDVDMALANTITVQMRLGMFDGEPSAQPYGNLGPRDVCTPAHQQLALEAARQGIVLLKNSGPSLPLSTARHRTVAVVGPNSDVTVTMIGNYAGVACGYTSPLQGISRYARTIHQAGCSNVACKANNLFGAAEAAARQADASVLVMGLDQSIEAEFRDRAGLLLPGRQQELVSRVARASRGPTVLVLMSGGPIDVSFAKNDPRVTAILWAGYPGQAGGAAIADVLFGTTNPGGKLPMTWYPQDYVAKVPMTNMGMRPSRGYPGRTYRFYKGPVVFPFGHGMSYTTFKHSLAQAPTELSVPLNTNLYATTNSTFSSNAVRVKHANCDSLSLPFHIDVQNTGTIDGTHTLLVFSTPPAGKWSPNKQLIGFHRVHVLAGSQQRVEINIHACKHLSIVDELGIRRIPMGAHSLHIGDLEHSISLQANLEGTKP >EOY04632 pep chromosome:Theobroma_cacao_20110822:4:25289516:25294856:-1 gene:TCM_019842 transcript:EOY04632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of unknown function (DUF572) [Source:Projected from Arabidopsis thaliana (AT1G17130) TAIR;Acc:AT1G17130] MGERKVLNKYYPPDFDPSKLPRARRPKNQQMKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAEIAMKTDPQNSDYTVESGATRNFEPWRAEDEEAEKERQKRESEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVDAMLEALQHTAAEKEKKLEEEDEALIKSIFQRPKEVVRRISDEVFSEDGDLTHLSSGNGETSNDGLKRRKVSEEASNNPTDALTKASVLDSSSSKENSSVSGTRQGKVPFKPSIMISVVRKPEEKIANSTSTGLQSLCQNYDSDDD >EOY06834 pep chromosome:Theobroma_cacao_20110822:4:33081256:33084030:-1 gene:TCM_021441 transcript:EOY06834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial lipoamide dehydrogenase 1 MALASLARRKAYLLSRNLSNSPADALKYSFSLSYFSRGFASGSEENDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKHSFPGHGVKFSSVEVDLSAMMAQKDKAVSNLTRGIEGLFKKNKVNYVKGYGKFISPSEVSVDTIEGGSTVVKGKNIIIATGSDVKSLPGITIDEKRIVSSTGALALSEVPKKLIVIGAGYIGLEMGSVWGRLGSEVTVVEFAPDIVPTMDGEVRKQFQRALEKQKMKFMLKTKVVGVETTGNGVKLTVEPAAGGEQTTLEADVVLVSAGRTPFTAGLGLDKIGVETDKIGRILVNDRFATNVPGVYAIGDVIPGPMLAHKAEEDGFACVEFIAGKHGHVDYDKVPGVVYTHPEVASVGKTEEQVKALGIEYRVGKFPFLANSRAKAIDDAEGIVKILADKESDKILGVHIMAPNAGELIHEAVLAINYDASSEDIARVCHAHPTMSEALKEAAMATYDKPIHV >EOY05884 pep chromosome:Theobroma_cacao_20110822:4:30171260:30178210:-1 gene:TCM_020776 transcript:EOY05884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFGISYGELFLLIGATAALVGPKDLPKIARTAGRLAGRAIGYVQLARGQFDNVMQQSQARQVHKELQDTMAQLDAIRYEIRSLSLMNPGPMTRRLMDSPPEPASDSNAGTIPPGKGEEEKNSDDAVKKDYNVKSLASTNLHSQATAYARLAESEAVKADSAKCSVEEENLNYEFGELTVLPVSAKDAGFLADQKESAKGSDIVLEAVLEAEVARNAKDFFSLPQNQLQ >EOY03376 pep chromosome:Theobroma_cacao_20110822:4:13907572:13910624:-1 gene:TCM_018364 transcript:EOY03376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage dependent anion channel 1 MGKGPGLYTDIGKKARDLLYKDYQTDQKFTLTTFSPTGVAITSAGTKKGDLFVADVNTQLKNRNVTTDIKVDTSSNLFTTITVDEPAPGLKTIFSFRVPDQRSGKVELQYLHDYAGISSSIGLTANPIVNFSGVFGTNVLALGTDLSFDTKTGNFTKCNAGLSFSNVDLIASVALNEKGDSLNASYYHIVNPLTNTAVGAEVTHCFSTNENTITVGTQHALDPLTMVKARVNNAGKASALIQHEWRPRSLFTISGEVDTKSIDKSAKVGLALALKP >EOY03808 pep chromosome:Theobroma_cacao_20110822:4:19444078:19445445:1 gene:TCM_018992 transcript:EOY03808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNKLMYQTKYAVQIINIKEIVDYLKPWITYYFLMARLSDSPVESPAVVTCRSGNPTARRGCENSLKIKLGVATNLFLLGAIGHLLTRF >EOY03742 pep chromosome:Theobroma_cacao_20110822:4:18879121:18880198:1 gene:TCM_018904 transcript:EOY03742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLKRKQHQARQSFKKLKQERSKAPSKKRTQEHINSQRKSEKPGCKKEANGVESKTRSESIPLRQTQNLDQHRKRAWPTQGAAPSLSRIGRRRTYALHKRTQTSHQGKTTKHKDTRQPPEAKCHSLTNPVTQPRSTLSLPSRNAKTHPLPPPLQSPLLPLFLPFSFRVNALFSLNSFLPPTRTL >EOY03948 pep chromosome:Theobroma_cacao_20110822:4:20594662:20596009:1 gene:TCM_019160 transcript:EOY03948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLCKLRLMLLRSIERYKANLVAKGYTQLKGIDYLEIFFAFVAKLGLKYVLDIFADVGLSVAKPAASTKEQHLKLIYLNGELLLDPSSCRHFVGRLPYISYIPLLDIVNSAHILSQFMHQHRKPHTDSMLRLLGYLKGTPGQGI >EOY06484 pep chromosome:Theobroma_cacao_20110822:4:32070695:32072546:1 gene:TCM_021188 transcript:EOY06484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGQAFRRATGRIRSVDQSKPIKPRRPLGPTDEEKISRVSQYDNLDHERASRSNPENVLEERDPKYDAMLSQMVGRISAKPGGKLEMGEALVAEKPNRPLPKLRNTTPDSGRYEERPVPPGTLNVKQLRHIMLLHQGKADDHDGPMDVHQIAEKFSLDAALVQKILQFMSLPPEDSTKQKK >EOY04279 pep chromosome:Theobroma_cacao_20110822:4:23146974:23153048:-1 gene:TCM_019529 transcript:EOY04279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-LTR retroelement reverse transcriptase-like MSVTAKSTDSLLWKSILKSRVVLEKGLRMNITNGQKAKFWTDNWLRCGPLCSYAINPLSEMDLELPVASFCDEVGNWDMQILEQELPRNIILMILAVKLDPTSEEGDTSCWIPSSDGRFSIKSTYDLQRVDSFDAETHWSYIWKTVCTRKVKVFIWRILHESLPTLVWLLIEMNLWLQIDPTLINGSFFSLDLKSWIMDNVRSVDILAGIPWSIIFMYSLWLIWYWRNLFVFYDSFNWSRDAWQQIWTKSKEAWDFLKREHDAVKKDILISWELPKHSYVKLNVDGSAKGQPGMAAAGGVIRYEVGNWLLGFNYKIGISCSLQAELWALYWGLTLCWDKGFRKVQVESDSLLAVQKISNQSLQPEQNAGLLKCIKELFQRFWNCTLTHIHREANQCADWMATHHENLLLKLHIMDSPPSSISAILLADSISIFWSRMM >EOY04385 pep chromosome:Theobroma_cacao_20110822:4:24120725:24123752:1 gene:TCM_019651 transcript:EOY04385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MAFMSVSKTYKLKPRFYHRISNPLHFFTTSQDPSTASQELNNAPPQQEGEKVVTQRTSPRGKTRNPEKVEDVICRMMENRAWTTRLQNSIRALVPEFDHALVYNVLHGAKNSEQALQFFRWVERAGLIRHDREAHMKIIQILGRASKLNHARCILLDMPKKGVEWDEDLFVVLIDSYGKAGIVQEAVKIFQKMNELGVERTIKSYDAFFKVILRRGRYMMAKRYFNKMLSEGIVPTRHTYNIMLWGFFLSLRLDTANRFYEDMKTRGISPDVVTYNTMINGYSRFKKMEEAEKLFVEMKGKNLAPTVISYTTMIKGYVAVEQVDDGLRLLEEMKSFGIKPNATTYSTLLPGLCDAGKMTEAKSILKEMVEWYIAPKDNSIFINLLNSQCKSGDLDAAADVLKAMIRLSIPTEAGHYGVLIENFCKANLFDRAIKLLDKLVEKEIILRPQNSLDMEASAYNAMIQYLCHHGQTGKAEVFFRQLMKKGVLDPTAFNNLIRGHAKEGNPGLAFEILKIMGRRGVPKDADAYKLLIESYLRKGEPADAKTSLDSMIEDGLLPESGIFKSVMESLFEDGRIQTASRVMKSMVEKGVKEHMDLVAKILEALLMRGHVEEALGRIELLMQNGCAPNLDSLLSVLSEKGKTIAALKLLDFGLERDCSIDFSSYEKVLDALLAAGKTLNAYSILCKIMEKGGITNWSSLEDLIKSLNQEGNTKQADILSRMIKGGEAASGSKKGKKQATVAS >EOY04182 pep chromosome:Theobroma_cacao_20110822:4:22453791:22455868:1 gene:TCM_019445 transcript:EOY04182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MSEMDISMEMTKQSSELNSTLLENFSIADFSLETLLAHQLPEYSASCTHDNLSTTVLAGSLTAIPTARTVTVDEDVFIESKKRKAMEQSTSNYQSISPAVSTTEIGGNTSTRKRNRLGKGKKGKSNEKQPEKAEEVIHVRARRGQATDSHSLAERVRREKINEKMRCLQDLVPGCHKTMGMAVMLDEIINYVHSLQNQVEFLSMELAAASSAYDLNLETECIKKAQGTNSHAAQQMEKWARDRYGEQNCFHSTWPL >EOY04127 pep chromosome:Theobroma_cacao_20110822:4:22152142:22228152:1 gene:TCM_019381 transcript:EOY04127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLHLWLNLVQFRNKRILTLLVWLVPLFNVHSICSKLAFASLSLYSYSSVCTYKLINSQPHYYYYPKKEEKMI >EOY06183 pep chromosome:Theobroma_cacao_20110822:4:31225575:31227370:1 gene:TCM_020994 transcript:EOY06183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type MPISWLLGRPDNFGHNTLTQYEKTWVKQLFVTNPLFTPDTHASPAEYEDFERSKLRGIPIPNFLGSIESLRYLSLSRAGFKGLAPHRLGNLSSLKTLNLANDEGYLYVANLQWLSVLSLLEHLDLSNVNLTKVSNSLKVLNTLPFLQKLYLSGCQLPQP >EOY05075 pep chromosome:Theobroma_cacao_20110822:4:27081968:27089995:-1 gene:TCM_020173 transcript:EOY05075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene desaturase 3 isoform 3 MSLCGSVSAVRLNSQSNTIRMGSVLAFRGGESMGHALRIPFKKRSSKGACPLQVVCIDYPRPELENTVNFLEAASLSASFRAAPRPTKPLKVIIAGAGLAGLSTAKYLADAGHKPLLLEARDVLGGKVAAWKDDDGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPEVLPAPLNGIWAILKNNEMLTWPEKVKFAIGLLPAMLGGQPYVEAQDGLTVKEWMRKQGIPDRVTDNVFIAMSKALNFINPDELSMQCILIALNRFLQEKNGSKMAFLDGNPPERLCMPIVNHIESLGGEVWLNSRIKKIELNDDGTVKSFLLTNGNTIEGDAYVMAAPVDILKLLLPEDWREISYFKKLEKLVGVPVINVHIWFDRKLKNTYNHLLFSRSSYFVYQPFPLFANLQLLWLLSA >EOY05076 pep chromosome:Theobroma_cacao_20110822:4:27082270:27089389:-1 gene:TCM_020173 transcript:EOY05076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene desaturase 3 isoform 3 MSLCGSVSAVRLNSQSNTIRMGSVLAFRGGESMGHALRIPFKKRSSKGACPLQVVCIDYPRPELENTVNFLEAASLSASFRAAPRPTKPLKVIIAGAGLAGLSTAKYLADAGHKPLLLEARDVLGGKVAAWKDDDGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPEVLPAPLNGIWAILKNNEMLTWPEKVKFAIGLLPAMLGGQPYVEAQDGLTVKEWMRKQGIPDRVTDNVFIAMSKALNFINPDELSMQCILIALNRFLQEKNGSKMAFLDGNPPERLCMPIVNHIESLGGEVWLNSRIKKIELNDDGTVKSFLLTNGNTIEGDAYVMAAPVDILKLLLPEDWREISYFKKLEKLVGVPVINVHI >EOY05074 pep chromosome:Theobroma_cacao_20110822:4:27079221:27089845:-1 gene:TCM_020173 transcript:EOY05074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene desaturase 3 isoform 3 MSLCGSVSAVRLNSQSNTIRMGSVLAFRGGESMGHALRIPFKKRSSKGACPLQVVCIDYPRPELENTVNFLEAASLSASFRAAPRPTKPLKVIIAGAGLAGLSTAKYLADAGHKPLLLEARDVLGGKVAAWKDDDGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPEVLPAPLNGIWAILKNNEMLTWPEKVKFAIGLLPAMLGGQPYVEAQDGLTVKEWMRKQGIPDRVTDNVFIAMSKALNFINPDELSMQCILIALNRFLQEKNGSKMAFLDGNPPERLCMPIVNHIESLGGEVWLNSRIKKIELNDDGTVKSFLLTNGNTIEGDAYVMAAPVDILKLLLPEDWREISYFKKLEKLVGVPVINVHIWFDRKLKNTYNHLLFSRSPLLSVYADMSVTCKEYYNPNQSMLELVFAPAEEWIARSDLEIIDATMKELAKLFPDEISADQSKAKVIKYHIVKTPRSVYKTVPDCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSIVQDYELLLALGQRKLAGASIH >EOY03126 pep chromosome:Theobroma_cacao_20110822:4:5595384:5632783:1 gene:TCM_017639 transcript:EOY03126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLATNHSLSLPKQENIYRKLTKKTCTCKNKGKREVEMRYTKDFEFGLLLGSTKVKIVYCGSVPEEITSYQKVAIARYASELIVL >EOY06910 pep chromosome:Theobroma_cacao_20110822:4:33342753:33348083:-1 gene:TCM_021492 transcript:EOY06910 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT dimerization domain-containing protein MVKLMAPARSSVFADPGWEHGVPQDEKKKKVKCNYCGKVVSGGIYRLKQHLARVSGEVTYCDKAPEEVFLRMKGNLEGCRSTKKSRQSNTGGHAYFNFHSNVIEEEEERISYKSKGKLFMENSNPGLNLTPLRSLGYVDPGWEHGVPQDERKKKVKCNYCEKIVSGGINRFKQHLARIPGEVAPCKNAPEEVYLKIKENMKWHRTGKRHKQPYEKEIPTFDVGPNDEDEEQEEEDHILHQKSKEKLKIGDHGLGKDLRKTFRELSSSSGSEPLQKKSRLDSVFLKGVSDTALSCKKVREKIGFGKKSSREVYSAICKFFYHAGVPLQAANSVYFHKMLELVGQYGHGLAGPSSQLISGYFLQEEIKTIKNYLVEYKASWAITGCSVMADSWVDTEGRTFVNFLASCPYGIYFVSSVDVTYILEDALNLFKLLDKVVEEVGEENVVQVITENTPTYKAAGKMLEEKRRNLFWTPCAIYCIDRMLEDFLKLKCVGECIEKGQKVTKFIYNNVWLLNLMKKEFTQEQELLMPSLTQFASSFATLQNLLDHRTNVKRMFQSNKWISCRFSKSDEGKEMEKIIVNVTFWKKVQYVCKSVNPVMQVLQKVYNDQGLSMPFAYNDMYRAKLAIKAVHDNDARKYGPFWSVIENHWSLLFHHPLHTAAYFLNPSCRYRPDFVTHAEMVRGLNESIARLEPDNARRISASMQISDFNSAKADFGTELAISTRTELDPAAWWQQHGISCLELQRIAVRILSQTCSSSGCEYKWSIYDQIHTLRHSRLAQKRLNDLTYVHYNLRLRENQLKKRSNNSVSLDSTSAEHLLHDWIAEAEKRSWQEDEEIRYGENGMAYEDNNENDGVDYEGGTPEARKGSMEHLSLADVESQSLDIDPATDDEDDGDLNYYNDDVSD >EOY04491 pep chromosome:Theobroma_cacao_20110822:4:24642264:24645003:-1 gene:TCM_019733 transcript:EOY04491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-LTR retroelement reverse transcriptase-like protein MLFGATTKTQVRVMMQVIQKFCSASGEKVSLNKSEIFVSSNIHSSKAKALSRVARISLIKDLSKYLGAPMLHGRVTKATYSDLCNKVGRKLEQWSNKFLSMAGRVSLVQAVSSTMASYIMQTTLLPDSVAMEIDKLNRNFIWGQAKSSDSYTWRSILKSRGVLAKGLGKVVNNGLHTNFWLDSWLPCGPLINFTVRDLSPTETELPVACFCDEYGNWDLDSLIDILPMQILQKLESYPIDPSSTEKDKCFWTLTSSGEFSVKSAYESESTTNLAEHNKLRLVWCLSSCKKVKMFIWCVLHESLPTAAWLMLRKLGSSSTCSRCNNETENLIHALHDYPASRDTWLAIKPNLTFGEFFVLDLQAWIQLNMSGNGLHDELPESGIFIHTIWMVWH >EOY06147 pep chromosome:Theobroma_cacao_20110822:4:31112215:31120309:1 gene:TCM_020964 transcript:EOY06147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclopropane-fatty-acyl-phospholipid synthase MKVAVIGGGIGGLVSACVLAKAGANVVVYEKEEQVGGQAKTFNGIDLDLGFITFNPAMNPSTLELFDSLGVDVEACNMSFSVSLDNGQDYEWGTRNGFSSLFAQKQNVLNPYFWKMLRELIKFKDDVISYLQMLENNPDIDGYETLGQFIKLRDYSEPFQKAYLIPICCSIWCCTVEQVMSFSAFYTLSIFRRYHLHQLLGRPQLLTVSRHSYFANKVREMLESRGCQIRTGCEIRSVQTDDDGSNIVFGDGFQEKYNGCIMAVDAPAALKLLGNQATFDELRLLGAFQYVYSDIFFHRDVKFMPKNSSTWSALNFVKGAENKACLTYLLDVLQDVGETSVPFLVTINPDQTPKQTLHKWSTGHPIASVAASKASLQLNQIQGKRGIWFCGYGFHEDKLKAAMVTANNLLGKQCSALNQPKHIVPSFMETGARLFVTRFLGQYISTGCITILEEGGTVFTFKGSMRKCSVKTVLKVHNPQFYWKVMTEAGLGLADAFINGDFSFTDKEEGLLNLFIILIANGDSNSSATRLNKKRGWWTPSFFTASIASAKYFFKHALRQNSVTQARRNISRHYDLSNELFALFLDETMQYSSGIFKNEDEDLKVAQLRKMSSLIDKARIEKHHEVLDIGCGWGRFAIEVVRRTGCKYTGITLSEEQLKFAEAKVKEAGLQDNIRFLLCDYRQLPDTRKYDRIISCEMIEHLGDEYVEQFFRCCESALAEDGLLVLQFISIPEERFEEYRRSSDFIKEYIFPGGCLPSLNRIVTGMAAASRLCVEHVENIGMNYYLTLRCWRKNFLENQSKILALGFDEKFMRTWEYYFDYCAAGFRTYTLGDYQVVFSRPGNYKALGYPYQGFPSAY >EOY03813 pep chromosome:Theobroma_cacao_20110822:4:19518874:19524176:-1 gene:TCM_019003 transcript:EOY03813 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative MNPTYVDLSLSLKPSYVPKSISNLLEDLSKIDNESDKLSVLSDYICKHQEELSTVEALKRELPQCRLLLMDEVAIETLKEGFMNIKEKMEYQSRQPLVEYLPTMRKNRNEQEQREIWRTNSAEEEYWNPIYAKKQKTLVLDSFQPPNLKVVQPCRDGNVEGLGLLSYKECSSNSLGSSSTGKGKEVAIDQSSRHQSLLYLKADDQTLNYHPKPLTQPIWKNDRRCWSSELHARFVEALNLLGGIEVATPKQIRDLMQVEGLTIDQVKSHLQVEFDLIKLNNACMYSS >EOY04659 pep chromosome:Theobroma_cacao_20110822:4:25386240:25398301:-1 gene:TCM_019859 transcript:EOY04659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant stearoyl-acyl-carrier-protein desaturase family protein isoform 2 MTCLYKNLPCFGLPAMTTFTTPKFFMASALPSTSKEDETLKRHFVPPREVHAQVTHSMPQQRIEIFKSLEDWAEKNFLIYLKPVEKCWQPQDFLPDPTSDGFGEQVKELRERAKEIPDDYFVVLIGDMITEEALPTYQQMLNSAEEIRDETGGSLTPWAIWTRAWTAEENRHGDLLNKYLHLSGRVDMRQIEKTIQYLIGSGMDPGTEKNPYLGLIYTSFQERATFISHGNSARLAKKHGDINLAQICGSIASDEKRHGTAYTKVVEKLFEIDPDGTLLAFADMMRKKISMPGHLMYDGRDDNLFYHFSAVAQRIWVYTAKDYADILEFLVERWKVKELTGLSADGRQAQDYVCGLLPRIRRLEERAQGRAKHAQRIPFSWIFDREV >EOY04658 pep chromosome:Theobroma_cacao_20110822:4:25379902:25398405:-1 gene:TCM_019859 transcript:EOY04658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant stearoyl-acyl-carrier-protein desaturase family protein isoform 2 MGLRCNPMTCLYKNLPCFGLPAMTTFTTPKFFMASALPSTSKEDETLKRHFVPPREVHAQVTHSMPQQRIEIFKSLEDWAEKNFLIYLKPVEKCWQPQDFLPDPTSDGFGEQVKELRERAKEIPDDYFVVLIGDMITEEALPTYQQMLNSAEEIRDETGGSLTPWAIWTRAWTAEENRHGDLLNKYLHLSGRVDMRQIEKTIQYLIGSGMDPGTEKNPYLGLIYTSFQERATFISHGNSARLAKKHGDINLAQICGSIASDEKRHGTAYTKVVEKLFEIDPDGTLLAFADMMRKKISMPGHLMYDGRDDNLFDHFSAVAQRIGVYTAKDYADILEFLVERWKVKELTGLSADGRQAQDYDPGTEKNPYLGLIYTSFQERATFISHGNSARLAKKHGDINLAQICGSIASDEKRHGTAYTKVVEKLFEIDPDGTLLAFADMMRKKISMPGHLMYDGRDDNLFYHFSAVAQRIWVYTAKDYADILEFLVERWKVKELTGLSADGRQAQDYVCGLLPRIRRLEERAQGRAKHAQRIPFSWIFDREV >EOY06938 pep chromosome:Theobroma_cacao_20110822:4:33405350:33407967:1 gene:TCM_021507 transcript:EOY06938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesyltransferase/geranylgeranyltransferase type-1 subunit alpha MANGHPSFLALLFPPPALGSYRTLKMESDEPDGHSLSRRVEWCDVTPLPQDDGPNPVVPIAYKEEFRETMDYFRAIYQADERSPRAFRLTRQAIVANPGNYTVWHFRRLLLQTLNVDLYEELDFLQQIANSNSKNYQLWHHRRWVVERLGIDARAKELQLTKKILSLDAKNYHAWSHRQWVLQALGGWEDELDYCQQLLEEDIFNNSAWNQRYFVITRSPFLGGLKAMRESEVRYTVEAILAKPENESPWRYLRGLYKDNIEVWVNDPQISLVCLKVMNAKSNYVFALSMLLDLLCHGFQPSEEFRDAVDALWTSDNHPLDSDLAKAVCCILEHVDSLRASYWRWRKSKLPLAA >EOY06222 pep chromosome:Theobroma_cacao_20110822:4:31325595:31328041:-1 gene:TCM_021023 transcript:EOY06222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec20 family protein MDKVVEEVEKTKREWDEAYAKTQEHVKEIQEYGKSTVEETKNKNSLPRLNGLAQDGLALLNSLQFNLDLHAPQLPTDEEVQSAKALLESWKSQCQSLILSLRNANLQAKDNMRKTAQKERELLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRTRQLMVQEVERSTSTLMTFEESTGVLRKAESEYKGHRSLLMRTRNLLSTMQRQDVIDRVILIVGFVLFSCAVLYVVSKRIGILKLQRTVTAAIRAGMAGKPDVARRAVEEGINHAQFPGNVVPKVGIPLEQPMHDEL >EOY03481 pep chromosome:Theobroma_cacao_20110822:4:16248121:16254085:1 gene:TCM_018569 transcript:EOY03481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase 2 MAYIASSSSPFIIESKRENRPVFPFSTFRPRKSKSLAVLNVSTCGFSLFSNKGLLWRFKPVRVAGSLDGVGGGGDDSEDTFQATIEKSKKVLAMQRDLLQQIAERRKLVSSIKSSITDQDEDEVFHEQRDDYLPKVDLASSSSDGMDENKNGSILLSSHVNLTMKDVPEIPPSDEVGQEPEQHLAPEKASSNIGPSKQLKTTDHKPLKSDVLPSYLSSSSDTARLAVEENENLTEAGLEEVGEVDGPAIEDEKPPPLAGANVMNVILVAAECAPWSKTGGLGDVAGSLPKALARRGHRVMVVAPRYADYAEPQDTGVRKRYKVDGQDVEVSYFQAYIDGVDFVFMDSPMFRHMQKNIYGGNRMDILKRMVLFCKAAVEVPWHVPCGGVCYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMSFTRSVLVIHNIAHQGRGPVEDFSYVDLPEHYMDLFKLYDPVGGDHFNIFAAGLKTADRVVTVSHGYAWELKTSEGGWGLHGIINESDWKLRGIVNGIDTKDWNPQYDVHLKSDGYTNYSLETLQTGKAQCKAALQKELGLPGREDVPLIGFIGRLDHQKGVDLIAEAIPWMMGQDVQLVMLGTGQPDLEEMLRQFENQHRDKVRGWVGFSVKTAHRITAGADILLMPSRFEPCGLNQLYAMNYGTIPVVHAVGGLRDTVQPFKPYEESGLGWTFGSADANKLIHALGNCLLTYREYKKSWEGLQRRAMMQDLSWDNAAEKYEEVLVAAKYQW >EOY06893 pep chromosome:Theobroma_cacao_20110822:4:33273563:33282511:-1 gene:TCM_021479 transcript:EOY06893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 9 MAQLEGSDEIESMRIELAEIGRSIRSSFRSQVSSLRSVISEHHCEVDDNDEYELQWAAVQRLPTFERVTTALFDDKREDGKADTGNIRGKRVINVTKLGADERHMFIENLIKHIEHDNLRLLQKLRDRIDRAGVQLPIVEVRYKNLCVHAECQLVHGKPLPTLWNATKSVFSGVANLLGSKQEAKISILKDVSGILKPGRMTLLLGPPGCGKTTLLMALAGKLRQSSLEVAGEITYNGYGLDEFNPQKTSTYTSQYDLHTPEMTVRETLDFSARFQGVGSRAEIMKEVIRREKQAGIIPNPDVDAYMKAISVEGMESTLQTDYILKILGLDICSDIMVGDAIRRGISGGEKKRLTTGEMIVGPTKALFMDEISNGLDSSTTFQIISCLQHLAHITDATALISLLQPAPETFDLFDDVILMAEGKVVYHGPRTTICKFFEDCGFKCPERKGIADFLQEVISRKDQVQYWYHKELPYSYVSVDQFIQKFKECKIGLSLDEELSKPFNKTQSGKDSLSFKTYSLSKWELFKTCSMREFLLMKRNSVVYVSKSVQLVIVASMTMTIFLRTQMAVDLIHASYYMGSLFFTLIILVVDGFPELSMTVSRLEVFYKQRELCFYPAWAYAIPAAILKFPLSLLESFLWTSLTYYVIGYSPEVGRFFRQFLVCFGVHLSSISMFRLIASFSQTIVASTTAGSVILLIISSFGGFIVPKPSMPPWLKWGFWLDPMSYGEIGLTLNEFLAHRWGKVKFGNTTAGQQTLESRGLNFDSYFYWISIAALFGFTVLFNALFTLALTFLKPPGKSRAIISFERYSQLQGLEDGRHSSSIHKESKSTADTGSIAGTRKGKMVLPFEPLAVAFQDVQYYVDTPLEMRKRGFKQRKLRLLSDITGAFRPGILTALMGVSGAGKTTLLDVLSGRKTGGTIEGEIRIGGYLKVQDIFARVSGYCEQTDIHSPQITVEESLIFSAWLRLPSHIDLKTKADFVNEVLETIELDEIKDSLVGMPGVNGLSTEQRKRLTIAVELVANPSIMFMDEPTSGLDARAAAIVMRAVKNVVETGRTVVCTIHQPSIHIFEAFDELILMKTGGCIIYSGPLGHHSSRVIEYFQNIPGVPKIKDNYNPATWMLEVTSKSAEAETGIDFADIYKSSTLFKENKELVKQLSSPPPGSKDLQFPSRFPQNGWEQFKACLWKQHLSYWRSPSYNLTRIFFLSASSVLFGVLFWQQGKKIDNQQDLFNVLGLMYSAQIFFGINSCSTVQPLISMQRTVLYRETFAGMYNSWAYSFAQVVIEIPYLLTLAFLYVIITYPMIGYYWSAYKIFWSFYSMFCSLLCFTYLGMMMVSLTPNIQVAFIVASSAYCVLNLFSGFIMPRPKMPKWWLWLYYLSPTSWSLNAMLTSQYGDIGKEILVFGETKTVSAFLEDYFGFHPNFLGVVAFVLIIFPVLFASLFAYCIGRLNFQRR >EOY04542 pep chromosome:Theobroma_cacao_20110822:4:24930447:24938106:-1 gene:TCM_019778 transcript:EOY04542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEYGFVDLKELDEEAEERLKEVKKKDAKALFFIQQAVHETIFSRIAAATTSLEAWQILKKKFQGSSKVITVKLQTYRREFETLSMKSNEFVQTYLSRVSSLVNQMKSYGEDISEETVVAKVLRSLTPKFEHIVAAIEEAHDLSNYSFDELMSSLQAHEERLFRSHEKNEEKAFQVNEESNLKETLENSTGGGRGRVGFRGKGHGRGRSRGRSNEERQNKTFQCYYCKKPGHRAAYCWQKQKDENNQASFVEKSDEEIRLFMAFFYEKEQSNDVWFLDSGCSNHMSGTRSLFKELDESNKTDVTLGNSKKIRVEGRGTISIKTSQGNAKILQYVMLVPDLSHNLLSIVQLMISGYSILFDDGFCTIKNKKFKQIITKVPMAKNKMFPLEVSMIENYAMVANGDSEARLSHLHYGHLNINGLKLLSQKEMVFGLPKLENLGFCEGCVYGKQSKKPFLVGKAWRVSKCLELVHADLCGPMNIESLGAYALVKTYSSKFDEKSEKYIFVGYCSQSKAYKLYNPISGKITISRDVVFNENARWIWNEENKEQHIQVLEDNTASTISSSSTPRSSNPSPPTSNESSSSSSSSETPPRKFRSLQEIYDSCTFALLVSDLICFEKAAKRNEWCKAMEEELLVARFETVRTFLALTAQLNWPVYQFDVKSAFLNGDLEEVYVSQPEGFVVNGNEDQVYRLKKALYGLKQAPRA >EOY04807 pep chromosome:Theobroma_cacao_20110822:4:26003782:26008823:1 gene:TCM_019983 transcript:EOY04807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigalactosyldiacylglycerol 2 isoform 1 MVGNTLVKISTCPSLLSSTLTTIPHSFLKTLPYLPPKPRTRLAKVRAMSANTENTPPTASSEQKNPLAVVLDVPQNIWRQTLRPLSDFGFGRRSIWEGGVGLFLVSGTVLLALSLAWLRGFQIRSKFRKYLAVFEFAQASGICTGTPVRIRGVTVGNVVRVNPSLKSIEAVVEVEDDKIFIPRNSLIEVNQSGLLMETLIDITPRDPIPSPSVGPLNPDCLKEGLIVCDRQKIKGEQGVSLDALVGIVTRLARQMEEIGIINTYSLAERVAAVIQDAKPLLTKIEAMAEDVQPLLSEFRDSGLLKEIENLTRSLTQASEDLRKVHSSIITPENTELIQKSIYTLIFTLKNIENISSDILGFTGDESTRKNLKSLIKSLSRGRTSSGASIVYSLCSIAISWKILMLEVFVTDLHLLEMQIKFCQLFLHCLN >EOY04808 pep chromosome:Theobroma_cacao_20110822:4:26003789:26006182:1 gene:TCM_019983 transcript:EOY04808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigalactosyldiacylglycerol 2 isoform 1 MVGNTLVKISTCPSLLSSTLTTIPHSFLKTLPYLPPKPRTRLAKVRAMSANTENTPPTASSEQKNPLAVVLDVPQNIWRQTLRPLSDFGFGRRSIWEGGVGLFLVSGTVLLALSLAWLRGFQIRSKFRKYLAVFEFAQASGICTGTPVRIRGVTVGNVVRVNPSLKSIEAVVEVEDDKIFIPRNSLIEVNQSGLLMETLIDITPRDPIPSPSVGPLNPDCLKEGLIVCDRQKIKGEQGVSLDALVGIVTRLARQMEEIGIINTYSLAERVAAVIQDAKPLLTK >EOY06039 pep chromosome:Theobroma_cacao_20110822:4:30732869:30735957:1 gene:TCM_020888 transcript:EOY06039 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: vacuole; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Histone H4 acetyltransferase, NuA4 complex, Ea /.../terPro:IPR015418); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G14385) TAIR;Acc:AT4G14385] MSLGQRGSANPAAMLASLMTKRDKLQDDLRNIEKQVYELETNYLQDSSHFGHVLKGFEGFLSSSKNTANLKRYRKFQPEDRLFSLSSVTSPAIEVTLDVFSARWVIRRTCGLFIIANMHAERGLLYFCFYPILGIYDHILNESIALKLTEFNVSSGDAHLQAEELGVRQDDGRSDFGPGRSKGGGLAANGQGKPKKGRTASAARDGKRIRPSSEPDFDDEDDPDMGLR >EOY03147 pep chromosome:Theobroma_cacao_20110822:4:6375494:6382568:1 gene:TCM_017703 transcript:EOY03147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVLQKAFFNKEGLGYDFTQKETHFKNFFVKANEKYDGVSRCTLFLMFGHSTLSCSYRIVTERNKVGRYV >EOY03926 pep chromosome:Theobroma_cacao_20110822:4:20461216:20464018:-1 gene:TCM_019139 transcript:EOY03926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEWNDLIQVGERRIWRLAFFAISWTIWLMRNKMMFQGKSWDGNQCLDLARTRVAWWAEAKWPEESGKFEDIVRKPNMVFLQKRHQKTTRTANWIAPRTGVLKFNVDGAARGSLCLAEIGGVLRDSDSAIKVMFSKAVGVTDANTAEVVVIKEALKIFVSSKWKDGHLLEIENDSSNTETWIKDPDKSLWRMRKIMLGIEGPKRQVGLWRF >EOY05828 pep chromosome:Theobroma_cacao_20110822:4:29934274:29945187:-1 gene:TCM_020729 transcript:EOY05828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited calcium ATPase MTTILRSNLLGIEWIIHVPATLSKPKKKWHTIFTTIYCSRTFSSLVIKPPAAKAKTKDIHRSSSHVSLTVTQENSLFQVDQTTLIEVVKEKKIDWLQKLDGVDGVASALGTNTQVGISGSTEDIAHRHEAFGSNTYKKPPTKSFFHFVIEAFKDLTIMILLGCAALSLGFGIKEHGLKEGWYDGGSIFVAVFLVIAVSAISNYRQNRQFDKLSKVSNNIQIDVVRGGRRQQISIFDIVVGDIVCLKIGDQVPADGLFLEGHSLQVDESSMTGESDHVEVNRSQNPFLFSGTKVADGYARMLVTSVGMNTMWGQMMSQIGRDTNEQTPLQARLNRLTSSIGKVGLAVAFLVLVVLLVRYFTGNTTDENGNREFNGNKTKADDIINAVVGIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATTICTDKTGTLTLNQMKVTKFWLGQKSMEEGASSISPFVVDLIHQGVALNTTGNVYRASSGSEFEFSGSPTEKAILSWAVLELNMDMEKMKQSCAILQVEAFNSQKKRSGVLIGKNGADTVHVHWKGAAEMILAMCSSYYDASGIVKDLNDSERMKFEQIIQGMAASTLRCIAFAHKQVPEEEYGNLKEQKKVKEDSLTLLGLVGIKDPCRPGVKKAVEDCQYAGVNIKMITGDNVFTARAIATECGILRPGDDMSSGVVVEGEEFRNYTPRERMEKVDKIRVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLRWGRCVYTNIQKFIQFQLTVNVAALCINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATERPTKELMEKPPVGRTKPLITNIMWRNLLAQALYQIAVLLTLQFRGESIFGVTEKVNDTLIFNIFVLCQVFNEFNARKLEKKNVFEGIHKNKLFMGIIGITILLQVVMVEFLKKFADTERLNWGQWGACSGFAAVSWPIGWVVKYIPVPEKPFFSYLKWRK >EOY04720 pep chromosome:Theobroma_cacao_20110822:4:25624008:25624476:1 gene:TCM_019907 transcript:EOY04720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPSLLYHGSSFGCTCPGSRNMVAKEFNLSRAAEEEMEGVKITLRPCARVCGSIFKRKWLCVTYNTGCYASKKDCERVCTP >EOY04721 pep chromosome:Theobroma_cacao_20110822:4:25624082:25624392:1 gene:TCM_019907 transcript:EOY04721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNDRSRNMVAKEFNLSRAAEEEMEGVKITLRPCARVCGSIFKRKWLCVTYNTGCYASKKDCERVCTP >EOY03801 pep chromosome:Theobroma_cacao_20110822:4:19384264:19384981:1 gene:TCM_018985 transcript:EOY03801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPCSHHPTHLSSCLLSRQAFWYDILFSLGTRKWKILLEIRGGIDQPLIFHFMHLKCSATKLLPETNITMTTTQSLYLSDNCKYTRYVAV >EOY03451 pep chromosome:Theobroma_cacao_20110822:4:15863493:15865184:-1 gene:TCM_018529 transcript:EOY03451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKKGHKTEKKKKKGKSFKKGNIPSFKFRNNAHENRYRKLENASITCGKYIDWDNFNEILKIQTSLLNYFEELKLKEFSTFKNRSYNANLVKEFYASITLDKDELEDSDDYIEDGLNVFLNGKEFTITAADLRNLLKIECDEGEFEFSENYDPSSLWKITIGKKEKYSSKSNVGLITSPQIRILHYFIAANIHGKSGSFSYISFQDLWLMEHAFSGVSLNLGRFMIERMKGACRLEKINLPYRNIITSLVPKKGIWSYRYEADKVKSRDQAIYLGSLPKMVYKLDEETFVKTPKVDPGKETSLHAHFEASSSQFSNEMLFNLLMRIDGKLTGQGVRMLKIEEKLAELETVLKEKGKIPSEPAVADTSVTPSLAEKQGAKGSTFQAEGHEPEVDQPKKTPSLEPQKEVESQQGTEMLGSLDENPPFPPEP >EOY04624 pep chromosome:Theobroma_cacao_20110822:4:25266589:25267194:1 gene:TCM_019838 transcript:EOY04624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNLVTRSLHKLLPHEQAMLPRSKIVYADVYGESLIDMITHPQRYANLSTGLQFGIVFIPPKKPTDPSKRKLFLTSWMTMAIAPLNLIQVNYF >EOY06274 pep chromosome:Theobroma_cacao_20110822:4:31474875:31475940:1 gene:TCM_021061 transcript:EOY06274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METMSMKKRLVITKVVSVMLLLVAVQAQELFPSDYPSSEETSLLSESEFPQDSEDTNLPLQTQQLPLKTQSISEKTSLPSDSEFPQDSEDTSLPLETQHLPIETQSFSEETSLPSEFEFPQDSEDSSLALETQQLAMETDSHSEIRRPPQSPAPLPSETPLPPETPLPTQAPISPKRPWTPENTCKTKCATTCMRKKVPILHNLCNKVCRKRCILLYSQLIYNCTNHCAESMPKNFKSDKKKVAGYVKYCYQKCINKF >EOY06717 pep chromosome:Theobroma_cacao_20110822:4:32745601:32749349:1 gene:TCM_021361 transcript:EOY06717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein MHQVFMQLDDVEFIELEGEKRGGERAMVDNIEDKVLVREFDDGRDIEVVGKLEKNCDIGSNNKGASIFTNMTGDPLCRIGFYPLHLMLVAELCENGELVGVIRGCIKHVGTKFGGTHVKLGCILGLRVSPRHRRMGIGLKLVRAMEEWLINNGAHYTFLATEKNNVASTNLFTAKCNYRNLSSLVIFVQPIISFAMEGLSQDIKVEKLSTDQAISLYDNKLRGKDIYLTDIDAILKEKLSLGTWVSYFKQDEWIGLHSKEKDGDIISTSPRSWAMFSIWNSCETYKIHIKKSHPLKFFHATLSHARDKIFPCLKTPLCDSLEKPFGFLFLYGLHGEGERLGELMKSAWSFASRLAENVKDCKVIITELGVSDPLIEHVPRESSMSRVDDLWYLKKVNGSIHEKNDLGMMGELGNVVVDPRDF >EOY02622 pep chromosome:Theobroma_cacao_20110822:4:1567956:1568594:1 gene:TCM_047034 transcript:EOY02622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 21 TNVTCLPGFFFFFVTEKCPKSLANGFQTLSFSVILLFSLQHSSVSSLEFQAGGSKGWVVPPENDTKIYNECASDNRFQIGDTTRFKFRKDSVMEVVEKDYKNCNSTQPKFFSNNGNSVFTLDHAGTFYFISGASGHCERGQRMIVRVMSPQESLPTSPAADSMLGVF >EOY06507 pep chromosome:Theobroma_cacao_20110822:4:32124938:32125560:1 gene:TCM_021204 transcript:EOY06507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid alkalinization factor 1, putative MGSSRFSLSLLAICAILAVHVAVSASSPTLDFVGGDQFLPIKSECRGSIAECLMLSGDESSSDFDAEFAMGSEIYRRILATTRYISYGALRRNTVPCSRRGASYYNCQPGAQANPYSRGCNRITRCRR >EOY05690 pep chromosome:Theobroma_cacao_20110822:4:29504883:29508362:1 gene:TCM_020629 transcript:EOY05690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase MAHESVGIAKDVTELIGKTPLVYLNNVVDGCVARIAAKLEIMEPCSSVKDRIGYAMITDAEAKGLITPGQSVLIEPTSGNTGIGLAFMAAAKRYRLIITMPASMSLERRIILRAFGAELVLTDPAKGMKGAVQKAEEILAKTPNAYMLQQFENPANPKIHYETTGPEIWKGSGGKIDALVSGIGTGGTITGAGKYLKEQNPNIKLYGIEPVESPVLSGGKPGPHKIQGIGAGFVPGVLEVNIIDEVVQISSAEAIETAKLLALKEGLLVGISSGAATAAAIKIAKRPENAGKLIVAIFPSFGERYLSSVLFESVREEAESMTFEP >EOY04272 pep chromosome:Theobroma_cacao_20110822:4:23094028:23096330:-1 gene:TCM_019521 transcript:EOY04272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B561-1 isoform 1 MVKVNVFSHSMLAYKTVPGTKSFKKLVHLTVQCVAFILSIIGLWAALKFHNDKGIDNFYSLHSWLGLACLFLFGIQWAAGFATFWYPGGSRNGRAALLPWHVFFGMYTYALAVATATTGILEKLTFLQTNLVISRYSTEALLVNSLGILIVVLGGFVILATLTPVNGKSDAHRGLVE >EOY04270 pep chromosome:Theobroma_cacao_20110822:4:23094022:23097436:-1 gene:TCM_019521 transcript:EOY04270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B561-1 isoform 1 MAAPVIKFPIFMIIRVLGIVITALVFTWTLHYRGGLALISDNKDLIFNVHPVLMVIGLVLLNGEAMLAYKTVPGTKSFKKLVHLTVQCVAFILSIIGLWAALKFHNDKGIDNFYSLHSWLGLACLFLFGIQWAAGFATFWYPGGSRNGRAALLPWHVFFGMYTYALAVATATTGILEKLTFLQTNLVISRYSTEALLVNSLGILIVVLGGFVILATLTPVNGKSDAHRGLVE >EOY04271 pep chromosome:Theobroma_cacao_20110822:4:23094028:23096330:-1 gene:TCM_019521 transcript:EOY04271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B561-1 isoform 1 MLAYKTVPGTKSFKKLVHLTVQCVAFILSIIGLWAALKFHNDKGIDNFYSLHSWLGLACLFLFGIQWAAGFATFWYPGGSRNGRAALLPWHVFFGMYTYALAVATATTGILEKLTFLQTNLVISRYSTEALLVNSLGILIVVLGGFVILATLTPVNGKSDAHRGLVE >EOY02835 pep chromosome:Theobroma_cacao_20110822:4:2483516:2486911:1 gene:TCM_017240 transcript:EOY02835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISRRGHQFCSFQIRSWKLVSKMDGTFQIIVFFNRMAVPLTFMLLGSLEKSYISKQGNDNAIPVEITSTIGHVAAGHFDGVITVTTCIYLMVLVKKGEGLHQNWLIHRSANPRGHLESLWHLLSLSLTNCILKHNLLHSSFLVQKYYQDSITHVRLGKILLLIARSSHIKGAKEEANDIRYQIGYDAVMTVTFLIGIVPSKQGHDAILGRPGVCCCQRARVCEKQRERMSGDWGPVVVAVVLFVVCSPGLLCQLPGNKRAVEFANFQTSPISIFVHTIIFFGLVTIFVIAIGIHIYSG >EOY05294 pep chromosome:Theobroma_cacao_20110822:4:27924788:27929242:-1 gene:TCM_020328 transcript:EOY05294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MACNVINSWTFNGLVGAFLDLSIAYLLLCGSTLSYLASKFLGLFGLSLPCPCSGLFGSTDKSNCLQAILVNKPSLKISSVQSSVKKKLPFDSIWNNFYDDEDEDEEQHDSQSNVDKWQNRNVEMEGEASSCSWNEKKNFVGVKKGSFTPFPKWKGFGSQRPRVGLRRRKRAASGRRGKVLSFSYDSLVSMTTPTGLNSSASIGKFGNDITEGGTTSANSEDGWETSKEIEMPEQGSQGFEMDDDPFAENTLIEKEVALAEFKCLPPDQDFDGSDRNAIRVLEQALEEEHAARTALYLELEKERSAAATAADEAMAMILRLQEEKATIEMEARQYQRMIEEKSAYDAEEMNILKEILLRREREKHFLEKEVESYKQMFFENEQLDAEMYDTAATQEQKSSSIYSNEEPVLKLQQNTESVGEKEKTKINGDFSEYEITSIRSLNHTLAFGKEIPIPELNEDAGSLNSSVEINRAHLSRIHDEVNQEFQNKGMALKNKSLNHQERHVQSSQSTEGPDLHEKAINPMVEEEEQCGETSPHQRLMPKTTEALEEAKIIFPYNNEKVEKHGEDLHGSYSGIDHHVHDVHVIYDECNVNNVENGNESEKKSISVTSNLPGTCDNPTIGGLVIEPDRKRNSLDRSGRLPPIGPSRGKHLPPILRRNSMSAFDYERLKIDNEVGWLRERLKIVQQGRDKLNFPVGHREREQAQLQILENIASQLREIRQLTEPGKALRQASLPPPSSKVMSKKRRWRGAPLGVLRSI >EOY04486 pep chromosome:Theobroma_cacao_20110822:4:24583597:24587533:1 gene:TCM_019728 transcript:EOY04486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase, putative isoform 1 MYKTRLGGCVDGVQSQLGYVETDASGRYGRFGEILGKGAMKTVYRAFDKVLGMEVAWNQVKLNDVFRSPEELQRLYSEVHLLKNLNHDSIMRFYTSWIDIDRRTFNFITEMFTSGTLREYRQRYQLVDMRAIKNWARQILQGLAYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRGSQHAHSVIGTPEFMAPELYEEDYNELVDVYSFGMCVLEMLTSEYPYSECSNPAQIYKKVTSGKLPEAFYRIQDEEARRFVGKCLENVSKRLPAHELLLDPFLASEEASPLPIPRVSSRKLTPDGPVRELAPSVEVDPTRSTDMSITGTTNPGDDTIFLKVQITDKDGHARNIYFPFDVVNDTAIDVALEMVKELEINDWEPLEIAEMIEEEISSLVPTWKDLGSSQVHHQHSFKYEDEEEDDDDDDDQTGIRHPFYATSSRSSSQVSIPTFLSSYETQFCHGKNVTSSCNWPQGMLIIAEDVFSNDD >EOY04485 pep chromosome:Theobroma_cacao_20110822:4:24583898:24588480:1 gene:TCM_019728 transcript:EOY04485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase, putative isoform 1 MYKTRLGGCVDGVQSQLGYVETDASGRYGRFGEILGKGAMKTVYRAFDKVLGMEVAWNQVKLNDVFRSPEELQRLYSEVHLLKNLNHDSIMRFYTSWIDIDRRTFNFITEMFTSGTLREYRQRYQLVDMRAIKNWARQILQGLAYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRGSQHAHSVIGTPEFMAPELYEEDYNELVDVYSFGMCVLEMLTSEYPYSECSNPAQIYKKVTSGKLPEAFYRIQDEEARRFVGKCLENVSKRLPAHELLLDPFLASEEASPLPIPRVSSRKLTPDGPVRELAPSVEVDPTRSTDMSITGTTNPGDDTIFLKVQITDKDGHARNIYFPFDVVNDTAIDVALEMVKELEINDWEPLEIAEMIEEEISSLVPTWKDLGSSQVHHQHSFKYEDEEEDDDDDDDQTGIRHPFYATSSRSSSQVSIPTFLSSYETQFCHGKNVTSSCNWPQEDVFSNDDASSSCSMNSLRYSSLNYEDDFEWSAQQGEHPCIPKTLKATRFCPSESTSVYSHKKRNAQLNSWRFSHSNDQRKLTKIRSLVDIRSQLLHRSVMAELTKRRLFKTIGAMENIGFQEPNGCFGGSLTGRISRS >EOY04229 pep chromosome:Theobroma_cacao_20110822:4:22944972:22946546:-1 gene:TCM_019497 transcript:EOY04229 gene_biotype:protein_coding transcript_biotype:protein_coding description:AWPM-19-like family protein, putative MLIFALIAGVIGACSVLDGVVHYLSWRSDSLAAAASLAIISWAVTALAFGLVCKEIILGGHRGKRLQTLEAFITISLASQLLYVVLLHVGMFNSRYGPGYGSGQPWRHCHYGSP >EOY03243 pep chromosome:Theobroma_cacao_20110822:4:9068402:9073829:1 gene:TCM_017949 transcript:EOY03243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRLLMVLGQVWLEFVWHMIVGMLRLKRSERLPLWECLRAVFTDTRKVHWPSWQHNTCPSSEDGLDIRSLSDVFEAFSMKLWWRFQTCTSLWTRFMRDKYCTSRIQRQIQPKLHDSQTWKWMLASCPVTKQHIRWRIRRGELFF >EOY04122 pep chromosome:Theobroma_cacao_20110822:4:22117506:22125709:1 gene:TCM_019376 transcript:EOY04122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein, putative MASLPPRVLIVSRRTVRKNKFVDFIGEYHLDLIVEYGAVPVIVPRVSGLDNLLDSFKPFHGVLLCEGEDIDPSLYETETSSLSAEELEEIKKMHAGDTAIDKEKDSIELKLAKLCLERNIPYFGICRGSQILNVACGGTLYQDIGKELPQIFPEVQSVSHMNYDNYDGQRHVIKLVENTPLYHWFKKSMNKKTMEIFVNSYHHQGVKQLARRFVPMAFAPDGLIEGFYDPEAYNPQEGKFLIGLQFHPERMRLPGSEEFDYPGCATAYKEFVGAAIAYQKKLFKTSTAQSRNEEEENNCSKFSLRPSKQFTVLDEAKFLKSEMSNATLTFQEEVRLMEVSGTCPWVPRDKAMGRAPSRTRESSIKERGHCSISKKKRRNLQQEIPAATQKKSTKEIGRSGARGRSTERRKRERSGSWRGIRSGNDAVPATVRIHAARRSSSAEKEPDHRRRQICRGKEEPILDSCDATGWGKADLTHVARRSSPAEKKPDHRRRQICRGKEEPIRDSRDVTGWGS >EOY03711 pep chromosome:Theobroma_cacao_20110822:4:18241820:18251189:1 gene:TCM_018817 transcript:EOY03711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 11 MGNLCACFARKTVKKRPVTKRLQNQQTGHNSSNRWVRVRSSRKDKLDDALLQEQALAAAILYRQHQQQNGGVSLPFDRSASLRYPNSCSGSKKAGQLPRSSSSRARSLTDPLLQPHQLVNQDIKLEDLETNHFVLVHGGGFGAWCWYKTIALLEEGGFKATAVDLTGSGIHSFDTNGITSLSQYVKPLTDFLEKLAEGEKVILVGHDFGGACVSYAMELFPFKIAKAIFVTAAMLTNGQSTLDMFSQQAGSNDLMRQAQIFLYANGNDHAPTAIDLDKSLLRDLLFNQSPSKDVALASVSMRPIPFAPVLEKLSLSDLKYGSVRRFYVETPEDNAIPMVLQESMINSSPPEKEGSLLKERTLGKMGRGVSAGGGQSSLGYLFGAGESGSNAQGTPNRGQAAENEPYQKPASSSPPIDKQIPAGIHGNLTNNYFRADGQNCGNFITDRPSTKVHAAPGGGSSLGYLFGGGGGN >EOY04131 pep chromosome:Theobroma_cacao_20110822:4:22163461:22182008:-1 gene:TCM_019385 transcript:EOY04131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYQLLPQFNAPQDSNLPIPEISSDPAATIPSECLREAVFAGGSFWGLEAGFGRVNGVIKTATGYCGGTLKKPSFREVCEGKTGHTEAVKIMYDKRKVSFRSLCDIFWEIHDCTNKDYLKFGLSTHLRSAIFYSMEEERKQAQESRIRRQMKLNRRIVSKALPIEYDFCMAENKHQKYYLQNNYRLCESLNLRSTEQFVESTIACKLNGILAMEARSSIEKLTTFLQTNETMAEETKLVCKEIIEGLDMADMLYNLVVQHVVHQEGEVMTIGNVDLDIYSHIELANDAFELGGQFQCWSWNGYLHYCKTMGFGKFSVAKNASACAFTRLVNAVLGAGRGKIGESSCTVHDDFASSRDSEGRVEVERTSSKSGLGLGKGRGRVGEGRGSEAPSTTIERVKAIDRSLRGIPYAPSEDGKIVLVENMLFTSVQHFWEGLDDIIAEIMPHATQRRFCRAVPASDSEFSVFQGGKTYVVNLGVATCIGHTRGDLEQYCDNYYSKEKFMMAYNEYIHPILDIAMVEFRGQVDPVEPPMLYRMLSRLKKNRRKEHRERLDGHGNSRMNSIVRCTLCFSFGQNRKTCLRVLVQLNRKQNTLLGFSSTGVGSLSGAVTESSSKLAASRTLRGLASVQRRLVATNALRLGRGAKFVLTYSGARFVPAHGEARRPPFYGLVATLACASIMNSDSAGGTQTSVSHVVDM >EOY04530 pep chromosome:Theobroma_cacao_20110822:4:24899264:24904927:-1 gene:TCM_019768 transcript:EOY04530 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS/GAT family protein MMMMSSASSSAATVAVDKATSDLLIGPDWTMNIDICDSVNSNHWQAKDVVKAVKKRLQHKSSKVQLLALTLLETMVKNCGDYVHFQIAERNILGEMVKIVKKKADMVVRDKILVLLDSWQEAFGGPGGKHPHYYWAYDELRRSGVEFPKRSLDAAPIFTPPATHPTVRHNHPGYGMPSNSSRRLDETMATEIESLSLSSLDSMRDVMELLSDMLQAVNPDDRAAVKDEVIVDLVNRCRSNQKKLMQMLTTTGDEELLARGLELNDSLQSLLAKHDAIASGSPLPIQVTNVSSKPAEASTSNKSNEVKDSSLTPNISPSAPIATVTRSQIDEEEEEEDDFAQLARRHSRAQSTSSQSTSAGTSEGIVPINNTAPTTSYVPAASTSSPCNALALPDPPAPVRTTKEQDLIDLLSITLSTTSASSPHTPPTPSASYQNMHQAPVPPSTQGYPYASQTYPGSQRQLPYNSYVVPWAQPQPHPQKPSQPQVQPQYLSQQQVHPQSQHQIDQQSQSQSQFHTDSQTQIQSNSLSQAQPHFQTQSQSLPRPQSHPLQLQAASQIQLQPQSQSQPQRQAQQQTQPRLQAQSQTQTQSFLQPQHQPHFQPQYPQYSSGYPPPPWAATPGNRPLQHVNSFPARGSNGAPMNGDSWASTGYKNPTPVAGQKPFIPSYRLFEDLNVLGNTDGRLKMTSSTSPSLSGTSSQSMVGGRK >EOY06758 pep chromosome:Theobroma_cacao_20110822:4:32855906:32856355:-1 gene:TCM_021390 transcript:EOY06758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNLAMIVFALVLLLATLRADGKRMALKNNKRNLYSDQASTLGRKPDVGGKDAKDPAANNKGAATAGTAENKGEVGPVGDPQGRCPIV >EOY06751 pep chromosome:Theobroma_cacao_20110822:4:32832228:32834139:1 gene:TCM_021385 transcript:EOY06751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein, putative MKHARYDRELINGKFRLPEPMNKPILSANPTIISHVLQPNDAFLILASDGLWEHLSNEKAVDIVHNHPRAALDVLQIPKFIRRDFLMSLHSMGSAERLVMVALQEAARKREMKYSGPSEDWQEVQDPLVSNRNVLEHRSHFYGAPVDLCTDVAGCIA >EOY06387 pep chromosome:Theobroma_cacao_20110822:4:31745482:31747732:-1 gene:TCM_021122 transcript:EOY06387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein 3, chloroplastic, putative MASTTGASLKFTSLSSSFKQTQVPLRGVSNVNSVSFPSLRMRPGSLRFRVSCAAKPETVNKVCEIVRKQLALPDDSPVTGESKFSTLGADSLDTVEIVMGLEEEFGISVEEESAQSISTVQDAADLIEKLMDKNCA >EOY04048 pep chromosome:Theobroma_cacao_20110822:4:21666385:21667044:1 gene:TCM_019304 transcript:EOY04048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSIVGSKMASFLPSYAIIRPSFKHYTGPQELQVRAESRGDEGRLSNNVDANLGVLREGIDQVKIKEKLERCCRCKYGWNYTPGYKYKLKRGLEISEFFGLVSLVAATLGFTFFSGTLFLCLVSFFVHLNQGF >EOY03542 pep chromosome:Theobroma_cacao_20110822:4:17046331:17054442:-1 gene:TCM_018657 transcript:EOY03542 gene_biotype:protein_coding transcript_biotype:protein_coding description:GNOM-like 1 MGDLTTQSGNNGFEGELVKPSRGAFACMINSEIGAVLAVMRRNVRWGVRYVADDDQLEHSLIHSLKELRKQIFSWQHQWQNVDPVVYLQPFLDVIRSDETGAPITGVALSSIYKILTLDVLDLDTVNVGDAMHLIVDAVTSCRFEVTDPASEEVVLVKILQVLLACMKSKAATRLSNRHVCMIANTCFRIVHQATSKGELLQRIARHTMHELVRCIFSHLPEISSPEHEMANGSSSSASEVVTQNSNHMLGSTLLENGNVGLDCDGPSSISDAFSPLVVNSATETDTSKIGESDGKEDAQHGEILMAAPFGIPCMVEIFQFLCSLLNVIEHIGIGPKSNPIAYDEDVPLFALGLINSAIELGGPSFSKHPKLLALIQDELFRNLMQFGLSMSPLILSTVCSIVLNLYYHLRTELKVQLEAFFSCVLLRLAQSKHGSSYQQQEVAMEALVDLCRQQTFVAEMYANFDCDITCSNVFEDLANLLSRSAFPVNGPLSAMHILALDGLVSMIKGMAERIGNELPVSDEASTDHEGYEAFWTLKCQNYSDASSWIPFVRKMKYIKRKLTIGADHFNRDPKKGLEFLQGIHLLPDKLDPESVASFFRYTTGLDKNLIGDFLGNHDEFCVQVLHEFARTFDFHDMNLDSALRVFLGTFRLPGESQKIQRVLEAFSERYYEQSPHILCNKDAALLLSYSLILLNTDQHNVQVKKKMTEEDFIRNNRLINGGKDLPREYLSELYHSICENEIQMIPDQGAGFPVMTSSRWINIVHKSKESSPFIACDSRALLDHDMFAILSGPTIAAISVVFDQVEQEDVLQTCIDGFLAIAKISAHYHFGDVLDDLVVSLCKFTNLLTPLSVEEAIVSFGDDARARMATSAVFTIANSYGDYIHSGWRNILDCVLILQKLGLLPAHLASDAADEMESLSDHERGKPATSSLSTSQTVRAPTPQKSSSLIGRFSQLLSFDMEEPRLQPTEEQLATQQNLREIVEKCHIDNIFMESKFLQSESLLQLVGAIVFAAGRFSKGSGIVEDEDTAVFCLDLLIAITLNNRDRIMIIWQNVYKHIADIVQKKSTPCTLVEKAVFGLLKICQRLLPYKENLTDELLKSMQLILKLDARVADAYCEPITQEVMRLVKANATHIRSHLGWRTIISLLFITARHPEASEFGFEALAFIMFEGAHLMPSNYVLCVDAAREFAESRVGEVDRSIHSLDMMAGSVVCLVRWSYETKNAAEEAAIQVSQDIGEMWLRLVQGLRALCLDQREEVRNHAILMLQRSLAGVDGIHLPNAMWFQCFDLVIFTLLDDLQEIAEGSSSKEYRKMEGTLVLATKLMSKAFLQLLQDLSQQPSFCKLWLGVLNRMERYMKVKFRGKCSEKIHELVPELLKNTLLVMKTTGILMPSDDIGGDSFWQLTWLHVKNIVPSLQSEVFSEQELEQMQAKHKNKAEIPETDGVVLVPSKETTA >EOY03122 pep chromosome:Theobroma_cacao_20110822:4:5316486:5321472:1 gene:TCM_017615 transcript:EOY03122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 MSVKLLWLVPSRSDLSNILGFIHSSRDGNRSLGSSKFLFLDRNLLFKYSANKGKKQKWNSYSLRTDLRYPCIGSSGSESLSSSSVPLVSSMVATSSAGEMTVSSEEKVYNVVLKQAALVKRQLRPSGDLDVKPDIVVPGTLSLLTEAYDRCGEVCAEYAKTFYLGTLLMTSERRRAIWAIYVWCRRTDELVDGPNASYITATALDRWEARLEDLFRGHPFDMLDASLSDTVAKFPIDIQPFKDMIEGMRMDLRKSRYKNFDELYLYCYYVAGTVGLMSVPVMGIAPESLATTESVYNAALALGIANQLTNILRDVGEDAQRGRIYLPQDELAQAGLSDEDIFAGKVTDKWRNFMKKQIKRARMFFDEAEKGVAELSASSRWPVWASLLLYRQILDEIEANDYNNFTKRAYVSKAKKLVALPVAYAKSLIGPSRTSSFLAIINQKESSDCELHCKFISLDDVILHFLCKRAYLLVQHRVLQIHRLVTRIKFGYLYGNAMSLGLMFNGVFLLEPGIQRSRMINGVLQVRNSHRFRSTLEPTFYLFI >EOY03953 pep chromosome:Theobroma_cacao_20110822:4:20676968:20687276:-1 gene:TCM_019173 transcript:EOY03953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREKSFGLGIGLGVRERKEDGSGSRGFHDNLERWRKSLCTVFVDNVSYRISWRELKVCFDEFGIMVDVFIPNRTWNRHNNYGFVRFLHEHGMRKAIQYGEGIHLNGLRLKVKEAYRDRQRKRFLNGREHLPENEPIQQTREQTGEEKQVTIFVSLDQTETEWLNLAAMGKLRNTMAFMEVLLQSYSEIFNLWFDYLVPYDAEVEMRREVVETPLRSSENQSWSGEKDGKLINEEERWVHMQSDNERDSLKSGAQRDGVPDPKSKGKVVKGSKKKERLMALEPKPITEDSGEIKGNGYELEANNRPWVTVEG >EOY04009 pep chromosome:Theobroma_cacao_20110822:4:21450685:21468650:1 gene:TCM_019269 transcript:EOY04009 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-like carbohydrate kinase family protein isoform 2 MACDHSFDYKFLRPKKLKHLLVQPSFMLLCSSVSGRSSQSGIFRDKTISSFSRSRSSRKSVMASPPILSLALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPINSVQFSNHTGYPTFKGHVLNGQQLWDLIEGLEANDLLYYTHLLTGYIGSVSFLNKVLEVVHQLRCVNPNLTYVCDPVMGDEGKLYVPEDLVSVYREKVVPVASMLTPNQFEAELLTKLRIGSETDGREACNILHATGPSKVVITSINIEGNLLLIGSHQKDKEHAPEQFKIVIPKIPAYFTLVACLMGGNDVRRGEHDYISSSITTDVSLGFCSWKIILLLELLKNLIIQSLDIDIVDIMMKFRTYCLLKGTGDLMTALLLGWSNKYPNNLDKAAELAVSSLQALLQRTLSDYRRAGFDSQSSSLEIRLIQSQDDIRNPKLTFKADKYT >EOY04010 pep chromosome:Theobroma_cacao_20110822:4:21455048:21468386:1 gene:TCM_019269 transcript:EOY04010 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-like carbohydrate kinase family protein isoform 2 MLLCSSVSGRSSQSGIFRDKTISSFSRSRSSRKSVMASPPILSLALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPINSVQFSNHTGYPTFKGHVLNGQQLWDLIEGLEANDLLYYTHLLTGYIGSVSFLNKVLEVVHQLRCVNPNLTYVCDPVMGDEGKLYVPEDLVSVYREKVVPVASMLTPNQFEAELLTKLRIGSETDGREACNILHATGPSKVVITSINIEGNLLLIGSHQKDKEHAPEQFKIVIPKIPAYFTGTGDLMTALLLGWSNKYPNNLDKAAELAVSSLQALLQRTLSDYRRAGFDSQSSSLEIRLIQSQDDIRNPKLTFKADKYT >EOY03702 pep chromosome:Theobroma_cacao_20110822:4:18215640:18222731:-1 gene:TCM_018810 transcript:EOY03702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSAKFIYSFSDENPELQKQIGCMNGLFQLFDRHQFFGCRRIASSNHKRLPPGQNGKHGTEHKVASQKIKENSLKKTVKEKQRFSFESPRTSFSSSSCSSSFSSADCSKTSQVDRSSLSQTTFPETPTQGISNYQSNNSLQSSQQSLDLRNVVKDSIYREARVLSIKTATKVEAGRHQTLKYIDSPRPLQSPKPSKTKTTTSLNESSRVLPRLQEAPRMSNERKDGSLTFAPRDAHRFSYDGRGSQDALKIKLKDLPRLSLDSRESSIKGSINSIKSNLLPGELHRSSLNSNEMKNQQQEPGSYKGPSSVVAKLMGLEALPDTMLTNGNQRGQIRTCHDLKDDPLSCSSRIDEKNQNWISGSPRNLKREPSSPRLTNADPKKPVATRCPIEPAPWKQPNGNKGQTSALKCQETPMKAPNNSLTVYGEIEKRLAELEFKKSGKDLRALKQILEAMQKSKQMTETRKEDQASNFISHANSILGHSSEAANLIKLQSSSAVSATIKGTSSPTSLKSPITIIKPARFMENACNSTSSVIATGSLSRLRTSNPADTRYQKVDMRSYKELTPRPNPSRDPSSRLHSRDKSTAKTLRCNQPSKGPSPTVRENPMLAISSETTCLKLQQKKLEMEKQSCSANPTSDQSRSRRQSGRVQAESGLPHRKPRHKSHNLQRRDDQLSDISSAMRDLSHQGDASSMQSESNMSAASCGEIEVTSTDTSCKIEGTFSQKHGKKQNNPPARFSEVDSTAEPPRTALEHPSPVSVLDATFYGDESPSPVKKKSNAFKDDEGLIPDEAHWGPVGLNHLSSCRETSLRSKTDNGKAENIRHLVQKLMNLDSINEDSIINEITPICNSPNPDHIYISEILSASGLLRELESSFMAYQLHPSGHLINPNLFLALKKAGKAG >EOY03701 pep chromosome:Theobroma_cacao_20110822:4:18184247:18222631:-1 gene:TCM_018810 transcript:EOY03701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSAKFIYSFSDENPELQKQIGCMNGLFQLFDRHQFFGCRRIASSNHKRLPPGQNGKHGTEHKVASQKIKENSLKKTVKEKQRFSFESPRTSFSSSSCSSSFSSADCSKTSQVDRSSLSQTTFPETPTQGISNYQSNNSLQSSQQSLDLRNVVKDSIYREARVLSIKTATKVEAGRHQTLKYIDSPRPLQSPKPSKTKTTTSLNESSRVLPRLQEAPRMSNERKDGSLTFAPRDAHRFSYDGRGSQDALKIKLKDLPRLSLDSRESSIKGSINSIKSNLLPGELHRSSLNSNEMKNQQQEPGSYKGPSSVVAKLMGLEALPDTMLTNGNQRGQIRTCHDLKDDPLSCSSRIDEKNQNWISGSPRNLKREPSSPRLTNADPKKPVATRCPIEPAPWKQPNGNKGQTSALKCQETPMKAPNNSLTVYGEIEKRLAELEFKKSGKDLRALKQILEAMQKSKQMTETRKEDQASNFISHANSILGHSSEAANLIKLQSSSAVSATIKGTSSPTSLKSPITIIKPARFMENACNSTSSVIATGSLSRLRTSNPADTRYQKVDMRSYKELTPRPNPSRDPSSRLHSRDKSTAKTLRCNQPSKGPSPTVRENPMLAISSETTCLKLQQKKLEMEKQSCSANPTSDQSRSRRQSGRVQAESGLPHRKPRHKSHNLQRRDDQLSDISSAMRDLSHQGDASSMQSESNMSAASCGEIEVTSTDTSCKIEGTFSQKHGKKQNNPPARFSEVDSTAEPPRTALEHPSPVSVLDATFYGDESPSPVKKKSNAFKDDEGLIPDEAHWGPVGLNHLSSCRETSLRSKTDNGKAENIRHLVQKLMNLDSINEDSIINEITPICNSPNPDHIYISEILSASGLLRELESSFMAYQLHPSGHLINPNLFLALEQTKVSIRLLYEHESRKINQLEPIEKNHRQLIFDAVNEVLVRKLVNNSSFKQWLSPGTLEDRRPREQQLVRDLCSEIDNLQTASKISLDSEDDSLRSILLGDLTLGLMDWTQCKSEIPWLVLDVERLIFKDLICEVISGETANPQVQPNRHCRQLFLK >EOY03703 pep chromosome:Theobroma_cacao_20110822:4:18218698:18222715:-1 gene:TCM_018810 transcript:EOY03703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSAKFIYSFSDENPELQKQIGCMNGLFQLFDRHQFFGCRRIASSNHKRLPPGQNGKHGTEHKVASQKIKENSLKKTVKEKQRFSFESPRTSFSSSSCSSSFSSADCSKTSQVDRSSLSQTTFPETPTQGISNYQSNNSLQSSQQSLDLRNVVKDSIYREARVLSIKTATKVEAGRHQTLKYIDSPRPLQSPKPSKTKTTTSLNESSRVLPRLQEAPRMSNERKDGSLTFAPRDAHRFSYDGRGSQDALKIKLKDLPRLSLDSRESSIKGSINSIKSNLLPGELHRSSLNSNEMKNQQQEPGSYKGPSSVVAKLMGLEALPDTMLTNGNQRGQIRTCHDLKDDPLSCSSRIDEKNQNWISGSPRNLKREPSSPRLTNADPKKPVATRCPIEPAPWKQPNGNKGQTSALKCQETPMKAPNNSLTVYGEIEKRLAELEFKKSGKDLRALKQILEAMQKSKQMTETRKEDQASNFISHANSILGHSSEAANLIKLQSSSAVSATIKGTSSPTSLKSPITIIKPARFMENACNSTSSVIATGSLSRLRTSNPADTRYQKVDMRSYKELTPRPNPSRDPSSRLHSRDKSTAKTLRCNQPSKGPSPTVRENPMLAISSETTCLKLQQKKLEMEKQSCSANPTSDQSRSRRQSGRVQAESGLPHRKPRHKSHNLQRRDDQLSDISSAMRDLSHQGDASSMQSESNMSAASCGEIEVTSTDTSCKIEGTFSQKHGKKQNVSVLPPFN >EOY04312 pep chromosome:Theobroma_cacao_20110822:4:23742232:23743028:-1 gene:TCM_019591 transcript:EOY04312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKASLFICFLLSSVLVLPFAFSARELHIVEHIGARNTFPVNPSTGKTHHTSCYRERKYYVCNVPTPPSRGTLAAPVGCRTCPTPIYVPRNSPCPCTIK >EOY04062 pep chromosome:Theobroma_cacao_20110822:4:21808939:21822614:1 gene:TCM_019325 transcript:EOY04062 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase MSSQAAATATAKILTVDSTELYLAALNSPDSTLSFPPIPSSFPPSKLIPNSRFLIDSFRHPSTTFSAAYFLSHFHSDHYSGLSPSWSRGIIFCSHLTSLLLIQTLKIPPHFIFPLPLNDPVVIDGCEVILIDANHCPGAVQFLFKVPTKNGSFERYVHTGDFRYCNSMKLNSYLNGFVGCDAIFLDTTYCDPKFVFPSQEESIDYVVSVVDGIGKEFEKKRVLFLVATYVVGKEKILVEVARRCQRKICVDGWKMGILGVLGYGDDGVFTEDESESNVHVVGWNVLGETWPYFRPNFVRMKEIMVEKGYEKVVGFVPTGWTYEVKRNKFAVRSKDSFEIHLVPYSEHSNYDELREYVKFLKPKKVIPTVGMDIEKLDSKHADKMRKHFAGLVDEMANKKDFLMGFHRGNGENMEKVEVDASAGLNEEQDLEIKQNILEMDTVESNDVDITLNDPSSLHKPDSQDLTIPSEEERERIIEEFRDCLPKWVTRDQILDLIGSSRWNIVEAVSTFCEREIELYEQVAVCRTSDSASQATSSNNSMSLSNSGPFRSCTHESVSFHVSQTSKSRSLKLSVRSNISPGKRKKNTENKLNKKVKGNSKLESSGSKQPTITSFFGKLLADDTKGDRSGVKIEECSKGENSFPNNLTKSYVEKIDQFIHIVNANESSRNYVATLLEKTQGDINKALDIYYSKPQVNHGENTENFVPSSTSTEVPSCSNDSSVTKKKNVPEESRCLADSSLQRQPMANVETTLVSLPSDKYKPIDHACWKSGQPAPYIHLARTFDLVGGQKGKIKAISMLCNMFRSLLALSPEDVLPAVYLCTNKIAADHENIELNIGGSLVTSALEEACGTNRSKIRDMYNEIGDLGDVAQACRQTQTLLAPPPPLLIRDVYAVLRKISVQTGSGSTIRKKNLIVNLMRSCREKEMKFLVRTLVRNLRIGAMMKTILPALAQAVFMNSSLNLYHEGSADSLKEKLQDISAAVIEVYNVLPNLDLIVPSLMKEGIAFSSSTLSMVPGIPIKPMLAKITNGVPEVLKLFQNKAFTCEYKYDGQRAQIHKLADGSVRVFSRNGDETTLRFPDLINTIKESSKPAAQTFILDAEVVAIDRKNGYKLMSFQELSSRERGSKDSLITVNTIKVDICVFVFDIMFANGEQLLGFPLRQRRKCLKDLFYDEKLGHFEYAKEIAVEANDACLTSEPTLTRINAFLDDALHFSCEGIMVKSLDTDAGYFPSKRGDTWLKVKRDYVEGLNDSLDLVPIGAWHGNGRKAGWYSPFLMACYNPDTEDFQSVCRVMSGFSDSFYKEMKEFFSGDRILAKKPTYYQTAEVPDMWFSPALVWEIRGADFTVSPVHQAAIGLVHPSRGISIRFPRYICSVSDRNPEECSTAADIAEMFHSQTRKMDVIVEH >EOY05519 pep chromosome:Theobroma_cacao_20110822:4:28875228:28876943:-1 gene:TCM_020503 transcript:EOY05519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyltransferase 5, putative MNVLSLPACKQVPPLGNFRGGLNVESFFSRKDKVVFVMGATGTGKSRLAIDLATCFDPAEIVNSDKMQVYKGLDIVTNKVTEEECRGVPHHLLGIVDPNSNFTSMDFRHHASVAVKSIVSKGSLPIIAGGSNSYIEALVNHDPEFQLRYECCFLWVDVSLPVLHSFVAERVDRMVQAGMVDEVKQMFDPKADYSRGIRRAIGVPEMDQYFRAESILDAKIRARLLETAISKIKENTCMLACRQLEKIDRLYNQWKWRMHRIDATEVFLKRGEEADEAWHRLVAGPSTMIVDQFLYDDNRVASIIPSSDSTASTSSVPLTAVAAATR >EOY03486 pep chromosome:Theobroma_cacao_20110822:4:16267107:16268716:-1 gene:TCM_018572 transcript:EOY03486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34e superfamily protein, putative MVYFHSSISVCKSVDQASSATSMANSVNSNDFVMNTKSSSSSSSRNNFYKKQKVFNSPSCLKIPSCERSRSAAIDVVILIAVIAACGFLLFPTIKCISLKLIEFIGTAFYLVKEEMMRTPMIYGSIGLGFCCAAIAAWVLLLCTTRKCGNPNCKGLRKAAEFDIQLETEECVKNSSTLVKDGVKKGLFELPRDHHKELEAELKKMAPVNGRAVLVFRARCGCSVGRLEVPGPKKQRKIKK >EOY02623 pep chromosome:Theobroma_cacao_20110822:4:1570173:1573216:1 gene:TCM_017048 transcript:EOY02623 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein MSPAMKEAKPTPNLPVFINAEDFLDSLPPGYCFKPRDDELIVHYLRRRVCNKPLPPNRIKEVELYKYSPDDLTQADNASSQKVSEWYFFTPRDRKYVNGSRPSRTAGDGFWKATGSDTSVMFKGNIVGFKKTLVYYYGKPPKGEKTNWIMHEYVLSNPPARQRAGKDDMRLDDWVLCRLHNRNDTKVGATQQATDQKQEEENYAIVAAQTEILEQQYDENILPLQIEIPVAQAEILEQQYGKILPPQTEQVQVQDFNLLLPQQTCSMPLYNPYDDSTSGFTSFPESLHPMLEYHSTMFPQQDVSVYGNIITTVAPIATIPPPQFQHSQQQQFSRAPNIHDPLNMHQQQQFSGAPNFPDPSNMDQQQQQFSGGPNIHGPLNMHQQEQQFSDAPDITELLAADQYLLDMDHDE >EOY05970 pep chromosome:Theobroma_cacao_20110822:4:30472676:30474158:1 gene:TCM_020829 transcript:EOY05970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLALLSPVLKKQDENLSCSLLQWLVPSYSLNSHFLCHFSYHYVQLVTHFVFPFPHCHCLFYYALKFHCVPSWKIISVGGSFLLSYHEEYMCSILL >EOY06036 pep chromosome:Theobroma_cacao_20110822:4:30721486:30726650:-1 gene:TCM_020885 transcript:EOY06036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome 2 isoform 1 MSSSKTIVWFRRDLRIDDNPALAAAARNGSVFPVFIWCPKEEGQFYPGRVSRWWLKQSLAHLEQSLRSLGAELVLIKTQSTLSALLDCINATGATKVVFNHLYDPVSLVRDHGIKEKLAEIGISVQSYNGDLLYEPWEIYDEKGQAFTTFDAYWDKCLNMQTEPIPLLPPWRLVPAAVTGTVERFSLEDLGLENESEKSSNALLGRGWSPGWSNADKALTEFVEQNLFNYSNNRLKVGGNSTSLLSPYLHFGELSVRKVFQCARMKQILWRREQNSQGEESVTLFLKAIGFREYSRYLCFNFPFTHERSLLSNLKFFPWHADVNHFKAWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKFLLLPWKWGMKYFWDTLLDADLECDILGWQYISGSLPDGHELERLDSPQIQGSKFDPEGEYVRQWLPELARMPTEWIHHPWDAPPTVLKAAGVELGLNYPKPIIDMDTAREHLTEAIFKMWEMEAAAKAATSDGMSEEVFDNSDGIETSAIPKVILKEKSSCPTYSSNDQRVPSFQNCNNGSSRRKRAKYVEEERLNADKLKNQNKGAGTSRGEEDLCSTAESSASKGQSTSRISFSVPQSCSSSDGRPLQEYESSDLRRSWQEKIDLDQTSSKNRAMR >EOY06035 pep chromosome:Theobroma_cacao_20110822:4:30721028:30727654:-1 gene:TCM_020885 transcript:EOY06035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome 2 isoform 1 MSSSKTIVWFRRDLRIDDNPALAAAARNGSVFPVFIWCPKEEGQFYPGRVSRWWLKQSLAHLEQSLRSLGAELVLIKTQSTLSALLDCINATGATKVVFNHLYDPVSLVRDHGIKEKLAEIGISVQSYNGDLLYEPWEIYDEKGQAFTTFDAYWDKCLNMQTEPIPLLPPWRLVPAAGTVERFSLEDLGLENESEKSSNALLGRGWSPGWSNADKALTEFVEQNLFNYSNNRLKVGGNSTSLLSPYLHFGELSVRKVFQCARMKQILWRREQNSQGEESVTLFLKAIGFREYSRYLCFNFPFTHERSLLSNLKFFPWHADVNHFKAWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKFLLLPWKWGMKYFWDTLLDADLECDILGWQYISGSLPDGHELERLDSPQIQGSKFDPEGEYVRQWLPELARMPTEWIHHPWDAPPTVLKAAGVELGLNYPKPIIDMDTAREHLTEAIFKMWEMEAAAKAATSDGMSEEVFDNSDGIETSAIPKVILKEKSSCPTYSSNDQRVPSFQNCNNGSSRRKRAKYVEEERLNADKLKNQNKGAGTSRGEEDLCSTAESSASKGQSTSRISFSVPQSCSSSDGRPLQEYESSDLRRSWQEKIDLDQTSSKNRAMR >EOY06037 pep chromosome:Theobroma_cacao_20110822:4:30720699:30727544:-1 gene:TCM_020885 transcript:EOY06037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome 2 isoform 1 MSSSKTIVWFRRDLRIDDNPALAAAARNGSVFPVFIWCPKEEGQFYPGRVSRWWLKQSLAHLEQSLRSLGAELVLIKTQSTLSALLDCINATGATKVVFNHLYDPVSLVRDHGIKEKLAEIGISVQSYNGDLLYEPWEIYDEKGQAFTTFDAYWDKCLNMQTEPIPLLPPWRLVPAAGTVERFSLEDLGLENESEKSSNALLGRGWSPGWSNADKALTEFVEQNLFNYSNNRLKVGGNSTSLLSPYLHFGELSVRKVFQCARMKQILWRREQNSQGEESVTLFLKAIGFREYSRYLCFNFPFTHERSLLSNLKFFPWHADVNHFKAWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKFLLLPWKWGMKYFWDTLLDADLECDILGWQYISGSLPDGHELERLDSPQIQGSKFDPEGEYVRQWLPELARMPTEWIHHPWDAPPTVLKAAGVELGLNYPKPIIDMDTAREHLTEAIFKMWEMEAAAKAATSDGMSEEVFDNSDGIETSAIPKVILKEKSSCPTYSSNDQRVPSFQNCNNGSSRRKRAKYVEEERLNADKLKNQNKGAGTSRGEEDLCSTAESSASKGQSTSRISFSVPQSCSSSDGRPLQEYESSDLRRSWQEKIDLDQTSSKNSNVLLIKKIKEVSVSFWPV >EOY02962 pep chromosome:Theobroma_cacao_20110822:4:3214030:3216001:-1 gene:TCM_017355 transcript:EOY02962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIYGSSRGFFSSEFWGIFLHLMSMGLLEFWREKYRKVKESLQGFEATTSTMDGGERGADPRVRAAEREGQTHG >EOY03865 pep chromosome:Theobroma_cacao_20110822:4:20002607:20005850:1 gene:TCM_019067 transcript:EOY03865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCPRLVVMVYWFSWRYVNKGWRLLLKGSLNNSRMIVGCFLLWILLLVLSNNSFRLYEDLSDERERIILLQWTRKAFQHGMAMELAV >EOY04473 pep chromosome:Theobroma_cacao_20110822:4:24484140:24503026:-1 gene:TCM_019713 transcript:EOY04473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKYDRIRAVKEYIIKMNDHKEDLLGLRGLFFLQTYMNSTLEKDAFRIIIALVAHYDLELHQMDVNIAFLNGNLVKEVSMLQPEGFKGDGKNHLVDRCIYLRTSGSKFIFLILYVDDIVLASIDLRMLQEIKKLLSRQFEMKDLGEASYVLGIAIQGIDFVTYWDSLKVHLLIEY >EOY06587 pep chromosome:Theobroma_cacao_20110822:4:32381227:32384182:1 gene:TCM_021262 transcript:EOY06587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone binding protein, putative MNSNYGRGSSGSLKNFDFDLGLGSGRSKSMNDQKNQTTSSFSSYSSTTSTAQSKPSWQPNKPSWTHQPAANQANQSGLSGPTSMVGDIFGKSWASSGPSGSHSSGIGIVNKTPNLFEDLVSSALGQGKSNVPLKNANPTSNSSSKSPYSMGNLADSLPKTSGNWGTSGGYNNSSGSSNINVNINNSKSQNLGGPSMKGMAGGAGGVGGGSGIGLKKDPFGSLVDFSSKPSGSLNSGSNKGNMANVTDDAFGVFQNASKPSTTTVPPSGFGSSSNDFMGSNMASGLNVDDFGKPAKNVGSQSQPSFQSSGGDPLDMFFSSSSASAGGVKQASGGGGGQQFSAVDDWGLDSEFGGAGGTAEGGSTTELEGLPPPPAGVTASAAKSKGIDNQKQGQYADAIKWLSWAVILFEKANDNAGSMEVLSCRASCYKEVGEYKKAVADCSKVLEHDDTNVSVLVQRALLYESMEKYKLGAEDLRTVLKINPSNRIARSTVHRLTKMAG >EOY03882 pep chromosome:Theobroma_cacao_20110822:4:20169562:20172286:1 gene:TCM_019095 transcript:EOY03882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIEILILQTFLLIQSLQRKSLIGNLPEDELYALVSTQVLALLACHPVLLILTPIGGNEYQLIWFKLNEIILVPILTKGLIWRVLSTLHGSRSPNS >EOY04783 pep chromosome:Theobroma_cacao_20110822:4:25896908:25899603:-1 gene:TCM_019959 transcript:EOY04783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MRRQMIVIFPLLALALSLCMVDVEGASSLQPPVKLVWHYYRVHNTCVDAEVYIRHQVEKFYKRDKTIAAKLLRLQYSDCFVTGSDGSILLDGPKSEKTAPQNRGLGGFAFIDKIKTVLEARCPGVVSCADILNLATRDAVHLAGAPSYPVLTGRNDGITSTAASVDLPSPSISWEAALAYFKSKGLDVLDMATLLGAHSMGKTHCSYIEDRLYKFNKTGKPDPSMKASFLANQRKLCPPRLKKGQSDPLVFLNPASGPKYSFTNSYYSRVLTKEAVLGVDQQLFFGDDTKQITQEFAASFEDFRRSFALSMSRMGNINVLTGKEGEIRKNCRFTNKYNPSKN >EOY06766 pep chromosome:Theobroma_cacao_20110822:4:32893451:32896658:1 gene:TCM_021398 transcript:EOY06766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin 3 MAAESSSSSSSEVKTERRGIPGAQFVEDVETYLSQAGLDVNSALAFLQERLQQYKLVEMKLLAQQRDLQAKIPDIEKCLDVVATLQAKKGTGEALIADFEVSEGIYSRARIEDNDSVCLWLGANVMLEYSCEEATTLLKKNLDNAKASLEVLIADLQFLRDQVTITQVTIARVYNWDVHQRRIRQAMATAKDS >EOY05668 pep chromosome:Theobroma_cacao_20110822:4:29409696:29413479:1 gene:TCM_020610 transcript:EOY05668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat-shock protein 70T-2 MAEPAYTVASDGETTGEEKSSSSFPEIAIGVDIGTSQCSVAVWNGSQVELLKNTRNQKLMRSYVTFKDEIPSGGVSNQLSHEHELFSGAAIFNMKRLVGRVDTDPVVHASKNLPFLVQTLDIGVRPFIAALVNNVWRSTTPEEVLAIFLVELRVMAEVQLKRPIRNVVLSIPVSFSRFQLTRIQRACAMAGLHVLRLMPEPTAVALLYAQQQQQMIHDNMGSGSEKIALIFNMGAGYCDLAVTATAGGVAQIKALAGSATGGEDLLQNMMRHLLPDSENLFLGHGINEIKLMGLLRVATQDAIHKLSSQDNVQIDVDLGNGSRICKVVNREEFEEVNRNIFEKCESLIIQCLHDAKVEVDDLTDVIVVGGCSYIPKIRDLVKHVCKIEVYKGMNPLEAAVCGAALEGAVASGISDPFGSLDLLTIQATPLGIGIRANGNRFVPIIPRNTTMPARKELIFTTVNDNQTEVLIVVYEGETEKAEENHLLGYFKIMGIPPVPKGVPEINVCMDIDASNVLRVLAGVILPGSQQPAVPVMEVRMPTVDDGHGWCAEALHRSYGSTLDLVTVQKKI >EOY03453 pep chromosome:Theobroma_cacao_20110822:4:15873323:15890361:1 gene:TCM_018531 transcript:EOY03453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retroelement pol polyprotein-like, putative MVANENNKLIPTRIVIVWRIVIALEDQEKTTFTYFYVTFTFKRMPFGICNALATFQRYMIAMLLDMVEKMIEVFINDFSLFGNNFDECLLNLDKVLRGCEETNLVLNWEKFHFMVQEGIVLGHKVSSKGFEMDKVKDRDNRVASIANFGERALAMLKDWYMLSLLTWEDYAKRLDDAFLAFRTTYKTLIGMSLYHLVFGKACHLSIELEHKAFWEIKKLNFNLETIAEKRLLQLNEMDEIKLDDYENAKIYKEKTKKWHDRKIIECHFEPSQYVLLLSPLLKLFPGKLKSKWSRSFLVSRMFPDGVVELVSGMTNESFKVNGQQLKHYWGGDIDRQHSAISLFDPR >EOY02486 pep chromosome:Theobroma_cacao_20110822:4:1045716:1049387:1 gene:TCM_016948 transcript:EOY02486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MATKTTMSITELNDETVRSMSIGAVFSDFGGKINSLDFHRKDDLLVTASEDDSVRLYDIANAKLLKTTYHKKHGADCICFTHHPSSVICSSRHNLESTGEALRYLSMYDNRCLRYFKGHKERVVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGVLRLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLTTTNNNIYVLDAYGGEKRCGFSLEPSPNTTIEATFTPDGQYVISGSGDGTLHAWNIMMRNEVTAWNSHIGIAACLKWAPRRAMFVAASTVLTFWIPNNSKPGAEPGSTDAQAGAQSEQIGQ >EOY03861 pep chromosome:Theobroma_cacao_20110822:4:19970095:19973967:-1 gene:TCM_019062 transcript:EOY03861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MALKISYFHFPKLLFSYSSSGKHFFQFLSVSLTKNQPITRKKKTFSHIFQECSNQTSLNPGKQAHCQMIISGFIPTIFVANCLIQLYIKCGNLGYANKVFDRMSQRDIVSWNALVFGYASNGMMGIAKSYFDEMPEKDVVSWNSLISGYLKNGEGLKAIKVFVLMGSMGVQFDWTSFAVVLKACALLEEFYVGVQVHGIAVKIGFDKDVVTGSALVDMYGKCRRLDDSIRFFYQMPEKNWVSWSAAISGCVQNDKFVKSVDLFKEMQREGIEVNQSAYASVFRSCAGLSAFRLGRQFHGHALKSNFGLDLIVGTAILDMYAKCGSMTDAQKLFNLFPIRNLQSFNAIITGYARCDQGFQALHLLQILLKSDLGFDQISLSGAFSACAVIKGSFEGVQVHALAVKSNFESNICVANAILDMYGKCGALAEACRVFHEMDRRDAISWNAIIAAHEQNGNEEETLSHFVSMLHSGMEPDEFTYGSVLKACSGQQTLNYGMEIHNRIIKSGMGLHSFVGSALVDMYSKCGMMEEAEKIHHRIEQQTMVCWNAIISGFSLQKEIIDTCANLATVGLGKQIHAQIIKLELQSDVYICSTLVDMYSKCGNMHDSKLMFEKATDRDFVTWNAMICGYAQHGLGEEALKVFEDMILENVTPNHATFVSVLRACAHIGLVEKGLHYFGLMSSDYGLAPHLEHYSCMVDIMGRAGQVSEALKLINDMPFEPDDVIWRTLLSICKIHRNVEVAEKVANSLLQLDPQDSSAYILLSNIYADAGMWDKVSDMRKIMRYNKLKKEPGCSWIEVKDEVHAFLVGDKAHPRCKEIYEKLGILVDEMRCYVADIDFFLEEEANQLKEQEELKISLCNL >EOY06664 pep chromosome:Theobroma_cacao_20110822:4:32589529:32591766:-1 gene:TCM_021320 transcript:EOY06664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 4 MASFKNTISTLVQLSRTASSCLLSRSRTHIFYISLLLLSVFFVSTSLTERSPTALASAMAARLRRAANYFSSFSPVSSSNTCLVSDLRSNCTLSSVTTVERAPGNSVKDGRYVIKDLGSCDIFNGNWVLDDSDPVYQPGSCPYIDDSFNCYKNGRVDFSYLRYRWKPFDCQIPRFDGRKMLKMLRGKRLVFVGDSLNRNMWESLVCALRESSKDRRRIFEVFRTQGLYSFRFKDYKCSIDFVKSPFLVQEWKISDKIGTRRETLRLDIIQGSKYSDADIIVFNTGHWWTHQKTNKGKNYFQEGSHVYSRLEVKEAFAKALRTWAKWVDVNIDRNRTRVFFVGYSASHFRKGQWDTGGHCDGETEPIANEASPAPYPWMMNILESVIAGMKTPVFYLNITKMTAYRKDGHPSIYRPAEIPRNPSMIQDCSHWCLPGVPDSWNELLYATLLISGH >EOY02406 pep chromosome:Theobroma_cacao_20110822:4:686705:687630:-1 gene:TCM_016890 transcript:EOY02406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYCLSSMNDMTVPCQGFGGSKYHSHHYNLQDRFLMDRVPSACTQFSLRTHILTKTYSHQATENETNP >EOY02608 pep chromosome:Theobroma_cacao_20110822:4:1451559:1463427:-1 gene:TCM_017032 transcript:EOY02608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein MDELLQNHLFATHAIVASGSVVFATALTYPFDTIKSLIQVGSGSSKQLTASQVINRVRALSGNSGLYSGFEWLAWGRIFGLGARFGIYEVLTAFCKDGREDNYVYVSEALMAGMAAGAVESLTSSPFELIKLRAQVTSASRFSRSTPVTENKSVVPAIARLLCGYTPEMRTLNHSVGMLSILNSKHPNMVGAIQDYPWMMTGSGKPPPVYDVRRPSQIISLEGWGALWRGLRSGVARDSIFGGTFFSTWQFLHRAMLDWKAVGMDPLPRCDEEIGPLSPLAVSLAAGFSGSVAAAASHSFDTAKSRSQCIVLPKFISMERKLLKWKIPGKRFERITGIHPADRNVLYHGIWPRMAHSGIASFLLVGSYYLSINYLVSSN >EOY05206 pep chromosome:Theobroma_cacao_20110822:4:27600388:27602858:1 gene:TCM_020272 transcript:EOY05206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MSAPLLGICNDSKINEALELFQKVVENGYPCDQWTCNIIISGRCRSGQTRFALDILRGMNRNGSFNADVIFYARKERCKCKQKRVKEACRMLKLMSERGLKPAISCSSLIHGFCLSSQWKEATSLFNRMMDEGIYLDIVTFNSLIDAFCKQKRMKETCRMLELMSERGVKPNVFTYSSFIHEFCLSGQWKANKLVP >EOY05037 pep chromosome:Theobroma_cacao_20110822:4:26929032:26930124:1 gene:TCM_020147 transcript:EOY05037 gene_biotype:protein_coding transcript_biotype:protein_coding description:NBS type disease resistance-like protein MLTTESVISFGFAYGIYWFGQNPPITDEQDDVCDTCPHGKQNRSLFPNSNLTRATERLQLIHSDVGGLYGAVMVDFKNHMKSQFKMSDLGLMSHFLGLEIQLKKDSKSIHRTKYAKDFLKRFQMQACKPVATPITFGCKLLKEDGGAKRDVTTYKSKIGGLQHLSSTRPDLMFATI >EOY03341 pep chromosome:Theobroma_cacao_20110822:4:13504010:13509601:-1 gene:TCM_018314 transcript:EOY03341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MECICLALGFSTHKSIELVGFKLKNVARIWFLSLKRSRLSRLASMTWEEFVQAFLDHFLPESIRDAKVQEFEMLVQTLYMMVTEYESHEGTTLTHRPPRNSDSFSPLSIQEQCSRASNLDPKEKGVLCNYSEKAHGGQSHKVVGLCFGCGYLRMADMDLEIASKQKKTLSRKRSKTLTSQIDFWSHLGLIEALETTLDLGPRLCNPTSKIRIDLRLSVVLFPMTLLYAYKDGDLFSANNFALNVIWLHWLAIMHDLMMSKGEQNLCNKR >EOY03518 pep chromosome:Theobroma_cacao_20110822:4:16676252:16680527:1 gene:TCM_018618 transcript:EOY03518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVDFEIHSINTTYSDFRMIEDPLSKAFVVLGLDPLAVKLRRENNVGFPIVEFFDDLGNGLYLDTDDMLKEALKLFEGMLVSYPQSKLKVFHTFLENLCISGFTSIAHVMVEKLPRKAEELVPFLDVSLTLIPPLFRADKFEEAITMGKISLREQSTFSSVQSALLKGFCMKGKLREARLLFQDMSSEGLFVNANIYVGNILAVNKVLNELQGKELLPNEATYDFFVYGFSKCKEMSSSVHYLFTMISKGLKLSNRSLRIVTSHLCDIGDLEKALELSQEMESRG >EOY05558 pep chromosome:Theobroma_cacao_20110822:4:28992733:28996389:1 gene:TCM_020531 transcript:EOY05558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin NIP1.1, putative MKSLIMKMKHFFKENSLPSISNNASIRDLSRDDQEMGSSTMSGNGDILIENSGFHCFPHGKDLNLARAILAEMLGTFILMFSICGIITSTRLTGGGAALLEYATTAGLTIVVLIFSIGSISGAHVNPAVTIAFAAFGHFQWSRVPLYILAQILGSVLATFTGEFIYGIKSDLMVTRPGQGCEAAFWVELIANFIVVFVLAALTHQSQSVGPVSGLVIGIAIVLAVLITGPISGGSLNPARSLGPAIVSRNFERLWIYLTAPVIGAVTGALLYRFLRLQGPAYSPSSSPDSSMLSHSLAFGRS >EOY02788 pep chromosome:Theobroma_cacao_20110822:4:2262801:2272290:-1 gene:TCM_017184 transcript:EOY02788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfite oxidase MPGIRGPSDYSREPPRHPCLQINAKEPFNAEPPRSALVSSYVTPVDLFYKRNHGPIPVVDDIERYCVDFCGLSETPKKLYMRDIRMLPKYNVTATLQCAGNRRTAMSKTRKVRGVGWDVSAIGNAVWGGAKLADVLELIGIPKLTSSTQSGGKHVEFVSIDKCKEENGGPYKASIPLSQATNPVADVLLAYEMNGEPLNRDHGYPLRVIVPGVIGARSVKWLDSINIIAEECQGFFMQKDYKMFPPSVDWDNINWSTRKPQMDFPVQSAICSLEDVQSIKPGKVTISGYAVSGGGRGIERVDVSIDGGKTWVEAFRSQKTGIPYIADHISSDKWAWVLFEVTVDIPHSTEIVAKAVDSAANVQPEKVQDIWNLRGILNTSWHQVHVRVGHSNM >EOY02630 pep chromosome:Theobroma_cacao_20110822:4:1603416:1604830:-1 gene:TCM_017052 transcript:EOY02630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein MEKTSLVLELNFISAQGLVHPSLIPDRHLKTYAVAWVDSSTKLHTRVDRVGGENPTWNDKFLFKVSPEFLFNKTSTVSVEIYAAGTFRDRLIGSVRLLIHNFIPTASAAAMKTPAFAALLIHSPFGQFFGTLNVGGMVLDGSRFQLALSKVSTIDYRDLTGENRNGKHHLVRNESKASFRDIMESTPSERGDLSKTEEKEEVATRKLEQPLAAIDLLPRKAAEEMISDNEGSMVKMEKDVKMIVEENKKPREMVQTLVVEAKGQSTVKSDLTGRVKNLEKKLSRRNKQRKLHYGRTARAPKFNDAA >EOY05662 pep chromosome:Theobroma_cacao_20110822:4:29389966:29395201:-1 gene:TCM_020606 transcript:EOY05662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 1 MAATRILVWTLLLLCFILGSSAQTTNNTINLGSSITAGSNSSWRSTSGDFAFGFYLLPRGLFLVGIWFDKIPEKTLVWSANRDDPSRNGSTIDLTDNGQLVLTHSNGTKFTIFNGTSTSSALMQDDGNFVLRESSSRVIWRSFDFPTDTILLGQNLVMGQKLYSNANGTVDYSTGRYMLEVQGLDGNVVLSAFKFADAGYWNTATNGRKNVSLTFNQSAALMSVVSDGSIIQPLSTTSQVPSPTREYYHRATINDLGNFNSWFTIKKMAPNGQWSGKLLQNLAQLLAFAGCLVFALYLIIELLAANACVIDDADFPSHRFADLERIKSMDVNECKNKVMEDCFCMAAVLDGTDCIKKRMPLLNENENIEVAVKQLEKVVEQGEKEFLTEVRVIGLTHHKNLVRLVGFCNEKNHRLLVYELMKNGTLYSFLFGEEKPSWDQRADIVLGISRGLLYLHEECETQIIHCDIKPQNVLLDDSFTAKIADFGLAKLMMKDQTKTSTNVRGTMGYVAPEWLKNAPVTTKVDVYSFGVLLLEIVFCRKHIELHQVDGETTGDEMILIDWVLCSVRTNNLGAIVSHDSEVLMLSKFCRLHARIHASWRSLADVFDEPCCLNSVCGVNSLCNSANNETSRCSCLPGFIPVDETNLSKGWHPETLINYCEDHSLENFMVQVMDDTGFPARDFEDLSRILNTELEGCKKAVMEDCYTMAASLEDSTCHRKRYPLLNARKTASTIGIKAIIKVPRSTGNPDFRRDKRTKKISSQVVLKIGLIVIATLAFLLAATAVYYHPAVRRLMKRKSSLNVDAIGVGFREFTFQELHDATNGFGKALGRGSSGKVYSGRLRLKDVAIEIAVKILEKEIEKSEKEFMTELKIIGRTYHKNLVRLLGFCVENDQHLLVYGKWGFIKVPI >EOY05252 pep chromosome:Theobroma_cacao_20110822:4:27731199:27732187:1 gene:TCM_020296 transcript:EOY05252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNTLCRETNTSGRRQCYSLTASCGNCKLNKALGSRRFSVAGADIFKAWSVLKKNPEAGIEAAYPQIDIDDAVDTPHPVTANSRIEGGKKVLFSSRLGNRKWRCYEEIKEKLMSKVTKRKKSVKKKSRRNTKRSSCGSENWIVNRSLSESEFGNPCKRA >EOY05844 pep chromosome:Theobroma_cacao_20110822:4:29996474:29999596:1 gene:TCM_020741 transcript:EOY05844 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MQKREQGKSGGAAGGTTAPQAKRGRPFGSTTGSAASASTIDSVAPSTLLGPSLQIHSSFADQNNKRIVLALQSGLKSELTWALNTLTLLSFKEKDDMRKDACLAKIPGLLDALLQIIDDWRDISLPKELRKGTRARTLGANSGVTGFGNEYEALGSNSLLPQSGLGSGSSAVEISSQKNTSKLRPSEWWFDEDGLFNLDDEGRAEKQQCAVAASNIIRNFSFMPENEIVMAQHRHCLETVFQCIEDHIVEDEELVTNAIETIVNLAPLLDLRIFSSPKPSYIKITEKRAVQAIMGMLGSPVKAWHCAAAEFLGRMIINPDNEPLLLPFVPQMYKRLVDLLSLQAFDAQAAAIGALYNLVDVNMDCRLKLASERWAIDRILKVIKTPHPVPEVCRKAAMIMEHLASDPQNRQSLLAYENAFAEILFSDGRHSDTFARILFELTSKPNNKMAAARGIWGM >EOY06295 pep chromosome:Theobroma_cacao_20110822:4:31525567:31527279:1 gene:TCM_021075 transcript:EOY06295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosylmethionine decarboxylase family protein MAVSGFEGFEKRLELHFFGDDPVNIDMGLRLLDLESLEQVLHAVQCTVVSAVGNHFFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSIRPLIHYANKLGLTLCGCRYTRGSFIFPKSQPFPHTNFKEEVIYIEENLPNSLCYRKASVMPSKLPSYSWHVFTASGQTHMPRYALKAPDVTFTVEVCMTELDRLLARKFFKRAGDSKTGDSAGKEMTELTGIDNINPGAIICDFAFDPCGYSMNGIDGDRYSTIHVTPEDGYSYASFECVGSIYDDQDIVETLKKAVQVFRPATLSISTTCNSHEVWTRVAHAMEPLGLKCRSCAMDEFPAAGSIVFQTFTACRRK >EOY02275 pep chromosome:Theobroma_cacao_20110822:4:281586:283215:1 gene:TCM_016802 transcript:EOY02275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVESGIKQNGISLVEKGDGRGGNGVCEQHEVWQHIYCSTLNDSVAMFLFNNVLKKKLFELEPSSPGNCFMLSSVKCRDVRGNLNIG >EOY04858 pep chromosome:Theobroma_cacao_20110822:4:26238309:26239205:1 gene:TCM_020027 transcript:EOY04858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAARALSRSFMARTLSGRSMLLEFDRIRASLVASGTLSKPSQARIATPNSSSNSLVLSKWQLAWAMRATTLHQGFPRLRSSSRNESNTNQTGDAIE >EOY03454 pep chromosome:Theobroma_cacao_20110822:4:15951679:15952447:1 gene:TCM_018537 transcript:EOY03454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVSLDDAISLPKIKNLDRATFSRMGYCEDDETSTRVHKRVHQREANNDNDDEDLPQTALDEPSSSVMPSSSATNMGIDARLDDMMEKINENAKHLHLLQDTIEDRFVEVDHAITYHLDDIDQHIQCILEHQESLRGHIVQLFSKRGH >EOY04159 pep chromosome:Theobroma_cacao_20110822:4:22346496:22351728:1 gene:TCM_019420 transcript:EOY04159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSISTRISFTELDSLIGSLVHKKETLVGWQTPLSGWVTLNTNGAFQHSIRKATTSGVIRCHEGFWINGFVINLGLHPSYRVELWGENYGLKLAWESRIRKLWHQVDNKMDLLTAIQEMLQRQWEVKVTHVYCEANTVSDFLANLGFSFDVSYVAHNTPAYWWSLDSDSEPREYRPGIATPRISDQSFHHRVYTWSVIKGAFGRVADIYISRKSYQDERIIGFAFIRVVPIKKMAKEGRKASIVDNRDTEKDRVRAIVSKSFKDVVLGNGIMHRRKNASVKITSEWSTKPTKQSTWETKSDGKMDEQLVEKGKNEVSHQDGRGVITINAIIIEEELTGTNFQK >EOY04534 pep chromosome:Theobroma_cacao_20110822:4:24917619:24922071:-1 gene:TCM_019772 transcript:EOY04534 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0706 protein, putative isoform 2 MVYFRVHAGKTNSIQKRVAEGSLEEVCPLQLLPNIRRDEFPSIHCPPNPMAHPSSSAPSANPVSIIGPQFCAPYPVDLAVVREVWTITDGNFVVTDITGNILFKVKAASLTIHDHRVLLDAAGNPIITFKNKLRSAHDRWQAFRGDSTDSSDLIFTVKRSSMFQLKTTLDVFLANNTKEEVCDFKIKGTWSERSCVIYAGESSTTVAQMHKKNTVKSILVGKDKFMVTVYPNIDYAFIVALIVILDGINTNNGGA >EOY04535 pep chromosome:Theobroma_cacao_20110822:4:24915024:24921635:-1 gene:TCM_019772 transcript:EOY04535 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0706 protein, putative isoform 2 LLPNIRRDEFPSIHCPPNPMAHPSSSAPSANPVSIIGPQFCAPYPVDLAVVREVWTITDGNFVVTDITGNILFKVKAASLTIHDHRVLLDAAGNPIITFKNKLRSAHDRWQAFRGDSTDSSDLIFTVKRSSMFQLKTTLDVFLANNTKEEVCDFKIKGTWSERSCVIYAGESSTTVAQMHKK >EOY02808 pep chromosome:Theobroma_cacao_20110822:4:2328241:2331352:-1 gene:TCM_017202 transcript:EOY02808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFKVLMKVVANRLKPYMNLWIEEPQAHFIPRRHIVDNIVVAQKVVHSFHKKQGQKGWMMVKIDLEKAYDRLRWEFIYDSLVEAQIPENIIDILVRSWKANSSHVLWNDTCSDKFFPSRGVRQGPLAPYLFVLCIERLAHGIKHAVEHEMWKPIRLGKHGPPLTHLFFADDLILLAEASESQIEVIKGVLEDFYACSGGKQEGSSSKMEYLLQAKSTMRTRGSYHIMRNGCSNLWRSMSRLWSEFMKNVRWTVGDGASISLWFDIWLGDLPLFNIAVEEGFPVDMIDRVQDFKSPNGEWDKECLTTALPMEVVEKVLYLILPSLAAFLDEPYWALTSSGHFTISSAYDHLKSLSDSVRSENKRVRRGLSSDASCPQCHVLEETNLHLLRDCLAAAWRNSFVFEGGVIPIEGQLNIIKSWVPSPADWIAVISDRAYKSGKGVASTGGVLRHPDGSWIVGYVCKSRTSTANWVALWGVFQGLKLAWDHGYKRIQVQVDNKTVVKAFNTRATHPYSNSDMIKQSKPCLVDNGRLAYVIFIGKGIKLQTT >EOY04468 pep chromosome:Theobroma_cacao_20110822:4:24451141:24460186:1 gene:TCM_019709 transcript:EOY04468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase-like isoform 2 MRRSTRKSSMGLGLGVEEPLNSNSIGSFRTRFSLSSFVKRVEKLTDDQRNAVKKVGFGNLLLIPNQMLSKNLLVELMERWHSEERGFMLLPGILKITLMDVALILGICVIGDPILLREDEAFSDLESDYGAALWKRKITVASLESRLDSLGEAVNEDFVRTFILFMFGTLLFPNANGKVDSRYLSFLKNLDDIGHFAWGAAVLEDIFMWLNKRKESNVQYVGGCLILLQIWSYEHIDLARPDLIDSYLTFPRACRWENSRSHQRQWFTAKFRELQDHQITWQLQPTSEELEFDIINELLEVESSSIDNSSDSGSVISVVGLQIESDVHHSTKVQVQQGKKVSLMKQSKLSRFFPELQTMGFPSKSCPLELHKEHVELSSQCQNSEKLTGGKVVGLHLESDVTNTSKLQVQKGQEVHLKQSTASQQKAEVQIEKMEFPSTSCASELHKEFIELSSQCQNSEKLTVSGNVVGLHLESDVTTTSNAQEQEGQEVHLKHSTASIPEVQTEVVAFPSPSCVSKLHKECGELPSQCQNSEKFMSGNEDELIKRNRVLEVQNMELRKEIEDLKVENRQLKMYFSSTNDLVTRLEGLVMDETYSS >EOY04469 pep chromosome:Theobroma_cacao_20110822:4:24451141:24460186:1 gene:TCM_019709 transcript:EOY04469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase-like isoform 2 MRRSTRKSSMGLGLGVEEPLNSNSIGSFRTRFSLSSFVKRVEKLTDDQRNAVKKVGFGNLLLIPNQMLSKNLLVELMERWHSEERGFMLLPGILKITLMDVALILGICVIGDPILLREDEAFSDLESDYGAALWKRKITVASLESRLDSLGEAVNEDFVRTFILFMFGTLLFPNANGKVDSRYLSFLKNLDDIGHFAWGAAVLEDIFMWLNKRKESNVQYVGGCLILLQVVGLQIESDVHHSTKVQVQQGKKVSLMKQSKLSRFFPELQTMGFPSKSCPLELHKEHVELSSQCQNSEKLTGGKVVGLHLESDVTNTSKLQVQKGQEVHLKQSTASQQKAEVQIEKMEFPSTSCASELHKEFIELSSQCQNSEKLTVSGNVVGLHLESDVTTTSNAQEQEGQEVHLKHSTASIPEVQTEVVAFPSPSCVSKLHKECGELPSQCQNSEKFMSGNEDELIKRNRVLEVQNMELRKEIEDLKVENRQLKMYFSSTNDLVTRLEGLVMDETYSS >EOY04814 pep chromosome:Theobroma_cacao_20110822:4:26032695:26039908:1 gene:TCM_019986 transcript:EOY04814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase 2-4 MQMLSKASSAMASFPCSRVRSGLCVWPGMRQLCIRKGLVYGFMRLLSTPFKTLRGASRSLRVAEFCSVSNMSSSLQIELVPCLSDNYAYLLHDVDTGTVGVVDPSEAVPIVDALSRKNRNLNYILNTHHHHDHTGGNAELKARFGAKVIGSGIDKDRIPGIDIALNDGDKWMFAGHEVHVIKTPGHTRGHISFYFPGSGAIFTGDTLFSLSCGKLFEGTPEQMLSSLQRIMSLPDDTNIYCGHEYTLSNSKFALSIEPKNEVLQAYAAHVAHQRNKGLPTIPTTLKMEKACNPFLRTSSAEIRQALGIPDTASEAEALGVIRRGKDNF >EOY06189 pep chromosome:Theobroma_cacao_20110822:4:31262076:31265782:1 gene:TCM_021004 transcript:EOY06189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein, putative MSTILMLLLLISISFCTINFNDGCIESEREALFMFKHDLIDHANRLAFWTLNEDCCGWVGVVCDNVTGHVLELHLRNPSLSSSVGFYANDAEYEALERSKLRGKINPSLLELKHLTYLDLSNNAFEGIPIPQFLGSIESLRYLNLSNAGFGGLVPHQLGNLSSLRYLNLYADDKDHLHVANLQWLSGLSSLEHLDLGNVNLTKASNWLKVLNTLPSLEKLYLSSCHLPQVPSPTKLNLSSFTILDLSSNSFENGLFDFSWIFQLKSLVSLDLSHNNFQGCIFHGLENLTSLTHLDLSNNHFNSSIPDWLYNLNSLQFLNLGSNNLQGLISSAVGNMSSAVNLDFSWNELEGKIPRSMGNLCNLKSILFSRVNLSQDISDILAILSACVSKQLDVLDLNGCQLFGQLTNQLVNFKNLKELRLYNNSISGPIPLSIGELSSLTDLELDQNNLTGHLPESIGQLANLEIFYISNNLLGSVVSEIHFGNLTKLKVLFASNNTMFLRVSPSWVPPFQLQILGLRSLRVGWQFPLWLRSQKHLKYIDISNSMISDSIPSWFWSSSFQIRHFNLSHNQIRGQIPYISSFAFLYPDVFYPVIFYPVIDLSFNNFSGPLPRISSNVSIVDLSNNFFSGSLFSFLCYKLKENMTTKILNLGENVLFGEIPNCWLNWQNLMILDLNNNKLTGRIPSSMGTLHSLQSLHLQNNHLSGRIPPSLKNCTNLVLLDFGENGFHGHIPKWLDHRFQKLKVLRLRSNKFSGCIPDQLCAVDSLQMLDLANNDLFGSLPRCLSNFSAMVKISGYMENVTSYLIRYRTFFASIVMKGRMLQYNTTLDLVRSIDFSYNKLSGEIPMEVTSLLRLQALNLSHNLFTGPIPKNIGLMGLLESVDFSVNKLSGPIPESMSTLTFLSYLNLSDNNLIGQIPSSTQLQSLDSSSYVGNQLCGSPLLDKCSANGTTDDIGNGGGENDNGFETVWFCLGMAYGFPVGFWSVFGLLVFGPFNISNKFRKLTTPKMALFG >EOY05963 pep chromosome:Theobroma_cacao_20110822:4:30414389:30422384:1 gene:TCM_020820 transcript:EOY05963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGTCLVPTLKHKGTPPPAFAQKKNRACTIHNSTWKMINLRGTQSLTVIIKMLRHLKLRLRPKAWCRPLAMLGLMTKLITNSFCQRGHLLRFDNLMADFEAAFGLEFLPLSPPFLFPILFLFSCNIFGLQRFTTSSMFLFFFPFF >EOY06188 pep chromosome:Theobroma_cacao_20110822:4:31256121:31256610:1 gene:TCM_021003 transcript:EOY06188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLHGPQERTSSSECLGCRSRPKNLERPYSFCPESFPDCRLDYRGRDFEYIPFGAGRRICPALPLAVRMVHLMLASMIISFDWKLLQGINPNLFGTTLKKAIFMLFPFR >EOY02911 pep chromosome:Theobroma_cacao_20110822:4:2921726:2922754:1 gene:TCM_017310 transcript:EOY02911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHASLSLVSSLTKRPVIGCQKNDLTLRTNHDNAILQIRLLSSPWRENGYSRAPSKTPPCGGIVESKSDRLIYDTASSVVIILALVGLVYF >EOY06658 pep chromosome:Theobroma_cacao_20110822:4:32562951:32568379:1 gene:TCM_021314 transcript:EOY06658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVDKEGSKNGGGYVGGFFQLFDWTAKSRKKLFSSKSDFPERSKQGKRSDGNLPMTRFHLMDEDEIGAGTSIIGGSDYSCASSVTDDDIYGARAPSVVARLMGLDSLPTYSEPYSTPFFDTQSLQDAHFRNRNLNYHHDQRIIYPGDLFNKMEGPARNFGESKPQKIISKPIERFQTESLPPKAAKTIPITHHKLLSPIKSPGFVPSKNAAHIMEAAARIIEPGPHAISRAKMPMVRSSSVPVKVRDFKEKMEAAQKMPMVGSSSVPLKVRDLKEKVETVHKTSRLTETTRRPVESNAAKFLKGQSLNKSWNGSTDTTSPRTSDTEEISSVLKSKGKSISLAIQAKVNVQKREGLASSSSRSLLGQKDQSEVKSSQPFKSQPSAQKSLHKKSSTHNASGVLRQNNQKQNCIVDKDKLPSKSTASNLHSRKVLSGDSSFGRHKMSGKTVGNSKTGSRKLGFGTTDSEKGGPYSGTKNPRKKRSIDRDIQFEKNQVVDNVLIEKNQKEDHPVTERNFSWVEDSKKKGMDVVSFTFTAPLTRSMETSAQLAQKKNGICMDNRGKRLLLDTESLKLSSMGYNVIGGDALSMLLEQKLRELSNAVESSCHKSLNSGSASTSTSFSQDLVHTPNAVTTMPSLYNKLGSCHSSNLSSTDLQLLRLKHKFQGADETDECSSSCLDARQPSPVSILEPSFSTESCNSSDSTDSCSIEGSKHCSSVQAQEVLGLSSSKKLRSLDADTELSDSASSICPGTVAKRNQNTVVMSDPMKSVNWELEYVKLILCNVELMFKDFALGRAREIINPHLFDKLESRRAGFGSNGGESRLERKVLFDSVSECLDLRCRRYVGGGCGTWAKGMMILRRNEWLAEEVYKEISGWRGMGDCMVDELVDKDMSSQYGKWLDFEVDAFSLGADIEGQILNTLVDEVVAEVLQL >EOY05940 pep chromosome:Theobroma_cacao_20110822:4:30308829:30309215:1 gene:TCM_020803 transcript:EOY05940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDRKFVKIAQVMMMLLLIIWSAILASTTTSARPLNNGEAVVGGVALNHDPIPPISSSGCTYVPISKGKCPPSTSIHG >EOY04801 pep chromosome:Theobroma_cacao_20110822:4:25979940:25980857:-1 gene:TCM_019977 transcript:EOY04801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCPCMDVRLNAFSFESKAFPKVKSVNGRVKASAYKRLPKLQKSSRPSRMICFPLQSLFPMLYNNPTVQLLIPNPFIVTKYVKNM >EOY04060 pep chromosome:Theobroma_cacao_20110822:4:21792391:21809079:1 gene:TCM_019322 transcript:EOY04060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIGTSKLIFKVKDEINILTNPRSPTFVVQIMTPEEACSGRKPTGEKCVFLGVSEASKAYKLFNLQTKKIVTTFMPIYSSNTTPTTAETSPTTIKAIDVAAHSFHHVQKRLTWMKDYEKYVEEILDKFWMKDCNPVGSPIEFGLKLKKDHEGKKVDSTLYKQIVGSLMYLTTTRLDIMYYVSLISRYMENPKKMLLLAAKKIFRYAGNQDSRKALQVMFLCWA >EOY03881 pep chromosome:Theobroma_cacao_20110822:4:20168436:20169409:-1 gene:TCM_019094 transcript:EOY03881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTPTAPHPTPPIFDEKNYTIWAIKMEAYLRGNDLWNAIEYEDEPPALRDNETITQIKQYSEEIAKRYRVVSFIRATVSEIIFSRIMGCKTAKEAWNKLQQEFQGSARSRQMQVQNLRREFELLRMKDTQFVMDYADRVMSLVNQIRMLGEDLQEVRVVEKILNSVPEKFKATVSSLEQTKDLSQLTIIELVTALEAYE >EOY05706 pep chromosome:Theobroma_cacao_20110822:4:29541147:29546345:1 gene:TCM_020639 transcript:EOY05706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb:CAB10263.1, putative MMSIPSPSHMGTPPHMGTSPHSDSPPHMDSPPHIEIHQELFPDYYGGPASIPSEPKEESLGNDIPNGSNDCAPGVKENNFLVPVQPCTGGEGLPYAPIDWPNPGDIWSWRVGKRVSSSGFYNDRFLTVPKSLRKPNNPKVFASKPTLERFLRSQFPDADIDAFFASFIWKIPAILESPAKGILLQASIGVSFLLKILANFPGFCQAFSLGLVLPTPLKKSEYYVKNYGNDCHPRSFKRESDLLLSLFLSLLAITCWEANTPPPAIEVEDGEKESNKKTRRSSQRKTSHSGHSDNSKKRQKTTTESVRPRRQTRQHLKNSAPAPSENEETNAGLDMSFLDDEKTREEFDTYLNSLDDMLGKPLCEEPFTHPLMPNSFAAEEEMFEARRKLSSFLDMDFPSLICFKDLDELTSLASKLRKDPTLTAEQLVKLKLIEEIPSFCEVFLENRDVMEQADKFFTALEDNKAKVTSLRQEYSELKEQVTHLQSEVDSNTSTVQEIDNQIAQLKSRRAELTRTIEHKKRDRDDLTYNQKLVANSIPKVVHEVQLANARKPEWELKKENAERREAEILAKFAPLKGFSL >EOY04051 pep chromosome:Theobroma_cacao_20110822:4:21726646:21732982:-1 gene:TCM_019313 transcript:EOY04051 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MAGIRLAPEESPDLTQQHPPPQQQQLQTGREVASDDERSVAADSWSIKSDYGSTLDDEQRHADAAEALSSAANFRAASDYSSDKDEPDADGMTSMLGRQSYWDAAYAGELANFREHGHTGEIWFGADVMDTVTSWTKSLCIDSSQCHMPNHVDEAKPEPVELGDKYLSCWSVLDIGTGNGLLLQELAKQGFTDLTGTDYSEGAIDLARSLADRDGFLNIKFLVDDILETKLERRFKLVMDKGTLDAIGLHPDGPIKRMMYWDSVSKLVAPGGVLVITSCNHTKDELVQEVENFNQRNIEMPQEPNTMEDQETHRDLPPFQYLNHVRTYPTFMFGGSVGSRVATVAFLRN >EOY04052 pep chromosome:Theobroma_cacao_20110822:4:21726467:21733051:-1 gene:TCM_019313 transcript:EOY04052 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MAGIRLAPEESPDLTQQHPPPQQQQLQTGREVASDDERSVAADSWSIKSDYGSTLDDEQRHADAAEALSSAANFRAASDYSSDKDEPDADGMTSMLGRQSYWDAAYAGELANFREHGHTGEIWFGADVMDTVTSWTKSLCIDSSQCHMPNHVDEAKPEPVELGDKYLSCWSVLDIGTGNGLLLQELAKQGFTDLTGTDYSEGAIDLARSLADRDGFLNIKFLVDDILETKLERRFKLVMDKGTLDAIGLHPDGPIKRMMYWDSVSKLVAPGGVLVITSCNHTKDELVQEVENFNQRNIEMPQEPNTMEDQETHRDLPPFQYLNHVRTYPTFMFGGSVGSRVATVAFLRN >EOY05096 pep chromosome:Theobroma_cacao_20110822:4:27178834:27190403:1 gene:TCM_020189 transcript:EOY05096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKSLSLDSVVVANYPQVNGVDKGLLHRSSLFETNGGRFLHEKNRDLGGDFGGGGGVTGDFSGRKGIGRGVGNLNGEAEWKLSGGHSQGGGLFGGINGGHKLGAIAGGGLGGGSLGAGVGGGLGSGGLGGGQRTGMGGVGVVDGGAKGGLSGASSLGGGVGGQLGGGHDIGGGHHDGHGHGHKLDGGARGKLGGGLSGGGDIATANGASARGSLGGNARVVRGHDAGGGGGLGGSVSGSANGGAKGGGELGVADGINEGVRANGGFAKGEGVGGGGGVNGGVKGGGEFGVADGVNKGISVNGGFAKGVGVGGGLGAGGGGFVGSVNGGSKGGGEVGVVGGVNKGINVNGGFAKGVGVGDGHWVGGGGLGGSVNGGVNGGGEVGVASGVNKGISVNGGFAKGVGIGGGLGGGGGGQGGLGSGVNGGVKSGGEFGVASGVNKGISVNGGFAKGVGVGGGFGGGGGGLGGSVNGGA >EOY06331 pep chromosome:Theobroma_cacao_20110822:4:31586244:31587200:-1 gene:TCM_021089 transcript:EOY06331 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF679 domain membrane protein 2, putative MELDSICSKIGNLIKFLPTGTVFVFQFLNPVFTDYGKCDRLNKYLSGILIGLCGFSCIFSTFTDSYKGSDGKTHYGVATFKGLWPSPNSESLDLSSYKLRFGDFVHAFFSAVVFAVLVLLEPNTVECFYPSFATSAKALVCLVLLPPITFVVSLAFMWFPVKRHGFGYTSTEQSSNKIDPLLP >EOY04065 pep chromosome:Theobroma_cacao_20110822:4:21831991:21838344:-1 gene:TCM_019329 transcript:EOY04065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, putative MANNLLLRSILDANKLIGPNFLDSFRNLKIVLKQEKKSYIFDTSIPPVPTTDANVEDKEAHQRHKDDDDQAACVMLASMTLELQKQDEHMDVQSMILHLRELFDKEGRTERYEISKELFRCKMAEGSSVKPHVLKMIGPIERLGQLGLAMYHELSINLVLQSLPDSFSQFVLNFHMNRLEATLPKLLNMLDTAEKSIRKHKGSLLLISSSKAHTKQHKKKAQKEKKVKSQNEKALKPKGGVKKDKEKDICDHCGKLGHWRRNCKEYLVTVSKKKKLIEVSDSGTKDKDE >EOY02770 pep chromosome:Theobroma_cacao_20110822:4:2180012:2192435:1 gene:TCM_017170 transcript:EOY02770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase bri1, putative MNHHKASIQLLSLIVLISGILYSKTIQFGFCQTDLNASCIEAEREALLKFKEGLTDPSGRLSSWIGGNCCRWNGVSCNSRSGHVSKLNLRNTHPDDFDADGTVYKLGGKINPSLLNLKVLNYLDLSGNDFRGVIPDFVGSLRKLVYLNLSGASFKGMIPPNLGNLSKLSCLDLSNTLDDSTESNLRWLSRLSSLKYLNLGGINLIKASRYWLQAFNMLPSLEELHLYNCQLSNLPVTLPFINFTSLLVLDLSNNGFSSTIPLWLSNCTNLRHLDLNSNNLQGELPNEFANLKNIRYLDLSQNSNINGKLTRDLGNLCNLQSLKLSVNNISGEITEFIDGLSGCNNSILETLDIGYNKLIGNLPSSLGYLTKLRSIKLWSNSFQGSIPPSIGNLSLLEDFYLANNQMSGIPESLGQLSALAALDFSENLWEGIITEAHFVNLSSLTDFRLYRLSENISLVFNISSDWIPPFKLKYIKIRSCQLGPNFPRWLRNQNELTTLVLNHAGITGTIPDWFLQLNLQFEELDIGSNQLSGQIPSSLHFRDLATADFSFNSFEGPFPRLSSNVTTLFLNNNLLSGPIPQDIGEVMFLVEAMYIYDNSFDGSIPLSMGNLTELLTLDMSNNNLSGEIPEFWNHIPFLLILDLSNNNLSGKIPTSLGIPSSLKFLKLSNNNLSGQIPPSLQNCTLMLSIDLGDNQLSGNLPSWIGKSMKSLLILRLRSNFFGGSIPGEICDLPYLHLLDLANNSLSGSIPSCVGNLTGMKYQLKDMNAELYQGQLRVVTKGRELEYQSTLYLVNSLDLSSNNLSGTLPIGLTSLVELGTLNLSMNHLMGTIPENIGELKLLETLDLSRNKLYGQIPPGMVSLTFLNHLNFSYNNLSGKIPTTNQFQSLNDPSIYEGNPALCGLPLSTKCTDSNETTHSFDGDKDNGDAKDKDEIELLGFFISLVLGFFVGFWGVCGTLIIKKSWRLAYFSFVDRTKDKFLAFFLVNVHRLRRKIFRN >EOY03495 pep chromosome:Theobroma_cacao_20110822:4:16434483:16438752:1 gene:TCM_018588 transcript:EOY03495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGGLNERQRLKGIRNERRRLRGGEEESTTVRDGSVIDMGPMRRRIERATFRHGDKISSLLSALNKLASGSHTKVMSLLVSYMWSGHQPTSKEAAISA >EOY03837 pep chromosome:Theobroma_cacao_20110822:4:19738831:19741747:-1 gene:TCM_019028 transcript:EOY03837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQIRFTVLVFGLLFVMHALNSCSVHGHQGGETIGERLVASEGTVVSAQKEFLGGYFGGRRMGGHEVVRKEIAEKQGSDGGASKNSGANRSDGKCDFEEKGAFNVKCKSWDGSSTPKVETAHFVAFGADYRWPKRENNDAEIKSIGKFEDQANNQVTGINKLEPKTRNFSGSGIPRSDQPLYLPNSMLFEESKAVPPKASLESPSRSDKPVSQKPPVIGNRIESKRLLEAAKEIVNLMHKDYKGCDRPRRKPPINNNVPKH >EOY04429 pep chromosome:Theobroma_cacao_20110822:4:24291248:24294192:-1 gene:TCM_019685 transcript:EOY04429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcript elongation factor IIS, putative MERELVDLFEAAKKAADLASTDAVSSNGPEVSRCVDALKQLKAFPVTYDILVSTQVGKKLRPLTKHPRENIHTVASDLLELWKKIVIEETTKSKKNGTTSTVKVEKIQKPSAVTAEKISNTETVKIEKVSKSDSTKPIKVEKNEANGDTVMSEKTDRRETVKVEKTYRDERQASDVKKPSQPPAGPPKLTSMVKCNDPLRDKFREILVEALSKVPSEADEDILDQVNACDPIRVAVSVESVMFEKMGRSNGTQKFKYRSIMFNMKDPNNPDLRRKVLLGEVKPERLITMTPEEMASEQRQRENNEIKEKALFDCERGGAPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTYVTCVNCNNRWKFC >EOY05907 pep chromosome:Theobroma_cacao_20110822:4:30230850:30238979:-1 gene:TCM_020789 transcript:EOY05907 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MHLELGHGKVIVTSIIAVIGAIAAAAAAASYHRPKSKAVKDQNIIPLLERTESGRVGNLERFSHFVARQLGFADANECPQLCRLAHNYLKKSKDCEVSIFEYFANEPEAETLYVKLMEEFERCILSYFAFHWSQASHMISQVLSVESDKKTKLKDFVMAATRQKRFERVTKDLKVTRVFSTLVEEMKAMERVDDESKYTDVMAPVAHSQRSPILLLMGGGMGAGKSTVLKDILKEPLWAGAAANAVVVEADAFKETDVIYRALSSRGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWEPFVEQTIAMARNVHKHRYRMGVGYKVAEDGTITENYWEQVEEEEENRQQENGETHRKPYRIELVGVVCDAYLAVVRGIRRAIMVKRAVRVNSQLKSHKMFANVFPRYCQLVDNARLYCTNAVGGPPKLIAWKDGDNPLLIDPDDIKCLSNVSSLNPGAESVYELYTEPSPVHEPGSVWKDIVFSPSRPNIQVELRTCIQRIEKSNIQTQE >EOY04513 pep chromosome:Theobroma_cacao_20110822:4:24758134:24759674:-1 gene:TCM_019756 transcript:EOY04513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MASHTNFRLHAFVWLALATTAFSLSPKFYDKVCPQALPAIKKIVQAAVHRERRMGASLLRLHFHDCFVNGCDGSLLLDSTSTMDSEKNARGNFNSVRGFEVVDQIKAEVDRVCGRPVVSCADILAVAARDSVVALGGPSWKVRLGRRDSTTASRTLADTVLPSASMDLPALINNFKNQGLNQRDLVALSGGHTIGLSQCSIFRNRIYNATNIDPAFAKERRETCPRVGGNTNLASLDPTPARFDTAYFKNLVKKRGLLTSDQALFNGGSTDKLVETYSLNPDAFWDDFAKSMIKMGNIKPLTGKQGQIRVNCRKVN >EOY03103 pep chromosome:Theobroma_cacao_20110822:4:4834885:4835891:1 gene:TCM_017559 transcript:EOY03103 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCHC-type integrase MQHGKVIAYASRQLKRHEQNYPIHDLEMAAIVFALKIWRHYLYGETCEIYTDHKSLKYIFQQRDLNLRQRRWMELLKDYDCTILYHPGKANVVADALSRKSMGSLAHISIGRRSLVREIHSLGDIGVRLEVAETNALLAHFRVRPILMDRIKEAQSKDEFVIKALEDPQGRKGKVFTKGTDGVLRLRPSIKSLQGYYSHYQYPSGSGNILLWTL >EOY05618 pep chromosome:Theobroma_cacao_20110822:4:29177350:29178624:-1 gene:TCM_020574 transcript:EOY05618 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEY1 MAPEAVQATSRTIEPTSSPRISFSADFLDENNFISINPHSQNEENGQDKGKEAKEWEKDKARAAEFEFLSSNVSSHAMLTADELFFEGKLLPFWQMQHSEKLNKISLKTKASEEEGEEEVNKEESRVSWFVDDDPSPRPPKCTVLWKELLRLKKQRASSLSPSSSSSSTSSSSSSLADIATAEEGKEGSGNRDKHVKRIKKGLERTRSASIRIRPMINVPICTQVKSSALPPLFPLKKGRLES >EOY06745 pep chromosome:Theobroma_cacao_20110822:4:32818647:32819461:-1 gene:TCM_021380 transcript:EOY06745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALLPGSQPMKVPPEVGARGWPWRRLSHPMPRSAVCPDQLWTTSASHEVLCWCSCSQFWLGSRLSYGSNLVRGNGSEIFIFIDVSFVS >EOY05526 pep chromosome:Theobroma_cacao_20110822:4:28903806:28906878:1 gene:TCM_020507 transcript:EOY05526 gene_biotype:protein_coding transcript_biotype:protein_coding description:F28L1.9 protein MPEKGGSFLPPPSSAWLLRSSPLHQWRFGLLTALVFVGMVVVWSIDGCTVKNFIQSWQFKQDYITMKVNSLANLNHPYQNPTHSLRNLTVNPTLNTSRFPIYSINSSVFPLNSSLESKNVTQISSREMANFSSVENSDENLTTFKDSSSLKWVSAELEQNYTSNLLARWLAPGGEPCKDSQTVEIKIPGLDGESLVELSAGEIHEFMFQAVDESGNARCLGGDYFEADLSGESWKSRPPVKDFGNGSYSVSLQVHPDFAGEYNLTVILLFRHFQGLKFSPARFAYDRQLRHIGIRFYRTKARLTELPSCQKSDFSKDVWSGRWTRHGKNDDCQISNDGRYRCLAADFPCQNPWCNGSLGLLESNGWVYSSHCSFQLFLADSAWNCLKNRWIFFWGDSNHVDTIRNMLNFVLGLPEIKSVPRRFDMNFSNPKDPSQTVRITSIFNGHWNGTQNYLGLDSLKDEGFRNLLKKYFSEDTVPDTIIMNSGLHDGVHWSTIRAFSHGAEYAATFWKEVMDSVRQRGLVVPQIIFRNTIATGGYARSLAFNPNKIEAFNGVLLEKLRRAGLVSGVIDNFDMTFPWHFDNRCNDGVHYGRAPLKMKWRDGEVGHQYFVDLMLCHVLLNVLCAR >EOY02903 pep chromosome:Theobroma_cacao_20110822:4:2882328:2884592:1 gene:TCM_017300 transcript:EOY02903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon-associated protein, beta subunit, putative MATLIGNPLIKAFIALFLISAATATGDSPFIVAHKKASLTRLKSGAERVSVSIDIYNQGFSTAYDVSLLDDSWPQDAFDVVSGNTSQSWERLDAGGLLSHSFELEAKRQGMFYGAPAVITFRIPTKSALQEAYSTPILPLDILAERPPEKKFEWAKRLLAKYGSQISVISIVVLFIYLIAIPSKSSAAKASKKKR >EOY02323 pep chromosome:Theobroma_cacao_20110822:4:431839:433523:-1 gene:TCM_016836 transcript:EOY02323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein, putative MNQIVQSALVIIFYKGPEVFSSPTLIPSSSVSYWPLESSQSNWAAGGLLLAVECFLGSIWYIIQTQAMKICPAELIVVFFYDLCGTIISAPVCLLAESNLSAWRLRPSIAKVAVVYSGFTGTLLGILVHTWGLRVKGPVYVALFKPLSIAIAAVLSAIFLGDALHLGRYKNSLLILAESFKEPFFLYTQFAKLDLNSKFCNVIGAVILSVGFYAVIWGKAKENTADSGFSSLASSSTAPLLQTQCIEDMTQ >EOY03196 pep chromosome:Theobroma_cacao_20110822:4:7743074:7743944:-1 gene:TCM_017828 transcript:EOY03196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENTSVQKVSTTSIMDSQSLYFLHHTDHYESVVINHKLTFSNYVAWSRAFMLALLSWNKVEFIDRTVLKPASIDLLFGPWIQCNNLILAWLMESITPPTASTIFYMDSIADIWSNLKQNFGQLDYI >EOY04557 pep chromosome:Theobroma_cacao_20110822:4:24982559:24989545:1 gene:TCM_019790 transcript:EOY04557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTAVTSIRKGMLDGIYDTIQAIRQFELTNTADNKSGYESIDVEPSEKDASLLVRRHYELDILSSIVHQVREVSGTGTESRRTIHSSKRTESDQNSMNRDAGGFWKKRGVSALQVAAEQTKREMELMFAANALIHHFEGIHARNPDGRNIIRI >EOY03297 pep chromosome:Theobroma_cacao_20110822:4:11575981:11580734:1 gene:TCM_018159 transcript:EOY03297 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 1 MEDVYVCSFEMDPCTSTNELRIFVGTWNVAGRSPVGSLAVDLDEWLKPQDAADIYVLGFQEIVPLKTRTVIGAEDPTEATNWNMLIGKTLNENFGCPWLTPMLNPIPSDNYHYVKIPASERRASFSGVSDATRMRGIRSRTPRQQPTLAWVGGSKYKLMASKKMVGVFISVWMKKELLRKYCVSNVKVSSVACGIMGYLGNKGSVSVSMSIEGTSFCFIAAHLASGEKTGDERRRNYQVSEIFRRTSFPRSAKDDDNPHPLTILGHDRIFWFGDLNYRLDLGDNSARNLIKKQDWKALQEFDQLRREQEDGGVFQGWREGNIEFAPTYKYSSSNCNRYSGGLPNRSGEKQRTPAWCDRILWYGKGVKLLSYFRSESKFSDHRPVSALFSTQIEVMKSANPRFVDKDTIVPNIMPPEQIGDKQK >EOY03296 pep chromosome:Theobroma_cacao_20110822:4:11570385:11578646:1 gene:TCM_018159 transcript:EOY03296 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 1 MDDKNPKSSSGRFRNWFTRKHKQPDPYHLDDRSDGGEDEGDDFMEDVYVCSFEMDPCTSTNELRIFVGTWNVAGRSPVGSLAVDLDEWLKPQDAADIYVLGFQEIVPLKTRTVIGAEDPTEATNWNMLIGKTLNENFGCPWLTPMLNPIPSDNYHYVKIPASERRASFSGVSDATRMRGIRSRTPRQQPTLAWVGGSKYKLMASKKMVGVFISVWMKKELLRKYCVSNVKVSSVACGIMGYLGNKGSVSVSMSIEGTSFCFIAAHLASGEKTGDERRRNYQVSEIFRRTSFPRSAKDDDNPHPLTILGHDRIFWFGDLNYRLDLGDNSARNLIKKQDWKALQEFDQLRREQEDGGVFQGWREGNIEFAPTYKYSSSNCNRYSGGLPNRSGEKQRTPAWCDRILWYGKGVKLLSYFRSESKFSDHRPVSALFSTQIEVMKSANPRFVDKDTIVPNIMPPEQIGTSRNDEEGKSTLLSLIVKDTEASSTHMQKL >EOY04342 pep chromosome:Theobroma_cacao_20110822:4:23999067:23999963:-1 gene:TCM_019627 transcript:EOY04342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein family protein MNQSQNSSFRAGEAKGQAQEKTNQLMDKAKDTAQSAKESCQEAGQQMKAKAQGVADSIKDSMGMNK >EOY03226 pep chromosome:Theobroma_cacao_20110822:4:8583576:8586022:1 gene:TCM_017901 transcript:EOY03226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-expansin 13, putative MSPPAKTEAPLLALLLLTLTSTATSHYTSSSPPAPQSSQWHPARATYYAASDPRDAVGGACGYGDLVKAGYGMATVGLSESLFERGQICGACFELRCVDDLRWCIPGTSIIVTATNFCAPNYGFTAEGGGHCNPPNKHFVLPIEAFEKIAIWKAGNMPVQYRRIKCRKEGGVRFTVDGSGIFVSVLISNVAGAGDVVAVKIKGSKTGWLQMGRNWGQNWHINSDLKNQPLSFEVTTSDGLTLTSYNVAPKDWNFGQTFEGKQFQS >EOY06373 pep chromosome:Theobroma_cacao_20110822:4:31696633:31702312:-1 gene:TCM_021115 transcript:EOY06373 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein, putative MDCNKEEAIRAKDIAVKKMQNKDFSGALKIVAKAQQLFQDLENLSQMIMVCEVHCAAEKRLFGNEMDWYAILKVELTADAATIKKQYRKFALQLHPDKNKFPGAEAAFKLIGDAQRILLDQGKRSAHDMKRKVTINRPAPAAAYRPPQKPSWYPHAAVPNNFRANFPDLNSQQQSQQSQQPTQTGFSNGRPTFWTKCPYCTVRYQYYTEVLHRSLRCQTCNKTFIAYDSGAVPQASKMSQPKFPQPRVVQNQGAFKVGQGSPGNFAAENAKAAFSPNVVRTSEVGTEKVNGKRGRKQTIESSESCDSESSSESEEDVVIDDNGDVLAGKNFDRQVEQNLRRSGRRKQHVSYKENLSDEEDVVSPPKKAKGSGSPCANEEGEEMLKDDKSKLNNQSGVAGEVKEDQKAMEQREGTRLGASLPNGKKGIGKGSEKEAAKEDDLKKTTEAYADGVKINSNPDDNGSESHSSINETEEPMVFKYPEPEFYDFDKEKKEGCFSVGQIWALYDTLDAMPRFYARIRKVFSSGFKLRITWLEPDPDDENEVEWVNEGLPVSCGKFKHGVSEITEDRLMFSHLLYWEKGTFRDTYKIFPRKGETWALFKNWNIKWKLDAGTDQKYEYEFVEILTEYAEGVGVHVAYLTKVKGFVSVFCQTSKEGVDTFLIPPDELFRFSHKVLSFVLTGKERKGLPKGSFELDPASLPAHPEEIVAPEDLKVDGDCRHSNASCSSSSEKVKPMMESEKSAPPASNLEAFEIPESEFYNFDADKSQEKFLLGEIWALYSDEDGLPKYYGEIKKVESNPVFKIHVRWLEPCSSQRTTKWYDPAMPTSCGRFRIKKGGSQNYYTSTVTFSHKLNAEFTGRKDEYAILPRKGEIWALYRNWTPKIKCSDLENCEYDIVQVMEENDRYIKVLVLERVDGFNSVFKANVKGLSNVTVEIPRVDLLKFSHQIPFFQLTNERDGSLRGFWELDPAALPVHYFSS >EOY05418 pep chromosome:Theobroma_cacao_20110822:4:28526829:28536571:1 gene:TCM_020428 transcript:EOY05418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein TPARL, putative MTKFGSDQFMVSIGGSKRFRNVLPKERRLQMALTQSSQVKMLVVFLAIIVLMSSAAAAAAVIPQNLILKPIEYLGRKLLSAPNPPPLPSYATVASGSGGYKLILHRPPVISRGKLPLLVLADSMPSAGSISRIPNSPSLRCRQISRLSSTCSTFRAQASNVGIGSGGYEGREEKEDHKSFVKAPSSDGSSEAVQPPSQIPYPLSIAIVLLGCALVFSLIAFAKGGPSSLLAPFAKSGLTAAFTLIFVSEIGDKTFFIAALLAMQYKKGLVLLGSMGALSLMTILSVIIGRVFQSVPAQFQTTLPIGEYAAIALLVFFGLKSIKDAWDLPPTVPKKSGERGPELDEFVEAEELVKEKVSKPLSNPLEVVWKSFSLVFFAEWGDRSMLATIALSAAQSAWGVASGAIAGHLLATSIAIVGGAFLANYISEKLVGYLGGALFLIFAAATFFGVF >EOY02330 pep chromosome:Theobroma_cacao_20110822:4:446957:450016:1 gene:TCM_016841 transcript:EOY02330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like superfamily protein MCEGAYIVFQVNESLCHIWLLSFPNQLVDEEIKVSELIDQRTMTWNDVKIIEIFPPYERELILSIPLSYRRPNDKQVWFFNRHGHYLVKSGYRMAQSLLDLQVAGSSSCNMMAFWKRIWHLELPRKVILFLWKTLNGILPTRQALVYRSILYESNCPSCDNKLETDFYCLCCCPLARVVWHFCKWGFTNIEVLFSSVQDWIFYIFQIMENEEIKEIGCFLWALWKVRNLKIFQGKSFEPLQVIELAGNLLEQYRLVKGVRSRRRIL >EOY02452 pep chromosome:Theobroma_cacao_20110822:4:897432:898290:1 gene:TCM_016924 transcript:EOY02452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELSGFSESEGNEVKKKEKMFSKRLTRTAISKRLALPTKKLSLFPPFDGGYEIELVVWDDDDDVRRQVRKFVCSIRKTGYPKPVFSRGWLDFVVAKGLTVGDTVTLYKKEDRTKLFGHVLCQSRYSIKVDRTAEASFGTATRRF >EOY05494 pep chromosome:Theobroma_cacao_20110822:4:28769938:28774553:-1 gene:TCM_020481 transcript:EOY05494 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MDRGRSLKDDGGVRLQINELRKLSETCGSNTIFEPQSSPENRESSAESISSASVVRAPEKKLTLFALRLSIFEKSATGLGTLGFIWATVVLLGGFAITLDETDFWFITVILLIEGTRIFSRSHELEWQHQATWSITDAGINSFRAVRSSSHILIRAVKKIFYPLSLIRKQTQNTREIAQVDRSQVGRWNQARAPTRTWIPSDVPLLPYGQWVFLSRNISKVLYWLQLLSATACVVLSSMKLIKHNYGEVQKGDTDKRNRQSALNIFYALALAEALLFLMEKAYWEYKVIYCKLLEEVNRECEFGPTGIISIKRFFYDAYSRCVVGSIFDGLKMDIVTFAMDLLASNSPDEQLIGARILRQFAISERYSDDTLQKIGINLSAVERLVEMLNWKDPQEEEIRKSAAEVLSKLVGKKQNCLRVAGIPGAMESISSLLQTNRSSGGGADEIGEKNLILDHVNYSFWTFNHLGLLILKKLACDHDNCGKIGNTRGLLPKIIDFTHAGEKLLRDENVAPSQILTVKRSLQLVKMLTSTTGATGKHLRKEISEVVFTISNIRYILIYGEKHPMLQKLSIEILTNLALEEEATERIGGTGGVLKELFNIFLNQEMPERQNLVRRAAGEALAMLALESRANCHRILKLQALERLVEALEDPLLRVNAARILRNLCTYSGAECFYQLKGVIAAAPTVLKTIMSEENKLQEVMVGLAAQVFKHMTSEESSIMFDRAGIKEEELAKALVQILQKYYHPSAKVPRIRRFAIELAIWMMHDKAKNVYIFNGLGMEKELEGVLETTAELESFNIFSGTVGLSRHSTTIHSLVETALKLLRES >EOY06182 pep chromosome:Theobroma_cacao_20110822:4:31217582:31248352:-1 gene:TCM_020993 transcript:EOY06182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFFPKLNPTLPIKLARHLSFSAPTFFQSNQTSTTVSNLKPHNSKITYLMKNGLIQEAQNLFDKMPHKNVVTWNAMIRGYFLNGKAFALFHQMPERDIFTYNTVISGLMHGGDVDGARGVFEGMACRDVVTWNAMLGGYFMNGMLEEGLKVFEEMPGKNVISWNLVIEGLVKCEKFDLAEEYFKRMSYRDVASWTVMISGLAKAGRMAEACKLFEEMPVKDVRAWNVMLDGFVGFECVDKAEILFQEMPEKDLDSWKLLLSGLVTSRRLVDALRYFMEMPMKCCKTLNSILLGLIRNGLVKEAHAFLEKQPYNDVVSWTNVIVGYFEIGEVRSAIKVFKLMPIQDVTVWNAMICGLGETDYGEEGFKIFIRMKESGFSPDEATFTSILTISSNLPSLDLGKQSHAQVVKSGLNNFTAVSNALVTMYERCGDLHSALREFFNMPSHDVISWNSIICGFAHHGNAEKALKMFELMRLTDIKPNQITFIGVLSACSHAGLVDQGKYYFDYMKNKCSLEPTTEHYTCIVDLLGRFGLIDEAMSFLNQMRADGIEVPASVWGALLGACRIHHNIKVGVIAAERVLEIEPHNSGVYLILAEMYLSCGRGEDAESIRARMEEKGVRKQHGCSWVEANNSG >EOY05130 pep chromosome:Theobroma_cacao_20110822:4:27307074:27309233:-1 gene:TCM_020212 transcript:EOY05130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAVTCQLVLIFIQLVPTVDCKCVGKRSHPRCATHLNLKHQKSPDLHFLSSFHQINCSFPMPKMTKPWPTLHSTELSLLLAMVCYVNSAVLICHPFLSYHLTMLVAFVSVPCCFFIICNCLEMEKSFGSLYWHHFLFLAAHLTNLCESNNQDFARGYLIFLLGVLSLSFYTIYIWYRNWVNMKKVRDQKRSDSSEESVVPLLIEISFSFLGNGTAMMGRWYIPRDSFLRLVVGAGIMFSIGVVFFCYYLFSSGKSRSHNPHLQESDISVN >EOY05964 pep chromosome:Theobroma_cacao_20110822:4:30428199:30432833:1 gene:TCM_020821 transcript:EOY05964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal transduction histidine kinase MLKALAPGLLISSLLISVSTADNGFPRCNCDDEGSFWSIESILETQRVSDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFQFIAFIVLCGLTHLLNGWTYGPHPFQLMLALTVFKILTALVSCATAITLITLIPLLLKVKVREFMLKKKAWDLGREVGIIMKQKETGLHVRMLTQEIRKSLDRHNILYTTMVELSKTLGLQNCAVWMPNEIKTEMNLTHELKGRNYSFNFTIPITDPDVVRIKGSDGVNILKPDSALATASNGEYGEPGPVAAIRMPMLRVSNFKGGTPELVQTCYAILVCLLPSEQHRSWSNQELEIVKVVADQVAVALSHAAVLEESQLMRDKLVEQNRALQLARQNAMRASQARNAFQKVMSDGMRRPMHSILGLLSVMQDGNLNNDQRIIVDAMMKTSNVLSTLINDVMDISTMDSGRSPLDRRSLRLHSMIKEAACLAKCLCVYRGFGFSIEVEKSLPDLVFGDERRVFQVILHMVGSLLDGNNGGGTVTLRVFSENGSQERNDQRRAAWRQSSSDADVHIRFEIRIESNSQSEGCSTSDVQHSGRRYHSHGAEERLSFSICQKLVQLMHGNIWVVQNPQGSAQSMALVIRFQVRPSITITMTESGESSDQPRSNSLFRGLQVLLADNDDVNRAVTRKLLEKLGCTVSAVSSGFECLSAIGTASSPFQIVILELQMPELDGYEVALRIRKYRSRSWPLIVAMTASGDEDVWERCSQIGMNGVIRKPVLLQEIAIELRKVLTQANKVV >EOY03334 pep chromosome:Theobroma_cacao_20110822:4:12930999:12949921:1 gene:TCM_018266 transcript:EOY03334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSSGKKRERSSNAEEGSMDSTAKSRYALKVESVASNSLRLPNEWIPPRVEIMNRKGKGLESSESLANKESYGAAPVSREEFERQQQAWKNKKQKDFEEDPEEDLSICSDQRNEDPKDT >EOY03386 pep chromosome:Theobroma_cacao_20110822:4:14259362:14262535:1 gene:TCM_018390 transcript:EOY03386 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V0/A0 complex, subunit C/D isoform 2 MYGFEAMTFNIHGGYLEAIVRGHRAGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPLSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDIDQVVRAVMEKYPPYQSIFSKLSYGESQMLDKAFYEEEVKRLCLAFEQQFHYGVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >EOY03385 pep chromosome:Theobroma_cacao_20110822:4:14259467:14262539:1 gene:TCM_018390 transcript:EOY03385 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V0/A0 complex, subunit C/D isoform 2 MYGFEAMTFNIHGGYLEAIVRGHRAGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPLSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDIDQVRAVMEKYPPYQSIFSKLSYGESQMLDKAFYEEEVKRLCLAFEQQFHYGVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >EOY03121 pep chromosome:Theobroma_cacao_20110822:4:5229871:5233814:-1 gene:TCM_017607 transcript:EOY03121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSSGVPIVRLVIRHGGQWVDGIYKGVHPKSYHRLIIKDDEDVALILLEQRNVSVVYVSIKGHQTNVMSHEEVGQHGCASNQFLASLEQMQRSGETVECVMPLSNENTIVEDNNMRLEGDTATLEDNTAFDEGNEDLFAAGEDRFNDTLDDGLEQSQDDSSDDDCLYDSDIPTCNNVEGKTEPVRSVDVGDVQCDDPIYNNPIVGISHTWVNASAEKFSFQTITTEESTCAEDRLYKGRMFLSKGELKRALNMLVIKEKFAIRVKRSCKAHYEVGCKDKACKFSVRATKLLDRGVYWKVRTFHKVHTCTVDGLQGQFPTTSAKMIGELMSHKLRANGVALRPKEYVERLIFGPPEESFQLLSSYFYMLEQKNPGTVTAVATDEEERFKYYFWSYRACIQGFRDVMRPTIAIDATHLKGRFKRVLFVAICKDENECVYPVAFGISHVQDEDSWTWFLSKLRDAVGCPENTMFISDQHLAVKVTTPLNPWVARQLSKRFNDAHRFLVKPINRVEFEVKDGKMDGLVNLSRKTCSCCEFQTDLLPCSHAIVVISKCNHEAIEFCADYYKTTVLVEGYSGSIRPAKLEDLGGKGFHQLVKAVEHGDVRNARDTGITDRTAHPHLQFHPQIRHHLQVNRCLHNCADPKHVQVADKSVTHVTTIQYEGQCLKIYGVLWMKTTCLPN >EOY03087 pep chromosome:Theobroma_cacao_20110822:4:4464401:4485597:1 gene:TCM_017521 transcript:EOY03087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCDFLGFLVGFGICMKLIDVVRSTIVSLLEMGVVFFMFDAVISMSINDFQSSLLPFLAWGSYVSNVLPNTLKRLRTKPWALRNQPLGAYVKTQTWESVIDAQAQQASPLDPSKPQSS >EOY06589 pep chromosome:Theobroma_cacao_20110822:4:32393720:32394731:-1 gene:TCM_021265 transcript:EOY06589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein, putative MDLSLICDTLTVATSQGLGFAKLILDRHHYFAGNGCLSLSSESSADNLGILHVTATIVPVDVVAPPPVKPNSYKTLPRTLLRRKRRTKRTSFSGGEPNDGEDYGFFFASDGGDGYGPFGGGGGGGGGSSWGGSGWNFGEFGGQNWDESSSSSSPWTGTALDFVYEVICWIALSNCVHFAFKKVIRIVANGIEDAGDREKVPMRLASVC >EOY04454 pep chromosome:Theobroma_cacao_20110822:4:24385243:24387185:1 gene:TCM_019699 transcript:EOY04454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MSSPYKVESSVFGNPSIFRNPKKIHHRKLLVLAMISLPSKNPSGIRSSRSLIFAHRSCMDLVKVWKLESLHFDGRTDRIRIGNFLHKIKAIPFKDTSEIFSIMEKDAGNWTLSDFNGMLMALVTANEPDLALELYSNVASCGLALAPNCWTFSIMIRCCCKKNDLDEAQRFLHHMMVNGYSPNVITFTTLINSLCKRGKLQNAFEVFEVMGRIGCKPTVQTYNCLLKGLCYVGRVEGAHEMLMNMKKESVRPDIYSYTAIMDGFCKVGRSDEAMELLSQALEMGLAPNVVTFNTLFTGYSKEGRPQQGFRVLRLMKEKNCMPDSISYSTLLSGLLKWGKIRAALGVYKEMVGIGFEVEGRMMSTLLRGLCMKSWTEKDLAQDAYQVFEKMSSVSIVDHTTYGFVIRTLCVGKKMEEALDHLQQMIRMGYIPRTITFNNVIQALCTEGKIREALVVLVIMYESGKIPSRTSYDILVKEFNHQGRLLGASNVYGAALKQGVVPHRIPLRRWKCSTNASFFSCTLI >EOY06767 pep chromosome:Theobroma_cacao_20110822:4:32897467:32902093:-1 gene:TCM_021399 transcript:EOY06767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIWYQPLILYGPGFKGQPSVKPDPFAGLVQWTFSILTAMIRFKLFFTGSNLGRRIFKKLWLIGTAAWPRGPPDDGCAMRNNSFNVLLHRSYVRKIAQTFSLVLGCFCFS >EOY05136 pep chromosome:Theobroma_cacao_20110822:4:27323729:27326283:-1 gene:TCM_020219 transcript:EOY05136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MEKTIAAKCCNNQRWFVLLVFLVFCFELLCFDYSAITGGNNGVAILDNNHANAISTQNSLSHDFTESSNDNISPLPNSPLVDVVVNKTDEANKKADREEELKVEPDLDSCLGRYIYVHDLSSRFNKDLVNNCRLLTRGTDKNMCPYLENLGFGPQIENPQNVLLNNSWFLTNQFLLEVIFHNKMKNYECLTNDSSKASAVFVPFYAGLDMSMYLWGFNISVRDSASLSLVKWLAEKPEWKRMWGRDHFLVAGRIAWDFRRQTDNESDWGSKLRFLPESKNMSMLSIESSSWNNDYAIPYPTCFHPSKDSEVFQWQDRMRRQKRRYLFSFAGAPRPEYQNSIRGKIIDQCLASENQCNLLDCNYGATNCDNPVNVMRAFQSSIFCLQPPGDSYTRRSIFDSILAGCIPVFFHPGTAYAQYTWHLPKNYTKYSVYIPVKDLSEWKINLNETLLGISEDRILALREEVIRLIPRVVYSDPRSRLETLEDAFDLAVKGILERIESVRNMIREGKDPSIGFADGDDYKYTFSPYGNGI >EOY03315 pep chromosome:Theobroma_cacao_20110822:4:12190459:12192359:-1 gene:TCM_018195 transcript:EOY03315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASCLKCMKSTVDPMSDDRSFKQNFSHLSLERQFDKDFTKHDVKPGQEIDLNYLACINFSYIDNFRELDWLSYLSMNQTYFVDLVCIFYFKATTKFQDYEDNEPVKRTDRLCTYVMGKRIVVAEHTLDIVLDLEIHQGDLNYVEGVMCDPPKQHVMHTKINEHAINKLGFVYVNNSWVRKETVNDPKFVGDEDCEDTFLKPSVTPSATLSAGPSSHPTVGHSYPPMSTSFDNEQAYSRLLSFMESMDARVVHKLDALEAQNQELLHHQ >EOY02563 pep chromosome:Theobroma_cacao_20110822:4:1327040:1328496:-1 gene:TCM_017003 transcript:EOY02563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTHLEQVQEVRVAKYEDKSPTQPQESTPLENNVNQIDDSLTSLLIEPRMVIQQNNISSSHTKKHTIIPTKCQRQVSKQLSGYDYTLPPIVPDWHSSPPSANSTVHSVSHNISFSKFSRAHSMFLETISTTDESKSFCQGDGGDEMEYCYDGNEPGLDETWMIDCLQVENVRKAKQEKETGCPAGFVSPCYWLRVHAMSVNFQFSCSVKIMGWSIVFVCLLEQGTIHWHIFVSQSYVVGVQEQSFM >EOY05262 pep chromosome:Theobroma_cacao_20110822:4:27770243:27776536:1 gene:TCM_020300 transcript:EOY05262 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG (high mobility group) box with ARID/BRIGHT DNA-binding domain-like protein METEKKNTMAKMDLENNGIEMSREVELGVNLEDISLLRKKEAGAMKEEGLNIVLMRESQYEEIVVQDRTLDPTKHSTVIVANKIGDSMATSSNYLQPPATYYDVVTSRERFMDTLEKLHTSSGTKFWIPSIEGNEIDLHRLFVEVTARGGFQKARSKGRWNEVTAIFNIPTATNVSSVLQGYYASLLLHYELVYYFNACDLIPVSSDRFQNPSITHNPTQGTIQPSIEIHAARPVSTAGSLFNGIIDGKFDGGYFVTVTIGSEELQGVLYQPTECAAPQVSQEYGLFARNSDNAHASLGVQRRRRRKKSEIRRRDPAYPKRSKSAYNFFFAEQHAILKPLHPGQSREISRMIGERWNNLNKTEKMVYEEMAFEDKKKHRAAMELYWKGLGIVPLLQGLPEADVDTAEADMKLEETEGGDSPQTPDNASGSSTESDFGDDKTADKDLDMEE >EOY04860 pep chromosome:Theobroma_cacao_20110822:4:26244573:26245843:1 gene:TCM_020029 transcript:EOY04860 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MLINSWTNKLASELNIPNISFLVVNAKTVASWWINDLDVMPECYRELHLGCIRSWGFIFNLFTELDSESLQVIQEEFIKHDRIWAVGPLLLIKSISNGHTVRGGPSTVPQDQVIVWLDSCHVDKSVVYVGFGTQIRLTKQQIKAVASSLAESEVRFIWAVRSNRSIVDHFENTKLLVDELGVAIRVCEGLESVPDATKLARILTRLT >EOY05739 pep chromosome:Theobroma_cacao_20110822:4:29636539:29637739:1 gene:TCM_020666 transcript:EOY05739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASDVLLYHQAKKKKWVSLIGSCLYRICNTGQQPAMAVPRKEHPRKRIIFTLGSPEREMKGLGFFFLPVPCARRTLWCLNFSKT >EOY02592 pep chromosome:Theobroma_cacao_20110822:4:1410033:1412202:-1 gene:TCM_017021 transcript:EOY02592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKCPQTEHQEQNQKPKSSKTRENHWGQKQQEEQKHQQMQETNSSKKAKQTETATREKPDSEHQQKQQEKIRAKKRNLEHPSTQRQQQHNRKQSNQGTTQHEESKQNQRQQAAVIGILYTNLL >EOY05339 pep chromosome:Theobroma_cacao_20110822:4:28151177:28155916:-1 gene:TCM_020359 transcript:EOY05339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl activating enzyme 5 MKTKRVKEIQRNCQGPVVKPCRLRVNPRIKSNRNQPRFISKEEGDQRKKNMEELKPRPASSSPLTPLGFLERAATVYGDCTSIIYNNTSYTWSDTHRRCLQIASSLSSTGIKRGHVVSVLAPNIPAMYELHFAVPMTGAVLNSINTRLDARIVSILLRHCESKLLFVDTLSRSLALEAISLFPPNTKPPLLVLIGDDSADAESSDSSSSTVDSRFCCTYESLVKKGDPDFKWVRPQSEWNPIVLNYTSGTTSSPKGVVHSHRGTFMMTLDSLIDWGVPKQPVYLWTLPMFHANGWSFTWGIAAVGGINVCVRKFDAPIIYSLIKKHGVTHMCGAPVVLSMLSNFPEIKPLQSPVKILTAGAPPPAAVLFRTEALGFIVSHGYGLTETGGLVVSCAWKRGWNKLPATERARLKARQGVRTLGMTEADVVDTESGLSVKRDGSTLGEIVLRGACIMLGYLKDPNGTNKCMKENGWFFTGDVGVMHPDGYMEIKDRSKDVIISGGENLSSVEVESILYNHPAIIEAAVVARPDDYWGETPCAFVSLKAGLTQKPSEKEIIEYCRAKLPHYMVPKTVVFKEELPKTSTGKIQKFLLREIAKGMGSSSTRVSKM >EOY03836 pep chromosome:Theobroma_cacao_20110822:4:19730896:19736167:-1 gene:TCM_019027 transcript:EOY03836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 21, putative MDSAVVKGVATNHLSMEWIKLKTLGKGSFAIVHLVKPTNSVSDDQVFAMKSCLYQLSSSLQKEQKILKRFFPCRNIVQCYGDMLSFEQGAVVYNLFLEYAPGGSLLDLINNKYGGKVPECDARCYARILVEGVRDIHERGYVHCDLKPGNVLVYPSDEYGSVSSLKIADFGLAEQPGERVDEPGVDPWMLKFPGTAVYMPPEGINYKKISASMDIWSLGCIVLQMVTGKLPWEYEDLTDLAIQLGSRRYSPKIPENMSSTGQDFLSKCFVRDPSERWTADMLLSHPFVLPDRPSVAISNKASSP >EOY06611 pep chromosome:Theobroma_cacao_20110822:4:32457138:32458775:-1 gene:TCM_021276 transcript:EOY06611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative MPHIFCLSNIPYSCTNEIHLLILRSYSLLRHLHLSYKTIICSRFLHFPLLLGLMVPELEKARVTEIQVRMDCNGCVQKIRKALHGIQGIYEVYPDITQQKLTVVGWADPERIVKAIRKTRKVATICSHSEPTEAAVQPTEQPPEGGPPAPEAVNPPPSEAPPAEAAPQPQSQPEAAPPAEPPKDQPPPENPQPEPARAPAAATDANASGQQPPQPSGPKDVGDVHVICQHPPDCGHRYGYVHSYGGPWSRQYPNNKGNFYPEPLSRHPNSQVFHHEPPQPAFVTHSYNTYKPSPYVTEYKYVHSPPRSTHYSRIDHYNEDYHNNYISGSSSSSSHGNGNITSMFSDENPNACRIM >EOY02376 pep chromosome:Theobroma_cacao_20110822:4:607683:610515:-1 gene:TCM_016873 transcript:EOY02376 gene_biotype:protein_coding transcript_biotype:protein_coding description:LINE-type retrotransposon LIb DNA, Insertion at the S11 site-like protein MKRLVDKEEVREALFAMKPIKALGLDGFPALFFQSQWQQVVGQKLFEYVQNVFNGTEIGSNMCSSLIVLIPKGEAPEYSSQCRPISLLPVIFKVLVKVVANRLKPFMNLLIEETQASFILETHIVDNIIVVQEVVHSFHEKQGRRGWMMVKIDLEKAYDRLRWEFIYDSLVEAQIPENIIDILIRSWNAHSSHILWNGTCFEKFFPSRGVRLGDPLAPYLFVLCIEKLAHGIKQAVEQEMWKPIRLGKHGPPLTYLFFMDDLILLAEASESQMEVIKGVLEDFCACLRGKVCIAKSTFFCSKNVPMELNIKVKDCSGFSYSDSMGKYIGVPLLHGRKTAHIYKSLIDKVRSRLCAWKASSLSSTGRLTLVQSVLTSIPLYTMQTISIPLEICKKIELLCRNFLWHGDGQSKKVHLLRWSTLCKPKAQGGNQPSFTERLSSCSGPLEESAASRSYTPILSSYIPKMALRREISIKGQLNTIKSMAVTTWNNWLTCPLQNGRPGRQEEILIGWAPPPVDWIALNSDGAYKSGKGVASVGGVLRHSNGSWIIGYGCKSGTSTAYRAELWGVFQGLKLAWDHGYRRIQVQVDNKIVVNALNTQATHPCSNTDVIRAIKALLSRQ >EOY04868 pep chromosome:Theobroma_cacao_20110822:4:26260846:26265110:-1 gene:TCM_020034 transcript:EOY04868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein, putative MDELVDDVDGRLEASTGDESDMHEYDSIQEPYVGMIFESEEAAKIFYENYARQRGFFTRVLSSHKSELDGLLISRGLGCRGYFDNQTEVQLQKQEKQRESCSAMIHLRRDKTGRWVIKKFVRDHNHPLVIRLEESCRRLDEEKDKKIQELTAELRVKKRLSASYREQLVAFMKEVEDHNYHISMKVQATLDNLKTLDAKAHELLHGR >EOY05287 pep chromosome:Theobroma_cacao_20110822:4:27886514:27891027:-1 gene:TCM_020322 transcript:EOY05287 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG box protein with ARID/BRIGHT DNA-binding domain isoform 2 MASTSFAKQSLLAMKEPASNYNPYPPPLARYEDVAANPKLFMATLEKLHATMGTKFMIPIIGGKELDLHRLFVEVTARGGIEKIISERRWKEVTAIFNFPSTATNASFVLRKYYVSLLHHYEQIYFFKAGGWIPVSSDRYQNPSIAYNPTQGTVRPSIEIHAAAVQQPRVNIAELPAARPASAAGSPVIGVIDGKFESGYLVTVTIGSEKLKGVLYQAPQGAAPQISKQYGIFASKSDNAHPSLGVQRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLHPGKDREISRMIGELWNKLNETEKVVYQEKALKDKERYRIEMEDYRERLRTGQVISDAVPLQQRLPEPDVDMVEADMKLEETEGGDSPQTPENDSSSGESDFEDDKTADKDLDMEESPVVGVVDESMNVDVEVTAELVNELPPRVEENVGNNKVEKFCIDSEENLGNTLSETKKEPMPSEEH >EOY05286 pep chromosome:Theobroma_cacao_20110822:4:27886511:27892085:-1 gene:TCM_020322 transcript:EOY05286 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG box protein with ARID/BRIGHT DNA-binding domain isoform 2 MASTSFAKQSLLAMKEPASNYNPYPPPLARYEDVAANPKLFMATLEKLHATMGTKFMIPIIGGKELDLHRLFVEVTARGGIEKIISERRWKEVTAIFNFPSTATNASFVLRKYYVSLLHHYEQIYFFKAGGWIPVSSDRYQNPSIAYNPTQGTVRPSIEIHAAAVQQPRVNIAELPAAARPASAAGSPVIGVIDGKFESGYLVTVTIGSEKLKGVLYQAPQGAAPQISKQYGIFASKSDNAHPSLGVQRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLHPGKDREISRMIGELWNKLNETEKVVYQEKALKDKERYRIEMEDYRERLRTGQVISDAVPLQQRLPEPDVDMVEADMKLEETEGGDSPQTPENDSSSGESDFEDDKTADKDLDMEESPVVGVVDESMNVDVEVTAELVNELPPRVEENVGNNKVEKFCIDSEENLGNTLSETKKEPMPSEEH >EOY02964 pep chromosome:Theobroma_cacao_20110822:4:3232546:3239898:1 gene:TCM_017359 transcript:EOY02964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor kappa-B-binding protein, putative MAADQRRKRLNGASIAGCNSRDQYRTKKRKLESLQNDLNTKCCISLEWDGNKKRVVAKREQIGLSRRHLRPFIDSAPHYHRVLADVLTLPHETFDLENLTEVLSYEVWQNHLSENERNLLMQFLPTGTDKEQVLQALLAEENFHFGNPFLKWGASLCLGHLHPDAVIQGEQRLKAEKKAYYSELQDYHDDIIECLQKLKEKWESCQDPEQEIVQKFWRSRRVGEKRVFSNSNESRLGSVEQDVTATSESCSWVADEKACSSDNQNSSVMKGGEQQRRMYEKGFIKEKCRILLTGSGDALTAEERPKKGDKLHKRNIQQSDGAKYMSCFKISKKQHELIKNMKQSGRSIQARSLNRVLGDIDSLHVQPYEVFMEEEQRKLHEHWLRLAQEDLPAAYANWREVQLQKWEITKLLKHDMKEKLNPVLEDDEEEDTGKVQDQEDYGGPNLAVLDVEKEDPEEFLEDQKDAEATDSESSMQEGESGLALPQNQSPQQISSTDSGHTCNRVDMESENNENLSKSDDSFSDASEHSENLNTADATVSQEVPVSSAENVWPADNMQHSYHDSTAGHEYTPASGLPLAHQANEDQQNQMIDLESDLNEDSTGKVLLHGHSEDGSFSSYANQERNELLQSFFKDQGMLSYHSEQKQAGLDFQPPKNLVMEDGHFNGQFQERLQSSLPLEEGQKSQNEVYMQQNMSENLYSDGERYLTPRQEHLPSGNMQVWPVNPVRMSAPFQHQLNSGELLSPNWFTGEHQVQARGGWAGSDGFSGPSQGIPSGSNADQSLFSVLSQCNQLRSSSPYESMSSAQQFISQRNNGLVSGGTSGIIGNSLQQVAHPLDYLGGRDATTSLMPDDMGWMTLPHQNSALHDPMGKPYLRSWNQ >EOY04556 pep chromosome:Theobroma_cacao_20110822:4:24978470:24981968:-1 gene:TCM_019789 transcript:EOY04556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRKKYASDLLKKFRGENCKTIATPLAYGNKLSRDDGGVETDETSYRRLIGSLLYHFATGPGIMYATSLLSRFMHNPFEIHLVVAKRVLMYVKRTLSYGLKFNKVEKKSFKAIVIVTRVEAYVEDTKSTNGCCFSFRSAIFA >EOY04713 pep chromosome:Theobroma_cacao_20110822:4:25601048:25603738:1 gene:TCM_019902 transcript:EOY04713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 14 kDa protein MVLLQPDPFLNELTNMFERNTETGSVWVTLKRSSLKSKVQKNKMKTAGQPIEYRCLVRATDGKKTISTSVGAKDHQRFQASYATILKARMTALKKRERKDRKKAAEDKKESGSKKPKRV >EOY03724 pep chromosome:Theobroma_cacao_20110822:4:18429523:18439283:1 gene:TCM_018849 transcript:EOY03724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein MRVDRDGGLFSLALFVMLMLFEANSCRAAEMLMEKSNTTFRCSGRLDDCLIAEDMELELLMDSHISRMLIGANGKAKIDFTNVAHKTVPCGPGKQYGPCINPKEKKVPNNCGWVVNRVQALPYILENHVAICAQIYTPPDNIALDCGSSTSGNSAVPGGRKWTGDKDSKFALIEESSSKSVSATALSQSSSVLRVPYLTARISKSQFTYVFPVTAGQKFIRLHVYPAHASLTADYLGEASFFKEFCVNLEQNQSLTVTFTPMSMSYAFINGIEVVSMPTNLYYSRLDDQGLPFIGQEAQFQIDNYTALENVHRLNVGGKSIPAVDDTGMYRNWYDDYFYLVPAGVVASNRSIKLDYSIIPEYSAPDDVYRTARSMGSNRTENLLYNLTWRLPVDSGFRYLVRLHFCEFDPYVETVSDRRFIIYIDNKTAEAAFDVIEATGDKGRPMYKDYVVMIGNTGDKSEYTLFIALHPKNLFSTYADGFLNGLEVFKLNNSGGNLAGPNPAPQAPLAGYEDSSPKAEKSNTRRNLLFSIGGCGIGLLIILVLLCCIIVWRHRKMHWYGAYCKGSRFCCWMDPYKRKSFWAKSSSLPDELYRHFSLDEIKAATSDFHEALIIGVGGFGNVHKGFLDNGETIVAIKRLNPLSRQGAREFKTEIEMLSQLRHIHLVSLNGYCNDNSEMILVYDYMINGTLRDHLYDTKNDPLTWKQRLKICHGAAIGLNYLHTEVKYTIIHRDVKTSNILQDEKFTAKVSDFGLSKMDPKIDVVNTGVKGTWGYLDPEKALDKKLAVDQVNLAHWVKKCIADGTLYQIIDPRLRGKISPECFKVFVEIAESCVQEAGVNRPLINDVMEKLGFALELQETADAGKEKIKPAGEHSYQDILFPLARDIDVDTLGSNVLQLLIPFMIRAVLCLMTYSQVPLAQKNCDD >EOY02337 pep chromosome:Theobroma_cacao_20110822:4:471548:474551:1 gene:TCM_016847 transcript:EOY02337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MKQISIWVLSVSFVLITHVTNSVDDGARDSLVEFYKQLSNNNIPPDPSFGWNSTSDPCKNQWKGVICDHNTNSVVKRIVFDNFSLSGFVNASALCNVQSLAASLNVINLILNNIGGAIQADIANCKQLTRLLLGGNQFSGNLPGSLAMLGNLKQLDISNNRFSGDLPGLSRISGLRMFLAQKNQLTGEIPEFDFSNLVQFNVSNNFLKGPIPDVKDRFPASCFLGNPELCGDILQKPCPREKKKSKGVSKNQILMYSGYIALGLAIVALILCRLKKKKGEKVDSASPNKVASVDNVVDKPSVTSTEFKTEVSRSEFSIHSAESALASSSLVVLTSPAVSDLKFEDLLRAPAELIGRGKHGTLYKVIFENGMVLAVKRIKDWTISTDDFKQRMRRLDQAKHPNVLQALAFYCSKHEKLLVYEYQLNGSLFSLLQGNRKGQKFEWASRLVVAAKIAEALAFMHQELHSDGIAHGNLKSSNIMLKKNMEPCISEYGLMVVDPQESSSSANVNGLKTMQQTKDNASNAFKADIYCFGVILLELLTGKLVQNEGVELTSWVHSVVREEWTVEVFDKSLISEGASEERMLNLLQVAIKCVNHSQARPSINQVVAMINTIKEEEDKSRVNEP >EOY06397 pep chromosome:Theobroma_cacao_20110822:4:31794491:31800665:1 gene:TCM_021131 transcript:EOY06397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVCSLGSGRMAVMARLLEAGSISSAEEVSNKKLAAQYIYRELRGADEANLIDEEDMHVFGLKPMADPLHLVCCNACKKPIKASQYAAHEELCRLLKTTEETILELDGSTGHRKPPRKERKKSLAAYANQPIPVGEQERSEIIDADDTTASESHINGQIGMPSSLTMDAKRNSACVDTAYVMDGSGVSSDNTDHSTSVVAPSTKRVKLVAGDRLLLPDGPKTASGVTKTQNTHDLHASRDSQRGRVSGCETPNGRQAHKNCLLTKDIPVPLSTKIYYSQRNSRLRLALSHQYFVTSTKELCSDMVSPQASQQSMMLLQASSQGVCPLDQVDNLLIMKQESSLQKPDQILAQSSEVCLSKSVGCLPSNDFSNQHPIDNIPRPQAAAVGLARSKYISKPYSFAGNSGKLLGPMQPPNGSVPVV >EOY03360 pep chromosome:Theobroma_cacao_20110822:4:13805711:13806964:1 gene:TCM_018348 transcript:EOY03360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVATLNDNDESQNEERKKIDNSYYMAVNDLMDEDLLESNMQIDNSQCLDVGCLSHSIIIKEKVMDVKGKCSNSISENDHHGKVQVLEEVTSIDITLLPTKENQQ >EOY04939 pep chromosome:Theobroma_cacao_20110822:4:26565444:26566270:1 gene:TCM_020082 transcript:EOY04939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSASKAYLYPIYLSISALYINCTPTLLIVSQWLAAFFHIALLLPICLLSAKVPADKRKRTNNLPVGMKLLHDFHVLELERNIPTLNQWNALFSILISSTHQYRLFQFFFSHFSLSQCAP >EOY03983 pep chromosome:Theobroma_cacao_20110822:4:21053793:21055493:-1 gene:TCM_019215 transcript:EOY03983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGVWLRQVFPRMYSLALNKEGSIKDFGKRIGEKWRWKIQLRSFCRVAIGQTGRVERIPGPARIERALRDPNGAIKLLFSKVVGVMDANTIELLATKETFMIFAASKWKEMNMVEIENDSSNAVTWLDNLDKSPRRLRKIILGIEELKRQIGAWEVRKVPRSVNEMVDDLAKGRVERSEDFLWVAN >EOY03361 pep chromosome:Theobroma_cacao_20110822:4:13824464:13833838:-1 gene:TCM_018350 transcript:EOY03361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAHNGIDLKENNKEKVEKITIENYRSRKVLVVWDFPPGCGRGAAPVSKEDYVNEQQGQNDLDEEDP >EOY03811 pep chromosome:Theobroma_cacao_20110822:4:19488252:19490124:1 gene:TCM_018997 transcript:EOY03811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein MSRFLLLLLLGFFFNNFSESSHDQKLSAVVVGTVYCDTCFQEEFSRTSYFISGASVAVECKDGTSRPSFRQEVKTNEHGEFKIHLPFSVSKHVKKIKGCSVKLIRSREPYCAVASTATSSSLHLKSRMHGTHIFSAGFFTFKPLKQPTLCSQKPSTQNPKQLKNKEPPAQNVLHPESFLSTPSVFPPDDAVPAPPILTPNPFQLPPLLPPNPIQPPPLLPPNPFQPPPAPLIPNPFQPPPAPLIPNPFQPPPAPLFPPNPFRPPRAPPSPLFPFPPIPGLTPPSPPPPPPPFFPFPFPPFPFPPIPPFPGIPSASTSPTKSSP >EOY03539 pep chromosome:Theobroma_cacao_20110822:4:17035540:17039365:1 gene:TCM_018653 transcript:EOY03539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIFHSPKSSSELLPVGSSLALPSSCLPSPPKYKENLVNYFVCRVENFSKGKWQVKLKKPELQCHANFKSKSQKYLVRNELTVKPATYSARISTDIPLYEAPGALFDQYLEDKPRIFNAMFPDKHRSQQLNQDEWRIKMLPLQLLVLTVWPVVDLRLRCKSRGRDYPPEVPHYITKVLELDITRWELQGLDNGVDQSHFTLIVKGTLYPDRRGNKSRLRGHLEMNISFVLPPALAFIPENVRNILGKGIMTKMVESMKQKVDGSLLADYSKFKRERSDRRV >EOY03311 pep chromosome:Theobroma_cacao_20110822:4:12130917:12133701:1 gene:TCM_018189 transcript:EOY03311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein MECNQSSSLSFCLHSFPPNPFFCRNNQFSRIKASARSPPKPQRNPTIFAHRRSPPPFFEKNAFPSSLPLHTKNPHAIYKDIQRFARQNKLKEALAILDYVDQQGIPVNPTTFSSLLAACVRSKSLADGRQIHSHIRTNGLENNEFLRAKLAHMYTSCGSIDDALRVFDECTSKNVHSWNALLRGTVISGKKRYLDVLSTYSEMRLLAVKLNVYTFSAVLKSFAGASAFRQGLKTHALLIKNGFIDSSMLRTGLIDFYFKCGKIKLACRVLEEIPERDIVLWGAMIAGFAHNRMQKEALSYVRWMISAGIYPNSVILTTILPVIGEVWARKLGREIHAYVVKTKSYSKQLVIQSGLVDMYCKCGDMDSGRRVFYCSRERNAISWTALMSGYVSNGRLNQALRSVVWMQQEGFKPDVVTVATILPVCAELRALSHGKEIHAYAVKNCFFPNVSIVTSLMIMYSKCGVLDYSLKLFNGMEARNVISWTAMIESYVKSGHLHEALSVFRSMQFSKHRPDSVAMARMLNVCSELRAVKLGKEIHGQVLKKDFESIPFVSAGIVKMYGSCGLISTAKLVFEAVPVKGTMTWTAIIEAYGYNDLCEDAISLFHQMASDDFIPNHFTFKVVLSVCRQAGFVDRACQLFSLMTRKYELKASEEHYSIIIELLNTFGRFEEAERFVQMSSLSS >EOY04708 pep chromosome:Theobroma_cacao_20110822:4:25591447:25593738:-1 gene:TCM_019899 transcript:EOY04708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLRETCEYLAFISQVEPKNFEEAKKEKSWMITMQEELDQFERNKVWTLVPRPTNHPIIGTKWVFKNKMDELGNVNNFVDLGMIDADEL >EOY05355 pep chromosome:Theobroma_cacao_20110822:4:28222941:28229448:1 gene:TCM_020374 transcript:EOY05355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPAYHICPFEMLLICFSVSFAYHVNPCICISFLEAFIRCMEERFGLCMESGLCQRMDLRSKHLDSMQWRVSMAAVELNYPVDFSKIMEFEGFGRRAKVTSQSSQSHQHLAMMIIDDNIKNGLKEPVENDLSSNFSLLQYLKFMARWEQKKSVGGGKKKEVKETGLGLSFTKDENFKEWYFEKLCCDGRFSSSRYLSISIHRAKVAAEEYFKLGNIDRAIQQGFAALDLNPNLRIVQKYIAAYLIHKFASMLSLCQKMKVDDTKVLYSILFIEDCSAVVDAATIRKHYKEVVLLVHPDKNDSVAADGAFKIVRQAWETLLSDHNKRRKTR >EOY03410 pep chromosome:Theobroma_cacao_20110822:4:15013088:15019653:-1 gene:TCM_018449 transcript:EOY03410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MGLGPGDIQVGPWSAGKSKGKKKISTEDEEPGCWIKFRFMGSCLSPRAKVEGSISGTSTQYAESKSTKSNDTTNESNDTSTGQAVVPVVSSSTTTNAESISSTPNVSEELKVASQLRKFSFNELKLATRSFRPESLLGEGGFGCVFKGWINENGTAPVKPGTGLSVAVKTLNHDGLQGHREWLAEVNYLGDLLHSNLVKLIGYCIEDNQRLLVYEFMPRGSLENHLFRRSLPLPWSTRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPVGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPYLGERRRFYRLIDPRLEGRFSIKGAQKAIQLAAHCLGRDPKARPLMSEVVEALKPLPNLKDMACSSSHFQAIQIERVGSSSKSRTGSRVQAGLSIRDGQPTRSLSTPNGPHVSPYHNNHLHRSPKPNVSQP >EOY03411 pep chromosome:Theobroma_cacao_20110822:4:15013088:15019760:-1 gene:TCM_018449 transcript:EOY03411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MGLGPGDIQVGPWSAGKSKGKKKISTEDEEPGCWIKFRFMGSCLSPRAKVEGSISGTSTQYAESKSTKSNDTTNESNDTSTGQAVVPVVSSSTTTNAESISSTPNVSEELKVASQLRKFSFNELKLATRSFRPESLLGEGGFGCVFKGWINENGTAPVKPGTGLSVAVKTLNHDGLQGHREWLAEVNYLGDLLHSNLVKLIGYCIEDNQRLLVYEFMPRGSLENHLFRRSLPLPWSTRMKIALGAAKGLAFLHEEAERPDYNAKLSDFGLAKDGPVGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPYLGERRRFYRLIDPRLEGRFSIKGAQKAIQLAAHCLGRDPKARPLMSEVVEALKPLPNLKDMACSSSHFQAIQIERVGSSSKSRTGSRVQAGLSIRDGQPTRSLSTPNGPHVSPYHNNHLHRSPKPNVSQP >EOY05451 pep chromosome:Theobroma_cacao_20110822:4:28611526:28612234:1 gene:TCM_020447 transcript:EOY05451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7, putative MIILEYIEEAWPHNPLLPTDPFDKATARFWIKFAEDKIAATVWPLYRTEGEEQEKAVKESLEMLQTIEEHALGVGDEKKFFGGDRINMVDIAFGGLAHWLGIIEDVAGMKLVEPHKFPRLNQWIENFKQVPVIKENLPDRDATFAYLKCRREMLLASK >EOY02927 pep chromosome:Theobroma_cacao_20110822:4:3046370:3051184:-1 gene:TCM_017329 transcript:EOY02927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein MGSNQSAQVVDEEQEESQDEEEEAEEEEDDEEEEDNNEEGPNMRELENNHMVKKVLEQEPEMLPCYASASPLSPQLSSLGTPRMGPSIKVWDPYNVLAPPPPLPPPPVFSRTFSLDGDRMVIEVYLISHGECELNLRPDIVGGRCDGAALTSNGKRQARALAVFLNSQGVRFNAVYCSPLDRARSMALSVCQEMNFAEAQIQSSDSLMDLNMGHWEGCPRSEIYTPEVLSLIERYQPDFCAPSGESLRQLEFRMVQFLNGTVLGLPEKLRSDFSLHQNESRGFTHHNTHALTNSVHDRDVPSLPPPHWDMLQRQRHGLSRKKSGKSRLQFVSNIGEHDADDEMSPREANHQHDLHDLNVRSTSSPSTSPVSSSVGVFTHSLPIKCLITGLLNCSPVVSHKICIEDSSVTVLQHSWKTGWQIKRLNDTAHLRLL >EOY06926 pep chromosome:Theobroma_cacao_20110822:4:33372608:33377578:-1 gene:TCM_021500 transcript:EOY06926 gene_biotype:protein_coding transcript_biotype:protein_coding description:MuDR family transposase isoform 1 MAAKKIIAICQSGGDFVTNKDGSLSYSGGDAYAIDIDQQTQLSDFKSEIAETFNFSSDNMSIKYFLPGNKKTLITISKDKDLQRMLNFLGDSATVDVFIMSEEAAARNVSNMPASRSSRTTVSEAVVPMVAPVSVAVGVTNAIDQVDMDMPVETPLECMPINFIDEKHHKAAQLWENTITGVDQRFSSFSEFREALHKYSIAHGFAYRYKKNDSHRVTVKCKSQGCPWRIYASRLSTTQLICIKKMNTKHTCEGAAVKAGYRATRGWVGSIIKEKLKVSPNYKPKDIADDIRREYGIQLNYSQAWRAKEIAREQLQGSYKEAYNLLPFFCEKIKETNPGSIATFTTKDDSSFHRLFVSFHASISGFQQGCRPLIFLDNTTLNSKYQGILLAATAADAEDGVFPLAFAVVDAENEENWTWFLKELKSAVSTCSQLTFVADFQNGLKRALADVFDKCYHSYCLRHLAEKLNRDLKGQFSHEARRFMINDFYTAAHAPRLEGFQRSAENIKGISPEAYNWVIQSEPEHWANAFFGGARYNHMTSNFGQQFYSWVSEAHELPITQMIDVLRGKMMESIYKRRVDSNKWMTKLTPCNEEKLQKETVMARSLQVLLTHGNIFEVRGESVDIVDIDHWDCSCKGWQLTGLPCCHAIAVFECIGRSPCEYCSRYFTTESFRLTYAKSIHPVPNVDRPVQDESPEAAVTVTPPPTKRPPGRPKMKQAESMDIIKRQLQCSKCKGLGHNKKTCKES >EOY06924 pep chromosome:Theobroma_cacao_20110822:4:33372580:33377138:-1 gene:TCM_021500 transcript:EOY06924 gene_biotype:protein_coding transcript_biotype:protein_coding description:MuDR family transposase isoform 1 MAAKKIIAICQSGGDFVTNKDGSLSYSGGDAYAIDIDQQTQLSDFKSEIAETFNFSSDNMSIKYFLPGNKKTLITISKDKDLQRMLNFLGDSATVDVFIMSEEAAARNVSNMPASRSSRTTVSEAVVPMVAPVSVAVGVTNAIDQVDMDMPVETPLECMPINFIDEKHHKAAQLWENTITGVDQRFSSFSEFREALHKYSIAHGFAYRYKKNDSHRVTVKCKSQGCPWRIYASRLSTTQLICIKKMNTKHTCEGAAVKAGYRATRGWVGSIIKEKLKVSPNYKPKDIADDIRREYGIQLNYSQAWRAKEIAREQLQGSYKEAYNLLPFFCEKIKETNPGSIATFTTKDDSSFHRLFVSFHASISGFQQGCRPLIFLDNTTLNSKYQGILLAATAADAEDGVFPLAFAVVDAENEENWTWFLKELKSAVSTCSQLTFVADFQNGLKRALADVFDKCYHSYCLRHLAEKLNRDLKGQFSHEARRFMINDFYTAAHAPRLEGFQRSAENIKGISPEAYNWVIQSEPEHWANAFFGGARYNHMTSNFGQQFYSWVSEAHELPITQMIDVLRGKMMESIYKRRVDSNKWMTKLTPCNEEKLQKETVMARSLQVLLTHGNIFEVRGESVDIVDIDHWDCSCKGWQLTGLPCCHAIAVFECIGRSPCEYCSRYFTTESFRLTYAKSIHPVPNVDRPVQDESPEAAVTVTPPPTKRPPGRPKMKQAESMDIIKRQLQCSKCKGLGHNKKTCKES >EOY06925 pep chromosome:Theobroma_cacao_20110822:4:33372614:33377578:-1 gene:TCM_021500 transcript:EOY06925 gene_biotype:protein_coding transcript_biotype:protein_coding description:MuDR family transposase isoform 1 MAAKKIIAICQSGGDFVTNKDGSLSYSGGDAYAIDIDQQTQLSDFKSEIAETFNFSSDNMSIKYFLPGNKKTLITISKDKDLQRMLNFLGDSATVDVFIMSEEAAARNVSNMPASRSSRTTVSEAVVPMVAPVSVAVGVTNAIDQVDMDMPVETPLECMPINFIDEKHHKAAQLWENTITGVDQRFSSFSEFREALHKYSIAHGFAYRYKKNDSHRVTVKCKSQGCPWRIYASRLSTTQLICIKKMNTKHTCEGAAVKAGYRATRGWVGSIIKEKLKVSPNYKPKDIADDIRREYGIQLNYSQAWRAKEIAREQLQGSYKEAYNLLPFFCEKIKETNPGSIATFTTKDDSSFHRLFVSFHASISGFQQGCRPLIFLDNTTLNSKYQGILLAATAADAEDGVFPLAFAVVDAENEENWTWFLKELKSAVSTCSQLTFVADFQNGLKRALADVFDKCYHSYCLRHLAEKLNRDLKGQFSHEARRFMINDFYTAAHAPRLEGFQRSAENIKGISPEAYNWVIQSEPEHWANAFFGGARYNHMTSNFGQQFYSWVSEAHELPITQMIDVLRGKMMESIYKRRVDSNKWMTKLTPCNEEKLQKETVMARSLQVLLTHGNIFEVRGESVDIVDIDHWDCSCKGWQLTGLPCCHAIAVFECIGRSPCEYCSRYFTTESFRLTYAKSIHPVPNVDRPVQDESPEAAVTVTPPPTKRPPGRPKMKQAESMDIIKRQLQCSKCKGLGHNKKTCKES >EOY05805 pep chromosome:Theobroma_cacao_20110822:4:29838917:29842741:-1 gene:TCM_020712 transcript:EOY05805 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair family protein MIATLKAEEASQLQLVEREDIDDEEDLFEAIDKLISAGINAGDVKKLQDAGIYTCNGLMMHTKKHLTGIKGLSEAKVDKICEAAEKIVNYGYITGSDALLRRKSVIRITTGSQALDELLGGGIETLAITEAFGEFRSGKTQLAHTLCVSTQLPTNMRGGNGKVAYIDTEGTFRPDRIIPIAERFGMDPGAVLDNIVYARAYTYEHQYNLLLGLAAKMSEEPFRLLIVDSVIALFRVDFTGRGELAERQQKLAQMLSRLTKIAEEFNVAVYMTNQVIADPGGGVFISDPKKPAGGHVLAHAATIRLMFRKGKGEQRVCKVFDAPNLPEAEAISLPDHCYCFKFVQIFFQVLIAHMTNSK >EOY02522 pep chromosome:Theobroma_cacao_20110822:4:1223943:1225359:1 gene:TCM_016979 transcript:EOY02522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein MMVRLVIVFSCLTMSMVRKNFDEGLGASIKKLTGGKKNDELANKFMGQASDDIKLTPGSLIEISRLPGYVLQTKVMGEVVSKVESELLCRSYINMYLGDDALDKGAQEKFGMSLLSLFQILGLGNKVQVFHCG >EOY06528 pep chromosome:Theobroma_cacao_20110822:4:32163416:32170817:-1 gene:TCM_021215 transcript:EOY06528 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MGGQMQQSNAAATALYDHAAGGGSLHNAGPAGDAGDAVMARWLQSAGLQHLASPLASTGIDQRLLPNLLMQGYGAQSAEEKQRLFKLMRNLNFNGESGLEPYTPTAQSSGGPATSDGFYSPEFRGDFGAGLLDLHAMDDTELLSEHVISEPFEPSPFMPGANKAFENEFNVTTSRQQKEQNNADASASLFSVNEKEIGTRENNVAKIKVVVRKRPLNKKEISRKEDDIVSVSENALTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVSNDEVYRVTVEPIIPTIFQRTKATCFAYGQTGSGKTFTMQPLPLRAVQDLVRYLHQPVYRNQRFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAIKKHPEIKESKRNNDGNESKGGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNPKKEQAVNSLPPSNKDASSASSLSATADVEDVYERQQEVKVVDTGRRVIEKDVHTVDSTFASSYPFNGREESGMASGPMDRERFEVNNSYGGSTSQRVYSSNSQNSADTEEKVQKVSPPRRKVTREEKSEKMGNWVKKDGGGSDLSTTNFRQANANTNNVGHRQYDPEPPTDGNINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >EOY06526 pep chromosome:Theobroma_cacao_20110822:4:32164090:32170837:-1 gene:TCM_021215 transcript:EOY06526 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MGGQMQQSNAAATALYDHAAGGGSLHNAGPAGDAGDAVMARWLQSAGLQHLASPLASTGIDQRLLPNLLMQGYGAQSAEEKQRLFKLMRNLNFNGESGLEPYTPTAQSSGGPATSDGFYSPEFRGDFGAGLLDLHAMDDTELLSEHVISEPFEPSPFMPGANKAFENEFNVTTSRQQKEQNNADASASLFSVNEKEIGTRENNVAKIKVVVRKRPLNKKEISRKEDDIVSVSENALTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVSNDEVYRVTVEPIIPTIFQRTKATCFAYGQTGSGKTFTMQPLPLRAVQDLVRYLHQPVYRNQRFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAIKKHPEIKESKRNNDGNESKGGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNPKKEQAVNSLPPSNKDASSASSLSATADVEDVYERQQEVKVVDTGRRVIEKDVHTVDSTFASSYPFNGREESGMASGPMDRERFEVNNSYGGSTSQRVYSSNSQNSADTEEKVQKVSPPRRKVTREEKSEKMGNWVKKDGGGSDLSTTNFRQANANTNNVGHRQYDPEPPTDGNINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >EOY06529 pep chromosome:Theobroma_cacao_20110822:4:32164599:32170974:-1 gene:TCM_021215 transcript:EOY06529 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MGGQMQQSNAAATALYDHAAGGGSLHNAGPAGDAGDAVMARWLQSAGLQHLASPLASTGIDQRLLPNLLMQGYGAQSAEEKQRLFKLMRNLNFNGESGLEPYTPTAQSSGGPATSDGFYSPEFRGDFGAGLLDLHAMDDTELLSEHVISEPFEPSPFMPGANKAFENEFNVTTSRQQKEQNNADASASLFSVNEKEIGTRENNVAKIKVVVRKRPLNKKEISRKEDDIVSVSENALTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVSNDEVYRVTVEPIIPTIFQRTKATCFAYGQTGSGKTFTMQPLPLRAVQDLVRYLHQPVYRNQRFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAIKKHPEIKESKRNNDGNESKGGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNPKKEQAVNSLPPSNKDASSASSLSATADVEDVYERQQEVKVVDTGRRVIEKDVHTVDSTFASSYPFNGREESGMASGPMDRERFEVNNSYGGSTSQRVYSSNSQNSADTEEKVQKVSPPRRKVTREEKSEKMGNWVKKDGGGSDLSTTNFRQANANTNNVGHRQYDPEPPTDGNINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >EOY06527 pep chromosome:Theobroma_cacao_20110822:4:32163000:32170817:-1 gene:TCM_021215 transcript:EOY06527 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MGGQMQQSNAAATALYDHAAGGGSLHNAGPAGDAGDAVMARWLQSAGLQHLASPLASTGIDQRLLPNLLMQGYGAQSAEEKQRLFKLMRNLNFNGESGLEPYTPTAQSSGGPATSDGFYSPEFRGDFGAGLLDLHAMDDTELLSEHVISEPFEPSPFMPGANKAFENEFNVTTSRQQKEQNNADASASLFSVNEKEIGTRENNVAKIKVVVRKRPLNKKEISRKEDDIVSVSENALTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVSNDEVYRVTVEPIIPTIFQRTKATCFAYGQTGSGKTFTMQPLPLRAVQDLVRYLHQPVYRNQRFKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAIKKHPEIKESKRNNDGNESKGGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNPKKEQAVNSLPPSNKDASSASSLSATADVEDVYERQQEVKVVDTGRRVIEKDVHTVDSTFASSYPFNGREESGMASGPMDRERFEVNNSYGGSTSQRVYSSNSQNSADTEEKVQKVSPPRRKVTREEKSEKMGNWVKKDGGGSDLSTTNFRQANANTNNVGHRQYDPEPPTDGNINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >EOY02614 pep chromosome:Theobroma_cacao_20110822:4:1529553:1530265:-1 gene:TCM_017041 transcript:EOY02614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERKKANLALHIDHNKVPWRRKIISNAIESCLRSHTGISFSHIPREANSVADGLAKAGVLRTSNFKAYFDICPGRTHQDSTALG >EOY05180 pep chromosome:Theobroma_cacao_20110822:4:27499124:27507399:1 gene:TCM_020252 transcript:EOY05180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Verticillium wilt disease resistance-like protein MNMENLHVLSLFLPTFFLLSCSIPVVSQCLDDPRSALLQLQQGLYHSHNFTLSSKSELWDVNTDCCSWKGVTCDALGHVIGLDLSYRELSSNFQSVFNLHHIRREYFNSSTEWCQTAWLTLPNLRVLSLSDCGLPGSLCSSLSKLHFLSKLNLNPNPISYLPPNFLKISSRLVSLNLSCCNLSGHFPTEVFLSLKMQSIDISQNHNLEGQLPTFPLNSTLKVLSLCGTNFSGKLPESLGNVKFLTKLELSLCNFSRQIPSTIANLRNLVHLNLEFNNFSGLIPSFHRSGVPNLAYLNLQRNRLSGPVHSSIFTLPSLHTLLLGGNQLVGEIDEFPNASCSLMQYLDLNHNYLSGSIPKSIVQLPRLELLSIGYNSFGSMRLDMLSQLKNLRARDLSNESLTSSQGSLPRSICNLTQLKYFSVSNNKLSGSIPNCLGNISNLSLLQLGGNNFTGIFYGTIQFSEAEKAFSMLHILDLASSKFSGDLSAQFLQCFKAMMLTITDNKAKPKYVGDGYYHDSVTIVNKGNAIFYEKILSVFTCLDLSNNSFHGRIPEEIRNLI >EOY06020 pep chromosome:Theobroma_cacao_20110822:4:30650306:30652885:-1 gene:TCM_020872 transcript:EOY06020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASNTLLLGPPGLSPSGDPMVRSLQAGVYNLQLDVVKPIIPQLGFPKKESFSCSNTVNPCRNLFFSALPSMPTHALVNLLVNAWSYEPLTTLRLVCNLRVVRGTGKSVKESFYSAALWLHKNHPKTLAFNVRVFAEVDCYKDLLEILYRLLEEEKVRENANIARRMFPRKSDPDYEGIEEAHYAFRVPSVSMQNYKKHFLWHDDQRFSGYIQDVRKADETIAASALLPHEIIASLKDADDVAELQWRRMFLRKMDRGANVDFEKVFDRILQVAVDGKAKEDQMIKSIFVFSRVEFDEASRQNYDHPIRNVSTYSNGQCFGYYSPFSYWDTGSEEGYLLEDDWYAMCEVEQEKIEEKQRKLTAKSWESTYGGIQRKFQEKGFGMPEIVFGTSTTPLLRLFLPIRLEWH >EOY05099 pep chromosome:Theobroma_cacao_20110822:4:27207856:27209799:-1 gene:TCM_020194 transcript:EOY05099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGAGDHGVGNSAEGDEIPGRRSIERAIEERLAMNIKGNTVAESRAFEFKVVARHGLDDSIRKLEHGKTTQLKELLNSNNVGLDSMATEGELKVANEQRKDPQGGEEELEINIHEGYHVDCVGETGEGADSVAGNRVQILQKSPEYRTPRDLKVLQNYDAYETSVQSATAVDNNHVRNFCLDGTAHRPSTEEATTLAAEKLNLEKQDTELEAYILDKLSALQPSFRTLLEPSVVGTSSTVGSSQNYKTGQEAGKSKWKKKIEDIVAKVKRNSGSKKHLQSPLENVLYEADVKGILKVRWDEERRKSQVKQRTRWPRACICCLQRNSTGRNMEEWKFQLEEYSSDW >EOY05609 pep chromosome:Theobroma_cacao_20110822:4:29149260:29150122:-1 gene:TCM_020568 transcript:EOY05609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-like superfamily protein MAAPVESVQCFGRKKTAVAVTYCKRGRGLIKINGCPIELVEPEILRYKAYEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKFVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >EOY03729 pep chromosome:Theobroma_cacao_20110822:4:18582593:18583434:1 gene:TCM_018865 transcript:EOY03729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRECWEMENMGDSWRICGNFNLAMLVLMVIMMLLSTNHCSADAVKNNKSISDRCNGSKNECLLPDDVEMELLMDSEASKMVLETSAAGSADSKRATYLALDPLCGRHSGKSCLPQGNLGRKVPPNCRPNSYNKDCHRF >EOY02495 pep chromosome:Theobroma_cacao_20110822:4:1082546:1084164:-1 gene:TCM_016958 transcript:EOY02495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitinase A, putative MATKMFVLLLIFAVLSSHCYSGSISIYWGQNQQEGTLADTCKTGRFEYVIISFLCVFGNNQIPQLDLDDHCDPSTKGCVGLADDIRSCQSRGVKVLLSIGGGDGVYILVSSEEAKEFADYLWNNYLGGNSTDRPFGDAVLDGIDFDIEGGSPSHYDELAGHLKNYTSEKKVYLTAAPQCPFPDVYVGQALSTGLFDYVWMQFYNNYCEYKGNASDVKATFDEWSDGVPATKFFLGLPAAPSGASSGFIPQNVLISQILPLIKKADKYGGVMLWSKYYDDLTGYSSSIKSHV >EOY06895 pep chromosome:Theobroma_cacao_20110822:4:33295349:33298243:-1 gene:TCM_021481 transcript:EOY06895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MAPSMSMPLSFSSSLLSSPLPRPRPESDSKNKSKPNPPIFPCAVAAVPSSVSDKKRQRHWKEGEYPGLSVSHTFTPGSSKKTPLKNLKKKLDRKNNAKAWVCTVTETLSDCILKKQWLQALQVFEMLRDQPFYQPKEGTYMKLLVLLGKSGQPHRARQLFHDMVQEGCEPTPELFTALLAAYCRNNLIDDAFATLNQMKTLPCCQPDVFTYSTLIKACVDASRFDLVESLYGEMEERLITPNTVTQNIVLSGYGKAGKFEQMEKVLSGMLESSASKPDVWTMNIILSVFGNKGQIDMMERWYEKFRNFGIEPETRTFNILIGAYGKKRMYDKMSSVMEYMRKLQFPWTTSTYNNVIEAFADVGDAKHMEYTFDQMRAEGMKADTKTFCCLINGYANAGLFHKVISSVQLAAKLEIPENTSFYNAVISACAKAEDLMEMERVFKRMKDKQCQPNSMTFSIMVEAYRKEGMNDKIYDLEQLKQEFLNNGSLPE >EOY04764 pep chromosome:Theobroma_cacao_20110822:4:25821368:25825872:1 gene:TCM_019945 transcript:EOY04764 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein isoform 1 MATTACFIIVSRNDIPIYEAEVGSAAKREDAAQLHQFILHAALDIVQDLAWTTSAMFLKAIDRFNDLVVSVYVTAGHTRFMLLHDSRNDDGIKSFFQEVHELYIKILLNPLYLPGSRITSSHFDTKVRALARKYL >EOY04763 pep chromosome:Theobroma_cacao_20110822:4:25821399:25825863:1 gene:TCM_019945 transcript:EOY04763 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein isoform 1 MATTACFIIVSRNDIPIYEAEVGSAAKREDAAQLHQFILHAALDIVQDLAWTTSAMFLKAIDRFNDLVVSVYVTAGHTRFMLLHDSRNDDGIKSFFQEVHELYIKVLLNPLYLPGSRITSSHFDTKVRALARKYL >EOY04765 pep chromosome:Theobroma_cacao_20110822:4:25821368:25825872:1 gene:TCM_019945 transcript:EOY04765 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein isoform 1 MATTACFIIVSRNDIPIYEAEVGSAAKREDAAQLHQFILHAALDIVQDLAWTTSAMFLKAIDRFNDLVVSVYVTAGHTRFMLLHDSRNDDGIKSFFQEVHELYIKILLNPLYLPGSRITSSHFDTKVRALARKYL >EOY03551 pep chromosome:Theobroma_cacao_20110822:4:17102269:17108985:1 gene:TCM_018665 transcript:EOY03551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSCVSTPSKRIKTQKRHRRRSRKCHGKVSGSITDGTKKRSSDAQVTDIAVSEYVHMDFEKGATTTCRRSEVSNSTFHLTQLQWHLSQIDANVTCQEDAWFDSVSILESDSDDDFISVHGDGFPSAGNAIGNISSGQVLQYESSSCFVDGKCKYEEFHESYLKIDGGKMSKDDFKESNRFSLISNQGHELSHFASADDICNRRKKVLDHPYGSFKGLKEDRHNSEEKILRSGLSRMVPSVSFNEKILTSSMAPQSQKKKSAVFRLSFKRRSCDGEDKLEECSSKQFLYRPRAGYIIPCSKDEKPNPGCWSEIPPSTFKLRGETFFKDKRKSPAPDYSPYTPIGGDLFICPRKINHIAQHIELPNVKANGKVPPLLVVNIQLPTYPAAMFLGDSDGEGMSLVLYFKVSEYFDRVISPQYQESIKKLVDDEMEKVKGFAKESTVPFRERLKIMAGFVNPDDLSLSSTEKKLVNAYNEKPVLSRPQHNFYKGPNYFEIDLDIHRFSYISRKGLESFRDRLKNGILDLGLTIQAQKQEELPERVLCCLRLNKIDFSDHGQIPTLVTVDDN >EOY05755 pep chromosome:Theobroma_cacao_20110822:4:29672262:29672932:-1 gene:TCM_020675 transcript:EOY05755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYLVDKYQEGTQRDFQTRRFDQQNFDIGLTTQTSSSNPSCLMCVANGDIVHLDATELSQRGFTDQP >EOY03399 pep chromosome:Theobroma_cacao_20110822:4:14589766:14592719:1 gene:TCM_018410 transcript:EOY03399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein MVLIQNMEKISFLFSLLLLLVFGFVNGSPPKEAVGVYELKNGDMSVKFTNWGATIISVVLPDKNGKLGDIALGYESVKEYMNDTTYFGALVGRVANRIGGAKFSLNGTQYKLVANEGKNMLHGGPKGFGDVVWKVKKYKKDGPAPYILFAYDSYDGEEGFPGALKVTVAYTLLAKNKLSVTMKAKVVKKYTPVNLAQHTYWNLGNHDSGDILSEEIQIFASLYTPVDSQLIPTGKLEPVKGTPYDFLKLQTIGSRIKELPKGYDINYALDGTPGKMKKVAVVKDKKSGRVMKLFANQPGVQFYTGNMIRNVKGKGGFVYKAHAALCLETQGFPDSVNHPNFPSQIVTPKKLYEHNMLFKFSIAS >EOY04848 pep chromosome:Theobroma_cacao_20110822:4:26186994:26191600:1 gene:TCM_020016 transcript:EOY04848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEAAQVEEALKVLDASLSHIKWRLKSSAKRRLQIDVLALCSRMRPVVMIDYGGKMPELQEHLCAFLDHSQKESPIFEQLRVMVIEDMIYLIHVEEMAKYVSSSLNSEVELLLVDLEEDPPKMRTLDDKNLLGMQLISIQKLFSLYFPLEGMRNDLLPPDRTEPRADTNSLSEPINSKSSLLMDLSSCMHDTKVTVPTINGWLLGYPVVYLFSKDHIGDAVYNCRNSTPNKG >EOY04847 pep chromosome:Theobroma_cacao_20110822:4:26186815:26192506:1 gene:TCM_020016 transcript:EOY04847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEAAQVEEALKVLDASLSHIKWRLKSSAKRRLQIDVLALCSRMRPVVMIDYGGKMPELQEHLCAFLDHSQKESPIFEQLRVMVIEDMIYLIHVEEMAKYVSSSLNSEVELLLVDLEEDPPKMRTLDDKNLLGMQLISIQKLFSLYFPLEGMRNDLLPPDRTEPRADTNSLSEPINSKSSLLMDLSSCMHDTKVTVPTINGWLLGYPVVYLFSKDHIGDAVYNLSTKFLRIYKILICSFSVPYDLSMRGSNEPWAEMFLTHLQSKWGRCKQTWSSLEMEVSECYPQAIAL >EOY04846 pep chromosome:Theobroma_cacao_20110822:4:26186815:26192010:1 gene:TCM_020016 transcript:EOY04846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEAAQVEEALKVLDASLSHIKWRLKSSAKRRLQIDVLALCSRMRPVVMIDYGGKMPELQEHLCAFLDHSQKESPIFEQLRVMVIEDMIYLIHVEEMAKYVSSSLNSEVELLLVDLEEDPPKMRTLDDKNLLGMQLISIQKLFSLYFPLEGMRNDLLPPDRTEPRADTNSLSEPINSKSSLLMDLSSCMHDTKVTVPTINGWLLGYPVVYLFSKDHIGDAVYNLSTKFLRIYKILICRNSTPNKGSPPEELMSFSVPYDLSMRGSNEPWAEMFLTHLQSKWGRCKQTWSSLEMEVSECYPQAIAL >EOY06778 pep chromosome:Theobroma_cacao_20110822:4:32937405:32937871:-1 gene:TCM_021406 transcript:EOY06778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSCPNPFKVHVNCGGQQFEMIFMVQGHEGLDLLQSAMAHQRQKQLPYFWTDQAQVRGSGHSGHNTGGPVAPPPLIKIHLTTQHQCWLGPPGVKVRRIVSAPVIR >EOY02596 pep chromosome:Theobroma_cacao_20110822:4:1427181:1430453:-1 gene:TCM_017026 transcript:EOY02596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ku70-binding family protein MAEEPAPKPGLEGRTVDECQDMIQRSFRRFRVLGHFLSSFGVLANEQFRINAPMVKFLKEHLEKAGCTFGDNFIKAVHCNNQISGGYVRGEGIMVCSNHINMQDEVNQVVIHELIHAYDDCRAANLDWANCAHHACSEIRAGHLSGDCHYKRELLRGYMKIRGHEQDCVRRRVMKSVTANPYCSEAAAKDAMEAVWDICYNDTKPFDRAP >EOY06025 pep chromosome:Theobroma_cacao_20110822:4:30671070:30672941:1 gene:TCM_020878 transcript:EOY06025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESWLGSLEREEIGGKRKGKRRNEEKRKGKERGSCCQKCPVRQRRRMECEVASVELPLRSKFGGGSTEVVSSTEGPPGVRRPKFISLLFQS >EOY05450 pep chromosome:Theobroma_cacao_20110822:4:28606737:28610778:-1 gene:TCM_020446 transcript:EOY05450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase 10 MWRNSATLSFSSKLLSSASSINHYCFHYASAFSILSPNGVVEPVLSSSYRRFYSSSATPSPSPSSDSVIKLGFLADRSLGFRPLSSKARDAIQLARHYGRCYWELSKARLSMLVVATSGTGYVLGSGNAVDLAGLCWTCAGTMMVAASANSLNQVFEINNDAKMKRTRQRPLPSGRITIPHAVTWASSLGFAGTAMLACKASMLAAGLAASNLILYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWVAASGQISLNGLILPAALYFWQIPHFMALAYLCRDDYAAGGYRMFSLADASGQRTAAVAFRNCLYLIPLGFIAYDWGVASGWFCVESSLITLAISAAAFSFYRDRTTQKARRMFYASLLYLPVFMSGLLFHRLSDEQRGLADNPDRYIELSSSLQDVSEDDQKKKTRHFTGGPQARPPVAYASIAPFPFLPVPGP >EOY02538 pep chromosome:Theobroma_cacao_20110822:4:1275967:1282630:1 gene:TCM_016988 transcript:EOY02538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 6 isoform 1 MMGGSEADPQKDSNKITNKTVLVQKKLLDYLLMLGVESQWAPIAVRCSALRSIGDLIAGNAKNLDALSSKVLGEEPQVELALNSILRIILRTSSMQEFIAADHVFKNFCEKNTDGQAMLASTLIPQPNSMTHAPLEEDVNMSFGSMLLHGLSESDGDLETCCRAASVLTHILKDNTQCKERVLRIELEAPMPSLGAPELLLHRIVRYLAVASSMKNKDGKPGYSYVQPIILKLLVTWLADCPSAVQCFLDSRPHLTYMLELVSNTSSTVCVRGLAAVLLGECVIYNKSSESGKDGFTIADAISQKIGLTAYFLKFDEMQRSFLFSSVKPAQSHKPLTRSTAASMAEIEDGEESDLSDQKNEDHPILTSIFDAQFVNFVKSLEVYIRENIVDVYSRPKSDVAVVPAEMEQKGGESDKDYIKRLKAFVEKQCSEIQKLLGRNATLAEDLARTGGSGNSQPELRVGSGSDRVQAETLRRDLQEASQRIEMVKAEKAKIESEASMYQNLVGKLESDLKSLSDAYNSLEQTNLHLEKEVKGLKSGGTSTSPDIESIKAGAREEAQKESEAELNDLLVCLGQEQSKVEKLSARLSELGEDVCKLLEGIGDDMGLPEDDDEEEED >EOY02537 pep chromosome:Theobroma_cacao_20110822:4:1264923:1283281:1 gene:TCM_016988 transcript:EOY02537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 6 isoform 1 MDLASRYKGVVGFVFGNENSGSSEDSYVERLLDRISNGVLAEDRRTAIAELQSVVAESRAAQLAFGAMGFPVLMGVLKEERDDVEMVRGALETLVSALTPIDHVKGPTNEVQPALMNTDLLSRESESISLLLTLLSEEDFYVRYYTLQILTALLTNSPNRLQEAILSIPRGITRLMDMLMDREVIRNEALLLLTYLTREAEEIQKIVVFEGAFEKIFSIIKEEGGSEGGVVVQDCLELLNNLLRSSASNQVLLRETMGFDPLISILKLRGSTYSFTQQKTINLLSALETINLLMMGGSEADPQKDSNKITNKTVLVQKKLLDYLLMLGVESQWAPIAVRCSALRSIGDLIAGNAKNLDALSSKVLGEEPQVELALNSILRIILRTSSMQEFIAADHVFKNFCEKNTDGQAMLASTLIPQPNSMTHAPLEEDVNMSFGSMLLHGLSESDGDLETCCRAASVLTHILKDNTQCKERVLRIELEAPMPSLGAPELLLHRIVRYLAVASSMKNKDGKPGYSYVQPIILKLLVTWLADCPSAVQCFLDSRPHLTYMLELVSNTSSTVCVRGLAAVLLGECVIYNKSSESGKDGFTIADAISQKIGLTAYFLKFDEMQRSFLFSSVKPAQSHKPLTRSTAASMAEIEDGEESDLSDQKNEDHPILTSIFDAQFVNFVKSLEVYIRENIVDVYSRPKSDVAVVPAEMEQKGGESDKDYIKRLKAFVEKQCSEIQKLLGRNATLAEDLARTGGSGNSQPELRVGSGSDRVQAETLRRDLQEASQRIEMVKAEKAKIESEASMYQNLVGKLESDLKSLSDAYNSLEQTNLHLEKEVKGLKSGGTSTSPDIESIKAGAREEAQKESEAELNDLLVCLGQEQSKVEKLSARLSELGEDVCKLLEGIGDDMGLPEDDDEEEED >EOY04569 pep chromosome:Theobroma_cacao_20110822:4:25045937:25048011:-1 gene:TCM_019799 transcript:EOY04569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 43, putative MAFTAIMFLLGTATTAGLLGLGHYFTHMGKHKKLEVECDIFHGRWEYDDADPYPLYSPHTCPFISNQFDCQSNGRPDQDYIKYRWKPYSCTLPPFNGKRLLQRFEGKRIMFVGDSVGYNQWLSLVCMLHSAVPKAKYLLETKGGLSTLTFPAHNVSVMFSRNDFLVDIVDDKNERILKLDSIRNGGQIWKNVDVLVFNAWNEGLQTGKKQSWDVIEEGNARHKDIDRLAAFEQALNTWAKWVNSTVDPKKTKILFQGVSPDHANSRDWADPNAKNCKGQTRPVAGHNYPGGRHRGEAVLDKVLGRISKSVRLLNVTALSQLRKDGHPSSYGSSKVAVDCTQWCLPGVPDAWNELLSATLLRY >EOY05827 pep chromosome:Theobroma_cacao_20110822:4:29930179:29935777:-1 gene:TCM_020728 transcript:EOY05827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited calcium ATPase MTTILRSNLLAIECIIHVPATLSKPKKKWHTIFTTIYCSRTFSSLVIKSPAAKAKTKVILHPPSHVSLAVIQENGHFQVDQTTLIEVVKEKNFDTLQKLDGVDGVASALGTNTEVGISGSTEDIARRHEAFGSNTYKKPPTKSFFHFVIEAFKDLTIMILVGCAALSLGFGIKENGLKEGWYDGGSIFVAVFLVIAVSAISNYRQNRQFDKLSKVSNNIQIDVVRGGRRQQISIFDIVVGDIVCLKIGDQVPADGLFLDGHSLQVDESSMTGESDHVEVNRSQNPFLYSGTKVADGYARMLVTSVGMNTMWGQMMSQISRDTNEQTPLQARLNKLTSSIGKVGLAVAFLVLVVLLVRYFTGNTTDENGNREFNGSKTKADDIINAVVGIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMTDQAMVRKLSACETMGSATTICTDKTGTLTLNQMKVTKFWLGQESMEEGSASISPFIVELIHQGVALNTTGSVYRASSGSEFEFSGSPTEKAILSWAALELKMDMGKMKQSCAILQVEAFNSQKKRSGVLIGKNRDDTVHVHWKGAAEMILAMCSSYYDASGIVKDLNDSERTKFEQIIEGMAASTLRCIAFAHKQVPEEEYGNLKEQKKVKEDSLTLLGLVGIKDPCRPGVMKAVEDCQYAGVNIKMITGDNVFTARAIATECGILRPGDDMSSGVVVEGEEFRNYTPRERMEKVDKIRVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLRWGRCVYTNIQKFIQFQLTVNVAALCINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATERPTKELMEKPPVGRTEPLITNIMWRNLLAQALFQIAVLLTLQFRGESIFGVTEKVNDTLIFNIFVLCQVFNEFNARKLEKKNVFEGIHKNKLFMGIIGITILLQVVMVEFLKKFADTERLNWGQWGACIGIAVVSWPIGWVVKSIPVPEKPFFSYLEWRK >EOY02417 pep chromosome:Theobroma_cacao_20110822:4:728440:730231:1 gene:TCM_016897 transcript:EOY02417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein MATSEATATRIMLAVNQSTIKGYPHASISSRGAFEWTLQKIVRSNTSGFKLLFLHVQVPDEDGFDDMDSIFASPEDFKSMRHRDRARGLHLLECFVTKCHQIGVASEAWIKKGDPKEVICHEVKRVQPDLLVVGSRGLGPFQRVFVGTVSEFCVKHAECPVVTIKRNPDEAPQDPVDD >EOY02861 pep chromosome:Theobroma_cacao_20110822:4:2695779:2696864:-1 gene:TCM_017270 transcript:EOY02861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMDRISVHFDTHLPQLLLCSRKDWLLSGLKVNASTFSIQKNKNKNSSCLFPLNLVSIAYGIVIVELSLSLRFRSTLGNVSWPVSHD >EOY05097 pep chromosome:Theobroma_cacao_20110822:4:27190567:27191426:-1 gene:TCM_020190 transcript:EOY05097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITASHPGAESLHPTEQMGGHRDPCELETKSTAPLSRNPKQQAAHTTRKRSHHKEKVTASKGHARNAGYITQEKNHTNMTQRRSPSQRSNQSTTTTEHRTQKAKSHTESSTENQPIKEHHQTAPKNQQPSHSTINGSENSLSLSKPEAENLLFKT >EOY05705 pep chromosome:Theobroma_cacao_20110822:4:29539109:29539845:1 gene:TCM_020638 transcript:EOY05705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-rRNA-processing protein TSR2 MGSLNGNNHSGVPFTRQKPEAASHLQEEITLLLSRWHGLQMAVQNQWGGHDSFQKSQQLAADIFSWFSQSKALQIEDLENLLHESMLLSLNTEIEDGSIEEVAEQLMIMHEEYLHGNH >EOY03712 pep chromosome:Theobroma_cacao_20110822:4:18252294:18256293:1 gene:TCM_018820 transcript:EOY03712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain protein MATVVPTSEEDPALTVVRFTAELAWADAGPEVAEPQVTRLCLEAQECMVMGRWLDLASLMLTSADLVFSKVSDKDLECIFTVICNLVSKLDNPDEEFEVAKLISTKITQQPNDKPVMRLKILFNLYNLLEGPDSRFFVYMQALNLSLNGKVTDPIIPSFKKIDSFLKEWNIGVKDQRNLFLTVANVLKENKSSAKDSFKFLTKYLATFSGEDSYSMSEAKEEAVRTVIEFVKAPDMFQCDLLDMPAVGQLEKDAKYALVYQLLKIFLTQQLDAYLEFQAANSTLLKSYGLVHEDCITKMRLMSLVDLGCNESGQIPYALIKDTLRINDDEVELWVVKAITAKLIDCKMDQMNHVVVVNCCTERIFGQHQWQSLRSKLATWRGNVASLISTIKANKVVEEGSQAMQGMAIR >EOY03432 pep chromosome:Theobroma_cacao_20110822:4:15494740:15504329:-1 gene:TCM_018491 transcript:EOY03432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESTAVVFGEGHSIQRPPFFSGKHYAYWKKIMEMFIQSIDLDVWNVILDGLYVPTKEVDGKTIIKTRKEWDDKEKKVMNLLEETCQKGNIAKMTEYAMSVEKQDIPNMNVQTRRVLQRTSRKKPWWPLGAIVMTLKMKSIGTIGKNASSYIKNVLFVSGLKYNMLSISQLCDKGLRVIFESLMCHVVDIKTNETLFIGNRQGNTYVVFLDLSSNNEHYDDNVGILQEQVEGLNLNIEGSKVNEEVPQKEEQSIELIIEEGEASYPMVRIEVNESKIIGDPNQGVFCQKFAKIMQGKFEMSMMGELNYFLGLQIKQKEEVTFISQAKYTKDMFKRFSMDSSRTYHILMSTSIMLDKDEKVHLFIVPSLMASHPKCIKATANRTWTFTFAAFPFDQPPPPLVPHSDDYLFKYNFSYPSLE >EOY03271 pep chromosome:Theobroma_cacao_20110822:4:10490988:10492635:-1 gene:TCM_018065 transcript:EOY03271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQQEYNNVPFETPSLLGASAEQVENETSTHDSRRSPSTDLAGTEERQNKSRKARQNRLTPKEGSIPKHIGGSVPFVVHAKRMAIELKRDVSFLEVFNRTHKHLGGHGDFIDNKSKSTSKYGEDSSSQPEFDSHAWTEAIGGMETTRTHVYGFGTQVPAIALLTGHTTM >EOY03850 pep chromosome:Theobroma_cacao_20110822:4:19915936:19918643:1 gene:TCM_019052 transcript:EOY03850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFVEVKGVARNGLTMEWIKLKTIGKGIVEVKGVARNGLTMEWIKLKTIGKVRAYMRAYDLWGMVEAKHGARKISLLCIIYSSLFSLPVTKAASCNHISISSKSQHGSLSNLKIANFGLAKQLGERDMPNQVEPWMPNFPSITFYMPLEYVKERKISASIDIWSLRCIILEMIIGKLPWGYQNLRDLKIKFRFSRDCPKIPDNMSNARKDLLMKCFARDPIERWTADMLLCHLLLISDCTSSLPSQTNSFQHAQCDGYFPSIKQILATCLAIN >EOY06728 pep chromosome:Theobroma_cacao_20110822:4:32775580:32777357:1 gene:TCM_021369 transcript:EOY06728 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MEVPCDKTSSSSSSAPMFEIEVKATFIIVEKNTGAGDEMFVEAGRIISHVIHEFPMEDLINDGNGAVSDMLNSMRVPVQPSMVEEIAVCAVRLVTTARYRNSKVLRMRVEIEAVVDDVPDFGTDDDSDADDDEGPVKAEEVAENVGKVVVEGSGKDCPICLEELVVGFEAACMPCSHVFHDHCIVTWLNRKKRCPCCRFKLSR >EOY03757 pep chromosome:Theobroma_cacao_20110822:4:19036426:19054407:1 gene:TCM_018925 transcript:EOY03757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein, putative MLPLIVYLVSLHLLFSVTIAGNSAAYSPIDYILLSCGASLNSISEDGRKWIPDEKSKFSTSNSVNSSFASTASRQDHAVTQVPYMTARVFRDIFTYSFPVSPGLKFLRFYFYPVKYSDFDGHTFFFSVTANNYVLLKNFSAYLTAEDADAASLIKEFMVPVFETGKLDVIFSPSAKSLAFVNGIEVISMPKNMYGNHQQNSLSFVNHDHAPFDIPKTTAFETAYRLNVGGADVANVDDSGMFRTWSDDLPYIYGAATGTTPSRSNVTIDYTEDNPAYTAPDIVYRTSRTMGPSPTTNEMYNLTWNFSVDTGFNYLLRLHFCETQLEVTNVGQRVFDIYINNQTADLSVDVIDQSGGNSKPMHKDYVVWIPNEGQNKQTLWLALHPSEAVGSKFADAILNGLEIFRLNKSDGSLAVPNPEPNLSLTPNKQYQGSTLRKQKKGCSLVMVITIAVLSGAIALSLIICFFIFKRKRKVKDSAVSEPKSSWGQVPCPSNTTCTTSVSLLPSDFCRRFSIIEMKEATFNFDEQSIIGSGGFGHVYKGFIDGGSTTVAIKRLDSSSRQGIREFQTELELLSKLRHVNLVSLIGFCDDHGEMILVYEYMSRGTLRDYLYKTKNPPLPWKRRLEICIGAARGLHYLHSGVKHPIIHRDVKSTNILLDENWVAKVSDFGLSRVGPTSIFQSHVSTVVKGSIGYVDPEYYRRQQLTQKSDVYSFGVVLFEVLCARPSMIPGLPKDQVSLARWARICHARGTLEEIMDPNLVGDITPLCLKKFGELAGSCIRDNGIERPMMSDVVWGLEFALQIQGATGRNMMNREDEQVTSGQMSPLISHGVTTIDDDDLFSVSSGQVPESRSTISSGGRSANRSDIHRMNSENVFSEIMNTKGR >EOY03596 pep chromosome:Theobroma_cacao_20110822:4:17354084:17355392:1 gene:TCM_018697 transcript:EOY03596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTNVALWWKEQDLMTGIQNISIDPGKTEYKNVLSDVSLLSANLIGIPHISSNGWCSKSGPFWSEITNFVTLNQKQIA >EOY05704 pep chromosome:Theobroma_cacao_20110822:4:29535048:29538668:1 gene:TCM_020637 transcript:EOY05704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCQNSKRVYIGQYVRYLSATDDYVKELEEQVSLLDGLDGQQQENSFQSEQAAAAGHVIPLHGLEQNQVINQSQIDQRSSVSQTNSTGSGGRRKGSVLSEEEKRERKRLNDAKYRYNKRVENEKLKDEINMLRSMLHASEQGKETEAPSIHGPVQDFGSDRDLPVVVEDQPPRILEENQSPTNPQDASYRDLVVMHNDVQSHSLEENQPMTYLQIDAGISQEENQVLTPPQLSPACCLTLMEKLERDERSTVSYSDFKTLLQGEKQEFLATIQEMGDTSLEQVTEELIFKWRDCIAEAKRIKFNVDFATEHLKKIAKSYLNRKACNELSCIDKKIEVLEIELNDLKREKLVKLEMYSSQLLPASDDFRGNVGLF >EOY05528 pep chromosome:Theobroma_cacao_20110822:4:28908196:28913256:1 gene:TCM_020508 transcript:EOY05528 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family isoform 1 MAFTWGSALRITLLLLLLTAVIFACFTLPVEKILKDFLLWVDKDLGPWGPLVLAVAYIPLTVLAVPASVLTLGGGYLFGLPVGFVADSIGATVGAGAAFLLGRTIGRSFVVSKLKDYPQFRSVAIAIRRSGFKIVLLLRLVPLLPFNVLNYLLSVTPVPIGEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEFSTARWAFLISGLAVSVHLCLRIQSFTISSGTRKFLSNVILGGNGA >EOY05527 pep chromosome:Theobroma_cacao_20110822:4:28907993:28914060:1 gene:TCM_020508 transcript:EOY05527 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family isoform 1 MAFTWGSALRITLLLLLLTAVIFACFTLPVEKILKDFLLWVDKDLGPWGPLVLAVAYIPLTVLAVPASVLTLGGGYLFGLPVGFVADSIGATVGAGAAFLLGRTIGRSFVVSKLKDYPQFRSVAIAIRRSGFKISKFSSVIGQIVLLLRLVPLLPFNVLNYLLSVTPVPIGEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEFSTARWAFLISGLAVSVVLMVCVTKVAKSALEKALAENEDVDNIVGSPQLPIVGEPPVDLHQPLIIKIDSAEDTHEK >EOY02350 pep chromosome:Theobroma_cacao_20110822:4:515656:519177:1 gene:TCM_016858 transcript:EOY02350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 2B4 isoform 1 HVGRFSRWGRGINSFSTSAAIDEPISPSILIDHTQLLINGQFVDAASGKTFPTLDPRTGDVIAHVAEGDAEDVNRAVAAARKAFDEGPWPKLTAYERSRIMFRFADLLEKHTEEVATLETWDNGKPYEQAAKIEIPKVVRMFRYYAGWADKIHGLTVPADGQHHVQTLHEPYGVTGLIIPWNFPLLLYSWKVAPALACGNTAVLKTAAQTPLSALYVSKLFHEAGLPPGVLNVVSGFGPTAGAALASHMDVNKLSFTGSTATGKTVIGLASKSNLKPVTLELGGKSPFIVCKDADVDKAVELAHSALFFNQGQCCCAGSRTLVHESVYDEFVEKAKARALKRVVGDPFQKGIEQGPQIDDEQFKKILKYIRSGIESGATLESGGEQLGSRGYYIQPTVFSNVQSG >EOY02351 pep chromosome:Theobroma_cacao_20110822:4:516085:519175:1 gene:TCM_016858 transcript:EOY02351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 2B4 isoform 1 MFRFADLLEKHTEEVATLETWDNGKPYEQAAKIEIPKVVRMFRYYAGWADKIHGLTVPADGQHHVQTLHEPYGVTGLIIPWNFPLLLYSWKVAPALACGNTAVLKTAAQTPLSALYVSKLFHEAGLPPGVLNVVSGFGPTAGAALASHMDVNKLSFTGSTATGKTVIGLASKSNLKPVTLELGGKSPFIVCKDADVDKAVELAHSALFFNQGQCCCAGSRTLVHESVYDEFVEKAKARALKRVVGDPFQKGIEQGPQIDDEQFKKILKYIRSGIESGATLESGGEQLGSRGYYIQPTVFSNVQDDMLIAKDEIFGPVQSISKFKDLDEVIQRANATSYGLAAGVFTQNLETANTLTRALRAGTVWINCYDIFDAAIPFGGFKMSGQGREKGIYGLSSYLQVKAVVTPLKHPAWL >EOY02349 pep chromosome:Theobroma_cacao_20110822:4:512098:519194:1 gene:TCM_016858 transcript:EOY02349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 2B4 isoform 1 MSEKWGRGINSFSTSAAIDEPISPSILIDHTQLLINGQFVDAASGKTFPTLDPRTGDVIAHVAEGDAEDVNRAVAAARKAFDEGPWPKLTAYERSRIMFRFADLLEKHTEEVATLETWDNGKPYEQAAKIEIPKVVRMFRYYAGWADKIHGLTVPADGQHHVQTLHEPYGVTGLIIPWNFPLLLYSWKVAPALACGNTAVLKTAAQTPLSALYVSKLFHEAGLPPGVLNVVSGFGPTAGAALASHMDVNKLSFTGSTATGKTVIGLASKSNLKPVTLELGGKSPFIVCKDADVDKAVELAHSALFFNQGQCCCAGSRTLVHESVYDEFVEKAKARALKRVVGDPFQKGIEQGPQIDDEQFKKILKYIRSGIESGATLESGGEQLGSRGYYIQPTVFSNVQDDMLIAKDEIFGPVQSISKFKDLDEVIQRANATSYGLAAGVFTQNLETANTLTRALRAGTVWINCYDIFDAAIPFGGFKMSGQGREKGIYGLSSYLQVKAVVTPLKHPAWL >EOY05843 pep chromosome:Theobroma_cacao_20110822:4:29988165:29990027:1 gene:TCM_020740 transcript:EOY05843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVQPIDIDSQAQNETVPVRVEPVKPVLKSRLKRLFDRQFPSVLRISTAEKPSIGETQFNTSKDGGIEFEPSTVCLAKLVQNFIEDSHNDKQPPPQPPPAKCGRNRCNCFNGNSNDSSDDEVDVFGESINGGSLLADACDTLKSLVPCASVVERNLLADTAMIVEKNKNCKRKDDLRKIVTDGLVSLDYNSSICKSKWDKSPSFPAGDYEYIDVVVEGERMLIDVDFRSEFEMARSTGAYKAIVQLLPYIFVGKPDRLDRIVSIVSEAAKQSLKRKGMHLPPWRKAEYMRAKWLSQFTRPSSASEDVSDKTDCKETNDCSGCGELDLIFGEEKEASPEENSGVKDLPVTPLTTWQPPAVKPKSVERGTKVVTGLASLLKEKP >EOY03449 pep chromosome:Theobroma_cacao_20110822:4:15807445:15819894:-1 gene:TCM_018526 transcript:EOY03449 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L34, putative MGYIDGYPEEVLINTHFIWKNTSSFKVFLFQKMLPFGWSFLVSISALFFFLFDFFSKLFSRIQINKLSQSNNQIHIEPQEETEETKTDANEFKETESDVVEEKESPKFFFKFQFQTQTFEEFSRKFRGDENYNVGLESIPSTSTNKYEFKSGQDFSCIFEKPEDLTFCVRKFYANSENGFLSEKDFMERNLKDEAVPEEVTEKEEKVPEKTLLEAEQSAETVCEEEAVGEENNLPIQQEVSGNFQFFSEKDFTISETETDSITSSPEYMSQFIGSTSDGFLSDRDFEEDFEADCLRNIGKDVGELTKEDFDMQEADDFDKEDRDIMEELRNLEESQKWNEDSKQEEVSGNNNGSGNTLDNSQNSYLDNSYASDSDDSNGLETLWEHQDLIEQLKMELKKVRAIGLPTILEESESPKIMDDLKPWKIDEKFHHVDRMSELHKFYKSYRERMRKFDILNYQKMYAIGFLQSKDPLQSISNHKSSSPPAITSLLSQNLRLGRQKKSKLDPMKKFIEELHSDLEIVYVGQLCLSWEILHWQYEKAIEIWESDPYGIRQYNEVAGEFQQFQVLMQRFIENEPFEGPRVQNYVKNRCVLRNLLQVPVIREDSMKDKRKARRKGRDDDAITSDMLVEIMEESIRIFWRFVRADKVANIVIPQSRKGTQVEPLDPADLELLVEVQTSLQTKDRKLKDILRNGNCILRKFRKNREESPDQVLYFFSQVDLKLVARVLNTSKVTKDQLLWCHSKLSKISFVNRKINVEPSFLLFPC >EOY02481 pep chromosome:Theobroma_cacao_20110822:4:1007822:1011161:1 gene:TCM_016943 transcript:EOY02481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MIMLLNSIKHAAKSSPILLLKNGNRNSDSYSYSCLILSQSRSPNNSSSPHHLNLSAADVVASFKDWFKARNTALLDRIFTILNSQGQAALDDASSRRAADLALSHLNLRLSETFVLQVLSYGRTSSQDVLSCLKFFDWAGRQPGFYHTRATFHSIFKILSKAKLMSLTLEFLQDYMAHRYIHKVRFHDTLVMGYAIAGKPEMALQLFGRMRFQGLDLDDFAFHVLLNALVEESCFDAVDMIAKQISMRGLENDMTHSIMLKFWCKQNKLDEAEAYLRRLAEGGNHVVGHGLSVIVDALCKCKKFKHAVTLLEEFRELNVPMEQAYGMWLRNLVQHGRLNGALEFLMSKKSLDGYVPDVFRYNFLVLRLLRENRLEDVCDLLIEMEEDGILPDKVTMNAVLCFLCKAGMVDVAIELYNSRSEFGLSLNGMAYNYLINVLCGNGGIDEAYHVLRNSIDEGYFPGKKTFAILADALCREGKLDKMKELVVFALERNVMPSNSLYDKFIEALCKAKRVEDGYLIHGELSRINKDMARSTYFHLIQGFNKSNRGDIAARLLLEMQEKGHRVTRKLFRTVICCLCDMQSPENQFFKLLEIQLSRCEPSSHTYDFFIDGAGHAKRPELAREVFEMMLRSGIKPALSSDVLMLHSYLRNDRISDALNFFNDVRQRRRIGRRLYSSMVVGLCKANRADYALKFMEEMRANNVFPSMECYEYLIQLLCSKKCYDLVVGLVNELEKTRGHVTSFIGNVLLLHSFRTKDLYRAWIRLRGVQDETSDVSLLGQLIGVFSGCIEVSQEVERLEEMIEVCFPLDIYTYNLLLRKLSVSDVDHTWELYDWICQKGYEPNRWTYDIIVHSLLRKGRRDEASRWVEEMFRKGFDLTENTKLLI >EOY04986 pep chromosome:Theobroma_cacao_20110822:4:26735478:26738297:1 gene:TCM_020117 transcript:EOY04986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeoyl-CoA 3-O-methyltransferase isoform 2 MADTPMKMILRTEALRKYIYETSAYPKEHEQLKELRETTVEKYQKRSFMSTPVDEAQFLSMLVKIMNAKKTMEIGVFTGYSLLTTALALPQDGKILAIDRDKEAYEFGLPYIKKAGIEHKINFVASDAISALNDLVNSGEEGTFDFIFVDALKSEYLKYHELTMKFVKIGGVIAYDNTLWSGSVAQAEDQVEMPGVSISELLNLFQELRIVLLPDESE >EOY04985 pep chromosome:Theobroma_cacao_20110822:4:26735373:26738299:1 gene:TCM_020117 transcript:EOY04985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeoyl-CoA 3-O-methyltransferase isoform 2 MLYLFPFYNLLVGFNLPFNGEDIFQEFRSMADTPMKMILRTEALRKYIYETSAYPKEHEQLKELRETTVEKYQKRSFMSTPVDEAQFLSMLVKIMNAKKTMEIGVFTGYSLLTTALALPQDGKILAIDRDKEAYEFGLPYIKKAGIEHKINFVASDAISALNDLVNSGEEGTFDFIFVDALKSEYLKYHELTMKFVKIGGVIAYDNTLWSGSVAQAEDQVEMPGIRSFRKFVIEFNSFIAADPRVESSLLSIGDGVTLCRRLY >EOY03952 pep chromosome:Theobroma_cacao_20110822:4:20671285:20674289:-1 gene:TCM_019172 transcript:EOY03952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNDIVFGGKTWDQNQIYELAKLRVATWVKAKWPRDCGRTLDTFNEPRLGAVLKSSRKSRPMVKWTKPVKGYMKFNVDGATSGSPRKAGIDGILSNFVGEIKMMFSKSIRLGDSNLAEVLATRQAFMMFVASTWNGSHFLVIESDSTNVLVEMREVAIPSPHSLGTKSESRLHQYDGRTSISKS >EOY05852 pep chromosome:Theobroma_cacao_20110822:4:30072049:30074991:-1 gene:TCM_020755 transcript:EOY05852 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase MAAESRQSSNAEPVRFQAASSEERRSNNLPNFLLSVRLKYVKLGYHYLISNAMYLMLVPLLGIAAAHLSTLTFLDFVQLWDQLKFNLVSVTLCSGLLVFLATLYFMSRPRKVYLVDFACYKPEADRTCTREIFMERSGLTGSFTEENLAFQKKILERSGLGQKTYLPEAVLHVPPNPCMAEARKEAETVMFGAIDELLAKTGVKAKDIGILVVNCSLFNPTPSLSAMVVNRYKLRGNILSYNLGGMGCSAGLISIDLAKQLLQVHPNSYALVVSMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNRFSDRRRSKYQLIHTVRTHKGADDKCYNCVFQREDDTKRIGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFVTLVARKVFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLDLTDWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIRKGDRTWQIAFGSGFKCNSAVWKALKSINPAKEKSPWIDEIDEYPVHVPRVATVSSS >EOY04845 pep chromosome:Theobroma_cacao_20110822:4:26181997:26186525:-1 gene:TCM_020015 transcript:EOY04845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane HRF1 family protein MYNNVGAQPGVPRPPTNPQPNPFGNAFYGAGSGLIRGGLGAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDQYVRNKLKVVLLPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPFMAFGTYVVLAGLSLGLQGKFSPEALNWLFVKGLLGWFLQVMLLKVTLLSLGSGEAPLLDIMAYAGYTFTGMCLPVLGRIIWRYSYYFLMPWTCLCMGVFLVKTMKRVLFAEVRSYDSSRHHYLLLFIALAQFPLFTWLGNISVNWLF >EOY05185 pep chromosome:Theobroma_cacao_20110822:4:27514699:27526223:-1 gene:TCM_020258 transcript:EOY05185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanyl-tRNA synthetase class IIc family protein METLPQLPQDIIVNILSRLPVKSLLQFKCVSKPWRSLISDPHFAKLQLTQSQRNGNFSSQRVLLITEPPESAACEASGDDDDSKLILKLEYPTAMKRTPDSDELMDGQVDLGGSCNGLVCLVFENDRVFLLNPTIRETKELAKLNAFDRMGTFSYGFGFDFSTNDYKVVRAARPSSEDDASSETEVEILELKSNIWRRIEGFKSEEKFYELVPVPDHVEESKDNTLVLGISGDCLCLFYGYDCENVFEAWLLKEYGIKSSWSRLFSVHSILRGYKYWENALCYTKTENQFSIAQGFMAVVSSGHSTIFSKTSLFHHINGFKRFTFCLPFSTSAAASLSAKKKWRQPVASSVLELGGNKIAKDDVVRDDPTNNVPDTIFSKLGMQLHRRNNHPLGILKNGIYEYFDTNYPNMFDKFDDLCPIVSVKQNFDDVLVPADHVSRSYNDTYYVDSQTVLRCHTSAHQAELLRRGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGVRVFTPDNWEASGLDPTSYAAEDLKKSLEGLARHLFGAVEMRWIDAYFPFTNPSYELEIYFKEKWMEVLGCGVMEQEILKSNGRLNSVAWAFGLGLERLAMVLFDIPDIRLFWSTDERFTSQFSQGQMGVKFKPFSKYPPCYKDISFWINESFTENNLCEVIRGIAGDLVEEVSLIDNFPNKKGMTSHCYRITYRSMERSLTDEEINDLQWNVREQVQSKLNVVLR >EOY03025 pep chromosome:Theobroma_cacao_20110822:4:3704455:3705495:-1 gene:TCM_017435 transcript:EOY03025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MGAISDPNPEGSDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIASLLEQYPNRLEIVQLDDSNGEIRSDPNLSFDHPYPPTKTIFIPDKECQKPDLLATSSDFLRIWRISDDHSRVELKSLLNGNKNSEFCGPLTSFDWNEAEPKRIGTSSIDTTCTIWDIERETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRFMATIIMDSAKVVVLDIRFPTLPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGQPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >EOY03024 pep chromosome:Theobroma_cacao_20110822:4:3700689:3705600:-1 gene:TCM_017435 transcript:EOY03024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MGAISDPNPEGSDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIASLLEQYPNRLEIVQLDDSNGEIRSDPNLSFDHPYPPTKTIFIPDKECQKPDLLATSSDFLRIWRISDDHSRVELKSLLNGNKNSEFCGPLTSFDWNEAEPKRIGTSSIDTTCTIWDIERETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRFMATIIMDSAKVVVLDIRFPTLPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGQPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRL >EOY04521 pep chromosome:Theobroma_cacao_20110822:4:24831813:24834345:1 gene:TCM_019764 transcript:EOY04521 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate-dependent dioxygenase family protein isoform 2 MLGGGAVEFSGALSLNDKQFQSGDENEFPPLTLKGRNSNNRRTRSDSGFEPRHKAVDSSEHKGIANSLSLQDKCSLPSHFGKKVVNIYVPKSVSGESKSKDVVGTKNTDFSEGLPKVERFDICLPTRRAFGIQKVLRPGMVLLKRYISLCEQINIVKTCQTLGVGPGGFYRPGYKDGAKLRLHMMCLGLNWDPQTRKYDKRHPIDDCEPPNIPCEFCLLVRRAIQDAHCLIKKNYIVGNVEDVLPSMSPDICIINFYTTNGRLGLHQDRDESRESLHKGLPVVSFSIGNSAEFLYGDQRDEDKAEKVVLDSGDVLIFGGESRMVFHGVPSIIPNTAPQALLAETGLRRGRLNLTFRQL >EOY04520 pep chromosome:Theobroma_cacao_20110822:4:24831723:24834347:1 gene:TCM_019764 transcript:EOY04520 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate-dependent dioxygenase family protein isoform 2 MLSVRRMVQTELCPLSTVASVSRQIAFTFCSLKMMNPAKETISSRAGCSSITADKPFGNESSGVKQGRSSQLSQQMLGGGAVEFSGALSLNDKQFQSGDENEFPPLTLKGRNSNNRRTRSDSGFEPRHKAVDSSEHKGIANSLSLQDKCSLPSHFGKKVVNIYVPKSVSGESKSKDVVGTKNTDFSEGLPKVERFDICLPTRRAFGIQKVLRPGMVLLKRYISLCEQINIVKTCQTLGVGPGGFYRPGYKDGAKLRLHMMCLGLNWDPQTRKYDKRHPIDDCEPPNIPCEFCLLVRRAIQDAHCLIKKNYIVGNVEDVLPSMSPDICIINFYTTNGRLGLHQDRDESRESLHKGLPVVSFSIGNSAEFLYGDQRDEDKAEKVVLDSGDVLIFGGESRMVFHGVPSIIPNTAPQALLAETGLRRGRLNLTFRQL >EOY03332 pep chromosome:Theobroma_cacao_20110822:4:12855629:12866828:1 gene:TCM_018259 transcript:EOY03332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRYGAIRRFIRDFSKISKPLCNLLEKDTSFNFDDACHDAFVELKKRLISPPIITVADWNLPFELICDASDYTVSAILGQRKIKILHPIYYASRTLNEAQANYTTTEKELLAIVFAFDKFRSYHVSTKVIVYTDHVVIKYLIEKKDAKPRLIRLVLLLQEFNLKIRDRKGTENQLADHLSRLENNEHIGNSTVINETFPNEQLFFVEKQKNLPWYADVVNYLVSKLFPLEFNSQKKKKFLHDVKYYMWDEPFLYKHCGDQIIKKCVLEEEFENILHHCHSSDYRRHYEGK >EOY03026 pep chromosome:Theobroma_cacao_20110822:4:3700995:3702559:-1 gene:TCM_017434 transcript:EOY03026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFQKISLLVLVLALQSQQFWNFLCHYRLLVTRCNKVTGWVGGGLGCIPEGDQIVIVTLFHLSSKQIISILLEVSKCEALFDVSSKLMN >EOY04475 pep chromosome:Theobroma_cacao_20110822:4:24518923:24519747:-1 gene:TCM_019718 transcript:EOY04475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid transfer protein 3, putative MASLKLVCALVLCMLVVEPMATSAISCANVAGMLSSCIKYLRNGGSLPSNCCAGLKSLNTQARNKGIRQAVCRCLQNAAKTITGLKTNLVEGLPRRCGVKIPYKISTSTNCNRVK >EOY06532 pep chromosome:Theobroma_cacao_20110822:4:32180552:32183678:1 gene:TCM_021218 transcript:EOY06532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTAAKGSMVCCSICLEEASDDCDRTVVRLRYCIGSTFNSTGVMRCPNCRQIENGTWRRFDYWNMQDWVVEYWTNDDVEEIVRYNPRFMFHFFGINSLPSLCYFACVQPRTEEATFRSDEWARRLSVAYGYNRGVEHSEVVGSNDIHRHSPLRGAVAEFSANQVTTRFASFGHATQTESVGHLFAHDNQGSSSPAILASVTNGPIHDNFQWSRPFGNSPPPAVHASMASGWGVITGFMGNISNGLRLDHAGSSSSLQIGFPFHASSSEQTTDLFHESNADSAAELSRKYEQWLAEQSRD >EOY06783 pep chromosome:Theobroma_cacao_20110822:4:32946289:32947226:1 gene:TCM_021409 transcript:EOY06783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLHSYSWLALHSFIFFSVRGDSTGGGAGSCMVVVGCMGRDAVADGLSGGSVGLALAGTWVEDGGGGEAQRERESLHEFRKPSLEKKRQDKSAVFLIPKTESELAVTCYLSEFCSGKDRKRGFLPQLFH >EOY04228 pep chromosome:Theobroma_cacao_20110822:4:22942018:22943191:-1 gene:TCM_019496 transcript:EOY04228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 LCPKACSSPGHGGKVGEGEERKQIEENNNHSRFYATTK >EOY04773 pep chromosome:Theobroma_cacao_20110822:4:25838071:25839942:-1 gene:TCM_019948 transcript:EOY04773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYGGGLYDSSVGGPMGGYGMSMSGPYGARDPNNPYGAPPSPPGFWISVLQVVQGMVNVFGRTSFLIDQNTRAFHMFINFLMALVYRMES >EOY06792 pep chromosome:Theobroma_cacao_20110822:4:32969657:32971493:-1 gene:TCM_021416 transcript:EOY06792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab5-interacting family protein MAGHSDSNSSEKKSSEAMNDVPTFSAENLQNNMKVIYYSRTFMSIIGGVLAGILGFTGFMGFVLYFLVMAITSIGLIAKAKFSVHAYFDSWNRIILDGFMGGLMSFVLFWTFAYDIVHIF >EOY04790 pep chromosome:Theobroma_cacao_20110822:4:25940382:25945692:1 gene:TCM_019970 transcript:EOY04790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCPNATRLLLPSLFALLILALTFTETTGQFDSASASLPDTNSINVSRPVRQSDDTVRVDPLDNFNKYRGGFDITNKHYWSSVIFTGVPGYAVGLLWLLFGILYGGYLAAAAYCCKRKGKPKTKSLCHNQFCLWPILLAVIFTILAIAASGLALGGTAKFHSEAKTVVNIIMRTANEASETIYNTTGAMKEMRDNLGETNGTGEATSFLTSTSEKLDVEAADIERQARKNRRLIDRGLKIVFIITIVTISLNLVAVIALSVTGILRVRRALYWLIAICWFFTILCWLFFGIYFFLEKFSGDTCTALENFKENPYNNSLSSILPCDELLSAKPVLFDVSAGIYDLVNEVNSNISLLQATTYPNLAYVCNPFSAPPEYTYRPDDCPANTIRIGDIPKILSVFTCSDANNGTCKEGEFISTSQYKTVEAYTSSIQNLLNAYPGMESLVECQSVSDAFSEILREHCKPLKRSVRMAWAAMVFLSIIMVFLVLIWTAEAHHEQQLHSLDGSVKPQSATTNSLESQANNEVIKDHSNSNSV >EOY06489 pep chromosome:Theobroma_cacao_20110822:4:32087012:32094617:1 gene:TCM_021194 transcript:EOY06489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering promoting factor 1 MHCKFLDHNLELVSNLLLLNSISKWLVFEYPILQGLDSPIYTLQSHHPMYPIAKRELLAIFFQSSKSLFLSTQVRIFFLVRMSGVWVFKNGVVRLVENPGAESMDGSRQGSNIRRKVLVHTPSNEVITSYAVLERKLSSLGWERYYDDPDLLQFHKRSTVHLISLPKDFSKFKSMHMFDIVVKNRNAFEVRDM >EOY04657 pep chromosome:Theobroma_cacao_20110822:4:25372868:25398363:-1 gene:TCM_019858 transcript:EOY04657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant stearoyl-acyl-carrier-protein desaturase family protein MHLISTKWALYLLSLSFAKCVFSLLWSKVKLLKQKPKENSQRGLKHQISREKNQNQANAEQMALKLNPITSQSQKLPYFALPPMASLRSPKFFMASTLRSGSKEVENVKKPFMPPREVHVQVTHSMPPQKIEIFKSLENWAEQNILVHLKPVEKCWQPQDFLPDPASDGFDEQVKELRERAKEIPDDYFVVLVGDMITEEALPTYQTMLNTLDGVLDETGASLTSWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARLAKEHGDFKLAQICGTIASDERRHETAYTKIVEKLFEIDPDGTVLAFADMMRKKISMPAHLMYDGRDDNLFDHFSAVAQRLGVYTAKDYADILEFLVERWKVKELTGLSADGRKAQDYVCGLPPRIRRLEERAQGRAKQAPSIPFSWIFDREVKL >EOY05859 pep chromosome:Theobroma_cacao_20110822:4:30099230:30102854:-1 gene:TCM_020760 transcript:EOY05859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MFAKLQLDTSCFNILCSTKTSAPNISGVSVSVSPLEKLEPINRNKQHRLLETPNGGGTKKFHGVRKQTLDNQPEPSDQTLEKNPGRERREKKRQSFKFVNKGGKFGGFNGKNLVEKMQAKCSTKWVSYGGCIPAILGALDNVKDLDEALKPWAEKLSNKERSIILKEQSSWERALEIFEWFKRKQCYELNVIHYNIMFRILGKAHKWGYVERLWNEMTFRGIKPINSTYGTLIDVYSKGGKKQQALCWLGKMNKQGMEPDEVTMGIVVQLYKKAGEFQNAEEFFKKWSLNGSLKHDGSETFSAVGSDLHLSSYTYNTLIDTYGKAGQLQEASETFEMMLREGIVPTTVTFNTMIHICGNHGKLEEVASLMKKMEEVQCLPDTRTYNILISLHAKHDDIKMAAGYFAKMKEVCLEPDLVSYRTLLYAYSIRQMVSEAEDLINEMDDQLLEIDEYTQSALTRMYIEAGMLEKSWLWFRRFHLAGNMSSEGYSANIDAFGERGHVFEAEKVFVCCQERETLTVLEFNVMIKAYGIGKSFEKACWLFDSMQGHGVVPDKCSYNSLIQILASADLPHVAKCYLKKMQEAGFISDCIPYCAVISSFVKLGELEMAEGLYGEMIQYKVEPDVVVYGVLINAFADLGSVKEATSYVNAMKSAGLPGNAVIYNSLIKLYTKVGYLKEAQEVYELLQLSGFHPDVYSSNCMIDLYSKRSMVSQAEAIFKNLKQKGDANEFTYAMMLCMYKRNGRFEEAIHIAKQMRDLGLLTDLLSYNNVLGLYAMDGRFKEAVGTFKEMMSACIQPDDSTFKSLGFVLMKCGVPKRAVNRLQVTWKKDAQSGLQAWISTLSSVVGSDEDDADNYA >EOY03638 pep chromosome:Theobroma_cacao_20110822:4:17492907:17500770:-1 gene:TCM_018725 transcript:EOY03638 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein MSCEEVLKVVFPLLDGIDLAACMVVCKQWRDIARDDYFWRCVCAKRWPSICKRPNPPTVTYYKLYQTFYKRQHKRTLLPPRLSFDDLEFFIDIWTEDKLIFSEVVPGPVLQTGIKIPPTGICDMLKFHLEGPEYKMTLPVEPRFTVPWSQTVSVSVLVERKDSNKVACIINKSLFDYIDRTAYRALAFDYLDFSPNYPFISGIRAWISLLFMEDGNDGVIDVFGIEMDFCDAANSKEELVAYVILFYNFIMELSFGARGILNVVRVTSELNIRREKRMDVWHAILAKWSTFSHIIITAWRNLLSLWKIETTVIVELNLIEEEYTFEVETEEESCDSERNDEFDDKSVNVNEAEVKGELAPFANFIDLDVLFPFHVQSSSMNQVIPTVEIVPDVGVDARAIPDVVIDNIEFSLTYF >EOY03683 pep chromosome:Theobroma_cacao_20110822:4:17790872:17792556:1 gene:TCM_018772 transcript:EOY03683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pro-like protein MEMITSKEERSFEGLSGLQRQSQLHMKGQTMSFLGVNFSDPTPTPDLNNLGKQEKLKEESSKLKKKLQMQQKHDLLDERLKAIEGMDIYGSIDAIDYLTGAIAKWYVQLDRNRIHTWKDLARAFVAKYKHVLDMARDRFSLQNMEKRDTESFKEYAQRWRDVAAQVQPPFIEKETIMFFISTLPSPYHDRLIENVTKNFTDIVISREMIENAIKKGKIKENNVNSMENCNASKKRRREARVITCEEQPWGINPYHLHSAYQPSYPARNHISHSLYPYQPIPQPIFHLRAPTPPLMPQSLPKPNLRQLPMPISKLLPILIENQYLSLVPMKTISNPSARNYDANAKCDYHMGAIGHLTEKCRQLKEKIENLIRDGSFTLELMECWK >EOY04366 pep chromosome:Theobroma_cacao_20110822:4:24047955:24057811:1 gene:TCM_019636 transcript:EOY04366 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSP-interacting kinase 1 MISSSMAMTRREIAFCFMAFLWFWAPTNGLLSPKGVNYEVQSLMAIKAALHDPHGVLDNWDADAVDPCSWAMVTCSPESLVIGLGTPSQNLSGTLSPSIGNLTNLQIVLLQNNNITGPIPTELGKLSKIQTLDLSDNSFTGEIPTSLGNLRSLQYMRLNNNSLSGACPVSLANMTQLTFLDLSYNNLSGPVPRFAAKTFSIVGNPLICATGSEPECHGMTLMPMSMNLNSSQAGRPSGRSKGHKIAIAFGSSIGCVSLMVLILGLLLWWRQRRNEQIFFDVKDRHHEEVSLGNLRRFQFRELQIATHNFSSKNILGKGGFGNVYKGILQDGTIVAVKRLKDGNAAGGEIQFQTEVEMISLAVHRNLLRLYGFCITPTEKLLVYPYMSNGSVASRLKGKPVLDWGTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKAANQKGAMLDWVRKIHQEKKLEGLVDKDLKSNYDRIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQRAEATKCKPHEFSSSDRYSDLTDDSSLLVQAMELSGPRLRTTKTLLKWKFLVVAIPIE >EOY06057 pep chromosome:Theobroma_cacao_20110822:4:30784960:30792421:1 gene:TCM_020901 transcript:EOY06057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein MADPVSYGNSERDIEQALITLKKGTQLIKYSRKGKPKFRAFRLSPDETTLIWLSHGEERNLKLSSVSRIIPGQRTAVFRRYLRPEKEYLSFSLLYNNGERSLDLICKDKVEAEVWFAGLKALIGQNRNRRTKSDFSDLQGDFFLNGRPFSAALEFNNSIAHGRVSIDFGSSDVGSERASMQLRTSAGDGFRISVSSTPSCSSGGSGPDDIESLGDVYVWGEVWSDGVPPDGSVSSVPTKIDVLTPKPLESNVVLDVHQIACGARHIALVTKQGEVFTWGEESGGRLGHGIEKDFSHPRLVEFLAVNNVDFVACGEYHTCVVSTAGDLFTWGDGTHNAGLLGHGTDVSHWIPKRVSGALEGLQVLSIACGTWHSALATSNGKLFTFGDGKFGVLGHGDRESLTYPKEVQMLNGLKTIKVACGVWHTAAIVEVIGHSGVNVSSRKLFTWGDGDKHRLGHGSKETYLLPTCVSSLIDYNFHQIACGHTMTIALTTSGHVFTMGGTAYGQLGNPSADGKLPCLVQERLVGEFVEEISCGAYHVAVLTSRSEVFTWGRGANGRLGHGDTEDRRTPTLVEALKDRHVKNISCGSNFTSSICIHKWVSGADQSVCSGCRQAFGFTRKRHNCYNCGLVHCHACSSKKALKAALAPTPGKPHRVCDACYAKLKAAEAGNTSSLNRKVAGLRPSLDGRERMDRGEIRSSRLLLSPTTEPAKYLEIRSGKPGARYDSPSLVQASQVPSLLQLKDIAFPSSLTVIQNAFKPATPPPTPPPQSPINSRSSSPYSRRPSPPRSVTSTFSRNFIESLRKSNDLLKQEVAKLQNQMKGLKQKCDSQDTEMQKLQKNAEESASYAAAESFKCKEAKEVLKSITDQLKEITETLPPEILESETFRAMHTQAEAFLHSHGTSEAAASLPASLESSHVQDQRVEDNVDTAATVPSNDSGSSITREAAPQQSSQIESSSLEAAPQQISQIESSSSEAAPQQSSQIESRSSEASAIRGGGENELTEQFEPGVYITFFYDPNGGKVFGRVRFSKRRFAAHQAEEWWVRNKDRVQLRYIMQVTRPASAASSLTPPQPPRPPSPTPADETNEEASPPET >EOY02755 pep chromosome:Theobroma_cacao_20110822:4:2102106:2102929:1 gene:TCM_017153 transcript:EOY02755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLHRKFPIEDARATMQIQDELRGYVESERPTYAKKWEDVDFILEPCNVGGHWVVAKIDLMRWTIKVLDSARTSNAKDNKALVGQMTPLTTMMPFICHQASYFNNIRRKRRDLTPMPLDIHLPKAKVHQQNDSASCGMFMIWYMDHILQSEKIRIKQNMIAKMCRQYALEIFSNNYESKL >EOY02751 pep chromosome:Theobroma_cacao_20110822:4:2059730:2060349:1 gene:TCM_017146 transcript:EOY02751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative MVWPGLASYTTSKNVDYRLVRNQNNPKSTNDSRLHIFGHKGTSNTKLSPPFFTEAQLTSVFRRFDGNRDGRLSRQELRSAFNSLGSRFPTYRAFAALHQADQNGDGYISEDEMDDLIHYALSCGYHVQ >EOY03524 pep chromosome:Theobroma_cacao_20110822:4:16766568:16768097:1 gene:TCM_018625 transcript:EOY03524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQPLPTNAMGPLPFINDTVMVVSDDDKFDQMDNDDEKDDIADWNDEMDDDYEDDYSSRHDDCSEDDRGEDNVISDCNHADGSIEHATTVVLEGVQCDDHATTIVLEDVECDDLIYDNPIIGRIEMSFEHVGSKEHFKIRVKNSCHTHLEVACKEKGCKFASHATKLPEGDYWQVWMFHKVNTCNIDGLQGGCRTASTRLIGELISPKLQGNNVTPLRPKKIMEEMNHNWGLACLWRFSAVLWPIIAIDATYLKSRFKGILFVAICKDTNKQIYPVAFGIGHIEDEDSWLWFLT >EOY05606 pep chromosome:Theobroma_cacao_20110822:4:29133092:29143093:1 gene:TCM_020566 transcript:EOY05606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit isoform 1 MVAQLEHQQQKEEPCLDNLQKAKSLICALNFVSRNLPLPPDLFDVVSSICYDEQEGLSEATDDGTQGDDGSDEAGVSQMGTDESSNFKKDDLLGDLDDALSKQRSKCVSGFGLAESKENHYQSHIHHRLNELEELPTSRGRDLQAKCLLELYGLKLAELQSKIRSHVSSEYWLHVNCTSPDKQLFDWGMMRLPFPSYGIFVPFTTEADDQARKKRDYERLSRLREEERNQVENRKKKFFSEIVNAFRDFQLQIQATLKRRKQRNDGVQAWHGRQRQRATRAEKLRFQALKADDQEAYMRLVKESKNERLTMLLAETNKLLVNLGAAVQRQKDVKVSDGIEDLKDLDSDSPEVEASKDGTPQDSPPEEVTDATDSDQNDDSSDLLEGQRQYNSAIHSIQEKVTEQPSMLLGGELRSYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLMENKGVAGPHLIVAPKAVLPNWIHEFSTWAPSIHAILYDGRLDERKTMREEISRDGKLNVLITHYDLIMRDKAFLKKIHWYYMIVDEGHRLKNHECALARTLISGYQIQRRLLLTGTPIQNSLQELWSLLNFLLPNIFNSVQNFEEWFNAPFADRGDLSLTDEEELLIIRRLHHVIRPFILRRKKDEVEKYLPGKSQVILKCDLSAWQKAYYQQVTEKGRVGLDNGSGKSKSLQNLTMQLRKCCNHPYLFVPNYNMWQREEVVRASGKFELLDRLLPKLQRAGHRVLLFSQMTHLMDILEIYLRLNDFMYLRLDGSTKTEERGSLLKKFNASDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRKEMLEEIMRRGTSSLGTDVPSEREINRLAARTDEEFRMFEQMDEERRLKENYRSRLMDEHEVPEWVYELNNDDGKAKALENNNVELGKRKRKGGNYYPDTLSDLQFMKAVENAEDMAKTLSSKRKRKDHLPPGANESASNNVGVEKKVLEYRNENVPAVSEGTSEDTYGSAPKTLKSNGETNEKPKYPGVEKSEHQGVGGSSWNERIITWNTHKKKRSSYVVPTSSSDSRGQNSSGRGNGWA >EOY05607 pep chromosome:Theobroma_cacao_20110822:4:29133084:29143101:1 gene:TCM_020566 transcript:EOY05607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit isoform 1 MVAQLEHQQQKEEPCLDNLQKAKSLICALNFVSRNLPLPPDLFDVVSSICYDEQEGLSEATDDGTQGDDGSDEAGVSQMGTDESSNFKKDDLLGDLDDALSKQRSKCVSGFGLAESKENHYQSHIHHRLNELEELPTSRGRDLQAKCLLELYGLKLAELQSKIRSHVSSEYWLHVNCTSPDKQLFDWGMMRLPFPSYGIFVPFTTEADDQARKKRDYERLSRLREEERNQVENRKKKFFSEIVNAFRDFQLQIQATLKRRKQRNDGVQAWHGRQRQRATRAEKLRFQALKADDQEAYMRLVKESKNERLTMLLAETNKLLVNLGAAVQRQKDVKVSDGIEDLKDLDSDSPEVEASKDGTPQDSPPEEVTDATDSDQNDDSSDLLEGQRQYNSAIHSIQEKVTEQPSMLLGGELRSYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLMENKGVAGPHLIVAPKAVLPNWIHEFSTWAPSIHAILYDGRLDERKTMREEISRDGKLNVLITHYDLIMRDKAFLKKIHWYYMIVDEGHRLKNHECALARTLISGYQIQHRGDLSLTDEEELLIIRRLHHVIRPFILRRKKDEVEKYLPGKSQVILKCDLSAWQKAYYQQVTEKGRVGLDNGSGKSKSLQNLTMQLRKCCNHPYLFVPNYNMWQREEVVRASGKFELLDRLLPKLQRAGHRVLLFSQMTHLMDILEIYLRLNDFMYLRLDGSTKTEERGSLLKKFNASDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRKEMLEEIMRRGTSSLGTDVPSEREINRLAARTDEEFRMFEQMDEERRLKENYRSRLMDEHEVPEWVYELNNDDGKAKALENNNVELGKRKRKGGNYYPDTLSDLQFMKAVENAEDMAKTLSSKRKRKDHLPPGANESASNNVGVEKKVLEYRNENVPAVSEGTSEDTYGSAPKTLKSNGETNEKPKYPGVEKSEHQGVGGSSWNERIITWNTHKKKRSSYVVPTSSSDSRGQNSSGRGNGWA >EOY04284 pep chromosome:Theobroma_cacao_20110822:4:23168089:23170261:-1 gene:TCM_019535 transcript:EOY04284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVIIKSKAIALNMVHFETEGRKINIIINIVGIDGYCDLEVYAKGKKVVHVMKIKSMVKGKGGDDDEEREREIETLIRKNYDSDKTYRRDFQG >EOY04806 pep chromosome:Theobroma_cacao_20110822:4:26000083:26001740:1 gene:TCM_019982 transcript:EOY04806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L38e protein family MPKQIHEIKDFLLTARRKDARSVKIKKSKDVVKFKVRCSKYLYTLCVSDAEKADKLKQSLPPGLSVQDL >EOY04402 pep chromosome:Theobroma_cacao_20110822:4:24190917:24194514:1 gene:TCM_019664 transcript:EOY04402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRATVWARSSFSLNFLNCLNSGKSLLSTIVVSSLRSLNCMSSVNSLLSTIVIGLWSISCGVPIVRLVIRHDGQWVDGIYKGGESRMRGVRSDLSFVGLTKLVEDVVGRNVPAVYVSIKGRQTNVISHEEVGQHECVMPLSNENTTVEDNNVRLDGDTVTLEDNTAFDEGNEDLFTVGEDRFNDTSNDGLEQSQDDSSDDDCLYDSDIPICNNVEGKTEPVEGVDVGDVQCDDPIYNNPIAGAERFSFQTMTTEESTCAEDRLYKGRMFSLKAELKRALNMLVIKEKFVMRVKRLCKARYKVGCKDKACKFSVRATKLPDIGEYWQAQTFHKVHTCTVDGLQGQFPTTSAKMIGELMSHKLRANGAWQAKEYAERLVFDPPEESFQLLPSYFYMLEQENPSTVTVVATAEEKRFKYCFWSYGACILGFRDVMHPTVTIDAIHLKDRFKSVLFVVVCKDVNECVYPVAFGIGHVEDEDSWM >EOY02360 pep chromosome:Theobroma_cacao_20110822:4:569595:571749:1 gene:TCM_016865 transcript:EOY02360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein, putative MVSAEVARNIVGIIGNVISFLLFASPIPTFVNIYKRKAVEEFKPDPYIATAMNCMLWILYGLPLVHPDSTLVVTINSIGLAMELIYLTIFFIYAQKKGRLKVIGWLCVEIAFLGVVASCTLLLRKTHAQRSAPVGILCVIFGVLMYASPLTIMRKVIKTKSVEYMPFYLSLTNFLNGVIWLTYALIRFDLYILIGNGLGTLSGAIQLILYACYCSSTPKYEDDEVVVKPSELQLSDNGGPARARPTV >EOY04516 pep chromosome:Theobroma_cacao_20110822:4:24808797:24814886:-1 gene:TCM_019762 transcript:EOY04516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGIMLINEIIHSMRHKLHNDGGIILKLDFEKAFDCVDWGYLLCVMQSMGFRQKWCSWIYKCNSTVRVSILVNGFITSEFPMKRGFAKILGNDTSIYFLFDKWLEDTLLSSKYPRLFSFALVKDMRVLDAWINRVCSIIFKANLYSREESDYEGISNSLSSIALILNKEDGLVWNMNLKVSTDLFKVLFSNGGVFLASPYANCLLTIELDSKIALSWVKSIEQRS >EOY06472 pep chromosome:Theobroma_cacao_20110822:4:32029604:32034661:-1 gene:TCM_021181 transcript:EOY06472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MFQITTPLWRGTPYAPKRKLKLLYFSIEAYQPQTTSWVNKKPGFSSKPSLKLGLKAISFTPKNCSFPYIFHTRTHFIQTLQSCNDHVLATLTHAKILKHGLLNDTFTANHLINCYVRLQKAAQACQLFDEMPEPNVVSYTSLMSGYVNVGKPQICLWVFREMRKGTVLPNEFTFATAVNACSILADLKTGKQIHAHVQSFGFQCNLVVCSSLVDMYGKCNEVGLARRVFESMECKNVVSWTSMITACAQNGRGHEALGLFREFNWLAWEHPNQFMLASVITACANLGKLVSGKVTHGAVIRHGHDSNDVVASALVDMYAKCGCILYSDTVFRRVLNPSVIPYTSMIVAAGKHGLGKLSLELFEEMIAKRIKPNGVTFVGVLHACSHSGFVDEGLEYLNSMSRKHGIVPEAKHYICVVDMLGRTGRLDEAYRLAKSTEVNNDEGAVLWGTLLSASRLHGRVEIAAEASKRLIESNQQVALAYVTLSNVYALAGQWENAQSLRTDMKRTGVYKEPGCSWVEIKDSTYVFHAGDVAGERGSDILSLLRELEGKMKERGYIGRLTGLVFVDVEEEAKGEMVGLHSEKLALAFGLINIPKGVTIRIMKNLRMCRDCHEAFKWISEITERDIVVRDVNRFHHFDKGLCTCRDFW >EOY03530 pep chromosome:Theobroma_cacao_20110822:4:16951835:16955132:1 gene:TCM_018644 transcript:EOY03530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xenotropic and polytropic murine leukemia virus receptor ids-4, putative MKFGKEFKTHLEETLPEWRDKFLCYKPLKKLLKNLPQTPTLDPHLPHDQRPIFADTTNTAVTTVDHDHQQPPVDLQDWFVRILNEELEKFNDFYVDKEEDFVIRFQELKERIEQIKESSGKGGVITSESEFSEEMMDIRKDLVIIHGEMVLLKNYSSLNFAGLVKILKKYDKRTGGLLRLQFTQLVLRQPFFTTESLTRLVHECEANLELLFPLEAEVIESTTQGEPNSPSNNTVNHSLDASSTLGEGTLDIYRSTLAAMKAIRGLQKASSTYNPLSFSALLKNQDDDSGAVTAENSASNTSATLQKGEEADKEDAQSVQHR >EOY02844 pep chromosome:Theobroma_cacao_20110822:4:2566868:2573669:1 gene:TCM_017251 transcript:EOY02844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFVRYREVKELERAIFLDHGRMLNGRRLRVLEAKKPNSLKEDREGVLKNAGRDVGIEGNGKSFKEALLSRKNKEEQGLVLGELRSGLGRRKSLEIILAKKELEWLGRSAIRELRSAISCSLVEHSLFRERVIAQVRLVGGLFVLVPFGEKIEMEELLHRCMDLFEPWFLSISPYCVGLDKRKYRVCESEEGSMMGNPDEVDGSRLSANVIINAIKQGQEKESNDGLNQSKRPRASGSSEKWGLIGLSPYQEFRLRNRSTAIGLKVKKHGRKGNLKDHCSTWRDSESEKDLLESSRQWIS >EOY05118 pep chromosome:Theobroma_cacao_20110822:4:27273296:27273982:-1 gene:TCM_020206 transcript:EOY05118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKFSSAWKRKKSWSFSEMEMDVARQLMQLCKVRNNTGNNKGTERKNEEKEENESNRSEITSSTVTIDQYPLEEEEDEHLQPRKRRYKSIDSLYRSTKPLSIQNAKKMKV >EOY05967 pep chromosome:Theobroma_cacao_20110822:4:30455086:30459330:-1 gene:TCM_020824 transcript:EOY05967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target SNARE coiled-coil domain protein MSYRRDHRSSKSALFDGFDKLEEGGLRSSSSFSHEIKEHHNDKAVDSLQDRVAFLKRLTGDIHDEVESHNRLLDRMGNGMDATRGIMSGTMDRFKKVFEKKSNRRMCTLVGGFVVSFLIIYYLIRMLRYVRG >EOY05150 pep chromosome:Theobroma_cacao_20110822:4:27389554:27393352:1 gene:TCM_020232 transcript:EOY05150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Werner syndrome-like exonuclease, putative MECEETNGTDWDQNFTEEVIQAMDAVEATLHPSSSSSPCSLVKKCNSIGHQEKNPETRRQLPHSIVSPPPSFPFSLSRRQAYSKSRYPALRFGGQILYSRTRSEVEKAAMELLKTLKIKKKETGQVTVGFDIEWKPSFQRGILPGKAAVMQICCDTSYCYVMHIIHSGIPPSLRFLLEDSTVIKVGVAIGGDAVKVFNDYNVSVKAVEDLSYVANQKLNRDCQSWGLASLTETLVCKELPKPRTIRLGNWELYPLSKEQLQYAATDAFASWHLYQVLKILPDAVKDPLDKRSEGVPTL >EOY02646 pep chromosome:Theobroma_cacao_20110822:4:1659767:1660680:-1 gene:TCM_017063 transcript:EOY02646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding site, putative MKGEARQHGMVRTYQILPSPWNPRPETRVVQRLDSPPTAGLFSNLPSKPGNHSKFTVKCGRPTCLKCHLNPVSKSKDKTKGTQKLRTSNMVVNPRLITWRVVDRRPGLNFSGFSATGILEHLFGGNEDDEIDDHLNYDDDDDDYDDGGPYGPGGDDDDFMANFWSLHEKEDGRGVEIEEYVDDDHKIDNNYESDDDDAMSFCDVGFMLDQIEEDEGWCLLREM >EOY05965 pep chromosome:Theobroma_cacao_20110822:4:30432875:30433749:1 gene:TCM_020822 transcript:EOY05965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQWNDRPCENIQLMLVDGVWSSHQCFRTRMAMAIPLQLTHLRTVLKPSNYFIQEKPMNNDWNSSFYPIHEPAILSNEVLHCFTDFLR >EOY04213 pep chromosome:Theobroma_cacao_20110822:4:22718649:22726842:-1 gene:TCM_019473 transcript:EOY04213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MSVEASRRLSRAQLEFRVVTIRLITMSPRHERPLPTRSVRRGRGRLRQGQPDLRGEESTASPFRATLAAEPIEIPPPPTGIPAVSPEVPPVVPPVTPSVPLAHDVSISKKLKEARQLGCVSFVGELDATAAKDWINQVSETLSDMRLEDEMKLIVATRLLEKRARTWWNSVKSRSTILLTWSDFLREFDSQYYTHFHQKEKKREFLSLKQGNLTELGFLPKKKVLLTGRSVGILHHQQTCQWPVTTPRPPDRHSLPRMPIETPQGLASASASPRRSLALAESHSHAVVHMKCTQKAHLRRYPTRLYNRCF >EOY04311 pep chromosome:Theobroma_cacao_20110822:4:23729939:23730738:-1 gene:TCM_019590 transcript:EOY04311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKASLFICFLLSSALVLPFAFSARELHIVERNGLYNRFPVSPGTGKQYPRSCHREGKYYVCNVPTPPSGGTLAAPAGCRTCPTPIYVRRGSPCPCSIK >EOY04885 pep chromosome:Theobroma_cacao_20110822:4:26316963:26321257:1 gene:TCM_020044 transcript:EOY04885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crinkly4 MSTIAISYGENGPVFCGLKSDGSHLVTCYGSNSAIIYGTPSHFPFAGLSAGDGFVCGLLMDSSQPYCWGSSGYIQMGVPQPMIKGAEYLEISAGDYHLCGLRKPLTGKRRNYALVDCWGYNMTKNYVFDGQIQSISAGSEFNCGLFSQNRTVFCWGDETSSRVISLIPKEMRFQKVAAGGYHVCGILEGLNSRAFCWGRSLNLEEELSVAYSRPGNVDLPPKDPMLMVVGGRFHACGIKSYDHEVVCWGFIVKPSTPAPTGVKVYGIAAGNYFTCGVIAEKSFLPVCWGDGFPTSLPLAVSPGLCKDTPCAPGSYEVSHEDAPCKSPSFHICMPCGNGCPAEMYQKTECTLKSDRMCEYNCSSCNSVECFSNCSSSYSDAANGRKNERFWSLQLPIIVVEIAFAVLLVIIVSLTAVLYVRYRLQNCHCSSKESKSKKANGSTSYQKDNGKIRPDLDELKIRRAHMFTYEELVRATGGFKEESVVGKGSFSCVYKGVLKDGTVVAVKKAIMSSDKQKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEFMAHGSLHQHLHGKNKALKEQLDWVRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPADSSCPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQYEEGNIVEWAVPLIKSGDISAVLDPLLKRPADLEALRKIANVACKCVRMRGKERPSMDKVTTALERALAQLMGSPCSEQPILPTEVILGSNRLHKKSSQRSSNRSASETEVAEPEDQRFEFRAPSWITFPSVTSSQRRKSSVSDADVDGKNLEGRNMGNVGSVGDALRSLEEEIGPASPQESLFLQHNF >EOY04803 pep chromosome:Theobroma_cacao_20110822:4:25990032:25992956:1 gene:TCM_019979 transcript:EOY04803 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase 7 MAKLGCPISVCVFVFFHLLQLFSYGVGDVLEVPTFNINQQSLLQYLYLGDIKIDLPALYVFGDSYVDNGNNNFLPHSAVANYLPFGIDFDGKPTGRATNATLAGFQYPPPILSMSEADRKTTRTGVNYASSSSGILSENGRFLKMNVLNFFQQVDLFENATLKDLKSSFSSKESFTKYLSKSVFFIHIASNDLGLTYETATPKYSPDKYAELLVEELSKQLQRLYKLGARKFLVNNASPLGCQPLNINVKNHTTSCVEEVNERISIYNKLLSNSLTKLQSTLSGSKFVVGDLSKIFQDVYASPASYGFKDVNTSCCFDSNGTRIQACAPNAAPCEDRKGRVFFDPFHPSESMHFLWARRLLKDSSVCSPINLIQLMQA >EOY03313 pep chromosome:Theobroma_cacao_20110822:4:12149320:12175728:1 gene:TCM_018191 transcript:EOY03313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MGLREVPGRDNSIDAVGEESAASTIRAAPTAEQADSPPPPPPPTGIPTMPTEATQALAAFFTAMAGGAPTGQVPPIVPPVTPLVPPPVQDVSISKKLKEARQLGCVSFTGELDATVAKDWINQVSETFSDMRLDDDMKLMVATRLLEKRARTWWNSAKSRSTMSRPRTPIEPVTTAATSRSTFITRNTWSDFLKEFDGQYFTYFHQKEKKREVLSLKQGNLTVEEYETRFNELMLYVPDLVKSEQDQASYFEERLRNEIRERMTVTGREPHKEVGVAIRSGVESNTPEHPPSRPQTRTSIRVFAVTEDEARVRLGAVTGTMSLFDKDAYVLIDSGSDRKGKKLICKPCGVPVGIPDNECQSERRGDCHGPEGGSGS >EOY02758 pep chromosome:Theobroma_cacao_20110822:4:2143588:2144109:1 gene:TCM_017161 transcript:EOY02758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKHPKYERVFNHFDENGDGKISPAELQQCVKAIGGELSLKEAGVVVEALDSDEDGLLGLEDFVRLMEEVGEEEKLSRCMRWIGVGVSHRRA >EOY05636 pep chromosome:Theobroma_cacao_20110822:4:29244337:29245104:1 gene:TCM_020584 transcript:EOY05636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKQRKPWGFGFDGAMFIHRVGKRVLVFNTTQLLVCWDWEMPDFIMFLPHFWRIRFSFLPQLGSKKIFF >EOY03896 pep chromosome:Theobroma_cacao_20110822:4:20266524:20272385:1 gene:TCM_019114 transcript:EOY03896 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein MEKPVKSDRISNLPWDVLNSILVHLPLRDVARTSLLSRKWRYKWTSLSQFVIDDKCFPYSLPDKARWGEIRRIFRLVQSNHNGPIEKFKLAAYCYPDHSDLNEWINYLTVKGIKELILQDFSFIRRFKLPSCLFSCPRLICLELHGCILKLPPTFRGFNCLKSLQLAQVSIISETLEYLITSCPALERLTLLNIDHLAILRIRNQNLKYLKIDSEFEDIFLQSSPLLASVDIRMIPVDGRSMPWSEERDPSNLIRVLGCLYGIKKLTLSSYFIKFLANGILPVRLPRPLLNLLVLDLKEVRFNSFKDVAAFFSILRSSPNLEELIISVGHSNEVIRPVVDFLKDQCLYNFFFSQLKVVRIRGLCGTRPELEFLKLILAHSPVLEALTVVKYGSERDPQWLLLQSEKGSTCNRAILWTQGKRSLAGQCQDHVDFRETPYQLPLLLLDFCIAHHSGNQGVKKQVVWLQSYAWEKQLQNTENLQETSLDRLSYLSAEILDFILEKLPMKDAIKTSISSKEWRYNWCSVSQLTIDWDGRKGIWYLISSTINQYLLNHDGNIDKLSFRSRYIYSDRTYFYWIDVPSRKGVRELVFEMQYSLCTLTLPCSLFACQQLNHLQLFNCEIKLPEMFQGFKFLASLNLHPVRISQNDLERVILDCAALERLTLLRVILFEYLKMLLKGHS >EOY02966 pep chromosome:Theobroma_cacao_20110822:4:3251416:3257779:1 gene:TCM_017362 transcript:EOY02966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MQTNNMDKKKKEVIRLERESVIPILKPKLIMTLANLIELRSDRAEFLKFCKRVEYTIRAWYLLQFEDLMQLYSLFDPVHGAQKLQQQNLSSEEIDVLEQNFLTYLFQVMEKSNFKIATDDEIDVALSGQYLLNLPITVDESKIDQSLLKRYFSEHPQENLPDFAVKYIIFRRGIGIDRTTDYFFLEKVDMIIARLWAYLLRLTRLDKLLARRSRRQHKTEPKKDDEINPEADSEDLFVERIRLENMDLSIPNLLSKTTIQEPTFDRIIVVYRRASTESNKERGVYVKHFKNIPMADLEIVLPEKKNPGLTPMDWVKFLASAVVGLVAVFGSLEMPKADLWVIFAILSTVIGYCAKTYFTFQANMAAYQNLITQSMYDKQLDSGRGTLLHLCDDVIQQEVKEVIISFFILMEQGKATMEDLDIRCEELIKEEFGESCNFDVDDAVEKLEKLKIISRDSIGRYYCVGLKRANEIIGVTTEELVLKARQGSISSA >EOY05085 pep chromosome:Theobroma_cacao_20110822:4:27128291:27128943:1 gene:TCM_020180 transcript:EOY05085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin MAKAEVIILLSLLSVITLSFTAVNGYGTLVGGKTEINDVKTNKEVQELGRFSVEEFNRSRGGLRSNGGTELVFSQVVEAQKQVVSGIKYYLKIEAMQSGLTRTFESVVVVKPWEDSKELLNFSPSPL >EOY05400 pep chromosome:Theobroma_cacao_20110822:4:28438648:28439662:1 gene:TCM_020409 transcript:EOY05400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLSCSSCTRKHTCVTWGSPLLQLPLVVEVVVVLAVAEKVVRVVHQVALGMDQEVALVGLVVVEEVEAVEVAAVLRVVAVAVALALEVEVVVVVVVVVVVITAACLGKLGKAINQALPNSYYVIKSPCGTRVCNLAVYV >EOY06598 pep chromosome:Theobroma_cacao_20110822:4:32414319:32419787:-1 gene:TCM_021270 transcript:EOY06598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mono-/di-acylglycerol lipase MAAGTMATAAGAVVVLYYVWLRKSAAKGVGGGGEEDEDEDLSKSSRSVKRRIARRPVQAPATWLEAIATISETLRFTYSETLGKWPIGDLAFGINYLMRRQGNLQVASVYAGNNCVELKGQEIKKELNNLLRLLTLCMLFSKKPFPVFLESAGFSLEDVLLHKPKAGLLKPAFTIIRDKNSKCFLLLIRGTHSVKDTLTAATGAVVPFHHSVLHDGGISNLVLGYAHCGMVAAARWIAKLSAPCLLKALGEYPDYKVKIVGHSLGGGTAALLTYILREQKEFASSTCFTFAPAACMTWDLAESGKHFITTIINGSDLVPTFSASSVDDLRTEVTASSWLNDLRDQVEHTRVLNVVYRSATALGSRLPSIASAKARVAGAGALLRPVSSSTKVVMKGAQNVAQAVVRSRSSLSSWSCMGPRRRNVGPALSPKGDDMTEGSVISERSSEALVTEVVTIDRVQTKVECSSSGGSGRDDTDEDEPLIAVDRVIATSSVDEITGGELWYELEKELKRQESEVDLQARAEEAAVAKEITEEENVLADVSESKNTIPSSDASENLRFYPPGRVMHIVSVPSSSDAANLDDDGSNDEHVGIYETPRELYSKIRLSRTMINDHYMPMYKKMMELLISELEKDEACSYEVLQD >EOY03409 pep chromosome:Theobroma_cacao_20110822:4:15005000:15013279:1 gene:TCM_018448 transcript:EOY03409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPAKSPPPLSSFSFPFLSHHSAFSSFLLLFWLSFLLSYAQRLLFVSFSSLPLTDFSFSSSLFFLFAFQFVPSIAFNGAIKPTNSTAHATGARKAKPGYI >EOY03567 pep chromosome:Theobroma_cacao_20110822:4:17171633:17175084:1 gene:TCM_018679 transcript:EOY03567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MIFFLFKIPSLVPKKNPIPSFKFQSLFLYHSTSNEVEKFASLLENCRDIVSLRKLHACIFTLGLCQSTFLGSKLVTCYSKFGFLAESRCVFDRIINNNLSLCNAILVGYFRNGQCSEVLRLYLNLKKNKIGFDGSAITFCLKSCVDLGSFEFGKGVHVDAFKFGFSKDGFVGSSLIGLYSKFGDTGGASKVFDEIAVRDVVVYTSMITGYAQLGGQLAYEAFELARGMPKEKIDPNRVTLVSLLQAAAELEALEEGRSIHGYAIRRRIGCLDEVFETSLIDMYIKCRVPTMAACIFGSMKVRTIGSWNAMIIGYLQMGQPSEALGHFHTMVQENVFPDLISLSNGILCCADLKYLREGKSIHGHIIRIGYQLDLVATTALVDMYSKCNNLIQARKLFDVMETRDVVSYNVMMAGYLQNEFAFKAVDIFTEMVRICSKPNLGSILSILSAFSYLKNIRGGRSVHGYILRHECHMNTEVANQIIYMYARCGSIFDGRQVFDSIKYKDLISWTSMIMGYVYQGHANEAIILFRVMKRERLDHDSVTLISLLQASSQLGHLSLAKEVHCHLYRALMERETPVINSLITTYAKLGKLSMARNLFEHTTRGCATSWNTMIAAYGMHGNCIEALRLFDQMKKEMIKPDGMTFTSILTACSHSGLVEDGLQVFKCMREEYSIDPCEEHYACIVDLLCRAGRLEVAYDLVRLLPERQSATALSALLAACRMHWNTEMGEVIGNRLLDLEPDNPSVYNLVSNLYAESGKWDAAARMRNMAKKRGLKKPPGYSLIELDSEM >EOY02752 pep chromosome:Theobroma_cacao_20110822:4:2067768:2075985:-1 gene:TCM_017147 transcript:EOY02752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPFSNDMKMLKEDTMILEDDIEMLEDNTTTFNDNEACYQGEDDWFPTNEDSFDNDSDGWPDGETEHARGVDLGDVQCDNPIDNNPIVADNGDSSIRLVGYPSDWDIPPDVKQIVVLPPPWRGQAARPRRKRIPLIKEVPSKNLAPSPSRCLHDNDYRKHVPLVDKLVTHVTHV >EOY03388 pep chromosome:Theobroma_cacao_20110822:4:14285841:14289650:1 gene:TCM_018392 transcript:EOY03388 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MAAPPASPQQAATKITDLDEDSLAHCAAYLSLQDLSNLAITSKFLKKVAYSDSIWLHRFRERWPLEMLSSSSLGVRKAYLDCRTALHQLKFADPFVLDLYTEASHFDHILLDKNDIIFSQGSVIKRMKTDSFLSGGTLVRMSDHNARITCMRLFPLRETSLVRSETQREENVLVTSSYDHSIRLWWKGACQRCFRGHNGAVSTLSDKLLGDGGAKVMASGGEDGTVRLWSLSSSGKRGQQALKATLYGHQKPVTSMSVAGHRTSLLVTMSKDSKVRVWDTTTSSAIRSSCCVGMTSVAGAPVDMKCHEALLYVAAGSSVVIVDLRTLQKVHTVAIYQPRLYSFAIMPSKSLICTGGFGKAMLWDIRRGQESSKPEAVAELDGHIGSVTLLHMDPYKIVTGGLGDSFVNAWETETGTKANSLLCNHPELGSANIRCSAMAVNACSIVTASYGESQGLVRFQDFSTATRPIVEYDNDQNVSKFWGTQSYNDSDGCDE >EOY05307 pep chromosome:Theobroma_cacao_20110822:4:27990793:27992550:-1 gene:TCM_020334 transcript:EOY05307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase MMPFLHCCYCLFIALFLSFQQGSAEGRCKKLLVDQSGHGNFSTIQSAINHVPPNNRNWFCIHIKAGTYREKVKIPYDKPFIILKGAGKRSTSVIWDDHQSLLQSPTFSSLADNIVVESMSFVNSYNSPNSKNPRVPAVAAMVSGDKSFFYKCGFLSLQDTLWDDQGRHYFKRCTIEGAVDFIFGSGQSIYEDSAIHFLGGELEPGLPGFITAQGRTNPNDANGFVFKNCNVYGSGTTFLGRPWRGYSRVLFYNCKFSDIIQPGGWEAWSFTGHENQLTFAEYGNHGPGSDTSKRVSWEKKLSVEAVEQLTSMTFIDSEGWLQSQPV >EOY03047 pep chromosome:Theobroma_cacao_20110822:4:3948717:3949683:-1 gene:TCM_017468 transcript:EOY03047 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein MFYTLLIPSTPIITQPFLAVYLLYAFQLIIELRQTKAMADGLFGYPLRRLFWSPPVFREWSGSTALMDWLESPSAHIFKINVPGYNKENIKVQVEDGNVLHIKGEGVKEESHAKDTVWHGAERGTGKGEFSRDIELPENVKVEQIKAQVENGVLTIVVPKDATPKPSKVRNINITSRL >EOY06389 pep chromosome:Theobroma_cacao_20110822:4:31750508:31753695:1 gene:TCM_021123 transcript:EOY06389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein, putative isoform 2 MAAELLEIHPQELKFTFELKKQSSCLIQLTNKTDQYVAFKVKTTSPKKYCVRPNTGIVKPKSTSDFTVTMQAQRVAPPDLMCKDKFLIQSTIVPFGTAEEDITSDMFSKDSGKHIDEKKLKVFLTSPPQSPVLAPINGELKQDSGHETSSPKDRASSGVENIPPPQRVAEDVVGFETSKDAVELITTKDVLRFDTSKDDTAELRAADGVQSGPAKDVEELKPAKGMVELKSGDDFEELKSKLSFMDSKLKEVSFYFFILDISL >EOY06388 pep chromosome:Theobroma_cacao_20110822:4:31750410:31753729:1 gene:TCM_021123 transcript:EOY06388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein, putative isoform 2 MAAELLEIHPQELKFTFELKKQSSCLIQLTNKTDQYVAFKVKTTSPKKYCVRPNTGIVKPKSTSDFTVTMQAQRVAPPDLMCKDKFLIQSTIVPFGTAEEDITSDMFSKDSGKHIDEKKLKVFLTSPPQSPVLAPINGELKQDSGHETSSPKDRASSGVENIPPPQRVAEDVVGFETSKDAVELITTKDVLRFDTSKDDTAELRAADGVQSGPAKDVEELKPAKGMVELKSGDDFEELKSKLSFMDSKLKEAERSIMKLTEERSIATREKDKLKGELELLKRKSDVRTIQVGFPFLYVCMVALVSLAVGYFSHL >EOY04282 pep chromosome:Theobroma_cacao_20110822:4:23153341:23156464:-1 gene:TCM_019532 transcript:EOY04282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Matrixin family protein, putative MAVWFGLDIGHKVNRDQITSFDEWFGKVVDGCNVEPEPKKKLTHLLEKDNKVESHGHRQRNSDAWENPDQDWNKDRTKGNWRLRPFVNGIEAIMEQKFEGFKVQWISRLVQALVVQSEIQFSNSLQFLQGAQKGYKVKGLNHVKQYFKAFGYYPNHINLTDDYDDSLESALKKYQENYRLKVTGRIDPDTIKEMLIPRCGVADIFNESNSEYDKLDMVANYTFFDGMPKWSKRQLTYTFRSSAIVISVQQLRPIIARAFEKWAAVSQFTFRQAPTFTQADIVIGFHRRFHWDNYPFDGPGNVLAHAFAPQDGRFHYDADENWSTNPTTVNQIDVESVAVHEIGHLLGLGHSRDPNAIMFALYMPGTIKRNLGQDDIDGMRALYS >EOY04330 pep chromosome:Theobroma_cacao_20110822:4:23912095:23915435:-1 gene:TCM_046757 transcript:EOY04330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 19 MKLHAVELPLGRSHALPRTSKIVLVIIFGTLIFLTIIPVFYPLLGYPLYLLKNSSSSKSSSTYDISEELQASIRISEGENCDIFSGEWVRNPDAPYYTNTTCWAIHEHQNCMKYGKPDTEYMKWKWKPDGCELPVFNPAQFLEIVRGKSLAFVGDSVGRNQMQSLICLLSRVEYPIDVSYTPDEKFKRWKYTSYNFTIAYFWTPHLVKSKEREANGPTQTGLFNLYLDELDEEWTTQIEEFDYLIISGGHWFYRPSVFYENNQIVGCHFCLIENVTDLTKFYGYRKAFRTAFRAINSLENFKGITFLRTFAPSHFENGMWNQGGNCVRTKPFRSNETALDGENLELYMIQMEEFRTAEREGRKKGKRYRLMDTTLATLLRPDGHPSRYGHWPHENVTLYNDCVHWCLPGPIDTWNDFLLQMLKMEGARSHQERLHSGDRKMRFQ >EOY05391 pep chromosome:Theobroma_cacao_20110822:4:28407632:28408539:1 gene:TCM_020401 transcript:EOY05391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVWSRNVNKLFKEGMLQHLHSRDNSSLCTVSDIIQKIRTTITYRGAVFGMISFRILASSKCTIYKGDRIKPN >EOY03357 pep chromosome:Theobroma_cacao_20110822:4:13777871:13782474:1 gene:TCM_018339 transcript:EOY03357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQQKTIVVEGQSTNRPPLFDGSNYLYWSTRMSIYIRAIDYEMWDVITDGPFMPSTVNVVTNELIPKLRFEWTEAETKKVQINFKAINTLHCALTPTEFNKVSSCTIAKQVWEKLRIIHEGTSQVKESKIALLTHNYEMFKMEPSEDITSMFDREAKDLNIITLDEICGSLLTHELELKEEEEEDRREVKEKKKSIALKASILEEELEELSCDDDEELALVARKFRKLMGRRNRRLARRGFRKDQGASWKIRNKNDSNKKEEMICYECKKPGHFKSECPLLKDETPKKNKRSKKAMVAATWSESDTSSFETDDEKYEERANICLIAQEDETEACGSL >EOY04705 pep chromosome:Theobroma_cacao_20110822:4:25586279:25587583:-1 gene:TCM_019896 transcript:EOY04705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLPLQRGDVEGCLVSVEFLICWVSSADLHFVGSCSTLVVGGILRMDAFGGCLCYPMVTRFLLLFFKSCCRSLAFLDVYLELALILSKDCGVEGWLDGVAQG >EOY03968 pep chromosome:Theobroma_cacao_20110822:4:20955430:20956863:-1 gene:TCM_019201 transcript:EOY03968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEVSKTRVNNSTNPKSSNSHLEDLQSFYYVHHTNNHGSVVVNPKLTSTNYITWSRSFLLALSIRNKVSFIDETIPKPCMTDPLYSSWIRCNNLIVAWLLDSITPPIALTMFYMEMLQKFGVLLNRTLHSLMTLVCAIYNIL >EOY04661 pep chromosome:Theobroma_cacao_20110822:4:25404787:25408251:-1 gene:TCM_019861 transcript:EOY04661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant stearoyl-acyl-carrier-protein desaturase family protein MPQQKIEIFKSLEDWAEKNFLIYLKPVEKCWQPQDFLPDPTFDGFGEQVKELRERAKEIPDDYFVVLIGDMITEEALPTYQQMLNSAEETRDETGGSLTPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPGTEKNPYLGLIYISFQERATFISHGNSARLAKKHGDINLAQICGSIASDEKRHGTAYTKVVEKLFEIDPDGTLLAFADLMRKKISMPGHLMYDGRDDNLFDHFSAVAQRIGVYTAKDYADILEFLVERWKVKELTGLSADGRQAQDYVCGLPPRIRRLEERAQGRAKHAPRIPFSWIFDREV >EOY06870 pep chromosome:Theobroma_cacao_20110822:4:33198276:33201058:1 gene:TCM_021465 transcript:EOY06870 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-cysteine peroxiredoxin 1 MMSDILPNAPTYGHATLPSCSNPGSFINAPSLSYFFHTKNLKEIQEQGVIPFHRSLKMPGITIGDTVPNLEVEIKYGNSHFCVLNFTLHDYFKNSWTIIFFHPADFTPVCTTELGKMAAYLPEFEKRGVKVLGFSCDDVQSHEEWIKDPRCKVTYPIVADPNRKIIKQLNMVDPDKKDSSGNQLPSRALHIVGPDNKIKLSFLYPACTGRNINEVVRALDSLQKSSKYKVATPANWKPGDPMVICPSVTNEEAEKMFPQGFETQKLPSGKDYLRFTCVN >EOY03770 pep chromosome:Theobroma_cacao_20110822:4:19145312:19146851:1 gene:TCM_018948 transcript:EOY03770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFNVDGAARGCLRLAGIGGILRDCCGEVKIIFSKALRKTDSNLAKMMVVKEAFLIFFVSRWNKNHKLLIGSDSSNAVKWTKHPNSAPWRMRQLILLMTLWWSLDLFDFNRELENTHNGGLIHLAT >EOY06396 pep chromosome:Theobroma_cacao_20110822:4:31791219:31794031:1 gene:TCM_021130 transcript:EOY06396 gene_biotype:protein_coding transcript_biotype:protein_coding description:LTV1, putative MGKKKFIDKKKSATFQLFARDSSDPNYSDAPGSDRVFVRVDNNPVSFNTVFDEDNHGYSNTQHDDEDDSIFADAPDDNDGGGGDEYDRVLGNSYSAAANEKAALPENVRREILELGFPDDGYNYLIHMREIRNTGGGSAFYHNPKFKPDQLPRDVKAYDASRVQISKSKEDYNEKSIYSVASKTLSARMQRVVDPEVAALLDDSDLSRFGLDVEDLEEDFVVRANMAEDGEGDESDKKLDLVEESEVIHEGVKRADPDSGNQEIADGARNHLVEQKPRVRRLLDEQFDILELQEYGTDDDEHEGYIAEEEEFLADKLKNVLNENDMDDLELDDKYKAPADLLHGSERLKSKELLDSAADIMRRCSEYAEKYENESEDENVVVVEESSDESEQFDCESIISTYSNLDNHPGKIGAPEVARKKKLAETVSGALSAKTQVISLRGKEKLPVDFLPNSKKVTTEKVKSTAGSLKAEQLKRKQHGQETKEEKKERKVAVKEERREARRMKKAMKELYQSEAQQAQKVAAVAGPSSIRLM >EOY02703 pep chromosome:Theobroma_cacao_20110822:4:1855501:1859982:-1 gene:TCM_017102 transcript:EOY02703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein MELTCFLNKCYLPPLPHLSLPFCKNPNPRILSRKRNNFCLASASDTLVAGSRKELVSKKDNEFDDLKSWMHKNGLPPCGVELKERPSHDAKHRPIHYVAASDDLQAGDVAFSLPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSQDELAYLTGSPTKAEVLERAEGIKREYNELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAVQSCVVHLQKVALARRFALVPLGPPLLAYRSNCKAMLSAVDGAVELVVDRPYKAGESIVVWCGPQPNSKLLINYGFVDDDNSYDRLVVEAALNTEDPQYQEKRMVVQRNGKLSVQVFRVYAGREKEAVTDMLPYLRLGYVSDPSEMQSVLSSQGPVCPVSPCMERAVLGQLVDYFNGRLAGYPTTLHEDESLLSDPNLNPKRRVATQLVRLEKKILHACLQATIDLMDQLPDHTVSPCPAPYAPILK >EOY06164 pep chromosome:Theobroma_cacao_20110822:4:31149015:31149825:1 gene:TCM_020977 transcript:EOY06164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKDRRLWKMAYFATVWTIWKCKIEVVFEGWEGDSEKCCDSARLRAATWRNAKRPKEFSSVLNTYKQIPTSNQTKKKVKERTGVEWELPQQDQMKFNVNGTARRCLGLARIDGILRNHKGEVKVIFSKSIGSADSNLVEILVIGEAFIIFTS >EOY06929 pep chromosome:Theobroma_cacao_20110822:4:33381363:33383747:1 gene:TCM_021502 transcript:EOY06929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 1 MSCAALGLSSPSFPNGHLSSANSTSVEDLGMVKPSVALPHYKWRLLIAYDGTHYAGWQFQVSPPTVQCMVEKALVQITKLEREDLHFVGASRTDTGVHAWGQVAHFVTPFNYDSLENIHAALNGLLPSDIRVREMSAAIPEFHARFSAKRKVYHYKIYNDSIMDPFQRHYAYHSVYKLNTAAMREAAKKFIGKHDFSAFVNASRNDRVPDPVKTIFRFDVIEMGALLQLEVEGSGFLYRQVRNMVALLLQIGREVVPGDIVPKILATGDRKELAKYALSAPPHGLCLVAVKYKEEHLLLPLGCPSTSSGRHHTVRKCKLFFY >EOY06930 pep chromosome:Theobroma_cacao_20110822:4:33381714:33383562:1 gene:TCM_021502 transcript:EOY06930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 1 MPGVQVAHFVTPFNYDSLENIHAALNGLLPSDIRVREMSAAIPEFHARFSAKRKVYHYKIYNDSIMDPFQRHYAYHSVYKLNTAAMREAAKKFIGKHDFSAFVNASRNDRVPDPVKTIFRFDVIEMGALLQLEVEGSGFLYRQVRNMVALLLQIGREVVPGDIVPKILATGDRKELAKYALSAPPHGLCLVAVKYKEEHLLLPLGCPSTSSGRHHTVRKCKLFFY >EOY04160 pep chromosome:Theobroma_cacao_20110822:4:22359020:22359950:1 gene:TCM_019421 transcript:EOY04160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 6, putative MADNDVDYHAKPLKLFGFNIVENTVNDSSKSPTGSPELEADARKYECQYCCREFANSQALGGHQNAHKKERQLLKRAQLQASRSFSSPHLHNSMLSAFAPPPHLLAPAVVPAVESPQYHSSFYMSHGGGGAAAAAPLHMLHGGTYLCGPAAGFGRRVYTGEEGETMVTAMSGDVRAHAGVLPAVMRFTGEDGGPKIDKGLGLDLHLSLGPAVP >EOY02633 pep chromosome:Theobroma_cacao_20110822:4:1625440:1627434:-1 gene:TCM_017056 transcript:EOY02633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH61 isoform 2 MVSREHKRAALHEKLQLLRSITNSHALNKTSIIVDASKYIEELKQKVERLNEDIAAAQTSNHQNPLPVQVTVETLEKGFLINVLSEKSCPGLLVSILEAFEELGLNVLEARVSCTDSFRLQAVGGENEEQSETIDAQVVKQAVLQAIKNWSESTDQQE >EOY02632 pep chromosome:Theobroma_cacao_20110822:4:1625440:1627229:-1 gene:TCM_017056 transcript:EOY02632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH61 isoform 2 MVSREHKRAALHEKLQLLRSITNSHALNKTSIIVDASKYIEELKQKVERLNEDIAAAQTSNHQNPLPVVTVETLEKGFLINVLSEKSCPGLLVSILEAFEELGLNVLEARVSCTDSFRLQAVGGENEEQSETIDAQVVKQAVLQAIKNWSESTDQQE >EOY06087 pep chromosome:Theobroma_cacao_20110822:4:30887220:30889774:1 gene:TCM_020921 transcript:EOY06087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPISRLLLPKHGGPNFHARGLTQSTKWCSFSSSSSSSGSKKSSFLKGLKPAKEGTAVDKESKKMLLAKSKSIDSFLHPHARWQWKKKGPGGRGCTYIERTSWVKGILTNIKQTILGSSQFQEPILRETSDSEVDMTASEVTMTLNFLSRKMCFSCRKSQ >EOY03090 pep chromosome:Theobroma_cacao_20110822:4:4572591:4573992:-1 gene:TCM_017531 transcript:EOY03090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMAARMDDIQKVVEGRPTVQESPSSQGQADRQHHEEWYSSLCRGRPTNPELLTWSEFSTAFLDQFLSLNVRNARAREFETLVQTSSMTVLEYDIKFMQLERYAPYLVSTEDMKIQRFTGGLVELLFRAVASRDFNTYSTIVDCAQRIEMRTSESRAVRDRAKRAKTEGYQGHRDFSSGMSSSSRQDGVVKSPTLVILVGDDIVDDASVMREFVLGVVNLDTLRGIVRWHINHQILFVAPPSQLCLLLQLLPHLVGRLVDLEVEALVLFLRAGHLGLDVRVLPIGVKQGCLL >EOY02324 pep chromosome:Theobroma_cacao_20110822:4:433570:434592:-1 gene:TCM_016837 transcript:EOY02324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA transporter family protein, putative MAWECFRKDVLPFTAIVAVECTSVGLKILFKAAALRGMSYYVFITYSYAVATLILLPFPLIFRSTAALPSSKFPLISRICLLGLIGSVAHMCGYKGIEYGSPTLASAISSLTPAFTFILDIIFGFLPSSTCWFIVSMRGNYSDP >EOY03751 pep chromosome:Theobroma_cacao_20110822:4:19005925:19009563:-1 gene:TCM_018917 transcript:EOY03751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATTGFSASTPLTFTGKSYRVWVVKMRSYLKAFDLWDVVKNGEVPVQRHANPTIAQIKQYSKEVAKRFMQAPSVHHFTAAKRIWRYVKGTASYGLRFLKFESCDLQGFTDIDWAGSVDKSKSTRGYCFSFRSGVFTWSSRKQEVVAQASAEAKYIATVAAANHALWLKKVLADLNFLQEKGTLIRFNN >EOY02968 pep chromosome:Theobroma_cacao_20110822:4:3258094:3264223:1 gene:TCM_017363 transcript:EOY02968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase isoform 1 MGKKKKDIIKLERESVIPIVKQKLITTLADLIEGKSERAEFLKLCQRVEYTIRAWYHLQFDELMQLFALFEPVHGAQKLEQQNLSPEEIDDLEQNFLSYLFEMMDKSNFKITTDEEIDVALSAEYRLNLPIVVNESKLDKRLFTRYFEKNPHKDLPYFADKFIIFRRGFGIDHMTAYFFKAKVNTIIVRFWRCFTKVTGLKFLTRLFFGKKVKPYKKDSAEPTELKIEGEQNELYVERIRIEKLKFSISNFLRKITIQEPTFERIIVVYRRMPRKHETQRNIYVKHFKNIPMADLEIVLPEKKNPGLTPMDWVKFLVSAAIGLVTVISSLSMPKPDIRVIFGILSAVVGYCVKTYFSFQQNLVDYQNLITRCVYDKQLDSGRGTLLHLCDEVIQQEVKEVIVSFFVLMEQGKGVSKGDLDLLCEQLIIEKFGDDCNFDVDDAIRKLEKLGIVSQDNIGTYTCVNLRRANEIIGTTTEEVVLKAKGGGGDP >EOY02967 pep chromosome:Theobroma_cacao_20110822:4:3258026:3263810:1 gene:TCM_017363 transcript:EOY02967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase isoform 1 MGKKKKDIIKLERESVIPIVKQKLITTLADLIEGKSERAEFLKLCQRVEYTIRAWYHLQFDELMQLFALFEPVHGAQKLEQQNLSPEEIDDLEQNFLSYLFEMMDKSNFKITTDEEIDVALSAEYRLNLPIVVNESKLDKRLFTRYFEKNPHKDLPYFADKFIIFRRGFGIDHMTAYFFKAKVNTIIVRFWRCFTKVTGLKFLTRLFFGKKVKPYKKDSAEPTELKIEGEQNELYVERIRIEKLKFSISNFLRKITIQEPTFERIIVVYRRMPRKHETQRNIYVKHFKNIPMADLEIVLPEKKNPGLTPMDWVKFLVSAAIGLVTVISSLSMPKPDIRVIFGILSAVVGYCVKTYFSFQQNLVDYQNLITRCVYDKQLDSGRGTLLHLCDEVIQQEVKEVIVSFFVLMEQGKGVSKGDLDLLCEQLIIEKFGDDCNFDVDDAIRKLEKLGIVSQDNIGTYTCVNLRRANEIIGTTTEEVVLKAKGGGGDP >EOY03434 pep chromosome:Theobroma_cacao_20110822:4:15600859:15627369:1 gene:TCM_018498 transcript:EOY03434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSAKWRAIQHRHRYTYNAVVFPPSFIDSLNQSSLSASSPTFYTELQHLISLNSTYSQVNHVKKVASSFNKLLVKEGEKNEGLVSTAAAFYLEVFFLENSMPLHRTLLSVVSKTKDVFQPVIGECFRVLCNEYGRMTNKRNRFSVSRVALSVMGMPKLGFLVDVIEECAVLVCWDIVLGLKSVVLETEEWARPSPIVLEQCQEALSCLYYLFQKFPGKFKDLDTEDSNVMEMALGVLISVLKSVAFSRDCFVAAGVSFFAALQVCLSDQELGLFIIEGIFDQIVSNSGTNSEDSFSNVISKVPYKGDVCLDIRNLLVLNRLCLIRGILTAVPRMVLNTNFVVSREIFNDFESVGNIVSSLKTILYDGILPELCNYCENPTDSHFNFHALTVMQICLQQIKTSMLANLTNASEEYNPLPEDMGTRMLRIIWNNLEDPLSQTVKQVHLIFDLFLDIQSLLCGTEGSEKIKSFLRMIASDLLHLGSRCKGRYVPLALLTKRFGAKTMLDMSPDLLFEIVQAYTDDDVCCAATSFLKCFLEYLRDECWSSDGVERGYALYRGHYLPPFLHGLASGISKLRSNLNTYALPVLLEVDVDGIFPLLACISIGPSGVENERLYSELDCTNVELQVEQKVAVLVSLLKVSRSLALIEGDIDFCDDSKTSDTDDMLESKSFNLYALICIKGIKVRILVGWLVLALTHIDESLRVDAAESLFLNPKTSSLPSHLELSLMKKAVPLNMRSSSTGFQMKWSSLFRKFFSRVRTALERQVKQGSWQPRVNHENNELCLSKGTEESVVSRAQELFNFMRWLSCFLFFSCYPSAPYKRKLMAMELILIMINIWSVIPSSQESSASISPESCLYPYSVGITSPDSTFLLVGSIIDSWDRLRESSFRILLHFPTPLPGISNEGMVQKVITWAKKLVCSPRVRESDAGALTLRLIFRKYVLDLGWRVRASANVVCCHSQYTLLNGDFLQCASAHPVIEYVQSLIHWLDVAVEEGEKDLAEACKNSFVHGVLLTLRYTFEELDWNSDAVLSGTSEMRLALEKLLELVVRITSLALWVVSADAWHLPEDMDEMADGDAFLLDGPDEMDVPVPSTEQEDKSSKSIRDARPSDQIVMVGCWLAMKELSLLLGTIIRKIPLPSHSCSGSLECGHPCSDSIDASVTATGGMLDLNQLEKIGNHFMEVLLKMKHNGAIDKTRAGFTALCNRLLCSNDPMLCKLTESWMEQLMERTIAKGQTVDDLLRRSAGIPAAFTAFFLSEPEGAPKKLLPRALRWLIDVANGSLLSPSEANATSILCQISSTKSGQETDSALLPEMIATDKTSKIRDEGVVATVHTFNILRAAFNDTNLASDTSGFAAEALVVSIRSFSSPYWEVRNSACLAYTSLVRRMIGFLNVHKRESARRALTGLEFFHRYPSLHPFLSNELKVATEFFGDALSGQSESNLAKVVHPSLCPMLILLSRLKPSTIASETGDDLDPFLFMPFIRKCSTQSNLQVRVLASRALTGLVSNEKLPTVLLDISVELSHLEKQITAGSAAPISLHPANGAHHASFNLIHGLLLQLSSLLDINCRNLADFSRKDQILDDLMKVLAMRSWIASPKKCPCPILNYSFLQVLDRMLSVASSCHMSTNLFAIRNLLLELSTECLDVEASYGLPFYDPTIAELRQQAAASYFCCLFQTSDEVGEEVFQIPQRSPPDSMLLQIPEVENFGFLERLVRSLSDLSYEVRLVTLKWLLKFLKSRESGSEINYLSSSQTRIIKNWNKANLQATLMKLLEVEKNHRCTYYILKIIFTWNFLKFQELCQEKSDETLYVGALDCDSVFQLWDRLISMYKLTRHAKTRETLVCCLAICVKHFARLFSSFILTDKGQKTTKCDESDQTDRSACFCECITFFLKVIKQHSSSSEPVNMRRAATESILASGLLEQAEVIASSVFNQQVSSKNSFSYFELQNAVDKYAHQILEMWFACIKLLEDEDDGIRLRLATDIQKCLSPRSSGTKSDTCGAPTQVEKVIELSFDHLSSIFGHWIVYFDYLLRWVLDAANYVISKGDLVRRVFDKEIDNHHEEKLLISQICCSHLEKLPITKSWAGELLNKEEVMNYLLDWRMRFYHQLMSFAKDHIGKLGVDWIGGVGNHKDAFLPIYANLLGFYVLSNCIFNLEAKDGMPLLSDIVELGNAIDPFLRNPLISNLYLLIVRSHEKKFSATTDCLNTRFRDDSWYDFDPYFLLG >EOY05654 pep chromosome:Theobroma_cacao_20110822:4:29325736:29332630:1 gene:TCM_020594 transcript:EOY05654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase family protein MGRLNMYLRPIEGISIAVDLEEMKISQYTDRFVVPMPKAEGTEYRASMVTPPFGPRLNGAPASQPGKTGLKIDGNTVRWANWRFHLGFDVRAGAVIFLASIYDSEKRKYRQVMYRGFISELFIPYQDTTEEWYQLTYFDCGEFGCGLLAVSLEPLNDCPANAVFFDGYYAGQDVKPVKVEDAMCIFERHPGDITWRHTEAEIPNVEIREVRPEVSLVVRMFTTVGNYDYVFDWEFKPSGSIKLGVGLTGVLEVKAVPYTHTDQIKEQVYGTLVSDNTIGVHHDHFITLS >EOY02556 pep chromosome:Theobroma_cacao_20110822:4:1311821:1313849:-1 gene:TCM_016996 transcript:EOY02556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase, putative MEMVKASVVVLILAVLSVQIVEGKDFPPCNFRSVYNFGDSNSDTGGGSAAFYPAGPPSGETFFGRPAGRGSDGRLIIDFIAEHLGLPHLSPYLDSIGTSYRHGANFAIGGSTIRPQNESMSLNGVSPFSLNIQFIQYNQFKARTSYLYNQAKKNYYRKHLPRPQDFSQALYVIDIGQNDMAAGFRLKNDSEFHASMPDIVEQLTKAVQNLYDQGARTFWIHNTGPIGCLPVTLHYHLKPDELDKQGCLKSQNDFAIEFNRQLKVRVIKLRTELPDAALTYVDMYAAKYELIGKAKQQGFVDAAEICCGFHEDDIHVYCGNKMQLNGTEIYAGSCEDPSKYISWDGVHYTEAANHWIANHIINGSFSDPPLPITHACHKPSLE >EOY05469 pep chromosome:Theobroma_cacao_20110822:4:28658705:28661533:1 gene:TCM_020463 transcript:EOY05469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVMSDENKKYKKEVICSHCNKKGHPKEKRYKLIGFLEDFKFNKGKNAYKKSKSVNCVAIVKNKQFAQEDEIAGNNTMFHMSLIKQQVSKLMNLLNENGISFDEGKDGSTFASAFVPASNQQSKHSLVNYVFSGIIFGHVCFNIKNNLPFKYQNMTSLMIKHNSWIIDTRAIYHISYTLDNFVSTKPMINCFVELPNKVKALVSHTRNVKLTPFLTLTNVLCIPSFRFNLISISQLTNSKKNCIWFTDELCVIQDLVSWKVIRVAKVISSLYFMQINAHEQALSKHSINKIIKPVNVSSIHNFLTVAHIMKRMPSKVLHNYTPYELLFKKPPSYDHFRVFGSLCFVFTLSQHKKKFDKRASKCIFLCYPNGVKGYKVYDLLANKVFISRNVIFHEHVFPFHSFKQNSYDHTFYQTPHMHESDSKFLDSVVVLSSVHEPIYMSTPSISPSVSSNIFETSDSLNSSSNSPEQSTSSSPVGFTVDFFILFLLNLLIFLVIPCLLEEETDLGNYLNI >EOY05293 pep chromosome:Theobroma_cacao_20110822:4:27923658:27924594:-1 gene:TCM_020327 transcript:EOY05293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNPRCPVNNKLEMPTFLASTLGSIGLLRISDGPVYQLIVFACPTGTMATYVRAEVAVLNEGRVARITACLRPDREWQYWLSVKHL >EOY02929 pep chromosome:Theobroma_cacao_20110822:4:3053763:3059448:-1 gene:TCM_017331 transcript:EOY02929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRALKCEASVENALVAKTKGLRSKGESSRKQKNSKGGDDKQGKVKDKYPPCPHCKKSHHTPKCCWYKTNVKCRGCNQLGHVEKVCKVKKTEGDKKAAVAEEVVEFEEVLFMAEIEDCSAENDIWLIDSGSSNHITSNEKLFSELDKSFNAKVKIGNDMFLKILGVGTVVMKTPKGFKSISDVYFVPEA >EOY04404 pep chromosome:Theobroma_cacao_20110822:4:24212915:24218522:-1 gene:TCM_019668 transcript:EOY04404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGICDMLEFRVGDMPWREAVTCQSSKLVTRHGHGLEVFEKALCKRNDTTAPAKISAMNEEIQRKQYKDLDSLLIMPREKCAFNVAINTHYVYPHGYFYADLLHNIMIRWITESQSMDHELWFGISKSKARLSKQEFCLIIRLTFGLMPNMFRRLYEVAAEGIHDRYWNGQESVKLQALLDTFRGGNFQRPGDATKMALLLIVNNILFGQDYRRRVTPWLLSLVEDINAWNVFPWGHYIWKLTLDYLLKGFEVPDSSVTKETRLRYNIYRFARYMEDRSDWGLGARQKNRSLKEKRASGGTKRMRTVAALVDELSSPKLMDEGDDHGQGSEQSLDHASVTLEPPTDPLQTQNGNNLSLTQSRTVNDGAVTTCQLQWIMRKHEKEMLELNASIQSLSLAMQTLEDRIVGRILNGLKSQLVIVVSASTCDNICLDMHERSISQCCSEARCADNGQHHDPGVDIDDDIFGADGEHSIIDASAGGDGELDSIMAEGEHLPPVNIFVNAAAGVIVHYHESTPDAVEIRSSSPESSVVHHGASEVSDPTERARLKMANKYMASPFVDPLMTRRDVMDKIVEDYEAFKKDESARHNVGILRDQGADFFITLEDPNEKMTSEHIDACLNLLCKRMTGPKSKLYTTRACMVDMIFFTIKVVDSARTLNAKDNGVRAGQMTPLTTMMPFICHQVGYFNNIRRKRRDLTSMPLDIHLPKAKVHRYNDSVSCGMFMIGYMDHIVQ >EOY04728 pep chromosome:Theobroma_cacao_20110822:4:25703822:25704973:1 gene:TCM_019913 transcript:EOY04728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carrot EP3-3 chitinase MVFLNMTKGLLAAIVLAGILAGSVPVKAQPLADILTPAFFNGILDQADPSCEGRNFYSRDTFLKAADSFPQFGSVDGIRREVAALFAHVTHETGHFCFIEEIGGATRDYCDETVTQYPCNPDRGYYGRGPIQLSWNFNYGPAGDSIGFDGLNSPETVATDPVISFKTALWYWVNFVHPVIGQGFGATIRAINGAIECDGGNPDTVQARVNYYTQYCNQLGVDPGQNLTC >EOY03146 pep chromosome:Theobroma_cacao_20110822:4:6321909:6356953:1 gene:TCM_017700 transcript:EOY03146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, putative MSGALSRSWTKVRMPPKTRAASRRAGEQDAPIEMTDRPWASTQRGRGRRGRVTRLVGLDTPVSRQEEGQSSSEVNRHPAGGITIEDLAAGLQGVNRVVEMMATRMEDIQRVIEGRPTVQESPSSQGQADHQHHEEERGHLDISLPDFLKLKPPTFSGVRSVELVAFQLEDVAQEWYNSLCRGRPTNATPLAWSEFSAAFLDRFLPLSVRNARVREFETLVQTSSMTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVEPLFRAVASRDFTTYSATVDRAQRIEMRTSESRATRDRAKRGKTEGYQSRRDFSSGGSFSSRQGPQRDSRLPQQGSDAPGANIRVGQRTFSSRRQQDSRQSSQVIRSCDTCGIRHSGRCFLTTKTCYGCGQPGHIMKDCPMAHQSPDSARGSTQPASSAPSVAVSSGLEVSGSRGRGAGTSSQGRPSRSGHQSSIGRGQARVFALTQQEAQTSNAVVSGILSVCNMNARVLFDPGATHSFISPCFASRLGRGRVRREEQLVVSTLLKEIFMAEWEYESCVVRVKDKDTSVNLVVLDTLDFDVILGMDWLSPCHASVDCYHKLVRFDFPGEPSFSIQGDMSNAPTNLISVISARRLLRQGCIGYLAVVKDSQAKIGDVTQVSVVKEFVDVFPEELSGFPPEREIEFCIDLIPDTRPMSIPPYRMAPAELKELKDSWRICWIKLNKVTVKNKYPLPRIDDLFDQLQGAQCFSKIDLRSGYHQLRIRNEDIPKTAFRTRYGHYEFLVMSFGLTNAPAAFMDLMNRVFKPYLDKFVVVFIDDILIYSKSREEHEQHLKIVLQILREHRLYAKFSKCEFWLERVAFLGHVVSREGIQVDTKKIEAVEKWPRSTSVTEIRSFVGLAGYYRRFVKDFSKIVALLTKLTRKDTKFEWSDACENSFEKLKACLTTAPVLSLLQGTGGYTVFCDASGVGLGCVLMQHGKVIAYASRQLKRHEQNYPIHDLEMAAIVFALKIWRHYLYGETCEIYTDHKSLKYIFQQRDLNLRQHRWMELLKDYDCTILYHPGKANVVADALSRKSMGSLAHISIGRRSLVREIHSLGDIGVRLEVAETNALLAHFRVRPILMDRIKEAQSKDEFVIKALEDPQGRKGKMFTKGTDGVLRYGTRLYVPDGDGLRREILEEAHMAAYVVHPGALKMYQDLKGVYWWEGLKRDVAEFVSKCLVCQQVKAEHQKPAGLLQPLPVPRVEVGTYCYGLCNGGAQFTSRFWGKLQEALGTKFDFSTAFHPQTDGQSERTIQTLEDMLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWLEVGERKLLGPELVQDATEKIHMIRVMRFGKKGKLSPRYIGPFEILEKVGAVAYRLALPPDLSNIYPVFHVSMLRKYNPDPSHVIRYETIQLQNDLTYEEQPVAILDRQVKKLCSKDVASVKVLWRNYTSEEVTWEAEDEMRTKHPHLFDM >EOY05034 pep chromosome:Theobroma_cacao_20110822:4:26920936:26923926:1 gene:TCM_046760 transcript:EOY05034 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein MATAKVGRIKLGSQGLEVSAQGLGCMSMSAFYGPPKPESDMIALIHHAFNSGVTFLDTSDNYGPHTNEILLGKALKHDFRDRVELATKFGINYSQGARQIRGDPAYVRAACEGSLKRLGVDCVYLYYQHRIDTRVPIEVTMGELKKLVEEGKVKYIGLSEASASTIRRANAVHPITAVQLEWSLWARDVEEEIVPTCRELGIGIVAYSPLGRGFLSSGPELVETLSDDDYRKFLPRFQPENLEHNKRLYERVNEIAAKKGCTPSQLALAWVHHQGNDVCPIPGTTKMKNFNQNIGALSLKLTPEEMFELESIASADAAKGDRYGETAATYPESQTPPLSSWKPE >EOY06583 pep chromosome:Theobroma_cacao_20110822:4:32370966:32371614:1 gene:TCM_021259 transcript:EOY06583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASQREQNLKKVGLEGFGLIDECYGRTRRSNHQSQRLQSPQPQVYQSHYQYFYYQHQQSYVCQVPQVYTVTKEPAVTSYHGTTSQHQYYGGQSISERPQVVSVAKEAVVSSNEAAKFYGGTMVIDYTSRNKPLFRAYY >EOY03642 pep chromosome:Theobroma_cacao_20110822:4:17556086:17558124:-1 gene:TCM_018732 transcript:EOY03642 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MGNLHILAIPYPAQGHVIPLMELSHSLAKHGFQITFVNSDFNHQRVMDAFANKVDPEGPIRLVSIPDGMEVGENRNLLGKLTDGLSQVMPGELKELIQKIDRLEDDKITHVIADVNMGWALEVAAEMGIPGAAFWPASALVLNLLFSTKKLLDDEVIDEHGTPINKEKMIQLSPNTPAMHPKNFLWVCLGDFNTQKTIFEYAERNNKAIAKAERLICNTTYDLEPEALSLVPEILPIGPVSSTNQLGALAGSFWPEDATCLKWLDQQAPGSVIYVAFGSFTVFDPIQFQELALGLELSNRPFLWVVRPDITEGSESDDLYPEGFKERVANRGRMVGWAPQRAVLAHPSVGCFLSHCGWNSTVEGVSNGVPFLCWPYFADQFVNESYICGIWKIGVNFTRDEGETITREEIRSKVELLLGDESFRARTLKLKELVISSANEGGSSDRIFKNFIEWMKS >EOY05184 pep chromosome:Theobroma_cacao_20110822:4:27513514:27514413:-1 gene:TCM_020257 transcript:EOY05184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase, putative METISQLPHDIIANILSRLPVKCLLRSKCVCKPWRSLISDPQFAKLHLAQSQKDSNIDPHRTLLSTNPLLSIDLEAYHGTEDGSNAIILELDYPAAIKKDPEYEIDFAGSCNGLVCLVVDYKDFILWNPSTRESRPLPEPKPHLRDGSFSYGLGYDFSTDDYKLLSVARGPWSPAIVSDRTTVEVFSMKTNVWRIIPRSQN >EOY04815 pep chromosome:Theobroma_cacao_20110822:4:26040923:26041328:-1 gene:TCM_019987 transcript:EOY04815 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MYSASKMGSLVKKLGRSKPKPPYGRLAEAEDTTAVQARRGYVAMYVGEKAKRYEVPIRYLSSPAFQELLMRSQDDDLDTKIDGPITIACTSERFKQLLKVAKHH >EOY05837 pep chromosome:Theobroma_cacao_20110822:4:29960295:29964480:-1 gene:TCM_020734 transcript:EOY05837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASLSRATPRASPPLPSNNRKHALISAPKTVNQGRTSRTNLTVVRVVDGGDSYLDMWRKAVDRERKETEFQKLANNLAKIDDDSVGGNDESKEIIEKKSEEFQKILEVSKEERDRIQRMQVIDRAAAAIAAARSILKENGSSSEKEDSKNENDRTITVQEEGNQSGSIFVTRSGNSGNGTPGPDFWSWTPPQSTDEISDDMDGLQTAKQTLEYPISSNPVLEKDQSVGFLSIPFESKAYETTRNLPPFQSLIEVDKTKASEIAVEKTSVNDEHDLEVEFSAHAAEAADALHKAKELSTQGVHPDGTRWWRETGIEQRPDGVICRWTMIRGVSSDQAVEWQEKFWEASDEFDYKELGSEKSGRDALGNIWSEYWRESMLQDGGLVHLEKTADKWGKNAKGEEWQEKWWEHYDASGKSEKWAHKWCSIDPNTPLEAGHAHVWHERWGEKYDGYGGSVKYTDKWAERCEGGGWAKWGDKWDEHFDLNGHGVKQGETWWQGQHGDRWNRTWGEHHNGSGWVHKYGKSSSGEHWDTHVEQETWYERFPHFGFYHCFDNSVQLREVKKPSEMSEP >EOY03404 pep chromosome:Theobroma_cacao_20110822:4:14761082:14764212:1 gene:TCM_018424 transcript:EOY03404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSQIAEHMTWHTQNQRDDGVLRHPVDGEAWQHFNHIHELFAMEPRNVRLGFLQHLKKKVKNRALVEGSIYEAYIIEEISSFCSLYFELAVQTRLNRVPRNDDGGDVDLLGRLSIFTHPGIFDDIVKGDVVHISEDELEKVRDVRFVKWFKDYESLLDIIKLEYFDMGNKVVLFKCHWFDTEKGIKVDPLHGLVEIKYNSILATNEPFVLVAQAHQVYYRSYPSTKRDQRDWWAVFKTKARSRFHIHSGGDKENEIDFNEEVYQEAVSTSITGTPLEELGDFIVLASGDYEEVNLLITDEEDDMQRDEDEEDDMEGDENEDKDEDEDDDQIEDDDS >EOY04571 pep chromosome:Theobroma_cacao_20110822:4:25060559:25063552:-1 gene:TCM_019801 transcript:EOY04571 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyltransferase 74F2, putative MEKKAYRAHVLALPYPSQGHVNPMLQFSKRLASKGIKATFATTLFISHTMKPESLGSVEFDTISHGCDQGGFSEAESIHDYLKRLEAAGSKTLADLIIKYKNSPHPFDCVIYDSFLPWALDVAKQFGLVGAAFFTQACAVNYIYYYAHHGLLSLPVSSEPVSIPGLPLLQLRDMPSFIYVAGSYPFYFELVLSQFSNSDKADFVLVNTFYKLEHEAVESMSKVSPLLTIGPTIPSMYLDQRVETDEDYDLNLFKLDSSSSTEWLSTKPPGSVVFVSFGSMANLSVKQMEELAWGIKQSNFYFLWVVRSSEEAKIPNKFVQEMGDKGVIANWIPQMQVLANESVGCFFTHCGWNSTIEALCLGVPMVAMPQWTDQTTDAKFVEDVWKVGVRVEVDENGIVNRDEIEYCIRQVMEGETGKVMKENAKKWKQLAIEAVSEGGSSDKNINEFVSKLTRT >EOY06684 pep chromosome:Theobroma_cacao_20110822:4:32662999:32665194:1 gene:TCM_021335 transcript:EOY06684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein isoform 2 MSTNLETRSLLDDWRNFDKGCFFDLGHPLLNRIAESFVKAAGIGAIQAAARESCFIAVEGTESSNSPDITGSKNKKNRFPDLRGETNRKSLEAMVPAIPEDILLIYFFCFIQVIFNNILQVKSTGKESLQWGLAAGLYSGLTYGLKEARGAHDWKNSAMAGAITGMALALTSEEASHEQVVQCAITGAAISTAANLLTGIF >EOY06683 pep chromosome:Theobroma_cacao_20110822:4:32662999:32665152:1 gene:TCM_021335 transcript:EOY06683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein isoform 2 MSTNLETRSLLDDWRNFDKGCFFDLGHPLLNRIAESFVKAAGIGAIQAAARESCFIAVEGTESSNSPDITGSKNKKNRFPDLRGETNRKSLEAMVKSTGKESLQWGLAAGLYSGLTYGLKEARGAHDWKNSAMAGAITGMALALTSEEASHEQVVQCAITGAAISTAANLLTGIF >EOY06676 pep chromosome:Theobroma_cacao_20110822:4:32635239:32637704:-1 gene:TCM_021329 transcript:EOY06676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretion-associated RAS super family 2 MFLLDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKRELDALLSDEALANVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLTDSTVRPLEVFMCSIVRKMGYGDGFKWLSQYIK >EOY02591 pep chromosome:Theobroma_cacao_20110822:4:1401006:1410046:1 gene:TCM_017020 transcript:EOY02591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQKTSPGALQAPMNAPLNNPAAAAQALLHNPGAAHAFLNNPGAATQALLTTAGATQPLLSNPGVGQQITSHPGAIQPHISTPGTNQPFISKPSAAQALMKSPSASHALIRSDRGSMLSMSDDNVMMKQIMTTHAPDGREVDVRPLLYLVEDILNRATQHVDFLVKGTLAQIELEEKAQQANYIAMLEALTYIIDRIACELSYKAMGGSDAHATTTAIFNLLSSYAWDAKLVLSLSAFALNYGEFWLLAQIYSTNQLAKSMAILKQLPSILEHTAPLKPRFDALNNLIRTMMDVTRCVVEFKELPSMYISQEVPALATAMTHIPTAVYWTIRSMVACATQISSLTSMGHEFAISTSESWELSSLAHKLKNIYEHLKQQLSLCYKYIDERKDVETYQMLLNLFDPSVMHIDNMRILKALIYARDDKLPLLDGSTKRHVSLDVLRRKNVLLLISSLDFSSDELAILEQIYNESRVHATRLESQYEVVWIPVVDHSVVPLTGEIQTKFENLRSTMPWYSVQDPKFIEKPVIRFIKEVWHFRNKPILVVLDAQGKVVCPNAIHMMWIWGSNAFPFTSLREEALWREETWRLELLVDGIDPIILNWIKEDKYIFLFGGDDVEWVRKFATAARSVATAARIPLEMVYVGKSSKREQVRKVTAIINAEKLSYAWQDQAMVWFFWTRLESMLFSKIQLGRADDHDPMMQQIKKLLSYDREGGWAVFSRGSNTMVNGHSSTVLPALGGYDEWKVKVPEIGFESAFKEYHDRLHDVAHPCCRFEFPTTTRIPENMRCPECRRFMEKYTTFLCCHDEQGIPGSLF >EOY02292 pep chromosome:Theobroma_cacao_20110822:4:324124:325508:-1 gene:TCM_016814 transcript:EOY02292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 31, putative MAPSSPPPPPPSLLVVLLLLSSTALLCESRLSLDYYSKTCPSFSKIMQETITNKQITSPTTAAGTLRLMFHDCLPNGCDGSVLISSTAFNKAERDADINLSLPGDAFDLIVRAKTALELSCPNTVSCADILSVAARDLVTMLGGPYYDVYLGRKDSKFSQASSIEGNLPKPNMDMSQIINLFAARGFTIQEMVALSGAHTIGFSHCKEFSSNIGNDTHYNPRFAQALKQACSDYPQNPTLSVFNDIMTPNKFDNLYFQNLPKGLGILESDHGLYNDPRTKPFVELYAKDQNNFFQDFARAMQKLSVYGIKTGRKGEIRRRCDAVN >EOY06446 pep chromosome:Theobroma_cacao_20110822:4:31943255:31943665:-1 gene:TCM_021163 transcript:EOY06446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPIVTGVSLKLHWNIIHELLRQWLPLYKELDKNLPVLSHQCEARECVEPTIASCMLKSMVWLLRQSENI >EOY06567 pep chromosome:Theobroma_cacao_20110822:4:32319700:32321961:-1 gene:TCM_021245 transcript:EOY06567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MRTIRAIAGARSLYPRAVLLNTKSYFSAARSASVSIPGVNATVVKRTYFPFYFSLGSRSYTSRPGYPREGNVEMEEIEHRIVNVNGINMHVAEKGKGPVILFLHGFPELWYSWRHQITAFASLGYQAVAPDLCGYGDTDAPDAASSYTVLNVVGDLVALLDVVAPEQDKVFVVGHDWGALIAWWLCLVRPDRVKALVNMSVVFSPRNPKRKPLESLRAFYGDDYYICRFQAPGEIEAEFAELGFERVLKGFLTYRNPGPLLLPKGKYFGQPDTPISLPPNWLSEEDVKYYISQYEKKGFTGGLNYYRNIDLNWELTAPWTGSQVKVPVKFIVGDQDLTYNAPGTKDYIQKGGLKRDVPFLEQVVVMEGVAHFLHEEKPDEINKHMTSSRSSNLLTI >EOY03072 pep chromosome:Theobroma_cacao_20110822:4:4204821:4206181:1 gene:TCM_017496 transcript:EOY03072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLHIVVFGCLQLWQILECTISFKYFSFSFFHCLLFSLVQILAFFSVFLSYTCCKSCVGHNTQNHFGANPAFAS >EOY06038 pep chromosome:Theobroma_cacao_20110822:4:30728649:30731678:-1 gene:TCM_020887 transcript:EOY06038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin depolymerizing factor 6 MAFRRANASSGMGVAEHSKSTYMELQRKKVFRYVIFKIDEKKNEVVVEKTGGPAESYDDFTASMPENDCRYAVYDFDFVTSENCQKSKIFFIAWSPSVSRIRSKMLYATSKDRFRRELEGIHYEIQATDPTEMDLEVIRERAR >EOY03893 pep chromosome:Theobroma_cacao_20110822:4:20234954:20243264:1 gene:TCM_019109 transcript:EOY03893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHAFREQLDQRLPGHNEQLSPDDKDADSENPREIHATNGQQPCGRLPDEDAATPQIRDTNTAELIPSNGLLGKTKSMKETNWSSANKVILSGPGTPHRARDNRREASTDILHPECRSKPRKA >EOY06785 pep chromosome:Theobroma_cacao_20110822:4:32947492:32949147:-1 gene:TCM_021411 transcript:EOY06785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell wall / vacuolar inhibitor of fructosidase 1, putative MKNIIPLVLLQIAFSFTFLPVSALELQGGRGGANNLVETTCKKTPFYNLCLSTLQSDPRSSRADLAGLVHIGADKVKARATATLRQIIGLLRAAKDPKLQTALRDCVDLYDTIIKYDMPVTIEAVAKGNPKFGVQGATDAANEADDCERRFGNPPKSPISGSNKAVHDLSAIVASIAQLLL >EOY03366 pep chromosome:Theobroma_cacao_20110822:4:13875553:13880066:1 gene:TCM_018358 transcript:EOY03366 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP-similar protein 3 MSYGGKTMAAPAAVSGSASASTIKQVKLERESELRIEVGSETPLKLRLLNGSAEIFGSELAPEIWLTFPPRLKFAVFTWYGATIEMDGATETDYMADETPMVSYVNVHAVLEGRRNRAKTSSPNDSEASQGPRVIVVGPTDSGKSTLSRMLLSWAAKQGWKPTFVDLDIGQGSITVPGSIAATPIEMPIDPVEGIPLEMPLVYYYGHTTPSNNVDLYKALVNELAQMLETQFAHNAESRAAGMVINTMGWIEGTGYELLLHAIDIFKANVVLVLGQEKLFSMLKAVAKSKPNVDVVKLQKSGGVVSRNAKFRQKARAYRIREYFYGLANDLSPHSNIANFSDLLVYRIGGGPQAPRSALPIGADPVANPLRVTPVNIDQDLLHTVLAVSYAKEPDQILSSNVAGFVYITDIDIQRKKITYLAPSAGDLPSKYLIMGTLTWLET >EOY04037 pep chromosome:Theobroma_cacao_20110822:4:21595530:21596169:1 gene:TCM_019294 transcript:EOY04037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEATSLSSSSSSFLHSRKMLLREDKRHRGRLVFAAGRDSQQWNYSGQLVNESLTVLRKRIHDSKIIERNYEPPADWMEWEKQYYTSYNEFICKIVGLLQSHLMNTKPSLALGALALVTMSLQVSMIMVLVYLMQAANGVLSTIHFY >EOY02468 pep chromosome:Theobroma_cacao_20110822:4:949536:972275:1 gene:TCM_016934 transcript:EOY02468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase-, DNA gyrase B-, and HSP90-like ATPase family protein MGCCRCNGGLVYMEKDLQGSKELSTQPKFDPEAWIKAIGRPNNTRTHIYGFGTRVLISRLLAPSMMFESACGLDVARPPLPPTLELGGYRQLVNTISKNDVVGKDNIGVDHNESNKVDVDEDIDVVYGPQDHETMNNLDVEVDEDNNVVIRNDLKSRHTLLVDVECLSEKLNMSILNVVDVSCDNVTKETNAEGGRKGLGSVFGPPQIIDNDQVGRGRDRNSNLQSMIQEEEENRDVEACRTGNSNSSVPYQGGSTVNESCLSSSPAASCVIPICRQFWRSGNYAVGDRSKLKAAKQDGRNQFRVHPMFLHSNATSHKWVFGAIAELLDNALDEVRNGATFVHVDKISNPRDESPALLIQDDGGGMDPEAIRLCMSFGFSDKKMKHAIGQYGNGLKTGSMRVGADVIVFTRHMKMSTMTQSVGLLSYTFLRQMGYDRIVIPMVDYEYNSSTKAFGPIPEHAKEHFASNLSTLLMWSPYSTEEELLNQIAGFRGDGGCAVESLVMKVILYWHCRQRSIGSLLLPCGILIKDVVYLRLEGSQFDDVGHHGTKIVIYNLWLNNDGDMELDFDSDPKDIRIHGHPKICLGGDSTKQSFDQHVANRYHYSLRAYLSILYLRLPSRFNVILRGQFVKHHNIADDLIYREFIVYKPQTGNTEAVVVTTIGFVKEAPSVNIHGYCIYHKNRLILPFWHGRKTTTGSRGRGVVGVLEANFIEPTHNKQDFEKTSLSRKLHDRLKLMTQEYWRLHCELIGYKPGRKTKVTLSSRAYLPDFVVPKRKRSALNQTRSQQGSNMKNKELNPPETDIVHHGELQPEKRIKNEVEHSEKIILMQRNKRLRSQ >EOY03358 pep chromosome:Theobroma_cacao_20110822:4:13782499:13784802:1 gene:TCM_018340 transcript:EOY03358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTGHKMLFAQLDERKGGTVSFRDDSKGRIHGIGTVGKNFQTQISHVLLVKGLKHNLLSISQLCDKGFRVCLNSTKCEVIDMGTNKISFIGNRLKNMYVIFLEDLEVNSEVCLIANVENDSWLWYNRLHVSMNTMSKLIKKNLVIGMPELKFENDKICDACQLGKQVRTSFKSKKIVSTSRLLELLHIDLFGPISTTSLGEKSYSFVIIDDYSKYAWVYFLAYKNDALQAFLSHCKKVENEKRLAIVSIRSDHGGEFENDEFEKFCNERGWITIFLHLEYPSKMEL >EOY03526 pep chromosome:Theobroma_cacao_20110822:4:16910814:16913559:1 gene:TCM_018639 transcript:EOY03526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 106 MGRSPCCDKVGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPKSDALLSTDGQSKNAANLSHMAQWESARLEAEARLVRESKLRSNSLQHQLNPPGYAPSASASASTSASAAQLVNKTAWNSGWSKSSEGNTGVLNSTGLGVAGDLESPTSTLTFSENAPPIMSTGLGVSSMPMIEFVGTTSGSSDTGIIKEEGEQDWKGLGSSSNLPEYKEGMENSLSFTSSLHDMTISMEEGWTPESLRPNNGHVNVGNVMDEGFTNLLLNDSMDRSLSDSGKESDENSGGSGDGSDYYEDNKNYWNSILNLVNSSPSDSPMF >EOY06956 pep chromosome:Theobroma_cacao_20110822:4:33484230:33491981:-1 gene:TCM_021518 transcript:EOY06956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEQKNPEKQGQTEMNSGQSNAENVSSKQKIRQTGDNANMLQAEDRQPLSVDDFSAGKSNTRIECTQKPATVSQQLSADGGMRNVHDFENNATKESQNRVFEFDKKDKTLTARRNMHEASSSSMQELQPKQGERAASEEEKAETAAPKSALGSCTQPLQLLQGERTASGQSHSHACDDKTNEEKGHDMDQLIAGNEHLITVRQHKLQKKAKPILSKLVPSFNVDVDDGSTALLFEKTNDDDGNQLKPAKEVTNEDNSAKYLKSLPSEPGKCLLNKQPDSCPSFAEACHSSEFQIFVGHPRVHRRRKSDSSLPTSNYWNSIHPTDPLECLHLKLSLPWLLHPLSATFVYAKCTRQERLELWNCLRSLSSDMQGPWMVDGDFNTIVSCAERLNGASPHEGSMEDFAATLLDCGLIDAGFEGNSYTWTNNHMFQRLDRVVYNPEWVHFFSSTRVQHLNRDGSDHCPLLISCATASQKGPSTFRFLHAWTKHHDFLPFVERSWQVPLNSSGLTAFWTKQQRLKRDLKWWNKQIFGDIFEKLKLAEIEAEKREMDFQQDLSLIIRNLMHKAYAKLNRQLSIEELYWQQKSGVKWLVEGERNTKFFHLRMRKKRVRNNIFRIQDSKGNVYEDPLYIQNSAVEFFQKLLRAEQCDISRFDFSLIPRTISITDNDFLYAAPSLKEIKEVVFNNDKDSVASPDGFSSLFYQHCWDIIKQDLLEAVLDFFKGTPMPQVTKLLANRLSKILPSIISENQSGFINGRLISDNILLAQELVGKLDTKARGGNVALKLDMAKAYDRLNWDFLYLMLKQFGFNDRWISMIKACISNCWFSLLINGSLVGYFKSERGLRQGDSISPLLFILAADYLSRGINQLFSHHKSLHYLSGCFMPISRLAFADDIVIFTNGCRPALQKILVFLQEYEKMFGQQVNHQKSCFITANGCSMTRRQIIAHTTGFQHKILPIIYLGAPLHKVPKKVALFDSLITKIRDRISGWENKTLSPGGRITLLRSVLSSLPMYLLQVLKPPMVVIEKIERLFNSFLWGDSTNGKRIHWVAWHKLTFPCSEGGLDIRRLIDMFDAFSMKLWWRFQTCDGLWTNFLRTKYCMGQIPHYVQPKLHDSQVWKRMVKSREVAIQNTRWRIGKGNLFFWYDCWMGDQPLIPFDRSQDDIAYWALTSNGEFSTWSAWEALRLRQSPNVLCSLFWHKSIPLSISFFLWRVFHNWIPVDLRLKDKGFHLASKCACCNSEETLIHVLWDNPVAKQVWNFFANFFQIYVSNPQNVSQILWAWYFSGDYVRKGHIRTLIPLFICWFLWLERNDAKQRHLGMYSDRVVWKIMKLLRQLQDGYVLKNWQWKGDMDIAAMWGFNFSPKIQATPQIFHWVKLVSGEHKLNVDGSSRQNQSAAIGGLLRDHTGTLVFGFSENIGPSNSLQAELRALLRGLLLCKERNIEKLWIEMDALVAIQMIQQSQKGSHDIQYLLASIRKCLSFFSFRISHIFREGNQVADFLSNKGHTQQNLLVFSEAEGELHAHWGLRYEQDSHGHPKIIYWSRPLMGEFKLNVDGCSKEAFQNAASGGVPRDHTSTMIFGFSENFGPYNSTQAELMALHRGLLLCNEYNISRVWIEIDAKAIVQMLHEGHKGYSRTQYLLSFICQCLSGISYRISHIHRESNQAADYLSNQGHTHQSLQVFSKAEGELRGMIRLDKSNLPYVRFK >EOY03571 pep chromosome:Theobroma_cacao_20110822:4:17195900:17201909:1 gene:TCM_018682 transcript:EOY03571 gene_biotype:protein_coding transcript_biotype:protein_coding description:S locus F-box protein with the low allelic sequence polymorphism 1-S2 MCTCRVLASLVIDYREGEKQKEPRMEGFGDLPRDLFLEILLRLPVESLMRCKCVCKCWYTLIRNAKFIELHLKYNCNNNVCVLLKRCLLTCLGKKENMLSLVCSNGFSFVNLDVDLSLYKKEPCLQLLGHCDGVICLSNYRDDIVLCNPATRESMVLPQSCLPCSPSIPNLIPQTSALGFGYDAKSHHCKVVRIVSYWEEINENGLPHLSRVEVYSLGTGSWKEINVKVPAHVWYSPCFETYFNGSFHWHAMDDNRNEVILSFHMGNEEFQVIPMPSFLSMYDYSMCRSLLIWNGCISLIIYPGRGIEKSFEICVMKEYGVRKSWTKVLTIGPLTRVEKPLVFWKNDEILMEGTNGQVVSYNLRTQEVKDLPIYGVPKSFATLVYVNSLVSVKGGYQMLAEGDNTGEKILVGEGFSTLDNLPKCFLQYEAKVESPVPS >EOY05224 pep chromosome:Theobroma_cacao_20110822:4:27643657:27646804:-1 gene:TCM_020279 transcript:EOY05224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine-modulated factor 1-binding protein 1, putative MILKPTKARDFSCMFRGSIEIGWSEPVSKSDPLSETVQVSSGQWPSLLIQPKQNQLPPFLLQQSGPQREAMATTGSSSFKTLSKTLLRSFSSKSSHHNNHQQSHKFLEPSSFLGSWKSPSDPKDAEKKLAQLRRDYAKQVKDVRKEYIHEMELSRLEKLRKEEVRKEAIRVANEERKRLKAEAAKVRAQERMIAEEEFRQTLLKERAEKLEYWRTTQKKHEGKKKEMRELLHRQSSMWIDEHDLERTMLAGIVEITPL >EOY03427 pep chromosome:Theobroma_cacao_20110822:4:15452460:15454777:1 gene:TCM_018485 transcript:EOY03427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha-2 chain MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGADAFNTFFSETGAGKHVPRAVFLDLEPTVIDEVRTGTYRQLFHPEHLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFHAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLEIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGDEDEGDEY >EOY06569 pep chromosome:Theobroma_cacao_20110822:4:32326917:32328008:-1 gene:TCM_021248 transcript:EOY06569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNKSPIFPMPEPQHFSDYGFDPQMDYFQVLEEARKHKRETSRSIDSLHFKLQKPISKDEHSKKTHKAKKKKRWWKNALLFFKWKKWTPHGNNHRDNLDLDLDLEPDVHRARARAFRASISGPVYITESRSGSSTPYRTTSRPSSGPLAGTLTPTRKGDVAIPYLSLRELNMEQHHRVSTSAMPIYLVT >EOY04252 pep chromosome:Theobroma_cacao_20110822:4:23011839:23013198:-1 gene:TCM_019508 transcript:EOY04252 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 domain class transcription factor, putative MATYSSFPARKFPENSCQSSERSIEAAGPQKQPSSKFKGVVSQPNGRWGAQIYEKHQRVWLGTFNEEEEAAKAYDIAALRFRGQNAFTNFKPSIHTTDEDDFEQFFLVSHSKEEIVDMIRKHTYTNELEHSKYNYACHDTRKGIKGNGFSSLPGFDPFEGAREYLFEKVATPSDVGKLNRIVIPKQHADKYFPLQSGIVSKGVLLNFEDNMGQVWRFRYCYWKSSQSYVLTKDWTRFVKEKKLKAGDAVSFWRSVGPNTKLFIGHKAGNVSNLEELPNRVVPRENSGVIRLFGVNILETNMAVVSRKSKTKEKSLE >EOY04007 pep chromosome:Theobroma_cacao_20110822:4:21431569:21434240:1 gene:TCM_019267 transcript:EOY04007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSSLIIRYGMKMKFYFSFVHVPCFGFVLVKVLMPLMTWAGGKSQANQTLQDVEELLIDCDGFMVGVFFSSLGVQDSNYAEFMAILYALRLFSASSYVGSPLSIESNSKIAVTWVEKVDQRLWNKWHIFNEIDLLHLSLISPLSRLPFNMF >EOY05985 pep chromosome:Theobroma_cacao_20110822:4:30529430:30531792:1 gene:TCM_046776 transcript:EOY05985 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative MVIVKSSNPDQFDRASELKAFDDTKAGVKGLVDTGIMKVPRIFHQPPDKIQKTSVSGDTQFSIPVIDLEGVKKDPITRKEIVEKVGNGPRKWGFFQVVNHGIPESVLEEMMDVNWRDTFFSLMAPNPPKMEDLPAVCRGLAMLCHYYPACPQSELALGTSKHADNDFVTVLLQDHIGGLQVLHEDKWIDVPPTPGALLINIRDLLQLISNDAYKSVEHRVLANSVGPRVSVACFFSTSVMSDSRLYGPIKELLSEGNPPKYRETTVRDYVIYSNGRGLDVDGTSPLLHFRL >EOY05734 pep chromosome:Theobroma_cacao_20110822:4:29617998:29619487:1 gene:TCM_020660 transcript:EOY05734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative MATTIFIAILHLTLLAVAAQPLPPPPEQPGCVAELVAFSPCLPYVSAPPNNATDIVAPQCCNAWSSAFESGDSYCFCYILRQPLIFGFPLNQSRVASLSSFCKAKNRTSLYSLCSSGVPALPPLPSTIDSGRLKPSSSGSDNDSSALIYSPPESAGRSPPPPSSSAEPAIVSSATTQIDEQSSWFLLGMMIFLLN >EOY05316 pep chromosome:Theobroma_cacao_20110822:4:28062193:28067409:-1 gene:TCM_020344 transcript:EOY05316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteolysis 1, putative MEKEENDVNMDKTLMETDKEKEGEGIDNLPSEEFPDEFQCCICLELLYKPVVLACGHLSCFWCVYSAMNHFLESHCPVCRCPFNHFPSICQLLHFLLLKLYPMAYKKRETQVQEEEKRAGHFSPQFDQNLFAPQFTENSDILGNNYTLAHLQTDFYSESCSKNGESSSFRDSPKSTVQDENGMPIKLATPLKNPEDAANAPNQGSSCIRNYFEHRDQKKVSVADLLCPACKRLLFRPVVLNCGHVFCESCFVIPKDEIPRCQVCQSLHPNGFASVCLILHHFLEEQFPEKYSERQGPLLKINNCSIQTEQHANRTTSISTAIYSSWFLGNGPKVHVGVGCDYCGMSPIIGERYKCKDCVEEIGFDLCESCYKSPVKVLGRFNQQHKPEHEFEILEPLSPRDFIFRMNSEQSSEEGPDAPEHMDDASLIPSLLAGVQPDQGDSSQEPEEISPTLILSVDVSLDQEDDSDDPFDNNSSKMT >EOY06365 pep chromosome:Theobroma_cacao_20110822:4:31685064:31690033:1 gene:TCM_021113 transcript:EOY06365 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MQATEAEALSNGVSKGSSQAKSPILEPSDSEVSKPGTSGRRLDLSLQIPPRPLGFGSRSGKGLLQSLGSGKGTSSSGGFLRGLSFKKKGVVADGEGSSLLTPDSKTGPESSNMASFPSPFNWKRCTSLPVTPASNLSPSVPMPASARMAGEQNKSNKGAKHAVVSRSLSVPGRNVVIVRSASFDTCKEHVSADNSDDQINPVPGETNDEEIPEEEAVCRICLDECEEGNTLKMECSCKGALQLVHEACAVKWFSTKGNKNCDVCGQEVQNLPVTLLRVPTGRRGNRQSINSQSLPSESVSAWQDFVVLVLISTICYFFFLEQLLIQQMKTQALMIAAPFALTLGLLASIFAVLLAIKEYIWTFAALEFALVALNAHIFYSVLHLKAVFSILLSGVLSLGIAVTLNSLYIYCFGWRVQVAQNSNPV >EOY06220 pep chromosome:Theobroma_cacao_20110822:4:31322225:31324192:1 gene:TCM_021021 transcript:EOY06220 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein with a domain of Uncharacterized protein function, putative MASNVDCSSTKKRRKNPDDISVFDLVPDEILRSIFERLDLINIIRAKAVCSSWNLLGEEFVSKTPWLMLPSREEVERGDGTEANLRAYGGFLKLGESEVCSMKKIPKELRESCCIGSSNGWLIFFEEKAVPFLFHPFRQVKIALPSLYGLLGLRRMERTAEGDFEVERFNYSKVRYGKQELRYYFIRKAILAGEPDCNNKKYSVILLFKDGKIAYHKSGGSCWTEVLDTRHVPYQDIICHQNHLFALSGGNNIEVWNCEGDFMSKRLHIVPTFPEKSLSKINSFGDLCAISLYLVESCGDILLVVRFIGEFVDSDGKLVHEGDVLDWVCSYRTCLFHVYKLDFNELKWVEMATLGDRVLFLGGNQSVSVSTRSFPDCEGNLIYYTDDYFQRMEEDYSYGGHDMGVYNLKDGTVKPIYEYSSEQILPPPCWIIPNPKPC >EOY05077 pep chromosome:Theobroma_cacao_20110822:4:27089745:27099806:-1 gene:TCM_020175 transcript:EOY05077 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase, type IA, core MSPPPKVLMVAEKPSIALSIASVLSHGKMSTRRGSTEVHEFNGTFLGFNAHYKVTSVIGHVFSVDFPASYQDWNVTNPLDLFQASVIKTESNPKAHISRHLSQEARGCGYLVLWLDCDREGENICFEVIECTGFHMNEAKKRVYRARFSSVTEKDISKAMDNLVEPNRHEALAVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYLQINTFKPEKFWALRPYIIQNGYDLQLEWKRQKLFDIDVAIMFQKLVTEDGILEVIDVSEKQESKGRPSGLNTVNLLKVASSALGFGPQTAMQLAERLYTQGFISYPRTESTAYPASFDFKGTLNVIANNSLWGDYVQRLLAEGYHKPRSGTDAGDHPPITPMHSATEDILGKDAWSLYQYVCQHFIGTVSPDCKYVRRKVEFLVGGEYFHCIGQHVTAQGFTYIMPWLAINEKNLPQFTKGEKIEVSKVELYEGETSPPDYLSESELISLMERNRIGTDASIPVHINNICERNYVQVQGGRKLVPTALGITLIRGYQCIDPDLCLPDIRSFIEHQITLVAKGQANHSHVVQHVLQQFKQKFSYFVQQIENMDALFEAQFSPLADSGRALSKCGKCLRYMKYISTQPPRLFCGTCEEVYHLPQKGTIKLYKELTCPLDNFELLIFSMPGPEGKSFPLCPYCYNSPPFEGMDTLFGATRIGSSGKLGKGAGMPCFLCPHPTCRHSVVAQGVCACPECSGTLVLDPVSAPKWRLYCNKCNCLVLLPEGAHRIATTRERCAECDSTIIEVDFNKKTTPLEDGATLHTGCILCDELLHSFVEVKHGKSFSRGLGGRGRGRGTRRGGYRGRGRAAGKQVDPKMSFRDF >EOY04497 pep chromosome:Theobroma_cacao_20110822:4:24669950:24672987:-1 gene:TCM_019737 transcript:EOY04497 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS3-interacting protein 1 isoform 1 MERKGSVLMQRYELGRLLGQGTFAKVHYARNLKTGMSVAIKIIDKEKVLKVGMIDQIKREISVMKLVRHPNVVELYEVMASKTKIYFVMEYAKGGELFNKVVKGKLKEDAARRYFQQLISAVDFCHSRGVCHRDLKPENLLLDEHGNLKVTDFGLSAFAESKRQDGLLHTTCGTPAYVAPEVINRRGYDGCKADIWSCGVILYVLLAGYPPFHDSNLMEMYRKIGKGEFKYPKWFSPEVCKFLSKILDPNPSTRISMAKIMDNPWFRKGLGPKPELLDAEVKEPAPLNVDTVFNLNESNATVVGEKQELRKPCNLNAFDIISFSAGFDLSSLFEEKETMKEVRFTSNKAASTIITKLEDIAKRLKLKIKKKDGGLLKIEGFREGRKGVLGIDAEIFEITPFFHLVEVKKSSGDTLEYQNVIKQDIRPALSDIVWTWQGEQQLQQPPPEHQELQDPPVLQQLHAVSTQSS >EOY04496 pep chromosome:Theobroma_cacao_20110822:4:24670486:24673416:-1 gene:TCM_019737 transcript:EOY04496 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS3-interacting protein 1 isoform 1 MERKGSVLMQRYELGRLLGQGTFAKVHYARNLKTGMSVAIKIIDKEKVLKVGMIDQIKREISVMKLVRHPNVVELYEVMASKTKIYFVMEYAKGGELFNKVVKGKLKEDAARRYFQQLISAVDFCHSRGVCHRDLKPENLLLDEHGNLKVTDFGLSAFAESKRQDGLLHTTCGTPAYVAPEVINRRGYDGCKADIWSCGVILYVLLAGYPPFHDSNLMEMYRKIGKGEFKYPKWFSPEVCKFLSKILDPNPSTRISMAKIMDNPWFRKGLGPKPELLDAEVKEPAPLNVDTVFNLNESNATVVGEKQELRKPCNLNAFDIISFSAGFDLSSLFEEKETMKEVRFTSNKAASTIITKLEDIAKRLKLKIKKKDGGLLKIEGFREGRKGVLGIDAEIFEITPFFHLVEVKKSSGDTLEYQNVIKQDIRPALSDIVWTWQGEQQLQQPPPEHQELQDPPVLQQLHAVSTQSS >EOY04422 pep chromosome:Theobroma_cacao_20110822:4:24275590:24276157:1 gene:TCM_019681 transcript:EOY04422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 LHRNPKIVNYIDIQVIFSNWCKEQARTKRILTIIDIRRQRFTHP >EOY05272 pep chromosome:Theobroma_cacao_20110822:4:27815922:27818348:-1 gene:TCM_020309 transcript:EOY05272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRFPVCFFHSFARSVICRQFPLDGNEVHVKIRRGTKIKKLLTAYCRRYFLTYNTVQFLIDGCPFADDKTPEIFDNWPSFWPRDSAFPIANLGLEVGGEMMPWCMWMEVVMRLSDTKTSNAKVSNNKCCTCMVVEC >EOY06890 pep chromosome:Theobroma_cacao_20110822:4:33256464:33260541:-1 gene:TCM_021476 transcript:EOY06890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein MAKKMLFMVLLSHIILCFSVVSSLNLNASLVDDKGIPRFIYVCDPERFNILGLDMAQFAYCDKSLPYNVRAKDLVDRLTLVEKAQQMTDNSSVDIPRIGLPHYKWWSEALHGVAETGDGTHFDSLVPSATVFPNVILTTASFNKTLWKTIGQAVSTEARAMHNLGRAGLTFWSPTINVVRDPRWGRTLETPGEDPYVVGVYAVNYVRGLQDIEGQENTSDPNSRPLKVSACCKHFAAYDLEEFQGVRRLEFDAKVVTEQDMVETFNRPFEMCVKDGDVSSVMCSFNRVNGIPTCADAYLLKKLVREDWNLHGYVVADCDSINEIVKNHKWLNDTVEEASAQVLKAGMDLDCGKSYLKLVDAVKQGLVKEADMDKSLNYLYVVLMRLGWFDGIPSLASLGKKDMCTEENVELAAEAAREGIVLLQNDNETLPLDPAKFKSFALIGPHANATDVMKGNYAGFPCKFITPVEGFSAFGQVTYELGCLDAKCPNDTTIQSAVDIAKNADATFLFVGLSTAIEAEWRDRKDLLLPANQTLLVNKAAEASKGPVILVIMAATGIDISFAKTNPKIKSILWVGYPGEQGGRAIAEVVFGMHNPGGRLPITWYENNYVDKLPMTSMALRPVGDYPGRTYKFFNGSTVYPFGYGLSYTSFKYEYNSADMSLDIKLNRLQHCQGLPYNDTNYKQNCSSVSIDDLTCNDEITFEITVQNVGSRDGSDAVLVYSVPPEGIVGTPFKQVVGFERVYLQANESVNVKFVLNVCQSLNIVDVSGYRLLPSGLHKIVVGDNAISIPVKISYSR >EOY02811 pep chromosome:Theobroma_cacao_20110822:4:2338570:2341857:1 gene:TCM_017204 transcript:EOY02811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MANAQLQKYGMLSREQLVYLFDRFSVLVSQRDVKKRIVEAVDDKQQEPVAVTTAIQEEIFLEMGVDPGFGLACLGKVNMTYENDQDLMIRYYRFVAKEELACDEAELGPEEFAEKLDIQQKLQEQQLEMLKYMRKFHLDDQSAILEKLHNQMEDANFDSEASILSSEKIQEIVRRRVSPLFRPRLMN >EOY02810 pep chromosome:Theobroma_cacao_20110822:4:2338556:2341740:1 gene:TCM_017204 transcript:EOY02810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MANAQLQKYGMLSREQLVYLFDRFSVLVSQRDVKKRIVEAVDDKQEPVAVTTAIQEEIFLEMGVDPGFGLACLGKVNMTYENDQDLMIRYYRFVAKEELACDEAELGPEEFAEKLDIQQKLQEQQLEMLKYMRKFHLDDQSAILEKLHNQMEDANFDSEASILSSEKIQEIVRRRVSPLFRPRLMN >EOY06486 pep chromosome:Theobroma_cacao_20110822:4:32081320:32082870:1 gene:TCM_021191 transcript:EOY06486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soybean gene regulated by cold-2, putative MAMAHRTFEINVISAKGLKNVNLIDKMDVYAIVSLKGDSSKDKQKTKTPVDKDCGKDPTWNFPVKFTIDESLAQKNNLNLKFKIKCERILGDKELGQVNVPVKELLDSTSEGGSMKFVSYQVRKPSGKPEGTLNFSYKFGDKVSVPAKSEKTKGDQPVTAYPAHMAAGSSSAAPYGAPGPYPPPQPPGYGYPPQPVPAAYAGYPPHAPPPPGYGYPPPPPGYGYPPPGGYGYPPVQQQPPKKNSKFGMGLGAGLLGGAIGGLLIGDMVSDAGAYDAGYDAGFDDGGGFGF >EOY06108 pep chromosome:Theobroma_cacao_20110822:4:30927849:30934110:-1 gene:TCM_020934 transcript:EOY06108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKIIKMNLSNEFSGEVELASTRRMLTGQTVEEAELAALVWSLSCCQRENIMIKESEMDCKVVVDWIKRRHLSGVLGHIIEDCLNLMVSIDCDAILHCPREGNEVAHLLAKRAKDMSEEAVAWFDLSHMPDDIQLVIIRKTKSSFEGG >EOY03834 pep chromosome:Theobroma_cacao_20110822:4:19691004:19699451:1 gene:TCM_019024 transcript:EOY03834 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase 7, putative MRRSSGSHVFLLIFFRFLPLMHGVPLAPALYVFGDSLLDSGNNNLLPTLAKADFPPYGRDFAKGSTGRFTNGRTVADFIAEFLGLPYSPPFLSIRNSALTGLNYASGSCGILPETGSSLGKCLNLGEQISLFQLTIASDLKKHFDSSSKLSEYLSKSIFLFSVGSNDYLNIYSGNSIFNSSKRNTPQQIATLLWDKLSAHFETLYNLGARKIVMFEIGPIGCTPAVAKTHQKTGPCLDEANQLVSYFNNMLPAMLGNLTFTLRGSTFVLARCNWLGNDAVMNPSKYGLEDTSNACCVTWQNGTSACIPWVAPCLNSNKNYFWDGYHPTEVVYSDIASRCIKDNTVCVPLNLQQLVQM >EOY03078 pep chromosome:Theobroma_cacao_20110822:4:4378462:4387276:-1 gene:TCM_017511 transcript:EOY03078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, putative MSWPRAVASRDFTTYSAAVDHAQCIEMRTSESRAARDRAKRGKTEGSDAPGANIRVGQRTFSSKRQQDSRQSSKVTRSCDTCGRRHSGWCFLTTRTCYGCGQLGHIRRDCLMAHQSPDSACGSTQPASSTPSVAVSSGREVSGSRGRGAGTSSQDRPSRSRHQSSVGRGQVRVFTLTQQEAQTSNAVVSGILSVCNMNARVLFDPGATHSFISPCFASRLGRDRVRKEEQLVVSTPLKEIFVVEWEYESCVVRVKDKDTSVNLVVLDTLDFDVILGMDWLSPCHASVDCYHKLVRFDFPGEPSFSIQGDRSNAPTNLISVISARRLLRQDCVGYLAVVKDTQAKIGDVTQVSVVKEFVDVFPEELPELKELKDQLEDLLDKGFIRPSVSPWGAPVLFVKKKDGSLRLCIDYRQLNKVTVKNKYPLPRIDDLFDQLQRAQCFSKIDLRSGYHQLRIRNEDIPKTAFRTRYGHYEFLVMSFGLTNAPAAFMDLMNRVFKPYLDKFMVVFIDDILIYSKSRKEHEQHLKIVLQILKEHQLYAKFSKCEFWLESVAFLGHVVSKDGIQVDSKKIEAVEKWPRPTSVTEIRSFVGLAGYYRRFVKDFSKIVAPLTKLTCKDAKFEWSDAYENSFEKLKACLTIAPVLSLPQGTRGYMVFCDASRVGLGCVLMQHGKVIAYASSQLKRHEQNYPIHNLEIAAIVFALKIWRHYLYGETCEIYTDHKSLKYIFQQRDLNLRQRRWMELLKDYDCTILYHPGKANVVADAFSRKSMGSLAHISTGRRSLVKEIHSLGDIGVHLEVAETNALLAHFRVRPILMDKIKEAQSKDEFVTKAIEDPQGRKGKMFTKGTDGVLRYGTRLYVPDGDGLRREILEEAHMAAYVVHPGATKMYQDLKEVYWWEGLKRDVAEFVSKCLVCQQVKAEHQKLTRLLQPLPVPKWKWEHIAMDFVTGLPRTNGGYDSIWIVVDRLTKSAHFLPVKITYGAAQYARVYVDEILQEPLGTKLDFSTTFHPQTDGQSEQTIQTLEDMLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWLEVGERKLLGPKLVQDATEKIHMIRQRMLTAQSRQKSYVDNRRRDLEFQVGDHVFLKVSPTKGVMRFGKKGKLSPRYIGPFEILERVGEVAYRLALPPDLSNIHPVFQVSMLRKYNPDPSHVIWYETIQLQDDLTYEEQPVAILDRQVKKLRSKDVASVKVLWRNHTSEEVTWEAEDEMRTKHPHQFNM >EOY06469 pep chromosome:Theobroma_cacao_20110822:4:32008852:32010478:-1 gene:TCM_046784 transcript:EOY06469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRREMEELSDEERRALRGSKFAPLPSLPPPSRSQPRLAHPGGPLTTNKAAALAKFLERKLQDPNGLSSINPDLLELAVNNAKATVFQSEASNSGRTIRHVDSFGDSEDSLEEGKLENLEPKKGKKRKSKDKKDKKKKKKKKKKKKKKMVVEDHVCTLKRPQKKVKL >EOY05794 pep chromosome:Theobroma_cacao_20110822:4:29817329:29818267:-1 gene:TCM_020704 transcript:EOY05794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early light-induced protein, putative MAASSAMQSLLLAGPVTGLANRGRVIQFFPVKSVPRFQRNANLHVRCLAEEEPTEQPTKPVTSAPPQVPKPNPSPAAPKVSTKFSDVLAFSGPAPERINGRLAMVGFVAALAMELSKGQDVFTQISDGGIPLFLGTSILVSLASLIPLFRGVTVESKSGGFMTSDAELWNGRFAMLGLVALAFTEYVKGGTLV >EOY06756 pep chromosome:Theobroma_cacao_20110822:4:32846415:32849324:-1 gene:TCM_021388 transcript:EOY06756 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5, putative MASGAGEWSTAQMDDYETLISTTDVELLKRAWRNEKAAPEILPFEEALVKRAKEQIQLMEETVDEFAESGHDPLIASLYQMDLDRAQFLLRSYLRVRLQKIEKLMFYIWKSDTYHHRLSTEEEKFAERCIRDIGKHLEETVLSKLPDNYQSLLKQSIISEEDDMVPEPQLDAFVVAKCQRATRPLFLDGSRQSAGFDNRDDHFQMVPGDLCILRYRPFQEELMSGNISLV >EOY06201 pep chromosome:Theobroma_cacao_20110822:4:31282011:31282705:1 gene:TCM_021009 transcript:EOY06201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLTARIQQSEGRLKSSPWLPTLALPATARSQLSTRRLTQICPFSKKGRASGSSNTGKNRKRIGLGWGSQKLPRIDL >EOY05343 pep chromosome:Theobroma_cacao_20110822:4:28168172:28168786:-1 gene:TCM_020362 transcript:EOY05343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHKISIKEEEVKQLPKLYGTGKETASTFHRSKQYNGSNRKSTGWDRSKRNSSSSATCKNQNCHPVMTDSTKD >EOY02328 pep chromosome:Theobroma_cacao_20110822:4:443603:446117:-1 gene:TCM_016840 transcript:EOY02328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein, putative isoform 2 MARWSCYNDVLPFTAMVALESANVGLNILFKAATLKGMSYYIFIAYSYAVGTILFLPLSFIFPSRAVLPRLKFHVVSRIFLLGLIGFSAQICAYKGIEYSSPTMASAVSNLTPAITFILAVLSRLERVALRSSSSQAKVLGTIASISGALVVVLYKGPKVFSSPRGTSSSVLLEWPLESPESNWVIGGILLAVAYLLFSFWYIIQAQVMVIYPAEIIVAFLYNLCGTIVSAPVCLIAEPNLSSWRLRPSVAVIAVLYSGIFQTVSSLVVIWGLHLKGPVYIVIFKPLSIAIAAFMSAVFLGDSLHVGSIVGAVIISMGFYAVIWGKAKEEQRIHDDSGINSLEPSSSGKVPLLQSHKLEDT >EOY02329 pep chromosome:Theobroma_cacao_20110822:4:443604:446152:-1 gene:TCM_016840 transcript:EOY02329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein, putative isoform 2 MARWSCYNDVLPFTAMVALESANVGLNILFKAATLKGMSYYIFIAYSYAVGTILFLPLSFIFPSSRAVLPRLKFHVVSRIFLLGLIGFSAQICAYKGIEYSSPTMASAVSNLTPAITFILAVLSRLERVALRSSSSQAKVLGTIASISGALVVVLYKGPKVFSSPRGTSSSVLLEWPLESPESNWVIGGILLAVAYLLFSFWYIIQAQVMVIYPAEIIVAFLYNLCGTIVSAPVCLIAEPNLSSWRLRPSVAVIAVLYSGIFQTVSSLVVIWGLHLKGPVYIVIFKPLSIAIAAFMSAVFLGDSLHVGSIVGAVIISMGFYAVIWGKAKEEQRIHDDSGINSLEPSSSGKVPLLQSHKLEDT >EOY06838 pep chromosome:Theobroma_cacao_20110822:4:33094158:33095294:-1 gene:TCM_021444 transcript:EOY06838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALDAFDEMLKSWVDIGLFKTDWIPFVDYKPTKTLGAYSDGECAAEWNKPGEITRLFLPDPLIEKWDIYHPIRQHPAAAIIYFQNFEKAWKGLVKDFRGSDGLNKLFAKNMLNKFDNSRFGTLHLFWAIRWAYYMYCLPRPQGFHGKHPALTDPSKLDDELIVYLQEPLVKPGTTDFDLLAWWKSAAQKYPTLSRMASDSLPIPMSADLPDGAFQVLNSVNEPRVMEALLSLGSWQVLPLFSN >EOY02327 pep chromosome:Theobroma_cacao_20110822:4:441695:452845:-1 gene:TCM_016842 transcript:EOY02327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein, putative isoform 1 MARRYCYNEVLPFTAMAAVECSNVVQNILFKAASSKGMSYYIFIAYAYILATLALLPLICLLLCRKGLPKFEFPLISRLCFLGLVGFAGQLCAYKGLELGSPTLSSAISNLTPAFTFILAVFFRMEKVALRSSSSRAKIIGTIASMSGASVVVLYKGPEVQQPLGSSQSKWVFGGLLLAASYLLSSFWYIIQSQIMKIYPEEMIVTFIYNLSLTILSVPVCFLAESNMTSWRPTRSIVAASILYSGLFALNFSCGVHSWGVRLKGPVYVAIFKPLSIVIAAIMSAFFLGDALYLGSVIGALILSAGLYAVLWGKAQEEEMTHYDSGSSSF >EOY02326 pep chromosome:Theobroma_cacao_20110822:4:450314:452853:-1 gene:TCM_016842 transcript:EOY02326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein, putative isoform 1 MARRYCYNEVLPFTAMAAVECSNVVQNILFKAASSKGMSYYIFIAYAYILATLALLPLICLLLCRKGLPKFEFPLISRLCFLGLVGFAGQLCAYKGLELGSPTLSSAISNLTPAFTFILAVFFRMEKVALRSSSSRAKIIGTIASMSGASVVVLYKGPEVQQPLGSSQSKWVFGGLLLAASYLLSSFWYIIQSQIMKIYPEEMIVTFIYNLSLTILSVPVCFLAESNMTSWRPTRSIVAASILYSGLFALNFSSGVHSWGVRLKGPVYVAIFKPLSIVIAAIMSAFFLGDALYLGSVIGALILSAGLYAVLWGKAQEEEMTHYDSGSSSFGPLSSCEVPLLQSHNDAEM >EOY04130 pep chromosome:Theobroma_cacao_20110822:4:22162225:22163046:1 gene:TCM_019384 transcript:EOY04130 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein-related, putative MATLHKFKLLATQCAVAGSPGRSPTTSPVVHLRRRKTLRMLLNRSSATERRRFHRRHDDNNFDPPPPPPPPPPSTNSTADSKKNSNGKKSRRKLKELFVASPPFEERESNNKSCEEETEDLLPVTVSSGDGFSSRSSGSLRPVTASFRYRLLRRAWRPMLVTIPE >EOY03044 pep chromosome:Theobroma_cacao_20110822:4:3876645:3878680:-1 gene:TCM_017459 transcript:EOY03044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein MALLFKPHPLLFFLATCFLSLATQGKAAQDPNNSISFSFPLTSLRFSRDNVQTLYRSLVSTKPNSTVQPRPSSYNYKTTFKYSMALIVALPIGTPPQTQQMVLDTGSQLSWIQCHKKVARKPPPPPTSFDPSLSSSFSVLPCTHPLCKPRIPDFTLPTSCDQNRLCHYSYFYADGTLAEGNLVREKFTFSRSQSTPPLILGCATDTSEDKGILGMNLGRLSFASQAKISKFSYCVPTRRTQPGFSPTGSFYLGENPSSRGFQYVNLMIFPESGTRPNMDPLAYTLPMQGIRIGAKKLPIPTSVFRPDAGGSGQTMIDSGSEFTYLVDDAYNKVREEVVRLVGPRIKKGYVYGGVADMCFDGNPIEIGRLIGDMVLEFEKGVEITVEKERVLADVEGGVHCLGIGRSSMLGAASNIIGNFHQQNLWVEYDLVNRRVGFGKADCSRAA >EOY05972 pep chromosome:Theobroma_cacao_20110822:4:30475444:30476734:-1 gene:TCM_020831 transcript:EOY05972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTTPRKTSVINGGDRRQPSRLQRRAPASLQISPVSSWNVAIPLLSPLASSPPSIDRRTVEKREEPPRQEQQRQSQTTEPEKIVFKMWQHPAAPFCYEPAPLVPSFVPV >EOY06075 pep chromosome:Theobroma_cacao_20110822:4:30857826:30858780:-1 gene:TCM_020915 transcript:EOY06075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase-like family protein, putative MTQEDLASQMKCQWMKMMMVMIKRPNTIWTQSMQMSRHLSANVRTRLYFTSEAHIHSLMNVLRYSTLDESLQGEASLVCQTALERLYKTKELDYMSYVVIKMFENTEVALEDPRRLRIQLTFSRGADLSPLEMNDFTAPGAHTANNGSIKAARSGILPYIRENGKDDSPICNASRRLPSSIHPSRIFRLLCQKCIGA >EOY02240 pep chromosome:Theobroma_cacao_20110822:4:14983:15891:-1 gene:TCM_016764 transcript:EOY02240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGGFSPAATDRKDFLNVRGETGASVASLSTFWKSTPEGCCRLSVGCGLRVVAVGYGGVVLGGGGKGGGPEDDQPPNRSEPPELHEMEIPAGPSNPVKFY >EOY02918 pep chromosome:Theobroma_cacao_20110822:4:3021739:3024907:1 gene:TCM_017321 transcript:EOY02918 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein isoform 1 MMAAATPSVHEKPEAFALVAGVEGVTVGGDKTHQASGRSGLTRDLIAAVPSFGVNRKKRMARQRRSSSTIKLLSFTNSSSSSHVPSSTLPARVIDPKKLRFLFQKELKNSDVSSLRRMILPKRAAEAHLPVLESKEGILISMDDLDGLHVWSFKYRFWPNNNSRMYVLENTGEFVNTHGLQLGDFIMVYQDSQNQNYVIQAKKASDQDVYADIARNAVNDLFLHDYEDRISRKLWLG >EOY02917 pep chromosome:Theobroma_cacao_20110822:4:3021739:3024907:1 gene:TCM_017321 transcript:EOY02917 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein isoform 1 MMAAATPSVHEKPEAFALVAGVEGVTVGGDKTHQASGRSGLTRDLIAAVPSFGVNRKKRMARQRRSSSTIKLLSFTNSSSSSHVPSSTLPARVIDPKKLRFLFQKELKNSDVSSLRRMILPKRAAEAHLPVLESKEGILISMDDLDGLHVWSFKYRFWPNNNSRMYVLENTGEFVNTHGLQLGDFIMVYQDSQNQNYVIQAKKASDQDVYADIARNAVNDLFLHDYEVSKSSSYYYPTMDDTGMSFIYDTTFSNDSPLDFLGGSMTNYSRIGSLESFGSVENLSLDEFYQV >EOY02919 pep chromosome:Theobroma_cacao_20110822:4:3021739:3024949:1 gene:TCM_017321 transcript:EOY02919 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein isoform 1 MMAAATPSVHEKPEAFALVAGVEGVTVGGDKTHQASGRSGLTRDLIAAVPSFGVNRKKRMARQRRSSSTIKLLSFTNSSSSSHVPSSTLPARVIDPKKLRFLFQKELKNSDVSSLRRMILPKRAAEAHLPVLESKEGILISMDDLDGLHVWSFKYRFWPNNNSRMYVLENTGEFVNTHGLQLGDFIMVYQDSQNQNYVRIGSLESFGSVENLSLDEFYQV >EOY02245 pep chromosome:Theobroma_cacao_20110822:4:51816:58796:1 gene:TCM_016772 transcript:EOY02245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTAGRPPDPSPTIPPVATLPRQTVTTHTTAATANPPPPQNQDFPQATNLSNYPPISPRMQKKSFLSVVAGENPPVIPLNREPSWYRDRPAASFFDNEIATLALSFKFSMIGKFTRMPKLQEIRTAFKGIGLVGAYNIRWLDYKHILIHLSNEHDLNRIWMKQNWFIVNKKMRVFKWTPEFHPEKESSLVPVWISFPNLRAHFYEKSTLMMIAKSVGRPLFVDEATANGTRPNVARICVEYDCQKSLLDQIWIVTRSRQTGEVTGGFIQKVEFVKMPDYCTHCCHVGHNASACLVLGNKPEKQGLVSTKPLGSKKTLTDDDSGKAGDARQKPSIDEKIVIGDDRKREKAGTTDLEKRNILSGDEPLKQTTQGEAVVGKPSMSGLKHSKRVDIEAVVAKHASVISSNRFDGIGTAEEEGQENHAKQGQTGDLNSNLMGKNNFLGASLCTVERQGRVAVGLDRKQEDRRISRDELKADQYPQAVYDKGEELIVVEKVATTANRNSTHARVAESWQIGADTNDSVEQVGDFDGVKWALEAGQVTIRKPKKENNNKTEDRLSVAAVYGEGLTKSAAEQQSENVNMQSESLSLNVEKVQDDGDNSSKKAVCLSQLESKRSPSQRGCFHDTVHLIATDGNAPVLKTAADTCDTLEGRDESDPHMGLNLTICGFNKALSIVPSNGGTSSSPTHAVHAGSDDTGSRLSPVQPHAVYASDNLEVHPCVSRRRKSESSLYSQGNWNSLNASKPMEERLELWNCLRSISWDMQGPWMVGGDFNSILNSTEWLHGAQPHSGSMEDFATMLLDCGLLDASYEGNNFTWTNNHMFQRLDRVVYNHEWADCFHHTRIQHLNRDGSDHCPLLISCNNTVPRGPSNFRFLHAWTHHHDFIPFVERSWKVPMQATGMLGFWQKLQRLKRDLKWWNKHIFGDIFHNLKLAESEAAEKELLFQQDPSILNRNLMHKAYAKLNYDIVIFTNGCRSSLQKILNFLQEYEQVFGQQVNHQKSCFITANGCALSRRQIISHTTGFHHKTLPVTYLGAPLHKGPKKVFLFDSLISKIRDRISGWENKILSPGGRITLLRSVQSSQPMYLLQVLKPPVTVIEKIERLFNSFLWGDSNDGKKLHWTAWSKITFPVSEGGLDIRNLRDNIRWRIGKGELFFWHDCWMGDQPLATLCPSFHNDMSHVHKFYNGDVWDIEKLNSCLPTSLVDEILQIPFDRSQEDVAYWALTSNGDFSFWSAWEAIRQRQTPNALFSFIWHKNGNSKSNQNAAGGRVLRDHTGKLAFAFSENLGPRSSLQAELHALL >EOY04141 pep chromosome:Theobroma_cacao_20110822:4:22238067:22239210:-1 gene:TCM_019397 transcript:EOY04141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHKYLQKRPMVAQVSLKRIGGQGRALHGVDMRDNSRLVKLRGPTVPYLLSCLLRWNFLGKLGGYIDYCP >EOY06628 pep chromosome:Theobroma_cacao_20110822:4:32490785:32491227:1 gene:TCM_021292 transcript:EOY06628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative MASLKCFLLAFFIALSFSNIEVSLAARKLQQLPTLPGTAMPSFPSIPNLPQPTLPNLPTTQPSFPNRGTLPPLPSLPNLPSAPKVTLPPLPSMPSIPTIPTTIPSIPFLPPPPAPSSP >EOY04648 pep chromosome:Theobroma_cacao_20110822:4:25343583:25345165:-1 gene:TCM_019850 transcript:EOY04648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLGSMFSDNEGDEVFTDHDDAFMEDIVILEIDFEEVIEDVAAVVLDDPVPPKMKRASITTIEGLEKVKIDESSVKSCSMCFEDLSIGTEARHLLCSHVYHTSYITK >EOY06121 pep chromosome:Theobroma_cacao_20110822:4:30982680:30984234:1 gene:TCM_020942 transcript:EOY06121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRFTKRVILLSLLCLNVFVVNVVARNVVSTKENEEEKFLSSQKGGGFGAGGGSGFGGGAGGGGGFGGGGGGGFGGGGGGGFGGGGGLGGGGGVGVGGGIGGGGGKGGGFGGGIGKGGGGGGGIEKGGGGGGGIGKGGGGGGGIGKGGGLGGGIGKGGGGGGGIGKGGGFGGGIGKGGGGGGGIGKGGGFGGGIGKGGGGGGGKGGGIGGGIGKGGGIGGGIGKGGGIGGGIGKGGGIGGGIGKGGGIGGGIGKGGGIGGGIGKGGGGGFGKGGGIGGGIGNGGGFGGGAGGGAGGGAGGGFGKGGGVGGGSGGGFGGGGGFGGGGGGGAGGGGGFGGGGGFGGGGGGHH >EOY02344 pep chromosome:Theobroma_cacao_20110822:4:491644:497712:-1 gene:TCM_016852 transcript:EOY02344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter family protein isoform 2 MGCSHPQFPVFSAVSGLELSMSKEQHRYVEVPAACAILIFLFALQHYGTNRVGFLFAPVVITWLLCISAIGVYNIVQWNPHVYQALSPYYMYKFLKKTQKGGWMSLGGILLCITAGSEAMFADLGHFSQLSIKIAFTFVVYPSLILAYMGQAAYLSMHHINETDYRIGFYVSVPEKIRWPVLVIAILAAVVGSQAIITGTFSIIKQCSALGCFPRVKIIHTSSKIHGQIYIPEINWTLLLLCLAVTVGFRDTKRMGNASGLAVITVMLVTTCLMPLVIVLCWHKSVYLAILFIFFFGSIEALYFSASLIKFLEGAWVPIALAFIFLLIMYAWHYGTLKKYEFDVQNKVSINWLLALGPTLGIVRVPGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVPHVSPMERFLVGRVGPKEYRLYRCIARYGYRDIHKDDLEFEKDLVCSIAEFIRSERPKCIIGIDDLENDEKMTVIGTSSSNSEGVRMCEHGEDSSEMVGGFRMCEDGEDSSEIVSISELRETKSPERPRKRVRFVVPESPRIDRDAKEELQELMEAREAGMAFILGHSYVRAKKGSSLMKKIVINFGYDFLRRNSRGPSYALSIPHASTLEVGMVYQV >EOY02343 pep chromosome:Theobroma_cacao_20110822:4:491288:498038:-1 gene:TCM_016852 transcript:EOY02343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter family protein isoform 2 MDLEGVIPKSPIKKESWKTVLTLAYQSLGVVYGDLSTSPLYVYKSAFAEDIQHSDTNEEIYGVLSFVFWTLTLIPLVKYVFIVLRADDNGEGGTFALYSLLCRHARVCSLPNCQLADEELSEYKKDGMVSNNKSFLGTSLKTTLEKHKILQRALLVLALIGTCMVIGDGVLTPAISVFSAVSGLELSMSKEQHRYVEVPAACAILIFLFALQHYGTNRVGFLFAPVVITWLLCISAIGVYNIVQWNPHVYQALSPYYMYKFLKKTQKGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTFVVYPSLILAYMGQAAYLSMHHINETDYRIGFYVSVPEKIRWPVLVIAILAAVVGSQAIITGTFSIIKQCSALGCFPRVKIIHTSSKIHGQIYIPEINWTLLLLCLAVTVGFRDTKRMGNASGLAVITVMLVTTCLMPLVIVLCWHKSVYLAILFIFFFGSIEALYFSASLIKFLEGAWVPIALAFIFLLIMYAWHYGTLKKYEFDVQNKVSINWLLALGPTLGIVRVPGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVPHVSPMERFLVGRVGPKEYRLYRCIARYGYRDIHKDDLEFEKDLVCSIAEFIRSERPKCIIGIDDLENDEKMTVIGTSSSNSEGVRMCEHGEDSSEMVGGFRMCEDGEDSSEIVSISELRETKSPERPRKRVRFVVPESPRIDRDAKEELQELMEAREAGMAFILGHSYVRAKKGSSLMKKIVINFGYDFLRRNSRGPSYALSIPHASTLEVGMVYQV >EOY03984 pep chromosome:Theobroma_cacao_20110822:4:21058684:21059321:1 gene:TCM_019216 transcript:EOY03984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLFNRNNYNPSTIKVSFTQLLPLFLTFLDLPPTPSLAKQVYNRPPLQPPLSSVVIITKLSIADHRRNRNDVGASIRQWLRLDLAVGASIWPWEAPNLVMPLAKSAFQWPNLVSVNVRSTFQRLDLAATRTRLALQCR >EOY05619 pep chromosome:Theobroma_cacao_20110822:4:29182049:29185494:-1 gene:TCM_020575 transcript:EOY05619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MTRPELIRAVANRYASQLQLCYPQTPASFSLAKAIHSRMIASGFHPRGHILNRLIDVYCKSSRIDYARNLFDKIPAPDIVSRTSLVLAYSTSGNIKKAREMFEETPLSIRDSVFYNAMITGFSRNEDGHACIKLFRQMLRDEFRPDNFTFTSVLGGLALVVDREMQCKQMHCTVFKSGTVFVTSVLNALVSVYVNCTLMFEARKLFDEMSEKDELSWTTMVTGYVKNDELDAARELVDGMNEKLAVAWNAMISGYVHHGRYEEALDMFRKMYFMGIKMDEFTYTSIISGCAHAGLFQLGQQVHAYVLRTEGKPTPDFSLPVNNALVTLYWKCDKVDWAREVFNNMPVRDLVSWNAILSGYVNAGRIDEGMSFFREMPERNLLTWIVMISGLAQNGFGEEGLKLFNQMKSEGFEPCDYSFAGAITSCAMLGALKHGRQLHAQLVRLGFDSSLSAGNALITMYARCGAVEAASVLFHTMPCVDSVSWNAMIAALGQHGHGVQALELFEQMLKEGILPDRITFLTVLSACSHAGLVKEGQYYFDSMHRLYRLTPGEDHYARLVDLLCRAGKFLEAKDVLTSMPFEPGAPVWEALLAGCRTHGNVDLGIQAAERLIELMPQHDGSYVLLSNMYATAGRWDDVAKTRKLMRDRGVHKEPGCSWVEVENKVHVFLVDDAVHPEVQAVYNYLSQLVLEMRKLGYVPDTKFVLHEMESDQKEQVLSAHSEKLAVAFALMKLPRGATVRVFKNLRICGDCHNAFKFMSKAVGREIVVRDAKRFHHFRDCECSCGDYW >EOY02298 pep chromosome:Theobroma_cacao_20110822:4:341604:347446:-1 gene:TCM_016818 transcript:EOY02298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated family protein MLHTFSPEESSSPCPTPTHYPVHCNLSLSLKDIFSNTYIHIYIHNFLTENILKYQGQAVELFNWGPRTSSYSKCRVKGVSLAENKHWMPSPSQRRTYIVSSHAAANVYSITRKEEKNMEIWHAHLLNQVNNIFHHRLHLYLNHQSVASNEEEEKIEIKYVIVPAPAPVPVKAPTPAPPVKTPTPAPPYTAPSPAPPVKAPTPPYKPPTPTPPVKAPTPPYKPPTPAPPTKAPAPPYKPPTPSPPYKPPTTPAPPNKPPTTPAPPYKAPPTPTPPYKAPPTPTPPYKPPSPPLPPVRTRKDCTPLCEQRCRLHSRTNLCMRACITCCDRCKCVPPGTSGNREMCGKCYTEMKTHRNKPKCP >EOY02859 pep chromosome:Theobroma_cacao_20110822:4:2681169:2687322:1 gene:TCM_017267 transcript:EOY02859 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein MVAEVIEGSAIMEKSNGNVSQLSVKQGEPTLVCPAEETEKGLYFLSNLDQNIAVIVRTIYCFKSDEKGNENAGEVVKDALRKVLVHYYPLAGRLTISSEGKLIVDCNGEGAVFVEAEANCKMEEIGDITKPDPETLGKLVYDIPGAKNILEIPPLVAQVTKFQCGGFVLGLCMNHCMFDGIGAMEFVNSWGETARGLPLTIPPFSDRAILKARSPPKIEYLHQEFAEIEDRSRTGDLYKDEMLYRSFCFEPEKLESLKKKAMEDGVLEKCTTFEALSAFVWRARTKALSMLSDQQTKLLFAVDGRPKFNPPLPNGYFGNGIVLTNSICQAGELLDKPFSHAVGLIQDAIKMVTDGYMRSAIDYFEVTRARPSLSSTLLITTWSRLSFHTTDFGWGEPVLSGPVALPEKEVILFLSHGKERKSINVLLGLPASSMKSMATAGLLFGYQEVMTFSVLSVIDSNTSEIRHILERMLHEVDELPTKLAWQDDAMAASAHAIPSTDGISNL >EOY05561 pep chromosome:Theobroma_cacao_20110822:4:29008600:29011312:1 gene:TCM_020535 transcript:EOY05561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTQAFNLEASCQIHRVESSDIKPSVRKSSNKFRNLDAIHYPSGNTLSLSNNLELASSYAELAKVVLTRLYHFKLQRQLSKGTNLDGFNNIGSEGGLVEWQLKLLNPSRTSKLSTMIFHLPWGLAQVIHMLESIPNADPCSLYEGKYNLTSLLPKF >EOY03268 pep chromosome:Theobroma_cacao_20110822:4:10429804:10473924:-1 gene:TCM_018061 transcript:EOY03268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGPTEEEVKADIPATVVTLKNEEEEEEQDIPKDVHKKRLWGVKTMDNGGVDFVDDDDLVQSLSSGWHAHEELLIRLQMELREYENLDASLVMFWVVGAILTFQNLIALNRPNESIYPRMCRWKCNQKLKEFYKVVQLLESSKKGIKKRVRKVKRVFGLVKGRCTIAHPNDDQSCPQLIFEGVEHLIVNQSSFSQHDDQTHTRSSPQLLSSSPQMHMWKGDEPSDKGQDIVPEDQE >EOY06646 pep chromosome:Theobroma_cacao_20110822:4:32541636:32542772:-1 gene:TCM_021306 transcript:EOY06646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTMVVVVVMHTLHPSLPTPQRDRNISSSSLALSFLICLQNFPYKLILIEVKSLIETSYEVINPMGFCYVQKDVSILMFFQAKLCRIDTFFFDFFGGELKGVNE >EOY03254 pep chromosome:Theobroma_cacao_20110822:4:9564747:9585353:1 gene:TCM_017990 transcript:EOY03254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein MADHHHHHHRPNRLSLPPRATAFTTPTTATSPRSHPLYSYPSITTPTPTPSKHRLSLQSSKAYSSSHPQKKSSFSFLLLLLSLRSLYSLLPFLRSSPPSFSLFPFSFLLSFLSFLLSLSFSLFSNKPPRHHPIFSLSSLSKTQLKLLLSKSFLLSIIFLLRFQALRYCGTAAMILAELSGNLAGRLFSRTDTPKIRSFFSSRFIGFCFLFIGLMLLSLSWDRVDCFPLNKSGFSIYPREGCVRVWPMLLPFLSGLLGCYEKVSMNWGSIRQLGRKRVRLISLFFSTVMLFVPAVVSFFVYESEGGGGGNVSVENLVWPLVNTVVFGVLLSENYSDDHHKLVNPKDFQREFVVTFACTVVLELFYFDELSLLGLLLCGLLLYFAVRELDPDYWSYLELGMESSESFSMSIMKPIRHILSERKSRKIALFLLINTGYMVVEFVAGFMSNSLGLISDACHMLFDCAALAIGLYASYISRLPANNQFNYGRGRFEILSGYANAVFLVLVGALIVLESFERILDPQEISTNSLLTVSIGGLVVNVVGLIFFHEEHHHAHGGSCSHSHSHSHFYSNDHHHQHSHDHESHVEHHNFINVSDGCQGSCSGHEHNHINQHGSNNCHAEDHDIHTECHDHHDHAHDQAYHDCAYHHDHHDHGHQHDHAHSHDCAHYHDHHDHRHQYDHKDNHHEHGAGLHSHTHYSESKIHLSLAYSGSKQSQLLSEGKEPQKHQHHHIDHNMEGIFLHVLADTMGSVGVVVSTLLIKYKGWLVADPACSIFISVLIISSVFPLLRNSADILLQRVPRAQEQGLKKAISDVMKTKGVIRIQNLHVWSFTTMDIVGTIHLHISAETDKAATKAQVSHILHDAGIKDLTLQVECVE >EOY06634 pep chromosome:Theobroma_cacao_20110822:4:32503552:32506762:1 gene:TCM_021297 transcript:EOY06634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGSGGVSFARSRGGESRFYYPPPMRKQQQQPQQMMGQRRERRPSVSKSLTEKRADHEECATSSPSSTSVDSSNKNNNSSKRNDDNLTNLDRFLEYTTPVVPAQHLPKTSRRGWRGQEPGLECSPPYFVLEDLWESFKEWSAYGAGVHLLLNGSDSVVQYYVPYLSGIQLYIDPSRPSPRQRRPGEESDTESSRETSSDGSGSDCGTGRRANNVVQGAWSQLEIADTNVQRLMRLSLRNKPSGGSSSDESDMCNPPGQLIFEYLEHDQPFSREPLADKISVLASQFPALRTYRSCDLSPSSWISVAWYPIYRIPMGPTLQNLDACFLTYHSLSTPSPGIGTDVLPFRGFPVREFHGADMSLKLSLHAFGLAFYKFKVSVWNPDEASECQKANSLLRAADNWLRLLQVNHPDFRFFVSHNTYWR >EOY05951 pep chromosome:Theobroma_cacao_20110822:4:30351759:30354782:1 gene:TCM_020811 transcript:EOY05951 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing-like protein MDPLPITLIMVQGPRKGETIGFPPGSTIRIGRVMRGNNVPIKDAGVSSKHLTIESESGKWILRDLGSSNGTALNSIVLPAETPFDLHDGDTLKLGETTSILIKIDGGGEEVAESRRRNPPRRGKAMKSETESFNKELEKLEKKENVRVARNKKNEDSVNCGLVIQKVPEKQEIEAKKGRGRLRGRKKNQQEENLDEKETNLIEKDGTIHIKDGVDEEEESSSLQNKDINARKDEEKVEDSKNGVKESCDEGIDVNLEKMTLRRVPENQEIEVKKGRGCNRGRKKNQQEEKLEEKEANLIEKDGIINIKDKVDEEEEPSSMPNEDINVRKDEEKVEDLKNEVKESCDGRVEVDLEKMTLGEWFDYLEVHLPKQIIEATELMIEGMRKKAERVREYMAEQKKEKGKVAVG >EOY03041 pep chromosome:Theobroma_cacao_20110822:4:3832932:3833962:-1 gene:TCM_017451 transcript:EOY03041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFMAPSMVNQIWRGVPVCLETPMAKHKASWVGSNKLIIESDSKLALSWLKESSFRPWNLWKTFNEMDGLCNVIGDTSFHHIFWKANSMADALARFGVDRDEMFYARW >EOY06629 pep chromosome:Theobroma_cacao_20110822:4:32495448:32496548:1 gene:TCM_021294 transcript:EOY06629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related protein 10.5 MGVVTYEMEVATSIPPAKMFKAFVLDADNLIPKVVPQAIKSSELLEGDGGPGSIKKITFGEGSQFKYVKHKVEGIDKENFSYSYSVIEGDALMNTLEKISYETKFVAGPDGGSVCKSTSKYYTIGDIEIKEEQIKAGKERALGMYKAVEAYLLANPDAYN >EOY06076 pep chromosome:Theobroma_cacao_20110822:4:30861649:30862550:1 gene:TCM_020916 transcript:EOY06076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGYGWNSRHANMHRLHHERLYRDTNLFACRVCGDILMGKEALFDHVELHLLLDESAAIRQILLSHLWSAQSMLFTNHFSQNLMLPTETGPFSIRTYTGYPDLQWAAAPSPVCFGSRNDHTLLIQTQQPTAYGGAMPRNQCFSRPFLNQLERTLLDIRVATIMDRETATKFIDQQMLDVNLKLGREDQD >EOY05182 pep chromosome:Theobroma_cacao_20110822:4:27504883:27506103:-1 gene:TCM_020254 transcript:EOY05182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMHTWISDTKYAIPYEGSDQKGLASKNDTYSRTILSHFIMISDSASTKHCHFHHRSHSLFYLSTLPMTMNLL >EOY04376 pep chromosome:Theobroma_cacao_20110822:4:24092307:24097191:-1 gene:TCM_019643 transcript:EOY04376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 2 MERVLSAVMEAPAAAGGESLLGSIKIAVLPIAKVFTMCFLGFLMASKYVNILPANGRKLLNGLVFSLLLPCLIFSQLGQAVTLQKMLEWWFIPVNVVLGAISGSLIGFVIVTFVKPPYPYFKFSIIQIGIGNIGNVPLVLIAALCRDTSNPFGDTETCSTQGTAYISFGQWVGAIILYTYVFHMFAPPAEGTFDLEDVNLPLKNPPKDASPEQVPLLMQEAAVTDSDNSEKGKIKKFLVLVYEKLKLKQIFQPPIIASILAMVLGAVPVLKRLIFTTDAPLYFFTDSCIILGEAMIPCILLALGGNLVDGPGSSRIGLRTLVAIIIGRLCLVPPAGLGIVTLADKLGFLPANDKMFRFVLLLQHTMPTSVLSGAVANLRGCGKEAAAVLFWVHIFAVFSMAGWIILYLNILF >EOY04377 pep chromosome:Theobroma_cacao_20110822:4:24092341:24097174:-1 gene:TCM_019643 transcript:EOY04377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 2 MERVLSAVMEAPAAAGGESLLGSIKIAVLPIAKVFTMCFLGFLMASKYVNILPANGRKLLNGLVFSLLLPCLIFSQLGQAVTLQKMLEWWFIPVNVVLGAISGSLIGFVIVTFVKPPYPYFKFSIIQIGIGNIGNVPLVLIAALCRDTSNPFGDTETCSTQGTAYISFGQWVGAIILYTYVFHMFAPPAEGTFDLEDVNLPLKNPPKDASPEQVPLLMQEAAVTDSDNSEKGKIKKFLVLVYEKLKLKQIFQPPIIASILAMVLGAVPVLKRLIFTTDAPLYFFTDSCIILGEAMIPCILLALGGNLVDGPGPGSSRIGLRTLVAIIIGRLCLVPPAGLGIVTLADKLGFLPANDKMFRFVLLLQHTMPTSVLSGAVANLRGCGKEAAAVLFWVHIFAVFSMAGWIILYLNILF >EOY05751 pep chromosome:Theobroma_cacao_20110822:4:29661081:29663660:-1 gene:TCM_020672 transcript:EOY05751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MLRKNQILNPISRSHPQRNLNANLLNHYIFALFTTTNSKASASPDSSPTSESPDIPSWVTNQNPETQTSEDDDFVIPSLASWIENQPKVKHWPTRKPETQVDKLTKILKHPYPSHEKVVEALDGSSLSVSNVSVDQLLKRFYHCWISAYGVFIWAKKQSGYRHTPELYDSMVDILGKAKKFDLVLDLVNEMNQLKGYIRLNTMVKVIRRLAKAGRFSEAIEAFRRLEEYGIGKDVVALNGLLDALVKGDGVEHAYEVFVELKECMPLNSSSFNILIHGFCKARRLDDARKILNEMEEYGCQPCVVSYTSFIEAYCHEKDFHNVDAVLDEMKEKGCRPNVVTYTIIMHARGKAGIIGKALEVYEKMKNDGCLPDSSFYSSLIFILSKSGRLKDADEIFEDMKKQGVRPNVLTYNTMITSACGHSLEEKALKLLQRMEEDSCKPDISTYGPLLKMCCRKKRMKVLNFLLSHMLNNDVSIDLATYSLLVQRLCNSGKLEQACAFFEEMVLKGMIPKDSIRKTLVEKLEKENMAKAKEQIQELMSNLKELVKTGFHRE >EOY04081 pep chromosome:Theobroma_cacao_20110822:4:21967142:21977479:-1 gene:TCM_019352 transcript:EOY04081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGRPLSYKTFSENILIIWKLADRYNIVDLDENKYLVKFVKQDDYLRALLGGPWLVMGHYLTVYPWTPYYTWETQELTIVATWVRFFGMPLHVSLGNAREMINKNSLKGPGSKFNVLDSSEDNEDDIVQCEGTSYVGPVANHNKSDKGVKFFATLPFPTPSSLGPSVDRVSFSFFWVVWRQLPAPNPASAKPSTFSSSTGTNGTKKSYKVAAVTLDISHVQSMDTKIDYDETTHKEQSGMEMSFEKETISNA >EOY03227 pep chromosome:Theobroma_cacao_20110822:4:8587062:8588689:-1 gene:TCM_017902 transcript:EOY03227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTMMMLGAVQLGAAAACVVVLVPMGMAGWHLSRNKMLFFSGALFITLAVCVHLTPYFPSVSDFVTSVSSVVVFDHRISCINLVNEIAWDVKPNSSIPNDSLEFYDKRWDWSKSPKLDACDFQKLPGSDASDLLNGSWVVVAGDSQARLFTLSLLNLILGSEADRMNSVRADLFKRHSDYNILLDEIGMKLDFFWAPYVVNLTNLMIDFKVKKSYPDVMVMGAGLWHMLHVTNASDYDFALRMLRSSVVSLLPFSPELSLNGPVTGSVSIKSPHLFWLGLPMLINGMLNTEEKREKMSDALWHAYDRALGDSKLLRQTGGPLLLLDIQSLTWNCGPRCTSDGMHYDGAIYEAAVQIMLNALLIESHQQL >EOY06330 pep chromosome:Theobroma_cacao_20110822:4:31582649:31585404:1 gene:TCM_021088 transcript:EOY06330 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein MQTRKIEGIFCGNQAAYMLGIFRRKMCQLCSRIRWLIRKRPRPKVIIRRLGRLNSKGQRKGDLGTKNSSIHLYGDLGFSNPKRPIRIATFNVAMFSLAPVISEAEEAGLFSYGEEDYMALKSPFQFNLHTKSPNCYPKSILKQSPLHNSHTSPDSISKQKKFSRSKQKVSINLPDNEISLAQRKLLTFVEDVKEGASDMITSRINRNNVIMRSPVCLPSSMINFWNEGSLRSGRSIAEVLREVDADILALQDVKAQEEKGMKPLSDLAAALGMKYVFAESWAPDYGNAILSKWPIKRWTVQKIADDDDFRNVLKATIEVPWAGEVNFYCTQLDHLDENWRMKQIKAITESNNSSHLLLGGLNSLNGSDYSSERWTDIVKYYEDIGKPRPRTEVMKLLRGREYTDAKDYAGECEPVVIIAKGQNVQGTCKYGTRVDYILASSNSPYNFVPGSYSVISSKGTSDHHIVKVDLVKGGEKSQQNVIKRDRKPTQKKVIRMTNSSCSSGGIWKLNA >EOY03886 pep chromosome:Theobroma_cacao_20110822:4:20190231:20191226:1 gene:TCM_019102 transcript:EOY03886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSYLLLCMLIAQQFELPRELHRSLWCMGWKQFCQLKWKFLPFGSLKKYSWKKPNGLMLVMSN >EOY06898 pep chromosome:Theobroma_cacao_20110822:4:33306250:33306941:-1 gene:TCM_021483 transcript:EOY06898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPELKAAENQFLSGSMEKVDNFCLVEGRKPECRPLPLIKQVNRENEREGPLMEWSQSLCPDSSPMLPNSNCICICIGIGCVALKNAPAKHHDTFHCFLFITFSTRLPFFYDYSLQAQPRASRPSATAAQPLG >EOY03349 pep chromosome:Theobroma_cacao_20110822:4:13639935:13642169:1 gene:TCM_018327 transcript:EOY03349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MSHHHSTTTQCWTRCLTLLQRCTKASQIEPIHALLITQGLHRNPCIISKLISFLSSPPTNLHYSSLLFNQLHKSTLFIYNTLIKAHSNSPHPQTSFHYFNHLLEEETIRPNCQTLNFILVSCAKTCSLRSGKQIQNWVFKNGMFSSDSYVQTGVIRLYVEARLWVDARKVFDEIAYVDVVKWNVLMSGLARCRLGTQALSVFKELLVFGIQPDEFCLTTALTACAQNGSLREGKWIHEYLRKREKCLELDVFIGTALVDMYAKCGCLDLAVEVFEGMSKRNVYSWAAMIGGFAVHGHARKAIHCFERMQNDGIRPDGVVLLGVLTACTHAGLAEEGLFLLNNMEGQYRIVPKHEHYSCVVDLLCRTGKFDEALKLIRRMPMRPLASVWGALLNSCRIYNNVQLAELAVKELLELEDCDGDEEDAALVQLSNIYFSAQKSEDGHRIRRMIGDRGLKKAPGCSMIEVDGRMTEFVSGDISHPLHSQIHTILRLLFPEDPGT >EOY05867 pep chromosome:Theobroma_cacao_20110822:4:30115208:30118965:1 gene:TCM_020764 transcript:EOY05867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein / peptidoglycan-binding LysM domain-containing protein MRTKPHLVSFSCLLLFFLFHRSVRSQLPPNITGYNCTANQTTYPCQTYAFYRATAPDFLDLASIGDLFHVSRLMISEPSNISSPSSPLIADQSLFVPITCSCNPTNATFGSLSAANLTYTIKAGDTYYIVSTTNFQNLTTYQSVEVFNPTFVPTQLDIGDKIVFPIFCKCPNETQVQNGVNYLVSYVFQPSDNVSSVASRFGVQTQAITNLNGNNIQPSDTIFIPVNQLPVLSQPEVAPAASPGKTERKGVIVGLAIGLGICGVLLVLFFVVLLHREVLSKRRDMEDAERQKLKFNRPGMGMKGMEVNLMADVSDCLDKYRVFKIEELREATDYFSESCLIQGSVYKGSIHGDIYAIKKMKWNACEELKILQKVNHGNLVKLEGFCIDPEDTNCYLVYEFIENGSLYSWLHENENEKLNWKTRLRIAVDVANGLQYIHEHTRPRVVHKDIKSSNILLDSNMRAKIANFGLAKSGCNAITMHIVGTQGYIAPEYLADGVVSTKMDVFSFGVVLLELISGREAIDQEGKLLQASVNGILDGNEERKVKKVREWMDGRLLEESCSMESVISVMAVAIACLNKDPSRRPSMVDIVYALSKSDDLFFDVSEDGLSAPPVVAR >EOY04924 pep chromosome:Theobroma_cacao_20110822:4:26503240:26505236:-1 gene:TCM_020070 transcript:EOY04924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MASKVLMPSINMNHIAFPGDIQRRSHSFGQVCLPKKTGISSSRQSVIANNSVETPVMAVTAAAVSKTSSILSRFWREIQGSNNWENLVEPLHPLLRKEIIRYGEFVAACYKAFDLDPNSRRYLNCKYGKKSMLKEVGMENSGYQVTKYIYATPDVNIPIQTASCGRWIGYVAVSSDDAVKRLGRRDVLITFRGTVTNHEWVANFMSSLTPARLDPHNPRPEVKVESGFLSLYTSDETDSKFGLESCREQLLSEVSRILNKYKGEKLSITVAGHSMGSALALLFAYDITELGLNKTDSDQEIPVTVFSFGGPRVGNSSFKQRCEELGVKVLRIANVNDPITKLPGVLFNENFRVLGGRYEFPWSCSCYAHVGVELVLDFFNVQNPSCVHDLETYISLLKWPKRVQIQKDGVDFLNRARELLLSAQNFNINLPWKDAAINMVNLVQSQRS >EOY06429 pep chromosome:Theobroma_cacao_20110822:4:31890510:31895794:-1 gene:TCM_021152 transcript:EOY06429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 MGGCFPCFGSSNKEGSNGGGTVKELSKKDSTKEGSVGQSHHVNRVSSDKSKSRSVSDPKKEPTVPKDGATANIAAQTFTFRELAAATKNFRPECLLGEGGFGRVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGHHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRAPGEHNLVAWARPLFKDRRKFPKMADPLLQGRYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPNAPSNQSNRVGPSTPRLKDDRRSMGDGLDSPDERGQHGSPSTHRNSPDYRKRNHAREMSTGTELGRSEAGGGSGRKWGLDDSERQESQRDSPLNAVRARETPRNRDLDRERAVAEAKVWGENWREKKRANAMGSFDGTND >EOY03317 pep chromosome:Theobroma_cacao_20110822:4:12273999:12275312:-1 gene:TCM_018205 transcript:EOY03317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGLWSMIYTWHEHGLICVARPPVDICGCDHLIFLMSTNIVETTIVVGIRWSGAPRCYYVEVGPHVGCYDYLLKSLESF >EOY06892 pep chromosome:Theobroma_cacao_20110822:4:33263954:33273901:1 gene:TCM_021478 transcript:EOY06892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 9 MSTGSIIEEEKEVQLQWAAIEKLPTFKRIRTSLFDINHGSEQGNGEIESEGKRVTDVTKLGAVERQLFIEKLIKHIENDNLQLLRKIRERIDRVNVKLPTVEVRYKNLSIEAECEIVQGKPLPTLWNSLVSSLSIFTKMKRIKLQEAKRIILRDVSGIIKPSRLTLLLGPPGCGKTTLLLALAGKLDQSLKVTGEISYNGYSLHDFVPQKTSAYISQYDLHIPEMTVREIVDFSAQCQGVGSRADIMMEVSKREKEAGIVPDPDVDTYMKAISVEGQRSNLQTDYVLKILGLDICADIMVGDAMRRGISGGQRKRLTTGEMIVGPTKALFMDEISTGLDSSTTFQIVTYLQQLVHISNVTALVSLLQPAPETFNLFDDVLLMAEGKIVYHGPRSNVLGFFKDCGFKCPERKGVADFLQEVISKEDQAQYWCDPDTPYNYVSVDQFSQIFKTSYLGEKLEEELSKPFDKSQGQNSALSFTKYSLGKWELFKACVDRELLLMKRNSFVYVFKTAQLVITAFMTMTVFIRTQIKVDLISANYLMGSMYYTLVRLMTNGVAELSLTVIRLPIVDKQRAFYLYPPWAYSIPASILKIPLSLADSMLWTAITYYVIGYSPEVERFFCQFLLLFALHQASTSMCRFIASVFRTMVVATTVGSVILVLMFIFGGFILPRSALPPWLRWGFWLSPMTYGEIGISLNEFLAPRWQKVSEGNSTIGKDVLTSHGLNFEGYFYWISFGALLGFTLLFEFGFILALTYLKPPKMSQAIISKKIWFSQIHQREPGKVTTEVDTGSTAVPQTTPETTRKVLPFQPLTVAFKDVRYSVDTPMEMRKHGFKEKKLQLLHDITGAFRPGFLTALMGASGAGKTTLMDVLSGRKTCGIIEGDIRIGGYPKIQKTFARISGYCEQNDTHSPQITVEESIVFSAWLRLPPETDVKTRRRFVEEVIETMELHDIRDYLVGTPGQSGLSTEQRKRLTIAVELVSNPSVIFMDEPTSGLDARAAAIVMRAVKNVADTGRTIVCTIHQPSIDVFEAFDELILIKTGGQIIYSGELGHHSCKLIKYFEGIPGVQKIKDNYNPATWMLEVTSASVEAELKLDFASIYKESHLYKETLELVRQLSEPQPGSKDLHFPTRFPQGRWVQFKACLWKQHLSYWRSPEYNLDRFIFMLVSSLLFGAVFWQKAKEINNEQDLFNILGSMYIAVIFLGINNCSTVLPYVATERTVLYREQFAGMYSPWAYSFAQVAIEIPYVALQAILYTVITYPAIGYYWSAYKVLWYLYASFCTLLYFVYLGMLMVSLSSNMEMASILATAVYTILNLFSGFLMPGPEIPKWWIWCYWICPTSWSLSGLLTAQYGNIDREEN >EOY02851 pep chromosome:Theobroma_cacao_20110822:4:2658335:2660814:1 gene:TCM_017261 transcript:EOY02851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MSRVTDLANRIGRALISASNHAIPTRTWTASLEQTLHRLGCRDSLSPSLVARVIDSFLSTHHCLALGFFNWASQQPGYCHDSISYQSILKSLSFSRQFNAVETLLKQVKAQKLSLDSSVYRFIISSLIKGKKTQNAVWVFNEVNSPSAELGAELCNSLLAALVSDGYFAHSQKVFDEMFQKGVVFNTIGFGLFIWSFCKNGELNKVLSLLDEAKKGSSWEVNGSIIAVLVVHGLCFSSRESEALWVLDELRSRGCKPDFIAYRIVAEAFRKSSSVVERELVLKKKRKLGVAPRSNDYREFILGLISERRICEARDLGEVIVSGNFPVEDDVLDALIGSVSSIDPGSAIMFLNFMVGKGKLPTLITLSNLSRNLCKHGKVDELLEVYQVLSFHDYFLDMESYNVMVSFLCTAGRVREAYEVLQEMKKKGLGPNVFFYNSLMEACCREDLVRPAKRLWDEMFASGCAGNLNTYNILIGKLSQIGEVEEALCLFQHMAEKGVAPDGTTYTNLLEGLCQESKFESAFEIFNKSVEQDMMLAQSILRTFVIHLCRKGQFLVASKLLCGLSSDIIHSDSHVVMLKCLADAKEIQFAIQHIQWIQETSPSMLQTIFTKLAASLSSTSRPDSIEQLLQAIQEKCLLDSNMYSWKDTSGAHTGC >EOY06556 pep chromosome:Theobroma_cacao_20110822:4:32260796:32263568:-1 gene:TCM_021237 transcript:EOY06556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein MVGSSFIVGVVGNVISVLVFLSPIGTFWRIIKRQSTEDFESLPYICTLLNSSLWTYYGITKPGGLLVATVNGFGILVEAVYVVLFLIYAPKKMRVKTGILVGILDVGFLAAAIVVTQLALTGETRIDAIGFMCAGLNIIMYGSPLAAMKTVVTTKSVEYMPFFLSFFLFLNGGIWAFYALLVQDYFLGIPNGIGFLLGTAQLLLYAIYRNGKPSSNNISEGLMEQGWPTEPLISPLH >EOY02593 pep chromosome:Theobroma_cacao_20110822:4:1415793:1421217:1 gene:TCM_017022 transcript:EOY02593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MHQFQLLLTKPTKRKKTESRAKTEQSRGNNRERKEKAEMGGVTSSMAAKFAFFPPNPPSYKLVTDELTGLLLLSPFHHRENVEVLKLPTRRGTEIVAIYIRHPMATSTLLYSHGNAADLGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYKCLEESYGTKQEDIILYGQSVGSGPTLDLAARLPQLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVNCPVLIIHGTSDEVVDCSHGKQLWELCKEKYEPLWLKGGNHCDLEHYPEYIRHLKKFISTVEKSPSQRYSSRRSTDQFEQSRKSTDVFEVSRKSTDRREKPRKSTDRPEKLKNQSNNMDKLEKLRISFDQLERSRRSVDCHEKSRKSIDHQLERARKSVDRLDRIRTG >EOY03645 pep chromosome:Theobroma_cacao_20110822:4:17610446:17611711:-1 gene:TCM_018740 transcript:EOY03645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMVRVFHALWVLKSWRVFPKFLWWVLGIVLLSLVPTQISIFNCEKIAIQPSVVALCNCSIHFSCLFESKEGKGGCFVWVLPKGDEYIFYSSIGVEHFL >EOY02769 pep chromosome:Theobroma_cacao_20110822:4:2179342:2180383:-1 gene:TCM_017169 transcript:EOY02769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKGRIPACRGQRIPTWLVLSLKSNLFHENILSQLSGSIPILHRKPDMHSITFSKGNHKSHIRKIVMISKKSRKSHIKCILE >EOY06757 pep chromosome:Theobroma_cacao_20110822:4:32852457:32854220:-1 gene:TCM_021389 transcript:EOY06757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCLNVFVVSDMLRHENFRVLTCQTRLDTKHIKIKPKTCLTCVFSNRVNILCSKLPSLMPSGPKNNPTTEPSLCQSNPSHPVNLGLPSSEVEAEASTLNLSSLILGFVHSTPPLESIASLLLPHFQGSTHHPISSTISFPSQALKVRSILLPMSCH >EOY04378 pep chromosome:Theobroma_cacao_20110822:4:24099855:24102645:-1 gene:TCM_019644 transcript:EOY04378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRFAGGDDLGGQLFPPRFPRPLCRGTLTIFLFCFSRTCEIELHINLAYKDAKRKQKNEKVMKKIKQTSISFPCFKGRDESGLDYWPHDRIEQTKLNKFIKRRLRIILFVIMFNQCSQAAFKN >EOY04573 pep chromosome:Theobroma_cacao_20110822:4:25073181:25075655:-1 gene:TCM_019803 transcript:EOY04573 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 74 F1, putative MESKVDQPHVIVLPYPAQGHINPMFQFSKRLASKGFKATLAITVFISNTMKLESSGSVQIDTISDGYDAGGLASSGGIQHYLPRQEAIGSKTLAELIIKHKRTSRPIDCIIYDAAMPWALDVAKQYGLHGAAFFTQMCAVNYIYYNVHHKLLNLPICSTPISIPGLPLLQPGDLPSFVCSSEGSYIAYLGRVLNQFKNIDKADFILINTFYKLENEAVESMSKVYPVLTIGPTVPSIYLDKPVENDKAYGLDLFDFNSSTSTDWLSTKPPGSVICVSFGSVTSISSKQMEEIARGLNNSNFYFLWVVRASEEAKLPKGFKEESGEKGLIVNWSPQLDVLSNEAVGCFFTHCGWNSTTEALSLGVPMVAMPQWTDQPTVGKYIEDVWKVGVRVKIDDVSGIVNREEIESCIRQVMEGERGKEIKENAKKWRELALEAVGEGGTSDRNIDEFMSKLRRTA >EOY05573 pep chromosome:Theobroma_cacao_20110822:4:29034933:29035763:1 gene:TCM_020542 transcript:EOY05573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGAQVAVELEDDLFFADLSKQISLLIMDDDEDPVAKCPSVSFQAFSRANYPVAPSPFLHGQNCRKESKGTGVFIPQSSQPRRKHKQGRFSSFNRKSNRQPT >EOY04877 pep chromosome:Theobroma_cacao_20110822:4:26293182:26295747:1 gene:TCM_020040 transcript:EOY04877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKEKKYQNVDHGTLGVYIIENYVVHDSHSYGVKIVLRKTAELLLIKAARRYTKAEAVNDGKMSSEVASASLASINGKPPKRKAAKSDLPYRIPLDAHQVSASSYIFEIFLQIQLHYMDNTNRYDEYGSNV >EOY05858 pep chromosome:Theobroma_cacao_20110822:4:30097629:30100035:1 gene:TCM_020759 transcript:EOY05858 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 7, putative MPSGAPQPPPAPAPSPPPPQQAPLLTLIRTKTPTTILLGKYQLGRLLGRGSFAKVHEATSLEDSNNVVAIKIIDKTKTVDAAMEPRIIREVAAMCRLQQHPNILKIHEVMASKTKIYLVMELASGGELFAKVLRRGRLAEPAVRRYFSQLISALHFCHQNGVAHRDVKPQNLLLDQNGNLKVSDFGLSALPEQLNNGLLHTACGTPAYTAPEVVRRKGYDGPKADAWSCGVILFVLLAGYLPFDDSNLVAMYKKIHRREFQFPSWISKQAKAIIWQLLDPNPHARMGIEKLMETSWFKKTLTAFTSSNNQQESLLHDRKLKHDMVSNGVNAFDIISLSSGLDLSGLFEGGNNKRKEKRYTTSIESLDGVVERVREVGERLGYRVERGKRGVVGLGKGRVVLVVEMVEIAESFVLVEAKVMEGWPEFEEGQWLDLEAGLGDIFVSWGNDVVG >EOY04859 pep chromosome:Theobroma_cacao_20110822:4:26240290:26245874:1 gene:TCM_020028 transcript:EOY04859 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 89C1, putative MESQMACEGKGVSKHIWVIPFPACGHILPLVDLNHQLLLRGLKVTILVTPKNLCYLSPLLSLHSPSNVQTLVFPFPSQSSLPPGVEQMQDLPISFVPDIATALGKLYDPLFQWFQSHPSPPVAILSDTLLSSWTTKLACHLNIPNISFLPFNVRAVSSWFGKLNIMPSFYTEAYMASIQSWGLVFNSFKELEGENLDIIKEKFTRHDRVWAVGPLLPAKAGDRGLNERGGPSSIPPGQVMAWLDSCHVDKSVVYVGFGSQITLTKRQMKAVASALEDSGVRFIWAVKDPMKRVQDGDDDQSMIPQGFEDRVAGRGLVIKGWAPQVAILRHRAVGSNLSHCGWNSALEGILAGVLLLAWPMQADHFHITKLLADEFGVAIRVCKGLRSIPDSVKLARIFVDSTSMARPERVRAIKLQKTALNAIRKGGSSYQALDKLIEGLSSFNGNKIKENKIRSSIAEQERLHQLFIQVITSLHGNIEDEFKSLFQETQVATALDTVEQLVEEQHLNPLFSDKTNIMDVVHNLSTAKKAGIQYLIQTLVLPFPSHSSIPSGVENMQEVTISFVPDFAAA >EOY04189 pep chromosome:Theobroma_cacao_20110822:4:22469086:22472798:-1 gene:TCM_047044 transcript:EOY04189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid-deficient 4 MVFSSCISHPLVSLKISHFEQPSNLRHNVKADQRFTSALKSRTTEHFGQRAGIGSGVLGEWSFARGTRLVIRQKVSTFVHCRKSFEVQASWLTTSQIASSVFTLGTAAVLPFYTLMVFAPKAELTKKSMESSIPYVVLGLMYAYLLYLSWTPDTLRLMFASKYWLPELSGMAKMFSSEMTLASAWIHLLAVDLFAARQVFHDGLQNQVETRHSVSLCLLFCPIGIVTHVVTKALTRSAGNNTHRMH >EOY05602 pep chromosome:Theobroma_cacao_20110822:4:29120211:29128029:1 gene:TCM_020564 transcript:EOY05602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C 70 MAMLQSIVLFTLLLLMLLLIIILILFAFKPWRFFSFSSSSTRSRTTKVGDLERPLVSDDVDLPREQSNDLTRNYDLEGACHQNEALLRPPRTQGLIHKQRLPSASIHLTQGDSLVLDVASDPSEDILVGQTLKRPLVTDRLVEVQNLGRLPNQSQNLKFGVENDRLQEFLPKAINGQRSCLSLEVVSGPSRGLRCSVQSTSTSKLPLTVGRVSPSDLLLKDSEVSGKHAMINWNSNKLKWELVDMGSLNGTLLNSQPINHSDTGSRHWGDPMELASGDTITLGTTSKIYVHISSQSECLAPFGVGMISDPMSLRRGGKKLPMEDVCYYEWPLPGIDQFGIFGICDGHGGVEAAKSASKILPEMVATILSDSVKRERVLSQCDASDVLRDAFSQTEACMNNYYEGCTATVLLVWADGDENFFVQCANVGDSACLVNVGDKQIKMTEDHKVTSSSERLRIEGIGEPLKDGETRLCGLNLARVLGDKFVKQEDSRFSSEPYISQVVHINQTSGAFALLASDGFWDVVSAKKAIQLVAQMRERHSTDKENLAETIANVLLNEARMLRTKDNTSIIFLDFESRSRISCKVDS >EOY04802 pep chromosome:Theobroma_cacao_20110822:4:25984133:25986008:1 gene:TCM_019978 transcript:EOY04802 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor MKLSSAKVPKESKGASPIDGHNYSLHSKRRSGRTTLPVQAAEQWSVAVDMHNTNSIQPQNSHTSTSRSSDSGEPCATGNKWASRLLRECARAISDKDSTKIHHLLWMLNELASPYGDCDQKLASYFLQALFCKATESGQRCYRTLTSVAEKSHSFDSARKLILKFQEVSPWTTFGHVAANGAILEALDGEAKLHIIDISNTLCTQWPTLLEALATRNDETPHLKLTVVVTANIVRSVMKEIRQRMEKFARLMGVPFEFNVISGPNHLGELTKEALGVLEDEAVAVNCIGALRRVAVEERGAVIQMFQSLGPKVVTVVEEEADFSSTRYDFVTCFEECLRFYTLYFEMLEESFAPTSNEKLMLERECSRSIVRVLACDEGEDSTAGECERREKGSQWSDRLKEAFSPVGFSDDVVDDVKALLKRYRAGWALAQPHQDETGLYLTWKDEAVVWASAWKP >EOY03985 pep chromosome:Theobroma_cacao_20110822:4:21066948:21068333:-1 gene:TCM_019218 transcript:EOY03985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYASRMTLCRSEILFCFFKIFSNSALSINKPGNHTQQDITPPCHPPCYQEKKGPAARPFQPQVTWHLIALTIFQATSSTIITSSSNNINPAPHPPPPPLPAATLEIDLHKLPKHSLQRNTIAQPLNPKVLILHPIVTQTSILRDIPPPIAIPSLHPNQKRTSTT >EOY04072 pep chromosome:Theobroma_cacao_20110822:4:21866899:21885254:1 gene:TCM_019336 transcript:EOY04072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MADALLSAVLNTILENINSLWLEEFGITGGLKTELESLQSTLSTIQAVLLDAEEKQWKSEAIKNWLGKLKDTAYHLDDILDEFATNTQRERLQRDARSQVCTFHYLPKQLLFRSKMAHKLKDVREKLDAVAGERSKFHLREGMEPLEDREVSDTEWRKTSSLVNELEVYGRDKELDRIINMLLNNLADQDGISVYTICGMGGLGKTTLAQLVYNDESIRKAFDLRIWVCVSDDFDITRLTKAIIESIEGKCSIEELDPLQRHLQEKLIGKRFLLVLDDVWNEYHEKWEGLKEAFRCGAKGSTVIVTTRIEKVALMMTTTPIHHLGSLSCDDSWSLFKQRAFRMGKSEDYPHLEALGKEIVKKCGGVPLALKALGGLLCFKERESEWLSIKESEMWELADEGSKVLSVLNLSYRRLKPHLRQCFTFCSIFPKDYIMSKEQLIQLWMANGFVPSIGQMNLHDMGCEIFNELAWRSFFQELVEDFEGNSTCKMHDLIHDLAQSIMSSECFVSEPSQLVLTAPKTVRHMFASGNSSIFAPSNVGNLSKVCSLRTLVVHNNFHWRIATKQKHLRALDVTFNGEMKISIDDNFRHLRYLSLVNSGIETLPESLCSFQKLQTLNLICCNHLRKLPKGLKLLKSLTYLDIKYCNALTRMPVGLGQLSCLRRLSMFIVGKDRGCCIDELKGLALEGELCIEELDNVKSLIDAKSANLIMKQNLRSLGLSWRKIDNCYLHENAEEVLSGLQPHSSLKKLSIRNYHGPKFSYWLMDLLVPNLVHITLENCERCECLPPLGKLRFLKSLTITGMDALKSIDNSFYGDGESSFSSLESLCFENMLSFEEWTTVKGKENFPQLRSLVIRDCVKLVEMPMLQSLKILEISKTSVSLLSSVMHLTFLTSLLLGGFDGLTVMPDGLLQNHKHLESLEIRFKKLKSLSNLLDNLSALEQLDLQDCLELENIPAGLENLSSLESLHLSHCDSLVTLPENGLRGLSSLSSLWFQNCKKLASLSDGVRYLTSLQDLLVNGCPELNSLPECIQHLSALRSLRIWHCERLTSLPNGIENLALLSELVIEACDDLMCLPQGLQSLTALTKLRIIGCRHLERRCRRERGEDWPIIAHIPSIVIMSREEYFFRGRRRPLGNLLTRVGDWTNGLSRKFWKS >EOY03145 pep chromosome:Theobroma_cacao_20110822:4:6305950:6308614:1 gene:TCM_017698 transcript:EOY03145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKNQVYRFIKSRVGVTMPNLLVCPCDVICLALQCQEVFEYVNYLDAPSLLPNFQFESLELSASTSPTKLSMEEHPTLELKPLPNHLRILMI >EOY06584 pep chromosome:Theobroma_cacao_20110822:4:32373289:32375701:1 gene:TCM_021260 transcript:EOY06584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein, putative MASVATIARVSAILLLCLALRGCDGKFPFNAAEALAKARAAAVGNVGPDVKHFNVLDYGAKADGKTDSSINFIRAFKAACNYNGNAMMVIPDGNFLIGPVIFSGPCFNPSPLIIQANGMVKAQSDISYYAGGADDTDWITFQSIDGLILTGHGTFHGQGAEVWKYNNCGKKSNCVRLPATLKFIKVNDAIIRGITSIDPKGFHIFISMSQNFRIFNIKLVAPAESPNTDGIHMSKSNLVKISKSIVATGDDCVSMIQGSTNISVKKVFCGPGHGFSIGSLGHYDAEADVKGIVVKNCSLTDTDNGLRIKTYKNSSPSKASSIIFRDIVMTRVKNPIIIDQEYGNRRSNEPSKVSISDVFYTNIRGTTISKVAVDLTCSLSNPCQGIHLNNVNLQYAGLPNDNLPFSSNCVNAKVAYVGAQSPLPCH >EOY02724 pep chromosome:Theobroma_cacao_20110822:4:1909548:1916032:-1 gene:TCM_017111 transcript:EOY02724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat MMVFSGSRQVVPVDYEAEVSQRLLEASLSSDLMSALECIADPFVDVNFVGAVCLKTRKTEVVLREELASEVRVEYEEFKTDVTALFLAVHVGSVALVKKLLSIGADVNQKLFKGFATTVAVREGHFEILEILLKAGASQPACEEALLEASGHGQARLAELLMGSDLIRPHVAVHALVTACCRGFVEVVDTLIKCGVDASASHRQLLRSSKPSLHTNVDCTALVAAVVSRQVSVVCLLLQAGTPTDIKVSLGAWSWDTTTGEEFRVGAGLAEPYAISWCAVEYFEGSGAILRMLLQHLTLETPHYGRTVLHHAILCGNAAAVKVLLNCGANVESPVKTMKTEFRPIHMAARLGLSATLQSLIDSGCDLNSKTDIGDTALMVCAKYRHEECLKVLTRAGADFGLVNVSGQSAISIAESNRWSLGFQQAVLDVIKVGKIPKSSNVSVFSPLMFVAQAGDADALKALIERREVDLDYQDDNGFSAVMVAALKGHVEAFRLLVYAGADVKLCNKSGETAITLSELNQNRDLFEKVMLDFALEKGNRNAGGFYALHCAARHGDLDAVKLLKSRGYDVNVPDGDGYTPLMLAAREGHGSMCELLISHGANCDFRNAKGETALSLARKTAGLKNDAERVILDELARKLVLGGAPVMKHTRGGKGKPHGKNVKMVGSAGVLQWGKSSRRNVTCREAELGPSPAFERNRRSKGDANEPGVFRVVTTKNKEFHFVCQGGFEMAELWVRGIKLVTREAIFGSQKDR >EOY02520 pep chromosome:Theobroma_cacao_20110822:4:1192948:1196370:1 gene:TCM_016976 transcript:EOY02520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKAIEPTPKVYPSTSNRKRHGKHRSEPDHEEPKTNDEHPDSAPRKRRRNPRRKRYQPPFSSNDPSVRTAMYVAMAHAGLALTLALLFGLSKLLQNYWRPIQWAILCSMPLRGLQTLIVSFWSHPLGLGLFETLIAIPIAILRATTASLLDSHAALLRLLSCSSSPRPAGRRQTRVGFYKLMQWLVSFGPFVLVYERIGFFSIPAVTVPCFVAYINGSILGFLFFSYKIAIEGKEAVISLKVHLEENNYGEVIGINKWMDENQIPELIDSYMSKFYETLSQNIDSWAVYHNVTEVVDGFKQYFVQSSVVSCIPDEKVSVRRPVYETLYSLQSKVRNGEWKVIYRDINGEFRKFMSLIANEDLLEKIKAFLLQSLDVSKKVLFSCSMVLARGANLLFFVAILIVSGAAGLVNFIFELMVFLWLLYYPITSDSGGVMDHVLGMLPVSRSTRNRCAQVLDHAVSSVLLATAKVTLFQGCFTYLLFRFYHIHFLYMSTFLAFLSALLPITPTWISSIPAALQLAMESRYIEAILLTAVHVILLDYGTMAIQDEIPGHNTYLTCLSIFGGIAFFPSILEGAIMGPLVMTVIIALKNLYVEFVLAFAGMKNGNQSSSN >EOY05534 pep chromosome:Theobroma_cacao_20110822:4:28931857:28933251:1 gene:TCM_020514 transcript:EOY05534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTSPVPVNITVIIACGGNGEKKEFSSEKDCLKWGMSPNGVIYMEIAHNPATLFLIACRGSLILPTGFGPLELTSSKATRKP >EOY06624 pep chromosome:Theobroma_cacao_20110822:4:32486846:32487676:1 gene:TCM_021289 transcript:EOY06624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major allergen Pru ar 1 MGVFTYEMEVATVIPPARMFQAFVLDSDNLIPKILPQAIKSVETLEGDGGAGTVKQVNFGEGYQFKTVKNRIDGIDKEKFTYSYTVIEGDALMSTLEKICNEIKFQASPEGGSICKSSSTYYTIGDIEIKDEEIKSGKEKALGMFKAVEAHLLANPDAYN >EOY04663 pep chromosome:Theobroma_cacao_20110822:4:25417252:25423334:-1 gene:TCM_019862 transcript:EOY04663 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 13 isoform 1 MGKKGSWFSAIKRVFIPQSKEKLNNESDKRSGKEKKKKGLGKLRHGETNSFIPLFREPSSIEKILGEAEREHKLIFRPPTPPEQPRTPPFVPHRAASPRVPSQRIASPRVASPRAASPRAASPPQAASPPPPPPPRAASPRAPSPRAAPPRIVRPRPEPTLRNHHASATKLQAAYRGYMARRSFRALKGLVRLQGVVRGQNVKRQTVNAMKYMQLLVRVQSQIQSRRIQMLENQARRQAQYKNDKEVESTLGKWTFSQASETGNEDWDDSVLTKEEVETRMQRKVEAVIKRERAMAYAYSHQLWKATPKSAQTDIRSGGFPWWWNWLERQLPPVNAAESQGVKSFQLTPSRPNSELKPSPRPPSSSKQHQFTFDNIDTPTPKSTRSTILPATRPMRTPLSSGIPQASSSGLSKYSRPRASGADSPFDLPLKDDDSLMSCPPFSVPNYMTPTVSAKAKARASSNPKERFMGTPGSESKRRLSFPLTHGIGSFKWSKGSLFSGKDSSSQRGLEKHQSLQSIGNLSVDSTVSMPATVGRKPFNRFV >EOY04662 pep chromosome:Theobroma_cacao_20110822:4:25417206:25423332:-1 gene:TCM_019862 transcript:EOY04662 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 13 isoform 1 MGKKGSWFSAIKRVFIPQSKEKLNNKSFQESDKRSGKEKKKKGLGKLRHGETNSFIPLFREPSSIEKILGEAEREHKLIFRPPTPPEQPRTPPFVPHRAASPRVPSQRIASPRVASPRAASPRAASPPQAASPPPPPPPRAASPRAPSPRAAPPRIVRPRPEPTLRNHHASATKLQAAYRGYMARRSFRALKGLVRLQGVVRGQNVKRQTVNAMKYMQLLVRVQSQIQSRRIQMLENQARRQAQYKNDKEVESTLGKWTFSQASETGNEDWDDSVLTKEEVETRMQRKVEAVIKRERAMAYAYSHQLWKATPKSAQTDIRSGGFPWWWNWLERQLPPVNAAESQGVKSFQLTPSRPNSELKPSPRPPSSSKQHQFTFDNIDTPTPKSTRSTILPATRPMRTPLSSGIPQASSSGLSKYSRPRASGADSPFDLPLKDDDSLMSCPPFSVPNYMTPTVSAKAKARASSNPKERFMGTPGSESKRRLSFPLTHGIGSFKWSKGSLFSGKDSSSQRGLEKHQSLQSIGNLSVDSTVSMPATVGRKPFNRFV >EOY04309 pep chromosome:Theobroma_cacao_20110822:4:23662311:23663065:-1 gene:TCM_019583 transcript:EOY04309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKALLLVVILSASILFLPLWVEGSPPDFVHRKRQPPPPPECRKANWGGSCHPPRPPGFSKGGPYGRDETPTN >EOY03086 pep chromosome:Theobroma_cacao_20110822:4:4458006:4458531:1 gene:TCM_017519 transcript:EOY03086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDTHGDDTWQNGHAGVFKFEGVFFTFAGAWGGGGGSAMLADSRRRGREEIKRKTASDEERERWRERGEGERNSENEKYKWGSKRGTRFNGAREVKKNKKRLKDWWQSVRVI >EOY02697 pep chromosome:Theobroma_cacao_20110822:4:1794117:1795637:1 gene:TCM_017093 transcript:EOY02697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGGDPWRVLKCAGGVQDKTIINQIMLRFRPIAPKPVTGDSVSVDSMFSSKNLLVSSKRAKRKYVRVCKKNNRKRRILDEGKEVDDGTNNSVTLQLMPEKADLEKLTVVERSLGVDLDRMVSNNYHFQDPQSPCLKLKKMVTDKVAMMGLSDQTAVITSPRRRMTVVESWVTVESVTDTCMDEGEMGNCTDVEKMKNLEKDTCPGFVSDGLNRVLWVNRPYKNAVAPARHDTDGEPSETAVGLVVKDGCMLLHGAFSCRVRLQFWDEKGKKYSRMVPCDVWKMRSGGFAWRLDVNAALSLGL >EOY03487 pep chromosome:Theobroma_cacao_20110822:4:16276276:16279773:1 gene:TCM_018573 transcript:EOY03487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof zinc finger protein DOF5.2 MSEPKDPAIKLFGKTIPLPDKSPKAAAAATSANFCATCGDDNNNNNIDQDEDRCCSTNSSPDENKTEEREAEKDTLGDETTETEQEVGGIKEDGLKQEGGAPPVTSGESTNLEATSGASENPKTPSVEKESTALKTSKAEEDQSETSNSQEKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKNSASHYRHITVSEALHNAQTEIPSGVHHPALKANGTVLTFGSDAPLCESMASVLNLADKTMRNTTRNGFHKPEELKIPVSYRGGQNGFECSNGSSVPTSKDEAGKAGSQDQMVRNCQGFSPQMPCFPGAPWPYPWNSAQWNSPIPPHAFCSPGFPMPFYPAAAYWGCTVPGTWNVPWLPQPSSPKKTAPSSGPNSPTLGKHSRDENMGKLSNSGEEEPVKENNAEKCLWIPKTLRINDPGEAARSSIWATLGIKNDKPDSIGSGGLFKSFQSKGDERNQVPETSPVLQANPAALSRSINFHESS >EOY06415 pep chromosome:Theobroma_cacao_20110822:4:31844235:31846519:-1 gene:TCM_021141 transcript:EOY06415 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein RCOM_0679870, putative MASTDKPASPPKPEAPLPASKCPFNYSVVDVTLRVLLFAATVTSVVVMVSSKQTEVVPLPTMPTVRLPLPAKFSHSPALIYFVAALSVTGLYSIITTLASISVALTPAYSKSFLLVFAFLDVVFVGIVASATGAAGGVAYIGLKGNNHVGWNKICNAYDKFCRHVGSSVAVSLFAAILLVLLSMMSTFTLYKKIRD >EOY03904 pep chromosome:Theobroma_cacao_20110822:4:20331289:20335596:1 gene:TCM_019123 transcript:EOY03904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding heat-shock protein, putative MSVSCGVECVVLLGCSRWAWKRCTYVGSHDSESWPLATPDEFEPVPRVCRLILAVYETDLKNPQFPPEGGYRLNPDWVLKRVTYEQTSGRAPPYIIYADHDRKEIVLAIRGLNLAKDSDYKLLLNNRLGMQMFDGGYVHHGLLKSAVWLLNEESETLKRVWEETGKEYEMIFAGHSLGSGVAALLTVVVVNHRNRLGGIPRSKVRCYAMAPARCMSLNLAVKYADVIHSIVLQDDFLPRTATPLEDIFKSIFCLPCLLFLVCLRDTFIPEGRKLRDPRRLYAPGRMYHIIERKFCRCGRFPPEVRTAIPVDGRFEHIVLSCNATSDHGIIWIEREAEKAVEIMRGKKSETITVPPKIQKLERLQTIEKEHKDALERAVSLNIPHAVSTADEPTENRETEAELAETNEADTPEVRSESSSRGTNWDEVVEKLFTRSESGTLILTKESDTPQ >EOY02748 pep chromosome:Theobroma_cacao_20110822:4:2031776:2034516:1 gene:TCM_017141 transcript:EOY02748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKPLPHCSCENCNQLCFQRYMEKFAKDNVYKFLNGLNESYAALRSQILLMKPFPRLDEAYNLIMREKSQRNIRMQTQPLLESFAMASVGGIKKKMKSNIIVTIVGNQNIKSQKKTGLTKLKAGLYTLQVNDVVFQSDKNVSAIFPCSLHNFGHTFDSCIYDTLFDIPYFSNVNNPCSNSIHITSSPHCSDHDDSSFYPRFDPSNVALNIDQSLENLSSNQNTVHTTFDELIIDKHTNEHHIPQSHTFEPDHSSQPKPLLRISTRHK >EOY06695 pep chromosome:Theobroma_cacao_20110822:4:32691898:32694050:-1 gene:TCM_021343 transcript:EOY06695 gene_biotype:protein_coding transcript_biotype:protein_coding description:ASH1-related protein 2, putative isoform 1 MMTADSESLLSLGEIQGRGRALVASQPLKAGQIVLKDSPILVYSALPLVRPQSSASASYCDNCFRTLSSSANVTSCPSCSHHHLFCSPSCLTMATAASHSTWVCQALSRLRDCPSLVPQPLERQVQARFLIAAYNLALTCPGHFQVLLSLQGQGSPSDAPAVQFLHSLISSICPPPSLSLSIELTAALLAKDKLNAFGLMAPISLQQDGQRSVRAYGIYPKACFFNHDCLPNACRFDYLDSAPGQNTDITVRMIHDVPQGREICLSYFPVNLNYYTRQKRLAEDYGFTCDCDRCKVEANWSDNEADVIDDNGNVEKEEVMDEDSDEQMIASDTDGDAGGVDDFPHAYFFFRYMCSHENCWGTLAPLPPSDDASSKVLECNVCGNLKNDIDVC >EOY06694 pep chromosome:Theobroma_cacao_20110822:4:32691808:32694010:-1 gene:TCM_021343 transcript:EOY06694 gene_biotype:protein_coding transcript_biotype:protein_coding description:ASH1-related protein 2, putative isoform 1 MMTADSESLLSLGEIQGRGRALVASQPLKAGQIVLKDSPILVYSALPLVRPQSSASASYCDNCFRTLSSSANVTSCPSCSHHHLFCSPSCLTMATAASHSTWVCQALSRLRDCPSLVPQPLERQVQARFLIAAYNLALTCPGHFQVLLSLQGQGSPSDAPAVQFLHSLISSICPPPSLSLSIELTAALLAKDKLNAFGLMAPISLQQDGQRSVRAYGIYPKACFFNHDCLPNACRFDYLDSAPGQNTDITVRMIHDVPQGREICLSYFPVNLNYYTRQKRLAEDYGFTCDCDRCKVEANWSDNEADVIDDNGNVEKEEVMDEDSDEQMIASDTDGDAGGVDDFPHAYFFFRYMCSHENCWGTLAPLPPSDDASSKVLECNVCGNLKNDIDVC >EOY06889 pep chromosome:Theobroma_cacao_20110822:4:33255627:33256371:-1 gene:TCM_021475 transcript:EOY06889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MECSEYLSGLVDAPPGSCCDVAVDISRAKDSDQIEICRCVRMMLPKIGRDDAATLLSQTNDKCGKHCEICIPVLDRAINCSSAFDSCPNY >EOY02465 pep chromosome:Theobroma_cacao_20110822:4:930336:933896:1 gene:TCM_016931 transcript:EOY02465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein with domain of unknown function (DUF26) [Source:Projected from Arabidopsis thaliana (AT4G28670) TAIR;Acc:AT4G28670] MAACLFILILLIAFWIRSSHADPRLEIVAHSCETTSVQNVDKYRKLYAVILENMGYEMYRNKFAFTEAGKPPDKLYVLSQCMDDLSNFECAQCFSKIGNLLPGCLPATGGRVYLDGCFIRASNYSFYREIITEGDMKRCSDDVDTKEGFPKLLRNVLQKIVYKAPNNRGFGLAHETKNGTTVHGMAQCWRTLDKDMCSACLIDAVNSAFHCLPSEEGRALNAGCFLRYSTYKFANDPDAGSTRYAIVSFIFYIIFAVAIGLLAIAIGLCLGKLAYITKNSGRKRKGKELDLAAMDQAMQFLQFKFSTLEKATDCFDASNKLGSGGYGEVFRGTLQDGREIAIKRLYVNGKSRREEIYNEVDVISKAQHKNLVRFLGGCFTTVENFLVYEYLANKSLDTILFDPERKKELAWGIRHRIIIGTAEGLEYLHKGCRVRIIHRDIKASNILLDLKYRPKIADFGLARFSSRECDKSSLVNNPVAGTFGYMAPEYIAKGRLTEKVDVYSFGVLVLEIISGVKNTTLESDNYFETLVTDAWKHFQSNTTSEIIDESLEIEDVEETKRDIQIGLLCTQAKPALRPSMTKVLQMLRHKDMELPAPTKPPFLDECVELSSSFGFIPGKSQMFNLHRPQDCNYQCL >EOY02429 pep chromosome:Theobroma_cacao_20110822:4:774503:778582:-1 gene:TCM_016905 transcript:EOY02429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MGIFGLKMKRIAIFQVLASLLYSTCVNSCFGLLYLPIYRCHPTYLVPTDHRNRRTHLSFSSILFFFVTSSTFMKMCRVKLTNNVALFVLIGLLFLSSSFEVCSAREGKHWGSNKASSRRQMAARPRAGSSATFNVLDYGARGDGRTDDTKAFVAAWAAACKVEASTVMVPSGSVFLVGPISFSGPNCQPNIVFQLDGKIIAPTSSGAWGSGLLQWIEFTKLKGITIRGKGTIDGQGSVWWNDSPTFDPKAEDGTISTELGGKMPGTKPTALRFYGSDGVIVTGITIQNSAQTHLKFDACTSVQVSGFSASSPGNSPNTDGIHLQNSQDVVISSTTLACGDDCVSIQAGCSNIYIHDVNCGPGHGISIGGLGRDNSKACVSNITVRDATMQNTLTGVRIKTWQGGSGAVQQVMFSNIQVSEVETPIMIDQFYCDKGSCKNQSSAVAVSGINYVNIRGTYTVQPVHFACSDSLPCSGVTLSTIELKSVQENHRLGGPFCWETYGELKTATEPPINCLEIGKPSKAGTHSNFESC >EOY05135 pep chromosome:Theobroma_cacao_20110822:4:27320699:27323194:-1 gene:TCM_020218 transcript:EOY05135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGQKIMATAAVEGKAMQNYVKPEHGGMQPGGLSVKSNSIHDLIFVKLKENPNPEPGIQQAMKLLASMNEDLFRITQKLQERQHDDILACFELDRLENGEKRFRWTWTDNGKKLASLKRDLDKLTFANNAYKERAVKTEGKINIHNLNFVMHHGTNNMAKEKKLLKGINDRQKKGDHCDDPGLSVVQEISDRIRRLRWDISRKYFFPKPAIRVDEQQVLKEITELKWARDKAFANAPVEGKTWNSLASKTVIKQQIKRMEKASCDEDRKKHLQMRAKIQVLKQEIDVVKKDIASLKRQLLNVRRKKGEAYKVILKLIKIQNQAI >EOY03308 pep chromosome:Theobroma_cacao_20110822:4:12109098:12111832:-1 gene:TCM_018182 transcript:EOY03308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein MELDWIRRLNVVKGVANALFYMHHDCSPPIIHRDISSKNALLDSEFEAHVSDFGTTNFLKPNSSSLTSLAVAGTLGYMASALAF >EOY03739 pep chromosome:Theobroma_cacao_20110822:4:18843233:18851631:1 gene:TCM_018897 transcript:EOY03739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein MKNFPKRYVSLQKNLPILALFHFTFLHSRTISSDDGWPKPYTPHDNISLDCGSTGNNTDLAGRSWLADSTIYLDRSNKVSVISSSPTKGDSIPYRTARLSRSQFSYSFTVTPGPKFIRLHFYPTSYQEFNRSKASFDVHIGRYTLLRNYSAALTADDLKSEVFSREFCINVDDQKLNILFTPNTRMPDSYAFINGIEIVSMPNNLYYSAEDDSGFNFVNQVNPYHILKNQALETVYRLNIGGSSISPAQDTGMYRSWSEDDEYLTNGERSVLPVNLRVNPSFSVIPNYSSPVSVYRTARTMGTNKTVNENYRLTWEFRVDSGFTYFVRLHFCEFQVEITEPGDRVFQIYIDNIVAEPQADVISWAGGRGVPVYRDYAVMIGRAGTEKKRNLSIALHPAPAWRTKYSDAILNGVEIFKLSNDGNLAGPNPDRIPIRPPDNSLSPTTMPQNKRTTIFPIVIGVFSGYAALSLLCFLIFRPKSRVEGSGSSEGSSSRYPFGSSLRCPYSYKDYYSLDGSG >EOY06863 pep chromosome:Theobroma_cacao_20110822:4:33178879:33181871:1 gene:TCM_021459 transcript:EOY06863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 1 MAAETEVITAPELFLADTEINWARLDKTSFHVVGAILFTAQQALIHPTAVVKTRMQVADSRFAHMPGMLVFNHILRNDGIPGIFRGFGTSAIGSLPGRVLALTSLEMSKDLMLKYTEGLDMAAATLIGLANGMAGMFSSLISSLYFVPLDVICQRLMMQGLPGATFYNDPFDVARKVIKAEGFRGLYRGFGLTALTNSPASALWSGAYGAAQRIIWRSLGYRDDAEKKPSHMEMVTVQATAGMVAGACSSAITTPIDTVMDDYGVGRPSVLKTTKTLLKEDGWWGFYRGFGPRFLNMSLYGTTMIVTYELIKRLSIKASVMF >EOY06864 pep chromosome:Theobroma_cacao_20110822:4:33178987:33180671:1 gene:TCM_021459 transcript:EOY06864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 1 ELSKLFHHFLLPPPTKSKENSVLRHWQLSTTPRNTENVVAIRTGATEYFMAAETEVITAPELFLADTEINWARLDKTSFHVVGAILFTAQQALIHPTAVVKTRMQVADSRFAHMPGMLVFNHILRNDGIPGIFRGFGTSAIGSLPGRVLALTSLEMSKDLMLKYTEGLDMAAATLIGLANGMAGMFSSLISSLYFVPLDVICQRLMMQGLPGATFYNDPFDVARKVIKAEGFRGLYRGFGLTALTNSPASALWSGAYGAAQRIIWRSLGYRDDAEKKPSHMEMVTVQATAGMVAGACSSAITTPIDTVKTRL >EOY03802 pep chromosome:Theobroma_cacao_20110822:4:19386553:19391604:-1 gene:TCM_018986 transcript:EOY03802 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MGSVCCCLHAEDFEDYVNPNSNVYRNCMCLSCFVQNFLHVYTTLFRRGEVHSVPSSIQGTASMNSSASLDNSLSDMYRSPPRPLPYDADTRYFILQRDGLVSRREKGSSHSQEESEPLRGEDDADSESLSTGDKWKGCEEGSKEQHSKSSQKLSSAKAPVGIGYIYSSTEEEDVCPTCLEEYTPENPKIVTKCSHHFHLGCIYEWMERSENCPVCGKVMVFDETT >EOY05846 pep chromosome:Theobroma_cacao_20110822:4:30008365:30010555:-1 gene:TCM_020744 transcript:EOY05846 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 2 MVVQAMIMRKMNSDNEPDRIPVQVGRRNNIIPNSLSIKLKHVKLGFHYLVSNASHLLPVALFGIILAHFPTFSIEDFSQLSSHHSFNHMTGIMFSVSLVFSAILYFKNRPRKVYLACARTGIFTEESLAFQKKILERSGLGQKTYFPKALMQVPFSKAMSEARKESEAAIFGAIDELLEKTGTKPRDIGIVVVNSSLFNPLPSLSAAIVNRYRLRENILSYNLGGMGCSAGLVSVEVARQLLQVHPRTYALFVSTENITHGSYLGNNRAMLLANCLFRIGGAALLLSNLSSDRHRSKYELVHIFRTHEGSNDKSYRCVFEEEDEEGTVGVTLSKDLMAVAGEALKRNITTLGSLVLPVSEQLRFLATLLAKKVFKNKVNPYIPNFKLAFEHFCVHAGGRAVLDELQKSLHLSEWHMEPSRMTLNRFGNTSSSSLWYELAYSEAKGRVKKGDRIWQIGFGSGFKCNSAVWRALRRISPTVEMNPWMDEIDDFPVHVPKVEPIFC >EOY02594 pep chromosome:Theobroma_cacao_20110822:4:1421032:1425142:-1 gene:TCM_017024 transcript:EOY02594 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent glycerol-3-phosphate dehydrogenase family protein MRFPFPLYYSPSSLFSNFSSSPYSFFSSLPLIFASSHFSPFRSISLPVSMAPAFEQVPVPQEGETATPHDTNTGNNVGNDGQAGFKSRITVVGSGNWGSVAAKLIASNTLKLNSFHDEVRMWVFEETLPSGEKLTDVINRTNENAKYLPGIKLGKNVIADPDLDNAVKDANMLVFVTPHQFMEGICKRLVGKVSGDVEAISLIKGMEVKMEGPCMISTLISEQLGINCSVLMGANIANEIAVEKFSEATVGYRDNREIAEQWVQLFSTPYFMVTPVQDVEGVELCGTLKNVVAIAAGFVDGLDMGNNTKAAIMRIGLREMRAFSKLLFSSVRDSTFFESCGVADVITTCLGGRNRKVAEAFAKNGGKRSFDELEAEMLQGQKLQGVSTAREVYEVLSHRGWLELFPLFATVHEICIGHLPPSAIVEYSEKKPRLSLLEDSARYH >EOY03898 pep chromosome:Theobroma_cacao_20110822:4:20279334:20281837:1 gene:TCM_019116 transcript:EOY03898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 20 MGRQPCCDKVGLKKGPWTAEEDKKLINFILTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEYEEQMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPLTHKPLSNTEQQPQQQQQQEQKLQASKAAVKSQVDKTKEPETSLQSTITEAKEEGKSMTSPLFDTMEMMNNGFCTDEVPLIEPHEILVPACAPSTSSSSSSSSDSSKFLEELQFPDFEWPSDYNTGSNNNNNNSMSLWDDDLSSWDLLINDDSDTSKLALDSSSSPLIQCPRMAFDQDSWTYELL >EOY04057 pep chromosome:Theobroma_cacao_20110822:4:21762333:21768665:-1 gene:TCM_019319 transcript:EOY04057 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MDSASTESCPMKGGDGLYSYSQNSLFQREGVENALLLINEAIAEKLDLEQIISSSPSKAFTVADLGCSVGPNSVIAVQNIIESVKLKYQSYGSNNQNDLEFQALFNDLVSNDFNTLFKFLPSDRQYFAAGVPGCFQGRLFPKSSLHFVHSSYALHWLLSTPKELMDKNSPAFNKGRIYYNNSAKEVGEAYSAQFAKDMESFLAARAEELVDGGLMALVLVCLPDDVPPSQSTASGITDLLEAALLDMAKEGLVSEDKVDSFNLPRYNPTPRELEGLIKINACFSIERMKRIFPSKTKLAMASDNGVVISHLRAVWEGILKEHFGAEIIDELFVSSTDMAQEMVKKRDIVFSIRPITTALNILLYGVRRRRLCTLWVTEKLVMDASHQSNDIVEMQHQGNSRLHPPTPVMPPRQTSAIAKLGGYDIPPKTRVLVLFKKKKTRVLVNIWAIQRDPKLWDMQEVFLPERFENVTVDFKGQNFEFILFGVGKRICPGMLFGVVVVEYLIANLLYWFNWKLPDDMVAENLDMTEAFGLVVS >EOY04545 pep chromosome:Theobroma_cacao_20110822:4:24954721:24957114:1 gene:TCM_019782 transcript:EOY04545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein 2 MAEGFLQSSEGSQMEMEIIGDKYFNDLLFNSLFQDVERDLYGNIKTCKMHDLVHDLALFVSKAETLVLEKTGSMNNVSRIQRLSVISTGKEVPTIPEGISTKLHSLISKVDVFKNMSKQPRSLRVLYFQNAKVEKLPASIGKLKHLRYLNISRTNIGRLPKSFTLLYNLQTLNIMDCCLERLPKGITKLVSLRHIYFDKEKIMPVKIGCLTSLRTLPLFYVGTERGRRIDELGCLSQLRGELKIYNLEHVEDKAEAIRAKLQEKAKLYEVELLWSNKREGYGNDEEVLDGLKPCSNLKSLMIVNYPGENLPSWMLMSVHDFGCTFPLDNLVFLKLIKCKQCINISSLGQLRNLRILEIDGMERVKCIYNSEIASHSSGWVEGITLFPSLRRFSLENMCSLEEWVQGVDLGTEGREDVVLFPQLEELIVLSCSKLKSVPIQRRLTSLQAFHVCYCDGLSNLKDGLSASKVLKKLRIWRCHSLVSVPKDVGELQSLVHLEISFCPN >EOY02790 pep chromosome:Theobroma_cacao_20110822:4:2280573:2282120:-1 gene:TCM_017187 transcript:EOY02790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cadmium resistance 11, putative MRPSQRLRSALEALKQCSICFKMRLGFGLILQYIYFDPSTILMLSKGHGPLAFVIVATIVTVVSCLTCWCPCVTFGQIAEMVDKRCTSCGVSGALYMLILCVTGCSYLYSCFYRSKLRGQYFLKESPCTDCCIHCCCEECALCQEYRELKNRGFDMSIGWHGNMERKKRMAALPPSIQVGMFR >EOY04440 pep chromosome:Theobroma_cacao_20110822:4:24320498:24322789:1 gene:TCM_019690 transcript:EOY04440 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MARPKHVSHDIIDQILSCLPVKSLVRFKHVSKFINSEPSHVPASVSLRFPPLTTPDINDHVQFFGSCHGLVCLGTGNHRHVVLWDPSTGDSKTLPDYYNIIPVPAIWNCFGGLGYVPSSDDYKVLLSDGSLFLIFSLRKNSWRRVRVRYGLRLRTNGVYSNGGLHWKNFGDNRIFRFDLKRGMLHRLPVPYLEEVNLYKASLFRVGERLCFACMKPLTHDHVIEFWVMKKYCVKESWTKIHRVPKFDQWIRFWNSFFCISGSKDFRTLAGTRHQNTTDDGMFCNDPSRCGGGSARGHPHRWEAIAYTESLVSPHLGN >EOY04596 pep chromosome:Theobroma_cacao_20110822:4:25136527:25151345:-1 gene:TCM_019817 transcript:EOY04596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKQNQNLPLNTLQHEQITIPGRKSMATDTNLAESRAVPEGEGDAHCNGNLWQEPELELGGPDSLSADYVSISKKTQILLRQELNHLRIRESHFLNAVYWKETKLDRLKAALDKLNFAKTTAYKRGSTSSSTSSSGECDFHSVRHWMLHGCSNLATENKLLKQIPGIQHDKIDSSILVASINMHVRLSGCMHERKSAIVKQQCRRLKQMVAEISAVNACRN >EOY03506 pep chromosome:Theobroma_cacao_20110822:4:16624505:16629152:-1 gene:TCM_018607 transcript:EOY03506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERRWKSIKDSISNLYRLATMALDESAGDEGVVKVIKDFYMHYNFSVLVLLEPQISVEQQAGSNWYFSAIYGHSKHNLRRDLWEELTLHTRRVNRQWMLVVLIKVITNRLKPFMSVLIVNTQASLIPRKVIIDNIIVAQEVIHSCRKKKGQVGWMMIKIDLEKAHDELRWEFVHDTLVEARIPTKIIDVLLCSWRCFSTQILWNGSPTESFVPSRRVRQGDLLLPYLFEL >EOY02404 pep chromosome:Theobroma_cacao_20110822:4:676068:681473:-1 gene:TCM_016888 transcript:EOY02404 gene_biotype:protein_coding transcript_biotype:protein_coding description:like SEX4 1 [Source:Projected from Arabidopsis thaliana (AT3G01510) TAIR;Acc:AT3G01510] MSFPMQLFSCRAALDLRSRDSSFINGVSVKATRSNKKQLKVLAMSSENSSLKMNLNEYMVTLQKPLGIRFGLSVDGKIFVHALKRGSNAEKSRIIMVGDTLKKTSDSSGGRFIEIKNFGDAQEMLTEKTGSFSLILERPFSPFPIHELHLSSDLDILFNRGRMPVATWNKAILASNLQTSTEGGGNSGFVIFSSKFLALQGLKFLNDQNGHIHSKLQKNILASPISQLVCIFSEEEPGDGEWAHGSFPLEEYIKALNRSKDELYYNHLLGMRYSKITEQIYVGSCIQSDADVEALSDAGITAVLNFQSGIEAENWGINSNSINESCQRLNILKINYPIKDGDSFDMRKKLPFSVGLLLRLLKKNHRVFVTCTTGFDRSPACVIAYLHWMTDTSLHSAHNFVTGLHTCRPDRPAIAWATWDLIAMVEGGRHDGPATHAVTFVWNGHEGEDVCLVGDFTENWKEPIKATHKGRAKHEVEIRLPHGKYYYKYIINGHWRHSTSSPTERDERGNINNVIMIGDTASVRPIIQPQKKDANVIKVIERPLTENERIMLAKAARCIAFSVCPIRLAPK >EOY04716 pep chromosome:Theobroma_cacao_20110822:4:25608698:25609183:1 gene:TCM_019905 transcript:EOY04716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAARLAILVLVLTSVLVLHECSRDMVAKEFNLGRVAEEEMGGVKLNVSPCTDKCNNGRQKWLCITTDFCYATEEDCEKFCLKPAA >EOY05336 pep chromosome:Theobroma_cacao_20110822:4:28135933:28137897:-1 gene:TCM_020356 transcript:EOY05336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSKQTPTRVFGQYTIASTFLSRSSNFSEELKEDSRNKDSKKFTSLSDFLNRKLPRSSGIPKTVQEKSRPFSSLLLRKEGDSVNKHNESKKEEEIEKKDVIDKVVFEQFKQDSTEKVDCMVSSGGVGEEEEKEETSSKRQNPFEGLVEEHRTRRPFLVLGDDPPKPKKRGRRECSLSNKKPKPHYNHYANGCGWWDCDMEGVDSEEVGSGEIWEGVGSTTFGGIVDWH >EOY05112 pep chromosome:Theobroma_cacao_20110822:4:27252976:27254873:1 gene:TCM_020202 transcript:EOY05112 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:flavonoid 7-O-glucosyltransferase MPQPHFLLVTYPAQGHINPTLQFAKCLIRIGVRVTFTTCVSARRRMTKVPSAQGLSFLTFSDGYDDGLKPGDDKDHYMSEFRRRGKENLNDIVVGSDNEGKPVTCIVYSLLLPWAMEVAREHHIPSAMLWIQPATVLDIYYYYFNGYEETIKGQAGESNCLIELPGLPPLASRDLPSFVAASNAYPSALSLFQQQFELLAEETNARVLVNTFDALEPEALKAIENYKMFAVGPLILSTFLGGKDPSDGSFKGDLFQCSKDYLQWLDSKPKSTVIYVSFGTMAVLAKQQVEEIARALLASGRPFLWVIRNQKQNGEEEKEEDKLSCREELEQFGMIVPWCSQVEVLSHPSLGCFVTHCGWNSTLESLVAGVSVVAFPQWTDQGTNAKLIQDVWETGVRVSANEEGIVERGEINRCLDLVMGDGERANELRRTAEKWKDLAREAAKEGGSLDMNLKAFIDDVAQGCK >EOY05248 pep chromosome:Theobroma_cacao_20110822:4:27709076:27715973:-1 gene:TCM_020291 transcript:EOY05248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated/TS-N domain-containing protein MAGVSLKCGDCGALLKSVEEAQEHAELTSHSNFSESTEAVLNLVCSACDKPCRSKTESDLHTKRTGHTEFVDKTLEAAKPISLEVPKVATDSEEAVAADSGSQSEEMVVPEVDKKLLEELEAMGFPTARATRALHFSGNNSLEAAVNWVVEHEANPDIDQMPMVPVNRHVEAPKPSLTPEEMKLKAQELRERARKKKEEEEKRMEREREKERIRVGKELLEAKRIEEENERKRLLALRKAEKEEEKRAREKIRQKLEEDKAERRRRLGLPPEDPAAVKPSAPVVEEKKSSLPVRPATKSELMRECLRSLKQNHKDDDAKVKRAFQTLLTYIGNVARNPDEEKFRKIRLNNQTFQDRVGSLKGGVEFFEICGFEKVEGDQFLFLSRDKVDMQVLNSAGSELNSAINNPFFGVL >EOY02407 pep chromosome:Theobroma_cacao_20110822:4:687772:698640:-1 gene:TCM_016891 transcript:EOY02407 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein MEMTRVRKRSIHLSKLYSLACFRPTPTDEHAQIGQKGYSRVVYCNEPDCQEQIRLNYRGNYVSTTKYTAVNFIPKSLFEQFRRVANIYFLVAACVSFSPLAPYSAPSLLVPLIVVIGATMAKEGVEDWRRRLQDVEANNRKVEVYDKRSCSFRESKWKNLRVGDLVKVHKDEYFPADILLLSSSYEDGVCYVDTMNLDGETNLKLKHALEVTSSLHDAEMLKEFRAVIKCEDPNEHLYSFVGTLHYDCQQYPLALQQILLRDSKLKNTDYIYGVVIFTGHDTKVMQNATDPPSKRTRIERRMDKIVYVLFSTLILVSFIGSLFFGIETKKDISGANYRRWYLRSDKTTVFYDPRRASLSGFFHFLTGLMLYGYLIPISLYVSIEIVKVLQSIFINQDRAMYDEETDRPAHARTSNLNEELGQVSTILSDKTGTLTCNSMEFVKCSIAGTAYGRGMTEVEIALARKRGERLPEPMPIDDVDSGTSVKGFNFRDERIMNGQWVKEPHSDVIQKFFRVLATCHTAVPEKTESGEIVYEAESPDEAAFVIAAKEVGFQFFVRNQTSIKLHELDLVSGKSVERVYKLLHVLEFSSARKRMSVIVRNPENQLLLLAKGADSVIFERLAKHGRAFEAQTKEHIDRYSEAGLRTLAIAYRELDDDDEYRLWEEEFMKAKTYLTADQDVLVDELADRIERDLILLGATAVEDKLQKGVPDCIDKLAKARIRIWVLTGDKKGTAINIGYACSLLRHGMKQIVITLESPEIEALEKRGDKEATAKASLASVAQQICDGKSQVARELLTGEPPAEFGLIIDGKSLTFALDKSLVNRFMDLAMDCATVICCRSSPKQKALVTRQVKSVTSKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMASDFSIGQFRFLERLLLVHGHWCYRRIAMMICYFFYKNITFGFTLFWFEAYASFSGQPAYNDWYMSCYNVFFTSLPVIALGVFDQDVSARLCLKHPLLYQEGVQDILFNWLRILGWMFNGVLSSIIIFFLTTNSITGQAFRRDGQVADYAVLGVTMYTCVVWAVNCQMALSINYFTWIQHLFIWGSIALWYIFLMVYGSLPPTLSTTAYKVLVEACAPSVLYWITTLLVVISTLLPLFSYRAFQIRFRPMEHDRIQILRSEGLEQEHDTAQRLSESPESPETRISSDLISIRIDNLRASPRRKNS >EOY02712 pep chromosome:Theobroma_cacao_20110822:4:1876453:1878849:1 gene:TCM_017106 transcript:EOY02712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 2 MADYHFIYKDVEGASTQWDDIQRKLGNLPPKPPPFKPDPFEPAPDSDSAPKDKSWIDEKTEEELEDLEDDLDDDRFLEEYRKKRLAEMREAVKISKYGSVIPISGSDFVREVSQAPQDVWVVVILYKEGFPECGLLLRCLEELAVKYPATKFVKIISTDCIPNYPDRNLPTLLVYNNGAVKANYVGLHSFGRRCTPEGVALVLCQSDPVLNDGQSGSDPSRKAVLEDVQRRFIEKVVTEHENHDDDGSSSD >EOY02713 pep chromosome:Theobroma_cacao_20110822:4:1876458:1878330:1 gene:TCM_017106 transcript:EOY02713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 2 MADYHFIYKDVEGASTQWDDIQRKLGNLPPKPPPFKPDPFEPAPDSDSAPKDKSWIDEKTEEELEDLEDDLDDDRFLEEYRKKRLAEMREAVKISKYGSVIPISGSDFVREVSQAPQDVWVVVILYKEGFPECGLLLRCLEELAVKYPATKFVKIISTDCIPNYPDRNLPTLLVYNNGAVKANYVGLHSFGRRCTPEGVALVL >EOY02714 pep chromosome:Theobroma_cacao_20110822:4:1876458:1878470:1 gene:TCM_017106 transcript:EOY02714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 2 MADYHFIYKDVEGASTQWDDIQRKLGNLPPKPPPFKPDPFEPAPDSDSAPKDKSWIDEKTEEELEDLEDDLDDDRFLEEYRKKRLAEMREAVKISKYGSVIPISGSDFVREVSQAPQDVWVVVILYKEGFPECGLLLRCLEELAVKYPATKFVKIISTDCIPNYPDRNLPTLLVYNNGAVKANYVGLHSFGANQILY >EOY03525 pep chromosome:Theobroma_cacao_20110822:4:16804198:16809392:-1 gene:TCM_018627 transcript:EOY03525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQRCASNAEAPKLLLGSMLGLVQLCGAVLPALQRTSFCLGSLIGTETALQRCASNAAAPKLLLRSFNNKFLNSDKVAEHILKKGFTGAYTIRSLHGEYDVGQSSRSRDRVEPYGSNGEHGEYREPTYEEEIENPYTRMVRDAMGSEVAFNYSHDNESRFVEEDPNPNAASFYSVKPDLIKYLS >EOY03413 pep chromosome:Theobroma_cacao_20110822:4:15243191:15258051:1 gene:TCM_018467 transcript:EOY03413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFCVTSELQDANVWTFKIQCDCKIKIVSGQSVLVLMLVKAKAKGMLVQLVVEWRRGNVGVGTGSSSTGRGRWTIGVHVSSLASGIVRGKGNVSVDDSSSTSGRGRKNIGQGALM >EOY02342 pep chromosome:Theobroma_cacao_20110822:4:482755:483246:1 gene:TCM_016851 transcript:EOY02342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMKLKTLHFLLLLTFLTFMLLASTPEARRFAPNHLGRAIMKAGPSQRQNGGPQLDEVVQVLDLLGMKDSSGPSNGGEGH >EOY03272 pep chromosome:Theobroma_cacao_20110822:4:10503351:10503978:-1 gene:TCM_018068 transcript:EOY03272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRDIVEFVMKCLTCQQIKAEHQKLSDTLQLLPIPEWKCEHMIMDFVLDSPRTQSGKDTIWVIVDKLTKSVHFLAIYSTYSIEKLAKLYIDEIMRLHGVSVSIMLDRDPRFTF >EOY02837 pep chromosome:Theobroma_cacao_20110822:4:2489484:2504360:-1 gene:TCM_017242 transcript:EOY02837 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-domain protein, putative MGRGKVQLKRIEDKSSRQVTFSKRKTGLIKKARELSVLCDVELGLVIFSPRGKLYQFSSGESLRTILDRYLNHVDEEAAVCNRVNEAKQIHDEFIDLWRGTSLQAMVERHIEGQNIEQLNMTQLVQLERQLDSILRQTRNRKTQVMMDTIAALNKKEEHLRQEKHDMEMEIAARTMGVEDRERAEKLNQDPHSNGYDQPPLQKGLLHLF >EOY04727 pep chromosome:Theobroma_cacao_20110822:4:25694796:25696329:1 gene:TCM_019912 transcript:EOY04727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carrot EP3-3 chitinase MVTLTMKQSLIAILLAGILAGAVPGKVKAQCGNTCSATECCSRFGFCGTGSDFCGVNCRGGPCVNNGVSIADIVTPEFFNGILNQAAASCVGRNFYSRGAFLDALDSFTQFARTGTVEESRREIAAFFAHASHETGRFCSIEEDGGASKDYCDETRTDYPCNPNKGYYGRGPLQLSWNYNYGPAGNDIGFDGLGAPETVANDPLISFKAAVWYWMNNVAGVMNQGFGATIRAINGDLECDGKEPAKVQSRINLFTDYCNQLGVAPGGNLSC >EOY05784 pep chromosome:Theobroma_cacao_20110822:4:29782164:29784444:1 gene:TCM_020695 transcript:EOY05784 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit RPC9 MKKKFVFLVFVNIIDINQTVTGYFTSKPGNIDLVLISQFQLFIFQGSSHPAENCLCTVYNSYTLQRSVSSPLPCRMKIKEANAGALTNFEVLDFLRSRGASKDPTRVIVPIAPSEFKVYDYLVESAACNQTKEHINDFLERCKNYKLAKAEVLNIINLRPSALVEIDPIIEQSEKRFGKQLEELVNLVVEVLPEPPTQKNAEQGNSEDKEETIDRKDMDEGERETTNGDEDQAEQTEDGEKMEDS >EOY05952 pep chromosome:Theobroma_cacao_20110822:4:30355475:30358907:1 gene:TCM_046772 transcript:EOY05952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like G2, putative MASSSSLPLHLCHVHKFTMIINRSHALLHSIAIAFLIYYRVSFLFQESRARTTPIIPWLVVFASELLLSFIWLLGRAYAWRPVSRTVFPERLPNDNKLPAIDVFICTTNPDKEPTVGVMNTLLSAMALDYPPDKLHVYLSDDGGYPTTLRGMREAWKFARWWLPFCRRYDIKTRCPEAYLNSRAESDHADSKSSEFMAERQKIKEQYELFKERVMRSGEDSKLIGRGVHTARDHPSCIEVIQEHSKEGLQEDQIKMPLLVYVSREKRTSHSHHFKAGAVNVLLRVSAVLSNSPYILMLDCDMYCNDPTSARQAMCFHFDPQMSSSLAFVQFPQTFHDISKNDIYDSEVRSAYTIMWPGLDGLRGPVLSGTNFYIKREALCGNSIQKGFDLRELKNSFGPSNEFIKSLRQDYKPNVNNDGEFSNMFLEEAKVLSSCSYEDNTAWGKEVGFLYDAVAEDYLTGFTLQCKGWISAYVAPSRPQFLGTSTTNLNDLLTQGVRWGSGLVDVAISRFCPLLYGPPRTSFLHSMCYAELSLFPLLYCLPLWCFATIPQICLLNGIPLYPEVSDPYFSVFLFIFVSALSKHLHEVLITGRPIRKWVNEQRIWMIKSVTCHLYGSLDAILKKLGLREASFLTTNKVADDEQIKLYQEGKFDFRASTIFLAPLVTIILVNMASFAGGVVRTMVVGDWKEMFGQVFLSFYIIVMNYGIIEGMIVRKDKGRIPHSVIGLCVAFSLILLSLGSLIITWTLL >EOY04792 pep chromosome:Theobroma_cacao_20110822:4:25950974:25951647:-1 gene:TCM_019972 transcript:EOY04792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLQNPDLHEQITSLPLGLLAHKLSPPAAVPCFCAMLSCLHTGERRDSFENSYSYYSFVILVNEWVCIRSTMTRLDSPIYFKGSLFRGCFLWPLFCGAVFCGFCGSASDVFPFRGPGFGLWWLMDMGCYG >EOY03192 pep chromosome:Theobroma_cacao_20110822:4:7677508:7679486:1 gene:TCM_017821 transcript:EOY03192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVQLICGRCGKAFFPALQCRTLRSVSSLGLNYLVDNELCYVNNVDNLFMVSHIVLSPEYWCILILRLWWMDYRNIGIGCVSNYRCLTL >EOY03193 pep chromosome:Theobroma_cacao_20110822:4:7727102:7727493:-1 gene:TCM_017824 transcript:EOY03193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPTKLGKSRLVINLTTYFPIEIINANSMQVYQGVSHHLLGTISSNVKFIVKSSRILQFLLLKELKSVLIFL >EOY06663 pep chromosome:Theobroma_cacao_20110822:4:32583575:32589209:-1 gene:TCM_021319 transcript:EOY06663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Associated molecule with the SH3 domain of STAM 3 isoform 1 MKIDVNAMARRVEVDNRIPLRYYYRIADNLLKQASIYRDEKNIVDLYIILLRYSSLVSETIPFHRDYQVLLPKEKAQYRKRLLAVLDELESSKPEVHRRVEELNKSHAGARLLELDGHETTSYGSEKMSPLEWSSVNNGSNMSLDIEQPANMAVQSSWKYNNDRNLIDKQFQKLSLPFPNKETLSRHSFLGPDGLRGQWLGPRAEIKVQYPSSMDLVPAEKPGPNQAGQYGALAVKDGDPGGVGSAMESVLSLDDGRWLHPAEESCHPLISEAREDPFQFVGIRQPSPPPVLARVQQDFTPIPPSKVADPRPGPAKASQDGMPNSNSYQHLHVPVHMMEDFLRLARANTEKNLETCGVLAGSLKNRVFHITTLIIPKQESTSDSCSTLNEEEIFEVQDKLSLFPLGWIHTHPTQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDTSSPHGIFHLSDPGGVSIIRNCQQRGFHPHEEPSDGSPIYEHCSHVFMNPKIKFDVVDLR >EOY06662 pep chromosome:Theobroma_cacao_20110822:4:32583540:32589204:-1 gene:TCM_021319 transcript:EOY06662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Associated molecule with the SH3 domain of STAM 3 isoform 1 MKIDVNAMARRVEVDNRIPLRYYYRIADNLLKQASIYRDEKNIVDLYIILLRYSSLVSETIPFHRDYQVLLPKEKAQYRKRLLAVLDELESSKPEVHRRVEELNKSHAGARLLELDGHETTSYGSEKMSPLEWSSVNNGSNMSLDIEQPANMAVQSSWKYNNDRNLIDKQFQKLSLPFPNKETLSRHSFLGPDGLRGQWLGPRAEIKVQYPSSMDLVPAEKPGPNQAGQYGALAVKDGDPGGVGSAMESVLSLDDGRWLHPAEESCHPLISEAREDPFQFVGIRQPSPPPVLARVQQDFTPIPPSKVADPRPGPAKASQDGMPNSNSYQHLHVPVHMMEDFLRLARANTEKNLETCGVLAGSLKNRVFHITTLIIPKQESTSDSCSTLNEEEIFEVQDKLSLFPLGWIHTHPTQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDTSSPHGIFHLSDPGGVSIIRNCQQRGFHPHEEPSDGSPIYEHCSHVFMNPKIKFDVVDLR >EOY05989 pep chromosome:Theobroma_cacao_20110822:4:30542720:30545613:1 gene:TCM_020847 transcript:EOY05989 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative MRPIKISNIEVTHTSGIHSRAVPGYDRTSELKAFDETKAGVKGLVDGGVTKIPGIFVDQQLILDKRSAGSDSKFSVQIIDLEDINKDSSVRAEIIDEVRDACEEWGFFQDTETKKEFYTRDLSRKVVYLSNVDLWESQAANWRDSLFLFMAPHWPTPEELPEVCRDIGIDFSKRVMKLGLALLELISEALGLNPDHLKSMGCAEGLLLVGHYYPPCPEPELTLGTCSHTDPNFLTVPLQDQIGGLQVLHENQWVDVSPTPGALVLMSNDKFKSVNHRVLAQYNGPRISVASFLRTQPQLGIFPDSSRVYGPIKELLSEDNPPIYRDITARDFLTYIYSKGIDEVSSLAHFKL >EOY04214 pep chromosome:Theobroma_cacao_20110822:4:22749927:22755896:1 gene:TCM_019478 transcript:EOY04214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLNRGWWRMAAFDDGDIDASCRKYQLVFPTISVMGSANLVLLSQNKLREGVSHGIIIIELYPTAYKYPASRAPFIHLLENEPYEFLLMAVVISFPSFFHCYHKNKREKEAGEEQGHARNIFALKIIKDQGRSV >EOY02251 pep chromosome:Theobroma_cacao_20110822:4:143391:144634:1 gene:TCM_016783 transcript:EOY02251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFNVDGAAQGCPGKAGIGGVMRNNKGQIKVPFSKSIGIGDSNIAEVRAIREAFLIFSASKWAMSHSLVIESNSQNAVKWINAPGEAPWRFKKWIWVGSATWGVRVLGVSRRLWWCQIREAMHPGELKVMISRLKQSSDHVLRHAGGDHPGGSLVMGTVKGFHPDVPVRW >EOY05774 pep chromosome:Theobroma_cacao_20110822:4:29750865:29752557:1 gene:TCM_020688 transcript:EOY05774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMNSRKCFCMRIVLRGQHLAPLILIFRCAWIMSDFDSKGPNLLYYLFTFKYPTILEVNIVDPKAKAIF >EOY03845 pep chromosome:Theobroma_cacao_20110822:4:19851572:19853355:-1 gene:TCM_019044 transcript:EOY03845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tir-nbs-lrr resistance protein, putative MVLGRTLQDNGIQGYKGLLVVPVFYHVDPSDVRNQTGSFQQAFAEHEKNRIDKVQKWRHALTQAGNLSGFHMKKDEHEPTIIEEIAQDVLKKLNRMSASDCEAKQLLLKLFMMRPFPSLKVTTSWQMFREESEKLGAVTSLRDQLLSNILEEKNLHISTPRIESTFIKDRLRHKRVLVVLDDVSEVEQLESLAVNHDHFGPGSRIIVTSRDKQVLRNGVVDALYEVHELNDDNSLQLFSLYAFKQNHPVDDFKDLSNRVLQYARGVPLALKVLGSALYQRSREH >EOY04142 pep chromosome:Theobroma_cacao_20110822:4:22241119:22244565:1 gene:TCM_019398 transcript:EOY04142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MSASAMHHLHIRAAFLFVLLVTIFLSIQAPVCLGSDYEKFAGCNETFHCGIIENISSPFRQRGSPEYCGQPGFELFCEDGKPMITIMSQSYQILEFNMSLKALTVARTDYLYSLCPKHLVNTSLGLGPFHSAWNNKQIILYYGCRSPVANQSTELSDRQFHCTINGTDVLGYFAIPSALGNLSATVSDALASCRSSVFVPAFASVVQYLEYNSNSTNLNVALANGFGLEWTIANDSPGSSDGINLKLKLIIGLAVAAATIIVAGVVVVTFRLKNISLSRGMFMNFNQGKRNDRERIEAFILQYGSELTPKRYSYADIMKITKSFKDELGEGGFGAVYKGKLPDGRLVAVKVLRESKGDGEEFINEVASISRTSHVNIVPFLGFCYEGSMRALIYEFMPNGSLDKFICHQGLPREIHHLELKTLYEIAIGIARGLEYLHGGCNTRILHFDIKPHNILLDENFCPKISDFGLAKLCELKQSMLSMISARGTIGYIAPEFLCRSFGRVSHKSDVYSYGMMVLEMFGEKKDVHIEGSETSEMNFPLQIYEHLEQGADLNLKGITVDDEEITRKMIIVSLWCIQTNPSDRPSMTKVLEMLQGSIQSLGKPPRPLLYSPARSPQNSSTKTLFSQTFVMDNSQSEFF >EOY03242 pep chromosome:Theobroma_cacao_20110822:4:8988943:9019147:-1 gene:TCM_017944 transcript:EOY03242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLKVKKENTSLNLQTKSIVILLHSSIVILILGGINKQCREGSHVHANMLDICLHHPINGFEKLFLMVKTMLKLFHSLRHGEILRMSRWLRCRS >EOY03307 pep chromosome:Theobroma_cacao_20110822:4:12103053:12106886:1 gene:TCM_018181 transcript:EOY03307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa family protein MEALGGNGAFWGWTLPDIRSKKKRFQSKSKSSDSTTEATGAGGYRLPLKQAVTAASLALTGDTIAQLSDRWRKQKQSLSGSSDTSKILSLDLTLGFLNATGFCFKLSLRALRMTSYGFLFYGPGSYAWYKYLDYCLPHQTAQNLMLKVLLNQIVLGPCVITVVFAWNNLWLGKLSQLPNKYQKDAFPTLFYGFRFWIPVSMLNFWVVPLQARVAFMSTGSIFWNFFLSSTMSK >EOY02988 pep chromosome:Theobroma_cacao_20110822:4:3354204:3355326:1 gene:TCM_017382 transcript:EOY02988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MNTSTSKSKKKQTQSQETAGSANGGRFLGVRKRPWGRYAAEIRDPSTKERHWLGTFDTAEEAALAYDRAARSMRGPRSRTNFVYSDMPPGSSVTSIVSPDERSHLFVNSSDPEDQPHPNPQLYFNQDSTTTQCHFSSGYPEMTQEDVWGSSTSCHQDQPVIDNKGWSYSSESELPPLPSSFSADSSVTSNSGYGTGQGTWSDQHSSTGLMDWPDDPTRQINNEFESTGSDSFFGFDTSEYVHSPLFSRMPSVSDTAPDSFDLGGSSSSYFF >EOY04762 pep chromosome:Theobroma_cacao_20110822:4:25812606:25819008:1 gene:TCM_019944 transcript:EOY04762 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase 7 MVLEMFLKHHHSTLTNSLFCNICPLGISKIDLPALYVFGDSYVDNGNNNFLPHSAVANYLPFGIDFDGKPTGRATNGRTVVDFIATVGGFQYPPSILGLSEANRKSTRTGVNYASGSSEILSENGRSMHMNVLNFFQQVDLFENATLKDLKSSFSSTESFTEYLSKSVFFIHIASNDLGFTYETTASKDSPDKYSEVLVEELFKQLQRLYKLGACKVLVNNVSPLGCQPFNINTKNHTTSCVEEVNERIIIYNKFLFNSLTKWQSTLSGSKFVLEDLYKIFQDVYASAASYDVLEVPPFNINQQSLLQYLSLGDIKIDSPALYVFGDSYVDDGNNNFLPHSAVANYLPFGIDFDGKPTGRATNGRTVADFIATVSGFQFPPPILGMSEADRKTTRTGVNYASGSSGILSENGRSMHMNVLNFFQQVDLFENTTLKDLKSSFSSTESFTEYLSKSVFFIHTASNDLGLTYETATSKSSPDKYAEVLVEELFKQLQRLYKLGARKFLVNNVSPLGCQPFNINTKNHTTSCVEEVNERISIYNKLISNSLTKWQSILSGSKFVLGDLYKIFQDVYASPVSYGFKDVNTSCCVDGNGARILPCAQNVAPCEDRKSRVFFDPFHPTESMHFLWARRLLKDSSVCSPINLIQLMQA >EOY03516 pep chromosome:Theobroma_cacao_20110822:4:16641530:16642142:1 gene:TCM_018610 transcript:EOY03516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQNLSSLLIGLVGAAITLSAYSQTFISPTQCVTVGLLVLMFGLLVREGLISL >EOY04056 pep chromosome:Theobroma_cacao_20110822:4:21757052:21758985:-1 gene:TCM_019318 transcript:EOY04056 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative MAADETKVLPESYPMNGGDGKVSYARNSFAQRTAVDASKKFISEAIADLLDIEFDPILDSSIGFGIADLGCAAGPNTFYAVQNIIEAVDSKYAKQHQKSKALEYQVFFNDHVNNDFNTLFRTLPSPLRYFPVGVPGSFYGRLFPKDSVHLMHSSNSLNWLSRVPKSVGDINSPAWNADSIYCTGTVKEVVEAYSSQYKKDMENFLNARALELVGGGLLVLVLGGVPNSVTSSQTAIGKDYEILGSCLVDMANKGLISKEKVESFNLPIYYASPNEIEKLIQDNGCFSIVRMETFPGNRKHIYNSQMWTLIVRAGFEAIISNNFGSEMVEELFELYTKKHMDNVSIFSRDDVISLLHLNIVLKRKI >EOY02496 pep chromosome:Theobroma_cacao_20110822:4:1085118:1086074:1 gene:TCM_016959 transcript:EOY02496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal S17 family protein MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKIIEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKVDQIEVDKETLDMLSVLGMADIPGLVKVDPVAVPVPQIGFGRGGGPGRRF >EOY06521 pep chromosome:Theobroma_cacao_20110822:4:32151238:32155377:-1 gene:TCM_021212 transcript:EOY06521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MKMILRNPGITLCIRTKCCQSFNISKNLSSFSDGPSSELYKKSVPFVGKSSIQENPSRIEGVRKEVDDVCCVLESGPWGPALEHALSLLNEKPQPGLVIGVLRKLKDVNLAINYFRWAERKTDEAHCPEAYNSLIMVMARNKKFDCLEQILGEMSVAGFGPSNDACIELVVSCVKSHRLREAFDIIQTMRKFKFRPAFSAYTTLIGALSAVFESDLMLTLFQQMQELGYEVSVHLFTTLIRGFAKEGRVDAALSLLDEMKSNSFEADIVLYNVCIDCFGKVGKVDMAWKFFHETKAQGLIPDDVTYTSMIGVLCKANRLQEAVELFEQMEQNRKVPCAYAYNTMIMGYGSAGKFDEAYSLLERQKEKGSIPSVIAYNCILTCLGKKGKVVEALRIFEEMKKDAVPNPPTYNILMDMLCKEGNLEDAFRVRDAMKEAGLYPNVITVNIMVDRLCKAQKLDDACSIFYGMDHKVCCPNEVTFCSLIDGLGKHGRVDDAYRLYEKMLDANKIPNAVVYTSLIRNFFKCGRKEDGHKMYKEMLRRGCPPDLMLLNTYMDCVFKAGEIETGRALFEEIKAQGFIPDVQSYSILIHCLVKAGFAHETYQLFHAMKEQGCVLDTRAYNTVIDGFCKSGKVNKAYELLEEMKTKGHQPTVVTYGSVIDGLGKIDRLDEAYMLFEEAKSQGIELNLVIYSSLIDGFGKVGRIDEAYLILEELMQRGLTPNVYTWNCLLDALVKAEEVNEALICFQSMKDLKCTPNHITYSILINGLCRIRKFNKAFVFWQEMQKQGLKPNTITYTTMISGLAKAGNVVEAHGLFERFKADGGIPDSACYNAIIEGLSNANRAIDAYTLFEETRLKGFNIYSKTCVVLLDALHKAECLEQAAIVGAVLKETAKAQHASKYW >EOY04850 pep chromosome:Theobroma_cacao_20110822:4:26194858:26195790:1 gene:TCM_020018 transcript:EOY04850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKVQGITICKNRIPDLSLKKMISQLGQRHHCKFIIHSRKKKDTKMETRDLRDFLRIHGCCTKREHVNSRDKRHRTRV >EOY04818 pep chromosome:Theobroma_cacao_20110822:4:26055664:26056182:-1 gene:TCM_019992 transcript:EOY04818 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MYSASKMGSLVKKLGRSKPRLSYCRLAEAEDTKAVQARRGYVAMYVGEKAKRYEVPIKYLSSPAFQELLMRSQDDDLDTKIDGPITVACTSKRFEQLLKVVKHH >EOY03331 pep chromosome:Theobroma_cacao_20110822:4:12849194:12851163:1 gene:TCM_018258 transcript:EOY03331 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-ATPase 1 MRMGEMWSHVGSTMGSLMFIYALFKQFFPYHLQDYIEKHFKKLVSFAYPYVEITFDEFTGERMKRSEAYSAIETYLSGKSSASAKRLKADVVKDSQSVVLSMDFNEEVTDEFQGVKVWWAARKTPHKTQRISWFPADDEKKFYKLTVHKSYRELITESYVSHVLKKGKAIATKNRQRKLYSNNPSHNWYGYKRTKWSNVVFEHPATFDTLAMEAKKKEEIKNDLIKFSKGKDYYASIGKAWKRGYLLYGPPGTGKSSMIVAMANLLDYDVYDLELTAVKDNSELRRLLIDTSSKSIVVIEDIDCSLDLTGQREKKKKAKDKDDEGMDPIANMVNEEEKKESKVTLSGLLNCIDGLWSACGGERIIVFTTNYVEKLDPALIRRGRMDVHIEMSYCCFDAFKVLAKNYLKIESHPLFEEIERLLGETKMAPADVAENLMPKSDYEKEETCFERLIEALKTTKEEAIKKADEEAHAKEEKEEKEKQQSEKDENEKEKSADDAKK >EOY04150 pep chromosome:Theobroma_cacao_20110822:4:22287387:22289261:-1 gene:TCM_019407 transcript:EOY04150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein MAIEQHIVQPFSKPFTTRLMRNLVFHAISFVIGLSLGIIISLNLKSLPLIFQASLVSTSPSIPPGSTSPPIPQPATPPLSPPPPSPLPPLLLNSSSEQVLSFSTNGTSNSSSVCLEEQRSLMHNMTEKELLWRASMAPRIEESSHDQHAPKVAFMFLTAGPLPLAPLWEKFFKGNEGLYSIYVHSHPDYTETVPETSVFYGRRIPSKPVYWGTATMIDAERRLLANALLDISNQRFVLLSDSCIPLFNFNKIYDYLINSNLSFLSVFDDPRKAGRGRYNPQMWPAINITDWRKGSQWFEVHRDIALHIVSDKKYYSIFQQYCQPPCYNDEHYIPTLVNMFYGELNSNRSTTWVDWSRGGPHPRKFGWPDISDEFLNQIRHGSECIYNGNTTSMCFLFARKFSPGTLEPLLRIAPLVLDFDP >EOY04294 pep chromosome:Theobroma_cacao_20110822:4:23217646:23232252:1 gene:TCM_019545 transcript:EOY04294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 6, putative MGSFLYLSISMSLLNLLLLLFLVSSVQPLCHPDERSALLQFKESFCDNSTGHVIVLDLRSSYLYGSIDSRSSLFHLVHLQWLNLADNLFKNSKIPSEIRNLSRLTSLDLSYSDFSGQIPSEIFQLTELELLDLSGNSLKLRKPGMRSLLKNLTNLQELYLTDVRISSSVPNILAKFSSLKALILSNCDLRGDFPPRIFELPSLQFLSLQSNPDLTGYLPDIRSNHPLLKLSLANTNFSGQLPESFGNFKSLELLDINTCHFSGKVPYSLGNLTELTYLDLSFNSFSGPIPPSVGNLNQLMTLDFSYNNFSGEIPSSLANLTQLVYLSLHTNNFDRGTLSWLGTQINLTYLDLTNTSLSGNIPSSLQNLTQLTCLYLWANKLDGQIPPWIGNLTKLTEIKFQENNLSGPVPESIFKLENLELLYLHMNRLNGILMLDSFLELKNLTNLQLSGNNLSLLNSVSINATSPKFKLLGLASCNLSEFPHFLRSQDELEFLELSDNRVHGQIPKWFWSVGKETLQQLNLGFNFLTGFEELPVVLPWTHLEVFNLESNKIQGSLPHPPPSIVSYSFSNNSLSGEISPMLCNLSFLMTLDLSINNLTGMLPRCLFSQSDSLKVVSLRNNQFTGAIPSTYMKSCRDLSYENVPGSISAIDLSSNQFQGEIPEAISNLKQIRVLNLSNNNLTGHIPSALGEISNLESLDLSRNKLSGKIPQQLANLNFLESFNVSYNNLEGNIPRGAQFNTFDNDSYEGNSRLCGYPLSEKCGNPEVLQPPPRLAPKEDDEGIESVFKFDWKIVMTGYGAGLVIGMSIGYNFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQGNMSG >EOY05084 pep chromosome:Theobroma_cacao_20110822:4:27127784:27128238:1 gene:TCM_020179 transcript:EOY05084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPASRVGEWKWALDHLRIQVSSSRSHKNVMPPNKSHQSCNGARDDKNHTRINAPTHFLCSRQKLKTIQQNSVQNAKHCPNKMTPSNVVFPRV >EOY03818 pep chromosome:Theobroma_cacao_20110822:4:19566776:19602472:1 gene:TCM_019010 transcript:EOY03818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transport protein (BIG) isoform 1 MISISFRNTLPFHCTLSFLSINPSLPPHKKPYRHTLSLSLSLSLFSLFLCRKMADHLTRLCQFLAEEKLSSSPSSLDLLQKLRSDESIKRGLQQFYLMLITGLDPIEPASQTRFKSWSDSQILSLAYLGSSITSVFRSLSVEQLEPIIVAVGRKLVEFTVCFLEKSDFSCDDLSLQSNMIQLLEIILGDGTEKIVDSLQPASVNSLVDLLPIVSSNSGGIELDDLIKCGLQGFKCSRAEKQVDRLLSALASECVQSERQASGFYAPTFHQDLNCLIFLSQHWAVAHADCIQCLILLCKELVELPDIFDERMVGSNFRKRLSFSLRILKLLGCLIKDVPYVEYDSSLLEAVALCADVLPNLFRPSLEFVNNVAATEGNFESLVLLLVEEFIHLVQVIFCNSSVFQNVQACMVVSILEHLNPSIWRYNKAAATIKPPLAYFPRTVVYILKLIQDLRSQKHEFVDLKELDTELVGGCAHLSNDSPSCHVSLQKVPLLKRFTVDELLRMVFPPSSKWVDNLMHLICFLHSEGVKLRPKMERSTSCGKSNCSSELENAVCHDDEALFGNLFSEGSRSLGSADVCDQTPAVSSSSSNCNMPMQAALELLSFLKGCIFSPDWLPSIYKDGCRMLNTDHIDILLSILNCQGCHFEDNFAASHEEKKSGHIHELSFQLLHNLLARHALSDSLEDYLVEQILNVENGVFVYNDQTLTLLAHALFSKVGLAGSRLRTKLYRGFVSFIVEKAKAICSDCPNLKELLVTLPSVFHIEILLMAFHLSPEGEKATLANLIFSTLKAIHVPSAGSYSTQLSCWALVVSRLILLLRHMILHPCTCPQLLLLDLRSKLRETPCFVSHVPMNSTDSFSSLASFAAKNMTGTLVEEEPSSSSLINQLIDVAYLPSPLCIDDLAIGSLCMSWDDLCANFSYILGLWNGKKAASMEDLIVERYIFLLCWDIPTMKSSLDHQLQLWSNMQTLDISSIEHFIHFSHSLLGHCNVIGKIVNFQNLVVGLLRRLHAAHMQDNIENLGWDFLRNGMWMSLVLSLFNVGIGRYCVKNNIPGVGSFWTENRPRDNEYINSAEDFISCLIADGQTSELLRMFSSFLNRYLQAYEKAFLATLGGNQHDENMFSSVLLLKQSKFDKFLWDELLKKCGVNSFQLESVLDILLKLDGAVEKKASGISSKVFWECILHGFPSHLRTSSGILLSCILNIRGIIFTLDGLLKLHNLKENIFLETDVQRQILDSLMSVKLDRIFESLHGKCEDACLNLNAGLDLSDYTELFLLKRMEGFLRDMHSRDLGDTSVLEWVIMKTIDTMDALRKDPSKSVIFKFYLGAENMSEQLKELHGSQRGDILVLIDSVGNCCSESVNVKVLNFFVDLLSGELCPNLKLKIQSKFLSMDLLFLSKWLEKRLSGCIAEALEGVNSAKANSVSLRESTMNFILCLVSSHSELQSELHNHLFEAVLVSLETAFLQFDIHTAKSYFHFVVQLARGESSMRLLLKRTVMLMQKLAGEERLLPGLKFLFGFLGCFLSDCGSSRNTTEKCSGKPPSISSLVVGPVASRPVGSRKNSDTLVLSANRDGASASLECDATSVDEDEDDGTSDGEVASIDKDDEEDTNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSICAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGIDDSALTRGGNNFQSFLPFSEDADQLPESDSDVDEDVGADMENSLRLFIPKELQDGISMLLGELDVESQVLELCSTLLPSITSRRGSNLSKDKKIILGKDKVLSYGVELLQLKKAYKSGSLDLKIKADYSNAKELKSHLASGSLVKSLLSVSIRGRLAVGEGDKVTIFDVGQLIGQATIAPVTADKANLKALSKNLVRFEIVHLAFNSVVDNYLAVAGYEDCQVLTLNPRGEVTDRLAIELALQGAYIRRIEWVPGSQVQLMVVTNRFVKIYDLSQDNISPMHYFTLPDDTIVDATLFVASQGRMFLIVLSEQGSLFRLELSVEGHVGATPLKEIIHIQDREIHAKGSSLYFASTYKLLFLSYQDGTTLIGQLSANATSLAEISCVYEEEQDGKLRAAGLHRWKELLAGSGLFCGFSSVKSNSALAVSVGAHELFAQNLRHAVSSSSPLVGITAYKPLSKDKVHCLVLHDDGSLQIYSHVPVGVDASASATAEKVKKLGSNILNNKAYAGTKPEFPLDFFEKTVCITADVKLGGDAIRNGDSEGAKQSLASEDGFLESPSPAGFKISVSNSNPDIVMVGFRVYVGNHSANHIPSEITIFQRAIKLDEGMRSWYDIPFTVAESLLADEEFIISVGPTFSGSALPRIDSLEVYGRAKDEFGWKEKMDAVLDMEARVLGSNSLLAGSAKKSRSMQSVPIQEQVVADGLKLLSRIYSLCRSQEEELKADMSKLKSKQLLEAIFESDREPLMQAAACCVLQAVFPKKDLYYQVKDTMRLLGVVKSTSLLSSRLGIGGATGGWLIEEFTAQMRAVSKVALHRRSNLAIFLEMNGSEVVDGLMQVLWGILDLELPDTQTMNNIVISAVELIYSYAECLALHGKDTGGHSVAPAVVLFKKLMFFPNEAVQTSSSLAISSRLLQVPFPKQTMLGTDDVVESAVTAPVPADSSGGNTQVMIEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCEACYEVLDADRLPPPHSRDHPMTAIPIEVESLGGDGSEIRFSTDDLSDSNLVTSVTDVSMQTSAPSIHVLEPSESVEFSSSMTDPVSISASERAVNSLLLSELLEQLKGWMETTSGLRAIPVMQLFYRLSSAVGGPFIDSSKSETLDLEKLIKWFLDEINLNKPFVARTRSSFGEVAILVFMFFTLMLRNWHQPGSDGAASKATGNTDTPDKSVTQVSSLVSSLSSLSDHDKNDFASQLLRACNSLRNQAFVNYLMDILQQLVHVFKSPAAGLESAHGSNVASGCGALLTIRRDLPAGNFSPFFSDSYAKAHRADIFMDYRRLLLENAFRLVYTLVRPEKQDKNGEKEKVYKTSSGKDLKLDGYQEVLCSYINNPHTAFVRRYARRLFLHLCGSKTHYYSVRDSWQFSTEVKKLYKHVNKSGGFQNPVPYERSIKIVKCLSTMAEVAAARPRNWQKYCLRHVDVLPFLMNGIFYFGEESVIQTLKLLNLAFYLGKDMNHSLQKAESADSGTSSNKSGAQSLDSKKKKKGDDGIESGSEKSFVDMEVVVEIFTDKDGDVLRQFIDCFLLEWNSSSVRAEAKCVLYGVWHHGKHSFKETVLATLLQKVKCLPMYGQNIVEYTELVTWVLGKFPDNSSKQQIELVDRCLTPDVIRNIFETLHSQNELVANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLAFNQTELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICGNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDSMENDEDMKRGLAAIEAESENAHRRYQQLLGFKKPLLKIVSSIGENEMDSQQKDTVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMNYLHQKHSDNSGAASRFVISRSPNNCYGCATTFVAQCLEILQVLSKHPNSKKQLVAAGILSELFENNIHQGPKTARVQARAALCAFSEGDINAVAELNSLIQKKVMYCLEHHRSMDIAVASREELLLLSEVCSLADEFWESRLRVVFHLLFSSIKLGAKHPAISEHIILPCLRIISLACTPPKPDTAEKEQGVGKSAPVTQLKDESNSTVFGSHGGSVSSSKLMTESLEKNWDASHKTQDIQLLSYSEWEKGASYLDFVRRKYKVSQAVKGVGQRSRPHRTDFLALKYGLRWKRSACKTKSDLSVFELGSWVTELVLSACSQSIRSEMCMLISLLCAQSSSRRFRLLNLLMALLPATLAAGESAAEYFELLFKMIDSEDARLFLTVRGCLGTICKLITQEVGNIASLERSLHIDISQGFILHKLIELLGKFLEVPNIRSRFMRDNLLSEVLEALIVIRGLIVQKTKLISDCNRLLKDLLDSLLLESSENKQQFIRACICGLQIHGEEKKGRTCLFILEQLCNLICPSKPEAVYLLVLNKAHTQEEFIRGSMTKNPYSSAEIGPLMRDVKNKICHQLDLIGLLEDDYGMELLVAGNIISLDLSVAQVYEQVWKKSNSQSSSAIANSSLLSSGAVARDCPPMIVTYRLQGLDGEATEPMIKELEEDREESQDPEVEFAIAGAVREYDGLEILLHMIQRLRDDFKSNQEQLVAVLNLLMHCCKIRENRRALLRLGALGLLLETARRAFSVDAMEPAEGILLIVESLTLEANESDNISISQSVLTVTSEETGTGEQAKKIVLMFLERLCHPSGLKKSNKQQRNTEMVARILPYLTYGEPAAMEALIQHFSPYLQDWGEFDRLQKQHEDNPKDESIAQQAAKQRFTVENFVRVSESLKTSSCGERLKDIILEKGITGVAVRHLSESFAVAGQAGFKSRAEWASALKLPSVPHILSMLRGLSMGHFATQGCIDEGGILPLLHALEGVAGENEIGAKAENLLDTLSNKEGKGDGFLEEKVRRLRHATKDEMRRRALRKREEMLQGLGMRQEDGGERIVVARPFLEGLEDVEEEEDGLACMVCREGYSLRPTDLLGVYSYSKRVNLGVGTSGSARGECVYTTVSYFNIIHFQCHQEAKRADAALKNPKKEWEGATLRNNESLCNSLFPVRGPSIPLAQYVRYVDQYWDNLNALGRADGSRLRLLTYDIVLMLARFATGASFSAESRGGGRESNSRFLPFMIQMARHLLEQGGPSQRRNMAKAVATYIDSSTLDSKPISVGTQTEETVQFMMVNSMLSESYESWLQHRRDFLQRGIYHAYMQHTHGRSTAKIESSSSSRSPTSESGGDELLCIVRPMLVYTGLIEQLQQYFKVKKTSRSLASSKGEGSSTGGEGEGEGLEGWEVVMKERLLNVKEMLGFSKELVSWLDEMTSASDLQEGFDIIGALGDVLSGGYSKCEDFVQAAIAAGKM >EOY03819 pep chromosome:Theobroma_cacao_20110822:4:19566776:19602472:1 gene:TCM_019010 transcript:EOY03819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transport protein (BIG) isoform 1 MISISFRNTLPFHCTLSFLSINPSLPPHKKPYRHTLSLSLSLSLFSLFLCRKMADHLTRLCQFLAEEKLSSSPSSLDLLQKLRSDESIKRGLQQFYLMLITGLDPIEPASQTRFKSWSDSQILSLAYLGSSITSVFRSLSVEQLEPIIVAVGRKLVEFTVCFLEKSDFSCDDLSLQSNMIQLLEIILGDGTEKIVDSLQPASVNSLVDLLPIVSSNSGGIELDDLIKCGLQEGFKCSRAEKQVDRLLSALASECVQSERQASGFYAPTFHQDLNCLIFLSQHWAVAHADCIQCLILLCKELVELPDIFDERMVGSNFRKRLSFSLRILKLLGCLIKDVPYVEYDSSLLEAVALCADVLPNLFRPSLEFVNNVAATEGNFESLVLLLVEEFIHLVQVIFCNSSVFQNVQACMVVSILEHLNPSIWRYNKAAATIKPPLAYFPRTVVYILKLIQDLRSQKHEFVDLKELDTELVGGCAHLSNDSPSCHVSLQKVPLLKRFTVDELLRMVFPPSSKWVDNLMHLICFLHSEGVKLRPKMERSTSCGKSNCSSELENAVCHDDEALFGNLFSEGSRSLGSADVCDQTPAVSSSSSNCNMPMQAALELLSFLKGCIFSPDWLPSIYKDGCRMLNTDHIDILLSILNCQGCHFEDNFAASHEEKKSGHIHELSFQLLHNLLARHALSDSLEDYLVEQILNVENGVFVYNDQTLTLLAHALFSKVGLAGSRLRTKLYRGFVSFIVEKAKAICSDCPNLKELLVTLPSVFHIEILLMAFHLSPEGEKATLANLIFSTLKAIHVPSAGSYSTQLSCWALVVSRLILLLRHMILHPCTCPQLLLLDLRSKLRETPCFVSHVPMNSTDSFSSLASFAAKNMTGTLVEEEPSSSSLINQLIDVAYLPSPLCIDDLAIGSLCMSWDDLCANFSYILGLWNGKKAASMEDLIVERYIFLLCWDIPTMKSSLDHQLQLWSNMQTLDISSIEHFIHFSHSLLGHCNVIGKIVNFQNLVVGLLRRLHAAHMQDNIENLGWDFLRNGMWMSLVLSLFNVGIGRYCVKNNIPGVGSFWTENRPRDNEYINSAEDFISCLIADGQTSELLRMFSSFLNRYLQAYEKAFLATLGGNQHDENMFSSVLLLKQSKFDKFLWDELLKKCGVNSFQLESVLDILLKLDGAVEKKASGISSKVFWECILHGFPSHLRTSSGILLSCILNIRGIIFTLDGLLKLHNLKENIFLETDVQRQILDSLMSVKLDRIFESLHGKCEDACLNLNAGLDLSDYTELFLLKRMEGFLRDMHSRDLGDTSVLEWVIMKTIDTMDALRKDPSKSVIFKFYLGAENMSEQLKELHGSQRGDILVLIDSVGNCCSESVNVKVLNFFVDLLSGELCPNLKLKIQSKFLSMDLLFLSKWLEKRLSGCIAEALEGVNSAKANSVSLRESTMNFILCLVSSHSELQSELHNHLFEAVLVSLETAFLQFDIHTAKSYFHFVVQLARGESSMRLLLKRTVMLMQKLAGEERLLPGLKFLFGFLGCFLSDCGSSRNTTEKCSGKPPSISSLVVGPVASRPVGSRKNSDTLVLSANRDGASASLECDATSVDEDEDDGTSDGEVASIDKDDEEDTNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSICAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGIDDSALTRGGNNFQSFLPFSEDADQLPESDSDVDEDVGADMENSLRLFIPKELQDGISMLLGELDVESQVLELCSTLLPSITSRRGSNLSKDKKIILGKDKVLSYGVELLQLKKAYKSGSLDLKIKADYSNAKELKSHLASGSLVKSLLSVSIRGRLAVGEGDKVTIFDVGQLIGQATIAPVTADKANLKALSKNLVRFEIVHLAFNSVVDNYLAVAGYEDCQVLTLNPRGEVTDRLAIELALQGAYIRRIEWVPGSQVQLMVVTNRFVKIYDLSQDNISPMHYFTLPDDTIVDATLFVASQGRMFLIVLSEQGSLFRLELSVEGHVGATPLKEIIHIQDREIHAKGSSLYFASTYKLLFLSYQDGTTLIGQLSANATSLAEISCVYEEEQDGKLRAAGLHRWKELLAGSGLFCGFSSVKSNSALAVSVGAHELFAQNLRHAVSSSSPLVGITAYKPLSKDKVHCLVLHDDGSLQIYSHVPVGVDASASATAEKVKKLGSNILNNKAYAGTKPEFPLDFFEKTVCITADVKLGGDAIRNGDSEGAKQSLASEDGFLESPSPAGFKISVSNSNPDIVMVGFRVYVGNHSANHIPSEITIFQRAIKLDEGMRSWYDIPFTVAESLLADEEFIISVGPTFSGSALPRIDSLEVYGRAKDEFGWKEKMDAVLDMEARVLGSNSLLAGSAKKSRSMQSVPIQEQVVADGLKLLSRIYSLCRSQEEELKADMSKLKSKQLLEAIFESDREPLMQAAACCVLQAVFPKKDLYYQVKDTMRLLGVVKSTSLLSSRLGIGGATGGWLIEEFTAQMRAVSKVALHRRSNLAIFLEMNGSEVVDGLMQVLWGILDLELPDTQTMNNIVISAVELIYSYAECLALHGKDTGGHSVAPAVVLFKKLMFFPNEAVQTSSSLAISSRLLQVPFPKQTMLGTDDVVESAVTAPVPADSSGGNTQVMIEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCEACYEVLDADRLPPPHSRDHPMTAIPIEVESLGGDGSEIRFSTDDLSDSNLVTSVTDVSMQTSAPSIHVLEPSESVEFSSSMTDPVSISASERAVNSLLLSELLEQLKGWMETTSGLRAIPVMQLFYRLSSAVGGPFIDSSKSETLDLEKLIKWFLDEINLNKPFVARTRSSFGEVAILVFMFFTLMLRNWHQPGSDGAASKATGNTDTPDKSVTQVSSLVSSLSSLSDHDKNDFASQLLRACNSLRNQAFVNYLMDILQQLVHVFKSPAAGLESAHGSNVASGCGALLTIRRDLPAGNFSPFFSDSYAKAHRADIFMDYRRLLLENAFRLVYTLVRPEKQDKNGEKEKVYKTSSGKDLKLDGYQEVLCSYINNPHTAFVRRYARRLFLHLCGSKTHYYSVRDSWQFSTEVKKLYKHVNKSGGFQNPVPYERSIKIVKCLSTMAEVAAARPRNWQKYCLRHVDVLPFLMNGIFYFGEESVIQTLKLLNLAFYLGKDMNHSLQKAESADSGTSSNKSGAQSLDSKKKKKGDDGIESGSEKSFVDMEVVVEIFTDKDGDVLRQFIDCFLLEWNSSSVRAEAKCVLYGVWHHGKHSFKETVLATLLQKVKCLPMYGQNIVEYTELVTWVLGKFPDNSSKQQIELVDRCLTPDVIRNIFETLHSQNELVANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLAFNQTELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICGNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDSMENDEDMKRGLAAIEAESENAHRRYQQLLGFKKPLLKIVSSIGENEMDSQQKDTVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMNYLHQKHSDNSGAASRFVISRSPNNCYGCATTFVAQCLEILQVLSKHPNSKKQLVAAGILSELFENNIHQGPKTARVQARAALCAFSEGDINAVAELNSLIQKKVMYCLEHHRSMDIAVASREELLLLSEVCSLADEFWESRLRVVFHLLFSSIKLGAKHPAISEHIILPCLRIISLACTPPKPDTAEKEQGVGKSAPVTQLKDESNSTVFGSHGGSVSSSKLMTESLEKNWDASHKTQDIQLLSYSEWEKGASYLDFVRRKYKVSQAVKGVGQRSRPHRTDFLALKYGLRWKRSACKTKSDLSVFELGSWVTELVLSACSQSIRSEMCMLISLLCAQSSSRRFRLLNLLMALLPATLAAGESAAEYFELLFKMIDSEDARLFLTVRGCLGTICKLITQEVGNIASLERSLHIDISQGFILHKLIELLGKFLEVPNIRSRFMRDNLLSEVLEALIVIRGLIVQKTKLISDCNRLLKDLLDSLLLESSENKQQFIRACICGLQIHGEEKKGRTCLFILEQLCNLICPSKPEAVYLLVLNKAHTQEEFIRGSMTKNPYSSAEIGPLMRDVKNKICHQLDLIGLLEDDYGMELLVAGNIISLDLSVAQVYEQVWKKSNSQSSSAIANSSLLSSGAVARDCPPMIVTYRLQGLDGEATEPMIKELEEDREESQDPEVEFAIAGAVREYDGLEILLHMIQRLRDDFKSNQEQLVAVLNLLMHCCKIRENRRALLRLGALGLLLETARRAFSVDAMEPAEGILLIVESLTLEANESDNISISQSVLTVTSEETGTGEQAKKIVLMFLERLCHPSGLKKSNKQQRNTEMVARILPYLTYGEPAAMEALIQHFSPYLQDWGEFDRLQKQHEDNPKDESIAQQAAKQRFTVENFVRVSESLKTSSCGERLKDIILEKGITGVAVRHLSESFAVAGQAGFKSRAEWASALKLPSVPHILSMLRGLSMGHFATQGCIDEGGILPLLHALEGVAGENEIGAKAENLLDTLSNKEGKGDGFLEEKVRRLRHATKDEMRRRALRKREEMLQGLGMRQEDGGERIVVARPFLEGLEDVEEEEDGLACMVCREGYSLRPTDLLGVYSYSKRVNLGVGTSGSARGECVYTTVSYFNIIHFQCHQEAKRADAALKNPKKEWEGATLRNNESLCNSLFPVRGPSIPLAQYVRYVDQYWDNLNALGRADGSRLRLLTYDIVLMLARFATGASFSAESRGGGRESNSRFLPFMIQMARHLLEQGGPSQRRNMAKAVATYIDSSTLDSKPISVGTQTEETVQFMMVNSMLSESYESWLQHRRDFLQRGIYHAYMQHTHGRSTAKIESSSSSRSPTSESGGDELLCIVRPMLVYTGLIEQLQQYFKVKKTSRSLASSKGEGSSTGGEGEGEGLEGWEVVMKERLLNVKEMLGFSKELVSWLDEMTSASDLQEGFDIIGALGDVLSGGYSKCEDFVQAAIAAGKM >EOY03018 pep chromosome:Theobroma_cacao_20110822:4:3667405:3673028:1 gene:TCM_017429 transcript:EOY03018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein MRNGVQHVGECSSSTSWSSQQDTEDDQMIAVVLSEEYAKLDGAVARRLSGLAPVPHVPRINSFIPNVSDASLDHQRLLQRLQVYGLYEVKVSGDGNCQFRALSDQMYKSPEYHKHVRKDIVKQLKDHRNLYEGYVPMKYKRYCKKMAKSGEWGDHVTLQAASDKFAAKICLLTSFRDTCFVEIMPQYQAPKHELWLSFWSEVHYNSLYEIQGAPVQKPKKKHWLF >EOY02539 pep chromosome:Theobroma_cacao_20110822:4:1283512:1287296:1 gene:TCM_016989 transcript:EOY02539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucuronokinase G MSRKDSTASGVLKRYLLDCTYVSYQMNSAEAGVIEHKAYARVGLLGNPSDVYFGKTISFSLGNFWASVKLEPSHNLVIKPHPTHDLVEFNSLDHLVSRLQSEGYYGGVRLLMAICKVFYKHCKENEINLQQGNFTLSYDTNIPRQTGLSGSSAIVCAALSCLLDFYKVRHLIKVEVRPNLILNAEKELGIVAGLQDRVAQVYGGLVHMDFSKENMDKLGHGIYTPMDISLLPPLHLIYAENPSDSGKVHSTVRQRWLNGDEFIISSMAEVANIAAEGQNVILEKNYQKLAELMNCNFDLRRSMFGDECLGDLNIEMVEVARRVGAASKFTGSGGAVVAFCPDGPSQVKLLEDACQKAGFIIQPIQLVPSCLNEVDLQTLSESN >EOY03560 pep chromosome:Theobroma_cacao_20110822:4:17142605:17144879:-1 gene:TCM_018674 transcript:EOY03560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 17 MERSKVMMVVVVAAVAFALVVMVPQADATRYIVGANMGWTTNVNYTIWAQSKHFYNGDWLFFVYDRNQMNVLEVNKTDYESCNSDHPLHNWTTGAGRDVVPLNVTRHYYFISGKGFCYGGMKLAVRVENPPPPPTSSPLNEKSGSPSSMYRGRIVLPAVFAIGALWDAFVRVW >EOY05971 pep chromosome:Theobroma_cacao_20110822:4:30474113:30475213:-1 gene:TCM_020830 transcript:EOY05971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHKYENIVIRIMKNNNQVKWEPMWKATPHAFGRIWPLPACSIYLSKMKSPTNHIKWTKLEWLNSLQQLISETVCL >EOY03337 pep chromosome:Theobroma_cacao_20110822:4:13317276:13318043:1 gene:TCM_018295 transcript:EOY03337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWLRPHDFSDFSEHRQNCHGYGIRWNEAPGCYYMEVGPRVDIYNYLLESGISLGFQTCILSHGEKYTIFHNFFIYMMDLCLISRR >EOY03455 pep chromosome:Theobroma_cacao_20110822:4:15977445:15979274:1 gene:TCM_018538 transcript:EOY03455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 30, putative MGRPPCCDKVGVKKGPWTPEEDIILVSYIQEHGPGNWRAVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTEHEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKLQGSECHSRDGSSSSSQKISRGQWERRLQTDIHMAKQALSDALSPEKSSGLAELKPSNGYISYAKPGGYASSTENIAKLLKEWMRNPSKPVSANSAATQLSFDNMAGTDSASSEGTPSKEEKSSREMSEAFESLFVLESFDSSNSDFSQSMSPEASLFQDESKPDLNAQGQLSLLEKWLFDDGANQGKDYLSDITLDENANFF >EOY04112 pep chromosome:Theobroma_cacao_20110822:4:22060259:22069930:1 gene:TCM_019367 transcript:EOY04112 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein MMDVERSSLCNCVVNFLLEENYLLTAFELLHELLDDGRDAQAIRLKEFFTDPSHFPADQISRYNSLRVVDPQSLLEEKEAIEEKLALSDYELRLAQEDIMKLKTELQRKADLPQDKLSESSASNSVNHTPGISRQKRDAPFSDLGPLKANERKDLNCAVKEYLLIAGYRLTAMTFYEEAIDQNLDVWENSPACVPDALRHYYYQYLSSTSEAAEEKISMIRENELLQKANESLNHENKCLMKNKNLAEGQMNALTKSLEAAQKDLKDKEKLIQDLKHAWEHQRKELNDCRAEITSLKMHIEGSRSVQSSADSNVNPAHSGALESYKEEIKSLQMEIERLKAKKTNIPDLDDSSFAERESIQTEEKVVEMDENKTLISPIEPSGDIDSNAQSLPVQTFDNNTHKPEENLPESVTNPSNNIDGFPDGGVLSEQDEKTPPERNGFHLKSEILGSGPAPENMGLGTIQILADALPKIVPYVLINHREELLPLIMCAIERHPDNGTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDPATVVREAAAHNLALLLPLFPLMDKYFKVEELMFQLACDPSGVVVETTIKELLPAIINWGNKLDHILRVLLSHILGCAQRCPPLSGVEGSVEFHLRVLGERERWNLDVLLRMLAELLPYVHQKAIETCPFSSVSEPNGTIFSSSLLELYAGGHVEWPAFEWMHVDCFSGLIQLACLLPQKEDNLRNRTTKILLAVSEHFGDTYLTHIILPVFLVAVGDDADLTFFPPNIHLRIKGLRPRTAVAERLAALCILPLLLAGVLGGPGKREQLADYLRKLLVEGAMKENQSTSHNIDVVNAVRFLCTFEEHHGMIFNILWEMVVSSNIEMKIGAANILKVIVPYIDAKVASTHVLPALITLGSDQNLNVKYASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHEATIAVVRSLVIAVPHTTERLRDYLLSKIFQLTSMPVSATDVMRRRQRANAFCEAIRAVDATDVSANSIRDFLLPTIQNLLKDPDALDPAHKEALEIILKERSGGTFEALSKVMGTHLGIASSVTSFFGEGGLLGKKESTEPPTEAVESPKAVVAPAPAEDTRFMRIMRVTDMLRGKAKNQEETHQSQ >EOY03695 pep chromosome:Theobroma_cacao_20110822:4:18068725:18070596:-1 gene:TCM_018798 transcript:EOY03695 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MASPHTVKVLGTTRVTPASDSPISATEFSHPLTFFDTLWFKFHPVERIFFYQLNVSNPEYFHSVILPKLKRSLSLTLLHYLPLAAFSQGFCVGITAHHAILDGRSTTMFVKSWAYLCKQGNTENSSLPPELTPFYDRSVIKDPSGLDLLYLKQWLAFTSSDSDPNRRSLIIEQNIRDVPDDLVRATFDLSREHIKSLREKVLSKLDKAKPLHLSSFVLTFAYVSTCLIKARGGESDRTVNFGFAADARSRLNPPIPENYFGNCVLGPLASAKAGNFMDENGFATAAELASDMVKELEMNGILEQAEKKLTQFFDVIMETGKQVISVSGSPRFGVYGADFGWGKPRKVVIVSIDRGGAISLAESRDGSALIEIGLALNKHEMKTFASLFLDGLRDL >EOY03220 pep chromosome:Theobroma_cacao_20110822:4:8406744:8407918:-1 gene:TCM_017883 transcript:EOY03220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRSDDSPDAPHSASEGSLDSTTRSQWHPDIGNQESGQSWIPPRLEIMFRSGESLKSSETLESKASAETWERVKNFFSVMSKEKFKEKVKEAMAIGSYRPRKVSAVRNFPPRCGRVAAPVSREECIRVQQAWIKDNMEKPQEMEEDPSICLDQGSNDPNNT >EOY02725 pep chromosome:Theobroma_cacao_20110822:4:1916519:1931882:1 gene:TCM_017112 transcript:EOY02725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase, chloroplastic/mitochondrial MAAAKNKDKQTSAKRVAVVGAGVSGLAAAYKLKSHGLNVTMFEAEGRAGGKLRSVSQEGLIWDEGANTMTESEIEVRSLFDDLGIRDKQQVPIAQKKRYIVRNGVPVLIPSNPIALITSNILSAKSKFQIILEPFLWKKSDASKVSDAYNLESVGGFFQRHFGQEVVDYLIDPFVAGTSAGDPESLSMRHSFPELWDLEKRFGSIIVGAVKSKLSAKRENRGERKTSEKRKPLPGPFSFQGGMQTLTDMLCKDLSKDELKLKSKVLSLSYSHDGKSTLENWSLSYASDRDKRSQGSSFDAVVMTAPLCNVKEMKIMKGGKLFPLNFIPQVSYMPLSVIITTFKKENVKKPLEGFGVLVPSKEQQNGLKTLGTLFSSIMFPDRAPNNLYLYTTFVGGSRNKELAKASTDELKHIVTSDLRQLLGVEGEPTFLNHFYWSKAFPLYGRNYASVLKAIEKMETDLPGFFYAGNHKGGLSVGKAIASGCKAADLVISYLESSHQKLLKD >EOY03791 pep chromosome:Theobroma_cacao_20110822:4:19344782:19352694:1 gene:TCM_018979 transcript:EOY03791 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSASMLKTLERYQKCSYGAVEVSKPAKELESSYREYLKLKARYEALQRTQRNLLGEDLGPLNSKELEQLERQLETSLKHVRSTKTQYLLDQLSDLQNKEQMLMEANRALSIKLDEISARNQFRASWEGGEQSVPYGNQQAQSQGLFQPLECNPTLQIGYNPVASDQIAATTHAQQVNGFIPGWML >EOY05386 pep chromosome:Theobroma_cacao_20110822:4:28375117:28376418:1 gene:TCM_020396 transcript:EOY05386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMEAEEWSPGKAIEMFVTWVCVRCYPVLYTCVECMVELRLDDAVRNQNCCCYADEFDFRVILSW >EOY03925 pep chromosome:Theobroma_cacao_20110822:4:20410057:20465355:1 gene:TCM_019137 transcript:EOY03925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 81, subfamily D, polypeptide 8, putative MEPASTILYSSLSLILLLFCFKLLFQIKTSKQNLPPSPPSLPFLGHLHLIKRPIHRFYHSLSQKYGPIFSLRFGSRLVVVVSSPTAAGECFTKNDIVLANRPKLLIGKYLGYNWSTVVGSPYGDHWRNLRRISAIEIFSSSRLNAFLSIRKDEVKRLLLKLSSDDNSGQEFTKVELRSLFVDLTFNNIMRMVAGKRYYGGDSVTDEGEAKEFRELMKESLASGGVAHPGDFLPILNWIGGRSYLKKLMNLGERMDRFLQKLIDEVRAKRQGNTMIDHLLSLQQTEPDYYTDQLIKGLILVMLLAGTDTSAVTLEWAMSNLLNNPDVLKKARTELDSQIGQENLIDEPDVSKLQYLQSIIFETLRLNPAAPLLLPHMASTDCKICEYDVPRDTIVLINAWAIHRDSTLWEDPTSFKPERYGNGERESNKLMPFGLGRRACPGAGLAQRVVGLTLGSLIQCFEWERVSEKEVDMAEGDGTTMPKVVALEAMCKARPIVNKVLNGTI >EOY04903 pep chromosome:Theobroma_cacao_20110822:4:26372103:26376662:-1 gene:TCM_020052 transcript:EOY04903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MAKFVPEKKSMVVADVILVMTKITKHKLNGSNYLDWSKTVRVYLRSIDKDDHITNDPPTDNTRQTWMREDAWLFLQIRNSINSEIISLINHCEFVKELMDYLDFLYSGLPSELETVKSQILSGSEISSLHDTFTRVLHTESSNFTLAQTNNSALSYMIQNGILHQSSCIDTPSQNGVAERKNGHFLEVTRAFLFQMKVPKQFWADAVSTACFLINRMPSCVLHVTKLDPKSLKCVFLGYSRLQKGYRCYSPTLNRYLVSADITFLENSPFFSSSSSYDSQGKEDDLLVYTSIPDDQRLRPHALYQSLRRQIQSLVILILVFISLLLFAKFVCCILGFCSIPKTVHETLSHPGWRAAIVEEMMALDGNGTWDSVDLLAGKKVIGCKWVFAVKVNPDGSMARLKARLVAKGYAQTYGVDYSNTFSPVAKLTSVRLFISMVATCDWPLHQLDIKNAFLHGDLQEEVYMEQSPRFVAQGEYGKVCHLRKSLYGLKQSPRAWFGKFNEAVQEFGMKKSKCDHLVFCRHSEVGIILLIVYVDDIVITGSDTAGISSLKSFLHTQFQTKDLGLLKYFLGVEVTKSKKGISLSQRKYVLDLLTETRKLGAKPCNAPMTPICNLQKKMVNCLKTLKNADWAGSKSDRRSTTKYCVFIGGNLVSWKSTKQNVVSRSSVESEYRAMAQTLWEVVWMYQLLSEVGLKSSLPAKLWCDNQAALHIASNPVFHKRTKHIEIDCHFVREKIQQKFISTGYVKTEDQLGDIFTKALNEPRVDYIRSKLGMINIYAPA >EOY03723 pep chromosome:Theobroma_cacao_20110822:4:18395211:18396462:1 gene:TCM_018843 transcript:EOY03723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein MGFDRKKKGEIYLAFVLMIILLKSNSCRAALLVRSDTTYQRNGRLDECRIAQDLELELDLPISSNVIRILQGGSGTVTGGTPNRNRPAQNNCPTAYGNCIANGGNADCRNLYSCGRLQQG >EOY06586 pep chromosome:Theobroma_cacao_20110822:4:32378946:32380460:1 gene:TCM_046792 transcript:EOY06586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid developmental protein DAG MAYIIARRSLATLLSRTVSPASSSSSTLSSRSRFALALLNNAPVFSPEPVKILTRNRTSGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVLEFPDDPKPSEEEMIDAYVKTLASVVGSEEEAKKRIYSVCTTTYTGFGALISEELSYKFKGLLRVLWVLPDSYHDVPNKDYGGDLFVNGKVIRRPQYTGQVQGPGRFQAQRVRLRGQGERQMRRNQFENATRSDLSPPPSTKH >EOY06349 pep chromosome:Theobroma_cacao_20110822:4:31631914:31636429:-1 gene:TCM_046779 transcript:EOY06349 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEC14B MSRLRKDVNACNEGNALSRTCARQGCSENSGYLDHDIFQLTNLRSGPHDLLSRGVPGRMKLPVSTLKMLVGREGNYTGRGRFTPADSCHVLSRYLPVNGPWWVDQVKSRAYVSQFSADGSLFVAGFQRSHIRIYNVDRGWKVKKDIMAKSLRWTITDTSLSPDQRFLVYASLSPIVHIVNVGSAATESVANITEIHDSLDFAGDHHDDDGFGIFSVKFSTDGRELVAASNDNSIHVYDLEAKRPSLLIPAHKSDVNTVCFADETGHLIFSGSDDHLCKVWDRRCFVTKGKAAGVLMGHLEGITFIDSRGDGRYFISNGKDQTTKLWDIRKMSSNAAYTPRLRDPEWDYRWMDYPTHARALKHPHDQSIATYRGHSVLCTLIRCYFSPSYSTGQKYIYTGSSDGSLFIYDLVSGAQVASHHHHDAPVRDCSWHPFYPMMITSSWDGVIARWEFPGGNEEPSRWEPNHRGPFF >EOY03184 pep chromosome:Theobroma_cacao_20110822:4:7346091:7347045:1 gene:TCM_017799 transcript:EOY03184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFRRDGSSDTPHSASEGSLDSTAKSQWRPNLGCGVGAARVSKEEYIRIQQVWIKDKMGKSHEGEKDLEEDPSMCSNQGDDDPNGA >EOY05132 pep chromosome:Theobroma_cacao_20110822:4:27311698:27312320:1 gene:TCM_020214 transcript:EOY05132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ralf-like 32, putative MKTKWKEIYAMSLLLAPLLMMLLFQELSPHYRVAAAADNSYHEKKQCDGSMAECGDIDEELLMESESSRRILQANRISYGAIRRDLPACGGSGGQPYSTSCLPPSSNPYTRGCSKIYRCRH >EOY05677 pep chromosome:Theobroma_cacao_20110822:4:29453761:29455758:-1 gene:TCM_020618 transcript:EOY05677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFCQSELGSKRIDHNCQNYKHLYYTNLLEILAYYRYGIHLQVKLNILTLMLSNVENVENVQIKRPFFPSADKI >EOY03550 pep chromosome:Theobroma_cacao_20110822:4:17086227:17086825:1 gene:TCM_018663 transcript:EOY03550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMSDDYAFDQMHNDYVKDDTTNLNDDNYVGGQDDYLEEDRGDNNDIPDCNHVYGGTEHATTIVLEDVQCDDPI >EOY05693 pep chromosome:Theobroma_cacao_20110822:4:29521032:29522336:-1 gene:TCM_020632 transcript:EOY05693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate family protein 12, putative PSWPSVFSLNKNPSIPPLIVLVFIFKSPTHSPLLLRWKMSNIFWKNFHLCFTKLKSEHASSILPPSPTKQDETTSSRTLLLKNFNSLYDMSSSASTSKSLMTPSTDADFLSSDSDSDAESPPDFATVFASQRFFFSSPGRSNSIVESTDTRPEAECSTETPTLDGGVAVKKYSPDPYKDFRFSMQEMIEARNLTDVKEDWEFLHELLLCYLILNPKNTHKFIVSAFADIVISLLSSSTDSDSHPRPENHRR >EOY03989 pep chromosome:Theobroma_cacao_20110822:4:21072995:21074361:-1 gene:TCM_019220 transcript:EOY03989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein MKVVVEILTGNLFYIQVGNDATVGDLKKEIEAQENLPRQRMILVVDKNQSHPRINDEDAASLVDCGVQDGSHIYLFFSHLEDESPYHHREYGMEKKREEILPYTYISTMGEPFLTLANKVSDVTCE >EOY02826 pep chromosome:Theobroma_cacao_20110822:4:2432036:2434089:1 gene:TCM_017229 transcript:EOY02826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MEAIRRGLVWLLVFMILQRGEAQLSENFYSNTCPNLESIVKQEVSTKFSQTFVTIPATLRLFFHDCFVEGCDASVMISSPNGDAEKDAQDNLSLAGDGFDTVIKAKQAVERQCHGIVSCADILALAARDVVVLAGGPSWEVELGRLDGLVSKASNVAGNLPEPEFNRVQLNTMFARHNLTQLDMIALSGAHTVGFSHCNRFANRLYSFSSSSPVDPTLDPNYAQELMQACPRNVDPSIAINMDPETPQTFDNVYYQNLVAGKGLFTSDEVLFTDPASDPTVNDFATNPGNFNGAFITAMRKLGRVGVKTGKNGEIRIDCTAFNS >EOY06597 pep chromosome:Theobroma_cacao_20110822:4:32411363:32413892:1 gene:TCM_021269 transcript:EOY06597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNSLFLSLPLSHPPTLPCIRAFSLRATTLCPISFLTPTSSFTARRKFLRIPSPIMNSNSKLAETQPELTQLDDLSDFEKLLSPSGHISICGFGSLLSERSARSTFPNLLNFRVANLNGFRRVFAHVAPIFFDRGIAKLETKEISSLSVEPCEGETLIVTVFEIQKSEISAFMERELEFRFLAVLPETLDGEPFSNPAVLCARYSDEEFFQIRCKGSKDIYCQHYGRYNIDKIWRDDILPCRVYLRHCVLAAKNLSDVAYNNFVDHTFLGDRTTTIRTYLATTGSGIMEEEPPESLKSRYGG >EOY02743 pep chromosome:Theobroma_cacao_20110822:4:2020320:2021461:-1 gene:TCM_017137 transcript:EOY02743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCAIKRVISSEKECIQGIDFHYSCSSSILSISFTTKVQLTLFLIIGNIRGKVTIFMFTTLSSLASKKEKTASQVGNSRQSMEGCGHGRKESRSALTRQGRFG >EOY06523 pep chromosome:Theobroma_cacao_20110822:4:32155735:32160569:1 gene:TCM_021213 transcript:EOY06523 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein isoform 2 MTIPPLPQHSDNDRSSSELRAVDCNLNSLCEHIQMEGFNGGSFSDIVVNAMGSTYHLHRLILSRSSYFRNMLHGPWKEAKAPMVTLNVDDNNVNGEAIAIALAYLYGHHPKLNDNNAFRVLAAASFLDLQDLCAICTDFIISELWTSNFLAYQVFAESQDYGIHGERVRNACWGYLCQSGAMELKEVLPKLSSQTLHALLTSDELWVHSEEKRFELALHTLLSKGAFYKTEHSDQGSSSPEMAIGIPPESSKAKGKDLVDSCPGKRLESELGCLSLKGDLEHCNAAQNLLVELTECMVDIQTGVSSSEKQVPQPKYPQSEPIYPCNMDQSSSMNNSFSDAEGIRTSCSYVEMPIGVGTSGLGASGMAMEGPSEEGSCYHLNNDNWLASDQSRNCSSVDSSCSGIMLNDWGRCGMASLSWGGRVVGKRQVKSYAKGNCGIRGEEYDAFVNIFEGGSLLYCNMSFEELLNVRKQLEELGFPCKAVNDGLWLQMLLSQRVQEVGADTCKNCCLTSMQCACRQPFGFPHGVATTGYYVQEHDQNHLTGNIGNVYVADNNQGEGSGLFRPVRVHVRGPIDGLAGIGRGATFVPAAAWPPTRFVFSRVPFGMGNRNGQQSLPNDDSEARADHNGDMSGGGLTALVELSQGGSNATNVHGEQTERSYETDLQSRVPVTSAAAPATSGIAVQMLESPEHAIGIEWENATSSSISLDMKTPLSHFPPFRFGVEFEDVHRLGDGQVKHSPEFFYAGSLWKVSVQAFNDEDPQGRRTLGLFLHRRKAEITDSLRKLICPSKREVMVFGSFKQRGTLLPKAPKGWGWRTALLFDELADLLQNGALRVAAVVQLV >EOY06522 pep chromosome:Theobroma_cacao_20110822:4:32155533:32160574:1 gene:TCM_021213 transcript:EOY06522 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein isoform 2 MEPQFSRPRSYGPPTHQQQQQQQLKMTIPPLPQHSDNDRSSSELRAVDCNLNSLCEHIQMEGFNGGSFSDIVVNAMGSTYHLHRLILSRSSYFRNMLHGPWKEAKAPMVTLNVDDNNVNGEAIAIALAYLYGHHPKLNDNNAFRVLAAASFLDLQDLCAICTDFIISELWTSNFLAYQVFAESQDYGIHGERVRNACWGYLCQSGAMELKEVLPKLSSQTLHALLTSDELWVHSEEKRFELALHTLLSKGAFYKTEHSDQGSSSPEMAIGIPPESSKAKGKDLVDSCPGKRLESELGCLSLKGDLEHCNAAQNLLVELTECMVDIQTGVSSSEKQVPQPKYPQSEPIYPCNMDQSSSMNNSFSDAEGIRTSCSYVEMPIGVGTSGLGASGMAMEGPSEEGSCYHLNNDNWLASDQSRNCSSVDSSCSGIMLNDWGRCGMASLSWGGRVVGKRQVKSYAKGNCGIRGEEYDAFVNIFEGGSLLYCNMSFEELLNVRKQLEELGFPCKAVNDGLWLQMLLSQRVQEVGADTCKNCCLTSMQCACRQPFGFPHGVATTGYYVQEHDQNHLTGNIGNVYVADNNQGEGSGLFRPVRVHVRGPIDGLAGIGRGATFVPAAAWPPTRFVFSRVPFGMGNRNGQQSLPNDDSEARADHNGDMSGGGLTALVELSQGGSNATNVHGEQTERSYETDLQSRVPVTSAAAPATSGIAVQMLESPEHAIGIEWENATSSSISLDMKTPLSHFPPFRFGVEFEDVHRLGDGQVKHSPEFFYAGSLWKVSVQAFNDEDPQGRRTLGLFLHRRKAEITDSLRKVHMYVDSREKVTARYQLICPSKREVMVFGSFKQRGTLLPKAPKGWGWRTALLFDELADLLQNGALRVAAVVQLV >EOY02457 pep chromosome:Theobroma_cacao_20110822:4:908274:912174:1 gene:TCM_047031 transcript:EOY02457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLLVTADSTTLSYWLNWRVLLCSVIVLTPIIIALFIIWTYEGLKQVKCEGRENQVGIGCDELYNDDVWRPCLSEIHPSWLLVYRFVAFCLALATIISKVVTNGGGIFYYYTQWTFTLVTIYFGFGTLLSLQGCYQHQKISSCGCSNVQHFRIDAEQGYYMPLNNRKDTNVQRKALIPQEKSNVSQAAGFFSYLFQVIFQMNAGAVMLTDFIYWSIIFPFLTIRDYNFNFMTVNMHTLNVILLLGDAALNCLQFPWFRISYFIIWTGAFVIFQWIIHACVSIWWPYPFLDLSSPYAPLWYCLLAFMHLPCYGMFVLIVNTKHYLLSKWFPQSYRCLR >EOY06814 pep chromosome:Theobroma_cacao_20110822:4:33021382:33022162:1 gene:TCM_021431 transcript:EOY06814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSEQSQMQTLYIKTWKPSEPNLNFLSSSCYFLRIPCNLNPVSEGKRRMKADDACCSQSCTTGPCNKLKWRRLCEASAKLYKEFRHDFVIKWLEFWKEGNNLVTLCTILVELVQEMSKVPLQKRKSTLVRKNEG >EOY06552 pep chromosome:Theobroma_cacao_20110822:4:32247211:32247998:1 gene:TCM_021233 transcript:EOY06552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein, putative MALKTLMYVCLLVAAMALASPMAEAQLGGLISGLLGLIRIQGTVFCTMDGNMGVNGTATPVFPNALVQLQCGAGNVVSSATTNGSGVFSILLDPLQFLLPSLLNNCNLAVKTPLSNCNAALPSVGGLISSLQSLGSTLVGLLNIINIVPAGFRLLPST >EOY02265 pep chromosome:Theobroma_cacao_20110822:4:202917:205661:1 gene:TCM_016791 transcript:EOY02265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAENMNGVSADENKCCHREETLYGVLHRLVSMIIFPDASSSASTPLLQRIKISISENGPHLGEASRNTGRAVLMWTRRGSPIRALLVISVGTITFLTLTGLLVFMLFFLAATVNAIVISLLISLAAAGGFLALFFACVTAIYIGALSVAAFVISTATISAIVAVIVAAGWVGFIWAVWLATRKSVALAKHSLSMTGSALSVYSSARHTRHYQESDKVSD >EOY04157 pep chromosome:Theobroma_cacao_20110822:4:22339189:22340893:-1 gene:TCM_019418 transcript:EOY04157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATTSSPSIINSTVYCNVHVPLFEVENYDFRVIKKEILFLSLDVLDLIKNGYEESCIDCTSVLDKQLHELKKQKITDAGVLGIIQMGDSPTIFPWIRRAKKSKEAWEILQQEFEGHSKVADLEEVEEEEEIHVEEVEEEEEIHEEEVEAIMGDKVAMKDPKNGVEFVRRTIMKRKIVGTKERHSDMIARSSILCRKIAFEEQKNVWYMDSGCSNHMTEDKEAFLDMDSSFSSKVKLGNGENVEVKGKGSIGVEAKPFKILFMCQSRKKISEHWITFEA >EOY05282 pep chromosome:Theobroma_cacao_20110822:4:27862017:27868333:1 gene:TCM_020318 transcript:EOY05282 gene_biotype:protein_coding transcript_biotype:protein_coding description:NBS type disease resistance protein, putative MAGALVGGAFLSASLQVLFDRMASREVLDFIRGRKLKNRLLIKNLEIALLSIGAVLDDAEEKQVTNKNVKKWITELKDAVYDAEDLLDEISTEARKRRFEAENQTSTAQVCRFFSSLNPFDHKGIESKLEEIIERIEMLVKQKYVLGLKEGRVEMSFQRSPATSLVDECDVCGRDDEKEVIMKLLLSDDASGNQIGVIPIVGMGGIGKTTLAKLIYNDNRINQCFELKAWVCVSEEFDTFRITKTIFEQIISGTYDIKDLNQLQLVLKEKLLGKTFLFVLDDVWNEKYVEWEELKSPFNSGAIRSKIVVTTRHENVASIMRTVPTHHLNHLSDEDCWLLFAKHAFGNSDPGMHPILEDIGKKIVKKCKGLPLAAKTLGGVLRSKPDVKEWEKMFKSDIWDLPDDASNILPALMLSYHHLPSHLKRCFAYCSLFPKDYKFRADELIRLWMAEDLLEHPKEHMKMEEVGDEYFKSLLSRSFFQQSSGDKSCFVMHDLINDLAKFVSGEFFCQLEGDKGTSKRTKRTRHLSNIRKEYDLFQKFEALDESKHLRTFLTLSSSSWSWSSYVTNRLVHNLLPKLRKLRVLSLSKYENISTLPDDIGDLKHLRYLDLSETSIERLPESLSSLYNLQTLILFGCEKLVELPRSMGSLINIHYLDLRGTKLTNMPSQMCKLKDLQILTNFVVGEQSGANINELGKLQHLREGISISKLQNIVGAKDAKDANLKGKVNLQELALGWSGHTDNSEHDRQVLSELEPHTFLEHLVIEYYGGTRFPDWVGQSSFSNILSLRLSNCEHCFFLPPLGQLPLLKDLSIEGFVAIVTVGTEVFGSSPSVAKPFGSLEILRFTNMPEWIEWFSLSEGAFTHLHELYLKDCPKLIKALPNHLPSLTKLVIQDCGRLGGSLPRAPSINELELVSSDVVQLEALPPGLRKLKIEGSDIPDYILALMLQNCTCLEELSLSKCSSLKSLPQGCLPATLKKLSIRSCPGLEFSTILLYTSLEMLSLVGSCHSLQSFPLGSFPKLNTVYIFYCQDIDSFTASDQTNQDLTSLKSMHIFRCPNLFSFPQGGLSAPNLTWLWFYECNNLKSLPENMHSLLPSLEGLCIYNCPEIKSFPEGGLPSKLKFLRIDACDELIARRMEWGLQRLPSLMSFNISTNADIESFPDETLLPSSLTSLSISILPNVKFLDYKGLQNLTSLRQLEMWYCPKLQFLPAEGIPFSLSFLHIVHCPLLSPHCQRESGKDWPKISHIPVIKIDNDVVSID >EOY04499 pep chromosome:Theobroma_cacao_20110822:4:24675140:24676612:1 gene:TCM_019740 transcript:EOY04499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSAADIWQTLKNHFSQPDDTRICNLQYSLCNITQDTRPVDSYFTKLNGIWEELKNYRPLPYCECGKCTQSCFQKYIELWEKDRVFRFLNGLNESFSALRSHIIMIKPFPSLDEAYNLVLREESQRSILMQSQPLLDTTVVAVVTESKIRVKNEVVCSHCAKNGHVKEKCYCIIGFPPDFKFTKGKGNFSRKAMSAVANSTNQSQVENQED >EOY02850 pep chromosome:Theobroma_cacao_20110822:4:2646222:2658266:1 gene:TCM_017260 transcript:EOY02850 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 6 MDDEYAKLIRRVNQPRVVIDNNSSEDATVIQVDSVNRHGILLEVVQVLTDMNLVITKAYISSDGGWFMDVFNVVDNDGNKIGDKEVIDYIQRRIETSAGFVPSRRGSVGVMPSEEHTSIELAGTDRPGLLSEVCAVLADLHCNVVNAEIWTHNARAAAVVHVTDDSTGCAINDPKRLSTIKELLYNVLKGSDELKTAKTMLSAPGVMHRERRLHQIMFADRDYERVERAGVRAVEDGSSRPQVSLLNIEKDYTVITMRSKDRPKLLFDIVCTLTDMQYVVFHGMVNTGRMEAYQEFYIRHVDGLPISSEAERVRVIQCLEAAIERRASEGLMLELCTEDRLGLLSDITRIFRENSLCIKRALISTKGGKAKDTFYVTDVTGNPVDPKIIDSIRRQIGQSALQVKHNSNLTPKPPHQETTMGYFFGNLFKARTFQNFKLVRSYS >EOY06155 pep chromosome:Theobroma_cacao_20110822:4:31129758:31132775:-1 gene:TCM_020968 transcript:EOY06155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acidic leucine-rich nuclear phosphoprotein 32-related protein 2 MDTVHNDAKDVNGYNNKILGAIDSPKLEDHADGEEYSESNSLLPPKKGGMSRKPEKTRRKVQWNDKNGNKLVEVLEFEPSDVSDSDDEDSDSCICIIM >EOY02446 pep chromosome:Theobroma_cacao_20110822:4:874252:874911:1 gene:TCM_016918 transcript:EOY02446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELSGFSESEGNEVKTKEMFSKRLTRTDMSKILALPIKRLSLFPPFDGGNEIELATQPVFSRGRLDFVVAKGLTVGDKVTLCKKEDTAELFGRVLCQSRYSVKADRTAEASIGTGTRAAAGASETTLEHKNVLRASEDISTVDAGVGEIAAVNSI >EOY02259 pep chromosome:Theobroma_cacao_20110822:4:177674:191744:1 gene:TCM_016787 transcript:EOY02259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endomembrane protein 70 protein family MERIRACLVALIVLSLCGVPQVRSSASDHRYKAGAEVPLYANKVGPFHNPSETYRYFDFPFCSSTPVKEKNEALGEVLNGDRLVSAPYKLDFLNDKEAEIACKKKLTKEEVAKFRSAVSKDYYFQMYYDDLPIWGFLGKVDKEGKVDPSEYKYYLFKHLIFEILYNKDRVIEITVQSDPNALVDLTEDEQVNVDFMYTVKWKETETPFEKRMDKYSLSSSLPHHLEIHWYAHDEESADDQEETGWKYIHGDVFRYPKHKSLFAAALGSGTQLFTLTIFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTTASFYCQLEGTNWVRNLLLTGGLFCGPLFITFCFLNTVAIAYKTTAALPFGTIVVIFLIWALVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPPLPWYCKTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVVIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYSYNARSDMSGFMQTSFFFGCMACICYGFFLMLGAIGFRASLFFVRHIYRSIKCE >EOY03347 pep chromosome:Theobroma_cacao_20110822:4:13620179:13623761:-1 gene:TCM_018324 transcript:EOY03347 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIPL1 protein precursor MAGVLTVIFIFSCQLLLTHHSSPHPLCTNFRAPITSKTPLSFCQYNGSVCCNSTEDLQLRNQFKSMNVSDSGCASLIKSILCSRCDQFSAELYQFESIPRPVPVLCNSSLSTNSSLSQLATIDFCSKVWDECHNVSITSSPFALQGKGGILINSTSKLTDLWQSTGAFCDEFGGASYDGATCFAGGPVMLNSSESPTPPSGICLEKIGNAPYLNMVAHPDGSSRVILSNQEGKIWLVTVPEEGSGEILGIVESNPLLDLTDEVHSDSELGFMGMAFHPNFQKKGRLFGSFNCDKVQWAGCLGRCSCNTDVGCDPSKLSSDNGAQPCQYHSVIAEFTTNGTTSDISSVTRIRPVEVRRILTIGLPFTSHHGGQILFGPEDGYLYFMMGDGGGIGDPYNFSQNKRSLLGKILRLDIDSIPSAKATRDLGLWGNYSIPKDNPFSEDKELLPEIWALGFRNPWRCSFDSERPSYFLCADVGQDQYEEVDIVTKGGNYGWRFYEGPFLYNSSNSSVANKSASPINAIFPVMGYKHSDVNQVEGSASITGGYFYRSRTDPCLYGRYLYADLYADAIWAGTESPKGSGNFTTSELPIKCAHDSPIPCTTEPDSTTPALGFVFSFGQDNRKDIFILASSGVYRIVRPSRCNYTCSRENVTDLSGPETVVPPSTSPSTGSKLTNPLRLLQVFPCASLFLLLSFFL >EOY02306 pep chromosome:Theobroma_cacao_20110822:4:359363:360568:-1 gene:TCM_016822 transcript:EOY02306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MGKLSHDLHIQHFSHPHLLELTNPLTLNITPCSGCKLQSSGWMYICKSCNFTLHTSCSQLPQLITHPAHPGHPLTLLPTPAYPVRCFNCDACGQQGHGFSYHCYQCNFDIHSVCASKPLSLLHQSHPCQLQLFFYPPYETKGFSCDLCHQIGSNHWLYRCSICNFDVHLTCASTAAYTNTTRQATIQFQPRNSYPGRNNLQYGNGPVQMNNQYYMQTSQSQNNATAMSGNALMDAAVQGFAEGAGQQVGQNFVQSLMGDDSNNGSNGNNGNNNNSSSSILDIGSSLLSGMLGTS >EOY04559 pep chromosome:Theobroma_cacao_20110822:4:25002549:25007713:-1 gene:TCM_047046 transcript:EOY04559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) polymerase 1 MVSLEGLSGLPMSGITKPISMAGPTKADILKSRQLKKFLVEARLYESKEEAAKREEVLGKIQEIVTSWVKQLTRLKGYTDKMVEDANAVIFTFGSYRLGVYGPGSDVDTVCVGPSYVNREHDFFFVLHNILSERKEVTELQPVPGARVPVMKFKFDGISIDLLYARISLLVVPQDLDISDVSVFYNVDESTVQSLNGRRVADQILKLVPNVEHFCTTLRCLKFWAKRRGVYSNVTGYLGGVSLALLVARVCQLYPNAVPSMLVSRFFRIYTQWRWPNPIMLCAIEEDELGFSVWDPRKNPRDRTHLMPIITPTYPCMNSSYNVSTNTLRVMTEQFQYGNNICEEIQLNTVKWSALFEPYRFFESYKDYLQVDIVAANADDLRAWRGWVESRLRQLTLMIERDTYGKLQCHPYPHEYVDTSKSRAHCAFFMGLQRKQGEIVQEGQPFDIRGSIDEFRHSVSKYMFWKPGMEISVSYVRRKQLPAYVFPDGYEHPQNRWLTPHHKLSHSNGNACTESGGKCLKRKKDLDGEYSEHSRLEKRQYLDGNKSVSPEIISCELNRMSSGCSTFDQDEMNGAAEGNASSTSSVISSCSNEDIGNECPAGISEGSNGGDNGSVEGSTSPSSSQSDSNDADLKSSLEDEHADHRNKNKPRHGASCPACAVLHYHARVRDLTYLPFAERELMLIKIAVNAAARRDVLDIANIFRAKAVDVSGHTITLEGEGEEEGMIANGIFIDHDSLSLTGDLDKMVALQRLLEPYGICEVARTGRVALVRESGVDSKYLRGYSFPV >EOY02463 pep chromosome:Theobroma_cacao_20110822:4:921180:930132:1 gene:TCM_016930 transcript:EOY02463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase, putative isoform 1 MSSLAIKARAKANPLLTWRALRFRTICSGGLGFATSESDPPYPPVAGTKFLESFKEEFEIGSRVISLETGKIARFANGAVVLGMEETKVLSTIAAGKGDAVRDFLPLTVDYQEKQFAQGVIPNTFMRREGAPKERELLCGRLIDRPIRPLFPAGFYHEIQVMASVLSSDGKQDPDVMAANATSAALMLSDIPWGGPIGVVRIGRICGQFIVNPTMDELSLSDLNLVYACTRDKTLMIDVQAREISEKDLEAGLRLAHPEAVKYLEPQIRLAARAGKQKKEYKLSMVSEQTFEKVRNLAEEPIEAIFTDPSYGKFERGEALEKIAQDVKNALEEECDEESLKVLPKVVDTVRKEVVRKKIISEGSRVDGRHLDEVRPIYCEAGHLPILHGSALFNRGDTQVLCTVTLGAPQDAQRLDSLVGPPTKRFMLHYNFPPFCINEIGKRAGLNRREVGHGTLAEKALLAVLPPEDCFPYTVRINSEVMASDGSTSMATVCGGSMALMDAGIPLREHVAGVSVGLVTDVDPATGEIRDHRILTDILGLEDHLGDMDFKIAGTRNGVTAIQLDIKPAGIPLDIICDCLEPARKGRLQILDHMEREISAPRTQDDRNSPRLVTLKFTNDAIRKFIGPLGSVKRKIEEETGARISVGDGTITIVAKNQAVMEKVQDKVDFIVGHEIEVGGIYKGIVTSVKEYGAFVEFNGGQQGLLHISELSHEPVSRVSDVVSVGQQISLMCIGQDVRGNIKLSRKATLPQPGSKTKIAVEGSAPVSKEAPNVWVSLENVSNGEQQTSTVEELPLRKNEDAEANPFASSAPAVVIRSAAECDEEEKSAGLSKTAKSAPKRMGILKRNNKLKTVQPSNNKPDSTLSSLLSNSLSLMGREKEFISEDEGENNLSNQKDKETDDKTPMTPQKLKLGTKVTAKVYQIRARGLVLDLGGGIRGMYRFEMQPNGEKDFNVGDELPVQCSSFTSKGIPVMSLVDEE >EOY02464 pep chromosome:Theobroma_cacao_20110822:4:921478:930247:1 gene:TCM_016930 transcript:EOY02464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase, putative isoform 1 MEETKVLSTIAAGKGDAVRDFLPLTVDYQEKQFAQGVIPNTFMRREGAPKERELLCGRLIDRPIRPLFPAGFYHEIQVMASVLSSDGKQDPDVMAANATSAALMLSDIPWGGPIGVVRIGRICGQFIVNPTMDELSLSDLNLVYACTRDKTLMIDVQAREISEKDLEAGLRLAHPEAVKYLEPQIRLAARAGKQKKEYKLSMVSEQTFEKVRNLAEEPIEAIFTDPSYGKFERGEALEKIAQDVKNALEEECDEESLKVLPKVVDTVRKEVVRKKIISEGSRVDGRHLDEVRPIYCEAGHLPILHGSALFNRGDTQVLCTVTLGAPQDAQRLDSLVGPPTKRFMLHYNFPPFCINEIGKRAGLNRREVGHGTLAEKALLAVLPPEDCFPYTVRINSEVMASDGSTSMATVCGGSMALMDAGIPLREHVAGVSVGLVTDVDPATGEIRDHRILTDILGLEDHLGDMDFKIAGTRNGVTAIQLDIKPAGIPLDIICDCLEPARKGRLQILDHMEREISAPRTQDDRNSPRLVTLKFTNDAIRKFIGPLGSVKRKIEEETAGARISVGDGTITIVAKNQAVMEKVQDKVDFIVGHEIEVGGIYKGIVTSVKEYGAFVEFNGGQQGLLHISELSHEPVSRVSDVVSVGQQISLMCIGQDVRGNIKLSRKATLPQPGSKTKIAVEGSAPVSKEAPNVWVSLENVSNGEQQTSTVEELPLRKNEDAEANPFASSAPAVVIRSAAECDEEEKSAGLSKTAKSAPKRMGILKRNNKLKTVQPSNNKPDSTLSSLLSNSLSLMGREKEFISEDEGENNLSNQKDKETDDKTPMTPQKLKLGTKVTAKVYQIRARGLVLDLGGGIRGMYRFEPNGEKDFNVGDELPVQCSSFTSKGIPVMSLVDEE >EOY05454 pep chromosome:Theobroma_cacao_20110822:4:28615401:28616544:1 gene:TCM_020450 transcript:EOY05454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7 MAEAKLLGTWVSPYTYRVKWALKLKGIAFDYVEEDLCNKSSLLLQHNPFHKKVPIFFHGGKSICESLIILEYIEEIWPQNSLLPSNPYERAMARFWIKFAEDKGPAMWMVGQGQEKAEKDSLEMLKMVEEQALGEKKFFGGDTINMVDIVFGLAHWLGGEKLLEAHKLHRLQAWLRNFKQVPVITENLPDLDEMFAYLRRQREMSPVAK >EOY06294 pep chromosome:Theobroma_cacao_20110822:4:31521718:31522130:-1 gene:TCM_021074 transcript:EOY06294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQPKHPMAGSRASVQCAPRQPPWPGPIANYTSSNVCPNILAYKGPALNSFLGQTVPTGSLVVQHLHSSWGMEQVAFSRHTCLHAHPLPHMWQYCASAIPKD >EOY02667 pep chromosome:Theobroma_cacao_20110822:4:1724094:1726067:1 gene:TCM_017078 transcript:EOY02667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRSNTGLKERPGWCLLCGTWGNNGRCSSYPTTAARKSLNPCKRGDATLASRKNSLDGVCSAVAGQQW >EOY03545 pep chromosome:Theobroma_cacao_20110822:4:17065689:17067547:1 gene:TCM_018659 transcript:EOY03545 gene_biotype:protein_coding transcript_biotype:protein_coding description:WIN1-like protein, putative MARKRKAGQVVEEKDVTSEQTMAWDEMVKEAAAAAALGGPRRARKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPCSPSSNSTPALSSKITNLLLQRLKARKNPSAPLPTSPPINQQPMQQAEKCRGDTTDFLDSQFPDFLNDFEVCYMSNDNINNTTANAADYLTTSLESCLTENEDSSRRELDSGCTFSDAAQSSRFDGDGVGEERDEDGEEGHDMGALDFQFVDDIGAPCYYSPFQIAEEIEVPMEPESFGDEPSMIRAAMKRMTYERKFSASLYAFNGISELLRLKLGSENVMGRARSEQLTKLQNACEKNKGEKTVKEDDTEMMGKKQEKSPQTSVESSGSNMGFSSPSSVEMGASSAGNDGESLWSSLDLPPICFVN >EOY04831 pep chromosome:Theobroma_cacao_20110822:4:26119991:26134326:-1 gene:TCM_020000 transcript:EOY04831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron-transfer flavoprotein:ubiquinone oxidoreductase MHRFLSISSKSTFLRNRKQLHLPFTCPISCATPNGHSCFHYLNQKSASLGSRIGLHRFFSSGYFPNRFNLREQERDGNGFLRLRGLVGEVRSFSSEADRESIEYDVVIVGAGPAGLSAAIRFKQLCQEKNADFSVCVVEKGAEVGAHIISGNVFEPRALNELLPQWKQEEAPINVPVSSDKFWFLTKDRAISLPCPFNNKGNYVISLSQLVRWMGVKAEELGVEIYPGFAASEILYDAENKVIGIGTNDMGIAKDGSKKENFQRGVALKGRITLLAEGCRGSLSQKMMKKYKLRENVQAQHQTYALGIKEVWEIDENKHKPGAVLHTLGWPLDSKTYGGSFVYHMQDRQISIGLVVALNYHNPFLNPYEEFQKLKHHPSIRPLLEGGTVLQYGARTLNEGGFQSVPYPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAETTFGVLHEGSNMEAYWDALRDSWVWEELHKARNYRPAFEYGLFPGLAISALEHYVLKGKSPFTLKHGKPDHEATNVARLHSPIQYPKPDGILSFDVLTSLHRSNTNHDHDQPAHLRLRDPNIPANVNLPEYAGPESHYCPARVYEYVPDEKNHLKLQINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYSVM >EOY02447 pep chromosome:Theobroma_cacao_20110822:4:875522:876752:-1 gene:TCM_016919 transcript:EOY02447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIPCFWGCNNMFSIVGSCCHLAFEVSAMELFCSPALRDVGFVILIFSGMNPTTALSQVLLFDLEYETEFALVVKQCLLRWPWKQSVGFSSFTILLFFCVRGYFNFI >EOY05656 pep chromosome:Theobroma_cacao_20110822:4:29341183:29347625:-1 gene:TCM_020601 transcript:EOY05656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pro-like protein MMGITPVEVDQNLRKKGDNECIPWSFLRSYIMKHRDTEQGQLVMALGIYGLVIFPKVLGHIEVGIIDFFEQVINKANHSPSILAETLRSLNYCQRKCEGRFVGCAQLLSIWIVSHFECKLCLVPDVLIPAKFKVPEFEKYDGTKCPMTHITMYCRKMAAQSHDDKLLIHFFQDSLTGSAARWYVQLNRNRIKTWKDLARAFIAQYKHVAELAPDRLSLQTMEKKQSENFKEYAQRWRDTAAGKIAGHEAASSKKGSTPKKKEGDVQAVTHDSQQAHNFNPNYPYPPYQPFYPNIGNIAQNPYVYQLVPQPTFQTNALPQTPPQKLLASTNNPGHGQRGPKTTLERPKFDPISVPYTTLLPQLIENRLLARTPLEPLRPPFPKWYDPNAHCDYHFGIQGHSTENCTTLKHKVQALIKAGLLNFAKKDNSSVDGNPLPNHGRSTVNAIHEGMIRRVKKGIDEIQTPMDKVFEALSKINAITPEPIDTEELGHDLAYSCKFHMGAIGHSIQNCDSFRRKLQELMDSSVIEFYEEVEENLVGTINGDTPTEVASSSFKGNKPKPLTIFYEENRSPMNDTFPTMIRSGITIEVPSPFPYKSDKAVPWNYECNILGTASSSPQASLEDLTSVGGITRSGRCYSPEIAERVGKGNPA >EOY03944 pep chromosome:Theobroma_cacao_20110822:4:20586930:20589014:1 gene:TCM_019158 transcript:EOY03944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyl pyrophosphate synthase 1 MNFANLSFKQPLLAEDLLTISQDKRPLVTFQFEEYLVMKGKQVNNSLDEAVHLQHPIKIHEAMRYSLLAGGKRVRPILCIASCELVGGDESLAMPMACALEMIHTASLIHDDLPCMDNHDLRRGKPTNHKVFSEETAVLAGDALLSLAFEHIASNTRNISPDRVVRAIAELSTTIGSQGLVAEASLVCGAIVGGGNTADIERVRKYARCIGLLFQVVDDILDVTKSSEELGKTAGKDLVSNKATYPKQMGIDKAKKFASELMIQAVGELAYFDAARGAPLYHLANYIANREN >EOY06762 pep chromosome:Theobroma_cacao_20110822:4:32870813:32875832:-1 gene:TCM_021394 transcript:EOY06762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVLVALSLALLLLLSTEQADGRRLAVGNGQNNCRHLTEEASPADSEPEGNPTYNSYGRAPDSSTGENHRYYPCDKNPNGFFLHTIFLFLIVGINYLGLCHFLIMKNFGVIFLALVLLSATLQADGKRFIPDPKLLIEQQQASTSSLGQTNAASLVAAAEDDDESNPTYRQYGRVGDGSSENRHRSYTTVDNPYTPKPKN >EOY04621 pep chromosome:Theobroma_cacao_20110822:4:25238060:25240251:1 gene:TCM_019835 transcript:EOY04621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVDLSPYLFPLLSFNQRPNTLPSLLPTAKMFLPLSPLSYRQLPQRPDLPLFTHSLSNAGHPKTESPSFTHSLLPTATPKNGSPPFHSLSL >EOY06546 pep chromosome:Theobroma_cacao_20110822:4:32235730:32238927:1 gene:TCM_021229 transcript:EOY06546 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MNSELIHRNQTTSTQPTPTPRGKPSNLVKNSTTPFRYMLQKQRFVFVLVGIAIAALFFNTFPISSPSQDPIHGLIPDPVLASESAHVTRRVLYEEHPEPLQRSNVAGKVPLGVKGKSLRILVTGGAGFVGSHLVDRLIGRGDSVIVVDNFFTGRKENLVHHFGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGLGIEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGDHVGPFNLGNPGEFTMLELAEVVQETIDPNAKIEFRPNTEDDPHKRKPDISKAKQLLGWEPTVSLRKGLPLMVSDFRQRIFGEQKMGGAAAE >EOY04138 pep chromosome:Theobroma_cacao_20110822:4:22220085:22224755:1 gene:TCM_019393 transcript:EOY04138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSRRFSSSPLPLHLISVLVIAGIPLSLSTPELYRNCSDAEFKCGDISAGYPFSGVRIPQSLGNPDGYNACRDARFKCGRISVGYPFSGDGIPVYCGHPRLQLHCEERTATIEILDVRYQVLHIYEEKQILRIARQDFLNDFCHPHIKSSALDSSLFAKTRDCVDVTLLYDCPSVIPSNIGRYTCNKDSGSRKDVSII >EOY05062 pep chromosome:Theobroma_cacao_20110822:4:27031834:27033666:-1 gene:TCM_020166 transcript:EOY05062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall associated kinase-like 6, putative MGVHPVYYSIMLLSLIQAAASQEPGELVCMEKCGNVSISYPFGIEAGCYAKTWFRVTCKETANGPKTFHQSHQSGASVFVLDNRRRCGNLATIFRNQTYRIGGCLQPRCGDVSSKASCHASNFENLKSYTATISEMYPDHKDSKRCRSSVFLLLRGMLDPNSALLFDVHVNISTTHVPALLEWDAVKCDLRDTPCKELKAVLGQKSDCTVRCGEVYILYPFGIEGGSYMNEWFKETCIETVDGRKPFLSGISLELLSSSFTLGSVQVNNPVTYIQCQDIHNNGVSVNLTGSPFFFSIDNYFVSVGCGSLATILHNQTHLIGGCLQSGCSNIVTSYGRCFTSIPQGLSSFVAKMSDLYPSNGSNRSCGSAFLTEETSIIITPR >EOY04008 pep chromosome:Theobroma_cacao_20110822:4:21441303:21442786:-1 gene:TCM_019268 transcript:EOY04008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVDPPSGFSPELISRSILLPRALSSFATLQVSNQDSSFQKSDVNSEYDDDFCSSLNGKKVFFADGFLELIKVNEKGVKNTKKLRKKRSLLWIRASEGDNSINDIGWWTEPHSSTHRRGSLYPRIGISWHPLIVRELNFNVDGSAKGKPGPSGCGRVLRNLKGILLGIFFGLFGYQDSNYTKTMAILHALLLSSPLYISAKGIIESDSKLTLSWVNNVA >EOY05824 pep chromosome:Theobroma_cacao_20110822:4:29914946:29917007:1 gene:TCM_020725 transcript:EOY05824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALFFIQVAMSDKIFSRIMSCKIAKKAWTMLEEDYVGTTKTLQMHAQNLQREFELIKMKESQSIEDYIDQVSCLANQMRLLGDD >EOY02995 pep chromosome:Theobroma_cacao_20110822:4:3392603:3394006:1 gene:TCM_017387 transcript:EOY02995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of unknown function (DUF716) [Source:Projected from Arabidopsis thaliana (AT5G13890) TAIR;Acc:AT5G13890] MAGLMYQLFESSTLLTLGLYHLICTTRNFLKSPQSYSAKPFHPFSFSSNLRLKYLPLYLLIVCLFIAFLHQSFISSDPDPLLKGRTPVHRFTTLHSAAVLFLFFILSVSLLLSESTSLLPLPSDLFFAFASALFFLQYSVSTAAASVQTSDLQAKCDSLSARVSSLASLMCLILACHPKLFIAEVGLGAALCLQGLWELQTGLSLYVEAFIPEGCHKLLDVVSGVEGSTKCDLDESRLRAVAILDLVFVVHAMFVVIIVMLTYALVAKTVGMKRLGSYEALPTNAADSNHIQMKALTGTQA >EOY03644 pep chromosome:Theobroma_cacao_20110822:4:17597251:17611780:-1 gene:TCM_018737 transcript:EOY03644 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MSVKMETSNPHILVIPYPAQGHVFPLMELSHNLAKHGFKISFVNTDFNHQRIINAFGKEADENGLVRLVSIPDGLEDGEDRNQIGKLTEALCQVMPRELKEFIVKFNRTEDDKITCVLADLNMGWTLDVAAELGIRGAAFWPCSVVQLVLFLSIPKLIDDGLIDENGFPINKHKMFQLSATMPAVHVTNFAWLTFGDSSAQKLMFHYIKGYNKVVDTADWVLCNSSLDLEPEGFTLVPKVLPIGPLSASNRLGKLSGNFWPDDTSCLKWLDQQPPGSVIYVAFGSFTVFDKFQFQELALGLELSKRPFLWVVRPDITKGEHDFYPEGFQERVAGQGKMVRWAPQGAVLAHPSIACFISHCGWNSTIEGVSNGVPFLCWPYFADQFLNESYISDIWKVGLKFNKDERGIITREEIKTKVQQLLGDEKYEVRAVELKEMVTRCANEGGSSDKIFKNFTEWMKP >EOY06492 pep chromosome:Theobroma_cacao_20110822:4:32102238:32103392:1 gene:TCM_021197 transcript:EOY06492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGETFRLYSQNPTPMSSLLQRSRVVCQFLFILLSLQVYSLASNANLQVERTSFLDLTCYSPIGQSRMWVLNMEPYLYEKQNHLYVLLVVPRAE >EOY03765 pep chromosome:Theobroma_cacao_20110822:4:19114270:19116240:-1 gene:TCM_018940 transcript:EOY03765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPEEAIDAKLNGDEASLARWARRCIGGGTVYNISDPYLKGRIAVECLKIFVDIAYCCISEKGNTRPEMGEVEVMLELALELQEKADSNMEDLDPHGECKYGEVSFCIPVSDHSLCMDSHGSTSLGSLDVSYSSEASCNQVLDGSSLIVEQTSDDLGRCQSSSNTSKMDLEPLDSLAELCWESRCTVVEDMKNRMTSDNLACLAMSSKNFVEPLK >EOY04260 pep chromosome:Theobroma_cacao_20110822:4:23051548:23053027:1 gene:TCM_019515 transcript:EOY04260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKQKTMTVEEYTMEFEQLHMKCDVHEPEEQIVARYLGGLNVRIADVVQLQPYWNLNDVIRLALKVEKQQLRKSSMSSSRQKDSTSNRGRQSSATIPPPKSFIPLISL >EOY03183 pep chromosome:Theobroma_cacao_20110822:4:7181535:7182157:1 gene:TCM_017784 transcript:EOY03183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKMEPGEDITSMFDRFTNITNKLCQLGKPIPKHELVKRLLRSLPKSWKPKVTAIREAKDLNIITLDEIYGSFLTHELELKEEEKEDRREAKEKKKSIALKASM >EOY05620 pep chromosome:Theobroma_cacao_20110822:4:29191595:29193276:-1 gene:TCM_020576 transcript:EOY05620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin G-binding protein H MGKRREKLALRSQTLPSSPSHSFSSSSSSSSDFEFTISLSPRAKSSSTLCPADELFYKGQLLPLHLSPRLSMVRTLLLASSSTSSSSDTSSATASRDSTGSSNDSHSSFTSDFVLLADNCDSSRPSSVTEDDEFKRLNNGNQTFLSHQGQMNHQQIKKGKYFSLSRFSSVFKKENNKNRDQENMPASSVKKMSTTAKEVIRKYLKKVKPLYEKLSQKQQQKMGGIGMISALSSTTSVPASFSIKPERSAKDIEKTNARKESNNGGISHSFSGNLRYPRRRSSVSSCPSSMRSSPSHSGVLSRNGFPSMGMNTVRVSGGGNVGGMHYSDTASMEELQSAIQSAIAHCKNSLLQNKTTVVSNEI >EOY03725 pep chromosome:Theobroma_cacao_20110822:4:18447683:18459842:1 gene:TCM_018852 transcript:EOY03725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein, putative MENISVIFPITFLVTFLQFAFFIASERPPYVPTDNITLNCGALSDSHGSDGRFWAGDKSSKFGPVESSRTIPSAPYEADNQGGSVETVPYMTARVSSSEFKYSFPVSPGQKFVRLHFYPASYKKFDRSKAFFSVKAGSFTLLKNFSAFLVAESSNVKSFFREFCLNVEENQVLELIFTPTPSSSNDTYAFINGIEIVSMPSNLYYTPSDSLDGARFIGQKNGFYVDNYTALETVYRLNVGGKSISQTEDTGMFRLWSDDYDYILSESYFTVNTTVPLKYTMIPKYTAPEEVYQTARTMGPNGDYNRKHNITWGLPVDSGFRYMVRLHFCEFQDVISSAGIRQFEIFINNQTAEAFFDVIIWTQQSNVPIYKDYVLLMSKKEENKQDITIALHPRVAKKYDVILNGIEVFKVNGSDGNVAGPNPELLLAPPPPESFNYTSGKSKTKRRVLIVGGGCAVGLLTLLSLLICMVVWRHRKRKYYGSYSGANWFCWCINPNKGKSTKSSLLPEELCRHFSLDEIKAATNNFHDDLVIGKGGFGKVYKGFLDEGETIVAIKRLNPESRQGVSEFLTEIEMLSQLRHVHLVSLIGYCNENREMILVYDFMSNGTLSDHLYGTSYDSLTWKQRLEICKGAAIGLNYLHTEVKYTVIHRDVKTSNILLDEKFTAKVSDFGLSKTDPKVDMLNTGIKGTWGYLDPEYARGHSLTEKSDVYSFGVVLFEVLCARKALDKKLPEGQVNLAHWARNCIADGTLYQVIDPYLIGKMAPECFKVFVEIAENCTAESGAKRPSMNEVMEKLRFAMDLQEAADLEKEKINPGGEHRYPDIVFPVARDIDIEDESELESELDMDSSIYNGFGILDSNITGMTYTTIDTSTSSDLFSSTNNSKSIVN >EOY02899 pep chromosome:Theobroma_cacao_20110822:4:2869747:2872692:-1 gene:TCM_017296 transcript:EOY02899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endosomal targeting BRO1-like domain-containing protein MGCTYSVYGLGRKKKLSIPEVVVYVPSMRIPAQCDLQRALKGLIPRDLIDRLSCLRNRIALIAEDTGGSAITELRQALEEYLSILIGLTKKEHGLQDLVEFKWKNLEDGRQETCVANAWFELLSVVHMMAMLTLSEADTLMIPKDYSGSGFRVVSTDCKRDAVDLLLKSAGYLEFGVRNVLSRIPAEIKKSLSKDLQDGVLEAIAIQALGQGTEIQLGLAVESQKATLSVKRRLACEQLIYYSQAYQCLSGCDLSHGYGKKHTWFIKWKFLESKAAAYYYHGLVLDKSNEPTCHISAVCCFLAAQELLVESKKACISFCLSAPVTRAPPLWGVMKHLHQKIPEVASRKSQMYGYLLEQEKALQSLPDLPDFQLSLRPDDYELPGIDPAWDCEKWENQSQSLKEHLKDCEDEMEKE >EOY03966 pep chromosome:Theobroma_cacao_20110822:4:20949930:20955313:-1 gene:TCM_019199 transcript:EOY03966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNITYQNLVLTNLYIFHYSILLMLVKQCILSLICGILETFSPFFFIYKYDCSVIFFLKCDCFVILGLLRNKILSTMFFSSFSFYNSSQVIPVKTICLTREIASGKGLAMLGMAQVVAS >EOY02307 pep chromosome:Theobroma_cacao_20110822:4:361269:362063:-1 gene:TCM_016823 transcript:EOY02307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPGAYSKDSLSGIEIALHVVVIFRTELWKLAVSSNDLCPIAGQFSSLRDPLGQQQFLLSHLKTTGHL >EOY04926 pep chromosome:Theobroma_cacao_20110822:4:26524952:26527394:-1 gene:TCM_020072 transcript:EOY04926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDIMELTELSLAPNQFLADKSCHSSSSDQADFNIPSKKRKLFPDHLLSAGSQFQTSVDLQVKDPLPLDWEQCLDLESGKMYYLNRKTLQRTWNWPKDQKLDLELNISQKSNCSEQCSASVSLEDSNKQHSTGTNMVALACLNCHLLVILSKSSPACPNCKYVHSLPTLKAQPPKVTAIKSLDTLSLLN >EOY06166 pep chromosome:Theobroma_cacao_20110822:4:31153657:31159832:-1 gene:TCM_020979 transcript:EOY06166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclopropane fatty acid synthase MQLSCAGIYRGKDYLFKSDPRHIMALSLMETVARHIVIKFFERFISTGCVILLEKEGTVLTFTGSMEKCSLKVVLKVHNPQFYWKIMTEADLGLADAYIHGDFSFEDKEDGLLNLILILIANRESNSSASGLIKKRGWWTPALFTASISSAKYFLKQVLRQNTLTQARRNISRHYDQSNELFESFMDETMQYSAAIFKAEDDDLKVAQLRKITSLIDKARIEKWHEVIEFGSGWGYFAIEVVKRTGCKYTGVTLSEEQLKYAEAKVKEAGLQDNIKFLLCDYRQLPKTCKYDRIISCEMSEHVGNEYIEEFFRCCESILAKDGLFVLQFTSVTEGLYHEYLRSPGFAKEYIFPGACLLSLTRILSAMATASRLSVEHVENIGSNYVQTLKGWRKNFLKNKSKILALGFDEKFTRTWEYFFDYAAAGFKSRTLETYQVVFSRPGNFAALGDPYQGFPSAYSY >EOY02870 pep chromosome:Theobroma_cacao_20110822:4:2744929:2748052:1 gene:TCM_017276 transcript:EOY02870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MRYGGSRKKRALVRWFLILCAAFTFISWLMLLTLRSIDTPPTTTTTKTTDVALVDLPGKLEHQLFQRDGVLSSAEAPKKASAKSCATVEEMGKSFKGRILKESLGVRRIIQRHFSVNGASRIRELPPEQFCRHGFVIGKASEAGFGNEMYKILTAAALSVMLNRSLIIGQTRGKYPFGDYILYSNLTFTLREVKHLWRQNGCAKIYGRHLVMRTDDFEKPTKTNALCGNWRKWRQPIIWYQGTTDAVAAQFFLKNIHPDMRNAASELFGKPESLRSRPNVFGELMRILISPSRDIEEAVNWVLCGGRDPDITLHMRMLMNRPVRAETCSCFWGSQACWDYLCAVNCCTSCSKQYRGKFYGFKFFFPKQLPE >EOY02869 pep chromosome:Theobroma_cacao_20110822:4:2744919:2748908:1 gene:TCM_017276 transcript:EOY02869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MRYGGSRKKRALVRWFLILCAAFTFISWLMLLTLRSIDTPPTTTTTKTTDVALVDLPGKLEHQLFQRDGVLSSAEAPKKASAKSCATVEEMGKSFKGRILKESLGVRRIIQRHFSVNGASRIRELPPEQFCRHGFVIGKASEAGFGNEMYKILTAAALSVMLNRSLIIGQTRGKYPFGDYILYSNLTFTLREVKHLWRQNGCAKIYGRHLVMRTDDFEKPTKTNALCGNWRKWRQPIIWYQGTTDAVAAQFFLKNIHPDMRNAASELFGKPESLRSRPNVFGELMRILISPSRDIEEAVNWVLCGGRDPDITLHMRMLMNRPVRAAQAALNCLRRATRNLQQGSRPRVVVVSDTPSFVKSITPNISEFAEVLHFDYKLFRGNASHDIKASPNLDFRVKDWGPAPRWVAFVDFFLASSAKHAVVSGAHRRVGTTYAQLIAALAAANSIGENSTGSSFSFLSSFQSNLLADGLKLQVGWGHVWNRFAGPLSCRGQPNQCAYTPLLPPAWWEGIWQSPIPRDIHRLEQYGVHLSGFGTTDENQIRSFCSSRKNIVKTVTFI >EOY03972 pep chromosome:Theobroma_cacao_20110822:4:20976642:20991399:1 gene:TCM_019205 transcript:EOY03972 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-like carbohydrate kinase family protein MAALYTTSLCFSGGLASYPLHNSVKFGRVTVRASGVSSSPLFPGHKLQVRGKVFPGNGLPEINDSSLVVCFGEMLIDFVPTISGLSLADAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGEDEFGYMLADILKENNVNNEGMRFDPGARTALAFVTLRSDGEREFMFYRNPSADMLLQENELDFDLIRKAKIFHYGSISLITEPCKSAHIAAAKAAKDAGVVLSYDPNLRLPLWPSAESAREGILSIWDTADIIKINEEEISFLTQGEDPYDDAVVRKFFHSNLKLLLVTEGPDGCRYYTKEFSGRVKGLKVEAVDTTGAGDAFVAGMLAQLASNLSLLQDEDRLRDALKFANVCGALTVTERGAIPALPTRDAVLDAFLKSVA >EOY06793 pep chromosome:Theobroma_cacao_20110822:4:32971695:32974738:1 gene:TCM_021417 transcript:EOY06793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MYLKEGRSLLLKVQKPSIPFILNTNPLLKPHKESQTEPNQTQLEESQVLSRLKQEPNMSLALNYFKSIANSNSFKHTPETYQCMIQKLALESEIDGIQYLLHQMKLERISCSEDLFVIVIDSYHRKGLGEQALKMFYRIKEFGCEPTVKIYNYVLDALLSENRFSMIYPIYNNIKRDGLEPNLPTYNILLKALCKNNKIDVACKLLNEMASVGYAPDAVSYTTIISSMCKLGKVEEARELAMRYRSHVSVYNALISGFCGEYKLKEAFGLLDEMLVEGLDPDVRTYSMIISCLSSAGNVELSLAVLAKMFVSGCSPNIYTFSSLIKGYFVAGRVHEAFDFWNRMIREGLEANVVVYNTVIHGLCLNGKVSEAVSVSCQMEENGCTPNVTSYSSLINGFAKSSDLVGASETWNKMITNGCHPNVVAYTSMVDVLCRHCMFDQAHSLIEKMVLENCPPNTVTFNTFIKGLCSSGRVEWAVKVLDQMGRYGCAPNIITYNELLDGLVKVNRLEQAFGIVREIDEKGIEWNLASYNTILSGFCHAGMLEEALQLIGNMLLRGTKPDAITYNIIIFTYCKRGKVKIAVQLFDRISAGGDWHPDIISYTSLLWGLCNWIGLEEGISLLNKMINEGICPNVATWNVLVQCLFNSLGHLGPIHILDDILGNG >EOY05822 pep chromosome:Theobroma_cacao_20110822:4:29899625:29900877:-1 gene:TCM_020722 transcript:EOY05822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQRVGHIKRDCPTKDDESNENKGECAFVAKGNDCDVLTISEDMDANFDWYLDSASATHICYQKDCFDLLQEGMAGNLTLGNKSIVKVMGLAVVKIKMFDGVVLSLGGVAYVPKMRKNLISLSLLDSKGYGYSACDGVVKVTQGDMVLMRGNLHNGLYRLECEASKGWEQCTGDGSYQSEISFVEEVMKDSHGVDDGERTKNLASSELEGSSRSLFEVN >EOY04666 pep chromosome:Theobroma_cacao_20110822:4:25433201:25438871:-1 gene:TCM_019866 transcript:EOY04666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVHAQLLMDVGPDSKPHCTAKAALDKEKKTPLDRLDGKSSRCMNNCKESINSMEVLLHKQNKASNSPEDVEVDIIGCTNDGDTRTVKTEDPDATECSSSFADTTSDTEKCSGLNDAEVESQFIGDAAFASTYDAYNSMFHIRKKRLTSHWRSFIRPLMWRCKWAELRIKEIESQALKYGSELAAYDERKLSRIDQSTVEGFGSKSLPFSSPCYRKKAIKRRRRKRIEETTDITSYMSCHNLFSYLENKKTIPDGTYIADDLANTANMDQQTDCSGKFGINNDQLLLEFRDGNNSLEQVLWKIEIVHSRVQKIRSQLDLVMSKNASKFSSSENLSLLAACDAQTSSAPSPTFSAGNGDTISVGPAYTTTQQISEYDVGDLVMPASSISTYGETFHVPDIIESTVGLLSSADVTCHQPQIGDSCEDIVENVLIQNEGNAGDRQVLMRTNSQPIEQHHQPEKVEEGESTNPSPIPTSEPNRATKSIVSQDQSTLRSCLASDICFPRNKRKRGERKAGSGGWSRKHSGEPDSQ >EOY04310 pep chromosome:Theobroma_cacao_20110822:4:23720984:23722088:-1 gene:TCM_019588 transcript:EOY04310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAPLFICFLLSSVLVFPFALAARELHIVEHNGASNNFPVTYGIGGQNRGKRTCHREGMTGTAKRRSKKPSREAYEKRRGRGRKL >EOY04741 pep chromosome:Theobroma_cacao_20110822:4:25746797:25750410:-1 gene:TCM_019925 transcript:EOY04741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCQALALTAVLALIWRRFGCPVSCEIFQFLSVPFQSCFLQENAPCLPILGRNEESEERHSLLEIYSLTRELNKKVYDQKAYFEKDSRCLTVHNRVALCLLHSCDTPANIASVSSDRGLLWRSGF >EOY05449 pep chromosome:Theobroma_cacao_20110822:4:28601800:28606432:1 gene:TCM_020445 transcript:EOY05449 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglucosaminyl transferase component family protein / Gpi1 family protein, putative isoform 1 MRRKCRIWWPKQLSSTQQLSYNLLFGWFVSCSSDSLDIVVAFASNHESSSNRQSPLQEILHSINGNMHESLQDKSKFSLLGHHRACLSSGHVFCNGVEEDDLRKSSAYCADGTSRCCGQWSCGCIKLDSLLDECKQMSMESNYWIELAYDSLHVHARDIRWIPKLHRIHWNGETVARCDVHVIVYETPTYGAHHFSLRFWNSSDHGKTSLKKPQWVDELHQKQPLNDLDTVILAINSAAAAKKFFEKHDGERSSANIPIIWMFCALMWHLLAMSVASLSTFFYIFLQFSHSFLNFGPQSWVCAASAKAFSNTWINIRIRCCQILYWPIFLQDNDLRSQSSVECAEKVALHKHSMWSSLVVDILLGNLIGLALLFHAESVCLWVSKFASDFTNELLRSGCVWLMGVPAGFKLNIELAGVLGMISLNTIQIWSTLWMFVGSLFIYFIKGLAISAIIFGMTIPAALVIDMITIATLHVSTLHWLISILYSQQLHALAALWRLFRGRKWNPLRQRLDSFDYTVKQHVVGSLLFTPLLLLLPTTSVFYIFFTIMNTAISLSCMCIEVIISVIHATPYIKIVLRLIKPRRCPSGIWFEVIACQSNSSDSPWSTSIDKTNLPFEEVPQKEDINSIISSVLISILHSNYLSIGHMVLPHYRKAYSEVSGSYFATSVLGLLSGNKIASTLGATLPSTMPWLFIPHKEYWCLCRNVILACNVDCNNH >EOY05448 pep chromosome:Theobroma_cacao_20110822:4:28601322:28606417:1 gene:TCM_020445 transcript:EOY05448 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglucosaminyl transferase component family protein / Gpi1 family protein, putative isoform 1 MRRKCRIWWPKQLSSTQQLSYNLLFGWFVSCSSDSLDIVVAFASNHESSSNRQSPLQEILHSINGNMHESLQDKSKFSLLGHHRACLSSGHVFCNGVEEDDLRKSSAYCADGTSRCCGQWSCGCIKLDSLLDECKQMSMESNYWIELAYDSLHVHARDIRWIPKLHRIHWNGETVARCDVHVCKSDCFCCLVIVYETPTYGAHHFSLRFWNSSDHGKTSLKKPQWVDELHQKQPLNDLDTVILAINSAAAAKKFFEKHDGERSSANIPIIWMFCALMWHLLAMSVASLSTFFYIFLQFSHSFLNFGPQSWVCAASAKAFSNTWINIRIRCCQILYWPIFLQDNDLRSQSSVECAEKVALHKHSMWSSLVVDILLGNLIGLALLFHAESVCLWVSKFASDFTNELLRSGCVWLMGVPAGFKLNIELAGVLGMISLNTIQIWSTLWMFVGSLFIYFIKGLAISAIIFGMTIPAALVIDMITIATLHVSTLHWLISILYSQQLHALAALWRLFRGRKWNPLRQRLDSFDYTVKQHVVGSLLFTPLLLLLPTTSVFYIFFTIMNTAISLSCMCIEVIISVIHATPYIKIVLRLIKPRRCPSGIWFEVIACQSNSSDSPWSTSIDKTNLPFEEVPQKEDINSIISSVLISILHSNYLSIGHMVLPHYRKAYSEVSGSYFATSVLGLLSGNKIASTLGATLPSTMPWLFIPHKEYWCLCRNVILACNVDCNNH >EOY04732 pep chromosome:Theobroma_cacao_20110822:4:25724097:25724649:-1 gene:TCM_019919 transcript:EOY04732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein FPSYLIQNTRTFHNFEKFCSFSTKMNSRKVCSLFIIFFISVAIFSQAGVEATRVLPEDFAEANNLETYSSVYEKAKLTMSCWLQRLASGPSPRGPGH >EOY03240 pep chromosome:Theobroma_cacao_20110822:4:8846167:8848808:-1 gene:TCM_017927 transcript:EOY03240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein MPAQRIETGHEDTVHDVAMDYYGKRLATASSDTTVKIIGITSSGSQQLAILHGHKGPIWEVAWAHPKFGSVLASCSYDGQVIIWKEGNPNEWVQAQVFNDHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARADGGWDATRIDQAHPVGVTSVSWAPSTAPGALVGSGLLDPVQKLASGGYDNTMKVWKLYNGTWKMDCFPALQMHTDWVRDVAWAPNLGLPKSTIASASQDGTVVIWACAKEGEQWKGKVLKDFKTPVWRVSWSLTGNLLSVADGNNNVTLWKEAVDGEWQQVSTVEP >EOY03517 pep chromosome:Theobroma_cacao_20110822:4:16657042:16661373:1 gene:TCM_018614 transcript:EOY03517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MGMIWEIVKSGSEKVKGFKRLPRSSEVMASMLIQARMFKEVELLVLEVEREGILLDSHGIFCSLIEGYVGVGDLESAILVSDKMRGQQLVPSLSCYHALIDVLIERRQTQLAFQVYLDMVELDVHWSDKEMPAFENVIRLLCDDGRVQEARNLFKKVLLRFKPSSLVINEIACGYCEKKDFEDLLRFLVELKCVPDIIVGNKIIYNLWRKF >EOY04164 pep chromosome:Theobroma_cacao_20110822:4:22369010:22370029:1 gene:TCM_019425 transcript:EOY04164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAETSDSLRQIHQPNPALQSLFHALDPISLILSQNSSPSNPIPLRLTTESYIMERGPRYRAYAELRETKLRMKSAKQQESEEIEFKRTPTKKQVKFCPSLGSSRKGSSILAQSVPDFSATLRKENRKPATSGIELTPPTSKNWSKGNGVPLSNSRGSKSANAGEKKGGLMMARKSYASVVELRGISMAAANAICGENRGGKSSGRVVGNNKTVLGYRQF >EOY03694 pep chromosome:Theobroma_cacao_20110822:4:18032686:18039276:-1 gene:TCM_018794 transcript:EOY03694 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MASSTTAKILGFTRIKPFPDSPKSAAELSLPLTFFDIYWFKLPPVERLFFYQLNNSTPAYFNSVTLPKLKHSLSLTLLHYLPLAGNLKWPSTSPKPIILYTPDDGVSLTVAESAADFNLLSSNGIYEAVELHPLKPALVTSDDSASTIALQITLFPNMGFCIGITAHHAVLDGKTTTSFMKSWAYLCNQGYTENSPLPPELTPFLDRSVIQDVTGLDLDMLYLNQWLASVGSDSDTKDKSLKILPNEGEAPNLVRATFNISPEDFKKMRERVLATLSDSSKQLHLSTFVLTFAYVTSCIVKARGGERDRTVWIGFTANCRARLDPPVPENYFGNCNTVFTDLSKARDFMDENGFAFAAKRVSNMVKRLIDKGVFDGAEEKLKPVFDVGKEPAGSVQVITVAGSPRFDVYGSDFGWGRPWKVEVVSIDKNEAISMAESRGGSRGVEVGLALKKHEMERFSSMFLKDV >EOY03280 pep chromosome:Theobroma_cacao_20110822:4:10963414:10964853:1 gene:TCM_018115 transcript:EOY03280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPQLRREGLRETFQALGALRKLFLRHMKRFFTYNQPTFKYLLDQKTMILHPRFKGVASLCFSDDAWDQRHRHRIFPLHPFLYPLLYKYIAHTWFLFIHFSLNHLLEFNLI >EOY03343 pep chromosome:Theobroma_cacao_20110822:4:13568598:13572315:1 gene:TCM_018319 transcript:EOY03343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTWQKQDTSNIRLILLHKFSYQRIPLSNVGERADSRGKKKGVWKELTLTVISIVRIKQQALSLHDGIPSTFFHLCPSKTNHLDIFQWLRNR >EOY02501 pep chromosome:Theobroma_cacao_20110822:4:1134868:1140984:1 gene:TCM_016965 transcript:EOY02501 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 26 MKYQNHPSYLSNFIPPWPSLCPPPFSSTKPKRQRFKSPASQFSPPNRSKNADFPKVLSKKCSRGLGAVQPRDSWWSLRDIEAPKSSPEKPFTVWIALTRMWSLIGDDKWIIFLAIGALIIAAVSEISMPRTLAASVFSANRGESAAFFRSSRVLILLLIISGICSGLRSGCFAIANTILVKRLRGSLFASLIFQDISFFDTEMVGSLTSRLGADCQRLSHVIGNDIHLIIRNVIQGTGAVINLLTLSWPLTLPTLVICSVLAIIFSFYGRYQKRAAKFTQELNACANNVAQETLSLMRTVRAYGTEGEELGRYKQWLDALGFVSIRESAAYGFWNMSFLTLYRSTQVLAVLLGGMCIMNSHVSAEQLTKYILYCEWLIYATWRVVDNSSSLLQSIGASEKVFQLMDLLPADQFFSKGVKLQRVMGNIQFVNVSFHYPSRITVPILDHLNLSVQANEVVAIVGLSGSGKSTLVNLMLRLYEPVSGQIYVGGLPLKELDIRWLREKIGFVGQDPHLFNMDIESNIKYGCPRDVKEEDIEWAAKQADAHGFISSLPFGYQTIVDGNLLSGGQKQRIAIARAILRDPAILVLDEATSALDAESEYNVKGVLHALRNESRAERTIIIVAHRLSTIKTADRIAVMDGGRIVEMGDHTELLLRDRKKKGEKILKGLSGEISLLRN >EOY05551 pep chromosome:Theobroma_cacao_20110822:4:28971325:28971914:1 gene:TCM_020525 transcript:EOY05551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MKIKRVKPVAPFGACFNSRTIKNSRTGPAVTVLGLVLQSSRVIWRIYGHHSMVKVKKKVMCLGFVDGGSEPTTSIVIGGHQLEDNLLEFDLASSKLGPSSSLLLKNTSSSHYRVF >EOY04685 pep chromosome:Theobroma_cacao_20110822:4:25503534:25505162:1 gene:TCM_019880 transcript:EOY04685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein MATSRLPQRSSGKLPFANLCSLWRRGKTEENLPAWKKLYPRFQLEELLKATNNFDPALKVGEDSWDTVYEGYINDSYKYPVRIKRFKRHLDHQIFLTEMDLLSNLHHPNIVSLIGYCLDGSDRIIVPKHMAQGTLHDHLHGKIYYEPPLSWKRRLEICIGVARGLERLHTGNPLIIHRDIKSRNILLDQNWVANISNFQISKLVPSSLLESDSHVSTTVGGTLGYLDPEYAFSGHLSVKSDVYSFGVVLFEVLCGRTLLDVHRDEEEIELITWGRRGVEDGKLGQIIDPRLKGEIAPECLKAYAYLAYNCTNERGNERPGMSAVLKRLQLVLLLQECIEADIPYSPSWLTSLVPPPKSSEPLVDMDASEYLHLIPIMTSSRLKSIIDCLKWVRFKQ >EOY04193 pep chromosome:Theobroma_cacao_20110822:4:22534026:22546120:1 gene:TCM_019452 transcript:EOY04193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMWLCPLVEFVLVVILLEVVRENLLIKDLKRQEDEEIPDHRNRNWNKGMVTLLFRLLSNLRLAIAFMKVTPWDILAVKGFIRCMVAPLATGHIRAKVSSVYEGRGRKRGIEGEEEGEDSSKSARMSAKARTNGLRARKALSIISNNRTIITRSMSTKTVEENGEEKVVEVDGEEKNMKDDNKALEEQQPVNEVVEKKGDDDGGLTLEDWPLTWSFEEELTWFKAGHQCGWYSYWETMNGDFWKPKDDNQEDFWNDEPWNFDGKNEIPKR >EOY05029 pep chromosome:Theobroma_cacao_20110822:4:26894936:26897989:1 gene:TCM_020140 transcript:EOY05029 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein MATAKVGRIKLGSQGLEVSAQGLGCMGMSAFYGPPKPEADMIALIHHAISTGVTLLDTSDVYGPHTNEILIGKALKAGFRDKVELATKFGVDFTEGKREIRGDPAYVRAACEGSLKRLGVDCIDLYYQHRIDTRVPIEVTMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEADIIPTCRELGIGVVAYSPLGRGFFSSGPKIVETLSNDDLRKSQPRFQPENLEHNKRLYEQVNEIAAKKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNIGALSVKLTAEEMAELESIASADAVKGDRYGEGQSIGRLQPSNRSELITIATAGSVIRWWLLVSVVTDGLGTIAVQH >EOY05679 pep chromosome:Theobroma_cacao_20110822:4:29457672:29460919:-1 gene:TCM_020620 transcript:EOY05679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVLKSSVKLSLLAVIVAVLISHNLYAASSASGGRMGGSSFSSGSSPSSRRHDYHHHHSSSVYGLYDSHPRFSMNANQGNGSKSAAGAYVMLTIFMGAASYLVYLNFVEGGTSILQIQFTAKPLKLVIFFALFLCFMYVSYASSSSGAMGSNYDSGEGSESAIVCDVEPIILILLWVSFGVVTGVTLLHCIFKGGDTFLMLQPSGISSGSDLWEYWIYTSKVSSPFIQVGLSAKARSLQRELTEIATATDTSTANGWQLILEETTSSLLRHPDYYLYGYSFVTRCWTIGGAEQHFKRLSKEERQKFDVESLVNINNFKRTRAVAPKADKVNKDCIVVTVLVAVQGAHNLPTIRTTDDVKEALQSLGEICSSKTKGVEVLWTPQDETDSLSVEELLENYPQLRRI >EOY04865 pep chromosome:Theobroma_cacao_20110822:4:26250593:26253840:-1 gene:TCM_020031 transcript:EOY04865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein MASTSGQGLNSSRNYRQWLAETFDGHETLDDELSDSNVDGNGNLISPLDQNFPMTLEAGEPYTGMEFESAEAAREFYEMYGRRMGFTIRNNRTRRSLKDNSIIGREFVCSKEGFRAEKYTKREYRVCPSRPATREGCNAMLRIAAKDGGKWVIYGFIKEHNHELNPSKIPPRRSHRIAFCEDEKDLKIRELSTELHREKKKSAAYLEQLQMVLKYVEEHTQRLSLKVDVVSGNLRELESVDRIF >EOY05140 pep chromosome:Theobroma_cacao_20110822:4:27343294:27347013:-1 gene:TCM_020223 transcript:EOY05140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRTTRRHGNFTAKLVLHNLAIYGEAENGVSGSRSFHLLALPTLGGGIVIIATLLAFPAVDFTEQIRVGSRNQDVFYAIIVSLVAPPS >EOY02482 pep chromosome:Theobroma_cacao_20110822:4:1011039:1015421:1 gene:TCM_016944 transcript:EOY02482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNTSQFMDKQIMDLTSSSSSPPHNTNKDFIDLMNNPQNEDNHNQGSGISNKEGIFPSYDFQPIRPVSTSLDAAAVNNNPRSWSSGDSKTKNYGSLDSVEPAKVILEKDRNAFDTSIVAEIDRTMKKHTDNLIHMLEVVSARLTQLESRTRNLENSVDDLKVSVGNNHGSTEGKMRQLENILNEVQTGVHVLKEKQEIMEAQLHLAKLQVTKGDHPSETQNTVHVDTVQQAASAPFQSHQQLPPAASFPQSLPSVPPPPTVPPLVLPQQNLPPPVQHPNQFPQSQVPSVPQRDAYYPPPGHTQEAPGQQFPVPPTQQPQLPPAAPPHQPYQPVPPPQYSQPPQPVQLQPSLGHHPEEAPYVPSQNYPPNLRQPPSQPPSGPPSSQQYYGAPPQMHEPPSSRPGSGFSAGYIPQSGQSEPYAYGGSPSQYGSGSPMKMQQLPSSPMGQSGGSGYPQLPTARILPHALPTASGVGGGSGPSGPGNRVPVDDVIDKVTSMGFPRDHVRATVRKLTENGQSVDLNVVLDKLMNDSEVQPPRGWFGR >EOY06241 pep chromosome:Theobroma_cacao_20110822:4:31405838:31407680:-1 gene:TCM_021041 transcript:EOY06241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNGDQELRDHETINCGEGEELQESPLDLSLLRIDSFNNGTTTDVSNTHRNHRSSCASCGGTGYISTTSNPMKRPSPEPLTEPKPKKLVLDRNHPLFGFSKVSLPPPPTQSLPILRRCVSDPSSSPGTNTQSPPGNANIVGESPLSKGSASASALPPKAPALRRSASDPYPSPGRTCKEESPSAKRLKRMEDRMREMSKWFHDAMREDENMVCAEEATKDDYELECEEDVSVEREGECVVICFKCPCGKDDDTFIISLRLRPIVLYRTDHF >EOY05879 pep chromosome:Theobroma_cacao_20110822:4:30153141:30154437:-1 gene:TCM_020770 transcript:EOY05879 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA transcriptional regulator family protein MEGSVAYENDLNLKATELRLGLPGTDEREEQSVSNVRNKKRPLQDADEEFGANGKSDAQNETAPPAKAQIVGWPPIRSYRKNNFQPKKSESDGPGIYVKVSMDGAPYLRKIDLKVYTGYAELLQALENMFKFTIGEYSEREGYKGSDYAPTYEDKDGDWMLVGDVPWDMFVTSCKRLRIMKGSEARGLGGGV >EOY05253 pep chromosome:Theobroma_cacao_20110822:4:27732379:27747960:1 gene:TCM_020297 transcript:EOY05253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFIRILHYPGDLDSSSEEIYGASAHSDYGMITLLVTDGVPGLQASFLDERQRRVTESFQSHISTSHSKTPGILGVGWMCSDAMQQHIDNLIVGAGTMNPLTYLSSLSSTMECCVLGAWGLGWEIWIDGMEITQFTYFRQVLSGEVEGKVAGKLPTRELTPAHIELVI >EOY05576 pep chromosome:Theobroma_cacao_20110822:4:29053960:29056520:1 gene:TCM_020545 transcript:EOY05576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxiredoxin IIF MASTILKRVTSSAIKSRLESLLTGASRRSYASVAAGTDIVNAAPGVCLQKARSWDEGVSSNFSTTPLKDIFTGKKVVVFGLPGAYTGVCSQQHVPSYKKNVDKFKAKGIDSVICVAVNDPYTMNAWADKLQAKDAIEFYGDFDGSFHKSLELEKDLSAALLGPRSERWSAYVVDGKVKALNVEEAPSDFKVSGAEVILEQI >EOY02243 pep chromosome:Theobroma_cacao_20110822:4:37426:38881:1 gene:TCM_016768 transcript:EOY02243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATGRPPDPPLPFPHGDSSLIMPHGTNRDPTDPKDLQPPVNNGGLPNNNLQNPPISPRAQKKSFLSVVAGEKPPLIPPTREPFWMPRINEIRMAFKGIDLVGAYEIKWLDYKHILIQLSNEHDLNRIWLKQVWFISNQKMCVFKWTPNFQPEKESSLVPVWISFPNLRAHLYEKFALLVIAKTVGRPLMVDEATAKGTRPSVARVCIEYDCQKPPIDQVWIVTRDRKTGSVIGGYMQKVDFAKLLEYCSHCCHVGHGVSTCIMLGHRPEKRLQPTKTRMKRNGDDEGKEKPIEGEQGMRDGNGTDRVQFIEPKQSTKWQVVEKPGTSGVNDPKPINIPPTSRKEVQIPISN >EOY04598 pep chromosome:Theobroma_cacao_20110822:4:25162069:25163125:1 gene:TCM_019820 transcript:EOY04598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSKDIGVSDSTTAELLAVREAATIYAASKWCSSSVLVLKCDNRNVVKWLTDPSDVPWRLRAMVIQIWSLLAKVDKWSITHIPRSANDMADTLAKKGVLRPYDFF >EOY02925 pep chromosome:Theobroma_cacao_20110822:4:3043070:3044004:-1 gene:TCM_017327 transcript:EOY02925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATSTKLFAAAVPIVIFFANLQTVTNEPAISASPAVLPYVNAPNMSSFFPSQAPPQWPTSSAVPTGSEAFAPIPSSGEFVGKSSCSSAKSDGAIVILLQLFILFVMRSVSTV >EOY04554 pep chromosome:Theobroma_cacao_20110822:4:24974829:24975738:-1 gene:TCM_019787 transcript:EOY04554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFLLLTGMSHFIKLLFFLARRKVEIVSFLFVRRLVSSSECFWGLPLPRRLFLVVPYVSVRAAISQLSFTMV >EOY06784 pep chromosome:Theobroma_cacao_20110822:4:32947316:32948078:-1 gene:TCM_021410 transcript:EOY06784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell wall / vacuolar inhibitor of fructosidase 1, putative MANMIPLVILQAAISFSFLPGSYSASNLIETTCKQTPFFDLCVSSLQSDPRSSNADVAGLAHIAADNVNVKATANLQQITGLLGGATDPELQKALQGCVDKYNAIIQADIPVAIEAILKGNPKFAVSSATDAANEAQSCEKGFANPPKSPISDSNKVVNQLSLVLQSIASLLL >EOY02787 pep chromosome:Theobroma_cacao_20110822:4:2262009:2263923:-1 gene:TCM_017183 transcript:EOY02787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 family protein, expressed, putative METIMSLFRLLPFKFVFVSVFLSLLHAVLCKVCRAPKLSGHGPPSYPVIGCLISFYKSRTRLLEWYTELLAASATNTIVVNRLGARRTIVTANSENVEYMLKTNFNNFPKGKPFTEILGDFLGYGIFNVDGELWRMQRKLASHAFSTNSLREVVMSTLEEEGWIAVV >EOY04832 pep chromosome:Theobroma_cacao_20110822:4:26134613:26137135:-1 gene:TCM_020002 transcript:EOY04832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phosphotriesterase superfamily protein MEKEGQNKDGTFLQHPFFLIIVLAFGFVMMDPFQLGPVGGLQFRPVKHDIAPYKEVMGSWPRDNKSRLGDGKLEFVDEVYGPESLEFDSLGRGPYAGLADGRIVRWMGEDIGWETFAIVTSNWSEKLCARGADSTTAKQWKHEKWCGRPLGLRFNKESGDLYIADAHYGLLRVGPDGGLATPLATHVEGKLILFANDLDIHRNGSIFFTDTSKKYNRVNHFFILLEGEATGRLLRYDPPTKTTHIVLDGLAFPNGVQFSMDQTFLVFTETTNCRLMKYWLEGPKTGTVELVAHLPGFPDNVRINDKGQFWVAIDCCRTPAQEVLTHNPWMRSLYFRLPIRMSVLARIIGMRMYTVISLFNEKGEILEVLEDPRGVVMKLVSEVREIQGKLWIGTVAHNHIATLPYP >EOY03000 pep chromosome:Theobroma_cacao_20110822:4:3412955:3423910:1 gene:TCM_017392 transcript:EOY03000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSSAGPLNIYRNDYEIELQMRQIQQEKGDCLTQGHISILPERVHLDLQQNDFTEMVGIWEQWRRAHRDNFQNKYGHIAWLLYVPVDDQMLRAIVQFWDPSYRCFVFNKVDMTPTIEEYSSLLRIDHMQPDKIYWRAQKTGHRRKLAKLLGMTTVEVDQHLKKKGDTECLPWSFLNGYIKKHMEDEQGLLAFAMAIYGLVVFPKVLGHVEVEWPDYKRKEEWVARLRRLMSIEVTWRAPWMPRIQVMYKCGDKPWVPLMRPWGAISYAPIMVRRQFGSEQFVPMTHQLDQLEFTYGEPETLKRIEEIVQDWKKTCRVDQGRVTDEVTTGYHTWHDQRVKNVIHPPKNPSKHPVNPEPQDVLLESELTRKRLEKEMMNMKRRHEDELEEVKKETARKVRVALKERDEWQSKFEEVSVANSSLLARIQELQSANNALQHEVRRQGQTIQELKNDCDMLETAMEGYKAQYEAIRQEYFQMRERNNSCTQSLQRKEAEMQWILRQMREVAFRARVMADKTEELRREILPKDELNKGKKAAGSSGTPEDVQQTETNTDPVYPPGFTPPPARNASIPMPSVGQYPFFGMPIGPPPTYAQQRPIGGASPSDPISLQPHNKQHLQTTILPESTHPMKIKPLTIFYEPKGEFVEDKTHAKMIIEVPKPFPYKDNKAVPWNYNCNVQVSEAKKWIAESQDDAANITGVRGITRSGRCYSPEAFENLKNEKGKEKEQSPREEKELHFFLMKNSLSLPTMPSFPFCQKRRYEYIALHLP >EOY02579 pep chromosome:Theobroma_cacao_20110822:4:1359576:1364095:-1 gene:TCM_017011 transcript:EOY02579 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR protein, putative MAAGEPFLAGLLQVLLGRLASDELLDFPLHGVVQEKLKWRKMLSTIQDVLKDAETKQLTNGAAKMWMSDLRDLLYDAEDIVDEVATEALRCKLMAGRQATTREVHDQVPTKSTSLNAIAVQFTVSTGSRIDSITTRLDQISNRRTILGLEKIFGGMSSNAQKKPLTSCFPTQFSVYGRDEDKKKIVELVLRDRSSGGDFSVIPIVGMAGIGKTKLARLVYNDEALKDFDRKLWLDASDNDFDVAKEALLNICNCSWDLEGFYTLLRLREELSQKRFFVLLDNVHRENIAGQWEGLKFALMAGAPGSKVLVTTRDRNVAVIMGATDYVKLNPLTDNDCWSVFVDHALPNRHFETSRNLDLVRHKVVEKCKGSPLAARILGGLLYCEERHEWENVLNGNIWSLYGKENDIRPVLRLSYHYLPSHLKRCFAYSSLLKHYEFEDIELILLWMAEGLIQQGEENQRIQDLGHEYFQELLCRSLFQQSSGDSSPFFMHDLIIDLAEWAAGDLCFRLQCKLDDDKQSKVSKSARHSAYLRGRHDNHKRIEELYSVEWLRTFLPLQGKPNLDKGYLTSKALDLLPKLRCLRVLSLSGYYIRFLPESIGDLKHLRYLNLSYTTIRNLPESTSNLLNLQTLILKSCSCLKKRPANMYKLINLQYLDVSNVNSIEEMPMGMKELKNLCMLPAFVVGKNVGSGIGDLKKLKLIQESFSIKRLENVVDSQEASNAGLKDMSLKILSLKWSFKFDNSRDETVETDVIEKLQPSTKLEKLSIECYGGTKFPIWLGDSSFSHMVHLQLDNCERCISFLPLGQLPSLKDLCIRRLSAVKGLGPEFYRKAVLEPFPALQTLEFEDMQEWKDWRFSSNVANTEFPRLCELTISNCPKLSGKLPSYLPSLEKLVIRQCEQLVVTIPSPPMLSELKIEGCKEVVQTSTVDFNTLDLMQLSNIPKLTCLTEGFMQGLKKVNNLKLSLCKDLMSLWSLHFVRYLEISSFSPLISLETEEDANKKLQLGIPFSVECLTLNCCERFEKLRKAFPNFACLRELYITWYKGRVLFRESILPPSLRKLAIKDCFGLQCLLDEEENINTRNTCLLKELIIKSCPLLTCLSARGELLVTLQYLKISLCTRLKEVTSGGKLPSALKHLDIYGCTELESISKRFQKDSFLEYISILDCGNLKSLPECLYNLNNLKIFYIGSAWTFVSFPEGGFPAANLILLWIGCCHKLETLPNCFHNLTSLQRLVIGGCPSITSFPDKGFPPNLTSVEITGPEICKPLFHWGLHKLKSLKELIISGCQDVESFPQEEIGMKLPTCLTRLDIEDFPNLRYLSSRGFRYLNSLASLSISDCPKLTSLPKDGLPPSLLVLCIRNCPLLEQHCNRNKGLERFKIAHIPHVNIGYRFIHDHEQEWK >EOY03221 pep chromosome:Theobroma_cacao_20110822:4:8426573:8436467:1 gene:TCM_017884 transcript:EOY03221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYWEKILKIPHVVLLTGKGLVERAVPYECSDRYRLLPPLKEERAVCSKGCPLRVELPLKEEKAACSEGHPLRVEPNCACA >EOY04572 pep chromosome:Theobroma_cacao_20110822:4:25071506:25072669:1 gene:TCM_019802 transcript:EOY04572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTMQSSLGLFLSHSPSLFLLNGWIHAASGSIAIPPILLSGLGIRAWHLGRCSDPGFLFSAACVALLLGVIYTFRLAWDLCG >EOY04824 pep chromosome:Theobroma_cacao_20110822:4:26086637:26091436:1 gene:TCM_019996 transcript:EOY04824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi transport complex protein-related MASPAALQRSPTSTSSSPLHRLSTFKNPSSNTAASPPPPSSLLDSFAKDPILSPFLSPSFSSTSFSSAALSSGSPASTAEHLLQAIRQLDSQLRSHVLSNHPLLLTQLSSLNNAELSLSTLRSSISSLQSSLRRVRSELSEPHNSILSKTVQLSNLHRTSELLSHSIRAIRLSKKLRDLMASCEAEPDKLDLAKAAQLHSDIFILCEEYELGGIDMVDEELNAVREIGNRLRSEAMKVLERGMEGLNQAEVGTGLQVFYNLGELRGTVEQLVNKYKGMGVKSVSVALDMKAISAGAGGGGFGPGGIRGTGTPQIGGSGKAREALWQRMGSCMDQLHSIVVAIWHLQRVLSKKRDPFTHVLLLDEVIKEGDPMLTDRVWEALVKAFAMQMKSAFTASSFVKEIFTNGYPKLFSMVESLLERISHDTDVKGVLPAVTSEGKDQMVAAIETFQMSFLASCLSRLSDLVNSVFPVSSRGSVPSKEQISRILSRIQEEIEAVQLDAQLTLLVLHEISKVLLLIAERAEYQISTGPEARQVSGPATPAQVKNFALCQHLQEIHARISSMITGLPTIAADVLSPSLGVIYGVACDSVTSLFQAMIDRLESCILQIHDQNFAALGMDAAMDNTASPYMEELQKCILHFRNEFLSRMLPSTANATTAGMETICTRLVRSMASRVLILFIRHASLVRPLSESGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPLIFLETSQLGASPLLQDLPPSVILHHLYSRGPEELQSPLQRNKLTHMQYSLWLDSQGEDQIWKGIKATLDDYAAKVRVRGDKEFSPVYPLMLRLGSSLTESAPASQKS >EOY03647 pep chromosome:Theobroma_cacao_20110822:4:17623187:17625831:-1 gene:TCM_018742 transcript:EOY03647 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MGNPHILVIPYPAQGHVIPLMELSQNLAKHGFKVSFVNTEFNHKRVMDAFAKKVDADELVQLVSIPDGMEDGESRNQLGKLTESICQVMPKELKQLIEKTNRLEDKITCVVADVNMGWALEVAAELGIHGAAFWPGSALLLALTFSLQKLMDDGVIDANGTPIDKDKVIQLSPTTPGMYPTNFVWACLGDLFTQKIIFDYMRRNNKAAKEADWLICNSTHDLESGAFNLAPEILPIGPVSASSQLGDLAGSLWPEDETCLQWLDQQQPGSVIYVAFGSLTTFDQIQFQELALGLELSNRPFLWVVRPDITVGKHDVYPEGFRNRVAIRGRMVGWAPQRVVLSHPSVACFLSHCGWNSTIEGASNGVPFLCWPYFADQFLNESYICDFWKVGLRFKRGERGIITREEIRTKVEQLLGDEKTKGRALALKETVKRSVNEGGSSNRIFKNFTEWMKS >EOY03730 pep chromosome:Theobroma_cacao_20110822:4:18594179:18596155:-1 gene:TCM_018867 transcript:EOY03730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAKWPNHKAPIGDFTRFLNEGTTPMIPKKSKSSKVWFNPMVGCLKFNIDGSFKGCPGDSSIGGILRNKFGEVLVFFSKSIGITDSNKVEQLAVREIALIYAASKWCSSHVLVIDSDNYNIVK >EOY06623 pep chromosome:Theobroma_cacao_20110822:4:32485017:32485797:-1 gene:TCM_021288 transcript:EOY06623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related protein 10.5 MGVVTYEMEVATSIPPAKMFKAFVLDSDNLIPKVVPQAIKSSELLEGDGGPGSIKKITFGEGSQFKYVKHKVEGIDKENFSYSYSVIEGDALMNTLEKISYETKFVAGPDGGSVCKSTSKYYTIGDIEIKEEQIKAGKERALGMYKAVEAYLLANPDAYN >EOY05838 pep chromosome:Theobroma_cacao_20110822:4:29965181:29966221:-1 gene:TCM_020735 transcript:EOY05838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSMAVSLMKLSLPFCSFKSSTAKFPISRRTTNSNNAAAILIIELSPWRRLLAKSKIQGEPPEEVPFRTPREVPSGPNYTPPSVPPDVDPRIPETPDLDPSDTPLEFTTNDPPPLGRPRPDPGPDFPKPPLGPPPSGPEIPVPPPGRPPPPQVDPPLPPDHVPQPSTPPDYVAPPSVPPDIPPTKGPRFVL >EOY03442 pep chromosome:Theobroma_cacao_20110822:4:15662958:15666310:-1 gene:TCM_018506 transcript:EOY03442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLRDTLNKTTEGTASIFFYMHTIKPISDTLATIGAPLYDDEVVFHVLNGLGSDFKEIGVVIHASRIHKRKPTLFASFVKFLNMMLRSAANYKLLFLGCFNHLHLISILHPCLHRHIS >EOY02904 pep chromosome:Theobroma_cacao_20110822:4:2886903:2888130:-1 gene:TCM_017301 transcript:EOY02904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIQALMEEHGEDGFMYNVEYDQDGHLTHLFLAHPTSIALTKSYLNIFITDCTYKTNRYSQIPNYIKNTWLPYKEKFITAWIKKYTHFGNRVTSSAKGAHANLKKYRQVSISDLHIVKDKICQAIENQFQEIKAKLSSERIRVPHEFLIPSFKNVVTCVSICALEELSKQYKLAYSDSLLLWVLLKWSSQLKLIIKKKTSTLFG >EOY03767 pep chromosome:Theobroma_cacao_20110822:4:19131953:19134912:1 gene:TCM_018942 transcript:EOY03767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSRRGPPKHQNHYAWKPNAGIKINETEVGGKLRPFSEITGVCPRCKEQIDWKRRYGKYKPLAEPAKCQLCSKRNVRQAYHNLCSGCAKEQKVCAKCRCRVDQIVGRDSADVEEEQKMLEEAIKNARERDRRTLLRAMSKSKSKGSSKTTTNKENGRVGEIFPSTSLEEYAKLGRKDDGNHDDCYDSGNDDINDHDDNENDNEDNDEDCEDGEDGDDEPSV >EOY02664 pep chromosome:Theobroma_cacao_20110822:4:1710337:1719730:1 gene:TCM_017076 transcript:EOY02664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate dehydrogenase 6 MGSGEWCLQKRDSFKSDSFSINENVLETGCLSIIVLGASGDLAKKKTFPALFHLYCQGFLPPDEVHIFGYARTKISDDELRNRIRGYLVSDRSASPSEDVSKFLQLIKYVSGSYDAAEGFQLLDRAIAAHEFSKSSQEGSSRRLFYLALPPSVYPSVCRMIRQYCMNKSDLGGWTRIVVEKPFGKDLDSAEQLSAQIGELFEEPQIYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKDEEVVLGQYEGYTDDPTVPDHSNTPTFATVILRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCKKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMLTVQSELDLSYRQRYQNVTIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHGIDNGELKPTLYQPGSRGPAEADELSAKAGYVQTHGYIWIPPTL >EOY06558 pep chromosome:Theobroma_cacao_20110822:4:32273500:32281067:1 gene:TCM_021239 transcript:EOY06558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAATESPPPLNDVDIVCLAQQKRPTNNHHYQPNVSLPPFLRSPHSHESLLAYLHSRATSPSPSSAVSEYVISLLSLISLSPETPSVSSLLSSILSSYAQIFPSLPHDSNSLKTISFFNTLLIHVPFDDLKSVIDSVVLTLSEVVSVDDAQLFDLFPQCFELIRNAEEKGGDYVNSVLDKILDSKWSKGSLLKMVSIVKDFSFLDKSRGKEFLEKVFVGIKNVDLMDLPSLVYQLLVLASKGFNKREVIEGIVWFFGSQLGSKMTSTVRQVEGTVLLHVNFAVKQDPSLGKEVMGLVKTDLRVFNHFTVAVLLSVSRVRRFSESSITILKTALLTAYRDYKFTKDCKWIPDDMKEEYLKSVKVVEKSVLRAVNESNYGGEHMVPSMVQFGFILLESVGDVNCTELCNSNGLLGIEELGIQMLKTLFEVHDMARNEIIEQIKFRILSLKPEQSRPIIRLLGHLIQCYPYPMVEHVPRLKELLDYFTFMDGKVASYLVSALLPLIRFSRDLQDYTILVVRKAMFRREDTVRVAATNSIIDLILAEKQPKRDGLFSFQDSSSQASCSQQADIPCSMGEGLFQELSALLQRCFHQQAKVKEVVYQGLVKLILVNPSIGGLVFDFLLPHFLQFFKEDEDVQLGVSCCIKSESGKVLIQEPLDCLLSCVSWILLLQPHGRTDQLSDSIGPCFGFSLSQENEDGRNLSSEVFSSALLKIRKFLRNANLEEILGQTHDASSTVVHEEKRKCCALILSGIEEVLMNTIAMDLEKATDQKKVELEKELIEFVGLHDSLSKDTCTSRSNVTKKVNLRATLTQTPDNIDSGNTKLIQEHIPFLATSSIYQLLQIALKLYSSESSNSEATSQSHSQSSLGKASKSCFKIVSFALNASLHNIKSSALVGNEDPLKKLIYGDINMLGSQLLSLTLSLKSGSNVATSQKKKESKAKKDVEERKEHLHLALLCLKEMITISLCSSRLTGLLENLLSVPQLENAGLHDECVLASEIDDRDIRNKELFILKFLKPMLSELMKVSAFRNIEVLCGIMLMIGHKLPCKWRNSHAAWAIHICKTSNTTDSNIAKSMVRLAISLSSPPTDLHVAQDMLKELLKFIGSNSSDSSQVSEYLLINQSTTTAVASCLLQITDAVIVDMDWATKKLKAASQVAQKSTHLNQNGEHNSGLVFEENLYSRVKAVVEVLSSFVLMSLKDSQAEHFLRLTARFYKHLAQMSKLRIAPKGHKQPLPSLQFQKLVELTCKRLTNPLYNFVAEMQQAQQENTNSKGIINKIKRENKCIPDLIFQIEDYEKHLIRLSKATKVNLLKHAKRSTSRDFKILDPITVPREDPPNHDPNPNNSAADGNESCSDSEDDEGNGSEKVLSPQSGSPLAEEESESDAEDRASVPTVKRVKRSRIVHDSEDEGQE >EOY06205 pep chromosome:Theobroma_cacao_20110822:4:31293436:31294965:1 gene:TCM_021012 transcript:EOY06205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFNMCSIRCQSDMASHTRDIKETEVEQRKQAMRQEIMEEAWQQNLVGGYDNAGFVLEPSLSTGLISDLKP >EOY03134 pep chromosome:Theobroma_cacao_20110822:4:5979297:5981521:-1 gene:TCM_017671 transcript:EOY03134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRSGGLGDAITYVTTNQDMLDNTPALITYLQKKIKGVNLIDSMIINFCISRLNDDVVTTTTMHMWSGQCIYRSNDDIVTFTTVHVQSGQYTCR >EOY03346 pep chromosome:Theobroma_cacao_20110822:4:13609638:13614988:-1 gene:TCM_018322 transcript:EOY03346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MISSFSPMQTETVTFASSSFCFSFLPLLKHQIYTTTPFTTKGRNHLTLQALSSSSSSPTKDIWRRSKTAPFYRPKPSQNSTFLDHSIDMEELFASISKTQNGKDLFTLLSPYKTRQLSIRFMVSLLSRETDWQRSLALLDWVNEEARYSPSLFAYNVVIRNVVKAKQWAIAHGLFEEMREKGLTPDRFTYSTLITYFGKEGMFDSALSWLQKMENDGVSGDLVLFSNLIELSRKLRDYSKAISIFNKLKRSGIVPDLVCYNSMINVFGKAKLFREARLLVKEMRDVGVMPDTVSYSTVLNMYVENHKFVEALSVFAEMNEVKCPLDLTTCNIMIDVYGQLDMAKEADRLFWGMRKMGIEPNVVSYNTLLKVYGEAELYGEAIHLFRLMHRKDIEQNVVTYNTMIKIYGKSLEHEKAYNLVQEMQNRGIEPNAITYSTIISIWGKAGKLDRAAMLFQKLRSSGVEIDQVLYQTMIVAYERAGLVAHAKRLLHELKQPDNLPRDTAIMILARAGRIEEATWVFRQACDAGEVKDISVFGLMIDLFSRNKKHANVIEVFEKMRSAGYFPDSNVIALVLNAYGKLREFDKADAVYKEMQEEGCVFPDEVHFQMLSLCGARKDFKMVESLFEKLDSDPNINKKELHLVVASIYERGNRLNDASQIMNRMSERGILKS >EOY03535 pep chromosome:Theobroma_cacao_20110822:4:17017477:17020381:1 gene:TCM_018650 transcript:EOY03535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family/GOLD family protein MSRLTQGIVLIILGLMLETDIGESMRFELESGNTKCISEDIKPNAMSVGKYSIVNPNEGYPLPDSHRLTLRVTSPYGNNNHYGDRVDSGTFAFTAVEGGDYTACFWATDHKPPVTITIDFDWKTGVAAKDWSKVAKKGQVETMKLELKKLYDTVTSIHEEMFYLREREEEMQQLNRETNSKMATLSFFSLVVCLSVAGLQIWHLKAFFERKKLL >EOY02519 pep chromosome:Theobroma_cacao_20110822:4:1189197:1190743:-1 gene:TCM_016975 transcript:EOY02519 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MEDLVVENLFSGDREVQIQAATELSKLSSKQRHRMAERGIISPLVSMLQSQDYEAIEASLLALLGLAFGSERNKIRIVKAGVIPVLLDLLQCQSEELIELTIAAMLILSSCTANKLAIASSGAIQLLVEILNLNLVNADANDISSFSMQASIDAIATLQNLSTCHQIIPSIASSGVIYSLLQLIHRSEKSSELTEKAMALLENIVSSSENSIQETAGTGGAIRIIVEAVEEGSPQCKEHAVGILLHMCQSCRDKYRGLILREGVMPGLLQLSVDGTWRAKSMARELLLLLRDCSNYGSTSKSKHGLMEQIMQAIDADGEKVTGMTLRLVEEMITKLST >EOY05481 pep chromosome:Theobroma_cacao_20110822:4:28723258:28726200:1 gene:TCM_020472 transcript:EOY05481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSHTFRPTVARGGPDFLSSPGSQAPALKRVNKSQFTFILLSPTNKTKASRSSLDHVWSLGIRSVNMNGKFVISSSMLGQDCLEKSPLYKNTFFEFSQLLGATL >EOY05893 pep chromosome:Theobroma_cacao_20110822:4:30198001:30205757:1 gene:TCM_020783 transcript:EOY05893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MDPSSSISSELAIDEQAVQVEITTRLKSELYKAAFNGNLEAFDAYQGSLHCLMTPNQNTVLHVHLASPDDGTGLIIDLFPFLCCYFRFPAKSLRSTNFVEQILNRSPSLLLKPNAKGEIPLHIAARFGKVNIVELLIKHAKAEHRGLENGIEPAKQMLRMTDNEKNTALHKAVRYGHVEVVHALIQEDPDFSYSVNKSGETPLYIAARRGYNRLVTLIFDKCEFANHDGPCGRTALHAAVMDHNITEHRGLENGIEPAKQMLRMTDNEKNTALHKAVRYGHVEVVHALIQEDPDFSYSVNKSGETPLYIAARRGYDRLVRMILDRCESSNHDGPCGRTALHAAVMSFDIKSTGEILKKRKNLRKAADENGQTPLHYAAHFGYDRIVKLLLELIEYIKNLKNFICYEKKFKSLAPTSHKEAPPLSAAYIIDKEKMTPLLMAARQGSYRTMREIINHCPGCCEIVDKKGWNFCHFAAVSLFIIDLCKMFLPANKLYSCRRFLDEEDIHGNTPLQVLAASRDLSYWIGHTFKDDVVTVSKENLDSKKKEQISQLLNEVSRGEVAGVAVCPLLHNSIDLERFDKAREARSFVAGLIATVTFAAAITLPGGYYSDQGTAILSHNTAFKVFVIADAIAMVFSLLAINLNYLSALPIAVAKRRLSGAFPFSLMSLFSILSEVALVIAFVASLYAVLKSSLTPAISACTITPGFYYFYLWLRPPLHDFGFL >EOY04583 pep chromosome:Theobroma_cacao_20110822:4:25106807:25112478:1 gene:TCM_019811 transcript:EOY04583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkenal reductase, putative MAKDDVPEVVRNKRVILRDYVTGFPKESDMHISFSTMILKIPENHKGVVLVKNLYLSCDPYMRLRMRNDQDPEFTPFTPGSPITRFRVAQVLDSTHLGFKEGDFVWGTTRWEEEDSFIARPERLFKIRHTDFPLSYYARILGMPGMTACAGFYEVRSPKRGEYVFVSAASGAVGQLVGQLAKLEGCYVVGSAGSSEKVQLLKERFGFDDAFNYKDEHDLEATLKRYFPEGIDIYFENVGGKMLGAVLINMRVHGRVAVCGMISQYNLDQPQGTKNLMCLDYRRIRMEGFVVFDFCHLYSEFLHKMLPLIRDERITYMEDIAEGLVNAPAALIGIFSGNNIGKKIVRVAPE >EOY05673 pep chromosome:Theobroma_cacao_20110822:4:29437459:29441540:-1 gene:TCM_020615 transcript:EOY05673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Survival protein SurE-like phosphatase/nucleotidase MENNTNSYDEKPTVMVTNDDGIDAPGLRALVRVLVSSNRFRVLVCAPDSEKSAVSHSITWRHPVSVKQVDIDGATAYAVSGTPADCTSLGISGALFPSVPDLVISGINMGSNCGYHIVYSGTVAGAREAFFNDIPAVSVSYEWVGGISSVNDYTLAAEACLPIFSVMLTEIRNKNYPLRGFLNIDLPTNVANHKGYKLTRQGKSIFKMGWREVTSERQGGKMLSTMEMETDSAARTETGTSTEAGGHLLFRREVRGAQVDDADTDKQFLQEGYITVTPLGALSQAEADFHSFFKEWLPSVVQRFSSSAL >EOY04717 pep chromosome:Theobroma_cacao_20110822:4:25612108:25613970:1 gene:TCM_019906 transcript:EOY04717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNVRSKDMVAKEFNLSRAAEEEMEGVKITLGPCACVCARLAILVLVLTSLLVLHECSRDMVAKEFNLGRVAEEMEGIKLNVTPCTDKCNNKGQKWLCITNDLCYATEEQCDQLCPKPAA >EOY06249 pep chromosome:Theobroma_cacao_20110822:4:31432465:31433005:1 gene:TCM_021047 transcript:EOY06249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKFSPTQFLILALLLTLVSMAPQIDAQQRCEEILDPNNCVLEDCKERCFEKHQSNGGQCVENGGTPLNPTFKCLCVYDC >EOY03415 pep chromosome:Theobroma_cacao_20110822:4:15344690:15346566:1 gene:TCM_018471 transcript:EOY03415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein, putative MGCASSKQKRCRYCQAPYSPVPRSYSMHVHHPAQHKGDSYHVVALTSTTLGSLKLETNHQTNGSSINVAAFNGNLENGNKINGNVKEVEEESKGLAMEVIEAKVWSKMIEDKIPKIVPKTPVRTPPGEPETINTWEMMAGLEDISPLRSPGHFRSFSFDVVRNSAPKENSTVSPNPMWLQMEKDDDQKSNSGITDFDPEVISSFRKSLEQLPFDNPCHLRPQENDKEQDYEKNVNGVVVSDCKLNKDKVVVYFTSLRGVRKTYEDCCHVRVILKSLGVRIDERDVSMHSGFKEELKELIGEVYRGGLPRVFVGKKYIGGAEEIRRMHEEGQLEKAVEGCEMVDDDGGVSGGACEACGDIRFVPCETCSGSCKVYYEDDEEEEGEENAGEDGEYGFQRCPDCNENGLIRCPICCY >EOY04793 pep chromosome:Theobroma_cacao_20110822:4:25953453:25955392:1 gene:TCM_019973 transcript:EOY04793 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MAQHCFIKFLEASHVSPPPGSVPTTSLPLTFLDTLWISCCHIQRLFFYKFPYPTSHFLHNALPNLKTSLSLTLQHFFPFAGNLKMPPLPQRPYIYYADGDSLPFVVIESTADFKHLITGNRPRHAQELEDLVPNLPPPSMSSDGDNICKKQPLMAIQVTVFPNAGISIGITFCHVAADGRAFGHFTKSWASICRSLGDSTSVRNIISPPNYNRDLIQDPRGIWTIFLKELYDWETLERMTPTDNVRITPVINKSHVEMLKTWITRKYVEETEKEPPRLSTFVVTTAFMWVCLVKLKQSNKTPDQGLRNDDAICVFTFLADCRYRLRLPTNYFGNCLEPCVATAKRSELIGENGMVVAAKAIGREILEFEKEPLRGAATWISSGKEVLRKCEHYITLAGSPKLRVYETDFGWGRPAKSEVVHLGSQGSIAIAESSDEESGVEFGVPLAPDEFDKFNAIFEQGLLNLCK >EOY04200 pep chromosome:Theobroma_cacao_20110822:4:22558857:22563421:-1 gene:TCM_019458 transcript:EOY04200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHAKRVQKSHVKVEVKGALATMSDQDCQSGVRWAHVVRDYWLYFRFRFLPDSKGKSLCLQIWFLGFWLGPWAHP >EOY03782 pep chromosome:Theobroma_cacao_20110822:4:19254627:19256873:-1 gene:TCM_018964 transcript:EOY03782 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor MTTSLPSPGPEVSLSLTLPPCPTPLEALKPEERGIRLIQLLLTCAKHASSGNLHRADECLRQISLLASVSGDSIQRLSVRFASALAIRLVKRWPGLHKALNYTQLPKQEFDDAKPFWGRAFPYLGLSYAIITRTLIKATMGERVIHLVDVGSGDVNLWVPLLRSLSCMPDGPPHLKVSCMNANKAVVDKLGARLVKEAEALDMAFQFNPLNVSLKELTSDMLKVRSGEALAFISILNLHALLAEDDRVDAHFGDNRNINGIKNSRQMCHFLTMMRSLSPNLLLVVEQEADHNLNRLVDRFVEGLHYYSAVFDAIDAAFGPTSSGERHALEGMFGKEIENIVACEGVEREERHERHGRWMARFWQAGFKPVRIWYDSMEDANQMVEACGKDGYKIVQGKAGLMICWHDRPLYAVSAWTC >EOY04016 pep chromosome:Theobroma_cacao_20110822:4:21495845:21496340:-1 gene:TCM_019276 transcript:EOY04016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFLGENFWFVFRGFLGCRRIEWRNFGLVANGKKELRKLVENKKRATTALRGRDPIDSLEGDRPDRQP >EOY02737 pep chromosome:Theobroma_cacao_20110822:4:1962673:1964447:1 gene:TCM_017122 transcript:EOY02737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKNSTIKALDGRDHAISINSTTESLASSLEKLMGEDSTFMPSRCCIFKTPSILYRHKEQAYIPNAFSIGPFHHGKPNLTHTEKIKLKYLQGLISGSHSQASLRQCFDVILEVEEEARECYAGTIGFSPDEFVKILVLDACFIIELFRKDAGLAAKDEDDPVFTMSCMLQFLYHDLILLENQIPWLVLERVFRLTASPSESKSLVELTLEFFGNIFSSDKHSIEPHLFANQEIKHILDLLRKSLLLPSRGEDNQHCFGWQPFPSATSIKEAGIKFRKVASSSILDIRFSNGILEMPSLLIQETTETIFRNLISFEQCYPNCTPRVTSYAIILSHLISTPKDMDILCDNEIIDNWLIPEDSAKFFKTLYHDSYVKEFHYLRLCEQVNGYCHHWWSRWHASYRHNYFGKPWAIVSLFAAATLLILTFIQTYYIVFK >EOY05866 pep chromosome:Theobroma_cacao_20110822:4:30112169:30114113:1 gene:TCM_020763 transcript:EOY05866 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase 18 MSVHAPLSVVSVNSVPKIRSKKIETRVKVFNQREVSSVHLKLVRNHGGRSRLTILNAAGLSEIEPDLNEDPIDRWATNSVSPEDFKYGEYDEHHTYFEGDEKGTFWGAIADDIAAVEPPTGFQGLISWLFLPAIAAGMFFNVPGEYLYIGAAVFTAIFCIIEMDKPDQPHHFEPQIYNMERGARDKLINDYNTMSIWDFNEKYGDLWDFTIKKDDITKRTSPEQREL >EOY02977 pep chromosome:Theobroma_cacao_20110822:4:3278771:3282636:-1 gene:TCM_017368 transcript:EOY02977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MSRTLAAILGGAAGAMALVGIVGLLIWFCLFHKRGVSRRSETGSSDPSVQGRHLGVELSLREAKRFEIEELSSATKNFSDQNLIGEGKFGEVYKGLLQEGMLVAIKKRAGAYSQEFIDEACYLSSIQHRNLVTLLGYCQENNQQFLIYEYIPNGSVSIHLYGAGQVSPQKLEFKHRLSIALGAAKGLAHLHSLSPRLVHKDFKTANVLVDENFIAKVADAGLRNFLGRIDVAGPSSQVTADEIFLAPEVREFRRFSEKSDVYSFGVFLLELVSGREASELPSSDSTENLVEWVQNSQDYSNISSMIDQRLGSSFTGEGMEEFIKLMVRCVEPSSERRPAMSYVVMELDRILDKEMSLTTVMGEGTPTVTLGSQLFRATK >EOY05412 pep chromosome:Theobroma_cacao_20110822:4:28487949:28491758:-1 gene:TCM_020421 transcript:EOY05412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein, putative MKMLSNFEPRRLFGGRLMELLLVATLVLSVSEALNSKGKYLLELKKSIHDEFNQLGNWKPTDETPCRWIGFNCTSDYEPRVWCVDLISMNLSGTLSPSIGGLTHLSYLDLSYNELLGPIPKEIGNCSLLEFLHLKNNQFSGPIPNELGKLSYLRSLNICNNKLSGPLPEELGNLSSLDEFVIDTNNLTGPLPRSIGNLRKLRIVRAGDNAISDKIPAEISGCQSLQMLALSLNHIGGELPKEIGMLKNLTDVWLCNNQLTGFIPKEVGNCSKLLTLALCANGLVGQIPMEIGKLKLLKSLFLYNNELKGNIPEEIGNLSLATEIDFSNDYLTGGIPTELCQNLKFSENFHLFANKLNGSIPREIGNLPLATEIAFSRNYLTGPIPYGFQYFPAMSALYLSNNFLRGTIPQQLGLYSSLEFVELSENHLTGKIPPHRSRHTKLYWLNLESNKLYGNIPVGVKNCANLWALRLAGNKLTGSFPSDLCNLVQLSTIDLDRNNFTGPVPVEIGNCTTLQRLQIADNRFTSELPKEIGKLSQLVIFNVSSNSLKGQIPLGIVHCNTLQRLDLSHNGFSGTLTNELGTLLLWKLSKNQQR >EOY04504 pep chromosome:Theobroma_cacao_20110822:4:24713462:24725624:-1 gene:TCM_019749 transcript:EOY04504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MECAKFYSNCPAFVCHRDVFLYSVVGFDGELKTVSQKSVDVFIPSDFYNLRFLNPNTNGYYTSLASTSQIQNSVAFRNSKRKLGYNTVESSSYIQNVEQDCRNSQRRNIVSFEIDHRDDDNFFEQELSLASDASQMLPILNDEDNISTFNQQTAHIGTSFVGDNSTSTHFDSNQYNIPLNLGGPRYVCCFYGAQMWYEERKNKSRNERNPRFTMCCMEGKVSLPPFKQTPSLLATLLNYKGGRTAYKFRHNIRVYNSMFQFTSIGGKIDSEINRRPGPYVFKINGQNHHKIGSLLPVDGERPKFAQLYIYDTENEVSNRINALGYDVQQSGVEENIVKELMEMLDQTNQIVKAFRMAKERFKEPDYIPVKLRLIGARMNDGQQYTNLISSEVAALIVGDVDQLIDKRDIIIEHRSNGLRRISDLHPAFMPMQYPLLFPYGEDGFHLNIPYQKWNHTTKTKRGTVTAREFYVYMLQFRLNQAPSAITITSKTKIVKASSSALSFQRHYFQFLEFEHLPHRYKINETLTDIIGLIISMSKVTAIYVSNKSTKVPKRNLQLQNIKGTIINATLWGDLAYCVDDDIIGLKSKPIIILAAMTVGEYQGQPSVASCSASKIYVDLNIPIVADMKARFDEKNAPVLLLDVRQRPQIPPDQQENHNRVTIKQLLQIDHSKTQIETYTCIAKIKEFDCTERWYYIGCKICMKTLQQISDTFWCPDAKHGEQLPHLCYKLIITVEDNTGNATFVVFGDDGEKVVGASIPKLALLNHLDKYILPEPITKLIDQEKLFSISLVTKSLDTGNLTFRINSCKAVNEAHKPTMMLGQSSTCESTLHLNKKKSNLEVQECPPSSPENQIQQDLFPEESPIKKVKLR >EOY02525 pep chromosome:Theobroma_cacao_20110822:4:1234433:1236325:1 gene:TCM_016981 transcript:EOY02525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 96, subfamily A, polypeptide 1, putative MAQFESILILAAIFFPLFLSYLYQNRKSPPITNWPIVGMLPGILYNARRIFAFSNDCVRQSGGTFEIRGPCFPSLDFIVTADHTNANHILCKNFDNYEKGSEFNEIFFDCLGEGIFNSNSHSWKSQRKVMLLLMKDDKFVLHFHRILRGKLEKSLIVVLEHFMKLGIEVDLQDVLQRFSYDVVCLLAVGFDPCSLSVELSEVPIKTALIQAEERLLYRHLMPMRLWKLQRWLQIGEEKKLSKALKTIDTFLYQCIASKREKFRCKLLGKEDQYDFITAFMVQEEGEITSVDGKSDKFLRDVAVNIMSAGKDTISASLSWFFWLVAMNPSVESKLLQEMRANSPSINDEKNMFFSVEGLNRFVYLDAVLCETLRLYPAVPINHKTAIQPDILPSGHHINRNTRVLINFYAMGRMEEIWGEDCLEFKPERWISERGDVVHVPSHKFIAFNAGPRSCIGRNISFIQMKLVAISVLWNYQIQAVQGHHVSLGNTIVLHMKNGLKVRIKKRSA >EOY04664 pep chromosome:Theobroma_cacao_20110822:4:25422906:25426817:1 gene:TCM_019863 transcript:EOY04664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASMQRSLSGHPWSVFNTWNSFAVGLLANQAFSSLLCSCNVNRTQKNDNVGVNLMDEDVGLTRSNFGQVISAIGSV >EOY06537 pep chromosome:Theobroma_cacao_20110822:4:32211920:32213754:1 gene:TCM_021223 transcript:EOY06537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ MDGNKDEALRCVHIAEEAIASGNKERALKFIKIAQRLNHSLSVDQLLAACENLDSGSSPASPVVEKCVSSNKNRGGSTKLDKGLNGERSYTEEHVQLIRQIKRHKDYYAILGVEKTCSADEVRRAYKKLSLKVHPDKNKAPGSEEAFKKVCKAFKCLSVDDSRRQYDQVGLVDEFEYNQQHNVRQRRRRYGNDLFDDEFDPDEIFRAFFGQGDMFRTSHVYRTRGMGGHQREQRHGGGPNFLVLLQILPFLLIFLLAYLPISEPEYSLFRNYSYQIPKTTEKYGVEFYVKSSAFDVNFPLGSPARANFEDNVIKDYRHMLWRYCHVERQKRHWNKNLPTPHCNKLQNLGLA >EOY04829 pep chromosome:Theobroma_cacao_20110822:4:26110981:26116674:1 gene:TCM_019998 transcript:EOY04829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein MEKDKTPGLPPPSGRFSGFSSGGNAPNTFNVKSETGSFGQTSEPNRFSHDVSKMPDNPPKNLGHRRAHSEILTLPDDISFDSDLGVVGAADGPSYSDETEEDLLSMYLDMDKFNSSSATSAFQVGESSVAAPAAAPVPAVGVGVGTGMLAGENVSVGVGSSEKPRVRHQHSQSMDGSTSIKPEMLMSGSEEVSPADSKKAISAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLTLLQRDTNGLTAENSELKLRLQTMEQQVHLQDALNDALKEEIQHLKVLTGQSMPNGGPMMNFTSFGASQQYYPNNPAMHTLLTAQQFQQLQINSKKHQHQFQQHQLHQLQQQQLQQQQEQPQQQSGEIKARGSMPSPSQKDGSLDVSSTASKD >EOY06151 pep chromosome:Theobroma_cacao_20110822:4:31123953:31125923:-1 gene:TCM_020966 transcript:EOY06151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIRDKFSARRHRVFGFIVFSDPLILDTVLKDNHTIDGRTFLVDVVRVRPRIELEQSDRAGKLSPAQQAHGLGSSNSTAAAMLVPKLHFNHVQEQSNL >EOY02984 pep chromosome:Theobroma_cacao_20110822:4:3322909:3324321:-1 gene:TCM_017376 transcript:EOY02984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLSIRMEMYNSVLSQKYGDDSSSQLEFNPHAWTEAIKGMETTRTHVYGFGSRVPATTFLTRTHSNVATSKSTCGPINSNATSPAIALEEKVKNLSKHLGKICEEIREEIKNAMAESMTEFMARMETMIITNTLSKQENVGPSR >EOY05713 pep chromosome:Theobroma_cacao_20110822:4:29570409:29572418:1 gene:TCM_020646 transcript:EOY05713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNQGSGLIFPFVDELLLPDTLLIFTWLQTKEEMLVNEELDMMLRCYLKIDACNASTNFQILGHKQFRLMILSSEMLPSVHIDSLMRGPAAA >EOY03784 pep chromosome:Theobroma_cacao_20110822:4:19303826:19305110:1 gene:TCM_018970 transcript:EOY03784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSLHWIRANEGDVSINESIWWTNPCALFTRRSFSISRLEISWQPPLDWFFKFNVDGSACDKPEPSSCDRVLRNSYNHVLGIFYGPLGYHIPISLNSWPFSMLFASSLLHNFLVPNLSLNLILKLFFHGLLMSLKGYGLFGKSSMKLITFLTLSLTYRLPMF >EOY06565 pep chromosome:Theobroma_cacao_20110822:4:32310605:32316417:1 gene:TCM_046790 transcript:EOY06565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamete expressed 2 MAAEFHCIFSLFLALFLTYSADEATVPKFAFSWLDDKDTFRAGDTATIKIKVLGNFDSKGNASLDRSAFKPALTVNGKTGNSSYVSGVLLDTAGDPSTWQIVFTPILAGLFNLIIDDEPFKVMDSSLHFTVEPGSMYPSASVASWLGFLNEFEAGSKAPLLILPKDAFGNNVSSTDKELNSYNISMTALHENGSIATMVNITNMGWNEFGYIIVEFMAVKAGNFLLHMEGASQILNGSPLPFKVNPGPLDVSNCVAKWKFEFNAWQIFSKMEILIYQQDQYGNLVPGLYEFDADVIEKETNLSVPVGDLQFEEVEPGIQLFSFGMSEPGNFLLTISDMKHNKSISNMPYAYTVFVGYCDGFKSFVNGTGLNSSVAGEMAEFSVYLSDAFQYPSPVEVERLQVEIKREIDACSVLPTIYPTQFSNGIKHITEIGNKYTGELLEDAVTETEFAPAPSIGLNNTPPESLKVQASAFNVMYTPEKSGIYKIHVLCGNIILNGGIPFTKEVKAGEVNISLSGVVRFSPKAPKLVRNEIEVQLRDSFANPVLSEQSKLSLELVSVNKTGFSSWMFVDNNDGSYTGHYLAMEVGTYEMCVSFDREHFSPCPFEINVYGSEYFPKAYDDTISLWEDESISFDVLGNDYFAGGNASIVEFSKPGHGSLLQYGRLFRYTPYKDYYGNDSFLYTISDINGELATAAVNISVLTIPPQLISFPSQLQAMEDVISPRFGGYYGFEMKYSDPMENISVILSAKSGKVFLSPMPMQFWQPIWSELSVSKGDEEGKNLTIKGRLEAINFALQSIQYLGNENFSGADTLRVSARNRNGVNDLDVPVLVEPINDPPYVNVPEFIILKSTRDESLLFNKETDKFQFSIGDPDLLNFPGGESGFLLTFSVEVSDGFLLATLPAALIDSTELKLKSTYQWQPLQTYVTISKHFKVKAIGIRFRASLNDCNTVMEQLAYHGGEHGAAITVKISDLGHYGCYLDCAERVSKPLCAEATVNLIRGRPMSSLLVRTLGSAIFIEFLSLLSLGSVLLFFTCKCAILLVNEKRRQNPRNSEPSRVQSSQQETLSANSTEDAIHFTGCCCSSALLTKLPLST >EOY02952 pep chromosome:Theobroma_cacao_20110822:4:3127836:3136067:-1 gene:TCM_046753 transcript:EOY02952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 38 protein MAITLLFAILLAGVVNSAKSSYIEYNTTQRIIPGKINVHLVPHSHDDVGWLKTVDQYYFGGNNSIRGACVQNVLDSVISALLEDKNRKFIYVEMAFFQRWWRQQSNAKKIKVKELVDSGQLEFINGGMCMHDEATPHYIDLIDQTTLGHKYIKDEFGQIPRVGWQIDPFGHSAVQAYLLGAELGFDSLFFARIDYQDRAKRLKEKTLEVIWQGSKSLGSSSQIFTGIFPRHYDPPDGFTFEINDVSPPIQDDVLLFDYNVQERVNDFVAAGLAQANVTRTNHIMWTMGTDFRYQYANSWFRQMDKFIHYVNQDGRVNALYSTPSIYTDAKYAANEQWPLKTDDFFPYADKLNAYWTGYFTSRPAFKGYVRVLSAYYLAARQLEFFKGRSSLGPNTDALADALAIAQHHDAVSGTERQHVAADYALRLSIGYMEAEKSVASSLAFLAESRSSTGQGNSVTSFQQCPLLNISFCPPSQAALSDGKSLVVVIYNSLGWKREETIRIPVSSARVIVKDSEGREIESQLLPLSNSTSHIRSHYVQAYLGKTPRETVKYWLAFSVSVPPLGFSTYIVGTAEQTGPSSTISTVHTYEGSKNNTIEVGQGSLKLLYSEDEGKLTRFVNSRNSVTAVAEQSYGYYFGNAGTDKDPQASGAYVFRPNGTFSIKSESQAQLTIMRGPLLDEVHQQLNSWISQVTRVYKGKEHAEVEFTIGPIPVDDGIGKEIITQITTTMKTNRTFYTDSNGRDFIKRIRDFRNDWDLQVNQPVAGNYYPINLGIYLQDDSTELSVLVDRSVGGSSLVDGQIELMLHRRLIHDDLRGVGEVLNETVCVPEGCEGLTIQGKFYLRIDHVGEGAKWRRTVGQEIYSPLLLAFSEQDGNDWMSSHVSTFSGIDPSYSLPNNVAIITLQELENGKVLLRLAHLYETGEDKDYSVMASVELKKLFPNKKINKVTEMSLSANQERAEMEKRRLAWKVEGSAEEESKVVRGGPLDPAKLVVELAPMEIRTFLINVGKLQMFGA >EOY06159 pep chromosome:Theobroma_cacao_20110822:4:31140937:31141993:1 gene:TCM_020972 transcript:EOY06159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton gradient regulation 5 MLHGLLHSPGSNKKPKALELTPKKYPLFTYKGSKTPSNLYPSQVLSNLDKPIYSSIAAGGMATSISATGFKGGLGTSFYGSWGTSIVGEDYAMLAKSVPNHVRVAKPVRAQPMMKNVNEGKGLFAPIVVVTRQIIGKKRFNQFRGKAIALHSQVITEFCKSIGADSKQRQGLIRLAKKNGERLGFLA >EOY03096 pep chromosome:Theobroma_cacao_20110822:4:4690221:4708415:1 gene:TCM_017547 transcript:EOY03096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKASLSLAGLPARLVLVYHDSSDLGYDTNLPLANPWVGLGEESKNANVRHNDNVNLEREGGESVLT >EOY05806 pep chromosome:Theobroma_cacao_20110822:4:29843001:29843617:-1 gene:TCM_020713 transcript:EOY05806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum activated malate transporter family protein MKARYDYGLVIFILTFCLISVSGYRDEEVLEMAHKRVSTILIGGFTALSVCIFICPVWAGEDLHNLAANNIEKLANFLEGSSLD >EOY04222 pep chromosome:Theobroma_cacao_20110822:4:22894163:22895651:-1 gene:TCM_019489 transcript:EOY04222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyesterase 17 MRTKRMATISFDPRLNVQVGQKNHGVVVEEIEGLIRVYKNGHVERPPIIPIVPSTVTGGVISKDVVIDKFTSSWTRIYAPSYSSKMPLLVYFHGGGFCVGSAAWSCYHEFLSALASKAGCIIFSVNYRQAPENRLPAAYDDGINTLMWLKQQALNGSSEHKWWLSQCDFSSLFLGGDSAGANIAYNVATRLGSYGTSDSSFKPLVLKGTILIQPFFGGEARTASEMQATQPASSALTLSAYDTYWRLSLPLGANRDHPWCNPLAKGAAKLRELRLPATMVCISEMDILKDRNLEFCNALASTGKRVETKMYKSVGHAFQILHNSPFSQVRTQELMSHLKTFINP >EOY06226 pep chromosome:Theobroma_cacao_20110822:4:31355450:31357191:1 gene:TCM_021028 transcript:EOY06226 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein with a domain of Uncharacterized protein function, putative MFFHQEGEEKLDIIDIIRAKVVCSSWNSLGEELVPRTPWLMLPSKEVEGGYDVDNNAYSGFLKLGESQVCSLKKMPKEFRESCCIGPLNGWLIFLEEKAVPFLFHPFRQVKIPTSFIICSARLITCQYKKQYLREYFIRKAILTGEPYCNNKKYDVNKLRNDEKIAYHDNEDRCWTEAPDARHPPYQDIICHENHLLALGEGNNIEIWNFQDGFMRNIRYTVPPFPEKSLAKGNSLRDLCTSWLYLVES >EOY06120 pep chromosome:Theobroma_cacao_20110822:4:30973816:30974986:-1 gene:TCM_020941 transcript:EOY06120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo sac development arrest 6, putative MSHHSRRILTPGISRKRKEREAFYSFKPSTPVQASVYTGPKSPPSPNCSNRLLAGYMAHEFLTRGTLFGKKFDPARAEAIPIIGSFAEPRKAKKETEQNAKKESQGYAEVASILKNDGTHIPGIVNPTQLARWIQM >EOY03309 pep chromosome:Theobroma_cacao_20110822:4:12115136:12122365:-1 gene:TCM_018184 transcript:EOY03309 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein MESSIGNQDAPVPSYIQDLMRMIQTSQERMQILEDNNKRMMDTISQFASSTVTTFQAQSVHPNESAPAGVTHLVTNIEENGGNGEGAADVVVAANPNPTNTTIAVTLTTTSTALPYPASVAAKPYPKDYTSLMFKQFNGKTGDAREHVMKFVETLGVAGLDDDLKLKEFSKSLTEKAYTWYVNLTPGSVQSWNQMCRMFGEKFFSTQEKVTLVDLGREFQKSREDLMEYIQRFRERVLDIQESHDEKELVKVCIQGMFDEYRLHLENLPLPTFATLVEAARRTNNTVFRQKGLTRFGRRNNPTVNAIQGGGRERRGPIRANLRPRRDVPRRGLDEENDSSPPFSVPLDRVRALLQEWVRDGQINLPYTPRPPTAKEKANPRYCDYHRTVGHPLAECRNLRRMFHRRVQAGEVLIGNNRVQNNPLPIHPNPRGQVSAIIHAHHDDPSSSNTQFNDANEATRVTSSIANSLMKTPSFRHFFDQLGFSEEARKEAAISLVQIAGEQYGECNLIERPMGKMVGAYKNAIVFTEADMCTPHPYHNKPLYVESTINGYPIRRTFIDDGSSVNLIPLSTLKAVNMDLKSLRRPMAITSFDNKEIITLGQVTVNFKMGPIQDQTCFHVIDANVAYHALIGRKFLHMHNIIPSSRHQCIKGYWKGKEVFILATKAPFERHEPPDTSPSCFQVEAEKEKVQEVLSTKEQLSDQPKPQGDELEEINMAEEGETSKPLFISKSLSGEQKVVLIELLRKFQDVFAWTYEQMLGLDENLITHELHISPQSKPVKQHARVFHHEIEGQIKEEINKLLKVGFIKPIHYPTWLANVVPVKKKNGTIRVCVDFRDLNKACPKDDFPLPNIDTLVDATVGHEMFSFMDGFSGYNQIKMAREDAEKTAFRTPFGNFYYTVMPFGLKNAGATYQRAMTAIFHDMMHDFMEDYVDDIVVKSKKAFNHFEDLKKEMDGEEKPVYYISRCLHRSELNYPPMEKHCLALVYTTQKLRHYFLAHKLIIVTKSDPIKFLLSKPVLSGRVAKWLLLLGEFDVSVVQPKAIKSQALSDLLAYFPSQFEEIIPDAIPVEFHEEVCTVNIEEGEWSLYFDGSSNSFGGGAGIVLIPPNREDNSAVQSSELVVSHLSRGHISLERKGLRVLEAPSEDENFLISCLELNSFESSREPISELLLPPIYDKEDWRKSIIKELLNPSSTAIPRLKHYILIHGTLYHKGSNGVLARCVSEEEAKERLRIAHEQWCGEEGPPLHRLIQRAGYFWPSMSKDALQLQKACIRCSEPPDVQECNFVGSAGDWRRPYIDFFQNGILPANFQDARQLKRRAQRFFLKGNDLFRLSFAGTSLKYVSPADVNPFSRR >EOY05141 pep chromosome:Theobroma_cacao_20110822:4:27347274:27351104:1 gene:TCM_020225 transcript:EOY05141 gene_biotype:protein_coding transcript_biotype:protein_coding description:RALFL33, putative MPILRPLITILSLSFLFFQTCDAVSILDLNNALKHSEVDVMVPRVCNNKLEDCLEEQEMESESNRRVLVMQRRYISYETLRRDMVPCAKPGASYYDCNAGQANPYNRGCEVITRCARGIKDIKT >EOY03009 pep chromosome:Theobroma_cacao_20110822:4:3598830:3608536:1 gene:TCM_017418 transcript:EOY03009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MSVNPDVAAIVMGLREVLGRDNSIDAVGEESAASTIRAAPAAEQADSPPHPPPPPPPTGVPTMPTEAAQALAAFFTAMAGGAPTGQVSTIVPPITPLVPPPVQDVSISKKLKEARQLGCVSFTGLRNEIRERMTVTGREPHKEVVQMALRAEKLATENRRIRTEFAKRRNPSMSSSQLVKRGKDSAISGSTTSVSVTSPRPPFPPSQQRPSRFSRSAMTDSGKSFGGSDRCKNCGNYHSGLCRGPTRCFQCGQTGHIRSNCPQLGRATVVASSSPAHTDIQRRDSSGLPPRQGVAIRSGVESNTSAHPPSRPQTRTSTRVFAVMEDEAQVRPGAVTGTMSLFDKDAYVLIDSDSDRSYVSTTFASITN >EOY05313 pep chromosome:Theobroma_cacao_20110822:4:28037669:28040690:1 gene:TCM_020340 transcript:EOY05313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B5, n4,ATCB5-B,CB5-B MGGDGKLFTLAQVSEHNTPKDCWLIINGKVYDVTKFLEDHPGGDEVLLSATGKDATDDFEDVGHSDSARDMMDQYYVGEIDVSTIPKKTKYKPPKQPHYNQDKTSEFIIKLLQFLVPLAILGLAVGIRIYTK >EOY05544 pep chromosome:Theobroma_cacao_20110822:4:28960582:28963657:-1 gene:TCM_020521 transcript:EOY05544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase 1, putative isoform 1 MDHIDNSIQNEIPETWRVNRYFEASNALCQIEEGLFLGSLRDASNKSALKSSNVTHILTVANLSVPSYPNDFVYKIIEVMDREETNLMQYFDECFSFIDEAKRLGGGVLVHCFMGISRSVTMVVAYLMKKHGMSFSQALEHVKRRRPQASPNSGFILQLQHFEKTLQGKVDEKTRFDLSLSICQSIFSFFFKRQ >EOY05543 pep chromosome:Theobroma_cacao_20110822:4:28960575:28963598:-1 gene:TCM_020521 transcript:EOY05543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase 1, putative isoform 1 MDHIDNSIQNEIPETWRVNRYFEASNALCQIEEGLFLGSLRDASNKSALKSSNVTHILTVANLSVPSYPNDFVYKIIEVMDREETNLMQYFDECFSFIDEAKRLGGGVLVHCFMGISRSVTMVVAYLMKKHGMSFSQALEHVKRRRPQASPNSGFILQLQHFEKTLQGKVDEKTRFDLSLSICQSIFSFFFKRQ >EOY03397 pep chromosome:Theobroma_cacao_20110822:4:14458786:14460586:1 gene:TCM_018407 transcript:EOY03397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MCKCLMLEKNMPKRFLAETASTAVYLLNIIPTQAKQNITPYEAWYGTRPSVDHLRVFGSLCYQHIPEEQRDKLQPKAQIRVLIRYSLKSKAYRIFQQSQAADSNEFIDVSNYQHTDSQILVDDETVDEAPIRGVRFIQNIYKRCHMAITKPNSYEDDAVHEHWVTAMKEELNMIVKNKTWSLVDRPKDRQVIGVKWDFKRKLNLDGTLNKYKARLVMKGYSQIPGIDFQETFAPVARLDTIRLLIALAAAFQWKPFHWDIKSAFLNGKLDEEIYVEQPPGFELCSGQGKVYRLHKALYGLKQGLVQ >EOY03716 pep chromosome:Theobroma_cacao_20110822:4:18332863:18333641:1 gene:TCM_018828 transcript:EOY03716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVDREGGLFSLALFVMLMLFEANSCRAAEMLMEKSNTTFSCSGRLNDCLIAEDMELELLMDSHISRMLIGANGKATIDFTNVAHKTVPCGPGKQYGPCINPKQKKFRTIAVHITAIARRNNAAKKLKSSLYTACMSDIHTVLPCLSVINQFAFLS >EOY04297 pep chromosome:Theobroma_cacao_20110822:4:23261990:23266552:1 gene:TCM_019551 transcript:EOY04297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 43, putative MMPIPRPTRGKSRGKVQIAARGVECYNNTGHVIGLDLGSSYLYGSINSSSSLFRLVHLQRLSLAENFFHYYKIPSAIRNLSKLTSLDLRFSSFSGEIPSEIFELSHLEYIDLSRNSLKLQKQGFYILAEKLTKLSELYLSDVKISSRVPAVLANLSSLAALILKNCSLHSELPTGILQLPNLKHLSLELNPDLAGYIPNIHGSYPLMELSLANTNFSGQLPESIGKLKSLQLLDINHCRFEGSIPTSIANVSQLTYLSLSTNNFSPRPLSWLGKQTKLNFLDLIKTNLYGNIPPSARNLTKISELYLGCNQLDGQIANWIGDLTHQTLLKLQENKLVGPIPQSISRLENLILLDLQSNFLNGMRGCSLRMINFAQNQLQGKIPRSLAYCTMLEALNLGKNQIYDTFPFWLGVLPNLKVLVLRSNRLHGAIRKPQSSSEFSKLQIIDISDNNLGGELLLGYFNIWNAMKVANAGNLSCMNATTGFQKFSWSDYYRYSIELTNKGVELEYESVQDSFTAIDPSNNKFNGEIHEDIRNLKAVNMLNLSNNNLTDHISSSLANLTHLESMDLSRNKLSGEIPQQLVQLTFLSFFDVSHNRFKGPIPSGRQFSTFDTNSFLGNLGLCGSPLPKKCGIPDESSGQDPLNSEEDKGIGSLFAFDWKVVLVGFAAGLIIGMPLGCNFINRKHGRFLMVLGNKQLRRREKKRRHKFCF >EOY06625 pep chromosome:Theobroma_cacao_20110822:4:32487866:32488548:1 gene:TCM_021290 transcript:EOY06625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKQLQKNTLTYLVHKFDSMLSLCQKMKVDDTEVLYSILFIEDCSSVDAATIKKHYKEVALLIHPDKHNSIATEDAFKIVRQAWETLLSNHNKRKRTV >EOY03329 pep chromosome:Theobroma_cacao_20110822:4:12810904:12816653:-1 gene:TCM_018253 transcript:EOY03329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATADSNDGYVSNDLEHVKRLSVHVNPRAMHCHAEPDLATHPCVHRRRKSDSSLCSSNNWNFENATDHLEGPLMVGGDFNSIVSVAERLNGAPPHGGSMEDFAAMLLDCGLLDGTIFSKFLTSTTLVLLPKKPNAYQWSDFHPISLCTILNKIVTKLLGNRLAKILPSIILENQSGFVNGRFISDNILLVQELIGRIDAKSWGGNVVLKLDMAKAYDRLNWDFLYLMMEYFGFNAHWISMIKACISNCWFSLLINGNLVGYFKSEKGLRQGDSISPFQFILAADYLSRGLNHLFSRYNSLHYLLGCLMPITHLAFVDNIMILTNGCRSALQKVLSFLQEYEQVSGQQINHQKSCFIIANSCPLSRRQIISHTTGFQHKTLPVTYLGAPLYKGSKKVILFYSLITKIRDRISGWDNKVLSSGGCITLLRSILSSLPMYLLQVLKPPATVIEKIERLFNSFLWGDSTESKKMHWAAWSKTTFPCSEGELNIQNLNDVCEAFPLKLWWRFQTGNSLRTQFLRIKYCKGGIPHYVQSKLHDSQA >EOY06659 pep chromosome:Theobroma_cacao_20110822:4:32568730:32570426:-1 gene:TCM_021315 transcript:EOY06659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMAPPMEAVRIGELAHKHKGRTSRSNMKRQKLALMSLGMANKNGTGTATFQETEGAAEKTNFIGQMKDSNILRDLQAGNLEHMAVSEFAVVDPSGDGCSDPKEENGGIMVMTEEMDSEIPESKSDDEERGSCSTWNSDCGRSSGELQVCRSSPWKLMV >EOY03848 pep chromosome:Theobroma_cacao_20110822:4:19901622:19905442:-1 gene:TCM_019050 transcript:EOY03848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGFEGSKGKEKEEDWTAFHCLVEVAVAAREELGKEKLMKQTMSQTQGLKIDIAVENSPDSFKKATLVHEEAIKKQKRVAFRWHARKLRDNPFSKKPPLMSKNDVRSLDTEIQATLFAHVPAVKRMPRSTPCAETFSL >EOY04059 pep chromosome:Theobroma_cacao_20110822:4:21787871:21789195:-1 gene:TCM_019321 transcript:EOY04059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRAIVQFWDPSYRCFVFNKVDMTPTIEEYSSLLRIDHMQPDKIYWRAQKTGHRRKLAKLLGMTTVEVDQHLKKKGDTECLPWSFLNGYIKKHMEDEHGLLAFAMAIYGLVVFPKVLGHVEVSVIDFFDQVTRSINPAPSILAETFRSLNFCRRKGEGRFIGCAQLLTIWIKSHFECKESKFRKLYLSASCPILEFCESEWPDYKRKEEWVTRLRRLMSIEVTWRAPWMPRMQVMYKCGDKPWVPLMGPWGAILLLPPNMVRENRSDS >EOY04094 pep chromosome:Theobroma_cacao_20110822:4:22027074:22027704:1 gene:TCM_019361 transcript:EOY04094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTKSKDTNSLWKWKGNMNKKKNGKQFPVSEFLRESRQKVEENVLGPGGGAGIGCGIGVGFGLVGGIGYGGWPWNHLKLAFGVGAGCGVGLGFGFGQGIGYGFSLESLESYMLSEDS >EOY06908 pep chromosome:Theobroma_cacao_20110822:4:33336339:33340353:1 gene:TCM_021489 transcript:EOY06908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase kinase isoform 2 MAAKKACESFSKSFIEEVQKWGCMKQTGVSLRYMLEFGSKPTDRNLLISGQFLHKELPIRIARRAIELETLPYGLSEKPAVLKVRDWYLDSFRDLRSFPEIKDINDEKEFTQMIKAIKVRHNNVVPMMALGVQQLKKGMDPKIVYEDLDEIHQFLDRFYMSRIGIRMLIGQHVELHNPNPPPHCVGYIHTKMSPVEVAQNASEDARAICLREYGSAPEVNIYGDPKFTFPYVPTHLHLMVFELVKNSLRAVQERFMDSDKIAPPVRIIVAEGIEDVTIKVTRGVAYREVVFPKFSHTFTALPKTHWMNTQIWEQLIQ >EOY06907 pep chromosome:Theobroma_cacao_20110822:4:33335776:33340172:1 gene:TCM_021489 transcript:EOY06907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase kinase isoform 2 MAAKKACESFSKSFIEEVQKWGCMKQTGVSLRYMLEFGSKPTDRNLLISGQFLHKELPIRIARRAIELETLPYGLSEKPAVLKVRDWYLDSFRDLRSFPEIKDINDEKEFTQMIKAIKVRHNNVVPMMALGVQQLKKGMDPKIVYEDLDEIHQFLDRFYMSRIGIRMLIGQHVELHNPNPPPHCVGYIHTKMSPVEVAQNASEDARAICLREYGSAPEVNIYGDPKFTFPYVPTHLHLMVFELVKNSLRAVQERFMDSDKIAPPVRIIVAEGIEDVTIKVSDEGGGIPRSGLPKIFTYLYSTAKNPLDEYSDLGTADTVTMAGYGYGLPISRLYARYFGGDLQVISMEGYGTDAYLHLSRLGDSQEPLP >EOY06392 pep chromosome:Theobroma_cacao_20110822:4:31763770:31769043:-1 gene:TCM_021126 transcript:EOY06392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger MAIGIMSSLLAKSGTILASDHSSVVSMNLFVALLCACIVIGHLLEESRWMNESITALAIGLCTGIVILLTTGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLISFGIISLGAIQFFKKMNIGTLQIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLSHINSTIALQFVGNFLYLFILSTLLGVLAGLLSAYIIKKLYFGRHSTDREVALMMLMAYLSYMLAELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVAEIFIFLYVGMDALDIEKWRVVIRDSPGKSVGVSAILLGLILVGRAAFVFPLSFISNLTKKASYEKIGFKQQVTIWWAGLMRGAVSMALAYNQFTSLGHTQLRGNAMMITSTITVVLFSTVVFGLMTKPLVRILLPSPKQLMRMISSEPSTPKSFIVPLLGNGHETEGDQSNRNVTRPTSLRMLLSTPSHTVHYYWRKFDDAFMRPVFGGRGFVPFVPGSPTEQNGHQWQ >EOY02686 pep chromosome:Theobroma_cacao_20110822:4:1782714:1784090:-1 gene:TCM_017091 transcript:EOY02686 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding storekeeper protein-related MDTTLSFPIPNLPLFLSMFLIIYVVAYFFVFRSWSPKIRPEASSCLISLFHGTPAVFLATFAIFSDQNRGFSSSNTKTQNLVLDYSIAYFLTDLLHYIIFFPSDVLFIGHHSATLFVFITCRHMVFHGAYAILSLLVLAEVTSFCQNVWTLATARRYDDELAAKVYAFLSPYFYSFYSVVRGIFGPFFVYQMGVFYISGRADHVIPRWLWISWLFVVVTAIGVSILWISNLWAELFKEKKAKLEKQL >EOY03521 pep chromosome:Theobroma_cacao_20110822:4:16719753:16721541:1 gene:TCM_018621 transcript:EOY03521 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 80 MENISSFRKEDEQMELPPGFRFHPTDEELITHYLSQKVLNSCFCAIAIGEVDLNKCEPWDLPWKAKMGEKEWYFFCVRDRKYPTGLRTNRATEAGYWKATGKDKEIFKAKTLVGMKKTLVFYRGRAPKGEKTNWVMHEYRLEGKYSIYNLPKTAKNEWVICRVFQKSPGGKKTHISGFSRLSSYGNDLPPSVLPPLMDSSPHNSETRTGAGETSHVTCFSDPMEDQKTPEEMIDSFNTSLLASSSSSDISPTSILLSKTFLPSSAYTNQIIPNIGNLQYSDSFWMQDQSILKMLLESPRVNSRQNSKAEFSQDSVVSNPEMIQDPSCSAGPADLGCLWSYKI >EOY06018 pep chromosome:Theobroma_cacao_20110822:4:30625573:30628257:-1 gene:TCM_020870 transcript:EOY06018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MMPAACSGSQLAWLARPGHQNAFSGTVFGLDFGRFQSQSGPVLLIYDLVELLSLFLTGNRLQMVLCISFWKVKKGIRKINLLLGAKHRVVVYVQKLYLWKCHDMGKSMEDPNKGKEKEEKGRDEIRYRGVRRRPWGKYAAEIRDPTRHGARSWLGTFDTAEEAARAYDRAAFNLRGHLAILNFPNEYYSQVMGSSSPYPASTMSSYLSSSVNAGNISERGSSSSSGQQKQVIEFEYLDDKVLEELLETEEEKKKRMKD >EOY03273 pep chromosome:Theobroma_cacao_20110822:4:10512184:10518658:-1 gene:TCM_018069 transcript:EOY03273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase tnp2 MIEKISLFWSWYFELVVRTRLNRVSRNDDEGDVDSLGRLSIFTHLGRAFGPLDKSRFLYEDEFYAAELYVLINCEEVLSYIKIFDVIVNGDVVHISEDELEKVRDARFVKWFKNYF >EOY03994 pep chromosome:Theobroma_cacao_20110822:4:21173395:21174399:-1 gene:TCM_019231 transcript:EOY03994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQKFNQEIFASVLVIFLLNFPASTFSEPEISDRSKTIECANPCLPPPIPVNCPPPPPPPLQPGPPQPPPLPPGPPLPPGPPLPPPLPPGPPLPPGPPLPPPFPVPPWPPFPLPPPPPWPMYAPPPPNPIWPFFPWYFKNPPPPPDFSSGRRVEILSLVMAPCMVFLAILFSFFF >EOY03104 pep chromosome:Theobroma_cacao_20110822:4:4876438:4882148:1 gene:TCM_017563 transcript:EOY03104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWVPKCQGSHILTHAQLASKRFCHSLLNMGMKSTRSSNSSRFKKKKRKKKIQCSKFRNDVTYYAHRDDMLQLVFSKNK >EOY02617 pep chromosome:Theobroma_cacao_20110822:4:1548456:1549296:-1 gene:TCM_017044 transcript:EOY02617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative MIKLKSKRFCRGSFKFGSGGNGGSGNAKVAEKVCGNMSEIKWELRPGGMLVQKRETGGSVGEGVITVRVSTVSQWHDISIEATSTFGDLKMILSLVTSLEPREQRLLFKGKEREDDEYLHMVGVRDKDKVLLLEDPAIKEMKLHKLAGSQQIGTAYRTISV >EOY02533 pep chromosome:Theobroma_cacao_20110822:4:1259136:1261256:-1 gene:TCM_016986 transcript:EOY02533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTDKCLLHPSSGGKGEEFPSGPGSLDNGKKGKTIGLHFAAGGIRACYECFNWRWDSNPFKCSGSFENQEKEKDMVTETWESFSPLLRNSLYLHHFQSKVRIFRSKTQ >EOY03610 pep chromosome:Theobroma_cacao_20110822:4:17398481:17400059:-1 gene:TCM_018709 transcript:EOY03610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGYSTLVLAKDGKKEVMSSEIKIMSICLFSDEFLHYPFCLPCFNIITLLQACVQIIVRMNYLATAMSGLFCNCPLFSSASVM >EOY04844 pep chromosome:Theobroma_cacao_20110822:4:26180101:26181803:1 gene:TCM_020014 transcript:EOY04844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein, putative MHLLNDPDDITIYTLFPAAKVYQLREIETIDLSKNLLSGQIPDRLSALRRSAVLRLSNNGFSGRIPNINGLWQLQTLELDSNMFFGNLPMLPKRFRTLTLCHSLLSGHITSLGTLEQLRSVDVSDNRFSGSISKGVLALPPLNHINVSFNQLTVTEVDNTYEIGSPLQVLDAQENHLQGHLPLNMVTYESLAVINLAHNRFPSGIPMEYGDRLGNPWRALFLDQNLLSGRLPLQFDSGAARIKGSLANNCLRYPVNIPLCCRGQRPASGCVGENDGN >EOY03536 pep chromosome:Theobroma_cacao_20110822:4:17020387:17023446:-1 gene:TCM_018651 transcript:EOY03536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus-like protein MPYYSRQGEDDVHDFDEYDPTPYGGGYDLALTYGRPLEPSDETCYPSSTPSDGDFDYACPHFSSSCEPCAYADEALQNEYSSYARAKPRPGPGPAYGGGPGGYGAQHEPDYGSGHGRKPDYQQSESGYGRRPEFERPGSEFESGYGRRPEFKQPPPEFGSGYGQRPEYEQPPPPEFGSGYGRRPEFEQPPPPEFESGYGRRPEYGEHGSEYGTGYGRKPEYGEYGSEYGRKPGYGESESEYGSGYGRKAEYEGGGSEYETGYGRRHEGEQGSRYGERTETGYGSGYERKESYGGEEYGGERSEYERKPSYGRSEEEEGEYRKPSSGYGGEEGYGRKKYDVLEDVEFADLLAVFRGMTTLMMMRRRSITAISTTTTGTMMMMSNQTGGESLNGVRAIVATTK >EOY05111 pep chromosome:Theobroma_cacao_20110822:4:27246059:27248153:-1 gene:TCM_020201 transcript:EOY05111 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-8 sterol isomerases, putative MKPQLSESSSVKSTTTMEDDRCETRDSCYYPGCRKDANCNCEICLASINATLDLMPVSIQKSSFTKLSASTPKVERTPISFDASAISTPRSSSCRLVESPALKSTARLSLKEKKGKKKEEKRSFDGVFWKFLLGLSLVFGMEIAFSWVFYGVFSPALTRDIVRSIGERSMVLQNLNGKLRFLQNELNGFTSGKVSNCSNTDSMWEIDQGSLLLHSHCVLYKSAMEEVRIWGWPLQTAGLLTTAFSSRSFTILSGRVTEWSNGKVGFLARKANASWVQRKWGASVIQLDPNTWIIEYRRSSVLENPRLFSATLELLKYRLTRMIRKMSEEFWLSSAFENQNSEFAGREQLRIPT >EOY06467 pep chromosome:Theobroma_cacao_20110822:4:32002780:32008103:-1 gene:TCM_021177 transcript:EOY06467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFRIQPQILLQSPPKLKEIGDTIKNMGFDPTGKRYLTALFVYSSMTKATWDSKVDHFKKLGWSEEEICKAFHLQPILMKTSEHKITAIMSFLVNKMGFTPSAIVILMSSLEKKIVPRGLFGKDLLSKTLA >EOY06395 pep chromosome:Theobroma_cacao_20110822:4:31789513:31790439:1 gene:TCM_021129 transcript:EOY06395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFQLYFIGQFPIRLLNYRREVEQEIYCSFPYGNSSRCYCDSGYEGNAYLLNGCQGEKFCSLCSLQNEAAFVFKFLLEFKQNFVPILSQDMIKDFTLVISFLPMHFVF >EOY05678 pep chromosome:Theobroma_cacao_20110822:4:29454683:29456163:-1 gene:TCM_020619 transcript:EOY05678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALINIILASKRRHRRGRSGSSFSATRSIWSSPSSSSSSSSQHSNYFDEWPADCQIMILVAVASYSYLLYLSIFG >EOY06931 pep chromosome:Theobroma_cacao_20110822:4:33383561:33386446:-1 gene:TCM_021503 transcript:EOY06931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pigment defective 320, putative MKKLRWAMDGDFWELDASTPRTLEGSARAVPGKALPLGVSRGTRLSRPKQIDFMQRFMAAPFVPSFSNHLGLTLQRVVTIPFNDNWFSILLAQFNLRKFVSSLRGSGGADLKSIGRLLRDKSLYALGFSSELLLTPDDTLLLSSDFYYAHATTPRKKAVFHHKFPHHNLMLEVVWPALFLDKNNANYWDIPFSMALDWASLPSDSGPSYHLCLHHNHGSPKQFEGDDHMAQVPASLLPGFSVKGAFSYKKNVDIWRSKAQKLKMVQPYDIFLSDPHVSASGIIGTALTAAFGENSVTSCEDTEEIKYFSFHNPTLKSTLLGDIFASISFTAQHGKFQRLFSDLTRFHARLDFPSGSKFLSGATQLVQDILNSHPPSLEAVKMICPTTTLSLQQQIAGPFSFRVDSGFAIDFKNKGWHIHTDEPVFAIEYALQVLGSAKAVAWYSPKHQEFMVELRFFET >EOY06571 pep chromosome:Theobroma_cacao_20110822:4:32334737:32339437:-1 gene:TCM_021250 transcript:EOY06571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subunit H2, putative MTSNQNDGQFGGGEFGKVHRVQPERDLEANWEVDLAKKLEDYLLKICSGEITGSQSDDGYSSVNFAEAALLLQGSVQVYSRKVEYLYNLVLHALEFLSQKRQHDQPEGASVEPEQGASKASSDEDNDQFWGLDDIPVEAKICLDSSANKDTLLNHFVKPPANLVVLEGDCLDTSGDGSELESYLLATNDLYQDFILLDPCDAIAVDNYLKGDEAGKGEYGTYRGSSRRKSFQSPTRRSGGTAHKSSLGKNKNANVNQSPRVDCDFGVNDCNTGPDPPDDDNFGNVDHGFDMDDRYSGPRDLLDSDDDDNDPWKPLNPHEPGNLKVRPFKKVKASKRIGVNSTKSIPITTLFPLARLHGPISPELTEMWERQQSAFERQRESTSPPLYEKLRQSLSGRGNGAADAFANLEDNNEDNGYHGENADFDVPENMSMDEDLPFHNEKHEDFGTNEMFDHGDPYSQASLEDLCRSHLDALLASIAENEKQTELAARVSSWKQKIEHNLEEQDSHPPFDIHEYGERILDKLSLEADNGNVMPFTDVVKGQEKHDVARSFSALLQLVNNGDVDLDRSGVYSESVCYSAVNPFHVRLHKHDKRRVETQLRMSKKRGKSPLRKEPTRGDRNKSSPEKSPPVKSDSDYGSKKLSSQPNCKLSVKLGKFGVVRCTPEGKRRRRSRLVEPVDLHSAG >EOY05378 pep chromosome:Theobroma_cacao_20110822:4:28308187:28311644:1 gene:TCM_020388 transcript:EOY05378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nine-cis-epoxycarotenoid dioxygenase 6 MQASVHLFTTTPPTPKHQNLFQAQYPSFTCKILINPSKKITPRNPSPLFPPPPSRSPLYPEVEPIVKPERVLVPHEPNYPVHLNPLQKLAALALDQIETSVIKPLEKNHDLPKTVDPAVQISGNFAPVQECSVQHWLEVVGQIPACLRGVYVRNGANPMFAPSGGHHLFDGDGMIHAVSLGRGNEASYSCRYTRTSRLMQEARLGRSIFPKPIGELHGHLGLARLALFMARDGVGLVDGSHGTGVANAGLVYFNGRLLAMSEDDLPYHVKINADADLETMGRFNFDDQIDCPLIAHPKVDPVTGELHTLNYNVLKKPYLKYFKFDKFGKKSRDLHVSIEQATMIHDFAITENFVVIPDHQVVFKLSEMIRGGSPVLYDKKKTSRFGILQQNDVDGSNIQWIEVPNCFCFHLWNAWEEHSDNGDKIIVVIGSCMSPPDSVFNESKDPFRSELSEIRLNLRTGESTQRVVISGTNLEAGQVNRQYQGRKTRFVYLAIAEPWPKCSGITKVDLETGEVTKFMYGACRFGGEPFFVPEKKQKHGGNIANEAEDEGYIMGFVRDEKKEISELVIVKALSMEQVASIRLPTRVPYGFHGTFVSEEELSRQVM >EOY03428 pep chromosome:Theobroma_cacao_20110822:4:15455521:15456050:1 gene:TCM_018486 transcript:EOY03428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSKRSSARANENNEDFSLKLGELLSTNKKKKRNASKLLDKTCSHIKRLNGEVDDLSNRISELMASPDTNKSIKANILTQLLQQ >EOY04212 pep chromosome:Theobroma_cacao_20110822:4:22695255:22697641:1 gene:TCM_019471 transcript:EOY04212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein, putative MGRKLDALLGRNFKASKFKTLAKLAISRIAILKNQHQVRFSHARSDVIDLLNLGHQERALLRVEHVIKEQNLVDALTIMESYCQLLIERVMLIQKNKECPDELKEATSSLMFASSRCGEFPELLHIRRVVSSTFGKEFVARAVELRNNCSVHPKIVQKLSTRQPSLECKLKVLKEIASERGIALHLEVDSPVVVEEKMDVHEKQNESTANKSANLDDPEYKYTAIELPREVNLDEKLSESVKARKKYRDVAAAAQEAFESAAYAAAAARAAVELSRTESQDFDPDDESGSSHKKGGPSLKKGAFYDSDASATPEFQGYEAADGIEHPNNELGFEKIHPIDNYSSESEGEDMTKTNNGLELNEIEEIEQRVWIERALSTSSSDSDVDISTERKLSSDQLRQNEQLGDELAIDLVNNDREKEKDDTKWPKSHDLSSTNNLSLSTYEDQNMRQNEEFNEDESKFPYQSPNLANTQSLNFVEQLHSKHSHIDRKWVSMRTRRERRI >EOY04778 pep chromosome:Theobroma_cacao_20110822:4:25864796:25879350:-1 gene:TCM_019956 transcript:EOY04778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSSALDALVACAKAIQDENLTVADSLLERIWNLAAAQSWPGESDVVKYFAEALVRRAYGISSASANFNLLSPPPIYFLDNFSCDAINTACMGKKRFHLITFLFLPSDDWTYLFRSLANASGNFLSVRVSVIVSPFLEKIVKIQQEKSKHDLTTAAMERGIKLEDLRVVYANSLGDVDASKADFTRTTDEAVIVYYRYKLHELLADVRVMERELLKLRQINPEIVIIEEQYADHNDSNFIKRLEKSFQYYFNRFDFYEVTYCRQIVNIVGCEGTDRLERHQTLAQWRSLLRANGLLPVPLAPDIWSGEHEDNGCVVFQNDDGLLHFTSAWKLTDAVDHFNPISYNPIQGFNPNPALEDTVRTLQVDRQASSLNGLAAFAEIYDMLEDVCLKYELPLALTWVKGTPNGIMSGLNKKRSLSIETAYSYINCCYYYYYDYYVEKISQYRSFMQECAIYDIQEGQAIAGQALQSNEPFLFEPNITELRSNPFAEAAQKSGLHAALAICLVNHYTDDVYILEFFLSSSEEKLEEPKSLALRIFEDLKKMKTKFVKLRVHGTEVGLQEEAIPNIPWEEMPMRSSSPATSNDQFLNSNASRSLNVVELKDRHVVEIQGPNGQEAATSNFHPAYLSIHASSMAGTEHFNATNLRSYNGLLETHEPQLQEITEKNWISQTISNIDHEIVKANRENSALPRTKQRKLVSKVWKEFTKFEENGKQLAKCNHCSKEFTGSSKSGTTHLKNHLERCPRKKNEYQERQLKLSVKTGDLTNRDTSEGNSMFDQEKSRLDLVKMIIKHQYPLDVAEQEFFKSFVQNLQPMFEFQSQATIISDIHHIYEEEKKKLQQCFAQFACKFSLTISLWKDNLRKNAYCCLIAHFVDDDWELRRKILVFKNLEHNYGTGSIIRVIQNSISEWNMSEKVCSISVDNSSLNNGILQQIKESCLSDQVSLPSCHYYSSCTLIQDGLHEIDDILLKLRKSIEYVTELEHGKLKFQEAINQVTLQGGKSTDYGPLRLDSNFSILDSALESRQIFCQLEQIDGHFKVNPSIEEWERALILHSYLKGFYDNLSSFRQTHSSTANTYFPQLCDMYKKFLQMEKKNYPFMMKRKFDDHWSLCNLVFAIAALLDPRLKFKFVEFSYGEIYGRDSKRQLKRFHRDLMDIYFEYAYEPRNRTTSASVGCLTRQSTESANDSILDSFSRYASASNFNEVSSRKSDLDCYLEEPLLHLDGAFFDVLDWWRVNSERFPTLGRMAHDLLAMPVLVVPPCSDFSAVITNPAHNGLNPETMEALVCSHNWLEMPKGNDRANHAPMQNTAKRKWEEKETREVKSCKNWNSEETNNADKAKASYKMLTRALPLENDRQEGRPLKSSEPNHGKDTSGLIEIPNGSPSFDNQSEFQCYSSDESDGEIAGREQGEWREDDVRRYLLLPLTEKGRKRLNKWRNHKMSGKLIGRDKEFGVLDYKLAPLLTVPHGVETQVKYYIDDSVVNTFFKLLKKRSDRFPKAYVSHYSFDSWIATYLIEGSRSESQVFSWFKDEKLKDVQILFLPACLSAHWVLFCVDTKKRTFSWLDSNISSRTSNVAEKQAILGWFKRLLLPAFGYQNANEWPFEIRSDIPEQKNGVDCGLFVMKYADCLTHGEFFPFTQQHMPYFRLRTFLDIYRGRLHSQ >EOY06221 pep chromosome:Theobroma_cacao_20110822:4:31324385:31325334:1 gene:TCM_021022 transcript:EOY06221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein, putative MVTPPERKVMVVADPTPHSAAALQYALSHAMLEQDELILFHVENPNSWKNTFSTFLRKPSFSSASTAPSATEGASTDIDFLDQMKRACEIAQPNIPVRIEKTDMDGKDKATVILSKCKALGIDLLIIGQRRSLSSAILGYKRSSGSMRGAKLIDTADYLIENSQCTCVGVQKRGQNGGYVLNTKTHKNFWLLA >EOY02715 pep chromosome:Theobroma_cacao_20110822:4:1879552:1883128:1 gene:TCM_017107 transcript:EOY02715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLMLIHIIRKKQRILLWDVKNLSFLFCCVLLGINLSTAGLLKCYEIGNFTTDSTFGKNLDLILSSLPGNVPRNGGFYRTTIGQGSNIVYALALCRGDTWSDACSSCVNLRVRKIKASCPNQTEAILWEGEVACLVQFDGWLDGKSFQWHRTPDIPQKDSKLCLIQTVDQYQRCCLGQQGDNGGLESQAVVIIVVPIITLVAILALACILIQKRKNRKQKNCKLYDGQDIAVKRLSDNSVHGDLECKNEILLMAKLQHRKLDPVRRLQLDWDKRHKIITGIARGVLYLHQDSRYRIVHGDLKAANRRLKAGKVALLKT >EOY04262 pep chromosome:Theobroma_cacao_20110822:4:23068687:23070621:1 gene:TCM_019518 transcript:EOY04262 gene_biotype:protein_coding transcript_biotype:protein_coding description:LST1, putative MQLHSAKTKYAIMFSSTNNIVNTFAHLPSSSYHPPPPPPLLISHDSNDTFPHHHHDLLSASSLLPANPHFTESLINMTLFNNAATMSKQDGGGALHGECFGTSSFGIPVRKPVKKDRHSKICTAQGVRDRRVRLSIEIAREFFDLQDMLGFDKASKTLEWLLTKSKNAIGELVKMKHGNGSSGGQRSFSSSPECEIVAENGDLDGGELEGTTSKSKSLEGVVSKEKKMKKLQKATVHLLAKESRAKARARARERTREKMCTRSSTTTHEWKRCPDTSPQFLNPPRSLTQLEASKESEHSYGHNMASSFKVFAHQVEQPSPCQLTSAASRENAIEESIVIKRKLKPSTILGSQQNLAASKDVSCSTSGNNYFPNLPQNWDINGAMAHSTFCAITNVNLSTGTIQEYEPFLTFLLLTSFTNFRLNFQVFKYLANPERPASNQ >EOY06894 pep chromosome:Theobroma_cacao_20110822:4:33282862:33293386:-1 gene:TCM_021480 transcript:EOY06894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 9 MAQLVGHDDIESMRIELSEIGKSIRSSFRSHVSSFRSVISAHHSGGDDVDDGHDLQWAAVQRLPTFERITTALFDDKEENGTSGNGNFKEKRVVNVTKLGADERHMFVEKLIKQIENDNLRLLQKLRDRIDKVGVKLPTVEVRYKNLNVEAACQLVHGKPLPTLWNATKGMLSVSTCAHYLGLLFRASKLLPFIISANYTGLTNLSGLRQEAKISILKDVSGIIKPGRMTLLLGPPGCGKSTLLLALSGKLSQSLKVAGEVNYNGYRLEEFVPQKTSAYISQYDLHTPEMTVREIIDFSARFQGIGSRAEIMKEVSRREKQAGVVPDPDIDAYMKAISVEGQESTLQTDYILKILGLDICADTMVGDAIRRGISGGQNKRLTTGEMIVGPTKALFMDEISNGLDSSTTFQIVSCLQHLVHITDATALISLLQPAPETFDLFDDVILMAEGKIVYHGPRSYICKFFEDCGFKCPERKGIADFLQEVISRKDQAQYWYYEEQPYSYVSVDQFIKRFKECHIGLNLDEALSKPFYKSESHRNALSFKSYSLSKWELFRACSLREFLLMKRNSFTYVFKSVQLVIIASITMTVFLRTRMAVDVIHSSYFMGSLFYALVILLVDGFPELSMTVSRIAVFYKQRELCFYPAWAYAIPAAVLKVPLSLLESFVWTSMTYYVIGYSPEVGRFFRQFLLFFGVHLASISMFRCIASLFQTIVASTTAGALAIMIILLFGGFILPRPSMPTWLGWGFWLSPLTYGEIGLTVNEFLAPRWEKVMSGNTSIGQQTLESRGLSFGSYFYWISVAALFGFILLFNVVFTFALTFLKPPGKSRAIISFERYSQLHRKIDDNDSKSTVDPGNVAVSKTGQMVLPFEPLTVTFQDVQYYVDTPLEMRERGFKHKRLQLLSDITGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTGGIIEGEIRIGGYLKVQDTFARVSGYCEQNDIHSPQITVEESLVYSAWLRLPSQIDSKTKAEFVNKVIETIELDGIRDSLVGIPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAVVMRAAKNVVETGRTVVCTIHQPSIDIFEEFDELILMKTGGRIIYSGPLGHNSSKVIEYFQNIPGVPKIIDNYNPATWMLEVTSKSAEAGMGIDFAQIYEGSTLYKENKELVKQLSSSTPGSKDLHFPTRFPQNGWEQFKACLWKQCLSYWRSPSYNLTRIIFISASSLLFGVLFWQRGKKINNQQDLFNVVGSMYAVIIFFGINNCSTVLPYIATERTVFYREKFAGMYSSWAYSFAQVLVEIPFLFILAVIYVIITYPMIGYYLSAYKIFWSFYSMFCSLLYFNYLGMLLVSLTPNVQVASILSSSAYTMLNLFSGFIIPRPQIPKWWLWLYYLCPTSWALNGMLTSQYGDIDKEMLAFGETKTVAAFLEDYFGFHREFLGIVAVVLLVFPVLFATLFAYVIGRVNFQRR >EOY04055 pep chromosome:Theobroma_cacao_20110822:4:21749772:21756105:-1 gene:TCM_019316 transcript:EOY04055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAMESKILPGSYPMNGGDGKVSYARNSQTQRRAVDDAKKIISEAIADLLDIENLTLESSNRFQIADLGCATGPNTFYAVENIIEAVANKYKTMHKNPQTLEYQVFFNAKEVAEAYSSQYKKDMETFLNARAQELVGEGLMALVLGGRQDGVPLCQTNMGKTYDFLGSCLFDMATEGIISKEKVESLNLPQYYPSSSEIEELININGCFCIEKMETFPGPGKHFYDVQMWSMIVRAGFEAILQNHFGSEMVEDFFERYAKKHADNLFMFDGNDVQNLLQINIVLKPKVC >EOY05655 pep chromosome:Theobroma_cacao_20110822:4:29336703:29344041:-1 gene:TCM_020600 transcript:EOY05655 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H, putative MKFEERFGLGYKPTKEERRKLTAQKKIKRMAQLEGKEEEFGERTIPHLYETFRSAGFIHPVASPKPINTCPYTRPTLPVCRSLNNEHEDNLDNDLNTDFEMIPNIDELKNEEEVDDYSLPPDLSRMLEQEEKEILPHQELTEMINLGNGEEKKEVKIGTSLSSDERQKLEELLREYVDVFAWSYQDMPSTTYQRAMVALFHDMMHKEIEVYVDDMITKTHTEKDHTVNLKKLFERLRKFQLKLNPAKCTFGVTSGKLLGFIVSEKGIEVDSDKIRAIQELPPPKTQKELLQKRDLGEWNEECQIAFDKIKEYLTNPPVLVPPTVEKPLILYLTVNKNFMGCVLGQHDETGKKERAVYYLSKKFMEYESKYSALEKMCCALTWTAQRLRQYMLYHTTWLVAKLDPIKYIFEKSCLSGRIARWQVLLSEYDIMYVSQKSIKGSAVADFLADRANEDYESVDVIDVYGDSTLVICQMKGEWETRDLKLVPYKKLVTELSKQFKEISFNHLPREDNQIADALATLAAMFKIKEATDVLPFDLEVREVSAHCLNVEEEVDGKPWYHDIMQYIKHQTYPENVTDNDKRTLRILAMSFFLSREVLYKTSRDQVLLRCVDIAEANKIMKEVHEGTCGAHANGHMLARQIMRAGYYWLTLESDCINFARKCHKCQVYADRIHAPPAPLHVFSAPWPFSMWGMDVIGLITPKASNGHRFILVAIDYFTKWVEAASYANVTQKVVCRFIQKEIICRYGLPERIITDNASNLNGAMVKEVCTKFKIKHHNSTTYRLKMNGAIETANKNIKKIVEKMTEVYKDWHEKLPFALHAYRTSVRTSTGATPYSLVYGAEAVLPVEVEISSLRVLMET >EOY06351 pep chromosome:Theobroma_cacao_20110822:4:31643161:31649582:-1 gene:TCM_021101 transcript:EOY06351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 7, putative MERAPSSPTTQTPRGARRSKDPEMDVHVGVNEADPTSSVWDWGDLLDFTVDDHFSISFDDENLSPYPLEAPAPDSDPVPGPDRVRKRDPRLTCSNFLAGRIPCACPEIDEQIEKLEEEEAGAPGKKRARTGRIGSGTCRCQVPGCEADISELKGYHRRHRVCLRCANSSTVLIDGETKRYCQQCGKFHLLSDFDEGKRSCRRKLERHNNRRRRKPVGSKTVANNESQGAVQSEDVACDGEAGKDGSSLSGQIAEEEAAFESEDGRGSTHCSAPMLQSVNNDSVVTLIDTEMDGRKDDSKFSLSTSSCDNKTAYSSMCPTGRISFKLYDWNPAEFPRRLRHQIFQWLANMPVELEGYIRPGCIILTVFISMPKNMWNKLSENPMTYMHDFVFTPGRMLYGRGFMTIYLNDMIFRTRKDGTSMVKIDMEMQAPRLHYVYPACFEAGKPMEFVACGSNLLQPKFRFLVSFAGRYLAYDYCVASPHVQSKGDSPSCDHRLYKIHVPLTEPDLFGPAFIEVENQSGLSNFIPVLIGDKEVCSEMKSIQKRFDASLFPGGSKMSATGSLLEACEASSLRQRTYSELVLDIAWLLREPKLENFQEMMASSQIQRFNCLLSFLIHNESTVILKKVLQNLKILVEKTGFNGANDSDIRLFEKHMDYARDILSIKLQKGESLVLHSEYIERERNWCLQSSFKDDELSVVPNAFQDLEERTNGKLRAMTASTSFTRSETVPLLNREIIMNVNLNKECPRKSCSPIFTATTLRSRPAVLILATAAICLGMCAVLFHPNKVGEFAVTIRRCLFDRVVDVE >EOY03318 pep chromosome:Theobroma_cacao_20110822:4:12365598:12369671:-1 gene:TCM_018216 transcript:EOY03318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELLKDYDCTILYHPGKANVVADALSQKSIGSLAHISTDRRSLVREIHSLGDIVSNRGAQFTSRFWGKLQEALGTKLDFSTAFHPQTDGQSERTIQTLEDMLRACVIDLGVKWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIRWLEVGERKLLGPELVQDATEKIRVIRQRMLTAQSRQKSYADNRRRDLEFQVGDHVFLKVSPTKGVMRFGKKGKLSPRKYNPDPSHVIQYETIQLQDDLTYEEQPIAILDRQVKKLRSKDVVSVKVLWQNHTSEEVVRRPEKAKELRLRTISPSAPEIQIFDTCESTTYQNCFGNMTILNKVFE >EOY02675 pep chromosome:Theobroma_cacao_20110822:4:1746760:1748131:-1 gene:TCM_017084 transcript:EOY02675 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L2, putative MALWRCRTASWNLLNKLLHPPSRLPCSNFSSVAADVNNQSMIENMKRELLHLDINSQIGSCMPLAAMRIGTIIHNIEVNPGQGGKLVRAAGTSAKILKEPKSRYCLVRMPSGVEKLIDSRCRATVGMVSNPSHGAKKLRKAGQSRWLGRRPTVRGVAMNPIDHPHGGGEGRSKSSGSYGKTSRTPWGKPCKCGYKTASSKRRK >EOY02274 pep chromosome:Theobroma_cacao_20110822:4:244502:248081:-1 gene:TCM_016799 transcript:EOY02274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamete expressed protein 1, putative MKTLMIYCHFFLSLLVLLCVSPKCQSWGWFSSSVETHSNTKAIHHASMAKFSIDGLNDEKGIRLLEDAKNKLVGSNSCWKNAYKHLFAGCKEIIATDEKRSRFAWHLSDCFQRDSGRSSLLFCDTKSPMVYCLKNLNHLEHKVYLEFLLETNSICYQNQAFKHDTERLVNELIKSAQHAEDKLDSIEERTNVLLQSSSQIHDSLNAVDSQIRNVDQTTHSLEGHMHCLNERWQAVHKQAVDIAASQKELRNGQAIMNDQLKEGLVMLDGAYKNLGHEVDNVRNEAISIENKISKVGNALSSSINNLRRTANYIENMARISLDKQQELIEGQSTALENLQYLTRFQSEALEESRNAVQLLAEYEQKQREELLERQERFQQLHDHLVKSSKSILAAQEAIASKQASMFMALDKLHALHNAMLFESRLIKAFLIYSMSIVIIYMFTSTKQTYAVRPRLYIGLCATFLLEVAVLRFTTNDIEHKTWMVNLVRSLFVFIASIQLLHAIFTYRDYEYLNHQMLLTLMEKVNIIQSNEALSWETDSDVDWSPWINDELPEDVDKLEDPDFLIQEEFGENLITTFSNTRKYNLRQR >EOY06172 pep chromosome:Theobroma_cacao_20110822:4:31189985:31196529:1 gene:TCM_020986 transcript:EOY06172 gene_biotype:protein_coding transcript_biotype:protein_coding description:MOS4-associated complex 3A MNCSISGEVPEEPVVSKKSGLLYEKRLIERHISDYGKCPVTGEPLTMDDIVPVKTGKIVKPRSLTAASIPGMLGMFQNEWDALMLSNFALEQQLHAARQELSHALYQHDAACRVIARLKKERDEARSLLAQAERQAPLPASAVTANVSALTNGKRAAEDVDMGPGAKRMRPGISDSIIAELTECNAALSQQRKKRQIPPTLAPIDALERYTQLSSHPLHKTNKPGITSIDINLSKDIVATGGIDSSAVLFDRTSGEILSTLSGHSKKVTSVKFVAQNDVFLSGSADKTVRIWQGSEDGKYDCRHILKDHTAEVQAVTVHATNNYFVTASLDTTWCFYDLSSGICLTQVEDPSNSMGYTSAAFHPDGLILGTGTTEATVRIWDVKSRGNVANFDGHTGAVTAISFSENGYFLATAAHDGVKLWDLRKLKNFRSFELYDQDTPTNSVDFDHSGTYLAIAGSDIRVYQVGSVKADWTCIKTLPDLSGTGRATCVKFGPDARYLAVGSMDRNLRIFGLPEDDASAES >EOY02412 pep chromosome:Theobroma_cacao_20110822:4:717967:720879:1 gene:TCM_016895 transcript:EOY02412 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MGIVRTQEEENERMEFEDFRQTLVAFAALERRKQSEEFKGLSSHNADVDLEEKLVCVTSGVSFLGLAIVNRLLLRGYSVRILVDNQEDVQKLREMERSGEMMACTNKISVVMAKPTEIQGLMEAFDGCRGVFHTSAFADPGGISGYSKSMAEIEVKASENVMKACARTPSVRNCVLTSSLLACIWRDSTQHDLPTLVNHDCWSDESLCMDKKLWYALGKLKAEKVAWKIAEEMGIKLTTICPGLITGPEFSLRNPTATIAYLKGAQEMYADGLLAIVDVSRLAEAHLSVFEEMNKTAFGRYICFDQVIKREEEAEKLAREMGMPSNKICGDSFDIIPTRFDVSNKKLTNLMSRKLRCCYGES >EOY03978 pep chromosome:Theobroma_cacao_20110822:4:21026085:21027837:1 gene:TCM_019210 transcript:EOY03978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhd six-like 1, putative MALAKDPICSVQTSAFSGNLLGDVSSPEPYKFKEGGGLEKLGGVVKNFSTSSSTSFSSPNSVNSDGLAFRAVNYHPEEAQSLINFKGAGYDNFMHGTNGSLLSFEQNERVLQNTYLKTSSHKDEYSIWAGSLNQNYQWNQVNPKSSTDPRVVEDFSCFETASNFNSMTSATKENHGDWLYSEAAVVANSIQESGSPEASGLKRPHTGESNQALKKQCSNEAKKAKTKSGPSKDPQSIAAKNRRERISERLKILQELVPNGSKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPVQGGKAPDISQVREAIDAILSSQKDRNSSSK >EOY03049 pep chromosome:Theobroma_cacao_20110822:4:3970186:3972521:-1 gene:TCM_017472 transcript:EOY03049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALFDLNSKASEGFYEGRGMGYPGIGWLNSRKFGIGGLLMDHTSRIVLKFSRSIGVGNSSLAQLSTIREAFQLFLRVLCHHSINLWIESDLPNAINWIMVLKLRIYRMGIWLCSASPNKLLLWQLPLVLNVSRECV >EOY02906 pep chromosome:Theobroma_cacao_20110822:4:2913003:2914961:-1 gene:TCM_017306 transcript:EOY02906 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM domain family protein MNSSSKESQPLYPSISPPTGEDTNPFHQTVAQEKQPQMQSAAASSSSPSPPPPPPASDPNSEKWGTHIMGAPSVPTCHPDNKKAALWGAGDQAQYHHHPYVQYSPIEKSSNSPMESILQVFNSWSHKTETLANNIWHNLRTGSSVSGAAWGKMNVQAKALTGGGFESLYKQTFATFPNEKLKKSFACYLSTSTGPVAGTLYLSTIHVAFCSDRPLSFTAPSGQETWSYYKVMVPLSKVGIINPVIMRENPSEKYIQIVTVDGHDFWYMGFVNYEKASKHLSESLSNFVASGIAVQPAPVA >EOY04464 pep chromosome:Theobroma_cacao_20110822:4:24438737:24442171:1 gene:TCM_019706 transcript:EOY04464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MASHNNFLLHAFVWLALATTAFSLSSKFYDKVCPQALPAIKKVVQAAVHRERRMGASLLRLHFHDCFVNGCDGSLLLDSTSTMDSEKNARGNFNSVRGFEVVDQIKAEVDSVCGRPVVSCADILAVAARDSVVALGGPSWKVRLGRRDSTTASRTLADTVLPSASMDLPALIDNFKNQGLNQRDLVALSGGHTIGLSQCSIFRNRIYNATNIDPPFAKERRATCPRVGGNTNLAPLDPTPARFDTAYFKNLVKKRGLLTSDQALFNGGSTDKLVKTYSLNPDAFWDDFAKSMIKMGNIKPLTGKRGQIRVNCRKVN >EOY03364 pep chromosome:Theobroma_cacao_20110822:4:13867003:13871537:1 gene:TCM_018356 transcript:EOY03364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METPRELMELITFNMQNLCSLYDRFSDLPEPIILHVFSFLGSNDIVQLCYVPRKFRKLCMSSPNLSFKLTFDSEKCTAKCKKLQRFLKDFLSIHNAPEIHRLRLHWFCHSVRYDAKGSIFSLWVQKAVRNKVRELDIGVPVKPHKAFYLPAGVESLRVLKLKLQGGNLKSFASVFASLETLSLNSVSIPCLEFGEWVCNSCKSLKVLNLEKVNGIGNLNIAVHLSSNSKCLFDGLHLNVNAPSLKGLEISDCEMYDNFDISISAEQLQTLTLTMQLMSLCLRSRRICKIYSENLLSLRNATLSLGDNMYDEFTNDGLVELIDSVRYAKSLQLSFQIIEALFIKDQLQDITFQHLEHLEVRVSQLSLDDFTAIAAFLVESCSPKTLTLRCDQNASELCETEVGNGSAFLFSCGIEFSAI >EOY02860 pep chromosome:Theobroma_cacao_20110822:4:2692015:2695764:1 gene:TCM_017269 transcript:EOY02860 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor MMNSLCGSMGSIKSENSYESIAESKKTAPSSVLEQNNLTPPSLNFPVVKFELDDVEVQSPDSSAWETFFSDYFDSDAMILSPVRNLPSPQISSYSFGNVQAMQGQSLSGCSPPRFSSQLGSFSSTHKGKGQSPLHKVFNSPNNQFMQVESLSLPAIEEFLDDYQKDGYGEYPTTRISGMGTSNNMFEMPSTAPAMLDCIPIPNSSRFCGSASETSSSAGCSQLTQEQHYPVNSVSRPPLSQQLQQEKQLEKQQPAPTQQQQQHQNLGHTFMVPIPISPEQEQDSGLQLVHLLLACAEVVAKEDYLLARKYIHHLNRVVTPLGDSMQRVASCFTEALSARLAATITTNPGTSNAPKPFAPFPSNSLEVLKIYQIVYQACPYIKFAHFTANQAIFEAFEAEERVHVIDLDILQGYQWPAFMQALAARPNGAPFLRITGVGPSLEYIKETGRCLTELAHSLHIPFEFHPIGEQLEDIKPHMFNRRVGEALAVNAVNRLHRVPGNCLGNLLAMIRDQAPNIVTLVEQEASHNGPYFLGRFLEALHYYSAIFDSLDATFSPDSAQRAKVEQYIFAPEIRNIVACEGSERTARHERLEKWRKLMEGKGFKGVPLSANAVTQSKILLGLYSCDGYRLTEDKGCLLLGWQDRAILAASAWRC >EOY04151 pep chromosome:Theobroma_cacao_20110822:4:22293285:22296019:1 gene:TCM_019408 transcript:EOY04151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKQEEEEEEDNRREAAIASTLSLQPNFKPVGVSHQQLSKFRELHRRRLQLKAKSKIHKKPKDQTKKFHAEDLNTIDSQEADSNTKVEDSSVPNLKSHSEDDNPFAQQDNVVVQDAPKKRQKLHWGLDTKERWERKANM >EOY06614 pep chromosome:Theobroma_cacao_20110822:4:32463079:32464245:-1 gene:TCM_021279 transcript:EOY06614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major pollen allergen Car b 1 MSGISIVRFEPINTPSFTSVTHHYKFQSNFYHHIELAMGVTTYSDEYTSPIPPARLFKALIVDSHNLIPKLMPLAVQSIEITEGDGGAGSIRQINFAEGSQVKCIRNRIEELDEKNCSYKYSLIEGDGLMDKLEKITYDVKFEPTADGGSKNKMTSTYYTKGDFVLTEEEIKTGKEKALAMYKVVEGYLIQNPDAYA >EOY03559 pep chromosome:Theobroma_cacao_20110822:4:17139096:17141072:-1 gene:TCM_018673 transcript:EOY03559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 20 MKRDYSVEFMIVMFVMFEVGVGKLHRVGDKQGWNPNVNYSEWCSHEQFYVGDWLLFNFDKHYFNVLEVNKTSYETCNDQNFIQNITRGGRDVFELTEARPYYFLSGGGYCFHGMKVVVNVEIPQAPAPAPAKNDSPSIAGSYMFLLIVISMPVACLVLLF >EOY03042 pep chromosome:Theobroma_cacao_20110822:4:3841551:3844554:1 gene:TCM_017452 transcript:EOY03042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MLTLEIGRKSKSPTPSPAQLCCFFFFSSSSSTPWYSPPLPHQEDPILTTLSQAIRSSQTKPLHISLKKLLPSLTPSHVINLITLNPHSLSPLSLLSFFNFLSSHPPFRHTLRSYSTMAHFLIAHKMFHQAQSLLHFLVSRKGKGSASLVFTSIIETKGTHQCGFVFDSLMIAYKDLGFVPDAIQCFRLVRKHKFKLPFQGCKYLLDRMMKISSPMVSLGFYLEILDYGFSPSVYNFNILMHKLCRVSQIKDAQMVFNEIGKRGLRATVVSFNTLINGYCKSGNLGEGFRLKRAMEDSGIRPDVFTYSVLINGLCKESRLDDANGLFEEMCNRGLVPNDVTFTTLIDGQCKNGRIDLAMTTYQRILSKGLKPDLVMFNTLINGLCKAGDLKEAKNLIAEMSLRGLKPDKFTYTILIDGFCKEGNMELAIEIRDEMVKHGIELDNVAFTALISGLCREGRLIDAERTLREMLSAGMKPDDATYTMVIDGFCKNGNVKMGFKLLKEMQSDGHVPGVITYNVLMNGLCKLGQMKNANMLLDAMIGLGVVPDDITYNILLDGHCKKGNPKDFNRLKSEMGLVADYASYKSLISQIGNLRKLISVGVAHLTTLAFWKEDSDFITKWTRLRLDLRQSPPDGRQVEVVIFKRSRLVFWEEGNGPVT >EOY06016 pep chromosome:Theobroma_cacao_20110822:4:30609927:30618255:-1 gene:TCM_020868 transcript:EOY06016 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MDLVSKSYTNTIRVSQALSPITSPGNSILGPHLHSSDTSFPIIAIAVIGILATAFLLVSYYIFVIKCCLNWHRIDLLRRFSLSRRQHEDPLMAYSPAMEHRGLDESVIRSIPIFQFKKGGNNRYFGERSFCECAVCLNEFQEDEKLRIIPNCSHVFHIDCIDVWLQNNANCPLCRTSISSTSRFPVDQIIAPSTTPQDPNPYTENVISGDEDFVVIELGNHSSTDQILLGAQERLNSGELSTRSISPSPRKLEQRIVQKKERKFHKGTSMGDECIDIRGKDDQFAIQPIRRSISMDSSADRQLYLAIQEAIRQNRQVSEVSPIEGCSSRVRRSFFSFGHGRGSKSAVLPVYLEP >EOY04490 pep chromosome:Theobroma_cacao_20110822:4:24632031:24634001:1 gene:TCM_019732 transcript:EOY04490 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 08 MPEIEDTASSSTATDSSEISSEITLFGKYEIGKLLGCGAFAKVYHARNVRTGQSVAIKAVSKQKVVKGGFMAQVKREIAIMRRLHHPNIVKLIEVLATKTKIYFVMEFAKGGELFTRIARGRFSEDLSRRYFQQLISAVGFCHSRGVFHRDLKPENLLLDENWNLKVSDFGLSAVTDQVRPDGLLHTLCGTPAYVAPEILAKKGYDGAKVDVWSCGIVLYVLHAGYLPFNDPNLMVMYRRIYKGEFRFPKWTSPDLRRFLGRLLDPNPETRITLDEIINDPWFKKGYKEIKFNSEDFEMKEENQSNKCLNAFDIISFSSGFDLSNLFNEADFWVRRDRFISGEKPERILGRIEEVGRTEDVKVRKRKERGIRLEGQSSNFVIAVDIHQLTEKLVVVEVRRREMNVGSSGEIWKDKLRPKLSDLIYKAEAGQVSDNL >EOY06181 pep chromosome:Theobroma_cacao_20110822:4:31214460:31217006:1 gene:TCM_020992 transcript:EOY06181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYPRVKVKEQGQDDQYAMHDYKISSVLSLKDVLFLSMLDSYFPVKTPQDVSPIAKARIPESYVPEVEKPSDSAPAESEKNNKATDEEDRPNIRVSLTPRPRAVISSPDNDAVIGHNNRIKGEHCAALKNHLTVQNRHTTRSHIFARSSVKTRKSKDDADSNFEIKPKKGSGTTVSSQRRHHRTERPSWQDP >EOY03333 pep chromosome:Theobroma_cacao_20110822:4:12920871:12930905:-1 gene:TCM_018264 transcript:EOY03333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIHGFKGSLARELWVTDELLDMVGAMVCDYSWQGHGLLYVARPQVVIRNCDHLISPMSANIVKTTMAVGTRWSRAPNVIS >EOY04817 pep chromosome:Theobroma_cacao_20110822:4:26054268:26054882:1 gene:TCM_019991 transcript:EOY04817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLGNLQQLLEPLGCAGDRNWPINLGIKIIILRSHEQFLKRWRRKILDRNFVPLCLFAHVHCYVTSSSFNGFGVPSLLQPTVGQLGLATTKLFD >EOY04139 pep chromosome:Theobroma_cacao_20110822:4:22224805:22228155:1 gene:TCM_019394 transcript:EOY04139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MHPNSFPISSLLAPFFLLFALIRVPTTLCVDDLRYSNCSTIIRCGSLANIGYPFWGMNRASYCGQPGFELKCEDGVGKITMSQNTLRILDVNPDQQILKVAREDYWDGYCPRELINTTINFNHFDYGPGLRNLTLFYGCYLPSTSVFIFLTNCTINGAIMDVSYATRSVLGDPRPEVCHGSVIVPIYETAAQDLEVNPLTVNDSLKGGFELQWKVNDDQCRNCRESDGVCGYNQTTNSFICFCRNHTSETTCSPTQVQRLQREIFIKS >EOY06660 pep chromosome:Theobroma_cacao_20110822:4:32570956:32573516:-1 gene:TCM_021317 transcript:EOY06660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 111, putative MGRSPCCEKVGLKRGRWTAEEDEALTKYIQLNGEGSWKSLPKNAGLLRCGKSCRLRWINYLRPDLKRGNFTAQEEETIVKLHSTLGNRWSLIAAELPGRTDNEIKNYWKTHLRRKIYSFTKKVHNGSPTVEAVRIGELAQKRRGRTSRANMKRQKLALMSLGKANKNNTPEETNIIGQMEGTDIVRDLQPTDLGARGCCMNSGARTVDGRINDESERPAICSGDESCNSIAAQVMFDCETDVFGPYEWLDSEIQRLSVVLKSECAEVSPSGDGCFDPKEENGGIMVTTEEMDKEIPAVQETKSKSHHDEEIRGSCSINWNSDCGRSCGESQVRRSSPSDPWFDEGWLGWDLDQADALGFCSHDPWDDLKYFQSVHQNHQWESWDDLIYFQSVDENDHRSM >EOY05408 pep chromosome:Theobroma_cacao_20110822:4:28463145:28463722:1 gene:TCM_020415 transcript:EOY05408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANLKELLLPMLALCILYPLAFAVNEDLLFIKYHIHITNDMPPDFPPYIPALSLHCKSKDEDLGEKELSEHQDYTWNSSINDFRTTLYFCNARWEKKQRYFVAFKATRDEHRCRVYHNSCLWSVRGDGIYFSNNNSTWTNEYPW >EOY03659 pep chromosome:Theobroma_cacao_20110822:4:17670779:17671681:-1 gene:TCM_018751 transcript:EOY03659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARSTCNDQQSVPISLLFPISQFMEYHHIETSDEVSWQVLQPQMKFFGSTRQTRDLF >EOY03815 pep chromosome:Theobroma_cacao_20110822:4:19533480:19534331:1 gene:TCM_019005 transcript:EOY03815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMNMKRRHEDELEEVKKETARKVRVALKERDEWQSKFEEVSVANSSLLARIQELQSANNALQHEVQRKEQTIQELKNDCDMLETAMEGYKAQYEAVRQEYFQMRERNNSCAQSLQRKEAEMQWILRQMREVAFRARVMADKTKELRREILPKDELSERLISHLKMVRDQYDKVDFSF >EOY05795 pep chromosome:Theobroma_cacao_20110822:4:29818741:29820397:-1 gene:TCM_020705 transcript:EOY05795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNNLEQLMGSDLIPAKERRCLRTLLGRGRGGGAFNRSTESGKNFSGVLFSGAVADRINGRVAMIGFVATMAVELSKGQDLFSQISDGGIHGYLEAAL >EOY02345 pep chromosome:Theobroma_cacao_20110822:4:498218:500682:1 gene:TCM_016854 transcript:EOY02345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIHEAEKSWCIYMCKWSHNERQLVLNDMGIKACMTKKILSDQKEEKLKGRSDGYDFDSISLMNHINLFDGTNGLLAQNLK >EOY06356 pep chromosome:Theobroma_cacao_20110822:4:31658235:31659829:1 gene:TCM_021105 transcript:EOY06356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L33 family protein MGDKKKKTFMFIRLVSAAGTGFFYVKRKSAKKVAEKLEFRKYDPRVNRHVLFTEQKMK >EOY05331 pep chromosome:Theobroma_cacao_20110822:4:28104725:28107055:1 gene:TCM_020352 transcript:EOY05331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 5, putative isoform 1 MTSLIPLSFHPPFLHFNSRSFPSKSFPLSPSPSKLFLCFSSSTNSSNFDREESRWLREEQRWLREEQRWLREEKRWLNEKEFLLRQISELKLQIQALENRNSVQGASVSETISSIGALLQVLKEKNRIADSGESTSEMVLEEVKEKEVVVEEGVRVLARREKEEEKKIERKALRVGSEGEQVREMQEALEKLGFYSGEEDMEFSSFSSGTERAVKTWQATVGAREDGIMSAELLQRLFTGQQIKSSDHEVAPPTVPEKEQTNGAAIASLTEISEIQQEVVKEEGFTQAEVSQHRVFLLGENRWEEPSRLAGKDKKAMESKNRDSTTRCHACRGEGRLMCTAECDGTGEPNVEPQFLEWVEEGANCPYCEGLGYTICDVCQGKAVI >EOY05330 pep chromosome:Theobroma_cacao_20110822:4:28104579:28107187:1 gene:TCM_020352 transcript:EOY05330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 5, putative isoform 1 MTSLIPLSFHPPFLHFNSRSFPSKSFPLSPSPSKLFLCFSSSTNSSNFDREESRWLREEQRWLREEQRWLREEKRWLNEKEFLLRQISELKLQIQALENRNSVQGASVSETISSIGALLQVLKEKNRIADSGESTSEMVLEEVKEKEVVVEEGVRVLARREKEEEKKIERKALRVGSEGEQVREMQEALEKLGFYSGEEDMEFSSFSSGTERAVKTWQATVGAREDGIMSAELLQRLFTGQQIKSSDHEVAPPTVPEKEQTNGAAIASLTEISEIQQEVVKEEGFTQAEVSQHRVFLLGENRWEEPSRLAGKDKKAMESKNRDSTTRCHACRGEGRLMCTECDGTGEPNVEPQFLEWVEEGANCPYCEGLGYTICDVCQGKAVI >EOY02299 pep chromosome:Theobroma_cacao_20110822:4:347493:350623:-1 gene:TCM_016819 transcript:EOY02299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative MATVSAFSTPLHLISKTPFHTPKNLSFHPNSIHFQTKVSTFSFNNLTLSFRKDKNLHGFWKLKSAEEEETAVPEQEQQQEETAVPEQEQQQEETASAEQQESVSVPVSPSDTLRMYFQADGTLNEVEIPKVTKALESLELELVVHPTCNNPKVWELSVTRGKMLHCLHVVGATPTILMLDVILAAEGISNLKVQVLEGIGTVELTKQTTVQATGVASSLVEIIQGAGFKLQTLNLSFDDEEDIPV >EOY05961 pep chromosome:Theobroma_cacao_20110822:4:30402145:30403380:1 gene:TCM_020818 transcript:EOY05961 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein, putative MERNSFSNSLKDHSIGSKAIKDSSSNYDNQNNFKLKDTRSSNNQGFGEDYLAGFSWPPRSYTCSFCKREFRSAQALGGHMNVHRRDRARLRQSPPRDHGQPPCLNLNLYPNPNPNPNFSSSSLVSSPFSSTLPSLVSPPLSSLSSSFASPSHEMKKWRVNGTLMDPLSSKGSDSTNMTKGAKSFYGVKELKDYECNKFLKKTEYVRLDLDLEIGLVSDSKKDLDLELRLGYS >EOY03102 pep chromosome:Theobroma_cacao_20110822:4:4795503:4798153:-1 gene:TCM_017555 transcript:EOY03102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDIKASWHMILRYLKMTLDMNKHHSTAHCIFHKSFRAYLRERIGRFWLVSVLREIKTNEIDGKFQPTKAH >EOY06088 pep chromosome:Theobroma_cacao_20110822:4:30888768:30891146:1 gene:TCM_020922 transcript:EOY06088 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein MSSETKISLDKKEESCIPTESISEREEGSSLNLPNSDHVKSGPNYPVPPSISREELNSSSPMRSTKEGSKENMVLDRFLTQNIEKHSILAAFCDCWPIVNVEEVSLTKQSMFKDFVVHFETREGYQNTLKKTDLMVLNAEAFVEASSSEDMDDAISIPDLIGDPDAPVALVKNPTKTVKVKQLSEDISSQQLKEALAFCQSGISSFYLGSTSSVLYVEFETEDAKEGTCRTFHTCIRKGAANPQD >EOY05031 pep chromosome:Theobroma_cacao_20110822:4:26906193:26908726:1 gene:TCM_020142 transcript:EOY05031 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein MATAKVGRIKLGSQGLEVSAQGLGCMGMSAFYGPPKPEADMIALIHHAISSGVTLLDTSDVYGPHTNEILIGKALKDGFRDKVELATKFGIDYTEGKREIRGDPAYVRVACEGSLKRLGVDCIDLYYQHRIDTRLPIEVTMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEADIIPTCRELEIGIVAYSPLGRGFLSSGPKIVETLSNDDFRKSLPRFQPENLEHNQRLYERVNEIAAKKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNVGALSVKLTAEEMAELESIASVDTVKGDRYGGVLVTYHDSETPPLSSWKPE >EOY05733 pep chromosome:Theobroma_cacao_20110822:4:29616423:29617791:1 gene:TCM_020659 transcript:EOY05733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLRGLQVSLVFTWLLAIVLFKLAPMFKSQMQGCSVQDVDLGQCFNQGINSSIEIACCKALNQVVQTGYNCLCSLLSSFIAPSSTPLSLPLSNCYISVPSLTLCRDSPERNLTLPSAPSIDVLAPPAQDQIQVPLNLTEDNNHAEEQRKPHSIENSVPALYVSDMRNGTSNGKEKTKMLAHQISLLLSLALQGCILLA >EOY05471 pep chromosome:Theobroma_cacao_20110822:4:28667593:28669651:-1 gene:TCM_020466 transcript:EOY05471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tau class glutathione transferase GSTU43 MGDVLCNFGALKLLPILSQSSSWKTIFNFIIEVKPIWALKLKGVNYEYIEENLPNNKSHLLLQYNPVHKKIPVLVDGGKPIAESLVILEYIDEVWPKNPLLPKDVHERSVARFWAKFTDEKIRTMWEFYHKFGEEQEKAINKNLEILKTIEEHGLGDKKFFGGDKIGLADLIFGWVIHMLVPMEEVVGIKLIKADTFPRLHAWMKNFSEEPVIKNNVPDHNRVVDFLRNRIEL >EOY02812 pep chromosome:Theobroma_cacao_20110822:4:2348603:2349730:-1 gene:TCM_017206 transcript:EOY02812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVSSSKTSISPIEDPHSPYFIHQSDSHASVVINPKLTSANCAFWSRSFLLALAIHNKAGFVDGSIGEPKPTNEMFGYWSRCNNLILAWLFESLSPEIASTVFYMNFA >EOY02557 pep chromosome:Theobroma_cacao_20110822:4:1315159:1317034:1 gene:TCM_016997 transcript:EOY02557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 13 MSNPSTGTSSKSKVGSSQPSETSFKRKRGVFQKDLQHMMYGFGDDPNPLPETVSLVEDIVVEYVTDLAHKAQDIGSKRGKLSVEDFLYLIRKDLPKLNRSTELLSMQEELKQARKAFEVDEEKLGTTE >EOY02423 pep chromosome:Theobroma_cacao_20110822:4:758591:769942:-1 gene:TCM_016903 transcript:EOY02423 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIF1 helicase, putative MECAKFYSNCPAFVCHRDVFLYSVVGFDGELKTVSQKSVDVFIPSDFYNLRFLNPNTNGYYTSLASTNQIQNSVAFRNSKRKLGYNTVESSSYIQNVEQDCRNSQRRNIVSFEIDHRDDDNFFEQELSLASDASQMLPILNDEDDISTFNQQTAHIGTSFVGDNSTSTHFDSNQYNIPLNLGGPRYVCCFCSAQMWYEERKNKSRNERNPRFTMCCMEGKVSLPPFKQTPSLLATLLNYKGGRTAYKFRHNIRVYNSMFQFTSIGGKIDSEINRRPGPYVFKINGQNHHKIGSLLPVDGERPKFAQLYIYDTENEVSNRINALGYDVQQSGVEENIVKELMEMLDQTNQIVKAFRMAKERFKEPDYIPVKLRLIGARMNDGQQYTNLISSEVAALIVGDVDQLIDKRDIIIEHRSNGLRRISDLHPAFMPMQYPLLFPYGEDGFHLNIPYQKWNHTTKTKRGTVTAREFYVYMLQFHLNQGFTLFLGGKLFHQYITDAFTSVEGMRLDYNLRNQKKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPRYYMQNYQDAMAICTYYGYPDLFITFTCNSRWPEIIAALKFIDGQRVEDRPDIVCRVFKIRLRCFIKELVDDQHFGKVRAYGVPLYRRRNQSKAILLDVKSGFEIDNRYIVPHNRNLLVKYQSHINVEICNKARSIKYLFKYLSKGPDRIRVAIEQSATANASNIHMTSTAVDEIKNYLDCRYVSAHEACWRLFSFELHYRDPSVERLPIHLPNQQRVSFCDNDCLLRVLSRPGIRDTKFTKWMEANALHEDARCLTYVEFPTKWVWKDNLKEWKRREGGRSIGRISYIHPAAGELFYLRMLINVVKGPSGFKDLRTVDGILYESFQAACNALGLLGDDKEWDDALAQASGWAMPYQLRQLFTTIMLFCQVTDARQLWEKHWEAMSEDIRHRFQSLYQLQNYVIPDEHIKDYTLTALELLFSNNSTSLAEYGLPTPMVNMNSQSIDKLLMEELDYNTEELRVEHATLFAKLNNEQKEIYHAVLQSVAHDEGTFFFVYGHGGTGKTFLWKTIICALRGIGQVVLAVASSGIASLLLPRGRTAHSRFKIPIDVDDLSTCEIKKGTQLARLLQKTALILWDEAPMIHRNFLEALHRSLQDIMLDDTRHENNKTFGGKTVLLGGDFRQILPVIPSGSKHDVISASICKSPLWEHCKIFTLQTNMRLLSRSLDQTATGEIKDFATWILNVGDGCAQGIGPNVDDDTTIIKIPNDLLVSPGNNPIQTICSTIYPDFAQKFADISYLQHRAIVTPYNDTVTLINEFMLSELPGDIKTYLSCDTISKSSSSTRDEDFLYPTEFLNSLSFNGIPNHKLQLKVGATIMLLRNINQSLGLCNGTRLMEIMSYQPLSELQIGKEGGTIQICVARIWHSINYKQANDIISLDFLATDDKGNAIQAIIHKIHMKEFESILKEGHVYCISDFKVSKPKKSYNVISAPSAITITSKTKIVKASSSALSFQRHYFQFLEFEHLPHRYKINETLTDIIGLIISMSKVTAIYVSNKSTKVPKRNLQLQNIKGTIINATLWGDLAYCVDDDIIGLKSKPIIILAAMTVGEYQRQPSVASCSASKIYVDLNIPIVADMKARFDEKNAPVLMLDVRQRPQIPPDQQENHNRVTIKQLLQIDHSKTQIETYTCIAKIKEFDCTEGWYYIGCKICMKTLQQISDTFWCPDAKHGEQLPHLCYKLIITVEDKTGNATFVVFGDDGEKVVGASIPKLALLNHLDKYILPEPITKLIDQEKLFSISLVTKSLDTGNLTFRINSCKAVNEAHKPTMMLGQSSTCKSTLHLNKKKSNLEVQECPPSSPENQIQQDLFPEESPIKKVKLR >EOY03863 pep chromosome:Theobroma_cacao_20110822:4:19979566:19980512:-1 gene:TCM_019064 transcript:EOY03863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIMHEYLSVCCLGVSLFHMMTETHLAQRVHYSENGKVAIEKKKNIVTASLSKKMRVKRTSEVSPMLEN >EOY05176 pep chromosome:Theobroma_cacao_20110822:4:27487578:27490185:1 gene:TCM_020249 transcript:EOY05176 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta' MMIPKRKKWTEAEEKTLIDKYGEMVADGTLAKMKTREKKYKPIACYVNSVHHARDSIAYPWQWSWKDVSTKVQNMRHQYLLVKQKIKKPDGVVDNPVGGECNGDDEFDWFEGLTHWSNFLRYKEVFGDVAVSYNGNNNNNNSNNSSDMMVVANEDRENGGGFVGGRGMEIVEFGQIGQSGDGDFAGIDGGEYGVLGLGFEYDGEEGEGNYNGNDHVREEADDGLLYEEVEPNGSTLKKKRKVLKGLEKKAFGFLVNQLGQLRELEARFEQREAERERERQKKENVRLELEKKWERKLEERENGREEREKASEKLRRQRILEWEAMEKESEERERRRKEEELIQEREWEERMHRRRLEWKKRMDEMLNQHRAEMGQMQTRILHEQQNLTSQLLGIVSQWTGHPAGLSDHTGASNHYLSQMMQNLHHVNGMVHGDGRVDGEINGGCARMFATMRSVKFVLWNQME >EOY03527 pep chromosome:Theobroma_cacao_20110822:4:16920034:16920731:-1 gene:TCM_018641 transcript:EOY03527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKNQIWIQEQCFCRSSVAAFSCKSAVCLETFCYGFNCLLIAFRCVFLFFNKLLLHPFIEP >EOY06661 pep chromosome:Theobroma_cacao_20110822:4:32574110:32577556:-1 gene:TCM_021318 transcript:EOY06661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 111, putative MREEKREMGRSPCCEKVGLKRGRWTAEEDEILTQYIQANGEGSWRSLPKHAGLLRCGKSCRLRWINYLRADLKRGNITAQEEETIVKLRAALGNRWSLIAAQLPGRTDNEIKNYWNSHLSRKIYRFTKTIKDTKPGEIDIITTAEECKRTGGRTSRSAMKRQKLALLSFGIPKNDLTPNEEMESAAQGNTIPGAPIQDRKIMKNLQPNENHDEDPISAVAGRRNIGIMHGSCMHSSAGKAGNYDESKSRGIALSSGEESCSAVETYGSCSERESEEVLGPYEWLDSEIKRLSSVLQSEGVDPSGNRGFTPNVENGARVISEDRVNGVQRGAQKDEERESCGIWSSNAEIIGKAGEYQMSSFSSPAETSWFDKDWLDWDSAAGFGQCRDADQWELWDDSDKVLCQLWDDGKGDEAG >EOY02797 pep chromosome:Theobroma_cacao_20110822:4:2303015:2307470:1 gene:TCM_017193 transcript:EOY02797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of outer membrane 20 kDa subunit 3, putative MEMSNELDRLLFFEQARKISEATYAANPLDADNLTRWAGALLELSQFQSVPDSQKMIQDAISKLEEALSINPKKHDALWCLGNAQTSFAFLTNKEDEARPYFEKAAQYFQQAVDEDPSNEVYLKSLEISAKAPELHQEIIKHGLGQQTLGAGPSTSTSSSSTKTATKNKKSSDLKYDIFGWIILAVGIVAWVGFAKSQMPPSPPPPPPR >EOY05945 pep chromosome:Theobroma_cacao_20110822:4:30326653:30327130:1 gene:TCM_020808 transcript:EOY05945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKENINQAFWCLSPKGKAHLGTKRVPDQLNLTRKGLRNFCSLAPCLSSYAHTSTTLYIIFKRTNKSNVNNS >EOY05692 pep chromosome:Theobroma_cacao_20110822:4:29509443:29517507:1 gene:TCM_020631 transcript:EOY05692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 5 MFPGSGSSTPDDETYNIIPVQNLQSNHPSLRYPEVRAAISALSDDSNLRKPSCGQWHSSMDLLDWLGLFFGFQRDNVKNQREHLVLHLANAQIRLSPPPTVCDVLDTKVLRKFRRKLLENYTKWCSYLWIKPNVCIPNSSRSNSDPRTELLYVGLYLLIWGESANLRFMPECICYIFHHMAMELNKILRNEIDENTGLPVMPSTSGENAFLNCVVKPMYETIKGEVERSKNGTAPHSSWRNYDDLNEYFWSNRCFKKLKWPINVNSEFFVTSSARKRIKKTGFVEQRSFLSLYRSFDRLWVMLLLFLQAAVIVAWEEKGYPWEALYDKNVEIKLLTLFITWSGMRFLHALLEAMSEYSRVSKETLGLGIRMVLKIVVATAWVYAFVISYGRIWRERNKDGQWSGEVNNRVIFFLWLAFLYGLPELLALDLFFLPFIRSFIEDKNWKIFYLVSWWFETDSFVGQGLREGLLVNVTYILFWVVVLATKFSFSYFLQIKPVITTTKQLLDFHNAEYEWSEFFHNSNKLVLGLLWMPVVLIYLIDTQIWYSIYSPFVGTAVGLFQHLGEIRNIKQLRLRFQFFASAIQFNLMVPELPFNSRETLKKMLKDSIQRLKLRYGLGRLYKKIESSQVEATRFALIWNVIVTTFRKEDIISDQEFQLLELPPNCWDVRVIRWPCFLLCNELQLALRQAKELPDAPDAHLWSKISKNEYRRCAVIETYDSLKYLMLKIVKISPGEHNILDYLFREIDEVIRAGKFTQVFKMIALPQIHTKLIKLVDLLLEPNKDESQVVNTLQVLYGIVIHDFFRVKLSTNELRQMRLAPQNPAAVVGLLFENAVELPDFSDKHLYSQVRRLHTILTTKDLMQNFPINLEARRRLAFFSNSLFTNMPRAPLVNRMMAFSVLTPYYNEEVLYGKEQLQIENEDGVSILYYLQTIYDDEWKNFMERMRREGMERDDEIWTTKLRDLMLWASYRGQTLARTVRGMAYYHRALKFLAYLDSASEMDIRLDIDLIDMDKCFDGFNSQSSSSSTNLGRRSSLNLFQGDECTITLMKYTHVVSCQKYGEHNAEEIMNLLRNNESLRIAYVDEISTRRDKKEYYSVLFKYDQQLQRAVEIYRVKLPGPFQLGEGKPENQNHALIFTRGDALQTIDMNQDNCFEDALKMRNLLEEFRHYHGIRKPTILGVREHIFSGSVSSLAWFMSSQETSFVTLVQRLLANPLKIRMHYGHPDVFDRFWFITRGGISKASKVNNISEDIFAGFNCTLRGGCVTHHEYIQVGKGRDLGMNQISMFEAKIAGGNGEQVLSRDVYRLGQRLDFFRMLSFFHTTVGYFFNTTMVILTVYVFLWGQLYLALGGVQDSDLRNDQNIRKALGAVLNQEVLVQFGLLTTLPMIIESSLEHGFLGAVWELLMMQLQLSIVFYTFSMGTRAHFFGRTILHGGAKYQATGRGFVVHHTSFAENYRLFSRSHFIKAIELGLILIVYALYVPVVAKNTFFYIDMAMTCWFLVLSWIMAPFVFNPSGFDWFKTVKDFDDFMKWIWHQGSVFAKAEQSWERWWYEEQDHLRTTSLFGKLLEIILDLRFFFFQYGIVYHLGIAAHSKSIYVYLLSWIYFVVACGVYLVLSFIRKKHEAKKHIYCRLAQFLVIVFGILFIIALLQFTVFKFGDIFTGLLATLPTGWGLISIAQVFRPLLQPSRLWDLVVSIARIYDILFGVIVMAPVAFLSLMPGVQSMQTRILFNEAFGRGLQMLKIISGIKPKKDLYGPLDLRKASEADSLAKQADLEKES >EOY02487 pep chromosome:Theobroma_cacao_20110822:4:1049407:1050471:-1 gene:TCM_016949 transcript:EOY02487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin family protein, putative MADRDRPHQIQVHQHHRFDQGGKNYQSASGPSATQVLAVLTLLPVGGILLALAGLTLTGTVIGLCVATPLFIIFSPVLVPAAIAVGLAVAGFLSSGAFGLTGLSSLAYVFNRLRRATGTEQLDMDQAKRRMQDMAGYVGQKTKEVGQKIEGKANEGTVRT >EOY03180 pep chromosome:Theobroma_cacao_20110822:4:7002987:7005443:-1 gene:TCM_017765 transcript:EOY03180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFALVYVRMPPNTQAASKRMEDHDASNETTVELATFRLEDMAQEWYGSLCRGWPRGAASLALSDFSIAFLDRFLPLSVRNARARELEKLVQTSSMIVSEYDIKFTQLARYAVYLVSTEEMKIQKFMDGLVVPFLRVVASRDFDTYSATVDFAQQIEMTTNESRAARDRVKRAIKVVEISVMLFCLPAIKAHTGTHGYHNKGMACLILVLEWDKRLPVL >EOY03640 pep chromosome:Theobroma_cacao_20110822:4:17533238:17533815:1 gene:TCM_018730 transcript:EOY03640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH151, putative MRIQKTFKNSLKKAQRKSSTSRRARNIARRRVVHARGCNRRSFVKCSKKVSDKLKALKSLIPHVPNGSNEIVKAEQLFQETADYIIVLKTQAFVLQKLIEFYDGSRNEQQNVQEESS >EOY04837 pep chromosome:Theobroma_cacao_20110822:4:26147170:26147816:1 gene:TCM_020006 transcript:EOY04837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNTKIIPIIIWNWSDMHPHQDSLKLTDKWEDDYGSGNRHGPDYWHARRAFLKSYHFKEQNGLKDKLKRSMKEINEAAVGVIANYCRELSKRRLGLRVFRVRLGMPSLGLAGQQNQWNLKRIMWLKQY >EOY06050 pep chromosome:Theobroma_cacao_20110822:4:30771004:30771738:-1 gene:TCM_020897 transcript:EOY06050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNPERERCRAQIQRRGLLLPAFNNAPQLIYVVQGRGIRGAVFPGCPETYQSGSEQSQSQLDRQQSYNDQHQRIRQIKEGEVIALRAGVAHWITTMGNPRSFWSHLLTLPMRPTSLI >EOY04462 pep chromosome:Theobroma_cacao_20110822:4:24431407:24434509:1 gene:TCM_019704 transcript:EOY04462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MINLQKSSIIFNSNVPALKRCEASVNGEILDALKTVIRIHKSVGESGGVCFRNLGRDAMKVMFRSNAKWWKLEDGYFKVDADGAFMKKSLKCSLGSIDLVLATIVVLPIMVLLKEH >EOY05682 pep chromosome:Theobroma_cacao_20110822:4:29467718:29476366:1 gene:TCM_020622 transcript:EOY05682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase with RING/FYVE/PHD-type zinc finger protein, putative MEERMRSGEHSGIVVKNRSQSGCLIVRKKGDGSGGAGSIGTRKIYESKKEKKRPRMIMSDSGSSDELVMPPRRRVGPDTIQVCNGLAVYEESEIGRKRNREERIRRSEEGLIGRNGEDLSDSKRNRLDVFDFNEYDGLDEEMIMRRNQFDYGREEVGSRRLLGSMPAAVRRSIEREYESGPSRHVFLEKKKNMYFDKSGGMSRGDHDDRNRFRKSRDGDRLHFSLRERYMADSDEPIRVQGKNGVLKVMVNKKKKVGEPLKNFDHLEVEEARSGSRIGDTVRRNLHVRPSLYSETEVLEKRASLSRNEKKKPNLLKTPSTKKNKVSDWDSEDSDASLKLQPKNMEASNSTKRVSSLEEKTQAEQLLPSRIKEGKVRRGCGTEKQKLRERIRGMLQDAGWTIDYRPRRNRDYLDAVYINPAGTAYWSIIKAYDALLKQLDEEDEGKPGGDGSAFTPLSDEVLSQLTRKTRKKMERDMKKKRRDDSDSENAQEAVAWKSSSTRHEDESMDSLSHEEKLSSFIKQGKSSKCRMNENGAFSANSKGQSSLHVHDSYEKPSSISNSHLVHGRKSRKHGRCTLLVRGSNAGLSSESDGFVPYSGKRTLLSWLIDSGAVQLSQKVQYMNRRRTKVMLEGWITRDGIHCGCCSKILTVSKFEIHAGSKLRQPFQNIYLDSGVSLLQCQIDAWNRQEESEQIGFHSVDIDGDDPNDDTCGICGDGGDLICCDSCPSTFHQSCLNIEFLPPGDWYCPNCICKFCGDGSDVAQDDDVTDCVLLACSLCEKKYHKSCIKVTDEVHNDSNSLVLPFCGQGCGEIFEHLQKYLGVKHELEAGFSWSLVRRTGADSDTTARGLPQRVECNSKLAVALTVMDECFLPIVDRRSGINLINNVLYNCGSNFNRLNYSGFYTAILERGDEIISAASIRFHGTQLAEMPFIGTRHIYRRQGMCRRLFCAIESALCSLKVEKLVIPAISELTHTWTAVFGFTPLEESLKQEMRFMNMLVFPGIDMLQKLLLEQENTKANSTAVTGAKQTESGSNQCMTPEVANESKPGSSSGDHQECDDGGLHHTSRINGEIVAADSDSQCPNVSINDTCGTSGSLDASLEPNVSVSVEETTLSSYQTGEKRNESNTSSSHDALEVDNKAGQDSPAEDNTRSCTEGMDDTYAVGFVIESKVPASEDGTICTDSRSGDKTAESASDSKNPDTSSMDYSAIDMGNKAVSDSPIDKNTQSCEDGDLDAAHAGYNVAAASDVKTKLTAEETICTNSRSGDKLGESPVGKSLVVSDGSQGTLAMEKKIASDSPDEDNIASSKGSHTRLVEAVDNVAVSDEVEVGGSVEETTHAESECGDKSADSASSEKSLISGKSTNPGALNMENKSSALDVPGEDISRTCKEHNVCDQSRICNGDSCP >EOY06723 pep chromosome:Theobroma_cacao_20110822:4:32764241:32765273:1 gene:TCM_021365 transcript:EOY06723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVRLVQFLSALCEAKIHKPRTRTSCSKPPPSPNCYLSSTISVKQQEKRLLEQNNLELFHRLDLSEPCLPHR >EOY03655 pep chromosome:Theobroma_cacao_20110822:4:17655027:17656751:-1 gene:TCM_018746 transcript:EOY03655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVFVLPSKSAFEVHLPRFPLVSSATTRGQFHLILGGSSNRKRKHLLTGNWQCRPRIIVASNIDGSSDTFADVADDEDDYLSGRYEILKTREDELMDIRRALSEAQAKQEAIETERDQLLEEFSHSEAKQQEYVAAILHDKEVAISEL >EOY03344 pep chromosome:Theobroma_cacao_20110822:4:13595769:13596353:-1 gene:TCM_018320 transcript:EOY03344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLLVPFQSQELFVFLRIDTYFFRGQSYFHVSFKDRHLMFEGSIQILRFVLFGSSLVWIQPCFFGGSIFSFRVCFVYPLRS >EOY04194 pep chromosome:Theobroma_cacao_20110822:4:22547621:22547997:1 gene:TCM_019453 transcript:EOY04194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGSEFFMLKNWIEYSAMSVFVSLLSNHPLVFKLKLKVDIFKELKLQLQNIFLCEFSCFRSIDGWKQKQAT >EOY04734 pep chromosome:Theobroma_cacao_20110822:4:25735049:25737140:1 gene:TCM_019921 transcript:EOY04734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTKILLPAPKTPNNCFPTNLIEEMFSMALEVFTVQPALAEMTSLHSQLQYLWANLAHVKISDGENINCCPPVSTKIIDFEPPSSDSRLRVRPAAHLVDDAYAAKYSRAIELMKALPANDPRGFMQQANIHCAYCDRAYHQLGFPDLELQILGKLIDGPTFALPFWNWDSPAGMQMPAMYTNTKSSLYDQLRDRNRYPPALVDYNGTEETTTDKDQTTSNLRVMNRQMISNGKTTKVFPGSPYRTGDEPDPGAGSLEDIPHGTIHNWLWSIWKTLGAKRKDYNDPDWLDSSFLFYDENANLVRVKVRHCIDSKTLGYDYQDVDLPWLKSKPTPRKLAKKVATGGPGIALAAETKKKKSRSKKEKEEEEEILIIETIELERDSFVKVDVYVNDEDDLTIGPEYTEFAGSFMNVPHKHKHEKRMKTCLRLRLTDLLEDLGAEDDDSVVVTLVPRKGKGVVTVGGIKIEFAQD >EOY03312 pep chromosome:Theobroma_cacao_20110822:4:12135469:12136190:-1 gene:TCM_018190 transcript:EOY03312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQMKDGNYDRLKQDCNFVAHVDCVMYKYLTIGQVNDQDEESSENLASITCVIEMNQHGEATRIKHFSHEHDLTLDSEIKEDDDKHCDACMLSISTSFYYCSQ >EOY05353 pep chromosome:Theobroma_cacao_20110822:4:28209598:28212906:1 gene:TCM_020371 transcript:EOY05353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLPSSSARSSSSPRSTRICDFGHAQSQSLTLLICAAANSWAFIRCMEERFGLCMESGLCQRMDLRSKHLDSMQWRVSMAAVELNYPVDFSKIMEFEGFGRRAKVTSQSSQSHQHLAMMIIDDNIKNGLKETVENDLSSNFSLLQYLKFMARWEQKKSVGGGKKKEVKETGLDLSFTKDENFKEWYFEVAVNDEMIEYNDISSYYILRPWAISKLPLFVSPSVLQKEKDHIEGFAPEMRTKVLGTIGLVECASAWNC >EOY03301 pep chromosome:Theobroma_cacao_20110822:4:11625911:11640630:-1 gene:TCM_018164 transcript:EOY03301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSKSTPQLALFSFYFFSLLALSLFFLSFFSFVSLLLSLTTDLSLLLCRLHLTHSILYIYIYIYILCLHESTTLFHMTGPFNNFFFFLHHFAPHAIYSLF >EOY04656 pep chromosome:Theobroma_cacao_20110822:4:25367119:25369226:1 gene:TCM_019855 transcript:EOY04656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein MENSTKPLQQQNQSSPTCENLPSLLSSFVDTFVDFSVSGLFLPQQQQTPQKALQTHFPSPDRLVAIGDLHGDLEKSKQAFRLAGLIDGSDRWSGGSATVVQIGDVLDRGGEELKILYFLEKLKREAVKSGGQLITLNGNHEIMNVEGDFRYVTEKGLEEFNVWAYWYGVGNKMKSLCHGLEKPKDPFHGIPLSFRGVPERFYHSIRARIAALRPDGPIARRFLADNLTVLVVGESVFVHGGLLEKHVDYGLERINEEVRDWINGLMGRRAPGYCKGRNAVVWLRKFSDELAKNCDCSLLEHVLATIPGAKRMIMGHTIQEIGINGACDNRAIRIDVGMSKGCINGLPEVLEINRNSELRVLTSNPMYQNKNKSYANAERKEGLGLLIPENGPKQVEVKA >EOY05904 pep chromosome:Theobroma_cacao_20110822:4:30226383:30227757:1 gene:TCM_020787 transcript:EOY05904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MDQLIKELLQGEIFWYEATPAPPVRQSAFVPYPNTPRIGLGLERAGCSNGVNSGNMNKRMIEFLMKSWPTTRETRDTEQDRCFRHMMNERMRREKQKRSYCSLHSMLPPGTKNDKNSIVQTAANRVRELEWLKKDLEKKNHELESNLAAMTDVKINEGTQITVRLDNPTSGIDSMLGVLKCLKKLDSKPRMIQSEFTNQEFVAVMDIETEIRAAEIEKAVNRTLQEAERKLQQRWTRC >EOY05598 pep chromosome:Theobroma_cacao_20110822:4:29091797:29097004:1 gene:TCM_020556 transcript:EOY05598 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPK1-related protein kinase 3 MQDFVGSVRRSLVFRSSTSGDDVGGGLGGFVEKIGASIRSSRIGLFAKPPAPPALPPVRKTDAPSIRWRKGELIGCGAFGRVYMGMNLDTGELLAVKQVLIAANASKEKTQAHIRELEEEVKLLQNLSHPNIVRYLGTAREDDSLNILLEFVPGGSISSLLGKFGSFPEPVVRMYTKQLLLGLEYLHENRIVHRDIKGANILVDNKGCIKLADFGASKKVVELATINGAKSMKGTVHWMAPEVVLQTGHSFSADIWSVGCTVIEMATGKPPWSQFQEVAALFHIGTTKSHPPIPDHLSFAAKDFLLKCLQGEPGLRPSASDLLQHPFVTGNYQEPHLLFRNSIMEPGNLVMTSGINLRSSINSEIRSTCTGLKDVCEMGSVRCSTVYPGKLSEPGSYWRGSNCDDDMCQIDDKDDLDFGASVKFKSILASADLNKSFNPMCEPTEDWSCKLDGSSEPRRSGVNMSLGETVEAASSPQMSGKAENDFSFPCGPSAVDDEEEVTESKIRAFLDEKALELKKLQSPLYEEFYNTLNGGPPTPVGTANGENVLKLPPKSRSPKRLPSRRLSAVADAANIASPKSHTNHLSKNAAVHDRTLQEIQPPPVGEWNGLLNAQQETISPSMSFSERQRRWKEELDQELERKREMLRQAGVGGKTSSPKDKFLVRQREQIRFAFPGK >EOY03435 pep chromosome:Theobroma_cacao_20110822:4:15628420:15629955:1 gene:TCM_018501 transcript:EOY03435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWCYRDCSTTLAKNLKGSFCVLVRVSSWQLLDEQKSTKDAVETVDLSGVDSGNSSLATWISSGAKRALVQIEIELQSMKREQMKWR >EOY04647 pep chromosome:Theobroma_cacao_20110822:4:25336965:25337680:1 gene:TCM_019849 transcript:EOY04647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLSVENSVTQSHSRTHKIFLISNYILLGAASSCIFLTLSLRLLPSLCGFFLILLHIITIVGAVSGCSVATSGSNKRYAAHMVAMVLTSIFQGSVSVLILTRTTDFLGYLKSYVREEDGVVILKLAGGLCVAVFCLEWVVLGLAFVLRYYAFVEGHGVGSGQSSQRNGKVQDEDLKNWPWPFQV >EOY04724 pep chromosome:Theobroma_cacao_20110822:4:25651838:25683284:1 gene:TCM_019910 transcript:EOY04724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase, putative MGHSSFQDEDDDEEEYEEEASGGNRLLGFMFGNVDNSGDLDADYLDEDAKEHLAAVADKLGPSLTDIDLSEKSPHTPADAADQDYDEKAENAIDYEDFDEEYDGPEIEAATEEDRLLPKKEYFTADVSSALEPKSSVFDDENYDEDEESEKEQEVVGEQEERTVPFEVEKSPEDDVQCGSSDSEKQADGTEDFQEIAGVLEEPLDGQGSTPLPVLCVEDGMVILRFSEIFGIHEPLKKADKREHGYFTHREKYKSMDASDLVEEDEEVFLKGTGQGFSFIGWENAIQQDIPEFTDEPLVQGGLAMSAHNEEHIKDSYSSPEPMKEDIVVNISTGWQSPSCPRFFALDQLDWEEQILWDNSPAISGDSLESPEISVSDLEASVARETIPQTGQNILSEHSTKPYEKDHDSSLCSSSVFLEPFGSRNSSGSMDLSFMDRFHPQLLRLESPLGVDSSNDGDHKREYVTIDTDKSDVVRCFNQLTLQNRDMMEGSWLDNIIWEPHSVIAKPKLILDLQDKQMLFEIFDNKESKHLQLHAGAMIITRPVKPSSLGSSEVSGHKYQPGWQFNIANDKFYVNRKVSQQLQSNSNKRMAHGVRVHHSAPALKLQTMKLKLSNKDIANFHRPRAIWYPHDIEVAVRQQGRLPTQGPMKIILKSLGGKGSKLHVDAEETVSSVKAKASKKLDFKPLETVKIFYLGKDLEDDKSLATQNVQPNSLLHLIRTRIHLLPRAQKLQRENKSLRPPGAFKKKSDLSVRDGHIFLMEYCEERPLLLSNPGMGANLCTYYRKASSGDQTGGLLRNGNQTLGNVLLLEPADKSPFLGDIKAGCSQSSLETNMYKAPIFSHKVPSTDFLLVRSAKGKLSIRRIDKIAVVGQQEPLMEVISPGLKNLQTYLMNRMLVYVYREFSAAAKRGLTPFIGTDELFTHFPNLSDAIVRKKLKECAYLRRDKSGRQIWSMKPDFHIPPEGVLRKLVFPEHVCAYESMQAGLYRLKHLGITRLTHPTTISSAMSQLPDEAIALAAASHIERELQITPWSLSSNFVACTSQDRECIERLEITGVGDPSGRGLGFSYVRTAPKAPMSNAMMKKKTAAGRGGSSVTGTDADLRRLSMEAAREVLLKFNVPDEVIAKQTRWHRIAMIRKLSSEQAASGVKVDPTTISKYARGQRMSFLQLQQQTREKCQEIWDRQVQSLSAVDGEENESDSEANSDLDSFAGDLENLLDAEEFEEGEEVNNDNKIDKVDGVKGLKMRRRPSKAQAEEEIEDEAAEAAELCRLLMDDDDEQKKKKKKKNKAVAGDVGLSFGLQSRISSENVQRVKKASTISKQIVGATQPNGSYTTNENIVKDPKDIESRMFKGNLSGKVKGMKKNGMSSTGPLTKVKILGDNVKLFKEKKSSRETFVCGACGQLGHMRTNKNCPKYGEDPELQVDAADFEKPSGKSTLLEPSGLSQLKTMKKKLIPKSATKIAVVEASEGEKSSSNAKAFPLKFKCSTDRPSDKLASGATQSSDYQVTSDPESGIKSVAKVSKIIISNRAKPDEMQVESQKLPFVIRHQMDNDRGQAESHKRSIVIRPPTNMERDQVEPHKPSVVIRPPADKDREQPHKKIIIKRPKEIIDLDQICQEGGTYPEYRKTKKIVELSSFEKHGKQESLRLTEQTARRKAKEEKGWWEEEQKRRNMEMLREERARKLYEEKLRALEERERFAEITRYTEDIRREREEEERQKAKRKKKKKKADIKDDYLEDYRTRRNDRRTLERDRGAKRKPVELGRYGAEYVPPTKRRRGGEVGLSNVLERIAETLRDNTELSYLFLKPVSKKEAPDYLDIIKRPMDLSTIRDKVRRMEYKDREEFRHDVWQIAYNAHIYNDGRNPGIPPLADQLLELCDYLMDEYHQSLCEAEAGIEARDT >EOY02846 pep chromosome:Theobroma_cacao_20110822:4:2581242:2585467:1 gene:TCM_017253 transcript:EOY02846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic ABC protein 14 MAASAFSTSSLFGSRINEPLTLISSKSRYKLNSKRTPPVKISCDYSCLEVKDVYYRPPGTQINLLNGVSFSLPEKSFGLIFGQSGSGKTTLLQLLAGLSKPTSGSIYIQRYTNEGNPNQSPKLLVPERVGIVFQFPERYFVADNVLDEVTFGWPRQRSGLQVKEHLALNLQRAFNWVGLNGISLDKDPHSLSGGYKRRLALAIQLAQVPDLLILDEPLAGLDWKARVDVVKLLQHLKKELTILVVSHDLKELEDLVDRSWRMEMGGVLREERLMV >EOY05225 pep chromosome:Theobroma_cacao_20110822:4:27646440:27666353:-1 gene:TCM_020280 transcript:EOY05225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase, putative MRGRHQGHRPFRPPGGRPPHQPQFQPLNPNFALQDPNVLQLIQALTTQIQPNFPLQQNPNNFFFQNPNNFLPQNPNIPSQQQKQQELYSSSSYIQPRNQRDTTASGQVPKDVRERADQAVKQAWRELIASGKSVTAWKVSQAALVALQVDSWSSLGLDMHGIPSLQKIMTIEGRVNAFIQCFIGVRHITTLYELEMAICENEGVKTFGKLELGPLLHHPLILRYFLLNSNNMEVFKITTEDIIAHLHEYMDSHENQEINIDEFLDFVADKQAITSKEKLGVHIRNLTMHASLITKAKREKDFKIKKCQKGLKLKKHSKGQKLKERYINMSQQVESFISVHKDFCGKHIRFDLSSSEEEDSNDSAREDEKNDNDEGNNSKLASQTINSSDRVSSCPYPSATEELTRLGLKDGMSKPSPSTGSSRHNNCTGSFKRKRKSGCPSPSISRLPKLSRRDGEEQDVVPNENGNEAKESSNLDEADISLSDNLMKTFITTWKEACREHTTAEVLQRMLSFYKSTAQKRKKMKSMLSSYPFIGLLNVAVTSIRKGMWDSTYDTIQAGRQFELTNTADNHSEYESIDVEPSEKDASILTNIHYVTAEDVIRKIIAYFELNHEIHGGKAHKEHKLIFLRKLFNCESWLAEQFNVTEFKSLAHGEFFMFLERHASLLPIELQKLLAAEICEKSPLEACILQHLLIVLISQASYNSDNQIITKEIIHALLIKQFPLFRFKVKENGSMEDFLEVMEKSKNDISSKCVMFSASLLGMCHNGDSLAYDENYSSETNSVPNARMDKSVASKDAMAVLLRAPMLSDLNSWSHWDVLFAPSLGSLLVWLLNEVNAKELLCLVTKDGKVIRIDHSATTDSFLEAALKGSAFETALKLLSLCSLTGGIKHLPLALLKHHAHMAFDVLLKNHMENMEVADDQNSIMNGKALLRSKLLQDVSLGNLGSELQMNLIQMNKAVSHASRFFLDCLCYLPSEFHGCAADILLHGLRSVVKDCPSAILSQCNELRQRVMLHDVGLSLGIVEWIQDYHKFCSTDIRNIFLSPEGVGMKTGRSELKTRSNYMQNAIDRLSYAEKEIIVSDRSDKQEVRHVIDGAEVSSESLGNKNRKQSSEVGELTDPALVIESIRRDEFGLDPSLSDMESSMLKKQHARLGRALHCLSQELYSQDSHFLLELVQNADDNVYSGNVEPTLTFILQESGIIILNNEQGFSAQNIRALCDVGSSTKKGCAGYIGKKGIGFKSVFRVTDAPEIHSNGFHVKFDISDGQIGFVLPTLVPACNVDSFKMLLSGCNNQLDNKCWNTCVILPFRSVTSKGNDMNNIVSMFSDLHPSLLLFLHRLQCIVFRNLLNNSSIVMRKEIVGNGIVKVSCGTDNMTWFVASQKLQADIIHRDVQITEISIAFTLQESECGCYRPFLDQQPVFAFLPLRTYGLKFILQGDFVLPSSREEVDVDSPWNQWLLSEYPSLFVCAERSFCSLPCFQENPGKAVTVYMSFVPLVGEVHGFFSCLPRMIISKLRMSNCLILEGDKNQWVPPCRVLRGWTESARKLFPDAFLHEHLGLGYLDKDIVFSDALARALGIQDYGPKVLVQIISSLCQRENGLKSMGLPWISSWLNEFHTISFHSSGQASLNCEIETVLVDNLRKIPFLPLSDGTFSSVDEGTIWLHSDAINNGFEGELGLEAFPTLYAKLRFVSPALFSASAVSISYVDMTLVGNITSVLQNIGVQQLSAHEIVKVHILPDISDERIKTRDRNLMIDYLCFVMIHLQSSCLSCRVERDYIISELRNKAFILTNYGFKRPVEVSVHFSKEFDNPVNINRLINDLDVKWHEVDITYLKHPASRLLSSGLKKWRDFFLEIGVTDFVQVVQLDKSFADMSHSVIRSFLSDWDLIAPGSVVKDWESYELGQLLSLLSASGNQEGCTYLLEVLDELWDDCFSGKAAGCCNLKSCGDSRPFKSSFLCKICDIQWVVSSMDDKLHYAKELFHDCDPVRSILGAFAPYAVPKVRSGKLVNDIGFKTQVTLDDVLKVLKLWRSETPFKASIAQMSRLYTFIWNEVHNEAQKIAEKFHAAPSIFVPYQSASRPDDVVSGIFLSSEEVYWHDSTGMMDQMMHNHSQSGSFVENQRPLNRILSNVYPGLYDFFVNECKVPEKPSFCGYLDILLQLSTLTLPSQAANAVFQVFLKWADGLKSGLLSSEDIIHMKDCLTKSEYTVLPTVLDKWVSLHPSFGLVCWCDDDKLRKRFKHFDNIDFLYFGTLNDNEKELLQTKVSILMRTIGIPVLSEVVTREAVYGGRADGSFKASLVNWALPFAQRYLYSVHPNNYIQLKQSGFDNINHLKIVVVDKLYYRNVIKCCGIVAKKQFKCTCLLQDNILYTTPESDSHALYMEFSRLLFGGTPDLHLANFLHMVTTMVKSGSNEEQTEFFILNSQKVPKLPDEEPVWSLSFAPNEAQNSEFLENSSAPTAVNEQSTSKSKKKTEIFSSWPPVDWKTAPGLSKRQAPISQPNDGSEKHTYNGSEVTDSHTSSGVPVEIKTGMSMGDNKATTSTLQILPDSERMECEHGNTCSPADSSVRIAFDPVDISLVSDSPELVSFEFSKRNQLNTGFISFDFSQRDQLHTGTPSAAQALLTGKLGELAAFKHFTGKLGKTVKWVNKDNETGLPFDLVVEEEGGHIEYIEVKATKSARKDWFNISTREWQFAAEKGDSFSIAHVLLSDNEAKLTVYTNPIKLCQHGKLQLVVLMPRQRKDFAIMS >EOY02891 pep chromosome:Theobroma_cacao_20110822:4:2835924:2837973:1 gene:TCM_017291 transcript:EOY02891 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNU [Source:Projected from Arabidopsis thaliana (AT5G14010) UniProtKB/TrEMBL;Acc:A0A384L1F1] MADPSMYNFFNQPSSAPKPTKKQPQRASPSAPTRLFQCLYCPRKFYTSQALGGHQNAHKRERAAARRKFPVDQPQQFNLHPQNPYTRFPAEPPMDHPGAPYLDQWLEPFHSHLPSGGLVSQGFSGVSSPETFSPSTDVDDSANVDLTLRL >EOY05885 pep chromosome:Theobroma_cacao_20110822:4:30173221:30175911:1 gene:TCM_020778 transcript:EOY05885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MAVPILKMVLLLNGHEHNTFLHRHHVPSLFVGQSRRGSMKINQINNSASAGNESQPLLSEIGTERVKELQEIKTAEEPEIKLADTWRKLHGEDDWVGMLDPFHPLLRSELTRYGEMAQACYDAFDFDPFSIYCGSCKFSPGKFFQSLGLTQHGYEVTCYLHATCNINFPSFFKRSLRSEKWSQAANWIGFVAVSNDETSAHLGRRDITIAWRGTVTRLEWLADFMYFLRPIKVRMIPCPDPRVEVETGFLHLYTDRNRNCPFSKYSAREQVLTEVKRLMQQHKGEKLSITITGHSLGSALAILNAYDIAETGVEIMDDGQAAPICVFSFSGPRVGNIRFKERIDKLGVKVLRVRNVHDQVPLAPGIFFNERVPSTLQKLAERFSWWYSHVGVELALNHKDSPFLKETNDLACFHNLEAHLHLIDGYHGKGRKFVLANGRDIALVNKATDFLKDHYLVPPNWWQRENKGLVQNHKGHWIQLERQDLEDHLKKYAPTSRHWE >EOY04121 pep chromosome:Theobroma_cacao_20110822:4:22109072:22112192:-1 gene:TCM_019375 transcript:EOY04121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase Sec MDEMATRVAPPRRSVAAAAGMRRRRTTSNAAYGGIAGTMLQFYTDDGLGLRITPNVMLVMSIDFIAFVAILHIMGKLYFVCKEA >EOY04437 pep chromosome:Theobroma_cacao_20110822:4:24306268:24307786:1 gene:TCM_019688 transcript:EOY04437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFNRTNHPSSCLSFLDLAAIQSEMFCMTIYFAFVFLHVWSSTVKGKPNCIIPVFEAMFGLIHVLKFKKKDFNASISFFTSLNTH >EOY04639 pep chromosome:Theobroma_cacao_20110822:4:25315502:25321348:-1 gene:TCM_019846 transcript:EOY04639 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MGEAVLSAVVEGVVSKATSVAIQHINLGWGFEEELEKLGYSLPIIRALLQDAEERQRNDKSVKLWLDKLRDVAYEADDVLDEFTYEILRRKVEIRDQIRRKVLYFFSPSNPILFRLKMDKKIKDIHKSVDGLNKLADQLGLQQRAIDVTPVLGASNEETVSFLDDSKIVGRKADVSKVVDLLINPSDGQIISVIPIVGMAGLGKTTLAKLVYNDVEVERHFDVKFWVCVSDNFDVKRILRHMLEHLTDENTTSFENKNSILEKFKKKLEGKKYLLVLDDLWSAEKWEDLRLCLLGVNRNKGNKVIVTTRNELVALKVQTLVDQWHHPEGLTHDECWSIIKEKAFKSSATSQELESIGKEIAKKCKGVPLVAKVIGGTMRNEMGQEAWLNIHRSDVWGSVEDALRLSFDRLSSPLKRCFAYCAIFPKDFRIEKEQLIQLWMAEGFLQPLHGSSMSMMDIGNKHFNDLLSNSLFQDVEKDACGNIITCKMHDMVHDFAMSVSKFDILILEAGSSGRTDICNVRHLNVIDYRESLPTVLTSAAPKLHSLFSKIDVFQKRSSTFKSLRVLNFYGANHVYELPASLGKLKHLRYFDISKSRINTLPRSITKLYNLQTLRFMRCWSLTLPDGLRNLISLRHIHFDHETLQPVEIGHLTSLQTLTMFIVGLEKGRLIEELKCLDELCGELKICKLERVRDKEEAMRANLLHKTKLCKLIFEWSSAKDSYGNTEEVLEGLRPHSNLHSLIIRNYAGENFPSWIVRSVAGSSTLFLLNNLMELELIECRRCKSLPTLGHLPSLKILKLKKLKSVKCITSEFYYNNSSHGKGAAITLFPALEKFTLDHMTKLEEWAIADSATTTAFPCLEELNILWCPVLKSVPITRHPSSLRKLHIEWCEELSNIAEELSASKCLKELIIEGCSELSSIPDLEGFSSLVNLELVHCDKLESLPLMGRCSTLQKFHIEECRKLIDIRDGLSCSTRLKRCEKLNKIGDALSKSPCLESLVIEDCGYLSSVPRLDGLFSLKELIVCHCPQLTNFQITGEFSSLGELHIENCRELNCIGDGLSTSTRLQKKSGEIAFSGLLKHHVVSKIEVHSRGHPWQFDPLERLRIGGFSEELEEFPGLSSVQHLQASLEYLHLIGWEKLKSLPPQLQCLTALKKLRIERFHEMEALPEWFGNLSLLRRLKLISCHNLMHLPSLKVMQSLTLKKLQCSDCPRLKERCAKDSGPEWSKISHIPRTFIDALCANMPILLGICLLDWCNYCPCLKLEMLTAFCANMLIGSVSDIG >EOY06702 pep chromosome:Theobroma_cacao_20110822:4:32705153:32707801:1 gene:TCM_021349 transcript:EOY06702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotianamine synthase 3 MESHQELLVANITNLYEKISRLEDLSPSNHVNTLFTKLVLTCLSPSDIDITKLSERVQEMRSRLIKVCGEAEGILEEHFSTFIGSHANPLDHISIFPHYSNYLKLTHLEFSMLQKECTTLPNQVAFIGSGPLPLTSIILATKNLISTSFHNYDIDPSANAKAFQLVSSDPDLSKRMFFHTADVMNVSSGLKECEVIFLAALVGMEKEEKAQVIRHLAEYMAPGAFLLLRSAQGARAFLYPAIDPCDLQGFEVLSVFHPTDEVINSVIIARKYPRPILSWNQDVDSTTLPNKCSDIPCFNPLNHGNIIEELAIDEQLL >EOY04483 pep chromosome:Theobroma_cacao_20110822:4:24563807:24567590:-1 gene:TCM_019726 transcript:EOY04483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASLLLLIFVSFSLPLISVAYRPGDIVPMSKMGQYHSSRTVWHDVIGKHCPIFAVNREVLIPIAKPTGYTGADPYKISFQVGKEKFLIPWLFLINRKSSEVPMIDMHLRYSGGDLHGVTAKIVDMPHHYVEIHPNIRKQFWDPQHWPKHVLVRYTWEEQSEIDVASGFYVLFGSGLMLSFILSIYILQSSRDKLARFVRETVAESSAPGVGVAKVE >EOY04452 pep chromosome:Theobroma_cacao_20110822:4:24364056:24372222:-1 gene:TCM_019697 transcript:EOY04452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRNAITTAIFLFLVVADVSNASLFWKLRSLADESPTKNNTTAATPPSSPSPSPLSGAKKSDPKPDSTSKLDPNLSNKTDSVTPPPDDKKNPKPLDKPEKVSPPPQKEIDSGNNSSSTSNSQNDKTMEDSEKKKNIDSGKNRNSTETGKGVETKEDKKQKQKQKTNGDESDSKSGIVETCDGIANSCTDGNSLSACIKDFETGSKKLVVLVQNRGERTLIVNFAGASEEPKGLKVPKHGTERINISLTVSESSRLVLSAGNGDCVLPVELLVSEGNFFLNLPYYDKLVTPVNGAYFLIVTVLIFGGSWACCMLRKRRRHDGIPYQELEMGLPESMAAIEVETAEGWDQGWDDEWDEDKAVKSPVGRHVANISANGLTARSSNRDGWENDWDD >EOY02386 pep chromosome:Theobroma_cacao_20110822:4:629065:631850:-1 gene:TCM_016879 transcript:EOY02386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, unclassified, putative MLSCKSVDCLVVVNDKADVGDLPEFTEPSSYRSLIGSLLYACGTRPNIMFSISYLSRFMQTPSIQHFMAVKRILRYLKGILDFGLSYKRIFEVQLHGFSGSDYAGDSTDCKSTSGYVFPLGILLSAGIPGSKMLWLNQ >EOY06230 pep chromosome:Theobroma_cacao_20110822:4:31369579:31371491:-1 gene:TCM_021032 transcript:EOY06230 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein with a domain of Uncharacterized protein function, putative MLWSIFNRLDVIDIIRAKLVCSSWNSLGEELVPRTPWLMLPSKEEVEGRYDADNNAYSGLLKLGESQVCSPKKIPKEFRESCCVGSSNGWLIFLEEKAMPFLFHPLRRVKIRLPSLYAMLGLQRMERKADGVYDISYFEDSEDYFRLITCQHKKQHLREYFMQKAILTGEPDCNNKKYGGFMRKIRYIEPPFPEKSLAKRNSLGDLCASRLYLVESCGDILLSVRFIGEFVESDGTLISEEDALYGIGIQPKVCPYRTFLFHVHKLDFIELKWVEMNSLDDCALFLGGNQSVSVSVQSFANCEKNSIYYTDDYWDRMTEDYSYGGHDLGKYNLNDGSVKPIYEFSLDNVLPPPCWIIPNPKPC >EOY06134 pep chromosome:Theobroma_cacao_20110822:4:31019609:31026033:-1 gene:TCM_020954 transcript:EOY06134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAHQSGREFFSGPLHGTTTTNSSSTSNDNNMDLAWPFGKLEGLDRDDIRETAYEIFFTACRSSPGFGGRNALTFYSAHDHGNGADGGNGSGPGPGSPSGRVYGVVMTPTSRVKRALGLKMLKRSPSRRMSMSSVGLSSGGGGGSTPSSPVSHGHGGSGSSPGTGGSTLPASRPRRPLTSAEIMRQQMRVTEQSDSRLRKTLMRTLVGQMGRRSETIILPLELLRHLKPSEFNDSHEYHLWQKRQLKVLEAGLFLHPSIPVDKSNSFLMRMRDIIRASESKPIDTGKNSDTMRTLCNSVVSLSWRSANGTTTDVCHWADGFPLNIHIYTSLLQAIFDIRDETLVLDEVDELLELMKKTWSTLGINRQIHNACFTWVLFQQYVATNQMEPDLLSAAYAMLAEVANDARKPDREAAYMKLLSSMLVSMQNWAEKRLSHYHDYFNRGTIGGIENLLPLALSATKILGEDVTIMEGEGSKKGDTLLVDSTGDRVDHYIRSSVKNAFQKIIENENVKNTTKGEREEASEALLQLAKETEDLAAKERELFSPILKRWHPIAAGVAAVTLHQCYGAVLKQYLAGTSMLNTEIVGVLQRAAKLEKVLVQMVVEDSEECEDGGKGIVREMMPYEVDSIILKLLRQWIEERLKKGKESLCRAKETETWNPKSKSEPYAQSAVELMKSARETANEFFEIPIGITDDLVLDLAEGLEQLFQEYTTFVASCGSKQSYLPTLPPLTRCNRDSKFFKLWKKATPCSVGVEGMHRIMTIEGHHPRPSTSRGTQRLYIRLNTLHYLISNLHSLDKTLTLSPRVSTRNRFSSSRRHHGASTSYFEHVNGAIQSACDHVSEVAAYRLIFLDSNSVFYESLYVGDVTNARIRPAIRILKQNLTLLTAILTDRAQALAMKEVMKSAFEAFLMVLLAGGPSRIFHRSDHEMIEEDFDSLKRVFCTCGEGLISEDVVQREAEAVEGVITLMGQCAEQLMEDFSIITCETSGIGLIGTGQKLPMPPTTGRWNRADPNTILRVLCHRNDRAANLFLKKSFQLAKRK >EOY04891 pep chromosome:Theobroma_cacao_20110822:4:26351586:26353067:1 gene:TCM_020047 transcript:EOY04891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enzyme binding,tetrapyrrole binding MTTNSLRYLHHPQYSSLKRLSTSESIPPPPSSLFIRPSSSTNNLSSTTTFSLSSTTSSTSSTTSTSQPISFDALQHHLSTQNFRQADEETRRLLIVLAGEAAEKRGYVFFSEVQFISEADLIAIDDLWKQYSSNRFGYSVQKRLWQKVDKDFTKFFLKVGWMKKLDTEVEQYNYRAFPNEFMWELNDETPEGHLPLTNALRGTQLLNSILSHPAFEGQEDDEEEGEVGGEDGAVKGLRDGAKPLSNKFFKPDYSF >EOY03887 pep chromosome:Theobroma_cacao_20110822:4:20199723:20200239:-1 gene:TCM_019103 transcript:EOY03887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREMGPVNTTSSIKLSTSSPLPYLFGSLALVLILIALALVMLACSYRKRSANSSSSQVQLKQEMAMNTVLDSEPKIVVIMAGDDKPTFLAKPVSSSICCCEQV >EOY05559 pep chromosome:Theobroma_cacao_20110822:4:29005133:29008347:1 gene:TCM_020532 transcript:EOY05559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum induced protein with YGL and LRDR motifs MLGVFSSSIMSPPDELVAAGCRTPSPKITADALVKRFLETNSSAVSMQIGDHAQFAYSHHNESALQPRSFAVKDEIFCLFEGALDNLGSLKQQYGLAKSANEVILVIEAYKALRDRAPYPPNHVVGHLSGSFAFVVFDKSTSTLFVASDQFGKVPLYWGITADGYVAFANNAELLKGACGKSLASFPQGCFFSTAIGELRSYENPKNKITAVPAKEEEIWGATFKVEGPAVLAGTE >EOY03690 pep chromosome:Theobroma_cacao_20110822:4:17835912:17856733:1 gene:TCM_018777 transcript:EOY03690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPIETPQGSCINFRTSLMSNSYQISKFKGLQIICKSEHNILFSSSQGHFRHFIFFLKISKLAKNVVGNKLDLKSTLSLSKTYIHLVLKSYYLTLMLKSYTEFIKKIVNLFLLLTFN >EOY03718 pep chromosome:Theobroma_cacao_20110822:4:18355013:18362377:1 gene:TCM_018835 transcript:EOY03718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein MGFDQKKKGEIYLAFVLMIILLNTNSCRAALLVKSNTTYQRNGRLDECRIAQDLELELDLPISSNVIRILQGGSGTVTGGTPSRNRPAQNNCPTAYGNCIANGGNADCRNLYSCGRLQQGLIPTGNWAKQFAFFITSEQPPYIPTDNITLNCKALSDSHGSDGRFWAGDKSSKFGPFESSRDSPSAPYEAANQGGFVKTVPYMNARVSSSEFKYNFPVSPSQKFVGRHFYPASYKKLNLSKAFFSVKAGSFTLLKNFSAFLVAESSNVKSFFREFCLNVEENQGLELIFSPTPSSSNDTYAFINGIEIVSMPPNLYYTPSDLLKGDRFIGQKIGFYVDNYTALETVYRLNVGGKSISQTEDIGMFRLWSDY >EOY04809 pep chromosome:Theobroma_cacao_20110822:4:26014500:26022457:1 gene:TCM_019984 transcript:EOY04809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF936) [Source:Projected from Arabidopsis thaliana (AT1G08760) TAIR;Acc:AT1G08760] MANLVPGVLLKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGGELFPNQGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFIHVDRLESSSPVPIIRGVRPVPGRHPCVGSPEDIVATHSLGFLNNGIKSALGSKAGEKVKSPSKAALGSGHGGEKEKSVGSRSNGGAKEDQLDKKATSLTRSKSQLTKAALSLDMKKETLGKFKALNSRSIPSSPTSCYSLPTSFEKFATGIKQQAEIKGMRKGSPKVGSMEKASSLHGVSPTGKKVPVMKTLVHGIELGAKALRKSWEGNMDVKGRDHSKLRAAKHDPKRESRSTSAPRKSTSSEKLPSKEENKFQTSTRSLKEETKSQVSTKKVMASGMLDDQEKPNKPRTYVGKKSGELTNNAALGNLVKVPINSKRLTDGSVSWVSLPSSLSRLGKEVMKHRDAAQTAAIEALQEAAASESLLRCLSLYSDLTTSAKEDNPQPAVDQFLTLHASLNNARMIADSLSKTIPVGSSPESEGSPSEEAVKVASDRRRYAVSWVQAALATNLSSFSVFTKEPNSMLSHASASVQSQKTIPANQNILVLENSAKNASAKAQGKTRPVVISKLVAQGVLRKAGDGSGLGPKAPVQPPPEWTRGNGLDEAADLAEMLRMESQDWFLGFVEKFLDADVDTSALSDNGQIAGMLTQLKSVNDWLDEISSSKDEEETPNVSLETIDRLRKKIYEYLLTHVESAAAALGGGSQPSPCTRTVETKAKK >EOY04166 pep chromosome:Theobroma_cacao_20110822:4:22379530:22381328:1 gene:TCM_019427 transcript:EOY04166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTTILLIKSVNFNESYMVLKQAPKTWFVTYNSIITQFGFNSNPYDYALFIHHTNHGIVFLRLYMDDMIITSHDKTCIVEFTHTYIKSCPNR >EOY04735 pep chromosome:Theobroma_cacao_20110822:4:25738434:25738972:1 gene:TCM_019922 transcript:EOY04735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRRTMPEPLINPQECTCPFTSTKRLRASRPWIYCWSFGALNGIDQPNCFIEVG >EOY05650 pep chromosome:Theobroma_cacao_20110822:4:29301941:29303221:1 gene:TCM_020590 transcript:EOY05650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I subunit D-2 MAMATQTSLFTPATLSAPKSSDRVAVPWKQASFVTLPSFKSQKFAPRTIKAEAAEEKAETATKEAPVGFTPPELDPSTPSPIFAGSTGGLLRKAQVEEFYVITWDSPKEQIFEMPTGGAAIMRQGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGLNFRNIGKNVNPIEVKFTGKQVYDL >EOY03218 pep chromosome:Theobroma_cacao_20110822:4:8340705:9011111:1 gene:TCM_017880 transcript:EOY03218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYITVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWVEVGERKLLGPELVQDATEKIRMIXXRMLTAQSRQKSYADNRRRDLEFQVGDHVFLKVSPTKGIMRFGKKGKLSPRYIGPFEILEKVGAVAYRLALPPDLSNIHPVFHVSMLRKYNPDPSHVIRYETIQLQDDLTYEEQPVAILDRQVKKLRSKDVASVKVLWRNHTSEEVTWEAEDEMRTK >EOY03287 pep chromosome:Theobroma_cacao_20110822:4:11015678:11021554:-1 gene:TCM_018121 transcript:EOY03287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVNRDVAAVVMGPREVPGRDKNCLTPIRADFPIVAEGQSINKPPLFDGSNYPYWSTRMSIYIRAIDYEMWDVIIDEPFNPSTLNVVSNEMIHKPSLPKNWKTKVTTIHEAKDLNVITLDEFCGSLLTHELELKEKEEEDKREAKEKKKSIALKENILEEELDSLSCDDDEEMAMVAKRLKKLMSQRNWRLARRGYRREQGSSWKTKNKNESNKKEEFICFECKELGHFRLECPLLKEETPKKNRKLNKVMVAATWLDSDTSSSDDEEEMWKKKLIFV >EOY05461 pep chromosome:Theobroma_cacao_20110822:4:28633939:28643989:1 gene:TCM_046766 transcript:EOY05461 gene_biotype:protein_coding transcript_biotype:protein_coding description:BLISTER-like protein MASAQVLPSSRKQEHLEAGKRRLEEFRKKKAAERAKKAASTTQTQASDISLNDKHQLETENVRITDSNCAGTSDGPDPSSVNIINNNNNNNKTTEVSLESQRAYSNDTLAIPSFLANDYNSSSTEVHMHANSQENEKYGASWNGGPLFNNSVQIKHMSNDFQEPKSKEDDGSMKFSTVVNPNSSEDSVTKISPQSSLQSKASEGSLLDSTESTSGATKSVPEVGQNFQGSAEFREPMIYDFGERKFSSSASGFPNVHAPTMQTSGSSEFTFDARSSSSYMPLHSVTNDSRSRRSRPSFLDSLNVSRASSGSHFQHNEPTKSAIASHSSQLSSISALGSSPFEKPSTEGETMGTFSKLGYPDFPGANEYSGKFSVPASSNGDLLQLNVTNVNTLDKKHEFYSTKQNEDFAALEQHIEDLTQEKFSLQRALEASRTLAESLAAENSSLTDSYNQQRSVVNQLKSDMEKLQEEIKAQLAELDSFKMEYANARLECNAADERANILASEVIGLEEKALRLRSNELKLERQLENSQAEISSFKKKLSSLEKERQDFQSTIEALQEEKKVLQSKLRKASASGKSIDVIKTPASKKDMSTSTEDLASTDTTSDDREMNNTNDASSLSLLLEDGQFEASSVYIPPDQIRMIGNINSLISELTLEKEELTQALSSELSQSSKLKELNMELSRKLEAQTQRLELLTAQNMASEHMPARQPEFRIMHDNTPYADEGDEVVERVLGWIMKLFPGGPARRRINKRISY >EOY05025 pep chromosome:Theobroma_cacao_20110822:4:26878725:26880951:-1 gene:TCM_020136 transcript:EOY05025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein MLLSVSCQKDSLLSANFLTSASPSCFPLRKARKNYQTICNKPRNFSISVSCSSIKIVRSPSLDKHVVKQNKIRFIQKLKTLLLSKPKHFIPIHILSKCRSYLSIHKPRSILSMIHRYPTIFELFTIPMPPTPLNATKSGYQLCVRLTPAAQSLAMQELNLKSAMSGFLANKLQKLLMLSSHRRILLSKLVHLGPHLGLSPNFRSRLCNDHPDKFKIVDTSYGRALELVSWDPELAVPLKFPQVNRGLIVDRPLKFKQLRLRRGLNLKRRHREFLMKFNELPDVCPYNTSAEDFAKPSIEAEKRACGVVREVLGMMVEKRTLIDHLTHFRKEFGLPNKLRAMIVRHPELFYVSLKGLRDSVFLVEGFDDKGVLLEKDESMVIRDQLMALVAEGKRMRRERRKASINTNIVGDYDNNENDEDAYYDDGYDDGFENLFDSEDSDLDYDSDDDNNESNELSFNGGNGEFWTADVSLASYKNSGDEGGDSEPW >EOY04538 pep chromosome:Theobroma_cacao_20110822:4:24923976:24941583:-1 gene:TCM_019774 transcript:EOY04538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPIIDTTGRVCRQVEWINKWTTFETSSSLPITCDESPRKEWVTWFPLGRTIISVTLLWSPNRLAWLLMLLRDILIFLIFLAIWRRNAIELKEEKT >EOY02890 pep chromosome:Theobroma_cacao_20110822:4:2829437:2834103:1 gene:TCM_017290 transcript:EOY02890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLPQVSSDDSAEEGEAASVGAFLQSPPRFAGVSTCDLDGMQRGSLSQSIGDSLCSSLGDFQRKTSLELSKFSDDTIRIEGKMNASSNARGLKIGSANVVGSFATPRSEQKARNPVSRIVGFESHGTSKVVEGVSSDHVQSSAIGVRVNETESSGSLVRKRLLSPLNSMLFSDQFNGDPLDISYSRTQINSSSLAHKHSDSVSQDNKKANIGSKMNFTTSSWSLSSCLEHRNISNDKAGTASIFFTDGPLLENKEPHLSRNFLSSPGFDQFRESTKVRSQSGAITVSPQLATSPPVSLSPLGPKFSERMTAAEGYGNLKSDIDDCYSTLRNIEQTVERFDSGIMFAPEEEEFEITSRSFEDIGFLHKEFCPSSLESAAGLSWPLYQESAPTSPCMRFIKGLSGLPVRRSLVGSFEESLLSGRFFSGKLSQRIDGFLAVLSITGGTFSPQAQKLPFSVTSVDGDCILLYYASIDLSRNSLSNKCRDQKLVRGLSNDGSHPVRSRLRIPMKGRIQLVLSNPEKTPLHTFLCNYDLSDMPAGTKTFLRQKVTLASSVPNSAELKRGQISSDSKVQDKVRSTSQKNSPLCYNRDGMDSSEVKGSEGSDLPESIQMTGCNNDQCKDYTCIDAFHETDRKHSHGCAKINENTNGAGSLRYALHLRFLCPSPKKCSKSFQRCKSDPGSVPQKVGSDKDGDRRFYLYNDLRVVFPQRHSDADEGKLNVEYHYPEDPRYFHISN >EOY06357 pep chromosome:Theobroma_cacao_20110822:4:31660207:31661322:1 gene:TCM_021106 transcript:EOY06357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELFKLGTVFSFHVHVCDGSWHVFLPKLNLVSVLRDMHGGVGVGSENVIEISYSMVSGLPKMAFAVSVSHFLEKTLHLAPS >EOY03230 pep chromosome:Theobroma_cacao_20110822:4:8642116:8660095:-1 gene:TCM_017907 transcript:EOY03230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHWCYVLQMEFRKYDSLETLLIVSREKWAFKVSINTHYVYPQGYFCIGLMQNLMVRQITKTESMDHELWFAIGKSKGRGIDTLPKCIHVHHLYMREQGALDKPMELPRGSTSRCHGRSRGMRCTRNAKPSYMYADDEDLGFGDDYLTRGGVTLEDLLIGLQSLAHEFVEFCDRSEAQFEDWVNSSLQDSDYVPQSEH >EOY04531 pep chromosome:Theobroma_cacao_20110822:4:24906702:24907691:-1 gene:TCM_019769 transcript:EOY04531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNPMAALGGILPLPAPANAYTPPTNPIVVIGEQFLAPYPVELKIQQKIFTLAENNFDVTDVNGSLIFKVKGKLFSIRDRRILLDAVGNPLVSLKQKSGAFNFVGDCMPGVLYGRVVSKVGVSKAVIVANNPFLFGLGARQHPEIE >EOY05414 pep chromosome:Theobroma_cacao_20110822:4:28504779:28510488:-1 gene:TCM_020423 transcript:EOY05414 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase, putative MEHLHFLLLVFTIFQTRLYLGMSKMGCLEGARADKEALLSFKFQVTVPQNALSGWTRNSSHCTWYGVSCSSKGSRVQSLHLSWLGLGGTLGPQLSNFTFLHTLNLSHNLFHGQIQLEFSRLQLLQQIDLKNNFINGTIPAILSTCHNLETLRLEENRFSGKLPPELGSLQKLKTLSVSINNLTGSIPRTFGNLSSLTSLNLARNQSLAEIPSELGCLRNLQYFQLSGNHLTGEIPSSVYNVSSLFFLSVTQNNLGGKLPNDIVQAPPNLRQLYLAQNSFEGIVPGSISNASGIEYLDLSMNRFHGPVPLFGNMKKLIWLNLGSNFLSSTTALNFQLIDYLTSFANLSSNLQHFCIANNLLTGSFPQGFDKFHNLISLSIEQNAFTGEIPKNIAKLKKLQSFSVFQNMLSGEIPDIFGNLTQPSDDQMDNNKLSGKIPNSLGFCQQIETLNLTSNRLNGSIPNEIFRVSSLTNLNLSHNKLWGPLPSEVGNLKQLQVVDVSDNQLSGNLAASIGGCVTLQLLNLSKNNISGGIPGSVGKLTSLEVLDLSFNKFSGPIPRDLENLQYLKKLNLSFNHLEGEVPKGKVFLNLSLTSVQGNNGLCSGDQEIARYLELPQCKTRAIKRYHLLKILVSAAGVTLFLCLIFCFLWALRPGKKKNKVDKDGEPSPSLKGLLPMISYSDIRLATSNFAAENLIGKGGFGSVYKGSFITSDNGANTSNITLAVKALDLQQSKALQSFFAECETLRNIRHRNLMKIITSCFSIDHKGDQFKALLMEFMPSGNLDRWLYPEDMESGLSLSSLQRLNIAIDVASAMEYLHNDCEPPIVHCDLKPANVLLDENMAAHVGDFGLARFLPQHPSQEESSTIGVKGSIGYIAPEYGLGGKSSTSGDVYSFGIPLLEMFIAKRPTDGMFKEGLSLNQFESAVDSDQAFEIADPRLFKDF >EOY05608 pep chromosome:Theobroma_cacao_20110822:4:29143233:29146991:-1 gene:TCM_020567 transcript:EOY05608 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAN GTPase activating protein 2 MDSTTNSQRRPFSIKLWPPSESTRQVLVQRLTNNLTSNSIFTQKYGALNKEEAEENAEKIEDIAFNTANEQYDREPDGDGGAAVQLYAKECSKLLLEVLKRGPAAKEEKELASDKDTSSETFFDISKEQRAFIEAEEAENLLRPLKEPGNCYTKICFSNRSFGLGAARVAEPILVSLKNQLKEADLSDFIAGRPEAEALEVMKIFSAALEGSVLKCLNLSNNALGEKGVRAFGALLQSQSCLEELYLMNDGISEEAARAICELIPSTEKLKVLHFHNNMTGDAGALAISEVVKRSPVLEDFRCSSTRVGSEGGVALSEALESCTHLKKLDLRDNMFGVEGGVALSKALPKHVDLVEIYLSYLNLEDEGAIAVANALKESAPSLEVLEMAGNDVTADAAPSIAACIAAKQHLTKLNLAENELKDEGTIQVSKALEEGHTLLKEVVMSSNFIRRAGARHLAQVVIQKPGFMLLNINENIISDDGIDEVKEIFKKSPDMLGSLDENDPEGGDDDEESGEGEANEDELESKMKNLEVSQEE >EOY04408 pep chromosome:Theobroma_cacao_20110822:4:24224983:24227402:-1 gene:TCM_019671 transcript:EOY04408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFGSVPLTWALAFAPAPPPPAFLLLSVDKTLRYNLPNRCSFQPENSHRTNKIPEQASLVVDGVAFVIEKGEEEIHSFPESITQLTILHVLNLV >EOY03838 pep chromosome:Theobroma_cacao_20110822:4:19745975:19750010:-1 gene:TCM_019029 transcript:EOY03838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein MDAVGESSESGSGVSSSQLMKNGTFRDEESCPKQVSPIRGGGSRNTSPLGRVGSRNTSPSRQKVIKTKPRGLDEETVATFGKAVHPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCKRWNSILQDNSFLKFHSQVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYRIPFNFLPQWAFWLVGSSGGLVCFSGLDGLTFKTLVCNPLTQTWRTLPSMNYNQQRQLIMVVDRTDKSFKVIATSDIYGDKSLPTEVYDSKIDKWTVHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDSGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHAKIMWVEISRMPPKYFRTLLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKVWSWIAGCALQSYNSQVCFYEPRFDASVH >EOY02241 pep chromosome:Theobroma_cacao_20110822:4:15245:18588:1 gene:TCM_016765 transcript:EOY02241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFPLSQALTADEPPRRRNWSLASQNPMLNVPRKIQLLSVIDLQHKEGRAQSTLVRLQKKILLRLSSSPRVAVHPCSSCRGNVETRDIPPSMHMETKKLKEKATTWTILVREMSFP >EOY03996 pep chromosome:Theobroma_cacao_20110822:4:21185062:21185484:1 gene:TCM_019233 transcript:EOY03996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGVGIAICVQCGTASNPCRCKMVGPTLGFLAFAAAAIVEWPVGTLVYCFKHMKGRRMMAHPATVVYPSVTNAIPI >EOY06722 pep chromosome:Theobroma_cacao_20110822:4:32762632:32766778:-1 gene:TCM_021364 transcript:EOY06722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MLRFFYNCTLFPPSLPSISCQQYNAKKSYVFIEEAIPEFDWNNYCESTVSVPMIEKAVHGALSDGFAGALQQGFELTWQQPDVACRSCEATGGLCGYMISSNDLHKNFFCHCHDGKHSINNHDNGKDLIKFGPNHTAIGALLFGSLIMAATVFYLIQKKSVDLCKPVSQ >EOY03611 pep chromosome:Theobroma_cacao_20110822:4:17400550:17402676:1 gene:TCM_018710 transcript:EOY03611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein-related, putative MASLHHHHHHATTCCSTCSCSQSCHLPPPLPQQSDPLLQALASLLLQTQLPPQAPQPEQNHCLNQTHFLKIFQDQSSASKKHHFHPKQHHQQEPNFLLSSLLSRINALESSLHRFSNTFHSYPSYSLKDAAARVIQTHFRAFLVHRSRTLRQLKDLAFIKSSLNSLKLSISNKTHFDYEAVCQKAMDLLLKLDSFQGGDPMIRDGKRSVRRDLVRFLEYIDGLALKRHKHLYKYAKNVTVSGNGSKARVLRSNSGETMKKLRKRVEKLARFSGNEEGDEDDDVELEGFHQAIDEGENPRISTNGKVGVCITQNRNGGVLVKRQGSQPRVKKTVSFAENGNVYRIISSGNEVSSCGDGSLTDESVSSDDHGEIVENLCKESGVLAENEEEVNLDNEESLQSSDAEKNTRKNYMIRQARSEIEGDYQIQDGDFVFSAPLPVKMESKADLMKKMKGTLKIVS >EOY06105 pep chromosome:Theobroma_cacao_20110822:4:30919429:30922213:-1 gene:TCM_020932 transcript:EOY06105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein MASLSSILQTPTSIVSSSSTSNSLNLQQKGFPFLVKVDRRPERKRSFVLKSALDEVNVLDPPPPPSENAKSELIASLKLKLLSIVSGLNRGLAASEDDIQKADAASKELEAVAGPVDLSSDLDKLQGRWKLIYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVLSKDFDNIVELELGAPWPLPPLEVTATLAHKFELLGSANIKITFEKTSVKTKGNLSQLPPLELPRIPDALRPPSNTGSGEFEVTFVDSDTRITRGDRGELRVFVIS >EOY06562 pep chromosome:Theobroma_cacao_20110822:4:32289898:32301480:-1 gene:TCM_021242 transcript:EOY06562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug and toxin extrusion protein 1 MVKAMESHQKCPTMPEVMEELKRITDIGFPIAAMSLVGYLRNMILVVCMGRLGSLELAGGSLAIGFTNISGYSVLSGLATGMESLCSQAFGSRNLTVASLTLQRTILMLLLTSIPIGLIWFNLEPLMLSINQNPDITKVASLYCRYAIPDLIANSLLHPLRIYLRSKGTTWPLMWSTLFSTICHLPITILLSFTLRLGVPGIAISTFITNFNTLFFLLCYMFYTRSSTRTPEENSSLRTPLSPPPLFPPSSAALLWEEWGVLLRLAIPSCIAVCLEWWWYEFMTILAGYLSNPRVALATSAIVIQTTSLMYTLPTALSASASTRVGNELGAGRPSRARLATVVAIGLALLTSFFGLLLTILGREAWGRIFTTDNEVLELTMIVLPIIGLCELANCPQTTSCGILRGSARPGIGATINFYSFYLVGAPLAIVLGFAWGLGFVGLCYGLLAAQIACVVSILTVVFKTDWERESLKAKDLVGKCNHFPHADQTVKCEEGVAFLKGMDPEK >EOY02521 pep chromosome:Theobroma_cacao_20110822:4:1196622:1198663:-1 gene:TCM_016977 transcript:EOY02521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MAQFESIFILAAIFFPLFLSYLYRNRKSPPITNWPIVGMLPGLLYSARRIFAFLNDCVRQSGGTCEIRGPWFPSLYFIITADHMNANHILCKNFDNYEKGSEFNEIFFDCLGEGIFNSNSHSWKSQRKVMLLLMKDDKFVLHFQRILREKLEKSLIIVLEHFMKLGIEVDLQDVLQRFSYDVVCMLAVGFDPSSLSVELSEVPIKTAFTQAEERLLYRHLMPVRLWKLQRWLQIGEEKKLSKALKTVDTFLYQCIASKREKLRCKFLAEEDKYDFVTAFMVQEEGEMTSVDGKSDKLLRDVAVNIMSAGKDTISASLSWFFWLLAMNPSVESKILQEMRANSPSINDEKNMFFSVEGLKRFVYLDAVLCETLRLYPAIPINHKTAIQPDILPSGHHIVRNTRVLINFYAMGRMEEIWGEDCLEFKPERWISERGDLVHVPSHKFIAFNAGPRTCIGRKISFVQMKLVAISVLWIIKFKWFKAIMLPQAMPWCFI >EOY02803 pep chromosome:Theobroma_cacao_20110822:4:2317826:2318828:-1 gene:TCM_017196 transcript:EOY02803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein, putative MEPTLHLASGILNLESSTATIFAPSESAFAQSGQSSLVQLQYHISSARFSAETLQTLPSGARIPTLSSNYSLIGTDDFFDPSFEILPCFPVEHDAYSFYREASFDVDLYDQASDLLMSRGYASMATFLGIQLGGFSPRTRLTIFASVDEAIEAGIRSFSD >EOY06699 pep chromosome:Theobroma_cacao_20110822:4:32696993:32697832:1 gene:TCM_021346 transcript:EOY06699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSWIVASHRKDILDCSDFVVAAVLVVEEEAEDNHNCMHMDRTAHMRVEGQVQNSRD >EOY03011 pep chromosome:Theobroma_cacao_20110822:4:3637163:3638362:1 gene:TCM_017423 transcript:EOY03011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTHFDNKQIDLVVGLTDSAPLLHQTTGYHLYNCLADKKKSNIDKENSSMGKGKKRNTILFFFWGKVLARLDSPTPSFKSNRKVAVTRLCMRAACFC >EOY05002 pep chromosome:Theobroma_cacao_20110822:4:26792136:26795482:1 gene:TCM_020125 transcript:EOY05002 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 94 MISTGFRFNPTDEELIEILIEKVSGNITMSFDFIVERNIYELEPQNLQWIQSVALNNNERYYYCKREGDSREVSGRGWWKATSHVKTISANGRVVGYKRPLTFHRFRDNERKRKGAIKTDWIMHEYALHSIPTDWRLCKIKYKGKERLEEDLENIRNSSCPMSLEAAGGCSSSINPMQLDQFAFKEQQLQPQPLLLPLTLINNDYENYFGSNSNSNTRFEISVGVQQQGMEPISLFDPSWMPLMATPSHIASQQHKQSAEPREAQSPFPDLWSSWENWHRPPLSSKY >EOY06245 pep chromosome:Theobroma_cacao_20110822:4:31418614:31420010:-1 gene:TCM_021044 transcript:EOY06245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLTAAVSLGPRSGASSAEQVAMAKEEIKEMLMELEEEGRLCLGQLPFRKPLIRCFNNRLSLLMIDVDQSIVKCFRNSRQDT >EOY04078 pep chromosome:Theobroma_cacao_20110822:4:21963093:21965274:1 gene:TCM_019350 transcript:EOY04078 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein, putative MDKALLQPEIQREERIILKKTLRSGTTNFVKELKKLSCMAAPMVTVSVSQYLLQVISLMMVGHIGELALSGVAIATSFTNVTGFSLLFGFAGAL >EOY04216 pep chromosome:Theobroma_cacao_20110822:4:22791834:22797522:-1 gene:TCM_019484 transcript:EOY04216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSLRYIFPAKWKQNRWKNFLKLYSTHQPQIISTNISINQFVKSGRFDMARNLFDEMPRRTVVSWNTMISGYSKWWKFNESLDLLSSMHRSHIRFNESTFSTALSVCGRLRSLTDGKQIHCVVIKSGCESYELVGSALLYFYANCFEIEEGKRVFDELHDKNELLWNLMIVGYVECSLMREALDVFMKMPKRDVVAWTTLISGYVKSEEDCGKALELFWWMRGSGEVAPNEFTLDSVIRACRRLGDLCEGRLIHGILIKYGFEFDQLIGGALIEFYCYCEATDDAKRVYDGITNPRLNASNSLIGGLISLGRTEDAEMIFNRLVEVNSVSYILMIKGYAAFGRAENSKRLFEEMTQRTIVSTNTMISVYSRSGEIDKAIKLFEETQGERNPVTWNSMMSGYIENEQYKEALKLYLTMCRLQIEQTRSTFSVLFHACSCLRSLHQGQLLHAHLIKTPFQSNAYVGTSLIDMYSKCGCITDAHKSFSSISSPNVAAWTALINGYAQHGLGSQAILLFEQMLEKEVVPNAATFVGILSACGRAGLVNEGMRLFRSMEKFYGVVPTLEHYACVVDLLGRAGHLQEAEDFIKKMPVEADGVVWGALLNSCWFWMDMEVGERVAEKMFSLNPKPISAYIILSNIYAVLGKWSKKMNVRKRLRDLEVKKDPGCSWIELENRLFVFSIGDRSHPYCNMIYTTLQHLTTNLNSFHINSF >EOY06049 pep chromosome:Theobroma_cacao_20110822:4:30769821:30770408:-1 gene:TCM_020895 transcript:EOY06049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Legumin B MTAQNAFYAPYWNVNAHSIVHITRGNGRFQIVRENGDTVFDDQVEEGQMIVVPQNFAVLKKAGIQGLDWNGLRC >EOY03522 pep chromosome:Theobroma_cacao_20110822:4:16731852:16735775:1 gene:TCM_018622 transcript:EOY03522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MMFVYSLGFYNLTPSSYTFFRLRIGSRLSITSRSKFRSCILESKITMPPRRERPLPTRSARRGRGRLRQGQPDLRGEESTVSPFRATLAAEPVEIPPPPTSIPTVSPEVIQAMAAFFTAMAGQAQTSQVPPVVPPVTPSVPLAHDVSISKKLKEARQLGCVSFVGELDATAAKDWINQVSETLSDMRLEDEMKLIVATRLLEKRARTWWNSVKSRSTILLTWSDFLREFDSQYYTHFHQKEKKREFLSLKQGNLTVEEYETQFNELLSYVPDLVRTEQDQADYFEEGLRNEIRERMTVTGREPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTNGLKG >EOY06077 pep chromosome:Theobroma_cacao_20110822:4:30864104:30865959:1 gene:TCM_020917 transcript:EOY06077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWTLSSRNFENGGFNQNLPAPLGIIQRPCVLCDEVPYDFDVLINHYVSRMLAYEGLPVSIHHNGRNRPFIPFVQSFIFPPPCLIPSSFQLRNYLLAQNWAHPRNYALRHHLTSQSRVRALENYALHVVPFVALVRIRSSSVIDPQWWLHNAIMENLQRENQLRALLIQRRRYHNLRRRNLNQREENQILANGIMLAPLAQQRDNRNGNLVDGIVLALPTQQRDNRNPIDGIVLAHPTLVNQQFVQDVIDLTVDEDNGNTDRSGDDLNLDLNL >EOY04177 pep chromosome:Theobroma_cacao_20110822:4:22427782:22432460:1 gene:TCM_019440 transcript:EOY04177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase, type I MAKANADKLRRLRAWVQNYDWGRCGAEAQVARLLALNCGAEVEPERPYAEFWMGTHDSGPSFLADEEGQEEENVGLKEWIGKNPNVLGHKVLEKWGSDLPFLFKVLSVAKALSIQAHPDKELAKGLHKLQPNLYKDGNHKPEMALAITEFRALCGFITLEELKGVLEDVPEIVELVGTASANQVLYIDEKDGAEKVKSALRSVFTQLMSASKEMTTKAISKLKSRLHMKSQLRCLTEKEQLVLHLEKQYPGDIGVISAFFFNYVKLNPGEALYLGANEPHAYLSGECIECMATSDNVVRAGLTPKHRDIQTLCSMLTYKQGYPEILKGFPLSPYITRYLPPFDEFEVDRCILPKGASTVFPAIPGPSIFLAFVGEGTLHTGSWNDIVTEGDVLFAPANTEITISTASELQLYRGGVNSRFLHAL >EOY04788 pep chromosome:Theobroma_cacao_20110822:4:25917567:25923167:1 gene:TCM_019963 transcript:EOY04788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MEIPQGYAVQGECPKGSKLVCKLYKSLYGLKQASRRWNAKLTASLLQFGFTQSSTNHSLFTMKTPIGNFVALLVYVDDMLIGSTFINAASEVKEFLNSKFKLKDLGDVKYFLGLEIIDIPKEISISQRKYTLDLLEEQGTLGAQPTSTPIDYNHKLQKAQDGEELLKDPTCYRQFIDKLLYLTFSKPDISYAVQTLSQFMDRPSQMHLMAAHRILKYLKNAVGQGILMKRISNLKITAYSDSDWAGCP >EOY03125 pep chromosome:Theobroma_cacao_20110822:4:5592164:5597673:-1 gene:TCM_017638 transcript:EOY03125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVANRWKEITLKAKPLAENKSCECQSKGQRIRILTVGISKSILKGVASNHYRGKRVPFDNLTINKFYNISEIENDGYAQYVDGNVNLDELIMVLYKQAGVQWSSEEELLHPKAPLDPNIIHRLYEHSTVGGSSTFALRPPPRLTKLTILQRLESLQRKAVHQEECLQVMEQMLRACTLYMSIDMTTFPYLPKDPMTDEEKEEVILSHFGC >EOY06755 pep chromosome:Theobroma_cacao_20110822:4:32845338:32846221:1 gene:TCM_021387 transcript:EOY06755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-binding protein 1 MCPSERNLSRQEKTSSVSDFRSAFEVLDADRDGKISREDLRRFYAGFSNGTGFDDDEIIGTMISLADSNKDGFVEYEEFERVLGGCGKRSSSASAAGGFGVMEDVFKVMDKDGDGRLSHEDLKSYMNWAGFSASDEDIKAMIRLGGGDENEGVSFDGLLKILAVDFAG >EOY05849 pep chromosome:Theobroma_cacao_20110822:4:30024721:30027374:-1 gene:TCM_020748 transcript:EOY05849 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase MMNGQNFVQALPETRASSFSLSVKRKYVKLGYHYLVSKALYLMVIPLFCIILTHLSTITVEDFIQLHENLRLNCVTVWLLSISIAFLATLYFMCRPRNVYLVNFACYKPERAQMVSKELFMQLSAKNERFTEESLIFQKKILERSGFGQTTYAPKALIQVPANRTMAEARKETETVMFGAIDELLAKTGVKPRDIGILVVNSSLFNPTPSLSAAIVNHYKLRGNILSYNLGGMGCSAGLISIDLANQLLQVHHNSYALVVSTENLTYNWYFGNNRPMLVTNCLFRVGGAAILLSNRSYDRRRSKYELVHTLLTHKGQDDKSYNCILQQEDEANEVGVSLSKDLTAVAGEALKANITGLGPLVLPLSEQLLFFANLVARKVLKMKIKPYIPDFKLAFKHFCIHAGGRGLLDELEKSLDLTQWHMEPSRMTLYRFGNTSSSSLWYELGYSEAKGRIKKRDRVWQIGLGSGFKCNSAVWRAIRTVNPTVEKNPWMDEIDKFPVQVPQVASVVY >EOY05578 pep chromosome:Theobroma_cacao_20110822:4:29064306:29065711:1 gene:TCM_020548 transcript:EOY05578 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MASSGQKFLLKKQETQPGKEHVMKPLPQAINPEYKPSNKPRGKVALVTGGDSGIGRAVCYYFALEGATVAFTYVKGQEEKDKDDTLLMLQEAKTSDAKQPLAIAADIGYDENCKRVIDLKKLLSPSLTGCSEPTLALSSSCVGDPTVLDYCATKVAIVAFTSGLVLQLVKKGIRVNGVAPGPAWTPLPSASLPEEMINNFGSEVPMGRAAQPYEIAPCYVFLASPQCSSYFSGQFLHPNGGMVINT >EOY20483 pep supercontig:Theobroma_cacao_20110822:scaffold_382:2114:3380:1 gene:TCM_046346 transcript:EOY20483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene synthase 1-like protein MGSLGTLVKHPDDLYPLLKLKMAVRQAGKQIPPEPHWAFCFSMLHKVSRSFALVIQQLHPKLRNAFLNQRMGSLGAVLKLPNDLYPLLKLKNMAARYAGKHILILEPRRSFCFSSSMIHKFSRCAILASELAKHPNEFYPLLKLKMAARHAGKHVQSAEHNWVFCFSILFNISSFAILAPLLDYELKKFVSIFSPKNRKKMKRNV >EOY20530 pep supercontig:Theobroma_cacao_20110822:scaffold_101:2058:21251:-1 gene:TCM_046196 transcript:EOY20530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase 21, putative MSSKGECHVLRPVANFSKNIWRDQFPSLPSSDSVFDSLTKEIIPLKEKVKEMLKASTADPMENVKFIDTLLRLGVSYHFENDIEMQLERIFNSQENLFHKNDYDLNSTSIAFRVSRLHGFKMSCGVFEKFKDGSVGKFKESLVDDIRGMLSLYEAAYLRVHGELILEEAIAFTKAKLKPLAAKSSPHLAKQIMNALDNPLNKRPPRLEARNYISFYEEEESRNETLLTFAKLDFNRVQVLHRQEISQIARFWEDYDFSSELSYARERYVEVYTWINSLYFEPRYTRWRIILTKMLVLISILDDTFDAYGTPQELQRFMDALKRWEISALDELQDYTKVICKAVLDLFDEIEDEARKEGRSYTVPYAKDAFIGLINNYQAEVKWCHDGYVPTFEEYMRVAMKTSTYDPIITTLFIGMGTIAGLEAFEWLQTEPRIMTAANMIGRIMDDIAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVECYMKQHNLSEKDALHDLKKKLEDAWKDINEECMRPTAIPRDLLLRILNFARITYLFYKHGDGYTDPDPYMKDDIRALFVDPVRM >EOY20485 pep supercontig:Theobroma_cacao_20110822:scaffold_39:41790:42631:-1 gene:TCM_046102 transcript:EOY20485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSKYTLALVLVIVLVHTLPTFQLSAIPTQPREEHILMFKPSDTNAIIEVDGIIKAVWDAGFKIMSLILQNHLRTVVPFGGTYPAGCRLCYATDSVTIYNNNTITIFAPVDESFSDDENWKVLEYQFVTARVDKEGFDSGSVQRGVELLTCDSYCKVLVNGYGSINNVNITHWNIYNDGHIIVHGVQNFFNCNFWKSSKNI >EOY20484 pep supercontig:Theobroma_cacao_20110822:scaffold_39:39917:40331:-1 gene:TCM_046101 transcript:EOY20484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVDSGDFSNCLMLPSFDPQWPMLMANYADEIPTINHVRITNWNIYNDGNVIVHGVEKNFNPWVAADMVFITCSYVRHYFSENRG >EOY20509 pep supercontig:Theobroma_cacao_20110822:scaffold_58:55494:56671:-1 gene:TCM_046137 transcript:EOY20509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFKGIGLSGAYEIKWLNYKNVLIKLSNEQDFNRIWAQQNXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQVWIVVQNRETGAVIRGYSQCVEFLRMPDYCSHYCHVGHSESVCLVMGNKPVKLGNNNAPPFGQSKLANKGTRVQIRELTTVLNDQNPSNEEPMSKIDKRKSIVLEEPPKQGKEWQVVRQSGKSGTKNSKGVKITLEESKDVVDQMKDWGRVSSSDCPALKVNEATV >EOY20494 pep supercontig:Theobroma_cacao_20110822:scaffold_43:41006:50360:1 gene:TCM_046110 transcript:EOY20494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVHNHIGRWALKWHALHRNFYSEAIICFDDLTLSQLLGPSFSSSAGNFSRPQSKVTSMLQWLARTHTFLLHTTLPAALNLLAAWQQAKVLP >EOY20491 pep supercontig:Theobroma_cacao_20110822:scaffold_43:10236:38869:1 gene:TCM_046106 transcript:EOY20491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative isoform 2 MEAHNICSSAIVAQVLNGASNYENWKACVQNYLLVRDLWDVVEQTSEPPQQEEGDGADFKAWRKRNLSALHALQISCDPIMLSHIRNMTTAKDAWNTLAQVCQLPMPHQAPQVPQDAQQITEDAPQIPGLSLSLALINCLIVVELEKSTWKNVSRTRILELLKAIKERGLEPAKSLLTSQAHLATAAICNSSFTTFHFTIFKGQLDMIDEFLSTMSEEDLKLQDGTGRTILHRASIYTKNSKIAQSLIRKNGELLTVQDYKGEIPLNYACWIGHKDMTHYLCNMTTREFLLSPENERQAALFVKDCINNKWFDVALDLLRHRPQLAFAQERNGVNAALALSCQPSGFLSSSGFSFWQRWTYSCLKVKQPKAWFSEHDVRINTHELQDQKEMKNFTTQVAGQLLGLGSNLLKFFGIKQIYDLKLAHVYAHELLLLMSKTIATFEMEQCYQGLVIPAIINAAQRGMMEFIVEIIKHNLDLLIISDVDDRSIFHIAIAHRQEKVFSLIYGLDTIKYIFLSFTDVNNNNMLHLAGKLSPQSQLKLQQISGAALQMQRELQWFKEVESIIPAAYKEHRNSEGETPYEAFNRSHAELLKQGEKWMKDIAQSSTVVGTLIITIMFASLFTVPGGLNQNTGVPLLLTKKFFKLFIISDAISLFASTTSVLMFVGILTSRYTADDFLKSLPNKLIIGLSSLFISIATMMVAFSSTVIIMLQGQLEIVIPIVLLAGIPIGLFVWLQFPLLVKISISTYGPGIFDRKMEKWV >EOY20492 pep supercontig:Theobroma_cacao_20110822:scaffold_43:13436:38867:1 gene:TCM_046106 transcript:EOY20492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative isoform 2 MELLKAIKERGLEPAKSLLTSQAHLATAAICNSSFTTFHFTIFKGQLDMIDEFLSTMSEEDLKLQDGTGRTILHRASIYTKNSKIAQSLIRKNGELLTVQDYKGEIPLNYACWIGHKDMTHYLCNMTTREFLLSPENERQAALFVKDCINNKWFDVALDLLRHRPQLAFAQERNGVNAALALSCQPSGFLSSSGFSFWQRWTYSFAGQLLGLGSNLLKFFGIKQIYDLKLAHVYAHELLLLMSKTIATFEMEQCYQGLVIPAIINAAQRGMMEFIVEIIKHNLDLLIISDVDDRSIFHIAIAHRQEKVFSLIYGLDTIKYIFLSFTDVNNNNMLHLAGKLSPQSQLKLQQISGAALQMQRELQWFKEVESIIPAAYKEHRNSEGETPYEAFNRSHAELLKQGEKWMKDIAQSSTVVGTLIITIMFASLFTVPGGLNQNTGVPLLLTKKFFKLFIISDAISLFASTTSVLMFVGILTSRYTADDFLKSLPNKLIIGLSSLFISIATMMVAFSSTVIIMLQGQLEIVIPIVLLAGIPIGLFVWLQFPLLVKISISTYGPGIFDRKMEKWV >EOY20497 pep supercontig:Theobroma_cacao_20110822:scaffold_43:57573:60731:-1 gene:TCM_046113 transcript:EOY20497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MTHYLYNMTTREVLLSPENECQAALFVCQCINNKWFDVALDLLRRRPELVFAKSRSRRNAVSVLSCQPSAFPSGSRFSFWQRWIYSCLKVKGPKPLSSEDDVHINIYEPQDQKKKKNFMTQGIEQIYDLKATHIYAHELLLLMSKTIAASDVAQFDQSSVHQAMLNAAQRGVTEFIVEVIKPNIDLLMVLDEERRNIFQIAVAHRQEKVFSLIYGLDAIKYPLIAYTDRDYNNMLHLAGQLSPQSQVKLQQISGAALQMQRELQWFK >EOY20493 pep supercontig:Theobroma_cacao_20110822:scaffold_43:16060:20741:-1 gene:TCM_046107 transcript:EOY20493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIGFPIEILKYVFFHGASSAISWITKTYGSWLGTLSYGQSRFQGMKWHSKARNGMLIKFLNSLRLEWHDGVTSWLNLNLCIRDLIQLPNKGIIPKNAKKIPILAKWQKLQAGFLKLTPMAPLEATSETQALGVF >EOY20496 pep supercontig:Theobroma_cacao_20110822:scaffold_43:55024:57571:-1 gene:TCM_046112 transcript:EOY20496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative MLKQHTNKEGETPYEAFDRSHANLVKEGEKWMKDIAQTSTIVGTLIITIMFAALFTVPGGPDQDTGVPLLLTKKLFKIFIISDSISLFASTTSVLIFVGILTSRYTAPDFLKSLPNKLIIGLSSLFISIVAMMVSFSSTVIIMVKGQLEIVIPIVLLAGIPIGLFVWLQFPLLVKIFISTYGPGIFDRKMKQWL >EOY20495 pep supercontig:Theobroma_cacao_20110822:scaffold_43:52650:54641:-1 gene:TCM_046111 transcript:EOY20495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEANNVCSSAIVGQVLIGAYNYENWKACVQNHLSRNLLSCEIPSSLGNLLRLERLNLSFNRLQGEVPSSLGKLTSLNMLNLSNNHLQGALPFTFSGFPLSSFSGNDKLCGPPLSSCMDLTGHEKNKLSNSVVICIIVAIVFTSAVIYLVLICIMLRIWCNWRKVSISNSEGAGIEQKREEEKWVCGDEKKRKGEYWRVNSMALVPSQKEHISSSCIFHVKMDTQNHGK >EOY20331 pep supercontig:Theobroma_cacao_20110822:scaffold_120:14896:16436:1 gene:TCM_046220 transcript:EOY20331 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:flavonoid 7-O-glucosyltransferase MPQRHFLVVTYPNQGHINPTLQFAKCLIRIGARVTFTTSVSARRRMTKVPSAQGLSFLTFSDGYDDGLKPGDDKGHYMSEFRRRGKENLNDLVVSSDKEGKPVTCIVHSLLLPWAMEVAREHHIPSAILWIQPATVLGIYYYYFNGYEETIKGQAGESKCPIELPGLPPLASRDLPSFVAASNAYPSALSLFQQQFEVLAEETNARVLVNTFDALEPQALKAIANYKMFAVGPLTPSTFLGGKDPSDGSFKGDLFQCSKDYFQWLDSKPKSTVIYVSFGSIAVLAKLQVEEIARALLASGRPFLWVVRNQKQNGEKEKEEDKLSCREELEQFGMIVPWCSQVEVLSHPSLGCFVTHCGWNSTLESLVAGVPVMAFPQWTDQGTNAKLIQDVWETGVRVTANEEGIVERGEINRCLDLVMGNGERANELRRNAEKWKDLAREAAKEGGSLDMNLKAFIDDVAAQGCK >EOY20330 pep supercontig:Theobroma_cacao_20110822:scaffold_120:4295:5531:-1 gene:TCM_046219 transcript:EOY20330 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP-interactive CRIB motif-containing protein 4, putative MKERMERLVGLPFSTGCSSQESVAVGKSPPRKPKSESSQYVTSKQVGKECSSKGRTKNSFGWLPLPKPNISDGIHRLIRSTVKSFSQLFAYKDIEEIAAAEMEIGFPTDVKHVTHIGLDGATTTNHVMGWENFNPPDIIAFPSISLRQFELAMAAQTQNAPLIV >EOY20512 pep supercontig:Theobroma_cacao_20110822:scaffold_68:33375:35166:1 gene:TCM_046147 transcript:EOY20512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEKVGLGGDEEEEETEIEAPAWFFPTIIGTACCLSAVLAGILFWQTRNYMADRAVKGQSNETDDLSGYYYIVQHVFGFSFLVVMAVEFALLTNFMIMCSSVAATDPISDVEMPADQPGPRIASVSCELVQDNGAQHPALVDERGTMMGRIKFVANLDAEIPSWHKQ >EOY20513 pep supercontig:Theobroma_cacao_20110822:scaffold_68:35646:36372:1 gene:TCM_046148 transcript:EOY20513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKNRWPSRVTLSSICHLIEEGIHFIFQWWMCPDRCGRISDITSEVEKAIPSLFSRETGISLSLLRDSSPVIKSSFEWNEMLPVFHVIQLLHKRECTNSLVRLFGGRSSSYFYVYVWICFLVVVVIVVFLTKYMFVPSFVLL >EOY20511 pep supercontig:Theobroma_cacao_20110822:scaffold_68:9133:12630:1 gene:TCM_046144 transcript:EOY20511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAHFEKYLTEVDVKKQMAIPTDFMRHLPYYEGGRTIFLPVYDVSGNLWENFGYYIRREGKDYARPVFQGDWRKYVRANNLKPGDKIIFRVEENDANGAPRYTIAAQRRIFLFEYRFTASEWLIPKSAIKDLSHMISQEVAAIDLYSTSVGLLATTDCFFDFQLTKESPMKM >EOY20510 pep supercontig:Theobroma_cacao_20110822:scaffold_68:903:1839:1 gene:TCM_046143 transcript:EOY20510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFPVGTKWNVTISVTGGERERKEESGRFVHVKRRKRKTTRLLVGWRKKEEKERKKRKENKRKEKKGKENNKENGHGLTSKENWT >EOY20489 pep supercontig:Theobroma_cacao_20110822:scaffold_401:3717:4321:-1 gene:TCM_046351 transcript:EOY20489 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-TU receptor MSMKSNTNISTDQLALLALKTHVNSDLLSTNWSTATSICNWIGVTCGSRQQRVTALHLFGINLSGTIPPHLGNLSFFILLDIRNNNFYGALPIELAKLHRLKSLWLDNNNFNGEIPSWFGSISKLQNLSLSGKKFIGVIPSSLCSLSKLEL >EOY20362 pep supercontig:Theobroma_cacao_20110822:scaffold_133:2276:4953:1 gene:TCM_046226 transcript:EOY20362 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein MDPVITGAAANVASEAAKGIFHEVKRHMRYVFIHKKNVDKFEEKLKSLIAKRASVQQEVDAANRNGEKIKADIEHWRKTVDKVIDEEDKRVKDLEEKAKNKCFIGLCPNIKSRYQLSRKAEDGAAAVDDLIGQCQFNGVGYLDVPEAIVDASPNGFETFKSREKVFNDIMEAMKDAAISMIGVYGMGGVGKTSLVNEVARQVQEVKLFDSVVTITVAQTPDIQKIQENIAELLGLRLEDKTIDARARRLHERLKKEKMVLVVLDDIWKKLDLREVGIPFGNQHKGCKILLTSRDQNVLSNEMDADKTFAIDDLDDEEAWDLFRKMAGADSVESSELRSTAIEVAKKCARLPLAIATVARALRNKGLFVWKDALRLLQKPSSRNFTGISADVYSAIELGYNHLENEELKQAFLLCSLVHRDASIDDLLKYAIGLGVIKGVDTMEEARNSLLTMVSKLKTSCLLLGSTNNEYFNVHDLVYDVAMLIASVDNYVFAPKEEDVLKDWPDEERMKKCNKIHLVFPSIRELPDELNCPQLVYLRVFSEDYFLKMPLNFLRKTTSLKVLHVTNMHVSSLSSSICLLKCLLTLCLDGCELGDIAIIGELKNLEILSFSNSDIRILPKEIGQLVKLKLLDLSYCTKLKIISPNVLSSLPKLEELYMTGTFIQWEVEGHANPRSNASLAELKKLTRLAALEVNILDVEAIQGACSLKSCKSWKDTRFS >EOY20361 pep supercontig:Theobroma_cacao_20110822:scaffold_133:4:1621:1 gene:TCM_046225 transcript:EOY20361 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 3 MDDSSIYIYNVQVDKMKSKLRGHQKRITGLAFSTSLNILVSSGADAHLCVWSIFTWVKRKSVAMQIPAGKAPTGDTRIQFQSDQIRLLVVHETQLAIYDASKMERIRQWIPEDVLPAPISYATYSCNNQSVYATFLDGNVGVFEADSLRLRCRIASSVYLSQAILKGKQAVYPLVVAAHPMEANQFAIGLSDGSVKVMEPTESEGKWGVSQTVDSGVVNGSTTSSSTTGNHIPDQLQR >EOY20350 pep supercontig:Theobroma_cacao_20110822:scaffold_13:508635:510349:-1 gene:TCM_045935 transcript:EOY20350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHASVAKRYEFVDILQALDNRVSCHDTVINHEVWSNFEQVEDIIEQLGSNSDELRKGWHNMVDSLICHDVGLDELMANKYWKDMFHDFLIEQRRFDSLSFDTTYALSLNPVCVANGDIAHIGAVCLGETGQPQASNPYGKTHPNLEVFLRQLEKNCLKHTHGTCGEPDEELWSKETTRQRGHCLNQVGENVSICRSVTSLAHMDCRANPHAKVH >EOY20337 pep supercontig:Theobroma_cacao_20110822:scaffold_13:60063:64871:-1 gene:TCM_045887 transcript:EOY20337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMSMRNKAFKPKMKSLKVRAQRIKSEIGKIREDQRCIREEQRNIGERFGDVKRQCDQLREETQVIMKQTACNRFRLIVMFNILRAREEGDFDKAVTFTRFLKLVSLVHTIQAANSMMDSPAKIGVDRSDMFYAW >EOY20348 pep supercontig:Theobroma_cacao_20110822:scaffold_13:429653:432433:-1 gene:TCM_045925 transcript:EOY20348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeic acid 3-O-methyltransferase 1 MESSTKQDQESFLYAIQIVSSSVLPMSMHAAIQLQLFEIIAKAGPDAKLSPNEIAAQLRTNNPEAPSMLDRILRVLASHSIIGCSVADNEQGNNPRRLYSLTPVSKFFVPNDDGVSLGPLMALNQEKVILDSWSQLKDAIIEGGTPFDRVHGTHIFEGQCRDPRFNQVFHTAMINHTTIVVKNILGTYKGFEQLNRVVDVGGGLGAALSIITSKYPSIKGINFDLPHVIQHAPAYAGVEHVGGDMFESIPKGDAIFMKWILHDWNDEHCLKLLKNCYNAIPDDGKVIVVDAVLPVALDTNAFMRYASQMDMLMMTQCPGGKERTKPEFEALATKVGFSGIRYECFVCNFWVMEFFK >EOY20357 pep supercontig:Theobroma_cacao_20110822:scaffold_13:592817:596636:-1 gene:TCM_045949 transcript:EOY20357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQPSPRALRKSFLTVVVGEKPPVIPPSRNSCVYKDRPVATFYENEIEILARPFSDSLVGKFNRMPKLQEIRQAFRGIVWISFSNLKARLYEKAALLLIAKTIGKPLYVDEATANGSRPSVTRGV >EOY20360 pep supercontig:Theobroma_cacao_20110822:scaffold_13:669622:673510:1 gene:TCM_045958 transcript:EOY20360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLVRDLVEIPRKSRMLVATKEGAPSQLNLCQLTLCLVDKVILASTQRYEVLHIYLQHVLFHRQQYCNSECHLCKSSHLATITTLIRIVIKAIFIDKDHFILNLIDAMSCSGVAVSSSIVIVTFENGITPSTVSLDT >EOY20343 pep supercontig:Theobroma_cacao_20110822:scaffold_13:139316:143201:1 gene:TCM_045897 transcript:EOY20343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVAKQRALIISSFHGLHAKEPLKIQPIMSAFCFQERAPLTWKLCVNFIFIMKTLPLGGVGRHGCRSTLGDGKKELQWVVKSGFWLCKGLG >EOY20347 pep supercontig:Theobroma_cacao_20110822:scaffold_13:382943:384143:-1 gene:TCM_045922 transcript:EOY20347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKPRCFDWNLLVLMDFKEDCMDRTKMEFNMEEFWIQIVMDSLKLMNGVTTKAIRNMVGRFVKVNGDDSDLRQRFMSIRVILDLSKSLKRGVMLVDDDNQT >EOY20339 pep supercontig:Theobroma_cacao_20110822:scaffold_13:79030:79521:-1 gene:TCM_045889 transcript:EOY20339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLATLFAPFTTSESTCGLMPFTATARVSSSKPEGYQEMKSNAKELKTDVHDVKSNMNGILERPLDPTGGSSSSQ >EOY20358 pep supercontig:Theobroma_cacao_20110822:scaffold_13:598984:608800:-1 gene:TCM_045950 transcript:EOY20358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWLLWIYALLHYGGLYIYVVHKMLIMCDNYNRVCARCGGMHMPMMEVVKEIDDDSARVHDVGGYTRWH >EOY20342 pep supercontig:Theobroma_cacao_20110822:scaffold_13:109197:110761:-1 gene:TCM_045894 transcript:EOY20342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMMVGIDHYPPKWGGTTQRLWVKLIYHSNSALGILDSEDQITTCLDRINQCHGDMIHSSFLVGDLVATCSLEVELSSADKLVSIYVMFMDIEHVYDDVANV >EOY20340 pep supercontig:Theobroma_cacao_20110822:scaffold_13:83170:84801:-1 gene:TCM_045890 transcript:EOY20340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLRTFSMRRRVARMVLRKSRFNIRYKHKKKNGTKDLKQPIDWLRKEREKENTSRAKEHGKVGNEIWEEETLWDE >EOY20355 pep supercontig:Theobroma_cacao_20110822:scaffold_13:578122:586060:-1 gene:TCM_045947 transcript:EOY20355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKERVLRKPTKGKAPTAAAVGTSATHPSLNTNGQQGPSKSATDGRDMDVMVLAESDGTIVHKAVHEGTKENSKNYFPEPPAQADILLHGEDRQTSESGMRAQHVLVDNLEGSGEYNPSIGQDTKACSRERMKGQADIPPTQESASGKCMHNKELSDVPSFLFSETKFTKIKVHHRIRRRRHSDTEVSIDKILSFASDKAMDMGENDEDSDEDAISVNFTASWERERYY >EOY20359 pep supercontig:Theobroma_cacao_20110822:scaffold_13:667207:668187:-1 gene:TCM_045957 transcript:EOY20359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTNIVKTAMVVGIRWSEALRLLLRGSGSMSDYYTYILKSFMSFSTPTLLNGGELRLLCSSLYLNKSFQCLL >EOY20346 pep supercontig:Theobroma_cacao_20110822:scaffold_13:375798:377312:-1 gene:TCM_045921 transcript:EOY20346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFCCSKSRKQRWRRYAASYGQVSVSRPWVEENVSWTLPSRCKLNFDVALIEENGVTRATAGFIVRDINGKLVLAGAANLGAIKGATEAELRAFL >EOY20356 pep supercontig:Theobroma_cacao_20110822:scaffold_13:586028:592812:1 gene:TCM_045948 transcript:EOY20356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYHGVRFRPVNGARRCGGVTEHGGVSLGYEPPWGVLVRRKLMAGQILGINTLSFTQILSSSLPLSSILFAACVLAGLRPFPACRCECALSKVLVRVLCACQPNTLCWVRAVCAGCVLPVLSAGEGCALGAESFVWAGQVKGKPKAARLGRLQNPHVTGCIRAISSEPGSAAGSVASQAVCWDSGRDKLCYWVYCKPSSVVGEPVPKGKETRASQRGRYYSQDLISALDVRVSRVEVAVGDMRDRLDVQEEHRELEDLRAEVHVARAEGGSEAAARPEVRLEVPKPKEFRDRRDAKEIDNFLWGLKQYFKVTEINTDDRRITAASMYLGDTALLWWRHRCDDRLGGAPADKGKQSRDEDDGNGKPQSPWNGNSTWKGKPSGSKEDKPKSYFLCEGPHFVRDYPKRVKLVAIASEEEEQQEDEAVRLGSMQLGVVCKGCKRAKGLMYADMVVAGQQVEALVDTGASDLFVSEQGAAKLGLKADSTGGWVKTVNSKWVRTKGIAKGIDVQLGKWHGTKDIEVIQMDDYEVLMGLNFLERIQALLVPHNDCMCIVGSKGQCIVPVRLGCAQPTKTLSAIQLIEGEQICAAVRSLEDTPSSIVEASDEVLEVSEHQPGGANPVAGEPSREATPPASKKARVVHVSDGLGRAKVNRPHEPQHEGLRKLPLKECHDICGAGHSRIHRTPVSHPPFTGRAGSRESSMTRRRSATARPHGGRPMPDGAAQQNIDTRARGMVCRNESAQGQESRRGTTRMSVQLKHLRARPALKMAKGPRVSEGSDGEVPAKDPGRLAPPSEKVSSVRQEGFPHMPTFHPTKVERTEGPKGVQHNRGPNFECDNKGLSDPSSGMRKRRRVGLGGTETPWAHQWAKSACKQPEWSCQQSGSVGRTDGQRGARRPRQAYRQCQGRMKDTNRSRRTSQSPHEVERELPEGEPKWWPFPACRCECALFRVLVRVLCACQPNTLYWVRAVCASCVLLMLSAGEGCTLGAESFVWASWFGGQVKGEPKAARLGRLQNPHVTDGPRCTVPSTFACAVTSKSFTPVTLCLCSCLLCAYVEDSARSFSACIISSFSLASFPLFSCIPFSGKKSCVSLPADRDIAVISILLFASDFLATTASGPTTLTAVTFKGSESIALMGSCRLVPDVCTPLPASVDAPMCHSLLWLVSSPYPSHPYHCLLSPISHTSWTKVCRLASPATAGALESSLSPSVLGSVDTLSFLVFLACPCTLCR >EOY20336 pep supercontig:Theobroma_cacao_20110822:scaffold_13:10432:15791:-1 gene:TCM_045883 transcript:EOY20336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYKYTIPSSWRFTQYIPRLYLQLPMRMRMKAFTPKMKSLKVRAQRIKSEMGKIREDQQCIREEQRNIGERFGDVKRQCDQLREETQVIMKQTACNRIRLILMLNILGAREEGDFDKAATLTRFLKLVA >EOY20349 pep supercontig:Theobroma_cacao_20110822:scaffold_13:505768:507073:-1 gene:TCM_045934 transcript:EOY20349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWVMEKGRLCQGSWFLFHFGTALRLGRLLFILNGWIVVRTSCDRKVIIIIFFCKMLWCFPLFLSLVLPPSCSGDDFHVFSS >EOY20338 pep supercontig:Theobroma_cacao_20110822:scaffold_13:66016:72857:1 gene:TCM_045888 transcript:EOY20338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDARTMALLRLDYGRILVKVKSVSCIPAYTTSRMGKTMFKILATVMEVLSTLNLDNSWLSRKKLLRKELIGPKNRACLGEDMQFFSNPLFNQNSNSKPGNDRVEKSLEGTKCKVNQSIVDNGGYCCEKTSGRSRGKKGNNKGKSKWGAYKDKEELIKAVTHLELEEKEVSDIANEGVAREVENLLLSSRRCLIGGWVVIGIGVCQNRDGWKWRAFIVEDVGDQENLLASYPVSETPDLPKRNLLMLGTLSPYRRWEPSCPIIICIAQSVEPIVELSHIS >EOY20354 pep supercontig:Theobroma_cacao_20110822:scaffold_13:574428:578113:1 gene:TCM_045946 transcript:EOY20354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 15, putative MNGLNGSFPFPGLCELKKLQELDIRYNSFQGTLPPCLNNLTSLRILDLHDNLFSGSIPRNSIPSPNFLQYMDISGNDFEGSFSFSSIFNHSKLEVVILGSTENKLQIDNENQARNPLFQLKALLLSNCNMKTAPNFLLNQHRLREVDLSHNKLNGVFPSWLLQNNSDLKFLNVRNNSFTGRFDLPLHPMNRMVYIDVSCNHLEGQLPKDLGMKLSNLEFLNFSKNYFEGELPPSTGGMRKLQRLDLSFNNFSGQVPKELAAYCTSLDILVLSNNKFHGEIFSSNFNWSIRPEELKLGNNQFTGSLTANKDFYSALRVFDIRNNMMAGKIPSGMADIKVLFTRNNYFEGQFPCNEHFRSAIIDFSHNFLSGPLPSCFDMYGIQQIHLQGNNFTGSIPNSLLKSTTLSVLNLRDNSLSGEIPALIGALPDLRILLLGNNRLSGLIPRQLCQLIEISILDLSNNSFSGSIPSCLSNITFGTTNNLYYSFNIAPEPSFAQYDMDQADKEDENLLRSHSTYTSLKGIMLSEIVEVVIDFVTKSSLLSYKGDVLNYMSGLDLSCNNLTGKIPQNLGKLFSIRALNLSHNHLIGSIPISFSNLAKLESLDLSYNSLSGKIPPEIVNLNFLEVFSVAHNNL >EOY20352 pep supercontig:Theobroma_cacao_20110822:scaffold_13:512242:514243:1 gene:TCM_045937 transcript:EOY20352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFSIKLLHSLHCPVQMLCCVLAQNSYNYRNECFVNYKQKQVLLLILKLAGEEKTDRREKQRQRETP >EOY20344 pep supercontig:Theobroma_cacao_20110822:scaffold_13:179596:182708:-1 gene:TCM_045902 transcript:EOY20344 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative MVVTVPVDIQADDSKSNYDREKEVKAFDESKAGVKGLVDAGVSTIPPMFKHKKNGMPDFPVCTNSNIEIPIVDFDDIDKDASLRTKIIDQVRNACGKWGFFQLINHGIPASILDDMINGIRRFHEQDLEVKKGYYTRDYKTKNVLYNSNFNLLEAPAACWRDTLTFITGLHRPPKPEELPATCRDIMIKYTDEIMKLGKTICELLSEALGLKPSQLNDMGCADDIFAPCHYYPACPEPQLTMGATTHADSGFITILLQDQIGGLQVLHDNQWIDVTPVPGAVVVNVGDLIQLISNDKFISAYHRVLAKKEGPRVSVACIFRSHHHPENSSRLYGPIKELLSEENPPVYREITVKEIIKHKHSDQKTKGTASQSSKLLPSALDQFKV >EOY20353 pep supercontig:Theobroma_cacao_20110822:scaffold_13:563431:570691:1 gene:TCM_045943 transcript:EOY20353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNYCPRPSFWNPDKSEPLLWNISLSQRFKELRTLDLSYNEIDGWMQNQGSEGFLRLELLETLDLTCNNLGNNTLQSLRKLTSLKNLILRSNLLEGSFPVEELSVLESLETLDLSQNFVNGFPRMLGELKTMSTSSARASQSSKGTKRKWNHHEDVALVTALIDLHNIGKYNADTGFKGGYLIELESMLATKLLDANLKAKPHIESRIKTLKKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXY >EOY20335 pep supercontig:Theobroma_cacao_20110822:scaffold_13:2106:3002:1 gene:TCM_045882 transcript:EOY20335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPLTAALNSRLLPRERQKRRKLKKQGTSMMRGLTAQMEEVTSEQERRKEEQRQLKDKLKAIEAKCDQLR >EOY20351 pep supercontig:Theobroma_cacao_20110822:scaffold_13:510646:511773:-1 gene:TCM_045936 transcript:EOY20351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METSRRRFISGRKGKSSAKYMRRRFKKLKEEMKEICREQQSIREGQRQVAAKFKAIEEECEQLRKETHQIIRQSAKTQILLILMLNILKAREQGDFSKATNLTQLLREIIARDNVSQSTDP >EOY20341 pep supercontig:Theobroma_cacao_20110822:scaffold_13:102932:103843:-1 gene:TCM_045893 transcript:EOY20341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNVIARLRSFACGKLAQSKLPSKEMRLRIFSMRRRVARMVLRKSRFNILYKHKKKNGTKDLKVKYRRLKADIEEIGKEQKSIKEGQSQVREKFKAIEMECQVLKKETELIIQQSALTRLRLALLFHILKAREEGDFAKAAQLSQLLRLAFYHGFASNINKN >EOY20345 pep supercontig:Theobroma_cacao_20110822:scaffold_13:323886:331798:-1 gene:TCM_045917 transcript:EOY20345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENAFQHTKEIRTLGRTPPLSVSYLNLMFFSVSYCDQSKSGRARFSHAIDNPMDAQIAINKAASKLLGKSSAKYMRRRFKKLKEEMKEISKEQQSIREGQRQVAAKFKAIEEECEQLRKETHQIIRQSANTQIRLSLMFNILKAREQGDFSKAANLTQRLREIVARDNVSQSVDP >EOY20526 pep supercontig:Theobroma_cacao_20110822:scaffold_93:2457:22456:1 gene:TCM_046178 transcript:EOY20526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative isoform 2 MATNTSLSGSGHQKSSQDESHLVAGQQQPPEITPAVEGAEFPRNLLLTLHQNISSGDLVSVNKFLNNYSFPLNAEFLHYRSVLHAAIVLGQLKIFKLLVSFVSDEDLHLTDNNGNTAMSFAAMHGNTEIAECLFKRNQKLVTTVNLQGGTPVLQACTGGHKDTLGYLYSVTPIDFLLSENKIHGSRLLNACILSKQFDVAFDLIRQCPAISLNIDINFIPLVGLTEKSLYLSGSRLAFWQRWIYYCLKENKPPASTYAFTYISGRRQSEGNKSVITQVAKQLLGLGSNLLNMFGIKQIYDLKSDHANAMELLRRIAKYISTLDKAQILEGAVRYAMIGAAKLGVTEFIIEMWKANPDVMSFTDDHGRNIFMIAAVHRQEKVFSLICGIPALTGQLLPYVDIYGNALLHLAAELGPDSEAKLTQISGAALQMQRELQWFKETKNILPQVHARYTNNKMQTAKQIFDETHKDLCKQGEEWMKQLASSSTVVGTLIMTIMFAAAFIVPGGNDQNNGFPIFLTSGHKHEVAFMIFIISDAISLFASSTSVLMFLGILTTRYAMEDFLTSLPNKLIIGLSTLFISIATMMAAFCASLVIMLQGRLCIIIPIILLASIPITLFAWLQFPLLVEVIVSTYGVGIFDRKMKPWI >EOY20525 pep supercontig:Theobroma_cacao_20110822:scaffold_93:3766:22602:1 gene:TCM_046178 transcript:EOY20525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative isoform 2 MSHSQTSKPSRGRPTISKLFAKSITVPAMMAHLRRPATMKLRIEIPSRNLLLTLHQNISSGDLVSVNKFLNNYSFPLNAEFLHYRSVLHAAIVLGQLKIFKLLVSFVSDEDLHLTDNNGNTAMSFAAMHGNTEIAECLFKRNQKLVTTVNLQGGTPVLQACTGGHKDTLGYLYSVTPIDFLLSENKIHGSRLLNACILSKQFDVAFDLIRQCPAISLNIDINFIPLVGLTEKSLYLSGSRLAFWQRWIYYCLKENKPPASTYAFTYISGRRQSEGNKSVITQVAKQLLGLGSNLLNMFGIKQIYDLKSDHANAMELLRRIAKYISTLDKAQILEGAVRYAMIGAAKLGVTEFIIEMWKANPDVMSFTDDHGRNIFMIAAVHRQEKVFSLICGIPALTGQLLPYVDIYGNALLHLAAELGPDSEAKLTQISGAALQMQRELQWFKETKNILPQVHARYTNNKMQTAKQIFDETHKDLCKQGEEWMKQLASSSTVVGTLIMTIMFAAAFIVPGGNDQNNGFPIFLTSGHKHEVAFMIFIISDAISLFASSTSVLMFLGILTTRYAMEDFLTSLPNKLIIGLSTLFISIATMMAAFCASLVIMLQGRLCIIIPIILLASIPITLFAWLQFPLLVEVIVSTYGVGIFDRKMKPWI >EOY20523 pep supercontig:Theobroma_cacao_20110822:scaffold_88:25236:26214:1 gene:TCM_046172 transcript:EOY20523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKEHQNGALAGFGGLLKTRPRCFLHSLVSLVYLSPSKLRPFSLWLFFGLGFDRVYCGCCMLLGPFIFLEKI >EOY20522 pep supercontig:Theobroma_cacao_20110822:scaffold_88:19166:25221:1 gene:TCM_046171 transcript:EOY20522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase 1 MYNIKDYKNILIQIRMVCLSPERDTQGSESFYQPKMAFMILFLLLCLSFILNTTNAQPRNSIIRPGSSLSPNSNSHWLSESGQFAFGFYRYGNGFSVGIWFEKIQQKTVVWTANRDDPPFSSDVTLLLSTKGRLIVQPKQGQEILIASASQLASSASMLDSGNFVLFNSSSAIIWQTFDFPTDTILPGQHLLPGHSLVSNASETNHTRGKFLLVMQTDGNLVQYPVDSIELEAAYWNTKTYGAGDNVTLNLDSNGTLYLLNATALLVQNITEKASVSGKPIYRATIDADGIFRLYSRSFNQFDNWSIQWSSSENKCDPKGFCGVNSYCTLMDRDPVCQCPPGFDFIDQGQKDLGCHKNYNVDACTGKSEQMFDFDELISVSWEVDAYSSLSSIPKDACREECFRDCNCEAALYQIESQLCKKLKLPLRFGKRELSGQVITLLKIGAALDRGGERKQKELRVDVLIIIISCLTLAFLVVVCCSVLVYRHRNVRKKGISNLDSNGLVEDVTLRSFTYEELKHATNNFTDNIGKGAFGTVFRGVISNGSRIVAIKRLDKVVAEGEREFNNEMKTIGNTHHKNLVQLLGYCHEGSNMLLVYEYMRNGSLADFLFGSRLKTDWEGRIAITLNIARGILYLHEECETQIIHCDIKPENILMDEKGCAKIADFGLAKLLMPNQTKTYTGIRGTRGHVAPEWWHQNSPITVKADVYSFGIMLLEIICCRRSLDMMFQTMKQYL >EOY20389 pep supercontig:Theobroma_cacao_20110822:scaffold_198:102:760:1 gene:TCM_046274 transcript:EOY20389 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S15 LTLPSLASAAAPLSIPPLNSSFPCANSRSLASICRLYLPHSRGLGIFVLFTASSLARADAIADVAAPGIPKKRTFKKFSFRGVDLDALLDMSTDELVKLFPARARRRFQRGLKRKPMALIKKWRKAKPEAPPGGLLFLLAGCFVALACWISDFSPSFSPPKCYSAPSPLYPVPGLWRGHAPLLCPWALEGKFHYPARREFFASGR >EOY20390 pep supercontig:Theobroma_cacao_20110822:scaffold_198:786:2221:-1 gene:TCM_046275 transcript:EOY20390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 15 MWPNLIRKAKEGGLDTIETYIFWNAHEPIRRQYDFNGNLDLIRFIKTVQHEGLSAVLRIGPYIFAEWNYGGFPVWLHNLPGVSFRTKNDVFMNEMQNSTTLIVDMVKKENLFASQGGPIILAQIENEFGNVMGPYGAGGKEYIQWCSNMAESLGVGVPWIMCQQQDAPKPMINTCNGFYCDEFKPNNPSSPKMWTENWTGWFKSWGGADPYRTAEDLAYSVARFYQMGGIFQNYYMASAFLINFLLKLL >EOY20200 pep chromosome:Theobroma_cacao_20110822:10:25232820:25233556:-1 gene:TCM_045577 transcript:EOY20200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MARIIGYRAPDVIETRKGTQKSDVYSFGVLLLEEWTAEVVDEELLRFQHFQEEMVQMLQIAAACVAKTPEMRPKMDEIVRMIEDIRQPESKNRTSSEAESNIQMP >EOY19706 pep chromosome:Theobroma_cacao_20110822:10:21323912:21331890:-1 gene:TCM_044968 transcript:EOY19706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTNDHRSACKLIIMPLPSCSCMLDIRCNTSPTTRRKVSDNGYRYLSRMIISDNPFSHLVHVPFPRIDFIRTILVRLFKLLNSGLPLEGTDPLINRVKLHVTLSPLSIYQLRAVESSNPSLVL >EOY19057 pep chromosome:Theobroma_cacao_20110822:10:9257814:9258700:1 gene:TCM_043724 transcript:EOY19057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNIKYSYYFNSKYYVHGYLEVKKFHSGFALVLSILNVQVVYLAVLFATKGKSCLLTRPNNKQNSEFLFYFLMIDSLSSSSCHGCFCPFPSMSWK >EOY17913 pep chromosome:Theobroma_cacao_20110822:10:1423249:1424918:1 gene:TCM_042609 transcript:EOY17913 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD superfamily, putative isoform 2 MSAYGHQMERQFSAQSLLSRGDTGTEMGSRYLVESGFYMTSFAATIFIAGLATVGVLLVTLLVTLAVMLQSCESRCKGVVEIEKSSDSYHYCNIFSLHGELNSLEANEVPPVCRSFAIQYIKGGQYERDFNFTMLMIESFLNTVSPSHDRLDVVLMDIDDILASDPQYTNQLMHQFNQIGCCKPSDATCLKHLHTQELYRKLQSRGWPLILLSRKPVRQRNVTIEHLTSLGYTGWIAKWKWIAVNTFLDEEQQWRKKVLGYLV >EOY17911 pep chromosome:Theobroma_cacao_20110822:10:1422662:1425203:1 gene:TCM_042609 transcript:EOY17911 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD superfamily, putative isoform 2 MSAYGHQMERQFSAQSLLSRGDTEMGSRYLVESGFYMTSFAATIFIAGLATVGVLLVTLLVTLAVMLQSCESRCKGVVEIEKSSDSYHYCNIFSLHGELNSLEANEVPPVCRSFAIQYIKGGQYERDFNFTMLMIESFLNTVSPSHDRLDVVLMDIDDILASDPQYTNQLMHQFNQIGCCKPSDATCLKHLHTQELYRKLQSRGWPLILLSRKPVRQRNVTIEHLTSLGYTGWSSLIMRLDSEMEMDSREYFSRRRAAMEKEESDISNVIQNQSPPPPPFLIAAFNERNDLCYTGYYQPRYLSARLSGIGCRTP >EOY17912 pep chromosome:Theobroma_cacao_20110822:10:1422428:1425455:1 gene:TCM_042609 transcript:EOY17912 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD superfamily, putative isoform 2 MSAYGHQMERQFSAQSLLSRGDTGTEMGSRYLVESGFYMTSFAATIFIAGLATVGVLLVTLLVTLAVMLQSCESRCKGVVEIEKSSDSYHYCNIFSLHGELNSLEANEVPPVCRSFAIQYIKGGQYERDFNFTMLMIESFLNTVSPSHDRLDVVLMDIDDILASDPQYTNQLMHQFNQIGCCKPSDATCLKHLHTQELYRKLQSRGWPLILLSRKPVRQRNVTIEHLTSLGYTGWIAKWKWIAVNTFLDEEQQWRKKNLISAMLFKTNPPPPPPF >EOY17914 pep chromosome:Theobroma_cacao_20110822:10:1423218:1425203:1 gene:TCM_042609 transcript:EOY17914 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD superfamily, putative isoform 2 MGSRYLVESGFYMTSFAATIFIAGLATVGVLLVTLLVTLAVMLQSCESRCKGVVEIEKSSDSYHYCNIFSLHGELNSLEANEVPPVCRSFAIQYIKGGQYERDFNFTMLMIESFLNTVSPSHDRLDVVLMDIDDILASDPQYTNQLMHQFNQIGCCKPSDATCLKHLHTQELYRKLQSRGWPLILLSRKPVRQRNVTIEHLTSLGYTGWSSLIMRLDSEMEMDSREYFSRRRAAMEKEESDISNVIQNQSPPPPPFLIAAFNERNDLCYTGYYQPRYLSARLSGIGCRTP >EOY17910 pep chromosome:Theobroma_cacao_20110822:10:1422428:1425455:1 gene:TCM_042609 transcript:EOY17910 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD superfamily, putative isoform 2 MSAYGHQMERQFSAQSLLSRGDTGTEMGSRYLVESGFYMTSFAATIFIAGLATVGVLLVTLLVTLAVMLQSCESRCKGVVEIEKSSDSYHYCNIFSLHGELNSLEANEVPPVCRSFAIQYIKGGQYERDFNFTMLMIESFLNTVSPSHDRLDVVLMDIDDILASDPQYTNQLMHQFNQIGCCKPSDATCLKHLHTQELYRKLQSRGWPLILLSRKPVRQRNVTIEHLTSLGYTGWSSLIMRLDSEMEMDSREYFSRRRAAMEKEESDISNVIQNQSPPPPPFLIAAFNERNDLCYTGYYQPRYLSARLSGIGCRTP >EOY17908 pep chromosome:Theobroma_cacao_20110822:10:1422519:1424973:1 gene:TCM_042609 transcript:EOY17908 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD superfamily, putative isoform 2 MSAYGHQMERQFSAQSLLSRGDTGTEMGSRYLVESGFYMTSFAATIFIAGLATVGVLLVTLLVTLAVMLQSCESRCKGVVEIEKSSDSYHYCNIFSLHGELNSLEANEVPPVCRSFAIQYIKGGQYERDFNFTMLMIESFLNTVSPSHDRLDVVLMDIDDILASDPQYTNQLMHQFNQIGCCKPSDATCLKHLHTQELYRKLQSRGWPLILLSRKPVRQRNVTIEHLTSLGYTGWSSLIMRLDSEMEMDSREYFSRRRAAMEKEGTRILSVISTQMDALTGSSLGRRVFKLPNPLFYNFENQVVNRRHSH >EOY17909 pep chromosome:Theobroma_cacao_20110822:10:1422428:1425455:1 gene:TCM_042609 transcript:EOY17909 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD superfamily, putative isoform 2 MSAYGHQMERQFSAQSLLSRGDTGTEMGSRYLVESGFYMTSFAATIFIAGLATVGVLLVTLLVTLAVMLQSCESRCKGVVEIEKSSDSYHYCNIFSLHGELNSLEANEVPPVCRSFAIQYIKGGQYERDFNFTMLMIESFLNTVSPSHDRLDVVLMDIDDILASDPQYTNQLMHQFNQIGCCKPSDATCLKHLHTQELYRKLQSRGWPLILLSRKPVRQRNVTIEHLTSLGYTGWSSLIMRLDSEMEMDSREYFSRRRAAMEKEESDISNVIQNQSPPPPPFLIAAFNERNDLCYTGYYQPRYLSARLSGIGCRTP >EOY18175 pep chromosome:Theobroma_cacao_20110822:10:2268459:2278398:-1 gene:TCM_042788 transcript:EOY18175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MEAWNDANYDEMKLGTLRYSSQNELSSETPAAAHHCSYNKSLVQCLYVFKLSTLCELPPSSSKLLYQLLLPCIYQARDMLLVRILQGFVDVRGGPRVKFNDPNEKRGKVDLLMEIEDGDIIDFAACVLFIGGMIGKWKFDATPLTNLCPQWGNLDTQSQPRAHLERGGLKMKSLSSVGLGLSIVFGCLVLALVAELYYLLWWKKRLTSRDIENDYSNPAREFFYMFCWKRSSSMSHTALNPQEIRMTEEQQQSHHHQLHLHSNKDLLLKPNFGHHDDPHGMENELLRLHSLSGPPRFLFTIIEETKEDLESEEAKSKCDNKSRVGSRGRSMSDLLLTVETPYLTPLASPPFFTPPLTPMEACYSHQGFNPLFESTTDAEFNRIRSSPPPKFKFLQEAEEKLHRKKLMEEVHNSDEFGPFGQENGDMTPPSKYLKDEEDGSFITIIVDRSKERGFNHNYQQQLHSSTSQVLPLSSSPSTFKSPARNTPI >EOY19287 pep chromosome:Theobroma_cacao_20110822:10:16005857:16007136:1 gene:TCM_044336 transcript:EOY19287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonol 4'-sulfotransferase, putative MDSSESRKPLDQLPKESFWDNPLYQWDGFWYRAGHLDAAMALRSQYTARHDDVILASPMKTGTTWLKALCFCIMRTQPQEEDAQKIDEGTLVKSDDGEDPLVKNHPAVYVQTLEVQVFTAKQPLDISGMKSPRLFHTHLPYSALPDSIKNSKCKIVYIARNPKDTLVSMWHFFNKLRTPEQGPYPFDKAFECFCKGVSHFGPFLDHVLQYWNESLKAPDKVLFLKYEDLKRDPKGHVKKLALFLGRPFTNEKKVEEVIWRCSLERLKNLEVNKNGVDPWVGMQNSAFFRTGKVGDWKNMFTTEMSERLDHITLQKLEGSGLHLDI >EOY17749 pep chromosome:Theobroma_cacao_20110822:10:744842:747960:-1 gene:TCM_046948 transcript:EOY17749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MQPGRRKTLFTETGVMKHRKNNNLSIFVVVFSIFLFGVFMYNEDVKSIAEFPFSRPKGSDIQEERSKQGNPVQEGIKNEKENAVSLNSRTSVEEEEEDNTKRKIPDEKTKEPDDLKSMVVKDDEQRLPVEEDKEDEEEKIEEKVEEQKTELPVIEEDDEDVELPPEDCDLFTGQWVFDNETHPLYQEDECEFLTAQVTCMRNGRKDSLYQNWRWQPRDCNLPKYKPRLLLEKLRNKRLMFVGDSLNRNQWESMVCLVQSVVPSGRKSLNKSGSLSVFRIEDYNATVEFYWAPFLVQSNSDDPNMHSILNRIIMPESIKKHGKNWKDVDYLVFNTYIWWMNTFTMKVLRGSFDKGDTEYDEIERPVAYNRVLTTWSKWVDKNVNPNRTTVFFSSMSPLHIKSLDWNNPDGIKCALETTPILNQSMYLNVGTDRRLKDAHTSVYTIRQGKMLSPEQKADPATYADCIHWCLPGLPDTWNEFIYTRIISHS >EOY17748 pep chromosome:Theobroma_cacao_20110822:10:744650:747804:-1 gene:TCM_046948 transcript:EOY17748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MQPGRRKTLFTETGVMKHRKNNNLSIFVVVFSIFLFGVFMYNEDVKSIAEFPFSRPKGSDIQEERSKQGNPVQEGIKNEKENAVSLNSRTSVEEEEEDNTKRKIPDEKTKEPDDLKSMVVKDDEQRLPVEEDKEDEEEKIEEKVEEQKTELPVIEEDDEDVELPPEDCDLFTGQWVFDNETHPLYQEDECEFLTAQVTCMRNGRKDSLYQNWRWQPRDCNLPKYKPRLLLEKLRNKRLMFVGDSLNRNQWESMVCLVQSVVPSGRKSLNKSGSLSVFRIEDYNATVEFYWAPFLVQSNSDDPNMHSILNRIIMPESIKKHGKNWKDVDYLVFNTYIWWMNTFTMKVLRGSFDKGDTEYDEIERPVAYNRVLTTWSKWVDKNVNPNRTTVFFSSMSPLHIKSLDWNNPDGIKCALETTPILNQSMYLNVGTDRRLYVVATNITQNMKVPVHFIDITSLSEYRKDAHTSVYTIRQGKMLSPEQKADPATYADCIHWCLPGLPDTWNEFIYTRIISHS >EOY18384 pep chromosome:Theobroma_cacao_20110822:10:3273177:3275603:1 gene:TCM_042984 transcript:EOY18384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L29 family protein MARIKVHELRQKSKTELLAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREAYKNKKFLPLDLRPKKTRAIRRRLTKHQASLKTEREKKREMYFPMRKYAIKV >EOY19022 pep chromosome:Theobroma_cacao_20110822:10:8356313:8359640:1 gene:TCM_043636 transcript:EOY19022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 isoform 1 MEKVKGMMNLMAQSSVTVVDSWNSKIDSEGGVADIKIDDYMRSFSGDVISRACFGSNYSKGEEIFLKLRDLKKALSKKFFSTGIPGIRYLPTKANREGWKLEKEIRALILKVVKERKEAKSEKDLLQMILESATSSDLGQEATDSFIVDNCKNIYLAGYETTAVSATWTLMLLASNPEWQDKARAEVLEICGGELPDATMLRKMKTLTMVINESLRLYPPVPVVSRELLEDMKFGDIHVPKGVSIWTLVVTLHQDPNIWGPDADEFNPERFADGVAAACKLPHVYMPFGVGPRICLGQNFAMAELKILLALILSNFKFSLSPKYVHSPTMRLAVEPEHGVNLLLKRL >EOY19021 pep chromosome:Theobroma_cacao_20110822:10:8355766:8362248:1 gene:TCM_043636 transcript:EOY19021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 isoform 1 MELQLLSIKVMVTALLLGLLGVLVYLFEALILKPERLRSKLGKQGIQGPPPSILLGNIREMKKIQSKVSKLSREGDQVIIHNCCSTVFPFLDQWRKQYGSTFMFSLGNIQILLISDPDVVKDITICTSLDLGKPSYQHKQNGPLLGQGILTSNGAVWAHQRKILAPELYMEKVKGMMNLMAQSSVTVVDSWNSKIDSEGGVADIKIDDYMRSFSGDVISRACFGSNYSKGEEIFLKLRDLKKALSKKFFSTGIPGIRYLPTKANREGWKLEKEIRALILKVVKERKEAKSEKDLLQMILESATSSDLGQEATDSFIVDNCKNIYLAGYETTAVSATWTLMLLASNPEWQDKARAEVLEICGGELPDATMLRKMKTLTMVINESLRLYPPVPVVSRELLEDMKFGDIHVPKGVSIWTLVVTLHQDPNIWGPDADEFNPERFADGVAAACKLPHVYMPFGVGPRICLGQNFAMAELKILLALILSNFKFSLSPKYVHSPTMRLAVEPEHGVNLLLKRL >EOY20242 pep chromosome:Theobroma_cacao_20110822:10:25325859:25345836:1 gene:TCM_045601 transcript:EOY20242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDGGIHGDAPLDYATIQILPSQNRYEAYTCHDNKVEKLAVGVLEKLLPHLPGVSNLYTKGFNANFKLQPPENLKSAAWFTKSTLSRFLDIVGSTDLVDTVKVIEGEMSQLEEARKFHLSLYAKGHEDHIESSETDICKSVDVVLASNSKVQNSSSDTSKNELLRAMDSRLTALRSELVAAFNQAVGETCSYEEITHLAKFSENFGANDLKNFLCMFLELSPKSQAANPPDDEKSSFSRASVNDSIIKTDGNSQISKPVCAETPVKYGVSPAKVAQVERQSSTESEESSNSSDENQMSAERSRALIRSASPRRSASPMRRVQIGRSGSRRAPALTIKSLSYFPAREKIFSHRDVASDDSEEEGSGQSKKPEGNVRRMSVQDAINLFESKQRDQVSDMPKKNSLTNISLGASKSVLRRWSAGMGDSSSQCQLQNASEDPVPEPSDNVIDNDIMERSAGVDLESDSRSGGQIINETIDVNLERLDESSCSPIDVQEVTDKIQEDEANERSNSSAEWSRQKEVELNQMFKKMMENQPVSCRKPQTNIRQNLPPEQRGGFYDHYKAKRDQKLRGENSGKRAEKEAKFRAMQKVLDERKAEMASKNVNNFSKKDPLTKSQKSVKNPQKVLKSPSQPANPRKEATKPSTVKKVSSRTSPLPATRKSWPSTPSPRTTGISPAKTSGGISSAGTTPTHRKPQSAQSVPRPSSKVESAQPERKNVKGTQADKRGLKSVNEKQQQRLMKGSKTPKTKVAAAPGDSSSMVPAKPSLYNKMTKKSSVVPLEAKPFLRKGSGFTSSVGLVNKIKNPSPLEDSLKTTENSIDTQESDVIVNASVLVNEHQDQDISSLDHCDDDIQLETQVNGHQKSDVIESIDELAPDVDDGLKNIAESSKCEEELTISPAAWVEIEEHQDLPNQCDDNTGENTSSASIAPVGSASPRVRHSLSQMLQEESSEADTTEWGNAENPPAMVYQKDAPKGLKRLLKFARKSKGDANITGWSSPSVFSEGEDDAEESKAINKRNADNLLRKAALQAKNYGQQKMSCEGYENHLGAHELPSAQSGISTFDAHKMHKGSVSTAASTTKGDKVILLPFSI >EOY20243 pep chromosome:Theobroma_cacao_20110822:10:25325859:25332569:1 gene:TCM_045601 transcript:EOY20243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDGGIHGDAPLDYATIQILPSQNRYEAYTCHDNKVEKLAVGVLEKLLPHLPGVSNLYTKGFNANFKLQPPENLKSAAWFTKSTLSRFLDIVGSTDLVDTVKVIEGEMSQLEEARKFHLSLYAKGHEDHIESSETDICKSVDVVLASNQSKVQNSSSDTSKNELLRAMDSRLTALRSELVAAFNQAVGETCSYEEITHLAKFSENFGANDLKNFLCMFLELSPKSQAANPPDDEKSSFSRASVNDSIIKTDGNSQISKPVCAETPVKYGVSPAKVAQVERQSSTESEESSNSSDENQMSAERSRALIRSASPRRSASPMRRVQIGRSGSRRAPALTIKSLSYFPAREKIFSHRDVASDDSEEEGSGQSKKPEGNVRRMSVQDAINLFESKQRDQVSDMPKKNSLTNISLGASKSVLRRWSAGMGDSSSQCQLQNASEDPVPEPSDNVIDNDIMERSAGVDLESDSRSGGQIINETIDVNLERLDESSCSPIDVQEVTDKIQEDEANERSNSSAEWSRQKEVELNQMFKKMMENQPVSCRKPQTNIRQNLPPEQRGGFYDHYKAKRDQKLRGENSGKRAEKEAKFRAMQKVLDERKAEMASKNVNNFSKKDPLTKSQKSVKNPQKVLKSPSQPANPRKEATKPSTVKKVSSRTSPLPATRKSWPSTPSPRTTGISPAKTSGGISSAGTTPTHRKPQSAQSVPRPSSKVESAQPERKNVKGTQADKRGLKSVNEKQQQRLMKGSKTPKTKVAAAPGDSSSMVPAKPSLYNKMTKKSSVVPLEAKPFLRKGSGFTSSVGLVNKIKNPSPLEDSLKTTENSIDTQESDVIVNASVLVNEHQDQDISSLDHCDDDIQLETQVNGHQKSDVIESIDELAPDVDDGLKNIAESSKCEEELTISPAAWVEIEEHQDLPNQCDDNTGENTSSASIAPVGSASPRVRHSLSQMLQEESSEADTTEWGNAENPPAMVYQKDAPKGLKRLLKFARKSKGDANITGWSSPSVFSEGEDDAEESKAINKRNADNLLRKAALQAKNYGQQKMSCEGYENHLGAHELPSAQSGISTFDAHKMHKGSVSTAASTTKGTRSFFSLSAFRGSKPSEMKLR >EOY20241 pep chromosome:Theobroma_cacao_20110822:10:25325636:25332615:1 gene:TCM_045601 transcript:EOY20241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDGGIHGDAPLDYATIQILPSQNRYEAYTCHDNKVEKLAVGVLEKLLPHLPGVSNLYTKGFNANFKLQPPENLKSAAWFTKSTLSRFLDIVGSTDLVDTVKVIEGEMSQLEEARKFHLSLYAKGHEDHIESSETDICKSVDVVLASNSKVQNSSSDTSKNELLRAMDSRLTALRSELVAAFNQAVGETCSYEEITHLAKFSENFGANDLKNFLCMFLELSPKSQAANPPDDEKSSFSRASVNDSIIKTDGNSQISKPVCAETPVKYGVSPAKVAQVERQSSTESEESSNSSDENQMSAERSRALIRSASPRRSASPMRRVQIGRSGSRRAPALTIKSLSYFPAREKIFSHRDVASDDSEEEGSGQSKKPEGNVRRMSVQDAINLFESKQRDQVSDMPKKNSLTNISLGASKSVLRRWSAGMGDSSSQCQLQNASEDPVPEPSDNVIDNDIMERSAGVDLESDSRSGGQIINETIDVNLERLDESSCSPIDVQEVTDKIQEDEANERSNSSAEWSRQKEVELNQMFKKMMENQPVSCRKPQTNIRQNLPPEQRGGFYDHYKAKRDQKLRGENSGKRAEKEAKFRAMQKVLDERKAEMASKNVNNFSKKDPLTKSQKSVKNPQKVLKSPSQPANPRKEATKPSTVKKVSSRTSPLPATRKSWPSTPSPRTTGISPAKTSGGISSAGTTPTHRKPQSAQSVPRPSSKVESAQPERKNVKGTQADKRGLKSVNEKQQQRLMKGSKTPKTKVAAAPGDSSSMVPAKPSLYNKMTKKSSVVPLEAKPFLRKGSGFTSSVGLVNKIKNPSPLEDSLKTTENSIDTQESDVIVNASVLVNEHQDQDISSLDHCDDDIQLETQVNGHQKSDVIESIDELAPDVDDGLKNIAESSKCEEELTISPAAWVEIEEHQDLPNQCDDNTGENTSSASIAPVGSASPRVRHSLSQMLQEESSEADTTEWGNAENPPAMVYQKDAPKGLKRLLKFARKSKGDANITGWSSPSVFSEGEDDAEESKAINKRNADNLLRKAALQAKNYGQQKMSCEGYENHLGAHELPSAQSGISTFDAHKMHKGSVSTAASTTKGTRSFFSLSAFRGSKPSEMKLR >EOY20244 pep chromosome:Theobroma_cacao_20110822:10:25328127:25333027:1 gene:TCM_045601 transcript:EOY20244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDSRLTALRSELVAAFNQAVGETCSYEEITHLAKFSENFGANDLKNFLCMFLELSPKSQAANPPDDEKSSFSRASVNDSIIKTDGNSQISKPVCAETPVKYGVSPAKVAQVERQSSTESEESSNSSDENQMSAERSRALIRSASPRRSASPMRRVQIGRSGSRRAPALTIKSLSYFPAREKIFSHRDVASDDSEEEGSGQSKKPEGNVRRMSVQDAINLFESKQRDQVSDMPKKNSLTNISLGASKSVLRRWSAGMGDSSSQCQLQNASEDPVPEPSDNVIDNDIMERSAGVDLESDSRSGGQIINETIDVNLERLDESSCSPIDVQEVTDKIQEDEANERSNSSAEWSRQKEVELNQMFKKMMENQPVSCRKPQTNIRQNLPPEQRGGFYDHYKAKRDQKLRGENSGKRAEKEAKFRAMQKVLDERKAEMASKNVNNFSKKDPLTKSQKSVKNPQKVLKSPSQPANPRKEATKPSTVKKVSSRTSPLPATRKSWPSTPSPRTTGISPAKTSGGISSAGTTPTHRKPQSAQSVPRPSSKVESAQPERKNVKGTQADKRGLKSVNEKQQQRLMKGSKTPKTKVAAAPGDSSSMVPAKPSLYNKMTKKSSVVPLEAKPFLRKGSGFTSSVGLVNKIKNPSPLEDSLKTTENSIDTQESDVIVNASVLVNEHQDQDISSLDHCDDDIQLETQVNGHQKSDVIESIDELAPDVDDGLKNIAESSKCEEELTISPAAWVEIEEHQDLPNQCDDNTGENTSSASIAPVGSASPRVRHSLSQMLQEESSEADTTEWGNAENPPAMVYQKDAPKGLKRLLKFARKSKGDANITGWSSPSVFSEGEDDAEESKAINKRNADNLLRKAALQAKNYGQQKMSCEGYENHLGAHELPSAQSGISTFDAHKMHKGSVSTAASTTKGTRSFFSLSAFRGSKPSEMKLR >EOY20108 pep chromosome:Theobroma_cacao_20110822:10:24892014:24902928:1 gene:TCM_045503 transcript:EOY20108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome p450 79a2 MRPRDVTMDISLSCNGIDCSIQAIFPKYPNSTKVRGIRIVQERIFLPLVDIVPLTVRGHSRIEVWIHGLMKQLDTDIACIRLANIHVIPVTSPEIAREFLKKYDAAFASRPVTMATEFASRGFLSTALVPWGDQWKKMRKVIASNIIKPARLSSLLHKRTQEADNLVRFIYNQCINPENDSSNGSVINLRLAVRQYTGNVIRKMMFNKRYFGQGKEDGGPGHEEEEHVESLFTVLKHLYSFILSDYVPWLRPLDLEGHEKIVSEAVRIVNGYHDPIIDERVQQWREGKKKEAEDLLDAFILAKDLDGKPALSVEEIKAQCTELMLATVDNPANAAEWAMAEMINQPETLQKAIEEIDGVVGKDRLVQETDIPKLNYVKACAREAFRLHPIAPFNLPHVSNTDVIVAGYFIPKGSHVLLSRVGLGRNSQVWDEPLKFKPERHLKDGSIEVDLTETELRFISFSTGRRGCMGVALGSEMTIMLLARLIQGFAWKAQPDEAKIDLSESEDDLFLAKPLHALAKPRLSAAVYAQLNYK >EOY19862 pep chromosome:Theobroma_cacao_20110822:10:23374376:23377543:-1 gene:TCM_045247 transcript:EOY19862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30/L7 family protein isoform 1 MAEEEGQPMPYVSEVVLKKRKIKDELAITRKTQLELGKYGAKKSKKQSDVSDIKRPEQFIKEFRAQELDLIRLKQRAKRPKSMISKPRSKLLFVIRIQGKNDMHPKTRKILYNLRLRRVFSGIFVKATEGVIEMLQKVEPYVTYGYPNLKNVKELIYKKGYARIDKKAVPLTDNNIIEQELGKYGIICLEDIVHEIANVGPHFKEVNHFMGPLMLSKPGGVIQGKKQPYREGGDAGNREDEINDLISKMN >EOY19863 pep chromosome:Theobroma_cacao_20110822:10:23374275:23377543:-1 gene:TCM_045247 transcript:EOY19863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30/L7 family protein isoform 1 MAEEEGQPMPYVSEVVLKKRKIKDELAITRKTQLELGKYGAKKSKKQSDVSDIKRPEQFIKEFRAQELDLIRLKQRAKRPKSMISKPRSKLLFVIRIQGKNDMHPKTRKILYNLRLRRVFSGIFVKATEGVIEMLQKVEPYVTYGYPNLKNVKELIYKKGYARIDKKAVPLTDNNIIEQELGKYGIICLEDIVHEIANVGPHFKEVNHFMGPLMLSKPGGVIQGKKQPYREGGDAGNREDEINDLISKMN >EOY19801 pep chromosome:Theobroma_cacao_20110822:10:22608285:22609502:-1 gene:TCM_045143 transcript:EOY19801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTMVVNIGAYGGDFGDSPNDLNFYLNGLTWPGQTPHPSLHEVKHV >EOY20150 pep chromosome:Theobroma_cacao_20110822:10:25062096:25065228:1 gene:TCM_045539 transcript:EOY20150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase MALRSLATRKTLTLGLNSTRLTQSRALQTFSLPDLPYDYGALEPAISGEIMQLHHQKHHQTYITNYNKALEQLHEAIQKGDSSTVVKLQSAIKFNGGGHINHSIFWKNLAPIHEGGGEPPKGSLGWAIDTSFGSLESLIQKMNAEGAALQGSGWVWLGVDKELKKLVIETTANQDPLVTKGPALVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVIDWKYASEVYEKECP >EOY19284 pep chromosome:Theobroma_cacao_20110822:10:15918171:15923771:-1 gene:TCM_044329 transcript:EOY19284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIEGGFGSVKKKFKNTLNGVIDVESLMNLGHAFKNLDSYNGLNFIGSCDRIESKILVDRNLENGIDQVSAGFNVTLREVKSSFGVIVGNGLSISFWEDEWIKGFIVKGCFLRIWLVIDGFTLDIMLVPNLVKVPSKGPKVFIVVDLVAPLEEWMKFNVDGAARGNSGKVGIGGVLRNNLGEIKITCSNSIRVHDANFAELSAFA >EOY19139 pep chromosome:Theobroma_cacao_20110822:10:11019927:11033338:1 gene:TCM_043913 transcript:EOY19139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein isoform 1 MAAISRVQLLHHPSSVFSRFPHSPSSSFAFSLCRRKFSSSAPLLCSLSSSSSSSSTNASIVGDLLDYLNESWTQFHATAEAKRQLIAAGFHLLNENDEWDLKPGGRYFFTRNMSCLVAFAIGEKYIVGNGFHVIAAHTDSPCLKLKPKSASSKSNYLMLNVQTYGGGLWHTWFDRDLSVAGRVIVRANDGSFLHKLVKVKRPLLRVPTLAIHLNRTVNTDGFKPNLETHLVPLLATKPEEEAAEPKEKSSLSSKAVHHPLLMQILSDELCCDVDDIVNIELNICDTQPSCLGGANNEFIFSGRLDNLASSYCALRALVDSCGSPGDLSSEHAIRMVALFDNEEVGSDSFQGAGAPTMFQAMRRIVGSLANSYGGGSAFDRAIRQSFLVSADMAHGVHPNFMDKHEEHHRPEMRKGLVIKHNANQRYATSGVTAFLFKEVGKIHNLPTQDFVVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHSVREVCGKDDIDIAYKHFKAFYQIFSSIDRKLIVD >EOY19140 pep chromosome:Theobroma_cacao_20110822:10:11020052:11031205:1 gene:TCM_043913 transcript:EOY19140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein isoform 1 MAAISRVQLLHHPSSVFSRFPHSPSSSFAFSLCRRKFSSSAPLLCSLSSSSSSSSTNASIVGDLLDYLNESWTQFHATAEAKRQLIAAGFHLLNENDEWDLKPGGRYFFTRNMSCLVAFAIGEKYIVGNGFHVIAAHTDSPCLKLKPKSASSKSNYLMLNVQTYGGGLWHTWFDRDLSVAGRVIVRANDGSFLHKLVKVKRPLLRVPTLAIHLNRTVNTDGFKPNLETHLVPLLATKPEEEAAEPKEKSSLSSKAVHHPLLMQILSDELCCDVDDIVNIELNICDTQPSCLGGANNEFIFSGRLDNLASSYCALRALVDSCGSPGDLSSEHAIRMVALFDNEEVGSDSFQGAGAPTMFQAMRRIVGSLANSYGGGSAFDRAIRQSFLVSADMAHGVHPNFMDKHEEHHRPEMRKGLVIKHNANQRYATSGVTAFLFKEVGKIHNLPTQGWWM >EOY19026 pep chromosome:Theobroma_cacao_20110822:10:8491655:8494709:-1 gene:TCM_043652 transcript:EOY19026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSSEEKGNDSHNIEEGSMDSIVESRYAQEGESQGSNPSQVAIGWIPLGAKIVFKCLKSLERMANTKNDPKKKDKEKVEEVAIGSYRPRKVSVVLDFPPDCGRSAAFVKRKDYVRMRRILRRLTTIWNMIHQCVQV >EOY20249 pep chromosome:Theobroma_cacao_20110822:10:25345841:25356080:1 gene:TCM_045608 transcript:EOY20249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MFGSRESEAEGGGTSYKDDPPNQLYISYHEVHLLEVVQRIQQLLEQSKVRCEGREILALDKGLNNPISRSRPLTEFHQLIGELAKRETGLCGKNREAALDLKQKGNQCYSTRDYSQALRCYSQALRVAPIDADDMGKNLVATLYLNRASLFHKMDLPMESLRDCSRALQVSPSYPKAWYRRGKVNATLGNYEDAVNDLTVARNMEPSLGGKKQIESELDILGQHHDRKSAKPVHYNQKSVGIPDSTNHLSDVPHQIKLHCVTTPDKGRGMASQFDIPQASLIHTEEPYAVVILKHCRETHCHYCLNELPADTIPCISCSMPLYCSQHCQVRAGGQIHFNYSNKVDICEKMSSSIEEYIADKTVGSNFDPKLECIPEHKHECQGVHWPAILPSDVVLAGRVVVKSIEQKEQFIEVPNFLETLGLCESYSKMPPESKLELNIYSIVLLFCLQHSYSSELSINGVSTSRIVILLSQIRVNSMAIVRMKSSDVYDQQDWFRKFSSGEAETALTSSVEQVRVGQALYITASLFNHSCRPNIHAYFISRSLVIRATEFVAGGCPLELSYGPQVGQWDCKDRLRFLDEQYFFRCWCHGCSEVNASDLVINGFCCVNPNCSGVVLDKLVANCEKQKPKIPETIGVESHLQVHELNDIDIKKAAHISLDETRSSLRIDSEYCLKCGSYCNLASMSEAVKKAWINLRRLQDSITLKDMHGTELSDALRSVGILRSILHAYNKGIGEAEDNLAQAFCFTGDLQPARDHCKASIEILEKLYGPDHIVIGYELVKLSSIQLWLGDCAAVDSINRLSLIFSRYYGPDAGIIFPYLGFLRRKSCHIVH >EOY20250 pep chromosome:Theobroma_cacao_20110822:10:25348366:25355545:1 gene:TCM_045608 transcript:EOY20250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MEPSLGGKKQIESELDILGQHHDRKSAKPVHYNQKSVGIPDSTNHLSDVPHQIKLHCVTTPDKGRGMASQFDIPQASLIHTEEPYAVVILKHCRETHCHYCLNELPADTIPCISCSMPLYCSQHCQVRAGGQIHFNYSNKVDICEKMSSSIEEYIADKTVGSNFDPKLECIPEHKHECQGVHWPAILPSDVVLAGRVVVKSIEQKEQFIEVPNFLETLGLCESYSKMPPESKLELNIYSIVLLFCLQHSYSSELSINGVSTSRIVILLSQIRVNSMAIVRMKSSDVYDQQDWFRKFSSGEAETALTSSVEQVRVGQALYITASLFNHSCRPNIHAYFISRSLVIRATEFVAGGCPLELSYGPQVGQWDCKDRLRFLDEQYFFRCWCHGCSEVNASDLVINGFCCVNPNCSGVVLDKLVANCEKQKPKIPETIGVESHLQVHELNDIDIKKAAHISLDETRSSLRIDSEYCLKCGSYCNLASMSEAVKKAWINLRRLQDSITLKDMHGTELSDALRSVGILRSILHAYNKGIGEAEDNLAQAFCFTGDLQPARDHCKASIEILEKLYGPDHIVIGYELVKLSSIQLWLGDCAAVDSINRLSLIFSRYYGPDAGIIFPYLGFLRRKSC >EOY18437 pep chromosome:Theobroma_cacao_20110822:10:3490722:3495103:-1 gene:TCM_043026 transcript:EOY18437 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH/CRA/RING-U-box domains-containing protein isoform 4 TTAATAALKTTPSSKLSQLTESLKLEHQLLRVPFEHYKKTIRANHRAVEKEVSSVISSVADVADCNEPSKEDAVLNLTSLVSRLQGLKRKLEEGSRTENLQAQRCRARLDHLESVDAENLSEWNNVRLKRILVDYMLRMSYYDTAMKLAESSNIQDLVDIDVFQEAKKVIDALRNQEVGPALAWCVDNKSRLKKSKSKFEFQLRLQEFIELVRAENHMRAILYARRHLAPWGATHLKELQRVMATLAFRSNTGCAKYKMTAPRRTHCHRTASGSWHCLCHTPSSTTQS >EOY18434 pep chromosome:Theobroma_cacao_20110822:10:3490083:3495396:-1 gene:TCM_043026 transcript:EOY18434 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH/CRA/RING-U-box domains-containing protein isoform 4 MEIDPLPNGSGSVGTTIPEAAATTTAATAALKTTPSSKLSQLTESLKLEHQLLRVPFEHYKKTIRANHRAVEKEVSSVISSVADVADCNEPSKEDAVLNLTSLVSRLQGLKRKLEEGSRTENLQAQRCRARLDHLESVDAENLSEWNNVRLKRILVDYMLRMSYYDTAMKLAESSNIQDLVDIDVFQEAKKVIDALRNQEVGPALAWCVDNKSRLKKSKSKFEFQLRLQEFIELVRAENHMRAILYARRHLAPWGATHLKELQRVMATLAFRSNTGCAKYKVLFEPKQWDFLVDQFKQEFCRLYGMTLEPLLNIYLQAGLSALKTPYCYEDDCTKEDPLSQDSFRKLALPLPYSKQHHSKLVCYITKELMDTENPPQVLPNGYVYSTKALKEMAEKNNGTITCPRTGLVCNYSELVKAYIS >EOY18435 pep chromosome:Theobroma_cacao_20110822:10:3491622:3495171:-1 gene:TCM_043026 transcript:EOY18435 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH/CRA/RING-U-box domains-containing protein isoform 4 MEIDPLPNGSGSVGTTIPEAAATTTAATAALKTTPSSKLSQLTESLKLEHQLLRVPFEHYKKTIRANHRAVEKEVSSVISSVADVADCNEPSKEDAVLNLTSLVSRLQGLKRKLEEGSRTENLQAQRCRARLDHLESVDAENLSEWNNVRLKRILVDYMLRMSYYDTAMKLAESSNIQDLVDIDVFQEAKKVIDALRNQEVGPALAWCVDNKSRLKKSKSKFEFQLRLQEFIELVRAENHMRAILYARRHLAPWGATHLKELQRVMATLAFRSNTGCAKYKVLFEPKQWDFLVDQFKQEFCRLYGMTLEPLLNIYLQAGLSALKTPYPYRDCHSLTAYGFIYIYLVTL >EOY18436 pep chromosome:Theobroma_cacao_20110822:10:3490722:3495272:-1 gene:TCM_043026 transcript:EOY18436 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH/CRA/RING-U-box domains-containing protein isoform 4 MEIDPLPNGSGSVGTTIPEAAATTTAATAALKTTPSSKLSQLTESLKLEHQLLRVPFEHYKKTIRANHRAVEKEVSSVISSVADVADCNEPSKEDAVLNLTSLVSRLQGLKRKLEEGSRTENLQAQRCRARLDHLESVDAENLSEWNNVRLKRILVDYMLRMSYYDTAMKLAESSNIQDLVDIDVFQEAKKVIDALRNQEVGPALAWCVDNKSRLKKSKLRLQEFIELVRAENHMRAILYARRHLAPWGATHLKELQRVMATLAFRSNTGCAKYKVLFEPKQWDFLVDQFKQEFCRLYGMTLEPLLNIYLQAGLSALKTPYCYEDDCTKEDPLSQDSFRKLALPLPYSKQHHSKLVCYITKELMDTENPPQVLPNGYVYSTKALKEMAEKNNGTITCPRTGLVCNYSELVKAYIS >EOY18460 pep chromosome:Theobroma_cacao_20110822:10:3522663:3524925:-1 gene:TCM_043032 transcript:EOY18460 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--glycerol-3-phosphate 3-phosphatidyltransferase isoform 1 MAGLKLSMAASICVKPSKWVRTITATNSRAPPSLHLTRRTIDPSSSSAQFSIPLPNKNLPFFRFASPTCFSSSAKNKGAFNGSGSASGGILADMDSDSKTSPLMQQQQDHQPKLSSSNNSSSKILTLPTILTLGRVAAVPLLIFTFYVDSWWGRTATTSIFIAAAITDWLDGYIARKMRLHSVFGAFLDPVADKLMVAATLVLLCSRPLNVAVFGQVPWLLIVPSIAIIGREITMSAVREWAASQNSCCSK >EOY18458 pep chromosome:Theobroma_cacao_20110822:10:3521830:3524807:-1 gene:TCM_043032 transcript:EOY18458 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--glycerol-3-phosphate 3-phosphatidyltransferase isoform 1 MAGLKLSMAASICVKPSKWVRTITATNSRAPPSLHLTRRTIDPSSSSAQFSIPLPNKNLPFFRFASPTCFSSSAKNKGAFNGSGSASGGILADMDSDSKTSPLMQQQQDHQPKLSSSNNSSSKILTLPTILTLGRVAAVPLLIFTFYVDSWWGRTATTSIFIAAAITDWLDGYIARKMRLHSVFGAFLDPVADKLMVAATLVLLCSRPLNVAVFGQVPWLLIVPSIAIIGREITMSAVREWAASQNSKLLEAVAVNNLGKWKTATQMAALTILLATRDSSLGEPGIFVASGVILLYISAGLSVMSLGVYMGKIWKVLIK >EOY18461 pep chromosome:Theobroma_cacao_20110822:10:3521350:3527266:-1 gene:TCM_043032 transcript:EOY18461 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--glycerol-3-phosphate 3-phosphatidyltransferase isoform 1 MAGLKLSMAASICVKPSKWVRTITATNSRAPPSLHLTRRTIDPSSSSAQFSIPLPNKNLPFFRFASPTCFSSSAKNKGAFNGSGSASGGILADMDSDSKTSPLMQQQQDHQPKLSSSNNSSSKILTLPTILTLGRVAAVPLLIFTFYVDSWWGRTATTSIFIAAAITDWLDGYIARKMRLHSVFGAFLDPVADKLMVAATLVLLCSRPLNVAVFGQVPWLLIVPSIAIIGREITMSAVREWAASQNSKLFAADLSCYFWSLSDECLHILKLSSLLKWIKEKALAF >EOY18459 pep chromosome:Theobroma_cacao_20110822:10:3522568:3524800:-1 gene:TCM_043032 transcript:EOY18459 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--glycerol-3-phosphate 3-phosphatidyltransferase isoform 1 MAGLKLSMAASICVKPSKWVRTITATNSRAPPSLHLTRRTIDPSSSSAQFSIPLPNKNLPFFRFASPTCFSSSAKNKGAFNGSGSASGGILADMDSDSKTSPLMQQQQDHQPKLSSSNNSSSKILTLPTILTLGRVAAVPLLIFTFYVDSWWGRTATTSIFIAAAITDWLDGYIARKMRLHSVFGAFLDPVADKLMVAATLVLLCSRPLNVAVFGQVPWLLIVPSIAIIGREITMSAVREWAASQNSKLLEAVAVNNLGKWKTATQMAALTILLATRDSSLGEPGIFVASGVILLYISAGLSVMSLGVYMGKIWKVLIK >EOY20069 pep chromosome:Theobroma_cacao_20110822:10:24670757:24676947:-1 gene:TCM_045471 transcript:EOY20069 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MKKTQKSNKEKDEKMSKKSNSKGSEIFASCSFSSLGLHSTLCDQLRERLGFEAPTLVQAQSIPVILSGRHVLVNAETGSGKTIAYLAPIVHHLQGYSPRIERSHGTFALVLVPTRELCMQVYEILQKLLHRFHWIVPGYVMGGENRNKEKARLRKGISILIATPGRLLDHLKHTSSFVHTSLRWIIFDEADRILELGFGKDIEEILDLLGSKANESAHKGKSSEFQRQNLLLSATLNEKVNHLAKISLENPVMIGLDNTKMQNPSLDQTRSLGSDEDEELDNSSKLVSSSSGDYKLPAQLVQRYVKVPCGSRLAVLLSILKHLFERETSQKIVVFFSTCDAVDLHYSLLSEFQWSPYSQFEAELKQKFLKCKTFRLHGNMKQEDRRTTFSAFKTEKSALLVSTDVAARGLDFPKVRCIIQYDSPGEATEYVHRVGRTARLGERGESLLFLQPIEVDYLQDLEKHGVSLTEYPLLKILDSFPLHGQTHRVKKFVLLESHPWVVSLQKELESYILSEPKIKKLAKNAFCSWVRAYTAHRGDLKRIFMVKKLHLGHVAKSFALKEQPSLVGKSFQNQSKKRKRDQRQMGLHKKRKVASKTGI >EOY20070 pep chromosome:Theobroma_cacao_20110822:10:24667176:24676811:-1 gene:TCM_045471 transcript:EOY20070 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MKKTQKSNKEKDEKMSKKSNSKGSEIFASCSFSSLGLHSTLCDQLRERLGFEAPTLVQAQSIPVILSGRHVLVNAETGSGKTIAYLAPIVHHLQGYSPRIERSHGTFALVLVPTRELCMQVYEILQKLLHRFHWIVPGYVMGGENRNKEKARLRKGISILIATPGRLLDHLKHTSSFVHTSLRWIIFDEADRILELGFGKDIEEILDLLGSKANESAHKGKSSEFQRQNLLLSATLNEKVNHLAKISLENPVMIGLDNTKMQNPSLDQTRSLGSDEDEELDNSSKLVSSSSGDYKLPAQLVQRYVKVPCGSRLAVLLSILKHLFERETSQKIVVFFSTCDAVDLHYSLLSEFQWSPYSQFEAELKQKFLKCKTFRLHGNMKQEDRRTTFSAFKTEKSALLVSTDVAARGLDFPKVRCIIQYDSPGEATEYVHRVGRTARLGERGESLLFLQPIEVDYLQDLEKHGVSLTEYPLLKILDSFPLHGQTHRVKKFVLLESHPWVVSLQKELESYILSEPKIKKLAKNAFCSWVRAYTAHRGDLKRIFMVKKLHLGHVAKSFALKEQPSLVGKSFQNQSKKRKRDQRQMGLHKKRKVASKTGI >EOY18834 pep chromosome:Theobroma_cacao_20110822:10:5325347:5334051:-1 gene:TCM_043329 transcript:EOY18834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 3 MLPLTCILLICTLGSVSSIARAAFNLSLPHQHPDPESVVQDVQRRLNVSLSRRQALSVTQKDQCRTGNPIDDCWRCDPNWYNNRQHLADCSIGFAQGTLGGKGGRIYTVTDSSDSNPANPKPGTLRHAVIQNEPLWIIFSTNMVIKLKHELIFNSYKTVDGRGANVHVTGNGCITLQYVSHVIIHNIHVHHCKPSGNTDIASSPTHVGWRGRSDGDGISIFSSQKLWIDHCSLSYCTDGLIDAIMGSTGITISNNYFSHHDEVMLLGHDDRYLPDSGMQVTIAFNHFGQGLVQRMPRCRRGYIHVVNNDFTAWEMYAIGGSANPTINSQGNRYTAPGDPNAKEVTKRVDTNEKDWTDWNWRTEGDLLVNGAYFVPSGAGLSAQYAKASSVEPKSAALIEQLTFNAGVFGEAREETGSFSYPGYSSGGSSSTSTGSARSGDDGDYFGMIFGSGSPLPPASTSIASIFLSLLIILVLYSITNQGALPSLLSLLLL >EOY18836 pep chromosome:Theobroma_cacao_20110822:10:5325664:5333239:-1 gene:TCM_043329 transcript:EOY18836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 3 GEKERVRRRAAFNLSLPHQHPDPESVVQDVQRRLNVSLSRRQALSVTQKDQCRTGNPIDDCWRCDPNWYNNRQHLADCSIGFAQGTLGGKGGRIYTVTDSSDSNPANPKPGTLRHAVIQNEPLWIIFSTNMVIKLKHELIFNSYKTVDGRGANVHVTGNGCITLQYVSHVIIHNIHVHHCKPSGNTDIASSPTHVGWRGRSDGDGISIFSSQKLWIDHCSLSYCTDGLIDAIMGSTGITISNNYFSHHDEVMLLGHDDRYLPDSGMQVTIAFNHFGQGLVQRMPRCRRGYIHVVNNDFTAWEMYAIGGSANPTINSQGNRYTAPGDPNAKEVTKRVDTNEKDWTDWNWRTEGDLLVNGAYFVPSGAGLSAQYAKASSVEPKSAALIEQLTFNAGVFGEAREETGSFSYPGYSSGGSSSTSTGSARSGDDGDYFGMIFGSGSPLPPASTSIASIFLSLLIILVLYSITNQGALPSLLSLLLL >EOY18835 pep chromosome:Theobroma_cacao_20110822:10:5326097:5333040:-1 gene:TCM_043329 transcript:EOY18835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 3 MLPLTCILLICTLGSVSSIARAAFNLSLPHQHPDPESVVQDVQRRLNVSLSRRQALSVTQKDQCRTGNPIDDCWRCDPNWYNNRQHLADCSIGFAQGTLGGKGGRIYTVTDSSDSNPANPKPGTLRHAVIQNEPLWIIFSTNMVIKLKHELIFNSYKTVDGRGANVHVTGNGCITLQYVSHVIIHNIHVHHCKPSGNTDIASSPTHVGWRGRSDGDGISIFSSQKLWIDHCSLSYCTDGLIDAIMGSTGITISNNYFSHHDEVMLLGHDDRYLPDSGMQVTIAFNHFGQGLVQRMPRCRRGYIHVVNNDFTAWEMYAIGGSANPTINSQGNRYTAPGDPNAKEVTKRVDTNEKDWTDWNWRTEGDLLVNGAYFVPSGAGLSAQYAKASSVEPKSAALIEQLTFNAGVFGEAREETGSFSYPGYSSGGSSSTSTGSARSGDDGDYFGMIFGSGSPLPPASTSIASIFLSLLIILVLYSITNQGALPSLLSLLLL >EOY18535 pep chromosome:Theobroma_cacao_20110822:10:3757198:3765434:1 gene:TCM_043072 transcript:EOY18535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MQYFVMEIWRIANVKEKKIGSQQTGNQTSKGIKPCCTVQLEGEKTRQEFTLSGTITSSLATSFMAWTGSSFEWSSGYGLKTDGLGSCSKEDVGPDSQGHANQIVKSSLNQTEDPSGHSLENQLHSDDKENLFSFQNEVDGLRGEEVSVLREFQLPEASRSRKKVDIDGFRLIEAAKDAPWKREEEKPDQFESKYDLRKSLAWDSAFFTSPGVLDPEELFETLNFHDGDNGDSQSELKEANDLPSESLAASRIGECVVRRSLAWDSAFFTNAGVLDPEELSMVNKGYKKSETQNHILPGIEEEFWKSADSNSTIDSDYSLASLEFDLFDDMRASMHKSIKAYNLVNSSCNLQSQRGRQNPHSSKRLDTTKFQIKPLPAFRRQTVSMHGVAKIANEATNPPRAKHATQCGEQNTSSSLKPSKTFSQANPLTAAATKRASLGANHLKMEKKIRKAASGQIMSKKPCFGDSCSVIPGLTLSPEPASSLLRIASRDFGRSECTQSTPIAKSPNSLRRKNDLAACDSSSRTPCRSLTRSKNKLLDSTHPTHLPSTLNSFTSLSSSVGCWSAESSTSGNYVSSNSSTSVDIAFRRGVSAASQGSHTKNRSCDRPFVRNESKKTRLAYQDVNGVSKGSSPLPPAVSREIKPSGLRMPSPKIGFFDVENFSALTPNGGLKFHSGMQSTSKTRSGLHHPNGNSNRGRVGKFQPPRTSTRTSNMNERKMGSQQIGDQTSKGIKPCCSVQLEGEKACQEFTLSGTMTSSFATSFMAGTDSSCECSSGNGLKTDGLGSYSKEITGPDSQGHANQIVKSSPNQNEAASGHPLENQLHSDDKENLFSFENEVDVLSKQIEAIDFRGDLVIEF >EOY18536 pep chromosome:Theobroma_cacao_20110822:10:3761923:3766330:1 gene:TCM_043072 transcript:EOY18536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSSKRSVLREFQLPEASRSRKKVDIDGFRLIEAAKDAPWKREEEKPDQFESKYDLRKSLAWDSAFFTSPGVLDPEELFETLNFHDGDNGDSQSELKEANDLPSESLAASRIGECVVRRSLAWDSAFFTNAGVLDPEELSMVNKGYKKSETQNHILPGIEEEFWKSADSNSTIDSDYSLASLEFDLFDDMRASMHKSIKAYNLVNSSCNLQSQRGRQNPHSSKRLDTTKFQIKPLPAFRRQTVSMHGVAKIANEATNPPRAKHATQCGEQNTSSSLKPSKTFSQANPLTAAATKRASLGANHLKMEKKIRKAASGQIMSKKPCFGDSCSVIPGLTLSPEPASSLLRIASRDFGRSECTQSTPIAKSPNSLRRKNDLAACDSSSRTPCRSLTRSKNKLLDSTHPTHLPSTLNSFTSLSSSVGCWSAESSTSGNYVSSNSSTSVDIAFRRGVSAASQGSHTKNRSCDRPFVRNESKKTRLAYQDVNGVSKGSSPLPPAVSREIKPSGLRMPSPKIGFFDVENFSALTPNGGLKFHSGMQSTSKTRSGLHHPNGNSNRGRVGKFQPPRTSTRTSNMNERKMGSQQIGDQTSKGIKPCCSVQLEGEKACQEFTLSGTMTSSFATSFMAGTDSSCECSSGNGLKTDGLGSYSKEITGPDSQGHANQIVKSSPNQNEAASGHPLENQLHSDDKENLFSFENEVDVLSKQIEAIDFRGDLVIEF >EOY19185 pep chromosome:Theobroma_cacao_20110822:10:13051004:13054790:1 gene:TCM_044057 transcript:EOY19185 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 2 KARKALKKTVKKASSHFALSDSKTASADFLPLEGGPSRELPGNKPQQNKATVLYIGRIPHGFYEKEMEAYFQQFGAIKRLRIARNKKTGKSKHFGFIEFENPQVAEVVADCMHNYLLFEHLLQVHLIPPEHVHPKLWRGFNYKYKPVDYVQIERKRQNKVRTLEEHKKLVEKILKRDQKRRKMIEAAGIDYECPEIVGSSQPAPKKIKFDED >EOY19184 pep chromosome:Theobroma_cacao_20110822:10:13050776:13055210:1 gene:TCM_044057 transcript:EOY19184 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 2 MGLKARKALKKTVKKASSHFALSDSKTASADFLPLEGGPSRELPGNKPQQNKATVLYIGRIPHGFYEKEMEAYFQQFGAIKRLRIARNKKTGKSKHFGFIEFENPQVAEVVADCMHNYLLFEHLLQVHLIPPEHVHPKLWRGFNYKYKPVDYVQIERKRQNKVRTLEEHKKLVEKILKRDQKRRKMIEAAGIDYECPEIVGSSQPAPKKIKFDED >EOY17602 pep chromosome:Theobroma_cacao_20110822:10:328294:335199:1 gene:TCM_042390 transcript:EOY17602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Restriction endonuclease, type II-like superfamily protein, putative isoform 1 MEKSRRVMCPENEGLANYLLQKRQELAEKPQGIKENTDMTLSKAYNNICKAQHPIKTLKDLNDIKGVGKWILVLMRSYFDNGSGSSEPEDVTTKGKKTKGNRRYLPQKNSVAYALLITLYRETADGNEFMHKQDLIDAAEASGLSRAPIAPDKGKGRPSQFGSSSRDWYSGWSCMSILIKKGFVAKSSCPAKYMLTPEGKEAARECLMKSKMEDPLENLVNVERFSQPETQNACIEEFVHSDSVREEANATAAFRQKKFIDVPLDSLEKCTRMGYSEGQVHCAFAEVSETSKNKEISSLWPAVLCRLREDQVYGQEDRVGFQSTRMDLTDDGGDVPNVFTLRACSSSRPSSEGLDANINILSIAPLSFGERFEDVYEVILILDDREHFTSQGARSKKMIEKICSEFKIKINVRRLPIGDGIWIARHKHLFKEYVLDFIVERKKVDDLRFSIRDNRYRDQKLRLLRSGLKKLIYLVEGDPNSSEAAESIKTACFTTEILEGFDVQRTSGLHDTLRKYAYLTRAITQYYKLHLPEDQSRCTGVCPSFDEFILRCQELDKMTVSDVFAIQLMQVPQVTEEVAIAVVDLYPTLVSLAHAYSLLEGDVCAQEEMLRKQSNNVVTSAASKNIFRFVWAD >EOY17603 pep chromosome:Theobroma_cacao_20110822:10:329247:335154:1 gene:TCM_042390 transcript:EOY17603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Restriction endonuclease, type II-like superfamily protein, putative isoform 1 MSILIKKGFVAKSSCPAKYMLTPEGKEAARECLMKSKMEDPLENLVNVERFSQPETQNACIEEFVHSDSVREEANATAAFRQKKFIDVPLDSLEKCTRMGYSEGQVHCAFAEVSETSKNKEISSLWPAVLCRLREDQVYGQEDRVGFQSTRMDLTDDGGDVPNVFTLRACSSSRPSSEGLDANINILSIAPLSFGERFEDVYEVILILDDREHFTSQGARSKKMIEKICSEFKIKINVRRLPIGDGIWIARHKHLFKEYVLDFIVERKKVDDLRFSIRDNRYRDQKLRLLRSGLKKLIYLVEGDPNSSEAAESIKTACFTTEILEGFDVQRTSGLHDTLRKYAYLTRAITQYYKLHLPEDQSRCTGVCPSFDEFILRCQELDKMTVSDVFAIQLMQVPQVTEEVAIAVVDLYPTLVSLAHAYSLLEGDVCAQEEMLRKQSNNVVTSAASKNIFRFVWAD >EOY17601 pep chromosome:Theobroma_cacao_20110822:10:328301:335154:1 gene:TCM_042390 transcript:EOY17601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Restriction endonuclease, type II-like superfamily protein, putative isoform 1 MEKSRRVMCPENEGLANYLLQKRQELAEKPQGIKENTDMTLSKAYNNICKAQHPIKTLKDLNDIKGVGKWILVLMRSYFDNGSGSSEPEDVTTKGKKTKGNRRYLPQKNSVAYALLITLYRETADGNEFMHKQDLIDAAEASGLSRAPIAPDKGKGRPSQFGSSSRDWYSGWSCMSILIKKGFVAKSSCPAKYMLTPEGKEAARECLMKSKMEDPLENLVNVERFSQPETQNACIEEFVHSDSVREEANATAAFRQKKFIDVPLDSLEKCTRMGYSEGQVHCAFAEVSETSKNKEISSLWPAVLCRLREDQVYGQEDRVGFQSTRMDLTDDGGDVPNVFTLRACSSSRPSSEGLDANINILSIAPLSFGERFEDVYEVILILDDREHFTSQGARSKKMIEKICSEFKIKINVRRLPIGDGIWIARHKHLFKEYVLDFIVERKKVDDLRFSIRDNRYRDQKLRLLRSGLKKLIYLVEGDPNSSEAAESIKTACFTTEILEGFDVQRTSGLHDTLRKYAYLTRAITQYYKLHLPEDQSRCTGVCPSFDEFILRCQELDKMTVSDVFAIQLMQVPQVTEEVAIAVVDLYPTLVSLAHAYSLLEGDVCAQEEMLRKQSNNVVTSAASKNIFRFVWAD >EOY19656 pep chromosome:Theobroma_cacao_20110822:10:19501520:19521434:-1 gene:TCM_044801 transcript:EOY19656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQAVLSPSHRQLMVQPEEKIGEGEDRKDKVELESSLVMQPEEKIGEGEDVKNKVDNISKDSCGSSNSYGTNLSLYRNRKRWYKVRFSEMHYRDEQVALLLDALKCDKFELPSIYRYRSIRLFEAYMTYAEAQKVRVCKTNQVWNF >EOY18897 pep chromosome:Theobroma_cacao_20110822:10:5998849:6005541:-1 gene:TCM_043405 transcript:EOY18897 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MASGSIASKLAREIGSIVKKAVSSNRGWYGPHMAAASCAIAQRLPLVDHIVEIRDARIPLSSEYELLRIVPPRPPSKRIVVMNKMDLTNPTQIKEWMRYFEQQKCISYGVNSHNKDSVKGLLNFIQAQVRELNKADHRFSDAITVMLVGIPNVGKSALANSLHQIGRISAAEKGKLKHALVSPQPGETKDISSLKIGSHPNIYLLDTPGILPPTIHDAERCSKLALTGAIRDSLIGLKDLAQYFLAILNLSDQYKNWAKLSTNWGKVSILEHKGEHSSSSKLEMRQRRQYLMDHTQDFMVHDVRRALFDVISSFDGNLECEDDMVKLIEAQFVALREAFHVQEEMDQNVQDKVAVKLLNLCRTGRLGHYTLDQVPVTHCDCLTSSSDSRRLGILPTRRLCEKFNDCRPARLVTSLGISPDKLLSERSTVLTSLSVLLYSTTLPFITTNISSKKLPLEVLLKEQQKGEGHRIELLKSKSR >EOY18898 pep chromosome:Theobroma_cacao_20110822:10:6001183:6005548:-1 gene:TCM_043405 transcript:EOY18898 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MASGSIASKLAREIGSIVKKAVSSNRGWYGPHMAAASCAIAQRLPLVDHIVEIRDARIPLSSEYELLRIVPPRPPSKRIVVMNKMDLTNPTQIKEWMRYFEQQKCISYGVNSHNKDSVKGLLNFIQAQVRELNKADHRFSDAITVMLVGIPNVGKSALANSLHQIGRISAAEKGKLKHALVSPQPGETKDISSLKIGSHPNIYLLDTPGILPPTIHDAERCSKLALTGAIRDSLIGLKDLAQYFLAILNLSDQYKNWAKLSTNWGKVSILEHKGEHSSSSKLEMRQRRQYLMDHTQDFMVHDVRRALFDVISSFDGNLECEDDMVKLIEAQFVALREAFHVQEEMDQNVQDKVAVKLLNLCRTGRLGHYTLDQVPVTHCDCL >EOY19949 pep chromosome:Theobroma_cacao_20110822:10:24044559:24045977:1 gene:TCM_045350 transcript:EOY19949 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein MSMPIIDKELPEILVMEILLRLPVKSLIRFKCVCKSWCFSFQTPYFITNHKNDNLNLLFKDFKISRFSLLSAETKIKRHGGPDVEFNLKVKENIHMPVSICNSSRSRLTVSGVCNGLLCLQDGYRITLWNPSTREVKLLPKSTISLPPSVESTYFHCMGFEFDPKSDDYKVLVNVINRVHDEERIISFKYINQIHLYSLSTDSWKEIPHPKVLFYGLHHLFITYINGICHYINGICHWPAFGDSGDLILSFDMAEEVFSTS >EOY19054 pep chromosome:Theobroma_cacao_20110822:10:9149662:9155562:1 gene:TCM_043714 transcript:EOY19054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLYEFNDKTYSTLSNDSWMPFYLRTSLDHYNITFRCPWLCVGDFNEIFYESEKIGGTDRNESSMRAFRETCTDCVLRDLGYRGPRFTWWNNRDEEARIRCRLDRAMATQDWSAKFPRMVVFTESLGALDHLVLRSIPLKDVQDRIKEKQKELQDAYVEEVSLLANQRIKVLQKEVQRLAREKELVLAHIQPCITVDMNEVLAADITLEEVRLVLF >EOY17864 pep chromosome:Theobroma_cacao_20110822:10:1222047:1225445:1 gene:TCM_042571 transcript:EOY17864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MELSFAPKSMQMSMLKLLPKPASFISSVWFATHGGRRQPLAYCLVRRSTLFSSRKFRGHNLKLARCVRFNDFSDGESSEWFGGDFQLFDYTEDEENHEEEPAPAVYEESGEEGKEMELSMQLLPSKVEFLEPYLLGIRPEPPYWPERDEVSRISIEHKANSLDIPLSLRIIKKKQRWKEGFVDAGEYAYCSVKNAFSSLVFIIRELQNHTWQIRENLQPEDLRVILTKMQGDLNSMFVWLFQQVFSKTPTLMVYLMLLLANFSVHSMAPQQPSTTQKAIAATMSLTEEESEETSGSGSSTSLQHTSGELDLWKSMVEEAARMQGGHHQKINMQFRPDNHEELVKRNIVYQMCIAEEPTNPLLVVNYARFLYLVAHDHDRAEEWFKRAIQIEPPDAEALGQYADFLWKVRNDHWEAEERYLQAVAADPENPHYASKYADFLWSTGAKDICFPLSSPHDSYNKVS >EOY19500 pep chromosome:Theobroma_cacao_20110822:10:18186280:18193423:-1 gene:TCM_044615 transcript:EOY19500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain MATEAERVVVILDASRELGLSTIKWALLGLPLKPGDKLILLGILHQVNNPSTLSFMGAGKLSKNSCVFVLEKEKEKTIDMIMGYRIKVDPSSMFGTNRKIIAEEMERKIEEYKKHAEIVKISERCKKGQIEFRIEMRAGSPLKAVASKAAKRLHATWIVLDSLFLMEVTVPKSETNAYLRKVHYDRHLKNDRRYFLENLSCNIVRMKKDNNVEELRGPNVRDNYKAPAARKSNVTYAEMIPATSPCKAQTPRKSQRVENTSLVKEQGGEGSGEHPWHYSRKSTSYSASTSSRGSNRASTSGYNESKSPSSHLHDEEYTTTTGPETGGEHSPLSIIESGDQKDLYSPDENEKQHNHNDDWMGRNPGDQVFKNSICLICQNRRPKIGWMRDFTYAELQAATDGFHARNFLSEGGFGSVYKGVINGLKIAVKQHKYNASLQGEKEFKSEVQVLRKARHENLVMLVGSCSEGNHRLLVYEFVCNGSLDLHLSKHTRRPLTLEKRVKIALGAARGLKYLHDNNIVHRDMRPNNILVTHDFEPLLGDFGLAKTQQEDSDQSSETVTRVVGTLGYLAPEYAECGKVSTKTDVYSFGVVLLQLITGMKTTDKRLGGKSLVGWARPLLKDRNYPDLIDPRILESHDVHQLFWMVRVAEKCLSKDPQKRLSMDKVVYALNYIMDCDSVCGFRDFSPAESDKVSRDSCESQSPSPCDDDSTFTIEITSPSHFSGRLPPSPSISRKSSASTLYGE >EOY17563 pep chromosome:Theobroma_cacao_20110822:10:197453:200769:-1 gene:TCM_042365 transcript:EOY17563 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein isoform 1 MVGTTEATGQNVHANGLIHNSNGSLEEKLDELRHLMGKAEGDPLRIVGVGAGAWGSVFTALLQDSYGHLRDKVLIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADVVINGLPSTETHQVFEEIRRYWKERITVPVIISLAKGVEAELGPQPRIITPTQMINRATGIPIENILYLGGPNIASEIYNKEYANARICGAEKWRKALAKFLRQPHFIVWDNGDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQQLAKGVLSLEMGDSIKGKGMIQGVSAVKAFYELLSQFSLSVLHPEENKHVAPVELCPILRMLYKILITREFPLQAILEALRDETMYDPKDRIEIAQTHVFYRPSLLGQQP >EOY17564 pep chromosome:Theobroma_cacao_20110822:10:197475:200526:-1 gene:TCM_042365 transcript:EOY17564 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein isoform 1 MVGTTEATGQNVHANGLIHNSNGSLEEKLDELRHLMGKAEGDPLRIVGVGAGAWGSVFTALLQDSYGHLRDKVLIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADVVINGLPSTETHQVFEEIRRYWKERITVPVIISLAKGVEAELGPQPRIITPTQMINRATGIPIENILYLGGPNIASEIYNKEYANARICGAEKWRKALAKFLRQPHFIVWDNGDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQQLAKGVLSLEMGDSIKGKGMIQGVSAVKAFYELLSQFSLSVLHPEENKHVAPVELCPILRMLYKILITREFPLQAILEALRDETMYDPKDRIEIAQTHVFYRPSLLGQQP >EOY18679 pep chromosome:Theobroma_cacao_20110822:10:4353899:4357494:-1 gene:TCM_043170 transcript:EOY18679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein isoform 1 MTEPIFAEYIGKFQIEAIEFDNLSLGTLPPEIHGLKVCETNENELVMEPAVRWAGNPNIVLVLKLLSFRITLQLVDLQIFAAPRITLKPLVPTLPCFAAVVVSLLEKPEVDFGMTILGGDIMAIPGLYQFVQKTIKKQVASLYHWPQTLEIPILDAATVAVKKPVGILHVKIVRAQKLLKKDILGTSDPYVKLFLTGESLPAKKTTIKKRNLNPEWNEKFKLIVKDPQSQVLHLQVYDWDKVGTHDHLGMQLVPLKTLTPYETTEFNLDLLKHTNIITDPQDKKKRGNIVVELTYAPFREESIKIDGPQDGYGRQESGFDRPSDSELFSGAGLLSVMVQGAEDVEGERHNNPYAVVLFRGETKKTKMIKRTRDPLWNEEFQFMLEEPPLNENIHIDVMSKRTGISFRSKVCNFLHSHCGILSVMLVSQTIFNVGIFGICGY >EOY18675 pep chromosome:Theobroma_cacao_20110822:10:4353293:4359621:-1 gene:TCM_043170 transcript:EOY18675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein isoform 1 MGLLSTLFGIIGFGIGVPFGLLVGFFLFIYSKPKTVMEPIHRPVYELDNSALLDLLPDIPLWVKCPDYDRVDWLNKFVTYMWPYLDKAVCARIRSMTEPIFAEYIGKFQIEAIEFDNLSLGTLPPEIHGLKVCETNENELVMEPAVRWAGNPNIVLVLKLLSFRITLQLVDLQIFAAPRITLKPLVPTLPCFAAVVVSLLEKPEVDFGMTILGGDIMAIPGLYQFVQKTIKKQVASLYHWPQTLEIPILDAATVAVKKPVGILHVKIVRAQKLLKKDILGTSDPYVKLFLTGESLPAKKTTIKKRNLNPEWNEKFKLIVKDPQSQVLHLQVYDWDKVGTHDHLGMQLVPLKTLTPYETTEFNLDLLKHTNIITDPQDKKKRGNIVVELTYAPFREESIKIDGPQDGYGRQESGFDRPSDSELFSGAGLLSVMVQGAEDVEGERHNNPYAVVLFRGETKKTKMIKRTRDPLWNEEFQFMLEEPPLNENIHIDVMSKRTGISFRSKEFLGYVDINLTDVVHNGRINQKYHLINSKNGVIHVEIRWTTV >EOY18677 pep chromosome:Theobroma_cacao_20110822:10:4353899:4359532:-1 gene:TCM_043170 transcript:EOY18677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein isoform 1 MWPYLDKAVCARIRSMTEPIFAEYIGKFQIEAIEFDNLSLGTLPPEIHGLKVCETNENELVMEPAVRWAGNPNIVLVLKLLSFRITLQLVDLQIFAAPRITLKPLVPTLPCFAAVVVSLLEKPEVDFGMTILGGDIMAIPGLYQFVQKTIKKQVASLYHWPQTLEIPILDAATVAVKKPVGILHVKIVRAQKLLKKDILGTSDPYVKLFLTGESLPAKKTTIKKRNLNPEWNEKFKLIVKDPQSQVLHLQVYDWDKVGTHDHLGMQLVPLKTLTPYETTEFNLDLLKHTNIITDPQDKKKRGNIVVELTYAPFREESIKIDGPQDGYGRQESGFDRPSDSELFSGAGLLSVMVQGAEDVEGERHNNPYAVVLFRGETKKTKMIKRTRDPLWNEEFQFMLEEPPLNENIHIDVMSKRTGISFRSKVCNFLHSHCGILSVMLVSQTIFNVGIFGICGY >EOY18676 pep chromosome:Theobroma_cacao_20110822:10:4353899:4359274:-1 gene:TCM_043170 transcript:EOY18676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein isoform 1 MWPYLDKAVCARIRSMTEPIFAEYIGKFQIEAIEFDNLSLGTLPPEIHGLKVCETNENELVMEPAVRWAGNPNIVLVLKLLSFRITLQLVDLQIFAAPRITLKPLVPTLPCFAAVVVSLLEKPEVDFGMTILGGDIMAIPGLYQFVQKTIKKQVASLYHWPQTLEIPILDAATVAVKKPVGILHVKIVRAQKLLKKDILGTSDPYVKLFLTGESLPAKKTTIKKRNLNPEWNEKFKLIVKDPQSQVLHLQVYDWDKVGTHDHLGMQLVPLKTLTPYETTEFNLDLLKHTNIITDPQDKKKRGNIVVELTYAPFREESIKIDGPQDGYGRQESGFDRPSDSELFSGAGLLSVMVQGAEDVEGERHNNPYAVVLFRGETKKTKMIKRTRDPLWNEEFQFMLEEPPLNENIHIDVMSKRTGISFRSKVCNFLHSHCGILSVMLVSQTIFNVGIFGICGY >EOY18678 pep chromosome:Theobroma_cacao_20110822:10:4353900:4357529:-1 gene:TCM_043170 transcript:EOY18678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein isoform 1 MMQVDWLNKFVTYMWPYLDKAVCARIRSMTEPIFAEYIGKFQIEAIEFDNLSLGTLPPEIHGLKVCETNENELVMEPAVRWAGNPNIVLVLKLLSFRITLQLVDLQIFAAPRITLKPLVPTLPCFAAVVVSLLEKPEVDFGMTILGGDIMAIPGLYQFVQKTIKKQVASLYHWPQTLEIPILDAATVAVKKPVGILHVKIVRAQKLLKKDILGTSDPYVKLFLTGESLPAKKTTIKKRNLNPEWNEKFKLIVKDPQSQVLHLQVYDWDKVGTHDHLGMQLVPLKTLTPYETTEFNLDLLKHTNIITDPQDKKKRGNIVVELTYAPFREESIKIDGPQDGYGRQESGFDRPSDSELFSGAGLLSVMVQGAEDVEGERHNNPYAVVLFRGETKKTKMIKRTRDPLWNEEFQFMLEEPPLNENIHIDVMSKRTGISFRSKVCNFLHSHCGILSVMLVSQTIFNVGIFGICGY >EOY19082 pep chromosome:Theobroma_cacao_20110822:10:9635156:9641577:-1 gene:TCM_043762 transcript:EOY19082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of gene silencing 3, putative MQSRRDEEYRKLSPTVKLRSQHMAAAEAGHQDAHPNARHEVINNQSPQPRGRGSYSPNTLDAFRRGGLVRERMSRSMDGRDSYGRHLSGGSTERVRSRSPPYEQMRKRSHYDEGVVNRKYDYVEPVGFDDNTNSRVRSVYTYDHGTSRTCKEKDYMENRVAGVDGHVTMDQKLAPLEDFALRGSHRLPQDLDPRLNYAETSGQLPLSSRGMDVGQYEQEKVRHREPIPSNKMMNMESYREDKSMFHSQNVAYSMAVTSHSKEFMGTSQLKDFAGTSPGIPKSGFLRSYQDDAPLPVSEEYPRISGKLTEPVGYNKYDQRPLIASVRDPETTRRDMTIHQQVANSPSRAEYEDYLYRKPRAIASNNHGYPADGIKRMMPSQSGVSYEHASIDYGHRDMPKPNILHCVVDRIDNTNDSCGNLRKGVIWDNHALQKQINSDYIDMSSSYASMQGGEYLGSEHTHVKFGRRLPQEYEMSHLDALHDRQVSNLRSDNGFGKGAGPDFRKERLKDSSANYDAEQCRLGLRAQRMEEELDMHSDRIFKRKYLMEEDVNRPSSETIVSSKLHAPGDFGGPYVREEQIDEDIIGLHASRTKGYGHNEYRQVGRTYDGRDHCGDLASDDWFTSQDSLAHSERVPVRYYKNSGKFIRGNPRAGSFVSHTSHHNDRRSNLYKQNKVRKRNDDYDEYVNANDDDMTEDLVNHAEAELSEDSEEFKQLVHEAFLKYSKKLNLNQSVRRRYKEQGHAGSLFCIVCGRSYSKEFMDTQRLVTHAFMSHKVGLRAQHLGLHKAICVLLGWDSIAPPDTVTWVPHILPEAEALAQKEDLVLWPPIVVIHNISMANNDPQEQKVVPIEGVQAFLRDKGFIGGKITVCLGRPADQSIMVVKFLGTFTGLAMAERLHKYFVGQDRGRVDFQQITSNNGKSSVGEMQIQVDKLEEQLLYGYMAIAEDLDKLDFHNRKWSLIKSKKEIQDLANDPVKIDER >EOY17848 pep chromosome:Theobroma_cacao_20110822:10:1149696:1159085:-1 gene:TCM_042561 transcript:EOY17848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MVKLLVCFVIILSLLAPGSLKFTLALGNETDRIALLSVKDQLVGAGAGPLHSWNASLHFCEWQGVTCGRRHQRVTALDLDGLKLAGSLSPSIGNLTFLRRLNLSDNRLQGNIPKEVGYLRRLRVFDLFQNNLHGRIPVELANCSNLQRIHITDNNLTGEVPFELGDLSKLIRLSLAANNLVGGIASSLGNLSSLWHLSVSYNHLEGNIPDALAKALNLRNLFLGGNNLAGTLPLSFHNLSSLEMINLALNNFSGSLAAVIGILSPNLRYFTSGGNHLIGTIPMSISNLSNLEMFDISVNGINGSVPNDLGNLKNLQEFKIGGNYFGNGKIGDLDFLSSLSNCSLLKYLDLELNQLGGLLPESIGNLSIQLNMLYIGWNQISGDIPEGIGNLVNLIVMDMRRNALVGTLPTSIGKLPNLERLLLGWNNFLGEIPSFIGNLSRLFDLVLFGNNFVGRIPLALRNCKNMQSLFLSENKLTGSIPDQLFSAFKSLIVVNISYNSLTGPLPSDFGNLEYLVGLFVYENKLSGEIPKTLGECSGLRSLDMAGNFFQGSIPFSFGSLKSLEILNLSRNNLSGTIPHELEKLPFLSSLNLSFNHLEGEVPKGGVFNKSSGFLVVGNKNLCGGIPEIKLPKCFNQEPRNKGTALSIKAIIGMILGILIASILVVLLFVRCCRHRSGKKLIPVALFGDGYLRVSYKELLQATGGFASSNSIGVGSFGSVYKGVLHQQEKPVAVKVLNLQNRGAAKSFTAECKALRKVRHRNLLKIITSCSSIDYQGNDFKALVFEFIPNGSLDSWLHEQHESRYLNFVQRLDIAIDVANAIEYLHHNCEAVIVHCDLKPTNVLLDDDMVAHVSDFGLAKLLSSDTNNMGNDQTGSSMMKGTIGYVPPEYGMGGTVSPEGDIYSYGILLLEMITGRRPADGMFYGGLSLHNFCKMALPERLKEILDFRLLAQLSENNEGSRSQPNREREMLESLVSFTKIGVACSTEAPGERMGIKDSITQLLAIKATLLRTGIHRRERR >EOY20208 pep chromosome:Theobroma_cacao_20110822:10:25250043:25254709:-1 gene:TCM_045582 transcript:EOY20208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 2 MLWRYNRGFLHSKPRTQILKVLPFVLVRHFSSPEVCSTDKSQSFSWEKRRLTITPQIVHSTLVNCPSNLIALGFFLWCAKQPNYFHDGEAFDCMVNVLTQLTKKYVTVRSIVGELETVGCVIKPQTFLLLLRIYWRGGLYGMVFETFEEMATVGFTPNTFARNVIMDVLFKIGHVDVAIKVLKETGFPNFLTFNTALCNLCKLRDLSNMKYVIRRMFREGHYPNVRTLEMILNCFCKMGRLAEAYQVLSLMLILGVSVSVNAWSLLIDGFCRLRQPDMANDLFIKMLGTGCSPNVVIYTTLIKGFLDSQMVSTAFSILNRMESDGYVPDLILCNVLIDCLSKIGRYDDAFDVFVSLPERKLLPDSYTFCSLLSNICLSRRFSLLPKIASGLAIEGDLVVCNSLLNYFCKAGYPLHAVELYDYMLDRGFTPDKYSFVGLLSGLCGARRIDEAVNVYQSIIMYCPGLDAHVHTIIIDRLIRVGKYHRAIKLFRRALVEKYPLDVVSYNVAIFGLLKSGRVGEAFTLYSQMKELGVLPNAHTYNLVISGFCKERDLKMVKQLLQEISEAEVELDHNTVNSVTKLLFRSYPYPSALNQFIEMWNSGLIPDEAMYAQFSKGPAYGVNVGDAHHSFLKTYLRDKLLVDSSGSDDIPYVAASVG >EOY20207 pep chromosome:Theobroma_cacao_20110822:10:25249945:25255415:-1 gene:TCM_045582 transcript:EOY20207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 2 MKWICHLLVFLSLLFFSFNFITSHLLQHFYLSFCTLINMLWRYNRGFLHSKPRTQILKVLPFVLVRHFSSPEVCSTDKSQSFSWEKRRLTITPQIVHSTLVNCPSNLIALGFFLWCAKQPNYFHDGEAFDCMVNVLTQLTKKYVTVRSIVGELETVGCVIKPQTFLLLLRIYWRGGLYGMVFETFEEMATVGFTPNTFARNVIMDVLFKIGHVDVAIKVLKETGFPNFLTFNTALCNLCKLRDLSNMKYVIRRMFREGHYPNVRTLEMILNCFCKMGRLAEAYQVLSLMLILGVSVSVNAWSLLIDGFCRLRQPDMANDLFIKMLGTGCSPNVVIYTTLIKGFLDSQMVSTAFSILNRMESDGYVPDLILCNVLIDCLSKIGRYDDAFDVFVSLPERKLLPDSYTFCSLLSNICLSRRFSLLPKIASGLAIEGDLVVCNSLLNYFCKAGYPLHAVELYDYMLDRGFTPDKYSFVGLLSGLCGARRIDEAVNVYQSIIMYCPGLDAHVHTIIIDRLIRVGKYHRAIKLFRRALVEKYPLDVVSYNVAIFGLLKSGRVGEAFTLYSQMKELGVLPNAHTYNLVISGFCKERDLKMVKQLLQEISEAEVELDHNTVNSVTKLLFRSYPYPSALNQFIEMWNSGLIPDEAMYAQFSKGPAYGVNVGDAHHSFLKTYLRDKLLVDSSGSDDIPYVAASVG >EOY18418 pep chromosome:Theobroma_cacao_20110822:10:3433649:3434641:-1 gene:TCM_043015 transcript:EOY18418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYRMSHCSQEETSKDAHQWNQDEDYSSDKNQQLQQPLLVSKDVHPTSYQSFHRPQQRQTSDGFIEEPPMQVYLSKRCPTTPTTGHSNTRSAQSPSVKAHSAQDPSVAVTKAEKLPPNVSTPKAEIPPGTVDSTETLWCIVKRTTPKNIAVRRTPTSSPPSRCSCCCIL >EOY20113 pep chromosome:Theobroma_cacao_20110822:10:24933240:24936323:-1 gene:TCM_045510 transcript:EOY20113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHGLMALGIFPIGYHDSNYAELMAILHALRLFSASQYIGAQLLFESDSKVALSWVSDVRQRPWKLWQIFNEIDYLSQTIGNVSYINVLREGNSFADSLGKLGLDRCSMFTALW >EOY17985 pep chromosome:Theobroma_cacao_20110822:10:1683012:1687577:1 gene:TCM_042664 transcript:EOY17985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 2 MQTEARVGVVNPGHGGGGGKSGVDTTALKFKQQQQELLQAQKTQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDAATLRKASIWREASRIVGEEGFRAFWKGNLVTIAHRLPYSSLNFYAYERYKKLLYMLPGLESHSEHMSTDLCIHFLGGGLAGITAASATYPLDLVRTRLAAQTNVLYYRGIGHALQTICKEEGVLGLYKGLGATLLGVGPSIAISFSVYESLRSFWQSQRPHDSTVLVSLSCGSLSGIASSTEKQAVVQALH >EOY17986 pep chromosome:Theobroma_cacao_20110822:10:1683012:1687604:1 gene:TCM_042664 transcript:EOY17986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 2 MQTEARVGVVNPGHGGGGGKSGVDTTALKFKQQQQELLQAQKTQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDAATLRKASIWREASRIVGEEGFRAFWKGNLVTIAHRLPYSSLNFYAYERYKKLLYMLPGLESHSEHMSTDLCIHFLGGGLAGITAASATYPLDLVRTRLAAQTNVLYYRGIGHALQTICKEEGVLGLYKGLGATLLGVGPSIAISFSVYESLRSFWQSQRPHDSTVLVSLSCGSLSGIASSTEKQAVVQALH >EOY17984 pep chromosome:Theobroma_cacao_20110822:10:1683003:1688497:1 gene:TCM_042664 transcript:EOY17984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 2 MQTEARVGVVNPGHGGGGGKSGVDTTALKFKQQQQELLQAQKTQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDAATLRKASIWREASRIVGEEGFRAFWKGNLVTIAHRLPYSSLNFYAYERYKKLLYMLPGLESHSEHMSTDLCIHFLGGGLAGITAASATYPLDLVRTRLAAQTNVLYYRGIGHALQTICKEEGVLGLYKGLGATLLGVGPSIAISFSVYESLRSFWQSQRPHDSTVLVSLSCGSLSGIASSTAIFPLDLIRRRKQLEGAGGRAQVYTTGLFGTFKHIFRTEGFRGLYRGIVPEYYKVVPGVGICFMTYETLKMLLADVTAKL >EOY17987 pep chromosome:Theobroma_cacao_20110822:10:1683012:1687604:1 gene:TCM_042664 transcript:EOY17987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 2 MQTEARVGVVNPGHGGGGGKSGVDTTALKFKQQQQELLQAQKTQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDAATLRKASIWREASRIVGEEGFRAFWKGNLVTIAHRLPYSSLNFYAYERYKKLLYMLPGLESHSEHMSTDLCIHFLGGGLAGITAASATYPLDLVRTRLAAQTNVLYYRGIGHALQTICKEEGVLGLYKGLGATLLGVGPSIAISFSVYESLRSFWQSQRPHDSTVLVSLSCGSLSGIASSTEKQAVVQALH >EOY19445 pep chromosome:Theobroma_cacao_20110822:10:17740553:17762300:1 gene:TCM_044568 transcript:EOY19445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLEALNEDMEFMSSDDEFEDSDSETDDNERKANEAQKVRSSGRFCTSNMSISNFFMGQSFKDPGHFKVILCKYNMVKHSAFIYKKNDNVRVRAKCVVIGYEWGILALKHKNDNSFRVKTYLGTHHCLPTNKNKQVTTKVLTRKLKDDIIKI >EOY18469 pep chromosome:Theobroma_cacao_20110822:10:3542811:3549362:1 gene:TCM_043035 transcript:EOY18469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferric reduction oxidase 7 isoform 2 MDANQLNKPLLLSDGVEPDYVKKSSFLVSSVKWTLKIVMWVIFIAWFGLIFLYPGEIGNQLAEKIINATRGSVFGITGSLFMVFSAPVLIIAFLAVAHLIISGGDVFEKRKTSKSPRFRLWTFPVLVDGPFGVVSAAELIGIILFVVFIFWAVYAYTLRNLSLMTLFDIPSTEKGIVMLELTGLRFGMIGLLCLAFLFLPVARGSLLLRLIDIPFEHATRYHVWLGHLTMTLFTLHGLFYVIAWAIKGTLLKELLEWRNIGIANLPGVISLLAGLFMWVTSLHPVRKDYFELFFYTHQLYVVFVVFLALHVGDFVFSIAAGGIFIFMLDRFLRFCQSRRTVDVLSAKCLPCGTVELVLSKPRNLGYNALSFIFLQVRELSWLQWHPFSVSSSPLDGKYHLSILIKVLGGWTARLRDNILSMSETEPQKDLPFLTKTTITASVEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDILHRVRDGKPCLPRKILLVWAVKKSDELPLLSTIDMELICPFFADKVKIEINIYVTRESEPSLEEGNVHVALKSSACPLSGCNMSILVGTGNNIWSGLYVIISTVGFVISVALLRIFYINPYQVSTWWYQGFLFIACMVASVIIFGGLVISLWHLWDKKVSARDEHEDNDRTKVKSAQSNETVATKDLRQKNLENFTTIQYGSRPDFQEIFGCTSRKWGHVDVGVIVCGPPTLQSSVAKEIRSHNISRQRHQAIYHFNSHSFDL >EOY18470 pep chromosome:Theobroma_cacao_20110822:10:3543842:3554585:1 gene:TCM_043035 transcript:EOY18470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferric reduction oxidase 7 isoform 2 MDANQLNKPLLLSDGVEPDYVKKSSFLVSSVKWTLKIVMWVIFIAWFGLIFLYPGEIGNQLAEKIINATRGSVFGITGSLFMVFSAPVLIIAFLAVAHLIISGGDVFEKRKTSKSPRFRLWTFPVLVDGPFGVVSAAELIGIILFVVFIFWAVYAYTLRNLSLMTLFDIPSTEKGIVMLELTGLRFGMIGLLCLAFLFLPVARGSLLLRLIDIPFEHATRYHVWLGHLTMTLFTLHGLFYVIAWAIKGTLLKELLEWRNIGIANLPGVISLLAGLFMWVTSLHPVRKDYFELFFYTHQLYVVFVVFLALHVGDFVFSIAAGGIFIFMLDRFLRFCQSRRTVDVLSAKCLPCGTVELVLSKPRNLGYNALSFIFLQVRELSWLQWHPFSVSSSPLDGKYHLSILIKVLGGWTARLRDNILSMSETEPQKDLPFLTKTTITASVEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDILHRVRDGKPCLPRKILLVWAVKKSDELPLLSTIDMELICPFFADKVKIEINIYVTRESEPSLEEGNVHVALKSSACPLSGCNMSILVGTGNNIWSGLYVIISTVGFVISVALLRIFYINPYQVSTWWYQGFLFIACMVASVIIFGGLVIIWFQTRLPRSRWDLIIRVSVAAAVIIVWELLLCIFA >EOY19119 pep chromosome:Theobroma_cacao_20110822:10:10592852:10594705:1 gene:TCM_043864 transcript:EOY19119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L9/RNase H1 isoform 2 MAYLQHGRNALRQIIRDTNVQNQDRLMQPLLFACQGVRYRKLEVILTTSIEKLGKAGETVRVAPGYFRNHLMPKLLAVPNIDKFVHLIREQRKIYQPEEEEVQVVAKTVEDKTKEYEKAANRLVNARLVLRRFIDVEKFRARATKDDPVELRSPVTKDELVAEVARQLCVHIEPENLYLPTPLSTFGEFEVPLRLPKSIPLPEGKVHWTLQVKIRGK >EOY19117 pep chromosome:Theobroma_cacao_20110822:10:10592092:10595164:1 gene:TCM_043864 transcript:EOY19117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L9/RNase H1 isoform 2 MAYLQHGRNALRQIIRDTNVQNQDRLMQPLLFACQGVRYRKLEVILTTSIEKLGKAGETVRVAPGYFRNHLMPKLLAVPNIDKFVHLIREQRKIYQPEEEEVQVVAKTVEDKTKEYEKAANRLVNARLVLRRFIDVEKFRARATKDDPVELRSPVTKDELVAEVARQLCVHIEPENLYLPTPLSTFGEFEVPLRLPKSIPLPEGKVHWTLQVKIREEQYLLFELAKWDHRNQMSREYLSSMSDDAIYHHFKL >EOY19120 pep chromosome:Theobroma_cacao_20110822:10:10592397:10595090:1 gene:TCM_043864 transcript:EOY19120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L9/RNase H1 isoform 2 MAYLQHGRNALRQIIRDTNVQNQDRLMQPLLFACQGVRYRKLEVILTTSIEKLGKAGETVRVAPGYFRNHLMPKLLAVPNIDKFVHLIREQRKIYQPEEEEVQVVAKTVEDKTKEYEKAANRLVNARLVLRRFIDVEKFRARATKDDPVELRSPVTKDELVAEVARQLCVHIEPENLYLPTPLSTFGEFEVPLRLPKSIPLPEEEQYLLFELAKWDHRNQMSREYLSSMSDDAIYHHFKL >EOY19118 pep chromosome:Theobroma_cacao_20110822:10:10592397:10595090:1 gene:TCM_043864 transcript:EOY19118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L9/RNase H1 isoform 2 MAYLQHGRNALRQIIRDTNVQNQDRLMQPLLFACQGVRYRKLEVILTTSIEKLGKAGETVRVAPGYFRNHLMPKLLAVPNIDKFVHLIREQRKIYQPEEEEVQVVAKTVEDKTKEYEKAANRLVNARLVLRRFIDVEKFRARATKDDPVELRSPVTKDELVAEVARQLCVHIEPENLYLPTPLSTFGEFEVPLRLPKSIPLPEEEQYLLFELAKWDHRNQMSREYLSSMSDDAIYHHFKL >EOY19122 pep chromosome:Theobroma_cacao_20110822:10:10592092:10595164:1 gene:TCM_043864 transcript:EOY19122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L9/RNase H1 isoform 2 MAYLQHGRNALRQIIRDTNVQNQDRLMQPLLFACQGVRYRKLEVILTTSIEKLGKAGETVRVAPGYFRNHLMPKLLAVPNIDKFVHLIREQRKIYQPEEEEVQVVAKTVEDKTKEYEKAANRLVNARLVLRRFIDVEKFRARATKDDPVELRSPVTKDELVAEVARQLCVHIEPENLYLPTPLSTFGEFEVPLRLPKSIPLPEEEQYLLFELAKWDHRNQMSREYLSSMSDDAIYHHFKL >EOY19121 pep chromosome:Theobroma_cacao_20110822:10:10592852:10594705:1 gene:TCM_043864 transcript:EOY19121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L9/RNase H1 isoform 2 MAYLQHGRNALRQIIRDTNVQNQDRLMQPLLFACQGVRYRKLEVILTTSIEKLGKAGETVRVAPGYFRNHLMPKLLAVPNIDKFVHLIREQRKIYQPEEEEVQVVAKTVEDKTKEYEKAANRLVNARLVLRRFIDVEKFRARATKDDPVELRSPVTKDELVAEVARQLCVHIEPENLYLPTPLSTFGEFEVPLRLPKSIPLPEGKVHWTLQVKIRGK >EOY17630 pep chromosome:Theobroma_cacao_20110822:10:390729:394023:-1 gene:TCM_042407 transcript:EOY17630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 1 MILTDRKSNSILPFIALSFIRCHVLSSHDSLVITLPYHLGSSPIKCVVMHSKTTNSNNSSSRENPSSDMSSATTGVNSPLLQENRSTAKHTSVSGAVFNVSTSIIGAGIMSIPATLKVLGVVPAFFMIVVIAWLADVSVEFLMRYTHAGKSTTYAGVMKESFGRVGSVLVQICVLMNNLGCLIIYLIIIGDVLSGNQPEGSVHLGVLQEWFGIHWWNTRAFALLFIVVVIMLPLVLFRRVESLRFSSAIAVFLAVLFVAISSVMAISALFQGKTESPSLLPHLDNKTSFFDLFTAVPVIVTAFTFHFNVHPIGFEMDKPSDMMSAVRISLILCGAIYFTVGIFGYLLFGDSIMPDILVNFDQNSGPALGSALNDIVRLSYALHLILFMGSTSAVSLAFIFPGAIALRDVHGISTSRDRIMSAVMIILAAATSIIAISTNIYSFFT >EOY17632 pep chromosome:Theobroma_cacao_20110822:10:390761:393674:-1 gene:TCM_042407 transcript:EOY17632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 1 MSSATTGVNSPLLQENRSTAKHTSVSGAVFNVSTSIIGAGIMSIPATLKVLGVVPAFFMIVVIAWLADVSVEFLMRYTHAGKSTTYAGVMKESFGRVGSVLVQICVLMNNLGCLIIYLIIIGDVLSGNQPEGSVHLGVLQEWFGIHWWNTRAFALLFIVVVIMLPLVLFRRVESLRFSSAIAVFLAVLFVAISSVMAISALFQGKTESPSLLPHLDNKTSFFDLFTAVPVIVTAFTFHFNVHPIGFEMDKPSDMMSAVRISLILCGAIYFTVGIFGYLLFGDSIMPDILVNFDQNSGPALGSALNDIVRLSYALHLILVFPLLNFSLRANIDEFLFPNRPILAKDNTRYMSLTLILLAICYLAAITIPNIWYFFQFMGSTSAVSLAFIFPGAIALRDVHGISTSRDRIMSAVMIILAAATSIIAISTNIYSFFT >EOY17631 pep chromosome:Theobroma_cacao_20110822:10:390285:394018:-1 gene:TCM_042407 transcript:EOY17631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 1 MILTDRKSNSILPFIALSFIRCHVLSSHDSLVITLPYHLGSSPIKCVVMHSKTTNSNNSSSRENPSSDMSSATTGVNSPLLQENRSTAKHTSVSGAVFNVSTSIIGAGIMSIPATLKVLGVVPAFFMIVVIAWLADVSVEFLMRYTHAGKSTTYAGVMKESFGRVGSVLVQICVLMNNLGCLIIYLIIIGDVLSGNQPEGSVHLGVLQEWFGIHWWNTRAFALLFIVVVIMLPLVLFRRVESLRFSSAIAVFLAVLFVAISSVMAISALFQGKTESPSLLPHLDNKTSFFDLFTAVPVIVTAFTFHFNVHPIGFEMDKPSDMMSAVRISLILCGAIYFTVGIFGYLLFGDSIMPDILVNFDQNSGPALGSALNDIVRLSYALHLILVFPLLNFSLRANIDEFLFPNRPILAKDNTRYMSLTLILLAICYLAAITIPNIWYFFQFMGSTSAVSLAFIFPGAIALRDVHGISTSRDRIMSAVMIILAAATSIIAISTNIYSFFT >EOY20057 pep chromosome:Theobroma_cacao_20110822:10:24601588:24603311:-1 gene:TCM_045455 transcript:EOY20057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crooked neck protein / cell cycle protein, putative MDPSPEVKLPQVTAEQILLESREQQEAEIRAPKQKLTNSIELLEYHLLKFEDEISRESWNIDAWINYAKWRESLKDFMGARIVWHRAVKVNDQNCSLWLNYAKFEMKNKFFNHARDVWDRAVTVLPHVDVLWYKYIHMEEMLGNIGRARQIFERWMSWMPDQRVWLSYIDFELRCNEVVYARLIYERFVECHPKVGAWITYGKFEMRNGEIVREMNVYEQATEKLADEEDVEPLFIVFAEFEEQCKETERGRRIYKSALDHIPKGRAENLYGKFVAFEKQYGDKEGIEDAIGGKNPLNYDGGAVRYVTHHLLVPVAWIHHVTVLLQLQLFGFLNQAIRDCGSKTTGHATHYEEYIDYVFPEEKQSMNLKILEAAFKWKKHRISSDED >EOY19266 pep chromosome:Theobroma_cacao_20110822:10:15394571:15406122:-1 gene:TCM_044279 transcript:EOY19266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein isoform 3 MEGFLSLPTSQCSTSSLFTSRPHSSSAATSVSFSLQPPPQPPESNLRRPKSIKSSPKPKVPSNPLKNLTTTISTTTTTTSNNPSHVPAPIESEHTTHPLASKLRLSSKFFPPPPPPPSVLQDTQNETLISEPEAPSPQPQNPEKFRQDGKIFIGNLPNWIRKHEVAEFFRQFGPIKDVILIKAHNEIHRNAGFGFVIYGGPPPLAEKSAMKAVEFDGVEFHGRILTVKLDDGKRLMEKAEERARWVEGYQVQDCNNKSKWHQEREGSRKLFRKILESEPENWQKVVTAFERITKPARREFGLMVNYYARRGDMHRARETFERMRARGIEPTSHVYTNLIHAYAVGRDMEEALSCVRKMKEEGIEMTLVTYSILVGGFAKIGNSEAADHWFKEAKERHTPLNAIIYGNIIYAHCQTCNMERAEALVREMEEEGIDAPIDIYHTMMDGYTMIGNEEKCLIVFERLKECGFIPSVISYGCLINLYSKIGKVSKALEVSKMMECDGIKHNMKTYSMLINGFLKLKDWANAFAIFEDLVEDGLKPDVVLYNNIIRAFCGMGNMDRAIHTVKEMLKERHRPTTRTFMPIIHAFARAGEMRRAWEVFDVMRRSGCSPTVHTFNALILGLTEKRQMEKAVEILDEMTLAGISPNEHTYTTIMHGYASLGDTAKAFGYFTKLRNEGLEIDVYTYEALLKACCKSGRMQSALAVTKEMSARKIPRNTFVYNILVDGWARRGDVWEAADLMQQMKQEGVQPDIHTYTSFINACCKAGDMLRAMKTIQEMDAIGVKPNVKTYTTLIHGWARASLPEKALKCFEEMKLAGLKPDKAVYHCLMTSLLSRATFAEAYIYSGVLSVCREMIDSGLTVDMGTAVHWSRCLRKIERTGGELTEALQKTFPPDWSSYHTIAANSDTETDDELESDHDDNDVYFANVTEGDHDLDEDATDYGYE >EOY19268 pep chromosome:Theobroma_cacao_20110822:10:15393930:15406625:-1 gene:TCM_044279 transcript:EOY19268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein isoform 3 MEGFLSLPTSQCSTSSLFTSRPHSSSAATSVSFSLQPPPQPPESNLRRPKSIKSSPKPKVPSNPLKNLTTTISTTTTTTSNNPSHVPAPIESEHTTHPLASKLRLSSKFFPPPPPPPSVLQDTQNETLISEPEAPSPQPQNPEKFRQDGKIFIGNLPNWIRKHEVAEFFRQFGPIKDVILIKAHNEIHRNAGFGFVIYGGPPPLAEKSAMKAVEFDGVEFHGRILTVKLDDGKRLMEKAEERARWVEGYQVQDCNNKSKWHQEREGSRKLFRKILESEPENWQKVVTAFERITKPARREFGLMVNYYARRGDMHRARETFERMRARGIEPTSHVYTNLIHAYAVGRDMEEALSCVRKMKEEGIEMTLVTYSILVGGFAKIGNSDQTCNMERAEALVREMEEEGIDAPIDIYHTMMDGYTMIGNEEKCLIVFERLKECGFIPSVISYGCLINLYSKIGKVSKALEVSKMMECDGIKHNMKTYSMLINGFLKLKDWANAFAIFEDLVEDGLKPDVVLYNNIIRAFCGMGNMDRAIHTVKEMLKERHRPTTRTFMPIIHAFARAGEMRRAWEVFDVMRRSGCSPTVHTFNALILGLTEKRQMEKAVEILDEMTLAGISPNEHTYTTIMHGYASLGDTAKAFGYFTKLRNEGLEIDVYTYEALLKACCKSGRMQSALAVTKEMSARKIPRNTFVYNILVDGWARRGDVWEAADLMQQMKQEGVQPDIHTYTSFINACCKAGDMLRAMKTIQEMDAIGVKPNVKTYTTLIHGWARASLPEKALKCFEEMKLAGLKPDKAVYHCLMTSLLSRATFAEAYIYSGVLSVCREMIDSGLTVDMGTAVHWSRCLRKIERTGGELTEALQKTFPPDWSSYHTIAANSDTETDDELESDHDDNDVYFANVTEGDHDLDEDATDYGYE >EOY19267 pep chromosome:Theobroma_cacao_20110822:10:15395070:15406137:-1 gene:TCM_044279 transcript:EOY19267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein isoform 3 MEGFLSLPTSQCSTSSLFTSRPHSSSAATSVSFSLQPPPQPPESNLRRPKSIKSSPKPKVPSNPLKNLTTTISTTTTTTSNNPSHVPAPIESEHTTHPLASKLRLSSKFFPPPPPPPSVLQDTQNETLISEPEAPSPQPQNPEKFRQDGKIFIGNLPNWIRKHEVAEFFRQFGPIKDVILIKAHNEIHRNAGFGFVIYGGPPPLAEKSAMKAVEFDGVEFHGRILTVKLDDGKRLMEKAEERARWVEGYQVQDCNNKSKWHQEREGSRKLFRKILESEPENWQKVVTAFERITKPARREFGLMVNYYARRGDMHRARETFERMRARGIEPTSHVYTNLIHAYAVGRDMEEALSCVRKMKEEGIEMTLVTYSILVGGFAKIGNSEAADHWFKEAKERHTPLNAIIYGNIIYAHCQTCNMERAEALVREMEEEGIDAPIDIYHTMMDGYTMIGNEEKCLIVFERLKECGFIPSVISYGCLINLYSKIGKVSKALEVSKMMECDGIKHNMKTYSMLINGFLKLKDWANAFAIFEDLVEDGLKPDVVLYNNIIRAFCGMGNMDRAIHTVKEMLKERHRPTTRTFMPIIHAFARAGEMRRAWEVFDVMRRSGCSPTVHTFNALILGLTEKRQMEKAVEILDEMTLAGISPNEHTYTTIMHGYASLGDTAKAFGYFTKLRNEGLEIDVYTYEALLKACCKSGRMQSALAVTKEMSARKIPRNTFVYNILVDGWARRGDVWEAADLMQQMKQEGVQPDIHTYTSFINACCKAGDMLKSEENERDFICV >EOY18154 pep chromosome:Theobroma_cacao_20110822:10:2205302:2207117:1 gene:TCM_042771 transcript:EOY18154 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein, putative isoform 1 MGSLVFHEFKRQASFFLKEKIKTARLALTDVTPVELLTEEATDGNMLSPDACSMGAISRAAFEVDDYWRIVDILHKRLSKFDKKNWRASYNALVLLEHLLTHGPLRVAEEFQNHRDSIKELGNFQYIDEKGFNWGLSVGKLSEKILMLLENESFLKEERARARKLTMGIKGFGSFSHLSSSKDQRFNSFSNHGRSCSQEDCFLEFKENLSSKEGAGLIEQDYNQNMSAPEKLEINGDGFDREREHPFCEDEQETAESLLSSTM >EOY18155 pep chromosome:Theobroma_cacao_20110822:10:2203581:2207008:1 gene:TCM_042771 transcript:EOY18155 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein, putative isoform 1 MGSLVFHEFKRQASFFLKEKIKTARLALTDVTPVELLTEEATDGNMLSPDACSMGAISRAAFEVDDYWRIVDILHKRLSKFDKKNWRASYNALVLLEHLLTHGPLRVAEEFQNHRDSIKELGNFQYIDEKGFNWGLSVGKLSEKILMLLENESFLKEERARARKLTMGIKGFGSFSHLSSSKDQRFNSFSNHGRSCSQEDCFLEFKENLSSKEGAGLIEQDYNQNMSAPEKLEINGDGFDREREHPFCEDEQETAESLLSSTM >EOY19911 pep chromosome:Theobroma_cacao_20110822:10:23839329:23843308:-1 gene:TCM_045318 transcript:EOY19911 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box and TRAF-like domains MASGNAFFDELRSKPEVIDPPQNEDIIDSESVNDPTQAAIKPNVTVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQSFGCIGIYPYYSKLKHESQCSYRPYSCPYAGSECTVIGDIPYLVAHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDNEAKNYSYSLEVGGNGRKMTWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >EOY18092 pep chromosome:Theobroma_cacao_20110822:10:2055469:2060571:-1 gene:TCM_042738 transcript:EOY18092 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MKLLGNVDFLKSPTAIKFSAFIFISVSFFYLGKHWSDGSRQLIFFSRQSPTKTTPSLASVAYSPNLNKEFNISALINTTEPETGPKPAGSVNSKENSVSVSEPAAPPPPDRIKSYGIVDENGTMSDEFEIGEFDPDLVENWGNGTEIEAETEKEDVRVTFRVKKFGLCKENMREYIPCLDNVEAIRRLKSTERGERFERHCPEKGKGLNCLVPAPKGYKPPIPWPRSRDEVWFSNVPHTRLVDDKGGQNWIARGKDKFKFPGGGTQFIHGADQYLDQISKMVPEITFGNHIRVVLDVGCGVASFGAYLLSRNVITMSIAPKDVHENQIQFALERGVPAMVAAFATRRLPYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEEALEQQWKEMLNLTTNLCWNLVKKEGYIAIWQKPFKNSCYLSREAGTSPPLCDPDDDPDNVWYVDLKACISRLPENGYGANVVPWPARLQMPPDRLQSIQIDSYIARKELFKAESKYWNEIVASYVRALHWKKFKLRNVLDMRAGFGGFAAALIDNQLDAWVLNVVPVSGPNTLPVIYDRGLIGVMHDWCEPFDTYPRTYDFLHAAGLFSIERKRCNMSTIMLEMDRILRPGGRVYIRDSLDVMDELQDIAKAMGWHPSLRDTSEGPHASYRILTCDKRLLRG >EOY18096 pep chromosome:Theobroma_cacao_20110822:10:2056291:2060571:-1 gene:TCM_042738 transcript:EOY18096 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MKLLGNVDFLKSPTAIKFSAFIFISVSFFYLGKHWSDGSRQLIFFSRQSPTKTTPSLASVAYSPNLNKEFNISALINTTEPETGPKPAGSVNSKENSVSVSEPAAPPPPDRIKSYGIVDENGTMSDEFEIGEFDPDLVENWGNGTEIEAETEKEDVRVTFRVKKFGLCKENMREYIPCLDNVEAIRRLKSTERGERFERHCPEKGKGLNCLVPAPKGYKPPIPWPRSRDEVWFSNVPHTRLVDDKGGQNWIARGKDKFKFPGGGTQFIHGADQYLDQISKMVPEITFGNHIRVVLDVGCGVASFGAYLLSRNVITMSIAPKDVHENQIQFALERGVPAMVAAFATRRLPYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEEALEQQWKEMLNLTTNLCWNLVKKEGYIAIWQKPFKNSCYLSREAGTSPPLCDPDDDPDNVWYVDLKACISRLPENGYGANVVPWPARLQMPPDRLQSIQIDSYIARKELFKAESKYWNEIVASYVRALHWKKFKLRNVLDMRAGFGGFAAALIDNQLDAWVLNVVPVSGPNTLPVIYDRGLIGVMHDWYFFFTIIPIRKFCTVDRIGVQMAVLFIDDF >EOY18094 pep chromosome:Theobroma_cacao_20110822:10:2055127:2060741:-1 gene:TCM_042738 transcript:EOY18094 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MKLLGNVDFLKSPTAIKFSAFIFISVSFFYLGKHWSDGSRQLIFFSRQSPTKTTPSLASVAYSPNLNKEFNISALINTTEPETGPKPAGSVNSKENSVSVSEPAAPPPPDRIKSYGIVDENGTMSDEFEIGEFDPDLVENWGNGTEIEAETEKEDVRVTFRVKKFGLCKENMREYIPCLDNVEAIRRLKSTERGERFERHCPEKGKGLNCLVPAPKGYKPPIPWPRSRDEVWFSNVPHTRLVDDKGGQNWIARGKDKFKFPGGGTQFIHGADQYLDQISKMVPEITFGNHIRVVLDVGCGVASFGAYLLSRNVITMSIAPKDVHENQIQFALERGVPAMVAAFATRRLPYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEEALEQQWKEMLNLTTNLCWNLVKKEGYIAIWQKPFKNSCYLSREAGTSPPLCDPDDDPDNVWYVDLKACISRLPENGYGANVVPWPARLQMPPDRLQSIQIDSYIARKELFKAESKYWNEIVASYVRALHWKKFKLRNVLDMRAGFGGFAAALIDNQLDAWVLNVVPVSGPNTLPVIYDRGLIGVMHDWCEPFDTYPRTYDFLHAAGLFSIERKRCNMSTIMLEMDRILRPGGRVYIRDSLDVMDELQDIAKAMGWHPSLRDTSEGPHASYRILTCDKRLLRG >EOY18095 pep chromosome:Theobroma_cacao_20110822:10:2054270:2060741:-1 gene:TCM_042738 transcript:EOY18095 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MKLLGNVDFLKSPTAIKFSAFIFISVSFFYLGKHWSDGSRQLIFFSRQSPTKTTPSLASVAYSPNLNKEFNISALINTTEPETGPKPAGSVNSKENSVSVSEPAAPPPPDRIKSYGIVDENGTMSDEFEIGEFDPDLVENWGNGTEIEAETEKEDVRVTFRVKKFGLCKENMREYIPCLDNVEAIRRLKSTERGERFERHCPEKGKGLNCLVPAPKGYKPPIPWPRSRDEVWFSNVPHTRLVDDKGGQNWIARGKDKFKFPGGGTQFIHGADQYLDQISKMVPEITFGNHIRVVLDVGCGVASFGAYLLSRNVITMSIAPKDVHENQIQFALERGVPAMVAAFATRRLPYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEEALEQQWKEMLNLTTNLCWNLVKKEGYIAIWQKPFKNSCYLSREAGTSPPLCDPDDDPDNVWYVDLKACISRLPENGYGANVVPWPARLQMPPDRLQSIQIDSYIARKELFKAESKYWNEIVASYVRALHWKKFKLRNVLDMRAGFGGCEPFDTYPRTYDFLHAAGLFSIERKRCNMSTIMLEMDRILRPGGRVYIRDSLDVMDELQDIAKAMGWHPSLRDTSEGPHASYRILTCDKRLLRG >EOY18093 pep chromosome:Theobroma_cacao_20110822:10:2055705:2060563:-1 gene:TCM_042738 transcript:EOY18093 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MKLLGNVDFLKSPTAIKFSAFIFISVSFFYLGKHWSDGSRQLIFFSRQSPTKTTPSLASVAYSPNLNKEFNISALINTTEPETGPKPAGSVNSKENSVSVSEPAAPPPPDRIKSYGIVDENGTMSDEFEIGEFDPDLVENWGNGTEIEAETEKEDVRVTFRVKKFGLCKENMREYIPCLDNVEAIRRLKSTERGERFERHCPEKGKGLNCLVPAPKGYKPPIPWPRSRDEVWFSNVPHTRLVDDKGGQNWIARGKDKFKFPGGGTQFIHGADQYLDQISKMVPEITFGNHIRVVLDVGCGVASFGAYLLSRNVITMSIAPKDVHENQIQFALERGVPAMVAAFATRRLPYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEEALEQQWKEMLNLTTNLCWNLVKKEGYIAIWQKPFKNSCYLSREAGTSPPLCDPDDDPDNVWYVDLKACISRLPENGYGANVVPWPARLQMPPDRLQSIQIDSYIARKELFKAESKYWNEIVASYVRALHWKKFKLRNVLDMRAGFGGFAAALIDNQLDAWVLNVVPVSGPNTLPVIYDRGLIGVMHDWCEPFDTYPRTYDFLHAAGLFSIERKRCNMSTIMLEMDRILRPGGRVYIRDSLDVMDELQDIAKAMGWHPSLRDTSEGPHASYRILTCDKRLLRG >EOY18091 pep chromosome:Theobroma_cacao_20110822:10:2054457:2060571:-1 gene:TCM_042738 transcript:EOY18091 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MKLLGNVDFLKSPTAIKFSAFIFISVSFFYLGKHWSDGSRQLIFFSRQSPTKTTPSLASVAYSPNLNKEFNISALINTTEPETGPKPAGSVNSKENSVSVSEPAAPPPPDRIKSYGIVDENGTMSDEFEIGEFDPDLVENWGNGTEIEAETEKEDVRVTFRVKKFGLCKENMREYIPCLDNVEAIRRLKSTERGERFERHCPEKGKGLNCLVPAPKGYKPPIPWPRSRDEVWFSNVPHTRLVDDKGGQNWIARGKDKFKFPGGGTQFIHGADQYLDQISKMVPEITFGNHIRVVLDVGCGVASFGAYLLSRNVITMSIAPKDVHENQIQFALERGVPAMVAAFATRRLPYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEEALEQQWKEMLNLTTNLCWNLVKKEGYIAIWQKPFKNSCYLSREAGTSPPLCDPDDDPDNVWYVDLKACISRLPENGYGANVVPWPARLQMPPDRLQSIQIDSYIARKELFKAESKYWNEIVASYVRALHWKKFKLRNVLDMRAGFGGFAAALIDNQLDAWVLNVVPVSGPNTLPVIYDRGLIGVMHDWCEPFDTYPRTYDFLHAAGLFSIERKRCNMSTIMLEMDRILRPGGRVYIRDSLDVMDELQDIAKAMGWHPSLRDTSEGPHASYRILTCDKRLLRG >EOY19529 pep chromosome:Theobroma_cacao_20110822:10:18455579:18457353:1 gene:TCM_044654 transcript:EOY19529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCCALAWTAHRLRQYMLYHTSLLIAKLDPIKYIFEKPSLSGRVVRWQVLLSEYDIVYVSQKAIKGSAIVDFLVKRVEEDYELMDFEFPDKDLMSVCQTNKEESKEKENWKMFFDGASNALGHGIGVVLVSPEGDHYPVIAKLNFYCTNNVVEYETCFMGLQEAIERKIHILEVYGDSGWVIYQLRGEWEARDSKLIQYHKYVSKLIENFNKICFTHLPREENQMADALATLAAMFKVGTDVKIQPIMITLRECPAHCSSVEEEVDGKLWYHDIVHYLKFQQYPKQNSENDKKIIRRLAMNFFLDGDILYKRSRDQVLLRCVDSAEARRIVEEVHEGICGAHASGHMLAKQVMRAGYY >EOY19674 pep chromosome:Theobroma_cacao_20110822:10:19785504:19821263:1 gene:TCM_044847 transcript:EOY19674 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase Rpb7-like, N-terminal domain MFLKVQLPWNVIIPADSLGAKGLMLQKAIVVRLLDDFACKKATKDLGYFIAVTTLESIGDGKVRQNTGDVLFPVVFSGITFKMFRGEILEGVVHKILKHGVFLRCGPVQNIYLSHLKMPDYHYVPGENAIFMNEKHSKIEKDVVVRFIVIGTKWLEAEREFQALVSLEGDYLGPVS >EOY17817 pep chromosome:Theobroma_cacao_20110822:10:984622:988976:-1 gene:TCM_042532 transcript:EOY17817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein isoform 1 MAFFVYYYLCFTAVVSAVFFLLLRSSRLRRLCLPPGNLGLPFVGETLQLIAAYKTENPEPFIDERVSRYGSIFTTHVFGEPTVFSADPETNRFILQNEGKLFECSYPGSISNLLGKHSLLLMKGSLHKRMHSLTMSFANSSIIRDHLLVDIDRLVRLNLDSWTDRVFLMEEAKKITFELTVKQLMSFDPGEWSESLRKEYVLVIEGFFTVPLPLFSTTYRRAIKARTKVAEALSLIVRERRKEFEGGERKNDMLAALLAGDDNFSDEEIVDFLVALLVAGYETTSTIMTLAVKFLTETPLALAQLKEEHEGIRAKKSESEALEWIDYKSMPFTQCVVNETLRVANIISGVFRRAMTDINIKGYTIPKGWRVFASFRAVHLDHDHFKDARTFNPWRWQNNSGMSCPGNVYTPFGGGPRLCPGYELARVELSVFLHHLVTRFSWVPAEEDKLVFFPTTRTQKRYPINVQRRLQNATLAT >EOY17818 pep chromosome:Theobroma_cacao_20110822:10:985150:988994:-1 gene:TCM_042532 transcript:EOY17818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein isoform 1 MAFFVYYYLCFTAVVSAVFFLLLRSSRLRRLCLPPGNLGLPFVGETLQLIAAYKTENPEPFIDERVSRYGSIFTTHVFGEPTVFSADPETNRFILQNEGKLFECSYPGSISNLLGKHSLLLMKGSLHKRMHSLTMSFANSSIIRDHLLVDIDRLVRLNLDSWTDRVFLMEEAKKITFELTVKQLMSFDPGEWSESLRKEYVLVIEGFFTVPLPLFSTTYRRAIKARTKVAEALSLIVRERRKEFEGGERKNDMLAALLAGDDNFSDEEIVDFLVALLVAGYETTSTIMTLAVKFLTETPLALAQLKEEHEGIRAKKSESEALEWIDYKSMPFTQCVVNETLRVANIISGVFRRAMTDINIKGQKYKPFCHRPDNHVMLQRHSPRS >EOY18574 pep chromosome:Theobroma_cacao_20110822:10:3867070:3868093:1 gene:TCM_043094 transcript:EOY18574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLSHFFSWKFVSHSKNNPKSMDQKDTYNTNQEELEEALSLCDLPLENQVLDPFDHHPPTSPSHELFEFPFTLNTFSNNKDDIVFCGKLIKEQDFDDLDDQSRYLFPLSSARLLNSDKKDLGSLCLAKSKPNSALSTKFFKSQSCSSSSSSRKHKVLIGLAKIPPKMELSDIKKRQSRRNPSPMFPPVAAGDLEVVAAGDGCGGRRRGHHWGLLRPLRCRANLATALAKASLGCIPHV >EOY20085 pep chromosome:Theobroma_cacao_20110822:10:24755017:24759862:1 gene:TCM_045485 transcript:EOY20085 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein MEDFSDVLLLTLGRRNMTVEDRISKLPDDLLLKIMSLLNTKQAVQTCVLSKRWKPLWQSLPNLDFDFDTFPFQQEIDDEDKEEVEMKMCSFSNFISQVLLRRCPTDLVKVCVQSHIYDPHCFLVDGLLCYAVKHNVQQLTFHSRSDCQYLFPESFWTCQSLTSLELKGSDWMPMKLPTLLACLALKSLHLSHFSTAGPNFEPTAFSGCPNLETLQLFDILAVGSEGLCIDALKLTSLVLSFASLCHGKVEIYAPRLTTFKYSGIPPIVCLTDHLASVDDVYFDIKTPGFKRNEEESVLRLIKTLNEFRHAKSLTLSSSTVQVLTKFPSLVGQNQFPFANLKHLKIKVKKWQSKRFGMPACILNYFLNNSTVLKICMDSFEDNE >EOY19305 pep chromosome:Theobroma_cacao_20110822:10:16286584:16292993:1 gene:TCM_044370 transcript:EOY19305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGRARGRGRGNQPQQAELAEMRRMIDDLTRAVQALQRQEPVEARIENPKEEYLDWEASLENYFEWKPMAENRKVLFVKLKLKGTALQWLKRVEEQRARQSKLKISTWEHMKSKLRKQFLPADYTMELYEKFHCLKQNNMTVEEYISEFNNLSIRVGLAESNEQITSRYLAGLNHFIRDEMGVVRLYNIEDARQYALSAEKRILRYGARKPLYGTHWQNNSEARRGYPTSQQNYQGAATINKTNRGGSNSHIRCFTCGENGHTSFAGPQRRVNLAELREELEPVYDEYEEIEEIDVYPAQGESLVVRRVMTTTVNEEAEDWKRRSIFRTRVVCEGKVCDLVIDGGSMENIISKEAVNKLKLPTNKHPYPYKIGWLKKGHEVPVTTQYLVKFTMGDNLDDEALCDVVPMDVGHILVGRPWLYDHDMVHKTEPNTYSFYNDNKRYTSYPLKEETKKSANSKINKITGYLSVENFEAEGSEMGIMYALVTKHLKSDQMGKSPQYPTEIQQLLKEFGELFNEDLPKSLPPLRSIQHAIDLVPGAALPNLPAYRMPPMQRVEVQRQVEELLEKGLVRESKSPCACPALLAPKKDGSWRMCVDSRAINKITIKYRFPIPRLDEMLDQLVGSRVFSKIDLKSEYHQIRMRDGDEWKTAFKTPDGLFEWLVMPFGLSNAPSTFMRVMAEVLKPFLNSFVVVYFDDILIYSHTKEKHLKHLRQVLEVLQKEQLYINLKKCSFMQPEVKDGFEWSHSAQKAFERVKALMTKALVLALPDFEKLFVVECDASHVGIGAVLSQDGRPIEFFSEKVTDSRRRYSTYDLEFYALVRAIRHWQHYLAYREFAVYSDHQALRRCKMLSVMSTQVTGFEELKNQYSSDSYFSKIIADLQGSLQARNLPYRLHEAYLFKGNQLCIPEGYLREQIIRELHGNGLGGHFGRDKTLAMVADRYYWPKMRRDVERLVKRCPTCLFGKGSAQNTGLYVPLPEPDAPWIHLSMDFVLGLPKTAKGFDSIFVVVDRFSKMAHFIPCFRTSDATHIAELFFCEVVRLHGIPTSIVSDRDVKFMGHFWRTLWRKFGTELKYSSTCHPQTDSQTEVVNRSLGNILRCLIQNNPKTWDLVKPQAEFAYNNSVNRSIKKTPFEAAYGLKPQHVLDLVPLPQEARVSNEGELFADHIQKIHEEVKAALKASNAEYSFTANQHRRKQEFEEGDQVLVYLRQERFPKGTYHKLKSRKFGPCKVLKKISSNAYLIELPPELQISHIFNVLDLYPFDGCDGTASTIDAQIQHLPIVKVEVIEDVIDVKEVRSRRGNRIDDF >EOY19673 pep chromosome:Theobroma_cacao_20110822:10:19771801:19775951:-1 gene:TCM_044844 transcript:EOY19673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein, putative MASFLIPFQALFFLMLVPPQSSNGFTSLAAAAAAKEAETLLKWKASLDNKSQTLLSSWLGDTHCNWVGITCDKAGSITNLSLPNYVEGLRGNIPSEICLLKSLQWVSLVGNKISGSIPQEIGRLSSVSEIYFNHNYLSGPIPASIGSLHNLTKLHLGSNRLTGRIPGEVGMLRSLLYLDFSNNSLSGPIPESIGNLTKLVQLYLHRNELSGSIPSEIGQLGSLSDLQLSTNNLTGVIPASIGNLTSLSYLNLNGNMFSGSIPPEVGMLKSLSVLVLAKNNFSGSIPASIGNLTKLTVLFLIYNNLSGSIPPTFSNLTHLESLQLGHNHLSGQLPENLCSNGLLVNISVINNNLMGQIPTSLRDCKSLYRVRLEGNHLTGNISEAFGVYPNLNYIALSNNWFYGELSPKWGQCHNLTSLQISNNNISGKIPPELEHATQLQELDLSFNHLIGEIPKDLGSLSLMFRLLLSGNQLSGKIPLEIGVLSNLEHLNLASNDLSGPIPNQLGECSKFLSLNLSRNKLGESIPFSLSYIYGLRSLDLSQNLLVGVIPQQLGKLHTLEILDLSHNMLRGSIPIDFHYLQSLTFVNISYNQLEGPIPNIKAFHEASFDGLRNNKGLCGNATGLMPCASITSNKMGHKKRTTVTILVVLLPFGIPLLIFTLAGGFLILRQKIRNKKSESREAQLGDIFTVLGFDGRILYEKIIEATEDFSSNYCIGSGRYGNVYKAVLPMGQVVAVKKLHQHEDSMLINNLKAFESEIHALTEIRHRNVVKLHGFCSHSKHSFLVYEFMERGSLRMILSNNEEAAELDWIKRLNVVKGLANALSYMHHDHSPPIIHRDISSNNVLLDFDYEAHVSDFGTARLLNPDSSNWTSFAGTIGYTAPELAYTMKVDEKCDVYSFGVLTMEILMGRHPGDLISCLSSSLSAPEANDQKILLQGVIDQRLSPLVRQVAKDVVFATKLAFACVNGNPKFRPTMGQVAQALTHPSPQLPKPFSMIELGELFGGVPG >EOY17549 pep chromosome:Theobroma_cacao_20110822:10:166138:173023:-1 gene:TCM_046943 transcript:EOY17549 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 1 isoform 1 MSNCWNQTRAATSLIRRLKRSIINPAAVARPRCFTTAEGHRPSLVHKRSLDILHDPWFNKGTAFSMTERDRLDLRGLLPPNIMSPEQQIERFMVDLKRLEVQARDGPSDPNALAKWRILNRLHDRNETMYYKVLIANIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQQHRLDGDEYIAVIDEFMEAVFTRWPNVIVQFEDFQSKWAFKLLQRYRNTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVLNAARKTMARMLGNNETAFDSAKSQFWVVDANGLITEERENIDPVALPFARKIKEAGRQGLREGASLVEVVEQVKPDVLLGLSAVGGLFSKEVLEALKGSTSTRPAIFAMSNPTKNAECTPEEAFSIVGDNIIFASGSPFRDVNLGDGHVGHCNQGNNMYLFPGIGLGTLLSGSRIISDGMLQAAAERCVSFVFCF >EOY17548 pep chromosome:Theobroma_cacao_20110822:10:165421:173023:-1 gene:TCM_046943 transcript:EOY17548 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 1 isoform 1 MSNCWNQTRAATSLIRRLKRSIINPAAVARPRCFTTAEGHRPSLVHKRSLDILHDPWFNKGTAFSMTERDRLDLRGLLPPNIMSPEQQIERFMVDLKRLEVQARDGPSDPNALAKWRILNRLHDRNETMYYKVLIANIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQQHRLDGDEYIAVIDEFMEAVFTRWPNVIVQFEDFQSKWAFKLLQRYRNTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVLNAARKTMARMLGNNETAFDSAKSQFWVVDANGLITEERENIDPVALPFARKIKEAGRQGLREGASLVEVVEQVKPDVLLGLSAVGGLFSKEVLEALKGSTSTRPAIFAMSNPTKNAECTPEEAFSIVGDNIIFASGSPFRDVNLGDGHVGHCNQGNNMYLFPGIGLGTLLSGSRIISDGMLQAAAERLAAYMSEEEVLKGIIYPPISKIRDITKEVAAAVVKEAVEEDLAEGYRDIDARELQKICQSQEELLEYVKNSMWSPEYPTLVYKKD >EOY19641 pep chromosome:Theobroma_cacao_20110822:10:19409283:19419947:1 gene:TCM_044779 transcript:EOY19641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear matrix protein-related isoform 2 MMEAFRRAILQPGPPETFALKIVQEVIKPQKQTKLAQDENQLLENMLRTLLQELVSSSVPSGEEIMQYGKSIDDESDTQGVIPRLLDFVLYLCEKEHVEGGMIFQLLEDLNEMSTMRNCKDIFRYIESKQDILGKQELFARGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSAVNIKGVFNTSNETKYEKDPPEGISVDFNFYKTFWSLQDYFCNPASLSTAPVKWQKFTSSLMVVLNTFEAQPLSEEEGADNNLEEEATTFNIKYLTSSKLMGLELKDPSFRRHILLQCLILFDYLKAPGKNDKDSSESMKEEIKSCEDRVKKLLEVTPPKGKDFLCSIEHILEREKNWVWWKRDGCPPFEKQPIEKKPVQNGAKKRRPRWRLGNKELSQLWKWADQNPNALTDPQRVRTP >EOY19642 pep chromosome:Theobroma_cacao_20110822:10:19411629:19421921:1 gene:TCM_044779 transcript:EOY19642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear matrix protein-related isoform 2 MLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSAVNIKGVFNTSNETKYEKDPPEGISVDFNFYKTFWSLQDYFCNPASLSTAPVKWQKFTSSLMVVLNTFEAQPLSEEEGADNNLEEEATTFNIKYLTSSKLMGLELKDPSFRRHILLQCLILFDYLKAPGKNDKDSSESMKEEIKSCEDRVKKLLEVTPPKGKDFLCSIEHILEREKNWVWWKRDGCPPFEKQPIEKKPVQNGAKKRRPRWRLGNKELSQLWKWADQNPNALTDPQRVRTPAITDYWKPLAEDMDESAGIEAEYHHKNNRVYCWKGLRFAARQDLEGFSKFTEHGIEGVVPLELLPPDVRSKFQGKPSDRSKRAKKEETKTSSHQVEESQIATPASEVDGEGMRADMEASAALMDADVTAGTGNNSQGGTPTPDEHQKQSPDTDVGQEAGQLEADAEVEAGIDGETDPEA >EOY19638 pep chromosome:Theobroma_cacao_20110822:10:19406052:19422057:1 gene:TCM_044779 transcript:EOY19638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear matrix protein-related isoform 2 MMEAFRRAILQPGPPETFALKIVQEVIKPQKQTKLAQDENQLLENMLRTLLQELVSSSVPSGEEIMQYGKSIDDESDTQGVIPRLLDFVLYLCEKEHVEGGMIFQLLEDLNEMSTMRNCKDIFRYIESKQDILGKQELFARGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSAVNIKGVFNTSNETKYEKDPPEGISVDFNFYKTFWSLQDYFCNPASLSTAPVKWQKFTSSLMVVLNTFEAQPLSEEEGADNNLEEEATTFNIKYLTSSKLMGLELKDPSFRRHILLQCLILFDYLKAPGKNDKDSSESMKEEIKSCEDRVKKLLEVTPPKGKDFLCSIEHILEREKNWVWWKRDGCPPFEKQPIEKKPVQNGAKKRRPRWRLGNKELSQLWKWADQNPNALTDPQRVRTPAITDYWKPLAEDMDESAGIEAEYHHKNNRVYCWKGLRFAARQDLEGFSKFTEHGIEGVVPLELLPPDVRSKFQGKPSDRSKRAKKEETKTSSHQVEESQIATPASEVDGEGMRADMEASAALMDADVTAGTGNNSQGGTPTPDEHQKQSPDTDVGQEAGQLEADAEVEAGIDGETDPEA >EOY19639 pep chromosome:Theobroma_cacao_20110822:10:19409746:19421921:1 gene:TCM_044779 transcript:EOY19639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear matrix protein-related isoform 2 MLRTLLQELVSSSVPSGEEIMQYGKSIDDESDTQGVIPRLLGYVRVLIAEMTTIMQNFVLYLCEKEHVEGGMIFQLLEDLNEMSTMRNCKDIFRYIESKQDILGKQELFARGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSAVNIKGVFNTSNETKYEKDPPEGISVDFNFYKTFWSLQDYFCNPASLSTAPVKWQKFTSSLMVVLNTFEAQPLSEEEGADNNLEEEATTFNIKYLTSSKLMGLELKDPSFRRHILLQCLILFDYLKAPGKNDKDSSESMKEEIKSCEDRVKKLLEVTPPKGKDFLCSIEHILEREKNWVWWKRDGCPPFEKQPIEKKPVQNGAKKRRPRWRLGNKELSQLWKWADQNPNALTDPQRVRTPAITDYWKPLAEDMDESAGIEAEYHHKNNRVYCWKGLRFAARQDLEGFSKFTEHGIEGVVPLELLPPDVRSKFQGKPSDRSKRAKKEETKTSSHQVEESQIATPASEVDGEGMRADMEASAALMDADVTAGTGNNSQGGTPTPDEHQKQSPDTDVGQEAGQLEADAEVEAGIDGETDPEA >EOY19640 pep chromosome:Theobroma_cacao_20110822:10:19409746:19421921:1 gene:TCM_044779 transcript:EOY19640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear matrix protein-related isoform 2 MLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSAVNIKGVFNTSNETKYEKDPPEGISVDFNFYKTFWSLQDYFCNPASLSTAPVKWQKFTSSLMVVLNTFEAQPLSEEEGADNNLEEEATTFNIKYLTSSKLMGLELKDPSFRRHILLQCLILFDYLKAPGKNDKDSSESMKEEIKSCEDRVKKLLEVTPPKGKDFLCSIEHILEREKNWVWWKRDGCPPFEKQPIEKKPVQNGAKKRRPRWRLGNKELSQLWKWADQNPNALTDPQRVRTPAITDYWKPLAEDMDESAGIEAEYHHKNNRVYCWKGLRFAARQDLEGFSKFTEHGIEGVVPLELLPPDVRSKFQGKPSDRSKRAKKEETKTSSHQVEESQIATPASEVDGEGMRADMEASAALMDADVTAGTGNNSQGGTPTPDEHQKQSPDTDVGQEAGQLEADAEVEAGIDGETDPEA >EOY18195 pep chromosome:Theobroma_cacao_20110822:10:2366350:2366843:1 gene:TCM_042812 transcript:EOY18195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >EOY18953 pep chromosome:Theobroma_cacao_20110822:10:6539772:6542996:-1 gene:TCM_043473 transcript:EOY18953 gene_biotype:protein_coding transcript_biotype:protein_coding description:3R-linalool synthase, putative isoform 2 MAPASQPLSHPKPISLQEEQRRSANYHPSIWDPSVIKSFTTPYTYEFHGTKLEELKQETDKLFASTKDTAALLKLIDSLRRLGAAYHFQKQIAEALTQLHVDRNVSINDLSTAALYFRLLREHGYRVSADIFEKFKGGDGKFMDSLSGDVEGLLCLDEASFLGVQGEKILEQAKGFASESLKTLIGKLDKNKAKHVQQSLEVPLYWRMERIEARNFIDSYQMDDTKSSVLLELAKLDYNLIQSAYQQELKELAEWWRELNFKEKLSFSRDRLMEIYFWATGLSFEPQYSKCRICFTKYACLATVVDDIYDIYGSLDELESFTKAVTGWDVKAVEELPEYMRVMFSAISEFTNELARETSKDHGLDVLPYIHEQWAILCRAHITEARWFYGGHTPTFDEYIQNGWISIGSLGGLVLLCFVEADSIVEQFPHCLKDYSQLFYWSSLITRLSDDLGTSKAEMERGDIPKAVQSYVIEKGVSEEEARDHVKNLISDSWKKINEEIVDNTFPEVIVNLSKNMARTAQCMYQHGDGVGTSTGVTKDCIVSSILKPISI >EOY18954 pep chromosome:Theobroma_cacao_20110822:10:6539895:6542578:-1 gene:TCM_043473 transcript:EOY18954 gene_biotype:protein_coding transcript_biotype:protein_coding description:3R-linalool synthase, putative isoform 2 MAPASQPLSHPKPISLQEEQRRSANYHPSIWDPSVIKSFTTPYTYEFHGTKLEELKQETDKLFASTKDTAALLKLIDSLRRLGAAYHFQKQIAEALTQLHVDRNVSINDLSTAALYFRLLREHGYRVSADIFEKFKGGDGKFMDSLSGDVEGLLCLDEASFLGVQGEKILEQAKGFASESLKTLIGKLDKNKAKHVQQSLEVPLYWRMERIEARNFIDSYQMDDTKSSVLLELAKLDYNLIQSAYQQELKELAEWWRELNFKEKLSFSRDRLMEIYFWATGLSFEPQYSKCRICFTKYACLATVVDDIYDIYGSLDELESFTKAVTGWDVKAVEELPEYMRVMFSAISEFTNELARETSKDHGLDVLPYIHEQVTSKAMEIKIKDSL >EOY18851 pep chromosome:Theobroma_cacao_20110822:10:5436543:5438119:1 gene:TCM_043349 transcript:EOY18851 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-type zinc finger family protein, putative MEAPEEVAMGSKDHSNIVKGKRTKRLRPQSPIPFAITSNSIHNEDEGEFDGNSANVDNKNVTLLSPSSSSSSSEYQDSTEEEEDMANCLILLAQGQSRQSTKQADDHDHHTGTDNKFTSRKFMEAPSNGTGKAGYYVYECKTCNRTFPSFQALGGHRASHKKPKTAAMVDEKTRQFTAAASDEEGQFIRTNNLSSLSLQLTNNNNNNRGLYSNNNKAKVHECSICGAEFTSGQALGGHMRRHRGPIGGSIPTASTALSLTVPVAMESEQPKKPRNVLSLDLDLNLPAPEDDHRESKFPFASKQQQQQQQQQQSPLVFSAPTLVDCHY >EOY18602 pep chromosome:Theobroma_cacao_20110822:10:3961996:3967198:1 gene:TCM_043107 transcript:EOY18602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein MAETSNKARSLEYTPTWAVAVVCFVLVVISIIIEHAIHMVGKWLKKKHKPALYEALEKVKAELMLMGFISLLLTVAQGTISGFCIPESIANTWHPCGKKAETKKDSEKDSESTGRKLLEFSDVSTVARRSLASKEDTCPEGKVAFVSTYGIHQLHIFIFVLAISHILYCIITYALGRTKMRKWKAWEKETRTVEYQYYNDPERFRFARDTSFGRRHMNFWSRSTLSLWIVCFFRQFFGSVTKVDYLTLRHGFITAHLAPGNETKFDFQKYIKRSLEEDFKVVVGISPIIWFIAVLFLLAYTHGWYSYLWLPFLPLVIILMVGTKLQVIITKLGLRIQDRGDVVKGAPVVQPGDDLFWFGRPRFLLFLIHLVLFTNAFQLAFFVWSTYEFTIKSCYHEHLEDIIIRVSMGVIIQFLCSYVTLPLYALVTQMGTNMRPTIFNDRVAAALKSWHHTAKKHTKHSRHSENTTPFSSRPATPTHGMSPVHLLHNHPRSVESYHTSPRNSNLENDQWDLDSPRRRAINDSVHDRQLEMREVDRTVQEYPSSSSHMPQPPQTLRTQHEIDISSSDFSFAKR >EOY18990 pep chromosome:Theobroma_cacao_20110822:10:7568419:7571729:-1 gene:TCM_043560 transcript:EOY18990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C 66 isoform 1 MVAESWFRSLWKIPRRHEGGSEKVEIGVLAFEVASLMSKLVQLWQCLSDKQVIRLREEITSSEGIKKLVSEDEDYIGRLICAEMIENITHVAKSVARLAKKCGDLGLKSFELVFAELVQIGADPYGWGFSSKKMDKKVKKMERFIAVNASLYQEMEMLADLEQTLRRMKSGDMEPENLLEFQKKVMRKQHELKSLREISLWNRTYDYTIRLLARSIFTIFGRIKHVFGIEQNVDDGDSRVANSDFMYRSQSVSALMQSSVHPTENTSLTRFSSGPFGMFSTKSGPIPKPSKTNYFHSGPLGGSTTNSGSISGKNGNFNFHSGPLGRPTAKSGPIFAMDKISKKIWQTNKHPAAVSGKKSHLKSNRLTQVGPFKGCMIAADSGTIRNCYISSNGIHSGILNGAKDGNLNLPEGNAVQTSSPVFRSQCRLLDAPPETLGASALALHYANVIIVIEKLAVSPHLIGHDARDDLYNMLPASVRAALRVRLKPYTKSLASSVYDTELAGEWTEAMSAILEWLAPLAHNMIRWQSERSFEQQNFLSRTNVLLVQTLYFANREKTEAAITEILVGLNYVWRLGRELNAKALQECASSSIFDESLDLEK >EOY18992 pep chromosome:Theobroma_cacao_20110822:10:7567941:7571758:-1 gene:TCM_043560 transcript:EOY18992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C 66 isoform 1 MVAESWFRSLWKIPRRHEGGSEKVEIGVLAFEVASLMSKLVQLWQCLSDKQVIRLREEITSSEGIKKLVSEDEDYIGRLICAEMIENITHVAKSVARLAKKCGDLGLKSFELVFAELVQIGADPYGWGFSSKKMDKKVKKMERFIAVNASLYQEMEMLADLEQTLRRMKSGDMEPENLLEFQKKVMRKQHELKSLREISLWNRTYDYTIRLLARSIFTIFGRIKHVFGIEQNVDDGDSRVANSDFMYRSQSVSALMQSSVHPTENTSLTRFSSGPFGMFSTKSGPIPKPSKTNYFHSGPLGGSTTNSGSISGKNGNFNFHSGPLGRPTAKSGPIFAMDKISKKIWQTNKHPAAVSGKKSHLKSNRLTQVGPFKGCMIAADSGTIRNCYISSNGIHSGILNGAKDGNLNLPEGNAVQTSSPVFRSQCRLLDAPPETLGASALALHYANVIIVIEKLAVSPHLIGHDARDDLYNMLPASVRAALRVRLKPYTKSLASSVYDTELAGEWTEAMSAILEWLAPLAHNMIRWQSERSFEQQNFLSRTNVLLVQTLYFANREKTEAAITEILVGLNYVWRLGRELNAKALQECASSSIFDESLDLEK >EOY18991 pep chromosome:Theobroma_cacao_20110822:10:7567552:7571634:-1 gene:TCM_043560 transcript:EOY18991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C 66 isoform 1 MVAESWFRSLWKIPRRHEGGSEKVEIGVLAFEVASLMSKLVQLWQCLSDKQVIRLREEITSSEGIKKLVSEDEDYIGRLICAEMIENITHVAKSVARLAKKCGDLGLKSFELVFAELVQIGADPYGWGFSSKKMDKKVKKMERFIAVNASLYQEMEMLADLEQTLRRMKSGDMEPENLLEFQKKVMRKQHELKSLREISLWNRTYDYTIRLLARSIFTIFGRIKHVFGIEQNVDDGDSRVANSDFMYRSQSVSALMQSSVHPTENTSLTRFSSGPFGMFSTKSGPIPKPSKTNYFHSGPLGGSTTNSGSISGKNGNFNFHSGPLGRPTAKSGPIFAMDKISKKIWQTNKHPAAVSGKKSHLKSNRLTQVGPFKGCMIAADSGTIRNCYISSNGIHSGILNGAKDGNLNLPEGNAVQTSSPVFRSQCRLLDAPPETLGASALALHYANVIIVIEKLAVSPHLIGHDARDDLYNMLPASVRAALRVRLKPYTKSLASSVYDTELAGEWTEAMSAILEWLAPLAHNMIRWQSERSFEQQNFLSRTNVLLVQTLYFANREKTEAAITEILVGLNYVWRLGRELNAKALQECASSSIFDESLDLEK >EOY17625 pep chromosome:Theobroma_cacao_20110822:10:380928:382599:-1 gene:TCM_042403 transcript:EOY17625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA processing Brix domain protein isoform 2 MLRRNIRLRREYLYRKSLEGKERLLYEKKRKIREALEEGKPIPTELRNEEATLRQEIDLEDDYTAIPKSHIDDEYANATERDPKILLTTSRDPSAPLVQFVKELKFVFPNAERMNRGNQVISEIIESCRAHDFTDVILVHEHRGVPDGLIISHLPFGPTAYFGLLNVVTRHDIKDKKAIGKMPEAYPHLIHDNFGTKLGERTANILKHLFPVPKPDTKRIVTFANQSDYISFRLVLFYRF >EOY17624 pep chromosome:Theobroma_cacao_20110822:10:379911:382725:-1 gene:TCM_042403 transcript:EOY17624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA processing Brix domain protein isoform 2 MLRRNIRLRREYLYRKSLEGKERLLYEKKRKIREALEEGKPIPTELRNEEATLRQEIDLEDDYTAIPKSHIDDEYANATERDPKILLTTSRDPSAPLVQFVKELKFVFPNAERMNRGNQVISEIIESCRAHDFTDVILVHEHRGVPDGLIISHLPFGPTAYFGLLNVVTRHDIKDKKAIGKMPEAYPHLIHDNFGTKLGERTANILKHLFPVPKPDTKRIVTFANQSDYISFRHHIYEKHGGPKSVELKEIGPRFELRLYQIKLGTMDQSEAQIEWVIRPYMNTTKKRNFIGVDQKDDKRKH >EOY17679 pep chromosome:Theobroma_cacao_20110822:10:554103:558682:-1 gene:TCM_042443 transcript:EOY17679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat and IQ domain-containing protein 3, putative isoform 3 MVIRNEPRRLLLLLVNLLLTIQLRVNSVTGLCELSFVERNKLYNFSLASPLPRFPYGVLSEDGFYKVVMNESVLWFQLCDGMLFNHDPPRCVECLDCGGPSRCGMECSALVAKNALGYQVCTTIGHVSSTNVSVIDEQNPYKGVIVRMSSSGKGRNCSLSVSIICDSTGVQGPNSMEKLGTCDYATVLRHPSGCATIISFHEKGFGWFCTLMTIILCLFGAYLLAGTVYRFFFLGVRGVEVSFSSEVICLLLKFRFCMKVEDFGNLGPLTILLTMLCPCSAFFR >EOY17680 pep chromosome:Theobroma_cacao_20110822:10:554155:558697:-1 gene:TCM_042443 transcript:EOY17680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat and IQ domain-containing protein 3, putative isoform 3 MVIRNEPRRLLLLLVNLLLTIQLRVNSVTGLCELSFVERNKLYNFSLASPLPRFPYGVLSEDGFYKVVMNESVLWFQLCDGMLFNHDPPRCVECLDCGGPSRCGMECSALVAKNALGYQVCTTIGHVSSTNVSVIDEQNPYKGVIVRMSSSGKGRNCSLSVSIICDSTGVQGPNSMEKLGTCDYATVLRHPSGCATIISFHEKGFGWFCTLMTIILCLFGAYLLAGTVYRFFFLGVRGVEVSFSSEVICLLLKFRFCMKVEDFGNLGPLTILLTMLCPCSAFFR >EOY17678 pep chromosome:Theobroma_cacao_20110822:10:554479:558682:-1 gene:TCM_042443 transcript:EOY17678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat and IQ domain-containing protein 3, putative isoform 3 MVIRNEPRRLLLLLVNLLLTIQLRVNSVTGLCELSFVERNKLYNFSLASPLPRFPYGVLSEDGFYKVVMNESVLWFQLCDGMLFNHDPPRCVECLDCGGPSRCGMECSALVAKNALGYQVCTTIGHVSSTNVSVIDEQNPYKGVIVRMSSSGKGRNCSLSVSIICDSTGVQGPNSMEKLGTCDYATVLRHPSGCATIISFHEKGFGWFCTLMTIILCLFGAYLLAGTVYRFFFLGVRGVEVIPNLEFWASLQHRTQVPLVSWYIQPVSSTQVIT >EOY17675 pep chromosome:Theobroma_cacao_20110822:10:554091:558673:-1 gene:TCM_042443 transcript:EOY17675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat and IQ domain-containing protein 3, putative isoform 3 MVIRNEPRRLLLLLVNLLLTIQLRVNSVTGLCELSFVERNKLYNFSLASPLPRFPYGVLSEDGFYKVVMNESVLWFQLCDGMLFNHDPPRCVECLDCGGPSRCGMECSALVAKNALGYQVCTTIGHVSSTNVSVIDEQNPYKGVIVRMSSSGKGRNCSLSVSIICDSTGVQGPNSMEKLGTCDYATVLRHPSGCATIISFHEKGFGWFCTLMTIILCLFGAYLLAGTVYRFFFLGVRGVEVIPNLEFWASLQHRTQSFFSSLVRKFSGPSAGHRSSYSRVNF >EOY17677 pep chromosome:Theobroma_cacao_20110822:10:554091:558636:-1 gene:TCM_042443 transcript:EOY17677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat and IQ domain-containing protein 3, putative isoform 3 MLFNHDPPRCVECLDCGGPSRCGMECSALVAKNALGYQVCTTIGHVSSTNVSVIDEQNPYKGVIVRMSSSGKGRNCSLSVSIICDSTGVQGPNSMEKLGTCDYATVLRHPSGCATIISFHEKGFGWFCTLMTIILCLFGAYLLAGTVYRFFFLGVRGVEVIPNLEFWASLQHRTQSFFSSLVRKFSGPSAGHRSSYSRVNF >EOY17676 pep chromosome:Theobroma_cacao_20110822:10:554479:558682:-1 gene:TCM_042443 transcript:EOY17676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat and IQ domain-containing protein 3, putative isoform 3 MVIRNEPRRLLLLLVNLLLTIQLRVNSVTGLCELSFVERNKLYNFSLASPLPRFPYGVLSEDGFYKVVMNESVLWFQLCDGMLFNHDPPRCVECLDCGGPSRCGMECSALVAKNALGYQVCTTIGHVSSTNVSVIDEQNPYKGVIVRMSSSGKGRNCSLSVSIICDSTGVQGPNSMEKLGTCDYATVLRHPSGCATIISFHEKGFGWFCTLMTM >EOY18759 pep chromosome:Theobroma_cacao_20110822:10:4836335:4838801:1 gene:TCM_043261 transcript:EOY18759 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein isoform 7 MVGLSVGEKHFIQGGIAQDLRSDGRKRLTYRPIYVETGVIPQAHGSARIRLGATDVIASVKAELGKPSALQPDKGKIAIYVDCSPTAAPMFEGRGGEELSTELSVALQRCLLGGKSGSGSGIDPSSLVIVEGKVCWDLYIDGLVISSDGNLLDALGAAIKAALSNTGIPKVNVASETSGDEQPEVNISDEEFLQFDTSGIPVIVTLTKVGRHYIVDATSEEESQISSAISISINRKGHICGLTKRGGAGLDPSIILDMISVAKHVSEQLINKLDSEIAAAEANEEES >EOY18760 pep chromosome:Theobroma_cacao_20110822:10:4835947:4839047:1 gene:TCM_043261 transcript:EOY18760 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein isoform 7 MLKLELFLRRMVRQELGLVQLMLLPVLRQSLENQVHCNLTKERLLYMLIVVQLQHQCLRGGEELSTELSVALQRCLLGGKSGSGSGIDPSSLVIVEGKVCWDLYIDGLVISSDGNLLDALGAAIKAALSNTGIPKVNVASETSGDEQPEVNISDEEFLQFDTSGIPVIVTLTKVGRHYIVDATSEEESQISSAISISINRKGHICGLTKRGGAGLDPSIILDMISVAKHVSEQLINKLDSEIAAAEANEEES >EOY18764 pep chromosome:Theobroma_cacao_20110822:10:4836299:4839068:1 gene:TCM_043261 transcript:EOY18764 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein isoform 7 GRGGEELSTELSVALQRCLLGGKSGSGSGIDPSSLVIVEGKVCWDLYIDGLVISSDGNLLDALGAAIKAALSNTGIPKVNVASETSGDEQPEVNISDEEFLQFDTSGIPVIVTLTKVGRHYIVDATSEEESQISSAISISINRKGHICGLTKRGGAGLDPSIILDMISVAKHVSEQLINKLDSEIAAAEANEEES >EOY18761 pep chromosome:Theobroma_cacao_20110822:10:4835947:4839047:1 gene:TCM_043261 transcript:EOY18761 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein isoform 7 MVGLSVGEKHFIQGGIAQDLRSDGRKRLTYRPIYVETGVIPQAHGSARIRLGATDVIASVKAELGKPSALQPDKGKIAIYVDCSPTAAPMFEGRGGEELSTELSVALQRCLLGSGIDPSSLVIVEGKVCWDLYIDGLVISSDGNLLDALGAAIKAALSNTGIPKVNVASETSGDEQPEVNISDEEFLQFDTSGIPVIVTLTKVGRHYIVDATSEEESQISSAISISINRKGHICGLTKRGGAGLDPSIILDMISVAKHVSEQLINKLDSEIAAAEANEEES >EOY18757 pep chromosome:Theobroma_cacao_20110822:10:4836016:4839068:1 gene:TCM_043261 transcript:EOY18757 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein isoform 7 MVGLSVGEKHFIQGGIAQDLRSDGRKRLTYRPIYVETGAHGSARIRLGATDVIASVKAELGKPSALQPDKGKIAIYVDCSPTAAPMFEGRGGEELSTELSVALQRCLLGGKSGSGSGIDPSSLVIVEGKVCWDLYIDGLVISSDGNLLDALGAAIKAALSNTGIPKVNVASETSGDEQPEVNISDEEFLQFDTSGIPVIVTLTKVGRHYIVDATSEEESQISSAISISINRKGHICGLTKRGGAGLDPSIILDMISVAKHVSEQLINKLDSEIAAAEANEEES >EOY18762 pep chromosome:Theobroma_cacao_20110822:10:4835947:4839047:1 gene:TCM_043261 transcript:EOY18762 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein isoform 7 MITSLFFWIYKNGGAFCWRKTFHTRWNCSRPSFGWSKKAHGSARIRLGATDVIASVKAELGKPSALQPDKGKIAIYVDCSPTAAPMFEGRGGEELSTELSVALQRCLLGGKSGSGSGIDPSSLVIVEGKVCWDLYIDGLVISSDGNLLDALGAAIKAALSNTGIPKVNVASETSGDEQPEVNISDEEFLQFDTSGIPVIVTLTKVGRHYIVDATSEEESQISSAISISINRKGHICGLTKRGGAGLDPSIILDMISVAKHVSEQLINKLDSEIAAAEANEEES >EOY18758 pep chromosome:Theobroma_cacao_20110822:10:4835980:4839045:1 gene:TCM_043261 transcript:EOY18758 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein isoform 7 MVGLSVGEKHFIQGGIAQDLRSDGRKRLTYRPIYVETGVIPQAHGSARIRLGATDVIASVKAELGKPSALQPDKGKIAIYVDCSPTAAPMFEGRGGEELSTELSVALQRCLLGGKSGSGSGIDPSSLVIVEGKVCWDLYIDGLVISSDGNLLDALGAAIKAALSNTGIPKVNVASETSGDEQPEVNISDEEFLQFDTSGIPVIVTLTKVGRHYIVDATSEEESQISSAISISINRKGHICGLTKRGGAGLDPSIILDMISVAKHVSEQLINKLDSEIAAAEANEEES >EOY18763 pep chromosome:Theobroma_cacao_20110822:10:4835980:4839045:1 gene:TCM_043261 transcript:EOY18763 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein isoform 7 MVGLSVGEKHFIQGGIAQDLRSDGRKRLTYRPIYVETGVIPQAHGSARIRLGATDVIASVKAELGKPSALQPDKGKIAIYVDCSPTAAPMFEGRGGEELSTELSVALQRCLLGGKSGSAGSGIDPSSLVIVEGKVCWDLYIDGLVISSDGNLLDALGAAIKAALSNTGIPKVNVASETSGDEQPEVNISDEEFLQFDTSGIPVIVTLTKVGRHYIVDATSEEESQISSAISISINRKGHICGLTKRGGAGLDPSIILDMISVAKHVSEQLINKLDSEIAAAEANEEES >EOY19188 pep chromosome:Theobroma_cacao_20110822:10:13142986:13144153:-1 gene:TCM_044066 transcript:EOY19188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQEFAVIATDLGSFLKIECEKGEFEFPKNYDPSSVWEIITGKKEKYSSKSNVGLITSPQIRILHYFIAANIHGKSGNFSYISLQDLCLMEHAFSGTPLNLGRFMIERTTRVCRGDKINLPYGNVITYLVQKKGIWRSRYQMDSVKSRDQAFYLGSLPKMGYKLDGKRYVKTPKATSGKEFSFPA >EOY19204 pep chromosome:Theobroma_cacao_20110822:10:14126830:14135113:-1 gene:TCM_044159 transcript:EOY19204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MHNSDQVKQDQRTTCNVEDSTMTIEFLRARLLSERSVSKSARQRVDELAKRVAELEKQLKFVSVQRRRAEKATADVLAILENNGVSDISEELDSSSDQDAPFESNINNGSTKEEESSVTSKVRQKESEELSGSEFDCSSASGRSLSWKGRKSASHSPERYKDKLVRSRNSFASISFSSRKHRQGKSCRQIRRRESRSVAEELKSDNIMVDPQVKGLENSSEVNANHSTGEKDMEKALEHQAQLIVHYEAMERAQREWEEKFREKNSSSPDSCDPGNHSDVTEERDEIKAQAQYVSGTATSQVQGAEEEHISFSAELPKIHSNDLVPPSQADMDRLQDWRYSRSLSPESLNPNSPGQKLTFLMAKENHHQSMQSNNSPSNSSHHFAHPHDSPGNQAVQHISSDLGSHSCRELPRNKNELYALVPHETSGRFTGVLDSLKQARLSLQQKISTLSLVEGASVGKAIETSGSGRKVGERVEIPLGCSGLFRVPTDISVEAPKANFLGSSSQLSLANHYPDRGVAPTASNHLLTTSYMNTQSSSSSNYQPVSSDRFFSGPYMYPRTSSSPFPTAFASSGYIKDDQILTGQCEETGSRLSTPKPSFDPSLEPVLPSSSLQNYPTFPSYPDLVPQIHAKEGFPAFHTTRSVGATPDWFSFYDSHFRPDIHRL >EOY19202 pep chromosome:Theobroma_cacao_20110822:10:14126269:14134852:-1 gene:TCM_044159 transcript:EOY19202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MRTAHADPTLIFQAMVADIGANFRVPILWREPLDTLEVHVSVPLKQAFLLPMTTCNVEDSTMTIEFLRARLLSERSVSKSARQRVDELAKRVAELEKQLKFVSVQRRRAEKATADVLAILENNGVSDISEELDSSSDQDAPFESNINNGSTKEEESSVTSKVRQKESEELSGSEFDCSSASGRSLSWKGRKSASHSPERYKDKLVRSRNSFASISFSSRKHRQGKSCRQIRRRESRSVAEELKSDNIMVDPQVKGLENSSEVNANHSTGGPHILPMGSEIHENKSTVDNLHSDALKNERNVTGFDLDFHGYEGEKDMEKALEHQAQLIVHYEAMERAQREWEEKFREKNSSSPDSCDPGNHSDVTEERDEIKAQAQYVSGTATSQVQGAEEEHISFSAELPKIHSNDLVPPSQADMDRLQDWRYSRSLSPESLNPNSPGQKLTFLMAKENHHQSMQSNNSPSNSSHHFAHPHDSPGNQAVQHISSDLGSHSCRELPRNKNELYALVPHETSGRFTGVLDSLKQARLSLQQKISTLSLVEGASVGKAIETSGSGRKVGERVEIPLGCSGLFRVPTDISVEAPKANFLGSSSQLSLANHYPDRGVAPTASNHLLTTSYMNTQSSSSSNYQPVSSDRFFSGPYMYPRTSSSPFPTAFASSGYIKDDQILTGQCEETGSRLSTPKPSFDPSLEPVLPSSSLQNYPTFPSYPDLVPQIHAKEGFPAFHTTRSVGATPDWFSFYDSHFRPDIHRL >EOY19205 pep chromosome:Theobroma_cacao_20110822:10:14126269:14135113:-1 gene:TCM_044159 transcript:EOY19205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MHNSDQVKQDQRTTCNVEDSTMTIEFLRARLLSERSVSKSARQRVDELAKRVAELEKQLKFVSVQRRRAEKATADVLAILENNGVSDISEELDSSSDQDAPFESNINNGSTKEEESSVTSKVRQKESEELSGSEFDCSSASGRSLSWKGRKSASHSPERYKDKLVRSRNSFASISFSSRKHRQGKSCRQIRRRESRSVAEELKSDNIMVDPQVKGLENSSEVNANHSTGGPHILPMGSEIHENKSTVDNLHSDALKNERNVTGFDLDFHGYEGEKDMEKALEHQAQLIVHYEAMERAQREWEEKFREKNSSSPDSCDPGNHSDVTEERDEIKAQAQYVSGTATSQVQGAEEEHISFSAELPKIHSNDLVPPSQADMDRLQDWRYSRSLSPESLNPNSPGQKLTFLMAKENHHQSMQSNNSPSNSSHHFAHPHDSPGNQAVQHISSDLGSHSCRELPRNKNELYALVPHETSGRFTGVLDSLKQARLSLQQKISTLSLVEGASVGKAIETSGSGRKVGERVEIPLGCSGLFRVPTDISVEAPKANFLGSSSQLSLANHYPDRGVAPTASNHLLTTSYMNTQSSSSSNYQPVSSDRFFSGPYMYPRTSSSPFPTAFASSGYIKDDQILTGQCEETGSRLSTPKPSFDPSLEPVLPSSSLQNYPTFPSYPDLVPQIHAKEGFPAFHTTRSVGATPDWFSFYDSHFRPDIHRL >EOY19203 pep chromosome:Theobroma_cacao_20110822:10:14126327:14135334:-1 gene:TCM_044159 transcript:EOY19203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MHNSDQVKQDQRTTCNVEDSTMTIEFLRARLLSERSVSKSARQRVDELAKRVAELEKQLKFVSVQRRRAEKATADVLAILENNGVSDISEELDSSSDQDAPFESNINNGSTKEEESSVTSKVRQKESEELSGSEFDCSSASGRSLSWKGRKSASHSPERYKDKLVRSRNSFASISFSSRKHRQGKSCRQIRRRESRSVAEELKSDNIMVDPQVKGLENSSEVNANHSTGEKDMEKALEHQAQLIVHYEAMERAQREWEEKFREKNSSSPDSCDPGNHSDVTEERDEIKAQAQYVSGTATSQVQGAEEEHISFSAELPKIHSNDLVPPSQADMDRLQDWRYSRSLSPESLNPNSPGQKLTFLMAKENHHQSMQSNNSPSNSSHHFAHPHDSPGNQAVQHISSDLGSHSCRELPRNKNELYALVPHETSGRFTGVLDSLKQARLSLQQKISTLSLVEGASVGKAIETSGSGRKVGERVEIPLGCSGLFRVPTDISVEAPKANFLGSSSQLSLANHYPDRGVAPTASNHLLTTSYMNTQSSSSSNYQPVSSDRFFSGPYMYPRTSSSPFPTAFASSGYIKDDQILTGQCEETGSRLSTPKPSFDPSLEPVLPSSSLQNYPTFPSYPDLVPQIHAKEGFPAFHTTRSVGATPDWFSFYDSHFRPDIHRL >EOY18307 pep chromosome:Theobroma_cacao_20110822:10:2867298:2870779:1 gene:TCM_042900 transcript:EOY18307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSSYNALAPQFSQEKITQFAFDSLFIIILTCDNPKDAWDKIKEEFEGSDRTRSCNQMGHVEKVCKAKKVVVEEKTAIVENEDENEELLFMARTDADPLSNNIWLIDNGCSNHLSIGTVSVETPKGVKYITGVHYIPEVDQNLLSVGKLTRSHYALLFEDQYYTIHDPTGAEMITVEMKNNCYPLNLMNANQVAFYCEMDTSELWHKRLGHVNYGSLAKMATDDLVEGLPAIKNPDKVGDISGNKLAKEDGFGQADVVTYRSMVGSLLYLLATRPDIMYSTSLLSRFMQAPSTLHFIAVKRIIKNVKGTLNYGLRYEKNENSELHGYSDSDWAGSLEDSKSTRGFCLSFGSGVFCWNNKKQEVVAQFSTEAEYIATAAATNHVVWLRKLLNDLGFKQEKATLIHVDNKSAIAIAKNPGQHGRAKHIRVKHHAIRELVKELSYTACRHFHKRIAQRKLSF >EOY17947 pep chromosome:Theobroma_cacao_20110822:10:1551414:1553254:1 gene:TCM_042638 transcript:EOY17947 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyltransferase family 1 protein, putative MEKGEQSKACPHVLIFPLPLQGHVNSMFKLAELLALTGFKVTFLNSEHNHGRLVKHTNISAHFARYPGFEFRTVPDGLPDDHPRSGNWFLEMYYAMETKAKLSLREMLLNINPPVDCIIGDGFLGFVLDVAKELGIPVFYCRTSSACCFWAYYSIPDIIQAGEIPIKGVFVKKLKGIEDMDRLITTVPGMETFLRCRDLPSFCRASDMANSTIQLVVKQTRKSPQAHALILNTFEDLDRPILSHIRTKCPHVYTIGPIHALLNTRLKAQCVASSQFSNSLWEEDRNCISWLDKQPKQSVVYVKFRPNSVTGKDGQGEDVPVELLEGTQERGCIVGWAPQEEVLNHSAVGGFLTHSGWNSTLESVVAGVPMICWPYFADQQVNSRFVSEVWKLGLDMKDVCDRKAVEKMVNDLMMDKREEFVKSAAEMAKLAKESVNVGGSSYCNLDRLIEDIRLMSLKKP >EOY19303 pep chromosome:Theobroma_cacao_20110822:10:16274894:16282008:1 gene:TCM_044367 transcript:EOY19303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein MDELLQNHLFATHAIAASGSVVFATALTYPLDTIKSLIQVGSSSSKQLTASQVINRVRALSGISGLYTGFEWLAWGKTFGLGARFGMYEVLTAFYKDGREDNYVYISEALMAGMAAGAVESLTSSPFELIKLRAQVTSASHFSRSTLVTENKAVVPAIARLLCGYTLDMRTLNHSVGMLSILNSKHPNMVSAIQEYPWMMTGSGRPPPVYDVRRPSQIISLEGWGALWRGLRSGVARDSIFGGIFFSTWQFLHRAMLDWKAVGMDPPPRYDEDIGPLSPLAVSLAAGFSGSVAAAVSHSFDTAKSRSQCIVLPKFISMERKLLKWKIPGKRFERITGIHPADRTLLYRGIWPRMARSGIASFVLVGSYYLSINYLVSSN >EOY17879 pep chromosome:Theobroma_cacao_20110822:10:1287917:1293980:-1 gene:TCM_042584 transcript:EOY17879 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MEVKDIVFMNKGDGENSYVKSAGLTLKVIAKTQPMVQKAVQSLFKGTHSAPLQVVNVADLGCALGPQPLESMSIVIESIVEKCGELGCEMPEIQFHLNDLAGNDFNTLFKGLSVVQEKYKNVSWFAMGAPGSFHGRLFPRNSMHLVHSCYSVHWLSKAPKITSEEGLPLNKGKIYMSKTSPPAVKEAYLSQFEEDFSSVLRFRSPELAPDGRMVLILNGRQSADPTEKDICYLRDLLAEALSYLVSEGLIDEEKLGSFNVPYYNPSQEEVERVIDKEGSFTTEFSDTVVLEIGGKNAWSDPGLRIKGYRCFSEPVLSHQFGEEVMDKLFDKAEEILAEDYKQGKEATKNISIVAKLAAVAQPLVYKVVESVLKEKFCSSPGEVLFNVADLGCSSGPNTFTVMSTVLESVAKNCGGSECQMPEIQFYLNDLVGNDFNTLFKGLSVIQEKYKDVPRFAMGAPGSFYDRLFPRNSMHLVHSSYGIHWLSKAPKITCERGLPLNKGSIHISETSPLKVRKAYLSQFQEDFLLFLKCRSPEMVPNGRILFTINGRKSGDPIGKESLYNFELLSRAISYMVSKGLIDKDKLDSLNAPTFTPSQEEVEDLVEKEGSFTVEFIDTIKVEVGDAWASAESRAKNVRAFSEPWISHQFGEEIMDKLYEKVTQIVVEDYKLGKQTTMVVNIVAVLKKKDI >EOY17566 pep chromosome:Theobroma_cacao_20110822:10:203785:206027:1 gene:TCM_042366 transcript:EOY17566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MKVYEDSGCFDPNSMTDCQALMGGLAGGISTNSQNSLEDNLNLSSYNNHQHEDASAAAAAVEMEIQQHYMLDNNTTNNNNTTLLIPQLTQELSYDQSNWDADQQSFNMVPSSSSPEAAYPATPDLLNLFHLPRCSFLPNSSISFESAPASSVVYDPLFHLNLPPQPPVFRELLQSLPHGYTLPADDREASGGGGLYHDGDNGILEFNRGISMAGHGKGRNRAGKTTKHFATERERRVHLNDKYKALRNMVPNPSKTDRASIVGDAINYIKELVRTVNELKLLVEKKRCGQGRSKRLKTEDAGSAADAGDVECKPLGDPDQCYNSSLRSSWLQRKSKDTEVDVRIIDDEVTIKLVQRKKINCLLFVSRLLDELQLDLHHVAGGHVGDYYSFLFNTKIYEGSSVYASAIANKLIEGVDRQYAAAPPTSSTCL >EOY17565 pep chromosome:Theobroma_cacao_20110822:10:203784:206027:1 gene:TCM_042366 transcript:EOY17565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MKVYEDSGCFDPNSMTDCQALMGGLAGGISTNSQNSLEDNLNLSSYNNHQHEDASAAAAAVEMEIQQHYMLDNNTTNNNNTTLLIPQLTQELSYDQSNWDADQQSFNMVPSSSSPEAAYPATPDLLNLFHLPRCSFLPNSSISFESAPASSVVYDPLFHLNLPPQPPVFRELLQSLPHGYTLPADDREASGGGGLYHDGDNGILEFNRGISMAGHGKGRNRAGKTTKHFATERERRVHLNDKYKALRNMVPNPSKTDRASIVGDAINYIKELVRTVNELKLLVEKKRCGQGRSKRLKTEDAGSAADAGDVECKPLGDPDQCYNSSLRSSWLQRKSKDTEVDVRIIDDEVTIKLVQRKKINCLLFVSRLLDELQLDLHHVAGGHVGDYYSFLFNTKIYEGSSVYASAIANKLIEGVDRQYAAAPPTSSTCL >EOY17626 pep chromosome:Theobroma_cacao_20110822:10:382820:386047:1 gene:TCM_042404 transcript:EOY17626 gene_biotype:protein_coding transcript_biotype:protein_coding description:P60-like, putative MGKKAKTSRKGKKAWRANISTEDIDDYFEKSTKDALSGGSLTSAPTESLFFIDKSKDLSVKRKIEKKREKVLRVDSVLQKNPFVQAVLSSKQKDSKKKKKEALKAKDVVLQDVTRDNSAPDSSMVPIWGNEGQHSGKARQVSKNSIIPAVEVEPPGCSYNPSFESHQDSLAEAVAEEMQKAYKIELGPQPVPLTVVGEVIDEDNKYFIEADDGSDEEMNEENLSENKDPATERRPSKTKRVTRVELNKRARRKELQRKEAEAKKAEEFSKDIDSLPDILQEIAKEDEEKQKKHLRKVIAKQERLKACPPRLGKYKFQPAPPQVLLSEELTGSLRKLKGCSTLARDRFKSLEKRGLIPPSAKSGRK >EOY18831 pep chromosome:Theobroma_cacao_20110822:10:5281933:5298211:1 gene:TCM_043326 transcript:EOY18831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MVVTTTNNGGSGCGCCRFLCQVINGRWFMMFASFLIMAGAGATYLFGVYSKDIKATLGYDQSTLNLMSTFKDLGANVGVLSGLLAEVTPTWFVLLVGAVMNFAGYFMIWCAVTKKIPTPQVWHMCAYICIGANSQNFANTGSLVTCVKNFPESRGIMLGLMKGFVGLSGALFTQLYYAIYGNDSKSLILLIGWLPAAISLVFVYNIRIMKISRHPNEHKVFHEYLTISVILALLLMGLTIAQKKVTFPRIGYVLSAVVVCVMLFSPLAIAIREEYYTWKHRKQITTPTSIIVEATPPTETVSKSEIEPECTETEIVMKNQEDSCCTNICKRPKRGQDYTILQALTSADMLLLFVATFCGLGCSLTAVDNLGQIGESLGYPQLTISTFVSLLSIWNYFGRVFAGFVSEKILLKYKVPRPSIMAFALLLSAVGDLLIAFPWPGSVYPASLVLGFSYGAQLTLLFTIVSELFGLKYYSTLFNCSQLASPLGSYVLNVRVVGKLYDREALKQLAAKGLTRSMVKELTCMGKQCYRLSFLILAAANFCAFFVTLILVARTRKYYRGDIYKRFRDEMEANEKEMALKQAQEIQEVKK >EOY17712 pep chromosome:Theobroma_cacao_20110822:10:636556:640008:1 gene:TCM_042462 transcript:EOY17712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer membrane OMP85 family protein isoform 2 MSNREPKPDSTPPNSKPDPERPKPFKTNDIEEEEDEDDENDLEDDENQNDDVFGEPNSPKPQAREARYRAEKLKMDSLLQRMQSGPVSLRVHDVIIKGNTKTKDHIIEAETEELKSVSSMQELLKASQLVNLRLQALEVFDSVKITLDSGPPELPGTANVIIEVVETANPLSGQIGAYTKAEEFDLRYAVPLGFYRAALNFGISGGVVFPLGNGFLSRPSSLPERFFLGGNMSPVCTVGGPTALWGFKTRGLGPTEPRRKVNDENTDPSGVDFLGGDLAVTALADLSFDLPFRWFREKGIHAHVFACTGNVAKLTENEYRNFSVQKFVDSLRSSVGVGLVVPTSLFRMELNYCYILRKFDHDRAKTGFWLTFSGAS >EOY17711 pep chromosome:Theobroma_cacao_20110822:10:636542:640148:1 gene:TCM_042462 transcript:EOY17711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer membrane OMP85 family protein isoform 2 MSNREPKPDSTPPNSKPDPERPKPFKTNDIEEEEDEDDENDLEDDENQNDDVFGEPNSPKPQAREARYRAEKLKMDSLLQRMQSGPVSLRVHDVIIKGNTKTKDHIIEAETEELKSVSSMQELLKASQLVNLRLQALEVFDSVKITLDSGPPELPGTANVIIEVVETANPLSGQIGAYTKAEARSSTVEGSLKYKNPFGYGDLWDGSLAYGCDHSAEVSAGVYLPRFKGLASPVTARAYLLSQDWLKFSSYKERSLGLSLGLFSTTHHDVAYNLAWRMVTDPSQMSSRSIRRQLGHNLLSSLKYTFKFDRRNSTLRPTRGYAFVSTTQIGGLAPDSRSLRFLRQEFDLRYAVPLGFYRAALNFGISGGVVFPLGNGFLSRPSSLPERFFLGGNMSPVCTVGGPTALWGFKTRGLGPTEPRRKVNDENTDPSGVDFLGGDLAVTALADLSFDLPFRWFREKGIHAHVFACTGNVAKLTENEYRNFSVQKFVDSLRSSVGVGLVVPTSLFRMELNYCYILRKFDHDRAKTGFWLTFSGAS >EOY17955 pep chromosome:Theobroma_cacao_20110822:10:1578453:1582623:-1 gene:TCM_042643 transcript:EOY17955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylyl cyclase 1 isoform 4 GGGHLMWPLYFLLNKILKTDDEEKDGDHMNVGAGCCHFELSSDNRIGHDAVLPRSYFVQVLHINQLFSWDCGLACVLMALTTIGINDCSIQNLAELCCTTSIWTVDLAYLLQKFSVRFSYYTVTFGANPNYSGETYYKEQLPTDLLRVDMLFQKAVEAGINIRCRSISGEEISRWILSGKYIVIALVDQYKLSQSWAGDVIVPGLYGNDGGYTGHYVVICGYDAGADEFEIRDPASSRTMCRKHSK >EOY17956 pep chromosome:Theobroma_cacao_20110822:10:1579584:1582623:-1 gene:TCM_042643 transcript:EOY17956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylyl cyclase 1 isoform 4 MWPLYFLLNKILKTDDEEKDGDHMNVGAGCCHFELSSDNRIGHDAVLPRSYFVQVLHINQLFSWDCGLACVLMALTTIGINDCSIQNLAELCCTTSIWTVDLAYLLQKFSVRFSYYTVTFGANPNYSGETYYKEQLPTDLLRVDMLFQKAVEAGINIRCRSISGEEISRWILSGKYIVIALVDQYKLSQSWAGDVIVPGLYGNDGGYTGGCCTR >EOY17954 pep chromosome:Theobroma_cacao_20110822:10:1577362:1583336:-1 gene:TCM_042643 transcript:EOY17954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylyl cyclase 1 isoform 4 MNVGAGCCHFELSSDNRIGHDAVLPRSYFVQVLHINQLFSWDCGLACVLMALTTIGINDCSIQNLAELCCTTSIWTVDLAYLLQKFSVRFSYYTVTFGANPNYSGETYYKEQLPTDLLRVDMLFQKAVEAGINIRCRSISGEEISRWILSGKYIVIALVDQYKLSQSWAGDVIVPGLYGNDGGYTGHYVVICGYDAGADEFEIRDPASSRKHSKVSSKCLEEARKSFGTDEDLLLISLEESRKKQNYSVL >EOY17953 pep chromosome:Theobroma_cacao_20110822:10:1577618:1583256:-1 gene:TCM_042643 transcript:EOY17953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylyl cyclase 1 isoform 4 MWPLYFLLNKILKTDDEEKDGDHMNVGAGCCHFELSSDNRIGHDAVLPRSYFVQVLHINQLFSWDCGLACVLMALTTIGINDCSIQNLAELCCTTSIWTVDLAYLLQKFSVRFSYYTVTFGANPNYSGETYYKEQLPTDLLRVDMLFQKAVEAGINIRCRSISGEEISRWILSGKYIVIALVDQYKLSQSWAGDVIVPGLYGNDGGYTGHYVVICGYDAGADEFEIRDPASSRKHSKVSSKCLEEARKSFGTDEDLLLISLEESRKKQNYSVL >EOY20160 pep chromosome:Theobroma_cacao_20110822:10:25106235:25112443:-1 gene:TCM_045545 transcript:EOY20160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Melibiase family protein isoform 2 MGWNSWNFFACNITEDLIKETADALISTGLADLGYVYVNIDDCWSGASRNSEGQLVPDPNTFPSGIKAVADYVHGKGLKLGIYSDAGAFTCQVRPGSLLHETDDAQLFASWGVDYLKYDNCFNLGIDPKKRYPPMRDALNATGRTIFYSICEWGVEDPALWAHGVGNSWRTTDDINDTWASMTTIADLNDKWASYAGPGGWNDPDMLEVGNGGMTYQEYRAHFSIWALMKAPLLVGCDVRSMTNETFEILSNKEVIAVNQDSLGVQGRKVYATGENDLLHHIVYHIVWKFGQVLCLEIAWSLLSGIDVQKLQL >EOY20159 pep chromosome:Theobroma_cacao_20110822:10:25106246:25113224:-1 gene:TCM_045545 transcript:EOY20159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Melibiase family protein isoform 2 MGMEKMKKKGVCSVYVLVVLTVWLTDLGIEGRGVSVLEKHGKPSSGFGFGFTKSFNSIYDSSRYGIFQLNNGLALTPQMGWNSWNFFACNITEDLIKETADALISTGLADLGYVYVNIDDCWSGASRNSEGQLVPDPNTFPSGIKAVADYVHGKGLKLGIYSDAGAFTCQVRPGSLLHETDDAQLFASWGVDYLKYDNCFNLGIDPKKRYPPMRDALNATGRTIFYSICEWGVEDPALWAHGVGNSWRTTDDINDTWASMTTIADLNDKWASYAGPGGWNDPDMLEVGNGGMTYQEYRAHFSIWALMKAPLLVGCDVRSMTNETFEILSNKEVIAVNQDSLGVQGRKVYATGENDCLQVWAGPLSGNRLVVALWNRCSKAATITAKWEALGLESSTSVSVRDLWQHEDLTEKAVASFGAKVDSHDCHMYIFTPTTKAHSEI >EOY17981 pep chromosome:Theobroma_cacao_20110822:10:1670705:1672749:1 gene:TCM_042661 transcript:EOY17981 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase A2B MAYKVDHEYDYLFKVVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVSSEDAESLAEKESLSFLETSALESFNIEKAFQTVLLDIYQIISKKALAAQEAASTTGLPQGTTINVSNLSGNENKRSACCST >EOY19672 pep chromosome:Theobroma_cacao_20110822:10:19769990:19770658:1 gene:TCM_044843 transcript:EOY19672 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase Rpb7-like, N-terminal domain isoform 2 MFLKVQLPWNVIIPADSLGAKGLMLQKAIVVHLLDDFACKKATKDLGYFIAVTTLESIGDGKVRQNTGDVLFPVVFSGITFKMFRGEILEGVVHKILKHGVFLRCGPVQNIYLSHLKMPDYHYVPGENAIFMNDKHSKIEKDVVVRFIVIGTKWLEAEREFQALVSLEGDYLGPVS >EOY19671 pep chromosome:Theobroma_cacao_20110822:10:19768542:19770807:1 gene:TCM_044843 transcript:EOY19671 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase Rpb7-like, N-terminal domain isoform 2 MFLKVQLPWNVIIPADSLGAKGLMLQKAIVVHLLDDFACKKATKDLGYFIAVTTLESIGDGKVRQNTGDVLFPVVFSGITFKMFRGEILEGVVHKILKHGVFLRCGPVQNIYLSHLKMPDYHYVPGENAIFMNDKHSKIEKDVVVRFIVIGTKWLEAEREFQALVSLEGDYLGPVS >EOY18342 pep chromosome:Theobroma_cacao_20110822:10:3115450:3119784:1 gene:TCM_042947 transcript:EOY18342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MQERVRNAANEGDIEALYELIREKADFLRDIDQMEFVDTPLHVAAAAGRTEFALELLDLKPSLATKLNQDGLSPMHLALQNKYAETVLSLLRFDKNLVRVKGKNGYTPFHYAVMNGDRPVLNEFLKDCPQWIHDVTNRNETGLHVAVQNNSFEAFQVLMLWLWRSDCSVREIKRILNFKNRDGDTALHIAASKNQPKIVRLLTEYGIMNMKATNSKNLTGQNQHDRGKCKEILLSAYRAIFVGAIALIQLISQLKYNIKTMSGDNNNAVLVVTVLILTATYQAALSPPGGVFQANSEPKNTTAHLQIPHSFRNITSSITNKIFKKHPSAAGSSVLNTVPFLFFFIPNIMAFGISFLLTCAVLISILPVVLSTALVLSLSMLVVCLLISSVRIISPNSLSVVVMYETVDLLVGLPVLVMFLTIFLRLVIRLVISRLWRMAWKEN >EOY19532 pep chromosome:Theobroma_cacao_20110822:10:18510369:18513803:1 gene:TCM_044661 transcript:EOY19532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 11 MAMAKYFEGQASPITGIGEENKKWTCFCDEYRRWNLESVKQLLPQNLILMISAMMIDPSGEEMDDSYWLHSSTDGSAKGQLGLAAAGGLIRDSSETWLTRFTYKIGISFSLTAELWAIYYGLMICWSKESVMTVFEMVRYHVTRVLGCLIVYCLGAHLWMAFFAITLNMSVTYQNPCNHLSRGEPLAPSDFNTY >EOY17834 pep chromosome:Theobroma_cacao_20110822:10:1022240:1025126:-1 gene:TCM_042540 transcript:EOY17834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain protein MSTFPDSFTQLDDDSVESLPHQEHDGSGYEGYDPSQQFDSFAAESDPAKDSTEDVFESQPYTNGGGFGQHFSGSDGPILPPPSEMEHEEGFALREWRRENAIRLEEKEKREKELLSQIIDEAEQYKVEFYRKREATCESNKANNREREKIFVENQEKFHTEADKHYWKAIAELIPNEVPAIEKRGKKDKEKKPSIVVVQGPKPGKPADLSRMRQILLKLKHNTPPHLKHSPPPAPAPAKDQDSKTSNTPVTAAPPVTSTPKAVVAA >EOY19747 pep chromosome:Theobroma_cacao_20110822:10:22040429:22046000:1 gene:TCM_045053 transcript:EOY19747 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance-like protein MSFVGEACLSTFFEALFAKLGSFESLHFAIKKQVYEELRKWEKILKNIQAVLDDAEEKQMKDRHVKIWLAELQDLAYDVDDILDEFATEALGTKLMQERQADRSKVWKIIHTFITIFNPYAFLFNYKMMSKIKAITVRLQDLVTQKSDLHLRKNDVGRPKRMIERPLTTSLVNEALVYGREDDKKAIIDLLLMNDSSDGKVTMIPIVGIGGIGEKGFDWHMDLKETRELITRKCNGLPLAAKTIGGLLCSRVDPDAWKDVLENEIWNSSEEKCGVIPTLRLSYYHLPPHLKQCFSYCSILPKAYEFGDEEIVLLWMAESLLQLQNLVNSQDALDARLFDKSGLDDLEMKWSANLKDDLRKKEAEKKVLSLLQPHKKLKKLTIKYYGGKLPIMLQHLKIWSCSKLAYLSSSGTLSVGLKYLRIDLCQMLESIAHSVHNNTCLEFIVIGRCEKIQYLSNGLDQLNGLQQIQIECSRNLVSISKLPFTNLRVLRLSWCRKFQALPDGMHILTSLRELEISNCPCLLSFPEEAAPIQTMSPAAAVVKTSLITLLLLEESPSFAIMQIGKIHTLSIAYVPFVKVDHKFAYDLEEES >EOY19958 pep chromosome:Theobroma_cacao_20110822:10:24082367:24142056:-1 gene:TCM_045366 transcript:EOY19958 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein isoform 3 MLMPITNHELPEIMVIDILLRLPVKSLMRFRCVCKSWCSSFQTSEFITNHKNKNLNLLLMLHDEVPYFSLLSTEAKIKEYGEPEVEFNLKVKENIHMLDFDQLTVSGPCNGLLCVHDNYSIILWNPSTREVKVLPESTISRPPATDDTYFGFVGFGFDRNSNDYKVLRCVNNCVLEEDGFAVLEFIYQIDLYSLRTDSWREIAHPDVFVYDPYLFNAYINGIYYWEAMGDDDDLILSFDMVEEVFSTLSLPNFGMSKAECSWCIASFNEALATIVHPRIGMEKCYDIWILNGYSWTKQLTIGPILGVEMPLGFWKNGELFLESENHKLVMFDPCTGQLQDFGIYMSQDSTQQLVVYAESIVSIRGSLEYEANITREVQV >EOY19957 pep chromosome:Theobroma_cacao_20110822:10:24135823:24142196:-1 gene:TCM_045366 transcript:EOY19957 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein isoform 3 MLMPITNHELPEIMVIDILLRLPVKSLMRFRCVCKSWCSSFQTSEFITNHKNKNLNLLLMLHDEVPYFSLLSTEAKIKEYGEPEVEFNLKVKENIHMLDFDQLTVSGPCNGLLCVHDNYSIILWNPSTREVKVLPESTISRPPATDDTYFGFVGFGFDRNSNDYKVLRCVNNCVLEEDGFAVLEFIYQIDLYSLRTDSWREIAHPDVFVYDPYLFNAYINGIYYWEAMGDDDDLILSFDMVEEVFSTLSLPNFGMSKAECSWCIASFNEALATIVHPRIGMEKCYDIWILNGYSWTKQLTIGPILGVEMPLGFWKNGELFLESENHKLVMFDPCTGQLQDFGIYMSQDSTQQLVVYAESIVSIRGSLEYEANITREVQVQKSTLRRPLIIRMLASTITWGWLGCIKRIFLWMAERGYEIAQSNAAWILDKYGEHNMWMDESGLCTDAERHQRAHSLSWQASEQESKT >EOY19959 pep chromosome:Theobroma_cacao_20110822:10:24138571:24142056:-1 gene:TCM_045366 transcript:EOY19959 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein isoform 3 MLMPITNHELPEIMVIDILLRLPVKSLMRFRCVCKSWCSSFQTSEFITNHKNKNLNLLLMLHDEVPYFSLLSTEAKIKEYGEPEVEFNLKVKENIHMLDFDQLTVSGPCNGLLCVHDNYSIILWNPSTREVKVLPESTISRPPATDDTYFGFVGFGFDRNSNDYKVLRCVNNCVLEEDGFAVLEFIYQIDLYSLRTDSWREIAHPDVFVYDPYLFNAYINGIYYWEAMGDDDDLILSFDMVEEVFSTLSLPNFGMSKAECSWCIASFNEALATIVHPRIGMEKCYDIWILNGYSWTKQLTIGPILGVEMPLGFWKNGELFLESENHKLVMFDPCTGQLQDFGIYMSQDSTQQLVVYAESIVSIRGSLEYEANITREVQVQKSTLRRPLIIRMLASTITWG >EOY19228 pep chromosome:Theobroma_cacao_20110822:10:14633988:14638244:-1 gene:TCM_044208 transcript:EOY19228 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: N-terminal protein myristoylation; LOCATED IN: chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Haemerythrin/HHE cation-binding mot /.../terPro:IPR012312); Has 59 Blast hits to 59 proteins in 14 species: Archae - 0; Bacteria - 2; Metazoa - 0; Fungi - 0; Plants - 56; Viruses - 0; Other Eukaryotes - 1 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G54290) TAIR;Acc:AT3G54290] MGNCFAQSKKSTAEIAPYDSIRRFKPVPVVPTVRLYGSASSTLAAYIRFALLHKNLPLQFVPTDKPPCDGEPLLLEIGSETVSGYRETLLQFIEDKFPHPPLGFNMVDTTPLTVQVTWLQHRSITWHLERMVRWAEDLSTRGGRRTVDPAVGSPRMELRKFGKNYSQLLELMVEHAQMEERVVFPVLEMADRGLCKSANEEHARDLPVMNGIKEDIKSIGVMDYGTPAYHEGLSNLSTRLKSLQKHCKEHFDEEEKDLLPLIEATELSEEQQTRVFEQCFDAMKATHSHLLNFFLEGLLPSEAMEYVDLINKCSDKERTASMIQMIAK >EOY19226 pep chromosome:Theobroma_cacao_20110822:10:14633829:14638171:-1 gene:TCM_044208 transcript:EOY19226 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: N-terminal protein myristoylation; LOCATED IN: chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Haemerythrin/HHE cation-binding mot /.../terPro:IPR012312); Has 59 Blast hits to 59 proteins in 14 species: Archae - 0; Bacteria - 2; Metazoa - 0; Fungi - 0; Plants - 56; Viruses - 0; Other Eukaryotes - 1 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G54290) TAIR;Acc:AT3G54290] MGNCFAQSKKSTAEIAPYDSIRRFKPVPVVPTVRLYGSASSTLAAYIRFALLHKNLPLQFVPTDKPPCDGEPLLLEIGSETVSGYRETLLQFIEDKFPHPPLGFNMVDTTPLTVQVTWLQHRSITWHLERMVRWAEDLSTRGGRRTVDPAVGSPRMELRKFGKNYSQLLELMVEHAQMEERVVFPVLEMADRGLCKSANEEHARDLPVMNGIKEDIKSIGVMDYGTPAYHEGLSNLSTRLKSLQKHCKEHFDEEEKDLLPLIEATELSEEQQTRVFEQCFDAMKATHSHLLNFFLEGLLPSEAMEYVDLINKCSDKERTASMIQMIAK >EOY19229 pep chromosome:Theobroma_cacao_20110822:10:14634131:14638314:-1 gene:TCM_044208 transcript:EOY19229 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: N-terminal protein myristoylation; LOCATED IN: chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Haemerythrin/HHE cation-binding mot /.../terPro:IPR012312); Has 59 Blast hits to 59 proteins in 14 species: Archae - 0; Bacteria - 2; Metazoa - 0; Fungi - 0; Plants - 56; Viruses - 0; Other Eukaryotes - 1 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G54290) TAIR;Acc:AT3G54290] MGNCFAQSKKSTAEIAPYDSIRRFKPVPVVPTVRLYGSASSTLAAYIRFALLHKNLPLQFVPTDKPPCDGEPLLLEIGSETVSGYRETLLQFIEDKFPHPPLGFNMVDTTPLTVQVTWLQHRSITWHLERMVRWAEDLSTRGGRRTVDPAVGSPRMELRKFGKNYSQLLELMVEHAQMEERVVFPVLEMADRGLCKSANEEHARDLPVMNGIKEDIKSIGVMDYGTPAYHEGLSNLSTRLKSLQKHCKEHFDEEEKDLLPLIEATELSEEQQTRVFEQCFDAMKATHSHLLNFFLEGLLPSEAMEYVDLINKCSDKERTASMIQMIAK >EOY19227 pep chromosome:Theobroma_cacao_20110822:10:14633834:14638046:-1 gene:TCM_044208 transcript:EOY19227 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: N-terminal protein myristoylation; LOCATED IN: chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Haemerythrin/HHE cation-binding mot /.../terPro:IPR012312); Has 59 Blast hits to 59 proteins in 14 species: Archae - 0; Bacteria - 2; Metazoa - 0; Fungi - 0; Plants - 56; Viruses - 0; Other Eukaryotes - 1 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G54290) TAIR;Acc:AT3G54290] MGNCFAQSKKSTAEIAPYDSIRRFKPVPVVPTVRLYGSASSTLAAYIRFALLHKNLPLQFVPTDKPPCDGEPLLLEIGSETVSGYRETLLQFIEDKFPHPPLGFNMVDTTPLTVQVTWLQHRSITWHLERMVRWAEDLSTRGGRRTVDPAVGSPRMELRKFGKNYSQLLELMVEHAQMEERVVFPVLEMADRGLCKSANEEHARDLPVMNGIKEDIKSIGVMDYGTPAYHEGLSNLSTRLKSLQKHCKEHFDEEEKDLLPLIEATELSEEQQTRVFEQCFDAMKATHSHLLNFFLEGLLPSEAMEYVDLINKCSDKERTASMIQMIAK >EOY19035 pep chromosome:Theobroma_cacao_20110822:10:8582883:8587574:-1 gene:TCM_043662 transcript:EOY19035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATGRLPDPLISSLQIGSSPSLQPRPMHLTAEAKSHASLVNNDGSQAFDLQNQPPTSLRFQRKSFLSIAKGEKPPMIPLNQDPVVYKDQPAAAFFEDEIRTLVEPFNLCLHWFIANQKMWVFKWYPDFEAEKESLVVPVWISFSNLKAHLYKKLALLLIAKTIGKPLFVNKAIAKGSRPNVARVCVEYDCKKPLTDPVWIVIQNRDTSMVTSSYSQKGRKNECKISSKNRGKQIEFNVKEDGRGMGNEVLHDNEEQPVALEKVATLTTKPTGSDGVLQESLNVHREWSLSKKGIDEKETVFSELVGLETFHKKKKKLVVRSLESTVNGDGPNRSDGQRMVMVSTSLSDDLVEGSEENMPLVDEEMNQLGRSKALSSYGFLLFGIVHNDEGSKQGEDDKLETEHSGGNILAASTTTCIRESMQGRYANRSNSDRGMGKYLFNKELSDIPSHEGMCYGELEVHPLVIWVEPHDGAMEDFATALLDYDLIDGGFQGYLFTWINNRAALPKGITSATLVLLPKNNHASKWSEYRPISLCNVLNKIITKILAIRLTKVLPSIILGNQSGFVGGRLINDNILLAQELIGKIDKKARGGNVVLKLDMMKAYDQLD >EOY18980 pep chromosome:Theobroma_cacao_20110822:10:7316504:7319984:1 gene:TCM_043538 transcript:EOY18980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPVNPFFILHFLYDVSIHLASEDSLSYLTCLRIIEAFILQMIAPSEHPNFQKFELNAPKSFPFLISYLK >EOY19006 pep chromosome:Theobroma_cacao_20110822:10:7865551:7874658:-1 gene:TCM_043599 transcript:EOY19006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MWKEAMNKELKALLSNNTWSLVPLPKEERVIGNKWVLKIKRRADGTIERYIAQLVAKGYNQKKELDYNEKFSLLAKFTIMRCLLAISVIKGWELHQMDIDNAFLHGEFCNTPYPRIETNMTLSTRQKVDLIVVQLELTTYLNSFKCNTHNSKIGLIAEDLVTYKPQNPWVQNGLQVKEKVVSLMLQFEAYDSDHLASYSLTFVVHCDIGYIIKEASLEDSKVVQTLIPQQHNLSSEIWDLLEDVTAYQRLVKRLIYLIVTQSDISYAMQVLNQLMQAPRAPRLAMAKRLARYIKKSLDHSLFYSYMNSLELEVCYDTDWATCPIIGRSILGMLIFLGDTPISWKLKKQQTVCRSLVEAKYRAMTMTIAKLVCLRDVLKELSFFYYKTYSPIR >EOY17720 pep chromosome:Theobroma_cacao_20110822:10:660080:660883:1 gene:TCM_042466 transcript:EOY17720 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein, putative MRSQEPRSRASCAACKLLKRRCTPNCIFAPYFRSDEPKKFAKVHKVFGASNVSKILIEVPEEQREETVNSLAYEAEARLRDPVYGCIGAIALLQRKMIELQHDLALARERLARYAATTSSAIISSDRVSMGPFGEFQVPAASCGGFIDSFSLNSFEVNQDAHMYDFSQIPYV >EOY19772 pep chromosome:Theobroma_cacao_20110822:10:22412402:22420286:-1 gene:TCM_045112 transcript:EOY19772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIVRKNMGRLSPLLLVTLVLGFCFATYNLVTMVMHNRSISKWKVNDSNGGIFFDPVIEMPENVKKPNNAKQPFHVALTATDAPYSKWQCRVMYYWYKKQKDLPGSEMGGFTRVLHSGSPDNLVDEIPTVIVDPLPAGLDRGYIVLNRPWAFVQWLEKATIEEEYILMAEPDHIFIRPLPNLGHGGYPAAFPFFYIKPAQNEKLLRKFYPEEMGPVTNIDPIGNSPVIIKKDLLEKIAPTWMNVSLKMKNDPETDKAFGWVLEMYAYAVASALHGVQHILRKDFMLQPPWDLETGKKFIIHYTYGCDYNMKGELTYGKIGEWRFDKRSYLRGPPPRNLSLPPPGVPESVVTLVKMVNEATANIANWDAE >EOY19771 pep chromosome:Theobroma_cacao_20110822:10:22412401:22420497:-1 gene:TCM_045112 transcript:EOY19771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIVRKNMGRLSPLLLVTLVLGFCFATYNLVTMVMHNRSISKWKVNDSNGGIFFDPVIEMPENVKKPNNAKQPFHVALTATDAPYSKWQCRVMYYWYKKQKDLPGSEMGGFTRVLHSGSPDNLVDEIPTVIVDPLPAGLDRGYIVLNRPWAFVQWLEKATIEEEYILMAEPDHIFIRPLPNLGHGGYPAAFPFFYIKPAQNEKLLRKFYPEEMGPVTNIDPIGNSPVIIKKDLLEKIAPTWMNVSLKMKNDPETDKAFGWVLEMYAYAVASALHGVQHILRKDFMLQPPWDLETGKKFIIHYTYGCDYNMKGELTYGKIGEWRFDKRSYLRGPPPRNLSLPPPGVPESVVTLVKMVNEATANIANWDAE >EOY19548 pep chromosome:Theobroma_cacao_20110822:10:18662850:18666811:-1 gene:TCM_044680 transcript:EOY19548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANILYDLMVHHNREVMATGTVGYLHYFTALYIKGGANEIHLYLNLILAIPLYTMDFRKCFASVNVRLDAAGGVSASDVNVNVGVVIGRGNGRGRGPSSPIDVDVSHKSSATSRDTDTGDLSSEDLDWMFETKNVQERSQSQGNFKDDIQKGRVINKSFKEIHYAPDENGKVVLVENMLFNSVYHFMEMMADYMVQEGIKLYRAKNEKTRFKAFCQGNGYEWMIHAALCLDRKIFKTKKVGNEHTCFKVENDAYKWLMEKPLTRWARHTLDPSVMTGHVTNNMAKSFNNWIRIPCKHPVACINQRRIEEGNLGKGHLDLVVLRERALSGAFSTKSLVIIKGHVLGFLWDIIERSSSKTLKSASRIASGSTSRITRAPSTSVQGRPINTTTTLGHGGVAGEHGGGGAAVATKEHGGAAQTAKSTSFTKRPSSVVAFARHATPTSSIMNFVNALFESGTEGT >EOY18274 pep chromosome:Theobroma_cacao_20110822:10:2666302:2668486:1 gene:TCM_042868 transcript:EOY18274 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MGKKNRNRKKYQWRKKLGLDDQKVFRSIEDDTNIRNISVAIKNLNFEQRNDDIISSLPDEILCHIISFLPFKSAVQTSFLSTRWKDLWRKDFLVRHGTIDDAFIEISSFLHDISGELSYKPRNSWGFQFNLGKSSVLSVAIEPDKKLHLDFSKVKHEFPWQFDWLLEINFPTYNDPHFAWWFARIRKMHTLQSLLSTFKLKTLHLISVSYLTSEAVSSMTKNIKYLESLTIEKCNGLRSLQMKASGSELKKLTILDCQQLQFLHVEGYNIKSFRYRGRLLSLQWGASLGSYWPEYKDYLNFNLEDAMLDCRQGPACTNINSCGFESIFQQLQNAKSLTLCRWVFEALIYPILPHNNKELLFHHLTELWWIDYLKELRYNSNALISFLQLCPHLTRLYITIDSKGYKRTSSNSCSVTVTRLPRLEGLKVVKLEGFPNEREEIILAKRLKQVFNVEPLIIAKSNYRTRVRVLVKENENQKGGEDPCKFIEKRVEDFYEFCPKHVHMGL >EOY20135 pep chromosome:Theobroma_cacao_20110822:10:25025048:25032245:-1 gene:TCM_045528 transcript:EOY20135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidinol dehydrogenase isoform 2 MDSQLLRFCIKPFHFPRFPSFASPNFISVSGLACKKVRCAMKTYQLSELGPAEVESLKARPRIDFSSIFGMVQPIIDDVRSRGDAAVKVYTEKFDKVNLHKIVENVSELPYPELDPTIKEAFDVAYENIYAFHLAQKSSAKSVETMKGVKCKRVARSIGSVGLYVPGGTAVLPSTALMLSIPAKIAGCKTVVLATPPGQDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTESCPKVEKILGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADKHANPVHIAADLLSQAEHGPDSQVVLVIAGDGVGLKAIEEEISKQCQSLPRGEFASKALSHSFTVFACDIVEAISFSNLYAPEHLIMNVKDAEKWEGFVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYMTVQSLTEEGLSNLGPHVATMAEVEGLDAHKRAVTLRLEDINARHASSVR >EOY20134 pep chromosome:Theobroma_cacao_20110822:10:25025048:25030458:-1 gene:TCM_045528 transcript:EOY20134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidinol dehydrogenase isoform 2 MDSQLLRFCIKPFHFPRFPSFASPNFISVSGLACKKVRCAMKTYQLSELGPAEVESLKARPRIDFSSIFGMVQPIIDDVRSRGDAAVKVYTEKFDKVNLHKIVENVSELPYPELDPTIKEAFDVAYENIYAFHLAQKSSAKSVETMKGVKCKRVARSIGSVGLYVPGGTAVLPSTALMLSIPAKIAGCKTVVLATPPGQDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTESCPKVEKILGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADKHANPVHIAADLLSQAEHGPDSQVVLVIAGDGVGLKAIEEEISKQCQSLPRGEFASKALSHSFTVFACDIVEAISFSNLYAPEHLIMNVKDAEKWEGFVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYMTVQSLTEEGLSNLGPHVATMAEVEGLDAHKRAVTLRLEDINARHASSVR >EOY20133 pep chromosome:Theobroma_cacao_20110822:10:25024802:25032245:-1 gene:TCM_045528 transcript:EOY20133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidinol dehydrogenase isoform 2 MFACLWRLALLFFCLSSLSCCAALLFSEQQLLIIPICHLLGLACKKVRCAMKTYQLSELGPAEVESLKARPRIDFSSIFGMVQPIIDDVRSRGDAAVKVYTEKFDKVNLHKIVENVSELPYPELDPTIKEAFDVAYENIYAFHLAQKSSAKSVETMKGVKCKRVARSIGSVGLYVPGGTAVLPSTALMLSIPAKIAGCKTVVLATPPGQDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTESCPKVEKILGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADKHANPVHIAADLLSQAEHGPDSQVVLVIAGDGVGLKAIEEEISKQCQSLPRGEFASKALSHSFTVFACDIVEAISFSNLYAPEHLIMNVKDAEKWEGFVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYMTVQSLTEEGLSNLGPHVATMAEVEGLDAHKRAVTLRLEDINARHASSVR >EOY18650 pep chromosome:Theobroma_cacao_20110822:10:4203075:4210511:1 gene:TCM_043146 transcript:EOY18650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein MVARKGVGCYSAMVVHVVDATGRFGEKPISFVSLTSITPGKLTKEIDQVREGFECGRHKVIDFVTFSVKYGCLTFDEIEGGWNESDIPTLDVVYDTNNGVRRITQVAIDTKRSIRWFLAINIEDIDDFMFKGGKTKKRNKAEMKMEKGLVSRSRSNFAESIDYWSDCSTGWINLTTARLLGFLCDISEISFPKASEIGEEEFLVCLRNADSMEVVPADGKSSKDGWHIIQVSGGKNAPTRFDLTLFWVKKTEQQSHEMPGQEAEQRPLLKLRTDLNELTPKVERILKKLPAWCSLFGKSISSHTLSFLSSLPVNFQTPEIPLDS >EOY20265 pep chromosome:Theobroma_cacao_20110822:10:25412395:25433999:1 gene:TCM_045620 transcript:EOY20265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heteroglycan glucosidase 1 MNVDDFPQERFPDPKSLVKDLHHIGFKAIWMPDPGIKHEKGYFVYDSGTDHDAWIQEANGMYFVGDVWPGPCVFPDFTQSKIRSWWANLVRDFISNGVDGIWNDMNEPAIFKAYGMLMARSTYEEMELADKRKHPFVLTRAGFIASQRYAAMWTGDNLSNWEHLHMSISMVLQLGLSGQPLSRPDIGGFAGNATPKLFGRWMGFGAMFPLCHGHSETDTINHEPWSFGEEEPPMCVLQLKYVLKQEGLKIIILQLVRLSPKGVHGDFHCVWEFIAQA >EOY17802 pep chromosome:Theobroma_cacao_20110822:10:922902:925623:-1 gene:TCM_042519 transcript:EOY17802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 4 isoform 2 MVMEDAEAPHHMLLLRNFMSQGLVHNQPLLYASPAIDPRGFLGTLPSPAASKDEKSHKHDPDQEKGLRIAWQYKKYFGESQLNFDGQRDGKHEYSNEFDLRKPLERHFLSAQRVDCVSIQDSPNLSALQDRSATFLSQYPRNDGSISCAGRIAIQSFSAPQCAYSNMEWDMLSFIRSLKSMVRSSNAVALITFPPSLLSPSFCKRWQHMADTLLSVKAIPDEDKELSQLLTGYQDMVGFLNVHKVARINTQVPVILDATTFSIKLQKRRFLVLECLNQAPVDGSSGTSYGTSGSCSGSSKTGNLDF >EOY17800 pep chromosome:Theobroma_cacao_20110822:10:922901:926750:-1 gene:TCM_042519 transcript:EOY17800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 4 isoform 2 MAAAKTRTSSFSRNLSAAAPSQGPGLKCGPNGTVFLSSGISDLDKILGGGFPLGSLVMVMEDAEAPHHMLLLRNFMSQGLVHNQPLLYASPAIDPRGFLGTLPSPAASKDEKSHKHDPDQEKGLRIAWQYKKYFGESQLNFDGQRDGKHEYSNEFDLRKPLERHFLSAQRVDCVSIQDSPNLSALQDRSATFLSQYPRNDGSISCAGRIAIQSFSAPQCAYSNMEWDMLSFIRSLKSMVRSSNAVALITFPPSLLSPSFCKRWQHMADTLLSVKAIPDEDKELSQLLTGYQDMVGFLNVHKVARINTQVPVILDATTFSIKLQKRRKQSTNHHKNEKPSEMKNRIHPYCIVHKVVAYPSKPLGEPDRRWLLSYVKWESLRTQSNLLY >EOY17801 pep chromosome:Theobroma_cacao_20110822:10:922902:926332:-1 gene:TCM_042519 transcript:EOY17801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 4 isoform 2 MAAAKTRTSSFSRNLSAAAPSQGPGLKCGPNGTVFLSSGISDLDKILGGGFPLGSLVMVMEDAEAPHHMLLLRNFMSQGLVHNQPLLYASPAIDPRGFLGTLPSPAASKDEKSHKHDPDQEKGLRIAWQYKKYFGESQLNFDGQRDGKHEYSNEFDLRKPLERHFLSAQRVDCVSIQDSPNLSALQDRSATFLSQYPRNDGSISCAGRIAIQSFSAPQCAYSNMEWDMLSFIRSLKSMVRSSNAVALITFPPSLLSPSFCKRWQHMADTLLSVKAIPDEDKELSQLLTGYQDMVGFLNVHKVARINTQVPVILDATTFSIKLQKRRFLVLECLNQAPVDGSSGTSYGTSGSCSGSSKTGNLDF >EOY19239 pep chromosome:Theobroma_cacao_20110822:10:14854353:14860673:1 gene:TCM_044227 transcript:EOY19239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLVHDPSFQPKFNLVAWSKGIRAAQTTRTHVYRFCTGVPATMLLATASTPFGNVALALQGKPYNYQQMKVEFNQLKDEVLDIK >EOY18956 pep chromosome:Theobroma_cacao_20110822:10:6563276:6568974:1 gene:TCM_043476 transcript:EOY18956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFGSFNAMASLVFNGENYLIWVVKMKAYLREFDLCAVSNSIFTRIMACENTKDVWDKLQEELHGSHRNREMQALNLLRELKVLKMKDNESIKEYSDKVMKVVNQLRLLWENLHEKRSMNKVLFVKYVASMEDETGKKIMNLRIDNGSIEFKDFLALKGIKHQLIVPCTPQQNGVCELKNRTIVKMTHCLLFEKSLPKSFWTEAANLSVYLLNLLPTRTLEAKSPYEVWHVNKLKSRLVAKGYSQVQGVDFMETFVSIVSENEAILYIKLPNGKVQLIVSLYVNDLLIPGLDNDFLKEFKAQMKAEFEMTDLGEMSYFLGIEFKQMDDQIEPSVLHMKVVKRILRYIRGTLTFGLKFVRQGSNCLQGHCDSDCVGSKEDSKSTSSYYFSFATNHALWLRKLLVDLGSEKYVVQMYKQLLGKRQVQLFQSQAGSKRDKFHGGVLKLEYISATMEKRAE >EOY20224 pep chromosome:Theobroma_cacao_20110822:10:25285283:25286495:1 gene:TCM_045593 transcript:EOY20224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKGRNFWLIPLPQSCIWIWRKTKKLRSTAIPLIKHVIDNGRRTIFWIDNWHSQGPLLHLYSSSIMLASGLSKEAGVDNVIRNNSRHWPQTCFSTLQDIQTATDGIPSPDFQQADSLFVWHQSVVNSPLPRLGNI >EOY19347 pep chromosome:Theobroma_cacao_20110822:10:16590072:16594822:1 gene:TCM_044420 transcript:EOY19347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPGSLTSLDIFDFPKLEILPSNGFQNLTSLETLCVGSCPNLRSLPEKDMLSSLLRLEIWGCEVLKEQCKKDKGPEWSNIEHIPYVQIHGSISMILDGPDSGDGSGSDDYDSKEKDQSFRLTRIICHVEICVVAVFPANLILSFLDGISDTAVATIKTNTQAFALPFCPKSSYLLELYFVVNPCRSSKLSITHVLLKTFRWFFILMNGLDTFSETEYISIVASIL >EOY19610 pep chromosome:Theobroma_cacao_20110822:10:19193181:19220416:1 gene:TCM_044750 transcript:EOY19610 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase isoform 6 MGLKQEDLLLNNNTNNSNVSEMPVDKQKLAAPIKSAVDKFQLLPEFLKVRGLVKQHLDSFNYFVNTGIKKIVRANDRIVSGVDPSIYLRFKDVRIGEPSMTINAVSEKINPHTCRLSDMTYAAPIFVNIEYIQGSHGQKTRLEKNDLVIGRMPIMLRSCCCVLYGKDEAELARLGECPLDPGGYFVIKGAEKVILIQEQLSKNRIIIDADKKGNINASVTSSSEATKSKTVIQMEKEKIYLLLNQFVKKIPIMVVMKAMGMESDQEVVQMVGRDPHYNAVLLPSIEECAGVGIYTQEQALEYLETKVKRVMYTGPASEKEGRALSILRDVFLANVPVRSNNFRPKCLYVAVMLRRMVEAILNKDAMDDKDYVGNKRLELSGQLISLLFEDLFKTTISEVQKMIDLVLSKPSRSSALDPSQFLRSRETITFGLERTLSTGNFDIKRFKMHRKGMTQVLARLSFIGTLGYMTKVSPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEDEGPLISLCYCLGVEDLELLSGEELHTPNSFLVILNGLILGKHRRPQHFAVAMRKLRRAGKVGEFVSVFVNEKQRCVYIASDGGRVCRPLVIADKGVSRIKEHHMKELLDGVRTFDDFLRDGLIEYLDVNEENNALIALYEGEATPETTHIEIEPFTILGVCAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLCRMDTLLYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIVMKRYSAVNQKYETGASDRILRPQRTGPGSERMQILDDDGIATPGEIIRPNDIYINKESSIHTRGSRVSSESLPDSAYRPARQTYKGPEGESCVVDRVALCTDRNSNLSIKFLIRHTRRPEVGDKFSSRHGQKGVCGTIIQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPSGHADRVEAISETLIKHGFSYNGKDFIY >EOY19611 pep chromosome:Theobroma_cacao_20110822:10:19193099:19221288:1 gene:TCM_044750 transcript:EOY19611 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase isoform 6 MGLKQEDLLLNNNTNNSNVSEMPVDKQKLAAPIKSAVDKFQLLPEFLKVRGLVKQHLDSFNYFVNTGIKKIVRANDRIVSGVDPSIYLRFKDVRIGEPSMTINAVSEKINPHTCRLSDMTYAAPIFVNIEYIQGSHGQKTRLEKNDLVIGRMPIMLRSCCCVLYGKDEAELARLGECPLDPGGYFVIKGAEKEQLSKNRIIIDADKKGNINASVTSSSEATKSKTVIQMEKEKIYLLLNQFVKKIPIMVVMKAMGMESDQEVVQMVGRDPHYNAVLLPSIEECAGVGIYTQEQALEYLETKVKRVMYTGPASEKEGRALSILRDVFLANVPVRSNNFRPKCLYVAVMLRRMVEAILNKDAMDDKDYVGNKRLELSGQLISLLFEDLFKTTISEVQKMIDLVLSKPSRSSALDPSQFLRSRETITFGLERTLSTGNFDIKRFKMHRKGMTQVLARLSFIGTLGYMTKVSPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEDEGPLISLCYCLGVEDLELLSGEELHTPNSFLVILNGLILGKHRRPQHFAVAMRKLRRAGKVGEFVSVFVNEKQRCVYIASDGGRVCRPLVIADKGVSRIKEHHMKELLDGVRTFDDFLRDGLIEYLDVNEENNALIALYEGEATPETTHIEIEPFTILGVCAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLCRMDTLLYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIVMKRYSAVNQKYETGASDRILRPQRTGPGSERMQILDDDGIATPGEIIRPNDIYINKESSIHTRGSRVSSESLPDSAYRPARQTYKGPEGESCVVDRVALCTDRNSNLSIKFLIRHTRRPEVGDKFSSRHGQKGVCGTIIQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPSGHADRVEAISETLIKHGFSYNGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGNGPRVMLTRQPTEGRARNGGLRVGEMERDCLIAYGASMLIFERLMISSDPFEVQVCRKCGLLGYYSHKLKTGICSSCKNGDNVSTMKLPYACKLLIQELQSMNIVPRLKLSEA >EOY19614 pep chromosome:Theobroma_cacao_20110822:10:19193181:19220416:1 gene:TCM_044750 transcript:EOY19614 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase isoform 6 MGLKQEDLLLNNNTNNSNVSEMPVDKQKLAAPIKSAVDKFQLLPEFLKVRGLVKQHLDSFNYFVNTGIKKIVRANDRIVSGVDPSIYLRFKDVRIGEPSMTINAVSEKINPHTCRLSDMTYAAPIFVNIEYIQGSHGQKTRLEKNDLVIGRMPIMLRSCCCVLYGKDEAELARLGECPLDPGGYFVIKGAEKVILIQEQLSKNRIIIDADKKGNINASVTSSSEATKSKTVIQMEKEKIYLLLNQFVKKIPIMVVMKAMGMESDQEVVQMVGRDPHYNAVLLPSIEECAGVGIYTQEQALEYLETKVKRVMYTGPASEKEGRALSILRDVFLANVPVRSNNFRPKCLYVAVMLRRMVEAILNKDAMDDKDYVGNKRLELSGQLISLLFEDLFKTTISEVQKMIDLVLSKPSRSSALDPSQFLRSRETITFGLERTLSTGNFDIKRFKMHRKGMTQVLARLSFIGTLGYMTKVSPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEDEGPLISLCYCLGVEDLELLSGEELHTPNSFLVILNGLILGKHRRPQHFAVAMRKLRRAGKVGEFVSVFVNEKQRCVYIASDGGRVCRPLVIADKGVSRIKEHHMKELLDGVRTFDDFLRDGLIEYLDVNEENNALIALYEGEATPETTHIEIEPFTILGVCAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLCRMDTLLYLLVYPQRPLLTTRTIELVSGLYVVDYSTPFCPVNCLLCFQVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIVMKRYSAVNQKYETGASDRILRPQRTGPGSERMQILDDDGIATPGEIIRPNDIYINKESSIHTRGSRVSSESLPDSAYRPARQTYKGPEGESCVVDRVALCTDRNSNLSIKFLIRHTRRPEVGDKFSSRHGQKGVCGTIIQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPSGHADRVEAISETLIKHGFSYNGKDFIY >EOY19609 pep chromosome:Theobroma_cacao_20110822:10:19193099:19221288:1 gene:TCM_044750 transcript:EOY19609 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase isoform 6 MGLKQEDLLLNNNTNNSNVSEMPVDKQKLAAPIKSAVDKFQLLPEFLKVRGLVKQHLDSFNYFVNTGIKKIVRANDRIVSGVDPSIYLRFKDVRIGEPSMTINAVSEKINPHTCRLSDMTYAAPIFVNIEYIQGSHGQKTRLEKNDLVIGRMPIMLRSCCCVLYGKDEAELARLGECPLDPGGYFVIKGAEKVILIQEQLSKNRIIIDADKKGNINASVTSSSEATKSKTVIQMEKEKIYLLLNQFVKKIPIMVVMKAMGMESDQEVVQMVGRDPHYNAVLLPSIEECAGVGIYTQEQALEYLETKVKRVMYTGPASEKEGRALSILRDVFLANVPVRSNNFRPKCLYVAVMLRRMVEAILNKDAMDDKDYVGNKRLELSGQLISLLFEDLFKTTISEVQKMIDLVLSKPSRSSALDPSQFLRSRETITFGLERTLSTGNFDIKRFKMHRKGMTQVLARLSFIGTLGYMTKVSPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEDEGPLISLCYCLGVEDLELLSGEELHTPNSFLVILNGLILGKHRRPQHFAVAMRKLRRAGKVGEFVSVFVNEKQRCVYIASDGGRVCRPLVIADKGVSRIKEHHMKELLDGVRTFDDFLRDGLIEYLDVNEENNALIALYEGEATPETTHIEIEPFTILGVCAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLCRMDTLLYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIVMKRYSAVNQKYETGASDRILRPQRTGPGSERMQILDDDGIATPGEIIRPNDIYINKESSIHTRGSRVSSESLPDSAYRPARQTYKGPEGESCVVDRVALCTDRNSNLSIKFLIRHTRRPEVGDKFSSRHGQKGVCGTIIQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPSGHADRVEAISETLIKHGFSYNGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGNGPRVMLTRQPTEGRARNGGLRVGEMERDCLIAYGASMLIFERLMISSDPFEVQVCRKCGLLGYYSHKLKTGICSSCKNGDNVSTMKLPYACKLLIQELQSMNIVPRLKLSEA >EOY19615 pep chromosome:Theobroma_cacao_20110822:10:19196932:19219707:1 gene:TCM_044750 transcript:EOY19615 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase isoform 6 MTINAVSEKINPHTCRLSDMTYAAPIFVNIEYIQGSHGQKTRLEKNDLVIGRMPIMLRSCCCVLYGKDEAELARLGECPLDPGGYFVIKGAEKVILIQEQLSKNRIIIDADKKGNINASVTSSSEATKSKTVIQMEKEKIYLLLNQFVKKIPIMVVMKAMGMESDQEVVQMVGRDPHYNAVLLPSIEECAGVGIYTQEQALEYLETKVKRVMYTGPASEKEGRALSILRDVFLANVPVRSNNFRPKCLYVAVMLRRMVEAILNKDAMDDKDYVGNKRLELSGQLISLLFEDLFKTTISEVQKMIDLVLSKPSRSSALDPSQFLRSRETITFGLERTLSTGNFDIKRFKMHRKGMTQVLARLSFIGTLGYMTKVSPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEDEGPLISLCYCLGVEDLELLSGEELHTPNSFLVILNGLILGKHRRPQHFAVAMRKLRRAGKVGEFVSVFVNEKQRCVYIASDGGRVCRPLVIADKGVSRIKEHHMKELLDGVRTFDDFLRDGLIEYLDVNEENNALIALYEGEATPETTHIEIEPFTILGVCAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLCRMDTLLYLLVYPQRPLLTTRTIELVSGLYVVDYSTPFCPVNCLLCFQVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIVMKRYSAVNQKYETGASDRILRPQRTGPGSERMQILDDDGIATPGEIIRPNDIYINKESSIHTRGSRVSSESLPDSAYRPARQTYKGPEGESCVVDRVALCTDRNSNLSIKFLIRHTRRPEVGDKFSSRHGQKGVCGTIIQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPSGHADRVEAISETLIKHGFSYNGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGNGPRVMLTRQPTEGRARNGGLRVGEMERDCLIAYGASMLIFERLMISSDPFEVQVCRKCGLLGYYSHKLKTGICSSCKNGDNVSTMKLPYACKLLIQELQSMNIVPRLKLSEA >EOY19613 pep chromosome:Theobroma_cacao_20110822:10:19193181:19220416:1 gene:TCM_044750 transcript:EOY19613 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase isoform 6 MGLKQEDLLLNNNTNNSNVSEMPVDKQKLAAPIKSAVDKFQLLPEFLKVRGLVKQHLDSFNYFVNTGIKKIVRANDRIVSGVDPSIYLRFKDVRIGEPSMTINAVSEKINPHTCRLSDMTYAAPIFVNIEYIQGSHGQKTRLEKNDLVIGRMPIMLRSCCCVLYGKDEAELARLGECPLDPGGYFVIKGAEKEQLSKNRIIIDADKKGNINASVTSSSEATKSKTVIQMEKEKIYLLLNQFVKKIPIMVVMKAMGMESDQEVVQMVGRDPHYNAVLLPSIEECAGVGIYTQEQALEYLETKVKRVMYTGPASEKEGRALSILRDVFLANVPVRSNNFRPKCLYVAVMLRRMVEAILNKDAMDDKDYVGNKRLELSGQLISLLFEDLFKTTISEVQKMIDLVLSKPSRSSALDPSQFLRSRETITFGLERTLSTGNFDIKRFKMHRKGMTQVLARLSFIGTLGYMTKVSPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEDEGPLISLCYCLGVEDLELLSGEELHTPNSFLVILNGLILGKHRRPQHFAVAMRKLRRAGKVGEFVSVFVNEKQRCVYIASDGGRVCRPLVIADKGVSRIKEHHMKELLDGVRTFDDFLRDGLIEYLDVNEENNALIALYEGEATPETTHIEIEPFTILGVCAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLCRMDTLLYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIVMKRYSAVNQKYETGASDRILRPQRTGPGSERMQILDDDGIATPGEIIRPNDIYINKESSIHTRGSRVSSESLPDSAYRPARQTYKGPEGESCVVDRVALCTDRNSNLSIKFLIRHTRRPEVGDKFSSRHGQKGVCGTIIQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPSGHADRVEAISETLIKHGFSYNGKDFIY >EOY19612 pep chromosome:Theobroma_cacao_20110822:10:19193116:19219865:1 gene:TCM_044750 transcript:EOY19612 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase isoform 6 MGLKQEDLLLNNNTNNSNVSEMPVDKQKLAAPIKSAVDKFQLLPEFLKVRGLVKQHLDSFNYFVNTGIKKIVRANDRIVSGVDPSIYLRFKDVRIGEPSMTINAVSEKINPHTCRLSDMTYAAPIFVNIEYIQGSHGQKTRLEKNDLVIGRMPIMLRSCCCVLYGKDEAELARLGECPLDPGGYFVIKGAEKVILIQEQLSKNRIIIDADKKGNINASVTSSSEATKSKTVIQMEKEKIYLLLNQFVKKIPIMVVMKAMGMESDQEVVQMVGRDPHYNAVLLPSIEECAGVGIYTQEQALEYLETKVKRVMYTGPASEKEGRALSILRDVFLANVPVRSNNFRPKCLYVAVMLRRMVEAILNKDAMDDKDYVGNKRLELSGQLISLLFEDLFKTTISEVQKMIDLVLSKPSRSSALDPSQFLRSRETITFGLERTLSTGNFDIKRFKMHRKGMTQVLARLSFIGTLGYMTKVSPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEDEGPLISLCYCLGVEDLELLSGEELHTPNSFLVILNGLILGKHRRPQHFAVAMRKLRRAGKVGEFVSVFVNEKQRCVYIASDGGRVCRPLVIADKGVSRIKEHHMKELLDGVRTFDDFLRDGLIEYLDVNEENNALIALYEGEATPETTHIEIEPFTILGVCAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLCRMDTLLYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIVMKRYSAVNQKYETGASDRILRPQRTGPGSERMQILDDDGIATPGEIIRPNDIYINKESSIHTRGSRVSSESLPDSAYRPARQTYKGPEGESCVVDRVALCTDRNSNLSIKFLIRHTRRPEVGDKFSSRHGQKGVCGTIIQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPSGHADRVEAISETLIKHGFSYNGKDFIYSVQVLQVVHCKHIFLWDQFTTRS >EOY18805 pep chromosome:Theobroma_cacao_20110822:10:5016491:5018634:1 gene:TCM_043289 transcript:EOY18805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like protein MIHVLRDCMMVTSLWVRIIPQHEHNKFFTLSLREWLICNLQKHQPLLGENPWSVVFGLACWHLWKWRNSVVFNAISITTRNCLSLVRSMATATTTALADFDGVQVERGTKEKILIRWRAPQAGWLSLNTDGAYKKSTDEAAVGGVIRNSVDGSSSGFDDKLIPCANMDLIRAIKGILQNKWEVHLVHIYREGNMVADYMAKYGFDSANSYVSFEYPPPGLRKILMYNMLGVCLPRMILG >EOY18057 pep chromosome:Theobroma_cacao_20110822:10:1924498:1927456:-1 gene:TCM_042714 transcript:EOY18057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein MSSFRFLLLFMIFLLLLHQNFSFPSKQTLLLPLKKTLGHDPRAFRRSSKLLSSSAIATATNKLAFHHNVTLTVSLSVGSPPQNVTMVLDTGSELSWLHCKKAPNLNSIFTPQASKSYKPVPCSLPICRTRTRDLTVPASCDPNNKLCHVAVSYADASSIEGNLAYENFVIGTSTRPGFLFGCMDSGFSSNSEEDSKTTGLMGMNRGSLSFVSQMGFPKFSYCISGFDSSGVLLLGDASFSWLKGLSYTPLIQISDPLPYYDRVAYTVQLEGIKVGNKMLNLPRSAFLPDHTGAGQTMVDSGTQFTFLLGPVYTALKNEFLQQTRGVLRVQEDPNFVFQGAMDLCYRVSNSSRAIFSNLPRVSLMFQGAEMSVSGERLLYRAPGMNKGSDSVYCFTFGNSDLLGIEAFVIGHHHQQNVWMEFDLVKSRVGFAEMRCDLAGQRLGMGL >EOY19065 pep chromosome:Theobroma_cacao_20110822:10:9402468:9405591:1 gene:TCM_043735 transcript:EOY19065 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein isoform 3 DLTFSKSCLVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQQVGAAFNQHLMAQRPRLPVLPTPVMPIPGAAPLPMNQPMVPGIRPPVLPRPLPGPPGGFGLCSCSRHATNGGTTRCSFLAWSNKWCSTASYIGSPDNSSWNCNDTNFF >EOY19067 pep chromosome:Theobroma_cacao_20110822:10:9402468:9405591:1 gene:TCM_043735 transcript:EOY19067 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein isoform 3 DLTFSKSCLVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQQVGAAFNQHLMAQRPRLPVLPTPVMPIPGAAPLPMNQPMVPGIRPPVLPRPLPGPPGGFGLCSCSRHATNGGTTRCSFLAWSNKWCSTASYIGSPDNSSWNCNDTNFF >EOY19063 pep chromosome:Theobroma_cacao_20110822:10:9402100:9405591:1 gene:TCM_043735 transcript:EOY19063 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein isoform 3 MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQQVGAAFNQHLMAQRPRLPVLPTPVMPIPGAAPLPMNQPMVPGIRPPVLPRPLPGPPGYVPAPGMPPMVAPPGAPSLPGQINGVPRPPTLAPLTTVPGTATTPTSSNAAPTMVTPASYQTNPAAPTGNQSQ >EOY19062 pep chromosome:Theobroma_cacao_20110822:10:9401641:9405380:1 gene:TCM_043735 transcript:EOY19062 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein isoform 3 MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQQVGAAFNQHLMAQRPRLPVLPTPVMPIPGAAPLPMNQPMVPGIRPPVLPRPLPGPPGYVPAPGMPPMVAPPGAPSLPGQINGVPRPPTLAPLTTVPGTATTPTSSNAAPTMVTPASYQTNPAAPTGGGFDNFNANAQPSEANH >EOY19066 pep chromosome:Theobroma_cacao_20110822:10:9402468:9405591:1 gene:TCM_043735 transcript:EOY19066 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein isoform 3 DLTFSKSCLVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQQVGAAFNQHLMAQRPRLPVLPTPVMPIPGAAPLPMNQPMVPGIRPPVLPRPLPGPPGGLCSCSRHATNGGTTRCSFLAWSNKWCSTASYIGSPDNSSWNCNDTNFF >EOY19064 pep chromosome:Theobroma_cacao_20110822:10:9402547:9405133:1 gene:TCM_043735 transcript:EOY19064 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein isoform 3 MKNFINLCCIYFIWIQIFRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQQVGAAFNQHLMAQRPRLPVLPTPVMPIPGAAPLPMNQPMVPGIRPPVLPRPLPGPPGYVPAPGMPPMVAPPGAPSLPGQINGVPRPPTLAPLTTVPGTATTPTSSNAAPTMVTPASYQTNPAAPTGNQSQ >EOY20071 pep chromosome:Theobroma_cacao_20110822:10:24667380:24670707:-1 gene:TCM_045470 transcript:EOY20071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MRPTLRLSRQLSNYESSHLSPALLNSSIKAFIQQGQYTKALQLYSVSPLTATKFTFPSLLKASTFVSDLTYGKTLHSTIIQLGLQFDPFITTSLINMYVKCGLFSYAVNVFEKMVEREVFVEDVTFWNSLLDGFVKFGLIKKGLAHFYRMQAFGVLPDAYSLSILLGVLGYKEGKQIHGYIVRNVFKSDPFLETALIDTYLSCSRIMEAWCVFDYLEDKSNVVVWNVMIGGFFENGLWEWSLKLYSLVKCENVKLVSESFTSTLSACGYGDVVGFGRQVHCDLIKLGFENNHFVYTSLLTMYGKCQFVEDAEKVFYQVLDKGIEVWNAMISTFACNGYSYAAFEVYNKMRYNVINPDSFTMSNVLSCSSMIGIYNVGRSVHAELVKRPIESSASVQSALVTMYCKCGSVYDGNSVLGAMREKDVVAWGSMISGFCQNRKFREALDYFRGMDANGVRPDSDIMSSVISACTGLENVDLGCMIHGYVVKSGLEADVFVATSLVDMYSKFGFPDMAENLFFHMPHKNLVAWNTIMSCYCRNSLPDQSIKLFSTIVQHGFYPDSVSITTVLAAVSSIAALLNGKIIHGYLIRLEVQSDIQLENALIDMYIKCGFLKYAEYIFQNMSQKDVVSWNCMLAGYGSHGDCLRALSLFDEMKNCGITPDDVTFLSLISSCNHAGLVDEGQYIFQSMTVEHGIEPKMEHYVNIVDLLGRAGRLEDAYNFVKTMPMEPNRSVWLSLLCACRAYSNVELGELAAHNLLKVEPSRGSNYVQLLHLYGEAGLQDKAANIRATMKERGLKKNPGCSWIELRNKVDVFFSGDSSSLRTMEIYEILHSLGRNMEKKEGDYEIDAFL >EOY18586 pep chromosome:Theobroma_cacao_20110822:10:3893357:3899623:1 gene:TCM_043098 transcript:EOY18586 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Taxilin (InterPro:IPR019132); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G50840) TAIR;Acc:AT5G50840] MENPEANQLPEVDSLPDGFVESPSERLAPKTPILEQEKPLQPDYREDDLVSAEFGASKGQKQRTFPVPLSEVDGFDGSLDSVEGKLVSNESSNSVPEAAAVGEAECSEVKGEVKGECQSTERTVEGGSETNLKETSSSESVDLVKNKKPETTETKRKNAKRTVKTEKEFLEFSLKYQQVLAERDAAIAVRDKLESLCRELQRQNKMLMDECKRVSTEGQNLRLDLSARFQDAIKDVSIKLEEQKDECLSQLKENEMLRNKLKQLADQYALSEQQYAQKLKQKTLELQISDLKIKQHEEKLIQEQAQMKVYAEQVSQLLATEKNLRLQLTADGEKFQQFQDALLKSNEVFETFKQEIEKMAKSIKELKKENVFLKSKCDKSDVTLIELVEERERLKKQLEKTKNQKEKLESLCRSLQAERKQSSTGGNSSDTVAV >EOY18588 pep chromosome:Theobroma_cacao_20110822:10:3893247:3899078:1 gene:TCM_043098 transcript:EOY18588 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Taxilin (InterPro:IPR019132); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G50840) TAIR;Acc:AT5G50840] MENPEANQLPEVDSLPDGFVESPSERLAPKTPILEQEKPLQPDYREDDLVSAEFGASKGQKQRTFPVPLSEVDGFDGSLDSVEGKLVSNESSNSVPEAAAVGEAECSEVKGEVKGECQTVEGGSETNLKETSSSESVDLVKNKKPETTETKRKNAKRTVKTEKEFLEFSLKYQQVLAERDAAIAVRDKLESLCRELQRQNKMLMDECKRVSTEGQNLRLDLSARFQDAIKDVSIKLEEQKDECLSQLKENEMLRNKLKQLADQYALSEQQYAQKLKQKTLELQISDLKIKQHEEKLIQEQAQMKVYAEQVSQLLATEKNLRLQLTADGEKFQQFQDALLKSNEVFETFKQEIEKMAKSIKELKKENVFLKSKCDKSDVTLIELVEERERLKKQLE >EOY18587 pep chromosome:Theobroma_cacao_20110822:10:3893247:3899078:1 gene:TCM_043098 transcript:EOY18587 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Taxilin (InterPro:IPR019132); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G50840) TAIR;Acc:AT5G50840] MENPEANQLPEVDSLPDGFVESPSERLAPKTPILEQEKPLQPDYREDDLVSAEFGASKGQKQRTFPVPLSEVDGFDGSLDSVEGKLVSNESSNSVPEAAAVGEAECSEVKGEVKGECQSTERTVEGGSETNLKETSSSESVDLETTETKRKNAKRTVKTEKEFLEFSLKYQQVLAERDAAIAVRDKLESLCRELQRQNKMLMDECKRVSTEGQNLRLDLSARFQDAIKDVSIKLEEQKDECLSQLKENEMLRNKLKQLADQYALSEQQYAQKLKQKTLELQISDLKIKQHEEKLIQEQAQMKVYAEQVSQLLATEKNLRLQLTADGEKFQQFQDALLKSNEVFETFKQEIEKMAKSIKELKKENVFLKSKCDKSDVTLIELVEERERLKKQLE >EOY19053 pep chromosome:Theobroma_cacao_20110822:10:9144299:9147163:1 gene:TCM_043713 transcript:EOY19053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSSFSRLFRLLGDTRSIRNGIALHAKIITSQISRDIYTNNHLLAMYVKFNRIVDARKVFDGMPERNVISWTALISGYSQMGMAEKALDCLSLMVSDDLEPNYYTFVSAVSACASLGDGSVGKEVHGRIYRSGVDFSTPVCNSLINMYGKCGLLKSAQLVFDAMLEPNLISWTSLLSCYCQQGENMESLKIFVQSRRVGVRVNEFTCASVLSVCAGLEDLKVGMQIHGLVVKCGLEFDKFVETGLISFYTKCGELILAGQVFLEVNQSNVAAWTSLIGGYVQQGRREEAIDLFLKLHSSGIRPSERTFSSVLGACADAEVIEVGKQFHSLIAKMGYVSFIYVGNAVLDFYSKCGLLQEALRTFEDMDGHDMVSWNSLISGHVGSGQYEDAIELLKEMLFQGYKPNLYTYSSILNICSDVPAIEWGKQTHCCIIKPAFDSNVVVGSALIDMYAKCGVLNAARKVFDYLTSKNLVSWNTMLVGYAQHGFAREALEIYCMMQRDDVKPNDVTFVGVLSACAHAGLSEEGLHYYNSMIRDHSIAPKMEHLASIVNLLARKGATRRAYDFIRSFPTDPSKVVWRCLLSGCKSHKDLVLGRFAAEKILSIDPEDTSAYIMLSNIYAEAKMWDETAQLRKIMKEKAMKKDAGYTWIELKNKDICLMTCYVFTVRSELRM >EOY20036 pep chromosome:Theobroma_cacao_20110822:10:24494725:24501843:-1 gene:TCM_045436 transcript:EOY20036 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 4 MLIETSISSSIHAGLYYVSEHRKQDSLSDWMPGYETRQMSPKCDVPSQSECKEAEYSCPPLPRTGSQQSSVSVMSEGPVPTLVYSRRKKRRGSSSSASAAVANFCAEAPVNSKRSGDCLSVVSSDALSVAVMEQNGVSQVGHGNVATGDLLTPLACSREPHISKYEFANGFSGVDNHGSDDVRKTVRQKTIDVDSINDSCSSSKSNMELALASIKGEMDENGECCSSSVIAAEVVREDLSEKDRCFSILRNQGNVEEVGPSRAPLNEEIGTSGASSCSRVCKICGRSETAQKMLICDNCEEAFHLRCCNPRIKKVPVDEWYCFSCMKKKRIMVKDTTARNSSSITGCMGRCRGVSSEGESSPIELMLRDAEPYRTSVRIGKGFQADVPDWSGPIDDDVDTIGEPLEWDLLEFTDFNVKQLKYIEMLRPRLSAKRRKSHQTMNCTSQDHKDDKRNTQNKRLARH >EOY20032 pep chromosome:Theobroma_cacao_20110822:10:24494740:24502053:-1 gene:TCM_045436 transcript:EOY20032 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 4 MLIETSISSSIHAGLYYVSEHRKQDSLSDWMPGYETRQMSPKCDVPSQSECKEAEYSCPPLPRTGSQQSSVSVMSEGPVPTLVYSRRKKRRGSSSSASAAVANFCAEAPVNSKRSGDCLSVVSSDALSVAVMEQNGVSQVGHGNVATGDLLTPLACSREPHISKYEFANGFSGVDNHGSDDVRKTVRQKTIDVDSINDSCSSSKSNMELALASIKGEMDENGECCSSSVIAAEVVREDLSEKDRCFSILRNQGNVEEVGPSRAPLNEEIGTSGASSCSRVCKICGRSETAQKMLICDNCEEAFHLRCCNPRIKKVPVDEWYCFSCMKKKRIMVKDTTARNSSSITGCMGRCRGVSSEGESSPIELMLRDAEPYRTSVRIGKGFQADVPDWSGPIDDDVDTIGEPLEWDLLEFTDFNELNCNKSSKVSSIGNWLQCREFIEGIGGSNGTICGKWRRAPLFEVQTDDWECFCSVQWDPSHADCSVPQELETDQVLKQLKYIEMLRPRLSAKRRKSHQTMNCTSQDHKDDKRNTQNKRLARH >EOY20034 pep chromosome:Theobroma_cacao_20110822:10:24495696:24502057:-1 gene:TCM_045436 transcript:EOY20034 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 4 MLIETSISSSIHAGLYYVSEHRKQDSLSDWMPGYETRQMSPKCDVPSQSECKEAEYSCPPLPRTGSQQSSVSVMSEGPVPTLVYSRRKKRRGSSSSASAAVANFCAEAPVNSKRSGDCLSVVSSDALSVAVMEQNGVSQVGHGNVATGDLLTPLACSREPHISKYEFANGFSGVDNHGSDDVRKTVRQKTIDVDSINDSCSSSKSNMELALASIKGEMDENGECCSSSVIAAEVVREDLSEKDRCFSILRNQGNVEEVGPSRAPLNEEIGTSGASSCSRVCKICGRSETAQKMLICDNCEEAFHLRCCNPRIKKVPVDEWYCFSCMKKKRIMVKDTTARNSSSITGCMGRCRGVSSEGESSPIELMLRDAEPYRTSVRIGKGFQADVPDWSGPIDDDVDTIGEPLEWDLLEFTDFNELNCNKSSKVSSIGNWLQCREFIEGIGGSNGTICGKWRRAPLFEVQTDDWECFCSVQWDPSHADCSVPQELETDQVLKQLKYIEMVCADALI >EOY20033 pep chromosome:Theobroma_cacao_20110822:10:24494725:24501972:-1 gene:TCM_045436 transcript:EOY20033 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 4 MLIETSISSSIHAGLYYVSEHRKQDSLSDWMPGYETRQMSPKCDVPSQSECKEAEYSCPPLPRTGSQQSSVSVMSEGPVPTLVYSRRKKRRGSSSSASAAVANFCAEAPVNSKRSGDCLSVVSSDALSVAVMEQNGVSQVGHGNVATGDLLTPLACSREPHISKYEFANGFSGVDNHGSDDVRKTVRQKTIDVDSINDSCSSSKSNMELALASIKGEMDENGECCSSSVIAAEVVREDLSEKDRCFSILRNQGNVEEVGPSRAPLNEEIGTSGASSCSRVCKICGRSETAQKMLICDNCEEAFHLRCCNPRIKKVPVDEWYCFSCMKKKRIMVKDTTARNSSSITGCMGRCRGVSSEGESSPIELMLRDAEPYRTSVRIGKGFQADVPDWSGPIDDDVDTIGEPLEWDLLEFTDFNELNCNKSSKVSSIGNWLQCREFIEGIGGSNGTICGKWRRAPLFEVQTDDWECFCSVQWDPSHADCSVPQELETDQVLKQLKYIEMLRPRLSAKRRKSHQTMNCTSQDHKDDKRNTQNKRLARH >EOY20038 pep chromosome:Theobroma_cacao_20110822:10:24497160:24502057:-1 gene:TCM_045436 transcript:EOY20038 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 4 MLIETSISSSIHAGLYYVSEHRKQDSLSDWMPGYETRQMSPKCDVPSQSECKEAEYSCPPLPRTGSQQSSVSVMSEGPVPTLVYSRRKKRRGSSSSASAAVANFCAEAPVNSKRSGDCLSVVSSDALSVAVMEQNGVSQVGHGNVATGDLLTPLACSREPHISKYEFANGFSGVDNHGSDDVRKTVRQKTIDVDSINDSCSSSKSNMELALASIKGEMDENGECCSSSVIAAEVVREDLSEKDRCFSILRNQGNVEEVGPSRAPLNEEIGTSGASSCSRVCKICGRSETAQKMLICDNCEEAFHLRCCNPRIKKVPVDEWYCFSCMKKKRIMVKDTTARNSSSITGCMGRCRGVSSEGESSPIELMLRDAEPYRTSVRIGKGFQADVPDWSGPIDEAPLFEVQT >EOY20035 pep chromosome:Theobroma_cacao_20110822:10:24498099:24501577:-1 gene:TCM_045436 transcript:EOY20035 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 4 MLIETSISSSIHAGLYYVSEHRKQDSLSDWMPGYETRQMSPKCDVPSQSECKEAEYSCPPLPRTGSQQSSVSVMSEGPVPTLVYSRRKKRRGSSSSASAAVANFCAEAPVNSKRSGDCLSVVSSDALSVAVMEQNGVSQVGHGNVATGDLLTPLACSREPHISKYEFANGFSGVDNHGSDDVRKTVRQKTIDVDSINDSCSSSKSNMELALASIKGEMDENGECCSSSVIAAEVVREDLSEKDRCFSILRNQGNVEEVGPSRAPLNEEIGTSGASSCSRVCKICGRSETAQKMLICDNCEEAFHLRCCNPRIKKVPVDEWYCFSCMKKKRIMVKDTTARNSSSITGCMGRCRGVSSEGESSPIELMLRDAEPYRTSVRIGKGFQADVPDWSGPIDEN >EOY20037 pep chromosome:Theobroma_cacao_20110822:10:24495999:24501927:-1 gene:TCM_045436 transcript:EOY20037 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 4 MLIETSISSSIHAGLYYVSEHRKQDSLSDWMPGYETRQMSPKCDVPSQSECKEAEYSCPPLPRTGSQQSSVSVMSEGPVPTLVYSRRKKRRGSSSSASAAVANFCAEAPVNSKRSGDCLSVVSSDALSVAVMEQNGVSQVGHGNVATGDLLTPLACSREPHISKYEFANGFSGVDNHGSDDVRKTVRQKTIDVDSINDSCSSSKSNMELALASIKGEMDENGECCSSSVIAAEVVREDLSEKDRCFSILRNQGNVEEVGPSRAPLNEEIGTSGASSCSRVCKICGRSETAQKMLICDNCEEAFHLRCCNPRIKKVPVDEWYCFSCMKKKRIMVKDTTARNSSSITGCMGRCRGVSSEGESSPIELMLRDAEPYRTSVRIGKGFQADVPDWSGPIDEAPLFEVQT >EOY18010 pep chromosome:Theobroma_cacao_20110822:10:1796456:1803494:1 gene:TCM_042687 transcript:EOY18010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate synthetase isoform 2 MGDMDPSRGFVKDVKRLVIKVGTAVVTRTDGRLALGRLGALCEQIKELNSQGYEVILVSSGAVGLGRQRLRYRRLVNSSFADLQKPQVELDGKACAAVGQNSLMALYDTLFSELDVSSAQLLVTDSDFRDGDFRKQLSETVKSLLSLRVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVEGLYSGPPSDPKSKLIHTYVKEKHQGEITFGDKSRVGRGGMTAKVKAAVNAANAGIPVVITSGYAAENILKVLQGKRIGTLFHQNAHLWEPIKEVGAHEMAVAARESSRRLQALSSQERKKILLDIADALEANEKVITIENEADVAAAQQAGYEKSLISRLALKPGKISSLAKSIRVLANMEDAIGRVLKKTQLADGLILEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITEAIPDTVGGKVIGLVTTREEIPNLLKLDDVIDLVIPRGSNKLVSQIKSSTKIPVLGHADGICHVYVDKSADMDMAKKIVLDAKIDYPAACNAMGNSSCTQGFSADWCT >EOY18009 pep chromosome:Theobroma_cacao_20110822:10:1796223:1803757:1 gene:TCM_042687 transcript:EOY18009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate synthetase isoform 2 MGDMDPSRGFVKDVKRLVIKVGTAVVTRTDGRLALGRLGALCEQIKELNSQGYEVILVSSGAVGLGRQRLRYRRLVNSSFADLQKPQVELDGKACAAVGQNSLMALYDTLFSELDVSSAQLLVTDSDFRDGDFRKQLSETVKSLLSLRVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVEGLYSGPPSDPKSKLIHTYVKEKHQGEITFGDKSRVGRGGMTAKVKAAVNAANAGIPVVITSGYAAENILKVLQGKRIGTLFHQNAHLWEPIKEVGAHEMAVAARESSRRLQALSSQERKKILLDIADALEANEKVITIENEADVAAAQQAGYEKSLISRLALKPGKISSLAKSIRVLANMEDAIGRVLKKTQLADGLILEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITEAIPDTVGGKVIGLVTTREEIPNLLKLDDVIDLVIPRGSNKLVSQIKSSTKIPVLGHADGICHVYVDKSADMDMAKKIVLDAKIDYPAACNAMETLLVHKDLVQTGALNDLINDLHIEGVILYGGPRASNLLSIPQARSFHHEYNSMACTVEIVDDVGAAIDHIHHHGSAHTDCIITEDQEIAEIFLHQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILKGSGQVVDGDKGVTYVHKDVPVDS >EOY18012 pep chromosome:Theobroma_cacao_20110822:10:1796223:1803757:1 gene:TCM_042687 transcript:EOY18012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate synthetase isoform 2 MGDMDPSRGFVKDVKRLVIKVGTAVVTRTDGRLALGRLGALCEQIKELNSQGYEVILVSSGAVGLGRQRLRYRRLVNSSFADLQKPQVELDGKACAAVGQNSLMALYDTLFSELDVSSAQLLVTDSDFRDGDFRKQLSETVKSLLSLRVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVEGLYSGPPSDPKSKLIHTYVKEKHQGEITFGDKSRVGRGGMTAKVKAAVNAANAGIPVVITSGYAAENILKVLQGKRIGTLFHQNAHLWEPIKEVGAHEMAVAARESSRRLQALSSQERKKILLDIADALEANEKVITIENEADVAAAQQAGYEKSLISRLALKPGKISSLAKSIRVLANMEDAIGRVLKKTQLADGLILEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITEAIPDTVGGKVIGLVTTREEIPNLLKLDDVIDLVIPRGSNKLVSQIKSSTKIPVLGHADGICHVYVDKSADMDMAKKIVLDAKIDYPAACNAMETLLVHKDLVQTGALNDLINDLHIEGVILYGGPRASNLLSIPQARSFHHEYNSMACTVEIVDDVGAAIDHIHHHGSAHTDCIITEDQEIAEIFLHQVDRILKGSGQVVDGDKGVTYVHKDVPVDS >EOY18013 pep chromosome:Theobroma_cacao_20110822:10:1796456:1802632:1 gene:TCM_042687 transcript:EOY18013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate synthetase isoform 2 MGDMDPSRGFVKDVKRLVIKVGTAVVTRTDGRLALGRLGALCEQIKELNSQGYEVILVSSGAVGLGRQRLRYRRLVNSSFADLQKPQVELDGKACAAVGQNSLMALYDTLFSELDVSSAQLLVTDSDFRDGDFRKQLSETVKSLLSLRVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVEGLYSGPPSDPKSKLIHTYVKEKHQGEITFGDKSRVGRGGMTAKVKAAVNAANAGIPVVITSGYAAENILKVLQGKRIGTLFHQNAHLWEPIKEVGAHEMAVAARESSRRLQALSSQERKKILLDIADALEANEKVITIENEADVAAAQQAGYEKSLISRLALKPGKISSLAKSIRVLANMEDAIGRVLKKTQLADGLILEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITEAIPDTVGGKVIGLVTTREEIPNLLKLDDVIDLVIPRGSNKLVSQIKSSTKIPVLGHADGICHVYVDKSADMDMAKKIVLDAKIDYPAACNAMETLLVHKDLVQTGALNDLINDLHIEGVILYGGPRASNLLSIPQARSFHHEYNSMACTVEIVDDVGAAIDHIHHHGRHVTHFWQRSLLMSLCVHYFVWIYAYVCTLHVWMDTDYLFFIFLQCTHRLYHHRGPGNCRNLPASS >EOY18011 pep chromosome:Theobroma_cacao_20110822:10:1797929:1803061:1 gene:TCM_042687 transcript:EOY18011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate synthetase isoform 2 MALYDTLFSELDVSSAQLLVTDSDFRDGDFRKQLSETVKSLLSLRVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVEGLYSGPPSDPKSKLIHTYVKEKHQGEITFGDKSRVGRGGMTAKVKAAVNAANAGIPVVITSGYAAENILKVLQGKRIGTLFHQNAHLWEPIKEVGAHEMAVAARESSRRLQALSSQERKKILLDIADALEANEKVITIENEADVAAAQQAGYEKSLISRLALKPGKISSLAKSIRVLANMEDAIGRVLKKTQLADGLILEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITEAIPDTVGGKVIGLVTTREEIPNLLKLDDVIDLVIPRGSNKLVSQIKSSTKIPVLGHADGICHVYVDKSADMDMAKKIVLDAKIDYPAACNAMETLLVHKDLVQTGALNDLINDLHIEGVILYGGPRASNLLSIPQARSFHHEYNSMACTVEIVDDVGAAIDHIHHHGSAHTDCIITEDQEIAEIFLHQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILKGSGQVVDGDKGVTYVHKDVPVDS >EOY18289 pep chromosome:Theobroma_cacao_20110822:10:2809484:2822847:1 gene:TCM_042889 transcript:EOY18289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSSAGPLNIYRNDYEIELQMRQIQQEKRDCLTQGHISILPERVHLDLQQNDFTEMVGIWEQWRRAHRDNFQNKYGHIAWLLYVPVDDQMLRAIVQFWDPSYRCFVFNKVDMTPTIEEYFSLLLIDHMQPDKIYWRAQKTGHRRKLAKLLGMTTGAISYAPIMVRRQFGSEQFVPMTHQLDQLEFTYGEPETLKRIEEIVQDWKKTCRVDQGRVTDEVTTGYHTWHDQRVKNVIHPPKNPSKHPVNPEPQDVLLENELTRKRLEKEMMNMKRRHEDELEEVKKETARKVLVALKERDEWQSKFEEVSVANSSLLARIQELQSANNALQHEVRRQGQTIQELKNDCDMLKTAMEGYKAQARVMANKTEELRREILPKDELSERLINHLKMVRDQYDKVDKGKKAAGSSGTPEDVQQTETNTDPVYPPGFTPPPARNASIPMPSVGQYPFFGMPIGPPPTYAQQRPIGGASPSDPISVPDLDDPKEQEKLKWVNAIEREVYVKRNIREVETSMEKVFEALVKADMLEVWPECPNVKDSRDIQRLCCLYHKGCVGHSIQDCSSFRKEVQRMMDESKIEFYTEASESAVNMISKESTHPMKIKPLTIFYESKGEFVEDKNRAKMIIEVPKPFPYKDNKAVPWNYNCNVQVSEAKKWIAESQDDAANITGGGGITRSGRCYSPEAFENLKNEKGKEKEQSPREEKILGKGYRAGAGLGKELQGIRSPIRTTKNEERFGLGYKTTKKEREEMIAERRKERLARFKGHELEIQGMTYPHLYKTFRSGGCIFPESLTVGNQESVSALGGTFSDLSICATAEGEEQPGNVDEIPTTYLGPPNLKLSNWTTMSLPVTCDSISK >EOY18127 pep chromosome:Theobroma_cacao_20110822:10:2131004:2135752:-1 gene:TCM_042754 transcript:EOY18127 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MEDSEKRRHRERRDRDRDRDRERRRSEREKSSDSDREKEKHRERGRERKEREREKEKERERAREKERERDREKREREREREREREKRERERERERERERERERERKSREREKRREYNSDDSKEERERHRKRRRRERDDDNNDDYKERESKLNREESPVRKKSGDDELEKEEKKSREEEMEDEQRKLDEEMEKRRRRVQEWQELRRKKEESEREKRGEGNAEDEETKVGKAWTLEGESDDDEVAPTKLETNMDVDENENSNSKPDSKGIGDAMMEDGDSDNGEDKMLVTQNGGNGISEEDDEIDPLDAFMNSMVLPEVEKLSNAVVVPPTTADDDKNGNLKKDKKDGLSNGGQQPKKGSNKALGRIIPGEDSDSDYGDFENDEEDLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYKPFRKNFYIEVKEISRMTPEEVAAYRKELELKLHGKDVPKPVKTWHQTGLTSKILETIRKLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKALGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRATYLVLDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEMRPESERFLRLLELLGEWYEKGKILIFVHTQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVLPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRKAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISQQTALAQIAAMAAASKAGTALMQNPLSSAQLLPNAVLPVSLPGVLGVSMPGTAAVVPGSGLPGLANEEAARKAALQAALNLQHNLAKIQADAMPEHYEAELEINEFPQNARWKVTHKETLGPISEWTGAAITTRGQFFPPGRIPGPGERKLYLFIEGPTELSVKRAKAELKRVLEDFSHQSLQLPGGTQPGRYQVL >EOY18134 pep chromosome:Theobroma_cacao_20110822:10:2130007:2135752:-1 gene:TCM_042754 transcript:EOY18134 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MEDSEKRRHRERRDRDRDRDRERRRSEREKSSDSDREKEKHRERGRERKEREREKEKERERAREKERERDREKREREREREREREKRERERERERERERERERERKSREREKRREYNSDDSKEERERHRKRRRRERDDDNNDDYKERESKLNREESPVRKKSGDDELEKEEKKSREEEMEDEQRKLDEEMEKRRRRVQEWQELRRKKEESEREKRGEGNAEDEETKVGKAWTLEGESDDDEVAPTKLETNMDVDENENSNSKPDSKGIGDAMMEDGDSDNGEDKMLVTQNGGNGISEEDDEIDPLDAFMNSMVLPEVEKLSNAVVVPPTTADDDKNGNLKKDKKDGLSNGGQQPKKGSNKALGRIIPGEDSDSDYGDFENDEEDLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYKPFRKNFYIEVKEISRMTPEEVAAYRKELELKLHGKDVPKPVKTWHQTGLTSKILETIRKLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKALGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRATYLVLDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEMRPESERFLRLLELLGEWYEKGKILIFVHTQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVLPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRKAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISQQTALAQIAAMAAASKAGTALMQNPLSSAQLLPNAVLPVSLPGVLGVSMPGTAAVVPGSGLPGLANEEAARKAALQAALNLQHNLAKIQADAMPEHYEAELEINEFPQNARWKVTHKETLGPISEWTGAAITTRGQFFPPGRIPGPGERKLYLFIEGPTELSVKRAKAELKRVLEDFSHQSLQLPGGTQPGRYQVL >EOY18124 pep chromosome:Theobroma_cacao_20110822:10:2128694:2135601:-1 gene:TCM_042754 transcript:EOY18124 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MEDSEKRRHRERRDRDRDRDRERRRSEREKSSDSDREKEKHRERGRERKEREREKEKERERAREKERERDREKREREREREREREKRERERERERERERERERERKSREREKRREYNSDDSKEERERHRKRRRRERDDDNNDDYKERESKLNREESPVRKKSGDDELEKEEKKSREEEMEDEQRKLDEEMEKRRRRVQEWQELRRKKEESEREKRGEGNAEDEETKVGKAWTLEGESDDDEVAPTKLETNMDVDENENSNSKPDSKGIGDAMMEDGDSDNGEDKMLVTQNGGNGISEEDDEIDPLDAFMNSMVLPEVEKLSNAVVVPPTTADDDKNGNLKKDKKDGLSNGGQQPKKGSNKALGRIIPGEDSDSDYGDFENDEEDLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYKPFRKNFYIEVKEISRMTPEEVAAYRKELELKLHGKDVPKPVKTWHQTGLTSKILETIRKLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKALGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRATYLVLDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEMRPESERFLRLLELLGEWYEKGKILIFVHTQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVLPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRKAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISQQTALAQIAAMAAASKAGTALMQNPLSSAQLLPNAVLPVSLPGVLGVSMPGTAAVVPGSGLPGLANEEAARKAALQAALNLQHNLAKIQADAMPEHYEAELEINEFPQNARWKVTHKETLGPISEWTGAAITTRGQFFPPGRIPGPGERKLYLFIEGPTELSVKRAKAELKRVLEDFSHQSLQLPDCWICSRTCPSDLKVNLRDGVPLRMTQESAFFSGDRAITSSHLHCGLRRAGFHIANASRVAGLAFDMRVSIFCL >EOY18128 pep chromosome:Theobroma_cacao_20110822:10:2131613:2135725:-1 gene:TCM_042754 transcript:EOY18128 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MEDSEKRRHRERRDRDRDRDRERRRSEREKSSDSDREKEKHRERGRERKEREREKEKERERAREKERERDREKREREREREREREKRERERERERERERERERERKSREREKRREYNSDDSKEERERHRKRRRRERDDDNNDDYKERESKLNREESPVRKKSGDDELEKEEKKSREEEMEDEQRKLDEEMEKRRRRVQEWQELRRKKEESEREKRGEGNAEDEETKVGKAWTLEGESDDDEVAPTKLETNMDVDENENSNSKPDSKGIGDAMMEDGDSDNGEDKMLVTQNGGNGISEEDDEIDPLDAFMNSMVLPEVEKLSNAVVVPPTTADDDKNGNLKKDKKDGLSNGGQQPKKGSNKALGRIIPGEDSDSDYGDFENDEEDLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYKPFRKNFYIEVKEISRMTPEEVAAYRKELELKLHGKDVPKPVKTWHQTGLTSKILETIRKLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKALGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRATYLVLDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEMRPESERFLRLLELLGEWYEKGKILIFVHTQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVLPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRKAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISQQTALAQIAAMAAASKAGTALMQNPLSSAQLLPNAVLPVSLPGVLGVSMPGTAAVVPGSGLPGLANEEAARKAALQAALNLQHNLAKIQADAMPEHYEAELEINEFPQNARWKVTHKETLGPISEWTGAAITTRGQFFPPGRIPGPGERKLYLFIEGPTELSVKRAKAELKRVLEDFSHQSLQLPGGTQPGRYQVL >EOY18129 pep chromosome:Theobroma_cacao_20110822:10:2132088:2135402:-1 gene:TCM_042754 transcript:EOY18129 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MEDSEKRRHRERRDRDRDRDRERRRSEREKSSDSDREKEKHRERGRERKEREREKEKERERAREKERERDREKREREREREREREKRERERERERERERERERERKSREREKRREYNSDDSKEERERHRKRRRRERDDDNNDDYKERESKLNREESPVRKKSGDDELEKEEKKSREEEMEDEQRKLDEEMEKRRRRVQEWQELRRKKEESEREKRGEGNAEDEETKVGKAWTLEGESDDDEVAPTKLETNMDVDENENSNSKPDSKGIGDAMMEDGDSDNGEDKMLVTQNGGNGISEEDDEIDPLDAFMNSMVLPEVEKLSNAVVVPPTTADDDKNGNLKKDKKDGLSNGGQQPKKGSNKALGRIIPGEDSDSDYGDFENDEEDLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYKPFRKNFYIEVKEISRMTPEEVAAYRKELELKLHGKDVPKPVKTWHQTGLTSKILETIRKLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKALGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRATYLVLDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEMRPESERFLRLLELLGEWYEKGKILIFVHTQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVLPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRKAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISQQTALAQIAAMAAASKAGTALMQNPLSSAQLLPNAVLPVSLPGVLGVSMPGTAAVVPGSGLPGLANEEAARKAALQAALNLQHNLAKIQADAMPEHYEAELEINEFPQNARWKVTHKETLGPISEWTGAAITTRGQFFPPGRIPGPGERKLYLFIEGPTELSVKRAKAELKRVLEDFSHQSLQLPGGTQPGRYQVL >EOY18125 pep chromosome:Theobroma_cacao_20110822:10:2132088:2135402:-1 gene:TCM_042754 transcript:EOY18125 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MEDSEKRRHRERRDRDRDRDRERRRSEREKSSDSDREKEKHRERGRERKEREREKEKERERAREKERERDREKREREREREREREKRERERERERERERERERERKSREREKRREYNSDDSKEERERHRKRRRRERDDDNNDDYKERESKLNREESPVRKKSGDDELEKEEKKSREEEMEDEQRKLDEEMEKRRRRVQEWQELRRKKEESEREKRGEGNAEDEETKVGKAWTLEGESDDDEVAPTKLETNMDVDENENSNSKPDSKGIGDAMMEDGDSDNGEDKMLVTQNGGNGISEEDDEIDPLDAFMNSMVLPEVEKLSNAVVVPPTTADDDKNGNLKKDKKDGLSNGGQQPKKGSNKALGRIIPGEDSDSDYGDFENDEEDLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYKPFRKNFYIEVKEISRMTPEEVAAYRKELELKLHGKDVPKPVKTWHQTGLTSKILETIRKLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKALGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRATYLVLDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEMRPESERFLRLLELLGEWYEKGKILIFVHTQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVLPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRKAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISQQTALAQIAAMAAASKAGTALMQNPLSSAQLLPNAVLPVSLPGVLGVSMPGTAAVVPGSGLPGLANEEAARKAALQAALNLQHNLAKIQADAMPEHYEAELEINEFPQNARWKVTHKETLGPISEWTGAAITTRGQFFPPGRIPGPGERKLYLFIEGPTELSVKRAKAELKRVLEDFSHQSLQLPGGTQPGRYQVL >EOY18132 pep chromosome:Theobroma_cacao_20110822:10:2129792:2135725:-1 gene:TCM_042754 transcript:EOY18132 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MEDSEKRRHRERRDRDRDRDRERRRSEREKSSDSDREKEKHRERGRERKEREREKEKERERAREKERERDREKREREREREREREKRERERERERERERERERERKSREREKRREYNSDDSKEERERHRKRRRRERDDDNNDDYKERESKLNREESPVRKKSGDDELEKEEKKSREEEMEDEQRKLDEEMEKRRRRVQEWQELRRKKEESEREKRGEGNAEDEETKVGKAWTLEGESDDDEVAPTKLETNMDVDENENSNSKPDSKGIGDAMMEDGDSDNGEDKMLVTQNGGNGISEEDDEIDPLDAFMNSMVLPEVEKLSNAVVVPPTTADDDKNGNLKKDKKDGLSNGGQQPKKGSNKALGRIIPGEDSDSDYGDFENDEEDLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYKPFRKNFYIEVKEISRMTPEEVAAYRKELELKLHGKDVPKPVKTWHQTGLTSKILETIRKLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKALGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRATYLVLDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEMRPESERFLRLLELLGEWYEKGKILIFVHTQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVLPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRKAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISQQTALAQIAAMAAASKAGTALMQNPLSSAQLLPNAVLPVSLPGVLGVSMPGTAAVVPGSGLPGLANEEAARKAALQAALNLQHNLAKIQADAMPEHYEAELEINEFPQNARWKVTHKETLGPISEWTGAAITTRGQFFPPGRIPGPGERKLYLFIEGPTELSVKRAKAELKRVLEDFSHQSLQLPGGTQPGRYQVL >EOY18133 pep chromosome:Theobroma_cacao_20110822:10:2129376:2135752:-1 gene:TCM_042754 transcript:EOY18133 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MEDSEKRRHRERRDRDRDRDRERRRSEREKSSDSDREKEKHRERGRERKEREREKEKERERAREKERERDREKREREREREREREKRERERERERERERERERERKSREREKRREYNSDDSKEERERHRKRRRRERDDDNNDDYKERESKLNREESPVRKKSGDDELEKEEKKSREEEMEDEQRKLDEEMEKRRRRVQEWQELRRKKEESEREKRGEGNAEDEETKVGKAWTLEGESDDDEVAPTKLETNMDVDENENSNSKPDSKGIGDAMMEDGDSDNGEDKMLVTQNGGNGISEEDDEIDPLDAFMNSMVLPEVEKLSNAVVVPPTTADDDKNGNLKKDKKDGLSNGGQQPKKGSNKALGRIIPGEDSDSDYGDFENDEEDLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYKPFRKNFYIEVKEISRMTPEEVAAYRKELELKLHGKDVPKPVKTWHQTGLTSKILETIRKLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKALGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRATYLVLDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEMRPESERFLRLLELLGEWYEKGKILIFVHTQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVLPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRKAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISQQTALAQIAAMAAASKAGTALMQNPLSSAQLLPNAVLPVSLPGVLGVSMPGTAAVVPGSGLPGLANEEAARKAALQAALNLQHNLAKIQADAMPEHYEAELEINEFPQNARWKVTHKETLGPISEWTGAAITTRGQFFPPGRIPGPGERKLYLFIEGPTELSVKRAKAELKRVLEDFSHQSLQLPGGTQPGRYQVL >EOY18126 pep chromosome:Theobroma_cacao_20110822:10:2132088:2135402:-1 gene:TCM_042754 transcript:EOY18126 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MEDSEKRRHRERRDRDRDRDRERRRSEREKSSDSDREKEKHRERGRERKEREREKEKERERAREKERERDREKREREREREREREKRERERERERERERERERERKSREREKRREYNSDDSKEERERHRKRRRRERDDDNNDDYKERESKLNREESPVRKKSGDDELEKEEKKSREEEMEDEQRKLDEEMEKRRRRVQEWQELRRKKEESEREKRGEGNAEDEETKVGKAWTLEGESDDDEVAPTKLETNMDVDENENSNSKPDSKGIGDAMMEDGDSDNGEDKMLVTQNGGNGISEEDDEIDPLDAFMNSMVLPEVEKLSNAVVVPPTTADDDKNGNLKKDKKDGLSNGGQQPKKGSNKALGRIIPGEDSDSDYGDFENDEEDLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYKPFRKNFYIEVKEISRMTPEEVAAYRKELELKLHGKDVPKPVKTWHQTGLTSKILETIRKLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKALGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRATYLVLDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEMRPESERFLRLLELLGEWYEKGKILIFVHTQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVLPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRKAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISQQTALAQIAAMAAASKAGTALMQNPLSSAQLLPNAVLPVSLPGVLGVSMPGTAAVVPGSGLPGLANEEAARKAALQAALNLQHNLAKIQADAMPEHYEAELEINEFPQNARWKVTHKETLGPISEWTGAAITTRGQFFPPGRIPGPGERKLYLFIEGPTELSVKRAKAELKRVLEDFSHQSLQLPGGTQPGRYQVL >EOY18131 pep chromosome:Theobroma_cacao_20110822:10:2129729:2135725:-1 gene:TCM_042754 transcript:EOY18131 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MEDSEKRRHRERRDRDRDRDRERRRSEREKSSDSDREKEKHRERGRERKEREREKEKERERAREKERERDREKREREREREREREKRERERERERERERERERERKSREREKRREYNSDDSKEERERHRKRRRRERDDDNNDDYKERESKLNREESPVRKKSGDDELEKEEKKSREEEMEDEQRKLDEEMEKRRRRVQEWQELRRKKEESEREKRGEGNAEDEETKVGKAWTLEGESDDDEVAPTKLETNMDVDENENSNSKPDSKGIGDAMMEDGDSDNGEDKMLVTQNGGNGISEEDDEIDPLDAFMNSMVLPEVEKLSNAVVVPPTTADDDKNGNLKKDKKDGLSNGGQQPKKGSNKALGRIIPGEDSDSDYGDFENDEEDLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYKPFRKNFYIEVKEISRMTPEEVAAYRKELELKLHGKDVPKPVKTWHQTGLTSKILETIRKLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKALGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRATYLVLDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEMRPESERFLRLLELLGEWYEKGKILIFVHTQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVLPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRKAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISQQTALAQIAAMAAASKAGTALMQNPLSSAQLLPNAVLPVSLPGVLGVSMPGTAAVVPGSGLPGLANEEAARKAALQAALNLQHNLAKIQADAMPEHYEAELEINEFPQNARWKVTHKETLGPISEWTGAAITTRGQFFPPGRIPGPGERKLYLFIEGPTELSVKRAKAELKRVLEDFSHQSLQLPGGTQPGRYQVL >EOY18130 pep chromosome:Theobroma_cacao_20110822:10:2132088:2135402:-1 gene:TCM_042754 transcript:EOY18130 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MEDSEKRRHRERRDRDRDRDRERRRSEREKSSDSDREKEKHRERGRERKEREREKEKERERAREKERERDREKREREREREREREKRERERERERERERERERERKSREREKRREYNSDDSKEERERHRKRRRRERDDDNNDDYKERESKLNREESPVRKKSGDDELEKEEKKSREEEMEDEQRKLDEEMEKRRRRVQEWQELRRKKEESEREKRGEGNAEDEETKVGKAWTLEGESDDDEVAPTKLETNMDVDENENSNSKPDSKGIGDAMMEDGDSDNGEDKMLVTQNGGNGISEEDDEIDPLDAFMNSMVLPEVEKLSNAVVVPPTTADDDKNGNLKKDKKDGLSNGGQQPKKGSNKALGRIIPGEDSDSDYGDFENDEEDLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYKPFRKNFYIEVKEISRMTPEEVAAYRKELELKLHGKDVPKPVKTWHQTGLTSKILETIRKLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKALGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRATYLVLDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEMRPESERFLRLLELLGEWYEKGKILIFVHTQEKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVLPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRKAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISQQTALAQIAAMAAASKAGTALMQNPLSSAQLLPNAVLPVSLPGVLGVSMPGTAAVVPGSGLPGLANEEAARKAALQAALNLQHNLAKIQADAMPEHYEAELEINEFPQNARWKVTHKETLGPISEWTGAAITTRGQFFPPGRIPGPGERKLYLFIEGPTELSVKRAKAELKRVLEDFSHQSLQLPGGTQPGRYQVL >EOY18201 pep chromosome:Theobroma_cacao_20110822:10:2373856:2378054:-1 gene:TCM_042814 transcript:EOY18201 gene_biotype:protein_coding transcript_biotype:protein_coding description:IKI3 family protein isoform 3 MPNSSLKKRLKVWERDTGALHASSEPKELMGAILEWMPSGAKIAAVCDRKPEAGPSIVFYERNGLERSSFCINEPVDATVELLKWNCSSDLLAAIVRSGNYDSVKIWFFCNNHWYLKQEIKYLRKDGVRFMWDPTKPQQLISWTLGGQVTVYKFIWVAAVIGDSTALVIDDSKILVTPLSLSLLPPPMYLFSLNFPSAVREMAFYSTKGKNCLAALLSNGCLCVAELPAPDTWEELEGKEFSVEPCVSATSLGSFVHLIWLDSHMLLAVSHYGFNHSNCSFQTPSSEDRLCGFYLQEIELACYEDNLPGLLTCSGWHAKVSYQNLLEGLVMGIVPNPAKRCAAFVQFDGGEVFEYTSKLGITRRDLKHDEISFSSSCPWMNVVLVGVSEQSQHLLFGLDDMGRLHVGRRILCSNCSSFSFYSNLADNVITHLILATKQDLLFIVDISDILHGKLELTYENFVHIGSKRKEEDNINYINIWEKGAKVVGVLHGDEAAVILQTNRGNLECIYPRKLVLASIVNALNQKRFKDALLIVRRHRIDFNVIVDYCGLQAFLQSASEFVRQVNNLSYITEFVCAIKTEKMTETLYKKFFSLPYCKEQKDLQANDLKGSDASLDATNKVSSVLLAIRRALGQQVPESPARELCILTTLARSDPPALEEALERVKVIREMELLDSDDPRRMNCPSSEEALKHLLWLSVSDAVFEAALGLYDLNLAAIVALNSQRDPKEFLPFLQELDRLPVLLMRYNIDLRLRRFEKALRHIVSAGDAHFADCMNLVKKNPQLFPLGLQLITDPIKRGQVLEAWGDHLSDEKCFDDAAATYLCCSSLPKALKAYRECGNWSGVLTVAGLIKLEKDEVMQLAHELCEELQALGKPGEAGKIALEYCGDISVGINLLISARDWEEALRVAFLHRREDLVSEVKNASLDCASSLIDDYKEGLEKVGKYLARYLAVRQRRLLLAAKLQAEERSINDIDDDTASEASSTFSGMSVYTTGTRKSSAASTSSTVASKARDARRQRSRGKIRPGSPGEEMALVEHLKGMSLTAGAKSELKSLLVSLVMLGKEETARKLQHVGENFQLSHMAAVRLAEDTMSNDSIDERAHTLERYVQKVKAELQDSDAFSWRCRVFLSP >EOY18198 pep chromosome:Theobroma_cacao_20110822:10:2373856:2379013:-1 gene:TCM_042814 transcript:EOY18198 gene_biotype:protein_coding transcript_biotype:protein_coding description:IKI3 family protein isoform 3 MKNLKLYSEITSNLELQSEGEVLLFAAYDIESNRFFFASSDNLIYTLHLSSFQNERAWTKGPLQAEIDPLGLEPEDVITSFDYLMEKEALIVGTSSGLLLLHNVDGKETEVVGQVEGGVKCISPSPDGDLLGVTTGLGQLLVMTHDWDLLYETALEDHPEGVDVRELDFLSRDVLGSPISWRGDGKYFATLSEMPNSSLKKRLKVWERDTGALHASSEPKELMGAILEWMPSGAKIAAVCDRKPEAGPSIVFYERNGLERSSFCINEPVDATVELLKWNCSSDLLAAIVRSGNYDSVKIWFFCNNHWYLKQEIKYLRKDGVRFMWDPTKPQQLISWTLGGQVTVYKFIWVAAVIGDSTALVIDDSKILVTPLSLSLLPPPMYLFSLNFPSAVREMAFYSTKGKNCLAALLSNGCLCVAELPAPDTWEELEGKEFSVEPCVSATSLGSFVHLIWLDSHMLLAVSHYGFNHSNCSFQTPSSEDRLCGFYLQEIELACYEDNLPGLLTCSGWHAKVSYQNLLEGLVMGIVPNPAKRCAAFVQFDGGEVFEYTSKLGITRRDLKHDEISFSSSCPWMNVVLVGVSEQSQHLLFGLDDMGRLHVGRRILCSNCSSFSFYSNLADNVITHLILATKQDLLFIVDISDILHGKLELTYENFVHIGSKRKEEDNINYINIWEKGAKVVGVLHGDEAAVILQTNRGNLECIYPRKLVLASIVNALNQKRFKDALLIVRRHRIDFNVIVDYCGLQAFLQSASEFVRQVNNLSYITEFVCAIKTEKMTETLYKKFFSLPYCKEQKDLQANDLKGSDASLDATNKVSSVLLAIRRALGQQVPESPARELCILTTLARSDPPALEEALERVKVIREMELLDSDDPRRMNCPSSEEALKHLLWLSVSDAVFEAALGLYDLNLAAIVALNSQRDPKEFLPFLQELDRLPVLLMRYNIDLRLRRFEKALRHIVSAGDAHFADCMNLVKKNPQLFPLGLQLITDPIKRGQVLEAWGDHLSDEKCFDDAAATYLCCSSLPKALKAYRECGNWSGVLTVAGLIKLEKDEVMQLAHELCEELQALGKPGEAGKIALEYCGDISVGINLLISARDWEEALRVAFLHRREDLVSEVKNASLDCASSLIDDYKEGLEKVGKYLARYLAVRQRRLLLAAKLQAEERSINDIDDDTASEASSTFSGMSVYTTGTRKSSAASTSSTVASKARDARRQRSRGKIRPGSPGEEMALVEHLKGMSLTAGAKSELKSLLVSLVMLGKEETARKLQHVGENFQLSHMAAVRLAEDTMSNDSIDERAHTLERYVQKVKAELQDSDAFSWRCRVFLSP >EOY18197 pep chromosome:Theobroma_cacao_20110822:10:2372934:2383384:-1 gene:TCM_042814 transcript:EOY18197 gene_biotype:protein_coding transcript_biotype:protein_coding description:IKI3 family protein isoform 3 MKNLKLYSEITSNLELQSEGEVLLFAAYDIESNRFFFASSDNLIYTLHLSSFQNERAWTKGPLQAEIDPLGLEPEDVITSFDYLMEKEALIVGTSSGLLLLHNVDGKETEVVGQVEGGVKCISPSPDGDLLGVTTGLGQLLVMTHDWDLLYETALEDHPEGVDVRELDFLSRDVLGSPISWRGDGKYFATLSEMPNSSLKKRLKVWERDTGALHASSEPKELMGAILEWMPSGAKIAAVCDRKPEAGPSIVFYERNGLERSSFCINEPVDATVELLKWNCSSDLLAAIVRSGNYDSVKIWFFCNNHWYLKQEIKYLRKDGVRFMWDPTKPQQLISWTLGGQVTVYKFIWVAAVIGDSTALVIDDSKILVTPLSLSLLPPPMYLFSLNFPSAVREMAFYSTKGKNCLAALLSNGCLCVAELPAPDTWEELEGKEFSVEPCVSATSLGSFVHLIWLDSHMLLAVSHYGFNHSNCSFQTPSSEDRLCGFYLQEIELACYEDNLPGLLTCSGWHAKVSYQNLLEGLVMGIVPNPAKRCAAFVQFDGGEVFEYTSKLGITRRDLKHDEISFSSSCPWMNVVLVGVSEQSQHLLFGLDDMGRLHVGRRILCSNCSSFSFYSNLADNVITHLILATKQDLLFIVDISDILHGKLELTYENFVHIGSKRKEEDNINYINIWEKGAKVVGVLHGDEAAVILQTNRGNLECIYPRKLVLASIVNALNQKRFKDALLIVRRHRIDFNVIVDYCGLQAFLQSASEFVRQVNNLSYITEFVCAIKTEKMTETLYKKFFSLPYCKEQKDLQANDLKGSDASLDATNKVSSVLLAIRRALGQQVPESPARELCILTTLARSDPPALEEALERVKVIREMELLDSDDPRRMNCPSSEEALKHLLWLSVSDAVFEAALGLYDLNLAAIVALNSQRDPKEFLPFLQELDRLPVLLMRYNIDLRLRRFEKALRHIVSAGDAHFADCMNLVKKNPQLFPLGLQLITDPIKRGQVLEAWGDHLSDEKCFDDAAATYLCCSSLPKALKAYRECGNWSGVLTVAGLIKLEKDEVMQLAHELCEELQALGKPGEAGKIALEYCGDISVGINLLISARDWEEALRVAFLHRREDLVSEVKNASLDCASSLIDDYKEGLEKVGKYLARYLAVRQRRLLLAAKLQAEERSINDIDDDTASEASSTFSGMSVYTTGTRKSSAASTSSTVASKARDARRQRSRGKIRPGSPGEEMALVEHLKGMSLTAGAKSELKSLLVSLVMLGKEETARKLQHVGENFQLSHMAAVRLAEDTMSNDSIDERAHTLERYVQKVKAELQDSDAFSWRCRVFLSP >EOY18200 pep chromosome:Theobroma_cacao_20110822:10:2372934:2379620:-1 gene:TCM_042814 transcript:EOY18200 gene_biotype:protein_coding transcript_biotype:protein_coding description:IKI3 family protein isoform 3 MPNSSLKKRLKVWERDTGALHASSEPKELMGAILEWMPSGAKIAAVCDRKPEAGPSIVFYERNGLERSSFCINEPVDATVELLKWNCSSDLLAAIVRSGNYDSVKIWFFCNNHWYLKQEIKYLRKDGVRFMWDPTKPQQLISWTLGGQVTVYKFIWVAAVIGDSTALVIDDSKILVTPLSLSLLPPPMYLFSLNFPSAVREMAFYSTKGKNCLAALLSNGCLCVAELPAPDTWEELEGKEFSVEPCVSATSLGSFVHLIWLDSHMLLAVSHYGFNHSNCSFQTPSSEDRLCGFYLQEIELACYEDNLPGLLTCSGWHAKVSYQNLLEGLVMGIVPNPAKRCAAFVQFDGGEVFEYTSKLGITRRDLKHDEISFSSSCPWMNVVLVGVSEQSQHLLFGLDDMGRLHVGRRILCSNCSSFSFYSNLADNVITHLILATKQDLLFIVDISDILHGKLELTYENFVHIGSKRKEEDNINYINIWEKGAKVVGVLHGDEAAVILQTNRGNLECIYPRKLVLASIVNALNQKRFKDALLIVRRHRIDFNVIVDYCGLQAFLQSASEFVRQVNNLSYITEFVCAIKTEKMTETLYKKFFSLPYCKEQKDLQANDLKGSDASLDATNKVSSVLLAIRRALGQQVPESPARELCILTTLARSDPPALEEALERVKVIREMELLDSDDPRRMNCPSSEEALKHLLWLSVSDAVFEAALGLYDLNLAAIVALNSQRDPKEFLPFLQELDRLPVLLMRYNIDLRLRRFEKALRHIVSAGDAHFADCMNLVKKNPQLFPLGLQLITDPIKRGQVLEAWGDHLSDEKCFDDAAATYLCCSSLPKALKAYRECGNWSGVLTVAGLIKLEKDEVMQLAHELCEELQALGKPGEAGKIALEYCGDISVGINLLISARDWEEALRVAFLHRREDLVSEVKNASLDCASSLIDDYKEGLEKVGKYLARYLAVRQRRLLLAAKLQAEERSINDIDDDTASEASSTFSGMSVYTTGPGEEMALVEHLKGMSLTAGAKSELKSLLVSLVMLGKEETARKLQHVGENFQLSHMAAVRLAEDTMSNDSIDERAHTLERYVQKVKAELQDSDAFSWRCRVFLSP >EOY18202 pep chromosome:Theobroma_cacao_20110822:10:2372934:2383639:-1 gene:TCM_042814 transcript:EOY18202 gene_biotype:protein_coding transcript_biotype:protein_coding description:IKI3 family protein isoform 3 MKNLKLYSEITSNLELQSEGEVLLFAAYDIESNRFFFASSDNLIYTLHLSSFQNERAWTKGPLQAEIDPLGLEPEDVITSFDYLMEKEALIVGTSSGLLLLHNVDGKETEVVGQVEGGVKCISPSPDGDLLGVTTGLGQLLVMTHDWDLLYETALEDHPEGVDVRELDFLSRDVLGSPISWRGDGKYFATLSEMPNSSLKKRLKVWERDTGALHASSEPKELMGAILEWMPSGAKIAAVCDRKPEAGPSIVFYERNGLERSSFCINEPVDATVELLKWNCSSDLLAAIVRSGNYDSVKIWFFCNNHWYLKQEIKYLRKDGVRFMWDPTKPQQLISWTLGGQVTVYKFIWVAAVIGDSTALVIDDSKILVTPLSLSLLPPPMYLFSLNFPSAVREMAFYSTKGKNCLAALLSNGCLCVAELPAPDTWEELEGKEFSVEPCVSATSLGSFVHLIWLDSHMLLAVSHYGFNHSNCSFQTPSSEDRLCGFYLQEIELACYEDNLPGLLTCSGWHAKVSYQNLLEGLVMGIVPNPAKRCAAFVQFDGGEVFEYTSKLGITRRDLKHDEISFSSSCPWMNVVLVGVSEQSQHLLFGLDDMGRLHVGRRILCSNCSSFSFYSNLADNVITHLILATKQDLLFIVDISDILHGKLELTYENFVHIGSKRKEEDNINYINIWEKGAKVVGVLHGDEAAVILQTNRGNLECIYPRKLVLASIVNALNQKRFKDALLIVRRHRIDFNVIVDYCGLQAFLQSASEFVRQVNNLSYITEFVCAIKTEKMTETLYKKFFSLPYCKEQKDLQANDLKGSDASLDATNKVSSVLLAIRRALGQQVPESPARELCILTTLARSDPPALEEALERVKVIREMELLDSDDPRRMNCPSSEEALKHLLWLSVSDAVFEAALGLYDLNLAAIVALNSQRDPKEFLPFLQELDRLPVLLMRYNIDLRLRRFEKALRHIVSAGDAHFADCMNLVKKNPQLFPLGLQLITDPIKRGQVLEAWGDHLSDEKCFDDAAATYLCCSSLPKALKAYRECGNWSGVLTVAGLIKLEKDEVMQLAHELCEELQALGKPGEAGKIALEYCGDISVGINLLISARDWEEALRVAFLHRREDLVSEVKNASLDCASSLIDDYKEGLEKVGKYLARYLAVRQRRLLLAAKLQAEERSINDIDDDTASEASSTFSGMSVYTTGTRKSSAASTSSTVASKARDARRQRSRGKIRPGSPGEEMALVEHLKGMSLTAGAKSELKSLLVSLVMLGKEETARKLQHVGENFQLSHMAAVRLAEDTMSNDSIDERAHTLERYVQKVKAELQDSDAFSWRCRVFLSPWRRYSIEAAFDLHS >EOY18203 pep chromosome:Theobroma_cacao_20110822:10:2372934:2382678:-1 gene:TCM_042814 transcript:EOY18203 gene_biotype:protein_coding transcript_biotype:protein_coding description:IKI3 family protein isoform 3 MKNLKLYSEITSNLELQSEGEVLLFAAYDIESNRFFFASSDNLIYTLHLSSFQNERAWTKGPLQAEIDPLGLEPEDVITSFDYLMEKEALIVGTSSGLLLLHNVDGKETEVVGQVEGGVKCISPSPDGDLLGVTTGLGQLLVMTHDWDLLYETALEDHPEGVDVRELDFLSRDVLGSPISWRGDGKYFATLSEMPNSSLKKRLKVWERDTGALHASSEPKELMGAILEWMPSGAKIAAVCDRKPEAGPSIVFYERNGLERSSFCINEPVDATVELLKWNCSSDLLAAIVRSGNYDSVKIWFFCNNHWYLKQEIKYLRKDGVRFMWDPTKPQQLISWTLGGQVTVYKFIWVAAVIGDSTALVIDDSKILVTPLSLSLLPPPMYLFSLNFPSAVREMAFYSTKGKNCLAALLSNGCLCVAELPAPDTWEELEGKEFSVEPCVSATSLGSFVHLIWLDSHMLLAVSHYGFNHSNCSFQTPSSEDRLCGFYLQEIELACYEDNLPGLLTCSGWHAKVSYQNLLEGLVMGIVPNPAKRCAAFVQFDGGEVFEYTSKLGITRRDLKHDEISFSSSCPWMNVVLVGVSEQSQHLLFGLDDMGRLHVGRRILCSNCSSFSFYSNLADNVITHLILATKQDLLFIVDISDILHGKLELTYENFVHIGSKRKEEDNINYINIWEKGAKVVGVLHGDEAAVILQTNRGNLECIYPRKLVLASIVNALNQKRFKDALLIVRRHRIDFNVIVDYCGLQAFLQSASEFVRQVNNLSYITEFVCAIKTEKMTETLYKKFFSLPYCKEQKDLQANDLKGSDASLDATNKVSSVLLAIRRALGQQVPESPARELCILTTLARSDPPALEEALERVKVIREMELLDSDDPRRMNCPSSEEALKHLLWLSVSDAVFEAALGLYDLNLAAIVALNSQRDPKEFLPFLQELDRLPVLLMRYNIDLRLRRFEKALRHIVSAGDAHFADCMNLVKKNPQLFPLGLQLITDPIKRGQVLEAWGDHLSDEKCFDDAAATYLCCSSLPKALKAYRECGNWSGVLTVAGLIKLEKDEVMQLAHELCEELQALGKPGEAGKIALEYCGDISVGINLLISARDWEEALRVAFLHRREDLVSEVKNASLDCASSLIDDYKEGLEKVGKYLARYLAVRQRRLLLAAKLQSQTS >EOY18199 pep chromosome:Theobroma_cacao_20110822:10:2372934:2382470:-1 gene:TCM_042814 transcript:EOY18199 gene_biotype:protein_coding transcript_biotype:protein_coding description:IKI3 family protein isoform 3 MKNLKLYSEITSNLELQSEGEVLLFAAYDIESNRFFFASSDNLIYTLHLSSFQNERAWTKGPLQAEIDPLGLEPEDVITSFDYLMEKEALIVGTSSGLLLLHNVDGKETEVVGQVEGGVKCISPSPDGDLLGVTTGLGQLLVMTHDWDLLYETALEDHPEGVDVRELDFLSRDVLGSPISWRGDGKYFATLSEMPNSSLKKRLKVWERDTGALHASSEPKELMGAILEWMPSGAKIAAVCDRKPEAGPSIVFYERNGLERSSFCINEPVDATVELLKWNCSSDLLAAIVRSGNYDSVKIWFFCNNHWYLKQEIKYLRKDGVRFMWDPTKPQQLISWTLGGQVTVYKFIWVAAVIGDSTALVIDDSKILVTPLSLSLLPPPMYLFSLNFPSAVREMAFYSTKGKNCLAALLSNGCLCVAELPAPDTWEELEGKEFSVEPCVSATSLGSFVHLIWLDSHMLLAVSHYGFNHSNCSFQTPSSEDRLCGFYLQEIELACYEDNLPGLLTCSGWHAKVSYQNLLEGLVMGIVPNPAKRCAAFVQFDGGEVFEYTSKLGITRRDLKHDEISFSSSCPWMNVVLVGVSEQSQHLLFGLDDMGRLHVGRRILCSNCSSFSFYSNLADNVITHLILATKQDLLFIVDISDILHGKLELTYENFVHIGSKRKEEDNINYINIWEKGAKVVGVLHGDEAAVILQTNRGNLECIYPRKLVLASIVNALNQKRFKDALLIVRRHRIDFNVIVDYCGLQAFLQSASEFVRQVNNLSYITEFVCAIKTEKMTETLYKKFFSLPYCKEQKDLQANDLKGSDASLDATNKVSSVLLAIRRALGQQVPESPARELCILTTLARSDPPALEEALERVKVIREMELLDSDDPRRMNCPSSEEALKHLLWLSVSDAVFEAALGLYDLNLAAIVALNSQRDPKEFLPFLQELDRLPVLLMRYNIDLRLRRFEKALRHIVSAGDAHFADCMNLVKKNPQLFPLGLQLITDPIKRGQVLEAWGDHLSDEKCFDDAAATYLCCSSLPKALKAYRECGNWSGVLTVAGLIKLEKDEVMQLAHELCEELQALGKPGEAGKIALEYCGDISVGINLLISARDWEEALRVAFLHRREDLVSEVKNASLDCASSLIDDYKEGLEKVGKYLARYLAVRQRRLLLAAKLQAEERSINDIDDDTASEASSTFSGMSVYTTGPGEEMALVEHLKGMSLTAGAKSELKSLLVSLVMLGKEETARKLQHVGENFQLSHMAAVRLAEDTMSNDSIDERAHTLERYVQKVKAELQDSDAFSWRCRVFLSP >EOY20203 pep chromosome:Theobroma_cacao_20110822:10:25237300:25240905:-1 gene:TCM_045579 transcript:EOY20203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MSMKFQPFIALLTFLFLQLPLHKFPQVLADLNSDRQALLDFAAAVPHARKLNWNATAPVCTSWVGVTCDLNRTRVIAIRLPGIGLSGPIPVNTIGKLDALGVLSLRSNNLSGNLPSDIPSIPSLRRLFLQYNNFSSVFPASLSPRLNALDFSYNSFTGIIPTTLQNLTRLAILNLQNNSISGVIPYLNLPSLKVLNFSYNNLTGSIPNSLKRFPSSSFIGNPFLCGSPLKLCSAVSSSPSPSPSSFPNPPTVSQSRHASSKNKLGAGSIIAIVIGGLAFLFLLLVVVVIHCLKRKDSGGSGMLKKKISGGGKSEKPNDFGSGVQEAEKNKLFFFEGCSYNFDLEDLLKASAEVLGKGSYGTTYKAALEEGTQVVVKRLKEVAVGKREFEQQMEVLDRVGRHPNVMPLRAYYYSKDEKLLVYSYMPAGSLFSLLHGRTPLDWDSRMKIALGTARGIAHIHTEGGGKCTHGNIKSSNILLSDELEGCVSDVGLAPLMNAPVTMSRIMGYRAPEVIQTRKVTQKSDVYSFGVLLLEMLTAKAPLQPSGHDEVVDLPRWVRSVVREEWTAEVFDVELLRFQHFQEEMVQMLQIALACVAKTTETRPKMDEIVRMIEDIRQPESKNRTSSEAESNIQTP >EOY20202 pep chromosome:Theobroma_cacao_20110822:10:25237300:25241412:-1 gene:TCM_045579 transcript:EOY20202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MSMKFQPFIALLTFLFLQLPLHKFPQVLADLNSDRQALLDFAAAVPHARKLNWNATAPVCTSWVGVTCDLNRTRVIAIRLPGIGLSGPIPVNTIGKLDALGVLSLRSNNLSGNLPSDIPSIPSLRRLFLQYNNFSSVFPASLSPRLNALDFSYNSFTGIIPTTLQNLTRLAILNLQNNSISGVIPYLNLPSLKVLNFSYNNLTGSIPNSLKRFPSSSFIGNPFLCGSPLKLCSAVSSSPSPSPSSFPNPPTVSQSRHASSKNKLGAGSIIAIVIGGLAFLFLLLVVVVIHCLKRKDSGGSGMLKKKISGGGKSEKPNDFGSGVQEAEKNKLFFFEGCSYNFDLEDLLKASAEVLGKGSYGTTYKAALEEGTQVVVKRLKEVAVGKREFEQQMEVLDRVGRHPNVMPLRAYYYSKDEKLLVYSYMPAGSLFSLLHGNRSAGRTPLDWDSRMKIALGTARGIAHIHTEGGGKCTHGNIKSSNILLSDELEGCVSDVGLAPLMNAPVTMSRIMGYRAPEVIQTRKVTQKSDVYSFGVLLLEMLTAKAPLQPSGHDEVVDLPRWVRSVVREEWTAEVFDVELLRFQHFQEEMVQMLQIALACVAKTTETRPKMDEIVRMIEDIRQPESKNRTSSEAESNIQTP >EOY18284 pep chromosome:Theobroma_cacao_20110822:10:2741501:2742079:-1 gene:TCM_042880 transcript:EOY18284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIQHFSHNHPLVYNEEPSHESNKKAHCYGCGEVVSGTSFSCADCGFYLDKKCAETPSEMKHPFHRNHSLKLLASKPYGEGMSICDFCSKKL >EOY18539 pep chromosome:Theobroma_cacao_20110822:10:3767002:3773526:-1 gene:TCM_043075 transcript:EOY18539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSGEKPKRATLPPVQENIDKLEKAINVGNFYGAQQMYKSISARYVSAQRYSEALDLLHSGACLQLKHGQVTCGAELAVLFVETLVKGKIPFDEDILDRVRKIYKMFPQIPVPSNLGDDDDVQELTEALGAAKTRVEGCSSFLRAAIKWSAEFGAPRNGDPQLHVMLAEYIYSESPELDMARVSYHFVRGNNPKKFASTLVNFMGKCYPGEDDIVIARAVLMYLSMGNLRDANYLMDELKRQVTSQELDFPQSDLVQFITFLLQTLERDALPLFNMLRVNYKSSIDREPAFIELLDDIGEKFYGVRRRNPLQGMFGDLLKMM >EOY18541 pep chromosome:Theobroma_cacao_20110822:10:3768098:3773449:-1 gene:TCM_043075 transcript:EOY18541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSGEKPKRATLPPVQENIDKLEKAINVGNFYGAQQMYKSISARYVSAQRYSEALDLLHSGACLQLKHGQVTCGAELAVLFVETLVKGKIPFDEDILDRVRKIYKMFPQIPVPSNLGDDDDVQELTEALGAAKTRVEGCSSFLRAAIKWSAEFGAPRNGDPQLHVMLAEYIYSESPELDMARVSYHFVRGNNPKKFASTLVNFMGKCYPGEDDIVIARAVLMYLSMGNLRDANYLMDELKRQVTSQELDFPQSDLVQFITFLLQTHFDAAGWKE >EOY18540 pep chromosome:Theobroma_cacao_20110822:10:3767382:3773449:-1 gene:TCM_043075 transcript:EOY18540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSGEKPKRATLPPVQENIDKLEKAINVGNFYGAQQMYKSISARYVSAQRYSEALDLLHSGACLQLKHGQVTCGAELAVLFVETLVKGKIPFDEDILDRVRKIYKMFPQIPVPSNLGDDDDVQELTEALGAAKTRVEGCSSFLRAAIKWSAEFGAPRNGDPQLHVMLAEYIYSESPELDMARVSYHFVRGNNPKKFASTLVNFMGKCYPGEDDIVIARAVLMYLSMGNLRDANYLMDELKRQVTSQELDFPQSDLVQFITFLLQTLERDALPLFNMLRVNYKSSIDREPAFIEGGSVAR >EOY18697 pep chromosome:Theobroma_cacao_20110822:10:4565536:4566082:-1 gene:TCM_046960 transcript:EOY18697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease inhibitor, putative MASDECKGKSSWPELVGKKGEDAAARIEKENPHVNAVIVLEGSFVTADFLCTRVRVWVNTYGIVTRVPMIG >EOY20147 pep chromosome:Theobroma_cacao_20110822:10:25052122:25055460:-1 gene:TCM_045537 transcript:EOY20147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein MENSSNTDGEESRNQTISSTSSTTSSGGYRLFGRQGSLHQFLGGGEVADILLWKRWRDSFGVIVVATVAWLIFEQSGLPFLSICSDVLLILIVLLFVRANYAALRNRQLQTLPELELSEEMVNNAAASFRVKINNVLLMAHDITIGKDFRLFFKVVICLWLLSAIGSYCSFFTLAYIGTILSITIPVFYNKYEERVDKCCGMIHRKFSQHYKIVDESVTNRIPRSLFKEKDV >EOY19223 pep chromosome:Theobroma_cacao_20110822:10:14533925:14535334:-1 gene:TCM_044196 transcript:EOY19223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Matrixin family protein MAYNAISFLSFCTLLVLPLLFQATLADSKDKKPYPFDFLKHLQGCHKGDKVKDIRKLKKYLEQFGYLSYSKNKTHANDDDFDDLLESAIKTYQLNFHLNSNGALDTETVSKMMMPRCGVADIINGTSGMRSGKKKPHRAAGSKSIHEVSHYAFFPRSPRWPPSKSHLTYAFLPGTRADAVNPVAGAFQTWAANTHFRFSRIDNYRDADITIGFQRRDHGDGNPFDGPGGTLAHAFAPTLGRFHYDADETWSVSARPGTMHLETVALHEIGHLLGLGHSSIENAIMYPSITAGTSKGLARDDIEGIKALYNR >EOY18110 pep chromosome:Theobroma_cacao_20110822:10:2089348:2093689:-1 gene:TCM_042747 transcript:EOY18110 gene_biotype:protein_coding transcript_biotype:protein_coding description:XH/XS domain-containing protein, putative isoform 3 MDISSGEDSDISESEMEEYEDKSYEKLKNGKHNIKVSEETYTCPYCPKKKKRDYLYKELLQHASGVGNSNSEKRSAKEKANHLALVKYLEKDLVAVGSSSKTAAEEDPLSGYDHDEKIVWPWTGIVVNIPTRRSEDGRSVGESGSKLRDELIRRGFNPIRVLPLWNYRGHSGTAVVEFHKDWPGLHNALSFEKAYQADHHGKKEWCANNDVKFGLYAWVARADDYKSSGIIGENLRKTSDLKTISGIMEEEARKQDKLVSNLTNIIETKNKHIKEMEARCSETSKSLEVLMDEKDNLLQAYNEEIKKIQLSAREHFLRIFNDHEKLKSQLESHKRDLELRGVELEKREALNESERKKLAEELEQNAVQNSALQLASLEQKKADENVMKLAEDQKRQKEELHNRIIQLEKQLDQKQALELEIEQLRGSLNVIRHMGDEDDIEVLRKMEATLKELREKEGELEDVEALNQTLIVRERKSNDELQEARKELINGLKEISSRAHIGVKRMGELDSKPFFEVMKRRYNEEQAEERASELCSLWDEYLKDPDWHPFKRIKLEGEEEYQGSDQ >EOY18109 pep chromosome:Theobroma_cacao_20110822:10:2088355:2094742:-1 gene:TCM_042747 transcript:EOY18109 gene_biotype:protein_coding transcript_biotype:protein_coding description:XH/XS domain-containing protein, putative isoform 3 MDISSGEDSDISESEMEEYEDKSYEKLKNGKHNIKVSEETYTCPYCPKKKKRDYLYKELLQHASGVGNSNSEKRSAKEKANHLALVKYLEKDLVAVGSSSKTAAEEDPLSGYDHDEKIVWPWTGIVVNIPTRRSEDGRSVGESGSKLRDELIRRGFNPIRVLPLWNYRGHSGTAVVEFHKDWPGLHNALSFEKAYQADHHGKKEWCANNDVKFGLYAWVARADDYKSSGIIGENLRKTSDLKTISGIMEEEARKQDKLVSNLTNIIETKNKHIKEMEARCSETSKSLEVLMDEKDNLLQAYNEEIKKIQLSAREHFLRIFNDHEKLKSQLESHKRDLELRGVELEKREALNESERKKLAEELEQNAVQNSALQLASLEQKKADENVMKLAEDQKKEELHNRIIQLEKQLDQKQALELEIEQLRGSLNVIRHMGDEDDIEVLRKMEATLKELREKEGELEDVEALNQTLIVRERKSNDELQEARKELINGLKEISSRAHIGVKRMGELDSKPFFEVMKRRYNEEQAEERASELCSLWDEYLKDPDWHPFKRIKLEGEEEYQEVINDEDEKLRDLRNQMGNEVYKVVTSAIKEINEYNPSGRYIISELWNYGEGRKATLQEGVIYLLKLWNTAKRKRGTI >EOY18108 pep chromosome:Theobroma_cacao_20110822:10:2088355:2094710:-1 gene:TCM_042747 transcript:EOY18108 gene_biotype:protein_coding transcript_biotype:protein_coding description:XH/XS domain-containing protein, putative isoform 3 MDISSGEDSDISESEMEEYEDKSYEKLKNGKHNIKVSEETYTCPYCPKKKKRDYLYKELLQHASGVGNSNSEKRSAKEKANHLALVKYLEKDLVAVGSSSKTAAEEDPLSGYDHDEKIVWPWTGIVVNIPTRRSEDGRSVGESGSKLRDELIRRGFNPIRVLPLWNYRGHSGTAVVEFHKDWPGLHNALSFEKAYQADHHGKKEWCANNDVKFGLYAWVARADDYKSSGIIGENLRKTSDLKTISGIMEEEARKQDKLVSNLTNIIETKNKHIKEMEARCSETSKSLEVLMDEKDNLLQAYNEEIKKIQLSAREHFLRIFNDHEKLKSQLESHKRDLELRGVELEKREALNESERKKLAEELEQNAVQNSALQLASLEQKKADENVMKLAEDQKRQKEELHNRIIQLEKQLDQKQALELEIEQLRGSLNVIRHMGDEDDIEVLRKMEATLKELREKEGELEDVEALNQTLIVRERKSNDELQEARKELINGLKEISSRAHIGVKRMGELDSKPFFEVMKRRYNEEQAEERASELCSLWDEYLKDPDWHPFKRIKLEGEEEYQEVINDEDEKLRDLRNQMGNEVYKVVTSAIKEINEYNPSGRYIISELWNYGEGRKATLQEGVIYLLKLWNTAKRKRGTI >EOY18112 pep chromosome:Theobroma_cacao_20110822:10:2088332:2093677:-1 gene:TCM_042747 transcript:EOY18112 gene_biotype:protein_coding transcript_biotype:protein_coding description:XH/XS domain-containing protein, putative isoform 3 MEEYEDKSYEKLKNGKHNIKVSEETYTCPYCPKKKKRDYLYKELLQHASGVGNSNSEKRSAKEKANHLALVKYLEKDLVAVGSSSKTAAEEDPLSGYDHDEKIVWPWTGIVVNIPTRRSEDGRSVGESGSKLRDELIRRGFNPIRVLPLWNYRGHSGTAVVEFHKDWPGLHNALSFEKAYQADHHGKKEWCANNDVKFGLYAWVARADDYKSSGIIGENLRKTSDLKTISGIMEEEARKQDKLVSNLTNIIETKNKHIKEMEARCSETSKSLEVLMDEKDNLLQAYNEEIKKIQLSAREHFLRIFNDHEKLKSQLESHKRDLELRGVELEKREALNESERKKLAEELEQNAVQNSALQLASLEQKKADENVMKLAEDQKRQKEELHNRIIQLEKQLDQKQALELEIEQLRGSLNVIRHMGDEDDIEVLRKMEATLKELREKEGELEDVEALNQTLIVRERKSNDELQEARKELINGLKEISSRAHIGVKRMGELDSKPFFEVMKRRYNEEQAEERASELCSLWDEYLKDPDWHPFKRIKLEGEEEYQEEIICRRPIKEQYP >EOY18111 pep chromosome:Theobroma_cacao_20110822:10:2088355:2094710:-1 gene:TCM_042747 transcript:EOY18111 gene_biotype:protein_coding transcript_biotype:protein_coding description:XH/XS domain-containing protein, putative isoform 3 SGEDSDISESEMEEYEDKSYEKLKNGKHNIKVSEETYTCPYCPKKKKRDYLYKELLQHASGVGNSNSEKRSAKEKANHLALVKYLEKDLVAVGSSSKTAAEEDPLSGYDHDEKIVWPWTGIVVNIPTRRSEDGRSVGESGSKLRDELIRRGFNPIRVLPLWNYRGHSGTAVVEFHKDWPGLHNALSFEKAYQADHHGKKEWCANNDVKFGLYAWVARADDYKSSGIIGENLRKTSDLKTISGIMEEEARKQDKLVSNLTNIIETKNKHIKEMEARCSETSKSLEVLMDEKDNLLQAYNEEIKKIQLSAREHFLRIFNDHEKLKSQLESHKRDLELRGVELEKREALNESERKKLAEELEQNAVQNSALQLASLEQKKADENVMKLAEDQKRQKEELHNRIIQLEKQLDQKQALELEIEQLRGSLNVIRHMGDEDDIEVLRKMEATLKELREKEGELEDVEALNQTLIVRERKSNDELQEARKELINGLKEISSRAHIGVKRMGELDSKPFFEVMKRRYNEEQAEERASELCSLWDEYLKDPDWHPFKRIKLEGEEEYQEIICRRPIK >EOY20221 pep chromosome:Theobroma_cacao_20110822:10:25279620:25282801:-1 gene:TCM_045590 transcript:EOY20221 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein isoform 3 MEALLCRRLGDPASSAPDGNNPESSPIVVSRNHPIPDLDSPTAVRVKVKATSLNYANYLQILGKYQEKPPLPFIPGSDYAGTVDAVGPAVTKFKVGDRVCSFASLGSYATFIVQDQSHLFGVPKGCDLVAAAALPVAFGTSHVALVHRANLTSSQVLLVLGAAGGVGVAAVQIGKVCGAVVIAVARGAEKVQFLKSLGVDHVVDLSNQHVTASVKEFLKSRNLKGVDVLYDPVGGKLTKETMKLLNWGAQILVIGFASGEIPVIPANITLVKNWTVHGLYWGSYRTHRPAVLEDSIRELLSWVERGLITIHISHIYSLSEANHAFSAIKDRKAIGKVMIAFDDLGSARSKL >EOY20220 pep chromosome:Theobroma_cacao_20110822:10:25279737:25282827:-1 gene:TCM_045590 transcript:EOY20220 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein isoform 3 MEALLCRRLGDPASSAPDGNNPESSPIVVSRNHPIPDLDSPTAVRVKVKATSLNYANYLQILGKYQEKPPLPFIPGSDYAGTVDAVGPAVTKFKVGDRVCSFASLGSYATFIVQDQSHLFGVPKGCDLVAAAALPVAFGTSHVALVHRANLTSSQVLLVLGAAGGVGVAAVQIGKVCGAVVIAVARGAEKVQFLKSLGVDHVVDLSNQHVTASVKEFLKSRNLKGVDVLYDPVGGKLTKETMKLLNWGAQILVIGFASGEIPVIPANITLVNYSFNVAEVGGQRHVMKNWTVHGLYWGSYRTHRPAVLEDSIRELLSWVERGLITIHISHIYSLSEANHAFSAIKDRKAIGKVMIAFDDLGSARSKL >EOY20219 pep chromosome:Theobroma_cacao_20110822:10:25279536:25282836:-1 gene:TCM_045590 transcript:EOY20219 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein isoform 3 MEALLCRRLGDPASSAPDGNNPESSPIVVSRNHPIPDLDSPTAVRVKVKATSLNYANYLQILGKYQEKPPLPFIPGSDYAGTVDAVGPAVTKFKVGDRVCSFASLGSYATFIVQDQSHLFGVPKGCDLVAAAALPVAFGTSHVALVHRANLTSSQVLLVLGAAGGVGVAAVQIGKVCGAVVIAVARGAEKVQFLKSLGVDHVVDLSNQHVTASVKEFLKSRNLKGVDVLYDPVGGKLTKETMKLLNWGAQILVIGFASGEIPVIPANITLVKFEYLINLLSVNYSFNVAEVGGQRHVMKNWTVHGLYWGSYRTHRPAVLEDSIRELLSWVERGLITIHISHIYSLSEANHAFSAIKDRKAIGKVMIAFDDLGSARSKL >EOY18549 pep chromosome:Theobroma_cacao_20110822:10:3815346:3818245:1 gene:TCM_043082 transcript:EOY18549 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase D chain, mitochondrial MSGAGKKVVDVAFKASKNIDWEGMAKLLVSDEARKEFATLRRAFDEVNSTLQTKFSQEPEPIDWEYYRKGIGSRLVDMYKEAYDSVEIPKFVDTVTPQYKPKFDALLIELKEAEEKSLKESVRLEKEIAEVQELKKKISTMTADEYFEKHPELKKKFDDEIRNDYWGY >EOY18394 pep chromosome:Theobroma_cacao_20110822:10:3315428:3327119:-1 gene:TCM_042992 transcript:EOY18394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSWLRTAVNKAVEVGNKNNLTRNIKNYADTVVHHAGQAVAEGAKLFQDRVGSRSLKSVKQTIKRLEEAAISCRGSERVMVLRRWLVALKEIEKLSGGSSEGSEKSLEQIIASEEAKENPKRQSMVLYYDSDIGGAPMTFREVFLQSQALEGITISMILEAPNDEEISLLLEMFGLCLTGGKEVHNAIVSSVQDLATAFSSYQDEVLVKREELLQFAQGAITGLKINADLVRMDIEASDLKKKLDQLSASQKLPKEGHDNAFEKTTAATIEALKEALAQIRICSTLEGILLKKKSLNNGDSPEIHAQKVDKLKVLSESLANSSAKAEKRISDHRLQKEEALTVRVAKASEADGREKEIVAEISELEKQRDELEAELKKVNISLAAANARLRNVREERDQFDEANNQIVAHLKTKEEELSKSISASRVEAEVIHTWINFLEDTWLLQSSYAETKNKKVDEELEQHEDYFVNLAITLLSAYEKELGPSISRIGKFVENLKKLSERSEISYSPSNEGSTELNPRKHLEEEYLDYEAKIITTFSVVDNMKEQFYAQHGTISRKDDPKVKELFDDIEKLRAEFEAIERPTLEMEIPKADTPIETPQETLSPRPALESKQPKPDTKKNPETLPVLDPAAELAKLESEFGKVGQDYSAEEIGGWEFDELERELRSGDSASGK >EOY18397 pep chromosome:Theobroma_cacao_20110822:10:3317279:3325347:-1 gene:TCM_042992 transcript:EOY18397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MILEAPNDEEISLLLEMFGLCLTGGKEVHNAIVSSVQDLATAFSSYQDEVLVKREELLQFAQGAITGLKINADLVRMDIEASDLKKKLDQLSASQKLPKEGHDNAFEKTTAATIEALKEALAQIRICSTLEGILLKKKSLNNGDSPEIHAQKVDKLKVLSESLANSSAKAEKRISDHRLQKEEALTVRVAKASEADGREKEIVAEISELEKQRDELEAELKKVNISLAAANARLRNVREERDQFDEANNQIVAHLKTKEEELSKSISASRVEAEVIHTWINFLEDTWLLQSSYAETKNKKVDEELEQHEDYFVNLAITLLSAYEKELGPSISRIGKFVENLKNNEGSTELNPRKHLEEEYLDYEAKIITTFSVVDNMKEQFYAQHGTISRKDDPKVKELFDDIEKLRAEFEAIERPTLEMEIPKADTPIETPQETLSPRPALESKQPKPDTKKNPETLPVLDPAAELAKLESEFGKVGQDYSAEEIGGWEFDELERELRSGDSASGK >EOY18396 pep chromosome:Theobroma_cacao_20110822:10:3317372:3326997:-1 gene:TCM_042992 transcript:EOY18396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSWLRTAVNKAVEVGNKNNLTRNIKNYADTVVHHAGQAVAEGAKLFQDRVGSRSLKSVKQTIKRLEEAAISCRGSERVMVLRRWLVALKEIEKLSGGSSEGSEKSLEQIIASEEAKENPKRQSMVLYYDSDIGGAPMTFREVFLQSQALEGITISMILEAPNDEEISLLLEMFGLCLTGGKEVHNAIVSSVQDLATAFSSYQDEVLVKREELLQFAQGAITGLKINADLVRMDIEASDLKKKLDQLSASQKLPKEGHDNAFEKTTAATIEALKEALAQIRICSTLEGILLKKKSLNNGDSPEIHAQKVDKLKVLSESLANSSAKAEKRISDHSRLQKEEALTVRVAKASEADGREKEIVAEISELEKQRDELEAELKKVNISLAAANARLRNVREERDQFDEANNQIVAHLKTKEEELSKSISASRVEAEVIHTWINFLEDTWLLQSSYAETKNKKVDEELEQHEDYFVNLAITLLSAYEKELGPSISRIGKFVENLKKLSERSEISYSPSNEGSTELNPRKHLEEEYLDYEAKIITTFSVVDNMKEQFYAQHGTISREG >EOY18395 pep chromosome:Theobroma_cacao_20110822:10:3317317:3326688:-1 gene:TCM_042992 transcript:EOY18395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLILMDIEASDLKKKLDQLSASQKLPKEGHDNAFEKTTAATIEALKEALAQIRICSTLEGILLKKKSLNNGDSPEIHAQKVDKLKVLSESLANSSAKAEKRISDHRLQKEEALTVRVAKASEADGREKEIVAEISELEKQRDELEAELKKVNISLAAANARLRNVREERDQFDEANNQIVAHLKTKEEELSKSISASRVEAEVIHTWINFLEDTWLLQSSYAETKNKKVDEELEQHEDYFVNLAITLLSAYEKELGPSISRIGKFVENLKKLSERSEISYSPSNEGSTELNPRKHLEEEYLDYEAKIITTFSVVDNMKEQFYAQHGTISRKDDPKVKELFDDIEKLRAEFEAIERPTLEMEIPKADTPIETPQETLSPRPALESKQPKPDTKKNPETLPVLDPAAELAKLESEFGKVGQDYSAEEIGGWEFDELERELRSGDSASGK >EOY20026 pep chromosome:Theobroma_cacao_20110822:10:24447255:24448636:-1 gene:TCM_045429 transcript:EOY20026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein isoform 2 FYVVDDIKAKVEKACPGVVSCADILAIAARDSTAMLGGPSWEVKLGRRDSTTASRAAANNSIPAPTFNISGLLLSFAAQGLSLEDLVALSGSHTIGLARCTSFRTHIYNDSNIDASFAKSLQRKCPKSGKDNVHQPLDFQTPTSFDNLYYHNLLKMKGLLHSDQELFNGASADCLVEEYAADTSAFFKAFAKSMIKMGDIKPLTGSSGEIRTNCRKVN >EOY20025 pep chromosome:Theobroma_cacao_20110822:10:24447622:24449409:-1 gene:TCM_045429 transcript:EOY20025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein isoform 2 MACYFLLVLLILTVSGASVEAHGKLSPNYYSSTCPEVLSIVKERVRVAIKKEARMGASLLRLHFHDCFVNGCDGSLLLDDTATFIGEKTAAPNNNSVRGFYVVDDIKAKVEKACPGVVSCADILAIAARDSTAMLGGPSWEVKLGRRDSTTASRAAANNSIPAPTFNISGLLLSFAAQGLSLEDLVALSGSHTIGLARCTSFRTHIYNDSNIDASFAKSLQRKCPKSGKDNVHQPLDFQTPTSFDNLYYHNLLKMKGLLHSDQELFNGASADCLVEEYAADTSAFFKAFAKSMIKMGDIKPLTGSSGEIRTNCRKVN >EOY19558 pep chromosome:Theobroma_cacao_20110822:10:18739178:18741696:-1 gene:TCM_044695 transcript:EOY19558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKSFSDYDMYHQKLFSRQEFDPLTSPQVSLFINSAIFPSSPGVAISDPHLLPNVFSERTECTTGSRVYLLRVRVDGNFIQFENAEKPKQTKVSVLARRSHGAFLLLQDGIPHTLSLNVTLATFCFGSETQPKYLKSNDAADNLAKEGVARPNNPLWVLGDD >EOY19973 pep chromosome:Theobroma_cacao_20110822:10:24191419:24194856:1 gene:TCM_045377 transcript:EOY19973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin MKSFKKLFTSKSKTHSPASSFKIGGMGSLKVLDVIPSPEDDSEKLKKAFQGLGTDEDEIIMILGHRDASQRKQIRETYQQLYNESLIDALNSELSGDFRTAVILWTYDPSERDARLANGALKSKKKGVKQLEIIVEMSCASSPQHLVAVRQAYCSLFDCSLEEAIAASVSMPLKKVLLGLVTSYRYDKELVDMDVANLEADRLHEAIKTKELAHDDVVYILSTRNFHQLRTTFECYRKKYGNPIDKDIMKSGKGDLESLLRMVILCIDSPEKHFAEVVGTSIIGLGTDEDSLTRAIVTRAEIDMMKVRGEYFNIYKSNLDDAVIGDTSGDYRKFLVTLLGGKM >EOY19296 pep chromosome:Theobroma_cacao_20110822:10:16105384:16106311:1 gene:TCM_044347 transcript:EOY19296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLRNKIDNDKTVSFKASVMKRDIKILLYFVLEKMLPSTHINDVTRERGLLIYVIVIGKSINIVQLISNAILHIARTNQDGLWFPSLIAALCGRADVHWDKSEELLHRKAPIDVGFIRRYYDPSIASSNYSSTPRPRAAWP >EOY18890 pep chromosome:Theobroma_cacao_20110822:10:5889450:5890717:-1 gene:TCM_043390 transcript:EOY18890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-symbiotic hemoglobin 2 MSERVADTTLKYLGSVHLKNGVIGPHFEVVKEALLRTIKEAIGEEKCSDEMSSAWGEAYDQLAAAIKAKMKEEAA >EOY19166 pep chromosome:Theobroma_cacao_20110822:10:11524956:11529050:1 gene:TCM_043962 transcript:EOY19166 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MEALCPSLTTIHFASKFPLNSPKPFPQIVSLDANRFPVWVRKNESKNHSNLSLTSSVSCICLSTTGIATAASASFVSSSSSSTTDNNYWMVLMAKPPEAVSSKPQIIDYYVSTLERVLGTEKDAQMCIYDASCDTRFGFCCHIDEQASRELACLPEVLSVKPDPEYNSEKKDYTASNIEVTNIFNSGVASWQLFPAGNTKHWLVRMDKPGVEVVTKAQMVDYYTQILTKVLGNEKDAQMCIYHVSWQSHFGFCCELDEECAQELAGVPGVLSVEVDRNFDSENKDFGGNNLQSSTNLPETSESSEMITTRTKKLFITGLSFYTSEKTLRAHFEGFGELVEVKIIMDKISKRSKGYAFVEYTTEKAASAALTEMNGKIINGWMIVVDVAKSKPQNFSRGRPRTTL >EOY19165 pep chromosome:Theobroma_cacao_20110822:10:11524956:11528110:1 gene:TCM_043962 transcript:EOY19165 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MEALCPSLTTIHFASKFPLNSPKPFPQIVSLDANRFPVWVRKNESKNHSNLSLTSSVSCICLSTTGIATAASASFVSSSSSSTTDNNYWMVLMAKPPEAVSSKPQIIDYYVSTLERVLGTEKDAQMCIYDASCDTRFGFCCHIDEQASRELACLPEVLSVKPDPEYNSEKKDYTASNIEVTNIFNSGVASWQLFPAGNTKHWLVRMDKPGVEVVTKAQMVDYYTQILTKVLGNEKDAQMCIYHVSWQSHFGFCCELDEECAQELAGVPGVLSVEVDRNFDSENKDFGGNNLQSSTNLPETSESSEMITTRTKKLFITGLSFYTSEKTLRAHFEGFGELVEVKIIMDKISKRSKGYAFVEYTTEKAASAALTEMNGKIINGWMIVVDVAKSKPQNFSRGRPRTTL >EOY19687 pep chromosome:Theobroma_cacao_20110822:10:20566705:20573489:1 gene:TCM_044903 transcript:EOY19687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTKKGEKMRLLVTDFERKRREEATVVKGVTGWKKKKKKRGRDKKKEKEKKINGHDPIENKWAWPNRK >EOY18090 pep chromosome:Theobroma_cacao_20110822:10:2050476:2055310:1 gene:TCM_042737 transcript:EOY18090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative MNSYSQVESTLQEVLEVIKPLREDWVTRQKIIDELREVVQSMESLRGATVEPFGSLVSNLFTRWGDLDISIELPYGSYVSSAGKKRKQTLLGELQRALKQKDGWQRLQFIPHARVPILKIESRWQNISCDISIDNLQGQIKSKFLFWLNEIDGRFREMVLLVKEWASANGINNPKAGTFNSYSLTLLVIFHFQTCAPAIFPPLKDIYPRNVVTDLTGVRADAERRIAQVCSSNIARFRSGRTVNRSSLSELFISFIAKFSDINSKASDMGICTFTGQWEYITSNMRWLPRTYAIFVEDPFEQPENASRAVSQKQLIKIAEAFETTRCMLISANLTQSTLLPTLVGPKTSRFIVKQQSVSSSSYNGGHYPNTRPQVHRAVHSPLLMQQHQYRNSRPAASQMQQHQAQMVMPSPSRVQPQFPKTRVESRPRPAHQYQKSTPSVSQVQPQFLRARPESFSGSFATQRPVQLKHNQGQMWRPKSDK >EOY17938 pep chromosome:Theobroma_cacao_20110822:10:1506565:1509259:1 gene:TCM_042630 transcript:EOY17938 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein MEALPKQPQEVVIDVDGEEQANQTDNEEQEDRCSSSSSDESARTNEIIKGRESSVFETSSLEVDLESGATETKVHLAKVERDCRICHLSLDPTSEESGVPIELGCSCKDDLAAAHRQCAEAWFKIKGNRTCEICGSTARNVAAANETDITDQWNEANDAPAATAPVTIHAAETRNFWQGHRFLNFLLACMVFAFVISWLFHFNVPS >EOY19583 pep chromosome:Theobroma_cacao_20110822:10:19033337:19036484:1 gene:TCM_044728 transcript:EOY19583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y isoform 3 MVMAILMLVLTIELFPKEAAGDEGNDSDEESKRSRMHDVGHAGTSGRGRGRGRGRGRGRGARNVERDSHRELEPEPCQPLQHSNKSLSTPGMVIDDGSESKELMKENTIGEDANQAVRNFDLNAEVDENVDTKASAGASAAAATTATATAAAAAQPSSAEPTTETKHEEYPGWSLSEMDKMAIDPLQLAQLGRRLDEDEEDYDEEG >EOY19581 pep chromosome:Theobroma_cacao_20110822:10:19029653:19036057:1 gene:TCM_044728 transcript:EOY19581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y isoform 3 MRKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYEITLQRGAKTMSSLHLKHCVQSYNVFDFLRDIVSRVPDYGHGHSDAGADDRTISKRRKAAGDEGNDSDEESKRSRMHDVGHAGTSGRGRGRGRGRGRGRGARNVERDSHRELEPEPCQPLQHSNKSLSTPGMVIDDGSESKELMKENTIGEDANQAVRNFDLNAEVDENVDTKASAGASAAAATTATATAAAAAQPSSAEPTTETKHEEYPGWSLSEMDKMAIDPLQLAQLGRRLDEDEEDYDEEG >EOY19582 pep chromosome:Theobroma_cacao_20110822:10:19029922:19036484:1 gene:TCM_044728 transcript:EOY19582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y isoform 3 MRKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYEITLQRGAKTMSSLHLRVPDYGHGHSDAGADDRTISKRRKAAGDEGNDSDEESKRSRMHDVGHAGTSGRGRGRGRGRGRGRGARNVERDSHRELEPEPCQPLQHSNKSLSTPGMVIDDGSESKELMKENTIGEDANQAVRNFDLNAEVDENVDTKASAGASAAAATTATATAAAAAQPSSAEPTTETKHEEYPGWSLSEMDKMAIDPLQLAQLGRRLDEDEEDYDEEG >EOY19993 pep chromosome:Theobroma_cacao_20110822:10:24269471:24278844:-1 gene:TCM_045394 transcript:EOY19993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MADAKYPSSTKTETKRTTFSLFATRKTVTFAFTFTSVFVVGFTTLLLLNPSSYSSPWLKTFLQTSSYRSHFSSLFSHFLPNSSQSNYYPLPASQLRDNPIQISQENERFRGKKDWSLSSENNSGGPFQISPESEDFGGNDESFSSEVNSGGSKIPFEGNAFNTHTVTFSQEPNHTSQSFNANATNSPGSSSQTLDIIESGKELKEGILEKGRVSSNVTLDGSSDEFLEKLRKGNFVDIMSHCRIFDGKWVRDDSYPLYAPGSCPHIDESFNCFLNGRPDRGYEKYRWQPSGCNMPRLNGKHMLELLRGKRVVFVGDSLGRNMWESLVCVLQNSAEEKSNVFEDSDRHELQTEGSYSILFNDYNCSIEYFRSPFLVQEWKSLEKNGSKRETLRLDMMDKLSYKYKKADVLIFNTGHWWTHEKTSKGKGYYQEGTTIYDRLNVKEAFRKALTTWARWIDTNIDPMKTLVFFRGFSASHFRGGRWNSGGQCDGETEPITNEKYLKKYPSKMRIFESVIKGMNKPVLYLNVSRMTGFRKDAHPSIYRKQNLTEEERSSPTRIQDCSHWCLPGVPDTWNELVYAQLFVKHNQHQEQLLHQELQQRRA >EOY19992 pep chromosome:Theobroma_cacao_20110822:10:24274460:24280365:-1 gene:TCM_045394 transcript:EOY19992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MADAKYPSSTKTETKRTTFSLFATRKTVTFAFTFTSVFVVGFTTLLLLNPSSYSSPWLKTFLQTSSYRSHFSSLFSHFLPNSSQSNYYPLPASQLRDNPIQISQENERFRGKKDWSLSSENNSGGPFQISPESEDFGGNDESFSSEVNSGGSKIPFEGNAFNTHTVTFSQEPNHTSQSFNANATNSPGSSSQTLDIIESGKELKEGILEKGRVSSNVTLDGSSDEFLEKLRKGNFVDIMSHCRIFDGKWVRDDSYPLYAPGSCPHIDESFNCFLNGRPDRGYEKYRWQPSGCNMPRLNGKHMLELLRGKRVVFVGDSLGRNMWESLVCVLQNSAEEKSNVFEDSDRHELQTEGSYSILFNDYNCSIEYFRSPFLVQEWKSLEKNGSKRETLRLDMMDKLSYKYKKADVLIFNTGHWWTHEKTSKGKGYYQEGTTIYDRLNVKEAFRKALTTWARWIDTNIDPMKTLVFFRGFSASHFRGGRWNSGGQCDGETEPITNEKYLKKYPSKMRIFESVIKGMNKPVLYLNVSRMTGFRKDAHPSIYRKQNLTEEERSSPTRIQDCSHWCLPGVPDTWNELVYAQLFVKHNQHQEQLLHQELQQRRA >EOY18555 pep chromosome:Theobroma_cacao_20110822:10:3830929:3836606:-1 gene:TCM_043085 transcript:EOY18555 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 3 isoform 2 MLTLLVFSTFTSMEQTKPEKDRKKIKRKKGWERVERKKERERERIKEKVPIFEQRSGNFPMHRSFIFGIWVMGKKGGWFSAVKKVLSPESKKDQRTPKSKKKWFGKSKDLGPVPLPEETEVTAPPLPPPTEDVKLAEAENEQSKHAYSVALATAMAAEAAVAAAQAAAEVVRLTSVPRYPGKSKEEIAAIKIQTAFRGYLARRALRALRGLVRLKSLIQGQSVKRQATSTLRCMQTLARVQSQIRARRIRMLEENQALQRQLQQKCEKELEKLRASMGEDWNDSTQSKEQIDARQQNKQEAAMRRERALAYAFSHQQSWKNSSKSVNPTFMDPNNPHWGWSWLERWMAARPWENRSTTDNHDRGSVKSMGARSMSIGEISRAYSRRDLNNDNKPSPTPPKSSRPPSRQSPSTPPSKAPSISSVSSKIKLPSPRGSQWGGDEDSRSMLSVQSERYRRHSIAGSSVRDDESLASSPAVPSYMAPTQSTKARSRLPSPLGLEKNGTPDRGSAGSAKKRLSFSPSPAGNRRHSGPPKVDITPVKDIKMHKEEKLSNGGGR >EOY18559 pep chromosome:Theobroma_cacao_20110822:10:3831592:3834055:-1 gene:TCM_043085 transcript:EOY18559 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 3 isoform 2 MAAEAAVAAAQAAAEVVRLTSVPRYPGKSKEEIAAIKIQTAFRGYLARRALRALRGLVRLKSLIQGQSVKRQATSTLRCMQTLARVQSQIRARRIRMLEENQALQRQLQQKCEKELEKLRASMGEDWNDSTQSKEQIDARQQNKQEAAMRRERALAYAFSHQQSWKNSSKSVNPTFMDPNNPHWGWSWLERWMAARPWENRSTTDNHDRGSVKSMGARSMSIGEISRAYSRRDLNNDNKPSPTPPKSSRPPSRQSPSTPPSKAPSISSVSSKIKLPSPRGSQWGGDEDSRSMLSVQSERYRRHSIAGSSVRDDESLASSPAVPSYMAPTQSTKARSRLPSPLGLEKNGTPDRGSAGSAKKRLSFSPSPAGNRRHSGPPKVDITPVKDIKMHKEEKLSNGGGR >EOY18557 pep chromosome:Theobroma_cacao_20110822:10:3831121:3834982:-1 gene:TCM_043085 transcript:EOY18557 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 3 isoform 2 MGKKGGWFSAVKKVLSPESKKDQRTPKSKKKWFGKSKDLGPVPLPEETEVTAPPLPPPTEDVKLAEAENEQSKHAYSVALATAMAAEAAVAAAQAAAEVVRLTSVPRYPGKSKEEIAAIKIQTAFRGYLARRALRALRGLVRLKSLIQGQSVKRQATSTLRCMQTLARVQSQIRARRIRMLEENQALQRQLQQKCEKELEKLRASMGEDWNDSTQSKEQIDARQQNKQEAAMRRERALAYAFSHQQSWKNSSKSVNPTFMDPNNPHWGWSWLERWMAARPWENRSTTDNHDRGSVKSMGARSMSIGEISRAYSRRDLNNDNKPSPTPPKSSRPPSRQSPSTPPSKAPSISSVSSKIKLPSPRGSQWGGDEDSRSMLSVQSERYRRHSIAGSSVRDDESLASSPAVPSYMAPTQSTKARSRLPSPLGLEKNGTPDRGSAGSAKKRLSFSPSPAGNRRHSGPPKVDITPVKDIKMHKEEKLSNGGGR >EOY18558 pep chromosome:Theobroma_cacao_20110822:10:3831149:3834793:-1 gene:TCM_043085 transcript:EOY18558 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 3 isoform 2 MGKKGGWFSAVKKVLSPESKKDQRTPKSKKKWFGKSKDLGPVPLPEETEVTAPPLPPPTEDVKLAEAENEQSKHAYSVALATAMAAEAAVAAAQAAAEVVRLTSVPRYPGKSKEEIAAIKIQTAFRGYLARRALRALRGLVRLKSLIQGQSVKRQATSTLRCMQTLARVQSQIRARRIRMLEENQALQRQLQQKCEKELEKLRASMGEDWNDSTQSKEQIDARQQNKQEAAMRRERALAYAFSHQQSWKNSSKSVNPTFMDPNNPHWGWSWLERWMAARPWENRSTTDNHDRGSVKSMGARSMSIGEISRAYSRRDLNNDNKPSPTPPKSSRPPSRQSPSTPPSKAPSISSVSSKIKLPSPRGSQWGGDEDSRSMLSVQSERYRRHSIAGSSVRDDESLASSPAVPSYMAPTQSTKARSRLPSPLGLEKNGTPDRGSAGSAKKRLSFSPSPAGNRRHSGPPKVDITPVKDIKMHKEEKLSNGGGR >EOY18556 pep chromosome:Theobroma_cacao_20110822:10:3831121:3834786:-1 gene:TCM_043085 transcript:EOY18556 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 3 isoform 2 MGKKGGWFSAVKKVLSPESKKDQRTPKSKKKWFGKSKDLGPVPLPEETEVTAPPLPPPTEDVKLAEAENEQSKHAYSVALATAMAAEAAVAAAQAAAEVVRLTSVPRYPGKSKEEIAAIKIQTAFRGYLARRALRALRGLVRLKSLIQGQSVKRQATSTLRCMQTLARVQSQIRARRIRMLEENQALQRQLQQKCEKELEKLRASQSWKNSSKSVNPTFMDPNNPHWGWSWLERWMAARPWENRSTTDNHDRGSVKSMGARSMSIGEISRAYSRRDLNNDNKPSPTPPKSSRPPSRQSPSTPPSKAPSISSVSSKIKLPSPRGSQWGGDEDSRSMLSVQSERYRRHSIAGSSVRDDESLASSPAVPSYMAPTQSTKARSRLPSPLGLEKNGTPDRGSAGSAKKRLSFSPSPAGNRRHSGPPKVDITPVKDIKMHKEEKLSNGGGR >EOY20010 pep chromosome:Theobroma_cacao_20110822:10:24313805:24319629:-1 gene:TCM_045406 transcript:EOY20010 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIR-NBS-LRR type disease resistance-like protein MVALRFDGLPGSIALLLDKIHSVLPLAAIIEDTTFASLLKRLKSSLASISDVIHDVVGPRRNSHGMYDWLHQLLYAVFNAEDFVDDILFEVARLKVEAKARNLESLKLRDVRASIKNLVLSQDRRPRWNIRNLVETTDAIAKAMNEYGIRKREAHDELQLSDSLPAISLLDEAAIYGREEDRINILRFLLQGDENLDGIALVDEGGLGKTTLAQLVYNDEKVSAHFELKAWVAVPMAFDLYKITRTILEATTLCSIEDLMSLELLDKLSASLDGKRFLLVLDDVQYLNNNVWYALRTSLNSGARGSKIIVTTPKEEVAFVMGCSTLYHLNPLTEDFCWSLLAKSAYAGRDRSEVITLEDIGRKIMESCRGIPLCVNVIGGLLRFKKTREEWCHVLEDLQKANNHDSISSILLLSYYHLPALLKRCFAYCSLFPRDHEFDKEELVLLWMAEGFLQKSSGPSMEMVGAECVDGLLKRSFFIPVDNSHFKIHHYMHDLAKAVSRGVCLRWEPYTIIRSAVAKRIRHLSLLCHYQLRVILEMKSLRTFYLIDCRSCQLSPTALEAIFPGLQRLRVLSLPHFQHAELPPSIGKLKYLHYLDVSHSALTSLPEYLCILYFLQTLILTNCYSLLMLPQGIVKLVNLRKLSIKGAGLKQMPEKMSRLTSLQSLTNFIVGHGGSSIKELGALPYLHGSLSVSGLQNVSSPSDASAANLKAMRYLDDLELEWSCNNEDPATDQKKVLEKLKPSVELKKLSIRFYGGKEFPRWLGDSSFSKIISLHLSDCINCKSLPPLGRLSSLEHLIIERIGRIKSIGHEFYGVDVAGCKPFQSLKTLKFVEMSQWEEWILLEVDGQEFPWLEEFCVINCSLLKRDLPKSLPTLVKLEICNCEQLEASLPQTSECCVPKLDNCDKVEKISNDNQTAPSSSEDRNQQFSSPSSSNKKLREPLDLRGSLSKSKFQDVSSASDASEANLKAMPYLDELESEKTTQDKTLSKIDGEIASEFSSRMTKVPGNELQLEWSRNNENLAKERAVRWSEVSALSTIRFLCISDYNNCSSLPPFGQLPFLENFIIQRIGGVRSIGPEFCRIDLTCRKPFQSLKTLKFGEMSQWEEWTLLEVDGEEFPCLEEFYLINCPLLKGDIPKRLPTLVKLEICECEQLEASLPQTSERCILKLDNCYKVQKKTQDKTLSKSDGEIASQFSSSMTEIPDNELQLEESRNNKNPAKEKAVQEYPEPSRKLEEPSKSDGEITPEFSPSLIHQEQDHNQPTPSPSEDGNQQLMELPTDLHSLRIEGYASDKLPKEILGRSSLQHLYIIDCISLQSFPQSPSLKTLYVHNCQKLKFPQPNKVMNQDVGLEDLCLGSSCDSLKIFALNYFPKLKSLSLWDCRNLEHLSIEKGLQNELTSLDSLEIKDCPKLRSFLEEEFQAPNLTSLVFFNCGSLKSLPGMQSIKSLQSLYINKCPALESFPVEGLPSSLIILCISFCDKITPQKGWKLENLHSLSHFEIEGGCHELESFPEEGLLPTNLNSLRISRLADLKFLDREGLQKLTSLQTLEINCCDKLDSLPEHGLPSSLYSLSITDCSLLNPKLQNRKGREWFKIARVPSIHLDEVSD >EOY19831 pep chromosome:Theobroma_cacao_20110822:10:22992290:23067659:-1 gene:TCM_045192 transcript:EOY19831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKERREVRLAGCGRTLPGTSLTCQTRKIRVWQGSGNCPLMPRDKAMESAPSRAQKSVAIPGRYSLGSESESRPHQYDGRASILRFRNS >EOY18553 pep chromosome:Theobroma_cacao_20110822:10:3827117:3830578:-1 gene:TCM_043084 transcript:EOY18553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 1 MLGVERVPLSLDQSLQSMHQHICGTLENRYVHQATPFFAGLAVAAAALAGRYGIHAWQAFKARQPTPRIRKFYEGGFQPTMTRREAALILGVRFLSIHDRENATPDKVKEAHKKVMVANHPDAGGSHYLASKINEAKDVMLGKTKGSGSAF >EOY18554 pep chromosome:Theobroma_cacao_20110822:10:3827411:3830501:-1 gene:TCM_043084 transcript:EOY18554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 1 MATPFFAGLAVAAAALAGRYGIHAWQAFKARQPTPRIRKFYEGGFQPTMTRREAALILGVRENATPDKVKEAHKKVMVANHPDAGGSHYLASKINEAKDVMLGKTKGSGSAF >EOY20002 pep chromosome:Theobroma_cacao_20110822:10:24304492:24305170:-1 gene:TCM_045402 transcript:EOY20002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEWDAVCQKEHKSQEDKPGYNSSGERKTKCCPRTTKKGEGGFMNMFWRALGCCGLLSACYEPKTSQP >EOY19564 pep chromosome:Theobroma_cacao_20110822:10:18821716:18853988:1 gene:TCM_044707 transcript:EOY19564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTIEKERHLKNMLMTIKKRSRSLDDYLKDFKSICDTLAAIKQPVLDHDKVFQFGRVLGPTYDNFQITMLTKPPYPSFTQFVQALQSFEQDQIAKKDEEKAFLEHAQAFFGQRGRGQNNRGGHENFNSRGKGFAPASRSNSRCPLFCQIRFDYSYQFEEIPQALATLSTNDQNDPSFYVDFGATSHMTNDSSKLSYIKPYNGNDVIYVGDGNIFPICEVNINTENGQLNLKDVLVVSDLKKNLLSIGKLTQDNLCTVEFTSTDFVVEDQKQSMIAKGRKRGQLYALNDTSQEVLSAIRKVLSNDTQYHKLYKRHLVTTRNSHRARDNRRDVAIDTNYPECRSKPRKA >EOY17691 pep chromosome:Theobroma_cacao_20110822:10:588777:594376:1 gene:TCM_042449 transcript:EOY17691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine acid phosphatase family protein isoform 2 MAMAAILLLILALFSNSKADQDFDVRQHLSTVTRYSAVKDIVDDSFLPSDIPDGCTPIHLNLVARHGTRTPTKKRMRELEKLAAHVQELVKDAKEKNLSLQKVPAWLQKWESPWKGKLTGGELDIKGEEEMYQLGIRVRERFPDIFNEEYHPDVYPIKTTQVPRASASAVAFGMGLFSGKGSLGPGRHRAFAVTSESRASDLVLRFFDCCQTYKDFRKNHGPAVDNLKEPILTEITSALARRYEFNFTRQDISSLWFLCKQETSLLDITDQACSLFSPTEVALLEWTDDLEVFILKGYGKSLNYRMGVPLLKDVVQSMEEAIKAKEDNQAPGSYEKARLRFAHAETVVPFSCLLGLFLEGSDFQRIQKEEPLGLPPKPPQNRNWRGSTVAPFAGNNMLVLYSCPANSSSKYFVQVLHNEHPTRMPGCGGTDFCPFEVFHGHHYILAEFHSGLTPMLTQNKIFLQQEFLVR >EOY17690 pep chromosome:Theobroma_cacao_20110822:10:588777:594376:1 gene:TCM_042449 transcript:EOY17690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine acid phosphatase family protein isoform 2 MAMAAILLLILALFSNSKADQDFDVRQHLSTVTRYSAVKDIVDDSFLPSDIPDGCTPIHLNLVARHGTRTPTKKRMRELEKLAAHVQELVKDAKEKNLSLQKVPAWLQKWESPWKGKLTGGELDIKGEEEMYQLGIRVRERFPDIFNEEYHPDVYPIKTTQVPRASASAVAFGMGLFSGKGSLGPGRHRAFAVTSESRASDLVLRFFDCCQTYKDFRKNHGPAVDNLKEPILTEITSALARRYEFNFTRQDISSLWFLCKQETSLLDITDQACSLFSPTEVALLEWTDDLEVFILKGYGKSLNYRMGVPLLKDVVQSMEEAIKAKEDNQAPGSYEKARLRFAHAETVVPFSCLLGLFLEGSDFQRIQKEEPLGLPPKPPQNRNWRGSTVAPFAGNNMLVLYSCPANSSSKYFVQVLHNEHPTRMPGCGGTDFCPFEVFHGHHYILAEFHSGLTPMLTQNKIFLQQEFLVR >EOY17692 pep chromosome:Theobroma_cacao_20110822:10:588547:593762:1 gene:TCM_042449 transcript:EOY17692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine acid phosphatase family protein isoform 2 KTSPNFFLLTRLPEKMAMAAILLLILALFSNSKADQDFDVRQHLSTVTRYSAVKDIVDDSFLPSDIPDGCTPIHLNLVARHGTRTPTKKRMRELEKLAAHVQELVKDAKEKNLSLQKVPAWLQKWESPWKGKLTGGELDIKGEEEMYQLGIRVRERFPDIFNEEYHPDVYPIKTTQVPRASASAVAFGMGLFSGKGSLGPGRHRAFAVTSESRASDLVLRFLEVFILKGYGKSLNYRMGVPLLKDVVQSMEEAIKAKEDNQAPGSYEKARLRFAHAETVVPFSCLLGLFLEGSDFQRIQKEEPLGLPPKPPQNRNWRGSTVAPFAGNNMLVLYSCPANSSSKYFVQVLHNEHPTRMPGCGGTDFCPFEVFEERIVRPHL >EOY17689 pep chromosome:Theobroma_cacao_20110822:10:588979:593371:1 gene:TCM_042449 transcript:EOY17689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine acid phosphatase family protein isoform 2 MAMAAILLLILALFSNSKADQDFDVRQHLSTVTRYSAVKDIVDDSFLPSDIPDGCTPIHLNLVARHGTRTPTKKRMRELEKLAAHVQELVKDAKEKNLSLQKVPAWLQKWESPWKGKLTGGELDIKGEEEMYQLGIRVRERFPDIFNEEYHPDVYPIKTTQVPRASASAVAFGMGLFSGKGSLGPGRHRAFAVTSESRASDLVLRFFDCCQTYKDFRKNHGPAVDNLKEPILTEITSALARRYEFNFTRQDISSLWFLCKQETSLLDITDQACSLFSPTEVALLEWTDDLEVFILKGYGKSLNYRMGVPLLKDVVQSMEEAIKAKEDNQAPGSYEKARLRFAHAETVVPFSCLLGLFLEGSDFQRIQKEEPLGLPPKPPQNRNWRGSTVAPFAGNNMLVLYSCPANSSSKYFVQVLHNEHPTRMPGCGGTDFCPFEVFEERIVRPHLKHDYNTLCNVNLDQPKQKPETTTGTTTF >EOY17667 pep chromosome:Theobroma_cacao_20110822:10:512354:515498:-1 gene:TCM_042434 transcript:EOY17667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 33 isoform 3 MGKTSCAFSDSLNQSQADQSLDQKREKLPFAVGKTEEGCDMFSGRWVRDELTRPHYDESECPYIQPQLTCQEHGRPDREYQKWRWQPHACDLPSFNATLMLETLRGKRMMFVGDSLNRGQYVSMICLLHRLLPKDGKSMKTYNNDALTVFRAKDYNATIEFYWAPFLLESNSDNAIVHRISDRIVRKGSINKHGKHWKGVDILVFNSYLWWMTGLEMKILKGSFEDEEKEIMVVSTEDAYRMAMRTLLRWVRKNMDRKKTRVFFTSMSPTHAKSIDWAGEPGENCYNQTTLIEDPNYWGSDSRKSIMKVIGEVFSKSKFPITFLNITQLSSYRKDAHTSIYKKQWNPLTPEQLANPVSYADCVHWCLPGLQDTWNELLFAKLFYP >EOY17668 pep chromosome:Theobroma_cacao_20110822:10:512541:515153:-1 gene:TCM_042434 transcript:EOY17668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 33 isoform 3 MFSGRWVRDELTRPHYDESECPYIQPQLTCQEHGRPDREYQKWRWQPHACDLPSFNATLMLETLRGKRMMFVGDSLNRGQYVSMICLLHRLLPKDGKSMKTYNNDALTVFRAKDYNATIEFYWAPFLLESNSDNAIVHRISDRIVRKGSINKHGKHWKGVDILVFNSYLWWMTGLEMKILKGSFEDEEKEIMVVSTEDAYRMAMRTLLRWVRKNMDRKKTRVFFTSMSPTHAKSIDWAGEPGENCYNQTTLIEDPNYWGSDSRKSIMKVIGEVFSKSKFPITFLNITQLSSYRKDAHTSIYKKQWNPLTPEQLANPVSYADCVHWCLPGLQDTWNELLFAKLFYP >EOY17666 pep chromosome:Theobroma_cacao_20110822:10:511994:518378:-1 gene:TCM_042434 transcript:EOY17666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 33 isoform 3 MAYFSNFVHSKLISKKDRKMKPPLPSSSSAVLRKARLSPYLFTLLAFIVFVAILYGEDFMCIFGQLEPIPGRPISRPVKKREKLPFAVGKTEEGCDMFSGRWVRDELTRPHYDESECPYIQPQLTCQEHGRPDREYQKWRWQPHACDLPSFNATLMLETLRGKRMMFVGDSLNRGQYVSMICLLHRLLPKDGKSMKTYNNDALTVFRAKDYNATIEFYWAPFLLESNSDNAIVHRISDRIVRKGSINKHGKHWKGVDILVFNSYLWWMTGLEMKILKGSFEDEEKEIMVVSTEDAYRMAMRTLLRWVRKNMDRKKTRVFFTSMSPTHAKSIDWAGEPGENCYNQTTLIEDPNYWGSDSRKSIMKVIGEVFSKSKFPITFLNITQLSSYRKDAHTSIYKKQWNPLTPEQLANPVSYADCVHWCLPGLQDTWNELLFAKLFYP >EOY17669 pep chromosome:Theobroma_cacao_20110822:10:511994:514421:-1 gene:TCM_042434 transcript:EOY17669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 33 isoform 3 MSQSMWGFPLDILRRGENQGPHWEHVQSFNATLMLETLRGKRMMFVGDSLNRGQYVSMICLLHRLLPKDGKSMKTYNNDALTVFRAKDYNATIEFYWAPFLLESNSDNAIVHRISDRIVRKGSINKHGKHWKGVDILVFNSYLWWMTGLEMKILKGSFEDEEKEIMVVSTEDAYRMAMRTLLRWVRKNMDRKKTRVFFTSMSPTHAKSIDWAGEPGENCYNQTTLIEDPNYWGSDSRKSIMKVIGEVFSKSKFPITFLNITQLSSYRKDAHTSIYKKQWNPLTPEQLANPVSYADCVHWCLPGLQDTWNELLFAKLFYP >EOY19593 pep chromosome:Theobroma_cacao_20110822:10:19098255:19113125:-1 gene:TCM_044735 transcript:EOY19593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein MEKLRCGSFLESSKPYFAMISLQFGYAGMNIITKVSLNRGMSHYVLVVYRHAFATAVIAPFALIFERRGQSKITFPVFMQIFILALLGNILPAMTFVMAVMCRMEKIDVKKVRCQAKIVGTLVTVAGAMLMTLNKGPIVELFWTKSIHPRQSTGTDTAGTTDKDWVKGSILLIIATLAWASLFVLQAKALKTYKNHHLSLTSLTSAWQIGWDMNLLAAAYAGIVTSSISYYVQGMVIKKRGPVFATAFSPLMMIIVAIMGSFILAEKIFLGGVIGAIWIVIGLYSVLWGKHKENKEKEVNEEEIPEPVKIQANGNTILVIEDIEANEVEFKKLAEANKLSAVAIAMPMPESPMKANQDQTSFKD >EOY17757 pep chromosome:Theobroma_cacao_20110822:10:765159:767876:1 gene:TCM_042487 transcript:EOY17757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase 8 isoform 1 MASWVLSECGLRPLPRIEVYPKPRNALALNNNNFFKLRTLPGSKASNSFGSSFKVSTCSRDETRRVLNVSAPLKVATTSEDDKDKETIIGVTGMEDDGEFNPGAQPPFKLADIRAAIPKHCWVKDPWRSISYVVRDVVVVFGLAAVAAYFNNWLVWPLYWIAQGTMFWAVFVLGHDCGHGSFSNNPALNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKIYKSIDNATRLLRFTLPFPMLAYPFYLWGRSPGKKGSHFHPDSDLFVPNERKDVITSTVCWTAMVGLLAYLSFAMGPIQLLKLYGIPYWIFVMWLDLVTYLHHHGHEEKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAARPVLREHYRKPTKSGPIPFHLFGILVRSMKQDHYVSDTGDVVYYQTDPQLYGTSKSD >EOY17756 pep chromosome:Theobroma_cacao_20110822:10:764674:767787:1 gene:TCM_042487 transcript:EOY17756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase 8 isoform 1 MASWVLSECGLRPLPRIEVYPKPRNALALNNNNFFKLRTLPGSKASNSFGSSFKVSTCSRDETRRVLNVSAPLKVATTSEDDKDKETIIGVTGMEDDGEFNPGAQPPFKLADIRAAIPKHCWVKDPWRSISYVVRDVVVVFGLAAVAAYFNNWLVWPLYWIAQGTMFWAVFVLGHDCGHGSFSNNPALNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKIYKSIDNATRLLRFTLPFPMLAYPFYLWGRSPGKKGSHFHPDSDLFVPNERKDVITSTVCWTAMVGLLAYLSFAMGPIQLLKLYGIPYWIFVMWLDLVTYLHHHGHEEKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAARPVLREHYRKPTKSGPIPFHLFGILVRSMKQDHYVSDTGDVVYYQTDPQLYGTSKSD >EOY17758 pep chromosome:Theobroma_cacao_20110822:10:765275:767915:1 gene:TCM_042487 transcript:EOY17758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase 8 isoform 1 SECGLRPLPRIEVYPKPRNALALNNNNFFKLRTLPGSKASNSFGSSFKVSTCSRDETRRVLNVSAPLKVATTSEDDKDKETIIGVTGMEDDGEFNPGAQPPFKLADIRAAIPKHCWVKDPWRSISYVVRDVVVVFGLAAVAAYFNNWLVWPLYWIAQGTMFWAVFVLGHDCGHGSFSNNPALNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKIYKSIDNATRLLRFTLPFPMLAYPFYLWGRSPGKKGSHFHPDSDLFVPNERKDVITSTVCWTAMVGLLAYLSFAMGPIQLLKLYGIPYWIFVMWLDLVTYLHHHGHEEKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHTEAARPVLREHYRKPTKSGPIPFHLFGILVRSMKQDHYVSDTGDVVYYQTDPQLYGTSKSD >EOY19541 pep chromosome:Theobroma_cacao_20110822:10:18600619:18602098:1 gene:TCM_044672 transcript:EOY19541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFVVSNWINCELEIESDSFNVVKWVQSPLQVPWRLTKPILQISNLLRNVQDWRIILVFRSANVEADSLAKSGVLRPLDFLCINSECVQVQGNVNVNIHEKPSPYRPASYCTTGLDLAAMILVLCVLVTKFLRSCWHLDTGTPVACCTANATAGLAAMIVNFVSFGYQGFYYLGYCFACDCASELAWTYA >EOY18490 pep chromosome:Theobroma_cacao_20110822:10:3595878:3600272:1 gene:TCM_043042 transcript:EOY18490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1 family protein isoform 1 MAPLDPHSFTDSTHTLTTHIALSLYFDFPSATIHGAALFTLSSPHSGPLCLDTRSLSIHRVLSPSTLSPLPYSLSPFCDPIKGTHLSLSLPNDAALSSFLITFSTSPSSSALQWLSPPQTFNKKHPFVYTQCQSIHARSVFPCQDTPAARIRYSALLNVPRELSAVMSARHVDRRLPVSGEGYSNLLPNGFNSLWCSETRVVEEFDMNQPIPPYLFAFAVGELGFREVGPRTRVYSEAADGVLEAAAKEFAGTEDMIRQGEKLFGDYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDASGAQVVAHELAHSWTGNLITNKNNEHFWLNEGFTTYAERRIVEAVQGEDRAVLSIGIGWRGLNDEIKRFQDNLEFTKLKTNQEGVDPDTFYSQVPYEKGFQFLWCIERQIGRPAFDEFLKKYIATFKFKSIDTETFLDFLKANAPGIEKEIDLVLWTEGTGIPPDAYEPVSNLYTKIVSLANEFKLGRMPREDEVADWQGQEWELYLENLPKVVEASQVFALDARYRLSESNDYEVKVAFLQLAILSKCRDFYGEVEKTLKEVGRMKYLRPLYTALVQGIGKEEEKILAKRVFAEARDCYHPIAQGVVESIFAKHL >EOY18491 pep chromosome:Theobroma_cacao_20110822:10:3596107:3598761:1 gene:TCM_043042 transcript:EOY18491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1 family protein isoform 1 MAPLDPHSFTDSTHTLTTHIALSLYFDFPSATIHGAALFTLSSPHSGPLCLDTRSLSIHRVLSPSTLSPLPYSLSPFCDPIKGTHLSLSLPNDAALSSFLITFSTSPSSSALQWLSPPQTFNKKHPFVYTQCQSIHARSVFPCQDTPAARIRYSALLNVPRELSAVMSARHVDRRLPVSGEGYSNLLPNGFNSLWCSETRVVEEFDMNQPIPPYLFAFAVGELGFREVGPRTRVYSEAADGVLEAAAKEFAGTEDMIRQGEKLFGDYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDASGAQVVAHELAHSWTGNLITNKNNEHFWLNEGFTTYAERRIVEAVQGEDRAVLSIGIGWRGLNDEIKRFQDNLEFTKLKTNQEGVDPDTFYSQVPYEKGFQFLWCIERQIGRPAFDEFLKKYIATFKFKSIDTETFLDFLKANAPGIEKEIDLVLWTEGTGIPPDAYEPVSNLYTKIVSLANEFKLGRMPREDEVADWQGQEWELYLENLPKVVEASQVVLFLS >EOY18105 pep chromosome:Theobroma_cacao_20110822:10:2084407:2084712:1 gene:TCM_042744 transcript:EOY18105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNQKQIWTAEEEETLLAGVAKHSPGKWKNILEDPDFAPHLPRRSNIDLKDKWRNLSVSTSGQG >EOY19291 pep chromosome:Theobroma_cacao_20110822:10:16072882:16075362:-1 gene:TCM_044343 transcript:EOY19291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein, putative isoform 1 MLSSSSSSSPFVYSLTLFFSLFLLFFFAPQILPLKKQQSPITFQDELDDLQLFHEATLASSRGFSKISHLGTTNPTPKIAFLFLTNSDLVFAPLWQRFFQGNDHLFNVYVHADPYSKLSTPQWSVKANFIPAKRTERGSPTLVSAARRLLANAIIDDPFNLYFALLSQHCIPLHSFQYIYTSLLGNPTAASKSFLTQPSHKSSIEILSNEPHLHNRYVARGEGVMLPEVSFQRFRVGSQFFVLAKRHALLVLKERKLWRKFKLPCLDLDSCYPEEHYFPTLLSMQDPRGCSHYTLTRVNWTDSVDGHPHTYHSPEVSPDLLHTLRKSNSSYSYFFARKFSPDCLKPLMAIADDVIFRD >EOY19293 pep chromosome:Theobroma_cacao_20110822:10:16073935:16075011:-1 gene:TCM_044343 transcript:EOY19293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein, putative isoform 1 MLSSSSSSSPFVYSLTLFFSLFLLFFFAPQILPLKKQQSPITFQDELDDLQLFHEATLASSRGFSKISHLGTTNPTPKIAFLFLTNSDLVFAPLWQRFFQGNDHLFNVYVHADPYSKLSTPQWSVKANFIPAKRTERGSPTLVSAARRLLANAIIDDPFNLYFALLSQHCIPLHSFQYIYTSLLGNPTAASKSFLTQPSHKSSIEILSNEPHLHNRYVARGEGVMLPEVSFQRFRVGSQFFVLAKRHALLVLKERKLWRKFKLPCLDLDSCYPEEHYFPTLLSMQDPRGCSHYTLTRVNWTDSVDGHPHTYHSPEVSPDLLHTLRKSNSSYSYFFARKFSPDCLKPLMAIADDVIFRD >EOY19292 pep chromosome:Theobroma_cacao_20110822:10:16072430:16075362:-1 gene:TCM_044343 transcript:EOY19292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein, putative isoform 1 MLSSSSSSSPFVYSLTLFFSLFLLFFFAPQILPLKKQQSPITFQDELDDLQLFHEATLASSRGFSKISHLGTTNPTPKIAFLFLTNSDLVFAPLWQRFFQGNDHLFNVYVHADPYSKLSTPQWSVKANFIPAKRTERGSPTLVSAARRLLANAIIDDPFNLYFALLSQHCIPLHSFQYIYTSLLGNPTAASKSFLTQPSHKSSIEILSNEPHLHNRYVARGEGVMLPEVSFQRFRVGSQFFVLAKRHALLVLKERKLWRKFKLPCLDLDSCYPEEHYFPTLLSMQDPRGCSHYTLTRVNWTDSVDGHPHTYHSPEVSPDLLHTLRKSNSSYSYFFARKFSPDCLKPLMAIADDVIFRD >EOY19290 pep chromosome:Theobroma_cacao_20110822:10:16072430:16075363:-1 gene:TCM_044343 transcript:EOY19290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein, putative isoform 1 MLSSSSSSSPFVYSLTLFFSLFLLFFFAPQILPLKKQQSPITFQDELDDLQLFHEATLASSRGFSKISHLGTTNPTPKIAFLFLTNSDLVFAPLWQRFFQGNDHLFNVYVHADPYSKLSTPQWSVKANFIPAKRTERGSPTLVSAARRLLANAIIDDPFNLYFALLSQHCIPLHSFQYIYTSLLGNPTAASKSFLTQPSHKSSIEILSNEPHLHNRYVARGEGVMLPEVSFQRFRVGSQFFVLAKRHALLVLKERKLWRKFKLPCLDLDSCYPEEHYFPTLLSMQDPRGCSHYTLTRVNWTDSVDGHPHTYHSPEVSPDLLHTLRKSNSSYSYFFARKFSPDCLKPLMAIADDVIFRD >EOY17614 pep chromosome:Theobroma_cacao_20110822:10:358254:361843:-1 gene:TCM_042397 transcript:EOY17614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant calmodulin-binding protein-related, putative isoform 1 MVQRKVPNKLGIQADHTKPEKRLGSLKPSSCQHQDGKNKGTDLKKKMKKSRSIKLSDIEGLRSSPVRKTIAQPGKPPPLNVPAAAAATPQKKSVIKAVDGSPNYMKSTSSSEAKKEVSQVSSRNTQTGSDSKNLRRRSSTGSKSSSGSCNKPARTLTRTSSLKMVRTLTKSPSFKPVRASSKKCSRVALCADMDMQRATCSSTLKDSKFPAYLILNPGGTESEGTSIIKVCPYTYCSLNGHHHTPLPPLKCFLKARRRSMKTQRSMKMEALSPRRLKPSADGTEEFNAAQVAFGNDPASNGVDLDNSPRSPLMQEGGMDFFIEIYAKSKGNDAEADVGTTQMNAKRMDDSGCGNETAPEHNTEKPVSESLYEGSPHAEIDFDENLERCSETFSEVNTKETLYEELKHDDVDEDFRGILVKEKSLPWNFNDGDEQECLATIDIDHTMFEVIDMEWEECQFSASEPDDEALCSMETDYKSDPNTGDSSERDRNNLHDELVISLDEKDSNITEEILADGAEQQDFEEDTARIDTCSQVSETLCYDQVSSAEEMFEVLVTMEEEEKKENAEVDLTGIVATPSATEELHEGGKEKILENGFPGTVNEASEADPRLEVPENSCTIDVKEEALESTEQFQLRSFDKLEQDEASEDYNVTQETGDSEANQTVTVSDFSPEKELPSGEAGDGMEAGKIADAELLIGIQISDSSHVLSGADEDDEEIGDIQNNQLCEVNNAIDESFSTQDTVDESLFAESQDHPSDSQHENTNVVDGKSILEEDQDEAKFKVPTSMESEEQNSSRMHKTSLAESSEVGKTDLDSASTGLEAETFPTTSDKNGHNPRNRFSFTRSNAKEEEPDNHNNRKWTVGRKRHEENYEESRKFNPREPNFLPVVPEPDAEKVDLRHQMMDERKNAEEWMLDHALQQAVTKLAPARKRKVALLVEAFETVLPITKCESRLRHTSTGFGHGRPIQACN >EOY17613 pep chromosome:Theobroma_cacao_20110822:10:358067:363115:-1 gene:TCM_042397 transcript:EOY17613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant calmodulin-binding protein-related, putative isoform 1 MVQRKVPNKLGIQADHTKPEKRLGSLKPSSCQHQDGKNKGTDLKKKMKKSRSIKLSDIEGLRSSPVRKTIAQPGKPPPLNVPAAAAATPQKKSVIKAVDGSPNYMKSTSSSEAKKEVSQVSSRNTQTGSDSKNLRRRSSTGSKSSSGSCNKPARTLTRTSSLKMVRTLTKSPSFKPVRASSKKCSRVALCADMDMQRATCSSTLKDSKFPAYLILNPGGTESEGTSIIKVCPYTYCSLNGHHHTPLPPLKCFLKARRRSMKTQRSMKMEALSPRRLKPSADGTEEFNAAQVAFGNDPASNGVDLDNSPRSPLMQEGGMDFFIEIYAKSKGNDAEADVGTTQMNAKRMDDSGCGNETAPEHNTEKPVSESLYEGSPHAEIDFDENLERCSETFSEVNTKETLYEELKHDDVDEDFRGILVKEKSLPWNFNDGDEQECLATIDIDHTMFEVIDMEWEECQFSASEPDDEALCSMETDYKSDPNTGDSSERDRNNLHDELVISLDEKDSNITEEILADGAEQQDFEEDTARIDTCSQVSETLCYDQVSSAEEMFEVLVTMEEEEKKENAEVDLTGIVATPSATEELHEGGKEKILENGFPGTVNEASEADPRLEVPENSCTIDVKEEALESTEQFQLRSFDKLEQDEASEDYNVTQETGDSEANQTVTVSDFSPEKELPSGEAGDGMEAGKIADAELLIGIQISDSSHVLSGADEDDEEIGDIQNNQLCEVNNAIDESFSTQDTVDESLFAESQDHPSDSQHENTNVVDGKSILEEDQDEAKFKVPTSMESEEQNSSRMHKTSLAESSEVGKTDLDSASTGLEAETFPTTSDKNGHNPRNRFSFTRSNAKEEEPDNHNNRKWTVGRKRHEENYEESRKFNPREPNFLPVVPEPDAEKVDLRHQMMDERKNAEEWMLDHALQQAVTKLAPARKRKVALLVEAFETVLPITKCESRLRHTSTGFGHGRPIQACN >EOY17615 pep chromosome:Theobroma_cacao_20110822:10:358884:361793:-1 gene:TCM_042397 transcript:EOY17615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant calmodulin-binding protein-related, putative isoform 1 MVQRKVPNKLGIQADHTKPEKRLGSLKPSSCQHQDGKNKGTDLKKKMKKSRSIKLSDIEGLRSSPVRKTIAQPGKPPPLNVPAAAAATPQKKSVIKAVDGSPNYMKSTSSSEAKKEVSQVSSRNTQTGSDSKNLRRRSSTGSKSSSGSCNKPARTLTRTSSLKMVRTLTKSPSFKPVRASSKKCSRVALCADMDMQRATCSSTLKDSKFPAYLILNPGGTESEGTSIIKVCPYTYCSLNGHHHTPLPPLKCFLKARRRSMKTQRSMKMEALSPRRLKPSADGTEEFNAAQVAFGNDPASNGVDLDNSPRSPLMQEGGMDFFIEIYAKSKGNDAEADVGTTQMNAKRMDDSGCGNETAPEHNTEKPVSESLYEGSPHAEIDFDENLERCSETFSEVNTKETLYEELKHDDVDEDFRGILVKEKSLPWNFNDGDEQECLATIDIDHTMFEVIDMEWEECQFSASEPDDEALCSMETDYKSDPNTGDSSERDRNNLHDELVISLDEKDSNITEEILADGAEQQDFEEDTARIDTCSQVSETLCYDQVSSAEEMFEVLVTMEEEEKKENAEVDLTGIVATPSATEELHEGGKEKILENGFPGTVNEASEADPRLEVPENSCTIDVKEEALESTEQFQLRSFDKLEQDEASEDYNVTQETGDSEANQTVTVSDFSPEKELPSGEAGDGMEAGKIADAELLIGIQISDSSHVLSGADEDDEEIGDIQNNQLCEVNNAIDESFSTQDTVDESLFAESQDHPSDSQHENTNVVDGKSILEEDQDEAKFKVPTSMESEEQNSSRMHKTSLAESSEVGKTDLDSASTGLEAETFPTTSDKNGHNPRNRFSFTRSNAKEEEPDNHNNRKWTVGRKRHEENYEESRKFNPREPNFLPVVPEPDAEKVDLRHQMMDERKNAEEWMLDHALQQAVTKLAPARKRKVALLVEAFETVLPITKCESRLRHTSTGFGHGRPIQACN >EOY17616 pep chromosome:Theobroma_cacao_20110822:10:358200:362090:-1 gene:TCM_042397 transcript:EOY17616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant calmodulin-binding protein-related, putative isoform 1 MVQRKVPNKLGIQADHTKPEKRLGSLKPSSCQHQDGKNKGTDLKKKMKKSRSIKLSDIEGLRSSPVRKTIAQPGKPPPLNVPAAAAATPQKKSVIKAVDGSPNYMKSTSSSEAKKEVSQVSSRNTQTGSDSKNLRRRSSTGSKSSSGSCNKPARTLTRTSSLKMVRTLTKSPSFKPVRASSKKCSRVALCADMDMQRATCSSTLKDSKFPAYLILNPGGTESEGTSIIKVCPYTYCSLNGHHHTPLPPLKCFLKARRRSMKTQRSMKMEALSPRRLKPSADGTEEFNAAQVAFGNDPASNGVDLDNSPRSPLMQEGGMDFFIEIYAKSKGNDAEADVGTTQMNAKRMDDSGCGNETAPEHNTEKPVSESLYEGSPHAEIDFDENLERCSETFSEVNTKETLYEELKHDDVDEDFRGILVKEKSLPWNFNDGDEQECLATIDIDHTMFEVIDMEWEECQFSASEPDDEALCSMETDYKSDPNTGDSSERDRNNLHDELVISLDEKDSNITEEILADGAEQQDFEEDTARIDTCSQVSETLCYDQVSSAEEMFEVLVTMEEEEKKENAEVDLTGIVATPSATEELHEGGKEKILENGFPGTVNEASEADPRLEVPENSCTIDVKEEALESTEQFQLRSFDKLEQDEASEDYNVTQETGDSEANQTVTVSDFSPEKELPSGEAGDGMEAGKIADAELLIGIQISDSSHVLSGADEDDEEIGDIQNNQLCEVNNAIDESFSTQDTVDESLFAESQDHPSDSQHENTNVVDGKSILEEDQDEAKFKVPTSMESEEQNSSRMHKTSLAESSEVGKTDLDSASTGLEAETFPTTSDKNGHNPRNRFSFTRSNAKEEEPDNHNNRKWTVGRKRHEENYEESRKFNPREPNFLPVVPEPDAEKVDLRHQMMDERKNAEEWMLDHALQQAVTKLAPARKRKVALLVEAFETVLPITKCESRLRHTSTGFGHGRPIQACN >EOY20039 pep chromosome:Theobroma_cacao_20110822:10:24507368:24509439:1 gene:TCM_045437 transcript:EOY20039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MASSSSSKFLLTLLLLVLALGSSNAQLSTNYYSKTCPKLFPTVKSTVHSAIMKEARMGASLLRLFFHDCFVNGCDGSLLLDDTSSFTGEKNAAPNRNSARGFDVVDNIKSAVEDVCPGVVSCADILTIAARDSVAILGGPNWDVKLGRRDARTASQAAANNSIPPPTSNLNRLISRFNALGLSTRDMVALSGSHTIGLARCTSFRPRIYNESNIDSSFAQTRQRNCPRTTGSGDNNLAPLDIQTPTFFDNNYFKNLINRRGLLHSDQQLFNGGSTDSIVRGYSNNPSSFSSDFVTGMIKMGDISPLTGSRGEIRKNCRRVN >EOY19840 pep chromosome:Theobroma_cacao_20110822:10:23108482:23111055:1 gene:TCM_045209 transcript:EOY19840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle triple-A 1A, putative MLSFFSPPPTSDGIQGSCRPPFTGSDVAALGSLFLPLRRQPLLSLFLRSALLSLCANSRSLAPICSWYSLRRRRLCLVALACRIPPNTIVPPPLYTRFLGSGGGTLHYLARHGFFASDKMVAWVKILVAEPEDDPMAEKNPQPLDEDDITLLKTYGLVNGMLFDEVDAIGCARFDDGVGGDNEVLRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPVLLRPGRVDRKVEFGLPDMESRTQIFKIRTRIMTCERCIRFELLARLCSNSTGANIRSVCRGWPVCNTSTKEDEN >EOY20110 pep chromosome:Theobroma_cacao_20110822:10:24902930:24906086:-1 gene:TCM_045505 transcript:EOY20110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGSLPFTYLGFPMGANPRNVSSWDLVINKVRQGLALWQRKYLSFGERFHWSPTTSHSNKMPLVWKCITQLPTNDKGFLCLFSLALNKEARALAPSLHGSLCCKKVLNSVLTDLLEISLVPLDVELMAILHAFRLFSASQYIGAQLLFESDSKVALSWVSDVRQRPWKLWQIFNEIDYLSQTIGNVSYTNFLREGNSFADSLDKLGLDRCSMFTALW >EOY17660 pep chromosome:Theobroma_cacao_20110822:10:487667:491478:-1 gene:TCM_042429 transcript:EOY17660 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+)/H(+) antiporter, putative MASGSVNKTVLYPQVCVLYNKNMHYQGVFASGNPLDYIVPVFMLQVILSVVISRVIYIVLRPLKQPKLVCNILAGIILGPSVLGRNKSYMERMFAPKEMMVLGTMSNMATTLYIFIICIKMDATMLSRTSKKTWRFGLSCTIIPFFFTMILTELLRHFLPGFRDSQFFPIQFSVVSSLSYFIVIVHALDELDLLSSELGQLSTSITLLNELVSCMIVMLGITLGQKDTIHMMYSFLSLCGLIAFAIFVIRPVLHWIIKRTPRGSPVNEGYVIAILLWTLLLGVTTDALGGSFSPAAMIMGLIIPDGPPLGATIIQKSELLISEFFLPLFFVRIGYFTNLSAIQDWTELVIFGALILVGYLGKLVGSCLVSSTINMRKSTAILLSLILSLQGVNELLNGVRWKHQKLLDEQNFATFVLSIVILNGIITPIIEIFYKPEVKTFDPSSVKLHSRSLGMTSSVGELRIISCVEDEDNVPSFTSLLEALNPTEVNPICAYVIHLVPLSTQTVPLLVPYKSHKRRFSTPNGSDSIMRAFLNYSQNSQGPVHIQPFRMISPFKYMHEPICRLAEAIRTPLVLVPFFKSQEVHSIEGSLRIFNTNIQQFSRCTVGILVDRGLRTHISVNSFSYNVAVIFLGGADDREVLAFAARMSSHPNIAITVLRIHLRGNCARGFEDERELDDSLFRDFKAMNVGNACVVCHEMVADNSEEVMIALGSLANCYNLVIVGKRQGCNSQFEEGLIAWTQYPELGVIGDAMAAPDFCGGMMSVLVLQHFGERNQNSTRFTSFDC >EOY17776 pep chromosome:Theobroma_cacao_20110822:10:833107:837432:-1 gene:TCM_042496 transcript:EOY17776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factor isoform 3 PPPPSQPPLSDSDSDSDTDNFHIGSDLSNSIFKAYLEFSSSSSSSSSSSITAVDLSKIQSFLTSSSSGALSCLICLERIRPSDPTWSCSSLCFALFHLFCIQSWARQSSELSAARASARLPITAEVAAKQATWNCPKCRFSYSKSQIPKSYLCFCGKLQDPPSDNPWILPHSCGEICNRQLPNNCGHFCLLLCHPGPCPSCPKSIKTRCFCGSVEDFRRCGFKNFSCNQPCNKLLDCNKHRCSEICHPGTCPPCRARDIYCCRCGQKEEEKDCCDRDFRCENECKKLLNCEKHLCERGCHAGDCGECPLQGKQSCPCGKRVYEGMPCDVAAPVCGATCNKLLNCGFHRCPERCHKGPCVETCRIMVKKSCRCGGLKKEVPCYQDLSCERKCLRTRDCGRHACKRRCCDGNCPPCPEVCNKRLRCKNHKCPAPCHRGACAPCPVIVTISCACGETHFEVPCGTEMDQKPPKCRKLCMITPLCRHASNLKPHRCHYGACPQCRLLCEEEYPCGHKCNLRCHGPRPPPNPEFMLKPKKKKLNHQNECTPGTPCPPCPELVWKPCVGQHIGAERMMVCSDRARFSCDNLCGNLLPCGNHYCTKTCHSLEIQSSSSGYHKRSESCEECNLPCQKERMPKCSHPCPLPCHPGECPPCKVLVKRSCHCGAMVHAFECIYYNSLSEKDQVAVRSCGGPCHRKLPNCTHLCPETCHVGQCPAPDKCSKRVTVRCKCQTLKKEWVCQDVQAAYRDTGRDPNDISKNQFGLGLLPCNSNCKSKKQEVDSSLQLRNPKVLEVRSFKLRLHCLTSVSSLICLYHCILY >EOY17775 pep chromosome:Theobroma_cacao_20110822:10:832490:837990:-1 gene:TCM_042496 transcript:EOY17775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factor isoform 3 MSATANYHPPPPSQPPLSDSDSDSDTDNFHIGSDLSNSIFKAYLEFSSSSSSSSSSSITAVDLSKIQSFLTSSSSGALSCLICLERIRPSDPTWSCSSLCFALFHLFCIQSWARQSSELSAARASARLPITAEVAAKQATWNCPKCRFSYSKSQIPKSYLCFCGKLQDPPSDNPWILPHSCGEICNRQLPNNCGHFCLLLCHPGPCPSCPKSIKTRCFCGSVEDFRRCGFKNFSCNQPCNKLLDCNKHRCSEICHPGTCPPCRARDIYCCRCGQKEEEKDCCDRDFRCENECKKLLNCEKHLCERGCHAGDCGECPLQGKQSCPCGKRVYEGMPCDVAAPVCGATCNKLLNCGFHRCPERCHKGPCVETCRIMVKKSCRCGGLKKEVPCYQDLSCERKCLRTRDCGRHACKRRCCDGNCPPCPEVCNKRLRCKNHKCPAPCHRGACAPCPVIVTISCACGETHFEVPCGTEMDQKPPKCRKLCMITPLCRHASNLKPHRCHYGACPQCRLLCEEEYPCGHKCNLRCHGPRPPPNPEFMLKPKKKKLNHQNECTPGTPCPPCPELVWKPCVGQHIGAERMMVCSDRARFSCDNLCGNLLPCGNHYCTKTCHSLEIQSSSSGYHKRSESCEECNLPCQKERMPKCSHPCPLPCHPGECPPCKVLVKRSCHCGAMVHAFECIYYNSLSEKDQVAVRSCGGPCHRKLPNCTHLCPETCHVGQCPAPDKCSKRVTVRCKCQTLKKEWVCQDVQAAYRDTGRDPNDISKNQFGLGLLPCNSNCKSKKQEVDSSLQLRNPKVLEKKDPEIEKHGPKRRKRRDRIQEDKQVSRLQKFVATMKRLLLFIIIVVALMAVTYYGYKGLLRLSDWMNDIEAQRERRRHPRI >EOY17777 pep chromosome:Theobroma_cacao_20110822:10:833107:837432:-1 gene:TCM_042496 transcript:EOY17777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factor isoform 3 PPPPSQPPLSDSDSDSDTDNFHIGSDLSNSIFKAYLEFSSSSSSSSSSSITAVDLSKIQSFLTSSSSGALSCLICLERIRPSDPTWSCSSLCFALFHLFCIQSWARQSSELSAARASARLPITAEVAAKQATWNCPKCRFSYSKSQIPKSYLCFCGKLQDPPSDNPWILPHSCGEICNRQLPNNCGHFCLLLCHPGPCPSCPKSIKTRCFCGSVEDFRRCGFKNFSCNQPCNKLLDCNKHRCSEICHPGTCPPCRARDIYCCRCGQKEEEKDCCDRDFRCENECKKLLNCEKHLCERGCHAGDCGECPLQGKQSCPCGKRVYEGMPCDVAAPVCGATCNKLLNCGFHRCPERCHKGPCVETCRIMVKKSCRCGGLKKEVPCYQDLSCERKCLRTRDCGRHACKRRCCDGNCPPCPEVCNKRLRCKNHKCPAPCHRGACAPCPVIVTISCACGETHFEVPCGTEMDQKPPKCRKLCMITPLCRHASNLKPHRCHYGACPQCRLLCEEEYPCGHKCNLRCHGPRPPPNPEFMLKPKKKKLNHQNECTPGTPCPPCPELVWKPCVGQHIGAERMMVCSDRARFSCDNLCGNLLPCGNHYCTKTCHSLEIQSSSSGYHKRSESCEECNLPCQKERMPKCSHPCPLPCHPGECPPCKVLVKRSCHCGAMVHAFECIYYNSLSEKDQVAVRSCGGPCHRKLPNCTHLCPETCHVGQCPAPDKCSKRVIILVNSIYLFI >EOY18227 pep chromosome:Theobroma_cacao_20110822:10:2445441:2447400:1 gene:TCM_042826 transcript:EOY18227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MPKTVTIVGGAMGALAFLAMVIGFIWFCKSQNKNFSNRNSDTGSSDPTARAEWNRGAGPSSAAGFSLFGTQGRRFTLEELEQATKQFDASNLMGHGSFGSVYKGLLRDTVVAIKRRQGAPQQEFVAEAIYLSEIQHRNLVTLQGYCQESGSQMLVYEYLPNGSICNHLYDSRMESSARLEFKQRLSIALGAAKGLCHLHGLKPPLVHRNFKTANVLVDENFIAKVADAGVWKLLQRIEEGGPSCTASVTVFQDPEAEASGTFTEMSDVYSFGVFLLELITGQEAVHMDSLASNESLIQWVRSRLSSNNFVDHRLVGSFTMEGIRDMIRLTLQCMTFPANCRPRMDKVVLVLEQIHEKEMALTTVMGEGTATITLGSELFASSK >EOY18226 pep chromosome:Theobroma_cacao_20110822:10:2443596:2447621:1 gene:TCM_042826 transcript:EOY18226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MNTTIFYKSKQKREGCKFKFPFFAKAAKYSSLSNRNQETPTMEIGQHALQVQCSIEKMPKTVTIVGGAMGALAFLAMVIGFIWFCKSQNKNFSNRNSDTGSSDPTARAEWNRGAGPSSAAGFSLFGTQGRRFTLEELEQATKQFDASNLMGHGSFGSVYKGLLRDTVVAIKRRQGAPQQEFVAEDLDRKLISIYVKQAIYLSEIQHRNLVTLQGYCQESGSQMLVYEYLPNGSICNHLYDSRMESSARLEFKQRLSIALGAAKGLCHLHGLKPPLVHRNFKTANVLVDENFIAKVADAGVWKLLQRIEEGGPSCTASVTVFQDPEAEASGTFTEMSDVYSFGVFLLELITGQEAVHMDSLASNESLIQWVHEFERSVCFFATFLKVRSRLSSNNFVDHRLVGSFTMEGIRDMIRLTLQCMTFPANCRPRMDKVVLVLEQIHEKEMALTTVMGEGTATITLGSELFASSK >EOY18228 pep chromosome:Theobroma_cacao_20110822:10:2444603:2447649:1 gene:TCM_042826 transcript:EOY18228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 QCSIEKMPKTVTIVGGAMGALAFLAMVIGFIWFCKSQNKNFSNRNSDTGSSDPTARAEWNRGAGPSSAAGFSLFGTQGRRFTLEELEQATKQFDASNLMGHGSFGSVYKGLLRDTVVAIKRRQGAPQQEFVAEAIYLSEIQHRNLVTLQGYCQESGSQMLVYEYLPNGSICNHLYDSRMESSARLEFKQRLSIALGAAKGLCHLHGLKPPLVHRNFKTANVLVDENFIAKVADAGVWKLLQRIEEGGPSCTASVTVFQDPEAEASGTFTEMSDVYSFGVFLLELITGQEAVHMDSLASNESLIQWVRSRLSSNNFVDHRLVGSFTMEGIRDMIRLTLQCMTFPANCRPRMDKVVLVLEQIHEKEMALTTVMGEGDSFRDWDSIKVLKCN >EOY17919 pep chromosome:Theobroma_cacao_20110822:10:1429969:1431989:1 gene:TCM_042613 transcript:EOY17919 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein isoform 2 MSFTGTQQKCKACEKTVYPVELLSADGVPYHKSCFKCSHCKGTLKLGNYSSMEGVLYCKPHFEQLFKETGNFNKNFQSPAKSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVEGQSYHKSCFKCSHGGCPISPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRAAASVPEA >EOY17916 pep chromosome:Theobroma_cacao_20110822:10:1429762:1432237:1 gene:TCM_042613 transcript:EOY17916 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein isoform 2 MSFTGTQQKCKACEKTVYPVELLSADGVPYHKSCFKCSHCKGTLKLGNYSSMEGVLYCKPHFEQLFKETGNFNKNFQSPAKSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVEGQSYHKSCFKCSHGGCPISPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRAAASVPEA >EOY17918 pep chromosome:Theobroma_cacao_20110822:10:1429725:1432051:1 gene:TCM_042613 transcript:EOY17918 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein isoform 2 MSFTGTQQKCKACEKTVYPVELLSADGVPYHKSCFKCSHCKGTLKLGNYSSMEGVLYCKPHFEQLFKETGNFNKNFQSLSLILLSAAAKSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVEGQSYHKSCFKCSHGGCPISPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRAAASVPEA >EOY17917 pep chromosome:Theobroma_cacao_20110822:10:1429344:1432298:1 gene:TCM_042613 transcript:EOY17917 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein isoform 2 SGSFSSSSIKKCKACEKTVYPVELLSADGVPYHKSCFKCSHCKGTLKLGNYSSMEGVLYCKPHFEQLFKETGNFNKNFQSPAKSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVEGQSYHKSCFKCSHGGCPISPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRAAASVPEA >EOY17920 pep chromosome:Theobroma_cacao_20110822:10:1429990:1431999:1 gene:TCM_042613 transcript:EOY17920 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein isoform 2 QKCKACEKTVYPVELLSADGVPYHKSCFKCSHCKGTLKLGNYSSMEGVLYCKPHFEQLFKETGNFNKNFQSPAKSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVEGQSYHKSCFKCSHGGCPISPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRAAASVPEA >EOY19142 pep chromosome:Theobroma_cacao_20110822:10:11051679:11066352:-1 gene:TCM_043917 transcript:EOY19142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVALIPPLIPTYCALMPSVEIISGDVDVRSLLQSMICSSLAASAFLGENATLKGQVIVKRILGQDAPKEMLMGMCSNDFWLCWMKWDCKCTMLILGCFVFRIMDDRLKAIEQRFIPCDFDILSVASALDDDFDVAAYEGEGLSDNNKAQVGLRSIAFSVLICMVALIPLVILAYNALMPSVEITLSDVDVRVYFNVVHDLILCQLLRHEHDLFLYQRLCLECDLILYRLATVRDLTIPRERPLTLVCLNMTCIEYGMIRFEFEIMVTVKPDLIKSCHDIHVMDSMFTY >EOY19592 pep chromosome:Theobroma_cacao_20110822:10:19076345:19078875:-1 gene:TCM_044732 transcript:EOY19592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein MEKLRCGSFLESSKPYFAMISLQFGYAGMNIITKVSLNRGMSHYVLVVYRHAFATAVIAPFALIFEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSKITFPVFMQIFILALLGPVIDQNFYYAGLKYTSPTFSCAMSNMLPAMTFVMAVMCRMEKVDVKKVRCQAKIVGTLVTVAGAMLMTLYKGPIVELFWTKSIHPRQSNGTDSAGTTDKDWVKGSIFLIIATLAWASLFVLQAKALKTYKNHQLSLTSLVCFVGTLQAIVVTFVMERKTSAWQIGWDMNLLAAAYAGIVTSSISYYVQGMVIKKRGPVFATAFSPLMMIIVAIMGSFILAEKIFLGGVIGAILIVMGLYSVLWGKHKENKEKEVNEEEIPEPVKIQANGNTILVIEDIEANEVELKKLAEANKLSAVAITMPMPESPMKVNQDQTSFKV >EOY17958 pep chromosome:Theobroma_cacao_20110822:10:1584665:1591847:1 gene:TCM_042645 transcript:EOY17958 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP guanine nucleotide exchange factor 5 MMEVLLKKKDDWTESVSGLSSCTGESKENSSSSNSSSGSSSGKLVDKVKVSKARGSGSGSGSASPPLLGWPIRRATTANAAVAAITTASTVSKNSDVSNGNGDEVKSSNEDDKFEKLGSKINEIDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATVFGQLWRLEPIAEEKKSMWKREMEWLVCVSDHIVELIPSWQNFPDGSKLEVMTCRPRSDIFINLPALRKLDNMLLDILDSFTNTEFWYVDQGIIAPDADGSASFRKTLQRQEEKWWLPVPRVPTGGLSDNARKQLNHTRECTNQILKAAMAINGISLSEMEVPDSYLDTLPKNGRACLGDLIYRYITSDQFSAECLLDFLDLSSEHIALEIANRVEASIYVWRRRSHSKPPINPNRSTAKSSWEMVKDLMVDGDKREFLAERAESLLLCLKQRFPALTQTTLDTSKIQCNKDVGKSILESYSRVLESLAYNIVARIDDLLYVDDLTKHSEKVSSIPTVSVIAHKKVSIPYSVPVSSTPYKTAITTPSFSPAPLISPVRGERTPFLKENNNNNNSKPHRRGFGVKRVLTNYLGVDSKAKICGNATDRSSIMNSNSTESSGNQKDHITSKQSSAYQNGTRMRQVPPRYTVT >EOY19382 pep chromosome:Theobroma_cacao_20110822:10:17135113:17139088:1 gene:TCM_044480 transcript:EOY19382 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown-complex ABC transporter family isoform 1 MAWQIQCTASSPPASPPPFTPKCLPPRSLFFTLSATSSSRFCPPHMPSLRSVTCSLSAVESPTSTAGLDDSKTPLLEVKGLTAVIAETKQEILKGVNLVVHQGEVLVGHPDYEVTGGSVVFKGENLLDMEPEERSLAGLFMSFQAPIEIPGVNNIDFLHMAYNARRKKLGEPELGPLEFYAYIYPKLDLVNMKTDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILE >EOY19377 pep chromosome:Theobroma_cacao_20110822:10:17125951:17139123:1 gene:TCM_044480 transcript:EOY19377 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown-complex ABC transporter family isoform 1 MAWQIQCTASSPPASPPPFTPKCLPPRSLFFTLSATSSSRFCPPHMPSLRSVTCSLSAVESPTSTAGLDDSKTPLLEVKGLTAVIAETKQEILKGVNLVVHQGEIHAIMGKNGSGKSTFSKVLVGHPDYEVTGGSVVFKGENLLDMEPEERSLAGLFMSFQAPIEIPGVNNIDFLHMAYNARRKKLGEPELGPLEFYAYIYPKLDLVNMKTDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILDEIDSGLDIDALRDVAKAVNGLLTPKNSVLMITHYRRLLEVIKPTCIHIMEDGRIIKTGDSSLAKVLEEKGYTSISAE >EOY19381 pep chromosome:Theobroma_cacao_20110822:10:17135148:17138997:1 gene:TCM_044480 transcript:EOY19381 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown-complex ABC transporter family isoform 1 MAWQIQCTASSPPASPPPFTPKCLPPRSLFFTLSATSSSRFCPPHMPSLRSVTCSLSAVESPTSTAGLDDSKTPLLEVKGLTAVIAETKQEILKGVNLVVHQGEIHAIMGKNGSGKSTFSKVVLVGHPDYEVTGGSVVFKGENLLDMEPEERSLAGLFMSFQAPIEIPGVNNIDFLHMAYNARRKKLGEPELGPLEVLGADLAILDEIDSGLDIDALRDVAKAVNGLLTPKNSVLMITHYRRLLEVIKPTCIHIMEDGRIIKTGDSSLAKVLEEKGYTSISAE >EOY19376 pep chromosome:Theobroma_cacao_20110822:10:17135113:17139088:1 gene:TCM_044480 transcript:EOY19376 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown-complex ABC transporter family isoform 1 MAWQIQCTASSPPASPPPFTPKCLPPRSLFFTLSATSSSRFCPPHMPSLRSVTCSLSAVESPTSTAGLDDSKTPLLEVKGLTAVIAETKQEILKGVNLVVHQGEIHAIMGKNGSGKSTFSKVLVGHPDYEVTGGSVVFKGENLLDMEPEERSLAGLFMSFQAPIEIPGVNNIDFLHMAYNARRKKLGEPELGPLEFYAYIYPKLDLVNMKTDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILDEIDSGLDIDALRDVAKAVNGLLTPKNSVLMITHYRRLLEVIKPTCIHIMEDGRIIKTGDSSLAKVLEEKGYTSISAE >EOY19378 pep chromosome:Theobroma_cacao_20110822:10:17125951:17139123:1 gene:TCM_044480 transcript:EOY19378 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown-complex ABC transporter family isoform 1 MAWQIQCTASSPPASPPPFTPKCLPPRSLFFTLSATSSSRFCPPHMPSLRSVTCSLSAVESPTSTAGLDDSKTPLLEVKGLTAVIAETKQEILKGVNLVVHQGEIHAIMGKNGSGKSTFSKVLVGHPDYEVTGGSVVFKGENLLDMEPEERSLAGLFMSFQAPIEIPGVNNIDFLHMAYNARRKKLGEPELGPLEVLGADLAILDEIDSGLDIDALRDVAKAVNGLLTPKNSVLMITHYRRLLEVIKPTCIHIMEDGRIIKTGDSSLAKVLEEKGYTSISAE >EOY19379 pep chromosome:Theobroma_cacao_20110822:10:17135113:17139088:1 gene:TCM_044480 transcript:EOY19379 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown-complex ABC transporter family isoform 1 MAWQIQCTASSPPASPPPFTPKCLPPRSLFFTLSATSSSRFCPPHMPSLRSVTCSLSAVESPTSTAGLDDSKTPLLEVKGLTAVIAETKQEILKGVNLVVHQGEIHAIMGKNGSGKSTFSKVLVGHPDYEVTGGSVVFKGENLLDMEPEERSLAGLFMSFQAPIEIPGVNNIDFLHMAYNARRKKLGEPELGPLEFYAYIYPKLDLVNMKTDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILE >EOY19380 pep chromosome:Theobroma_cacao_20110822:10:17135113:17139088:1 gene:TCM_044480 transcript:EOY19380 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown-complex ABC transporter family isoform 1 MAWQIQCTASSPPASPPPFTPKCLPPRSLFFTLSATSSSRFCPPHMPSLRSVTCSLSAVESPTSTAGLDDSKTPLLEVKGLTAVIAETKQEILKGVNLVVHQGEVLVGHPDYEVTGGSVVFKGENLLDMEPEERSLAGLFMSFQAPIEIPGVNNIDFLHMAYNARRKKLGEPELGPLEFYAYIYPKLDLVNMKTDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILDEIDSGLDIDALRDVAKAVNGLLTPKNSVLMITHYRRLLEVIKPTCIHIMEDGRIIKTGDSSLAKVLEEKGYTSISAE >EOY19976 pep chromosome:Theobroma_cacao_20110822:10:24201731:24209266:-1 gene:TCM_045380 transcript:EOY19976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, unclassified, putative MATASFSTSTLPVFTSSNYQIWAIKMRAYLKAFDLWEIVELGEAPIQRHANPTLVQTKQHSEERKALRNENVVENALVAKTKNLKVKVGSSKKNENKGTKTGNDKQKKHGDKFDPCPYCKKRNHTSKYCWYRPNVKCRACKQLGHVEKVCKAKKSNAEGQVAIAEKTKEVEELLFVANLAGELGNNDVWLLDSACSHHMTSNNSLFTDLDTSFRVRVKIENGELLVFASVGTIAIETMAGIRHIANVRYAPYVDQNLLSVGQLAECNYALLFKIGSAQCLTQLVDLSDVWHKRIGHVNFGSLIKMSSEVMVDGLLVIVKPDMLCKVCQYGKQCRKSFPKGRSWKAKKKLELVHLDKSEALMHFFKFKAIVENQAKMKIKTLRSDNGFEFTVVDFEAFLAQFGVEHWLTVTYSPQQNGASERKNRTVVEMARCLLFQNNLPRVFWAEAVNTANYLLNITYTRVLNFKTPYEMWFACKPSAAHLKTFGCVCYAKVPDEKRSKLDAKSVLDVFIGYSERSKGYSLYNVETNKVVTSNEDQYEAESDSFSDIEDEKNAVRGTSTLQDIYSRCSLAMSKPSSFVEANVDPNWKKAMNSEMKMIEKNNTWVLVNRPDNQHVIGLKWIFKIKLNSDGLVNKYKARLVVKGYAQVYGVDYNETFSLVARHDTIRMLATLVAREGWRIYHLNVKFAFLNGILTEDIYVEQPEGYVEKGSEDKVCKLAKALYGLKLARRAWYERMDEHFKKQGFQRSVSESTLYVKSSEGFVLLIVALYMDDLLITDPDNHHLAEFKSQMMCEFEMIDLGLMSYFLGMEVVQAKDHVSLHQTKYAKDLLKRFQMSFCKSVGTSLSFGAKFSKEDGCAKANGQIYRSIIGSLLYLLVTRPDIMFATCLLSRFMQDPSVFHFTRVKRILRYIQGTLNFGLVYKKKESSQLIGYCDNDWAGSVDDSKSTSGFCFSFRSAVFTWNSKKQEVVAQSSTEVEYIACAAALIKPFG >EOY17989 pep chromosome:Theobroma_cacao_20110822:10:1688534:1694186:-1 gene:TCM_042666 transcript:EOY17989 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related protein 2 isoform 1 MAPPPPPPPPPPPSNVNHLSHPCIYERKSRLMKWLGKLFKTSSSSRRGGGSSGGSGTGGYNPHYLGEENMVVRAPARMPDGCPRVRKEQEELDHAMALSLSEGLGKPSGYNEWWMDDNYGGALPRAADGGRMNSSTYPRYGTMQFHPSGNRVCSGCHYDIGYGNYLGCMGVYFHPNCFRCHSCGNPITEHEFSLSGRDPYHKTCFKELTHPKCEVCLEFIPTNENNLIEYRCHPFWSQKYCPSHEHDHTARCCSCERLESWNVRYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGLNMRLDQQIPMLLVERQTLNEAIVGEKNGYHHMPETRGLCLSEEQTVTSILKRPRIGGRQLIGLRTQPQKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVLPGSSSNRASSSAAASSSSSSSKKGEKSNVENKLGEFFMHQIANDSSPAYGGGFRAANAAVNKYGLRRTLDHIRLTGNFPL >EOY17988 pep chromosome:Theobroma_cacao_20110822:10:1688499:1694518:-1 gene:TCM_042666 transcript:EOY17988 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related protein 2 isoform 1 MAPPPPPPPPPPPSNVNHLSHPCIYGDFASSYTERKSRLMKWLGKLFKTSSSSRRGGGSSGGSGTGGYNPHYLGEENMVVRAPARMPDGCPRVRKEQEELDHAMALSLSEGLGKPSGYNEWWMDDNYGGALPRAADGGRMNSSTYPRYGTMQFHPSGNRVCSGCHYDIGYGNYLGCMGVYFHPNCFRCHSCGNPITEHEFSLSGRDPYHKTCFKELTHPKCEVCLEFIPTNENNLIEYRCHPFWSQKYCPSHEHDHTARCCSCERLESWNVRYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGLNMRLDQQIPMLLVERQTLNEAIVGEKNGYHHMPETRGLCLSEEQTVTSILKRPRIGGRQLIGLRTQPQKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVLPGSSSNRASSSAAASSSSSSSKKGEKSNVENKLGEFFMHQIANDSSPAYGGGFRAANAAVNKYGLRRTLDHIRLTGNFPL >EOY20216 pep chromosome:Theobroma_cacao_20110822:10:25275665:25278690:1 gene:TCM_045589 transcript:EOY20216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 18C isoform 4 MTSTLSTSPSFLPTPSIEPPQPPFSGGGDPSSFTHHDLPDIDQTELLSVSWNQDYGCFAAGTSRGFRIYNCEPFKETFRRDLKSGGFKIVEMLFRCNILALVGGGSNSQYPSNKVIIWDDHQSRCIGEFAFRSDVRAVKLRRDRIVVVLEHKIYVYSFMDLKLLHQIETLANPRGLCCLSHHSNTSVLACPGLHRGQVRVEHFGLNMMKLINAHDSHIACLTLTLDGLLLATASTRGTLVRIFNTMDGTRLQEVRRGVDRADIYSIALSPNVQWLAVSSDKGTVHIFNLRVRVFGEDSSCQPSSVQGPAIFHQNSSTSLDSLISPSTGANPGSSLSFMRAFGSQNTVIVVGMDASFYRCSFDPVHGG >EOY20218 pep chromosome:Theobroma_cacao_20110822:10:25276171:25277556:1 gene:TCM_045589 transcript:EOY20218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 18C isoform 4 MTSTLSTSPSFLPTPSIEPPQPPFSGGGDPSSFTHHDLPDIDQTELLSVSWNQDYGCFAAGTSRGFRIYNCEPFKETFRRDLKSGGFKIVEMLFRCNILALVGGGSNSQYPSNKVIIWDDHQSRCIGEFAFRSDVRAVKLRRDRIVVVLEHKIYVYSFMDLKLLHQIETLANPRGLCCLSHHSNTSVLACPGLHRGQVRVEHFGLNMMKLINAHDSHIACLTLTLDGLLLATASTRGTLVRIFNTMDGTRLQEVRRGVDRADIYSIALSPNVQWLAVSSDKGTVHIFNLRVRVFGEDSSCQPSSVQGPAIFHQNSSTSLDSLISPSTGANPGSSLSFMRGNKYNFPL >EOY20215 pep chromosome:Theobroma_cacao_20110822:10:25275662:25279207:1 gene:TCM_045589 transcript:EOY20215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 18C isoform 4 MTSTLSTSPSFLPTPSIEPPQPPFSGGGDPSSFTHHDLPDIDQTELLSVSWNQDYGCFAAGTSRGFRIYNCEPFKETFRRDLKSGGFKIVEMLFRCNILALVGGGSNSQYPSNKVIIWDDHQSRCIGEFAFRSDVRAVKLRRDRIVVVLEHKIYVYSFMDLKLLHQIETLANPRGLCCLSHHSNTSVLACPGLHRGQVRVEHFGLNMMKLINAHDSHIACLTLTLDGLLLATASTRGTLVRIFNTMDGTRLQEVRRGVDRADIYSIALSPNVQWLAVSSDKGTVHIFNLRVRVFGEDSSCQPSSVQGPAIFHQNSSTSLDSLISPSTGANPGSSLSFMRGVLPKYFSSEWSFAQFHLPEDTQFIAAFGSQNTVIVVGMDASFYRCSFDPVHGGEMMQQEYVRFLKTDSRPR >EOY20217 pep chromosome:Theobroma_cacao_20110822:10:25275665:25278991:1 gene:TCM_045589 transcript:EOY20217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 18C isoform 4 MTSTLSTSPSFLPTPSIEPPQPPFSGGGDPSSFTHHDLPDIDQTELLSVSWNQDYGCFAAGTSRGFRIYNCEPFKETFRRDLKSGGFKIVEMLFRCNILALVGGGSNSQYPSNKVIIWDDHQSRCIGEFAFRSDVRAVKLRRDRIVVVLEHKIYVYSFMDLKLLHQIETLANPRGLCCLSHHSNTSVLACPGLHRGQVRVEHFGLNMMKLINAHDSHIACLTLTLDGLLLATASTRGTLVRIFNTMDGTRLQEVRRGVDRADIYSIALSPNVQWLAVSSDKGTVHIFNLRVRVFGEDSSCQPSSVQGPAIFHQNSSTSLDSLISPSTGANPGSSLSFMRGVLPKYFSSEWSFAQFHLPEDTQFIAAFGSQNTVIVVGMDARCSFDPVHGGEMMQQEYVRFLKTDSRPR >EOY17993 pep chromosome:Theobroma_cacao_20110822:10:1700226:1702037:1 gene:TCM_042670 transcript:EOY17993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type one serine/threonine protein phosphatase 4 isoform 2 MAAATTAQGQTAMMDPTVLDDIIRRLTEVRSARPGKQVQLSETEIKQLCVASRDIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPNANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKSFTDCFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNLSRPTAVPDTGLLCDLLWSDPGRDVKGWGMNDRGVSFTFGADKVSEFLTKHDLDLVCRAHQHLTIVVN >EOY17992 pep chromosome:Theobroma_cacao_20110822:10:1700502:1703537:1 gene:TCM_042670 transcript:EOY17992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type one serine/threonine protein phosphatase 4 isoform 2 MAAATTAQGQTAMMDPTVLDDIIRRLTEVRSARPGKQVQLSETEIKQLCVASRDIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPNANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKSFTDCFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNLSRPTAVPDTGLLCDLLWSDPGRDVKGWGMNDRGVSFTFGADKVSEFLTKHDLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKKAKFMSTKM >EOY18596 pep chromosome:Theobroma_cacao_20110822:10:3914275:3923322:-1 gene:TCM_043101 transcript:EOY18596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein isoform 2 MPPEPLPWDRKDFYKERKHERTESQPQQPSTARWRDSSSMSSYQHGSFREFTRWGSADLRRPPGHGKQGSWHLFAEENGGHGYVPSRSGDKMLDDESCRQSVSRGDGKYSRNSSRENNRASYSQRDWRAHSWEMSNGSPNTPGRPHDVNNEQRSVDDMLTYPSHAHSDFVSTWDQLHKDQHDNKTSGVNGLGTGQRCERENSVGSMDWKPLKWSRSGSLSSRGSGFSHSSSSKSLGGVDSGEGKLELQQKNLTPVQSPSGDAAACVTSAAPSDETMSRKKPRLGWGEGLAKYEKKKVEGPDTSMNRGVATISVGNTEPNNSLGSNLAEKSPRVLGFSDCASPATPSSVACSSSPGVEEKSFGKAANIDNDISNLCGSPSLGSQNHLEGPSFNLEKLDMNSIINMGSSLVDLLQSDDPSTVDSSFVRSTAMNKLLLWKGDVLKALETTESEIDSLENELKTLKANSGSRYPCPATSSSLPMEENGRACEELEAISNMIPRPAPLKIDPCGDALEEKVPLCNGDLEEVNADAKDGDIDSPGTATSKFVEPSSLEKAVSPSDVKLHECSGDLGTVQLTTMGEVNLAPGSSNEGTSVPFSGEGSALEKIDNDVHGPEPSNSVADIENIMYDVIIATNKELANSASKVFNNLLPKDWCSVISEIANGACWQTDSLIREKIVKRKQCIRFKERVLMLKFKAFQHAWKEDMRSPLIRKYRAKSQKKYELSLRSTLGGYQKHRSSIRSRLTSPGNLSLESNVEMINFVSKLLSDSHVRLYRNALKMPALFLDEKEKQVSRFISSNGLVEDPCAVEKERALINPWTSEEKEIFMDKLAAFGKDFRKIASFLDHKTTADCVEFYYKNHKSECFEKTKKKLDLSKQGKSTANTYLLTSGKKWSRELNAASLDVLGEASVIAAHAESGMRNRQTSAGRIFLGGRFDSKTSRVDDSIVERSSSFDVIGNDRETVAADVLAGICGSLSSEAMSSCITSSADPGESYQREWKCQKVDSVVKRPSTSDVTQNIDDDTCSDESCGEMDPADWTDEEKSVFIQAVSLYGKDFAMISRCVGTRSRDQCKVFFSKARKCLGLDLIHPRTRNLGTPMSDDANGGGSDIEDACVLESSVVCSDKLGSKVEEDLPSTIVSMNVDESDPTGEVSLQTDLNVSEENNGRLVDHRDSEAVETMVSDVGQPEPICESGGDMNVENVPKRSYGFWDGNRIQTGLSSLPDSAILVAKYPAAFVNYPSSSSQMEQQALQTVVRSNERNLNGVSVYPSREISSNNGVVDYQVYRGRDCTKVAPFTVDMKQRQEMFSEMQRRNRFDAIPNLQQQGRGGMVGMNVVGRGGVLVGGPSISDPVAVLRMQYAKTEQYGGQSGSIVREEESWRGKGDIGR >EOY18595 pep chromosome:Theobroma_cacao_20110822:10:3916281:3922929:-1 gene:TCM_043101 transcript:EOY18595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein isoform 2 MPPEPLPWDRKDFYKERKHERTESQPQQPSTARWRDSSSMSSYQHGSFREFTRWGSADLRRPPGHGKQGSWHLFAEENGGHGYVPSRSGDKMLDDESCRQSVSRGDGKYSRNSSRENNRASYSQRDWRAHSWEMSNGSPNTPGRPHDVNNEQRSVDDMLTYPSHAHSDFVSTWDQLHKDQHDNKTSGVNGLGTGQRCERENSVGSMDWKPLKWSRSGSLSSRGSGFSHSSSSKSLGGVDSGEGKLELQQKNLTPVQSPSGDAAACVTSAAPSDETMSRKKPRLGWGEGLAKYEKKKVEGPDTSMNRGVATISVGNTEPNNSLGSNLAEKSPRVLGFSDCASPATPSSVACSSSPGVEEKSFGKAANIDNDISNLCGSPSLGSQNHLEGPSFNLEKLDMNSIINMGSSLVDLLQSDDPSTVDSSFVRSTAMNKLLLWKGDVLKALETTESEIDSLENELKTLKANSGSRYPCPATSSSLPMEENGRACEELEAISNMIPRPAPLKIDPCGDALEEKVPLCNGDLEEVNADAKDGDIDSPGTATSKFVEPSSLEKAVSPSDVKLHECSGDLGTVQLTTMGEVNLAPGSSNEGTSVPFSGEGSALEKIDNDVHGPEPSNSVADIENIMYDVIIATNKELANSASKVFNNLLPKDWCSVISEIANGACWQTDSLIREKIVKRKQCIRFKERVLMLKFKAFQHAWKEDMRSPLIRKYRAKSQKKYELSLRSTLGGYQKHRSSIRSRLTSPAGNLSLESNVEMINFVSKLLSDSHVRLYRNALKMPALFLDEKEKQVSRFISSNGLVEDPCAVEKERALINPWTSEEKEIFMDKLAAFGKDFRKIASFLDHKTTADCVEFYYKNHKSECFEKTKKKLDLSKQGKSTANTYLLTSGKKWSRELNAASLDVLGEASVIAAHAESGMRNRQTSAGRIFLGGRFDSKTSRVDDSIVERSSSFDVIGNDRETVAADVLAGICGSLSSEAMSSCITSSADPGESYQREWKCQKVDSVVKRPSTSDVTQNIDDDTCSDESCGEMDPADWTDEEKSVFIQAVSLYGKDFAMISRCVGTRSRDQCKVFFSKARKCLGLDLIHPRTRNLGTPMSDDANGGGSDIEDACVLESSVVCSDKLGSKVEEDLPSTIVSMNVDESDPTGEVSLQTDLNVSEENNGRLVDHRDSEAVETMVSDVGQPEPICESGGDMNVGQLILALLV >EOY19234 pep chromosome:Theobroma_cacao_20110822:10:14672240:14697168:-1 gene:TCM_044215 transcript:EOY19234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTCYPSHEKKRHEVGLLYHKDYNENQIPTKARPIQMNKEMEEFCRKEIQDLLNKKLIRKNSLPWSCFTFYVIKNAILERGTPRLVINYKPLNKALEWIRYPNPNKKDLLQKLCNAKIFSKFNTKSRFWQIQIKEKERYKTAFTIPFGQYEWNVMPFGLKNAPSEF >EOY18497 pep chromosome:Theobroma_cacao_20110822:10:3604204:3609366:-1 gene:TCM_043045 transcript:EOY18497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase family protein / HAD-superfamily protein isoform 3 MRFHATTISRVSQLKNRAPVLFQSSQLRSNHQKRCNFGIAFDIDGVILRGRVPTGGSPQALRRLYGDSGGGVPETKRAKELSELLGVNILPSQVVQGHSPFRNLLKKFENELIIATGKGNPALVMSEYGFKKVLSLEEYASYFESIDPVSQYKRWTTAPVSDRKTPAVPRYDVFSERIKAAFVVSDPVDWGRDIQVLCDILRSGGLPGDANRNQPPLYFAADDLEYQAAFPSERLGLGAFRIALESIFNIINPKGLEYVSYGKPNPFVFKNAEAILSQLQSSSCIDHSENNGVSGSHPFETLYMIGDNPSVDVKGARQVFSEEKIIMQNFQQTWLLTLLRRQ >EOY18496 pep chromosome:Theobroma_cacao_20110822:10:3604204:3609366:-1 gene:TCM_043045 transcript:EOY18496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase family protein / HAD-superfamily protein isoform 3 MRFHATTISRVSQLKNRAPVLFQSSQLRSNHQKRCNFGIAFDIDGVILRGRVPTGGSPQALRRLYGDSGELKVPYLFLTNGGGVPETKRAKELSELLGVNILPSQVVQGHSPFRNLLKKFENELIIATGKGNPALVMSEYGFKKVLSLEEYASYFESIDPVSQYKRWTTAPVSDRKTPAVPRYDVFSERIKAAFVVSDPVDWGRDIQVLCDILRSGGLPGDANRNQPPLYFAADDLEYQAAFPSERLGLGAFRIALESIFNIINPKGLEYVSYGKPNPFVFKNAEAILSQLQSSSCIDHSENNGVSGSHPFETLYMIGDNPSVDVKGARQVFSEEKIIMQNFQQTWLLTLLRRQ >EOY18495 pep chromosome:Theobroma_cacao_20110822:10:3604440:3608934:-1 gene:TCM_043045 transcript:EOY18495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase family protein / HAD-superfamily protein isoform 3 MRFHATTISRVSQLKNRAPVLFQSSQLRSNHQKRCNFGIAFDIDGVILRGRVPTGGSPQALRRLYGDSGELKVPYLFLTNGGGVPETKRAKELSELLGVNILPSQVVQGHSPFRNLLKKFENELIIATGKGNPALVMSEYGFKKVLSLEEYASYFESIDPVSQYKRWTTAPVSDRKTPAVPRYDVFSERIKAAFVVSDPVDWGRDIQVLCDILRSGGLPGDANRNQPPLYFAADDLEYQAAFPSERLGLGAFRIALESIFNIINPKGLEYVSYGKPNPFVFKNAEAILSQLQSSSCIDHSENNGVSGSHPFETLYMIGDNPSVDVKGARQSGHPWFSILTRTGVFRGKDNHAEFPANLVVDTVEEAVDYILRREYNS >EOY18494 pep chromosome:Theobroma_cacao_20110822:10:3604626:3608824:-1 gene:TCM_043045 transcript:EOY18494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase family protein / HAD-superfamily protein isoform 3 MRFHATTISRVSQLKNRAPVLFQSSQLRSNHQKRCNFGIAFDIDGVILRGRVPTGGSPQALRRLYGDSGGGVPETKRAKELSELLGVNILPSQVVQGHSPFRNLLKKFENELIIATGKGNPALVMSEYGFKKVLSLEEYASYFESIDPVSQYKRWTTAPVSDRKTPAVPRYDVFSERIKAAFVVSDPVDWGRDIQVLCDILRSGGLPGDANRNQPPLYFAADDLEYQAAFPSERLGLGAFRIALESIFNIINPKGLEYVSYGKPNPFVFKNAEAILSQLQSSSCIDHSENNGVSGSHPFETLYMIGDNPSVDVKGARQSGHPWFSILTRTGVFRGKDNHAEFPANLVVDTVEEAVDYILRREYNS >EOY19395 pep chromosome:Theobroma_cacao_20110822:10:17238328:17241651:1 gene:TCM_044492 transcript:EOY19395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLFKISHGIPDEIKKLQRCFLWGGANNNRGMHFIRWDIVWHEKKNEGLGLVDLETKNRSLLTKWIWRYGNEREHIWRKIVTAKNDYDPHALLPKATVSRNCSKVWKYIISPLISTDKFFLQVKANLGVTIKESQEYIFRNPNLVSIVSKSKLVKGITLWEAPLLGWVKFNVDGASTVGDDWWVVFSHWIDVAVMAVRMFGGVYWPQDNAINNSGRELRSDMRLSFKQGFIAVFGPNMQIIFPSWLLRKVMVH >EOY18661 pep chromosome:Theobroma_cacao_20110822:10:4265023:4266025:1 gene:TCM_043156 transcript:EOY18661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like protein 5 MIEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRSDKIRIQKWYTVYKDHITLKDYEIHDGMGLELYYN >EOY18843 pep chromosome:Theobroma_cacao_20110822:10:5369867:5373477:1 gene:TCM_043336 transcript:EOY18843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRPKIYLFGDSITEESFRDGGWGASLANLISGTVDVVLRGYSGYNSRWALKVLFPAAESGGSDGASPPPLAGAMDFGLVGQAAIVAGKWACEYPKSKEIEPDKAWEGSSEEAECGRLKPGKANS >EOY19636 pep chromosome:Theobroma_cacao_20110822:10:19397966:19400077:-1 gene:TCM_044777 transcript:EOY19636 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II ctd phosphatase-like protein MMKVDPMTVEDVAANLEPVLSFKEGGVRELIQRLQCSSKRGHGVLPDNTLVLERSCEHPAVVNGVCNDCCQTIEEDGNYGLDFSYLHMGLRLSRGEIDRLCCLETERLFSQKKLHLVLDIDNTLIHSFRSEAFSKLGVPKDDMQEVDGIFVKLRPLVSDFLERASTMFEMHLYTLGSRSYAKKMAKILDPQDKYFDHRIISRDESPGLVKTLDLVLGMESSILILDDNDEVWPNHERNLILMKEFLFTGKKTDENEMNTPLNDILKALSAIHTAFFDDNIQATFRDRDVRELAASVRSTVLKGCNLYVSNVKYSGILRLIAKELGATCSKELNHSVTHLVSCYKGTEDFNRAVREEKYLVRPRWVKDAYFLWKRPAEENFPIKKSSKAILKAIIA >EOY20132 pep chromosome:Theobroma_cacao_20110822:10:25014654:25024514:1 gene:TCM_045527 transcript:EOY20132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 2 MSNEVREIAGDVVAMMQSQLQSLQNRVKELEAENAKLSAQLSKCCCHKTEKMHNGSDVKCFNSSVASQRKNNGDDKTRKKKASERIPGYNLEIMTHHSKRYVALKVMYFGKRFYGFASEAQMDPTVESEIFKALEKTRLLVGDKKESLYSRCGRTDKGVSAVGQDMENAGKKFIGEHDFRNFCKMDAANVHNYRRRVTLFEISSSNMRFEGNQLCVIKVKGSAFLWHQVRCMVAVLFMIGQGVESIAVIDALLDTEKTPRKPQYAMAPEIPLVLQSCDFEDVNFICSSDSGQALRIHLENECQAYQLQSAIFHEALLSCLPLANGKSLLNEGTIKKVASHVPLLSRPTEPSYEERCAKLNSRR >EOY20130 pep chromosome:Theobroma_cacao_20110822:10:25014654:25024514:1 gene:TCM_045527 transcript:EOY20130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 2 MSNEVREIAGDVVAMMQSQLQSLQNRVKELEAENAKLSAQLSKCCCHKTEKMHNGSDVKCFNSSVASQRKNNGDDKTRKKKASERIPGYNLEIMTHHSKRYVALKVMYFGKRFYGFASEAQMDPTVESEIFKALEKTRLLVGDKKESLYSRCGRTDKGVSAVGQVIALYLRSNLKEADANDQTSGELISGTRTEGEIDYVRVLNRVLPNDIRILGWSPVSIDFNARFSCLAREYTYFFWRGNLNFPDMENAGKKFIGEHDFRNFCKMDAANVHNYRRRVTLFEISSSNMRFEGNQLCVIKVKGSAFLWHQVRCMVAVLFMIGQGVESIAVIDALLDTEKTPRKPQYAMAPEIPLVLQSCDFEDVNFICSSDSGQALRIHLENECQAYQLQSAIFHEALLSCLPLANGKSLLNEGTIKKVASHVPLLSRPTEPSYEERCAKLNSRR >EOY20131 pep chromosome:Theobroma_cacao_20110822:10:25014654:25024514:1 gene:TCM_045527 transcript:EOY20131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 2 MSNEVREIAGDVVAMMQSQLQSLQNRVKELEAENAKLSAQLSKCCCHKTEKMHNGSDVKCFNSSVASQRKNNGDDKTRKKKASERIPGYNLEIMTHHSKRYVALKVMYFGKRFYGFASEAQMDPTVESEIFKALEKTRLLVGDKKESLYSRCGRTDKGVSAVGQVIALYLRSNLKEADANDQTSGELISGTRTEGEIDYVRVLNRVLPNDIRILGWSPVSIDFNARFSCLAREYTYFFWRGNLNFPDMENAGKKFIGEHDFRNFCKMDAANVHNYRRRVTLFEISSSNMRFEGNQLCVIKVKGSAFLWHQVRCMVAVLFMIGQGVESIAVIDALLDTEKTPRKPQYAMAPEIPLVLQSCDFEDVNFICSSDSGQALRIHLENECQAYQLQSAIFHEALLSCLPLANGAETKFLPYISVKVLLFCLSVLICIAERTLTFSGKSLLNEGTIKKVASHVPLLSRPTEPSYEERCAKLNSRR >EOY19436 pep chromosome:Theobroma_cacao_20110822:10:17580949:17581825:-1 gene:TCM_044549 transcript:EOY19436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cwf21 MYNGIGLTTPRGSGSNGYVQGNKLFVKPKTNRLTVTTRPFEAGQGTAGLTTKKPDKDILEHDRKRQIELKLVILEDKLTEQGYTDSEIADKLVQARKALEAQDEEEGEVIPTPTHQKKVSDTQTHQVAARKEKQMETLRAALGIGIGLSESAAPCLSG >EOY17575 pep chromosome:Theobroma_cacao_20110822:10:226017:230920:1 gene:TCM_042369 transcript:EOY17575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein isoform 1 MTMWSAQMGVFSFEKNGICTSVSSQKPFPPSGFLNCWRPTCGVASKNSSKKKWSFALRVVDSGGGILEKELDFKPSFDEYLKTMESVREKKQSLKSNRGNSIEKSNRGKSKDDSRRKFGEEEKVSKVVEHNEVKMKSKEATRTRSRKALLVKGEDDDLKAETDEYKNFEGSNDVVDKPQVSRIKMEGRITKLANLGKYDSKSKSDEGDVRLMKFGEFSEEVKMSKIVKWNGVNTMNEGARRTRSRKAFLEEDEDDDLRMERSAFKNFEESNDVFDKPRASKMEMEERVQRLAKSLNGADIDMPEWMFSKMMRSAKIKFTDYCILRVIQALGKLGNWRRVLQVIEWLQMRERFKSYRLRHIYTTALDVLGKARRPVEALNIFHSMQQQMASYPDIVAYHSIAVTLGQAGHMRELFHVIDSMRSPPKKKFKTRIIGKWDPRLEPDIVVYNAVLNACAQRKQWEGAFWVLQQLKQQHLQLSATTYGLVMEVMFACGKYNLVHEFFRKIEKSSMPNALTYRVLVNTLWKEGKIDDAVLAVQGMEKRGIVGSAALYYDLARCLCSSGRCQEALMQQIEKICKVASKPLVVTYTGLIQACLDSGNIQNGAYIFNEMQNFCSPNLVTCNIMLKAYLDHRLFDQAKDLFQKMLEDANQISSKSDYLHRVIPDSYTFNIMLDACVQQKRWDEFERVYRKMLHHEFHFNAKRHLHMILDAARAGKGGTN >EOY17574 pep chromosome:Theobroma_cacao_20110822:10:225863:231104:1 gene:TCM_042369 transcript:EOY17574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein isoform 1 MTMWSAQMGVFSFEKNGICTSVSSQKPFPPSGFLNCWRPTCGVASKNSSKKKWSFALRVVDSGGGILEKELDFKPSFDEYLKTMESVREKKQSLKSNRGNSIEKSNRGKSKDDSRRKFGEEEKVSKVVEHNEVKMKSKEATRTRSRKALLVKGEDDDLKAETDEYKNFEGSNDVVDKPQVSRIKMEGRITKLANLGKYDSKSKSDEGDVRLMKFGEFSEEVKMSKIVKWNGVNTMNEGARRTRSRKAFLEEDEDDDLRMERSAFKNFEESNDVFDKPRASKMEMEERVQRLAKSLNGADIDMPEWMFSKMMRSAKIKFTDYCILRVIQALGKLGNWRRVLQVIEWLQMRERFKSYRLRHIYTTALDVLGKARRPVEALNIFHSMQQQMASYPDIVAYHSIAVTLGQAGHMRELFHVIDSMRSPPKKKFKTRIIGKWDPRLEPDIVVYNAVLNACAQRKQWEGAFWVLQQLKQQHLQLSATTYGLVMEVMFACGKYNLVHEFFRKIEKSSMPNALTYRVLVNTLWKEGKIDDAVLAVQGMEKRGIVGSAALYYDLARCLCSSGRCQEALMQIEKICKVASKPLVVTYTGLIQACLDSGNIQNGAYIFNEMQNFCSPNLVTCNIMLKAYLDHRLFDQAKDLFQKMLEDANQISSKSDYLHRVIPDSYTFNIMLDACVQQKRWDEFERVYRKMLHHEFHFNAKRHLHMILDAARAGKGELIETTWEHMARADRTPPLPLIKERFCMKLEKNDYISALSCITIHPLRELQAFSKSAWSNFFKDNASRFRKDIIVGLVDEVENILGRSDSPNPILHNLLTSSKEFLRTHWTSADANLTQTVCTVESTKPF >EOY18714 pep chromosome:Theobroma_cacao_20110822:10:4629956:4638790:1 gene:TCM_043211 transcript:EOY18714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHLPGRDSKFGGFSAYASILSLGRATVETDLLLLVVPMAHCNSSHRYRIYVSAQPNNVYQDDQSLESPLITFKCNIKMSYISDKPDKSIQSYIQGHDSWHDFEHHPANELTRDFISNMLANTRIIPFSLRNLYWKNQVYDQESVPLMSTDGVITSMLDVCDSMVSESRRKKFFLLVFIKKEVIMPHDEYLAMLKAKQAEEILHQLEDMVRLQAQGWRFRQADWENMANVIRQAGLGNSIRIALDLVRERATRESSEQQVVRLVPAAATSVQALEKVTCHSEEKCSVCLEEMLTGSQVTKMPCSHLFHGDCIIQWLKTSHICPVCRFKLPTT >EOY19322 pep chromosome:Theobroma_cacao_20110822:10:16422307:16423494:1 gene:TCM_044391 transcript:EOY19322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGWCLYNEVAYLHFHESLFEHDIVYSSHQQMDANPCLLVFMLVLGLGLGLVLSHTTHVVEANEAVSAPSSADANVPSFDFPYKFKAVSKRLKSNPFLPTPSFPKYYVHAWIPPKFPWKPPKFPWKPPRYPQKPPRSPQKPPRRKKYWNKSPPPPPPRL >EOY19324 pep chromosome:Theobroma_cacao_20110822:10:16422301:16423189:1 gene:TCM_044391 transcript:EOY19324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGWCLYNEVAYLHFHESLFEHDIVYSSHQQMDANPCLLVFMLVLGLGLGLVLSHTTHVVEANEAVSAPSSADANVPSFDFPYKFKAVSKRLKSNPFLPTPSFPKYYVHAWIPPKFPWKPPKFPWKPPRYPQKPPRSPQKPPRRKKYWNKSPPPPPPRL >EOY19323 pep chromosome:Theobroma_cacao_20110822:10:16422159:16423376:1 gene:TCM_044391 transcript:EOY19323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGWCLYNEVAYLHFHESLFEHDIVYSSHQQMDANPCLLVFMLVLGLGLGLVLSHTTHVVEANEAVSAPSSADANVPSFDFPYKFKAVSKRLKSNPFLPTPSFPKYYVHAWIPPKFPWKPPKFPWKPPRYPQKPPRSPQKPPRRKKYWNKSPPPPPPRL >EOY19309 pep chromosome:Theobroma_cacao_20110822:10:16310958:16311657:1 gene:TCM_044375 transcript:EOY19309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein DSPILFSSFLLSSSSSPTDPSGQPLSLNPLSLTWSPAPSPSPAWAFPAAVPSFFPFPLFFSSPSFCRQFPLFLKKPSQRCLLHSKNFINTSKSILSVSPTFCLSLSLIIHSISFI >EOY18315 pep chromosome:Theobroma_cacao_20110822:10:2923129:2926988:-1 gene:TCM_042909 transcript:EOY18315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKEHLDVPFGALPAMMKHSAERDSIGDVAIVHHLCEANSFVDALAKYGVDTDSMFAAWWSNDSWSDICDVV >EOY18368 pep chromosome:Theobroma_cacao_20110822:10:3219471:3221605:-1 gene:TCM_042971 transcript:EOY18368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDLEICVKAAADGPDVLGGCPFCQRVLLTLDEKWVPDTLHLVNLSNKPNVDFLSRVLCENSGCQGTRDCGMGA >EOY18367 pep chromosome:Theobroma_cacao_20110822:10:3220382:3221547:-1 gene:TCM_042971 transcript:EOY18367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 AADGPDVLGGCPFCQRVLLTLDEKWVPDTLHLVNLSNKPNGFGDKCRRKVPVVKFDEK >EOY18366 pep chromosome:Theobroma_cacao_20110822:10:3221039:3221574:-1 gene:TCM_042971 transcript:EOY18366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDLEICVKAAADGPDVLGGCPFCQRVLLTLDEKWVPDTLHLVNLSNKPNGLECSMQMFLEISAEGRYQW >EOY18701 pep chromosome:Theobroma_cacao_20110822:10:4582260:4582744:-1 gene:TCM_043202 transcript:EOY18701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease inhibitor MASDECKGKSSWPELVGKKGEDAAARIEKENPHVNAVIVLEGTFVTLEFLCTRVRVWVNTDGIVTRVPIIG >EOY17653 pep chromosome:Theobroma_cacao_20110822:10:461470:463758:1 gene:TCM_042422 transcript:EOY17653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEINSFTFLNPEDYYNTGSSWFQELDNGFNKKRKKEDESGDGGGNGFFQEGELNKRGYGDILASLLLLEEEAKQEQDQWTTESQQDKALFEFNHKRKVQAMNEYYNQLQQHYSEADHLDGLTAKRARKSASAMAATVAATSTAAGNDNVNVSNETGSGSGQQRRLWVKDRSKDWWDRCNHPDFPEEEFRKAFRMSKATFEMICQELEPAVTKKNTMLRDAIPVRQRVAVCIWRLATGEPLRLVSKRFGLGISTCHKLVLEVCSAIKSVMMPKHIQWPDDRKMKEIKQEFESTSGIPNIGGSIYTTHVPIIAPKVNVAAYFNRRHTERNQKTSYSITVQGVVDQKGIFTDVCIGWPGSMPDDKVLENSALYQRANKGVLKDVWIVGNSGYPLMDWVLVPYTHQNLTWAQHGFNEKIGEIQKVAKQAFARLKGRWSCLQKRTEVKLQELPMVLGACCVLHNICQMSNEEMEPELQFELFDDEMIPENNLRSAKAVHARDQIAHNLLHHGLGGTGFL >EOY20103 pep chromosome:Theobroma_cacao_20110822:10:24834919:24838569:1 gene:TCM_045495 transcript:EOY20103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome p450 79a2 MENTSSENVTFSLLSWGLLDFATSRLVSFHSTFLFVLLIISLSVVKAKGKASKRKSDQAPLPPGPTPWPIIGNLPEIWRKRPAFRWIHGLMKELHTDILCVRLASTHVVAVTSPAIAREFLKKNDSVFASRPVTMATEYSSRRFLTIAVVPWGDQWKKMRRVVTCEIVSASKLHSLLENRTEEADNLVRFIYNQCKSNGGDNSTASAVVNLRLAMRQYSGNVIRKMIFNRRYFGEGRKDGGPGYEEEEHVESLFTVLKHLYSFILSDYIPWLRSFDLEGHEKTVRKAMKIVNDYQDPLIDERVQEWREGKRTESEDLLDAFILAKDSNGKRALSIEEIKAQCAELMLATVDNPSNAVEWAMAEMINQPEILLKAVEEIDAVVGKERLVQEADIPKLNYVKACAREGFRLHPIAPFNLPHVSTADATVAGYFIPKGSHVLLSRYGLGRNPKVWDDPLKFNPERHLKDGSMCVGLTETDLRFISFSTGRRGCMGVALGTAMTVMLLARLLQGFTWRVPPNEANIDLSEAKDDLFMAKPLHALGQPRLPAHLYPAN >EOY17819 pep chromosome:Theobroma_cacao_20110822:10:989355:992926:-1 gene:TCM_042533 transcript:EOY17819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMGWIINYQASFGFDDDFKKERLPFVCIKTIIKRKYLSFIASNIMELVGQCGAHYDILFALKPLINTRFIILLFFPS >EOY19833 pep chromosome:Theobroma_cacao_20110822:10:23030494:23031077:1 gene:TCM_045196 transcript:EOY19833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle triple-A 1A MHVWLFDEVDAIGGARFDDGVGGDNEVPPTMLGIVNQLDGFDARGDIKVLMATNRPDTLDPALLRPGRLDCKVEYGLPDMESRTQIFKIRTRIMNCERYIRFELLARLGPNSTGANIRTVCKGWTICNTSNKEDEN >EOY19821 pep chromosome:Theobroma_cacao_20110822:10:22827536:22834979:-1 gene:TCM_045172 transcript:EOY19821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFHHIIDEISASKFLTISQIRQVKLKLYRLEMTERERLTTHEQNFNQIIEDLKKLGVKMGEEQKALMFVASLPKELAHAVKSKIRNEKKLTLARVQDAAGEVRE >EOY19561 pep chromosome:Theobroma_cacao_20110822:10:18778628:18780166:1 gene:TCM_044700 transcript:EOY19561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAADGPSNPPNHSFSVPPSLPMVAAPTPSPLEEGKLQPPPSHGFPQPIQTQIQPPTSPRFQKKSFLSIISKRKPPVVPPTRDPFVYKDRPAAAFFEDEIQTLAQPFKTSLVGKFSRMPKLLEVRSAFKGIGLAGAYEVRWLDYKHVLIHLSNEQDFNRIWTKQN >EOY18488 pep chromosome:Theobroma_cacao_20110822:10:3590109:3595183:-1 gene:TCM_043041 transcript:EOY18488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonneau 1b isoform 1 KKTIFIIICCTHFQHQRQAASFFVSSNTKRRRKRKLVFFLKSFLGNKQAMDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIEKEEGLPPALLGSCNDRAKQLHASPSGRLLTALICEYLDWAQLNHTLKVYLPECNMQKDFWKAELKDFSGKNAYDLNRNGDSGPLLLDVLEGFLKFENLSQAKGTGRRPQEIESSSSLESRNTRRPSSSTVAGGLPPLGRPVPVSQSSGEQDPPCLATGKMSTVGDMTMMISQKM >EOY18489 pep chromosome:Theobroma_cacao_20110822:10:3591440:3595183:-1 gene:TCM_043041 transcript:EOY18489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonneau 1b isoform 1 KKTIFIIICCTHFQHQRQAASFFVSSNTKRRRKRKLVFFLKSFLGNKQAMDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIEKEEGLPPALLGSCNDRAKQLHASPSGRLLTALICEYLDWAQLNHTLKVYLPECNMQKDFWKAELKDFSGKNAYDLNRNGDSGPLLLDVLEGFLKFENLSQAKGTGRRPQEIESSSSLESRNTRRPSSSTVAGGLPPLGRCWTIVTS >EOY18487 pep chromosome:Theobroma_cacao_20110822:10:3589933:3595308:-1 gene:TCM_043041 transcript:EOY18487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonneau 1b isoform 1 MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIEKEEGLPPALLGSCNDRAKQLHASPSGRLLTALICEYLDWAQLNHTLKVYLPECNMQKDFWKAELKDFSGKNAYDLNRNGDSGPLLLDVLEGFLKFENLSQAKGTGRRPQEIESSSSLESRNTRRPSSSTVAGGLPPLGRPVPVSQSSDRRAGSSMSGYRKDEYSWRYDNDDIPEDVIRASSALENLQLDRKARNLTTSWRHAGDGISEDDGRPDHM >EOY20042 pep chromosome:Theobroma_cacao_20110822:10:24529367:24532353:1 gene:TCM_045440 transcript:EOY20042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 1, putative MSAEPQDSPMEGVPGTPGIREVRPESGSENFGFCTETCRAPGGDPNPGIRRVNWRAEIDTSPPFGSVKEAVTRFGGSGPWVPLYKFGEAYHGIEEFDIKKVEEQAAELEKDLIVKELETLDVLEELGTTKRIVEELKRQLQNEALKCMTTPDLNSDEQHMPAPAIKEMNKEHYEQIRIGSSSPCLVSSPDLILMELKQAKLNLGVASLEEELKQVRVKPQIANNGNFENSPSILRPPLHNNSKPEQFKRMMEEAARAAEALALIEMNALTGMKGLSSNENSSGFSLPEPVPSPRTPKVQRAEEVSNRKAIHAMHKFAEENISKLAILRKLEEASEEVKHSKEVLEEALNRVEIASRKQLDAEEALRRWIPEPEQKKQVMYTATKINNFHPPHHPHQHLPRSPLHDLNNQNPTMDDEAKPVLRPTVSMRDILSRKQVTPEDCAVRRPNNEGHTERQKVALSQMLHELREDLTFPPKPDQKDHGDNPKQYFTQRRKFGFIHISLPLAKQSKKKPQALNTM >EOY18271 pep chromosome:Theobroma_cacao_20110822:10:2631971:2632974:1 gene:TCM_042862 transcript:EOY18271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MYVLSFKEKIITAAEFVVKDVQLIQHFEFDVPNDFLVMGKDWRSIKGNSLVRSKSTQKEVQVKVKAASIKESQTIYRCAAILISLFNMTTM >EOY18269 pep chromosome:Theobroma_cacao_20110822:10:2631339:2632985:1 gene:TCM_042862 transcript:EOY18269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MYVLSFKEKIITAAEFVVKDVQLIQHFEFDVPNDFLVMGKDWRSIKGNSLVRSKSTQKEVQVKVKAASIKESQTIYRCAAILISLFNMTTM >EOY18270 pep chromosome:Theobroma_cacao_20110822:10:2631393:2633074:1 gene:TCM_042862 transcript:EOY18270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MYVLSFKEKIITAAEFVVKDVQLIQVKHFEFDVPNDFLVMGKDWRSIKGNSLVRSKSTQKEVQVKAASIKESQTIYRCAAILISLFNMTTM >EOY18308 pep chromosome:Theobroma_cacao_20110822:10:2867502:2871766:1 gene:TCM_042901 transcript:EOY18308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPKIINNFFFMPRACLSVLPLVLFVFFWLLSLGSAASAEQFVKQRRDSRETLVHKTPTISRIN >EOY18795 pep chromosome:Theobroma_cacao_20110822:10:4991590:5009391:1 gene:TCM_043285 transcript:EOY18795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEPISVVTGGTNSLGADIMEEQSDKKTTDKTDLSQSKSAIRSRGYRAKKQKFEEEKNVEIERLRKENDAYKKLEEENKVKIEKLCKEIDAYKKIEEKNKVEIERLLEENATYKVSKIARLPEPTDQRVHYTRQLMKNMEEEQRSQLDRIEKAQEEMKDQLAKMIELMMNFNKGKRAVGDLAPAENQSIDNLRNDQPCLPRYAPSHAQTSQRVYPQMAPLVGGFPYAYYNFSPSLGPQQVQGQFGLNLGMNLTKPILVPDVDDLKEQERLKKNSLEIVENDNVKKKNDLLEERLCAVERVDRFETMDATELCLVPDVNLVEEMKRPRKEINTYQVELGWLRKKHKECKGKEYRRRTIKTLESLLVQNSCILIIWENTSSLEAKAQNAYSSMYA >EOY18799 pep chromosome:Theobroma_cacao_20110822:10:4991590:5009391:1 gene:TCM_043285 transcript:EOY18799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEPISVVTGGTNSLGADIMEEQSDKKTTDKTDLSQSKSAIRSRGYRAKKQKLEETNKVEIDRLRKLNDGHKKFEEEKNVEIERLRKENDAYKKLEEENKVKIEKLCKEIDAYKKIEEKNKVEIERLLEENATYKVSKIARLPEPTDQRVHYTRQLMKNMEEEQRSQLDRIEKAQEEMKDQLAKMIELMMNFNKGKRAVGDLAPAENQSIDNLRNDQPCLPRYAPSHAQTSQRVYPQMAPLVGGFPYAYYNFSPSLGPQQVQGQFGLNLGMNLTKPILVPDVDDLKEQERLKKNSLEIVENDNVKKKNDLLEERLCAVERVDRFETMDATELCLVPDVNLVEEMKRPRKEINTYQVELGWLRKKHKECKGKEYRRRTIKTLESLLVQNSCILIIWENTSSLEAKAQNAYSSMYA >EOY18794 pep chromosome:Theobroma_cacao_20110822:10:4990764:5008635:1 gene:TCM_043285 transcript:EOY18794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGQNLEGLNLMMQSNFQQRDGSSFTGDVQPSVPIIQNPRGQDWTWQSNLPPNAVPIFIEGPQLGGASLYQNTLQVPIIQNPGGQDWTWQSNLQPRHAHATNMEPISVVTGGTNSLGADIMEEQSDKKTTDKTDLSQSKSAIRSRGYRAKKQKLEETNKVEIDRLRKLNDGHKKFEEEKNVEIERLRKENDAYKKLEEENKVKIEKLCKEIDAYKKIEEKNKVEIERLLEENATYKVSKIARLPEPTDQRVHYTRQLMKNMEEEQRSQLDRIEKAQEEMKDQLAKMIELMMNFNKGKRAVGDLAPAENQSIDNLRNDQPCLPRYAPSHAQTSQRVYPQMAPLVGGFPYAYYNFSPSLGPQQVQGQFGLNLGMNLTKPILVPDVDDLKEQERLKKNSLEIVENDNVKKKNDLLEERLCAVERVDRFETMDATELCLVPDVNLVEEMKRPRKEINTYQVKFSTFKCYR >EOY18790 pep chromosome:Theobroma_cacao_20110822:10:4990683:5009324:1 gene:TCM_043285 transcript:EOY18790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGQNLEGLNLMMQSNFQQRDGSSFTGDVQPSVPIIQNPRGQDWTWQSNLPPNAVPIFIEGPQLGGASLYQNTLQVPIIQNPGGQDWTWQSNLQPRHAHATNMEPISVVTGGTNSLGADIMEEQSDKKTTDKTDLSQSKSAIRSRGYRAKKQKFEEEKNVEIERLRKENDAYKKLEEENKVKIEKLCKEIDAYKKIEEKNKVEIERLLEENATYKVSKIARLPEPTDQRVHYTRQLMKNMEEEQRSQLDRIEKAQEEMKDQLAKMIELMMNFNKGKRAVGDLAPAENQSIDNLRNDQPCLPRYAPSHAQTSQRVYPQMAPLVGGFPYAYYNFSPSLGPQQVQGQFGLNLGMNLTKPILVPDVDDLKEQERLKKNSLEIVENDNVKKKNDLLEERLCAVERVDRFETMDATELCLVPDVVIPVDLF >EOY18793 pep chromosome:Theobroma_cacao_20110822:10:4990771:5008635:1 gene:TCM_043285 transcript:EOY18793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGQNLEGLNLMMQSNFQQRDGSSFTGDVQPSGASQYQNTLQVNQVPIIQNPRGQDWTWQSNLPPNAVPIFIEGPQLGGASLYQNTLQVPIIQNPGGQDWTWQSNLQPRHAHATNMEPISVVTGGTNSLGADIMEEQSDKKTTDKTDLSQSKSAIRSRGYRAKKQKFEEEKNVEIERLRKENDAYKKLEEENKVKIEKLCKEIDAYKKIEEKNKVEIERLLEENATYKVSKIARLPEPTDQRVHYTRQLMKNMEEEQRSQLDRIEKAQEEMKDQLAKMIELMMNFNKGKRAVGDLAPAENQSIDNLRNDQPCLPRYAPSHAQTSQRVYPQMAPLVGGFPYAYYNFSPSLGPQQVQGQFGLNLGMNLTKPILVPDVDDLKEQERLKKNSLEIVENDNVKKKNDLLEERLCAVERVDRFETMDATELCLVPDVNLVEEMKRPRKEINTYQVKFSTFKCYR >EOY18802 pep chromosome:Theobroma_cacao_20110822:10:4991590:5009391:1 gene:TCM_043285 transcript:EOY18802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEPISVVTGGTNSLGADIMEEQSDKKTTDKTDLSQSKSAIRSRGYRAKKQKFEEEKNVEIERLRKENDAYKKLEEENKVKIEKLCKEIDAYKKIEEKNKVEIERLLEENATYKVSKIARLPEPTDQRVHYTRQLMKNMEEEQRSQLDRIEKAQEEMKDQLAKMIELMMNFNKGKRAVGDLAPAENQSIDNLRNDQPCLPRYAPSHAQTSQRVYPQMAPLVGGFPYAYYNFSPSLGPQQVQGQFGLNLGMNLTKPILVPDVDDLKEQERLKKNSLEIVENDNVKKKNDLLEERLCAVERVDRFETMDATELCLVPDVNLVEEMKRPRKEINTYQVELGWLRKKHKECKGKEYRRRTIKTLESLLVQNSCILIIWENTSSLEAKAQNAYSSMYA >EOY18792 pep chromosome:Theobroma_cacao_20110822:10:4990683:5009324:1 gene:TCM_043285 transcript:EOY18792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGQNLEGLNLMMQSNFQQRDGSSFTGDVQPSVPIIQNPRGQDWTWQSNLPPNAVPIFIEGPQLGGHAHATNMEPISVVTGGTNSLGADIMEEQSDKKTTDKTDLSQSKSAIRSRGYRAKKQKLEETNKVEIDRLRKLNDGHKKFEEEKNVEIERLRKENDAYKKLEEENKVKIEKLCKEIDAYKKIEEKNKVEIERLLEENATYKVSKIARLPEPTDQRVHYTRQLMKNMEEEQRSQLDRIEKAQEEMKDQLAKMIELMMNFNKGKRAVGDLAPAENQSIDNLRNDQPCLPRYAPSHAQTSQRVYPQMAPLVGGFPYAYYNFSPSLGPQQVQGQFGLNLGMNLTKPILVPDVDDLKEQERLKKNSLEIVENDNVKKKNDLLEERLCAVERVDRFETMDATELCLVPDVVIPVDLF >EOY18796 pep chromosome:Theobroma_cacao_20110822:10:4990764:5008635:1 gene:TCM_043285 transcript:EOY18796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGQNLEGLNLMMQSNFQQRDGSSFTGDVQPSVPIIQNPRGQDWTWQSNLPPNAVPIFIEGPQLGGASLYQNTLQVPIIQNPGGQDWTWQSNLQPRHAHATNMEPISVVTGGTNSLGADIMEEQSDKKTTDKTDLSQSKSAIRSRGYRAKKQKFEEEKNVEIERLRKENDAYKKLEEENKVKIEKLCKEIDAYKKIEEKNKVEIERLLEENATYKVSKIARLPEPTDQRVHYTRQLMKNMEEEQRSQLDRIEKAQEEMKDQLAKMIELMMNFNKGKRAVGDLAPAENQSIDNLRNDQPCLPRYAPSHAQTSQRVYPQMAPLVGGFPYAYYNFSPSLGPQQVQGQFGLNLGMNLTKPILVPDVDDLKEQERLKKNSLEIVENDNVKKKNDLLEERLCAVERVDRFETMDATELCLVPDVNLVEEMKRPRKEINTYQVKFSTFKCYR >EOY18791 pep chromosome:Theobroma_cacao_20110822:10:4990771:5008635:1 gene:TCM_043285 transcript:EOY18791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGQNLEGLNLMMQSNFQQRDGSSFTGDVQPSGASQYQNTLQVNQVPIIQNPRGQDWTWQSNLPPNAVPIFIEGPQLGGASLYQNTLQVPIIQNPGGQDWTWQSNLQPRHAHATNMEPISVVTGGTNSLGADIMEEQSDKKTTDKTDLSQSKSAIRSRGYRAKKQKLEETNKVEIDRLRKLNDGHKKFEEEKNVEIERLRKENDAYKKLEEENKVKIEKLCKEIDAYKKIEEKNKVEIERLLEENATYKVSKIARLPEPTDQRVHYTRQLMKNMEEEQRSQLDRIEKAQEEMKDQLAKMIELMMNFNKGKRAVGDLAPAENQSIDNLRNDQPCLPRYAPSHAQTSQRVYPQMAPLVGGFPYAYYNFSPSLGPQQVQGQFGLNLGMNLTKPILVPDVDDLKEQERLKKNSLEIVENDNVKKKNDLLEERLCAVERVDRFETMDATELCLVPDVNLVEEMKRPRKEINTYQVKFSTFKCYR >EOY18798 pep chromosome:Theobroma_cacao_20110822:10:4990879:5008573:1 gene:TCM_043285 transcript:EOY18798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMQSNFQQRDGSSFTGDVQPSVPIIQNPRGQDWTWQSNLPPNAVPIFIEGPQLGGASLYQNTLQVPIIQNPGGQDWTWQSNLQPRHAHATNMEPISVVTGGTNSLGADIMEEQSDKKTTDKTDLSQSKSAIRSRGYRAKKQKFEEEKNVEIERLRKENDAYKKLEEENKVKIEKLCKEIDAYKKIEEKNKVEIERLLEENATYKVSKIARLPEPTDQRVHYTRQLMKNMEEEQRSQLDRIEKAQEEMKDQLAKMIELMMNFNKGKRAVGDLAPAENQSIDNLRNDQPCLPRYAPSHAQTSQRVYPQMAPLVGGFPYAYYNFSPSLGPQQVQGQFGLNLGMNLTKPILVPDVDDLKEQERLKKNSLEIVENDNVKKKNDLLEERLCAVERVDRFETMDATELCLVPDVVIPVDLF >EOY18801 pep chromosome:Theobroma_cacao_20110822:10:4991590:5009391:1 gene:TCM_043285 transcript:EOY18801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEPISVVTGGTNSLGADIMEEQSDKKTTDKTDLSQSKSAIRSRGYRAKKQKFEEEKNVEIERLRKENDAYKKLEEENKVKIEKLCKEIDAYKKIEEKNKVEIERLLEENATYKVSKIARLPEPTDQRVHYTRQLMKNMEEEQRSQLDRIEKAQEEMKDQLAKMIELMMNFNKGKRAVGDLAPAENQSIDNLRNDQPCLPRYAPSHAQTSQRVYPQMAPLVGGFPYAYYNFSPSLGPQQVQGQFGLNLGMNLTKPILVPDVDDLKEQERLKKNSLEIVENDNVKKKNDLLEERLCAVERVDRFETMDATELCLVPDVNLVEEMKRPRKEINTYQVELGWLRKKHKECKGKEYRRRTIKTLESLLVQNSCILIIWENTSSLEAKAQNAYSSMYA >EOY18800 pep chromosome:Theobroma_cacao_20110822:10:4993010:5008404:1 gene:TCM_043285 transcript:EOY18800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEPISVVTGGTNSLGADIMEEQSDKKTTDKTDLSQSKSAIRSRGYRAKKQKFEEEKNVEIERLRKENDAYKKLEEENKVKIEKLCKEIDAYKKIEEKNKVEIERLLEENATYKVSKIARLPEPTDQRVHYTRQLMKNMEEEQRSQLDRIEKAQEEMKDQLAKMIELMMNFNKGKRAVGDLAPAENQSIDNLRNDQPCLPRYAPSHAQTSQRVYPQMAPLVGGFPYAYYNFSPSLGPQQVQGQFGLNLGMNLTKPILVPDVDDLKEQERLKKNSLEIVENDNVKKKNDLLEERLCAVERVDRFETMDATELCLVPDVVIPVDLF >EOY18797 pep chromosome:Theobroma_cacao_20110822:10:4992759:5009391:1 gene:TCM_043285 transcript:EOY18797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEPISVVTGGTNSLGADIMEEQSDKKTTDKTDLSQSKSAIRSRGYRAKKQKLEETNKVEIDRLRKLNDGHKKFEEEKNVEIERLRKENDAYKKLEEENKVKIEKLCKEIDAYKKIEEKNKVEIERLLEENATYKVSKIARLPEPTDQRVHYTRQLMKNMEEEQRSQLDRIEKAQEEMKDQLAKMIELMMNFNKGKRAVGDLAPAENQSIDNLRNDQPCLPRYAPSHAQTSQRVYPQMAPLVGGFPYAYYNFSPSLGPQQVQGQFGLNLGMNLTKPILVPDVDDLKEQERLKKNSLEIVENDNVKKKNDLLEERLCAVERVDRFETMDATELCLVPDVNLVEEMKRPRKEINTYQVELGWLRKKHKECKGKEYRRRTIKTLESLLVQNSCILIIWENTSSLEAKAQNAYSSMYA >EOY17665 pep chromosome:Theobroma_cacao_20110822:10:510996:511896:-1 gene:TCM_042433 transcript:EOY17665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIQESIGSKKTGFFFLNKTTCLKPVAAHVNFRISILEVCMSKVAVAAAAAVAALSPSSNLQSFQPLNINNNILRKALLAWKYFLSFFLSLSSCRQEPRVGGATTWFSEQIPAVSTVKKSA >EOY17996 pep chromosome:Theobroma_cacao_20110822:10:1710203:1711252:-1 gene:TCM_042672 transcript:EOY17996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVCSANEFLQLDCLDIWYLKELEEWQIEEGAMPRLQSLSLVWVSNLRSLPEGLRYITALQEMKLYKMKRSLVERIQVIDGREGEDFSNVRHIPSIQID >EOY18232 pep chromosome:Theobroma_cacao_20110822:10:2449747:2454867:1 gene:TCM_042828 transcript:EOY18232 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate dehydrogenase, E1 component isoform 5 MGWFRAGSSVAKLASRRTLSQGGLYTARSRIVPSQNHYFHTTVFKSKAQSAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEADPDSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPALYGFTEADLDREFFLGVWRMSGFLSENRPVQTLRSILTRLEQAYCGSIGFEYMNIADREKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDVDRTKNMAVLIHGDGSFAGQGVVYETLHLSALANYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALQIYQNKLLESGQVMKEDIGWISEKVSKILNEEFLASKDYVPKRRDWLSAYWTGFKSPEQLSRVRNTGVKPEILKNVGKAITTLPDNFKPHRAVKKVYDQRAQMIETGEGLDWAIGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVLHDQETGEQYCPLDHVIINQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVMWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERYLLMSGDNPFVIPEMDPTLRTQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMSPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNMHSDLEEGIRRLVLCSGKVYYELDDERKKNKATDVAICRVEQLCPFPYDLIQRELKRYPSM >EOY18234 pep chromosome:Theobroma_cacao_20110822:10:2449862:2454867:1 gene:TCM_042828 transcript:EOY18234 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate dehydrogenase, E1 component isoform 5 MGWFRAGSSVAKLASRRTLSQGGLYTARSRIVPSQNHYFHTTVFKSKAQSAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEADPDSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPALYGFTEADLDREFFLGVWRMSGFLSENRPVQTLRSILTRLEQAYCGSIGFEYMNIADREKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDVDRTKNMAVLIHGDGSFAGQGVVYETLHLSALANYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALQIYQNKLLESGQVMKEDIGWISEKVSKILNEEFLASKDYVPKRRDWLSAYWTGFKSPEQLSRVRNTGVKPEILKNVGKAITTLPDNFKPHRAVKKVYDQRAQMIETGEGLDWAIGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVLHDQETGEQYCPLDHVIINQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVMWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERYLLMSGDNPFVIPEMDPTLRTQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMSPKNLLRHKAMSLMMRERRTRLLMLLYVVWSSFARSLMTSSSESLSDIQVCNTNSDWNLFFSTHGN >EOY18230 pep chromosome:Theobroma_cacao_20110822:10:2448802:2456285:1 gene:TCM_042828 transcript:EOY18230 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate dehydrogenase, E1 component isoform 5 MGWFRAGSSVAKLASRRTLSQGGLYTARSRIVPSQNHYFHTTVFKSKAQSAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEADPDSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPALYGFTEADLDREFFLGVWRMSGFLSENRPVQTLRSILTRLEQAYCGSIGFEYMNIADREKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDVDRTKNMAVLIHGDGSFAGQGVVYETLHLSALANYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALQIYQNKLLESGQVMKEDIGWISEKVSKILNEEFLASKDYVPKRRDWLSAYWTGFKSPEQLSRVRNTGVKPEILKNVGKAITTLPDNFKPHRAVKKVYDQRAQMIETGEGLDWAIGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVLHDQETGEQYCPLDHVIINQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVMWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERYLLMSGDNPFVIPEMDPTLRTQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMSPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNMHSDLEEGIRRLVLCSGKVYYELDDERKKNKATDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAFSYIAPRLATSMQALGRGTFEDIKYVGRAPSASTATGFYVVHVKEQTELVQKAIQPEPIKFHAPV >EOY18231 pep chromosome:Theobroma_cacao_20110822:10:2450799:2453620:1 gene:TCM_042828 transcript:EOY18231 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate dehydrogenase, E1 component isoform 5 MGWFRAGSSVAKLASRRTLSQGGLYTARSRIVPSQNHYFHTTVFKSKAQSAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEADPDSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPALYGFTEADLDREFFLGVWRMSGFLSENRPVQTLRSILTRLEQAYCGSIGFEYMNIADREKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDVDRTKNMAVLIHGDGSFAGQGVVYETLHLSALANYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALQIYQNKLLESGQVMKEDIGWISEKVSKILNEEFLASKDYVPKRRDWLSAYWTGFKSPEQLSRVRNTGVKPEILKNVGKAITTLPDNFKPHRAVKKVYDQRAQMIETGEGLDWAIGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVLHDQETGEQYCPLDHVIINQNEEMFTVSNRVWCARI >EOY18233 pep chromosome:Theobroma_cacao_20110822:10:2449862:2455782:1 gene:TCM_042828 transcript:EOY18233 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate dehydrogenase, E1 component isoform 5 MGWFRAGSSVAKLASRRTLSQGGLYTARSRIVPSQNHYFHTTVFKSKAQSAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEADPDSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPALYGFTEADLDREFFLGVWRMSGFLSENRPVQTLRSILTRLEQAYCGSIGFEYMNIADREKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDVDRTKNMAVLIHGDGSFAGQGVVYETLHLSALANYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALQIYQNKLLESGQVMKEDIGWISEKVSKILNEEFLASKDYVPKRRDWLSAYWTGFKSPEQLSRVRNTGVKPEILKNVGKAITTLPDNFKPHRAVKKVYDQRAQMIETGEGLDWAIGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVLHDQETGEQYCPLDHVIINQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVMWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERYLLMSGDNPFVIPEMDPTLRTQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMSPKNLLRHKAMSLMMRERRTRLLMLLYVVWSSFARSLMTSSSESLSDIQRLFGAKKNQ >EOY18236 pep chromosome:Theobroma_cacao_20110822:10:2449862:2455273:1 gene:TCM_042828 transcript:EOY18236 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate dehydrogenase, E1 component isoform 5 MGWFRAGSSVAKLASRRTLSQGGLYTARSRIVPSQNHYFHTTVFKSKAQSAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEADPDSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPALYGFTEADLDREFFLGVWRMSGFLSENRPVQTLRSILTRLEQAYCGSIGFEYMNIADREKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDVDRTKNMAVLIHGDGSFAGQGVVYETLHLSALANYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALQIYQNKLLESGQVMKEDIGWISEKVSKILNEEFLASKDYVPKRRDWLSAYWTGFKSPEQLSRVRNTGVKPEILKNVGKAITTLPDNFKPHRAVKKVYDQRAQMIETGEGLDWAIGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVLHDQETGEQYCPLDHVIINQNEEMFTVSKKTCFVTRTANQIFLNLMMSKATLVLINKEPDLSAS >EOY18229 pep chromosome:Theobroma_cacao_20110822:10:2449999:2456065:1 gene:TCM_042828 transcript:EOY18229 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate dehydrogenase, E1 component isoform 5 MGWFRAGSSVAKLASRRTLSQGGLYTARSRIVPSQNHYFHTTVFKSKAQSAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEADPDSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPALYGFTEADLDREFFLGVWRMSGFLSENRPVQTLRSILTRLEQAYCGSIGFEYMNIADREKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDVDRTKNMAVLIHGDGSFAGQGVVYETLHLSALANYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALQIYQNKLLESGQVMKEDIGWISEKVSKILNEEFLASKDYVPKRRDWLSAYWTGFKSPEQLSRVRNTGVKPEILKNVGKAITTLPDNFKPHRAVKKVYDQRAQMIETGEGLDWAIGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVLHDQETGEQYCPLDHVIINQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVMWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERYLLMSGDNPFVIPEMDPTLRTQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMSPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNMHSDLEEGIRRLVLCSGKVYYELDDERKKNKATDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAFSYIAPRLATSMQALGRGTFEDIKYVGRAPSASTATGFYVVHVKEQTELVQKAIQPEPIKFHAPV >EOY18235 pep chromosome:Theobroma_cacao_20110822:10:2449747:2455424:1 gene:TCM_042828 transcript:EOY18235 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate dehydrogenase, E1 component isoform 5 MGWFRAGSSVAKLASRRTLSQGGLYTARSRIVPSQNHYFHTTVFKSKAQSAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEADPDSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPALYGFTEADLDREFFLGVWRMSGFLSENRPVQTLRSILTRLEQAYCGSIGFEYMNIADREKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDVDRTKNMAVLIHGDGSFAGQGVVYETLHLSALANYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSALQIYQNKLLESGQVMKEDIGWISEKVSKILNEEFLASKDYVPKRRDWLSAYWTGFKSPEQLSRVRNTGVKPEILKNVGKAITTLPDNFKPHRAVKKVYDQRAQMIETGEGLDWAIGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVLHDQETGEQYCPLDHVIINQNEEMFTVSKKTCFVTRTANQIFLNLMMSKATLVLINKEPDLSAS >EOY19884 pep chromosome:Theobroma_cacao_20110822:10:23505569:23507281:-1 gene:TCM_045269 transcript:EOY19884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2, putative MQRHLLVAAVLTTLSLLVSSQTDYPDFFYKLSLQWPPSVCATSQCRTQIPGTFTVHGLWPQSVEDDKPIPPYNKKKCTDDRPKAPDQILVELQPIQGKLKDLWPSILTQKTNEEFWQHEWEYHGMCSDYPDKPYNYFDAALVLATTYKPLEGTEVKPRQDPYKAIEIREAIKAKLGKYPEISCGKVSNTLQLKEIRLCFERAKPPVVLRDCPTKYSNKCSDGNNQVKFPPATSGVLEFQSM >EOY18067 pep chromosome:Theobroma_cacao_20110822:10:1962767:1967232:1 gene:TCM_042720 transcript:EOY18067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio 2 isoform 2 MMSMLKNPDFTEDLGKLIRDQKHQDGATDSISSDLEKELNIYRSGSAPPTVEGSLNSIGGLFNSKGGILSEEELRADPAYVNYYYSNGNLNPRLPPPLLSREDWRFAQRLQGGNGNNGNNGSDENRSLFAVQPGFGEEEENGGGGSGVKWGGGDGLIGLPGLGGLGTRQKSIAEIFQDDINHVTNASRHPSRPASRNAFDDGNGSSEAQFANLHHELTSVDALRSSANKPGMPSVQNVGSSASHTYASALGLSLSRSTTPDPQLAARAPSPRIPPIGGRSSSMDKRSVTGSNSFNGVSSNSFNGISASVGESAELVAALSGLNLSTNGVIDKENHSRSQAHHGIDDNQNLINRQVDQKHIKQNSYLNKLEPEHFHSHSIAQSAKGPYLNMGKSSGVGMDFKKSSLMADGQVELRKSANSYSKGSSTPTVNGAGSPPNHQNLDNMNSPFPNYGLSGFSINPSSPPMMGNQLGTGSLPPLFENVAALSAMGGTGLESRALAGGLAMNPNLMAAAVELQNLSRLGNHNSGNALQAPLLDPLYLQYLRSNELAAAQVAALNDAMVDREYSGNSYMDLLGIQKAYLGALLSPQKSYYGNPALALGMSYPGSPLAGPLFPSSAVGSGSPVRHSERNMRFASGLRNVPGGVMGAWHSEAAGNLDESFASSLLDEFKSNKTKCFELSEIAGHVVEFSFLSMDLHPK >EOY18064 pep chromosome:Theobroma_cacao_20110822:10:1962796:1970460:1 gene:TCM_042720 transcript:EOY18064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio 2 isoform 2 MMSMLKNPDFTEDLGKLIRDQKHQDGATDSISSDLEKELNIYRSGSAPPTVEGSLNSIGGLFNSKGGILSEEELRADPAYVNYYYSNGNLNPRLPPPLLSREDWRFAQRLQGGNGNNGNNGSDENRSLFAVQPGFGEEEENGGGGSGVKWGGGDGLIGLPGLGGLGTRQKSIAEIFQDDINHVTNASRHPSRPASRNAFDDGNGSSEAQFANLHHELTSVDALRSSANKPGMPSVQNVGSSASHTYASALGLSLSRSTTPDPQLAARAPSPRIPPIGGRSSSMDKRSVTGSNSFNGVSSNSFNGISASVGESAELVAALSGLNLSTNGVIDKENHSRSQAHHGIDDNQNLINRQVDQKHIKQNSYLNKLEPEHFHSHSIAQSAKGPYLNMGKSSGVGMDFKKSSLMADGQVELRKSANSYSKGSSTPTVNGAGSPPNHQNLDNMNSPFPNYGLSGFSINPSSPPMMGNQLGTGSLPPLFENVAALSAMGGTGLESRALAGGLAMNPNLMAAAVELQNLSRLGNHNSGNALQAPLLDPLYLQYLRSNELAAAQVAALNDAMVDREYSGNSYMDLLGIQKAYLGALLSPQKSYYGNPALALGMSYPGSPLAGPLFPSSAVGSGSPVRHSERNMRFASGLRNVPGGVMGAWHSEAAGNLDESFASSLLDEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATIEEKNMVFHEIMPQALSLMTDVFGNYVIQKFFEHGSASQIRELADQLTGHVLTLSLQMYGCRVIQKAIEVVELDQKTRMVKELDGHVMRCVRDQNGNHVIQKCIECVPEDAIQFIVSTFYDQVVTLSTHPYGCRVIQRVLEHCHEAKTQHIMMDEILQSVCMLAQDQYGNYVVQHVLEHGKPHERSAIIKKLTGQIVQMSQQKFASNVIEKCLTFGTPVERQNLVDEMLGSTDENEPLQVMMKDQFANYVVQKVLETCDDQQLELILNRIKVHLNALKKYTYGKHIVARVEKLVAAGERRISILTPNPAA >EOY18066 pep chromosome:Theobroma_cacao_20110822:10:1962767:1967046:1 gene:TCM_042720 transcript:EOY18066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio 2 isoform 2 MMSMLKNPDFTEDLGKLIRDQKHQDGATDSISSDLEKELNIYRSGSAPPTVEGSLNSIGGLFNSKGGILSEEELRADPAYVNYYYSNGNLNPRLPPPLLSREDWRFAQRLQGGNGNNGNNGSDENRSLFAVQPGFGEEEENGGGGSGVKWGGGDGLIGLPGLGGLGTRQKSIAEIFQDDINHVTNASRHPSRPASRNAFDDGNGSSEAQFANLHHELTSVDALRSSANKPGMPSVQNVGSSASHTYASALGLSLSRSTTPDPQLAARAPSPRIPPIGGRSSSMDKRSVTGSNSFNGVSSNSFNGISASVGESAELVAALSGLNLSTNGVIDKENHSRSQAHHGIDDNQNLINRQVDQKHIKQNSYLNKLEPEHFHSHSIAQSAKGPYLNMGKSSGVGMDFKKSSLMADGQVELRKSANSYSKGSSTPTVNGAGSPPNHQNLDNMNSPFPNYGLSGFSINPSSPPMMGNQLGTGSLPPLFENVAALSAMGGTGLESRALAGGLAMNPNLMAAAVELQNLSRLGNHNSGNALQAPLLDPLYLQYLRSNELAAAQVAALNDAMVDREYSGNSYMDLLGIQKAYLGALLSPQKSYYGNPALALGMSYPGSPLAGPLFPSSAVGSGSPVRHSERNMRFASGLRNVPGGVMGAWHSEAAEIAGHVVEFSADQYGSRFIQQKLETATIEEKNMVFHEIMPQALSLMTDVFGNYVIQK >EOY18065 pep chromosome:Theobroma_cacao_20110822:10:1963782:1970415:1 gene:TCM_042720 transcript:EOY18065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio 2 isoform 2 MPSVQNVGSSASHTYASALGLSLSRSTTPDPQLAARAPSPRIPPIGGRSSSMDKRSVTGSNSFNGVSSNSFNGISASVGESAELVAALSGLNLSTNGVIDKENHSRSQAHHGIDDNQNLINRQVDQKHIKQNSYLNKLEPEHFHSHSIAQSAKGPYLNMGKSSGVGMDFKKSSLMADGQVELRKSANSYSKGSSTPTVNGAGSPPNHQNLDNMNSPFPNYGLSGFSINPSSPPMMGNQLGTGSLPPLFENVAALSAMGGTGLESRALAGGLAMNPNLMAAAVELQNLSRLGNHNSGNALQAPLLDPLYLQYLRSNELAAAQVAALNDAMVDREYSGNSYMDLLGIQKAYLGALLSPQKSYYGNPALALGMSYPGSPLAGPLFPSSAVGSGSPVRHSERNMRFASGLRNVPGGVMGAWHSEAAEFFEHGSASQIRELADQLTGHVLTLSLQMYGCRVIQKAIEVVELDQKTRMVKELDGHVMRCVRDQNGNHVIQKCIECVPEDAIQFIVSTFYDQVVTLSTHPYGCRVIQRVLEHCHEAKTQHIMMDEILQSVCMLAQDQYGNYVVQHVLEHGKPHERSAIIKKLTGQIVQMSQQKFASNVIEKCLTFGTPVERQNLVDEMLGSTDENEPLQVMMKDQFANYVVQKVLETCDDQQLELILNRIKVHLNALKKYTYGKHIVARVEKLVAAGERRISILTPNPAA >EOY18151 pep chromosome:Theobroma_cacao_20110822:10:2192233:2194119:1 gene:TCM_042768 transcript:EOY18151 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein, putative MGILLLATFLHLVTSATALGVNYGMIADNLPSPYEVANFIKTKTIFDSVKIFDTNPDVLRAFANTDISVTVTVANGQIPSLTNVRAARRWVNNHIRPFYPQTKIKYISVGNEILLFNVQDQINNLVPAMKSLHLALAKAGIRGIKVTTAHALNIFNGDSVPSLARFRQDYAKSFFAPLLLFLRRTKSPFMINPYPYFELNAMGNKLDYALFKRNPGLFDKHSGKTYTNALDALLDKTHSAMSAIGCGDVDIVIGETGWPSQGDGGNLVATVGNALSYNGNLVREILSGNGTPLMPNRRFETYIFALFNENQKPGPLAERNWGLFRPDFTPVYNVGVLRNGQPMPRPAIRAPSSKKFCVPKPGVTDAQLQSNLDYACSQGANCSPIQPGGPCAQPGTVRSRATFAMNSYYRNKGQADNACDFSGTAQITTADPSYGNCHYT >EOY19648 pep chromosome:Theobroma_cacao_20110822:10:19459995:19466537:1 gene:TCM_044785 transcript:EOY19648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide adenylyltransferase family protein MASKGLRATYTIRALDCLMDCASVDGTSIDWPTTFTATSNPTYQNQSLPSPFLTCGAAMATAACNPVKEQIELTETERKIFDRLLNTLRYFNLQTQLRVAGGWVRDKLLGKECCDIDIALDNMLGSEFVYKVREYLSSIGEEAQGLAVIPSNPEQSKHLETARMRLFDLWIDFVNLRCEDYSENSHIPRRKFGTAEEDAYRRDLTINSLFYNININLVEDFTKRGIEDLKFGRIVTPLPPKETFSDDPLRVLRAIRFATRFGFALDEELKKAAACADVKTALADKISRERIGTEIDLMISCNQPVQAVDYICDLTFFWVVFNLPPGVQPAVSEGCYRLSAAYLDATWKLLQRIGCSSFSDEQRRLALYSALFLPLRNATYKDRKAKKIPAVNYIFKDSLKRKASDAETVINIHKSLGKFLSLIPCLLSNDDMQLTELDWGREFVHVPVSSKLRVLTGFLLREIKDFWRVALLMSTLLYPTDIDCTQDDGDKQFQLDKRKKLFVSVENAVVKLGLEKVWDIKPLVNGKDIMNVLQLRVGGPLVSEWHQKVLAWQLGHPSGTAEECLDWMRERCSNCLRLS >EOY19280 pep chromosome:Theobroma_cacao_20110822:10:15884103:15886304:1 gene:TCM_044325 transcript:EOY19280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFNEMALVVGKDMATGNLAKSFADIDFQTNTKADAMPIDLDKVVDKKMRESQSFLSMGTSLSQSRRKR >EOY18428 pep chromosome:Theobroma_cacao_20110822:10:3477281:3485396:1 gene:TCM_043023 transcript:EOY18428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-1,5 bisphosphate carboxylase/oxygenase large subunit N-methyltransferase, chloroplast, putative isoform 2 MLQGGHRLSKLWRSPTTPLFNLSHRLLIGFKFSSLSQAKELDSLDEEFEEFLPWLERKAGVKISSVLSIGKSAYGRSLFASEIIRSGDCILKVPYSVQIAPDNLLPKIKAALSNKVGTVAKLAIILLVEQKMGQDSEWASYISCLPQHGEIHSTIFWSEYELDMIRQSSVYQETMNQKSKIEEDFAAVVPALEQFPEIFESFTLKDFMRAYFLVTSRAWESTKGLSLIPFTDFMNHDGVSDSIVLFDEDKKLSEVIADRNYAPGQEVLINYGNFPNATLLLDFGFTLPYNIHDQVQINFNIPYHDSLREMKLELLQQHSTPKIKDAINCSEDTFIIKEVRSPRGKGKGLPQSLRAFARVLCCTSPDELSDLAMEAAQIDGRLARRPLKDSRRELLAHQMLLSHITHLMQKYDVAIKSLAPANFPSMSKKFALRRQMAQDLLTGDLRVLKSASTWLNNYCAALRATIHCQSQ >EOY18429 pep chromosome:Theobroma_cacao_20110822:10:3477384:3485342:1 gene:TCM_043023 transcript:EOY18429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-1,5 bisphosphate carboxylase/oxygenase large subunit N-methyltransferase, chloroplast, putative isoform 2 MAGRSLFASEIIRSGDCILKVPYSVQIAPDNLLPKIKAALSNKVGTVAKLAIILLVEQKMGQDSEWASYISCLPQHGEIHSTIFWSEYELDMIRQSSVYQETMNQKSKIEEDFAAVVPALEQFPEIFESFTLKDFMRAYFLVTSRAWESTKGLSLIPFTDFMNHDGVSDSIVLFDEDKKLSEVIADRNYAPGQEVLINYGNFPNATLLLDFGFTLPYNIHDQVQINFNIPYHDSLREMKLELLQQHSTPKIKDAINCSEDTFIIKEVRSPRGKGKGLPQSLRAFARVLCCTSPDELSDLAMEAAQIDGRLARRPLKDSRRELLAHQMLLSHITHLMQKYDVAIKSLAPANFPSMSKKFALRRQMAQDLLTGDLRVLKSASTWLNNYCAALRATIHCQSQ >EOY18775 pep chromosome:Theobroma_cacao_20110822:10:4874563:4879799:1 gene:TCM_043269 transcript:EOY18775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 4 MKCNNFEGGNPPKYPQDLKSSAYWPASEQSKARKMGALSSLHHLSTSLSLLTRPSLSLPPFLRPIRALPPITSSSSLQFNITFAPPNPKLKPRTPPNLKNDVVLDDSESPPLPSNGQLFIPWIVRGEDGNLKLQAHPPARLIHALADAKTQKPKKKVDKAVKKKKEISAVGNASVEPPKLSKAARRFYNENFTEPPQRLSKVLAAAGVASRRGSEELIFDGKVTVNGSVCNAPQTRVDPAKDIIYVNGSRLPKKLPPKIYLALNKPKGYICSSGEKEFKSVLDLFEDYLKRWDKMNRGSPKPRLFTVGRLDVATTGLIIVTNDGDFAQKLSHPSSNLNKEYIATIDGEVKKRHLIAISEGTEIEGIHCIPDSVG >EOY18774 pep chromosome:Theobroma_cacao_20110822:10:4874744:4879228:1 gene:TCM_043269 transcript:EOY18774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 4 LLTRPSLSLPPFLRPIRALPPITSSSSLQFNITFAPPNPKLKPRTPPNLKNDVVLDDSESPPLPSNGQLFIPWIVRGEDGNLKLQAHPPARLIHALADAKTQKPKKKVDKAVKKKKEISAVGNASVEPPKLSKAARRFYNENFTEPPQRLSKVLAAAGVASRRGSEELIFDGKVTVNGSVCNAPQTRVDPAKDIIYVNGSRLPKKLPPKIYLALNKPKGYICSSGEKEFKSVLDLFEDYLKRWDKMNRGSPKPRLFTVGRLDVATTGLIIVTNDGDFAQKLSHPSSNLNKEYIATIDGEVKKRHLIAISEGTEIEGIHCIPDSVELLPRQPDLSRPRLRIVVHEGRNHEVRELVKNAGLEIHSLKRVRIGGFRLPADLGLGKHVELKQSDLRAMGWKS >EOY18773 pep chromosome:Theobroma_cacao_20110822:10:4874528:4879757:1 gene:TCM_043269 transcript:EOY18773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 4 MKCNNFEGGNPPKYPQDLKSSAYWPASEQSKARKMGALSSLHHLSTSLSLLTRPSLSLPPFLRPIRALPPITSSSSLQFNITFAPPNPKLKPRTPPNLKNDVVLDDSESPPLPSNGQLFIPWIVRGEDGNLKLQAHPPARLIHALADAKTQKPKKKVDKAVKKKKEISAVGNASVEPPKLSKAARRFYNENFTEPPQRLSKVLAAAGVASRRGSEELIFDGKVTVNGSVCNAPQASDNLQTRVDPAKDIIYVNGSRLPKKLPPKIYLALNKPKGYICSSGEKEFKSVLDLFEDYLKRWDKMNRGSPKPRLFTVGRLDVATTGLIIVTNDGDFAQKLSHPSSNLNKEYIATIDGEVKKRHLIAISEGTEIEGIHCIPDSVELLPRQPDLSRPRLRIVVHEGRNHEVRELVKNAGLEIHSLKRVRIGGFRLPADLGLGKHVELKQSDLRAMGWKS >EOY18776 pep chromosome:Theobroma_cacao_20110822:10:4874563:4879800:1 gene:TCM_043269 transcript:EOY18776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 4 MKCNNFEGGNPPKYPQDLKSSAYWPASEQSKARKMGALSSLHHLSTSLSLLTRPSLSLPPFLRPIRALPPITSSSSLQFNITFAPPNPKLKPRTPPNLKNDVVLDDSESPPLPSNGQLFIPWIVRGEDGNLKLQAHPPARLIHALADAKTQKPKKKVDKAVKKKKEISAVGNASVEPPKLSKAARRFYNENFTEPPQRLSKVLAAAGVASRRGSEELIFDGKVTVNGSVCNAPQTRVDPAKDIIYVNGSRLPKKLPPKIYLALNKPKGYICSSGEKEFKSVLDLFEDYLKRWDKMNRGSPKPRLFTVGRLDVATTGLIIVTNDGDFAQKLSHPSSNLNKEAREACRAKTE >EOY18913 pep chromosome:Theobroma_cacao_20110822:10:6108244:6120918:-1 gene:TCM_043416 transcript:EOY18913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSSRPHIISTGSRCHKSNVKVHIGLVHALISFVTTQIQPLVQQLFGPKSRGPQKLKLKLLVVVGLNNHIHIRCVMLGITISPTQILDVLIKTTHHIHGTKISPEQCESSHRTGAGSDTICNNPSPTTGPMRDVGYYNMILGMAV >EOY18682 pep chromosome:Theobroma_cacao_20110822:10:4377457:4380941:-1 gene:TCM_043175 transcript:EOY18682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein, putative MAVELNVLALSLFFLLAPNAKAQSNNGVFDVMNFGAKADGKTDISQALMAAWRKACAVVSPSKVVIPEGEYILSQVTLAGPCKAPLEVQVRGTLKATADPSKFNNVPTWVTFQRIDRFTLSGAGTFDGQGGKAAWAQNDCKQVGRCNKLPINLRFNAVTNGLVRDITSVDSKQTHINLLSCKNLTFANITVNAPAQSPNTDGIHIGRSSGINITDSRISTGDDCVSLGEGSQKITVRRVTCGPGHGISVGSLGRYREDPVFGITVANCTLINTSNGVRVKTWPASLEGIASDMHFEDIVMVNVSNPVLIDQEYCPGNKCAKAPSRVKISNVSFKNIRGTSATQVAVELRCSSGIPCENVEIGDINLSYIGTEGPATSRCSNVKPIITGKQTPLACGLAV >EOY17592 pep chromosome:Theobroma_cacao_20110822:10:309439:314478:-1 gene:TCM_042386 transcript:EOY17592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation defective 1 protein / ELD1 protein isoform 2 MPTHHHHHHRSTPLLSSTSAASSSSQSFLSKLLLLLTILPVSLAALAFVLQWRGGSVSDPTVVTSSATSRWAPLGSHHEVFPGMETFSSLSPKSHSSADCINLGRSSSPSFPYYGDWKFGFEANLKPKICVTTSTSAGLEQILPWMFYHKVIGVTTFFLFVEGHAASPNVSRVLESIPGVKVIYRTRELEEQQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWILHLDTDELIHPAGASEYSLRQLLLDVPRNVDMVIFPNYESSVERDDIKDPFSEVSMFKKNYDHLPKDTYFGMYKESTRGNPNYFLTYGNGKAAARIQDHLRPNGAHRWHNYMKTPNEIKLEEAAVLHYTYAKFSDLTSRRNRCGCKPTKEDVKRCFMLEFDRAAFIIASTATEEEMLNWYREHVVWGEKDLRLKLLRKGILTRIYAPMAIIQGLRESGVFSSIIATAPTTLSRDKFLASVDSSNSSRAVPSVSFASRKIGRSREHQASARKVLEIEASADHEAAVPPLSPPSMDNDDLIRE >EOY17593 pep chromosome:Theobroma_cacao_20110822:10:309618:314295:-1 gene:TCM_042386 transcript:EOY17593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation defective 1 protein / ELD1 protein isoform 2 MPTHHHHHHRSTPLLSSTSAASSSSQSFLSKLLLLLTILPVSLAALAFVLQWRGGSVSDPTVVTSSATSRWAPLGSHHEVFPGMETFSSLSPKSHSSADCINLGRSSSPSFPYYGDWKFGFEANLKPKICVTTSTSAGLEQILPWMFYHKVIGVTTFFLFVEGHAASPNVSRVLESIPGVKVIYRTRELEEQQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWILHLDTDELIHPAGASEYSLRQLLLDVPRNVDMVIFPNYESSVERDDIKDPFSEVVSMFKKNYDHLPKDTYFGMYKESTRGNPNYFLTYGNGKAAARIQDHLRPNGAHRWHNYMKTPNEIKLEEAAVLHYTYAKFSDLTSRRNRCGCKPTKEDVKRCFMLEFDRAAFIIASTATEEEMLNWCIVNMLYGVKKTLD >EOY17594 pep chromosome:Theobroma_cacao_20110822:10:309580:314248:-1 gene:TCM_042386 transcript:EOY17594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation defective 1 protein / ELD1 protein isoform 2 HHHHRSTPLLSSTSAASSSSQSFLSKLLLLLTILPVSLAALAFVLQWRGGSVSDPTVVTSSATSRWAPLGSHHEVFPGMETFSSLSPKSHSSADCINLGRSSSPSFPYYGDWKFGFEANLKPKICVTTSTSAGLEQILPWMFYHKVIGVTTFFLFVEGHAASPNVSRVLESIPGVKVIYRTRELEEQQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWILHLDTDELIHPAGASEYSLRQLLLDVPRNVDMVIFPNYESSVERDDIKDPFSEVSMFKKNYDHLPKDTYFGMYKESTRGNPNYFLTYGNGKAAARIQDHLRPNGAHRWHNYMKTPNLTRIYAPMAIIQGLRESGVFSSIIATAPTTLSRDKFLASVDSSNSSRAVPSVSFASRKIGRSREHQASARKVLEIEASADHEAAVPPLSPPSMDNDDLIRE >EOY17752 pep chromosome:Theobroma_cacao_20110822:10:754635:763506:1 gene:TCM_042486 transcript:EOY17752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein, putative isoform 4 MFTKFFDNHGASPQSPKSDVAKGSLTSADLNPRVTVHYGIPATASVLACDLIQRLVAVGTLDGRIKVIGGENIEALLVSPKQLPIKNLEFLQNQGFLVSVSNENEIQVWDLEQRQIASHIQWESNITAFKVIHGTSYMYLGDEHGMVYVIKYDAEEHKLAHLPYYVPTNVIAEEAGISSPNHPSVVGVLPQPCSQGNRVLIAYENGLLAIWDISEDRVVLVRGNKDLQLKGRTTSDSPEEKKLEVSDCTSDGDEVKEISSLCWASNDGSILAVGYVDGDIMFWNLSTANPKRIQQAEKSPNNVVKLQLSSGEKRLPVIVLHWSANQSCGDHGCKLFVYGGDNVGSEEVLTILSLEWTSGIESLKCVSRMDLTPNGSFADMVLLPTVGVTESGGNLLFMLTNPGQLHVYDDACLAALLSQQEKTTCVSSGQYVMPIPTVDPCMTVSKLALVYRDGEFSKALSKIVSATKLKAPHTPATGSRRWPLTGGFPSLLSETADYQVERVYVAGYQDGSVRIWDATYPALSLIFVLGTEVPGFDVAVASASVSALEICSLTQSVAIGNECGMVRLYKLTVTSDEMSLNIVKETEKEVHTLHQTDGPQCLAVFSLLNSPVCVLQFAKFGTRLAVGFNCGRVAMVDVSTFSVLFITDSLSPSNCPVGLSAMISFTDNDTLVNSPRDSVSTSLNDNEKWLAFVMTKDAYLTVLDGTTGNVVSSLSIPLKAESSAISMYILEGGNIVSTVPSEISETKFEPAHSSPDHGITPVEAKSEISAQVAYFGQRLKSLLILLCFEDALHLCSMKSVIQGTADSIWAVNLPKQCSWTSAFKIDDKECGLVLLYRTGVLEIRSMKTLEVMGESSLMTILRWNFKTNMEKIICSSNRGQIILIHGCEFAAISILALENEFRIPDSLPCIHDTVLAAAFDATVSLSPSQKKSQDTAPGILGGLIKGSRVGKLDQNVQIQEACKNDFSHLESIFSSPPFLKPSMASTDWQEVLDLNIGSILSLTFLYCPLATFFFLT >EOY17753 pep chromosome:Theobroma_cacao_20110822:10:754635:763506:1 gene:TCM_042486 transcript:EOY17753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein, putative isoform 4 MFTKFFDNHGASPQSPKSDVAKGSLTSADLNPRVTVHYGIPATASVLACDLIQRLVAVGTLDGRIKVIGGENIEALLVSPKQLPIKNLEFLQNQGFLVSVSNENEIQVWDLEQRQIASHIQWESNITAFKVIHGTSYMYLGDEHGMVYVIKYDAEEHKLAHLPYYVPTNVIAEEAGISSPNHPSVVGVLPQPCSQGNRVLIAYENGLLAIWDISEDRVVLVRGNKDLQLKGRTTSDSPEEKKLEVSDCTSDGDEVKEISSLCWASNDGSILAVGYVDGDIMFWNLSTANPKRIQQAEKSPNNVVKLQLSSGEKRLPVIVLHWSANQSCGDHGCKLFVYGGDNVGSEEVLTILSLEWTSGIESLKCVSRMDLTPNGSFADMVLLPTVGVTESGGNLLFMLTNPGQLHVYDDACLAALLSQQEKTTCVSSGQYVMPIPTVDPCMTVSKLALVYRDGEFSKALSKIVSATKLKAPHTPATGSRRWPLTGGFPSLLSETADYQVERVYVAGYQDGSVRIWDATYPALSLIFVLGTEVPGFDVAVASASVSALEICSLTQSVAIGNECGMVRLYKLTVTSDEMSLNIVKETEKEVHTLHQTDGPQCLAVFSLLNSPVCVLQFAKFGTRLAVGFNCGRVAMVDVSTFSVLFITDSLSPSNCPVGLSAMISFTDNDTLVNSPRDSVSTSLNDNEKWLAFVMTKDAYLTVLDGTTGNVVSSLSIPLKAESSAISMYILEGGNIVSTVPSEISETKFEPAHSSPDHGITPVEAKSEISAQVAYFGQRLKSLLILLCFEDALHLCSMKSVIQGTADSIWAVNLPKQCSWTSAFKIDDKECGLVLLYRTGVLEIRSMKTLEVMGESSLMTILRWNFKTNMEKIICSSNRGQIILDSGFFAMHS >EOY17755 pep chromosome:Theobroma_cacao_20110822:10:756024:764221:1 gene:TCM_042486 transcript:EOY17755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein, putative isoform 4 MYLGDEHGMVYVIKYDAEEHKLAHLPYYVPTNVIAEEAGISSPNHPSVVGVLPQPCSQGNRVLIAYENGLLAIWDISEDRVVLVRGNKDLQLKGRTTSDSPEEKKLEVSDCTSDGDEVKEISSLCWASNDGSILAVGYVDGDIMFWNLSTANPKRIQQAEKSPNNVVKLQLSSGEKRLPVIVLHWSANQSCGDHGCKLFVYGGDNVGSEEVLTILSLEWTSGIESLKCVSRMDLTPNGSFADMVLLPTVGVTESGGNLLFMLTNPGQLHVYDDACLAALLSQQEKTTCVSSGQYVMPIPTVDPCMTVSKLALVYRDGEFSKALSKIVSATKLKAPHTPATGSRRWPLTGGFPSLLSETADYQVERVYVAGYQDGSVRIWDATYPALSLIFVLGTEVPGFDVAVASASVSALEICSLTQSVAIGNECGMVRLYKLTVTSDEMSLNIVKETEKEVHTLHQTDGPQCLAVFSLLNSPVCVLQFAKFGTRLAVGFNCGRVAMVDVSTFSVLFITDSLSPSNCPVGLSAMISFTDNDTLVNSPRDSVSTSLNDNEKWLAFVMTKDAYLTVLDGTTGNVVSSLSIPLKAESSAISMYILEGGNIVSTVPSEISETKFEPAHSSPDHGITPVEAKSEISAQVAYFGQRLKSLLILLCFEDALHLCSMKSVIQGTADSIWAVNLPKQCSWTSAFKIDDKECGLVLLYRTGVLEIRSMKTLEVMGESSLMTILRWNFKTNMEKIICSSNRGQIILIHGCEFAAISILALENEFRIPDSLPCIHDTVLAAAFDATVSLSPSQKKSQDTAPGILGGLIKGSRVGKLDQNVQIQEACKNDFSHLESIFSSPPFLKPSMASTDWQEMTSKLTNLSYKAGLRTLPPWQMNLPREWKRKSGGIYELGWLPNEPIEHSAKVAAIVIIKFLWCEIKIICYNPCTRAFSQ >EOY17751 pep chromosome:Theobroma_cacao_20110822:10:754345:764072:1 gene:TCM_042486 transcript:EOY17751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein, putative isoform 4 MFTKFFDNHGASPQSPKSDVAKGSLTSADLNPRVTVHYGIPATASVLACDLIQRLVAVGTLDGRIKVIGGENIEALLVSPKQLPIKNLEFLQNQGFLVSVSNENEIQVWDLEQRQIASHIQWESNITAFKVIHGTSYMYLGDEHGMVYVIKYDAEEHKLAHLPYYVPTNVIAEEAGISSPNHPSVVGVLPQPCSQGNRVLIAYENGLLAIWDISEDRVVLVRGNKDLQLKGRTTSDSPEEKKLEVSDCTSDGDEVKEISSLCWASNDGSILAVGYVDGDIMFWNLSTANPKRIQQAEKSPNNVVKLQLSSGEKRLPVIVLHWSANQSCGDHGCKLFVYGGDNVGSEEVLTILSLEWTSGIESLKCVSRMDLTPNGSFADMVLLPTVGVTESGGNLLFMLTNPGQLHVYDDACLAALLSQQEKTTCVSSGQYVMPIPTVDPCMTVSKLALVYRDGEFSKALSKIVSATKLKAPHTPATGSRRWPLTGGFPSLLSETADYQVERVYVAGYQDGSVRIWDATYPALSLIFVLGTEVPGFDVAVASASVSALEICSLTQSVAIGNECGMVRLYKLTVTSDEMSLNIVKETEKEVHTLHQTDGPQCLAVFSLLNSPVCVLQFAKFGTRLAVGFNCGRVAMVDVSTFSVLFITDSLSPSNCPVGLSAMISFTDNDTLVNSPRDSVSTSLNDNEKWLAFVMTKDAYLTVLDGTTGNVVSSLSIPLKAESSAISMYILEGGNIVSTVPSEISETKFEPAHSSPDHGITPVEAKSEISAQVAYFGQRLKSLLILLCFEDALHLCSMKSVIQGTADSIWAVNLPKQCSWTSAFKIDDKECGLVLLYRTGVLEIRSMKTLEVMGESSLMTILRWNFKTNMEKIICSSNRGQIILIHGCEFAAISILALENEFRIPDSLPCIHDTVLAAAFDATVSLSPSQKKSQDTAPGILGGLIKGSRVGKLDQNVQIQEACKNDFSHLESIFSSPPFLKPSMASTDWQEVLDLNIDDIQIDEPVTISSSSEKIKNDSKEQRTERERLFEGAGTDAKPRLRTAEEIRAKYRGAEDAAAAAASARDRLVERQEKLERINERTQELQSGAENFASMANELAKRMEKKKWWNL >EOY17754 pep chromosome:Theobroma_cacao_20110822:10:754898:761114:1 gene:TCM_042486 transcript:EOY17754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein, putative isoform 4 MFTKFFDNHGASPQSPKSDVAKGSLTSADLNPRVTVHYGIPATASVLACDLIQRLVAVGTLDGRIKVIGGENIEALLVSPKQLPIKNLEFLQNQGFLVSVSNENEIQVWDLEQRQIASHIQWESNITAFKVIHGTSYMYLGDEHGMVYVIKYDAEEHKLAHLPYYVPTNVIAEEAGISSPNHPSVVGVLPQPCSQGNRVLIAYENGLLAIWDISEDRVVLVRGNKDLQLKGRTTSDSPEEKKLEVSDCTSDGDEVKEISSLCWASNDGSILAVGYVDGDIMFWNLSTANPKRIQQAEKSPNNVVKLQLSSGEKRLPVIVLHWSANQSCGDHGCKLFVYGGDNVGSEEVLTILSLEWTSGIESLKCVSRMDLTPNGSFADMVLLPTVGVTESGGNLLFMLTNPGQLHVYDDACLAALLSQQEKTTCVSSGQYVMPIPTVDPCMTVSKLALVYRDGEFSKALSKIVSATKLKAPHTPATGSRRWPLTGGFPSLLSETADYQVERVYVAGYQDGSVRIWDATYPALSLIFVLGTEVPGFDVAVASASVSALEICSLTQSVAIGNECGMVRLYKLTVTSDEMSLNIVKETEKEVHTLHQTDGPQCLAVFSLLNSPVCVLQFAKFGTRLAVGFNCGRVAMVDVSTFSVLFITDSLSPSNCPVGLSAMISFTDNDTLVNSPRDSVSTSLNDNEKWLAFVMTKDAYLTVLDGTTGNVVSSLSIPLKAESSAISMYILEGGNIVSTVPSEISETKFEPAHSSPDHGITPVEAKSEISAQVAYFGQRLKSLLILLCFEDALHLCSMKSVIQVSYLMLFLFQVQYICKFF >EOY19938 pep chromosome:Theobroma_cacao_20110822:10:23963532:23969904:-1 gene:TCM_045339 transcript:EOY19938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylose isomerase family protein isoform 4 MTLEKKNMAGRILLLLLCMNAVSFIVNAVPQTCPADLGGKCAEDDDWEGEGTSSKNPLAYKWYNAEEEILGKKMKDWLRFSVAFWHTFRGTGADPFGAPTKYWPWEDGTNSIAMAKRRMRANFEFINKLGVDRWCFHDRDIAPDGKTLEEANANLDEVVALAKELQGDKIRPLWGTAQLFMHPRYMHGGATSSEVGVYAYAAAQVKKAMEVTHYLGGENYVFWGGREGYQSLLNTDMERELDHLARFLEAAVAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATTANFLRKYGLLGEFKLNIECNHATLSGHSCHHDLETARINGLLGNIDANTGDPQIGWDTDQFMTDIGEATMVMLSVIRNGGLAPGGFNFDAKLRRESTDVEDLFIAHISGMDTLARGLRNAAKLIEDGSLVELVRKRYSSFDTEIGAEIEAGKADFEMLEKLAKEWGEPKVASAKQELAEMIFQSAL >EOY19940 pep chromosome:Theobroma_cacao_20110822:10:23963713:23969972:-1 gene:TCM_045339 transcript:EOY19940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylose isomerase family protein isoform 4 MTLEKKNMAGRILLLLLCMNAVSFIVNAVPQTCPADLGGKCAEDDDWEGEFFPGIPKIKYEGTSSKNPLAYKWYNAEEEILGKKMKDWLRFSVAFWHTFRGTGADPFGAPTKYWPWEDGTNSIAMAKRRMRANFEFINKLGVDRWCFHDRDIAPDGKTLEEANANLDEVVALAKELQGDKIRPLWGTAQLFMHPRYMHGGATSSEVGVYAYAAAQVKKAMEVTHYLGGENYVFWGGREGYQSLLNTDMERELDHLARFLEAAVAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATTANFLRKYGLLGEFKLNIECNHATLSGHRFVICHHDLETARINGLLGNIDANTGDPQIGWDTDQFMTDIGEATMVMLSVIRKAGKADFEMLEKLAKEWGEPKVASAKQELAEMIFQSAL >EOY19939 pep chromosome:Theobroma_cacao_20110822:10:23964829:23969972:-1 gene:TCM_045339 transcript:EOY19939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylose isomerase family protein isoform 4 MTLEKKNMAGRILLLLLCMNAVSFIVNAVPQTCPADLGGKCAEDDDWEGEFFPGIPKIKYEGTSSKNPLAYKWYNAEEEILGKKMKDWLRFSVAFWHTFRGTGADPFGAPTKYWPWEDGTNSIAMAKRRMRANFEFINKLGVDRWCFHDRDIAPDGKTLEEANANLDEVVALAKELQGDKIRPLWGTAQLFMHPRYMHGGATSSEVGVYAYAAAQVKKAMEVTHYLGGENYVFWGGREGYQSLLNTDMERELDHLARFLEAAVAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATTANFLRKYGLLGEFKLNIECNHATLSGHRFVICHHDLETARINGLLGNIDANTGDPQIGWDTDQFMTDIGEATMVMLSVIRNVYGERVQMLRTCSLLILVEWTPWPVDSEMLPS >EOY19937 pep chromosome:Theobroma_cacao_20110822:10:23963981:23977846:-1 gene:TCM_045339 transcript:EOY19937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylose isomerase family protein isoform 4 MLRKLLQPCRKKGSDIYHHIPQLSNSNYHLFSSTLRQLFSPPFQTPCSVFQSGLVCMTLEKKNMAGRILLLLLCMNAVSFIVNAVPQTCPADLGGKCAEDDDWEGEFFPGIPKIKYEGTSSKNPLAYKWYNAEEEILGKKMKDWLRFSVAFWHTFRGTGADPFGAPTKYWPWEDGTNSIAMAKRRMRANFEFINKLGVDRWCFHDRDIAPDGKTLEEANANLDEVVALAKELQGDKIRPLWGTAQLFMHPRYMHGGATSSEVGVYAYAAAQVKKAMEVTHYLGGENYVFWGGREGYQSLLNTDMERELDHLARFLEAAVAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATTANFLRKYGLLGEFKLNIECNHATLSGHSCHHDLETARINGLLGNIDANTGDPQIGWDTDQFMTDIGEATMVMLSVIRNGGLAPGGFNFDAKLRRESTDVEDLFIAHISGMDTLARGLRNAAKLIEDGSLVELVRKRYSSFDTEIGAEIEAGKADFEMLEKLAKEWGEPKVASAKQELAEMIFQSAL >EOY19860 pep chromosome:Theobroma_cacao_20110822:10:23359031:23360170:-1 gene:TCM_045243 transcript:EOY19860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small basic intrinsic protein 1 MGVIKAAIGDALLTSMWVFSMPTLRIFTGKIATFLGVQALPLAPLFITTILVSCLVFLFSLIGEALGGASFNPTASLTFYAAGLKKNSSLLSMAVRFPAQAAGGVAGVKAILQVIPGEYKKFIKGPSLNVDLHTGAIAEGVLTFGLSLALLVILVRGPKNPLIKLWLMAASTVGLVTTGSKYTGPSMNPANAFGWAYQNNWHNSWELYYVYWIGPLTGATLAAWVFRFLLSPPPPPSKEKKA >EOY19652 pep chromosome:Theobroma_cacao_20110822:10:19478887:19489415:1 gene:TCM_044789 transcript:EOY19652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDAQRMVENARRVAMDEMKEVKDKLEEEMQKKLEQQVQSIKIEMTDQVLTIKSEILQQINLLISQLQMRFPRPATSTINDWPSTSSQMLNESSLIDCQEMEQIIKHQIFDNISLNQICKMVKVNVPYCSWKNKGTTSNK >EOY17886 pep chromosome:Theobroma_cacao_20110822:10:1322990:1323983:-1 gene:TCM_042590 transcript:EOY17886 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MEAVKDVLCMNNGVGENSYVKAEALTIKVMAITKPIVPKAVQSLFTETDHSIPLQVVNVADLGCAVGPQPLEFMSTVIESILKKCGEMGREMPEIQFFLNDLVGNDFNTLFKGLSVVQEKYKKVSWFAMGAPGSFHGRLFPRNSMHLVYSCYSVHWLSEAPKITNEAGLPLNKGKIYMSKTSPPAVTKAYLSQFQEDFSSLLKFRSQELAPNGRVVLIFNGRQTADPTNKDTCYTWDLLAEALSYLVSQAKCQIFNSFLI >EOY17887 pep chromosome:Theobroma_cacao_20110822:10:1322341:1323882:-1 gene:TCM_042590 transcript:EOY17887 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MAITKPIVPKAVQSLFTETDHSIPLQVVNVADLGCAVGPQPLEFMSTVIESILKKCGEMGREMPEIQFFLNDLVGNDFNTLFKGLSVVQEKYKKVSWFAMGAPGSFHGRLFPRNSMHLVYSCYSVHWLSEAPKITNEAGLPLNKGKIYMSKTSPPAVTKAYLSQFQEDFSSLLKFRSQELAPNGRVVLIFNGRQTADPTNKDTCYTWDLLAEALSYLVSQGLVDEGKLDSFNVPYYNPSQEEIKYLVDKEGSLTIEFIDTIELEIGGPNGYWSSPESRIRGHRCFTEPLLSHQFGERLMDKLYDKATQILVEDYKHGKEATKNIGIAVVLKKKKL >EOY17884 pep chromosome:Theobroma_cacao_20110822:10:1322258:1324350:-1 gene:TCM_042590 transcript:EOY17884 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MEAVKDVLCMNNGVGENSYVKAEALTIKVMAITKPIVPKAVQSLFTETDHSIPLQVVNVADLGCAVGPQPLEFMSTVIESILKKCGEMGREMPEIQFFLNDLVGNDFNTLFKGLSVVQEKYKKVSWFAMGAPGSFHGRLFPRNSMHLVYSCYSVHWLSEAPKITNEAGLPLNKGKIYMSKTSPPAVTKAYLSQFQEDFSSLLKFRSQELAPNGRVVLIFNGRQTADPTNKDTCYTWDLLAEALSYLGLVDEGKLDSFNVPYYNPSQEEIKYLVDKEGSLTIEFIDTIELEIGGPNGYWSSPESRIRGHRCFTEPLLSHQFGERLMDKLYDKATQILVEDYKHGKEATKNIGIAVVLKKKKL >EOY17885 pep chromosome:Theobroma_cacao_20110822:10:1322341:1324005:-1 gene:TCM_042590 transcript:EOY17885 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MEAVKDVLCMNNGVGENSYVKAEALTIKVMAITKPIVPKAVQSLFTETDHSIPLQVVNVADLGCAVGPQPLEFMSTVIESILKKCGEMGREMPEIQFFLNDLVGNDFNTLFKGLSVVQEKYKKVSWFAMGAPGSFHGRLFPRNSMHLVYSCYSVHWLSEAPKITNEAGLPLNKGKIYMSKTSPPAVTKAYLSQFQEDFSSLLKFRSQELAPNGRVVLIFNGRQTADPTNKDTCYTWDLLAEALSYLVSQGLVDEGKLDSFNVPYYNPSQEEIKYLVDKEGSLTIEFIDTIELEIGGPNGYWSSPESRIRGHRCFTEPLLSHQFGERLMDKLYDKATQILVEDYKHGKEATKNIGIAVVLKKKKL >EOY18848 pep chromosome:Theobroma_cacao_20110822:10:5390242:5408760:1 gene:TCM_043341 transcript:EOY18848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Limit dextrinase MFDVLSLPLSLLPSTPPATPAKPLHCPATTRIRRRLYTKPNPLLSRPFSFTSFPKLPLNCSSSSMPFQVSSSSQLQDSLLYSRAYWVSKTIIAWNVDVGDGSCYLYASKVAALSVTDDGIQGQDAEIKLEEDRNGLPPNVIEKFPHIQNYRAFKVPPALDAKNLLKCQLAVAAFNSHGKCSNATGLQLPGILDELFSYDGPLGAHYLGEVVSLYLWAPTAQAVHAHIYKDPMGGSPLEIIQLVETNGVWSTKGPKRWEGCYYVYEVSVYHPSTLQIEKCYANDPYARGLSSDGRRTLFVNLDSNGLKPEGWDELADKKPDILSFSDISIYELHIRDFSANDNTVNPDFCGGYMAFTLQDSAGVLHLKKLSNAGITHVHLLPTFQFAGVDDESENWKYVDYKILKKLPPDSAGQQAQITAIQNDDAYNWGYNPVLWGVPKGSYASDPNGPCRIIEFRKMIQALNHIGLRIVLDVVYNHLHASGPFDKDSVLDKIVPGYHLRRNNDGFIENSTCVNNTASEHYMVERLIIDDLLSWSINYKVDGFRFDLMGHIMKSTMVKAKDALWSLTKERNGVDGSRIYIYGEGWDFGEVAENGRGINASQFNICGTGIGSFDDRIRDAMLGGSPFGHPLQQGFITGLFLEPNGHDHGTKAVERAMLASAKDHIQVGMAANLRDFVLTDFEGKERKGSEVFTYGGTPVAYALCPTETVNYVSAHDNETLFDIVSLKTPVEISVEERCRINHFATSIIALSQGIPFFHAGDEMLRSKSLDRDSYNSGDWFNRLDFTYHSNNWGVGLPPKEKNEKNWPLIQPRLADPSFKPQRSHILAAVENFMNVLRIRYSSPLFRLRTANAIQQRVRFHNTGPSWVPGLIVMSIEDGNEGVPGISQLDPNFSYIVVIFNACPTEASFISSTLRGRTLQLHPIQVMSTDEVVKNSSYEALSGCFTVPARTTSVFVEARKNLNSLWC >EOY17943 pep chromosome:Theobroma_cacao_20110822:10:1528953:1530998:1 gene:TCM_042635 transcript:EOY17943 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyltransferase family 1 protein MEKGEQSEACPHVLIFPLPLQGHVNSMFKLAELLALTGFKVTFLYSEHNHGRLVKYTNVSAHFASYPGFEFRTVPDGLPDDHPRSGDWFLEMYYAMETKAKLSLREMLLNINPPVDCIIGDGFLGFVLDVAKELGIPVFYFRTSSACCFWAYYSIPDIIQAGEIPIRGIEDMNRLITTVPGMETFLRCRDLPSFCRASDMANSTIQLVVEQTRKSPQAHALILNTFEDLDRPILSHIRTKCPHVYTIGPIHALLNTRLKAKRGASSQFSNSLWEVDRNCISWLDKQPKQSVVYVSFGSITIPSREQLTELWYGLVNSKRRFLWVVRPNSVTGKDGQGEDVPVELLEGTKERGCIVGWAPQEEVLNHPAVGGFLTHSGWNSTLESVVAGVPMICWPYFADQQVNSRFVSEVWKLGLDMKDVCDRKVVEKMVNDLMMDRREEFVKSAAEMAKLAKESVNVGGSSYCNLDRLIEDIRLMSLKKP >EOY19075 pep chromosome:Theobroma_cacao_20110822:10:9470923:9473215:1 gene:TCM_043744 transcript:EOY19075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKEDYLSQPFEYNAVCLLEEVLWEVVDETTPHGLWRKLEKIYLTKSLTNQLYLKQRLYRLRVKEGMFMKDHLTNIVTGWGFEDDGLSFTVNTNKPSKEVGYEFSEKDGLLDVCDITHVYFKVFGSEVSPLLRSALVRLGRMVGLSYVRLALSGFASNVVPRLDDLWMWPSLLLVKSVAFHTFRKNRNSLGGLPNCDIESIVCLEKGESRKFCMGCIVENYVGSSPSSAMVVGTPSSWLGYSGEHHCCSLVQAMDGKLDGCIFLKYQLCVRGLQCQFLLSSKDVMGECKTKEVFKELFA >EOY17713 pep chromosome:Theobroma_cacao_20110822:10:643075:645310:1 gene:TCM_042463 transcript:EOY17713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein, putative MMASDSIEDSETSQDNNNKEGCSEDEEEEVNKSNSKAANGESSSNSSIEENGKKHASGSVRQYNRSKTPRLRWTPDLHLCFVHAVERLGGQDRATPKLVLQLMNIKGLSIAHVKSHLQMYRSKKIDDPNQAMTEQGLLFEGGDHPTYKLSHLPMLHSFDNQRPSSSFRYGDVSWRGNDQKVYGSHRGGSALALDIATKGLYTSVTERLFGSYHNNSLGISSPMADSCVRGKAASGRRTHQTLEEVQSLPGSWQTQTRPSRLGPITFTAQFQERGTDQTRCLSSINNSKQNSWRMTQEAQDRLKRKTSASNHDLDLKLSLKMTPPNINDDDESERDSLERFAGSLSLSLSSPSSSSKLSSLKEGNTDGRKHSRTMASTLDLTL >EOY18617 pep chromosome:Theobroma_cacao_20110822:10:4030864:4031969:1 gene:TCM_043119 transcript:EOY18617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-harvesting complex I protein Lhca1 isoform 1 MPGQPRPPYLDGSAPGDFGFDPLRLGEVPENLERYKESELIHCRWAMLAPGILVPEALGYGNWVKAQEWAAIPGGQATYLGNPVPWGTLPIILVIEFFAIAFVEHQRSMEKDTERKKYPGGAFDPLGYSKDPKKFEEYKVKEIKNGRLALLAFVGFCVQQSARPGTGPLS >EOY18616 pep chromosome:Theobroma_cacao_20110822:10:4030516:4032138:1 gene:TCM_043119 transcript:EOY18616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-harvesting complex I protein Lhca1 isoform 1 MAANTLMSCGIATAFPSVLSSSKSKFAAAVQLPGVGANGSHRVSMSADWMPGQPRPPYLDGSAPGDFGFDPLRLGEVPENLERYKESELIHCRWAMLAVPGILVPEALGYGNWVKAQEWAAIPGGQATYLGNPVPWGTLPIILVIEFFAIAFVEHQRSMEKDTERKKYPGGAFDPLGYSKDPKKFEEYKVKEIKNGRLALLAFVGFCVQQSARPGTGPLENLATHLADPWHNNIGEIIIPRSISP >EOY19061 pep chromosome:Theobroma_cacao_20110822:10:9324401:9325819:-1 gene:TCM_043731 transcript:EOY19061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSSIVSASNAMRLIGHGCQGFLALVKDTQMVVGEIVNVLVVRDFLNAFLEELLVYLRSRDKHKQHLKIVFQIMRKHVLCAKFSKSEFWFDSASFIGHIVYKNGVMVDPKKIEVVKKWLRLTSITKIRSLLRITRYYRRFVKDFSKIITPITRLTQKGVKFIWIDACEESFGKLKTCLTTSLVLSLPCRSGGYTIYYYAHE >EOY17839 pep chromosome:Theobroma_cacao_20110822:10:1050511:1054925:-1 gene:TCM_042544 transcript:EOY17839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSDEELLWEVRISMPEIEHSDFIRERRAKLQSALLEAKNDQESGRGAKPLIQRVPAYLIDIKEDFKKYFEPRWVAIGPFHHRNPKFEQGEHTKLKLAALFAEENETTDAVLFNEIKKEIKDLRTCYNPEDIKDYDDDELAWMFFVDGSAVLYAVHYGLLRGEFQKLNIKAELVVLMALDFFLLENQLPYQVLKILIRLAKEPREWEKSITEFIRYSVITYTRDGKSQNPEEEEEEQEFTHLLERLRTKHLTGEREWSSSSMIGHLLLSGGDNRKHVKTIRRIKDLKEIGISVRPSESENLKNISFYCNLRGTLKMPCILVDDSTATKFLNLLALEMCRDFENDLAITSFLCFLGSLIDTAEDVKELRLTGILHSYLGSDEEVAELFCRMSRDLVPDLAIYYDVADRIHRYYNSRVMWIYSNYFGSNWSFLAFLGAVIGLSLTVIQTYFSAKTK >EOY20136 pep chromosome:Theobroma_cacao_20110822:10:25032434:25034972:1 gene:TCM_045531 transcript:EOY20136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Appr-1-p processing enzyme family protein isoform 2 MSSSSMLFRGGRGIRNHCTFQNIFKVSLTSPSGCGVVGFSNMASAAGGEDGHFKLSETSELIIKKGDITRWFVDGASDAIVNPANQRMLGGGGADGAIHRAAGPELREACYKVPEVRPDVRCPTGEARITPGFRLPASHVIYTVGPIYDTDKDPKASLSSAYKNSLAVAKENNIKYIAFPSISCGVYGYPFEEAATVAISTVNEYANDIKEVHFVLFADDIYNIWLNKAKELLQA >EOY20137 pep chromosome:Theobroma_cacao_20110822:10:25032295:25034986:1 gene:TCM_045531 transcript:EOY20137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Appr-1-p processing enzyme family protein isoform 2 MASAAGGEDGHFKLSETSELIIKKGDITRWFVDGASDAIVNPANQRMLGGGGADGAIHRAAGPELREACYKVPEVRPDVRCPTGEARITPGFRLPASHVIYTVGPIYDTDKDPKASLSSAYKNSLAVAKENNIKYIAFPSISCGVYGYPFEEAATVAISTVNEYANDIKEVHFVLFADDIYNIWLNKAKELLQA >EOY20139 pep chromosome:Theobroma_cacao_20110822:10:25032637:25033895:1 gene:TCM_045531 transcript:EOY20139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Appr-1-p processing enzyme family protein isoform 2 CLEGAEESGYYRNHCTFQNIFKVSLTSPSGCGVVGFSNMASAAGGEDGHFKLSETSELIIKKGDITRWFVDGASDAIVNPANQRMLGGGGADGAIHRAAGPELREACYKVPEVRPDVRCPTGEARITPGFRLPASHVIYTVGPIYDTDKDPKASLSSAYKNSLAVAKENNIKYIAFPSISCGVY >EOY20138 pep chromosome:Theobroma_cacao_20110822:10:25032299:25034808:1 gene:TCM_045531 transcript:EOY20138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Appr-1-p processing enzyme family protein isoform 2 MSSSSMLFRGGRGIRNHCTFQNIFKVSLTSPSGCGVVGFSNMASAAGGEDGHFKLSETSELIIKKGDITRWFVDGASDAIVNPANQRMLGAIHRAAGPELREACYKVPEVRPDVRCPTGEARITPGFRLPASHVIYTVGPIYDTDKDPKASLSSAYKNSLAVAKENNIKYIAFPSISCGVYGYPFEEAATVAISTVNEYANDIKEVHFVLFADDIYNIWLNKAKELLQA >EOY18081 pep chromosome:Theobroma_cacao_20110822:10:2018201:2021399:1 gene:TCM_042732 transcript:EOY18081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 3 MALLCHCNNVSFSSKQFPLRKPPKSPLCFVKAHSFFNYPTPKVFEEKLEKVSFSLGESFSKASLLALVSASILFVDPALAFKGGGPYGAEVTRGQDLTGKDFSGKTLIKQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRGADFSLANVAKANLSNANLEGALTTGNTSFKGSNVTGADFTDVPLRDDQREYLCKIADGLNPTTGNATRDTLLCN >EOY18082 pep chromosome:Theobroma_cacao_20110822:10:2018228:2021386:1 gene:TCM_042732 transcript:EOY18082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 3 MALLCHCNNVSFSSKQFPLRKPPKSPLCFVKAHSFFNYPTPKVFEEKLEKVSFSLGESFSKASLLALVSASILFVDPALAFKGGGPYGAEVTRGQDLTGKDFSGKTLIKQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRGADFSLANVAKANLSNANLEGALTTGNTSFKGSNVTDFTDVPLRDDQREYLCKIADGLNPTTGNATRDTLLCN >EOY18083 pep chromosome:Theobroma_cacao_20110822:10:2018211:2020827:1 gene:TCM_042732 transcript:EOY18083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 3 MALLCHCNNVSFSSKQFPLRKPPKSPLCFVKAHSFFNYPTPKVFEEKLEKVSFSLGESFSKASLLALVSASILFVDPALAFKGGGPYGAEVTRGQDLTGKDFSGKTLIKQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRGADFSLANVAKANLSNANLEGALTTGNTSFKGSNVTGAGIAPT >EOY19836 pep chromosome:Theobroma_cacao_20110822:10:23059837:23060889:1 gene:TCM_045200 transcript:EOY19836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7, putative MVEGKLHGFWASPFSHGVIWALKLKEINYEYVEEDLPNKSELLLKYNPVYKKKPVLVHDGKPIAESLVILEYIEETWPQNPLLPQDVYERAIARFWIKFGEEMGPTVVSLFQTTGEEREKARKQLVQKLKILEEHALGDKKFSGGEAINLVDIEFGVLAHWVEGMEEVMGLQL >EOY18646 pep chromosome:Theobroma_cacao_20110822:10:4170003:4190121:1 gene:TCM_043142 transcript:EOY18646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein isoform 3 MRKRPQSSSISADTSASQSSDTPKTDEEAKFNNNVQIKSATRSGFVWLTLFVVIVYSSWTVHYYQFESLPVPLTAVQAGKRGFSEVEAMKHVKGLTELGPHPVGSDALDLALQYVLAASETIKKTAHWEVDVEVDFFHVNSGVIRLLTGLFVGRTIVYSDLNHIILRILPKYVPEAGENAILVSSHIDTVFSTEGAGDCSSCVAVMLELARGISQWAHGFKNAVIFLFNTGEEEGLTGAHSFITQHPWSSTIRMAIDLEAMGIGGKSSIFQAGPHPLAVENFAAVAKYPSGLIIAQNDKLELLKSGSLQHLGENMLSFLLQIASSSHLLKAKTMDGGGKPNHDTAVFFDILGQYMVVYHVRLANMLQYSVIVQSLLIWTTSLLMGGYTAAVSLFFSCLSIILMWIFSISFSAVVAFILPLISSSPVPYIASPWLMVGLFAAPACLGALTGQHLGYLVLQRYISNIYAKRKQLSPVIQADLIKLETERWLFKAGFVQWLVLLIIGTYYKIGSSYVALVWLVPPAFAYGLLEATLTPVRLPRPLKLATLLMGLAIPILVSAGIFIRFANVIIGLIVRFDRNPGDTPEWLASVVLSIFIAVVICLTLVYLLSYIHLSGAKTSVVLSTCILFVLSLAVVFSGIIPPFTEDFARAVNVVHVVDTTGRFGEKPISFVSLSSITPGKLTKEIDQVREGFVCGRHKVIDFVTFSVKYGCLTFDETEGGWNESDIPMLDVVYDTNNGVRRITQVAIDTKRSIRWFLAINTEEIDDFMFKERIQWR >EOY18648 pep chromosome:Theobroma_cacao_20110822:10:4172672:4209278:1 gene:TCM_043142 transcript:EOY18648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein isoform 3 MLELARGISQWAHGFKNAVIFLFNTGEEEGLTGAHSFITQHPWSSTIRMAIDLEAMGIGGKSSIFQAGPHPLAVENFAAVAKYPSGLIIAQDLFSSGAIKSATDFQVYKEVAGLSGLDFVYTDNGAVYHTKNDKLELLKSGSLQHLGENMLSFLLQIASSSHLLKAKTMDGGGKPNHDTAVFFDILGQYMVVYHVRLANMLQYSVIVQSLLIWTTSLLMGGYTAAVSLFFSCLSIILMWIFSISFSAVVAFILPLISSSPVPYIASPWLMVGLFAAPACLGALTGQHLGYLVLQRYISNIYAKRKQLSPVIQADLIKLETERWLFKAGFVQWLVLLIIGTYYKIGSSYVALVWLVPPAFAYGLLEATLTPVRLPRPLKLATLLMGLAIPILVSAGIFIRFANVIIGLIVRFDRNPGDTPEWLASVVLSIFIAVVICLTLVYLLSYIHLSGAKTSVVLSTCILFVLSLAVVFSGIIPPFTEDFARAVNVVHVVDTTGRFGEKPISFVSLSSITPGKLTKEIDQVREGFVCGRHKVIDFVTFSVKYGCLTFDETEGGWNESDIPMLDVVYDTNNGVRRITQVAIDTKRSIRWFLAINTEEIDDFMFKADSMEVVPADGKSSKDGWHIIQVSGGKNAPTRFDLTLFWVKKTEPNFTLT >EOY18644 pep chromosome:Theobroma_cacao_20110822:10:4169873:4190228:1 gene:TCM_043142 transcript:EOY18644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein isoform 3 MRKRPQSSSISADTSASQSSDTPKTDEEAKFNNNVQIKSATRSGFVWLTLFVVIVYSSWTVHYYQFESLPVPLTAVQAGKRGFSEVEAMKHVKGLTELGPHPVGSDALDLALQYVLAASETIKKTAHWEVDVEVDFFHVNSGVIRLLTGLFVGRTIVYSDLNHIILRILPKYVPEAGENAILVSSHIDTVFSTEGAGDCSSCVAVMLELARGISQWAHGFKNAVIFLFNTGEEEGLTGAHSFITQHPWSSTIRMAIDLEAMGIGGKSSIFQAGPHPLAVENFAAVAKYPSGLIIAQDLFSSGAIKSATDFQVYKEVAGLSGLDFVYTDNGAVYHTKNDKLELLKSGSLQHLGENMLSFLLQIASSSHLLKAKTMDGGGKPNHDTAVFFDILGQYMVVYHVRLANMLQYSVIVQSLLIWTTSLLMGGYTAAVSLFFSCLSIILMWIFSISFSAVVAFILPLISSSPVPYIASPWLMVGLFAAPACLGALTGQHLGYLVLQRYISNIYAKRKQLSPVIQADLIKLETERWLFKAGFVQWLVLLIIGTYYKIGSSYVALVWLVPPAFAYGLLEATLTPVRLPRPLKLATLLMGLAIPILVSAGIFIRFANVIIGLIVRFDRNPGDTPEWLASVVLSIFIAVVICLTLVYLLSYIHLSGAKTSVVLSTCILFVLSLAVVFSGIIPPFTEDFARAVNVVHVVDTTGRFGEKPISFVSLSSITPGKLTKEIDQVREGFVCGRHKVIDFVTFSVKYGCLTFDETEGGWNESDIPMLDVVYDTNNGVRRITQVAIDTKRSIRWFLAINTEEIDDFMFKADSMEVVPADGKSSKDGWHIIQVSGGKNAPTRFDLTLFWVKKTEQQSYKMPGQEAGQRPLLKLRTDLNELTPKAERVLKKLPAWCSLFGKSTSPYTLSFLSSLPVNFQTTEITPRDPTDKLYRPLDS >EOY18645 pep chromosome:Theobroma_cacao_20110822:10:4169978:4209342:1 gene:TCM_043142 transcript:EOY18645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein isoform 3 MRKRPQSSSISADTSASQSSDTPKTDEEAKFNNNVQIKSATRSGFVWLTLFVVIVYSSWTVHYYQFESLPVPLTAVQAGKRGFSEVEAMKHVKGLTELGPHPVGSDALDLALQYVLAASETIKKTAHWEVDVEVDFFHVNSGVIRLLTGLFVGRTIVYSDLNHIILRILPKYVPEAGENAILVSSHIDTVFSTEGAGDCSSCVAVMLELARGISQWAHGFKNAVIFLFNTGEEEGLTGAHSFITQHPWSSTIRMAIDLEAMGIGGKSSIFQAGPHPLAVENFAAVAKYPSGLIIAQDLFSSGAIKSATDFQVYKEVAGLSGLDFVYTDNGAVYHTKNDKLELLKSGSLQHLGENMLSFLLQIASSSHLLKAKTMDGGGKPNHDTAVFFDILGQYMVVYHVRLANMLQYSVIVQSLLIWTTSLLMGGYTAAVSLFFSCLSIILMWIFSISFSAVVAFILPLISSSPVPYIASPWLMVGLFAAPACLGALTGQHLGYLVLQRYISNIYAKRKQLSPVIQADLIKLETERWLFKAGFVQWLVLLIIGTYYKIGSSYVALVWLVPPAFAYGLLEATLTPVRLPRPLKLATLLMGLAIPILVSAGIFIRFANVIIGLIVRFDRNPGDTPEWLASVVLSIFIAVVICLTLVYLLSYIHLSGAKTSVVLSTCILFVLSLAVVFSGIIPPFTEDFARAVNVVHVVDTTGRFGEKPISFVSLSSITPGKLTKEIDQVREGFVCGRHKVIDFVTFSVKYGCLTFDETEGGWNESDIPMLDVVYDTNNGVRRITQVAIDTKRSIRWFLAINTEEIDDFMFKADSMEVVP >EOY18647 pep chromosome:Theobroma_cacao_20110822:10:4172672:4208451:1 gene:TCM_043142 transcript:EOY18647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein isoform 3 MLELARGISQWAHGFKNAVIFLFNTGEEEGLTGAHSFITQHPWSSTIRMAIDLEAMGIGGKSSIFQAGPHPLAVENFAAVAKYPSGLIIAQDLFSSGAIKSATDFQVYKEVAGLSGLDFVYTDNGAVYHTKNDKLELLKSGSLQHLGENMLSFLLQIASSSHLLKAKTMDGGGKPNHDTAVFFDILGQYMVVYHVRLANMLQYSVIVQSLLIWTTSLLMGGYTAAVSLFFSCLSIILMWIFSISFSAVVAFILPLISSSPVPYIASPWLMVGLFAAPACLGALTGQHLGYLVLQRYISNIYAKRKQLSPVIQADLIKLETERWLFKAGFVQWLVLLIIGTYYKIGSSYVALVWLVPPAFAYGLLEATLTPVRLPRPLKLATLLMGLAIPILVSAGIFIRFANVIIGLIVRFDRNPGDTPEWLASVVLSIFIAVVICLTLVYLLSYIHLSGAKTSVVLSTCILFVLSLAVVFSGIIPPFTEDFARAVNVVHVVDTTGRFGEKPISFVSLSSITPGKLTKEIDQVREGFVCGRHKVIDFVTFSVKYGCLTFDETEGGWNESDIPMLDVVYDTNNGVRRITQVAIDTKRSIRWFLAINTEEIDDFMFKADSMEVVPADGKSSKDGWHIIQVSGGKNAPTRFDLTLFWVKKTEQILMTSCLKV >EOY19399 pep chromosome:Theobroma_cacao_20110822:10:17249362:17263727:1 gene:TCM_044495 transcript:EOY19399 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 4 MQNPKLRGLLLGFNFTHARANTLFDSLLFLLPSSSSSSSNARFCHPKRRRFCGYAAEQFSDDEYECDFESHKASSSVANIDEWKWKLSMLLRSENDQEIVSRDKRDRRDYEQISNLANRMGLYSEMYGKVVVASKVPLPNYRPDLDDKRPQREVVVPLGLQRRVEGLLQEYLDRLQLNSGNVRDNSDNANSIDQAEYVNPDENPDYFLDNSVMEKVLQRRSLRLRNMQRAWQESPEGKKMMEFRKSLPAFKEKERLLQAIARNQVIVISGETGCGKTTQLPQYILESEIETGRGAFCSIICTQPRRISAMAVAERVSAERGEPLGETVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLILMSATLNAELFSNYFGGAPKIHIPGFTYPVRAHFLEDVLEFTGYKLTSFNQIDDYGQDKMWKMQRQLAPRKRKNQITALVEDALNKSSFENYSSRARDSLACWMPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQKLIFEKSPPNIRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPRCVYEAFSEYQLPELLRTPLNSLCLQIKSLQVESIGEFLSAALQAPEPLAVQNAVGFLKMIGALDEKENLTSLGKFLSMLPVDPKLGKMLIMGAIFCCFDPVLTIVSGLSVKDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSVYEYCWRNFLSAQTLQAIHSLRKQFSFILREAGLVDTDAGSNNKLSHNQSLVRAVICSGLFPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSMLMLFGGALSCGVQAGHLKMMQGYIDFFMDSSLAECYLKLKEELDRLIQKKD >EOY19398 pep chromosome:Theobroma_cacao_20110822:10:17249056:17265266:1 gene:TCM_044495 transcript:EOY19398 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 4 MQNPKLRGLLLGFNFTHARANTLFDSLLFLLPSSSSSSSNARFCHPKRRRFCGYAAEQFSDDEYECDFESHKASSSVANIDEWKWKLSMLLRSENDQEIVSRDKRDRRDYEQISNLANRMGLYSEMYGKVVVASKVPLPNYRPDLDDKRPQREVVVPLGLQRRVEGLLQEYLDRLQLNSGNVRDNSDNANSIDQAEYVNPDENPDYFLDNSVMEKVLQRRSLRLRNMQRAWQESPEGKKMMEFRKSLPAFKEKERLLQAIARNQVIVISGETGCGKTTQLPQYILESEIETGRGAFCSIICTQPRRISAMAVAERVSAERGEPLGETVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLILMSATLNAELFSNYFGGAPKIHIPGFTYPVRAHFLEDVLEFTGYKLTSFNQIDDYGQDKMWKMQRQLAPRKRKNQITALVEDALNKSSFENYSSRARDSLACWMPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQKLIFEKSPPNIRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPRCVYEAFSEYQLPELLRTPLNSLCLQIKSLQVESIGEFLSAALQAPEPLAVQNAVGFLKMIGALDEKENLTSLGKFLSMLPVDPKLGKMLIMGAIFCCFDPVLTIVSGLSVKDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSVYEYCWRNFLSAQTLQAIHSLRKQFSFILREAGLVDTDAGSNNKLSHNQSLVRAVICSGLFPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSMLMLFGGALSCGVQAGHLKMMQGYIDFFMDSSLAECYLKLKEELDRLIQKKLQDPSVDIHKEGKYLMLAVQELVSGDLCEGRFVFGRESKKPKDSTDNSRFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPKKNKQLAERDAAIEALAWLTHTSDNNQDEDDSPLDVTDNMLKLLGKRRRSKRR >EOY19400 pep chromosome:Theobroma_cacao_20110822:10:17249149:17265225:1 gene:TCM_044495 transcript:EOY19400 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 4 MQNPKLRGLLLGFNFTHARANTLFDSLLFLLPSSSSSSSNARFCHPKRRRFCGYAAEQFSDDEYECDFESHKASSSVANIDEWKWKLSMLLRSENDQEIVSRDKRDRRDYEQISNLANRMGLYSEMYGKVVVASKVPLPNYRPDLDDKRPQREVVVPLGLQRRVEGLLQEYLDRLQLNSGNVRDNSDNANSIDQAEYVNPDENPDYFLDNSVMEKVLQRRSLRLRNMQRAWQESPEGKKMMEFRKSLPAFKEKERLLQAIARNQVIVISGETGCGKTTQLPQYILESEIETGRGAFCSIICTQPRRISAMAVAERVSAERGEPLGETVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLILMSATLNAELFSNYFGGAPKIHIPGFTYPVRAHFLEDVLEFTGYKLTSFNQIDDYGQDKMWKMQRQLAPRKRKNQITALVEDALNKSSFENYSSRARDSLACWMPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQKLIFEKSPPNIRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPRCVYEAFSEYQLPELLRTPLNSLCLQIKSLQVESIGEFLSAALQAPEPLAVQNAVGFLKMIGALDEKENLTSLGKFLSMLPVDPKLGKMLIMGAIFCCFDPVLTIVSGLSVKDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSVYEYCWRNFLSAQTLQAIHSLRKQFSFILREAGLVDTDAGSNNKLSHNQSLVRAVICSGLFPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSMLMLFGGALSCGAGHLKMMQGYIDFFMDSSLAECYLKLKEELDRLIQKKLQDPSVDIHKEGKYLMLAVQELVSGDLCEGRFVFGRESKKPKDSTDNSRFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPKKNKQLAERDAAIEALAWLTHTSDNNQDEDDSPLDVTDNMLKLLGKRRRSKRR >EOY19401 pep chromosome:Theobroma_cacao_20110822:10:17249149:17265225:1 gene:TCM_044495 transcript:EOY19401 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 4 MQNPKLRGLLLGFNFTHARANTLFDSLLFLLPSSSSSSSNARFCHPKRRRFCGYAAEQFSDDEYECDFESHKASSSVANIDEWKWKLSMLLRSENDQEIVSRDKRDRRDYEQISNLANRMGLYSEMYGKVVVASKVPLPNYRPDLDDKRPQREVVVPLGLQRRVEGLLQEYLDRLQLNSGNVRDNSDNANSIDQAEYVNPDENPDYFLDNSVMEKVLQRRSLRLRNMQRAWQESPEGKKMMEFRKSLPAFKEKERLLQAIARNQVIVISGETGCGKTTQLPQYILESEIETGRGAFCSIICTQPRRISAMAVAERVSAERGEPLGETVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLILMSATLNAELFSNYFGGAPKIHIPGFTYPVRAHFLEDVLEFTGYKLTSFNQIDDYGQDKMWKMQRQLAPRKRKNQITALVEDALNKSSFENYSSRARDSLACWMPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQKLIFEKSPPNIRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPRCVYEAFSEYQLPELLRTPLNSLCLQIKSLQVESIGEFLSAALQAPEPLAVQNAVGFLKMIGALDEKENLTSLGKFLSMLPVDPKLGKMLIMGAIFCCFDPVLTIVSGLSVKDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSVYEYCWRNFLSAQTLQAIHSLRKQFSFILREAGLVDTDAGSNNKLSHNQSLVRAVICSGLFPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSMLMLFGGALSCGVQVISFVDDLLN >EOY18363 pep chromosome:Theobroma_cacao_20110822:10:3212611:3215978:-1 gene:TCM_042968 transcript:EOY18363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydroascorbate reductase 2 isoform 1 MALEICVKAAAGAPDVLGDCPFCQRVVLTLEEKKVPYKMHLVNLSDKPRWFLEISPEGKVPVVKFDDKWVPDSDVIVGILEEKYPEPSLKTPPEFASVGSKIFGTFITFLKSRDANDGSEQALLNELKALDEHLKGQGPFIAGEKITAIDLSLGPKLYHLEIALGHFKKWTIPESLTCVHGYLKLIFSQESFVKTSVAKEFVITGWAPKVNA >EOY18362 pep chromosome:Theobroma_cacao_20110822:10:3212510:3216021:-1 gene:TCM_042968 transcript:EOY18362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydroascorbate reductase 2 isoform 1 MALEICVKAAAGAPDVLGDCPFCQRVVLTLEEKKVPYKMHLVNLSDKPRWFLEISPEGKVPVVKFDDKWVPDSDVIVGILEEKYPEPSLKTPPEFASVGSKIFGTFITFLKSRDANDGSEQALLNELKALDEHLKGQGPFIAGEKITAIDLSLGPKLYHLEIALGHFKKWTIPESLTCVHGYLKLIFSQESFVKTSVAKEFVITGWAPKVNA >EOY18686 pep chromosome:Theobroma_cacao_20110822:10:4434882:4438781:1 gene:TCM_043182 transcript:EOY18686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transketolase, putative MPSLLFPPQPSLLPRSELFSLIPKETTKTSKRSRFNTSSPVVLPKPTLSTGQKASRNTIYSHGNKNSNKSEENFDDNNINDASPEDLVDRRCVDNLRMLTVDAVQSAKAGHPGMPLGMAEVGYVPYRHVMRYNPKHPKWFNRDRFVLIAGHEKIVTDGVEVTTGPRGQGVANAVGLALAEAHLAARFNKPDAVIVDHRTYCLMGDGCAMEGITLEAASLAAHWKLNKLTLFYDDNQNTIDGPTSLAFSEDISVRFKALCWNTITVDNLYDDIGTFKDALHSAFSETETPTFIKVKTVIGKLSEKEGTSRAHHGMFDEDDMTNMKEKVNWVREEQPFHVIPTVYRFEMQLQAERGEELEREWYSKLCLNQLAKVLPGLIGGSADLATANKAYLHDYQDFCQPDAPWGCNICYGVREHAMAGISNGIALHGSGLIPFTATFLVFSDYMKNSIRLSALSHAGVIYILTHDLIGLGEDGPTHQLVERLAGLRAVPRLLVFRPADGNETAEAYKIAIANRDSPSFIALSRQKVSPNLEGTSADKVDRGGYIVSDNSGKEMPKIILIGTGTQLPMEHKELVLPSSVRKRLRVEAGSPMSWREYVGDEGAVIATEKFGASGAY >EOY18468 pep chromosome:Theobroma_cacao_20110822:10:3533419:3542327:1 gene:TCM_043034 transcript:EOY18468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 2 MRSSATSITTTTKAANSPIITFEHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSDRWNDFLERQAESAQLPVNGISSEEGKDASHAEAAEDGNNEVQKEAEGDDLCEKKPGSDSLSENDTEKDKVQSAPEKRVHRIQIWTEIRPSLRAIEDMMSIRVKKKGSLKDEQETGRGKPLTPTDEARFPKGASEEDSEDEFYDAERSDPVLDASTGESMSTTTGAAAAVDTAPTESLFPWKEELEVLVRGGVPMALRGELWQAFVGVKTRRVDKYYQDLLANENNSGQNTEQQSLQTDSKDQTTESIGGPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWALMGIIDDYFDGYYSEEMIESQVDQLVFEELVHERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLYEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEKRLHELREKHRPAVIAAIEERSKGLQAWRDTQGLASKLYNFKHDPKSMLMETNKTGRLVDSQANGNLSRSESGSTNADEVFVSLTGDAELDAGTDLQEQLVWLKVELCRLLEEKRSAVLRSEELETALMEMVKQDNRRQLSARVEQLEQEVAELRKALSEKQEQENAMLQVLMRVEQEQRVTEDARRFAEQDAAAQRYAAQVLQEKYEDAIASLAEMEQEIPARKISLLSRPFGLGWRDRNKGKPSTGDGVNDGKPSNEGQNTEIQQKDTNAKETNGKDTNDKDTNDKETNSVEVQDKE >EOY18463 pep chromosome:Theobroma_cacao_20110822:10:3530618:3542341:1 gene:TCM_043034 transcript:EOY18463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 2 MLKVDEFSRPQRSCCRSCLFPVFDLRVKKSPTWNSRKQKNLRKILSFRHRHPSQTNQIDPPYQEQMRSSATSITTTTKAANSPIITFEHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSDRWNDFLERQAESAQLPVNGISSEEGKDASHAEAAEDGNNEVQKEAEGDDLCEKKPGSDSLSENDTEKDKVQSAPEKRVHRIQIWTEIRPSLRAIEDMMSIRVKKKGSLKDEQETGRGKPLTPTDEARFPKGASEEDSEDEFYDAERSDPVLDASTGESMSTTTGAAAAVDTAPTESLFPWKEELEVLVRGGVPMALRGELWQAFVGVKTRRVDKYYQDLLANENNSGQNTEQQSLQTDSKDQTTESIGGPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWALMGIIDDYFDGYYSEEMIESQVDQLVFEELVHERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLYEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEKRLHELREKHRPAVIAAIEERSKGLQAWRDTQGLASKLYNFKHDPKSMLMETNKTGRLVDSQANGNLSRSESGSTNADEVFVSLTGDAELDAGTDLQEQLVWLKVELCRLLEEKRSAVLRSEELETALMEMVKQDNRRQLSARVEQLEQEVAELRKALSEKQEQENAMLQVLMRVEQEQRVTEDARRFAEQDAAAQRYAAQVLQEKYEDAIASLAEMEKRVVMAESMLEATLQYQSGQSKAQPSPRSSNPDSPARTNQELQQEIPARKISLLSRPFGLGWRDRNKGKPSTGDGVNDGKPSNEGQNTEIQQKDTNAKETNGKDTNDKDTNDKETNSVEVQDKE >EOY18467 pep chromosome:Theobroma_cacao_20110822:10:3533448:3542327:1 gene:TCM_043034 transcript:EOY18467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 2 MRSSATSITTTTKAANSPIITFEHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSDRWNDFLERQAESAQLPVNGISSEEGKDASHAEAAEDGNNEVQKEAEGDDLCEKKPGSDSLSENDTEKDKVQSAPEKRVHRIQIWTEIRPSLRAIEDMMSIRVKKKGSLKDEQETGRGKPLTPTDEARFPKGASEEDSEDEFYDAERSDPVLDASTGESMSTTTGAAAAVDTAPTESLFPWKEELEVLVRGGVPMALRGELWQAFVGVKTRRVDKYYQDLLANENNSGQNTEQQSLQTDSKDQTTESIGGPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQQAMNFFAALLLLLMPEENAFWALMGIIDDYFDGYYSEEMIESQVDQLVFEELVHERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLYEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEKRLHELREKHRPAVIAAIEERSKGLQAWRDTQGLASKLYNFKHDPKSMLMETNKTGRLVDSQANGNLSRSESGSTNADEVFVSLTGDAELDAGTDLQEQLVWLKVELCRLLEEKRSAVLRSEELETALMEMVKQDNRRQLSARVEQLEQEVAELRKALSEKQEQENAMLQVLMRVEQEQRVTEDARRFAEQDAAAQRYAAQVLQEKYEDAIASLAEMEKRVVMAESMLEATLQYQSGQSKAQPSPRSSNPDSPARTNQELQQEIPARKISLLSRPFGLGWRDRNKGKPSTGDGVNDGKPSNEGQNTEIQQKDTNAKETNGKDTNDKDTNDKETNSVEVQDKE >EOY18465 pep chromosome:Theobroma_cacao_20110822:10:3533710:3541158:1 gene:TCM_043034 transcript:EOY18465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 2 MRSSATSITTTTKAANSPIITFEHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSDRWNDFLERQAESAQLPVNGISSEEGKDASHAEAAEDGNNEVQKEAEGDDLCEKKPGSDSLSENDTEKDKVQSAPEKRVHRIQIWTEIRPSLRAIEDMMSIRVKKKGSLKDEQETGRGKPLTPTDEARFPKGASEEDSEDEFYDAERSDPVLDASTGESMSTTTGAAAAVDTAPTESLFPWKEELEVLVRGGVPMALRGELWQAFVGVKTRRVDKYYQDLLANENNSGQNTEQQSLQTDSKDQTTESIGGPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWALMGIIDDYFDGYYSEEMIESQVDQLVFEELVHERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLYEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEKRLHELREKHRPAVIAAIEERSKGLQAWRDTQGLASKLYNFKHDPKSMLMETNKTGRLVDSQANGNLSRSESGSTNADEVFVSLTGDAELDAGTDLQEQLVWLKVELCRLLEEKRSAVLRSEELETALMEMVKQDNRRQLSARVEQLEQEVAELRKALSEKQEQENAMLQVLMRVEQEQRVTEDARRFAEQDAAAQRYAAQVLQEKYEDAIASLAEMEKRVVMAESMLEATLQYQSGQSKAQPSPRLQIIESRFTSTHQSRAPTRNSCTKD >EOY18466 pep chromosome:Theobroma_cacao_20110822:10:3533419:3542327:1 gene:TCM_043034 transcript:EOY18466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 2 MRSSATSITTTTKAANSPIITFEHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSDRWNDFLERQAESAQLPVNGISSEEGKDASHAEAAEDGNNEVQKEAEGDDLCEKKPGSDSLSENDTEKDKVQSAPEKRVHRIQIWTEIRPSLRAIEDMMSIRVKKKGSLKDEQETGRGKPLTPTDEARFPKGASEEDSEDEFYDAERSDPVLDASTGESMSTTTGAAAAVDTAPTESLFPWKEELEVLVRGGVPMALRGELWQAFVGVKTRRVDKYYQDLLANENNSGQNTEQQSLQTDSKDQTTESIGGPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWALMGIIDDYFDGYYSEEMIESQVDQLVFEELVHERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLYEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEKRLHELREKHRPAVIAAIEERSKGLQAWRDTQGLASKLYNFKHDPKSMLMETNKTGRLVDSQANGNLSRSESGSTNADEVFVSLTGDAELDAGTDLQEQLVWLKVELCRLLEEKRSAVLRSEELETALMEMVKQDNRRQLSARVEQLEQEVAELRKALSEKQEQENAMLQVLMRVEQEQRVTEDARRFAEQDAAAQRYAAQVLQEKYEDAIASLAEMEKRVVMAESMLEATLQYQSGQSKAQPSPRSSNPDSPARTNQELQQEIPARKISLLSRPFGLGWRDRNKGKPSTGDGVNDGKPSNEGQNTEIQQKDTNAKETNGKDTNDKDTNDKETNSVEVQDKE >EOY18464 pep chromosome:Theobroma_cacao_20110822:10:3533419:3542327:1 gene:TCM_043034 transcript:EOY18464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 2 MRSSATSITTTTKAANSPIITFEHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSDRWNDFLERQAESAQLPVNGISSEEGKDASHAEAAEDGNNEVQKEAEGDDLCEKKPGSDSLSENDTEKDKVQSAPEKRVHRIQIWTEIRPSLRAIEDMMSIRVKKKGSLKDEQETGRGKPLTPTDEARFPKGASEEDSEDEFYDAERSDPVLDASTGESMSTTTGAAAAVDTAPTESLFPWKEELEVLVRGGVPMALRGELWQAFVGVKTRRVDKYYQDLLANENNSGQNTEQQSLQTDSKDQTTESIGGPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWALMGIIDDYFDGYYSEEMIESQVDQLVFEELVHERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLYEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEKRLHELREKHRPAVIAAIEERSKGLQAWRDTQGLASKLYNFKHDPKSMLMETNKTGRLVDSQANGNLSRSESGSTNADEVFVSLTGDAELDAGTDLQEQLVWLKVELCRLLEEKRSAVLRSEELETALMEMVKQDNRRQLSARVEQLEQEVAELRKALSEKQEQENAMLQVLMRVEQEQRVTEDARRFAEQDAAAQRYAAQVLQEKYEDAIASLAEMEKRVVMAESMLEATLQYQSGQSKAQPSPRSSNPDSPARTNQELQQEIPARKISLLSRPFGLGWRDRNKIYRTKQGKPSTGDGVNDGKPSNEGQNTEIQQKDTNAKETNGKDTNDKDTNDKETNSVEVQDKE >EOY17890 pep chromosome:Theobroma_cacao_20110822:10:1331167:1333811:1 gene:TCM_042592 transcript:EOY17890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2 isoform 2 MAASEHTVLQFNAPSTVSLSAKVRPLVIFNICDCYVRRPDQAERVIGTLLGSVLPDGTVDIRNSYAVPHTESSEQVALDIEYHHNMLVSHQKVNPKEVIVGWYSTGLGVTGGSALIHDFYSREVPNPVHLTVDTGFRNGEGTIKAYVSVNLSLGDLQLAAQFQEIPLDLRMVEAERLGFDILKTTAVDKLPNDLEGMEVTMERLLALIDDVYKYVDDVVEGRVAADNSIGRFIADTVASLPKLSPPAFDKLVNDSLQDELLLLYLSSITRTQLGLAEKLNTAAQVL >EOY17891 pep chromosome:Theobroma_cacao_20110822:10:1331315:1333179:1 gene:TCM_042592 transcript:EOY17891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2 isoform 2 RVIGTLLGSVLPDGTVDIRNSYAVPHTESSEQVALDIEYHHNMLVSHQKVNPKEVIVGWYSTGLGVTGGSALIHDFYSREVPNPVHLTVDTGFRNGEGTIKAYVSVNLSLGDLQLAAQFQEIPLDLRMVEAERLGFDILKTTAVDKLPNDLEGMEVTMERLLALIDDVYKYVDDVVVGRVAADNSIGRFIADTVASLPKLSPPAFDKLVNDSLQ >EOY18969 pep chromosome:Theobroma_cacao_20110822:10:6998864:7012577:1 gene:TCM_043509 transcript:EOY18969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein MGFLDLFVVAVMPVLKVLLVTGVGLFLATDGINLLGPEARNYLNKIVFYLFGPSLVVSNLAETVTLESLVTMWFMPVNIFLTFIIGSALGWILIKITKTPEHLRGMVIGCCSAGNLGNLLLIIVPAVCTESNTPFGDSCSTYAEAYASLSMAVGAIFIWSYAYPFVYAYASKSMEQNSSEGAPQSFPDSCTEALLPSRDCSNSEDYSGQGVLPLTNSDKRIKMSVVKKTVESIKIIMGKIDLKRVFAPSAIAAVVGFIIGTISPIRKVLIGDNAPLHVIDTSVSLIAEAAIPCMTLIMGANLLKGLKRSEVSMLVIIGIVAVRNIFLPLSGIGVVKAAHHFGMVGSDSLYRFVLMLQYAVPPAMSVGTMTQLFQSGQGESSMIMLWTYAVASISLTLWSMLFMWLLS >EOY20074 pep chromosome:Theobroma_cacao_20110822:10:24688035:24691139:1 gene:TCM_045474 transcript:EOY20074 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 1 MEDFSDMGQGNGKKKNKDRISELTDELLLKIMSFLNTKHAVQTCVLSKRWKKLWESLRYLDFNYNTFPFKRKIVHLDHLELEMKMCSFSNFISQVLFRRDSYDLVKVCVQSPNYNPHASVLAGLICYAVKHNVQHLTFQLNFRGGLPFSLPQSLYTCQSLTSLELKRNDWMAIELPTLLACRALKSLHLSHFSMAGPNFEPTAFSGCPNLETLQLFDIVPESENLCINADKLRSLVLSFALLIDGKVEIYAPRLTTFKYSGILPMVCLTDNLASVDDVYFDIRTPRFIHNEEEYVIRLINTFKEFPHAKSLTLSTSTIKVLSKFPSLVVQNRLPFANLKHLNIKIKKWQRKRFEMPACILNYFLNRSSVLKICMDSEIASYDSSEDSD >EOY20075 pep chromosome:Theobroma_cacao_20110822:10:24688581:24694687:1 gene:TCM_045474 transcript:EOY20075 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 1 MKMCSFSNFISQVLFRRDSYDLVKVCVQSPNYNPHASVLAGLICYAVKHNVQHLTFQLNFRGGLPFSLPQSLYTCQSLTSLELKRNDWMAIELPTLLACRALKSLHLSHFSMAGPNFEPTAFSGCPNLETLQLFDIVPESENLCINADKLRSLVLSFALLIDGKVEIYAPRLTTFKYSGILPMVCLTDNLASVDDVYFDIRTPRFIHNEEEYVIRLINTFKEFPHAKSLTLSTSTIKVLSKFPSLVVQNRLPFANLKHLNIKIKKWQRKRFEMPACILNYFLNRSSVLKYAWITKWQAMRVLRIAINLGFVNSF >EOY19083 pep chromosome:Theobroma_cacao_20110822:10:9643489:9665529:-1 gene:TCM_043763 transcript:EOY19083 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MIFDNGCSQGNRETNSKALQGFGLTFRAISVYRDTAAVVTGSRGIIMPPRRGRPPLTRSVGRGRGRSQRHQPDTVEEESAASTIRAAPAAEQADSPPHPPSPQPPTGIPAMPTEAAQALAAFFAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVPPVVPPATPLVPPPIQDVSISKKLKEARQLGCVSFTGELDATVAKDWINQVSETLSDMGLDDDMKLMVATRLLEKRARTWWNSVKSRSATPQTWSDFLREFDVIGREPHKEVVQMALRAEKLVTENRRIRTEFAKRRNPGMSSSQPVKRGKDSATSGSTTSVSVTSPRPPFPPSQQRPSRFSRSAMTGSRKSLGVRTSHCSCIISTSSHRYTEERFFWVTTETRSTIRSGVESNTPSHPPSRPQTRTATRVFAMTEDEAQVRPGAVTGTMSLFDKDAYVLIDSGSDRSYVSTTFASITDRNLSPLEEEIVVHTPLGEQLIRNTCYRDCGVRVGEEEFRGDLIPLEILDFDLILGMDWLTAHRANVDCFRKEVVLRNSEGAEIVFVGERRVLPSYVISAIKVSKLVQKGYPTYLAYVIDTSKGEPKLEDVPIVSEFSDVFPDNLPRIPPNRELEFPIDLLPSTVPISIPPYRMAPAELKELKAQLQDLVDKGFIRPSISPWGAPVLFVKKKDGTLRLCIDYRQLNRLRIKEQDVPKTAFKTRYGHYEFLVMPFGLTNAPAVFMDLMSRVFHPYLDKFVIVFIDDILVYSKNDDEHAAHLRIVLQTLRERQLYAKFSKCEFELADALSRKSSSSLATLRSSYFPMLLEMKSLGIQLNNGEDGTLLASFVVRPSLLNHIRELQKFDDWLKQEVQKLQDGKASVTTRNLPQAHDNRRDVPIDTHYPECQPEPRKAYISVSFLSFHGNPSLQ >EOY18842 pep chromosome:Theobroma_cacao_20110822:10:5358286:5359695:1 gene:TCM_043333 transcript:EOY18842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDQCSPLSWGYCYQEEGMEELKHTLLYTTLELETTLISAKEEITRREFELIHLKDVLSRTIKERDEAQARCQKLMLEKFILQQQLQQKEQLQQQHQQETASLSGVSSSEDESKPGHSNKNLSSSDSNRSIISSPVSDSIPHPVHPPSQPQSPLPQEALKLAANKRLPEKGKLLQAVKDAGPLLQNLLLAGPLPQWQHPPPQLTSIDIPPVAISSPTQHLIHQDSFNNLNGCLSKKRGAENYEGSEPSPNNKYQKVVLH >EOY19948 pep chromosome:Theobroma_cacao_20110822:10:24041949:24045442:-1 gene:TCM_045349 transcript:EOY19948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 34 MSNSLTNCRMLQVLDIGNNINDIFPVGWELFRSFRYLFSIPINPMLFCQVQGGTFLFQIKLRILYLSDNDFSGPLPTRYFESVMAMKSIGEGERELKYLGQKYYQDSVRVTLKGIEVELVRILTIFTTIDLSINSFHGEILEVIGEHRPLKVLNFSLNSLTGRIPTSLARLNLPQNQLVGSIPRGNQFDTFENDSYIGSLGLCGWPLSKKCSSDVAPETPSSESEGNGDLFLDGLGWKAVVIGYGSGVVVGNAVGYIVFLTGKPRSLVRIIERNHHRKMRKTNQRHRETRNS >EOY18294 pep chromosome:Theobroma_cacao_20110822:10:2837732:2841850:-1 gene:TCM_042894 transcript:EOY18294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase THESEUS 1 MPQNIKRRKQNLIKLQLSQFPHTSQTFFSFKYLMQSVKSSHSHRPSLGNSVITTITLIPQAPNSSLPIDVFLIDCGGSNPVELDDSRVFHPDSSTPNVGLSPSSDVVSGNEMSISGNSALYNSARVFEKSSAYTIRTKQIGHHWLRLHFFPLQDTKYNLKSAVFSVVSNGITLLHQFSYWKSGQNSPLVKEYVIEVGGSSSKKLELTFSPCNGSIAFINGIEVVAVPNLYFPSRVVPVPLGPEVEIPKHAALETAYRINMGGPLLTPKNDSMWRIWEPDQPYLVNAASALDVMVNPNLIKYPDGIPAEIAPNWVYATAQEMAEANVTDQRFNISWTFEVEEGFTYLIRMHFCDIVSIALNSLVFNVYINKQSALSSFDISSKTMALSAAYYVDFLTNVSMGSNQILVQIGPPDLRNLPSNAILNGLEIMKMSNTCDSLDGNVCVSSGNSKFPRKILIVLAISSAAVFAVLMVMVATFFLYLRQPKRPKRCPSTWFPFLANVGNSDSKVSICSLASTAQTHGLGRVLSFSEIREATKNFDESLVIGVGGFGKVYKGMLENGVMVAVKRGNPGSRQGLTEFRTEILMLSKLRHRHLVSLIGYCEEQNEMILVYEFMAGGPLRKHLYGSNHPPLSWKQRLEICIGAAKGLHYLHTGAADSIIHRDVKTTNILLDENFTAKVADFGLSKLGPTLDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEILCARPAINPALPREQVNIAEWAMHWQKRGLLERIIDPHLAGFINLDSLRKFGETAEKCLAEHGTDRPTMGDVLWNLEYALQLQEASIQNDSSDNSANHIPEIPGWIPLVELISANRFDSISDQASDATTTTSDVFSQLMDPKGR >EOY18741 pep chromosome:Theobroma_cacao_20110822:10:4739286:4740687:1 gene:TCM_043245 transcript:EOY18741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESIPRKLFNLFSSLSPPTCLSLLPVVKLDNVVRQVNCPSPCGIYLNSGQLNISRCLRLIIFPMSLGNFTSLLQQSKSSVCKICNLATKLASATDLATSCIRSCILQLSILPKSSSFAS >EOY18968 pep chromosome:Theobroma_cacao_20110822:10:6979047:6990335:-1 gene:TCM_043508 transcript:EOY18968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase family protein isoform 1 MNSNHLLLEEPMRMASILEPSKPTFFPAMTKIVGTLGPKSRSVEIISGCLKAGMSVARFDFSWGDTEFHQETLENLKIAVKSTKKLCAIMLDTGGPELQVVNRTEHPISLEEDTKVVLTPDQDKQATSNLLPINFHGLSKAVKKGDTIFIGQYLFTGNETTSVWLEVDELSGEDVVCLIKNSATLSGPLYTLHVSQIRIDMPTLTDKDKEVISTWGVRNNIDFLSLSYTRHAEDVRHARDFLSKLGDLNQTQILAKIENIEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAAVYKCNMVGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAIILGAETLRGLYPVETVSTVGKICAEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASAIICFTSSGRAARADCKVQANHACDFCCYPSAKDKSTAVDFLWCF >EOY18967 pep chromosome:Theobroma_cacao_20110822:10:6978912:6990437:-1 gene:TCM_043508 transcript:EOY18967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase family protein isoform 1 MNSNHLLLEEPMRMASILEPSKPTFFPAMTKIVGTLGPKSRSVEIISGCLKAGMSVARFDFSWGDTEFHQETLENLKIAVKSTKKLCAIMLDTGGPELQVVNRTEHPISLEEDTKVVLTPDQDKQATSNLLPINFHGLSKAVKKGDTIFIGQYLFTGNETTSVWLEVDELSGEDVVCLIKNSATLSGPLYTLHVSQIRIDMPTLTDKDKEVISTWGVRNNIDFLSLSYTRHAEDVRHARDFLSKLGDLNQTQILAKIENIEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAAVYKCNMVGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAIILGAETLRGLYPVETVSTVGKICAEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASAIICFTSSGRAARLIAKYRPTMPVISVVIPRLKTNQLRWTFSGAFEARQSLIVRGLFPMLADPRHPAESKNSTNESVLKVALDHGKASGVIKPHDRVVVCQKLGDASVVKILELED >EOY19543 pep chromosome:Theobroma_cacao_20110822:10:18612006:18613033:-1 gene:TCM_044674 transcript:EOY19543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQVLKHKQKQSNTKGFRNLITKTMMAANAKYTNVKFTNSSDQILKQNAVTIWDGPLGSVVPLVINVGSQVEFNQVGSLVGFECKFGETCCTLIVAWSNNGVSNKVYAQILPAGPGTHTVEWAQIRAKLEKSGSNYDTGNQFGYKCTLEIDPKSGTPTMKGTFTTVN >EOY17523 pep chromosome:Theobroma_cacao_20110822:10:79980:84956:-1 gene:TCM_042338 transcript:EOY17523 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR-signaling kinase 2 isoform 1 MFLFVLPSSQSVPTPSSRLFMLSTNLYFYYYYYYYVMLTKILPWSYYLYKKPYFILSHQKLTLGVSIILSIHNKQKGQKYSLGTPHSTPHFSQLSFFPLLSPASPNSQVLFQVPTILYHTYSILYTGVLLLLLLLLILLYVNKGRPTVMGCFQSKTTHLPSPDQDPPPQTKPDLDGDGEQSSLPAFKEFGLVELRAATNGFSSELIVSESGEKAPNVVYRGKLKNNRVVAIKRFSRQSWPDPHQFVNEAAGVGKVRHKRLVNLIGCCAEGDERLLVADFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNLLLLMDSSLEGQYANEDASELVELASKCLQYEARDRPDIEFLLSAVVPLQKQKEVASHVLMGLSRTPSLLPTMLSALGKACARMDLTAVHDILLKTGYRDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKNAIDYYSKLVSMMSVPSGTVFVRRALSFLMIGQPEFALRDAMQAQVCLPEWPTAFYMQALALSKLGMETDAQDMLNDGASFEAKKQNGWRV >EOY17521 pep chromosome:Theobroma_cacao_20110822:10:79807:85661:-1 gene:TCM_042338 transcript:EOY17521 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR-signaling kinase 2 isoform 1 MLSTNLYFYYYYYYYVMLTKILPWSYYLYKKPYFILSHQKLTLGVSIILSIHNKQKGQKYSLGTPHSTPHFSQLSFFPLLSPASPNSQVLFQVPTILYHTYSILYTGVLLLLLLLLILLYVNKGRPTVMGCFQSKTTHLPSPDQDPPPQTKPDLDGDGEQSSLPAFKEFGLVELRAATNGFSSELIVSESGEKAPNVVYRGKLKNNRVVAIKRFSRQSWPDPHQFVNEAAGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWEKQPLPWEMRLRVAYHIAQALDHCNAENRKIYHDLNAYRVLFDEDGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNLLLLMDSSLEGQYANEDASELVELASKCLQYEARDRPDIEFLLSAVVPLQKQKEVASHVLMGLSRTPSLLPTMLSALGKACARMDLTAVHDILLKTGYRDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKNAIDYYSKLVSMMSVPSGTVFVRRALSFLMIGQPEFALRDAMQAQVCLPEWPTAFYMQALALSKLGMETDAQDMLNDGASFEAKKQNGWRV >EOY17522 pep chromosome:Theobroma_cacao_20110822:10:81380:84928:-1 gene:TCM_042338 transcript:EOY17522 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR-signaling kinase 2 isoform 1 MFLFVLPSSQSVPTPSSRLFMLSTNLYFYYYYYYYVMLTKILPWSYYLYKKPYFILSHQKLTLGVSIILSIHNKQKGQKYSLGTPHSTPHFSQLSFFPLLSPASPNSQVLFQVPTILYHTYSILYTGVLLLLLLLLILLYVNKGRPTVMGCFQSKTTHLPSPDQDPPPQTKPDLDGDGEQSSLPAFKEFGLVELRAATNGFSSELIVSESGEKAPNVVYRGKLKNNRVVAIKRFSRQSWPDPHQFVNEAAGVGKVRHKRLVNLIGCCAEGDERLLVADFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNLLLLMDSSLEGQYANEDASELVELASKCLQYEARDRPDIEFLLSAVVPLQKQKEVASHVLMGLSRTPSLLPTMLSALGKACARMDLTAVHDILLKTGYRDEEGAENEVGYGYLTSQWYKNVLLLSLKNRQK >EOY20050 pep chromosome:Theobroma_cacao_20110822:10:24554443:24558230:1 gene:TCM_045446 transcript:EOY20050 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 10 MENTGKVLMQKYEFGRLLGQGNFAKVYYARNIESSQSVAIKVIDKEKVLKVGMIDQTKREISVMSLVKHPNILELYEVMASKSKIYFVMEYAKGGELFNKVAKGKLREDMARKYFQQLISAVDFCHSRGVYHRDLKPENLLLDEDGILKVSDFGLSALTESKHQDGLLHTTCGTPAYVAPEVINRKGYDGAKADIWSCGVILYVLLAGYLPFHDSNLIAMYRKISKADYKFPGWFSPEVTKLLSRILNPNPKARISIAKIMSNPWFKKGFNSKPVQRKAEKELAHVDIDAVFGSETNHIAFEAKKDMAKLTNLNAFDIISLSSGFDLSGLFAENDKKKDVQFTSMHTASTITSKLEDIAQHLKLKVKKDGGLLKLEGSNGGRKGALAIDAEIFEFTPSFHLVELRKSSGDTLEFRKTLQQDVRPALKDIVWAWQGEQQQQQQQQQHLQSS >EOY17741 pep chromosome:Theobroma_cacao_20110822:10:716979:717992:1 gene:TCM_042480 transcript:EOY17741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLEKKKVLASSYFPTLTYVYHNQTPPPNPLRGNGIGKHNPATAYSPALYISPSVVSNTLEEVISSQQQREELYRRAREGETVVPGGTGCKSLEAQEHLAEGSSREGQTRNEQIGTEGYQ >EOY19094 pep chromosome:Theobroma_cacao_20110822:10:9977688:9978367:-1 gene:TCM_043802 transcript:EOY19094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTGQGNGQRRSESGAWVTNESVDFLIGSGEHSPMGEQNANLTNSLVGNNQSSPTIAWPRERMEDHVDNPPTQESVSGKCMHNKKLSDVPSDPSFFETKFTKIEVHLRIRHRKHSDAEILIDKILSLVSDNRGYVGK >EOY18552 pep chromosome:Theobroma_cacao_20110822:10:3818522:3825353:-1 gene:TCM_043083 transcript:EOY18552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 2 MVSTNDPIESISNSIQFIKEAFLPLEFGIKKAAKDLESCWGVSNDKGNNVELIAQLNGSDRNGKVQMFGVKRSSGSFGGSGVNNGQCCVGGEERKKGLSIKVPIKAFMGMFLPANEQNNEKVKMVRKGLKDKDVDRDEGSCMNCLQFAMTWSVLVNSFVQAIPSLFKSGRKQIQKMGDKDEVCLNSYSHDMKLKSSFEFERKESRAQFVAENEGLEHNDGKRVSFECLIGFIFDQLTQNLQKFDQLLQESNQKHCDCPSAPSPPAHFDHLKAVTSLWEGRKADVNGFLGNLKFARVGGVPSGIVGVASSVNEEGDDGVTTGSREEAGGNSPQKLASGILSIPLSNVERLRSTLSTVSLTELIELLPPLGRSSQDHPDKKKLFSVQDFFRYTESEGRRFFEELDRDGDGQVTLEDLEVAMRKRKLPRRYAREFMRRTRSNLFSKSFGWKQFLSLMEQKEPTILRAYTSLCLSKSGTLKKSEILASLKNAGLPANEDNAVAMMRFLNADTEESISYGHFRNFMLLLPSDRLLQDDPRNIWFEAATVVAVAPPVEIPAGSVLKSALAGGLSCALSTSLMHPVDTIKTRVQASTLTFPEIISKLPQIGVRGLYRGSVPAILGQFSRFCIVFIVYYSFFHSDFDFTFVIYPSVWFYFNNCSHGLRTGIFEASKLVLINVAPNLPDIQVQSMASFCSTLLGTAVRIPCEVLKQRLQAGLFDNVGQALVGTWQQDGLKGFFRGTGATLCREVPFYVAGMGLYAESKKLAQQLLRRELEPWETIAVGALSGGLAAVVTTPFDVMKTRMMTAPGGRPISMSLVAFSILRHEGPLGLFKGAVPRFFWIAPLGAMNFAGYELARKAMDKNEDAATDQLSQKKLANSG >EOY18551 pep chromosome:Theobroma_cacao_20110822:10:3818522:3825325:-1 gene:TCM_043083 transcript:EOY18551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 2 MVSTNDPIESISNSIQFIKEAFLPLEFGIKKAAKDLESCWGVSNDKGNNVELIAQLNGSDRNGKVQMFGVKRSSGSFGGSGVNNGQCCVGGEERKKGLSIKVPIKAFMGMFLPANEQNNEKVKMVRKGLKDKDVDRDEGSCMNCLQFAMTWSVLVNSFVQAIPSLFKSGRKQIQKMGDKDEVCLNSYSHDMKLKSSFEFERKESRAQFVAENEGLEHNDGKRVSFECLIGFIFDQLTQNLQKFDQLLQESNQKHCDCPSAPSPPAHFDHLKAVTSLWEGRKADVNGFLGNLKFARVGGVPSGIVGVASSVNEEGDDGVTTGSREEAGGNSPQKLASGILSIPLSNVERLRSTLSTVSLTELIELLPPLGRSSQDHPDKKKLFSVQDFFRYTESEGRRFFEELDRDGDGQVTLEDLEVAMRKRKLPRRYAREFMRRTRSNLFSKSFGWKQFLSLMEQKEPTILRAYTSLCLSKSGTLKKSEILASLKNAGLPANEDNAVAMMRFLNADTEESISYGHFRNFMLLLPSDRLLQDDPRNIWFEAATVVAVAPPVEIPAGSVLKSALAGGLSCALSTSLMHPVDTIKTRVQASTLTFPEIISKLPQIGVRGLYRGSVPAILGQFSSHGLRTGIFEASKLVLINVAPNLPDIQVQSMASFCSTLLGTAVRIPCEVLKQRLQAGLFDNVGQALVGTWQQDGLKGFFRGTGATLCREVPFYVAGMGLYAESKKQLLRRELEPWETIAVGALSGGLAAVVTTPFDVMKTRMMTAPGGRPISMSLVAFSILRHEGPLGLFKGAVPRFFWIAPLGAMNFAGYELARKAMDKNEDAATDQLSQKKLANSG >EOY18550 pep chromosome:Theobroma_cacao_20110822:10:3818443:3826958:-1 gene:TCM_043083 transcript:EOY18550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 2 MVSTNDPIESISNSIQFIKEAFLPLEFGIKKAAKDLESCWGVSNDKGNNVELIAQLNGSDRNGKVQMFGVKRSSGSFGGSGVNNGQCCVGGEERKKGLSIKVPIKAFMGMFLPANEQNNEKVKMVRKGLKDKDVDRDEGSCMNCLQFAMTWSVLVNSFVQAIPSLFKSGRKQIQKMGDKDEVCLNSYSHDMKLKSSFEFERKESRAQFVAENEGLEHNDGKRVSFECLIGFIFDQLTQNLQKFDQLLQESNQKHCDCPSAPSPPAHFDHLKAVTSLWEGRKADVNGFLGNLKFARVGGVPSGIVGVASSVNEEGDDGVTTGSREEAGGNSPQKLASGILSIPLSNVERLRSTLSTVSLTELIELLPPLGRSSQDHPDKKKLFSVQDFFRYTESEGRRFFEELDRDGDGQVTLEDLEVAMRKRKLPRRYAREFMRRTRSNLFSKSFGWKQFLSLMEQKEPTILRAYTSLCLSKSGTLKKSEILASLKNAGLPANEDNAVAMMRFLNADTEESISYGHFRNFMLLLPSDRLLQDDPRNIWFEAATVVAVAPPVEIPAGSVLKSALAGGLSCALSTSLMHPVDTIKTRVQASTLTFPEIISKLPQIGVRGLYRGSVPAILGQFSSHGLRTGIFEASKLVLINVAPNLPDIQVQSMASFCSTLLGTAVRIPCEVLKQRLQAGLFDNVGQALVGTWQQDGLKGFFRGTGATLCREVPFYVAGMGLYAESKKLAQQLLRRELEPWETIAVGALSGGLAAVVTTPFDVMKTRMMTAPGGRPISMSLVAFSILRHEGPLGLFKGAVPRFFWIAPLGAMNFAGYELARKAMDKNEDAATDQLSQKKLANSG >EOY19032 pep chromosome:Theobroma_cacao_20110822:10:8565076:8566601:-1 gene:TCM_043657 transcript:EOY19032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein isoform 3 MLGVLCARPPKPWILNSLSLIAHGGLAAHHHDSRLVEWPTHFADLSADDRRCRHHSTACRLGGSDGGAASIWHAILPCGGGGGGRRRGEVWKNVERKGEGSWNVAWDARPARWLHRPDSAWLLFGVCACLAPMIEFVDVNPDADDKIEGAELNLVSRLSADEKSSSSSSSVAAADNCKVTGVLADGRCLFRAIAHGACLRSGEDAPDENHQRELADELRAQVY >EOY19029 pep chromosome:Theobroma_cacao_20110822:10:8563683:8566597:-1 gene:TCM_043657 transcript:EOY19029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein isoform 3 MLGVLCARPPKPWILNSLSLIAHGGLAAHHHDSRLVEWPTHFADLSADDRRCRHHSTACRLGGSDGGAASIWHAILPCGGGGGGRRRGEVWKNVERKGEGSWNVAWDARPARWLHRPDSAWLLFGVCACLAPMIEFVDVNPDADDKIEGAELNLVSRLSADEKSSSSSSSVAAADNCKVTGVLADGRCLFRAIAHGACLRSGEDAPDENHQRELADELRAQVVNELLKRREETEWFIEGDFDAYVKEIQQPYVWGGEPEILMASHVLKTPISVYMIPRSSSNLTKIAKYGEEYQKDKENPINVLFHGYGHYDILESLPEQNCAQVNT >EOY19031 pep chromosome:Theobroma_cacao_20110822:10:8565207:8566461:-1 gene:TCM_043657 transcript:EOY19031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein isoform 3 MLGVLCARPPKPWILNSLSLIAHGGLAAHHHDSRLVEWPTHFADLSADDRRCRHHSTACRLGGSDGGAASIWHAILPCGGGGGGRRRGEVWKNVERKGEGSWNVAWDARPARWLHRPDSAWLLFGVCACLAPMIEFVDVNPDADDKIEGAELNLVSRLSADEKSSSSSSSVAAADNCKVTGVLADGRCLFRAIAHGACLRSGEDAPDENHQRELADELRAQVVNELLKRREETEW >EOY19030 pep chromosome:Theobroma_cacao_20110822:10:8563683:8566601:-1 gene:TCM_043657 transcript:EOY19030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein isoform 3 MLGVLCARPPKPWILNSLSLIAHGGLAAHHHDSRLVEWPTHFADLSADDRRCRHHSTACRLGGSDGGAASIWHAILPCGGGGGGRRRGEVWKNVERKGEGSWNVAWDARPARWLHRPDSAWLLFGVCACLAPMIEFVDVNPDADDKIEGAELNLVSRLSADEKSSSSSSSVAAADNCKVTGVLADGRCLFRAIAHGACLRSGEDAPDENHQRELADELRAQVSLVVNELLKRREETEWFIEGDFDAYVKEIQQPYVWGGEPEILMASHVLKTPISVYMIPRSSSNLTKIAKYGEEYQKDKENPINVLFHGYGHYDILESLPEQNCAQVNT >EOY18658 pep chromosome:Theobroma_cacao_20110822:10:4236150:4240347:1 gene:TCM_043153 transcript:EOY18658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein isoform 1 MRSLPLGTSITVSPATTTTTASSKSCLPPLSVSKKQPPPFLCSSSPRVSHDPSLSKSGVCRATQVVDLFSTLSPEIVVREARLEDCWEVAETHCSSFFPEYSFPLDFVLRVDRLVAMLSGFSIPPGCRRTCLVAVIGGSADDTFIFGSEDFKIGGFDGKFSLNRGYVTGILTVDSVADFLPRKGPLRQRRTGIAYISNVAVRERFRRKGIAKRLISKAEAQARSWGCRAIALHCDLNNPGAIKLYKGQGFRCIKVPEGANWPQPKTSPDVKFNFMMKLLNTPTMA >EOY18657 pep chromosome:Theobroma_cacao_20110822:10:4236323:4240633:1 gene:TCM_043153 transcript:EOY18657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein isoform 1 MRSLPLGTSITVSPATTTTTASSKSCLPPLSVSKKQPPPFLCSSSPRVSHDPSLSKSGVCRATQVVDLFSTLSPEIVVREARLEDCWEVAETHCSSFFPEYSFPLDFVLRVDRLVAMLSGFSIPPGCRRTCLVAVIGGSADDTFIFGSEDFKIGGFDGKFSLNRGYVTGILTVDSVADFLPRKGPLRQRRTGIAYISNVAVRERFRRKGIAKRLISKAEAQARSWGCRAIALHCDLNNPGAIKLYKGQGFRCIKVPEGANWPQPKTSPDVKFNFMMKLLNTPTMA >EOY18546 pep chromosome:Theobroma_cacao_20110822:10:3786346:3789045:-1 gene:TCM_043079 transcript:EOY18546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureidoglycolate hydrolases MEMQERPDESKPMVVKLKAIEVTPESFQEYGQVIEASPDGEEFGPKDAQLDLSKGIPRFHIMRLEDQPLKFATITHHASVTQCLGSIGGHVWYLGVAKPSIVDSKEIKNENSKANLQSRCGHFYLPPAVDDVQVFRISGPKFLKLNVGTWHAGPLFTEHSMDFYNLELSNTNEVDHTTHSFRKKDMVVFAIDD >EOY20045 pep chromosome:Theobroma_cacao_20110822:10:24544002:24547309:-1 gene:TCM_045444 transcript:EOY20045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-type tyrosine-protein phosphatase U MKRKKWSELEEQTLLSKYSDLLNSGTLSKLKTREKKFKPIADHVNSVHHLQDPITFPFKWSWRDVSIKVQNMRHQYLGVKQKIRISKDEFNWKDGENHWENFLKYKEVFGDVELEVKGKKGSESNGNGSDLFEDCCDLGFEIDSEDFEEEEEDDGVDGDGDGDDGGEEKVGSEGEFGGEREFGDVGISRVRKSRKGLGGSKGFGLLGTQVLELRDVVVRREEKRKEREFVREKGEMEREQKRRELEFGKEKRWSEREERVEDREMELEERELVWARREGDRRLRLEKELDEERRRRRRMEEKREEEEMDWKERLVGLQIEHEKTMMQMHMDACQNQMQILGVMARLFCQFYGSANDGLGAGLGGLPPQVLQNLQHPGGLGDNVKPDSNSPSEFI >EOY18338 pep chromosome:Theobroma_cacao_20110822:10:3096840:3101512:1 gene:TCM_042943 transcript:EOY18338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MDESLRSAALSGNIDALYALIEEDADVLRRIDEMEFVDTPLHIAAAAGHTEFARELMNLKPSFARKLNQSGSSPLHLALQNKQEKMVDDLLSIDKNLVRVKGREGYTPLHHAAREGNDSLLTKFLEKCPSSILDVTVRNETALHIAAKYNKLEALKAILEWLPISFHGSIINSEDKDGNTVLHIAASNNQTQMIKLLIQSKRVLKGKVNQSGSTALQVLEAQARDDSRESVNILKRAKVPAYIIIEKMFSPKIRGYIEIMTEIREMKTETVNTLLVVLSLILTMTYQAVLSPPIVVAKRIIILLVPLYVIMACCYSVAHAIIAPNPYVYFGASAVCGIILSFSIFYYLGVKANLIPFHAKGMEDGFLRDIDQMEFVDTPLHVAAAAGRAEFALELLDLKPSLATKLNQEGLGPMHLALQNEHAETVLSLLRFDKNLVRVEGKNGYTPFLYAVTKGDSPVMKGDRPVLNEFLKDCPQCFHDVTNRNETDLHVAVQNNSFEAFQVLKLWLWRLDCSVRQIKRILNFKNRDGDTALHIAASKNQPKIVRLLTDYGIMNMKATNWKNLTALGILQGQNQEDSGKCKEILLSANRAIFFGAIALIQLISQLKYNIKTMSGDKNNALLVVTVLILTATYQAALSPPGGVFQANSEPKNTTSQFQIPHSFHNISSSITNKIFKMDRSAAGSSVLHTAPFLFFFIPNIMAFGISFLQTCVVLISILPAVLSNALVLSLSMLVVCLLISSVRIISPNSLSVVVMYETVDLLVGLPVLVMFLTIFLRLVISLVISRLWRMAWKEN >EOY19754 pep chromosome:Theobroma_cacao_20110822:10:22128722:22164453:1 gene:TCM_045068 transcript:EOY19754 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR protein isoform 1 MEWGLHRLTSLTYLLIDGSNCTDATSFPQEEIGMKLPPSLINLYIGNFKNLRKLSSNGFQNLTSLQSLEIYHCPKLKSIPQKEMLPSLLQLDIGDCPVLKKRCKRDKGKQWSNIAHIPFVTIDGRFIYEWSRGRIKACRLRFGRH >EOY19755 pep chromosome:Theobroma_cacao_20110822:10:22106736:22283412:1 gene:TCM_045068 transcript:EOY19755 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR protein isoform 1 MEWGLHRLTSLTYLLIDGSNCTDATSFPQEEIGMKLPPSLINLYIGNFKNLRKLSSNGFQNLTSLQSLEIYHCPKLKSIPQKEMLPSLLQLDIGDCPVLKKRCKRDKGKQWSNIAHIPFVTIDGRFIYEWSRGRIKACRLRFGRH >EOY19960 pep chromosome:Theobroma_cacao_20110822:10:24084919:24107367:-1 gene:TCM_045360 transcript:EOY19960 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase, putative MASSSAGLLNIYINDYEIELQMRQIQQEKGDCLTQGHISILPERVHLDLQQNDFTEMVGIWEQWRRAHRDNFQNKYGHIAWLLYVPVDDQMLRAIVQFWDPSYRCFVFNKVDMTPTIEEYSSLLRIDHMQPDKIYWRAQKTGHRRKLAKLLGMTTDEQGLLAFAMAIYDLVVFPKVLGHVEVLVIDFFDQGRVTDEVTTGYHTWHDQRVKNVIHPPKNPSKHPVNPEPQDVLLESELTRKRLEKKMMNIKRRHEDELKEVKKETARKVRVALKERDEWQSKFEKVSVANSSLLARIQELQSANNALQHEVRRQGQTIQELKNDCDMLETAMEGYKAQYNTRARSKIMGDEHSERMDKIEKKQEEIMGQLSKILELISTDKGKKATGSSGTPEDVQQTETNTDPVYPPGFTPPPTRNASIPMPSVGQYPFFGMPIGPPPTYAQQRPIGGASPSDLISVPDLDDPKEQEKLKCGSVESKDNPDTHQKFNLFKERLRMIALLVLQQNGVNAIEREVYDKRNIREVETSMEKIFEALVKADMLEVWPECPNVNDSRDIQRLCCLYHKGCVGHSIQDCSSFRKEVQRMMDESKIKFYMEVSESAVNMISKESTHPMKIKPLTIFYEPKGEFVEDKTHAKMIIEVPKPFLYKDNKAVPWNYNCSVQVSKAKKWIAESQDDAANITSIGGITRSGCCYSPEAVENLKNEKGKEKEQSPRKERVMDIAPSYNYLLGRPWIHMVGAIPSSLHQKVKFIVEGKIVCVNGEEDLLISKPADTPYVEVAEEVPECSFRSFEFVNTTYVGEGTTPPIPRLSKTTKMVVSQILGKGYRAGAGLGKELQGIRSPIRTTKNEERFGLGYKPTKKEREEMIAERRKERLARFKGHELEIQGMTYPHLYETFRSGGCIFPELLTVGSRESVSTLGEAFSDLLICATEEGEEQSGNVDGIPTTYLGPPNLKLSSWTTMSLPVTCDSISKIPNNEYEDDNDSGFEVNFEKGYNQIKMAPKDREKTTFITMWGTFCYKVMPFGLKNAGATYQRAIVTLFHDMMHKEVEVYVDEMIVKARKTEDHATNLERLFKRLRKFQLRLNPAKCTFGVTSGKLLGFIVSERGIEVDPDKVQAIRDLSPPKTQKEVRGFLGRLNYIARFISQLTLKCDPIFKLLRKHNPGTWNEECQVAFNKVKEYLLRQHDETGKKKRAVYYLSKKFTKYESKYSSLKKMCCALAWTAHRLRQYILYHTTWLIAKLDPIKYIFEKPSLSGRVARWQVLLSEYDIIYVSQKAIKGSAIADFLAERVEEDYEPMEFEFPDEDLMSICQTNGEESKNENWKMFFDGASNALGHGVGVVLVSPEGDHYPVIAKLDFYCTNNVAEYEACVMGLQAAIERKIHILEVYGDSALVIYQLRGEWETRDSKLVRYHKYVSKLIENFDKICFTHLPREENQMADALATLAAMFKQYPDQSSENDKKTIRRLAMNFFLDGNILYKRSRDQTLLRCVDSTEARRIVEEVHEGVCGAHASGHKLARQVMRAGIHTPANSLHVLTSPWPFSMWGMDVIGLITPKASNGHRFILVAIDYFTKWVEAASYANVTQKVVCKFIQKEIICRYGLPKRIITDNASNLNGSMIKEVCAKFKIKHHNSTSYRPKMNGAVEAANKNIKRIIEKMTDIYKDWHEKLPFALHAYRTTVRTSTGATPFSLVYGMEAVLPIEVEIPSLRVLKEVQLEEAEWVNARYEQLNLIEEKRLTALCHGQLYQKRMMRAYDKKAHSRQFREGELVLKRTLPNQHDPRGKWTPNWEGPFVVKKAFSRGALILAEMDGMEFSNPGSGTCPLMPRDKAIERAPSRAQESGESKGQLSQVIREKIGFPGLRRRREYQLQIGAKDLLPAQEEEELSGGIEKERGGAAVPADGKGGELDLGIGVDEKSLVSILTKSHHEHKRSIRRGCSQFFFEDERQFERWNDDAIKTLKGEFKRFKDAVVLSLMHPWERDARLLKKALKKGPQQYGVIVEIACTRSSEQLLGARKAYHSLFERSIEEDLAAHIKDSERKLLVALVSAYRYEGPKVKEDTAKSEAKALLNAIKNADKRRLIEDEEVIRILTTRSKPHLKEVYEQYKKISGKSITEDFEAELFLKETVECLCTPHTYFTKVFDTALRVDANEDAKKALTRLITTQEAGNFKGVSAKFAHKIEERVKGAYKDVLLGVLARGEMNGQV >EOY18088 pep chromosome:Theobroma_cacao_20110822:10:2043964:2046272:1 gene:TCM_042736 transcript:EOY18088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase PP2C isoform 1 MAGICCGVVGESEAATPVETTSRASRRRRMELRPFKLVADAAVQSPLENGRKRQKIELDLLLPSSPRDCNNAVQNSDAKKLNGVNCNGTVKLESENSVEEEKVWPKFGMTSVCGRRRDMEDAVSIHPSFCKQSYQVQISSDIHFFGVFDGHGCSHVAMKCRDRFHEIVKEEIESWGEKAVEWKQTMERSFERMDKEVQDWTVDAKESSSCRCELQTPQCDAVGSTAVVAIVTPDKIIVANCGDSRAVLCRNGSAIPLSCDHKPDRPDELLRIQEAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPFVIPDPEVTITERKCEDECLILASDGLWDVVTNDTACGVARMCLRAQKPPSPPGSPGSDAAVRGGAAESSDKACGDASILLTKLALARHSTDNVSVVVVDLKKNQQPQ >EOY18089 pep chromosome:Theobroma_cacao_20110822:10:2044519:2045600:1 gene:TCM_042736 transcript:EOY18089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase PP2C isoform 1 MAGICCGVVGESEAATPVETTSRASRRRRMELRPFKLVADAAVQSPLENGRKRQKIELDLLLPSSPRDCNNAVQNSDAKKLNGVNCNGTVKLESENSVEEEKVWPKFGMTSVCGRRRDMEDAVSIHPSFCKQSYQVQISSDIHFFGVFDGHGCSHVAMKCRDRFHEIVKEEIESWGEKAVEWKQTMERSFERMDKEVQDWTVDAKESSSCRCELQTPQCDAVGSTAVVAIVTPDKIIVANCGDSRAVLCRNGSAIPLSCDHKPDRPDELLRIQEAGGRVIYWDGPRVLGVLAMSRAIG >EOY18935 pep chromosome:Theobroma_cacao_20110822:10:6384586:6393080:1 gene:TCM_043454 transcript:EOY18935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family protein 47 MVVAGGGDSGGGGGSCCMRWWQQHNRNHYHHHNYNGHCNGNSNGGGGGGGRVVASGFVFCLFCFVIYGLIAGLYGWVILTPSFFTYERRGLPWLGCQEDNEGSWSIGLFFGHSPFSLKPIETADVWRNESAAWPVANPVITCASASDSGFPSNFVADPFLYVQGDVFYLFYETKNSFTMQGDIGVAKSIDKGATWQQLGIALDEDWHLSYPYVFNYLGQIYMMPESSQKGELRLYRAINFPLQWELDRIIIKKPLIDSFIINHDGEYWLFGSDHSSFGTKKNGQLEIWYSDSPLGPWKPHKKNPIYNFDRSLGARNGGRPFRYNGNLYRIGQDCGETYGRRVRIFKVEVLTKADYKEVEVPFLFEESRKGRNAWNGARYHHLDVQQLGSGEWVGVMDGDRVPSGDSVHRFLLGCASVAAVAGLVVLLGVLQGAVNCIIPLNWCADHSGKRSDTLSAWERANLFSSKVRRFCSRLNRVPSFLRGRIKPNTYTGRLVLALVFAIGVALSCAGVTFIYGGNGAEEPYSWKGHYSQFTLLTMTYDARLWNLKMYVKHYSRCASVKEIVVVWNKGIPPKLSEFDSAVPVRIRVENQNSLNNRFKMDPFIKTRAVLELDDDIMMTCDDVERGFMVWRQHPDRIVGFYPRFVDGSRLEYKGEKYARRNKGYNMILTGAAFMDSHVAFRRYWSEQGKEGREVVDKYFNCEDVLLNFLYANASSSKTVEYVRPAWAIDTSKFSGAAISRNTKVHYKVRSDCLMKFTDMYGSLAGRRWEFDGRKDGWDL >EOY19476 pep chromosome:Theobroma_cacao_20110822:10:18043263:18049935:-1 gene:TCM_044596 transcript:EOY19476 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP domain class transcription factor isoform 1 MGIQTMVSQGDSGSNGKESQFQQLTRQNSMYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWTAEANQNYGMETEGTALTNQTALQRQSSLSLTSALSKKTVDEVWRDIQQSKNDGEKKYRERQPTLGEMTLEDFLVKAGVVAEASTDKKGGGSVAGVDLSVAPQFAQQGQWMQYPQPQYQHPQQSLMGVYMSAQPMPQPLTIGATAVMDVSYPDNQVPLPSPLMGTLSDTQASGRKRGAPEDMIEKNVDRRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENARLKDRKEQEMKLPSAPPPEPKYQLRRTSSAPF >EOY19480 pep chromosome:Theobroma_cacao_20110822:10:18047713:18049084:-1 gene:TCM_044596 transcript:EOY19480 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP domain class transcription factor isoform 1 MGIQTMVSQGDSGSNGKESQFQQLTRQNSMYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWTAEANQNYGMETEGTALTNQTALQRQSSLSLTSALSKKTVDEVWRDIQQSKNDGEKKYRERQPTLGEMTLEDFLVKAGVVAEASTDKKGGGSVAGVDLSVAPQFAQQGQWMQYPQPQYQHPQQSLMGVYMSAQPMPQPLTIGATAVMDVSYPDNQVPLPSPLMGTLSDTQASGRKRGAPEDMIEKNVDRRQKRMIKNRESAARSRARKQII >EOY19477 pep chromosome:Theobroma_cacao_20110822:10:18047470:18048725:-1 gene:TCM_044596 transcript:EOY19477 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP domain class transcription factor isoform 1 MGIQTMVSQGDSGSNGKESQFQQLTRQNSMYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWTAEANQNYGMETEGTALTNQTALQRQSSLSLTSALSKKTVDEVWRDIQQSKNDGEKKYRERQPTLGEMTLEDFLVKAGVVAEASTDKKGGGSVAGVDLSVAPQFAQQGQWMQYPQPQYQHPQQSLMGVYMSAQPMPQPLTIGATAVMDVSYPDNQVPLPSPLMGTLSDTQASGRKRGAPEDMIEKNVDRRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENARLKDRKLFLVQLSSILRHYPII >EOY19475 pep chromosome:Theobroma_cacao_20110822:10:18043181:18049978:-1 gene:TCM_044596 transcript:EOY19475 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP domain class transcription factor isoform 1 MGIQTMVSQGDSGSNGKESQFQQLTRQNSMYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWTAEANQNYGMETEGTALTNQTALQRQSSLSLTSALSKKTVDEVWRDIQQSKNDGEKKYRERQPTLGEMTLEDFLVKAGVVAEASTDKKGGGSVAGVDLSVAPQFAQQGQWMQYPQPQYQHPQQSLMGVYMSAQPMPQPLTIGATAVMDVSYPDNQVPLPSPLMGTLSDTQASGRKRGAPEDMIEKNVDRRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENARLKDRKEQEMKLPSAPPPEPKYQLRRTSSAPF >EOY19478 pep chromosome:Theobroma_cacao_20110822:10:18043173:18050000:-1 gene:TCM_044596 transcript:EOY19478 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP domain class transcription factor isoform 1 MGIQTMVSQGDSGSNGKESQFQQLTRQNSMYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWTAEANQNYGMETEGTALTNQTALQRQSSLSLTSALSKKTVDEVWRDIQQSKNDGEKKYRERQPTLGEMTLEDFLVKAGVVAEASTDKKGGGSVAGVDLSVAPQFAQQGQWMQYPQPQYQHPQQSLMGVYMSAQPMPQPLTIGATAVMDVSYPDNQVPLPSPLMGTLSDTQASGRKRGAPEDMIEKNVDRRQKRMIKNRESAARSRARKQQAYTNELENKVSRLEEENARLKDRKEQEMKLPSAPPPEPKYQLRRTSSAPF >EOY19479 pep chromosome:Theobroma_cacao_20110822:10:18043584:18049084:-1 gene:TCM_044596 transcript:EOY19479 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP domain class transcription factor isoform 1 MGIQTMVSQGDSGSNGKESQFQQLTRQNSMYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWTAEANQNYGMETEGTALTNQTALQRQSSLSLTSALSKKTVDEVWRDIQQSKNDGEKKYRERQPTLGEMTLEDFLVKAGVVAEASTDKKGGGSVAGVDLSVAPQFAQQGQWMQYPQPQYQHPQQSLMGVYMSAQPMPQPLTIGATAVMDVSYPDNQVPLPSPLMGTLSDTQASGRKRGAPEDMIEKNVDRRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENARLKDRKEQEMKLPS >EOY17807 pep chromosome:Theobroma_cacao_20110822:10:948437:951836:-1 gene:TCM_042524 transcript:EOY17807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein MGNPSYFLPSCFKVFTRTAGNRKSEKSKGNEKHARIQYPAALPEEICRQFSLTEITAATNNFHPKYLIAVGYFEKVFEGIVDDGHVVAVRRFNPDSVRAVFNEFQTEVKLLCQLRHQHLVSLIGFCNDKDELILVYELMKNGTLCHHLYGSVYDPLPWKQRLEICIGAARGLHYLHTGAKHTVIHRDVKSTNILLDDKWVSKLSNLMFAKMRPQPSYSNTSNVLKRIDSRLAGTVGYVDPEYLGGCGVSEKCDVYSFGVVLFEVLCARKVVDPTLEEYELRLPDWVRHCIGKGTIYNIIDPHLKGKIAPECFKIFVDIAYCCISEKGDTRPEMGEVELMLELALEMQEKADSQMRDIDPHSECMYGEISFSISVSDYSL >EOY18328 pep chromosome:Theobroma_cacao_20110822:10:3025526:3036736:1 gene:TCM_042929 transcript:EOY18328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MDESLKRAAQEGNIVELYASIQRDGNILRHINEIEFVDTPLHIAAAQGCIDFAMELMILKPSFARKLNHEGFSPIHLAVEKGHKELALHLMQNDKNLVRVKGKRGETPLHYAIPREQNFDLLARFLEACPECVRDMTTTNQTALHIATRHNRLEALELLCRMLRKSDYCEDVVNRKDRNGDTALHIAARNNQSKVRSLPLLISFTFIKKEWINSLIWDCISWSIDCGFCLCLKLSIKQMLKLLLKCKADKHAINQAGSTALAVANELNNIESSNILHGWGSARVLNFEYKIQKQIVKNVTKASEVIFQGMDSVSSEDRNALLVILGLLLTATYQASISPPGSVWQGDGSSNSNSTVGHHEKLPGKSVMDQVDFLTFYIPAYTVFIVAFFLTLGLLKPFPHGFRTSLQVLLAFLAISFDESINFIAPTNLAYLVMCLFSTLVFVLMMVMCVAYRDAV >EOY17556 pep chromosome:Theobroma_cacao_20110822:10:181566:187426:1 gene:TCM_042362 transcript:EOY17556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein / RNA recognition motif-containing protein isoform 6 MDGYEATRIVFSRIQNLEPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVVLKAKKELGLPTNSPTTPSTPSSPSPFLANNPNPVTVSRQSSSASRFLGNGAGVNLPPSLAIPTNPSTSSGSSSWSALSDLPNHDELISPSSGLNPSSLPFYGNGGATDMIDEFQLQDQLSFLNEGSPNLNPKNHDLFYSQAADLSSSSAAAACGSTDAMGFPSYWGSSFHRKSSSVSDILGADDPASGFGWRPCLYFARGYCKNGNNCRFIHGALGESGSMVAGADGAAMVGSPNKVEMMDQCHELLRSKSAQQQRLAAVSQLMGSASFPYSPKCMNLFLQQQQNDTQRAAAALMMGDDMNKFNRSRLERNGFSINGEAGMINPASRQIYLTFPADSTFREEDVSTYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQQPQVERGEFSPCGTPTGLDSRDPFDHQLGARMIYNSQDMLWRRKLEEQADLQQALELQNRRLMGLQLLDVKKHHHHRALSCGSPIPSPTHSPNLFSQSLVLPQFHNGQEAPQVWSTTFLIVLLHLLQKPLESTCQLSPMLMWIRMLQSRLLLLTIIGFLQPYFLQIMP >EOY17557 pep chromosome:Theobroma_cacao_20110822:10:181318:185276:1 gene:TCM_042362 transcript:EOY17557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein / RNA recognition motif-containing protein isoform 6 MDGYEATRIVFSRIQNLEPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVVLKAKKELGLPTNSPTTPSTPSSPSPFLANNPNPVTVSRQSSSASRFLGNGAGVNLPPSLAIPTNPSTSSGSSSWSALSDLPNHDELISPSSGLNPSSLPFYGNGGATDMIDEFQLQDQLSFLNEGSPNLNPKNHDLFYSQAADLSSSSAAAACGSTDAMGFPSYWGSSFHRKSSSVSDILGADDPASGFGWRPCLYFARGYCKNGNNCRFIHGALGESGSMVAGADGAAMVGSPNKVEMMDQCHELLRSKSAQQQRLAAVSQLMGSASFPYSPKCMNLFLQQQQNDTQRAAAALMMGDDMNKFNRSRLERNGFSINGEAGMINPASRQIYLTFPADSTFREEDVSTYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKKQQQPQVERGEFSPCGTPTGLDSRDPFDHQLGARMIYNSQDMLWRRKLEEQADLQQALELQNRRLMGLQLLDVKKHHHHRALSCGSPIPSPTHSPNLFSQSLVLPQFHNGQEAPQGTPFHRIVQALRPLYLSLLPRNKQPVLLMLLVKNQPVLKRMVVARRALIVKMVICKKVWSTTFLIVLLHLLQKPLESTCQLSPMLMWIRMLQSRLLLLTIIGFLQPYFLQIMP >EOY17559 pep chromosome:Theobroma_cacao_20110822:10:181566:184861:1 gene:TCM_042362 transcript:EOY17559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein / RNA recognition motif-containing protein isoform 6 MDGYEATRIVFSRIQNLEPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVVLKAKKELGLPTNSPTTPSTPSSPSPFLANNPNPVTVSRQSSSASRFLGNGAGVNLPPSLAIPTNPSTSSGSSSWSALSDLPNHDELISPSSGLNPSSLPFYGNGGATDMIDEFQLQDQLSFLNEGSPNLNPKNHDLFYSQAADLSSSSAAAACGSTDAMGFPSYWGSSFHRKSSSVSDILGADDPASGFGWRPCLYFARGYCKNGNNCRFIHGALGESGSMVAGADGAAMVGSPNKVEMMDQCHELLRSKSAQQQRLAAVSQLMGSASFPYSPKCMNLFLQQQQNDTQRAAAALMMGDDMNKFNRSRLERNGFSINGEAGMINPASRQIYLTFPADSTFREEDVSTYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQQPQVERGEFSPCGTPTGLDSRDPFDHQLGARMIYNSQDMLWRRKLEEQADLQQALELQNRRLMGLQLLDVKKHHHHRALSCGSPIPSPTHSPNLFSQSLVLPQFHNGQEAPQGTPFHSNIRINPPVILCLVHMNQHLILLKGNDLQSSHCRELFKPCARYICHCSRETSSQYC >EOY17558 pep chromosome:Theobroma_cacao_20110822:10:181245:185415:1 gene:TCM_042362 transcript:EOY17558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein / RNA recognition motif-containing protein isoform 6 MDGYEATRIVFSRIQNLEPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVVLKAKKELGLPTNSPTTPSTPSSPSPFLANNPNPVTVSRQSSSASRFLGNGAGVNLPPSLAIPTNPSTSSGSSSWSALSDLPNHDELISPSSGLNPSSLPFYGNGGATDMIDEFQLQDQLSFLNEGSPNLNPKNHDLFYSQAADLSSSSAAAACGSTDAMGFPSYWGSSFHRKSSSVSDILGADDPASGFGWRPCLYFARGYCKNGNNCRFIHGALGESGSMVAGADGAAMVGSPNKVEMMDQCHELLRSKSAQQQRLAAVSQLMGSASFPYSPKCMNLFLQQQQNDTQRKQQQPQVERGEFSPCGTPTGLDSRDPFDHQLGARMIYNSQDMLWRRKLEEQADLQQALELQNRRLMGLQLLDVKKHHHHRALSCGSPIPSPTHSPNLFSQSLVLPQFHNGQEAPQENCSSPAPAISVTAPEKQAASTANAAGKESASTEENGSGKESPHCEDGDLQESLEHNLPDSPFASPTKASGKYLSAFSDADVDKDASVSASSVNNNWVSSALLPANNALDMASFNSYNCQLPRYIIVTKKAPLVDSIILSLRL >EOY17560 pep chromosome:Theobroma_cacao_20110822:10:181566:184861:1 gene:TCM_042362 transcript:EOY17560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein / RNA recognition motif-containing protein isoform 6 MDGYEATRIVFSRIQNLEPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVVLKAKKELGLPTNSPTTPSTPSSPSPFLANNPNPVTVSRQSSSASRFLGNGAGVNLPPSLAIPTNPSTSSGSSSWSALSDLPNHDELISPSSGLNPSSLPFYGNGGATDMIDEFQLQDQLSFLNEGSPNLNPKNHDLFYSQAADLSSSSAAAACGSTDAMGFPSYWGSSFHRKSSSVSDILGADDPASGFGWRPCLYFARGYCKNGNNCRFIHGALGESGSMVAGADGAAMVGSPNKVEMMDQCHELLRSKSAQQQRLAAVSQLMGSASFPYSPKCMNLFLQQQQNDTQRAAAALMMGDDMNKFNRSRLERNGFSINGEAGMINPASRQIYLTFPADSTFREEDVSTYFRARMIYNSQDMLWRRKLEEQADLQQALELQNRRLMGLQLLDVKKHHHHRALSCGSPIPSPTHSPNLFSQSLVLPQFHNGQEAPQGTPFHSNIRINPPVILCLVHMNQHLILLKGNDLQSSHCRELFKPCARYICHCSRETSSQYC >EOY17554 pep chromosome:Theobroma_cacao_20110822:10:181318:185822:1 gene:TCM_042362 transcript:EOY17554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein / RNA recognition motif-containing protein isoform 6 MDGYEATRIVFSRIQNLEPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVVLKAKKELGLPTNSPTTPSTPSSPSPFLANNPNPVTVSRQSSSASRFLGNGAGVNLPPSLAIPTNPSTSSGSSSWSALSDLPNHDELISPSSGLNPSSLPFYGNGGATDMIDEFQLQDQLSFLNEGSPNLNPKNHDLFYSQAADLSSSSAAAACGSTDAMGFPSYWGSSFHRKSSSVSDILGADDPASGFGWRPCLYFARGYCKNGNNCRFIHGALGESGSMVAGADGAAMVGSPNKVEMMDQCHELLRSKSAQQQRLAAVSQLMGSASFPYSPKCMNLFLQQQQNDTQRAAAALMMGDDMNKFNRSRLERNGFSINGEAGMINPASRQIYLTFPADSTFREEDVSTYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKKQQQPQVERGEFSPCGTPTGLDSRDPFDHQLGARMIYNSQDMLWRRKLEEQADLQQALELQNRRLMGLQLLDVKKHHHHRALSCGSPIPSPTHSPNLFSQSLVLPQFHNGQEAPQENCSSPAPAISVTAPEKQAASTANAAGKESASTEENGSGKESPHCEDGDLQESLEHNLPDSPFASPTKASGKYLSAFSDADVDKDASVSASSVNNNWVSSALLPANNALDMASFNSYNCQLPRFSSGHGTIGMYAGTGGPTCPVGI >EOY17555 pep chromosome:Theobroma_cacao_20110822:10:182462:185234:1 gene:TCM_042362 transcript:EOY17555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein / RNA recognition motif-containing protein isoform 6 MDGYEATRIVFSRIQNLEPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVVLKAKKELGLPTNSPTTPSTPSSPSPFLANNPNPVTVSRQSSSASRFLGNGAGVNLPPSLAIPTNPSTSSGSSSWSALSDLPNHDELISPSSGLNPSSLPFYGNGGATDMIDEFQLQDQLSFLNEGSPNLNPKNHDLFYSQAADLSSSSAAAACGSTDAMGFPSYWGSSFHRKSSSVSDILGADDPASGFGWRPCLYFARGYCKNGNNCRFIHGALGESGSMVAGADGAAMVGSPNKVEMMDQCHELLRSKSAQQQRLAAVSQLMGSASFPYSPKCMNLFLQQQQNDTQRAAAALMMGDDMNKFNRSRLERNGFSINGEAGMINPASRQIYLTFPADSTFREEDVSTYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQQPQVERGEFSPCGTPTGLDSRDPFDHQLGARMIYNSQDMLWRRKLEEQADLQQALELQNRRLMGLQLLDVKKHHHHRALSCGSPIPSPTHSPNLFSQSLVLPQFHNGQEAPQGTPFHRIVQALRPLYLSLLPRNKQPVLLMLLVKNQPVLKRMVVARRALIVKMVICKKVWSTTFLIVLLHLLQKPLESTCQLSPMLMWIRMLQSRLLLLTIIGFLQPYFLQIMP >EOY17837 pep chromosome:Theobroma_cacao_20110822:10:1041092:1047725:1 gene:TCM_047097 transcript:EOY17837 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase, putative MSVGIYLTGPNLLGSAAPVVTGNETDLRALLEFKAKILNDHFRVMRSWNNTIHFCQWYGVTCGHRHQRVTMLDLGSLKLVGSISPFIGNLSFLRVLNLENNSFNQAIPQEIGRLRRLLALVLRNNSLSGVIPSNLSSCSRLVSVTFGGNLLTGEIPGVLGLLSNLIQFSFARNNLRGDIPSSLGNLSSLQYIALYDNRLSGVIPESLGKLTNIAVFAVSSNEISGVIPASFFNLSSIITLSMNTNQIQGSLPSNIGITMPQIETLSVAENQFTGPFPFSISNASNLVYLNVGVNNFNGPLPSFEKLDKLSRFVIGVNLLGSRTATDLNFVCTLNNASKLEWLEILENNFGGKLPECIGNLSSNLVTLNMEGNRILGRIPAGIENLVNLERLAASYNQLSGSIPPGIGRLQKLKIFFAAHNSLTGAIPPFFGNLTMLIKFVLADNNLHGNIPSSLAKCENLIALDLSNNSLSGSIPPGVIGLSSLSIALDLSSNYLTGVLPMEVENLKNLGELRVSQNKLSGVLPNNLGGCVRLESLFLDGNLFHGPIPSSLSSLKGLTTLDISGNYLSGEIPEFFVSFGSLKYLNLSFNDFEGMVPIEGVFKDASAAFVEGNNKLCGGIPELHLPKCNLKASNGRSSNSLKLKISIVFAILGVTSVFSFLLIWWFRSRKEKPTAATCAENSLLNLSYQILVRATNGFSSVNLVGSGSFGFVYRGILDESGVVIAVKVLNLLCHGASRSFMAECEALKNIRHRNLVKILTAVSGIDYQGNDFKALIYKFMQNGSLEDWLHPSVAMNEADESAKRLTFFQRLNVAVDVGCALEYLHHYYETQIVHCDLKPSNILLDDEMVSHVGDFGLAKFITSDMQNNASSLSSSLGLRGTIGYAPPEYGLGSVVTTYGDVYSYGILLLEMFTGKKPTDEMFKQNLNLHNFVKTALSNQVVVEITDPALLQESFRGETMTNNTRNQSNQRDNYKLLLCLNSIFEIGVACSVDLPTERLHMTDVVAKLCSIRDKLLPTRPLRATVFKVKYFLQLQVLVDSLLPCEVAALLIGIAFTTKLPVHSVLRTGKKRR >EOY19495 pep chromosome:Theobroma_cacao_20110822:10:18141021:18149413:1 gene:TCM_044608 transcript:EOY19495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 3 isoform 1 MDVGGGKGDNVTGVNVVSNKGGDNNWDVTEQSPEIEVVVNQDDDGAGGGKPCVGMEFESEDAGKSFYDGYARQLGFSTHVGQFKRAKPDGPIVTWDFACSREVFKRKNIESCNAMFRIEQKDGGKWVATKFVEDHNHSMVTPSKVHYLRPRRHFAGATKNVPETLDATTDVFVSVDGNHVSYEANRVRSASSVEPNRLVRNMMPVGYVRPSNQRRMLGRDAQNLLNYFKKMQAENPGFYYAIQLDDDNRMTNVFWADARSRTAYNYFGDAVIFDTMYRPNQYQIPFAPFTGINHHGQTVLFGCALLLDESESSFAWLFKTWLSAMNDRPPLSITTDQDRAIQAAVSQVFPETRHCICRWHILREGQERLAHIYLVHPSFYGELYGCINFSEAIEDFESSWSALLDKYDLHKNEWLQAVYNARKQWAPVYFRGTFFATLSSNQGVSSFFDGYVHQQTTIPLFFKQYERALEHSLEKEIEADCDTICTTPVLKTPSPMEQQAANLYTKKVFSKFQEELVETFVYTANKIEGDGIASKYRVAKYEHDHKAYFVTLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPSHYILKRWTRNAKSWVGLDDQPPDPQGIETLTTRFNSLCQEAFKLAEEGAVAPETYNTAISALREAGKRIAFVKKNVVKVTLPSSHNSGNSHEEGSKKITSPVSDIVPSLWPWQDAVSPRFNLNDVGAPLADLNQPSMVPVSIHRDSGHPDSTVVLTCFKSMTWVIENKNAMEAGKVAVINLKLHDYGKNPSGETEVQFRLTRITLEPMLRSMAYISQQLSTPVNRVAVINLKLQDTKTTSGETEVKFQVSRDTLGSMLRSMAYIREQL >EOY19493 pep chromosome:Theobroma_cacao_20110822:10:18140990:18149413:1 gene:TCM_044608 transcript:EOY19493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 3 isoform 1 MDVGGGKGDNVTGVNVVSNKGGDNNWDVTEQSPEIEVVVNQDDDGAGGGKPCVGMEFESEDAGKSFYDGYARQLGFSTHVGQFKRAKPDGPIVTWDFACSREVFKRKNIESCNAMFRIEQKDGGKWVATKFVEDHNHSMVTPSKVHYLRPRRHFAGATKNVPETLDATTDVFVSVDGNHVSYEANRVRSASSVEPNRLVRNMMPVGYVRPSNQRRMLGRDAQNLLNYFKKMQAENPGFYYAIQLDDDNRMTNVFWADARSRTAYNYFGDAVIFDTMYRPNQYQIPFAPFTGINHHGQTVLFGCALLLDESESSFAWLFKTWLSAMNDRPPLSITTDQDRAIQAAVSQVFPETRHCICRWHILREGQERLAHIYLVHPSFYGELYGCINFSEAIEDFESSWSALLDKYDLHKNEWLQAVYNARKQWAPVYFRGTFFATLSSNQGVSSFFDGYVHQQTTIPLFFKQYERALEHSLEKEIEADCDTICTTPVLKTPSPMEQQAANLYTKKVFSKFQEELVETFVYTANKIEGDGIASKYRVAKYEHDHKAYFVTLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPSHYILKRWTRNAKSWVGLDDQPPDPQGIETLTTRFNSLCQEAFKLAEEGAVAPETYNTAISALREAGKRIAFVKKNVVKVTLPSSHNSGNSHEEGSKKITSPVSDIVPSLWPWQDAVSPRFNLNDVGAPLADLNQPSMVPVSIHRDSGHPDSTVVLTCFKSMTWVIENKNAMEAGKVAVINLKLHDYGKNPSGETEVQFRLTRITLEPMLRSMAYISQQLSTPVNRVAVINLKLQDTKTTSGETEVKFQVSRDTLGSMLRSMAYIREQL >EOY19494 pep chromosome:Theobroma_cacao_20110822:10:18140990:18149413:1 gene:TCM_044608 transcript:EOY19494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 3 isoform 1 MDVGGGKGDNVTGVNVVSNKGGDNNWDVTEQSPEIEVVVNQDDDGAGGGKPCVGMEFESEDAGKSFYDGYARQLGFSTHVGQFKRAKPDGPIVTWDFACSREVFKRKNIESCNAMFRIEQKDGGKWVATKFVEDHNHSMVTPSKVHYLRPRRHFAGATKNVPETLDATTDVFVSVDGNHVSYEANRVRSASSVEPNRLVRNMMPVGYVRPSNQRRMLGRDAQNLLNYFKKMQAENPGFYYAIQLDDDNRMTNVFWADARSRTAYNYFGDAVIFDTMYRPNQYQIPFAPFTGINHHGQTVLFGCALLLDESESSFAWLFKTWLSAMNDRPPLSITTDQDRAIQAAVSQVFPETRHCICRWHILREGQERLAHIYLVHPSFYGELYGCINFSEAIEDFESSWSALLDKYDLHKNEWLQAVYNARKQWAPVYFRGTFFATLSSNQGVSSFFDGYVHQQTTIPLFFKQYERALEHSLEKEIEADCDTICTTPVLKTPSPMEQQAANLYTKKVFSKFQEELVETFVYTANKIEGDGIASKYRVAKYEHDHKAYFVTLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPSHYILKRWTRNAKSWVGLDDQPPDPQGIETLTTRFNSLCQEAFKLAEEGAVAPETYNTAISALREAGKRIAFVKKNVVKVTLPSSHNSGNSHEEGSKKITSPVSDIVPSLWPWQDAVSPRFNLNDVGAPLADLNQPSMVPVSIHRDSGHPDSTVVLTCFKSMTWVIENKNAMEAGKVAVINLKLHDYGKNPSGETEVQFRLTRITLEPMLRSMAYISQQLSTPVNRVAVINLKLQDTKTTSGETEVKFQVSRDTLGSMLRSMAYIREQL >EOY19492 pep chromosome:Theobroma_cacao_20110822:10:18140811:18150627:1 gene:TCM_044608 transcript:EOY19492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 3 isoform 1 MDVGGGKGDNVTGVNVVSNKGGDNNWDVTEQSPEIEVVVNQDDDGAGGGKPCVGMEFESEDAGKSFYDGYARQLGFSTHVGQFKRAKPDGPIVTWDFACSREVFKRKNIESCNAMFRIEQKDGGKWVATKFVEDHNHSMVTPSKVHYLRPRRHFAGATKNVPETLDATTDVFVSVDGNHVSYEANRVRSASSVEPNRLVRNMMPVGYVRPSNQRRMLGRDAQNLLNYFKKMQAENPGFYYAIQLDDDNRMTNVFWADARSRTAYNYFGDAVIFDTMYRPNQYQIPFAPFTGINHHGQTVLFGCALLLDESESSFAWLFKTWLSAMNDRPPLSITTDQDRAIQAAVSQVFPETRHCICRWHILREGQERLAHIYLVHPSFYGELYGCINFSEAIEDFESSWSALLDKYDLHKNEWLQAVYNARKQWAPVYFRGTFFATLSSNQGVSSFFDGYVHQQTTIPLFFKQYERALEHSLEKEIEADCDTICTTPVLKTPSPMEQQAANLYTKKVFSKFQEELVETFVYTANKIEGDGIASKYRVAKYEHDHKAYFVTLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPSHYILKRWTRNAKSWVGLDDQPPDPQGIETLTTRFNSLCQEAFKLAEEGAVAPETYNTAISALREAGKRIAFVKKNVVKVTLPSSHNSGNSHEEGSKKITSPVSDIVPSLWPWQDAVSPRFNLNDVGAPLADLNQPSMVPVSIHRDSGHPDSTVVLTCFKSMTWVIENKNAMEAGKVAVINLKLHDYGKNPSGETEVQFRLTRITLEPMLRSMAYISQQLSTPVNRVAVINLKLQDTKTTSGETEVKFQVSRDTLGSMLRSMAYIREQL >EOY19810 pep chromosome:Theobroma_cacao_20110822:10:22643178:22662909:-1 gene:TCM_045152 transcript:EOY19810 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase E subunit 1, putative isoform 1 MEENSSASTVDGEIVGIGFCLATPREIFTASISGFPINHVSQLSNSYLGLPLEFGKCNACGTSEPGKCEGHFGYIELPIPIYHPSHISELKRLLSLLCLKCLRMKNKFQIKSGSISDRLLASCCENAPQVSIKEVKTTDGACSLELKQPSRQARTSWEFLEKYGFRYGDHHNTRTLLPCEVMEILKRIPAETRRKLSGKGFFPQEGYILRYLPVPPNCLSVPDISDGVSIMSSDLSTAMLKKVLKQVEIIKSSRSGTPNFESHEVEANDLQSAVEQYLQVRGTVKASRNIDARYGISKDASDSSTKAWLEKMRTLFIRKGSGFSSRGVITGDPYKKVNEIGIPSEIAQRITFEERVNMHNMRYLQNLVDNKLCLTYRDGSSTYSLREGSKGHTFLRPGQVVHRRIMDGDIVFINRPPTTHKHSLQALSVYVHDDHTVKINPLICGPLSADFDGDCIHLFYPQSLAAKAEVFELFSVEKQLLSSHNGNLNLQLATDSLLSLRVMLKTLLFKKADAQQLSMFLSSALPQPAFLKGNSFGPCWTALQILQTAFPACLDCSGDRYLISKSDILTVDFSRDLMQSVINEVVTSIFFEKGPKEVLNFFDSLQPLLMENVFAEGFSVSLEDFSVSREVIQNIQKDIQDISPLLYQLRSTYNELVGLQMENHIRVAKAPVANFILNSSALGDLIDSKSDSTVNKVVQQIGFLGLQLSNKGKFYSKTLVEDVAYQFQSIYPSDGVDYPSAEFGLIKSCFFHGLDPYEGMVHSISTREVIVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNISSNSIIQFQYGLNARTKPQFPAGEPVGVLAATAMSNPAYKAVLDSTPSSNSSWELMKEILLCKVSLKNDLVDRRVILYLKDCDCGRKYCQENAAYLVKNHLRKVKLKDTAVELIFEYKQQQTVSESEAGLVGHILLNKAVLKELNISMQEVHMKCQETIISFRKKKKTADTFKRTDLFFSECCSIQQSCGGKWLDMSCLMFFCRNTKDDHLDCTLQDLVDIIYPVLLETVIKGDPRICSANIIWVSPDTTTWIRSPSKTQKGELALDVVLEKSAVKQNGDAWRTVIDCCLPVINLIDTQRSIPYAIKQVQELLGISCAFEQAVQRLSTSVSMVARGVLKEHLILLANSMTCAGNLIGFNSGGYKALSRSLNIQVPFSEATLFTPRKCFERAAEKCHVDSLSSIVASCSWGKHVAVGTGSRFDVLWDRKEVGFDQKSGIDVYNFLHMLSSASGPSSTTTCLGEEVDDLMDVDNMAEWSLSPEHSNGLDKPVFEDAADFENDLDFQPAESSWEKGVSLDKVSSWNVSSAWNKKAEDGDKFAAALTSTTKQSDWCDWGTSKSKTQDAAAAATSTTKKTEWCDWGTSKSKTQEVAATVTGTAEQNEWCDWRTSKSKIQVVAAAVTSTTKQSEWGDWGTSKSKTQDVAAAVTGTMETEWGDWGKGKSKTQDVSPKVDGTCVNEQTKLSDWGLKKNDTQDVSMEEKTFKSNGADTGTSWGTMGKESEKPDANDALPWSGWGTQDVIPTKTLDDSSKSSGWEQQKSPECSQGWGSLDESNQPASSNGWDTPNGLGSTQSEKQHQWGQSRGSRRWASDASKKNHPVKSARVMNDDSSMAAMYTATRQRLDMFTSEEQDILSDVEPLMQSIRKIMHQSGYNDGDPLSALDQSFILENVFTHHPDKAIKMGAGVDYVMVSKHSNFPDSRCFYVVSTDGRKQDFSYRKCLDNFIKGKYPDMADVFIAKYFRKPRFGGFRERSVAPENTEGENRK >EOY19811 pep chromosome:Theobroma_cacao_20110822:10:22647564:22662879:-1 gene:TCM_045152 transcript:EOY19811 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase E subunit 1, putative isoform 1 MEENSSASTVDGEIVGIGFCLATPREIFTASISGFPINHVSQLSNSYLGLPLEFGKCNACGTSEPGKCEGHFGYIELPIPIYHPSHISELKRLLSLLCLKCLRMKNKFQIKSGSISDRLLASCCENAPQVSIKEVKTTDGACSLELKQPSRQARTSWEFLEKYGFRYGDHHNTRTLLPCEVMEILKRIPAETRRKLSGKGFFPQEGYILRYLPVPPNCLSVPDISDGVSIMSSDLSTAMLKKVLKQVEIIKSSRSGTPNFESHEVEANDLQSAVEQYLQVRGTVKASRNIDARYGISKDASDSSTKAWLEKMRTLFIRKGSGFSSRGVITGDPYKKVNEIGIPSEIAQRITFEERVNMHNMRYLQNLVDNKLCLTYRDGSSTYSLREGSKGHTFLRPGQVVHRRIMDGDIVFINRPPTTHKHSLQALSVYVHDDHTVKINPLICGPLSADFDGDCIHLFYPQSLAAKAEVFELFSVEKQLLSSHNGNLNLQLATDSLLSLRVMLKTLLFKKADAQQLSMFLSSALPQPAFLKGNSFGPCWTALQILQTAFPACLDCSGDRYLISKSDILTVDFSRDLMQSVINEVVTSIFFEKGPKEVLNFFDSLQPLLMENVFAEGFSVSLEDFSVSREVIQNIQKDIQDISPLLYQLRSTYNELVGLQMENHIRVAKAPVANFILNSSALGDLIDSKSDSTVNKVVQQIGFLGLQLSNKGKFYSKTLVEDVAYQFQSIYPSDGVDYPSAEFGLIKSCFFHGLDPYEGMVHSISTREVIVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNISSNSIIQFQYGLNARTKPQFPAGEPVGVLAATAMSNPAYKAVLDSTPSSNSSWELMKEILLCKVSLKNDLVDRRVILYLKDCDCGRKYCQENAAYLVKNHLRKVKLKDTAVELIFEYKQQQTVSESEAGLVGHILLNKAVLKELNISMQEVHMKCQETIISFRKKKKTADTFKRTDLFFSECCSIQQSCGGKWLDMSCLMFFCRNTKDDHLDCTLQDLVDIIYPVLLETVIKGDPRICSANIIWVSPDTTTWIRSPSKTQKGELALDVVLEKSAVKQNGDAWRTVIDCCLPVINLIDTQRSIPYAIKQVQELLGISCAFEQAVQRLSTSVSMVARGVLKEHLILLANSMTCAGNLIGFNSGGYKALSRSLNIQVPFSEATLFTPRKCFERAAEKCHVDSLSSIVASCSWGKHVAVGTGSRFDVLWDRKEVGFDQKSGIDVYNFLHMLSSASGPSSTTTCLGEEVDDLMDVDNMAEWSLSPEHSNGLDKPVFEDAADFENDLDFQPAESSWEKGVSLDKVSSWNVSSAWNKKAEDGDKFAAALTSTTKQSDWCDWGTSKSKTQDAAAAATSTTKKTEWCDWGTSKSKTQEVAATVTGTAEQNEWCDWRTSKSKIQVVAAAVTSTTKQSEWGDWGTSKSKTQDVAAAVTGTMETEWGDWGKGKSKTQDVSPKVDGTCVNEQTKLSDWGLKKNDTQDVSMEEKTFKSNGADTGTSWGTMGKESEKPDANDALPWSGWGTQDVIPTKTLDDSSKSSGWEQQKSPECSQGWGSLDESNQPASSNGWDTPNGLGSTQSEKQHQWGQSRGSRRWASDASKKNHPVKSARVMNDDSSMAAMYTATRQRLDMFTSEEQDILSDVEPLMQSIRKIMHQSG >EOY19809 pep chromosome:Theobroma_cacao_20110822:10:22647138:22663298:-1 gene:TCM_045152 transcript:EOY19809 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase E subunit 1, putative isoform 1 MEENSSASTVDGEIVGIGFCLATPREIFTASISGFPINHVSQLSNSYLGLPLEFGKCNACGTSEPGKCEGHFGYIELPIPIYHPSHISELKRLLSLLCLKCLRMKNKFQIKSGSISDRLLASCCENAPQVSIKEVKTTDGACSLELKQPSRQARTSWEFLEKYGFRYGDHHNTRTLLPCEVMEILKRIPAETRRKLSGKGFFPQEGYILRYLPVPPNCLSVPDISDGVSIMSSDLSTAMLKKVLKQVEIIKSSRSGTPNFESHEVEANDLQSAVEQYLQVRGTVKASRNIDARYGISKDASDSSTKAWLEKMRTLFIRKGSGFSSRGVITGDPYKKVNEIGIPSEIAQRITFEERVNMHNMRYLQNLVDNKLCLTYRDGSSTYSLREGSKGHTFLRPGQVVHRRIMDGDIVFINRPPTTHKHSLQALSVYVHDDHTVKINPLICGPLSADFDGDCIHLFYPQSLAAKAEVFELFSVEKQLLSSHNGNLNLQLATDSLLSLRVMLKTLLFKKADAQQLSMFLSSALPQPAFLKGNSFGPCWTALQILQTAFPACLDCSGDRYLISKSDILTVDFSRDLMQSVINEVVTSIFFEKGPKEVLNFFDSLQPLLMENVFAEGFSVSLEDFSVSREVIQNIQKDIQDISPLLYQLRSTYNELVGLQMENHIRVAKAPVANFILNSSALGDLIDSKSDSTVNKVVQQIGFLGLQLSNKGKFYSKTLVEDVAYQFQSIYPSDGVDYPSAEFGLIKSCFFHGLDPYEGMVHSISTREVIVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNISSNSIIQFQYGLNARTKPQFPAGEPVGVLAATAMSNPAYKAVLDSTPSSNSSWELMKEILLCKVSLKNDLVDRRVILYLKDCDCGRKYCQENAAYLVKNHLRKVKLKDTAVELIFEYKQQQTVSESEAGLVGHILLNKAVLKELNISMQEVHMKCQETIISFRKKKKTADTFKRTDLFFSECCSIQQSCGGKWLDMSCLMFFCRNTKDDHLDCTLQDLVDIIYPVLLETVIKGDPRICSANIIWVSPDTTTWIRSPSKTQKGELALDVVLEKSAVKQNGDAWRTVIDCCLPVINLIDTQRSIPYAIKQVQELLGISCAFEQAVQRLSTSVSMVARGVLKEHLILLANSMTCAGNLIGFNSGGYKALSRSLNIQVPFSEATLFTPRKCFERAAEKCHVDSLSSIVASCSWGKHVAVGTGSRFDVLWDRKEVGFDQKSGIDVYNFLHMLSSASGPSSTTTCLGEEVDDLMDVDNMAEWSLSPEHSNGLDKPVFEDAADFENDLDFQPAESSWEKGVSLDKVSSWNVSSAWNKKAEDGDKFAAALTSTTKQSDWCDWGTSKSKTQDAAAAATSTTKKTEWCDWGTSKSKTQEVAATVTGTAEQNEWCDWRTSKSKIQVVAAAVTSTTKQSEWGDWGTSKSKTQDVAAAVTGTMETEWGDWGKGKSKTQDVSPKVDGTCVNEQTKLSDWGLKKNDTQDVSMEEKTFKSNGADTGTSWGTMGKESEKPDANDALPWSGWGTQDVIPTKTLDDSSKSSGWEQQKSPECSQGWGSLDESNQPASSNGWDTPNGLGSTQSEKQHQWGQSRGSRRWASDASKKNHPVKSARVMNDDSSMAAMYTATRQRLDMFTSEEQDILSDVEPLMQSIRKIMHQSGYNDGDPLSALDQSFILENVFTHHPDKAIKMGAGVDYVMVSKHSNFPDSRCFYVVSTDGRKQDFSYRKCLDNFIKGKYPDMADVFIAKYFRKPRFGGFRERSVAPENTEGENRK >EOY18649 pep chromosome:Theobroma_cacao_20110822:10:4190532:4197028:-1 gene:TCM_043143 transcript:EOY18649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANPRNSCILLTKRSITGLGVVLFLMLVHLLPLPASSEESVQVQGVLGIPKYQRPPCNADHPNHCPKAVKGARSRHCNVANRCRGVHEPPSRS >EOY18683 pep chromosome:Theobroma_cacao_20110822:10:4420707:4425612:1 gene:TCM_043179 transcript:EOY18683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase 2 MDPMEVLPQCNGNGSPGFCITDPLNWGASAESLKGSHLDEVKRMVEEFRKPVVRLGGETLTIAQVAAIANRDAGVKVELSEAARPAVKASSDWVMEGMNRGTDSYGVTTGFGATSHRRTKQGAALQKELIRFLNAGVFGQGTESCHTLPHTATRAAMLVRINTLLQGYSGIRFEILEAITKLLNVNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPKGEALNPTEAFSRAGINGGFFELQPKEGLALVNGTAVGSGLASLVLFEANVQAVLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYIKAAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRAATKMIEREINSVNDNPLIDVSRDKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLSASRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISARKTAEAVDILKLMSSTFLIALCQAIDLRHLEENLKNTVKNTVSQVAKRVLTMGSNGELHPSRFCEKDLLRVVDREYLYAYVDDPCSATYPLMQKLRQVLVDHALMNGDREKNSTTSIFQKIGAFEEELKTLLPKEVEGARIEFENGNAAIPNRIEECRSYPLYKFVREVLGTSLLTGEKVISPGEECDKVFSAMCAGKLIDPLLECLKEWNGAPLPIC >EOY19930 pep chromosome:Theobroma_cacao_20110822:10:23935060:23945554:-1 gene:TCM_045335 transcript:EOY19930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agglutinin-like protein ALA1, putative isoform 3 MFDWNDEELTNIIWGEDGESDDHIVPYQEGSENCHSKKEWSQETATIKSTDQKTPGDKVDLHGRKVEGSSNFNANGGIATSGFGMVSWPELSLSNAAKTDQDSMGSEVSNHLAEVNKYSSTNAGTTELTKDSQIFQNPNEGKEQGDLVDYSWANIGSFDDLDRIFSNDDPIFGNVSLGSADDLWSSSKEVTNSAAKSFPTTVDSPSLGLGALRSTSENLEVKREYEQQDNQPFTLSYEKLDGSTSHGLHHVEFAGDESKSIIEEQMNVETRGKTSASKSHMVAEKVMAPNELGDKVHRHKKLLKFWKKSGDIGEAKLLQDLPSSVVGQQRQLRGSDSLQYQHISNTFVAPSAYGNLTNQYPTIPVLSNIQSGEFKQQPLLSCYDVSPSKANSVNRSVEASTKPLSMTPQEKIEKLRRRQQMQALLAIQKQQQQFHRQVPCADHSVIQKCNQENQFQHVEGADVEDLTTLASFDPNSPLEQDDSNTVSVAVDDCSVEETVLYRLQDVIGKLDIKIRLCIRDSLFRLAQSAMQRHYASDTSSTNKSSRDENEVAKEENKNHNRMSDAETETNPIDRTVAHLLFHRPLELPGKHPETPESPASTKFPCERKSASLLGLPIGCISDNSQVQQNLIHQVLKGPSPLLDSQQVEQFKNSTCIDGSENASNYGPADVGATEVEALH >EOY19931 pep chromosome:Theobroma_cacao_20110822:10:23935067:23945703:-1 gene:TCM_045335 transcript:EOY19931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agglutinin-like protein ALA1, putative isoform 3 MFDWNDEELTNIIWGEDGESDDHIVPYQEGSENCHSKKEWSQETATIKSTDQKTPGDKVDLHGRKVEGSSNFNANGGIATSGFGMVSWPELSLSNAAKTDQDSMGSEVSNHLAEVNKYSSTNAGTTELTKDSQIFQNPNEGKEQGDLVDYSWANIGSFDDLDRIFSNDDPIFGNVSLGSADDLWSSSKEVTNSAAKSFPTTVDSPSLGLGALRSTSENLEVKREYEQQDNQPFTLSYEKLDGSTSHGLHHVEFAGDESKSIIEEQMNVETRGKTSASKSHMVAEKVMAPNELGDKVHRHKKLLKFWKKSGDIGEAKLLQDLHGTWTPSGNPLAQYENNVATSIVKSSPSSVVGQQRQLRGSDSLQYQHISNTFVAPSAYGNLTNQYPTIPVLSNIQSGEFKQQPLLSCYDVSPSKANSVNRSVEASTKPLSMTPQEKIEKLRRRQQMQALLAIQKQQQQFHRQVPCADHSVIQKCNQENQFQHVEGADVEDLTTLASFDPNSPLEQDDSNTVSVAVDDCSVEETVLYRLQDVIGKLDIKIRLCIRDSLFRLAQSAMQRHYASDTSSTNKSSRDENEVAKEENKNHNRMSDAETETNPIDRTVAHLLFHRPLELPGKHPETPESPASTKFPCERKSASLLGLPIGCISDNSQVQQNLIHQVLKGPSPLLDSQQVEQFKNSTCIDGSENASNYGPADVGATEVEALH >EOY19928 pep chromosome:Theobroma_cacao_20110822:10:23934948:23945554:-1 gene:TCM_045335 transcript:EOY19928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agglutinin-like protein ALA1, putative isoform 3 MFDWNDEELTNIIWGEDGESDDHIVPYQEGSENCHSKKEWSQETATIKSTDQKTPGDKVDLHGRKVEGSSNFNANGGIATSGFGMVSWPELSLSNAAKTDQDSMGSEVSNHLAEVNKYSSTNAGTTELTKDSQIFQNPNEGKEQGDLVDYSWANIGSFDDLDRIFSNDDPIFGNVSLGSADDLWSSSKEVTNSAAKSFPTTVDSPSLGLGALRSTSENLEVKREYEQQDNQPFTLSYEKLDGSTSHGLHHVEFAGDESKSIIEEQMNVETRGKTSASKSHMVAEKVMAPNELGDKVHRHKKLLKFWKKSGDIGEAKLLQDLHGTWTPSGNPLAQYENNVATSIVKSSPSSVVGQQRQLRGSDSLQYQHISNTFVAPSAYGNLTNQYPTIPVLSNIQSGEFKQQPLLSCYDVSPSKANSVNRSVEASTKPLSMTPQEKIEKLRRRQQMQALLAIQKQQQQFHRQVPCADHSVIQKCNQENQFQHVEGADVEDLTTLASFDPNSPLEQDDSNTVSVAVDDCSVEETVLYRLQDVIGKLDIKIRLCIRDSLFRLAQSAMQRHYASDTSSTNKSSRDENEVAKEENKNHNRMSDAETETNPIDRTVAHLLFHRPLELPGKHPETPESPASTKFPCERKSASLLGLPIGCISDNSQVQQNLIHQVLKGPSPLLDSQQVEQFKNSTCIDGSENASNYGPADVGATEVEALH >EOY19929 pep chromosome:Theobroma_cacao_20110822:10:23935930:23945441:-1 gene:TCM_045335 transcript:EOY19929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agglutinin-like protein ALA1, putative isoform 3 MFDWNDEELTNIIWGEDGESDDHIVPYQEGSENCHSKKEWSQETATIKSTDQKTPGDKVDLHGRKVEGSSNFNANGGIATSGFGMVSWPELSLSNAAKTDQDSMGSEVSNHLAEVNKYSSTNAGTTELTKDSQIFQNPNEGKEQGDLVDYSWANIGSFDDLDRIFSNDDPIFGNVSLGSADDLWSSSKEVTNSAAKSFPTTVDSPSLGLGALRSTSENLEVKREYEQQDNQPFTLSYEKLDGSTSHGLHHVEFAGDESKSIIEEQMNVETRGKTSASKSHMVAEKVMAPNELGDKVHRHKKLLKFWKKSGDIGEAKLLQDLHGTWTPSGNPLAQYENNVATSIVKSSPSSVVGQQRQLRGSDSLQYQHISNTFVAPSAYGNLTNQYPTIPVLSNIQSGEFKQQPLLSCYDVSPSKANSVNRSVEASTKPLSMTPQEKIEKLRRRQQMQALLAIQKQQQQFHRQVPCADHSVIQKCNQENQFQHVEGADVEDLTTLASFDPNSPLEQDDSNTVSVAVDDCSVEETVLYRLQDVIGKLDIKIRLCIRDSLFRLAQSAMQRHYASDTSSTNKSSRDENEVAKEENKNHNRCSQGRVTAHCLCTNVISDV >EOY20167 pep chromosome:Theobroma_cacao_20110822:10:25126910:25134354:1 gene:TCM_045548 transcript:EOY20167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 2 isoform 1 MDLEFGKTLDASKKGSWKTASLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIYGVLSFVFWTLTLLPLFKYVFVVLRADDNGEGGTFALYSLICRHARVSLLPNRQVADEALSTYKLEHPPEKKSSSRVKMYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFFFAPIVLTWLLCISALGLYNIIHWNPHVYQALSPYYMFKFLKKTRKGGWMSLGGILLCITAGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAYLSQHHPTSYQISFYVSVPESVRWPVLVVAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVIHTSDKIHGQIYIPEINWVLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWNKPPLVALSFLLFFGSVELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIVRVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVPLAERYLVGRVGPAAHRSYRCIVRYGYRDVHQEVDSFESELIAKLADFIRYDWYRRQQTNPYTDDDASHSNESSSECRLAVIGTVAFSGTPGYEIEESVQPESVSGGFSTVESVTDVIEMEPVGAVQRRVRFAIDDDSESDTRTDMEVHLREELEDLLAAQEAGTAFILGHSHVRAKQGSSVLKRLAINFGYNFLRRNCRGPDVTLKVPPVSLLEVGMVYVV >EOY20163 pep chromosome:Theobroma_cacao_20110822:10:25126831:25134354:1 gene:TCM_045548 transcript:EOY20163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 2 isoform 1 MDLEFGKTLDASKKGSWKTASLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIYGVLSFVFWTLTLLPLFKYVFVVLRADDNGEGGTFALYSLICRHARVSLLPNRQVADEALSTYKLEHPPEKKSSSRVKMYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFFFAPIVLTWLLCISALGLYNIIHWNPHVYQALSPYYMFKFLKKTRKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAYLSQHHPTSYQISFYVSVPESVRWPVLVVAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVIHTSDKIHGQIYIPEINWVLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWNKPPLVALSFLLFFGSVELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIVRVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVPLAERYLVGRVGPAAHRSYRCIVRYGYRDVHQEVDSFESELIAKLADFIRYDWYRRQQTNPYTDDDASHSNESSSECRLAVIGTVAFSGTPGYEIEESVQPESVSGGFSTVESVTDVIEMEPVGAVQRRVRFAIDDDSESDTRTDMEVHLREELEDLLAAQEAGTAFILGHSHVRAKQGSSVLKRLAINFGYNFLRRNCRGPDVTLKVPPVSLLEVGMVYVV >EOY20166 pep chromosome:Theobroma_cacao_20110822:10:25125441:25134354:1 gene:TCM_045548 transcript:EOY20166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 2 isoform 1 MDLEFGKTLDASKKGSWKTASLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIYGVLSFVFWTLTLLPLFKYVFVVLRADDNGEGGTFALYSLICRHARVSLLPNRQVADEALSTYKLEHPPEKKSSSRVKMYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFFFAPIVLTWLLCISALGLYNIIHWNPHVYQALSPYYMFKFLKKTRKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAYLSQHHPTSYQISFYVSVPESVRWPVLVVAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVIHTSDKIHGQIYIPEINWVLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWNKPPLVALSFLLFFGSVELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIVRVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVPLAERYLVGRVGPAAHRSYRCIVRYGYRDVHQEVDSFESELIAKLADFIRYDWYRRQQTNPYTDDDASHSNESSSECRLAVIGTVAFSGTPGYEIEESVQPESVSGGFSTVESVTDVIEMEPVGAVQRRVRFAIDDDSESDTRTDMEVHLREELEDLLAAQEAGTAFILGHSHVRAKQGSSVLKRLAINFGYNFLRRNCRGPDVTLKVPPVSLLEVGMVYVV >EOY20169 pep chromosome:Theobroma_cacao_20110822:10:25129315:25132513:1 gene:TCM_045548 transcript:EOY20169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 2 isoform 1 MFKFLKKTRKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAYLSQHHPTSYQISFYVSVPESVRWPVLVVAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVIHTSDKIHGQIYIPEINWVLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWNKPPLVALSFLLFFGSVELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIVRVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVPLAERYLVGRVGPAAHRSYRCIVRYGYRDVHQEVDSFESELIAKLADFIRYDWYRRQQTNPYTDDDASHSNESSSECRLAVIGTVAFSGTPGYEIEESVQPESVSGGFSTVESVTDVIEMEPVGAVQRRVRFAIDDDSESDTRTDMEVHLREELEDLLAAQEAGTAFILGHSHVRAKQGSSVLKRLAINFGYNFLRRNCRGPDVTLKVPPVSLLEVGMVYVV >EOY20165 pep chromosome:Theobroma_cacao_20110822:10:25126657:25132942:1 gene:TCM_045548 transcript:EOY20165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 2 isoform 1 MDLEFGKTLDASKKGSWKTASLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIYGVLSFVFWTLTLLPLFKYVFVVLRADDNGEGGTFALYSLICRHARVSLLPNRQVADEALSTYKLEHPPEKKSSSRVKMYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFFFAPIVLTWLLCISALGLYNIIHWNPHVYQALSPYYMFKFLKKTRKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAYLSQHHPTSYQISFYVSVPESVRWPVLVVAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVIHTSDKIHGQIYIPEINWVLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWNKPPLVALSFLLFFGSVELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIVRVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVPLAERYLVGRVGPAAHRSYRCIVRYGYRDVHQEVDSFESELIAKLADFIRYDWYRRQQTNPYTDDDASHSNESSSECRLAVIGTVAFSGTPGYEIEESVQPESVSGGFSTVESVTDVIEMEPVGAVQRRVRFAIDDDSESDTRTDMEVHLREELEDLLAAQEAGTAFILGHSHVRAKQGSSVLKRLAINFGYNFLRRNCRGPDVTLKVPPVSLLEVGMVYVV >EOY20168 pep chromosome:Theobroma_cacao_20110822:10:25123809:25132431:1 gene:TCM_045548 transcript:EOY20168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 2 isoform 1 MDLEFGKTLDASKKGSWKTASLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIYGVLSFVFWTLTLLPLFKYVFVVLRADDNGEGGTFALYSLICRHARVSLLPNRQVADEALSTYKLEHPPEKKSSSRVKMYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFFFAPIVLTWLLCISALGLYNIIHWNPHVYQALSPYYMFKFLKKTRKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAYLSQHHPTSYQISFYVSVPESVRWPVLVVAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVIHTSDKIHGQIYIPEINWVLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWNKPPLVALSFLLFFGSVELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIVRVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVPLAERYLVGRVGPAAHRSYRCIVRYGYRDVHQEVDSFESELIAKLADFIRYDWYRRQQTNPYTDDDASHSNESSSECRLAVIGTVAFSGTPGYEIEESVQPESVSGGFSTVESVTDVIEMEPVGAVQRRVRFAIDDDSESDTRTDMEVHLREELEDLLAAQEAGTAFILGHSHVRAKQGSSVLKRLAINFGYNFLRRNCRGPDVTLKVPPVSLLEVGMVYVV >EOY20164 pep chromosome:Theobroma_cacao_20110822:10:25125400:25134354:1 gene:TCM_045548 transcript:EOY20164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 2 isoform 1 MDLEFGKTLDASKKGSWKTASLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIYGVLSFVFWTLTLLPLFKYVFVVLRADDNGEGGTFALYSLICRHARVSLLPNRQVADEALSTYKLEHPPEKKSSSRVKMYLEKHKVLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFFFAPIVLTWLLCISALGLYNIIHWNPHVYQALSPYYMFKFLKKTRKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQTAFTFLVYPALILAYMGQAAYLSQHHPTSYQISFYVSVPESVRWPVLVVAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVIHTSDKIHGQIYIPEINWVLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWNKPPLVALSFLLFFGSVELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIVRVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVPLAERYLVGRVGPAAHRSYRCIVRYGYRDVHQEVDSFESELIAKLADFIRYDWYRRQQTNPYTDDDASHSNESSSECRLAVIGTVAFSGTPGYEIEESVQPESVSGGFSTVESVTDVIEMEPVGAVQRRVRFAIDDDSESDTRTDMEVHLREELEDLLAAQEAGTAFILGHSHVRAKQGSSVLKRLAINFGYNFLRRNCRGPDVTLKVPPVSLLEVGMVYVV >EOY20155 pep chromosome:Theobroma_cacao_20110822:10:25091488:25094050:-1 gene:TCM_045543 transcript:EOY20155 gene_biotype:protein_coding transcript_biotype:protein_coding description:N2,N2-dimethylguanosine tRNA methyltransferase isoform 4 MLTTNPKTLSPSPFIHKTLINPKYSSTSPKFLNFNSLIRCKCNQVTERGILFDTGETFFRQESAIGRDLGVLSAALYKQSKGQLRVLDAMCGCGIRSLRYLVESKADFVLANDANESHRRVILENLAQVERFQGEKKRWVVTHCEANRVLTDCYLQRDYFDFIDIDSFGSDSSFFLRAAFSSLKLDGLVYVTSTDGYSSGGHRPFHSLAAYGAYVRPMPYANELGLRILIGGAVREASVLGYHVTPLFSYYSYHGPVFRVLLRMNRGKLPDNRDYGFICYCYRCGNSQAVSWSELGRIRCPCSNSKDAASLVVSGPMWTGPLHSAAYIMEMLNLAEQWGWVGNGAGTDLEKLLKRMVEESDPRLPFGYIKLDEVACRAQTNTPSIRTIMNSLHKVT >EOY20157 pep chromosome:Theobroma_cacao_20110822:10:25092087:25094050:-1 gene:TCM_045543 transcript:EOY20157 gene_biotype:protein_coding transcript_biotype:protein_coding description:N2,N2-dimethylguanosine tRNA methyltransferase isoform 4 MLTTNPKTLSPSPFIHKTLINPKYSSTSPKFLNFNSLIRCKCNQVTERGILFDTGETFFRQESAIGRDLGVLSAALYKQSKGQLRVLDAMCGCGIRSLRYLVESKADFVLANDANESHRRVILENLAQVERFQGEKKRWVVTHCEANRVLTDCYLQRDYFDFIDIDSFGSDSSFFLRAAFSSLKLDGLVYVTSTDGYSSGGHRPFHSLAAYGAYVRPMPYANELGLRILIGGAVREASVLGYHVTPLFSYYSYHGPVFRVLLRMNRGKLPDNRDYGFICYCYRCGNSQAVSWSELGRIRCPCSNSKV >EOY20154 pep chromosome:Theobroma_cacao_20110822:10:25090830:25094070:-1 gene:TCM_045543 transcript:EOY20154 gene_biotype:protein_coding transcript_biotype:protein_coding description:N2,N2-dimethylguanosine tRNA methyltransferase isoform 4 MLTTNPKTLSPSPFIHKTLINPKYSSTSPKFLNFNSLIRCKCNQVTERGILFDTGETFFRQESAIGRDLGVLSAALYKQSKGQLRVLDAMCGCGIRSLRYLVESKADFVLANDANESHRRVILENLAQVERFQGEKKRWVVTHCEANRVLTDCYLQRDYFDFIDIDSFGSDSSFFLRAAFSSLKLDGLVYVTSTDGYSSGGHRPFHSLAAYGAYVRPMPYANELGLRILIGGAVREASVLGYHVTPLFSYYSYHGPVFRVLLRMNRGKLPDNSFHINDRDYGFICYCYRCGNSQAVSWSELGRIRCPCSNSKDAASLVVSGPMWTGPLHSAAYIMEMLNLAEQWGWVGNGAGTDLEKLLKRMVEESDPRLPFGYIKLDEVACRAQTNTPSIRTIMNSLHKEGYAASRSHIASNAIKTDCPMAGCIRIAKILHGC >EOY20156 pep chromosome:Theobroma_cacao_20110822:10:25090925:25094050:-1 gene:TCM_045543 transcript:EOY20156 gene_biotype:protein_coding transcript_biotype:protein_coding description:N2,N2-dimethylguanosine tRNA methyltransferase isoform 4 MLTTNPKTLSPSPFIHKTLINPKYSSTSPKFLNFNSLIRCKCNQVTERGILFDTGETFFRQESAIGRDLGVLSAALYKQSKGQLRVLDAMCGCGIRSLRYLVESKADFVLANDANESHRRVILENLAQVERFQGEKKRWVVTHCEANRVLTDCYLQRDYFDFIDIDSFGSDSSFFLRAAFSSLKLDGLVYVTSTDGYSSGGHRPFHSLAAYGAYVRPMPYANELGLRILIGGAVREASVLGYHVTPLFSYYSYHGPVFRVLLRMNRGKLPDNRDYGFICYCYRCGNSQAVSWSELGRIRCPCSNSKGHVVHKQILLP >EOY18455 pep chromosome:Theobroma_cacao_20110822:10:3518983:3521372:1 gene:TCM_043031 transcript:EOY18455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione transferase lambda 2 isoform 5 MPGLQDKIKLVPIDLKNRPAWYKQKVYPANKVPALEHNNEVKGESLELIKYIDSHFEGPSLFPDDPAKKEYAEELFSYIDSFYKTATSSFKGDGSKAGVAFDYIETALSKFEDGPFFLGQFSLVDIAYAPFIERIHPFLLEVKKYDFTLGRPKLATWIEEMNKNEAYTQTKSDPKDLVQSYKERFMAQL >EOY18451 pep chromosome:Theobroma_cacao_20110822:10:3517411:3521315:1 gene:TCM_043031 transcript:EOY18451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione transferase lambda 2 isoform 5 MATGSVREVLPPALDSHSDPPPIFDGTTRLYISYTCPYAQRVWITRNCKGLQDKIKLVPIDLKNRPAWYKQKVYPANKVPALEHNNEVKGESLELIKYIDSHFEGPSLFPDDPAKKEYAEELFSYIDSFYKTATSSFKGDGSKAGVAFDYIETALSKFEDGPFFLGQFSLVDIAYAPFIERIHPFLLEVKKYDFTLGRPKLATWIEEMNKNEAYTQTKSDPKDLVQSYKERFMAQL >EOY18457 pep chromosome:Theobroma_cacao_20110822:10:3518552:3521372:1 gene:TCM_043031 transcript:EOY18457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione transferase lambda 2 isoform 5 QRVWITRNCKALNSFWPWSERDERAYPPSCNQGLQDKIKLVPIDLKNRPAWYKQKVYPANKVPALEHNNEVKGESLELIKYIDSHFEGPSLFPDDPAKKEYAEELFSYIDSFYKTATSSFKGDGSKAGVAFDYIETALSKFEDGPFFLGQFSLVDIAYAPFIERIHPFLLEVKKYDFTLGRPKLATWIEEMNKNEAYTQTKSDPKDLVQSYKERFMAQL >EOY18453 pep chromosome:Theobroma_cacao_20110822:10:3517451:3521684:1 gene:TCM_043031 transcript:EOY18453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione transferase lambda 2 isoform 5 MATGSVREVLPPALDSHSDPPPIFDGTTRLYISYTCPYAQRVWITRNCKGLQDKIKLVPIDLKNRPAWYKQKVYPANKVPALEHNNEVKGESLELIKYIDSHFEGPSLFPDVKSQKFLISCFSLFSYIDSFYKTATSSFKGDGSKAGVAFDYIETALSKFEDGPFFLGQFSLVDIAYAPFIERIHPFLLEVKKYDFTLGRPKLATWIEEMNKNEAYTQTKSDPKDLVQSYKERFMVLHLFKSLSSIGNFSTLILLTCFFCRLSFEHWGFSACPHHEKKN >EOY18452 pep chromosome:Theobroma_cacao_20110822:10:3517649:3521500:1 gene:TCM_043031 transcript:EOY18452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione transferase lambda 2 isoform 5 MATGSVREVLPPALDSHSDPPPIFDGTTRLYISYTCPYAQRVWITRNCKGLQDKIKLVPIDLKNRPAWYKQKVYPANKVPALEHNNEVKGESLELIKYIDSHFEGPSLFPDDPAKKEYAEELFSYIDSFYKTATSSFKGDGSKAGVAFDYIETALSKFEDGPFFLGQFSLVKKYDFTLGRPKLATWIEEMNKNEAYTQTKSDPKDLVQSYKERFMAQL >EOY18456 pep chromosome:Theobroma_cacao_20110822:10:3518983:3521684:1 gene:TCM_043031 transcript:EOY18456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione transferase lambda 2 isoform 5 MPGLQDKIKLVPIDLKNRPAWYKQKVYPANKVPALEHNNEVKGESLELIKYIDSHFEGPSLFPDVKSQKFLISCFSLFSYIDSFYKTATSSFKGDGSKAGVAFDYIETALSKFEDGPFFLGQFSLVDIAYAPFIERIHPFLLEVKKYDFTLGRPKLATWIEEMNKNEAYTQTKSDPKDLVQSYKERFMAQL >EOY18454 pep chromosome:Theobroma_cacao_20110822:10:3517833:3521372:1 gene:TCM_043031 transcript:EOY18454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione transferase lambda 2 isoform 5 MATGSVREVLPPALDSHSDPPPIFDGTTRLYISYTCPYAQRVWITRNCKDPAKKEYAEELFSYIDSFYKTATSSFKGDGSKAGVAFDYIETALSKFEDGPFFLGQFSLVDIAYAPFIERIHPFLLEVKKYDFTLGRPKLATWIEEMNKNEAYTQTKSDPKDLVQSYKERFMAQL >EOY19726 pep chromosome:Theobroma_cacao_20110822:10:21851978:21855672:1 gene:TCM_045031 transcript:EOY19726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MSKFPHLQSLKFVQLFFGFTSLQASLLDPNSSNSSATCNEIERKALLRFKAGLKDHSGRLSSWFGKDCCTWSGVGCNKLTGHVTKLDLKNPYDHPYQQSASVVVAFELARLGGKINPSLLVLRHLNYLDLSLNNFQNTPIPKFIGSLSKLSYLNLSSASFVGLVPHQLGNLSNLKCLDLYSKPLKDSQNIWVSDLSWIIGFSSLKSCICLSVLSPSALLSSICELRFHSRPRSSRELLQFFTASMTLDLSSNEMSGELDKFVSSLSKCGNISLEMLHLWSNNLSGQLPIPLGHFKNLKSLRLLDNPISSSIPAFIGNLSCMEELYINNGKLNGAIPESIGQLKYLVEIYLEGNWNGVISEAHFLHLKNLKTLYLLSRNKSLKFDVRRDWVPPFSLELIFINYCEIGPNFPTWVKTQRKLRFLSLEEVEISDRIPDWFWEQTLQLRNLRLHNNKVRGRLPKSLKFAPGAFTVDLSSNLFEGPLPLCSSIRILSLRNNSFSGLIPENIGQKMWMVEYLDLSKNFLSGTIPSSIGKFKKLQVMYLSNNLFSEKIPWWKHLTTFRVLDFSKNNLSGNISSSICSQPRLHILKLSGNNLSGKLTALQNCSGLSELGLGNNQFYGRIPKWIGARLSSLSILSLRGNLFNGNIPQNLCHLFDLHILELRHNNLSRQIPPCLGNLSRLSYLVPYSPQALGYHPVYWNEMEFNVKGRILEFSLILDLVNIIDLSKNNLQGEIPREITNFSTLGTLNLSWNQLTGNIPEKIGNMQCLETLDLSCNYLFGPIFSSMLDITSLNHLNLSYNDLSGSIPSTNQFQTFNDPSIYEGNPKLCGYPLPIICSTPKNDQPKSQKDESKAKDMAEVLWFYFYLGMAPGFFLGFWAVLFFFHY >EOY18685 pep chromosome:Theobroma_cacao_20110822:10:4432298:4433237:-1 gene:TCM_043181 transcript:EOY18685 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein, putative MRAITHGTMKSKGLRKCLCKCRNVGASVLKYTIWGHIRDWSIWSCLHEEVYIPVDVPRGHLVVYVGEDCKRFVIKISLLEHPLFKALLDRAEEVFDFSASSKLCIPCDENIFTIILQCVAASQQQDQRPQFCF >EOY18211 pep chromosome:Theobroma_cacao_20110822:10:2396705:2407188:-1 gene:TCM_042817 transcript:EOY18211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTTTFSPGRSPGSSRLQLGAASGVSRLRSSLLKKPPEPLRRAVADCLSSSSSSFSSPATVAGGVSSYHHGSPSLVLSEASRTLRDYLAAPSTTDQAYIVILEHTIAERERSPAVVGRCVALLKRYLLRYKPSEETLLQIDRFCVNIIAECDNSPNRRLSPWSQSLNQQSGSSTTSTSSASASPSLTVSSFASVALVKSLNYVRSLVAQYIPKRSFQPAAFAGATLASRQSLPTLSSLLSRSFNSQLCPVNGGESSENKDATTLSVSNLSNIEEADGLENPEYIANDVLKWRWLRDHPSSLLFSESDRSVNVQDMRRHNFLEVGAAALLVGDMEAKMKGQPWKYFGTADMPYLDQLLQPSSVTTIAKSASARSHLRAITALKRSKGGPRQIWDDSPASTFRPRARPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSETSSTNTNTMTVSSRLSNNSGKPSIDVAVSVLIKLVIDMYVLDTGTAAPLTLSMLEEMLSSPRTACRVRAFDLILNLAVHAQLLEPMIIDANSAIEEEYSQELLLNSEDQLTTGIRKIDSAKKLGTSSAIDKFESWILNILYEILLLLVQTEEKEESVWASALSCLLYFVCDRGKIWRNRLKGLDIRVVKALIETSRVNSWAELVHCKLVCILTNMFYQVPDESTPAAMSTASFLVDQVDLIGGIDFIFIEYSLSTSREERKHLYLVLFDFVLHQINEACISTGVSEYSDDEIQPLATLLALADAPEAFYISVKLGVEGIGELLRRSISAALSRYPNSERLNTLLQNITEKLDTIISSFTHLDKEFLHLKQITKSYKFMDSIEDSSLRNGVGMKAKLAWAILHSLLHSDRISYRQNGYIWLGDLLITEISESKDGSIWSNVKSLQNKITYAGVHDSSVPSDVPLSIWLMCGLLKSKNNIIRWGFLVILERLLMRCKFLLDESEMQQSSNSDVGPDHRDTRLEKANAVIDIMSSALSLVAQINETDRMNILKMCDILFSQLCLKVPPSTVMPFGEGIQQTKVFTRSDEIRKTNTAERISPQASCRGDELMEETDSKSGYGVSSPPIRETASMAALLLRGQAIVPMQLVARVPAALFYWPLIQLADAAADNIALGVAVGSKGRGNLPGATSDIRATLLLLLIGKCTADPTAFQEVGGEEFFR >EOY18207 pep chromosome:Theobroma_cacao_20110822:10:2396705:2407188:-1 gene:TCM_042817 transcript:EOY18207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTTTFSPGRSPGSSRLQLGAASGVSRLRSSLLKKPPEPLRRAVADCLSSSSSSFSSPATVAGGVSSYHHGSPSLVLSEASRTLRDYLAAPSTTDQAYIVILEHTIAERERSPAVVGRCVALLKRYLLRYKPSEETLLQIDRFCVNIIAECDNSPNRRLSPWSQSLNQQSGSSTTSTSSASASPSLTVSSFASVALVKSLNYVRSLVAQYIPKRSFQPAAFAGATLASRQSLPTLSSLLSRSFNSQLCPVNGGESSENKDATTLSVSNLSNIEEADGLENPEYIANDVLKWRWLRDHPSSLLFSESDRSVNVQDMRRHNFLEVGAAALLVGDMEAKMKGQPWKYFGTADMPYLDQLLQPSSVTTIAKSASARSHLRAITALKRSKGGPRQIWDDSPASTFRPRARPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSETSSTNTNTMTVSSRLSNNSGKPSIDVAVSVLIKLVIDMYVLDTGTAAPLTLSMLEEMLSSPRTACRVRAFDLILNLAVHAQLLEPMIIDANSAIEEEYSQELLLNSEDQLTTGIRKIDSAKKLGTSSAIDKFESWILNILYEILLLLVQTEEKEESVWASALSCLLYFVCDRGKIWRNRLKGLDIRVVKALIETSRVNSWAELVHCKLVCILTNMFYQVPDESTPAAMSTASFLVDQVDLIGGIDFIFIEYSLSTSREERKHLYLVLFDFVLHQINEACISTGVSEYSDDEIQPLATLLALADAPEAFYISVKLGVEGIGELLRRSISAALSRYPNSERLNTLLQNITEKLDTIISSFTHLDKEFLHLKQITKSYKFMDSIEDSSLRNGVGMKAKLAWAILHSLLHSDRISYRQNGYIWLGDLLITEISESKDGSIWSNVKSLQNKITYAGVHDSSVPSDVPLSIWLMCGLLKSKNNIIRWGFLVILERLLMRCKFLLDESEMQQSSNSDVGPDHRDTRLEKANAVIDIMSSALSLVAQINETDRMNILKMCDILFSQLCLKVPPSTVMPFGEGIQQTKVFTRSDEIRKTNTAERISPQASCRGDELMEETDSKSGYGVSSPPIRETASMAALLLRGQAIVPMQLVARVPAALFYWPLIQLADAAADNIALGVAVGSKGRGNLPGATSDIRATLLLLLIGKCTADPTAFQEVGGEEFFR >EOY18210 pep chromosome:Theobroma_cacao_20110822:10:2394348:2407367:-1 gene:TCM_042817 transcript:EOY18210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTTTFSPGRSPGSSRLQLGAASGVSRLRSSLLKKPPEPLRRAVADCLSSSSSSFSSPATVAGGVSSYHHGSPSLVLSEASRTLRDYLAAPSTTDQAYIVILEHTIAERERSPAVVGRCVALLKRYLLRYKPSEETLLQIDRFCVNIIAECDNSPNRRLSPWSQSLNQQSGSSTTSTSSASASPSLTVSSFASVALVKSLNYVRSLVAQYIPKRSFQPAAFAGATLASRQSLPTLSSLLSRSFNSQLCPVNGGESSENKDATTLSVSNLSNIEEADGLENPEYIANDVLKWRWLRDHPSSLLFSESDRSVNVQDMRRHNFLEVGAAALLVGDMEAKMKGQPWKYFGTADMPYLDQLLQPSSVTTIAKSASARSHLRAITALKRSKGGPRQIWDDSPASTFRPRARPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSETSSTNTNTMTVSSRLSNNSGKPSIDVAVSVLIKLVIDMYVLDTGTAAPLTLSMLEEMLSSPRTACRVRAFDLILNLAVHAQLLEPMIIDANSAIEEEYSQELLLNSEDQLTTGIRKIDSAKKLGTSSAIDKFESWILNILYEILLLLVQTEEKEESVWASALSCLLYFVCDRGKIWRNRLKGLDIRVVKALIETSRVNSWAELVHCKLVCILTNMFYQVPDESTPAAMSTASFLVDQVDLIGGIDFIFIEYSLSTSREERKHLYLVLFDFVLHQINEACISTGVSEYSDDEIQPLATLLALADAPEAFYISVKLGVEGIGELLRRSISAALSRYPNSERLNTLLQNITEKLDTIISSFTHLDKEFLHLKQITKSYKFMDSIEDSSLRNGVGMKAKLAWAILHSLLHSDRISYRQNGYIWLGDLLITEISESKDGSIWSNVKSLQNKITYAGVHDSSVPSDVPLSIWLMCGLLKSKNNIIRWGFLVILERLLMRCKFLLDESEMQQSSNSDVGPDHRDTRLEKANAVIDIMSSALSLVAQINETDRMNILKMCDILFSQLCLKVPPSTVMPFGEGIQQTKVFTRSDEIRKTNTAERISPQASCRGDELMEETDSKSGYGVSSPPIRETASMAALLLRGQAIVPMQLVARVPAALFYWPLIQLADAAADNIALGVAVGSKGRGNLPGATSDIRATLLLLLIGKCTADPTAFQEVGGEEFFR >EOY18208 pep chromosome:Theobroma_cacao_20110822:10:2394517:2407385:-1 gene:TCM_042817 transcript:EOY18208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTTTFSPGRSPGSSRLQLGAASGVSRLRSSLLKKPPEPLRRAVADCLSSSSSSFSSPATVAGGVSSYHHGSPSLVLSEASRTLRDYLAAPSTTDQAYIVILEHTIAERERSPAVVGRCVALLKRYLLRYKPSEETLLQIDRFCVNIIAECDNSPNRRLSPWSQSLNQQSGSSTTSTSSASASPSLTVSSFASVALVKSLNYVRSLVAQYIPKRSFQPAAFAGATLASRQSLPTLSSLLSRSFNSQLCPVNGGESSENKDATTLSVSNLSNIEEADGLENPEYIANDVLKWRWLRDHPSSLLFSESDRSVNVQDMRRHNFLEVGAAALLVGDMEAKMKGQPWKYFGTADMPYLDQLLQPSSVTTIAKSASARSHLRAITALKRSKGGPRQIWDDSPASTFRPRARPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSETSSTNTNTMTVSSRLSNNSGKPSIDVAVSVLIKLVIDMYVLDTGTAAPLTLSMLEEMLSSPRTACRVRAFDLILNLAVHAQLLEPMIIDANSAIEEEYSQELLLNSEDQLTTGIRKIDSAKKLGTSSAIDKFESWILNILYEILLLLVQTEEKEESVWASALSCLLYFVCDRGKIWRNRLKGLDIRVVKALIETSRVNSWAELVHCKLVCILTNMFYQVPDESTPAAMSTASFLVDQVDLIGGIDFIFIEYSLSTSREERKHLYLVLFDFVLHQINEACISTGVSEYSDDEIQPLATLLALADAPEAFYISVKLGVEGIGELLRRSISAALSRYPNSERLNTLLQNITEKLDTIISSFTHLDKEFLHLKQITKSYKFMDSIEDSSLRNGVGMKAKLAWAILHSLLHSDRISYRQNGYIWLGDLLITEISESKDGSIWSNVKSLQNKITYAGVHDSSVPSDVPLSIWLMCGLLKSKNNIIRWGFLVILERLLMRCKFLLDESEMQQSSNSDVGPDHRDTRLEKANAVIDIMSSALSLVAQINETDRMNILKMCDILFSQLCLKVPPSTVMPFGEGIQQTKVFTRSDEIRKTNTAERISPQASCRGDELMEETDSKSGYGVSSPPIRETASMAALLLRGQAIVPMQLVARVPAALFYWPLIQLADAAADNIALGVAVGSKGRGNLPGATSDIRATLLLLLIGKCTADPTAFQEVGGEEFFR >EOY18213 pep chromosome:Theobroma_cacao_20110822:10:2396705:2407188:-1 gene:TCM_042817 transcript:EOY18213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTTTFSPGRSPGSSRLQLGAASGVSRLRSSLLKKPPEPLRRAVADCLSSSSSSFSSPATVAGGVSSYHHGSPSLVLSEASRTLRDYLAAPSTTDQAYIVILEHTIAERERSPAVVGRCVALLKRYLLRYKPSEETLLQIDRFCVNIIAECDNSPNRRLSPWSQSLNQQSGSSTTSTSSASASPSLTVSSFASVALVKSLNYVRSLVAQYIPKRSFQPAAFAGATLASRQSLPTLSSLLSRSFNSQLCPVNGGESSENKDATTLSVSNLSNIEEADGLENPEYIANDVLKWRWLRDHPSSLLFSESDRSVNVQDMRRHNFLEVGAAALLVGDMEAKMKGQPWKYFGTADMPYLDQLLQPSSVTTIAKSASARSHLRAITALKRSKGGPRQIWDDSPASTFRPRARPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSETSSTNTNTMTVSSRLSNNSGKPSIDVAVSVLIKLVIDMYVLDTGTAAPLTLSMLEEMLSSPRTACRVRAFDLILNLAVHAQLLEPMIIDANSAIEEEYSQELLLNSEDQLTTGIRKIDSAKKLGTSSAIDKFESWILNILYEILLLLVQTEEKEESVWASALSCLLYFVCDRGKIWRNRLKGLDIRVVKALIETSRVNSWAELVHCKLVCILTNMFYQVPDESTPAAMSTASFLVDQVDLIGGIDFIFIEYSLSTSREERKHLYLVLFDFVLHQINEACISTGVSEYSDDEIQPLATLLALADAPEAFYISVKLGVEGIGELLRRSISAALSRYPNSERLNTLLQNITEKLDTIISSFTHLDKEFLHLKQITKSYKFMDSIEDSSLRNGVGMKAKLAWAILHSLLHSDRISYRQNGYIWLGDLLITEISESKDGSIWSNVKSLQNKITYAGVHDSSVPSDVPLSIWLMCGLLKSKNNIIRWGFLVILERLLMRCKFLLDESEMQQSSNSDVGPDHRDTRLEKANAVIDIMSSALSLVAQINETDRMNILKMCDILFSQLCLKVPPSTVMPFGEGIQQTKVFTRSDEIRKTNTAERISPQASCRGDELMEETDSKSGYGVSSPPIRETASMAALLLRGQAIVPMQLVARVPAALFYWPLIQLADAAADNIALGVAVGSKGRGNLPGATSDIRATLLLLLIGKCTADPTAFQEVGGEEFFR >EOY18212 pep chromosome:Theobroma_cacao_20110822:10:2396705:2407188:-1 gene:TCM_042817 transcript:EOY18212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTTTFSPGRSPGSSRLQLGAASGVSRLRSSLLKKPPEPLRRAVADCLSSSSSSFSSPATVAGGVSSYHHGSPSLVLSEASRTLRDYLAAPSTTDQAYIVILEHTIAERERSPAVVGRCVALLKRYLLRYKPSEETLLQIDRFCVNIIAECDNSPNRRLSPWSQSLNQQSGSSTTSTSSASASPSLTVSSFASVALVKSLNYVRSLVAQYIPKRSFQPAAFAGATLASRQSLPTLSSLLSRSFNSQLCPVNGGESSENKDATTLSVSNLSNIEEADGLENPEYIANDVLKWRWLRDHPSSLLFSESDRSVNVQDMRRHNFLEVGAAALLVGDMEAKMKGQPWKYFGTADMPYLDQLLQPSSVTTIAKSASARSHLRAITALKRSKGGPRQIWDDSPASTFRPRARPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSETSSTNTNTMTVSSRLSNNSGKPSIDVAVSVLIKLVIDMYVLDTGTAAPLTLSMLEEMLSSPRTACRVRAFDLILNLAVHAQLLEPMIIDANSAIEEEYSQELLLNSEDQLTTGIRKIDSAKKLGTSSAIDKFESWILNILYEILLLLVQTEEKEESVWASALSCLLYFVCDRGKIWRNRLKGLDIRVVKALIETSRVNSWAELVHCKLVCILTNMFYQVPDESTPAAMSTASFLVDQVDLIGGIDFIFIEYSLSTSREERKHLYLVLFDFVLHQINEACISTGVSEYSDDEIQPLATLLALADAPEAFYISVKLGVEGIGELLRRSISAALSRYPNSERLNTLLQNITEKLDTIISSFTHLDKEFLHLKQITKSYKFMDSIEDSSLRNGVGMKAKLAWAILHSLLHSDRISYRQNGYIWLGDLLITEISESKDGSIWSNVKSLQNKITYAGVHDSSVPSDVPLSIWLMCGLLKSKNNIIRWGFLVILERLLMRCKFLLDESEMQQSSNSDVGPDHRDTRLEKANAVIDIMSSALSLVAQINETDRMNILKMCDILFSQLCLKVPPSTVMPFGEGIQQTKVFTRSDEIRKTNTAERISPQASCRGDELMEETDSKSGYGVSSPPIRETASMAALLLRGQAIVPMQLVARVPAALFYWPLIQLADAAADNIALGVAVGSKGRGNLPGATSDIRATLLLLLIGKCTADPTAFQEVGGEEFFR >EOY18209 pep chromosome:Theobroma_cacao_20110822:10:2394182:2407397:-1 gene:TCM_042817 transcript:EOY18209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTTTFSPGRSPGSSRLQLGAASGVSRLRSSLLKKPPEPLRRAVADCLSSSSSSFSSPATVAGGVSSYHHGSPSLVLSEASRTLRDYLAAPSTTDQAYIVILEHTIAERERSPAVVGRCVALLKRYLLRYKPSEETLLQIDRFCVNIIAECDNSPNRRLSPWSQSLNQQSGSSTTSTSSASASPSLTVSSFASVALVKSLNYVRSLVAQYIPKRSFQPAAFAGATLASRQSLPTLSSLLSRSFNSQLCPVNGGESSENKDATTLSVSNLSNIEEADGLENPEYIANDVLKWRWLRDHPSSLLFSESDRSVNVQDMRRHNFLEVGAAALLVGDMEAKMKGQPWKYFGTADMPYLDQLLQPSSVTTIAKSASARSHLRAITALKRSKGGPRQIWDDSPASTFRPRARPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSETSSTNTNTMTVSSRLSNNSGKPSIDVAVSVLIKLVIDMYVLDTGTAAPLTLSMLEEMLSSPRTACRVRAFDLILNLAVHAQLLEPMIIDANSAIEEEYSQELLLNSEDQLTTGIRKIDSAKKLGTSSAIDKFESWILNILYEILLLLVQTEEKEESVWASALSCLLYFVCDRGKIWRNRLKGLDIRVVKALIETSRVNSWAELVHCKLVCILTNMFYQVPDESTPAAMSTASFLVDQVDLIGGIDFIFIEYSLSTSREERKHLYLVLFDFVLHQINEACISTGVSEYSDDEIQPLATLLALADAPEAFYISVKLGVEGIGELLRRSISAALSRYPNSERLNTLLQNITEKLDTIISSFTHLDKEFLHLKQITKSYKFMDSIEDSSLRNGVGMKAKLAWAILHSLLHSDRISYRQNGYIWLGDLLITEISESKDGSIWSNVKSLQNKITYAGVHDSSVPSDVPLSIWLMCGLLKSKNNIIRWGFLVILERLLMRCKFLLDESEMQQSSNSDVGPDHRDTRLEKANAVIDIMSSALSLVAQINETDRMNILKMCDILFSQLCLKVPPSTVMPFGEGIQQTKVFTRSDEIRKTNTAERISPQASCRGDELMEETDSKSGYGVSSPPIRETASMAALLLRGQAIVPMQLVARVPAALFYWPLIQLADAAADNIALGVAVGSKGRGNLPGATSDIRATLLLLLIGKCTADPTAFQEVGGEEFELDRSLSKGMPKFTLSFLQRMMTEKPEKYQHMLQKLVFKAQQSNNEKLLENPYLQMRGIFQLSNDL >EOY17997 pep chromosome:Theobroma_cacao_20110822:10:1714914:1717527:1 gene:TCM_042673 transcript:EOY17997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPP8, putative MLGRMMVKKCGGLPLAIAVLGGLLATKGTMVEWEMVQRNINAHLNNFPQLNDYGNVNGILVLSYNELPFHLKPCFLYIGHYPEDWEISKKELIRLWIAEGFISPSWESRERMLMEEVAERFLEELIDRCLVQVGQRDHTGTGVKTCRIHDLLRDLCVRKVQNENFLEIIQPSWMENDGHVNLTLSMARRIAIHPSKRYVSLKENHPNLRSLLFFQEELIELNISKCNDFKFLRVLKLVRNDVYKWRVPSEIGNLLHLRYLKLRSSISGGVILPRSIDKLKNLHTLDIFSLKSSIPHVLLKWRRLRHIVVDDLSIKDVDLLGRDILKNIETLKNIWSKSLIQNNAVLDLTNIRTLVIIFKSSKDVELIVKALIESQRLRSLYMRLEYSVSSPDLEPLSCYHHLSQLSFKGEIQEDPHPSHHVLKFLPANIVKLTLEDSRMKQDPMAVLGKLRHLRTLRLWPSSYKGYKMVCSVNDFLQLDFLQIWYLPELEEWHIEEGTMPRLRSLTLYKVPNLRIFPEGLRYLTTLQEINIEGMKRSLAEAENFFTGATNPPSD >EOY18859 pep chromosome:Theobroma_cacao_20110822:10:5517504:5521017:1 gene:TCM_043359 transcript:EOY18859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF6 isoform 1 MATRLKFENSCEVGVFSKLTNAYCLVAIGGSESFCSAFESELADVIPVIKTSIAGTRIIGRLCAGNKNGLLVPHTTTDQELQHLRNSLPDQVVVRRIEEKLSALGNCIACNDHVALTHTDLDRETEEIIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLNELSTLLQVPLVAGTINRGSEVIAAGMTVNDWTAFCGSDTTATELSVIENVFKLREAQPSAIVDEMRKSLIDSSV >EOY18858 pep chromosome:Theobroma_cacao_20110822:10:5517504:5521017:1 gene:TCM_043359 transcript:EOY18858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF6 isoform 1 MATRLKFENSCEVGVFSKLTNAYCLVAIGGSESFCSAFESELADVIPVIKTSIAGTRIIGRLCAGNKNGLLVPHTTTDQELQHLRNSLPDQVVVRRIEEKLSALGNCIACNDHVALTHTDLDRETEEIIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLNELSTLLQVPLVAGTINRGSEVIAAGMTVNDWTAFCGSDTTATELSVIENVFKLREAQPSAIVDEMRKSLIDSSV >EOY19933 pep chromosome:Theobroma_cacao_20110822:10:23957096:23957734:-1 gene:TCM_045337 transcript:EOY19933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKKYHIPQEQQIACVVKQLQQKQPLWTLLEEACIRSQISTVNDQLIEEPMQIRKEVAKRFTQLYGTRKVMKLVDLECGIRILKRSPERL >EOY18937 pep chromosome:Theobroma_cacao_20110822:10:6417969:6421157:1 gene:TCM_043458 transcript:EOY18937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphorylase superfamily protein isoform 2 MEVLDLLNLHLGPPLSLRLTMAAKHVKPCLLLVLIFSAYVCVSAEPNNRKKSLNIIKQLNRRGPYIGLITVIRTEENAFFATGSFRPDPKYPFVDLSGRRFRIGKVHGKKVIFVRCGVGMVNAAAATQQMLDLFDVEGIIHFGIAGNVNNSMSIGDVTIPSQVAHTGIWDWLNPQGTVDQDDVAQLDIGSYNVPTGSGANLLGHIGYRKEQFFSKSGEPNIAQSLVWAKTSPQWLQLASNFGELILEQCVNSSLCLPQKPKLVVGLRASTANIFVDNAAYRDFLFQTFHISSVDMESAAVVMTSLSNGFPLIVIRGLSDLAGRQRGENAIKAFGSLAAVNAAKAVLAFIAKLPGYAR >EOY18938 pep chromosome:Theobroma_cacao_20110822:10:6418318:6430029:1 gene:TCM_043458 transcript:EOY18938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphorylase superfamily protein isoform 2 MAAKHVKPCLLLVLIFSAYVCVSAEPNNRKKSLNIIKQLNRRGPYIGLITVIRTEENAFFATGSFRPDPKYPFVDLSGRRFRIGKVHGKKVIFVRCGVGMVNAAAATQQMLDLFDVEGIIHFGIAGNVNNSMSIGDVTIPSQVAHTGIWDWLNPQGTVDQDDVAQLDIGSYNVPTGSGANLLGHIGYRKEQFFSKSGEPNIAQSLVWAKTSPQWLQLASNFGELILEQCVNSSLCLPQKPKLVVGLRASTANIFVDNAAYRDFLFQTFHISSVDMESAAVVMTSLSNGFPVIVIRGLSDLAGQQQGENAVKKFGSLAALNTAKAVLGFIDNLPGHAY >EOY18020 pep chromosome:Theobroma_cacao_20110822:10:1815390:1816888:-1 gene:TCM_042691 transcript:EOY18020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLDFLAFDSCCSARNICFPVWPIFGNMRSFLKAFRSENPDTFIHDLVKRYGRPGIYKTYLFGSPSITISIPETCRKALER >EOY19566 pep chromosome:Theobroma_cacao_20110822:10:18873927:18876587:1 gene:TCM_044709 transcript:EOY19566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 81 isoform 1 MSKHRKDYVDLHRTTEQEKDSIEQEVTAFIKACKDQIDVLKNSINDEEATSKGWLGIRDTSNADTIAHKHGVVLILSEKLHSVTAQFDQLRAIRFQDAINRATPRRKLKRVVDSNSLDTSKPVNLDLRETNELQPESLRVQQELLDNETRALQVELTSLLDAVQETETKMVEMSALNHLMSTHVLQQAQQIEHLYDQAVEATKNVELGNKELSQAIQRNSGSRTFLLLFLFVLTFSILFLDWYN >EOY19565 pep chromosome:Theobroma_cacao_20110822:10:18870576:18876589:1 gene:TCM_044709 transcript:EOY19565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 81 isoform 1 MSRFRDRTEDFKDAVRHTAISSGYSESKLAAIMASFIIHKPWQTTPFTKSALKTLESIGALDQFMSKHRKDYVDLHRTTEQEKDSIEQEVTAFIKACKDQIDVLKNSINDEEATSKGWLGIRDTSNADTIAHKHGVVLILSEKLHSVTAQFDQLRAIRFQDAINRATPRRKLKRVVDSNSLDTSKPVNLDLRETNELQPESLRVQQELLDNETRALQVELTSLLDAVQETETKMVEMSALNHLMSTHVLQQAQQIEHLYDQAVEATKNVELGNKELSQAIQRNSGSRTFLLLFLFVLTFSILFLDWYN >EOY19498 pep chromosome:Theobroma_cacao_20110822:10:18170720:18175088:1 gene:TCM_044611 transcript:EOY19498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 65, putative isoform 1 MSHTKNEREDGMLSEDQAESPLADDGNCGGAGGGVVMKKGPWTSAEDAILIEYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGAFTQEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVCLQALQESHSTSAVNGGDKGPQDIMQNNSYQIPDVIFDSLKANQSVLPYVPELPDISTSSMLMKGLGSSQYCSFMPPTIHRQKRLRESTAFFPGYTGAVKNECPLFDQFQDDMSDKAAQSFGVSFQIEPDPTAKNSESFGVFPGSHALTNGNFSASESSLEAVKLELPSLQYPETELGNWGTISCPPPLLESVDAFIQSPPPTSGVESDSLSPHNSGLLDALLHEAKTLSSAKNHASDKSSNSSTPGDIAESSNFNICETEWENCGQPLSPMGHSATSLFSECISASGSSLDEQPPAETFTEIQKEAPIRLDSTRPDTLLASNWLEQGCVYDKDQTIMSDAIATLLGDDLSSEYKNMAAGTSISSQAWGLGSCAWNNMPAVCQMSELP >EOY19497 pep chromosome:Theobroma_cacao_20110822:10:18170720:18175088:1 gene:TCM_044611 transcript:EOY19497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 65, putative isoform 1 MSHTKNEREDGMLSEDQAESPLADDGNCGGAGGGVVMKKGPWTSAEDAILIEYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGAFTQEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVCLQALQESHSTSAVNGGDKGPQDIMQNNSYQIPDVIFDSLKANQSVLPYVPELPDISTSSMLMKGLGSSQYCSFMPPTIHRQKRLRESTAFFPGYTGAVKNECPLFDQFQDDMSDKAAQSFGVSFQIEPDPTAKNSESFGVFPGSHALTNGNFSASESSLEAVKLELPSLQYPETELGNWGTISCPPPLLESVDAFIQSPPPTSGVESDSLSPHNSGLLDALLHEAKTLSSAKNHASDKSSNSSTPGDIAESSNFNICETEWENCGQPLSPMGHSATSLFSECISASGSSLDEQPPAETFTESHVKSETADHVFTPEIQKEAPIRLDSTRPDTLLASNWLEQGCVYDKDQTIMSDAIATLLGDDLSSEYKNMAAGTSISSQAWGLGSCAWNNMPAVCQMSELP >EOY19496 pep chromosome:Theobroma_cacao_20110822:10:18170486:18175130:1 gene:TCM_044611 transcript:EOY19496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 65, putative isoform 1 MSHTKNEREDGMLSEDQAESPLADDGNCGGAGGGVVMKKGPWTSAEDAILIEYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGAFTQEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVCLQALQESHSTSAVNGGDKGPQDIMQNNSYQIPDVIFDSLKANQSVLPYVPELPDISTSSMLMKGLGSSQYCSFMPPTIHRQKRLRESTAFFPGYTGAVKNECPLFDQFQDDMSDKAAQSFGVSFQIEPDPTAKNSESFGVFPGSHALTNGNFSASESSLEAVKLELPSLQYPETELGNWGTISCPPPLLESVDAFIQSPPPTSGVESDSLSPHNSGLLDALLHEAKTLSSAKNHASDKSSNSSTPGDIAESSNFNICETEWENCGQPLSPMGHSATSLFSECISASGSSLDEQPPAETFTESHVKSETADHVFTPEIQKEAPIRLDSTRPDTLLASNWLEQGCVYDKDQTIMSDAIATLLGDDLSSEYKNMAAGTSISSQAWGLGSCAWNNMPAVCQMSELP >EOY17895 pep chromosome:Theobroma_cacao_20110822:10:1346081:1354932:-1 gene:TCM_042596 transcript:EOY17895 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein MPSSPLVLVSKSTVFPDRKSNLGELKLSVSDLPMLSCHYIQKGCLFTLPPVPIDSLVSLLKQSLSKTLSFFSPLAGRLHTDQNGYIYVSCNDAGVEFHHSKCATRFVRDVIGPIHVPELVKEFFCFDKTVSYQGHYKPIMAVQVTELADGFFIGCSVNHAVVDGTSFWNFFNTFAEICRKISTANDDRSVEKIARQPDFSRDSALISSAILRVPEGGPRVTFNENEPLRERIFSFSREAILELKAKVNNTKEDLLVNGNFNAVEILGKQSNDKYHNDNGKNLTGIFEKWLFKTTAISDSVEISSFQSLCALLWRAVTRARKLPYSKTTTFRMAVNCRHRLNPKLDPLYFGNAIQSIPTYATAGEVTSRGLRWCAEQLNESVKAHDDETVRRFIGNWEKDPRCFPLGNFDGASMTMGSSPRFPMYDNDFGWGRPLAVRSGGANKFDGKISAFPGREGNGSVDLEVVLAPETMAGIESDHEFMQFVTN >EOY19896 pep chromosome:Theobroma_cacao_20110822:10:23667656:23668875:1 gene:TCM_045292 transcript:EOY19896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2, putative MHRHFLVAAFLATVSLLMSSEANFATYKLSLHWPAAACNDPASFECKPNVLNTFTIHGLWPQFANGNPVRPYGPETNRCTDVIPVNSDQILNLMTPLQDFSRKLWPNYKDYQNQTVNENCWKYEWKLYGMYSDSADNPYRYFSTALSLAIKYIDPYKGTRIVPRLVPYMAKDISDAIKENLGVYPQIACNEVRGIVQLKEVRLCFRRDKENPPSVLQDCPRRYANKCSDETNEITFIPHLIG >EOY19361 pep chromosome:Theobroma_cacao_20110822:10:16840209:16846243:-1 gene:TCM_044450 transcript:EOY19361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAILGLLETRGIHFQYSKFFNPKSAPTRVHVPSRVGMFLLYAPAFLTGLATFWLFPHGDLGFRFLKSAITIHFFKRILEGLLEPSIDLKYPGIVFPNTNKWSFLPSVPSLQTKSKGLQRLQDPQR >EOY18783 pep chromosome:Theobroma_cacao_20110822:10:4944003:4964032:-1 gene:TCM_043278 transcript:EOY18783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subunit of exocyst complex 8 isoform 1 MGILDGFPIPPDKEYLRDELSRIDESWAAARFDSLPHVVRILTSKDRDGEVQILKDQSDVVEDVVDEVVHAYHSGFNKAIQNYSQILRLFSESTESIGVLKVDLAEAKKRLGARNKQLHQLWYRSVTLRHIISLLDQIEGIAKVPARIEKLISEKQFYAAAQLHVQSSLMLEREGLQMVGALQDVRSELTKLRGVLFYKVLEDLHAHLYNKGEYSSVASSMNGKDDEVPTTTAVAFTANTSQPVSRRTRSVKGDSQFGSQGLVDGPYRPGSIDEGSSYDGHDEDGSLEPHDDNTLDGHAVRLNGGDGKDVKVISRQIPLWLLNSTPDEFVETIKKSDAPLHVKYLRTMVECLCLLHKVAAAGAVISQRLRPTIHEIITTKIKAHAESINSSRSGIDKATRTGTTSLLFMKGQLERYQLPKQKRQNGMSLAGTLLAVSPVSPVMAPTGKAQAATKELLDSILDAVVRIFENHVVVGELIESKSSLQGDLNTPKSLSTDVNLDSEASQITGGYSIGFSLTVLQSECQQLICEILRATPEAASADAAVQTARLASKVPTNEKRDASEDGLTFAFRFTDATVSVPNQGVDLIRQGWSRRGPNVLQEGYGSAAVLPEQGIYLAASVYRPVLEFTDRVASMLPRKYSQLGNDGLLAFVENFVKDHLLPTMFVDYRKGVQQAISSPAAFRPRAHTSVSYALSIEKGRPILQGLLAIDFLAKELLGWAQAMPKFSADLVKYVQTFLERTYERCRTSYMEAVLEKQSYMLIGRYDIEKLMRLDPASACLPNALGQSNVRNIASDAESIEVESELSELLLNLRPIKQENLIRDDNKLVLLASLSDSLEYLADSIERLVQATPQTSNHVESGKPSHTRTSSSPARDLASFADEYRKLAIDCLKVLRVEMQLETIFHMQEMTNREYLENQDAEEPDDFVISLTAQITRRDEEMAPFVAGVKRNYIFGGICSIATNASIKALADMESINLFGVQQICRNSIALEQALAAIPSIDSEAVRQRLDHVRTYYELLNMPFEALLAFITEHEHLFTAAEYANLLKVQVPGREIPPDAQDRVSEILSL >EOY18784 pep chromosome:Theobroma_cacao_20110822:10:4944421:4958007:-1 gene:TCM_043278 transcript:EOY18784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subunit of exocyst complex 8 isoform 1 MLNTFLVGANLHLLQVGALQDVRSELTKLRGVLFYKVLEDLHAHLYNKGEYSSVASSMNGKDDEVPTTTAVAFTANTSQPVSRRTRSVKGDSQFGSQGLVDGPYRPGSIDEGSSYDGHDEDGSLEPHDDNTLDGHAVRLNGGDGKDVKVISRQIPLWLLNSTPDEFVETIKKSDAPLHVKYLRTMVECLCLLHKVAAAGAVISQRLRPTIHEIITTKIKAHAESINSSRSGIDKATRTGTTSLLFMKGQLERYQLPKQKRQNGMSLAGTLLAVSPVSPVMAPTGKAQAATKELLDSILDAVVRIFENHVVVGELIESKSSLQGDLNTPKSLSTDVNLDSEASQITGGYSIGFSLTVLQSECQQLICEILRATPEAASADAAVQTARLASKVPTNEKRDASEDGLTFAFRFTDATVSVPNQGVDLIRQGWSRRGPNVLQEGYGSAAVLPEQGIYLAASVYRPVLEFTDRVASMLPRKYSQLGNDGLLAFVENFVKDHLLPTMFVDYRKGVQQAISSPAAFRPRAHTSVSYALSIEKGRPILQGLLAIDFLAKELLGWAQAMPKFSADLVKYVQTFLERTYERCRTSYMEAVLEKQSYMLIGRYDIEKLMRLDPASACLPNALGQSNVRNIASDAESIEVESELSELLLNLRPIKQENLIRDDNKLVLLASLSDSLEYLADSIERLVQATPQTSNHVESGKPSHTRTSSSPARDLASFADEYRKLAIDCLKVLRVEMQLETIFHMQEMTNREYLENQDAEEPDDFVISLTAQITRRDEEMAPFVAGVKRNYIFGGICSIATNASIKALADMESINLFGVQQICRNSIALEQALAAIPSIDSEAVRQRLDHVRTYYELLNMPFEALLAFITEHEHLFTAAEYANLLKVQVPGREIPPDAQDRVSEILSL >EOY17979 pep chromosome:Theobroma_cacao_20110822:10:1663592:1667415:-1 gene:TCM_042660 transcript:EOY17979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein isoform 2 MGKKPSGGSSTSSLHNSMLQDAFGSEFDMKSVLCTYKRSFDGFVVQLTEEEASKMAGMNGVVSVFPNEKRDLHTTRSWDFMGFSQQVERSASESDVIIGVLDTGIWPESESFNDKGFGPPPRKWKGNCQTAGGNFTCNNKIIGAQYYRSDGLFGPDDIVSPRDASGHGTHTASTAAGGLVNRASLFGFASGTARGGVPSARIAVYKICWFDGCYDADILAAFDDAIADGVDIISLSVGAATPKDYFNDSIAIGAFHAMRNGVLTVNSAGNQGPDRATITNFSPWALSVAASTIDRKFFTKVQLGNNMIYEGVSINTFDLKNEMYPIIHGGSAPNTTGNFTWASSRNCFQNSLDRNLVKGKIVLCDRLVSGREPLRAGAVGTVLRDNAPNDDARLFPLPASYLDLVDGSKIFVYVNSTSTPTATIFKSKEANDSLAPYVVSFSSRGPNPITPDILKPDISAPGVHILAAWSLISPVSQTKGDNRFVPFNIISGTSMACPHVSAAAAYVKSFHPTWSPAAIKSALMTTAFPMSSGINLDAEFAYGSGHLNPIKAVDPGLVYDSEELDYIKFLCGQGYGTQFLQLVTRDNTTCSEATNGTVWGLNYPSFALFTSASTTVSRVFNRTVTNVGSPMSIYRANVTAPAGALKIQVNPNVLSFKSLGQKLSFSLTIEGTIDKSIVSASLEWDDGVHKVRSPITAFVSIS >EOY17980 pep chromosome:Theobroma_cacao_20110822:10:1663878:1667693:-1 gene:TCM_042660 transcript:EOY17980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein isoform 2 MGGKRSLLPCLLLHGLILALITIRAASQPDRKSYIVYMGKKPSGGSSTSSLHNSMLQDAFGSEFDMKSVLCTYKRSFDGFVVQLTEEEASKMAGMNGVVSVFPNEKRDLHTTRSWDFMGFSQQVERSASESDVIIGVLDTGIWPESESFNDKGFGPPPRKWKGNCQTAGGNFTCNNKIIGAQYYRSDGLFGPDDIVSPRDASGHGTHTASTAAGGLVNRASLFGFASGTARGGVPSARIAVYKICWFDGCYDADILAAFDDAIADGVDIISLSVGAATPKDYFNDSIAIGAFHAMRNGVLTVNSAGNQGPDRATITNFSPWALSVAASTIDRKFFTKVQLGNNMIYEGVSINTFDLKNEMYPIIHGGSAPNTTGNFTWASSRNCFQNSLDRNLVKGKIVLCDRLVSGREPLRAGAVGTVLRDNAPNDDARLFPLPASYLDLVDGSKIFVYVNSTSTPTATIFKSKEANDSLAPYVVSFSSRGPNPITPDILKPDISAPGVHILAAWSLISPVSQTKGDNRFVPFNIISGTSMACPHVSAAAAYVKSFHPTWSPAAIKSALMTTAFPMSSGINLDAEFAYGSGHLNPIKAVDPGLVYDSEELDYIKFLCGQGYGTQFLQLVTRDNTTCSEATNGTVWGLNYPSFALFTSASTTVSRVFNRTVTNVGSPMSIYRANVTAPAGALKIQVNPNVLSFKSLGQKLSFSLTIEGTIDKSIVSASLEWDDGVHKVRSPITAFVSIS >EOY18825 pep chromosome:Theobroma_cacao_20110822:10:5234445:5239702:1 gene:TCM_043322 transcript:EOY18825 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like superfamily protein isoform 2 MGILREDVIVISPAEKEEDSTVISVNCPDKTGLGCDLCRIILLFGLSIARGDFSTDGKWCYVVLWVVGKTNTRWDLLEKRLLEVCPSYFSTSGIDYYQPANQQPKPLDVFLLKFWCSCYRKGLLNDVTLVLFELELTIKKVKVSTTPDGKVMDLFFITDTRELLHTKERQGQTILHLKAALGDILESCEIESVGPEITACAQGTSFLPSAITEDMFSLELPARYPGGLLASNPISITVDNTLSPSHTLIQVLCQDHKGLIYDIMRTLKDYNIQVSYGRFFAKQNGGCEMDLFIVQADGKKIVDRNKQNALCSRLRMELWRPLRLAVVSRGPDTELLVANPVELSGRGRPLVFHDITLALKNLNTQIFSVEIGRHMIHDREWEVYRILLDEGDGYPVPRNKIEEGVRKILMGWE >EOY18826 pep chromosome:Theobroma_cacao_20110822:10:5234421:5239624:1 gene:TCM_043322 transcript:EOY18826 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like superfamily protein isoform 2 LPCVLFVFTLFDGNSSPFAAKLNNRNKKAKDKKIKLWREREKGEKTHLFGAKKYKKKQSKNRAREQSGEIVKEKRKMGILREDVIVISPAEKEEDSTVISVNCPDKTGLGCDLCRIILLFGLSIARGDGKWCYVVLWVVGKTNTRWDLLEKRLLEVCPSYFSTSGIDYYQPANQQPKPLDVFLLKFWCSCYRKGLLNDVTLVLFELELTIKKVKVSTTPDGKVMDLFFITDTRELLHTKERQGQTILHLKAALGDILESCEIESVGPEITACAQGTSFLPSAITEDMFSLELPARYPGGLLASNPISITVDNTLSPSHTLIQVLCQDHKGLIYDIMRTLKDYNIQVSYGRFFAKQNGGCEMDLFIVQADGKKIVDRNKQNALCSRLRMELWRPLRLAVVSRGPDTELLVANPVELSGRGRPLVFHDITLALKNLNTQIFSG >EOY19388 pep chromosome:Theobroma_cacao_20110822:10:17190383:17194737:-1 gene:TCM_044487 transcript:EOY19388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase zeta 1 isoform 1 MAHEEKKLKLYSYWRSSCSCRIRIALNLKGLEYQYIPVNLLKGEQFSPEFQKLNPIGYVPVLVDGDIVISDSFAIFMYLEEKYPQHPLLPSDLQKKALNFQAANIVCSSIQPLQNLAKYIEEKASPDEKIPWAKRHIEKGFEALEKLLKDHAGIYATGDEVFMADLFLAPQVHAGIKRFNVDMAKFPLLSRLNEAYSELPHFQNAMPENQPDSPSAWGTC >EOY19391 pep chromosome:Theobroma_cacao_20110822:10:17190467:17194737:-1 gene:TCM_044487 transcript:EOY19391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase zeta 1 isoform 1 MAHEEKKLKLYSYWRSSCSCRIRIALNLKGLEYQYIPVNLLKGEQFSPEFQKLNPIGYVPVLVDGDIVISDSFAIFMYLEEKYPQHPLLPSDLQKKALNFQAANIVCSSIQPLQNLAVLKYIEEKASPDEKIPWAKRHIEKGFEALEKLLKDHAGIYATGDEVFMADLFLAPQVHAGIKRFNVDMVPWQTHPQKA >EOY19387 pep chromosome:Theobroma_cacao_20110822:10:17190515:17194677:-1 gene:TCM_044487 transcript:EOY19387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase zeta 1 isoform 1 MAHEEKKLKLYSYWRSSCSCRIRIALNLKGLEYQYIPVNLLKGEQFSPEFQKLNPIGYVPVLVDGDIVISDSFAIFMYLEEKYPQHPLLPSDLQKKALNFQAANIVCSSIQPLQNLAVLKYIEEKASPDEKIPWAKRHIEKGFEALEKLLKDHAGIYATGDEVFMADLFLAPQVHAGIKRFNVDMAKFPLLSRLNEAYSELPHFQNAMPENQPDSPSAWGTC >EOY19389 pep chromosome:Theobroma_cacao_20110822:10:17190383:17194729:-1 gene:TCM_044487 transcript:EOY19389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase zeta 1 isoform 1 MAHEEKKLKLYSYWRSSCSCRIRIALNLKGLEYQYIPVNLLKGEQFSPEFQKLNPIGYVPVLVDGDIVISDSFAIFMYLEEKYPQHPLLPSDLQKKALNFQAANIVCSSIQPLQNLAVLKYIEEKASPDEKIPWAKRHIEKGFEALEKLLKDHAGIYATGDEVFMADLFLAPQVHAGIKRFNVDMAKFPLLSRLNEAYSELPHFQNAMPENQPDSPSAWGSMANSSTKSIKCHK >EOY19390 pep chromosome:Theobroma_cacao_20110822:10:17191214:17194648:-1 gene:TCM_044487 transcript:EOY19390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase zeta 1 isoform 1 MAHEEKKLKLYSYWRSSCSCRIRIALNLKGSFFSILSFLHLLLTTFGYRLLSVAGLEYQYIPVNLLKGEQFSPEFQKLNPIGYVPVLVDGDIVISDSFAIFMYLEEKYPQHPLLPSDLQKKALNFQAANIVCSSIQPLQNLAVLKYIEEKASPDEKIPWAKRHIEKGFEALEKLLKDHAGIYATGDEVFMADLFLAPQVHAGIKRFNVDMAKFPLLSRLNEAYSELPHFQNAMPENQPDSPSAWGTC >EOY18983 pep chromosome:Theobroma_cacao_20110822:10:7425823:7428708:1 gene:TCM_043548 transcript:EOY18983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein MGFLNLFIVAVMPVLKIILITAIGLLLALDRMKLLGPEAKHHLNNIVFYVFSPALAASSLAETMTFKSFTTLWFMPVNILITFVLGSALAWLIIKITRTPKHLQGIVIGCCSAGNMGNLPLVMVPALCEEPRNPFGDPSVCSRNAKPYASLSLSIGVIFMWSYVYGIMRMYANKSIESSTTGVNSPRDTSETVSGSCTQAALPSSDCNTSHLPRTISSESSTRMSGLMKIMMRIKMISGKIDLKKMFAPAAIAAIVGFIIGAASPIRKLMIGNSAPLRVIDGCAHILGEATIPCVTLIMGANLLIGLKGSDVSRNVIIGIIAVRNIFLPLSGIGVVKAAHHFGLVGSDSLYQFVLMLQYAVPPAMSIGTMTQFFQLGQGETSVIMLWTYAVAAVTLTLWSTFFMWLLT >EOY19556 pep chromosome:Theobroma_cacao_20110822:10:18713279:18715093:-1 gene:TCM_044692 transcript:EOY19556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme/RWD-like protein MVPPPSTPPPNPQQIQQFLSSVLSQRGPSSLPYTEDTKWLIRQHLLSVTSHYPSLEPKTATFTHNDGRSVNLLQADGTIPMPFQGITYNIPIIIWLMESYPRHPPVVYVNPTRDMIIKRPHPHVTPSGLVSIPYLQNWIYPSSNLVDLVLNLSSAFARDPPLYSQRRPNPSPDPSPNPSINSSMTSSYGQHPPPPRVAAAAAGYPPSPYGRVQLPQSPAPQTEDASEVYKRNAVNKLVEMVHGDIIGMRKAREVEVEGLFSAQAVLRRREEEINKGLKEMQDEKEGLEQQLQVVLMNTDVLDSWVRDNEGKRKNLGMTNVNVDEAFHCADVLSKQMLDCTSADLAIEDVVYSLDKAVQDGAVPFDQYLRNVRLLSREQFFHRATAAKVRAVQMQAQVASMAARAPHYAT >EOY17569 pep chromosome:Theobroma_cacao_20110822:10:220434:223408:-1 gene:TCM_042368 transcript:EOY17569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iq-domain 14, putative isoform 4 MAKKKSWFNLVKRFFLFETLSTTEKKEKRRKWMFGRFRTKRLASLAAASPPRDKTKIETDEDQEKHALTVAIATAAAAEAAVAAAQVAAEVVRLTGTPQSNHKCEGEAEEYIVNFQPGSSPSADQHERKKIQELAAIKIQATFRGYLARKALRALKGIVMLQAIIRGWAVRRQAMNTLKCLQSIVSIQSQVCARRFEMAEGIWQSDEDKQLLTLKDKIIKVDTNSHKRWDDSILTKEEADAMVLSKKEAAIKRERIKEYSYVHRKSTESEQNKGNGGLKYWLDQWVDTQVSKSKELEDLDSVWNSNPKPAEENRAKQLRLKTFPRQYHMEGLDSPLLVPRRSFHRKQCSLGEDNSFSTSPVVPTYMAATQSAKAKVRSMSSPKLRPGSFDTQSESYSPYKNKLSLISSITRDVPNSCRISSRPSAYQQRSPSLKGVPGPVKSKRTLKDLSFNSECSLPNWVRESTFR >EOY17571 pep chromosome:Theobroma_cacao_20110822:10:220692:223414:-1 gene:TCM_042368 transcript:EOY17571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iq-domain 14, putative isoform 4 MAKKKSWFNLVKRFFLFETLSTTEKKEKRRKWMFGRFRTKRLASLAAASPPRDKTKIETDEDQEKHALTVAIATAAAAEAAVAAAQVAAEVVRLTGTPQSNHKCEGEAEEYIVNFQPGSSPSADQHERKKIQELAAIKIQATFRGYLARKALRALKGIVMLQAIIRGWAVRRQAMNTLKCLQSIVSIQSQVCARRFEMAEGIWQSDEDKQLLTLKDKIIKSTESEQNKGNGGLKYWLDQWVDTQVSKSKELEDLDSVWNSNPKPAEENRAKQLRLKTFPRQYHMEGLDSPLLVPRRSFHRKQCSLGEDNSFSTSPVVPTYMAATQSAKAKVRSMSSPKLRPGSFDTQSESYSPYKNKLSLISSITRDVPNSCRISSRPSAYQQRSPSLKGVPGPVKSKRTLKDLSFNSECSLPNWVRESTFR >EOY17570 pep chromosome:Theobroma_cacao_20110822:10:220434:223414:-1 gene:TCM_042368 transcript:EOY17570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iq-domain 14, putative isoform 4 MAKKKSWFNLVKRFFLFETLSTTEKKEKRRKWMFGRFRTKRLASLAAASPPRDKTKIETDEDQEKHALTVAIATAAAAEAAVAAAQVAAEVVRLTGTPQSNHKCEGEAEEYIVNFQPGSSPSADQHERKKIQELAAIKIQATFRGYLARKALRALKGIVMLQAIIRGWAVRRQAMNTLKCLQSIVSIQSQVCARRFEMAEGIWQSDEDKQLLTLKDKIIKVDTNSHKRWDDSILTKEEADAMVLSKKEAAIKRERIKEYSYVHRKSTESEQNKGNGGLKYWLDQWVDTQVSKSKELEDLDSVWNSNPKPAEENRAKQLRLKTFPRQYHMEGLDSPLLVPRRSFHRKQCSLGEDNSFSTSPVVPTYMAATQSAKAKVRSMSSPKLRPGSFDTQSESYSPYKNKLSLISSITRDVPNSCRISSRPSAYQQRSPSLKGVPGPVKSKRTLKDLSFNSECSLPNWVRESTFR >EOY17573 pep chromosome:Theobroma_cacao_20110822:10:220716:223414:-1 gene:TCM_042368 transcript:EOY17573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iq-domain 14, putative isoform 4 MAKKKSWFNLVKRFFLFETLSTTEKKEKRRKWMFGRFRTKRLASLAAASPPRDKTKIETDEDQEKHALTVAIATAAAAEAAVAAAQVAAEVVRLTGTPQSNHKCEGEAEEYIVNFQPGSSPSADQHERKKIQELAAIKIQATFRGYLKSTESEQNKGNGGLKYWLDQWVDTQVSKSKELEDLDSVWNSNPKPAEENRAKQLRLKTFPRQYHMEGLDSPLLVPRRSFHRKQCSLGEDNSFSTSPVVPTYMAATQSAKAKVRSMSSPKLRPGSFDTQSESYSPYKNKLSLISSITRDVPNSCRISSRPSAYQQRSPSLKGVPGPVKSKRTLKDLSFNSECSLPNWVRESTFR >EOY17572 pep chromosome:Theobroma_cacao_20110822:10:220857:222789:-1 gene:TCM_042368 transcript:EOY17572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iq-domain 14, putative isoform 4 MAKKKSWFNLVKRFFLFETLSTTEKKEKRRKWMFGRFRTKRLASLAAASPPRDKTKIETDEDQEKHALTVAIATAAAAEAAVAAAQVAAEVVRLTGTPQSNHKCEGEAEEYIVNFQPGSSPSADQHERKKIQELAAIKIQATFRGYLKSTESEQNKGNGGLKYWLDQWVDTQVSKSKELEDLDSVWNSNPKPAEENRAKQLRLKTFPRQYHMEGLDSPLLVPRRSFHRKQCSLGEDNSFSTSPVVPTYMAATQSAKAKVRSMSSPKLRPGSFDTQSESYSPYKNKLSLISSITRDVPNSCRISSRPSAYQQRSPSLKGVPGPVKSKRTLKDLSFNSECSLPNWVRESTFR >EOY19814 pep chromosome:Theobroma_cacao_20110822:10:22702782:22705480:1 gene:TCM_046962 transcript:EOY19814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MDSLVAPVTTQIGHTKSSRGGWKAAIFIIFVEMAERFAFYGLAGNLITYLTNNLGQPIATAVKNVNTWGMVLLSLSVSVIPKHSREAVFFTALYLLAIGEGGHKPCVQAFAADQFDENNPEEKAAKSSFFNWWYLGIVTGSSLAIVAVVYLQDNVSWTAGFGVLAGSLAVAFALFLIGIKRYRKQSPAGSQFTRVAQVLVAAAKKWRVSETHGGRGICLEDQSSDCYACGQTRGRTLVRTKQFRFLDKAMIIDDVDDMSMTRNPWRLCSLNQVEEVKLVLCLIPIWLSGLMFFVVVAQLQTFFVKQGSTMIRSMGSNFQVPAAAMQCIVGLTVLIAVPIYDRVFVPIARKIFGHRTGITMLQRIGIGLLVAIVNMVVAGLIETKRINTAKNYGLVDSPKIVVPMSIWWLLPQYVLIGIGDVFTIVGLQELFYDQMPEGIRSLGAAVFVSVVGVGSFINNAIIAILQKITSRNENVWLGNNFNRAHLNYFYWVLAGLNAVNFCVYLWIANRFVYKRIGSDETRDERELENEGYSN >EOY18513 pep chromosome:Theobroma_cacao_20110822:10:3676085:3677648:-1 gene:TCM_043055 transcript:EOY18513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho guanine nucleotide exchange factor 7, putative MVASSSSTSWETQMVSQEQINAFHTIDRNIFSSLVLSLRRDLGESIHVVAFLLWVEHGGNPARNLVFNIQPWSDALINALAKEAVLCLNCVKSDEFPDILQQASTINSMDAQHRVEQNLEASRFYGLWTRPTLPVFNNYNSEVGIFGDQNMGNKQVF >EOY18336 pep chromosome:Theobroma_cacao_20110822:10:3073283:3112590:1 gene:TCM_042939 transcript:EOY18336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MDERLMRAAQSGNIDALYDLIEDDADVLRRIDEMEFVDTPLHIAAAAGHTDFAMEVMNLKPSFARNLNQGGFSPLHLASQYAKKKMVDDLLSVDKDLVRVKGREGYTPLHCAAREGDVPLLSKFLEECPNCIFDLTIRKETALHFAAQNNNFQAFKAILDRIQETDKYHDIEKRRMLNLQDKDGNTVLHIAASNNQTQMIKLLIESKKVDSNMVNQSGFTALHVLQEQPVVDRESVNILIRAQHPASRFRRASNFNKLTRDIEEMKLDTINALLVVFALILAMTYQALLSPPGGVLQADAGSDSDHAGKSVIKPVMFIIFYVSNSVAFIMAWILTMALLSVVGKRIVFTVFSLYLLMCLCYGAALGVLEPIAFSGWGSWIAAVITNVLFFYVW >EOY20054 pep chromosome:Theobroma_cacao_20110822:10:24565975:24567609:1 gene:TCM_045450 transcript:EOY20054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNCFLPFFVMYFQTFRSKNLIAKMATAAKVKAFVEEYYRIPRDILILVFRFYKDSSKVSWLGEDGVMRNVTTMGAIYFHFLSLDAKKYDILSCYSQDSFDGGVLVLVIGCMTLKNDKTKMFKQSFFLAPQKEGFFVFNDVLMFFSDEETMNIHGGQTSDPLRTNLELISDQGIAMVPSLESNQEANWNNPKMSIIETSCYAVQNNAAYSSTVEDLDTSAFQGLNNLNRVDALEETSIFIKNLGKNTKVEELHEAFKRFGTIKPDGIYVKPAKEGRFFGFIEFESPISAQNAIQASFIKIRNRKIKIGERKRKN >EOY20052 pep chromosome:Theobroma_cacao_20110822:10:24561784:24563853:1 gene:TCM_045448 transcript:EOY20052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVALTPEEVAKALVKSYYFHLCNARKDVHKFYRDSSMFSRRGPDEVMNQWTTLEAIDDHVVSYFDCKGCVLGIDAQLSIGLGVHVLVVGCMDDDSNTKRKFIQSLVLAPMNKPKAYFILNDVLRFLDEEETPKTLPADITAPNETVKEVQEDATATAAAAAAPPKEASLTAVNALNENNAPEEFAKALVKSYYFHLCNSPEQAHKFYKGSSRLSRQGPNGVMNRCTTLEAIADHFVSYSNCKDCDVLSIDAQLSIGLGVHVVVIGCMTMDNDTNTKRKFIQSFVLAPMNRPKGYFILTDVLRFLDEEELLKTYIKRVDSTAEPNPDPLPADIVAPNESVREVEDATATAAAAPKKSFLTIVNALNENNAPFKAPPVRKPGLGWKKNGRHVDTDKGKSVFVGNLAIGVKAEELHRAFRKFGPIKPNGVKTRSSMHNRCFAFIEFESASSAQSAIQASSITIGNQKAYIQEMKSKLPSGSSIGNGYGEDNLTRHNDEPGN >EOY18272 pep chromosome:Theobroma_cacao_20110822:10:2651604:2654284:-1 gene:TCM_042866 transcript:EOY18272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MSLTNSHRNKKKGEMELQLKHFSHEHALIFKEEPTHESDEYACCSGCGKVASGPSFSCMECRFYLHKKCAKVPSEIKHPFHRDRNHNFKLLSSPPYEGTSLCCFCDKLCKNFVYQCSCGLSLHLDCASFSHKIAEKKFEEVDHIAHIDPLISSTEISNEELKNAKCYGCWKPLLDSAYFSLDCGFCLHRKCAELPLEINHSLHQPRSRLFYFHSSKLFLQFDGTSLRCQICRKTPRGLVYRCLDCKFVLHIECAEIPIKINLPYHRRHPFILQFNSEKFPCQNCQETPKPFAYCCSSCKLAIHVECISPPLVIEDESHQHPFNLFWKQLPFFCDACGTPGTCISYICLTCGLTVHKKCISLPRVINLPRHKHPINHINFLGEDGLKKKRCRICDGKVNTDYGVYNCSSCNYICHVICAIEDYKSYIFDESKEIVEQSLGSIISVIKESKVGNNVIATEIRHFSHQHNLVLSDDIEDDKRCDGCILFISAPYYHCSQCDFFLHKSCAEVPRKMKLWFHIHQRPLTLISDFIFVCGVCNYECSGFAYKCELCKSYICLRCALVSSDHASEGHKHRLVFYENKSAQCNACGERSMVPYWCSDCNFALHDKCLKLPHIARHKCDEHPLKLTYHEDNDYAQHHYCDICEKRRNSKNWFYSCTICDSSAHTDCVLQEYPFIKPGTTYKEGDHSHQPLVIVRKVYHYPDCHICCKPCQDLALECAETGCNYIVHWKCINNSSSV >EOY17640 pep chromosome:Theobroma_cacao_20110822:10:427815:429544:1 gene:TCM_042413 transcript:EOY17640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence associated gene 20, putative MNIFTRRHMTNFLCHKIKGRKIQLENQSQVDDFLYKAASHPHIRPSPVPSGFSLSNFCLKKPLSQKPSFLVLSLLSLLSSFPGVLFQELANTQEKILEETNKNQEVVLAFYEALKTRDVETVHQILAPDLEWWFHGPPTHQFMMRLLTGASSDDSFHFEVDPLSVTTFGSTVIVEGCDHSRSISWVHAWTVTDGIITQVREYFNTSLTVTRLGNSHQSPPSPSSPSSPSAPSSTAEIAPVHCPSVWESSFSNRVGKSVPGLVLAI >EOY18812 pep chromosome:Theobroma_cacao_20110822:10:5062388:5066967:-1 gene:TCM_043296 transcript:EOY18812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAFSVGFCQNILAKSQASLIPPVFAVSHSSVVSSLSAKNAACHHKKKLKQPRGLTRASAEGLPSELVEDSKFVPLNADDPTYGPPALLLLGFEVEEAEKIRQFLKEMDGEFLEIIYCTEDMITRSLWEAVNTRQPNLEEVKISKSLPRICFFSGLSGEEMMMFIDAFPETGLEPAVFAALVPNSADKPVAELIDEIMGDHEMLTAQQSGST >EOY18811 pep chromosome:Theobroma_cacao_20110822:10:5062312:5066971:-1 gene:TCM_043296 transcript:EOY18811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAFSVGFCQNILAKSQASLIPPVFAVSHSSVVSSLSAKNAACHHKKKLKQPRGLTRASAEGLPSELVEDSKFVPLNADDPTYGPPALLLLGFEVEEAEKDLFLRDGLVANLAMPKQLSCEEGKIRQFLKEMDGEFLEIIYCTEDMITRSLWEAVNTRQPNLEEVKKQQIPCSFNRLILFRLPETIMLLCLSEGKIVVLNLFLDEMISKSLPRICFFSGLSGEEMMMFIDAFPETGLEPAVFAALVPNSADKPVAELIDEIMGDHEMLTAQQSGST >EOY19686 pep chromosome:Theobroma_cacao_20110822:10:20356542:20356947:-1 gene:TCM_044888 transcript:EOY19686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKQKPSIYKIRPFVVTYTFKSLVTQIPMSEAYLGSVINALAKPIYGRGEISASESRLIKSFAPDHNLLFVLRNVK >EOY19762 pep chromosome:Theobroma_cacao_20110822:10:22225961:22233765:-1 gene:TCM_045087 transcript:EOY19762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MSMAVEQTSLGINFLQNFGAMPSLKKIDLSSSGLSGTLPTESFCQLKHLEYLDISENNLKGNLPECFSNLTSLEILDLSSNQFSGNISCLRNLTSLQEFYLSNNNFEIPSSLRPFFNLSKLKCIYADNNTIYAETEMHYFPPRFHFNQISLSC >EOY19413 pep chromosome:Theobroma_cacao_20110822:10:17313774:17318920:-1 gene:TCM_044506 transcript:EOY19413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97 / Spc98 family of spindle pole body component isoform 2 MKTTSLISSNPDASQSLINKIYGVFSDNDVQFSSPISSARTTEMELVRGVVRMLQGFSGSLFSWDQKGRRFCVKNGIYVTHLSQLSLGAILNQFMYAATCLELVQIAVSKVETQLRSPPPTLRAFASSVSSWLKRLRDIALKEEKKISNSNGETMLTLLGLTSSLSSLCSGAEYLLQIVHEAIPQACFEPTSCIPSAEIAIHILDHLYLKLGEACLVQGGEGDVYQMLVHIFVGTLLPYIEGLDSWLFEGTLDDPFEEMFFYANRAISVDEAEFWEKSYLLRVVQNCKLKVDPSAPTDTNDYVPGTCNKKETAEKEFVSTSSSMKGKEQNNRDLLVCPLFIKDIAKSIVSAGKSLQLIRHVPMTSTLPSSKNNDKCNDGFESYHDDCDINKMNHWQCMTGLALAEIFCVSLAGLLGHGDHISQYFCQGDQSKAGIISSLFSYVKEQIMEYGTAEPLPPSTYSEKIWYNFLVDSLLKKKSIDVEPADKDSCCFPDTKAKNMVIGVENKFSLQQSFCPENLVLTVCQTFLDKNRNSWKALNLSEKFYLPPLNDEYLRKAVFGEKSELVSGPHGTNYTLGFQFGESDHLRAQHDTKLLEVLFPFPTLLPSLQDDIHMSELLPFQKNSTLLSRVLSWIQTFQPRTTPLPMVIMQECLTVYIKKQVDYIGSLILSKLMNGWRLMDELAVLRAIYLLGSGDLLQHFLTVIFNKLDKGETWDDDFELNTILQESIRNSADGLLLSAPDSLVVSISKTHGIDGDEQTNTANVASALHKSRPHSYGIDGLDSVKFIYKVSWPLELIANSEAIKKYNQVMAFLLKVKRAKFALDKARRWMWKDKGTVRNNRKRHWLVEQKLLHFVDAFHQYVMDRLLVIRCIIAHGVNFVKEWQLLGLWMKL >EOY19414 pep chromosome:Theobroma_cacao_20110822:10:17312027:17320762:-1 gene:TCM_044506 transcript:EOY19414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97 / Spc98 family of spindle pole body component isoform 2 MLTLLGLTSSLSSLCSGAEYLLQIVHEAIPQACFEPTSCIPSAEIAIHILDHLYLKLGEACLVQGGEGDVYQMLVHIFVGTLLPYIEGLDSWLFEGTLDDPFEEMFFYANRAISVDEAEFWEKSYLLRVVQNCKLKVDPSAPTDTNDYVPGTCNKKETAEKEFVSTSSSMKGKEQNNRDLLVCPLFIKDIAKSIVSAGKSLQLIRHVPMTSTLPSSKNNDKCNDGFESYHDDCDINKMNHWQCMTGLALAEIFCVSLAGLLGHGDHISQYFCQGDQSKAGIISSLFSYVKEQIMEYGTAEPLPPSTYSEKIWYNFLVDSLLKKKSIDVEPADKDSCCFPDTKAKNMVIGVENKFSLQQSFCPENLVLTVCQTFLDKNRNSWKALNLSEKFYLPPLNDEYLRKAVFGEKSELVSGPHGTNYTLGFQFGESDHLRAQHDTKLLEVLFPFPTLLPSLQDDIHMSELLPFQKNSTLLSRVLSWIQTFQPRTTPLPMVIMQECLTVYIKKQVDYIGSLILSKLMNGWRLMDELAVLRAIYLLGSGDLLQHFLTVIFNKLDKGETWDDDFELNTILQESIRNSADGLLLSAPDSLVVSISKTHGIDGDEQTNTANVASALHKSRPHSYGIDGLDSVKFIYKVSWPLELIANSEAIKKYNQVMAFLLKVKRAKFALDKARRWMWKDKGTVRNNRKRHWLVEQKLLHFVDAFHQYVMDRVYHSAWRELCEGMAAAGSLDEVIEVHEAYLLSIHRQCFVAPDKLWALIASRINSILGLALDFYSIQQTLSSGGTVSAIKARCEMEVDRIEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVARINYNNFYMSDGGNLMTTPSSESATARLGKAFAN >EOY19415 pep chromosome:Theobroma_cacao_20110822:10:17312237:17318544:-1 gene:TCM_044506 transcript:EOY19415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97 / Spc98 family of spindle pole body component isoform 2 MLVHIFVGTLLPYIEGLDSWLFEGTLDDPFEEMFFYANRAISVDEAEFWEKSYLLRVVQNCKLKVDPSAPTDTNDYVPGTCNKKETAEKEFVSTSSSMKGKEQNNRDLLVCPLFIKDIAKSIVSAGKSLQLIRHVPMTSTLPSSKNNDKCNDGFESYHDDCDINKMNHWQCMTGLALAEIFCVSLAGLLGHGDHISQYFCQGDQSKAGIISSLFSYVKEQIMEYGTAEPLPPSTYSEKIWYNFLVDSLLKKKSIDVEPADKDSCCFPDTKAKNMVIGVENKFSLQQSFCPENLVLTVCQTFLDKNRNSWKALNLSEKFYLPPLNDEYLRKAVFGEKSELVSGPHGTNYTLGFQFGESDHLRAQHDTKLLEVLFPFPTLLPSLQDDIHMSELLPFQKNSTLLSRVLSWIQTFQPRTTPLPMVIMQECLTVYIKKQVDYIGSLILSKLMNGWRLMDELAVLRAIYLLGSGDLLQHFLTVIFNKLDKGETWDDDFELNTILQESIRNSADGLLLSAPDSLVVSISKTHGIDGDEQTNTANVASALHKSRPHSYGIDGLDSVKFIYKVSWPLELIANSEAIKKYNQVMAFLLKVKRAKFALDKARRWMWKDKGTVRNNRKRHWLVEQKLLHFVDAFHQYVMDRVYHSAWRELCEGMAAAGSLDEVIEVHEAYLLSIHRQCFVAPDKLWALIASRINSILGLALDFYSIQQTLSSGGTVSAIKARCEMEVDRIEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVARINYNNFYMSDGGNLMTTPSSESATARLGKAFAN >EOY19412 pep chromosome:Theobroma_cacao_20110822:10:17310155:17318948:-1 gene:TCM_044506 transcript:EOY19412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97 / Spc98 family of spindle pole body component isoform 2 MKTTSLISSNPDASQSLINKIYGVFSDNDVQFSSPISSARTTEMELVRGVVRMLQGFSGSLFSWDQKGRRFCVKNGIYVTHLSQLSLGAILNQFMYAATCLELVQIAVSKVETQLRSPPPTLRAFASSVSSWLKRLRDIALKEEKKISNSNGETMLTLLGLTSSLSSLCSGAEYLLQIVHEAIPQACFEPTSCIPSAEIAIHILDHLYLKLGEACLVQGGEGDVYQMLVHIFVGTLLPYIEGLDSWLFEGTLDDPFEEMFFYANRAISVDEAEFWEKSYLLRVVQNCKLKVDPSAPTDTNDYVPGTCNKKETAEKEFVSTSSSMKGKEQNNRDLLVCPLFIKDIAKSIVSAGKSLQLIRHVPMTSTLPSSKNNDKCNDGFESYHDDCDINKMNHWQCMTGLALAEIFCVSLAGLLGHGDHISQYFCQGDQSKAGIISSLFSYVKEQIMEYGTAEPLPPSTYSEKIWYNFLVDSLLKKKSIDVEPADKDSCCFPDTKAKNMVIGVENKFSLQQSFCPENLVLTVCQTFLDKNRNSWKALNLSEKFYLPPLNDEYLRKAVFGEKSELVSGPHGTNYTLGFQFGESDHLRAQHDTKLLEVLFPFPTLLPSLQDDIHMSELLPFQKNSTLLSRVLSWIQTFQPRTTPLPMVIMQECLTVYIKKQVDYIGSLILSKLMNGWRLMDELAVLRAIYLLGSGDLLQHFLTVIFNKLDKGETWDDDFELNTILQESIRNSADGLLLSAPDSLVVSISKTHGIDGDEQTNTANVASALHKSRPHSYGIDGLDSVKFIYKVSWPLELIANSEAIKKYNQVMAFLLKVKRAKFALDKARRWMWKDKGTVRNNRKRHWLVEQKLLHFVDAFHQYVMDRVYHSAWRELCEGMAAAGSLDEVIEVHEAYLLSIHRQCFVAPDKLWALIASRINSILGLALDFYSIQQTLSSGGTVSAIKARCEMEVDRIEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVARINYNNFYMSDGGNLMTTPSSESATARLGKAFAN >EOY17686 pep chromosome:Theobroma_cacao_20110822:10:584946:587674:1 gene:TCM_042448 transcript:EOY17686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin 4 isoform 3 MASTPIPKLTFYRPACLLPPRSSFTTHSFSSPTLRVSTPRNRTGAVIVCAAKGANNKPLTGVVFEPFEEVKKELDLVPKVPQVSLARQKYTDECEAAVNEQINVEYNVSYVYHAIFAYFDRDNIALKGLAKFFKESSLEERGHAEKLMKYQNKRGGKVKLQSIVMPLSEFDHAEKGDALYAMELTLSLEKLTNAKLLNLHSVAERNHDVQLTEFIEAEYLSEQVEAIKKIAEYVAQLRRVGKGHGVWHFDQMLLHEGEEAVA >EOY17688 pep chromosome:Theobroma_cacao_20110822:10:585486:587745:1 gene:TCM_042448 transcript:EOY17688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin 4 isoform 3 KPLTGVVFEPFEEVKKELDLVPKVPQVSLARQKYTDECEAAVNEQINVEYNVSYVYHAIFAYFDRDNIALKGLAKFFKESSLEERGHAEKLMKYQNKRGGKVKLQSIVMPLSEFDHAEKGDALYAMELTLSLEKLTNAKLLNLHSVAERNHDVQLTEFIEAEYLSEQVEAIKKIAEYVAQLRRVGKGHGVWHFDQMLLHEGEEAVA >EOY17687 pep chromosome:Theobroma_cacao_20110822:10:585123:587648:1 gene:TCM_042448 transcript:EOY17687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin 4 isoform 3 MLLKAAPAFSLLNPQVENQGSLFSSVSSSQSSLFSSFSSPTLRVSTPRNRTGAVIVCAAKGANNKPLTGVVFEPFEEVKKELDLVPKVPQVSLARQKYTDECEAAVNEQINVEYNVSYVYHAIFAYFDRDNIALKGLAKFFKESSLEERGHAEKLMKYQNKRGGKVKLQSIVMPLSEFDHAEKGDALYAMELTLSLEKLTNAKLLNLHSVAERNHDVQLTEFIEAEYLSEQAIKKIAEYVAQLRRVGKGHGVWHFDQMLLHEGEEAVA >EOY17685 pep chromosome:Theobroma_cacao_20110822:10:585095:587777:1 gene:TCM_042448 transcript:EOY17685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin 4 isoform 3 MLLKAAPAFSLLNPQVENQGSLFSSVSSSQSSLFSSFSSPTLRVSTPRNRTGAVIVCAAKGANNKPLTGVVFEPFEEVKKELDLVPKVPQVSLARQKYTDECEAAVNEQINVEYNVSYVYHAIFAYFDRDNIALKGLAKFFKESSLEERGHAEKLMKYQNKRGGKVKLQSIVMPLSEFDHAEKGDALYAMELTLSLEKLTNAKLLNLHSVAERNHDVQLTEFIEAEYLSEQVEAIKKIAEYVAQLRRVGKGHGVWHFDQMLLHEGEEAVA >EOY18581 pep chromosome:Theobroma_cacao_20110822:10:3879407:3892256:1 gene:TCM_043097 transcript:EOY18581 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein with MIZ/SP-RING zinc finger, putative isoform 5 MDLLSTCRSQLAYFRIKELKDVLTQLGISKQGKKQDLMDRILGLISDEEVSSTHGSAKKKIIGKEGVAKLIDDAYRKMQIADESDLATRRQTSSLDICNVKHKVEAEDFSYSAVKICCPCGSSLHTDPMIQCIDPGCRVQQHVSCVIIPEKPMEVIPSVPAIFHCEMCRISRADPFCVTVAHLISPVKLIAANIPSDGTNPLLNVEKTFHLTKADSDSLQNTEYDIQAWCVLLNDNVSFRMQWPQYADLHVNGFAVRTLNRPGSQLLGANGRDDGALITLYVVEGINKISLSACDARSFCFGVRLVKRHTIEQVLGLIPKEADGESFKDALARVCRCVGGGMRTANEDSDSDLEVIADTITVNLRCPMSGSRIKVAGRFKPCVHMGCFDLETFVELNQRSRKWQCPICLKNYSLEDIIIDPYFNRITTMMLHCGEDVTDIEVKPDGSWTVKTKDELSDLGKWHFPDGSLHGDMNEVISNSETWRQINKHEKSGNPNLENGTEGGKIEASEHQHLPLRNPKEEDLENFCQMVITLSSSASGSGRDDENPSINQDYGRYDSIPGMNGNEINSIRHNFNSILSTENQSYGAIGEPDIIIVSDSEEEDVNLVSCHTDYKSCVLNDCGALSAPPRIEQSYLENPVPDSGISSCLDLFNDSGKDVGMSDWAYSSGTQASSRFQLFGEDSDVSDVLIDLERSGVTCSGPMNSYTLASKLTMNSSRQVPDSSIFSTNINEDDDLVDNPFAFVSVDPSLQNFLSTQPVGTLAETDLGHCPPISNITHTEDWISLRLGCNGESIGSSVGTIAQSAVSKGLDLINDCRSNEGMNDKARSNRIDNRKKLNGPFSFPRQPRSVRRRGYSIASDSN >EOY18582 pep chromosome:Theobroma_cacao_20110822:10:3879453:3892474:1 gene:TCM_043097 transcript:EOY18582 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein with MIZ/SP-RING zinc finger, putative isoform 5 MFLPSLVFQNKGRSRDLMDRILGLISDEEVSSTHGSAKKKIIGKEGVAKLIDDAYRKMQIADESDLATRRQTSSLDICNVKHKVEAEDFSYSAVKICCPCGSSLHTDPMIQCIDPGCRVQQHVSCVIIPEKPMEVIPSVPAIFHCEMCRISRADPFCVTVAHLISPVKLIAANIPSDGTNPLLNVEKTFHLTKADSDSLQNTEYDIQAWCVLLNDNVSFRMQWPQYADLHVNGFAVRTLNRPGSQLLGANGRDDGALITLYVVEGINKISLSACDARSFCFGVRLVKRHTIEQVLGLIPKEADGESFKDALARVCRCVGGGMRTANEDSDSDLEVIADTITVNLRCPMSGSRIKVAGRFKPCVHMGCFDLETFVELNQRSRKWQCPICLKNYSLEDIIIDPYFNRITTMMLHCGEDVTDIEVKPDGSWTVKTKDELSDLGKWHFPDGSLHGDMNEVISNSETWRQINKHEKSGNPNLENGTEGGKIEASEHQHLPLRNPKEEDLENFCQMVITLSSSASGSGRDDENPSINQDYGRYDSIPGMNGNEINSIRHNFNSILSTENQSYGAIGEPDIIIVSDSEEEDVNLVSCHTDYKSCVLNDCGALSAPPRIEQSYLENPVPDSGISSCLDLFNDSGKDVGMSDWAYSSGTQASSRFQLFGEDSDVSDVLIDLERSGVTCSGPMNSYTLASKLTMNSSRQVPDSSIFSTNINEDDDLVDNPFAFVSVDPSLQNFLSTQPVGTLAETDLGHCPPISNITHTEDWISLRLGCNGESIGSSVGTIAQSAVSKGLDLINDCRSNEGMNDKARSNRIDNRKKLNGPFSFPRQPRSVRRRGYSIASDSN >EOY18583 pep chromosome:Theobroma_cacao_20110822:10:3879453:3892203:1 gene:TCM_043097 transcript:EOY18583 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein with MIZ/SP-RING zinc finger, putative isoform 5 MFLPSLVFQNKGRSRDLMDRILGLISDEEVSSTHGSAKKKIIGKEGVAKLIDDAYRKMQIADESDLATRRQTSSLDICNVKHKVEAEDFSYSAVKICCPCGSSLHTDPMIQCIDPGCRVQQHVSCVIIPEKPMEVIPSVPAIFHCEMCRISRADPFCVTVAHLISPVKLIAANIPSDGTNPLLNVEKTFHLTKADSDSLQNTEYDIQAWCVLLNDNVSFRMQWPQYADLHVNGFAVRTLNRPGSQLLGANGRDDGALITLYVVEGINKISLSACDARSFCFGVRLVKRHTIEQVLGLIPKEADGESFKDALARVCRCVGGGMRTANEDSDSDLEVIADTITVNLRCPMSGSRIKVAGRFKPCVHMGCFDLETFVELNQRSRKWQCPICLKNYSLEDIIIDPYFNRITTMMLHCGEDVTDIEVKPDGSWTVKTKDELSDLGKWHFPDGSLHGDMNEVISNSETWRQINKHEKSGNPNLENGTEGGKIEASEHQHLPLRNPKEEDLENFCQMVITLSSSASGSGRDDENPSINQDYGRYDSIPGMNGNEINSIRHNFNSILSTENQSYGAIGEPDIIIVSDSEEEDVNLVSCHTDYKSCVLNDCGALSAPPRIEQSYLENPVPDSGISSCLDLFNDSGKDVGMSDWAYSSGTQASSRFQLFGEDSDVSDVLIDLERSGVTCSGPMNSYTLASKLTMNSSRQVPDSSIFSTNINEDDDLVDNPFAFVSVDPSLQNFLSTQPVGTLAETDLGHCPPISNITHTEDWISLRLGCNGESIGSSVGTIAQSAVSKGLDLINDCRSNEEG >EOY18580 pep chromosome:Theobroma_cacao_20110822:10:3879312:3893185:1 gene:TCM_043097 transcript:EOY18580 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein with MIZ/SP-RING zinc finger, putative isoform 5 MDLLSTCRSQLAYFRIKELKDVLTQLGISKQGKKQDLMDRILGLISDEEVSSTHGSAKKKIIGKEGVAKLIDDAYRKMQIADESDLATRRQTSSLDICNVKHKVEAEDFSYSAVKICCPCGSSLHTDPMIQCIDPGCRVQQHVSCVIIPEKPMEVIPSVPAIFHCEMCRISRADPFCVTVAHLISPVKLIAANIPSDGTNPLLNVEKTFHLTKADSDSLQNTEYDIQAWCVLLNDNVSFRMQWPQYADLHVNGFAVRTLNRPGSQLLGANGRDDGALITLYVVEGINKISLSACDARSFCFGVRLVKRHTIEQVLGLIPKEADGESFKDALARVCRCVGGGMRTANEDSDSDLEVIADTITVNLRCPMSGSRIKVAGRFKPCVHMGCFDLETFVELNQRSRKAKDIIIDPYFNRITTMMLHCGEDVTDIEVKPDGSWTVKTKDELSDLGKWHFPDGSLHGDMNEVISNSETWRQINKHEKSGNPNLENGTEGGKIEASEHQHLPLRNPKEEDLENFCQMVITLSSSASGSGRDDENPSINQDYGRYDSIPGMNGNEINSIRHNFNSILSTENQSYGAIGEPDIIIVSDSEEEDVNLVSCHTDYKSCVLNDCGALSAPPRIEQSYLENPVPDSGISSCLDLFNDSGKDVGMSDWAYSSGTQASSRFQLFGEDSDVSDVLIDLERSGVTCSGPMNSYTLASKLTMNSSRQVPDSSIFSTNINEDDDLVDNPFAFVSVDPSLQNFLSTQPVGTLAETDLGHCPPISNITHTEDWISLRLGCNGESIGSSVGTIAQSAVSKGLDLINDCRSNEGMNDKARSNRIDNRKKLNGPFSFPRQPRSVRRRGYSIASDSN >EOY18585 pep chromosome:Theobroma_cacao_20110822:10:3879407:3891715:1 gene:TCM_043097 transcript:EOY18585 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein with MIZ/SP-RING zinc finger, putative isoform 5 MDLLSTCRSQLAYFRIKELKDVLTQLGISKQGKKQDLMDRILGLISDEEVSSTHGSAKKKIIGKEGVAKLIDDAYRKMQIADESDLATRRQTSSLDICNVKHKVEAEDFSYSAVKICCPCGSSLHTDPMIQCIDPGCRVQQHVSCVIIPEKPMEVIPSVPAIFHCEMCRISRADPFCVTVAHLISPVKLIAANIPSDGTNPLLNVEKTFHLTKADSDSLQNTEYDIQAWCVLLNDNVSFRMQWPQYADLHVNGFAVRTLNRPGSQLLGANGRDDGALITLYVVEGINKISLSACDARSFCFGVRLVKRHTIEQVLGLIPKEADGESFKDALARVCRCVGGGMRTANEDSDSDLEVIADTITVNLRCPMSGSRIKVAGRFKPCVHMGCFDLETFVELNQRSRKMLHCGEDVTDIEVKPDGSWTVKTKDELSDLGKWHFPDGSLHGDMNEVISNSETWRQINKHEKSGNPNLENGTEGGKIEASEHQHLPLRNPKEEDLENFCQMVITLSSSASGSGRDDENPSINQDYGRYDSIPGMNGNEINSIRHNFNSILSTENQSYGAIGEPDIIIVSDSEEEDVNLVSCHTDYKSCVLNDCGALSAPPRIEQSYLENPVPDSGISSCLDLFNDSGKDVGMSDWAYSSGTQASSRFQLFGEDSDVSDVLIDLERSGVTCSGPMNSYTLASKLTMNSSRQVPDSSIFSTNINEDDDLVDNPFAFVSVDPSLQNFLSTQPVGTLAETDLGHCPPISNITHTEDWISLRLGCNGESIGSSVGTIAQSAVSKGLDLINDCRSNEGMNDKARSNRIDNRKKLNGPFSFPRQPRSVRRRGYSIASDSN >EOY18584 pep chromosome:Theobroma_cacao_20110822:10:3886606:3890870:1 gene:TCM_043097 transcript:EOY18584 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein with MIZ/SP-RING zinc finger, putative isoform 5 MIFSQAWCVLLNDNVSFRMQWPQYADLHVNGFAVRTLNRPGSQLLGANGRDDGALITLYVVEGINKISLSACDARSFCFGVRLVKRHTIEQVLGLIPKEADGESFKDALARVCRCVGGGMRTANEDSDSDLEVIADTITVNLRCPMSGSRIKVAGRFKPCVHMGCFDLETFVELNQRSRKWQCPICLKNYSLEDIIIDPYFNRITTMMLHCGEDVTDIEVKPDGSWTVKTKDELSDLGKWHFPDGSLHGDMNEVISNSETWRQINKHEKSGNPNLENGTEGGKIEASEHQHLPLRNPKEEDLENFCQMVITLSSSASGSGRDDENPSINQDYGRYDSIPGMNGNEINSIRHNFNSILSTENQSYGAIGEPDIIIVSDSEEEDVNLVSCHTDYKSCVLNDCGALSAPPRIEQSYLENPVPDSGISSCLDLFNDSGKDVGMSDWAYSSGTQASSRFQLFGEDSDVSDVLIDLERSGVTCSGPMNSYTLASKLTMNSSRQVPDSSIFSTNINEDDDLVDNPFAFVSVDPSLQNFLSTQPVGTLAETDLGHCPPISNITHTEDWISLRLGCNGESIGSSVGTIAQSAVSKGLDLINDCRSNEGMNDKARSNRIDNRKKLNGPFSFPRQPRSVRRRGYSIASDSN >EOY17590 pep chromosome:Theobroma_cacao_20110822:10:301203:305176:1 gene:TCM_042384 transcript:EOY17590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein MAAQSFNVLCTLCLVIIYFLPICLAFGGDLKPIPAPPSYVCDPKLWEARGVNMAEMGYCDKSLPYDVRAKDLVDRMTLKEKAQQMGDRASGIPRLGLPDYKWWSEALHGVSHFGYGAFFTEIVPGATSFPTVILTTAAFNQSLWNAIGKVVSTEARAMYNLGQAGLTFWSPNINPVRDPRWGRITETSGEDPFVVGVYGVNYVRGLQDVEGQEHAEDPGSRPLKVAACCKHYAAYDLDLWQDVNRFTFDALVTEQDMVETFVRPFEMCIKDGDVSSVMCSYNQVNKIPSCADPILLKQTIREEWKLNGYIISDCDAIEMIHNDTKINWLGVDLDCGVNYPNALENSVRQGKVNEADVDTSLKYLYTVLMRLGFFDGSPSFMSLGKNDICTDKHIDLAVEAAREGIVLLKNLDATLPLNPDAFKTLAIIGPHANATTAMIGNYEGNPCRYVSPLSGFSAFGEVIYEQGCPGVKCPNDTLILQATEAAKQADATILVVGTDLSIEGEGLDREDLLLPGLQKDLVEQVANASKGPVILVVMTAGGVDISFAKDDNKIKGILWVGHPGQEGGRAIADVVFGKCNPGGRLPLTWYTADYVDKLPMTSMQLRPFEEKGYPGRTYKFFNGSTVYPFAYGLSYTSFDYKVTTPTNVSIPIKLNNTQHCRELELTDTSVQQPCPSVVVNDLTCEDKIAWEVEVQNTGDKDGSEVVIVYSQPPDGIVGTPFKQVVGFERVFVAAKQSQKVNFELNACKSLNIVDGRGYTLLPSGLHKIMLGTSSEQIDVNVSFTS >EOY18870 pep chromosome:Theobroma_cacao_20110822:10:5620615:5630321:1 gene:TCM_043371 transcript:EOY18870 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 1 MAPELVREQPYNHTVDLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVIYPDDMSASFKSFLKGLLNKVPQNRLTWPALLEHPFVKETLDEVEAREVLATTTPTRRSDVAFRGEENNFQTPNGQGNSPAASETCNAPSLHSDAHSDAQKYSPNTVQGNSVLHEEFPGFSNPNDIKQSGNQALDRLENNSRTVNGAQIIGKDNEALALVLLPIKRWSEGSQNACRDQDILHSSQSLRILSNLVSAGALHSDGILDEIMCELLNFTAILVGLKSSDVFELVAKSFSVTKMLLAENNGSDIANSYFKHWVVLVEIFSQVVGCIEDPSGRVFSESCACITTILARVAQGLRAYSLTQVPKGISSPSMVNESLKQILDNAVTSRLVDHLCLCLATSGSSLSSGSTNMLRAACEACRAIWSLMDALEISFVKENPNLFPLDALWNHSLVRLDIRDHARGLLTGTESAKVIDVVTRAFVRSKAVQFAIVHCLHQRVEPALSAAIQILSRCCLHNGIIPTVLCGFPNSLPVTTVVSGGADGTIVSELFSILSLCSSLSKDAQTETANLKCKISNPPALTLHSCLLIATVAQCLKSTGRNSALFMLTTSPKKQLTRLSILAHHVSSNDTTITSLQPHSASAMLALASILSLEGGLSVESSISEIAVPLIPPTSTLCDHLKISSEIENEVGSKSPKVVLSYWHGLRDGCVGLLESKLKWGGPLAVQQLIASGIPLLLINLLASNHLNASRQGVGSLNDGVGLSPTGVVWAVSAICHCLSGGLLTFRQALLSSEHMKLICSLISDVHLKLVRSWIGPGGGKDGVRDIINTVIDFLAFPFVAVQNAPGLPLATASVNSGFILNMGSPASRVCMEDKDMVKAIEDDMGKYIKILLEVGVPGIILRCLEQLESKDLGRTVAFLAKMIGHRPLAVQLVGKGLLDPNRMRRLLDCSSPREATLDTLMIVSDLARMDKGFYEFINGASILDILRGFLTHEDPNIRAKACNALGNMCRHSAYFYDALARHHIIGLLIDRCADPDKRTRKFACFAIGNAAYHNDMLYEELRRSIPQLAKLLLSAEEDKTKANAAGALSNLVRNSNKLCEEIISKGAMQALLKLVADCTVVALNPSRKDAINESPLKIALFSLGKMCAYPHCRQFLRASELFPVIGRLRQSPESGIAKLALTIVSKITDA >EOY18869 pep chromosome:Theobroma_cacao_20110822:10:5618889:5630309:1 gene:TCM_043371 transcript:EOY18869 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 1 MGIEEYHVIELVGEGSFGKVYKGRRKYTGQTVAMKFIMKHGKTEKDIHNLRQEIEILRKLKHENIIEMIDSFESQQEFCVVTEFAQGDLFQILEDDKCLPEEQVQAIAKQLVRALHYLHSNRIIHRDMKPQNILIGAGSVVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTVDLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVIYPDDMSASFKSFLKGLLNKVPQNRLTWPALLEHPFVKETLDEVEAREVLATTTPTRRSDVAFRGEENNFQTPNGQGNSPAASETCNAPSLHSDAHSDAQKYSPNTVQGNSVLHEEFPGFSNPNDIKQSGNQALDRLENNSRTVNGAQIIGKDNEALALVLLPIKRWSEGSQNACRDQDILHSSQSLRILSNLVSAGALHSDGILDEIMCELLNFTAILVGLKSSDVFELVAKSFSVTKMLLAENNGSDIANSYFKHWVVLVEIFSQVVGCIEDPSGRVFSESCACITTILARVAQGLRAYSLTQVPKGISSPSMVNESLKQILDNAVTSRLVDHLCLCLATSGSSLSSGSTNMLRAACEACRAIWSLMDALEISFVKENPNLFPLDALWNHSLVRLDIRDHARGLLTGTESAKVIDVVTRAFVRSKAVQFAIVHCLHQRVEPALSAAIQILSRCCLHNGIIPTVLCGFPNSLPVTTVVSGGADGTIVSELFSILSLCSSLSKDAQTETANLKCKISNPPALTLHSCLLIATVAQCLKSTGRNSALFMLTTSPKKQLTRLSILAHHVSSNDTTITSLQPHSASAMLALASILSLEGGLSVESSISEIAVPLIPPTSTLCDHLKISSEIENEVGSKSPKVVLSYWHGLRDGCVGLLESKLKWGGPLAVQQLIASGIPLLLINLLASNHLNASRQGVGSLNDGVGLSPTGVVWAVSAICHCLSGGLLTFRQALLSSEHMKLICSLISDVHLKLVRSWIGPGGGKDGVRDIINTVIDFLAFPFVAVQNAPGLPLATASVNSGFILNMGSPASRVCMEDKDMVKAIEDDMGKYIKILLEVGVPGIILRCLEQLESKDLGRTVAFLAKMIGHRPLAVQLVGKGLLDPNRMRRLLDCSSPREATLDTLMIVSDLARMDKGFYEFINGASILDILRGFLTHEDPNIRAKACNALGNMCRHSAYFYDALARHHIIGLLIDRCADPDKRTRKFACFAIGNAAYHNDMLYEELRRSIPQLAKLLLSAEEDKTKANAAGALSNLVRNSNKLCEEIISKGAMQALLKLVADCTVVALNPSRKDAINESPLKIALFSLGKMCAYPHCRQFLRASELFPVIGRLRQSPESGIAKLALTIVSKITDA >EOY18871 pep chromosome:Theobroma_cacao_20110822:10:5620242:5629362:1 gene:TCM_043371 transcript:EOY18871 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 1 KPQNILIGAGSVVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTVDLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVIYPDDMSASFKSFLKGLLNKVPQNRLTWPALLEHPFVKETLDEVEAREVLATTTPTRRSDVAFRGEENNFQTPNGQGNSPAASETCNAPSLHSDAHSDAQKYSPNTVQGNSVLHEEFPGFSNPNDIKQSGNQALDRLENNSRTVNGAQIIGKDNEALALVLLPIKRWSEGSQNACRDQDILHSSQSLRILSNLVSAGALHSDGILDEIMCELLNFTAILVGLKSSDVFELVAKSFSVTKMLLAENNGSDIANSYFKHWVVLVEIFSQVVGCIEDPSGRVFSESCACITTILARVAQGLRAYSLTQVPKGISSPSMVNESLKQILDNAVTSRLVDHLCLCLATSGSSLSSGSTNMLRAACEACRAIWSLMDALEISFVKENPNLFPLDALWNHSLVRLDIRDHARGLLTGTESAKVIDVVTRAFVRSKAVQFAIVHCLHQRVEPALSAAIQILSRCCLHNGIIPTVLCGFPNSLPVTTVVSGGADGTIVSELFSILSLCSSLSKDAQTETANLKCKISNPPALTLHSCLLIATVAQCLKSTGRNSALFMLTTSPKKQLTRLSILAHHVSSNDTTITSLQPHSASAMLALASILSLEGGLSVESSISEIAVPLIPPTSTLCDHLKISSEIENEVGSKSPKVVLSYWHGLRDGCVGLLESKLKWGGPLAVQQLIASGIPLLLINLLASNHLNASRQGVGSLNDGVGLSPTGVVWAVSAICHCLSGGLLTFRQALLSSEHMKLICSLISDVHLKLVRSWIGPGGGKDGVRDIINTVIDFLAFPFVAVQNAPGLPLATASVNSGFILNMGSPASRVCMEDKDMVKAIEDDMGKYIKILLEVGVPGIILRCLEQLESKDLGRTVAFLAKMIGHRPLAVQLVGKGLLDPNRMRRLLDCSSPREATLDTLMIVSDLARMDKGFYEFINGASILDILRGFLTHEDPNIRAKACNALGNMCRHSAYFYDALARHHIIGLLIDRCADPDKRTRKFACFAIGNAAYHNDMLYEELRRSIPQLAKLLLSAEEDKTKANAAGALSNLVRNSNKLCEEIISKGAMQALLKL >EOY18700 pep chromosome:Theobroma_cacao_20110822:10:4576505:4577038:-1 gene:TCM_043201 transcript:EOY18700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease inhibitor MASDECQGKSSWPELLGAKGEEAAATIERENPNVNAVIVLEGSFVTPDFLCTRVRVWVNTDGIVTRVPVIG >EOY17903 pep chromosome:Theobroma_cacao_20110822:10:1403798:1406212:-1 gene:TCM_042604 transcript:EOY17903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein METLFATSFMSNSDWFDQESNSTSWTKEENKMFESALAIYDDDVPDRWFKVAAMIPGKTVSDVMKQYRELEEDVFKIEAGRFPMPGYCSSSFTLELVDNRDFDAYRKRSTGTRGPDHERKKGVPWTEEEHRRFLMGLLKYGKGDWRNISRNFVVSKTPTQVASHAQKYYQRQLSGGKDKRRPSIHDITTVNLTNTTFSDNHKPPSVNHSNVLALQQKLASMPKVGNNWNHPNDGSAMAFNSTHGNWFTSSQYQTASNGLRLQGQNLYGSAYHGAHIKPQSSVF >EOY17809 pep chromosome:Theobroma_cacao_20110822:10:954355:957366:-1 gene:TCM_042526 transcript:EOY17809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein MGNPSHFLPSCFKVFTKTAGHRKSEIGKGNEKHARVQYPTALPEEIYHQRQFSLTEIKAATSNFHPKSLIAEGYFGNVFKGIVDDGNLVAVKRFNPDSVRDALNEFQTEVKLLCQLRHRHLVPLIGYCNDKDEKIVVYELMKNGSLRDHLYGSNYDPLPWKQRLEICIGAARGLHYLHTGAKHAVIHRNVTSKSILLDDKWVGKVSNLALSKMRSQPSYSSRSKALKKMNSERVMGTFGYLAPEYAIHGDFSEKCDVYSFGVVLLEVLCARKVLDPTLDEYEVGLLGWVCQCIGKGTIYNIIDSHLKRKIAPECFKIFVEIAYSCISEKGDTRPEMGEVELMLELALQMQEKADSELVDLDSQGEYMYGEISFCIPVPDHSLRADSINSTSEFEAEAGDLYSRREKESLTKGPIKERSWALAQMGRYSGLSPKQPRGYGAFCLPEPKARKANLVEWQKK >EOY19987 pep chromosome:Theobroma_cacao_20110822:10:24278894:24284466:1 gene:TCM_045396 transcript:EOY19987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geminivirus rep interacting kinase 1 isoform 1 MKHIESGDMDNGVQRNAEDISNEQVWSRSLFAGRDRKQPITNMPRKNQTCKKIPVTETTSIKISKHVNGKKMINDFVKEQKISHGSYGKVVLYRNKNDGTPYAIKKICKSRLCKVRVTLSETAMTNVFREVSIMKMLDHPNIVNLVEVIDDPKSDYLYMVLEYVEGNGIRNLSEIQGHLDETTARGYFKDIIAGLTYLHSHNIIHGDIKPENLLLTRSGRVKIGDFSVSQAFEDDNDELWRCPGTPAFTPPECCFGTVYHGKAADIWATGVTLHYMVVGCYPFLADSLPETYNNIVNSPLLLPKELDPMLKDLLQDLFCKDPKLRITLDIVAEHPWVVKEGAMVLPRCPCCCRLGV >EOY19988 pep chromosome:Theobroma_cacao_20110822:10:24265466:24284352:1 gene:TCM_045396 transcript:EOY19988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geminivirus rep interacting kinase 1 isoform 1 MINDFVKEQKISHGSYGKVVLYRNKNDGTPYAIKKICKSRLCKVRVTLSETAMTNVFREVSIMKMLDHPNIVNLVEVIDDPKSDYLYMVLEYVEGNGIRNLSEIQGHLDETTARGYFKDIIAGLTYLHSHNIIHGDIKPENLLLTRSGRVKIGDFSVSQAFEDDNDELWRCPGTPAFTPPECCFGTVYHGKAADIWATGVTLHYMVVGCYPFLADSLPETYNNIVNSPLLLPKELDPMLKDLLQDLFCKDPKLRITLDIVAEHPWVVKEGAMVLPRCPCCCRLGV >EOY17586 pep chromosome:Theobroma_cacao_20110822:10:271240:288123:-1 gene:TCM_042379 transcript:EOY17586 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein MASREVLNFFSNRQLNDELLHKLKRTLLPVQAVLIDAEEKQMKNRAVQEWLDELKDAVYDAEDLLEEIESLTLSRKLKEEPQTSCTPLVRNCFSFPNPFTKRMERKLEAILNRLDDIAKQTDTLGLRNYVGEKPSPKLPTTSLVDESEVYGRTDDREALIKMLLSDDASCHELGVITIVGMGGLGKTTLAQLVYNDSTVREWFELKVWVCVTEKFDLYGVTRTIIERLASTTCDIKDLNLLQIQLSERLKGKKFLLVLDDVWNKKYANWEALELPLKSAAEGSKIIVTTRDEGVASVMRTTTSSYNLKPLPEKDCWSLFTKQVCSGSRNTTIRPDLEAMGREIVKKCKGLPLAIKTLGGLLRMKVDANKWEKILKSDIWEFSDEESDILPALMLSYHYLPSYLKPCFAFCSLFPKDYLFQKEKLVLLWMGEGLLDNFKEKGKTLEEVGDDCFDELASRSFFQRSSGSGTQLVMHGLMHDLAEFVSGKFFARLEDDGSCEINRRTRHFSYLTEEYDTSKRFGALNEARRLRTFLNVDKYPWMKKYIADTITHDLLPNLGCLKLLRYLDLSYTAIKKLPESVSALFHLQILLLSYCRNLVELPTKLGRLINLQHLDLNGTKLKEMPAHMGKLKDLYTLTTFVVGKHSGSSISELGELQHLHGTLSILNLQNVGCSGDALEANLKGKKKLQKLVLIWCDGIEDYSNYEADVPLVKKRKVAVPKFVPHEDVLEQLQPSPDLEHLKIFGYGGTQFPEWVGDHSFSKIACLELSNCEHCLSLPALGHLRSLKNLCIRGFARVSAVGSEFYGNGSSMKSFDSLEILRFENMPEWKQWLCLGDENGTFCSLQELYIIDCPKLKGDLPKTLPLLRKFRIENCETLGSALSRAPDMHELKLVNCDKMQLQALPTELQNLAVENCSVQDSSLELMLQHCTRLEGLSIGSCASLKCLPEGRLPVSLKRLKIHDCGEFDFSRILLYTSLQALEVWNSHDSLESFPLGSFPNLNHFALGSWENIKSFSALEGPHQHLPSLHTIHISDCPNFESFPKGGLSAPNLTTLCLLNCEILKSLPEQMRSLLPSLEYLDIFNCPEIESFPEGGLPVKLKNLKISCCNKLTAVRTEWGLDKLPCLRRFEMIGGDMEFFPDEQLLPSTITNLCIRSLPNLKTLDYKGLQHLTSIRELDVSDCPKLQSMPLEGLPVSLSSISIVSCPLLTKRCQKEKGETMAAELVGGAFLSSLFGVLFDRMASPEVLNFFSGGVNDEMLKKLKITLLSLEAVLNDAEERQMKNHAVKNWLDELKDAVYDAEDILEEIASLSFLRELKEEPQNSWASRVLNVFSFPNPFTKKMDPKLEEILNRLEHITKQINILGLRNDVREKPSPKLPTTSLVDASEVCGRNDDKEALIKMLLSDDSSSQELGVISIVGMGGLGKTTLAQLLYNDSTVTDWFELKVWVCVTEKFDVYGVTRTIIERLASTTCDIKDLNLLQIQLSERLKGKKFLLVLDDVWNKKYANWEALELPLKSAAEGSKIIVTTRDEGVASAMRTTTSSYNLKPLSEKDCWSLFTKQVCSGSRNTTIRPDLEAMGREIVKKCKGLPLAIKTLGGLLRMKVDANKWEKILKSDIWEFSDEESDILPALMLSYHYLPSYLKPCFAFCSLFPKDYLFQKEKLVLLWMGEGLLDNFKEKGRTLEEVGDDCFDELASRSFFQRSSGSGTQFVMHDLLHDLAEFVSGKFLARLEDDGSCEINKRTRHFSYVTKEYGTYKRFEALNEARRLRTFLNVDKYPWMEKYIAGTITHDLLPNLGCLKVLSLSQYPNINLLVNSIGNLKLLRYLDLSDTAIKKLPESVSALFHLQILLLSNCWNLVELPTKLGRLINLQHLDLNFTQLKEMPAHMGKLKNLHKLTTFVVGKNGGSSISELGELQHLHGTLSILNLQNVGCSGDALKANLKGKKKLQKLVLSWCDEIEDHSKYEADVPLVKKRKVAVPKFVPHEYLLEQLQPSPDLEHLKIFGYGGTQFPEWVGDHSFSKIACLELSNCEHCLSLPALGHLRSLKNLCIRRFARVTAVGSEFYGNGSSMKSFESLEILRFERMPEWQEWLCLGDENGTFSSLQELYIIDCPKLKGDLPKTLPLLRKFRIKNCKMLGSALSRAPDMDELELVNCDEMQLQALPTELQNLTIKNCSVQDSTLELMLQHCSRLEGLSIGSCAALKSLPEGRLPVSLKKLEIDNCGGLSAPNLTSLYLFDFKNLKSLPEQMHSLLPSLRYLTVSNCSEIESFPEGGLPFNLKCLTINNCNKLIAGRMGWGLHKLRCLTHFDMIGGDMEFFPDEQLLPPTITNLHIIGLPNLKTLDYKGLQHLTSIRRLKIDNCGELQSMPPEGLPFSLSSLIIYACPLLTERCQMEKGKDWAKISHIPLITIDGEVIIA >EOY20257 pep chromosome:Theobroma_cacao_20110822:10:25385942:25387541:-1 gene:TCM_045614 transcript:EOY20257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQPSSDTIEGLMPYSNHIATFKDEATSDQGEDDWFLTSEDYFADDSDANEQKRIMIIMKEMMSLIVNRLSRTLPMKDQIQVVNLVTNVTELKLEVK >EOY18756 pep chromosome:Theobroma_cacao_20110822:10:4830658:4834973:1 gene:TCM_043260 transcript:EOY18756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor U2af large subunit A isoform 2 MTDYEEARYQGNGDNLDNSYGGGSSPQPRPDDQNDSKSQQGSRDYERESSRSREKDREKGRDKERDKDRDRHRERDRDREKDRDRDRHHRDRHRDRSRERSERRDRGRDRDDDDYYRSRDYDRRRDYDRDKEDRHRCRSQSRSRGRSEHRSKSRSRSRSRSKSKRISGFDMAPPASAMLAAGAAAAAAAGQIPGTSPTLPGVFPNMFPLATGQPFGALPVMPVQAMTQQATRHARRVYVGGLPPTANEQVLLSTVEALLLLCFHIKPIVTLAIILQSVATFFSHVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMAVDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPSLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGATQPKPEQESILQHAQQQIALQRLILQPQGVPTNVVCLTQALNVDDLRDDEEYEDIVEDMRQEGGKYGALVNVVIPRPNPSGEAAPGVGKVFLEYLDDEGSKKAQGAMNGRKFGGNQVIAVFYPENKFAQGEYDG >EOY18753 pep chromosome:Theobroma_cacao_20110822:10:4830382:4835344:1 gene:TCM_043260 transcript:EOY18753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor U2af large subunit A isoform 2 MTDYEEARYQGNGDNLDNSYGGGSSPQPRPDDQNDSKSQQGSRDYERESSRSREKDREKGRDKERDKDRDRHRERDRDREKDRDRDRHHRDRHRDRSRERSERRDRGRDRDDDDYYRSRDYDRRRDYDRDKEDRHRCRSQSRSRGRSEHRSKSRSRSRSRSKSKRISGFDMAPPASAMLAAGAAAAAAAVWKVRIKILLLCTRRIQCTSFDGQIPGTSPTLPGVFPNMFPLATGQPFGALPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSHVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMAVDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPSLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGATQPKPEQESILQHAQQQIALQRLILQPQGVPTNVVCLTQALNVDDLRDDEEYEDIVEDMRQEGGKYGALVNVVIPRPNPSGEAAPGVGKVFLEYLDDEGSKKAQGAMNGRKFGGNQVIAVFYPENKFAQGEYDG >EOY18754 pep chromosome:Theobroma_cacao_20110822:10:4830844:4834433:1 gene:TCM_043260 transcript:EOY18754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor U2af large subunit A isoform 2 MTDYEEARYQGNGDNLDNSYGGGSSPQPRPDDQNDSKSQQGSRDYERESSRSREKDREKGRDKERDKDRDRHRERDRDREKDRDRDRHHRDRHRDRSRERSERRDRGRDRDDDDYYRSRDYDRRRDYDRDKEDRHRCRSQSRSRGRSEHRSKSRSRSRSRSKSKRISGFDMAPPASAMLAAGAAAAAAAGQIPGTSPTLPGVFPNMFPLATGQPFGALPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSHVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMAVDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPSLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGATQPKPEQESILQHAQQQIALQRLILQPQGVPTNVVCLTQALNVDDLRDDEEYEDIVEDMRQEGGKYALSCSTFCYKESSLTYRQKTPNPLSIFT >EOY18755 pep chromosome:Theobroma_cacao_20110822:10:4830669:4835186:1 gene:TCM_043260 transcript:EOY18755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor U2af large subunit A isoform 2 MTDYEEARYQGNGDNLDNSYGGGSSPQPRPDDQNDSKSQQGSRDYERESSRSREKDREKGRDKERDKDRDRHRERDRDREKDRDRDRHHRDRHRDRSRERSERRDRGRDRDDDDYYRSRDYDRRRDYDRDKEDRHRCRSQSRSRGRSEHRSKSRSRSRSRSKSKRISGFDMAPPASAMLAAGAAAAAAAGQIPGTSPTLPGVFPNMFPLATGQPFGALPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSHVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMAVDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPSLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGATQPKPEQESILQHAQQQIALQRLILQPQGVPTNVVCLTQALNVDDLRDDEEYEDIVEDMRQEGGKYGALVNVVIPRPNPSGEAAPGVGKVFLEYLDDEGSKKAQGAMNGRKFGGNQVIAVFYPENKFAQGEYDG >EOY18303 pep chromosome:Theobroma_cacao_20110822:10:2857545:2861493:1 gene:TCM_042897 transcript:EOY18303 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP-like protein 1 isoform 1 MAALQNSVPSLHHTLFINFFPQKGLRKHHHGAFLCCKRGVSVHVRAEQSSSAADSSSSSHFQDSCGRRQMIAAGITAPLVSIVDQTPIAFAAETKKGFLSMTDKKDGYSFLYPFGWQEVVIEGQDKVFKDVIEPLESVSVNLIATSKQDIRDFGSPQEVAETLIKKVLAPATQKTKLIGAAEHDVDGKAYYTFEFVAQAPNFTRHALSAVCIGNGKFYTLTTGANERRWDKMKDRLFTVIDSFRIFNV >EOY18304 pep chromosome:Theobroma_cacao_20110822:10:2857545:2861433:1 gene:TCM_042897 transcript:EOY18304 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP-like protein 1 isoform 1 MIAAGITAPLVSIVDQTPIAFAAETKKGFLSMTDKKDGYSFLYPFGWQEVVIEGQDKVFKDVIEPLESVSVNLIATSKQDIRDFGSPQEVAETLIKKVLAPATQKTKLIGAAEHDVDGKAYYTFEFVAQAPNFTRHALSAVCIGNGKFYTLTTGANERRWDKMKDRLFTVIDSFRIFNV >EOY18911 pep chromosome:Theobroma_cacao_20110822:10:6091664:6099168:-1 gene:TCM_043414 transcript:EOY18911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase (DsPTP1) family protein isoform 1 MMGGMDFSDIPRSMAVKAISGSTSSAKQSSSESEGEKSNIYSHNMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQAPEDVDKLRKVGVKTIFCLQQDPDLEYFGVDIGAIQDYAKKCSDIEHIRAQIRDFDSFDLRMRLPAVVSKLYKAINQNGGVTYVHCTAGLGRAPAVAMAYMFWVQGYKLSEAHRLLLWTYNEFELVTTPNKDGHVNNFLHVVNNDPSSVNGAVRKRLSSEDPDLRKEERLKIRQFLEAYPEEE >EOY18909 pep chromosome:Theobroma_cacao_20110822:10:6091786:6097961:-1 gene:TCM_043414 transcript:EOY18909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase (DsPTP1) family protein isoform 1 MNYNFIRPDLIVGSCLQAPEDVDKLRKVGVKTIFCLQQDPDLEYFGVDIGAIQDYAKKCSDIEHIRAQIRDFDSFDLRMRLPAVVSKLYKAINQNGGVTYVHCTAGLGRAPAVAMAYMFWVQGYKLSEAHRLLLSKRSCFPKLDAIKSATADILTDLKRELVTLTWEDSKCSTVEVSGLDIGWGQRIPLKFDKGHGSWTLQRELPEGRYEYKYIVDGEWTYNEFELVTTPNKDGHVNNFLHVVNNDPSSVNGAVRKRLSSEDPDLRKEERLKIRQFLEAYPEEE >EOY18907 pep chromosome:Theobroma_cacao_20110822:10:6091668:6099373:-1 gene:TCM_043414 transcript:EOY18907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase (DsPTP1) family protein isoform 1 MNCLQNLPRSYARPFQGCKSYPRKPFSCSINMMGGMDFSDIPRSMAVKAISGSTSSAKQSSSESEGEKSNIYSHNMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQAPEDVDKLRKVGVKTIFCLQQDPDLEYFGVDIGAIQDYAKKCSDIEHIRAQIRDFDSFDLRMRLPAVVSKLYKAINQNGGVTYVHCTAGLGRAPAVAMAYMFWVQGYKLSEAHRLLLSKRSCFPKLDAIKSATADILTDLKRELVTLTWEDSKCSTVEVSGLDIGWGQRIPLKFDKGHGSWTLQRELPEGRYEYKYIVDGEWTYNEFELVTTPNKDGHVNNFLHVVNNDPSSVNGAVRKRLSSEDPDLRKEERLKIRQFLEAYPEEE >EOY18910 pep chromosome:Theobroma_cacao_20110822:10:6092178:6098371:-1 gene:TCM_043414 transcript:EOY18910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase (DsPTP1) family protein isoform 1 MTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQAPEDVDKLRKVGVKTIFCLQQDPDLEYFGVDIGAIQDYAKKCSDIEHIRAQIRDFDSFDLRMRLPAVVSKLYKAINQNGGVTYVHCTAGLGRAPAVAMAYMFWVQGYKLSEAHRLLLSKRSCFPKLDAIKSATADILTDLKRELVTLTWEDSKCSTVEVSGLDIGWGQRIPLKFDKGHGSWTLQRELPEGRYEYKYIVDGEWTYNEFELVVNNDPSSVN >EOY18908 pep chromosome:Theobroma_cacao_20110822:10:6091986:6099362:-1 gene:TCM_043414 transcript:EOY18908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase (DsPTP1) family protein isoform 1 MNCLQNLPSFRSYARPFQGCKSYPRKPFSCSINMMGGMDFSDIPRSMAVKAISGSTSSAKQSSSESEGEKSNIYSHNMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQAPEDVDKLRKVGVKTIFCLQQDPDLEYFGVDIGAIQDYAKKCSDIEHIRAQIRDFDSFDLRMRLPAVVSKLYKAINQNGGVTYVHCTAGLGRAPAVAMAYMFWVQGYKLSEAHRLLLSKRSCFPKLDAIKSATADILTDLKRELVTLTWEDSKCSTVEVSGLDIGWGQRIPLKFDKGHGSWTLQRELPEGRYEYKYIVDGEWTYNEFELVTTPNKDGHVNNFLHVVNNDPSSVNGAVRKRLSSEDPDLRKEERLKIRQFLEAYPEEE >EOY20068 pep chromosome:Theobroma_cacao_20110822:10:24662897:24671496:1 gene:TCM_045468 transcript:EOY20068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor family protein isoform 2 MGNNREYICFSKDLIGNGCFQKLTFVVIIYWSCFLISQVGGSIHEYQNESFIRRSNSFFFHGGSEGLYASKLHIDPDRKGSSSSEENHSNGKSFIRFESIIFRRTKESAEKKNEMQQKTGLVEAIIVDVKDREKIGGSYLHSSAICCTPDLSKDRSCKLGEVIIHQDPNNPNSPQRIQTFFEGKNEEASMVLQTVEINRTGMYYLYFMFCDPELTGMLISGRTVWRNPEGYLPGKMAPLMTYFGLMSLAYLVLGVVWFLWFVQYWKDIIQLHYHITAVVGLGMCEMALWYFEFANFNATGSRPMGITLWAVTFSAIKKTVSRLLLLVVSMGYGVVRPTLGGITFKVLLLGLTYFVFSEALGLVENLGNIDDLTGKARIFLVLPVSLLDACFIVWIFSALSQTLEKLQIRRSMAKFALYRKFTNSLAISVLLSIAWIGYELYFNAADPLSELWQRAWVIPAFWNLLAFVLLIVICILWAPSNNPTRYAYSEETGDDLEEEGISLTGTSVILAGESATKPERKERKVSTADLFGLVEELEEDKREYDMTRHIIRNKTSCTLALFPP >EOY20067 pep chromosome:Theobroma_cacao_20110822:10:24662897:24668789:1 gene:TCM_045468 transcript:EOY20067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor family protein isoform 2 MGNNREYICFSKDLIGNGCFQKLTFVVIIYWSCFLISQVGGSIHEYQNESFIRRSNSFFFHGGSEGLYASKLHIDPDRKGSSSSEENHSNGKSFIRFESIIFRRTKESAEKKNEMQQKTGLVEAIIVDVKDREKIGGSYLHSSAICCTPDLSKDRSCKLGEVIIHQDPNNPNSPQRIQTFFEGKNEEASMVLQTVEINRTGMYYLYFMFCDPELTGMLISGRTVWRNPEGYLPGKMAPLMTYFGLMSLAYLVLGVVWFLWFVQYWKDIIQLHYHITAVVGLGMCEMALWYFEFANFNATGSRPMGITLWAVTFSAIKKTVSRLLLLVVSMGYGVVRPTLGGITFKVLLLGLTYFVFSEALGLVENLGNIDDLTGKARIFLVLPVSLLDACFIVWIFSALSQTLEKLQIRRSMAKFALYRKFTNSLAISVLLSIAWIGYELYFNAADPLSELWQRAWVIPAFWNLLAFVLLIVICILWAPSNNPTRYAYSEETGDDLEEEGISLTGTSVILAGESATKPERKERKVSTADLFGLVEELEEDKRE >EOY17583 pep chromosome:Theobroma_cacao_20110822:10:262590:271193:-1 gene:TCM_042377 transcript:EOY17583 gene_biotype:protein_coding transcript_biotype:protein_coding description:NBS type disease resistance protein, putative MASREVLNFFSNRQLNDELLHKLKRTLLPVQAVLIDAEEKQMKNRAVQEWLDELKDAVYDAEDLLEEIESLTLSRKLKEEPQTSCTPLVRNCFSFPNPFTKRMERKLEAILNRLDDIAKQTDTLGLRNDVGEKPSPKLPTTSLVDESEVYGRTDDREALIKMLLSDDASCHELGVITIVGMGGLGKTTLAQLVYNDSTVREWFELKVWVCVTEEFDMYRLTRIIIEGLTSATCDIKDLNQLQIHLKERLQGRKFLLVLDDVWNKKYDDWEALKRPLKSAAEGSKIIVTTRDEGVASVMRTTTSSYNLKLLSEKDCWSLFIKHVCSGSGNTTIHPELEAMGREIVKKCKGLPLAIKTLGGLLRMKVDAREWEKILRNDIWEFSDDESDILPALRLSYHYLPSYLKPCFAFCSLFPKDYEFQREKLVLLWMGEGLLDGTKGNGKMLEEVGAYYFDELASRSFFQRSIGFSGTRFVMHDLMHDLAESVSGKFFARLEDDGSCEINEKTRHFSYLVKRYDTSKKFEALNEAKHLRTFLPVDRGPWNWLNRYVSDIITHDLLPKLGCLRVLSLSSYWNIHMLQNSIGNLKLLRYLDLSYTYIKKLPESVSHLFHLQILLLSYCCDLVELPTKLGRLINLQHLDLRGTKLKEMPAHMGKLKDLHKLTTFVVGKHSGSSISELGELQHLHGTLSILNLQNVGCSGDALKADLKGKKKLQGLVLSWGDEIEDYSKDMFVVPLFMEREAEVPKCVPHEDLDHQRNVLEQLQPSPDLEHLKIFYYGGTQFPEWVGDHSFSKIVSLELGNCEHCLSLPALGHLRSLKNLSLRGFPRVTAVGSEFYGNGSSMKSFDSLEILRFENMPEWKKWLCLGDENGTFCSLQELYIIDCPKLKGDLPKTLPLLRKFRIENCETLGSALSRAPDMHELKLVNCDKMQLQALPTELQNLAVENCSVQDSSLELMLQHCTRLEGLSIGSCASLKSLPEGRLPVSLKRLMINNCGEFDFSRILLCTSLENLNVLNALDSLESFSLGSFPNLNSLNFSLCTNIKSFSALEGPHQHLPSLHSINIFDCPNFVSFPKGGLSAPNLTMLYLFNCKNLKSLPEQMHSLLPSLDYLSVANCSEIESFPEGGLPFNLQFLDISCCNKLIAGRMGWGLHKLRCLTYFKMSGGDMEFFPDEQLLPSTITNLHISWLPNLKTLDYKGLQPLTSIQELDINFCPKLQSMPPEGLPVSLSSISISDCPLLTKRCQKEKGKDWAKISHIPSITIDGQVIIA >EOY20098 pep chromosome:Theobroma_cacao_20110822:10:24813710:24818613:1 gene:TCM_045491 transcript:EOY20098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MLNRKKKMKVKSLLTLLLFWLVLLVAASFPVRSLSPSLNDDVLGLIVFKADILDPNQKLSSWNEDDDTPCNWFGVKCNPRLNRVTELNLDGFSLSGRIGRGLLQLEFLRKLSLAKNNLTGSISPNLAKLESLRIIDLSENSLSGSIPDDFFKQCGSVRSISLANNRFSGKIPGSLGSCATLAAINLSRNQFSGSLPGGIWALSGLRSLDLSENLLEGEIPKGIEALNNLRSINLGKNRFSGQVPDGVGSCLLLRSIDLSMNLLSGSVPQTMRKLSLCSYLNLSMNSFVGEVPEWIGEMKSLETLDFSMNKFSGQVPNSIGNLKFLKVLNFSANGLSGSLPASMGNNVNLLALDFSQNLMTGDLPAWIFKSGLNQVSLSEKKLGANVDNPISTSPGTSLQKIQVLDLSHNSFSGEITSDVGALSGLQLLNLSRNSIIGRIPGTVGELKALAVLDLSQNQLNGSIPMEIGGAYSLKDLRLNENFLEGKIPMSIENCTLLMSLIISQNNLSGTIPAAIGKLSNLQNVDLSVNGLVGTLPKQLANLPNLLSFNISHNNLQGELPAGGFFNTISPTAVSGNPSLCGSAVNKSCPAVLPKPIVLNPNSSSDSISGDLPPNVGHKRIILSISALIAIGAAAVIVVGVIAITVLNLRVRSSTSRSAAALTLYAGDDFSRSPTTDANSGKLVMFSGEPDFSTGAHALLNKDCELGRGGFGAVYRTVLRDGRSVAIKKLTVSSLVKSQEEFEREVKKLGKIRHPNLVALEGYYWTPSLQLLIYEFVSGGSLYKHLHEGSGGNYLSWNDRFSIILGTAKSLAHLHQSNIIHYNIKSSNVLIDGSGEPKVGDFGLARLLPMLDRYVLSSKIQSALGYMAPEFACRTVKITEKCDVYGFGILILEVVTGKRPVEYMEDDVVVLCDMVRGALEEGRVDECVDGRLQGKFPAEEAIPVMKLGLICTSQVPSNRPDMGEVVNILELIRCPSEGQEDMG >EOY18548 pep chromosome:Theobroma_cacao_20110822:10:3805485:3807367:1 gene:TCM_043081 transcript:EOY18548 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 1 MENNDKAAISPISVSTPFSYSPSSSSSPPTPHYSPGFPSPNSQSNSPKNSHQPPPPPASPPPVVLSPCAACKILRRRCVEKCVLAPYFPPTEPYKFTIAHRVFGASNIIKSLQELPESQRADAVSSMVYEASARIRDPVYGCAGAICQLQKQVSDLQAQLAKTQAELVTMQCQQANLLALICMEMTQSKEPTSQQQPYSIDTSCFLDDSNLASAWEPLWT >EOY18728 pep chromosome:Theobroma_cacao_20110822:10:4691888:4709859:-1 gene:TCM_043231 transcript:EOY18728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 3 MKNSVSDQSFYIESEDEEDEEKVFNRHEGEDDGNESDVSDSSAENQQQNKPSSYNTSWPQSYRQSIDLYSSVPSPSIGFLGTPTLSRLGSSFLSSSLTRRHTPESLSAVTKPLVPTVDDQIQPYRRSSHSLLPPIPSRRQSVRVDDKTSKVSHELPISRQSSYGQAVLNGINVLCGVGILSTPYAAKEGGWLGLIILFTFAVLSFYTGLLLRQCLDSEPGLETYPDIGQAAFGTAGRIAVSIILYVELYACCVEYIILEGDNLSSLFPNAHISLGGFELNSQRLFALMTTLAVLPTVWLRDLSVLSYISAGGVVASVLVVLCLFWVGLVDQVGFHNKGTTLNLASLPVAVGLYGYCYSGHAVFPNIYTSMAKPNQFPSVLIACFGICSLLYAGTAIMGYTMFGEATESQFTLNMPKDLIASKIAVWTTLIPSSHLKSHIYAILIRTSLVISTLIVGLSIPFFGLVMSLIGSSLTMLVTLILPPACYLSIVRGKVTRIQTTLCIIVIAVGVVSSAFGTYSALSKIVENLRS >EOY18732 pep chromosome:Theobroma_cacao_20110822:10:4679171:4699456:-1 gene:TCM_043231 transcript:EOY18732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 3 MKNSVSDQSFYIESEDEEDEEKVFNRHEGEDDGNESDVSDSSAENQQQNKPSSYNTSWPQSYRQSIDLYSSVPSPSIGFLGTPTLSRLGSSFLSSSLTRRHTPESLSAVTKPLVPTVDDQIQPYRRSSHSLLPPIPSRRQSVRVDDKTSKVSHELPISRQSSYGQAVLNGINVLCGVGILSTPYAAKEGGWLGLIILFTFAVLSFYTGLLLRQCLDSEPGLETYPDIGQAAFGTAGRIAVSIILYVELYACCVEYIILEGDNLSSLFPNAHISLGGFELNSQRLFALMTTLAVLPTVWLRDLSVLSYISAGGVVASVLVVLCLFWVGLVDQVGFHNKGTTLNLASLPVAVGLYGYCYSGHAVFPNIYTSMAKPNQFPSVLIACFGICSLLYAGTAIMGYTMFGEATESQFTLNMPKDLIASKIAVWTTVVNPFTKYALTMSPVAMSLEELIPSSHLKSHIYAILIRTSLVISTLIVGLSIPFFGLVMSLIGSSLTMLVTLILPPACYLSIVRGKVTRIQTTLCIIVIAVGVVSSAFGTYSALSKIVENLRS >EOY18730 pep chromosome:Theobroma_cacao_20110822:10:4692017:4700170:-1 gene:TCM_043231 transcript:EOY18730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 3 MKNSVSDQSFYIESEDEEDEEKVFNRHEGEDDGNESDVSDSSAENQQQNKPSSYNTSWPQSYRQSIDLYSSVPSPSIGFLGTPTLSRLGSSFLSSSLTRRHTPESLSAVTKPLVPTVDDQIQPYRRSSHSLLPPIPSRRQSVRVDDKTSKVSHELPISRQSSYGQAVLNGINVLCGVGILSTPYAAKEGGWLGLIILFTFAVLSFYTGLLLRQCLDSEPGLETYPDIGQAAFGTAGRIAVSIILYVELYACCVEYIILEGDNLSSLFPNAHISLGGFELNSQRLFALMTTLAVLPTVWLRDLSVLSYISAGGVVASVLVVLCLFWVGLVDQVGFHNKGTTLNLASLPVAVGLYGYCYSGHAVFPNIYTSMAKPNQFPSVLIACFGICSLLYAGTAIMGYTMFGEATESQFTLNMPKDLIASKIAVWTTVVNPFTKYALTMSPVAMSLEELIPSSHLKSHIYAILIRTSLVISTLIVGLSIPFFGLVMSLIGSSLTMLVTLILPPACYLSIVRGKVTRIQTTLCIIVIAVGVVSSAFGTYSALSKIVENLRS >EOY18731 pep chromosome:Theobroma_cacao_20110822:10:4679142:4700170:-1 gene:TCM_043231 transcript:EOY18731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 3 MKNSVSDQSFYIESEDEEDEEKVFNRHEGEDDGNESDVSDSSAENQQQNKPSSYNTSWPQSYRQSIDLYSSVPSPSIGFLGTPTLSRLGSSFLSSSLTRRHTPESLSAVTKPLVPTVDDQIQPYRRSSHSLLPPIPSRRQSVRVDDKTSKVSHELPISRQSSYGQAVLNGINVLCGVGILSTPYAAKEGGWLGLIILFTFAVLSFYTGLLLRQCLDSEPGLETYPDIGQAAFGTAGRIAVSIILYVELYACCVEYIILEGDNLSSLFPNAHISLGGFELNSQRLFALMTTLAVLPTVWLRDLSVLSYISAGGVVASVLVVLCLFWVGLVDQVGFHNKGTTLNLASLPVAVGLYGYCYSGHAVFPNIYTSMAKPNQFPSVLIACFGICSLLYAGTAIMGYTMFGEATESQFTLNMPKDLIASKIAVWTTVVVNPFTKYALTMSPVAMSLEELIPSSHLKSHIYAILIRTSLVISTLIVGLSIPFFGLVMSLIGSSLTMLVTLILPPACYLSIVRGKVTRIQTTLCIIVIAVGVVSSAFGTYSHSPRLLRI >EOY18729 pep chromosome:Theobroma_cacao_20110822:10:4692308:4700155:-1 gene:TCM_043231 transcript:EOY18729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 3 MKNSVSDQSFYIESEDEEDEEKVFNRHEGEDDGNESDVSDSSAENQQQNKPSSYNTSWPQSYRQSIDLYSSVPSPSIGFLGTPTLSRLGSSFLSSSLTRRHTPESLSAVTKPLVPTVDDQIQPYRRSSHSLLPPIPSRRQSVRVDDKTSKVSHELPISRQSSYGQAVLNGINVLCGVGILSTPYAAKEGGWLGLIILFTFAVLSFYTGLLLRQCLDSEPGLETYPDIGQAAFGTAGRIAVSIILYVELYACCVEYIILEGDNLSSLFPNAHISLGGFELNSQRLFALMTTLAVLPTVWLRDLSVLSYISAGGVVASVLVVLCLFWVGLVDQVGFHNKGTTLNLASLPVAVGLYGYCYSGHAVFPNIYTSMAKPNQFPSVLIACFGICSLLYAGTAIMGYTMFGEATESQFTLNMPKDLIASKIAVWTTVVNPFTKYALTMSPVAMSLEELIPSSHLKSHIYAILIRTSLVISTLIVGLSIPFFGLVMSLIGSSLTMLVTLILPPACYLSIVRGKVTRIQTTLCIIVIAVGVVSSAFGTYSALSK >EOY17782 pep chromosome:Theobroma_cacao_20110822:10:852469:854249:-1 gene:TCM_042501 transcript:EOY17782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide-specific phospholipase C P12 MTAEQLKRFLMVHQGEVDRNLELRCRAYHSTGLTDDMLFQVHHHMTAPLSHYFIYTGHNSYLTGNQLSCDCSEVPIINALQRGVRVIELDLWPRSTKDEVLVLHGRL >EOY19994 pep chromosome:Theobroma_cacao_20110822:10:24285117:24287141:1 gene:TCM_045397 transcript:EOY19994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1B/ribosomal protein S6 family protein isoform 1 MPLYDCVLLFKPHVQKELSMDLIRRVGKHVCSRNGVLTEMKSFGTVQLGYGIRKLDGRYYQGQLMQMTMMATPNFNKELHYLNKEDRLLRWLLVKHRDTKHGLEFLSEEDGNLELSKLPRGNIFNDVDEEEDDDEDEDEDDDDDDDDDEYDENQENSGEH >EOY19995 pep chromosome:Theobroma_cacao_20110822:10:24285348:24287155:1 gene:TCM_045397 transcript:EOY19995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1B/ribosomal protein S6 family protein isoform 1 MDLIRRVGKHVCSRNGVLTEMKSFGTVQLGYGIRKLDGRYYQGQLMQMTMMATPNFNKELHYLNKEDRLLRWLLVKHRDTKHGLEFLSEEDGNLELSKLPRGNIFNDVDEEEDDDEDEDEDDDDDDDDDEYDENQENSGEH >EOY19023 pep chromosome:Theobroma_cacao_20110822:10:8384375:8386121:-1 gene:TCM_043642 transcript:EOY19023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFTKGTDGVLRYGTKLYVPDGDGLRKEILEEAHMAAYMVHPGAIKMYQDLKEVYWWEGLKKNVAEFISKCLVCQQVKAKHQKPARLLQPLLVPEWKWEHIAIDFVMGLPRTSRGYDSIWIIVDRLTKSTHFFLVKTTYGAAQYARIYVDEIMRLHGIPISIVSSRGAQFTSRFWGKLQEALGIKLDFSTAFHPQTDGQSEWTIQTLEDMLRACVIDLGVKWDRYLPLVEFAYNNSFQVSIQMAPFEALYGWRCRSPIRWLEVGERKLLGLELVQDAFKKIHMIRQRMLSAQSRQK >EOY19506 pep chromosome:Theobroma_cacao_20110822:10:18234310:18243974:1 gene:TCM_044624 transcript:EOY19506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 34 MKINSWSRYHVITSGSLAPLNPTCHGSLKMFSDIGESSGSNRVIVFPSLISLEFSYNFLNRTLPSWLYTASSLKYISLSNNELNSDIKEFLYKSLEKIFLRNNKLKSPLPSSISQLVNLTHVSLSLNNLSDIVEFDMFSKLKNLQYLDLSYNCLSLNTNGTSADYTLPNLSSLYLSSCNVSEFPQFLKGLKRFHSLDLSKNRINGKIPKWMGDVAKDSLNLPIPSSTTNVFLTSNNSLSEEISYLICNADSLEFLDLSHNNLSGIIPQCFRNLSKGLSIGRFYSYSIGIAIKGLEIELVKIFILLTIIDLLNNEFQGEIPVIVRELNSLKGFNLSHNNLSGYIPTSLGNLIGLEWLDLFSNKLVGKVPEQLLDLTSLSFLILSKNELVGCIPLSKQFNTFENNSYEGNDGLCGLPLTRDCNNNEPTQQLSPSNL >EOY19650 pep chromosome:Theobroma_cacao_20110822:10:19470639:19474072:-1 gene:TCM_044787 transcript:EOY19650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase 2A, putative MEAGHGHPSSVSEEEHDHPKNHGPIFTPFQGFWFLPQLVPNIISFQKHFQALDDDIIVASMAKAGTTWLKALVFAVVNRTRYTLLSESPLNTATPHQLVPYFEMTLFNEDHGIPDLATIPSPRLFSTHLPYSVLAQSIKQSNARIVYVTRNPLDVIVSFWHFLRSKPEHANWPFEECFESCCRGEEYCGPFWDHVLGYWKEGLEKPNKILFLRYEDMKRDPTLQIKRIAEFLGLPFSMEEERDGVIKEIARFCSFSNLKNLEVNKTGRLLPFTPDNKHLFRKGEVSDHVNYLTPSAIERYGKILEEKLSGSGLAF >EOY20158 pep chromosome:Theobroma_cacao_20110822:10:25094170:25105507:1 gene:TCM_045544 transcript:EOY20158 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP/OAS1 substrate-binding domain superfamily, putative MEEFQESLSPSTSLSLSSTSSSPILHPSSPSSLSFSSCSISSSQSHPLSIDAELWLMAEQRIQEILCIIQPALVSEERRKSIIGYFQRLIKGYYGIEVLPFGSVPLKTFLPDGDIDLTALSHRKAEQKELARDICSILQNDRQDSEFLVQDVQYISAQVKIVKCTVNNIPVDISFNQTAGLSALCFLEKVDQFVAKDHLFKCSIILIKAWCYYESRILGAHHGLISTYALETLILYIINIFHSSLCGPLAVLYKFLDYYSTFDWENYCVSINGPVPLSSPSAVVAESPENDGDEPLLSQDFLRHCREMFSVPMQSLEIGAHAFPIKHLNIVDPLNENNNLGRSVGKGNFYRIRSALSFGAQRLREILMLPGENMGRGLENFFINTLGRNGRGQRPDVQIPVPAFGTGRSEESDLSGDYDGYYNGLIYSHRYHNNDLPATAQPSSVSSSSQTQKKSTWEALRQFVRCKRNIFYWKGTNVIIPSLSFSHPCAPQLPAAAFGIDKMAKSRGTGTYIPDMTHQSYRDMQSWTNQSYRDVKSWTHQSYRDMQSWTHQSYRDAQSWTHQSHRDTQSWVSTRNPKSATPGLLQNSPKETNANENSLDENSLETDHSGNGGSLDFDFTPEEFPLLPGTERTMTTHQSSQPAVKCPQAENCSGSLPGIKFGNFECSTPMGMHSPLPEQTDSRVRMPTTEKQKQKELCEFNEGRFLVKPLQLEDNDDFPPLLSM >EOY18075 pep chromosome:Theobroma_cacao_20110822:10:1985766:1990160:1 gene:TCM_042727 transcript:EOY18075 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT and BED zinc finger domain-containing protein, putative MASNLEPIPITSQKHDPAWKHCQMFRNGERVQLKCIYCGKIFRGGGIHRIKEHLAGQKGNASTCFHVPSDVRLLMRESLDGVEVKKRKKQKIAEEMSNANQVSSEIDTYDNQVDTNTGLLMIEGPDTLQPSSSLLVNREGTSNVSGDRRKRGKGKSSAAESNALVVNTVGLGAKRVNNHVHVAIGRFLFDIGAPLDAVNSVYFQPMVDAIISGGSGVLMPSCSDLQGWILKKSVEEVKSDNDKVTAAWVRTGCSILVNQWNTQTGRILLNFLVYCPEGTVFLKSVDASSVINSSDALYELLKQVVEEVGSKHVLQVITNAEEQYIVAGRRLAETFPTLYWTPCAAHCINLILEDFAKLEWINVIIEQARSITRFVYNHSVVLNMVRRYTLGNDIVEPAVTCSATNFTTLKQMIDLKNNLQAMVTSQEWMDCPYSKKPGGLEMLDLVSNPSFWSSSVLITQLTNPLLRVLRMVGSKKRPAMGYVYAGMYRAKETIKKELVKRNEYMIYWNIIDHWWEQQWHHPLHGAGFYLNPKFFYSMEGDMPNEMLSGMLDCIEKLVPDVKVQDKISKEINSYKNTVGDFGRKMAVRARDTLLPAEWWSTYGGSCPNLARLAIHVLSQTCSTLGLKQNSIPFEKLHETRNFLEQQRFRDLIFVQCNLQLRQIGCESKEQVSMQPMSFDATIEDWVMGNDAFLENYTHSDWTALDPLSVNTMLLGPSSDEVEELGAGFDDYEIFNGVKEQENAEDNVVG >EOY18263 pep chromosome:Theobroma_cacao_20110822:10:2580549:2587269:1 gene:TCM_042849 transcript:EOY18263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFNKREWPDYKRKEEWVTRLRRLMSIEVTWRAPWMPRMQVMYKCGDKPWVPLMGPWGAISYAPIMVRRQFGSEQFVPMTHQLDQLEFTYGEPETLKRIEEIAQDWKKTCRVDQGRVTDEVTTGYHTWHDQRVKNVIHPPKNPSKHPVNPEPQDVLLESELTRKRLEKEMMNMKRRHEDELEEVKKETARKVRVALKERDEWQSKFEEVSVANSSLLARIQELQSANNALQHEVQRKEQTIQELKNDCDMLETAMEGYKAQYEAVRQEYFQMRERNNSCAQSLQRKEAEMQWILRQMREVAFRARVMADKTEELRREILPKDELSERLISHLKMVRDQYDKVGFSF >EOY19212 pep chromosome:Theobroma_cacao_20110822:10:14252769:14262918:1 gene:TCM_044172 transcript:EOY19212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle triple-A ATPase 3 isoform 2 MAASAMVVEPKPLAEPPFPSTRSDLGQALAVDPTGTEEDDLYSRLKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAAEIAAIYQEEEMHAIQKKEPICHTSQGL >EOY19213 pep chromosome:Theobroma_cacao_20110822:10:14258985:14262543:1 gene:TCM_044172 transcript:EOY19213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle triple-A ATPase 3 isoform 2 MAASAMVVEPKPLAEPPFPSTRSDLGQALAVDPTGTEEDDLYSRLKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQASLHC >EOY19078 pep chromosome:Theobroma_cacao_20110822:10:9558651:9563734:1 gene:TCM_043753 transcript:EOY19078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like transcription factor protein, putative isoform 2 MPSVGMRRTTRVFRMVKSSEVARVLRSGRRLWPDSGEAKPKRLANEGDENYNLMKKAPKSEVNGVAAEVSGRPKRLGNEENPRKQSRKMKAGAFNTSGSVDKMFGIVYTRKRKRNGVQNGHLSGNSGQGNYGKKISRRQAIENRNTNEDVEEPKMFSFVVENGDCNGCFSNFLILVLGYVKRAEVRLSELAAFLMSQPISSVYSSNGVNFFWGPRNRTGICKFFGAKDSIPLFSLDFSAVPRYFLYMHYSKVLRLKRIQIVPVNSDEIVSDSEEDEPCVTSVVDVCKSTSGNAAVEIDNLGSKVVLHPSVRASKLTGRNAQCRNGLSSRSIQKRRSSLRRRRARNPSIVGIHKANGALMSDLISSRRNGIPFSSVVSKNKLRSSVRNSSVANVSDVGSSISDLMQNVDSSQCSANILVIEADRCYREEGAIVTLELSASREWLLVVKKGSSTKFACKADKFMRPSSCNRFTHAIIWTGDDNWKLEFPNRQDWIIFKDLYKECSERNVPASTVKAIPVPGVHEVPGYEDRRSVPFCRPDFYISLDGDEVSRALAKRTANYDMDSEDEEWLKKFNNEFFSGNGHCEHLSEDCFELMVDAFEKAYFCSPDDYSNENAAAHLCLDLGTRGLVEAVHTYWLRKRKQRRSALLRVFQGHQVKKAPLVPKPFLRKRRSFKRQASHGRGKQPYLLQGPRFRYNAETSIICNCAALAAERDSMAEQNAMLKLEEARVSASRSVELAVLKRQRTQLLMENADLATYKAMMALRIAEAARFTESSDVAVAHFFDL >EOY19077 pep chromosome:Theobroma_cacao_20110822:10:9558651:9563734:1 gene:TCM_043753 transcript:EOY19077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like transcription factor protein, putative isoform 2 MPSVGMRRTTRVFRMVKSSEVARVLRSGRRLWPDSGEAKPKRLANEGDENYNLMKKAPKSEVNGVAAEVSGRPKRLGNEENPRKQSRKMKAGAFNTSGSVDKMFGIVYTRKRKRNGVQNGHLSGNSGQGNYGKKISRRQAIENRNTNEDVEEPKMFSFVVENGDCNGCFSNFLILVLGYVKRAEVRLSELAAFLMSQPISSVYSSNGVNFFWGPRNRTGICKFFGAKDSIPLFSLDFSAVPRYFLYMHYSKVLRLKRIQIVPVNSDEIVSDSEEDEPCVTSVVDVCKSTSGNAAVEIDNLGSKVVLHPSVRASKLTGRNAQCRNGLSSRSIQKRRSSLRRRRARNPSIVGIHKANGALMSDLISSRRNGIPFSSVVSKNKLRSSVRNSSVANVSDVGSSISDLMQNVDSSQCSANILVIEADRCYREEGAIVTLELSASREWLLVVKKGSSTKFACKADKFMRPSSCNRFTHAIIWTGDDNWKLEFPNRQDWIIFKDLYKECSERNVPASTVKAIPVPGVHEVPGYEDRRSVPFCRPDFYISLDGDEVSRALAKRTANYDMDSEDEEWLKKFNNEFFSGNGHCEHLSEDCFELMVDAFEKAYFCSPDDYSNENAAAHLCLDLGTRGLVEAVHTYWLRKRKQRRSALLRVFQGHQVKKAPLVPKPFLRKRRSFKRQASHGRGKQPYLLQALAAERDSMAEQNAMLKLEEARVSASRSVELAVLKRQRTQLLMENADLATYKAMMALRIAEAARFTESSDVAVAHFFDL >EOY18475 pep chromosome:Theobroma_cacao_20110822:10:3562586:3577432:-1 gene:TCM_043038 transcript:EOY18475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo response regulator, putative isoform 8 MGIVQMNNNGPVANGLVELNTHIHDEHKKIRGGVIGEGQGLSVEEESWINEDVEDRNDGKTELVQVQGHAHGEQERSQQQPQGPLVHWERFLPLRSLKVLLVENDDSTRHVVCALLRNCGFEVTAVSNGLQAWKILEDLTNHIDLVLTEVVMPCLSGIGLLCKIMSHKTRMNIPVIMMSSHDSMSTVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSSSGGQSGTQTQKSSKSKGTDSDNNTGSNDEDDNGSVGLNVQDGSDNGSGTQSSWTKRAVEVDSSQPISPWDQLADPPHSTCAQVIHSRHEVLGDSWVPVTATREYDELDNELENVVMGKDLEIGVPKITASQLEDPSEKVMTNIAGVNKDKLSAINPKKDDEKLEKAQLELNSEKSGGDLRNQAADLIGVITNNTEPHIESAVFDIPNGLPKVSDAKEKVNYDTKEMPFLELSLKRLRDVGDTGTSAHERNVLRHSDLSAFSRYNSGSTANQAPTGNVGSCSPLDNSSEAVKTDSMKNFQSTSNSIPPKQQSNGSSNNNDMGSTTNNAFSKPAVLSDKPAPKTSAKSFHPSSAFQPVQSGHGSALQPVAQAAAPQCGSSNLSSLPHVEGNAANHSLTRSASGSNHGSNGQNGSSTVLNTRGMNLESENGVPGKGGAGGGIGSGGRNVVDQNRFAQREAALNKFRQKRKERCFEKKVFDIRAERNWLSRDHAFEDSLCDRSMKIKTGTQTANIQCLSLSVCKILHIRLALLGRKHSDFVVQDCALRDTKLRTLDQRPYSL >EOY18479 pep chromosome:Theobroma_cacao_20110822:10:3562670:3577470:-1 gene:TCM_043038 transcript:EOY18479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo response regulator, putative isoform 8 MGIVQMNNNGPVANGLVELNTHIHDEHKKIRGGVIGEGQGLSVEEESWINEDVEDRNDGKTELVQVQGHAHGEQERSQQQPQGPLVHWERFLPLRSLKVLLVENDDSTRHVVCALLRNCGFEVTAVSNGLQAWKILEDLTNHIDLVLTEVVMPCLSGIGLLCKIMSHKTRMNIPVIMMSSHDSMSTVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSSSGGQSGTQTQKSSKSKGTDSDNNTGSNDEDDNGSVGLNVQDGSDNGSGTQSSWTKRAVEVDSSQPISPWDQLADPPHSTCAQVIHSRHEVLGDSWVPVTATREYDELDNELENVVMGKDLEIGVPKITASQLEDPSEKVMTNIAGVNKDKLSAINPKKDDEKLEKAQLELNSEKSGGDLRNQAADLIGVITNNTEPHIESAVFDIPNGLPKVSDAKEKVNYDTKEMPFLELSLKRLRDVGDTGTSAHERNVLRHSDLSAFSRYNSGSTANQAPTGNVGSCSPLDNSSEAVKTDSMKNFQSTSNSIPPKQQSNGSSNNNDMGSTTNNAFSKPAVLSDKPAPKTSAKSFHPSSAFQPVQSGHGSALQPVAQGKADAALGNMILVKARGTDQQGKVQHHHHHYHHHHHHHVHNMLPNQKLGNHDDLSLENMAAAAPQCGSSNLSSLPHVEGNAANHSLTRSASGSNHGSNGQNGSSTVLNTRGMNLESENGVPGKGGAGGGIGSGGRNVVDQNRFAQREAALNKFRQKRKERCFEKKVRYQSRKKLAEQRPRIRGQFVRQVHENKNRDTNC >EOY18484 pep chromosome:Theobroma_cacao_20110822:10:3562360:3575824:-1 gene:TCM_043038 transcript:EOY18484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo response regulator, putative isoform 8 MGIVQMNNNGPVANGLVELNTHIHDEHKKIRGGVIGEGQGLSVEEESWINEDVEDRNDGKTELVQVQGHAHGEQERSQQQPQGPLVHWERFLPLRSLKVLLVENDDSTRHVVCALLRNCGFEVTAVSNGLQAWKILEDLTNHIDLVLTEVVMPCLSGIGLLCKIMSHKTRMNIPVIMMSSHDSMSTVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSSSGGQSGTQTQKSSKSKGTDSDNNTGSNDEDDNGSVGLNVQDGSDNGSGTQSSWTKRAVEVDSSQPISPWDQLADPPHSTCAQVIHSRHEVLGDSWVPVTATREYDELDNELENVVMGKDLEIGVPKITASQLEDPSEKVMTNIAGVNKDKLSAINPKKDDEKLEKAQLELNSEKSGGDLRNQAADLIGVITNNTEPHIESAVFDIPNGLPKVSDAKEKVNYDTKEMPFLELSLKRLRDVGDTGTSAHERNVLRHSDLSAFSRYNSGSTANQAPTGNVGSCSPLDNSSEAVKTDSMKNFQSTSNSIPPKQQSNGSSNNNDMGSTTNNAFSKPAVLSDKPAPKTSAKSSISEQKETG >EOY18477 pep chromosome:Theobroma_cacao_20110822:10:3562586:3577434:-1 gene:TCM_043038 transcript:EOY18477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo response regulator, putative isoform 8 MGIVQMNNNGPVANGLVELNTHIHDEHKKIRGGVIGEGQGLSVEEESWINEDVEDRNDGKTELVQVQGHAHGEQERSQQQPQGPLVHWERFLPLRSLKVLLVENDDSTRHVVCALLRNCGFEVTAVSNGLQAWKILEDLTNHIDLVLTEVVMPCLSGIGLLCKIMSHKTRMNIPVIMMSSHDSMSTVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSSSGGQSGTQTQKSSKSKGTDSDNNTGSNDEDDNGSVGLNVQDGSDNGSGTQSSWTKRAVEVDSSQPISPWDQLADPPHSTCAQVIHSRHEVLGDSWVPVTATREYDELDNELENVVMGKDLEIGVPKITASQLEDPSEKVMTNIAGVNKDKLSAINPKKDDEKLEKAQLELNSEKSGGDLRNQAADLIGVITNNTEPHIESAVFDIPNGLPKVSDAKEKVNYDTKEMPFLELSLKRLRDVGDTGTSAHERNVLRHSDLSAFSRYNSGSTANQAPTGNVGSCSPLDNSSEAVKTDSMKNFQSTSNSIPPKQQSNGSSNNNDMGSTTNNAFSKPAVLSDKPAPKTSAKSFHPSSAFQPVQSGHGSALQPVAQAAAPQCGSSNLSSLPHVEGNAANHSLTRSASGSNHGSNGQNGSSTVLNTRGMNLESENGVPGKGGAGGGIGSGGRNVVDQNRFAQREAALNKFRQKRKERCFEKKVRYQSRKKLAEQRPRIRGQFVRQVHENKNRDTNC >EOY18478 pep chromosome:Theobroma_cacao_20110822:10:3562586:3577434:-1 gene:TCM_043038 transcript:EOY18478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo response regulator, putative isoform 8 MGIVQMNNNGPVANGLVELNTHIHDEHKKIRGGVIGEGQGLSVEEESWINEDVEDRNDGKTELVQVQGHAHGEQERSQQQPQGPLVHWERFLPLRSLKVLLVENDDSTRHVVCALLRNCGFEVTAVSNGLQAWKILEDLTNHIDLVLTEVVMPCLSGIGLLCKIMSHKTRMNIPVIMMSSHDSMSTVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSSSGGQSGTQTQKSSKSKGTDSDNNTGSNDEDDNGSVGLNVQDGSDNGSGTQSSWTKRAVEVDSSQPISPWDQLADPPHSTCAQVIHSRHEVLGDSWVPVTATREYDELDNELENVVMGKDLEIGVPKITASQLEDPSEKVMTNIAGVNKDKLSAINPKKDDEKLEKAQLELNSEKSGGDLRNQAADLIGVITNNTEPHIESAVFDIPNGLPKVSDAKEKVNYDTKEMPFLELSLKRLRDVGDTGTSAHERNVLRHSDLSAFSRYNSGSTANQAPTGNVGSCSPLDNSSEAVKTDSMKNFQSTSNSIPPKQQSNGSSNNNDMGSTTNNAFSKPAVLSDKPAPKTSAKSFHPSSAFQPVQSGHGSALQPVAQAAPQCGSSNLSSLPHVEGNAANHSLTRSASGSNHGSNGQNGSSTVLNTRGMNLESENGVPGKGGAGGGIGSGGRNVVDQNRFAQREAALNKFRQKRKERCFEKKRFDIRAERNWLSRDHAFEDSLCDRSMKIKTGTQTANIQCLSLSVCKILHIRLALLGRKHSDFVVQDCALRDTKLRTLDQRPYSL >EOY18482 pep chromosome:Theobroma_cacao_20110822:10:3563389:3575675:-1 gene:TCM_043038 transcript:EOY18482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo response regulator, putative isoform 8 VELNTHIHDEHKKIRGGVIGEGQGLSVEEESWINEDVEDRNDGKTELVQVQGHAHGEQERSQQQPQGPLVHWERFLPLRSLKVLLVENDDSTRHVVCALLRNCGFEVTAVSNGLQAWKILEDLTNHIDLVLTEVVMPCLSGIGLLCKIMSHKTRMNIPVIMMSSHDSMSTVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSSSGGQSGTQTQKSSKSKGTDSDNNTGSNDEDDNGSVGLNVQDGSDNGSGTQSSWTKRAVEVDSSQPISPWDQLADPPHSTCAQVIHSRHEVLGDSWVPVTATREYDELDNELENVVMGKDLEIGVPKITASQLEDPSEKVMTNIAGVNKDKLSAINPKKDDEKLEKAQLELNSEKSGGDLRNQAADLIGVITNNTEPHIESAVFDIPNGLPKVSDAKEKVNYDTKEMPFLELSLKRLRDVGDTGTSAHERNVLRHSDLSAFSRYNSGSTANQAPTGNVGSCSPLDNSSEAVKTDSMKNFQSTSNSIPPKQQSNGSSNNNDMGSTTNNAFSKPAVLSDKPAPKTSAKSFHPSSAFQPVQSGHGSALQPVAQGKADAALGNMILVKARGTDQQGKVQHHHHHYHHHHHHHVHNMLPNQKLGNHDDLSLENMAAAAPQCGSSNLSSLPHVEGNAANHSLTRSASGSNHGSNGQNGSSTVLNTRGMNLESENGVPGKGGAGGGIGSGGRNVVDQNRFAQREAALNKFRQKRKERCFEKKVTVFDCDTGKYLFVKVGRCILLSTYII >EOY18476 pep chromosome:Theobroma_cacao_20110822:10:3562586:3577382:-1 gene:TCM_043038 transcript:EOY18476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo response regulator, putative isoform 8 MGIVQMNNNGPVANGLVELNTHIHDEHKKIRGGVIGEGQGLSVEEESWINEDVEDRNDGKTELVQVQGHAHGEQERSQQQPQGPLVHWERFLPLRSLKVLLVENDDSTRHVVCALLRNCGFEVTAVSNGLQAWKILEDLTNHIDLVLTEVVMPCLSGIGLLCKIMSHKTRMNIPVIMMSSHDSMSTVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSSSGGQSGTQTQKSSKSKGTDSDNNTGSNDEDDNGSVGLNVQDGSDNGSGTQSSWTKRAVEVDSSQPISPWDQLADPPHSTCAQVIHSRHEVLGDSWVPVTATREYDELDNELENVVMGKDLEIGVPKITASQLEDPSEKVMTNIAGVNKDKLSAINPKKDDEKLEKAQLELNSEKSGGDLRNQAADLIGVITNNTEPHIESAVFDIPNGLPKVSDAKEKVNYDTKEMPFLELSLKRLRDVGDTGTSAHERNVLRHSDLSAFSRYNSGSTANQAPTGNVGSCSPLDNSSEAVKTDSMKNFQSTSNSIPPKQQSNGSSNNNDMGSTTNNAFSKPAVLSDKPAPKTSAKSFHPSSAFQPVQSGHGSALQPVAQGKADAALGNMILVKARGTDQQGKVQHHHHHYHHHHHHHVHNMLPNQKLGNHDDLSLENMAAAAPQCGSSNLSSLPHVEGNAANHSLTRSASGSNHGSNGQNGSSTVLNTRGMNLESENGVPGKGGAGGGIGSGGRNVVDQNRFAQREAALNKFRQKRKERCFEKKVRYQSRKKLAEQRPRIRGQFVRQVHENKNRDTNC >EOY18481 pep chromosome:Theobroma_cacao_20110822:10:3562671:3575676:-1 gene:TCM_043038 transcript:EOY18481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo response regulator, putative isoform 8 VELNTHIHDEHKKIRGGVIGEGQGLSVEEESWINEDVEDRNDGKTELVQVQGHAHGEQERSQQQPQGPLVHWERFLPLRSLKVLLVENDDSTRHVVCALLRNCGFEVTAVSNGLQAWKILEDLTNHIDLVLTEVVMPCLSGIGLLCKIMSHKTRMNIPVIMMSSHDSMSTVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSSSGGQSGTQTQKSSKSKGTDSDNNTGSNDEDDNGSVGLNVQDGSDNGSGTQSSWTKRAVEVDSSQPISPWDQLADPPHSTCAQVIHSRHEVLGDSWVPVTATREYDELDNELENVVMGKDLEIGVPKITASQLEDPSEKVMTNIAGVNKDKLSAINPKKDDEKLEKAQLELNSEKSGGDLRNQAADLIGVITNNTEPHIESAVFDIPNGLPKVSDAKEKVNYDTKEMPFLELSLKRLRDVGDTGTSAHERNVLRHSDLSAFSRYNSGSTANQAPTGNVGSCSPLDNSSEAVKTDSMKNFQSTSNSIPPKQQSNGSSNNNDMGSTTNNAFSKPAVLSDKPAPKTSAKSFHPSSAFQPVQSGHGSALQPVAQAAPQCGSSNLSSLPHVEGNAANHSLTRSASGSNHGSNGQNGSSTVLNTRGMNLESENGVPGKGGAGGGIGSGGRNVVDQNRFAQREAALNKFRQKRKERCFEKKVTVFDCDTGKYLFVKVGRCILLSTYII >EOY18474 pep chromosome:Theobroma_cacao_20110822:10:3562147:3577596:-1 gene:TCM_043038 transcript:EOY18474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo response regulator, putative isoform 8 MGIVQMNNNGPVANGLVELNTHIHDEHKKIRGGVIGEGQGLSVEEESWINEDVEDRNDGKTELVQVQGHAHGEQERSQQQPQGPLVHWERFLPLRSLKVLLVENDDSTRHVVCALLRNCGFEVTAVSNGLQAWKILEDLTNHIDLVLTEVVMPCLSGIGLLCKIMSHKTRMNIPVIMMSSHDSMSTVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSSSGGQSGTQTQKSSKSKGTDSDNNTGSNDEDDNGSVGLNVQDGSDNGSGTQSSWTKRAVEVDSSQPISPWDQLADPPHSTCAQVIHSRHEVLGDSWVPVTATREYDELDNELENVVMGKDLEIGVPKITASQLEDPSEKVMTNIAGVNKDKLSAINPKKDDEKLEKAQLELNSEKSGGDLRNQAADLIGVITNNTEPHIESAVFDIPNGLPKVSDAKEKVNYDTKEMPFLELSLKRLRDVGDTGTSAHERNVLRHSDLSAFSRYNSGSTANQAPTGNVGSCSPLDNSSEAVKTDSMKNFQSTSNSIPPKQQSNGSSNNNDMGSTTNNAFSKPAVLSDKPAPKTSAKSFHPSSAFQPVQSGHGSALQPVAQGKADAALGNMILVKARGTDQQGKVQHHHHHYHHHHHHHVHNMLPNQKLGNHDDLSLENMAAAAPQCGSSNLSSLPHVEGNAANHSLTRSASGSNHGSNGQNGSSTVLNTRGMNLESENGVPGKGGAGGGIGSGGRNVVDQNRFAQREAALNKFRQKRKERCFEKKVRYQSRKKLAEQRPRIRGQFVRQISTTGKEAFRFRGAGLCT >EOY18483 pep chromosome:Theobroma_cacao_20110822:10:3563582:3577595:-1 gene:TCM_043038 transcript:EOY18483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo response regulator, putative isoform 8 MSSHDSMSTVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSSSGGQSGTQTQKSSKSKGTDSDNNTGSNDEDDNGSVGLNVQDGSDNGSGTQSSWTKRAVEVDSSQPISPWDQLADPPHSTCAQVIHSRHEVLGDSWVPVTATREYDELDNELENVVMGKDLEIGVPKITASQLEDPSEKVMTNIAGVNKDKLSAINPKKDDEKLEKAQLELNSEKSGGDLRNQAADLIGVITNNTEPHIESAVFDIPNGLPKVSDAKEKVNYDTKEMPFLELSLKRLRDVGDTGTSAHERNVLRHSDLSAFSRYNSGSTANQAPTGNVGSCSPLDNSSEAVKTDSMKNFQSTSNSIPPKQQSNGSSNNNDMGSTTNNAFSKPAVLSDKPAPKTSAKSFHPSSAFQPVQSGHGSALQPVAQGKADAALGNMILVKARGTDQQGKVQHHHHHYHHHHHHHVHNMLPNQKLGNHDDLSLENMAAAAPQCGSSNLSSLPHVEGNAANHSLTRSASGSNHGSNGQNGSSTVLNTRGMNLESENGVPGKGGAGG >EOY18480 pep chromosome:Theobroma_cacao_20110822:10:3563389:3575675:-1 gene:TCM_043038 transcript:EOY18480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo response regulator, putative isoform 8 VELNTHIHDEHKKIRGGVIGEGQGLSVEEESWINEDVEDRNDGKTELVQVQGHAHGEQERSQQQPQGPLVHWERFLPLRSLKVLLVENDDSTRHVVCALLRNCGFEVTAVSNGLQAWKILEDLTNHIDLVLTEVVMPCLSGIGLLCKIMSHKTRMNIPVIMMSSHDSMSTVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSSSGGQSGTQTQKSSKSKGTDSDNNTGSNDEDDNGSVGLNVQDGSDNGSGTQSSWTKRAVEVDSSQPISPWDQLADPPHSTCAQVIHSRHEVLGDSWVPVTATREYDELDNELENVVMGKDLEIGVPKITASQLEDPSEKVMTNIAGVNKDKLSAINPKKDDEKLEKAQLELNSEKSGGDLRNQAADLIGVITNNTEPHIESAVFDIPNGLPKVSDAKEKVNYDTKEMPFLELSLKRLRDVGDTGTSAHERNVLRHSDLSAFSRYNSGSTANQAPTGNVGSCSPLDNSSEAVKTDSMKNFQSTSNSIPPKQQSNGSSNNNDMGSTTNNAFSKPAVLSDKPAPKTSAKSFHPSSAFQPVQSGHGSALQPVAQAAAPQCGSSNLSSLPHVEGNAANHSLTRSASGSNHGSNGQNGSSTVLNTRGMNLESENGVPGKGGAGGGIGSGGRNVVDQNRFAQREAALNKFRQKRKERCFEKKVTVFDCDTGKYLFVKVGRCILLSTYII >EOY19538 pep chromosome:Theobroma_cacao_20110822:10:18561763:18581302:-1 gene:TCM_044669 transcript:EOY19538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVVPAVALAVQHTPGVSVPGWQLHGNLVSRTHETRALVSTPFQFHRNWRPLIYTKRHKTMAATVPKTPQSAAKNPEYTFVNFTNSSGQTLVLDEHVIWKRASGLPTPIHKPYQFSHIAEKLSYHRLIGSVVGLKFHIRDSNCTLIVAWSHTSVSNKVYAEILPAGIVKNWSQIKKSLAYSKSQFDTYNNKSKSQHGYRCILEIHPTSGTPTVKATFTKAL >EOY20046 pep chromosome:Theobroma_cacao_20110822:10:24547437:24552909:1 gene:TCM_045445 transcript:EOY20046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase isoform 2 MSCSSVSSFTSSLFVPPKKSFSNHKRRFIPCSRGGTVVEPKATATAEPLLLNAVRGQDVERPPVWLMRQAGRYMKSYQIICEKYPSFRERSENVDLVVEISLQPWNVFKPDGVILFSDILTPLSGMNIPFNIVKGKGPVIFDPLGSAADVDKVREFNPEESVPYVGEALTILRREVDNEAAVLGFVGAPFTLASYVVEGGSSKNFTKIKRLAFSQPKVLHSLLQKFATSMANYIQYQADNGAQVVQIFDSWATELSPVDFEEFSLPYLKQIVDSVKQTHPDLPLILYASGSGGLLERLALTGVDVVSLDWTVDMAEGRKRLGHDIAVQGNVDPGVLFGSKEFITNRINDTVRKAGKGKHVLNLGHGIKVGTPEENVAHFFEVAKAIRY >EOY20047 pep chromosome:Theobroma_cacao_20110822:10:24547696:24552680:1 gene:TCM_045445 transcript:EOY20047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase isoform 2 MRQAGRYMKSYQIICEKYPSFRERSENVDLVVEISLQPWNVFKPDGVILFSDILTPLSGMNIPFNIVKGKGPVIFDPLGSAADVDKVREFNPEESVPYVGEALTILRREVDNEAAVLGFVGAPFTLASYVVEGGSSKNFTKIKRLAFSQPKVLHSLLQKFATSMANYIQYQADNGAQVVQIFDSWATELSPVDFEEFSLPYLKQIVDSVKQTHPDLPLILYASGSGGLLERLALTGVDVVSLDWTVDMAEGRKRLGHDIAVQGNVDPGVLFGSKEFITNRINDTVRKAGKGKHVLNLGHGIKVGTPEENVAHFFEVAKAIRY >EOY20049 pep chromosome:Theobroma_cacao_20110822:10:24548905:24552680:1 gene:TCM_045445 transcript:EOY20049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase isoform 2 MRQAGRYMKSYQIICEKYPSFRERSENVDLVVEISLQPWNVFKPDGVILFSDILTPLSGMNIPFNIVKGKGPVIFDPLGSAADVDKVREFNPEESVPYVGEALTILRREVLHSLLQKFATSMANYIQYQADNGAQVVQIFDSWATELSPVDFEEFSLPYLKQIVDSVKQTHPDLPLILYASGSGGLLERLALTGVDVVSLDWTVDMAEGRKRLGHDIAVQGNVDPGVLFGSKEFITNRINDTVRKAGKGKHVLNLGHGIKVGTPEENVAHFFEVAKAIRY >EOY20048 pep chromosome:Theobroma_cacao_20110822:10:24547655:24552707:1 gene:TCM_045445 transcript:EOY20048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase isoform 2 MNIPFNIVKGKGPVIFDPLGSAADVDKVREFNPEESVPYVGEALTILRREVDNEAAVLGFVGAPFTLASYVVEGGSSKNFTKIKRLAFSQPKVLHSLLQKFATSMANYIQYQADNGAQVVQIFDSWATELSPVDFEEFSLPYLKQIVDSVKQTHPDLPLILYASGSGGLLERLALTGVDVVSLDWTVDMAEGRKRLGHDIAVQGNVDPGVLFGSKEFITNRINDTVRKAGKGKHVLNLGHGIKVGTPEENVAHFFEVAKAIRY >EOY17580 pep chromosome:Theobroma_cacao_20110822:10:244978:247922:1 gene:TCM_042374 transcript:EOY17580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein MPPKSLPAKTPKPYFFYGHRKPSQNRPVVYGGLFSNRQILKTPPTPPQPSPPFDLRKWDPYYLSQNPSPPSTPNPYQNRKLSPIARFIVDAFRKNQYTWGPTVVFELNKLRRVTASLVAEVLKVENDPVLASKFFHWAGKQKGFKHNFASYNALAYCLNRNGRFRAADQLPELMDSQGKQPTEKQFEILIRMHADNNRGQRVYYVYQKMKNFGIKPRVFLYNRIMDALVKTGYLDLALSVYEDFRGDGLVEESITFMILIKGLCKAGRIEEMLEVLGRMREKLCKPDVFAYTAMVRILVSEKNLDGCLLVWEEMERDGVEPDVMAYVTLVTGLCKGGRVQRGYELFREMKDKGILIDRATYGVLIEGFVKDGKVGSACDLLKDLVDSGYRADLGIYNSLIEGLCDARRVDRAYKLFQVTVQEGLEPEFATVNPMLVAFAEMRRMNDFCKLLEQMQKLGFSVIDDLSKFFSFVVGKEERTVLAIQVFDELKVKGYTGVPIYNILMEALRKTGKVKQALSLFQEMKGLNFEPDSSTYGTAIICFVEDENIKEACVCHNNIIEMSCVPSIDAYYSLAKGLCKIGEIDAAMMLVRDCLGNVTNGPMAFKYALTVLHACKSGGETVTEVLNEMMQEGWPPDNIIYSAIISGMCKYGTIEEARKVFANLRTRKLLTEANTIVYDEILIEHMEKKAAELVLSGLKFFGLESKLKAKGSTLLSR >EOY18309 pep chromosome:Theobroma_cacao_20110822:10:2887547:2888560:1 gene:TCM_042903 transcript:EOY18309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDESLKRATQEGNIVELYASIQRDGNVLRQIDEMEFVDTPLHIAAAEGCFDFAMEVMILKPSFARKLNQQGLSPIHLAWKRGVKTKF >EOY20262 pep chromosome:Theobroma_cacao_20110822:10:25402375:25404181:1 gene:TCM_045619 transcript:EOY20262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MFPDMLALQSLFFSICSNIDGITGFSEEDTKSVFSFMKSTVELAKSGDSLVSNPTIIVDPSVKLIIASACDEVCSWHMQTDKAKTETCCFKQLETFTSHADANRIARDITMVSNGSINNLQQCYTAVSCLKPRQWAQQPLHTSPCYWHPL >EOY20263 pep chromosome:Theobroma_cacao_20110822:10:25401313:25404139:1 gene:TCM_045619 transcript:EOY20263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKSTVELAKSGDSLVSNPTIIVDPSVKLIIASACDEVCSWHMQTDKAKTETCCFKQLETFTSHADANRIARDITMVSNGSINNLQQCYTAVSCLKPRQWAQQPLHTSPCYWHPL >EOY20264 pep chromosome:Theobroma_cacao_20110822:10:25401277:25404181:1 gene:TCM_045619 transcript:EOY20264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKSTVELAKSGDSLVSNPTIIVDPSVKLIIASACDEVCSWHMQTDKAKTETCCFKQLETFTSHADANRIARDITMVSNGSINNLQQCYTAVSCLKPRQWAQQPLHTSPCYWHPL >EOY19346 pep chromosome:Theobroma_cacao_20110822:10:16583356:16590444:1 gene:TCM_044419 transcript:EOY19346 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance-like protein MIDCHKSKRIEERQQPISVEIETHVSGRDKDKEVLLELLLKSDDEGNFVIPIIGMAGIGKTTLAQLVYNDTCVQNHFDLKAWVCVSDDFDITRITKAILQSVSFEPSNDNNMTSLEEKLKKNLSEKKFLTVLDDVWNENYHNWTILQSPFLTRIPGSKVVVTTRNLDVSATMGASHAHSLKVLSEDDCLSVFAQHALGSTNFGGHPNLEKVAKKIVRKCNEGFLQEARDKQHIKDLGHKYFRDLLSRSLLQISNKDNSRFVMHDLINDLAQSVAGEICFRIEGDKKISKQARHLSYIGSKYDAIKKFKGICEAKHCRTFLPLRLSNNRCCYVTNNVLTYLLPDLRCLRVLSLRGYQITMLPDFIKDLKHLWYLDFSETFIKSLPESVSTFYNLETLLLMGCEKLEKLPTEMEHLVNLCHLNIICAHRLEGMPSNFGTLTDLQTLSNFVVGKGEGYQIRELKNSSNLKGQLCISGLKNVTETQDAWKVKLQDKAGLDELELEWSGDFDNRTEEVEKKVLDLLQPSKKLKKLAIKNYCGATLAKWVGDSSFNNLLSFCLKDCPNCMSLPSIGKLPLLKEVRIKGLDSVSDVGVEFFGENKPNAFPSLEILQFEDMPKWEKWSFCEVDEEARRFPRLRELRITNCPQLLGTMPKCLPSLEMLVIHVCEKLVISVSSLPMLSVLEIEGCDKVLYKGFADQTSLKRVSFSKLSKFICVAEWLVLGSVRVKSLELCSLRENNWGLLTQSMSLCNMTIQNWPQLFSIGADEEREQLMQLKIPCRVEQMKIWK >EOY17738 pep chromosome:Theobroma_cacao_20110822:10:698990:708673:-1 gene:TCM_042478 transcript:EOY17738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin, heavy chain isoform 3 MLGLVTQTTVYHWSIEGDSEPTKMFERTANLVNNQIINYKCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSTLISFATKTFNAGQITSKLHVIELGAQPGKPSFSKKQADLFFPPDFQDDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASSVGGFYSINRRGQVLLATVNDATIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGSPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYTELPDVKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRANLQIIVQVAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDADLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIQAAEDGDVYPDLVRYLLMVRQKVKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDEDLYEAAKIIFAFISNWAKLAVTLVRLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPEAWDHMQFKDIAVKVANVELYYKAVHFYLQEHPDLINDMLNVLALRVDHTRVVDIMRKRLVICVL >EOY17735 pep chromosome:Theobroma_cacao_20110822:10:699582:710415:-1 gene:TCM_042478 transcript:EOY17735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin, heavy chain isoform 3 MAAANAPIAMKEVLTLPSIGINPQFITFTNVTMESDKYICVRETAPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIEMKAKMKSHQMPEQVVFWKWISPKMLGLVTQTTVYHWSIEGDSEPTKMFERTANLVNNQIINYKCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSTLISFATKTFNAGQITSKLHVIELGAQPGKPSFSKKQADLFFPPDFQDDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASSVGGFYSINRRGQVLLATVNDATIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGSPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYTELPDVKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRANLQIIVQVAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDADLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIQAAEDGDVYPDLVRYLLMVRQKVKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDEDLYEAAKIIFAFISNWAKLAVTLVRLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPEAWDHMQFKDIAVKVANVELYYKAVHFYLQEHPDLINDMLNVLALRVDHTRVVDIMRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDFHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGDRELAEELLVYFIEQKECFASCLFVCYDLIRPDVTLELAWMNNMIDFAFPYLLQFIREYTGKVDELIKYKIEAQIEEKAKEQEEKEVIAQQNMYAQLLPLALPAPPMP >EOY17734 pep chromosome:Theobroma_cacao_20110822:10:698726:711881:-1 gene:TCM_042478 transcript:EOY17734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin, heavy chain isoform 3 MAAANAPIAMKEVLTLPSIGINPQFITFTNVTMESDKYICVRETAPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIEMKAKMKSHQMPEQVVFWKWISPKMLGLVTQTTVYHWSIEGDSEPTKMFERTANLVNNQIINYKCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSTLISFATKTFNAGQITSKLHVIELGAQPGKPSFSKKQADLFFPPDFQDDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASSVGGFYSINRRGQVLLATVNDATIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGSPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYTELPDVKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRANLQIIVQVAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDADLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIQAAEDGDVYPDLVRYLLMVRQKVKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDEDLYEAAKIIFAFISNWAKLAVTLVRLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPEAWDHMQFKDIAVKVANVELYYKAVHFYLQEHPDLINDMLNVLALRVDHTRVVDIMRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDFHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGDRELAEELLVYFIEQGKKECFASCLFVCYDLIRPDVTLELAWMNNMIDFAFPYLLQFIREYTGKVDELIKYKIEAQIEEKAKEQEEKEVIAQQNMYAQLLPLALPAPPMPGMGGSTMGGVGMPPPPMGGMGMPPMPPFGMPPMGSY >EOY17737 pep chromosome:Theobroma_cacao_20110822:10:699247:710394:-1 gene:TCM_042478 transcript:EOY17737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin, heavy chain isoform 3 MAAANAPIAMKEVLTLPSIGINPQFITFTNVTMESDKYICVRETAPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIEMKAKMKSHQMPEQVVFWKWISPKMLGLVTQTTVYHWSIEGDSEPTKMFERTANLVNNQIINYKCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSTLISFATKTFNAGQITSKLHVIELGAQPGKPSFSKKQADLFFPPDFQDDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASSVGGFYSINRRGQVLLATVNDATIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGSPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYTELPDVKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRANLQIIVQVAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDADLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIQAAEDGDVYPDLVRYLLMVRQKVKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDEDLYEAAKIIFAFISNWAKLAVTLVRLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPEAWDHMQFKDIAVKVANVELYYKAVHFYLQEHPDLINDMLNVLALLNPTRCYP >EOY17736 pep chromosome:Theobroma_cacao_20110822:10:699246:710335:-1 gene:TCM_042478 transcript:EOY17736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin, heavy chain isoform 3 MAAANAPIAMKEVLTLPSIGINPQFITFTNVTMESDKYICVRETAPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIEMKAKMKSHQMPEQVVFWKWISPKMLGLVTQTTVYHWSIEGDSEPTKMFERTANLVNNQIINYKCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSTLISFATKTFNAGQITSKLHVIELGAQPGKPSFSKKQADLFFPPDFQDDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASSVGGFYSINRRGQVLLATVNDATIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGSPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYTELPDVKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRANLQIIVQVAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDADLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIQAAEDGDVYPDLVRYLLMVRQKVKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDEDLYEAAKIIFAFISNWAKLAVTLVRLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPEAWDHMQFKDIAVKVANVELYYKAVHFYLQEHPDLINDMLNVLALRVDHTRVVDIMRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDFHDNFDQIGLAQKSSTRLRLKLKKRLKSRKRRR >EOY17739 pep chromosome:Theobroma_cacao_20110822:10:699597:708345:-1 gene:TCM_042478 transcript:EOY17739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin, heavy chain isoform 3 MQLFSVDQQRSQALEAHAASFAQFKVPGNENPSTLISFATKTFNAGQITSKLHVIELGAQPGKPSFSKKQADLFFPPDFQDDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASSVGGFYSINRRGQVLLATVNDATIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGSPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYTELPDVKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRANLQIIVQVAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDADLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIQAAEDGDVYPDLVRYLLMVRQKVKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDEDLYEAAKIIFAFISNWAKLAVTLVRLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTLVICVL >EOY19001 pep chromosome:Theobroma_cacao_20110822:10:7762805:7765799:1 gene:TCM_043585 transcript:EOY19001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLLMGQAMDERSLLGPSGLYPFGTMHWSYPITKWDVTICDIALKVCNKSKNEDSGETPKSIKSKGDGIFTPKASTKSKQHTSRAAKSKQEPSKISSNSKGKSLKSGGNSNSNGSG >EOY18162 pep chromosome:Theobroma_cacao_20110822:10:2220549:2221418:-1 gene:TCM_042776 transcript:EOY18162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQKFGAAKQPTGTPSLAWSCVVVIVSLLAGASVVHNVYKPNLTLPPVENVDEAKKKQPENKE >EOY17727 pep chromosome:Theobroma_cacao_20110822:10:685619:686573:1 gene:TCM_042473 transcript:EOY17727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLSFCLKVYAFGFQLLDGCYAKGKILGAVKWVIDDYVCHEYRGQFVLKLEPIHCFVACTFHGENHCLGPSRT >EOY18508 pep chromosome:Theobroma_cacao_20110822:10:3656803:3661365:1 gene:TCM_043051 transcript:EOY18508 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 domain-containing transcription factor, putative isoform 2 MLDLNLDVVSCESSCDENKMLMNGDKLPGGVTLTHMEDSGSTNSSIINAEEVPSNAGDENSSNNDASALIFDILKKETDETTTTTITTNAEKVENPSPDFVTRQLFPVTGEKGGLELEFRASFGTKSSARPQWLNLSYAEASREAELKTVPLKPQPRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSEYEEEMKQMKNMSKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDMAAMKCNGREAVTNFDPSTYEGEITNSLGGSSHNLDLSLGISAPSVAQNASSNAGDFHFQHAASARERPVFESSAPTSTGVEPQHGLTIGSKHPPILSGVYPGLLPNNEERGMEKRIEAVSTTRFSNWAWQMQGNGNITATPVIPIAASSGFSSSSATALSTAFPFSNSVQNVCLLTPATSPNNNSYYFSYRS >EOY18509 pep chromosome:Theobroma_cacao_20110822:10:3657125:3660647:1 gene:TCM_043051 transcript:EOY18509 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 domain-containing transcription factor, putative isoform 2 MLDLNLDVVSCESSCDENKMLMNGDKLPGGVTLTHMEDSGSTNSSIINAEEVPSNAGDENSSNNDASALIFDILKKETDETTTTTITTNAEKVENPSPDFVTRQLFPVTGEKGGLELEFRASFGTKSSARPQWLNLSYAEASREAELKTVPLKPQPRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSEYEEEMKQMKNMSKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDMAAMKCNGREAVTNFDPSTYEGEITNSLGGSSHNLDLSLGISAPSVAQNASSNAGDFHFQHAASARERPVV >EOY18612 pep chromosome:Theobroma_cacao_20110822:10:3989437:4000559:-1 gene:TCM_043114 transcript:EOY18612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MALTTSLTAAVPLTAFRDNRGVVKTTTYRMKQRQRGKQQEQQRQVQAVFGNFSHFGDAVRRDVEFLKKGVKRGAEWASETFRVPQVKKALDDVVWLRNLEDPHFSPPAQPPPWPQPYYPELSGLDLMMADLKALEAYVSYYYYQSKKWSKPLPEAYNAEEVVDYFSRRPHVVAFRLLEVFSSFASAAIRIRMSGIKKSLRPGSAKGIDENFAQYNFGMVLKETMLSLGPTFIKVGQSLSTRPDIIGPEISKALSELHDQIPPFPRPMAMKIIEEDLGSPVGSFFTYISKEPVAAASFGQVYRGCTLDGFDVAVKVQRPNLRHVVVRDIYILRLGLGLLQKIAKRKNDPRLYADELGKGLVGELDYTLEAANASEFLDAHSRFSFMQVPKVFKELTRKRILTMEWMVGESPTDLLSGSTSNPINHGSKYLERQRVDAKRRLLDLVNKGVEASLTQLLETGLLHADPHPGNLRYMASGQIGFLDFGLLCRMEKKHQFAMLASIVHIVNGDWSSLIEALTEMDVVRPGTNTRRITMDLEDALGEVEFKDGIPDVKFSRVLGKIWTVALKYHFRMPPYYTLVLRSLASLEGLAVAADPGFKTFEAAYPYVVRKLLTENSAATRKILHSVVLNKKKEFRWERMALFLRVGATRKTLQWVVASSGETSIDNLPNGTNGVFDVAYLLLRLLPSKDGVVLRRLIMTADGASLVRAVVSKEAKVFRFQLCRIIADILCQWMFESLGQIVPASQYSYHLRLAGGPENRELGPSSRLFTPTYDYQSLLKDRRLKVIFFKILNSARKEPALMLRFYWTSFVMFIAASALAFHRLLISLSEAHLGTLPFAPKRFAMST >EOY18613 pep chromosome:Theobroma_cacao_20110822:10:3985893:3998036:-1 gene:TCM_043114 transcript:EOY18613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MAMKIIEEDLGSPVGSFFTYISKEPVAAASFGQVYRGCTLDGFDVAVKVQRPNLRHVVVRDIYILRLGLGLLQKIAKRKNDPRLYADELGKGLVGELDYTLEAANASEFLDAHSRFSFMQVPKVFKELTRKRILTMEWMVGESPTDLLSGSTSNPINHGSKYLERQRVDAKRRLLDLVNKGVEASLTQLLETGLLHADPHPGNLRYMASGQIGFLDFGLLCRMEKKHQFAMLASIVHIVNGDWSSLIEALTEMDVVRPGTNTRRITMDLEDALGEVEFKDGIPDVKFSRVLGKIWTVALKYHFRMPPYYTLVLRSLASLEGLAVAADPGFKTFEAAYPYVVRKLLTENSAATRKILHSVVLNKKKEFRWERMALFLRVGATRKTLQWVVASSGETSIDNLPNGTNGVFDVAYLLLRLLPSKDGVVLRRLIMTADGASLVRAVVSKEAKVFRFQLCRIIADILCQWMFESLGQIVPASQYSYHLRLAGGPENRELGPSSRLFTPTYDYQSLLKDRRLKVIFFKILNSARKEPALMLRFYWTSFVMFIAASALAFHRLLISLSEAHLGTLPFAPKRFAMST >EOY18614 pep chromosome:Theobroma_cacao_20110822:10:3987627:4000256:-1 gene:TCM_043114 transcript:EOY18614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MALTTSLTAAVPLTAFRDNRGVVKTTTYRMKQRQRGKQQEQQRQVQAVFGNFSHFGDAVRRDVEFLKKGVKRGAEWASETFRVPQVKKALDDVVWLRNLEDPHFSPPAQPPPWPQPYYPELSGLDLMMADLKALEAYVSYYYYQSKKWSKPLPEAYNAEEVVDYFSRRPHVVAFRLLEVFSSFASAAIRIRMSGIKKSLRPGSAKGIDENFAQYNFGMVLKETMLSLGPTFIKVGQSLSTRPDIIGPEISKALSELHDQIPPFPRPMAMKIIEEDLGSPVGSFFTYISKEPVAAASFGQVYRGCTLDGFDVAVKVQRPNLRHVVVRDIYILRLGLGLLQKIAKRKNDPRLYADELGKGLVGELDYTLEAANASEFLDAHSRFSFMQVPKVFKELTRKRILTMEWMVGESPTDLLSGSTSNPINHGSKYLERQRVDAKRRLLDLVNKGVEASLTQLLETGLLHADPHPGNLRYMASGQIGFLDFGLLCRMEKKHQFAMLASIVHIVNGDWSSLIEALTEMDVVRPGTNTRRITMDLEDALGEVEFKDGIPDVKFSRVLGKIWTVALKYHFRMPPYYTLVLRSLASLEGLAVAADPGFKTFEAAYPYVVRKLLTENSAATRKILHSVVLNKKKEFRWERMALFLRVGATRKTLQWVVASSGETSIDNLPNGTNGVFDVAYLLLRLLPSKDGVVLRRLIMTADGASLVRAVVSKEAKVFRFQLCRIIADILCQWMFESLGQIVPASQYSYHLRLAGGPENRELGPSSRLFTPTYDYQSLLKDRRLKVIFFKILNSARKEPALMLRFYWTSFVMFIAASALAFHRLLISLSEAHLGTLPFAPKRFAMST >EOY17789 pep chromosome:Theobroma_cacao_20110822:10:875802:876992:-1 gene:TCM_042508 transcript:EOY17789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMLFAKTLTETDVQKRLSIPFTCLKDFEFHGSNSAQLRVEDQNGSIWSFALSTRKNGHPKPIFSGGWRQFVRCGHLCEGDRVEFYKDTKENGFYRIQVQRCIKLFGKKCWVALPLDQRRVNFEEGTEAAAAAEAEAKEEESKKNT >EOY17827 pep chromosome:Theobroma_cacao_20110822:10:1006190:1009342:1 gene:TCM_042536 transcript:EOY17827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative isoform 1 MGRLFVVNLEGKVYSCKHCKTHLALCEDIVSKSFHCRHGKAYLFSKVVNVSSGEKEDRHMMTGLHTVADIFCVGCGSIVGWKYETAHDKNQKYKEGKSVLERFKVSGPDGSHYWVSHGAHVGGSDADDV >EOY17825 pep chromosome:Theobroma_cacao_20110822:10:1006104:1009319:1 gene:TCM_042536 transcript:EOY17825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative isoform 1 MGRLFVVNLEGKVYSCKHCKTHLALCEDIVSKSFHCRHGKAYLFSKVVNVSSGEKEDRHMMTGLHTVADIFCVGCGSIVGWKYETAHDKNQKYKEGKSVLERFKVSGPDGSHYWVSHGAHVGGSDADDV >EOY17828 pep chromosome:Theobroma_cacao_20110822:10:1006341:1009408:1 gene:TCM_042536 transcript:EOY17828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative isoform 1 MGRLFVVNLEGKVYSCKHCKTHLALCEDIVSKSFHCRHGKAYLFSKVVNVSSGEKEDRHMMTGLHTVADIFCVGCGSIVGWKYETAHDKNQKYKEGKSVLERSLVLTEAITGSAMAPMLVGVMQMMFD >EOY17826 pep chromosome:Theobroma_cacao_20110822:10:1006188:1009336:1 gene:TCM_042536 transcript:EOY17826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative isoform 1 MGRLFVVNLEGKVYSCKHCKTHLALCEDIVSKSFHCRHGKAYLFSKVVNVSSGEKEDRHMMTGLHTVADIFCVGCGSIVGWKYETAHDKNQKYKEGKSVLERFKVSGPDGSHYWVSHGAHVGGSDADDV >EOY17824 pep chromosome:Theobroma_cacao_20110822:10:1006325:1009342:1 gene:TCM_042536 transcript:EOY17824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative isoform 1 MGRLFVVNLEGKVYSCKHCKTHLALCEDIVSKSFHCRHGKAYLFSKVVNVSSGEKEDRHMMTGLHTVADIFCVGCGSIVGWKYETAHDKNQKYKEGKSVLERFKVSGPDGSHYWVSHGAHVGGSDADDV >EOY18473 pep chromosome:Theobroma_cacao_20110822:10:3559042:3561776:1 gene:TCM_043037 transcript:EOY18473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator protein 6, putative isoform 1 MNQRSSNLLDEALGLDQSIEPWPLRGRIVAIEDQVETSGSFVLHHILKRSLSPNSSNVSILIAFSQPFSHYDRVLRKLGCNLVTQRDNNRFFFFDMLMLQCPGGDEGISPECGLIALYGKIYKTIVALPEVSSKNVTIIIDDLSLMEVAANGSSDYVLDFMHYCRTLTTEFDCSLIALNHEDIYSRMFLPWKPRLTGMKPRQ >EOY18472 pep chromosome:Theobroma_cacao_20110822:10:3559113:3561773:1 gene:TCM_043037 transcript:EOY18472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator protein 6, putative isoform 1 MNQRSSNLLDEALGLDQSIEPWPLRGRIVAIEDQVETSGSFVLHHILKRSLSPNSSNVSILIAFSQPFSHYDRVLRKLGCNLVTQRDNNRFFFFDMLMLQCPGGDEGISPECGLIALYGKIYKTIVALPEVSSKNVTIIIDDLSLMEVAANGSSDYVLDFMHYCRTLTTEFDCSLIALNHEDIYSSADRPTFLLQMEYLADILIKAEPLATGLASDVHGQLTVLNKGMNYRQGSSRNKISNFHFKVKENVVECFYPGSQG >EOY19302 pep chromosome:Theobroma_cacao_20110822:10:16273605:16274938:-1 gene:TCM_044366 transcript:EOY19302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFKGVQGFKFVAGLIKENSNCRRRTVARLFWRGRKLAAEGKGGEEKSKGKERGSRCRKGLGQQGYNIILFKITFNKKINIHAKRAPL >EOY18524 pep chromosome:Theobroma_cacao_20110822:10:3736150:3737814:1 gene:TCM_043068 transcript:EOY18524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQNQTKPKVRRFAFLFVRKNLLTTTRRTQHKEEEEEQEEERKIKPERVMVSSLLIPQRDHHFLPARASQLNPTLPTWLLQRSCLSMSENSLLAASHATT >EOY19392 pep chromosome:Theobroma_cacao_20110822:10:17195206:17207404:1 gene:TCM_044488 transcript:EOY19392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALLLIVKQYFLRTRPQKSGDSMAVVTSREHRLVECVPMRPLLMESNYGLHIKGLPSSTNGISSKEMLPPLWICMGASVLSVEAVPDIQIGGSSTLQSPKKRKIIERKEKRVTKVIKQRRIMDEEVFNEGRILMEEREQFQGADLHSICFGISTDPYRPSVKDAVKICMDAGVKVVCWDCFVYANIQKFIQFQLTVNVDALVINVVVALSSSDVPLNLVRVQFFLCPSNVLTSVLI >EOY19341 pep chromosome:Theobroma_cacao_20110822:10:16519118:16525946:-1 gene:TCM_044410 transcript:EOY19341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MAVELETLEDNGTWGIVPLPTNSSSIGSKWIYKVKMKADGTIERFKARLVVKSYSQVEGFDYQETFSPVARQSTVRVFLALATTKGWCLTQLDINNVFLNGDLDEEVVSPPIKARKLHPRTSRQSASKVCILDINTIFDNGCSQWNKETNSKALRGFGLTFRAMSVYWDTATIVTGSRGVPGRDTSSPQLANNVKEYLSSHFKLKDLGEVEHFLGLEVARSVKGFSICQRKYVLDMLDEHGFLGAKPVSTPIDYNHKLEKATDEEQLNNVPVYHQLIGKLLYLTFTRPKISYAIQTLSQFMDKPGHIHLMATYRVLKYLKGFYVFIGEPLVSWKSKKQSVVAKSFAEAEYRSMAAACCEVMWLKSLLSDFGIEHSTSIKLYTDSQSAKGVDAGEYARQLMANAIIAVYEEHKLKGNVDLGKSLAYSPYQLGNSD >EOY18024 pep chromosome:Theobroma_cacao_20110822:10:1819735:1821862:-1 gene:TCM_042692 transcript:EOY18024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase family protein isoform 1 MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKAVGVIEVVLAINYQPEVMLNFLKEFEAKVGIKISCSQETEPLGTAGPLALARDKLIDGSGKPFFVLNSDVISEYPFKEMIEFHKAHGGEASIMVTKVDEPSKYGVVVMEESTGQVDKFVEKPKLFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAAEKKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKASTKLATGPHIVGNVLVHESAKIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGVRVKKHACISSSIIGWHSTIGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >EOY18023 pep chromosome:Theobroma_cacao_20110822:10:1819868:1823007:-1 gene:TCM_042692 transcript:EOY18023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase family protein isoform 1 MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKAVGVIEVVLAINYQPEVVMLNFLKEFEAKVGIKISCSQETEPLGTAGPLALARDKLIDGSGKPFFVLNSDVISEYPFKEMIEFHKAHGGEASIMVTKVDEPSKYGVVVMEESTGQVDKFVEKPKLFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAAEKKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKASTKLATGPHIVGNVLVHESAKIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGVRVKKHACISSSIIGWHSTIGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >EOY18021 pep chromosome:Theobroma_cacao_20110822:10:1820100:1823302:-1 gene:TCM_042692 transcript:EOY18021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase family protein isoform 1 MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKAVGVIEVVLAINYQPEVMLNFLKEFEAKVGIKISCSQETEPLGTAGPLALARDKLIDGSGKPFFVLNSDVISEYPFKEMIEFHKAHGGEASIMVTKVDEPSKYGVVVMEESTGQVDKFVEKPKLFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAAEKKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKASTKLATGPHIVGNVLVHESAKIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGVRVKKHACISSSIIGWHSTIGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >EOY18025 pep chromosome:Theobroma_cacao_20110822:10:1819868:1823007:-1 gene:TCM_042692 transcript:EOY18025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase family protein isoform 1 MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQQIEALKAVGVIEVVLAINYQPEVMLNFLKEFEAKVGIKISCSQETEPLGTAGPLALARDKLIDGSGKPFFVLNSDVISEYPFKEMIEFHKAHGGEASIMVTKVDEPSKYGVVVMEESTGQVDKFVEKPKLFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAAEKKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKASTKLATGPHIVGNVLVHESAKIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGVRVKKHACISSSIIGWHSTIGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >EOY18022 pep chromosome:Theobroma_cacao_20110822:10:1819735:1823302:-1 gene:TCM_042692 transcript:EOY18022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase family protein isoform 1 MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKAVGVIEVVLAINYQPEVMLNFLKEFEAKVGIKISCSQETEPLGTAGPLALARDKLIDGSGKPFFVLNSDVISEYPFKEMIEFHKAHGGEASIMVTKVDEPSKYGVVVMEESTGQVDKFVEKPKLFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAAEKKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKASTKLATGPHIVGNVLVHESAKIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGVRVKKHACISSSIIGWHSTIGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >EOY18511 pep chromosome:Theobroma_cacao_20110822:10:3669392:3672002:1 gene:TCM_043053 transcript:EOY18511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSELKSGGLSAMFIKSWLIKPSSNIFILFHSGILVLIISPGCYLFVRVRQSNLDHPLRQGSWHMKSYHMLSFTEKDIIDAIESENLIDYGNRRSYQSTAVLLTERNFWSLEYDAEVAALSAIRHVNVVKLYCSITSEDSNLLLELMATWLRSRLDGVSHRKKGGRARICREQGHSFLRNKVSALRPSMRTIAQMLEEAEPCKLSDIIVLKNGENRPNGSWKNSGKLI >EOY18711 pep chromosome:Theobroma_cacao_20110822:10:4623055:4628020:1 gene:TCM_043209 transcript:EOY18711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cwf18 isoform 1 MATEEESIEQAAASRRERLRALKAAQELLSTPDEDSAQAAEDKTNETDEENNRSMKFRNYVPHDIQLQEGKVAPPVLPKFEDPVEVAPPPSEDQEDPFVNIAPKKPNWDLRRDVQKKLDKLERRTQKAIYILMEQQEQEKQLAEGGNNIED >EOY18712 pep chromosome:Theobroma_cacao_20110822:10:4622910:4627921:1 gene:TCM_043209 transcript:EOY18712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cwf18 isoform 1 MATEEESIEQAAASRRERLRALKAAQELLSTPDEDSAQAAEDKTNETDEENNRSMKFRNYVPHDIQLQEGKVAPPVLPKFEDPVEVAPPPSEDQEVLDPFVNIAPKKPNWDLRRDVQKKLDKLERRTQKAIYILMEQQEQEKQLA >EOY18950 pep chromosome:Theobroma_cacao_20110822:10:6512862:6524965:1 gene:TCM_043471 transcript:EOY18950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase, long form protein isoform 2 MALSRLRNPVISRAAPSLFKARFLSSYASPRSLSRTLNVESPFKDFNGSLLRPNSLSTIIGVCSTSSILKLQIGVRHFSSADLPEHTVLGMPALSPTMSQGNIAKWKKKEGDKIEVGDVLCEIETDKATLEFESLEEGFLAKILVPEGSKDVPVGQPIAITVEDADNIQKIPSSLGSGSDVEEKTAHQDVRNSGKDEEQSSVNINALDLPPHIVIGMPALSPTMNQGNIFKWRKKEGDKIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVAVGEPIAVTVENPDDIEAVKTSVGGGSGVKKQKPTHHESKSEVREQKSGFTKISPSAKLLISEYGLDASSIKASGPHGTLLKGDVLAAIKSGKGSSKISSSEKIKTSPEASPQKSTSARLESKTQPQQSDSFEDLPNTQIRKIIAKRLLESKQNTPHLYLSSDVILDPLLSFRKELKEKHDIKVSVNDIVIKAVAIALKNVPEANAYWDVEKGEIILCDSVDISIAVATEKGD >EOY18949 pep chromosome:Theobroma_cacao_20110822:10:6514389:6524935:1 gene:TCM_043471 transcript:EOY18949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase, long form protein isoform 2 MPALSPTMSQGNIAKWKKKEGDKIEVGDVLCEIETDKATLEFESLEEGFLAKILVPEGSKDVPVGQPIAITVEDADNIQKIPSSLGSGSDVEEKTAHQDVRNSGKDEEQSSVNINALDLPPHIVIGMPALSPTMNQGNIFKWRKKEGDKIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVAVGEPIAVTVENPDDIEAVKTSVGGGSGVKKQKPTHHESKSEVREQKSGFTKISPSAKLLISEYGLDASSIKASGPHGTLLKGDVLAAIKSGKGSSKISSSEKIKTSPEASPQKSTSARLESKTQPQQSDSFEDLPNTQIRKIIAKRLLESKQNTPHLYLSSDVILDPLLSFRKELKEKHDIKVSVNDIVIKAVAIALKNVPEANAYWDVEKGEIILCDSVDISIAVATEKGLMTPIVRNADQKSISSISSEVKQLAEKARAGKLLPNEFQGGTFSISNLGMFPVDHFCAIINPPQAGILAVGRGNKFVEPVVGSDGIERPAVVTKMNLTLSADHRVFDGEVGGAFLSALQSNFSDIRRLLL >EOY18948 pep chromosome:Theobroma_cacao_20110822:10:6510163:6525307:1 gene:TCM_043471 transcript:EOY18948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase, long form protein isoform 2 MSSRAITMFERRTFPLQVQKTQSLKQLYPILVRYKKYFRIVVDRALSMALSRLRNPVISRAAPSLFKARFLSSYASPRSLSRTLNVESPFKDFNGSLLRPNSLSTIIGVCSTSSILKLQIGVRHFSSADLPEHTVLGMPALSPTMSQGNIAKWKKKEGDKIEVGDVLCEIETDKATLEFESLEEGFLAKILVPEGSKDVPVGQPIAITVEDADNIQKIPSSLGSGSDVEEKTAHQDVRNSGKDEEQSSVNINALDLPPHIVIGMPALSPTMNQGNIFKWRKKEGDKIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVAVGEPIAVTVENPDDIEAVKTSVGGGSGVKKQKPTHHESKSEVREQKSGFTKISPSAKLLISEYGLDASSIKASGPHGTLLKGDVLAAIKSGKGSSKISSSEKIKTSPEASPQKSTSARLESKTQPQQSDSFEDLPNTQIRKIIAKRLLESKQNTPHLYLSSDVILDPLLSFRKELKEKHDIKVSVNDIVIKAVAIALKNVPEANAYWDVEKGEIILCDSVDISIAVATEKGLMTPIVRNADQKSISSISSEVKQLAEKARAGKLLPNEFQGGTFSISNLGMFPVDHFCAIINPPQAGILAVGRGNKFVEPVVGSDGIERPAVVTKMNLTLSADHRVFDGEVGGAFLSALQSNFSDIRRLLL >EOY18951 pep chromosome:Theobroma_cacao_20110822:10:6512862:6524965:1 gene:TCM_043471 transcript:EOY18951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase, long form protein isoform 2 MALSRLRNPVISRAAPSLFKARFLSSYASPRSLSRTLNVESPFKDFNGSLLRPNSLSTIIGVCSTSSILKLQIGVRHFSSADLPEHTVLGMPALSPTMSQGNIAKWKKKEGDKIEVGDVLCEIETDKATLEFESLEEGFLAKILVPEGSKDVPVGQPIAITVEDADNIQKIPSSLGSGSDVEEKTAHQDVRNSGKDEEQSSVNINALDLPPHIVIGMPALSPTMNQGNIFKWRKKEGDKIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVAVGEPIAVTVENPDDIEAVKTSVGGGSGVKKQKPTHHESKSEVREQKSGFTKISPSAKLLISEYGLDASSIKASGPHGTLLKGDVLAAIKSGKGSSKISSSEKIKTSPEASPQKSTSARLESKTQPQQSDSFEDLPNTQIRKIIAKRLLESKQNTPHLYLSSDVILDPLLSFRKELKEKHDIKVSVNDIVIKAVAIALKNVPEANAYWDVEKGEIILCDSVDISIAVATEKEVHFFQHYNLTSVIFGGFFSDNISSSKFWRDQLWWRVSFLKIVNNSWKGSCYLFQNPLRQRICCAILVTKILSITRTPVM >EOY18512 pep chromosome:Theobroma_cacao_20110822:10:3672552:3692431:1 gene:TCM_043054 transcript:EOY18512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate excretion transporter 1, putative MVPSELEQTEGSKLSSQSATPNEDGKTTMDHKGGGWTTFPFIIGSMTGLSLVAGGWGANLIVFLINEFHVKSITATQINNVILGCNYLLPIAGAIVADTFFDSYTVIITFAFVSLLGMILLTLTTTINSLKPLLCAMGASKCPTPSKLQFAVLYIALALASLGVGGTRFTIATMGADQFDDAKDQGIFFNWYFLALYIANCVSLTALIYIQDNVSWGLAFGICTVLNAIALVLFLSGKRFYRRIKPKRSPFLSILCVIFAAIRKRNVPGTFDSQDYYYGSVETTNIFNNGPSKSLRFLNCAALKIESEDSQSSRSNARSWKLCTVEEVEDLKTLFKIMPLWSSSILLSTTIGVLNSLAIVQVLTMDRHLGPHFKIPAGSFIMFNLLATALSIFIIDRFLHPAWQKFIPIWPLTPLRRIGIGHIINILAMMGFALIEMRRLHVVRTHQRVTNQFDYVVPISGLWLVVPLTILGIGEAFHFPGQIALYYQEFPKSLKGTSTAMISLLIAIGLYLSTVIIDLVLRKIIGWLPDNINHGRLDNPGNRSSFNHGLTPRTTLQNSSSMAKVHPYLAFDTPSIGHIINILAMIGSALVEMRRLHVV >EOY19660 pep chromosome:Theobroma_cacao_20110822:10:19663826:19667274:1 gene:TCM_044826 transcript:EOY19660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRFKLSSIKYIESILEEGHVYCISDFKVSKLKKSYNVISAPCTITITLKTKIVKASSSVLSFQRHYFQFLEFEHLPRRYKINETLTNVIGLIISMSKVTAMYVSNKSTKAPKRNFQLQNIRFATSNYTF >EOY19010 pep chromosome:Theobroma_cacao_20110822:10:7980342:7984184:1 gene:TCM_043606 transcript:EOY19010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQGVRSDLSIVGLMKLVEDVVEANSKIDEIELHALISTSGELSRPIIKDDEDVALILLEQRNVPTMYVSIKGCQTNVMSHEEVGQYGNQLNQKEIYKASQIPKHSFHNPQQWKLRCASNQFLHSLEQMQRSGETVECVMPLSNENTTVEDNNVRLEGDTTTPEDSTTFDEGNEDLFTTGEDRFDDTSDDGLEQSQDDSSNNDCLYDSDIPICNNVEGETEPIGGVDVRDVQCDDPIYNNPIADENWICSLDTLLDDSDQERGNAGISRTWVIAGAERVKRSCKVRYEVGCKDKACKFSVRATQLPDKGEYWQVRTFHKPNGVTLRPKDIICEMRVQWGLECLYGKAWQVKEYAEKLVFGSPEESFQLLPSYFYMLEQENPGTVTAVATDKEERFKYCFWSYGACIQGFRDVMLPMVAIDATHLKGRTSVYIQLLLASAMLRTKTRGCGFLAKAHHGLCGYHLKKNFKNKFKCDDVCMLFTLARDYYKVADFNRHMNQIQWIHLGTNANLMRIGPEKWARACSPTRRYQMMTSNIAKCVNSCLKHARQILITVLIEFIRDMFQLKPINRVELEVKDRKMDGLVNLSRKTCTCCEFQTDLLPCSHAIAAISKCNHEAIEFCADYYKTIVLVEGYSGSIRPIGHPSEWDIAGRPRRRKIPSAGEGSQARRCSQCLSYGHNRQNCPSPFAVPSTNLAPSPSQSTPPQLR >EOY18821 pep chromosome:Theobroma_cacao_20110822:10:5137776:5162935:-1 gene:TCM_043311 transcript:EOY18821 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MFGVQSVVDRIVRLDILTLAEASVTFPPRNPMPPKYLSMMDNLWFSEANYMTRRFIFDAKALSQLRAIAKGEREATPSRIRAVSGFIWKCFVAASRAISGSPKPSIFVQALSLRPGGKPNLLDDSIGNLFWWASATTSAGTGAELFELVDLMRESIAGFDDEYLNSLQGEQGFEAIAEYLDQLETMFSSEKPDTFAFTSWSNLGFYKVDFGWGTPQWIKDNMFIDRFNEGVPFLSAQISCRLSEFLKRQEIESLNKLLPCQPFCNESNNDAPLLVCQVTMFACGGIALGLCTSHKITDAKTGLILCNIWSEVSRGISHHNIGNPTLPEASLVFPPKNPMPQNYLSVMENLWFTEANYVTRRFTFDAKAIAKLKAMAKGESEARPTRTEAVSGFIWKCSMAASRATSGSLKPSIIAQAVNMRARGKPNSLDDSIGNVFWWASAHSNPAETGTELSELVDLMKQSIEAFDDEYLSSVQGEQGFQAIAEYINQLEMLFSFEKPDIFAFTSWLNLGFYKADFGWGLPSSFALFGKVGPAFRNLTVFIETKCGKGIEAWITLDEERMLVLEKDPEFLKFASPCLKISSL >EOY18268 pep chromosome:Theobroma_cacao_20110822:10:2627929:2630908:-1 gene:TCM_042860 transcript:EOY18268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYQPSVSPDLAPTPPPRKADPSYLSKASTPPMFHPPKKHSPLGNGAAENSLLSQSPTSIPYCSTCPFLQVHLPTANDHQSSQSPPSPYYTQPLIGFPPKEPTHQSTLYLPQQLQPSQEHGDESLPKKLLSPEQEGQKQYLDNYDLPSSETLYHLDQQLSGVVSCATPYQELEFTNLQKKPIKERIPNPLEVPIPDSDDPVTTNNRKSYEQPNGNKQLQPMPMPQLLSVEYPPGHEPPSCCTCCSIL >EOY18050 pep chromosome:Theobroma_cacao_20110822:10:1882973:1888753:-1 gene:TCM_042708 transcript:EOY18050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 5 MPFISKIQRQTDYDLFPSSTPIVIDNGASYFRIGWAGENEPRVVFRNIVQRPRHKATGETVTIVGDHDPALLRYFDCTRSGPRSAFDSNVVFQFEIMEYILDFAFDRLGANGSRIDHPVLITECVCNPVQSRSKMAELLFETYGVPSVAFGVDAAFSYKYNQQHGICDKDGLAICPGFTTTHVIPFVDGEPVYKGCCRTNIGGYHVTDHLKQLLSLKYPHHMARFTWEKVEDLKMEHCYVALDYALEAQLFQKGGKEAEDKSRCWQLPWVPPPMEEPPSEEEIARKAAIRERQGQRLREMAEAKRSSRINELENQLHGLEFLLQQLEQVQQEEIQSFLSETGYVSKQEIESTLMKVSQSLRKAKGEPKAEQAENEEKADSSTSEKYPLVNVPDNVLTPEQLKEKKRQVFLKTTTEGRQRAKQKRFEEELEREKKNQQDEERRLENPELYLDQMHSKYKELYEKVEQRKRLKTNGGHANGNNLSGGVGRGERLNAAQRERMRLLTTAAFDRGKGEDTFGAKDEDWQLYKLMSKDNDDDDDGADVDEAELARVCARLQEIDPTFVPKPELTAAQPATAEAPRARPLTKEDFQIVLGVERFRCPEILFHPNLVGIDQAGFDEMTGVSIRRLPSKDGALEDRLTSSVFMTGGCCLFPGISERLEAGIRMLRPCGSPIKVVRALDPVRDAWRGASVYAANLQFPQQTFSRADYYEKGEDWLRRYQLRYTL >EOY20101 pep chromosome:Theobroma_cacao_20110822:10:24826472:24831620:1 gene:TCM_045494 transcript:EOY20101 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MSSEEISLQNGLNVFQDDPLRAFNCGPTSTATTTTRPGPKTRELTGFIDDKMFSFPPSQPPDFRSSNIYAAAAAAAAASADRRDPPTHHHRNWGSSAGGGSTHSGDDESDGDDVDDEEDEDDDVDDDEGGDGDETANNNNSSDININNHKNKINSGNETVNNAGTTNPEKMGNGKAKHSFVGESCRELVVKEGGSGLGQGVRESNSNNNYQNAVTIADPDGDIYYTQYLQGGEGSGGSGGGGGGQKDIVVENGGSGCGFSGRKDVSFSSESGESLRAILSDPVTGTLMDDAMILPCGHSFGAAGIQHVLRLKVCYTCSHSVSEESVAPNLSLRAAVQAFRREEELQFYRSPKRRRDRFDQDKSNYGDSNMMDPPRGRGVQFPFAVTDRVIIKGNKRTPQRFVGREAVVTTQCLNGWYVVKTLDNAESVKLQYRSLAKVPDDPSSKPMASKMGPNWL >EOY20102 pep chromosome:Theobroma_cacao_20110822:10:24826439:24831409:1 gene:TCM_045494 transcript:EOY20102 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MSSEEISLQNGLNVFQDDPLRAFNCGPTSTATTTTRPGPKTRELTGFIDDKMFSFPPSQPPDFRSSNIYAAAAAAAAASADRRDPPTHHHRNWGSSAGGGSTHSGDDESDGDDVDDEEDEDDDVDDDEGGDGDETANNNNSSDININNHKNKINSGNETVNNAGTTNPEKMGNGKAKHSFVGESCRELVVKEGGSGLGQGVRESNSNNNYQNAVTIADPDGDIYYTQYLQGGEGSGGSGGGGGGQKDIVVENGGSGCGFSGRKDVSFSSESGESLRAILSDPVTGTLMDDAMILPCGHSFGAAGIQHVLRLESLLHLFAFSIRGVGGSKSFSPSCGAGISSGRRVTVLPLT >EOY19839 pep chromosome:Theobroma_cacao_20110822:10:23067273:23068414:1 gene:TCM_045203 transcript:EOY19839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIKHFPSLNEVYNMVLQEESQRSLFIQSQPLIEASAMALIYDSKKKRNMYLVCNHCGKRGHLKDKCFRLIDFPEDFKFTKSKGNFKKGGNVSVNSATVDKENGHFDDVDVSSAVQLSHIQGQIQKLMALVTERGGIEMNQDSPLSTNQQNKPSLVNSALAGPSYLDNDWSC >EOY19838 pep chromosome:Theobroma_cacao_20110822:10:23066794:23070179:1 gene:TCM_045203 transcript:EOY19838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIKHFPSLNEVYNMVLQEESQRSLFIQSQPLIEASAMALIYDSKKKRNMYLVCNHCGKRGHLKDKCFRLIDFPEDFKFTKSKGNFKKGGNVSVNSATVDKENGHFDDVDVSSAVQLSHIQGQIQKLMALVTERGGIEMNQDSPLSTNQQNKPSLVNSALAVTNGLYIMQRYLSAQIPADFKNAFTRQFNNSTNFSCVFPCANLSSDFSLYSKTVCSNACSSLKSNFDNWHHRFGHVSLPIQCDGCPLAKQRKLSFPIHVNASTNSFDLIHVDIWGPYTTLT >EOY17861 pep chromosome:Theobroma_cacao_20110822:10:1209295:1213166:1 gene:TCM_042569 transcript:EOY17861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10 family protein isoform 1 MAVKPSKADKKIAYDAKLCQLLDEYTQILIAAADNVGSNQLQNIRKGLRGDSVVLMGKNTMMKRSIRMHAEKTGNTAFLNLIPLLQGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLVVLSVYDNGSVFSPEVLDLTEDDLVEKFAAGVSMVTALSLAISYPTLAAAPHMFINAYKNVLALAIATEYSFPQADKVKEYLADPSKFAVAAAPVAADAGAAPAAPAAVEEKKPEPEEESDDDMGFSLFD >EOY17862 pep chromosome:Theobroma_cacao_20110822:10:1209249:1211399:1 gene:TCM_042569 transcript:EOY17862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10 family protein isoform 1 MAVKPSKADKKIAYDAKLCQLLDEYTQILIAAADNVGSNQLQNIRKGLRGDSVVLMGKNTMMKRSIRMHAEKTGNTAFLNLIPLLQQGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLVVLSVYDNGSVFSPEVLDLTEDDLVEKFAAGVSMVTALSLAISYPTLAAAPHMFINAYKNVLALAIATEYSFPQADKVKEYLADPSKFAVAAAPVAADAGAAPAAPAAVEEKKPEPEEESDDDMGFSLFD >EOY17866 pep chromosome:Theobroma_cacao_20110822:10:1226555:1229945:-1 gene:TCM_042572 transcript:EOY17866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein isoform 4 MRGSLPVNRRTVFKLRQVGISTLGSVKIRILLCGCVALTLLAVANRNRDSSSFMRWTDDVDSLHSSSSSRGRYAIVMNTWKRYDLLKKSISHYASCPRLESIHLVWSEPDPPSDSLKRFLNHAIQLNSGNGHRVQLVFDINKEDSLNNRFKEIRDLKTDAVFSIDDDVIFPCSSVEFAFTVWQSAPDTMVGYVPRMHWVDEKKGKDNYIYGGWWSVWWTGTYSMILSKAAFFHKKYLKLYTDEMPASIKEYITRNRNCEDIAMSFLVANATGAPPIWVKEVL >EOY17867 pep chromosome:Theobroma_cacao_20110822:10:1226061:1229624:-1 gene:TCM_042572 transcript:EOY17867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein isoform 4 MRGSLPVNRRTVFKLRQVGISTLGSVKIRILLCGCVALTLLAVANRNRDSSSFMRWTDDVDSLHSSSSSRGRYAIVMNTWKRYDLLKKSISHYASCPRLESIHLVWSEPDPPSDSLKRFLNHAIQLNSGNGHRVQLVFDINKEDSLNNRFKEIRDLKTDAVFSIDDDVIFPCSSVEFAFTVWQSAPDTMVGYVPRMHWVDEKKGKDNYIYGGWWSVWWTGTYSMILSKAAFFHKKYLKLYTDEMPASIKEYITRNRL >EOY17868 pep chromosome:Theobroma_cacao_20110822:10:1226061:1229945:-1 gene:TCM_042572 transcript:EOY17868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein isoform 4 MRGSLPVNRRTVFKLRQVGISTLGSVKIRILLCGCVALTLLAVANRNRDSSSFMRWTDDVDSLHSSSSSRGRYAIVMNTWKRYDLLKKSISHYASCPRLESIHLVWSEPDPPSDSLKRFLNHAIQLNSGNGHRVQLVFDINKEDSLNNRFKEIRDLKTDAVFSIDDDVIFPCSSVEFAFTVWQSAPDTMVGYVPRMHWVDEKKGKDNYIYGGWWSVWWTGTYSMILSKAAFFHKKYLKLYTDEMPASIKEYITRNRSLFIYYHCRLFKCFHHFMHVTCFINILTPVLDSPGIVKILQCHFLLQMQQVLLPYG >EOY17865 pep chromosome:Theobroma_cacao_20110822:10:1225881:1229852:-1 gene:TCM_042572 transcript:EOY17865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein isoform 4 MRGSLPVNRRTVFKLRQVGISTLGSVKIRILLCGCVALTLLAVANRNRDSSSFMRWTDDVDSLHSSSSSRGRYAIVMNTWKRYDLLKKSISHYASCPRLESIHLVWSEPDPPSDSLKRFLNHAIQLNSGNGHRVQLVFDINKEDSLNNRFKEIRDLKTDAVFSIDDDVIFPCSSVEFAFTVWQSAPDTMVGYVPRMHWVDEKKGKDNYIYGGWWSVWWTGTYSMILSKAAFFHKKYLKLYTDEMPASIKEYITRNRNCEDIAMSFLVANATGAPPIWVKGKIFEIGSTGISSLGGHSDRRTQCVNKFVAEFGRMPLVSTSMKAVDSRNVWLW >EOY19369 pep chromosome:Theobroma_cacao_20110822:10:17004074:17025727:-1 gene:TCM_044465 transcript:EOY19369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 12 isoform 3 MTLMAPPPLDQEDDEMLVPHNDFVDGPQPMEAAEAASTVDAQAVDDPPSGRFTWTIENFSRLNTKKLYSDIFFVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLAVVNQIHNKYTVRKDTQHQFNSRESDWGFTSFMPLGELYDPTRGFLVNDTCIVEADVAARRVDDYWLHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVERLEGDNRYHAEQYGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTVIKVARDEDLVEQIGRDIYFDLVDHEKVRSFRIQKLTPFNVFKEEVAKEFGVPVQNQRFWLWAKRQNHTYRPNRPLTLQEEAQSVGQLREVSNKANNAELKLFLEVELGQDLRPVPPPERTKEDILLFFKLYDPFKEEFRYVGRMYVRSAGKPMEILARINKMAAFGPDEEIELYEEIKFEPNVMCEHIDKKLTFRTSQLEDGDILCFQKSSEVGSEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFTLELSKLHNYDDVVERVAQHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVLDDLKTKVELSHPNAELRLLEVFYHKIYKIFPLSDKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDTAQNQQVQNFGEPFFLVIHEDETLAEVKVRIQKKLQVPDEEFTKWRFAFLSLGRPEYLQDSDVVSTRFQRRDVYGAWEQYLGLEHSDNAPKRSYTANQMPKEHEAPVNVVTVSGAPSCVISSSSSAQLVCVPSYLVKGKSMIYSLP >EOY19370 pep chromosome:Theobroma_cacao_20110822:10:17004811:17025722:-1 gene:TCM_044465 transcript:EOY19370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 12 isoform 3 MTLMAPPPLDQEDDEMLVPHNDFVDGPQPMEAAEAASTVDAQAVDDPPSGRFTWTIENFSRLNTKKLYSDIFFVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLAVVNQIHNKYTVRKDTQHQFNSRESDWGFTSFMPLGELYDPTRGFLVNDTCIVEADVAARRVDDYWLHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVERLEGDNRYHAEQYGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTVIKVARDEDLVEQIGRDIYFDLVDHEKVRSFRIQKLTPFNVFKEEVAKEFGVPVQNQRFWLWAKRQNHTYRPNRPLTLQEEAQSVGQLREVSNKANNAELKLFLEVELGQDLRPVPPPERTKEDILLFFKLYDPFKEEFRYVGRMYVRSAGKPMEILARINKMAAFGPDEEIELYEEIKFEPNVMCEHIDKKLTFRTSQLEDGDILCFQKSSEVGSEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFTLELSKLHNYDDVVERVAQHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVLDDLKTKVELSHPNAELRLLEVFYHKIYKIFPLSDKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDTAQNQQQVQNFGEPFFLVIHEDETLAEVKVRIQKKLQVPDEEFTKSGGLHFYHWVVPSIFRTLMLCLLVFREGMYMALGNSILDWNTPTMPLRGHIQQIRIVIHLRSQ >EOY19368 pep chromosome:Theobroma_cacao_20110822:10:16994827:17032144:-1 gene:TCM_044465 transcript:EOY19368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 12 isoform 3 MLVPHNDFVDGPQPMEAAEAASTVDAQAVDDPPSGRFTWTIENFSRLNTKKLYSDIFFVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLAVVNQIHNKYTVRKDTQHQFNSRESDWGFTSFMPLGELYDPTRGFLVNDTCIVEADVAARRVDDYWLHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVERLEGDNRYHAEQYGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTVIKVARDEDLVEQIGRDIYFDLVDHEKVRSFRIQKLTPFNVFKEEVAKEFGVPVQNQRFWLWAKRQNHTYRPNRPLTLQEEAQSVGQLREVSNKANNAELKLFLEVELGQDLRPVPPPERTKEDILLFFKLYDPFKEEFRYVGRMYVRSAGKPMEILARINKMAAFGPDEEIELYEEIKFEPNVMCEHIDKKLTFRTSQLEDGDILCFQKSSEVGSEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFTLELSKLHNYDDVVERVAQHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVLDDLKTKVELSHPNAELRLLEVFYHKIYKIFPLSDKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDTAQNQQVQNFGEPFFLVIHEDETLAEVKVRIQKKLQVPDEEFTKWRFAFLSLGRPEYLQDSDVVSTRFQRRDVYGAWEQYLGLEHSDNAPKRSYTANQNRHTFEKPVKIYN >EOY19350 pep chromosome:Theobroma_cacao_20110822:10:16604044:16623616:-1 gene:TCM_044423 transcript:EOY19350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKTVGKLNPLVRKCGFVGYAPTHKGYKCYDPRSKKMETYIGDSKCFDIFQPKNAIQSINTTFDGLIFFINTKPKLKPQTNQNSTENPSNLNTLANSKLAHFEFSMSDLDIPIANCKGIRTCTKYPIANFVSYKNMSPTFFMFTSQLSCVEIPKIVQNTLKVSKWKMAILKEMRALEKNKTYEIAILIMYVDDIILIGDDTIEIERLQQYLASEFKIKDSRSQKYFLGMEVARSKKGIAVSQMKYVIDLLKETSMSGCRPAETPVDPNQKLGDSKGNLMNTLQYQKLVRKLIYLSYTWPDIAFEISLVSQFMHSPHEEHLEAVYRILRYLKSSPRKDIPFHKSNVLVKNDSHFIKEKIEGGAICNPFVPTSQQIADILTKGLLIPNYEFLINKLGMIDIYVQLEGKCWIVRRISLTGYQSQGKELVLDF >EOY19372 pep chromosome:Theobroma_cacao_20110822:10:17108891:17112166:1 gene:TCM_044476 transcript:EOY19372 gene_biotype:protein_coding transcript_biotype:protein_coding description:No pollen germination related 2, putative MGLPTKLVHRSWTPGLGRDSLSLINLGPTMYNPIAHWAFMKLSLHLVLVTAVAGFVGQPIRGARNSVIFLPRSKREVRKLILKPCEVSIGILGNEYLSEHHGYCHELKESTGS >EOY19373 pep chromosome:Theobroma_cacao_20110822:10:17102437:17122243:1 gene:TCM_044476 transcript:EOY19373 gene_biotype:protein_coding transcript_biotype:protein_coding description:No pollen germination related 2, putative METWHDLANVYTSLSQWRDAELLFLKLLLLYGIDESNGFNLFSKHVKSSSSQKYWEDKIAWNYLSLISSSCISLLNEAKGFHQEALRSYRKALDVEPTHVPSLISTACILRQLGGQSMPIVRSFLTDALRLDRTNPAAGYNLGLLYKADASASALEAAECFEAAALLEESAPIEPFR >EOY19601 pep chromosome:Theobroma_cacao_20110822:10:19173786:19175117:-1 gene:TCM_044746 transcript:EOY19601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MVQEKKLVDEVLGWLRAYDDGSVDRTFTGPPEVKFMAEAVPPHEEFIEGVATSDVTIDSNSGLRVRIYLPEQIPTAKTKLPIMLHFHGGGFCISQADWYVYYHIYTRLARSLPAICVSVYLRLAPENRLPAACDDGYAALLWLRSLAKSESHESWLNDHADFNNVFLIGDSTGGNIVHQVAARAGNLDLSPLRLAGGILIHPGFVRAERSKSELEQPESPFLTLDMVDKFLAMALPLGSSKNHPITCPMGPVAQPMESLNLPPFLLCVAETEGHRDGIL >EOY18246 pep chromosome:Theobroma_cacao_20110822:10:2502088:2507044:-1 gene:TCM_042835 transcript:EOY18246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGYDNSSRTEPKRGHQWFMDAAAPELFSNKKQAIESVNSRPVSGIADVNVSPWHNASSFQSVSSQLSDRLFGSEPLRTVNLVDRNMSSVDSGNMNMGRKDFDDQYVNSSSAGLSMSHTIEDPSSCFSFGGIRKVKVNQVRDSSNGMPASMGHTYSRGVNSTVSMSTVYSKSDNNAISLGPTYGSGDENTISIGPTFTKADGNFISMGHTFNKRDGDFISVGHNYNKGNESILSVGQAFEKEDGSFISMGQSYEKGDANLMSLSSSYGKGQENFISMAPAYGKPNESLISMAPTFDKEEDTIIPMGSSYHKADCNITAMAPTQGKGESSILSMGQNYKKGESNTISFGGFHDESETNPSGSIISGYDLLMNNQNSAQASEVLSQKELVEVNPNSNVNNAPKHNSRTDANPKHKEPKTAKKVPPNNFPSNVKSLLSTGMLDGVAVKYVSWSREKSLKGYIQGTGYMCGCKDCKFEKALNAYEFERHANCKTKHPNNHIYFENGKTIYAVVQELKNTPQELLFDVIQNVTGSQINQKNFRIWKASYQAATRELQRIYGKDDVVVSS >EOY18242 pep chromosome:Theobroma_cacao_20110822:10:2501945:2513843:-1 gene:TCM_042835 transcript:EOY18242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSFQHKSFWLPRDGGCLTNGEMGYDNSSRTEPKRGHQWFMDAAAPELFSNKKQAIESVNSRPVSGIADVNVSPWHNASSFQSVSSQLSDRLFGSEPLRTVNLVDRNMSSVDSGNMNMGRKDFDDQYVNSSSAGLSMSHTIEDPSSCFSFGGIRKVKVNQVRDSSNGMPASMGHTYSRGVNSTVSMSTVYSKSDNNAISLGPTYGSGDENTISIGPTFTKADGNFISMGHTFNKRDGDFISVGHNYNKGNESILSVGQAFEKEDGSFISMGQSYEKGDANLMSLSSSYGKGQENFISMAPAYGKPNESLISMAPTFDKEEDTIIPMGSSYHKADCNITAMAPTQGKGESSILSMGQNYKKGESNTISFGGFHDESETNPSGSIISGYDLLMNNQNSAQASEVLSQKELVEVNPNSNVNNAPKHNSRTDANPKHKEPKTAKKVPPNNFPSNVKSLLSTGMLDGVAVKYVSWSREKSLKGYIQGTGYMCGCKDCKFEKALNAYEFERHANCKTKHPNNHIYFENGKTIYAVVQELKNTPQELLFDVIQNVTGSQINQKNFRIWKASYQAATRELQRIYGKDDVVVSS >EOY18244 pep chromosome:Theobroma_cacao_20110822:10:2501945:2513843:-1 gene:TCM_042835 transcript:EOY18244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MHKSFWLPRDGGCLTNGEMGYDNSSRTEPKRGHQWFMDAAAPELFSNKKQAIESVNSRPVSGIADVNVSPWHNASSFQSVSSQLSDRLFGSEPLRTVNLVDRNMSSVDSGNMNMGRKDFDDQYVNSSSAGLSMSHTIEDPSSCFSFGGIRKVKVNQVRDSSNGMPASMGHTYSRGVNSTVSMSTVYSKSDNNAISLGPTYGSGDENTISIGPTFTKADGNFISMGHTFNKRDGDFISVGHNYNKGNESILSVGQAFEKEDGSFISMGQSYEKGDANLMSLSSSYGKGQENFISMAPAYGKPNESLISMAPTFDKEEDTIIPMGSSYHKADCNITAMAPTQGKGESSILSMGQNYKKGESNTISFGGFHDESETNPSGSIISGYDLLMNNQNSAQASEVLSQKELVEVNPNSNVNNAPKHNSRTDANPKHKEPKTAKKVPPNNFPSNVKSLLSTGMLDGVAVKYVSWSREKSLKGYIQGTGYMCGCKDCKFEKALNAYEFERHANCKTKHPNNHIYFENGKTIYAVVQELKNTPQELLFDVIQNVTGSQINQKNFRIWKASYQAATRELQRIYGKDDVVVSS >EOY18247 pep chromosome:Theobroma_cacao_20110822:10:2502327:2506866:-1 gene:TCM_042835 transcript:EOY18247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSFQHKSFWLPRDGGCLTNGEMGYDNSSRTEPKRGHQWFMDAAAPELFSNKKQAIESVNSRPVSGIADVNVSPWHNASSFQSVSSQLSDRLFGSEPLRTVNLVDRNMSSVDSGNMNMGRKDFDDQYVNSSSAGLSMSHTIEDPSSCFSFGGIRKVKVNQVRDSSNGMPASMGHTYSRGVNSTVSMSTVYSKSDNNAISLGPTYGSGDENTISIGPTFTKADGNFISMGHTFNKRDGDFISVGHNYNKGNESILSVGQAFEKEDGSFISMGQSYEKGDANLMSLSSSYGKGQENFISMAPAYGKPNESLISMAPTFDKEEDTIIPMGSSYHKADCNITAMAPTQGKGESSILSMGQNYKKGESNTISFGGFHDESETNPSGSIISGYDLLMNNQNSAQASEVLSQKELVEVNPNSNVNNAPKHNSRTDANPKHKEPKTAKKVPPNNFPSNVKSLLSTGMLDGVAVKYVSWSREALNAYEFERHANCKTKHPNNHIYFENGKTIYAVVQELKNTPQELLFDVIQNVTGSQINQKNFRIWKASYQAATRELQRIYGKDDVVVSS >EOY18248 pep chromosome:Theobroma_cacao_20110822:10:2502112:2507338:-1 gene:TCM_042835 transcript:EOY18248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MHKSFWLPRDGGCLTNGEMGYDNSSRTEPKRGHQWFMDAAAPELFSNKKQAIESVNSRPVSGIADVNVSPWHNASSFQSVSSQLSDRLFGSEPLRTVNLVDRNMSSVDSGNMNMGRKDFDDQYVNSSSAGLSMSHTIEDPSSCFSFGGIRKVKVNQVRDSSNGMPASMGHTYSRGVNSTVSMSTVYSKSDNNAISLGPTYGSGDENTISIGPTFTKADGNFISMGHTFNKRDGDFISVGHNYNKGNESILSVGQAFEKEDGSFISMGQSYEKGDANLMSLSSSYGKGQENFISMAPAYGKPNESLISMAPTFDKEEDTIIPMGSSYHKADCNITAMAPTQGKGESSILSMGQNYKKGESNTISFGGFHDESETNPSGSIISGYDLLMNNQNSAQASEVLSQKELVEVNPNSNVNNAPKHNSRTDANPKHKEPKTAKKVPPNNFPSNVKSLLSTGMLDGVAVKYVSWSREALNAYEFERHANCKTKHPNNHIYFENGKTIYAVVQELKNTPQELLFDVIQNVTGSQINQKNFRIWKASYQAATRELQRIYGKDDVVVSS >EOY18243 pep chromosome:Theobroma_cacao_20110822:10:2502327:2506866:-1 gene:TCM_042835 transcript:EOY18243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSFQHKSFWLPRDGGCLTNGEMGYDNSSRTEPKRGHQWFMDAAAPELFSNKKQAIESVNSRPVSGIADVNVSPWHNASSFQSVSSQLSDRLFGSEPLRTVNLVDRNMSSVDSGNMNMGRKDFDDQYVNSSSAGLSMSHTIEDPSSCFSFGGIRKVKVNQVRDSSNGMPASMGHTYSRGVNSTVSMSTVYSKSDNNAISLGPTYGSGDENTISIGPTFTKADGNFISMGHTFNKRDGDFISVGHNYNKGNESILSVGQAFEKEDGSFISMGQSYEKGDANLMSLSSSYGKGQENFISMAPAYGKPNESLISMAPTFDKEEDTIIPMGSSYHKADCNITAMAPTQGKGESSILSMGQNYKKGESNTISFGGFHDESETNPSGSIISGYDLLMNNQNSAQASEVLSQKELVEVNPNSNVNNAPKHNSRTDANPKHKEPKTAKKVPPNNFPSNVKSLLSTGMLDGVAVKYVSWSREKSLKGYIQGTGYMCGCKDCKFEKALNAYEFERHANCKTKHPNNHIYFENGKTIYAVVQELKNTPQELLFDVIQNVTGSQINQKNFRIWKASYQAATRELQRIYGKDDVVVSS >EOY18249 pep chromosome:Theobroma_cacao_20110822:10:2502089:2507014:-1 gene:TCM_042835 transcript:EOY18249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGYDNSSRTEPKRGHQWFMDAAAPELFSNKKQAIESVNSRPVSGIADVNVSPWHNASSFQSVSSQLSDRLFGSEPLRTVNLVDRNMSSVDSGNMNMGRKDFDDQYVNSSSAGLSMSHTIEDPSSCFSFGGIRKVKVNQVRDSSNGMPASMGHTYSRGVNSTVSMSTVYSKSDNNAISLGPTYGSGDENTISIGPTFTKADGNFISMGHTFNKRDGDFISVGHNYNKGNESILSVGQAFEKEDGSFISMGQSYEKGDANLMSLSSSYGKGQENFISMAPAYGKPNESLISMAPTFDKEEDTIIPMGSSYHKADCNITAMAPTQGKGESSILSMGQNYKKGESNTISFGGFHDESETNPSGSIISGYDLLMNNQNSAQASEVLSQKELVEVNPNSNVNNAPKHNSRTDANPKHKEPKTAKKVPPNNFPSNVKSLLSTGMLDGVAVKYVSWSREALNAYEFERHANCKTKHPNNHIYFENGKTIYAVVQELKNTPQELLFDVIQNVTGSQINQKNFRIWKASYQAATRELQRIYGKDDVVVSS >EOY18245 pep chromosome:Theobroma_cacao_20110822:10:2502954:2506866:-1 gene:TCM_042835 transcript:EOY18245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MHKSFWLPRDGGCLTNGEMGYDNSSRTEPKRGHQWFMDAAAPELFSNKKQAIESVNSRPVSGIADVNVSPWHNASSFQSVSSQLSDRLFGSEPLRTVNLVDRNMSSVDSGNMNMGRKDFDDQYVNSSSAGLSMSHTIEDPSSCFSFGGIRKVKVNQVRDSSNGMPASMGHTYSRGVNSTVSMSTVYSKSDNNAISLGPTYGSGDENTISIGPTFTKADGNFISMGHTFNKRDGDFISVGHNYNKGNESILSVGQAFEKEDGSFISMGQSYEKGDANLMSLSSSYGKGQENFISMAPAYGKPNESLISMAPTFDKEEDTIIPMGSSYHKADCNITAMAPTQGKGESSILSMGQNYKKGESNTISFGGFHDESETNPSGSIISGYDLLMNNQNSAQASEVLSQKELVEVNPNSNVNNAPKHNSRTDANPKHKEPKTAKKVPPNNFPSNVKSLLSTGMLDGVAVKYVSWSREVSYFLHLNIH >EOY18250 pep chromosome:Theobroma_cacao_20110822:10:2502089:2507014:-1 gene:TCM_042835 transcript:EOY18250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSFQHKSFWLPRDGGCLTNGEMGYDNSSRTEPKRGHQWFMDAAAPELFSNKKQAIESVNSRPVSGIADVNVSPWHNASSFQSVSSQLSDRLFGSEPLRTVNLVDRNMSSVDSGNMNMGRKDFDDQYVNSSSAGLSMSHTIEDPSSCFSFGGIRKVKVNQVRDSSNGMPASMGHTYSRGVNSTVSMSTVYSKSDNNAISLGPTYGSGDENTISIGPTFTKADGNFISMGHTFNKRDGDFISVGHNYNKGNESILSVGQAFEKEDGSFISMGQSYEKGDANLMSLSSSYGKGQENFISMAPAYGKPNESLISMAPTFDKEEDTIIPMGSSYHKADCNITAMAPTQGKGESSILSMGQNYKKGESNTISFGGFHDESETNPSGSIISGYDLLMNNQNSAQASEVLSQKELVEVNPNSNVNNAPKHNSRTDANPKHKEPKTAKKVPPNNFPSNVKSLLSTGMLDGVAVKYVSWSIIPSCHP >EOY19317 pep chromosome:Theobroma_cacao_20110822:10:16373664:16377734:-1 gene:TCM_044384 transcript:EOY19317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin 1 MADEVNKTAFLEIQGRMIELTGKLKQVQNQMRNKEGEKKRAYLTLEELRQLSDDTNTYKSIGRTFVLEPKPVLMNEQEQKLKDSESAIASLQTSKEYLEKQMAEVENNLRELLQQDPGLARQIMSMSVM >EOY18957 pep chromosome:Theobroma_cacao_20110822:10:6568184:6605003:-1 gene:TCM_043477 transcript:EOY18957 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein, putative MESSPNNQEMTVPTYVQELMKMLQASHKSMQVLEENNKRMIETITQFTSSTVTTSQPQSMPTHNGQNAANMVNNKGNGGNGESMTNLFFNTTNPFIVGNFITVATEPYPKDYTNQKFKQFNGKISDAQEHVMKFIKTLRVVGLDDDLKLNKFSKSLTEKDYTWYVNLNLGLVDS >EOY20023 pep chromosome:Theobroma_cacao_20110822:10:24431808:24447232:-1 gene:TCM_045425 transcript:EOY20023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein, putative MACYYLLVLLILIVSGAPVEVHGKLSSNYYSSTCPEVMSIVEARGRGGSILLDDNATFMREKTAAPNDKSVKGFDVVDDINAKVEKACPEVVSCADILAIAARDSDCQGSWLGWVAVVSSSILVPFYDFQLGGSSSKVKLGRRDSTTASRAAADNSIPGPNFNVSALPLSYAAQGLSLKDLVAFSGSHTFGLPRCITFQTHIYNDSNIDATFAMSLQRKCPKNGKDNVYQPLDFQTPTYFDNLYFKNLLRMMGLLRSDQELFSGTSADYLVKKYAADNSAFFKAFAKSMIKMGNIKPLTVSPGDQEQLQSSQFNSN >EOY19281 pep chromosome:Theobroma_cacao_20110822:10:15893243:15898795:1 gene:TCM_044326 transcript:EOY19281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein MTLALRPHNFSKFPSQLSTCGLAAKKISEFSMEKVEYSKLEDKRDSMREAECVGKEACDSDSMKMKRLSDHIMPHLLNLYGSCATSCDFEIYHVDASFEDPLMCAHGVKQIKSAFYSLSKVFSESRIVEYSVTEKVISDGKQEILIDNKQHYKFLGRNIDMISLIRLYVEDGKVVRHEDWWDKKPLRNRETVNLPLVGRIMELTRRGSMLATHALMGFGKDPTG >EOY20145 pep chromosome:Theobroma_cacao_20110822:10:25045004:25048547:-1 gene:TCM_045535 transcript:EOY20145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 7 MWTCSKGGIDLSFESDRIVPGREFKDDFCFKILYQHRFGLYEATLAKSREQLSGWGILVPQGNIFSPPTKVGEGKPENQNHAIIFTRGEALQTTDMSQVAFLPSSQDIVIKEILNRVVADMHIELEAKEWSQVKLLWVRFHYGHPDIFDRILHIKRGGISKASKTINFSEDIFAGFNSTLRGGYITHHDYIQVGKGRDVGMNQISCFEAKVASGNGEQTLSRDVYRLGCRFDFYRMLSFYFTTVGYRAYCVFLLCGRLYLVMSGMERHILNNSIISRNKSLEAALIPQSVFQMGTLSVLPMLLEISLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYFGRTILHGGSKYRATGRGFVVFHAKFADNYRLYSRSHFVKGLELSILLILYQVYGESYRSSNIYLLITCSIWFRVGSWLLAPFVFNPCSFDWQKTVDDWTD >EOY19600 pep chromosome:Theobroma_cacao_20110822:10:19169372:19173739:-1 gene:TCM_044745 transcript:EOY19600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MVQEKKLVDEVSGWLRAYDDGSVDRTWTGPPEVKFMAEAVPPHEDFIEGVATRDVTIDSNSGLRVRIYLPEQVLTAKTKLPILLHFHGGGFCISQADWYMYYHIYARLARSVPAICVSVYLRLAPENKLPAACDDGYATLLWLKSLAKGESHEPWLNDHADFNRVFLIGDSSGGNIVHQVAVRAGNLDLSPLRLAGGIPIHPGFVRAERSKSELEQPESPFLTLDMVDKFLALALPIGSTKDHPITCPMGPAAPAMEGLNLPPFLFCVAEKDLIKDTEMEYYEAMRNANKEVELLISPGMGHSFYLNKIAVDMDPNYAAQTASLIAGIKEFVNKH >EOY17722 pep chromosome:Theobroma_cacao_20110822:10:664399:667372:-1 gene:TCM_042468 transcript:EOY17722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MPLFLQLSYQLSVTVTMSILRKLHNKSPYSQSILKILPASFFFTGQIPDPGPVPDEPFPDEPTSAYYDEQVYKAGRSGDLVTVGHLLNKRVRDGCFNTTKTFKFLTATESSFSILDDLVRTVSRLDKGVPRKNAFDSLISRLCKLGKTDESLRVIDTMAKEGYGLNAVSFYPILCVLTKKKKMEEAWRVVDLMRDAGLLPDVTTYNYLLTAYCFEGKLAEATAVVKKMEEEGLGADGRTFDALIMGACKAGKVEGALLLLRSMVGDGFHVMHSTHTHVINGMLRLGYWDPAVRFVMACRGRDEKLDQESFGVLANKLIGLRRRDEAMLVLSEMRKMGLTMGRKLTDFYEMNVNKNQGTEGN >EOY18564 pep chromosome:Theobroma_cacao_20110822:10:3846325:3853109:1 gene:TCM_043089 transcript:EOY18564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar complex protein 2 isoform 2 MNVDNIGASELSVQNREILLELAGKRKKLKRLEKKDPEFSKFLESYENGLEKLRDEENFSDEDNTSDDGTQNPSKGSAILSKDKLLTSSALNTLCQLVREQRSISALTSLLNGYRAACHCGTEPSGLLDVDSCCGLQDSKTFSKILIFMLQEADNIFRGMLGISCSSCKKETILELKNTLKWKTMKPLIKSYLRSTLFLLNQVTDSEILAFSLVQLRASIIFFAAFRPLLHRLIKIAVHLWVTGEGCLASHSFLVIKHVASVFRSDCFNSCLIKTYKAFIGHCKFVDPVSSKHIQFLRNSFIELCSQDVPNSSSKAMVCVEQLAKILQMGLRAKKKEAVKRICSWQYTNCIDLWVSFISANIQDYDLKPLLYTIIQIINGVAVLFPGPRYLPLRLKCIQWLNNLSSSSGVFIPVASFALDILEYKTGKDNGKPGKDFNFSSSVKLPKHWLKSRNFQEKCVSSVIELLAMHFAQWSYHITFPELATIPLIRLRKFNETTTIESFRRVVKRFIDQVEQNIEFVQRKRDEVAFSPKDHQSVESFLQFEKSSANTRFTQYYKSIMEKAASRNLVMNQKISSMLQKKSKRKKQLLPNNTLHGGANNEVLEERKVDLSIDDGRDGKRVKKRKT >EOY18566 pep chromosome:Theobroma_cacao_20110822:10:3846199:3852592:1 gene:TCM_043089 transcript:EOY18566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar complex protein 2 isoform 2 MGKLGKKARKFAKKNLQSVLKRKRKLKSMFKKKGDEQDEAENLQEEQISKSNGRDLESENIEDAALDAVFSDESDVVEDDSESDGYLSEDSGCAYLDGNGSESNQDDMNVDNIGASELSVQNREILLELAGKRKKLKRLEKKDPEFSKFLESYENGLEKLRDEENFSDEDNTSDDGTQNPSKGSAILSKDKLLTSSALNTLCQLVREQRSISALTSLLNGYRAACHCGTEPSGLLDVDSCCGLQDSKTFSKILIFMLQEADNIFRGMLGISCSSCKKETILELKNTLKWKTMKPLIKSYLRSTLFLLNQVTDSEILAFSLVQLRASIIFFAAFRPLLHRLIKIAVHLWVTGEGCLASHSFLVIKHVASVFRSDCFNSCLIKTYKAFIGHCKFVDPVSSKHIQFLRNSFIELCSQDVPNSSSKAMVCVEQLAKILQMGLRAKKKEAVKRICSWQYTNCIDLWVSFISANIQDYDLKPLLYTIIQIINGVAVLFPGPRYLPLRLKCIQWLNNLSSSSGVFIPVASFALDILEYKTGKDNGKPGKDFNFSSSVKLPKHWLKSRNFQEKCVSSVIELLAMHFAQWSYHITFPELATIPLIRLRKFNETTTIESFRRVVKRFIDQVEQNIEFVQRKRDEVAFSPKDHQSVESFLQFEKSSANTRFTQYYKSIMEKAASRNLVMNQKISSMLQKKSKRKKQLLPNNTLHGGANNEVLEERKVDLSIDDGRDGKRVKKRKT >EOY18568 pep chromosome:Theobroma_cacao_20110822:10:3847627:3851880:1 gene:TCM_043089 transcript:EOY18568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar complex protein 2 isoform 2 LLELAGKRKKLKRLEKKDPEFSKFLESYENGLEKLRDEENFSDEDNTSDDGTQNPSKGSAILSKDKLLTSSALNTLCQLVREQRSISALTSLLNGYRAACHCGTEPSGLLDVDSCCGLQDSKTFSKILIFMLQEADNIFRGMLGISCSSCKKETILELKNTLKWKTMKPLIKSYLRSTLFLLNQVTDSEILAFSLVQLRASIIFFAAFRPLLHRLIKIAVHLWVTGEGCLASHSFLVIKHVASVFRSDCFNSCLIKTYKAFIGHCKFVDPVSSKHIQFLRNSFIELCSQDVPNSSSKAMVCVEQLAKILQMGLRAKKKEAVKRICSWQYTNCIDLWVSFISANIQDYDLKPLLYTIIQIINGVAVLFPGPRYLPLRLKCIQWLNNLSSSSGVFIPVASFALDILEYKTGKDNGKPGKDFNFSSSVKLPKHWLKSRNFQEKCVSSVIELLAMHFAQWSYHITFPELATIPLIRLRKFNETTTIESFRRVVKRFIDQVEQNIEFVQRKRDEVAFSPKDHQSVESF >EOY18567 pep chromosome:Theobroma_cacao_20110822:10:3847433:3853119:1 gene:TCM_043089 transcript:EOY18567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar complex protein 2 isoform 2 MNVDNIGASELSVQNREILLELAGKRKKLKRLEKKDPEFSKFLESYENGLEKLRDEENFSDEDNTSDDGTQNPSKGSAILSKDKLLTSSALNTLCQLVREQRSISALTSLLNGYRAACHCGTEPSGLLDVDSCCGLQDSKTFSKILIFMLQEADNIFRGMLGISCSSCKKETILELKNTLKWKTMKPLIKSYLRSTLFLLNQVTDSEILAFSLVQLRASIIFFAAFRPLLHRLIKIAVHLWVTGEGCLASHSFLVIKHVASVFRSDCFNSCLIKTYKAFIGHCKFVDPVSSKHIQFLRNSFIELCSQDVPNSSSKAMVCVEQLAKILQMGLRAKKKEAVKRICSWQYTNCIDLWVSFISANIQDYDLKPLLYTIIQIINGVAVLFPGPRYLPLRLKCIQWLNNLSSSSGVFIPVASFALDILEYKTGKDNGKPGKDFNFSSSVKLPKHWLKSRNFQEKCVSSVIELLAMHFAQWSYHITFLLPNNTLHGGANNEVLEERKVDLSIDDGRDGKRVKKRKT >EOY18563 pep chromosome:Theobroma_cacao_20110822:10:3846180:3853162:1 gene:TCM_043089 transcript:EOY18563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar complex protein 2 isoform 2 MGKLGKKARKFAKKNLQSVLKRKRKLKSMFKKKGSKRDEQDEAENLQEEQISKSNGRDLESENIEDAALDAVFSDESDVVEDDSESDGYLSEDSGCAYLDGNGSESNQDDMNVDNIGASELSVQNREILLELAGKRKKLKRLEKKDPEFSKFLESYENGLEKLRDEENFSDEDNTSDDGTQNPSKGSAILSKDKLLTSSALNTLCQLVREQRSISALTSLLNGYRAACHCGTEPSGLLDVDSCCGLQDSKTFSKILIFMLQEADNIFRGMLGISCSSCKKETILELKNTLKWKTMKPLIKSYLRSTLFLLNQVTDSEILAFSLVQLRASIIFFAAFRPLLHRLIKIAVHLWVTGEGCLASHSFLVIKHVASVFRSDCFNSCLIKTYKAFIGHCKFVDPVSSKHIQFLRNSFIELCSQDVPNSSSKAMVCVEQLAKILQMGLRAKKKEAVKRICSWQYTNCIDLWVSFISANIQDYDLKPLLYTIIQIINGVAVLFPGPRYLPLRLKCIQWLNNLSSSSGVFIPVASFALDILEYKTGKDNGKPGKDFNFSSSVKLPKHWLKSRNFQEKCVSSVIELLAMHFAQWSYHITFPELATIPLIRLRKFNETTTIESFRRVVKRFIDQVEQNIEFVQRKRDEVAFSPKDHQSVESFLQFEKSSANTRFTQYYKSIMEKAASRNLVMNQKISSMLQKKSKRKKQLLPNNTLHGGANNEVLEERKVDLSIDDGRDGKRVKKRKT >EOY18565 pep chromosome:Theobroma_cacao_20110822:10:3846199:3853119:1 gene:TCM_043089 transcript:EOY18565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar complex protein 2 isoform 2 MGKLGKKARKFAKKNLQSVLKRKRKLKSMFKKKGSKRDEQDEAENLQEEQISKSNGRDLESENIEDAALDAVFSDESDVVEDDSESDGYLSEDSGCAYLDGNGSESNQDDMNVDNIGASELSVQNREILLELAGKRKKLKRLEKKDPEFSKFLESYENGLEKLRDEENFSDEDNTSDDGTQNPSKGSAILSKDKLLTSSALNTLCQLVREQRSISALTSLLNGYRAACHCGTEPSGLLDVDSCCGLQDSKTFSKILIFMLQEADNIFRGMLGISCSSCKKETILELKNTLKWKTMKPLIKSYLRSTLFLLNQVTDSEILAFSLVQLRASIIFFAAFRPLLHRLIKIAVHLWVTGEGCLASHSFLVIKHVASVFRSDCFNSCLIKTYKAFIGHCKFVDPVSSKHIQFLRNSFIELCSQDVPNSSSKAMVCVEQLAKILQMGLRAKKKEAVKRICSWQYTNCIDLWVSFISANIQDYDLKPLLYTIIQIINGVAVLFPGPRYLPLRLKCIQWLNNLSSSSGVFIPVASFALDILEYKTGKDNGKPGKDFNFSSSVKLPKHWLKSRNFQEKCVSSVIELLAMHFAQWSYHITFPELATIPLIRLRKFNETTTIESFRRVVKRFIDQVEQNIEFVQRKRDEVAFSPKDHQSVESFLQFEKSSANTRFTQYYKSIMEKAASRNLVMNQKISSMLQKKSKRKKQLLPNNTLHGGANNEVLEERKVDLSIDDGRDGKRVKKRKT >EOY19868 pep chromosome:Theobroma_cacao_20110822:10:23392305:23394724:1 gene:TCM_045252 transcript:EOY19868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANITKSCPEVELKLMVMHSKESSPRQREATILMIKKLKWLVTRARLGDSIAHSLYLSSLSTSSNLGVEEDTAWSHRHSL >EOY18864 pep chromosome:Theobroma_cacao_20110822:10:5565537:5574831:-1 gene:TCM_043364 transcript:EOY18864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 2 MGAEEIKKEMDISKWPSDLAYEQWVALPVSGARPSARYKHAAAVVGEKLYIHGGSRNGRYLSDIQVFDLRSLTWSSLKLKIESDADKSEDSGSQEVPPGTSDHSMIKWENNLLLLGGHSKKSSDAMIVHVLNLETHVCGVMETSGKVPVARGGYSVTLVGSKLIVFGGEDRSRKLLNDVHVLDLETMTWSMVEAMQTPPTPRFDHTAAVHAERYLLIFGGCSHSIFFNDLHVLDLQTMEWSQPQVQGDLVSPRAGHAGISIDEMWYIVGGGDNSNGCLETLALNMSKLVWSTLTTLKERHPLASEGLSVCSAIIDGEKHLVAFGGYNGKYSNEVFVMKLKPRDSSHPKIFQSPAAAAAAASVTAAYALAKSEKLDFPQIIDLNFNGVENNVPKKDVNIEIDAIKEEKKVLELSIEEVTAENSRLREKIDEFNSNHTELSKELQSVQGQLISERSRCFKLEAQIAELQKMLESLQSIENEVQVLRRQKSALEQEMELSAAQRQGSGGVWRWIAGST >EOY18866 pep chromosome:Theobroma_cacao_20110822:10:5565484:5574831:-1 gene:TCM_043364 transcript:EOY18866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 2 MDISKWPSDLAYEQWVALPVSGARPSARYKHAAAVVGEKLYIHGGSRNGRYLSDIQVFDLRSLTWSSLKLKIESDADKSEDSGSQEVPPGTSDHSMIKWENNLLLLGGHSKKSSDAMIVHVLNLETHVCGVMETSGKVPVARGGYSVTLVGSKLIVFGGEDRSRKLLNDVHVLDLETMTWSMVEAMQTPPTPRFDHTAAVHAERYLLIFGGCSHSIFFNDLHVLDLQTMEWSQPQVQGDLVSPRAGHAGISIDEMWYIVGGGDNSNGCLETLALNMSKLVWSTLTTLKERHPLASEGLSVCSAIIDGEKHLVAFGGYNGKYSNEVFVMKLKPRDSSHPKIFQSPAAAAAAASVTAAYALAKSEKLDFPQIIDLNFNGVENNVPKKDVNIEIDAIKEEKKVLELSIEEVTAENSRLREKIDEFNSNHTELSKELQSVQGQLISERSRCFKLEAQIAELQKMLESLQSIENEVQVLRRQKSALEQEMELSAAQRQGSGGVWRWIAGST >EOY18865 pep chromosome:Theobroma_cacao_20110822:10:5565484:5574814:-1 gene:TCM_043364 transcript:EOY18865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 2 MDISKWPSDLAYEQWVALPVSGARPSARYKHAAAVVGEKLYIHGGSRNGRYLSDIQVFDLRSLTWSSLKLKIESDADKSEDSGSQEVPPGTSDHSMIKWENNLLLLGGHSKKSSDAMIVHVLNLETHVCGVMETSGKVPVARGGYSVTLVGSKLIVFGGEDRSRKLLNDVHVLDLETMTWSMVEAMQTPPTPRFDHTAAVHAERYLLIFGGCSHSIFFNDLHVLDLQTMEWSQPQVQGDLVSPRAGHAGISIDEMWYIVGGGDNSNGCLETLALNMSKLVWSTLTTLKERHPLASEGLSVCSAIIDGEKHLVAFGGYNGKYSNEVFVMKLKPRDSSHPKIFQSPAAAAAAASVTAAYALAKSEKLDFPQIIDLNFNGVENNVPKKDVNIEIDAIKEEKKVLELSIEEVTAENSRLREKIDEFNSNHTELSKELQSVQGQLISERSRCFKLEAQIAELQKMLESLQSIENEVQVLRRQKSALEQEMELSAAQRQGSGGVWRWIAGST >EOY18027 pep chromosome:Theobroma_cacao_20110822:10:1827753:1830085:1 gene:TCM_042695 transcript:EOY18027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3G1 MAIDQTDPNPNQSKPSKLRWGELEEDDDLDFLLPPKEVIGPDENGIKKVIEYKFNEEGNKVKITTTTRVRKLAKARLNKRALERRNWEKFGDAVREDVGSRLTMVSTEEILLERPRAPGTKPEEIKVAGDSLAQLSKGGAVLMVCRTCGKKGDHWTSKCPYKDLAAPVETFVDKPAASETSMAASGAGKGAYVPPSMRAGAERTGGSDMRRRNDENSVRVTNLSEDTREPDLMELFRTFGPVTRVYVAMDQKTGTSRGFGFVNFVNREDAQRAINKLNGYGYDNLILRVEWATPRSN >EOY19783 pep chromosome:Theobroma_cacao_20110822:10:22488578:22489607:1 gene:TCM_045121 transcript:EOY19783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLSVNYGRVQMHCTKMSAGCFRGTNGHLPTPLRVAIKLAFCSLGGEGGFERNPKRVKKQVEGKNVEEKTLETKNP >EOY17705 pep chromosome:Theobroma_cacao_20110822:10:627321:630649:1 gene:TCM_042459 transcript:EOY17705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MMNKNWKFVRLRQEFGVGVVLFLLCQSLVCRSLNEEGLALLRLKERVVNDPFGAFSDWKEEDGLFDHCSWFGVECSDGKVVVLNLKDLCLEGTLAPELGTLIHIKSIILRNNLFTGIIPEEIGELKKLEVLDLGYNNFSGPVPPELGSNLSLMILLLDDNELLISSFSPEIAELQKLSETQVDENQLSITAKSSCKKRFNTWNIGQYEDAVQRRLLLAAAAPSPEGPPSFSFSKIPPQPHAPQIGPHPASAETSPNSTYNRDDPHKRRSSAQAPAPSENNTGSPNASDPSSSGSKPTSSHSNSNQRPTIIAGAIGGAIFLLILIVGTYLFEASKVSTVKPWATGLSGQLQKAFVTGVPKLKRSELEGACEDFSNVIGSSTIGTVYKGTLSNGVEIAVASVPVKSAKDWSKPLETQFRKKIDTLSKVNHKNFVNLLGYCEEEEPFTRMMVFEYAPNGTLFEHLHIKESEHLDW >EOY17704 pep chromosome:Theobroma_cacao_20110822:10:627132:631942:1 gene:TCM_042459 transcript:EOY17704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MMNKNWKFVRLRQEFGVGVVLFLLCQSLVCRSLNEEGLALLRLKERVVNDPFGAFSDWKEEDGLFDHCSWFGVECSDGKVVVLNLKDLCLEGTLAPELGTLIHIKSIILRNNLFTGIIPEEIGELKKLEVLDLGYNNFSGPVPPELGSNLSLMILLLDDNELLISSFSPEIAELQKLSETQVDENQLSITAKSSCKKRFNTWNIGQYEDAVQRRLLLAAAAPSPEGPPSFSFSKIPPQPHAPQIGPHPASAETSPNSTYNRDDPHKRRSSAQAPAPSENNTGSPNASDPSSSGSKPTSSHSNSNQRPTIIAGAIGGAIFLLILIVGTYLFEASKVSTVKPWATGLSGQLQKAFVTGVPKLKRSELEGACEDFSNVIGSSTIGTVYKGTLSNGVEIAVASVPVKSAKDWSKPLETQFRKKIDTLSKVNHKNFVNLLGYCEEEEPFTRMMVFEYAPNGTLFEHLHIKESEHLDWPMRLRIIMGMAYCLEHMHQLNPPIPHNNLSSSAVNLTEDYAAKMSDPCFWNEITAAEREADRTNLADPSLSSLESNVYTFGVLLFEIVTGRMPYLVDNGSLEDWASDYLRRKQALIEMVDPTLNSFDRDQLEELGKVIKSCVHPEPRCRPDMKEVSARLREITAITPDSAIPKLSPLWWADLLYIFEIERKSRKFSLVFGFQCENT >EOY18638 pep chromosome:Theobroma_cacao_20110822:10:4139713:4141136:1 gene:TCM_043138 transcript:EOY18638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKKSTNKVLHLSFIKRGVGGCYFISRGSWQSPNQHFLLLHFHHLLVGTHFLLCPHGKALSLTIKSFNTLEIFAAKGPWRAWHCRNSVVARFIVTSARTICKGT >EOY17940 pep chromosome:Theobroma_cacao_20110822:10:1514568:1518767:-1 gene:TCM_042632 transcript:EOY17940 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20 chaperones superfamily protein MAMIPSFFGSRRSNIFDPFSLDIWDPFEGCPFTTNAVANVPSSSHEKSAIANTRIDWKETPEAHIFKADLPGLKKAEVKMEVEEGKVLQISGERSREQEDKNDTWHRIERSSGKFLRKFRLTEIAKMDQIKARMENGVLSVIVPKEEEKKPEVKPIEISG >EOY18068 pep chromosome:Theobroma_cacao_20110822:10:1970485:1972051:-1 gene:TCM_042721 transcript:EOY18068 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative MKAAVAAFFELSPEEKKKYATPENDIQGYGQAYVVSDEQKLDWCDIVLLITLPPEIRNLKFWPDSLPGRQWISTREVQKVADEICANISLLMGMAGEGLKRFYGKTKQAMRMNYYPPCSRPDLVVGISPHSDSDIITLLLQDDDIPGLQIKHKHRWFLVKPIPNAIVVNVGHVMEILSNGMYRSI >EOY20106 pep chromosome:Theobroma_cacao_20110822:10:24880934:24883643:1 gene:TCM_045501 transcript:EOY20106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome p450 79a2 MDNSSTISFQTQLPWGSHDFAISTLVSFHSTLVLVLLFAPLVLVKLKSTTRKNYSNRAPLPPGPTPWPVIGNLPEIWKNKPAFRWIHGLMKQLDTDIACIRLANIHVIPVTSPEIAREFLKKYDAVFASRPLTMATELASRGFLSTILVPWGDQWKKMRRVVTSNIMRPETLSWLLHKRTQEADNLVRFIYNQCVNPENDSSNGSVIDLRLAVRQYTGNVISRMMFNKRYFGKGKEDGGPGHEEEEHVESIFTVLIHLYSFALSDYAPWLRPLDLEGHEKIVSEAMRIVNGYHDPIIDERVQQWREGKKKEPEDLLDAFILAKDSDGKPALSVEEIKAQCFDLMLATVDNPANAAEWAMAEMINQPETLQKAIEEIDGVVGKDRLVQETDIPKLNFVKACAREAFRLHPMAPFNPPHVSNADAIVAGYFIPKGSHVLLSRVGLGRNPQVWDEPSKFKPERHFKDGSMEVNLTETELRFISFSTGRRGCIGVALGSEMTIMLFARLIQGFAWKVPPDEAKIDLSESEDNLFLAKPLHALAKPRLPAAVYDQLNYK >EOY17978 pep chromosome:Theobroma_cacao_20110822:10:1660963:1663492:-1 gene:TCM_042659 transcript:EOY17978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein, putative isoform 2 MKGRKYLLPRLLLHGVLLALLTSRAASHSQSNTNRKSYIVYMGDRPSDGTSTSLLHSSMLQDVYGSNVIKKSVLYSYKRSFNGFVVELTEEEARKMAGMNGVVSVFPNEKKNLHTTRSWDFMGFSQQVERAALESDVIIGVLDTGIWPESESFNDQGLGPPPKKWKGSCQTAGGNFTCNNKIIGGQYYRSVGFFGPNDINSPRDSDGHGTHTASTAAGKLVDRASLFGFGSGTARGGVPSARIAAYKICWSDGCDYADILAAFDDAIADGVDIISLSVGGHSPEDYFRDPIAIGAFHAMKNGVLTVISAGNDGPERSTISNFSPWSLAVAASTIDRKFFTKVQLGNSKIYEGVSINTFDLQNKMYPMIYGGDAASPNATRSFARFCFQNSLDQNLVKGKIVLCDTLSRGRGPFSAGAVGTVMRDQLPNDNARSFPLPASYLDLVDGSKIFAYINSTSTPTATIFKSNEANDSLAPYVVSFSSRGPNPITPDILKVS >EOY17975 pep chromosome:Theobroma_cacao_20110822:10:1660065:1663492:-1 gene:TCM_042659 transcript:EOY17975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein, putative isoform 2 MKGRKYLLPRLLLHGVLLALLTSRAASHSQSNTNRKSYIVYMGDRPSDGTSTSLLHSSMLQDVYGSNVIKKSVLYSYKRSFNGFVVELTEEEARKMAGMNGVVSVFPNEKKNLHTTRSWDFMGFSQQVERAALESDVIIGVLDTGIWPESESFNDQGLGPPPKKWKGSCQTAGGNFTCNNKIIGGQYYRSVGFFGPNDINSPRDSDGHGTHTASTAAGKLVDRASLFGFGSGTARGGVPSARIAAYKICWSDGCDYADILAAFDDAIADGVDIISLSVGGHSPEDYFRDPIAIGAFHAMKNGVLTVISAGNDGPERSTISNFSPWSLAVAASTIDRKFFTKVQLGNSKIYEGVSINTFDLQNKMYPMIYGGDAASPNATRSFARFCFQNSLDQNLVKGKIVLCDTLSRGRGPFSAGAVGTVMRDQLPNDNARSFPLPASYLDLVDGSKIFAYINSTSTPTATIFKSNEANDSLAPYVVSFSSRGPNPITPDILKAFPMSSGINLDAEFAYGSGNLNPIKAVNPGLVYDSEEVDYIKFLCGQGYSTRFLQLVTRDNATCSEATNGTVWDLNYPSFALFTSPLKPVSRTFNRTVTNVGSPMSTYTATVTAPAGALKIQVNPNVLSFTSLGQKLSFELAIEGTTDKAIVSASLEWDDGVHKVRSPIIVFI >EOY17976 pep chromosome:Theobroma_cacao_20110822:10:1660940:1663447:-1 gene:TCM_042659 transcript:EOY17976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein, putative isoform 2 HGVLLALLTSRAASHSQSNTNRKSYIVYMGDRPSDGTSTSLLHSSMLQDVYGSNVIKKSVLYSYKRSFNGFVVELTEEEARKMAGMNGVVSVFPNEKKNLHTTRSWDFMGFSQQVERAALESDVIIGVLDTGIWPESESFNDQGLGPPPKKWKGSCQTAGGNFTCNNKIIGGQYYRSVGFFGPNDINSPRDSDGHGTHTASTAAGKLVDRASLFGFGSGTARGGVPSARIAAYKICWSDGCDYADILAAFDDAIADGVDIISLSVGGHSPEDYFRDPIAIGAFHAMKNGVLTVISAGNDGPERSTISNFSPWSLAVAASTIDRKFFTKVQLGNSKIYEGVSINTFDLQNKMYPMIYGGDAASPNATRSFARFCFQNSLDQNLVKGKIVLCDTLSRGRGPFSAGAVGTVMRDQLPNDNARSFPLPASYLDLVDGSKIFAYINSTSTPTATIFKSNEANDSLAPYVVSFSSRGPNPITPDILKPDLSAPGVHILAAWS >EOY17977 pep chromosome:Theobroma_cacao_20110822:10:1660065:1667653:-1 gene:TCM_042659 transcript:EOY17977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein, putative isoform 2 MGKKPSGGSSTSSLHNSMLQDAFGSEFDMKSVLCTYKRSFDGFVVQLTEEEASKMAGMNGVVSVFPNEKRDLHTTRSWDFMGFSQQVERSASESDVIIGVLDTGIWPESESFNDKGFGPPPRKWKGNCQTAGGNFTCNNKIIGGQYYRSVGFFGPNDINSPRDSDGHGTHTASTAAGKLVDRASLFGFGSGTARGGVPSARIAAYKICWSDGCDYADILAAFDDAIADGVDIISLSVGGHSPEDYFRDPIAIGAFHAMKNGVLTVISAGNDGPERSTISNFSPWSLAVAASTIDRKFFTKVQLGNSKIYEGVSINTFDLQNKMYPMIYGGDAASPNATRSFARFCFQNSLDQNLVKGKIVLCDTLSRGRGPFSAGAVGTVMRDQLPNDNARSFPLPASYLDLVDGSKIFAYINSTSTPTATIFKSNEANDSLAPYVVSFSSRGPNPITPDILKAFPMSSGINLDAEFAYGSGNLNPIKAVNPGLVYDSEEVDYIKFLCGQGYSTRFLQLVTRDNATCSEATNGTVWDLNYPSFALFTSPLKPVSRTFNRTVTNVGSPMSTYTATVTAPAGALKIQVNPNVLSFTSLGQKLSFELAIEGTTDKAIVSASLEWDDGVHKVRSPIIVFI >EOY20152 pep chromosome:Theobroma_cacao_20110822:10:25065858:25067535:-1 gene:TCM_045540 transcript:EOY20152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MREDETENSGGAASSASYAAPSFLRRRILLLSDSPEVAYLELLDRVYSFKSTDPVDDSHKCGVVPVPCIAVAAYFYFSQCNLTCGGAVESVDCRWRRGRKVMRWKSGRTG >EOY20151 pep chromosome:Theobroma_cacao_20110822:10:25066756:25067164:-1 gene:TCM_045540 transcript:EOY20151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MREDETENSGGAASSASYAAPSFLRRRILLLSDSPEVAYLELLDRVYSFKSTDPVDDSHKCGVVPVPCIAVAAYFYFSQCNLTWAVEGLLKASIADGGEVGR >EOY18225 pep chromosome:Theobroma_cacao_20110822:10:2434452:2442778:1 gene:TCM_042824 transcript:EOY18225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein MAAPPVRARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLAESDNRAEPTTLKINQQDPAASSGQAAQKSTCCGS >EOY18637 pep chromosome:Theobroma_cacao_20110822:10:4135084:4136668:-1 gene:TCM_043137 transcript:EOY18637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MARHPVAEADEKSPFGTLTPDEFYARHSVSHSSEFFTNARGLKLFTQWWTPLNTPISGIVAVVHGFTGESSWLLQLTSVLFAKSGFAACAIDHQGHGFSEGLDGLEAHIPSIDGVVDDCIQFFDAYRARHAADLPAFLYAESLGGAIALYISLRQKGAWDGLILNGAMCGISAKFKPPWPLEHFLFIAAKLMPTWRVVPTRGSLPEVSFKEPWKRKLAIASPRRTVARPRAATAYELIRICNDLQGKFEEVDVPLLIVHGGDDVVCDPACIEELYKRAASVDKTLKIYPEMWHQLIGEPEEGVELVFGEMVEWLKSRASQTAEANGDGSARAAVGSVSA >EOY19180 pep chromosome:Theobroma_cacao_20110822:10:12888142:12988496:-1 gene:TCM_044048 transcript:EOY19180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSMIMCVLCHDPKLPIEPVTIAAKPRQTFFTPNVDRNLARLSYKLSHFPGAFSSFLSKTSKLAKNVVSYLM >EOY19688 pep chromosome:Theobroma_cacao_20110822:10:20656071:20658790:1 gene:TCM_044911 transcript:EOY19688 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 57 MAPVGLPPGFRFHPTDEELVNYYLKRKINGQEIELDIIPEVDLYKCEPWDLSEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVTCQNRAIGMKKTLVYYRGRAPQGVRTDWVMHEYRLDDKECEDTCGIQDSYALCRVFKKNGICSEAEEQGQCSISLMECSQGVVNDSETMSPDLPLASPSGIEEEDKDDSWMQFITDDAWCSSNTSAMAGDEASNVVFTN >EOY19141 pep chromosome:Theobroma_cacao_20110822:10:11032744:11033308:-1 gene:TCM_043915 transcript:EOY19141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding MEKLNSQLYWQNYCIIKENERLRRKAQQLNQENQAMLSELKQKLAKGRSNPEQGSCLSSTSNPNYSDPSKP >EOY18158 pep chromosome:Theobroma_cacao_20110822:10:2213265:2216228:-1 gene:TCM_042773 transcript:EOY18158 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein isoform 2 MKVTLVSRSGREVIKGGLELHDSATVADLQEAIHKRTKKFYPSRQRLTLPVPPGSKERPVVLNYKKGLKDYCDGNQNNITVVFKDLGPQVSYPTLFFFEYLGPLILYPTFYYFPVYQFFGYKVERVIHPVQTYALYYWCFHYFKRIMETFFIHRFSHATSPLSNVFRNCAYYWTFGAYIAYYVNHPLYTPVSDLQMKIGFGFGLICQLANFYCHIILKNLRSPDGSGGYQIPSGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYVFLVVATSIMTNWALAKHRRLKKLFDGKEGRPKYPRRWVILPPFL >EOY18159 pep chromosome:Theobroma_cacao_20110822:10:2213133:2215926:-1 gene:TCM_042773 transcript:EOY18159 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein isoform 2 MKEKKGNLLFFFFFFFSCRPLWLICRRQFTKEVTAKKFYPSRQRLTLPVPPGSKERPVVLNYKKGLKDYCDGNQNNITVVFKDLGPQVSYPTLFFFEYLGPLILYPTFYYFPVYQFFGYKVERVIHPVQTYALYYWCFHYFKRIMETFFIHRFSHATSPLSNVFRNCAYYWTFGAYIAYYVNHPLYTPVSDLQMKIGFGFGLICQLANFYCHIILKNLRSPDGSGGYQIPSGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYVFLVVATSIMTNWALAKHRRLKKLFDGKEGRPKYPRRWVILPPFL >EOY17973 pep chromosome:Theobroma_cacao_20110822:10:1644748:1652068:-1 gene:TCM_042656 transcript:EOY17973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein, putative MYPIIHGGSAPNTTGNFTWASSRNCLQNSLDRNLVKGKIVLCDRFVSGREPLRAGAVGTLLRDNAPNDDASLFPLPASYLDLVDGSKIFVYVNSTSCGTLTATIFKSKEANDSLAPYVVSCSSSGPNPITPDILKPDLSAPGVHILAAWSLISPVSETKGDNRLEPFNIISGTSMACPHVSAVAAYVKSFHPTWSPAALKSALMTTAFLMIFGINLDAEFAYGSSHLNPIKAVNPGLVYDSEEVDYINFLCGQGYSTRFLQLVTRDNATCSEATNGTVWDLNYPSFSLFTSLLKPVSRTFNRTVTNVASPMSTYTATVSAPAGALKIQVNPNVLSFTSLRQKLSFVLTIEGTTEKAIVSASLEWDDGVHKVRSPIIVFI >EOY17974 pep chromosome:Theobroma_cacao_20110822:10:1646012:1646781:-1 gene:TCM_042656 transcript:EOY17974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein, putative MKNGVLTVISAGNDGPERSTISNFSPWSFAVAASTIDRKFFTKVQLGNSNIYEGVSINTFDLQNKMYPMIYGGDAASPNASRSSARYCNQNSLDQNLVKGKIVLCDKLSRGRGPFLAGAVGTVM >EOY18356 pep chromosome:Theobroma_cacao_20110822:10:3169594:3173224:1 gene:TCM_042961 transcript:EOY18356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein isoform 1 MLEGFNEMDALAERGFIPGMSKEEREKLARSETLAIRISNIANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMSTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESVQTMISDEDEFNLTKEQERWVVVIMLGVTVVKLLLVFYCRTFTNEIVKAYAQDHFFDVITNIIGLVAALLANYIDDWIDPVGAIILALYTIRTWSMTVLENVNSLVGRSAAPEYLQKLTYLCWNHHKAIRHIDTVRAYTFGSHYFVEVDIVLPANMPLQEAHDIGESLQEKLELLPEIERAFVHLDYEYTHKPEHAQAHAL >EOY18355 pep chromosome:Theobroma_cacao_20110822:10:3167679:3173161:1 gene:TCM_042961 transcript:EOY18355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein isoform 1 MGEPEARESDEELCLLSHQSNGDRSWRLNFDGFQLSPEHKDKKPPRSLHDCLGVSGPEDNVAEYYQQQVEMLEGFNEMDALAERGFIPGMSKEEREKLARSETLAIRISNIANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMSTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESVQTMISDEDEFNLTKEQERWVVVIMLGVTVVKLLLVFYCRTFTNEIVKAYAQDHFFDVITNIIGLVAALLANYIDDWIDPVGAIILALYTIRTWSMTVLENVNSLVGRSAAPEYLQKLTYLCWNHHKAIRHIDTVRAYTFGSHYFVEVDIVLPANMPLQEAHDIGESLQEKLELLPEIERAFVHLDYEYTHKPEHAQAHAL >EOY18357 pep chromosome:Theobroma_cacao_20110822:10:3167824:3172423:1 gene:TCM_042961 transcript:EOY18357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein isoform 1 MGEPEARESDEELCLLSHQSNGDRSWRLNFDGFQLSPEHKDKKPPRSLHDCLGVSGPEDNVAEYYQQQVEMLEGFNEMDALAERGFIPGMSKEEREKLARSETLAIRISNIANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMSTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESVQTMISDEDEFNLTKEQERWVVVIMLGVTVVKLLLVFYCRTFTNEIVKAYAQDHFFDVITNIIGLVAALLANYIDDWIDPVGAIIVCKIFSPFPDPFFFQLFLVFWCWSLLCLLVA >EOY19871 pep chromosome:Theobroma_cacao_20110822:10:23395001:23400741:-1 gene:TCM_045253 transcript:EOY19871 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein / F-box family protein, putative isoform 1 MLNNGTEFGMDAHGNVRSIWSVSDCHVLGCKLHCNGVDPSNKRLYELHDIFKSLPSVINKGMTDSSRVQPAEDTHTSGIWDLADDILINILATLDPMGLTRVAATCRHLRSLAALIMPCMKLKLFPHQQAAVEWMLRRERSAEFLRHPLFMELSTEDGFSFYVNSVSGSIVTGMAPTIRDFRGGMFCDEPGLGKTITALSLILKTQGTMADPPEGVQIIWCTHNSNDKCGYYELRGDEFTCNNMILGKRTLSQNALRVQSSLGKFSLKEETNHSLLKRARLMDPGERSAEFNDSCFERRINSPSASYFEPVTWVVRSPRNLGHIRKNLLYAYDGLSASCKGKAVEKNAHIRNGSRHVYWGKQVGVSYGALDGCMRPGKATAGCTMCNETWVQCDACHKWRKLADSSIADAKVAWFCSMNTDPAYQSCTDPEEAWDNHESITYLPGFFTKGTAGGKEENVSFFISVLKEHYAVINSKTKKALIWLAKLSPERLFEMETVGLSSPILGTGVAEDALGFHKIFQAFGLIKRVEKGFCRWYYPRTLENLAFDLAALRIALCEPLDSVRLYLSRATLVVVPSNLVDHWKTQIQKHVRPGQLQLYVWTDQRKPPVHSLAWDYDIVITTFNRLSAEWGPRKRSALMQVHWLRVILDEGHTLGSSLNLTNKLQMAISLTASSRWLLTGTPTPNTPNSQLSHLQPLLKFLHEEAYGQNQKSWEAGILKPFEAKMEEGRSRLLQLLHRCMISARKIDLQTIPPCIKKVTFVKFTDEHARSYNELVVTVRRNILMADWNDPSHVESLLNPKQWKFRSTTIRNVRLSCCVAGHIKVTEAGEDIQETMDILVENGLDPLSEEYAFIKYNLLYGGNCQRCNEWCRLPVVTPCRHLLCLDCVGLDSKVCTLPGCGRLYEMQTPETLARPENPNPKWPVPKDLIELQPSYKQDDWNPDWQSTTSSKVAYLVERLKALQEVNKEIRCSMDEDNDAKHIDKLLWPSQRSNMGVPLLQNCSRHGKESYKTLPQKVLIFSQFLEHIHVIEQQLTFAGIKFAGMYSPMHSSNKMKSLAMFQYDDSCMALLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGATRPIHVETLAMSGTIEEQMLEFLQDADACRKFLKEESQRPDREGSRTRRTLHDFAESNYLARLSFVHRNSVS >EOY19869 pep chromosome:Theobroma_cacao_20110822:10:23394681:23402521:-1 gene:TCM_045253 transcript:EOY19869 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein / F-box family protein, putative isoform 1 MDETVPDHKLCGYLCTVLAVPSQSVTTTIPFSTPCHLTTDDDGNICFRSQNGVVLSVIRNGHASNHDNAGSSRKKGGRRRIGMVNGSMSVVHQFHALVAHKCVKIYARVLRVEESGEEEEEARAVVLVDVYLPIELWAGWQFPRSGSVAGSLFRHLSCDWKERSLMLNNGTEFGMDAHGNVRSIWSVSDCHVLGCKLHCNGVDPSNKRLYELHDIFKSLPSVINKGMTDSSRVQPAEDTHTSGIWDLADDILINILATLDPMGLTRVAATCRHLRSLAALIMPCMKLKLFPHQQAAVEWMLRRERSAEFLRHPLFMELSTEDGFSFYVNSVSGSIVTGMAPTIRDFRGGMFCDEPGLGKTITALSLILKTQGTMADPPEGVQIIWCTHNSNDKCGYYELRGDEFTCNNMILGKRTLSQNALRVQSSLGKFSLKEETNHSLLKRARLMDPGERSAEFNDSCFERRINSPSASYFEPVTWVVRSPRNLGHIRKNLLYAYDGLSASCKGKAVEKNAHIRNGSRHVYWGKQVGVSYGALDGCMRPGKATAGCTMCNETWVQCDACHKWRKLADSSIADAKVAWFCSMNTDPAYQSCTDPEEAWDNHESITYLPGFFTKGTAGGKEENVSFFISVLKEHYAVINSKTKKALIWLAKLSPERLFEMETVGLSSPILGTGVAEDALGFHKIFQAFGLIKRVEKGFCRWYYPRTLENLAFDLAALRIALCEPLDSVRLYLSRATLVVVPSNLVDHWKTQIQKHVRPGQLQLYVWTDQRKPPVHSLAWDYDIVITTFNRLSAEWGPRKRSALMQVHWLRVILDEGHTLGSSLNLTNKLQMAISLTASSRWLLTGTPTPNTPNSQLSHLQPLLKFLHEEAYGQNQKSWEAGILKPFEAKMEEGRSRLLQLLHRCMISARKIDLQTIPPCIKKVTFVKFTDEHARSYNELVVTVRRNILMADWNDPSHVESLLNPKQWKFRSTTIRNVRLSCCVAGHIKVTEAGEDIQETMDILVENGLDPLSEEYAFIKYNLLYGGNCQRCNEWCRLPVVTPCRHLLCLDCVGLDSKVCTLPGCGRLYEMQTPETLARPENPNPKWPVPKDLIELQPSYKQDDWNPDWQSTTSSKVAYLVERLKALQEVNKEIRCSMDEDNDAKHIDKLLWPSQRSNMGVPLLQNCSRHGKESYKTLPQKVLIFSQFLEHIHVIEQQLTFAGIKFAGMYSPMHSSNKMKSLAMFQYDDSCMALLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGATRPIHVETLAMSGTIEEQMLEFLQDADACRKFLKEESQRPDREGSRTRRTLHDFAESNYLARLSFVHRNSVS >EOY19870 pep chromosome:Theobroma_cacao_20110822:10:23394163:23402896:-1 gene:TCM_045253 transcript:EOY19870 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein / F-box family protein, putative isoform 1 MDETVPDHKLCGYLCTVLAVPSQSVTTTIPFSTPCHLTTDDDGNICFRSQNGVVLSVIRNGHASNHDNAGSSRKKGGRRRIGMVNGSMSVVHQFHALVAHKCVKIYARVLRVEESGEEEEEARAVVLVDVYLPIELWAGWQFPRSGSVAGSLFRHLSCDWKERSLMLNNGTEFGMDAHGNVRSIWSVSDCHVLGCKLHCNGVDPSNKRLYELHDIFKSLPSVINKGMTDSSRVQPAEDTHTSGIWDLADDILINILATLDPMGLTRVAATCRHLRSLAALIMPCMKLKLFPHQQAAVEWMLRRERSAEFLRHPLFMELSTEDGFSFYVNSVSGSIVTGMAPTIRDFRGGMFCDEPGLGKTITALSLILKTQGTMADPPEGVQIIWCTHNSNDKCGYYELRGDEFTCNNMILGKRTLSQNALRVQSSLGKFSLKEETNHSLLKRARLMDPGERSAEFNDSCFERRINSPSASYFEPVTWVVRSPRNLGHIRKNLLYAYDGLSASCKGKAVEKNAHIRNGSRHVYWGKQVGVSYGALDGCMRPGKATAGCTMCNETWVQCDACHKWRKLADSSIADAKVAWFCSMNTDPAYQSCTDPEEAWDNHESITYLPGFFTKGTAGGKEENVSFFISVLKEHYAVINSKTKKALIWLAKLSPERLFEMETVGLSSPILGTGVAEDALGFHKIFQAFGLIKRVEKGFCRWYYPRTLENLAFDLAALRIALCEPLDSVRLYLSRATLVVVPSNLVDHWKTQIQKHVRPGQLQLYVWTDQRKPPVHSLAWDYDIVITTFNRLSAEWGPRKRSALMQVHWLRVILDEGHTLGSSLNLTNKLQMAISLTASSRWLLTGTPTPNTPNSQLSHLQPLLKFLHEEAYGQNQKSWEAGILKPFEAKMEEGRSRLLQLLHRCMISARKIDLQTIPPCIKKVTFVKFTDEHARSYNELVVTVRRNILMADWNDPSHVESLLNPKQWKFRSTTIRNVRLSCCVAGHIKVTEAGEDIQETMDILVENGLDPLSEEYAFIKYNLLYGGNCQRCNEWCRLPVVTPCRHLLCLDCVGLDSKVCTLPGCGRLYEMQTPETLARPENPNPKWPVPKDLIELQPSYKQDDWNPDWQSTTSSKVAYLVERLKALQEVNKEIRCSMDEDNDAKHIDKLLWPSQRSNMGVPLLQNCSRHGKESYKTLPQKVLIFSQFLEHIHVIEQQLTFAGIKFAGMYSPMHSSNKMKSLAMFQYDDSCMALLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGATRPIHVETLAMSGTIEEQMLEFLQDADACRKFLKEESQRPDREGSRTRRTLHDFAESNYLARLSFVHRNSVS >EOY19115 pep chromosome:Theobroma_cacao_20110822:10:10575337:10592411:-1 gene:TCM_043862 transcript:EOY19115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein isoform 2 MALKIVFLLLLFSFQSRLSLSDQTNSMESVPDLQKSMYMVVDGYPCVRLVNLSGEIGCSNPGRDKVVAPIVKYKDTKELGQPSAILLSMDDVQGFFSRVSNDSSFARNVGGVLVESGIEIQNKLKGFSPAQKFPQAEFAPYHNTSYEWNPIGNGDMWKSYNFPVFLLSESSTSTLQEVTMKNEKTEKAYTTNVAEFDLVMQTTKVGTHDSESCLKEETCLPLGGYSVWSAVPPINSSSSNQSKPIIITVASMDAASFFRDKSLGADSPISGVISLLAAVDALSRVDGLDDLNKQLVFLVFTGEAWGYLGSRRFLLELDQQSDAVRGLNSTLVELVMEIGSTGKGFSQGNKTFFAHTEVSSGANEALDALKLAQESLKSEGVTISTANSSNPGIPPSSLMAFLRKNSSTSGIVLEDFDSIFVNKFYHSHLDDSSNINSSAIVAAASLVARTLYILASNNKDLTSSAISTISVNASLVEELISCMLDCNPGLSCELVKSYISSTNTCPSHYVGVVLGEPSSTPYPSQVDDVSRFLWNFLADRTSIPKGNTSVCSHDCGKNGGMCIRAETDGKGVCVISTTRYVPAYSTRLKFDSGTWKVLPPNSTDPMGMLDPVWTESNWNTIGLRVYTVQDPAYDRLVLLGGVAVTVLSYFAIVLTRAYITKALKQD >EOY19116 pep chromosome:Theobroma_cacao_20110822:10:10575614:10592369:-1 gene:TCM_043862 transcript:EOY19116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein isoform 2 MALKIVFLLLLFSFQSRLSLSDQTNSMESVPDLQKSMYMVVDGYPCVRLVNLSGEIGCSNPGRDKVVAPIVKYKDTKELGQPSAILLSMDDVQGFFSRVSNDSSFARNVGGVLVESGIEIQNKLKGFSPAQKFPQAEFAPYHNTSYEWNPIGNGDMWKSYNFPVFLLSESSTSTLQEVTMKNEKTEKAYTTNVAEFDLVMQTTKVGTHDSESCLKEETCLPLGGYSVWSAVPPINSSSSNQSKPIIITVASMDAASFFRDKSLGADSPISGVISLLAAVDALSRVDGLDDLNKQLVFLVFTGEAWGYLGSRRFLLELDQQSDAVRGLNSTLVELVMEIGSTGKGFSQGNKTFFAHTEVSSGANEALDALKLAQESLKSEGVTISTANSSNPGIPPSSLMAFLRKNSSTSGIVLEDFDSIFVNKFYHSHLDDSSNINSSAIVAAASLVARTLYILASNNKDLTSSAISTISVNASLVEELISCMLDCNPGLSCELVKSYISSTNTCPSHYVGVVLGEPSSTPYPSQVDDVSRFLWNFLADRTSIPKGNTSVCSHDCGKNGGMCIRAETDGKGVCACDSAL >EOY19575 pep chromosome:Theobroma_cacao_20110822:10:18947061:18948699:1 gene:TCM_044718 transcript:EOY19575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase 9 MDKGKKRLAVLVGCNYPNTKHELHGCINDVVAMREVLVERFGFDPSHVKLLTDAPGSLVMPTGANMKAALNEMVNKAEAGDVLFFHYSGHGTRIPSLKPDNHFRQDEAIVPCDFNLITDVDFRQLVNRLPKGATFTILSDSCHSGGLIDKEKEQIGPSTIKNTTSVSYRVKTIPFQSVLQHLSSLTSINTSDIGTHLLEFFGADASLKFRLPQLESDLLESLKTDEGILLSGCQADETSADMNAIEGGGKAYGAFSNAVHMVLKENPGALSNRKVVLMARKVLEAQGFEQHPCLYCSDGNSDATFLLQPKAK >EOY18174 pep chromosome:Theobroma_cacao_20110822:10:2263472:2267363:1 gene:TCM_042787 transcript:EOY18174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein MATRGWDPADSEVEEEDEDETSSPSPSALPSPSSPLPYFDRDRHVAFLEMMYNLIPHYYQSQEINRLTLAFFTISGLHLLQALDRVDKDRVANWVLSFQAHPQSKAELKNGQFYGFHGSRTSQFPPDENGLSPYNAGHLASTYCALAILKTVGYNLLTIDKESILISMRNLQQPDGSFMPLHVGAETDLRFVYCAAAVCFMLEDWSGMDREKAKEYILNCQSYDGGFGLSPGSESHGGGTYCAVASLRLMGYIEDDLLSKNASSSIINVPLLLDWSMQRQATDGGFQGRANKASDTCYAFWIGAVLRILGGYKFIDKIALRSFLLTCQSEFGGFSKYPEMQPDIYHSYYGCTAFSLLEEPGLNPLCAELGMTDLAALGII >EOY17645 pep chromosome:Theobroma_cacao_20110822:10:445288:447446:-1 gene:TCM_042417 transcript:EOY17645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MGNALRFLYSYCCKPATGGDAGSHGPQGVSTANVGVSALAHDIFQFEITSQVPEGLSKHVVSSRKAQANWYRKLLEAWREAKPPPNTPEEASRFVIQTLKRHQKADVEGLLAFYGLPLPHALVQPSASAPTSLPQGVKFELQTLPVDVKAIPDGDTITVYVSTTDPRESANVPRDVQLAAVQRSEARAEKNYTEADALHKKITDAGYRVLNLQNQEILARKYRIRLRGIDAPESSMPYGKEAKEELVKLVQGKCLRVLVYGEDRYGRCVGDIYCNGKFVQEIMLKKGLAWHYSAYDQRIELATWEKEARAKRVGLWASSNPEKPWEWRKDKREGR >EOY17648 pep chromosome:Theobroma_cacao_20110822:10:445286:447423:-1 gene:TCM_042417 transcript:EOY17648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MGNALRFLYSYCCKPATGGDAGSHGPQGVSTANVGVSALAHDIFQFEITSQVPEGLSKHVVSSRKAQANWYRKLLEAWREAKPPPNTPEEASRFVIQTLKRHQKADVEGLLAFYGLPLPHALVQPSASAPTSLPQGVKFELQTLPVDVKAIPDGDTITVYVSTTDPRESANVPRDVQLAAVQRSEARAEKNYTEADALHKKITDAGYRVLNLQNQEILARKYRIRLRRLEQNELVCGLHQILRNHGNGERTNEKVDDIVLIEQINLQSV >EOY17646 pep chromosome:Theobroma_cacao_20110822:10:444895:447505:-1 gene:TCM_042417 transcript:EOY17646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MGNALRFLYSYCCKPATGGDAGSHGPQGVSTANVGVSALAHDIFQFEITSQVPEGLSKHVVSSRKAQANWYRKLLEAWREAKPPPNTPEEASRFVIQTLKRHQKADVEGLLAFYGLPLPHALVQPSASAPTSLPQGVKFELQTLPVDVKAIPDGDTITVYVSTTDPRESANVPRDVQLAAVQRSEARAEKNYTEADALHKKITDAGYRVLNLQNQEILARKYRIRLRGIDAPESSMPYGKEAKEELVKLVQGKCLRVLVYGEDRYGRCVGDIYCNGKFVQEIMLKKGLAWHYSAYDQRIELATRLEQNELVCGLHQILRNHGNGERTNEKVDDIVLIEQINLQSV >EOY17647 pep chromosome:Theobroma_cacao_20110822:10:445781:447392:-1 gene:TCM_042417 transcript:EOY17647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MGNALRFLYSYCCKPATGGDAGSHGPQGVSTANVGVSALAHDIFQFEITSQVPEGLSKHVVSSRKAQANWYRKLLEAWREAKPPPNTPEEASRFVIQTLKRHQKADVEGLLAFYGLPLPHALVQPSASAPTSLPQGVKFELQTLPVDVKAIPDGDTITVYVSTTDPRESANVPRDVQLAAVQRSEARAEKNYTEADALHKKITDAGYRVLNLQNQEILARKYRIRLRGIDAPESSMPYGKEAKEELVKLVQGKCLRVLVYGEDRYGRCVGDIYCNGKFVQVLVHEVSSPGLTS >EOY19927 pep chromosome:Theobroma_cacao_20110822:10:23931084:23934776:-1 gene:TCM_045334 transcript:EOY19927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 6, putative MAWFAWLYQMLCILLFSLKLQAILSASLPSSTHIDEGAALLQFKSTFSLNNMSSSGCGLVGIKSYPKTNSWKNGTDCCSWDGVTCDSATGYVIGLDLSCSWLLGSITSNSSLFLLRNLQMLNLAHNDFKASTVSSEFGNFASLMHLNLSSSSFSGNVPSSTSHLSNLVSLDLSNNLFQMTIEEPTFRKLVQNLTEIREIFLDQIIFGSFELGSLMNVSSSLTSLSLNYCELQGKFPEYVFHLPNLRLLSLHRNPELIGYIPKSNWTGPSEFLSLWDTSFSGELPDSIGNLESLKHLNFALCNFSGRVPRSLGNLSKLIHLDFAANSFSGHIPSSLINLTDLTFLRLSTNQLVGSIPNMAALFHSILYIDLSYNSLNGTLPSWLFSLSSLQYLYLHNNQLIGRIHEFQQTSLIEVDMRNNKFQGSIPSSISRLVNLTELDLSSNNLSGNLELDMFSELKNLLFLDLSHNSLSLSFNNNDSPILPVSLEFLFLSSCKVDEFPKFLKVLTNLQQLDLSHNGIQGHLPKWAWDMGKDSLSYLNLSHNLLTSLEEIPWRHIEVMDFRSNLIEGNLPVFPLSTVFFSISNNNLNGQISSQICNVSFLEVLDLSHNNLSGTIPECLGSFNASLSVLNLKMNNFSGTIPSRFAEDCGLKNININSNRLEGTLPRSMVNCRNIEVLDLGNNMMNDTFPHWLGNLSELQVLVLRSNKLHGSIRGCETENCFSNLRILDLSNNDFSGSLPSKYVENLKSMTKLGEGQSPYLEFVSDIGNQYGYSYDYSVSVVMKGHDRELVKILKIFKSIDLSNNKFRGEIPTSIGKLVSLKGLNLSHNSFSGHIPWTMGNLNALEWLDLSSNKLVGKIPKQLVGLTSLSSLNLSYNQLVGPLPQGKQFNTFENGSFEGNLGLCGFPLSKDCKEDEVGQPVPSSVDDSESENGFGWKVVLLGYGCGFLFGAMGYLVIRRG >EOY18886 pep chromosome:Theobroma_cacao_20110822:10:5859648:5882366:-1 gene:TCM_043387 transcript:EOY18886 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and Leucine Rich Repeat domains containing protein, putative isoform 3 MFRLHKQKSDKSGERFDFKFSSFQALQVILIQFWIIEFLKVPKGWDKLFVSIISVDTGKTITKSSKASVRNGNCRWAEAFSESIWIVRGDSSEVIDECLFKLVVAMGSSRSGFLGEATINLASYISSKSTIPLSLPLKKCNHGTVLQVKIQCLTPREKLRDEQWNHTDSYMEDGSLEYDELENKSDVSDSTFTRSVGSSSSNHLEGTIHPGEISSREPSFSASDSRNSFDSLDGSFNRENYSPQNGIMNSLIGRQDSTGSQTSSPRGSYSLNDSSRSNHSSYTPKVSTSGSHPHNHREDLNRVSRLVPSSPLRNTGSSKDFLEAAEITIGELRAEARMWEQNARKLMTDLENLRRELSDQSKHQKLLEVALSTSQAECDSLKQEVEQVKILLEESQMKQGAADNLKFQSKTTENVQKELEDEIKFQSEENANLALQLKKTQESNIELVSILQELEETIEKQKVEMNNLSRTKSEFEELGKDDFGFEESSQINAGKQVLTNQTRKSSDSDRESGIVEHQRRDLHAENRNLELQFQQLQESHKNLESTILFLKKSLEEKNHEMEIEQGLRSQSLMDCEAEWRGKLAEKEEKITNLEVKLSEALDGQGLKEMGSGNEGNSNLIREIEALRLKVQELERDCNELTDENLELLFKLKESSKDHSATSNSLLPDHPGKNSPSRHKLEVTSCNYEDELNKKTPTEVHSADHLHFQSVVLGNRCAHLEPQLEAFKDKASYLDGELSECRARAEEQEIEIVALQQQLKHYQQVEIESKDQPAHAFTESRISESTAAVEMSKLLAELDEQIQLSLGDIKRLYTLKSHANPHGICGSNDSQILKSTDLVSQKQQVEIILNNFAQLKQFFREKIAVSDDEYYKEAKDSAVSTDDILDKLEGFKLKELNSPCKEDSDLGKELSAKISEIEKLKSENLLKEDELEALRHQQKELEAQVSSVQTEKSQLEENIEIMLREGAVTAKCLDDLRTEIVLLNSNMDSQISANKILVKKSSELESGKQELEVHLSELEEENVQLSERICGLEAQLRYLTDERESHRLELQNSESQAMNFKEEIKRLENEMEAQKVDMRQKMDEMQKRWLEVQEECKYLKIANPKLQATTENLIEECSMLQKANGELRKQKMELHEHCAVLEAELKESEKVFSNMVNEVEALEEKYSMMLEEIASKEKALNLELEVLLQENKKQKEKLVLEESLLNQRYLEKTVEVDNLQREVAHLTEQISATQDVKEKTASEAVLEVSHLRADKAMLEAALQDAQGKLKLSESKLNAMQVECETELQGLKEELAAAKQKQEILMADHEKLLDLLEDVKSNEDKLKGTVRGLELKLKASEYQNQQLVEEISSLKVQLQKTALLQDEILALKKTISETKFENERLEASFQMLSRDYEELKVERTLFVQKISNSQEAVSDLEDCRRRKVALEEKVLRLQGDLTAKEAMGTQEAALKNELAQIRRENSQFQRKIKYLEEEKEECLKKTQALEDELKQIKQDQCESKNSIEENNNLLSSEKLFTGINQVQHHLEENHTQIDKSQNCNNETSQDKGVDLLSKIQNLDNELAEALEANDMYKNQLKSLLSKEVSYRSAGPEKSTGEGAARKDGCECKASSALETELKELRERYFQMSLKYAEVEDQREQLVMQLKAASGRKRWFS >EOY18887 pep chromosome:Theobroma_cacao_20110822:10:5869320:5876224:-1 gene:TCM_043387 transcript:EOY18887 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and Leucine Rich Repeat domains containing protein, putative isoform 3 MGSSRSGFLGEATINLASYISSKSTIPLSLPLKKCNHGTVLQVKIQCLTPREKLRDEQWNHTDSYMEDGSLEYDELENKSDVSDSTFTRSVGSSSSNHLEGTIHPGEISSREPSFSASDSRNSFDSLDGSFNRENYSPQNGIMNSLIGRQDSTGSQTSSPRGSYSLNDSSRSNHSSYTPKVSTSGSHPHNHREDLNRVSRLVPSSPLRNTGSSKDFLEAAEITIGELRAEARMWEQNARKLMTDLENLRRELSDQSKHQKLLEVALSTSQAECDSLKQEVEQVKILLEESQMKQGAADNLKFQSKTTENVQKELEDEIKFQSEENANLALQLKKTQESNIELVSILQELEETIEKQKVEMNNLSRTKSEFEELGKDDFGFEESSQINAGKQVLTNQTRKSSDSDRESGIVEHQRRDLHAENRNLELQFQQLQESHKNLESTILFLKKSLEEKNHEMEIEQGLRSQSLMDCEAEWRGKLAEKEEKITNLEVKLSEALDGQGLKEMGSGNEGNSNLIREIEALRLKVQELERDCNELTDENLELLFKLKESSKDHSATSNSLLPDHPGKNSPSRHKLEVTSCNYEDELNKKTPTEVHSADHLHFQSVVLGNRCAHLEPQLEAFKDKASYLDGELSECRARAEEQEIEIVALQQQLKHYQQVEIESKDQPAHAFTESRISESTAAVEMSKLLAELDEQIQLSLGDIKRLYTLKSHANPHGICGSNDSQILKSTDLVSQKQQVEIILNNFAQLKQFFREKIAVSDDEYYKEAKDSAVSTDDILDKLEGFKLKELNSPCKEDSDLGKELSAKISEIEKLKSENLLKEDELEALRHQQKELEAQVSSVQTEKSQLEENIEIMLREGAVTAKCLDDLRTEIVLLNSNMDSQISANKILVKKSSELESGKQELEVHLSELEEENVQLSERICGLEAQLRYLTDERESHRLELQNSESQAMNFKEEIKRLENEMEAQKVDMRQKMDEMQKRWLEVQEECKYLKIANPKLQATTENLIEECSMLQKANGELRKQKMELHEHCAVLEAELKESEKVFSNMVNEVEALEEKYSMMLEEIASKEKALNLELEVLLQENKKQKEKLVLEESLLNQRYLEKTVEVDNLQREVAHLTEQISATQDVKEKTASEAVLEVSHLRADKAMLEAALQDAQGKLKLSESKLNAMQVECETELQGLKEELAAAKQKQEILMADHEKLLDLLEDVKSNEDKLKGTVRGLELKLKASEYQNQQLVEEISSLKVQLQKTALLQDEILALKKTISETKFENERLEASFQMLSRDYEELKVERTLFVQKISNSQEAVSDLEDCRRRKVALEEKVLRLQGDLTAKEAMGTQEAALKNELAQIRRENSQFQRKIKYLEEEKEECLKKTQALEDELKQIKQDQCESKNSIEENNNLLSSEKLFTGINQVQHHLEENHTQFVVKGSKLP >EOY18888 pep chromosome:Theobroma_cacao_20110822:10:5870009:5877337:-1 gene:TCM_043387 transcript:EOY18888 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and Leucine Rich Repeat domains containing protein, putative isoform 3 MFRLHKQKSDKSGERFDFKFSSFQALQVPKGWDKLFVSIISVDTGKTITKSSKASVRNGNCRWAEAFSESIWIVRGDSSEVIDECLFKLVVAMGSSRSGFLGEATINLASYISSKSTIPLSLPLKKCNHGTVLQVKIQCLTPREKLRDEQWNHTDSYMEDGSLEYDELENKSDVSDSTFTRSVGSSSSNHLEGTIHPGEISSREPSFSASDSRNSFDSLDGSFNRENYSPQNGIMNSLIGRQDSTGSQTSSPRGSYSLNDSSRSNHSSYTPKVSTSGSHPHNHREDLNRVSRLVPSSPLRNTGSSKDFLEAAEITIGELRAEARMWEQNARKLMTDLENLRRELSDQSKHQKLLEVALSTSQAECDSLKQEVEQVKILLEESQMKQGAADNLKFQSKTTENVQKELEDEIKFQSEENANLALQLKKTQESNIELVSILQELEETIEKQKVEMNNLSRTKSEFEELGKDDFGFEESSQINAGKQVLTNQTRKSSDSDRESGIVEHQRRDLHAENRNLELQFQQLQESHKNLESTILFLKKSLEEKNHEMEIEQGLRSQSLMDCEAEWRGKLAEKEEKITNLEVKLSEALDGQGLKEMGSGNEGNSNLIREIEALRLKVQELERDCNELTDENLELLFKLKESSKDHSATSNSLLPDHPGKNSPSRHKLEVTSCNYEDELNKKTPTEVHSADHLHFQSVVLGNRCAHLEPQLEAFKDKASYLDGELSECRARAEEQEIEIVALQQQLKHYQQVEIESKDQPAHAFTESRISESTAAVEMSKLLAELDEQIQLSLGDIKRLYTLKSHANPHGICGSNDSQILKSTDLVSQKQQVEIILNNFAQLKQFFREKIAVSDDEYYKEAKDSAVSTDDILDKLEGFKLKELNSPCKEDSDLGKELSAKISEIEKLKSENLLKEDELEALRHQQKELEAQVSSVQTEKSQLEENIEIMLREGAVTAKCLDDLRTEIVLLNSNMDSQISANKILVKKSSELESGKQELEVHLSELEEENVQLSERICGLEAQLRYLTDERESHRLELQNSESQAMNFKEEIKRLENEMEAQKVDMRQKMDEMQKRWLEVQEECKYLKIANPKLQATTENLIEECSMLQKANGELRKQKMELHEHCAVLEAELKESEKVFSNMVNEVEALEEKYSMMLEEIASKEKALNLELEVLLQENKKQKEKLVLEESLLNQRYLEKTVEVDNLQREVAHLTEQISATQDVKEKTASEAVLEVSHLRADKAMLEAALQDAQGKLKLSESKLNAMQVECETELQGLKEELAAAKQKQEILMADHEKLLDLLEDVKSNEDKLKGTVRGLELKLKASEYQNQQLVEEISSLKVQLQKTALLQDEILALKKTISETKFENERLEASFQMLSRDYEELKVERTLFVQKISNSQEAVSDLEDCRRRKVALEEKVLRLQGDLTAKEAMGTQEAALKNELAQIRRENSQFQRKIKYLEEEKEECLKKTQALEDELKQIKQDQCESKNSIEENNNLLSSEKLFTGINQVQHHLEENHT >EOY18985 pep chromosome:Theobroma_cacao_20110822:10:7453701:7455377:1 gene:TCM_043551 transcript:EOY18985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase family protein, putative MSCHENFSLIHPHAPPALPSKNSISLLRTPTTIKKPEPEYRKDSEEWSDTALACLLEAYTEKFNQLNRGRDWEEVAEALSERRAGGADEDRGEKQKTGKSVEQCKNKIDNLKKRYKVELQRISGGGGSSHWHWFKQMEAIMGNLGSCSSGRGLEGEDRSGLSNLGKQATKSYLVLLCCRRSPSPTAGHEPSNILRKEKSSFVWWHWGWHWESSLYSRHSSSSESFRDCRHSMSGALLKGANGDGVYDCHSDNGSVALDRISFREVVSSGFTSMDMMAITYCEENGIPVVVFNLLEPGNISRALCGERVGTSIDQQGRDESEL >EOY18138 pep chromosome:Theobroma_cacao_20110822:10:2143419:2148975:1 gene:TCM_042757 transcript:EOY18138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Topoisomerase II isoform 3 MGKKSEPVISKCKEAENWTKVTFKPDLAKFNMTHLEEDVVALMKKRVFDVAGCLGKTVKVELNGKRVPVKSFLDYVSLYLSAASKTKTEPLPRLLEKVNERWEVGVSLSDGQFQQVSFVNGIATIKGGTHVDYVTNQISNYVMNIVNKKNKNANVKAHNVKNHLWVFVNALIDNPAFDSQTKETLTLRQSSFGSKCELSEDFLKKVAKCGVVDNLLSWAEFKHSKDLKKTDGAKTGSIRGIPKLDDANEAGGRNSDKCTLILTEGDSAKALAVAGLAVVGRNHYGVFPLRGKLLNVREASHKQLMDNAEIQNLKRILGLQQGKEYSNVKSLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKVPSFMVEFITPIVKATHKTKGVLSFYSMPEYEFWKESLGGNAKGWSIKYYKGLGTSTSKEGKDYFKNLDKHRKEFVWQDEQDGEAIELAFSKKKIEARKNWLRQFEPGTHLDQSQKLIKYSEFINKELILFSMADLQRSIPSMIDGLKPGQRKILFCSFKRNFVKEAKVAQFSGYVSEHSAYHHGEQSLASTIVGMAQDFVGSNNINLLNPGGQFGTRNQGGKDAASARYIFTNLSPITRYLFPKDDDGLLDYLNEDGQSIEPIWYVPIIPMVLVNGSEGIGTGWSSYIPNYNPRDIVANVRRLLNGEQMEPMHPWYRGFKGNIEKTASKEAGVTYTITGIIEEVDETTLRITELPVRRWTQDYREFLESIITGNDPFIKEFRQYSDDTTVEFEVILTEENMMVAKQEGLLKKFKLTTTISTSNMHLFDSKGMIKKYDTPEQILEEFFHLRFEFYEKRKKLLLDNLEMELLKMENKVRFILGVVKGEIIVNNRKRADLFLELQTKGFTPFPKKAKTVEVAVAGATDDTEETEENSEVSAKGLQASDYDYLLSMAIGSLTLEKVQELCADRDKLQQEVEDLRKATPKSLWLKDLDNLEIQLDEQDKAAQAEEAAKLVKGRGEAGKRAQRQAPKIPRKINKKEKNAETVTETTDISSSSAMETAETAPAAVKPKGRGGSRKAKKDDSDDDDDEDFGIPDLRERLAKYNLDSSPDHSAAMETEVPQVPAGKKEPSKRAAAARKKPATSLSEISESIGEIDINDEDLEVVEVAAAAAPAGKKGGRKPAANSKAAAKPPAAAKKRGPAAAGKKSQQQKLLTEMLKPAEDSGISPEKKVRKMRASPFNKKSGSVLGRVGKELESTTDSEETFGSSSMSADTEEVTEIVPARPRPQRTNRKQTTYVLSDSETDNATDDSDFEEDED >EOY18140 pep chromosome:Theobroma_cacao_20110822:10:2142964:2149262:1 gene:TCM_042757 transcript:EOY18140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Topoisomerase II isoform 3 FGHLLTSSNYDDTVKKTTGGRNGYGAKLTNIFSTEFIIETADGKRQKKYKQVFSNNMGKKSEPVISKCKEAENWTKVTFKPDLAKFNMTHLEEDVVALMKKRVFDVAGCLGKTVKVELNGKRVPVKSFLDYVSLYLSAASKTKTEPLPRLLEKVNERWEVGVSLSDGQFQQVSFVNGIATIKGGTHVDYVTNQISNYVMNIVNKKNKNANVKAHNVKNHLWVFVNALIDNPAFDSQTKETLTLRQSSFGSKCELSEDFLKKVAKCGVVDNLLSWAEFKHSKDLKKTDGAKTGSIRGIPKLDDANEAGGRNSDKCTLILTEGDSAKALAVAGLAVVGRNHYGVFPLRGKLLNVREASHKQLMDNAEIQNLKRILGLQQGKEYSNVKSLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKVPSFMVEFITPIVKATHKTKGVLSFYSMPEYEFWKESLGGNAKGWSIKYYKGLGTSTSKEGKDYFKNLDKHRKEFVWQDEQDGEAIELAFSKKKIEARKNWLRQFEPGTHLDQSQKLIKYSEFINKELILFSMADLQRSIPSMIDGLKPGQRKILFCSFKRNFVKEAKVAQFSGYVSEHSAYHHGEQSLASTIVGMAQDFVGSNNINLLNPGGQFGTRNQGGKDAASARYIFTNLSPITRYLFPKDDDGLLDYLNEDGQSIEPIWYVPIIPMVLVNGSEGIGTGWSSYIPNYNPRDIVANVRRLLNGEQMEPMHPWYRGFKGNIEKTASKEAGVTYTITGIIEEVDETTLRITELPVRRWTQDYREFLESIITGKLQTKGFTPFPKKAKTVEVAVAGATDDTEETEENSEVSAKGLQASDYDYLLSMAIGSLTLEKVQELCADRDKLQQEVEDLRKATPKSLWLKDLDNLEIQLDEQDKAAQAEEAAKLVKGRGEAGKRAQRQAPKIPRKINKKEKNAETVTETTDISSSSAMETETAPAAVKPKGRGGSRKAKKDDSDDDDD >EOY18139 pep chromosome:Theobroma_cacao_20110822:10:2142367:2148192:1 gene:TCM_042757 transcript:EOY18139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Topoisomerase II isoform 3 MVADSKLPLQSSNNANMKASKTIEETYQKKTQLEHILLRPDTYIGSIEQHTQRLWVYENDEMVHRDIKYVPGLYKIFDEILVNAADNKQRDPSMDSVKVVIDAEQNLISVYNNGDGVPVEVHQEEGVYVPELIFGHLLTSSNYDDTVKKTTGGRNGYGAKLTNIFSTEFIIETADGKRQKKYKQVFSNNMGKKSEPVISKCKEAENWTKVTFKPDLAKFNMTHLEEDVVALMKKRVFDVAGCLGKTVKVELNGKRVPVKSFLDYVSLYLSAASKTKTEPLPRLLEKVNERWEVGVSLSDGQFQQVSFVNGIATIKGGTHVDYVTNQISNYVMNIVNKKNKNANVKAHNVKNHLWVFVNALIDNPAFDSQTKETLTLRQSSFGSKCELSEDFLKKVAKCGVVDNLLSWAEFKHSKDLKKTDGAKTGSIRGIPKLDDANEAGGRNSDKCTLILTEGDSAKALAVAGLAVVGRNHYGVFPLRGKLLNVREASHKQLMDNAEIQNLKRILGLQQGKEYSNVKSLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKVPSFMVEFITPIVKATHKTKGVLSFYSMPEYEFWKESLGGNAKGWSIKYYKGLGTSTSKEGKDYFKNLDKHRKEFVWQDEQDGEAIELAFSKKKIEARKNWLRQFEPGTHLDQSQKLIKYSEFINKELILFSMADLQRSIPSMIDGLKPGQRKILFCSFKRNFVKEAKVAQFSGYVSEHSAYHHGEQSLASTIVGMAQDFVGSNNINLLNPGGQFGTRNQGGKDAASARYIFTNLSPITRYLFPKDDDGLLDYLNEDGQSIEPIWYVPIIPMVLVNGSEGIGTGWSSYIPNYNPRDIVANVRRLLNGEQMEPMHPWYRGFKGNIEKTASKEAGVTYTITGIIEEVDETTLRITELPVRRWTQDYREFLESIITGNDPFIKEFRQYSDDTTVEFEVILTEENMMVAKQEGLLKKFKLTTTISTSNMHLFDSKGMIKKYDTPEQILEEFFHLRFEFYEKRKKLLLDNLEMELLKMENKVRFILGVVKGEIIVNNRKRADLFLELQTKGFTPFPKKAKTVEVAVAGATDDTEETEENSEVSAKGLQASDYDYLLSMAIGSLTLEKVQELCADRDKLQQEVEDLRKATPKSLWLKDLDNLEIQLDEQDKAAQAEEAAKLVKGRGEAGKRAQRQAPKIPRKINKKEKNAETVTETTDISSSSAMETETAPAAVKPKGRGGSRKAKKVLCCFLSG >EOY18137 pep chromosome:Theobroma_cacao_20110822:10:2142253:2149299:1 gene:TCM_042757 transcript:EOY18137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Topoisomerase II isoform 3 MVADSKLPLQSSNNANMKASKTIEETYQKKTQLEHILLRPDTYIGSIEQHTQRLWVYENDEMVHRDIKYVPGLYKIFDEILVNAADNKQRDPSMDSVKVVIDAEQNLISVYNNGDGVPVEVHQEEGVYVPELIFGHLLTSSNYDDTVKKTTGGRNGYGAKLTNIFSTEFIIETADGKRQKKYKQVFSNNMGKKSEPVISKCKEAENWTKVTFKPDLAKFNMTHLEEDVVALMKKRVFDVAGCLGKTVKVELNGKRVPVKSFLDYVSLYLSAASKTKTEPLPRLLEKVNERWEVGVSLSDGQFQQVSFVNGIATIKGGTHVDYVTNQISNYVMNIVNKKNKNANVKAHNVKNHLWVFVNALIDNPAFDSQTKETLTLRQSSFGSKCELSEDFLKKVAKCGVVDNLLSWAEFKHSKDLKKTDGAKTGSIRGIPKLDDANEAGGRNSDKCTLILTEGDSAKALAVAGLAVVGRNHYGVFPLRGKLLNVREASHKQLMDNAEIQNLKRILGLQQGKEYSNVKSLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKVPSFMVEFITPIVKATHKTKGVLSFYSMPEYEFWKESLGGNAKGWSIKYYKGLGTSTSKEGKDYFKNLDKHRKEFVWQDEQDGEAIELAFSKKKIEARKNWLRQFEPGTHLDQSQKLIKYSEFINKELILFSMADLQRSIPSMIDGLKPGQRKILFCSFKRNFVKEAKVAQFSGYVSEHSAYHHGEQSLASTIVGMAQDFVGSNNINLLNPGGQFGTRNQGGKDAASARYIFTNLSPITRYLFPKDDDGLLDYLNEDGQSIEPIWYVPIIPMVLVNGSEGIGTGWSSYIPNYNPRDIVANVRRLLNGEQMEPMHPWYRGFKGNIEKTASKEAGVTYTITGIIEEVDETTLRITELPVRRWTQDYREFLESIITGNDPFIKEFRQYSDDTTVEFEVILTEENMMVAKQEGLLKKFKLTTTISTSNMHLFDSKGMIKKYDTPEQILEEFFHLRFEFYEKRKKLLLDNLEMELLKMENKVRFILGVVKGEIIVNNRKRADLFLELQTKGFTPFPKKAKTVEVAVAGATDDTEETEENSEVSAKGLQASDYDYLLSMAIGSLTLEKVQELCADRDKLQQEVEDLRKATPKSLWLKDLDNLEIQLDEQDKAAQAEEAAKLVKGRGEAGKRAQRQAPKIPRKINKKEKNAETVTETTDISSSSAMETETAPAAVKPKGRGGSRKAKKDDSDDDDDEDFGIPDLRERLAKYNLDSSPDHSAAMETEVPQVPAGKKEPSKRAAAARKKPATSLSEISESIGEIDINDEDLEVVEVAAAAAPAGKKGGRKPAANSKAAAKPPAAAKKRGPAAAGKKSQQQKLLTEMLKPAEDSGISPEKKVRKMRASPFNKKSGSVLGRVGKELESTTDSEETFGSSSMSADTEEVTEIVPARPRPQRTNRKQTTYVLSDSETDNATDDSDFEEDED >EOY19344 pep chromosome:Theobroma_cacao_20110822:10:16538565:16539666:-1 gene:TCM_044414 transcript:EOY19344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANATIAVHEEHELKGSVDPRTVLGHSRSWHRWLLDSMYPTEMEEILKHETKEQKGNVCPDKIASLIAGYALHNSFDRFAFSPFEKAAKKAGLNRMGGKVDDITVVVRIVQS >EOY20179 pep chromosome:Theobroma_cacao_20110822:10:25165014:25170612:1 gene:TCM_045558 transcript:EOY20179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein isoform 4 MLRCRPPLSLALRMLSSSSHTSNPNPIFHLPCTSRPTSGLPLKARLSNSCSSDRRMTLSKCFTGAAADPIPVSSSGKPHCCQNPEEPDSDSLVVVSFYRFADFPDHADLRKPLKKLCEDLRVSGGIILAPEGINGSICGTRESVERVLGFIQTDDRLKGLRQIESPVSPEQEAIHHGHSTSSPLAAGEDAPFRWDHVRVKLKKEIVTLGMPEVSPIEKVGKYVSPKDWNALISDPDTVVIDVRNNYETRIGMFKGAVDPCTTAFREFPPWVEDQFRLDGSELEHANMEKKGLDESINKDAEIPKQKMPKRVAMYCTGGIRCEKASSFLLNKGFEEVYHLKGGILKYLEEVPKTESLWEGECFVFDKRVSVEHGLVQGNFKLCYGCKQPVSDADMEAPEWEYGVSCPHCFLSKSEEEKERARARQRQFETWGIIGGLDKGRRPASKPDSIKRNQTKLSSSV >EOY20182 pep chromosome:Theobroma_cacao_20110822:10:25167381:25170500:1 gene:TCM_045558 transcript:EOY20182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein isoform 4 LEETLRGPGGIILAPEGINGSICGTRESVERVLGFIQTDDRLKGLRQIESPVSPEQEAIHHGHSTSSPLAAGEDAPFRWDHVRVKLKKEIVTLGMPEVSPIEKVGKYVSPKDWNALISDPDTVVIDVRNNYETRIGMFKGAVDPCTTAFREFPPWVEDQFRLDGSELEHANMEKKGLDESINKDAEIPKQKMPKRVAMYCTGGIRCEKASSFLLNKGFEEVYHLKGGILKYLEEVPKTESLWEGECFVFDKRVSVEHGLVQGNFKLCYGCKQPVSDADMEAPEWEYGVSCPHCFLSKSEEEKERARARQRQFETWGIIGGLDKGRRPASKPDSIKRNQTKLSSSV >EOY20181 pep chromosome:Theobroma_cacao_20110822:10:25167017:25170499:1 gene:TCM_045558 transcript:EOY20181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein isoform 4 MLRCRPPLSLALRMLSSSSHTSNPNPIFHLPCTSRPTSGLPLKARLSNSCSSDRRMTLSKCFTGAAADPIPVSSSGKPHCCQNPEEPDSDSLVVVSFYRFADFPDHADLRKPLKKLCEDLRVSGGIILAPEGINGSICGTRESVERVLGFIQTDDRLKGLRQIESPVSPEQEAIHHGHSTSSPLAAGEDAPFRWDHVRVKLKKEIVTLGMPEVSPIEKVGKYVSPKDWNALISDPDTVVIDVRNNYETRIGMFKGAVDPVYHLKGGILKYLEEVPKTESLWEGECFVFDKRVSVEHGLVQGNFKLCYGCKQPVSDADMEAPEWEYGVSCPHCFLSKSEEEKERARARQRQFETWGIIGGLDKGRRPASKPDSIKRNQTKLSSSV >EOY20180 pep chromosome:Theobroma_cacao_20110822:10:25167129:25170500:1 gene:TCM_045558 transcript:EOY20180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein isoform 4 MTLSKCFTGAAADPIPVSSSGKPHCCQNPEEPDSDSLVVVSFYRFADFPDHADLRKPLKKLCEDLRVSGGIILAPEGINGSICGTRESVERVLGFIQTDDRLKGLRQIESPVSPEQEAIHHGHSTSSPLAAGEDAPFRWDHVRVKLKKEIVTLGMPEVSPIEKVGKYVSPKDWNALISDPDTVVIDVRNNYETRIGMFKGAVDPCTTAFREFPPWVEDQFRLDGSELEHANMEKKGLDESINKDAEIPKQKMPKRVAMYCTGGIRCEKASSFLLNKGLSFERWDFKIPGGSSQNRESVGGGVLCV >EOY19472 pep chromosome:Theobroma_cacao_20110822:10:18025267:18028416:-1 gene:TCM_044594 transcript:EOY19472 gene_biotype:protein_coding transcript_biotype:protein_coding description:SU(VAR)3-9, putative MEGGLGGNSVPLNSFDKSKVLDVKPLRTLVPLFPDASEGSPFVCVPPNGPFPTGFSPFFPFSGPQGSQSTPDLNQNDFNATAVPIRSFRAEPPASNGQNMSPMDTFGSHKHKSAGSSSVKRKAKRHKDLEFAITALSDFNPGISFSERDDGNRDLVENVLLRFDALRRRLSQMEDAKESHSGIIKRADLKAGNIMMSKGVRTNMKKRIGVVPGVEIGDIFFFRMELCVVGLHSQSMAGIDYMVVKGDSEGEPVALSIVSSGGYDDDAEDPDVLVYSGQGGNANKDKEASDQKLERGNLALERSLHRANEVRVIRGLKDAVHQTSKVYVYDGLFKLQESWMEKGKSGCNMFKYKLVRVPGQTSAFSIWKSIQKWKEGLSSRVGLILPDLTSGAESTPVSLVNEVDDEKGPAHFTYNPTVKYSKSFKLVQPSFGCKCRDACQAGNSNCSCNQKNGGDFPYTANGILVCRKPLIYECGPSCLCFRNCKNKVSQTGFKVHLEVFKTRDRGWGLRSWDPIRAGTFICEYAGEVIDEIKARQDRGDGEKNDYVFRTNRLYESFKWNYETGLVGEESSDPTEDFDIPSPLIISAKNSGNVARFMNHSCSPNVFWQPIMYEHNNEAFLHIAFFAKRHIPPMTELTYDYGTPHPDETQSKAAHEKKKCLCGSPKCRGFFIEV >EOY18386 pep chromosome:Theobroma_cacao_20110822:10:3282554:3285728:-1 gene:TCM_042986 transcript:EOY18386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 3 MDSLPYADADSTLRAIAGQAEGFGRFAIGGLHGPLFCVTSLSDDGPGSLREACRRPGPGWIVFEVSGVIQLSSYLSVGSYKTIDGRGERVKLTGNGLRLKECENVIICNMEFEGGRGHDVDGIQIKPNSKHIWIDRCSFKDYDDGLIDITRGSTDITVSRCYFAQHDKTMLIGADPSHVWDRCIRVTIHHCFFDRTRQRHPRVRFGKVHLYNNYTRNWDIYAVCASVEAQIYSQCNIYEAGMKKKTFEFYTEKAADREEPRSGLIRSEGDLFLDGAQPCLLTGIGQDSLFHPSEFYQTWTMEAPSDSLKHVLQICTGWQPIPRPP >EOY18388 pep chromosome:Theobroma_cacao_20110822:10:3282554:3285765:-1 gene:TCM_042986 transcript:EOY18388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 3 MDSLPYADADSTLRAIAGQAEGFGRFAIGGLHGPLFCVTSLSDDGPGSLREACRRPGPGWIVFEVSGVIQLSSYLSVGSYKTIDGRGERVKLTGNGLRLKECENVIICNMEFEGGRGHDVDGIQIKPNSKHIWIDRCSFKDYDDGLIDITRGSTDITVSRTRQRHPRVRFGKVHLYNNYTRNWDIYAVCASVEAQIYSQCNIYEAGMKKKTFEFYTEKAADREEPRSGLIRSEGDLFLDGAQPCLLTGIGQDSLFHPSEFYQTWTMEAPSDSLKHVLQICTGWQPIPRPP >EOY18387 pep chromosome:Theobroma_cacao_20110822:10:3282674:3285848:-1 gene:TCM_042986 transcript:EOY18387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 3 MDSLPYADADSTLRAIAGQAEGFGRFAIGGLHGPLFCVTSLSGSLREACRRPGPGWIVFEVSGVIQLSSYLSVGSYKTIDGRGERVKLTGNGLRLKECENVIICNMEFEGGRGHDVDGIQIKPNSKHIWIDRCSFKDYDDGLIDITRGSTDITVSRCYFAQHDKTMLIGADPSHVWDRCIRVTIHHCFFDRTRQRHPRVRFGKVHLYNNYTRNWDIYAVCASVEAQIYSQCNIYEAGMKKKTFEFYTEKAADREEPRSGLIRSEGDLFLDGAQPCLLTGIGQDSLFHPSEFYQTWTMEAPSDSLKHVLQICTGWQPIPRPP >EOY18609 pep chromosome:Theobroma_cacao_20110822:10:3983979:3989831:-1 gene:TCM_043112 transcript:EOY18609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid protein-related isoform 2 MQINSLFQALKDIRRRDFNTLSPAFLQPCLPYSEGGIFSGFTKLCKGLAVILIGGHILVQLLPSSVAYLALIPARTIPFGWNLITAGYIEQSVHGVVVSTLGLLFMGKLLEPVWGSKEFLKFIFVINFLTSVCVFITAIALYYITRQENYLYMPLSGFHGVLAGFLVGMKQIVPDQELSLLKIKVKWLPSLMLLLSIVISFFTPESATYLPTLIFGTYMGWIYLRFLQRKPEAKLRGDPSEDFSFSTFFPDFLRPIIDPIASIFHRMLCGKSEASTDAQGYTLGGAPLPGSDPIEATRRRERGARALEERLAAETLGVARNSEDSQKEGADNV >EOY18611 pep chromosome:Theobroma_cacao_20110822:10:3984042:3988031:-1 gene:TCM_043112 transcript:EOY18611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid protein-related isoform 2 MSSPGGIFSGFTKLCKGLAVILIGGHILVQLLPSSVAYLALIPASRTIPFGWNLITAGYIEQSVHGVVVSTLGLLFMGKLLEPVWGSKEFLKFIFVINFLTSVCVFITAIALYYITRQENYLYMPLSGFHGVLAGFLVGMKQIVPDQELSLLKIKVKWLPSLMLLLSIVISFFTPESATYLPTLIFGTYMGWIYLRFLQRKPEAKLRGDPSEDFSFSTFFPDFLRPIIDPIASIFHRMLCGKSEASTDAQGYTLGGAPLPGSDPIEATRRRERGARALEERLAAETLGVARNSEDSQKEGADNV >EOY18610 pep chromosome:Theobroma_cacao_20110822:10:3984031:3987822:-1 gene:TCM_043112 transcript:EOY18610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid protein-related isoform 2 MSSPGGIFSGFTKLCKGLAVILIGGHILVQLLPSSVAYLALIPARTIPFGWNLITAGYIEQSVHGVVVSTLGLLFMGKLLEPVWGSKEFLKFIFVINFLTSVCVFITAIALYYITRQENYLYMPLSGFHGVLAGFLVGMKQIVPDQELSLLKIKVKWLPSLMLLLSIVISFFTPESATYLPTLIFGTYMGWIYLRFLQRKPEAKLRGDPSEDFSFSTFFPDFLRPIIDPIASIFHRMLCGKSEASTDAQGYTLGGAPLPGSDPIEATRRRERGARALEERLAAETLGVARNSEDSQKEGADNV >EOY18415 pep chromosome:Theobroma_cacao_20110822:10:3423876:3426988:1 gene:TCM_043012 transcript:EOY18415 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein MSRVVAESISPDNNTSSQFFPHSMELVSGEFSTAAGASPTLGQLLKHVGDARKEATGDETPVHEILVDVTQPRPMPFVLSFNNLSYSVKVSRKMALPGFLRQRTSSAASSGNPLAADRYFNKTKTLLNDISGEARDGEILAVLGASGSGKSTLIDALANRIAKGSLQGNVTLNGEVLESRMLKVISAYVMQDDLLYPMLTVEETLMFAAEFRLPRTLSKSKKKMRVQALIDQLGLRNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVIMSVHQPSYRILGLLDRLIFLSRGQTVYSGSPTSLPLYFSEFGHPIPENENRTEFALDLIRELEGSPGGTKSLVEFNKSWQNTKHPIYTEPDRLGLSLKDAISASISRGKLVSGASNDVTSTSMVPRFANPFWKEMVVLSNRSILNSRRMPELFGTRFGAVMVTGFILATVFWRLDNSPRGVQERIGFFAFAMSTTFYTCANALPDFLQERYIFMRETAYNAYRRLSYVISNALVALPGLIFLSLAFAATTFWAVGLDGGFSGFLFYFLIMFGSFWSGCSFVTFLSGVVPHVMLGYTIVVAILAYFLLFSGFFINRDRIPAYWIWFHYLSLIKYPYEAVLQNEFDHPTKCFVRGIQIFDNTPLAAVPGPMKVRLLQSLSNTLGMTITSSTCLTTGVDILKQQGATDLSKWNCLWITVAWGFLFRILFYFSLLLGSKNKRS >EOY20004 pep chromosome:Theobroma_cacao_20110822:10:24305429:24309203:-1 gene:TCM_045403 transcript:EOY20004 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein, putative isoform 4 MLLSLVCVVGNHASSGSSLFGASTGNHASSNAASATSTFGAATARRSPSGRTNSTAPTSKNEPHANVNKAMSGLKDTEDDLKKKISFLSGFVVAFKEQTHTDILLKPNNGPCIPAHKALLAARSEIFKNMLDSDGCKAPPSDTDTITLSELNNEELESLLEFLYTGNLPLDKLEKHVYSLYVAADKYEIPYLQESCESYMLNSLNASNAIDILEIADAQSNKTLKETTLNFIIRNMKDIVSTTKYEVFASSNPALCMQITRAFVDAKSN >EOY20006 pep chromosome:Theobroma_cacao_20110822:10:24305608:24309388:-1 gene:TCM_045403 transcript:EOY20006 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein, putative isoform 4 MSGFHFERPSSIPFGVFSSNGTTSASGFSSPFGSFASPPANTGPSTFGFSPVGVNSGNHASSNAASATSTFGAATARRSPSGRTNSTAPTSKNEAARSEIFKNMLDSDGCKAPPSDTDTITLSELNNEELESLLEFLYTGNLPLDKLEKHVYSLYVAADKYEIPYLQESCESYMLNSLNASNAIDILEIADAQSNKTLKETTLNFIIRNMKDIVSTTKYEVFASSNPALCMQITRAFVDAKSN >EOY20003 pep chromosome:Theobroma_cacao_20110822:10:24305831:24309344:-1 gene:TCM_045403 transcript:EOY20003 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein, putative isoform 4 MSGFHFERPSSIPFGVFSSNGTTSASGFSSPFGSFASPPANTGPSTFGFSPVGVNSGNHASSGSSLFGASTGNHASSNAASATSTFGAATARRSPSGRTNSTAPTSKNEVFDSLVKSSLVRLEFKVFWACFWVRIHFSTSIKQFTVDEPHANVNKAMSGLKDTEDDLKKKISFLSGFVVAFKEQTHTDILLKPNNGPCIPAHKALLAARSEIFKNMLDSDGCKAPPSDTDTITLSELNNEELESLLEFLYTGNLPLDKLEKHVYSLYVAADKYEIPYLQESCESYMLNSLNASNAIDILEIADAQSNKTLKETTLNFIIRNMKDIVSTTKYEVFASSNPALCMQITRAFVDAKSN >EOY20005 pep chromosome:Theobroma_cacao_20110822:10:24305229:24309549:-1 gene:TCM_045403 transcript:EOY20005 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein, putative isoform 4 MSGFHFERPSSIPFGVFSSNGTTSASGFSSPFGSFASPPANTGPSTFGFSPVGVNSGNHASSNAASATSTFGAATARRSPSGRTNSTAPTSKNEPHANVNKAMSGLKDTEDDLKKKISFLSGFVVAFKEQTHTDILLKPNNGPCIPAHKALLAARSEIFKNMLDSDGCKAPPSDTDTITLSELNNEELESLLEFLYTGNLPLDKLEKHVYSLYVAADKYEIPYLQESCESYMLNSLNASNAIDILEIADAQSNKTLKETTLNFIIRNMKDIVSTTKYEVFASSNPALCMQITRAFVDAKSN >EOY18919 pep chromosome:Theobroma_cacao_20110822:10:6179813:6185242:-1 gene:TCM_043426 transcript:EOY18919 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein MMETITQFASSTAITFQPQPMLTHNGENAANMVNNNKNGGNGESTTDPFLNTTNPSIVGNSITVTPSTSAQSFVIEKELKKLLDQKNKSLNFSKFDLKLPYPAKVATKPYPKDYISPKFKQFNGKTSDAREHVMKFVKTLGFASLDDNLKLKEFSKSLIEKTYTWHVNLTPGSVDS >EOY18814 pep chromosome:Theobroma_cacao_20110822:10:5095608:5101252:1 gene:TCM_043302 transcript:EOY18814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive element-binding protein 2C MSFISNSKQGFAIGNGLTDPAIQNKAYLDYALDMGVIKKSDYNPINKLVPVCETAIKLCAGVDILYIVMDIQCNEILVVQLIKEIKVIFGDVASMGRGFQIPELLFTTLDHDCKKDQNLKVASTLSSDSCRKRKRRDGLSVADTLKLWSQSKDAKQSCKAPAKGSKKGCMKGKGGPQNQSCNYRGVRQRTWGKWVAEIRAPNGGKRIWLGTFPTACEAALAYDEAARTMYGENAILNMPHISGSDSVATTSHAFSEATTCAASNSMTVPSNYEICGRDVDREGEPSRMNVEYTVDSETAWTMDGENAMLNMPHVSDFDSVTITSPVFLEATTCTASNSMTGSSNSEICGRDVDGEGEPSKMNVEYTVDSEAATTSQDVKTDAKSEEHTDDSWLTNGLDYAKNIAIDFGETADWFEDYCFNVPEFFD >EOY17708 pep chromosome:Theobroma_cacao_20110822:10:632767:635291:-1 gene:TCM_042461 transcript:EOY17708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mad3/BUB1 region 1, putative isoform 2 MAEKNETMYRNLFSSVISEIKSYSGKDPLLPWLRGIKKMKESLPPEILNEKLPRFLQKCTQTFESDRRYRNDLRYLRVWLQLMDFVDEPRLLLRRMEMNHIGTKRSLYYQAYALYYEKIKKFDEAETMYHLGVQNLAEPVDELQKSYEQFLNRMERHKKKKIQEGRTARRPLQCSQSKENSEGICIVEDKHKRSSALHDGISKKRALTERELDEPRRIRNDDTVGVKFVDTAIVGKSEAEDACHHGLVDPTINMKEAMNAINSMFREPLETAPIGRRSHRRQQKEDCSLNSGFRVFDANLDSGINSSIQPEEKGQKGKARTCQAQEDSFKIYVDDEEDSEAGEGNDEKDNLEQIEVQNLKGDSMSSASHLNMFVFPCPNDSPESSDDVDAQSSRQPKLREDTVVHRFVGSTISDEPVVENVCHHGLVDPTINLKEAMQDINSMFGKPIDFVRAKRKKQEKAPVNKNQDVGGFSILPDDELENQERLPPSKSSAKLSDCDLFEPTVFTKEAMDDINKMFGMPLDF >EOY17707 pep chromosome:Theobroma_cacao_20110822:10:632995:635196:-1 gene:TCM_042461 transcript:EOY17707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mad3/BUB1 region 1, putative isoform 2 MAEKNETMYRNLFSSVISEIKSYSGKDPLLPWLRGIKKMKESLPPEILNEKLPRFLQKCTQTFESDRRYRNDLRYLRVWLQLMDFVDEPRLLLRRMEMNHIGTKRSLYYQAYALYYEKIKKFDEAETMYHLGVQNLAEPVDELQKSYEQFLNRMERHKKKKIQRQEGRTARRPLQCSQSKENSEGICIVEDKHKRSSALHDGISKKRALTERELDEPRRIRNDDTVGVKFVDTAIVGKSEAEDACHHGLVDPTINMKEAMNAINSMFREPLETAPIGRRSHRRQQKEDCSLNSGFRVFDANLDSGINSSIQPEEKGQKGKARTCQAQEDSFKIYVDDEEDSEAGEGNDEKDNLEQIEVQNLKGDSMSSASHLNMFVFPCPNDSPESSDDVDAQSSRQPKLREDTVVHRFVGSTISDEPVVENVCHHGLVDPTINLKEAMQDINSMFGKPIDFVRAKRKKQEKAPVNKNQDVGGFSILPDDELENQERLPPSKSSAKLSDCDLFEPTVFTKEAMDDINKMFGMPLDF >EOY17710 pep chromosome:Theobroma_cacao_20110822:10:632765:634647:-1 gene:TCM_042461 transcript:EOY17710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mad3/BUB1 region 1, putative isoform 2 MERHKKKKIQEGRTARRPLQCSQSKENSEGICIVEDKHKRSSALHDGISKKRALTERELDEPRRIRNDDTVGVKFVDTAIVGKSEAEDACHHGLVDPTINMKEAMNAINSMFREPLETAPIGRRSHRRQQKEDCSLNSGFRVFDANLDSGINSSIQPEEKGQKGKARTCQAQEDSFKIYVDDEEDSEAGEGNDEKDNLEQIEVQNLKGDSMSSASHLNMFVFPCPNDSPESSDDVDAQSSRQPKLREDTVVHRFVGSTISDEPVVENVCHHGLVDPTINLKEAMQDINSMFGKPIDFVRAKRKKQEKAPVNKNQDVGGFSILPDDELENQERLPPSKSSAKLSDCDLFEPTVFTKEAMDDINKMFGMPLDF >EOY17709 pep chromosome:Theobroma_cacao_20110822:10:632995:634188:-1 gene:TCM_042461 transcript:EOY17709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mad3/BUB1 region 1, putative isoform 2 MERHKKKKIQRQEGRTARRPLQCSQSKENSEGICIVEDKHKRSSALHDGISKKRALTERELDEPRRIRNDDTVGVKFVDTAIVGKSEAEDACHHGLVDPTINMKEAMNAINSMFREPLETAPIGRRSHRRQQKEDCSLNSGFRVFDANLDSGINSSIQPEEKGQKGKARTCQAQEDSFKIYVDDEEDSEAGEGNDEKDNLEQIEVQNLKGDSMSSASHLNMFVFPCPNDSPESSDDVDAQSSRQPKLREDTVVHRFVGSTISDEPVVENVCHHGLVDPTINLKEAMQDINSMFGKPIDFVRAKRKKQEKAPVNKNQDVGGFSILPDDELENQERLPPSKSSAKLSDCDLFEPTVFTKEAMDDINKMFGMPLDF >EOY17959 pep chromosome:Theobroma_cacao_20110822:10:1592219:1593448:-1 gene:TCM_042646 transcript:EOY17959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDALLCFFLLEFSIGRCDRFKSRRRSHLTKSTRPLTDANKKIDQTMIDYNDWLCDQLDEGGTKFRKVVRRWLVTIEPINCPSHYPQPTHQQESIN >EOY20061 pep chromosome:Theobroma_cacao_20110822:10:24632402:24636730:-1 gene:TCM_045461 transcript:EOY20061 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent glycerol-3-phosphate dehydrogenase family protein, putative MTVFQHCIGINSGCNLFGIECNDYENLRLSGPLGRSLLDGPVFGGPRPKLMFLNGLKRTYFPERKLPENVIATADARTALLGADYCLHAVPMQAFQFSATFFVGIAEHVDPGLPFISVSKDLELNTLKMMPASIEN >EOY19277 pep chromosome:Theobroma_cacao_20110822:10:15755799:15757788:1 gene:TCM_044311 transcript:EOY19277 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MTPNLKSFIICSSSLFVLFLAISFSFSRTNYHRIQQLKLSLNSRHPTFFQSLLSFLLKTPNPNRSHSPNPISRASHCVLWMAPFLSGGGYSSEAWSYVLALNEYMKSLENPSFKLAIDQHGDLESLEFWEGLPQDIRNLAIRLYQTECRINETIVVCHSEPGAWYPPLFETLPCPPTGYHDFMFVIGRTMFESDRLTSQHVKRCNRMDSVWVPTDFHVSTFVQSGVDPAKVVKVVQPIDVKFFDPSKYKPLDIASKGNLVLGARIPNSNPRKEFVFLSVFKWEFRKGWDVLLKAYLREFSRDDGVVLYLLTNPYHSNRDFDNKIVQFVEHSHMEKPANGWASVCVIDTHIAQVDLPKLYKAADAFVLPSRGEGWGRPIVEAMAMSLPAITTNWSGPTEYLTEENSYPLPVDRLSEVTEGPFKGHLWAEPSVIELQALMRHVISNVEEAKAKGKQARKDMIRKFSPEIVAGMITGHIQNIIDQ >EOY18292 pep chromosome:Theobroma_cacao_20110822:10:2833795:2835923:-1 gene:TCM_042892 transcript:EOY18292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast intrinsic protein 1,3 MPINRIAIGTPGEASQPDALKAAFAEFFSMLIFVFAGEGSGMAFNKLTNNGSSTPAGLVAASLAHAFALFVAVSVGANISGGHVNPAVTFGAFIGGNITLLRGILYWIAQLLGSVVACLLLKFATGGLETSAFSLSSDVSAWNALVFEIVMTFGLVYTVYATAVDPKKGNLGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWTNHWVYWLGPLIGAAIAAVVYDNIFIGDATHEPLSTSDF >EOY18542 pep chromosome:Theobroma_cacao_20110822:10:3773463:3775259:1 gene:TCM_043076 transcript:EOY18542 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Complex 1 LYR protein (InterPro:IPR008011); Has 45 Blast hits to 45 proteins in 14 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 45; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G51960) TAIR;Acc:AT5G51960] MSSQQALAAVKVYRDLLKAVKKHIGNEDYKKHFREYVTQEFRKNCQLSDPSLVTQKIKLANDYTFLLNSVHHHKDLLFSYNIAVDRSDEMKRILGKSAASVGLQLPEVYQP >EOY19867 pep chromosome:Theobroma_cacao_20110822:10:23389714:23391070:-1 gene:TCM_045251 transcript:EOY19867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAADKVPANVSAGTTYLDNGLIYINSAAILSVKLTTTNYSGRRAQFKALLRGYGLIGYVDGSKPCPVPLPPRKRRSGSRDTVYELWKRQDQLVLLAILTSLSEEWLPR >EOY20031 pep chromosome:Theobroma_cacao_20110822:10:24491592:24494375:1 gene:TCM_045435 transcript:EOY20031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MTMLTTAVSLKSLKPTCRDGVCNKASTIQIAFFYTSLYTIAIGAGGTKPNISTFGADQFDDFNPHEKELKVSFFNWWMFSSFLGALFATLGLVYIQENLGWGLGYMIPTVGLLFSLFVFYLGTPIYRHKVRKTKSPARDLIQVPITAIKNRKLQLPDNPSELHEHEPQHYINSGKRQVYYTPIFRFLDKAAVKDGNSSGRPPCTVTQVEGTKLVLGMLLIWLVTLIPSTIWAQINTLFVKQGTTLDRSLGSSFQIPAASLGSFVTLSMLISVPMYDRYFVPFMRRKTGNPRGITLLQRLGIGFVIQVIAIAIAYAVEVRRMHVIRVHQIVGPKQIVPMNIFWLLPQYVLLGVADVFNAIGLLEFFYDQSPEEMQSLGTTFFTSGIGVGNFLNSLLVTMVDKITGRGENKSWIGDNLNDCHLDYYYGFLLVISTLNLGAFLWASSKYVYKRETKEVNEDCIGIENKALEISPLGLQV >EOY20030 pep chromosome:Theobroma_cacao_20110822:10:24490364:24494415:1 gene:TCM_045435 transcript:EOY20030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 METKGYTQDGTVDLRGRPVLASKTGRWKACAFLVGYEAFERMAFYGIASNLVNYLTTQLHEDTVASVRNVNNWSGSVWITPILGAYIADTYLGRFWTFTVSSLIYVMGMTMLTTAVSLKSLKPTCRDGVCNKASTIQIAFFYTSLYTIAIGAGGTKPNISTFGADQFDDFNPHEKELKVSFFNWWMFSSFLGALFATLGLVYIQENLGWGLGYMIPTVGLLFSLFVFYLGTPIYRHKVRKTKSPARDLIQVPITAIKNRKLQLPDNPSELHEHEPQHYINSGKRQVYYTPIFRFLDKAAVKDGNSSGRPPCTVTQVEGTKLVLGMLLIWLVTLIPSTIWAQINTLFVKQGTTLDRSLGSSFQIPAASLGSFVTLSMLISVPMYDRYFVPFMRRKTGNPRGITLLQRLGIGFVIQVIAIAIAYAVEVRRMHVIRVHQIVGPKQIVPMNIFWLLPQYVLLGVADVFNAIGLLEFFYDQSPEEMQSLGTTFFTSGIGVGNFLNSLLVTMVDKITGRGENKSWIGDNLNDCHLDYYYGFLLVISTLNLGAFLWASSKYVYKRETKEVNEDCIGIENKALEISPLGLQV >EOY18516 pep chromosome:Theobroma_cacao_20110822:10:3687539:3692101:1 gene:TCM_043058 transcript:EOY18516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate excretion transporter1, putative MILLTLTATIHSLKPLPCAMGAFKCPTPSKLQFAVLYIALALASLGVGGTRFTIATMGDDQFDNAKDQGIFFNWYFLALYVANCVSLTAIIHIQDNVSWGLALGICIVVNATALVLFLSGKRFYRRIKPKGSPFLSILCVIFAAIQKRNAPGPFGSQDYYYGSVETRNIFKNDPSKSFRYYSFHFKYKSKNLKYAC >EOY17604 pep chromosome:Theobroma_cacao_20110822:10:334839:348133:-1 gene:TCM_042391 transcript:EOY17604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 27 isoform 2 MVWSVGRKLRKTRRQDSTTLLICLPQHHNFHHFLPMEEPVTYRVFNKFSFLLLISFLAFPSSSSFKLHPLVANSTFLHRNYTAVSDFRVLNRRTLIECPDPNPYLQINVISDADVSDDEFVAVNVSGVMVPSEADWVAMISPSYSNVTTCLESEAYYIQTGDTSTLPLLCHYPVKAKYVSSDPDYLSCKKQECQKYGNDGKCEITTCSGSITFHVVNIRTDIEFVFFTGGFGTPCILTRTDVPLKFSNPNSPLYGHLSSMDSTGTSMRLTWVSGDKEPQQVKYGDGKSQTSDVTTFSADDMCSSVVVPSPAKDFGWHDPGYIHTAVMTGLQPSSTCNYKYGSDSVGWSDQIQFRTPPAGGSDELKFLVFGDMGKAPLDDSAEHYIQPGSISVIKGMIEEVENGNVDSIFHIGDISYATGFLVEWEFFLHLITPLASQVTYMTAIGNHERDYADSGSWYPGPDSGGECGVAYETYFPMPTPAKDKPWYSIEQGSVHFTVISTEHDWTEQSEQYEWMKNDMASVDRSKTPWLIFTGHRPMYSSLGADDKFLKIVEPVLLDNKVDLALFGHVHNYERTCSVYNSECLAMPTKDKNGIDTYDNSNYTAPVQAVVGMAGFSLDKFPDDAASWSLSRVSEFGYVRAHATKDELKLEFVNSDTKDIEDSFRITKNQISDFRVLNRRTLSQCLNPNPFLQIHVSKNSNLSNEEFVTVTVSGVLLPSPEDWIAMISPSHSNVGACLQSEAFYLQTGDISKLPLLCHYPVKAKFVSSDPDYLSCKKKECMKHSKGKCKVTTCSGFVAFHVINIRTDIEFVFFTGGFHKPCVLKRTIPLKFSNPNAPLYGHLSSIDSTGTSMRLTWISGDKEPQQVKYGNGKSQTSQVATFSQDDMCSSILIPSPAKDFGWHDPGYIHTAVMTGLQPSSTSYYKYGSDAVGWSDRIEFRTPPAGGSDELKFLVYGDMGKAPLDASAEHFTQPGSLSVVKAMVEELKNGNVDSIFHIGDISYATGFLVEWEFFLHLLSPLASKVSYMTAIGNHERDYADSGSYYPGPDSGGECGVAYETYFPMPTAAKDKPWYAVEQGSVHFTVISTEHDWTENSEQYNWMKKDMASVDRSKTPWLIFAGHRPMYSSYLVKSTDDKFRDVVEPVLLANKVDLALFGHVHNYERTCSIYKSQCLAMPRKDENGIDTYDNSNYKAPVQAVVGMAGFSLDKFSLFVTGWSLSRISEFGYVRAHATKDELMVEFVNSNTRKVQDSFRITKKQNS >EOY17605 pep chromosome:Theobroma_cacao_20110822:10:333711:348133:-1 gene:TCM_042391 transcript:EOY17605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 27 isoform 2 MVWSVGRKLRKTRRQDSTTLLICLPQHHNFHHFLPMEEPVTYRVFNKFSFLLLISFLAFPSSSSFKLHPLVANSTFLHRNYTAVSDFRVLNRRTLIECPDPNPYLQINVISDADVSDDEFVAVNVSGVMVPSEADWVAMISPSYSNVTTCLESEAYYIQTGDTSTLPLLCHYPVKAKYVSSDPDYLSCKKQECQKYGNDGKCEITTCSGSITFHVVNIRTDIEFVFFTGGFGTPCILTRTDVPLKFSNPNSPLYGHLSSMDSTGTSMRLTWVSGDKEPQQVKYGDGKSQTSDVTTFSADDMCSSVVVPSPAKDFGWHDPGYIHTAVMTGLQPSSTCNYKYGSDSVGWSDQIQFRTPPAGGSDELKFLVFGDMGKAPLDDSAEHYIQPGSISVIKGMIEEVENGNVDSIFHIGDISYATGFLVEWEFFLHLITPLASQVTYMTAIGNHERDYADSGSWYPGPDSGGECGVAYETYFPMPTPAKDKPWYSIEQGSVHFTVISTEHDWTEQSEQYEWMKNDMASVDRSKTPWLIFTGHRPMYSSLGADDKFLKIVEPVLLDNKVDLALFGHVHNYERTCSVYNSECLAMPTKDKNGIDTYDNSNYTAPVQAVVGMAGFSLDKFPDDAASWSLSRVSEFGYVRAHATKDELKLEFVNSDTKDIEDSFRITKNQISDFRVLNRRTLSQCLNPNPFLQIHVSKNSNLSNEEFVTVTVSGVLLPSPEDWIAMISPSHSNVGACLQSEAFYLQTGDISKLPLLCHYPVKAKFVSSDPDYLSCKKKECMKHSKGKCKVTTCSGFVAFHVINIRTDIEFVFFTGGFHKPCVLKRTIPLKFSNPNAPLYGHLSSIDSTGTSMRLTWISGDKEPQQVKYGNGKSQTSQVATFSQDDMCSSILIPSPAKDFGWHDPGYIHTAVMTGLQPSSTSYYKYGSDAVGWSDRIEFRTPPAGGSDELKFLVYGDMGKAPLDASAEHFTQPGSLSVVKAMVEELKNGNVDSIFHIGDISYATGFLVEWEFFLHLLSPLASKVSYMTAIGNHERDYADSGSYYPGPDSGGECGVAYETYFPMPTAAKDKPWYAVEQGSVHFTVISTEHDWTENSEQYNWMKKDMASVDRSKTPWLIFAGHRPMYSSYLVKSTDDKFRDVVEPVLLANKVDLALFGHVHNYERTCSIYKSQCLAMPRKDENGIDTYDNSNYKAPVQAVVGMAGFSLDKFSLFVTGWSLSRISEFGYVRAHATKDELMFVNSNTRKVQDSFRITKKQNS >EOY20196 pep chromosome:Theobroma_cacao_20110822:10:25222122:25225629:1 gene:TCM_045572 transcript:EOY20196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase 2 isoform 2 KPFQNNFLFPNGQPDTLASDHVEFDFSDVFGPAPVQASTEISTENNKTLIVATESNELLYDEPAVICSRSHSLVGPSSYVSHSLKLSKLTLRETGDSLELVGVREEAQKELRKPSIDDVILENPDGHVESHSLEHQSVGLEDFEVLKVVGQGAFGKVYQVRRSDTSDIYAMKVMRKDKVMEKNHAEYMKSERDILTKVDHPFIVQLRYSFQIQEKIIKDKIKLPAFLSSEAHSILKGLLQKEASKRLGSGQGGSEEIKRHKWFNSINWKKLEAREIRPSFLPEVAGNHCVANFEECWTNMPLLDSPVASPTFGENPFKGFTYVRPAASFLQRNA >EOY20195 pep chromosome:Theobroma_cacao_20110822:10:25220807:25225458:1 gene:TCM_045572 transcript:EOY20195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase 2 isoform 2 MVSSHLSSLNGSRMQKPFQNNFLFPNGQPDTLASDHVEFDFSDVFGPAPVQASTEISTENNKTLIVATESNELLYDEPAVICSRSHSLVGPSSYVSHSLKLSKLTLRETGDSLELVGVREEAQKELRKPSIDDVILENPDGHVESHSLEHQSVGLEDFEVLKVVGQGAFGKVYQVRRSDTSDIYAMKVMRKDKVMEKNHAEYMKSERDILTKVDHPFIVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYRQGLFREDLARIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHVMLTDFGLAKEFDENTRSNSMCGTLEYMSPEIVLGKGHDKAADWWSVGILLYEMLTGKPPFIGGNRQKIQEKIIKDKIKLPAFLSSEAHSILKGLLQKEASKRLGSGQGGSEEIKRHKWFNSINWKKLEAREIRPSFLPEVAGNHCVANFEECWTNMPLLDSPVASPTFGENPFKGFTYVRPAASFLQRNA >EOY19208 pep chromosome:Theobroma_cacao_20110822:10:14175799:14185620:1 gene:TCM_044165 transcript:EOY19208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MNTNQIQGSLPLDLGITMSCIETLAVADNQFTGPIPVSISNASNLVEFNVVENKLSGSLPSFEKLDKLSRFVIGVNLLGSMKSTDLNFLYTLNIASRLKFLLIGENNFGGVLPDCIGNLSSNLVTFKTQDSRILGRIPTGIENLINLELLAVSNNQLSGSIPLDIGRLQKLRTFYSPRNSLSGSIPPSFGNLTMLITLDLEDNNLHGNIPSSLGVTLVFSFLLIWCFRKKKEEPITTYAEKSLLNLSYRCLLEATVSSANLVGSGSFGSVYKGILEESGVVIVVKVLNLLCRGASRSFMAECETLKNIRHRNLVKVLTEISDFGLAKFITPDMQNKSSSLSSSLGLRGTIGYAPPEYGLGSIVTTYGDVYSYGILLLEMFTGKKPTDKMFNENLNLHSFVKTALQNQVVAVTDTVLLQGSFQGENMTNNSRNQRDNKLLQSLNSIFEIRVTCSVVLPTERMNMTDVVAKLSSIRDQLLPTRPLRSLG >EOY17532 pep chromosome:Theobroma_cacao_20110822:10:119150:129915:-1 gene:TCM_042345 transcript:EOY17532 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication helicase dna2 isoform 2 MPPRRKINSSSSSKKPNVSNQQSQPSKFGIQHFFERHTQNALVATHNHPSSPPNALLSAAPKSPIPDHNPLSSSNANAAALPLPLEAASSAVVSHSASQNPKIDSDSSADKPPAIDVNVEVSPSVSKSTSLKRFNFSPGMLIKQSQDDGGDVVTWKISPVNERLQALSKHMPVLADSSKHDSFSINQCSQNKGLNMSAKVDKWLSSPSPKADKKSLVSDNRVGLKRANPFQDTEVSERIADEKTSLASRQSPFRTPPSLPYCPDKLTNGITSDQLGLRQHKKALLALLDQVEDVISVEDFVSTESEPYSSDAQDGQPNEMPVIADSVVKGAGMGPPHEVSETSSNGYFLVLEVSEKRTFSESVGLQCPYKVLRLVNEKCGEERAVYLWEEWSYTVVAPGDTVNVIGEFDDEGKCNVDHENNFLILHPDILVSGTRVAASFSCPRRTVLDERLRCNEHSTAALIGTLLHQIFQAGLVKEAPTIHFLEEYARLVLQKNMESLYACGVNENEIYKTLTEAIPKLVNWIVLFKDSEDPKVPTVDFGSDNGPKKVNIFEVIDIEEMAWAPKYGLKGMIDVSVRVKIESGGKEDNEKIMPLEFKTGKMPKGQSSMEHCAQVILYTLLMSERYLKCIDSGLLYYLQSDQTQVSKLPSVLWISIFKAMKHLLMGIVVRRSDLVGLIMRRNELANDILKALTTQQLPPMLQIPSMCKGCRHLDVCTIYHKALGGDTESSGLGDVFDSHVHHFSNAHGVFLRHWDRLIDLEAKEMQLVKKDLWHSRNLKSDDCTGCLSSLVLDELPHQKSHKENRFIYHFVCRHSPASNLNGSDRNPISAASSLTKDLDCTLKCGDYVILSTESGHQIVASGVIVEISPVRVSVSFSKCLRLPGGNLSSMTEKLFQEVWRIDKDEVMTSFSIMRFNLIQIFLQNEQSSHLRKMIVDLAAPRFDSGCIFSQDPAISYVWSEKSLNDDQRRAILKILTAKDYALILGMPGTGKTSTMVHAVKALLMRGASILLTSYTNSAVDNLLIKLKSQSIDFVRIGRHESVHEEIKGHCFSGMNLSSIEEIKVKFDKVKVVAVTCLGITSPFLSGKKFDVCIIDEAGQTTLPVSLGPLMFASTFVLVGDHYQLPPLVQSAEARENGMGISLFCILSEAHPHAISPLQSQYRMCESIMGLSNALVYGDRLRCGSPEVANAKLKFSRPNASCSSWLKAVLNPGRPVIFVNTDLLPAFEARDHKTVNNPMEAYIIAEITDGLVNNGIEAKDIGIITPYNSQANLIRHACIASVETHTIDKYQGRDKDCILVSFVRSNENPRKCSSSLLADWHRINVALTRAKKKLIMVGSCRTLSKVPMLKLLIDKVDEQSGVMNMSKKDINHKAVLKRCSQIRSL >EOY17533 pep chromosome:Theobroma_cacao_20110822:10:118424:129971:-1 gene:TCM_042345 transcript:EOY17533 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication helicase dna2 isoform 2 MPPRRKINSSSSSKKPNVSNQQSQPSKFGIQHFFERHTQNALVATHNHPSSPPNALLSAAPKSPIPDHNPLSSSNANAAALPLPLEAASSAVVSHSASQNPKIDSDSSADKPPAIDVNVEVSPSVSKSTSLKRFNFSPGMLIKQSQDDGGDVVTWKISPVNERLQALSKHMPVLADSSKHDSFSINQCSQNKGLNMSAKVDKWLSSPSPKADKKSLVSDNRVGLKRANPFQDTEVSERIADEKTSLASRQSPFRTPPSLPYCPDKLTNGITSDQLGLRQHKKALLALLDQVEDVISVEDFVSTESEPYSSDAQDGQPNEMPVIADSVVKGAGMGPPHEVSETSSNGYFLVLEVSEKRTFSESVGLQCPYKVLRLVNEKCGEERAVYLWEEWSYTVVAPGDTVNVIGEFDDEGKCNVDHENNFLILHPDILVSGTRVAASFSCPRRTVLDERLRCNEHSTAALIGTLLHQIFQAGLVKEAPTIHFLEEYARLVLQKNMESLYACGVNENEIYKTLTEAIPKLVNWIVLFKDSEDPKVPTVDFGSDNGPKKVNIFEVIDIEEMAWAPKYGLKGMIDVSVRVKIESGGKEDNEKIMPLEFKTGKMPKGQSSMEHCAQVILYTLLMSERYLKCIDSGLLYYLQSDQTQVSKLPSVLWISIFKAMKHLLMGIVVRRSDLVGLIMRRNELANDILKALTTQQLPPMLQIPSMCKGCRHLDVCTIYHKALGGDTESSGLGDVFDSHVHHFSNAHGVFLRHWDRLIDLEAKEMQLVKKDLWHSRNLKSDDCTGCLSSLVLDELPHQKSHKENRFIYHFVCRHSPASNLNGSDRNPISAASSLTKDLDCTLKCGDYVILSTESGHQIVASGVIVEISPVRVSVSFSKCLRLPGGNLSSMTEKLFQEVWRIDKDEVMTSFSIMRFNLIQIFLQNEQSSHLRKMIVDLAAPRFDSGCIFSQDPAISYVWSEKSLNDDQRRAILKILTAKDYALILGMPGTGKTSTMVHAVKALLMRGASILLTSYTNSAVDNLLIKLKSQSIDFVRIGRHESVHEEIKGHCFSGMNLSSIEEIKVKFDKVKVVAVTCLGITSPFLSGKKFDVCIIDEAGQTTLPVSLGPLMFASTFVLVGDHYQLPPLVQSAEARENGMGISLFCILSEAHPHAISPLQSQYRMCESIMGLSNALVYGDRLRCGSPEVANAKLKFSRPNASCSSWLKAVLNPGRPVIFVNTDLLPAFEARDHKTVNNPMEAYIIAEANLIRHACIASVETHTIDKYQGRDKDCILVSFVRSNENPRKCSSSLLADWHRINVALTRAKKKLIMVGSCRTLSKVPMLKLLIDKVDEQSGVMNMSKKDINHKAVLKRCSQIRSL >EOY19659 pep chromosome:Theobroma_cacao_20110822:10:19656896:19666811:-1 gene:TCM_044824 transcript:EOY19659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTNLIIGGKEIKADNVICLFIVDAVLDARNTNLNCASFFSNLQFGQSLSIDWVFMLTIGVGRLYSANVVELLLQSTQCIGRVSKDPSGGFSFSHAQKVDLVYFIATCIGRRKPPPDRRTPS >EOY20009 pep chromosome:Theobroma_cacao_20110822:10:24310993:24313219:-1 gene:TCM_045405 transcript:EOY20009 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein, putative isoform 1 MDCSICSSMPFILRPPRNTICGACYEGARNVITLMNKLDQNDGKGSDKASNVPVSSSQNSCKPLANLTKWIASMKDIEDDLNKKISFLSGLVVAFRDQIHTDIQLKPGNHGPCIPAHRALLAARSEIFRNMLDSDGCKAPPSDTITLPELNTEELESLLEFLYSGNLPFDKLEKHVYSLFVAADKYEIPYLQEFCERFLLSSLNASNVLEILEISDVCSNKTLKETALNFIVRNMEDVVFSAKYEVFAPKNPHLGVQITRAFLMDSKSKRINGV >EOY20008 pep chromosome:Theobroma_cacao_20110822:10:24310930:24313143:-1 gene:TCM_045405 transcript:EOY20008 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein, putative isoform 1 MNRISFCRKSRKSRQEMDCSICSSMPFILRPPRNTICGACYEGARNVITLMNKLDQNDGKGSDKASNVPVSSSQNSCKPLANLTKWIASMKDIEDDLNKKISFLSGLVVAFRDQIHTDIQLKPGNHGPCIPAHRALLAARSEIFRNMLDSDGCKAPPSDTITLPELNTEELESLLEFLYSGNLPFDKLEKHVYSLFVAADKYEIPYLQEFCERFLLSSLNASNVLEILEISDVCSNKTLKETALNFIVRNMEDVVFSAKYEVFAPKNPHLGVQITRAFLMDSKSKRINGV >EOY20007 pep chromosome:Theobroma_cacao_20110822:10:24310764:24313704:-1 gene:TCM_045405 transcript:EOY20007 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein, putative isoform 1 MQAIIMNRISFCRKSRKSRQEMDCSICSSMPFILRPPRNTICGACYEGARNVITLMNKLDQNDGKGSDKASNVPVSSSQNSCKPQPLANLTKWIASMKDIEDDLNKKISFLSGLVVAFRDQIHTDIQLKPGNHGPCIPAHRALLAARSEIFRNMLDSDGCKAPPSDTITLPELNTEELESLLEFLYSGNLPFDKLEKHVYSLFVAADKYEIPYLQEFCERFLLSSLNASNVLEILEISDVCSNKTLKETALNFIVRNMEDVVFSAKYEVFAPKNPHLGVQITRAFLMDSKSKRINGV >EOY19657 pep chromosome:Theobroma_cacao_20110822:10:19527993:19528779:-1 gene:TCM_044806 transcript:EOY19657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIACIIYGGGFNYIQIILLLFLLGTETPKIKNFLRRKSGKGKIKKTKLSLSPPW >EOY20116 pep chromosome:Theobroma_cacao_20110822:10:24957124:24968216:1 gene:TCM_045515 transcript:EOY20116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome p450 79a2 MENSSSKNVTFSLLSWGLLYFATSRLVSFHSPFLFVLLTIFLFVVKAKGKASKTKSNQASLPPGPTPWPIIGNLPEIWRKRPAFRWIHGLMKELHTDILCVRLANIHVIAVTSPVIALEFLKKNDSVFASRPVTMATEYSSRRFLTIAVVPWGDQWKKMRRVVTCEILSTSKLHSLLENRTEEADNLVRFIYNQCKSNGHDNSTASAVVNLRLAMRQYSGNVIRKMIFNRRYFGEGRKDGGPGYEEEEHVESLFTVLRHAYSFILSDYIPWLRSFDLEGHEKTVSKAMKIVNEYQDPLIDERVQEWREGKRTESEDLLDAFILAKDSNGKPALSIEEIKAQCAELMLSTVDNPSNAVEWAMAEMINQPETLLKAVEEIDAVVGKERLVQEADIPKLNYVKACAREGFRLHPVAPFNLPHVSTADATVAGYFIPKGSHVLLSRYGLGRNPKVWDDPLKFKPERHLKDGSMCVDLTETDLRFISFSTGRRGCMAVALGTAMTVMLLARLLQGFTWRVPPNEASIDLSEANDDLFMAKPLQALGQPRLPAHLYPAN >EOY18373 pep chromosome:Theobroma_cacao_20110822:10:3234223:3235692:-1 gene:TCM_042975 transcript:EOY18373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein, putative MAKTPILLCKTLKATIYFLFLAIIFTCANSARVLDEVEAQPQVVDDIPQPSNPVATTVPPNTLPSGQVRATTPSGSEDDDDTGPQLPEAPAAAAAPAEDEAPVATPAAPAAGGVAPIAVPAATSATTGAGAAAAASATVATPGSHDPVLSFFMHDILGGSHPSARVVTGIIANSEVSGIPFSKTNNDLFPVQGAAPLLNGNNINDLKNINNLINPNNVPFLTGLTGAQTNAILQNSGNNNNVLNGDSQPFVTAGQLPPGSLQRLMFGSITVIDDELTEAHELGSAVLGRAQGFYLASSLDGSSQTIALTVLLHGGEHGHELEDAISFFGVHRTVSPASQIAVVGGTGKYENARGYATVETLHQEDQHITDGVDTILHFNVYLID >EOY18642 pep chromosome:Theobroma_cacao_20110822:10:4158256:4168298:-1 gene:TCM_043141 transcript:EOY18642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Decapping 2 isoform 1 MSGLHRSSSAPLKNGLPPQELLDDLCSRFVLNVPKEDQQSFERILFLVEYAHWFYEDNTVEKNPSLKSLSLKEFTSLLFNNCDVLRPYVAHIDDIFKDFTDYKVRVPVTGAIILDETCERCILVKGWKGTSWSFPRGKKNKDEEDHACAIREVLEETGFDVSELLNKDEYIEVIFGQQRVRLYIIAGVKDDTAFAPLTKKEISEIAWHRLDDLQPATNEVISRGITGLKLYMVAPFLASLKSWIVKHPPPIAPRPDLPLKGICVWKAKNSSTGSNSMIVESQSNKLVTDANPPNIGPGKSFRNFRFDTAAILRALEAGFSA >EOY18643 pep chromosome:Theobroma_cacao_20110822:10:4161039:4168112:-1 gene:TCM_043141 transcript:EOY18643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Decapping 2 isoform 1 MSGLHRSSSAPLKNGLPPQELLDDLCSRFVLNVPKEDQQSFERILFLVEYAHWFYEDNTVEKNPSLKSLSLKEFTSLLFNNCDVLRPYVAHIDDIFKDFTDYKVRVPVTGAIILDETCERCILVKGWKGTSWSFPRGKKNKDEEDHACAIREVLEETGFDVSELLNKDEYIEVIFGQQRVRLYIIAGVKDDTAFAPLTKKEISEIAWHRLDDLQPATNEVISRGITGLKLYMVAPFLADLCVESKEQFYRKQLNDCGKPI >EOY20044 pep chromosome:Theobroma_cacao_20110822:10:24537804:24543621:1 gene:TCM_045442 transcript:EOY20044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein MNTNMRSSSALLQLRPSLCFSHSLSPSKLILSKSKRLNLVQHRLVIKNSSGFDGNGSVNGFPVKPNKLFMQEAIGAEYGEGFETFRLDGPLKVDVDYLNDRLQEGFLKRIRYAMKPDEAYGLIFSWDNVVSDTRALKLNAWKQLAFEEGKEIPDEGDAQRLMLSAGADHVLHKILLWETAESEVDRLKSRLLQIYYDNLLKLEKPMDGLKEWLDALCTARIPCAVVSSLDRRNMVDALERFGLKKYFQGLVSEEDGMESMAHKFLSAAMKLDRKPSKCVVFEDDPRGITAAHNCTMMAVALIGAHPAYDLVQADLAVANFNELSVINLRRLFANKGSTFMDRQKQIIEKTPPKRKLTIDTIF >EOY17876 pep chromosome:Theobroma_cacao_20110822:10:1261607:1264124:-1 gene:TCM_042580 transcript:EOY17876 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MEVKDIVFMNKGDGENSYVKSAGLTLKVIAKTQPMVQKAVQSLFKGTHSAPLQVVNVADLGCALAPQPLESMSIVIESIVEKCGELGCEMPEIQFHFNDLAGNDFNTLFKGLSVVQEKYKNVSWFAMGAPGSFHGRLFPRNSMHLVHSCYSVHWLSKVCHFFFNLLAPKITSEEGLPLNKGKIYMSKTSXXXXXXXXXXXFEEDFSSVLRFRSPELAPDGRMVLILNGRQSADPTEKDICYLWDLLAEALSYLVSEGLIDEEKLDSFNVPYYNPSQEEVERVIDKEGSFTTEFSDTVVLEIGGKNAWSDPGLRIKGYRCFSEPVLSHQFGEEVMDKLFDKAEEILAEDYKQGKEATKNISIVVVLKKKTNQTWT >EOY18220 pep chromosome:Theobroma_cacao_20110822:10:2412312:2420193:-1 gene:TCM_042818 transcript:EOY18220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carnitine racemase, putative isoform 1 MCMCTVEKKGNLFILTLTGEDEHRLNPTRIDAIRSALNRIRSDSTSHSCSALITSAQGKFFSNGYDLAWAGSSPDKIRLMSSKLRALVADLISFPMPTIAAVTGHACAGGFILAFSHDYVVMRKDRGFLYMSEMDIGLKIPAWFMALISCKIGDPVVRREVVLKAKKLTAEQGVKRGIVDAAYDSAEETVKGAIELGEKLTQKGWNKQVYSENRKQLYKEILDQLGVDETTDDLNKVSIAASKL >EOY18218 pep chromosome:Theobroma_cacao_20110822:10:2412300:2420215:-1 gene:TCM_042818 transcript:EOY18218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carnitine racemase, putative isoform 1 MCMCTVEKKGNLFILTLTGEDEHRLNPTRIDAIRSALNRIRSDSTSHSCSALITSAQGKFFSNGYDLAWAGSSPDKIRLMSSKLRALVADLISFPMPTIAAVTGHACAGGFILAFSHDYVVMRKDRGFLYMSEMDIGLKIPAWFMALISCKIGDPVVRREVVLKAKKLTAEQGVKRGIVDAAYDSAEETVKGAIELGEKLTQKGWNKQVYSENRKQLYKEILDQLGVDETTDDLNKVSIAASKL >EOY18215 pep chromosome:Theobroma_cacao_20110822:10:2412218:2419337:-1 gene:TCM_042818 transcript:EOY18215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carnitine racemase, putative isoform 1 MCMCTVEKKGNLFILTLTGEDEHRLNPTRIDAIRSALNRIRSDSTSHSCSALITSAQGKFFSNGYDLAWAGSSPDKIRLMSSKLRALVADLISFPMPTIAAVTGHACAGGFILAFSHDYVVMRKDRGFLYMSEMDIGLKIPAWFMALISCKIGDPVVRREVVLKAKKLTAEQGVKRGIVDAAYDSAEETVKGAIELGEKLTQKGWNKQVYSENRKQLYKEILDQLGVDETTDDLNKVSIAASKL >EOY18219 pep chromosome:Theobroma_cacao_20110822:10:2412218:2419338:-1 gene:TCM_042818 transcript:EOY18219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carnitine racemase, putative isoform 1 MCMCTVEKKGNLFILTLTGEDEHRLNPTRIDAIRSALNRIRSDSTSHSCSALITSAQGKFFSNGYDLAWAGSSPDKIRLMSSKLRALVADLISFPMPTIAAVTGHACAGGFILAFSHDYVVMRKDRGFLYMSEMDIGLKIPAWFMALISCKIGDPVVRREVVLKAKKLTAEQGVKRGIVDAAYDSAEETVKGAIELGEKLTQKGWNKQVYSENRKQLYKEILDQLGVDETTDDLNKVSIAASKL >EOY18216 pep chromosome:Theobroma_cacao_20110822:10:2412300:2420110:-1 gene:TCM_042818 transcript:EOY18216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carnitine racemase, putative isoform 1 MCMCTVEKKGNLFILTLTGEDEHRLNPTRIDAIRSALNRIRSDSTSHSCSALITSAQGKFFSNGYDLAWAGSSPDKIRLMSSKLRALVADLISFPMPTIAAVTGHACAGGFILAFSHDYVVMRKDRGFLYMSEMDIGLKIPAWFMALISCKIGDPVVRREVVLKAKKLTAEQGVKRGIVDAAYDSAEETVKGAIELGEKLTQKGWNKQVYSENRKQLYKEILDQLGVDETTDDLNKVSIAASKL >EOY18217 pep chromosome:Theobroma_cacao_20110822:10:2412218:2420067:-1 gene:TCM_042818 transcript:EOY18217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carnitine racemase, putative isoform 1 MCMCTVEKKGNLFILTLTGEDEHRLNPTRIDAIRSALNRIRSDSTSHSCSALITSAQGKFFSNGYDLAWAGSSPDKIRLMSSKLRALVADLISFPMPTIAAVTGHACAGGFILAFSHDYVVMRKDRGFLYMSEMDIGLKIPAWFMALISCKIGDPVVRREVVLKAKKLTAEQGVKRGIVDAAYDSAEETVKGAIELGEKLTQKGWNKQVYSENRKQLYKEILDQLGVDETTDDLNKVSIAASKL >EOY18214 pep chromosome:Theobroma_cacao_20110822:10:2412218:2417916:-1 gene:TCM_042818 transcript:EOY18214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carnitine racemase, putative isoform 1 MCMCTVEKKGNLFILTLTGEDEHRLNPTRIDAIRSALNRIRSDSTSHSCSALITSAQGKFFSNGYDLAWAGSSPDKIRLMSSKLRALVADLISFPMPTIAAVTGHACAGGFILAFSHDYVVMRKDRGFLYMSEMDIGLKIPAWFMALISCKIGDPVVRREVVLKAKKLTAEQGVKRGIVDAAYDSAEETVKGAIELGEKLTQKGWNKQVYSENRKQLYKEILDQLGVDETTDDLNKVSIAASKL >EOY19357 pep chromosome:Theobroma_cacao_20110822:10:16672866:16678360:-1 gene:TCM_044430 transcript:EOY19357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-6F-phosphate phosphohydrolase family protein isoform 1 MSVGTEIAYGESMVPDYDWEQFLDHNWDRDIVNQETAKFPQLIPQSDKNQRPHKVSFFLEKAESLEVIKALSECLEKRGLDVKIIYSNGTALDVLPKGAGKGQALAYLLKKFKADGRVPLNTLVCGDSGNDAELFIVPEVYGVMVSNAQEELLQWHAENVKGNPHILRSTERCASGIVQAIEKFTLGPNVSPRDIRDFRKCRVNIFSPGHEVVKFYLFYERWRCAEVEKSDQLMQSLKSSFYLLGTFVHPSGIEQPLNKCMDMMERLYGDKLGKKYRVWLDWVSAAQIDLNSWLVKFDKWESTGETRQCCLTTVLLTTKQAEEPEAFTWMHIHQTWLDGLEAKDQTTWFF >EOY19356 pep chromosome:Theobroma_cacao_20110822:10:16671578:16678277:-1 gene:TCM_044430 transcript:EOY19356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-6F-phosphate phosphohydrolase family protein isoform 1 MDRLNGPARLMIVSDLDQTMVDHDDPENLSLLRFNALWEAYYRQDSLLVFSTGRSRISYKQLRNEKPLLTPDVTIMSVGTEIAYGESMVPDYDWEQFLDHNWDRDIVNQETAKFPQLIPQSDKNQRPHKVSFFLEKAESLEVIKALSECLEKRGLDVKIIYSNGTALDVLPKGAGKGQALAYLLKKFKADGRVPLNTLVCGDSGNDAELFIVPEVYGVMVSNAQEELLQWHAENVKGNPHILRSTERCASGIVQAIEKFTLGPNVSPRDIRDFRKCRVNIFSPGHEVVKFYLFYERWRCAEVEKSDQLMQSLKSSFYLLGTFVHPSGIEQPLNKCMDMMERLYGDKLGKKYRVWLDWVSAAQIDLNSWLVKFDKWESTGETRQCCLTTVLLTTKQAEEPEAFTWMHIHQTWLDGLEAKDQTTWFYSMKCRKFTCRLDKHCFVLNEVVAYNV >EOY19298 pep chromosome:Theobroma_cacao_20110822:10:16159294:16160309:-1 gene:TCM_044352 transcript:EOY19298 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MNSTEDSNDSTQIGKFAYAIGSSTGIIALLFMITLAAYFCNRANQSTNPSSQHGTTSTDQDSVAREQGLDEETLSSYPNLLYSQAKLHKPDSVSSASSCSICLGEYKDTDMLRLLPDCGHIFHLKCVDPWLRLHPTCPICRNSPVPTPLATPLAEVTPLAIC >EOY19013 pep chromosome:Theobroma_cacao_20110822:10:8082952:8086126:-1 gene:TCM_043612 transcript:EOY19013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRTHILSKIHYERGEDHFWEEVRARQVDQHPSEPVGYDWNRLYSEAPSHKRSGIRGLSILRFERGEFPLSTTKLGSNFQFIHGWEEWVNKLLKNPTYVKLPSSVGILDVVAQFSSGIGVSNKKFNAKGIEISVLEYPDQKHDLVALIIFWLARHILPGCPDDDVPMGKSLSKTSKVARFSSGIGVSNRKFNAKGIEIALLEYPDHKHDLVALIIFWLARHILPGCPDDGSIYKRLDLYQLKIVESAGRYKVLTNVDAFFIQMCLWERFGTCTPVPNACLFASVSMNSPLSRNNYRAWAWHDQL >EOY19405 pep chromosome:Theobroma_cacao_20110822:10:17282710:17289347:1 gene:TCM_044500 transcript:EOY19405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminophospholipid ATPase isoform 2 MDSKTPVENLYSIEPALSSSSRRSNFSIQSKASGGNSIREVTFTDLGSKPVRYGSHGADSETNALSQKEINDEDARLVHINDPVKTNERFEFAGNSIRTAKYSILTFVPRNLFEQFHRVAYIYFLVIAVLNQLPQLAVFGRGASILPLAIVLLVTAVKDAYEDYRRHRSDRIENNRLASVLVNYQFQQKKWKNIQVGEIIKLHANETIPCDIVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETLTKIPEEGKITGLIKCEKPNRNIYGFQANMEIDGKRLSLGPSNIILRGCELKNTAWAVGVAVYAGRETKVMLNSSGAPSKRSRLETHMNLEIIILSLFLIALCTVVSVCAAVWLRRHRDELDFLPFYRRKDFSDGEEDDYNYYGWGMEIFFTFLMSVIVFQIMIPISLYISMELVRVGQAYFMIRDTQMYDESSNSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIWGVDYNGGKASSVDGYYVQVDGKVLRPKMKVKTDPELLQFARSGKETKEGSHVYDFFLALAACNTIVPLIIDTSDPTVKLIDYQGESPDEQALVYAAAAYGFMLIERTSGHIVIDIQGERQRFNVLGLHEFDSDRKRMSVILGFPDKSVKLFVKGADTSMFSVIERSLNVNIIRTTEAHLHSYSSSGLRTLVVGMRELSTSEFEVWHSAFETASTALMGRASLLRKVASNIENNLCVLGASGIEDKLQKGVPEAIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTSKMTQFIINSNSKESCRKSLEDAIIMSKKLMTISDTANNAGGTSGAGLTPVALIIDGTSLVYILDSELEETLFQLACNCSVVLCCRVAPLQKAGIVALVKNRTSDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVSLLLVHGHWNYQRMGYMILYNFYRNAVFVLLLFWYVLFTCFTLTTAITEWSSVLYSVIYTSVPTIVVGILDKDLSRRTLLKDPQLYGAGHRQECYNKRLFWITMIDTFWQSAVVFFIPLLAYWGSTIDGSSIGDLWTIAVVILVNLHLAMDVIRWNWITHAAIWGSIIATCICVIIIDALPSLVGY >EOY19404 pep chromosome:Theobroma_cacao_20110822:10:17282643:17290037:1 gene:TCM_044500 transcript:EOY19404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminophospholipid ATPase isoform 2 MPLTLDISLSQTRHCNNRKENPPIAIIPTSSFPWDPGFVFGMDSKTPVENLYSIEPALSSSSRRSNFSIQSKASGGNSIREVTFTDLGSKPVRYGSHGADSETNALSQKEINDEDARLVHINDPVKTNERFEFAGNSIRTAKYSILTFVPRNLFEQFHRVAYIYFLVIAVLNQLPQLAVFGRGASILPLAIVLLVTAVKDAYEDYRRHRSDRIENNRLASVLVNYQFQQKKWKNIQVGEIIKLHANETIPCDIVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETLTKIPEEGKITGLIKCEKPNRNIYGFQANMEIDGKRLSLGPSNIILRGCELKNTAWAVGVAVYAGRETKVMLNSSGAPSKRSRLETHMNLEIIILSLFLIALCTVVSVCAAVWLRRHRDELDFLPFYRRKDFSDGEEDDYNYYGWGMEIFFTFLMSVIVFQIMIPISLYISMELVRVGQAYFMIRDTQMYDESSNSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIWGVDYNGGKASSVDGYYVQVDGKVLRPKMKVKTDPELLQFARSGKETKEGSHVYDFFLALAACNTIVPLIIDTSDPTVKLIDYQGESPDEQALVYAAAAYGFMLIERTSGHIVIDIQGERQRFNVLGLHEFDSDRKRMSVILGFPDKSVKLFVKGADTSMFSVIERSLNVNIIRTTEAHLHSYSSSGLRTLVVGMRELSTSEFEVWHSAFETASTALMGRASLLRKVASNIENNLCVLGASGIEDKLQKGVPEAIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTSKMTQFIINSNSKESCRKSLEDAIIMSKKLMTISDTANNAGGTSGAGLTPVALIIDGTSLVYILDSELEETLFQLACNCSVVLCCRVAPLQKAGIVALVKNRTSDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVSLLLVHGHWNYQRMGYMILYNFYRNAVFVLLLFWYVLFTCFTLTTAITEWSSVLYSVIYTSVPTIVVGILDKDLSRRTLLKDPQLYGAGHRQECYNKRLFWITMIDTFWQSAVVFFIPLLAYWGSTIDGSSIGDLWTIAVVILVNLHLAMDVIRWNWITHAAIWGSIIATCICVIIIDALPSLVGYWAIFKIARTGLFWLCLLAIIVVALVPRFVVKVLYQLYTPCDVQIAREAEKFQSQRATGALEVEMNPILDPPRR >EOY19406 pep chromosome:Theobroma_cacao_20110822:10:17283973:17289336:1 gene:TCM_044500 transcript:EOY19406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminophospholipid ATPase isoform 2 MEIDGKRLSLGPSNIILRGCELKNTAWAVGVAVYAGRETKVMLNSSGAPSKRSRLETHMNLEIIILSLFLIALCTVVSVCAAVWLRRHRDELDFLPFYRRKDFSDGEEDDYNYYGWGMEIFFTFLMSVIVFQIMIPISLYISMELVRVGQAYFMIRDTQMYDESSNSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIWGVDYNGGKASSVDGYYVQVDGKVLRPKMKVKTDPELLQFARSGKETKEGSHVYDFFLALAACNTIVPLIIDTSDPTVKLIDYQGESPDEQALVYAAAAYGFMLIERTSGHIVIDIQGERQRFNVLGLHEFDSDRKRMSVILGFPDKSVKLFVKGADTSMFSVIERSLNVNIIRTTEAHLHSYSSSGLRTLVVGMRELSTSEFEVWHSAFETASTALMGRASLLRKVASNIENNLCVLGASGIEDKLQKGVPEAIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTSKMTQFIINSNSKESCRKSLEDAIIMSKKLMTISDTANNAGGTSGAGLTPVALIIDGTSLVYILDSELEETLFQLACNCSVVLCCRVAPLQKAGIVALVKNRTSDMTLAIGDGGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVSLLLVHGHWNYQRMGYMILYNFYRNAVFVLLLFWYVLFTCFTLTTAITEWSSVLYSVIYTSVPTIVVGILDKDLSRRTLLKDPQLYGAGHRQECYNKRLFWITMIDTFWQSAVVFFIPLLAYWGSTIDGSSIGDLWTIAVVILVNLHLAMDVIRWNWITHAAIWGSIIATCICVIIIDALPSLVGYWGHL >EOY20112 pep chromosome:Theobroma_cacao_20110822:10:24920688:24932776:1 gene:TCM_045509 transcript:EOY20112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome p450 79a2 MDNSSTISFQTQLPWGSHDFAISTLVSFHSTLVLVLLFAPLVLVKLKSTTKNNYTNQALLPPGPTPWPVIGNLPEIWKNKPAFRWIHGLMKQLDTDIACIRLANIHVIPVTSPEIAREFLKKYDAVFASRPVTMATELASRGFLSTALVPWGDQWKKMRKVIASNILKPARLSSLLHKRTQEADNLVRFIYNQCINPENDSSNGSVINLRLAVRQYTGNVIRKMMFHKRYFGQGKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKKEPEDLLDAFILAKDLDGKPALSVEEIKAQCTELMLATVDNPANAAEWAMAEMINQPETLQKAIEEMDGVVGYWKAQEDDPRFCMEGAA >EOY19124 pep chromosome:Theobroma_cacao_20110822:10:10730883:10736295:-1 gene:TCM_043879 transcript:EOY19124 gene_biotype:protein_coding transcript_biotype:protein_coding description:GHMP kinase family protein isoform 2 MGETWVLMKTAQTPTNIAVIKYWGKRDESLILPVNDSISVTLDPAHLCTTTTVAVSPVFQQDRMWLNGKEISLSGGRFQSCLREIRRRASEVEDKEKGVKIEKKDWEKLHVHIASYNNFPTAAGLASSAAGFACLVFALAKLMNVKEDESQLSAIARQGSGSACRSLFGGFVKWIMGKEEDGSDSVAVQLVDEKHWDDLFIVIAVVSSRQKETSSTSGMRESVETSLLLQHRAKEVVPKRILKMEEAIKNHSFESFAQLTCADSNQFHAVCLDTCPPIFYMNDTSHRIISYVEKWNRSEGSPQVAYTFDAGPNAVLIARNRKAAAQLLQRLLFYFPPKSDIDLDSYVIGDKSILQDAGLEGLKDVEALSPPPEIKENAAAQKYPGEVSYFICTRPGRGPVLLTNENLALLNPETGLPK >EOY19127 pep chromosome:Theobroma_cacao_20110822:10:10731811:10736459:-1 gene:TCM_043879 transcript:EOY19127 gene_biotype:protein_coding transcript_biotype:protein_coding description:GHMP kinase family protein isoform 2 MGETWVLMKTAQTPTNIAVIKYWGKRDESLILPVNDSISVTLDPAHLCTTTTVAVSPVFQQDRMWLNGKEISLSGGRFQSCLREIRRRASEVEDKEKGVKIEKKDWEKLHVHIASYNNFPTAAGLASSAAGFACLVFALAKLMNVKEDESQLSAIARQGSGSACRSLFGGFVKWIMGKEEDGSDSVAVQLVDEKHWDDLFIVIAVVSSRQKETSSTSGMRESVETSLLLQHRAKEVVPKRILKMEEAIKNHSFESFAQLTCADSNQFHAVCLDTCPPIFYMNDTSHRN >EOY19126 pep chromosome:Theobroma_cacao_20110822:10:10731488:10736459:-1 gene:TCM_043879 transcript:EOY19126 gene_biotype:protein_coding transcript_biotype:protein_coding description:GHMP kinase family protein isoform 2 MGETWVLMKTAQTPTNIAVIKYWGKRDESLILPVNDSISVTLDPAHLCTTTTVAVSPVFQQDRMWLNGKEISLSGGRFQSCLREIRRRASEVEDKEKGVKIEKKDWEKLHVHIASYNNFPTAAGLASSAAGFACLVFALAKLMNVKEDESQLSAIARQGSGSACRSLFGGFVKWIMGKEEDGSDSVAVQLVDEKHWDDLFIVIAVVSSRQKETSSTSGMRESVETSLLLQHRAKEVVPKRILKMEEAIKNHSFESFAQLTCADSNQFHAVCLDTCPPIFYMNDTSHRIISYVEKWNRSEGSPRWHIHLMLGRMQF >EOY19125 pep chromosome:Theobroma_cacao_20110822:10:10730983:10736459:-1 gene:TCM_043879 transcript:EOY19125 gene_biotype:protein_coding transcript_biotype:protein_coding description:GHMP kinase family protein isoform 2 MGETWVLMKTAQTPTNIAVIKYWGKRDESLILPVNDSISVTLDPAHLCTTTTVAVSPVFQQDRMWLNGKEISLSGGRFQSCLREIRRRASEVEDKEKGVKIEKKDWEKLHVHIASYNNFPTAAGLASSAAGFACLVFALAKLMNVKEDESQLSAIARQGSGSACRSLFGGFVKWIMGKEEDGSDSVAVQLVDEKHWDDLFIVIAVVSSRQKETSSTSGMRESVETSLLLQHRAKEVVPKRILKMEEAIKNHSFESFAQLTCADSNQFHAVCLDTCPPIFYMNDTSHRIISYVEKWNRSEGSPVAYTFDAGPNAVLIARNRKAAAQLLQRLLFYFPPKSDIDLDSYVIGDKSILQDAGLEGLKDVEALSPPPEIKENAAAQKYPGEVSYFICTRPGRGPVLLTNENLALLNPETGLPK >EOY18853 pep chromosome:Theobroma_cacao_20110822:10:5469888:5473601:-1 gene:TCM_043354 transcript:EOY18853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAYSSNLKWILVWGALFTVFATRTNGIDIFMDWNVSFSNSLSPLSIDQQACFYQSQLHFAKAFFLHFGLSPQDKIMNGIQQRLNSWQDGVSGTNCPIKPSTNWTYVFQIKDQIGSFSYFPSINFQKASGGYGPIRVNNRNVIAVPFLKPEAKFDLLIGDWYAGDYKYFRTLLGTEVSAYDVTPDAILMNGNGPYGHSMSTPYESFTVEKGMQRGKDRRNKVGLSALCVSSGKTYRFRISNVGKTLSFNFRIQNHTMLLVETEGSYTNQISLNSLDVHVGQSYSVLVTADQNDADFYMVASPKLLDTSIIGVYTLYQFPIQSVNSEAAYGISVVTGNHRGFGSGDWAPDSRNTYNVIDPIVRSTAQVYPGGWTAEYAFLDNPGMWNLRSQNLKNWYLGQELYIRVYDADPNPAKERPPPDNLLLCGIFYQSNAPAPAPGPLTGF >EOY18707 pep chromosome:Theobroma_cacao_20110822:10:4601985:4612476:-1 gene:TCM_043207 transcript:EOY18707 gene_biotype:protein_coding transcript_biotype:protein_coding description:White, putative isoform 1 MGSTSEKRCFKLTSCNSNASNQDLHAYGIMLIAATTTLLLIIYNCSDQVLNTRERRLAKTREAAARSARDTAKARQRWKTAKDAAKKHASGLQTHFSQTFSFKKSAKHPEELKILDQTSCETDEDLYAPTHISSSSESLSSSAPSRGKPMEPGNLMRMMHEIEDDPGNYEGFDVNTHDRKSKGHKPKGKQPNTHSQIFKYAYAQLEKEKALQEENKNLTFSGVISMATNPEIRKRPLIEVSFKDLTLTLKGKGKHLLRCVTGKIKPGRITAVMGPSGAGKTTFISALAGKAIGCKMTGLILINGKNESIRSYRKIIGYVPQDDIVHGNLTVEENLRFNAKCRLPAHLSKPDTVLVVERVIESLGLQMVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRHEALEGVNICMVLHQPSYALFQMFDDLVLLAKGGLTVYHGSAKKAEEYFAGLGIHVPERVNPPDHFIDILEGIVTPSATSGVNHKELPVRWMLHNGYPVPPDLQQSFAQLAMPSAGAGPANGTNPVHAGMEEKSFAGELWQDVRSNVELQRDSIHHNFLKFKDLSCRRTPGVLWQYRYFLGRVGKQRMREAKIQATDYLILLLAGACLGTLAKTSDENFGAVGYTYTIIAVSLLCKIAALRSFSLDKLQYWRESASGMSSLAYFLAKDTIDHFNTVIKPVVYLSMFFFFTNPRSSFAENYIVLLCLVYCVTGIAYALAIFFQPGPAQLWSVLLPVVLTLVATQKQDGEVLKKISNLCYPKWALEAFVIANAERYYGVWLITRCGALLKSGYSLHEWTLCIFILILTGVVSRLFAFVGMITFQKK >EOY18709 pep chromosome:Theobroma_cacao_20110822:10:4604688:4612714:-1 gene:TCM_043207 transcript:EOY18709 gene_biotype:protein_coding transcript_biotype:protein_coding description:White, putative isoform 1 MGSTSEKRCFKLTSCNSNASNQDLHAYGIMLIAATTTLLLIIYNCSDQVLNTRERRLAKTREAAARSARDTAKARQRWKTAKDAAKKHASGLQTHFSQTFSFKKSAKHPEELKILDQTSCETDEDLYAPTHISSSSESLSSSAPSRGKPMEPGNLMRMMHEIEDDPGNYEGFDVNTHDRKSKGHKPKGKQPNTHSQIFKYAYAQLEKEKALQEENKNLTFSGVISMATNPEIRKRPLIEVSFKDLTLTLKGKGKHLLRCVTGKIKPGRITAVMGPSGAGKTTFISALAGKAIGCKMTGLILINGKNESIRSYRKIIGYVPQDDIVHGNLTVEENLRFNAKCRLPAHLSKPDTVLVVERVIESLGLQMVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRHEALEGVNICMVLHQPSYALFQMFDDLVLLAKGGLTVYHGSAKKAEEYFAGLGIHVPERVNPPDHFIDILEGIVTPSATSGVNHKELPVRWMLHNGYPVPPDLQQSFAQLAMPSAGAGPANGTNPVHAGMEEKSFAGELWQDVRSNVELQRDSIHHNFLKFKDLSCRRTPGVLWQYRYFLGRVGKQRMREAKIQATDYLILLLAGACLGTLAKTSDENFGAVGYTYTIIAVSLLCKIAALRSFSLDKLQYWRESASGMSSLAYFLAKDTIDHFNTVIKPVVYLSMFFFFTNPRSSFAENYIVLLCLVYCVTGIAYALAIFFQPGPAQLWSVLLPVVLTLVATQKQDGEVLKKISNLCYPKWALEAFVIANAERQREASRLKNFIKKISHRVDIKT >EOY18708 pep chromosome:Theobroma_cacao_20110822:10:4601985:4612714:-1 gene:TCM_043207 transcript:EOY18708 gene_biotype:protein_coding transcript_biotype:protein_coding description:White, putative isoform 1 MLKMGLKKLKVCSFWSASFWVFVVLSFLVNMVQCQDLNDYDQVDDPTALRFTTALVNSRLSNLTAVFSKDIGDQARFCIKNQEADWNKAFNFSSNLDFLASCIQKTKGDIMRRLCTAAEAKFYFDTFFRSSSATNLRPNENCNVTSWVSGCEPGWACSIGPNQQVDLENSRVIPPRTHDCQACCEGFFCPRGLTCMIPCPLGSHCPVATLNNATGICEPYLYQLPPGKPNHTCGGANIWADVRSSGEVFCSAGSYCPTTTQEKPCSSGHYCRMGSTSEKRCFKLTSCNSNASNQDLHAYGIMLIAATTTLLLIIYNCSDQVLNTRERRLAKTREAAARSARDTAKARQRWKTAKDAAKKHASGLQTHFSQTFSFKKSAKHPEELKILDQTSCETDEDLYAPTHISSSSESLSSSAPSRGKPMEPGNLMRMMHEIEDDPGNYEGFDVNTHDRKSKGHKPKGKQPNTHSQIFKYAYAQLEKEKALQEENKNLTFSGVISMATNPEIRKRPLIEVSFKDLTLTLKGKGKHLLRCVTGKIKPGRITAVMGPSGAGKTTFISALAGKAIGCKMTGLILINGKNESIRSYRKIIGYVPQDDIVHGNLTVEENLRFNAKCRLPAHLSKPDTVLVVERVIESLGLQMVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRHEALEGVNICMVLHQPSYALFQMFDDLVLLAKGGLTVYHGSAKKAEEYFAGLGIHVPERVNPPDHFIDILEGIVTPSATSGVNHKELPVRWMLHNGYPVPPDLQQSFAQLAMPSAGAGPANGTNPVHAGMEEKSFAGELWQDVRSNVELQRDSIHHNFLKFKDLSCRRTPGVLWQYRYFLGRVGKQRMREAKIQATDYLILLLAGACLGTLAKTSDENFGAVGYTYTIIAVSLLCKIAALRSFSLDKLQYWRESASGMSSLAYFLAKDTIDHFNTVIKPVVYLSMFFFFTNPRSSFAENYIVLLCLVYCVTGIAYALAIFFQPGPAQLLF >EOY18706 pep chromosome:Theobroma_cacao_20110822:10:4601886:4613260:-1 gene:TCM_043207 transcript:EOY18706 gene_biotype:protein_coding transcript_biotype:protein_coding description:White, putative isoform 1 MLKMGLKKLKVCSFWSASFWVFVVLSFLVNMVQCQDLNDYDQVDDPTALRFTTALVNSRLSNLTAVFSKDIGDQARFCIKNQEADWNKAFNFSSNLDFLASCIQKTKGDIMRRLCTAAEAKFYFDTFFRSSSATNLRPNENCNVTSWVSGCEPGWACSIGPNQQVDLENSRVIPPRTHDCQACCEGFFCPRGLTCMIPCPLGSHCPVATLNNATGICEPYLYQLPPGKPNHTCGGANIWADVRSSGEVFCSAGSYCPTTTQEKPCSSGHYCRMGSTSEKRCFKLTSCNSNASNQDLHAYGIMLIAATTTLLLIIYNCSDQVLNTRERRLAKTREAAARSARDTAKARQRWKTAKDAAKKHASGLQTHFSQTFSFKKSAKHPEELKILDQTSCETDEDLYAPTHISSSSESLSSSAPSRGKPMEPGNLMRMMHEIEDDPGNYEGFDVNTHDRKSKGHKPKGKQPNTHSQIFKYAYAQLEKEKALQEENKNLTFSGVISMATNPEIRKRPLIEVSFKDLTLTLKGKGKHLLRCVTGKIKPGRITAVMGPSGAGKTTFISALAGKAIGCKMTGLILINGKNESIRSYRKIIGYVPQDDIVHGNLTVEENLRFNAKCRLPAHLSKPDTVLVVERVIESLGLQMVRNSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRHEALEGVNICMVLHQPSYALFQMFDDLVLLAKGGLTVYHGSAKKAEEYFAGLGIHVPERVNPPDHFIDILEGIVTPSATSGVNHKELPVRWMLHNGYPVPPDLQQSFAQLAMPSAGAGPANGTNPVHAGMEEKSFAGELWQDVRSNVELQRDSIHHNFLKFKDLSCRRTPGVLWQYRYFLGRVGKQRMREAKIQATDYLILLLAGACLGTLAKTSDENFGAVGYTYTIIAVSLLCKIAALRSFSLDKLQYWRESASGMSSLAYFLAKDTIDHFNTVIKPVVYLSMFFFFTNPRSSFAENYIVLLCLVYCVTGIAYALAIFFQPGPAQLWSVLLPVVLTLVATQKQDGEVLKKISNLCYPKWALEAFVIANAERYYGVWLITRCGALLKSGYSLHEWTLCIFILILTGVVSRLFAFVGMITFQKK >EOY17636 pep chromosome:Theobroma_cacao_20110822:10:399248:402076:-1 gene:TCM_042410 transcript:EOY17636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 1 MKQTETGASELIPLLPDVDSLECQSSRGASVSGAVFNICTTMVGAGIMSIPASVKVLGIIPGFVVIFMIAFLVEVTVEFLLRYTQSGKATTYAGLMAESFGSFGSLSVQICVMVTNLGCLIIYLIIIGDVLCGIQTGGTLHLGLLQEWFGIQWWNSRAYVILFVVLFVVLPLVLLPHMKSLRHTSAISILLAVLFIAISSAMAIYALWKGKTQKLRLLPDFANQVSIFDLFTTVPVLVTGFGFHVNIHPIRGELGRPSDMSSAVRISLAVCIAIYFSIGFFGYLLFGDSIMADMLVNFDQNSDSAVDELLFAKKPVLARDTPRFAILTCALLAVTYIFAIAIPNIWYFFQFLGSTTVVSLSFIFPGAIVLRDVHGISTRKDKIMAILVIIVAIVTSMIAITTNLWSS >EOY17637 pep chromosome:Theobroma_cacao_20110822:10:399383:401950:-1 gene:TCM_042410 transcript:EOY17637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 1 MKQTETGASELIPLLPDVDSLECQSSRGASVSGAVFNICTTMVGAGIMSIPASVKVLGIIPGFVVIFMIAFLVEVTVEFLLRYTQSGKATTYAGLMAESFGSFGSLSVQICVMVTNLGCLIIYLIIIGDVLCGIQTGGTLHLGLLQEWFGIQWWNSRAYVILFVVLFVVLPLVLLPHMKSLRHTSAISILLAVLFIAISSAMAIYALWKGKTQKLRLLPDFANQVSIFDLFTTVPVLVTGFGFHVNIHPIRGELGRPSDMSSAVRISLAVCIAIYFSIGFFGYLLFGDSIMADMLVNFDQNSDSAVGQVVNDIVRLSYAMHLARLSCNEFLPES >EOY19038 pep chromosome:Theobroma_cacao_20110822:10:8825397:8838205:1 gene:TCM_043681 transcript:EOY19038 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA N-glycosylase/DNA-(Apurinic or apyrimidinic site) lyase, putative isoform 5 MNFGEEFSIPQGNEFQFTGSWIPVTPQKPIATISNPIPVNGQGNQFGRGNWQELAGFSTGYVQDILNYNGIGQNFNPIEQMCQSRVDYVGSINSAENRMINNIAGPYTQVLQNESTGWNNNTLANLPATRNATAFAPANGTASIRRENAVPIPIMHSQADNWRHSSSHNSMCTNQTHSTSLHFLRNIDRFYQMPQHDFPVPYKPMYNLNSPPRTEVDAAFHITTSFQSTPAAQDQTKIMGNKQLSTVPASASDESSIHEKGKQENLITYNANEVSQHNCELLQNIVDSSSAVISTPVEEKRDSERGSEQGIDLNKTPQQKPPKRRKHRPKVIVEGKPKRNPKPATTKNINSKENPSGKRKYVRRKGLTESATEQADSTKKSDPTAATPAKRRYVRKKSLKESANEQIDSMKEFDPSAGTTVKGKYTEKKNQKESSTEKADCTRGSDPSAGTAGKRKYVRRNGLRASTGQQVQETDPSAVPVAKSCRRVLNFDLENTGNESQAAIFNRQEMQEGRKSSESQAVGLWNTENSGFKTTLTTQSSQQMVLENCQPQTEISHTPSLSKMMLIDYISMPGMPADTASQFQAKDLQMESRNVNARHVNMDNADLSQKSYSNGYSPTQQYINPKGMDQSVSQRTSNWENIDGTNELILERCPKSVPTVLSNSSEGMGSKRDHSQAIEQGQLYTASSLSSLLLQGVFQMNEGYRNGSTNGAGFLQALKRKKIEDESQAYIYGMKYGMSYSSGQLQTKGTNSEFTSLRDCGTSDPQFLQSDNIARRKSGGVSELTGDTNVHSTAAGPTSSKKHISSQLHSGMETLINTNGLTLAHNLATIENFDNLLPTTPKNAPTLQLGSVTKASHTNVSEKKKREPDLSRRAPSGRGKKLQEQKELYEYQQSSKAGPSAKQIYPIPIEEIINKFMGLTLDERNNEAKSEVQNALVIYKGAGTVVPYEGFEFIKKRKPRPKVDLDPETNRVWNLLMGKEGEDIEGTDKEKEKWWEEERRVFHGRVDSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPFKSSCKRECDGDGVKILIEEPEFCEPNPNETIKWHEKLFSHPLDRQSPMTSIMSTDYRRNGENPGIERTSFTETHSQSLEEEVLSSQGSFDSSVIQANGVIRSYSGSNSETEDPTTCCKFNNFHGSSVDQMENSASFEEFCNSVNGSSPFHEGLKYKQSEVTENAQKSRLERKENLRGPSSFIQASHFRNQQVQVQAVGVSNHPLHMTLEFEAREREGLEPCGEECMSSWASTASGLNKLKQLGQSEDKITVHQNEQAISQDMATTTLNTLSRKHITHQDTVSQPGAHTKSNQLCNNHQEMRNKAFQSESASVTMPLTTDAVNKMHKSTLLYAANALKLTERPSDVEKMSALNRDKDIENREVQSNTKEQIHSSEKENGAYSFLKSKRRKAEGEKNNATDWDALRKLVQANGWKKERSKDTMDSLDYKAMRHANVNEISNAIKERGMNNMLAERIKEFLNRLVREHESIDLEWLREVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSITSSTVPMMSERNPVKVLNPMPLPPPEHNLLHVGPNNGSHEPIIEEPTTPEPEHTEESQSDIEDACYEDPDEIPTIKLNIEEFTANLQHYMQEKMELQESDLSKALVALNPEAASIPTPKLKNVSRLRTEHYVYELPDDHPLLEEMEKREADDPSPYLLAIWTPGETANTIQPPEQSCGSQEPGRLCNEKTCFACNSVREANAQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHESSLNPMDVPREWLWNLPRRTVYFGTSVSTIFKGLSTEEIQYCFWKGMKCYF >EOY19040 pep chromosome:Theobroma_cacao_20110822:10:8825474:8840507:1 gene:TCM_043681 transcript:EOY19040 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA N-glycosylase/DNA-(Apurinic or apyrimidinic site) lyase, putative isoform 5 MNFGEEFSIPQGNEFQFTGSWIPVTPQKPIATISNPIPVNGQGNQFGRGNWQELAGFSTGYVQDILNYNGIGQNFNPIEQMCQSRVDYVGSINSAENRMINNIAGPYTQVLQNESTGWNNNTLANLPATRNATAFAPANGTASIRRENAVPIPIMHSQADNWRHSSSHNSMCTNQTHSTSLHFLRNIDRFYQMPQQVDAAFHITTSFQSTPAAQDQTKIMGNKQLSTVPASASDESSIHEKGKQENLITYNANEVSQHNCELLQNIVDSSSAVISTPVEEKRDSERGSEQGIDLNKTPQQKPPKRRKHRPKVIVEGKPKRNPKPATTKNINSKENPSGKRKYVRRKGLTESATEQADSTKKSDPTAATPAKRRYVRKKSLKESANEQIDSMKEFDPSAGTTVKGKYTEKKNQKESSTEKADCTRGSDPSAGTAGKRKYVRRNGLRASTGQQVQETDPSAVPVAKSCRRVLNFDLENTGNESQAAIFNRQEMQEGRKSSESQAVGLWNTENSGFKTTLTTQSSQQMVLENCQPQTEISHTPSLSKMMLIDYISMPGMPADTASQFQAKDLQMESRNVNARHVNMDNADLSQKSYSNGYSPTQQYINPKGMDQSVSQRTSNWENIDGTNELILERCPKSVPTVLSNSSEGMGSKRDHSQAIEQGQLYTASSLSSLLLQGVFQMNEGYRNGSTNGAGFLQALKRKKIEDESQAYIYGMKYGMSYSSGQLQTKGTNSEFTSLRDCGTSDPQFLQSDNIARRKSGGVSELTGDTNVHSTAAGPTSSKKHISSQLHSGMETLINTNGLTLAHNLATIENFDNLLPTTPKNAPTLQLGSVTKASHTNVSEKKKREPDLSRRAPSGRGKKLQEQKELYEYQQSSKAGPSAKQIYPIPIEEIINKFMGLTLDERNNEAKSEVQNALVIYKGAGTVVPYEGFEFIKKRKPRPKVDLDPETNRVWNLLMGKEGEDIEGTDKEKEKWWEEERRVFHGRVDSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPFKSSCKRECDGDGVKILIEEPEFCEPNPNETIKWHEKLFSHPLDRQSPMTSIMSTDYRRNGENPGIERTSFTETHSQSLEEEVLSSQGSFDSSVIQANGVIRSYSGSNSETEDPTTCCKFNNFHGSSVDQMENSASFEEFCNSVNGSSPFHEGLKYKQSEVTENAQKSRLERKENLRGPSSFIQASHFRNQQVQVQAVGVSNHPLHMTLEFEAREREGLEPCGEECMSSWASTASGLNKLKQLGQSEDKITVHQNEQAISQDMATTTLNTLSRKHITHQDTVSQPGAHTKSNQLCNNHQEMRNKAFQSESASVTMPLTTDAVNKMHKSTLLYAANALKLTERPSDVEKMSALNRDKDIENREVQSNTKEQIHSSEKENGAYSFLKSKRRKAEGEKNNATDWDALRKLVQANGWKKERSKDTMDSLDYKAMRHANVNEISNAIKERGMNNMLAERIKEFLNRLVREHESIDLEWLREVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSITSSTVPMMSERNPVKVLNPMPLPPPEHNLLHVGPNNGSHEPIIEEPTTPEPEHTEESQSDIEDACYEDPDEIPTIKLNIEEFTANLQHYMQEKMELQESDLSKALVALNPEAASIPTPKLKNVSRLRTEHYVYELPDDHPLLEEMEKREADDPSPYLLAIWTPGETANTIQPPEQSCGSQEPGRLCNEKTCFACNSVREANAQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHESSLNPMDVPREWLWNLPRRTVYFGTSVSTIFKGLSTEEIQYCFWKGFVCVRGFDQKTRAPRPLMARLHFPASKLAKTQNKSKR >EOY19042 pep chromosome:Theobroma_cacao_20110822:10:8825439:8840507:1 gene:TCM_043681 transcript:EOY19042 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA N-glycosylase/DNA-(Apurinic or apyrimidinic site) lyase, putative isoform 5 MNFGEEFSIPQGNEFQFTGSWIPVTPQKPIATISNPIPVNGQGNQFGRGNWQELAGFSTGYVQDILNYNGIGQNFNPIEQMCQSRVDYVGSINSAENRMINNIAGPYTQVLQNESTGWNNNTLANLPATRNATAFAPANGTASIRRENAVPIPIMHSQADNWRHSSSHNSMCTNQTHSTSLHFLRNIDRFYQMPQLDAAFHITTSFQSTPAAQDQTKIMGNKQLSTVPASASDESSIHEKGKQENLITYNANEVSQHNCELLQNIVDSSSAVISTPVEEKRDSERGSEQGIDLNKTPQQKPPKRRKHRPKVIVEGKPKRNPKPATTKNINSKENPSGKRKYVRRKGLTESATEQADSTKKSDPTAATPAKRRYVRKKSLKESANEQIDSMKEFDPSAGTTVKGKYTEKKNQKESSTEKADCTRGSDPSAGTAGKRKYVRRNGLRASTGQQVQETDPSAVPVAKSCRRVLNFDLENTGNESQAAIFNRQEMQEGRKSSESQAVGLWNTENSGFKTTLTTQSSQQMVLENCQPQTEISHTPSLSKMMLIDYISMPGMPADTASQFQAKDLQMESRNVNARHVNMDNADLSQKSYSNGYSPTQQYINPKGMDQSVSQRTSNWENIDGTNELILERCPKSVPTVLSNSSEGMGSKRDHSQAIEQGQLYTASSLSSLLLQGVFQMNEGYRNGSTNGAGFLQALKRKKIEDESQAYIYGMKYGMSYSSGQLQTKGTNSEFTSLRDCGTSDPQFLQSDNIARRKSGGVSELTGDTNVHSTAAGPTSSKKHISSQLHSGMETLINTNGLTLAHNLATIENFDNLLPTTPKNAPTLQLGSVTKASHTNVSEKKKREPDLSRRAPSGRGKKLQEQKELYEYQQSSKAGPSAKQIYPIPIEEIINKFMGLTLDERNNEAKSEVQNALVIYKGAGTVVPYEGFEFIKKRKPRPKVDLDPETNRVWNLLMGKEGEDIEGTDKEKEKWWEEERRVFHGRVDSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPFKSSCKRECDGDGVKILIEEPEFCEPNPNETIKWHEKLFSHPLDRQSPMTSIMSTDYRRNGENPGIERTSFTETHSQSLEEEVLSSQGSFDSSVIQANGVIRSYSGSNSETEDPTTCCKFNNFHGSSVDQMENSASFEEFCNSVNGSSPFHEGLKYKQSEVTENAQKSRLERKENLRGPSSFIQASHFRNQQVQVQAVGVSNHPLHMTLEFEAREREGLEPCGEECMSSWASTASGLNKLKQLGQSEDKITVHQNEQAISQDMATTTLNTLSRKHITHQDTVSQPGAHTKSNQLCNNHQEMRNKAFQSESASVTMPLTTDAVNKMHKSTLLYAANALKLTERPSDVEKMSALNRDKDIENREVQSNTKEQIHSSEKENGAYSFLKSKRRKAEGEKNNATDWDALRKLVQANGWKKERSKDTMDSLDYKAMRHANVNEISNAIKERGMNNMLAERIKEFLNRLVREHESIDLEWLREVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSITSSTVPMMSERNPVKVLNPMPLPPPEHNLLHVGPNNGSHEPIIEEPTTPEPEHTEESQSDIEDACYEDPDEIPTIKLNIEEFTANLQHYMQEKMELQESDLSKALVALNPEAASIPTPKLKNVSRLRTEHYVYELPDDHPLLEEMEKREADDPSPYLLAIWTPGETANTIQPPEQSCGSQEPGRLCNEKTCFACNSVREANAQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHESSLNPMDVPREWLWNLPRRTVYFGTSVSTIFKGLSTEEIQYCFWKGFVCVRGFDQKTRAPRPLMARLHFPASKLAKTQNKSKR >EOY19041 pep chromosome:Theobroma_cacao_20110822:10:8825594:8840507:1 gene:TCM_043681 transcript:EOY19041 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA N-glycosylase/DNA-(Apurinic or apyrimidinic site) lyase, putative isoform 5 MNFGEEFSIPQGNEFQFTGSWIPVTPQKPIATISNPIPVNGQGNQFGRGNWQELAGFSTGYVQDILNYNGIGQNFNPIEQMCQSRVDYVGSINSAENRMINNIAGPYTQVLQNESTGWNNNTLANLPATRNATAFAPANGTASIRRENAVPIPIMHSQADNWRHSSSHNSMCTNQTHSTSLHFLRNIDRFYQMPQQVDAAFHITTSFQSTPAAQDQTKIMGNKQLSTVPASASDESSIHEKGKQENLITYNANEVSQHNCELLQNIVDSSSAVISTPVEEKRDSERGSEQGIDLNKTPQQKPPKRRKHRPKVIVEGKPKRNPKPATTKNINSKENPSGKRKYVRRKGLTESATEQADSTKKSDPTAATPAKRRYVRKKSLKESANEQIDSMKEFDPSAGTTVKGKYTEKKNQKESSTEKADCTRGSDPSAGTAGKRKYVRRNGLRASTGQQVQETDPSAVPVAKSCRRVLNFDLENTGNESQAAIFNRQEMQEGRKSSESQAVGLWNTENSGFKTTLTTQSSQQMVLENCQPQTEISHTPSLSKMMLIDYISMPGMPADTASQFQAKDLQMESRNVNARHVNMDNADLSQKSYSNGYSPTQQYINPKGMDQSVSQRTSNWENIDGTNELILERCPKSVPTVLSNSSEGMGSKRDHSQAIEQGQLYTASSLSSLLLQGVFQMNEGYRNGSTNGAGFLQALKRKKIEDESQAYIYGMKYGMSYSSGQLQTKGTNSEFTSLRDCGTSDPQFLQSDNIARRKSGGVSELTGDTNVHSTAAGPTSSKKHISSQLHSGMETLINTNGLTLAHNLATIENFDNLLPTTPKNAPTLQLGSVTKASHTNVSEKKKREPDLSRRAPSGRGKKLQEQKELYEYQQSSKAGPSAKQIYPIPIEEIINKFMGLTLDERNNEAKSEVQNALVIYKGAGTVVPYEGFEFIKKRKPRPKVDLDPETNRVWNLLMGKEGEDIEGTDKEKEKWWEEERRVFHGRVDSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPFKSSCKRECDGDGVKILIEEPEFCEPNPNETIKWHEKLFSHPLDRQSPMTSIMSTDYRRNGENPGIERTSFTETHSQSLEEEVLSSQGSFDSSVIQANGVIRSYSGSNSETEDPTTCCKFNNFHGSSVDQMENSASFEEFCNSVNGSSPFHEGLKYKQSEVTENAQKSRLERKENLRGPSSFIQASHFRNQQVQVQAVGVSNHPLHMTLEFEAREREGLEPCGEECMSSWASTASGLNKLKQLGQSEDKITVHQNEQAISQDMATTTLNTLSRKHITHQDTVSQPGAHTKSNQLCNNHQEMRNKAFQSESASVTMPLTTDAVNKMHKSTLLYAANALKLTERPSDVEKMSALNRDKDIENREVQSNTKEQIHSSEKENGAYSFLKSKRRKAEGEKNNATDWDALRKLVQANGWKKERSKDTMDSLDYKAMRHANVNEISNAIKERGMNNMLAERIKEFLNRLVREHESIDLEWLREVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSITSSTVPMMSERNPVKVLNPMPLPPPEHNLLHVGPNNGSHEPIIEEPTTPEPEHTEESQSDIEDACYEDPDEIPTIKLNIEEFTANLQHYMQEKMELQESDLSKALVALNPEAASIPTPKLKNVSRLRTEHYVYELPDDHPLLEEMEKREADDPSPYLLAIWTPGETANTIQPPEQSCGSQEPGRLCNEKTCFACNSVREANAQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHESSLNPMDVPREWLWNLPRRTVYFGTSVSTIFKGLSTEEIQYCFWKGFVCVRGFDQKTRAPRPLMARLHFPASKLAKTQNKSKR >EOY19043 pep chromosome:Theobroma_cacao_20110822:10:8825543:8833002:1 gene:TCM_043681 transcript:EOY19043 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA N-glycosylase/DNA-(Apurinic or apyrimidinic site) lyase, putative isoform 5 MNFGEEFSIPQGNEFQFTGSWIPVTPQKPIATISNPIPVNGQGNQFGRGNWQELAGFSTGYVQDILNYNGIGQNFNPIEQMCQSRVDYVGSINSAENRMINNIAGPYTQVLQNESTGWNNNTLANLPATRNATAFAPANGTASIRRENAVPIPIMHSQADNWRHSSSHNSMCTNQTHSTSLHFLRNIDRFYQMPQHDFPVPYKPMYNLNSPPRTEVDAAFHITTSFQSTPAAQDQTKIMGNKQLSTVPASASDESSIHEKGKQENLITYNANEVSQHNCELLQNIVDSSSAVISTPVEEKRDSERGSEQGIDLNKTPQQKPPKRRKHRPKVIVEGKPKRNPKPATTKNINSKENPSGKRKYVRRKGLTESATEQADSTKKSDPTAATPAKRRYVRKKSLKESANEQIDSMKEFDPSAGTTVKGKYTEKKNQKESSTEKADCTRGSDPSAGTAGKRKYVRRNGLRASTGQQVQETDPSAVPVAKSCRRVLNFDLENTGNESQAAIFNRQEMQEGRKSSESQAVGLWNTENSGFKTTLTTQSSQQMVLENCQPQTEISHTPSLSKMMLIDYISMPGMPADTASQFQAKDLQMESRNVNARHVNMDNADLSQKSYSNGYSPTQQYINPKGMDQSVSQRTSNWENIDGTNELILERCPKSVPTVLSNSSEGMGSKRDHSQAIEQGQLYTASSLSSLLLQGVFQMNEGYRNGSTNGAGFLQALKRKKIEDESQAYIYGMKYGMSYSSGQLQTKGTNSEFTSLRDCGTSDPQFLQSDNIARRKSGGVSELTGDTNVHSTAAGPTSSKKHISSQLHSGMETLINTNGLTLAHNLATIENFDNLLPTTPKNAPTLQLGSVTKASHTNVSEKKKREPDLSRRAPSGRGKKLQEQKELYEYQQSSKAGPSAKQIYPIPIEEIINKFMGLTLDERNNEAKSEVQNALVIYKGAGTVVPYEGFEFIKKRKPRPKVDLDPETNRVWNLLMGKEGEDIEGTDKEKEKWWEEERRVFHGRVDSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPFKSSCKRECDGDGVKILIEEPEFCEPNPNETIKWHEKLFSHPLDRQSPMTSIMSTDYRRNGENPGIERTSFTETHSQSLEEEVLSSQGSFDSSVIQANGVIRSYSGSNSETEDPTTCCKFNNFHGSSVDQMENSASFEEFCNSVNGSSPFHEGLKYKQSEVTENAQKSRLERKENLRGPSSFIQASHFRNQQVQVQAVGVSNHPLHMTLEFEAREREGLEPCGEECMSSWASTASGLNKLKQLGQSEDKITVHQNEQAISQDMATTTLNTLSRKHITHQDTVSQPGAHTKSNQLCNNHQEMRNKAFQSESASVTMPLTTDAVNKMHKSTLLYAANALKLTERPSDVEKMSALNRDKDIENREVQSNTKEQIHSSEKENGAYSFLKSKRRKAEGEKNNATDWDALRKLVQANGWKKERSKDTMDSLDYKAMRHANVNEISNAIKERGMNNMLAERIKEFLNRLVREHESIDLEWLREVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPMLKKFILGIRYWSQFRN >EOY19039 pep chromosome:Theobroma_cacao_20110822:10:8825439:8840447:1 gene:TCM_043681 transcript:EOY19039 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA N-glycosylase/DNA-(Apurinic or apyrimidinic site) lyase, putative isoform 5 MNFGEEFSIPQGNEFQFTGSWIPVTPQKPIATISNPIPVNGQGNQFGRGNWQELAGFSTGYVQDILNYNGIGQNFNPIEQMCQSRVDYVGSINSAENRMINNIAGPYTQVLQNESTGWNNNTLANLPATRNATAFAPANGTASIRRENAVPIPIMHSQADNWRHSSSHNSMCTNQTHSTSLHFLRNIDRFYQMPQHDFPVPYKPMYNLNSPPRTEVDAAFHITTSFQSTPAAQDQTKIMGNKQLSTVPASASDESSIHEKGKQENLITYNANEVSQHNCELLQNIVDSSSAVISTPVEEKRDSERGSEQGIDLNKTPQQKPPKRRKHRPKVIVEGKPKRNPKPATTKNINSKENPSGKRKYVRRKGLTESATEQADSTKKSDPTAATPAKRRYVRKKSLKESANEQIDSMKEFDPSAGTTVKGKYTEKKNQKESSTEKADCTRGSDPSAGTAGKRKYVRRNGLRASTGQQVQETDPSAVPVAKSCRRVLNFDLENTGNESQAAIFNRQEMQEGRKSSESQAVGLWNTENSGFKTTLTTQSSQQMVLENCQPQTEISHTPSLSKMMLIDYISMPGMPADTASQFQAKDLQMESRNVNARHVNMDNADLSQKSYSNGYSPTQQYINPKGMDQSVSQRTSNWENIDGTNELILERCPKSVPTVLSNSSEGMGSKRDHSQAIEQGQLYTASSLSSLLLQGVFQMNEGYRNGSTNGAGFLQALKRKKIEDESQAYIYGMKYGMSYSSGQLQTKGTNSEFTSLRDCGTSDPQFLQSDNIARRKSGGVSELTGDTNVHSTAAGPTSSKKHISSQLHSGMETLINTNGLTLAHNLATIENFDNLLPTTPKNAPTLQLGSVTKASHTNVSEKKKREPDLSRRAPSGRGKKLQEQKELYEYQQSSKAGPSAKQIYPIPIEEIINKFMGLTLDERNNEAKSEVQNALVIYKGAGTVVPYEGFEFIKKRKPRPKVDLDPETNRVWNLLMGKEGEDIEGTDKEKEKWWEEERRVFHGRVDSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPFKSSCKRECDGDGVKILIEEPEFCEPNPNETIKWHEKLFSHPLDRQSPMTSIMSTDYRRNGENPGIERTSFTETHSQSLEEEVLSSQGSFDSSVIQANGVIRSYSGSNSETEDPTTCCKFNNFHGSSVDQMENSASFEEFCNSVNGSSPFHEGLKYKQSEVTENAQKSRLERKENLRGPSSFIQASHFRNQQVQVQAVGVSNHPLHMTLEFEAREREGLEPCGEECMSSWASTASGLNKLKQLGQSEDKITVHQNEQAISQDMATTTLNTLSRKHITHQDTVSQPGAHTKSNQLCNNHQEMRNKAFQSESASVTMPLTTDAVNKMHKSTLLYAANALKLTERPSDVEKMSALNRDKDIENREVQSNTKEQIHSSEKENGAYSFLKSKRRKAEGEKNNATDWDALRKLVQANGWKKERSKDTMDSLDYKAMRHANVNEISNAIKERGMNNMLAERIKEFLNRLVREHESIDLEWLREVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSITSSTVPMMSERNPVKVLNPMPLPPPEHNLLHVGPNNGSHEPIIEEPTTPEPEHTEESQSDIEDACYEDPDEIPTIKLNIEEFTANLQHYMQEKMELQESDLSKALVALNPEAASIPTPKLKNVSRLRTEHYVYELPDDHPLLEEMEKREADDPSPYLLAIWTPGETANTIQPPEQSCGSQEPGRLCNEKTCFACNSVREANAQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVSLQIMNQASTRWMSQGNGYGIYPDELCTSEHLYQQFSKGLSTEEIQYCFWKGFVCVRGFDQKTRAPRPLMARLHFPASKLAKTQNKSKR >EOY18147 pep chromosome:Theobroma_cacao_20110822:10:2177853:2178878:-1 gene:TCM_042764 transcript:EOY18147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNEGETKTHVKLKQPCQAVVAADASHLRNIRERPRQRRHRRKPGSTVTYRDQSDHGYGWLLPGWVAEERRMLTGRLYTYYYDRWGRQYNTKREVLYRLAVCGLILVEVHSEAADDDRRSC >EOY18189 pep chromosome:Theobroma_cacao_20110822:10:2338574:2348591:1 gene:TCM_042804 transcript:EOY18189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIQTAVQFEGLPNDDPNAHIVNFLEIYDTFKANGVTDDAIRLRLFPFSLRDKVSFFNGFLQATLLLQKQLQDLWKKPVDGFSAELLDDNVLEWIVIITGPQILSRIFPSDYPANPPTVRFISKMWHPNGCKWWNMDFVTCTPGSCMVQGWRMNADGIGT >EOY18803 pep chromosome:Theobroma_cacao_20110822:10:4993136:5014505:1 gene:TCM_043287 transcript:EOY18803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVINGVLEEFYACSRQKVNVEKSLFYCSRNVGKGTINNLMCCFGFQYSDDLRKYPGVPLLSGLR >EOY19848 pep chromosome:Theobroma_cacao_20110822:10:23201845:23202650:-1 gene:TCM_045223 transcript:EOY19848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSASTVTQQTTPIFDGSNYLVWAIRMKAFLKGVNLWNIVENETEAPELRDNATPTQVKQYEEDIAKKFRALSFIHSTVIESVLSRIMGCETIKET >EOY19486 pep chromosome:Theobroma_cacao_20110822:10:18112004:18116336:1 gene:TCM_044604 transcript:EOY19486 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 2 MIGVGLSGLNLMNQDRTNQQTSSLIGKIFSVKGRNQSKETEVSIGSSEGQYNLPLEPLLSRGVSTKVPSENLSFRVFVATWNVGGKSPDTKLNLDDILQVREESDIYVLGFQEVVPLNAGNVLVIEDNEPAAKWLALINQSLNKAHNVPLRGPRSIASSGGSLVFQKTSLRKVSKAFRTESKRRLKSCNCPTDLGRKNSKEFCFRCPQSQTNENEFSSEEDEDGSSNFDTNQIKYGLVACKQMVGIFLTVWTRKELVQHVSHLRISCVGRGILGCLGNKGCISVSMIFHKTSFCFLCSHLASGEKEGDELRRNVDVVEILRNTQFPRICRTSGYRVPEKVLDHDRVIWLGDLNYRIALSYSDTGKLLKEEAWDALYDKDQLKIEREAGRVFKGWKEGKIYFAPTYKYSDGSNRYAGETVETKSKRRTPA >EOY19485 pep chromosome:Theobroma_cacao_20110822:10:18112527:18117233:1 gene:TCM_044604 transcript:EOY19485 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 2 MIGVGLSGLNLMNQDRTNQQTSSLIGKIFSVKGRNQSKETEVSIGSSEGQYNLPLEPLLSRGVSTKVPSENLSFRVFVATWNVGGKSPDTKLNLDDILQVREESDIYVLGFQEVVPLNAGNVLVIEDNEPAAKWLALINQSLNKAHNVPLRGPRSIASSGGSLVFQKTSLRKVSKAFRTESKRRLKSCNCPTDLGRKNSKEFCFRCPQSQTNENEFSSEEDEDGSSNFDTNQIKYGLVACKQMVGIFLTVWTRKELVQHVSHLRISCVGRGILGCLGNKGCISVSMIFHKTSFCFLCSHLASGEKEGDELRRNVDVVEILRNTQFPRICRTSGYRVPEKVLDHDRVIWLGDLNYRIALSYSDTGKLLKEEAWDALYDKDQLKIEREAGRVFKGWKEGKIYFAPTYKYSDGSNRYAGETVETKSKRRTPAWCDRILWHGSKIYQLSYGRKESRFSDHRPVCATFWVSVEATEDGSRKR >EOY19487 pep chromosome:Theobroma_cacao_20110822:10:18112004:18116214:1 gene:TCM_044604 transcript:EOY19487 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 2 MIGVGLSGLNLMNQDRTNQQTSSLIGKIFSVKGRNQSKETEVSIGSSEGQYNLPLEPLLSRGVSTKVPSENLSFRVFVATWNVGGKSPDTKLNLDDILQVREESDIYVLGFQEVVPLNAGNVLVIEDNEPAAKWLALINQSLNKAHNVPLRGPRSIASSGGSLVFQKTSLRKVSKAFRTESKRRLKSCNCPTDLGRKNSKEFCFRCPQSQTNENEFSSEEDEDGSSNFDTNQIKYGLVACKQMVGIFLTVWTRKELVQHVSHLRISCVGRGILGCLGNKGCISVSMIFHKTSFCFLCSHLASGEKEGDELRRNVDVVEILRNTQFPRICRTSGYRVPEKVLDHDRVIWLGDLNYRIALSYSDTGKLLKEEAWDALYDKDQSCL >EOY19489 pep chromosome:Theobroma_cacao_20110822:10:18113879:18117250:1 gene:TCM_044604 transcript:EOY19489 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 2 RGVSTKVPSENLSFRVFVATWNVGGKSPDTKLNLDDILQVREESDIYVLGFQEVVPLNAGNVLVIEDNEPAAKWLALINQSLNKAHNVPLRGPRSIASSGGSLVFQKTSLRKVSKAFRTESKRRLKSCNCPTDLGRKNSKEFCFRCPQSQTNENEFSSEEDEDGSSNFDTNQIKYGLVACKQMVGIFLTVWTRKELVQHVSHLRISCVGRGILGCLGNKQLKIEREAGRVFKGWKEGKIYFAPTYKYSDGSNRYAGETVETKSKRRTPAWCDRILWHGSKIYQLSYGRKESRFSDHRPVCATFWVSVEATEDGSRKR >EOY19488 pep chromosome:Theobroma_cacao_20110822:10:18113894:18117220:1 gene:TCM_044604 transcript:EOY19488 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 2 KSAYVFVATWNVGGKSPDTKLNLDDILQVREESDIYVLGFQEVVPLNAGNVLVIEDNEPAAKWLALINQSLNKAHNVPLRGPRSIASSGGSLVFQKTSLRKVSKAFRTESKRRLKSCNCPTDLGRKNSKEFCFRCPQSQTNENEFSSEEDEDGSSNFDTNQIKYGLVACKQMVGIFLTVWTRKELVQHVSHLRISCVGRGILGCLGNKGCISVSMIFHKTSFCFLCSHLASGEKEGDELRRNVDVVEILRNTQFPRICRTSGYRVPEKVLDHDRVIWLGDLNYRIALSYSDTGKLLKEEAWDALYDKDQLKIEREAGRVFKGWKEGKIYFAPTYKYSDGSNRYAGETVETKSKRRTPAWCDRILWHGSKIYQLSYGRKESRFSDHRPVCATFWVSVEATEDGSRKR >EOY19507 pep chromosome:Theobroma_cacao_20110822:10:18260094:18260838:1 gene:TCM_044626 transcript:EOY19507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATNPPTNVQFTNSSGEILYLNAVNIWVGPPQSYIPLKIPVGPTVGVTQQGSVVGIEYKFKDNYSLIVAWINNGVSNKVYAQILPAGTGSQSVQWARIKESVEKSGNSFDTANLYGYRCALVIDTVGPTPTLGATFSQAT >EOY19516 pep chromosome:Theobroma_cacao_20110822:10:18374297:18382594:-1 gene:TCM_044642 transcript:EOY19516 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 family isoform 2 MDESGRRRQQWRNHPSSSSSSTGHTRFRSQQYSSNPTKTTRSSNFVPRSTTTTGTTPHNTRNPGYVPKWKSVSNANNQQEGETEAGEEVGSIVGTCPFMCPEGERAQRERLRDLAIFERLNGDPRKTSAALAVKKFCRTISLKYVQASDVRPLSVLEDTLNYLLNLLDWSEHPFEVVHDFIFDRTRSIRQDLGMQNIVNDRAICMYEKMVKFHAVSHHRLQNCGSSSISSLQYLNMEQLMKTLASLYTLYEANHSSNSISENEAEFRSFYVLLHLDSKSQQREESLSFWFRRVPSLVMKSKEMHFARQVLRFYRMGNYRSFLCTVSAEASYLQYCIIEPYVNEVRALAVSYINNCCYKLHPYPLEHLSKLLMMKESDMESFCHACGLKTLSDDGGNKLLPTKQTTFCNPKGSFQSCSILGLEQYQRFEEFVRCL >EOY19519 pep chromosome:Theobroma_cacao_20110822:10:18373293:18382700:-1 gene:TCM_044642 transcript:EOY19519 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 family isoform 2 MDESGRRRQQWRNHPSSSSSSTGHTRFRSQQYSSNPTKTTRSSNFVPRSTTTTGTTPHNTRNPGYVPKWKSVSNANNQQEGETEAGEEVGSIVGTCPFMCPEGERAQRERLRDLAIFERLNGDPRKTSAALAVKKFCRTISLKYVQASDVRPLSVLEDTLNYLLNLLDWSEHPFEVVHDFIFDRTRSIRQDLGMQNIVNDRAICMYEKMVKFHAVSHHRLQNCGSSSISSLQYLNMEQLMKTLASLYTLYEANHSSNSISENEAEFRSFYVLLHLDSKSQQREESLSFWFRRVPSLVMKSKEMHFARQVLRFYRMGNYRSFLCTVSAEASYLQYCIIEPYVNEVRALAVSYINNCCYKLHPYPLEHLSKLLMMKESDMESFCHACGLKTLSDDGGNKLLPTKQTTFCNPKGSFQSCSILGLEQYQRQTAA >EOY19520 pep chromosome:Theobroma_cacao_20110822:10:18373586:18383809:-1 gene:TCM_044642 transcript:EOY19520 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 family isoform 2 MDESGRRRQQWRNHPSSSSSSTGHTRFRSQQYSSNPTKTTRSSNFVPRSTTTTGTTPHNTRNPGYVPKWKSVSNANNQQEGETEAGEEVGSIVGTCPFMCPEGERAQRERLRDLAIFERLNGDPRKTSAALAVKKFCRTISLKYVQASDVRPLSVLEDTLNYLLNLLDWSEHPFEVVHDFIFDRTRSIRQDLGMQNIVNDRAICMYEKRFYRMGNYRSFLCTVSAEASYLQYCIIEPYVNEVRALAVSYINNCCYKLHPYPLEHLSKLLMMKESDMESFCHACGLKTLSDDGGNKLLPTKQTTFCNPKGSFQSCSILGLEQYQRQTAA >EOY19518 pep chromosome:Theobroma_cacao_20110822:10:18373403:18382730:-1 gene:TCM_044642 transcript:EOY19518 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 family isoform 2 MDESGRRRQQWRNHPSSSSSSTGHTRFRSQQYSSNPTKTTRSSNFVPRSTTTTGTTPHNTRNPGYVPKWKSVSNANNQQEGETEAGEEVGSIVGTCPFMCPEGERAQRERLRDLAIFERLNGDPRKTSAALAVKKFCRTISLKYVQASDVRPLSVLEDTLNYLLNLLDWSEHPFEVVHDFIFDRTRSIRQDLGMQNIVNDRAICMYEKMVKFHAVSHHRLQNCGSSSISSLQYLNMEQLMKTLASLYTLYEANHSSNSISENEAEFRSFYVLLHLDSKSQQREESLSFWFRRVPSLVMKSKEMHFARQVLRFYRMGNYRSFLCTVSAEASYLQYCIIEPYVNEVRALAVSYINNCCYKLHPYPLEHLSKLLMMKESDMESFCHACGLKTLSDDGGNKLLPTKQTTFCNPKGSFQSCSILGLEQYQRQTAA >EOY19517 pep chromosome:Theobroma_cacao_20110822:10:18373749:18383813:-1 gene:TCM_044642 transcript:EOY19517 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 family isoform 2 MDESGRRRQQWRNHPSSSSSSTGHTRFRSQQYSSNPTKTTRSSNFVPRSTTTTGTTPHNTRNPGYVPKWKSVSNANNQQEGETEAGEEVGSIVGTCPFMCPEGERAQRERLRDLAIFERLNGDPRKTSAALAVKKFCRTISLKYVQASDVRPLSVLEDTLNYLLNLLDWSEHPFEVVHDFIFDRTRSIRQDLGMQNIVNDRAICMYEKMVKFHAVSHHRLQNCGSSSISSLQYLNMEQLMKTLASLYTLYEANHSSNSISENEAEFRSFYVLLHLDSKSQQREESLSFWFRRVPSLVMKSKEMHFARQVLRFYRMGNYRSFLCTVSAEASYLQYCIIEPYVNEVRALAVSYINNCCYKLHPYPLEHLSKLLMMKESDMESFCHACGLKTLSDDGGNKLLPTKQTTFCNPKGSFQSCSILGLEQYQRGGGIRTLLFR >EOY19147 pep chromosome:Theobroma_cacao_20110822:10:11101204:11105906:1 gene:TCM_043921 transcript:EOY19147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MLSTLNSATSSCSKVTEITKRILGGFTSVRHLKQVHAALFRLGLHQHNYLLNIILKATFHFGQTNYACLIFNQTKQPNIYLWNTMIQGLVSGDCFLEAAQFYASMRSQGFLPNSFTFPFVLKAYARLLDLQLGIRIHALVVKLGFDCDIFVKTGLLCLYAKCGCLDRAIKVFDDIPEKNVVSWTAMISGYIDVGRYREAVNMFSKLLEMGLRPDSFSLVRVLAACAHLGDLNSGEWIDRSITQFGLSRDVFVATSVVDMYAKCGNMEKARLAFDGIPEKDIVTWSTMIQGYASNGLPKEALDLFFQMQKEKLAPDCYVMVGVLSACARLGALELGDWASKLMDRAEFLSNPVLGTALIDMFAKCGSIAQAFEIFKRMKEKDLVVWNAAISGLAMNGHVKAAFGLFSQMEKSGVLPNGNTFIGLLCCCTHVGLVDDGHRYFDSMSRVFSLTPTIEHYGCMVDLLGRAGLLDEAHQLIKNMPMEANSIVWGALLGGCRLHKDTQLVEHVLKKLIELEPWNSGNYVLLSNIYSASHKWDDAAKIRSIMNERGIQKVPGYSWIEVNGFVHEFLVGDKSHPLSEMIYTKLGELAKELKAAGYVPTTEYVLFDIEEEEKEHFLGCHSEKLAIAFGLISTAPTDVIRVVKNLRVCGDCHEVIKLFSRVTGREIIVRDNNRFHHFIGGSCSCGDYW >EOY19149 pep chromosome:Theobroma_cacao_20110822:10:11101655:11104552:1 gene:TCM_043921 transcript:EOY19149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MISGYIDVGRYREAVNMFSKLLEMGLRPDSFSLVRVLAACAHLGDLNSGEWIDRSITQFGLSRDVFVATSVVDMYAKCGNMEKARLAFDGIPEKDIVTWSTMIQGYASNGLPKEALDLFFQMQKEKLAPDCYVMVGVLSACARLGALELGDWASKLMDRAEFLSNPVLGTALIDMFAKCGSIAQAFEIFKRMKEKDLVVWNAAISGLAMNGHVKAAFGLFSQMEKSGVLPNGNTFIGLLCCCTHVGLVDDGHRYFDSMSRVFSLTPTIEHYGCMVDLLGRAGLLDEAHQLIKNMPMEANSIVWGALLGGCRLHKDTQLVEHVLKKLIELEPWNSGNYVLLSNIYSASHKWDDAAKIRSIMNERGIQKVPGYSWIEVNGFVHEFLVGDKSHPLSEMIYTKLGELAKELKAAGYVPTTEYVLFDIEEEEKEHFLGCHSEKLAIAFGLISTAPTDVIRVVKNLRVCGDCHEVIKLFSRVTGREIIVRDNNRFHHFIGGSCSCGDYW >EOY19146 pep chromosome:Theobroma_cacao_20110822:10:11101008:11110180:1 gene:TCM_043921 transcript:EOY19146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MLSTLNSATSSCSKVTEITKRILGGFTSVRHLKQVHAALFRLGLHQHNYLLNIILKATFHFGQTNYACLIFNQTKQPNIYLWNTMIQGLVSGDCFLEAAQFYASMRSQGFLPNSFTFPFVLKAYARLLDLQLGIRIHALVVKLGFDCDIFVKTGLLCLYAKCGCLDRAIKVFDDIPEKNVVSWTAMISGYIDVGRYREAVNMFSKLLEMGLRPDSFSLVRVLAACAHLGDLNSGEWIDRSITQFGLSRDVFVATSVVDMYAKCGNMEKARLAFDGIPEKDIVTWSTMIQGYASNGLPKEALDLFFQMQKEKLAPDCYVMVGVLSACARLGALELGDWASKLMDRAEFLSNPVLGTALIDMFAKCGSIAQAFEIFKRMKEKDLVVWNAAISGLAMNGHVKAAFGLFSQMEKSGVLPNGNTFIGLLCCCTHVGLVDDGHRYFDSMSRVFSLTPTIEHYGCMVDLLGRAGLLDEAHQLIKNMPMEANSIVWGALLGGCRLHKDTQLVEHVLKKLIELEPWNSGNYVLLSNIYSASHKWDDAAKIRSIMNERGIQKVPGYSWIEVNGFVHEFLVGDKSHPLSEMIYTKLGELAKELKAAGYVPTTEYVLFDIEEEEKEHFLGCHSEKLAIAFGLISTAPTDVIRVVKNLRVCGDCHEVIKLFSRVTGREIIVRDNNRFHHFIGGSCSCGDYW >EOY19148 pep chromosome:Theobroma_cacao_20110822:10:11101655:11105986:1 gene:TCM_043921 transcript:EOY19148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MISGYIDVGRYREAVNMFSKLLEMGLRPDSFSLVRVLAACAHLGDLNSGEWIDRSITQFGLSRDVFVATSVVDMYAKCGNMEKARLAFDGIPEKDIVTWSTMIQGYASNGLPKEALDLFFQMQKEKLAPDCYVMVGVLSACARLGALELGDWASKLMDRAEFLSNPVLGTALIDMFAKCGSIAQAFEIFKRMKEKDLVVWNAAISGLAMNGHVKAAFGLFSQMEKSGVLPNGNTFIGLLCCCTHVGLVDDGHRYFDSMSRVFSLTPTIEHYGCMVDLLGRAGLLDEAHQLIKNMPMEANSIVWGALLGGCRLHKDTQLVEHVLKKLIELEPWNSGNYVLLSNIYSASHKWDDAAKIRSIMNERGIQKVPGYSWIEVNGFVHEFLVGDKSHPLSEMIYTKLGELAKELKAAGYVPTTEYVLFDIEEEEKEHFLGCHSEKLAIAFGLISTAPTDVIRVVKNLRVCGDCHEVIKLFSRVTGREIIVRDNNRFHHFIGGSCSCGDYW >EOY19512 pep chromosome:Theobroma_cacao_20110822:10:18331594:18332560:-1 gene:TCM_044636 transcript:EOY19512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHKLKCVLSLEFKKLFSLHSEELYLSDLGNDKGCDTINFPLKQNLVNLEYVIVGNCVKIFQIQAGHFFSRVELIQLKHLYQLQGPIEVASLQCLKGLCVSECSRLKFLLSPMLARNLPQLINLVVEYCKELEEIIDMDQTSASSSQGYLQPISFPNLKYIQIQEYSNLKSLFPLVSPILFQNSKTSQFMGLLNLS >EOY17607 pep chromosome:Theobroma_cacao_20110822:10:348230:352154:1 gene:TCM_042392 transcript:EOY17607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 3 isoform 1 MIQEGPSSVTSPPLPFLPWMSLSPGLGSPFPWLRELKSEERGLYLIHLLVQCANHVAAGSLENANIGLEQISHLASPDGDTMQRIAAYFTEALADRMLKAWPGLHKALNSTKISSVSEEILVQKLFFELFPFLKLAYVITNQAIVEAMEGEKMVHIIDLNSSEPAQWINLFQTFSARPEGPPHLRITGIHEQKEVLEQMALRLTEEAEKLDIPFQFNPIVCKLENLDLESLRVKTGEALAVSSVLQLHSLLAPDDEMLRRNINSPSVSKNLNSSRPHRVLQVNQRTLGEWLEKEPVHIYSPSSSDLASPSPSPSSPLSLAPAPKLGSFLTALRALSPKLIVVTEQESNHNGPTLMERVMEALNFYAALFDCLESTLSRAPLERQKVEKMLFGEEIKNIIACEGLERKERHEKLEKWILRLELAGFGRVPLSYHGMLQAGRLLQTNNYDGYKIKEENGCLVMCWQERPLYSMSAWGFRRYD >EOY17606 pep chromosome:Theobroma_cacao_20110822:10:348230:352154:1 gene:TCM_042392 transcript:EOY17606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 3 isoform 1 MAGMIQEGPSSVTSPPLPFLPWMSLSPGLGSPFPWLRELKSEERGLYLIHLLVQCANHVAAGSLENANIGLEQISHLASPDGDTMQRIAAYFTEALADRMLKAWPGLHKALNSTKISSVSEEILVQKLFFELFPFLKLAYVITNQAIVEAMEGEKMVHIIDLNSSEPAQWINLFQTFSARPEGPPHLRITGIHEQKEVLEQMALRLTEEAEKLDIPFQFNPIVCKLENLDLESLRVKTGEALAVSSVLQLHSLLAPDDEMLRRNINSPSVSKNLNSSRPHRVLQVNQRTLGEWLEKEPVHIYSPSSSDLASPSPSPSSPLSLAPAPKLGSFLTALRALSPKLIVVTEQESNHNGPTLMERVMEALNFYAALFDCLESTLSRAPLERQKVEKMLFGEEIKNIIACEGLERKERHEKLEKWILRLELAGFGRVPLSYHGMLQAGRLLQTNNYDGYKIKEENGCLVMCWQERPLYSMSAWGFRRYD >EOY18628 pep chromosome:Theobroma_cacao_20110822:10:4092686:4098236:1 gene:TCM_043128 transcript:EOY18628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate synthase / 5-enolpyruvylshikimate-3-phosphate phospholyas, putative isoform 1 MASSISPKPFLGATKPNPSLSSDLQRLSFSSLHISVKPRTHKKLLELLNSDKVCCITEIQAAGSTFGNYFRVTTFGESHGGGVGCIVDGCPPRIPLSEADLQFDLDRRRPGQSRITTPRKETDTCRIYSGVSEGVTTGTPIHVFVPNTDQRGHDYNEMSIAYRPSHADATYDMKYGVRAVKGGGRSSARETIGRVAPGAIAKKILQQFSGTEVLAYVSQVHQVVLPDGSVDHDTLTLDQVESNIVRCPNPEYAEKMIAAIDAVRTRGDSIGGVVTCIVRNAPRGLGSPVFDKLEAELAKAVMSLPATKGFEFGSGFAGTFLTGSEHNDEFFTDEHGKIRTRTNRSGGIQGGISNGEIMNMRVAFKPTATIGKKQNTVTREKKEIELIARGRHDPCVVPRAVPMVEAMVALVLVDQLMAQYAQCNLFPINPELQEPLSLNFPNFEPANI >EOY18630 pep chromosome:Theobroma_cacao_20110822:10:4093738:4098825:1 gene:TCM_043128 transcript:EOY18630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate synthase / 5-enolpyruvylshikimate-3-phosphate phospholyas, putative isoform 1 TFGESHGGGVGCIVDGCPPRIPLSEADLQFDLDRRRPGQSRITTPRKETDTCRIYSGVSEGVTTGTPIHVFVPNTDQRGHDYNEMSIAYRPSHADATYDMKYGVRAVKGGGRSSARETIGRVAPGAIAKKILQQFSGTEVLAYVSQVHQVVLPDGSVDHDTLTLDQVESNIVRCPNPEYAEKMIAAIDAVRTRGDSIGGVVTCIVRNAPRGLGSPVFDKLEAELAKAVMSLPATKGFEFGSGFAGTFLTGSEHNDEFFTDEHGKIRTRTNRSGGIQGGISNGEIMNMRVAFKPTATIGNTVTREKKEIELIARGRHDPCVVPRAVPMVEAMVALVLVDQLMAQYAQCNLFPINPELQEPLSLNFPNFEPANI >EOY18629 pep chromosome:Theobroma_cacao_20110822:10:4093738:4098825:1 gene:TCM_043128 transcript:EOY18629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate synthase / 5-enolpyruvylshikimate-3-phosphate phospholyas, putative isoform 1 TFGESHGGGVGCIVDGCPPRIPLSEADLQFDLDRRRPGQSRITTPRKETDTCRIYSGVSEGVTTGTPIHVFVPNTDQRGHDYNEMSIAYRPSHADATYDMKYGVRAVKGGGRSSARETIGRVAPGAIAKKILQQFSGTEVLAYVSQVHQVVLPDGSVDHDTLTLDQVESNIVRCPNPEYAEKMIAAIDAVRTRGDSIGGVVTCIVRNAPRGLGSPVFDKLEAELAKAVMSLPATKGFEFGSGFAGTFLTGSEHNDEFFTDEHGKIRTRTNRSGGIQGGISNGEIMNMRVAFKPTATIGKKQNTVTREKKEIELIARGRHDPCVVPRAVPMVEAMVALVLVDQLMAQYAQCNLFPINPELQEPLSLNFPNFEPANI >EOY19052 pep chromosome:Theobroma_cacao_20110822:10:9103815:9111684:-1 gene:TCM_043710 transcript:EOY19052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLTYKIFLSVFQLVTRCSSLGTSMLSSWVFVWMPWCTLGHYGLDLYKAMALPTFATSLRSFETQVGQLASTVNNKAQGTFLSDTKTNLMRKGKEHVKAITLRSRKKVETLLISVVDKVISEVFTENQPNDRLVASLVPDFKRHDEDVIECVNLLDGPSCVMRTQFETLEFPSSSSSLSKPSIEEPPKLELKPLSNHLDAYLDAGIIYPISDNERVSSMQCVQKKGGMIVVENENNELILLKIVTGWKVCMDYRKLNKAMRKDLFSLLFIDQMLDKLTGKEFYFFLDGYFGYNQIAIDPEDKENSTITCLYCTFAFKRMPFELCNAPTALQRYIMAIFSNMVEQILEVFMDNFIVFGIVLGHKVSSKGLEVDKAKIETIEKLPLPSSGKGVREWGTFSKNLRYCSIASLKWRFFMFRKLNFDLDAISEKRLSQPNEMDEFQLNAYENAKIYKEKTNRWHDLKIVECHFELGQHVQLFNSSLKFFLGKLKSRWSRPFIVSKVFHMEQCRIITEWKWEKFFEQPEVVCFASQTINQFYNLPNIKSDEYGQYLAINVDLHEVIGLLCNEGTKWKMNKGVLLSFKASAMKKDYKLWMSINVRYLVFNSIVQATRSPHDGLWYPSLITTLCKKVGVIWDKSEEILHPKVLLDIGIMHQLYAREHLAGRGSSSSALCHPP >EOY18031 pep chromosome:Theobroma_cacao_20110822:10:1836129:1837055:1 gene:TCM_042698 transcript:EOY18031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 VINDEDEKLRDLRNQMGNEVYKVVTSAIKEINEYNPSGRYIISELWNYGEGRKATLQEGVIYLLKLWNTAKRKRGTI >EOY18030 pep chromosome:Theobroma_cacao_20110822:10:1835900:1836927:1 gene:TCM_042698 transcript:EOY18030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLVQEVINDEDEKLRDLRNQMGNEVYKVVTSAIKEINEYNPSGRYIISELWNYGEGRKATLQEGVIYLLKLWNTAKRKRGTI >EOY18621 pep chromosome:Theobroma_cacao_20110822:10:4034302:4036020:-1 gene:TCM_043121 transcript:EOY18621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferrin-like protein isoform 1 MEISTFLSISLLLFLLLLSPIHGFEPSPAPSFSSSLAPGSASSHTLAPDSGGTLATASDLSPPSPALSLPPSLAPAPMTAEKGRDADVAPAPEMEGSESFKGIDPSSQEVEEAGEQMVRWCTVREEYEDCQLLVSGLDQSNGYTWKCIQKETAQECLESIKRGEADLINLEAGVAYTAFINYSMKAIANEVYCDHARSYQAVAVVNRKACQGNKGISLMDFEGHKSCHGGYSTAAGWNYPINHIKESLDSQRMNDREIATGFFSKICAPSEFEGTGICSGCGNENGSCHLNSPYSRDPGAFRCLVEELGDIAFLKADTVLLYSMEGPHNQSWSGKSVRDFM >EOY18619 pep chromosome:Theobroma_cacao_20110822:10:4033325:4036491:-1 gene:TCM_043121 transcript:EOY18619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferrin-like protein isoform 1 MEISTFLSISLLLFLLLLSPIHGFEPSPAPSFSSSLAPGSASSHTLAPDSGGTLATASDLSPPSPALSLPPSLAPAPMTAEKGRDADVAPAPEMEGSESFKGIDPSSQEVEEAGEQMVRWCTVREEYEDCQLLVSGLDQSNGYTWKCIQKETAQECLESIKRGEADLINLEAGVAYTAFINYSMKAIANEVYCDHARSYQAVAVVNRKACQGNKGISLMDFEGHKSCHGGYSTAAGWNYPINHIKESLDSQRMNDREIATGFFSKICAPSEFEGTGICSGCGNENGSCHLNSPYSRDPGAFRCLVEELGDIAFLKADTVLLYSMEGPHNQSWSGKSVRDFMYLCPDGGCREINDYPGSCSFGAVPANVIMASNSLPNKERLFILQTLTNATSVEALQTAKYGASPLISPSTQEIAVVKKLTRSYLGMSATISQSILRLYTPNNQAAPSTENPVSDVASQSSSCGQNSLVITLFSVLTMLLLVVCTPI >EOY18620 pep chromosome:Theobroma_cacao_20110822:10:4033405:4035975:-1 gene:TCM_043121 transcript:EOY18620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferrin-like protein isoform 1 MEISTFLSISLLLFLLLLSPIHGFEPSPAPSFSSSLAPGSASSHTLAPDSGGTLATASDLSPPSPALSLPPSLAPAPMTAEKGRDADVAPAPEMEGSESFKGIDPSSQEVEEAGEQMVRWCTVREEYEDCQLLVSGLDQSNGYTWKCIQKETAQECLESIKRGEADLINLEAGVAYTAFINYSMKAIANEVYCDHARSYQAVAVVNRKACQGNKGISLMDFEGHKSCHGGYSTAAGWNYPINHIKESLDSQRMNDREIATGFFSKICAPSEFEGTGICSGCGNENGSCHLNSPYSRDPGAFRCLVEELGDIAFLKADTVLLYSMEGPHNQSWSGKSVRDFISGIFVLMGVAEKSMITLVLAHLELFLQM >EOY18668 pep chromosome:Theobroma_cacao_20110822:10:4324103:4328983:-1 gene:TCM_043162 transcript:EOY18668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin I isoform 3 MEGPIRAREALALTLFSLLFFLSRSSALHDLLISNAERRIDLSSHIVKVYLTLKVENAGSSPASEVVLAFPPSQVDHLATVEALATKGKRKKTTFVRLEVKPTELPDAPNDTKYFTIYLANPLNSAESITLEVLYMLTHSLEPFPAEIGQSESQLVYYRDSALVLSPYHIKQQTTFIKTPSTKVDSFTRVEPTNRAGTEIKYGPYEDHTPYSFSAILVHFENNSPFAVVEELVREVEISHWGNLQVTEQYKLVHAGARHKGVFSRYLLARLPPRVHSVYYRDEIGNISSSHLRTDSRKSELEIEPRYPLFGGWKATFVIGYGLPLQDFLFESSEDRRYVNFTFGCPLMETVVDKLTIKVVLPEGSKDPSAVVPFPVDQHLETKYSYLDVVGRTVVVLEKKNVVPGHNSHFQVYYTFKPIFMLAEPLMLASAFFLFFVACIAYLHIDLSIRK >EOY18667 pep chromosome:Theobroma_cacao_20110822:10:4325434:4328932:-1 gene:TCM_043162 transcript:EOY18667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin I isoform 3 MEGPIRAREALALTLFSLLFFLSRSSALHDLLISNAERRIDLSSHIVKVYLTLKVENAGSSPASEVVLAFPPSQVDHLATVEALATKGKRKKTTFVRLEVKPTELPDAPNDTKYFTIYLANPLNSAESITLEVLYMLTHSLEPFPAEIGQSESQLVYYRDSALVLSPYHIKQQTTFIKTPSTKVDSFTRVEPTNRAGTEIKYGPYEDHTPYSFSAILVHFENNSPFAVVEELVREVEISHWGNLQVTEQYKLVHAGARHKGVFSRVDYQSKPSFNGVSSFRYLLARLPPRVHSVYYRDEIGNISSSHLRTDSRKSELEIEPRYPLFGGWKATFVIGYGLPLQDFLFESSEDRRYVNFTFGCPLMETVVDKLTIKVVLPEGSKDPSAVVPFPVDQHLETKYSYLDVVGRTVVVLEKKNVVPGHNSHFQAVLFCPN >EOY18670 pep chromosome:Theobroma_cacao_20110822:10:4324714:4328983:-1 gene:TCM_043162 transcript:EOY18670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin I isoform 3 MEGPIRAREALALTLFSLLFFLSRSSALHDLLISNAERRIDLSSHIVKVYLTLKVENAGSSPASEVVLAFPPSQVDHLATVEALATKGKRKKTTFVRLEVKPTELPDAPNDTKYFTIYLANPLNSAESITLEVLYMLTHSLEPFPAEIGQSESQLVYYRDSALVLSPYHIKQQTTFIKTPSTKVDSFTRVEPTNRAGTEIKYGPYEDHTPYSFSAILVHFENNSPFAVVEELVREVEISHWGNLQVTEQYKLVHAGARHKGVFSRYLLARLPPRVHSVYYRDEIGNISSSHLRTDSRKSELEIEPRYPLFGGWKATFVIGYGLPLQDFLFESSEDRRYVNFTFGCPLMETVVDKLTIKVGLRDQKTLLLLFRSPWTSILRPNILTLMLWGGL >EOY18669 pep chromosome:Theobroma_cacao_20110822:10:4325431:4328992:-1 gene:TCM_043162 transcript:EOY18669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin I isoform 3 MEGPIRAREALALTLFSLLFFLSRSSALHDLLISNAERRIDLSSHIVKVYLTLKVENAGSSPASEVVLAFPPSQVDHLATVEALATKGKRKKTTFVRLEVKPTELPDAPNDTKYFTIYLANPLNSAESITLEVLYMLTHSLEPFPAEIGQSESQLVYYRDSALVLSPYHIKQQTTFIKTPSTKVDSFTRVEPTNRAGTEIKYGPYEDHTPYSFSAILVHFENNSPFAVVEELVREVEISHWGNLQVTEQYKLVHAGARHKGVFSRYLLARLPPRVHSVYYRDEIGNISSSHLRTDSRKSELEIEPRYPLFGGWKATFVIGYGLPLQDFLFESSEDRRYVNFTFGCPLMETVVDKLTIKVVLPEGSKDPSAVVPFPVDQHLETKYSYLDVVGRTVVVLEKKNVVPGHNSHFQAVLFCPN >EOY18666 pep chromosome:Theobroma_cacao_20110822:10:4323911:4329081:-1 gene:TCM_043162 transcript:EOY18666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin I isoform 3 MEGPIRAREALALTLFSLLFFLSRSSALHDLLISNAERRIDLSSHIVKVYLTLKVENAGSSPASEVVLAFPPSQVDHLATVEALATKGKRKKTTFVRLEVKPTELPDAPNDTKYFTIYLANPLNSAESITLEVLYMLTHSLEPFPAEIGQSESQLVYYRDSALVLSPYHIKQQTTFIKTPSTKVDSFTRVEPTNRAGTEIKYGPYEDHTPYSFSAILVHFENNSPFAVVEELVREVEISHWGNLQVTEQYKLVHAGARHKGVFSRVDYQSKPSFNGVSSFRYLLARLPPRVHSVYYRDEIGNISSSHLRTDSRKSELEIEPRYPLFGGWKATFVIGYGLPLQDFLFESSEDRRYVNFTFGCPLMETVVDKLTIKVVLPEGSKDPSAVVPFPVDQHLETKYSYLDVVGRTVVVLEKKNVVPGHNSHFQVYYTFKPIFMLAEPLMLASAFFLFFVACIAYLHIDLSIRK >EOY20121 pep chromosome:Theobroma_cacao_20110822:10:24980169:24986959:-1 gene:TCM_045519 transcript:EOY20121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MHREGTTFEALISTDDGRQVVHDEPIAYVIMMIICLETLNQQLYSIGLVPLAMEVLFKLKELCSEPKRAITIIFITPVTVFLAYFKFRIFPLLGKKLPPGSLGLPLIGESISFVMAHKHDKTVDWIQKHVNKYGPVFKTSLMGSNAVFLTGQAGNRFISSGRDNGIASNQVGTAGGILGKHSIFELPGPRRKIVRGAIMNFLKPERIQRFVSVMDSLVQQEMFQELSVRDTVKMVTLIKKITFNVSCSLLFRLQESKEKDDLFKDFIVAIKGLWAIPLKFPGTAYQKALQARGRISRLLSKLIKGRKKEIDGGSKGSLDDNDLISCLLMLRDENGDPLLEEEIIGNVISVMIASHDTTYFGLYILLKARMDILPGLPEPSSDLKLQFISIVLATLVAVLGCFKFIVSPLLGKKLPPGSFGFPLIGESISFARAQTQDRTPEWILNRIKKYGPVFKTSIMGSKMVVLTGQAGNRFVFNGGDHGLSCNQPTSVVRVLGKYSLFEMSGSRHKLVRGAITNFLKPESIQRFLAKMDSLVQQQLLKELHGKDSIKIVPLMKKITFNITCSIFFGLPDGKEKDELLEDFSVTVKGVWAIPFNIPGTVFHRAMQARRRVCQLLSNLIMIRKKQEEEGTVDPNDDNIISSLLVLRDENGQPLLEEEILDVFLSLIMASHDTTAILLTLFIRHLSRDAEVSRKVVEEQNEVVKAMKTNGGKVTWSEIQMMRYTWSAAQELMRVNPPMLGSFRLVTKDITFDGYDIPKGWQIFWVAPGTHMDSNIFEDPEKFDPSRFENSSKSFPPYTYVPFGAGPRICAGVEFARVEALLIIHHLVTKYSWTEMIPDEPITREPMPYPAMGLPVKLYPKN >EOY18176 pep chromosome:Theobroma_cacao_20110822:10:2279004:2287318:-1 gene:TCM_042790 transcript:EOY18176 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MGESDFGLENTKLSVILVGIGSAALVVTIYHCIAMGWCRRHTQIPRPQQAQRQLPQHSFRHETSAVPSSEISAAQLIPAHKYQKGMGLVDDDGMCAVCLSQFEEGEELRTLPECLHSYHAPCIDMWLYSHSSCPMCRTDATPFPSPQIFHHRPDSDSGSVRMDSGLVGLQSELSRFERSRTCVHMVEDERWACNGLPSDIVVGHGSQAFFMSICGIYS >EOY19192 pep chromosome:Theobroma_cacao_20110822:10:13556334:13559508:-1 gene:TCM_044103 transcript:EOY19192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRRDDSLHTPHSSSKGSLDSTAKSQWRLDPRSQESAAVVSREECIRIQQAWIKDKMGKSQEIKEDPEEDPSMCSDQDDDDPNDT >EOY19351 pep chromosome:Theobroma_cacao_20110822:10:16641150:16643035:-1 gene:TCM_044426 transcript:EOY19351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEFQVYSLQTWPKVITYITDQLLLHSLLHACLIQRQEWKSKTIAMRPTTVGVSTGAGPQPPQNFKPKSEWKHEEAASFLFVYLPGFVADQLTIKPDYSNRTVKVQGERRLPNNKVLPVNETFSIPEDCILAKMEKQFGRGILLFKIPRDVIPQLTPRKPQETVGGRVTREDEDTTGPSKQTSTTGLEKQRPDKAAAIVPLVTTATDEGAVSTKAIDENKAKTGSTSDEQKLVEKKEENEEESYKEQSRESAMSSATVADKVEMKEKEKSQKSSDAKGKEKETVKANLVLEKKGMVEELNEDRSLLVNMGAAILIIAALVVSLFYTLIRS >EOY18400 pep chromosome:Theobroma_cacao_20110822:10:3341889:3343097:-1 gene:TCM_042996 transcript:EOY18400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MDRNSIERERRSNMSNLLSRLFRLLPPQPTKMSIPDMVQLATIYAKQLQRQLEELKKRKMQLEGESKAVCRVTSETICPVLDIIDSNSTMTVNLITGSNVEFTPSEIISVIEEEGAAVIGVTYNNAGGTMNILSIHCKAICSRIGIESSRLGERLKTLIEECM >EOY18743 pep chromosome:Theobroma_cacao_20110822:10:4760136:4761576:1 gene:TCM_043248 transcript:EOY18743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLKSSLQETQEDTQHNLWQENHTSQAFRWYLRQYKRASHPPEKGKQILSKHKMIDMIDIPSERHHEDTTKESKYLAGEGK >EOY17526 pep chromosome:Theobroma_cacao_20110822:10:94382:96825:1 gene:TCM_042340 transcript:EOY17526 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase 1 alpha subcomplex assembly factor 3 isoform 2 MAARGRAVETLPKLIRSLRKDSPSSTRRQPLPSLRRAFSLYDQINLIDNVPEDQLRFQGYTDTGFTVNGVNYEGSLLCVGHLLTSWVPNKFSQITPDSLSIFQIIRPIPEILILGCGRNIEPVDPELRHFIRSTGMKLEALDSKHQKEPTSTTISGGSIFFLFLLL >EOY17525 pep chromosome:Theobroma_cacao_20110822:10:94346:97378:1 gene:TCM_042340 transcript:EOY17525 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase 1 alpha subcomplex assembly factor 3 isoform 2 MAARGRAVETLPKLIRSLRKDSPSSTRRQPLPSLRRAFSLYDQINLIDNVPEDQLRFQGYTDTGFTVNGVNYEGSLLCVGHLLTSWVPNKFSQITPDSLSIFQIIRPIPEILILGCGRNIEPVDPELRHFIRSTGMKLEALDSRNAASTYNILNEEGRIVAAALLPNGVSS >EOY18889 pep chromosome:Theobroma_cacao_20110822:10:5878613:5887177:1 gene:TCM_043388 transcript:EOY18889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWRGQQPVKARWPFLLTLLRRTTLRLSLRQSDGFWVLHLRNASHNHEPSSNMSAHPSYGHLKRGEIVDIEKLSISRIQSR >EOY17771 pep chromosome:Theobroma_cacao_20110822:10:812097:817025:1 gene:TCM_042493 transcript:EOY17771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 4 MEVSSEVEAVIQFLRKNGLREAEKALEEDMMEKNEEEEVGAFDFEKFLFPMPPPVRIPATIRRSEVDEKVKSSDGSDSDGDEFVSLRSSTSDVCSSDFVNPYGLHSASQADSDTSSDRLSQFGTARDYPDFDMQNDLYWYDDKDEGYLMTPCFAGSDFYGCPSEDKFVTTSETEKQQHNTLSVLDKSEGFQTEASIDYLDKPCLYNMACINGENEVQAMDYYHFDKCNRLEGDIEPELKNCAYGCSLPLCKCCGAPGLYDENPVNFSYLSSKETDLGDLQLKAAGDIDTDYNRASKHKSDKNVYSAKRGSNDWIDGFEDASDLVHKIAEKDLFPNTIDSYGVEDDEDNGELSEPKAAADGEDNTGDELLMYSNEEEYEVFNLRIVHRKNRTGFEENKDLPIVLNTVIAGRYYVTEYLGSAAFSKVVQAHDLLMGIDVCLKIIKNDKDFFDQSLDEIKLLKLVNKHDPGDEHHILRLYDYFYHQVCLKSKLVILSTPL >EOY17767 pep chromosome:Theobroma_cacao_20110822:10:812114:817617:1 gene:TCM_042493 transcript:EOY17767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 4 MEVSSEVEAVIQFLRKNGLREAEKALEEDMMEKNEEEEVGAFDFEKFLFPMPPPVRIPATIRRSEVDEKVKSSDGSDSDGDEFVSLRSSTSDVCSSDFVNPYGLHSASQADSDTSSDRLSQFGTARDYPDFDMQNDLYWYDDKDEGYLMTPCFAGSDFYGCPSEDKFVTTSETEKQQHNTLSVLDKSEGFQTEASIDYLDKPCLYNMACINGENEVQAMDYYHFDKCNRLEGDIEPELKNCAYGCSLPLCKCCGAPGLYDENPVNFSYLSSKETDLGDLQLKAAGDIDTDYNRASKHKSDKNVYSAKRGSNDWIDGFEDASDLVHKIAEKDLFPNTIDSYGVEDDEDNGELSEPKAAADGEDNTGDELLMYSNEEEYEVFNLRIVHRKNRTGFEENKDLPIVLNTVIAGRYYVTEYLGSAAFSKVVQAHDLLMGIDVCLKIIKNDKDFFDQSLDEIKLLKLVNKHDPGDEHHILRLYDYFYHQEHLFIVCELLRANLYEFQKFNQESGGVAYFNLSRLQVITRQCLEALDYLHGLGIIHCDLKPENILIKSYRRCEIKIIDLGSSCFQTDNLCLYVQSRSYRAPEVILGLPYDKKIDLWSLGCILAELSSGEVLFPNDAVVMILARMVGMLGPFDLEMLENGQETYKYFTKEYDLYHINEETNQLEYIISEESLLEHHLQVSDVGFLDFVRHLLQMNPQRRPTAREALQHPWLLYSY >EOY17768 pep chromosome:Theobroma_cacao_20110822:10:812122:817010:1 gene:TCM_042493 transcript:EOY17768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 4 MEVSSEVEAVIQFLRKNGLREAEKALEEDMMEKNEEEEVGAFDFEKFLFPMPPPVRIPATIRRSEVDEKVKSSDGSDSDGDEFVSLRSSTSDVCSSDFVNPYGLHSASQADSDTSSDRLSQFGTARDYPDFDMQNDLYWYDDKDEGYLMTPCFAGSDFYGCPSEDKFVTTSETEKQQHNTLSVLDKSEGFQTEASIDYLDKPCLYNMACINGENEVQAMDYYHFDKCNRLEGDIEPELKNCAYGCSLPLCKCCGAPGLYDENPVNFSYLSSKETDLGDLQLKAAGDIDTDYNRASKHKSDKNVYSAKRGSNDWIDGFEDASDLVHKIAEKDLFPNTIDSYGVEDDEDNGELSEPKAAADGEDNTGDELLMYSNEEEYEVFNLRIVHRKNRTGFEENKDLPIVLNTVIAGRYYVTEYLGSAAFSKVVQAHDLLMGIDVCLKIIKNDKDFFDQSLDEIKLLKLVNKHDPGDEHHILRLYDYFYHQEHLFIVCELLRANLYEFQKFNQESGGVAYFNLSRLQVITRQCLEALDYLHGLGIIHCDLKPENILIKSYRRCEIKIIDLGSSCFQTDNLCLYVQSRSYRAPEVILGLPYDKKIDLWSLGCILAELSSGEVLFPNDAVVMILARMVGMLGPFDLEMLENGQETYKYFTKEYDLYHINETFASDEPSKAPNCEGGIATPMAFVLVLKQNS >EOY17770 pep chromosome:Theobroma_cacao_20110822:10:812097:817025:1 gene:TCM_042493 transcript:EOY17770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 4 MEVSSEVEAVIQFLRKNGLREAEKALEEDMMEKNEEEEVGAFDFEKFLFPMPPPVRIPATIRRSEVDEKVKSSDGSDSDGDEFVSLRSSTSDVCSSDFVNPYGLHSASQADSDTSSDRLSQFGTARDYPDFDMQNDLYWYDDKDEGYLMTPCFAGSDFYGCPSEDKFVTTSETEKQQHNTLSVLDKSEGFQTEASIDYLDKPCLYNMACINGENEVQAMDYYHFDKCNRLEGDIEPELKNCAYGCSLPLCKCCGAPGLYDENPVNFSYLSSKETDLGDLQLKAAGDIDTDYNRASKHKSDKNVYSAKRGSNDWIDGFEDASDLVHKIAEKDLFPNTIDSYGVEDDEDNGELSEPKAAADGEDNTGDELLMYSNEEEYEVFNLRIVHRKNRTGFEENKDLPIVLNTVIAGRYYVTEYLGSAAFSKVVQAHDLLMGIDVCLKIIKNDKDFFDQSLDEIKLLKLVNKHDPGDEHHILRLYDYFYHQVCLKSKLVILSTPL >EOY17769 pep chromosome:Theobroma_cacao_20110822:10:812097:817025:1 gene:TCM_042493 transcript:EOY17769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 4 MEVSSEVEAVIQFLRKNGLREAEKALEEDMMEKNEEEEVGAFDFEKFLFPMPPPVRIPATIRRSEVDEKVKSSDGSDSDGDEFVSLRSSTSDVCSSDFVNPYGLHSASQADSDTSSDRLSQFGTARDYPDFDMQNDLYWYDDKDEGYLMTPCFAGSDFYGCPSEDKFVTTSETEKQQHNTLSVLDKSEGFQTEASIDYLDKPCLYNMACINGENEVQAMDYYHFDKCNRLEGDIEPELKNCAYGCSLPLCKCCGAPGLYDENPVNFSYLSSKETDLGDLQLKAAGDIDTDYNRASKHKSDKNVYSAKRGSNDWIDGFEDASDLVHKIAEKDLFPNTIDSYGVEDDEDNGELSEPKAAADGEDNTGDELLMYSNEEEYEVFNLRIVHRKNRTGFEENKDLPIVLNTVIAGRYYVTEYLGSAAFSKVVQAHDLLMGIDVCLKIIKNDKDFFDQSLDEIKLLKLVNKHDPGDEHHILRLYDYFYHQVCLKSKLVILSTPL >EOY17766 pep chromosome:Theobroma_cacao_20110822:10:812114:817617:1 gene:TCM_042493 transcript:EOY17766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 4 MEVSSEVEAVIQFLRKNGLREAEKALEEDMMEKNEEEEVGAFDFEKFLFPMPPPVRIPATIRRSEVDEKVKSSDGSDSDGDEFVSLRSSTSDVCSSDFVNPYGLHSASQADSDTSSDRLSQFGTARDYPDFDMQNDLYWYDDKDEGYLMTPCFAGSDFYGCPSEDKFVTTSETEKQQHNTLSVLDKSEGFQTEASIDYLDKPCLYNMACINGENEVQAMDYYHFDKCNRLEGDIEPELKNCAYGCSLPLCKCCGAPGLYDENPVNFSYLSSKETDLGDLQLKAAGDIDTDYNRASKHKSDKNVYSAKRGSNDWIDGFEDASDLVHKIAEKDLFPNTIDSYGVEDDEDNGELSEPKAAADGEDNTGDELLMYSNEEEYEVFNLRIVHRKNRLICRNQYNSCYAIYRTGFEENKDLPIVLNTVIAGRYYVTEYLGSAAFSKVVQAHDLLMGIDVCLKIIKNDKDFFDQSLDEIKLLKLVNKHDPGDEHHILRLYDYFYHQEHLFIVCELLRANLYEFQKFNQESGGVAYFNLSRLQVITRQCLEALDYLHGLGIIHCDLKPENILIKSYRRCEIKIIDLGSSCFQTDNLCLYVQSRSYRAPEVILGLPYDKKIDLWSLGCILAELSSGEVLFPNDAVVMILARMVGMLGPFDLEMLENGQETYKYFTKEYDLYHINEETNQLEYIISEESLLEHHLQVSDVGFLDFVRHLLQMNPQRRPTAREALQHPWLLYSY >EOY18401 pep chromosome:Theobroma_cacao_20110822:10:3349553:3350134:1 gene:TCM_042997 transcript:EOY18401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone--flavonone isomerase, putative MSSPPSATQVDVDNIVFPPSAKPPASSTVLFLGLYIDANFKKFTAIGVYLGPNAVPLLAAKWRGKSAQELMDSVEFFRDIVAGIYTFHIPM >EOY18593 pep chromosome:Theobroma_cacao_20110822:10:3906381:3909101:1 gene:TCM_046954 transcript:EOY18593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFFLRGLNEDSASSQMDILRCPFLRNINEPTNFSLSSAMPFPMPVRGAKGPIFEDGPNFDMAFRLFHGRDGVVPLSERSSFRTEKTEPETTPPKFNPLAARAATISLSSFGPGGPFSFDAFSNKWNNQKGKSKPSKKESSSQGGNSNHEALGNEWLQNGNCPIAKSYRAVSGVLPLVAKVFQPPPGMKFRCPPAVVAARAALAQTAFAKNLRPQSLPTKVLVIGMLGMAANVPLGIWREHTEKFSPSWFVAIHAAVPFIAMLRKSVLMPKTAMAFTIAASVLGQVIGSRAERYRMKAVAAKRLGIEESSVSVGDASQFEVVAVKNGYCSKDVEWDPVSLQVARPSSSTDVFC >EOY19670 pep chromosome:Theobroma_cacao_20110822:10:19743946:19768102:1 gene:TCM_044838 transcript:EOY19670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF810) [Source:Projected from Arabidopsis thaliana (AT5G06970) TAIR;Acc:AT5G06970] MDEETAVELLQRYRRDRQILLDFILSGSLVKKVVMPPGAVTLDDVDLDQVSVDYVLSCIKKGGMLELSEAIRDYHDHTGLPQMNSAGSAGEFFLVTNTESSGSPPRRAPPPIPVSVSIPTPSAPVFAPSPVLPTVSRSESFDSEQVQELTVDDIEDFEYDDDLEEVNSLKISRRNPNDVGDLVLKLPSFATGITDDDLRETAYEILLACAGASGGLIVPSKEKKKEKRSKLMRKLGRSRSENIVSQSQNAPGLVGLLETMRVQMEISEAMDIRTRQGLLNALAGKVGKRMDALLIPLELLSCISRTEFSDKKAYIRWQKRQLNMLAEGLVNHPAVGFGESGRKASEFRILLAKIEESEAFPPSAGEVQRTESLRSLRDIAIPLAERPARGDLTGEVCHWADGYHLNVRLYEKLLLSVFDVLDEGKLTEEVEEILELLKSTWRVLGITETIHYTCYAWILFRQYVITSEQGILRHAIDQLKKIPLKEQRGPQERLHLKSLHVRVDGEEGSRDVSLLQSFLSPIQKWADKQLGDYHLNFAEGSVVMQDIVTVAMIVRRLLLEESDKAVQSSTVSDRDQIELYISSSVKNSFARKLQTVDKSDAIEHPLALLAEEVKMLLKKDSTVFMPILCQRHPNATIVSASLLHKLYGNKLKPFVDGAEHLTEDVVSVFPAADNLEQYILDLIKSACEGENVEIHFRKLIPYQIESISGTVVMRWINSQLGRIIGWVERTLQQERWDPISPQQRHGSSIVEVYRIVEETVDQFFAIKAPMRPMELNALFSGIDNAFQVYANHIVDNLASKDDLIPPLPVLTRYRKEAGIKAFVKKELFDSRLPDQRRSIEINVLTTATLCVQLNTLYYAISQLNKLEDSIWERWTRKKPQDKIYIRKSMDDKSKSSTQKGTFDRSRKDINAAIDRIREFTGTKIIFWDLREPFIENLYKPNVSQSRLEAVIEPLDAELNQLCDIIVEPLRDRVVTSLLQASLEGFLRVLLDGGPSRVFLPSDAKLLEEDLEILKEFFISGGDGLPRGVVENQVARVRLVVKLQGLETRELVEDLRSSSGKLGADNQTLLRILCHRADSEASQFVKKQYKIPKSSA >EOY17627 pep chromosome:Theobroma_cacao_20110822:10:386305:388821:1 gene:TCM_042405 transcript:EOY17627 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MRALAAQLNTFLFKRKPWNVQSRNFSSYNGKDEAYLEQEAERKIGWLLKLIFAGTASFVAYQFFPYMGDNLMHQSVSLLHVKDPLFKRMGASRLARFAIDDERRMKIVEIGGAQELLNMLGSAKDERTLKEALKALSALSKSDQAVGALHHAGAISVIKSTPDTFEDIEIGIYKSNLLKRFQDLRYDISS >EOY19625 pep chromosome:Theobroma_cacao_20110822:10:19296740:19298110:-1 gene:TCM_044760 transcript:EOY19625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGEQSHENHPPSSIVEGDDDVIVASKPKAGTIWLKALAFSIVNRTRYWLSNNLLNSAISYNFVPFVEITLYGQDQIPDLTSIPPPRLFATHLSYSVLPESMKQGNSQIVYVTPRQQQHPFAAPHPRLLVNLPKRPPPEAKEVFKTKPAPHYKALSITTATGSTVQPPIKGNPTAPKEEKPPAATKTTSEAIPNKRSQPKKQP >EOY19781 pep chromosome:Theobroma_cacao_20110822:10:22464491:22471715:-1 gene:TCM_045119 transcript:EOY19781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase family, ISF2 isoform 1 MDGHMGLANSVALKLAGVTNLSKDPNGGTIMRTSDGEPIGLLIDAAMELILARIPEVSVDERREAMLRASSFALTRGVTAVVDFGRYFPGAPVEHSWQDFSDVYQWADSSGMMIIRVCLFFPMETWSRLYDVIRKAGHALSNWIYFGGVKAFADGSLGSNSALFHEPYFDDPHNSGLQVVESESLFNMTMASDKSGLQVAIHAIGDRANDLILDMYESVASTNGKRDRRFRIEHAQHLAPGTADGFGQQGIIASVQPDHLLVDADAAIRKLGVDRAQKGSYLFQSLLLSNALLALGSDWPVTSIYPLQAIRTAMKRIPSGWENAWIPSECLSLNDAVIAHTISAAQACFLENEIGSLSTGKLADFVILSTDSWDEFATEGSASVEATYVGGMQAYP >EOY19780 pep chromosome:Theobroma_cacao_20110822:10:22464260:22472783:-1 gene:TCM_045119 transcript:EOY19780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase family, ISF2 isoform 1 MNRFIVISASIAIFLSILFFHLLDSNYWLKWRASLVPSPKLAVDLIVKNGVIFTSDPSFPFADSMAIRDGRILRVGNYSSLQDLSGYGTKELNLEGKIVVPGFIDSHVHLIFAGLQMVRVQLQGVNQKDEVVRRVKEAALKAKRGSWILGGGWNNELWGGELPVASWIDDVTSDNPVWLTRMDGHMGLANSVALKLAGVTNLSKDPNGGTIMRTSDGEPIGLLIDAAMELILARIPEVSVDERREAMLRASSFALTRGVTAVVDFGRYFPGAPVEHSWQDFSDVYQWADSSGMMIIRVCLFFPMETWSRLYDVIRKAGHALSNWIYFGGVKAFADGSLGSNSALFHEPYFDDPHNSGLQVVESESLFNMTMASDKSGLQVAIHAIGDRANDLILDMYESVASTNGKRDRRFRIEHAQHLAPGTADGFGQQGIIASVQPDHLLVDADAAIRKLGVDRAQKGSYLFQSLLLSNALLALGSDWPVTSIYPLQAIRTAMKRIPSGWENAWIPSECLSLNDAVIAHTISAAQACFLENEIGSLSTGKLADFVILSTDSWDEFATEGSASVEATYVGGMQAYP >EOY19714 pep chromosome:Theobroma_cacao_20110822:10:21778752:21785870:-1 gene:TCM_045020 transcript:EOY19714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFVIGDQWIAKSGFTRDMGFIRSSLDTIYYFHLRIPKLVQYREANVSGASGEDGVMSLSLDMLTRKQGNRLYSDVVVFVSNGAKFVGLVLLSAMMMEMLSQLVPTVKSMVLVQRWRSSWPCHGPCHVASQRMLEFIMC >EOY18934 pep chromosome:Theobroma_cacao_20110822:10:6369686:6372709:-1 gene:TCM_043452 transcript:EOY18934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDANSYWYLDSASATHICYQKDCFDLLQEVVVGNLTLGNKSIVKVMGIGVVKIKMFDGVVRSLGGVAYVPKMRKNLISLSLLDSKGSDITLFFNVKGNNQQMADSEEGGQTGPVDMLESNGEHSPIIERSASQTTSENKFVSIAACPQDRMEAYIENPPNLESASS >EOY17562 pep chromosome:Theobroma_cacao_20110822:10:195615:197223:-1 gene:TCM_042364 transcript:EOY17562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLVDYASSSDDDVSDSEREPPQQRHEPPPPPLPRAPSPPKTQASGSSADQKPDTFESLPDASMLLNSPTVSLVSGNDHASVVAAAMAESASRKRDSKGMMGLGSGGGAAAAPRAKLPRATLPHSKSVPDTEGGLLVPPQLKGRSNVVTEDISKLFVSRQAVAQPRGPENQS >EOY20041 pep chromosome:Theobroma_cacao_20110822:10:24523851:24527142:-1 gene:TCM_045439 transcript:EOY20041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSDSSRELQPQKGLQIKQDDKFFSRLMSKETSMANSSCRVYYGGASGAVPFMWESQPGTPKHPCSDTTLPPLTPPPSYHSSCKSKSMQKTSMKPTLLSFIFPRLTPRKNHASPSSSRSSTSSSSSFSSRSSSLHGSPSAPRNQKFQRRSYFSFSRSPVHNCIDDDDEEGLGSPTSTLCFGVKRRNLNEFGGCQSMVNMKKALLSIVSHGSGQGTAA >EOY18413 pep chromosome:Theobroma_cacao_20110822:10:3415593:3421247:1 gene:TCM_043010 transcript:EOY18413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor 3 isoform 2 MDKDKPATKRSRDRDDKHHRSHHRDSHRRSDHKSSSSRRDERERSFEREGSRDRAAEKYREGSYEEVEAKKKRKEREESEEGGEKRAKVGEGNREEKRERRRFGDKVKEEEEIEFSNVANGGEPVQNGAAQASLPRTGHPLSTKVPSISTAENKAYSITGSHEVTGSSTDGSSAAGKSGGNLSLDALAKAKKALQMQKELAEKLKKIPSLNRGPSSSSGVTTGTVQGPASSVTYAIASGPSSSAVLPPTSVAAASVKQPAGGMASVPGLASIPNLEAVKRAQELAAKMGFRQDPQFAPLINLFPGQVQTDVPVPQKPTKAPVLRVDALGREIDEHGNIINVTKPSNLSTLKVNINKQKKDAFQILKPELDVDPESNPHFDSRMGIDKNKLLRPKRMTFQFVEEGKWSKDAEIIKLKSQFGEAKAKELKAKQAQLAKAKADINPNLIEVSERIITKEKPKDPIPEIEWWDLPILVSGSYGDITDGVVNEDKLKMEKITIYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDRQEMIRQGLIEPPKPKVKLSNLMKVLGSEATQDPTKLEMEIHSAAAEREQAHVDRNIARKLTPAERREKKEKKLFDDPNTVETIVSVYKINDLSHPKTRFKVDVNAQENRLTGCAVISEGISVVVVEGGSKSIKRYGKLMLRRINWTEAVKEEDKDGDEDEEKPPNKCVLVWQGSVAKPSFSKFSVHECITEAAAKKVFADAGVAHYWDLAVNFSENEFDF >EOY18414 pep chromosome:Theobroma_cacao_20110822:10:3415593:3421247:1 gene:TCM_043010 transcript:EOY18414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor 3 isoform 2 MQKELAEKLKKIPSLNRGPSSSSGVTTGTVQGPASSVTYAIASGPSSSAVLPPTSVAAASVKQPAGGMASVPGLASIPNLEAVKRAQELAAKMGFRQDPQFAPLINLFPGQVQTDVPVPQKPTKAPVLRVDALGREIDEHGNIINVTKPSNLSTLKVNINKQKKDAFQILKPELDVDPESNPHFDSRMGIDKNKLLRPKRMTFQFVEEGKWSKDAEIIKLKSQFGEAKAKELKAKQAQLAKAKADINPNLIEVSERIITKEKPKDPIPEIEWWDLPILVSGSYGDITDGVVNEDKLKMEKITIYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDRQEMIRQGLIEPPKPKVKLSNLMKVLGSEATQDPTKLEMEIHSAAAEREQAHVDRNIARKLTPAERREKKEKKLFDDPNTVETIVSVYKINDLSHPKTRFKVDVNAQENRLTGCAVISEGISVVVVEGGSKSIKRYGKLMLRRINWTEAVKEEDKDGDEDEEKPPNKCVLVWQGSVAKPSFSKFSVHECITEAAAKKVFADAGVAHYWDLAVNFSENEFDF >EOY18816 pep chromosome:Theobroma_cacao_20110822:10:5102107:5102854:1 gene:TCM_043304 transcript:EOY18816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESYPSAKSRCKSSENSLVFPTQCIRHSVGTTCTDMEFMFDPKFVYRDGVMVPIDSNKEVSFHCKLKTLCLRSLRVYLHVHDACHMVPMDQPKAALEMLKLWTRGTLSEAADLEKLAAEI >EOY19885 pep chromosome:Theobroma_cacao_20110822:10:23516048:23516621:-1 gene:TCM_045270 transcript:EOY19885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2 MQRHLLVAAVLATLSLLVSGQTDFFYKLSLQWPPSVCGPSQCGSPIPRTFTIHGLWPQFVTNDRPVPPYNPTTNKCTNVTPTAPGQILVPL >EOY19530 pep chromosome:Theobroma_cacao_20110822:10:18501472:18504062:1 gene:TCM_044659 transcript:EOY19530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSAFNSRSYSEVVVGPGKTIAKNHGKERGNDKSQPEVRMQDTKKRREVISQSKKETGGKRKTKQHNGAKMVTIKTNIPEEEMLWIKCSAIGKLKVKADCERIQKGLSREGIHAQERILDDQSVLVTFEELGIVEAMLDHYLEQFDGWFEYLALVSYRRMLGEFIATDKSTFKRERFVEAFILVKVRSRSMIPDYVTIKVEGKFHIICISIVGSESQCKLEAYWKRKKKLLEETSGGYGVDSRVVASLVHGDGTFPVNRKRGTVMSDCVKEFKLEGDLTSEGREGPKERVFGQKRVDGKSDRLRVIDTREDMGEKSEKGTTESVKQVKELVVLSRYKKVGRETGTLDSRMEKKKKTARRKENSKIDMEDQSLSGEWAKEIQIGPLEGKKEIDKLSSNAKMGSSSQIPRKKELEPGKVSVVALMDQVKQGPKDQVKQGTEGLNLVNDEKENQKSEMNKEGRAKHDDIWGNEEYRLRMGRKRLTKV >EOY19432 pep chromosome:Theobroma_cacao_20110822:10:17544750:17550676:-1 gene:TCM_044539 transcript:EOY19432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPPISASKGAPLFWGALDYCSIYLGSTCCGVVGRRMGAPRFPTNEYENNNDKNSGLDFGKNTCVDELDNEENIDNCLLSHGLLRLVE >EOY19997 pep chromosome:Theobroma_cacao_20110822:10:24293849:24304157:1 gene:TCM_045399 transcript:EOY19997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven in absentia of 2 isoform 1 MAPGGGICKDVIESRVAFADYDMATSSMELRGSPLRKAATGLGGNLGATSTNDVHELLECPVCVNLMYPPIYQCPNGHTVCSICKARVHNSCPTCRNELGNIRCLALEKVAESLELPCRYQTLGCHDIFPYYSKLKHEKNCRYRPYNCPYAGAECSVTGNISFLVMHLKNDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFHIGMAPVYMAFLRFMGDEDEARQFSYSLEVGGNGRKFIWQGVPRSIRDSHRKVRDSQDGLIIQRNLALFFSGGDRQELKLKVAGRIWKEQ >EOY19998 pep chromosome:Theobroma_cacao_20110822:10:24293384:24296958:1 gene:TCM_045399 transcript:EOY19998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven in absentia of 2 isoform 1 MAPGGGICKDVIESRVAFADYDMATSSMELRGSPLRKAATGLGGNLGATSTNDVHELLECPVCVNLMYPPIYQCPNGHTVCSICKARVHNSCPTCRNELGNIRCLALEKVAESLELPCRYQTLGCHDIFPYYSKLKHEKNCRYRPYNCPYAGAECSVTGNISFLVMHLKNDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFHIGMAPVYMAFLRFMGDEDEARQFSYSLEVGGNGRKFIWQGVPRSIRDSHRKVRDSQDGLIIQRNLALFFSGGDRQELKLKVAGRIWKEQ >EOY18376 pep chromosome:Theobroma_cacao_20110822:10:3238553:3242915:1 gene:TCM_042977 transcript:EOY18376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor (CPSF) A subunit protein isoform 2 MYLYNLTLQQATGIVSAINGNFSGGKIQEIVVARGKILSLLRPDDLGKLQTLHSVEIFGSIRSLAQFRLTGAQKDYIVVGSDSGRIVILEYNKEKNVFDKIHQETFGKSGCRRIVPGQYLAVDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTIVYSICGVDCGFDNPIFAAIELDYLEADQDSTGLAAGEAQKHLTFYELDLGLNHVSRKWSEQVDNGANMLVTVPGGGDGPSGVLVCAENFVIYKNQGHPDVRAVIPRRADLPAERGVLIVSAATHKQKSMFFFLLQTEYGDIFKVTLDYGNDGVTELKIKYFDSIPVTSSMCVLKTGFLFAASEFGNHGLYQFQAIGDEPDVESSSSTLMETEEGFQPVFFQPRGLKNLVRIDQAESLMPIMDMKIANLFEEETPQIFSLCGRGPRSSLRILRPGLAISEMAVSQLPGVPSAVWTVKKNVNDAFDAYIVVSFANATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTIVKVGSNGLQVVIALSGGELIYFEVDMTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQILSVQSVSSPPESLLFLEVKASVGGEDGADHPASLFLNAGLQNGVLFRTVVDMVTGQLSDSRSRFLGLRAPKLFSIKVRGRPAMLCLSSRPWLGYIHQGHFLLTPLSYETLEFAASFSSDQCAEGVVAVAGDALRVFTIERLGETFNETAIPLRYTPRKFVLQPKRKLLVIIESDQGSYTAEEREVARKECFEAAGMGENGNGNVDQMENGGDDEDKEDPLSDEQYGYPKAESDKWVSCIRVLDPRTATTTCLLELQDNEAAFSVSGRWQIA >EOY18377 pep chromosome:Theobroma_cacao_20110822:10:3238553:3241708:1 gene:TCM_042977 transcript:EOY18377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor (CPSF) A subunit protein isoform 2 MYLYNLTLQQATGIVSAINGNFSGGKIQEIVVARGKILSLLRPDDLGKLQTLHSVEIFGSIRSLAQFRLTGAQKDYIVVGSDSGRIVILEYNKEKNVFDKIHQETFGKSGCRRIVPGQYLAVDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTIVYSICGVDCGFDNPIFAAIELDYLEADQDSTGLAAGEAQKHLTFYELDLGLNHVSRKWSEQVDNGANMLVTVPGGGDGPSGVLVCAENFVIYKNQGHPDVRAVIPRRADLPAERGVLIVSAATHKQKSMFFFLLQTEYGDIFKVTLDYGNDGVTELKIKYFDSIPVTSSMCVLKTGFLFAASEFGNHGLYQFQAIGDEPDVESSSSTLMETEEGFQPVFFQPRGLKNLVRIDQAESLMPIMDMKIANLFEEETPQIFSLCGRGPRSSLRILRPGLAISEMAVSQLPGVPSAVWTVKKNVNDAFDAYIVVSFANATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTIVKVGSNGLQVVIALSGGELIYFEVDMTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQILSVQSVSSPPESLLFLEVKASVGGEDGADHPASLFLNAGLQNGVLFRTVVDMVTGQLSDSRSRFLGLRAPKLFSIKVRGRPAMLCLSSRPWLGYIHQGHFLLTPLSYETLEFAASFSSDQCAEGVVAVAGDALRVFTIERLGETFNETAIPLRYTPRKFVLQPKRKLLVIIESDQGSYTAEEREVARKECFEAAGMGENGNGNVDQMENGGDDEDKEDPLSDEQYGYPKAESDKWVSCIRVLDPRTATTTCLLELQDNEAAFSVSGRWQIA >EOY18375 pep chromosome:Theobroma_cacao_20110822:10:3238327:3243647:1 gene:TCM_042977 transcript:EOY18375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor (CPSF) A subunit protein isoform 2 MYLYNLTLQQATGIVSAINGNFSGGKIQEIVVARGKILSLLRPDDLGKLQTLHSVEIFGSIRSLAQFRLTGAQKDYIVVGSDSGRIVILEYNKEKNVFDKIHQETFGKSGCRRIVPGQYLAVDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTIVYSICGVDCGFDNPIFAAIELDYLEADQDSTGLAAGEAQKHLTFYELDLGLNHVSRKWSEQVDNGANMLVTVPGGGDGPSGVLVCAENFVIYKNQGHPDVRAVIPRRADLPAERGVLIVSAATHKQKSMFFFLLQTEYGDIFKVTLDYGNDGVTELKIKYFDSIPVTSSMCVLKTGFLFAASEFGNHGLYQFQAIGDEPDVESSSSTLMETEEGFQPVFFQPRGLKNLVRIDQAESLMPIMDMKIANLFEEETPQIFSLCGRGPRSSLRILRPGLAISEMAVSQLPGVPSAVWTVKKNVNDAFDAYIVVSFANATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTIVKVGSNGLQVVIALSGGELIYFEVDMTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQILSVQSVSSPPESLLFLEVKASVGGEDGADHPASLFLNAGLQNGVLFRTVVDMVTGQLSDSRSRFLGLRAPKLFSIKVRGRPAMLCLSSRPWLGYIHQGHFLLTPLSYETLEFAASFSSDQCAEGVVAVAGDALRVFTIERLGETFNETAIPLRYTPRKFVLQPKRKLLVIIESDQGSYTAEEREVARKECFEAAGMGENGNGNVDQMENGGDDEDKEDPLSDEQYGYPKAESDKWVSCIRVLDPRTATTTCLLELQDNEAAFSVCTVNFHDKEYGTLLAVGTAKGLQFWPKRSLVTGFIHIYRFLEDGRSLELLHKTQVEGVPLALCQFQGRLLAGIGSVLRLYDLGKKRLLRKCENKLFPNTIVCIHTYRDRIYVGDIQESFHFCKYRRDENQLYIFADDVVPRWLTASYHIDFDTMAGADKFGNVYFVRLPQDVSDEIEEDPTGGKIKWEQGRLNGAPNKVEEIVQFHIGDVVTSLQKASLIPGGGECVLYGTVMGSLGALLPFTSRDDVDFFSHLEMHMRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPTLPMDLQRKIADELDRTPGEILKKLEEVRNKII >EOY18485 pep chromosome:Theobroma_cacao_20110822:10:3583213:3584290:1 gene:TCM_043039 transcript:EOY18485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTNETSPPPRPPYQRRRCRHLQWQGKSWPLLTLPPEWVDSDNEKTVNFRFYSHMGKQILDLLKRCLAGGDRRRRQRQ >EOY19033 pep chromosome:Theobroma_cacao_20110822:10:8566774:8568409:1 gene:TCM_043659 transcript:EOY19033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARAGQIKILGSRVRWKVGDITFYWYSREGVARFQWNRTLFGGCVGAGETSSAQEGSNAFVGEHWNHME >EOY20252 pep chromosome:Theobroma_cacao_20110822:10:25357526:25369490:-1 gene:TCM_045610 transcript:EOY20252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein isoform 2 MAEFMELEGQDGVRMAWNVVPGTKQEASNCVVPVSAIYTPIKPFPNMPVLPYAPLRCRNCRSVLNPFSIVDFAAKIWICPFCFQRNHFPPHYASISDENLPAELFPQYTTIEYQSPGEASSLPPVFMFVLDTSIIEEEMAFLKSSLSQAIGLLPDNSLVGLITFGTLVHVHELGFGAIPKTYVFKGSKDVSKDQLLEQMSFFLNKPKPTTGVIAGARDGLSSESIARFLLPASECEFALNSVLEELQKDPWPIPADQRATRCTSTALSVAASLLGACVPGSGARIMAFIGGPSTEGPGAIVSKNLSEPIRSHKDLDKDSAPHYHKAVKFYEALAKQLVHQGHVLDLFACALDQVGVAELKVAVERTGGLVVLAESFGHSVFKDSLRRVFQSEDKDLGISSNGIFEINCSKDIKVQGILGPCASLEKKGPLCSDTIVGQGSTSAWKMCGLDQATSLCLIFEIVKKDIPDSTVQSSGNQFYFQFLTYYQHSTGEMRLRVTTLSRRWVAGPGSIQDLIAGFDQEAAAVVMARFVSFKMEIEAEFDPIRWLDKALIHICSRFGDYQKDSPSSFSLSPRFSIFPQFMFHLRRSQFVQVFNNSPDETAYFRMILNRENVANSVVMIQPSLISYSFQSAPEPALLDVAAIAADRILLLDSYFTIVIFHGSTIAQWRKAGYHNQPEHQAFAQLLQAPRGDADAIIKERFPVPRLVICDQHGSQARFLLAKLNPSATYNSDGHHPGGDIIFTDDVSFEVFLDHLQRLAVQTKRKNSNQCLREAIDGLGVPSESEGRGGEAPSLILSEKLYFPITINMKGRSAYVPPPYIPLGQSDQELPPPAGDGPASPPQSQSQSQSQPLQWSSGICACCDDMQSCCIGLFFPCFLFGKNAQFMGSGTLVGSCITHFILWAFANTLCCMLTQGLFLGLPGCFLSCYACGYRRALRARYNLQEAPCGDLATHFFCHLCAICQEYREIRERAGDCEPPDLKLAVVTAPAVQTMESSGL >EOY20253 pep chromosome:Theobroma_cacao_20110822:10:25362462:25369558:-1 gene:TCM_045610 transcript:EOY20253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein isoform 2 MAEFMELEGQDGVRMAWNVVPGTKQEASNCVVPVSAIYTPIKPFPNMPVLPYAPLRCRNCRSVLNPFSIVDFAAKIWICPFCFQRNHFPPHYASISDENLPAELFPQYTTIEYQSPGEASSLPPVFMFVLDTSIIEEEMAFLKSSLSQAIGLLPDNSLVGLITFGTLVHVHELGFGAIPKTYVFKGSKDVSKDQLLEQMSFFLNKPKPTTGVIAGARDGLSSESIARFLLPASECEFALNSVLEELQKDPWPIPADQRATRCTSTALSVAASLLGACVPGSGARIMAFIGGPSTEGPGAIVSKNLSEPIRSHKDLDKDSAPHYHKAVKFYEALAKQLVHQGHVLDLFACALDQVGVAELKVAVERTGGLVVLAESFGHSVFKDSLRRVFQSEDKDLGISSNGIFEINCSKDIKVQGILGPCASLEKKGPLCSDTIVGQGSTSAWKMCGLDQATSLCLIFEIVKKDIPDSTVQSSGNQFYFQFLTYYQHSTGEMRLRVTTLSRRWVAGPGSIQDLIAGFDQEAAAVVMARFVSFKMEIEAEFDPIRWLDKALIHICSRFGDYQKDSPSSFSLSPRFSIFPQFMFHLRRSQFVQVFNNSPDETAYFRMILNRENVANSVVMIQPSLISYSFQSAPEPALLDVAAIAADRILLLDSYFTIVIFHGSTIAQWRKAGYHNQPEHQAFAQLLQAPRGDADAIIKERFPVPRLVICDQHGSQARFLLAKLNPSATYNSDGHHPGGDIIFTDDVSFEVFLDHLQRLAVQ >EOY17729 pep chromosome:Theobroma_cacao_20110822:10:689417:690997:-1 gene:TCM_042475 transcript:EOY17729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MENCYLSSWPEGENWAEFNATPSNESSFTVPLPIEPRFSASSSVQCQGFPSWVVPIEGVAEDRATVVSKSHSQAEKRRRDRINAQLAALRKLIPKSDKMDKAALLGSAIEHVKDLKRKATEVSKAFTIPTEVDEVTVDCDLPENISPPTNPRQTKDNKIFIRASVCCDDRPEVFAELIRVLKGLRLSTVKADISSVGGRMRSNLILCNDDSEDQGVSPSTLKQSLNVVLSRIASSSAGSNCRIRSKRQRLFLPSQFTQ >EOY19279 pep chromosome:Theobroma_cacao_20110822:10:15818266:15837674:1 gene:TCM_044320 transcript:EOY19279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit exo70 family protein A1 MGIAVVGMDSLSERAAMMREALLKSQTITDNVVSILGSFDSRLSALETAMRPTQIRTHAIRKAHENIDKTLKSAEVILAQFDLSRQAEAKILKGPHEDLEGYLGAIDQLRNNIRFFSSSKNFRNSDGVLNQANTLLDKAISKLEDEYKQLLNSYSKPIEPDRLFDGLPNALRPSSGSPGNQGDAGGKSTSHNHSELEKSELETAVYTPPTLIPPRIMPLLHDLAQQMVRAGHQQQLLRIYKETRSLVLEESLRKLGVEKLSKDDVQKMQWEVLEAKIGNWIHFMRIAVKLLFAGERKVCDQMFEGFDSLSDQCFAEVTASSVSVLLSFGEAIAKSKRSPEKLFVLLDMYEIMRELHPEIETIFKGKACTEIRESAFGLTKRLAQTAQETFGDFEEAVEKDATKTAVLDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFENADGTNSQLASVTMRIMQALQTNLDGKSKQYKDQALTHLFLMNNIHYMVRSVRRSEAKDLLGDDWVQRHRRIVQQHANQYKRNAWQKILQCLSIQGLTSSGGGSAVGGDGGNSSGVSRALVKDRFKIFNMQFEELHQRQSQWTVPDTELRESLRLAVAEVLLPAYRSFLKRYGPLVESGKNPQKYIKYSVEDLERMLGEFFEGKNLSEPKR >EOY17924 pep chromosome:Theobroma_cacao_20110822:10:1444117:1445833:-1 gene:TCM_042616 transcript:EOY17924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L35Ae family protein isoform 1 MVKGRQGERVRLYVRGTILGYKRSKSNQYTNTSLIQIEGVNSKEEVAWYCGKRMAYIYKAKVKKNGSHYRCIWGKVARPHGNSGVIRAKFKSNLPPKSMVSVILF >EOY17923 pep chromosome:Theobroma_cacao_20110822:10:1444025:1445901:-1 gene:TCM_042616 transcript:EOY17923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L35Ae family protein isoform 1 MVKGRQGERVRLYVRGTILGYKRSKSNQYTNTSLIQIEGVNSKEEVAWYCGKRMAYIYKAKVKKNGSHYRCIWGKVARPHGNSGVIRAKFKSNLPPKSMGDKVRVFMYPSNI >EOY18742 pep chromosome:Theobroma_cacao_20110822:10:4741384:4744089:-1 gene:TCM_043246 transcript:EOY18742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYFLFSIYINWQWYVIMIHKSRDFPSFKNSYNYLSNRQKKMAGGVLFDIAGKVLEGLRPLALRELSLASNVKAELTKLERTVSIIKVVLLYAEE >EOY20123 pep chromosome:Theobroma_cacao_20110822:10:24990023:24992873:-1 gene:TCM_045522 transcript:EOY20123 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MVTHYHSPHRLLLDTQSSASPTNGNRTRSSFSNEANFDTNMVIILAALLCALICALGLNSIVRCALRCSRRFAFETPDETAARLAATGLKKRALRQIPVAIYSSGINIKATDCPICLGEFIDGERVRVLPKCHHGFHVRCIDTWLLSHSSCPTCRQSLLEQPTSSDAGAEMEAGVQQHGNSPGGQFDVPVAADEVKSLHLKQLAEELFAFKLVVEIQVGGNARFFGKTCLERESPKAEAMLFKHAWPFLFWVNLQKGVNK >EOY18808 pep chromosome:Theobroma_cacao_20110822:10:5042958:5045242:1 gene:TCM_043293 transcript:EOY18808 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif and CCHC-type zinc finger domains containing protein MTLKRKSTSNSDSEEDETFYFRYSSAAAPPSSSSSKPSNPNQTISKCTGGGGGSSGLAPSKSTLYVSNIDYSLTNSDLHTLFSTFGKIARVTVLKDSVTRISKGVAFIQFVSRDDALSAERVMHGKVLNGRTLSTSIAVDNGRAPEFIRKRVYKDKSRCYECGVSGHLSYECPKNQLGPRERPVSKKGRRGCGGGERREDNGDWSDEELDGGVGFEEENWASVVDGSAEERLRKAEMVEEKKKKVARKASYFSDESDEEE >EOY19704 pep chromosome:Theobroma_cacao_20110822:10:21208533:21210429:1 gene:TCM_044962 transcript:EOY19704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVAGEKASLIPLDREPIWYKDRLTASFFEDEFYALAQPFKFTMVGKFSRMPRMQEIRAAFKGIGLIGAYEIKWLDYKHILIQLMHEHDLNRIWLKQVWAHLYEKSALLVIAKTVGRPLLADEATANGTRPSVAWVCVEYDCQKPPIEQVWIVTRDRQIGSVMGGYMQKVEFARLPEYCTHCCHVGHGITSCMPAKQSTKWQVVSRPGPSGAKDQRSMEIDPECHKEVQVQLSNRFKAVELMEDAGQSKVSIEERTGQTVMGVEIVSSGVAREQLIIHNNVQGNNEISRLQEGKNKKDIVTLKKGNIAATSGSSEPGSTSAWVEEGNERCQNAPARAENGDEQPSGVGMVTARSRKPNFVESEQQMIFHKKGVHGQTKNATAEKENFAKSQPLEGGAQKFFHVKSMQDPSDNIEGERERAEPTSLYILGCAVECGNKGVD >EOY17515 pep chromosome:Theobroma_cacao_20110822:10:8359:15303:1 gene:TCM_042331 transcript:EOY17515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMSTPSTSLLANENGGLQAFDSHTQPPTSPRFQTKSFLSIAAGGKPLVIPLNRDPVVYKDRPAAIFYEDKICTLAKPFSLYLVGKFTRMPKLQEVKFAFKGIDLLGAYEIKWLDYKHVIIHLSNDQDFNRIWTRQQWFIAGQKMRIFKWPLEFEAKTESPIVPVWISFPNLKAHLYEKFALLLIVKTIGKPLFVDEATTKGSRPTMARVCVKYDCRKLPIDQVWIVTQKRNTGIVTNGYAQKVEFSHMPNYWDHCCHVGHNETNCLVLGNNSKSLGSMKPQLKGQPKPILNVSKTQTREKIDEEREDKAKGIMVEDIQPATKQTDIYEKERHYSFVLSTEPASLRKHNRGGKGKGVQLSSQLRLIALGFDNDQNNAGNDQLITVRKPILRKKAKPALVNLVSVMNVEDAEVLLEQADPTTSCKRAERERYQLLNKQTMEVEGSRDDFSCSEPSTYMLNMETDSVPSNAPWLVGGDFNSIVSCDERLNGAIPHDGSVEDLSSTLLDCGLLDTGVDFFQNLLKAEQCDISRFDPSITTRIIFATDNEFFCATPSLQEDIIKRDLLEAVLDFFKGTPLPRGITFTTLVLLPKKQNASQWSEFHPISLCTVLNKIVTKLLANRLSKILSSIISENQSGFVNGRLISDNILLAQELIGKINARSRGGNVVLKLDMAKAYDRLNWDFLYLMMEHFALQKILAFLQEYEQVSGQQVNHQKSCFITANGCPLSRRQIKAHATGWENKVLSLGGRITLLRSVLSSLPMYLLQVLKPPAIVIEKIERLFNSFLWGDSNEGKMMHWAAWNKITFPSSEGGLDIRNLKNVFDAFTLKLWWRFYTCDSLWTHFLKTKYCLGQIPQYVQPKLHDSSIWKRMIGGRDVAIQNIRWKIGKGELFFWHDCWMGDQPLVISFPSFRNDMSSVHKFYKGDSWDVDKLRLFLPVNLIDEILLIPFDRTQQDVAYWTLTPNGEFSTWSAWETIRQRQSHNTLGSLIWHRSDTDIAAMWRYNFQLKQRAPPQIVYWRKPFTGEYKLNVGGSSRNGQHAASGGVLRDHTGKLIFGFSENIGTYNSLQGELRALHRGLLLCKDCHIEKLWIEMDALAVIQLIPHSQKGSHDIRYLLESIRKCLNNISYRILHIFREGNQTVDFLSNRGHNHQNLRVFTEAQGKLHGMLKLDRLNLPYVRF >EOY17821 pep chromosome:Theobroma_cacao_20110822:10:999025:1001853:-1 gene:TCM_042534 transcript:EOY17821 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B1 isoform 1 MKATRSRAAARKDNKETVKPVEDRTVGKRKAALRADKSSKKRTKNVKPAKKDPNKPKRPATAFFVFLEEFRKIYKQEHPKVKAVSAVGKAGGEKWKSLSDAEKAPYEAKAAKRKSEYEKLMAAYNKKQESTDDEEGAESETSKSQVNDKDDADSEEEEEEDEDDD >EOY17820 pep chromosome:Theobroma_cacao_20110822:10:998786:1001841:-1 gene:TCM_042534 transcript:EOY17820 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B1 isoform 1 MKATRSRAAARKDNKETVKPVEDRTVGKRKAALRADKSSKKRTKNVKPAKKDPNKPKRPATAFFVFLEEFRKIYKQEHPKVKAVSAVGKAGGEKWKSLSDAVMHKTSTVAPSGPGYAPITCSTTLELLWHGQANASLEKAPYEAKAAKRKSEYEKLMAAYNKKQESTDDEEGAESETSKSQVNDKDDADSEEEEEEDEDDD >EOY17822 pep chromosome:Theobroma_cacao_20110822:10:999899:1002033:-1 gene:TCM_042534 transcript:EOY17822 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B1 isoform 1 MKATRSRAAARKDNKETVKPVEDRTVGKRKAALRADKSSKKRTKNVKPAKKDPNKPKRPATAFFVFLEEFRKIYKQEHPKVKAVSAVGKAGGEKWKSLSDAVMHKTSTVAPSGPGYAPITCSTTLELLWHGQANASLVLTTGDLDIWYCIGLDGGF >EOY18599 pep chromosome:Theobroma_cacao_20110822:10:3928620:3935242:-1 gene:TCM_043103 transcript:EOY18599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTTLQSEGLKMMAFGISIFAADGLGRCRLRCATLALDIFSRAVLECTSWIIVKTTDGTCEKFSRVYLGHSTKVRSFACASSTCRSADVGTFWRFVVLTSSSLPAKVRSAKLN >EOY17931 pep chromosome:Theobroma_cacao_20110822:10:1474855:1478670:-1 gene:TCM_042624 transcript:EOY17931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline transporter 1 MIAENINEVENDKVAVEVPETAHQISTDSWFQAGFVLTTGINSVFVLGYSGTIMVPLGWVGGVVGLILATALSLYANMLVAKLHEFGGRRHIRYRDLAAYIYGRKAYSITWALQYVNLFMINIGYLILGGSALKACYILFRDDHTMKLPYFISIAGSVCILFAIATPHLSSLRIWLGCSTVLSLIYIIVACVLAAKDGIKAPPRDYGIPGTPTSRIFTTIGASANLVFAFNTGMLPEIQATVREPAVKNMLKALYFQFTMGVLPMYAVTFIGYWAYGSSTSTYLLNSVSGPVWVKAAANISAFLQSVIALHIFASPTYEYLDTKFGIRGSALKFENLCFRIAARGSYLAISTLVSALLPFLGDFESLTGALSTFPLTFILANHMYLVAKKNKLISSQKLWHWLNVAFFSLMSIAAAVAALRLIAVDSKEYHVFADL >EOY18545 pep chromosome:Theobroma_cacao_20110822:10:3783104:3786086:-1 gene:TCM_043078 transcript:EOY18545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureidoglycolate hydrolases METTKPKESEPALMKLKPIEATQESFKEYGQVIEASPDGDEFGPKDAQLDLSKGIPRFYIMHLQDRPLEFSKITHHASVTQCLGSIGGHVWYLGIAKPSIMDSEEIRSDNGKILIQSHCGHRYVPPAVDDVCVFRISGPKFLKLNRGTWHAGPLFKADTMDFYNLELSDTNVVDHTTHDFIKENGVLFSIDE >EOY18737 pep chromosome:Theobroma_cacao_20110822:10:4720959:4721798:-1 gene:TCM_043238 transcript:EOY18737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RGA2, putative MKGNEMSKKVRIFFSKSNQLSYRLKLGHRVKALSGKLEAISANRIKFGFNERLVESQIQSSEREQTHSFVRLEEVIGRDGDKNAIIELLLEINSEESIRVVPIVGIGGLGKTTLAQMAFNDEKLEHILS >EOY19060 pep chromosome:Theobroma_cacao_20110822:10:9304316:9310222:1 gene:TCM_043730 transcript:EOY19060 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR class disease resistance protein MTEFIMSYALERLGYFLIDGVKFFQGVGQEFDHVKSQLQWMKAFLKDAYAKQDKDERIRNWMAEIRTLAYDIEDIVESLALEVASKKKAGKTFAIWNQAKSKYNLGSKLEDINVRISDLRQSLKTFGLRELTIPEGSNSAIDWKTKRSSSLWKNYPHIPENPVGVDEDVNLLVKKLVGDHPGGSAEASQAGNFKDHRVVAVCGMGGIGKTTLAKKVYNHAKLRQRFDHFVWINVSQQWEKRGSWEQILFKLSPPSKEQREEIEKMTDDDIAEMVFKELQKKKCLVVLDDIWHVHAWKILSAGFPTEDTGSKIILTSRNKDVARHADPRCYIHEPRCLNEARSWELFQRVALPRLPDDQGLTYLTRLELHLSLHLPSYFSLYFSPAPSSTIIKSARNDYDLEKLGKEMVKHCKGLPLAIIVLGGLLSTKQTFDEWDKVHENIKSYLNHQDQSFSILEVLALSYDDLPQRLKPLFLYLGIFPEDFLISVKKLTNLWVAEGMIPPVSGFEGEETMEDVAYRYLDEMAQRYMVQVEKRSSTGRIKTCRMHDLMRDLCLSRAQGEDIFDVVNLNDKNRHNDFFQCLTTKMKLAGRTRRVSVNLRNFSGRIGMENEQYPLVRSILGFSLQDHSGIQQQLMESMVNKFKLLRVLDLDNVKGFQIPDEIGKLVHLRLLNVASAWIGELPSSMGDLSCLLTLYLDRRYSTSRMPDVFWKMQRLRHLYLPPDCGRKTEKLRFANLGNLQTLFNFPSRHADVKDLITLTNLRKLVIVIEDEASLGSFQEIFKPETVTFNHLRSLMIVPKRISFNSTLDVEKVAACCPRLRKLKLHGKKVYERGTNAS >EOY18359 pep chromosome:Theobroma_cacao_20110822:10:3175152:3184196:1 gene:TCM_042964 transcript:EOY18359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 4.1 isoform 1 MSYAKLAGLEPIYGLYSGFVPIFIYAIFGSSRQLAIGPVALVSLLVSNVLSGIADSSDALYTELAILLALMVGILECIMGLLRLGWLIRFISHSVISGFTTASAIVIALSQAKYFLGYDIERSSEIVPIIKSIISGADEFSWPPFVMGSIILIILQTMKHLGKSRKHLRFLRAMGPLTAVVLGTTFVKIYHPSSITLVGDIPQGLPSFSIPRSFKYAKSLIPTTLLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANIFGSFFSAYPTTGSFSRSAVNHESGAKSGLSGIVTGIIMGCALLFLTPLFEYIPQCALAAIVISAVISLVDYEEAIFLWRVDKKDFLLWTITSTTTLFLGIEIGVLVGVGVSLAFVIHESANPHIAVLGRLPGTTVYRNIQQYPEAYTYNGIVIVRIDAPIYFANISYIKDRLREYEVVVDKSTRRGPEVERIYFVILEMAPVTYIDSSAVQALKDLHHEYKSRDIQIAISNPNREVLLTLSKSRAVELIGKEWYFVRVHDAVQVCLQHVQSIKEASKTSDPSPEEKPSFFQRFLKQRGEDVLVASLESGSNSPSDSTHSDPQLEPLLFRKP >EOY18360 pep chromosome:Theobroma_cacao_20110822:10:3175284:3182632:1 gene:TCM_042964 transcript:EOY18360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 4.1 isoform 1 MPAAHALIKRPPPPPLFLCAPPASVSQFYDAPLVRMEISYASPSAGDLTYSSSTSSGSSMPNRPVKIIPLQHPDTTSYGSSGGGSSSSSSSSSSSFWSNSLFSGWGSKIRQMTIVDWIGMCFPCFRWIRTYRWREYLQVDLMAGTTVGIMLVPQAMSYAKLAGLEPIYGLYSGFVPIFIYAIFGSSRQLAIGPVALVSLLVSNVLSGIADSSDALYTELAILLALMVGILECIMGLLRLGWLIRFISHSVISGFTTASAIVIALSQAKYFLGYDIERSSEIVPIIKSIISGADEFSWPPFVMGSIILIILQTMKHLGKSRKHLRFLRAMGPLTAVVLGTTFVKIYHPSSITLVGDIPQGLPSFSIPRSFKYAKSLIPTTLLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANIFGSFFSAYPTTGSFSRSAVNHESGAKSGLSGIVTGIIMGCALLFLTPLFEYIPQCALAAIVISAVISLVDYEEAIFLWRVDKKDFLLWTITSTTTLFLGIEIGVLVGVGVSLAFVIHESANPHIAVLGRLPGTTVYRNIQQYPEAYTYNGIVIVRIDAPIYFANISYIKDRLREYEVVVDKSTRRGPEVERIYFVILEMARKSYLYHDQCSSSPKMIILCPMPN >EOY18358 pep chromosome:Theobroma_cacao_20110822:10:3175152:3184196:1 gene:TCM_042964 transcript:EOY18358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 4.1 isoform 1 MPAAHALIKRPPPPPLFLCAPPASVSQFYDAPLVRMEISYASPSAGDLTYSSSTSSGSSMPNRPVKIIPLQHPDTTSYGSSGGGSSSSSSSSSSSFWSNSLFSGWGSKIRQMTIVDWIGMCFPCFRWIRTYRWREYLQVDLMAGTTVGIMLVPQAMSYAKLAGLEPIYGLYSGFVPIFIYAIFGSSRQLAIGPVALVSLLVSNVLSGIADSSDALYTELAILLALMVGILECIMGLLRLGWLIRFISHSVISGFTTASAIVIALSQAKYFLGYDIERSSEIVPIIKSIISGADEFSWPPFVMGSIILIILQTMKHLGKSRKHLRFLRAMGPLTAVVLGTTFVKIYHPSSITLVGDIPQGLPSFSIPRSFKYAKSLIPTTLLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANIFGSFFSAYPTTGSFSRSAVNHESGAKSGLSGIVTGIIMGCALLFLTPLFEYIPQCALAAIVISAVISLVDYEEAIFLWRVDKKDFLLWTITSTTTLFLGIEIGVLVGVGVSLAFVIHESANPHIAVLGRLPGTTVYRNIQQYPEAYTYNGIVIVRIDAPIYFANISYIKDRLREYEVVVDKSTRRGPEVERIYFVILEMAPVTYIDSSAVQALKDLHHEYKSRDIQIAISNPNREVLLTLSKSRAVELIGKEWYFVRVHDAVQVCLQHVQSIKEASKTSDPSPEEKPSFFQRFLKQRGEDVLVASLESGSNSPSDSTHSDPQLEPLLFRKP >EOY17553 pep chromosome:Theobroma_cacao_20110822:10:175984:177658:-1 gene:TCM_042361 transcript:EOY17553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGSSVSMNDLDAWGPSRPHSFVGHIAHSMKSDREEYRFTRSTKRQDFLERK >EOY18943 pep chromosome:Theobroma_cacao_20110822:10:6457208:6459040:1 gene:TCM_043464 transcript:EOY18943 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L24-1 isoform 1 MVLKTELCRFSGAKIYPGKGIRFVRSDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAAEAVKKRRRTTKKPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAELMAKTQKTQGKGNVPKGAAPKGPKLGGGGGKR >EOY18942 pep chromosome:Theobroma_cacao_20110822:10:6457208:6459039:1 gene:TCM_043464 transcript:EOY18942 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L24-1 isoform 1 MVLNVCYTVLSFMNFISLRGRTELCRFSGAKIYPGKGIRFVRSDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAAEAVKKRRRTTKKPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAELMAKTQKTQGKGNVPKGAAPKGPKLGGGGGKR >EOY18323 pep chromosome:Theobroma_cacao_20110822:10:2980721:2984145:1 gene:TCM_042920 transcript:EOY18323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MEESLRRAAQEGNIVELYASIQRDGNVLRKIDEMEFVNTPLHIAAAEGCIDFAMEIMILKPSFARKLNQEGFSPIHLAVDKGHTELALQLMQNDKNLVRVKGKQGETPFHYAITREQNLDLLTRFLEACPECIQDMTTKNETPLHIATGNNRLEALELLCRMLRKSDYRQDVVNQKDRNGDTALHIAARNNQPQMLKLLLNCKADKLAKNLAGSTALDIAHELNNRESVNILHGWRRARVQEQMFKTVTKASEVIFKGMDSISSEDRNALLVILGLLLTATYQASICPPGSVWQGDSSSNSNPTVGYDKKLPGTSVMDEVDFLQFYIPAYTVFIVAFFLTLGLLKPYPHGFRTSLQVLLAFLAISFDQSVTFISPTSYNSSHILDFTWAVSILGSSLEIPKTVIFGPKQRRREGELAGAPALAKVPWMPPQVSCSRAGDLMSPYREENHWQRNCSDC >EOY18852 pep chromosome:Theobroma_cacao_20110822:10:5464373:5469177:-1 gene:TCM_043353 transcript:EOY18852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein MAFSGDFLRLIISAYIFTLLITIANGADIFLEWHVAISTSFNLFPAEQPVITINEMFPGPLINATTNDNIHVNVFNNLDEPLLFTWNGIQQRLNSWQDGVSGTNCPIQPGKNWTYVFQVKDQIGTFIYFPSINFLKAGGGFGPIRVNNRQVIQVPFPKPEAEFDLLIGDWYQKSYKEVRSMLKNSSMVYENPPDKILMNGKGSYIDDDSKAYESFTVTKGKTYRFRVSNVGTVWSFNFRIQNHQMVLVETEGSYVNQITLNSLDVHVGQSYSVLVTADQEEKDYYIVAAPKMINASEFSSLLGVGVLHYDNSTIPARGLLPSGPDPFDIQFSIDQAKSIRWNLTAGAARPNPQGTFNVSNVTLSQAFLLQGSAAEINGTPRYTVNNISYLTPDTPLKLADAYANGGSGVFELDKFPTNSSNLEAVNGVFVATGIHKGWIELVFKNDLEFIDTWHLDGFGFFVVGLGDEEWTPDSRSSYNTYDPVVRSTMQVYPGRWTAVFAYLDNPGMWNLRSQLLKNWYLGQELYLRVYDPDPDPAKERTPPENLLLCGIFGSPLPPPAPATPTTSDASSKQKTWFHIIMIFIVTIV >EOY20081 pep chromosome:Theobroma_cacao_20110822:10:24702337:24708663:-1 gene:TCM_045479 transcript:EOY20081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSDPCRVICGKTNKKERHNRGWSRQPPRFIKLNVDGSALGKPGPAGIGDALRDHEGFIRGISSHPIGPEDSNYAELIAIKKGISFFLSTPCLAVTLSL >EOY19676 pep chromosome:Theobroma_cacao_20110822:10:19820526:19821562:1 gene:TCM_044852 transcript:EOY19676 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase Rpb7-like, N-terminal domain MFLKVQLPWNVIIPADSLGAEGLMLQKAIVVRLWDDFACKKATKDLGYFIAVTTLESIGDGKVRQNTGDVPFPVVFSGITFKMFRGEILEGVVHKILKHGVFLRCGPVQNIYLSHLKMPDYHYVPGENAIFMNDKHSKIEKDVVVRFIVIGTKWLEAEREFQALFSLEGDYLGPVS >EOY17762 pep chromosome:Theobroma_cacao_20110822:10:776761:787819:1 gene:TCM_042490 transcript:EOY17762 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein MNTVQDWPEPIIRVQSLSESGLPIIPERYIKPAPDRPSFIDPEDCDANIPIIDLTGLSGDEACNLPATTLDLISLACREWGFFQVVNHGVSPVLMDQARETWRSFFHLPMEIKQAYANSPKTYEGYGSRLGIEKGAILDWSDYYFLHYLPLTLKDYKKWPTSPDSCREVIDEYGKEVVKLCGRIMKVLSMNLGLREDHLQNEFGGENFGACLRVNFYPKCPQPDLALGLSSHSDPGGLTLLLPDHEVPGLQVRKDGKWITVKPAKHAFIVNIGDQIQVLSNANYKSVEHRVIVNSAMERVSLAFFYNPKSDIPIKPVEELVSADNPALYSPMTFDEYRLFIRLRGPKGKSQVESLKSPR >EOY17761 pep chromosome:Theobroma_cacao_20110822:10:771904:775900:-1 gene:TCM_042489 transcript:EOY17761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein MADQYGVSNLMIWLPFAFAFHYKFQFHLFLLVKREGKKSHTFLPSSSPKPERPYLKSHQLAPLSPQTIALHTQTLLPRFQRTTFYLLFSLFSSSETAYRACWGMCSGSKSELVPSSIIMEGELHEPKAGAALCKCSVLLELAASDDLVAFKSEVEEKGLDLGEASFWYGRRIGSRKMGFEERTPLTIAAMFGSVDVLKYIIGSGKIDVNRACGTDGVTALHCAIAGGANSSAKIVKLLLDASADANCVDANGNKPVDLIVPALKSLSTSRRKVIELLLKGDNIVGVSDHVLNPEEEESEQIVLPQLLKEGPEKKEYPVDVSLPDINNGVYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYPYSCVPCPEFRKGACPKGDACEYAHGVFESWLHPAQYRTRLCKDEIGCTRKVCFFAHKPEELRPVYASTGSAMPSPRSAAVNAVDMATLSPLALGSSSLPLPSTSTPPMSPLAASSSPKAGGLWQNKINLTPPVLQLPGSRLKTAFSARDLDLEMELLGLENHASQLQQQQLMDEISSLSSPSCWSKEYSRLGDLKPTNLDDAFGSLDPSLLSPLKGLSVNSATPSQLQSPTGLQIRQNLHQLRASYPTNVSSSPVRKPSAFGFDSAAAVAAAVMNSRSSAFAKRSQSFVDRGALTTRAGLTAPANSATMMSSNISDWSSPDGKLDWGIQGDELNKLRKSASFGFRNNNPATTASIDMMPSNFDEPDVSWVNTLVKDVTPVGGGLQQRQQRYSGLGKGVRETLPPWVEQMYIEQEQMVA >EOY19502 pep chromosome:Theobroma_cacao_20110822:10:18201562:18205762:1 gene:TCM_044617 transcript:EOY19502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLHLDQDLIKDFDLYGEKELWEIGDLYGECNLQSGDDFYFVKTLKKKSKNGSSINHSVGTTTWMGEDSSKAIIYSPFLAVQPLGFKNRLWYKGGVSQQRLFKNGVRSGDKGRGGDRGGFGGRGRGRRDQNGGWNNGDCAKDTSFSWNKEANNGPRDRAKTWKQGGQSSIRNQSNDVKQGGWNKGTGSTNEADGLSDSNLAELLGIKEVFTIFAASKWANSHELDLESDSTNVVLWSIHHVNREANQKADDLAKSGLACSAFLFTGHLDRVGPNVLAGTIQQVTRKLMKVTIKDMALLEVGITKEQALEIKLGLGINQMLLMKAHLLVGIKIHGVRHAASSSWGQGSGSCKGGC >EOY19786 pep chromosome:Theobroma_cacao_20110822:10:22515046:22515949:-1 gene:TCM_045124 transcript:EOY19786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQFHFLHSSHSASSVVSGRLSKLKRQNANHLVFSSTSKYLRKNKTRGNDDDFDDLLESKDLDSNHHFQLRTNLPVRVKGSSLVSSRGIVEKRSKSADKDLPGVGVSAWW >EOY19679 pep chromosome:Theobroma_cacao_20110822:10:19846176:19854750:1 gene:TCM_044856 transcript:EOY19679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MNQGVYVSTMPPRRGRPPLYRSVGRGRGRARLSQPDPVERESAAPTFRAAPAVEPTEIPPPPTATPGVHAMSLEAVQALTAFLNVIMGQAQAGRVPHTVPPAVSPVPPPPPLVPPPVPDVSISKKLKEARQLGCTSFIGDLDATAAKDWITQVTETFVDMKLDDDMKLMVATRLLEKRARTWWSSVKSRSITSLTWIDFLQEFDGQYYTYFHQKEKKREFLSLQQGNLTIEEYEARFNELMSYVPDLVKSEQDQASYFEEGLRNEIRERMTVTGREPHKEVVQMALRAEKLTNENRRMRAEFAKRRNPNVSSSQLPKRGKDTFASENTVSVPVISPRPPLSQLQQRPPRFNRSGMSSTSEKSFGGLNKCEKCGRYHVGECWGIRCFHCDQSGHIRSDCPQLGRATVAAPSPLTHTDMQRRDSSRVHPRQGFEKSLKDDIAPNGIIESIKPRTSSNRNFKVKLRVSQFKDDSKWW >EOY19416 pep chromosome:Theobroma_cacao_20110822:10:17319425:17345531:-1 gene:TCM_044507 transcript:EOY19416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 5 MAAVDKYNVESAEILANSALHLPITQAAPIYEQLLSIFPTAAKYWRQYVEAQMAVNNDDATKQIFSRCLLNCLQIPLWRCYIRFIRKVNDKKGVEGQEETRKAFDFMLGYVGADIGSGPVWMEYIAFLKSLPHKMVLQAANTQEESQRMTAVRKAYQKAIVTPTHHVEQLWKDYENFENSVSRQLAKGLLSEYQPKYNSARAVYRERKKYVDEIDWNMLAVPPTDSCKEEMQWMTWKRLLAFEKGNPQRIDSASSNKRIIFTYEQCLMYLYHYPDIWYDYATWHAKSGSMDAATKVFQRALKALPDSEMLKYAYAELEESRGAIQSAKKLYESPLGNGADTTALAHIQFIRFIRRTEGVEAARKYFLDARKTPTCTYHVYVAYALMAFCLDKDPKVAHNVFEAGLKHFMHEPAYILEYADFLSCLNDDRNIRALFERALSSLPQEESIEVWKQFTQFEQTYGDLASMLKVEQRRKEALSGKSEEAASVLESSLQDVVARYSFKDLWPCTSKDLDHLSRQEWLAKNIGKKVEKSAFSNGSVTIDKNPSAPTSNSTASVKVLYPDISQMVVYDPRQHSGTAAPPNTTAPAILAASNPLSNPTISAVDSGSANAFDEVLKATPPALVAFLTNLPALEGPKPNVDIVLSICLQSDLPTGQTKKLTALPSQRTTGPAPSTSDLSGSSKSHPIPSSSSFRPRDRHLGKRKDLDRQEEDETTTVQSQPLPRDVFRIRQIQKARGGSASQTGSVSYGSALSGDLSGSTY >EOY19421 pep chromosome:Theobroma_cacao_20110822:10:17321592:17342253:-1 gene:TCM_044507 transcript:EOY19421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 5 KAFDFMLGYVGADIGSGPVWMEYIAFLKSLPAANTQEESQRMTAVRKAYQKAIVTPTHHVEQLWKDYENFENSVSRQLAKGLLSEYQPKYNSARAVYRERKKYVDEIDWNMLAVPPTDSCKEEMQWMTWKRLLAFEKGNPQRIDSASSNKRIIFTYEQCLMYLYHYPDIWYDYATWHAKSGSMDAATKVFQRALKALPDSEMLKYAYAELEESRGAIQSAKKLYESPLGNGADTTALAHIQFIRFIRRTEGVEAARKYFLDARKTPTCTYHVYVAYALMAFCLDKDPKVAHNVFEAGLKHFMHEPAYILEYADFLSCLNDDRNIRALFERALSSLPQEESIEVWKQFTQFEQTYGDLASMLKVEQRRKEALSGKSEEAASVLESSLQDVVARYSFKDLWPCTSKDLDHLSRQEWLAKNIGKKVEKSAFSNGSVTIDKNPSAPTSNSTASVKVLYPDISQMVVYDPRQHSAPPNTTAPAILAASNPLSNPTISAVDSGSANAFDEVLKATPPALVAFLTNLPALEGPKPNVDIVLSICLQSDLPTGQTKKLTALPSQRTTGPAPSTSDLSGSSKSHPIPSSSSFRPRDRHLGKRKDLDRQEEDETTTV >EOY19418 pep chromosome:Theobroma_cacao_20110822:10:17320588:17345056:-1 gene:TCM_044507 transcript:EOY19418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 5 MAAVDKYNVESAEILANSALHLPITQAAPIYEQLLSIFPTAAKYWRQYVEAQMAVNNDDATKQIFSRCLLNCLQIPLWRCYIRFIRKVNDKKGVEGQEETRKAFDFMLGYVGADIGSGPVWMEYIAFLKSLPAANTQEESQRMTAVRKAYQKAIVTPTHHVEQLWKDYENFENSVSRQLAKGLLSEYQPKYNSARAVYRERKKYVDEIDWNMLAVPPTDSCKEEMQWMTWKRLLAFEKGNPQRIDSASSNKRIIFTYEQCLMYLYHYPDIWYDYATWHAKSGSMDAATKVFQRALKALPDSEMLKYAYAELEESRGAIQSAKKLYESPLGNGADTTALAHIQFIRFIRRTEGVEAARKYFLDARKTPTCTYHVYVAYALMAFCLDKDPKVAHNVFEAGLKHFMHEPAYILEYADFLSCLNDDRNIRALFERALSSLPQEESIEVWKQFTQFEQTYGDLASMLKVEQRRKEALSGKSEEAASVLESSLQDVVARYSFKDLWPCTSKDLDHLSRQEWLAKNIGKKVEKSAFSNGSVTIDKNPSAPTSNSTASVKVLYPDISQMVVYDPRQHSGTAAPPNTTAPAILAASNPLSNPTISAVDSGSANAFDEVLKATPPALVAFLTNLPALEGPKPNVDIVLSICLQSDLPTGQTKKLTALPSQRTTGPAPSTSDLSGSSKSHPIPSSSSFRPRDRHLGKRKDLDRQEEDETTTVQSQPLPRDVFRIRQIQKARGGSASQTGSVSYGSALSGDLSGSTY >EOY19417 pep chromosome:Theobroma_cacao_20110822:10:17320538:17344752:-1 gene:TCM_044507 transcript:EOY19417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 5 MAVNNDDATKQIFSRCLLNCLQIPLWRCYIRFIRKVNDKKGVEGQEETRKAFDFMLGYVGADIGSGPVWMEYIAFLKSLPHKMVLQAANTQEESQRMTAVRKAYQKAIVTPTHHVEQLWKDYENFENSVSRQLAKGLLSEYQPKYNSARAVYRERKKYVDEIDWNMLAVPPTDSCKEEMQWMTWKRLLAFEKGNPQRIDSASSNKRIIFTYEQCLMYLYHYPDIWYDYATWHAKSGSMDAATKVFQRALKALPDSEMLKYAYAELEESRGAIQSAKKLYESPLGNGADTTALAHIQFIRFIRRTEGVEAARKYFLDARKTPTCTYHVYVAYALMAFCLDKDPKVAHNVFEAGLKHFMHEPAYILEYADFLSCLNDDRNIRALFERALSSLPQEESIEVWKQFTQFEQTYGDLASMLKVEQRRKEALSGKSEEAASVLESSLQDVVARYSFKDLWPCTSKDLDHLSRQEWLAKNIGKKVEKSAFSNGSVTIDKNPSAPTSNSTASVKVLYPDISQMVVYDPRQHSGTAAPPNTTAPAILAASNPLSNPTISAVDSGSANAFDEVLKATPPALVAFLTNLPALEGPKPNVDIVLSICLQSDLPTGQTKKLTALPSQRTTGPAPSTSDLSGSSKSHPIPSSSSFRPRDRHLGKRKDLDSKFPAVFMGQEEDETTTVQSQPLPRDVFRIRQIQKARGGSASQTGSVSYGSALSGDLSGSTY >EOY19419 pep chromosome:Theobroma_cacao_20110822:10:17321086:17342253:-1 gene:TCM_044507 transcript:EOY19419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 5 MLGYVGADIGSGPVWMEYIAFLKSLPAANTQEESQRMTAVRKAYQKAIVTPTHHVEQLWKDYENFENSVSRQLAKGLLSEYQPKYNSARAVYRERKKYVDEIDWNMLAVPPTDSCKEEMQWMTWKRLLAFEKGNPQRIDSASSNKRIIFTYEQCLMYLYHYPDIWYDYATWHAKSGSMDAATKVFQRALKALPDSEMLKYAYAELEESRGAIQSAKKLYESPLGNGADTTALAHIQFIRFIRRTEGVEAARKYFLDARKTPTCTYHVYVAYALMAFCLDKDPKVAHNVFEAGLKHFMHEPAYILEYADFLSCLNDDRNIRALFERALSSLPQEESIEVWKQFTQFEQTYGDLASMLKVEQRRKEALSGKSEEAASVLESSLQDVVARYSFKDLWPCTSKDLDHLSRQEWLAKNIGKKVEKSAFSNGSVTIDKNPSAPTSNSTASVKVLYPDISQMVVYDPRQHSGTAAPPNTTAPAILAASNPLSNPTISAVDSGSANAFDEVLKATPPALVAFLTNLPALEGPKPNVDIVLSICLQSDLPTGQTKKLTALPSQRTTGPAPSTSDLSGSSKSHPIPSSSSFRPRDRHLGKRKDLDSKFPAVFMGQEEDETTTVQSQPLPRDVFRIRQIQKARGGSASQTGSVSYGSALSGDLSGSTY >EOY19420 pep chromosome:Theobroma_cacao_20110822:10:17321593:17342252:-1 gene:TCM_044507 transcript:EOY19420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 5 KAFDFMLGYVGADIGSGPVWMEYIAFLKSLPAANTQEESQRMTAVRKAYQKAIVTPTHHVEQLWKDYENFENSVSRQLAKGLLSEYQPKYNSARAVYRERKKYVDEIDWNMLAVPPTDSCKEEMQWMTWKRLLAFEKGNPQRIDSASSNKRIIFTYEQCLMYLYHYPDIWYDYATWHAKSGSMDAATKVFQRALKALPDSEMLKYAYAELEESRGAIQSAKKLYESPLGNGADTTALAHIQFIRFIRRTEGVEAARKYFLDARKTPTCTYHVYVAYALMAFCLDKDPKVAHNVFEAGLKHFMHEPAYILEYADFLSCLNDDRNIRALFERALSSLPQEESIEVWKQFTQFEQTYGDLASMLKVEQRRKEALSGKSEEAASVLESSLQDVVARYSFKDLWPCTSKDLDHLSRQEWLAKNIGKKVEKSAFSNGSVTIDKNPSAPTSNSTASVKVLYPDISQMVVYDPRQHSGTAAPPNTTAPAILAASNPLSNPTISAVDSGSANAFDEVLKATPPALVAFLTNLPALEGPKPNVDIVLSICLQSDLPTGQTKKLTALPSQRTTGPAPSTSDLSGSSKSHPIPSSSSFRPRDRHLGKRKDLDRQEEDETTTV >EOY19661 pep chromosome:Theobroma_cacao_20110822:10:19669336:19675377:-1 gene:TCM_044828 transcript:EOY19661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVQQSMFKFRKFIMDEEERERIEDEEKVVDEEKAKKVIGIIDTGINVNHECFEDKHLPILEGKKLQIPFRRKFVALENFTKAKRPTIAPALLDYNFPEDLNGHGTACASIAAGTLIELEWLKDIYGVSNTRIQGANPFARIASYKVNGSYMVLDKSFQVLKSSLLDAIKKAIADKVDVILVALATDVKQDRASYLFDPVNLGGYLAMKENILLCTSSGNKGDDYFTLSGGLAPWVLTVGSCNSGGKFITNIELGDALKLKGYGAFIDTDGHACELIDYSECFEQDSEINKGKGIAKGVDAKERGKQIEETYPKRKKTIKEETQPQKKDWKIREEVVEGRIIYYNGDRIWPINAILDAKVAGVICVDDGMQFRSYELWRPVVYVKDGDGQQIVSYIENNSKFETKAKARIYKTVYEEEDEEVCRVSYESGKGPNSYDSYVLKPDICAPGEDILCASKYDMQNMYAHYSVMSGTSMASAVTVGLISYIRAIHKNWGPARIKSAIMTSAKSINKPADMDVLGYGNECLNPLKAIDPGLVYDISLEEFRRYLLNLDGDKEYLSSNGENIQGEEILGMDLNLPNFSLVLDERSEYIFNRTLTNVGSSQCSYKAKIIFHPRFFTNKVGRALQERFPRSIDIRVEPDVLEFNNIEQQRCFRLIVRSSGSLGNILTKVMLVRATLVWQEQKERNGRDQPHSVSSPIIIMSSHLWRLRPPIEKIAHDSMLPKKRFAEQGDVATSKGKQKAIKIGLYKASNTWNRVREKRPQELTALQHKFSTLQRCLVPLLRGRNISAAASSSQRCSAVPPYQTELTALQHQVLNAAALFSTIATRQ >EOY19086 pep chromosome:Theobroma_cacao_20110822:10:9763286:9777337:1 gene:TCM_043774 transcript:EOY19086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MQEELDQFKRNCVWSLVSRPLNHPIVGTKWVFRNKVDEQGNVVRNKARLVAQGYNQEERIDYVETFAPVARIEAIRLLLAFACFMNFKLYQMDVKSAFLNGFIQEEVYVEQPPGFEDFEKPDHVLKLHKALYELKQAPRAWYERLSKFLVEKGYVRGNIDTTLFIKKYLNDLIVFQIYVDDIVFCVTNEALCKNFAKEMQGEFDMSMMGELKYFLGLQIKQSEKGIFINQERYIQDMLKKFDMLKLKPICTLMSPSTKLDADKKGKVLIKSSIEV >EOY18152 pep chromosome:Theobroma_cacao_20110822:10:2197923:2198919:1 gene:TCM_042769 transcript:EOY18152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEKEKNKKNKKQKHQHPNDQTTKPASDFSFKPSSEVKGLRFGGQFIVKSFTIRRARPLELLKLLDFPPISKSNSNKLPFPSTTAFLPTNFTILAHHAWHTLTLGLGTKKSKVLLFVFESEAMKLAVDRIWPPEIPLGEVNKKLIRGLTGCEMARFKFRKGCITFYVYAVRKVGNMGFSCADDLRTILQSVVALKDFLDHTAMLAMPNQRSISYCPPVAMAH >EOY19170 pep chromosome:Theobroma_cacao_20110822:10:11580810:11581723:1 gene:TCM_043966 transcript:EOY19170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMIEASRERMQMLEENNRWMLETISQLTSFTLTIFQAQLVYLNGDENASYGSTPLVVNTNVNEENATNVVGVRNPNLINSFVVVMPTTTSTAIIPPTIIQSFVTMEQL >EOY19984 pep chromosome:Theobroma_cacao_20110822:10:24262104:24264445:1 gene:TCM_045388 transcript:EOY19984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVENTGNYNLLQDGVPEEFDEETNIEDESLAVRGTRSLQEIYARCSVAIIELASFTEAVKDDRWVQAMNQEMEMIKKNGTWMLVNKPTDQHIIGVKWIYKTKLNADDESTLMNCKEKLKKEFKMSDLGKMNYFLGLQFIQDPDYICLHQSKYTLELLKKFHMENCKAVESPLATNCKLSKDDGAPDAAGTSYRSLIVSLLYLTTSRPDIMFSISLLSCLMQKPSQIHYTAAK >EOY19701 pep chromosome:Theobroma_cacao_20110822:10:21061484:21081547:-1 gene:TCM_044951 transcript:EOY19701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MQTVRGGRIFCFKHSAAPATLFAEARNIVRRGRSYAASLPSDGPKRKKVSKDERRAMIESFITRYRSVNAGKFPSASAAQKEVGGSYYVVRKVLQELEYNSKVCSSNSSFENLSGKVVDKEEKSFSEVQVVSTAVRVQNDTCTEAIDDVKMLDSDDKELEAEGVLRVYSSEEETFSKGALKPQTPGSHYDFVLEENIVLKDDAKSLEKQEDAKVEDAAIDSYDKFQMVADKQKIVEVSDQHLESAEECKPESHGVQSDFVGVEGDLLKVETEVGNAEGDKKEQIASEELLNSGGPELKAEHHRQSSEEEKHARNFLSEQSDDAEFSKKSTLWGNLKSFADGIINIWRKL >EOY19703 pep chromosome:Theobroma_cacao_20110822:10:21070255:21074207:-1 gene:TCM_044951 transcript:EOY19703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 FKHSAAPATLFAEARNIVRRGRSYAASLPSDGPKRKKVSKDERRAMIESFITRYRSVNAGKFPSASAAQKEVGGSYYVVRKVLQELEYNSKVCSSNSSFENLSGKVVDKEEKSFSEVQVVSTAVRVQNDTCTEAIDDVKMLDSDDKELEAEGVLRVYSSEEETFSKGALKPQTPGSHYDFVLEENIVLKDDAKSLEKQEDAKVEDAAIDSYDKFQMVADKQKIVEVSDQHLESAEECKPESHGVQSDFVGVEGDLLKVETEVGTS >EOY19702 pep chromosome:Theobroma_cacao_20110822:10:21070991:21074528:-1 gene:TCM_044951 transcript:EOY19702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MQTVRGGRIFCFKHSAAPATLFAEARNIVRRGRSYAASLPSDGPKRKKVSKDERRAMIESFITRYRSVNAGKFPSASAAQKEVGGSYYVVRKVLQELEYNSKVCSSNSSFENLSGKVVDKEEKSFSEVQVVSTAVRVQNDTCTEAIDDVKMLDSDDKELEAEGVLRVYSSEEETFSKGALKPQTPGSHYDFVLEENIVLKDDAKSLEKQEDAKVEDAAIDSYDKFQMVADKQKIVEVSDQHLESAEECKPESHGVQSDFVGVEGDLLKVETEVGNAEGDKKEQIASEELLNSGGPELKAEHHRQSSEEEKHAR >EOY18241 pep chromosome:Theobroma_cacao_20110822:10:2496359:2501941:1 gene:TCM_042834 transcript:EOY18241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein, putative MESSLPQLFNNAKPFLAVILVQFGYAVMSIIAKFALNQGMSPHVLVAYRMAVASALIAPFAIVLERKSRPKMTLTIFAKIMLISLFEPVLDHNLYYTGLKYTTATFTTAMCNMLPTFTFAMACIFKLERVEIRRVHSQAKVVGTMVTVGGAMVMTLIKGPVLELPWTKGRNHLDQSGASGGHEHDLMKGTLMLMAGCCCWSCFIISQAVILKSYPAKLSLAALICTMGTVEGTILAFAVEWRNTSVWLFHLDSKFIAAIYGGMISAFAVTTLGSVMKKRGPVFVSAFNPLSLVIVAILGSFLLAEEICLGRVIGSIIIVIGLYLVLWGKSKDEPQSKPDGTLVRADQQMATINDGIQSSSP >EOY18663 pep chromosome:Theobroma_cacao_20110822:10:4289095:4295601:-1 gene:TCM_043158 transcript:EOY18663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific GATA-type zinc finger transcription factor family protein isoform 2 MSLLPLLFSLCFLPLSPFKTFPFLSLSLSFCLLLSRFLKRNSPHFPRNYLVFPESFFSPVTEGFFIIFYIKENMIGPTNFIDEIDCGSFFDHIDDLLDFPNEDVEAGLSASDSAVNASAFPSIWTTHSESLPGSDSVFSNNSASDLSAELSVPYEDIVQLEWLSNFVDDSQCGASLTIKKEESSSITKDSSQHQFQTSSPVSVLESSSSCSGEKTLPRSPETAAPGRRGRARSKRPRPTTFNPRPAIQLISPTSSVNENDIPQPFVVPKVPSDSENYAESRLLIKIPRQVNPEHKKKKKIKLSLPTAPADNNQNSSGQAVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSLHSNSHKKVIEMRNKGGAAPTTMVTSSPELIPNKSNPALDFM >EOY18664 pep chromosome:Theobroma_cacao_20110822:10:4289157:4292608:-1 gene:TCM_043158 transcript:EOY18664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific GATA-type zinc finger transcription factor family protein isoform 2 MIGPTNFIDEIDCGSFFDHIDDLLDFPNEDVEAGLSASDSAVNASAFPSIWTTHSESLPGSDSVFSNNSASDLSAELSVPYEDIVQLEWLSNFVDDSQCGASLTIKKEESSSITKDSSQHQFQTSSPVSVLESSSSCSGEKTLPRSPETAAPGRRGRARSKRPRPTTFNPRPAIQLISPTSSVNENDIPQPFVVPKVPSDSENYAESRLLIKIPRQVNPEHKKKKKIKLSLPTAPADNNQNSSGQAVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSLHSNSHKKVIEMRNKGGAAPTTMVTSSPELIPNKSNPALDFM >EOY19859 pep chromosome:Theobroma_cacao_20110822:10:23338935:23339865:1 gene:TCM_045241 transcript:EOY19859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRQSDPTVKMSNRCTTATQSTLITLSQWTEGKRILLKVHGRNEADQIWYLMGQKTQLCELMLDCTQRLSVAFNSKKFLYNSSHIHPISTVDHLKMEDEDIIDVIPWAKFKLCNLMLDYCDQTGVVFDDMRFLLNGSRINIDKTADDLGLEDEELIEVFCFMLGXXXXXXXXXXXVKIIALNFSNMENGG >EOY18237 pep chromosome:Theobroma_cacao_20110822:10:2456150:2462312:1 gene:TCM_042829 transcript:EOY18237 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate dehydrogenase, E1 component MVWFRASSSVGRLAIRRSLSQGGSYAVRRRNLPSQNRCFHSTIFKRKEQASPVPRAVPLSRLADSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVAQASGSPGVSGQTIHESMRLLLLVRSYQVNGHLKANLDPLCLEEREILDELDPAFYGFTETDLDREFFIGVWSMSGFLSENRPVQTLRSILTRLEQAYCGSIGYEYMHIEDREKCNWLREKIETPIPMQYNKDRRVVILDRLIWSTQFENFLATKMKTAKRFGLEGGETLIPGMKEMFDRAADMGVENIVVGMPHRGRLNVLGNVFRKPLRQIFSEFDKNSKLEDETGLYTGTGDVKYHLGTSYDRPTRGGKRFHLSLVANPSHLEAVDPVVIGKTRAKQYFSNDLDRTRNMAVLIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRSGRSSQYCTDVAKALNAPIFHVNGDNIEAVVHVCELAAQWRQTFHSDVVVDIVCYRRFGHNEIDEPFFTQPKMYKVIQKHPSALEIYKNKLLESGEVTQEDIDRIHKKVESILSEEFLNSKDYVQQRRDWLSTNWEGFKSPEQLSRIRNTGVNPDILKKVGRAITVLPEDFKPHRAVRKVYEDRARMIETGEGLDWAMGEALAFATLLVEGNHVRLSGQDVERGTFSHRHAMVHHQETGDKYCPLDHVMENQNEEMFTVSNSSLSEFGVLGFELGYSMENPDALVLWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSGENPYEIPQMDPTHRNQIQKCNWQVVNATTPANYFHVLRRQIHREFRKPLIVMSPKNLLRYKFCKSNLSEFDDVQGHEGFDKQGTRFKRLIKDQNHHSDLEKGIRRLVLCSGKVYYELDEERQRLNVNDIAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYTYIVPRLYTAMIGIGRGSVEDIKYVGRAPSAATATGFLKKHQNEQADILRLAMQPEPINCPYSSHSN >EOY19011 pep chromosome:Theobroma_cacao_20110822:10:7984909:7991842:-1 gene:TCM_043607 transcript:EOY19011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGKCDTTVTWHRSELVRHHGGNLTGEVEKALFKRNDTTALATMLSMNEEIQRRQYEDFDSLLIVQREKWAFNVVINTHCKWSQLHYITKTLQQKGEYDAITESQSMDHELWFAIGKSKVQLSKQEFCLITGLKFGPMPDVFKRQYEVATDRIHARYWNGQESVKLQALLDTFYERRLGCCHWWRTSTLGMSSHGNSITLQYLQIRMGDTEMLEPTTDEALREYFVDLDVPLLESYEYMPIGHMKDRSDWGLGVRQKRISLKKKRASSGTKRMRTTTALVDELSGLKLMDEGDDHGQVGPCADSSRTTHRSSSVVEWKRSDVYGSDDRSSSTDRFVHHELGVDIDDDILGADGEHVTHVDDVVDEAVVVDVTLQSDDAEGEHVPLPESIFDASTGRDKEPDSVMHSDAVEIRSSSPESPIVHHGAAEISNPIERARLKMASQYMASPFVAPLVTRRDVREKIVEDYEVFKKDESARCNVSILGDQRAEFFTTLEDPNEEMTSEHIDACETIRMLHTEFLTEDARATMQVPDELRGYVEGERPTYDKKWKDVDFIIAPCNVGGHWVVAKIDLVRWTIKVVDSARTLNAKDNGVRAGQMTPLTTMMPFICHQASISTTYVRRDVI >EOY20188 pep chromosome:Theobroma_cacao_20110822:10:25176304:25190036:-1 gene:TCM_045563 transcript:EOY20188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding,DNA binding,helicases,ATP binding,nucleic acid binding isoform 2 MGRKKQSNPRRSGALVIETNGNAEPDLYKQEANQNGQKGKEELVDTEKPFFVEIDKTSWHSDEHLDISEVVLIDLNLREGFAGYRISEDFYGDSKYSLRFRVCNVCEFISRIKLGHWPVLSSSDVSLEFVEKNMNDGVEMESVMLSGSFDGLDEGISSLVHLASLKFVTLRPVMGVMLSESLSSLRVRVEILKRVFENCESLMENTRQLWKKSMMNVMAWLRPEVMTSEAKYGISESMNMEVDVYPVKEEETSRPGKRARFDVSGFYEAIKPSKENSMLEDEIPDLVPVLRPYQRRAAYWMVQREKGDSRSLDEWERSMLSSPLCIPVDFLDDYSKMYFNPFGGNVSRHLESTSPYVYGGILADEMGLGKTVELLACIFAHQKPSSEGGVCKDTEAEVTMDEKISLRRLKRERVECICGAVSENRKYKGLWVQCDICDAWQHSECVGYSPRGKARKASASADEQGLQKPKRRKEITNIVVREGEHICQPCSELLQATDSPIASGATLIVCPAPILSQWHDEIIRHTRPGSLKTCVYEGVRNPSLSNASRVDINELVSADIVLTTYDVLKEDLSHDSDRHEGDRRFLRFQKRYPVIPTLLTRIFWWRICLDEAQMVESNTAAATEMAMRLYAKHHWCITGTPIQRKLDDLYGLLRFLKLSPFNVSRWWVEVIRDPYERREGGAMEFTHKLFKRIMWRSSKVHVADELQLPPQEECVSWLTFSPIEEHFYQRQHETCVSYASEVLESLKEDFLKREVPEAAKLLNSLLKLRQACCHPQVGSFGLRSLQQAPMTMEEILNVLISKTKTEGEEALRMLVSALNGLAGIAIIEEKLSQAVSLYKEALDITKEHSEDFRLDPLLNIHIHHNLAEILQMVTSLEKLPVEMQQFSGSSEKASKAHGNELCDQSSVKSQKLYDQENSEINAGNLPDIASDLSENGINNDQDSNGQCHVSSGTLNKQSLRIDCENLKQRYLSAFTTKLSAAQQEFRKSYMQVCNAFSDIKNEDTVWWLEALHHAEQNKDFSNELIRKIEEAIAGSLKNRRSLRMSSWFQSITALKYHIQTGLDLLESVRAKLLDRLLEIDKTMERPKEEDIDRVRYCRNCQVLGDGPICVHCELEDLFQDYEARLFRVNKKDGDIIISAEEAVDLQKKKSALNRFYWNLSQPNKNSTLSDVDNKELKRDVQETIVVSKSPSQLEVALGVIKSCCKGQLGKEGMLAATKQLHILEGMRKEYRHARLLAIAQAQVLNAHDEIKMATTRLHIREAENDKSIDALSPNELASASVQNTSDKFMSLTLLSNIKGKLRYLKGLVLSKNKLPMESSDNSALTQDMTTMSTSIEQKSTCLPKADGEACPVCQERLSNQKMVFQCGHITCCKCLFVMTEQRSRYWNKSQNKWVMCPICRQHTDVGNIALADDRQIKSPNSAILHTIQGGNNGEESLTVQGSYGTKIEAVTRRILWIKSADPKAKVLVFSSWNDVLDVLEHAFTANDITYIRTKGGRKSHVAISEFRGQTIGEKGIQKIHKKKPEPKFVQVLLILIQHGANGLNLLEAQHVILVEPLLNPAVEAQAISRVHRIGQENRTLVHRFIVKNTVEESIYKLNRSRNSSGFVGNTRNQDQPVLTLKDVESLFAAAPKTDEKPTESESLRNLPPSVAAAIAAERRLRGNPTA >EOY20187 pep chromosome:Theobroma_cacao_20110822:10:25175940:25190054:-1 gene:TCM_045563 transcript:EOY20187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding,DNA binding,helicases,ATP binding,nucleic acid binding isoform 2 MGRKKQSNPRRSGALVIETNGNAEPDLYKQEANQNGQKGKEELVDTEKPFFVEIDKTSWHSDEHLDISEVVLIDLNLREGFAGYRISEDFYGDSKYSLRFRVCNVCEFISRIKLGHWPVLSSSDVSLEFVEKNMNDGVEMESVMLSGSFDGLDEGISSLVHLASLKFVTLRPVMGVMLSESLSSLRVRVEILKRVFENCESLMENTRQLWKKSMMNVMAWLRPEVMTSEAKYGISESMNMEVDVYPVKEEETSRPGKRARFDVSGFYEAIKPSKENSMLEDEIPDLVPVLRPYQRRAAYWMVQREKGDSRSLDEWERSMLSSPLCIPVDFLDDYSKMYFNPFGGNVSRHLESTSPYVYGGILADEMGLGKTVELLACIFAHQKPSSEGGVCKDTEAEVTMDEKISLRRLKRERVECICGAVSENRKYKGLWVQCDICDAWQHSECVGYSPRGKARKASASADEQGLQKPKRRKEITNIVVREGEHICQPCSELLQATDSPIASGATLIVCPAPILSQWHDEIIRHTRPGSLKTCVYEGVRNPSLSNASRVDINELVSADIVLTTYDVLKEDLSHDSDRHEGDRRFLRFQKRYPVIPTLLTRIFWWRICLDEAQMVESNTAAATEMAMRLYAKHHWCITGTPIQRKLDDLYGLLRFLKLSPFNVSRWWVEVIRDPYERREGGAMEFTHKLFKRIMWRSSKVHVADELQLPPQEECVSWLTFSPIEEHFYQRQHETCVSYASEVLESLKEDFLKREVPGSICSGVTFDPLITHTEAAKLLNSLLKLRQACCHPQVGSFGLRSLQQAPMTMEEILNVLISKTKTEGEEALRMLVSALNGLAGIAIIEEKLSQAVSLYKEALDITKEHSEDFRLDPLLNIHIHHNLAEILQMVTSLEKLPVEMQQFSGSSEKASKAHGNELCDQSSVKSQKLYDQENSEINAGNLPDIASDLSENGINNDQDSNGQCHVSSGTLNKQSLRIDCENLKQRYLSAFTTKLSAAQQEFRKSYMQVCNAFSDIKNEDTVWWLEALHHAEQNKDFSNELIRKIEEAIAGSLKNRRSLRMSSWFQSITALKYHIQTGLDLLESVRAKLLDRLLEIDKTMERPKEEDIDRVRYCRNCQVLGDGPICVHCELEDLFQDYEARLFRVNKKDGDIIISAEEAVDLQKKKSALNRFYWNLSQPNKNSTLSDVDNKELKRDVQETIVVSKSPSQLEVALGVIKSCCKGQLGKEGMLAATKQLHILEGMRKEYRHARLLAIAQAQVLNAHDEIKMATTRLHIREAENDKSIDALSPNELASASVQNTSDKFMSLTLLSNIKGKLRYLKGLVLSKNKLPMESSDNSALTQDMTTMSTSIEQKSTCLPKADGEACPVCQERLSNQKMVFQCGHITCCKCLFVMTEQRSRYWNKSQNKWVMCPICRQHTDVGNIALADDRQIKSPNSAILHTIQGGNNGEESLTVQGSYGTKIEAVTRRILWIKSADPKAKVLVFSSWNDVLDVLEHAFTANDITYIRTKGGRKSHVAISEFRGQTIGEKGIQKIHKKKPEPKFVQVLLILIQHGANGLNLLEAQHVILVEPLLNPAVEAQAISRVHRIGQENRTLVHRFIVKNTVEESIYKLNRSRNSSGFVGNTRNQDQPVLTLKDVESLFAAAPKTDEKPTESESLRNLPPSVAAAIAAERRLRGNPTA >EOY18715 pep chromosome:Theobroma_cacao_20110822:10:4630783:4633989:1 gene:TCM_043212 transcript:EOY18715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKPGETITQYFSRVMAIVNKMRVHGDASKDVVIVEKILRSLTPKYNFIVCFIEESHGIDELSLDELQCSLLVHEQKLIQPNQVEQAFQVSTQASRHDKSKKKKRKGYRSVQIDNNAQRKERG >EOY18703 pep chromosome:Theobroma_cacao_20110822:10:4587772:4593501:-1 gene:TCM_043204 transcript:EOY18703 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MAKAAACHASFTSFSSSFHKFLTPRKPIVAVGFLLSFSPRVHTLSSTFPSLSIKPKRPNNSFQVRSVAAPAEDVAGFEDMVSGTQRKYYMLGGKGGVGKTSCAASLAVKFANNGHHTLVVSTDPAHSLSDSFAQDLTGGTLVPVEGPDFPLFALEINPEKAREEFRDASKNNGGTGVKDFMDGMGLGILAEQLGELKLGELLDTPPPGLDEAIAISKVMQFLESPQYSMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKIASATSAIKSVFGQEETRQNSADKLERLRERMVKVRDLFRDSDSTEFVIVTIPTVMAVNESSRLRASLEKENVPVRRLIVNQILPPSASDCKFCAVKRKDQMHALDMILNDPELSSLKLIQAPLVDMEIRGVPALKFMGDIVWK >EOY19990 pep chromosome:Theobroma_cacao_20110822:10:24266827:24273774:-1 gene:TCM_045393 transcript:EOY19990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative isoform 3 MIYYLKSWCGKRLSEVCYGCVKCKFFLHELCRDKVQRTLYHPFHPSHPLRLHSIFGSRCNACGKYFYGTEDYGTANYCCLICSFFLHFHCAKLLPTLRAKCHDHPLTYFNIMRYEWRSRFRCHVCKGFCDDNFYRCVQCDFSVHLFCVPIPSSIKHRYHRHPLTHMDKINEDDSGEYYCDVCENERNPMDHVYCCEECTFTAHADCVLNEDKISSEKDVSSSVPQSIYSNTLLVDEMEHNEVIDAIHTPRQLLNKFIFHEHSMRFYEVTEKLKENQYCEACRMVISGPYYMCETCTDDTFLLQIPKTRYYLHEKCATLPLEIQFPFHSSHRLGLYNSHFPNIICDECREVCFGFFYSCNECDFKLDVKCAALTAHKTGVSQLKEMEKVVELHHFTHPHKLVFVNFIDPSWTANCLVCGARSFGLVYVCPNSNCTYRAHKSCLELPQKIQVPFHLEHMLTFFRPEKLYDCYACHLSMHFYIYSCEQCGLKLHPSCANSLRRPLNCVSHVHNLYYFGTNFQLHFGTYSHFCRVCEKDCTGAFYRCLECAINFHLDCVPIPRIVHSKRHVHHLSMKDSFLEDDSGEFYCDICEKERCPNDHVYYCEECNGLLAVHVECVLTKVEDYTTVLMQSQ >EOY19989 pep chromosome:Theobroma_cacao_20110822:10:24267037:24274304:-1 gene:TCM_045393 transcript:EOY19989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative isoform 3 MKNPGQEDFLQDVSMPCAAAGCGMLALIYRIIKENSRNFRLYSCDECNFNLDLACASSTNDLLLEEKWQRLKDGKKKEIQHYCHLHKLAIFKYRKIGADDYNCSWCGKRLSEVCYGCVKCKFFLHELCRDKVQRTLYHPFHPSHPLRLHSIFGSRCNACGKYFYGTEDYGTANYCCLICSFFLHFHCAKLLPTLRAKCHDHPLTYFNIMRYEWRSRFRCHVCKGFCDDNFYRCVQCDFSVHLFCVPIPSSIKHRYHRHPLTHMDKINEDDSGEYYCDVCENERNPMDHVYCCEECTFTAHADCVLNEDKISSEKDVSSSVPQSIYSNTLLVDEMEHNEVIDAIHTPRQLLNKFIFHEHSMRFYEVTEKLKENQYCEACRMVISGPYYMCETCTDDTFLLQIPKTREVCFGFFYSCNECDFKLDVKCAALTAHKTGVSQLKEMEKVVELHHFTHPHKLVFVNFIDPSWTANCLVCGARSFGLVYVCPNSNCTYRAHKSCLELPQKIQVPFHLEHMLTFFRPEKLYDCYACHLSMHFYIYSCEQCGLKLHPSCANSLRRPLNCVSHVHNLYYFGTNFQLHFGTYSHFCRVCEKDCTGAFYRCLECAINFHLDCVPIPRIVHSKRHVHHLSMKDSFLEDDSGEFYCDICEKERCPNDHVYYCEECNGLLAVHVECVLTKVEDYTTVLMQSQ >EOY19991 pep chromosome:Theobroma_cacao_20110822:10:24265923:24273774:-1 gene:TCM_045393 transcript:EOY19991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative isoform 3 MIYYLKRSGRDLKTARCYGCVKCKFFLHELCRDKVQRTLYHPFHPSHPLRLHSIFGSRCNACGKYFYGTEDYGTANYCCLICSFFLHFHCAKLLPTLRAKCHDHPLTYFNIMRYEWRSRFRCHVCKGFCDDNFYRCVQCDFSVHLFCVPIPSSIKHRYHRHPLTHMDKINEDDSGEYYCDVCENERNPMDHVYCCEECTFTAHADCVLNEDKISSEKDVSSSVPQSIYSNTLLVDEMEHNEVIDAIHTPRQLLNKFIFHEHSMRFYEVTEKLKENQYCEACRMVISGPYYMCETCTDDTFLLQIPKTRYYLHEKCATLPLEIQFPFHSSHRLGLYNSHFPNIICDECREVCFGFFYSCNECDFKLDVKCAALTAHKTGVSQLKEMEKVVELHHFTHPHKLVFVNFIDPSWTANCLVCGARSFGLVYVCPNSNCTYRAHKSCLELPQKIQVPFHLEHMLTFFRPEKLYDCYACHLSMHFYIYSCEQCGLKLHPSCANSLRRPLNCVSHVHNLYYFGTNFQLHFGTYSHFCRVCEKDCTGAFYRCLECAINFHLDCVPIPRIVHSKRHVHHLSMKDSFLEDDSGEFYCTESTD >EOY19633 pep chromosome:Theobroma_cacao_20110822:10:19387073:19389182:-1 gene:TCM_044774 transcript:EOY19633 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 MAAQSIPSPPPHQSQSSPPTPASMQFESRPAISLQQPSNKKGSSKSSKLFKRFRSVFRSFPIITPMCKIPVTLHGNRPQDNHIHGGTRMTGTLFGYRKARVNLAIQENPRCLPILVLELAITTGKLLQDMGLGLVRIALECEKRPTEKTKILDEPIWTLFCNGKKSGYGVKREPTDEDLMVMQTLHPVSMGAGVIPSEAKENPDGELTYMRANFERVINSRDSETYYMMNPDGNSGPELSIFFVRIG >EOY18634 pep chromosome:Theobroma_cacao_20110822:10:4122270:4124908:-1 gene:TCM_046956 transcript:EOY18634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin 1 MNRKIIGLEEGLGYMQDGITKIERILEGRPEPPFNSEEYMKLYTTIYNMCLQTPPDDHTQQLYDKYRQAIQGYITSTVLPSIRAKHDEFMLKELVKRWANHKVMLRWLSHLFYYLDRHLIACRSLPSLKEVGLLYFRDLVYRDVHVKVRDAVITLIDKEREGEQVDRALLKNVLDFFVEIGMGQMNRYEEDFEAHMLQDTGAYYSRKASNWILEDSCPDYMLKSEECLKKERDRVGHYLHSSSETKLSERAQHELLVTHVNQLLDKEHSGCRVLLRDDKVEDLSRMYRLYSKIPLGLKLVADIFKQHITAEGIALVQQAEDAASSKASNAAAGVQEQVLIRKIIELHDKYVEYVTDCFENHALFHKALEEAFEVVCNETVAGSSSAELLTTFCDNVLKKSGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKTLARRLLFDRSANDDRERSILTKLKQQRGGQFTSKMEGMVTDLTLARENQANFEDYLRSNSAARPGIDLTVTVLTTGFWPSYKSSDLNLPAEMVKCVEVFKGFYETKTKHRKLTWIYSLGTCHISGKFEQKTIELIVSTYQAAVLLLFNASDRLSYSEIMAQLNLTHDDLVRLLHSLSCAKYQILSKEPNTKTISQSDYFEFNSKFTDKLRRIKIPLPPVDERKQVVDDVGKQRRYAIDAAIVRVMKSRKVLGYQQLVSECVEQLSRMFKPDIKAIKKQIEDLITQEYLERDKENANMFKYLA >EOY17743 pep chromosome:Theobroma_cacao_20110822:10:721103:737329:1 gene:TCM_042482 transcript:EOY17743 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin ligase isoform 2 MPVGVRQLTVLGEFKPFGLIAEALDGKPPDNSADNYDYLLFDPEIARQRDENLDNDASASALSDRRDHELFIRGNRIIWSVGSRVFKRFTLPSPVIKACWCRMGDMSEALLCVLQIDSLTIYNISGEVVSIPLPYSIISIWSLPFGLLLQQVADGNSLTHGPFKFSSPSLGSRDIIRNRRESGYSPQHSFSFLTAYDHLIKGESSSMSSHLILKDLLEEPQSIYIEERGKLNIMRDFDERTIWTSDLIPLMASYNKVKMQHSVWVAEVINSSLEVENASVSAIVPTGVLPKRFCFRRIWQGKGAHTAASKVFLATDDDAAPVICFLLLEQKKLLSLRLQTVEINNEILFDVKPDMSWSIPAIAAAPVIVTRPGVKVGPLLYTDIIVLAPENILLLYSGKLCLCRYLLPTCLGRGNLSHNIGFSGAASVPPHDLKIVGLADAVEAHINVKVNNRQMFRCALRRSPSSSLANDCITAMAEGLSPSFYNHFLVLLWGDGDSGYLSEANSTVGSEWNAFCDIIMQMCKKSSVVSQEIPKSSWEFLLNSKFHENYLKINSIIGLSSRIALDRPGLDSIRSNIDGSKNSEKSFYFDLLMESLDSLHAVYESLKMDNLRRRDLELLAILLCNIAKFLGEECYLDHYVRDFPALSKTVRMGTNSLSRKTPFSLFRWLENCLQHGCTPANSNYLPLVICKDGSSVVSWARKIVSFYSLLCGAKLIGKKLSSGVSCNIASGSFCSNEELTVLAMVGEKFGLKELDSLPSGVSLPLRHALDKCRESPPAGWPAAAYVLLGREDLALSCLAHSCKFKELETQTNVNLVSMSTPYMLHLHPVTIPSTVSDTIIPESTKFEDTDSIDGSMADGMEHIFSCCTQLRYGRDLRLNEVRRLLCSARPVAIQTSVNPSASDQDLQQAQLWQLAQRTTALPLGRGAFTLATIYTLLTEAFTVPKLVLAGRLPAQQNATVNLDPSIRNIQELKSLPEFHNAVAAGLRLAPLQGKVSRTWIVYNKPEEPNVIHAGLLLALGLHGFLHVLTITDIYQYFSQEHESTTVGLMLGLAASYRGTMQPAISKCLYVHIPAQHPSSFPELELPTLLQTAALMSVGLLFEGSAHPQTMQTLLGEIGRRSGGDNVLEREGYAVSAGFSLGLVALGRGEDALGFMDTVVDRLFHYIGGKEIRNERSLLLAPSMDENNRGAGQMMDGTTVNVDVTAPGAIIALALMFLKSESEVIVSRLTIPQTHFDLQYVRPDFIMLRVIARNLIMWARIHPSKDWIQSQIPEIVKNGVKGLRDDTMDIDEMDAETFVQAYVNIVAGACISLGLKFAGTKDANAQELLYEYAVYFLNEIKPISTTSGNTFPKGLSQYVDRGTLEICLHLVVLSLSVVMAGSGHLQTFRLLRFLRNRSSIDGHANYGIQMAVSLAIGFLFLGGGMRTFSTSNSSVAALLITLYPRLPTGPNDNRCHLQAFRHMYVLATEARWLQTVDVDTGLPVYAPLEVTIRETEHYSETSFCEVTPCILPERSVLKTVRVCGPRYWPQVIELVPEDKPWWSFTDRNDPFNSGILHVKRKVGACSYVDDPIGCQSLLSRAMHKVFGLTTLTASNPSNNSNNGPAAVTVDQLVSTFSSDPSLIAFAQLCCDLSWNSRYDADFQEFCLQVLFECISKDRPALLQVYLSLYATIGSLAEQVSSSTVVVSNSLSVSSLKLALSYNEAVLSGRLTTSRGGIVQSIFLGSLRKRVEELLNCSEALKDDLRNYLNLGRWPSDPSFGVKSPALLSWYLQWFGVPAPPIIKTAVDKIKPKNISSSAAPLLRLLLPGTHVNAIEEIDRILFSS >EOY17745 pep chromosome:Theobroma_cacao_20110822:10:721103:737329:1 gene:TCM_042482 transcript:EOY17745 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin ligase isoform 2 MPVGVRQLTVLGEFKPFGLIAEALDGKPPDNSADNYDYLLFDPEIARQRDENLDNDASASALSDRRDHELFIRGNRIIWSVGSRVFKRFTLPSPVIKACWCRMGDMSEALLCVLQIDSLTIYNISGEVVSIPLPYSIISIWSLPFGLLLQQVADGNSLTHGPFKFSSPSLGSRDIIRNRRESGYSPQHSFSFLTAYDHLIKGESSSMSSHLILKDLLEEPQSIYIEERGKLNIMRDFDERTIWTSDLIPLMASYNKVKMQHSVWVAEVINSSLEVENASVSAIVPTGVLPKRFCFRRIWQGKGAHTAASKVFLATDDDAAPVICFLLLEQKKLLSLRLQTVEINNEILFDVKPDMSWSIPAIAAAPVIVTRPGVKVGPLLYTDIIVLAPENILLLYSGKLCLCRYLLPTCLGRGNLSHNIGFSGAASVPPHDLKIVGLADAVEAHINVKVNNRQMFRCALRRSPSSSLANDCITAMAEGLSPSFYNHFLVLLWGDGDSGYLSEANSTVGSEWNAFCDIIMQMCKKSSVVSQEIPKSSWEFLLNSKFHENYLKINSIIGLSSRIALDRPGLDSIRSNIDGSKNSEKSFYFDLLMESLDSLHAVYESLKMDNLRRRDLELLAILLCNIAKFLGEECYLDHYVRDFPALSKTVRMGTNSLSRKTPFSLFRWLENCLQHGCTPANSNYLPLVICKDGSSVVSWARKIVSFYSLLCGAKLIGKKLSSGVSCNIASGSFCSNEELTVLAMVGEKFGLKELDSLPSGVSLPLRHALDKCRESPPAGWPAAAYVLLGREDLALSCLAHSCKFKELETQTNVNLVSMSTPYMLHLHPVTIPSTVSDTIIPESTKFEDTDSIDGSMADGMEHIFSCCTQLRYGRDLRLNEVRRLLCSARPVAIQTSVNPSASDQDLQQAQLWQLAQRTTALPLGRGAFTLATIYTLLTEAFTVPKLVLAGRLPAQQNATVNLDPSIRNIQELKSLPEFHNAVAAGLRLAPLQGKVSRTWIVYNKPEEPNVIHAGLLLALGLHGFLHVLTITDIYQYFSQEHESTTVGLMLGLAASYRGTMQPAISKCLYVHIPAQHPSSFPELELPTLLQTAALMSVGLLFEGSAHPQTMQTLLGEIGRRSGGDNVLEREGYAVSAGFSLGLVALGRGEDALGFMDTVVDRLFHYIGGKEIRNERSLLLAPSMDENNRGAGQMMDGTTVNVDVTAPGAIIALALMFLKSESEVIVSRLTIPQTHFDLQYVRPDFIMLRVIARNLIMWARIHPSKDWIQSQIPEIVKNGVKGLRDDTMDIDEMDAETFVQAYVNIVAGACISLGLKFAGTKDANAQELLYEYAVYFLNEIKPISTTSGNTFPKGLSQYVDRGTLEICLHLVVLSLSVVMAGSGHLQTFRLLRFLRNRSSIDGHANYGIQMAVSLAIGFLFLGGGMRTFSTSNSSVAALLITLYPRLPTGPNDNRCHLQAFRHMYVLATEARWLQTVDVDTGLPVYAPLEVTIRETEHYSETSFCEVTPCILPERSVLKTVRVCGPRYWPQVIELVPEDKPWWSFTDRNDPFNSGILHVKRKVGACSYVDDPIGCQSLLSRAMHKVFGLTTLTASNPSNNSNNGPAAVTVDQLVSTFSSDPSLIAFAQLCCDLSWNSLKDDLRNYLNLGRWPSDPSFGVKSPALLSWYLQWFGVPAPPIIKTAVDKIKPKNISSSAAPLLRLLLPGTHVNAIEEIDRILFSS >EOY17744 pep chromosome:Theobroma_cacao_20110822:10:721103:739003:1 gene:TCM_042482 transcript:EOY17744 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin ligase isoform 2 MPVGVRQLTVLGEFKPFGLIAEALDGKPPDNSADNYDYLLFDPEIARQRDENLDNDASASALSDRRDHELFIRGNRIIWSVGSRVFKRFTLPSPVIKACWCRMGDMSEALLCVLQIDSLTIYNISGEVVSIPLPYSIISIWSLPFGLLLQQVADGNSLTHGPFKFSSPSLGSRDIIRNRRESGYSPQHSFSFLTAYDHLIKGESSSMSSHLILKDLLEEPQSIYIEERGKLNIMRDFDERTIWTSDLIPLMASYNKVKMQHSVWVAEVINSSLEVENASVSAIVPTGVLPKRFCFRRIWQGKGAHTAASKVFLATDDDAAPVICFLLLEQKKLLSLRLQTVEINNEILFDVKPDMSWSIPAIAAAPVIVTRPGVKVGPLLYTDIIVLAPENILLLYSGKLCLCRYLLPTCLGRGNLSHNIGFSGAASVPPHDLKIVGLADAVEAHINVKVNNRQMFRCALRRSPSSSLANDCITAMAEGLSPSFYNHFLVLLWGDGDSGYLSEANSTVGSEWNAFCDIIMQMCKKSSVVSQEIPKSSWEFLLNSKFHENYLKINSIIGLSSRIALDRPGLDSIRSNIDGSKNSEKSFYFDLLMESLDSLHAVYESLKMDNLRRRDLELLAILLCNIAKFLGEECYLDHYVRDFPALSKTVRMGTNSLSRKTPFSLFRWLENCLQHGCTPANSNYLPLVICKDGSSVVSWARKIVSFYSLLCGAKLIGKKLSSGVSCNIASGSFCSNEELTVLAMVGEKFGLKELDSLPSGVSLPLRHALDKCRESPPAGWPAAAYVLLGREDLALSCLAHSCKFKELETQTNVNLVSMSTPYMLHLHPVTIPSTVSDTIIPESTKFEDTDSIDGSMADGMEHIFSCCTQLRYGRDLRLNEVRRLLCSARPVAIQTSVNPSASDQDLQQAQLWQLAQRTTALPLGRGAFTLATIYTLLTEAFTVPKLVLAGRLPAQQNATVNLDPSIRNIQELKSLPEFHNAVAAGLRLAPLQGKVSRTWIVYNKPEEPNVIHAGLLLALGLHGFLHVLTITDIYQYFSQEHESTTVGLMLGLAASYRGTMQPAISKCLYVHIPAQHPSSFPELELPTLLQTAALMSVGLLFEGSAHPQTMQTLLGEIGRRSGGDNVLEREGYAVSAGFSLGLVALGRGEDALGFMDTVVDRLFHYIGGKEIRNERSLLLAPSMDENNRGAGQMMDGTTVNVDVTAPGAIIALALMFLKSESEVIVSRLTIPQTHFDLQYVRPDFIMLRVIARNLIMWARIHPSKDWIQSQIPEIVKNGVKGLRDDTMDIDEMDAETFVQAYVNIVAGACISLGLKFAGTKDANAQELLYEYAVYFLNEIKPISTTSGNTFPKGLSQYVDRGTLEICLHLVVLSLSVVMAGSGHLQTFRLLRFLRNRSSIDGHANYGIQMAVSLAIGFLFLGGGMRTFSTSNSSVAALLITLYPRLPTGPNDNRCHLQAFRHMYVLATEARWLQTVDVDTGLPVYAPLEVTIRETEHYSETSFCEVTPCILPERSVLKTVRVCGPRYWPQVIELVPEDKPWWSFTDRNDPFNSGILHVKRKVGACSYVDDPIGCQSLLSRAMHKVFGLTTLTASNPSNNSNNGPAAVTVDQLVSTFSSDPSLIAFAQLCCDLSWNSRYDADFQEFCLQVLFECISKDRPALLQLALSYNEAVLSGRLTTSRGGIVQSIFLGSLRKRVEELLNCSEALKDDLRNYLNLGRWPSDPSFGVKSPALLSWYLQWFGVPAPPIIKTAVDKIKPKNISSSAAPLLRLLLPGTHVNAIEEIDRILFSS >EOY18828 pep chromosome:Theobroma_cacao_20110822:10:5245264:5251767:1 gene:TCM_043324 transcript:EOY18828 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein with retrovirus zinc finger-like domain isoform 2 MAGKEENRIFVGGLSWDVTERQLEHAFSRFGKILESQIMLERDTRRPRGFGFITFADRRSMDEAIREMHGREFGERIISVNKAQPKMGEDLDHGYRGYSSSGRGGYAGGDRPVGQDECFKCGRFGHWARDCPSAGGGGRGGSGGMFSSRSRYGGADDRGDRFRDRDRYVDDRYDGGRYGDRDRFDSRDDRYGSRDRYIGDRYPPSGDRFGDRYGGSDHFPQNGYGKERAFRDVASRSGDRYGSGGPARNDGRSYRNRGGPYDRPGRGGRPSSFDRY >EOY18829 pep chromosome:Theobroma_cacao_20110822:10:5245763:5251184:1 gene:TCM_043324 transcript:EOY18829 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein with retrovirus zinc finger-like domain isoform 2 MAGKEENRIFVGGLSWDVTERQLEHAFSRFGKILESQIMLERDTRRPRGFGFITFADRRSMDEAIREMHGREFGERIISVNKAQPKMGEDLDHGYRGYSSSGRGGYAGGDRPVGQDECFKCGRFGHWARDCPSAGGGGRGGSGGMFSSRSRYGGADDRGDRFRDRDRYVDDRYDGGRYGDRDRFDSRDDRYPPSGDRFGDRYGGSDHFPQNGYGKERAFRDVASRSGDRYGSGGPARNDGRSYRNRGGPYDRPGRGGRPSSFDRY >EOY18192 pep chromosome:Theobroma_cacao_20110822:10:2351193:2352935:-1 gene:TCM_042807 transcript:EOY18192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligosaccaryltransferase MRSHSGVDIALDSGSKGRGFESHCDRHPFNRFCILSLVKFSMIDDQQLGFLANFLGIFIFALVIAYHYVMADPKYDGN >EOY20065 pep chromosome:Theobroma_cacao_20110822:10:24646143:24652736:-1 gene:TCM_045465 transcript:EOY20065 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLC ATP/ADP transporter MKMIELIGRRRLDAFISIFVTVHPHEIPALLHSSSCFFFVLCAYFVVLPLRDEGAISLGLANLPGLFVGSLLLTLIAAPVSTLIFSLPNLSKVKALVLIHRFFSVSLVVFFILWHTSSTESMRSQSKDSVAFSTELKVKIDQASPVDSIGWGNHGWFYVSVRIGLFLWVSLLNLITISSTWARVIDVMDNESGSRLFGFIGAGATLGQLFGSLFATGMAWLGSFLLLFAAILMELAAQSSKGISKDIAHLPEELSPIRKADLDQQKDADDEQTASAVKVSSPKLTTSRVEPQLWAILDGLRLILSSTYLLHVSLFLWLSAVVSSFFYFQKVNVIAMTVASSVGRRRLFAQINSFIAVFILTGQLTLTGRILTVAGVTIALCCTPFVAFSNLVAVAIWPTWKVVALSETLRKVVNYVVTKPGRELLFTVVSEDEKYKAKICIDVIVQRLGDATAAGIYKLLFSILNGRISTVSLYALPVCLLWIVTAFYLGHRQTQLAKLQIGSSS >EOY19358 pep chromosome:Theobroma_cacao_20110822:10:16692656:16699656:1 gene:TCM_044434 transcript:EOY19358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNIEGGRHRQYTGLGTKRAIRICAWLTLMPFTALVTFIIKDRKIDCPFLHPNAILELKTQLINSVFIDKIE >EOY18594 pep chromosome:Theobroma_cacao_20110822:10:3909602:3914464:1 gene:TCM_046955 transcript:EOY18594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein MSNDSQTQSLVFGLPWPELNDGLFYDDVIKPSDSELTLIEFYSSKYKNSAPSQGWLQRIQNGQISVDGRVVRDPNTILRGSSELVYHRLPWKEPDAPHALEVLYEDDDMIALNKPSGLQVLPGGLFQQRTVLTQLSWRMRKQTSSLASQESHPVPVHRLGRGTSGILLCAKTKAAKTCLAAYFADGTSLIGANSNRKMQPSCTRKISKIYRALVTGILGEDKVVINQPIGIVQYPGVAKGLYVASPSGKPALSKVEVLEKDEQQNHTLVQVQIESGRPHQIRIHLSFIGHPLLGDPLYIAGGQPRCFDSEFVDENYAQDGGYQRPVNPVPGDCGYYLHAHRLLLSHPTTDEIINITAPLPPVLQTQDEVRRSRESQSI >EOY17540 pep chromosome:Theobroma_cacao_20110822:10:146311:150165:1 gene:TCM_042351 transcript:EOY17540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase superfamily isoform 1 MLWRMFHNQIWISLSRYRTATGSNRIVDKGLEFDQVDRERNWDDQILFNAILFYLGNKYVPGSSHLPLWRTDGVILTILLHAGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFALFAIPLSTIALSGTASIAAIAGYITYVDLMNNMGHCNFELIPNWLFSVFPPLKYLMYTPSYHSLHHTQFRTNYALFMPFYDYIYGTMDKSSDTLYENSLERKEESPDVVHLTHLTTPESIYHLRLGFASLASKPYSSTWYLCLLWPLTFWFMILTCFYGRTFVVERSRFDKIRLQTWAIPKYKIQYHLKWQKESINNLIQEAILEAKEKGARVLSLGLLNQVEELNRYGELYVHKHPQLKVKLVDGSSLAVAVVLNSIPKGTTQVLLRGNLSKVAYAVAFALCQKGIQVVGHPFACYCSLLGSPKKGQVTPSAYNANCQLRLTFCPFFICLTPLEALTLIMHCFLTVSHFLISS >EOY17539 pep chromosome:Theobroma_cacao_20110822:10:146236:151513:1 gene:TCM_042351 transcript:EOY17539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase superfamily isoform 1 MASKPGILTDWPWTPLGSFKYIILVPWITESIYSFIANDENERDFSNLSIFPFMLWRMFHNQIWISLSRYRTATGSNRIVDKGLEFDQVDRERNWDDQILFNAILFYLGNKYVPGSSHLPLWRTDGVILTILLHAGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFALFAIPLSTIALSGTASIAAIAGYITYVDLMNNMGHCNFELIPNWLFSVFPPLKYLMYTPSYHSLHHTQFRTNYALFMPFYDYIYGTMDKSSDTLYENSLERKEESPDVVHLTHLTTPESIYHLRLGFASLASKPYSSTWYLCLLWPLTFWFMILTCFYGRTFVVERSRFDKIRLQTWAIPKYKIQYHLKWQKESINNLIQEAILEAKEKGARVLSLGLLNQVEELNRYGELYVHKHPQLKVKLVDGSSLAVAVVLNSIPKGTTQVLLRGNLSKVAYAVAFALCQKGIQVAVLREDEYEKLNKSLGTKSEGNLVISKGYFYKTWLVGDDLSEEEQRKATKGTLFIPFSQFPPKKLRKDCFYHTTPAMQTPMSLENVDSCENWLPRRVMSVWRIAGIVHALEGWEEHECGYTMSNIEKVWEASVKHGFQPLRVPTQSKS >EOY19481 pep chromosome:Theobroma_cacao_20110822:10:18073101:18080213:1 gene:TCM_044597 transcript:EOY19481 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAD1/UNC-84 domain protein 2, putative MSASNVSISATPAAVARRRPVVVGEKKSSIELLTAEPNPNGVNIGDDKAGAAAAAGHSRDLSHHSVRGEASKDTVQARKSALGQNSNATLRRTRKGVANKTEKPRWLTVVSIFIKNLVLLLVLVGLVQIIRRLALKTGEVSGVGTQMELTEFEGRVAEVESFLKTTAKMIQVQVEVVDRKIENEIGGLRRELNERIDDQIGVVESSLKKLEEKSEGLDKSLSELRSSNLLTKEEFDKLYEQLIKEKGENGDNENAVSLSDLGAYAREIVKNEIEKHASDGLARADYALFSGGGKVVRHSEPLLVGKGSNWFLKSSQNGVHRDADKMLKPSFGEPGQCFPLKGSNGFVQIKLRTAIIPEAITLEHVAKSVAYDRSSAPKDCCVSGWQQGRDLDSPVDGYKMFRLAEFTYDLEKSSAQTFDVLDAAGVGIIDTVRLDFSSNYGSPSHTCIYRLRVHGHEPDSVSVVKM >EOY18015 pep chromosome:Theobroma_cacao_20110822:10:1804309:1805567:-1 gene:TCM_042688 transcript:EOY18015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein, putative isoform 2 MALASIVRKSANSLAPLAIRLTRVQRNYHSCVFTALNHSFQSQKSGVNRFCPNILHFSTAVDSKKTSSDESLLRVLESEIQCAEESDEHDQVRTPSGFPFKIEDTPGLQTVTLTREYDGEVIKVEVHMPDLVTGDEQEEDEDNDEGDYEKPSKSSIPLAVTVSKKGGPSLEFNCTAFPDEVAIDSLTVRNPDSEDVLAYEGPDFHDLDENLQKAFHKYLEIRGIKPSTTNFLHEYMINKDGREYLRWLKNLKKF >EOY18014 pep chromosome:Theobroma_cacao_20110822:10:1803797:1805578:-1 gene:TCM_042688 transcript:EOY18014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein, putative isoform 2 MALASIVRKSANSLAPLAIRLTRVQRNYHSCVFTALNHSFQSQKSGVNRFCPNILHFSTAVDSKKTSSDESLLRVLESEIQCAEESDEHDQVEGTPSGFPFKIEDTPGLQTVTLTREYDGEVIKVEVHMPDLVTGDEQEEDEDNDEGDYEKPSKSSIPLAVTVSKKGGPSLEFNCTAFPDEVAIDSLTVRNPDSEDVLAYEGPDFHDLDENLQKAFHKYLEIRGIKPSTTNFLHEYMINKDGREYLRWLKNLKKFIEE >EOY18026 pep chromosome:Theobroma_cacao_20110822:10:1821609:1822849:-1 gene:TCM_042694 transcript:EOY18026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPFSFCYVCCQILCKGQEFPRCQIKTDSAEYVFLAKFSFRLLGIFQFNCF >EOY19097 pep chromosome:Theobroma_cacao_20110822:10:10185434:10186676:1 gene:TCM_043821 transcript:EOY19097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function isoform 2 MQKMKNLGSMGSSGRSSMEENIEDEEISRLAISTFQAREEEIERKKMEVKEKVEIQLGRAEEETRRLSMIWEELEVLSDPLRKEVAMVRKKIDMANRELKPLGQSCQKKIQCTTQVRFLLGCRRKNIKKPWKLSTKRTKKRHSWLLL >EOY19096 pep chromosome:Theobroma_cacao_20110822:10:10185349:10186471:1 gene:TCM_043821 transcript:EOY19096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function isoform 2 MQKMKNLGSMGSSGRSSMEENIEDEEISRLAISTFQAREEEIERKKMEVKEKVEIQLGRAEEETRRLSMIWEELEVLSDPLRKEVAMVRKKIDMANRELKPLGQSCQKKEKEYKEALEAFNEKNKEKAQLVTTLMEMLTESERLRMKKLEELSKNIESIP >EOY18900 pep chromosome:Theobroma_cacao_20110822:10:6005978:6017521:-1 gene:TCM_043407 transcript:EOY18900 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 1 MGRNSSPPILDGNGNENGKNKNSDNNNDDDQGFYSIRDRLPFKRNPIHTRDRTKQSSLLDRPLVRNRPRFNRKGFLLFPLRGIHLFYFLIFFSVFAFAMASMLMQSSIAAVVFRQGGERGWRKSVREGLRLGSTLKFMPAGMSRWVAEGGGLDRMRSTARIGVRGPRLALILGNMKKDPQSLMMLTVVKSLQRLGYVIKIYAVANGKAHAMWEHISGQISFLGPEQFVHIDWSIFEGVIADSLEAKEAISSLMQEPFDTVPLIWIIQEDTLATRLPVYEEMGLEHLVSHWKSAFTRANVIVFPDFTLPMLYSMLDTGNFLVIPGSPVDVWGAESYSKTHAKHQLRKDNGFSMDDMVVLVVGSSFFYDELSWDYAVAMHTIGPLLMRYTRRNDAGGSFKFIFLSGNSTDGYHDALQQVASRLGLTQGSVRHYGLDGDVNGVLLMADIVLYGTSQEEQGFPSLIIRAMTFGIPVITPDFPIMKKYVVDGTHGVFFPKHQPDALLRAFSLLISNGRLSRFAQTVASSGRLLAKNILASECITGYASLLENLLNFPSDVLLPAPVSQLRLGSWEWNVFGMEIEHGTGDISRYFSVVYALEEEFTKHTISSDISQYGAEIQDQDIPTEQDWDIVTEIENFEDYERLEMDEVEERMERNPGVWDDIYRNARRSEKLKFEANERDEGELERTGQPVCIYEIYSGAGAWPFLHHGSLYRGLSLSRKARRLRSDDVDAVGRLPVLNDTHYRDLLCEVGGMFSIANRVDNIHKRPWIGFQSWRAAGRKVSLSTRAEEVLEETIQGSKRDVMYFWARLDIDGGGAGTNDALTFWSMCDLLNAGHCRTAFESAFRKMYILPSDTEALPPMPKDDGHWSALHSWVMPTTSFLEFVMFSRMFVDSLDALHTNSGEVNLCLLGSSELEKKHCYCQVLELLVNVWAYHSGRRMVYIEPHSGLLEEQHPVDQRKEFMWARYFNFTLLKSMDEDLAEAADDEDHPRKMWLWPLTGEVHWQGIYEREREERYRLKMDKKRKTKEKLFERMKNGYKQRSLG >EOY18901 pep chromosome:Theobroma_cacao_20110822:10:6007687:6016178:-1 gene:TCM_043407 transcript:EOY18901 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 1 MGRNSSPPILDGNGNENGKNKNSDNNNDDDQGFYSIRDRLPFKRNPIHTRDRTKQSSLLDRPLVRNRPRFNRKGFLLFPLRGIHLFYFLIFFSVFAFAMASMLMQSSIAAVVFRQGGERGWRKSVREGLRLGSTLKFMPAGMSRWVAEGGGLDRMRSTARIGVRGPRLALILGNMKKDPQSLMMLTVVKSLQRLGYVIKIYAVANGKAHAMWEHISGQISFLGPEQFVHIDWSIFEGVIADSLEAKEAISSLMQEPFDTVPLIWIIQEDTLATRLPVYEEMGLEHLVSHWKSAFTRANVIVFPDFTLPMLYSMLDTGNFLVIPGSPVDVWGAESYSKTHAKHQLRKDNGFSMDDMVVLVVGSSFFYDELSWDYAVAMHTIGPLLMRYTRRNDAGGSFKFIFLSGNSTDGYHDALQQVASRLGLTQGSVRHYGLDGDVNGVLLMADIVLYGTSQEEQGFPSLIIRAMTFGIPVITPDFPIMKKYVVDGTHGVFFPKHQPDALLRAFSLLISNGRLSRFAQTVASSGRLLAKNILASECITGYASLLENLLNFPSDVLLPAPVSQLRLGSWEWNVFGMEIEHGTGDISRYFSVVYALEEEFTKHTISSDISQYGAEIQDQDIPTEQDWDIVTEIENFEDYERLEMDEVEERMERNPGVWDDIYRNARRSEKLKFEANERDEGELERTGQPVCIYEIYSGAGAWPFLHHGSLYRGLSLESKEVEIRRCGCSWPTSSFE >EOY18904 pep chromosome:Theobroma_cacao_20110822:10:6009319:6016178:-1 gene:TCM_043407 transcript:EOY18904 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 1 MGRNSSPPILDGNGNENGKNKNSDNNNDDDQGFYSIRDRLPFKRNPIHTRDRTKQSSLLDRPLVRNRPRFNRKGFLLFPLRGIHLFYFLIFFSVFAFAMASMLMQSSIAAVVFRQGGERGWRKSVREGLRLGSTLKFMPAGMSRWVAEGGGLDRMRSTARIGVRGPRLALILGNMKKDPQSLMMLTVVKSLQRLGYVIKIYAVANGKAHAMWEHISGQISFLGPEQFVHIDWSIFEGVIADSLEAKEAISSLMQEPFDTVPLIWIIQEDTLATRLPVYEEMGLEHLVSHWKSAFTRANVIVFPDFTLPMLYSMLDTGNFLVIPGSPVDVWGAESYSKTHAKHQLRKDNGFSMDDMVVLVVGSSFFYDELSWDYAVAMHTIGPLLMRYTRRNDAGGSFKFIFLSGNSTDGYHDALQQVASRLGLTQGSVRHYGLDGDVNGVLLMADIVLYGTSQEEQGFPSLIIRAMTFGIPVITPDFPIMKKYVVDGTHGVFFPKHQPDALLRAFSLLISNGRLSRFAQTVASSGRLLAKNILASECITGYASLLENLLNFPSDVLLPAPVSQLRLGSWEWNVFGMEIEHGTGDISRYFSVVYALEEEFTKHTISSDISQYGAEIQDQDIPTEQDWDIVTEIENFEDYERLEMDEVEERMERNPGVWDDIYRNARRSEKLKFEANERDEGELERTGQPVCIYEIYSGAGAWPFLHHGSLYRGLSLSRKARRLRSDDVDAVGRLPVLNDTHYRDLLCEVGGMFSIANRVDNIHKRPWIGFQSWRAAGFIVHKS >EOY18902 pep chromosome:Theobroma_cacao_20110822:10:6006590:6016204:-1 gene:TCM_043407 transcript:EOY18902 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 1 MGRNSSPPILDGNGNENGKNKNSDNNNDDDQGFYSIRDRLPFKRNPIHTRDRTKQSSLLDRPLVRNRPRFNRKGFLLFPLRGIHLFYFLIFFSVFAFAMASMLMQSSIAAVVFRQGGERGWRKSVREGLRLGSTLKFMPAGMSRWVAEGGGLDRMRSTARIGVRGPRLALILGNMKKDPQSLMMLTVVKSLQRLGYVIKIYAVANGKAHAMWEHISGQISFLGPEQFVHIDWSIFEGVIADSLEAKEAISSLMQEPFDTVPLIWIIQEDTLATRLPVYEEMGLEHLVSHWKSAFTRANVIVFPDFTLPMLYSMLDTGNFLVIPGSPVDVWGAESYSKTHAKHQLRKDNGFSMDDMVVLVVGSSFFYDELSWDYAVAMHTIGPLLMRYTRRNDAGGSFKFIFLSGNSTDGYHDALQQVASRLGLTQGSVRHYGLDGDVNGVLLMADIVLYGTSQEEQGFPSLIIRAMTFGIPVITPDFPIMKKYVVDGTHGVFFPKHQPDALLRAFSLLISNGRLSRFAQTVASSGRLLAKNILASECITGYASLLENLLNFPSDVLLPAPVSQLRLGSWEWNVFGMEIEHGTGDISRYFSVVYALEEEFTKHTISSDISQYGAEIQDQDIPTEQDWDIVTEIENFEDYERLEMDEVEERMERNPGVWDDIYRNARRSEKLKFEANERDEGELERTGQPVCIYEIYSGAGAWPFLHHGSLYRGLSLSRKARRLRSDDVDAVGRLPVLNDTHYRDLLCEVGGMFSIANRVDNIHKRPWIGFQSWRAAGRKVSLSTRAEEVLEETIQGSKRDVMYFWARLDIDGGGAGTNDALTFWSMCDLLNAGHCRTAFESAFRKMYILPSDTEALPPMPKDDGHWSALHSWVMPTTSFLEFVMFSRMFVDSLDALHTNSGEVNLCLLGSSELEVLELLVNVWAYHSGRRMVYIEPHSGLLEEQHPVDQRKEFMWARYFNFTLLKSMDEDLAEAADDEDHPRKMWLWPLTGEVHWQGIYEREREERYRLKMDKKRKTKEKLFERMKNGYKQRSLG >EOY18903 pep chromosome:Theobroma_cacao_20110822:10:6006590:6016190:-1 gene:TCM_043407 transcript:EOY18903 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 1 MGRNSSPPILDGNGNENGKNKNSDNNNDDDQGFYSIRDRLPFKRNPIHTRDRTKQSSLLDRPLVRNRPRFNRKGFLLFPLRGIHLFYFLIFFSVFAFAMASMLMQSSIAAVVFRQGGERGWRKSVREGLRLGSTLKFMPAGMSRWVAEGGGLDRMRSTARIGVRGPRLALILGNMKKDPQSLMMLTVVKSLQRLGYVIKIYAVANGKAHAMWEHISGQISFLGPEQFVHIDWSIFEGVIADSLEAKEAISSLMQEPFDTVPLIWIIQEDTLATRLPVYEEMGLEHLVSHWKSAFTRANVIVFPDFTLPMLYSMLDTGNFLVIPGSPVDVWGAESYSKTHAKHQLRKDNGFSMDDMVVLVVGSSFFYDELSWDYAVAMHTIGPLLMRYTRRNDAGGSFKFIFLSGNSTDGYHDALQQVASRLGLTQGSVRHYGLDGDVNGVLLMADIVLYGTSQEEQGFPSLIIRAMTFGIPVITPDFPIMKKYVVDGTHGVFFPKHQPDALLRAFSLLISNGRLSRFAQTVASSGRLLAKNILASECITGYASLLENLLNFPSDVLLPAPVSQLRLGSWEWNVFGMEIEHGTGDISRYFSVVYALEEEFTKHTISSDISQYGAEIQDQDIPTEQDWDIVTEIENFEDYERLEMDEVEERMERNPGVWDDIYRNARRSEKLKFEANERDEGELERTGQPVCIYEIYSGAGAWPFLHHGSLYRGLSLSRKARRLRSDDVDAVGRLPVLNDTHYRDLLCEVGGMFSIANRVDNIHKRPWIGFQSWRAAGRKVSLSTRAEEVLEETIQGSKRDVMYFWARLDIDGGGAGTNDALTFWSMCDLLNAGHCRTAFESAFRKMYILPSDTEALPPMPKDDGHWSALHSWVMPTTSFLEFVMFSRMFVDSLDALHTNSGEVNLCLLGSSELEVSYVVPITSVGFSESIIITVLFFVYLIIGRKNTVTVRYWNSWSMSGLTIVGGGWST >EOY17664 pep chromosome:Theobroma_cacao_20110822:10:508220:509462:1 gene:TCM_042432 transcript:EOY17664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein MPSSLQLHRAVSATTTIGCHQKQVQPTTACTLTRILPLPCGFSVPDTVATYHIHTVGPNQCCSAVVQAIEAPVETVWSVVRRFDNPQAYKHFLKSCHVIVGDGNVGTLREVHVVSGLPAASSTERLEILDDERHVLSFSVVGGDHRLTNYKSVTTLHASPNGKGTVVVESFVVDIPPGNTSDDTWIFVDTIVRCNLQSLAQMAENMARRAKPSSP >EOY18917 pep chromosome:Theobroma_cacao_20110822:10:6160510:6171885:-1 gene:TCM_043423 transcript:EOY18917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARKNVFLLLSMFCLLAASATATRELSGYDKGPGHVLAARLEASKGLLKCWSALNDLKSCMPQIVGFLIIKGQHGIGHKCCGDILKINACWPGMFISIGFTYEEDKRLTGYCDAPSAPTAAPFAGSPISSATFI >EOY20191 pep chromosome:Theobroma_cacao_20110822:10:25197588:25203612:-1 gene:TCM_045567 transcript:EOY20191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASGTTPKVRLVRCPKCRLVLPEVADVPVYKCGGCDAILVAKNQKAIAKSMSVLQETEAAQGNKLVHVSEHGESSSSTLQEVPSSTPECHLSQESGGDQNISRDSHSEKHGENLSIEGQHNDHYDKDQNTSSDSESGGNQNISRDSHSEKHGENLSIEGQHNDHYDKDQNTSSDSESGGNQNISGDSHSEKHGENLSIEGQHNDHYDKDQNTSSDSESGGNQNISGDSHSEKHGENLSIEGQHNDHYDKDQNTSCDSESGGNQNISRDSLSEKHGENLSIKGQHNCHYDKDQNTSSDSESGGNQNISRDSHSENHGENLSIEGQHNDHYDKDQNTSCDSESGGNQNISRDSHSEKHGENLSFEGQHNDHYDEDQNTSGDSDSDHDKLDVNRSNDGQQNGSEQLQLEHLEYCDVQQPGVSMESSFSTELHRENEELMLLAEANLEAETNDKTSQLEGVNSELETNDKSDSNIRGLSIDNPLATKEINLTVTACAAAGAVISSDNLEQPQKSEDHGFNRIRSSDTFESGDFFSPSSELSGHLEYLSKSTTTRSSHAYDGSISSYDGMDDHFTDQQINSFKNNYKAANYLVPEDSRRRDKLPAKGMMNGNYGMQDHARNFSSDLSNKRHYATEKYRKWRRDELLEPEMHHHPPRNWQRLERDESPSQIPFSQRASLRGYESAGPSRQLHDESPFDSAFYPLEKAEYTEQENMKLLRMVYELQDQISKTCHLNGKPNGRTSTNVPWRQKHIPTYYYQEPPEEENFYSRYHGRHGPRSSWSQQSRFSPIPFSGGEINTRHHIDNSCLCCHPQDWHCSEQLPPPIFQHNQGFWRAHPGQSCYNSYSSCPSSPQRYLESDFSIWSHETKSDNQRYKDHELKRYLREKHHSARRHLRPMAGGAPFVTCYHCFRPLQLPADFLLFKSRFHQLRCGACSKVLKFSLQKGMHIVPYDLVAAEPPPSETEDCGDVIDVRISTSASCSCSPDGGPVSHAQFHDLQGDPHVRNMSFSSSKPLEQKKDFALEQSQNKHKNSVENFDSAMSSSNMSRSEKVSSGIEELPPRTGGSPLHQLMGYASPSLIINGFGPSISGKSSGLNS >EOY19299 pep chromosome:Theobroma_cacao_20110822:10:16193664:16196991:-1 gene:TCM_044357 transcript:EOY19299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSDKGKEIPNQKGANLIGDPIEEGHGAKLNVSMARLETDSLRKYCEHYKIEGMNFDSSREQMLNAVEQHFASQPPLNEQQVIPKFIYVARILKNAQGALNI >EOY19855 pep chromosome:Theobroma_cacao_20110822:10:23262155:23263132:1 gene:TCM_045233 transcript:EOY19855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDCTQRLSVAFNSKKFLYNSSHINPISTVDHLKMEDEDIIDVIPWAKFKLCNLMLDYCDQTGVVFDDMRFLLNGSRMNIDKTADDLGLEDEELIEVFCFMLGGSSPRTVPTLDVKIIALNFSNMENEG >EOY20029 pep chromosome:Theobroma_cacao_20110822:10:24476354:24479205:1 gene:TCM_045434 transcript:EOY20029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGSNYTRRRNSIGTIKYSSSYVRRGTTEWPKLKQEFEMTYIGAMTFLLGMEFIQKPEYICIHQTKYARELLKRFKMESCKAVDTSLISSIKLYKNYGSGGANGFLYRSRVACLSYLIASRHDIMYAASILSRFMKDPSELHLVAAKRVLGYVKDIAAQSTAKAEYIAATAATNHVLWFRKILDNIGFKQEKETILWADKQSDIDIANNPVHHGKTKHTRVRYHTI >EOY17921 pep chromosome:Theobroma_cacao_20110822:10:1432862:1436415:1 gene:TCM_042614 transcript:EOY17921 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative MSNSLPDLHSHLSQLAKPILDSLLKTPYTPEEATKISTKSTLESLLSTKSSVKDFSLACALLSSSRSSTHELLAWIPSHLSTAAEAAFSDLSKAYNDALPADEKINLAGQLMPEIVPVLKEKIKESSINKSDEEDEVSAASARAPVGFAILAAYQFRWFVSQVEYPNLGKMVNLVVPCALTCLDHWSPEVKGQGMISFIHVAKNVNAPELGWYGDVILDACCQNIASSDEIWLYVVEMSVLLVTCIQGDNPRSSWFEKMLNEMLSHLERQPRNKERCIAWLQFIEPIFNAIGLVLLAHFRRIFPLFFRWMHVDDDETVLLVLKRVQTVIRLTWIRNTPYIDRLVDELIVLFKEAELRTARDEIRTDILDILIMLQQCKGQQFETAWDKHKDDPDLTTLACNLSSEPTLKEESDTSCVAVLGFESKLMSFSCSSFLQFLNYRGFYLAPKIEEEVTGPKVKVKRKVERKPKMKIKPGRRLNRRGQ >EOY17543 pep chromosome:Theobroma_cacao_20110822:10:155046:156044:1 gene:TCM_042353 transcript:EOY17543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein isoform 1 MVFLLTQASPLYSHSHSHPPQAAWTRRSRGEVAKRPNRKSWKQRTDMYMRPFLLNVFFSKRFIHAKVMHRNTSKVISVASTNAKDLRYTLPSLTDDNACRVIGKLIAERSMEADVFAMSYEPQKNVTIQGKLGILLDTIKESGIIFY >EOY17542 pep chromosome:Theobroma_cacao_20110822:10:154956:156127:1 gene:TCM_042353 transcript:EOY17542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein isoform 1 MDGSIYSSSSTSVLLSPQSTYPSWHLKTNSLSWSSSLPKLHLSTPTPIPIRRKDFTVSAAWTRRSRGEVAKRPNRKSWKQRTDMYMRPFLLNVFFSKRFIHAKVMHRNTSKVISVASTNAKDLRYTLPSLTDDNACRVIGKLIAERSMEADVFAMSYEPQKNVTIQGKLGILLDTIKESGIIFY >EOY20204 pep chromosome:Theobroma_cacao_20110822:10:25242609:25246700:-1 gene:TCM_045580 transcript:EOY20204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWKPSSSSSSSSSRLIYAFLKLHSNRHRVNPFAIPSSSSSCSNGFHQLSSNPTSSNAGLSQFLFRSAHQSTPLRNRYIARPNPFSPSGLRFFSFKPSNFGQKFGGSFTKNAFQNPANAFRSTLSRYREAIGLHLEAFFKKNYLILFGAGGVLLCVLLWRIMFGIANSFIGLSEGMAKYGFLALSTAIVSFAGLYFRSRFTINPDKVYRMAMRRLNTAAGILEVMGAPLTGTELRAYVMSGGGLTVKNFKLKLRSKRCFLIFPIRGSERKGLVSVEVKKNKGQYVMKLLAVDIPMASGPDQRLFLIGDEEEYKVGGGLISELRDPVVKAMAATKEFDDLDQIEEEEDAERELQEAERKHREEIEKLEKGLPCFLRFLSLQASFSTKAAKLMPLSYCWPNGIQSPSLQLISELVIYAHYYSNLRKRASTRDVISDFIIIATKKTGYFWQR >EOY19734 pep chromosome:Theobroma_cacao_20110822:10:21939436:21962893:-1 gene:TCM_045040 transcript:EOY19734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copia-like retrotransposable element, putative MASTSYNAPAPPVFSGENYAIWSVKMEAYLRAFDLWEVVEVGGDPPEQRQNLTIEQMKQYSEEVAKRFKALSCIHSTVSDIIFTSIMTCKSAKKAWDKLNEEFQGSVRIRQIQALNLWREFEILRMKEEEGLKDYTDKVIKVVNQLKLLGEDVPKKKDLSSFTVVELVNALHASEQRRAIRVVDHSESALLARPNIKCRACNQLGHIKKVCKNKGQTSEQIAAVAEQANQKDKVLFVANCYPKVRKKETWLLNSACSRHMTCDKSLFVMLDNKHKSNVEIGNGEYLKVDGIGTVEVETASGMKQIKDVLFVSTINQNLLSVGQLVACGYALLFKDLACKVFEPSGEELLTVKMKSNCFPVNWKEFKHHAYTCSSSDTTSWHKRLGHLNFHSLKLMHDEHLVENIPAIGSFNYICDTCQYGKQSKKPFPKQAKWRATQKLQLVHTDIGGPMMTASLSGNKFYLLFIDEFSRYCWVYFLKHKAEAFNNFLKFKAFVENQTSLTIKMLRSNNGKEYTSLEFQRYLTQFRIQQQLTVPYNPQQNGVSKRKNRTLMEMARCLLFEKKLPRSFWTEAVNTANYLLNIAPTKALTKGTPHDVWYGTKPLVAHLKIFGCIAYAQVLEDRRGKLDEKSRLTIHLGKRWNWSKLEVESSENLSIFNDQLEVEHDENNEDVDDVAVRGTRSLADIYDRCHVALMEPTSFSEVVQVDGWQKAMENEVNMIKKNNTWDLVPRPANQKVIGVRWVYRTKLNTDGSVNKLKARLVVKGYAQIQGIGYLETSAPVARLDTIRLLVALVAKEKWKLWHLDVKSAFLNGLLEEDIFIEQPKGFIEPGMENRICKLKKALYGLKQAPRAWYARVDNYLCNKGFHMSESKPTLYVCCSSAGKQVIVSIYVDDILVTSLNTELLLKFKKEMMEEFKMTNLGLITYFLGLEFVQAEKFIILHQQKYATELLKKFKMQNCKAISTPIAANVKFSLSNNEELADATLYRRLIGSLLYLSSSGPDIMFSTSLLSRFMHQPTVTHLSAAKRILRYIKGSINFGIKFGREQSHHLQGFLDSDWAGSLDDSKSTTGFVFSFGSGVFSWASKKQEVVAQSSAEAEYISTAAATNHSLWLRKILSCLGFSQNDPNVLWMDNQSAIAMSKNPVQHGRTKHIQVKFHMIRDAVKNKEIDVQYCSSQDQVVYIMTKGLPTNRFQTLRSLLGVFKNDLKVC >EOY18086 pep chromosome:Theobroma_cacao_20110822:10:2032611:2033879:1 gene:TCM_042734 transcript:EOY18086 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein, putative MEQDQYWMWMRRRRILKSHFQVSMNSLSEYSWEEKAFAEDAAGSLGGCIWPPRSYSCSFCRREFRSAQALGGHMNVHRRDRARLKQSALSSHNEVVVPHHQNHRKISPKSSDEPKILPHQAGPLDSTDDLDHNSTASTFSASRVSVLSTQENFSTTSPVQEQHKGQLFGSDSTAKRSLNNIFLDSKPEAEKSIKLARGGDSVCLDSDDDHVETNLSVGLNPVFFQNRPTVITCGEEAISCKRPKIAVSTLPFIVSEETYSPLQWQVLGLKPAGSMEDLDLELRLGVLPKVK >EOY17600 pep chromosome:Theobroma_cacao_20110822:10:324276:326060:-1 gene:TCM_042389 transcript:EOY17600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MAPPMQEAVLSTPLLSSATASSSSISSTSSEADDSPPASHRFELLDVTKYEFPSKTFSGSQTYKSLAVLSGHVGSVSCLALCGEFILSASQGKDIIVWQQPDLRQFTKFGQGDGSVKALVTVSNKVFTAHQDSRIRVWKVSRSSENVFKLVDTLPTTKDYLGKFMKQSNYVRTRRHHKRLWIEHADSISCLTVYDGLIYSGSWDKTLKVWRISDLKCLESIKAHDDAINSLVACKGTVYSASADGKIKAWSWGKEGKTSHSLKGILEGHKDVSLNSVVVSEDGKWVYGGGSDGFVMGWEGQGNGDFVSWKLVSETKAHHMAVLCMCLMGEFLCSGSADKSIGIWKREAYGKLCTVGVINGHEGPVKCLQASPCNVGSGFLLYSGGLDKSIRVWWVPKNSAKREDQQ >EOY20176 pep chromosome:Theobroma_cacao_20110822:10:25156194:25159321:1 gene:TCM_045555 transcript:EOY20176 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 55, putative MVKSETDKAKRKRPDQTMEETFSLMLHGCKLAKDLESNLGNLANQPEILSKSCDDIVKVFAAAKERLNADQDPALFTQLLRQSPSSSQQPQQSTDPSLQEWLKYGVITQAMDMIQQQILAGKAPLETNEMGGKNLLEGSASAPLKGSVGGEIQAMELSDSGRGSSSSSQRPRRSRKDDEEKCTMTVPAPQMGNTDLPPEDNFTWRKYGQKEILGSRYPRAYYRCTHQKLYNCPAKKQVQRLDNDFYTFEVTYIGQHTCHMSSTAPSIPPPPPLETGTQDQIMTQAMVSQPTPASSSSVPLGRWLSMEFTSLASASCGSGSGGAGGSTATARYGREVDYPVVADMADVMFNSGSSSSNSMEFIFPSMEDKWEAGDKKN >EOY17851 pep chromosome:Theobroma_cacao_20110822:10:1171308:1183995:-1 gene:TCM_042564 transcript:EOY17851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate synthase alpha subunit 1 MLFSSLTCTCNGLYNSLSNACLCTTHLPLFFAFSHRNLRENPGRLPATEELLVSVRRARHFKPMAMQSSLAFSHRLVPSSNRLSQVPVIRMPPAPTCFRTSLKCRSSLSSSSLVADETKFAEAAKKGNLVPLYRCIFSDQLTPVLAYRCLVKEDDRDAPSFLFESVEPGSRVTSVGRYSVVGAQPTMEIVAKENKVMVMDHEEGCLTEDVVEDPMLIPMRISENWKPQLIDDLPDAFCGGWIGYFSYDTVRYVEKKKLPFSKAPQDDRNLPDIHLGLYNDVIVFDHVEKKAYVIHWVRLDRHSSVEKAYSVGVEHLEKLVSRVQNVDPPKLSPGSIDLQTHHFGPSLKKSNMESEEFKGAVLQAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRVVNPSPYMAYLQARGCILVASSPEILTRVKKNKIVNRPLAGTARRGKTPAEDELAEKQLLSNEKECAEHIMLVDLGRNDVGKVSKYGSVKVEKLMNIERYSHVMHLSSTVIGELHDHLSSWDALRAALPVGTVSGAPKVKAMELIDELEVTRRGPYSGGFGGISFTGDLDIALALRTMVFTTGTRYDTMYSYKDAGRRQEWVAHLQAGAGIVADSDPDAEHRECQSKAAGLARSIDLAESAFVNK >EOY18592 pep chromosome:Theobroma_cacao_20110822:10:3900301:3903567:1 gene:TCM_043099 transcript:EOY18592 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL18I08.10 protein isoform 1 MENKDSYLETSKAERSMWLMKCPALVSRSFKTPQPSSISTSSSPPTPQAVAKVILSIDPRVSNDDNDSSSPQFTMELVGTEIGDGPKRYSMEMSKDLVPMSVFSESSQGKLSVEGKILNKFDMRPHDENIENYGKLCRERTNKYMTKSRQIQVIDNDNGTHMRPMPGMMIATVFNNIFIICPPFV >EOY18590 pep chromosome:Theobroma_cacao_20110822:10:3900197:3905005:1 gene:TCM_043099 transcript:EOY18590 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL18I08.10 protein isoform 1 MENKDSYLETSKAERSMWLMKCPALVSRSFKTPQPSSISTSSSPPTPQAVAKVILSIDPRVSNDDNDSSSPQFTMELVGTEIGDGPKRYSMEMSKDLVPMSVFSESSQGKLSVEGKILNKFDMRPHDENIENYGKLCRERTNKYMTKSRQIQQVIDNDNGTHMRPMPGMMIATVFNEKKKTPTKTSETKRTRRDRGEMEDIMFKLFERQPNWTLRQLIQETDQPEQFLKDILKDLCVYNNKGTNQGSYELKPEYKKASNDTNP >EOY18589 pep chromosome:Theobroma_cacao_20110822:10:3900176:3905005:1 gene:TCM_043099 transcript:EOY18589 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL18I08.10 protein isoform 1 MENKDSYLETSKAERSMWLMKCPALVSRSFKTPQPSSISTSSSPPTPQAVAKVILSIDPRVSNDDNDSSSPQFTMELVGTEIGDGPKRYSMEMSKDLVPMSVFSESSQGKLSVEGKILNKFDMRPHDENIENYGKLCRERTNKYMTKSRQIQVIDNDNGTHMRPMPGMMIATVFNEKKKTPTKTSETKRTRRDRGEMEDIMFKLFERQPNWTLRQLIQETDQPEQFLKDILKDLCVYNNKGTNQGSYELKPEYKKASNDTNP >EOY18591 pep chromosome:Theobroma_cacao_20110822:10:3900235:3903617:1 gene:TCM_043099 transcript:EOY18591 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL18I08.10 protein isoform 1 MENKDSYLETSKAERSMWLMKCPALVSRSFKTPQPSSISTSSSPPTPQAVAKVILSIDPRVSNDDNDSSSPQFTMELVGTEIGDGPKRYSMEMSKDLVPMSVFSESSQGKLSVEGKILNKFDMRPHDENIENYGKLCRERTNKYMTKSRQIQVIDNDNGTHMRPMPGMMIATVFNNIFIICPPFV >EOY18863 pep chromosome:Theobroma_cacao_20110822:10:5562373:5565532:1 gene:TCM_043363 transcript:EOY18863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl:coa ligase MSVEESWTVPIKEESGNSQPLVNRGGFDSHTGIYSSLFPLSDHLKFPSDPDLGIASFVLSQFPHPQVGESKVALIDSATNQQLTYAQLHRSIQSLACGLYHLGVRKGDVVFLLSPNSLLYPTICLAILSLGAILSPTNPINTPSEIAKQVLDSGAKLAISAPEELHKLLQTGVPTIITSRQSDEDSLSIEELIECCDPRELPETKVKQSDTAAILYSSGTTGTSKGVILTHSNFITTTRLVRWYAEATSSQNDIFLGFIPIFHIYGLAFFGVGLLTAGITTVLMPRFDLQAMLDAIQTHKVNNIPAVPPVILGLVKYCKGNSKLSTLRRVGSGAAPLSKELTDAFREQFPWVELRQGYGLTETCGATSGFVSHEEAKAHPGSCGMLLPTCCAKVVDTETGLALPPYRKGELWLNGPTTMKGYLGNEEATAATIAKDGWLKTGDLGYFDEDGFLYIVDRIKELIKHNGYQVAPAELEAVLLGHPDIVDAAVIPVEDEESGQIPMAYVVKAAASQLTEEQVIKFVATQVAPYKKVRRVEFINAIPKSAAGKILRKELILQSQQQILSKL >EOY19429 pep chromosome:Theobroma_cacao_20110822:10:17495300:17499186:-1 gene:TCM_044534 transcript:EOY19429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MYNGIGLTTPRGSGTNGYIQSNKFFVKPKTNRVTDATRPFEAGQGTAGLTTKKPNKDILEHDRKRQIELKLVILEDKLTEQGYTESEIADKLIEARKALEAQQEKDEEEGEVIPTPTHQKKVSDTQTHQVAARKEKQMETLRAALGIGIELSESAAPPLMNSDKREHAFLDRERPIATAVDVDDIKVKTDKKKGQTIGDEIDKSKHWKKKKEKGSRHHDTDDETDSSIEYLKKAARKKSRKGYDSENDSDDFATGRKEKSAKKHDRRRPHDSDGNSDDSDSDLDRKDDETGKRNVDKHKTSRRRHDSSEVDSDTNGGKEKKRGEVQRQKIELSGSHRRRRDKNMDSESDSDSNGTRDRKKVTVKKGRYNYDTEEESDSDTAGDEKVEKSRVRGRRHDSDDDEDSSSSYDRKISKVTAPKQRVGRRRSVSLTDDSDSSSSDKDSDSSDQKHKIIGKKNVDRDRRGHGVNADNRGRGSQEDKEFASGAAKNHERRGRTINRDDSLRKSENSREMMKGKRKLDDKYTDEQPESKSRSRNLGKEVEYERYDPKVDSRLVRSGGEFDGDNRKQDDRIQSKISESHHGSRRNDWDYEDRRGGGRQSKDEEEPRGRKHARDEMDHKYRSRGRDEEQQHGSRRQRKGEEDERGNKGRVRDRQLDHSVKVAYDDARSSERKSRRDDRR >EOY19431 pep chromosome:Theobroma_cacao_20110822:10:17495837:17581466:-1 gene:TCM_044534 transcript:EOY19431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MYNGIGLTTPRGSGTNGYIQSNKFFVKPKTNRVTDATRPFEAGQGTAGLTTKKPNKDILEHDRKRQIELKLVILEDKLTEQGYTESEIADKLIEARKALEAQQEKDEEEGEVIPTPTHQKKVSDTQTHQVAARKEKQMETLRAALGIGIELSESAAPPLMNSDKREHAFLDRERPIATAVDVDDIKVKTDKKKGQTIGDEIDKSKHWKKKKEKGSRHHDTDDETDSSIEYLKKAARKKSRKGYDSENDSDDFATGRKEKSAKKHDRRRPHDSDGNSDDSDSDLDRKDDETGKRNVDKHKTSRRRHDSSEVDSDTNGGKEKKRGEVQRQKIELSGSHRRRRDKNMDSESDSDSNGTRDRKKVTVKKGRYNYDTEEESDSDTAGDEKVEKSRVRGRRHDSDDDEDSSSSYDRKISKVTAPKQRVGRRRSVSLTDDSDSSSSDKDSDSSDQKHKIIGKKNVDRDRRGHGVNADNRGRGSQEDKEFASGAAKNHERRGRTINRDDSLRKSENSREMMKGKRKLDDKYTDEQPESKSRSRNLGKEVEYERYDPKVDSRLVRSGGEFDGDNRKQDDRIQSKISESHHGSRRNDWDYEDRRGGGRQSKDEEEPRGRKHARDEMDHKYRSRGRDEEQQHGSRRQRKGEEDERGNKGRVRDRQLDHSVKVAYDDARSSERKSRRDDRR >EOY19430 pep chromosome:Theobroma_cacao_20110822:10:17495403:17498916:-1 gene:TCM_044534 transcript:EOY19430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MYNGIGLTTPRGSGTNGYIQSNKFFVKPKTNRVTDATRPFEAGQGTAGLTTKKPNKDILEHDRKRQIELKLVILEDKLTEQGYTESEIADKLIEARKALEAQQEKDEEEGEVIPTPTHQKKVSDTQTHQVAARKEKQMETLRAALGIGIELSESAAPPLMNSDKREHAFLDRERPIATAVDVDDIKVKTDKKKGQTIGDEIDKSKHWKKKKEKGSRHHDTDDETDSSIEYLKKAARKKSRKGYDSENDSDDFATGRKEKSAKKHDRRRPHDSDGNSDDSDSDLDRKDDETGKRNVDKHKTSRRRHDSSEVDSDTNGGKEKKRGEVQRQKIELSGSHRRRRDKNMDSESDSDSNGTRDRKKVTVKKGRYNYDTEEESDSDTAGDEKVEKSRVRGRRHDSDDDEDSSSSYDRKISKVTAPKQRVGRRRSVSLTDDSDSSSSDKDSDSSDQKHKIIGKKNVDRDRRGHGVNADNRGRGSQEDKEFASGAAKNHERRGRTINRDDSLRKSENSREMMKGKRKLDDKYTDEQPESKSRSRNLGKEVEYERYDPKVDSRLVRSGGEFDGDNRKQDDRIQSKISESHHGSRRNDWDYEDRRGGGRQSKDEEEPRGRKHARDEMDHKYRSRGRDEEQQHGSRRQRKGEEDERGNKGRVRDRQLDHSVKVAYDDARSSERKSRRDDRR >EOY19729 pep chromosome:Theobroma_cacao_20110822:10:21873075:21887287:1 gene:TCM_045033 transcript:EOY19729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinases,ubiquitin-protein ligases isoform 2 MKVACCSVCQTRYNEEERVPLLLQCGHGFCKECLSKMFSASLDTSLPCPRCRHVSLVGNSVQALKKNYGILALLDSNSNSGSNLRNDFDCDYTDDEEDDDEEREGDDENGDFFDDLAGGRINRGSHASSSGGAAGCGPVIELSAHPGLRLVRKIEGKGEGKGGRAGVETWAAVISGTQGGAGRSLCKHKVAVKKVGAMEGMDGEWVQGQLDSLRRASMWCRNVCTFHGVVRLEDGSLGIVMDRCHGSIQSAMLNNEGRLTLEQVLRYGADITRGVAELHAAGVVCMNIKPSNLLLDASGHAVVSDYGLAAILKKPACRKARTEYDSSKIHSCMDCTMLSPHYTAPEAWEPVKKSLNLFWDDAIGISAESDAWSFGCTLVEMCTGFIPWAGLSADEIYRTVVKARKLPPQYASVVGVGLPRELWKMIGDCLQFKPSKRPTFNAMLAIFLRHLQEIPRSPPASPDNGFAKFPGSNAVEPPPMSDLEVLPENPNHLHRLVSEGDVGGLRDFLAKASYEHSGSSISSLLEAQNADGQTALHLACRRGSAELVEAILEYTEANVDVLDKDGDPPLVFALAAGSPECVLALIRRGADVQSRLRDGFGPSVAHVCAYHGQPDCMRDLLLAGADPNAVDDEGESVLHRAVAKKYTECALVILENGGCRSMAFLNSKNLTPLHLCVATWNVAVVKRWVEVASPEEIADTIDIPSPVGTALCMAAALKKDHEIEGRELVRILLAAGADCTAQDSQHGRTALHTAAMANDVDLVKIILDAGVDVNIRNVHNTTPLHVALARGATSCVGLLLSAGADCNLQGDEGDNAFHIAADTGKMIRENLEWLIVMLRNPDAAVEVRNHSGKTLRDFLETLPREWISEDLMEALTNRGVHLSPTIFEVGDWVKFRRRITTPTYGWQGARHKSVGFVQNVVDRDNLIVSFCSGEARVLVNEVVKVIPLDRGQHVKLREDVKEPRFGWRGQARDSIGTVLCVDDDGILRVGFPGASRGWKADPTEMERVEEFKVGDWVRIRPTLTTAKHGLGSVTPGSIGIVYCVRPDSSLLLDLSYLPNPWHCEPEEVEPVTPFRIGDRVCVKRSVAEPRYAWGGETHHSVGRISEIETDGLLMIEIPNRPIPWQADPSDMEKVEDFKVGDWVRVKASVSSPKYGWEDINRNSIGIIHSLEEDGDMGIAFCFRSKPFICSVTDVEKVPPFEVGQEVHVVPSVSQPRLGWSNETPATVGKIVRIDMDGALNVKVAGRHSLWKVSPGDAERLSGFEVGDWVRSKPSLGTRPSYDWSTIGKESLAVVHSVQDTGYLELACCFRKGRWSTHFSDVEKVPSYKVGQHVRFRAGLVEPRWGWRGTQSDSRGIITSVHADGEVRVAFFGLSGMWRADPADLEIEQMFEVGEWVQFRENASTWKSIGPGSVGVVQGIGYEGDEWDGSTIVAFCGEQEKWVGPTSHLERVDKLIIGQKVRVKLSVKQPRFGWSGHSHTSVGTIAAIDADGKLRIYTPVGSKTWMLDPSEVELVEEQELCIGDWVRVRSSVTIPTHHWGEVTHSSVGVVHRMENGDLWVAFCFMERLWLCKLLWHAQH >EOY19728 pep chromosome:Theobroma_cacao_20110822:10:21870186:21888139:1 gene:TCM_045033 transcript:EOY19728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinases,ubiquitin-protein ligases isoform 2 MKVACCSVCQTRYNEEERVPLLLQCGHGFCKECLSKMFSASLDTSLPCPRCRHVSLVGNSVQALKKNYGILALLDSNSNSGSNLRNDFDCDYTDDEEDDDEEREGDDENGDFFDDLAGGRINRGSHASSSGGAAGCGPVIELSAHPGLRLVRKIEGKGEGKGGRAGVETWAAVISGTQGGAGRSLCKHKVAVKKVGAMEGMDGEWVQGQLDSLRRASMWCRNVCTFHGVVRLEDGSLGIVMDRCHGSIQSAMLNNEGRLTLEQVLRYGADITRGVAELHAAGVVCMNIKPSNLLLDASGHAVVSDYGLAAILKKPACRKARTEYDSSKIHSCMDCTMLSPHYTAPEAWEPVKKSLNLFWDDAIGISAESDAWSFGCTLVEMCTGFIPWAGLSADEIYRTVVKARKLPPQYASVVGVGLPRELWKMIGDCLQFKPSKRPTFNAMLAIFLRHLQEIPRSPPASPDNGFAKFPGSNAVEPPPMSDLEVLPENPNHLHRLVSEGDVGGLRDFLAKASYEHSGSSISSLLEAQNADGQTALHLACRRGSAELVEAILEYTEANVDVLDKDGDPPLVFALAAGSPECVLALIRRGADVQSRLRDGFGPSVAHVCAYHGQPDCMRDLLLAGADPNAVDDEGESVLHRAVAKKYTECALVILENGGCRSMAFLNSKNLTPLHLCVATWNVAVVKRWVEVASPEEIADTIDIPSPVGTALCMAAALKKDHEIEGRELVRILLAAGADCTAQDSQHGRTALHTAAMANDVDLVKIILDAGVDVNIRNVHNTTPLHVALARGATSCVGLLLSAGADCNLQGDEGDNAFHIAADTGKMIRENLEWLIVMLRNPDAAVEVRNHSGKTLRDFLETLPREWISEDLMEALTNRGVHLSPTIFEVGDWVKFRRRITTPTYGWQGARHKSVGFVQNVVDRDNLIVSFCSGEARVLVNEVVKVIPLDRGQHVKLREDVKEPRFGWRGQARDSIGTVLCVDDDGILRVGFPGASRGWKADPTEMERVEEFKVGDWVRIRPTLTTAKHGLGSVTPGSIGIVYCVRPDSSLLLDLSYLPNPWHCEPEEVEPVTPFRIGDRVCVKRSVAEPRYAWGGETHHSVGRISEIETDGLLMIEIPNRPIPWQADPSDMEKVEDFKVGDWVRVKASVSSPKYGWEDINRNSIGIIHSLEEDGDMGIAFCFRSKPFICSVTDVEKVPPFEVGQEVHVVPSVSQPRLGWSNETPATVGKIVRIDMDGALNVKVAGRHSLWKVSPGDAERLSGFEVGDWVRSKPSLGTRPSYDWSTIGKESLAVVHSVQDTGYLELACCFRKGRWSTHFSDVEKVPSYKVGQHVRFRAGLVEPRWGWRGTQSDSRGIITSVHADGEVRVAFFGLSGMWRADPADLEIEQMFEVGEWVQFRENASTWKSIGPGSVGVVQGIGYEGDEWDGSTIVAFCGEQEKWVGPTSHLERVDKLIIGQKVRVKLSVKQPRFGWSGHSHTSVGTIAAIDADGKLRIYTPVGSKTWMLDPSEVELVEEQELCIGDWVRVRSSVTIPTHHWGEVTHSSVGVVHRMENGDLWVAFCFMERLWLCKALEMERVRPFEVGDKVRIREGLVTPRWGWGMETHASKGQVVGVDANGKLRIKFQWREGRPWIGDPADIILDDSSYGMLSTS >EOY18187 pep chromosome:Theobroma_cacao_20110822:10:2325618:2327533:-1 gene:TCM_042802 transcript:EOY18187 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MDSKDLISCFPDEILYHIITFLPLESAVQTTLLSTRWKDLWKKAFVHGSIEDAVATVFSLLNDFAELRPPRSKRGFQFNIGQGRALFAAIAPNDALHLDFSAGEQGLSRSFDWLLPLNLPACDKWPFPYTHDKLLELNLPLQQFKIKALYLTSVCRLSSKVLTSLVSYLPFLESLTIAKCNGVQSLDIENAARLQKLTVLDCPQLEYFCFGGSSCLKSFQYRGRLVSFRFKPSCKFNSYHSSNEHFCHCGFHLEDAMLDFTQGPLTRWTWDFDMPSSADPPYGFYKICNCGSATLFQCVKLILNSIVAVESLTMCRWLFEACFNLGFRLPQLKELWWIDCSMERDNINALLCFLNLCSELERLYVTIDPKCYNLPSTDRFSAMINVPDYKLNNLKFVKVEGCADVVRQLTPLFLRSRLRYVVKVPNLEKKLKYPYSFKLVEQLPEIYSDHVHMNL >EOY18705 pep chromosome:Theobroma_cacao_20110822:10:4598450:4600670:-1 gene:TCM_043206 transcript:EOY18705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase APK1A, chloroplast, putative MCGSKKSIEVIQPRSSKRPSFSSSSQTPKTSKSVSSLSDPSSSTSAANNLVLSSSYFLDNSSSYSTSSQISRTLSTFKASLPENPHIYNFSDICSATDNFLAKRFSSSSSSSSWLCNLQGKRVVIFQRKLRRAIELDDLVHKLSLICRSHHSSLIKLLGVSLSGNYIYLVYEYVQGANLRDCLRNPKNPSFTILSTWISRMQIADGIAHGLDYIHHCSGLETSFTHNHIKISSILVAEDSLRAKICHFGTAELCGEVAKEEGSKSLKRSNSKVMKIEGTRGYMAPELQFSGLVTQKCDVYAFGVVVLELLSGQEALKYSVDEENGGYKRVSVIDTAREAAAGGSAGVRRWVDRRFKDSFPVDVAEKMVLVALECVEEDPGKRPDMNKVAGQVSKSYLESKNWADKIVLPTDISVSMAPR >EOY20122 pep chromosome:Theobroma_cacao_20110822:10:24987408:24989446:1 gene:TCM_045520 transcript:EOY20122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein MSGNVVIASQMGENEMNHKLSTSLTYHPFAIPRLTEAWSVSYASGMSEVQPELIIMGTEMVMVDEIPFPPQITTTKPLSLLGYGITDIEIHFLQIKFTAIGVYLEPEVAGHLQQWKGKPGNVLAEDDDFFEALINAPVEKFLRVVVIKEIKGSQYGVQLESAVRDRLAADDKYEEEEEEALEKVVEFFQSKYFKKNSIITYHFPANSCTAEIAFTTEGKEEAKIKVENANVVEMIKKWYLGGTRGVSATTITSLANALSARLCK >EOY18135 pep chromosome:Theobroma_cacao_20110822:10:2136052:2140329:-1 gene:TCM_042755 transcript:EOY18135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triosephosphate isomerase isoform 1 MGRKFFVGGNWKCNGTTEQVKKIVSTLNGGEVPSQDVVEVVISPPFVFLPLVKEALRPDFHVAAQNCWVKKGGAFTGEVSAEMLVNLSIPWVIIGHSERRLMLKESNEFVGDKVAYALSQGLKVIACIGETLEQREAGSTVEVVAAQTKAIAGSRPCVSVVSFVTCLDVRFVGYFMYRTLTVTGLKDCICFFYKSKVSNWADVVLAYEPVWAIGTGKVATPAQAQEVHSELRKWLQANVSPEVAASTRIIYGGSVTAANCKELAAQPDVDGFLVGGASLKPEFIDIIKSAEVKKNA >EOY18136 pep chromosome:Theobroma_cacao_20110822:10:2136008:2140261:-1 gene:TCM_042755 transcript:EOY18136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triosephosphate isomerase isoform 1 MGRKFFVGGNWKCNGTTEQVKKIVSTLNGGEVPSQDVVEVVISPPFVFLPLVKEALRPDFHVAAQNCWVKKGGAFTGEVSAEMLVNLSIPWVIIGHSERRLMLKESNEFVGDKVAYALSQGLKVIACIGETLEQREAGSTVEVVAAQTKAIAAKVSNWADVVLAYEPVWAIGTGKVATPAQAQEVHSELRKWLQANVSPEVAASTRIIYGGSVTAANCKELAAQPDVDGFLVGGASLKSRSSLTLSSLLRSRRMPKF >EOY17901 pep chromosome:Theobroma_cacao_20110822:10:1395039:1399573:1 gene:TCM_042602 transcript:EOY17901 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein MEENDNKMNKTMTSPVGMSDVVLGCVMPYIHDPKDRDAVSLVCRRWYELDALTRKHITIALCYTTSPDRLRRRFQHLESLKLKGKPRAAMFNLIPEDWGGYVTPWVNEIAENFNCLKSLHFRRMIVKDSDLEVLARSRGKVLQVLKLDKCSGFSTDGLLHVGRSCRQLKTLFLEESLIVEKDGQWLHELAVNNSVMETLNFYMTDLVKVSFEDLELIARNCRNLASVKISDCEILDLVGFFPAAAVLEEFCGGSFNEQPDRYYAVSFPPKLCRLGLTYMGKNEMPIVFPFASLLKKLDLLYALLDTEDHCLLIQRCPNLEVLETRNVIGDRGLEVLARSCKRLKRLRIERGADEQGMEDEEGVVSQRGLMALAQGCLELEYLAVYVSDITNASLEYIGTYSKNLSDFRLVLLDREERITDLPLDNGVRALLRGCEKLRRFALYLRPGGLTDVGLSYIGQYSPNVRWMLLGYVGESDAGLLEFSKGCPSLQKLEMRGCCFSEHALAVTVMQLTSLRYLWVQGYRASQSGRDLLAMARPFWNIELIPARRVVMNDQVGEAVVVEHPAHILAYYSLAGPRTDFPETVIPLDPLVAA >EOY19816 pep chromosome:Theobroma_cacao_20110822:10:22724983:22735886:1 gene:TCM_045159 transcript:EOY19816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSSAGPLNIYRNDYEIELQIRQIQQEKGDCLTQGHISILPERVHLDLQQNDFTEMIGIWEQWRRAHRDNFQNKYGHIAWLLYVPVDDQMLRAIVQFWDPSYRCFVFNKVDMTPTIEEYSSLLRIDHMQPDKIYWRSQKTGHRRKLAKLLGMTTVEVDQHLKKKGDTECLPWSFLNGYIKKHMEDEQGLLAFAMAIYGLVVFPKVLGHVEVEWPDYKRKEEWVATLQRLMSIEVTWRALWMPRMQVMYKCGDKPWVPLMGPWGAISYAPIMVRRQFESEQFVPMTHQLDQLEFTYGEPETLKRIEEIAQDWKKTCRVDQGRVTDEVTTGYHTWHDQRVKNVIHPPKNPSKHPHEVQRKEQTIQELKNDCDMLETAMEGYKAQYEVVRQEYFQMRERNNSCAQSLQRKEAEMQWILRQMREVAFRARVMADKTEELRREILPKDELSERLISHLKMVRDQYDKIMGDEHSERMDKIEKKQEEIMGQLSKILELISTDKGKKAAGRSGTPEDVQQTETNTDPVYPPGFTPPPARNASIPMPSVGQYPFFGMPIGPPPTYAQQRPIGGASPSDPISVPDLDDPKEQEKLKCGSVESKDNPDTHQKFDLFEERLRMNFADMVISGEMIETAIKQGKIEGGDMANTRKGGTFKRKEGEAQAVTSGQHQGGTYNPYQPYLPYPYYPAVHNTSQSPYPYPPMPNAFPNPYPYNPIQRTPYPPASTPVTASTTQQTTPSNNHTTGESRGWRNKQEKVQFDPIRIPYAELFTQLVANHLVAPLYIEPLKPPFPRWEVYVKRNIREVETSMEKVFEALVKADMLEVWPECPNVNDSRDIQRLYCLYHKGCVGHSIQGCSSFRKEVQRMMDESKIEFYTEASESAVNMISKESTHPMKIKPLTIFYKPKGEFVEDKNHAKMIIEVPKPFPYKDNKAVPWNYNCNVQVSEAKKWIAESQDDAANITSDLLISKPADTPYVEATEEMPECSFRSFEFVNTTYVGEGTTPPIPRLSKTTKMIVSQILGKGYRAGAGLGKELQGIRSPIRTTKNEERFGLGYKPTKKEREEMIAERRKERLARFKGHELEIRGMTYPHLYKTFRSGGCIFPESLTVENQESVSALGGTFSDLSICATEEGEEQPRNVDEIPTTYLGPPNLKLSNWTTMSLPVTCDSISK >EOY19579 pep chromosome:Theobroma_cacao_20110822:10:18971428:18972268:1 gene:TCM_044723 transcript:EOY19579 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MVGGRDVVRVLNRSAFHDTQLVCSGDLTYLGGSWSKTIGSAGEVPLQGDFSAEHMIFGVEGIDPVSDGQRQRVQICMGLLHPFQVLLLDEVTVNLDVVARMDLLDFFKEECEQRGATIVYATHIFDGLETWATHLAYIQDGELKRSEKLT >EOY17746 pep chromosome:Theobroma_cacao_20110822:10:737415:739978:-1 gene:TCM_042483 transcript:EOY17746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) [Source:Projected from Arabidopsis thaliana (AT2G40160) TAIR;Acc:AT2G40160] MKKVGMTQRCNYSPILTIVVFSTLFFGFFSYKEYRKMIPVPDFKHQKPFPESSNTSNVGIQETDKEHNDGKAKVETKGGIEEKFQDSNDSNLITVEEEEDDGANKRIVFPLEECDIFKGEWVFDNGTHPLYKEEECEFLTEMGTCQKNGRPDSLYQKWRWQPRDCSLPKFDAKLLLGKLQGKRLMFVGDSIHFSQMLSLVCMVQSVIPPEKKSFSYGRYTTVFKMEEYNATMEFYWAPFLVESNVDPPTRRDGTVDPVIKLESISKHGDNWKNVDYLIFNTYIWWRYPTMKVLRGSFDDGVTDYVEINQNIAYESVLRSWGKWLEENVDSNHTSVFFSSPAPSHIKSSAWNNTSGIKCSNETTPILNISTSLDVGTNRWLFAIAVNVSRSLEIPVHFLDITTLSEYRKDAHTSIYAAPGGKLLTQEQKSDPATYADCVHWCLPGLPDTWNELLYTLIISQT >EOY19240 pep chromosome:Theobroma_cacao_20110822:10:14861803:14871251:-1 gene:TCM_044228 transcript:EOY19240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leukocyte immunoglobulin-like receptor subfamily A member 5 isoform 2 MYHPTRGGVRGGRDQFSWDDVKVDKHRENYLGHSIKAPVGRWQKGKDLHWYARDKKSGGSDVEALSEEIRRVKEEEEQAMREALGLAPKRSSQPQGNRLDKHELSELVKRGSTAEDLGAGHAEAARVHGLGFSRVPRPWEDPSTLPSSQKEASSETVKVDEHLPSTTNTVEKESEDESSRKGRKHEEKRQEKHEKRERHEKRERYEKRERHEKRERYEKRERHEKRESHDSYEKKRRRKDKEKRRHDSNSD >EOY19241 pep chromosome:Theobroma_cacao_20110822:10:14862323:14866529:-1 gene:TCM_044228 transcript:EOY19241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leukocyte immunoglobulin-like receptor subfamily A member 5 isoform 2 MYHPTRGGVRGGRDNFWDDVKVDKHRENYLGHSIKAPVGRWQKGKDLHWYARDKKSGGSDVEALSEEIRRVKEEEEQAMREALGLAPKRSSQPQGNRLDKHELSELVKRGSTAEDLGAGHAEAARVHGLGFSRVPRPWEDPSTLPSSQKEASSETVKVDEHLPSTTNTVEKESEDESSRKGRKHEEKRQEK >EOY19427 pep chromosome:Theobroma_cacao_20110822:10:17435722:17439313:-1 gene:TCM_044524 transcript:EOY19427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MFFKELKVLNVLVLRRVFLSLEELQFLTNLRTLHLEGCHLENASALGNLKELEILVIRYSDINKLPELWELTTLRLLVIWNYSPVLIPQNLQPRLERLEELHLYPYIQRRVISLLKLCSSPHLTSLTLTVSSRRIPKSFAFPRLQSFIIIVNLVAEDVYNVYETNSVGYLTSRRILAISGFSLNAFKKLFWNVEELTLDNVMDYKNIVPSADQGGLNELTSFNIRDCKDLEYLIDTTQEQGPHSAAAFCNLVILTLTNMICLKELCHGRFPNGFLQKLEILTILECNNLIVAIPDLPNIKEVSVEDCAGLEVVFQINGLLHASEENQTSMLSSLTNLELDSLPELKHIWKGPPHLVKLQSLGVIRIVSCDKLASLFPATIAQSLVHLEELHIHDCSELEHIITEAETDNNEIVSNTHLHPLCWPKLRTLDISNCPRLRYVFPTFVSECLPRLEVLCLKDLAQLKQVFSPAKERDGNNIPLKLLALQELSVENCPQLTCFIVQDQIKELSLSKLGNSSQLCISTNCSQDYIAVGNHEEVFQVQGEYSFSSLNVLHLEDLSEVRLIWKDVPQVVTLENLTTLNVIDCKRLSYIFSPTTARGLSQLVCLNIQKCDKLDRIIAEDQVCSSSNADLQPISFPNLTTISVGFCKKLKSLFPLGSVRCLPKLEKLIVERNSELEQVFELEDEAEVIIKKEIKFDQLEELSLQEQPSLIDFCPSGYHFVLPDLKCLMVTKCPKMTTCFFIDSEYSVHAKAEVYTLKTLILCSSRESFNDIIRRNLQSLYLND >EOY19191 pep chromosome:Theobroma_cacao_20110822:10:13483257:13484125:1 gene:TCM_044094 transcript:EOY19191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNVGEYNKLGLRNKGKNLKRRRRIQKRILKILNTFVEVRITISLDKCRLRENVIVICTKKLIVWLLKCMTRMYYKVAV >EOY19238 pep chromosome:Theobroma_cacao_20110822:10:14830343:14845768:-1 gene:TCM_044225 transcript:EOY19238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRKEYKHANDVKLGVGMMRIRMDYVRDNLCGVIRVSVESYPWIMGAQHESREGDYINSYSSRSLPLSNTGAAPVSKEDYVNEHQGENDVDDLESDPLMHMSSDSESSKWSRMVYSKLV >EOY17650 pep chromosome:Theobroma_cacao_20110822:10:452162:452965:-1 gene:TCM_042419 transcript:EOY17650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-binding protein C-terminal interacting protein 6, putative MEVFSQRSSSSTLNPNAPLFVPLAYRTVEDFSDQWWALVQSSPCFRDYWLRERFHDPQNDDDSLFFPDDLDAIFDEYDDFSAYSRQQEKEGDGDKELVPIGALKWRKGRVAAESPRFLEKAPKIVNVKVSPRTIHQPR >EOY20177 pep chromosome:Theobroma_cacao_20110822:10:25159496:25164739:-1 gene:TCM_045556 transcript:EOY20177 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 70, putative isoform 2 MGTTLFPCPERLSSDKKRVIQELVHGQECATQLQILFHKPSEERGQLTAKELVQKILRSFNETISVLSSCDSAQVSRNQATNSNDDSPCCVDRRSEDSSESRKRPSSKDRRGCYKRKRAANTWTVISAAMEDGHAWRKYGQKEILNAKHPRSYFRCTRKYDQGCRATKQVQRMEDDSQMFQTVYIGSHTCRDSSKAPQIITDHSEPWKSYNMVTSGDSKISSKQQHHHHHCLNPSPTTPTVKQESKEETTASDLTDWDSNVWKDIIGLSGFEIMDLQIKPVEFQSDFTFDESEFV >EOY20178 pep chromosome:Theobroma_cacao_20110822:10:25162637:25164665:-1 gene:TCM_045556 transcript:EOY20178 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 70, putative isoform 2 MGTTLFPCPERLSSDKKRVIQELVHGQECATQLQILFHKPSEERGQLTAKELVQKILRSFNETISVLSSCDSAQVSRNQATNSNDDSPCCVDRRSEDSSESRKRPSSKDRRGCYKRKRAANTWTVISAAMEDGHAWRKYGQKEILNAKHPSYFRCTRKYDQGCRATKQVQRMEDDSQMFQTVYIGSHTCRDSSKAPQIITDHSEPWKSYNMVTSGDSKISSKQQHHHHHCLNPSPTTPTVKQESKEETTASDLTDWDSNVWKDIIGLSGFEIMDLQIKPVEFQSDFTFDESEFV >EOY19777 pep chromosome:Theobroma_cacao_20110822:10:22439658:22444865:1 gene:TCM_045116 transcript:EOY19777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein MSKKLGFRKALETKVKKSQAAAKKKAISIFTAMSVAHVDDEEPGPGEVRHVEKVLSTGDFYTGQWCDSLPHGNGKFLWTDGCMYVGEWLKGKTMGKGRFSWPSGATYEGEFKSGFMDGKGTYTGSSGDTYKGSWVMNFKHGQGTQSYANGDYHEGEWRRGFQDGHGRYQWKNGNHYIGQWRNGMMNGNGTMIWSNGNRYDGFWEDGFPKGNGKFRWADGSFYVGVWSKDGKEQSGTYYPSGSHSGNLDWDPQQLFLEDLKDCKICPGEKVSIFPSQKMPHWPGMGKGNGGRPRRSSDARLGNYSWSSDANDVSAASEGDLRDENEGVGNLHHEDSDTRGSLPQFKMPMKKQGLTISKGHKNYELMLNLQLGIRHSVGRPGPAITLDLKPSAFDPKEKVWTKFPPEGSKHTPPHQSCDFKWKDYCPVVFRTLRKLFNVDAADYMISICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLIRMLPAYYNHVRSFENTLVTKFYGLHCVKLTGAAQKKVRFVIMGNLFCTDYSIHRRFDLKGSSHGRTTAKPESEIDSTTTLKDLDLNYIFRLQKLWYQEFCRQVDRDCDFLEQERIMDYSLLIGFHFREVSTPCTSGVCTPTGNGDCEKADKDKLLLSPSRLSSIRLGISMPARAEKTLRKSDCEAQLVGESTGVIYDVILFFGIIDILQDYDISKKLEHAYKSMQYDPTSISAVDPKQYSKRFRDFIFRIFVEDT >EOY20129 pep chromosome:Theobroma_cacao_20110822:10:25008371:25009903:1 gene:TCM_045526 transcript:EOY20129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin A8, ALPHA 1.11,EXPA8 MAKAGLSAASLLLFLFNFCLRGAYGDYGGGWEGGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMRCDNDPKWCLAGSIIVTATNFCPPNNALSNDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPISFRRVPCTKKGGIRFTINGHSYFNLVLITNVGGAGDVHAVSIKGSNTGWQPMSRNWGQNWQSNSYLNGQSLSFQVTTSDGRTVTSYNVVPGSWQFGQTFEGGQF >EOY18818 pep chromosome:Theobroma_cacao_20110822:10:5114346:5118353:-1 gene:TCM_043307 transcript:EOY18818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 24 MDKFKSMLKPKTNPQQLLRDWQRKLRQECRNIERQIRDVQREEKSVQKAIREAAKRNDIGSAKALAKEIVMSRKAVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPEMAATMQEFSKEMTKAGVIEEIVNDALDTALDSEDIEEETEEEVDKVLSEIAGETAAQLPEAVRKERVRVPTQRESTSHEEEAITEGADDEEELEEIRARLARVRS >EOY20255 pep chromosome:Theobroma_cacao_20110822:10:25370021:25371075:1 gene:TCM_045611 transcript:EOY20255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding isoform 3 MGMATTMGLRGLSLSGCSLPLLRSLKAKPPTSSYPTARAFAATTGTTSTLKALLSPYNPIILRGCPSFCVAPNANAAATAPSESNGDDPTDNIKDAANLLDLRVGRIIKAWRHEEADSLYVEEVDVGEPEPRIICSGLVNYIPLHLLEYAKVVVFANLKPRNMRGVKSCGMLMAASDASHQNFELLVPPEASVPGERIWFGTEHDKDNLPDPATPNQIVASGKVAGFLVLHIAKPIRHYCLRKLKGSKEKDLGIGAASS >EOY20256 pep chromosome:Theobroma_cacao_20110822:10:25369784:25371054:1 gene:TCM_045611 transcript:EOY20256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding isoform 3 MGMATTMGLRGLSLSGCSLPLLRSLKAKPPTSSYPTARAFAATTGTTSTLKALLSPYNPIILRGCPSFCVAPNANAAATAPSESNGDDPTDNIKDAANLLDLRVGRIIKAWRHEEADSLYVEEVDVGEPEPRIICSGLVNYIPLHLLEYAKVVVFANLKPRNMRGVKSCGMLMAASDASHQNFELLVPPEASVPGERIWFGTEHDKDNLPDPATPNQIVASGKVAGFLVLHIAKPIRHYCLRKLKGLKLSIIVLGFSLVI >EOY20254 pep chromosome:Theobroma_cacao_20110822:10:25369780:25371522:1 gene:TCM_045611 transcript:EOY20254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding isoform 3 MGMATTMGLRGLSLSGCSLPLLRSLKAKPPTSSYPTARAFAATTGTTSTLKALLSPYNPIILRGCPSFCVAPNANAAATAPSESNGDDPTDNIKDAANLLDLRVGRIIKAWRHEEADSLYVEEVDVGEPEPRIICSGLVNYIPLHLLEYAKVVVFANLKPRNMRGVKSCGMLMAASDASHQNFELLVPPEASVPGERIWFGTEHDKDNLPDPATPNQVQKKKIWELVQPHLKTDASCTAMLGEHLMQTSTGVVVCKSLKNANIS >EOY19131 pep chromosome:Theobroma_cacao_20110822:10:10866300:10867951:1 gene:TCM_043895 transcript:EOY19131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related protein P2 MKRLSLSILFLASLVAFAAAQSASDVIAYWTDYNTTDNGWTIPSFCAAVDGDKPLEWRSKYGWTGFCGPNATQGVDSCGKCLNVTNTATGAFETVRIVDTCGAGGLELDLETAFKPIDSDGKGYQDGHLTVDYEFVDCDADDDVPDATNVTAYWTDYNATNNGWVIPPFCAGVDGDKPLEWRSKYGWTGFCGPVGPTGVDACGKCLKITNTETKDEEIVRIVDTCGTGGLELDLETAFKPIDTNGNGIKLGHLTVDYKIVDCEDDAVLVYSQ >EOY17854 pep chromosome:Theobroma_cacao_20110822:10:1184760:1187642:-1 gene:TCM_042565 transcript:EOY17854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-dependent gravitropism-deficient and yellow-green-like 2 isoform 2 MFPQQPQQLDETMRIPKETIDILKDQVFAFDTFFVTSQEPYEGGVLFKGNLRGQAARSYEKISTRMQNKFGDQYKLFLLINPEDDKPVAVVVPKTTLQPETTAVPEWFAAGAFGLVTVFTLLLRNVPSLQSNLLSTFDNLNLLLDGLPGAFVTALLLGLHEFGHILVAKSTGVKLGVPFFVPSWQIGSFGAITRIKSIVPKREDLLKVAAAGPLAGFSLGFVLFLLGFILPPSDGIGVVVDASVFHESFLAGGVAKLLLGDALKEGTPISLNPLVIWAWAGLLINAINSIPAGELDGGRISLAIWGRKASSRFTAVSIALLGISSLFNDVAFYWVVLIFFLQRGPISPLSEEITDPDGKYVALGVLVLILGLLVCLPYPFPFTDESITNF >EOY17855 pep chromosome:Theobroma_cacao_20110822:10:1184365:1187758:-1 gene:TCM_042565 transcript:EOY17855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-dependent gravitropism-deficient and yellow-green-like 2 isoform 2 MRIPKETIDILKDQVFAFDTFFVTSQEPYEGGVLFKGNLRGQAARSYEKISTRMQNKFGDQYKLFLLINPEDDKPVAVVVPKTTLQPETTAVPEWFAAGAFGLVTVFTLLLRNVPSLQSNLLSTFDNLNLLLDGLPGAFVTALLLGLHEFGHILVAKSTGVKLGVPFFVPSWQIGSFGAITRIKSIVPKREDLLKVAAAGPLAGFSLGFVLFLLGFILPPSDGIGVVVDASVFHESFLAGGVAKLLLGDALKEGTPISLNPLVIWAWAGLLINAINSIPAGELDGGRISLAIWGRKASSRFTAVSIALLGISSLFNDVAFYWVVLIFFLQRGPISPLSEEITDPDGKYVALGVLVLILGLLVCLPYPFPFTDESITNF >EOY17853 pep chromosome:Theobroma_cacao_20110822:10:1184365:1189195:-1 gene:TCM_042565 transcript:EOY17853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-dependent gravitropism-deficient and yellow-green-like 2 isoform 2 MNFAVSFRGNFGVLSQCSSCCDLRFQPLLASPSLAKSKRCRLRNLKFSQLSRRREIVCRVTETETESDSNNEKEKEENEGGENPDTTGSAERNDSQIDPQPVNVEQIKNNGAETIAQGGVQEDGDVEVTSGSPLPGVKPQQLDETMRIPKETIDILKDQVFAFDTFFVTSQEPYEGGVLFKGNLRGQAARSYEKISTRMQNKFGDQYKLFLLINPEDDKPVAVVVPKTTLQPETTAVPEWFAAGAFGLVTVFTLLLRNVPSLQSNLLSTFDNLNLLLDGLPGAFVTALLLGLHEFGHILVAKSTGVKLGVPFFVPSWQIGSFGAITRIKSIVPKREDLLKVAAAGPLAGFSLGFVLFLLGFILPPSDGIGVVVDASVFHESFLAGGVAKLLLGDALKEGTPISLNPLVIWAWAGLLINAINSIPAGELDGGRISLAIWGRKASSRFTAVSIALLGISSLFNDVAFYWVVLIFFLQRGPISPLSEEITDPDGKYVALGVLVLILGLLVCLPYPFPFTDESITNF >EOY17852 pep chromosome:Theobroma_cacao_20110822:10:1184115:1189611:-1 gene:TCM_042565 transcript:EOY17852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-dependent gravitropism-deficient and yellow-green-like 2 isoform 2 MNFAVSFRGNFGVLSQCSSCCDLRFQPLLASPSLAKSKRCRLRNLKFSQLSRFCRRREIVCRVTETETESDSNNEKEKEENEGGENPDTTGSAERNDSQIDPQPVNVEQIKNNGAETIAQGGVQEDGDVEVTSGSPLPGVKPQQLDETMRIPKETIDILKDQVFAFDTFFVTSQEPYEGGVLFKGNLRGQAARSYEKISTRMQNKFGDQYKLFLLINPEDDKPVAVVVPKTTLQPETTAVPEWFAAGAFGLVTVFTLLLRNVPSLQSNLLSTFDNLNLLLDGLPGAFVTALLLGLHEFGHILVAKSTGVKLGVPFFVPSWQIGSFGAITRIKSIVPKREDLLKVAAAGPLAGFSLGFVLFLLGFILPPSDGIGVVVDASVFHESFLAGGVAKLLLGDALKEGTPISLNPLVIWAWAGLLINAINSIPAGELDGGRISLAIWGRKASSRFTAVSIALLGISSLFNDVAFYWVVLIFFLQRGPISPLSEEITDPDGKYVALGVLVLILGLLVCLPYPFPFTDESITNF >EOY18533 pep chromosome:Theobroma_cacao_20110822:10:3748285:3780423:1 gene:TCM_043070 transcript:EOY18533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein isoform 1 MDEAKEKGGSGSIVTESSVTVSETAVETMACEGQVQIEEGGEGGPINGDDIMVEVLGSHVYVDGICTTDGGGGGGVGGDSNDEAVCGHDEPGEVGLEGNLTSLDGEDDTAGDLGSRSDVSCGETLSAIERGKDQNEVNGAGIEGSSAPDSSAGGEACQNAEPSSRMDKGGGDANQARETQKVGDLDGNELNHENQSAVVCLSAASEDSNVQTQAVNEAPMTIDGEDLNTTDGARETISGRTKKAADVDADFNSLDVKTQVTVEDVPHCEAKDLVSSIQPTELVVEGQLDEKVSLNMEIDKQGTDSEQCQMEVNTSHQIIKNHATGNDLSLKAGTDIDRGEEVDLCMGEAVDVENQNSDAKIVGSDAEQDVKVQEDSIKVETVGIGTENHKNACEGSELLGHQKDAFVGSDGGEVLKVNNNVSNQISTSVASDKVLHSSGNEDQLAKSSVSEDDSSVGQDLYVEEQVTGAEQDGLDQVQEMEVEEHDTDSEQPTNIDEKTVKRTVLKCASAVKVHQAKYLLLSEEEGEFSVSGLVWGKVRSHPWWPGQIFDPSDASEKAVKYHKKDCFLVAYFGDRTFAWNEASLLKPFRTHFSQIEKQSNSESFQNAVNCALEEVSRRAELGLACSCMPQDAYDKIKFQKVENTGVRQESSIRDGVDVSLSASSFEPDKLVDYMKALAESPAGGGDRLDLVIVKAQLLAFYRLKGYHQLPEFQSCGGLSENEANTSHSEENMYFGEEIEHTTPMDTDAEQISTGQETSMSQRSSYLKRKHNLKDGLYPSKKERSLSELMDETFDSPDVENGTDGIANRLPSSSSGKKRKAVDSFDDSVVQEGRKTISLAKVSLTTPHFPKPSFKIGECIRRAASQMTGSPLIPKGKLDGGSENTAADGYDVPFDNSEDAQRKRMNVTAEYSSLDELLSQLHLAACDPMKSYSSFNIFISFFSDFRDSLVVDQLPGDKAGGKRKKSPNSIIGFPETFEFEDMNDTYWTDRIVQNGSEEHPLHGNGRGQYQIVPVELEKPLQKGRKSRKRYSDVNHDLTAEKPPGYVDERAPAELVMNFSEINSVPSETKLNKMFKHFGPLKESETEVDRETSRARVVFRRSSDAEVAYNSAGKFNIFGSVAVNYQLNYTISESFKASLYAPTLAEETPLMASTLGGDHGLVASSLSETSLIAPSLGEEASFMVSTLGEDTLSIATTFHEESSMIASSLGDDTLAIPTTLGDGASIIATTMYEETLPIASTTGEGTMGVATTIGDQSFMVATTVGEQFSTVVTTISEQTSTVATPMGEEDSFITTTLSKETSTITTTLGGETSMVNVSLDEETSSMATLGEETPSILASLGEETPSIPTSLDEETPSVPTTLGEEILTIPSTLGEETPIYPVTLAEETPTITITLGQETPDLHTTLGAETPVIPSTLDKETPVIPPTLGEETPAIPPTLSDEISTITVTLGQETQTIPTIVAEETTTVLATLVEETTTIPTTLHEETLAVPTTLAEKTPTIPTTLGEETATIPTTLGKETESIPKTLGEETSTNSTTLGEETSTIPTTLAEEIPTNPITVTEETSTIPTTLGEETVAIPTTLGLEASTVLTTTGEEPSTVSTTMGMETLPPAVAEGLIQLATED >EOY18529 pep chromosome:Theobroma_cacao_20110822:10:3750661:3759204:1 gene:TCM_043070 transcript:EOY18529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein isoform 1 MDEAKEKGGSGSIVTESSVTVSETAVETMACEGQVQIEEGGEGGPINGDDIMVEVLGSHVYVDGICTTDGGGGGGVGGDSNDEAVCGHDEPGEVGLEGNLTSLDGEDDTAGDLGSRSDVSCGETLSAIERGKDQNEVNGAGIEGSSAPDSSAGGEACQNAEPSSRMDKGGGDANQARETQKVGDLDGNELNHENQSAVVCLSAASEDSNVQTQAVNEAPMTIDGEDLNTTDGARETISGRTKKAADVDADFNSLDVKTQVTVEDVPHCEAKDLVSSIQPTELVVEGQLDEKVSLNMEIDKQGTDSEQCQMEVNTSHQIIKNHATGNDLSLKAGTDIDRGEEVDLCMGEAVDVENQNSDAKIVGSDAEQDVKVQEDSIKVETVGIGTENHKNACEGSELLGHQKDAFVGSDGGEVLKVNNNVSNQISTSVASDKVLHSSGNEDQLAKSSVSEDDSSVGQDLYVEEQVTGAEQDGLDQVQEMEVEEHDTDSEQPTNIDEKTVKRTVLKCASAVKVHQAKYLLLSEEEGEFSVSGLVWGKVRSHPWWPGQIFDPSDASEKAVKYHKKDCFLVAYFGDRTFAWNEASLLKPFRTHFSQIEKQSNSESFQNAVNCALEEVSRRAELGLACSCMPQDAYDKIKFQKVENTGVRQESSIRDGVDVSLSASSFEPDKLVDYMKALAESPAGGGDRLDLVIVKAQLLAFYRLKGYHQLPEFQSCGGLSENEANTSHSEENMYFGEEIEHTTPMDTDAEQISTGQETSMSQRSSYLKRKHNLKDGLYPSKKERSLSELMDETFDSPDVENGTDGIANRLPSSSSGKKRKAVDSFDDSVVQEGRKTISLAKVSLTTPHFPKPSFKIGECIRRAASQMTGSPLIPKGKLDGGSENTAADGYDVPFDNSEDAQRKRMNVTAEYSSLDELLSQLHLAACDPMKSYSSFNIFISFFSDFRDSLVVDQLPGDKAGGKRKKSPNSIIGFPETFEFEDMNDTYWTDRIVQNGSEEHPLHGNGRGQYQIVPVELEKPLQKGRKSRKRYSDVNHDLTAEKPPGYVDERAPAELVMNFSEINSVPSETKLNKMFKHFGPLKESETEVDRETSRARVVFRRSSDAEVAYNSAGKFNIFGSVAVNYQLNYTISESFKASLYAPTLAEETPLMASTLGGDHGLVASSLSETSLIAPSLGEEASFMVSTLGEDTLSIATTFHEESSMIASSLGDDTLAIPTTLGDGASIIATTMYEETLPIASTTGEGTMGVATTIGDQSFMVATTVGEQFSTVVTTISEQTSTVATPMGEEDSFITTTLSKETSTITTTLGGETSMVNVSLDEETSSMATLGEETPSILASLGEETPSIPTSLDEETPSVPTTLGEEILTIPSTLGEETPIYPVTLAEETPTITITLGQETPDLHTTLGAETPVIPSTLDKETPVIPPTLGEETPAIPPTLSDEISTITVTLGQETQTIPTIVAEETTTVLATLVEETTTIPTTLHEETLAVPTTLAEKTPTIPTTLGEETATIPTTLGKETESIPKTLGEETSTNSTTLGEETSTIPTTLAEEIPTNPITVTEETSTIPTTLGEETVAIPTTLGLEASTVLTTTGEEPSTVSTTMGMETLPPAVAEGEESAT >EOY18532 pep chromosome:Theobroma_cacao_20110822:10:3750651:3757104:1 gene:TCM_043070 transcript:EOY18532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein isoform 1 MDEAKEKGGSGSIVTESSVTVSETAVETMACEGQVQIEEGGEGGPINGDDIMVEVLGSHVYVDGICTTDGGGGGGVGGDSNDEAVCGHDEPGEVGLEGNLTSLDGEDDTAGDLGSRSDVSCGETLSAIERGKDQNEVNGAGIEGSSAPDSSAGGEACQNAEPSSRMDKGGGDANQARETQKVGDLDGNELNHENQSAVVCLSAASEDSNVQTQAVNEAPMTIDGEDLNTTDGARETISGRTKKAADVDADFNSLDVKTQVTVEDVPHCEAKDLVSSIQPTELVVEGQLDEKVSLNMEIDKQGTDSEQCQMEVNTSHQIIKNHATGNDLSLKAGTDIDRGEEVDLCMGEAVDVENQNSDAKIVGSDAEQDVKVQEDSIKVETVGIGTENHKNACEGSELLGHQKDAFVGSDGGEVLKVNNNVSNQISTSVASDKVLHSSGNEDQLAKSSVSEDDSSVGQDLYVEEQVTGAEQDGLDQVQEMEVEEHDTDSEQPTNIDEKTVKRTVLKCASAVKVHQAKYLLLSEEEGEFSVSGLVWGKVRSHPWWPGQIFDPSDASEKAVKYHKKDCFLVAYFGDRTFAWNEASLLKPFRTHFSQIEKQSNSESFQNAVNCALEEVSRRAELGLACSCMPQDAYDKIKFQKVENTGVRQESSIRDGVDVSLSASSFEPDKLVDYMKALAESPAGGGDRLDLVIVKAQLLAFYRLKGYHQLPEFQSCGGLSENEANTSHSEENMYFGEEIEHTTPMDTDAEQISTGQETSMSQRSSYLKRKHNLKDGLYPSKKERSLSELMDETFDSPDVENGTDGIANRLPSSSSGKKRKAVDSFDDSVVQEGRKTISLAKVSLTTPHFPKPSFKIGECIRRAASQMTGSPLIPKGKLDGGSENTAADGYDVPFDNSEDAQRKRMNVTAEYSSLDELLSQLHLAACDPMKSYSSFNIFISFFSDFRDSLVVDQLPGDKAGGKRKKSPNSIIGFPETFEFEDMNDTYWTDRIVQNGSEEHPLHGNGRGQYQIVPVELEKPLQKGRKSRKRYSDVNHDLTAEKPPGYVDERAPAELVMNFSEINSVPSETKLNKMFKHFGPLKESETEVDRETSRARVVFRRSSDAEVAYNSAGKFNIFGSVAVNYQLNYTISESFKASLYAPTLAEETPLMASTLGGDHGLVASSLSETSLIAPSLGEEASFMVSTLGEDTLSIATTFHEESSMIASSLGDDTLAIPTTLGDGASIIATTMYEETLPIASTTGEGTMGVATTIGDQSFMVATTVGEQFSTVVTTISEQTSTVATPMGEEDSFITTTLSKETSTITTTLGGETSMVNVSLDEETSSMATLGEETPSILASLGEETPSIPTSLDEETPSVPTTLGEEILTIPSTLGEETPIYPVTLAEETPTITITLGQETPDLHTTLGAETPVIPSTLDKETPVIPPTLGEETPAIPPTLSDEISTITVTLGQETQTIPTIVAEETTTVLATLVEETTTIPTTLHEETLAVPTTLAEKTPTIPTTLGEETATIPTTLGKETESIPKTLGEETSTNSTTLGEETSTIPTTLAEEIPTNPITVTEETSTIPTTLGEETVAIPTTLGLEASTVLTTTGEEPSTVSTTMGMETLPPAVAEGANTS >EOY18528 pep chromosome:Theobroma_cacao_20110822:10:3751280:3756433:1 gene:TCM_043070 transcript:EOY18528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein isoform 1 MDEAKEKGGSGSIVTESSVTVSETAVETMACEGQVQIEEGGEGGPINGDDIMVEVLGSHVYVDGICTTDGGGGGGVGGDSNDEAVCGHDEPGEVGLEGNLTSLDGEDDTAGDLGSRSDVSCGETLSAIERGKDQNEVNGAGIEGSSAPDSSAGGEACQNAEPSSRMDKGGGDANQARETQKVGDLDGNELNHENQSAVVCLSAASEDSNVQTQAVNEAPMTIDGEDLNTTDGARETISGRTKKAADVDADFNSLDVKTQVTVEDVPHCEAKDLVSSIQPTELVVEGQLDEKVSLNMEIDKQGTDSEQCQMEVNTSHQIIKNHATGNDLSLKAGTDIDRGEEVDLCMGEAVDVENQNSDAKIVGSDAEQDVKVQEDSIKVETVGIGTENHKNACEGSELLGHQKDAFVGSDGGEVLKVNNNVSNQISTSVASDKVLHSSGNEDQLAKSSVSEDDSSVGQDLYVEEQVTGAEQDGLDQVQEMEVEEHDTDSEQPTNIDEKTVKRTVLKCASAVKVHQAKYLLLSEEEGEFSVSGLVWGKVRSHPWWPGQIFDPSDASEKAVKYHKKDCFLVAYFGDRTFAWNEASLLKPFRTHFSQIEKQSNSESFQNAVNCALEEVSRRAELGLACSCMPQDAYDKIKFQKVENTGVRQESSIRDGVDVSLSASSFEPDKLVDYMKALAESPAGGGDRLDLVIVKAQLLAFYRLKGYHQLPEFQSCGGLSENEANTSHSEENMYFGEEIEHTTPMDTDAEQISTGQETSMSQRSSYLKRKHNLKDGLYPSKKERSLSELMDETFDSPDVENGTDGIANRLPSSSSGKKRKAVDSFDDSVVQEGRKTISLAKVSLTTPHFPKPSFKIGECIRRAASQMTGSPLIPKGKLDGGSENTAADGYDVPFDNSEDAQRKRMNVTAEYSSLDELLSQLHLAACDPMKSYSSFNIFISFFSDFRDSLVVDQLPGDKAGGKRKKSPNSIIGFPETFEFEDMNDTYWTDRIVQNGSEEHPLHGNGRGQYQIVPVELEKPLQKGRKSRKRYSDVNHDLTAEKPPGYVDERAPAELVMNFSEINSVPSETKLNKMFKHFGPLKESETEVDRETSRARVVFRRSSDAEVAYNSAGKFNIFGSVAVNYQLNYTISESFKASLYAPTLAEETPLMASTLGGDHGLVASSLSETSLIAPSLGEEASFMVSTLGEDTLSIATTFHEESSMIASSLGDDTLAIPTTLGDGASIIATTMYEETLPIASTTGEGTMGVATTIGDQSFMVATTVGEQFSTVVTTISEQTSTVATPMGEEDSFITTTLSKETSTITTTLGGETSMVNVSLDEETSSMATLGEETPSILASLGEETPSIPTSLDEETPSVPTTLGEEILTIPSTLGEETPIYPVTLAEETPTITITLGQETPDLHTTLGAETPVIPSTLDKETPVIPPTLGEETPAIPPTLSDEISTITVTLGQETQTIPTIVAEETTTVLATLVEETTTIPTTLHEETLAVPTTLAEKTPTIPTTLGEETATIPTTLGKETESIPKTLGEETSTNSTTLGEETSTIPTTLAEEIPTNPITVTEETSTIPTTLGEETVAIPTTLGLEASTVLTTTGEEPSTVSTTMGMETLPPAVAEGEESAT >EOY18530 pep chromosome:Theobroma_cacao_20110822:10:3750651:3757150:1 gene:TCM_043070 transcript:EOY18530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein isoform 1 MDEAKEKGGSGSIVTESSVTVSETAVETMACEGQVQIEEGGEGGPINGDDIMVEVLGSHVYVDGICTTDGGGGGGVGGDSNDEAVCGHDEPGEVGLEGNLTSLDGEDDTAGDLGSRSDVSCGETLSAIERGKDQNEVNGAGIEGSSAPDSSAGGEACQNAEPSSRMDKGGGDANQARETQKVGDLDGNELNHENQSAVVCLSAASEDSNVQTQAVNEAPMTIDGEDLNTTDGARETISGRTKKAADVDADFNSLDVKTQVTVEDVPHCEAKDLVSSIQPTELVVEGQLDEKVSLNMEIDKQGTDSEQCQMEVNTSHQIIKNHATGNDLSLKAGTDIDRGEEVDLCMGEAVDVENQNSDAKIVGSDAEQDVKVQEDSIKVETVGIGTENHKNACEGSELLGHQKDAFVGSDGGEVLKVNNNVSNQISTSVASDKVLHSSGNEDQLAKSSVSEDDSSVGQDLYVEEQVTGAEQDGLDQVQEMEVEEHDTDSEQPTNIDEKTVKRTVLKCASAVKVHQAKYLLLSEEEGEFSVSGLVWGKVRSHPWWPGQIFDPSDASEKAVKYHKKDCFLVAYFGDRTFAWNEASLLKPFRTHFSQIEKQSNSESFQNAVNCALEEVSRRAELGLACSCMPQDAYDKIKFQKVENTGVRQESSIRDGVDVSLSASSFEPDKLVDYMKALAESPAGGGDRLDLVIVKAQLLAFYRLKGYHQLPEFQSCGGLSENEANTSHSEENMYFGEEIEHTTPMDTDAEQISTGQETSMSQRSSYLKRKHNLKDGLYPSKKERSLSELMDETFDSPDVENGTDGIANRLPSSSSGKKRKAVDSFDDSVVQEGRKTISLAKVSLTTPHFPKPSFKIGECIRRAASQMTGSPLIPKGKLDGGSENTAADGYDVPFDNSEDAQRKRMNVTAEYSSLDELLSQLHLAACDPMKSYSSFNIFISFFSDFRDSLVVDQLPGDKAGGKRKKSPNSIIGFPETFEFEDMNDTYWTDRIVQNGSEEHPLHGNGRGQYQIVPVELEKPLQKGRKSRKRYSDVNHDLTAEKPPGYVDERAPAELVMNFSEINSVPSETKLNKMFKHFGPLKESETEVDRETSRARVVFRRSSDAEVAYNSAGKFNIFGSVAVNYQLNYTISESFKASLYAPTLAEETPLMASTLGGDHGLVASSLSETSLIAPSLGEEASFMVSTLGEDTLSIATTFHEESSMIASSLGDDTLAIPTTLGDGASIIATTMYEETLPIASTTGEGTMGVATTIGDQSFMVATTVGEQFSTVVTTISEQTSTVATPMGEEDSFITTTLSKETSTITTTLGGETSMVNVSLDEETSSMATLGEETPSILANSNYSHNLG >EOY18531 pep chromosome:Theobroma_cacao_20110822:10:3750651:3757150:1 gene:TCM_043070 transcript:EOY18531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein isoform 1 MDEAKEKGGSGSIVTESSVTVSETAVETMACEGQVQIEEGGEGGPINGDDIMVEVLGSHVYVDGICTTDGGGGGGVGGDSNDEAVCGHDEPGEVGLEGNLTSLDGEDDTAGDLGSRSDVSCGETLSAIERGKDQNEVNGAGIEGSSAPDSSAGGEACQNAEPSSRMDKGGGDANQARETQKVGDLDGNELNHENQSAVVCLSAASEDSNVQTQAVNEAPMTIDGEDLNTTDGARETISGRTKKAADVDADFNSLDVKTQVTVEDVPHCEAKDLVSSIQPTELVVEGQLDEKVSLNMEIDKQGTDSEQCQMEVNTSHQIIKNHATGNDLSLKAGTDIDRGEEVDLCMGEAVDVENQNSDAKIVGSDAEQDVKVQEDSIKVETVGIGTENHKNACEGSELLGHQKDAFVGSDGGEVLKVNNNVSNQISTSVASDKVLHSSGNEDQLAKSSVSEDDSSVGQDLYVEEQVTGAEQDGLDQVQEMEVEEHDTDSEQPTNIDEKTVKRTVLKCASAVKVHQAKYLLLSEEEGEFSVSGLVWGKVRSHPWWPGQIFDPSDASEKAVKYHKKDCFLVAYFGDRTFAWNEASLLKPFRTHFSQIEKQSNSESFQNAVNCALEEVSRRAELGLACSCMPQDAYDKIKFQKVENTGVRQESSIRDGVDVSLSASSFEPDKLVDYMKALAESPAGGGDRLDLVIVKAQLLAFYRLKGYHQLPEFQSCGGLSENEANTSHSEENMYFGEEIEHTTPMDTDAEQISTGQETSMSQRSSYLKRKHNLKDGLYPSKKERSLSELMDETFDSPDVENGTDGIANRLPSSSSGKKRKAVDSFDDSVVQEGRKTISLAKVSLTTPHFPKPSFKIGECIRRAASQMTGSPLIPKGKLDGGSENTAADGYDVPFDNSEDAQRKRMNVTAEYSSLDELLSQLHLAACDPMKSYSSFNIFISFFSDFRDSLVVDQLPGDKAGGKRKKSPNSIIGFPETFEFEDMNDTYWTDRIVQNGSEEHPLHGNGRGQYQIVPVELEKPLQKGRKSRKRYSDVNHDLTAEKPPGYVDERAPAELVMNFSEINSVPSETKLNKMFKHFGPLKESETEVDRETSRARVVFRRSSDAEVAYNSAGKFNIFGSVAVNYQLNYTISESFKASLYAPTLAEETPLMASTLGGDHGLVASSLSETSLIAPSLGEEASFMVSTLGEDTLSIATTFHEESSMIASSLGDDTLAIPTTLGDGASIIATTMYEETLPIASTTGEGTMGVATTIGDQSFMVATTVGEQFSTVVTTISEQTSTVATPMGEEDSFITTTLSKETSTITTTLGGETSMVNVSLDEETSSMATLGEETPSILASLGEETPSIPTSLDEETPSVPTTLGEEILTIPSTLGEETPIYPVTLAEETPTITITLGQETPDLHTTLGAETPVIPSTLDKETPVIPPTLGEETPAIPPTLSDEISTITVTLGQETQTIPTIVAEETTTVLATLVEETTTIPTTLHEETLAVPTTLAEKTPTIPTTLGEETATIPTTLGKETESIPKTLGEETSTNSTTLGEETSTIPTTLAEEIPTNPITVTEETSTIPTTLGEETVAIPTTLGLEASTVLTTTGEEPSTVSTTMGMETLPPAVAEGEESAT >EOY19535 pep chromosome:Theobroma_cacao_20110822:10:18543082:18550396:1 gene:TCM_044665 transcript:EOY19535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 11 MDSPAQNTSLQRLQNVEKRIVRVLDLAGGVMDELANPTGPRKEFINNHCREFMKMIKDIQVTLRDEIKSACEYRPFEKCDYSSRISNEICCRKLEYVLSQLEAMKQTVDEYQGEAGFTVRFGKTFFDFIPTKVKNNNSKVKARPVLLQGLGRRIRNGHGTKFWTESWLPCGPLLDHVGVDLSEAEAELPVASFCDEYGRWNLESVKQLLPQNLILMISAMMIDPSGEEMDDSYWLHSSTESVMTVFEMVRYHVTRVLGCLIVYCLGAHLWMAFFAITLNMSVTYQNPCNHLSRGEPLAPSDFNTY >EOY19252 pep chromosome:Theobroma_cacao_20110822:10:15200725:15209430:1 gene:TCM_044260 transcript:EOY19252 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein isoform 1 MALPNSLFFSSCSSPVGLRRSGISDSHSAPHFSSIESSIIRKNFSGCGSITNFSAKKNIVYAANEGPNAVSSTSLKTDQDADYIPMPIVLIDQDSDSEATIVQLSFGDRLGALIDTMRALKDLRLDVAKGTVLTEGSVTQTKFFITRLDTGRKVEDPDMLERIRLTIINNLLKYHPESSEQLAMGEAFGVKAPEKKLDIDIATRIYVKEDGPKRSLLCIETADRPGLLVEIIKIITDVNIDVESAEIDTEGLVAKDKFHVSYRGAALNSSLSQVLVNCLRYYLRRPETDIDSY >EOY19253 pep chromosome:Theobroma_cacao_20110822:10:15200488:15209928:1 gene:TCM_044260 transcript:EOY19253 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein isoform 1 ELLSSTSMALPNSLFFSSCSSPVGLRRSGISDSHSAPHFSSIESSIIRKNFSGCGSITNFSAKKNIVYAANEGPNAVSSTSLTDQDADYIPMPIVLIDQDSDSEATIVQLSFGDRLGALIDTMRALKDLRLDVAKGTVLTEGSVTQTKFFITRLDTGRKVEDPDMLERIRLTIINNLLKYHPESSEQLAMGEAFGVKAPEKKLDIDIATRIYVKEDGPKRSLLCIETADRPGLLVEIIKIITDVNIDVESAEIDTEGLVAKDKFHVSYRGAALNSSLSQVLVNCLRYYLR >EOY17935 pep chromosome:Theobroma_cacao_20110822:10:1483942:1487552:-1 gene:TCM_042627 transcript:EOY17935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin family protein, putative MATFSINSYTFSFNLQIFIFLFLAAKPISSFAQQALDNNPSFSPHISLFGDARVEDGGSHVRLTRPHAPSSGLLLLEKPFKFADERNVGRPTSFAAEFSFSLSPGNGDGLAFVLIPNGFQTRFQDQGYFGLFGENRFLGIEFDTKKDDKVGDLNANHVGIDVGSLESVKVSNLSSLNLVLNNGEILKSWVDYDSSSKLLQVRLSKLNDKRPFTPILAYRIDLFEMWGNEDVFVGIISTTNEESSQSSSNVYSWRFRVRDVSSWMHSLPADPRGYVDKDSQEFRAERSKFCALTILAGLIFATGCGALLAFVMLFMWAIMVNRHTVFPVECQAGPDDFRYEKVNVIVEKDDQGDKD >EOY19176 pep chromosome:Theobroma_cacao_20110822:10:12122597:12128535:1 gene:TCM_044005 transcript:EOY19176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein isoform 2 MGSEAEAPLPKWASTPCIMGIDEAGRGPVLGPMVYGCLYCARSYQKALATLNFADSKTLKEEKREELFELLKADESIGWSVDVIDPRELSAKMLKKHKVNLNEMSHDSATGLIKTVLNKGVLLTEVYLDTVGDPDKYRIKLSERFPSIKFVVAKKADSLYPVVSGASIVAKVTRDKALREWVLEETAENMHRSFGSGYPGDPETKAWLEHHKHSVFGFPTLVRFSWGTCNSYYKDMVEVLWESDKVDEDVPNSSSGKQLKLRNVGFTTSKRKSEEIESSAKGSCKFFQARKLELLTHF >EOY19177 pep chromosome:Theobroma_cacao_20110822:10:12122532:12128015:1 gene:TCM_044005 transcript:EOY19177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein isoform 2 MGSEAEAPLPKWASTPCIMGIDEAGRGPVLGPMVYGCLYCARSYQKALATLNFADSKTLKEEKREELFELLKADESIGWSVDVIDPRELSAKMLKKHKVNLNEMSHDSATGLIKTVLNKGVLLTEVYLDTVGDPDKYRIKLSERFPSIKFVVAKKADSLYPVVSGASIVAKVTRDKALREWVLEETAENMHRSFGSGYPGDPETKAWLEHHKHSVFGFPTLVRFSWGNLIKWMKMF >EOY20213 pep chromosome:Theobroma_cacao_20110822:10:25268881:25269947:1 gene:TCM_045586 transcript:EOY20213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKVGGVRMRIQFMDCIYLSEIIAEGVERGRLLKELGLISKQNGHESVCSTLSLRLKDPTPSKIAGFCTGLIPLKSSF >EOY19980 pep chromosome:Theobroma_cacao_20110822:10:24239590:24247623:-1 gene:TCM_045384 transcript:EOY19980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMLLLVVLKREALLCLEPFMVCGLFLLVERYVVTRLRDVCFGFFYRCGECDFKLDVKCATLTAHKTEVENNTEAIDAFSSSELDFEENSAQVENNTAAIDAFSSSMSDFEDSSDQTWTPQGIYIIITVVAAPPLFHLLAVGAWWLWHSIPLPFSAFLCGAIAATFGLVVVFIFIAHLCCLFLLVWFPGLSVHPCSLSPRSRCTPIDLMFIRSCGCYLLCKVGLCSSSDGWDRSAQPSCPVYSWVAMLLVCGGAISNLFPPSIADIETTCIYYFHIHERSYPNTVRQSKALPYSFPSQFIIQIPRRDASTITLRRINAIGRSLPNNFILQISNKNNLINFPIKICPLTLPQPNILFLS >EOY19830 pep chromosome:Theobroma_cacao_20110822:10:22977981:22982999:-1 gene:TCM_045190 transcript:EOY19830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRNDVLRERKLEFVRLMDTRGSSLPAGKWAKNYWEIKLYRLKMKEDEDLNKHEKDFDQIIDKLRSLRVEIAEEEKTLIFLESLPDSFADAVKIVIHDTKKLTLAKAREQLKTIKVKLNLYRLEMKESEGLTKHEEDFDQIIEESKKLGVKMGEEQITLMFLASLPNSFADAVESVINAKEMLTLAQVRAKAGREWMKIKKELKREADNVKTRKG >EOY17717 pep chromosome:Theobroma_cacao_20110822:10:646983:654625:1 gene:TCM_042464 transcript:EOY17717 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose pyrophosphorylase 3 isoform 2 STRASSLLLLNHKNNHFTLSLNSKRPSLFHSFPSVSSQNPLFSVSFSLSLSSSPSPSTSSSITRVSTAALEYAPPAPDSNNFQQEISRLKTLRLKLSASKTLKQKLKVLNSDSKVKHFLNTRGFEKVLGSLGLGLDESFLVKCLVAAGQEHVLEMGFGFGEKGGDGVRSSVKTALYALVEMIEKWDVNNGGLREGFVKSQNGSVLEDEDSEDLRKLLKILGEIEEFYGCIGGIIGYQIMVLELLSRSSHEMQTTNHSQHVHESMEYQFLEIHVPTGCDLSQNTEYASQAALWGIEGLPDLGEIYPLGGSADRLGLVDPDTGECLPAAMLRYCGWTLLEGLIRDLQAREFLYFKLYGKQCITPVAIMTSSAKNNHEHITSLCERLGWFGRGRSSFQLFEQPLVPTVSAEDGQWLVRKPFVPVCKPGGHGVIWKLAYDKGIFQWFYDHGRKGATVRQVSNVVAATDVTLLALAGIGLHHGKKLGFASCKRNSGATEGVNVLIEKKNLDGKWAYGLSCIEYTEFDKFGITSGPPSPNSLQAEFPANTNILYVDLPSAELVGSTRSERSLPGLVLNTKKSIVYTDYFGSWHSVHGGRLECTMQNIADNFLNTYSSRCYKGVEDKLDTFIVYNERRRVTSSAKKKRKHADMSLHQTPDGSLLDIMRNAYDLLSHCDIDLPEVEGNDKYVDSGPPFLIFLHPALGPLWEVTRQKFSGGSISKGSELQIEVAEFLWRNVQLEGSMIIAADNIMGSTRVDENGEPTLRYGHSRYGRCKLHNVKVLNDGIDWSSGDNVYWKHDVRRFEALKVILHGNAEFEASNVTIQYREIIYLKSQMATE >EOY17714 pep chromosome:Theobroma_cacao_20110822:10:646385:653549:1 gene:TCM_042464 transcript:EOY17714 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose pyrophosphorylase 3 isoform 2 MASSTRASSLLLLNHKNNHFTLSLNSKRPSLFHSFPSVSSQNPLFSVSFSLSLSSSPSPSTSSSITRVSTAALEYAPPAPDSNNFQQEISRLKTLRLKLSASKTLKQKLKVLNSDSKVKHFLNTRGFEKVLGSLGLGLDESFLVKCLVAAGQEHVLEMGFGFGEKGGDGVRSSVKTALYALVEMIEKWDVNNGGLREGFVKSQNGSVLEDEDSEDLRKLLKILGEIEEFYGCIGGIIGYQIMVLELLSRSSHEMQTTNHSQHVHESMEYQFLEIHVPTGCDLSQNTEYASQAALWGIEGLPDLGEIYPLGGSADRLGLVDPDTGECLPAAMLRYCGWTLLEGLIRDLQAREFLYFKLYGKQCITPVAIMTSSAKNNHEHITSLCERLGWFGRGRSSFQLFEQPLVPTVSAEDGQWLVRKPFVPVCKPGGHGVIWKLAYDKGIFQWFYDHGRKGATVRQVSNVVAATDVTLLALAGIGLHHGKKLGFASCKRNSGATEGVNVLIEKKNLDGKWAYGLSCIEYTEFDKFGITSGPPSPNSLQAEFPANTNILYVDLPSAELVGSTRSERSLPGLVLNTKKSIVYTDYFGSWHSVHGGRLECTMQNIADNFLNTYSSRCYKGVEDKLDTFIVYNERRRVTSSAKKKRKHADMSLHQTPDGSLLDIMRNAYDLLSHCDIDLPEVEGNDKYVDSGPPFLIFLHPALGPLWEVTRQKFSGGSISKGSELQIEVAEFLWRNVQLEGSMIIAADNIMGSTRVDENGEPTLRYGHRYGRCKLHNVKVLNDGIDWSSGDNVYWKHDVRRFEALKVILHGNAEFEASNVTIQAAAILGSMQ >EOY17716 pep chromosome:Theobroma_cacao_20110822:10:646983:654625:1 gene:TCM_042464 transcript:EOY17716 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose pyrophosphorylase 3 isoform 2 STRASSLLLLNHKNNHFTLSLNSKRPSLFHSFPSVSSQNPLFSVSFSLSLSSSPSPSTSSSITRVSTAALEYAPPAPDSNNFQQEISRLKTLRLKLSASKTLKQKLKVLNSDSKVKHFLNTRGFEKVLGSLGLGLDESFLVKCLVAAGQEHVLEMGFGFGEKGGDGVRSSVKTALYALVEMIEKWDVNNGGLREGFVKSQNGSVLEDEDSEDLRKLLKILGEIEEFYGCIGGIIGYQIMVLELLSRSSHEMQTTNHSQHVHESMEYQFLEIHVPTGCDLSQNTEYASQAALWGIEGLPDLGEIYPLGGSADRLGLVDPDTGECLPAAMLRYCGWTLLEGLIRDLQAREFLYFKLYGKQCITPVAIMTSSAKNNHEHITSLCERLGWFGRGRSSFQLFEQPLVPTVSAEDGQWLVRKPFVPVCKPGGHGVIWKLAYDKGIFQWFYDHGRKGATVRQVSNVVAATDVTLLALAGIGLHHGKKLGFASCKRNSGATEGVNVLIEKKNLDGKWAYGLSCIEYTEFDKFGITSGPPSPNSLQAEFPANTNILYVDLPSAELVGSTRSERSLPGLVLNTKKSIVYTDYFGSWHSVHGGRLECTMQNIADNFLNTYSSRCYKGVEDKLDTFIVYNERRRVTSSAKKKRKHADMSLHQQTPDGSLLDIMRNAYDLLSHCDIDLPEVEGNDKYVDSGPPFLIFLHPALGPLWEVTRQKFSGGSISKGSELQIEVAEFLWRNVQLEGSMIIAADNIMGSTRVDENGEPTLRYGHRYGRCKLHNVKVLNDGIDWSSGDNVYWKHDVRRFEALKVILHGNAEFEASNVTIQGNHLFEVPDGYRMKITSGDPGLALQLDPLPQSLMDRGSWFWKYNINGCHILLELIEL >EOY17718 pep chromosome:Theobroma_cacao_20110822:10:646983:652705:1 gene:TCM_042464 transcript:EOY17718 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose pyrophosphorylase 3 isoform 2 STRASSLLLLNHKNNHFTLSLNSKRPSLFHSFPSVSSQNPLFSVSFSLSLSSSPSPSTSSSITRVSTAALEYAPPAPDSNNFQQEISRLKTLRLKLSASKTLKQKLKVLNSDSKVKHFLNTRGFEKVLGSLGLGLDESFLVKCLVAAGQEHVLEMGFGFGEKGGDGVRSSVKTALYALVEMIEKWDVNNGGLREGFVKSQNGSVLEDEDSEDLRKLLKILGEIEEFYGCIGGIIGYQIMVLELLSRSSHEMQTTNHSQHVHESMEYQFLEIHVPTGCDLSQNTEYASQAALWGIEGLPDLGEIYPLGGSADRLGLVDPDTGECLPAAMLRYCGWTLLEGLIRDLQAREFLYFKLYGKQCITPVAIMTSSAKNNHEHITSLCERLGWFGRGRSSFQLFEQPLVPTVSAEDGQWLVRKPFVPVCKPGGHGVIWKLAYDKGIFQWFYDHGRKGATVRQVSNVVAATDVTLLALAGIGLHHGKKLGFASCKRNSGATEGVNVLIEKKNLDGKWAYGLSCIEYTEFDKFGITSGPPSPNRQSSLPIPTFFMWIYLPLN >EOY17715 pep chromosome:Theobroma_cacao_20110822:10:646983:654625:1 gene:TCM_042464 transcript:EOY17715 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose pyrophosphorylase 3 isoform 2 STRASSLLLLNHKNNHFTLSLNSKRPSLFHSFPSVSSQNPLFSVSFSLSLSSSPSPSTSSSITRVSTAALEYAPPAPDSNNFQQEISRLKTLRLKLSASKTLKQKLKVLNSDSKVKHFLNTRGFEKVLGSLGLGLDESFLVKCLVAAGQEHVLEMGFGFGEKGGDGVRSSVKTALYALVEMIEKWDVNNGGLREGFVKSQNGSVLEDEDSEDLRKLLKILGEIEEFYGCIGGIIGYQIMVLELLSRSSHEMQTTNHSQHVHESMEYQFLEIHVPTGCDLSQNTEYASQAALWGIEGLPDLGEIYPLGGSADRLGLVDPDTGECLPAAMLRYCGWTLLEGLIRDLQAREFLYFKLYGKQCITPVAIMTSSAKNNHEHITSLCERLGWFGRGRSSFQLFEQPLVPTVSAEDGQWLVRKPFVPVCKPGGHGVIWKLAYDKGIFQWFYDHGRKGATVRQVSNVVAATDVTLLALAGIGLHHGKKLGFASCKRNSGATEGVNVLIEKKNLDGKWAYGLSCIEYTEFDKFGITSGPPSPNSLQAEFPANTNILYVDLPSAELVGSTRSERSLPGLVLNTKKSIVYTDYFGSWHSVHGGRLECTMQNIADNFLNTYSSRCYKGVEDKLDTFIVYNERRRVTSSAKKKRKHADMSLHQTPDGSLLDIMRNAYDLLSHCDIDLPEVEGNDKYVDSGPPFLIFLHPALGPLWEVTRQKFSGGSISKGSELQIEVAEFLWRNVQLEGSMIIAADNIMGSTRVDENGEPTLRYGHRYGRCKLHNVKVLNDGIDWSSGDNVYWKHDVRRFEALKVILHGNAEFEASNVTIQGNHLFEVPDGYRMKITSGDPGV >EOY19693 pep chromosome:Theobroma_cacao_20110822:10:20925778:20963660:1 gene:TCM_044941 transcript:EOY19693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding transporter 1 isoform 2 MACYDKDEVPMLSDIHPQPSENNQDSQFQALFSRTQSASISIPMNSIESYESTNLVGHTGPLRNERKIPFIQMSGPLYISRKPENSFHPNQSVAGHKMVESKAEKFPSFNGVDEKDWSDNNYVGKNEHLLRSGQLGMCNDPYCTTCPTYYNFKADQEKNSKASGIFDTKFHNVLYGDAKGWARRLMSFLSSYVPGVMNPHATVVQQWNQFFVISCLMAVFIDPLFFFLLSVQKDNKCIVINQPATKAFVVLRSMTDFIYLLNMLLQFRLAYIAPESRVVGAGELVDHPKKIAVNYLSRYFFLDLFVVLPLPQIMILLVLPKYLGSSGANFAKNVLRTAILIQYLPRLYRFLPFLAGQSPSGFIFESAWANFVINLLTFMLSGHVVGSCWYLFGLQRVNQCLRNACHDSNIVNCEEFIDCGYGHTSNRTELPSWKNNANASACFSEDGFPYGIYIQTVNLTTERSIITRYVYSLFWGFQQISTLAGNQTPSYFVWEVLFTMAIVGLGLLLFALLIGNMQNFLQALGRRRLEMSLRRRDVEQWMSHRRLPEELRRKVREAERYNWAATRGVNEEMLFENLPEDLQRDIRRHLFKFVKKVRIFALMDDPILDAICERLRQKTYIKGSKVLSRGSLIEKMVFIVRGKMESVGENGVIDPLCEGDVCGEELLTWCLEHSSVNRDGKKIRIPGQRLLSNRIVRCLTNVEAFSLRAADLEEVTCLFSRFLRNPRVQGAIRYESRYWRSLAATHIQVAWRYRKKRLNRADTSQSGQSSR >EOY19698 pep chromosome:Theobroma_cacao_20110822:10:20925778:20963660:1 gene:TCM_044941 transcript:EOY19698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding transporter 1 isoform 2 MACYDKDEVPMLSDIHPQPSENNQDSQFQALFSRTQSASISIPMNSIESYESTNLVGHTGPLRNERKIPFIQMSGPLYISRKPENSFHPNQSVAGHKMVESKAEKFPSFNGVDEKDWSDNNYVGKNEHLLRSGQLGMCNDPYCTTCPTYYNFKADQEKNSKASGIFDTKFHNVLYGDAKGWARRLMSFLSSYVPGVMNPHATVVQQWNQFFVISCLMAVFIDPLFFFLLSVQKDNKCIVINQPATKAFVVLRSMTDFIYLLNMLLQFRLAYIAPESRVVGAGELVDHPKKIAVNYLSRYFFLDLFVVLPLPQRVNQCLRNACHDSNIVNCEEFIDCGYGHTSNRTELPSWKNNANASACFSEDGFPYGIYIQTVNLTTERSIITRYVYSLFWGFQQISTLAGNQTPSYFVWEVLFTMAIVGLGLLLFALLIGNMQNFLQALGRRRLEMSLRRRDVEQWMSHRRLPEELRRKVREAERYNWAATRGVNEEMLFENLPEDLQRDIRRHLFKFVKKVRIFALMDDPILDAICERLRQKTYIKGSKVLSRGSLIEKMVFIVRGKMESVGENGVIDPLCEGDVCGEELLTWCLEHSSVNRDGKKIRIPGQRLLSNRIVRCLTNVEAFSLRAADLEEVTCLFSRFLRNPRVQGAIRYESRYWRSLAATHIQVAWRYRKKRLNRADTSQSGQSSR >EOY19692 pep chromosome:Theobroma_cacao_20110822:10:20925778:20963660:1 gene:TCM_044941 transcript:EOY19692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding transporter 1 isoform 2 MACYDKDEVPMLSDIHPQPSENNQDSQFQALFSRTQSASISIPMNSIESYESTNLVGHTGPLRNERKIPFIQMSGPLYISRKPENSFHPNQSVAGHKMVESKAEKFPSFNGVDEKDWSDNNYVGKNEHLLRSGQLGMCNDPYCTTCPTYYNFKADQEKNSKASGIFDTKFHNVLYGDAKGWARRLMSFLSSYVPGVMNPHATVVQQWNQFFVISCLMAVFIDPLFFFLLSVQKDNKCIVINQPATKAFVVLRSMTDFIYLLNMLLQFRLAYIAPESRVVGAGELVDHPKKIAVNYLSRYFFLDLFVVLPLPQIMILLVLPKYLGSSGANFAKNVLRTAILIQYLPRLYRFLPFLAGQSPSGFIFESAWANFVINLLTFMLSGHVVGSCWYLFGLQRVNQCLRNACHDSNIVNCEEFIDCGYGHTSNRTELPSWKNNANASACFSEDGFPYGIYIQTVNLTTERSIITRYVYSLFWGFQQISTLAGNQTPSYFVWEVLFTMAIVGLGLLLFALLIGNMQNFLQALGRRRLEMSLRRRDVEQWMSHRRLPEELRRKVREAERYNWAATRGVNEEMLFENLPEDLQRDIRRHLFKFVKKVRIFALMDDPILDAICERLRQKTYIKGSKVLSRGSLIEKMVFIVRGKMESVGENGVIDPLCEGDVCGEELLTWCLEHSSVNRVSVADGKKIRIPGQRLLSNRIVRCLTNVEAFSLRAADLEEVTCLFSRFLRNPRVQGAIRYESRYWRSLAATHIQVAWRYRKKRLNRADTSQSGQSSR >EOY19690 pep chromosome:Theobroma_cacao_20110822:10:20925779:20963660:1 gene:TCM_044941 transcript:EOY19690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding transporter 1 isoform 2 MACYDKDEVPMLSDIHPQPSENNQDSQFQALFSRTQSASISIPMNSIESYESTNLVGHTGPLRNERKIPFIQMSGPLYISRKPENSFHPNQSVAGHKMVESKAEKFPSFNGVDEKDWSDNNYVGKNEHLLRSGQLGMCNDPYCTTCPTYYNFKADQEKNSKASGIFDTKFHNVLYGDAKGWARRLMSFLSSYVPGVMNPHATVVQQWNQFFVISCLMAVFIDPLFFFLLSVQKDNKCIVINQPATKAFVVLRSMTDFIYLLNMLLQFRLAYIAPESRVVGAGELVDHPKKIAVNYLSRYFFLDLFVVLPLPQIMILLVLPKYLGSSGANFAKNVLRTAILIQYLPRLYRFLPFLAGQSPSGFIFESAWANFVINLLTFMLSGHVVGSCWYLFGLQRVNQCLRNACHDSNIVNCEEFIDCGYGHTSNRTELPSWKNNANASACFSEDGFPYGIYIQTVNLTTERSIITRYVYSLFWGFQQISTLAGNQTPSYFVWEVLFTMAIVGLGLLLFALLIGNMQNFLQALGRRRLEMSLRRRDVEQWMSHRRLPEELRRKVREAERYNWAATRGVNEEMLFENLPEDLQRDIRRHLFKFVKKVRIFALMDDPILDAICERLRQKTYIKGSKVLSRGSLIEKMVFIVRGKMESVGENGVIDPLCEGDVCGEELLTWCLEHSSVNRDGKKIRIPGQRLLSNRIVRCLTNVEAFSLRAADLEEVTCLFSRFLRNPRVQGAIRYESRYWRSLAATHIQVAWRYRKKRLNRADTSQSGQSSR >EOY19695 pep chromosome:Theobroma_cacao_20110822:10:20925741:20963747:1 gene:TCM_044941 transcript:EOY19695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding transporter 1 isoform 2 MACYDKDEVPMLSDIHPQPSENNQDSQFQALFSRTQSASISIPMNSIESYESTNLVGHTGPLRNERKIPFIQMSGPLYISRKPENSFHPNQSVAGHKMVESKAEKFPSFNGVDEKDWSDNNYVGKNEHLLRSGQLGMCNDPYCTTCPTYYNFKADQEKNSKASGIFDTKFHNVLYGDAKGWARRLMSFLSSYVPGVMNPHATVVQQWNQFFVISCLMAVFIDPLFFFLLSVQKDNKCIVINQPATKAFVVLRSMTDFIYLLNMLLQFRLAYIAPESRVVGAGELVDHPKKIAVNYLSRYFFLDLFVVLPLPQIMILLVLPKYLGSSGANFAKNVLRTAILIQYLPRLYRFLPFLAGQSPSGFIFESAWANFVINLLTFMLSGHVVGSCWYLFGLQRVNQCLRNACHDSNIVNCEEFIDCGYGHTSNRTELPSWKNNANASACFSEDGFPYGIYIQTVNLTTERSIITRYVYSLFWGFQQISTLAGNQTPSYFVWEVLFTMAIVGLGLLLFALLIGNMQNFLQALGRRRLEMSLRRRDVEQWMSHRRLPEELRRKVREAERYNWAATRGVNEEMLFENLPEDLQRDIRRHLFKFVKKVRIFALMDDPILDAICERLRQKTYIKGSKVLSRGSLIEKMVFIVRGKMESVGENGVIDPLCEGDVCGEELLTWCLEHSSVNRDGKKIRIPGQRLLSNRIVRCLTNVEAFSLRAADLEEVTCLFSRFLRNPRVQGAIRYESRYWRSLAATHIQVAWRYRKKRLNRADTSQSGQSSR >EOY19694 pep chromosome:Theobroma_cacao_20110822:10:20925778:20963660:1 gene:TCM_044941 transcript:EOY19694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding transporter 1 isoform 2 MACYDKDEVPMLSDIHPQPSENNQDSQFQALFSRTQSASISIPMNSIESYESTNLVGHTGPLRNERKIPFIQMSGPLYISRKPENSFHPNQSVAGHKMVESKAEKFPSFNGVDEKDWSDNNYVGKNEHLLRSGQLGMCNDPYCTTCPTYYNFKADQEKNSKASGIFDTKFHNVLYGDAKGWARRLMSFLSSYVPGVMNPHATVVQQWNQFFVISCLMAVFIDPLFFFLLSVQKDNKCIVINQPATKAFVVLRSMTDFIYLLNMLLQFRLAYIAPESRVVGAGELVDHPKKIAVNYLSRYFFLDLFVVLPLPQIMILLVLPKYLGSSGANFAKNVLRTAILIQYLPRLYRFLPFLAGQSPSGFIFESAWANFVINLLTFMLSGHVVGSCWYLFGLQRVNQCLRNACHDSNIVNCEEFIDCGYGHTSNRTELPSWKNNANASACFSEDGFPYGIYIQTVNLTTERSIITRYVYSLFWGFQQISTLAGNQTPSYFVWEVLFTMAIVGLGLLLFALLIGNMQNFLQALGRRRLEMSLRRRDVEQWMSHRRLPEELRRKVREAERYNWAATRGVNEEMLFENLPEDLQRDIRRHLFKFVKKVRIFALMDDPILDAICERLRQKTYIKGSKVLSRGSLIEKMVFIVRGKMESVGENGVIDPLCEGDVCGEELLTWCLEHSSVNRGMNHAIGEALLLLIFK >EOY19691 pep chromosome:Theobroma_cacao_20110822:10:20925778:20963480:1 gene:TCM_044941 transcript:EOY19691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding transporter 1 isoform 2 MACYDKDEVPMLSDIHPQPSENNQDSQFQALFSRTQSASISIPMNSIESYESTNLVGHTGPLRNERKIPFIQMSGPLYISRKPENSFHPNQSVAGHKMVESKAEKFPSFNGVDEKDWSDNNYVGKNEHLLRSGQLGMCNDPYCTTCPTYYNFKADQEKNSKASGIFDTKFHNVLYGDAKGWARRLMSFLSSYVPGVMNPHATVVQQWNQFFVISCLMAVFIDPLFFFLLSVQKDNKCIVINQPATKAFVVLRSMTDFIYLLNMLLQFRLAYIAPESRVVGAGELVDHPKKIAVNYLSRYFFLDLFVVLPLPQIMILLVLPKYLGSSGANFAKNVLRTAILIQYLPRLYRFLPFLAGQSPSGFIFESAWANFVINLLTFMLSGHVVGSCWYLFGLQRVNQCLRNACHDSNIVNCEEFIDCGYGHTSNRTELPSWKNNANASACFSEDGFPYGIYIQTVNLTTERSIITRYVYSLFWGFQQISTLAGNQTPSYFVWEVLFTMAIVGLGLLLFALLIGNMQNFLQALGRRRLEMSLRRRDVEQWMSHRRLPEELRRKVREAERYNWAATRGVNEEMLFENLPEDLQRDIRRHLFKFVKKVRIFALMDDPILDAICERLRQKTYIKGSKVLSRGSLIEKMVFIVRGKMESVGENGVIDPLCEGDVCGEELLTWCLEHSSVNRVSVADGKKIRIPGQRLLSNRIVRCLTNVEAFSLRAADLEEVTCLFSRFLRNPRVQGAIRYESRYWRSLAATHIQVAWRYRKKRLNRADTSQSGQSSR >EOY19696 pep chromosome:Theobroma_cacao_20110822:10:20925778:20963660:1 gene:TCM_044941 transcript:EOY19696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding transporter 1 isoform 2 MACYDKDEVPMLSDIHPQPSENNQDSQFQALFSRTQSASISIPMNSIESYESTNLVGHTGPLRNERKIPFIQMSGPLYISRKPENSFHPNQSVAGHKMVESKAEKFPSFNGVDEKDWSDNNYVGKNEHLLRSGQLGMCNDPYCTTCPTYYNFKADQEKNSKASGIFDTKFHNVLYGDAKGWARRLMSFLSSYVPGVMNPHATVVQQWNQFFVISCLMAVFIDPLFFFLLSVQKDNKCIVINQPATKAFVVLRSMTDFIYLLNMLLQFRLAYIAPESRVVGAGELVDHPKKIAVNYLSRYFFLDLFVVLPLPQIMILLVLPKYLGSSGANFAKNVLRTAILIQYLPRLYRFLPFLAGQSPSGFIFESAWANFVINLLTFMLSGHVVGSCWYLFGLQRVNQCLRNACHDSNIVNCEEFIDCGYGHTSNRTELPSWKNNANASACFSEDGFPYGIYIQTVNLTTERSIITRYVYSLFWGFQQISTLAGNQTPSYFVWEVLFTMAIVGLGLLLFALLIGNMQNFLQALGRRRLEMSLRRRDVEQWMSHRRLPEELRRKVREAERYNWAATRGVNEEMLFENLPEDLQRDIRRHLFKFVKKVRIFALMDDPILDAICERLRQKTYIKGSKVLSRGSLIEKMVFIVRGKMESVGENGVIDPLCEGDVCGEELLTWCLEHSSVNRGMNHAIGEALLLLIFK >EOY19697 pep chromosome:Theobroma_cacao_20110822:10:20925778:20963480:1 gene:TCM_044941 transcript:EOY19697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding transporter 1 isoform 2 MACYDKDEVPMLSDIHPQPSENNQDSQFQALFSRTQSASISIPMNSIESYESTNLVGHTGPLRNERKIPFIQMSGPLYISRKPENSFHPNQSVAGHKMVESKAEKFPSFNGVDEKDWSDNNYVGKNEHLLRSGQLGMCNDPYCTTCPTYYNFKADQEKNSKASGIFDTKFHNVLYGDAKGWARRLMSFLSSYVPGVMNPHATVVQQWNQFFVISCLMAVFIDPLFFFLLSVQKDNKCIVINQPATKAFVVLRSMTDFIYLLNMLLQFRLAYIAPESRVVGAGELVDHPKKIAVNYLSRYFFLDLFVVLPLPQRVNQCLRNACHDSNIVNCEEFIDCGYGHTSNRTELPSWKNNANASACFSEDGFPYGIYIQTVNLTTERSIITRYVYSLFWGFQQISTLAGNQTPSYFVWEVLFTMAIVGLGLLLFALLIGNMQNFLQALGRRRLEMSLRRRDVEQWMSHRRLPEELRRKVREAERYNWAATRGVNEEMLFENLPEDLQRDIRRHLFKFVKKVRIFALMDDPILDAICERLRQKTYIKGSKVLSRGSLIEKMVFIVRGKMESVGENGVIDPLCEGDVCGEELLTWCLEHSSVNRDGKKIRIPGQRLLSNRIVRCLTNVEAFSLRAADLEEVTCLFSRFLRNPRVQGAIRYESRYWRSLAATHIQVAWRYRKKRLNRADTSQSGQSSR >EOY19699 pep chromosome:Theobroma_cacao_20110822:10:20930700:20963660:1 gene:TCM_044941 transcript:EOY19699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-binding transporter 1 isoform 2 MACYDKDEVPMLSDIHPQPSENNQDSQFQALFSRTQSASISIPMNSIESYESTNLVGHTGPLRNERKIPFIQMSGPLYISRKPENSFHPNQSVAGHKMVESKAEKFPSFNGVDEKDWSDNNYVGKNEHLLRSGQLGMCNDPYCTTCPTYYNFKADQEKNSKASGIFDTKFHNVLYGDAKGWARRLMSFLSSYVPGVMNPHATVVQQWNQFFVISCLMAVFIDPLFFFLLSVQKDNKCIVINQPATKAFVVLRSMTDFIYLLNMLLQFRLAYIAPESRVVGAGELVDHPKKIAVNYLSRYFFLDLFVVLPLPQIMILLVLPKYLGSSGANFAKNVLRTAILIQYLPRLYRFLPFLAGQSPSGFIFESAWANFVINLLTFMLSGHVVGSCWYLFGLQRVNQCLRNACHDSNIVNCEEFIDCGYGHTSNRTELPSWKNNANASACFSEDGFPYGIYIQTVNLTTERSIITRYVYSLFWGFQQISTLAGNQTPSYFVWEVLFTMAIVGLGLLLFALLIGNMQNFLQALGRRRLEMSLRRRDVEQWMSHRRLPEELRRGKYERPNDIIGLPQEV >EOY19936 pep chromosome:Theobroma_cacao_20110822:10:23959987:23964003:1 gene:TCM_045338 transcript:EOY19936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin-like protein RJ4 isoform 1 MATLIAPDHPSAVEDAEALQKACKVLGHRNAAQRKQIRLAYEELYQEDLIKRLESELSGDFEKAVYRWVLDPADRDAVLANVAIKKISPDHHVVIEISCTRSPEELLAVRRAYQARYKHSLEEDVAAHTKGDIRKLLVALVSAFRYDGEEINTRLANSEANILHDAIKDKAFNHEEVVRILSTRSKMQLMATFNRYRDDQGTTITKKLLGESGDEFLVALRTAVRCFNDPKKYFEKVLRNSIKGIGTDEDALTRVIVTRAEKDLKEIKDLYHKRNSMPLEQVVAKDTSGDYKAFLLTLLGKED >EOY19935 pep chromosome:Theobroma_cacao_20110822:10:23958681:23964003:1 gene:TCM_045338 transcript:EOY19935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin-like protein RJ4 isoform 1 MATLIAPDHPSAVEDAEALQKACKGWGTDEKAIISVLGHRNAAQRKQIRLAYEELYQEDLIKRLESELSGDFEKAVYRWVLDPADRDAVLANVAIKKISPDHHVVIEISCTRSPEELLAVRRAYQARYKHSLEEDVAAHTKGDIRKLLVALVSAFRYDGEEINTRLANSEANILHDAIKDKAFNHEEVVRILSTRSKMQLMATFNRYRDDQGTTITKKLLGESGDEFLVALRTAVRCFNDPKKYFEKVLRNSIKGIGTDEDALTRVIVTRAEKDLKEIKDLYHKRNSMPLEQVVAKDTSGDYKAFLLTLLGKED >EOY19934 pep chromosome:Theobroma_cacao_20110822:10:23958681:23963955:1 gene:TCM_045338 transcript:EOY19934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin-like protein RJ4 isoform 1 MATLIAPDHPSAVEDAEALQKACKGICIDILQLDHAIIQKTLLNNEKDLIKKLSEKWLKRGSLETQRENSPKQMATIDVPHQVSFLEDAEALRKACQGWGTDEKAIISVLGHRNAAQRKQIRLAYEELYQEDLIKRLESELSGDFEKAVYRWVLDPADRDAVLANVAIKKISPDHHVVIEISCTRSPEELLAVRRAYQARYKHSLEEDVAAHTKGDIRKLLVALVSAFRYDGEEINTRLANSEANILHDAIKDKAFNHEEVVRILSTRSKMQLMATFNRYRDDQGTTITKKLLGESGDEFLVALRTAVRCFNDPKKYFEKVLRNSIKGIGTDEDALTRVIVTRAEKDLKEIKDLYHKRNSMPLEQVVAKDTSGDYKAFLLTLLGKED >EOY20111 pep chromosome:Theobroma_cacao_20110822:10:24916528:24920641:1 gene:TCM_045507 transcript:EOY20111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome p450 79a2, putative MTCQFFSTFVKMYYESNVLCRDVITLIFGASNDPIKSTLSDAKFVRNNSSYDENLGKAKGKASKRKRDQAPLSLGPTPWPIIGNHPKIWRKRPAFRWIHGLMKGHHTDILCVCLANSHVIAVTSPVIAREFLKKNDSVFASRPVTMATEYSIRRTEEAGSLVRFIYNQSTASAVVNLRLAMRQYSGNIIRKMIFNRRYFGEGRKDGGPGYEEVEHVESLFTVLQHLYSFILSDYIPWLRSFDLEGNEKTVRKAMKTVNEYQDPLIDERVQEWREGKRTEPEDLLDCFILSKDSIGKPALSIEEIKAQSCAREGFRLHPIAPFNLPHVSTADATVAGYFIPKGSHVLLSLYGPGRNPRVWDDPLKFNPERHLKVGSMRVDLTETGLRFISFNTGRRGCMGVALGTAMTMMLLARLLQGFTWRVLPNEANIDLSGAKDAIFMAKPLHALGRPRLPAHLYPAN >EOY17844 pep chromosome:Theobroma_cacao_20110822:10:1082625:1086336:-1 gene:TCM_042549 transcript:EOY17844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MDKSCLGNICILEIILLVGFVVILSLLVPGSLKFASALGNENDKIALLFIKDRLVGAYPGALDSWNASLHFCEWQGVTCGRRHQRVTALDLDGLKLRGFLSPSIGNLTFLKRVNLSNNRLQGYIPKEVGYLRRLRVFELFRNNLHGRILVELANCSNLSTIRLSRNNLIGEVPFQLGDLPKLIILSLGVNNLIGGIPSSLGNLSSLWVLSLPYNHLEGNIPDALGGAFNLRNLFLAVNSLTGSLPLSIHNLSFLEMIDLGLKNFSGSLANIIGIPFPNLRHFNIGQNQLIGSIPKSISNMSNLELFHINVKGISGSVPKYLGNLKNLEWFNIGDNYFGNGKARDLDFLSCLSNCSLLQFLNLQSNRLGGLLPPSIGNLSIQLSILHMGWNSISGNIPDEIGNLVGLNLLDMRRTVPTGTLQTSIGKLQNLERLLIGWNNFFGEIPSFIGNFSRLFDLQFYNNNFEGRIPLALRNCKNMQNLDIVENKLSASIPDQLFGAFTNLIVVNMSYNSLTGPLPSEFGNLKNLVKLYVYENKLSGEISKTLGECSKLAVLDMAGNFFQGSIPLSFGSLRALELLNLSHNNLSCTIRHELEKLPFLNCLNLSFNHFEGEVPKRAVFNKSNGFSIVGNKNLCGGIPEIKLPKCINPKPRKKQNALSTKAIIFLILGILIASILVVLLFICCCRKRSGKKFIPAALLGDSYLLVSYKELLQATGGFSSSNLIGVGSFGSVYKGVLHRQEKPVAVKVLNLQNSGAAKSFTVECKALRKVRHWNLLKIITSCSSIDYQGNDFKALVFEFIPNGGLDSWLHEQHESRYLNFVQRLDIAIDVANAIDNLHHNCEAVIVHCDLKPSNVLLDDGMVAHVTDFGLAKLLSSDTDTMGNDQASSSVMKGTIGYVPSEYGMGGAVSPEGDIYSYGILLLEMITGRRPTDGMFHGGLNLHNFCNMALPERLKEILDSRLLEQICENNERSRSLPNMEAKMLESLVSFAKEGVACSAEAPG >EOY18738 pep chromosome:Theobroma_cacao_20110822:10:4728475:4732032:-1 gene:TCM_043239 transcript:EOY18738 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein MDHHCLWINNCVGYWNYKAFFTLVLYATIGSIHSTIIVISCACQKDWNYSGRTPLKIFYVACGAMMVALFATLGTLLVL >EOY19017 pep chromosome:Theobroma_cacao_20110822:10:8131761:8145984:1 gene:TCM_043621 transcript:EOY19017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MQMVIILCHFLLVLSASAVGLGPVSPDQDACEPKHCKPGQPSVRYPFRLKGRQPDHCGSSGFDLSCNNKNQTVLELPRSVKLLVKHIDYVNQRIQVYDEDGCVQKQLQNLTLFASPFTISCLSVPGFYVEYFDSDDGGYDLLNCSKTIDIIDIPRGLMSDQKNKFYFSWTNPACGSCEIQGKGCRRNTTKALGIECYYIHMDHKGARMKLMISGLTIGSLLLLLSVIGLCWLHHLNKKEKEGQRKIEQFLEDYKALKPSRYSYADIKRITNQFKEKLGQGGYGTVFKGTLSNDVSVAVKVLNNFKGNGEEFVNEVGSMGRIHHVNVTRLVGFCADGYNRALVYEYLPNESLEKFIFAAKGENRFLSWEKLHEIALGIAKGIEYLHQGCEQRILHFDIKPHNILLDQNFTPKISDFGLAKLCSKEQSAVSMTAARGTMGYIAPEVLSRNFGNVSYKSDVYSFGMLLLEMVGGRKNIDVTVANESQVYFPEWVYNRLDKGEELGIDIEDEGHHKIAKKLTIVGLRCIQWYPVDRPSMKSVVQMLEGEADNLTMPPNPFASKDEKKPKKPINRELAAISE >EOY19242 pep chromosome:Theobroma_cacao_20110822:10:15065296:15083157:-1 gene:TCM_044240 transcript:EOY19242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMEKICKALGCSSFRSVELAAFRLEDMAVHNARAREFETLVQTSSMTVSEYDIKFKQLARYAPYLVSTEEMKIQREASGSRGRGTGTSSQDRPSGSRRQSSVGTLFVCNMDARVLFDPGATHSFISPCFASRLGKYRARREEQLIVSTPSKEVFVAEWEYESCVVRVEDKDTLVNLVVLDTLDFDVILGMDWLAPCHASVDCYHKLVKFDFPCERSFSIQGDRSNAPTNLISVMSTRKLLRQDCLGYLAVVRDTQVKVGDISQVSVVNKFKDVFSEELPCLPPEREIEFCIDLIPYSRPISIPPYRMAFAELKELKDQLEDLLDKGFIRPSVSPWGAPVLFVKKKDGSLRLCIDYLQLNKVMVKNKYPLPRIDDLFDQLQGAQCFSKIDLRSGSPSVSFGLKAISFLGHVVSKDGVQVDPKKVEVVEKWPRPTSVTELESFLGLACYYSRFVKDFSKIVTPLTKLIRKDTKFEWSNACENSFKKLKACLTTALVLNLPQDTGGYTVFCDASRIGVRCVLMQHGKVIVYASRQLKRHEHNYPAHDLEMVAIEFALKILRHYLRFLIREVHSLGHMDVHLEVSEVGALLAHFRVKPMLLDQIKKVQSKDEFVAKALEDPQGRKGKMFTKGIDGVLRYGTRLYVPDSDGLRREILEEVHMAAYVLNNDLTYEEQPVAILDRQVKKLPSKEIALVKVLWRNHTSEEVTWEPKEEMLTKYPHLFNM >EOY18832 pep chromosome:Theobroma_cacao_20110822:10:5299462:5303549:1 gene:TCM_043327 transcript:EOY18832 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MGTADAASKDVTVRLAERKPIVVFVLGGPGSGKGTQCANIVQHFGYTHLSAGDLLRAECNSGSENGTMIQNMMNEGKIVPSEVTIELLQKAMLESGNNKFLIDGFPRNEENRAAFEAVTKIEPEFVLFFNCPQEEMERRILNRNQGREDDNIETIRKRFKVFEESSLPVIEYYKARGKVREIDAAKSIEEVFDALKVIFTTTVGKVVTT >EOY17896 pep chromosome:Theobroma_cacao_20110822:10:1359679:1368591:-1 gene:TCM_042597 transcript:EOY17896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein MAASSRPPPPRLLDLDLTIVSAKHLKNVNWRNGDLKPYAVFWVNPDRRLSTRSDDSGSTRPVWNERFTLPLAVPLYDAVLTLEIFHSKPSETPKPLVGTLRVELKELPDPEDGSKIRTFSVLRPSGRPQGKIRVKLGIRERPLAPPHDYHFAPPSYYYTNTPPPPRYSPYVSLPPPPPPQAASPPPPPPPPQPSASPPPPPSYSSIPDAYSPYFSSHYYSSPPPPMPPRPFFERSYGYGTPSAPVDYSPYDWKPRGGSKIGLGTGLAVGAVAGALGGLALEEGLKYEEDKIGERVEQDVASKERDDYSDYHRPDY >EOY18716 pep chromosome:Theobroma_cacao_20110822:10:4635053:4636245:1 gene:TCM_043213 transcript:EOY18716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MFDKFKESMMKEFDMTDLGRLHYFLGLEMEKCIPVLTLADPSIKLDKDLKGKDVDGTYYKHIVRSLMYLTTTRLDIMYAVSLIIRYMDKPKQSYLLVAKRILRYLQGTTSHGLLYKKGENSMLIGFTDRDYAGDRDDRKSTTGFVFKLESNAISWSSKKQPIITLSTTEAKFVAATACACQSIWLKKLLKEIYCKQTEATPIYCDNSSAIKLSKNPVFLRRSKHIDVRYHFLRDLTRDGIIAVVYCKSEDQAADIFTKP >EOY18720 pep chromosome:Theobroma_cacao_20110822:10:4642227:4651223:1 gene:TCM_043216 transcript:EOY18720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein, putative isoform 1 MITYDIDPDVLRWGLEDLQVCVFSHSGASGSVTQYERDNSQTGYIGEGYYEPEHVNVENDAVIAHAFQEELSRVAAAEASGSTNPGQDSILAQDCFGFPGRQHSSDHEDVRKIVEDPNQTERCTNEVNHVKRDLSDQNISRSEQGGDSGMGDKVFLGEDMLRIDKIDESSALDGEVEKRLNDMVAIPHVPKINSEIPSADEEISDHQRLLDRLQLYGLVENKVQGDGNCQFRSLSDQLYRSQDHHRFVRQQVVSQSLN >EOY18721 pep chromosome:Theobroma_cacao_20110822:10:4644029:4650957:1 gene:TCM_043216 transcript:EOY18721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein, putative isoform 1 FSHSGASGSVTQYERDNSQTGYIGEGYYEPEHVNVENDAVIAHAFQEELSRVAAAEASGSTNPGQDSILAQDCFGFPGRQHSSDHEDVRKIVEDPNQTERCTNEVNHVKRDLSDQNISRSEQGGDSGMGDKVFLGEDMLRIDKIDESSALDGEVEKRLNDMVAIPHVPKINSEIPSADEEISDHQRLLDRLQLYGLVENKVQGDGNCQFRSLSDQLYRSQDHHRFVRQQVVSQLKLNPEIYKGYVPMAYGDYLKKMNKNGEWGDHVTLQAAADTYGVKIFVLTSFKDTCYIEILPHRQKSQRIIFLSFWAEVHYNSIYPEEGKLTQQNAFNKTRVAIPNVEETVLSYCIIMFMLFFISRTAYVRESE >EOY18719 pep chromosome:Theobroma_cacao_20110822:10:4642227:4651223:1 gene:TCM_043216 transcript:EOY18719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein, putative isoform 1 MITYDIDPDVLRWGLEDLQVCVFSHSGASGSVTQYERDNSQTGYIGEGYYEPEHVNVENDAVIAHAFQEELSRVAAAEASGSTNPGQDSILAQDCFGFPGRQHSSDHEDVRKIVEDPNQTERCTNEVNHVKRDLSDQNISRSEQGGDSGMGDKVFLGEDMLRIDKIDESSALDGEVEKRLNDMVAIPHVPKINSEIPSADEEISDHQRLLDRLQLYGLVENKVQGDGNCQQFRSLSDQLYRSQDHHRFVRQQVVSQLKLNPEIYKGYVPMAYGDYLKKMNKNGEWGDHVTLQAAADTYGVKIFVLTSFKDTCYIEILPHRQKSQRIIFLSFWAEVHYNSIYPEEELPMLESQKKKKWWMILS >EOY18718 pep chromosome:Theobroma_cacao_20110822:10:4642216:4651736:1 gene:TCM_043216 transcript:EOY18718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein, putative isoform 1 MITYDIDPDVLRWGLEDLQVCVFSHSGASGSVTQYERDNSQTGYIGEGYYEPEHVNVENDAVIAHAFQEELSRVAAAEASGSTNPGQDSILAQDCFGFPGRQHSSDHEDVRKIVEDPNQTERCTNEVNHVKRDLSDQNISRSEQGGDSGMGDKVFLGEDMLRIDKIDESSALDGEVEKRLNDMVAIPHVPKINSEIPSADEEISDHQRLLDRLQLYGLVENKVQGDGNCQFRSLSDQLYRSQDHHRFVRQQVVSQLKLNPEIYKGYVPMAYGDYLKKMNKNGEWGDHVTLQAAADTYGVKIFVLTSFKDTCYIEILPHRQKSQRIIFLSFWAEVHYNSIYPEEELPMLESQKKKKWWMILS >EOY19837 pep chromosome:Theobroma_cacao_20110822:10:23063387:23064190:1 gene:TCM_045202 transcript:EOY19837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSEQVGDNEHVGEGLGWCEKFSRWHVPKKHASCSLIKLTLLGCTFDDGVGGDNEEQRTMFEIVNQLDGFDARGAIKVLMATNRPDTLDPANF >EOY18114 pep chromosome:Theobroma_cacao_20110822:10:2096770:2107636:1 gene:TCM_042748 transcript:EOY18114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Affected trafxn,cking 2 isoform 1 MFPQFGATAETLSKASTMVFRIGTDAHLYDDPDDVSIAPLLDSKFDSEKCEALKRLLAQIAQGFDVSNFFPQVVKNVASQSLEVKKLVYLYLLHYAEKRPNEALLSINCFQKDLGDPNPLVRAWALRTMAGIRLHVIAPLVLVAVGKCARDPSVYVRKCAANALPKLHDLRQEEHTSAVEEIVGILLNDHSPGVVGAAAAAFASVCPYNLSLIGRNYRKLCEILPDVEEWGQIVLIGILLRYVIARHGLVKESIMLSLHCTESSHSEKDGSDVDFRLLKVPIDMSGTCDSEFVNMVSKCYIESPDEYLSRSSYTNRVSFELNGTHFTSKTNDDVKILLYCTSPLLWSNNSAVVLSAAGVHWVMAPKEDIKRIVKPLLFILRSSNASKYVVLCNIQVFAKAMPSLFAPYYEDLFICSSDSYQIKGLKLEILSSIATDSSISSIFKEFQDYIRDPDRRFAADTIAAIGLCAQRLPNMAYSCVDGLLALTKEDFLTKDFGSGDQEAGVLIQAIMSIKSIIKQDPPSHEKVIIQLVSSLDSIKVPAARAMIIWMVGEYSSLGEIIPRMLTTVLKYLAWCFTSEALETKLQILNTASKVLLCATGEDLWTFKKVFSYLVELAECDLNYDVRDRARLLKKLPSCNLGSQGPEEGTNGLNEKNVLHVVAKCIFGRQTREVKAESNNYRFYLPGSLSQIVLHAAPGYEPLPKPCSLPLDDLNVPEGTHAVEKGPDYSGTDDHGTSSGPLDEESASDYDSQHSITGSSGSGRSDDNEFTSEENDNADPLIQISDVGNASENQNGVSQSSPANLGELMSNRALESWLEEQPGSSNPGISEQSQVCKSSARISIRDVGRQVKPKSYSLLDPANGNGLKVDYSFSSEISSISPLLVCIEVFFKNCSSETIMEITLVDEESTRALDSADQAAAVNESSMKSYDNVPTLVPMEEIPSLEPGQTTRRLLQVRFHHHLLPLKLALFCNGKKLPIKLRPDIGYFVKPLPMDVEAFTDEESHLPGMFEYTRSCTFTDHIGELNKESGDGLLIKDKFLAICESLALKMLSNANLCLVSVDMPIAANLDDASGLRLRFSCEILSSLIPCLITITVQGKCCDPLNLFIKVNCEETVFGLNLMNRIVNFLVEPALF >EOY18113 pep chromosome:Theobroma_cacao_20110822:10:2096684:2106178:1 gene:TCM_042748 transcript:EOY18113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Affected trafxn,cking 2 isoform 1 MFPQFGATAETLSKASTMVFRIGTDAHLYDDPDDVSIAPLLDSKFDSEKCEALKRLLAQIAQGFDVSNFFPQVVKNVASQSLEVKKLVYLYLLHYAEKRPNEALLSINCFQKDLGDPNPLVRAWALRTMAGIRLHVIAPLVLVAVGKCARDPSVYVRKCAANALPKLHDLRQEEHTSAVEEIVGILLNDHSPGVVGAAAAAFASVCPYNLSLIGRNYRKLCEILPDVEEWGQIVLIGILLRYVIARHGLVKESIMLSLHCTESSHSEKDGSDVDFRLLKVPIDMSGTCDSEFVNMVSKCYIESPDEYLSRSSYTNRVSFELNGTHFTSKTNDDVKILLYCTSPLLWSNNSAVVLSAAGVHWVMAPKEDIKRIVKPLLFILRSSNASKYVVLCNIQVFAKAMPSLFAPYYEDLFICSSDSYQIKGLKLEILSSIATDSSISSIFKEFQDYIRDPDRRFAADTIAAIGLCAQRLPNMAYSCVDGLLALTKEDFLTKDFGSGDQEAGVLIQAIMSIKSIIKQDPPSHEKVIIQLVSSLDSIKVPAARAMIIWMVGEYSSLGEIIPRMLTTVLKYLAWCFTSEALETKLQILNTASKVLLCATGEDLWTFKKVFSYLVELAECDLNYDVRDRARLLKKLPSCNLGSQGPEEGTNGLNEKNVLHVVAKCIFGRQTREVKAESNNYRFYLPGSLSQIVLHAAPGYEPLPKPCSLPLDDLNVPEGTHAVEKGPDYSGTDDHGTSSGPLDEESASDYDSQHSITGSSGSGRSDDNEFTSEENDNADPLIQISDVGNASENQNGVSQSSPANLGELMSNRALESWLEEQPGSSNPGISEQSQVCKSSARISIRDVGRQVKPKSYSLLDPANGNGLKVDYSFSSEISSISPLLVCIEVFFKNCSSETIMEITLVDEESTRALDSADQAAAVNESSMKSYDNVPTLVPMEEIPSLEPGQTTRRLLQVRFHHHLLPLKLALFCNGKKLPIKLRPDIGYFVKPLPMDVEAFTDEESHLPGMFEYTRSCTFTDHIGELNKESGDGLLIKDKFLAICESLALKMLSNANLCLVSVDMPIAANLDDASGLRLRFSCEILSSLIPCLITITVQGKCCDPLNLFIKVNCEETVFGLNLMNRIVNFLVEPALF >EOY20091 pep chromosome:Theobroma_cacao_20110822:10:24801423:24807965:-1 gene:TCM_045490 transcript:EOY20091 gene_biotype:protein_coding transcript_biotype:protein_coding description:OB-fold nucleic acid binding domain-containing protein isoform 1 MATSGNNDPKRRQLIVSSICKHFSLDPKAFSSEVPGNDIKNLYLNILKSSGKESPKNNDEVMKWIAFAESFPKDSKACHGVLNELNADLAQKSVLLGNGFTPSEADVIVFSAVHNSVIGLSNSEKDKLPHVMRWMDYIQSKEDLGALFQKILLEKPGFEPQRAKAAAKPEIDLNAKKTVQSTKIADKSVAEVNAKKSDSGKKAKGDKEAVQEKKKAPETEASDKDKELSVSLLNIQVGLICKAWKHPSADSLLVEEIDVGEAKLRQVVSGLAKYCSPEQLTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHTAVEPLLPPEGAKPGEHVSFSGIDGKPDDVLNPKKKQLEKITPVCFPCFGLRILFTSSFGFSVILFHGL >EOY20097 pep chromosome:Theobroma_cacao_20110822:10:24802038:24807965:-1 gene:TCM_045490 transcript:EOY20097 gene_biotype:protein_coding transcript_biotype:protein_coding description:OB-fold nucleic acid binding domain-containing protein isoform 1 MATSGNNDPKRRQLIVSSICKHFSLDPKAFSSEVPGNDIKNLYLNILKSSGKESPKNNDEVMKWIAFAESFPKDSKACHGVLNELNADLAQKSVLLGNGFTPSEADVIVFSAVHNSVIGLSNSEKDKLPHVMRWMDYIQSKEDLGALFQKILLEKPGFEPQKKAKGDKEAVQEKKKAPETEASDKDKELSVSLLNIQVGLICKAWKHPSADSLLVEEIDVGEAKLRQVVSGLAKYCSPEQLTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHTAVEPLLPPEGAKPGEHVSFSG >EOY20094 pep chromosome:Theobroma_cacao_20110822:10:24802511:24807796:-1 gene:TCM_045490 transcript:EOY20094 gene_biotype:protein_coding transcript_biotype:protein_coding description:OB-fold nucleic acid binding domain-containing protein isoform 1 MATSGNNDPKRRQLIVSSICKHFSLDPKAFSSEVPGNDIKNLYLNILKSSGKESPKNNDEVMKWIAFAESFPKDSKACHGVLNELNADLAQKSVLLGNGFTPSEADVIVFSAVHNSVIGLSNSEKDKLPHVMRWMDYIQSKEDLGALFQKILLEKPGFEPQRAKAAAKPEIDLNAKKTVQSTKIADKSVAEVNAKKSDSGKKAKGDKEAVQEKKKAPETEASDKDKELSVSLLNIQVGLICKAWKHPSADSLLVEEIDVGEAKLRQVVSGLAKYCSPEQLTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHTAVEPLLPPEGAKPGEHVSFSG >EOY20093 pep chromosome:Theobroma_cacao_20110822:10:24801710:24807965:-1 gene:TCM_045490 transcript:EOY20093 gene_biotype:protein_coding transcript_biotype:protein_coding description:OB-fold nucleic acid binding domain-containing protein isoform 1 MATSGNNDPKRRQLIVSSICKHFSLDPKAFSSEVPGNDIKNLYLNILKSSGKESPKNNDEVMKWIAFAESFPKDSKACHGVLNELNADLAQKSVLLGNGFTPSEADVIVFSAVHNSVIGLSNSEKDKLPHVMRWMDYIQSKEDLGALFQKILLEKPGFEPQRAKAAAKPEIDLNAKKTVQSTKIADKSVAEVNAKKSDSGKKAKGDKEAVQEKKKAPETEASDKDKELSVSLLNIQVGLICKAWKHPSADSLLVEEIDVGEAKLRQVVSGLAKYCSPEQLTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHTAVEPLLPPEGAKPGEHVSFSGLFSGHQDTVGST >EOY20092 pep chromosome:Theobroma_cacao_20110822:10:24801118:24807860:-1 gene:TCM_045490 transcript:EOY20092 gene_biotype:protein_coding transcript_biotype:protein_coding description:OB-fold nucleic acid binding domain-containing protein isoform 1 MATSGNNDPKRRQLIVSSICKHFSLDPKAFSSEVPGNDIKNLYLNILKSSGKESPKNNDEVMKWIAFAESFPKDSKACHGVLNELNADLAQKSVLLGNGFTPSEADVIVFSAVHNSVIGLSNSEKDKLPHVMRWMDYIQSKEDLGALFQKILLEKPGFEPQRAKAAAKPEIDLNAKKTVQSTKIADKSVAEVNAKKSDSGKKAKGDKEAVQEKKKAPETEASDKDKELSVSLLNIQVGLICKAWKHPSADSLLVEEIDVGEAKLRQVVSGLAKYCSPEQLTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHTAVEPLLPPEGAKPGEHVSFSGIDGKPDDVLNPKKKQLEKITPHLFTDEKGVATFKGIPFMTSAGPCTSSIPKASIK >EOY20096 pep chromosome:Theobroma_cacao_20110822:10:24801108:24807965:-1 gene:TCM_045490 transcript:EOY20096 gene_biotype:protein_coding transcript_biotype:protein_coding description:OB-fold nucleic acid binding domain-containing protein isoform 1 MATSGNNDPKRRQLIVSSICKHFSLDPKAFSSEVPGNDIKNLYLNILKSSGKESPKNNDEVMKWIAFAESFPKDSKACHGVLNELNADLAQKSVLLGNGFTPSEADVIVFSAVHNSVIGLSNSEKDKLPHVMRWMDYIQSKEDLGALFQKILLEKPGFEPQKKAKGDKEAVQEKKKAPETEASDKDKELSVSLLNIQVGLICKAWKHPSADSLLVEEIDVGEAKLRQVVSGLAKYCSPEQLTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHTAVEPLLPPEGAKPGEHVSFSGIDGKPDDVLNPKKKQLEKITPHLFTDEKGVATFKGIPFMTSAGPCTSSIPKASIK >EOY20090 pep chromosome:Theobroma_cacao_20110822:10:24799607:24807869:-1 gene:TCM_045490 transcript:EOY20090 gene_biotype:protein_coding transcript_biotype:protein_coding description:OB-fold nucleic acid binding domain-containing protein isoform 1 MATSGNNDPKRRQLIVSSICKHFSLDPKAFSSEVPGNDIKNLYLNILKSSGKESPKNNDEVMKWIAFAESFPKDSKACHGVLNELNADLAQKSVLLGNGFTPSEADVIVFSAVHNSVIGLSNSEKDKLPHVMRWMDYIQSKEDLGALFQKILLEKPGFEPQRAKAAAKPEIDLNAKKTVQSTKIADKSVAEVNAKKSDSGKKAKGDKEAVQEKKKAPETEASDKDKELSVSLLNIQVGLICKAWKHPSADSLLVEEIDVGEAKLRQVVSGLAKYCSPEQLTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHTAVEPLLPPEGAKPGEHVSFSGHCCLSLLNVWSVLVQLILRIDGKPDDVLNPKKKQLEKITPHLFTDEKGVATFKGIPFMTSAGPCTSSIPKASIK >EOY20095 pep chromosome:Theobroma_cacao_20110822:10:24801922:24807796:-1 gene:TCM_045490 transcript:EOY20095 gene_biotype:protein_coding transcript_biotype:protein_coding description:OB-fold nucleic acid binding domain-containing protein isoform 1 MATSGNNDPKRRQLIVSSICKHFSLDPKAFSSEVPGNDIKNLYLNILKSSGKESPKNNDEVMKWIAFAESFPKDSKACHGVLNELNADLAQKSVLLGNGFTPSEADVIVFSAVHNSVIGLSNSEKDKLPHVMRWMDYIQSKEDLGALFQKILLEKPGFEPQKKAKGDKEAVQEKKKAPETEASDKDKELSVSLLNIQVGLICKAWKHPSADSLLVEEIDVGEAKLRQVVSGLAKYCSPEQLTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHTAVEPLLPPEGAKPGEHVSFSGIDGKPDDVLNPKKKQLEKITPVCFPCFGLRILFTSSFGFSVILFHGL >EOY18267 pep chromosome:Theobroma_cacao_20110822:10:2625542:2627765:1 gene:TCM_042859 transcript:EOY18267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASMHDGSLEDVAITVLSFLMILQNSIDQETNGVSSLTLAKWPFPYNSNELLEPNRPLPNPRHRLSSYIFKIENLHLISVSCLTSKALASMVSNFLFLDSLIIAKCRGLQSLDMEDATGLRKFIVLDCPQLEHFYFGGSCLRCWISFNTLSRLCLQRLKKLRWIDYSAEKHNSNSLLCFLKLCPRLRRRYVTIDAKSYNMTSAKRIPGNEMIIELQKLELLKLEGFANENEEINFIKQLTPLFEARPVIIVKSNGTCSWQLIGVPELEKEGNCAYKFEEVKNLHEICPHPVHMKL >EOY19815 pep chromosome:Theobroma_cacao_20110822:10:22707615:22724651:1 gene:TCM_045156 transcript:EOY19815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKRERYDWQGADAPCQAPLSPARREFFASGRVVERPLQSPEIGYKWEGENLQQRGGSFLSNGEQPRSRKIEKEGEAFCCRQGELSRRKNREGGREPAAKGENLQQRERTCSKGGTSFLSNGKQPENQKNREAELCRKIEKRRRGVLLPAQEEGAPDGGRERGAAVGAAPEREERRLARHQICK >EOY20140 pep chromosome:Theobroma_cacao_20110822:10:25035190:25039229:-1 gene:TCM_045532 transcript:EOY20140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 7 MISASRFRTSTGLGYTRQHWQKVGSSCWGGESWFHRAISLEIYRIKLPGPPTKVGEGKPENQDHAIIFTRGEALQTIDMSQVAFLPPSQDIVIKVLEVRFHYGHPDIFDRILHITRGGISKASKTINFSEDIFAGFNSTLRGGYITHHDYIQVGKGRDVGMNQISCFEAKVASGNGEQTLSRDVYRLGCRFDFYRMLSFYFTTVGFYFNSMVTMLTVYFFLYGRLYLVMSGLERHILNNSIISRNKSLEAALIPQSVFQMGTLLVLLILMDISLEKGFRTALGSKYRATGRGFVAFHAKFADYYGLYSRSHFVKGLELSILLILYQVYGESYRSSNIYLLITCSIWFLVGSWLLAPFIFNPSSFDWQKTVDDWTDWKRWIRIRGGIGIRPEKSWESWWDGEEEHLKYTNILGRVLEIVLALRFLVYQYGVVYHLNIAHHSLRTLLGCFGSNAFIVKVGRQILSVACRLVYRMFKAFVFRASLAFIIGLIIVCGPTTSDLWDAAPAFLPTGWAFLLVSFKLS >EOY19658 pep chromosome:Theobroma_cacao_20110822:10:19605762:19644771:-1 gene:TCM_044818 transcript:EOY19658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSNELKDGVIWKATTSGRNEIIFNGKRWDSIQLFSVSKMRIVVWMKAKWLELVKQIDDTFRWPNRVKMMQEKAIAHGPILWLTPEEGWLKFNTNGAASISLEKEKENEGRWMGVSIEGVDWPKEGKWKGANRTRDCTGWTSKGLGRSLAERAMRLGVGFGDKWNVETKKRRKKWEDWGKRIDVTTWNSYRAHDNCRDIPMALITPNADRNPVRLSINFRISPESTLMMQPEEKIGEEDVKSKVEPESTLMMQCEDKLSEGEDVKNKVELESTLMMQPEEKIGEGEDVKNKEDIISQDYGSSSNSYGTNLSLYRNRKRWYKVRFAETHYRDEQVALLLDALKCDKSELHSIYRHRSIRMFEAYMTYAEAQKVRALEEVAYVGLVPMSDNVQSAKRTKSGIFEARPKSKKQKRSLGSILSERRQNKEKWRYNEDLEQEAKIEDSEEEDFSEDEWSRDYSGSSDTCEGYYGFDEFGKRWYKVCLSGEKAKDDQVALLLDVLNCEQSGIRSIYIYHTPDLFKARMTEEEADRVAGLGEVEFVEPLSYIIALD >EOY18883 pep chromosome:Theobroma_cacao_20110822:10:5699157:5727685:1 gene:TCM_043382 transcript:EOY18883 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MTDFQPLQQKPESQNDARAEFERGLEELMRGHLDDCMPFASCSSNRNPDDEDDEGDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMMTTIERRNRESELMALAGLHTVSMLDSSFLRESQSPTSRRQGGNVERPSTQASAILQMWRELEDEHLLNRARERVRERLRQRRNADSNATISSTTLSESRGSENHGSVGDASESENEYGPWSHDQGVSQNDRGDNNGSSREQSPDLGEVERERVRQIVRGWMESGISDHSSNVTQRAGSPRAEWLGETERERVRIVREWVQMTSQQRGVRGGRREDQAATIGAEGDRVREGSVADHDEGQPEHIRRDLLRLRGRQAVIDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPPSMAASELIQLRQRHTVSGLREGFRNRLETIVRGQASSSSETTSSNVINDSRNEHSQTNTLQDTQRENNEQTQSRSLENDITRLPNQTGTVSNMVVESINWQENANQGGNWREPTTNDERGNWQQPTYAQYNEWREGNAEDMDTNWQESSVGEYHQENLGNVNGEESHPQEARRVWREDGSREAVDNWSEGPSDPPRARRAIPVRRFNRFHPPEDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQGRSPIDWDLHRNLPTPASPEHDQEQQRDETNDDQNDAISRPSLVLPSPPVPPPQPLWHQDLHHTSWSRHSMHRSEIEWEMINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKGLSAETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >EOY19178 pep chromosome:Theobroma_cacao_20110822:10:12514903:12516952:-1 gene:TCM_044032 transcript:EOY19178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLATLYCQNFIDWFKIICRQSISSRISFGLTSLEIVGLHSCTLLVISRSICHCRIILNIWLTVLLCV >EOY18322 pep chromosome:Theobroma_cacao_20110822:10:2978465:3022957:1 gene:TCM_042919 transcript:EOY18322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIIVTRARLNIPSDTRNAFLVLAGLVITATYQAIFNPPGGVRQAEAGPTQVPSGAGRSVMDTNSFLWFYVPNTAAFLTTLFLTVLFLIIGPNGELVLLPLLPLVICYVMSTLVITPTATFTYYIWLTLVIAVASGCWLFGAHLYWLLKIWKVNRSSGELTLSVLS >EOY19678 pep chromosome:Theobroma_cacao_20110822:10:19832548:19845531:-1 gene:TCM_044855 transcript:EOY19678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein, putative MLYILHKFLAILSSQPNLQQLIKTLSTIQIMASFLIPFQVLFFLMLVPLQSSNVFASLTAAAPAKEAETLLKWKASLDNKSQTLLSSWLGDSHCNWLGITCDEVGSITNLTLPNYEEGLIGTLHSLNFFSFPKLMSLELRNNSLYGPIPSHIGNLSKLIFLDLSYNNFSGNIPPEICLLKSLELISLSNNRINGSIPQEIGRLSSISYIFFYDNSLSGPIPTSIGSLHNLTALDLSRNKLNGSIPASIGSLHNLTGLNLSGNKLNGSIPASIGSLHNLTGLNLSGNKLNGSIPASIGSLHNLMQLVLGNNSLSGHVPGEVGMLRSLGVLDFSSNYLTGPIPESIGNLSKLAELYLYGNELTGSIPSEIGQLGSLLLLQLLSNYLTGVIPLSIGNLTSLSNFKLNQNMFSGSIPQEVGMLKSLSVLELTENNFSGSIPASIGNLTKLTGLLLTSNNLSGSIPPTFSNFTLLEYFQLSDNHLSGQLPENVCRGGRLTYLAVMNNNLTGQIPPSLRNCKSLYRVRLEGNHLTGNLSEAFGVYPNLNFIALSNYKFYGELSPKWGQCHNLTSLQISNNNIFGKIPPELENATQLQELDLSSNHLIGEIPKELGSLSLMFRLLLSGNQLSGKIPSEIGVLSNLAHLNLALNNLSGPIPNQLGECLKLLFLNLSRNKLGESIPFSLSYIYGLQSLDLSQNLLVGAIPQQLGKLQTLEILDLSHNMLNGSIPIAFNGLQSLTIVNLSYNQLEGPIPNLKAFHEASFDALRNNKGLCGILLLIFTLAGGFLILRRKIQTRKSESREAQLGDIFTVLGYDGRILYENILEATEDFSSNYGIGSGGYGNVYKAVLPTGQVVAVKKLHQHEDSVLINNLKAFESEIHALTEIRHRNIVKLHGFCSHSKHLFLVYEFVERGSLRMILSNNEEAVELDWNKRLNVVKGLANALSYMHHDHSPPIIHRDISSNNILLDLDYEAHVSDFGTARLLKPDSSNWTSFAGSIGYTAPAPEANDQEILLKDVIDQRLSRPVRQVAEDVVSATKLAFACLNGNPKFRPTMGQVAQAFTRPSPQLTKPFSTIKLGELFGDKVSG >EOY18787 pep chromosome:Theobroma_cacao_20110822:10:4975499:4977688:-1 gene:TCM_043282 transcript:EOY18787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNGFSTVDGFVEITESLAEMIKYVANEPSVGLFYVQQHTQNAVPNVVNLNNHVVEKSREATLHTEDLEDSITMVRSMKECGFPIADEMIKDIRNSLTIMSAKQQKRGLIHSPASSFQMRRTSSWGPMSWGRGSENVQQDGSNYFSTVIKSARQKASNFKWPQLESKEQIQKQPQKPLSHPTPPLSVASASTSSSIPDTEADELPLSCQMADEVHEEEEEATEDDVKPLHHNLSFMSENYDDFKADKEAKLEQWLEGTEGKMDKSKGDTDTGGL >EOY18786 pep chromosome:Theobroma_cacao_20110822:10:4975495:4977757:-1 gene:TCM_043282 transcript:EOY18786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNGFSTVDGFVEITESLAEMIKYVANEPSVGLFYVQQHTQNAVPNVVNLNNHVVEKSREATLHTEDLEDSITMVRSMKECGFPIADEMIKDIRNSLTIMSAKQQKRGLIHSPASSFQMRRTSSWGPMSWGRGSENVQQDGSNYFSTVIKSARQKASNFKWPQLESKEQIQKQPQKPLSHPTPPLSVASASTSSSIPDTEADELPLSCQMADEVHEEEEEATEDDVKPLHHNLSFMSENYDDFKADKEAKLEQWLEGTEGKMDKSKGDTDTGGL >EOY18788 pep chromosome:Theobroma_cacao_20110822:10:4975495:4977089:-1 gene:TCM_043282 transcript:EOY18788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNGFSTVDGFVEITESLAEMINLNNHVVEKSREATLHTEDLEDSITMVRSMKECGFPIADEMIKDIRNSLTIMSAKQQKRGLIHSPASSFQMRRTSSWGPMSWGRGSENVQQDGSNYFSTVIKSARQKASNFKWPQLESKEQIQKQPQKPLSHPTPPLSVASASTSSSIPDTEADELPLSCQMADEVHEEEEEATEDDVKPLHHNLSFMSENYDDFKADKEAKLEQWLEGTEGKMDKSKGDTDTGGL >EOY18789 pep chromosome:Theobroma_cacao_20110822:10:4975499:4977813:-1 gene:TCM_043282 transcript:EOY18789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNGFSTVDGFVEITESLAEMIKYVANEPSVGLFYVQQHTQNAVPNVVNLNNHVVEKSREATLHTEDLEDSITMVRSMKECGFPIADEMIKDIRNSLTIMSAKQQKRGLIHSPASSFQMRRTSSWGPMSWGRGSENVQQDGSNYFSTVIKSARQKASNFKWPQLESKEQIQKQPQKPLSHPTPPLSVASASTSSSIPDTEADELPLSCQMADEVHEEEEEATEDDVKPLHHNLSFMSENYDDFKADKEAKLEQWLEGTEGKMDKSKGDTDTGGL >EOY20193 pep chromosome:Theobroma_cacao_20110822:10:25205001:25208520:-1 gene:TCM_045568 transcript:EOY20193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase interacting family protein, putative isoform 1 MEVNVKKAESKNTKSCWWDTHLNPENSEWLVENSKEMEQSVRQILKLVEDNIEDLAKNDEMHYQNKSEVIVHVQELYRIYQLLAERHDHLTRELRKSLPSDCQIQGPGSGFDQNSPLITPDKKLGLHKSIQQAASFSSGGGSSDLSLKEGTESSSLSSDSDSESFSSSVNIYMGSPVNTDNGVVHDKVIELGSELPTMKEKVQVADKEISDGKLKMRENRNYEELTLTERLAKFEEELRDSNLKLQLAEEEIVRLKAELKKSESVPVLAEHVLVQLESLQRDAELREADLVLQKDKVLELQKQIVDLETHVSDSNSEVVRLMEELAVSKEKIKASEEEIAMFKHELDMERRQVANLQEQIVRYSNDLSHRGHEVEELKVALCDAQDNFSLQKASFQSEIFGLLEKETLLEARLKEWELHARLLEETLRQCEAEKMEIKGLHDVQEIGLQGQISQLKAEVTEKGVHVEALNKNLDRLKLKYDMLMAEKDGVIAKVNSLVAELSSRDLQIGQMEEHLQQLRRDHLQLISGSKNAKNLEDELKLRIKDLEKEVDRQRIVILDVAEEKREVIRQLSFTLEHYRSGYKEFQAFFKHKRHAVMAS >EOY20192 pep chromosome:Theobroma_cacao_20110822:10:25204962:25209688:-1 gene:TCM_045568 transcript:EOY20192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase interacting family protein, putative isoform 1 MEVNVKKAESKNTKSCWWDTHLNPENSEWLVENSKEMEQSVRQILKLVEDNIEDLAKNDEMHYQNKSEVIVHVQELYRIYQLLAERHDHLTRELRKSLPSDCQIQGPGSGFDQNSPLITPDKKLGLHKSIQQAASFSSGGGSSDLSLKEGTESSSLSSDSDSESFSSSVNIYMGSPVNTDNGVVHDKVIELGSELPTMKEKVQVADKEISDGKLKMRENRNYEELTLTERLAKFEEELRDSNLKLQLAEEEIVRLKAELKKSESVPVLAEHVLVQLESLQRDAELREADLVLQKDKVLELQKQIVDLETHVSDSNSEVVRLMEELAVSKEKIKASEEEIAMFKHELDMERRQVANLQEQIVRYSNDLSHRGHEVEELKVALCDAQDNFSLQKASFQSEIFGLLEKETLLEARLKEWELHARLLEETLRQCEAEKMEIKGLHDVQEIGLQGQISQLKAEVTEKGVHVEALNKNLDRLKLKYDMLMAEKDGVIAKVNSLVAELSSRDLQIGQMEEHLQQLRRDHLQLISGSKNAKNLEDELKLRIKDLEKEVDRQRIVILDVAEEKREVIRQLSFTLEHYRSGYKEFQAFFKHKRHAVMAS >EOY19527 pep chromosome:Theobroma_cacao_20110822:10:18415537:18416579:-1 gene:TCM_044648 transcript:EOY19527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKSNKLLCVINDILLGSLIYLKRKIIRNLYIFMYGLLSSYGAELVHKLASFVNNKRPAMKGTKVIRQASDHGSKPHISRAH >EOY19743 pep chromosome:Theobroma_cacao_20110822:10:22019241:22021537:-1 gene:TCM_045049 transcript:EOY19743 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MEVKRRTARTLVAKLSSVSERTRTEALSELRLISKHDPESRPLIAEAGAVPYLSETLYGSSPTLQESAAATLLNLSITSRSSLMSTRGLLDALSHVLSNSPSPAAVQSTAATLHSLLIADESYRPIIGSKRDILYSLLSIITAANAPPRSIKDALKALFGIALYPLNRASLVDLGAVPALVSLIVRDARTGIVEDATAVLAQIAGCEESEEAMSKAGGVRVLGDLLDEGTGASARIRENAVAALLNLARCGSEKGRKDVREMGTKIMEGIAEVAENGSAKGKSKAVDLLKFVVDGNGNGNEVRDSRFNNINDLMNHSI >EOY18419 pep chromosome:Theobroma_cacao_20110822:10:3435281:3438837:-1 gene:TCM_043016 transcript:EOY18419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSHSPQESPPKDAYPESGKPPTEVHSPQESPPKDAYPESGKPPAKVHSPQESPPKDAYPESGRPPAVVLPVHPNIPPTTKEYPIPSGADVPNIAEPVVAYPDPSYHPVQANVSSKAGNPPGSLSASNPPDGYPQAPASPKVTPSSEKEIKFDSCVGILFLSCYDCELEQLPHPADAKSTGVSLSEFLYNGNLEEHLTRNFLLGKRLLVTGKSSNKNQKPVEIGEFQEGKTMSRSFQGSIPEGVDQPSRDAVEGPEEMISYQNQAPQHLQSQTDQASSELVSGEQHRPNDNQPQRSALASPTDTGEPVAAAPKMVLHPRPLPPSPEPNRRHPPSRRCSCCSIL >EOY19503 pep chromosome:Theobroma_cacao_20110822:10:18214511:18215283:1 gene:TCM_044619 transcript:EOY19503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSMLHLDQDLIKDFDLYGEKEPWEIWDLYGGCNLQSDEDLYFFTKLKKKSQNSSRINRSVGMGTWMGEDSGKPIYSHLSAVQPLGFKKRLRYEGGVPHQVGQWIMHEYSLNIDLVPENDQGYVLCRLRKNDREEKKAEKRRKLIT >EOY18334 pep chromosome:Theobroma_cacao_20110822:10:3063795:3070937:1 gene:TCM_042937 transcript:EOY18334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MDERLRRAALEGNIVELYASIQRDGDVLRKVNEMQFIDTPLHIAAAEGCIDFAMEIMILMPSFARKLNQEGLSPIHLAVEKGHKELALHLMENDKNLVRVKGKQGETPLHHAITREQNLDLLARFLEACPECVQDMTTTNQTALHIATGNNRLEALELLCLRLRKSDYCEDVVNQKDRNGDTALHIAVRNNQPKMLKLLLKCKADKLASNQAGLTVLAVAHELNNRESINILRGWRSPRVLSFQYKMRKQIIKPVTKASEVIFKGMDSISSEDRNALLVILGLLLTATYQASISPPGSVWQGDRSSNSDSTVGYFEKLPGKSVMGEVDFLLFYIPAYTVFIVTFFLTLGLLKPFPRGFRTALQVLLAFLAISFDKSITFIAPSYLVFQVISTFSVLVFILMMFMCIAYRSNIFFPRTNFRFLLFQMAENIFGLT >EOY17773 pep chromosome:Theobroma_cacao_20110822:10:818905:820915:1 gene:TCM_042494 transcript:EOY17773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein isoform 2 MAELTQAEVYSPRSMQVWRALLNWLAFFFQIFAQIIRAVGQYPLLSSSSSSSSSSSSTTSSSPHRFKPLPVDDSTEIESPATVEIAAVLDSSVLADEDSVEKLTVVLDLDETLVCAYETSSLPPALRNQATDAGLKWFELECVSSDKEFEGKPKINYVTVFERPGLQEFLNQLSEFAELVLFTAGLEGYARPLVDRIDAENRFSLRLYRPSTI >EOY17772 pep chromosome:Theobroma_cacao_20110822:10:819114:822374:1 gene:TCM_042494 transcript:EOY17772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein isoform 2 MAELTQAEVYSPRSMQVWRALLNWLAFFFQIFAQIIRAVGQYPLLSSSSSSSSSSSSTTSSSPHRFKPLPVDDSTEIESPATVEIAAVLDSSVLADEDSVEKLTVVLDLDETLVCAYETSSLPPALRNQATDAGLKWFELECVSSDKEFEGKPKINYVTVFERPGLQEFLNQLSEFAELVLFTAGLEGYARPLVDRIDAENRFSLRLYRPSTISTEYREHVKDLSCLSKDLCRTVIVDNNPFSFLLQPLNGIPCIPFSAGQPHDTQLLDVLLPLLKHLSQQKDVRSVLYERFRMPEWFQKQGIPASGWSV >EOY18361 pep chromosome:Theobroma_cacao_20110822:10:3209980:3211497:1 gene:TCM_042967 transcript:EOY18361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein 1589 of Uncharacterized protein function MGDSSQSYIRLVQHLIEKCLIFKMTKEECMVALSKHANIKPVITSTVWNELEKENKEFFEAYAQSQSKQDRMSEEETSQMIQKMISDSPKDPDE >EOY18560 pep chromosome:Theobroma_cacao_20110822:10:3836770:3842118:-1 gene:TCM_043086 transcript:EOY18560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine-rich cyclin 1 MIYTAIDNFYLTDEQLKNSPSRKDGIDEATETTLRIYGCDLIQESGILLKLPQAVMATGQVLFHRFYCKKSFARFDVKIVAASALWLATKLEESPRRARQVIIVFHRMECRRENLPLEHLDLYSKKFSDLKAELSRTERHILKEMGFVCHVEHPHKFISNYLATLETPTELRQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENLPWWKAFDAEKSGIDEVCRVLAHLYSLPKAQYSPVCKDRKPFTFSTKSADSQSQLISKEVPLSPPANNNANVSNTTVAAADLETGGSKEAKVKMALDKLKESKQSDDESKSMPTEGDAREEPRHKSKSEQRTQSGGEKSKERDRERERERERERERDRERDRERAKARDRDRGRDSDRERERDETERDRDKVKDRGHRSKDRTKDSGGHSEKSRHHSSRDRDYRGSSYSSREKDRHRHHSYA >EOY17796 pep chromosome:Theobroma_cacao_20110822:10:908778:909946:-1 gene:TCM_042515 transcript:EOY17796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKIFSKQLTDTDLKKRLSIPTQCLNHFRFDKCHSTSVQVEDENGKAWHFKCRVRREGYQKPVFCEGWLKFVRYTSLRPGDRVEFYKDTKAEGLYRIQVQRRVKLLGKEFWANLRPFEKRINFEERTEVAAKEEKSKRKLSI >EOY19256 pep chromosome:Theobroma_cacao_20110822:10:15219606:15226608:-1 gene:TCM_044265 transcript:EOY19256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit A isoform 2 MLSTIQPSRCLSRFPVHLPTCGRTKSRFLRVVSSAQPVTTAPLADQSQILSIRDSLLSRHLSAVQVADSYLGRLKQTEPQIGSFLHLSEPDKVLKQAQEIDDKIKRNEEVGPLAGVLVAVKDNICTADMPSTGGSHILDGYRPPFDATAVKRLKDLGAIVVGKTNLDEFGMGSSTEASAFKVTANPWDLSRVPGGSSGGSAAAVSARQCVVSLGSDTGGSVRQPASFCGVAGLKPTYGRVSRFGLMAYASSLDVIGCFGSSVADTGILLHAISGHDALDATSSKREVPDFTSQFLSASSLKSRPLEGLRVGLIRETVDGGVDSGVKSAIQGAAAHLEQLGSIVTEVSLPSFSLGLPAYYILASSESSSNLSRYDGVRYGNQASCDELNVLYEDSRAKGFGSEVKMRILMGTYALSAGYYDAYYKRAQQCFR >EOY19257 pep chromosome:Theobroma_cacao_20110822:10:15222994:15226608:-1 gene:TCM_044265 transcript:EOY19257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit A isoform 2 MLSTIQPSRCLSRFPVHLPTCGRTKSRFLRVVSSAQPVTTAPLADQSQILSIRDSLLSRHLSAVQVADSYLGRLKQTEPQIGSFLHLSEPDKVLKQAQEIDDKIKRNEEVGPLAGVLVAVKDNICTADMPSTGGSHILDGYRPPFDATAVKRLKDLGAIVVGKTNLDEFGMGSSTEASAFKVTANPWDLSRVPGGSSGGSAAAVSARQCVVSLGSDTGGSVRQPASFCGVAGLKPTYGRVSRFGLMAYASSLDVIGCFGSSVADTGILLHAISGHDALDATSSKREVPDFTSQFLSASSLKSRPLEGLRVGLIRETVDGGVDSGVKSAIQGAAAHLEQLGSIVTEVSLPSFSLGLPAYYILASSESSSNLSRYDGVR >EOY19255 pep chromosome:Theobroma_cacao_20110822:10:15217758:15226467:-1 gene:TCM_044265 transcript:EOY19255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit A isoform 2 MLSTIQPSRCLSRFPVHLPTCGRTKSRFLRVVSSAQPVTTAPLADQSQILSIRDSLLSRHLSAVQVADSYLGRLKQTEPQIGSFLHLSEPDKVLKQAQEIDDKIKRNEEVGPLAGVLVAVKDNICTADMPSTGGSHILDGYRPPFDATAVKRLKDLGAIVVGKTNLDEFGMGSSTEASAFKVTANPWDLSRVPGGSSGGSAAAVSARQCVVSLGSDTGGSVRQPASFCGVAGLKPTYGRVSRFGLMAYASSLDVIGCFGSSVADTGILLHAISGHDALDATSSKREVPDFTSQFLSASSLKSRPLEGLRVGLIRETVDGGVDSGVKSAIQGAAAHLEQLGSIVTEVSLPSFSLGLPAYYILASSESSSNLSRYDGVRYGNQASCDELNVLYEDSRAKGFGSEVKMRILMGTYALSAGYYDAYYKRAQQVRTIIQKSFKAALGENDILISPAAPSAAYKIGEKRNDPLAMYAGDIMTVNVNLAGLPALVLPCGFVEGGSAGLPVGLQMIGAAFDEEKLLKVGHIFEQTLQGHKFVPPLIQDGVFA >EOY19841 pep chromosome:Theobroma_cacao_20110822:10:23131646:23142710:-1 gene:TCM_045213 transcript:EOY19841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFMDMGHLTTLAVLVGYLDKDLITVAHRGDTKPDAKPCGVSIDIRGNECHRDIATVVTGPMGVPIRDRTCLA >EOY19499 pep chromosome:Theobroma_cacao_20110822:10:18175278:18178961:-1 gene:TCM_044612 transcript:EOY19499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTCRGLKPLVIESTPRAEVSSQVKEQSISKSKGGEESFLGNVSSSFEPNRFTDFQGTPILSRYLELLKYMYDVEGQFWSFRTKNMNAAVMATMDNALEIASSSWADISLEQLKEMGDCMEDILRAGCKVKCLDTCIAKAKTLTALKEIKSQIASPKSRKKELRRRLDSFSTPLNFTFN >EOY19164 pep chromosome:Theobroma_cacao_20110822:10:11376717:11382406:1 gene:TCM_043950 transcript:EOY19164 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing-like protein isoform 1 EPELITQEESEPTTGKTSRDPPQNPPDQEMESTCDSEPNSVEKPSSSKHSSVPYAIPQWSEPPSHHFFLEVLEEGCVIDQLKVYEKGAYMFGSVDLCDVVLEHPTISRFHAVLQFRSSGQAYIYDLGSKHGTFINKSQVTRRTYVDVHVGDVIQFGRSSHLYIFQGPSELMPLGTNNILELLEKMNLKVAEEYKGFGDVASGLRVFVEQLKNKSGNFDEYVQQIDTIEQQVTE >EOY19163 pep chromosome:Theobroma_cacao_20110822:10:11376551:11382778:1 gene:TCM_043950 transcript:EOY19163 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing-like protein isoform 1 MTTTTGPQPRRNPNLLTEPELITQEESEPTTGKTSRDPPQNPPDQEMESTCDSEPNSVEKPSSSKHSSVPYAIPQWSEPPSHHFFLEVLEEGCVIDQLKVYEKGAYMFGSVDLCDVVLEHPTISRFHAVLQFRSSGQAYIYDLGSKHGTFINKSQVTRRTYVDVHVGDVIQFGRSSHLYIFQGPSELMPLKRNQNLGPAMADKELEVGDELAESLNDLSTSVSTMVKSELQGTNNILELLEKMNLKVAEEYKGFGDVASGLRVFVEQLKNKSGNFDEYVQQIDTIEQQVTEFEAVISVLDRYVSLLESKVQSVYQHPPP >EOY18116 pep chromosome:Theobroma_cacao_20110822:10:2110792:2113331:-1 gene:TCM_042750 transcript:EOY18116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein isoform 1 MAVGILEVLLVSAKGLEDTDFLGGMDPYVRIQYKGQERKSSVARGIAHGAGGGSSSSWNERFTFKVEYPGSGDDYKLILKIMDKDTFSADDFVGQATIYVEDLLAIGAENGNAELHPGKYSVVQADLSYCGEIQVGVTFTRKVEDEEEYGGWKESSF >EOY18117 pep chromosome:Theobroma_cacao_20110822:10:2110813:2113333:-1 gene:TCM_042750 transcript:EOY18117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein isoform 1 MAVGILEVLLVSAKGLEDTDFLGGGSSSSWNERFTFKVEYPGSGDDYKLILKIMDKDTFSADDFVGQATIYVEDLLAIGAENGNAELHPGKYSVVQADLSYCGEIQVGVTFTRKVEDEEEYGGWKESSF >EOY18622 pep chromosome:Theobroma_cacao_20110822:10:4038731:4059463:1 gene:TCM_043122 transcript:EOY18622 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRINKLY4 related 2 MPPQKCYFLTPISSLIFLFPLLFITASGYGSLGPIAAAFGENGFFCAIDAGGKQEIICWDKSNKTSSVPTFSFVPPMASLSGGEGFLCGISSNNSQAFCWDSLNFGINLVPQAYRYNSYLQIAAGKTHVCAIKGSYFSSTNDFGYVDCWEFHQTLDKNNVTMGFVSDHYVSNIVVKNIVSGDGFSCGVVKDSGVVFCWGPKSGNLGTFNVSGEFEVLASGKSSVCGISKMSGEVECWGDSSEFGLPPRGIRFVSLSAGAQHFCGIQEDDHGVECWGKNINVSSVPKGSGFTAIASSDFTTCGVREVDLVLDCWGVQEQSLPDYSPPLQLCSPGVCSPGSCASGKFAFNASVLNEAELTSLCAQNELKMCLPCGTNCSHGYFPSSMCSANADRICTPCSLCQNSSCWDVCGVPSSTKTQQQEQLEIKKLVIIIGSSISGCLLILVASCVFPRIIITKGEGKGIIQCSFCIGKPVVEADPDPNPLPPLSVTTYIGETQVYRLSELKDATHGFKEFSELGRGSFGFVYKAVLPDGRQVAVKRANAATIIHTNSRDFEAELEILCKVKHSNIVNLLGYCAEMGERLLVYEYMPHGTLHDHLHGDLSPLDWDLRLKIALQAARGLEYLHNEVTPPIVHRDVKTSNILLDSEWGARIADFGILSATDKDLSGDMANDVYNFGIVLLEILSGRKAYDRDLTPPGTFEWALPLIRQGKAAATIDRSVPLPRNVEPLLKLADLAELSLRENPSERPSMTNLASSLDQIVKCGLILS >EOY20024 pep chromosome:Theobroma_cacao_20110822:10:24443475:24445271:1 gene:TCM_045428 transcript:EOY20024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNDGTQEKYYRELISLAVEEAWQGVVGQFQLGLGTCECNTRSGEEHAGGETLYPLVQNLEPAAAAKVTGMFTCTSVFVSVITNSEKQRMEKAEVNASKNDLPQDFIDKQRAYSAEVDAFELEEEVASDEELEQMEKYMTKSFRIM >EOY19900 pep chromosome:Theobroma_cacao_20110822:10:23726282:23728302:1 gene:TCM_045299 transcript:EOY19900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the inner envelope membrane of chloroplasts 20 isoform 1 MIPHGCTMPSGCASMSSRLSKPMPCSSVFASISRLPTTDALLRIRSSWMLDQDSKSWMLKGLPPLHLSAASTPLLCGDLGGLSQTVPSLPTRRSSTLVPRASKDVPYSFRYPTMTKKPKWWWRSLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLGAIGRLPSWFLMAYFFVAYLGIVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLAVYWGKLGMHFWTAVAFAYLFTVLECIRCALAGMYSDIPFVCDAAYIQIPYD >EOY19899 pep chromosome:Theobroma_cacao_20110822:10:23726978:23728518:1 gene:TCM_045299 transcript:EOY19899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the inner envelope membrane of chloroplasts 20 isoform 1 MIPHGCTMPSGCASMSSRLSKPMPCSSVFASISRLPTTDALLRIRSSWMLDQDSKSWMLKGLPPLHLSAASTPLLCGDLGGLSQTVPSLPTRRSSTLVPRASKDVPYSFRYPTMTKKPKWWWRSLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLGAIGRLPSWFLMAYFFVAYLGIVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLAVYWGKLGMHFWTAVAFAYLFTVLECIRCALAGMYSDIPFVCDAAYIQIPYD >EOY19901 pep chromosome:Theobroma_cacao_20110822:10:23726246:23728383:1 gene:TCM_045299 transcript:EOY19901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the inner envelope membrane of chloroplasts 20 isoform 1 MIPHGCTMPSGCASMSSRLSKPMPCSSVFASISRLPTTDALLRIRSSWMLDQDSKSWMLKGLPPLHLSAASTPLLCGDLGGLSQTVPSLPTRRSSTLVPRASKDVPYSFRYPTMTKKPKWWWRSLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLGAIGRLPSWFLMAYFFVAYLGIVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLAVYWGKLGMHFWTAVAFAYLFTVLECIRCALAGMYSDIPFVCDAAYIQIPYD >EOY17584 pep chromosome:Theobroma_cacao_20110822:10:288173:294163:-1 gene:TCM_042380 transcript:EOY17584 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative isoform 2 MAELVGGAFLSSFLEVLFGKMASREVLNFFNSRELNDELLDKLKRTLLSIEAVLIDAEEKQMKNRAVQKWLDELKDAVYDAEDLLDEIESLTFSRKLKEEPQTSCASLVRNCFSFPNPFTKSMGPKVEEILNRLEHLAKQTAVLGLRNDVREKPSPKLPTTSLVDESDVYGRNDDREAITKMLLSDDASCRELRVITIVGMGGLGKTTLAQLVYNDSRVTEWFELKVWVCVTEEFDVCRVTRSIIEGLTSTTCDIKDLNLLQIQLSERLKGKKFLLVLDDVWNKKYVDWEALKRPLKSAAEDSKIIVTTRDEGVASVMRTTTSSYNLKPLSEKDCWSLFTNHVCSGSGNTTIRPDLEAMGREIVKKCKGLPLAIKTLGGLLHMKVDAKEWEKILKSDIWEFSDDESDILPALRLSYHYLPSYLKPCFAFCSLFPKDYLFQKEKLVLLWMGEGLLDNFKEKGRTLEEVGDDCFDELASRSFFQRSSGSGTHFVMHDLMHDLAEFVSGKFFARLEDDGSCEINRRTRHFSYLTKGYDTSKRFGALNEARRLRTFLNVDKYPWMEKYIADTITHDLLPNLGCLKVLSFSQYRNINVLVNSIGNLKLLRYLDLSYTVIKKLPESASALFHLQILLLSNCWRLVELPTKLGRLINLQHLDLNDTMLKEMPAHMGKLKNLHKLTTFVVGKNSGSSISELGELQHLHGTLSILNLQNVGCSGDALKANLKGKKKLQKLVLSWCDEIEDHSKYEADVPLVKNRKVAVPKFVPHEYLLEQLQPSPDLEHLKIFGYGGTQFPEWVGDHSFSKIACLELSNCEHCLSLPALGHLRSLKNLCIGGFARVTAVGSEFYGNGSSMKSFESLEILRFEKMPEWQEWLCLGDENGTFSSLQELYIIDCPKLKGDLPKTLPLLRKFGIENCEMLGSALSRAPDMDELELVNCDKMQLQALPTELQKLTIKYCSVQDSTLELMLQHCSRLEGLSIGSCAALKSLPEGRLPVSLKKLEIDNCGEFDFSRIHLYTSLEKLNVSNALDSLESFPLGSFPNLNSLNFRRCTNIKSFSALEEPHQHLPSLHSITIYDCPNFVSFPKGGLSAPNLTRLCLFNCKNLKSLPEQMHSLLPSLDSLIVVICSEIESFPEGGLPLNLKYIRISYCNKLIAGRMGWGLHKLRCLKHFEMGGGDMEFFPDEQLLPPTITNLHINGVPNLKTLDYKGLQHLTSIRRLEVANCAKLQSMPPEGLPVSLSSLSIRFCPLLTKRCQKERGKDWAKISHIPSIEIDDQVIIA >EOY17585 pep chromosome:Theobroma_cacao_20110822:10:262717:292652:-1 gene:TCM_042380 transcript:EOY17585 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative isoform 2 MGREIVKKCKGLPLAIKTLGGLLHMKVDAKEWEKILKSDIWEFSDDESDILPALRLSYHYLPSYLKPCFAFCSLFPKDYLFQKEKLVLLWMGEGLLDNFKEKGRTLEEVGDDCFDELASRSFFQRSSGSGTHFVMHDLMHDLAEFVSGKFFARLEDDGSCEINRRTRHFSYLTKGYDTSKRFGALNEARRLRTFLNVDKYPWMEKYIADTITHDLLPNLGCLKVLSFSQYRNINVLVNSIGNLKLLRYLDLSYTVIKKLPESASALFHLQILLLSNCWRLVELPTKLGRLINLQHLDLNDTMLKEMPAHMGKLKNLHKLTTFVVGKNSGSSISELGELQHLHGTLSILNLQNVGCSGDALKANLKGKKKLQKLVLSWCDEIEDHSKYEADVPLVKNRKVAVPKFVPHEYLLEQLQPSPDLEHLKIFGYGGTQFPEWVGDHSFSKIACLELSNCEHCLSLPALGHLRSLKNLCIGGFARVTAVGSEFYGNGSSMKSFESLEILRFEKMPEWQEWLCLGDENGTFSSLQELYIIDCPKLKGDLPKTLPLLRKFGIENCEMLGSALSRAPDMDELELVNCDKMQLQALPTELQKLTIKYCSVQDSTLELMLQHCSRLEGLSIGSCAALKSLPEGRLPVSLKKLEIDNCGEFDFSRIHLYTSLEKLNVSNALDSLESFPLGSFPNLNSLNFRRCTNIKSFSALEEPHQHLPSLHSITIYDCPNFVSFPKGGLSAPNLTRLCLFNCKNLKSLPEQMHSLLPSLDSLIVVICSEIESFPEGGLPLNLKYIRISYCNKLIAGRMGWGLHKLRCLKHFEMGGGDMEFFPDEQLLPPTITNLHINGVPNLKTLDYKGLQHLTSIRRLEVANCAKLQSMPPEGLPVSLSSLSIRFCPLLTKRCQKERGKDWAKISHIPSIEIDDQVIIA >EOY19921 pep chromosome:Theobroma_cacao_20110822:10:23868309:23872074:-1 gene:TCM_045324 transcript:EOY19921 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1/ASK-interacting protein 5 isoform 2 MEVEEQEGRKSRKMKRSNKNERISSSSSSSFAPINSLDDGCLMHIFSFLSPIPDRYNTALVCHRWHYLACHPQLWLRVDRSLKDFSEPGVFPNIEEAVSAARPGDTILIAAGGSHLASNIQIRKPLCLIGGGELPDETTLLCLRGSDSALEFLSTCKLTNLTVKAELGCCLLHRSGRLIIDECILQCESNPLDYLSCPIVSTAGSEVFPSNLKSHRSDCVSVSHTRIEGGAKAVLTSGDLALQRVRVIYARTSLYFWFDVDCK >EOY19922 pep chromosome:Theobroma_cacao_20110822:10:23868041:23872233:-1 gene:TCM_045324 transcript:EOY19922 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1/ASK-interacting protein 5 isoform 2 LVVSDFCSLTLHRQAVNILRKKTISFFFFSSAISFFNKKKIPGNKLSLPSKAAMEVEEQEGRKSRKMKRSNKNERISSSSSSSFAPINSLDDGCLMHIFSFLSPIPDRYNTALVCHRWHYLACHPQLWLRVDRSLKDFSEPGVFPNIEEAVSAARPGDTILIAAGGSHLASNIQIRKPLCLAELGCCLLHRSGRLIIDECILQCESNPLDYLSCPIVSTAGSEVFPSNLKSHRSDCVSVSHTRIEGGAKAVLTSGDLALQRVRVIYARTSLYFWFDVDCK >EOY18165 pep chromosome:Theobroma_cacao_20110822:10:2226163:2231166:1 gene:TCM_042779 transcript:EOY18165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRRGAYHSHEKANVNNHLIIGHQNDRKGYAKGHFLAGSGTQRPDMAVGSTRSGASRLDPTVGSGNPRQDPTMGKHRSGAVVLLPSDSSIGILPKSVKNFSMRLIGDIQYLKMQFRSRDHKMRHFLDQYPIILRPFLHQ >EOY18840 pep chromosome:Theobroma_cacao_20110822:10:5349666:5352337:1 gene:TCM_043332 transcript:EOY18840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shugoshin C terminus, putative isoform 2 MELSGIELQKLRTNLEKFQQQNLLLAQANSQMLAELNSGKDRLKALKHELGCKNAVLKAIKTEKKAQNKACLTSENEVRTNRCDKEGESLKEEDGEDETCNMNRCNKAGGSLIEEDGENKPCNRNQRRQSKSLCPSNIKPVQAKEGVVNKSRVCLRRQSTGFKDQKPELTEDAFVDDAKFLVSSSCDDKVHESGLISSDSSVKKEHEEGSTDNKRVCLRRQSARFKTQEPELTADVFDLDDTAFLVSSCDDKVHESGPTSSHSSVKKEHEE >EOY18841 pep chromosome:Theobroma_cacao_20110822:10:5349666:5352337:1 gene:TCM_043332 transcript:EOY18841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shugoshin C terminus, putative isoform 2 REREREIERVGFSSVLATEKLTILDTEIGVVSGKELRGEDMENQSSIGNAPRKGLSDITNLQQQPIVVSQGAKLLLQPASLRSKDYIDKLQKENMMLMKVLADRNKVMELSGIELQKLRTNLEKFQQQNLLLAQANSQMLAELNSGKDRLKALKHELGCKNAVLKAIKTEKKAQNKACLTSENEVLKRITSTIWIDFCFKHPMLSTLFLFHGLKVRTNRCDKEGESLKEEDGEDETCNMNRCNKAGGSLIEEDGENKPCNRNQRRQSKSLCPSNIKPVQAKEGVVNKSRVCLRRQSTGFKDQKPELTEDAFVDDAKFLVSSSCDDKVHESGLISSDSSVKKEHEEGSTDNKRVCLRRQSARFKTQEPELTADVFDLDDTAFLVSSCDDKVHESGPTSSHSSVKKEHEE >EOY18839 pep chromosome:Theobroma_cacao_20110822:10:5349649:5352839:1 gene:TCM_043332 transcript:EOY18839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shugoshin C terminus, putative isoform 2 MENQSSIGNAPRKGLSDITNLQQQPIVVSQGAKLLLQPASLRSKDYIDKLQKENMMLMKVLADRNKVMELSGIELQKLRTNLEKFQQQNLLLAQANSQMLAELNSGKDRLKALKHELGCKNAVLKAIKTEKKAQNKACLTSENEVRTNRCDKEGESLKEEDGEDETCNMNRCNKAGGSLIEEDGENKPCNRNQRRQSKSLCPSNIKPVQAKEGVVNKRVCLRRQSTGFKDQKPELTEDAFVDDAKFLVSSSCDDKVHESGLISSDSSVKKEHEEGSTDNKRVCLRRQSARFKTQEPELTADVFDLDDTAFLVSSCDDKVHESGPTSSHSSVKKEHEEGSITPRNEAQELRRISVGRPLRRAVEKVQSYKEIPVNVKMRREE >EOY18838 pep chromosome:Theobroma_cacao_20110822:10:5349649:5352839:1 gene:TCM_043332 transcript:EOY18838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shugoshin C terminus, putative isoform 2 MENQSSIGNAPRKGLSDITNLQQQPIVVSQGAKLLLQPASLRSKDYIDKLQKENMMLMKVLADRNKVMELSGIELQKLRTNLEKFQQQNLLLAQANSQMLAELNSGKDRLKALKHELGCKNAVLKAIKTEKKAQNKACLTSENEVRTNRCDKEGESLKEEDGEDETCNMNRCNKAGGSLIEEDGENKPCNRNQRRQSKSLCPSNIKPVQAKEGVVNKSRVCLRRQSTGFKDQKPELTEDAFVDDAKFLVSSSCDDKVHESGLISSDSSVKKEHEEGSTDNKRVCLRRQSARFKTQEPELTADVFDLDDTAFLVSSCDDKVHESGPTSSHSSVKKEHEEGSITPRNEAQELRRISVGRPLRRAVEKVQSYKEIPVNVKMRREE >EOY18928 pep chromosome:Theobroma_cacao_20110822:10:6293909:6295906:-1 gene:TCM_043440 transcript:EOY18928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing-like protein MIQKKLKIRGYSLNMDGLEEIFSFVDRFQDAQDEAIDLLLDQLDHESLVKSSIIDKEAVHRVISLLLEAKAAEEECLTSSTSFSHSSILVVDAFLVPKFQYDPIKKHFFSHAGSLLIHGDASTKSALYRDRCSIRGVSTVAPLLFLFHLGCSPAHQSPFVVAVFCSNTRASNSSFSDVAKFYATIMDNSKAYDNLEKSLDQLELQLTTPLALELLQRLFLEDKLAFKFFTWAARKHNYAHQPQAYNQMIDILSNTKYKIKQFRIVCDMLDHMKRSNRNAVPTEVLLLILRQYTEKHLTHLQKFAKKKRIRVKTQSEINAFNLLLKSIFSLQSILCMLKRQEGIHYLSYAL >EOY20194 pep chromosome:Theobroma_cacao_20110822:10:25214894:25217657:1 gene:TCM_045570 transcript:EOY20194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 17 MGEEVSMTETEIAMSIPHFVLVHGISGGAWCWYKIRCLMENSGYKVSCIDLKGAGIDQSDANSILSFDDYNKPLLDFMSALPDTEQVILVGHSAGGLSVTQATHKFSKKIRLAVYVAATMLKLGYLTDQDIKDGVPDLSGFGDVYELGFGLGPEQPPTSAIVKKEFQRKIIYQMSPQEDSTLATMLLRPGPILALQGAQFTEETENVYNVPRIYIKTMHDNVVKPHQQVAMIKRWPPSEVHVLDSDHSPFFSAPFLLFGLLVKLAASAGYN >EOY19915 pep chromosome:Theobroma_cacao_20110822:10:23850071:23856750:1 gene:TCM_045319 transcript:EOY19915 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein isoform 1 MAGLNLSAVSDDEDDADRDDRPVLSAAAVAAASRSTLRPPSLQTLLEDVPDSAAVPHTSSSGGDSEGKSKSADKSSRSRKLKHDVIEAVNELIQDINTCHEQIAEQAVEHIHQNEVILTLGSSRTVLEFLCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVARGLQTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQKHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCMDFGSGNGAPLLHVVNPTFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVVQRRPTSGN >EOY19918 pep chromosome:Theobroma_cacao_20110822:10:23849996:23855959:1 gene:TCM_045319 transcript:EOY19918 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein isoform 1 SVISQTRVPYTNQAGALIDSVKAVGERLIAANPVELAVGNIVRRVLHIIREEDVSLTTAAMAGLNLSAVSDDEDDADRDDRPVLSAAAVAAASRSTLRPPSLQTLLEDVPDSAAVPHTSSSGGDSEGKSKSADKSSRSRKLKHDVIEAVNELIQDINTCHEQIAEQAVEHIHQNEVILTLGSSRTVLEFLCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVARGLQTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQKHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSVSLQYSDILVSAFPAYGEVVSCGAIVSHGAQ >EOY19913 pep chromosome:Theobroma_cacao_20110822:10:23849629:23856352:1 gene:TCM_045319 transcript:EOY19913 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein isoform 1 MPDTQALVNDFLNQLKKRKIEGSQATAKQTAELLRSVISQTRVPYTNQAGALIDSVKAVGERLIAANPVELAVGNIVRRVLHIIREEDVSLTTAAMAGLNLSAVSDDEDDADRDDRPVLSAAAVAAASRSTLRPPSLQTLLEDVPDSAAVPHTSSSGGDSEGKSKSADKSSRSRKLKHDVIEAVNELIQDINTCHEQIAEQAVEHIHQNEVILTLGSSRTVLEFLCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVARGLQTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQKHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCMDFGSGNGAPLLHVVNPTFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVVQRRPTSGN >EOY19916 pep chromosome:Theobroma_cacao_20110822:10:23849629:23856519:1 gene:TCM_045319 transcript:EOY19916 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein isoform 1 MAGLNLSAVSDDEDDADRDDRPVLSAAAVAAASRSTLRPPSLQTLLEDVPDSAAVPHTSSSGGDSEGKSKSADKSSRSRKLKHDVIEAVNELIQDINTCHEQIAEQAVEHIHQNEVILTLGSSRTVLEFLCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVARGLQTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQKHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCMDFGSGNGAPLLHVVNPTFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVVQRRPTSGN >EOY19917 pep chromosome:Theobroma_cacao_20110822:10:23849610:23856750:1 gene:TCM_045319 transcript:EOY19917 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein isoform 1 MPDTQALVNDFLNQLKKRKIEGSQATAKQTAELLRSVISQTRVPYTNQAGALIDSVKAVGERLIAANPVELAVGNIVRRVLHIIREEDVSLTTAAMAGLNLSAVSDDEDDADRDDRPVLSAAAVAAASRSTLRPPSLQTLLEDVPDSAAVPHTSSSGGDSEGKSKSADKSSRSRKLKHDVIEAVNELIQDINTCHEQIAEQAVEHIHQNEVILTLGSSRTVLEFLCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVARGLQTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQKHAVPFVVLAGSHKVRDYETAPSIVTAETIVMTLWKQVLQT >EOY19914 pep chromosome:Theobroma_cacao_20110822:10:23849610:23856750:1 gene:TCM_045319 transcript:EOY19914 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein isoform 1 MPDTQALVNDFLNQLKKRKIEGSQATAKQTAELLRSVISQTRVPYTNQAGALIDSVKAVGERLIAANPVELAVGNIVRRVLHIIREEDVSLTTAAMAGLNLSAVSDDEDDADRDDRPVLSAAAVAAASRSTLRPPSLQTLLEDVPDSAAVPHTSSSGGDSEGKSKSADKSSRSRKLKHDVIEAVNELIQDINTCHEQIAEQAVEHIHQNEVILTLGSSRTVLEFLCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVARGLQTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQKHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCMDFGSGNGAPLLHVVNPTFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVVQRRPTSGN >EOY19912 pep chromosome:Theobroma_cacao_20110822:10:23849610:23856750:1 gene:TCM_045319 transcript:EOY19912 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein isoform 1 MPDTQALVNDFLNQLKKRKIEGSQATAKQTAELLRSVISQTRVPYTNQAGALIDSVKAVGERLIAANPVELAVGNIVRRVLHIIREEDVSLTTAAMAGLNLSAVSDDEDDADRDDRPVLSAAAVAAASRSTLRPPSLQTLLEDVPDSAAVPHTSSSGGDSEGKSKSADKSSRSRKLKHDVIEAVNELIQDINTCHEQIAEQAVEHIHQNEVILTLGSSRTVLEFLCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVARGLQTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQKHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCMDFGSGNGAPLLHVVNPTFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVVQRRPTSGN >EOY17537 pep chromosome:Theobroma_cacao_20110822:10:139928:142606:-1 gene:TCM_042348 transcript:EOY17537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding isoform 1 MMEQPQPCCKGAEVLLNLQPTSSVWIQYHRLFGPHDDLVLLELDEKLLPDVLYQRVTLRGQPDEDAVFCTKSKTYSVKLVGTSNSVFLVPHADYSTFCENSQDCDGEDYKQQVGASVIKVASGNMELVEVAPRLDKLKSIISENLYSSDEALVMEDLEFMERSMRRLYTWDDLTNMVQASDDELRSGLKALSALEIDGYWRIVDQKYMDMILRMLLHNSVLNDWSLNTLIEDEVVSVLESDGFPRKLAYHCLHVYGSRVEEVMDKGVWRMDARRVCVHFAREILREGKRKMESFMEEWTRKIPEEMQASFDMLEGEVLTEKVGVETWVHAFSVSSLPSTPAERFSILFKERPKWEWKDLEPYVRDLNVPGLSSEALLLKYTRRTQPTIDAEPVFSAR >EOY17536 pep chromosome:Theobroma_cacao_20110822:10:140081:142606:-1 gene:TCM_042348 transcript:EOY17536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding isoform 1 MMEQPQPCCKGAEVLLNLQPTSSVWIQYHRLFGPHDDLVLLELDEKLLPDVLYQRVTLRGQPDEDAVFCTKSKTYSVKLVGTSNSVFLVPHADYSTFCENSQDCDGEDYKQQVGASVIKVASGNMELVEVAPRLDKLKSIISENLYSSDEALVMEDLEFMERSMRRLYTWDDLTNMVQASDDELRSGLKALSALEIDGYWRIVDQKYMDMILRMLLHNSVLNDWSLNTLIEDEVVSVLESDGFPRKLAYHCLHVYGSRVEEVMDKGVWRMDARRVCVHFAREILREGKRKMESFMEEWTRKIPEEMQASFDMLEGEVLTEKVGVETWVHAFSVSSLPSTPAERFSILFKERPKWEWKDLEPYVRDLNVPGLSSEALLLKYTRRTQPTIDAEPVFSAR >EOY18881 pep chromosome:Theobroma_cacao_20110822:10:5675602:5676206:1 gene:TCM_043377 transcript:EOY18881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MAPKAEKKLAEKKPAAVAEKAPAAEKKPRAEKKIPKESGSTADKKKKRAKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >EOY19167 pep chromosome:Theobroma_cacao_20110822:10:11528167:11534315:-1 gene:TCM_043963 transcript:EOY19167 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 3 MYSNFKEHAIEYVKQAVREDDAGNYSKAIHLYMNALEYFKTHLKYEKNPKIKEAIMQKFNEYLRRAEEIRAILDNGGSLPNSNRDVGDATWPKTKDGEGKDKEDSEQAKLRAGLYSVIIKEKPDVKWSDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWKAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSSLFQMARDNAPSIIFIDEVDSLCGQRGEGNESEASRRIKTELLVQMQGVGNDDNKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFEHLARKTEGFSGSDISVCVKDVLFEPVRKTRDAEFFMKTTNDMWVPCGRTQPGAIRTTILELDGKGLASKILPPPITRADFDKVLARQKPTVSKADLEVHERFTKEFGEEG >EOY19169 pep chromosome:Theobroma_cacao_20110822:10:11529586:11533632:-1 gene:TCM_043963 transcript:EOY19169 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 3 MYSNFKEHAIEYVKQAVREDDAGNYSKAIHLYMNALEYFKTHLKYEKNPKIKEAIMQKFNEYLRRAEEIRAILDNGGSLPNSNRDVGDATWPKTKDGEGKDKEDSEQAKLRAGLYSVIIKEKPDVKWSDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWKAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSSLFQMARDNAPSIIFIDEVDSLCGQRGEGNESEASRRIKTELLVQMQGVGNDDNKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFEHLARKTEGFSGSDISVCVGAIC >EOY19168 pep chromosome:Theobroma_cacao_20110822:10:11528578:11534212:-1 gene:TCM_043963 transcript:EOY19168 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 3 MYSNFKEHAIEYVKQAVREDDAGNYSKAIHLYMNALEYFKTHLKYEKNPKIKEAIMQKFNEYLRRAEEIRAILDNGGSLPNSNRDVGDATWPKTKDGEGKDKEDSEQAKLRAGLYSVIIKEKPDVKWSDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWKAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSSLFQMARDNAPSIIFIDEVDSLCGQRGEGNESEASRRIKTELLVQMQGVLFYAFQGVGNDDNKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFEHLARKTEGFSGSDISVCVKDVLFEPVRKTRDAEFFMKTTNDMWVPCGRTQPGAIRTTILELDGKGLASKILPPPITRADFDKVLARQKPTVSKADLEVHERFTKEFGEEG >EOY17723 pep chromosome:Theobroma_cacao_20110822:10:666186:667339:1 gene:TCM_042469 transcript:EOY17723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTIPQKKKTIKKRKGKMMSSHEMFCLSQRRTVPCHACCLYTIPIADDHPVCLSKEKKTGGGIIMRYGLISPF >EOY19962 pep chromosome:Theobroma_cacao_20110822:10:24109231:24131239:1 gene:TCM_045363 transcript:EOY19962 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein MSMPITDKELPETLVMEILLRLPVKSLMRFKCVCKSWCSSFQTSYFITNHKNDNLNLLFKGFFGGFKVPHLSLLSTETESMKHGGPNVEFNLKIKENIRMPVSICSGSRSRLTVSGVCNGLLCLHDGYRINLWNPSTREVKLLPESTISLPPSVGSTYFYCMGLGFDRKSDDYKVLVNVVNRVHDEERIIAFKYISQIHLYSLSTESWREIPHPKVSFDRLKYLFNIYINGFCHYINGICHWPAFDDSGDLILSFDVAEEVFSTSCLPNFGMSKAECFWYIASFNEALATIVHPIRGMEKCYDIWVLNGYLWTKQLTIGPILGVGRPLGFWKNGELFLESENHDLVMFDPCTGELQDFGIHMPMCSTQLVVYAESIVPIKGSSEYKANITREVKLPVKKLVSTASILLKKTKSCSMSMPRTDKQLPETLVMEILLKLPVKSLVRFKCVRKSWCSSFQTSYFITSYKNNNLNFLVKHIENVPCLSLFSTETEIKKHGGSGVELNLKDKENIPMPVSISSSAPITMFGPCNGLLCLDDGCGITLWNPSTREVKVVPKSSISRPASAYCTYFSCIGFGFDSKSDDYKILDKVTHRFQSESTHQIHLYSLNTNSWREIPHPNFRINPVMFNTYINGIYYWQVVGGDDGSYLIVSFNMAEEVFSTIPFPNFGMSKAECWWKAWEIVVTYGS >EOY19707 pep chromosome:Theobroma_cacao_20110822:10:21431242:21432083:1 gene:TCM_044982 transcript:EOY19707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein MDLMNRVFKPYLDKFVVVFIDDILIYSKSREEHEQHLKIVLQILREHRLYAKFSKCEFWLESVAFLGHVVSKEGIQVDTKKIEAVEKWPRPTSVTEIRSFVGLAGYYRRFLKDFSKIVAPLTKLTRKDTKFEWSDACKNSFEKLKACLTTAPVLSLPQGTRCFVMHRRLV >EOY19490 pep chromosome:Theobroma_cacao_20110822:10:18121674:18123982:1 gene:TCM_044606 transcript:EOY19490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily, putative MLDKDITKSSNSLSPNYNKKNHNRIDGWTTYNSSTFVVDGERFKAVEVAFQNTAGLEKHQAVAMKNSADYCTFYRCSFERNAATIFQSCNIYVRKPLPEQKNTITAQVLLDGWNGMKRLDLTLCIMRSLETMDKYSRTVFMQSYIDELISPPWWLEWNETFGLDTLYYGEFENYGPGANTSMRVKWPGYSLWNATQAMGFTVYNFTMGDTWLHETDVPFSGGLCH >EOY20266 pep chromosome:Theobroma_cacao_20110822:10:25420924:25421915:1 gene:TCM_045621 transcript:EOY20266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heteroglycan glucosidase 1 MSKMANSEVKEAASDSTAGKMIFEPILEDGVFRFDCSANDRDAAYPSLSFMNSNDRDVPIMSNKVPLYIPSFECLLGQQLVKLELPVGTSFYGTGEVSGQLERTGKRVFTWNTDAWGYGPGTTSLYQSHPWVLAVLPNGEALGILADTTRRCEIDLRIKCRIQFNAPASFPVITFGPFPSPSAVLISLSHAIGNFQTYTTIAP >EOY18391 pep chromosome:Theobroma_cacao_20110822:10:3303774:3308818:1 gene:TCM_042989 transcript:EOY18391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein isoform 2 MASSPIQEGGARDLEKGLATPQLSQNTLMEASPTPSPSSTATPTALILSNSGKRIDRMSSSLTSSSSCITEHSATPSPSSFATAPALVLCNSAKRIDRMSSSLTSSSSGTAETPSPSSTTTAPALVLSNSGKRIDQAGKKKYVKQVTGRHNDTELHLAAQRGDLAAVKQILADIDSQMMKTASGEDFDLEVSEIQASVVNEVNELGETALFSAADKGHLDVVKELLKYSNKETLTQKTKAGFDPLHIAASQGHYAIVQVLLDHDPGLCQTLGPSNATPLISAATKGHTEVVNELLSRDGSLLESTRSNGKNALHLAARQGHVDIVKALLSKDPQLARRTDKKGQTALHMAVKGQNCEVVKLLLEADAAIVMLPDKFGNTALHVATRKKRAEIVNELLSLPDSNVNALNRDHKTALDIAEGLPLSAESSDIKSCLSRYGALRANELNQPRDELRQTVTQIKKDVHTQLEQTKRTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDEDSGVAVVVRSPSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAEKQVVEVINKLMWLASVCTSVAFMASSYIVVGRRHKWAAILVTVVGGLIMAGVLGTMTYYVVKSKRTRRKRGKNSRRSGSNSWHHSDFTNSEVEVDRIFAL >EOY18392 pep chromosome:Theobroma_cacao_20110822:10:3303956:3309069:1 gene:TCM_042989 transcript:EOY18392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein isoform 2 MASSPIQEGGARDLEKGLATPQLSQNTLMEASPTPSPSSTATPTALILSNSGKRIDRMSSSLTSSSSCITEHSATPSPSSFATAPALVLCNSAKRIDRMSSSLTSSSSGTAETPSPSSTTTAPALVLSNSGKRIDQAGKKKYVKQVTGRHNDTELHLAAQRGDLAAVKQILADIDSQMMKTASGEDFDLEVSEIQASVVNEVNELGETALFSAADKGHLDVVKELLKYSNKETLTQKTKAGFDPLHIAASQGHYAIVQVLLDHDPGLCQTLGPSNATPLISASTRSNGKNALHLAARQGHVDIVKALLSKDPQLARRTDKKGQTALHMAVKGQNCEVVKLLLEADAAIVMLPDKFGNTALHVATRKKRAEIVNELLSLPDSNVNALNRDHKTALDIAEGLPLSAESSDIKSCLSRYGALRANELNQPRDELRQTVTQIKKDVHTQLEQTKRTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDEDSGVAVVVRSPSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAEKQVVEVINKLMWLASVCTSVAFMASSYIVVGRRHKWAAILVTVVGGLIMAGVLGTMTYYVVKSKRTRRKRGKNSRRSGSNSWHHSDFTNSEVEVDRIFAL >EOY18878 pep chromosome:Theobroma_cacao_20110822:10:5636794:5643457:-1 gene:TCM_043373 transcript:EOY18878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding to TOMV RNA 1L isoform 2 MESTESSYVSSPEAARKRSPPPPKSPTSETAEKHTYIRFLVSNAAAGSVIGKGGSTITEFQSKSGARIQLSRNHEFFPGTSDRIIMISGTIDEVLKAMELILAKLLNELNIEDNDDVEPRTKVRLIVPNSSCGSIIGKGGATIKSFIEDSQAGIKISPQDNNFYGLNDRLVTLTGTLDEQMRAIDLILSKLSEDPHYLQAMHAPFSYAAATYNSMSYPSNGAGGKFQNHKEDRSNSVTIGVADGHIGLVLGRGGRNIMEISQLSGARIKISDRGDFMSGTTDRKVTITGSQRAIRQAESMIMQKVAYATDRVMD >EOY18875 pep chromosome:Theobroma_cacao_20110822:10:5636897:5643455:-1 gene:TCM_043373 transcript:EOY18875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding to TOMV RNA 1L isoform 2 MESTESSYVSSPEAARKRSPPPPKSPTSETAEKHTYIRFLVSNAAAGSVIGKGGSTITEFQSKSGARIQLSRNHEFFPGTSDRIIMISGTIDEVLKAMELILAKLLNELNIEDNDDVEPRTKVRLIVPNSSCGSIIGKGGATIKSFIEDSQAGIKISPQDNNFYGLNDRLVTLTGTLDEQMRAIDLILSKLSEDPHYLQAMHAPFSYAATYNSMSYPSNGAGGKFQNHKEDRSNSVTIGVADGHIGLVLGRGGRNIMEISQLSGARIKISDRGDFMSGTTDRKVTITGSQRAIRQAESMIMQKVAYATDRVMD >EOY18873 pep chromosome:Theobroma_cacao_20110822:10:5636898:5643380:-1 gene:TCM_043373 transcript:EOY18873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding to TOMV RNA 1L isoform 2 MESTESSYVSSPEAARKRSPPPPKSPTSETAEKHTYIRFLVSNAAAGSVIGKGGSTITEFQSKSGARIQLSRNHEFFPGTSDRIIMISGTIDEVLKAMELILAKLLNELNIEDNDDVEPRTKVRLIVPNSSCGSIIGKGGATIKSFIEDSQAGIKISPQDNNFYGLNDRLVTLTGTLDEQMRAIDLILSKLSEDPHYLQAMHAPFSYAATYNSMSYPSNGAGGKFQNHKEDRSNSVTIGVADGHIGLVLGRGGRNIMEISQLSGARIKISDRGDFMSGTTDRKVTITGSQRAIRQAESMIMQKVAYATDRVMD >EOY18877 pep chromosome:Theobroma_cacao_20110822:10:5637627:5643125:-1 gene:TCM_043373 transcript:EOY18877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding to TOMV RNA 1L isoform 2 MESTESSYVSSPEAARKRSPPPPKSPTSETAEKHTYIRFLVSNAAAGSVIGKGGSTITEFQSKSGARIQLSRNHEFFPGTSDRIIMISGTIDEVLKAMELILAKLLNELNIEDNDDVEPRTKVRLIVPNSSCGSIIGKGGATIKSFIEDSQAGIKISPQDNNFYGLNDRLVTLTGTLDEQMRAIDLILSKLSEDPHYLQAMHAPFSYAGIFFSGFHGIPYAYVLPSVATATYNSMSYPSNGAGGKFQNHKEDRSNSVTIGVADGHIGLVLGRGGRNIMEISQVCK >EOY18876 pep chromosome:Theobroma_cacao_20110822:10:5636900:5643384:-1 gene:TCM_043373 transcript:EOY18876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding to TOMV RNA 1L isoform 2 MESTESSYVSSPEAARKRSPPPPKSPTSETAEKHTYIRFLVSNAAAGSVIGKGGSTITEFQSKSGARIQLSRNHEFFPGTSDRIIMISGTIDEVLKAMELILAKLLNELNIEDNDDVEPRTKVRLIVPNSSCGSIIGKGGATIKSFIEDSQAGIKISPQDNNFYGLNDRLVTLTGTLDEQMRAIDLILSKLSEDPHYLQAMHAPFSYAATYNSMSYPSNGAGGKFQNHKEDRSNSVTIGVADGHIGLVLGRGGRNIMEISQLSGARIKISDRGDFMSGTTDRKVTITGSQRAIRQAESMIMQKVAYATDRVMD >EOY18874 pep chromosome:Theobroma_cacao_20110822:10:5637289:5643125:-1 gene:TCM_043373 transcript:EOY18874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding to TOMV RNA 1L isoform 2 MESTESSYVSSPEAARKRSPPPPKSPTSETAEKHTYIRFLVSNAAAGSVIGKGGSTITEFQSKSGARIQLSRNHEFFPGTSDRIIMISGTIDEVLKAMELILAKLLNELNIEDNDDVEPRTKVRLIVPNSSCGSIIGKGGATIKSFIEDSQAGIKISPQDNNFYGLNDRLVTLTGTLDEQMRAIDLILSKLSEDPHYLQAMHAPFSYAGIFFSGFHGIPYAYVLPSVATATYNSMSYPSNGAGGKFQNHKEDRSNSVTIGVADGHIGLVLGRGGRNIMEISQLSGARIKISDRGDFMSGTTDRKVTITGSQRAIRQAESMIMQKVAYATDRVMD >EOY18879 pep chromosome:Theobroma_cacao_20110822:10:5637539:5643370:-1 gene:TCM_043373 transcript:EOY18879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding to TOMV RNA 1L isoform 2 MESTESSYVSSPEAARKRSPPPPKSPTSETAEKHTYIRFLVSNAAAGSVIGKGGSTITEFQSKSGARIQLSRNHEFFPGTSDRIIMISGTIDEVLKAMELILAKLLNELNIEDNDDVEPRTKVRLIVPNSSCGSIIGKGGATIKSFIEDSQAGIKISPQDNNFYGLNDRLVTLTGTLDEQMRAIDLILSKLSEDPHYLQAMHAPFSLKLGYQHRLTIQ >EOY18626 pep chromosome:Theobroma_cacao_20110822:10:4077381:4080851:1 gene:TCM_043126 transcript:EOY18626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKLVKARVKIVTEANKLANPSHKVDMLPALSIFDLFVFSSYAGLPWELEIGKAASPPPPSNPLFSNLSFLLKHSPHEDQATTSAN >EOY19375 pep chromosome:Theobroma_cacao_20110822:10:17122381:17124446:-1 gene:TCM_044479 transcript:EOY19375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L28 family MSSSRNMEKTPPIFLATLRATFTSDFRRVCPFTGKKANKANKVSFSNHKTKKLQFVNLQCKKVWWEAGKRYIKLRLSTKALKTIEKNGLDAVAKKAGIDLRKE >EOY19941 pep chromosome:Theobroma_cacao_20110822:10:23980803:23982870:1 gene:TCM_045340 transcript:EOY19941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATQDRDIHQTLGQLNQTLSMIDQNLDQVSQDSSTKVLNGLAEAAAKLKANQEDLKEVFYELLTPFYQPGEGNDHNLDLSQLQDEVLKVIETKGEDELVGLVEGLEGLKKRGDELNERVIELMRDYDIVPKCSGIEESYKENKPMDLESLAFTKEDGKEKSQGLKRKLEIGDFSFYVVATQLYGFPWDAAMEIKTSLGHKGLVNSNRVFLEDEDQTVFDEDSDLDDDGELQEKDEGELKWVRVKKLKQVFEELKKKIDLNVSQKASDSVAEFDPVTVNLRIRFLKVIRNWIFHIESVFIDLMTEIYHVFSVNEAQETIFQDLKSKLKHMMEIYLGMVPISVYKIIYMMENPEELKRRGLVIRMAKLFRVQEKILYKKMNLKRRMDQAHKIIMRLRSMLVPAGDLSYTGLIKEVESGSYEKLLSESEKELRDIQRNLDVVLSKLKIK >EOY19588 pep chromosome:Theobroma_cacao_20110822:10:19040118:19047851:-1 gene:TCM_044730 transcript:EOY19588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein, putative isoform 1 SNPFLSFFVRAWNSGSCDYSLDTSRFLRDYAVWEINAFLWISLITITCLLTNKLFQLFKLWTQARKIPGPPCPSFYGHFNTVSKQSLTELLSDSHGDYGSVVKLWLGPTQLLVSIKEPELIKEMLLKAKDKLPLTGKAFRLAFGRSTLFASSFVKVEKRRESLASELNGRLIERANVIPTKAVDCIMEKLHHIMGKGSIDCKMVSQHMAFTLLGAMLFGDTFLAWSKATIYEELLMRIAKDACFWASYSVTPFWERGFWRYQRICTKLKCLTQDLVQQCSKNYKLYHGMDPVSDNETANAGMEATVGSKSYSGIFLQDFFSLEELNCHLKAREEPCGNIMGMMFHGCLTTAGLISNMLVRLVTHPETQHKIYSEIIMAQKGSGEIDQPNVEKMPLLLATVYESARLMPAGPLLQRCSLKHDLRLKSGVIIPAGAILVVPVQLVQMDDSSWGNDAAKFNPYRFLSTTEKTSGSLNKDISVAAGPVELLDQGQSSFVLNDPNKNPAFLPFGSGTRACVCQKFVIQGIARLFASLLERYEV >EOY19585 pep chromosome:Theobroma_cacao_20110822:10:19040068:19048229:-1 gene:TCM_044730 transcript:EOY19585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein, putative isoform 1 MKSCTATTTATSNPFLSFFVRAWNSGSCDYSLDTSRFLRDYAVWEINAFLWISLITITCLLTNKLFQLFKLWTQARKIPGPPCPSFYGHFNTVSKQSLTELLSDSHGDYGSVVKLWLGPTQLLVSIKEPELIKEMLLKAKDKLPLTGKAFRLAFGRSTLFASSFVKVEKRRESLASELNGRLIERANVIPTKAVDCIMEKLHHIMGKGSIDCKMVSQHMAFTLLGAMLFGDTFLAWSKATIYEELLMRIAKDACFWASYSVTPFWERGFWRYQRICTKLKCLTQDLVQQCSKNYKLYHGMDPVSDNETANAGMEATVGSKSYSGIFLQDFFSLEELNCHLKAREEPCGNIMGMMFHGCLTTAGLISNMLVRLVTHPETQHKIYSEIIMAQKGSGEIDQPNVEKMPLLLATVYESARLMPAGPLLQRCSLKHVFENYLGDGYGTCNKWYSELAFPGFKGLRREAWLELNQASVSSLSSIRKSDQDLRLKSGVIIPAGAILVVPVQLVQMDDSSWGNDAAKFNPYRFLSTTEKTSGSLNKDISVAGPVELLDQGQSSFVLNDPNKNPAFLPFGSGTRACVCQKFVIQGIARLFASLLERYEVRLQPGSKTNSKPMTNDSLFQNFPSPEIAFAIRNN >EOY19589 pep chromosome:Theobroma_cacao_20110822:10:19040106:19048095:-1 gene:TCM_044730 transcript:EOY19589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein, putative isoform 1 MKSCTATTTATSNPFLSFFVRAWNSGSCDYSLDTSRFLRDYAVWEINAFLWISLITITCLLTNKLFQLFKLWTQARKIPGPPCPSFYGHFNTVSKQSLTELLSDSHGDYGSVVKLWLGPTQLLVSIKEPELIKEMLLKAKDKLPLTGKAFRLAFGRSTLFASSFVKVEKRRESLASELNGRLIERANVIPTKAVDCIMEKLHHIMGKGSIDCKMVSQHMAFTLLGAMLFGDTFLAWSKATIYEELLMRIAKDACFWASYSVTPFWERGFWRYQRICTKLKCLTQDLVQQCSKNYKLYHGMDPVSDNETANAGMEATVGSKSYSGIFLQDFFSLEELNCHLKAREEPCGNIMGMMFHGCLTTAGLISNMLVRLVTHPETQHKIYSEIIMAQKGSGEIDQPNVEKMPLLLATVYESARLMPAGPLLQRCSLKHGGFSQDQRQTQNQ >EOY19587 pep chromosome:Theobroma_cacao_20110822:10:19040686:19048095:-1 gene:TCM_044730 transcript:EOY19587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein, putative isoform 1 MKSCTATTTATSNPFLSFFVRAWNSGSCDYSLDTSRFLRDYAVWEINAFLWISLITITCLLTNKLFQLFKLWTQARKIPGPPCPSFYGHFNTVSKQSLTELLSDSHGDYGSVVKLWLGPTQLLVSIKEPELIKEMLLKAKDKLPLTGKAFRLAFGRSTLFASSFVKVEKRRESLASELNGRLIERANVIPTKAVDCIMEKLHHIMGKGSIDCKMVSQHMAFTLLGAMLFGDTFLAWSKATIYEELLMRIAKDACFWASYSVTPFWERGFWRYQRICTKLKCLTQDLVQQCSKNYKLYHGMDPVSDNETANAGMEATVGSKSYSGIFLQDFFSLEELNCHLKAREEPCGNIMGMMFHGCLTTAGLISNMLVRLVTHPETQHKIYSEIIMAQKGSGEIDQPNVEKMPLLLATVYESARLMPAGPLLQRCSLKHDLRLKSGVIIPAGAILVVPVQLVQMDDSSWGNDAAKFNPYRFLSTTEKTSGSLNKDISVAGPVELLDQGQSSFVLNDPNKNPAFLPFGSGTRACVCQKFVIQGIARLFASLLERYEVCPMNNFLVICRASFNFCYNQSSSEVIIR >EOY19586 pep chromosome:Theobroma_cacao_20110822:10:19040685:19048095:-1 gene:TCM_044730 transcript:EOY19586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein, putative isoform 1 MKSCTATTTATSNPFLSFFVRAWNSGSCDYSLDTSRFLRDYAVWEINAFLWISLITITCLLTNKLFQLFKLWTQARKIPGPPCPSFYGHFNTVSKQSLTELLSDSHGDYGSVVKLWLGPTQLLVSIKEPELIKEMLLKAKDKLPLTGKAFRLAFGRSTLFASSFVKVEKRRESLASELNGRLIERANVIPTKAVDCIMEKLHHIMGKGSIDCKMVSQHMAFTLLGAMLFGDTFLAWSKATIYEELLMRIAKDACFWASYSVTPFWERGFWRYQRICTKLKCLTQDLVQQCSKNYKLYHGMDPVSDNETANAGMEATVGSKSYSGIFLQDFFSLEELNCHLKAREEPCGNIMGMMFHGCLTTAGLISNMLVRLVTHPETQHKIYSEIIMAQKGSGEIDQPNVEKMPLLLATVYESARLMPAGPLLQRCSLKHDLRLKSGVIIPAGAILVVPVQLVQMDDSSWGNDAAKFNPYRFLSTTEKTSGSLNKDISVAGPVELLDQGQSSFVLNDPNKNPAFLPFGSGTRACVCQKFVIQGIARLFASLLERYEVCPMNNFLVRLQPGSK >EOY20105 pep chromosome:Theobroma_cacao_20110822:10:24870828:24872492:1 gene:TCM_045499 transcript:EOY20105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome p450 79a2, putative MLLVIFLFVVKAKGKASKRKSNQAPFPPGPTPWPIIGNPPEIWRKRPAFRWIHGLMKEHHTDILCARLANSHVVAVTSPVIAPEFLKKNDSVFASRPVTMATEYSSRRFLTIAVVPWGDQWKKMRKVVTCDIVSASKLRSLLENRIEEADNLVRFIYNQCKSNGNDNSTASAVVNLRLGMRQYSGNGHEKTVCKAMKIVSEYQDPLIDERVQEWREGKRTEPEDLLDAFILAKDSNGKPSLSIEEIRAQCAELMLATVDNPSNAVEWAMAEMINQLETLLKAVEEIDSLVGKERLVLEADIP >EOY18946 pep chromosome:Theobroma_cacao_20110822:10:6479533:6487234:-1 gene:TCM_043469 transcript:EOY18946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein MTGWNNKIIKHVECSLEEPQIIFSFIKAKGNFAEVDRNENPRGVSSSNLARILSSHPYVLRCSLDSHIIPNFNFFKDLTGCDDDKVLVAYKRFPAVLERDFQSLVAPNLALLRDCGVPKSNIVGKRVVYPRVFAQNHGKFERAVEEVKKLGFNPLKEVFLSTLKALIASAFGKFPICLMLSEHKVKAAMNFYVNTMGLKSSYIANRPLLLGLSLEKELFLGVQLFKFCCPKV >EOY18782 pep chromosome:Theobroma_cacao_20110822:10:4938446:4939443:1 gene:TCM_043277 transcript:EOY18782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSMSIVFSSFCLTEAEAPLKKRKKRGTQKDGSDEVWVGRLHCGTASCPFIAVKKKKGLLFSFLADKTKSTVFSAFGFTFEHGFLFLSLFLWVVTGGVGFLPLLLFKVSKN >EOY18104 pep chromosome:Theobroma_cacao_20110822:10:2080526:2084354:1 gene:TCM_042743 transcript:EOY18104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase, putative MAATLKLLSTALLLLTCAVGVALSAQRCPNCGSTTVPYPLSTGPACGDQSYKIRCDAGSLIFDTLNNSYPIMSVNHFNQRLVIEPASLLPNTCVTTDLPFEGVQLNSTLPFNVTSSNTIMFLNCTDSVLRSPLDCSSTGLCHVYVNDSSNATACEVAPICCTFRAGGGATAHAIRVRESGCRAYTSFVSLDAGLPVNQWPQPGMELQWISPPEPVCSAQADCDGNSTCGPDPNSNGIQRCFCNSGLWWDPIEGICAENSTCQNPGGCGGSDRTALIAGLTAGLGTALFAAIIGMLVYKRHRRIIDAQERLRKEREEILNANNAGRAAKVFTGKEIKKATNNFSRDRILGAGGYGDVYKGILDDGTVVAVKCAKLGNAKGTDQVLNEVRILCQVNHRSLVRLLGCCVELEQPILVYEYIENGNLLDHLQSLSHGERGLLTWTRRLQIACDTADGLAYLHSSAVPPIYHRDVKSSNILLDVNLNAKVSDFGLSRLAHTDMSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTSQKAIDFNRDPDDVNLAVYVKRMADEEKLVDVIDPMLREKSSPIEVDTMKALGFLALNCLEERRQNRPSMKEVTEEIEYIICIANGKVVET >EOY18933 pep chromosome:Theobroma_cacao_20110822:10:6365886:6369814:1 gene:TCM_043451 transcript:EOY18933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSVDTKLQTKNGVKYIYNKIFKIIIIIIIIMKNEKERREVRLAGCGRTLPGTSLTCQTRIFRIWQGSGIAPLMPRDKAMESAPSRAQKSGGIKLGLFAAAVERGRKQAERRYGRELGSLPVGDDLRKEEKGGIFREKGKVPPA >EOY18331 pep chromosome:Theobroma_cacao_20110822:10:3044671:3047873:1 gene:TCM_042933 transcript:EOY18331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MDNVSSEDRNALLVVLGLLLTATYQASISPPGSVWQGGACSNSIPQYMKKYRAHGSRGMTALQVLLAFLAISFEEAVCLTVPTPLAFRVMTFNILKFVFPITTSVYALSVLHIAARNNQPKADKRATNQAGSTTLAVANELNNIDSINILHGWRSARVLNFEHKIQKQMVKHVTKASEVIFQGVDSISSEDRNALLVILGVLLTATYQASISPPVSVWQGDGSSNSNSTVGHHGKLPGKSVMDQANFPFFYIPAYTVFIVAFFLTLGLLKPFPHGFRTSLQVLLAFLAISFDGSITS >EOY18674 pep chromosome:Theobroma_cacao_20110822:10:4342199:4347927:-1 gene:TCM_043168 transcript:EOY18674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C3HC4-type RING finger) family protein MGSKWRKAKLALGLNLCAYLPRTLDDDYSAPPSSERLSDAALLSPSNWESMASSRPMTPVPSSHGLRLSKSLSRRASKSSKQTCSICLTKMKQGGGHAIFTAECSHSFHFHCIASNVKHGNQICPVCRAKWKEIPMQSPCLNPPPGRATIDPVGWPRNDALMTVVRRLPPSRRDLSRRHVVPLFQAPEPGIFNDDESLDHQPVIAESKNSSDCSSLRTMEIKTYPEVSAAPRSSSYDNFTILVHLKAAGAVASQNPSRNQASLPQLSQNPRASVDLVTVLDISGSMAGTKLALLKRAMGFVIQNLGCNDRLSVIAFSSTARRLFPLRRMSDIGRQQALQAVNSLVANGGTNIAEGLRKGAKVMEDRREKNPVASIILLSDGQDTYTVNGVGVNKSQPNYQLLVPLSMHGGDNTGFQIPVHAFGFGADHDASSMHSISEISGGTFSFIETEAVIQDAFAQCIGGLLSVVVQELQVGVECMNPSLCLGPLKAGSYPSRVTSDGRTGFIDVGDLYADEERDFLVAVKVPADSSGCDTSLLKVKCIYRDPLTKEMTTLESDAVRIQRPEIAGQEVVSIEVDRQRNRFQAAEAMAEARTTAEQGDLARAVSILENCRRVLSETVSAKSHDRLCIALDAELKEMQERMASRHVYEASGRAYILSGLSSHSWQRATARGDSTDGSSLIQAYQTPLMVEMLTRSQATLLGSPSTQRLVQPLWSLVSQPKPR >EOY19262 pep chromosome:Theobroma_cacao_20110822:10:15289614:15292512:1 gene:TCM_044270 transcript:EOY19262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MNTLYRYRRNLYHVYTYTFLSNNFSTLGVASAAAAELETPTPEDLSWRKMCCTQVSYLQTVLQLCARNRAAIPGKACHALTIHFGLQADTITSNILINMYSKSGLLSCARKVFDEMPARSLVSWNTLIGSYAQRGQAQEALTLFMFMQKGGNPFSEFTISSVLCACVANCAVFECKQLHGFAIKTAIDSNMFVGTALVDVYAKSGLVKDASWVFESMQERSVVTWSCMMVGYVQNELFEEALLLFHRAQIMELEHDQFMFSSIICACAGLAALIEGKQVHAIISKTGFGSNIFVASSLIDMYAKCGSVEEAYTVFTGIEGKSVVSWNTMISGFAKHARALEAMISFEKMQQVGLYPNEVTYISLFFACSHMGLVDEAKRYFDLMIREQNVSANVIHYSCMVDILGRAGKISEAYDVIERMPFDATASMWGSLLACCRFHGNLKLAEVAAKHLFEMEPDNAGNHILLSNIYAANKKWEEVARARKFLKESMVKKERGKSWIAIRDKIHKFMVGEINHPRIAEIYSKLDNLMEEMKILGYKVEIEHDLHDVEESRKQEHLKQHSEKLALAFGLLCLPPTAPIRIMKNLRICGDCHSFMKHASSITQREIIVRDINRFHHFRNGCCSCGDFW >EOY18772 pep chromosome:Theobroma_cacao_20110822:10:4867593:4874515:1 gene:TCM_043268 transcript:EOY18772 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein MSATATRLRRLAASTAAVAVTAAGGAILFTPISVNDRAGGSSLESVRRKINDPSAVVPSRTVQESALIGASATKPLDILVVGGGATGCGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALEERKHFIENASHLCHALPCMTPCFDWFEVVYYWMGLKLYDLVAGPRLLHLSRYYSAQESVELFPTLARKGKDKSLRGTVVYYDGQMNDSRLNVGLACTAALAGAAVLNHAEVVSFLKDEATKRIIGARIRDNLSGHEFETYAKVVVNAAGPFCDSVRKMADKDAQPMISPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITPLPEPHEDEIQFILDAICDYLNVKVRRTDVLSAWSGIRPLAVDPKAKNTESISRDHVVSEDYPGLVTITGGKWTTYRSMAEDAVNEAIKSGKLSPSNECITRNLRLTGGDGWEPSFFTVLSQQYVRMKKSYGGKVVPGVMDTAAAKHLSHAYGTLAERVATIAQNETLGKRLAHGYPYLEAEVAYCARNEYCESAVDFIARRSRLAFLDTDAAGRALPRIIQILATEHNWGKSRQKQEMQKAKEFLETFKSSKNAQFHDGKHE >EOY19155 pep chromosome:Theobroma_cacao_20110822:10:11223860:11229044:1 gene:TCM_043933 transcript:EOY19155 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFU domain protein 4 isoform 1 MRGVGRLVRRSLDHCRTCGGSLFPSHLVSRRLLFSSSRTSSWLVIGSQSSILSSNSETLPSSSLLPRKGTPFTGQRRNMFIQTQSTPNPSSLMFYPGKAVMEVGSADFPNARSAMNSPLAKALYGIDGIARVFFGSDFVTVTKSDDASWDLLKPEIFAAIMDFYSSGQPLFLDSKTAAAMDTAIHEDDSETVAMIKELLETRIRPAVQDDGGDVEYRGFDLDTGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPESVKVVYKAQLYMLLL >EOY19156 pep chromosome:Theobroma_cacao_20110822:10:11223860:11229033:1 gene:TCM_043933 transcript:EOY19156 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFU domain protein 4 isoform 1 MRGVGRLVRRSLDHCRTCGGSLFPSHLVSRRLLFSSSRTSSWLVIGSQSSILSSNSETLPSSSLLPRKGTPFTGQRRNMFIQTQSTPNPSSLMFYPGKAVMEVGSADFPNARSAMNSPLAKALYGIDGIARVFFGSDFVTVTKSDDASWDLLKPEIFAAIMDFYSSGQPLFLDSKTAAAMDTAIHEDDSETVAMIKELLETRIRPAVQDDGGDVEYRGFDLDTGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPELF >EOY19154 pep chromosome:Theobroma_cacao_20110822:10:11223954:11229390:1 gene:TCM_043933 transcript:EOY19154 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFU domain protein 4 isoform 1 MRGVGRLVRRSLDHCRTCGGSLFPSHLVSRRLLFSSSRTSSWLVIGSQSSILSSNSETLPSSSLLPRKGTPFTGQRRNMFIQTQSTPNPSSLMFYPGKAVMEVGSADFPNARSAMNSPLAKALYGIDGIARVFFGSDFVTVTKSDDASWDLLKPEIFAAIMDFYSSGQPLFLDSKTAAAMDTAIHEDDSETVAMIKELLETRIRPAVQDDGGDVEYRGFDLDTGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQELDAEDEDTTLAGQME >EOY17783 pep chromosome:Theobroma_cacao_20110822:10:854795:857274:1 gene:TCM_042502 transcript:EOY17783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase family protein, putative MGNTCIGSLLGDKFDDQIQDCSSNSNCNFPQILVYPDLVFPSRKETIGDKACTILDHETPNVHKLYTFGRELGRGSTGTTYSCTEIATGIRYACKSIPKSNLKFDQQVEDVRREIKVLQHLSGQKHVVTIKGAYEDSLCIHIVMELCCGGELYDLIKERAYFSEREAAELIKIVVGVVETCHSLGVMHRDLKPENFVLVNKDDVFSLKAVDFGFSVFFKPGQVFSDSAGSLYYAAPEILLNENYGPEADIWSAGVILFVLLSGGMLPFEAETEKEMLDMVLEGQVLDFESEPWPQRSDSAKDLVRKMLCSQPSERLTAKEVRSHPWICHNGFLDEELDSALLPDEEKFPAMKLHRD >EOY17982 pep chromosome:Theobroma_cacao_20110822:10:1674802:1678577:1 gene:TCM_042662 transcript:EOY17982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MEQEAKRQDAKDEGRDEEKWVHDSSVNHKGKVPLRASTGVWKASLFIITIEFSERLSYFGIATNLISYLTNVLHQDLETAAKNVNYWAGVTTIMPLAGGFLADAYFGRFTMVLLSSLIYLLGLSLLTMSEFIPVIKPCNAGACHKPRKIHEVLFFLALYFISLGTGGHKPCLESFGADQFDDDHLEERKQKMSYFNWWNFALCCGLLLGVIVIVYVQDKVSWGVADLILTIVMAVTILTFYMGKIYYRYRLPEGSPLTPLLQVLVAAIRKRKLPYPSNPSLLYEVPRLKLSQGRLLCHTSRLRFLDKAAIVEDRENTSTEKTHNPWRLATVTKVEEMKLVLSMIPIWLTSLIFGVGVAQASTFFVKQAATMDRRITHNFDIPPASIYSLTAVGMMVSVTIYEKILVPFLRKVTSNERGINILQRIGIGMIFSTLSMVAAACVEMKRLKAVEKEIMQGGKQVALSMSVFWLAPQYLINGLGDGFALVGLQEYFYDQAPDSMRSLGIAFYLSVIGVGSFLSSFLIIIVDHITRKGGKSWIGKDLNISRLDNFYWMLAAINLLNFCAYIFLARSYTYKNVERGILVPDCPKSDEAELIV >EOY18018 pep chromosome:Theobroma_cacao_20110822:10:1811028:1813397:1 gene:TCM_042690 transcript:EOY18018 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein isoform 2 MALFGSPGKLSGLLLRVGQCSFAAASIGVMVSAPGFFNSTAFWKPSEDNVTCSSKNKKWGDIAKMAPNRQSIIKSDEEPIVPSLAYFYIISYLIASMGLQVLWSFGLACLDLHALRSKRNLQNPILVSLFVVGDWVTAILSLAAACSSAGVTVLYSRDLGYCRSPHIPCSRFQASIFLAFISWFLLAISSHVTFWLLAAV >EOY18019 pep chromosome:Theobroma_cacao_20110822:10:1811027:1813408:1 gene:TCM_042690 transcript:EOY18019 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein isoform 2 MALFGSPGKLSGLLLRVGQCSFAAASIGVMVSAPGFFNSTAFCYLIASMGLQVLWSFGLACLDLHALRSKRNLQNPILVSLFVVGDWVTAILSLAAACSSAGVTVLYSRDLGYCRSPHIPCSRFQASIFLAFISWFLLAISSHVTFWLLAAV >EOY19467 pep chromosome:Theobroma_cacao_20110822:10:17973200:17988418:1 gene:TCM_044589 transcript:EOY19467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase isoform 3 MTEVATSTATTKELSPEEERVLIRDIAITAEANTKEGDSFFLITQKWWQHWIDYVNQEQQLNTNNNTNEGSSSLAGNSDSPRLTTLKRPSGIDNSDLISDGPSEDSSPGSGIEIHDTLLEGRDYVLLPQQVWNQLYSWYGGGPTLSRKVIDSGLSQTEFAVEVYPLRLQLLVTPKGDRSTIRISKKETIGELHRRACEIFYLNLEQVCIWDYYGHRKHALMNDMDKTLDDANIQMDQDILVEVLNNVNGTALSGGGLSANKIASRGYSAEHMQSQTLSYPGRELDNTYANSGVITRGASGGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHQEINWQNPLGMVGELALAFGELLRKLWAPGRTPVAPRPFKAKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYINSRDADGRPDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPVCNKVSVTFDPFMYLSLPLQFTITRTMTITVFTCDGSALPSTCTVTVPKQGRYRDLIQALSNACSLKQTEEIKLVEIRNHLIHRFLDDSYISLSTIKDDDHLAAYKIQKSVKGNVFLQLIHRRQEQETSDAQRWKPFGTPLISSLSCDDVIASGDIQTIVQTMLTPLLKESLEYTDNSDPSTSVAATDPSDRNSGEVDTNRASTSVNKKVLPKLPLQLVDESMTCIDLSVGDEKAVNLSASLPIVVYLDWSSKLLEKYNMHYLENLPEVFKYGPITKKARTEPLSLYTCLEAFLREEPLVPEDMWYCPQCKEQRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVNFPIHDFDLTNYVADKRSSRSQLYDLYALINHYGGMGSGHYTAHIKLLDENRWYNFDDSHISPINEEDVKSAAAYVLFYRRVKSDASASNAAGSGRVREKTSSKH >EOY19469 pep chromosome:Theobroma_cacao_20110822:10:17976273:17987617:1 gene:TCM_044589 transcript:EOY19469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase isoform 3 MQSQTLSYPGRELDNTYANSGVITRGASGGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHQEINWQNPLGMVGELALAFGELLRKLWAPGRTPVAPRPFKAKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYINSRDADGRPDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPVCNKVSVTFDPFMYLSLPLQFTITRTMTITVFTCDGSALPSTCTVTVPKQGRYRDLIQALSNACSLKQTEEIKLVEIRNHLIHRFLDDSYISLSTIKDDDHLAAYKIQKSVKGNVFLQLIHRRQEQETSDAQRWKPFGTPLISSLSCDDVIASGDIQTIVQTMLTPLLKESLEYTDNSDPSTSVAATDPSDRNSGEVDTNRASTSVNKKVLPKLPLQLVDESMTCIDLSVGDEKAVNLSASLPIVVYLDWSSKLLEKYNMHYLENLPEVFKYGPITKKARTEPLSLYTCLEAFLREEPLVPEDMWYCPQCKEQRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVNFPIHDFDLTNYVADKRSSRSQLYDLYALINHYGGMGSGHYTAHIKLLDENRWYNFDDSHISPINEEDVKSAAAYVLFYRRVKSDASASNAAGS >EOY19468 pep chromosome:Theobroma_cacao_20110822:10:17973530:17988156:1 gene:TCM_044589 transcript:EOY19468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase isoform 3 MTEVATSTATTKELSPEEERVLIRDIAITAEANTKEGDSFFLITQKWWQHWIDYVNQEQQLNTNNNTNEGSSSLAGNSDSPRLTTLKRPSGIDNSDLISDGPSEDSSPGSGIEIHDTLLEGRDYVLLPQQVWNQLYSWYGGGPTLSRKVIDSGLSQTEFAVEVYPLRLQLLVTPKGDRSTIRISKKETIGELHRRACEIFYLNLEQVCIWDYYGHRKHALMNDMDKTLDDANIQMDQDILVEVLNNVNGTALSGGISFPDNGFADKEATSVLLEPSKSSLSIAGGLSANKIASRGYSAEHMQSQTLSYPGRELDNTYANSGVITRGASGGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHQEINWQNPLGMVGELALAFGELLRKLWAPGRTPVAPRPFKAKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYINSRDADGRPDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPVCNKVSVTFDPFMYLSLPLQFTITRTMTITVFTCDGSALPSTCTVTVPKQGRYRDLIQALSNACSLKQTEEIKLVEIRNHLIHRFLDDSYISLSTIKDDDHLAAYKIQKSVKGNVFLQLIHRRQEQETSDAQRWKPFGTPLISSLSCDDVIASGDIQTIVQTMLTPLLKESLEYTDNSDPSTSVAATDPSDRNSGEVDTNRASTSVNKKVLPKLPLQLVDESMTCIDLSVGDEKAVNLSASLPIVVYLDWSSKLLEKYNMHYLENLPEVFKYGPITKKARTEPLSLYTCLEAFLREEPLVPEDMWYCPQCKEQRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVNFPIHDFDLTNYVADKRSSRSQLYDLYALINHYGGMGSGHYTAHIKLLDENRWYNFDDSHISPINEEDVKSAAAYVLFYRRVKSDASASNAAGSGRVREKTSSKH >EOY18979 pep chromosome:Theobroma_cacao_20110822:10:7297157:7304793:1 gene:TCM_043536 transcript:EOY18979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein MVCGLDYKIDLGMAMGILLQDTCGNPTWLYMVRETFLQMGFLNLFIVAVMPVLKIILITAIGLLLALDRVKLLGPEAKHHLNKIVFYVFSPALAASSLAETMTFKSFTTLWFMPVNILITFVLGSALAWLLIKFTRTPKHLQGIVIGCCSAGNLANLPLIMVPAVCEEPSNPFGDQSVCSTNAKPYASLSLSIGAIFMWSYVYGIMRMYANKSIESSTTGINSPRDTSETVSGSRTQAALPSSDCNNSDLPRTISRQRSTKTSGLKKIIPCIKMISRKIDLKKVFAPTAVAAIVGFIIGAVSPIRKLMIGSSAPLRVIDSSAYILGEASIPCMTLIMGANLLTGLKGSDVSRNVIIGIIAVRNIFLPLSGIGVVKAAHHFGLVGSDSLYQFVLMLQYAVPPAMSIGTMTQFFQLGQGETSMIMLWAYVTAAVTLTLWSTFFMWLLA >EOY18353 pep chromosome:Theobroma_cacao_20110822:10:3156625:3164265:-1 gene:TCM_042957 transcript:EOY18353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase 1 isoform 1 MLPFSQKPHSKFSFFIFSFCVCQLVVLNSGFELDESLTYIWPLPSEFKSGNETLTVDPTLSLSVLGKGGDLKILREGFERYKKIIFKHVSGVSIFEKWIGIRSVYDISELRIIVNSDSEELQLGVDESYTLSVAKNDGKSIVGEATIEANTVYGALRGLETFSQLCAFDYGTKSVQVYKAPWYIQDKPRFAYRGLLLDTSRHYLPIDVIKQIIESMSYAKLNVLHWHIIDEQSFPLEVPTYPDLWKGSYTKWERYTVEDASEIVSFAKMRGIHVMAEVDVPGHAESWGAGYPDLWPTSSCREPLDVSKNFTFDLISGILSDIRKIFPFELFHLGGDEMADTSNVQQTIWPRAAAAAERLWSKREPVSARNITLTALPRYQYFRCLLNRRGVQAAPATNKYARSPPNGPGSCYEQ >EOY18352 pep chromosome:Theobroma_cacao_20110822:10:3156481:3164265:-1 gene:TCM_042957 transcript:EOY18352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase 1 isoform 1 MLPFSQKPHSKFSFFIFSFCVCQLVVLNSGFELDESLTYIWPLPSEFKSGNETLTVDPTLSLSVLGKGGDLKILREGFERYKKIIFKHVSGVSIFEKWIGIRSVYDISELRIIVNSDSEELQLGVDESYTLSVAKNDGKSIVGEATIEANTVYGALRGLETFSQLCAFDYGTKSVQVYKAPWYIQDKPRFAYRGLLLDTSRHYLPIDVIKQIIESMSYAKLNVLHWHIIDEQSFPLEVPTYPDLWKGSYTKWERYTVEDASEIVSFAKMRGIHVMAEVDVPGHAESWGAGYPDLWPTSSCREPLDVSKNFTFDLISGILSDIRKIFPFELFHLGGDEVNTDCWTSTPHIKQWLNNRNMTAKDAYQYFVLKAQEMAISKGWTPVNWEETFNAFASNLNPQTVVHNWLGPGVCPKAVAKGFRCIFSNQGVWYLDHLDVPWDQVYNAEPLEGINNVSEQNLVLGGEVCMWCEMADTSNVQQTIWPRAAAAAERLWSKREPVSARNITLTALPRYQYFRCLLNRRGVQAAPATNKYARSPPNGPGSCYEQ >EOY18354 pep chromosome:Theobroma_cacao_20110822:10:3156258:3163967:-1 gene:TCM_042957 transcript:EOY18354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase 1 isoform 1 MLPFSQKPHSKFSFFIFSFCVCQLVVLNSGFELDESLTYIWPLPSEFKSGNETLTVDPTLSLSVLGKGGDLKILREGFERYKKIIFKHVSGVSIFEKWIGIRSVYDISELRIIVNSDSEELQLGVDESYTLSVAKNDGKSIVGEATIEANTVYGALRGLETFSQLCAFDYGTKSVQVYKAPWYIQDKPRFAYRGLLLDTSRHYLPIDVIKQIIESMSYAKLNVLHWHIIDEQSFPLEVPTYPDLWKGSYTKWERYTVEDASEISKGWTPVNWEETFNAFASNLNPQTVVHNWLGPGVCPKAVAKGFRCIFSNQGVWYLDHLDVPWDQVYNAEPLEGINNVSEQNLVLGGEVCMWCEMADTSNVQQTIWPRAAAAAERLWSKREPVSARNITLTALPRYQYFRCLLNRRGVQAAPATNKYARSPPNGPGSCYEQ >EOY18153 pep chromosome:Theobroma_cacao_20110822:10:2200913:2205042:1 gene:TCM_042770 transcript:EOY18153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein MGFLLMTVEYLAALILIVVFGLVSIIVFEAYRRRHNNAHVEAPAIFEDPKSLKQVPCPIVFDPAEKYLSLIFPAFNEEHRLPGALDETMNYLQQRVAKDKSFSYEVLIIDDGSKDGTKRVAFDFVKKYGVDNVRVILLGKNHGKGEAIRKGMLHSRGELLLMLDADGATKVTDLEKLENQIHVVARKDTHCEDSAASDTNFRISDVPIVAFGSRAHLEEKALATRKWYRNFLMKGFHLVVLLAAGPGIRDTQCGFKMFTRSAARKLFTNIRLKRWCFDVELVFLCKWFSIPMLEISVNWSEIPGSKVNPLSIPNMLWELALMSVGYRTRMWKINS >EOY20011 pep chromosome:Theobroma_cacao_20110822:10:24322903:24332818:-1 gene:TCM_045408 transcript:EOY20011 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MVTEAVVLAVGGAFLSSTLNVLFDRLATVQALKPMISLFRGTKLRDDLLQKMEILLLTVEKVFGDAEEKQILNPSVKKWVDKLKDAAYDAEDLLDAMAIEDQVQEEQVKDFNTRLDKIVLKLQLIAEEKDILNLKEDQGGKSIPRLPTTSLVDESEVCLRNNDKKHILDILLSDGLNGQKIPVITIVGMGGIGKTTLAQFLYNDDRVKNYFNLRAWVKFLLVLDNVWNESYRTWDLLLRPLQVGVPGSKIIVTTRSQTVSSTMRNALVHDLKRLSKGDCWALFSKHAFGNKNPNEDSTLKGIGEKIVEKCRGLPLAIKTLGSLLHSQVEAQEWDNVLNSRIWDLPAHKSDILPALRLSYHYLPSHLKRCFAYCSLFPKGHKFERRDLDRMWIAEGLVQQPNSRRRTEEVGEQYFHELLSRSIFQQSHDESRFIMHDLVNDLAQYTAGEFCFRFENGSPPQNPARVRHLSCILKPSDKPYKFEAFYGKNKFLRTFLPLRSPGDGKTPFDSGVFNKLFPAPSCLRVLSLSSYNITKFPDSVCNVKQLRYLDLSGAALRCLPERVGCLHNLETLKLSGCHRLTLLPANLWNLTKLEHLDISGTPILELLDSIGNLKELGYLDLSGTKIQFLPEGVCSLYNLQTLNLSRCPHLTCLPTYMENLTKLKHLDIKGTPILQMPPKLGNLKRLLLLTNFVVGDNSGSTVSALKDLRLHGTLSILQLQNVSQTADAEKANLKDKKYLRELILEWDGDPRDGNAQIAAYNPHNGSIENVAKDPHDNDNAQNAVDDPPNGNAQSAADDPHCRDAEIAALSPHNGTTQNPMDNPQNRNGQSGGDDPQNRSAKHVANVLDKLMPGENLERLEIRNYFGMSLPKWLGNASFSKMKSLTLDNCQKCNSLPPLGQLPSLKELTIHHLAGVKIVGFEFYGSGVVAFISLEILLFERMENWEQWLPFTNERGFPSLRKLLMIGCRKLTGNLPVQLPTSAMQIDDCDRLNVCQGATKQ >EOY18251 pep chromosome:Theobroma_cacao_20110822:10:2514168:2514952:1 gene:TCM_042836 transcript:EOY18251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGGAALPSLNNSKSFSNGVKFKSKHKTLLLFDFFTIGAIPTGSLDKLKGSCWWLFSLKPALCLLICPPKACPIANSSPQMEHSCVLGLAGGWFSMPPSPSVNLGFLWLARWPPRAWNEGNCRLHVLHSKTRLGILLSMTCSYLFPPPCERSIKQFARAKLFSTSDLSEKLLFMV >EOY19892 pep chromosome:Theobroma_cacao_20110822:10:23602812:23603970:-1 gene:TCM_045283 transcript:EOY19892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acidic endochitinase MARKSQTIALLIFFVAVALSKTSYAAFISTYWGQNVSEGTLKEACDTGIYDIINIAFLNVFGGGRTPSMNLAGHCDPPSGTCVIFGEQIRYCQRLGIKALLSLGGAIGTYGLTSKDDAQSVADYLWETFLPGRTSPGPLGDATLDGIDFDIEGASNLYYDDLVRFLKEKSESVYLSAAPQCPFPDYHLGPAIDTGLFDAVGVQFYNNPPCQYHDAAEDAAPAGGYITVGNLTSYVLPVIKKSAKYGGVMLWSRSFDKETGYGASIKPFIHEDGLVYSS >EOY17731 pep chromosome:Theobroma_cacao_20110822:10:693220:695230:-1 gene:TCM_042476 transcript:EOY17731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Differentiation-associated protein 1, putative isoform 2 MAISLNSVLGFNLKAKYQHASRSSAGASALKANPITFPLQIYGSRGHIQQKRWGLCLSVADSDRLAANSSVKGSGDAERPVSDNQVAALKPTLLDSPRGNGLLETNADNESPSETSETSNGSMVSSNLKQEAPLSPGLQSTTKKAPLTAKERLRAARVLSRYAESKPSKSEMGSKVLDALRESDKGKKRSRLPEAPTNLFDDSKRGLPKQGLTFQFPGGSDLFLIIFSFVFISTVMFTTTYIVWKVGAIHFNEY >EOY17730 pep chromosome:Theobroma_cacao_20110822:10:693034:695022:-1 gene:TCM_042476 transcript:EOY17730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Differentiation-associated protein 1, putative isoform 2 MAISLNSVLGFNLKLQAKYQHASRSSAGASALKANPITFPLQIYGSRGHIQQKRWGLCLSVADSDRLAANSSVKGSGDAERPVSDNQVAALKPTLLDSPRGNGLLETNADNESPSETSETSNGSMVSSNLKQEAPLSPGLQSTTKKAPLTAKERLRAARVLSRYAESKPSKSEMGSKVLDALRESDKGKKRSRLPEAPTNLFDDSKRGLPKQGLTFQFPGGSDLFLIIFSFVFISTVMFTTTYIVWKVGAIHFNEY >EOY19246 pep chromosome:Theobroma_cacao_20110822:10:15141503:15144408:1 gene:TCM_044249 transcript:EOY19246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 36 MATNARPKTHLALFFISLFVCFFHGKSSSWLNSEENEDVVMVQTRPQESHGRCDFSVGKWVYDQSYPLYDSNCPYLSTAVTCQRNGRPDSGYEKWKWKPNGCSLPRFDALKFLGKMRRKRIMLVGDSIMRNQWESLVCLVQGVIPTGHKKVTYSGLSMAFHALDFETSIEFSWAPLLVELKKGPQNKRVLHLDMIEENARYWRGVDVLVFDSAHWWTHSDQWSSWDYYMEGKSLYKNMNPMIAYQKGLTTWAKWVDLNLDPRKTRVIFRSMSPRHNRDNGWKCYNQKSPLAFFSHPHVPEQVLVLQGVLRRMRFPVYLHDITTMSAFRRDGHPSVYRRALNQADRQHPREFSSDCSHWCLPGVPDIWNEMLSALL >EOY18425 pep chromosome:Theobroma_cacao_20110822:10:3452597:3462041:-1 gene:TCM_043020 transcript:EOY18425 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 family isoform 1 MMNQATNTQTLASLDPNSVESRYVVNASQGQTSSYVPSTAGSEAASWNMYRVDNHSVENGSFSNSTYHHVQQTEPSTRTVQDGSNAASLATSSSLGTTNAQPDYSGYNSYSNSTDPYSYGSTGYQGYYNGYQQQPNPSYSQPVGAYQNTGAPYQPLSSFPNTGSYAGPASYSSTYYNPGDYQTAGGYPSSGYSHQTTTWNEGNYSNYTTHQYSNYTPDTTGAYASGNAATNSLHYQQHYKQWSDYYNPTEVSCAPGTENLSIASKSTQVSQVPGVSGGYATSNSQAPPSFTPSWRPEPSSSQTPSLQPGATVTGGYDSYWKHGASSFQNQHPTPVQQHFQKALDSKPSYDNFQEQQKTACPQGLNLQYPVAQQSSQSYQPPLQTVQSVDTRRVSKVQIQTNPRIASNLPLGLPKMDKDGSNNNTTAKPAYISVSLTKPIEKVLPNDAADSVLKVGMFPKSLKNYVERALGQCEDEKQMAACQAVMKEIITKATNDGTLHTRDWDAEPLFPIPNADMVDKNNLQNPIPVSAIPKYKSPTKRSKSRWEPLPEEKLLDKLDPVNSYAAKYSSWVHVNEKDRKPAGASSEGKTDIMNSIRFPLMEQKSASKTVQRPVKRQRLADGNAADNGDASSDSDKEQNLTAYYSGAIALANTPEERKRRENRSKRFEKVQGNRAQINHFKAKNAGSGNLYARRASAMVLSKNFEDGGSRAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLTVQNSQKNYLYKCDQLKSIRQDLTVQRIRNQLTVKVYETHARLSLEVGDLPEYNQQCQSQLKILYGEGIEGCHMEFSAYHLLCVIMHSNNNRDLLSSMSRLSDEAKKDKAVQHALAVRAAVTSGNYVMFFRLYKMAPNLNTCLMGSLCRENAI >EOY18423 pep chromosome:Theobroma_cacao_20110822:10:3451334:3462366:-1 gene:TCM_043020 transcript:EOY18423 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 family isoform 1 MMNQATNTQTLASLDPNSVESRYVVNASQGQTSSYVPSTAGSEAASWNMYRVDNHSVENGSFSNSTYHHVQQTEPSTRTVQDGSNAASLATSSSLGTTNAQPDYSGYNSYSNSTDPYSYGSTGYQGYYNGYQQQPNPSYSQPVGAYQNTGAPYQPLSSFPNTGSYAGPASYSSTYYNPGDYQTAGGYPSSGYSHQTTTWNEGNYSNYTTHQYSNYTPDTTGAYASGNAATNSLHYQQHYKQWSDYYNPTEVSCAPGTENLSIASKSTQVSQVPGVSGGYATSNSQAPPSFTPSWRPEPSSSQTPSLQPGATVTGGYDSYWKHGASSFQNQHPTPVQQHFQKALDSKPSYDNFQEQQKTACPQGLNLQYPVAQQSSQSYQPPLQTVQSVDTRRVSKVQIQTNPRIASNLPLGLPKMDKDGSNNNTTAKPAYISVSLTKPIEKVLPNDAADSVLKVGMFPKSLKNYVERALGQCEDEKQMAACQAVMKEIITKATNDGTLHTRDWDAEPLFPIPNADMVDKNNLQNPIPVSAIPKYKSPTKRSKSRWEPLPEEKLLDKLDPVNSYAAKYSSWVHVNEKDRKPAGASSEGKTDIMNSIRFPLMEQKSASKTVQRPVKRQRLADGNAADNGDASSDSDKEQNLTAYYSGAIALANTPEERKRRENRSKRFEKVQGNRAQINHFKAKNAGSGNLYARRASAMVLSKNFEDGGSRAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLTVQNSQKNYLYKCDQLKSIRQDLTVQRIRNQLTVKVYETHARLSLEVGDLPEYNQCQSQLKILYGEGIEGCHMEFSAYHLLCVIMHSNNNRDLLSSMSRLSDEAKKDKAVQHALAVRAAVTSGNYVMFFRLYKMAPNLNTCLMDLYVEKMRYKAVGCMSRSYRPQVPVSYIAQVLGFGSGMPTNEGSDEKDSDGLEECVDWLKAHGACLVADSNGEMQLDAKASSSSLYMPEPEDAVAHGDASLAVNDFLTRTSS >EOY18422 pep chromosome:Theobroma_cacao_20110822:10:3451066:3462546:-1 gene:TCM_043020 transcript:EOY18422 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 family isoform 1 MMNQATNTQTLASLDPNSVESRYVVNASQGQTSSYVPSTAGSEAASWNMYRVDNHSVENGSFSNSTYHHVQQTEPSTRTVQDGSNAASLATSSSLGTTNAQPDYSGYNSYSNSTDPYSYGSTGYQGYYNGYQQQPNPSYSQPVGAYQNTGAPYQPLSSFPNTGSYAGPASYSSTYYNPGDYQTAGGYPSSGYSHQTTTWNEGNYSNYTTHQYSNYTPDTTGAYASGNAATNSLHYQQHYKQWSDYYNPTEVSCAPGTENLSIASKSTQVSQVPGVSGGYATSNSQAPPSFTPSWRPEPSSSQTPSLQPGATVTGGYDSYWKHGASSFQNQHPTPVQQHFQKALDSKPSYDNFQEQQKTACPQGLNLQYPVAQQSSQSYQPPLQTVQSVDTRRVSKVQIQTNPRIASNLPLGLPKMDKDGSNNNTTAKPAYISVSLTKPIEKVLPNDAADSVLKVGMFPKSLKNYVERALGQCEDEKQMAACQAVMKEIITKATNDGTLHTRDWDAEPLFPIPNADMVDKNSNLQNPIPVSAIPKYKSPTKRSKSRWEPLPEEKLLDKLDPVNSYAAKYSSWVHVNEKDRKPAGASSEGKTDIMNSIRFPLMEQKSASKTVQRPVKRQRLADGNAADNGDASSDSDKEQNLTAYYSGAIALANTPEERKRRENRSKRFEKVQGNRAQINHFKAKNAGSGNLYARRASAMVLSKNFEDGGSRAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLTVQNSQKNYLYKCDQLKSIRQDLTVQRIRNQLTVKVYETHARLSLEVGDLPEYNQCQSQLKILYGEGIEGCHMEFSAYHLLCVIMHSNNNRDLLSSMSRLSDEAKKDKAVQHALAVRAAVTSGNYVMFFRLYKMAPNLNTCLMDLYVEKMRYKAVGCMSRSYRPQVPVSYIAQVLGFGSGMPTNEGSDEKDSDGLEECVDWLKAHGACLVADSNGEMQLDAKASSSSLYMPEPEDAVAHGDASLAVNDFLTRTSS >EOY18424 pep chromosome:Theobroma_cacao_20110822:10:3451334:3462366:-1 gene:TCM_043020 transcript:EOY18424 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 family isoform 1 MMNQATNTQTLASLDPNSVESRYVVNASQGQTSSYVPSTAGSEAASWNMYRVDNHSVENGSFSNSTYHHVQQTEPSTRTVQDGSNAASLATSSSLGTTNAQPDYSGYNSYSNSTDPYSYGSTGYQGYYNGYQQQPNPSYSQPVGAYQNTGAPYQPLSSFPNTGSYAGPASYSSTYYNPGDYQTAGGYPSSGYSHQTTTWNEGNYSNYTTHQYSNYTPDTTGAYASGNAATNSLHYQQHYKQWSDYYNPTEVSCAPGTENLSIASKSTQVSQVPGVSGGYATSNSQAPPSFTPSWRPEPSSSQTPSLQPGATVTGGYDSYWKHGASSFQNQHPTPVQQHFQKALDSKPSYDNFQEQQKTACPQGLNLQYPVAQQSSQSYQPPLQTVQSVDTRRVSKVQIQTNPRIASNLPLGLPKMDKDGSNNNTTAKPAYISVSLTKPIEKVLPNDAADSVLKVGMFPKSLKNYVERALGQCEDEKQMAACQAVMKEIITKATNDGTLHTRDWDAEPLFPIPNADMVDKNSNLQNPIPVSAIPKYKSPTKRSKSRWEPLPEEKLLDKLDPVNSYAAKYSSWVHVNEKDRKPAGASSEGKTDIMNSIRFPLMEQKSASKTVQRPVKRQRLADGNAADNGDASSDSDKEQNLTAYYSGAIALANTPEERKRRENRSKRFEKVQGNRAQINHFKAKNAGSGNLYARRASAMVLSKNFEDGGSRAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLTVQNSQKNYLYKCDQLKSIRQDLTVQRIRNQLTVKVYETHARLSLEVGDLPEYNQQCQSQLKILYGEGIEGCHMEFSAYHLLCVIMHSNNNRDLLSSMSRLSDEAKKDKAVQHALAVRAAVTSGNYVMFFRLYKMAPNLNTCLMGSLCRENAI >EOY18522 pep chromosome:Theobroma_cacao_20110822:10:3730270:3735274:1 gene:TCM_043066 transcript:EOY18522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-10 isoform 3 MADGMQAGPTSPAGGSHESGGEQSSPHSNVREQDRYLPIANISRIMKKALPANGKIAKDAKDTVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKVYLGRYREGDTKGSARGGDGSLKRDAAGGLAAQNAQFAIQGSLNYITSQAQGQHMIVPSMHGNE >EOY18521 pep chromosome:Theobroma_cacao_20110822:10:3729838:3735274:1 gene:TCM_043066 transcript:EOY18521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-10 isoform 3 MADGMQAGPTSPAGGSHESGGEQSSPHSNVREQDRYLPIANISRIMKKALPANGKIAKDAKDTVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKVYLGRYRELEVTKGSARGGDGSLKRDAAGGLAAQNAQFAIQGSLNYITSQAQGQHMIVPSMHGNE >EOY18520 pep chromosome:Theobroma_cacao_20110822:10:3730270:3735274:1 gene:TCM_043066 transcript:EOY18520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-10 isoform 3 MADGMQAGPTSPAGGSHESGGEQSSPHSNVREQDRYLPIANISRIMKKALPANGKIAKDAKDTVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKVYLGRYRELEGDTKGSARGGDGSLKRDAAGGLAAQNAQFAIQGSLNYITSQAQGQHMIVPSMHGNE >EOY18523 pep chromosome:Theobroma_cacao_20110822:10:3730412:3735274:1 gene:TCM_043066 transcript:EOY18523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-10 isoform 3 MADGMQAGPTSPAGGSHESGGEQSSPHSNVREQDRYLPIANISRIMKKALPANGKIAKDAKDTVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKVYLGRYRELEVTKGSARGGDGSLKRDAAGGLAAQNAQFAIQGSLNYITSQAQGQHMIVPSMHGNE >EOY18964 pep chromosome:Theobroma_cacao_20110822:10:6811757:6819377:-1 gene:TCM_043497 transcript:EOY18964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein, putative MSAYRDIVAIVTDSRIVPSHDNSCALVAEQAKSPLHPPPSPPPIGILVMPPKVAQALAAFFIAMAGQAQEARQLGCVSFTGELDPTVAKDWINQVSKTLSDMRLEDDMKLMVAMRLLEKRARTWWNSVKSRTTTPLTWRIRAEIAKKRNLSGSSSQQPKRGKDSMASGSTTSAPITSSRPLVSQTQQRPPRFSRSEMTTSEKSSGGSDKCRHCGKYHVGLCRKLVKNGKKVFSSNSLGEEKILDSTRMMGESREVF >EOY19049 pep chromosome:Theobroma_cacao_20110822:10:9029785:9038473:1 gene:TCM_043701 transcript:EOY19049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLSCGYTNVAIARKNVLDFVGVMVNMVMMYSYQPLKFFVLRLIWLDMITYGELLSTFEFFCIKIDMA >EOY19798 pep chromosome:Theobroma_cacao_20110822:10:22580485:22595358:1 gene:TCM_045138 transcript:EOY19798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGIGFMSQLSIIKQHVNKLMELLNENGICSTNGKVSSQNTQQESHSLVNSTFAERNWIKEPSNSHVIGCKWVYKVKLNANGTVERYKAQLVAKGYNQVAGLDYQETFSPVAKHTTVRKQPVVARSSAEAKYRCMASTCCEVVWLKHLLSDFGIECIDVVILYSDSQSAIHINKNPVFHERTKHIELDCHFIKKKVLEGIIKPMYMSTNLQLADMFTKALTPR >EOY18169 pep chromosome:Theobroma_cacao_20110822:10:2235178:2236338:1 gene:TCM_042782 transcript:EOY18169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Excinuclease ABC MSGDDDKGKQGEGFFACYLLTSLSPRHKGHTYIGFTVNPRRRIRQHNGEIGSGAWRTKGKHPWEMVICIYGLPTDVSALQFEWAWQHPQESVAVREAAATFKSLSEVANKIKLAYTMLTLPAGQNLNITVDYFSTKYRKDSACCPSLPEQMKVQACSLDELPCYTEQDEFEYKDDCDNSDEYDEVNDTCETVWGTYPDEVVNASADTCLSSIHEASHEEFEDIEE >EOY18444 pep chromosome:Theobroma_cacao_20110822:10:3507914:3509892:-1 gene:TCM_043029 transcript:EOY18444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase/cyanide hydratase and apolipoprotein N-acyltransferase family protein isoform 5 MLNRCVYFTPNGAPLYHPIRSSHVNSYVNYSTVQARAQSAMAAANSVRVAAAQMTSVNDIASNFATCSRLVKEAVSAGAKMLCLPENFSYVGSKSGDSLLIAEPLDGPIMQKYCSLARESGIWLSLGGFQEKGHDDAHLRNTHVIIDDAGNIRSTYSKIHLFDVDVPGGAVYKESSFTEPGKDIAAVDSPIGRLGLTVCYDLRFPEIYQQLRFQHEAQVILVPAAFTTVTGQAHWEILLRARAIETQCYVWNPFPPHFLLICPLKQFIVSSIGNIAFVPT >EOY18445 pep chromosome:Theobroma_cacao_20110822:10:3507712:3509932:-1 gene:TCM_043029 transcript:EOY18445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase/cyanide hydratase and apolipoprotein N-acyltransferase family protein isoform 5 MLNRCVYFTPNGAPLYHPIRSSHVNSYVNYSTVQARAQSAMAAANSVRVAAAQMTSVNDIASNFATCSRLVKEAVSAGAKMLCLPENFSYVGSKSGDSLLIAEPLDGPIMQKYCSLARESGIWLSLGGFQEKGHDDAHLRNTHVIIDDAGNIRSTYSKIHLFDVDVPGGAVYKESSFTEPGKDIAAVDSPIGRLGLTVCYDLRFPEIYQQLRFQHEAQLSPQLLVRHIGRFFFVPVQLRLSAMS >EOY18443 pep chromosome:Theobroma_cacao_20110822:10:3506091:3509932:-1 gene:TCM_043029 transcript:EOY18443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase/cyanide hydratase and apolipoprotein N-acyltransferase family protein isoform 5 MLNRCVYFTPNGAPLYHPIRSSHVNSYVNYSTVQARAQSAMAAANSVRVAAAQMTSVNDIASNFATCSRLVKEAVSAGAKMLCLPENFSYVGSKSGDSLLIAEPLDGPIMQKYCSLARESGIWLSLGGFQEKGHDDAHLRNTHVIIDDAGNIRSTYSKIHLFDVDVPGGAVYKESSFTEPGKDIAAVDSPIGRLGLTVCYDLRFPEIYQQLRFQHEAQVILVPAAFTTVTGQAHWEILLRARAIETQCYIEYQQGLL >EOY18446 pep chromosome:Theobroma_cacao_20110822:10:3506879:3509935:-1 gene:TCM_043029 transcript:EOY18446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase/cyanide hydratase and apolipoprotein N-acyltransferase family protein isoform 5 MLNRCVYFTPNGAPLYHPIRSSHVNSYVNYSTVQARAQSAMAAANSVRVAAAQMTSVNDIASNFATCSRLVKEAVSAGAKMLCLPENFSYVGSKSGDSLLIAEPLDGPIMQKYCSLARESGIWLSLGGFQEKGHDDAHLRNTHVIIDDAGNIRSTYSRAIETQCYVIASAQAGKHSEKRESYGETLIIDPWGTVVGRLPDRVSTGITVADIDFSLIDSVRAKMPIAKHRKPFDFWRPASL >EOY18442 pep chromosome:Theobroma_cacao_20110822:10:3506082:3509946:-1 gene:TCM_043029 transcript:EOY18442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase/cyanide hydratase and apolipoprotein N-acyltransferase family protein isoform 5 MLNRCVYFTPNGAPLYHPIRSSHVNSYVNYSTVQARAQSAMAAANSVRVAAAQMTSVNDIASNFATCSRLVKEAVSAGAKMLCLPENFSYVGSKSGDSLLIAEPLDGPIMQKYCSLARESGIWLSLGGFQEKGHDDAHLRNTHVIIDDAGNIRSTYSKIHLFDVDVPGGAVYKESSFTEPGKDIAAVDSPIGRLGLTVCYDLRFPEIYQQLRFQHEAQVILVPAAFTTVTGQAHWEILLRARAIETQCYVIASAQAGKHSEKRESYGETLIIDPWGTVVGRLPDRVSTGITVADIDFSLIDSVRAKMPIAKHRKPFDFWRPASL >EOY19895 pep chromosome:Theobroma_cacao_20110822:10:23663900:23665245:1 gene:TCM_045291 transcript:EOY19895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2, putative MNRHLLAVAVLATLLVSVMSEFDFYKLSLQWPPSVCNTGKRCIPDIPNKFTIHGLWPQYDIDTPVPPYNEDPSCISITPKSSEEAMEQLRFIQGELTEYWPNLLTKNDERNDQDFWRREWEKHGMCSNYPHDPFGYFHGTVSLTAEYNPLKAILIISFASLLQPVIGIQPGDERHKVGTILEAVKQNLGAYPQIACNTRPRNRDPRQIPQLWEIRFCFNRADPPSVLRDCPNKLAGACSTETDLISFPPKPS >EOY18597 pep chromosome:Theobroma_cacao_20110822:10:3923550:3944304:1 gene:TCM_043102 transcript:EOY18597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 27 isoform 2 MDPPFASSFNAPKLPLDLIRNYENRKKFSFSDSPKIPSLKTRKCFWICDSGFCLFCEFLIGLLMGQSRFIVFSILVFFCCTRFCSSFGDQNLDKITELPGQPKNVEFNQYSGYVTVNEQAGRALFYWLIELPVSRSPETRPLVLWLNGGPGCSSLAYGAAEEIGPFHIRPDGRTLYLNRYAWNNLANMLFLESPAGVGFSYSNTTSDLYTAGDRRTAEDAYAFLVNWFERFPQYKHRDFYIAGESYAGHYVPQLSQIVYERNRGVQNPVINFKGFLVGNAVTDDYHDFVGTFEYWWTHGLISDSTYRSLRVACDLGSSTHPSLQCMSALRVAEVEQGNIDPYSIFTQPCKDTATLKRNMRGHYPWMSRAYDPCTERYSKVYFNLPEVQKALHANVTGISYPWQTCSDLVGNYWADAPLSMLPIYKELIAAGFRIWVYSGDTDAVVPVTATRYSIDALKLPTVTNWYPWYDNGKVSTDNVIQFLELVSVEIGLHRCLSHQISHFLIQVGGWSQAYRGLTFVTVTGAGHEVPLHRPRQAFILFRSFLENKPMPS >EOY18598 pep chromosome:Theobroma_cacao_20110822:10:3936950:3942334:1 gene:TCM_043102 transcript:EOY18598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 27 isoform 2 MGQSRFIVFSILVFFCCTRFCSSFGDQNLDKITELPGQPKNVEFNQYSGYVTVNEQAGRALFYWLIELPVSRSPETRPLVLWLNGGPGCSSLAYGAAEEIGPFHIRPDGRTLYLNRYAWNNLANMLFLESPAGVGFSYSNTTSDLYTAGDRRTAEDAYAFLVNWFERFPQYKHRDFYIAGESYAGHYVPQLSQIVYERNRGVQNPVINFKGFLVGNAVTDDYHDFVGTFEYWWTHGLISDSTYRSLRVACDLGSSTHPSLQCMSALRVAEVEQGNIDPYSIFTQPCKDTATLKRNMRGHYPWMSRAYDPCTERYSKVYFNLPEVQKALHANVTGISYPWQTCSDLVGNYWADAPLSMLPIYKELIAAGFRIWVYSGDTDAVVPVTATRYSIDAAMKQVAKRDAFFSCAPPFLPTLFLFLDTSK >EOY19905 pep chromosome:Theobroma_cacao_20110822:10:23733604:23747407:1 gene:TCM_045301 transcript:EOY19905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein MRIANGFNPSAREAKIQCIDKERHALLMVKQSLIDDYGHLSSWGNEDGKKDCCQWRGVQCSNRTRHVIKLDLSNPRTSNHNLLEHNPLRGKINPSLLELQHLRYLDLSGNKFEGSMVPNLNGSLSKLRYLNLYSAGLSSTTLNQLGNLSELQFLDLSYNDYNISNLDWFHGLSSLRHLNLSSNKLTDAKDWPQLLNMLPYLEDLKLRSCRLPRIRSPPSLTNSTSSTLSIDLSNNNLTSCIYWLLFNITSKIVDLDLANSLLGGSIPDFFRNMVSLKHLSLSRNHLEGDISKFLGNICTLETLYLWGNNISGSIVPGILGCLENSLQILDLSNNRLNGTLSKSFNFKQPSKLSYLDLSGNQFSGTLPDFTKLSSLKALYLSDNQSNGSVPESLGYLSELEGLDISRNSLEENLPNRITAALARPSAKLLSSLKICLNRIALTSRLRTSMLLDKLFIRQGTELWLFTQVMAFRESLSTMREWLLDHGVERKKLIPSLMAASSA >EOY20127 pep chromosome:Theobroma_cacao_20110822:10:24995715:25001748:1 gene:TCM_045524 transcript:EOY20127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein isoform 2 PNPDQPIHSNASSTTQTTPSFANPTTFRGSYHRRAQSEVQFRIPDDLDLVSDPFEGLGSEDDLFCSYMDIEKLGGSSKGADEGGAAGSSSAGSGQNPKGGEETSGGSGMGEKSTGGGKGRHRYSNSVDGCSMMESIEAKKAMAPDKLAELWTVDPKRAKALNEALKKEVERLKIATGEMTTPTDTFNLGMHHIPYTQSSYFPPQPQHVQVDTHNIQMPQFHPFQSNMLTPNQSVVAASNSHAYADMMQQDPLGRLQGLDISSRGSRLVKSEGPSISAGEHGGTL >EOY20126 pep chromosome:Theobroma_cacao_20110822:10:24995558:25002004:1 gene:TCM_045524 transcript:EOY20126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein isoform 2 MPDPPSLSPNPDQPIHSNASSTTQTTPSFANPTTFRGSYHRRAQSEVQFRIPDDLDLVSDPFEGLGSEDDLFCSYMDIEKLGGSSKGADEGGAAGSSSAGSGQNPKGGEETSGGSGMGEKSTGGGKGRHRYSNSVDGCSMMESIEAKKAMAPDKLAELWTVDPKRAKRIIANRQSAARSKERKARYISELERKVQTLQTEATTLSAQLTLFQRDTTGLSTENTELKLRLQAMEQQAQLRDALNEALKKEVERLKIATGEMTTPTDTFNLGMHHIPYTQSSYFPPQPQHVQVDTHNIQMPQFHPFQSNMLTPNQSVVAASNSHAYADMMQQDPLGRLQGLDISSRGSRLVKSEGPSISAGEHGGTL >EOY20125 pep chromosome:Theobroma_cacao_20110822:10:24995558:25002004:1 gene:TCM_045524 transcript:EOY20125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein isoform 2 MPDPPSLSPNPDQPIHSNASSTTQTTPSFANPTTFRGSYHRRAQSEVQFRIPDDLDLVSDPFEGLGSEDDLFCSYMDIEKLGGSSKGADEGGAAGSSSAGSGQNPKGGEETSGGSGMGEKSTGGGKGRHRYSNSVDGCSMMESIEAKKAMAPDKLAELWTVDPKRAKRIIANRQSAARSKERKARYISELERKVQTLQTEATTLSAQLTLFQRDTTGLSTENTELKLRLQAMEQQAQLRDGMFCPNQLALNEALKKEVERLKIATGEMTTPTDTFNLGMHHIPYTQSSYFPPQPQHVQVDTHNIQMPQFHPFQSNMLTPNQSVVAASNSHAYADMMQQDPLGRLQGLDISSRGSRLVKSEGPSISAGEHGGTL >EOY19596 pep chromosome:Theobroma_cacao_20110822:10:19138206:19139455:-1 gene:TCM_044740 transcript:EOY19596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MAEAVPPHEEFIEGVATRDVTSDSDSSLKVRIHLLEQSLTTKTKLPIILHFHGGGFCISQADWYMYYIIYTRLARSVPAICVSVYLRLAPENKLPAACDDGYATLLWLKSLAKGGNIMHQVAARAGNLDLSPLRLAGGIPIHPGFVRAERSKLELEQPESPFLTLDMVDKFLALALPVGSTKDHPITCPMGPAAPAMEGLNLPPFLFCVAENDLIKDTEMEYYEAMKS >EOY18286 pep chromosome:Theobroma_cacao_20110822:10:2759928:2775141:-1 gene:TCM_042882 transcript:EOY18286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIQHPSHNHPLVFKEERSHESDEKAYCHGCGEVVSGPKYSCVACGFHLDKNCAEAPSGLNHPFHRNHSLDHLATHGKRWFNCDFCNKRCDNFVYRCSPCTLNLHIKCALFSYNIAEKNIGELQHIAHNDPLISTENHSVKLKYAHCFVCWTPLLDSSYFSLDYGFCLHKKCVELAFEINHPCHYQHALFLQFNCDCLPCEICQETQVRGFVYCCSMCKVALHIDCVSPSPIIEVSSHEHSFTRCLRQFSFICCACGTPGNYTPYFCPTCSLTVHKSCISLPCIIKSIWHHHLVFHDYFLVENECGILECGICHEEVNKEYGSYYCSECKFIVHVKCVLKDKMLYYEIASKDAFEKLIENPTFLVIKEIKLGENVINREIKHFSHEHNLVLYDEVRDDKYCDCCSLLIETSFYHCSKCDFYLHKSCAELPMKSRGFFAPLPLNLIPNHFFKCILCGSLRTGFAYKCEGSCLCVQCAELPLSYTSQAHKKHLLFFYMKYNGQCNACGTSIIGGSTYRCKGCNFNLHALCALAPLTARHKCDEHSLKLTYHEVNDYSESHSCDICEKRRNPNIWFYHCALCDKSAHPKCVLGDYSFIKLGRRISAKTDHPHSLILVQKVYLYPECSKCGQLCLDLALECTDTRCSFIIHWRCSRLKDFIEDHNIVELIAAKSISPSNSHRKKGEMEFQHPSHIHPLVFNEEPSHESNEKALQCNGCGEAVSGPAYSCVACKFLLDKICAEAPLEMNHPFHCTPSLKLVASPPYIGYWCNCYFCEKSLIIHKYCVSLPRIIKYIWHHHPIFHNNFEGENEYGILECGICHEDVNKEFGSYYCFECEFIVHVKFVLDPGLYYRIESKDDPEKLNGNPAFENPIARHREKLRVVTNLFLRIEFRNTITSGEGLAPSRYPFYKCHIGAPSIGGLLLDEKISHLARQLPCSHPAELLLWLLWACLPIHISLGHATL >EOY18285 pep chromosome:Theobroma_cacao_20110822:10:2773241:2775103:-1 gene:TCM_042882 transcript:EOY18285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQEMKPIDTMWLGISITYINSCLCNTAGESRNHKIFLLHLSKEIWAGACRFFFKSHMQKVVGDSSHEFQCLFLSVAILLACFGGYKLKRNQHPTQGEGEAGAWDMVGMIAVIFFSFLRLLEVGE >EOY19622 pep chromosome:Theobroma_cacao_20110822:10:19249794:19258974:1 gene:TCM_044754 transcript:EOY19622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MLHKLGQEISHVSATVQRVGFSIVDTSVQPQADVNCSAFWNLENAGGESNASPSIADGFRSRWFGGWAGKEEADPVQLKPKGKNIPKYFAAETSFFSESADVAPDENSVVLKCEKNRSKIASDQSIPFEGLYDQVDEGIMVSQDVRSSNLSLVDPLCSIVPCSISSENDSSALGHKGNSEEANVGNCFGSTAVLGNENLDGESTYGTRQALPTFCGEHSVAKVRRRLTSLRTYSTVLHEHDSTLGSERLCLNQSTSLNLRHNMNGIRFSDKRNSEMSLAASSTPECTIGRDTEENKHTTVVDNPDGETTNYKQNSDKHAKDGAALQDQPSRGSSPLILHQRMRQRLQAARLLVCGSLGKANAEQAVAQKASVALSSRSSLQWIQSKCNNAFDMQFPSRKRVHFSEIEVNLQRNKNLHERQPFHQKCSVSRPSKRFKPDAEILDDKRFSTIHFRDQKSLIFQDLKFLLTGFSRGKEKEIEGLIRKYGGVVLVDIPSPSNRGKRCSRLKFLQLPIVLCPKKLQTTKFLYACAVNSLILKVKWLTDSIAAGSALSPGKYMVLLNQPDTRFTRIGKPVRQDNYTYIFDGIGIMLHGKQLFCTKFAKVIQHGGGRVFKTLLWLLQNLDNEKISLAVIVSEGESKASRHLRHCASERKIPMMPSSWIVRSLYSGKLLPFVEKKDTTLHAAMGTDFLVSDDWSQEI >EOY19619 pep chromosome:Theobroma_cacao_20110822:10:19249774:19257153:1 gene:TCM_044754 transcript:EOY19619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MLHKLGQEISHVSATVQRVGFSIVDTSVQPQADVNCSAFWNLENAGGESNASPSIADGFRSRWFGGWAGKEEADPVQLKPKGKNIPKYFAAETSFFSESADVAPDENSVVLKCEKNRSKIASDQSIPFEGLYDQVDEGIMVSQDVRSSNLSLVDPLCSIVPCSISSENDSSALGHKGNSEEANVGNCFGSTAVLGNENLDGESTYGTRQALPTFCGEHSVAKVRRRLTSLRTYSTVLHEHDSTLGSERLCLNQSTSLNLRHNMNGIRFSDKRNSEMSLAASSTPECTIGRDTEENKHTTVVDNPDGETTNYKQNSDKHAKDGAALQDQPSRGSSPLILHQRMRQRLQAARLLVCGSLGKANAEQAVAQKASVALSSRSSLQWIQSKCNNAFDMQFPSRKRVHFSEIEVNLQRNKNLHERQPFHQKCSVSRPSKRFKPDAEILDDKRFSTIHFRDQKSLIFQDLKFLLTGFSRGKEKEIEGLIRKYGGVVLVDIPSPSNRGKRCSRLKFLQLPIVLCPKKLQTTKFLYACAVNSLILKVKWLTDSIAAGSALSPGKYMVLLNQPDTRFTRIGKPVRQDNYTYIFDGIGIMLHGKQLFCTKFAKVIQHGGGRVFKTLLWLLQNLDNEKISLAVIVSEGESKASRHLRHCASERKIPMMPSSWIVRSLYSGKLLPFVEKKDTTLHAAMGTDFLVSDDWSQEI >EOY19620 pep chromosome:Theobroma_cacao_20110822:10:19249794:19257153:1 gene:TCM_044754 transcript:EOY19620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MLHKLGQEISHVSATVQRVGFSIVDTSVQPQADVNCSAFWNLENAGGESNASPSIADGFRSRWFGGWAGKEEADPVQLKPKGKNIPKYFAAETSFFSESADVAPDENSVVLKCEKNRSKIASDQSIPFEGLYDQVDEGIMVSQDVRSSNLSLVDPLCSIVPCSISSENDSSALGHKGNSEEANVGNCFGSTAVLGNENLDGESTYGTRQALPTFCGEHSVAKVRRRLTSLRTYSTVLHEHDSTLGSERLCLNQSTSLNLRHNMNGIRFSDKRNSEMSLAASSTPECTIGRDTEENKHTTVVDNPDGETTNYKQNSDKHAKDGAALQDQPSRGSSPLILHQRMRQRLQAARLLVCGSLGKANAEQAVAQKASVALSSRSSLQWIQSKCNNAFDMQFPSRKRVHFSEIEVNLQRNKNLHERQPFHQKCSVSRPSKRFKPDAEILDDKRFSTIHFRDQKSLIFQDLKFLLTGFSRGKEKEIEGLIRKYGGVVLVDIPSPSNRGKRCSRLKFLQLPIVLCPKKLQTTKFLYACAVNSLILKVKWLTDSIAAGSALSPGKYMVLLNQPDTRFTRIGKPVRQDNYTYIFDGIGIMLHGKQLFCTKFAKVIQHGGGRVFKTLLWLLQNLDNEKISLAVIVSEGESKASRHLRHCASERKIPMMPSSWIVRSLYSGKLLPFVEKKDTTLHAAMGTDFLVSDDWSQEI >EOY19618 pep chromosome:Theobroma_cacao_20110822:10:19246562:19257275:1 gene:TCM_044754 transcript:EOY19618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MGSSGFRPPQFSEELAWLPAYLQRITDTSVEPRSPSHQQFKELSCVQGEDLELLLWREESRCNSFHLFLSGEDKSPISSFPSSKDVLNFRLHLSPDSDSPYCQSQFLSTSCAQHGSDRVLQLPQVVSSGSGDQIDLIKTKIGAGGVNALPLTSIARAVENDGPQLSNHVKACSEHSVEKVTVRNLKGIDIMDAVELSIAASETLVIHELVKSDPASEAFSTAAVLEAALQVKQARLEISEDAFDCSSEKSDEIDFLLDLDDLTMADAFEDVGLSIRGLDDQHACGSDESLVKDTPVSENCFGSENISKNAEHFSQNKSSNDPSFGLRISDFTGNSDPMLHKLGQEISHVSATVQRVGFSIVDTSVQPQADVNCSAFWNLENAGGESNASPSIADGFRSRWFGGWAGKEEADPVQLKPKGKNIPKYFAAETSFFSESADVAPDENSVVLKCEKNRSKIASDQSIPFEGLYDQVDEGIMVSQDVRSSNLSLVDPLCSIVPCSISSENDSSALGHKGNSEEANVGNCFGSTAVLGNENLDGESTYGTRQALPTFCGEHSVAKVRRRLTSLRTYSTVLHEHDSTLGSERLCLNQSTSLNLRHNMNGIRFSDKRNSEMSLAASSTPECTIGRDTEENKHTTVVDNPDGETTNYKQNSDKHAKDGAALQDQPSRGSSPLILHQRMRQRLQAARLLVCGSLGKANAEQAVAQKASVALSSRSSLQWIQSKCNNAFDMQFPSRKRVHFSEIEVNLQRNKNLHERQPFHQKCSVSRPSKRFKPDAEILDDKRFSTIHFRDQKSLIFQDLKFLLTGFSRGKEKEIEGLIRKYGGVVLVDIPSPSNRGKRCSRLKFLQLPIVLCPKKLQTTKFLYACAVNSLILKVKWLTDSIAAGSALSPGKYMVLLNQPDTRFTRIGKPVRQDNYTYIFDGIGIMLHGKQLFCTKFAKVIQHGGGRVFKTLLWLLQNLDNEKISLAVIVSEGESKASRHLRHCASERKIPMMPSSWIVRSLYSGKLLPFVEKKDTTLHAAMGTDFLVSDDWSQEI >EOY19621 pep chromosome:Theobroma_cacao_20110822:10:19249774:19256867:1 gene:TCM_044754 transcript:EOY19621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MLHKLGQEISHVSATVQRVGFSIVDTSVQPQADVNCSAFWNLENAGGESNASPSIADGFRSRWFGGWAGKEEADPVQLKPKGKNIPKYFAAETSFFSESADVAPDENSVVLKCEKNRSKIASDQSIPFEGLYDQVDEGIMVSQDVRSSNLSLVDPLCSIVPCSISSENDSSALGHKGNSEEANVGNCFGSTAVLGNENLDGESTYGTRQALPTFCGEHSVAKVRRRLTSLRTYSTVLHEHDSTLGSERLCLNQSTSLNLRHNMNGIRFSDKRNSEMSLAASSTPECTIGRDTEENKHTTVVDNPDGETTNYKQNSDKHAKDGAALQDQPSRGSSPLILHQRMRQRLQAARLLVCGSLGKANAEQAVAQKASVALSSRSSLQWIQSKCNNAFDMQFPSRKRVHFSEIEVNLQRNKNLHERQPFHQKCSVSRPSKRFKPDAEILDDKRFSTIHFRDQKSLIFQDLKFLLTGFSRGKEKEIEGLIRKYGGVVLVDIPSPSNRGKRCSRLKFLQLPIVLCPKKLQTTKFLYACAVNSLILKVKWLTDSIAAGSALSPGKYMVLLNQPDTRFTRIGKPVRQDNYTYIFDGIGIMLHGKQLFCTKFAKVIQHGGGRVFKTLLWLLQNLDNEKISLAVIVSEGESKASRHLRHCASERKIPMMPSSWIVRSLYSGKLLPFVEKKDTTLHAAMGTDFLVSDDWSQEI >EOY19617 pep chromosome:Theobroma_cacao_20110822:10:19246562:19257275:1 gene:TCM_044754 transcript:EOY19617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MGSSGFRPPQFSEELAWLPAYLQRITDTSVEPRSPSHQQFKELSCVQGEDLELLLWREESRCNSFHLFLSGEDKSPISSFPSSKDVLNFRLHLSPDSDSPYCQSQFLSTSCAQHGSDRVLQLPQVVSSGSGDQIDLIKTKIGAGGVNALPLTSIARAVENDGPQLSNHVKACSEHSVEKVTVRNLKGIDIMDAVELSIAASETLVIHELVKSDPASEAFSTAAVLEAALQVKQARLEISEDAFDCSSEKSDEIDFLLDLDDLTMADAFEDVGLSIRGLDDQHACGSDESLVKDTPVSENCFGSENISKNAEHFSQNKSSNDPSFGLRISDFTGNSDPMLHKLGQEISHVSATVQRVGFSIVDTSVQPQADVNCSAFWNLENAGGESNASPSIADGFRSRWFGGWAGKEEADPVQLKPKGKNIPKYFAAETSFFSESADVAPDENSVVLKCEKNRSKIASDQSIPFEGLYDQVDEGIMVSQDVRSSNLSLVDPLCSIVPCSISSENDSSALGHKGNSEEANVGNCFGSTAVLGNENLDGESTYGTRQALPTFCGEHSVAKVRRRLTSLRTYSTVLHEHDSTLGSERLCLNQSTSLNLRHNMNGIRFSDKRNSEMSLAASSTPECTIGRDTEENKHTTVVDNPDGETTNYKQNSDKHAKDGAALQDQPSRGSSPLILHQRMRQRLQAARLLVCGSLGKANAEQAVAQKASVALSSRSSLQWIQSKCNNAFDMQFPSRKRVHFSEIEVNLQRNKNLHERQPFHQKCSVSRPSKRFKPDAEILDDKRFSTIHFRDQKSLIFQDLKFLLTGFSRGKEKEIEGLIRKYGGVVLVDIPSPSNRGKRCSRLKFLQLPIVLCPKKLQTTKFLYACAVNSLILKVKWLTDSIAAGSALSPGKYMVLLNQPDTRFTRIGKPVRQDNYTYIFDGIGIMLHGKQLFCTKFAKVIQHGGGRVFKTLLWLLQNLDNEKISLAVIVSEGESKASRHLRHCASERKIPMMPSSWIVRSLYSGKLLPFVEKKDTTLHAAMGTDFLVSDDWSQEI >EOY19269 pep chromosome:Theobroma_cacao_20110822:10:15410183:15411266:-1 gene:TCM_044280 transcript:EOY19269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase-related family protein, putative MSSSRFASLLYLLTFAFLLPAAFGVNPIFHFCSNAGNFSAYDPYEGNLKQAHLLPLISSSSLRGDVSTPDCQTCVVEAGNEIRKLCPSTKGAIIWYDNCLLKYSDTEFFGQIDNKNMFYLWNGRVVSDPQSFNQKTKELLSQLANEAYATPKLYATRETELYGSPKLYGLTQCTRDLSSSDCKKCLDGIIGKLPSCCDGQEGGRVVGGSCNFRYEIYPFVKA >EOY18636 pep chromosome:Theobroma_cacao_20110822:10:4129585:4134208:-1 gene:TCM_043136 transcript:EOY18636 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-associated protein, putative MGSSIKTLHVSKFYLDGYRSNKGVSLLDIEILMEHIFNLIDMRSTNSRIKVSITESTMMQIVHSAMDKAYRKVRSKNGVLERLNEISKFYELSVMQLEGCLKFVQEETDNCVLESCHQVLLEDLTEIRDRLQGRLKEVELAISEKDKELLEILANELKLRKALEMNEKELDSLHADLKLEKRKSEGIEEFILSGQANTDGDREGKFCELKSSVDQQVWNIQQQLEPNYQLRDEERSQGIDNRKIEQMGSDISILKETLDVAFCKMQNAIFLSELGPIEHQWTWDIERGTAAIVIKGSLKDFRENFEEEVKKREMQVSVGLRKHLSDVMREMTCLSHELELFSNQDEVQVKSSKAKDSLKAKGRCLSEGHSFGNSSNFLLKVEEASTMGQPCKEDSENDGGHYVAKMIKNHESIIRRKNEELNSLKREILRERGFASLGREKGSVNPKRRIQEVIMSLESLINWNPWLRDIFSDCTCDNEVETLPEVRLSAGDQLGIEKSGIESMEEVWANVKKTSVSQPGNEEPCSEVRIMKQELKDANLQTMMMEEIYLTIFKSLVEEFHIEMLNHQLQCLVKEGMYERFIEEMKNERNEKTGSDRIEVQSREEKYDSLFRKAVTDLDSSHNSRAACYQNAKAESNCLEDQNFSGFGNLEDMVKEDVYTFLLQEILREWNENKESYKSKCALGEELCFIVFGETVRDIMNTANYALSKLKEIKAHDSFNYDFQFSNKFFESAAMSIKDDVWKVFLAEMIKEWKMKIDAFSTESLIREEVLQFLVAKAVKEACIMEAADDQNEERDPNNLLPVNKLWTSLDENGKENLMQTLDRLVKFLEEEEALILSACSEMKEQKRHLDLVRSVFDELDGHEHFQGLFTNEQNSTKSANSKLEKALQHLDFGKAILSELGSRIGITVGNLEWLHSEMTALVDTTHCKNPSIYQAKDVEEAKINIYESLLNPIQELSQILKGFECSSCTRLGRHIFRLEELKHQLDLLVERTASLSQKESLYRKAFIRRCENLQMAETEVDLLGDQVDLLLGLLEKIYVTMHRHSPVLQQFFEVSEMLKLIEKEIGGRR >EOY19534 pep chromosome:Theobroma_cacao_20110822:10:18541487:18593893:-1 gene:TCM_044664 transcript:EOY19534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIENAEFTHIADAKGSVIGLVGGLRYIIGHSNWRSFNKWLTLKKEYLAKTSVLKSTVNMKSREVKQEDEERTNEGAAEEKPTVAKEELRAQESAENQREESRNSTELEMHKESEKTQ >EOY19731 pep chromosome:Theobroma_cacao_20110822:10:21898138:21939434:1 gene:TCM_045037 transcript:EOY19731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 15, putative MGNISSLKALYLRGNNLGGTVRIHDLSSNLFRNNTFAFLRGLSSLKSLDMSRNQLQGSIDTEELNNLTNLKNLDLSENRIESLLPLYQGTTHLHSFVLSSLKSLDMSGNQLQGSIDTEELNNLINLKKLDLHWNKIESLRSFQGSGRQLELTHLEELDLSDNLFNNSIFASLRGLSNLKSLYIRFNQLKGSIDMEDLSAFTNLGELDMSYNELNKFVAHKGNRSLRKLKILHLDNVFMTNGNMTSLPGLLEAFSSVKTFYLEENYFNKSMAFSSELHMSSNVEEIFLDYSYINSNILKSIGVLTSLKTLSLSDCGLIGTLPDQGWCDLKNFEELCISRNALQGNLPSCLGNLTSLRVLDISDNHFTGNLSPLTNFTSLRFLSLSTNHFQVPASFISLANLTDLKILLSDGNKLVMEPVFQTSVPKFQLKMMSLSKCSTDQGLIKELPMFLYYQYDLRYVDLSYNKFSGMLPFWLLENNTKLEELILTDNFFTGPLLFPPFPHLEASSIDISNNKIQAQISADICSAFPHLGQLSLSTNAFRGNIPPCLGGMSHLYSLDLSNNQLSGGVPEELSMSRSLEVLRLSNNNLSGKVVPTILKSKFLGELYLDGNNFAGQRLDIDVLAVDFPYFLQGIDLSNNSLSGELPRWIWNFSYLERLDLSNNHFEGSIPMELCNLDNLEFLDLSQNNLSGCIPSCFNPPSIKHVHLSKNRLSGPLTRALYSSSSLVTLDLRANKLTGNIPEWIGTLSALNVLLLKANQLDGKIPVQLCKSYFLSIIDLSQNMLSGPIPSCLGNLTLELMGSKSSLGIVYSGFEVSKYIGMEVEFSFFSVLHRYPDSYMEEWVEFTTKSGSHKYTGVILDYMFGIDLSCNNLTGQIPFELGNLSEIRSLNLSHNNLIGVIPQSFSNLKQIESLDLSYNSLSGRIPMQLIELNSLEVFSVAHNNLSGSTLERKAQFGTFDESSYEGNPFLCGPPLHNNCSETDSPSTVSTASDDEEVTGVPWASLIRGARFSIIELTSIGEARRITLEVGFEYTSRWPPREIGTQPTPRNGCVVRDAEADAKPCGISIGIWDNECLSGRRGGCHGLDGSSGS >EOY18771 pep chromosome:Theobroma_cacao_20110822:10:4858372:4865072:-1 gene:TCM_043266 transcript:EOY18771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily isoform 3 MKFISSHVHNDISKGLQREYYIYFVPRREIQCEKILEEENVHHLMTIGEYPLYVVPLDEDVLSFELDLAYKVDGDTGSLWQIAKAIHKLESSFGVIPNLRAKGKASVLVADILNRMQTEEPVSSSDMAVPEINTLILIDREVDMVTPMCSQLTYEGLVDEFLRINNGSVELDSSVMGVQQEGKKMKVPLNSSDKLFKEIRDLNFEVVVQVLRQKATSMKQDYTEMTTTNQTVSELKDFVKKLNSLPEMTRHINLAQHLSKFTSKPSFLAKLDMEHTIVEASSYDICFEYIEEMIQKQEPLINVLRILILFSVTNSGLPKKHFDYLRRELLHSYGFEHMATLNNLEKAGLFKKQESKSNWLTVKRALQLVVEDTDTANPNDIAYVFSGYAPLSIRLVQHAVRSGWRPMEEILKL >EOY18769 pep chromosome:Theobroma_cacao_20110822:10:4855760:4865098:-1 gene:TCM_043266 transcript:EOY18769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily isoform 3 MAQIPNLDNSPLNLKSLREQSQRDLVKILKDIRGKKCLVIEPKLGGSLSLIIQTSLLKEYGIELRHLSAEPVQTDCTKVVYLVPSQRDLMKFISSHVHNDISKGLQREYYIYFVPRREIQCEKILEEENVHHLMTIGEYPLYVVPLDEDVLSFELDLAYKECQVDGDTGSLWQIAKAIHKLESSFGVIPNLRAKGKASVLVADILNRMQTEEPVSSSDMAVPEINTLILIDREVDMVTPMCSQLTYEGLVDEFLRINNGSVELDSSVMGVQQEGKKMKVPLNSSDKLFKEIRDLNFEVVVQVLRQKATSMKQDYTEMTTTNQTVSELKDFVKKLNSLPEMTRHINLAQHLSKFTSKPSFLAKLDMEHTIVEASSYDICFEYIEEMIQKQEPLINVLRILILFSVTNSGLPKKHFDYLRRELLHSYGFEHMATLNNLEKAGLFKKQESKSNWLTVKRALQLVVEDTDTANPNDIAYVFSGYAPLSIRLVQHAVRSGWRPMEEILKLLPGPHTETKRGRFASNPSFDTLQSASNGIDKIADGRRSLVLVVFVGGVTFAEISALRFLSAQEGMAYDLIIGTTKIVNGHTLAETFLGTSG >EOY18770 pep chromosome:Theobroma_cacao_20110822:10:4855805:4865134:-1 gene:TCM_043266 transcript:EOY18770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily isoform 3 MAQIPNLDNSPLNLKSLSREQSQRDLVKILKDIRGKKCLVIEPKLGGSLSLIIQTSLLKEYGIELRHLSAEPVQTDCTKVVYLVPSQRDLMKFISSHVHNDISKGLQREYYIYFVPRREIQCEKILEEENVHHLMTIGEYPLYVVPLDEDVLSFELDLAYKECQVDGDTGSLWQIAKAIHKLESSFGVIPNLRAKGKASVLVADILNRMQTEEPVSSSDMAVPEINTLILIDREVDMVTPMCSQLTYEGLVDEFLRINNGSVELDSSVMGVQQEGKKMKVPLNSSDKLFKEIRDLNFEVVVQVLRQKATSMKQDYTEMTTTNQTVSELKDFVKKLNSLPEMTRHINLAQHLSKFTSKPSFLAKLDMEHTIVEASSYDICFEYIEEMIQKQEPLINVLRILILFSVTNSGLPKKHFDYLRRELLHSYGFEHMATLNNLEKAGLFKKQESKSNWLTVKRALQLVVEDTDTANPNDIAYVFSGYAPLSIRLVQHAVRSGWRPMEEILKLLPGPHTETKRGSLCEQSII >EOY19359 pep chromosome:Theobroma_cacao_20110822:10:16770157:16773341:-1 gene:TCM_044444 transcript:EOY19359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPTELKELKVQLQDLVDKDFIRPSTSPWGAPILFVKKKDGTLRLCIDYHQLNRVIIKNKYPLPQIDDLFNQLRSAMVFFKIDLRYGYYQLKIKEQDVPKTTFRTRYGHYEFLVMPFVLTNAPAAFMDLMNRVFHPYLDKFVIVFIDDILVYSKNDDEHATHLHIVLQTLRERQLYAKFSKCEFWLKEVVFLGHVVSEARIYVDPKKIETILQWEQLRTVTKISSFLSFAGYYRRFVQGFSLIAAPLTRLTRKGVKFEWDDVWIQLNNGEDGTLLASFVVRPSFLDQIRELQKSDDELKQEVQKLHDGETSEFRLSDDGTLMFRDRICVPKDDQLRQAILEEAHSSVYALHPRSTKMYRTIKESYWWPSMKRDIAEFITKCLTCQQIKAEHKKSSDGQSERTIQTLEDMLQTCIIDFIGSWDNHLPLVEFAYNNSFQSSIGMAPYEALYGRKC >EOY18406 pep chromosome:Theobroma_cacao_20110822:10:3377505:3381873:-1 gene:TCM_043003 transcript:EOY18406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein MIGFPLEKSAPMCTTYPEANQHPVETIRSQLPEVTNKARHSILHYGEAHEGNLAAASLQSFHNFNLVMSSLSGRNESKFDGKRTKMEHEKSLIQAPFLSFLLSRLRQLEELKQRKMQLEGESEALSKLTSETISPVLNIVDSNSNMRLDMKFALSDIISLIEEEGATVIAVTYNNAGTMNILSIHCKMSISSNLELATVHIKELQRQVEELKERKMQLEVASEARNRVKSETITPVLNIIESDSIMEVNLVIGSDMKFILGEIISIIEQEGAEVIGATYNHAGKVNRNILSIHCEVACSKIGFKSSKVLERLKTLFGECM >EOY18627 pep chromosome:Theobroma_cacao_20110822:10:4080157:4091196:1 gene:TCM_043127 transcript:EOY18627 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-aminobenzoate synthase MSFTLCSSSELTYPYVEGLRNARANRVASKSFIMAGGCIKKHYVQASYPDARKVVISSHLVPGHLEGSFMGKKWQEEPRKKLEFVRTLLIDNYDSYTYNIYQELSVINGLPPVVVRNDEYTWKDICHFLYEEGAFDNIVISPGPGSPTCPADIGVCLQLLLQCWDIPILGVCLGHQALGYAHGAQIIHASEPIHGRLSEIEHNGCKLFANIPSGRNSGFKVVRYHSLVIDAKTLPKELIPIAWTSSDDTLSFLETQKFDVISDVYESERQQENFDSILERLKNGSYWSSSHANGTKSGKVVMGIRHATWPHYGVQFHPESVATTYGRQIFKNFREMTKDYWLRMCSSFSSDRNIHYTASMQLPHASRLFRAVHTGGQSAKKADVRFYGEACSSGQLMQDADKRNFGFLHMANVLPPSMGANFLKLKWRKFDHLASEVGGARNIFSELFGKNKAENTFWLDSSSTEKGRARFSFMGGKGGSLWKQLTFRLSEDSEVASKRGGHLLIEDADGSTNSTFLEEGFFEYLNKELLSLRHEEKDYEGLPFEFYGGFIGYIGYNLKVECGAASNYHKSTTPDACFFFADNLVVVDHHSDDVYILSLHEGNTTMTPWLEDTGKKLVSLKASVTRKLDEQNVQAVTSSQHKQGFHSEKSREQYVRDVEKCLQYIKDGESYELCLTTCIRKTIGQADPLRLYLHLREKNPAPYAAWLNFSKQNLSICSSSPERFLRLDRNGTLEAKPIKGTIARGATLEEDEQLKLQLQHSEKDQAENLMIVDLLRNDLGRVCEPGTVHVPHLMEVESYATVHTMVSTIRGKKQSNVSAVACVKAAFPGGSMTGAPKLRSMELLDSIESCSRGIYSGSIGFFSYNQTFDLNIVIRTVVIHENEASIGAGGAIVALSDPEKEYDEMILKTRAPANAVMEFQ >EOY19792 pep chromosome:Theobroma_cacao_20110822:10:22554705:22559338:1 gene:TCM_045131 transcript:EOY19792 gene_biotype:protein_coding transcript_biotype:protein_coding description:10-formyltetrahydrofolate synthetase isoform 1 MSSRSVRKLEVVSPVPADIDIANSVEPFHISEIAKDLNLSSNHYDLYGKYKAKVLLSVLDELQGSEDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHESSQSDKALFNRLCPPNKDGKRKFSDIMFRRLKKLGISKTHPEDLTPEEVKKFSRLDIDPNSITWRRVMDVNDRFLRKITVGQGPEEKGMVRETGFDISVASEIMAVLALTTSLADMRERLGKMVIGNSKAGDPITADDLGVGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPGGFVVTEAGFGADIGTEKFMNIKCRYSGLIPQCAIIVATIRALKMHGGGPEVVAGKPLDHAYVNENVSLVEAGCVNLARHIANTKAYGVNVVVAVNKFSTDTEAEMNAVRNAALAAGAFDAVICTHHAHGGKGAGTLVAVQRACENVTQPLRFLYPLDISIKEKIEAIARSYGASGVEYTEQAEKQIEMCSRQGFSGLPICMAKTQYSFSHIASEKGAPSGFILPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDLDTTTGKVIGLS >EOY19791 pep chromosome:Theobroma_cacao_20110822:10:22553689:22559620:1 gene:TCM_045131 transcript:EOY19791 gene_biotype:protein_coding transcript_biotype:protein_coding description:10-formyltetrahydrofolate synthetase isoform 1 MNAKFSAGFFTVSGPNVDACYAKFTASSVTVNLHPLPHKKNGTSKTNQTSIMHHSHDIAQKRKMSSRSVRKLEVVSPVPADIDIANSVEPFHISEIAKDLNLSSNHYDLYGKYKAKVLLSVLDELQGSEDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHESSQSDKALFNRLCPPNKDGKRKFSDIMFRRLKKLGISKTHPEDLTPEEVKKFSRLDIDPNSITWRRVMDVNDRFLRKITVGQGPEEKGMVRETGFDISVASEIMAVLALTTSLADMRERLGKMVIGNSKAGDPITADDLGVGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPGGFVVTEAGFGADIGTEKFMNIKCRYSGLIPQCAIIVATIRALKMHGGGPEVVAGKPLDHAYVNENVSLVEAGCVNLARHIANTKAYGVNVVVAVNKFSTDTEAEMNAVRNAALAAGAFDAVICTHHAHGGKGAVDLGVAVQRACENVTQPLRFLYPLDISIKEKIEAIARSYGASGVEYTEQAEKQIEMCSRQGFSGLPICMAKTQYSFSHIASEKGAPSGFILPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDLDTTTGKVIGLS >EOY19457 pep chromosome:Theobroma_cacao_20110822:10:17849369:17860453:1 gene:TCM_044579 transcript:EOY19457 gene_biotype:protein_coding transcript_biotype:protein_coding description:EAP30/Vps36 family protein isoform 1 MANFFSKAEVTSSGRPVLLRSEVECHLLSSVDLEPEDHHNFSPLKSGLLILTTHRLLWLPSSSASTPTSAAAIPLPAISHIFSSKKSLKSMFHSPRIRFQVLVSPDGRVFDPGSGSGSGSGLGSRSVVLTAVVRGKGDCDGFLGKFWDSWRARAWETNETSGSGSSSVSGSGTGTGTGTGTGGGLYSSDGSVRMVGVAGILRKEQELWESTDKSLQDAFQDLNALMSKAKEMVMLAEKMRQKLLSGTNSQASATNDEDMGSKEEMQDWLLSVGIISPVTKESAGALYHQQLSRQLADFVRTPLERAGGMINLIDVYCLFNRARGTGFC >EOY19456 pep chromosome:Theobroma_cacao_20110822:10:17849313:17862639:1 gene:TCM_044579 transcript:EOY19456 gene_biotype:protein_coding transcript_biotype:protein_coding description:EAP30/Vps36 family protein isoform 1 MANFFSKAEVTSSGRPVLLRSEVECHLLSSVDLEPEDHHNFSPLKSGLLILTTHRLLWLPSSSASTPTSAAAIPLPAISHIFSSKKSLKSMFHSPRIRFQVLVSPDGRVFDPGSGSGSGSGLGSRSVVLTAVVRGKGDCDGFLGKFWDSWRARAWETNETSGSGSSSVSGSGTGTGTGTGTGGGLYSSDGSVRMVGVAGILRKEQELWESTDKSLQDAFQDLNALMSKAKEMVMLAEKMRQKLLSGTNSQASATNDEDMGSKEEMQDWLLSVGIISPVTKESAGALYHQQLSRQLADFVRTPLERAGGMINLIDVYCLFNRARGTELISPDDMLQACSLWEKFDVPVMLRKFDSGVMVIQNKSHSDEEVFVRIKSLVTKPEALRSGISPSDAAMTLGIAPAMAKEHLLTAESTGLLCRDVSPDGFRFYINLFPEIDPRDVYLVKDYGICDTWIKAVSTSGLR >EOY19569 pep chromosome:Theobroma_cacao_20110822:10:18900896:18909417:1 gene:TCM_044713 transcript:EOY19569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MSNMMKLSSPFQGMILILFVMLGINSASAATTKSNVLAFGAKPNGKTDSTKAFLEAWNAACGSADSTMIYVPKGRYLLGSMAFKGDCKSPQITIRIDGTLVAPGDYSVLGKSASWLSFEGVSDVSIIGGALDAKGPALWACKTSHTNCPSGATTLSFTNSNNVRINGLTSFNSQMFHIVINGCQNVHIQGVKIIAAGNSPNTDGIHVQLSTNVEIINCSIKTGDDCISIGPGTKSLWVERVTCGPGHGISIGSLAKDLKEEGVQNITVRKTIFSGTQNGLRIKSWARPSNGFVQGVRFINSVMRNVQNPIVIDQNYCPHNLNCPGQVSGIKIRDIVYKNIRGTSSTPIAIKFDCSAKQPCTGIRLQNVNLTYLEKAAQSSCSNVVGKAFDLVRPNSCL >EOY19315 pep chromosome:Theobroma_cacao_20110822:10:16358883:16359845:1 gene:TCM_044381 transcript:EOY19315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSINLRHGMPSTISLSQDNSGRLNSSFTRLIQRGASSS >EOY19521 pep chromosome:Theobroma_cacao_20110822:10:18383505:18395616:1 gene:TCM_044643 transcript:EOY19521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group B1 isoform 2 MGKNVVSDEEDELEVDEEGEPIEGDRLNDRDPDDEDEEDEEGQDEYENDGFIVDDVEDEEVDEDEEREDSDEERRKKKKKRKKKEAEDLDEDDYELLRENDVNVPKGSKKFKRLKKAQRDFDEERFGSDEEFDGSIKGGVTAEEKLKRTLFGDDDGQPLEDIPEDEVQIDEEEDGDMGEEDDMADFIVDEDDLDEHGASVRRKKLKKNKSRQAPGVTSSALLEAQEIFGDVDELLQLRKQGLDSSEWRERRLEDQFEPTVLSEKYMTEKDDQIRMTDIPERMQISEESTGTPPIDEMSIIEESTWILHQLIIGAVPLFGKEGQDLSINREDVMRFLELTHVQKLDIPFIATYRKEQCLSLLKDPEQHEVDDVDQDKSEKTPTIKWHRVLWAIQDLDRKWLLLQKRKTGLQSHYSKRFEEESRRVYDETRLNLNQQLFESILKALKDADSEREVDDVDAKFNLHFPPGEVGVDEGQYKRPKRRSQYSICNKAGLWMVASKFGYSAEQLGSQLSLEKMNDELEDAKETPEEMASNFTCAMFETPQAVLKGARHMAAVEISCEPSVKKCVRGIYMENAVVSTIPTPDGKIAIDSFHQFAGVNWLREKPLSRFDDAQWLLIQKAEEEKLLQVTIKLPEKCLDELNKEFNVYLSNGVSKSAQQWNEQRQLILKDALFGFLLSSMEKEARSLLTSRAKNWLLLEYGKVLWNKVSVGPYQRKENDINSDEEAAPRVMACCWGPGKPATTFVMLDSSGEVLDVLYTGSLTLRSQNVNDQQRKKNDQQRVLKFMTDHQPHVVVLGAVNLSCTRLKDDIYEIIFKMVEENPRDVGHEMDELSIVYGDESLPRLYENSRISSDQLPGQSGIVKRAVAVGRYLQNPLAMVATLCGPGKEILSWKLSPLENFLTADEKYGMVEQVLVDVTNQVGLDVNLATSHEWLFAPLQFISGLGPRKAASLQRSLVRVGTIFTRKDFVTTHGLGKKVFVNAVGFLRVRRSGLAANSSQFIDLLDDTRIHPESYLLAQELAKDVYDEDLKGDNDEEDALEMAIEQVRDRPSLLKSLRLDKYLESKERKNKRETFEDIRRELIQGFQDWRKQYKEPSQDEEFFMISGETEDTLTEGRIVQATVRRVQGGRAICVLESGLTGMIMKEDYADDWRDIIELSDRLHEGDILTCKIKSIQKNRYQVFLVCKDSEMRSNRYQHVQNLDPYYHEERSSLQSEQEKARKEKELAKKHFKPRMIVHPRFQNITADEAMEYLSDKDPGESIIRPSSRGPSYLTLTLKVYDGVYAHKDIVEGGKEHKDITSLLRIGKTLKIGEDTFEDLDEVMDRYVDPLVSHLKAMLSYRKFRRGTKTEVDELLRIEKSEYPMRIVYCFGISHEHPGTFILTYIRSTNPHHEYIGLYPKGFKFRKRMFEDIDRLVAYFQRHIDDPQHESAPSIRSVAAMVPMRSPASGGSAGASMGSGWGGSTNEGGWRGHSFDRGQSSTPGSRTGRNDYRNSGSRDGHPSGLPRPYGGRGRGRGPYNSSRGHEGQDSSYDAPKWDSGAKKGDDGWGNFPGAKVQNSPGREAFPGGWGGGGNESGSGSGSGWGGGGNESGSGSGGGWGGDNSGWGQASAGTDNGGSGW >EOY19522 pep chromosome:Theobroma_cacao_20110822:10:18383842:18394194:1 gene:TCM_044643 transcript:EOY19522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group B1 isoform 2 MGEEDDMADFIVDEDDLDEHGASVRRKKLKKNKSRQAPGVTSSALLEAQEIFGDVDELLQLRKQGLDSSEWRERRLEDQFEPTVLSEKYMTEKDDQIRMTDIPERMQISEESTGTPPIDEMSIIEESTWILHQLIIGAVPLFGKEGQDLSINREDVMRFLELTHVQKLDIPFIATYRKEQCLSLLKDPEQHEVDDVDQDKSEKTPTIKWHRVLWAIQDLDRKWLLLQKRKTGLQSHYSKRFEEESRRVYDETRLNLNQQLFESILKALKDADSEREVDDVDAKFNLHFPPGEVGVDEGQYKRPKRRSQYSICNKAGLWMVASKFGYSAEQLGSQLSLEKMNDELEDAKETPEEMASNFTCAMFETPQAVLKGARHMAAVEISCEPSVKKCVRGIYMENAVVSTIPTPDGKIAIDSFHQFAGVNWLREKPLSRFDDAQWLLIQKAEEEKLLQVTIKLPEKCLDELNKEFNVYLSNGVSKSAQQWNEQRQLILKDALFGFLLSSMEKEARSLLTSRAKNWLLLEYGKVLWNKVSVGPYQRKENDINSDEEAAPRVMACCWGPGKPATTFVMLDSSGEVLDVLYTGSLTLRSQNVNDQQRKKNDQQRVLKFMTDHQPHVVVLGAVNLSCTRLKDDIYEIIFKMVEENPRDVGHEMDELSIVYGDESLPRLYENSRISSDQLPGQSGIVKRAVAVGRYLQNPLAMVATLCGPGKEILSWKLSPLENFLTADEKYGMVEQVLVDVTNQVGLDVNLATSHEWLFAPLQFISGLGPRKAASLQRSLVRVGTIFTRKDFVTTHGLGKKVFVNAVGFLRVRRSGLAANSSQFIDLLDDTRIHPESYLLAQELAKDVYDEDLKGDNDEEDALEMAIEQVRDRPSLLKSLRLDKYLESKERKNKRETFEDIRRELIQGFQDWRKQYKEPSQDEEFFMISGETEDTLTEGRIVQATVRRVQGGRAICVLESGLTGMIMKEDYADDWRDIIELSDRLHEGDILTCKIKSIQKNRYQVFLVCKDSEMRSNRYQHVQNLDPYYHEERSSLQSEQEKARKEKELAKKHFKPRMIVHPRFQNITADEAMEYLSDKDPGESIIRPSSRGPSYLTLTLKVYDGVYAHKDIVEGGKEHKDITSLLRIGKTLKIGEDTFEDLDEVMDRYVDPLVSHLKAMLSYRKFRRGTKTEVDELLRIEKSEYPMRIVYCFGISHEHPGTFILTYIRSTNPHHEYIGSFAYCTVKGSSSLVACLLFLSNLWLVLFGTVGRNDYRNSGSRDGHPSGLPRPYGGRGRGRGPYNSSRGHEGQDSSYDAPKWDSGAKKGDDGWGNFPGAKVQNSPGREAFPGGWGGGGNESGSGSGSGWGGGGNESGSGSGGGWGGDNSGWGQASAGTDNGGSGW >EOY18970 pep chromosome:Theobroma_cacao_20110822:10:6998934:7024822:1 gene:TCM_043510 transcript:EOY18970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 6 MGFLDMFVVALMPVLKVLLVTAVGLLLAMEKIDLLGPEARNYLNKIVFYVLSPSLLVSNLADTITYNSVVTLWFMPLNILLTFIIGSALGLVLIKVTKTPEHLRGIVIGCCSAGNLGNLLLILVPAVCEQSNSPFGDSSTCSTNAVAYASLSMAVAAIYTWSYSYAVVSAYAIKSPEHKSTHSSEEAPDPSSDSCTEALLPSSYSQISEESSVQVELPLTNSGERTKMSFWKNIVQCVKSIMSKIDLKMVFAPSTIAAIIGFIFGIVSPIRKVLIDDSAPLHVIYTSAAFIGEAAIPCMTLIMGANLLKGLKRSEVNLLVIIGVVAVRNIFLPLLGIGVTKAAYHFGMAGSDSLYQFVLMLQYAVPPAFNVGVMTQLFQRGQGETSIIMLWTYAVASISLTLWSTLFMSLVA >EOY18973 pep chromosome:Theobroma_cacao_20110822:10:6998934:7024822:1 gene:TCM_043510 transcript:EOY18973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 6 MGFLDMFVVALMPVLKVLLVTAVGLLLAMEKIDLLGPEARNYLNKIVFYVLSPSLLVSNLADTITYNSVVTLWFMPLNILLTFIIGSALGLVLIKVTKTPEHLRGIVIGCCSAGNLGNLLLILVPAVCEQSNSPFGDSSTCSTNAVAYASLSMAVAAIYTWSYSYAVVSAYAIKSPEHKSTHSSEEAPDPSSDSCTEALLPSSYSQISEESSVQVELPLTNSGERTKIIGFIFGIVSPIRKVLIDDSAPLHVIYTSAAFIGEAAIPCMTLIMGANLLKGLKRSEVNLLVIIGVVAVRNIFLPLLGIGVTKAAYHFGMAGSDSLYQFVLMLQYAVPPAFNVGVMTQLFQRGQGETSIIMLWTYAVASISLTLWSTLFMSLVA >EOY18971 pep chromosome:Theobroma_cacao_20110822:10:7015600:7024882:1 gene:TCM_043510 transcript:EOY18971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 6 MGFLDMFVVALMPVLKVLLVTAVGLLLAMEKIDLLGPEARNYLNKIVFYVLSPSLLVSNLADTITYNSVVTLWFMPLNILLTFIIGSALGLVLIKVTKTPEHLRGIVIGCCSAGNLGNLLLILVPAVCEQSNSPFGDSSTCSTNAVAYASLSMAVAAIYTWSYSYAVVSAYAIKSPEHKSTHSSEEAPDPSSDSCTEALLPSSYSQISEESSVQVELPLTNSGERTKVLVKPCFIAVYASFAESLISLVFMCLDLSASMRNPVFAFRKPFVNLHKMSFWKNIVQCVKSIMSKIDLKMVFAPSTIAAIIGFIFGIVSPIRKVLIDDSAPLHVIYTSAAFIGEAAIPCMTLIMGANLLKGCYKLVLAGLKRSEVNLLVIIGVVAVRNIFLPLLGIGVTKAAYHFGMAGSDSLYQFVLMLQYAVPPAFNVGMISLYHILYMHTYYQRQSVMTQLFQRGQGETSIIMLWTYAVASISLTLWSTLFMSLVA >EOY18972 pep chromosome:Theobroma_cacao_20110822:10:7016086:7024839:1 gene:TCM_043510 transcript:EOY18972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 6 MGFLDMFVVALMPVLKVLLVTAVGLLLAMEKIDLLGPEARNYLNKIVFYVLSPSLLVSNLADTITYNSVVTLWFMPLNILLTFIIGSALGLVLIKVTKTPEHLRGIVIGCCSAGNLGNLLLILVPAVCEQSNSPFGDSSTCSTNAVAYASLSMAVAAIYTWSYSYAVVSAYAIKSPEHKSTHSSEEAPDPSSDSCTEALLPSSYSQISEESSVQVELPLTNSGERTKMSFWKNIVQCVKSIMSKIDLKMVFAPSTIAAIIGFIFGIVSPIRKVLIDDSAPLHVIYTSAAFIGGGSHSLYDLDNGGKPSQRSKKIRSESVSHYRGGSSAEHFLASLGDWCY >EOY17900 pep chromosome:Theobroma_cacao_20110822:10:1380437:1386959:1 gene:TCM_042601 transcript:EOY17900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSHRHHGNLTKAQTQNKMTSMLWQMHMSQVINSRMSNSRNREFVDDHVDQNGDESFDSSDASSSMNGVVETSSVGSGTTSRQIGEIRNEIGLTERLTNIFVEENDGDLLLQQSDREDRVLQWLQALDMQVIGACRTDERLKPLLKVNVSNGLAEDRLLAHLSQHFEPSEVGMLARCFCIPLVSIRVGKINKQGTHFCPTAIRGNLSLTLLPTSDLRLLFVGDNGQTEQLFTLSCKLQCPGVSINEIPADNSGRSFLVKIPDGKVFYYWCSEKSKLLGVELLSKMKDLIKRKPSIAELTGISESRLGCFAVQLRAYLLGSAVSNTQASCSGSRSPNTLLDTIDVHNGQSSSTTSKSLRSRHSSSQVLKVNSLHQGSLSPRSSSFKEGLARNLSSLRSTARDKIRRRGDNHLSVSESLTTASPITHDSLSCNQAENDKLPDVKSCPFTPNLLESLGKLAVPPTLSSVSQVSSLGPPLFSPHYCWCPPGSSTLQHSAAAEFPTSSIESLKLPPLSSILPANGASSLLKPTPPLDLADVPSLDFPAFLPEPLVRLPMASSQQIPTFTPLICDPIVHIPVIDVCSSGQGYLVSAGPTISTTISPLHPKLVNPLLPDTDSMVEKGARETLRLLISGSTQNNPPLIDVFPAVLTNADENKGILVAGSRGLYSGTRDISAITDGIAAMTFVTLSASSMGDCFVKHCSSGCDNPDSKQVGSSGSDESCSDRDGAKLTDTREEGTSD >EOY18687 pep chromosome:Theobroma_cacao_20110822:10:4446099:4456856:1 gene:TCM_043183 transcript:EOY18687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein / transcription factor jumonji family protein, putative MGNVEIPNWLQGLPLAPEFRPTDTEFADPIAYISKIEKEAAAYGICKIIPPLPKPSKKYVFNNLNRSLSKCPELGSDMDVSKNVGSISSCRDSRGEEGEGRAVFTTRHQELGQSGKKMKVAVSSPQCGVHKQVWQSGEIYTLEQFESKSKTFAKSLLGVLKEVSPLHIEALFWKVASEKPINVEYANDVPGSGFGEPEGQFRYFHRRRRRRKRMSYRRENADCKKDEMNTVHNSHIDEIKDTCVKSDQNAWFETPKISTTSSTLASDENSLSKRKSGNASNDMEGTAGWKLSNSPWNLQVIARSAGSLTRFMPDDIPGVTSPMVYIGMLLSWFAWHVEDHELHSMNFLHTGSSKTWYAVPGDYAYAFEEVIRTEAYGGNIDRLAALSLLGEKTTLLSPELIVASGIPCCRLIQNPGEFVVTFPRAYHVGFSHGFNCGEAANFGTPQWLQVAKEAAVRRAAMNYLPMLSHQQLLYLLTMSFVSRVPRSLLPGARSSRLRDRQKEERELLVKKAFIEDMLTENKLLSLLLKRGSTYRAIIWDPDLLPYASKDSELPSETAAVSTVLQENVSDIHSKNNTNQNNLLDEMSLYMENLNYLYLNDDDLSCDFQVDSGTLACVACGILGYPFMSVVQPSEGTLELLPADHLSVLGSAVLESKNTHSCPDLDHPVECSVSDNVHHVADQSLPSKDATSPSITKFCHVWDTSNIYMRPRIFCLEHAVQVEEILQSKGGAKMLVICHSDYQKIKAHAIPVAEDIGITFNYNDVPLDAASQEDLNLINLAIDDEHDEIGEDWTSKLGVNLRYCVKVRKNSPFKQVQHALPLGGLFSDKYGSPELFNIKWQSRKSRSKGKLSHPSSKPCESVELKVGELLLEKLDGNIPKSEQKIIQYSRRKKRKPDYSTGAGGCLELVKDDLPREDSAATCELPDEHGGSKSKINAKSDSSVLFSSLSTRASQTQPEIQTTSVVGVVQKDHGKILQESNLNGEGCSLAACASSQKQCEIKLMERTSENNELSLADKCSKFSVFAAGERFKESTGAICEVCNPVYEGQCEELAARHDLINLANSANSLSAQPSAGRFDPVLEDLIVEKSCMNGGVHSCMTSDNEVQQEIEATSRNNNEDILCDNKLINKPNLGPEDFSSGVSLGDEAQQETNTRGGSQVEPFFSSPTLTKGPSTVMVGNRSDVPREPCTAADLCDVAISKDKAKKQEIQIDASKEGLLCGSITPMVIDQRTSLSVEEYSVVSKNPCANELHTGVISDVEVLQEIQATKGTSGDEVIYCYHLPIKEKQPTPTVMEACSKVQRMCSSEKKSCADATADDDRHENDLIRNEKDEEEPVSCCVIPINQATPVPIQRYSRTRRESRATVNVNNGEVCSFVENRDLESAVVNCRSSATDGRKRKREVVEKPEKVGGSGFIRSPCEGLRPRARKDASSSFDVGKTSQEVLPTKETRKPSIHTQSKKIIKKGSHRCDMEGCHMSFETKEELRLHKRNRCPYEGCGKRFRSHKYAILHQRVHEDDRPLKCPWKGCSMTFKWAWARTEHIRVHTGERPYKCKVVGCGLSFRFVSDFSRHRRKTGHYVDSSA >EOY18781 pep chromosome:Theobroma_cacao_20110822:10:4934856:4943153:-1 gene:TCM_043276 transcript:EOY18781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS class) MDLREDSGRLGSLPATTSRNMSSSSSAFFSANQSPFFSPRSSTCQLSESTRSDAQCDSINCSADPPSSSSGIRDPECLEDVRFGLPDMSLTPAACISSDFQKFDHVLSTTLVSNGTISSYGHVGDSVYSALVEKHRKHVRSQDMSFSPVPMSLSSNRHRSYDVFIGLHGRKPSLLRFANWLRAELEVQGMSCFVSDRARFRNTRKHGLIERAMDVSSFGVVILTRKSFRNPYTIEELRFFSSKKNLVPIYFDLNPADCLVRDIVEKRGELWEKHGGELWVLYGGLEKEWKEAVNGLFRVDEWKLEAQDGSWRDCILRAVTLLAMKLGRRSVVERLAKWREKVDKEEFPFPRNENFIGRKKELSELEFILFGDISGESERDYFELKARSKRKNLTIGWSKGSSVEERCRERQWESGSRKGKEPVIWKESEKEIEMQSTERQHYQRPRGGGRNSRRKRSAKIVYGKGIACITGDSGIGKTELLLEFAYRYHQRYKMVLWIGGESRYIRQNYLNLWSFLEVDVGVENCIEKCRMKSFEEQEEAAIARVRKELMRNIPFLVVIDNLESEKDWWDRKLVMDLLPRFGGETHILISTRLPRMMNLEPLKLSYLSGVEAMSLMQGSVKDYPIAEIDVLRVIEEKVGRLTVGLAIVGAILSELPINPSRLLDTINRMPLRDFSWSGREAHSLRKNSFLLQLFEVCFSIFDHADGPRSLATRMVQVCGWFAPAAVPVSLLALAAHKVPEKHKGAHFWRKLLRSLTCGFSSSYSKRSEAEASSMLLRFNIARSSTKQGYVHFNELIKVYSRKRGVTGVAHTMVQAVISRGSLFDHPEHIWAACFLLFGFGNDPTVVELKVSELLYLVKEVVLPLAIRTFITFSRCSAALELLRLCTNALEAADQAFVTPVEKWLDKSLCWRPIQTNAQLNPCLWQELALSRATVLETRSKLMLRGGQFDIGDDLIRKAIFIRTSIFGEDHPDTISARETLSKLTRLLANVQTHTSP >EOY18180 pep chromosome:Theobroma_cacao_20110822:10:2295871:2296618:-1 gene:TCM_042794 transcript:EOY18180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRTQVVAYLIIAFLLVTASQSQFSMAIRIQAMGTVDEDVKIRTAKPKPNSGKRFLLSTWKEPATQFKDNMRKVPSAPNPIGNRHPPSKP >EOY17561 pep chromosome:Theobroma_cacao_20110822:10:186330:195298:1 gene:TCM_042363 transcript:EOY17561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited Ca2+-ATPase 11 MEDYLRKNFAVEHKRPSEEALRRWRRAVSVVKNPRRRFRMVADLAKRAEADRKRINLQEKLRVALYVQKAALHFIDAGKRVQYKLPEDVRQAGFGIGADELAFVVHSHDIKSFEDHGGVEGLAKKVSVSLTDGVVPTNIPLRQKIYGYNQFAEKPARSFWMFVWEALHDLTLIILMVCAAVSIGVGIATEGWPAGMYDGIGIVLCIFLVVLVTAISDYKQSLQFNDLDKEKKNIVVQVTRDGCRQKISIYDLVVGDIVHLSIGDQVPADGVLISGFSLSIDESSLSGESEPVNVTQQRPFLLAGAKVQDGSAKMLVTTVGMRTEWGRIMVTLSEGGEDETPLQVKLNGVATVIGKFGLGFAVLTFLVLTIRFVVTKALHGEITDWSVSDALILMNFFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMSNKALVRHLSACETMGSATTICTDKTGTLTTNHMAVNKIWTCGRTIKIEGNQSEEVLQSSITGEVFNILLQSIFQNTGAEVVKGKDGKNNILGSPTETAILEFGLLLGGAFKIHRKESEILKVEPFNSEKKKMSVLVSLSNGGGHRAFCKGASEIILKSCNKIINADGKAEPLSGEQKKCITDVINGFACEALRTLCLAFKDVKDTSKVDSIPEDSYTLIAVVGIKDPVRPGVKEAVKTCLAAGITVRMVTGDNINTAKAIARECGILTDDGLAIEGPDFRDMSPQQMEETLPKLQVMARSSPLDKHKLVTYLRKEFKEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFTTIQNVTRWGRAVYINIQKFVQFQLTVNIVALMLNFISACVSGSAPLTAVQLLWVNMIMDTLGALALATEPPHEGLMKRPPIGRDVAFITRIMWRNIIGQSIYQLIVLAILKFDGKRLLQLSGSDATATLNTVIFNTFVFCQVFNEINSRDMEKVNVIRGIFDSWLFIMVVVSTVASQSIIVELLGTFANTVPLSWDLWLVSILLGAGSLIVAVILKCIPVEKGKEAATTTNHDGYEPLPNGPDMA >EOY19865 pep chromosome:Theobroma_cacao_20110822:10:23378666:23387376:1 gene:TCM_045249 transcript:EOY19865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family with FYVE zinc finger domain isoform 1 MLKTERMATVTAEQSRVAGVPERDIELAITALKKGAPLLKYGRRGKPKFCPFRLSNDESVLIWISGKEEKYLKLSHVSRIIPGQRTICKDKDEAEVWFTGLKALISRGHHRKGRAESRSDGVSSEATSPRAHTQRSSPLSSPFGSGGSSQKDGMDPLRLHTPHESPPKTGLEKALSDVILYSVPPKVLYPSESACGSIHSLSSAGSDGAAGRIKAVNGDAFRVSLSSAVSSSSQGSGQYDGDALGDVFIWGEGTGDGVLGGGIHRTGNSGGVKIDSLLPKALESAVVLDVQNIACGGQHAALVTKQGEVFSWGEECGGRLGHGVDSDVSHPKLIDSLKNINVELVACGEYHSCAVTLSGEMYSWGGSSCNFGLLGHGHETSQWVPKKLNGPLEGIHVSSVSCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSVSVPREVESLKGLRTVRASCGVWHTAAVVEVMVGSSSSSNCSSGKLFTWGDGDKGRLGHGDKEARLVPTCVAALVEPNFCRVVCGHSMTVALTTNGHVYTMGSPVYGQLGNSQADGKHPVRVEGKLTKNFVEEIACGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRSSPCLVEALKDKQVKSIVCGTSFTAAICLHKSVSSIDQSKCSGCRLLFNFKRKRHNCYNCGLVFCNSCSSKKSFKASMAPNPNKPYRVCDNCFAKLTKASETNSSTHYALSRRGSMNQGLNEGVEKTEKLDSRTHAQLSRNGSIESSKELEGGSSKRNKRLDFYSTRVSPFPNGVSQCAPQNSSKTSNALFGSSKKFFSASLPGSRIVSRATSPTSRRSSPPRATTPTPTLSGFASMKVVVDDAKRTNDGLSEEVIKLRTQVEELTLKAQLQEVELERTTKQLKEAIAVAAEETAKSKAAKEVIKSLTAQLKDMAERLPIGAARSSNSPSFCYSSSTPPREVSSAGNEQLGGPMSCHEIDSNGSNSLVISNGSGTSNQHLSIHTEVSHLDGTARNRNRTTKVEPTHGDEWVEQDEPGVYITLVALPGGVKDLKRVRFSRKRFSEKQAEQWWAANRARVYQRYNVPLVDKPSVGVGREGLAH >EOY19864 pep chromosome:Theobroma_cacao_20110822:10:23378666:23387255:1 gene:TCM_045249 transcript:EOY19864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family with FYVE zinc finger domain isoform 1 MLKTERMATVTAEQSRVAGVPERDIELAITALKKGAPLLKYGRRGKPKFCPFRLSNDESVLIWISGKEEKYLKLSHVSRIIPGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFTGLKALISRGHHRKGRAESRSDGVSSEATSPRAHTQRSSPLSSPFGSGGSSQKDGMDPLRLHTPHESPPKTGLEKALSDVILYSVPPKVLYPSESACGSIHSLSSAGSDGAAGRIKAVNGDAFRVSLSSAVSSSSQGSGQYDGDALGDVFIWGEGTGDGVLGGGIHRTGNSGGVKIDSLLPKALESAVVLDVQNIACGGQHAALVTKQGEVFSWGEECGGRLGHGVDSDVSHPKLIDSLKNINVELVACGEYHSCAVTLSGEMYSWGGSSCNFGLLGHGHETSQWVPKKLNGPLEGIHVSSVSCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSVSVPREVESLKGLRTVRASCGVWHTAAVVEVMVGSSSSSNCSSGKLFTWGDGDKGRLGHGDKEARLVPTCVAALVEPNFCRVVCGHSMTVALTTNGHVYTMGSPVYGQLGNSQADGKHPVRVEGKLTKNFVEEIACGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRSSPCLVEALKDKQVKSIVCGTSFTAAICLHKSVSSIDQSKCSGCRLLFNFKRKRHNCYNCGLVFCNSCSSKKSFKASMAPNPNKPYRVCDNCFAKLTKASETNSSTHYALSRRGSMNQGLNEGVEKTEKLDSRTHAQLSRNGSIESSKELEGGSSKRNKRLDFYSTRVSPFPNGVSQCAPQNSSKTSNALFGSSKKFFSASLPGSRIVSRATSPTSRRSSPPRATTPTPTLSGFASMKVVVDDAKRTNDGLSEEVIKLRTQVEELTLKAQLQEVELERTTKQLKEAIAVAAEETAKSKAAKEVIKSLTAQLKDMAERLPIGAARSSNSPSFCYSSSTPPREVSSAGNEQLGGPMSCHEIDSNGSNSLVISNGSGTSNQHLSIHTEVSHLDGTARNRNRTTKVEPTHGDEWVEQDEPGVYITLVALPGGVKDLKRVRFSRKRFSEKQAEQWWAANRARVYQRYNVPLVDKPSVGVGREGLAH >EOY19012 pep chromosome:Theobroma_cacao_20110822:10:8075912:8078832:1 gene:TCM_043611 transcript:EOY19012 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein MLEDNNKRMLETISQLASSTPTIFQAQPVHLNGDEDAIDGSTPLAVNTNVNGGNRENVVDVVSVENPNLNNSSVVVRPTITSIATISATTTQGFMTMEELQKLLDQKNKRFNFLEFDFKFPYPARCLGKSSSSLLKRRLISLIWEENIKSPENTSWNTFVIPRNLPWWLMKLIRCKMRELELFLGQHGLPPASLKEKGFGFSATIG >EOY17991 pep chromosome:Theobroma_cacao_20110822:10:1697953:1699767:1 gene:TCM_042669 transcript:EOY17991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQACMYQPGQDISSMHTREKEHDFPGKKKKKNEFSSALVSSLLPNPHIADTISNPLNSPRLRTNQAFKIPLGKNIFLAY >EOY18915 pep chromosome:Theobroma_cacao_20110822:10:6127522:6131482:-1 gene:TCM_043418 transcript:EOY18915 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MASGSIASKLAREIGSVVKKAASSNRGWYGPHMAVATCAIAQRLSLVDLIVEIRDARIPLSSEYELLRIVPPRPPSKRIVVMNKMDLTNPTQIKEWMRFFEQQKCISYGVNSHNKDSVKGLLNFIQAQVRELNKADHRFSDTITVMLVGIPNVGKSALANSWHQIGRITAAEKGKLKHALVSPQPGETKDISSLKIGSHPNIYLLDTPGILPPTIHDAERCSKLALTGAIGDSLIGLKDLAQYFLAIPNLSDQHTKWAKLSTNWGKVSVLEHKEEHSSSSKLEMRQRRQYLMDHTQDFMVHDVRRAVFDVISSFDGNLECEDNMVKLIEAQFVALSEAFHVQEEIDQNVQDKVAVKLLNLCRTGRLGHYTLDPVPVTHCDCL >EOY20214 pep chromosome:Theobroma_cacao_20110822:10:25273543:25274975:1 gene:TCM_045588 transcript:EOY20214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCVSKYRLRLKYYIFIYMLSKLESSGVGFGYRGGEVHLEPSFLLSSHLKNCAQKLEFHYFMQLKHPNGFGWFLSLFKLALHLHADALHLQTPHAMH >EOY19932 pep chromosome:Theobroma_cacao_20110822:10:23952552:23953424:-1 gene:TCM_045336 transcript:EOY19932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVAAPIFDADNVPTIGRLEIERAELHITKLAQLLALHDNCRDVIFQGANMAFQAILPVRHLTIEEKNGQNILVPRRGGLQEERPARGHARGRGGRDDGDGGIPIMDRDLGVDVYRDIGVGVGTGVDGDKDGARDGGGVRDEDETPRRKRERL >EOY17815 pep chromosome:Theobroma_cacao_20110822:10:978299:985675:1 gene:TCM_042531 transcript:EOY17815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein-related isoform 1 MRFSFELAESNEDDSRRSLTPKEGVQWVPLQNHPVFTSAVGSGATATASASVRAVKNLLAWDGASRLYYWDSNKRCLHRISIRLGEPEPTSIVAASPSKVLQADMELNFVVNKISINRNGSALLLAGSDDLCVMYLYGRTSSKDNSIICRTVSIGSQIYSNESSAIRILQVSWHPYSDIHVGILSSDSVFRLFDLSSGVMQPEQEYYLQPVEPGRSRNAASICPVDFSFGGDHLWDRFSVFVLFSDGSVYILCPVVPFGSVYKWESILEMYGDAHTFGLKSANSVAVNNSNLAISWLEATFPELAQQGTDGENPSTIKARSHALFDASLALQGPLHKVCRDGEDEALAVRGAECEGRAVSFLYNLVSKDSILVTAWSGGQLQIDALADEIQPVWITGSAPRLRVDSHDHVLGIAMICEPNPAELSIVKLDQPLDNSVWLGHLPPLLRLAIVDLALPRKIESSYLITMYVDPLMPERIYSLHEGGVDSIVLHFLPFTSQINGKDESIKTPSVHPVLCTCQGETSSPSPLYGFVSLSDSFGYSWVVVVTSTQECVVLEMKTWNLLLPIQVDKEKPISLEEQKEKDTPNIISKELLGGPKSVLAPQASPNLRSVSADSIEGRSALHQYFKLFHENYVEYAHKVYFELKHHGPQLKRIIDDQHARLNEAQQKILNVETKQSMLEERIDCAVRLQNSLEQRLQHLRSLPGAHKKPLSRAEREFKSELDQFTGVELDALQASINTLRGRLRRYTQSSKDNLANQRRKMPGRNHMQDAQISQLKSSLAKLSLVNSESSKKVKLVESALKGKESSINKTISS >EOY17814 pep chromosome:Theobroma_cacao_20110822:10:976681:984441:1 gene:TCM_042531 transcript:EOY17814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein-related isoform 1 MVTGFSSQISKTLPFSSPFVTFSSLNSVIAEKKLDALPLSPEKERKREMRFSFELAESNEDDSRRSLTPKEGVQWVPLQNHPVFTSAVGSGATATASASVRAVKNLLAWDGASRLYYWDSNKRCLHRISIRLGEPEPTSIVAASPSKVLQADMELNFVVNKISINRNGSALLLAGSDDLCVMYLYGRTSSKDNSIICRTVSIGSQIYSNESSAIRILQVSWHPYSDIHVGILSSDSVFRLFDLSSGVMQPEQEYYLQPVEPGRSRNAASICPVDFSFGGDHLWDRFSVFVLFSDGSVYILCPVVPFGSVYKWESILEMYGDAHTFGLKSANSVAVNNSNLAISWLEATFPELAQQGTDGENPSTIKARSHALFDASLALQGPLHKVCRDGEDEALAVRGAECEGRAVSFLYNLVSKDSILVTAWSGGQLQIDALADEIQPVWITGSAPRLRVDSHDHVLGIAMICEPNPAELSIVKLDQPLDNSVWLGHLPPLLRLAIVDLALPRKIESSYLITMYVDPLMPERIYSLHEGGVDSIVLHFLPFTSQINGKDESIKTPSVHPVLCTCQGETSSPSPLYGFVSLSDSFGYSWVVVVTSTQECVVLEMKTWNLLLPIQVDKEKPISLEEQKEKDTPNIISKELLGGPKSVLAPQASPNLRSVSADSIEGRSALHQYFKLFHENYVEYAHKVYFELKHHGPQLKRIIDDQHARLNEAQQKILNVETKQSMLEERIDCAVRLQNSLEQRLQHLRSLPGAHKKPLSRAEREFKSELDQFTGVELDALQASINTLRGRLRRYTQSSKDNLANQRRKMPGRNHMQDAQISQLKSSLAKLSLVNSESSKKVKLVESALKGKESSINKTISS >EOY17816 pep chromosome:Theobroma_cacao_20110822:10:978299:985675:1 gene:TCM_042531 transcript:EOY17816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein-related isoform 1 MRFSFELAESNEDDSRRSLTPKEGVQWVPLQNHPVFTSAVGSGATATASASVRAVKNLLAWDGASRLYYWDSNKRCLHRISIRLGEPEPTSIVAASPSKVLQADMELNFVVNKISINRNGSALLLAGSDDLCVMYLYGRTSSKDNSIICRTVSIGSQIYSNESSAIRILQVSWHPYSDIHVGILSSDSVFRLFDLSSGVMQPEQEYYLQPVEPGRSRNAASICPVDFSFGGDHLWDRFSVFVLFSDGSVYILCPVVPFGSVYKWESILEMYGDAHTFGLKSANSVAVNNSNLAISWLEATFPELAQQGTDGENPSTIKARSHALFDASLALQGPLHKVCRDGEDEALAVRGAECEGRAVSFLYNLVSKDSILVTAWSGGQLQIDALADEIQPVWITGSAPRLRVDSHDHVLGIAMICEPNPAELSIVKLDQPLDNSVWLGHLPPLLRLAIVDLALPRKIESSYLITMYVDPLMPERIYSLHEGGVDSIVLHFLPFTSQINGKDESIKTPSVHPVLCTCQGETSSPSPLYGFVSLSDSFGYSWVVVVTSTQECVVLEMKTWNLLLPIQVDKEKPISLEEQKEKDTPNIISKELLGGPKSVLAPQASPNLRSVSADSIEGRSALHQYFKLFHENYVEYAHKVYFELKHHGPQLKRIIDDQHARLNEAQQKILNVETKQSMLEERIDCAVRLQNSLEQRLQHLRSLPGAHKKPLSRAEREFKSELDQFTGVELDALQASINTLRGRLRRYTQSSKDNLANQRRKMPGRNHMQDAQISQLKSSLAKLSLVNSESSKKVKLVESALKGKESSINKTISS >EOY19570 pep chromosome:Theobroma_cacao_20110822:10:18909766:18910800:-1 gene:TCM_044714 transcript:EOY19570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTQPLLESSAMASVGGNKKKMKSDIICHHCGKIGHVKDNCYRLIRFSPDFKFTKGKGVLKNVISSVNNVSASGSSAEQEDIDSVMPHISLTKQQFQKLLTLINEGATDFGDKPSASTVPTAIKGINVQSVKPSIVNSSVAGIITRPYCFNSLHGIYGESSYTNIFKIDPYCWIVDSGATDHIACSLNLFITSIPVKNAFVQMPNNLRAVVTHWFSENITKSYPSSCLVCT >EOY19352 pep chromosome:Theobroma_cacao_20110822:10:16644341:16650936:1 gene:TCM_044427 transcript:EOY19352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein isoform 1 MGRGLLKLVVGVLWLGMVVGAEYVKYKDPNQPVAARIKDLMSKMTLAEKIGQMVQIDRTVATEQILRDYSIGSVLSGGGSAPLPQASAEDWVNMINAYQNGSLASRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRDPALVKKIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVEEMTDIIFGLQGDIPSGSRKGVPYVGGKDKVAACAKHFVGDGGTTRGINENNTVIDVHGLLSMYMPAYSYSVIKGVSTIMVSYSSWNGEKMHANHELITGFLKNTLKFKGFVISDWQGIDRITSPPHLNYTYSVQAAIQAGIDMVMVPFNHTEFVDDLTYLVNSKVIPMDRIDDAVERILLVKFTMGLFENPFADFSLVHELGSQAHRDLAREAVRKSLVLLKNGKGGTTPLLPLSKKASKILVAGTHADNLGYQCGGWTINWQGFSGNNYTRGTTILGAINSTVDPSTEIVYRENPDADFVKSNNFDYAIVAVGEPPYAETAGDSTTLTMMDPGPSVITNVCGAVKCVVVIISGRPIVIEPYVSTIDALVAAWLPGTEGQGVIDALYGDYGFSGKLPRTWFKTVDQLPMNVEDSHYDPLFPLGFGLKTDSVASIVSRSTSAAALEGPCLFILIITISLSFYFTAGKIPIY >EOY19354 pep chromosome:Theobroma_cacao_20110822:10:16646286:16650666:1 gene:TCM_044427 transcript:EOY19354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein isoform 1 MNTTIYTAYIWNGITNDASILYSVSIILFVRLLNHLCFLYLFSPFTLFLNSARDKVAACAKHFVGDGGTTRGINENNTVIDVHGLLSMYMPAYSYSVIKGVSTIMVSYSSWNGEKMHANHELITGFLKNTLKFKGFVISDWQGIDRITSPPHLNYTYSVQAAIQAGIDMVMVPFNHTEFVDDLTYLVNSKVIPMDRIDDAVERILLVKFTMGLFENPFADFSLVHELGSQAHRDLAREAVRKSLVLLKNGKGGTTPLLPLSKKASKILVAGTHADNLGYQCGGWTINWQGFSGNNYTRGTTILGAINSTVDPSTEIVYRENPDADFVKSNNFDYAIVAVGEPPYAETAGDSTTLTMMDPGPSVITNVCGAVKCVVVIISGRPIVIEPYVSTIDALVAAWLPGTEGQGVIDALYGDYGFSGKLPRTWFKTVDQLPMNVEDSHYDPLFPLGFGLKTDSVASIVSRSTSAAALEGPCLFILIITISLSFYFTGKIPIY >EOY19353 pep chromosome:Theobroma_cacao_20110822:10:16644522:16650666:1 gene:TCM_044427 transcript:EOY19353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein isoform 1 MGRGLLKLVVGVLWLGMVVGAEYVKYKDPNQPVAARIKDLMSKMTLAEKIGQMVQIDRTVATEQILRDYSIGSVLSGGGSAPLPQASAEDWVNMINAYQNGSLASRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRDPALVKKIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVEEMTDIIFGLQGDIPSGSRKGVPYVGGKDKVAACAKHFVGDGGTTRGINENNTVIDVHGLLSMYMPAYSYSVIKGVSTIMVSYSSWNGEKMHANHELITGFLKNTLKFKGFVISDWQGIDRITSPPHLNYTYSVQAAIQAGIDMVMVPFNHTEFVDDLTYLVNSKVIPMDRIDDAVERILLVKFTMGLFENPFADFSLVHELGSQAHRDLAREAVRKSLVLLKNGKGGTTPLLPLSKKASKILVAGTHADNLGYQCGGWTINWQGFSGNNYTRGTTILGAINSTVDPSTEIVYRENPDADFVKSNNFDYAIVAVGEPPYAETAGDSTTLTMMDPGPSVITNVCGAVKCVVVIISGRPIVIEPYVSTIDALVAAWLPGTEGQGVIDALYGDYGFSGKLPRTWFKTVDQLPMNVEDSHYDPLFPLGFGLKTDSVASIVSRSTSAAALEGPCLFILIITISLSFYFTGKIPIY >EOY17846 pep chromosome:Theobroma_cacao_20110822:10:1114895:1119050:-1 gene:TCM_042556 transcript:EOY17846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MVNLLVSFVIILSLLVAGSLKFAFALGNETDRIALLSIKDQLVGAGALDSWNASLHFCEWQGVTCGRHHQRVTALDLDGLKLAGSLSPSIGNLTFLRKLNLSDNSLRGNIPKEVGYLRRLRVLHLFQNNLHGKIPVELANCSKLQAIALFYNDLTGEVPFQLGDLPNVIMLSLGANNLVGGIPSSLGNLSSLWKLSLAHNHLEGNIPDALGRALNLRILFLGVNNLAGSFPLLVHNLSSLEKIDLAVNNFSGSLAAMTGISFPNLREFLVGFNQLIGTIPRSISNMSNLEALDIIDNSFSGSVPQDLGNLKNLKWFNIGDNNFGNGKTRDLDFLSSLSNCSLLQFLNIQNNRFVGLLPQSIGNLSIQLSMLFMGWNSIYGNIPDEIGNLVGLTLLDMRSTALAGTLPTSIGKLQNLGRLFIGWNNFLGEIPSFIGNLSHLFDFLLNNNNFEGRIPVALRNCKNMQFLDIAKNKLSGSIPDQLFNAFTNLVLVNMSYNSLTGPLPSDFGNLKNLVELYVYENKLSGEIPKTLGECSELTILDMAGNFFQGSIPSSFGSLRSLELLNLSHNNLSGTIPHELEKLPFLISLNLSFNHFKGEVPKRGVFNQSSAFSIVGNKNLCGGILEIKLPKCISQEPRKKGNALSTKAIIILILGILIASILVVLLFICCWGQRSGKKLILAGLLGDRYLRVSYKELLQATGGFASSNLIGMGSFGSVYKGVLHQQEKPVAVKVLNLQNRGAAKSFTAECKALRKVRHRNLLKIITSCSSIDYQGNDFKALVFEFIPNGSLDSWLHEQHESRYLNFVQRLDIAIDVANATDYLHHNCEVVIVHCDLKPSNVLLDDDMVAHVTDFGLSKLLSSDTDTMGNDQTGSSMMKGTIGYVPPEYGTGGTVSPEGDIYSYGILLLEMITRRRPTDGMFHGGLSLHNFCNMALPDRLKEILDFHLLAQLSENNERLRSQPNREREMLESLVSFTKIGVACSAEAPGERMGIKDAITQLLAIKARLLRTGIH >EOY18085 pep chromosome:Theobroma_cacao_20110822:10:2022087:2026701:-1 gene:TCM_042733 transcript:EOY18085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,3-N-acetylglucosaminyltransferase lunatic fringe, putative isoform 1 MSPRQDLLKTTAFFIYPEKPRDMLVLITRIALLICLFISIALVLYVSFSNRPYPFIRFTSLNRKMGSETPDNSPTNISHLLFGIGGSAKTWKERRAFSSLWWDVESTRGFFWLDEKPDETGTVNDFDAEAGVSLPYRISGPEWTRFKYSSSRYAVRIARIVYDSFNLKLPNVRWFVMGDDDTVFFTHNLVSVLARYDHRQMWYIGGNSESVEQNVMHAYDMAFGGGGFAVSYPLAEKLVKALDGCLERYYYFYGSDQRIWACISEIGVPLTREPGFHQFDIRGDPYGLLAAHPMAPLVSFHHLDGLVPMFPNKTRIDSLKTLMKGYRVDHGRILQQSFCYDSKRKWSIVIAWGYTIQIYPRVVTASDLHMPLQTFKTWRSWSNGPFTFNTRPMPADPCEWPIIYFLDQVEVGMSGTRTRYKIAKSGKTCNRTDYGLAMAVNSITVSSMKMAPDYWQKAPHRQCCEIMDRGSIKSGSMRIRIRNCRQSETTTI >EOY18084 pep chromosome:Theobroma_cacao_20110822:10:2022047:2026488:-1 gene:TCM_042733 transcript:EOY18084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,3-N-acetylglucosaminyltransferase lunatic fringe, putative isoform 1 MSPRQDLLKTTAFFIYPEKPRDMLVLITRIALLICLFISIALVLYVSFSNRPYPFIRFTSLNRKMGSETPDNSPTNISHLLFGIGGSAKTWKERRAFSSLWWDVESTRGFFWLDEKPDETGTVNDFDAEAGVSLPYRISGPEWTRFKYSSSRYAVRIARIVYDSFNLKLPNVRWFVMGDDDTVFFTHNLVSVLARYDHRQMWYIGGNSESVEQNVMHAYDMAFGGGGFAVSYPLAEKLVKALDGCLERYYYFYGSDQRIWACISEIGVPLTREPGFHQFDIRGDPYGLLAAHPMAPLVSFHHLDGLVPMFPNKTRIDSLKTLMKGYRVDHGRILQQSFCYDSKRKWSIVIAWGYTIQIYPRVVTASDLHMPLQTFKTWRSWSNGPFTFNTRPMPADPCEWPIIYFLDQVEVGMSGTRTRYKIAKSGKTCNRTDYGLAMAVNSITVSSMKMAPDYWQKAPHRQCCEIMDRGSIKSGSMRIRIRNCRQSETTTI >EOY19423 pep chromosome:Theobroma_cacao_20110822:10:17356146:17363191:-1 gene:TCM_044513 transcript:EOY19423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKHAFNGTPLNLGRFMVKRMRRACIKDKINLPYGNIITSLVQKKGIWCSKYETDKQYKKDIAQEPVKKRRPATQKPYSRSIVGATLEVIQKRSEKPKVRDAAREATLPRKGSRKLRMKRRLRKQK >EOY17788 pep chromosome:Theobroma_cacao_20110822:10:872624:875251:1 gene:TCM_042507 transcript:EOY17788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPAGSPPLSNSSSSDNYMFAICVFLVTVFLALVFLVGHDACGKLHAISSVSNFLNQLLGRGGHHNQERFRCLTSSNSSTASNPKHAIFGFLIAVLLALLPLKFGSMNPPVAPFETYSAILSTFLIITVLYAVAWAIETKLGTNNNSYRLLISNISFLLGGLATVLLVLILVPAVGYFALVIWTLFLVKFIYEACQKLHQLYGAISLASNLWNELRGRSRGGHGGDYQNEGTIILPV >EOY19071 pep chromosome:Theobroma_cacao_20110822:10:9461337:9465544:-1 gene:TCM_043742 transcript:EOY19071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MNSNYGSTKNQKWELTKWVLHGPMVQHQTLPHGPTSFCRPMLSFLTLRKPLPLSLSFPILILHIRSLFHQQGWLQPSITMQQCVDDLADDLDNLSFTSTTTTSTTATTSTTVPETKRSTSSGSEATWTPSSLLSTSTKHHHAPPRDPCWHAIQQVKSENNVLTLADLRFVHRLGSGDIGSVYLVELKGASGCFFAAKVMDKKELVSRNKESRATIEREILESLDHPFLPTLYATLDCPRWSCLLTEFCPGGDLHVLRQRQPDRRFHETAVRFYASEVVVALEYLHMMGIVYRDLKPENVLVRSDGHIMLTDFDLSLKSDNPTSTAQLVSDQNTSATNSSSDPAADPPPFANSSCILPNCIVPAVSCLHPKSRKRRKKSMHRGDLEIVAEPVDVRSMSFVGTHEYLAPEIVSGEGHGNAVDWWTLGIFMFEMFYGFTPFKGIDHELTLANIVARALEFPKEPSVPAPAKDLIAQLLVKDPSRRMGSTMGSTAIKHHHFFNGVNWALLRCTTPPYTPRPVTHRDFLAVDDSTHNSVEYY >EOY17810 pep chromosome:Theobroma_cacao_20110822:10:959728:962459:-1 gene:TCM_042527 transcript:EOY17810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFIPRGRRAKRRRFRGASRSWKVQAKRCRFEQFHRYQTVRFEICLVGFKRQTGCSGLGLLRATELGQSQPNKVFDLSLDEYELDLADWVRQCISEGTIYNVIDPYLKGRIAPECFKTFVDVAYCCISEKGDKRPEMGEVELMLEFALEMQEKADSEMVDVDPHGQCMYGEVSFCIPVSDHGL >EOY17591 pep chromosome:Theobroma_cacao_20110822:10:305268:309775:1 gene:TCM_042385 transcript:EOY17591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein MAAQSFNVLCALSLVIIYFLPICLAFGGDLKQQGDPPSIVCDPHEWEARNVNMSDIGYCDTSLPYEVRAKDLVDRMNLTEKVHWMGDNVSKPIPRFRLPKYQWWSEALHGVAHVGRGTFFTELVPGATSFPTVIHTTASFNKSLWNAIGKVVSTEARAMYNLGQGGLTYWSPNINPVRDPRWGRITETSGEDPFVVGVYGVNYVRGLQDIEGQEHTADPGSRPLKVSACCKHFAAYDLDNWKKVNRQTFDAQVTEQDMVETFLRPFEMCVRDGDVSSVMCSFNKVNKVPTCADPFLLKKTFREEWKLNGYIVADCDSIEVMHNDNKMNWLGLDLDCGDTYPKSLEKSVKQGKVNEADVDKSLKYLFVVLMRLGFFDGSPSFKSLGKKDICTQEHVELAGQAAREGIVLLNNVDATLPLNPEAFKTLAIIGPLANATKQMLGNYEGNPCRYVSPLSGFSAFGQVIYAEGCPGLNCPNDTKITEATEAAKQADATILVVGTDLSIEREDHDRNDLLLPGLQQDLVEQVANASKGPVILVVMTAGGVDISFAKDDNKIKGILWVGHPGQEGGRAIADVVFGKYNPGGRLPVTWYTADYVDKLPMTSMQLRPVEEKGYPGRTYKFFNGSTVYPFAYGLSYTSFNYKLTTPTNVSIPIKLNNTQHCHELELTDTSVQQPCPSVVVNDLTCEDKIALEVEVQNTGDKDGSEVVIVYSKPPDGIVGTPFQQVVEFERVFVAAKQSQKVNFELNVCKSLNIVDSSGYKVLPSGLHKIMLGTSSEQIDVNVSFAS >EOY19843 pep chromosome:Theobroma_cacao_20110822:10:23152858:23156864:-1 gene:TCM_045215 transcript:EOY19843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MLPRRGCPPLTRSAKRGRGRPRQNRPDLMEEESAASTIRATPTAEQIESPPHPPLPTGIPTMPLEVAQALAAFFIATASQAQISQVPHIVPPATPTVPPMPNISISKKLKEARQLGCISFMGELDPTIAKDWINQVSKTLSNMRLEDDMKLMVATQLLEKRARTWWNSVKSRTTTPLT >EOY18335 pep chromosome:Theobroma_cacao_20110822:10:3072892:3076575:1 gene:TCM_042938 transcript:EOY18335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MDPRLREAAQTGDFNYFYSLIEENASVLNDIDTESFAETPLHVAASAGQIAFAVEMMNLKPSFARTLNQAGFSPIHLALQNKKTLFVHRLLEMDKDLVRVKGREGKTPFHYAAELGEPEELLNDFLEACPECIEDVTVHGETALHIALKCNKLKEFKSLVRWIRGSCHKDAKLWEERILNWKDEQGNTVLHIAALENQPEAVRLLVKCRDVVDVNAKNSENLTALEYISKCDENDESTENQRPVPNRSEITKILRAATPRMHPFMAILDFDEELMLPYVKRVITHIARRRENISNGMRNALLVVITLVITATYQSSLSPPGGVWQGDNSNTSSKSNYASINTSNSSTLAGEPHGPGTTVMQKNTFVVFWTYNTLTLLTTLGLTAFLLPGGSIALLLLVPLSLLCSSYMLSMSILSPTPFWSKVNTILSIVFIMLLLLPYPRLFNERRRAQMKSELSPRAVLKSKKFYIKIFFFLVAALCFTVIMLQNWLHS >EOY18318 pep chromosome:Theobroma_cacao_20110822:10:2940156:2943717:1 gene:TCM_042913 transcript:EOY18318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MRKPLIIFIFIYLTMKRLKSAARAGNIDELYTLIRRDAYILERVDQMPFADTPLHIASAAGHIDFAMEIMNLKPSFARKLNQDGFSPIHLALQQGQTEMVLRLLAIDKDLVRVKGREGKTPLHYVASEGNLSLLAQFLLRCPKCIQDVTIRNETALHIAAENNNLKALRVLLLSLKRTNLYGKSSEKKLLNFRDKDGNTVLHIAASTNQPQMVKLLIECKVSINKTNSRGLTALDILESMSNVDNRDSSEILRDFGGLNASATRRRPSLHVMLGSKITLLENAFGEVFHDIITMSADRSNALLVVLVLILTATYQAALAPPARFSGADIGSDSANNTSTDSTVGKSVMGSTAFLLFYIPNTIAFIIAMILILGLLAIVASGITTLLLFTGSAESHRPNKKTWETFDSEGDTTTLIVEIYIPGKYLVEGLEIQASMRWIKWITSENSLSLFVS >EOY17926 pep chromosome:Theobroma_cacao_20110822:10:1450542:1455185:1 gene:TCM_042618 transcript:EOY17926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPP8 MAQSIVSLTIERIADLLIHEALFLNDVKEEVESLKGELERMKSFLKDVDRKQEQDERLRTRVREIRDLAYDAEDVIDSYILKVANRGGFCTIIKRFTTLSSTHKIGNEVNTILTKLENISKTLLVFGISREGEGSNSAAEMQHRLRRSYPHIEEDDVVSLEVSTRDVMDQLMKKEDRLHVVSIVGIGGIGKTTLAKKVYNHNDVKKHFDCCAWVFISQQCKPREVLHGVLIKILSPSIKDRELIDKLKEDELVEKLYDVLKDKRYLVVFDDIWRYEDWDSLKPAFPKGNEGSKLLFTTRNKEVAMLADPRSSPIELSLLTGDESWKLFKRKAFPENKMESHACSKEFETLGKEMLKKCGGLPLAIVVLGGLLATKKSWNEWEMVQKNINAHLNKVQQQEYGGVNGILALSYNELPFHLKPCFLYLGHYPEDSEISKKELIRLWIAEGFISPSLEGGEMLMEDVAEQYLEELINRCLVQVSRRGHRGTSVKTCRIHDLLRDLCVSKAQEENFFQIIQPPMNGNENRSLDLTVATVPKVRRIAVYLNERYVSLKGKCLSLRSLLLFQDEGLIRLHISKCINFRFLRVLKLLRKNDFWTLSSEIGNLCHLRYLGIQCQGVDLPRSIGKLKNLHTLFIQVSGGSVKIPSVLSKLQRLRHLVLTGHWRNWPVKWPEIKRCSQGNSLKNIETLKYIRIENLTENNALLKLTNIQSLGIQFGRSEDVEAILKSPSFGLRRLRSLRMLLDGSIPFPELEQLTQCHHLSKLFLRGQIQEDPNSSHHVLEFLPTNICKLTLWQSLINEDPMPVLEKLPHLRILILKTSSYTGTKMSCSVNGFPQLDSLHIYGSNLAEWQIEEGAMPRLRSLYLVVVPGLKMVPEGLRYITTLQEMYLHESIILAQSSCGRHSIVQLSCDLGINLLQDSATVIV >EOY18544 pep chromosome:Theobroma_cacao_20110822:10:3780496:3781863:1 gene:TCM_043077 transcript:EOY18544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S12/S23 family protein isoform 2 MGKTRGMGAGRKLRTHRRRQRWADKAYKKSNLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENVSFDCRIWTKRACCGGYSRS >EOY18543 pep chromosome:Theobroma_cacao_20110822:10:3780543:3782041:1 gene:TCM_043077 transcript:EOY18543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S12/S23 family protein isoform 2 MGKTRGMGAGRKLRTHRRRQRWADKAYKKSNLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >EOY18186 pep chromosome:Theobroma_cacao_20110822:10:2321308:2324123:-1 gene:TCM_042801 transcript:EOY18186 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MGKKRRIKKSNQLKQGHDPDGNIPRNTASNLDPNDFISRLPDNILYHIISSLPFQSAVRTTFLSTQWKDLWKEALLTSVRDVIMEDAVTAISSFVDDFEQDRPRNEGGVKFELGHGRVFLAAIPPNNSLQLDFSAGKQEFPRPFDWLLKLNLAPRCHWPSRWYGLGWMLEEPSCNTKKLKSLYLISVSYPSKEAVSSMVSSFPFLESLTIAKCNGLRSLQIKDARELLKLVVLDCPQLESVRFEGSNLTSFQYRGRLVLFESELSSNDDRPIFPNWSLSPFKFQLNDAMLDFRQGPPIYNGINGDCFRLILESIKNVNSLTLCRWVFEALISLVLSSLSRDSEFRLYGLTELWWIDYSMDRDNFNSLLIFLKLCPCLERLYLTIDPESYNMGSTKQRSSKVNGLRKLNHLKLLKLEGFANGNKEILFAKRLRPFFRMKPVILAKSKGTYRDLFAPSG >EOY18486 pep chromosome:Theobroma_cacao_20110822:10:3585041:3588347:-1 gene:TCM_043040 transcript:EOY18486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine kinase MAVLSRCSRAATAATTRTQTATATFSCILRALSPSFCSSSALNSETDLSNKKPAVLGTLKREPTGRNVQWVFLGCPGVGKGTYASRLSNLLGVPHIATGDLVRDELASSGPLSSQLKEIVNQGKLVSDEIIIELLSKCLEAGEAKGESGFILDGFPRTIRQAEILEGVTDIELVVNLKLREEALLAKCLGRRICSECGGNYNVACIDIKAENGRPGMYMAPLPPPPQCATKLITRADDTEEVVKERLRIYHEMTQPVEEFYRSRGKLLEFDLPGGIPESWPKLLRALNLEYHEDKQSAAA >EOY18724 pep chromosome:Theobroma_cacao_20110822:10:4652840:4659336:-1 gene:TCM_043217 transcript:EOY18724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio 4 isoform 3 MLPTIDNGLERHGGNLEDSFTELELILQAHRNQQFVGRERDLNIYRSGSAPPTVEGSLSAVGSLFANPDFGDINGITAVAGSSSSSNNGMLSEDEIRSHPAYLSYYYSHENINPRLPPPLLSKEDWRVAQRFQASGSSLGNIGDWRKKKLVDGGDSSSLFSMQPGLSVQQEQNDLMELRNTNARNTSRKMSAEWLDRGSDGLVGLSGTGLGARRKSFADILQDGLDRPATLSGHLSQPSSRNAFSDMLDAASIADPSPPGFHNAAESIESLPAGVARPGVVGVQSHGKTTSHSFASAVGSSLSRSTTPEPYLVGRSSGSGLPPVGSKVGHAEKKNIIGSNVQNGHSSAVTELSEIGATLSGLTLSKTRHADENSHMRSQLQVDLDNQLDFSFNMPNGHNQSLQQQFIDKSSAEKLAFPTNHIDLARKKGIAPNINAYNISSNGQVSIPKRTSSSADLYAKVHPSGLGSLEVCDVGHPNVNLANTDFIGQLPSAYSVNQKLNSAIKNHLNAGSPLTGTGDRQSLNRAGNQGADLLSPLMDPRYIQYLQRTSQYGARAAASPDSLLSGNYVGTLHGDLDGLQKAYLEAILAQQKQQYELPLLGKAAALNHGYYGNPSYGLGMPFAGNSMANSVLPSIGSGSIQNDRTARFNSMMRTSTGAWPSDIGNNVDGRFISSLLDEFKNNKTRCFELLDIIDHVVEFSTDQYGSRFIQQKLETATEEEKTKIFPEIIPHARALMTDVFGNYVIQKVYTSLLISISQSSCLYNYNC >EOY18722 pep chromosome:Theobroma_cacao_20110822:10:4651386:4659580:-1 gene:TCM_043217 transcript:EOY18722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio 4 isoform 3 MLPTIDNGLERHGGNLEDSFTELELILQAHRNQQFVGRERDLNIYRSGSAPPTVEGSLSAVGSLFANPDFGDINGITAVAGSSSSSNNGMLSEDEIRSHPAYLSYYYSHENINPRLPPPLLSKEDWRVAQRFQASGSSLGNIGDWRKKKLVDGGDSSSLFSMQPGLSVQQEQNDLMELRNTNARNTSRKMSAEWLDRGSDGLVGLSGTGLGARRKSFADILQDGLDRPATLSGHLSQPSSRNAFSDMLDAASIADPSPPGFHNAAESIESLPAGVARPGVVGVQSHGKTTSHSFASAVGSSLSRSTTPEPYLVGRSSGSGLPPVGSKVGHAEKKNIIGSNVQNGHSSAVTELSEIGATLSGLTLSKTRHADENSHMRSQLQVDLDNQLDFSFNMPNGHNQSLQQQFIDKSSAEKLAFPTNHIDLARKKGIAPNINAYNISSNGQVSIPKRTSSSADLYAKVHPSGLGSLEVCDVGHPNVNLANTDFIGQLPSAYSVNQKLNSAIKNHLNAGSPLTGTGDRQSLNRAGNQGADLLSPLMDPRYIQYLQRTSQYGARAAASPDSLLSGNYVGTLHGDLDGLQKAYLEAILAQQKQQYELPLLGKAAALNHGYYGNPSYGLGMPFAGNSMANSVLPSIGSGSIQNDRTARFNSMMRTSTGAWPSDIGNNVDGRFISSLLDEFKNNKTRCFELLDIIDHVVEFSTDQYGSRFIQQKLETATEEEKTKIFPEIIPHARALMTDVFGNYVIQKFFEHGTESQRAELASQLTGHVLPLSLQMYGCRVIQKALEVVGVDQQTGMVAELDGSIMKCVRDQNGNHVIQKCIECVPQDRIQFIISAFHGQVVALSTHPYGCRVIQRVLEHCDDVKTQQIIMDEIMLSVCTLAQDQYGNYVIQHVLEHGKPHERSAIISKLAGQIVKMSQQKFASNVVEKCLTFGGPEERQILVNEMLGSTDENEPLQAMMKDQFGNYVVQKVLETCDDRSLELILSRIKVHLNALKRYTYGKHIVSRVEKLIATGERRIGLLSSLAA >EOY18723 pep chromosome:Theobroma_cacao_20110822:10:4651433:4659239:-1 gene:TCM_043217 transcript:EOY18723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio 4 isoform 3 MLPTIDNGLERHGGNLEDSFTELELILQAHRNQQFVGRERDLNIYRSGSAPPTVEGSLSAVGSLFANPDFGDINGITAVAGSSSSSNNGMLSEDEIRSHPAYLSYYYSHENINPRLPPPLLSKEDWRVAQRFQASGSSLGNIGDWRKKKLVDGGDSSSLFSMQPGLSVQQEQNDLMELRNTNARNTSRKMSAEWLDRGSDGLVGLSGTGLGARRKSFADILQDGLDRPATLSGHLSQPSSRNAFSDMLDAASIADPSPPGFHNAAESIESLPAGVARPGVVGVQSHGKTTSHSFASAVGSSLSRSTTPEPYLVGRSSGSGLPPVGSKVGHAEKKNIIGSNVQNGHSSAVTELSEIGATLSGLTLSKTRHADENSHMRSQLQVDLDNQLDFSFNMPNGHNQSLQQQFIDKSSAEKLAFPTNHIDLARKKGIAPNINAYNISSNGQVSIPKRTSSSADLYAKVHPSGLGSLEVCDVGHPNVNLANTDFIGQLPSAYSVNQKLNSAIKNHLNAGSPLTGTGDRQSLNRAGNQGADLLSPLMDPRYIQYLQRTSQYGARAAASPDSLLSGNYVGTLHGDLDGLQKAYLEAILAQQKQQYELPLLGKAAALNHGYYGNPSYGLGMPFAGNSMANSVLPSIGSGSIQNDRTARFNSMMRTSTGAWPSDIGNNVDGRFISSLLDEFKNNKTRCFELLDIIDHVVEFSTDQYGSRFIQQKLETATEEEKTKIFPEIIPHARALMTDVFGNYVIQKFFEHGTESQRAELASQLTGHVLPLSLQMYGCRVIQKALEVVGVDQQTGMVAELDGSIMKCVRDQNGNHVIQKCIECVPQDRIQFIISAFHGQVVALSTHPYGCRVIQRVLEHCDDVKTQQIIMDEIMLSVCTLAQDQYGNYVIQQACRTNREDESAEIRF >EOY18624 pep chromosome:Theobroma_cacao_20110822:10:4059120:4064001:-1 gene:TCM_043124 transcript:EOY18624 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase MNFCISSLNCFSFSSSSSSKSFSNHNEQDSQTLRNLHAFLYDELKIATDGFRSSNKIGEGGFGSVYKGRLKDGRTVAVKVLSAESKQGDREFMSEIVSLSNISHANLVKLHGGCIDGPSRILVYEYMENNSLAQVLLGGEKNRANLSWKSRKEIALGIAQALAYIHEEVKPHIVHRDIKLSNILLDQNFTPKVSDFGLSRLFSENVTHLSTGVAGTFGYLAPEYAVSGHLTRKSDVYSFGVLLLEIVSGRTAIDFDVELGEFFLVEKAWEMCKSNELLQLVDPVLKGSISSEQEVVHFLKVGLLCVQEKCGLRPYMSKAIKMMCDGIDMDDLQISKPGLITNIMDVKIGNRRSSSPSYTRMLSPRLHTR >EOY19746 pep chromosome:Theobroma_cacao_20110822:10:22038027:22040380:1 gene:TCM_045052 transcript:EOY19746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat protein MDDRLIKASQVGDIDALYELIWEDDNVLKRIDEKMFVDSPLHIAASFGQTRFAMEMMNFIPSFSKNLNKSGFSPMHLALINGHFELVSLFLHADAGLVRVKGRGGLTPLHYAIKNGNLNFVAKFLLACPESIEDVTVRGETVLYIAIKSDMLEALEVLVRWFQRICHKDALDWLEFIPNWKDEEGNTALDIAVSNSQIQACLILLPFFFLIS >EOY17965 pep chromosome:Theobroma_cacao_20110822:10:1598872:1601372:-1 gene:TCM_042650 transcript:EOY17965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MENKSQVCGSSSSSSYSSSSSLSSSSSSVSFDHLFGPKDSSSSSSTSGIFGTIFPPPSTVLGRDSSHSGMMGSWNNQGLPHHGKYGNPDHSTDSKGRSSCTTHKDKSSSIYQNETVEPCYFSSSIYYGGQENYSPRKNTNEPQHYFKKDGEDDDPNGNNSSGASRGNWWQGSLYY >EOY17966 pep chromosome:Theobroma_cacao_20110822:10:1598600:1601262:-1 gene:TCM_042650 transcript:EOY17966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MENKSQVCGSSSSSSYSSSSSLSSSSSSVSFDHLFGPKDSSSSSSTSGIFGTIFPPPSTVLGRDSSHSGMMGSWNNQGLPHHGKYGNPDHSTDSKGRSSCTTHKDKSSSIYQNETVEPCYFSSSIYYGGQENYSPRKNTNEPQHYFKKDGEDDDPNGNNSSGASRGNWWQGSLYY >EOY20184 pep chromosome:Theobroma_cacao_20110822:10:25170943:25172292:1 gene:TCM_045560 transcript:EOY20184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDAYCYAYFVDEILNFCLSMFDFSYFELPLYFHLNFAKCACIVNLSLEVTVVYEFLND >EOY18042 pep chromosome:Theobroma_cacao_20110822:10:1864291:1866331:1 gene:TCM_042704 transcript:EOY18042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomeric DNA binding protein 1, putative isoform 1 MVFKKRLDYGFNAFNVPKIPRAPRSTRRRGQSKRTVDDSQICAFELLASLAGKLLQESESSASSNASEGHDHVSIGKDVVKQEIQYDDKPLKTECFEQGSCEASVVASVSTTENSDNLKEFTHAENDAILERTLIKTQPAFLEQIGGDSKSVICKGNVAYGNFPCNANVDGYSPDIGELCDGKSENGFKQEQDARGLETGALHIANTCPSKDPVELSMTFPPPINSDRDVKLPSRRDPVPNASFSRHRNDIKLGNRDDDENFSRFNKLSNRFKASRPPTRIGDRRIRKLLTSKYWKVAPKLNDFENSRAGIKPLYRKRKTCYNYDRCQYDTLYKRRKFSDRSSIVTSDGGNSSESVSNSPGKVMNGDKSSSAALSHGGCGVSSFLTGHQASHPPKDSHVKFSIKSFRIPELYVEVPETATVGSLKRTVMEAVTALLGGGIRVGVLLQGKKVRDDSRTLSQTGISCEDNLDAIGFTLEPGPAKAPPLVCSEEPPLLLSCDAAPQNLIR >EOY18038 pep chromosome:Theobroma_cacao_20110822:10:1863352:1867699:1 gene:TCM_042704 transcript:EOY18038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomeric DNA binding protein 1, putative isoform 1 MVFKKRLDYGFNAFNVPKIPRAPRSTRRRGQSKRTVDDSQICAFELLASLAGKLLQESESSASSNASEGHDHVSIGKDVVKQEIQYDDKPLKTECFEQGSCEASVVASVSTTENSDNLKEFTHAENDAILERTLIKTQPAFLEQIGGDSKSVICKGNVAYGNFPCNANVDGYSPDIGELCDGKSENGFKQEQDARGLETGALHIANTCPSKDPVELSMTFPPPINSDRDVKLPSRRDPVPNASFSRHRNDIKLGNRDDDENFSRFNKLSNRFKASRPPTRIGDRRIRKLLTSKYWKVAPKLNDFENSRAGIKPLYRKRKTCYNYDRCQYDTLYKRRKFSDRSSIVTSDGGNSSESVSNSPGKVMNGDKSSSAALSHGGCGVSSFLTGHQASHPPKDSHVKFSIKSFRIPELYVEVPETATVGSLKRTVMEAVTALLGGGIRVGVLLQGKKVRDDSRTLSQTGISCEDNLDAIGFTLEPGPAKAPPLVCSEEPPLLLSCDAAPQNLISSPATPAVDTGILDASPDPPSLTNSANHVDSNNEPVSSQTDMLTDQSLPQSRALVPVPAMNVEALAVVPVNQKSRKSELAHRRTRRPFSVSEVEALVQAVEELGTGRWRDVKLRAFENAEHRTYVDLKVKYIFSYSCVMKGTWVMKGYHEG >EOY18040 pep chromosome:Theobroma_cacao_20110822:10:1864291:1866434:1 gene:TCM_042704 transcript:EOY18040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomeric DNA binding protein 1, putative isoform 1 MVFKKRLDYGFNAFNVPKIPRAPRSTRRRGQSKRTVDDSQICAFELLASLAGKLLQESESSASSNASEGHDHVSIGKDVVKQEIQYDDKPLKTECFEQGSCEASVVASVSTTENSDNLKEFTHAENDAILERTLIKTQPAFLEQIGGDSKSVICKGNVAYGNFPCNANVDGYSPDIGELCDGKSENGFKQEQDARGLETGALHIANTCPSKDPVELSMTFPPPINSDRDVKLPSRRDPVPNASFSRHRNDIKLGNRDDDENFSRFNKLSNRFKASRPPTRIGDRRIRKLLTSKYWKVAPKLNDFENSRAGIKPLYRKRKTCYNYDRCQYDTLYKRRKFSDRSSIVTSDGGNSSESVSNSPGKVMNGDKSSSAALSHGGCGVSSFLTGHQASHPPKDSHVKFSIKSFRIPELYVEVPETATVGSLKRTVMEAVTALLGGGIRVGVLLQGKKVRDDSRTLSQTGISCEDNLDAIGFTLEPGPAKAPPLVCSEEPPLLLSCDAAPQNLISYLYLLIN >EOY18041 pep chromosome:Theobroma_cacao_20110822:10:1863149:1867562:1 gene:TCM_042704 transcript:EOY18041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomeric DNA binding protein 1, putative isoform 1 MVFKKRLDYGFNAFNVPKIPRAPRSTRRRGQSKRTVDDSQICAFELLASLAGKLLQESESSASSNASEGHDHVSIGKDVVKQEIQYDDKPLKTECFEQGSCEASVVASVSTTENSDNLKEFTHAENDAILERTLIKTQPAFLEQIGGDSKSVICKGNVAYGNFPCNANVDGYSPDIGELCDGKSENGFKQEQDARGLETGALHIANTCPSKDPVELSMTFPPPINSDRDVKLPSRRDPVPNASFSRHRNDIKLGNRDDDENFSRFNKLSNRFKASRPPTRIGDRRIRKLLTSKYWKVAPKLNDFENSRAGIKPLYRKRKTCYNYDRCQYDTLYKRRKFSDRSSIVTSDGGNSSESVSNSPGKVMNGDKSSSAALSHGGCGVSSFLTGHQASHPPKDSHVKFSIKSFRIPELYVEVPETATVGSLKRTVMEAVTALLGGGIRVGVLLQGKKVRDDSRTLSQTGISCEDNLDAIGFTLEPGPAKAPPLVCSEEPPLLLSCDAAPQNLISHSSCRYRDS >EOY18036 pep chromosome:Theobroma_cacao_20110822:10:1863287:1868893:1 gene:TCM_042704 transcript:EOY18036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomeric DNA binding protein 1, putative isoform 1 MVFKKRLDYGFNAFNVPKIPRAPRSTRRRGQSKRTVDDSQICAFELLASLAGKLLQESESSASSNASEGHDHVSIGKDVVKQEIQYDDKPLKTECFEQGSCEASVVASVSTTENSDNLKEFTHAENDAILERTLIKTQPAFLEQIGGDSKSVICKGNVAYGNFPCNANVDGYSPDIGELCDGKSENGFKQEQDARGLETGALHIANTCPSKDPVELSMTFPPPINSDRDVKLPSRRDPVPNASFSRHRNDIKLGNRDDDENFSRFNKLSNRFKASRPPTRIGDRRIRKLLTSKYWKVAPKLNDFENSRAGIKPLYRKRKTCYNYDRCQYDTLYKRRKFSDRSSIVTSDGGNSSESVSNSPGKVMNGDKSSSAALSHGGCGVSSFLTGHQASHPPKDSHVKFSIKSFRIPELYVEVPETATVGSLKRTVMEAVTALLGGGIRVGVLLQGKKVRDDSRTLSQTGISCEDNLDAIGFTLEPGPAKAPPLVCSEEPPLLLSCDAAPQNLISSPATPAVDTGILDASPDPPSLTNSANHVDSNNEPVSSQTDMLTDQSLPQSRALVPVPAMNVEALAVVPVNQKSRKSELAHRRTRRPFSVSEVEALVQAVEELGTGRWRDVKLRAFENAEHRTYVDLKDKWKTLVHTAKISPQQRRGEPVPQELLDRVLAAHAYWSQHQAKQQGKHHAGTLRLTDAQADRNGVAAAIPTVMM >EOY18039 pep chromosome:Theobroma_cacao_20110822:10:1863352:1867493:1 gene:TCM_042704 transcript:EOY18039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomeric DNA binding protein 1, putative isoform 1 MVFKKRLDYGFNAFNVPKIPRAPRSTRRRGQSKRTVDDSQICAFELLASLAGKLLQESESSASSNASEGHDHVSIGKDVVKQEIQYDDKPLKTECFEQGSCEASVVASVSTTENSDNLKEFTHAENDAILERTLIKTQPAFLEQIGGDSKSVICKGNVAYGNFPCNANVDGYSPDIGELCDGKSENGFKQEQDARGLETGALHIANTCPSKDPVELSMTFPPPINSDRDVKLPSRRDPVPNASFSRHRNDIKLGNRDDDENFSRFNKLSNRFKASRPPTRIGDRRIRKLLTSKYWKVAPKLNDFENSRAGIKPLYRKRKTCYNYDRCQYDTLYKRRKFSDRSSIVTSDGGNSSESVSNSPGKVMNGDKSSSAALSHGGCGVSSFLTGHQASHPPKDSHVKFSIKSFRIPELYVEVPETATVGSLKRTVMEAVTALLGGGIRVGVLLQGKKVRDDSRTLSQTGISCEDNLDAIGFTLEPGPAKAPPLVCSEEPPLLLSCDAAPQNLIR >EOY18037 pep chromosome:Theobroma_cacao_20110822:10:1863352:1867493:1 gene:TCM_042704 transcript:EOY18037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomeric DNA binding protein 1, putative isoform 1 MVFKKRLDYGFNAFNVPKIPRAPRSTRRRGQSKRTVDDSQICAFELLASLAGKLLQESESSASSNASEGHDHVSIGKDVVKQEIQYDDKPLKTECFEQGSCEASVVASVSTTENSDNLKEFTHAENDAILERTLIKTQPAFLEQIGGDSKSVICKGNVAYGNFPCNANVDGYSPDIGELCDGKSENGFKQEQDARGLETGALHIANTCPSKDPVELSMTFPPPINSDRDVKLPSRRDPVPNASFSRHRNDIKLGNRDDDENFSRFNKLSNRFKASRPPTRIGDRRIRKLLTSKYWKVAPKLNDFENSRAGIKPLYRKRKTCYNYDRCQYDTLYKRRKFSDRSSIVTSDGGNSSESVSNSPGKVMNGDKSSSAALSHGGCGVSSFLTGHQASHPPKDSHVKFSIKSFRIPELYVEVPETATVGSLKRTVMEAVTALLGGGIRVGVLLQGKKVRDDSRTLSQTGISCEDNLDAIGFTLEPGPAKAPPLVCSEEPPLLLSCDAAPQNLIR >EOY17728 pep chromosome:Theobroma_cacao_20110822:10:686893:688070:-1 gene:TCM_042474 transcript:EOY17728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 73 MIKGGDRVKGSWSPQEDANLIRLVEQHGPRNWSMISSGIPGRSGKSCRLRWCNQLSPDVQHRPFTPAEDAVIIRAHAAHGNKWATIARQLPGRTDNAVKNHWNSTLRRKRAAELSSGSSESNNSAVKRWSSQDASESDSGNKRQCLRVEVHENVEFVGPKTLLTLSPPGESVVSGHMEEKVEDEEEEEVVKRDEEGGGRGGGGGGEEEKRRVEMKETCLLTIMQRMIKEEFVAFNG >EOY19882 pep chromosome:Theobroma_cacao_20110822:10:23465213:23470781:-1 gene:TCM_045265 transcript:EOY19882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYMDFREYKNVKVKQMEVSVMVSPTEEEVKANIPVIAVSPKKEEETEEQDIPKGYCCAGLMQNIMVRQINEPESQGHELRFEIGKTNAQSSKQEFCLVTGLKFGRLPNIFLRSYELGGRKRGRSKGQSKGRKGKKEIEKEKGEEKVVNESLTDSDVINQNNII >EOY18350 pep chromosome:Theobroma_cacao_20110822:10:3145812:3147785:-1 gene:TCM_042955 transcript:EOY18350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIMDDLIVRPMSTISSITLLNKFKIKDVGVLEERVIDMGMDEGVKLLKASMQSKAVLTDVFLEKMVAKSF >EOY18823 pep chromosome:Theobroma_cacao_20110822:10:5209730:5212235:1 gene:TCM_043318 transcript:EOY18823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase MEIPDTPDTKIAKPPPPPPPTTQEPDPKRLKMSTTTTSDDEETASATNGTKKQRYKRRKIAIFFAYCGVGYQGMQKNPGAKTIEGDLEEALFHSGAVPEQDRGNPKRYDWARSARTDKGVSAVGQVVSGRFYIDPPGLVERLNRILPAQIRIFGYKRVTASFNSKKFCDRRRYVYLIPVFALDPSCHRDRESVLASLGSGNELVKCLECSERGRKVAGVMGKRSFEAKSTIVHSDVSSNNGESGNVIKEHNLTSELEEVTDSSVQNEFTEVDKVNVEKIPLEERRFCYGEEEKGLFNRILKHYVGSHNFHNFTTRTKAEDPSARRYIVSFHANTVVNVEGIDFVKCEVVGQSFMLHQIRKMIGMAVAVMRNCAPESLIETALRKDVSINVPTAPEVGLYLDECLFASYNQKWKDSHEELSMKAYEEEAEEFKMKFIYSHIASTEREEGVVALWLHSLNHRNYPDLRGCNVEMIEGMSLKVDDNTDNTDAKSASVEKNGDAEIQSAKD >EOY18995 pep chromosome:Theobroma_cacao_20110822:10:7634096:7637912:1 gene:TCM_043567 transcript:EOY18995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ssu72-like family protein isoform 1 MKFRYAMVCSSNQNRSMEAHSLLKKQGFDVSSYGTGAHVKLPGPSLREPNVYEFGTPYKHMLDDLRRKDPELYKRNGILPMLKRNSSVKLAPQRWQDNAADGTFDIVFTFEEKVFDMVIEDLHNRDQVLLKSVLVINLEVKDNHEEAAIGARLALDLY >EOY18994 pep chromosome:Theobroma_cacao_20110822:10:7633991:7638003:1 gene:TCM_043567 transcript:EOY18994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ssu72-like family protein isoform 1 MKFRYAMVCSSNQNRSMEAHSLLKKQGFDVSSYGTGAHVKLPGPSLREPNVYEFGTPYKHMLDDLRRKDPELYKRNGILPMLKRNSSVKLAPQRWQDNAADGTFDIVFTFEEKVFDMVIEDLHNRDQVLLKSVLVINLEVKDNHEEAAIGARLALDLCEQIEAAESWEESIDDILASFENKHRRKLLYSISFY >EOY18918 pep chromosome:Theobroma_cacao_20110822:10:6176003:6178796:1 gene:TCM_043425 transcript:EOY18918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLFFSMILWLESQLSIWCFVLSPFFKSPLKGCFYKALKLDQVRCFCTWNTLGRFRVWNILGAFALVMTLGIFALGTLLGISALETLLGASMLGTPIGTSALGTPLM >EOY19733 pep chromosome:Theobroma_cacao_20110822:10:21930253:21939434:1 gene:TCM_045039 transcript:EOY19733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNEVTYKSSKLKFQKKFVSRRVIGLSLNYKRSSPREEYSKVKDGWYLNAFLFLPFKDLKSLYLPGNHIAGCVENEGFEWLSRVSNLETLDLSWNSLKNSILLHMGNLSS >EOY19336 pep chromosome:Theobroma_cacao_20110822:10:16500042:16502503:1 gene:TCM_044405 transcript:EOY19336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 12 isoform 2 MHKERMSMNKQRRVKDWIEHGLELEVDSSESQSVGSQQVRKCTWRIENFSCIKYKKLYSDIFHVGGNKWRLLVFPKGNPQWNRVDHISFYVDVADAATLPYGWSRYAQLRLTVVNQIDRKYSITKVTDHEFNANENDWGFTSFMPLDELLDPKRGYLVNDACLVEAYIATDRTIDLLSDALIVELETASDKLKSKEADHGKAAIDNQKTAIAEPEEITTRSSAILSAPAVLSSPGQDKAESTNQNLPPADQTSSQSETIEPEDPTEEDMDTFFTSLESELASGNMVSSQEEAKEALVNIDEALNMAPANFYDSVMISSLKKAFKVLSCFDCSSTFTIEQKNELLAMEENFKQLPERVVKAVQDKNLLTEKESVKLALTRNLEDSLDKFKEAKAEVKQAEQKLASLHEQVVEAQKNKENILAERKEIFKISQDLKAQRDAMGKEWPEYAAKAKVAEEEEKSVEAEWGRMKDFISSLKGKI >EOY19337 pep chromosome:Theobroma_cacao_20110822:10:16500035:16517921:1 gene:TCM_044405 transcript:EOY19337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 12 isoform 2 ADHLITEVDSSESQSVGSQQVRKCTWRIENFSCIKYKKLYSDIFHVGGNKWRLLVFPKGNPQWNRVDHISFYVDVADAATLPYGWSRYAQLRLTVVNQIDRKYSITKVTDHEFNANENDWGFTSFMPLDELLDPKRGYLVNDACLVEAYIATDRTIDLLSDALIVELETASDKLKSKEADHGKAAIDNQKTAIAEPEEITTRSSAILSAPAVLSSPGQDKAESTNQNLPPADQTSSQSETIEPEDPTEEDMDTFFTSLESELASGNMVSSQEEAKEALVNIDEALNMAPANFYDSVMISSLKKAFKVLSCFDCSSTFTIEQKNELLAMEENFKQLPERVVKAVQDKNLLTEKESVKLALTRNLEDSLDKFKEAKAEVKQAEQKLASLHEQVVEAQKNKENILAERKENFKISQDLKVQLNALGKEWPEYVAKAKVAEEEEKSVEAEWG >EOY19437 pep chromosome:Theobroma_cacao_20110822:10:17597259:17598203:-1 gene:TCM_044552 transcript:EOY19437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cwf21 MYNGIGLTTPRGSGSNGYVQSNKFFVKPKTNRLTDTTRPFEAGQGTAGLSTKKPDKDILEHDRKRQIELKLVILEDKLTEQGYTNSEIADKLVQARKALEAQDEEEGEVIPTPAHQKKVSDTQTHQVAVRKEKQMETLRAALGIEIGLSESAAPCLSG >EOY17623 pep chromosome:Theobroma_cacao_20110822:10:378569:379715:1 gene:TCM_042402 transcript:EOY17623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MINTKARLGQQRPLALADLPLQSLTHTCWHHFSTVSWQQKTSKHHKKQEEVEKKSKRRKEVVFQKQSLVHGGEEVQTIKVQEKPWKEKTKIYLINQLIFFL >EOY17932 pep chromosome:Theobroma_cacao_20110822:10:1481700:1484856:1 gene:TCM_042625 transcript:EOY17932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol transporter 4 isoform 1 MVEGGVKKADKTEFTECWKTTWKTPYIMRLALSAGIGGLLFGYDTGVISGALLYIREDFQQVDRKTWLQETIVSMAVAGAIAGAAFGGWVNDRFGRKKSILGADVLFAVGAIIMAVAQAPWIIILGRFFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLAFTKTSWTWRWMLGIAAVPALVQFILMLSLPESPRWLYRQDKVEEARSILERIFPANEVDDEMNALKLSVEAEQADEHAIGDGLIQKVKGALSDVVVRRGLYAGITVQVAQQFSGINTVMYYSPTIVQFAGFASKKTALALSLITSGLNAVGSIVSMTFVDRYGRRRLMLISMAAIILCLVTLSFVFLEAANHAPKINQLDTNFPTNATCPSYLSAPKPSSWNCMSCLKAGCGFCANGANEYSPGTCLEFSTDLRDSCRGQHRTWFKDGCPSKFGFLAVVLLGLYIISYSPGMGTVPWIVNSEIYPLRYRGIGGGLAAVSNWISNLIVSETFLSLTKALGSAGTFGLFAGICFITLIFIYCLVPETKGLQIEEVEQMLKTGYKPKLLRGKSKGDIQSA >EOY17933 pep chromosome:Theobroma_cacao_20110822:10:1482028:1484856:1 gene:TCM_042625 transcript:EOY17933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol transporter 4 isoform 1 MAVAGAIAGAAFGGWVNDRFGRKKSILGADVLFAVGAIIMAVAQAPWIIILGRFFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLAFTKTSWTWRWMLGIAAVPALVQFILMLSLPESPRWLYRQDKVEEARSILERIFPANEVDDEMNALKLSVEAEQADEHAIGDGLIQKVKGALSDVVVRRGLYAGITVQVAQQFSGINTVMYYSPTIVQFAGFASKKTALALSLITSGLNAVGSIVSMTFVDRYGRRRLMLISMAAIILCLVTLSFVFLEAANHAPKINQLDTNFPTNATCPSYLSAPKPSSWNCMSCLKAGCGFCANGANEYSPGTCLEFSTDLRDSCRGQHRTWFKDGCPSKFGFLAVVLLGLYIISYSPGMGTVPWIVNSEIYPLRYRGIGGGLAAVSNWISNLIVSETFLSLTKALGSAGTFGLFAGICFITLIFIYCLVPETKGLQIEEVEQMLKTGYKPKLLRGKSKGDIQSA >EOY17934 pep chromosome:Theobroma_cacao_20110822:10:1481700:1484856:1 gene:TCM_042625 transcript:EOY17934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol transporter 4 isoform 1 MVEGGVKKADKTEFTECWKTTWKTPYIMRLALSAGIGGLLFGYDTGVISGALLYIREDFQQVDRKTWLQQETIVSMAVAGAIAGAAFGGWVNDRFGRKKSILGADVLFAVGAIIMAVAQAPWIIILGRFFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLAFTKTSWTWRWMLGIAAVPALVQFILMLSLPESPRWLYRQDKVEEARSILERIFPANEVDDEMNALKLSVEAEQADEHAIGDGLIQKVKGALSDVVVRRGLYAGITVQVAQQFSGINTVMYYSPTIVQFAGFASKKTALALSLITSGLNAVGSIVSMTFVDRYGRRRLMLISMAAIILCLVTLSFVFLEAANHAPKINQLDTNFPTNATCPSYLSAPKPSSWNCMSCLKAGCGFCANGANEYSPGTCLEFSTDLRDSCRGQHRTWFKDGCPSKFGFLAVVLLGLYIISYSPGMGTVPWIVNSEIYPLRYRGIGGGLAAVSNWISNLIVSETFLSLTKALGSAGTFGLFAGICFITLIFIYCLVPETKGLQIEEVEQMLKTGYKPKLLRGKSKGDIQSA >EOY19955 pep chromosome:Theobroma_cacao_20110822:10:24077324:24084486:-1 gene:TCM_045358 transcript:EOY19955 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein isoform 1 MLMPISNHELPEIMVIDILLRLPVKSLMRFRCVCKSWCSSFQTSEFITNHRNKNLNLLLMLHDEVPYFSLLSTEAKIKEYGEPEVEFNLKVKENIHMLDFDQLTVSGPCNGLLCVHDNYSIILWNPSTREVKVLPESTISRPPATDDTYFGFVGFGFDRNSNDYKVLRCVNNCVLEEDGFAVLEFIYQIDLYSLRTDSWREIAHPDVFVYNPYLFNAYINGIYYWEATGDDGDLILSFDMVEEVFSTLSLPNFGMSKAECSWCIASFKEALATIVHPRIGMEKCYDIWILNGYSWTKQLTIGPILGVEMPLGFWKNGELFLESENHKLVMFDPCTGQLRDFGIYMSQDSTQQLVVYAESIVSIRGSLEYEANITREVQVQKSTLRRPLIIRMLASTITWGWLGCLKRIFLWMAKRGYEIAQSNAAWILDKYGEHNMWMDESGLCTDAERHQRAHSLSWQASEQESKT >EOY19956 pep chromosome:Theobroma_cacao_20110822:10:24081429:24084717:-1 gene:TCM_045358 transcript:EOY19956 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein isoform 1 MLMPISNHELPEIMVIDILLRLPVKSLMRFRCVCKSWCSSFQTSEFITNHRNKNLNLLLMLHDEVPYFSLLSTEAKIKEYGEPEVEFNLKVKENIHMLDFDQLTVSGPCNGLLCVHDNYSIILWNPSTREVKVLPESTISRPPATDDTYFGFVGFGFDRNSNDYKVLRCVNNCVLEEDGFAVLEFIYQIDLYSLRTDSWREIAHPDVFVYNPYLFNAYINGIYYWEATGDDGDLILSFDMVEEVFSTLSLPNFGMSKAECSWCIASFKEALATIVHPRIGMEKCYDIWILNGYSWTKQLTIGPILGVEMPLGFWKNGELFLESENHKLVMFDPCTGQLRDFGIYMSQDSTQQLVVYAESIVSIRGSLEYEANITREVQVQKSTLRRPLIIRMLASTITWG >EOY20056 pep chromosome:Theobroma_cacao_20110822:10:24581399:24583837:1 gene:TCM_045453 transcript:EOY20056 gene_biotype:protein_coding transcript_biotype:protein_coding description:AZA-guanine resistant1 MVMEPHPPPKNFLTRLNSAVANSRVGKRFKLSERNSTFTTELRAGTATFLTMAYILAVNASILADSGGPCSVSDCVPLCSDPSVPLSNCTGSTQRVVQPDVSCKFDPVNPGYASCLEKVRKDLIVATVASSLIGCVIMGAFANLPLALAPGMGTNAYFAYTVVGYHGSGNVPYKSALAAVFIEGLIFLFISAVGFRAKLAKLVPKPVRISSSAGIGLFLAFIGLQNNQGIGLVGYNPSTLVTLGGCPSWSRTSVAPVLTTANGTVSLLPGGTVSGDIFCLRDRMESPTLWLGIVGFVIIAYCLVKNIKGAMIYGIVFVTAVSWFRHTKVTAFPDTVAGDAAHEYFKKVVDIHLIESTAGALSFKTIGKGYFWEALVTFLYVDILDTTGTLYSMARFAGFTDENGDFEGQYFAFMSDAMSIVVGSLLGTSPVTAFIESSTGIREGGRTGLTALTVAGYFFLAFFFTPLLASIPAWAVGPPLILVGVLMMRAVVEIEWDDMRQAIPAFVTLILMPLTYSIAYGLIGGIGTYIVLNIWDWATDFLAKHGAIERSGVGVNGAHEQAREDGSVKAVELDRV >EOY19393 pep chromosome:Theobroma_cacao_20110822:10:17225020:17226483:-1 gene:TCM_044490 transcript:EOY19393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKHDKPYETRDLFTIWGILQLLRFYTRKLLDLDLLFSIGDSIRIRKGNYQRPNATSPLPLVHYCG >EOY18600 pep chromosome:Theobroma_cacao_20110822:10:3942890:3947149:1 gene:TCM_043104 transcript:EOY18600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 28 MGRESGSQSSDPRNFSSASAAGGNHRKEQERDRIVKLPGQPPKVNFSQYSGYITVDPKAGRALFYWLIKAPFKSQPASKPLVLWLNGGPGCSSVAYGASEEVGPFRVRSDGKTLRLNPYAWNQEANLLFLDSPAGVGFSYSNTSSDIYTVGDKRTAEDAYTFLIKWLERFPNYKHRPFYIAGESYAGHYIPELSQLIVRRNKGVKNPVLNFKGFLLGNPLLDDYYDNMGTHEYWWNHGLISDSTYNDLKKSCLNDTFLFPRDGCNNALNSAYGEIGDINLYNIYSPPCNGIATLKHNLGQIPLPWRFRGNDECVVMYTKKYMNNRRVQKALHANLTRLPYRWATCSSIIRGNWTDSPKSMLPIIKELIAAGIRIWIFSGDTDAVLPLTATRYSIKALKLQTNISWYAWIDDQAEVGGWTEVYNGLTYVTVRGAGHEVPLTQPKRGLVLFRYFLRNLRLPASLSD >EOY18847 pep chromosome:Theobroma_cacao_20110822:10:5388618:5390130:-1 gene:TCM_043340 transcript:EOY18847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPGTSQEKDIVKQECKICYHEVKREYGCYCCLKQDCSYIVHVTCATEDEDLYYIVDSENQDEPIESSIGCSITCVIEVNECGEATKINHFSHEHYLILEDKIEEDDDKHCDGCMLSILDSFYYCLQCDFLFPKTCAELPMKKYYWFNLHLQNLKSNRIF >EOY18806 pep chromosome:Theobroma_cacao_20110822:10:5025348:5026037:1 gene:TCM_043290 transcript:EOY18806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREVKGIGLRTNGVKSNVGRSEDQRRMCRRLLGLGFCKWVSASVEVCSSPEKTIGCELVLERTLGEKNLELEEDLRNGNEKLSARSIKDLDNQQMKELIVNEGGSKENSMEKEKDLTKDGRERGDAFTASEVEEDKNEEFNIAVEEK >EOY19842 pep chromosome:Theobroma_cacao_20110822:10:23140240:23148807:-1 gene:TCM_045214 transcript:EOY19842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHGKGKMEVVCCRIVKDKGGFVLLVFEGGRRGCEADSETEKAYREWKRCSQILLCGSGTTGAIVIPTQE >EOY17747 pep chromosome:Theobroma_cacao_20110822:10:740616:743337:-1 gene:TCM_046947 transcript:EOY17747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKHSCCSISHKSKSYYQVLVLVSLILILLFKWFIYKGDFRQVARSIFLSRFRPLGILEEDGEVKLPPKDCDVFTGNWVFDNITHPLYKEEECEFLSEQVTCIRNGRQDSLYQNWRWQPRDCSLPKFKARLLLEKLRGKRLMFVGDSLNRNQWESMVCLLQSAVPPGKKSLRKSGSLVIFSIEEYNTTVEFYWAPFLVESNSDNPWRHSVKDRIIMPESIKNRGDDWKGVDYLVFNTYIWWMNTGYMKVLRGSFDADPIEYDEIKRPIAYGRVLKTWANWVEANVDSKFTSVYFMSMSPLHIKSLDWNNPDGIRCAKEALPVLNMSTPPNVGTDHRLFLAVANVTRSMKVPVYFLNITTLSEYRKDAHTSVYTTRQGQLITPEQQADPDTYADCIHWCLPGLPDTWNELLYTHIISHS >EOY20246 pep chromosome:Theobroma_cacao_20110822:10:25337706:25339009:1 gene:TCM_045603 transcript:EOY20246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MEKLRCLVPESVKRRVAESTADDLPSVSSSLVHLFLSLPEFHQVIGDLADPGPNPKRKAGLCCKNKEAALDLKQKGNQCYSTGDYSQALRCYSQKMDLPMESLRDCSRALQISPCYPKAWYRRGKVNATLGN >EOY18631 pep chromosome:Theobroma_cacao_20110822:10:4104987:4110918:-1 gene:TCM_043130 transcript:EOY18631 gene_biotype:protein_coding transcript_biotype:protein_coding description:PATATIN-like protein 6, IIB MSSNTSSEMQEPSIDTDKLSYEIFSILESKFLFGYDDHQKLWIPKPISPASEQKVEPLVQQGDENSQSAIKNQRGKICIMSIDSGGMRGILCGKALAYLEHALKSKSGNPNARIADFFDVAAGSGVGGIFTAMLFGTKDNNRPIYTAEETWRFLADNGKRIYRSGSGKNGGILKKILKNGSTGSCSTGLEKAMKEAFAADGRSLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSFDFPLWEVCRATSAEPGLFDPVLMRSVDGETRCVAVDGGLAMSNPTAAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLLEVSYEYEQVKNWRVKDWAKPMARISGDGSADSVDQAVAMAFGQCRSSNYVRIQANGSSLGRCGPNVDTDPSPSNVTMLIGIAEEMLKQKNVESVLFGGKRIGEQSNFEKLDWFAGELVLEHQRRSCRIAPTVAFKQATPKTN >EOY18311 pep chromosome:Theobroma_cacao_20110822:10:2894873:2902211:1 gene:TCM_042905 transcript:EOY18311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MDESLKRAAREGNIVELYASIQRDGNVLRQIDEMEFVDTPLHMAAAEGCFDFAMEVMILKPSFARKLNQEGLSPIHLAVEKGHKELALHLMQNDKNLVRVKGRLGETPLHYAVTGAENLDLLARFLEACPECIRDMATTNQTALHIATRNDNLEALELLCRMLRKSDYCEDVVNQKDRNGDTALHIAARNNQPKMLKLLLKCKADKLATNQAGRTALAIACELNNRESIKILRGWRSAKVLSYQYKIRKQMFKTVTKASEVIFQGMDSISSEDRNALLVVLGLLLTATYQASISPPGSVRQGDGSSNSDSTVGESVMDEVNFLLFYIPACTVFLVAFFLTLGLLRPYPHRFKTALQVLLAFLAISFNEAITFIAPTDFATDVINLFSVLVFVLMMVMCVAYRVSKVSVLFLGC >EOY20148 pep chromosome:Theobroma_cacao_20110822:10:25056185:25061389:-1 gene:TCM_045538 transcript:EOY20148 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 2 MCSPSECGAASPSDISNGGNKNDYYNSGRAGGRGFLNKFLGRFQKTKKSFLRSQKTQKSALILRNKLGFLLDRFMVADELISLGKIAFPLLLSGLILHSKSIMSMLFLGYLGNIELAGGSLSMGFANITGYSVIKGLAMGMEPICCQAYGAKKWTVLSQTFKQTLCLLLLAAIPITLLWLNMEPILLSFGQDETITSVAKVFITYSIPELLAQALLHPLRIFIRAQNLIKPLLLSATCAMILHLPISYFLAIYLDLGIRGVALASACNTLNLSLALLAYLFFSETAIKPWDGQAVTKSYRVWHPLLTLMVPSVLSVCLEWWWYEIMVLLCGLINNPEASVAAMGILIQTTGVLYVFPNSLSLSLSMRVGQDLGAEAPAQARQTTIIGLIIAMVWGLVAFAFTIAVKDVWGKVYTSEPQVLALTSVVLPILGFCELGNCPQTAACGVLVGSARPKVGACINFCSFYVVGLPVAAFAAFKLEIGFLGLWYGLAAAQASCMCLMICTLVFTDWKHQAKRAKELTQAAEDQKNELEADLLS >EOY20149 pep chromosome:Theobroma_cacao_20110822:10:25056771:25059983:-1 gene:TCM_045538 transcript:EOY20149 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 2 MSMLFLGYLGNIELAGGSLSMGFANITGYSVIKGLAMGMEPICCQAYGAKKWTVLSQTFKQTLCLLLLAAIPITLLWLNMEPILLSFGQDETITSVAKVFITYSIPELLAQALLHPLRIFIRAQNLIKPLLLSATCAMILHLPISYFLAIYLDLGIRGVALASACNTLNLSLALLAYLFFSETAIKPWDGQAVTKSYRVWHPLLTLMVPSVLSVCLEWWWYEIMVLLCGLINNPEASVAAMGILIQTTGVLYVFPNSLSLSLSMRVGQDLGAEAPAQARQTTIIGLIIAMVWGLVAFAFTIAVKDVWGKVYTSEPQVLALTSVVLPILGFCELGNCPQTAACGVLVGSARPKVGACINFCSFYVVGLPVAAFAAFKLEIGFLGLWYGLAAAQASCMCLMICTLVFTDWKHQAKRAKELTQAAEDQKNELEADLLS >EOY19752 pep chromosome:Theobroma_cacao_20110822:10:22096197:22108460:1 gene:TCM_045061 transcript:EOY19752 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR protein MAKVNFIYGDSYLLSIFIWSFENLECLLDDKENINFSSTSLLQSLYIVDCEALKSLSWSGKLPVQLKTLDIDKCPELECLAREIGDNTCLETIILRKCRNIKYLPQRLDKLSRLQKISLECPNLVRLPEALPNLHHLQYLLIRVQNSIGERGFPTNLTSLQIGDPDISKAVMEWGLHRLTSLTYLFIDGSNCTDATSFPQQEIGMKLPPSLADLSIKNFKNVRKLSSNGFQNLTSLQYLSISHCPKLKSIPRKEMLPSLSLLWIRDCPVLKKRCKRDEGKQWSNIAHIPDVTIDGRFIYE >EOY19891 pep chromosome:Theobroma_cacao_20110822:10:23596801:23597766:1 gene:TCM_045281 transcript:EOY19891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRLCGIAFNVCLMSLQPDWHSIWRMAFYVILWTLWLFRFDIIFNGKVCDASQAFNTVKLRVGWWLKAHRLMDNSPVLDVMREPSFAKVTLIKGCPGASGLGGALRDDNGLVKILFSKSIGIADILILLNFLLLRKPSLVLLLLLGLIHMN >EOY19888 pep chromosome:Theobroma_cacao_20110822:10:23540656:23542911:-1 gene:TCM_045274 transcript:EOY19888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGSARGSSGLARIGGVLRIEEGSVMVIFFKAVGCINASMVEILAIKEAFKIYGASKWAGRFKLSKVMLVIPSNLFATLKGLHGECEGLFGELRSLREESKSGPFEKSQDLLMSLLITSLRGS >EOY19974 pep chromosome:Theobroma_cacao_20110822:10:24194891:24200988:-1 gene:TCM_045378 transcript:EOY19974 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Beta-Casp domain (InterPro:IPR022712); BEST Arabidopsis thaliana protein match is: cleavage and polyadenylation specificity factor 73 kDa subunit-II (TAIR:AT2G01730.1); Has 624 Blast hits to 615 proteins in 160 species: A /.../- 54; Bacteria - 6; Metazoa - 333; Fungi - 44; Plants - 93; Viruses - 0; Other Eukaryotes - 94 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G07530) TAIR;Acc:AT3G07530] MKFTCLRKGGGFHFPACHMLNVSGFRILLDCPLDLSSLAFFSPVPVAHEAHKSLDTDSVIRKKQKMEKALDANDLVHAEPWYKTVKSLHLWDASFIDVVLISSPMGMLGLPYLTRTKDFSAKIYVTEATARIGQLLMEDLVSMHMEFRQFYGPEDSCFPQWLRWEELEVLQYEMKKIALGKDCEELGAWMPLYSADDVKDCMRKVQTLKYAEEACYNGTLIIKAFSSGLEIGTCNWTINGPKRNIAYITNSIFVSTHAADFDFVGLRGNDLIIYSDFFSLGAAENMENDNTYFDPVASLNFSDDVNNLEEMSASLLKDDESTEEMEKLAFICTCALDSVRGGGSVLIPIDRLGIILGLLEQMSVLLESSSAKVPMYIISSVAEELLAFTNIIPEWLCKQRQEKLFSGEPLFEHAKLIKERNIHVFPAVHSPELLTNWQEPCIIFSPHWSLRLGPVVHLLRHWCSDPNSLLVLESGVDADIALLPFKPMAMKVLQCSFLSGMRLQKVQPLLKTLQPKLVLFPKDLRCKIQISEANTIFLYSESETLRIPSSKNSTEIEIATDLASKFHWKTLKQETITRLEGELFMDYGKHRLLSGSHPADSKQQRPFVHWGSPDSKGLLTELSKIGINGTIKKVRDDTESESAAGVVEIHEPKKAVIHVGETGTVIISADENLASHIVKAIDIVLDGI >EOY17683 pep chromosome:Theobroma_cacao_20110822:10:568906:571643:1 gene:TCM_042446 transcript:EOY17683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein MKLFEISSTFRFLASLALVVLQVGSQDLPQDFLNAHNAARKEVGVPYMTWDNVLEAYALNYSQGKIDDCELVHSVGPSGENLAWSSSDLSGIDAVGLWVEEKADYDLESGVCATGGVCGHYTQVIWINSTQLGCAKVRCRNNGTFIACYYYPPGNVVGKRPTEGIESVIGVVAPSPQNQSPNIDPPKESKNRTGLVKNRTGLVIVFSIGASALIFSLCFTTWFISRRKRKRENEFDDTVFDMFFGDEFGNGMGPRKFSLNELAKVTSNFNAENKLGEGGFGSVYRGFLRDSDTYIAVKKVSRASKQGIKEYASEVKIISRLRHKNLVKLIGWCHERGELMLVYEFMANGSLDSHIFKGKSLLTWEVRYRIVKDLASALLYLHEEGDHCVLHRDIKTSNIMLDSSFNAKLGDFGLARLVDHAKGLKKTLLAGTVGYMAPECLSSGKASKESDVYSFGVVALEIASGRRSIEPKFEESEALLLVPWVWESYGNERILDIADRKLGMAFDPKQLECLVMVGLWCAHPSHNLRPSIRQVIQVLNFEAPLPNLPGSMPIPNYNDVPITPGIGSSEPLISNITITIPR >EOY17763 pep chromosome:Theobroma_cacao_20110822:10:790616:795052:-1 gene:TCM_042491 transcript:EOY17763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alfin-like 1 isoform 1 MASSSPCTVEEIFKDYSARRSALVRALTYDVDDFYSQCDPDKENLCLYGHPNESWEVALPAEEVPPELPEPALGINFARDGMNRKDWLSLVAVHSDCWLLSIAFYFGARLNRNERKRLFSMINDLPTIFEVVTGRKPVKDKPTVESASKSRNSTKRSIDGQPRSNPKLADESYEDDEEEQGDTFCGSCGGGYNSDEFWIGCDMCERWYHGKCVKITPAKAETIKFYKCPSCQKKARQ >EOY17764 pep chromosome:Theobroma_cacao_20110822:10:790079:795282:-1 gene:TCM_042491 transcript:EOY17764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alfin-like 1 isoform 1 MASSSPCTVEEIFKDYSARRSALVRALTYDVDDFYSQCDPDKENLCLYGHPNESWEVALPAEEVPPELPEPALGINFARDGMNRKDWLSLVAVHSDCWLLSIAFYFGARLNRNERKRLFSMINDLPTIFEVVTGRKPVKDKPTVESASKSRNSTKRSIDGQPRSNPKLADESYEDDEEEQGDTFCGSCGGGYNSDEFWIGCDMCERWYHGKCVKITPAKAETIKFYKCPSCQKKARQ >EOY20062 pep chromosome:Theobroma_cacao_20110822:10:24637738:24642519:1 gene:TCM_045462 transcript:EOY20062 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box and TRAF-like domains MSLTDREAVDCSAIPAFQGPNEGDETIMSINDEENVVSEGQRKKKKKKQVSTRPACSWVYFSREFIKEYSASHPESSGLKAATKAASDAWKLMSTEEKEKYTRRAREVWDSYLSTAPARIPKPRKQTKLVTRCSPGRLFNVLQRLTPEQKAAVKSMGFGSLLGLRCRTLRRSLCLWLLERFNTVRHSLEICGERIPLSPRDVEHVMGLAACGKDVVNSGPDDLIADLRQSYNATNRGISVRLLEEWLAAPEAGEDFKRSFILYALGTLLSPTARLDVSPSFLHFLINMDVVHQYNWGKFLLDRLVREVSRFRQGKQRAVGGCLLFLQLFYYESISIEGAGSSAPMVVPCLSSWGEEEITEREKRERELGGYGRGEVTSKDSFLGMELLEYRVQVEGLSGGKISTSIEHNPIFEQEGTQADEEQMNSDFSMEEANVANFPKSKSMMCGDMEVIVEPGRTPCRNKEYGCSETVDYTRNDDHEENCVFTPCACPLPNCNFIGSSEQLSLHFSSKHWDSGRRFRYKIPLPVTLGMNEQFLVLQAEEDGILFILYKGVESIGNMIMITCIAPSSSKEKFLYDVVSGKGIRSLRLKSLTENFPGRVEGFPPMDFLLIPFRFLGPSGELNLEVCIWNSKQLASNCP >EOY20239 pep chromosome:Theobroma_cacao_20110822:10:25319790:25324824:1 gene:TCM_045600 transcript:EOY20239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MDGGRRIAVSPRPCSGRRIVASKKRGRADSFVNSVKKLQRREICSKRHRAFSITDAQERFRNIRLQEEYDTHDPKGHCSMVLPFLRKRSKIIEIVAARDIVFALAQSGVCAAFSRETNQRICFLNVTADEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIRRGQPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKNVQEIKISPGIMLLIFTKVGGHVPLKILSIEDGTVLKSFSHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNTELTEVDKIEFKTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEGNGSINISNILTGKCLAKIRASNSFPVENECSYGYGCSSSKKQSNMSRIRSTVAEALEDITALFYDEERNEIYTGNRHGLVHVWSN >EOY20240 pep chromosome:Theobroma_cacao_20110822:10:25319790:25324862:1 gene:TCM_045600 transcript:EOY20240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MDGGRRIAVSPRPCSGRRIVASKKRGRADSFVNSVKKLQRREICSKRHRAFSITDAQERFRNIRLQEEYDTHDPKGHCSMVLPFLRKRSKIIEIVAARDIVFALAQSGVCAAFSRETNQRICFLNVTADEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIRRGQPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSPGIMLLIFTKVGGHVPLKILSIEDGTVLKSFSHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNTELTEVDKIEFKTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEGNGSINISNILTGKCLAKIRASNSFPVENECSYGYGCSSSKKQSNMSRIRSTVAEALEDITALFYDEERNEIYTGNRHGLVHVWSN >EOY19708 pep chromosome:Theobroma_cacao_20110822:10:21452259:21455895:-1 gene:TCM_044984 transcript:EOY19708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEQRSARFRGNLVTCKPPNPWVSSGFKHMNVNCGACKLRKILDGGRFIIAARHGWGHGLPYTYVDRPQDVMRSCDRLISPMLANIVETAMVFGSNVRRVNEFLFYHLLESKISLGFSNSYFYAW >EOY19104 pep chromosome:Theobroma_cacao_20110822:10:10317995:10318574:-1 gene:TCM_043838 transcript:EOY19104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHIIPLSSSHMQRLMLLSLGGGRSRSIPPFTRQEMHFTPDHEATEQSLPSSSKLLGGESYFATDHTCQSTHRVKDHEASSPISYGGNNGLCALPLTHSRVSNGLYALLLAHNRVSNGLCALLLAHSRVQINM >EOY20197 pep chromosome:Theobroma_cacao_20110822:10:25225654:25227858:-1 gene:TCM_045573 transcript:EOY20197 gene_biotype:protein_coding transcript_biotype:protein_coding description:High affinity inorganic phosphate transporter MGEGSQAILSALDNARTQRYHFKAIVIAGMGFFTDAYDIFCITAVSKLIGRLYYYDPVTDKPGTFPKDIKSAVTGVALFGTLAGQLFFGWLGDKLGRKKVYGITLVTMVGCAIASGISFGSTANSVIGTLCFFRFWLGFGIGGDYPLSAVIMSEYANQKTRGAFIAAVFAMQGTGILVAGIVAMIVSKAFLLAYPAKPFSANHVLSTQPEGDFVWRIVLMFGAVPAALTYYWRLKMPETARFTALVQGDQKKAAADMAKVLETDLTVAESSAKVDPNSSYGLFTSEFMKRHGTHLLGTCTTWFLLDIAFYSLQLTQTDVYPASGLLDKAPSMNAIEETFQLSKAMFLIALAATVPGYWFTVFLIDKIGRFIIQLGGFLMMSVCMAILGFRYHYLRGEKCTESATKDFCHGHTTLFTILYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIAAFVFQKYTQEDKKIKDVIIALSVVNMVGFLFTFLVPETKGRSLEELSGEDNDLGGINVPETEMV >EOY18417 pep chromosome:Theobroma_cacao_20110822:10:3431394:3433248:-1 gene:TCM_043014 transcript:EOY18417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTHSRQGSAPKDTRRRLGKQDEEYRQRHSSQMHTSSENNQDEDFSEGHSPRMEMSSENKNQQQPHTNGAPFWRGDPSKDIHPKESNQSSGHSQQQTTVTSPQGYPPIDDQSSYPLHQQQSSTKISTSQEYNSKDDSLPKTQSAYHTLQQQLSAGATSVQEQPSKTDSSYNPQHQLPSGRVYSHPKDDSPQRSNQSSYHSTQQSYPVSDPPTNNHPRQSNQSSYQSRQQPSPVPTPQRCDLSEDSYTVRDQNSEHLQQQQQSDCLQGEPPKQVHPPKHSAIPMTVPSNTSCMQQDKMNDNDPHRSQTPSSKDPSAQGYAAPPKAKEDENVATQKYVATPKVESTPRNIAVPRTNLKPPSKWCCCL >EOY18538 pep chromosome:Theobroma_cacao_20110822:10:3766375:3767341:1 gene:TCM_043074 transcript:EOY18538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNEVSRGLGLAKAMILLWHRASSDLEAALEGCNTDRWFRSKTFFHKSHKLKVWRSQEKWQRFAAREHPSA >EOY18426 pep chromosome:Theobroma_cacao_20110822:10:3464577:3471186:-1 gene:TCM_043021 transcript:EOY18426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVSGNEETGVKPSVGQFSEYSAGIPIKKRRFPLIWTSSQQTEDSPSLPTENDSEQKGFSSPLQGSAISNASTVTASSNTGSVLASSNTGSVLASSNTCGVLASSNISVVGVSSNTSGVAARSNTSGVAGSSDASGVATSLNVNAGSIAASSNAVSVAASSNAVSVAASSNTSCVAASSNAVSVAVSSNASCVAANSHASSVSAGSNASSTVETSKPNSVAASLSNASSIAASVSSFSDASEKTVPEKEKRSYDGTNGSMVQGNNNLLRVKLEEQSFPVNSRSLADIDSKGKLVATGESDNILRKSAKSELDLVGGDSLTLNIGKDVYSQQNVDGQFRSELPTVSGNPGLSLALGEHLVSAIAGGNNERDCLKQEKAEPVSLNLSLSKGECSTQLRSNNVQPNSIGANMLADRSNWDLNTTMDAWEGPASNDGASQKTTHMDAIKPVLCSGGMTGTSMPTQQQRVIKIAMSSALSSQQYNTEDSLRLGLTTPYLHLNSNEKPSSTSAKEDLREVTANINLPAESVPVSNLTVSNFKPVKSEPLDESIKTNSAAVKADPKGLLNIVPMKHELVDRSSSESSKSSTLKLVDARSVKPEPVHEDNQETSKRMEGSLNQSDEQILHPLNNTTVPTSTDLSLHGDASNHVEHFIQAKETESSGEGQVASKMISSVGHDDNESNISGKIDNSTSENKSVEDPDNCRLKFMAVQPSESRGTVEGSVSDEEKINLSGDILEDSYGSGYESDGNRDLAPAMDMEHDGRAEDDFEDGEVRETVENTEIEAPVCEGQEAGNGNNGDTGYKNSDSVWFVGDNKPSSSSVSGKETCGEDAGKTSNDSTNECIDTSVNKDSNTEADKEACLQESSAVEMPSSPTDKKIPKKAMPRKPLDLSEKKDAVEGQDREQTSIQASDSSQGTSVTIGQGADNAQKTESEGKSNSVLPKVEAFLSGDDAGKDVSSAGNRSRIINLSRALNQSSPGRTRSISGRTMQSRGGRERLLDVALEGDKFHPRGRDEVYGDGSHRFSRERHHDQPSRNPRISFMRGRDLDFSSYNNGQDGAYFGPGQGGRKILSDNSSIFAHVHPRRRSPGGRDGPASRGLPMVRRVPRNLSPSRCIGEDGSESVGLRHMRGFADDHTDPMFTRSQPSFEGLDGPFVRGNRDFSSVQRRGLPRIRSKSPTRPRTRSPGPWPSPRRRSPDEFGGPLELPHRRSPIYRVDRIRSPDRPCFAGEMVLRRHGSPPYLSRPSNDLRDMDPGRDHGHPRSGIPNRSPSGRILLRNSRRLDLVDPRERSDGDDYFGGPMPSGRFHELATDGNADERRRYGDRRGPVRPFRPPYSGADSENFHLNAEGGPRSFRFCPEDDPELHERGTLREREFDRRLKNRPGNAPRRTRNIEEEGNFRHGGQVWHDDGFDDMSRVKRKRF >EOY17858 pep chromosome:Theobroma_cacao_20110822:10:1194333:1198812:-1 gene:TCM_042567 transcript:EOY17858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQKQAEEAIVPSLNEASEHEEKEEEKGDHSIFSVKSLLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGIILQIFYGFLGSWTAYLISVLYIEYRSRKEKENVSFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRMWSFLGLGMTTYTAWYLTIAALVHGQVEGVTHQGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKCIYLLATLYVFTLTIPSAAAVYWAFGDQLLNHSNAFSLLPHSGWRDAAVVLMLIHQFITFGFACTPLYFVWEKVVGMHDTKSICLRAVCRLPVVIPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMLTYKSASARQNAAEKPPFFLPSWTAVYAVNTFIVAWVFVVGFGLGGWASMTNFIKQVDTFGLFAKCYQCPPSPSSKHH >EOY17857 pep chromosome:Theobroma_cacao_20110822:10:1194324:1198873:-1 gene:TCM_042567 transcript:EOY17857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQKQAEEAIVPSLNEASEHEEKEEEKGDHSIFSVKSLLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGIILQIFYGFLGSWTAYLISVLYIEYRSRKEKENVSFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRMWSFLGLGMTTYTAWYLTIAALVHGQVEGVTHQGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKCIYLLATLYVFTLTIPSAAAVYWAFGDQLLNHSNAFSLLPHSGWRDAAVVLMLIHQFITFGFACTPLYFVWEKVVGMHDTKSICLRAVCRLPVVIPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMLTYKSASARQNAAEKPPFFLPSWTAVYAVNTFIVAWVFVVGFGLGGWASMTNFIKQVDTFGLFAKCYQCPPSPSSKHH >EOY18184 pep chromosome:Theobroma_cacao_20110822:10:2315758:2316918:1 gene:TCM_042799 transcript:EOY18184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein A MTPRKEKFSYITDDSARKATFRIRKEGLLKKASELSTLCGIEACVIIYSPYDSQPVVWPSPEGAQSVLSEFKKMPTMDQSTRMMNQESFLRQRIANANQQLQRQSKDNREKEITQVMFQCLAGQGLESLNMMDLNDLGWLLEQNLEGIDKGIDTLTKASHSQGSVATASATMATPEAMLKSGEKVQAESPEREGSTETEDWQQMIEELMHPSEDVGQGLVLPFGDNNPTAFFP >EOY19275 pep chromosome:Theobroma_cacao_20110822:10:15680336:15693040:1 gene:TCM_044307 transcript:EOY19275 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MAMDSGAGEQSHKAHRSRQSGASAKRKAQAKAANKNQNSDRRQQNPKAFAFRSNAKAKRLQSRAVEKEQRRLHLPVIDRSYSEPPPFVVVVQGPPQVGKSLVIKSLVKHYTKHNLPEVRGPITIVSGKQRRLQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLIHGKYPKREIHNLARFISVMKFPPLSWRISHPYILVDRFEDVTPPDRVQMNNKCDRNVTLYGYLRGCNLKKGTKVHIAGVGDFSLAGVTGLSDPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQYSKVDEMGGTLRKGKERDVGEALVKSLQNIKNPIDEKLEKSKISLFSQNPNGLLETEGGKKDCDESPKHIRDIEPLEQYQPGEEDDAAQFDEESAHSDLDGSKSSDLDDEGSNFGEENADALERPGRVMEQVEFHNGRKRRKAIFGNSIDHSSLKVVDEENADDEYDDDDEDEGEDDGSDEDTQSFLGSEFSDGDNEDLKSDEDGMGNISKWRALLVERTAKKQNINLMQLVYGKSASTSNTFINEVQDDSENEESDGEFFKPKGEQKKNLKEGLDSDNINTEDCSKSTNYSALKNWKEEEVYGSVRDRFVTGDWSKAALRNQMSEAKTEAEDDVYGDFEDLETGEKCESHQKEDSSNGAIQNKDDAATEERRFTDDGSESPEEETDARHGFKFHQSQANDSGYYDKLKEEIEHQKQMNIAELNDLDEATRLEIEGFCTGMYLRLEVHGVPFEMVEYFDPCHPVLVGGIGLGEENVGYMQTRLKRHRWHKKVLKTRDPIIVSIGWRRYQTTPVYAIEDQNGRHRMLKYTPEHMHCLAMFWGPLAPPKSGVLAVQSLSNNQAAFRIIATAYVLEFNHAAQIVKKIKLVGCPCKIFKRTALIKDMFTSDLEVARFEGAAVRTVSGIRGQVKKAAKEEIGNQPKKKGGQPREGIARCTFEDRILMSDIVFLRAWTRVEVPQFYNPLTTSLQPRQTTWQGMKTVAELRREHNLPIPVNKDSLYKPIERKPRKFNPLVIPKALQADLPFESKPKNIPHRKRPLLEDRRAVVMEPHERKVHALVQQLQLIRNDKMKKRRLKEGQKRKELETQRAKDEQLLRKRRREERQERYREQDKLKKKIRRHAEA >EOY19276 pep chromosome:Theobroma_cacao_20110822:10:15680403:15692769:1 gene:TCM_044307 transcript:EOY19276 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MAMDSGAGEQSHKAHRSRQSGASAKRKAQAKAANKNQNSDRRQQNPKAFAFRSNAKAKRLQSRAVEKEQRRLHLPVIDRSYSEPPPFVVVVQGPPQVGKSLVIKSLVKHYTKHNLPEVRGPITIVSGKQRRLQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLIHGKYPKREIHNLARFISVMKFPPLSWRISHPYILVDRFEDVTPPDRVQMNNKCDRNVTLYGYLRGCNLKKGTKVHIAGVGDFSLAGVTGLSDPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQYSKVDEMGGTLRKGKERDVGEALVKSLQNIKNPIDEKLEKSKISLFSQNPNGLLETEGGKKDCDESPKHIRDIEPLEQYQPGEEDDAAQFDEESAHSDLDGSKSSDLDDEGSNFGEENADALERPGRVMEQVEFHNGRKRRKAIFGNSIDHSSLKVVDEENADDEYDDDDEDEGEDDGSDEDTQSFLGSEFSDGDNEDLKSDEDGMGNISKWRALLVERTAKKQNINLMQLVYGKSASTSNTFINEVQDDSENEESDGEFFKPKGEQKKNLKEGLDSDNINTEDCSKSTNYSALKNWKEEEVYGSVRDRFVTGDWSKAALRNQMSEAKTEAEDDVYGDFEDLETGEKCESHQKEDSSNGAIQNKDDAATEERRLKKLALRAKFDAQDDGSESPEEETDARHGFKFHQSQANDSGYYDKLKEEIEHQKQMNIAELNDLDEATRLEIEGFCTGMYLRLEVHGVPFEMVEYFDPCHPVLVGGIGLGEENVGYMQTRLKRHRWHKKVLKTRDPIIVSIGWRRYQTTPVYAIEDQNGRHRMLKYTPEHMHCLAMFWGPLAPPKSGVLAVQSLSNNQAAFRIIATAYVLEFNHAAQIVKKIKLVGCPCKIFKRTALIKDMFTSDLEVARFEGAAVRTVSGIRGQVKKAAKEEIGNQPKKKGGQPREGIARCTFEDRILMSDIVFLRAWTRVEVPQFYNPLTTSLQPRQTTWQGMKTVAELRREHNLPIPVNKDSLYKPIERKPRKFNPLVIPKALQADLPFESKPKNIPHRKRPLLEDRRAVVMEPHERKVHALVQQLQLIRNDKMKKRRLKEGQKRKELETQRAKDEQLLRKRRREERQERYREQDKLKKKIRRHAEA >EOY20128 pep chromosome:Theobroma_cacao_20110822:10:25002097:25003389:-1 gene:TCM_045525 transcript:EOY20128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRSSFTCKLLCTLFVLTGLMTESPRVVHALTCPEVSKALVPCVTYLATGSSFGHCCTQIRLLNSKAKTTSDRQGVCRCLTSLAAQFQRRHRLNFNLVKQLPGKCNVNIRYNITTQNPNCSQVK >EOY19826 pep chromosome:Theobroma_cacao_20110822:10:22941850:22942682:-1 gene:TCM_045184 transcript:EOY19826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKERKGLAEHTNNFNEIIKMLRKLGVEIGEEQITLMFLASLHDSYADAEKSVICAQKKLTLANAQEAARLTRKSKQVSNKLLKPSGSIVSPAG >EOY18327 pep chromosome:Theobroma_cacao_20110822:10:3023438:3025708:1 gene:TCM_042928 transcript:EOY18327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MHQSKEMEFVNTPLHIAAAEGGIDFAMEIMILKPSFARKLNQEGFSPIHLAVEKGHKELALQLMQNNKNLVRVKGKQGETPFHNAITREQNLDLLTRFLEACPECIQDMTTKNETPLHIATGNNRLEALELLCRMLRKSDYCQDVVNQKDRNEDTALHIARRNNQPQMLKLLLNCKADKLTTNQAGSTLAIAHELNNRESVNILRGWRRARVQEQMFKTVTKESEVIFLGMDSISSKDRSALLVILGLPLTGTCQASISPPGSVWQGDSSSNSNFTVGYDQKFPGTSVMDEVDLLQFYIPAYAVFIVAFFLTLGLLKPFPPGFRTSLHVLLAFLAISFDQSITFISPTDLAYLVICTFSTLVFICTMFMCIAYRVSKISVLILGCWLAPGMYGPSWIGTLLITEF >EOY20229 pep chromosome:Theobroma_cacao_20110822:10:25289136:25291793:-1 gene:TCM_045595 transcript:EOY20229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein, putative isoform 2 MVVVAAAAISGTSCIQIMMIQGGGGYPSKSMELRWISSRSRRKNLNPNPNSREFGSLKSKAPPLMMPIYISTDPSHINLQHLSELYSSCNHSGHRFPEVDPNTGIVEEAMDLDKLRIALSHSCVIVSVFCKPQHVDVTNTAKQIQNQENQKQMSKGFVGDLMESVMPVTPSDAKLVGFGRAVSDLGLTASIYDVMNAHK >EOY20226 pep chromosome:Theobroma_cacao_20110822:10:25288771:25291692:-1 gene:TCM_045595 transcript:EOY20226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein, putative isoform 2 MVVVAAAAISGTSCIQIMMIQGGGGYPSKSMELRWISSRSRRKNLNPNPNSREFGSLKSKAPPLMMPIYISTDPSHINLQHLSELYSSCNHSGHRFPEVDPNTGIVEEAMDLDKLRIALSHSCVIVSVFCKPQHVDVTNTAKQIQNQENQKQMSKGFVGDLMESVMPVTPSDAKLVGFGRAVSDLGLTASIYDVMVSPSLQGMGIGTIIVKRIVRMLTSSDIYDIVALCSRKERFFFKACGFRDDILGSTTMMYTRTVSTSFEGDQMVTRAGRKLLLAPTLREPFASSKTSKPES >EOY20231 pep chromosome:Theobroma_cacao_20110822:10:25290353:25291763:-1 gene:TCM_045595 transcript:EOY20231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein, putative isoform 2 MVVVAAAAISGTSCIQIMMIQGGGGYPSKSMELRWISSRSRRKNLNPNPNSREFGSLKSKAPPLMMPIYISTDPSHINLQHLSELYSSCNHSGHRFPEVDPNTGIVEEAMDLDKLRIALSHSCVIVSVFCKPQHVDVTNTAKQIQNQENQKQMSKGFVGDLMESVMPVTPSDAKLVGFGRAVSDLGLTASIYDVMCLPG >EOY20227 pep chromosome:Theobroma_cacao_20110822:10:25288774:25291740:-1 gene:TCM_045595 transcript:EOY20227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein, putative isoform 2 MVVVAAAAISGTSCIQIMMIQGGGGYPSKSMELRWISSRSRRKNLNPNPNSREFGSLKSKAPPLMMPIYISTDPSHINLQHLSELYSSCNHSGHRFPEVDPNTGIVEEAMDLDKLRIALSHSCVIVSVFCKPQHVDVTNTAKQIQNQENQKQMSKGFVGDLMESVMPVTPSDAKLVGFGRAVSDLGLTASIYDVMVLDLVSPSLQGMGIGTIIVKRIVRMLTSSDIYDIVALCSRKERFFFKACGFRDDILGSTTMMYTRTVSTSFEGDQMVTRAGRKLLLAPTLREPFASSKTSKPES >EOY20230 pep chromosome:Theobroma_cacao_20110822:10:25290377:25291590:-1 gene:TCM_045595 transcript:EOY20230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein, putative isoform 2 MVVVAAAAISGTSCIQIMMIQGGGGYPSKSMELRWISSRSRRKNLNPNPNSREFGSLKSKAPPLMMPIYISTDPSHINLQHLSELYSSCNHSGHRFPEVDPNTGIVEEAMDLDKLRIALSHSCVIVSVFCKPQHVDVTNTAKQIQNQENQKQMSKGFVGDLMESVMPVTPSDAKLVGFGRAVSDLGLTASIYDVMVLDLCLPG >EOY20228 pep chromosome:Theobroma_cacao_20110822:10:25289850:25291797:-1 gene:TCM_045595 transcript:EOY20228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein, putative isoform 2 MVVVAAAAISGTSCIQIMMIQGGGGYPSKSMELRWISSRSRRKNLNPNPNSREFGSLKSKAPPLMMPIYISTDPSHINLQHLSELYSSCNHSGHRFPEVDPNTGIVEEAMDLDKLRIALSHSCVIVSVFCKPQHVDVTNTAKQIQNQENQKQMSKGFVGDLMESVMPVTPSDAKLVGFGRAVSDLGLTASIYDVMVSPSLQGMGIGTIIVKRIVR >EOY19872 pep chromosome:Theobroma_cacao_20110822:10:23403430:23406443:1 gene:TCM_045255 transcript:EOY19872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reactive oxygen species modulator 1 MARDSCLARVTAGAAVGGAVGGAVGAVYGTYEAIRYKVPGMLKIRYIGQTTLGSAAIFGLFLGAGSLIHCGKSY >EOY19722 pep chromosome:Theobroma_cacao_20110822:10:21833817:21840227:-1 gene:TCM_045028 transcript:EOY19722 gene_biotype:protein_coding transcript_biotype:protein_coding description:BUB1-related MRKAVRGSSILALAMPLLMLQYETIDSSPTTHMVGDDNGWNPSISLEGWTRGKNFHAGDILRLETKILKINFIKSNFRSRCINSKFELSVSSFLARFLFSKPTKKKQSSSSQAMDPETEFLTSKRETSNEWELFKENVRPLKRGRNVDLLNHALKAHTNDQVKKSLLENRRRLIEAIDEYKGEDPLQPWLDCIKWVQEAFPPGGDFSGLVLIYEQCVRAFWHSDRYKDDLRYLKVWLEYAEHCSDAEVIYNFLDANDIGKTHSAYYMAYALHMESKSKMKAANDIFNLGIASNAQPIEKLRDAYKKFLARSMRMPKANEEALKEDDLPVRSFGTVLARGENQRQTSKSFDHGGNKLKPDQVHRTPFSIYNDTNLDVMTGHRSKPKTDSTSWHTLGARAERNKENNAMPAKWTSYKIPQRPLPRTTGPAASAHIEVFVDEECAEKPEVHDNKERASSLQLRELDGRNIKKETELLRENPLRNFPSNSLPR >EOY19216 pep chromosome:Theobroma_cacao_20110822:10:14263600:14276752:-1 gene:TCM_044175 transcript:EOY19216 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: binding; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Armadillo-type fold (InterPro:IPR016024); Has /.../ast hits to 164 proteins in 73 species: Archae - 0; Bacteria - 0; Metazoa - 47; Fungi - 68; Plants - 46; Viruses - 0; Other Eukaryotes - 4 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G30240) TAIR;Acc:AT1G30240] MLGKREDKEEATFLSSTSYDGKFHSFQLHSWVLRPSAFWVESLKVVPLVICLSFLLHSAGACNHFCFSCFYFVIEIVSNSKFNTQRLARFPNVKKDGTLLAGKLIQPVLKLLNDDSVEAVWEGAASLLYTIITFFPAAIHHYYDRAEAAIASKILSGKYSTRTLKKLGYCLALLPKSKGDEDSWSLMMQKILLSINDLLNDAFQGVEEEAKSDEVRRLLVPPGKDLPSPLGHTPLESASHEATRSSERLPASTVSTLIFCCCKMLTSSYPIQVTAPIRAMLALVERLLMVDGSLPHTMLPFMTAMQHELICSELPVLHAHALELLIAIIKGMRRQLLPHAAYVVRLVTRYFRRCALPELRIKLYSITRMLLISMGVGMAIYLAPDVIDNAINDLNSFGDEDVETSPTNIGPSTGALPQPSNRKRKHGTKTGSPEEKQTISSEVEPLNPHQTTPITVKIAALDTLEVLLTVGGASKSESWRSRIDSLLIKTATNSCKRGWGNEENNNFLPHESTSIWVDFQLSSLRALLASFLAPARIRPPFLSQGLELFRKGKQEAGTKLAGFCASALLALEVLIHPRALPLDDFPSSYQTFTDGASHRFPENMPFYGQKGDTMFSKSMQGAEQSALKSDDDDLYDRWLQNENENENIPIENMNDKRSRFNFVEKPCANDSSFTNILEVSEQELAAPDADVHMRGKDEIMVQPWHSQESIQQTQEIVSAKGVTSPVVARNPEGTEIEFKAAVSASDGLNQTDHDIVSDVLADKVDGFDNVCGNTSSTISNVEKVNASVAHLDSDSSMDSFPAIVDADPDTDSD >EOY19217 pep chromosome:Theobroma_cacao_20110822:10:14263640:14279415:-1 gene:TCM_044175 transcript:EOY19217 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: binding; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Armadillo-type fold (InterPro:IPR016024); Has /.../ast hits to 164 proteins in 73 species: Archae - 0; Bacteria - 0; Metazoa - 47; Fungi - 68; Plants - 46; Viruses - 0; Other Eukaryotes - 4 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G30240) TAIR;Acc:AT1G30240] MSGSIACYCCSLAIWSDKCWLGICLLGVTCQECSSDRFLSSYSVWLHKLLSHIQPPADSQLVKVASCAAISVLFTRLARFPNVKKDGTLLAGKLIQPVLKLLNDDSVEAVWEGAASLLYTIITFFPAAIHHYYDRAEAAIASKILSGKYSTRTLKKLGYCLALLPKSKGDEDSWSLMMQKILLSINDLLNDAFQGVEEEAKSDEVRRLLVPPGKDLPSPLGHTPLESASHEATRSSERLPASTVSTLIFCCCKMLTSSYPIQVTAPIRAMLALVERLLMVDGSLPHTMLPFMTAMQHELICSELPVLHAHALELLIAIIKGMRRQLLPHAAYVVRLVTRYFRRCALPELRIKLYSITRMLLISMGVGMAIYLAPDVIDNAINDLNSFGDEDVETSPTNIGPSTGALPQPSNRKRKHGTKTGSPEEKQTISSEVEPLNPHQTTPITVKIAALDTLEVLLTVGGASKSESWRSRIDSLLIKTATNSCKRGWGNEENNNFLPHESTSIWVDFQLSSLRALLASFLAPARIRPPFLSQGLELFRKGKQEAGTKLAGFCASALLALEVLIHPRALPLDDFPSSYQTFTDGASHRFPENMPFYGQKGDTMFSKSMQGAEQSALKSDDDDLYDRWLQNENENENIPIENMNDKRSRFNFVEKPCANDSSFTNILEVSEQELAAPDADVHMRGKDEIMVQPWHSQESIQQTQEIVSAKGVTSPVVARNPEGTEIEFKAAVSASDGLNQTDHDIVSDVLADKVDGFDNVCGNTSSTISNVEKVNASVAHLDSDSSMDSFPAIVDADPDTDSD >EOY18699 pep chromosome:Theobroma_cacao_20110822:10:4571666:4575638:-1 gene:TCM_043200 transcript:EOY18699 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MDYYGIKITGGQGQSSLKPTLCGHQKPVLTVSWSPDDSQILTCGQEEGMRPWDVMAVSELSRLRTFLEMENVPVRRLIVNQILHPSASDCKFCAVKRKHQMRALNMIHNDPELSSLKLNQAPLVDMEIGGAPALKIVGDIVYGNKQPPGTPVYPPCPAETKKPILSLFTSEPDKPALTGEKEPKQQTRPQNNILELKPKTCRGGANYNLTGETWELEGRLRGGRYRDGRQGLVVAGRDPDIGGHGWSEGEVPCFSVLVPSRNFHSKADQETIRSSATKFQI >EOY18810 pep chromosome:Theobroma_cacao_20110822:10:5059761:5062032:1 gene:TCM_043295 transcript:EOY18810 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif and CCHC-type zinc finger domains containing protein MTQKRKSTTNSDSEEDETFYFRYSSVAAPPSSSSSNPNQITSKSTGGGGGSSGLAPSKSTLYVSNLDYSLTNSDLHTLFSTFGKIARVSVLKDRVTRKPKGVAFIQFVSRDGALSAERVMHGKVLNGRTLSASIAIDNGRAPEFIRKRVYKDKSRCYECGVTGHLSYECPKNQLGPRERPVPKKGRRGCGGGERREDNGDWADEESDGGDGFEEENWASVVDGAAEERLRKAEMVEEKKKKVTRKVSYFSDESDEEE >EOY19774 pep chromosome:Theobroma_cacao_20110822:10:22426280:22430545:1 gene:TCM_045114 transcript:EOY19774 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH:quinone oxidoreductase isoform 1 MEALQAAKPVIKVAALCGSLRKGSYNRGLLRTALEISKQSINGMQIEYIDISPLPMLNTDLEDNGKYPPAVEAFRQKILEADSILFASPEYNYSVTGPLKNAIDWASRPPNVWADKAAAIVSAGGSFGGGRAQYHLRQIGVYLDLHFINKPEFYLNAFQPPAKFDSDGNLIDPSSKQKIKEVLLALQAFTLRLQGCNFNLSAISELPRQSGRVSEEGPVLIPFTKGNIGQRFHANTEYKLGRTSEPYDQYDLETCNGERRVISQFQDKNFKLKDKLYNHNARERMYKMALAASWVLFLIVFMLSYWVKNVEDACCKRNVFSRSLDFWVAYS >EOY19775 pep chromosome:Theobroma_cacao_20110822:10:22426827:22430668:1 gene:TCM_045114 transcript:EOY19775 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH:quinone oxidoreductase isoform 1 RRFWRLIASFLLPLSTTTLLLVCPGPLKNAIDWASRPPNVWADKAAAIVSAGGSFGGGRAQYHLRQIGVYLDLHFINKPEFYLNAFQPPAKFDSDGNLIDPSSKQKIKEVLLALQAFTLRLQGCNFNLSAISELPRQSGRVSEEGPVLIPFTKGNIGQRFHANTEYKLGRTSEPYDQYDLETCNGERRVISQFQDKNFKLKDKLYNHNARERMYKMALAASWVLFLIVFMLSYWVKNVEDACCKRNVFSRSLDFWVAYS >EOY18785 pep chromosome:Theobroma_cacao_20110822:10:4970038:4975022:1 gene:TCM_043281 transcript:EOY18785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family protein MNPPNQTPDQFSQFPLPHFTLLPPLPNPTPNRNFHPIPTPTPIPIPNSNPNATPHLTDHLLSFPVPKKRRRGRPQRSASTSSFHVLSFPNGSFNPNLPNSNPNHNSIPSSSTATTQVTPPKIADEIIVINKESTTEALTALSAGFPADSLTEEEIDFGVVSSVGGIEQVNYILIRNHIIAKWRENISNWVTKEMFVDSIPKHCSPLLDSAYNYLVTHGYINFGVAPAIKEKIPAEPSKSNVVIIGAGLAGLAAARQLMRFGFKVTVLEGRKRAGGRVYTKKMEGGNRVSAAADLGGSVLTGTLGNPLGIVAKQLGASLFKVRDKCPLYRMDGRPVDPDMDMKVETAFNRLLDKASRLRQLMGDVAMDVSLGAALETFRQLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGSDGVQVMAGSQVYEGDMALCTVPLGVLKSGSIKFIPELPQRKLDGIKRLGFGLLNKVGMLFPYVFWGTDLDTFGHLTEDPNRRGEFFLFYSYATVAGGPLLLALVAGEAAHRFETMPPTDAVTQVLQILKGIYEPQGITVPEPLQTVCTRWGGDPFSLGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFLTGLREAANMAQYAKTRTGKKKIYRSPSNNAHSCASLLMDLFREPDLEFGSFSVIFGRKNADPKSPAILRVTFSEPRKKNQEGSKTDQQHSNKVLFQQLQSHFNQQQQLHVYTLLSRQQALELREVRGGDEMRLNYLCEKLGVKLVGRKGLGPTADSVIASIKAQRGVRKPSSTPLALKSGMSKLKTGTLKQKFIRRAKIVRNTKGLIPPPILNAVNGSVSEEIKVIKQAPPDISTSGQNLGETLKQ >EOY18891 pep chromosome:Theobroma_cacao_20110822:10:5946266:5955047:1 gene:TCM_043397 transcript:EOY18891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPP8 MAEAIVSLAIERITDLLIYEAFCLNDVREEVESIKAELERMKSFLKDVDHKQEQDERLRTRVREIRDLAYDAEDVIDSYILEVAHRGGFHGIIKRFTSLSTHKIGKQVKAIQTKLGDISKTLTTYGISREGEGSNSAAEMQRRLRRSYPHVEEEDVVSLEVSTKDVMDLLMKKADRLHVVSVVGMGGIGKTTLAKKIYNHNDVKRHFDCCAWVFISQQCMPREVFHGVLIKVLSPSRKEKERIDKLKEHELVEKLYDVLKEKRYLVVLDDIWRCEDWVSLKPAFPKGNKGSKLFFTTRNKEVALLADPCSSPIELPLLTDDESWTLFERKAFPENKMDSHACSKEFERLGKEILKKCGGLPLAIVVLGGLLATKKSCTEWEMVLKNINAHLNKFQQQGCHYGGVNGILALSFNELPFHLKPCFLYFGHYPEDSEISKKELIRLWIAEAFISQSLEGETLMEDVAEQYLEELTNRCLVQVGRRDHTGAGVKTYRIHDLLRDLCVSKAQEENFFKIVQLRMNENKNHFLHLTVATISKARRIVVHPSKRYVSLKGKCPNLRSLLLFQDEDLIRLDISNCNNFRFLRVLNLLRKDVLWIIPSEIGNLFHLRYLGLKCAEVVLPRSIVKLKNLHTLYILVKSPGIIPNVLSKLERLRHLLLINWYAFKNWHNIKGCCQVNTLENIETMKYIRVENLTKNNALLKLTNIRSLGIQFKRPEDVEAMLRSRSFGSDRLRSLRMALEGSIPFPELKQLSHCHHLSKLFLCGRIKEDPSPSHHVLKFLPTSICKLTLFFSHINQDPMPVLEKLPHLRILCLESSSYTGTRMSCSANGFPQLDSIDIKSSNLAEWQIEEGAMPCLRSLHLAFVPGLKMVPEGLRYITSLQEMKLEGMSRSFLKRIQVIDGREGEDFYKVRHVLSIQTI >EOY19577 pep chromosome:Theobroma_cacao_20110822:10:18958855:18960401:1 gene:TCM_044720 transcript:EOY19577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase 9 MDKGKKRLAVLVGCNYANTQHELHGCINDVVAMREVLVERFGFDPSHIELLTDAPGSLVMPTGANIKASLNEMMNKAEAGDVLFFHYSGHGTRIPSWKPGHHFRQDEAIVPCDFNLITDVDFRQLVNRLPRGATFTILSDSCHSGGLIDKEKEQIGPSIVKNTTSVSYTVKTIPFQSVLRHLSSLTSINTSDIGTHLLEFFGADASLKFRLPKLESDLLESLKTDEGILLSGCQADETSADMNAIEGGGKAYGVFSNAVHMALNENSGALSNRKVVMMARRVLEAQGFAQHPCLYCSDGNADATFLLQPEAN >EOY19158 pep chromosome:Theobroma_cacao_20110822:10:11230654:11237114:1 gene:TCM_043934 transcript:EOY19158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 3 MGVRMSWNCGGFTELRPLLHLLLPLCVHWIAEEMTVSVLVDVVTAALCPSQSTCSEAIYISGIQQTVVGVLKMLVLPLLGQLADEYGRKPLLLLTVSTTIVPFALLAWNQSREFVYIYYVLRTISYIISQGSIFCIAVAYAADVVSVRNRAAVFSLITGLFSASHVLGNVLARFLPEKYIFLVSIVLLIFCPVYMQFFLVETVEIDTTREQDSGCLTKSMKVLNKRYKSMKDAAGIVISSPTLRGISFVSFFYELGTSGITSILLYYLKAAFGFDKDQFSEILMMVGIGSIASQILVLPLINPLVGEKVILCIALLASIAYALFNGLAWAPWVPYLGASFGVIYVLVKPSTYAIISKASSVTDQQGTVQGFIAGVQAMASLLSPLAMSPLTSWFLSSNAPFNCKGFSIIVASICLVISLCFACLLQPGENSIQETEEDMEASLLSNS >EOY19159 pep chromosome:Theobroma_cacao_20110822:10:11231913:11236826:1 gene:TCM_043934 transcript:EOY19159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 3 MLVLPLLGQLADEYGRKPLLLLTVSTTIVPFALLAWNQSREFVYIYYVLRTISYIISQGSIFCIAVAYAADVVSVRNRAAVFSLITGLFSASHVLGNVLARFLPEKYIFLVSIVLLIFCPVYMQFFLVETVEIDTTREQDSGCLTKSMKVLNKRYKSMKDAAGIVISSPTLRGISFVSFFYELGTSGITSILLYYLKAAFGFDKDQFSEILMMVGIGSIASQILVLPLINPLVGEKVILCIALLASIAYALFNGLAWAPWVPYLGASFGVIYVLVKPSTYAIISKASSVTDQGTVQGFIAGVQAMASLLSPLAMSPLTSWFLSSNAPFNCKGFSIIVASICLVISLCFACLLQPGENSIQETEEDMEASLLSNS >EOY19160 pep chromosome:Theobroma_cacao_20110822:10:11231715:11236826:1 gene:TCM_043934 transcript:EOY19160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 3 MLVLPLLGQLADEYGRKPLLLLTVSTTIVPFALLAWNQSREFVYIYYVLRTISYIISQGSIFCIAVAYAADVVSVRNRAAVFSLITGLFSASHVLGNVLARFLPEKYIFLVSIVLLIFCPVYMQFFLVETVEIDTTREQDSGCLTKSMKVLNKRYKSMKDAAGIVISSPTLRGISFVSFFYELGTSGITSILLYYLKAAFGFDKDQFSEILMMVGIGSIASQILVLPLINPLVGEKVILCIALLASIAYALFNGLAWAPWVPYLGASFGVIYVLVKPSTYAIISKASSVTDQGTVQGFIAGVQAMASLLSPLAMSPLTSWFLSSNAPFNCKGFSIIVASICLVISLCFACLLQPGENSIQETEEDMEASLLSNS >EOY19157 pep chromosome:Theobroma_cacao_20110822:10:11229497:11237250:1 gene:TCM_043934 transcript:EOY19157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 3 MFRDVIMPPTPKHTTNPSQHVDVYAHTHSPPFFSLLCTLTYTPNIKIKLKLIQFLLLHFSRSVMGVRMSWNCGGFTELRPLLHLLLPLCVHWIAEEMTVSVLVDVVTAALCPSQSTCSEAIYISGIQQTVVGVLKMLVLPLLGQLADEYGRKPLLLLTVSTTIVPFALLAWNQSREFVYIYYVLRTISYIISQGSIFCIAVAYAADVVSVRNRAAVFSLITGLFSASHVLGNVLARFLPEKYIFLVSIVLLIFCPVYMQFFLVETVEIDTTREQDSGCLTKSMKVLNKRYKSMKDAAGIVISSPTLRGISFVSFFYELGTSGITSILLYYLKAAFGFDKDQFSEILMMVGIGSIASQILVLPLINPLVGEKVILCIALLASIAYALFNGLAWAPWVPYLGASFGVIYVLVKPSTYAIISKASSVTDQGTVQGFIAGVQAMASLLSPLAMSPLTSWFLSSNAPFNCKGFSIIVASICLVISLCFACLLQPGENSIQETEEDMEASLLSNS >EOY19080 pep chromosome:Theobroma_cacao_20110822:10:9577992:9585183:-1 gene:TCM_043755 transcript:EOY19080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMHACRQQKFNSNFTTLWHKRFGHCNYNSLMQLSNLGLLGLSELCSLSSYVDHGPKKLGRDTLSVRTLSSMTMRVGIGSKVKLQFLMNLLKELNMIARNKTQSLVNRPRNRQVIRVKWIFKRELNPNCSLNSASSKRHDQLQTAQTIDIVNMITSDELETSKGANQVGTL >EOY19329 pep chromosome:Theobroma_cacao_20110822:10:16467787:16470422:-1 gene:TCM_044399 transcript:EOY19329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRDKFEKVEANIEKLGSKKDELRGEQENKGEKKNKNLGGEIQGKIGENSRKQVTKGGEQITLVKVPKLCLKTGIRSTSTPRTAQDSCLIVD >EOY18438 pep chromosome:Theobroma_cacao_20110822:10:3497249:3504489:1 gene:TCM_043027 transcript:EOY18438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intracellular protein transport protein USO1, putative isoform 1 MKKLFFFKSSSSNGNSNAVPSPSADKQVYWENPLDRELNDQLGDKADYSFRSPRRLFGKSGKQISDSPSFSNSSCLRRSRSLSSAAFLVDGLGQQHFSSSNDQNRSPNITPHQQYDHSSRRRALTPEKKSKAKRCEVAAVGFERPCSSSFSRMHHDSSGSSSSCSSNVSSKVIDRYIDGEQQQESSKSKNSSQRNNLRNGGGRLPPRVQYTAPSSPTDSVKEKNVSHSFREAKGTRLHFSSKDWVENGLGHESPRKIAKNVVERLSQTHAVPRSSSKEFNHHIPITTEDVYGGYLNRCPDSKLDMLAQKSCVMDEPYANVIGYHEDFSSLEKQNCLSGGSDDGLDSFETEEDADVELQRRSKEAEERVILLSEALAQESFLRDSGFDVSSLIQTIRHLIQEKINLALEVSDLLQSRIAERAFAREELRMARAELESQTKKLEKEKHELQSGLEKELDRRSSDWSFKLEKYQLEEQRLRERVRELAEQNVSLQREVSSFNEKEIENRSIMTYSAEQLKDLTRRVEEISDENQDLRQNLSESQQKYRAAIEDLDCIRRNFEEKEKECKELQKSTTRLLRTCSEQEKTIEGLREGYSEDIGKMQSMEKNEKQVKKLQMEQMRLTGVELALRREVESYRLEVGFLRHENIDLLNRLKGNGKDIGALTFKLDKEMRSRVCCLQNQGLSMLNESTHLSSKLIEFIKGRASQLQETHQGLDGQFIVESDVKVQGFKRGIESLTRSLQTIANLLHEKSSAVGSKCHSACMDPDGSMKLNNQSSEEIIRTELKAETLLTSLLREKLYSKELEVEQLQAELAAGVRGNDILRCEVQNAMDNISCLTHRLKDLELQILKKDDNISHLQNDLKESTKELTILRGILPKVSQERDLIWEEVKQYSEKNMLLNSEVNVLKKKIEALDEDILLKEGQITILKDTLNNNKTFDLLGSPDSTREFLLE >EOY18439 pep chromosome:Theobroma_cacao_20110822:10:3497249:3504342:1 gene:TCM_043027 transcript:EOY18439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intracellular protein transport protein USO1, putative isoform 1 MKKLFFFKSSSSNGNSNAVPSPSADKQVYWENPLDRELNDQLGDKADYSFRSPRRLFGKSGKQISDSPSFSNSSCLRRSRSLSSAAFLVDGLGQQHFSSSNDQNRSPNITPHQQYDHSSRRRALTPEKKSKAKRCEVAAVGFERPCSSSFSRMHHDSSGSSSSCSSNVSSKVIDRYIDGEQQQESSKSKNSSQRNNLRNGGGRLPPRVQYTAPSSPTDSVKEKNVSHSFREAKGTRLHFSSKDWVENGLGHESPRKIAKNVVERLSQTHAVPRSSSKEFNHHIPITTEDVYGGYLNRCPDSKLDMLAQKSCVMDEPYANVIGYHEDFSSLEKQNCLSGGSDDGLDSFETEEDADVELQRRSKEAEERVILLSEALAQESFLRDSGFDVSSLIQTIRHLIQEKINLALEVSDLLQSRIAERAFAREELRMARAELESQTKKLEKEKHELQSGLEKELDRRSSDWSFKLEKYQLEEQRLRERVRELAEQNVSLQREVSSFNEKEIENRSIMTYSAEQLKDLTRRVEEISDENQDLRQNLSESQQKYRAAIEDLDCIRRNFEEKEKECKELQKSTTRLLRTCSEQEKTIEGLREGYSEDIGKMQSMEKNEKQVKKLQMEQMRLTGVELALRREVESYRLEVGFLRHENIDLLNRLKGNGKDIGALTFKLDKEMRSRVCCLQNQGLSMLNESTHLSSKLIEFIKGRASQLQETHQGLDGQFIVESDVKVQGFKRGIESLTRSLQTIANLLHEKSSAVGSKCHSACMDPDGSMKLNNQSSEEIIRTELKAETLLTSLLREKLYSKELEVEQLQAELAAGVRGNDILRCEVQNAMDNISCLTHRLKDLELQILKKDDNISHLQNDLKESTKELTILRGILPKVSQERDLIWEEVKQYSEKNMLLNSEVNVLKKKIEALDEDILLKEGQITILKDTLNNNKTFDLLGSPDSTREFLLE >EOY18440 pep chromosome:Theobroma_cacao_20110822:10:3497181:3503513:1 gene:TCM_043027 transcript:EOY18440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intracellular protein transport protein USO1, putative isoform 1 MKKLFFFKSSSSNGNSNAVPSPSADKQVYWENPLDRELNDQLGDKADYSFRSPRRLFGKSGKQISDSPSFSNSSCLRRSRSLSSAAFLVDGLGQQHFSSSNDQNRSPNITPHQQYDHSSRRRALTPEKKSKAKRCEVAAVGFERPCSSSFSRMHHDSSGSSSSCSSNVSSKVIDRYIDGEQQQESSKSKNSSQRNNLRNGGGRLPPRVQYTAPSSPTDSVKEKNVSHSFREAKGTRLHFSSKDWVENGLGHESPRKIAKNVVERLSQTHAVPRSSSKEFNHHIPITTEDVYGGYLNRCPDSKLDMLAQKSCVMDEPYANVIGYHEDFSSLEKQNCLSGGSDDGLDSFETEEDADVELQRRSKEAEERVILLSEALAQESFLRDSGFDVSSLIQTIRHLIQEKINLALEVSDLLQSRIAERAFAREELRMARAELESQTKKLEKEKHELQSGLEKELDRRSSDWSFKLEKYQLEEQRLRERVRELAEQNVSLQREVSSFNEKEIENRSIMTYSAEQLKDLTRRVEEISDENQDLRQNLSESQQKYRAAIEDLDCIRRNFEEKEKECKELQKSTTRLLRTCSEQEKTIEGLREGYSEDIGKMQSMEKNEKQVKKLQMEQMRLTGVELALRREVESYRLEVGFLRHENIDLLNRLKGNGKDIGALTFKLDKEMRSRVCCLQNQGLSMLNESTHLSSKLIEFIKGRASQLQETHQGLDGQFIVESDVKVQGFKRGIESLTRSLQTIANLLHEKSSAVGSKCHSACMDPDGSMKLNNQSSEEIIRTELKAETLLTSLLREKLYSKELEVEQLQAELAAGVRGNDILRCEVQNAMDNISCLTHRLKDLELQILKKDDNISHLQNDLKESTKELTILRGILPKVSQERDLIWEEVKQYSEKNMLLNSEVNVLKKKIEALDEDILLKEGQITILKDTLNNNKTFDLLGSPDSTREFLLE >EOY19247 pep chromosome:Theobroma_cacao_20110822:10:15158403:15173269:1 gene:TCM_044253 transcript:EOY19247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDHELWFAIGKSKARLSKQEFCLITGLKFGPMLDVFKRPYEVAVDGIHARYWNGEDSVKLQALLDTFREGNFQRPGDATKMALILIANNILFGQDYRRRVTPWLLSLVEDIDAWNVFPWGHYIWKLTLDYLLKGFEVPDLSVTKETRLRYNIYGFAWVIQLWALETLEPIADEALRECEAKRRSLKEKRASGGTKRMRIAAALVDELMDERDDHGQGSEQPLDHGSTASEPPTGHPQMQSGNDLSFAKATTGPEAPISPTQPQTANEPTYAKATTGPEAPIGPTPSQTANEPLLTQSRTVNDGAVTTRQLRGIMRKHEKDMLELKASIQSLSVEMQTIEDRIVGRILDDLKSQGGPSHGAGLEHDNADDGQHHEPGVDIDDDVLGVDGEHVTHVDDVVEEAVAVDVTLQSDDAKGEHLPPTDAFIDAAAGAIVLYCESTPDAVEIQLLSPESSAVHHGATEISDPTERAWLKTASNYMASLWQPLVTRRDVRDKIVENYEAFKKEESARRNVDILGDQGVDFFIILEDPNEEMTSEHIDACLSLLCK >EOY18351 pep chromosome:Theobroma_cacao_20110822:10:3147676:3154268:-1 gene:TCM_042956 transcript:EOY18351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase phosphatase 1 MVGKEDAPVNPRAPSCQLSGSRKMFWRSASWSSSRTSGQIPETEEKDLGADPNGNDGTNNGQTRRFPPPPLTPRSQQNCKARSCLPPLQPLSIARRSLDEWPKAGSDDIGEWPQPPTPSGNKSGERLKLDLSSIQRNNDKNGGLLKRDKIAFFDKECSKVAEHIYLGGDAVARDREILKQNGITHVLNCVGFVCPEYFKADFVYRTLWLQDSPSEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLLRMYRIAPHSPYDPLHLVPKMLNDPSPSVLDSRGAFIVQIPSAIYIWIGKNCESIMERDARGAVCQIVRYERVQGPIIMIKEGEEQAYFWDAFSNLLPLMDKPGNKVEVGESAVKICGERKVDAYNVDFEIFQKAIKGGFVPPFASSENEHETHLPARESSWSMLRRKFASGIMKEFVSAPKILLSRVYSDSMMIVHASSPSSTSSSSSSSSSPPYLSPDSISSDSSTCSKYFSESSLDSPSAISRSLPVSSTLSNLSNLSLVPSQTSSRPKSNSSEVVSVNLTSQPCSQTASSPQKKVSPSLAERRGSLSKSLKLPVMSDNVRVTNDPPCFLVKQDGVRINENTNSSCESDIEIVFDSKRGVRNGGDRLIQGSNLKISPGRIANADSRDKQSTFVNSCFEPWRNYPSQDGFGSAVPNRMEERIPACLGVIQPLICRWPSIERMTKFNRSDLDSKSAFAIFSPTTAVGKSEDRILYFWVGRSFHHDKSLIQFSSRVLGDREEIDWNQVCYHVLTQMGLPKDTPVKIVKEDEEPTEFLALLRML >EOY20000 pep chromosome:Theobroma_cacao_20110822:10:24297946:24298621:-1 gene:TCM_045401 transcript:EOY20000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MHKGNCMICSHLLAFNGVRKEHAKIMSISLTNLCNEGDYYEQVHVVTLSSMEDIYYILGVLKILSNLRILEVIE >EOY19999 pep chromosome:Theobroma_cacao_20110822:10:24297946:24298614:-1 gene:TCM_045401 transcript:EOY19999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MHKGNCMICSHLLAFNGVRKEHAKIMSISLTNLCNEGDYYEQVHVVTLSSMEDIYYILGVLKILSNLRILEVIE >EOY20001 pep chromosome:Theobroma_cacao_20110822:10:24297951:24304901:-1 gene:TCM_045401 transcript:EOY20001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MHKGNCMICSHLLAFNGVRKEHAKIMSISLTNLCNEGDYYEQVHVVTLSSMEDIYYILGVLKILSNLRILEVIE >EOY19965 pep chromosome:Theobroma_cacao_20110822:10:24148997:24150766:-1 gene:TCM_045368 transcript:EOY19965 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative MEAKSQSLVPESYAINGGDGPYSYAQNSLYQRGIMEAAKGMINEEIAMKLDIQKLSLAASEPIRIADLGCSCGANTILAIQNILEALKRKFRTHPTPEFQVFFNDQVSNDFNSLFASLPVLGRQYYAASVPGSFHGRLFPTASLHFVYSSCALHWLSKVPKGVVDKTDPAWNEGRIHYTGAPKEVFEAYSDQFAKDIDSFLQARGKELAPGGLMALLIPAIPDVISHPQITTGSEPELLGSCLVDMEKMGIVSEAKVDTFNLPIYFTYPKELRQIIEGNGCFSIKRMEILNIPKQHIVMPEPRQRTLYLRALLEALIEKHFGNEIIDQLFEIY >EOY20171 pep chromosome:Theobroma_cacao_20110822:10:25135204:25141174:1 gene:TCM_045552 transcript:EOY20171 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F target gene 1 MGGPPYDCVANPLGAVRLTFEKAIGSGTQTHPSAFDGKDWGALDLFRHFLFQESGLSQVPILNPKTMRWVQPYTLVRYRGMIQDMLGNEIYVGAYKDGNLWRTNKFMDISQYPMGSSPDMCVWERRLLYCVPVPGQSSWTETSCEMDLNQGMDQSSQNRGKRRRMDDEDDPMDVVPDDEIKSSPTTKKMREDGFPSSSPESRDSKTGSSCTSMATFQYVDKDYLPCLVKIYDCPESELKLNDVVEFIGVLTFDSELALEKDDELSNSFYDDALVYLPPNKVPRLHCIIHRKLAVHDFLQGFPIMEPKPHLVKEIREALFRHLTVVLGNDGVAAHFMLLHLLSKVHARADDVAVGKLSLNLIGLSKESVSVFGTRLSQAVKNLLPFTHCIPLTLEYLNTASLAPKKDYQTNRLIPGVLQLPEGSHLMVDETQLESGTLNSNGIENTKLLKNLMEFQKVEYDFQYYKMEMAADVQLLIFSEGKSNIVPADVVIPFQPSSLGSSEIPVAEAVEAWRWYLATVRSLPHSIGSEMQKEVEDDLVAARQADRSLGSQDFNRWLTMARLVSTSFGETSLSMEHWQMVKELERLRMERLK >EOY18321 pep chromosome:Theobroma_cacao_20110822:10:2977526:2979083:1 gene:TCM_042918 transcript:EOY18321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MDWVLREAARAGNIDALYEQFQEDPHLSDRIDAVPFIDTPLHEAAAAGQVDFAAEMMNLKPSFASKINPDGFTPLHLALQKHQTQLLYELLKINKDLVRVKGKKGVTLLHYAAELGDIDILAKFLVACPQCIKDVTVGGKTALHIAVENNNVEALEVLARWLRGTPNKDGGFWEIEVMNWKDKDGNTMIRLLLECGIRKNIINLNGLTALDVLQCQGQVDNREAVDVLRQAGGLNASLIPESTPLAELFRSKVEFSERLMIIVTRARLNIPSDTRNAFLVLAGLVITATYQAIFNPPGGVRQAEAGSTQVPS >EOY18288 pep chromosome:Theobroma_cacao_20110822:10:2808722:2813256:-1 gene:TCM_042888 transcript:EOY18288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFYVLCYHEQLGTNVMNIVSHSHTMKFMIIQNIIFVTFVKKEETPTFGFIIVHFVTSQLIPNVFLEITRLSSSGGGSLQRLIIHTYSFSGKRFIFTLNVLNVVSSASIWLLSVQTQDAALLSIGDVAASKTSLKMIISLS >EOY20084 pep chromosome:Theobroma_cacao_20110822:10:24744005:24747846:1 gene:TCM_045483 transcript:EOY20084 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein MRCMVSKGQMEDFSDMLLFTLGRRNMTIEDRISKLPDGLLLKIMSFLNTKQAVQTCVLSKRWKPLWQSLPNLVFDFDTFPFQQEIDDEDQEEVEMKMCSFSNFIRQVLFRRCPTDLVKVCVQSHIYDPHCFLVDGLLCYAVKHNVQQLTFHSRSDCQYLFPESFWTCQSLTSLELKGSDWMPMKLPTLLACPALKSLHLSHFSTAGPNFEPTAFSGCLNLETLQLFDILAVGSEGLCIDALKLTSLVLSFAFLGDGKVEIYAPRLTTFKYSGTPPIVCLTDHLASVDNVYFDIKTPPRFRHSEGEYVLRSINTLSEFRHAKSLTLSSSTVQVLTKFPSLLDQNPLSFANLKHMKIKVKKWERDRFEMPACILNYFFNNSAILRIWMDSFEDSQ >EOY17672 pep chromosome:Theobroma_cacao_20110822:10:539506:543418:1 gene:TCM_042438 transcript:EOY17672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MVHRSCISLPHILKHPGHFEHPISHTYFLGQDKFKLWECRICNLEDVNSNYGSYYCSDCEYAVHVICAIEEYNWYDFDESGIIDESLEENSALQPYSIIKEIKDGENVVAIEIKHFSHPHNLVLNNDVKDDKCCDACVLPISTSYHYCSQCNFFLHKSCAELPRKKHLSLHSHTKPHQLTSNRIFRCALCWNETNGFAYTCAECNICYCLRCASISDSLKYEGHEHLLSYQKYEGLCNACGQSLNYAFRCKRCNFSLGEDCLELPLKARHKSDVHHFTLTHHDNDYPKSHYCDICEEKRNLNYWFYHCAICDNSAHPKCVLEKYPFIKLGNIYTEEGHPHPLTFVQKVHYYPACQRCGKPCLDMALECKTIGCSYIVHWECIKPRF >EOY18641 pep chromosome:Theobroma_cacao_20110822:10:4151234:4152288:-1 gene:TCM_043140 transcript:EOY18641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNENRWTDSVAIWRKVKRGKVEEFWYCRRPPHRTVHWYQTPNTPLTFYLFIFSDAKNISLYIACQSITSNSFQKKKKLKLKSQK >EOY18281 pep chromosome:Theobroma_cacao_20110822:10:2709457:2715691:-1 gene:TCM_042875 transcript:EOY18281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MKPKSRDLFTVVHCARLPFTLNVCHHHLLLKIVVMNTDSLGENEYGLLECGICHEDVNKELGSYYCFECEYIVHVKCVLDPGLYYGIESKDDYEKLNGNPALMDSSFLVIKRIKLGENVISSEIKHFTHEHILVLYDEAKDDKCCDFCSLLIETSFYHCSECDFCLYKSCAKLPRIKQFWLLPQFSLNPTCFFICQLCLKTHTSFAYKAVKNFWSVYICVQCAEFSLARPCQGHEEHLLFLYHKDNGQCNACGDSMCDQSAYTCKDCNFNVHPKCTLLPQTVRHKCDEHRLTLIYHEDNDYSEYHYCDICEEIRNPNIWFYHCTICDNSAHLKCVLGEYSFIKLRSKITTEIAEIGHPQSLVLVQKVPHNLVGSLWMWESWQCSISCILLIH >EOY19794 pep chromosome:Theobroma_cacao_20110822:10:22561325:22562538:-1 gene:TCM_045133 transcript:EOY19794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMNHETNGREGIEVSKRREKAMFGILSSMRHISFRLLVKHFLQYHPKKGFRGSRQQ >EOY20120 pep chromosome:Theobroma_cacao_20110822:10:24977244:24980522:1 gene:TCM_045518 transcript:EOY20120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNAGDSYPSGMGEEEVQEKQVFCVIKAFSTRTGRLWLSGESGPLRFDRTVERVNATVWDGTGKGTWWHAYIACRVYAGTRSAL >EOY20189 pep chromosome:Theobroma_cacao_20110822:10:25190247:25192611:1 gene:TCM_045564 transcript:EOY20189 gene_biotype:protein_coding transcript_biotype:protein_coding description:OB-fold nucleic acid binding domain-containing protein MKGGRKNLKRAAKDQNLSLQHGQSIMQVLSLRGSNLIEVVDARGGKSLALFPAKFQKSLWIKRGSFVVVDESGKEKALESGSKVACIVSQVLFYEQLRALQKSPEWPENFKSSNLDDLVENDGGATSECLQRHPSQQEVEDELESSDDDGLPPLEANLNRIKPFELQSDRESDSGSDSD >EOY18884 pep chromosome:Theobroma_cacao_20110822:10:5750941:5759559:-1 gene:TCM_043383 transcript:EOY18884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein KKKLEIYKKNYVESSFKVNYVDEKKSLNIFCGTKKKNHGFNNFDAMKKKRRNLLLGGKKYHLKHEWSVCWKEIVIVMTFSPIVASA >EOY19910 pep chromosome:Theobroma_cacao_20110822:10:23827974:23838810:1 gene:TCM_045317 transcript:EOY19910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein, putative MVKQSLIDDYGQLSSWGNEDGKKDCCLWRGVRCSNRTRHVIMLDLSNPWTLDHNLWEHNPLRGNINPSLLDLQHLRYLDLSSNQFEGSMLPNLNGSLSKLRYLNLNRAGLSSTILNQLGNLSELQFLDLSYNDYNISNLDWLHGLSSLRHLNLSGNNLTDANDWPQLLNMLPYREDLKLSWCMLPRILSPPSLTNSTSSSLSIDLSGNNLTSSMYPLLFNITSNIVYLELSYNLLGGSIPEFFENMISLKYLYLRGNNLESDIPKFLGNICTIETLDLSNNNFSGSIVAGFFGCLKNSLQILDPRFSSLRELDLSYNRLNGSFSESFNFKEPSKLAVLWLWANQLTGTLPDFTTLSSLKDLSIGGNQLNGTIPESLGCLSELENLDVSRNSFEGVISETLFKNLSKLKFLDLSDNHLVVNFSSVWVPPFQLQQIVLSSCTLGPHFPKWLQTQKEFQHLDISGAGISGTIPDWFWDLPPSLSFLNLSHNQITGMLPDLSSLKFKEFPGMDLSFNMFEGPLPVLPYNMTSIILAKNRFSGSVSSLCKIAAGTLSMLDLSDNLLSGVLPDCFFHWQNLSVLNLANNNFSGVIPTTVGSLLSIHTISLRNNSFSGDLPSSLKNCNLLEFLDLSENMFSGSIPAWIGENLLSLIFLSLQANEFYGRIPSNLCQLAKIRILDLSQNTLAGSIPLCLNNLTAMAQKGDPDDDIIEQVYDHSGHGNGFSVGFYTAKAWVGWKGRRHEYERSLGLLRIIDLASNKLDGEIPDEITRLSELVELNLSGNNLIGFIPENIGQLKQLESLDLSNNQLSGRIPNSMADLNFLSDLNLSYNNLSGKIPTSTQLQSLDASAFTGNQALYGPPITQQSPKMTRFNLNHLKKREMNSIDGYMLEWESDSSWHFGELLALYC >EOY18004 pep chromosome:Theobroma_cacao_20110822:10:1760662:1769925:-1 gene:TCM_042682 transcript:EOY18004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPP8 MAEAFVSLAIERISDLLIHEAVFLLGVREEVEGLKAELERMKSSLEDADSRQDQNKLNRTLVRQIRDLAYEAEDVIDDSILHAAHQRGFHGIIKKFTEPSHLHKIGVKVKGIQTKLESISKSLPAYNRISGTEGSSSVFEMQQRFRRTYTHVEQEDVVSLEDTTKEVLAQLMTEEDRLHVVVSIVGMGGIGKTTLAKKVYKHDDVKRHFDCCAWAFISQQCMPREVLHDLLLKLLSPSKEERKLIDKLKEHELVKRLYDVLKEKRYLVVLDDIWRSEDWDNFKPAFPRGRKGSKILFTTRHKDLALHADPCNSPVEVRFLTEDESWKLFKMKAFPGKKTEFHACPEELEMLGREMVKKCGGLPLAIAVLGGLLATKKSPAQWEMVHSDINAHLNKFQQEDHRYGGVNGILALSYNELPFHLKPCFLYLGHYPEDWEISKKELIRLWIAEGFISPSWKSGEMLMEDVAEQFLEQLINRCLVQVGKRDHRGTGVKTCHVHDLLRDLCVKKAQEENFLEIIQPPSNKSDGNSLHVTLTASMARRIAIHPSKRYVSLKGKYPNLRTLLLFQNEELIKLHISKCNDFKFLRVLNLVRNDMLSKWHVSSEIGNLYHLRYLRLRSAGTIILPRSIGKLKNLHTLYLRYQVSRIPDVLFKLRRLRHIVVGDIYVYVPLLLRDTLKNIETLKYIKSKSLIENNAVLDLTNFGSLGISFERSKDVELILKALIQSQRLESLYMWLEDSIPYPDLEPLSRCHHLSKLLLRGKIREDPHLSHHSLKILPANIAKLTLWECEMKQDPMAALGKLPHLRTLRLWTSSYKGTKLVCSANEFLQLDCLVIVALQELEKWQIEKGAMPCLRSLSLSVVPNLRSFPEGLRYITALQEMKLSSLKRSLVERIQVIDGREGEDFSNVRHIPSIQIDFTLED >EOY18573 pep chromosome:Theobroma_cacao_20110822:10:3864166:3866605:-1 gene:TCM_043093 transcript:EOY18573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARAALFHLLRSQSKQLISRNIHSGYPCRLATWSYTQYAKPNINSATSIFTVQKRWSSQATTTENDNKISIGPRKGREEEEDEKDRGVVYNGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGAVASSVIFFSATTTAVLHWFVSPYIHKIRWQPGSDSFEVEMMSWLATYIPRTIKFADIRPPETNRPFVTFKANGNFYFVDEEHCHNKALLARLTPQKATHDSALKNL >EOY17528 pep chromosome:Theobroma_cacao_20110822:10:97888:102260:1 gene:TCM_042341 transcript:EOY17528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyltransferase family protein isoform 1 MASSLPCTPTSSLSPATLFKAHPRFPLRHRFRCNVVEPLKFDNGKPFFPFLTTDPALPTFLSSNSHLERSINKNDTRLRIFSGTANPALAQLQDSVRGCDVYLVQPTCPPANENLMELLIMVDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACSTHAVFSPPAIERLSSGLFQEVIITNTIPVYEHNYFPQLTVLSVANLLGETIWRVHDDCSGGFEPYSTLGID >EOY17527 pep chromosome:Theobroma_cacao_20110822:10:97676:102429:1 gene:TCM_042341 transcript:EOY17527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyltransferase family protein isoform 1 MASSLPCTPTSSLSPATLFKAHPRFPLRHRFRCNVVEPLKFDNGKPFFPFLTTDPALPTFLSSNSHLERSINKNDTRLRIFSGTANPALAQEIACYMGLELGKIKIKRFADGEIYVQLQDSVRGCDVYLVQPTCPPANENLMELLIMVDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACSTHAVFSPPAIERLSSGLFQEVIITNTIPVYEHNYFPQLTVLSVANLLGETIWRVHDDCSGGFEPYSTLGID >EOY19756 pep chromosome:Theobroma_cacao_20110822:10:22111068:22113644:1 gene:TCM_045065 transcript:EOY19756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKTNDFASNCNALRINDGKVSKQHVSVSCRKKTKILGSTMILSCMCGH >EOY18072 pep chromosome:Theobroma_cacao_20110822:10:1980758:1981820:-1 gene:TCM_042725 transcript:EOY18072 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 2 MNDSDYHLKLASQTKRKAPKLPGRNFKEDQCQIPIAFNSAEAMFLTIHSRTQGQAIVSETLPASLEIPIIDFSLLAKGDGDERRKLDLARKEWGFFQITNHGVADEVLHKMKSAVAAFFALPLEEKKKYAMAENDLHGCGQGCVVSEQQKLDWCDMMALMTLPPESRNFKFWPLTLPGFKYERQWKYTQQKFKRLLTRSMLTYHH >EOY18073 pep chromosome:Theobroma_cacao_20110822:10:1980449:1982190:-1 gene:TCM_042725 transcript:EOY18073 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 2 QGQAIVSETLPASLEIPIIDFSLLAKGDGDERRKLDLARKEWGFFQITNHGVADEVLHKMKSAVAAFFALPLEEKKKYAMAENDLHGCGQGCVVSEQQKLDWCDMMALMTLPPESRNFKFWPLTLPGFKEAVEVYSTEVQKVADEINANLSPLMGMDMLNVMLASV >EOY19424 pep chromosome:Theobroma_cacao_20110822:10:17415811:17417860:1 gene:TCM_044519 transcript:EOY19424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKTSFTRKLAEKGKRKRKVDDSSTLSFKKKRKKKEPLDKKMKGGKTKMTKKGKGLVDAKTTTGKGIFLRNVLKKEYKIDRNECIKVTEGTPLPPLIGSPSTPFTRASQPPLQSDMMFNIFMRIDGKTIDQAEKIEEKLQHLKALLHPTKETKSLEAPTTVTSQSSERTTTEQFEIATSDHEREAEKEILENKDVNQNENEDFEKELAK >EOY20172 pep chromosome:Theobroma_cacao_20110822:10:25140429:25151081:-1 gene:TCM_045553 transcript:EOY20172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein with ARM repeat domain isoform 3 MFKFLKGVVGGSGTGLKDLPYNIGDPYPSAWGSWSHSRGTSKDDGSSVSIFSLSGSNPQDGHLAAGRNGVKRLRTVRHPNILSFLHSTEVEALDGSSTKFTIYIVTEPVMPLSEKIKELGLEGTQRDEYYAWGLHQIAKAVSFLNNDCKLVHGNVCLASVVVTQTLDWKLHAFDVLSEYDGGNESASGPMLQYEWLVGSQYKPMELAKSDWVAIRKSPPWAIDSWGLGCLIYEIFSGVKLGKTEELRNTASIPKSLLPDYQRLLSSMPSRRLNTSKLIENSEYFQNKLVDTIHFMEILSLKDSVEKDTFFRKLPNLAEQLPRQIVLKKLLPLLASSLEFGSAAAPALTALLKMGSWLSAEEFTLKVLPTIVKLFASNDRAIRVALLQHIDQFGESLSNQVVDEQVYPHVATGFADTSAFLRELTLKSMLVLAPKLSQRTMSGSLLKYLSKLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFAPARGAGVMALCATSSYYDITEIATRILPNVVVLTIDPDSDVRSKSFQAVDQFLQLVKQYNEKSNAGDAAGTTSLGISSMQGNASLLGWAMSSLTLKGKPSDQAPVAAANSVTPATTTTSTASSGLIETPSTEPVHRVSSSTDFADQPMPPSPTSTDGWGEIENGIHEEEESEKDGWDDIEPLEEPKPSPALANIQAAQKRPVSQPVSQPKPQAKSLRPKSTVKVTKDEDDDLWGSIAAPPPKSASKPLNVKTAGAVDDDDPWAAIAAPPPTTKAKPLSAGRGRGAKPAAPKLGAQRINRTSSSGM >EOY20173 pep chromosome:Theobroma_cacao_20110822:10:25141452:25150984:-1 gene:TCM_045553 transcript:EOY20173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein with ARM repeat domain isoform 3 MFKFLKGVVGGSGTGLKDLPYNIGDPYPSAWGSWSHSRGTSKDDGSSVSIFSLSGSNPQDGHLAAGRNGVKRLRTVRHPNILSFLHSTEVEALDGSSTKFTIYIVTEPVMPLSEKIKELGLEGTQRDEYYAWGLHQIAKAVSFLNNDCKLVHGNVCLASVVVTQTLDWKLHAFDVLSEYDGGNESASGPMLQYEWLVGSQYKPMELAKSDWVAIRKSPPWAIDSWGLGCLIYEIFSGVKLGKTEELRNTASIPKSLLPDYQRLLSSMPSRRLNTSKLIENSEYFQNKLVDTIHFMEILSLKDSVEKDTFFRKLPNLAEQLPRQIVLKKLLPLLASSLEFGSAAAPALTALLKMGSWLSAEEFTLKVLPTIVKLFASNDRAIRVALLQHIDQFGESLSNQVVDEQVYPHVATGFADTSAFLRELTLKSMLVLAPKLSQRTMSGSLLKYLSKLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFAPARGAGVMALCATSSYYDITEIATRILPNVVVLTIDPDSDVRSKSFQAVDQFLQLVKQYNEKSNAGDAAGTTSLGISSMQGNASLLGWAMSSLTLKGKPSDQAPVAAANSVTPATTTTSTASSGLIETPSTEPVHRVSSSTDFADQPMPPSPTSTDGWGEIENGIHEEEESEKDGWDDIEPLEEPKPSPALANIQAAQKRPVSQPVSQPKPQAAKSLRPKSTVKVTKDEDDDLWGSIAAPPPKSASKPLNVKTAGAVDDDDPWAAIAAPPPTTKAKPLSAGRGRGAKPAAPKLGAQRINRTSSSGM >EOY20174 pep chromosome:Theobroma_cacao_20110822:10:25141712:25151292:-1 gene:TCM_045553 transcript:EOY20174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein with ARM repeat domain isoform 3 MFKFLKGVVGGSGTGLKDLPYNIGDPYPSAWGSWSHSRGTSKDDGSSVSIFSLSGSNPQDGHLAAGRNGVKRLRTVRHPNILSFLHSTEVEALDGSSTKFTIYIVTEPVMPLSEKIKELGLEGTQRDEYYAWGLHQIAKAVSFLNNDCKLVHGNVCLASVVVTQTLDWKLHAFDVLSEYDGGNESASGPMLQYEWLVGSQYKPMELAKSDWVAIRKSPPWAIDSWGLGCLIYEIFSGVKLGKTEELRNTASIPKSLLPDYQRLLSSMPSRRLNTSKLIENSEYFQNKLVDTIHFMEILSLKDSVEKDTFFRKLPNLAEQLPRQIVLKKLLPLLASSLEFGSAAAPALTALLKMGSWLSAEEFTLKVLPTIVKLFASNDRAIRVALLQHIDQFGESLSNQVVDEQVYPHVATGFADTSAFLRELTLKSMLVLAPKLSQRTMSGSLLKYLSKLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFAPARGAGVMALCATSSYYDITEIATRILPNVVVLTIDPDSDVRSKSFQAVDQFLQLVKQYNEKSNAGDAAGTTSLGISSMQGNASLLG >EOY18862 pep chromosome:Theobroma_cacao_20110822:10:5528366:5534422:-1 gene:TCM_043361 transcript:EOY18862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactate/malate dehydrogenase family protein MALAELSTSYTKTATRYHFSQLSLSSASSRFSDHFRRSFRPTSRTRNATISCSVTSDQVQARIPVEAESPKGKTECYGVFCLTYDLKAEEETKSWEKLVNIAVSGAAGMISNHLLFKLASGEVFGPNQPIALKLLGSERSIQALEGVAMELEDSLFPLLREVRIGINPYEVFQDAEWALLIGAKPRGPGMERAGLLDINGQIFAEQGKALNAVASHNVKVIVVGNPCNTNALICMKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDQVSNMTIWGNHSTTQVPDFLNARISGLPVKEVIKDHKWLEEEFTEMVQKRGGVLIQKWGRSSAASTAVSIVDAIKSLITPTPEGDWFSSGVYTNGNPYSIVEDIVFSMPCRSKGDGDYELVKDVIFDDYVLKRIRKTEAELLAEKRCVAHLTGEGIAYCDLPEDTMLPGEM >EOY19289 pep chromosome:Theobroma_cacao_20110822:10:16053149:16058723:1 gene:TCM_044341 transcript:EOY19289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIGLLSILGLHQVRMSPRTQTTTRGMVEQAAHVDAITRPYFSTIRGHGRQGRTTRFASEEPPTAISVDYSQRIETRIAMNQATQVDYSQRIETRIAMNQATQAEHETKKKREKAGERVAKWQLESEKERLCCYQFVKEKGCCWLPICAGKIRAGISLVHTHRHVQSTENSYRQIMAFCGNAPTNVVSAMTTRKLLRHR >EOY20028 pep chromosome:Theobroma_cacao_20110822:10:24471312:24475979:-1 gene:TCM_045433 transcript:EOY20028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYMANPSMPANHWDILLKEEKQHQLTFSKLFECTYKRQKGTREFVDNKSMAVCESYTLAISQKYSVEPSSQPKFDLEVWIEAIRGPTDRFDTKIIRSKRSQPKLDASGVPYHGSQIRSLHHSRSSSHPTLSVRFVFILNVYI >EOY19069 pep chromosome:Theobroma_cacao_20110822:10:9453901:9455574:-1 gene:TCM_043740 transcript:EOY19069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHHSKDASFKGSFLIVFNMGRELREHPVDAKFESYHLGCMGCWDKLYNQLGLVKA >EOY18955 pep chromosome:Theobroma_cacao_20110822:10:6555510:6562146:1 gene:TCM_043474 transcript:EOY18955 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MSGYPVPRAESTHHRLYELAKTALIKIFVHPYATVCDLYCGGGADAEKWDGAQISHYIGIDGLSSGINEMREAWESQRKSFTSEFFEADPCIDNLETQLKEKAIQADLVCCLQHLQFGFETEEKARRLLNNVSSLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNKNSSMKPNIVPNCIRSESYMITFEIEEEKFPLFGKKYQLKFASDASAEIHSLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNRPQFAGMMMNAGPNLVDPRGRLLPRSYDVLGLYTTFIFQKPDPDVVPPIATPLLQDSSYNHDESEWQGAVWREEEKNGQAEQPPHGLGKISEQKGILGPGPAELRFSEAL >EOY18819 pep chromosome:Theobroma_cacao_20110822:10:5118878:5123489:-1 gene:TCM_043308 transcript:EOY18819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTEGRNEGKVGNEMKGNQTEAMNNTTKQNSVAFFLDSLDQELDGYMGIFSFSWEENGDLGGTLVDKYCNYCQREWSFGLEMMEVNAGNSRSNEKQQSECPKSADKGKKPAEKDASSSVFVNHAAIAWHENRRRWVGDKSQQSQRVSKDPVISWSTTYEELLLTNEPFPESISLPEMVDFLVDIWHDDGLFD >EOY18820 pep chromosome:Theobroma_cacao_20110822:10:5118883:5123211:-1 gene:TCM_043308 transcript:EOY18820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 IFFLSEVILKSCHFLWINYQGDLGGTLVDKYCNYCQREWSFGLEMMEVNAGNSRSNEKQQSECPKSADKGKKPAEKDASSSVFVNHAAIAWHENRRRWVGDKSQQSQRVSKDPVISWSTTYEELLLTNEPFPESISLPEMVDFLVDIWHDDGLFD >EOY18895 pep chromosome:Theobroma_cacao_20110822:10:5986348:5995871:-1 gene:TCM_043403 transcript:EOY18895 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding, putative isoform 2 MHAIKGGWVGQTFALAKCNEQGGKKSRIRRSKEERKAMVESFIRKYQKSNNGNFPSLNLTHKEVGGSFYIIREIVREIIQENKVLGPAKFTEGEQNIDLFLEQNPLGSISAAPKNSLPIQSNGSPFIPSHHEDANDGSVSVSDGHSMGSVYKTFDSGQIINGNFVDVTNGTDKVAIVDLQVTEPLESDKSGKELAAATSKVTQITPDVVVETFPLRPVAKPIDSIDGRSSEVGELNENLDQTETVKVNESLENVSPKLDDINSSEVSNLTDEKEVENLVDLLLEKNSDLADKKVVENISDPLLESSDCSTRKSAIDEDYNGAALEVSCSNVLTSEINEPSQAIVEEAVNASNGMHPKIDGTDTGSCIGESTTQEAVVVEGQVDLQHVNSQKGSNKTLDRINLESWEGTSKSAAKSETNPLWAIFKSFISAFLKFWSE >EOY18896 pep chromosome:Theobroma_cacao_20110822:10:5986028:5998324:-1 gene:TCM_043403 transcript:EOY18896 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding, putative isoform 2 MHAIKGGWVGQTFALAKCNEQGGKKSRIRRSKEERKAMVESFIRKYQKSNNGNFPSLNLTHKEVGGSFYIIREIVREIIQENKVLGPAKFTEGEQNIDLFLEQNPLGSISAAPKNSLPIQSNGSPFIPSHHEDANDGSVSVSDGHSMGSVYKTFDSGQIINGNFVDVTNGTDKVAIVDLQVTEPLESDKSGKELAAATSKVTQITPDVVVETFPLRPVAKPIDSIDGRSSEVGELNENLDQTETVKVNESLENVSPKLDDINSSEVSNLTDEKEVENLVDLLLEKNSDLADKKVVENISDPLLESSDCSTRKSAIDEDYNGAALEVSCSNVLTSEINEPSQAIVEEAVNASNGMHPKIDGTDTGSCIGESTTQEAVVVEGQVDLQHVNSQKGSNKTLDRINLSSGL >EOY20013 pep chromosome:Theobroma_cacao_20110822:10:24362994:24365283:1 gene:TCM_045413 transcript:EOY20013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPNFGNLKRLHLLTNFVVGNNIGSTISEVKDLSLLHGNLSILRLQNVSQTADAEKANLKDKKYLRELILEWDVDPRDGNAQIAARNPRNGNIENAADNPHNGHAENAEDEPLNGRAQNAVGDPSNGNVRNAANDPQNRDAEIAAGSPRINGNTQNAMDDSQNRSAEHAVNVLDKLQPAENLERLQIKNFFELAIYGMAGVKIVGPEFYGGGVIAFKSLETIWFENMENWEQ >EOY18080 pep chromosome:Theobroma_cacao_20110822:10:2012186:2014127:-1 gene:TCM_042731 transcript:EOY18080 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook protein of GA feedback 2 MANRWWAGNVAMRGVDSIASTPSLHLRNPSEEDHRIALNRLGPRREHQDFRDNNASPPNANTSSPNAATPNQNQDDNEDSRDNMEPDDQTTAFETIEPGSSSASRRPRGRPPGSKNKPKPPIVITKESPNSLRSHVLEITSGSDIAECIANFAQRRHRGVSVLSGSGIVTNVTLRQPAAPGGVITLHGRFEILSLSGAFLPAPSPPGATGLTVYLAGGQGQVVGGSVIGALIASGPVMVIAATFTNAVYERLPIEDENGGEEGGGGGGEGIGVQQQVNHSNNNGGGGGGNSGSSQSQGLGHEQEGGSIPLYNLPPNLLPNGQMPPDVFWGPPPRPPPSY >EOY17514 pep chromosome:Theobroma_cacao_20110822:10:495:7797:1 gene:TCM_042330 transcript:EOY17514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLVGKFSRMPKLQDIRSAFKGIGLAGAYEVRWLDYKHILIHLTNEHDCNRVWTKQVWFIANQKMRVFKWTPDFEPEKESAVVPVWIAFPNLKAHLFEKSALLLIAKTVGKPLFVDEATANGSRPSVARVCIEYDCRRPPIDQRVEFSQMPAYCDHCCHVGHKEIDCIVLGNKDKPLGSSKSQYLRVLEAEKKKGYGGGSEKNLEKSKNPEKEKIVRPEEPLTQRWQPVSKAGTSGTKDQQGKEIVQVLNRFQAISEDRDESQNRDVTQSDETIGGVEALPEGRVHAGKQHVDKRKAHGLMEKGTRTGSDILKVRDEQLNGTTDFSTAKPSSSNGSKVTDRSEDGEVIFWDRTEEQRADVEDRHGSSKQSGTKNEEAISVNLQTLEEDTQATVHEKRKQKHGKIEGDGEKEKSSAADTQDCAAKDKYFLNKALEQEQLASAPTAAGHDFSEVPTAAQQKPRPPSTLHGQQVQTSQVSREVQTVFHEKEIHGQPSNTADVERISTELKEGNEQEPFDVHEMHGQKGGHINETTLTEPPRDETIVKATVGRTAAGPLPSVNKQRDPPNSESSKRDREQTAIDGNEKTFGQQEMQEVAGGNSNKYFFNSLLHGSVRTSEDQPVKNFIQLPKPSEAPRKISLKQHSSKKNVTNMNGEGNRAAQQEPTNLDAATPRVWKGERPADSHGDANPRDQTADNVIKIVNQKREQVGRQIKGIVETTLHGNVLLPIVKPTNTRAVRTTTSIEGAGTTADGPTPSPPSPELSDSTLGRKAQESILPIEQVGTQLQKTGDAGTSQNLKTDKFELSTKTTAWRQEKEQRIAQSGSGLQNLLPDTLEGSGEHVPIEEEGTSQTQRQTEQTQATINYVISSERTENKEDSHPNLESALSKCMLNKELSDIPSISCNSHTELKVHPRVRYRRHSDSAIPFENTLSSATEDATISGGKEEESDEDSTPRSPTAKNYVIFDHPQCLHVRLTSPWLETPFFVTIVYAKCTRSERTLLWDCLRRLADDIEVPWLVGGDFNVILKREERLYGSAPHEGAMEDFASTLLDCGLLDGGFEGNSFTWTNNRMFQRLDRIVYNHHWINKFPVTRIQHLNRDGSDHCPLLISCFNSSEKAPSSFRFQHAWVLHHDFKTSVESNWNLPINGSGLQAFWSKQHRLKQHLKWWNKAVFGDIFSKLKEAEKRVEECEILHQQEQTFESRIKLNKSYAQLNKQLNIEELFWKQKSGVKWVVEGERNTKFFHMRMQKKRIRSHIFKVQDPEGRWIEDQEQLKHSAIEYFSSLLKVEPCYDSRFQSSLIPSIISNSENELLCAEPSLQEVKDAVFGINSESAAGPDGFSSYFYQQCWNIIAQDLLDAVRDFFHGANIPRGVTSTTLILLPKKSSASKWSDFRPISLCTVMNKIITKLLSNRLAKVLPSIITENQSGFVGGRLISDNILLAQELIGKLNTKSRGGNLALKLDMMKAYDKLDWSFLFKVLQHFGFNGQWIKMIQKCISNCWFSLLLNGRTEGYFKSERGLRQGDSISPQLFIIAAEYLSRGLNALYDQYPSLHYSSGVSISVSHLAFADDVLIFTNGSKSALQRILAFLQEYQEISGQRINVQKSCFVTHTNVSSSRRQIIAQTTGFSHQLLLITYLGAPLYKGHKKVILFNDLVAKIEERITGWENKILSPGGRITLLRSVLASLPIYLLQVLKPPICVLERVNRIFNSFLWGGSAASKKIHWASWAKISLPIKEGGLDIRNLAEVFEAFSMKLWWRFRTIDSLWTRFMRMKYCRGQLPMHTQPKLHDSQTWKRMVANSAITEQNMRWRVGQGKLFFWHDCWMGETPLTSSNQELSLSMVQVCDFFMNNSWDIEKLKTVLQQEVVDEIAKIPIDAMSKDEAYWAPTPNGEFSTKSAWQLIRKREVVNPVFNFIWHKTVPLTISFFLWRLLHDWIPVELKMKSKGFQLASRCRCCKSEESIMHVMWDNPVATQVWNYFSKFFQILVINPCTINQILGAWFYSGDYCKPGHIRTLVPIFTLWFLWVERNDAKHRNLGMYPNRIVWRILKLIQQLSLGQQLLKWQWKGDKQIAQEWGITFQAESLPPPKVFPWHKPSIGEFKLNVDGSAKLSQNAAGGGVLRDHAGVMVFGFSENLGIQNSLQAELLALYRGLILCRDYNIRRLWIEMDAASVIRLLQGNQRGPHAIRYLLVSIRQLLSHFSFRLSHIFREGNQAADFLANRGHEHQSLQVVTVAQGKLRGMLRLDQTSLPYVRFK >EOY19945 pep chromosome:Theobroma_cacao_20110822:10:24013521:24023260:1 gene:TCM_045345 transcript:EOY19945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATQDRDIHQTLGQLNQTLSMIDQNLDQVSQDSSTKVLNGLAEAAAKLKANQEDLKEVFYELLTPFYQPGEGNNHNLDLSQLQDEVLKVIETKGEDELVGLVEGLEGLKKRGDELNERVIELMRDYDIVPKCSGIEESYKENKPMDLESLAFTKEDGKEKSQGLKRKLEIGDFSFYVVATQLYGFPWDAAMEIKTSLGHKGLVNSNRVFLEDEDQTVFDEDSDLDDDGELQEKDEGELKWVRVKKLKQVFEELKKKIDLNVSQKASDSVAEFDPVTVNLRIRFLKVIRNWIFHIESVFIDLMTEIYHVFSVNEAQETIFQDLKSKLKHMMEIYLGMVPISVYKIIYMMENPEELKRRGLVIRMAKLFRVQEKILYKKMNLKRRMDQAHKIIMRLRSMLVTAGDLSYSGLIKEVESGSYEKLLSESEKELRDIQRNLDVVLSKLKIK >EOY19700 pep chromosome:Theobroma_cacao_20110822:10:20974358:20979102:1 gene:TCM_044944 transcript:EOY19700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein HDG11 MEYGSGGGSGSGGDHDASDPSRRKKRYHRHTAHQIQRLEAMFKECPHPDEKQRLQLSRELGLAPRQIKFWFQNRRTQMKAQHERADNCALRAENDKIRCENIAIREALKNVICPSCGGPPATEDSYFDEQKLRMENAQLKEELDRVSSIAAKYIGRPISQLPPVQPIHVSSLDLTMASFGGHGVGVAGPSLDLDLLPGSSSTMPNLPFQPIAISDMDKSLMTDIAANAMEELLRLLQTNEPLWIKSTNDGRDVLNLESYERIFPRANTHFKSPNVRIEASRDSGVVIMNGLALVDMFMDSNKWVDLFPTIVSMAKTIEIISSGMMGSHSGSLQLMYEELQVLSPLVPTREFYILRFCQQIEPGLWAIVNISYDFPQFASQCRSHRLPSGCLIQDMPNGYSKVTWMEHVEIEDKTPTHRLYRDLIHSGLAFGAERWLATLQRMCERFACLMVSGTSTRDLGGVIPSPDGKRSMMKLAQRMVNNFCTSISTSNSHRWTTLSGLNEVGVRVTVHKSSDPGQPNGVVLSAATTFWLPVTPQNVFNFFKDERTRPQWDVLSNSNAVQEVAHIANGSHPGNCISVLRAFNTSQNNMLILQESCIDSSGSLVVYCPVDLPAINVAMSGEDPSYIPLLPSGFTISPDGHPDQGDGASTSSTVHGNMGRSGGSLITVAFQILFLMIWFPYCYTTVLPLSPSSLNIEIREIQSLFALVVFNVREVLWLVGTFNALSSRAEAAYLLLAAAIAVSAAKLDYNLQQLMFFIYFYFLGGFFTRHFGFLQFTLEEWLATSLKRSQERTIRCLSCCTSHCFCLNGFLSSLCSILSHLLLYSTLLYSTRQQEKVVWFGY >EOY19825 pep chromosome:Theobroma_cacao_20110822:10:22929239:22936254:-1 gene:TCM_045183 transcript:EOY19825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTGFRGLILRGVSLTGNLNNGKTLEICLVISTSSRILATNSSKKGVLVLHHGRLAINSTLVSKAILSIGVNTRLLCRQQVEMAIVYFLSRLEMAGSFKNG >EOY19525 pep chromosome:Theobroma_cacao_20110822:10:18396134:18398668:1 gene:TCM_044645 transcript:EOY19525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative MVSNVLSGRVLGIQPAMHKLLQVKPLITSQTLWRATIPSTNLLSLIQKRFLQTQAIPSSASEPPPLGSAPSLSKRLQILQTNSPNSSSVLNCLKSHGFEDTQIAKLVGKRPDILNCKVHTKLVPKLRYLIEKGFTGKLLPDLILSNPLILFRGLDSHIKPSFEFLRPFLNGEEMLVAIKRSSWLLTISRNAILQPNVDLLIRAGIPASRISKLLILQPRVISQSNDRMVYAIKTVKQIGLEPKVPRFIHALRVICSMSESNWKKKVEVFMSLGWSKEEVLNTFKKDPPCLACSENKLRCLMDFYVNTMKSDVKTIIAYSKLLHYSVEKRVHARYIVLKVLESMKLIKEYKKIVWAIVLSEKKFLEAYITKHIDKVPELLDMYHGAVKRRKTIRGKREKFDPKSASLAL >EOY19878 pep chromosome:Theobroma_cacao_20110822:10:23451751:23452791:-1 gene:TCM_045261 transcript:EOY19878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDTSKSSCVRSFNIGVVVSVQGCYMGVGVAIRDYQRRSDSYAGRNQMAKNPFVALQLIYKAKLKIQFGTIKDLVGILANLFQHATFINID >EOY19491 pep chromosome:Theobroma_cacao_20110822:10:18136730:18138784:1 gene:TCM_044607 transcript:EOY19491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MWNFMDIQLGMAFQDDELVVNAFVAAYAKCGLLCSAKLVFNVIETKIVSSLNALISGYAQNDAPMKALEFFLQMTNSGLGPNYFNIRILLLACSHLKSLHFGKKIHGYLLRNGLEVDPFIVISLLSLYIHCGKSATIRLLFKDMTDKSLVSWNALIVGYSQNGFLYQALVLFCQMLSNGIEPNEISINNVFGACSQLSTLQLRKEAHCYAFKALLA >EOY19243 pep chromosome:Theobroma_cacao_20110822:10:15091004:15096508:-1 gene:TCM_044243 transcript:EOY19243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKIDNSEVKAATSDSTAGKVIFEPISEDGVFRFDSSANDTDAAYPCLSFINSNEGDVPIMSNKVPLYSPPFEHRLGQQIVKLEIDLRIECRIQFTAPTSFAVITFGPFPSPSAILISLSHAIAIIIYCSRYILLYFSCILYNCLTFVLCLLDSTFSSLSLAFSMAISTLDTWHIYDKT >EOY19233 pep chromosome:Theobroma_cacao_20110822:10:14663256:14671561:-1 gene:TCM_044212 transcript:EOY19233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 727 MNQKGLIYSFVAKGTVVLAEHTSYSGNFSTIAVQCLQKLPSNSSKFTYSCDGHTFNFLIDNGFVFLVVADESVGRSVPFVFLERVQDDFKQRYGASIKNEGLHPLADDDEDDDLFEDRFSIAYNLDREFGPRLKEHMQYCMNNPEEISKLSKLKAQITEVKGIMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRKMWLQNLQMKLMVGGAILVLIIILWLIACGGFKC >EOY19095 pep chromosome:Theobroma_cacao_20110822:10:10069257:10079171:1 gene:TCM_043808 transcript:EOY19095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKMELGEDITSMFDRFTNITNKLSKLGKLIPEHGVVKRLLRCLPKSWKPKVTVIREAKDLNIITLDEICGSLLTHELELKEEENRREAKEKKKSIALKAIILEEELEELSCDDDEDLALVARKFRKLMSRRNQRLTRRGFKKDQGASWKIRNKNDSN >EOY20236 pep chromosome:Theobroma_cacao_20110822:10:25313694:25316873:-1 gene:TCM_045598 transcript:EOY20236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 714, subfamily A, polypeptide 1, putative isoform 4 MEDSLSLSLKVISSLALMGVVCFFVHLYNTMWFKSERQRRKLWMQGIRGPWPSFIYGNLPEMQKIQQEAARTPDNDQIVGHDYTSSLFPYFVQWRKEYGPIYTYSTGTRQHLYVNQPELVKEMNQCITLDLGKPSYITKRLAPMLGNGILRSNGLVWAQQRKIIAPEFFMDKVKVMVGLMVESIQPLVRKWEDSIEAQGGVMADIRVDQDLRGFTAEVIARACFGSSYFKGKEIFSKLRKLQTVISRESFLFGVSGYGLLPMKKQNEITNLEKEIESLIWETVKERERKCIKASSLEKDLLHLILEGALNDQSLDKDSSKRFIVDNCKNIYFAGHESTAVAASWCLMLLALHPEWQSRILTEVAQVCGDKLPDADSVSHMKIVTMVIQETLRLYPPAAFVSREALEEIQLENVTIPKGVCLWTLIPTLHRDPEIWGSDANEFKPERFNDGVSKACKFPQAYIPFGLGPRLCLGRNLAMVQLKIVLCHIISKFT >EOY20235 pep chromosome:Theobroma_cacao_20110822:10:25313694:25316873:-1 gene:TCM_045598 transcript:EOY20235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 714, subfamily A, polypeptide 1, putative isoform 4 MEDSLSLSLKVISSLALMGVVCFFVHLYNTMWFKSERQRRKLWMQGIRGPWPSFIYGNLPEMQKIQQEAARTPDNDQIVGHDYTSSLFPYFVQWRKEYGPIYTYSTGTRQHLYVNQPELVKEMNQCITLDLGKPSYITKRLAPMLGNGILRSNGLVWAQQRKIIAPEFFMDKVKKLGLGPELTKQVMVGLMVESIQPLVRKWEDSIEAQGGVMADIRVDQDLRGFTAEVIARACFGSSYFKGKEIFSKLRKLQTVISRESFLFGVSGYGLLPMKKQNEITNLEKEIESLIWETVKERERKCIKASSLEKDLLHLILEGALNDQSLDKDSSKRFIVDNCKNIYFAGHESTAVAASWCLMLLALHPEWQSRILTEVAQVCGDKLPDADSVSHMKIVTMVIQETLRLYPPAAFVSREALEEIQLENVTIPKGVCLWTLIPTLHRDPEIWGSDANEFKPERFNDGVSKACKFPQAYIPFGLGPRLCLGRNLAMVQLKIVLCHIISKFT >EOY20237 pep chromosome:Theobroma_cacao_20110822:10:25313694:25317316:-1 gene:TCM_045598 transcript:EOY20237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 714, subfamily A, polypeptide 1, putative isoform 4 MEDSLSLSLKVISSLALMGVVCFFVHLYNTMWFKSERQRRKLWMQGIRGPWPSFIYGNLPEMQKIQQEAARTPDNDQIVGHDYTSSLFPYFVQWRKEYGPIYTYSTGTRQHLYVNQPELVKEMNQCITLDLGKPSYITKRLAPMLGNGILRSNGLVWAQQRKIIAPEFFMDKVMVGLMVESIQPLVRKWEDSIEAQGGVMADIRVDQDLRGFTAEVIARACFGSSYFKGKEIFSKLRKLQTVISRESFLFGVSGYGLLPMKKQNEITNLEKEIESLIWETVKERERKCIKASSLEKDLLHLILEGALNDQSLDKDSSKRFIVDNCKNIYFAGHESTAVAASWCLMLLALHPEWQSRILTEVAQVCGDKLPDADSVSHMKIVTMVIQETLRLYPPAAFVSREALEEIQLENVTIPKGVCLWTLIPTLHRDPEIWGSDANEFKPERFNDGVSKACKFPQAYIPFGLGPRLCLGRNLAMVQLKIVLCHIISKFT >EOY20234 pep chromosome:Theobroma_cacao_20110822:10:25312963:25316946:-1 gene:TCM_045598 transcript:EOY20234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 714, subfamily A, polypeptide 1, putative isoform 4 MEDSLSLSLKVISSLALMGVVCFFVHLYNTMWFKSERQRRKLWMQGIRGPWPSFIYGNLPEMQKIQQEAARTPDNDQIVGHDYTSSLFPYFVQWRKEYGPIYTYSTGTRQHLYVNQPELVKEMNQCITLDLGKPSYITKRLAPMLGNGILRSNGLVWAQQRKIIAPEFFMDKVKVMVGLMVESIQPLVRKWEDSIEAQGGVMADIRVDQDLRGFTAEVIARACFGSSYFKGKEIFSKLRKLQTVISRESFLFGVSGYGLLPMKKQNEITNLEKEIESLIWETVKERERKCIKASSLEKDLLHLILEGALNDQSLDKDSSKRFIVDNCKNIYFAGHESTAVAASWCLMLLALHPEWQSRILTEVAQVCGDKLPDADSVSHMKIVTMVIQETLRLYPPAAFVSREALEEIQLENVTIPKGVCLWTLIPTLHRDPEIWGSDANEFKPERFNDGVSKACKFPQAYIPFGLGPRLCLGRNLAMVQLKIVLCHIISKFTFSLSPKYRHSPAYKMIVEPGNGVHILIKKI >EOY19081 pep chromosome:Theobroma_cacao_20110822:10:9586681:9605723:1 gene:TCM_043756 transcript:EOY19081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 6 MASALSGDDLARSMSSPSISRKMSLGSGSRRGWASASIREAWNSQTDVFQRSGREEDEEELKWAAIERLPTYDRLRKGMLKHVLDEGKVGYEEVDITNLDMQDKKNLMQSVLRVVEEDNERFLLRLRERNDRVGIDVPRIEVRFEHLSIEGDAYLGTRALPTLLNATLNTIEGALGLIKLFPSKKRVVNILRDVSGIVKPSRMALLLGPPGSGKTTLLQALAGKTDTDLRVSGKITYCGHEFHEFFPQRTSAYISQHDLHHGEMTVRETLDFSGRCLGVGTRYDLLAELSRREKQAGIKPDPEIDAFMKATAMAGQKTSLVTDYVLKILGLDICSDIMVGDEMRRGISGGQKKRVTTGEMLVGPAKALYMDEISTGLDSSTTFQIVRFMKQMVHIMDVTMIISLLQPAPETYDLFDDIILLSEGKIVYQGPRENVLEFFESVGFKCPERKGVADFLQEVTSRKDQQQYWCRKNEPYQYISVPEFVEHFNSFHIGQKLDDELRIPYDKSKAHPAALVKEKYGISNWELFKACFAREWLLMKRNSFVYIFKTTQITIMSVIAFTVFFRTKMKAGHISNGVKFYGALFFSLINVMFNGMAELALTIFRLPVFFKQRDFLFYPAWAFALPIWVLRIPLSLLESGIWIILTYYTIGFAPAASRFFRQFLAFFGIHQMALSLFRFIAAVGRTQVVANTLGTFTLLVVFVLGGFVVAKDDIKPWMIWGYYVSPMSYGQNAIVITEFLDKRWSAPYVSLNESTTVGKILLKSRGMYTEEHWYWICVAALLGFSLLFNLCFIAALTYLNPLGDSKSVVLSEDDESKSKKQSSSDGQHNLRSIEISKPSTAPLFEGTDDMPLKNTSDNSILGAADQAPTKRGMVLPFQPLSLAFNHVNYYVDMPAEMKSQGIEETRLQLLRDVSGALRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKNQETFARVSGYCEQNDIHSPHVTVYESLVYSAWLRLAKEVNAETRKAFAAQSSRYDLSLVLYSLQQMFVEEVMELVELNLLRNSLVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQAIYAGPLGRHSHKLIEYFEAVPGVPKIKEGYNPATWMLEISSTAVEAQLDVDFSEIYAKSELYRKNEKLIQDLSTPVPGTKDLHFSTKYSQDFFTQCRACFWKQHWSYWRNPQYNAIRFFMTVIVGIIFGMIFWDKGDKIHKQQDLMNLLGAMYSAVLFLGATNTSAVQSVVAIERTVFYRERAAGMYSPLPYAFSQVAIEAIYVSIQTLVYSLLLYSMIGFHVDVGKFLLFYYFILMCFMYFTLYGMMLVALTPNHQFAAIVMSFFLSFWNLFSGFLIPRTEIPIWWRWYYWASPVAWTIYGLVTSQVGDQLALVDIPGELPLTVKDYLEAHLGFDYSFLPAVVAAHIGWVLLFLFVFAYGIKFLNFQRR >EOY19462 pep chromosome:Theobroma_cacao_20110822:10:17868243:17869188:-1 gene:TCM_044583 transcript:EOY19462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKFNVDGASRGNPSEARIGGVLRDDGGKMWGNANCIIVENNSEIAVKWAREPSTTPWTYITTMMHMEFFKSQLKNWSFLKIQRLVNKVVDSLAKAEVEREDEFLWVIIEDGEDGPILLKD >EOY19047 pep chromosome:Theobroma_cacao_20110822:10:8885303:8887412:1 gene:TCM_043688 transcript:EOY19047 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative isoform 2 MALDISIFETIHPSRFLSFTIPNPDPSLSSPLIRIAILDSPLHPSTPSSSSLSAPNVAAMFVPKHRESDWLFSTESGHLQLLLSSPNIQRLILIGQQAIVDDPNSPSIYRRPIDSDCLNNLEMALKPLVIALSPKDYFKYGNLEVPILSYEDNVISSVVLEKCVGNFVGEMLVEDVEIESTNQKREFRRRLRFKRMPNLVQTEIRIVPRTVYCLDSVEIGGNDNVEFSPDLGVLVHVYLVPMVASLALVGSWMDERFQLGFRPKALCLGVGGGALVGFLKTQLDFQVVGVEADEEVLRVAQKYFGLEDGDFIQICVRDGMELMEKLARGDSYCEGAAHIDPQFDVIMVDLDSSDLSNGVSAPPLEFVRKDVLLAARSLLCESGIFVINVIPPSRLFYERSIYGIHEENLRCNVYWKQ >EOY19048 pep chromosome:Theobroma_cacao_20110822:10:8885312:8887390:1 gene:TCM_043688 transcript:EOY19048 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative isoform 2 MALDISIFETIHPSRFLSFTIPNPDPSLSSPLIRIAILDSPLHPSTPSSSSLSAPNVAAMFVPKHRESDWLFSTESGHLQLLLSSPNIQRLILIGQQAIVDDPNSPSIYRRPIDSDCLNNLEMALKPLVIALSPKDYFKYGNLEVPILSYEDNVISSVVLEKCVGNFVGEMLVEDVEIESTNQKREFRRRLRFKRMPNLVQTEIRIVPRTVYCLDSVEIGGNDNVEFSPDLGVLVHVYLVPMVASLALVGSWMDERFQLGFRPKALCLGVGGGALVGFLKTQLDFQVVGVEADEEVLRVAQKYFGLEDGDFIQICVRDGMELMEKLARGDSYCEGAAHIDPQFDVIMVDLDSSDLSNGVSAPPLEFVRKDVLLAARSLLCESGIFVINVIPPSRLFYERSIYGIHEENLRCNVYWKQ >EOY19046 pep chromosome:Theobroma_cacao_20110822:10:8885190:8887316:1 gene:TCM_043688 transcript:EOY19046 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative isoform 2 MALDISIFETIHPSRFLSFTIPNPDPSLSSPLIRIAILDSPLHPSTPSSSSLSAPNVAAMFVPKHRESDWLFSTESGHLQLLLSSPNIQRLILIGQQAIVDDPNSPSIYRRPIDSDCLNNLEMALKPLVIALSPKDYFKYGNLEVPILSYEDNVISSVVLEKCVGNFVGEMLVEDVEIESTNQKREFRRRLRFKRMPNLVQTEIRIVPRTVYCLDSVEIGGNDNVEFSPDLGVLVHVYLVPMVASLALVGSWMDERFQLGFRPKALCLGVGGGALVGFLKTQLDFQVVGVEADEEVLRVAQKYFGLEDGDFIQICVRDGMELMEKLARGDSYCEGAAHIDPQFDVIMVDLDSSDLSNGVSAPPLEFVRKDVLLAARSLLCESGIFVINVIPPSRLFYERLVHDFQEVFPELYEIDVGNGENFVLIAKTLPTASSISDCENNFLKKLRLAISGAYMESMKRI >EOY19897 pep chromosome:Theobroma_cacao_20110822:10:23683954:23685312:1 gene:TCM_045296 transcript:EOY19897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2, putative MNRLLLAVAVLATLLVSATSEFDFYKLSLMWPPSVCNVGKECIPDIPKMFTIHGLWPQYGDDRPVPPYNKDPSCADITPVSSADAMSQLRFIEGELTKYWPNLFTIDGKRDDQFFWRHEWESHGMCSNYPHDPFGYFYKAFSLTTQYNPLEVMGIQPGDELHKVGTILETVRQNLGAYPQIACNTLPGTADRWKIRQLWEIRFCFNRAELLSVLRDCPNKLAGTCSEETDLIRFPPNPFY >EOY19214 pep chromosome:Theobroma_cacao_20110822:10:14255146:14256075:1 gene:TCM_044173 transcript:EOY19214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALFMFQTFPMASPYFVTPTTITLMEVNDCFHRSIIAILSCKGHTSLAQQESIVLFFLFGKNKLSFDISSDSCVNPNIPVIPSDY >EOY19258 pep chromosome:Theobroma_cacao_20110822:10:15229461:15232511:-1 gene:TCM_044266 transcript:EOY19258 gene_biotype:protein_coding transcript_biotype:protein_coding description:F1F0-ATPase inhibitor protein MAMRSALSRFNSATRYRSMESTRGAIRYLSDDKGRILSEEERAKENVYIQKMERERLEKKKKAEKERAEKEKEGTQKNTEEAHKG >EOY19186 pep chromosome:Theobroma_cacao_20110822:10:13055797:13056561:-1 gene:TCM_044060 transcript:EOY19186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMLRLVSSLHPQIPSTSPKLSFTYKDASLFPKPQVQVPKYPSRFALFAQNGNKDDLTKEPNKKQEGEENPKGQPNGSINGGDSRNERRSMFNFRLGDLLDPDPDNIVALGLTGLLTWASVQVLWQLFLISVAILLAALKYSFIAALLIFILITLL >EOY18402 pep chromosome:Theobroma_cacao_20110822:10:3350821:3360479:1 gene:TCM_042998 transcript:EOY18402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRKREEKDPLTVPTRTLPQAMEAMMATTRTPQPCGLGLALSLALGSPSAWPPNPRCNATYQPAPTKLSAPNAHPSPADSTGSTHPAQTARTQQRVIGWQAHSTLTSTLDSAHSHQQAGNGLRPASTQAAKRREKRGRENLERLRVNDSVLFSKICCTHFTKPIYIEFSLQNLQNSLQKPRQLMGWLLAGNSQNLGTVGRDRQQRCYSGGLGCLGRTNQTAWALGARAALGLAQTVCLGCAGCLSGALRAGSPRGLCLAPLPAASS >EOY18389 pep chromosome:Theobroma_cacao_20110822:10:3293002:3295315:1 gene:TCM_042987 transcript:EOY18389 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase MELFMTICLIFLYCIVFFICKLFLQMRNQYCYILAYECYKAPEDRKLDTETCGKLIMRNKNLGLEQYRFLLQAMVNAGIGEETYGPRNVIAGTEESPTLSDALSEIDDIVFGTLDKLFAKTGVSPSEIDILVVTVSMITSAPSLPARVINRYKMREDIKVFNLSGMGCSASVIAVDLVQNLFKTYKNAFAVIVSSESLSPNWYRGKERSMMLPNILFRLGGCSLLLTNKRALKHQAMLKLKHSVRIHAGSSDEAYESCTRIEDAQGYCGFFLTKNLPKAAAKAVTMNLRVLVPKMLPLRELVRYAVVSYWRSKSKTSAPEAAGAALNLKSGVEHFCIHPGGRAVIDAMGRSLGLNEYDLEPTRMALHRFGNTSAAGLWYVLSYTEAKKRLKKGDRILMISLGAGFKCNNCVWEVMRDLEDVNVWEDCIGLYPVKSTANTSFLEKYGWVNEPRHDK >EOY19152 pep chromosome:Theobroma_cacao_20110822:10:11145571:11149733:1 gene:TCM_043926 transcript:EOY19152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MENQSERIEKIQHFGHPHSLVFYDEKQGDQSIEACCSACLESLLGCPSISCGDCKFYLHKKCAEAPSEISHSPFHRKHPTLTLKLSSSLCDMCKEKRLMFYYCCTSCLTTLDIKCALRLHNMDENFHELRYIGHEHPLTFIENPKDELKRAYCHWCQKPMVDSVYVCFNCNFYLHKKCAQFPPQLHHPSDRKHLLYLEDDRLVCSLCQRQHWSLFYRCLPCNFDIDIECVRSRSRSIFECEKHSFTQLLRHDPFICDACGTEGNYVSYICSTCHIMIHEKCISLPRVIKTTRHHHNIIHNYFFQKKDLGKRDCGICLSEVKIEYGNYKCLKQDCDYVSHVNCAREMGMYYIIDQVNDEDEESSEKLATNSSITCVIEMNQHEEATKIKHLRHDHVLTLGNKIKEDDDKHCDACMLSISTPFYYCSQCDFLLHKTCAELPRKKHHWFHQSLTTLDSGVFFKCAQCNRFCSGFVYKSDKESRSKFCLRCARISHTLICQGHEHFLFFDFKFKGQCSACGATCKNGVYRCKDCSTFALDFACITLPQAIRCKCDKHFLKLTFHDDNDDPEEYYCDICEEKREPNHWFYHCTVCDNSAHPKCVLGKYPFMKIKIGVTFPDDYHPHDHPLIFVKKSYDTCSICGHPCQDVALECKLCTPNYTFHCNCYLGYLSFLGLL >EOY18448 pep chromosome:Theobroma_cacao_20110822:10:3511816:3516895:1 gene:TCM_043030 transcript:EOY18448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MVSASLMRIVSPCWRPSVEGENSSRGGDANGRVDGLLWYKDSGQHVTGEFSMAVIQANNLLEDHSQLESGPMSSVESGPHGTFVGIYDGHGGPEAAKFITEHLFGHIKTINGAEFTSENHGMSADVINKAFLATEEDFLTLVKKQWLSKPQIASVGACCLVGIICSGLLYIANAGDSRVVLGRLEKAFKEVKAVQLSSEHNASVESVREELRSLHPDDPQIVVLKHKVWRVKGIIQISRSIGDAYLKKAEFNKEPLLPKFRLPEPFQKPILQAEPAILVQKLHPEDQFLIFASDGLWEHLSNQEAVNVVNTCPRNGIARRLVKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSHLISRSYWRGPMVSIRGGGG >EOY18447 pep chromosome:Theobroma_cacao_20110822:10:3511816:3516895:1 gene:TCM_043030 transcript:EOY18447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MVSASLMRIVSPCWRPSVEGENSSRGGDANGRVDGLLWYKDSGQHVTGEFSMAVIQANNLLEDHSQLESGPMSSVESGPHGTFVGIYDGHGGPEAAKFITEHLFGHIKKFTSENHGMSADVINKAFLATEEDFLTLVKKQWLSKPQIASVGACCLVGIICSGLLYIANAGDSRVVLGRLEKAFKEVKAVQLSSEHNASVESVREELRSLHPDDPQIVVLKHKVWRVKGIIQISRSIGDAYLKKAEFNKEPLLPKFRLPEPFQKPILQAEPAILVQKLHPEDQFLIFASDGLWEHLSNQEAVNVVNTCPRNGIARRLVKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSHLISRSYWRGPMVSIRGGGG >EOY18449 pep chromosome:Theobroma_cacao_20110822:10:3511816:3517142:1 gene:TCM_043030 transcript:EOY18449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MVSASLMRIVSPCWRPSVEGENSSRGGDANGRVDGLLWYKDSGQHVTGEFSMAVIQANNLLEDHSQLESGPMSSVESGPHGTFVGIYDGHGGPEAAKFITEHLFGHIKTINGAEFTSENHGMSADVINKAFLATEEDFLTLVKKQWLSKPQIASVGACCLVGIICSGLLYIANAGDSRVVLGRLEKAFKEVKAVQLSSEHNASVESVREELRSLHPDDPQIVVLKHKVWRVKGIIQISRSIGDAYLKKAEFNKEPLLPKFRLPEPFQKPILQAEPAILVQKLHPEDQFLIFASDGLWEHLSNQEAVNVVNTCPRNGIARRLVKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSHLISRSYWRGPMVSIRGGGG >EOY18450 pep chromosome:Theobroma_cacao_20110822:10:3511816:3517142:1 gene:TCM_043030 transcript:EOY18450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MVSASLMRIVSPCWRPSVEGENSSRGGDANGRVDGLLWYKDSGQHVTGEFSMAVIQANNLLEDHSQLESGPMSSVESGPHGTFVGIYDGHGGPEAAKFITEHLFGHIKKFTSENHGMSADVINKAFLATEEDFLTLVKKQWLSKPQIASVGACCLVGIICSGLLYIANAGDSRVVLGRLEKAFKEVKAVQLSSEHNASVESVREELRSLHPDDPQIVVLKHKVWRVKGIIQISRSIGDAYLKKAEFNKEPLLPKFRLPEPFQKPILQAEPAILVQKLHPEDQFLIFASDGLWEHLSNQEAVNVVNTCPRNGIARRLVKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSHLISRSYWRGPMVSIRGGGG >EOY18385 pep chromosome:Theobroma_cacao_20110822:10:3280033:3282462:1 gene:TCM_042985 transcript:EOY18385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit exo70 family protein H2 MVLAETSKNNCLIPRTGLSPLFFSSSKGLTSSSSSPPISPTVSSPGHTFSEFMMEENIENAESIITKWDVNSSSLTQVTSLFHQNRKEAREYLKCVGDLRRSMHFLVSQKSASYKLVLAQKLMQMAMKRLEKEFYQILSSNREQLDPESVSSLSSDGSGSFYVEHELVSEDEAELKKAGESITEVERVSALVMSDLKAIAECMTSCGYGKECIKIYKLFRKSIVDRGLYLLGIERLKSSQINKMHWEALEHTIKNWLNAVKIAVRTLFTGEKILCDHVFAASETIREACFAEITMEGAINLFRFPELIAKSKKEPERIFWLLEFHEAISELWPEIETIFNSALTSAIKLQALSSLHRAGDSVSAILSNFRSSIQKDSSKTLVAGGGIHPLTRSAMSYISSLADYGRVLSDIVADHPPPGNSPSSESYFENPTSIDGPTSAGSVHLAWLILVLLCKLDRKAELYKDVSLRYLFLANNLQFIIDRVHTTNLKYLLGDKWASMHTKKIKQYALRYESLAWNKVFSSLPEGTSSVLSPEAVKDCFRRFNAAFDEAYMKQTLWLVPDGKLRDELKVSIARKLTPAYREFYETQLVALSGEENLEVLVRIAPDDLGNYLSDLFHGTPCSSNSLSSSSHSRGCLPR >EOY19458 pep chromosome:Theobroma_cacao_20110822:10:17863702:17866666:-1 gene:TCM_044581 transcript:EOY19458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEDFEKKVSIKDSMEIEGEEEGSGGGGCSSSSSSSKNEEILQLLRKFLDIQQRRAQAYAKLKTGFSEYMNSGGELAYQQLCSEITVKFKDCSKQVVLEMESLFLSPDYCRVDLAQLLRSVQTQEKQKLHLTATIQLLKKAGRPSERLVSHENCLFKKPMEHECVHVHEITEASGTEDAEANAEYDNALKEAIRGVQDAVTAINEHLEEVRYEIAALEAE >EOY19459 pep chromosome:Theobroma_cacao_20110822:10:17863760:17866644:-1 gene:TCM_044581 transcript:EOY19459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEDFEKKVSIKDSMEIEGEEEGSGGGGCSSSSSSSKNEEILQLLRKFLDIQQRRAQAYAKLKTGFSEYMNSGGELAYQQLCSEITVKFKDCSKQVLEMESLFLSPDYCRVDLAQLLRSVQTQEKQKLHLTATIQLLKKAGRPSERLVSHENCLFKKPMEHECVHVHEITEASGTEDAEANAEYDNALKEAIRGVQDAVTAINEHLEEVRYEIAALEAE >EOY19460 pep chromosome:Theobroma_cacao_20110822:10:17863760:17866609:-1 gene:TCM_044581 transcript:EOY19460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEDFEKKVSIKDSMEIEGEEEGSGGGGCSSSSSSSKNEEILQLLRKFLDIQQRRAQAYAKLKTGFSEYMNSGGELAYQQLCSEITVLEMESLFLSPDYCRVDLAQLLRSVQTQEKQKLHLTATIQLLKKAGRPSERLVSHENCLFKKPMEHECVHVHEITEASGTEDAEANAEYDNALKEAIRGVQDAVTAINEHLEEVRYEIAALEAE >EOY19849 pep chromosome:Theobroma_cacao_20110822:10:23202710:23211853:1 gene:TCM_045224 transcript:EOY19849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MGFRAWLRQVLRTIQEKVDHFLGRGVRPEDEPNISGDNGTGTGTGSGNEESPQSPKSCICQRPWVPRQPSPHLPETNKEFYVEQGVPLYRATLNGDREKLQQILNPYGRTLLCSSITGARETALHVAVEARQVAVVKELVGRMESGDLELQDGRGNTAFCVAAATGSVNIAKILMDENADLAFIRGAENRTPLYIAAVCGYPEMLRFLYKKFEPHIPCLHEEEQRGIFFACIRAGLFDLAIRMLEVLGDVLAWPPNDDEETALGILARTLSAFAGKSSTTLKTPIDMC >EOY19886 pep chromosome:Theobroma_cacao_20110822:10:23523241:23526382:-1 gene:TCM_045271 transcript:EOY19886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYEHCFLFFLRMMVCRVMVLAGLLLWSRNIIGAGSFGLICIEMGSSSCAEDGRVRPLFCVWTFSCPGQMLVEATFNISCSMGSSKSGTEIRS >EOY18893 pep chromosome:Theobroma_cacao_20110822:10:5965948:5966704:-1 gene:TCM_043399 transcript:EOY18893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTDLELFQDLTKIKLPQLKIQSSNGSGAVIQQENNNQDSSNECTTPTSEESKIPAALKCPPAPKKPKRRTFSCKRKLSDQLQFFEIVNREEVEAYLKAGFDSSKRRCPCT >EOY18344 pep chromosome:Theobroma_cacao_20110822:10:3119840:3122028:-1 gene:TCM_042948 transcript:EOY18344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23AB isoform 2 MAPAKVDSKKKTDPKAQAVKAAKAVKSGTTFKKKAKKIRTKVTFHRPKTLKKERNPKYPRISAPPRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTIHNCYES >EOY18343 pep chromosome:Theobroma_cacao_20110822:10:3119787:3122125:-1 gene:TCM_042948 transcript:EOY18343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23AB isoform 2 MAPAKVDSKKKTDPKAQAVKAAKAVKSGTTFKKKAKKIRTKVTFHRPKTLKKERNPKYPRISAPPRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >EOY18688 pep chromosome:Theobroma_cacao_20110822:10:4455606:4456755:-1 gene:TCM_043184 transcript:EOY18688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein MAAAAPPPPPSAAPEPSMAPPETTPLGHPLFTRIRLAAPSDVPFIHKLIHQMAVFERLTHLFTATESSLHSTLFRSPPFDSFTIFILEVSSTPIPPLPSIYPSFTSIEKTFNFDIPINDPEEDAFTVNFGKDQIIIAGFVLFFPNYSTFLGKPGFYVEDLFVRQCYRRKGFGKMLLSAVAKQAVKMGYGRVEWVVLDWNINAIKFYEQMGAKVLPDWRICRLTGDALQAYENANV >EOY19209 pep chromosome:Theobroma_cacao_20110822:10:14235168:14239337:1 gene:TCM_044169 transcript:EOY19209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle triple-A ATPase 3 isoform 1 MAASAMVVEPKPSAEPPFPSTRSDLGQALVVDPTGTEEDDLYSRLKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFEFYK >EOY19210 pep chromosome:Theobroma_cacao_20110822:10:14235610:14239051:1 gene:TCM_044169 transcript:EOY19210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle triple-A ATPase 3 isoform 1 ASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFEFYK >EOY19143 pep chromosome:Theobroma_cacao_20110822:10:11064169:11065702:-1 gene:TCM_043918 transcript:EOY19143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQGDRGGVHCCQWCWASAFLGRYCSCSACWLLLYFCVVQEIYELPCCYWQVMLFPAPIQHLNAAKEIVGTWEESDVVSWCFFSSRIFVEYLTS >EOY17545 pep chromosome:Theobroma_cacao_20110822:10:156307:160011:-1 gene:TCM_042355 transcript:EOY17545 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MGTVDAANKDVNVSLTDEKPIVVFVLGGPGSGKGTQCANIVQHFLYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQKAMLESGNDKFLIDGFPRNEENRAAFEAVTKIEPDFVLFFKCPEEEMERRLLSRNQGREDDNIETIRKRFNVFLESSLPVIQYYKAKGKVREIDAAKPIEEVFEAVKVVFTPKGEKVTA >EOY17546 pep chromosome:Theobroma_cacao_20110822:10:155953:159727:-1 gene:TCM_042355 transcript:EOY17546 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MGTVDAANKDVNVSLTDEKPIVVFVLGGPGSGKGTQCANIVQHFLYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQKAMLESGNDKFLIDGFPRNEENRAAFEAVTKIEPDFVLFFKCPEEEMERRLLSRNQGREDDNIETIRKRFNVFLESSLPVIQYYKAKGKVREIDAAKPIEEVFEAVKVVFTPKGEKALYHIAFAILC >EOY17544 pep chromosome:Theobroma_cacao_20110822:10:156135:159728:-1 gene:TCM_042355 transcript:EOY17544 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MGTVDAANKDVNVSLTDEKPIVVFVLGGPGSGKGTQCANIVQHFLYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQKAMLESGNDKFLIDGFPRNEENRAAFEAVTKIEPDFVLFFKCPEEEMERRLLSRNQGREDDNIETIRKRFNVFLESSLPVIQYYKAKGKVREIDAAKPIEEVFEAVKVVFTPKGEKVTA >EOY19623 pep chromosome:Theobroma_cacao_20110822:10:19263202:19264722:1 gene:TCM_044756 transcript:EOY19623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQYCSTSMQLQQNSKLEKTECEVGWHQKRELNIYQDHHQAENVVSLSTLYLAKEFAKLLPSLKMRLKDTVPRETHRESISLKMFHLSQGRCVLYLKN >EOY20142 pep chromosome:Theobroma_cacao_20110822:10:25040957:25043866:1 gene:TCM_045533 transcript:EOY20142 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRP16, putative isoform 2 MVISSCLKPLSLKSRKVTSLCGLLMASLMLLSVNPANERMLVGGGADGAIHKAAGPELLEACYKIPEVRCPTGESRITPGFKLPASHVIHTVGPIYDSGKDPKASLTNACKNCLSVAKENNVKYIAFTAISCGVYGYPFEEAATVAISTVKEFADDIKEVHFVLFSDQIYNVWLNKAKELLQA >EOY20141 pep chromosome:Theobroma_cacao_20110822:10:25040874:25044458:1 gene:TCM_045533 transcript:EOY20141 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRP16, putative isoform 2 MSRNSILFASGGRGNPFLTTASTFCNIKVASVSSYNPNLWWYRILSSSHRTIPNIFKLSLSPPSSSTAAAAAAAFKVVGFSRMESAIGGEDGHFKLSETTELKIQKGDITLWFVDGFSDAIVNPANERMLVGGGADGAIHKAAGPELLEACYKIPEVRCPTGESRITPGFKLPASHVIHTVGPIYDSGKDPKASLTNACKNCLSVAKENNVKYIAFTAISCGVYGYPFEEAATVAISTVKEFADDIKEVHFVLFSDQIYNVWLNKAKELLQA >EOY20143 pep chromosome:Theobroma_cacao_20110822:10:25040957:25043872:1 gene:TCM_045533 transcript:EOY20143 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRP16, putative isoform 2 SILFASGGRGNPFLTTASTFCNIKVASVSSYNPNLWWYRILSSSHRTIPNIFKLSLSPPSSSTAAAAAAAFKVVGFSRMESAIGGEDGHFKLSETTELKIQKGDITLWFVDGFSDAIVNPANERMLVGGGADGAIHKAAGPELLEACYKIPEVRCPTGESRITPGFKLPASHVIHTVGPIYDSGKDPKASLTNACKNCLSVAKENNVKYIAFTAISCGVYGCTLFSSQIKSTMFG >EOY20144 pep chromosome:Theobroma_cacao_20110822:10:25040963:25051311:1 gene:TCM_045533 transcript:EOY20144 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRP16, putative isoform 2 LFASGGRGNPFLTTASTFCNIKVASVSSYNPNLWWYRILSSSHRTIPNIFKLSLSPPSSSTAAAAAAAFKVVGFSRMESAIGGEDGHFKLSETTELKIQKGDITLWFVDGFSDAIVNPANERMLVGGGADGAIHKAAGPELLEACYKIPEVRCPTGESRITPGFKLPASHVIHTVGPVHFVLFSDQIYNVWLNKAKELLQA >EOY18989 pep chromosome:Theobroma_cacao_20110822:10:7506454:7553985:1 gene:TCM_043558 transcript:EOY18989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTQNVTGVPWVSLIRGARFPIIELTLIGEARRITPEVGFEYTSRWPPRETRTQPTPRNGCVVRGSGQFVDS >EOY17598 pep chromosome:Theobroma_cacao_20110822:10:314618:319322:1 gene:TCM_042388 transcript:EOY17598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 24 isoform 3 MTDSKQVFLFGSFSEDETRSLLSKRSAGNAEKPVQKKELQSGSLNFAAGGSLGGANGDLSNKPSSTNRPVGFLSSTSPIADRKSIKSARDHTSTTLQTPKENGTTKSTNESSSLSNGIKQLNVKSTDVTSLHLSQNDCGSLNNFQSLKFHVLESESMEGRDQNGMIDISLACTVDGDIPKAAKEPITVAKNLLSRGLINTGNLCFLNATLQALLSCSPFVQLLQRLRLRNIPKVGFPTLTAFAEFVSDFDVPSSNPNVKKKDTTVLEIGRPFSPAMFEAVLKSFTPDVPNSISGRPRQEDAQEFLSFIMDQMHNELIKLDGQPSSSIGVRSSLVSSVEDDEWETVGPKNKSAITRTQSFLPSELSDIFGGQLRSVVKARGNKASATVQPFLLLHLDIHPEAVLTIEDALHLFSAPEYLEGYRASTAGKAGVVTARKSVKIQTLSKIMILHLMRFSYGSQGSTKLHKPVRFPLELVLGRELLVSPSTEGRKYELVATITHHGREPSKGHYTADARYLNGQWLRFDDASVTAIGTSKVLHDQAYVLFYKQA >EOY17596 pep chromosome:Theobroma_cacao_20110822:10:314733:319223:1 gene:TCM_042388 transcript:EOY17596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 24 isoform 3 MTDSKVFLFGSFSEDETRSLLSKRSAGNAEKPVQKKELQSGSLNFAAGGSLGGANGDLSNKPSSTNRPVGFLSSTSPIADRKSIKSARDHTSTTLQTPKENGTTKSTNESSSLSNGIKQLNVKSTDVTSLHLSQNDCGSLNNFQSLKFHVLESESMEGRDQNGMIDISLACTVDGDIPKAAKEPITVAKNLLSRGLINTGNLCFLNATLQALLSCSPFVQLLQRLRLRNIPKVGFPTLTAFAEFVSDFDVPSSNPNVKKKDTTVLEIGRPFSPAMFEAVLKSFTPDVPNSISGRPRQEDAQEFLSFIMDQMHNELIKLDGQPSSSIGVRSSLVSSVEDDEWETVGPKNKSAITRTQSFLPSELSDIFGGQLRSVVKARGNKASATVQPFLLLHLDIHPEAVLTIEDALHLFSAPEYLEGYRASTAGKAGVVTARKSVKIQTLSKIMILHLMRFSYGSQGSTKLHKPVRFPLELVLGRELLVSPSTEYFIYRAENMNLLLQLPTMEESPLRGIIQLMLVTSMANGYDLTMPPSQPLGQARCCMTKHTFSSTNKHRRRQSFGVFWLHIHCSHYAQPILKLVCVRNIEWLQNWLSDFSFSCNSWSHLNL >EOY17599 pep chromosome:Theobroma_cacao_20110822:10:314829:319223:1 gene:TCM_042388 transcript:EOY17599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 24 isoform 3 MLSLYLPFKVFLFGSFSEDETRSLLSKRSAGNAEKPVQKKELQSGSLNFAAGGSLGGANGDLSNKPSSTNRPVGFLSSTSPIADRKSIKSARDHTSTTLQTPKENGTTKSTNESSSLSNGIKQLNVKSTDVTSLHLSQNDCGSLNNFQSLKFHVLESESMEGRDQNGMIDISLACTVDGDIPKAAKEPITVAKNLLSRGLINTGNLCFLNATLQALLSCSPFVQLLQRLRLRNIPKVGFPTLTAFAEFVSDFDVPSSNPNVKKKDTTVLEIGRPFSPAMFEAVLKSFTPDVPNSISGRPRQEDAQEFLSFIMDQMHNELIKLDGQPSSSIGVRSSLVSSVEDDEWETVGPKNKSAITRTQSFLPSELSDIFGGQLRSVVKAKETRLQLLFNHFYCSTLISTLKLFLLLRMPFIYFLHQNIWRGIAHQQLGRLVLSLPENLSRYRHFQR >EOY17595 pep chromosome:Theobroma_cacao_20110822:10:314798:319322:1 gene:TCM_042388 transcript:EOY17595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 24 isoform 3 MTDSKVFLFGSFSEDETRSLLSKRSAGNAEKPVQKKELQSGSLNFAAGGSLGGANGDLSNKPSSTNRPVGFLSSTSPIADRKSIKSARDHTSTTLQTPKENGTTKSTNESSSLSNGIKQLNVKSTDVTSLHLSQNDCGSLNNFQSLKFHVLESESMEGRDQNGMIDISLACTVDGDIPKAAKEPITVAKNLLSRGLINTGNLCFLNATLQALLSCSPFVQLLQRLRLRNIPKVGFPTLTAFAEFVSDFDVPSSNPNVKKKDTTVLEIGRPFSPAMFEAVLKSFTPDVPNSISGRPRQEDAQEFLSFIMDQMHNELIKLDGQPSSSIGVRSSLVSSVEDDEWETVGPKNKSAITRTQSFLPSELSDIFGGQLRSVVKARGNKASATVQPFLLLHLDIHPEAVLTIEDALHLFSAPEYLEGYRASTAGKAGVVTARKSVKIQTLSKIMILHLMRFSYGSQGSTKLHKPVRFPLELVLGRELLVSPSTEGRKYELVATITHHGREPSKGHYTADARYLNGQWLRFDDASVTAIGTSKVLHDQAYVLFYKQA >EOY17597 pep chromosome:Theobroma_cacao_20110822:10:314618:319322:1 gene:TCM_042388 transcript:EOY17597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 24 isoform 3 MTDSKVFLFGSFSEDETRSLLSKRSAGNAEKPVQKKELQSGSLNFAAGGSLGGANGDLSNKPSSTNRPVGFLSSTSPIADRKSIKSARDHTSTTLQTPKENGTTKSTNESSSLSNGIKQLNVKSTDVTSLHLSQNDCGSLNNFQSLKFHVLESESMEGRDQNGMIDISLACTVDGDIPKAAKEPITVAKNLLSRGLINTGNLCFLNATLQALLSCSPFVQLLQRLRLRNIPKVGFPTLTAFAEFVSDFDVPSSNPNVKKKDTTVLEIGRPFSPAMFEAVLKSFTPDVPNSISGRPRQEDAQEFLSFIMDQMHNELIKLDGQPSSSIGVRSSLVSSVEDDEWETVGPKNKSAITRTQSFLPSELSDIFGGQLRSVVKARGNKASATVQPFLLLHLDIHPEAVLTIEDALHLFSAPEYLEGYRASTAGKQAGVVTARKSVKIQTLSKIMILHLMRFSYGSQGSTKLHKPVRFPLELVLGRELLVSPSTEGRKYELVATITHHGREPSKGHYTADARYLNGQWLRFDDASVTAIGTSKVLHDQAYVLFYKQA >EOY19016 pep chromosome:Theobroma_cacao_20110822:10:8121926:8251545:1 gene:TCM_043618 transcript:EOY19016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MEQERQVDLVVDLVRHIDYVNQRIQVYDEDGCVQKQLQNLTLSASPFTFRSDIDPYYDSKPGNFTLFNCSIEDQSNDYDNYGSISCLSVPGFYVKYLDSDYGGYDLLNCSKTIDIIDIPRGLMSDQKNKFYFSWTNPACGSCEIQGKGCRRNTTKALGIECYYIHMDHKGARMKLMISGLTIGSLLLLLSVIGLCWLHHLNKKEKEGQRKIEQFLEDYKALKPSRYSYADIKRITNQFKEKLGQGGYGTVFKGTLSNDVSVAVKVLNNFKGNGEEFVNEVGSMGRIHHVNVTRLVGFCADGYNRALVYEYLPNESLEKFIFAAKGENRFLSWEKLHEIALGIAKGIEYLHQGCEQRILHFDIKPHNILLDQNFTPKISDFGLAKLCSKEQSAVSMTAARGTMGYIAPEVLSRNFGNVSYKSDVYSFGMLLLEMVGGRKNIDVTVANESQVYFPEWVYNRLDKGEELGIDIEDEGHHKIAKKLTIVGLRCIQWYPVDRPSMKSVVQMLEGEADNLTMPPNPFASKDEKKPKKPINRELAAISE >EOY18265 pep chromosome:Theobroma_cacao_20110822:10:2610163:2611938:1 gene:TCM_042855 transcript:EOY18265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHDGSLEDAAITVLNFLNDFAELHRPRNKWSFQFDFGQGKSLLAAIASNNTLHLDFSAFKQENPRPFNWLLKLNHPLSEQWLFPYNSNELLEPNGPLPKPHHRLSSYIFKQKICISSYGLQSLDMEDATGWFNLDDTMLDFRQGPGFKHDIDNDSFISSKSIQFIELLTLCRWVFKRLKELWWIDYSTERHNVNSLLCFLKLCPRLRRLYVIIDAKCYNMTSTKRIPGVEMIIELEKLELLKLEGFANENEEINFIKQLTPLFKARPVIITKSNGTCSRCQVELPELEKEGNYAYKFEQVKNLHEKWPHHVHMKL >EOY19232 pep chromosome:Theobroma_cacao_20110822:10:14652374:14658776:-1 gene:TCM_044211 transcript:EOY19232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the outer envelope membrane of chloroplasts 159 MDAQLSASEITSQPLSSSPGSSSPSSFPSFLASNDDSKFATSSVADHALKANENSKTSDNESGEVKSETTPERPLVADSKEEIQALGKDSDASHVHFDGSNVILKEDSLGAGDNGLEGFRGEGLMEKLDTEGVGCGAKEGKVEMGSIGDANQSVLAMESREGGRIGMVENNSILGSGAKQADPVVVEAADHKVVEADILKFSGGEDLVVDATPLVGDVSESKKSEIKGTEVVPVSRSASLENGFDQISHDEKHVLNVYSVPDKNIEPVATNRIDTAELSACEQNADFDAAKKADSAGGGLLAKLNDLQGEEVIDVLEQAGSENIDEGGGDGSQTVDHSAPPIQLMAAYEAENLDSDSQSRRLVEESHSPKSAELTTVSKAEVSLEGEVEEENHHQDEEGEIEGSDTDGETEGMIFENTKAAKQFLEELERESGFGSHSGADNSHDHSQRIDGQIVVDSDEEVDTDEEGEGKELLNSAALAALLKAATGAGSDGSNITITSQDGSRLFSVERPAGLGSSLNNAKPAPRSNRPSLFTPSAVTSGRDSDNNLTEEDKRKLEKLQSIRVKFLRLVQRLGHSPEDSIAAQVLYRLALVAGRQTSQLFSLDSAKRTALQLETEGKDDLSFSLNILVLGKIGVGKSATINSIFGEEKVSVHAFEPATAVVKEITGTVDGVKLRIIDTPGLKSSAMEQGANRKVLASIKNFIKKCPPDIVLYVDRLDTQTRDLNDMPLLRSITNSLGSSIWKNAIVTLTHGASAPPDGPSGSPLSYEVFVAQRSHVVQQSIGQAVGDLRLMNPSLMNPVSLVENHPSCRKNRDGHKVLPNGQTWRPQLLLLCYSMKVLSEASSLSKPQDPFDHRKLFGFRVRSPPLPYLLSWLLQSRAHPKLSADQGGENGDSDIDMADLSDSDQEEDADEYDQLPPFKPLRKAQLAKLSKEQRKAYFEEYDYRVKLLQKKQWREELRRMREMKKKGKPAVDEYGYMGEDVDQETGGPAAVPVPLPDMSLPPSFDADNPAYRYRFLEPTSQFLARPVLDTHGWDHDCGYDGVNIEHSLAIGSQFPAAIAVQLTKDKKEFNIHLDSSVSTKHGENGSSMAGFDIQNVGKQLAYIFRGETKFKNLKKNKTAAGFSVTFLGENVATGFKLEDNIVVGNRLVLVGSTGIVRSQGDSAYGANLEVQLRDADFPIGQDQSSLGLSLVKWRGDLALGANFQSQLSVGRSSKIAVRAGLNNKMSGQITVRTSSSDQLQIALTGILPIVMAIYKSIRPGVSENYSMY >EOY18684 pep chromosome:Theobroma_cacao_20110822:10:4426943:4431825:1 gene:TCM_043180 transcript:EOY18684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine kinase 2 MAYEGILLGMGNPLLDISAVVDEDFLNKYDIKLNNAILAEDKHLPMYEEMANKYDVEYIAGGATQNSIRVAQWMLQIPGATSYMGCIGKDKFGEEMKKNSKLAGVNVHYYEDETAPTGTCAVCVVGGERSLVANLSAANCYKSEHLKRPENWALVEKAKYFYIAGFFLTVSPESIQLVAEHAAAKNKVFMMNLSAPFICEFFRDVQEKALPYMDYVFGNETEARTFSKVHGWETDNVEEIALKISQWPKASGTHKRITVITQGADPVVVAEDGKVKLFPVVLLPKEKLVDTNGAGDAFVGGFLSQLVQEKPIEECIRAGCYAANVIIQRSGCTYPEKPNFS >EOY18029 pep chromosome:Theobroma_cacao_20110822:10:1833415:1834668:1 gene:TCM_042697 transcript:EOY18029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFILCMSFDAWKPSASGEISFSFFQCGILRSISFFDFFFFRCRIMQEYEFFLKKKIGRPPPRPWLILITRGLILGKELMSHRSDPRRRLALILI >EOY19607 pep chromosome:Theobroma_cacao_20110822:10:19183502:19187817:1 gene:TCM_044748 transcript:EOY19607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MGASTNKENRLPREVKDALQLLASDWDDVVDSKALQVIPLKGAMTNQVFQIKWPTRTDEVSRKVLVRIYGEGVEVFFDRDNEIRTFEFMSKHRQGPRLLGRFPNGRIEEFIRARTLSASDLRDPNVSALIAAKLREFHELDMPGPKKVWLWDRLQNWLNAAKRVCPLEEAKAFRLEVIEEEISMLEKKLSGCHQHIGFCHNDLQYGNIMIDEETKSITIIDYEYASYNPVAYDIANHFCEMAADYHTEMPHIMDYSKYPGWGERLRFLRIYLHSSGICLLHVRNPETLKWT >EOY19603 pep chromosome:Theobroma_cacao_20110822:10:19183442:19190050:1 gene:TCM_044748 transcript:EOY19603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MGASTNKENRLPREVKDALQLLASDWDDVVDSKALQVIPLKGAMTNQVFQIKWPTRTDEVSRKVLVRIYGEGVEVFFDRDNEIRTFEFMSKHRQGPRLLGRFPNGRIEEFIRARTLSASDLRDPNVSALIAAKLREFHELDMPGPKKVWLWDRLQNWLNAAKRVCPLEEAKAFRLEVIEEEISMLEKKLSGCHQHIGFCHNDLQYGNIMIDEETKSITIIDYEYASYNPVAYDIANHFCEMAADYHTEMPHIMDYSKYPGWGERLRFLRIYLHSSGKEPRDTEVDQLLKDVEKFTLASHLTWGLWGIISEHVNEIDFDYKEYAKQRFQQYWMQRPELLGSS >EOY19606 pep chromosome:Theobroma_cacao_20110822:10:19183478:19190032:1 gene:TCM_044748 transcript:EOY19606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MGASTNKENRLPREVKDALQLLASDWDDVVDSKALQVIPLKGAMTNQVFQIKWPTRTDEVSRKVLVRIYGEGVEVFFDRDNEIRTFEFMSKHRQGPRLLGRFPNGRIEEFIRARTLSASDLRDPNVSALIAAKLREFHELDMPGPKKVWLWDRLQNWLNAAKRVCPLEEAKAFRLEVIEEEISMLEKKLSGCHQHIGFCHNDLQYGNIMIDEETKSITIIDYEYASYNPVAYDIANHFCEMAADYHTEMPHIMDYSKYPGWGERLRFLRIYLHSSGSKEPRDTEVDQLLKDVEKFTLASHLTWGLWGIISEHVNEIDFDYKEYAKQRFQQYWMQRPELLGSS >EOY19605 pep chromosome:Theobroma_cacao_20110822:10:19183442:19189736:1 gene:TCM_044748 transcript:EOY19605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MGASTNKENRLPREVKDALQLLASDWDDVVDSKALQVIPLKGAMTNQVFQIKWPTRTDEVSRKVLVRIYGEGVEVFFDRDNEIRTFEFMSKHRQGPRLLGRFPNGRIEEFIRARTLSASDLRDPNVSALIAAKLREFHELDMPGPKKVWLWDRLQNWLNAAKRVCPLEEAKAFRLEVIEEEISMLEKKLSGCHQHIGFCHNDLQYGNIMIDEETKSITIIDYEYASYNPVAYDIANHFCEMAADYHTEMPHIMDYSKYPGWGERLRFLRIYLHSSGKEPRDTEVDQLLKDVEKFTLASHLTWGLWGIISEHVNEIDFDYKEYAKQRFQQYWMQRPELLGSS >EOY19604 pep chromosome:Theobroma_cacao_20110822:10:19183442:19190032:1 gene:TCM_044748 transcript:EOY19604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MGASTNKENRLPREVKDALQLLASDWDDVVDSKALQVIPLKGAMTNQVFQIKWPTRTDEVSRKVLVRIYGEGVEVFFDRDNEIRTFEFMSKHRQGPRLLGRFPNGRIEEFIRARTLSASDLRDPNVSALIAAKLREFHELDMPGPKKVWLWDRLQNWLNAAKRVCPLEEAKAFRLEVIEEEISMLEKKLSGCHQHIGFCHNDLQYGNIMIDEETKSITIIDYEYASYNPVAYDIANHFCEMAADYHTEMPHIMDYSKYPGWGERLRFLRIYLHSSGKEPRDTEVDQLLKDVEKFTLASHLTWGLWGIISEHVNEIDFDYKEYAKQRFQQYWMQRPELLGSS >EOY18148 pep chromosome:Theobroma_cacao_20110822:10:2182532:2183278:-1 gene:TCM_042765 transcript:EOY18148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASLSRTMASTLTPLGSFSSMWDFALGVSLRYGTLWLYPLRALSRKCDNHVHARTVRSSPTSIRIHCLY >EOY19655 pep chromosome:Theobroma_cacao_20110822:10:19500329:19516934:-1 gene:TCM_044799 transcript:EOY19655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRGEARLHSHASSSFSLSSSVELESTLMMLPEDKISEGEDVENKEDNISRDSGGSSNNYGTNLSLYRNRKRWYRVGLLEMNDRDEQVALLLDMLKCICLFQAYMTYAEAQKFREVKYVAMVSPSDDVQSAKRIMSGIFKVRPKSKKQKLSLGSILSERRQNKEKWTYNEDLEQKDSEEEEFSEDECSYDFSGFSDDKYDSYFHFDEFGKRWYKECLVDDKTEDDQVALLLDMLKCDQSEIRSIYKYHIPDLFKARMSEEEADKVAGWFLSPIFLTVLLDKIPVLKFVFSLYFLGSKEVEFVEPLCYAIFLK >EOY17765 pep chromosome:Theobroma_cacao_20110822:10:795391:801743:-1 gene:TCM_042492 transcript:EOY17765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double Clp-N motif-containing P-loop nucleoside triphosphate hydrolases superfamily protein, putative MPTPVCVARQCLTPEAAHALDEAVSVARRRGHAQTTSLHAVSALLSLPSSALRDACARARNAAYSPRLQFKALELCLSVSLDRVPSSQLSSDPPVSNSLMAAIKRSQANQRRQPENFHLYREISQQNPSNISCVKVELQHLILSILDDPVVSRVFGEAGFRSSEIKLAIIRPLPNLLRYSRPRGPPIFLCNLENSDPGCETARVSGRRGFSFPFPGFASFFEGEENCRRIGEVLARRRNPLLVGVSAYDALASFTESLEKKKDGFLVKEISGLNIICVKNFILKCMNEGFNKREVDLQFEEMGLVMEREMGGTGLVVNYGDLNILVSDKSEKNGDDDDYDDDDDKVDEDGVGYVVAQLTRLLQVYGGKVWLLGAAASYQTYLKFLSRFPSVEKDWDLQILPITSLRNPLAEPYPKSSLMESFVPFGGFFSTPSESKGSLSSSYQHVPRCHQCNERCEQEVIAISKGGFNVSVADQYQSTLPSWLQMTELGANKGLDVKTKDDGLLLNTKVAGLQKKWDNICQRLHHTHPVPESNTYQANPPFPSVLGFHIIQDKKENAHGHGGNISNTLPNENNCINVNSSLPVNFQKMSTSQSDIPFSVVSMTKNGSFLSKLREKPSKEGYFEAIEPISPCSLSNSSVGDVSQASPTSVTSVTTDLGLGICSVSSCNKLKKPTNQNHKGLAQEFLGCLPANVDVINGSVSSHQAQSSSSSSPECGGQLDPSNFKKLFTAVTERVDWQDEAVSVICQTVANSRARNERCHGASRRGDIWLNFSGPDRCGKMKIAVALADIIYGSRENFICIDLSSQDGVLHTQLLFNCQEVNYDLRFRGKTVVDYVAEELSKKPLSVVYLENVDKADIQVQSSLSQAIRTGKFLDSHGREVSTNNAIFVTTSTLAKENQVVCHKTETSNYSEDKVLRAKGWPLQILIKHDDNTIGQDLMAPVTARKSVSKLGFLNKRKLIGSHETLEQHEIMEMAKRPNRTSSWNLDLNIPAEESEVQEADDGTVDNDSVAENPTPWLQDFFGQPVKNVVFKPFDFDALAERLLNDINQSFCKFIGSDCLLDIDSKVMEQLLAASYLSDETMVVTDWVGQVLSRGFAQVEERYNLNTHSVVKLVAYEGLPSEDKTLGVCLPPKIILN >EOY18493 pep chromosome:Theobroma_cacao_20110822:10:3602019:3603916:-1 gene:TCM_043044 transcript:EOY18493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10 family protein METALLSFPSSKPPPSQTQTLKPTNPFLHSSKPKFPSFKPTTPFPSIKAAISRTKKEETVETVKSQLENCYLIAAVKYTGFTVKQFQELRRSLPGSSKLLVAKNTLVFKAIEGTPWEALKPCMKGMNAWLFVHSEEIPDAIKPYRTFQKEKKVENDFTGAVFEGKFYGPGEFKQLENMPTRAEIYAKLLGSLQSPAIGLVGTLQAPARDVVMILQAYVKKLEEESGGQ >EOY20115 pep chromosome:Theobroma_cacao_20110822:10:24944478:24951924:-1 gene:TCM_045512 transcript:EOY20115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGFFPFTYLGFLMGANPRRVSFWDLVTNKVRQGLALRVHWIPTISHSNKMPLVWKCIKQLPTNDKVVDLVGFSACQWCIALALSRHGSLSWKDVLNSMLTDLLEVSLVPLDVELLFESDSKVALSWVSDVRQRPWKLWQIFNEIDYLSRTIGNVSYINVLREGNSFADSLGKLGLDRCSMFTALCSALTAFLLLLCSANKKPYGRPHETHVVYSLPWKCRGAKYAFRTTMHVLELYSPWGFIPEFDTSTVMDQQLGTKQTLYGPPTRDPRYVSPYLATQ >EOY18691 pep chromosome:Theobroma_cacao_20110822:10:4462046:4464557:-1 gene:TCM_043187 transcript:EOY18691 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative MVMAVSESSRLRASLEKENVPVRRLIANQILHPPASDCKFCAVKRKDQTRALDMIRNDPELFSLKLIQAPLVDMEIRGVPALKIMGDIVWKLATTSFLIILDREFSGNMKMTIHKESILQYYKSSIREARGFDIKLPVA >EOY19669 pep chromosome:Theobroma_cacao_20110822:10:19726559:19738431:-1 gene:TCM_044835 transcript:EOY19669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKLMLSLAGFRSAFGVMSAYRDVAEVVTGPMGVPGRDKNHIFCLYLHGERNNHIYVNSIYNSNNSLSKRDNKIIDRESHNQIEWLRKRLLFSLNQLIKLLYDCLICLTMVIVSYFFCHMHFLSFLLFILFFSFR >EOY17880 pep chromosome:Theobroma_cacao_20110822:10:1307435:1309070:-1 gene:TCM_042587 transcript:EOY17880 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MEAVKDVLCMNNGVGENSYVKAEALTIKVMAITKPIVPKAVQSLFTETDHSIPLQVVNVADLGCAVGPQPLEFMSTVIESILKKCGEMGREMPEIQFFLNDLVGNDFNTLFKGLSVVQEKYKKVSWFAMGAPGSFHGRLFPRNSMHLVYSCYSVHWLSEAPKITNEAGLPLNKGKIYMSKTSPPAVTKAYLSQFQEDFSSLLKFRSQELAPNGRVVLIFNGRQTADPTNKDTCYTWDLLAEALSYLVSQGLVDEGKLDSFNVPYYNPSQEEIKYLVDKEGSLTIEFIDTIELEIGGPNGYWSSPESRIRGHRCFTEPLLSHQFGERLMDKLYDKATQILVEDYKHGKEATKNIGIAVVLKKKKL >EOY17881 pep chromosome:Theobroma_cacao_20110822:10:1307652:1324344:-1 gene:TCM_042587 transcript:EOY17881 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MEAVKDVLCMNNGVGENSYVKAEALTIKVMAITKPIVPKAVQSLFTETDHSIPLQVVNVADLGCAVGPQPLEFMSTVIESILKKCGEMGREMPEIQFFLNDLVGNDFNTLFKGLSVVQEKYKKVSWFAMGAPGSFHGRLFPRNSMHLVYSCYSVHWLSEAPKITNEAGLPLNKGKIYMSKTSPPAVTKAYLSQFQEDFSSLLKFRSQELAPNGRVVLIFNGRQTADPTNKDTCYTWDLLAEALSYLVSQGLVDEGKLDSFNVPYYNPSQEEIKYLVDKEGSLTIEFIDTIELEIGGPNGYWSSPESRIRGHRCFTEPLLSHQFGERLMDKLYDKATQILVEDYKHGKEATKNIGIAVVLKKK >EOY19251 pep chromosome:Theobroma_cacao_20110822:10:15195237:15196481:-1 gene:TCM_044259 transcript:EOY19251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRERVATEEKKERKSNNRGEEGKKEQRQRRRKKNKKKERGSSDGAKGERGRRERKKEEEKEKKKEKRRLEERPIVRGEKKWEKRENS >EOY18264 pep chromosome:Theobroma_cacao_20110822:10:2585354:2812295:1 gene:TCM_042851 transcript:EOY18264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGIWEQWRRAHRDDFQNKYGHIAWLLYVPVDDQMLRAIVQFWDPSYRCFVFNKVDMTPTIEEYSSLLRIDHMQPDKIYWRAQKTGHRRKLVKLLGMTTVEVDQHLKTCYMFICIHRNCI >EOY17899 pep chromosome:Theobroma_cacao_20110822:10:1377352:1380082:1 gene:TCM_042600 transcript:EOY17899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microsomal signal peptidase 25 kDa subunit (SPC25) MQEKKAETGTKNAKKANLLDHHSIKHILDESVSEIVTSRGYVEDVRMSNVRLLLGTIIIVIALFAQFYKKKFPENRDFLIGCIVLYIVFNGLLQLIIYTKEKNAILFTYPPAGSVTSTGLVVSSKLPRFSDLYTLSIASADPKSISAGRPVEFTKSVTQWFTKDGVLVEGLFWKDVEALINDYAAEPKKKK >EOY18945 pep chromosome:Theobroma_cacao_20110822:10:6475715:6477179:-1 gene:TCM_043468 transcript:EOY18945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFACKDGVASALDNDYGILLVFEVLYERRCRSPIGWLEVEERKLLQPEMVQAIVERVQIIREQMLAAQSRQKSYADNICKPLEFDVGNYVFLKMSPLPLEDRLKYQEQPMAILDRQVKRFRSKDIFMVKVLWQNHLVEEVTWELEVECK >EOY18033 pep chromosome:Theobroma_cacao_20110822:10:1841776:1842993:1 gene:TCM_042700 transcript:EOY18033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRTNREMVLNSLIMPKYFAFPRYERAWLILNIRCVLLQTDAVAAIYKLPLFG >EOY19447 pep chromosome:Theobroma_cacao_20110822:10:17765129:17797598:-1 gene:TCM_044572 transcript:EOY19447 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MENLAQLEALCERLYNSQDSAERAHAENTLKCFSVNTDYISQCQYILENALTPYALMLASSSLLKQVTDHSLALPLRIDIWTYLFNYLATRGPKLEQFVTASLIQLLSRVTKFGWFDDERFRDVVKESTNFLSQGTSEHYAIGLKILNQLVSEMNQPNPGLSSTHHRRVACSFRDQSLFQIFQISLTSLRHLKNDVASRLQELALSLALKCLSFDFVGTSIDESSEEFGTVQIPSSWRPVLEDSSTLQIFFDYYSITKAPLSKEALECLVRLASVRRSLFANEAARSKFLAHLMTGTKEILQSGQGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLQSWQWASSSVYYLLGLWSRLVSSVPYLKGDAPSLLDEFVPKITESFLTSRFNSVQAGFPDDLSENPLDNVELLQDQLDCFPYLCRFQYESSGLYIINMMEPILQSYTERARLQTCDKNELSVIEAKLTWIVHIIAAILKIKQCTGCSMESQEVLDAELSARVLQLINVTDSGLHSQRYGELSKQRLDRAILTFFQHFRKSYVGDQAMHSSKQLYARLSELLGLHDHLLLLNVIVGKIATNLKCYTESEEVIDHTLSLFLELASGYMTGKLLLKLETVKFIIANHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPVKFKSSMEPLLQVFLSLESTPDSVFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLILKGITHWTDTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLLVAYGTRILSLPNPADIYAFKYKGIWISLTILARALAGNYVNFGVFELYGDRALSDALDVALKMTLSIPLADILAFRKLTRAYFSFLEVLFNSHISFILNLDAATFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPTSPAAVKLAQHIADCPSLFPQILKTLFEIVLFEDCGNQWSLSRPMLSLVLISEQIFADLKAQILGSQPVDQHQRLSICFDKLMTDVTRSLDSKNRDKFTQNLTVFRHEFRVKSILECYKGLPRCIKLVASLTDGSYLRYPKKEHKEGKQNWVKFGSVFFWLGCGSPEPFLQHISVCMHIIHLQCDILCLAVGAEWKGNFPRQHGANFCFVR >EOY19182 pep chromosome:Theobroma_cacao_20110822:10:13031133:13037909:1 gene:TCM_044056 transcript:EOY19182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc induced facilitator-like 1 isoform 2 MAQEYREPLLKKKYHENCPGCKVDQMKELQRGLPLRQLVSIWIVVLCAALPISSLFPFLYFMIRDFHISKREEDIGYYAGYVGSAFMLGRASTSVLWGIIADRYGRKPVIIMGTITVVVFNTLFGLSVNFYMAVTTRFLLGSLNGLLGPIKAYAVEIFREEHQALGLSTVSTSWGIGLIIGPALGGFLAQPAEKYPNIFSKDSLFGRFPYFLPCLAISLFALGVTIATFWLPETLHKHNDNDRSSDDSYDALEAAPHESSSKEITEEDEGRESTSKQSLLKNWPLMSSIIVYCVFSLHDMAYTEIFSLWAVSPRKFGGLSYSTKDVGEVLAISGFSLLVFQLSVYPTVERILGPVMVSRIASVLTIPLLQSYSYIALLSGFTLSLVLNCASILKNVLSVSIITGLFILQNRAVDQHQRGAANGIAMTGMSLFKAAGPAGGGALFSWAEKRQDAAFLPVEAVGVLMT >EOY19181 pep chromosome:Theobroma_cacao_20110822:10:13030855:13038147:1 gene:TCM_044056 transcript:EOY19181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc induced facilitator-like 1 isoform 2 MAQEYREPLLKKKYHENCPGCKVDQMKELQRGLPLRQLVSIWIVVLCAALPISSLFPFLYFMIRDFHISKREEDIGYYAGYVGSAFMLGRASTSVLWGIIADRYGRKPVIIMGTITVVVFNTLFGLSVNFYMAVTTRFLLGSLNGLLGPIKAYAVEIFREEHQALGLSTVSTSWGIGLIIGPALGGFLAQPAEKYPNIFSKDSLFGRFPYFLPCLAISLFALGVTIATFWLPETLHKHNDNDRSSDDSYDALEAAPHESSSKEITEEDEGRESTSKQSLLKNWPLMSSIIVYCVFSLHDMAYTEIFSLWAVSPRKFGGLSYSTKDVGEVLAISGFSLLVFQLSVYPTVERILGPVMVSRIASVLTIPLLQSYSYIALLSGFTLSLVLNCASILKNVLSVSIITGLFILQNRAVDQHQRGAANGIAMTGMSLFKAAGPAGGGALFSWAEKRQDAAFLPGTQMVFFILNIVEAVGVLMTFKPFLAQHRQ >EOY19183 pep chromosome:Theobroma_cacao_20110822:10:13031133:13037135:1 gene:TCM_044056 transcript:EOY19183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc induced facilitator-like 1 isoform 2 MAQEYREPLLKKKYHENCPGCKVDQMKELQRGLPLRQLVSIWIVVLCAALPISSLFPFLYFMIRDFHISKREEDIGYYAGYVGSAFMLGRASTSVLWGIIADRYGRKPVIIMGTITVVVFNTLFGLSVNFYMAVTTRFLLGSLNGLLGPIKAYAVEIFREEHQALGLSTVSTSWGIGLIIGPALGGFLAQPAEKYPNIFSKDSLFGRFPYFLPCLAISLFALGVTIATFWLPETLHKHNDNDRSSDDSYDALEAAPHESSSKEITEEDEGRESTSKQSLLKNWPLMSSIIVYCVFSLHDMAYTEIFSLWAVSPRKFGGLSYSTKDVGEVLAISGFSLLVFQLSVYPTVERILGPVMVSRIASVLTIPLLQSYSYIALLSGFTLSLVLNCASILKNVLSVSIITGLFILQNRAVKYIST >EOY18333 pep chromosome:Theobroma_cacao_20110822:10:3058422:3059817:1 gene:TCM_042936 transcript:EOY18333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MTTANQTALHIATENNRLGALYLLCRMLRKSDDFQDVVNLKDSNGDTAVHIAARKNQPQILKLLLKCKADKFATNQVGLTVLAVADELDYKESKSILPGWLGARASSFQYVIRKQMVKHVTKASKVIFQGMDSISSEDRNTLLVILGTLLTATFQASINPPRSVLQDDGSPNSKSTVNEGRGRLVMEEDAGYSLVLLHCK >EOY19573 pep chromosome:Theobroma_cacao_20110822:10:18927057:18928255:-1 gene:TCM_044717 transcript:EOY19573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 FSSPFSCRLFPLFLKKPSHGCLLHSKNVINTSKSILSVPPTSVSPKRKTYFPFSL >EOY19574 pep chromosome:Theobroma_cacao_20110822:10:18924177:18928255:-1 gene:TCM_044717 transcript:EOY19574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 FSSPFSCRLFPLFLKKPSHGCLLHSKNVINTSKSILSVPPTSVSPKRKTYFPFSL >EOY19197 pep chromosome:Theobroma_cacao_20110822:10:13941053:13947845:-1 gene:TCM_044140 transcript:EOY19197 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MISAGINLVMTVIGFTVSTMFIVFVCTRLVCARIQLNASRRSFPVASRSDLSILERGFHGLEPVVVANFPTKKYSDECFLATEDAQCTVCLSEYHSEDVLRILPYCGHSFHITCIDIWLQQHSTCPVCRMSLREFPEKKRLMQPLFSSAIRSHFGMESFNTHSCNCLLRGHGFPSRAHDNGGMGPIQENSFASGGNGAEVGENMSQIAEGDQAIKDSRSKRVESPSNP >EOY19196 pep chromosome:Theobroma_cacao_20110822:10:13940913:13947889:-1 gene:TCM_044140 transcript:EOY19196 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MISAGINLVMTVIGFTVSTMFIVFVCTRLVCARIQLNASRRSFPVASRSDLSILERGFHGLEPVVVANFPTKKYSDECFLATEDAQCTVCLSEYHSEDVLRILPYCGHSFHITCIDIWLQQHSTCPVCRMSLREFPEKKRLMQPLFSSAIRSHFGMESFNTHSCNCLLRGHGFPSRAHDNGGMGPIQENSFASGGNGAEVGENMSQIAEGDQAIKDSRSKRVESPSNP >EOY18003 pep chromosome:Theobroma_cacao_20110822:10:1756756:1760509:-1 gene:TCM_042681 transcript:EOY18003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPP8 MAEAIVSLAIERISDLLIHEAVFLHGVREEVEGLKAELQRMKSSLIDADRKQDQDELTCTLVSQIRDLAYEAEDVIDDFILQVAHQGGFRGFIKRFTKPSRLHKIGVEVKAIQTKLESISKNLLAYNRISGAEGSRSVFEMQQGLRRTYSHVEEEDVVSLEGITRHVLKQLMTEEDRLHVVVSIVGMGGIDDESWKLFRMKAFPVNKTESHVCPEALEMPGREMVKKCGGLPLAIAVLGGLLATKKTRAEWEMVQRNINARLNNFPLQDDYGKVNGILSLSYTELPFHLKPCFLYLGHYPEDWEISKRELIRLWIAEGFISPSWESGEMLMEDVAEQFLEQLINRCLVQVGKRDHTGVGVKTCHVHDLLRDLCVKKAQEENFLEIIQPPLNESDGNSLHVTLTASMARRIAIHPSKRVLNLVKNDVWPEWNVSSEIGNLHHLRYLRLRFGGTIILPRSIGKLKNLHTLYLINEVPRIPDVLFKLRCLRHIVVGNLLIYVPLLLRDTLKNIETLKYIGSKNLIENNAVLDLTNIRSLGISFERSKDVEPILKALIKSQRLVSLYLWLKDSIPYPNLEPLSHCHHLSKLFLRGKIQEDPHSSHHSMRFLPANIVKLTLWDCEMKQDPMAVLGKLPHLRTLFFESFSYVGTKMVCSANEFLQLDYLGIGPLKELEEWQIEKGAMPRLRSLELLKFSNLRSFPEGLKYITALQEMILLEMKRSLVERIQVIDGSEGEDFSNVRHIPSIQVMGTEED >EOY19433 pep chromosome:Theobroma_cacao_20110822:10:17545111:17551276:-1 gene:TCM_044540 transcript:EOY19433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKSLQSTLPSEQYLYENVGVDVDLVMVSFWHLNVVLFGTMGSSKDPSDPSDLERWNGSRANQDEGS >EOY18273 pep chromosome:Theobroma_cacao_20110822:10:2660642:2665675:1 gene:TCM_042867 transcript:EOY18273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRKNRNRKKKQQEELDPDKNSTNIEDIIKNLDLNEQIKDDIISCLPDDILCRIISFLPFDSAIRTSLLSTRWKNLWKKAFLARDGTIQDTVAAVSCFLNVFYQLRRSDNNWGFQFSYGEGHILSVAVDPDNDTLHLDFSTGEELPREFGIIFLLDRQIHTKQPSPQHSNLTITKCNGLEILDFGVDRLRLRSLTVLDCPQLKKLFIDGCFLCSFRRRGSAPRFSHFICNLVVGYDAMLDFRQGTGNIDIKSYDFTIFRSIEYVESLRLCRWFFETVICRKLQISGENLLFGSLKDLWWIEYSEARNNSDALISFLKFCPRLILAAMVQLKEVLSAEPLIIAKSDRTCLPRLVPEKKKKKGKFPCKFKQGVENLPEVWLTHIHMDL >EOY18882 pep chromosome:Theobroma_cacao_20110822:10:5676366:5683330:1 gene:TCM_043378 transcript:EOY18882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1997) [Source:Projected from Arabidopsis thaliana (AT5G04440) TAIR;Acc:AT5G04440] MYDLSLNERTNLILILKFNFLSLFLISHYYSEHVSPTCAVVISYDTPTAETTLASLLSLKGKTSVRSFFPKYLLSLSLLRSREPFSDVVFNMALGSCSFNPTRLTSLPFPSKNAFKTAKNPLYMSTASFKLLCVRASAWNSASKARFVARRKESLSVRQLKRPLIEYMRLPASQYSVLDAERIERIDDNTFRCYVYRFKFFNFEVCPVLLVRVEEQPNGCCIKLLSCKLDGSPIVVAQNDKFDACMVNQISCDTNRSGSLVQELTSDAVIEVSIEVPFAFRPIPLGMIESTGTQVLEQILRLMLPRFMAQLVKDYQAWASGDASRQPLGTGEI >EOY19823 pep chromosome:Theobroma_cacao_20110822:10:22908215:22912027:-1 gene:TCM_045180 transcript:EOY19823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METYLRAYDLWGIIAARGDPLVLSVTNPTIAQLKQHNKEVAKRYKALSVLHSTIYDSIFTRIMNCQSPKEVWDKIKEEFFGNDRTRQIQALNLWREFETLRMDDEETVQDFSEKVHKIVNHLRLLGEELSEKRIINKFLVSVPEKYELKISSLEDFKDLSSISVNELLNALQAQEQRGALRQDKVVEGVLMARNADKRHNSCKQIFQTLDDNFKTKMEIGNGDFLLIFGVGTVRVQTLDGLQSISNVFYELDVSQNLLSVRHLLDDNYELVFKDKACTVKDPTGVELLTVGMKNKCFPLDWMKVNHFADNCTMTENDLWHKRFGHVNYGSLKLMSTDKMVLLHTNLGGHMKTSSLNGSKYYLLFINDYTRFSWVYFLKVKSNALNAFIKYKALVENQTNLTSKMLRSDNGTEYATKEFEGHLSKFGVMHQLIVSYSSQQNGVFERKNRTLMEMARCLLFEKNLPKTLWAEAMNTANYLLNLCSTKALVSKTPYEAWYGLKPFVDHLKIFGCICYAKIPDAKRTKLDEKSVIVIHLGYSEVSKGYRLLNVMTMKFFVSRDIVFDESMKWNWNTKAMESSYSQHILIDYISKNEDEPESVARHDTIRLLMALATCEGWEIWHLDIKSAFFNGTIKENIYVEQLEGFVQLEREDKVCKLLKTLYGLKQAPRA >EOY17936 pep chromosome:Theobroma_cacao_20110822:10:1492573:1495707:-1 gene:TCM_042628 transcript:EOY17936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVFIQGPLLCQMGNKGWVGMKFMSFKEGTYVLRAFLFYIGGSLPPQTTFLYKRKEERQKAFDGRRGGGGEEGAAACLPAGFLHCQVLEEASKGIALILYFQPFLLSHNFGSCYPFGFLLWLAFKDIRTWWCTLSLSPCIEQQENPMMTRPYETHQRRRRTMQKKTCPKISNMKVR >EOY18710 pep chromosome:Theobroma_cacao_20110822:10:4618346:4622799:1 gene:TCM_043208 transcript:EOY18710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase MKCFCFSGKEKNAEPKATKSISVRSSTSISMSADHDMRRSGSEFNSQNVSDFSTESSTKNSFAALSQRQSNLREFTFSELKTATKNFSRSLMIGEGGFGGVYRGVIRSTDDPHKKIDIAVKQLSRRGLQGHKEWVTEVNVLGVVEHQNLVKLVGYCAEDDERGIQRLLIYEYMPNRSVQDHLSSQFQTTLPWATRIKIAQDAARGLAYLHEGMDFQIIFRDFKSSNILLDEHWNAKLSDFGLARLGPSDGLSHVSTAVVGTIGYAAPEYIQTGRLTAKSDVWSYGVFLYELITGRRPLDRSRPKREQKLLEWVRPHISDIKKFKLILDPRLEGKYSLKSAHKLAAVANKCLARQAKLRPKMSEVLEMVNRIVEAADMVSPQLPMKSSTPKNVSEVLSSRKHLKRRFVDLITGDKGCLIWRTWRPKIVRTC >EOY18849 pep chromosome:Theobroma_cacao_20110822:10:5408505:5411134:-1 gene:TCM_043345 transcript:EOY18849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKEKERERMENIRHFSHPHELVFNDEEQSDQSKVPCSACLESLLGRPSFGCGECEFYLHKKCAEAPLEISHSPFHRKHPTFTLKLNSLSCHMCKEHRLMFNYCCTSCPASLDIKCALRLHNIDEDFSELRYVSHEHPLAFIANPEDELQKADCHWCQKPVIDSLYVCLECRFFLHKQCAQLPTQLDHPCHRKHLLYIEDDCLVCTQCEKDHWSLFYSCLPCNLHIGIDCVRSKPRSFIEVSTDHEHSFTPLLRHDPFVCDACGTEGNYFSYICTTCYVMVHKKCTSLPRIINITRHHHCIFHNYYFQKKDLESRDCGICLTEVKIEYGNYKCLKQDCNFVAHVNCALEEGMYDIIDHVNDQDKECSERFATNSAITRVIEMNQHGEATKIKHCSHEHDLTLGNEIKKDDGKNCDACMLSISTSFFYCPECEFLLHKTCAELSKKKHLWFHRYPTTLDLADIVTCNQCYRVCSGFVYKSDKWSGTNFCLRCATIPHIFKCQGHKHSLFFDFKFEGRCNACGVTGYNGAFKCKDCTTFALDFACVTLPPEIRYKCDTHFLELTFHDENDDPEQHYCDICEEKRNPNHWFYQCAICNSSVHPKCVLEKYPFMKIKIGITFPYRVCPHYHALIFVKKSYDTCSLCCQPCQDVALECVVCTPNCTIHYDCGVGELVSFLIKRRCS >EOY19339 pep chromosome:Theobroma_cacao_20110822:10:16515561:16518424:1 gene:TCM_044409 transcript:EOY19339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 12 isoform 1 MEVDRSESQSMGSQQVRKFTWRIENFSCIKCNKLYSDIFHVGGNKWRLLVFPKGNKVDHISIYVDAADSATLPPGWSRYAQFRLSVINQTDPKTSITKVTNHEFNAKENDWGFTSFMPLDELLDPKRGYLVNDACLVEAYIATDRTIDLLSDALIVELETASDKLKSKEADHGEAAIDNQKPTIVKPEEITTQSSAILSAPAVLSSPGQDEAESTNQKLPTADQSSSQSETIEPEDPTEEDMDTFFTSLESELARSNIVSSQEEAKEALVNIDEALNMAPANFYDSGMISSLKKAFKVLSSFDCSSTFTIEQKDELLAMEENFKQLPERVVKAVQDKNLLSEKESVKLALTRNLEDSLIKFKEAKAEVKQVEQKLAALHEQVAEAQKNKENILAERKENFKISQDLKVQLNALGKEWPEYVAKAKVAEEEEKSVEAEWGRMKGFISSLKGKI >EOY19340 pep chromosome:Theobroma_cacao_20110822:10:16512792:16518217:1 gene:TCM_044409 transcript:EOY19340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 12 isoform 1 MGSQQVRKFTWRIENFSCIKCNKLYSDIFHVGGNKWRLLVFPKGNKVDHISIYVDAADSATLPPGWSRYAQFRLSVINQTDPKTSITKVTNHEFNAKENDWGFTSFMPLDELLDPKRGYLVNDACLVEAYIATDRTIDLLSDALIVELETASDKLKSKEADHGEAAIDNQKPTIVKPEEITTQSSAILSAPAVLSSPGQDEAESTNQKLPTADQSSSQSETIEPEDPTEEDMDTFFTSLESELARSNIVSSQEEAKEALVNIDEALNMAPANFYDSGMISSLKKAFKVLSSFDCSSTFTIEQKDELLAMEENFKQLPERVVKAVQDKNLLSEKESVKLALTRNLEDSLIKFKEAKAEVKQVEQKLAALHEQVAEAQKNKENILAERKENFKISQDLKVQLNALGKEWPEYVAKAKVAEEEEKSVEAEWGRMKGFISSLKGKI >EOY18119 pep chromosome:Theobroma_cacao_20110822:10:2114341:2117185:-1 gene:TCM_042751 transcript:EOY18119 gene_biotype:protein_coding transcript_biotype:protein_coding description:SC35-like splicing factor 30 isoform 1 MRRYSPQYYSPPRRGYGGRERSPPRRGYGGGGYGRRREQNHGSLLVRNIPLDCRPEELRIPFERFGLVRDVYIPKDYYTGEPRGFAFVQFVDSYEAAEAQRRMNGKLFAGREISVVVAAETRKRPEEMRHKARLRGSSGYGGRSSYYGRSRSRSLSPMHSPHHPSGSRGRYRSRSYSPAARRRGNYSVSPGRRHADHPRSPRGPPQERDGDYNHRSYSPGYENAGGNGYGEKSAYESEEARAAWRSPPGRVSRSPSGSRSRSADLSPRRSR >EOY18118 pep chromosome:Theobroma_cacao_20110822:10:2114109:2117787:-1 gene:TCM_042751 transcript:EOY18118 gene_biotype:protein_coding transcript_biotype:protein_coding description:SC35-like splicing factor 30 isoform 1 MRRYSPQYYSPPRRGYGGRERSPPRRGYGGGGYGRRREQNHGSLLVRNIPLDCRPEELRIPFERFGLVRDVYIPKDYYTGEPRGFAFVQFVDSYEAAEAQRRMNGKLFAGREISVVVAAETRKRPEEMRHKARLRGSSGYGGRSSYYGRSRSRSLSPMHSPHHPSGSRGRYRSRSYSPAARRRGNYSVSPGRRHADHPRSPRGPPQERDGDYNHRSYSPGYENAGGNGYGEKSAYESEEARAAWRSPPGRVSRSPSGSRSRSADLSPRRSR >EOY18120 pep chromosome:Theobroma_cacao_20110822:10:2114101:2117798:-1 gene:TCM_042751 transcript:EOY18120 gene_biotype:protein_coding transcript_biotype:protein_coding description:SC35-like splicing factor 30 isoform 1 MRRYSPQYYSPPRRGYGGRERSPPRRGYGGGGYGRRREQNHGSLLVRNIPLDCRPEELRIPFERFGLVRDVYIPKDYYTGEPRGFAFVQFVDSYEAAEAQRRMNGKLFAGREISVVVAAETRKRPEEMRHKARLRGSSGYGGRSSYYGRSRSRSLSPMHSPHHPSGSRGRYRSRSYSPAARRRGNYSVSPGRRHADHPRSPRGPPQERDGDYNHRSYSPGYENAGGNGYGDLHMSPRKLVLHGGHRLVECQGPPLGPDQGLLTCHPGVADKQVGDRKN >EOY19549 pep chromosome:Theobroma_cacao_20110822:10:18676200:18679127:-1 gene:TCM_044682 transcript:EOY19549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVVYCFGISYEHPGTFILSYIKSRNLHHEYVGLLPNGFKFRKQTFEKIEHLVGLFQKNIDRLQHTSLTLGNLAFGNSSGACTIGGLQGQLNCSKDRSISRGRSFYHGDGNDDGGKMHPSELPRPHNGSGHDSSNDGFNSFGNEDKDPSQGAAAKWGSCSEDQDRRNEKWGRTNNKLGNDIHFAPNNEDWPGGWSDGVGASGRNWDSGDRRGSGGNWDRNDGTRNSGWSKGIGVDRTGGKWGQRGGRGSRGGCTSGWGSTKNGDSSGSDEVWRASGNNVHVRGRAHTSGWGATKESESGGNFGYNEGDWPVSGNNVGGHRHHGGGRGRGCGCGFRCGHGGSSCWGGTKEGESSASFGGDEGAWHTTGNNVWGRGRHGAGCGGGRMSGWGGSKEGESGGTFDGNGEDWHASGNNVGGHGHCGGGRDHGPGRGRGRGRGRGRGRAGSWDCDRGGHRGGARNACSFGRGRGRSNERGDNCHRESESGHNSSMVNGGW >EOY17642 pep chromosome:Theobroma_cacao_20110822:10:434068:435885:-1 gene:TCM_042414 transcript:EOY17642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASDDQIIKVVGDRFCVPYTMELLVKRKIQSFSNAHYDVFDATGNVLLQVDGGVWNFQRKRVMKDPAGLPVITMREKQALSWKQQWVVHQGESSEKDHFICCVQRSNALQIKNKLDVCLASSYKDDGRDFRVTGSFASLSFKVRRGNSIIAEVSHNFTWGSCKGKESFKVKVYPEVDYAFIVALVVIMHENDNA >EOY17641 pep chromosome:Theobroma_cacao_20110822:10:434357:435434:-1 gene:TCM_042414 transcript:EOY17641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASDDQIIKVVGDRFCVPYTMELLVKRKIQSFSNAHYDVFDATGNVLLQVDGGVWNFQRKRVMKDPAGLPVITMREKALSWKQQWVVHQGESSEKDHFICCVQRSNALQIKNKLDVCLASSYKDDGRDFRVTGSFASLSFKVRRGNSIIAEVSHNFTWGSCKGKESFKVKVYPEVDYAFIVALVVIMHENDNA >EOY18164 pep chromosome:Theobroma_cacao_20110822:10:2224618:2225697:1 gene:TCM_042778 transcript:EOY18164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MPVLLKLKVDGHPHQLELKNSKVPFYCDGCMELGFGFCYQCPNKDCDYILHETCGIRRPQTFHKFFETCDFKFHKENPLGGTRVCDACALEIQGLLYQCSHGDKDLHPCCANLPPVFSLPGLDMEIYLRKEIKSKCLKCQSRKRSSGKVQGWSYVSSDGAYCYHVACLRGALLENWKLGYFQLDVAAAADENTKTLALQKLAPKEVALQGRGQTSKATKGIKWLIIFLKLVISAIFGDPLSLIANLFISL >EOY19881 pep chromosome:Theobroma_cacao_20110822:10:23456750:23462554:-1 gene:TCM_045263 transcript:EOY19881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent ARF-type GTPase activating protein family isoform 1 MSAQHGNSDPKSSSGSGSCLYDLLCSETPSWNRVSDAETSSSIQRKDTLKSTGPRRRLESLLHESGNGVCADCGSPDPKWVSVSLGVFICIKCSGVHRSLGVHISKVLSVKLDEWTDDQVDSLVNLGGNIVANNKYEACLPDNLKKPRPDSSIEERSDFIKRKYEVLQFLDGNDQIICPYPPHQKPSSSTPQCSAGHHFAQDKKQCEKQPTRHRIGHKFRNSWGRKDSEHKSTKKTNSLAGMVEFIGLVKVNVVKGTNLAVRDMMTSDPYVILALGQQLVYDKDTFST >EOY19880 pep chromosome:Theobroma_cacao_20110822:10:23456192:23462327:-1 gene:TCM_045263 transcript:EOY19880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent ARF-type GTPase activating protein family isoform 1 MSAQHGNSDPKSSSGSGSCLYDLLCSETPSWNRVSDAETSSSIQRKDTLKSTGPRRRLESLLHESGNGVCADCGSPDPKWVSVSLGVFICIKCSGVHRSLGVHISKVLSVKLDEWTDDQVDSLVNLGGNIVANNKYEACLPDNLKKPRPDSSIEERSDFIKRKYEVLQFLDGNDQIICPYPPHQKPSSSTPQCSAGHHFAQDKKQCEKQPTRHRIGHKFRNSWGRKDSEHKSTKKTNSLAGMVEFIGLVKVNVVKGTNLAVRDMMTSDPYVILALGQQSVKTRVIKNNLNPVWNESLMLSIPENIPPLKVLVYDKDTFSTDDFMGDAEIDIQPLVAAAKACENSELRESMQLGKWVASKDNTLVKDGIITLVDGKVKQEISLRLQNVERGVLEIELECVPLTQ >EOY18569 pep chromosome:Theobroma_cacao_20110822:10:3853170:3858249:-1 gene:TCM_043091 transcript:EOY18569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGAQELNPGLETLQQNNDSWQEFGDEAEETLSLCDLVISSDASEYWNGDQYHRNSSSSSSDHQHQDLFEFFSTEDIPAAAAAASSNYQGNNIIFCGKLIPYRGQQQSIEDKPQRLESKVIKPENGTTNSTSCLFPWKTSLSFNKSRTFPPSSSSSAPAKASQRKSFNKSLSLPAEGSKNSKKLGDDKFDFSVKKVSVIETPVKSRWYLFAFGVGRFPMEIELKDMKMRQSRKSKAMKLQPDGQPENAKCNKERRRSAKGLWRLLKVLGCNNKHTNAAVVQASYSCIPHV >EOY20247 pep chromosome:Theobroma_cacao_20110822:10:25339056:25341638:1 gene:TCM_045604 transcript:EOY20247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDYVTFVNLQAHELNDIDIKKVAHISLDETCSSLRIDSEYCLKCGSYCNLASMSEAVKKAWVNLRRLQDSITLKDMHGTELSDALRSVGILRSMLHAYNKGIGEAEDNLAQAFCFTGDLQPARDHCKASIEGLCQT >EOY18258 pep chromosome:Theobroma_cacao_20110822:10:2545968:2550072:1 gene:TCM_042843 transcript:EOY18258 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MDLVQDPTLEDAVITVSNFLDFFAELDRPRNNWGFQFDFGQGRVLSVAIAPNNTLRLDFSAGEEEFPWPIDWFSGLCLLQPTHQPSSYTLEIEALDLISLGHLSSKAVSSMVSNFPFLKSLTIAKCNGLQSLDIQGARRLQKLTVFDCLQLQSLHFEGLDLSSVQFRGRLVSFQFAHRPWIFHTFTCEFRLEDAMLDFRQGPGHNCIDRPVLKSMFQSIQSVKSLTLCRWIFEELIWEIFPSLYVNFHFYNLKELWWIDHSAESDNANALLWFLKLCPYLERLYVTIDPKSYNMESTKFSGVVGGINKLNHLKVVRLEGFADSNEEIFFAKRLRPLFKARPVIKTKLNGTCSRSLKSIKFSRLVLRPGENFFVLVMLQLVGSICKFSSADSSQARRLQYLLSGNIRYINACKFQKERRLVVRNKRIYQRLGHECLEYTKLKILSKKRTSYCSILHTPKAHLHSVKDVTHTSVSSYGYGSRINLPYTQKIIQAIHSGSLLNATCQQTEVFRLEIPTEIEGVPSQILRPENTWAEKKAYKDTLLKLAGLFKKNFETFTSYKIGKDNKLTDEILQLVQTFKSGPMTKARNCR >EOY18141 pep chromosome:Theobroma_cacao_20110822:10:2153343:2156607:1 gene:TCM_042758 transcript:EOY18141 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSF2 isoform 1 MAGQSDPHISLFSAEEVEFMAEDELIEIVPNMRMDPLNFICGDFGPFLPQIATQVPMWLAVALKKRGKCAIRPPLWMSVENLTKVLEGERDSQGAFQVLPFHYVEISRLLFDHARDDIPDMYMVRSLIEDIRDVRVHKVETSLEKFSGTSAVKIPNLSAMEVNIIRPFVGRALQAFYKHDNPEKIPDVDRASSGQTRVANNEPRRPLRR >EOY18142 pep chromosome:Theobroma_cacao_20110822:10:2153310:2156289:1 gene:TCM_042758 transcript:EOY18142 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSF2 isoform 1 MAGQSDPHISLFSAEEVEFMAEDELIEIVPNMRMDPLNFICGDFGPFLPQIATQVPMWLAVALKKRGKCAIRPPLWMSVENLTKVLEGERDSQGAFQVLPFHYVEISRLLFDHARDDIPDMYMVRSLIEDIRDVRVHKVETSLEKFSGTSAVKIPNLSAMEVNIIRPFVGRALQAFYKHDNPEKIPDVDRASSGQTRVANNEPRRPLRR >EOY20059 pep chromosome:Theobroma_cacao_20110822:10:24625930:24627243:1 gene:TCM_045459 transcript:EOY20059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGKMELESEDRLSNLPVERKQKMEIESADRLSNLPEAVLLDIISFLNTKDAVRTSILSTNWTSVWKDLKSMHLQGFTIKKENFDPKIFTSCPRLQSLKLNDILLEDGIVMFRIASITLKSLELSFVRSESRGCQVIIDAPNLTSFSYEGYPSLTFDILKHSLEEVYFDLNWNPNVDNKNLDYQCLMIMLDGIRQTKSLTLSLSTIKILSEFHALLQESEIPYTDLKILNLRIEGECENFDIPRNVLYHFCKSSTSLEIC >EOY19654 pep chromosome:Theobroma_cacao_20110822:10:19498474:19499993:1 gene:TCM_044798 transcript:EOY19654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVQHGLVGTLPSSTFTKPFSRLAQTPRFSGLQVDLPCWLRAPRIMAAAGRISTGFPEFVVESFELSLEFSPFPFDKSLKFNNPFPFDGSSFNKSCKIFSTPSDESSEFVLPLAKLPSFDEPLELLWI >EOY18074 pep chromosome:Theobroma_cacao_20110822:10:1982315:1983905:-1 gene:TCM_042726 transcript:EOY18074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein MPTPSILFCFFFYFFCIFISFSLTDGTQLILVNNCQESIWPGILGSAGHETPREGGFHLSCGEQTVLELPERWSGRIWPRQGCCFDQTGKGSCQTGDCAGLLECKGTGGKPPATLVEMTLGGPTSPLHYYDVSLVDGFNVPVSMVPIGGGVGCGVAACEADLNVCCPAALVVKKEGKVVGCKSACLAAKTDRYCCTGEFANPKSCAPTVFANLFKAICPRAYSYAYDDSSSLKTCRAPRYVITFCPPN >EOY18518 pep chromosome:Theobroma_cacao_20110822:10:3721842:3728480:1 gene:TCM_043064 transcript:EOY18518 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacid aminotransferase-like PLP-dependent enzymes superfamily protein, putative isoform 2 MNSTRFLFSNGVVSRFSEAPPVTTFLESLPGAYTTTRTHENGSTLLFWERHITRLANSARILLNSKPELIFKPTKKYPLFFSPLSITSSMKWESRIRSLVNNSMNQVLPIALKERSDGEELAVTALVCGDFEKLKEMKNVGDDDGFFGVLDVHLHVGNYVPPVFGIEENGAHLALVGRGRDVAAAKYSAWVRLRMPLDKLRPPSVTELLLSNDGDRILEGCITNFFVICRRDKSEAEGNFPHDYDSAYSVEVQTAPITEGVLPGVIRQLVIEVCLSKGIPVREVAPSWEKHGLWEEAFVTNSLRVIQHVETIKVPSSWESLGTKCSEGISWMEKKFEQGPGMITKVIQVKFDEQVEELLLDFRTYIIKTEILLEQSWLIRGVLPRLLTNHVACKSTRIILPFWIYTGSFLFLPVLCLNCFISTQSGFTDLVLIPFDFTRELSPSQAEMQIFKHSTQAHCQ >EOY18519 pep chromosome:Theobroma_cacao_20110822:10:3723699:3728478:1 gene:TCM_043064 transcript:EOY18519 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacid aminotransferase-like PLP-dependent enzymes superfamily protein, putative isoform 2 MNSTRFLFSNGVVSRFSEAPPVTTFLESLPGAYTTTRTHENGSTLLFWERHITRLANSARILLNSKPELIFKPTKKYPLFFSPLSITSSMKWESRIRSLVNNSMNQVLPIALKERSDGEELAVTALVCGDFEKLKEMKNVGDDDGFFGVLDVHLHVGNYVPPVFGIEENGAHLALVGRGRDVAAAKYSAWVRLRMPLDKLRPPSVTELLLSNDGDRILEGCITNFFVICRRDKSEAEGNFPHDYDSAYSVEVQTAPITEGVLPGVIRQLVIEVCLSKGIPVREVAPSWEKHGLWEEAFVTNSLRVIQHVETIKVPSSWESLGTKCSEGISWMEKKFEGPGMITKVIQKEIIERACMESYPLNEFL >EOY19162 pep chromosome:Theobroma_cacao_20110822:10:11261959:11263682:1 gene:TCM_043936 transcript:EOY19162 gene_biotype:protein_coding transcript_biotype:protein_coding description:T6D22.19, putative MNVSRDIAAVVTGSRRVPGCDMLVEAIIKHELPYAFVEYDKIRAWAQYVNPNVVMHCRNTTISDVRRIHLREKEKLKQVMVKVPNRICLTSDVWITSTFEDYICLTAHFVNENWKLCSKLLNFCRMLPPHIGVELAATVFDCLKEWGIDKKVFSLSLDNASATDNMKAALKATFICK >EOY18672 pep chromosome:Theobroma_cacao_20110822:10:4335639:4338141:-1 gene:TCM_043165 transcript:EOY18672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFMKGDLLTKARKLVKGLAKPEPAWLKAMEQAPPATFPRADGKVKRISLPEDVYTKKFFEKYPESKHEDAIKISSFDPPPARLFGLRVLELKEQGVSEEEAMAVADMEYVTEKKEKKKAYARLKQIARLQGKKPPPNPYASIIKRIQAEEKKFVRDRFFDPETLKIVEKLKEERRAEMQDRMGGDGF >EOY19732 pep chromosome:Theobroma_cacao_20110822:10:21922455:21923430:-1 gene:TCM_045038 transcript:EOY19732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSQFKSGVPVYTQCQLQNHAGKKQYVTLDCQKDWHGSGDPPKTIQDQTSADFKHNADSTGSIGGVAFVLSNEIKWVVAWSNKEQQSNKVYTQILKGGDEVDWNQIKDNLDQSSNQSSDLDKYGYSSEAVIDKDNPAPSLQATLRPAA >EOY18817 pep chromosome:Theobroma_cacao_20110822:10:5110979:5113406:1 gene:TCM_043306 transcript:EOY18817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive element-binding protein 2C MDQNLKVASTLSSDSCRKRKRRDGLSVADTLKLWSQGKDAKQSCKAPAKGSKKGCMKGKGGPQNQRCNYRGVRQRTWGKWVAEIRAPNGGKRIWLGTFPTACEAALAYDEAARTMYGENAIINMPHISRFDSVATTSHAFSEASTCSASNSMTVPSNYEICGRDVDGEGEPSRMNVEYTVDSETAWTMHGENATLNMPHVSDFDSVSITSPVFSEATTCSASNSMTVPINYEICGRDVDGEGEPSGMNVEYTVDSETAWTMDGENATLNMPHVADFDSVTITSPVFSEATTCAPSNSVTVSSNSEICERDVDGEGEPSKMNIEYTADSEAATTSQDVKTDAKSEDHTDDSWLTNGLDFAKNIAIDFGETADWFEDYYFDVPEFFD >EOY18978 pep chromosome:Theobroma_cacao_20110822:10:7233167:7244101:1 gene:TCM_043533 transcript:EOY18978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein, putative MPVLKIILIIAIGLLLALDRVKLLGPEAKRHLNKIVFYVFTPALAASSLAETMTFKSFTTLWFMPVNILITFVLGSALAWLLIKITRTPKHLQGIFIGCSSADLASLPLIMVPAVCEEPSNPFGDQSVCSTNAKPYASLSLSIGAIFMWSYVYGIMRMYANKSIESSTTVINSPRDTSETVSGSRTQAALPSSGCNTSHLPRTLSGERSTKMSGLKKIMPRIKMISGKIDLKKVFAPTAVAAVMPKCLHCWVYNRAVSTIRKLMIGNSAPLRVIDSSAYILGKIINMMISGEANLLTGLKGSDVSRNVIIGIIAVRNIFLPLSGIGVVKAAHHFGLVGSDSLYQFVLMLQILVSLLNKLISTDLIQLLAGTMAQLFQLGQGETSMIMLWTSVTAAVTLTLWSTFFMWLLA >EOY17781 pep chromosome:Theobroma_cacao_20110822:10:849499:850570:1 gene:TCM_042500 transcript:EOY17781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRTTKRSFVLGMIKPELGGMLAGNRTGSEGIQSGRRGCRSPECYTKPDRTKKDANIMYLRFQEALQEEKEKLHVKLQRSRTIDDSKQCRVIEYLIDVTENVVNVLPGKKVHKLLVN >EOY19796 pep chromosome:Theobroma_cacao_20110822:10:22569108:22613785:-1 gene:TCM_045136 transcript:EOY19796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPS2 MSVIGEAALAAFFEVLFSKLASAEFLNAVTEKQVGQELKKWKKILPCIQAVLDDAEEKHMKDGHVKRWLAELQDLAYDADDVLDEFATEALRRKLTREHQDSSSKLQKLLPTCIIPHLIMKLCTR >EOY18058 pep chromosome:Theobroma_cacao_20110822:10:1935389:1937081:-1 gene:TCM_042715 transcript:EOY18058 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger C2A, putative MATATTSYWCYRCSRFVQIFNQDPITCPGCDGGFVEEIENPSHTARTVQAGSHRFGSHRFPAASMYTNTTPTTSTILRRSRRNGGDRSPFNPVIVLRGGAATTTSSAASPSSASGENSNVEHNGRGFELYYDDGGGSGLRPLPPSMSEFLLGSGFDRLIDQLSQMEIQNVGRYEQPPASKAAVEAMPTVEIDETHVCNELYCAVCKEQFELGTKVRNMPCNHLYHSNCILPWLQLRNSCPVCRHELPAAVGEEGSESGGGNLNSSEEVPVGLTIWRLPGGGFAVGRFSGGRRGGAGENREFPVVYTEMDGGFSGGGLPRRVSWGSRGSRGRERGGFFGRLLGNLFGCFGGSSSSRLDSRISRSSRSLLLFTASSRRRRGWAVEVDSGRRRW >EOY19653 pep chromosome:Theobroma_cacao_20110822:10:19481441:19483527:-1 gene:TCM_044791 transcript:EOY19653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSFFSPPPTGNGIQGSCRPPFTGSDAAALGSLFLPLRRQPLLSLFLRSALLSLAPAADPWRQSAAGIHAGDAGQGLDPLSTCLSPFPLLQCPSPLYPVSEHWGGTFHYPARREFFASGRVREVPGRILNSDNVEEAARDTDGYFIKSGIVTVIKDALIPSGTVI >EOY18383 pep chromosome:Theobroma_cacao_20110822:10:3259610:3263030:-1 gene:TCM_042983 transcript:EOY18383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MDLENGTVRYEEEYIINSRGLKLFTCKWIPMNEEPKGLIFICHGYAMECSISMNSTAIRLVKAGFAVYGIDYEGHGKSSGLQGYVTSFDNVVDDCSNFFTQICEKKENKKKMRFLLGESMGGAVLLLVHMKKPEYWDGAVLVAPMCKIADEMKPHPLVISVLTKLCNFIPTWKIIPSKDVIDAAFRRPEIREQIRANPYCYKGRPRLKTGNELLKTSMELEQRLNEVSLPFIVLHGGDDKVTDKAVSQQLYDVAASSDKTFKLYPGMWHGLLYGETPENIEIVFADIINWLNQRTESGNSRLERELKHQNDELSMSENKEQISS >EOY18751 pep chromosome:Theobroma_cacao_20110822:10:4824244:4828775:1 gene:TCM_043259 transcript:EOY18751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein isoform 2 MGCSSSLPDRSAGRLSGLNNAENSGVPDAKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAGVAVIVYDITSPESFTKAQYWVKVLQKHGSPDIVMALVGNKADLHENREVPVQDGIDYAEKNGMFFIETSAKTADNINQLF >EOY18749 pep chromosome:Theobroma_cacao_20110822:10:4824246:4829863:1 gene:TCM_043259 transcript:EOY18749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein isoform 2 MGCSSSLPDRSAGRLSGLNNAENSGVPDAKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAGVAVIVYDITSPESFTKAQYWVKELQKHGSPDIVMALVGNKADLHENREVPVQDGIDYAEKNGMFFIETSAKTADNINQLFEEIAKRLPRPSSS >EOY18750 pep chromosome:Theobroma_cacao_20110822:10:4824284:4829843:1 gene:TCM_043259 transcript:EOY18750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein isoform 2 MGCSSSLPDRSAGRLSGLNNAENSGVPDAKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAGVAVIVYDITSPESFTKAQYWVKELQKHGSPDIVMALVGNKADLHENREVPVQDGIDYAEKNGMFFIETSAKTADNINQLFEEIAKRLPRPSSS >EOY18752 pep chromosome:Theobroma_cacao_20110822:10:4824541:4828620:1 gene:TCM_043259 transcript:EOY18752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein isoform 2 MGCSSSLPDRSAGRLSGLNNAENSGVPDAKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAGVAVIVYDITSPESFTKAQYWVKVLQKHGSPDIVMALVGNKADLHENREVPVQVSAKI >EOY20099 pep chromosome:Theobroma_cacao_20110822:10:24819541:24823781:1 gene:TCM_045492 transcript:EOY20099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MLHRLSRRFCPRNLYITLTLNPSSSRKVNSSKNGIDKCSITFSFFSSSMGIDQISPFVPLLKTPKFVTNPTFVRSYCSREWTEDIEYLDESGTVIYSGKGIRSVEPGLDDHVMVGGLKKPIMNASAVAKIVEVVKRWKWGPELESQLDKLQFMPKMIHVTQAMKVVKDSDASLSLFRWAKRQSWYVPSDECYDILFDGLNQSRDFDAIQSLFEEMVQESSDNGVSLFTAYNRVIQYLAKAEKLEVSFCCFKKAQEYGCKIDTQTYNALMILFLNKSLPYKAFEIYESMQVAGCLLDGSTYELIIPSLAKSGRLDAAFKLFQEMKERKFQPSFSIFTSLVDSMGKAGRLDTSMKIYMEMQGSGLRPSATMFVSLIESYAKAGRLDTSLRLWNEMKNAGFRPNFGLYTMIIESHAKSGKLETATSIFKDMEKAGFLPTPSTYSCLLEMHAASGQVDSAMKLYNSMINAGLRPGLSTYTALLTLLANKKLVDVAAKILLEMKSMGFSVDVSASDVLMVYIKDGSIELALKWLRFMGSSGIRTNNFIVRQLFESCMKSGLYESAKPLLETYVSSAAKVDLVLYTSILAYLVRCQEEHNERHLMEILGATKHKAHAFMCGLFTGPEQRKQPVLSFVREFFQGIDYELEEGAARYFVNVLLNYLVLMGQINRARCVWKVAYENKLFPKAIVFDQHIAWSLDVRNLSVGAALIAVAHTLHRFRKRMLYYGVIPRRIKLVTGPTLKIVVAQMLSSVESPFEVSKVVLRAPGDSVMDWFKKPIVQQFLLNEIPSRADILMHKLNILFPSSAPEIRSLSPPKPLIAGRAM >EOY20077 pep chromosome:Theobroma_cacao_20110822:10:24695491:24699414:1 gene:TCM_045476 transcript:EOY20077 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein MLLTSEKRNKKNEDRMGKLPDAVLLKIMSFLNTKQAVQTCVLSKRWKSLWQSLPNLDFNFDTFPFQQEIDDEDEERVEMKMASFSNFISQVLFRRCPTDLVKVCVQSHIYDPRCFLVDGLICYAVKHNVQQLTVHPRSDYPYLFPESFWTCQSLTALELKANDVTLSAIELPKLLACPALKSLHLSGFSPFGPNFETTVFSGCPNLETLELFDILAVGSEGLCIDALKLTSLVLSFALIGDGKVEIYAPQLTTFKYSGIAPTMWRTDSLANLDDVYFDIKTPRFKHNERDYVLCLINILNKFRHAKSLTLSTAAVKVLTKFPSLVGRNRLRFTNLKHLKIKFDKWPCKRLEMPACMLNCFLNSSTILKICMDSPMAVFESPEDSD >EOY19963 pep chromosome:Theobroma_cacao_20110822:10:24132099:24133288:-1 gene:TCM_045364 transcript:EOY19963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein LSLRWPPSECNIGQLKCTPTVLNYWVIHGIWPTYENNTAVPKYDKTNNPCTHNPTKENQIQAKLSSIKNTLTQFWPSLRNYAKDKTNLKDWIHEWKFHGQCSDYPTDPLSYFNSALSLRRKNDLAGTRIQPREEPYQAKERL >EOY19402 pep chromosome:Theobroma_cacao_20110822:10:17265872:17266443:1 gene:TCM_044496 transcript:EOY19402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFKVLGLMMILFVTSGVVMSIEEVVDPIQAYNCETKMSLNCVMEVFESICNKAKSVTDKCCGELMVLGQVCHNALLKRTLQLPKFKNVDASILLKRSIQTWNKCAFVVDGVAPSPSL >EOY18929 pep chromosome:Theobroma_cacao_20110822:10:6315553:6317305:1 gene:TCM_043444 transcript:EOY18929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, putative MLIIIIIDFVVLFLNKYLLLGVINIYFMARLGLRRNLNRRKRIVATRRVRSYNLDFYANREYVMRLVHDNDFSCIAQVRMNKHTFVKLCEMLENIGGLKSTRNMLVDEQVAIFLYIIAHHLKSQVIRQKFKRSSKTISRHFNKVLNAVMKLQDHLLRKPKPIPTNSTDNWWKWFKNCLGALDGTYIEVKEGHQPTTLEEFFNMKHVAARNVIERCFGLLKIRWAILRSPSFYPIKIHNRIIIACCLLHNFIRREMTFDLIEDEVGEYLHDNTTPEEEDVIGAINPTDAWTNWRMQLANEIFTEWQTSRQNQN >EOY18984 pep chromosome:Theobroma_cacao_20110822:10:7446993:7453047:1 gene:TCM_043550 transcript:EOY18984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARNVEIEIKEENPKFPGNLFLIFTKLPFNRKRKAEVVIEKKGNDKPSADEKKSNKPDVVKLSEPRPAVPPPVKLEAEEPGMTSSRIILWQVYAIGGFFLLKWIWARWKERKEMGGKKESSDDEQSPADDDSRYV >EOY19441 pep chromosome:Theobroma_cacao_20110822:10:17721181:17728293:1 gene:TCM_044564 transcript:EOY19441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMELMISFSKGKRVIEEPTPSKNPPAQDSGNQRDDPPYPPRFTPPHAQTSQRVHPQVMPSVYYNAPPPMGHQPTHGFDTMDATELCLVPDVLIPAKFKVLEFEKYDGTKCPMAHITMYCRKMVAQSHDDKLLIQFFQDSLIGSVARWYTMEKKQSENFKEYAQKWRDTVAQVQPPLTDKEMTVLFINTFRAPLYERLIGNATKNFTDLVLSGEIIKGAIKSGKIEGHEVANSKKGNTSKKKEGDVQAVAHDNQQAHNFNPYYPYPPYQPFYPNIGNITQNPYVYQPTPQPTFQTNVLPQTPPSRPVASTNNPGHGHSTENCITLKHKVQALIKAGLLNFAKKDSSSVDGNPLPNHGRPTVNAIHEGMIRRVKKSIDEIQTPMDKVFEALSKINAITPEPIDTKELGHDLAYSCKFHIGAIGHSIQNCDSFRDTPAEVASSSFGANKPKPLTIFYEENRSPMNDTSPTMIRSGITIEVPSPFPYKSDKAVPWNYECNILSTTSSALQASFEDLTGVGAHRNALLKVLNQAYVSQDISVEKLNHIVGNITVGNFISFNDEEIPSGGRGSNKALHITIKCKDHTVPRVLVDNGSALNVMPRSTLTKLPIDVSYMRTSRMVIRAFDGTTREVGVHGFVWQEPYHLPFTKKGDRRSPECSFRSFEFVNATYVGEGEVIPTPRFSIATKVGVKQTVGKGCHAGLGLEKNLQGINRPLTPIKNEERFGLGYTPTKEERRKLATRKKIKRMAQLEGKEEEFRERTIPHLYETFHSAGFIHPEAPTKVNQILRVFDELSIHMIKDEEPNEKIPVVYPEEKEILPHQKLTETINLENGEEKKELPLGSDCKPIKQKLRRMKPEMLLKITEEVKKQFDAGFLEVAKYPEWVANIVPVPKKDGKQSTLCSHSWMAFLVTIRLRWHPKIWKKQRS >EOY19092 pep chromosome:Theobroma_cacao_20110822:10:9952163:9954417:-1 gene:TCM_043796 transcript:EOY19092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLRLDYEVLYLRVVGGVGLKVSLLGLLVNKALVRDMLEIGVEYPNKLMGTSSKDLEYQPYEEMDRGNVMVTLGDFMKLRPPFFTGTNPKEYLKVSLIVG >EOY18431 pep chromosome:Theobroma_cacao_20110822:10:3486119:3489708:1 gene:TCM_043024 transcript:EOY18431 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein with retrovirus zinc finger-like domain isoform 3 MKRDYAFVEFSDPRDADDARYALNGRDLDGSRIIVEFAKGVPRGPGGSRDYPGRGGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLRPRSYSRSPSPRRGRSRSRSYSRGRSDSRSRSPVKRERSFERDDRRSRSPKRHRGSPSPSKGRKHSPAPDERSPRERGSPSPRDRRHTNGSDYSASPRGRSRSPDREADVEGRSYRSSAKENGHSRSPSPLPRDDRSPIYDDDENHASPRRSESN >EOY18433 pep chromosome:Theobroma_cacao_20110822:10:3486109:3490166:1 gene:TCM_043024 transcript:EOY18433 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein with retrovirus zinc finger-like domain isoform 3 MPRYDDRRSGTRLYVGHLSSRTRSRDLEDMFSRYGRIRDVDMKRDYAFVEFSDPRDADDARYALNGRDLDGSRIIVEFAKGVPRGPGGSRDYPGRGGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSYSRSPSPRRGRSRSRSYSRGRSDSRSRSPVKRERSFERDDRRSRSPKRHRGSPSPSKGRKHSPAPDERSPRERGSPSPRDRRHTNGSDYSASPRGRSRSPDREADVEGRSYRSSAKENGHSRSPSPLPRDDRSPIYDDDENHASPRRSESN >EOY18430 pep chromosome:Theobroma_cacao_20110822:10:3486121:3489784:1 gene:TCM_043024 transcript:EOY18430 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein with retrovirus zinc finger-like domain isoform 3 MPRYDDRRSGTRLYVGHLSSRTRSRDLEDMFSRYGRIRDVDMKRDYAFVEFSDPRDADDARYALNGRDLDGSRIIVEFAKGVPRGPGGSRDYPGRGGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLSRRPRSYSRSPSPRRGRSRSRSYSRGRSDSRSRSPVKRERSFERDDRRSRSPKRHRGSPSPSKGRKHSPAPDERSPRERGSPSPRDRRHTNGSDYSASPRGRSRSPDREADVEGRSYRSSAKENGHSRSPSPLPRDDRSPIYDDDENHASPRRSESN >EOY18432 pep chromosome:Theobroma_cacao_20110822:10:3486109:3490166:1 gene:TCM_043024 transcript:EOY18432 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein with retrovirus zinc finger-like domain isoform 3 MPRYDDRRSGTRLYVGHLSSRTRSRDLEDMFSRYGRIRDVDMKRDYAFVEFSDPRDADDARYALNGRDLDGSRIIVEFAKGVPRGPGGSRDYPGRGGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLRPRSYSRSPSPRRGRSRSRSYSRGRSDSRSRSPVKRERSFERDDRRSRSPKRHRGSPSPSKGRKHSPAPDERSPRERGSPSPRDRRHTNGSDYSASPRGRSRSPDREADVEGRSYRSSAKENGHSRSPSPLPRDDRSPIYDDDENHASPRRSESN >EOY18922 pep chromosome:Theobroma_cacao_20110822:10:6187546:6193892:-1 gene:TCM_043428 transcript:EOY18922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter traffic facilitator1 isoform 2 MERGAGGSVTCGSWIRRPENVIFVVLGRSMTPPGSAPSSSSVIEIFSFDPKTTSLCSSPLARHELEESDGNPITIAVHPSGDDFVCSTTNGGCKLFELYGQEKNLKLFAKELPPFGDAGLQKCLAFSVDGSRFATGGVDGHLRILEWPSLRIIVDEVRAHKSFRDMDFSLDSEFLASTSTDGSARIWKTEDGVPLTTLARNSDEKIELCRFSKDGTKPFLFCTVQKGDKALTAVYDISTWNKIGHKRLLRKPASVLSVSLDGKYLALGSKDGDICVVDVKKMEISHWSKRLHLGASISLLEFCPGQSVDH >EOY18921 pep chromosome:Theobroma_cacao_20110822:10:6186823:6193792:-1 gene:TCM_043428 transcript:EOY18921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter traffic facilitator1 isoform 2 MERGAGGSVTCGSWIRRPENVIFVVLGRSMTPPGSAPSSSSVIEIFSFDPKTTSLCSSPLARHELEESDGNPITIAVHPSGDDFVCSTTNGGCKLFELYGQEKNLKLFAKELPPFGDAGLQKCLAFSVDGSRFATGGVDGHLRILEWPSLRIIVDEVRAHKSFRDMDFSLDSEFLASTSTDGSARIWKTEDGVPLTTLARNSDEKIELCRFSKDGTKPFLFCTVQKGDKALTAVYDISTWNKIGHKRLLRKPASVLSVSLDGKYLALGSKDGDICVVDVKKMEISHWSKRLHLGASISLLEFCPGQRVVLTTSSQWGAMVTKLNVPADWKEWQIYLLLVGLFLASAVAFYIFFKNSDSFWNFPLTRQQQRPKMDSFLGDAQSEDQNAFGPLDM >EOY18287 pep chromosome:Theobroma_cacao_20110822:10:2783636:2786750:-1 gene:TCM_042886 transcript:EOY18287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MEIQHFSHIHPLVLNEKPSHEGAEKALHCNGCGEVVSGRTYSCVACWFHLDKICAEAPSEMNHPFHRNHSLKLLASPPFFGSGWCICYFCRKRCEKFIYHCACDLNLHIKCALFSYNIAKKDIGELQHIAHKDPLISTKNHSEELKEAKCFVCWMPLLDSVYFPLDCGFFLHKKCVELPFEIIHLCHRQHSLFLQFSCYPLPCKICQESQVRGFVYCCSTCKVALHSECVSPSPIIVDSRHQHSFTRYSRQFSFICDACGMSGNYGPYICSTCNLTVHKNCISLPRIIKIIFHHHPVFHNYFIVENDCGILECGVCHEEVKKEYGSYYCSECKFIVHVKCFIDYPRSYYEIESKDVNEKPNENSTMVTDTMDPSFLVIKEIKLGENVINKEIKHFSHEHNLVLYDEVKDEKWCDGCSLLIETSFYHCSECDFCLHKSCAELPKKKQFWFLPLFHSLNLIPNCFFFCGGCEFIHTGFAYKGETDFQHVSVCSQCAEFSLACTSQAHKEHPLHLYLKYNGQCNACGDSINEDKVAYRCKGCNFNVHCTCTMLPQTVQHKCDEHHLTLTYHEDNDYSEYHYCDICEELRNPNIWFYFCAICDKSAHINCVVGDYPFIKLGRKISIEADHPHSLVLVQKVYLYPECSKCGQPCSDLALQCADTRCNFIIHVKCSRLEDFIEDDNIVELIATSGY >EOY19103 pep chromosome:Theobroma_cacao_20110822:10:10282962:10284089:1 gene:TCM_043836 transcript:EOY19103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVNFEAYSSIISWEAIPTLVIARRGDIQTAQMWGLTFPRKVISLPKVISWHKPSTGEFKLNVDGSSINNFQNAGGGGLLRDHTSTLVFVFSENLGAKNSLQAELLALHRGLLLCQENNISRLWIEMDAMIVIQMLKEGHIGSHDSRYLWASIRQQLKLFSFRISHIHREGNQAADWLANRGHQHHGLQLYFEDYLESSKSDGFQFYDCIHSYAHKLNHFTIGISLIFS >EOY18332 pep chromosome:Theobroma_cacao_20110822:10:3053127:3053752:1 gene:TCM_042935 transcript:EOY18332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLSVGSPDMDECLRKAAQKGDIVEIYASIQRDGDIFRHIGEMEFVDTPLHIAAPRGWIDLAMEIMIFKPSFAKKLNPKGFTPIHLAMENGHEDLALHPIRLCTVQ >EOY19509 pep chromosome:Theobroma_cacao_20110822:10:18292344:18294868:1 gene:TCM_044629 transcript:EOY19509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDAKWVHWALWQKVMLPCMEGGLDIRRLMDVVEGFSLKLWWRFKTCTSFWSKFMKAKYCANRIPILVQPKFHDSQAWKRMLSGYNIAEKNIRWRIEKGKLLERWQWKGDIQIANMLGLKFQHEHFAVPKVYAQILPAGQVVNWAQIRESLKNSSSEVDTGNQFGHKCIGVMLHNKFKD >EOY17859 pep chromosome:Theobroma_cacao_20110822:10:1205182:1209080:-1 gene:TCM_042568 transcript:EOY17859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 31 isoform 2 MTSPYRDRTAEFRSLLQTLQKIGGISSVNDSHLQNDHVSKPPPPLSSRSEFNKKASLIGSGIHETSQKIVRLAKLAKRSSMFDDPIMEIQELTALIKSDITALNMALSDLQTLQNMEIADGNYSEDRVVHSTTVCDDLKGKLMGATKHLQDVLTARTENIKAHENRKQIFSKNASRENPFQRQTESVTEPPPWSSSSTASGSLPQSGLPPNGVQAGSQLRRRPAVDGTPSHHMEMSMLQQVVPRQEHYSQSRAVALQNVESTISELSGIFTHLATMVAQQGELAIRIDEDMDQSLANVEGARNALLRHLNQISSNRWLLIKIFIAIVFFLVVFIIFVA >EOY17860 pep chromosome:Theobroma_cacao_20110822:10:1207013:1208903:-1 gene:TCM_042568 transcript:EOY17860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 31 isoform 2 MTSPYRDRTAEFRSLLQTLQKIGGISSVNDSHLQNDHVSKPPPPLSSRSEFNKKASLIGSGIHETSQKIVRLAKLAKRSSMFDDPIMEIQELTALIKSDITALNMALSDLQTLQNMEIADGNYSEDRVVHSTTVCDDLKGKLMGATKHLQDVLTARTENIKAHENRKQIFSKNASRENPFQRQTESVTEPPPWSSSSTASGSLPQSGRQDQVFPGYYFYESKESDCHQMEFKLAANSDEGQLWMEHLPTTWKCPCCSR >EOY17792 pep chromosome:Theobroma_cacao_20110822:10:885811:887229:-1 gene:TCM_042511 transcript:EOY17792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METMFSKQLTDTDLKKRLSIPTQCLNHFRFDKGHSTSVQVEDENGKAWQFKCRVRREGYQKPVFCEGWLKFVRYTNLRPGDRVEFYKDTEAEGLYRIQVQRRVKLLGKEFWANLRPDEQRINFEERTEAAAKEEK >EOY19306 pep chromosome:Theobroma_cacao_20110822:10:16292673:16299992:-1 gene:TCM_044372 transcript:EOY19306 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-binding cassette sub-family A member 13, putative MARQVNTLFLEQWLRTCSGGISHTVSGHSSYSGSSSSSARAIIQAWSELRDSLQNQTFDPYILQPLKTLFNSQTSLHVADPQAKLLLSVLSPQSFDLPSESYPILLRLLYIWVRKSARPSTVLIDSAVDVLSRVFTTEFGLKKSASFLAEGFLLLGAISFVPLVSESSKIVCLELLCRLLEEDHQFVRTWEEIIPDVLAGIGYALSSSLDVHFVRVLDSLLGIWGKEYGPPSTVPTALMILHMVEWVVSGFIKSRSFKKIQAFSQWTFGAPRASYLPFALVMVAAGVLRASRYAASGQGLEIVSTLRISAENGIVSIAQSFVSKTKEFVNSDSDPMDSLLLQCMSLALARSGAISFSAPVLVCLASALLREIFPLRHLYMQILQFLHSIGSELGLNEIKKHLDSALFKEAGAITGVFCNQYVSADEESKSLVESFIWDYCQDVYSGHRQVALFLRGRKDELLADLEKIAESAFLMVVVFALAVTKHRLNSNLSQEMQREKAVQILVLLLLRENEAACVSFVESVPSYVDLTTWQDFSSEQKMEYEWSKDEVQTARVLFYVRVIPTCIEQLPARVFRMVVAPTMFLYMGHPNGKVARASHSMFVAFMSSGKDSEDERVLLKEQLVFYYMQRSLEGFPGITPFEGMASGVVAFVRHLPAGSPATFYCINCLVDNANKLCSDASTLKAEEWKNWQGGLEPCKKILELLLRLISLVDIQVLPALMKSLAQLTVQLPKTGQIMVLNELYAQVAESDDVTRKPTLVSWLQSLSYLSSQAKSEVMTSKGRESEESSASPGATEPLDSDKINARL >EOY18349 pep chromosome:Theobroma_cacao_20110822:10:3141134:3142364:-1 gene:TCM_042954 transcript:EOY18349 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase zeta catalytic subunit, putative MAPTGTVSLKLLVESTDQRVLFAEAGKDFVDFLFSILSLPVGAVTGLLTKQDIVGCLGNLYVSLESLSETYIQPTANKDTLLKPMVSNYTANVPRLLPNLQSSTSTINLYRCNGGYSTCRTYIANDSKSICPTCDSVMSHKATFVNPPERAASSSDEGGYVKGVVTYMIMDDLVVRPMSTISCITLLNKFSVKDVGVLEEKAIDFGMDEGVKLLKASMQSKSVLTDVFLGKKVGQREVTNPLAVYIRDLGNAHE >EOY19254 pep chromosome:Theobroma_cacao_20110822:10:15211018:15211919:1 gene:TCM_044263 transcript:EOY19254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein 18 MSLKPALLLAIFLHNYAIIATLASDPDPVQDFCIPQKGIATCRNSSAVTVEDFVFSGIKLPGKFSETGLAAKPVNVNVFPGLNTLGMSFVRADFEVGGINVPHFHPRATEIAFVLQGRVYSGFVDTENRIYAKVIEKGEVMVFPRGLLHFQMNVGDTPATILGSFDSQNPGLLRIPNAVFGTGIEEKLLEKAFGLSAKEIANLRRKFAPHELS >EOY18680 pep chromosome:Theobroma_cacao_20110822:10:4370161:4371802:-1 gene:TCM_043173 transcript:EOY18680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIPANSVTKVQLILDGFPVLSPNIHPSSLTISWRSSMTKRTVNVPRRKKFPLICSEEAEGFQKCRKVNGLKSENPEFQFHQIKKPKPKELMWVGY >EOY19466 pep chromosome:Theobroma_cacao_20110822:10:17967720:17971867:1 gene:TCM_044588 transcript:EOY19466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MKRSPASSSSSSPSSPSSSSSSCLGPDTPHLPSSEKPKAKRARKDQNSERCLNANSPNSGRRSSIYRGVTRHRWTGRFEAHLWDKSSWNNIQNKKGRQVYLGAYDSEEAAARTYDLAALKYWGPETTLNFPIDRYEKEMEEMKKVTKEEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYNTQEEAAAAYDMAALEYRGTNAVTNFDISHYIERLKQKGILFVDQTQEQIPHSSGAERGGAETAQPQQQQQQQQQQQQQEQLQQQGEEEEADPQHFQYMQMQQLPLCIDNASMVGMETADSNELAWSFCMDSGMTSLLVPDFPLGKTGGLPNLFDDTGFEDNIDLIFDVGPNENEGVGQVGVSMNMEVDMGKERLLPSSDSPFSSTTTVSCNYSV >EOY17620 pep chromosome:Theobroma_cacao_20110822:10:371466:372529:1 gene:TCM_042399 transcript:EOY17620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRIKSFELLSLYIERKEDLACERIDENFYRFASELPTKPLVLDENKANLNDEALGAHRSRKKRGNTAYHQIPRQEMIYCFKDARGLMGMARDDFMVGKTHKEKRMMKRTGPRASSFCA >EOY19383 pep chromosome:Theobroma_cacao_20110822:10:17139126:17144676:-1 gene:TCM_044481 transcript:EOY19383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-like superfamily protein MAYRVEKASIESVQCCSHKKTAEVVTYCNRASGLIKINGCAIELVEPEILHFKAVEPILLLGRQRFAGVNMRIRVKGNGHISQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPKRYEPKKFGGRGARARFQNRYR >EOY18692 pep chromosome:Theobroma_cacao_20110822:10:4550730:4551474:-1 gene:TCM_043194 transcript:EOY18692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease inhibitor MASDECKGKSSWPELVGKKGEDAAARIEKENPHVNAVIVLEGSFVTADFLCTRVRVWVNTYGIVTRVPTIG >EOY19887 pep chromosome:Theobroma_cacao_20110822:10:23528217:23529821:-1 gene:TCM_045272 transcript:EOY19887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2, putative MHRYFLVAAVLATVSWLVAGETNFATYKLSLRWPPAHCDAPSFECKPHVLNTFTIHGLWPQFADGKVVPPYDPDTNRCTDVIPVTDLDPLFRRITPLIEELRKYWPNYKDYQNETLNVNFWKHVWKLHGMCSDYPDNPFSYFRTAVSLGIKYIDPFKGTRITPRLVPYIAKDISDAIKERLGVYPQIACNEVGGTVQLTEVRLCFKRDRENSPSILQDCPIRYAYKCSDGTDEISFVPHLIG >EOY17806 pep chromosome:Theobroma_cacao_20110822:10:941858:944835:-1 gene:TCM_042523 transcript:EOY17806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein MGNPSHFLPSCFRAGNRKPEISKGNEKHARIAALPEGIYRQFSLTEIKAATNNFHPKSLIGVGGFGNMFKGIVDDGNVVAVKRFGPDSSRYAVSEFQNEVQLLCQLCHQHLVSLIGYCNDKDEKIVVFEFMNNGSLGDHLYGCGYDPLPWKQRLEICIGAASGLHYLHTGAKHAVIHRDVKSSNILLDDKWVSKFSDFGLSKMRPQPSYNMSKALKKIESRTMGTLGYMAPEYAKRDELSEKCDVYSFGVVLFEVLCAREVFDLSLDKHEVNLVDWVRHFIGEGTIYNIIDPYLKGRIAPECFKIFVDIAYCCVSEEGDRRPEMGEVELMLELALEMQEKADSEMKDVDPHVIDLRLDEDERDLSTWVRRCIGKGIIYNIIDPYLKGRIAPECFKIFVDRSCLSSGFLAGRDVRSLLHSAYGSSPDSPPL >EOY18959 pep chromosome:Theobroma_cacao_20110822:10:6662512:6664049:-1 gene:TCM_043485 transcript:EOY18959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSGWVLGPGTLRHLLSQQGGVWQGNDPNDTRVGNSVMDVNTFLNFFESLFVSAFMSFSLTVAILQLVTGSSVIAILAEVLMQMVL >EOY19873 pep chromosome:Theobroma_cacao_20110822:10:23406774:23418920:-1 gene:TCM_045256 transcript:EOY19873 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MLLSNKGNVEILKDVWASLGPPKVEDFVWVAFSFFKAWNSCSIGVAKRKVCKVKRGIYFNVNFNSILIFHIRGFYLNEEFVVLKEENMDSNGNNSSTEKKTLTKQQAYSLLSSLSFCAEKSQEVLSKVQSSHASLLALALGTTICPSKVVPLSNQSCEIQSVVLEVLDSLRKAKGVINDIMGSNATSRSGQSALLSLINQPSQVPPPLSINQVALPYPNIVPQQNQARLPSFSLNSSPMTNALGIPFLNYLHRPYQAALLPPSVHSQKKAAITHPFSIPQQNQATLLPSCSKIDPYRIDFPSSAQTMEYILNLTKLGTNVASTSSAVPTKKRALEALYGSNNKLPERLEHLEPKLIKRIVSEIIDQDTIVKWDDIAGLEHAKNCVHETVLWPILNPKIFQGVRSVRKGVLLFGPPGTGKTMIGKAIAGEMKATFFNISTVSLASKWLGDGEKLVRALFERSSITEHESIRRLKTQLLVEMEGIDSGTEQIIVIGATNKPQDLDEAARRRLSKRLYIPLPTAEARSHIVLNTMGKDGGNILEKKDLDLICNVTDGYSESDMKNLVNEAMMGPIREAIKEANNGKGICELKPEDLRPVSLKDFKNALKEVRPSVSKQELVAYVEWNAKFGSMLV >EOY18837 pep chromosome:Theobroma_cacao_20110822:10:5347553:5348611:-1 gene:TCM_043331 transcript:EOY18837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, putative MTVPSKKPVMVRQVFAEDLEREFAIIHSVIDRYSFVSMDTEFPGTIFKPDKQLVDITDPSFNYLFMRSNVNALHIIQLGLTLSDSQGNLPDFDTPYCYIWEFNFKDFDFEKNYYDKKSVKLLKAQGIDFVKNREKGIDSRDFRRMVLNSKLVFNRSGLTWITFHSAYDFGFLVKILTQQELPYHVNSFTKQLIYFFGYKIYDIKHAFKYFGLHGGLERVAKLLNVARVAGESHQAGSDSLLTLQCFMELKQS >EOY18329 pep chromosome:Theobroma_cacao_20110822:10:3037688:3039491:1 gene:TCM_042931 transcript:EOY18329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat protein, putative MDESLKRAAREGNTVELYASIQRDGNVMRHIDQMEFVDTPLQIAAAQGCIDFAMETMILKPSFARKLNQEGFSPIHLAVENGHKELALHLMQNDKNLARLKGKRGETVMD >EOY19425 pep chromosome:Theobroma_cacao_20110822:10:17427344:17428148:1 gene:TCM_044522 transcript:EOY19425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRFLSSMGGLCGNKSITMLKLSLKEKDALCSKIETMSKEKSCNAQGGEIATEMKGHDGDNCATSGNIRTRIEIARKYEVLEDFKLKLSQLSVVCSGKNEEG >EOY17608 pep chromosome:Theobroma_cacao_20110822:10:351973:352980:-1 gene:TCM_042393 transcript:EOY17608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive (dirigent-like protein) family protein MASFATQTLFILFFTLFSTFFITINGEFSSQSPITSTNRMAKMTRLHFYFHDIVDGKNPTAMKIIRPPNNSVGSFGTTFMVDDPLTESPEPNSKLVGRAQGIYALASQHDAGLLMVMNFAFSEGIYNGSALSILECSFSHGQGNANSRR >EOY19730 pep chromosome:Theobroma_cacao_20110822:10:21889093:21894160:-1 gene:TCM_045034 transcript:EOY19730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase MSDLVARTGRLQQRYEAGCRLVAGLKVGFDLWEHLLSLRSFVKILFRTDLHVLKPLFTLDRCIPFRYRSSDETDVNSEKVVEVLMINSTSGPGLLFPKGGWENDETVEEAAVREAIEEAGVKGDLMDFIGYYHFKSKTHQDEFSPEGLCRAAMFALFVKEELDSWPEQSTRTRSWLTIPEAVQSCRHAWMKEALEDGFCVWLAQRD >EOY19721 pep chromosome:Theobroma_cacao_20110822:10:21824558:21827171:-1 gene:TCM_045027 transcript:EOY19721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor (TFIIS) family protein, putative MDSNQVRRQKRRASERSKDVKEMWEFLTSDQNNQQTHSDNVKQTKYEVDVEIEEMFDRVKRRKKMEEKSPRETALLAEKVMAMLEVAAEDDAELIRQEKPAINKIQMLPLLLDFLSKRKLQGEFLDHGVLSLLKNWLEPLPDGSLPNATLRAAILNMLTQVLPIDIEREDRREQLKKSGLGKVIMFLSKSDEEITANKRLAKDLIEHWSRTIFSKSVQFSDLRYIEENMAVPFRKPSLKKPEKQQAPLTMEPVRVADFDLELDLRITKASDDKSSSSQQRASRPEATPSVYLVRPQSNFNPHIVKSYRRQQVKGDRRARIEERLKRLKRSNKKPLQTAKLGAQGRGIFTYL >EOY18196 pep chromosome:Theobroma_cacao_20110822:10:2368879:2372497:1 gene:TCM_042813 transcript:EOY18196 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein MDNLKTHPSASMPLPFPQNKGNVKPHRRTTPLLSTSPCSGDSLLEKKIPKYTLLTTNPETPGHIPVMGIPSDDVVLIQLPKGPSEPTVVTVNCPDKPGLGCDLCRIILEFGLSIARADFSTDGRWCYIVFWLVPNVSNSSRIDWESLKNRLVSICPSCLLPFYYFNQQGGDGNGNQNSTLSSSVYLLKLCCLDRKGLLNDVTKILSELEFTIQKVKVMTTPDGRVVDLFYITDGMELLHTKQRRDDTTAHLITVLGKYCISCELQLAGPEYESMKAFSSLPPAVAEELFSYELADKEASSKELKADLMALKKATVTIDNQLSPVHTLLQIQCVDQKGLFYDILRTSKDCDIQIAYGRFSSSLRGYRNMDLFIRQADGKKIVDPKHQTALCSRLKEEMLHPFRLLIVNRGPDTELLVANPVELSGKGRPRVFHDVTLALKMLGICIFSAEIGRHSTSDRQLEVYRFLLDDSCEFSLASSQARNRIVDRVRRILMGW >EOY18006 pep chromosome:Theobroma_cacao_20110822:10:1783941:1786258:-1 gene:TCM_042684 transcript:EOY18006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein, putative isoform 1 MSSLPLLLCFFFFTSFVPLFTALTSSPYLSPTILPQNYQKMLKNFKIYVYPPPETLSFDSKVEALFYSSLLHSPFTTQNPEEAHLFFLPFSFHSDLSPRSAARVVGDYRTEFIYWNRTLGADHFFLSCSGVGHGSDRNVVELKKNSVQVSCFPTTPGLFIPHKDASLPPLANVHAPTHAPGSKSTSHLAYVRYNWVKESNLVEQLLADPEILVESEPSDQMTYEERLAGSKFCLFEYGPEISGIGEAMSFGCVPVVITDRPVQDMPLMDLLTWRHIAVFVGTSGGAREIKRVLGRVVVEGYEDMSGSAVVASKHFVWNETPQPYDAFHMVMYQLWLRRHTIRYAEREWA >EOY18007 pep chromosome:Theobroma_cacao_20110822:10:1783550:1785336:-1 gene:TCM_042684 transcript:EOY18007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein, putative isoform 1 MSSLPLLLCFFFFTSFVPLFTALTSSPYLSPTILPQNYQKMLKNFKIYVYPPPETLSFDSKVEALFYSSLLHSPFTTQNPEEAHLFFLPFSFHSDLSPRSAARVVGDYRTEFIYWNRTLGADHFFLSCSGVGHGSDRNVVELKKNSVQVSCFPTTPGLFIPHKDASLPPLANVHAPTHAPGSKSTSHLAYVRYNWVKESNLVEQLLADPEILVESEPSDQMTYEERLAGSKFCLFEYGPEISGIGEAMSFGCVPVVITDRPVQDMPLMDLLTWRHIAVFVGTSGGAREIKRVLGRVVVEGYEDMSGSAVVASKHFVWNETPQPYDAFHMVMYQLWLRRHTIRYAEREWA >EOY17925 pep chromosome:Theobroma_cacao_20110822:10:1446355:1451358:1 gene:TCM_042617 transcript:EOY17925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPP8 MAEAIVFLAIERIADLLIHEALFLNDVRQEVESLKAELERMKSFLKDVDRKQEQDERLRTRVREIRDLAYDAEDVIDSYILEVAHRGGFHEIIKRFTTLSTHKVGKQVRAIQNKLGDISRTLPAYGISGGGGGSNSTDEMQRRLRRSYPHVEEDDVVSLELSTRDVIDQLMKKEDRLHVVSIVGMGGIGKTTLAKRLYNHNDVKRHFDCCAWVFISQQCLPREVFHGVLMKVLSPSRKERKLIDKLKEDELVEKLYDVLKEKRYLVVLDDIWSYKDWDSLKPAFPKGQEGSKLLLTTRKKKVALLVDPCSSPVELPLLTDDESWKLFKRKAFSENKMEAHACSKEFEMLGKEMLKKCGGLPLAIVVLGGLLATKKSWNEWEMVQKNINAYLNKDQRQEYGGVTEILALSYNELPFHLKPCFLYLGHYPEDLEISKKELVRLWIAEGFISPSLGGGEMLMEDVAEQYLEELTNRCLVQVGRRNHTGASVKTCYIHDLLRDLCVSKAREENFFGIVQPSMNGNENCSLDLTVAAVSKMRRIVVHPSKRYVSLKGECPNLRSLLLFQDEELIRLRISKCNNFKFLRILKLLREVGSWIMPSAIGYLFHLRYLSLKCRKLVLPCSIGKLKNLHTLSIQVEFLVKIPNVLSKLERLRHFLLNYYHGFKKYGSHEWHEIKGFCQVNTLENIETMKYIRVENLTKNNALLKLTNIRSLGIQFMRSKDVETILRSPSFGLDRLRSLHMRLEEPIPFPTLEQLSQCHHLYKLFLHGRIQEDPRSSHHVLKFLPTNICKLTLWESHINQDPMPVLEKLPHLRILCLGSSSYRGTKMSCSANGFPQLDSLHIYSLDLKEWQMEVGAMPCLRSLHLTCVPRMKMFPEGLRYITTLQEMKLKGMRKSLVKRIQVIEGREGEDFYKVRHILSIRIIDTWIR >EOY19105 pep chromosome:Theobroma_cacao_20110822:10:10345162:10350715:1 gene:TCM_043841 transcript:EOY19105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFEGHYYGESIVAKPFEAIWSLGNHLGGRNEVVGCASEIEDDCKLKLSTMMGLPLICHYEWICNFVQGMNSGHQFDLWMSGVSIPCDYVCPYRDGGDVDHREITPINDLILYQLLRHCP >EOY17971 pep chromosome:Theobroma_cacao_20110822:10:1629514:1632741:-1 gene:TCM_046953 transcript:EOY17971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein MAVQTSPLTWLMLFCFTLGLLVCCHGASDDRQAINFFSLGLLFDFVYIVYMGDIPKGDFSAANLHTSMLQDVVPSPAASDVLLYSYHRSFNGFAAKLTKDEAEKLRGKEGVVSVFLSQKKQLHTSWSWDFMGFSKKVKRSVIESDIIVGMLDTGIWPESESFNDTGFGPIPAKWKGTCQKSSNFTCNKKIIAAKYYRANGDFSPGDFISPRDSEGHGSHTASTAAGGLASRASLYGLAKGTVRGAVPSARIAVYKICWSDGCYDVDILAAFDDAIADGVDIISLSVGSFFSSDYFDDSIAIGAFHSMKNGVLTSNSAGNSGPRPASIVNFSPWSLSVAASTIDRKFVTKVKLGNGEIYEGTSINTFDLKEKMYPFIFGGVAPNTSQGFTSEDSRYCLPGTLNETLVKGKIVFCDYDSDGDGPIEGGAVGAVFQYGGKKDYVFSYPLPLSNLNLDDGRFVLNYVNTTENPTATIFKSDVESNEFAPYVVSFSSRGPNPVTADILKPDLTAPGVDILAAWSEAAHVTESEYDNRIVPYNIISGTSMSCPHATGAAAYVKSFHPTWSPAAIKSALMTTAFQMSAKNNIEGEFAFGAGHINPALAAQPGLIYDAGEIEYIKFLCGQGYSPTYLQLITGNNSSCSEETNGTVWDLNYPSFALSATPGKSITRAFHRTVTNVGSAVSTYKAVVKAPPGLIIQVQPSVLSFKSLGQKQSFVVTVGAEVGNSMISGSLTWDDGLYQVRSPIVAYASLIE >EOY18266 pep chromosome:Theobroma_cacao_20110822:10:2612582:2615390:-1 gene:TCM_042856 transcript:EOY18266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITTTDIHPFRRHPQTMYQPSVSPDLAPAPTPPPRKADPSYLSRASAGTAAPENSLLSQSPTSIPYCSFCPFLQVHLPTANDHQSSQSPPSPYYTQPLIGFPPKEPTHQSTRYLPQKLQPSQEHGDESLPQKLLSPEQEGQKQYLDNYHLPSSETLYHLDPQLSGVVSCPTPYQESEYTNLQKKPMKERIPNQLEVPIPDRYDPVTTNNRKSYEQPNGNKQLQTPSNPLPVREEYPPGLEPQRPCCACCSIL >EOY18279 pep chromosome:Theobroma_cacao_20110822:10:2686797:2759580:-1 gene:TCM_042873 transcript:EOY18279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MEIQHPSHNHPLAFSEERSHESDEKAYCYACGEVVSGPAYSCAACGFHLDKNCAEAPSQMNHPFHRNHILDRLSSWPYGKLWFNCDFCNKRCDNFGYSCSACDLRLHIKCALFSYNIVEKNIGELQHTENHSAKLKYAQCFVCWTPLLNSVYFSLDRGFFLHKKCVELPFEINHLCHRQHSLFLQFNSDGLPCKICQETQRRGFVYCCSMCNVALHIECVSPLPIIEDTSHEHSFTKCLRRLSFICDACGTSGNYAPYICSTCSLTIHKDCAALPRIIKSVWHHHPISHYYFAVENECGILECGICHEEVNKEYGSYYCSECKFIVHVKCVQEDTGFYYEIESIDDYEKLNENPTVVDPTFRVINEIELGENVINTEIKHFSHEHNLVLYDEVKDEKCCDCCSVLIETSFYRCSECDFYLHKSCAELPKKKQFWTQGAPSPLLPQV >EOY18296 pep chromosome:Theobroma_cacao_20110822:10:2844235:2853510:-1 gene:TCM_042896 transcript:EOY18296 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-glycoprotein 20 isoform 1 MMISRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLDTTAEAAAAAAAAQVEAEEEMEEAEEIEPPPAAVPFSRLFACADRLDWALMIVGSLAAAAHGTALVVYLHYFAKIVHVLGIGPPEQGQGGMEVPFERFKELASTIVYIAVGVFAAGWIEVSCWILTGERQTAVIRSRYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLIIGFVNCWEIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTNDKADGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSSSGSNQEGNNLPSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDAENIKNLKLEWLRSQIGLVTQEPALLSLSIKDNIAYGRHATFDQIEEAAKIAHAHTFISSLERGYETQVGRAGLALTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERSVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLALDGLYAELLKCEEAAKLPRRMPVRNYKETSTFQIEKDSSSVHSFQESSSPKLIKSPSLQRVPGVFRPQDGAFNSQESPKAHSPPPEKMLENGLAADAGDKEPSIRRQDSFEMRLPELPKLDVLSTQRQKSNGSDPESPVSPLLTSDPKNERSHSQTFSRPHSHSDDIPVKVKEAKDAHHREAPSFWRLAQLSFAEWLYAVLGSIGAAIFGSFNPLLAYVIALIVTAYYRPGGRNHLRDEVDKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENTADTLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVAILIGMLLHWRLALVAFATLPVLTVSAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGTKVMELYCLQLKKILKQSFFHGMAIGFAFGFSQFLLFACNALLLWYTALSVKKGYMDLPTAVKEYMVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPKIEPDDNSALKPPNVYGSIELKNVDFCYPTRPEMLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVLLDGRDLKLYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNAREAEIKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDSLVAKNGLYVRLMQPHFGKGLRQHRLV >EOY18295 pep chromosome:Theobroma_cacao_20110822:10:2845029:2852960:-1 gene:TCM_042896 transcript:EOY18295 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-glycoprotein 20 isoform 1 MMISRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLDTTAEAAAAAAAAQVEAEEEMEEAEEIEPPPAAVPFSRLFACADRLDWALMIVGSLAAAAHGTALVVYLHYFAKIVHVLGIGPPEQGQGGMEVPFERFKELASTIVYIAVGVFAAGWIEVSCWILTGERQTAVIRSRYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLIIGFVNCWEIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTNDKADGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSSSGSNQEGNNLPSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDAENIKNLKLEWLRSQIGLVTQEPALLSLSIKDNIAYGRHATFDQIEEAAKIAHAHTFISSLERGYETQVGRAGLALTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERSVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLALDGLYAELLKCEEAAKLPRRMPVRNYKETSTFQIEKDSSSVHSFQESSSPKLIKSPSLQRVPGVFRPQDGAFNSQESPKAHSPPPEKMLENGLAADAGDKEPSIRRQDSFEMRLPELPKLDVLSTQRQKSNGSDPESPVSPLLTSDPKNERSHSQTFSRPHSHSDDIPVKVKEAKDAHHREAPSFWRLAQLSFAEWLYAVLGSIGAAIFGSFNPLLAYVIALIVTAYYRPGGRNHLRDEVDKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENTADTLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVAILIGMLLHWRLALVAFATLPVLTVSAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGTKVMELYCLQLKKILKQSFFHGMAIGFAFGFSQFLLFACNALLLWYTALSVKKGYMDLPTAVKEYMVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPKIEPDDNSALKPPNVYGSIELKNVDFCYPTRPEMLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVLLDGRDLKLYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNAREAEIKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDSLVAKNGLYVRLMQPHFGKGLRQHRLV >EOY18299 pep chromosome:Theobroma_cacao_20110822:10:2842149:2853036:-1 gene:TCM_042896 transcript:EOY18299 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-glycoprotein 20 isoform 1 MMISRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLDTTAEAAAAAAAAQVEAEEEMEEAEEIEPPPAAVPFSRLFACADRLDWALMIVGSLAAAAHGTALVVYLHYFAKIVHVLGIGPPEQGQGGMEVPFERFKELASTIVYIAVGVFAAGWIEVSCWILTGERQTAVIRSRYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLIIGFVNCWEIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTNDKADGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSSSGSNQEGNNLPSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDAENIKNLKLEWLRSQIGLVTQEPALLSLSIKDNIAYGRHATFDQIEEAAKIAHAHTFISSLERGYETQVGRAGLALTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERSVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLALDGLYAELLKCEEAAKLPRRMPVRNYKETSTFQIEKDSSSVHSFQESSSPKLIKSPSLQRVPGVFRPQDGAFNSQESPKAHSPPPEKMLENGLAADAGDKEPSIRRQDSFEMRLPELPKLDVLSTQRQKSNGSDPESPVSPLLTSDPKNERSHSQTFSRPHSHSDDIPVKVKEAKDAHHREAPSFWRLAQLSFAEWLYAVLGSIGAAIFGSFNPLLAYVIALIVTAYYRPGGRNHLRDEVDKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENTADTLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVAILIGMLLHWRLALVAFATLPVLTVSAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGTKVMELYCLQLKKILKQSFFHGMAIGFAFGFSQFLLFACNALLLWYTALSVKKGYMDLPTAVKEYMVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPKIEPDDNSALKPPNVYGSIELKNVDFCYPTRPEMLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVLLDGRDLKLYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNAREAEIKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDSLVAKNGLYVRLMQPHFGKGLRQHRLV >EOY18300 pep chromosome:Theobroma_cacao_20110822:10:2842881:2853180:-1 gene:TCM_042896 transcript:EOY18300 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-glycoprotein 20 isoform 1 MMISRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLDTTAEAAAAAAAAQVEAEEEMEEAEEIEPPPAAVPFSRLFACADRLDWALMIVGSLAAAAHGTALVVYLHYFAKIVHVLGIGPPEQGQGGMEVPFERFKELASTIVYIAVGVFAAGWIEVSCWILTGERQTAVIRSRYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLIIGFVNCWEIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTNDKADGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSSSGSNQEGNNLPSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDAENIKNLKLEWLRSQIGLVTQEPALLSLSIKDNIAYGRHATFDQIEEAAKIAHAHTFISSLERGYETQVGRAGLALTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERSVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLALDGLYAELLKCEEAAKLPRRMPVRNYKETSTFQIEKDSSSVHSFQESSSPKLIKSPSLQRVPGVFRPQDGAFNSQESPKAHSPPPEKMLENGLAADAGDKEPSIRRQDSFEMRLPELPKLDVLSTQRQKSNGSDPESPVSPLLTSDPKNERSHSQTFSRPHSHSDDIPVKVKEAKDAHHREAPSFWRLAQLSFAEWLYAVLGSIGAAIFGSFNPLLAYVIALIVTAYYRPGGRNHLRDEVDKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENTADTLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVAILIGMLLHWRLALVAFATLPVLTVSAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGTKVMELYCLQLKKILKQSFFHGMAIGFAFGFSQFLLFACNALLLWYTALSVKKGYMDLPTAVKEYMVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPKIEPDDNSALKPPNVYGSIELKNVDFCYPTRPEMLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVLLDGRDLKLYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNAREAEIKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDSLVAKNGLYVRLMQPHFGKGLRQHRLV >EOY18297 pep chromosome:Theobroma_cacao_20110822:10:2845029:2852960:-1 gene:TCM_042896 transcript:EOY18297 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-glycoprotein 20 isoform 1 MMISRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLDTTAEAAAAAAAAQVEAEEEMEEAEEIEPPPAAVPFSRLFACADRLDWALMIVGSLAAAAHGTALVVYLHYFAKIVHVLGIGPPEQGQGGMEVPFERFKELASTIVYIAVGVFAAGWIEVSCWILTGERQTAVIRSRYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLIIGFVNCWEIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTNDKADGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSSSGSNQEGNNLPSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDAENIKNLKLEWLRSQIGLVTQEPALLSLSIKDNIAYGRHATFDQIEEAAKIAHAHTFISSLERGYETQVGRAGLALTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERSVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLALDGLYAELLKCEEAAKLPRRMPVRNYKETSTFQIEKDSSSVHSFQESSSPKLIKSPSLQRVPGVFRPQDGAFNSQESPKAHSPPPEKMLENGLAADAGDKEPSIRRQDSFEMRLPELPKLDVLSTQRQKSNGSDPESPVSPLLTSDPKNERSHSQTFSRPHSHSDDIPVKVKEAKDAHHREAPSFWRLAQLSFAEWLYAVLGSIGAAIFGSFNPLLAYVIALIVTAYYRPGGRNHLRDEVDKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENTADTLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVAILIGMLLHWRLALVAFATLPVLTVSAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGTKVMELYCLQLKKILKQSFFHGMAIGFAFGFSQFLLFACNALLLWYTALSVKKGYMDLPTAVKEYMVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPKIEPDDNSALKPPNVYGSIELKNVDFCYPTRPEMLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVLLDGRDLKLYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNAREAEIKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDSLVAKNGLYVRLMQPHFGKGLRQHRLV >EOY18301 pep chromosome:Theobroma_cacao_20110822:10:2842981:2853033:-1 gene:TCM_042896 transcript:EOY18301 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-glycoprotein 20 isoform 1 MMISRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLDTTAEAAAAAAAAQVEAEEEMEEAEEIEPPPAAVPFSRLFACADRLDWALMIVGSLAAAAHGTALVVYLHYFAKIVHVLGIGPPEQGQGGMEVPFERFKELASTIVYIAVGVFAAGWIEVSCWILTGERQTAVIRSRYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLIIGFVNCWEIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTNDKADGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSSSGSNQEGNNLPSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDAENIKNLKLEWLRSQIGLVTQEPALLSLSIKDNIAYGRHATFDQIEEAAKIAHAHTFISSLERGYETQVGRAGLALTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERSVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLALDGLYAELLKCEEAAKLPRRMPVRNYKETSTFQIEKDSSSVHSFQESSSPKLIKSPSLQRVPGVFRPQDGAFNSQESPKAHSPPPEKMLENGLAADAGDKEPSIRRQDSFEMRLPELPKLDVLSTQRQKSNGSDPESPVSPLLTSDPKNERSHSQTFSRPHSHSDDIPVKVKEAKDAHHREAPSFWRLAQLSFAEWLYAVLGSIGAAIFGSFNPLLAYVIALIVTAYYRPGGRNHLRDEVDKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENTADTLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVAILIGMLLHWRLALVAFATLPVLTVSAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGTKVMELYCLQLKKILKQSFFHGMAIGFAFGFSQFLLFACNALLLWYTALSVKKGYMDLPTAVKEYMVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPKIEPDDNSALKPPNVYGSIELKNVDFCYPTRPEMLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVLLDGRDLKLYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNAREAEIKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDSLVAKNGLYVRLMQPHFGKGLRQHRLV >EOY18298 pep chromosome:Theobroma_cacao_20110822:10:2842981:2853033:-1 gene:TCM_042896 transcript:EOY18298 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-glycoprotein 20 isoform 1 MMISRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLDTTAEAAAAAAAAQVEAEEEMEEAEEIEPPPAAVPFSRLFACADRLDWALMIVGSLAAAAHGTALVVYLHYFAKIVHVLGIGPPEQGQGGMEVPFERFKELASTIVYIAVGVFAAGWIEVSCWILTGERQTAVIRSRYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLIIGFVNCWEIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTNDKADGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSSSGSNQEGNNLPSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDAENIKNLKLEWLRSQIGLVTQEPALLSLSIKDNIAYGRHATFDQIEEAAKIAHAHTFISSLERGYETQVGRAGLALTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERSVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLALDGLYAELLKCEEAAKLPRRMPVRNYKETSTFQIEKDSSSVHSFQESSSPKLIKSPSLQRVPGVFRPQDGAFNSQESPKAHSPPPEKMLENGLAADAGDKEPSIRRQDSFEMRLPELPKLDVLSTQRQKSNGSDPESPVSPLLTSDPKNERSHSQTFSRPHSHSDDIPVKVKEAKDAHHREAPSFWRLAQLSFAEWLYAVLGSIGAAIFGSFNPLLAYVIALIVTAYYRPGGRNHLRDEVDKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENTADTLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVAILIGMLLHWRLALVAFATLPVLTVSAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGTKVMELYCLQLKKILKQSFFHGMAIGFAFGFSQFLLFACNALLLWYTALSVKKGYMDLPTAVKEYMVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPKIEPDDNSALKPPNVYGSIELKNVDFCYPTRPEMLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVLLDGRDLKLYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNAREAEIKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDSLVAKNGLYVRLMQPHFGKGLRQHRLV >EOY19806 pep chromosome:Theobroma_cacao_20110822:10:22634666:22647570:-1 gene:TCM_045150 transcript:EOY19806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 2 protein isoform 3 MASLIVGQLVFPSENGYKVWEDQSFFKWRKRDPHVTLHCHESVEGSLRYWYERNKVDLSVSNTAVWNDDAVQKALDSAAFWVNGLPFVKSLSGYWKFFLASNPNAVPKNFYESAFQDSDWETLPVPSNWQMHGFDRPIYTNVVYPIPLDPPHVPIDNPTGCYRTYFHIPEQWQGRRILLHFEAVDSAFCAWINGIPVGYSQDSRLPAEFEITEYCYSCDSDKKNVLAVQVFRWSDGSYLEDQDHWWLSGIHRDVLLLSKPQVFIADYFFKSSLAYNFSYADIQVEVKIDCSREMSKDKVLTDFTIEAALFDAGVWYNHDGNVDLLSSNVANIVLKTVPTGTLGFHGYVLVGKLEKPKLWSAEQPNLYTLVIILKDASGNVVDCESCLVGVRQVSKAPKQLLVNGHPVVIRGVNRHEHHPRLGKTNIESCMDLVVMKQNNINAVRNSHYPQHPRWYELCDLFGIYMIDEANIETHGFDLSGHVKHLTQEPGWAAAMMDRVIGMVERDKNHACIFSWSLGNESGYGPNHSASAGWIRGRDPSRLVHYEGGGSRTSSTDIICPMYMRVWDIVKIAKDPNETRPLILCEYSHAMGNSNGNIHEYWEAIDNIFGLQGGFIWDWVDQGLLKDNEDGSKYWAYGGDFGDSPNDLNFCLNGLTWPDRTPHPALQEVKYVYQPIKVSIGESMIKIKNTNFYETTEGVELKWAARGDGCELGCGILSLPVIEPQSSYDIEWKSGPWYPLWASSDAEEIFLTITAKLLHSKRWVDAGHVVSSTQVQLLAKRDIVPHIIKTKDDVLSTEILGDNIRISQQKLWEITLNVKTGSLDSWKVQGVSILKNGIIPCFWRAPTDNDKGGGPSSYYSRWKAAHMDDIVFLRESCSIQEKTDHAVKIVVVYLGVSKGENGPLNELEKADALVEIDMLYTIHASGDIIIDSNVKPSSSLPPLPRVGVEFHLEKSVDQVKWYGRGPFECYPDRKAAAQVGVYEQTVDDMHVPYIVPGESGGRADVRWVTFQNKDGYGIYASTYGKSPPMQMNASYYSTTELDRATRNEELIKGDSIEVHLDHKHMGIGGDDSWTPCVHEKYLIPAVPYSFSIRLCPVTAATSGQNIYKSQLQN >EOY19807 pep chromosome:Theobroma_cacao_20110822:10:22634666:22642616:-1 gene:TCM_045150 transcript:EOY19807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 2 protein isoform 3 MSKDKVLTDFTIEAALFDAGVWYNHDGNVDLLSSNVANIVLKTVPTGTLGFHGYVLVGKLEKPKLWSAEQPNLYTLVIILKDASGNVVDCESCLVGVRQVSKAPKQLLVNGHPVVIRGVNRHEHHPRLGKTNIESCMVKDLVVMKQNNINAVRNSHYPQHPRWYELCDLFGIYMIDEANIETHGFDLSGHVKHLTQEPGWAAAMMDRVIGMVERDKNHACIFSWSLGNESGYGPNHSASAGWIRGRDPSRLVHYEGGGSRTSSTDIICPMYMRVWDIVKIAKDPNETRPLILCEYSHAMGNSNGNIHEYWEAIDNIFGLQGGFIWDWVDQGLLKDNEDGSKYWAYGGDFGDSPNDLNFCLNGLTWPDRTPHPALQEVKYVYQPIKVSIGESMIKIKNTNFYETTEGVELKWAARGDGCELGCGILSLPVIEPQSSYDIEWKSGPWYPLWASSDAEEIFLTITAKLLHSKRWVDAGHVVSSTQVQLLAKRDIVPHIIKTKDDVLSTEILGDNIRISQQKLWEITLNVKTGSLDSWKVQGVSILKNGIIPCFWRAPTDNDKGGGPSSYYSRWKAAHMDDIVFLRESCSIQEKTDHAVKIVVVYLGVSKGENGPLNELEKADALVEIDMLYTIHASGDIIIDSNVKPSSSLPPLPRVGVEFHLEKSVDQVKWYGRGPFECYPDRKAAAQVGVYEQTVDDMHVPYIVPGESGGRADVRWVTFQNKDGYGIYASTYGKSPPMQMNASYYSTTELDRATRNEELIKGDSIEVHLDHKHMGIGGDDSWTPCVHEKYLIPAVPYSFSIRLCPVTAATSGQNIYKSQLQN >EOY19808 pep chromosome:Theobroma_cacao_20110822:10:22634666:22643995:-1 gene:TCM_045150 transcript:EOY19808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 2 protein isoform 3 MSKDKVLTDFTIEAALFDAGVWYNHDGNVDLLSSNVANIVLKTVPTGTLGFHGYVLVGKLEKPKLWSAEQPNLYTLVIILKDASGNVVDCESCLVGVRQVSKAPKQLLVNGHPVVIRGVNRHEHHPRLGKTNIESCMVKDLVVMKQNNINAVRNSHYPQHPRWYELCDLFGIYMIDEANIETHGFDLSGHVKHLTQEPGWAAAMMDRVIGMVERDKNHACIFSWSLGNESGYGPNHSASAGWIRGRDPSRLVHYEGGGSRTSSTDIICPMYMRVWDIVKIAKDPNETRPLILCEYSHAMGNSNGNIHEYWEAIDNIFGLQGGFIWDWVDQGLLKDNEDGSKYWAYGGDFGDSPNDLNFCLNGLTWPDRTPHPALQEVKYVYQPIKVSIGESMIKIKNTNFYETTEGVELKWAARGDGCELGCGILSLPVIEPQSSYDIEWKSGPWYPLWASSDAEEIFLTITAKLLHSKRWVDAGHVVSSTQVQLLAKRDIVPHIIKTKDDVLSTEILGDNIRISQQKLWEITLNVKTGSLDSWKVQGVSILKNGIIPCFWRAPTDNDKGGGPSSYYSRWKAAHMDDIVFLRESCSIQEKTDHAVKIVVVYLGVSKGENGPLNELEKADALVEIDMLYTIHASGDIIIDSNVKPSSSLPPLPRVGVEFHLEKSVDQVKWYGRGPFECYPDRKAAAQVGVYEQTVDDMHVPYIVPGESGGRADVRWVTFQNKDGYGIYASTYGKSPPMQMNASYYSTTELDRATRNEELIKGDSIEVHLDHKHMGIGGDDSWTPCVHEKYLIPAVPYSFSIRLCPVTAATSGQNIYKSQLQN >EOY19805 pep chromosome:Theobroma_cacao_20110822:10:22634247:22647090:-1 gene:TCM_045150 transcript:EOY19805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase family 2 protein isoform 3 MASLIVGQLVFPSENGYKVWEDQSFFKWRKRDPHVTLHCHESVEGSLRYWYERNKVDLSVSNTAVWNDDAVQKALDSAAFWVNGLPFVKSLSGYWKFFLASNPNAVPKNFYESAFQDSDWETLPVPSNWQMHGFDRPIYTNVVYPIPLDPPHVPIDNPTGCYRTYFHIPEQWQGRRILLHFEAVDSAFCAWINGIPVGYSQDSRLPAEFEITEYCYSCDSDKKNVLAVQVFRWSDGSYLEDQDHWWLSGIHRDVLLLSKPQVFIADYFFKSSLAYNFSYADIQVEVKIDCSREMSKDKVLTDFTIEAALFDAGVWYNHDGNVDLLSSNVANIVLKTVPTGTLGFHGYVLVGKLEKPKLWSAEQPNLYTLVIILKDASGNVVDCESCLVGVRQVSKAPKQLLVNGHPVVIRGVNRHEHHPRLGKTNIESCMVKDLVVMKQNNINAVRNSHYPQHPRWYELCDLFGIYMIDEANIETHGFDLSGHVKHLTQEPGWAAAMMDRVIGMVERDKNHACIFSWSLGNESGYGPNHSASAGWIRGRDPSRLVHYEGGGSRTSSTDIICPMYMRVWDIVKIAKDPNETRPLILCEYSHAMGNSNGNIHEYWEAIDNIFGLQGGFIWDWVDQGLLKDNEDGSKYWAYGGDFGDSPNDLNFCLNGLTWPDRTPHPALQEVKYVYQPIKVSIGESMIKIKNTNFYETTEGVELKWAARGDGCELGCGILSLPVIEPQSSYDIEWKSGPWYPLWASSDAEEIFLTITAKLLHSKRWVDAGHVVSSTQVQLLAKRDIVPHIIKTKDDVLSTEILGDNIRISQQKLWEITLNVKTGSLDSWKVQGVSILKNGIIPCFWRAPTDNDKGGGPSSYYSRWKAAHMDDIVFLRESCSIQEKTDHAVKIVVVYLGVSKGENGPLNELEKADALVEIDMLYTIHASGDIIIDSNVKPSSSLPPLPRVGVEFHLEKSVDQVKWYGRGPFECYPDRKAAAQVGVYEQTVDDMHVPYIVPGESGGRADVRWVTFQNKDGYGIYASTYGKSPPMQMNASYYSTTELDRATRNEELIKGDSIEVHLDHKHMGIGGDDSWTPCVHEKYLIPAVPYSFSIRLCPVTAATSGQNIYKSQLQN >EOY19542 pep chromosome:Theobroma_cacao_20110822:10:18601452:18642584:-1 gene:TCM_044673 transcript:EOY19542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEDSGKPIYSQLSAIQPLGFKRRFRYEGGVPQQVGQWIMHEYSLNTTLVPENDQGYVLCRVRKNDREEKKAEKRRKLIT >EOY19626 pep chromosome:Theobroma_cacao_20110822:10:19301028:19304491:-1 gene:TCM_044761 transcript:EOY19626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase 2A, putative MSVLLGRRKTTSLFDINVIFGGQVGQINLKAPVLAIVNHTRFSLSNSPLNWANPHNLVPFLELTLYAGDGIPDLTSIPCRRLFATHLPYAALAESIKQGKSRIVYITRNPLDVIVSFWHFNISIPGLADWPLEECFEMFCRGEELFGPFRDHALGYWKESLEKAHRVLFLRYEDMKEDPILQIKRIAEFRALPFSDEEETAGVIEEIAKFCSLSNLKDLKGNKIGKFQGFQIEYKFLFRKGEVGDHVN >EOY18914 pep chromosome:Theobroma_cacao_20110822:10:6124630:6127584:1 gene:TCM_043417 transcript:EOY18914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein MSCIQIEKQALLRFKQDLKDPSNRLAAWTNDGDCCKWDGIVCSDVTGHVIQLHLGSSQDASRAAHERSKLGGKLNPSLLDLKYLTYLDLSNNKFKETQIPTWFWNLSSYLYYLNISRNQFQGHIPDLPTMTNPSVVIDLSSNNFRGLLPRLSSNVTAIDLSNNSMSGSISHFLCYKVNEPMKLEVLNLGNNLLSGEIPDCWKKWPRLVGIKFCDNNFSGKIPSSIGSLTSLQSLHLRKNSLVGEVPSSIKNCRELLTVDFGANRLSGHIPPWMGGRLSKLIILTLHTNKFNGNIPKELCVLSSLQILDLSHNNFTGNIPSCVNNLSAMVSRNNSDNKIFYRTSKGSFFEDILVVMKGRVVEYSNTLKLVKTVDLSDNNLSGEIPKEVTSLVGLQSLNFSHNLLVGRIPDNIGAMVSLECVDLSTNLLSGKIPPAISHLTFLSQLNLSYNKRITGKIPTSTQLQSLDASSFLGTELFGPPLSENSNAVTFSSSAGEKEEGGHDVDWSYLSIELGFSFGLLGVLVPVLFCKSWRFVYFQFLDDILHNLCGIISKYF >EOY19019 pep chromosome:Theobroma_cacao_20110822:10:8292159:8292897:1 gene:TCM_043630 transcript:EOY19019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein, putative MTAARGTMGYIAPEVLSRNFGNVSYKSDVYSFGMLLLEMVGGRKNIDVTLANESQVYFPEWVYNRLDKGQELGIDIEDEGHHKIAKKLTIVGLRCIQWYPVDRPSMRSVVQMSEGEADNLTMRSNPFASKDEKKPKKPINRELAAISE >EOY19716 pep chromosome:Theobroma_cacao_20110822:10:21805380:21808305:1 gene:TCM_045023 transcript:EOY19716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 3 MASGGAGGGGGVEWHVRPPNPKNPIVFFDITIGSIPAGRIKMELFADIAPKTAENFRQLCTGEYRKAGLPVGYKGCQFHRVIKDFMIQAGDFLKGDGSGCTSIYGHKFEDENFIAKHTGPGLLSMANSGPNTNGCQFFVTCAKCEWLDNKHVVFGRVLGDSLLVVRKIENVATGPNNRPKLPCIIAECGEM >EOY19718 pep chromosome:Theobroma_cacao_20110822:10:21805569:21808068:1 gene:TCM_045023 transcript:EOY19718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 3 AESKWNSSLILPLKPPKISGPAHQLCTGEYRKAGLPVGYKGCQFHRVIKDFMIQAGDFLKGDGSGCTSIYGHKFEDENFIAKHTGPGLLSMANSGPNTNGCQWLDNKHVVFGRVLGDSLLVVRKIENVATGPNNRPKLPCIIAECGEM >EOY19717 pep chromosome:Theobroma_cacao_20110822:10:21805444:21808507:1 gene:TCM_045023 transcript:EOY19717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 3 MASGGAGGGGGVEWHVRPPNPKNPIVFFDITIGSIPAGRIKMELFADIAPKTAENFRQLCTGEYRKAGLPVGYKGCQFHRVIKDFMIQAGDFLKGDGSGCTSIYGHKFEDENFIAKHTGPGLLSMANSGPNTNGCQGHKILQCCYLYQIWVTCISLAAHSQLGELRFHGSMAIANASSTSSFFTWIRFLRVLGKTSIILFTIINFSVILILAFINCSFLSHVQNASGLIISMLYLGGCLEIVFLLFGR >EOY17871 pep chromosome:Theobroma_cacao_20110822:10:1238555:1239442:1 gene:TCM_042575 transcript:EOY17871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSGSLNTQNHAVTKLQVQQKNPRKNKARTHQRLKSRPILGVEPFPYQESRSYNSSRPTTQTATTKR >EOY20107 pep chromosome:Theobroma_cacao_20110822:10:24884104:24887142:-1 gene:TCM_045502 transcript:EOY20107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCRIVKAIGFNSLWRKWIFECIFTLHVSVLINGLATTEFPTKGGLHQGNQLSLFLFIVAVEVLNLLLSKAEDLDFFQGISLFANGKPSPSGCGGVLRNFEGSLLGLFYGPLGYHDSNYAELMAILHALRLFSASQSIGAQLLVESNSKEALSWVSDVRQRPWKLWQIFNEIDYLSQTIGNVSYISVLREGNSFADSLGKLGLDRCSIFTALCSAFAAFLLLLCSANKVML >EOY18815 pep chromosome:Theobroma_cacao_20110822:10:5101255:5110883:-1 gene:TCM_043303 transcript:EOY18815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHVTPDSVGRAVLLEEKYGSSLCSRSSGLLSADVIMIFVSEALTSLQAFPVTWNFNFFPDVTFNLPYRTLISFVNYMGNYSGDVKREFTGIIVHEADHVEQWNGNGQTLGGLIEGIADYIRLKAGYAPPHWVRQIIDLLCIC >EOY19616 pep chromosome:Theobroma_cacao_20110822:10:19244659:19245750:-1 gene:TCM_044753 transcript:EOY19616 gene_biotype:protein_coding transcript_biotype:protein_coding description:High chlorophyll fluorescence phenotype 173, putative MKIITLEQIIIMKYNGGMDAKFKYTKVIEAIFFGYVFSRCIYVKLSKKFSLLLGRTLDKYIDSSLKVYLTLWNIWCSSIKVRVTFSSFRFMKPDDSSLDPFLIHTLTICFKPRRQVLCILKIFLYHLVWKLVKV >EOY18280 pep chromosome:Theobroma_cacao_20110822:10:2696336:2709455:1 gene:TCM_042874 transcript:EOY18280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMKKREKGTTGRVRTHPARHLSHLPDAKNSRLAEGVKFGVVCRRLGGATAWRFAAGRRSEIWGGLPPVGGVKSGAFAAGRRSEIWVGLPPVGGATAWKFAAG >EOY19007 pep chromosome:Theobroma_cacao_20110822:10:7881856:7886031:1 gene:TCM_043600 transcript:EOY19007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein MDQISETSRTMIPVTFQVSQDDITGQVGLIWQQTKAPLIVPVLKGMVVLCLAMSIMLFVERVYMGIVIVFVKLFGRKPEKMYKLEPMKDDVELGYSAYPMVLVQIPMYNEKEVYQLSIGAACGLSWPADRIIIQVLDDSTDPAIKTLVELECQRWASKGINVKYEIRDNRNGYKAGALKEGMKHSYVNQCDYVAIFDADFQPEPDFLWRTIPFLVSNPEIALVQARWKFVNSDECLMTRMQEMSLDYHFIVEQEVGSSTHAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFVYVGDLKVKNELPSTFKAYRYQQHRWSCGPANLFRKMAVEIIRNRKVSLWKKFYVIYSFFFVRKIVAHLVTFIFYCVVLPATVFVPEVEVPKWGAVYIPSIVTLLNAVGTPRSLHLLIFWILFENVMSLHRTKATFIGLLEAGRVNEWVVTEKLGDALKTKLGGKAPRKPRIQIGERIHLLELAVGAYLFFCGCYDLAFGKNRYFIFLFLQSIAFFIAGVGYVGTFVPTS >EOY18055 pep chromosome:Theobroma_cacao_20110822:10:1920556:1924390:-1 gene:TCM_042713 transcript:EOY18055 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MSFVFRGTRSDLESGLPGFIPERRAVRVHAGRPVNSNSLVFLVTVLLLFMILNSHQMSPNFLLWLVLGVFLMATTLRMYATCQQLQAQAQAHAAAASGLLGHTELRLHMPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDADNVPTATSMSEEEINALPVHKYKVSAPQSDSSMQQASSSNSPQKKHDTSNPVCGMKGSEDELTCSICLEQVSVGDLIRSLPCLHQFHASCIDPWLRQQGTCPVCKFRAGSGWHETGEIDASYMV >EOY18056 pep chromosome:Theobroma_cacao_20110822:10:1920714:1924361:-1 gene:TCM_042713 transcript:EOY18056 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MSFVFRGTRSDLESGLPGFIPERRAVRVHAGRPVNSNSLVFLVTVLLLFMILNSHQMSPNFLLWLVLGVFLMATTLRMYATCQQLQAQAQAHAAAASGLLGHTELRLHMPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDADNVPTATSMSEEEINALPVHKYKVSAPQSDSSMQQASSSNSPQKKHDTSNPVCGMKGSEDELTCSICLEQVSVGDLIRSLPCLHQFHASCIDPWLRQQGTCPVCKFRAGSGWHETGEIDASYMV >EOY18054 pep chromosome:Theobroma_cacao_20110822:10:1920694:1924396:-1 gene:TCM_042713 transcript:EOY18054 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MSFVFRGTRSDLESGLPGFIPERRAVRVHAGRPVNSNSLVFLVTVLLLFMILNSHQMSPNFLLWLVLGVFLMATTLRMYATCQQLQAQAQAHAAAASGLLGHTELRLHMPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDADNVPTATSMSEEEINALPVHKYKVSAPQSDSSMQQASSSNSPQKKHDTSNPVCGMKGSEDELTCSICLEQVSVGDLIRSLPCLHQFHASCIDPWLRQQGTCPVCKFRAGSGWHETGEIDASYMV >EOY19084 pep chromosome:Theobroma_cacao_20110822:10:9713302:9715976:1 gene:TCM_043769 transcript:EOY19084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNLTSGLFPKLGSSSNGLQMAFGSLGFSMGIELSNVDVDLIPQSLNYFPLSFSRIEPLSVKVDFPSL >EOY19409 pep chromosome:Theobroma_cacao_20110822:10:17297749:17299543:1 gene:TCM_044502 transcript:EOY19409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLSRCETWNTKPSQAKYHGNEGKIKPRGNDPSNNNNAKALGFVPNNFLTSMHILIAVLLKPLSHGIGVQKGLMLLLATWEFFHYNASDACDFA >EOY19745 pep chromosome:Theobroma_cacao_20110822:10:22031752:22038925:1 gene:TCM_045051 transcript:EOY19745 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MAFFADAALSAFFDSLFAKFSSSDFNFVTEKLVRKEIMNWETILRTIHAVLADAEEKKMKNQAVKIWLADLQDLAYDVDDILDEFATEALGRRLMKEHQASTSKAQRFVPTCCTSLHPSSIMFNYKMMSKIKEITGRLQDLATKKINLQLENYVGRPMIIPKSKPSTSLVNEATVRGRDKDKKAIIDLLLRKDGNDAGVSVIPITGMGGIGKTTLAQLVYNDSSIGDYFNLKAWVCVSDEFDVIKITKTILESVTFQSCDIHDLNLLQVKLKEKLSGKKFLLVLDDVWNENYDDWTKLRSPFDAGITGSKIIVTTRSSNVSSIMRSVADYLLQSLSEDDSLSLLSHHALARGDFTGHPDLKEIGLEIVKKCGGLPLAIKTIGGLLRTRENHDAWKYILMSDIWSIPEEKSDIIPALWLSYYYLPPQLKQCFAYCSFVPKDYEFKEEEIVLLWMAEGFLNGANTKRATKDLGSKYFEELVSRSFFQASRKNQSQFVMHDLINDLAQLVAGEIYFKRERYDDMKGPISRTRHSSYIIGKYDRIEKFEAFFEAKFLRTYLPFDMMMMRRYGRCYLSSNVLDDLLPRLKCLRVLSLKRYYIKKIPSSIGNLKHLRYLDFSYTEIKSLPDSICTLYNLETLLLRFCDGIEKLPMKIGILENLCHLDITGANSIKEMPSGIGKLTNLQVLSTFIVGQGDGLNIREMQNLSNLKGQLCISKLHNVDEAQYAWEAKLSGKSSLNNLELSWSRNFNENLRNKEVEGEVLTLLQPHEELKALAIKYYAGLTFPIWLEDGSLKNLQFLNLEDCQNCKLLPAIEKLPLLKHLCIKGMRSVISVGIEFHGVNWPNLFPSLETLHFEDMLEWKEWKVCEINKQGAADEEKEELLQLELPRNIEYVILIGCQGLERLSKSLQNLTCLARLNIVRCSKLVSLSVDSLPLTLRTLDISDCENLQCLLDDEENINFSSTSLLESLDIGCCEALKSLSSSGKLPVRCKKLFIYECPVLRFLAQNIGDNACLESISLQNCINIKYLPQGLDKLNHLQEIDFDCCPSLVGFPESGLPIANLKTLRFVECEKLEALPILHTIQQLTILGCPRVRYSIEENGFPTNLTELDIDEPNISKALMIWGLHRFTSLTKLDIDGSNCIEVVSFPQEEIGMKLPPSLTHLSIGNFKNMKKVSSNGLQNLTSLQSLKIYHCPKLKSLPRKEMLRSLSQLLIYWCPVLKERCKRGKGKQWSNIAHIPYIEID >EOY18205 pep chromosome:Theobroma_cacao_20110822:10:2390972:2393746:1 gene:TCM_042816 transcript:EOY18205 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein, putative isoform 2 MSGSLDMSLDEIIRNRGRSEGHFRDSRRKPHGSGPGPGPDRRGPTHDPLRTNPYPVRPVPTAAAWHGQLVSSGGSDMEAKLCISNLDYGVSNEDVKLISLDYNSAVRRKGWQPRSWFLVIISILLVGCICLQSVAVQYSSAAGLRYMAVEVVEVLFSEVGDLKRYSINYDRSGRSKGTAEVVFYRQTDALAAIKRYNNVQLDGKPMTIELVGANVVMSAPIPPTNSSIVRNPNVAFRRDQEKVGGSRWVHGGGNGPNGGGAGRGFARRRRQGGHVGQKLSAEDLDADLDKYHLEATKIK >EOY18206 pep chromosome:Theobroma_cacao_20110822:10:2391016:2393631:1 gene:TCM_042816 transcript:EOY18206 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein, putative isoform 2 MSGSLDMSLDEIIRNRGRSEGHFRDSRRKPHGSGPGPGPDRRGPTHDPLRTNPYPVRPVPTAAAWHGQLVSSGGSDMEAKLCISNLDYGVSNEDVKVLFSEVGDLKRYSINYDRSGRSKGTAEVVFYRQTDALAAIKRYNNVQLDGKPMTIELVGANVVMSAPIPPTNSSIVRNPNVAFRRDQEKVGGSRWVHGGGNGPNGGGAGRGFARRRRQGGHVGQKLSAEDLDADLDKYHLEATKIK >EOY19597 pep chromosome:Theobroma_cacao_20110822:10:19145537:19149900:-1 gene:TCM_044741 transcript:EOY19597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 6, putative MGSPLYLSISISLLNLLLLFFLVSSVRPLCHPDERSALLQFKESFVINNSASGSLDAHAKTESWKLERESGDCCSWDGVECDNGTGHVTGLNLGSSYLYGSIDSSSSLFHLVHLQRLNLADNVFKNSKVPSEVRNLSRLTSLNLSYSEFSGQIPSEILELSELQLLDLSGNSLKLRKTGLSSLLEKLTKLQVLYLTDVRISSSVPNILANFSSLKALILSNCDLRGEFPTGIFELPALQFLSLRSNPKLTGYLPDIQSNHPLLKLSLANTSFFGQMPESFGNFNFWRPIPPSLGNLKQLMTLDFSHNNFSGEIPSSLVNLTQLVYLSLATNHFDPGTISWLGTQINLSYLGLSNTRLAGTIPSALKNLTQITSLDLWSNRLEGQIPPWIGNLTKLTRIQFQENILSGPIPESIFKLENLELLYLHANQLNGILKLDSFLELKNLTRLQLSGNNLSLLNTVGINATAPKLKLLGLASCNLSEFPDFLRSQDELEFLELAGNNIHGQIPKWFLRVGKETLWYLNLGFNFLTRFEELPAVLPWTSLELFKLKSNMFQGPLPHPPPSIVDYVFSNNSLSGEIPPILCNLSFLVALDLSDNNLTGILPRCLVNLSDSLEVLNLRNNHFAGAIPSTYTKSCGLRMMDLSQNQLKGRVPRSLAHCTKLEFLNLGNNLINDTFPSWLGTLPELKVLILRANGLHGVIGKPQAKSEFSKLQVIDLSDNSLRGKLPSEYFSVWVAMKLANTTSLSPYMKANTSFKARGYSLSNNYPYVVTLANKDRDLNYENVPDSISAIDLSSNQFQGEIPEVIGNLKLIRMLNLSNNNITGHIPSSLGEITNLESLDLSRNKLSGQIPQQLANINFLEVFKVSYNNLEGPSWIPLIMIRTREIQDCVDTLCQKIVEILKSCSHHLL >EOY18492 pep chromosome:Theobroma_cacao_20110822:10:3600891:3602336:-1 gene:TCM_043043 transcript:EOY18492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKVFQSVNGGDFFQIKNRACTEHYIVLISLVPTFTRMLCEFKLLNFFPKIVSEIKIRLEETFLHPTHLSTDAKETKIPSPVTALANLDWIRNVETTLYIELFTNTH >EOY19265 pep chromosome:Theobroma_cacao_20110822:10:15336868:15337452:1 gene:TCM_044276 transcript:EOY19265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVEPLFRAVASRDFTTYSAAVDRAQRIEMRTSESRAARERAKRGKTEGYQGRRDFSSGGSSSSRQGPQRDSRLPQQGSDAPDDIVDGASLLQKLVTGAVNLGIL >EOY19967 pep chromosome:Theobroma_cacao_20110822:10:24152408:24156126:-1 gene:TCM_045370 transcript:EOY19967 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative MVVKTKKLYIYECALRRFADIWMEAKSQSIMLESYAMNGGDGPYSYAQNSLYQRGLMEAAKGMINEEIAMKLDIQELSLAASEPIRIADLGCSCGPNTILAVQHIIDALKRKFQSHSTPEFQVFFNDHVSNDFNSLFASLSVLGKQYYAASAPGSFHGRLFPKATVHFVYSSYALNWLSKVPERVVDKTDPAWNQGRIHYTGAPKEVLEAYSDQYAKDIDSFLQARVKELAPGGLMALLIPAVPDVITDPQITIGSEFKLVGSCLMDMAKLGMVSEAKVDTFNLPIYFTYPKELRQIIEENGCFSIERMEVLNIPKQHIVMPDLRQRMLYIRAILEPLIKNHFGNEIIDQLFEIYSRKLSESSIFLNPECQKTTAIFLLLKPI >EOY17950 pep chromosome:Theobroma_cacao_20110822:10:1559866:1564498:-1 gene:TCM_042641 transcript:EOY17950 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MERQSSKEMEQRKGRRLVLFPLPLQGHINPMLHLANILHSRGYSITIIHTNFNSPDPSNYPHFTFHFFSENLPEDGTCATDLIAFLSLLNTRCGPPFRHCLANLLSETSEEPVACLISDAILYFTQEVANELKLPRLVLRTGAASSFCVFTAFPLLKERGYIPIQDSRLEEPVVELPPLRVKDLPVIDTPDPVDLYRVVAGMVNQGKASSGLIWNTFEELEQSALETLDRQLGVPLFPIGPFHKCFPVSKSSLSPQDESCISWLDKQERKSVIYVSFGSLAAVNETEFLEIAWGLANSKQPFLWVVRPGLIRGSEWLEPLPNGFLETLGGRGHVVKWAPQQQVLAHSAVGAFWTHNGWNSTLESMCEGIPMICMPCFTDQRVNARYVSEIWRVGVQLEKGMERGEIERTIKRLMVEKDGEEIRERVLHLKEKAALCLSQGGSSRHSLDRLVHHISSLESFTFQSQ >EOY18727 pep chromosome:Theobroma_cacao_20110822:10:4679061:4694625:-1 gene:TCM_043225 transcript:EOY18727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein MAKPNQFPSVLIACFGIYSLLYAGTAIMGYTMFGEATESQFTLNMPKDLIASRIVVWTTVVNPFTKYALTMSPVAMSLEELISSSHLKSHIYAILIRTSLVISTLIVGLSIPFFGLVMSLIGSLLTMLVTLILPPACYLSILRGKVTRIQATLCLIVIAVGVVSSVFGTYSALSKIVENLRS >EOY19450 pep chromosome:Theobroma_cacao_20110822:10:17798617:17807829:-1 gene:TCM_044574 transcript:EOY19450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Region-like protein isoform 2 MTGTKEILQSGQGLADHDNYHEYCRLLGRFRQGFQSSSAVENQCNNNMLMMQPQMAIFNPQLPIPLSNNSSAMSLLNNVGFMNGASQLLPSQNNHLGVPQFGPIFPNLNNVSMFQQLHGQFNNPLQSPNQPNWLNLPQQLNQNNMGLTNGQHQQQQQLFLQNQLQSIGQLLNQIPNLSQFVSGGQTMGCLNPAGVPPNPQFGFMQQNQIQQQANQSQQNLANVNASKPSSSAAGAQVLGGPSFRKPMGSPGKSGQNLNNFPGRNVARDSKWGFHKSKFQQSRFHQVDNAKRKFASSNGQKKKGQDDERAAKFPHSNSTKPDKEKRKRSLALTYTEQEIRQWREERKKHYPTKTNIKKKLSGKVSDAEVAKLRSEQLKEILAKQAELGVEVAEIPSHYLLGSEKKVNGREENSWPLTKRGRFEKRHDKRVRFDKRDRFSRKRRSTNEESFDGTSVNKRSPTLLQKLLSADIRKDKSHLLQVFRFMVINSFFKDWPEKPLKYPLVVVRDGLSEGEIVREKPLVVGEDKLEVCDKTMIQSIVNGENKDGDDSDNDGDRESKDDNDVNGDEDDENKHDTQADQVALYAREEKADSGEGIVRNEEEEGEIID >EOY19452 pep chromosome:Theobroma_cacao_20110822:10:17798617:17808134:-1 gene:TCM_044574 transcript:EOY19452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Region-like protein isoform 2 MTGTKEILQSGQGLADHDNYHEYCRLLGRFRQGFQSSSAVENQCNNNMLMMQPQMAIFNPQLPIPLSNNSSAMSLLNNVGFMNGASQLLPSQNNHLGVPQFGPIFPNLNNVSMFQQLHGQFNNPLQSPNQPNWLNLPQQLNQNNMGLTNGQHQQQQQLFLQNQLQSIGQLLNQIPNLSQFVSGGQTMGCLNPAGVPPNPQFGFMQQNQIQQQANQSQQNLANVNASKPSSSAAGAQVLGGPSFRKPMGSPGKSGQNLNNFPGRNVARDSKWGFHKSKFQQSRFHQVDNAKRKFASSNGQKKKGQDDERAAKFPHSNSTKPDKEKRKRSLALTYTEQEIRQWREERKKHYPTKTNIKKKLSGKVSDAEVAKLRSEQLKEILAKQAELGVEVAEIPSHYLLGSEKKVNGREENSWPLTKRGRFEKRHDKRVRFDKRDRFSRKRRSTNEESFDGTSVNKRSPTLLQKLLSADIRKDKSHLLQVFRFMVINSFFKDWPEKPLKYPLVVVRDGLSEGEIVREKPLVVGEDKLEVCDKTMIQSIVNGENKDGDDSDNDGDRESKDDNDVNGDEDDENKHDTQADQVALYAREEKADSGEGIVRNEEEEGEIID >EOY19451 pep chromosome:Theobroma_cacao_20110822:10:17798637:17808156:-1 gene:TCM_044574 transcript:EOY19451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Region-like protein isoform 2 MTGTKEILQSGQGLADHDNYHEYCRLLGRFRGFQSSSAVENQCNNNMLMMQPQMAIFNPQLPIPLSNNSSAMSLLNNVGFMNGASQLLPSQNNHLGVPQFGPIFPNLNNVSMFQQLHGQFNNPLQSPNQPNWLNLPQQLNQNNMGLTNGQHQQQQQLFLQNQLQSIGQLLNQIPNLSQFVSGGQTMGCLNPAGVPPNPQFGFMQQNQIQQQANQSQQNLANVNASKPSSSAAGAQVLGGPSFRKPMGSPGKSGQNLNNFPGRNVARDSKWGFHKSKFQQSRFHQVDNAKRKFASSNGQKKKGQDDERAAKFPHSNSTKPDKEKRKSLALTYTEQEIRQWREERKKHYPTKTNIKKKLSGKVSDAEVAKLRSEQLKEILAKQAELGVEVAEIPSHYLLGSEKKVNGREENSWPLTKRGRFEKRHDKRVRFDKRDRFSRKRRSTNEESFDGTSVNKRSPTLLQKLLSADIRKDKSHLLQVFRFMVINSFFKDWPEKPLKYPLVVVRDGLSEGEIVREKPLVVGEDKLEVCDKTMIQSIVNGENKDGDDSDNDGDRESKDDNDVNGDEDDENKHDTQADQVALYAREEKADSGEGIVRNEEEEGEIID >EOY19454 pep chromosome:Theobroma_cacao_20110822:10:17798617:17808145:-1 gene:TCM_044574 transcript:EOY19454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Region-like protein isoform 2 MTGTKEILQSGQGLADHDNYHEYCRLLGRFRQGFQSSSAVENQCNNNMLMMQPQMAIFNPQLPIPLSNNSSAMSLLNNVGFMNGASQLLPSQNNHLGVPQFGPIFPNLNNVSMFQQLHGQFNNPLQSPNQPNWLNLPQQLNQNNMGLTNGQHQQQQQLFLQNQLQSIGQLLNQIPNLSQFVSGGQTMGCLNPAGVPPNPQFGFMQQNQIQQQANQSQQNLANVNASKPSSSAAGAQVLGGPSFRKPMGSPGKSGQNLNNFPGRNVARDSKWGFHKSKFQQSRFHQVDNAKRKFASSNGQKKKGQDDERAAKFPHSNSTKPDKEKRKRSLALTYTEQEIRQWREERKKHYPTKTNIKKKLSGKVSDAEVAKLRSEQLKEILAKQAELGVEVAEIPSHYLLGSEKKVNGREENSWPLTKRGRFEKRHDKRVRFDKRDRFSRKRRSTNEESFDGTSVNKRSPTLLQKLLSADIRKDKSHLLQVFRFMVINSFFKDWPEKPLKYPLVVVRDGLSEGEIVREKPLVVGEDKLEVCDKTMIQSIVNGENKDGDDSDNDGDRESKDDNDVNGDEDDENKHDTQADQVALYAREEKADSGEGIVRNEEEEGEIID >EOY19449 pep chromosome:Theobroma_cacao_20110822:10:17798602:17808268:-1 gene:TCM_044574 transcript:EOY19449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Region-like protein isoform 2 MTGTKEILQSGQGLADHDNYHEYCRLLGRFRGFQSSSAVENQCNNNMLMMQPQMAIFNPQLPIPLSNNSSAMSLLNNVGFMNGASQLLPSQNNHLGVPQFGPIFPNLNNVSMFQQLHGQFNNPLQSPNQPNWLNLPQQLNQNNMGLTNGQHQQQQQLFLQNQLQSIGQLLNQIPNLSQFVSGGQTMGCLNPAGVPPNPQFGFMQQNQIQQQANQSQQNLANVNASKPSSSAAGAQVLGGPSFRKPMGSPGKSGQNLNNFPGRNVARDSKWGFHKSKFQQSRFHQVDNAKRKFASSNGQKKKGQDDERAAKFPHSNSTKPDKEKRKRSLALTYTEQEIRQWREERKKHYPTKTNIKKKLSGKVSDAEVAKLRSEQLKEILAKQAELGVEVAEIPSHYLLGSEKKVNGREENSWPLTKRGRFEKRHDKRVRFDKRDRFSRKRRSTNEESFDGTSVNKRSPTLLQKLLSADIRKDKSHLLQVFRFMVINSFFKDWPEKPLKYPLVVVRDGLSEGEIVREKPLVVGEDKLEVCDKTMIQSIVNGENKDGDDSDNDGDRESKDDNDVNGDEDDENKHDTQADQVALYAREEKADSGEGIVRNEEEEGEIID >EOY19453 pep chromosome:Theobroma_cacao_20110822:10:17798623:17808142:-1 gene:TCM_044574 transcript:EOY19453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Region-like protein isoform 2 MTGTKEILQSGQGLADHDNYHEYCRLLGRFRQGFQSSSAVENQCNNNMLMMQPQMAIFNPQLPIPLSNNSSAMSLLNNVGFMNGASQLLPSQNNHLGVPQFGPIFPNLNNVSMFQQLHGQFNNPLQSPNQPNWLNLPQQLNQNNMGLTNGQHQQQQQLFLQNQLQSIGQLLNQIPNLSQFVSGGQTMGCLNPAGVPPNPQFGFMQQNQIQQQANQSQQNLANVNASKPSSSAAGAQVLGGPSFRKPMGSPGKSGQNLNNFPGRNVARDSKWGFHKSKFQQSRFHQVDNAKRKFASSNGQKKKGQDDERAAKFPHSNSTKPDKEKRKSLALTYTEQEIRQWREERKKHYPTKTNIKKKLSGKVSDAEVAKLRSEQLKEILAKQAELGVEVAEIPSHYLLGSEKKVNGREENSWPLTKRGRFEKRHDKRVRFDKRDRFSRKRRSTNEESFDGTSVNKRSPTLLQKLLSADIRKDKSHLLQVFRFMVINSFFKDWPEKPLKYPLVVVRDGLSEGEIVREKPLVVGEDKLEVCDKTMIQSIVNGENKDGDDSDNDGDRESKDDNDVNGDEDDENKHDTQADQVALYAREEKADSGEGIVRNEEEEGEIID >EOY19045 pep chromosome:Theobroma_cacao_20110822:10:8839473:8845702:-1 gene:TCM_043683 transcript:EOY19045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHLRGSLWQFGWGSKVNPFVTKGMFRSLLEYVLQGLYFLSNKNMFPSLLSGNFLFSYLLSLQLSGFN >EOY20043 pep chromosome:Theobroma_cacao_20110822:10:24533863:24536773:1 gene:TCM_045441 transcript:EOY20043 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG-box DNA-binding family protein, putative MANHPRTRKRVHATIPRRAPDGSAFEKCDVCGDMVAIALADMHECGTEKKELKRFKGIVGTQNVVKPMVPWQPRSAFSIFMESFMIDNNNGNFIDIDRRGFETWKNMCKEERQPYVAQAEKVNSAYTKNVIEEEKNVKEVDDDEADSAMVGKFDQFYEDSEYYGTSDNDEPYQSGGLESLNTTECPMLCSALLSYDLHKAGKCSNQGPPEHSSSS >EOY20021 pep chromosome:Theobroma_cacao_20110822:10:24426453:24429274:1 gene:TCM_045423 transcript:EOY20021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEETKPSTTKPRSEASKRRPFTEVTNLIPSSLPSSQSSSSSLIKPPTKSSLALDLKSPLNKPNSDINSNSKFTAVESTTNDNDSNKKGKNKKKEKEKGKSQSNKAKLSPMLSPLQKTPSVSGTADSVGFEPCTVYSRRHTADKRKSKGKEIAEPFSWSLEMRMPDLSEKKDGDGDIGLSKSCPLPRKRLFSVHKDMCP >EOY20020 pep chromosome:Theobroma_cacao_20110822:10:24426375:24429810:1 gene:TCM_045423 transcript:EOY20020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEETKPSTTKPRSEASKRRPFTEVTNLIPSSLPSSQSSSSSLIKPPTKSSLALDLKSPLNKPNSDINSNSKFTAVESTTNDNDSNKKGKNKKKEKEKGKSQSNKAKLSPMLSPLQKTPSVSGTADSVGFEPCTVYSRRHTADKRKSKGKEIAEPFSWSLEMRMPDLSEKKDGDGDIGLSKSCPLPRKRKQRIEKAKVNASKNDLPQDFIDRQRAYFAEVDAFELEEEVASDEELE >EOY18404 pep chromosome:Theobroma_cacao_20110822:10:3368248:3369383:1 gene:TCM_043000 transcript:EOY18404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRWLLGPPSSSETSLGLSSAQGRTAIFRLSFAMDDGWMLLVCARPVSSLLVVFDAGAAFLSACSPSVKGVLANWRRLFLESTRVLGCSFLLHD >EOY19225 pep chromosome:Theobroma_cacao_20110822:10:14563292:14564704:-1 gene:TCM_044201 transcript:EOY19225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Matrixin family protein MAYNAISFLSFCTLLVLPLLFQATLADSKDKKPYPFDFLKHLQGCHKGDKVKDIRKLKKYLEQFGYLSYSKNKTHANDNDFDDLLESAIKTYQLNFHLNSNGALDTETVSKMMMPRCGVADIINGTSGMRSGKKKPHRAAGSKSIHEVSHYAFFPRSPRWPPSKSHLTYAFLPGTRADAVNPVAGAFQTWAANTHFRFSRIDNYRDADITIGFQRRDHGDGNPFDGPGGTLAHAFAPTIGRFHYDADETWSVSARPGTMHLETVALHEIGHLLGLSHSSIENAIMYPSITAGTSKGLARDDIEGIKALYNR >EOY17843 pep chromosome:Theobroma_cacao_20110822:10:1076864:1082466:-1 gene:TCM_042548 transcript:EOY17843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MGNSCLGNISILHINLFVCFVIVLSLFLPGSLKFALALGNETDRIALLSIKDQLVGSYPGALVSWNASLHFCEWQGVTCGRRHQRVTALELPGLKLAGSLSPSIGNLTFLRKFNLSANRLHGNIPKEVGYLRRLRVLHLSQNNLHGEIPVELANCSKLQGIVLLYNNLTGEVPFQLGDLSKLIRLSLGANNLVGSIPSSLGNLSSLQDLSLSSNHLKGNIPDALGGAVNLRYLFLASNSLNGTLPLSIHNLSSLEMIEMATNNFSGSLAAVIGLPFPNLRYFSIGENQLIGTIPKSISNMSNLEIFDIAMNGISGSVPNDLGNLKNFQELIIGHNFFGNGKTGDLDFLSSLSNCTQLQILELEGNRLGGLLPKSIGNLSIQLNMIFMGFNQISGNIPEGIGNLFSLTLFHMPRNALSGTLPTSIGKLQNLERLFLSSNNFSGEIPSIIGNLSLLFELQLHNNNFEGRIPLALRNCKKMQKLFLSGNKLSGNVPDHLFGAFTSLILVYISSNSLIGPLPSDLGNLTNLVELFISENKFSGEIPKSLGECSGLRTLDMARNFFQGSIPLSFGSLKSLEILNLSHNSLSGTIPHELEKLPFLSNLNLSFNHLEGEVPKGGAFNKSSGFSIGGNKNLCGGIPEIKLPKCINQEPRKKGNALSTKAIIVMILGILIAFILVVLLFVRCCKFRSGKKLIPATLFGDGYLRVSYKELLQATGGFASSNLIGMGSFGSVYKGVLNQQEKPVAVKVLNLQNRGAAKSFTTECKALRKVRHRNLLKIITSCSSIDYQGNDFKALVFEFIPNGSLDSWLHEQHESRYLNFVQRLDIAIDVANAIDYLHHNCEAVIVHCDLKPTNVLLDDDMVAHVSDFGLAKLLSSDTDNMGNNQTGSSMMKGTIGYVPPEYGMGGAVSPEGDIYSYGILLLEMITGRRPTDGMFHGGLSLHNFCNMALPDRLKEILDFRLLEQISENKERLTNLPNMEREMLESLVSFTKIGVACSAEAPGERMGIKDAITQLPAIKAGLLRTGIHGRNRR >EOY18410 pep chromosome:Theobroma_cacao_20110822:10:3398461:3400234:1 gene:TCM_043007 transcript:EOY18410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPSMELEPLVQLPSLSMKDDHATNISPQPKTALSATPLLPATHEELHCQSLIIKRYVCSCTELQLPYENKKGFSSPNCYRNLLEASLTPPKG >EOY19943 pep chromosome:Theobroma_cacao_20110822:10:23994461:24007840:1 gene:TCM_045343 transcript:EOY19943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGVCGVAPFNEALATIVHPTEGMEKCYDIWVLNGYSWTKQLTIGPILGAERLLALWKNGELLLLSENNTLVMFDPCTGELHDFGIHMSKYTMWLVVYAESIIRIKGISEYDAKLTRQVLLKIFQALSQLHQTLFMIDQKLDQVSQHSSTKEHNGLAEAAAKLKSNQEDLKEIFYGLRDEVLGMIGTKEKEDDLKDLAVGLGSLKSKGDELNERVIESMRDYNIVPKCSGIEEGYKPLDFESPAMEIKTSLEHRRLFNSNLLFLEAEDQIVLDEDLGELEEKDEEELKWLRVKKLKQIFQELKKKIDLNVSSKASDSGDELGPEPVNLGIIFLKVIRNWTFHIEYVLIDLLSKIYYIFSVNDELETISQDLKSNLKHMMEIYLGMVPISVYKIIYMMENPEELKRKGLVIRMCCWCIAFFNEALATIVHPRDGMEKCYSIWVLNGYSWTKKLTIGPIVGVERPLALWKNGELFQLSVPEYRIWHEPSFEKFTSHIDYLIEMNIRVVRNLLK >EOY18981 pep chromosome:Theobroma_cacao_20110822:10:7320614:7325852:-1 gene:TCM_043539 transcript:EOY18981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTMVENDNNELIPLRTVTRRRVCTDYRKLIKATKMDHFSLSFIDQMLDRLVGKEFYCFLVGHLGYNQIEISLEDQEKTTFTYPYVTFNFKRMSFGLFNALAIFQRCMMVIFSNMVDKTLTKTHMKELLAVIYAFDKFRSYLIGTKVIIYTDHFAIKYLIAKKDAKPRFQRSPIAPFGSLGKLESTKGSTKYRENDSCCSEKLSGLRGLPDLVFAVAINLLELLIAARFILVAKRNFLFWLLESCCSEKLSVRHAILLIKRQPVTRSRCPCRFVYLVDWFLEKHVTFLVELEHNAYWAIKKLNFDLKTAVERRLLQLNEPDEFRLDAY >EOY18427 pep chromosome:Theobroma_cacao_20110822:10:3473455:3474956:-1 gene:TCM_043022 transcript:EOY18427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGVTLQI >EOY19384 pep chromosome:Theobroma_cacao_20110822:10:17144771:17156879:1 gene:TCM_044482 transcript:EOY19384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYEFGHEVGGSGLSKPPKEEELVGKEIDLMDNKVSELPESPNCPSLIVLRLQRNYDLGALPPLFFQRMPLLQLLDLSNTSIKSLPKSLPKLVALKKLFLRGCKLFMKLSPQVGKLDNLEELDLDETQIINLPVDIGRLVKLRLLKVSFYGHTNFSKRKLQSNLVLHPETISNLSQLTELSIDVDPSDKRWDDSVEAVVKGVCNSKGLRSLSLYLPKVQLLDFISLIYPSLTRFRFILGYDKRRIISRVPHEAEAEFRKWDRCLKFVNGENIPIQIRQVLKISASFFLDRHANAMNLSEFGNENLKMLKCCLLAECNEMETIIDGSELDPGSAENVLESLQYLSLYYMKNLRSIWKGPLRYGCMSKLKFLALHTCPKLRNIFSHTLLQSFVSLEEFILEDCPKVASLVSHESVKPISDTCLPTLKRLFLLYLPELVSIFNGLFIAPKLERIGFYNCPKLKCLSKRELSSKQLKMIKGESQWWEDIEWNETEWGTRPDYLMHIFSPVKNEEDVMTELAQDKDLSEATIKNGGQKYTDDGKLLDVVRQHKGPWPDCVMEKTMTDNVTKPISSPSRRMPPSMSPPYFIPPQFNRQQALSFQDSAQNDFSSEKNLSNCSNTIVTAATDKNIISTTTPASSTSTPPPSLIPSRYESQKRRDWNTFGQYLRNHRPPLSLSQCSAAHVLEFLRYLDQFGKTKVHIQICPFFGNPQPPAPCTCPLRQAWGSLDAIIGRLSNAYEEHGGKPEGNPFRARDVRIYLREVRDLQARARGLNYKKRKLPKLKVAPTTFADATTSE >EOY17697 pep chromosome:Theobroma_cacao_20110822:10:601047:603871:-1 gene:TCM_042452 transcript:EOY17697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide-N4-(N-acetyl-beta-glucosaminyl)asparagine amidase A protein, putative MYYYYYYSAFILFFLFLTRTVPTFTAPDHFLKLLKPPKPEVQEFFELTNPLPSDHLTPSCSVTVIHHSYANTINSPPFSTRYSPPSDCPPPWPRVVLELHVASSGEQYDRIAAIWLDGAEILRTSTAEPTETGIFWRVRKDITRYSSLLSKSQINATMMLENVVNDIYTGVYHVHVSFLFYKENVTPDEVGIPSIISPNKNNYNLGTADMGLFQTPSDLIIPICDDGQRGHWFRVKSESDVHMKKVRFPKNTLQVVLELYASFHGNDEFWYSNPPNSYIRLNNLTTERGNGAFREVFVTIDSKFVGSEVVFPVVFTGGINPLFWEPVVAIGDFKLPSYDLDLTPFLGWLLDGKSHEIRIGVDDAISFWLVNANLHIWLDHGTSRVKAKSIVYNSPALTIERQEAFRLLDGSFRIKAKRKSEFAGWVKSKAGNFTTIVSQEFRVTNLIRFDFNGTYKVVKQRVKAKRDSRIRADSGNLIGRAVTRRRYPLTVITSTVPLAHKADEYLLFTNVSHALSERRINGVYKRIVYNRQDSEGWMKVRDHSVLSGEATTWQRYNFRDEFGCYSRTVLAFNGKLIGDNTTFSCAASTFSFCASMLL >EOY18653 pep chromosome:Theobroma_cacao_20110822:10:4211137:4214254:-1 gene:TCM_043147 transcript:EOY18653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTGLGMVLCLILVPLLPLLVSSEESVQVQNAIVIPKYQSPPCNADHPNNCPKPVNHTSSNHCNVANRCRIHSMFYEDGSGNRFLVELIVEGGPAKLTDLVLTEAVTTAISRWLVKKTKDKASGQLRILINTIDGHSPTSADSPTSAQLQYAACQAIRQVGLNFELASTPASDGTHSEKVASFDPFYANITITASRPGKAVMFEFSTIAKGLGEADVNGIMKPVPDMFHKLWLKATRMESEGHEESMSPPKS >EOY18652 pep chromosome:Theobroma_cacao_20110822:10:4210501:4214289:-1 gene:TCM_043147 transcript:EOY18652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MQQSIFSLAASQQIPRNIPSSIWLRKRSMTGLGMVLCLILVPLLPLLVSSEESVQVQNAIVIPKYQSPPCNADHPNNCPKPVNHTSSNHCNVANRCRIHSMFYEDGSGNRFLVELIVEGGPAKLTDLVLTEAVTTAISRWLVKKTKDKASGQLRILINTIDGHSPTSADSPTSAQLQYAACQAIRQVGLNFELASTPASDGTHSEKVASFDPFYANITITASRPGKAVMFEFSTIAKGLGEADVNGIMKPVPDMFHKLWLKATRMESEGHEESMSPPKS >EOY18654 pep chromosome:Theobroma_cacao_20110822:10:4210689:4214138:-1 gene:TCM_043147 transcript:EOY18654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTGLGMVLCLILVPLLPLLVSSEESVQVQNAIVIPKYQSPPCNADHPNNCPKPVNHTSSNHCNVANRCRIHSMFYEDGSGNRFLVELIVEGGPAKLTDLVLTEAVTTAISRWLVKKTKDKASGQLRILINTIDGHSPTSADSPTSAQLQYAACQAIRQVGLNFELASTPASDGTHSEKVASFDPFYANITITASRPGKAVMFEFSTIAKGLGEADVNGIMKPVPDMFHKLWLKATRMESEGHEESMSPPKS >EOY18651 pep chromosome:Theobroma_cacao_20110822:10:4210656:4213832:-1 gene:TCM_043147 transcript:EOY18651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTGLGMVLCLILVPLLPLLVSSEESVQVQNAIVIPKYQSPPCNADHPNNCPKPVNHTSSNHCNVANRCRIHSMFYEDGSGNRFLVELIVEGGPAKLTDLVLTEAVTTAISRWLVKKTKDKASGQLRILINTIDGHSPTSADSPTSAQLQYAACQAIRQVGLNFELASTPASDGTHSEKVASFDPFYANITITASRPGKAVMFEFSTIAKGLGEADVNGIMKPVPDMFHKLWLKATRMESEGHEESMSPPKS >EOY19854 pep chromosome:Theobroma_cacao_20110822:10:23249904:23251150:-1 gene:TCM_045231 transcript:EOY19854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRQSDPTVKMGARCTAATQSTLVTLSQLTEEKRILLKRFLHDGSLINPSLTADHLKMEDEDIIDVIAWAKFTVTGATQSTLVTLSELTQGKRIFLKVQGQKDCYLIGRKTPLSELMLDYTQRIGAAYGSLRFLYDGSRIHSKETADDLQMKDEDIITWAKRSLRVATPSTVISLPRMYNEKPIVLGMWDSSKDDHQLFYWIGRHTPLHNLMLDYCDRNGAFYDNVRFNYFGKSIKPNETTDDLEIEDGDCIDVYHAYFGCVRCPFSYFA >EOY17589 pep chromosome:Theobroma_cacao_20110822:10:299759:301108:-1 gene:TCM_042383 transcript:EOY17589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTLFDMSLLFCILKFKDAGMDWILHLDTDELIHPAGASEYSLRQLLLDVPNKVDMVIFPDYVSGII >EOY19715 pep chromosome:Theobroma_cacao_20110822:10:21794175:21797511:1 gene:TCM_045022 transcript:EOY19715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEAIVSLAVERISDLLIHEADVIDTFILQAANHGFFHGLTKPFHLHKIRKQVKAIQTKLGDISNSLQTYGISGEGEGSFSAIEMQQRLRRTYSHVEEEDVVSLEGITRHVLAQLMTEEDRLHVVVSIVGMGGIGKTTLARKVYNHIDVKRYFDCFCLGFYISTMSLAQWEMVHRNINAHLNKFQQQDHHYGGVNGILALSYNELPFHLKPCFLYLGHYPEDWRSQKRNSFNYGLQKVKSLIENNATLSLTNIRSLGISFERSKDVEPFLKALIESHRLSSLHIRCTSIPLHDSNLEPLSQCHHLSKLDLRGVILEDPYSSHHVLNFLPANIAKLTLLFCEINQDPMAVLGKLPHLRTLRLLEYSYRGTKMVCSSNEFLQLDFLYISCLEELEEWQIEKGAMPRCEV >EOY17945 pep chromosome:Theobroma_cacao_20110822:10:1547609:1549307:1 gene:TCM_046950 transcript:EOY17945 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyltransferase family 1 protein, putative MDQEQQPQAYPHVLIFPLPAQGHVNSMLKLAEVFALAGLQYPRFKFETIPDGLREDHPRLGDCFMELFEGMELRTKPILREMLVKINPPVDCIVGDGVLGLVLDVADELGIPIFQFRTISASCIWAYFAIPDMIEAGELPIRVFGKWTGVACHGLMSNQRNLLFRPDFMPEKGSIPVELVEGTKERGYMVGWAPQEEVLAHCAIGGFLTHSGWNSTLESLVAGVPMICWPYFADQQLNSRFVSEVWKLGLDMKDVCDRRVVEKMVNDLIVDKREEFVKSSAEIAKLAKACVNVGGSSYCNLDRLIEDIRSMNRNCSK >EOY18348 pep chromosome:Theobroma_cacao_20110822:10:3138951:3145765:-1 gene:TCM_042953 transcript:EOY18348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPAPFDSRPVKRSTVPVMAATTVSLKLLVDQESHRALFAEAGKDVDFLFNILSLPLGTVIRLLNKQGMVGCLGDLYDSIENLADNYMQPTANKDTLLKPMVFNIAANPNNTDSSSAERGYVKGVVTYMIMDDLTVRPTSTTSSITSLNKFNVKDVAVLEEKSQNGHG >EOY19764 pep chromosome:Theobroma_cacao_20110822:10:22278044:22285171:1 gene:TCM_045093 transcript:EOY19764 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MKNKAVKIWLADLQDLAYDIDDILDEFATEALGRKLMEEHQASTSKAKKFLSSLNPGSIMFNYKMMSKIKEITGRLDDLATKKINLQLENYVGRPMTIPKSKPSTSLVNEATVRGRDGDKKAIIDLLLRKDGNDAGVSVIPITGMGGIGKTTLAQLVYNDSSIRDYFDLKAWVCVSNEFDVIKITKTILESVTSQSCNKNDLNSLQVELKKNLLGKKFLLVLDDVWNENYDDWTKLRSPFEAGITRCKIIVTTRSSNVSSIMRSVADYTLQILSNDDSLFMLAHHALERGDFIEHPDLKEIGLEIVKKCGGLPLAIKTIGGLLRTKVNHDAWKDILESDIWNLPEEKSDLTPALWLSYYYLPSQLKQCFAYCSLVPKDYEFKEEEIVLLWMAEGFLNGANTKRKIQDLGSKYFEELVSRSFFQASNKYESQFVMHDLINDLAQFIVGEIYFKRERQDDMKSPISRTRHSSYIIGEFDRIEKFETFFEAKSLRTYLPFEMTQHWSCFLSNNVLNDLLPALKCLRVLSLKRYYITEIPYSIGNLKHLRYLDFSYTEIKSLPNSICSLYNLETLLLRCCGNFEKLPIKIGILDNLCHLDMTGANSIKEMPSGIGKLTNLQVLSNFIVGQGDGLNIREMQNLLNLKGQLCISELHNVDEAQHVWEAKLSSKLDLENLELKWSRDFNENLRRKEVEKEVLNSLQPHKDIKELAIKYYGGIEFPDWVEDDSFKNLQVLRLEYCENCTFLPGVGKLPLLKHLYVKGMRSVISVGNEFHGVNEPKVFPSLKTLHFEDMLEWKEWKLYEVDEQGNKFCCLQELFIDNCPKLEITLPDQLHSLEKLVIRKCQELVVLVSNLPMLCQVEIDGCKEVVLGSYDDLWSVKKISLSNISKFACVTKEMKMVESMKVEVLEINSCEELTSLWQTKWGWLAPLRSLRTLEFENCPQVVCIGRGAREEAKEELWQLEIPCNIESVRLRYCEGLERLSKTFHNLTCLTELVIEKCPKLVSLSTDNLPPALRTLCIRYCENLQCLLDDKENINFSSTSLLQSLDIRDCKALKSLSWSGKLPMQLKQLFNFYCPELEYLAREIGDDTSLESINLWSCRNIKYLPQGLDKLSRLQQISLRDCPNLVRLPEALPNLHHLQHLTIEECPRVQNSIGERGFPTNLTSLQIYEPNISKAVMEWGLHRLTSLTLLFINGGSCINAVSFPQKEIGMKLPPSLTLLAIENFKNVRKLSSDGFQNLTSLQSLKIGNCPKLKSIPRKEMLPSLLRLHIWECPVLKKRCKRDEGKQWSNIAHVPEVRIDGRFIYE >EOY18320 pep chromosome:Theobroma_cacao_20110822:10:2967965:3036086:1 gene:TCM_042917 transcript:EOY18320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MDESLRRAAQEGNIVELYASIRRNGDVLRHIDEMEFVDTPLHIAAAQGCIDFAMEIMILKPSLAKKLNQEGFSPIHLAVENGHKELAVHLMQNDKSLVRVKGRKGETPLHYAITKEQNLDLLARFLEACPECIRDMTTTNRTALHIATESNRLEALQLLCRMLWKSDDCGDVVNQKDRNGDTALHMAARNNQSQILKLLLNCKADKFATNQAGSTALAVAYELNNRESINILRG >EOY19136 pep chromosome:Theobroma_cacao_20110822:10:10917856:10920579:1 gene:TCM_043901 transcript:EOY19136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic, putative isoform 1 MHKGISLILLIGLLAWTYQAIQPPPPKICGSPGGPPVTATRIKLRDGRHLAYKEHGLSKEMAKHKIIFVHGFSSCRHDEVIVANLSLELVEELGVYCVSFDRPGYGESDPDPRRTLKSLALDIEELADQLRLGPKFYIIGFSMGGQSVWGCLKYIPHRLAGATLLAPVINYWWPGFPANLSTEAYYQQLPQDQWALRVAHYLPFLVYWWNTQKLFPASAVQARRPEIFSPQDIQLLPKIAYRHNHRAVVSQQGVFESLHRDMRIGFGKWEFDPLDLESPFPNNEGSVHLWMGDEDGFVPVILQRYIAKRLPWIQYHELPGAGHLFPYADGMSEAIIRALLVGQK >EOY19137 pep chromosome:Theobroma_cacao_20110822:10:10918161:10920512:1 gene:TCM_043901 transcript:EOY19137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic, putative isoform 1 MHKGISLILLIGLLAWTYQAIQPPPPKICGSPGGPPVTATRIKLRDGRHLAYKEHGLSKEMAKHKIIFVHGFSSCRHDEVIVANLSLELVEELGVYCVSFDRPGYGESDPDPRRTLKSLALDIEELADQLRLGPKFYIIGFSMGGQSVWGCLKYIPHRLAGATLLAPVINYWWPGFPANLSTEAYYQQLPQDQWALRVAHYLPFLVYWWNTQKLFPASAVQARRPEIFSPQDIQLLPKIAYRHNHRAVVSQQGVFESLHRDMRIGFGKWEFDPLDLESPFPNNEGSVHLWMGDEDGFVPVILQRYIAKRLPWIQYHELPGAGHLFPYADGMSEAIIRALLVGQK >EOY17967 pep chromosome:Theobroma_cacao_20110822:10:1605927:1606664:-1 gene:TCM_042651 transcript:EOY17967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRVGFSNAFEGMQILPPWELYASAVMVKEVNARAHGMPLILAQRFNAFHGNTSSDLMNNRIPWFSVLTFSLMLNIYIYRY >EOY19501 pep chromosome:Theobroma_cacao_20110822:10:18197326:18208261:-1 gene:TCM_044616 transcript:EOY19501 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ domain,Myb-like DNA-binding domain MAVHTSIQLISYSQELVDGQPLYVSSNCLPVKALNYEPAGHAFHCAALKLLGCEEDDIAEVDDQNVSNNKEQVYMPSSDSYSSKGKKKSAADGKQQDHYALLGLSHLRYLATEDQIRRSYREAALRHHPDKLAALLLAEETEAAKQVKKDEIENHFKSIQEAYEILIDPVRRRIYDSTDEFDDEIPTDCGPQDFFKVFGPAFMRNGRWSVNQPIPTLGDDSTPLKDVDNFYNFWYSFKSWREFPHADEYDLEQAESRDHKRWMERQNAKLSEKARREEYARIRALVDNAYKRDPRILRRKEEQKAEKQRKKEAKFRAKQLQEEEAARAAEEERCRKEEEEKRAAEAALQHKKMKEKEKKLLRKERTRLRTLSAPALSQHLLDLSEDDVESLCTSLGIEQLRSLCDKMENKEGLEQAKIIRDARGYSGNLEKKPDEKKSSELNGSVESNGSVLLSSFEKKEKPWTKEEIELLRKGMQKYPKGTSRRWEVISEYIGTGRSVEEILKATKTVLFQKPDAAKAFDSFLEKRKPAQSIASPLSTRDEVEGVSTPSGTESSAVKTVSPEDSGRIANNPVDVASGIGVSSSSEQDVWSAVQERALVQALKTFPKETSQRWERVAAAVPGKTVNQCKKKFASLKENFRNKKNAV >EOY19219 pep chromosome:Theobroma_cacao_20110822:10:14290784:14291852:-1 gene:TCM_044177 transcript:EOY19219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDLPDAKTSLSMHNFCHALSNGLFICTWYKIGYHKSYTNQFTSHQISEWCSPRHSHVTHNGQSIEKQITHRAKSLAEHKSHACQTSRLRIKSRPVPKQLAKNHIIDWSNLPTVGIALSNLLSATSLGVISRWAT >EOY17786 pep chromosome:Theobroma_cacao_20110822:10:863694:864108:-1 gene:TCM_042505 transcript:EOY17786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1313) [Source:Projected from Arabidopsis thaliana (AT2G40080) TAIR;Acc:AT2G40080] MANKPTSNRKLKHHRDQDLEEVEGDPEVWATFDKSFKQVQSVLDRNRALIQQVNQNHQSKIPDNMVKNVALIQEINGNISKVVSLYSDLSSNFSTVFHNRNDDHKNGAGGGRID >EOY19362 pep chromosome:Theobroma_cacao_20110822:10:16847272:16849134:-1 gene:TCM_044451 transcript:EOY19362 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein MLLQIVFPPTLLHTAASVFTLISMAILGLLETGGIHLQYSKFSNTTRINVPSRVGMFLIYAPAFLAGLASFWLFPHGDVRFLFLKSAITIHFFKRILEVLFVHKFSGVMGLESTIVILGTYFTLSSIMIYAQQLTQGLPEPSIDLKYPGIVLFLIGISGNFYHHYLLSKLRAKGSKDYKIPRGGLFELVICPHYLFEILGFLGMSLISQTLYSFSVSLGSAMYLTCRSYVSRRWYLSKFEDFPKQVKALIPYVF >EOY19875 pep chromosome:Theobroma_cacao_20110822:10:23440133:23441687:-1 gene:TCM_045258 transcript:EOY19875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCLEEWACSHCCWVYLLYASHGMKPALILCAGRVLFFLKLMNVPNDSFQGRLMDTYIIEEFSATAFIKSMVDRSHGNATTLILPEPCSDEALEYVADKFWYFMSNKAIFSFPGVLAYRMSLPRSASTARTLVVLCASNARIEEDEALAIVTLLPKITHLFLEGESIEQKNLVTILQGCQQLVYLDVSDCIGFDEDDDEMLELASYITAFKC >EOY17948 pep chromosome:Theobroma_cacao_20110822:10:1554354:1556648:1 gene:TCM_042639 transcript:EOY17948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFVFWNQCLRFLRTKFGNFPSASKRKNFSSSLLSIGHIIPVVQVFLALLWVLFHLTDDQTATSSVNVLSVPKACNSCSPGVSPFGRKEIGRKEIGTLFVIIMLGHWLAIFLHFRGINFGFIPSTFKRKNSLYLPLSITLVPIFLSPLLVLFGLTSDQTATFSGCFLSPFKDCESPFVSLFCTRATKILTFVLWILALQINDTDHRLFQLLLTFCLSRDNFSQLPDDVISKISNRFTSFEDLVALSGVCRSLRFACSDIRCAPRHRFPRLMLCDKENRSTKSFYSVGRNKIYELELPQAHGKRCHGSPYGWIVTMGPDLQAHLLHPLSQAQLSLPKLNTIRSPIGVLVPVDPFRFIRKCILLKTSPSHSQDEFLVMVIFGPKHSLAFAKPGSVGWTTVVGADGFKDILLFKGQIHAICGKGTLLRFRSDDPEVTPRLIAYHPEDVSRVERIYLLESLGELLGVFRFSSSTPFVQRYDTKRFLVYVLNPDDDGNLGTWQRLNHLRDWALFVGEGNSWSVCSANIPNCRSNCIYFTDDNWDQQVGGEEQTLVGHDIGVFDMVSKKIERLELGPHSPCYQSRSVWFTPSLLPYKNYQARGVLPRMNRETWKLWPAKQNMRH >EOY18259 pep chromosome:Theobroma_cacao_20110822:10:2551944:2554536:1 gene:TCM_042844 transcript:EOY18259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGMKNNQKKQRHDPDDNDPSNTARDLDVVDLYPNDFISRLPDDILDQIVSLLPFQSAVRTTFRSTQWKDFWKEALLASVHDVVTMEDAIFLSASLSRQVPIRDAMLDFRQGPSIYDRINNLSFISVFQGTQFDKSPPPCRWAFEVCNDHLGVPYLSGKSVIRLSELTELWWIDCSMDTDNVNSLLVFLKLSPRLERLYMTIDSESYNIRSTNKFTVKLNEIKKHDHLKLLKLERFAKEKDEILLAKELEPLFKMNPQILAKSKGACLRRLVKVPEQSLQVQREEVET >EOY20153 pep chromosome:Theobroma_cacao_20110822:10:25088460:25090660:1 gene:TCM_045541 transcript:EOY20153 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26-2 MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKSIKRFLVRNIVEQAAVRDVQEACVYDMYTLPKLYVKMQYCVSCAIHSHVVRVRSRTDRRKRDPPQRFIRRRDDMPKPGQPGQAPRAGAGNPARP >EOY17998 pep chromosome:Theobroma_cacao_20110822:10:1719524:1720126:1 gene:TCM_042674 transcript:EOY17998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEAIVSLAIERISDLLIHVAVFLHGVREEVEDLKAELQRMKSSLIDADRKQDQDELTCTLVSQIRILLMKPKMLLTTSFFKSHMKEAFMDSSRDSPSLFVCTKSGSRSKQSRLSLKAFLRIFRLIIGYPERRGLALFSRCSSG >EOY19297 pep chromosome:Theobroma_cacao_20110822:10:16149738:16151759:-1 gene:TCM_044351 transcript:EOY19297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Blue-copper-binding protein, putative MAMQSDFSTLFFILASAVAFRRCFCSERYVVGGAVWSIPSYPKFYNDWSSSIIFFIGDSLLFDFELGFYNVIQVPRIDYKDCIANNPIKVLNTGPAIVLLTDPGVLYFICNISNYCDLGQKVSITVQKQYTNLSPTPSPSPSPSSMPSAPPSPRFQPPETAPVTFGYSNSSEAPILGLTIASPGPNHTSNDVFNLHHCQMWFFRVGFGHCACLDLHGFLAKVTSLAKSLAVGM >EOY18399 pep chromosome:Theobroma_cacao_20110822:10:3327214:3341448:1 gene:TCM_042994 transcript:EOY18399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein MKNKQRFNLQNVHSQVTCPYLPLPLSTNPLPTSLFPNNYTATTFPPLHYKTHRFIPISSPEKKKKEKGLFNCRNMSTSPCVAGIQVENVTFPPNVKPPGSTKTLFLGGAGERGLEIQGKFVKFTAIGVYLEDIAVESLAVKWKGKSAEVLTESVEFFRDIVTGAFEKFIRVTTILPLTGQQYSEKVSENCVAIWKSLGLYTDAEAKAIEKFLEVFKDENFPPGSSILFTLSAHGSLTISFSKDSSVPEVGTAVIENKLLANAVLESIVGKDGVSPVARKSMASRLSALFDDTVEKAAQNGKPECQ >EOY18043 pep chromosome:Theobroma_cacao_20110822:10:1873197:1877168:1 gene:TCM_042705 transcript:EOY18043 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor isoform 1 MDRSLKGLYGSVDRFRLNDDTVLAFSGRNFDDGFQKETYVDIPPLQPAPMPRNLVPSSSVNEEGDSHEDYDFSDVVLKYINQMLMEEDMEDKTCMFKESSAALQAAEKSFYEVLGQRYPHSPKYELKPFTDQNQESFDDSHDQSCWRCSSASISSSSSNLVDLGCSHDLGEQRSSSFASQANSQSSHSSGNSTGSVLDGFVDSPVSTLRLPEIFSDSESAMQFRKGFEEASRFLPNGQSLFVDVESDGLFLKEVKEEAKGVVDKAEKNEFSQNGSRGKKNPYPEDVNLESGRSNKQSAVYTGSTVSSEMFDKVLLNCQSVTDLRKALQDETSKNVQQSGQLKGSTGGKARGKKQGSKRNVVDLRTLLTLCAQAVASDDRRSANELLKQIRQHSSPMGDGMQRMAHYFVDGLEARLAGSGTQIYTALITKPTSAADVLKAYHLFLAACPFRKLSNFFSNKTIMNLAENAPRLHIIDFGILYGFQWPCLIRRLSSRPGGPPKLRITGIDLPQPGFRPAERVEETGLRLANYAETFKVPFEFHAIAQKWDTIQIEDLRIDSDEVLVVNCMYRLRNLLDETVVVESPRNKVLNLIRKMNPDVFILGIVNGACNAPFFITRFREALFHYSTLFDMLETNVPREIPERMLIEREIFGWEAMNVIACEGAERIERLETYKQWQVRISRAGLRQLPLNEEIMKTAKERVDTSYHKDFVIDEDNRWLLQGWKGRIVYALSSWVPAS >EOY18044 pep chromosome:Theobroma_cacao_20110822:10:1873197:1877333:1 gene:TCM_042705 transcript:EOY18044 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor isoform 1 MDRSLKGLYGSVDRFRLNDDTVLAFSGRNFDDGFQKETYVDIPPLQPAPMPRNLVPSSSVNEEGDSHEDYDFSDVVLKYINQMLMEEDMEDKTCMFKESSAALQAAEKSFYEVLGQRYPHSPKYELKPFTDQNQESFDDSHDQSCWRCSSASISSSSSNLVDLGCSHDLGEQRSSSFASQANSQSSHSSGNSTGSVLDGFVDSPVSTLRLPEIFSDSESAMQFRKGFEEASRFLPNGQSLFVDVESDGLFLKEVKEEAKGVVDKAEKNEFSQNGSRGKKNPYPEDVNLESGRSNKQSAVYTGSTVSSEMFDKVLLNCQSVTDLRKALQDETSKNVQQSGQLKGSTGGKARGKKQGSKRNVVDLRTLLTLCAQAVASDDRRSANELLKQIRQHSSPMGDGMQRMAHYFVDGLEARLAGSGTQIYTALITKPTSAADVLKAYHLFLAACPFRKLSNFFSNKTIMNLAENAPRLHIIDFGILYGFQWPCLIRRLSSRPGGPPKLRITGIDLPQPGFRPAERVEETGLRLANYAETFKVPFEFHAIAQKWDTIQIEDLRIDSDEVLVVNCMYRLRNLLDETVVVESPRNKVLNLIRKMNPDVFILGIVNGACNAPFFITRFREALFHYSTLFDMLETNVPREIPERMLIEREIFGWEAMNVIACEGAERIERLETYKQWQVRISRAGLRQLCK >EOY18045 pep chromosome:Theobroma_cacao_20110822:10:1873197:1877168:1 gene:TCM_042705 transcript:EOY18045 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor isoform 1 MDRSLKGLYGSVDRFRLNDDTVLAFSGRNFDDGFQKETYVDIPPLQPAPMPRNLVPSSSVNEEGDSHEDYDFSDVVLKYINQMLMEEDMEDKTCMFKESSAALQAAEKSFYEVLGQRYPHSPKYELKPFTDQNQESFDDSHDQSCWRCSSASISSSSSNLVDLGCSHDLGEQRSSSFASQANSQSSHSSGNSTGSVLDGFVDSPVSTLRLPEIFSDSESAMQFRKGFEEASRFLPNGQSLFVDVESDGLFLKEVKEEAKGVVDKAEKNEFSQNGSRGKKNPYPEDVNLESGRSNKQSAVYTGSTVSSEMFDKVLLNCQSVTDLRKALQDETSKNVQQSGQLKGSTGGKARGKKQGSKRNVVDLRTLLTLCAQAVASDDRRSANELLKQIRQHSSPMGDGMQRMAHYFVDGLEARLAGSGTQIYTALITKPTSAADVLKAYHLFLAACPFRKLSNFFSNKTIMNLAENAPRLHIIDFGILYGFQWPCLIRRLSSRPGGPPKLRITGIDLPQPGFRPAERVEETGLRLANYAETFKVPFEFHAIAQKWDTIQIEDLRIDSDEVLVVNCMYRLRNLLDETVVVESPRNKVLNLIRKMNPDVFILGIVNGACNAPFFITRFREALFHYSTLFDMLETNVPREIPERMLIEREIFGWEAMNVIACEGAERIERLETYKQWQVRISRAGLRQLPLNEEIMKTAKERVDTSYHKDFVIDEDNRWLLQGWKGRIVYALSSWVPAS >EOY19682 pep chromosome:Theobroma_cacao_20110822:10:19915880:19918502:1 gene:TCM_044860 transcript:EOY19682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTGHEMLFAQLDKRKGRTISFGDDSKGRIHGIGTVGKNSQTQISHVLLVKGLKHNFLSISQLCDKGLRVCFDSTKCEVIDMSTNKISFIGNRLKNMHVIFLEVLKVNSEVCLIANAENDSWLWHRRLGHVSMNTMSKLIKKNLITGLLELKFENDRICDACQLGKQVRTSFKSKKIVSTSRPLELLHIDLFGPISTTSLGGKSYGFVIVDDYSRYTWVYFLAHKNAALQAFLSHYKKVENEKGLAIVSIKSDHGGEFENDEFEKFCNEKGLDHNCSAPRTPQQNGVVERKNQTLKEMARTMLCENNLLKYLWAEVVNTTTYILNRVLIRLLISKTPYELYKGRKPNISHLKSFCCKCFVLNNGKQPLGKFDAKSDEAIFLGYALKSKAYRGFNKRTLTVEESIHVVFDESNALQKKVHDDDDDVEVLEKQMKEMSLENNKNNEESSPKREDETSPLENLQRESLIMAMQEELDQFTRSHVWSLVPRPSNHPIVGTKWVFRNKVDD >EOY18016 pep chromosome:Theobroma_cacao_20110822:10:1805936:1810329:1 gene:TCM_042689 transcript:EOY18016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-beta-lactamase family protein isoform 1 MAMANKAINLASVGSVFPSSVDKLKKSSSSTSVHKKLRVSRFPSVKAVQSPVESGTGRWARQRRPQNVDGDFFVDHTCIDCDTCRWMAPQVFKRVDGMSAVFKQPTCKEDRLNALQALLSCPTSSIRTEVPPSDILEAQKTFPIPIDEKKLPGVYHCGYHSEKSYGAASYLIIHPEGNILVDSPKFTERLAQKIEMLGGVRYMFLTHKDDVADHGKWSKRLSCDRILHSQDVEVCTTDVEIKLEGTGPWSLGEDIMLIHTPGHTEGSVCLLYKSVKVLFTGDHLLMRESGLDIMEIYNKCSVPAQLNSVEKLLELEFNWIIPGHGRRIEFKDVQEKNTILEAFVQEKYTQHSSSMNVIRSLHV >EOY18017 pep chromosome:Theobroma_cacao_20110822:10:1805953:1810550:1 gene:TCM_042689 transcript:EOY18017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-beta-lactamase family protein isoform 1 MAMANKAINLASVGSVFPSSVDKLKKSSSSTSVHKKLRVSRFPSVKAVQSPVESGTGRWARQRRPQNVDGDFFVDHTCIDCDTCRWMAPQVFKRVDGMSAVFKQPTCKEDRLNALQALLSCPTSSIRTEVPPSDILEAQKTFPIPIDEKKLPVIFIIPNYFILQHHLTDCSVIMCTWNSKPTLSLWLCDIIYFQGVYHCGYHSEKSYGAASYLIIHPEGNILVDSPKFTERLAQKIEMLGGVRYMFLTHKDDVADHGKWSKRLSCDRILHSQDVEVCTTDVEIKLEGTGPWSLGEDIMLIHTPGHTEGSVCLLYKSVKVLFTGDHLLMRESGLDIMEIYNKCSVPAQLNSVEKLLELEFNWIIPGHGRRIEFKDVQEKNTILEAFVQEKYTQHSSSMNVIRSLHV >EOY17883 pep chromosome:Theobroma_cacao_20110822:10:1320697:1321380:-1 gene:TCM_042589 transcript:EOY17883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEQSVEGCRPLWLVLFEEKLLLEGVRSRTKICRPLFSLGTVPDELGRLKNVRILHNQFTSFSELFCMMSWEDWKLSQAI >EOY19720 pep chromosome:Theobroma_cacao_20110822:10:21820552:21824196:1 gene:TCM_045026 transcript:EOY19720 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR family of Fe/S cluster biogenesis protein MWLAPPLSPAKAASRSKDRFTETSDNVLGFWSLPIDSSCTTHMAFTLRSVKVPSNSVSLEEAKGRVFDFFRSACRSIPAIMDIYNLDDVVTASQLRSTVASEIRKNSHVTNPKVIDMLLFKGMEELNNIVEHAKQRHHIIGQYVVGRQGLVQDLNTKDEGMSDFLKNFYKSNYF >EOY18048 pep chromosome:Theobroma_cacao_20110822:10:1880870:1885147:-1 gene:TCM_042707 transcript:EOY18048 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIZZY-related 3 isoform 1 MDSPQRRKSGLNLPAGMNETSLRLETFSGSSSSFRTVSISSPRMISSLTSPSSSKSSTCSDRFIPCRSSSRLHTFGLIDKESPAKEGGNETYSRILRSELFGSDFGSFSPAGQGSPMSPNKNMLRFKTEHSGPNSPYSPSILGQDSGFSNEASTPPKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNVLAVGLGTCVYLWTASNSKVTKLCDLGPNDSVCGVQWTREGSYISIGTNLGQVQVWDGTQCKRVRTMGGHQTRTGVLAWNSRILSSGSRDRNILQHDLRVSSDYVSKLVGHKSEVCGLKWSHDDRELASGGNDNQLLVWNQHSQQPILKLTEHTAAVKAIAWSPHQSNLLASGGGTADRCIRFWNTTNGHQLNSIDTGSQVCNLSWSKNVNELVSTHGYSQNQIMVWKYPSMAKVATLTGHSLRVLYLAMSPDGQTIVTGAGDETLRFWNVFPSVKTPTPVKDTGLWSLGRTYIR >EOY18049 pep chromosome:Theobroma_cacao_20110822:10:1880880:1884144:-1 gene:TCM_042707 transcript:EOY18049 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIZZY-related 3 isoform 1 MDSPQRRKSGLNLPAGMNETSLRLETFSGSSSSFRTVSISSPRMISSLTSPSSSKSSTCSDRFIPCRSSSRLHTFGLIDKESPAKEGGNETYSRILRSELFGSDFGSFSPAGQGSPMSPNKNMLRFKTEHSGPNSPYSPSILGQDSGFSNEASTPPKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNVLAVGLGTCVYLWTASNSKVTKLCDLGPNDSVCGVQWTREGSYISIGTNLGQVQVWDGTQCKRVRTMGGHQTRTGVLAWNSRILSSGSRDRNILQHDLRVSSDYVSKLVGHKSEVCGLKWSHDDRELASGGNDNQHSQQPILKLTEHTAAVKAIAWSPHQSNLLASGGGTADRCIRFWNTTNGHQLNSIDTGSQVCNLSWSKNVNELVSTHGYSQNQIMVWKYPSMAKVATLTGHSLRVLYLAMSPDGQTIVTGAGDETLRFWNVFPSVKTPTPVKDTGLWSLGRTYIR >EOY19278 pep chromosome:Theobroma_cacao_20110822:10:15757950:15762870:-1 gene:TCM_044312 transcript:EOY19278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase MALCRPLLLYAPLHRFPVSNPTFFFPEYPCYSCKFTNRRNPQTPFCRTCCSSSSMEAPPQGYRRNVGICLINSSKKIFSASRLDIPNAWQMPQGGIDDNEDPKVAALRELKEETGVSSAEVLAEAPYWLTYDFPPEVREKLKHQWGSDWKGQAQKWFLLKFTGKEEEINLLGDGTEKPEFGEWSWMTPEQIVELAVDFKKPVYKKVLEVFAPYLQ >EOY17944 pep chromosome:Theobroma_cacao_20110822:10:1539750:1546800:-1 gene:TCM_042636 transcript:EOY17944 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MKQLGIWMHWLWSPGTEGYGCTGFGCLEEIDGSVISVLLVNCFLLDPVSNKLMENHEVIQMENMKGRGVMLFPLPFQGHLNPMFQLANTLHAKGFSITIIHTQFNSPNPSNYPHFRFCSIADGLSENQVVSSEIDDLIALVKILNSNCVTPFRDCLAKLLSSNVEDPIVCLVTDALWHFTQPVADGLKLPRIVLRTSNVFSFLVHKSLPSLHEKGYLSVQDSQAENHVPEFSPLKFKDIHRVETSHLESLLQFSSIIIQEVKASSGLIFNSNEDLEQEALTKCSLDFPIPTFAIGPFHKYFPASSCSSLLPQDQSCISWLDKQAPNSVIYVSFGSLAAMNEADFLEVAWGLANSKHPFLWVVRPGLVHGSEWLELLPNGFLEMLGGRGNIVKWAPQQEVLAHPSTGGFWTHCGWNSSLESLCEGVPMICQPSFGDQKVNARYISHVWKVGVHLEFKIERGEIEKAIKRLMVDAEGQEMRDRVKLLKEKMNLCLNPGGSSYKSLDNLVTYMLSL >EOY19318 pep chromosome:Theobroma_cacao_20110822:10:16379450:16384138:-1 gene:TCM_044386 transcript:EOY19318 gene_biotype:protein_coding transcript_biotype:protein_coding description:FASCICLIN-like arabinogalactan protein 16 precursor isoform 1 MALLLFFNFLLLLSGLRFSLALQETPSTTTHSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEETVGKHNITIFAPKNEALERDLDPEFKRFLLEPGNLKSLQTLLLYHIVPSRIEPHSWPKSTSDSTRHRTLSNDRVQLSSEDSSGAKFIGTAKVINPNAVDRPDGVIHGIEQLLIPRSVQQDFNNRRSLRSISAVKPEGAPEVDPRTHRLKKPAPPVLPGAPPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFNGMRQVKDFIQTLLQYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHVIPEYQTEESMYNAVRRFGKVSYDTLRLPHKVLAQEADGSVKFGHADGSAYLFDPDIYTDGRISVQGIDGVLFPPEEKTKDEKKTIKVATAKPRRGKLLEVACRMLVAIGQDSRFSTCHL >EOY19319 pep chromosome:Theobroma_cacao_20110822:10:16379542:16384284:-1 gene:TCM_044386 transcript:EOY19319 gene_biotype:protein_coding transcript_biotype:protein_coding description:FASCICLIN-like arabinogalactan protein 16 precursor isoform 1 MALLLFFNFLLLLSGLRFSLALQETPSTTTHSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEETVGKHNITIFAPKNEALERDLDPEFKRFLLEPGNLKSLQTLLLYHIVPSRIEPHSWPKSTSDSTRHRTLSNDRVQLSSEDSSGAKFIGTAKVINPNAVDRPDGVIHGIEQLLIPRSVQQDFNNRRSLRSISAVKPEGAPEVDPRTHRLKKPAPPVLPGAPPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFNGMRQVKDFIQTLLQYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHVIPEYQTEESMYNAVRRFGKVSYDTLRLPHKVLAQEADGSVKFGHADGSAYLFDPDIYTDGRISVQGIDGVLFPPEEKTKDEKKTIKVATAKPRRGKLLEVACRMLVAIGQDSRFSTCHL >EOY19367 pep chromosome:Theobroma_cacao_20110822:10:16978489:16982868:1 gene:TCM_044462 transcript:EOY19367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYILDKKYPYISPMYQYIEGKKNAKEPGRIMVSRDGGFLPPVIPSYYSWIYVLLVRIVVHPTCDSCLLCINVMFRLQGW >EOY17550 pep chromosome:Theobroma_cacao_20110822:10:173522:174291:1 gene:TCM_042359 transcript:EOY17550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTQADITSLVDLYNLFTTDKMHRKVHQRQKCLLLVAPFPSLTMPACQGSSTIMIMLIPSC >EOY20012 pep chromosome:Theobroma_cacao_20110822:10:24362272:24363477:-1 gene:TCM_045412 transcript:EOY20012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVGKQVRSGQLVVLISVASTMLPALVHDLKRLSKEDCWSLFSKHAFGNRDPNEDSTLKAIGGKIVEKCKGLPLAIQTLGSLLHSQIEAEEWDNVLNSRIWDLPDHKSDVLPALRLSYHYLPSQLKRCFAYCSIFPKGHKFEKRDLVRMWIAKSLLQQPKSKRRKKEVGEQYFNELLSRSPNDGKTHFNSQVFNKLFPVPNCLRVLSLSSYNIIEFLDSVANLKQLHYLDLSGADIGCLPERVGYLHNLETLKSSGCHRLKCLPADLRNLTKLEHLDIKGTLIRELLDSIGNLKQLGYLDLSGTQ >EOY18977 pep chromosome:Theobroma_cacao_20110822:10:7180882:7183120:1 gene:TCM_043527 transcript:EOY18977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVLISFSFLSLHILINIYGGGSLLLLVAFSKWFMLVNLLITFVLGSALAWLFIKMTKTPKHLQAEQLGIKCYEMPLPIYDGPYTSMITR >EOY20162 pep chromosome:Theobroma_cacao_20110822:10:25121314:25121851:1 gene:TCM_045547 transcript:EOY20162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQKLSVCAMAKREQMIKPNFLLLAVLVLFMMFQCKVASSSRFSWSCDPACQMELTRTRKLLDMQQEYSGDVPSPADYDYNDFYRRQGDVPSPGVGH >EOY18365 pep chromosome:Theobroma_cacao_20110822:10:3217780:3218800:1 gene:TCM_042970 transcript:EOY18365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein 1589 of Uncharacterized protein function MGDSSSSYIHMVQHLIEKCLIFKMTKEECMEALSKHASIEPVITSTVWNELEKENKEFFEAYAQSQSKQDRMSEEETSQMIQKMISDSPKDHDD >EOY18034 pep chromosome:Theobroma_cacao_20110822:10:1843673:1847577:1 gene:TCM_042701 transcript:EOY18034 gene_biotype:protein_coding transcript_biotype:protein_coding description:XH/XS domain-containing protein, putative MERHYFEEELKKERRLVRNLIHEIDYKNQQLSEIEHKYDETTATLRGLVDGLIAKIDSKDSKLLDWELKYNTTVRRLMDENTKLRDEFVKELKKVKSENIKLKCKLGQRTKELEECKSQSDLERRTLINEMEVLKENLPCQNLVEVDKTSSAQVAALRKELEEKSEALQDLESRYNCLTVKQILTNQELQDARKESINGLKDMLNSRTTLGVKRMGEINQKAFEVACSLKFPNEDWQEISAKLCSSWEQNVQDPKWHPFKRIPFRGNLQEIVDEDDEKLKELRNEYGEAAFEAVTTALMEMNEYNASGRYAVPEIWNLKEGRRASMKEIIQYIIKQLKTHKRKRKLT >EOY18498 pep chromosome:Theobroma_cacao_20110822:10:3609459:3618596:1 gene:TCM_043046 transcript:EOY18498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein isoform 3 MPPKQQAKSKADLAKKQKIVEDKTFGLKNKNKSKNVQKYVQSLQQTVQAKPDPSKVAAKKKKEEEKAREKELNDLFKIAVTQPKVPVGVDPKSIVCEFFKVGQCMKGFKCKFSHDLNVQRKGEKIDIYSDKRDQETMEDWDQETLEKVVESKKTEYNQNKPTEIVCKYFLEAVEKKQYGWFWECPNGGKDCHYRHALPPGYVLKSQMKTLLEEESEKISIEEEIENQRSKLTASTPMTPELFMLWKTKKMEEREASLAAQRAERAKNDRMSGRELFLSDASLFVDDAEAYEEYQREEPEVAEQKVKDDSTAAGPSNATSAVADSDDILLDDEDDELDMDELNELEASLSRTSIHIREPGIPASY >EOY18500 pep chromosome:Theobroma_cacao_20110822:10:3609619:3612486:1 gene:TCM_043046 transcript:EOY18500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein isoform 3 MPPKQQAKSKADLAKKQKIVEDKTFGLKNKNKSKNVQKYVQSLQQTVQAKPDPSKVAAKKKKEEEKAREKELNDLFKIAVTQPKVPVGVDPKSIVCEFFKVGQCMKGFKCKFSHDLNVQRKGEKIDIYSDKRDQETMEDWDQETLEKVVESKKTEYNQNKPTEIVCKYFLEAVEKKQYGWFWECPNGGKDCHYRHALPPGYVLKSQMKTLLEEESEKISIEEEIENQRSKLTASTPMTPELFMLWKTKKMEEREASLAAQRAERAKNDRMRYYLPAGCSVLKILHWNVIIYLFIYFSGRELFLSDASLFVDDAEAYEEYQREEPEVAEQKVILLLLIFSIIDNSLAVGNLFFW >EOY18499 pep chromosome:Theobroma_cacao_20110822:10:3609790:3613747:1 gene:TCM_043046 transcript:EOY18499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein isoform 3 MKGFKCKFSHDLNVQRKGEKIDIYSDKRDQETMEDWDQETLEKVVESKKTEYNQNKPTEIVCKYFLEAVEKKQYGWFWECPNGGKDCHYRHALPPGYVLKSQMKTLLEEESEKISIEEEIENQRSKLTASTPMTPELFMLWKTKKMEEREASLAAQRAERAKNDRMSGRELFLSDASLFVDDAEAYEEYQREEPEVAEQKVKDDSTAAGPSNATSAVADSDDILLDDEDDELDMDELNELEASLSRTSIHIREPGIPASY >EOY19288 pep chromosome:Theobroma_cacao_20110822:10:16008252:16008937:1 gene:TCM_044338 transcript:EOY19288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFSFMPCIFVKSICWCGVCWLLFCEMMLLCCCQVCFLWGSSSVCLFFLALLFRCLLAASAIQICLFSLEPSLSSGGLSTCSLFSLNRHD >EOY19474 pep chromosome:Theobroma_cacao_20110822:10:18032215:18042323:-1 gene:TCM_044595 transcript:EOY19474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 (Hsp 70) family protein isoform 2 MKVAVVNLKPGQSPITIAINEMSKRKSPALVAFQSEARLLAEEAAGIVARYPDKVFSNLRDMIGKPYQDVKRFADSMYLPFDIMEDSRGAARIRVSDDVSYSVEELLGMLLKYAANLAEFHSKVTVKDAVISVPPYFGQAERKGLLAAAELAGINVVSLINEHSGAALQYGIDKNFSNESRHVIFYDMGSSSTYAALVYYSAYNAKEFGKTVSVNQFQVKDVRWDSELGGQNMELRLVEYFADEFNKQVGNGIDVRKYPKAMAKLKKQVKRTKEILSANTVAPISVESLYDDRDFRSTITREKFEELCGDLWDKSLLPVKELLKHSGLQTDDIYAVELIGGATRVPKLQVKLQEYFGRKDLDKHLDADEAIVLGAALLAANLSDGIKLNRKLGMVDGSSYSFIVELDGPDLSKYGATRLLLVPRMKKLPSKIFKSLNHSKDFEVSLAYDHEDLLPPGLSSPIFAQYAVSGLTDAAEKYSSRNLSSPIKTNLHFSLSRSGILSLDQAEAVIQISEWIEVAKRNLTVENTTSASLNVSVDVGTKNTSEQSNNGLDSDGGISNASNSSEPNTMDLGTERKLKKRTYKIPLKVQ >EOY19473 pep chromosome:Theobroma_cacao_20110822:10:18031093:18042452:-1 gene:TCM_044595 transcript:EOY19473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 (Hsp 70) family protein isoform 2 MRNMLFRVGIFLSLLSLFLIKSESAVSSIDLGSEWMKVAVVNLKPGQSPITIAINEMSKRKSPALVAFQSEARLLAEEAAGIVARYPDKVFSNLRDMIGKPYQDVKRFADSMYLPFDIMEDSRGAARIRVSDDVSYSVEELLGMLLKYAANLAEFHSKVTVKDAVISVPPYFGQAERKGLLAAAELAGINVVSLINEHSGAALQYGIDKNFSNESRHVIFYDMGSSSTYAALVYYSAYNAKEFGKTVSVNQFQVKDVRWDSELGGQNMELRLVEYFADEFNKQVGNGIDVRKYPKAMAKLKKQVKRTKEILSANTVAPISVESLYDDRDFRSTITREKFEELCGDLWDKSLLPVKELLKHSGLQTDDIYAVELIGGATRVPKLQVKLQEYFGRKDLDKHLDADEAIVLGAALLAANLSDGIKLNRKLGMVDGSSYSFIVELDGPDLSKYGATRLLLVPRMKKLPSKIFKSLNHSKDFEVSLAYDHEDLLPPGLSSPIFAQYAVSGLTDAAEKYSSRNLSSPIKTNLHFSLSRSGILSLDQAEAVIQISEWIEVAKRNLTVENTTSASLNVSVDVGTKNTSEQSNNGLDSDGGISNASNSSEPNTMDLGTERKLKKRTYKIPLKIVEKTMGPGMSLSKESFSDAKRKLEALDKKDAERRRTAELKNNLEEYIYATKEKLETSEDVEKISSIDERQSVIKKLDEVQEWLYTDGEDATATEFQEHLNLLKATADPIFFRLKELTALPEAVEVARLYVTELQQTIRGWETDKPWLPKDRVDELSVNMDNFKTWLDGKEAERNKTSGFSAPVFTSEEVYEKLFSLQDKAASIKRIPKPKPKVEKPIKNETETNSENANTSDSTPEKDTSQNDKPAGDSDSSTNEEVNVESEPHDEL >EOY19331 pep chromosome:Theobroma_cacao_20110822:10:16479242:16484545:-1 gene:TCM_044402 transcript:EOY19331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex II family protein isoform 4 MDSKLESGSSTANIYIPEEWSEAADSIAYDSVTSPPPIAFICGAKNSGKTTFSRLLLNILLQRYQKVAYLDADVGQPEFTAPGFLSLTVVDKLTADLAIPCLKTPERCFFFGDISSKRDPSAYLKYAFTLYDYYRKEYCTFDESELAGRTELPLVVNTPGWVKGIGYEILVDMLKYISPTHVVKINISVGSKNLPGGAFWLDGDSDGMVNIIEIKSACQDSLNRSVLVQKDARLLRDLRIMAYFRQIFPNDKPITTIKELAYALTSHFPYEVPISSIKIRHLHCQVPSTEIFYSLNATIVGLAVSSEESESLPWCVGLEHSGEGQSFAAGVYSNSYLLVAGQGMQITLCIFKCSAYKLTG >EOY19332 pep chromosome:Theobroma_cacao_20110822:10:16480696:16484429:-1 gene:TCM_044402 transcript:EOY19332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex II family protein isoform 4 MDSKLESGSSTANIYIPEEWSEAADSIAYDSVTSPPPIAFICGAKNSGKTTFSRLLLNILLQRYQKVAYLDADVGQPEFTAPGFLSLTVVDKLTADLAIPCLKTPERCFFFGDISSKRDPSAYLKYAFTLYDYYRKEYCTFDESELAGRTELPLVVNTPGWVKGIGYEILVDMLKYISPTHVVKINISVGSKNLPGGAFWLDGDSDGMVNIIEIKSACQDSLNRSVLVQKDARLLRDLRIMAYFRQIFPNDKPITTIKELAYALTSHFPYEVPISSIKIRHLHCQVPSTEIFYSLNATIVGLAVSSEESESLPWCVGLGRFGLHCLS >EOY19330 pep chromosome:Theobroma_cacao_20110822:10:16479452:16484545:-1 gene:TCM_044402 transcript:EOY19330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex II family protein isoform 4 MDSKLESGSSTANIYIPEEWSEAADSIAYDSVTSPPPIAFICGAKNSGKTTFSRLLLNILLQRYQKVAYLDADVGQPEFTAPGFLSLTVVDKLTADLAIPCLKTPERCFFFGDISSKRDPSAYLKYAFTLYDYYRKEYCTFDESELAGRTELPLVVNTPGWVKGIGYEILVDMLKYISPTHVVKINISVGSKNLPGGAFWLDGDSDGMVNIIEIKSACQDSLNRSVLVQKDARLLRDLRIMAYFRQIFPNDKPITTIKELAYALTSHFPYEVPISSIKIRHLHCQVPSTEIFYSLNATIVGLAVSSEESESLPWCVGLGIVRGIDMFKGLLYMITPVPQSTLEKVNLLLQGYIQIPTCLLQVKGCRSPYVSSNVLPTS >EOY19333 pep chromosome:Theobroma_cacao_20110822:10:16480420:16484429:-1 gene:TCM_044402 transcript:EOY19333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex II family protein isoform 4 MDSKLESGSSTANIYIPEEWSEAADSIAYDSVTSPPPIAFICGAKNSGKTTFSRLLLNILLQRYQKVAYLDADVGQPEFTAPGFLSLTVVDKLTADLAIPCLKTPERCFFFGDISSKRDPSAYLKYAFTLYDYYRKEYCTFDESELAGRTELPLVVNTPGWVKGIGYEILVDMLKYISPTHVVKINISVGSKNLPGGAFWLDGDSDGMVNIIEIKSACQDSLNRSVLVQKDARLLRDLRIMAYFRQIFPNDKPITTIKELAYALTSHFPYEVPISSIKIRHLHCQEL >EOY18615 pep chromosome:Theobroma_cacao_20110822:10:4026016:4029564:1 gene:TCM_043118 transcript:EOY18615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMRYGCLVVLEVMLISYATTFMLGKPMVVTCPSVSTLKIKSYQYFKRDTSIYCLSAISITTLCQSKKSQLPLEVCRRLFTFVGVTLVQLNKSYIDICKSTLIPLVEILEFSSMCKVLNDQGLFNLGQSRIDKSKRVTLQVDEAGISFAL >EOY17803 pep chromosome:Theobroma_cacao_20110822:10:926716:932068:-1 gene:TCM_042520 transcript:EOY17803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein MGNPSQFLSGYFKVFTKAAGNRKSEKSKGKHARIQYPVALPEEICHQFSLPEIRAATNNFHPDLVIGEGAFGNVYKGIVDDRTMVAVKRLRRDEVEGVKEFQTEVQLLCQLRHQHLVSLIGYCNDKDEKIVVCELMKNGSLRDHLHGCGYDPLPWKQRLEICIGAARGLHYLHTGAKHAVIHRDVRSNNILLDDKWVSKVSDFGLSKMRPQPSYNTSKALEKIESKVKGTFGYLDPEYFRNSEISEKCDVYSFGVVLFEVLCARPAIDPSQNRCEVNLSEWIHHCIGEGTIYNIIDPYLKGKIAPECFKIFVDIAHCCINEEGDKRPEIGEVELMLELALEMQEKADSIKVATNNFHPNMLIGRGSSGNVYKGFIDDGNLVAVKRLNPDAAQALNKFQTELQLLCQLRHQHLVSLFGICNDKDEMILVSELVKNGTLRDHLYASEYDPLPWKQRLKICIDAARGLHYLHTRVKPAIIHSDVKSSNILLDYKWFGKLSDFGLSKMCSQLSYSSTSKALEKVNSVVKGSNGCLDPEYLKDGGLPKECDVYSFGVVLFEVLCARKVFDPTLHEYEQHLADWVHRCTDRGTIYNIIDPYLKGKIAPGCFQRFMDLAYYCTYLEGNTWPEMDEVVLMLELALEMQENADSEMKNLDPNGDCMYGEISYLHPCSWS >EOY18606 pep chromosome:Theobroma_cacao_20110822:10:3971370:3981851:-1 gene:TCM_043109 transcript:EOY18606 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box domain-containing protein, putative isoform 1 MEHRQLTSAVPLFKIDQNHNQNSITAEQPYMHRGRAIAPENGSFVYPMENVPRSTPYSAIPQYIASRPLEHYSSNLRLGDSQVHAPHSCPSYDSFPHFPGVGSLYSTPVNDTSHSYSIHHDSFAVCEVGDGLLDCGMNIGRGLFKRKSRISLSCERGGTSRYYSAGSSSNSSEFHPDKQATDYPNYPSGTVGLSHYRGGNLSNGNENPPRNVRSRPRNDLEHNQRQIHPSSYSSHHYWPTAHQSSDSGRVNLTSLCAGATNYNQNNIGISYPTQGGFAISGNTGLRYETNQNFVGESTAGIGGHSHDSVSGRDPVSSAQYFPILHDQAAMGIHSNYSQSAVPSYGVDLRSSQWPQGVGLRSSWWPQETAPTENSLPSLTETYPSRYSRTFSARSWRNRRDTRSRIAAERFQSFQNAENAHDRMGSEAQEDRSFLYGSRNSFDQYRDMRLDVDNMSYEELLALGERIGNVNTGLSEDVMSNCLKETIYSSYKNQHEATCAICLEEYKNGDGIGMMRCGHDYHLLCIKRWLAVKNACPICKAPALADGSKEE >EOY18607 pep chromosome:Theobroma_cacao_20110822:10:3972261:3982846:-1 gene:TCM_043109 transcript:EOY18607 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box domain-containing protein, putative isoform 1 MEHRQLTSAVPLFKIDQNHNQNSITAEQPYMHRGRAIAPENGSFVYPMENVPRSTPYSAIPQYIASRPLEHYSSNLRLGDSQVHAPHSCPSYDSFPHFPGVGSLYSTPVNDTSHSYSIHHDSFAVCEVGDGLLDCGMNIGRGLFKRKSRISLSCERGGTSRYYSAGSSSNSSEFHPDKQATDYPNYPSGTVGLSHYRGGNLSNGNENPPRNVRSRPRNDLEHNQRQIHPSSYSSHHYWPTAHQSSDSGRVNLTSLCAGATNYNQNNIGISYPTQGGFAISGNTGLRYETNQNFVGESTAGIGGHSHDSVSGRDPVSSAQYFPILHDQAAMGIHSNYSQSAVPSYGVDLRSSQWPQGVGLRSSWWPQETAPTENSLPSLTETYPSRYSRTFSARSWRNRRDTRSRIAAERFQSFQNAENAHDRMGSEAQEDRSFLYGSRNSFDQYRDMRLDVDNMSYEELLALGERIGNVNTGLSEDVMSNCLKETIYSSYKNQHEATCAICLEEYKNGDGIGMMRCGHDYHLLCIKRWLAVKNACPICKAPALADGSKEE >EOY18608 pep chromosome:Theobroma_cacao_20110822:10:3972261:3982846:-1 gene:TCM_043109 transcript:EOY18608 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box domain-containing protein, putative isoform 1 MEHRQLTSAVPLFKIDQNHNQNSITAEQPYMHRGRAIAPENGSFVYPMENVPRSTPYSAIPQYIASRPLEHYSSNLRLGDSQVHAPHSCPSYDSFPHFPGVGSLYSTPVNDTSHSYSIHHDSFAVCEVGDGLLDCGMNIGRGLFKRKSRISLSCERGGTSRYYSAGSSSNSSEFHPDKQATDYPNYPSGTVGLSHYRGGNLSNGNENPPRNVRSRPRNDLEHNQRQIHPSSYSSHHYWPTAHQSSDSGRVNLTSLCAGATNYNQNNIGISYPTQGGFAISGNTGLRYETNQNFVGESTAGIGGHSHDSVSGRDPVSSAQYFPILHDQAAMGIHSNYSQSAVPSYGVDLRSSQWPQGVGLRSSWWPQETAPTENSLPSLTETYPSRYSRTFSARSWRNRRDTRSRIAAERFQSFQNAENAHDRMGSEAQEDRSFLYGSRNSFDQYRDMRLDVDNMSYEELLALGERIGNVNTGLSEDVMSNCLKETIYSSYKNQHEATCAICLEEYKNGDGIGMMRCGHDYHLLCIKRWLAVKNACPICKAPALADGSKEE >EOY18605 pep chromosome:Theobroma_cacao_20110822:10:3971743:3982846:-1 gene:TCM_043109 transcript:EOY18605 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box domain-containing protein, putative isoform 1 MEHRQLTSAVPLFKIDQNHNQNSITAEQPYMHRGRAIAPENGSFVYPMENVPRSTPYSAIPQYIASRPLEHYSSNLRLGDSQVHAPHSCPSYDSFPHFPGVGSLYSTPVNDTSHSYSIHHDSFAVCEVGDGLLDCGMNIGRGLFKRKSRISLSCERGGTSRYYSAGSSSNSSEFHPDKQATDYPNYPSGTVGLSHYRGGNLSNGNENPPRNVRSRPRNDLEHNQRQIHPSSYSSHHYWPTAHQSSDSGRVNLTSLCAGATNYNQNNIGISYPTQGGFAISGNTGLRYETNQNFVGESTAGIGGHSHDSVSGRDPVSSAQYFPILHDQAAMGIHSNYSQSAVPSYGVDLRSSQWPQGVGLRSSWWPQETAPTENSLPSLTETYPSRYSRTFSARSWRNRRDTRSRIAAERFQSFQNAENAHDRMGSEAQEDRSFLYGSRNSFDQYRDMRLDVDNMSYEELLALGERIGNVNTGLSEDVMSNCLKETIYSSYKNQHEATCAICLEEYKNGDGIGMMRCGHDYHLLCIKRWLAVKNACPICKAPALADGSKEE >EOY18604 pep chromosome:Theobroma_cacao_20110822:10:3971370:3976274:-1 gene:TCM_043109 transcript:EOY18604 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box domain-containing protein, putative isoform 1 MEHRQLTSAVPLFKIDQNHNQNSITAEQPYMHRGRAIAPENGSFVYPMENVPRSTPYSAIPQYIASRPLEHYSSNLRLGDSQVHAPHSCPSYDSFPHFPGVGSLYSTPVNDTSHSYSIHHDSFAVCEVGDGLLDCGMNIGRGLFKRKSRISLSCERGGTSRYYSAGSSSNSSEFHPDKQATDYPNYPSGTVGLSHYRGGNLSNGNENPPRNVRSRPRNDLEHNQRQIHPSSYSSHHYWPTAHQSSDSGRVNLTSLCAGATNYNQNNIGISYPTQGGFAISGNTGLRYETNQNFVGESTAGIGGHSHDSVSGRDPVSSAQYFPILHDQAAMGIHSNYSQSAVPSYGVDLRSSQWPQGVGLRSSWWPQETAPTENSLPSLTETYPSRYSRTFSARSWRNRRDTRSRIAAERFQSFQNAENAHDRMGSEAQEDRSFLYGSRNSFDQYRDMRLDVDNMSYEELLALGERIGNVNTGLSEDVMSNCLKETIYSSYKNQHEATCAICLEEYKNGDGIGMMRCGHDYHLLCIKRWLAVKNACPICKAPALADGSKEE >EOY20223 pep chromosome:Theobroma_cacao_20110822:10:25282601:25285310:1 gene:TCM_045592 transcript:EOY20223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MNPEKVMSGGGLVFQFHFQFQHSIVNGIVIGSNNQSCQTFASSNSHSKRRCPTLATTITHAFPLSGSGSGAEYPVGELMDASRKQQKKRIAGIDQDDLLDPKLLADPDSCFCEFRGVEIHHKLYDAQSYSSDNSLLSHSQDAQDQSPTPSLKLGLPLVLLHGFGASVFSWNKVMKRLAHLTGSKVLAFDRPAFGLTSRLNTFGTKISDAKPLNPYSMAFSVLASLYFIDFLATEKAILVGHSAGSLVALDAYFEAPERIAALIFVAPAILAPLAIPKIVEGDLSERNNQTKRDRSDSNNLGKPLFKLFEILSKFTKYVTEAIMQMIKRMGGVLNSLYKKALSSILRSALGVMLVLTSFWMILQYKQLELLIGLCQLNWIFFKYHKCHTTLMLSAFVYNCCKWFCYKKITNIFN >EOY20222 pep chromosome:Theobroma_cacao_20110822:10:25282916:25286761:1 gene:TCM_045592 transcript:EOY20222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MNPEKVMSGGGLVFQFHFQFQHSIVNGIVIGSNNQSCQTFASSNSHSKRRCPTLATTITHAFPLSGSGSGAEYPYASSNSHSERRCPTLATTITHAFSLPGSGSGSGAEYPAGELMDASRKQQKKRIAGIDQDDLLDPKLLADPDSCFCEFRGVEIHHKLYDAQSYSSDNSLLSHSQDAQDQSPTPSLKLGLPLVLLHGFGASVFSWNKVMKRLAHLTGSKVLAFDRPAFGLTSRLNTFGTKISDAKPLNPYSMAFSVLASLYFIDFLATEKAILVGHSAGSLVALDAYFEAPERIAALIFVAPAILAPLAIPKIVEGDLSERNNQTKRDRSDSNNLGKPLFKLFEILSKFTKYVTEAIMQMIKRMGGVLNSLYKKALSSILRSALGVMLVRMIIDKFGVAAVRTAWYDSKEVNEHIVDGYTKPLRAKDWDRALVEFTAAMLINGKSEMKPPLAKRLHEISCPVLIVTGDTDRIVPAWNAKRLSRAIPQSKLEVIKNCGHLPHEEKVEEFVRVVEKFLQRAFGGSEEHSLQAIT >EOY19920 pep chromosome:Theobroma_cacao_20110822:10:23861957:23864091:1 gene:TCM_045322 transcript:EOY19920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor (TFIIS) family protein, putative isoform 2 MDLDDFRSVLETAGVDVWTFIDSAILVASLDYGQELKQRRDGIVERLYATSMVTRCKSCDFGERSNGYQVNKEGSPNEGKGGEGGRESPFTPQSDNEDGDLDPYGGLFDDEQKRVLEIKESLEEPDQSEDSLVDLLQSLADMDITFQALKETDIGRHVNKLRKHSSNDVRRLVKQLVRKWKEIVDEWVRVNQPGELESAALMADGDSPQQKLPQNGRQQVPDFAYSPNPHNGSFGLEKNNSEPERKPKPIPPPRKDPPPRPTHSTPPQNRQREQKESNFDSERLASARKRLQANYKEAEN >EOY19919 pep chromosome:Theobroma_cacao_20110822:10:23861963:23867456:1 gene:TCM_045322 transcript:EOY19919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor (TFIIS) family protein, putative isoform 2 MDLDDFRSVLETAGVDVWTFIDSAILVASLDYGQELKQRRDGIVERLYATSMVTRCKSCDFGERSNGYQVNKEGSPNEGKGGEGGRESPFTPQSDNEDGDLDPYGGLFDDEQKRVLEIKESLEEPDQSEDSLVDLLQSLADMDITFQALKETDIGRHVNKLRKHSSNDVRRLVKQLVRKWKEIVDEWVRVNQPGELESAALMDGDSPQQKLPQNGRQQVPDFAYSPNPHNGSFGLEKNNSEPERKPKPIPPPRKDPPPRPTHSTPPQNVQRQREQKESNFDSERLASARKRLQANYKEAENAKKQRTIQVMDIHELPKPKNAFFGKNKGGGSQGRHW >EOY19684 pep chromosome:Theobroma_cacao_20110822:10:20127930:20170844:1 gene:TCM_044875 transcript:EOY19684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPRCEQPPFTRSVGRGRGRFQRRQLGAIEEESTASTIRVALAAEQTETPPHPPPPLPLTSIPAMPLGAVQALAAFFTTIAGQAQAGQALPTVPLAPLSVPPSPLLLPPLVLDVSDSKKLKEARQHSCVSFMGESDATVAKEVVRMALRAEKLANENRSLRAELAKRRNLSVSSSQPPKRGKDSSVSRSSRRCRNCGNYHVGPCRGPARCFRCDQPSHIRRDCPQLGRATVAAPSPPAHTNMQRRDSSRLQLRQG >EOY18944 pep chromosome:Theobroma_cacao_20110822:10:6459699:6466205:-1 gene:TCM_043465 transcript:EOY18944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Matrixin family protein, putative MLLSTIQSKPTTRTFKIFQSLQGCHKGHTVEGLCELKQYFKKLGYLNYDHASYNAYKHGNDNEFDDHLESAIKAYQVNYKLNATGNLDADTVKQMMKSRCGIADVMNSNNSRSIYGIGASRYEFFLGNPKWPLSKTHLTYNFHSSVEVPLAENVRSVCVRAFQRWANVSRFTFEEVAEYYVADIEIGFHSGEHGDGNPFDGPQGTLAHASPPTDGKLHYDADEDWSTNPGPDEVDLESVTVHEIGHLLGLQHSLVPEAVMYAYFDSGMIKRKLHMDDVHGIRALYGLL >EOY19651 pep chromosome:Theobroma_cacao_20110822:10:19476950:19478793:-1 gene:TCM_044788 transcript:EOY19651 gene_biotype:protein_coding transcript_biotype:protein_coding description:QLTG3-1 protein MASKAGVSTALFVSLNLLFFALVSSRNVNYQPEESNGLSKRVGENSHGHHSSNQIQSNSNSSSNVGGFASGGTNSDDSSDGVGENSHDHHSSNQIQSNSNGSSNEGRFASGRTSSNDSSNRVGENSHDHHSSNQIQSNSNSSSNDGSFANRRTNSDNLSKRVGENSHNHHSSNQIQSNSNGSSNDGSFANGRTNSNDSSNGVGENSHNHHSSNQIQSNSNGSSNDGSFASGRTNSDDSSSRVGEILHDLLNGDSSNEKGLLNFNDLSNGSGDNSNDNSKDSTTNSRKPVDILPAAAMILGGLNQQGKSTCNPLNLGVCANLLNGLVKVELGDVPTKPCCTLIQGLADLEAAVCLCTAIKANVLGIDLNLPISLSVLLNNCGREVSSDYQCTP >EOY18623 pep chromosome:Theobroma_cacao_20110822:10:4052936:4059065:1 gene:TCM_043123 transcript:EOY18623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHTIFIYLYHAPCICLWHHHGIRVYMCLAPCMPFAEPTEHRWSPWMAYEEPCIYSMYIYLSMNIFLLQGFSLFFLFLWFHRLKGYQCNFLSKDAAGF >EOY18256 pep chromosome:Theobroma_cacao_20110822:10:2538761:2541344:1 gene:TCM_042841 transcript:EOY18256 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MEIKPESNIRFCLTCYEAMPPSGQTGFIKRFTNQLNLIQPLQKVKAKIKHKMTRDNKEIDQDDKEKLDFEHNEVDVISCLPNDVLCRIISFLPFETAVQTSLISIRWKNLWKMALLKDGTKEEAVTAVFNFLNDFPQLHQPRNNWGLQYNFDQGSVLFVAIAPAGILHLDFSAGKQESQRQFSLSLGRNQRIYDHHQASLSTAFNLKALYLVSVCHVSSEMVSCLSSNIPSLESLTIAKCNGLQSIQLESNSELQKLTVLDCFQLESIRMHFNLQFRLKSFQCRGRVVCFNDCNENPLYSPYPPANYYSPLDLEDAMLDFRQGPGYYGINVHGFKFILQSIRGVITLTLCR >EOY19004 pep chromosome:Theobroma_cacao_20110822:10:7854165:7860475:1 gene:TCM_043598 transcript:EOY19004 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative isoform 1 MAKGDDTVRKKKNKALRKKLNRKTDSSNVSARIASIIASKKRRKAGKRRICQGMCFSLPTLDDPFNDRLDKKVISKRETKKIMPSEVDWKIPADRKDAVPKNGSALGNDLKVDSLEKINEKMMDLKDEQKKLVTLINNVGKTRHMNSGRVQIQPGGKKISVHGHQEQACESAHCPSKYLILCLKAIEDALHYDGTYNCEEGKSLFVNTWGVEFWRCYSVGKDILETSGSSSDVEQIAWIASTASDAISRREKEGLSFTSPFLLFLVPSKEKALKVRSLCKPLKAVGIHTVSLHPGASVDHQISGLQSCEPEFLVSTPERLLKLVSLEAIDVAGVSMLVIDGMESLSRGGYLNAIKSIRQAISGNPHTLVFNDSFSNASIPAVQNLLTGLVYRLSLNDSVASQSACIIQSIYVCSSKEERMMKGIDALDDVYWNQMIPQPLKVLYIVGKDNNVEKLVSAVKLKGYSISISSSLNIMEFENSLHSGIRGRRTVSVVATEHISSTDLGEYGVVILPDFVLSIDDYVQILSRMARQTVNGVLHSFLTEDDAQHAGPLIEILEKCGQAVPEALRNLCHVDHPF >EOY19005 pep chromosome:Theobroma_cacao_20110822:10:7854279:7859899:1 gene:TCM_043598 transcript:EOY19005 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative isoform 1 MAKGDDTVRKKKNKALRKKLNRKTDSSNVSARIASIIASKKRRKAGKRRICQGMCFSLPTLDDPFNDRLDKKVISKRETKKIMPSEVDWKIPADRKDAVPKNGSALGNDLKVDSLEKINEKMMDLKDEQKKLVTLINNVGKTRHMNSGRVQIQPGGKKISVHGHQEQACESAHCPSKYLILCLKAIEDALHYDGTYNCEEGKSLFVNTWGVEFWRCYSVGKDILETSGSSSDVEQIAWIASTASDAISRREKEGLSFTSPFLLFLVPSKEKALKVRSLCKPLKAVGIHTVSLHPGASVDHQISGLQSCEPEFLVSTPERLLKLVSLEAIDVAGVSMLVIDGMESLSRGGYLNAIKSIRQAISGNPHTLVFNDSFSNASIPAVQNLLTGLVYRLSLNDSVASQSACIIQSIYVCSSKEERMMKGIDALDDVYWNQMIPQPLKVLYIVGKDNNVEKLVSAVKLKGYSISISSSLNIMEFENRNCQLLPVFVFVTDRLSFSSPVCILALEGDVQSPLLLLSTSVLQIWESMVL >EOY20209 pep chromosome:Theobroma_cacao_20110822:10:25255552:25257804:-1 gene:TCM_045583 transcript:EOY20209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit 8 MGKHPAKMRAVIYTLSPFQQNIMSGLWKDLPSKITHKVTENWISATLLLGPLVGTYTYVQNYQEKEKLAHRY >EOY20022 pep chromosome:Theobroma_cacao_20110822:10:24429996:24431594:-1 gene:TCM_045424 transcript:EOY20022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MACYHLLFLILIAAVASFQANCQLSPDYYSSTCPQVLPIVQAAVAAAIKNETRVGASLLRLHFHDCFVNGCDGSVLLDDNATFIGEKTAVPNNNSARGFNVVDDIKARLEKACPGVVSCADILALASRDSVVQLGGPSWKVRLGRRDSTTASRSAANTSIPPPTSNLSALISSFSAQGLSIKDLVTLSGSHTIGLARCTSFRPHIYNDSNIDRSFAASRRRTCPRSGNDSVLAPLDRQTPTFFDNLYYKNLLNKTGLLRSDQELFNRSSTTALLVKSYATNTSLFFQEFVKSIIKMGNIKPLTGSAGEIRINCRKIN >EOY18527 pep chromosome:Theobroma_cacao_20110822:10:3744872:3747862:1 gene:TCM_043069 transcript:EOY18527 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MTMSTNIGQFGDTTLTKVFVGGLAWETPKEAMREHFEKYGEILEAVIISDKVTGRSKGYGFVTFKDPEAAKKACEDATPIINGRRANCNLASLGARRPRSASAAPPPQGSNVGPRAASAAPANPVQWYYPAGTPASPFHHQHHQAVPFYGYSPAYIAADISYNHKLSYTGGTYMNGPFSQVYPGQALVGANTLMPMYPFYHYHQSQTMGLPAHVFPPTTAGPITTVPAAAIMSKPAAAMAPNSVGTGEGFKKVG >EOY18526 pep chromosome:Theobroma_cacao_20110822:10:3745100:3747560:1 gene:TCM_043069 transcript:EOY18526 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MTMSTNIGQFGDTTLTKVFVGGLAWETPKEAMREHFEKYGEILEAVIISDKVTGRSKGYGFVTFKDPEAAKKACEDATPIINGRRANCNLASLGARRPRSASAAPPPQGSNVGPRAASAAPANPVQWYYPAGTPASPFHHQHHQAVPFYGYSPAYIAADISYNHKLSYTGGTYMNGPFSQVYPGQALVGANTLMPMYPFYHYHQSQTMGLPAHVFPPTTAGPITTVPAAAIMSKPAAAMAPNSVCLAVE >EOY18525 pep chromosome:Theobroma_cacao_20110822:10:3744871:3747923:1 gene:TCM_043069 transcript:EOY18525 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MTMSTNIGQFGDTTLTKVFVGGLAWETPKEAMREHFEKYGEILEAVIISDKVTGRSKGYGFVTFKDPEAAKKACEDATPIINGRRANCNLASLGARRPRSASAAPPPQGSNVGPRAASAAPANPVQWYYPAGTPASPFHHQHHQAVPFYGYSPAYIAADISYNHKLSYTGGTYMNGPFSQVYPGQALVGANTLMPMYPFYHYHQSQTMGLPAHVFPPTTAGPITTVPAAAIMSKPAAAMAPNSGTVGTGEGFKKVG >EOY17888 pep chromosome:Theobroma_cacao_20110822:10:1328972:1330648:-1 gene:TCM_042591 transcript:EOY17888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIRLDDPAVSNLAASYDSKAVSRALFLHFKKHHQTKIHYVILQEMLKLRLWVPFSLCHVKSFMLLPNQMVLSAKTILCFS >EOY17889 pep chromosome:Theobroma_cacao_20110822:10:1329021:1330557:-1 gene:TCM_042591 transcript:EOY17889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIRLDDPAVSNLAASYDSKAVSRALFLHFKKHHQTKIHYVILQEMLKLRLWVPFSLCHVKSFMLLPNQMV >EOY19594 pep chromosome:Theobroma_cacao_20110822:10:19104918:19108658:1 gene:TCM_044736 transcript:EOY19594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLYICYCFQVEVHVERLNVLKASKMKELVFKRQNELEEIYRGVHMDVNSDAARQLLINLLESGSAKLSPCSGLSISSTFLSIIIALQNLVMKSFFAVNILNKSATMICPICFQAWMMRLQKPNRKL >EOY18713 pep chromosome:Theobroma_cacao_20110822:10:4628764:4630117:1 gene:TCM_043210 transcript:EOY18713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALCNSSHHYRIYVSAQPNNVYKDDQSLESPLITFKCNIKMSYISDQPDKYIQIHIQGHDSWHEFEHNPANELTRDFISNMLANTRIIPFSLRNLHWKKRVYDKESVPLMSTDGVINSMLDVCHNMVRESRRQKMFLLVFIKKEVIVPHAEYLAMLKAKQAEEILHQVEDMVRLQAQGWSFQQADWENMGNVIRQAGLGNSIRNALDLARERAIRESSEQQVVRLVPAAATSVQVLKKVTCGSEEKCSVCLEEMLTGSQVTQMPCSHVFHGDCIVQWLKTSHMCPVCRFKLPTT >EOY18673 pep chromosome:Theobroma_cacao_20110822:10:4338328:4341151:1 gene:TCM_043166 transcript:EOY18673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin like protein MTSIEGKIGQPQKTSNRTANTAKVQSSTSSFRRWGRKYPFIRYGLPMISLTVFGAVGLGHLLQGSKDIAKVKDDQEWEIIETRKALSRTGPIDAYNPKKISLEEELKALQVKVDINNYDYKRIPKPNEGKSG >EOY17695 pep chromosome:Theobroma_cacao_20110822:10:597085:601508:1 gene:TCM_042451 transcript:EOY17695 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MPISVPNLFKERKYPFLFALSILLISFAILFLTNSFSPFPSLPLSSELHVSQSQPPTPPPPPSSLRSRSPNDTVRFPPESRSRNDAASEVDLSVSLDVQWGNCKLGAAAVDYIPCLDNWKAIKELKSRKHMEHRERHCPSPSPRCLVPLPSGYKAPVQWPKSRDMIWYDNVPHPKLVEYKKEQNWVRKSGDYFVFPGGGTQFKNGVTSYIDFIKKTLPAIQWGKHIRVILDVGCGVASFGGFLLDKGVITMSFAPKDEHEAQIQFALERGIPAILSVIGTQKLTFPDNAYDLIHCARCRVHWDGDGGKPLLELNRILRPGGYFIWSATPVYRDDERDRNVWKSMVALTTSMCWKVVAKTVDSTGIGLVIYQKPASYSCYEQRKEKSPPLCDQKNNQNISWYEPLSYCLSRLPADNMGNLLSWPKPWPRRLSSKPPSLPSEPDAKDIFNEDSKHWAALVSDVYLDGLAINWASIRNVMDMNAGYGGFAAALIEQSLWVMNVVPIDAQDTLPIIFDRGLIGVYHDWCESFNTYPRTYDILHSSFLFGNLKERCDIIDVAVEMDRILRPGGYLLVQDTMEMIKKLNPVLRSLHWSTSLYQGQFLVGKKGSWRPSDD >EOY17694 pep chromosome:Theobroma_cacao_20110822:10:597085:601057:1 gene:TCM_042451 transcript:EOY17694 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MPISVPNLFKERKYPFLFALSILLISFAILFLTNSFSPFPSLPLSSELHVSQSQPPTPPPPPSSLRSRSPNDTVRFPPESRSRNDAASEVDLSVSLDVQWGNCKLGAAAVDYIPCLDNWKAIKELKSRKHMEHRERHCPSPSPRCLVPLPSGYKAPVQWPKSRDMIWYDNVPHPKLVEYKKEQNWVRKSGDYFVFPGGGTQFKNGVTSYIDFIKKTLPAIQWGKHIRVILDVGCGVASFGGFLLDKGVITMSFAPKDEHEAQIQFALERGIPAILSVIGTQKLTFPDNAYDLIHCARCRVHWDGDGGKPLLELNRILRPGGYFIWSATPVYRDDERDRNVWKSMVALTTSMCWKVVAKTVDSTGIGLVIYQKPASYSCYEQRKEKSPPLCDQKNNQNISWYEPLSYCLSRLPADNMGNLLSWPKPWPRRLSSKPPSLPSEPDAKDIFNEDSKHWAALVSDVYLDGLAINWASIRNVMDMNAGYGGFAAALIEQSLWVMNVVPIDAQDTLPIIFDRGLIGVYHDWCESFNTYPRTYDILHSSFLFGNLKERCDIIDVAVEMDRILRPGGYLLVQDTMEMIKKLNPVLRSLHWSTSLYQGQFLVGKKGSWRPSDD >EOY17696 pep chromosome:Theobroma_cacao_20110822:10:596714:601380:1 gene:TCM_042451 transcript:EOY17696 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MPISVPNLFKERKYPFLFALSILLISFAILFLTNSFSPFPSLPLSSELHVSQSQPPTPPPPPSSLRSRSPNDTVRFPPESRSRNDAASEVDLSVSLDVQWGNCKLGAAAVDYIPCLDNWKAIKELKSRKHMEHRERHCPSPSPRCLVPLPSGYKAPVQWPKSRDMIWYDNVPHPKLVEYKKEQNWVRKSGDYFVFPGGGTQFKNGVTSYIDFIKKTLPAIQWGKHIRVILDVGCGVASFGGFLLDKGVITMSFAPKDEHEAQIQFALERGIPAILSVIGTQKLTFPDNAYDLIHCARCRVHWDGDGGKPLLELNRILRPGGYFIWSATPVYRDDERDRNVWKSMVALTTSMCWKVVAKTVDSTGIGLVIYQKPASYSCYEQRKEKSPPLCDQKNNQNISWYEPLSYCLSRLPADNMGNLLSWPKPWPRRLSSKPPSLPSEPDAKDIFNEDSKHWAALVSDVYLDGLAINWASIRNVMDMNAGYGGFAAALIEQSLWVMNVVPIDAQDTLPIIFDRGLIGVYHDWCESFNTYPRTYDILHSSFLFGNLKERCDIIDVAVEMDRILRPGGYLLVQDTMEMIKKLNPVLRSLHWSTSLYQGQFLVGKKGSWRPSDD >EOY19076 pep chromosome:Theobroma_cacao_20110822:10:9496767:9515291:1 gene:TCM_043746 transcript:EOY19076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPVKHFNDVTKDRAVLLYSIVTRKSIGIGQLIFNNIILTACSPRDGLLYPSLITTLSHQAGVVYSPNKELLHPKIPLDVGIIHKLCM >EOY18312 pep chromosome:Theobroma_cacao_20110822:10:2911535:2912888:1 gene:TCM_042906 transcript:EOY18312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MATTNQTALHIATRNDNVEALELICRMLRKSDYCEDVVNQKDRNGDTALHIAARNNQPKMLKLLLKCKADKLATNQAGRTALAIACELNNRESIKILRGWRSAKVLSYQYKIRKQMFKTVTKASEVIFQGMDSILSEDRNALLVVLGLLLTATYQTSISPPGSVRQGDGSSNSDFTVGQSVMDEVNFLLFYIPACTVFIVAFS >EOY19326 pep chromosome:Theobroma_cacao_20110822:10:16445567:16453322:-1 gene:TCM_044395 transcript:EOY19326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMNLGSGDVNDRFNKQGNGRPKGSKNKPKVSIVGRMVWSSTKLSEVVFVNVGIIITYEKSIMFWRDAWMRDLVVKLEFLRLFALAQNKDEKLCEYGQWMEIGWQWQIELRRQLFGWEYEQWTLSIPKLQFTSNSFKNHFKRDVIWSEVKWSEMIESYEDIYRRPSLVSIAPKQKPAREKSMWEAPSLGWVKFDVDGASTRNPNQTGIEGIVRNYKGDILLRFSKSMGVCDANCAEIMAVREAFILFTKILRQTHLNLWIESDSTNVIRWLKDLLKTPWRHRQCMGQIGCF >EOY19132 pep chromosome:Theobroma_cacao_20110822:10:10894890:10915440:1 gene:TCM_043898 transcript:EOY19132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family isoform 4 MGSLEKGIKAGLSPTAIERKDFFKGELSTVAGELPEVAGGGPAAGQRVDYVWWGALGTHVVCPLQRDAPLLQSTISVFESSMAVTIQSIILTPPRTATTPFPCLKTSHLLSRSLRINPSRSFATPIRSCKPSDETHGSGLANGLNWTKPLLNFAADNFLPLALIGGVAFGLANPTLGCLADKYYLSKFSTFGIFFVSGLTLRSDAIGAAAKAWPVGVFGLDVDWFWPYSVLLEEEGHREQHMVIWKSNDAGVHVLKKYRLLSLQCSILLFTPYFSRLILQIHLQPQEFVTGLAIFNCMPTTLSSGVALTQLAGGNSALALAMTVMSNMIGILIIPFSISKFIADGVGVSVPTAQLLRSLVLTLLIPLILGKVLRESFRGLADYVDHNRKLYSRISAVFLSLVPWIQVSRSRSLLLMVKPTVFIVAIGIGALLHLVLLAFNTLAIRSLSAVTGGSESIFAKKENAQAALLVASQKTLPVMVAVVEQLGGAFGESGLLVLPCVAAHIIQIILDSFLVNFWLRMDLPSNTAKVA >EOY19133 pep chromosome:Theobroma_cacao_20110822:10:10900684:10914917:1 gene:TCM_043898 transcript:EOY19133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family isoform 4 TIQSIILTPPRTATTPFPCLKTSHLLSRSLRINPSRSFATPIRSCKPSDETHGSGLANGLNWTKPLLNFAADNFLPLALIGGVAFGLANPTLGCLADKYYLSKFSTFGIFFVSGLTLRSDAIGAAAKAWPVGVFGLCSILLFTPYFSRLILQIHLQPQEFVTGLAIFNCMPTTLSSGVALTQLAGGNSALALAMTVMSNMIGILIIPFSISKFIADGVGVSVPTAQLLRSLVLTLLIPLILGKVLRESFRGLADYVDHNRKLYSRISAVFLSLVPWIQVSRSRSLLLMVKPTVFIVAIGIGALLHLVLLAFNTLAIRSLSAVTGGSESIFAKKENAQAALLVASQKTLPVMVAVVEQLGGAFGESGLLVLPCVAAHIIQIILDSFLVNFWLRMDLPSNTAKVA >EOY19135 pep chromosome:Theobroma_cacao_20110822:10:10894890:10915440:1 gene:TCM_043898 transcript:EOY19135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family isoform 4 MGSLEKGIKAGLSPTAIERKDFFKGELSTVAGELPEVAGGGPAAGQRVDYVWWGALGTHVVCPLQRDAPLLQSTISVFESSMAVTIQSIILTPPRTATTPFPCLKTSHLLSRSLRINPSRSFATPIRSCKPSDETHGSGLANGLNWTKPLLNFAADNFLPLALIGGVAFGLANPTLGCLADKYYLSKFSTFGIFFVSGLTLRSDAIGAAAKAWPVGVFGLCSILLFTPYFSRLILQIHLQPQEFVTGLAIFNCMPTTLSSGVALTQLAGGNSALALAMTVMSNMIGILIIPFSISKFIADGVGVSVPTAQLLRSLVLTLLIPLILGKVLRESFRGLADYVDHNRKLYSRISAVFLSLAFTPRIVSF >EOY19134 pep chromosome:Theobroma_cacao_20110822:10:10894890:10915440:1 gene:TCM_043898 transcript:EOY19134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family isoform 4 MGSLEKGIKAGLSPTAIERKDFFKGELSTVAGELPEVAGGGPAAGQRVDYVWWGALGTHVVCPLQRDAPLLQSTISVFESSMAVTIQSIILTPPRTATTPFPCLKTSHLLSRSLRINPSRSFATPIRSCKPSDETHGSGLANGLNWTKPLLNFAADNFLPLALIGGVAFGLANPTLGCLADKYYLSKFSTFGIFFVSGLTLRSDAIGAAAKAWPVGVFGLCSILLFTPYFSRLILQIHLQPQEFVTGLAIFNCMPTTLSSGVALTQLAGGNSALALAMTVMSNMIGILIIPFSISKFIADGVGVSVPTAQLLRSLVLTLLIPLILGKVLRESFRGLADYVDHNRKLYSRISAVFLSLVPWIQVSRSRSLLLMVKPTVFIVAIGIGALLHLVLLAFNTLAIRSLSAVTGGSESIFAKKENAQAALLVASQVAIY >EOY18999 pep chromosome:Theobroma_cacao_20110822:10:7687754:7691492:-1 gene:TCM_043576 transcript:EOY18999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSINILGENPSVMGTDFRSAKASVSSIPLTIRTNLQRINNSSNILQPIYTTQENSSTNSPNMSPTYSSMTNNPNLNTNDEQSSKIFVLEKEFEIDKEFCRKQFYSKKNKQKREKFFKNYEQEKNEILKEYYNFMNKYKILVEFFEWLVGHEGRFSSSVEACTAPIKSSIILHISTNSACWG >EOY19179 pep chromosome:Theobroma_cacao_20110822:10:12847068:12850181:1 gene:TCM_044043 transcript:EOY19179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERVAPTPQAPIDRENFAPNFQSFITDLKGVIHREVKMVKTKANEESTLSLNLGLYPINRTKNTLISYNTTTPWKSKLNILEPAMKKKRGKSKLVAREITSFRLSDERFDLAQIEKHFQMSLDGKEKEFKYLLIERLDGWKIDCKKRATGKVIDMYYTHEISKKSFRSVKEVMNFILYEVDPRKR >EOY19580 pep chromosome:Theobroma_cacao_20110822:10:18978446:18998473:-1 gene:TCM_044725 transcript:EOY19580 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein, putative MLPIYLLVYVDNIILSGRLFSQLDNFILELDNEFSTKCFGQIHYFLAMVIISAELCPSEASSISATLEGVTPNKVNEAAKASESSLGILLDLKLFSDDSLCKPKIELNLFNPMSPTSSHSKDSGDHQTLREKRSDDSNRVFSCNYCKREFSTSQALGGHQNAHKQERALAKRRQGMDVNGFGFPPYPYNPYSTISPHPFYGSLNRSPLGVRLDSMIHKPSYPWTSIGGFHHLGHGWSSQAILTPPRPTISERLSMNNGGFGISGPSSSSRFQETGAPCSFSDISQANVATNKAARSDYLQPSNPSGSDNNDASKIDLSLKL >EOY18002 pep chromosome:Theobroma_cacao_20110822:10:1751422:1755779:-1 gene:TCM_042680 transcript:EOY18002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPP8 MEEAIVSLAIERISDLLIQEAVFLLGVRDEVEGLKAELERMKSSLKDADKKPDQNELTRTLVRQISDLAYEAEDVIDSFILQVAHRGGFHGIIKRFTKPFHLHKIGVKVKAIQTKLEGLSKALPAYNQISGGEGSSSIFEMQQRLRRTYTHVEEEDVVSLQGITNEVLAQLMTEEDRPHVVVSIVGMGGIGKTTLAKKVYKHIDVRQSFDCFAWAFISRQCMPREVLHDLLIKLLTPSKEERKLIDKLQENELMEKVYNLLKEKRFLVVFDDIWRNEHWNILKPAFPRGKRGSKILFTTRHKEVALHADPCNFPIELPLLTDDESWKLFRMKAFPGNRTEFHTCLEELEMLGREMVKKCGGLPLAIATLGGLLATKRSRAQWEMVHKNINAHLNKFQQQDHHYGGVNGILALSYNELPFHLKPCFLYLGHYPEDWEISKKELIQLWIAEGFISPSWESRGMLMEDVAEQYLEELIDRCLVQVGKRDHTGTGVKTCHIHDLLKDLCVLKAQEENFFEIIQPSLIDNDSTSLYVTLTASMARRVAIHPSKRYISLKGKHPNLRALLLFQNEELIKFHISKCNDFKFLRVLNLLRNDVSEWHVPSEIGNLHHLRYLKLESSEIILPRSIGKLKSLHTLYLPNANVRIPNILFKLRRLRHIVLGAVFTRHVPLLLRDSLRNIETLKYIRVMTLIENNAVLDLTNIRSLGITFERSKDVEPFLRALIESHRLSSLFIDFEDSTTCSNLEPLSQCNHLSKLYLSGEIQEDPHLSHHVLNFLPANIVKLTLLFSKMNQDPMVVLGKLPNLRILKLLSNSYEGSKMVCSANDFLQLEFLDIWLLSELEEWQIGEGAMPRLQSLNLLALHNLRMFPEGLRYITALQEMKLKYFRRPLVERIQVIDGREGEDFSKVRYIPSIQISDTLED >EOY19744 pep chromosome:Theobroma_cacao_20110822:10:22023069:22028205:1 gene:TCM_045050 transcript:EOY19744 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MKKSQQTEEEKVYAPSFHHSHLDHLYSLLFQKGEKMSAVGEAALSAFFGVLFSKFDSPELLKFAREKQVHGEIKKWEKMLQSIRAVLDDAEEKQMRNGPVKIWLAELQDLAYDLDDLLDEFATEVSRQRLIQEHRTGAGKVHKLVPALCFSPGAVIFNSKMLSKIKEITARLQELVTQKLNLELRETVGGRAKGVKERLPTTSLVNEVHVYGRENDKKAIFELLLRNDGSDDGVSVIPIIGMGGIGKTTLTQLVYNDNNINVYFDLKAWVCVSEDFDVVKVTKTILQSITSEPCDVNDLNLLQVKLKEKLFKKKFLLVLDDVWNENYNDWTILRSPFEVGARESKIIVTTRSHLVSSVMGTIPGYSLQELSNDDCLSVFTQHALGARDFSGHPKLKEFGEEIVRKCNGLPLAAKTIGGILRTSMDPDAWKEVLKSKIWDMPVENSGTIPALWLSYYHLPPHLKQCFAYCAILPKGYEFGEKDIVLLWMAEGFLQQAADTTKIEDLGGKYFRDLVSRSLFQISSRDRSQFVMHDLINDLAQSVAGEICCRVEGDKKLKFSQRVRHSSYVGELFDGVKKFESFHEMKHLRTFLPLRLASYGPRPYLTTIVLTELLPKLRYLRVLSLRRYYITKLPDSIGHLRHVRYLNFSHTRIKCLPDSISTLSNLETLILCWCINLEKLPSGMGMLINLRHLDTTGAASLKGMPVGIGGLTYLRTLSNFVVSHGNGYQIREMKNLSNLKGRLSISGLENVVEVRDALEAKLHEKSGLNWLELKWSMEFANSLRSESVERDILNWLQPNEELKELAIKYYGGTIFPAWVGDPSFKYLLSLNLEYCKYCRLLPSLGKLPLLRNLCIRGMSSIKSVGIELFGENCLNGFMSLETLCFEDMPAWKEWNPCEVDEQIEKFPFLRELSIVECPKILGRLPKHLPSLEKLMVRECKQLEVSISSLPKLHELEIDGCKEVVLKSSADLRSLNIVSISRVSKFTGLMPMLTTVENLMINGCNELTSLWQNEVGLLGHWRSLHSLEILSCPRLISLEAEEEGELMQFRPFCNIKSLIIGYCESLEKLPNAFHNLTSLRELQIENCSKLISFSETRLPFTLKKLVISNHNNLQYLLDGEIINTQDSLLEHLEIASCPSLLSLSSRCELPINLQHLKISDCSILASLSSSGKLPTGLKHLTVRNCPELESIAQEFHNNTSLEFIRISWCKSIAYFPRLDKLNYLQAIVTEYCPSLISFGTGGLPTINLKVLRIYKCEELRGLPNYIHNLTSLQELEISNCPHIISFPEEGLPTSLITLRVSNFKLCRPLFEWGLHRLTSLKVLSIKGGCPDVLSFPQEEMGMMLPTTLTSLTIEDFPNLKSLSSKGFQILNSLEFLWIAICPKLTSLPRTNLLLSLLQLHIDDCPRLKQRCRKDKGQEWSKIAHVPRVEIDGRLIHDLEEQS >EOY18570 pep chromosome:Theobroma_cacao_20110822:10:3860587:3863673:1 gene:TCM_043092 transcript:EOY18570 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding Elongation factor Tu family protein isoform 1 MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGMLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDSGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKSAAKKGGK >EOY18572 pep chromosome:Theobroma_cacao_20110822:10:3860843:3863007:1 gene:TCM_043092 transcript:EOY18572 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding Elongation factor Tu family protein isoform 1 MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGMLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKI >EOY18571 pep chromosome:Theobroma_cacao_20110822:10:3860863:3863761:1 gene:TCM_043092 transcript:EOY18571 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding Elongation factor Tu family protein isoform 1 MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGMLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDSGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKSAAKKGGK >EOY17785 pep chromosome:Theobroma_cacao_20110822:10:859714:862855:1 gene:TCM_042504 transcript:EOY17785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein MATKTRVVSVAVLWILVLFGTLALIQNRLSDAGISEPKLNQVVEDDSEEVTHKVYFDVQIDGKSAGRIVMGLFGKTVPKTAENFRALCTGEKGTGNSGKRLHYKGSTFHRIIPSFMIQGGDFTRGDGRGGESIYGEKFADENFKLKHDGPGLLSMANGGPDTNGSQFFITTVTTSWLDGRHVVFGKVLAGMDVVYKIEGEGRHSGVPKSKVVIVDSGEMPI >EOY18822 pep chromosome:Theobroma_cacao_20110822:10:5186491:5190248:-1 gene:TCM_043315 transcript:EOY18822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Papain family cysteine protease MKGRQSPTLTCTTAIAALIFSLILSLCLALTEIPQEPTILQVTDNLIPTLNRKFSRNYVHKEFQVFVEKYGKNYSTTEEYMHRLGIFAKNLIRAAEHQVLDPTAVHGVTQFSDLSEEEFERLYTGVKGGMAAAAPRMMDGVGSEAEMVEVDGLPESFDWREKGAVTEVKMQGACGSCWAFSTTGAIEGANFVATGKLLSLSEQQLVDCDQMCDIKDKTACDNGCSGGLMTNAYKYLIESGGLQDENSYPYTGRREDCKFKPDKVAVKVVNFTKIPIDENQIAANLVIRGPLAVGLNAFFMQTYIGGVSCPIICGKRWINHGVLLVGYGAKGFSILKFGYQPYWIIKNSWGKRWGEHGYYRLCRGHAMCGMNTMVSAVATKVY >EOY19876 pep chromosome:Theobroma_cacao_20110822:10:23444325:23448241:1 gene:TCM_045259 transcript:EOY19876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sensitivity to red light reduced protein (SRR1), putative MVASAKVLTLENHTQNGEWTIVLPRRGRHRRNSQKITSMKGQQQEQQPWVPTDLEIDPVRQSKLMNKIQICMKRVENSRFFLTFLDQMQCPEVLNHFHRILGSELKLQMVIYGIGSIESHETPRLQLSLAILMKRNFSWIGDIEVFDPVLSANESRVLEALGCSVLSVNEQGRRQAMKPTLFFMPHCEAELYNNLLQANWGIESLNRVALFGNSFETYEQHVSFKYYEQEVSFMDSSVIESVTHILAARRFTDEFRTNTVSDDYFAAFHDSSWHFFRPGCENELQLN >EOY18047 pep chromosome:Theobroma_cacao_20110822:10:1878657:1880299:1 gene:TCM_042706 transcript:EOY18047 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsJ-like methyltransferase family protein isoform 1 MSGAGAPDFFYREAQRLGYVARSAFKLLQIQKQYKLIKPGSAILDLGCAPGAWLQVACQSLGPLKNGGAVVGIDLKKVKVPSLHCDSRVQTVSADVMKLPKQQVMELSPQVCEHLIWLLVELPTFQMIIFKVKESHTLLVQMIMVYCYPEGISSLSFWRARI >EOY18046 pep chromosome:Theobroma_cacao_20110822:10:1878725:1880660:1 gene:TCM_042706 transcript:EOY18046 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsJ-like methyltransferase family protein isoform 1 MSGAGAPDFFYREAQRLGYVARSAFKLLQIQKQYKLIKPGSAILDLGCAPGAWLQVACQSLGPLKNGGAVVGIDLKKVKVPSLHCDSRVQTVSADVMKLPKQQVMELSPQKKGFSVILSDMCPLVSGITTKDAALSFELGMRALDLAVGRAANLSDDNFQSEGESYTSGPDDNGVLLSRGHLVIKLLESEDIKELCQTCKSLFKKASWLRPKATRSSSREIYLICQDLQS >EOY18813 pep chromosome:Theobroma_cacao_20110822:10:5090834:5095639:1 gene:TCM_043300 transcript:EOY18813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 49 MANPKILYPFSVSLLFLISISSAAASSFLDERRLGGSSFPSIHAKKLIRELNLFPKEEVNVVDGGQVSLPEDSRLVEKRFKFPNLAVPGGVSVEDLGHHAGYYKLANSHDARMFYFFFESRNSKKDPVVIWLTGGPGCSSELALFYENGPFTIAENMSLIWNQYGWDMASNLLYVDQPIGTGFSYSSDRRDIRHNEDEVSNDLYDFLQAFFAEHPEFEKNDFYITGESYAGHYIPAFAARVHQGNKAKDGIHINLKGFAIGNGLTDPAIQYKAYTDYALDMGVIKKSDYNRINKLVPVCEMAIKLCGTDGTISCMASYFVCNAIFTGIMALAGDTNYYDIRTKCEGSLCYDFSNMETFLNQESVRDALGVGSIDFVSCSPTVYQAMLVDWMRNLEVGIPALLEDGVKLLVYAGEYDLICNWLGNSRWVHAMEWSGQKEFVASPEVPFVVDGSEAGVLKTHGPLGFLKVHDAGHMVPMDQPKAALEMLKRWTKGTLSEAADSEKLVAEI >EOY18305 pep chromosome:Theobroma_cacao_20110822:10:2862867:2865158:1 gene:TCM_042898 transcript:EOY18305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MDWRMIGAAQTGNISVLYELILEDPYVLERIDQVPFLDTPLHVAACAGHVDFVMEMMNLKPSFARKLNQAGFSPMHLALRNDKIQAVLRLLKFDIGLVRVKGREGLTPLHHAVGTGNLDLSIRFLEACPEAIEDVTVRDETALHLAVKNDMFEAFEVLMGWLRRSRHEAAQRWENELLSWRDIEGNTVLHIAAIRNRPQVVKVLLENFSQDHINAKNLEGLTALDIVLERQRIERQVDNREIMDMLIKAGGLRGSSLPKNPNSSINISSFRSKMSYFQKFVTMAARGNKGISNGMRNTFLVVTVLIITATYDASLNPPKKGDNVSSHTNKVWLLEANPPSGKYLPAEDWQNLVDASTMFWLYNTLTFWAAIGLTAYLLPNRLICLFLLITLSLFGSCYMLLVAVISWKLQSLISLAPSYLTYHAVSVVNYCSSTLIAVLVSYRIASYVCCRFVPRRKIFCLVQLLSFLSIVICILTPAVLNVETILKSNFFL >EOY19440 pep chromosome:Theobroma_cacao_20110822:10:17711813:17718518:1 gene:TCM_044562 transcript:EOY19440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein, putative MSSHRNPCLVLFHVVLLSLLPLKITCSARTQAEALVQWKNSLSFSPPSLNSWSLSNLNNLCNWTSITCDGTGTVCEINLSNANMSGSIAQFNFTPFANLTRLDLINSGMEGPIPSAIGTLSKLLVLDLSNNSFEGNIPSEIGRLAELQYLSLFNNNLNGTIPSQVSNLQKVRYLDLGFNYFVSIDWSDFLVMPLLTHLSLAYNEFDQLEFSQFILNYRNLTSLDLSLNKLTGPIPESLYTNLSKLEYLNLTSNAFEGPLSSNISKLSQLIDLRLGTNQLNGSIPESIGTMSNLETVELFENPFEGKIPSSFSQLRKLKKLDLHSGGLNSAIPSELGSCTNLTSLVLAGNQMSGKLPMSLSQLTKIIELGLSDNSFDGEIPPALISNWTNLISLELQNNLFTGRIPPEIGLLTKLHLLFLYGNKLSGSIPSEIGNLKSMITLDLSGNQLLGPIPRTIWSLSNLTVLQLFYNELSGTIPPEVGNMTSLESLDLNTNLLHGELPDSISNLTNLKSISLFTNSFSGSIPRDFGKYSPNLIYVSFSSNSFSGELPPELCSGFALQNLTVNGNNFTGSLPACLRNCTRLRRVRFDGNQFTGDITNAFGVYPDLDFITLSDNQFTGEISPEWGDCQSLTHLAMDNNKIFGEIPAELGKLSQLRFLNLRANKLTGVLVDLEKEFVPDFLQVEGQEDDVNL >EOY17805 pep chromosome:Theobroma_cacao_20110822:10:935011:939819:-1 gene:TCM_042522 transcript:EOY17805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein MGNPSHFLSGFFKAFTKAAGNRKSETSKGNEKHARIKYPATLPKEICRQFSLTEIKAATNNFHPKSLVREGYFVKVYKGIVDYGNLVAVKRFKPDSVQGLDGFQTEVQLLCQLRHQNLVSLIGFCNDKDEKILVYELMKNGSLRDHLYGCNYDPLPWKQRLEICIGAARGLHYLHTGAKHAVIQRDIKSRNILLDDKWVSKLSSFFFSKMRPQPSYSSTSKALKPLHSEIFFGTLGYWDPEYQRDGGLSEKCDVYSFGVVLFEVLCARPVIDRRLDEHKQHLVYWVCRCIGDGTIYNIIDSYLKGKIAPECFKIFVDIAYCCISEKGDTRPEMGEVEMMLELALKMQEKADSEMKDVDPHGECTYGEVSFSIPVSDHSFPVDNSSPLESRCEKGDTQLGVGEMELMLEVALEMQEKADTELVDVDPHRKYIRSLLFYFRKASIFAPPPALSHKISPSSLTQTGGTKKMLPTLQRAAQTQGSLLSNWRYKPKALYSPTGGTTQDPDPDLLLSPFSFTTSPMGNSSHFLPSCFKVFTKTAGYRKSDISEGNEKHARIQYPATLPEEIYRQRQFSLTEIKAATNNFHPISLIAEGFFGNVFKGILDDGNFVAVKRFFPDSVQDALNEFQAEVKLLCQLRHQHLVSLIGFCNDKDELILVYPLMKNGTLCDHLYGSGCDPLPWKQRLEICIGAARGLHYLHTGIKYAIIHSNVDSNNILLDDKWVSKLSSFGFSKMRPQPSYINTSKALKNIDAPMAGILGYVDPEYMRGCGLSEKSDVYSFGVVLFEVLCARPVFDRRLDEYKQHLVYWVCCCIGDGTIYNIIDSYLKGKIAPECFKIFVNIAYCCISEKGERRPDMGEVELMLELALEMQEKADSEVTNVDPYGECMY >EOY18660 pep chromosome:Theobroma_cacao_20110822:10:4253516:4260358:1 gene:TCM_043155 transcript:EOY18660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPRRQNRQRDDHEIEIAELRQQIQELQEQLARRDAQINNSNSSDEENDTNPFHQNLSSDEEVPIRRLRTAATRDLRIKVDILEFEGRLHPDDFLDWLYTENLKRQREREGRNKIRTWDKMRRELKRKFLPEHYRQEIFIKFHNLRQKTMTVEEYTMEFEQLHMKCDVHEPEEQTLARYLGGLNVEIADVVQLQPYWNLNDVIRLTLKVEKQQSRKRSMSSSRQQESISNDESQSSVTIPPPKVNSSKTASSNDKETTFTRASNVNKKCFKCQRFGHIASDCPSRRIISLVEEEDYVNWEKLEPVYDEYDDEEIEEVSADHGEAFIVRRNLNTALMTKDESCLRHNIFYTRCTSQGNVCNVIIDSGSCENVVANYMVEKLKLPTEVHPHPYKLQWLRKGNEVKVTKRCCIQFFIRNKYEDEVWCDVIPMDACHLLLGRPWQYDRRAHYDGYKNTYSFIKDGVKIMLTPLKPEDRPKRQEEDKALITVPSLSKAYCESNHLCLLLVSKENKVSSSLSNDGQTKLINQSSRNLSRSFVDNHAVNKTTIKYDFPIPRLDNMFIGSKVFLKMDLKKRDQQIRIRLGDEWKTTFKTMDELIKWLVWTMTIYGSRHQHGVCLGLLIRAEFF >EOY19557 pep chromosome:Theobroma_cacao_20110822:10:18721337:18725594:-1 gene:TCM_044693 transcript:EOY19557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MVPPPSTPPPNPQQFLSSVLSHLGPSSLPYTKDTKWLIRQYLLSVTSHYPSLEPKTATFTHNDGRSVNLLQADGTIPMPFQGLLTSPPPFTIPTPKPHSHTLSQRTQIPLHVYKHPTAILLELSTSIKEVYQILPHIIKSNLYSQHLFQTKLLSLFCNHGCIPEAACVFEPIEDKLDVLYYTLLKGYAKHSSLNQALSFFVRMKVDNVKPVVYNFTYLLKVCGDNGELRRGKEIHGQLIKNGFSSNVFAMTGVVNLYSKCRQIDEAYKMFDRTPERDLVSWNTIISGFAQNGLAKLALGLVVRMQEEGQRPDSITLVSVLPAVADMGLVKIGKAVHGYVIRAGLEGLVNVNTALLDMYSKCGFVGIGRLVFDGMKQRTAVSWNSMIDGYVQSGNAEEAMVVFQKMLDERVEPTDVTIMGAAHACADLGDLDRGMFVHKVSDQLKLGSNVSVMNSLISMYSKCKRVDIAADIFKKLHGKTLVSWNAMILGFAQNGRSNDALNYFYEMHSRNIRPDTFTMVGVIPALADLSVTRQAKWIHGFCIRSCLDADVFAMTALVDMYAKCGAIHTARKLFDMMNEQHVTTWNAMIDGYGTHGLGKAALELFNEMQKGSIKPNDVTFLCVLSACSHSGMVDEGLCYFNSMKKDYGIEPAMDHYGAMVDLLGRAGRLDEAWNFIQKMTIEPGINVYGAMLGACKIHKNVELGEKAANKLFALNPDEGGYHVLLANIYAMASMWGKVAKVRTLMKKKGLQKTPGCSVVELRNEVHSFYSGTTNHPQSKKIYAFLEELGDKIKAAGYVPDTSSIHDVEDDVKEQLNSTHSERLAIAFGLLNTSQGTPIHIRKNLRVCGDCHNATKYISLVTGREIIVRDMHRFHHFKNGTCSCGDYW >EOY19946 pep chromosome:Theobroma_cacao_20110822:10:24026210:24028313:1 gene:TCM_045347 transcript:EOY19946 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 73B3 MSCQNRQLQIFFLPFMAQGHMIPFIDLAMLFAAKGVKTTIITTTLNVPHISKVTERAKNLGYEINILVTYFPSVEAGLPEGCESYDQASSPDMQFKFFTATTMLREPLAHLLQAHRPDCLVADTFFPWVTDVAAAFGIPRIVFHGTCVFSLSATEHIRLYEPHKKVSSDSEPFVIPNFPGEIKLTRSQMPDFVRQETGFTKFYSESKETELKCYGVIVNSFYELESAYADHYTKVLGRRAWHVGPISLRNKGTIDKTERGKKTCIDENECLAWLNSKKPNSVVYICFGSVTNFSSSQLLEIATGLEASGQQFIWVVRKEMKNEEKEDWLPEGFEKRMEGKGLIIRGWAPQVLILDHEAIGGFVTHCGWNSTLESVCASVPVVTWPVAAEQFYNEKLLTQILRIGIGVGAQKWARLVGDFVKREAIEKAVREIIVGDRADEMRSRAKALAESARKAVEKGGSSDSDLNALIQELSARALKTYK >EOY19828 pep chromosome:Theobroma_cacao_20110822:10:22974232:22975636:1 gene:TCM_045188 transcript:EOY19828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSKASQRVDANMAEILANREAIKIFLALRWVRSHTLMVESNSSNVVSWIRNPKRAQWKHKRDLMILEGIKRRIGECSVRKINREANDIVDELAKSGMREEELLFICD >EOY19504 pep chromosome:Theobroma_cacao_20110822:10:18217646:18218755:1 gene:TCM_044620 transcript:EOY19504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAANPAVALAAQRATVVPVSMCQQLRRNVVTKTHETKIMAAKSNPVVQYEAGLLTSTPRQGLHRNRVSSKRDKTVMAAYPVTIQFATDIPECTECKLENLSGQVLRLDDKTFWEGSILDLPREIIDKAEFTHIADAKGSVIGSVGALVYVIGDGTSKWIIAGSNSKNDLNKVYTEMVSYDVVDWDRIKESTDKCGNTVHIENLGFSSDVEIDQTNAKPTMKEKFTIA >EOY18850 pep chromosome:Theobroma_cacao_20110822:10:5424402:5429178:1 gene:TCM_043348 transcript:EOY18850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESRLAMFKKGNTHLEVATRLSNFLGRKVIKLKTRHVTKNPNVQGLWKTDLKARCSSICGKLVVVSMLGKIKLNTVLFSIATATILLLEDYDDLMVHAFPGYMGSDPYQTQRHFYWVAHPMDLQ >EOY18804 pep chromosome:Theobroma_cacao_20110822:10:4994184:4997025:-1 gene:TCM_043288 transcript:EOY18804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGPIGDWNVRADGWRSLNGDVRSLRVMLEPSGCYGACERWSANKIKELEKENVVVWGREVLIKVVACAVLHTLWLASNLERSFLRE >EOY17651 pep chromosome:Theobroma_cacao_20110822:10:453721:457681:1 gene:TCM_042420 transcript:EOY17651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation proton exchanger, putative MEAANSSFSNDLEPRYRVDGSCWRFIRVASDGLFSEPGDHMFRHTLVVLQLQLAIIFILATIIHLLLRRFHLPRLVSELLAGLILGPTVLGRFFPKISDILFSQQSLKILSELTRFGYLFFMFLIGVKMDVSLITKSGKRAWTIGSIVIVFPMLTIVLIAKYIGRTVDNMDIYNLEWVGLFSGTLMLTSFPVVACLLMHLKIINSELGYLALSSALISDLTSVVIVNLNSYWQLIKLASIRVALKSMFLCIALVVFIIAVLRPMMYWMIRRTPEGKPVRDAYVFLLVIALLLVAIVGDNVGLQYMYGPFILGLAVPTGPPLASILIEKLDTIVTGWMLPLMSTSCGYKSNLWELNRPLPASEIFVITVGFLLKTTCGFIPAICFKMPCKDATALALMLTAKGIVELGTFATNADKQSIVTQQFTLAVVVVFILAAAVPILTRKLYDPSKTYTGYQKRTILNSSTHEGLRVLVCAHRQDDALSAIKLLELSNPTKESPLSVYGLYLEELIGGSTPLLLNHQLGQKSSDGSRWQPVINVFNYFKSQNKKQNQVHVFTAISPPKLMHEDICWVAFDNSVALIILPFHRKWNSKGKMISDSKDLRALNCKVLNKAPCSVGVLIDRSRTRGPSILANSSAYHVCVIFLGGKDDREALALAQRMRGWPSVFLTVVRFIASNDCYQQGWEIMLDDECLRDVKHQSKNNGNVLYKEEMVTDGADTSRLVGSLLEESYDLFLVGRHSRTNSPVISGLSEWIELPELGPIGDLLASSEITNPISAFVVQHQIIEGGCESTLTGFEI >EOY19259 pep chromosome:Theobroma_cacao_20110822:10:15232782:15234154:-1 gene:TCM_044267 transcript:EOY19259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRERREKTNKAEKEKAERKKKRVLRRCDCLRFFVILNVCLKSFQKYSKKPSSQLEPGSLALSDATGGKKTTQTHLYKFGTKVPTASLLDTAQMSEFACGLTSFTNIAATPSPKLEFYNELKQEVTMMKDSIQNMESSITQILQYVRSVGQSGSSSNPSNKEDMPPRDNGTN >EOY18182 pep chromosome:Theobroma_cacao_20110822:10:2299329:2301029:1 gene:TCM_042795 transcript:EOY18182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carrier protein 7 isoform 1 MASQATLLLQKQLKDLCKNPVDGFSAGLVDENNVFEWNVTIMGPPDTLYEGGCFNAIMKFPEDYPVNPPTVRFISEMWHPNVFRSGNVCISILHSPGDDPDGYELASERWNPLHTAASGGIRILPLSLLDHAWSRDGG >EOY18181 pep chromosome:Theobroma_cacao_20110822:10:2299225:2303149:1 gene:TCM_042795 transcript:EOY18181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carrier protein 7 isoform 1 MASQATLLLQKQLKDLCKNPVDGFSAGLVDENNVFEWNVTIMGPPDTLYEGGCFNAIMKFPEDYPVNPPTVRFISEMWHPNVFRSGNVCISILHSPGDDPDGYELASERWNPLHTVESIVLSIISMLSSPNDESPANVDAAIDWRENVEEFKQKVKRCVKKSQDLL >EOY18222 pep chromosome:Theobroma_cacao_20110822:10:2422673:2424561:-1 gene:TCM_042822 transcript:EOY18222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein isoform 1 MSLNRSRSPPHGKRFRSIERVSYRDAPHSRDRRNHRYEITDYLCNKCKRPGHFARECPNMTVCNNCRLPGHVAAECNSTTMCWNCKEPGHLANQCPNEPVCHMCGNMGHLARDCINLGLPAHDARLCNNCYKQGHIAADCTNEKACNNCRKTGHLARDCLYEPVCNICNISGHVARHCTKSSLSSDMGSPFQNIICRNCGQPGHISRDCVSIVISNNCHGRGHLHYECPSARMYDCLGVRR >EOY18221 pep chromosome:Theobroma_cacao_20110822:10:2422575:2424815:-1 gene:TCM_042822 transcript:EOY18221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein isoform 1 MSLNRSRSPPHGKRFRSIERVSYRDAPHSRDRRNHRQDYLCNKCKRPGHFARECPNMTVCNNCRLPGHVAAECNSTTMCWNCKEPGHLANQCPNEPVCHMCGNMGHLARDCINLGLPAHDARLCNNCYKQGHIAADCTNEKACNNCRKTGHLARDCLYEPVCNICNISGHVARHCTKSSLSSDMGSPFQNIICRNCGQPGHISRDCVSIVISNNCHGRGHLHYECPSARMYDCLGVRR >EOY17941 pep chromosome:Theobroma_cacao_20110822:10:1524985:1527128:1 gene:TCM_042633 transcript:EOY17941 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyltransferase family 1 protein MDQEQQPQAYPHVLLFPLPAQGHVNSMLKLAELFALAGLEVTFLNSDHNHERLVRHTDVGFRFAKYPRFKFETIPDGLREDHPRLGVSFMELFESMELRTKPILREMLVKINPPVDCIIGDGALGLVLDVADELGIPIFQFRTISASGIWAYFAIPDMIEAGELPIRGNEDMDRLITRVPGMETFLRCRDLPSFCRDSDMTDSNLQLIAKETRKNLSAPGLILNTFEDLEGAILSHMRSKCPKIYTVGPLHLHLNTRLAETNGTMVHQSPNSLWEVDRSCLSWLDEQPKESVVYVSFGSIAVLSREQIMEFWFGLVNSRKRFLWVFRPDFMPEKGSIPAELVEGTKQRGYMVGWAPQEEVLAHCAIGGFLTHSGWNSTLESLVAGVPMICWPYFADQQLNSRFVSEVWKLGLDMKDVCDRRVVEKMVNDLIVDKREEFVKSSAEIAKLAKACVNVGGSSYCNLDRLIEDIRSMNRNCSK >EOY20198 pep chromosome:Theobroma_cacao_20110822:10:25227879:25229577:1 gene:TCM_045574 transcript:EOY20198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEISASNATRLLCLYGFLVTSLLFSQINADGKIKQVTDPTGNVNLSPFQQWKSAYECLQNKSTSCSDKYILTEAGWMNITTADTDEFCKPGGCGEHTMAVLTCIHLVKRDYKFANKATVQDLIVTITQGCDYGFNGTTIISDARRSSKSGIEFIISILVALFLSMHFHD >EOY18601 pep chromosome:Theobroma_cacao_20110822:10:3950123:3952377:1 gene:TCM_043105 transcript:EOY18601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-31-A MYRFSNTVIGFLNLFTLLASIPIIGAGLWMAKSSTTCESFLQTPLLVLGFVILIISLAGFIGACFNVVWALWVYLLVMLFIIATLMGLTIFGFVVTSRGRGQEVPGRVYREYRLEDYSPWLRNRIKDPRYWNTIRSCLLNSRTCAKVAFWTPLDYLNKDMTPVQSGCCKPPTSCDYNMVTMVAQNPDCYRWNNAPTMLCYECDSCKAGVLESVRRDWHKLSVLNIVMVLLLIGIYSIGCCAFQNTKRAETDYPYGQNRMSKVRPRWDYYWWRWWRDKREQLY >EOY19546 pep chromosome:Theobroma_cacao_20110822:10:18643711:18655503:-1 gene:TCM_044678 transcript:EOY19546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomerase reverse transcriptase, putative isoform 1 MARKKKRSWKVPKILWRIFNEKARSLATTITCIIPPPPSQPFPIPCRCEGRSCLQCCEDPISFLLRPDDPLDYKKLLHDCFVVVNDDAPFLEFNPGRHWSQKQIVGRVIEMMLFQRPKPCNLVCTGYNKLTRSSMIVELLTSSAWDILLERVGDECMVYLLWHASIFLPLSHKKHLQVAGSPINKLCKKSSNNERKPKSGIQELNILCVFVHIDRAGKKRKGTDNSISVSKRQQCSSFSGYDIYCAGSRIQEAAAKSSNGELQRSSSQTAEKHKKFYRPFDWKRQKRHRQLNIPECRHEIISRTIFSDESCLPGNLKSPSNISQMPVQCSCYLMLKAPHLFSHWNEINRQSMFYNLECSSSVLPQELPNFSSSKRLMENIFCLSDANIRYSRVVMFYEFCGIVNGGSDLAVASLGYHSFLKLLKMLIRRSRRCKSLKLLEKYCPLSFFNQKAVGKSSTIVESNILDKRVLKESHGVGAKEYNKILEADNVQLESTKPYCLQSQVGAFIWAVCRSIVPPDLLGTPFNWRILRRNIFKFIRLRRFEKFSMKQCMHQLKRSDFPFLLNNHTSCCLNGQVPKNGTGQKKFSEASFSIHDIKHKLFVNWIFWFFSSLVVSLVQANFYVTESEHGKQDVFYYRKPVWEKLTDHAITCLKDRSYLELDEAAVRAIIDKRPFGFSRLRLCPKQNGVRMLANLKASSRMLEGKSCSKHKCSWMHRSLKACSRKVKSKRFKSVNSVLRGTHAVLKGLLLKEPEKLGSSVFDYNDVYRKLCPFLTTLKNVSTTVPGLFVVVADVSKAFDSIDQDKLLSIMEDVITKDEYHLQQIRQVGCSSRCLWDYENLMLVDGTVNKGSNLISSVPVRSLSSVLVDQGCSRILKKEELFSNLYEHVKRNVLQLDKKFYLQGMGIPQGSVLSSLLCSLYYGYMEKHEIFPYLEKTFEPAAEDLSTRHVFSDASDAQNSSEDAVIFPPTYLLLRFIDDFLFISTSKEQASGFLSMLRRGFPDYNCYMNEEKFCLNFDIEHQAGLLSNRIYVVDDGSSFLRWSGLLINCCSLEIQGDYTRYLDNHLSSTLTIRWQGKPGNYLKKKLCGFMRPRCHPLFFDLNINSASVVRLNIYQAFLLSAMKFHRYVSEISEIFKPFGRYCLKIIERSFRYMQRLIGKRMGSIRLGSGLSPVLKLMKEEVVWLGLNAYIEVLKRKQSRHRVLLSMLRCKYFAHRITGNESSELRYAVERSHSSSLWKIKY >EOY19545 pep chromosome:Theobroma_cacao_20110822:10:18643711:18655503:-1 gene:TCM_044678 transcript:EOY19545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomerase reverse transcriptase, putative isoform 1 MARKKKRSWKVPKILWRIFNEKARSLATTITCIIPPPPSQPFPIPCRCEGRSCLQCCEDPISFLLRPDDPLDYKKLLHDCFVVVNDDAPFLEFNPGRHWSQKQIVGRVIEMMLFQRPKPCNLVCTGYNKLTRSSMIVELLTSSAWDILLERVGDECMVYLLWHASIFLPLSHKKHLQVAGSPINKLCKKSSNNERKPKSGIQELNILCVFVHIDRAGKKRKGTDNSISVSKRQQCSSFSGYDIYCAGSRIQEAAAKSSNGELQRSSSQTAEKHKKFYRPFDWKRQKRHRQLNIPECRHEIISRTIFSDESCLPGNLKSPSNISQMPVQCSCYLMLKAPHLFSHWNEINRQSMFYNLECSSSVLPQELPNFSSSKRLMENIFCLSDANIRYSRVVMFYEFCGIVNGGSDLAVASLGYHSFLKLLKMLIRRSRRCKSLKLLEKYCPLSFFNQKAVGKSSTIVESNILDKRVLKESHGVGAKEYNKILEADNVQLESTKPYCLQSQVGAFIWAVCRSIVPPDLLGTPFNWRILRRNIFKFIRLRRFEKFSMKQCMHQLKRSDFPFLLNNHTSCCLNGQVPKNGTGQKKFSEASFSIHDIKHKLFVNWIFWFFSSLVVSLVQANFYVTESEHGKQDVFYYRKPVWEKLTDHAITCLKDRSYLELDEAAVRAIIDKRPFGFSRLRLCPKQNGVRMLANLKASSRMLEGKSCSKHKCSWMHRSLKACSRKVKSKRFKSVNSVLRGTHAVLKGLLLKEPEKLGSSVFDYNDVYRKLCPFLTTLKNVSTTVPGLFVVVADVSKAFDSIDQDKLLSIMEDVITKDEYHLQQIRQVGCSSRCLWDYENLMLVDGTVNKGSNLISSVPVRSLSSVLVDQGCSRILKKEELFSNLYEHVKRNVLQLDKKFYLQGMGIPQGSVLSSLLCSLYYGYMEKHEIFPYLEKTFEPAAEDLSTRHVFSDASDAQNSSEDAVIFPPTYLLLRFIDDFLFISTSKEQASGFLSMLRRGFPDYNCYMNEEKFCLNFDIEHQAGLLSNRIYVVDDGSSFLRWSGLLINCCSLEIQGDYTRSVFCMVFGQPLKFHSYHPLARLNIYQAFLLSAMKFHRYVSEISEIFKPFGRYCLKIIERSFRYMQRLIGKRMGSIRLGSGLSPVLKLMKEEVVWLGLNAYIEGYIQV >EOY18123 pep chromosome:Theobroma_cacao_20110822:10:2123800:2126375:-1 gene:TCM_042753 transcript:EOY18123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MGSCFSARIKAESPLHNEANSGHGGGKYGNGMSGSSSRISSVSVARTEGEILQSSNLKSFSFSELRTATRNFRPDSVLGEGGFGCVFKGWVDENSLTAAKPGTGMVIAVKRLNQEGLQGHQEWLAEINYLGQLYHPNLVKLVGYCLEDDHRLLVYEFMPKGSLENHLFRSELCLYIFLSLASSILFISRILSKTSGHLTARSDVYSFGVVLLEMLTGKRAVDKNRPSREQNLVDWAKPYLTSKRKILQVMDARIEGQYTLDVALKAAYVALQCLSIEPKLRPNMNAVVKVLEQLQDTGDKGGPRNASVQNSHQNSRNAAKFQRKNANDVCNGIDGSCPQPSASPLPT >EOY18122 pep chromosome:Theobroma_cacao_20110822:10:2123792:2126491:-1 gene:TCM_042753 transcript:EOY18122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MGSCFSARIKAESPLHNEANSGHGGGKYGNGMSGSSSRISSVSVARTEGEILQSSNLKSFSFSELRTATRNFRPDSVLGEGGFGCVFKGWVDENSLTAAKPGTGMVIAVKRLNQEGLQGHQEWLAEINYLGQLYHPNLVKLVGYCLEDDHRLLVYEFMPKGSLENHLFRRNSYFQPLSWNLRMKVALGAAKGLAFLHSDEAKVIYRDFKTSNVLIDSNFNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYMATGHLTARSDVYSFGVVLLEMLTGKRAVDKNRPSREQNLVDWAKPYLTSKRKILQVMDARIEGQYTLDVALKAAYVALQCLSIEPKLRPNMNAVVKVLEQLQDTGDKGGPRNASVQNSHQNSRNAAKFQRKNANDVCNGIDGSCPQPSASPLPT >EOY20251 pep chromosome:Theobroma_cacao_20110822:10:25346726:25348070:-1 gene:TCM_045609 transcript:EOY20251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLVKEQVADWGNIVGDILRCIADKTYSFQDRVRMGVVCRSWQASLKNEKINFHIFLMLAEKKNSDRRCFNIGATETILELELPEIRGKRCWGTPFGWLVTYGLDLEIGLFNPLSRASISLPSQRTLDYDITHHTPQQLRLYFIHKVLLSSSPTSSDCIVMIIYGRVVDGEMDSLAFAKPGDQAWTQIPFTSLLDDVNYFNGNFFAVSSMGQLFLFEDLNGPAPKVVEFAAPPPIDEPHDKKYIVDLGGHLCMMSRLQFPNEVSYDSGKVEQVNLTEDFDIFKLDMHTKNWERILSLGDHSLFLGNCSTFSVLAADHPGCKPNCIYFTDDNPYYYTRVSVSDIGIYNCDIREDVDYIDDDEVPDLLNSFSPPLWIKLF >EOY18156 pep chromosome:Theobroma_cacao_20110822:10:2209334:2211060:-1 gene:TCM_042772 transcript:EOY18156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-11 isoform 2 MAEAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKTEYDESGPSIVHRKCF >EOY18157 pep chromosome:Theobroma_cacao_20110822:10:2208896:2211970:-1 gene:TCM_042772 transcript:EOY18157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-11 isoform 2 MAEAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQLGTLLCSSFVIHLCLFSCWSDDEL >EOY17652 pep chromosome:Theobroma_cacao_20110822:10:456835:461329:1 gene:TCM_042421 transcript:EOY17652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation proton exchanger, putative MMSVVIVTAVITPLIRKLYDPSKQYASVKRSTIHHAKRDSEFRIVVCLHSHESVPTIMNLLEVSHASRESPIAVTAMVLVELVGRSIPILVPNHSRRLVPTNSSTAGRVCNAFSQYEEYNQGCASVQSYTSISHFQTMHDDICRIAFEKRAHIVIVPFHKQWAIDGKIGSMSRPIQNLNINVLEKAPCSVGILIDRGVLNGFVSARTSSKFQVAVLFIGGPDDMESLAYGCRMVKHESVNLTVIRFLLFGGENSKDRKNDSHLINEHRQDNMGNERFLYVEEVLRDAEGLSSYIRGAIAHFHLILVGRYHPQSPLLEGLGEWSECPELGIVGDMLASPDYKTTATVLVIQQQRLGGKLFNHNLPFVGTLVGNQLQAIANFLYIIPRVQRMLLSTRQFLGIKSRRIQGIPISSSLTKEAIISA >EOY17579 pep chromosome:Theobroma_cacao_20110822:10:240909:244715:1 gene:TCM_042373 transcript:EOY17579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine synthase isoform 2 MRWLLDWRLADEAITEDCSEEEKDPAYRESVRCKVFLGFTSNLISSGLRDTVRYLTEHHMVDVIVTTTGGIEEDLIKCLAPTYKGDFYLPGAQLRSRGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLKEQLEENVLWTPSKLIARLGREINNESSYLYWAYKNEIPVFCPGLTDGSLGDMLYFHSFHSPGLIIDVVQDIRAMNSEAVHASPRKTGMIILGGGLPKHHICNANMMRNGADYAVYINTAQEFDGSDSGARPDEAISWGKIRGSARTVKVHCDATIAFPLLVAETFASRWKKSVNIKA >EOY17578 pep chromosome:Theobroma_cacao_20110822:10:240888:244926:1 gene:TCM_042373 transcript:EOY17578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine synthase isoform 2 MEDKLMNSVHSTVFKESDSLEGKCTKIEGYDFNQGVNYSQLLKSMLSTGFQASNLGEAMEIVNEMLDWRLADEAITEDCSEEEKDPAYRESVRCKVFLGFTSNLISSGLRDTVRYLTEHHMVDVIVTTTGGIEEDLIKCLAPTYKGDFYLPGAQLRSRGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLKEQLEENVLWTPSKLIARLGREINNESSYLYWAYKNEIPVFCPGLTDGSLGDMLYFHSFHSPGLIIDVVQDIRAMNSEAVHASPRKTGMIILGGGLPKHHICNANMMRNGADYAVYINTAQEFDGSDSGARPDEAISWGKIRGSARTVKVHCDATIAFPLLVAETFASRWKKSVNIKA >EOY19286 pep chromosome:Theobroma_cacao_20110822:10:15963060:15966284:-1 gene:TCM_044334 transcript:EOY19286 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP-like protein MSSSSQAQRSSSSPDPSTQNSVLEGKAAAGADDLPRQGEANPCGTLVRRAVSDSHALSKALFEDWIPGLAADGAEENSVGLTGLESTLVYGPNSPRRKSASVSDYALASALSKSVSQNMQQSVGEPAIAKTVKRNSWQHSRDRQVQYIAELERTINILQTLNTELEVRVISQNQQCVALYMENNILMQRLATLEDQIFIKEGQYQLLKKENERLMNAFASGAPEAARSLVTWQILDMTKLNLN >EOY18988 pep chromosome:Theobroma_cacao_20110822:10:7501685:7502503:-1 gene:TCM_043556 transcript:EOY18988 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein isoform 1 MVGRGRGRGRGNQPQQAELAKMRRMIDDLTRAMQALQLQELVEARMENPKGDHNPFEIHNLEDDDEFENENPFHEDVPVNQAARVGLKGRLLYALDLNGGGIRIEVIDFHEKFHAEEYLDWEASLENYFEWKPMAENRKVLFVKLKLKGTALQW >EOY18987 pep chromosome:Theobroma_cacao_20110822:10:7501375:7505044:-1 gene:TCM_043556 transcript:EOY18987 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein isoform 1 MVGRGRGRGRGNQPQQAELAKMRRMIDDLTRAMQALQLQELVEARMENPKGDHNPFEIHNLEDDDEFENENPFHEDVPVNQAARVGLKGRLLYALDLNGGGIRIEVIDFHEKFHAEEYLDWEATTCTTRDEMGVVRLYNIKDARQYALSAEKKVSRYDAIKALYGTDWRNDLS >EOY19866 pep chromosome:Theobroma_cacao_20110822:10:23387872:23388730:-1 gene:TCM_045250 transcript:EOY19866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPKIAAVSLNPEIIIPETTYLDNGLVYISDAAQHSGKLTYKNYWTWQAQFKALLNGFDLLGYVDGSKQCPPATVMKNNQAASNPDYVLWYRQDQLVLHAILTCISQEDLLYKLVAKRTGVETAEAAWNMISRILEH >EOY18260 pep chromosome:Theobroma_cacao_20110822:10:2559391:2561285:1 gene:TCM_042845 transcript:EOY18260 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MIISESMKTNFVCNVEPFSDNYLSPGRGNPMKAEEKMGRPMKAEGKRGKKNPETEHDPDDSQRNTAKRQNMIQMTAKETMQRNDDSALLAAIAPNDVLHLDFSAGEQGLSRSFNWLLPLNLPARDKWPFPYKHDKLLELNLPLQQFKIKALYLESACCLSSKALTSMVSYLPFLESLTIAKCNGVQSLDIENAARLQKLTVLDCPQLEYFCFGGSSCLKSFQYRGRLVSFRFKPSCKFNSYHSLNEHFYRCGFHLEAAMLDFRQGPLTRWTWDFEMPTSAGPNGFYKICNCGSTTLFQCFKLILNSVGRAESLTMCRWLFEACFSNLSKLSKENFSFMLLYSYPLITD >EOY20248 pep chromosome:Theobroma_cacao_20110822:10:25344304:25345641:1 gene:TCM_045607 transcript:EOY20248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMQKNQKLLIREMLITYCERLPFRERTMGNKRCRVRAMKIIWMLVSFHLLNQASAHLMATKCTRAVSQLQPPLGQGHSTPFQHLGVANQVR >EOY17681 pep chromosome:Theobroma_cacao_20110822:10:558856:563178:1 gene:TCM_042444 transcript:EOY17681 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MDSPNPSGALTGTRFSDLKPPLSEPVIEALSQSGFTFCTPVQAATIPLLCSFKDVAVDAATGSGKTLAFLIPVVEILRRSSTSPPKRHQVMGLIISPTRELSSQIYNVAQPLISTLSNVKSMLLVGGVEVKADMKKIEEEGANLLIGTPGRLYDIMDRMDVLDFRNLEILILDEADRLLDMGFQKQINYIISRLPKLRRTGLFSATQTEAVEELSKAGLRNPVRVEVKAEMKSLNNSASSKQLASSKTPSSLHLEYLECEADKKPSQLVDLLIKNKSKKIIIYFMTCACVDYWGVVLPRLTALKGFSLISLHGKMKQTAREKALAAFTSLSSGILLCTDVAARGLDIPGVDCIVQYDPPQDPNVFIHRVGRTARLGRQGSAIVFLLPKEEAYVEFLRIRRVPLQERKCIDDASDVVPQIRSAAMKDRDVMEKGLRAYVSYIRAYKEHHCSYIFRWKELEVGKLGMGYGLLQLPSMPEVKHHSLSAEGFTPVENVNRDDIKYKDKSREKQRKKNLQAKKERKQQESKPQKPKKDSNAAAPVMKKKTAKQRRAAQTIEDEEELTREYRLLKKLKKGAIDESEFAKLTGTEDLL >EOY17619 pep chromosome:Theobroma_cacao_20110822:10:366880:374600:-1 gene:TCM_042398 transcript:EOY17619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MKGIMETFFHGLSLGFTIVRSFVLALYTFNSVVPLFVPIVNPPQPAPNLEILPVPAPMPDHPLKQFSVLPLIPKTKQMIVLEWLFLTITPCDAAEPWQLGFQDATTLMMQGIIDLHHDIFFFLILILVFVLRIFVRALWHFHYHKNPTAQKIVHGTTIEILQTIFSSIIPMFIAIPSFALLYSMDEAVISISIQREGVDYGQCSEICGTNHAFKPPFGNRPPFLQVNKIYTQAKASIPSLKVYMNYRLTKSSLTLQRPLSKFISTFMAALQHSWLSTLNTTAFFSSSNRQKNSPFKLSFSQKPNSEETSPESAEGPVDPVKLPFERAKAYKKMKVNPDSKTEPNPGEDSGRDRKKTDGSKEIPASVKVALRKAREYKENTGVLGGVDNASESRIASGKNTESGGTSQLGKTAEKKVQKEEKLLISSIDFVGLEFADKKKSRGLPAGLAPVSDPFPEGDLPDVEIIVGDTSKFGEATTSEEKQTTEDSSEIYKPKVSTWGVFPRPGNISKTFGGGRTIRPGEVLESEEDKAAKNERTRQLLTAYKKKIGLNVDPKLRSECEKALKDGDSLMDSGKLKEALSCYERVMEKMPYQSELHGFAALQWSICQDSLRRYIINYFNLLEH >EOY17618 pep chromosome:Theobroma_cacao_20110822:10:365711:374600:-1 gene:TCM_042398 transcript:EOY17618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MKGIMETFFHGLSLGFTIVRSFVLALYTFNSVVPLFVPIVNPPQPAPNLEILPVPAPMPDHPLKQFSVLPLIPKTKQMIVLEWLFLTITPCDAAEPWQLGFQDATTLMMQGIIDLHHDIFFFLILILVFVLRIFVRALWHFHYHKNPTAQKIVHGTTIEILQTIFSSIIPMFIAIPSFALLYSMDEAVISISIQREGVDYGQCSEICGTNHAFKPPFGNRPPFLQVNKIYTQAKASIPSLKVYMNYRLTKSSLTLQRPLSKFISTFMAALQHSWLSTLNTTAFFSSSNRQKNSPFKLSFSQKPNSEETSPESAEGPVDPVKLPFERAKAYKKMKVNPDSKTEPNPGEDSGRDRKKTDGSKEIPASVKVALRKAREYKENTGVLGGVDNASESRIASGKNTESGGTSQLGKTAEKKVQKEEKLLISSIDFVGLEFADKKKSRGLPAGLAPVSDPFPEGDLPDVEIIVGDTSKFGEATTSEEKQTTEDSSEIYKPKVSTWGVFPRPGNISKTFGGGRTIRPGEVLESEEDKAAKNERTRQLLTAYKKKIGLNVDPKLRSECEKALKDGDSLMDSGKLKEALSCYERVMEKMPYQSELHGFAALQWSICQDSLHQVRLGLCMRSSSLIQMPK >EOY17617 pep chromosome:Theobroma_cacao_20110822:10:366166:371518:-1 gene:TCM_042398 transcript:EOY17617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MKGIMETFFHGLSLGFTIVRSFVLALYTFNSVVPLFVPIVNPPQPAPNLEILPVPAPMPDHPLKQFSVLPLIPKTKQMIVLEWLFLTITPCDAAEPWQLGFQDATTLMMQGIIDLHHDIFFFLILILVFVLRIFVRALWHFHYHKNPTAQKIVHGTTIEILQTIFSSIIPMFIAIPSFALLYSMDEAVISISIQREGVDYGQCSEICGTNHAFKPPFGNRPPFLQVNKIYTQAKASIPSLKVYMNYRLTKSSLTLQRPLSKFISTFMAALQHSWLSTLNTTAFFSSSNRQKNSPFKLSFSQKPNSEETSPESAEGPVDPVKLPFERAKAYKKMKVNPDSKTEPNPGEDSGRDRKKTDGSKEIPASVKVALRKAREYKENTGVLGGVDNASESRIASGIDVLGKNTESGGTSQLGKTAEKKVQKEEKLLISSIDFVGLEFADKKKSRGLPAGLAPVSDPFPEGDLPDVEIIVGDTSKFGEATTSEEKQTTEDSSEIYKPKVSTWGVFPRPGNISKTFGGGRTIRPGEVLESEEDKAAKNERTRQLLTAYKKKIGLNVDPKLRSECEKALKDGDSLMDSGKLKEALSCYERVMEKMPYQSELHGFAALQWSICQDSLRRPSEARIMYEKLQSHPNAKVSKKARQFTFSFKAMEMMKVTGSNPSLKNTGYQNYFEAFIEDKAYNSLEGAEVTEGALNQALPYVIFLLSPIIAVLFIAVQKGYAN >EOY19107 pep chromosome:Theobroma_cacao_20110822:10:10396219:10411491:-1 gene:TCM_043844 transcript:EOY19107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Map3k delta-1 protein kinase, putative isoform 6 MMYGNQSDSCYGLQVQVNGNLIATGFGQQEQQQMMASFNKSWAQQTEESYQLQLALALRVSSQAASAADSYFLDFNSDANKNNRNGSFPLTSQDVSHRFWVNGSLSYFDRILDGFYLIHGMDPYAWTISADQGEIGQMPSFDSLKAIDPHDDLSIKVVLIDKLRDPRLRELQNCVLKISSSWVSTKDVIDQLARLVCNQMGDAASSEEGVYRQWKECTKVLKDCLGSIVFPIGSLSFGLCVHRALLFKVLADLVNLPCRITKGCKYCQREDASSCLVQLGVDREYLVDMFAEPGALSRPDSSLNGTSSILVSSPLCHPRFKLVETATSIRKLSKLYFVDDQSCKHTFDDASSDNASNQDEQTGPQLSKAFDMNYFNKNKLVSTLINNNGSTLSPLHQRTAWNIYCDKDLQMQNSSNLIPKAIASSHLVRSPLLPSSVPSGMQNDACQALAFSDPRQCTANSVLFKQSDQPVMSIDHGDLDIPWSELVLKEKIGAGSFGTVHRAELRGCEVAVKILLEQGFHAERFREFLREVAIMKRLRHPNIVLFMGAVTQPPKLSIVTEYLSRGSLFRLLQMPDAALVLDERCRLNMALDVARGMNYLHQLKPPIVHRDLKSPNLLVDSTYTVKVCDFGLSCSKANTFLSSKTAVGTPEWMAPEVLCDEPSNEKSDVYSFGVVLWELVTLQQPWKNLNPPQVVAAVGFKGKRLEIPSNVNPVVASLIELCWANDTSKRPSFSYVMECLYQVITNTASQKFHRQIS >EOY19109 pep chromosome:Theobroma_cacao_20110822:10:10399365:10411440:-1 gene:TCM_043844 transcript:EOY19109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Map3k delta-1 protein kinase, putative isoform 6 MMYGNQSDSCYGLQVQVNGNLIATGFGQQEQQQMMASFNKSWAQQTEESYQLQLALALRVSSQAASAADSYFLDFNSDANKNNRNGSFPLTSQDVSHRFWVNGSLSYFDRILDGFYLIHGMDPYAWTISADQGEIGQMPSFDSLKAIDPHDDLSIKVVLIDKLRDPRLRELQNCVLKISSSWVSTKDVIDQLARLVCNQMGDAASSEEGVYRQWKECTKVLKDCLGSIVFPIGSLSFGLCVHRALLFKVLADLVNLPCRITKGCKYCQREDASSCLVQLGVDREYLVDMFAEPGALSRPDSSLNGTSSILVSSPLCHPRFKLVETATSIRKLSKLYFVDDQSCKHTFDDASSDNASNQDEQTGPQLSKAFDMNYFNKNKLVSTLINNNGSTLSPLHQRTAWNIYCDKDLQMQNSSNLIPKAIASSHLVRSPLLPSSVPSGMQNDACQALAFSDPRQCTANSVLFKQSDQPVMSIDHGDLDIPWSELVLKEKIGAAGSFGTVHRAELRGCEVAVKILLEQGFHAERFREFLREVAIMKRLRHPNIVLFMGAVTQPPKLSIVTEYLSRGSLFRLLQMPDAALVLDERCRLNMALDVARGMNYLHQLKPPIVHRDLKSPNLLVDSTYTVKVCDFGLSCSKANTFLSSKTAVGTPEWMAPEVLCDEPSNEKSDVYSFGVVLWELVTLQQPWKNLNPPQVVAAVGFKGKRLEIPSNVNPVVASLIELCWANDTSKRPSFSYVMECLYQVITNTASQKFHRQIS >EOY19108 pep chromosome:Theobroma_cacao_20110822:10:10399660:10411265:-1 gene:TCM_043844 transcript:EOY19108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Map3k delta-1 protein kinase, putative isoform 6 MMYGNQSDSCYGLQVQVNGNLIATGFGQQEQQQMMASFNKSWAQQTEESYQLQLALALRVSSQAASAADSYFLDFNSDANKNNRNGSFPLTSQDVSHRFWVNGSLSYFDRILDGFYLIHGMDPYAWTISADQGEIGQMPSFDSLKAIDPHDDLSIKVVLIDKLRDPRLRELQNCVLKISSSWVSTKDVIDQLARLVCNQMGDAASSEEGVYRQWKECTKVLKDCLGSIVFPIGSLSFGLCVHRALLFKVLADLVNLPCRITKGCKYCQREDASSCLVQLGVDREYLVDMFAEPGALSRPDSSLNGTSSILVSSPLCHPRFKLVETATSIRKLSKLYFVDDQSCKHTFDDASSDNASNQDEQTGPQLSKAFDMNYFNKNKLVSTLINNNGSTLSPLHQRTAWNIYCDKDLQMQNSSNLIPKAIASSHLVRSPLLPSSVPSGMQNDACQALAFSDPRQCTANSVLFKQSDQPVMSIDHGDLDIPWSELVLKEKIGAGSFGTVHRAELRGCEVAVKILLEQGFHAERFREFLREVAIMKRLRHPNIVLFMGAVTQPPKLSIVTEYLSRGSLFRLLQMPDAALVLDERCRLNMALDVARGMNYLHQLKPPIVHRDLKSPNLLVDSTYTVKVCDFGLSCSKANTFLSSKTAVGTPEWMAPEVLCDEPSNEKSDVYSFGVVLWELVTLQQPWKNLNPPQVVAAVGFKGKRLEIPSNVNPVVASLIELCWANDTSKRPSFSYVMECLYQVITNTASQKFHRQIS >EOY19110 pep chromosome:Theobroma_cacao_20110822:10:10397467:10411491:-1 gene:TCM_043844 transcript:EOY19110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Map3k delta-1 protein kinase, putative isoform 6 MMYGNQSDSCYGLQVQVNGNLIATGFGQQEQQQMMASFNKSWAQQTEESYQLQLALALRVSSQAASAADSYFLDFNSDANKNNRNGSFPLTSQDVSHRFWVNGSLSYFDRILDGFYLIHGMDPYAWTISADQGEIGQMPSFDSLKAIDPHDDLSIKVVLIDKLRDPRLRELQNCVLKISSSWVSTKDVIDQLARLVCNQMGDAASSEEGVYRQWKECTKVLKDCLGSIVFPIGSLSFGLCVHRALLFKVLADLVNLPCRITKGCKYCQREDASSCLVQLGVDREYLVDMFAEPGALSRPDSSLNGTSSILVSSPLCHPRFKLVETATSIRKLSKLYFVDDQSCKHTFDDASSDNASNQDEQTGPQLSKAFDMNYFNKNKLVSTLINNNGSTLSPLHQRTAWNIYCDKDLQMQNSSNLIPKAIASSHLVRSPLLPSSVPSGMQNDACQALAFSDPRQCTANSVLFKQSDQPVMSIDHGDLDIPWSELVLKEKIGAGSFGTVHRAELRGCEVAVKILLEQGFHAERFREFLREVAIMKRLRHPNIVLFMGAVTQPPKLSIVTEYLSRGSLFRLLQMPDAALVLDERCRLNMALDVARGMNYLHQLKPPIVHRDLKSPNLLVDSTYTVKVCDFGLSCSKANTFLSSKTAVGTPEWMAPEVLCDEPSNEKSDVYSFGVVLWELVTLQQPWKNLNPPQVVAAVGFKGKRLEIPSNVNPVVASLIELCWAKILAVHYFSFAS >EOY19112 pep chromosome:Theobroma_cacao_20110822:10:10397402:10411276:-1 gene:TCM_043844 transcript:EOY19112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Map3k delta-1 protein kinase, putative isoform 6 MMYGNQSDSCYGLQVQVNGNLIATGFGQQEQQQMMASFNKSWAQQTEESYQLQLALALRVSSQAASAADSYFLDFNSDANKNNRNGSFPLTSQDVSHRFWVNGSLSYFDRILDGFYLIHGMDPYAWTISADQGEIGQMPSFDSLKAIDPHDDLSIKVVLIDKLRDPRLRELQNCVLKISSSWVSTKDVIDQLARLVCNQMGDAASSEEGVYRQWKECTKVLKDCLGSIVFPIGSLSFGLCVHRALLFKVLADLVNLPCRITKGCKYCQREDASSCLVQLGVDREYLVDMFAEPGALSRPDSSLNGTSSILVSSPLCHPRFKLVETATSIRKLSKLYFVDDQSCKHTFDDASSDNASNQDEQTGPQLSKAFDMNYFNKNKLVSTLINNNGSTLSPLHQRTAWNIYCDKDLQMQNSSNLIPKAIASSHLVRSPLLPSSVPSGMQNDACQALAFSDPRQCTANSVLFKQSDQPVMSIDHGDLDIPWSELVLKEKIGAGSFGTVHRAELRGCEVAVKILLEQGFHAERFREFLREVAIMKRLRHPNIVLFMGAVTQPPKLSIVTEYLSRGSLFRLLQMPDAALVLDERCRLNMALDVARGMNYLHQLKPPIVHRDLKSPNLLVDSTYTVKVCDFGLSCSKANTFFSFAS >EOY19111 pep chromosome:Theobroma_cacao_20110822:10:10399143:10410986:-1 gene:TCM_043844 transcript:EOY19111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Map3k delta-1 protein kinase, putative isoform 6 MDPYAWTISADQGEIGQMPSFDSLKAIDPHDDLSIKVVLIDKLRDPRLRELQNCVLKISSSWVSTKDVIDQLARLVCNQMGDAASSEEGVYRQWKECTKVLKDCLGSIVFPIGSLSFGLCVHRALLFKVLADLVNLPCRITKGCKYCQREDASSCLVQLGVDREYLVDMFAEPGALSRPDSSLNGTSSILVSSPLCHPRFKLVETATSIRKLSKLYFVDDQSCKHTFDDASSDNASNQDEQTGPQLSKAFDMNYFNKNKLVSTLINNNGSTLSPLHQRTAWNIYCDKDLQMQNSSNLIPKAIASSHLVRSPLLPSSVPSGMQNDACQALAFSDPRQCTANSVLFKQSDQPVMSIDHGDLDIPWSELVLKEKIGAGSFGTVHRAELRGCEVAVKILLEQGFHAERFREFLREVAIMKRLRHPNIVLFMGAVTQPPKLSIVTEYLSRGSLFRLLQMPDAALVLDERCRLNMALDVARGMNYLHQLKPPIVHRDLKSPNLLVDSTYTVKVCDFGLSCSKANTFLSSKTAVGTPEWMAPEVLCDEPSNEKSDVYSFGVVLWELVTLQQPWKNLNPPQVNLVTTYVHVSIRHPSTYCSGVVCCLLH >EOY19795 pep chromosome:Theobroma_cacao_20110822:10:22562586:22570148:-1 gene:TCM_045134 transcript:EOY19795 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MSFFDALSAIGEVVVSKFFDFLIDKLVSSDLLQFATEKKIHEGMEKLKRELLEIRAVLDDAEERQLKDKFVKIWLFDLQILAYDVDDVLDELATEISRRNLMMERRGSSSKKPRLMITDPLDAVNAVNFYRDMMSKINDVTVRLKALEPERKKLQKRMKDCHRSKRIEERLQPTSVEIETHVYGRDKDKEKILELLFESDDEGKVVIPIVGMGGIGKTTLARLVYNDNRVNDYFDLKAWVCVSENFDITDITKSILLSVASESYNAQDDLNKLQTKLKEKLSGKKLLLILDDLWQQIYNDWTILVAPFGKGTTIIVTTRDQSVSRMTRTIPDDYKLQKLPDEDCLSVLTGHAFQAKDFSGHPHLKEIGVKMAEKCRGLPLAAKTIGGLLRNEVGLVVWKDILENEVWKEEGCNIIPALRLSYHHLPPHLKPCFAYCAIIPNDYEFSETEIVRLWMAEGLLRVKAVKQNEDLGQEIFQELVSRSFFERSSQNKSRYVMHDLINDLAQSIAKDLCFRVEGDKELDISNNARHSSYIGGRRDGIKKFRVFNGMERLRTFLPLKMPDKGDCYISNQVLFDLLPKLKCLRVLSLEGYHLTKLLDVFGDLIHLRYLNFSHTPIKTLPDSICKLYNLETLILWGCKALEEFPLEMRDLINLRHLDFTGANSQIRMPMGIGELTSLQTLTRFVVSQDNGLQIQEMGNLSNLKGELIISGLENIVKAQDALVAGLCNKSNIYDLTLEWHFQVVFPVEETQIHKDVLNSLRPHTMLERLTIQHYGGKAFPNWIGDPSFEKLSHLELDNCPNCTSLPAIGKLPLLKSLFIKNMNKVTVVGSNFFGENASIAFPKLEELFFYNMPEWKEWDPCEVDGDVFQQLRLLSISDCPKLLGSLPTRLRSLEKLVILRCQKLRSLATCPPSLKKLEVRECEQLVVSLSSLTKLCKLKIEECQEVVGTSFTNFGSLMKSVSLSNISKFTCPRDLMLGLRKAESLSIGKCEELISSWQNQERCSAYPSALRFLKIQNCSQLASIGVEDEKEEQMQLGIPRNIVDLGISDCERLERLSKSLHSYTSLTMLQIERCPRLISFSKGNLPANLRSLTIRCCENLQYLLDERENVSINGTFFLENLDICNCKSFISLSARRELSLRFVSLSIFDCWNLQYLLDEKDKINIEQLTIGNCQSLISLSAKGELPVDLQRVTIYSCREKLACLLSNGKLPKGLKRLHIELCPSLESIAPEIEDNSSLEQILIFFCQNFRSLPRGSDKLNHLENIYIVNCPNLISFQGNGLLTPNLKKLHLQWCEKLEALPNTVSLEEFYIDKCPSVVSLPEEGFPTNLISLTISQPNFCKSVIEWGLHKLTSLKHFCIHGASLDVASFPYEEMLLPPSLTSFSIKHFPNLEILSSKGFQNLTSLESLCIEDCPKLKFLPSKEMLPSLLTLEIRGCPLLKQRCKKDEGPEWPNIAHVPYIRMPSKT >EOY19778 pep chromosome:Theobroma_cacao_20110822:10:22447602:22451286:-1 gene:TCM_045117 transcript:EOY19778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral root primordium protein-related, putative MLGLHNIFLIAPPPSQQNQQQPTPPPPPQAFNQDCNLSDTNFWTLRKSTTQESPVCQKKDGVLHSVEDGDGCFKVCRDCGNRAKKECGYSRCRTCCKSRGYDCATHVRSTWVPAARRKDRKVVVLGDDDGGGGGGGSSGSSSCGGKRPRVLNSTSNGTSSSNAASKSLNFEAGTSQQDSRFKESLPGQVRAPAVFRCIQVTAISDGEAEIAYQATVNISGHVFKGFLYDQGVDVKNAFPCISKVLFESSSSGRDRDSSSPNVDPPNTFAASGD >EOY17610 pep chromosome:Theobroma_cacao_20110822:10:356157:358802:1 gene:TCM_042395 transcript:EOY17610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Essential protein Yae1, putative isoform 1 MDNSLAEELYSESLQLSKLHLGHSSTANGFSEANVQAGDGSLWGDSDEELDKLSDLDREWQRRHDQFHTIGYRDGLIAGKEASAQEGFNIGFKQSVFAGCNWGLARGVTSALACLPDTLREKLIETQEKRDKFRGLCDSVNSLSTIDALKLFHDDIMSKKVVEQSEPVEAGVSAGGLQEQSSTSGSLGKYTAELQSLLHESPKIKIRFFHQEVSTPDINSLHVSSCCVYSSQQLTTCSLLYWNCGLKAFAFACYDDDDDDDDDDDDDDDAFYPWTDCSYNLSKLLSSDHILGSDKKVELVC >EOY17612 pep chromosome:Theobroma_cacao_20110822:10:356347:357961:1 gene:TCM_042395 transcript:EOY17612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Essential protein Yae1, putative isoform 1 MDNSLAEELYSESLQLSKLHLGHSSTANGFSEANVQAGDGSLWGDSDEELDKLSDLDREWQRRHDQFHTIGYRDGLIAGKEASAQEGFNIGFKQSVFAGCNWGLARGVTSALACLPDTLREKLIETQEKRDKFRGLCDSVNSLSTIDALKLFHDDIMSKKVVEQSEPVEAGVSAGGLQEQSSTSGSLGKYTAELQSLLHESPKIKIRFFHQEVSTPDVC >EOY17611 pep chromosome:Theobroma_cacao_20110822:10:356329:357853:1 gene:TCM_042395 transcript:EOY17611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Essential protein Yae1, putative isoform 1 MRDMDNSLAEELYSESLQLSKLHLGHSSTANGFSEANVQAGDGSLWGDSDEELDKLSDLDREWQRRHDQFHTIGYRDGLIAGKEASAQEGFNIGFKQSVFAGCNWGLARGVTSALACLPDTLREKLIETQEKRDKFRGLCDSVNSLSTIDALKLFHDDIMSKKVVEQSEPVEAGVSAGGLQEQSSTSGSLGKYTAELQSLLHESPKIKIRFFHQEVSTPDVC >EOY17530 pep chromosome:Theobroma_cacao_20110822:10:105594:107375:-1 gene:TCM_042343 transcript:EOY17530 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein, putative MSMAVASAASLLTAVRLESWKSNGGVPARPRLSLAKPTWIIRTEAKPFSFSFSFPSLSIFHFPGQPNQPHQAYLFLRQNKIVSNVRRERVKKPNPPCVVCQGSGRVDCQYCYGRGRTNHVHLEMLPKGEWPKWCRTCGGSGLSYCSRCLGTGEYRYIMGFHFMKRDDDHTQDNKYQIQGDHGSQSAADRLLHHEQNNSDDEIERAGGNMDITA >EOY19173 pep chromosome:Theobroma_cacao_20110822:10:11606323:11612548:-1 gene:TCM_043969 transcript:EOY19173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MGNTSFLVSLLVVLLLCNFMAALSMESPNITTDRLALLALKAHITQDPQNILATNWSTATSVCNWVGVSCGSRNLRVTALDISSLGLTGTIPPHLGNLSFLAWIAVGNNNFHGSLPVELSHLRRLKFINFVNNSFSGEIPSWFGSFTRLERLFLYGNNFGGLIPSSLCSLSRLEVLGLYSNNLNGQIPADIGNFARLKYLYLDHNQLSGAIPSSIFNISSLEEIDLSNNQLSGEIPRAIGNHPRLITLYLRENKLSGSISSSIFNISSLQRIDLGSNQLSGSIPTIPTIPRNTSSLIRIDLDTNNLTGHLPFDLFNHLPKLKGIYWSSNLLSGRIPASLFKCKELIDLSLSYNHLEGSIPTQIGNLTMLNGLYLGENNLEGEIPWQLGNLTLLTDLDCASNKFTENNELTGKVPTTIGRLIKLQSISLGYNKLQGSIPSDFCQLESLYLLSLTGNKLSGPIPACLGDLVSLRYLLLGSNSFTGSIPSTLTRLLDILRLSLSSNSLNGSLPIDIGNLKAVAIINLSQNRLSGDIPTSLGDLKDLTSLSLSGNKLDGSIPESLGDMVSLEFLDLSRNNLSGMIPMSLEKLSYLKYFNVSFNRLQGEIPNKGQFTNYSFQSFLGNEGLCGAPRLRVMPCKSNLPRRSKTATKLMKYILPAIAATISIVSLIVIFSRSRKRNAKLPTDEENLQPLAAWRRISYQELAQATDGFCESKLLGIGSFGSVYQGTLSDGTNVAVKVFNLDLEGAFESFDVECEVLRNIRHRNLVKIISSCCNIDFKALVLEFIPNGSLEKWLYSHNHFLNILQRLSIMIDVASALEYLHHGHTTPVVHCDLKPSNVLLDEDMVAHLGDFGIAKLLAEENSMIQTMTLATIGYMAPEYGSEGFVSTNGDVYSFGILLMETFTRKKPTNDMFEGEMSLKSWVQKLLPSTIIQVIDPNLLSTGNREDYAIEECALSVLQLALECSAELPEERVDMKEVVANLKKIKIKFLRMSNRFN >EOY17576 pep chromosome:Theobroma_cacao_20110822:10:231268:237230:1 gene:TCM_042370 transcript:EOY17576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLAIASDKTAKALCSFTQVCVRYFSSLLQRISVPISDKRQASFFETTHPSNLSSASCFLCSFIKSFVFFLFGLESCILSDQGAMGNWRYRPHRRFYRQDRAPKYPPSYHEPEPSVSEFWNDGVPLWEKKFCTLVGLVSWRKIVDAKKFMCYNDNVLNWDDSAGEEAFQNAKKRYWAEINGLACDIPTPDPDVFIDQINWNPNIDPELIMDLEQEYFAAKDKDGKVVHENKTAMNLSSAPSEGCNANPYKVENPWECNNDIQGNSGLKDLVGWGQPVSKVDGSRNLISNGNDPWDNGITQGNESGKHNSWGDYGSRDWNTGNNSWGHSCQGIGSGKDDGWGDFKRNSCRRNQQYKRLPNGDNSWDRSFVQHNGAAKDQGWGDYGRNSWGWKQWENKNIGSRKVDFRKTSSSGGAWHGGSRKRESSHQYISGYNSHRFQRDDNQTSHCWRNGKPTKRVSFALE >EOY17813 pep chromosome:Theobroma_cacao_20110822:10:974213:976883:1 gene:TCM_042530 transcript:EOY17813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase related protein MGFSKEEKSRRLWRGVKTLFFLITMMISFLLFSAPVFLVVADALLPSALLSASLSEPSSLSFKSLSSHFSNYDFRYSLIDIPLISIIRSAVIICVYSFCDGPRLSRGPYLGITMICSVSSLIFVSLKASFVFSSSVHREGYVTAMETALFISSLALAIAHMIVAYRTSCRERRKLLVYKIDIEAISACKNGFPRYQKILQEERVK >EOY17835 pep chromosome:Theobroma_cacao_20110822:10:1025191:1026218:-1 gene:TCM_042541 transcript:EOY17835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MNEADKAPKELKFSQRLNITVDVACALQYLHHHCETSIVHCDLKLSNILLDDEMIGHVGDFGLTNIMSTDLQSYSTSLSSSLKGTIGYVAPGKRPTDEMCKEDLNLHNYVKLPLPERLAEVIDPILHQDIARGGETATSNSSNKNNQRDERFLQCLISIFSIGVTCSAKSPSKQMNMTNVTSELVSIRVKLLPTRLLH >EOY18160 pep chromosome:Theobroma_cacao_20110822:10:2216243:2217118:-1 gene:TCM_042774 transcript:EOY18160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLLSCDIEFGRKLGKENEGMENGTCGNREVALPSGIWISIYWFVHVFFVYFPVTPWPQHHPCLSTGITMLNRGRERRGVGWLRLVAPAAAT >EOY18726 pep chromosome:Theobroma_cacao_20110822:10:4672931:4678681:-1 gene:TCM_043224 transcript:EOY18726 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MALVGEAFLTASIEVLVDRIASPDVLNLFKGKKLEDVLLKKLKPALMSVKAVLDDAENKQITNPNVRSWIDELKDAVYDAEDLLDEIATEALRSRMESEDQTSTAKQAFVNTSPSLHPNLKAIGEGIVKRCKGLPLAVKALAGLLRCRLDVEDWNKVLNSNLWDITDDILPALRLSYYYLPSHLKRCFAYCSKDYEFQKEELVRLWMAEDLLAYSGENVNMEELRGSEYFEDLTSRLEGKCSSEIRKKIRHLSNIPKKYDVFKKFEALCEIKSLCTFLTIKSLRLRCWVTNVIMDDFLLKSRSLRLLSLANYENINELSEEIEKLKHLRYLDLSCTSIEMLPNSLTTLYNLQTIILFGCHYLVELPEDMGRLINMHHLDIKGTKLVRMPPGMDKLKDLRTLTDFVLGEGNGSSISELGKLKNLRGTLAISNLQNVVCHRDAKDANLKEKINLKELELKWSNCCYTNDDSMHDRELLEQLEPHTNLEHLAIEFYRGTRFPELVGHSSFSNLVSLQVRDCIYCFFLPPLGQLSALKSLSISGFSEVVTVGDEFYGKGDASSKPFGSLEILRFADMSEWEEWFCLKDGAFCLLQELYIEDCPKLTKSLPKHLPSLLKLTIVRCEKLGGLLPRAPSMSELYLNQCDALQLEPLPCGLRNLKIYDSNINYSILEQMVRHCTHLEKLEMGFCYGLKSLPEGSLPTMLKELGIHNCDALDYSKILSYTSLECLEISGNSNHPLESFSIGSFHKLNRLRIRSCKGLKSIGASEGPHQHLACLNFLKIETCPNFISFPDEGLSATNLTTLRLFNCKILKSLPEQMQSLLPSLEDLTIFNCPEIESFPKDGLPSKLKDITIGRSEKLIAGRKDWGLERLPSLTTFQMDDAEEMESFPDEYLLPSTLTKIYIFNLPNLKFLDYKGFQHLSCLRELHISGCPELQSMPVKRLSIPIISIENEFIVKEALWHN >EOY19018 pep chromosome:Theobroma_cacao_20110822:10:8244105:8244952:1 gene:TCM_043627 transcript:EOY19018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQMVIILCHFLLVLSASAVGLGPVSPDQDACEPKHCKPGQPSVRYPFRLKGRQPDHCGSSGFDLSCNNKNQTVLELPRSVKLLVKHIDYVNQRIQVYDEDGCVQKQLQNLTLFASPFTFRSDTDPYYDSKPGNFTLFNCSIEDQSNDYDNDWSISCLSVPGFYVEYFDSDDGGYDLLNCSKTIDIIDIPRGLMSDQKNKFYFSWTNPACGSCEIQGKGCRRNTTKALGIECYYIHMDHKGATYI >EOY18060 pep chromosome:Theobroma_cacao_20110822:10:1945339:1946361:-1 gene:TCM_042717 transcript:EOY18060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTDWTSYNEFIARYNIDTVVSMVAFQQGWLLKLQVLGTQQLAQPAPVLWKQDEQLIFSSNNEQKTYRERLNRILSWDCRILEEDEEASQSQRLSEGY >EOY19328 pep chromosome:Theobroma_cacao_20110822:10:16465620:16467692:-1 gene:TCM_044398 transcript:EOY19328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRELMLSLTGFRSAFGVMSAYRDVAAVVTGSMGVSGRDMRSSNYSDVTPKKDLNQNRKRKREKTLKRPNS >EOY17538 pep chromosome:Theobroma_cacao_20110822:10:144510:145701:-1 gene:TCM_042350 transcript:EOY17538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTFPLPWEVTFPRESHPLWIGRWHFQVFLVSVTWTLNQKRGWRIDFLVHGVEFHLFINCCNFSCLICRPTSISSPVTSDSVSSIDLPLSLFSLN >EOY18809 pep chromosome:Theobroma_cacao_20110822:10:5045176:5056273:-1 gene:TCM_043294 transcript:EOY18809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLYLLEGKIVVLNLFLDEMIARSLPRICFFSDLSGEEMMMFIDAFPETEPAVFAALVPNSADKPVAELIDEIMGDHEMLTAQQSGST >EOY19767 pep chromosome:Theobroma_cacao_20110822:10:22351159:22374232:1 gene:TCM_045104 transcript:EOY19767 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MAFIADAALSAFFDSLFAKFSSSDFNFVTEKQVRKQIMTWETKLRDIHAVLADAEEKKMKNQTVKNWLADLQDLAYDVDDILDEFATQALGPTVRGRDKDKEAILDLLLRDDGIDAGVCVIPIVGIGGIGKTTLAQLVCNDSRITQHFDLKAWVCVSDEFDVVKVTKIILQSVTSESCDINDLNLLQVKLKEKLSSKKFLLVLDDVWNENYDDWTKLRSPFDAGIPGSKIIVTTRSFNVSSIMRSVADYSLQSLSNDDSLFMLAHHALGRGDFTKHPDMKGIGFEIVKKCGGLPLAIKTIGGLLRTKVNRDAWKDILESDIWNLPEEKSDITPALWLSYYYLPSQLKQCFAYCSLVPKDYEFKKEEIVLLWMAEGFLNGANTKRKIQDLGSKYFEELVSRSFFQASNKNESQFVMHDLINDLAQFIAGDIYFKRERHDDMKGPINRTRHSSYIIGSYDGIKKFETFFEAKSLRTYLPFEMMQQWRCYLSNNILNDLLALKCLRVLSLKRYRITEIPSSIGNLKHLRYLNFSYTKIKSLPNSICSLYNLETLLLRYCENFEKLPLKIGILDNLCHLDMTDANLIKEMPSGIGKLTNLQVLSNFIVGQGDGLNIREMQNLLNLKGQLCISELHNVDEAQHAWEAKLSSKCDLDNLELKWSADFNENLRKKEVEKEVLNSLQPHKDIKELAIKYYGGIEFPDWVEDDSFIYLQVLRLKYCENCTFLPGVGKLPLLKHLYVKGMRSVISVGNEFHGVNGPNVFPSLETLYFEDMPEWKEWKLYELHSLEKLVIRGCKELVVSISNLPMLCKVEIDGCKEVVFGSYDDLWSVKKILLSNISKFACVMKEMKMLESMKAEKVQINGCEELTSLWQTKWGWLAPLRSLRTLQFENCPQVVCLGGGLKEEAKEELVQLEIPCNIEFVRLRCCEGLERLSKTFHNLTCLTKLDIEKCPKLVSLSTDNLPPTLRNLYIRSSTIYR >EOY19768 pep chromosome:Theobroma_cacao_20110822:10:22370394:22371401:1 gene:TCM_045104 transcript:EOY19768 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MVPFVERASRRRVSEPARHDSKLPVQLKKLLIFGCPELECLAREIGDNTCLESIELSFCSNITYLPQGLDKLSRLQDISLWDCPNLVRLPEALPNLHHLQQLSIIGCPRVQNSIGERGFPANLTSLEIYDPNISKAVMEWGLHRLTSLTHLDIDGSNCTDATSFPQEGIGMKLPPSLINLTLKNFKNVGKLSSNGLQNLTSLQCLSISHCPKLKSIPRKEMLPSLLRLYIWECPVLKKRCKRDEGKQWSNIAHVPEVRIDGRFIYE >EOY19663 pep chromosome:Theobroma_cacao_20110822:10:19685405:19686321:-1 gene:TCM_044830 transcript:EOY19663 gene_biotype:protein_coding transcript_biotype:protein_coding description:QLTG3-1 protein MASKVIASTALFLYFNLLILAFSVSFRNIDYPSDNDAKTNSHDSLNGVGEILHDLLNGDSSNGKGLINFNGLSNESGDNSNDNSKDSTANSEKPVVILPAEAMILGALNHQGKSTCNSLNLGVCANLLNGLVKAELGDVPTKPCCSLIQGLADLEAAACLCTAIKASVLGINLDLPISLSVLLNNCGREVSSDYQCTP >EOY17662 pep chromosome:Theobroma_cacao_20110822:10:495651:503373:1 gene:TCM_042431 transcript:EOY17662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit isoform 1 MRKRQLYQSKHPFNTYPFEVLFCGSWQAVELIRIKNGVMTMHLIDDQYLIEKQPFSDFRVKSRQATLSDCTCFLRPGIDVCILSASPLTGINEENPEPVWVDAKISSIERKPHNSQCSCQFYVNLYVNQGPLGSEKVILSKETEVVGIDQISVLQRLEKHACDDQHYGWNFSEDCSELRRTKIFLGKFLSDISWLLVTSVLKRIAFDVRSVQNKIVYQILGEDDSSPLNSHNYLHAVNFKVDNGISVSDVVRLDPHQNNEAGAACSAHEIRQWPVYDAMNLRRSKRRNVQPERFLGCDSSLETDISWVRTAPLRTGNWREEEEEQELEEDMNLPLSYLFGMNASTSKELTQCETSDVCKSKNISREFKSDVAGPRKSSVNYPRRSGATNPRKHQNSLAIVPVSSESDPLASGHCHAPKFPRSHAEEVEKVSLNYYSVKRSRTTHRKKIPALEYMDYESTWKGRSFSKKGQNKSHRSVHTRKEDYDEPITYKRTTISAGAYNKLINSYMKNIDSTFTKEEPHIIDQWNQFKEAASSEMSRKTEPEQPSVEDEGDMSDTEILWREMELCMASAYFEEDEARVSAESLRKSSGNCQHDFKLDEEIGVLCRICGFVRTEIKYVSAPFLEHKSWIADGKVCSEEEPEHKTDGDEALNLFCNYTSIDTPLSEENDNVWALIPELKKKLHFHQKRAFEFLWQNVAGSLTPALMETASKKTGGCVVSHSPGAGKTLLIIAFLTSYLKLFPGKRPLVLAPKTTLYTWYKEFIKWEIPIPVHLIHGRRTYRVFKKQSVRLHGAPKPSQDVMHVLDCLEKIQKWHAQPSVLVMGYTSFLTLMREDSKFEHRKFMAKVLRESPGLLVLDEGHNPRSTKSRLRKVLMKVETDLRILLSGTLFQNNFCEYFNTLCLARPKFVYEVLRELDPKSKKKKSQFDKARNLLENRARKFFIDKIARKIDSSEGEERLHGLNMLRNITNGFIDVYEGGNSDSLPGLQIYTLMMNSTDVQHEILVKLHKIMAGYSGYPLELELLITLASIHPSLVRTSNCVNKFFSPEELMTLEKIKFDFKKGSKVMFVLNLVYRVIKKEKVLIFCHNIAPINLFIELFEIVFRWRKGREILVLTGDLELFERGRVMDKFEEPGGASRILLASITACAEGISLTAASRVILLDSEWNPSKTKQAIARAFRPGQQKVVFVYQLLATGTLEEDKYRRTTWKEWVSSMIFSEAFVEDPSRWQAEKIEDDVLREIVAEDKVKSFHMIMKNEKASTG >EOY17663 pep chromosome:Theobroma_cacao_20110822:10:495566:503290:1 gene:TCM_042431 transcript:EOY17663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit isoform 1 MRKRQLYQSKHPFNTYPFEVLFCGSWQAVELIRIKNGVMTMHLIDDQYLIEKQPFSDFRVKSRQATLSDCTCFLRPGIDVCILSASPLTGINEENPEPVWVDAKISSIERKPHNSQCSCQFYVNLYVNQGPLGSEKVILSKETEVVGIDQISVLQRLEKHACDDQHYGWNFSEDCSELRRTKIFLGKFLSDISWLLVTSVLKRIAFDVRSVQNKIVYQILGEDDSSPLNSHNYLHAVNFKVDNGISVSDVVRLDPHQNNEAGAACSAHEIRQWPVYDAMNLRRSKRRNVQPERFLGCDSSLETDISWVRTAPLRTGNWREEEEEQELEEDMNLPLSYLFGMNASTSKELTQCETSDVCKSKNISREFKSDVAGPRKSSVNYPRRSGATNPRKHQNSLAIVPVSSESDPLASGHCHAPKFPRSHAEEVEKVSLNYYSVKRSRTTHRKKIPALEYMDYESTWKGRSFSKKGQNKSHRSVHTRKEDYDEPITYKRTTISAGAYNKLINSYMKNIDSTFTKEEPHIIDQWNQFKEAASSEMSRKTEPEQPSVEDEGDMSDTEILWREMELCMASAYFEEDEARVSAESLRKSSGNCQHDFKLDEEIGVLCRICGFVRTEIKYVSAPFLEHKSWIADGKVCSEEEPEHKTDGDEALNLFCNYTSIDTPLSEENDNVWALIPELKKKLHFHQKRAFEFLWQNVAGSLTPALMETASKKTGGCVVSHSPGAGKTLLIIAFLTSYLKLFPGKRPLVLAPKTTLYTWYKEFIKWEIPIPVHLIHGRRTYRVFKKQSVRLHGAPKPSQDVMHVLDCLEKIQKWHAQPSVLVMGYTSFLTLMREDSKFEHRKFMAKVLRESPGLLVLDEGHNPRSTKSRLRKVLMKVETDLRILLSGTLFQNNFCEYFNTLCLARPKFVYEVLRELDPKSKKKKSQFDKARNLLENRARKFFIDKIARKIDSSEGEERLHGLNMLRNITNGFIDVYEGGNSDSLPGLQIYTLMMNSTDVQHEILVKLHKIMAGYSGYPLELELLITLASIHPSLVRTSNCVNKFFSPEELMTLEKIKFDFKKGSKVMFVLNLVYRVIKKEKVLIFCHNIAPINLFIELFEIVFRWRKGREILVLTGDLELFERGRVMDKFEEPGGASRILLASITACAEGISLTAASRVILLDSEWNPSKTKQAIARAFRPGQQKVVFVYQLLATGTLEEDKYRRTTWKEWVSSMIFSEAFVEDPSRWQAEKIEDDVLREIVAEDKVKSFHMIMKNEKASTG >EOY17968 pep chromosome:Theobroma_cacao_20110822:10:1611248:1619758:-1 gene:TCM_042652 transcript:EOY17968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein MGDPPKGEFSAATLHNSMIQEIVGSSGASNLLLHSYQRSFNGFAAKLTEEEAEKLAGIKGAVSVFPSQKKKLRTTRSWDFIGFSQHSRRTTLESDIIIGMLDTGIWPESESFSDQEFGPPPKKWKGTCQTSSNFTCNNKIIGAKYYRADGDCPSEDFKSPRDSEGHGSHTASIAAGGLVSRASLYGFRTGTARGGVPSARIAVYKICWFDGCADEDILAAFDDAIADGVDIISLSVGGFFGSDYFQDSIAIGAFHSMKKGILTSNSAGNGGPYYGSVVNFSPWSLSVGASTIDRKFETKVKLGNGKVFTENFILLSGAEMPRTKKWDTIHPNPGAVGAIIQDDGIKDFAYSFPFPVSNLDLTDGSDVLHYVNTTKNPIATIFRSTEEKDELAPYVVSFSSRGPNPISPDILEPDITAPGAAILAAWSPATTVTGVEGDKRVVPYNIISGTSMSCPHATATNTDLELAYGAGNINPSLAINPGLIYDAGEIDYVKFLCGQGYSDKQIRLVTGDKSRCSKATNGTASNLNYPSFTLFAPSGPHISRDFHRTVTNVGSAVSTYKAIVKAPKELDIQVKPSVLSFKSIGEKKSFVVTIAAKVALPSIVSGALVWDDGVHKVYIVYMGDRPSGEFSAATLHSNILEEVLGSGGSNSLLHSYHRSFNGFVAKLTKDEAQKLASTEGVVSVFPSQRKQLHTTRSWDFMGFSQNVGRTSRESDIIIGMLDTGIWPESESFNDEGFGSPPKKWKGTCQESSNFTCNNKIIGARYYRADGTFGPDDIQSPRDSEGHGTHTSSTAAGALVSKASLFGLASGTARGGVPSARIAVYKICWSDGCPDEDILAAFDDAIADGVDIISISVGGSIAVNYFDDTIAIGAFHSMKNGILTSNSAGNTGPALATITNVSPWSLSVAASSIDRKFVTQVKLGNGEIYEGVSINTIELKDKMYPLIFGGDAPNTKKGYDSSQSRYCSEDSLDETLVEGKIVLCDEVSYGEGAIAAGAVGAVMQDYLDSAFNFPLPVSCLGSDDGSEIREIITLFFFYCFNRKPTATIFKSIQVKDELAPWVVSFSSRGPNPITKDILKPDLTAPGVDILAAWSQGTTITGVEGDDRVVSYNIISGTSMSCPHATAAAAYIKSFNPTWSPAAIKSALMTTAVPLSVETNTDAEFAFGSGHLAPSFALSPGLVYDAGEIDYVKFLCGQGYSTKTLRLVTGDRSSCSEAINGTAWDLNYPSFALSASLGKSTKRIFHRILTNVGPAVSIYKAVVQAPRGLEIHVQPRVLSFKSLGQKKSFVVTVTAKVDDNMVSGSLIWEDGVHQVRSPVVAFGFSEE >EOY20225 pep chromosome:Theobroma_cacao_20110822:10:25286927:25288476:-1 gene:TCM_045594 transcript:EOY20225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein MGKIWVEVCLISARGLRRSSSFWKLQWFAVGWIDPNNKYCTRIDASGNSNPVWKTKFAALVDDSNFQDMMLNVEVYSREPIFLRERLQGTATVALKEFLAKHSNNSSSSGAGTEKVGSYQLRKRNSNKPQGFVDVSIRISEERDEPSSFPGNEGGLVLMDHRTNIALPTEGGSGHTYPAGAAQLPLAPLPRPQNQFQANTPHTHSAPHPTNYYNSSLGDPSVPSASGPSYRPPKTPPPPPPPSNVGYIPTFLPRTDHVTGTYLNMPSSAAAPGSGPRPGFAMGLGAGALAAGAVIFGDDFTSGFDVPAGLQDASLTISMDPPF >EOY19800 pep chromosome:Theobroma_cacao_20110822:10:22604384:22607825:-1 gene:TCM_045141 transcript:EOY19800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVANLAGIQLSWICENENCLMPLKEKEQNERMHLLMACLESKSVDGLSEGVELKLLDLHIDLAFWPMKRL >EOY19523 pep chromosome:Theobroma_cacao_20110822:10:18393494:18395788:1 gene:TCM_044644 transcript:EOY19523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative isoform 2 MRKFLQIKPLISSKTQWRATIPLRDLPCLIRNRFLQTDAIPSPASEPPPLGSALSLSKRLRIPQNNSPNSSSVLNCLKSHGFEDTQIAKLVRKRPDILNCKVQTKLKPKLRYLIQKGFTGKLLPDLILSNPFLLFRGLDSQIRPSFEFLRPFLNDEEMFVALKRASWLLTISLNSVLQPNVDLLISEGVPASRISKLLILQPRVLLQSHDRMVYAVKTIKEIGIEPKETRFIHALRVICSMSKSNWKKKVEVFMSLGWSKEEVLNTFKKDPLCLACSENKLRYLMDFYVNTMKLDARAIIAYPKLLGYSVERRVHARYIVLKALESMKLIKDDKKIVWVIKLPEKKFLEEYIAKHIDKVPGLLDMYHGAVKPRKTIRGKKEKFDPKSASLAL >EOY19524 pep chromosome:Theobroma_cacao_20110822:10:18394284:18399224:1 gene:TCM_044644 transcript:EOY19524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative isoform 2 EDTQIAKLVRKRPDILNCKVQTKLKPKLRYLIQKGFTGKLLPDLILSNPFLLFRGLDSQIRPSFEFLRPFLNDEEMFVALKRASWLLTISLNSVLQPNVDLLISEGVPASRISKLLILQPRVLLQSHDRMVYAVKTIKEIGIEPKETRFIHALRVICSMSKSNWKKKVEVFMSLGWSKEEVLNTFKKDPLCLACSENKLRYLMDFYVNTMKLDARAIIAYPKLLGYSVERRVHARYIVLKALESMKLIKDDKKIVWVIKLPEKKFLEEYIAKHIDKVPGLLDMYHGAVKPRKTIRGKKEKFDPKSASLAL >EOY19719 pep chromosome:Theobroma_cacao_20110822:10:21808918:21813058:-1 gene:TCM_045025 transcript:EOY19719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein, putative MASSSLMFWQYFFFLSLVLIQPFLVFTSSRGNNETEALLRWKASLDNTSQRLLSSWVGDSPCTWVGIACDKGGSITNLSLPNSGLRGTLRSLNFFSLPNLMGLGLRNNSLYGGLPSQIGNLSKLSFLDLSYNDFSGNIPSEIGLLTSLNVITLGRNHFSGNIPQAIGRLSSVSEIYFYDNNLSGSIPASIGSLQNLSKLYLNGNRLNGSIPVEVGNLSKLIDLELQFNNLSGSIPSEIGNLRSLSQLYLHENYLTGPMPISMGNLQNLSRLILVNNRLNGSIPKEVGMMRSLTMLDFSRNNITGPIPASIGKLTNLVWFYLYRNDLSGSIPDEIGLLASLGTLQLQRNNLTGVIPASIGNLVRLEELYLFANQLSGSIPLTIKNLTRLEIVELFDNHLSGQLPAQEVGVLESLTSLHVAGNMLFGPIPQEVGMLKSLTVLNLQMNNFSGSIPVSIGNLTRLSYLHLSYNHLSDPIPPTLNNLTHLESLQLTENHLSGQLPENVCINGLLSRLIAHNNNLTGQIPLSLRNCTSLVRVRLHGNQLTGNISEAFGIYPNLDYMELSNNKFYGELSPNWGQCRNLTSLKISNNNISGVIPVELAQATQLHEIDLSSNHLNDEIPKEFGRLTLLLNLLLNGNKLSGKIPVEIGRLSNLKHLNLASNNLTGRIPEQLGECIKLVKLNLSRNQIGESIPSTIGNIYALEALDLSHNLLIGEIPRPFGKLQNLELLNLSHNMLSGFIPSSFDDWRSLTAVDLSHNLLEGPLPDRKAFHNAPFDAYRNNRGLCGNATGLIPCDPTPTNKAQKRKTNRVVVLIVLPILGTLVGLFILVGGFLILFRRIWKRKFKPKEEQSEDIFAIWGYDGEILYESIIEATEDFSSTYCIGSGGYGNVYRVVLPTGRVVAVKKLHQSEDCMPINLKAFQSEIRVLASIRHRNIVKLYGFCTNAEHSFLVYELVERGCLRMVLSVEEKAMEFDWNKRLNVVRGLANALSYMHHDCSPSIIHRDISSNNVLLDLDYEAHVSDFGTARLLKPDSSNWTSVAGTFGYVAPELAYTMEVNEKCDVYSFGVVALEILMGRHPGDLISSLSSSSSSSSQPNCQQSLLKDVIDQRLSLPVDDVENNVVSVAKLAFACLHINRQLRPTMLQVSQALASQRLRLSKPLLMIELRELFCY >EOY18927 pep chromosome:Theobroma_cacao_20110822:10:6285031:6289316:1 gene:TCM_043439 transcript:EOY18927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATRSGKGDWPDDLLTEILLRLPVKAIIRFKCVAKTWYSLFESPSFASQHLSISKKNKRFLICHTDTSGNLVMRLFVDQTLVSYQDLFPQMPQHIGDEYPIICIYDGLLCLCNTKPNYITLWNPFTREFRLLPQCNENMPPQIETFGHVIGFGWDSFSNDYKVIYQRTYIDLEEDIGKTHHAVYRMSTDSWRVLEGKDVEAFEELAICNSDSNTCVNGVYYWVAFKILHYHKVLAFHFGNEVFQLIDWPTVPEPQYSNGQLCRLPDDRISLWVSHFDENGKSNDVWVLNDEGQYWTKLLSIGPLLGVERMFGFFNKKINGSIKVFVEAISEQLLLYDHDTQEFKDLNIRLRQVWDCLEVYTYEESLVALSRDRIL >EOY17878 pep chromosome:Theobroma_cacao_20110822:10:1286061:1287741:1 gene:TCM_042583 transcript:EOY17878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSEPASYSEAAKHPEWIFVMKEELHMIKKSGTCLNKYKARLVVKGYNQLPSVDYLETHALVAGYDTLRLLLALSAAMGWNVCHLDIKSAFLKGMLEEEIYVQPPEGFELASDQNKVYKLHKALYGLKQAPGSWYNKIDTYLTQ >EOY18371 pep chromosome:Theobroma_cacao_20110822:10:3226020:3231696:1 gene:TCM_042973 transcript:EOY18371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSMNMECKPATPSVIAKLMGLDELPTQQPVKKQKRQRVLSENYLRKVASIGVWEKRSFDERHSYRFSIEEQKEFKDVYEVIESLERDKESDLFAEKGRADLRSSEEKIPILSGSHADADCVPVGVKLQHLKEVHSGQYGPGFVDSRMDYFENHFQNPDYLTTKPFYDQEGVSSHLLSGHVRISEPAYSLDSENTDIYREVRNRTDQGNAKLRQQLENHLVKDFQRKYGPDSMFPGCRLESNNEKHPSFRKVVVLKPKPGKVEDASNCLSSPSSSEGSYSGNRKDKGFLSHGKGNSHTQVKERKNLSNDVKSTGHRSIPSCGSEKEITRKTRHKTSDIPLQPPRSGFSGVHSLAKEPELMMVSSPNYSDLNNWYKPSCNYLDGSYVAQEAKKQISERWRMNKEFRENGLTFGGRGRSRTLGEMLALPDYDKYANFRTPLGISSRDGWKKMGVGDLIKSRSPAYFTSVGSPKTRTSHKAFHDDLCMTMRPMFSLNWSRLKSSKQGSSGKDDLERRNSGSNCKKSQSSPYLKSEKNHLLEDKYVIHYMFKNKLEKQDRAEQHSIVRKSLKHDVDCSDSENEITPIDQCNDIKDGNMSPEGSVVPESPMCTVASPSMASDMVVAIENVSVSKCTENHKQPQFEPIGCTMSEKDYDSSFIPDASSKQEDMLMEISEECGTDPDSLVNLERAYQPSPVSVLEAPFAEEM >EOY18370 pep chromosome:Theobroma_cacao_20110822:10:3226020:3231696:1 gene:TCM_042973 transcript:EOY18370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSMNMECKPATPSVIAKLMGLDELPTQQPVKKQKRQRVLSENYLRKVASIGVWEKRSFDERHSYRFSIEEQKEFKDVYEVIESLERDKESDLFAEKGRADLRSSEEKIPILSGSHADADCVPVGVKLQHLKEVHSGQYGPGFVDSRMDYFENHFQNPDYLTTKPFYDQEGVSSHLLSGHVRISEPAYSLDSENTDIYREVRNRTDQGNAKLRQQLENHLVKDFQRKYGPDSMFPGCRLESNNEKHPSFRKVVVLKPKPGKVEDASNCLSSPSSSEGSYSGNRKDKGFLSHGKGNSHTQVKERKNLSNDVKSTGHRSIPSCGSEKEITRKTRHKTSDIPLQPPRSGFSGVHSLAKEPELMMVSSPNYSDLNNWYKPSCNYLDGSYVAQEAKKQISERWRMNKEFRENGLTFGGRGRSRTLGEMLALPDYDKYANFRTPLGISSRDGWKKMGVGDLIKSRSPAYFTSVGSPKTRTSHKAFHDDLCMTMRPMFSLNWSRLKSSKQGSSGKDDLERRNSGSNCKKSQSSPYLKSEKNHLLEDKYVIHYMFKNKLEKQDRAEQHSIVRKSLKHDVDCSDSENEITPIDQCNDIKDGNMSPEGSVVPESPMCTVASPSMASDMVVAIENVSVSKCTENHKQPQFEPIGCTMSEKDYDSSFIPDASSKQEDMLMEISEECGTDPDSLVNLERAYQPSPVSVLEAPFAEEVLSNSECFHSVSASLHDVRRQLEFLKSESYEGYSEGPGMVVSSDDDDDDAGEESLKNCEVNEDSTKLFGVEESRDFSYMVDVLTEAGFHSRNQNIGFDGRHSPEIPISPSIFDALEKKYDEQIAWKRSARRLLFDRINSGLMEILQPCFGEPIWAKPVARRLSFRQNLKEIKEELYMLLVSQEKEARKDSSEKVLGKDDGWLFLGYDIEVIGREIENSLIDELAAEIVSLESF >EOY17811 pep chromosome:Theobroma_cacao_20110822:10:965016:966209:1 gene:TCM_042528 transcript:EOY17811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSLTERIIPMISSAKTSKDVWDRLSTALTTDELTLAGSVVPNTNLILHVLNGVGSEYKDIVAAVRARDTPISLEELHDKLIEYESFLAQEVAKHANGFTANAAQYNRNKSPHSQYNNRSNDQHSQFRFNQYTDQHSNGNSKQSGGYTNNNNSSKRNFFYQYCDKKGHVAKDCHTLKRLLGILVPPKANPCEHVKLFVIVSFL >EOY18144 pep chromosome:Theobroma_cacao_20110822:10:2161256:2164018:1 gene:TCM_042759 transcript:EOY18144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMECSVWVAAGDHKNSNSNSNNNNSNNNNNLWGLSHESEHDLALMVSDFLENNGGSAGGDSWCSSDSESGFSDLLHLSDKISYYKHPVGQYEDHEYIDVINYNNGSSERLIIDIDFRSHFEIARAVDSYDRILNSLPVVYVGSLTRLKQLLQLMVDAARSSLKQNSMPFPPWRSLAYLQAKWQSPYQRQFTPYEHDINGNVSSDHKQCNGHLKGLQASLQSELEAERLLKPINIDSHWRLKLDRRRHSSFRAL >EOY18143 pep chromosome:Theobroma_cacao_20110822:10:2161163:2164010:1 gene:TCM_042759 transcript:EOY18143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMECSVWVAAGDHKNSNSNSNNNNSNNNNNLWGLSHESEHDLALMVSDFLENNGGSAGGDSWCSSDSESGFSDLLHLSDKISYYKHPVGQYEIDLLSVVHSLILSVSETDLHFVKSGPCNASCIRFFLVKLLRLSGYDAAVCASRWQGSGKVPGGDHEYIDVINYNNGSSERLIIDIDFRSHFEIARAVDSYDRILNSLPVVYVGSLTRLKQLLQLMVDAARSSLKQNSMPFPPWRSLAYLQAKWQSPYQRQFTPYEHDINGNVSSDHKQCNGHLKGLQASLQSELEAERLLKPINIDSHWRLKLDRRRHSSFRAL >EOY19645 pep chromosome:Theobroma_cacao_20110822:10:19434717:19441164:-1 gene:TCM_044782 transcript:EOY19645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMALENKETMQNCEAALKCLQTKGFPYNLQCTGNSIEGLPELKDGIGVHPGGDVVEPVCSLSGEFMELPSEFYHKPTLQHEYGSWPTFYPDSHKLQPYPMNAFGSQFYHFPVDNRFHYSPFNVITHGYPYEFQLQDFQYFVVIDFEATCDKEKNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQLLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLEKKGIKNTNFAVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLKVPFSEVFGGVRCNLKEAVEMAGLAWQGRAHCGLDDAKNTARLLALLMHKGFKFSITNSLMWQTSDGPLTWNPIPENMAFSPHHPHKPKDQQMPLFQYHPYCFCGVKSSKGMVRKPGPKQGSVFFGCGNWTVARGARCHYFEWASP >EOY17541 pep chromosome:Theobroma_cacao_20110822:10:153212:154374:-1 gene:TCM_042352 transcript:EOY17541 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MKPLVAMRDHLEFITEIGTSLATSSWKNKTLVLTIIFFAISLCAKFVWFSKDRLPVFTFHYNPSRIGAAPTPYCSVCLYEAEDGERLRRLPRCNHCFHVDCIDTWFQNRPTCPLCRNEVSVRRRQNQRGLFSSIILSILQNLFRKMSSRPLNFTSTLI >EOY19422 pep chromosome:Theobroma_cacao_20110822:10:17323368:17326694:1 gene:TCM_044508 transcript:EOY19422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRDRKGVFERSREENQERNRDRNLVRNHRIGSRRVRAVDWWDSQGSKVSGGNEEFHRRAMEGEGRFGKSDIQQLEKRSVHSFFIEEAEKPKERNDEEGPVMHQNKKELYGGDQKSSYRDGLKVDSVKVDINEEEVEWLRLSAMGKLRTKTNCKAIQSALFREEVSAGANEVIDILEKGQKGGFLFKVDFEKAYDSVDWGFLQFIMGKIGFARKWRKWIMGCLTTDNISVLVNGVPTEGWKEAYDRAFIDNTMIFYKPQHQNLINAKRVLRCFQLVCSLKNNFHKSSLIGIGVDDQLVRRRAGRIACRIGELPSVGRVTLLQLVLVSLPIFFMSLFPILREVKNELEKIKRRFLWSGVQEKRKIHDIGWDKVCRYEDGGLGIIDLEIKNRTLLNKWIWRYGRERDSLWREVIVEKTRGGNERRIRFWTDRWTKGILKESFPKIFALATDKGGLVNETLSTPWYGKARQRGSSLLNLSAKMQYGSTAPTRECGKKFEPALLRLELRSSLGS >EOY19765 pep chromosome:Theobroma_cacao_20110822:10:22325993:22327985:-1 gene:TCM_045101 transcript:EOY19765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYSSFLPSNFLQGIGTLNSLKRLSLSEWQNLTDLEELSLQLSFLPSNLFQGIGTLNSLKSLSLYGGGVNGNFSINGPLHYDPKVVGQHLEEIVMADNHLQDPIPEEFCNLNLHLKFLDLSMNNISGTLPPCFNPSRISHVYLSKGSLPITFRESSLLVTLDLGYNHFTGNIPNWISKLSTTESPLSTSKVSVGDRKDDGSIDMSVFYVTLIVSYVVELLAVVVVLCINPYWRRAYSIMQKW >EOY18052 pep chromosome:Theobroma_cacao_20110822:10:1911528:1912293:1 gene:TCM_042711 transcript:EOY18052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MINGSCQRKKAHQEAGLLQHLHSSRILLLLPPLIRDVLLQGNAPGWSKSKGHVFTSCGAALPCLYAGVTTLILEKKPKTESALSFFPFSLRWFLGLFGVSLAS >EOY17658 pep chromosome:Theobroma_cacao_20110822:10:476545:480467:1 gene:TCM_042427 transcript:EOY17658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inflorescence meristem receptor-like kinase 2 MAIEKQDFEFLICRDVDWRCISNKKKEKWKDVSLFSYIFLLLQLLGCFFIQPVSSQAWDGVIVTAADFQALQAFKQELIDPKGFLKSWNDSGYGACSGGWVGIKCAQGQVIVIQLPWKGLGGRITEKIGQFQALRKLSLHDNLIGGSIPRALGILPDLRGVQLFNNRLSGSIPASLGSCPLLQTLDLSNNSLTGTIPESLANSTKLFRLNVSFNSLSGSIPVSFTHSTSLIFLALQHNNLSGSIPDSWGATQKNSFYQLQYLTLDHNFLSGSIPASLGKLSELQEVSLSHNLITGPIPSDMGSLSVLRNLDLSNNAINESLPATLSKLSSLVLLNLESNDLENQIPESIDSLHNLSVLVLKSNKFSGPIPATLGNISSLTQLDLSENTLNGEIPFSLADLKGLNSLNVSYNNLSGPVPTPLSQKFNSSSFVGNIQLCGYPGSTPCPAPAPSQNVPSSPSEKSKHKHRKLNTKDIILIAAGALLIVLLVLCFVLLCCLIKRRATSKAKNGQTTGAAAAARGEKGTPAAGGEVEAGGEAGGKLVHFDGPMVFTADDLLCATAEIMGKSTYGTVYKATLEDGNQVAVKRLREKITKGEREFENEVNVLGKIRHLNLLALRAYYLGPKGEKLLVFDYMPKGSLATFLHARGPDTPIDWPTRMRIAKGVTRGLLYLHTQENIIHGNLTSSNVLLDEDTTAKIADFGLSRLMTDAANANVIATAGALGYRAPELSKLKKANTKTDVYSLGVIILELLTGKSPGEAMNGVDLPQWVASIVKEEWTNEVFDLELMRDAPSIGDELLNTLKLALHCVDPSPSARPEVQHVLQQLEEIRLETPASSGPSGDDGAAGPSTSE >EOY19024 pep chromosome:Theobroma_cacao_20110822:10:8408452:8414516:-1 gene:TCM_043645 transcript:EOY19024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSSNSRQMCQSSPYCVRCGTMHVGPCAQSYDVCFNCGQPGHMRRDSPYEGRSQGTCHGYVQLASAVASAISPLARRSRVDKGKGITSIQSRPTESVP >EOY19403 pep chromosome:Theobroma_cacao_20110822:10:17276536:17277058:1 gene:TCM_044499 transcript:EOY19403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNVLSLLVVLFVTSGAVMSIEVADPIQAYNCESKMSLNCVMGVFASIFNNTEMVTDKCCGELIVLGQVCHNALFKRTLQLPKFRKIDSSLILKRSIRTWNKCALLIDSIAQSPYP >EOY18639 pep chromosome:Theobroma_cacao_20110822:10:4140965:4143804:-1 gene:TCM_046957 transcript:EOY18639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHLWPSVRIRDSFKAAYLRKLEWNLHRMKSEKRSSSSPRTPSNQQKLLNEKEAADSASASSGCSASFIVICREISMVLSCCYCCFCCGACVDHEEN >EOY18734 pep chromosome:Theobroma_cacao_20110822:10:4695197:4695808:1 gene:TCM_043232 transcript:EOY18734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRYLRILIQYAQEFTLVEVLYKPRQRAYMPQGVALAIEPRDSCHWLNNFDRSSLKLFLTEASKFAW >EOY20118 pep chromosome:Theobroma_cacao_20110822:10:24968629:24972773:-1 gene:TCM_045516 transcript:EOY20118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEDQAESAMVSKGLVLMPGSDSKLVGGKRSIDELEERHEVSPKRVKMRDLDSVIRSEEINAHNSKSLKRRESSQPLQVSGEGVSQVTEVPVTLNFDGSQVERTTGDKLLAVVQPLSRPLDLNTEVCFANNEYSDNNPKCEEKFDKLCSQESNCATSKGIGLDLNAEDVSSSINCESVPHKHVNNLKPKDVSECGSSIGPVEEKDSLRVWKEMKQNGFLSSSHGGISMQNGLLSSSHSGIPVPKQRGRKSKNDVLKKKMELAKREQVDRFTKIAAPSGLLNGLNPGIINHVRNRKQVHSIIEALVKSEKLENLHSESKSGTKEDDGKKDHGNIDDSALHRLSCYHEDGPPNTKSMSKKARGYLVPMHKPFSSISEERSGDGDSSMVDPVSEDDALALKLSSSTKASENASSFSNEESANFTSASFLSVKAASVASQWLELLQQDIKGRLSALRRSKKKVRAVITTELPFLISKEFSSNQGSEPNLITTSADGFSTDATAEMHRARWSALFDQMDKALSEEEKQLVFFYQ >EOY20117 pep chromosome:Theobroma_cacao_20110822:10:24968218:24972869:-1 gene:TCM_045516 transcript:EOY20117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEDQAESAMVSKGLVLMPGSDSKLVGGKRSIDELEERHEVSPKRVKMRDLDSVIRSEEINAHNSKSLKRRESSQPLQVSGEGVSQVTEVPVTLNFDGSQVERTTGDKLLAVVQPLSRPLDLNTEVCFANNEYSDNNPKCEEKFDKLCSQESNCATSKGIGLDLNAEDVSSSINCESVPHKHVNNLKPKDVSECGSSIGPVEEKDSLRVWKEMKQNGFLSSSHGGISMQNGLLSSSHSGIPVPKQRGRKSKNDVLKKKMELAKREQVDRFTKIAAPSGLLNGLNPGIINHVRNRKQVHSIIEALVKSEKLENLHSESKSGTKEDDGKKDHGNIDDSALHRLSCYHEDGPPNTKSMSKKARGYLVPMHKPFSSISEERSGDGDSSMVDPVSEDDALALKLSSSTKASENASSFSNEESANFTSASFLSVKAASVASQWLELLQQDIKGRLSALRRSKKKVRAVITTELPFLISKEFSSNQGSEPNLITTSADGFSTDATAEMHRARWSALFDQMDKALSEEEKQLESWLNQVKGMQLHCDQGLQHMHWNLLYSLPQLGASENNIRSGMGDSYEKELAVRAAAASIYSTCDFLLSKENVPCSLI >EOY19950 pep chromosome:Theobroma_cacao_20110822:10:24048187:24052978:1 gene:TCM_045351 transcript:EOY19950 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein MSNVECSWCIASFSDALATIVHSRRGMEKCYDIWVLNEYSWPKQLTIGPILGVRRPLAFWNIGKLLLESENHKLVMFDPCTGELQDFGIHTPKYTMQLVVIWGSSEHEAKITRQVQLCLWCIAPFNEALATIVHPTEGMEKCYDIWVLNGYSWTKQLTIGPILGVERPLALWKNGELFLLSENNTLVMFDPCTGELQDFGIHMSKYTMRLVVYAESIIPIKGISEYDAKLTRQVLLCRNHEMLTPSRGHGMQSPLTVGLSDWSNCPELGLLGETLGAADFLPSGSVLVMQQFSPLPSAPKKIVSSARKKGLFKTSGQPSAVPFVNHRKADDYY >EOY18618 pep chromosome:Theobroma_cacao_20110822:10:4032188:4032591:-1 gene:TCM_043120 transcript:EOY18618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELIRGKKMVPMAIVLALVIMTFMAAAPIPAEAVEIVIECSQPDCQNKCSAAYGSRLIRSACEKDPTIFGGTICVCYHTPPAASASTLP >EOY18807 pep chromosome:Theobroma_cacao_20110822:10:5026108:5028387:1 gene:TCM_043291 transcript:EOY18807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTRGSDSKSNRSGKVKEDSLVDCYVEGEGSASVPEMDIRRRKSMLRSDAEEIWEFYSEIGIGFSGGKGGRYLQVGGIRVCGCKQRTPSGPVQHLRNRKKVSLVIGFPAATTSKKRGGNGGRKGERSDVVMENLVAELRSISSAIERSREDFKMIADFIARKEAWLCLPKPVKD >EOY18032 pep chromosome:Theobroma_cacao_20110822:10:1836904:1838298:1 gene:TCM_042699 transcript:EOY18032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTCSLIKTWSKGPTNASPSLNCEATNSISELLSFMGYMVMTTNHEFNSFGRGSKHRSPVTSTKAYSKDTYTSNLHALCHLLAPISPSTFSNQQHCSTPWTKLCG >EOY19100 pep chromosome:Theobroma_cacao_20110822:10:10187925:10190328:-1 gene:TCM_043823 transcript:EOY19100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESKVVKTGLVASWFVFELNSIAFCRARRAFRSCAGILLYSLAEVEGPQIRSSSPGPMSTSITQGALSESLLQLCSSTPPIGLAALAIEVPSSEEGSYEDS >EOY18275 pep chromosome:Theobroma_cacao_20110822:10:2670054:2672372:1 gene:TCM_042869 transcript:EOY18275 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MDFEQRNGDTISSLPEDILCHIISFLPFKSAVQTSFLSTRWKDLWKKNFLVLKGTMDDAFIAISSFLNEFSDQSHQPTSNWGFQFNLGRGSSLSVTVEPDKALHLDFSNVKHEFPWRFDCWLEINGSSYNDWFDFWWLHYRNCQIHTPQPSPFTFKVKSLHLISVSYLSSRAISSMISNFKLLESLTIKKCNGLRSLHIKAGDSGLKKLTILDCQQLESLHFKGYNLQSLRYRGRLVCFQCGDGDSSFFWFWPWFNSFWLDDAMLDFRQGPAYNSIFSCGFKSILHRIKGVKSLTLCKWVFEALICPILPSPPFCWERGFHQLTELWWIDYSKERYNSNALISFLKLCSRLRRLYITIDPKSYRRTNKNNNSVKVITRLQSLEDLKVVKLEGFPNEKVEIMLAKRLKQEFNVEPVIVAKSNYLNCVRLLVKSPDDLLKEGKDPYKFIETRVEHLYDLCPQHVHMGF >EOY19034 pep chromosome:Theobroma_cacao_20110822:10:8573496:8579775:1 gene:TCM_043661 transcript:EOY19034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPRIRASTRGAVEANAPTEIMGRPCMPIARGRGICDRVEMLISAHVEGQPPMADVESLVKGLEGVAIPMVLFTINGFSTKQVSFTWEASSVVTILMIAHDNVKAMGNYVRHKYTPMSLDKCGKYVIQC >EOY17799 pep chromosome:Theobroma_cacao_20110822:10:917473:922278:-1 gene:TCM_042518 transcript:EOY17799 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 MVVRSLWRSRPKLAVAATALCTGGAAATIATSDDPATALKVCTTVPLRLVRDSVTAASIVVDYEYSLWGLPEGSNERAKVKHEVHLRSARKLQELCFRNGGIYIKLGQHIGQLEYLVPQEYVQTMRESMLNRCPVSSYDQVCEVFKKELGETPDKIFNEFDPRPIASASLAQVHVARTHDGQKVAVKVQHTHMTDTAAADQATVEFIVNSLHWLFPSLDYRWLVAEIRESLPKELDFLIEAKNSVKCLENFQKLSPHIADYVYAPEVHWSLSTSKLLTMEFMDGAQVNDVIAIRRLGIQPNDVARLVSQTFAEMMFKHGFVHCDPHAANLLVRPLPSGKSSIFGKKKPQLILLDHGLYKELDFSTRFNYASLWRALVFADANGIKENSVKLGAGEDLYVLFAGILTMRPWNRVIDPAVDHLVIKGTDSDLSELQMYASQYFPQISELLRRLPRVILLMLKTNDCLRAVNNSLLQGSSLETFLVIGKVSSEAVVEAKMMQKKSLLRWLNIWLEEVILEARLLVMQMALWLLQVRKALTWT >EOY18632 pep chromosome:Theobroma_cacao_20110822:10:4115052:4117680:1 gene:TCM_043131 transcript:EOY18632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease MVFTLLSSMDRKCMPSSSSLTPKTHIKNANIIPKWALIHQRKNRVCLKFRINKYPCGCQWKQKGKTWRTQRIYVTKEGENLIRVKKDPVKGDGIKGTIAGAVALIIGTSIGSGILALPQKASPAGVLPSSISLIICWAFLLIEALLLIEINVGLRRKKGKKEEESEFEVISIRTMAQETLGDWGGTLATVIYVFLGYTSMIAYSSKSGEILFHLINLPESVSGFLFTGIFTMLISVGGTQATDQVNQWLTISTIGLLIAIEALAVVFGGWSGLEGSGDWGKVPATIPVMIFSLVYHDIAPVLCAYLGSDLTRLRVSVLLGSVVPLLALLVWDAIALGLSAQADQIVDPVELLMRVKWSGVSFMVEAFSLLAVGTSMIGTLLGFSEFFKEQLKNLSWHSSSMQIAPLTPDDLQQKPDKPSGLTNWWGRNKTSFTAMAMVVTPTLLVSTTVPDAFSAATDIAGGYCMTLLYGVLPPAMAWAMQNREGEDSHQKALTRPKPALFGVGLFACAIVVEQILQDFLALHF >EOY19972 pep chromosome:Theobroma_cacao_20110822:10:24183005:24187366:-1 gene:TCM_045376 transcript:EOY19972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin 4, putative MTYVTFQDDINIFLLCCITHTLKFSTLHYRDHQRMADLEALIKAFSGIGVDENSLISILANSNHEHKKSLRKGYSKFFIEDENGFERLHQSTIKNLKLEFKRFRDAVVLSLLHPWERDARLIEKAMKKGPRHYSVIVEIACTRSSNELLGARKAYHSLFDHSIEEDLASHIKGSERKLLVALVSAYRYEGPKVNDDVAKSEAEILSNAIKNADKRKPIEDEDAIMILSTRSKPHLQAVYEHYNKICGKTITEDLEAEGILKDTVECLCTPATYFTRVLDAALTVDADEESKKALTRVIVTQKELREKEGYVPNKIQGILTGSYKDFILGLVARGEN >EOY20185 pep chromosome:Theobroma_cacao_20110822:10:25173114:25174868:1 gene:TCM_045561 transcript:EOY20185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcript elongation factor IIS, putative MEKVKVKEKEVVELFEAAKKAADRAAALDGGASEESRCIDALSQLKDFPITYQLLVSTQVGKQLRCLTKHPRKKIQAFACDLLQIWKNLVIEKKNSDNNNGKLGSKSSVRAEPATAKLAYAKKVQKTQTNGTANPERVAEETNSVENKVENIIKEERQASSDAKAPPELSSMVKCNDELRDKVREQIYESLCKVVSEAGDDFLDEVNACDPIRVAVSVESAMFESWGKSNSAKKIRYRSTLFNMKDQNNPDFRRKILLGQVKPEKIVTMTTEEMASDRRKLENQQLKDKAIFNCQRMDALTATTDQFKCGRCGSRKTTYCQMQTRSADEPMTTYVTCTNCNNRWKFC >EOY17812 pep chromosome:Theobroma_cacao_20110822:10:966609:968678:-1 gene:TCM_042529 transcript:EOY17812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein MGNPSHFLPSCFKVFTKTAGNRKSEISKGNEKHARIQYPVALPEEIYRQFSLTEIKAATNNFHPKSLIAEAYSGNVFKGIVNDGNLVAVKRLNPDSLRAGFNEFQTEVKLLCQLRHQHLVSLIGFCNDKDELILVYELMKNGTLCHHLYGSGYDPLPWKQRLEICIAAARGLHYLHTGAKHAVIHRHVTSNSILLDDKWVGKLSDFELSKMRPQPSYHITSKALERIDSRVMGTYGYADPEYIRDGGVSEKCDVYSFGVVLFEVLCARKVVDRTLNQSEIHLPDWVRQCIGKGTMYDIIDPHLKRKIAPECLKIFVDIAYCCISEKGDTRPEMGEVELMLELALEMQEKADSQMRDVDPHSECMHREVPFAISVSDHSL >EOY18579 pep chromosome:Theobroma_cacao_20110822:10:3875559:3876658:-1 gene:TCM_043096 transcript:EOY18579 gene_biotype:protein_coding transcript_biotype:protein_coding description:FK506-binding protein 13 isoform 3 MSSLPFAVGTYSPRNLSKPHSKLLAKNVDKAVTSIKWSSNKQNISPIEQLNENRRVFQRREAVGFGLCFGLLEAGQLQLPQPSAVAEEAPCELTLSPSGLGFCDKVVGKGPEAVKGQLIKAHYVGRLENGKVFDSSYNRRKPLTFRVGVGEVIKGWDQGILGGDGVPPMLAGSINLNLNSLY >EOY18578 pep chromosome:Theobroma_cacao_20110822:10:3874974:3876713:-1 gene:TCM_043096 transcript:EOY18578 gene_biotype:protein_coding transcript_biotype:protein_coding description:FK506-binding protein 13 isoform 3 MSSLPFAVGTYSPRNLSKPHSKLLAKNVDKAVTSIKWSSNKQNISPIEQLNENRRVFQRREAVGFGLCFGLLEAGQLQLPQPSAVAEEAPCELTLSPSGLGFCDKVVGKGPEAVKGQLIKAHYVGRLENGKVFDSSYNRRKPLTFRVGVGEVIKGWDQGGKRTLRLPPELGYGTRGAGCKGGSCIIPPDSVLLFDVEFIGKA >EOY18577 pep chromosome:Theobroma_cacao_20110822:10:3874973:3876729:-1 gene:TCM_043096 transcript:EOY18577 gene_biotype:protein_coding transcript_biotype:protein_coding description:FK506-binding protein 13 isoform 3 MSSLPFAVGTYSPRNLSKPHSKLLAKNVDKAVTSIKWSSNKQNISPIEQLNENRRVFQRREAVGFGLCFGLLEAGQLQLPQPSAVAEEAPCELTLSPSGLGFCDKVVGKGPEAVKGQLIKAHYVGRLENGKVFDSSYNRRKPLTFRVGVGEVIKGWDQGILGGDGVPPMLAGGKRTLRLPPELGYGTRGAGCKGGSCIIPPDSVLLFDVEFIGKA >EOY18239 pep chromosome:Theobroma_cacao_20110822:10:2485449:2492942:1 gene:TCM_042832 transcript:EOY18239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein, putative MEASASMYNKAMPYLAMVFMRFGSAGMPIVAKFALNRGMSQHVLVVYRFAIATLVFAPFAIVFDRKVRPKMTFSVFVQILLLGLLEPTIDQNLYYTGIKYTTATVATALCNVLPAFVFLLAWACRLEKVDMRKLHCQAKILGTLGTVGGAMIMTLVNGPILPLPWTKVKNEHQSTVSATKDDPLKGALMILAGCVCWACFVILQAITLKSYPAELSLTTLVCFMGAIEGTIVALVMEGGNAAAWSIHWDSKLFAAAYSGVICSGVAYYVGAMVIQAKGPVFFAAFNPLTMVIVAIMSSFIFSEIMYLGRVIGVIVIVVGLYLVLWGKSKDQHSSDSDSNKAAAAPRSGEQMATIGNETAAVTSNQDFVLLDGVVCSGVAYYLQAVIMKARGPVFVTAFNPLTMVIVAILGSFVLSEVLYLGRVIGAILIVTGLYLV >EOY18656 pep chromosome:Theobroma_cacao_20110822:10:4224263:4229060:1 gene:TCM_043151 transcript:EOY18656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRFLWRKFLRNGSNKFLNLTSHIYRQPIRQISLKGEREECKRRAAKLRSSWGDSVRGNRRQKERGREEKRKGKERGSYRQGLGRRRLRRRHRGPTKGEMVATKGVGCYSAMVSERKRAKDE >EOY18547 pep chromosome:Theobroma_cacao_20110822:10:3789215:3793931:1 gene:TCM_043080 transcript:EOY18547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MSKAESEVLKTLIKILTSSKNPIDALTPYTPLLTPTLLQSLVSSPFLSSHPSTLLSLHKLSLSLFPSLSSSPSFLLSLLPPLISRHKFSECKSLLLSFLSSDPQNTLFTSLVHHPSLSKPLLEISVSSYVQSGKPHLGLELFNLMKRLQKKPNLLTCNNLINGLVKFPSLHSIQLGKQVFHDSITLGVIPETSTFNILILGCCLEGKFNEAISFIEKMKDFGCFPDNVTYNTILAYLCKKGMLKEARDLLQDMKEKGLIPNRKTYNILVSGYCRIGWLKEASKIIDLMVQNDVLPDVWTYNMLINGLCGEGRIEEAVKLRDEMENLKVLPDVVTYNTLINGYFEWGCSEEGFRLVEEMKEKGVEPIAVTHNILVKWYCKEGKMDEASERVRVMEESGLSPDKVTYNTLINGYCKAGKLAEAFRMMGVMLRKGFKMDTITLNTLLHTLCEERTLKKASELLISASKRGYLIDEVSYGTLIAGYFKVGNEDKALKLWNEMKEKEIIPSIVTYNTVIGGLCQLGKTEEAIGKLNELLESGLVPAETTYNTIIHGYFREGKVEKAFEFYNKMAENSFKPDVFTCNILLSGLCREGMLEKALKLFDAWISKGKAIDGVTYNTMISSLCKEGRYEAANHLVSEMKERNLGPDNYTYKAILDALTSAGRMKELEEVISKVVEVGKVHEQSLELKEQNVKTSEILKESDPDSNACSVQIIELCNQGRYKDAMRIYRVANEKGVALNKSTYIALMEGLIKRRKSTTKAVQ >EOY19662 pep chromosome:Theobroma_cacao_20110822:10:19678181:19682634:-1 gene:TCM_044829 transcript:EOY19662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKETKVQPESTSMVQPEEKIGEGEDVKNREDNISRDSGGFSNSYGTNLSLYTTEKRWYRVGLLEMNERDEQVALLLDVLKCICLFQAYMTYAQAQKFHALEEVKYVAIVSPSDNVQSAKRTKSGILEAYSRTRDKTRKNGGIMKVYTVFLRAVKVGNLEQVSKIEDSEEEDFLVASLLYVVKWLWYKVGLVDEKTEDDQQDQSEIDSIYNYYTPDLFNAHMTEEEADRVDGWFLYPIVLILPLDKIPVC >EOY19098 pep chromosome:Theobroma_cacao_20110822:10:10186832:10201407:-1 gene:TCM_043822 transcript:EOY19098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 2 MHYWVRASSSDFSGTLPQPRSGHTAVPIGKSKVVVFGGLLDKKFISDIAVYDIENKIWFQPECTGNGSDGQVGPSPRAFHVAVAIDCHMFIFGGRFGSRRLGDFWVLDTDIWQWSELTSFGDLPSPRDFSAASAIGNQKIVMYGGWDGKKWLSDVYVLDTISLEWMELSVTGSLPPPRCGHTATMVEKRLLVYGGRGGGGPIMSDLWALKGLIEEENETPGWTQLKLPGQAPSPRCGHTVTSGGHYLLLFGGHGTGGWLSRYDIYYNDCIVLDRLSAQWKRLPIGNEPPAARAYHSLSHIGSRYLLFGGFDGKLTYGDIWWLVPEEDPIAKWFIEPPPKNLPHKGMAVANDNIQSAFKESQREDDAITELQRRLGVSVSLSGPGLQIIDESDDKEFIELGSKLIGEKVSNNEQGLVSQTIEVLRGHWRNSTPSSIPLKELGPLLRDYQRLVTRHHLANNGSDFQHIESWLSGKEAHKFYHLNNVSQLRMDDIPKLLAEYKKLLPE >EOY19099 pep chromosome:Theobroma_cacao_20110822:10:10189444:10201424:-1 gene:TCM_043822 transcript:EOY19099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 2 MHYWVRASSSDFSGTLPQPRSGHTAVPIGKSKVVVFGGLLDKKFISDIAVYDIENKIWFQPECTGNGSDGQVGPSPRAFHVAVAIDCHMFIFGGRFGSRRLGDFWVLDTDIWQWSELTSFGDLPSPRDFSAASAIGNQKIVMYGGWDGKKWLSDVYVLDTISLEWMELSVTGSLPPPRCGHTATMVEKRLLVYGGRGGGGPIMSDLWALKGLIEEENETPGWTQLKLPGQAPSPRCGHTVTSGGHYLLLFGGHGTGGWLSRYDIYYNDCIVLDRLSAQWKRLPIGNEPPAARAYHSLSHIGSRYLLFGGFDGKLTYGDIWWLVPEEDPIAKWFIEPPPKNLPHKGMAVANDNIQSAFKESQREDDAITELQRRLGVSVSLSGPGLQIIDESDDKEFIELGSKLIGEKVSNNEQGLVSQTIEVLRGHWRNSTPSSIPLKELGPLLRDYQRLVTRHHLFTGIFTNTN >EOY18830 pep chromosome:Theobroma_cacao_20110822:10:5247854:5249528:1 gene:TCM_043325 transcript:EOY18830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHDYAVFLEAKVMCFWMGLTSLFLLMCTASVWKIIDSALLCSTKLGAYSIAVTALSPSELITRAFSKSSACATQTAEVVRYCTQYMWPPAEPAFRFLILS >EOY19961 pep chromosome:Theobroma_cacao_20110822:10:24106781:24109184:1 gene:TCM_045362 transcript:EOY19961 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein MSMPITDNELSEILVMEILLRLPVKSLMRFKCVCKSWYSSFQTSYFITNHRNNLNLFFKGFDKVPYLSLLSTETEIKKHDEQDVRFNLKVKEKIHMPVSICNSWRLTVSGPCNGLLCLHDGYTITLWNPSTREVKLLPESPTPSTDYTYFFCIGFGFDRKFDDYKILVKVIHCVWSKSTSQIYLYSLNTNSWRELPHPNVFIDPFLFNTYINGIYYWKVTSDDDSYLILSFDMAEEVFSTLPLLNFGMSNARCLWCIAPFNEALATIVHPTEGMEKCYDIWVLNGYSWTKQLTIGPILGVERPLALWKNGELFLLSENNTLVMFDPCTGELQDFGIHMSKYTMRLVVYAESIIPIKGISEYDAKLTRQVLLCRNHEMLTPSRGHGMQSPLTVGLLDWSNCPELGLLGETLGGADFLPSDSVLVMQQSSPLPSAPKKIVSSARKKGLFKTSGQPSTVPFVNHRKADDYY >EOY19846 pep chromosome:Theobroma_cacao_20110822:10:23173174:23174604:-1 gene:TCM_045218 transcript:EOY19846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKQILNSIWRSLLRVHFLFNPSLWRVIFEELGLHNRVKELGVLFERTKGDWA >EOY18537 pep chromosome:Theobroma_cacao_20110822:10:3765441:3766895:-1 gene:TCM_043073 transcript:EOY18537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFESLTGFNNLLSRKMAMKFFKSYSVHHSDVMDRCNIWVLNNEGNWTKLLKRWTSCRT >EOY18880 pep chromosome:Theobroma_cacao_20110822:10:5662016:5666404:1 gene:TCM_043375 transcript:EOY18880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARMEKPVLAEDSLSESEEENAVSESENSQQLDEETTSFVQRKLHQLEYENVDNKQNCNIENLQVIGVDLLSKIQNLENGFARAFTESKISEFPVGNIEMCKLVAELDEQIQLCLTELKQLCTLNSFANPKGTCGNDGLGILKSSDSISQKQQLSSLYQEDSDLVKELSAKILEMEKLKSDNLLKENELEALRLHQKDLETQLSSVEKEKRQLEENIEIMRREVVVTAKFLDDLRSEMMDLNSNMDSQISVNKILAKKSSELENGKQAVEVHLSERLCDLEEQLMYVTDERESFCLELQTPESQAMNFKDEIMRRLEDEMEAQKVDMGQKMEQMKRQLSEVQEECECLKIENLKHIEEYNMLQKENGELIKQKMELHVHCMVWEAELKESEKVFSNILNKVDNLQRENQLLEEEVLAQLQKKLLLQDEVLALKEAISEAKFENEMLEASFVMLSRDYEELTAESSLFVQKIYSSCKCRKIALEEALGTQEALLENELAQISRENRIQVDNKQNCNTESPQFIGVQLAEGLEANDMYKFQLKSLLSKDVGIHLDVPENSAGEVAAGKDRRECKVSTLEVEMSAMYQIC >EOY19737 pep chromosome:Theobroma_cacao_20110822:10:21987581:21988179:1 gene:TCM_045044 transcript:EOY19737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRVLRVARLEEKSGRAMVKCFGAFGVGGLLLLAALAASMVFLPLMLPPLPPPPLMLLFFPVGIMAALMFLAFSPSEAIGNVVLHAV >EOY20040 pep chromosome:Theobroma_cacao_20110822:10:24515138:24516641:-1 gene:TCM_045438 transcript:EOY20040 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 15 MSRERERFDEIGKKIKREADVSSHHMGRRHMLGPPGTLNTITPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKMLMEVPESQRADAANSLVYEANVRLRDPVYGCMGAISALQQQVQSLQAELNAVRAEILKYKCREANLIPSSHVALLSSGAVSVAALPSAPTHPPPPPPPPPLPPTSSSSSMYTQPTTAADYSTISNENVSYFG >EOY20087 pep chromosome:Theobroma_cacao_20110822:10:24777758:24780290:1 gene:TCM_045487 transcript:EOY20087 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein MPMKLPTLLACPALKSLHLSHFSTGGPNFEPATFSGCPNLETMQLFDILTVGSEGLCIDADNLRSLVLSFAFLGEGKVEIYAPRLTTFKYSGTPPIVCLTDNLASVDYVYFDIKTPKFRHNEEEYVLRLINTLNEFRHAKSLTLSSSTVQVLTKVPSLLDQNGLPFANLKHLKIKVKKWQSKRHG >EOY19282 pep chromosome:Theobroma_cacao_20110822:10:15901810:15902706:1 gene:TCM_044327 transcript:EOY19282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MICSFLNPLHTSHPITANTNHVNIFLIVYGYGCRSAWPDMNGSVTTTLQTLGIFYCSWELHDCKISWRVRPWQSSFTLLPAACCLLLAACCLLLVPIYMYSHFGV >EOY18867 pep chromosome:Theobroma_cacao_20110822:10:5575006:5589838:-1 gene:TCM_043365 transcript:EOY18867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVENFLLKWLVGGYGGVVGGWCLVVNGDDEGKVGEVKVKVGTSIRGGLGSSIGSSLGRGKGRGGEGRVGERPSAICELQERVEEWCPIPLKQSALGTFITILGHIKGDLEQYCDNYYSKEKFMLAYNVYIHPIPDIAMAEFKRQVDLLKPPLLNRMPDRPKKYKRREQGERPARHGNGRSSSTQNTLPKSSSITTESSSRAAVRFSSRVATVSSLRAVIWSSSRVVASRTSRGPISVQKRLVAITTSGLDDGAHGSARHAFLMMVQKGLHFLDLLPLLPLLPLWPLLPLPREDSGPHVHKGLDWNSKLTLSTAKSDWWR >EOY19553 pep chromosome:Theobroma_cacao_20110822:10:18689791:18692314:-1 gene:TCM_044687 transcript:EOY19553 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, putative MALTPSLFTLPLPLSFFLSWFLFLFVVGLFHFYKCYGDNKEQLHDYFIYFFVSNCKPLLIPLFNVIKGKPKPKKQTELSPWGARVSEEMSTSMSEEEEAFGYAWCLRSSDMFCFVLDAAIQLGLFDIIAKAGPGAHLSSSEIASQLNANNPEAPSLLDRVLRLLACYDLVTCITRNLDGDGKVERLYGLALPGKAFVPDESRGCLAGFAITKAKIEAYLYLKDMILDGGNVFERLHGAGVPVYQYMSLHPDMARKFDTTMTNLSKVVMNKVLDKYHGFQGIPCLVDVGGGYGISLNIIISKYPSIHGINYDMPHVIQDAPSFPGIQHIGGDMFSSVPKADAIMIKDVLHNWNDERCLKLLKNCYEALPERGKVIVVSYVMIDEPEASDGAKFVCQMDLLMALQGGAKQRTENQFKDLSKAAGFSDFQLNCRIFNAIGVMEFFK >EOY17529 pep chromosome:Theobroma_cacao_20110822:10:102476:105086:1 gene:TCM_042342 transcript:EOY17529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MGKDEEEMRGEIEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDRDEPPGKDYSVQKMILGTHTSENEPNYLMLAQVQLPLQDSENDARHYDHDRSDLGGFGCASGKVQIIQQINHEGEVNRARYMPQNPFIIATKTVSAEVFVFDYSKHPSKPPLDGACSPDLRLRGHSTEGYGLSWSKFKQGHLLSGSDDAQICLWDINATPKNKSLDATQIFKVHEGVVEDVAWHLRHEYLFGSVGDDQYLLIWDLRTPSVTKPIQSVVAHQSEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKISTALHTFDSHKEEVFQVGWNPKNETILASCCLGRRLMVWDLSRIDEEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDIPGDESTKGS >EOY19195 pep chromosome:Theobroma_cacao_20110822:10:13895204:13899167:-1 gene:TCM_044136 transcript:EOY19195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPPMDHLGYAVNGFTIGLNFVILLDECILSDDKCERYDKGYRIVPNGSCPCLLALSCHYDVKAYDLSCTSLDRLC >EOY18856 pep chromosome:Theobroma_cacao_20110822:10:5474335:5480151:-1 gene:TCM_043355 transcript:EOY18856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab gtpase c2a, alpha,atrab,atrab18b,atrabc2a,rabc2a isoform 1 MLGSSSSKGGGNNSYDYSFKILLIGDSGVGKSSLLVSFISNFVHDLSPTIVYDVTRRETFTNLSEIWAKEVELYSTNHECIKILVGNKVDRDSERAVTREEGMALAQEHKCSFLECSAKTRENVHQCFKDLILKVLEVPALREKGSAVVKKQILQQKQEHKVPQSNGCCFQ >EOY18855 pep chromosome:Theobroma_cacao_20110822:10:5474710:5480032:-1 gene:TCM_043355 transcript:EOY18855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab gtpase c2a, alpha,atrab,atrab18b,atrabc2a,rabc2a isoform 1 MLGSSSSKGGGNNSYDYSFKILLIGDSGVGKSSLLVSFISNFVHDLSPTIGVDFKIKMVTVGGKRLKLTIWDTVYDVTRRETFTNLSEIWAKEVELYSTNHECIKILVGNKVDRDSERAVTREEGMALAQEHKCSFLECSAKTRENVHQCFKDLILKVLEVPALREKGSAVVKKQILQQKQEHKVPQSNGCCFQ >EOY18854 pep chromosome:Theobroma_cacao_20110822:10:5474453:5480204:-1 gene:TCM_043355 transcript:EOY18854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab gtpase c2a, alpha,atrab,atrab18b,atrabc2a,rabc2a isoform 1 MLGSSSSKGGGNNSYDYSFKILLIGDSGVGKSSLLVSFISNFVHDLSPTIGVDFKIKMVTVGGKRLKLTIWDTAGQERFGTLTSSYYRGAHGIILVYDVTRRETFTNLSEIWAKEVELYSTNHECIKILVGNKVDRDSERAVTREEGMALAQEHKCSFLECSAKTRENVHQCFKDLILKVLEVPALREKGSAVVKKQILQQKQEHKVPQSNGCCFQ >EOY19788 pep chromosome:Theobroma_cacao_20110822:10:22533797:22536993:-1 gene:TCM_045127 transcript:EOY19788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGMDELNMQMIQLKSSISKMGPLGPTPPPNSGLPLSTYFQPALFRLTFQPMNIGLRPSLHPCPSTFQPPSQQALRAQLFTPSPITPAPSLGEMIENAIKKGKIKDNSVSSMENCNASKKMRGEARVITCEEQPWGINPYHLHSAYQPSCPTRNHISHNLCLYQSILQPIFHLRAPTPSLMPQSSPKPNLRQLPMLILKLLPILIENRYLSLVPMKTVPNPSTRNNDPNAKCDYHMGAIGHSTEKCTQLKEKIENLIKDDSLTLELIER >EOY18076 pep chromosome:Theobroma_cacao_20110822:10:1991060:1996430:-1 gene:TCM_042728 transcript:EOY18076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein MAKKLVFFWVFLVCSDQVFSQLDQFIFNGFHEAGNNMSLTGVADIGSKGLICLTNTSSRVSGHAFYSSPVRFKNSSSSKVFSFSTAFAFAMVPEYPKLGGHGLAFTLSPSKELSGFPSQYLGLLNATDNGNSTNHIFAVEFDTVKDLEFGDIDDNHVGINLNSMVSNASASAAYFLENSTKQELSLKSGRMIQAWIDYDSARNRLEVKLSPFSEKPRSSILSFDVDLSPILQDSMFVGFSASTGLLASSHYVLGWSFNMSGEAESLSLLSLPSLPRPKENHTVLILCVTFSAVLIMMSIIFISFYLVRKLKNADIIEAWELDIGPHRFSYRELKKATRGFRDKELLGFGGFGRVYKGTLPSTNTQVAVKRISHESKQGLREFVSEIASIGRLRHRNLVQLLGWCRCRGDLLLVYDFMPNGSLDKYLFDEPKRVLSWEERFKIIKGVASGLLYLHEEWEQTVIHRDIKAGNVLLDSELNGRLGDFGLAKLYEHGQNPSTTRVVGTLGYLAPELTRTGKPTTSSDVFAFGAFLLEVVCGRKPIEPKALPEELILVDWVWERWQSGAVLEVVDPKLNGEFDELEAIVVIKLGLMCSNDALEARPTIRQVVRYLAGEVALPEVVPSPGGSDSRKGNGRRGAGFEDYVHSYPDSSYFDKGSACSSAFEDRDVDIEATTPLPITDRGDGR >EOY18916 pep chromosome:Theobroma_cacao_20110822:10:6151358:6155842:-1 gene:TCM_043420 transcript:EOY18916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRVEVDQHLKKKGDTECLPWSFLNGYIKKHMEDEQGLLAFAMAIYGLVVFPKVLGHVEVSVIDFFDKVTRSINPVPSILAKTFRSLNFCRRKGEGRFIGCAQLLIIWIKSHFECKESKFQKLYLSASCPILEFYESESPDCKRKEEWVARLRRLMSIEVTWRAPWMPRMQVMYKCGDKPWVPLMGPWGAISYAPIMVRRQFESEQFVPMTHQLDQLEFTYGKPETLKRIEEIAQDWKKTC >EOY18346 pep chromosome:Theobroma_cacao_20110822:10:3131770:3133682:-1 gene:TCM_042950 transcript:EOY18346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF-2, putative MFLSSNDTTETKLSLKLLIDKRANKVLFAEAGKEFVDFLSYVLSLPVGTFIRLLKSQNMVACMDNVYQSLENLNEAYVQPNQHKNFLLKPDMPYVVTSVPPLLPDTSQDPAQRKFYTCANNHRYVIDILNAICPPCKSSMPSEVTFVGRNSDMAGSTTEGGIEKGLATYMVMDDLTITPMSMISGVAMLNKCNVKDFSALEEKMV >EOY18087 pep chromosome:Theobroma_cacao_20110822:10:2037000:2040923:1 gene:TCM_042735 transcript:EOY18087 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MAETASSSKIKTSRWSLNGMTALVTGGTRGIGHAIVEELAGLGAAVYTCSRNEAELNKCLKEWEGKGFLVSGSVCDASSKDQRQKLVENVASLFNGRLNILVNNVGTNIRKPTIEYSAEEYSKLITTNFESSYHLCQLAHPLLKASQVGSIVFISSVAGVMHIGSGSIYGPTKAAINQLTKNLACEWAKDNIRTNCVAPWYIRTSLVEHLLEKKELLEKIITRTPLQRVGEPEEVSSIVAFLCLPASSYITGQVISVDGGLTVNALNPGMRLD >EOY17649 pep chromosome:Theobroma_cacao_20110822:10:451488:452115:1 gene:TCM_042418 transcript:EOY17649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein MANVVELKVGLHCEECIKKILKAIKKIEDIETYNVDTKLNKVVVTGNVTRDEVIRVLQKIGKQANTWGSADD >EOY19909 pep chromosome:Theobroma_cacao_20110822:10:23822234:23827927:1 gene:TCM_045316 transcript:EOY19909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein, putative MLPDLSSVKFEEFPGMDLSFNMFEGPLPVLPYNMTSIILAKNRFSGSVSSLCKIAAGTLSMLDLSDNLLCGVLPDCFFHWQNLSVLNLANNNFSGVIPITVGSLLSIETINLRNNSFSGDLPSSLKNCNRLKFLDLSENMFSGSIPAWIGENLSSLIFLSLHANEFYGRIPANLCQLANIRILDLSQNNLSGALPLCLNNLIAMVKKGDPNDIIEQLYWSSGQDLSFTIGFYIAKAWVGWKGKKYEYERSLGLFRIIDLASNKLDGEIPDEITRLSELVALNLSGNNLIGFIPENIGQLKQLESLDLSNNQLSGRIPNSMADLNFLSYLNLSYNNLSGKIPTSTQLQSLDASAFIGNQALYGPPITQQCPKNDTFQPQPPEEERDEFSRWLYIGMGIGFFMAFWGVIGTLLLKRSWRHAYFRLLDNWTDSLHVTFMLWSARLERKFKS >EOY19201 pep chromosome:Theobroma_cacao_20110822:10:14117754:14120358:1 gene:TCM_044158 transcript:EOY19201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVGRPPDPLPTLPPVATPSMLQSGATPNALATENSKPSLSHGHTQAPVSPRTQKKSFLAVAAGEKSSLIPLDREPFWYKDRPAASFFDDEISTLAQPFKFSMVGKFSRMLRMQEIRVAFKGIGLIGAYEIRWLDYKHILIQLSNEHDLNRIWLKQVWFISNQKMRVFKWSPEFQPEKESSMVPVWISFPNLKAHLYEKSALSAIVKTVGRPLMVDEATANGTRPSVARVCVEFDCQQPPIDQVWIVTRNRQSGSVMGGYMQKVEFARLSEFCTHCSHVGHGVSSCMVIGNRPEKNKQPMGGKKQLKKEDKDRTNARKGDLKPQEEKETEPIQAEQQKQSTRWQVMARPGPSSAKGTRGEELVLNAQKKVQVQLSNRFEAVGLMDDAGNEKQGQTECVNSDIMGKQFFLSEQYGEKKIREGKVVQIVEKEDDWRSQHAAGELPETSAREEISSTRSPVVERVNSSMHIPDNIFKGKGPQQVMVGTHQVERKILDDLSGTKEQEKGEGTRNATQAAMGESLRIDAVLNARTANTTGDPVNSRRDDNPGIRRSTYATMEESWKTGEDTNDSVAKDGDFDHVQWAIEAGHVSFRKAKEKKHRKLEDQLSVTAMHGDGQMISEVRQSFGRMLAEDSQVGSKEIASSTASIHGGLVEGSGENNQEMSHPENKSALSPHGRQQLGSNSRLEWQQSDSRSSYNPCNRVSVEAPRGAEILKNSGENSQEVSQPGNEQELSPDDFLQHSTIHSKERAIQANLIAKDVEPIPHRVVMLDEKEDTDYGLCGFNKEPSMVPSLENFQLRVQQISGSERHQEKPSTGINQSRDPSQDFHENKQ >EOY19736 pep chromosome:Theobroma_cacao_20110822:10:21979748:21980715:1 gene:TCM_045043 transcript:EOY19736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSQFKSGVPVYTQCQLQNHAGKKQYETMDSGNDWHGSGSPPKTIQDQESGEFKHNADSTGSIGGVAYVYTQILKGSDEVDWQQIKDNLDQSSHQSSDLGKLGYSSEVVIDKNNPPPSLKATLRPAA >EOY19789 pep chromosome:Theobroma_cacao_20110822:10:22539591:22549648:1 gene:TCM_045129 transcript:EOY19789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance RPM1-like protein MFVGGIDTSAAIVIWGMTFLLKDPKSMKRVQEEIRNLIGDNAFVNNDDIQDLSYLKIVIKKKFRLQSTAPLLLSQEIIRKGLDFELMPFGAPRRGCPGIYMEIATMELALANLLCKFDWEMPVGMNKDDLDFNVIPGVVMHKKRNALCLVGHKFTWPELVRKNGQVAKSTIEKDNPEVTVRILPPGRGGFSDFVAIVFMFSLTITAMLSMSLLLILPGVHGFLALNLVQFILTMPKHLKSCFLYLGMFPEDYAVNCARLVRLWIAEGFVKQRDGATLEEVAREYLTELIHRNLVQAELVDYDGVVRNCRVHDLMHEVILSKADELNLIQTSAKNIQCPNQTARYLSIKDESNNVSRSGGCSKTHSIIFFEVNEFPKSLLSSLFVNFILLKELDFEGVPLNYLPEELGNLLHLKYLSVRDTKVKMLLKSLAKLRNLGTLDLKRSLVRELPVEINKLSNLQHLIAYSEDYDTPQGLKIRGTLRSLNSLEKLYYVDMNAQNNFGFIRELGSLKHLRKLGITNLKSEGGNALCNAIEHMSYLESLDVISVKENELLQLQSMSSLPLLLYCLRLQGRLEKLPDWISELKCLVRIRLFWSQLSDIPLKQRNKNITLT >EOY18325 pep chromosome:Theobroma_cacao_20110822:10:3002732:3013294:1 gene:TCM_042924 transcript:EOY18325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MDERLRRAAQLGNIDALYDLIEDDADVLRRIDEMEFVDTPLHIAAAQGHTEFAMGLMNLKPSFARKLNQRCFSPIHLALQEKQEKMVDDLLSIDKDLVRVKGREGYTPLHHAVREGNVPLLSKFLKNCPNSIFDLTNRKETALHIAAQNNNLEAFQAILFWIQKTRECHYREKRRILNLQDKDGNTVLHIAASNNQTQMIKLLIESKKVDSNTVNRSGFTALRVLQEQARVDSGESVNILKRAEDPVSPLSVFTKSSIETDLDQLSYDISKMNVDTINALLVVFALILTMTYQALLSPPGGAEAAGKSVLKPYMFILFYTSNLAAFAITWFLAVFIIQTVAREIAYFVDKLFILIWFCYIVAHVIISPPPYAGWVAFAAAYIIGSSLFVIGLRIRRKRN >EOY19727 pep chromosome:Theobroma_cacao_20110822:10:21868748:21869354:1 gene:TCM_045032 transcript:EOY19727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sigma factor binding protein 1, putative MDVQGVRRMKPHKKHSKRSNSKKDIKVVYISSPMKVKTCASQFRALVQELTGKDSDVSVQFMDNYGSSENSPTHSDVTTTTTERVVDDDRVVRGLPLGNSNHEFSVFEPFDDGLIMEGSFLGMFTSSLLHDPSQLEAVRSFDSI >EOY17724 pep chromosome:Theobroma_cacao_20110822:10:672775:674548:1 gene:TCM_042470 transcript:EOY17724 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein MGSLVHVKEATIVTPCEPTPSRVLSLSALDSQLFLRFTIEYLLVYEGHPGLDQRATVARVKAALGKALVPYYPFAGRVRAKPDGSGLEVVCRAQGVWFVEASSDHSVNEFGRAPRFVTQWRKFLSFQVADVLKGAPPLVVQLTWLKDGNAALGVGITHCLCDGIGSAEFLNSFAELASTSQTKFSEFKFKPKPVWDRHLLNPAPCKPSRNNNNNNNHSLSHPEFNRVPDLCGFQARFSNERLVPTSFIFNKTSLNELKKVAFSTSRLSEANYTSFEVLSAHIWRSWGRALNLPSNQILKLLFSINVRERVKPSLPSGYYGNAFVLGCAQTSVKDLTEKGLGYATMLVKRAKERVDGEFVKSVVESVSQGRASPDSVGVLILSQWSRLGLEKVDFGMGRPVQVGPVCCDRYCLLLPVFNQTDAVKATVAVPTSAYPRYEHLVRSFCS >EOY19544 pep chromosome:Theobroma_cacao_20110822:10:18637955:18639163:-1 gene:TCM_044677 transcript:EOY19544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATIPAIALAVQYAAGVPLSGCQQLRRNLVTKTHHKTIMAAKSNPAVRYETGVLVSTPCQVLDRNRESSKRVKTIMAAYPIQFATDVPESTDCNLRNFSGGELKLDDKTFWEGLDMNAKDLPRQILDKGTPKFKHDAFGGSVIGSSGGLEYVFGGGEYKWIIAWSNSKNELNKVYTKIFKGDVVGWNEIRESLAKSDDQSSCNEFGYSSDVVIVKPALRQ >EOY19817 pep chromosome:Theobroma_cacao_20110822:10:22741666:22745165:-1 gene:TCM_045161 transcript:EOY19817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVEVFGRVEENIESFEKEFGVLDEIGNTRDLEQKESDQKKCLMGELWVAQRNKESMWKQKLRKKWVKERDKNKKFFQINLSLANSGRVCMWELAMKRFPDRMLNLIGERGYRWLLGNGEKIGFLHDRWLENKPFKTIYIRLYTLARRKDATA >EOY18293 pep chromosome:Theobroma_cacao_20110822:10:2835978:2836622:-1 gene:TCM_042893 transcript:EOY18293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKWLDLNGLIYNHTKSAAKPISMGPTKRTSRITRLILNIQMVGFQPPISLASTGLPMDHKPHNHHPGPTASFGRRSRSLPGRIVRIYN >EOY20245 pep chromosome:Theobroma_cacao_20110822:10:25332617:25337610:-1 gene:TCM_045602 transcript:EOY20245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-rich protein 23 MSLVKEQVADWGNIVGDILRCIADKTYSFQDRVRMGVVCRSWQASLKNEKINFHIFLMLAEKKNSDRRCFNIGATETILELELPEIRGKRCWGTPFGWLVTYSLDLEIELFNPLSRVSISLPSQQTLDYDIIHYTPQQLRLYFIHKVLLSSSPTSSDCIVMIIYGHRVYGEMDSLAFAKPGDQAWTQISFTSLLDDTSLLDDACLLDDVNYINGNFFADRRTGQLILFEDLNGPFVEFAAPPPMDYPDADPDDEKKYIVDWGGHLCMIIRQHYPYKRPNAALPLSCFLHNAAFNPASENPIQNPQAHTPPPPPRSYAFTSAEEAAAERRRRKRRLRIEPPIHALRASAPSGPPTRDPNAPRLPDSTSALVGHRLNLHNRVQSLIRASDLDAASLLARSSVFSSTRPTVFTCNAIIAAMYRNKRFNEAIALFHFFFKQSDIVPNVVSYNNLINTYCDTGEVDTAIRVYHEILENAPFNPSPVTYRHLTKGLIDAGRIGEAVDLLREMLNKGHGADSLVFNNLILGFLNLGNLDKANELFDELKERCLVYDGVVNATFMEWWFNQGKDKEAMDSYKSLLDRKFKMPPPTCNALLEVLLKHGKTKEAWALFDDMLDNHTPPNTQAVNSDTFNIMVNECFKLGNIEEAIRTFKKVGTKPGSKPFAMDVSGYNNIITRFCEHGMLSEAEKFFQELCGKSLTPDVPMYRTMIDAYLKAERVDDALQSFTKMVETGLRVVSSFGAKVFSEFLKNGKNMESASLLTKMGEKDPKPDASIYDIVVRGLCNADELDKTLDILDQMMKYSIGVTPALQEFVRGAFGKVGRNEEIDRVLNENRWRFPGPQARSWGQPPPRSLGSPPMAGQQYTGPSQMAGQQYSGPSQMAGQQPFGSSEFSGQHSLRSD >EOY20201 pep chromosome:Theobroma_cacao_20110822:10:25233755:25237492:-1 gene:TCM_045578 transcript:EOY20201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin H-type, putative MGHRWTKVTRSKQAVYKAKKNAFDFLQFFKCHNKDCKDHQARCVGLACRNVHHITTIQSWEAKLTEATRDGKILVANFSTLWSGPCRSIAPTYCELADKYSSLMFLTVDVDELAELSTSWDINATPTFFFLKDGRQVDKFVGDDKVELPKKIAAIANVASRC >EOY20146 pep chromosome:Theobroma_cacao_20110822:10:25048692:25051742:1 gene:TCM_045536 transcript:EOY20146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Appr-1-p processing enzyme family protein MSRNSILFASGGRGNPFLTTASTFCNIKVASVSSYNPNLWWYRILSRSHCTIPNIFKLSLSPPSSSTAAAAVLRSLGFQGWSQQLGEKMAISSCLKPLSLKSRKVTSLCGLLMAPLMLLIEHSVMISFLQVNPANERMLGGGGADGAIHKAAGPELLEACYKVPEVQPEVRCPTGEARITPGFKLPASHVIHTVGPIYDSDKDPKASLTSAYKNCLSVAKENNVKYIAFTAVSCGVYGYPFEEAATVAISTVKEFADDIKEVHFVLFSDQIYNVWLNKAKELLQA >EOY19981 pep chromosome:Theobroma_cacao_20110822:10:24249198:24256032:-1 gene:TCM_045385 transcript:EOY19981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein MGIRHSSNEHSLSFRNLQNDQKEYCDACTKEISKMAYACRCGFRLHESCASEVQHLPHKIIHPLHSQHDLELKLEVLEDFICDKCLYIFAASGYKCKQCDFSLDLACASSTNDPLPEEKWQRPQDGKKREIQHYSHLHKLTFFQYRKIRNYGYNCSWCEKCLSEVCYGCVSCKFFLRELCRDKVLRTLYHPSHPLRLHYKDIGNNCNACGQDICFLAQREDILSEKYFGNLSYCCLKCNLFLHFGCTKLLPTLKHKCHEHLVTYFRISKKAKNANSCNACGALCDYDFYRCVECNFNVHLHCVPIPSSIEHRYHRHPLNYMDSVAEDHSEEYYCDICKNERNPAHPVYCCEKCKYITHVHCVLNEVKITCLTFFLFFLCFENQKGKFIKLKAGYINNSTNPR >EOY19342 pep chromosome:Theobroma_cacao_20110822:10:16534116:16535854:1 gene:TCM_044412 transcript:EOY19342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 13, putative MEGNTGSANDEPQSLLFTWKAENFSRLEAKKMYSETVDLGGYKWRLLVFPNKDHLSIFLDVAASENLPDNWSVCPLFTLTVVNQIDKRYSVNKDTYHEFNERDHDWGFNCFMPLTELYNPRTGYLVDDTCIIQVEISIGSLFAD >EOY19153 pep chromosome:Theobroma_cacao_20110822:10:11214109:11223603:1 gene:TCM_043932 transcript:EOY19153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase 4 MAKCFPFLLLILLFFTSLQFTSSAFPVLRQGSSLSVENPDDVLVSPSGIFSAGFYPVGHNAYCFSIWFSKPCHDGSHTIVWMANRDTPVNGRRSKLSILKTGNIILTDAGEFIIWTSNNTDSGSWSQLNLLDSGNLVLQTPANVTLWQSFGSPTDTLLPEQPLTRYKKLVSAQSQSNHSSGYYKLIYDYNNVLRLVLDGPETSSIYWPDSTILDYQQGRTRYNDSKIAVFDSAGYFSSSDKVEFRSNDFGRGPWRRLTLDFDGNLRLYTLEDQKGVWSVTWQAMSNSCRIHGACGPNSICSYDPSSGPKCSCLPGFKMKNQADWKDGCEPEFDLSCSKHDVNFVKLRHVNFFGYHYDIYRNYTLEACAKACLDSCCIAFQYRYFQNDGAYRCYPKWELRNGYQYSSYNGTLYLKLPKSFTYDEPVEEFKLNCSSNQTKQLERVYHKKTGNGLLKILIWCASVIGLIEMICIFLVFRFLYKTQRSSDAATCGYLVVASGFKKFTYDELRKATRCFSEEIGRGGVGVVYKGVLSDQRVAAIKRLNIEANQGEEEFLAEISTIGRLNHMNLIEMWGHCAEGKHRLLVYEYMENGSLAKNLMSDSLDWEKRFQIAVGTAKGLAYLHEECLEWVLHCDVKPQNILLDSNYHPKVADFALSKLLNRNSLKSSSFSKVRGTRGYMAPEWVYNQPITSKVDVYSYGIVLLEMLTGRNPAIGVPDFKTSGEVWHQKLQEWVKGKKNGTAATSSWVDELIDPAIGYDYDRNKLENLLEVAIKCTETNRHARPSMSQVVQMLLGDKIDP >EOY19890 pep chromosome:Theobroma_cacao_20110822:10:23588504:23589107:1 gene:TCM_045280 transcript:EOY19890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSVPACIYASCSKQNSRKKSNVDGAARGCPSPSSMGGAMRDHEIRVKILFSKPPGHGDSNVTEILAIKDAFYLFATSLWCSTHSLIVESDSSNFVF >EOY19348 pep chromosome:Theobroma_cacao_20110822:10:16595588:16597982:-1 gene:TCM_044421 transcript:EOY19348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin, putative MDIIFETPEGRAFSIEIGFFDTVLEIKEKVQKYQGIPVARQILVFNGQVLEDERDVEYCVLFQDCRVQLLIADEPQVMEINEQESSPSKKIQVKVKRPSSDDYVPLEIDEDDTILQLKEKIHEMEPIPTVVNRFVLKSNGEELQDHQSLRDCGFTDDTEINVFVKPTAIRSGRVEINEEESSPSKKIQLKIKIPSSRASVPLEMDVDDTVLRLKEKIHEMEPIPVNRLVLLSNREELQDHRSLRDCELTDNAEIDVFIKPTAIRSGAGSSKRAKKGTKRLKVMVLPWRGTVKIPVEVNPSDNVKELRKELEKLQQRSQINLPVEGYFFIHKQSILDDDKSFRWQDVANGDTIEIFRGRVTNGS >EOY18996 pep chromosome:Theobroma_cacao_20110822:10:7638341:7639472:-1 gene:TCM_043568 transcript:EOY18996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKPGLNLPKKLRVIYNDPDATDLSSDEEEIDYWKKRKNQIVGTKRVVKEISCSSASHKSCSSNSSHYINDGTGSRRPRRSSSTYSTEEEAAAVYQTKKQEANNAEKTMTQRTMKKVVKEYRNVKEQPCLDQWDEWKDEPSIMELWEVPPSASESWEELFRPYGHEDYLPCGSDAVSCLLLPKNSKDKLFDQPDIKTKMEDMAWADEILNLEFC >EOY19218 pep chromosome:Theobroma_cacao_20110822:10:14277807:14279502:-1 gene:TCM_044176 transcript:EOY19218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGFKQSKNMYDVGLKPLMLRSLMGQYVPDEKHPVSLNSSCFELSKVVSILQTHRLLSEWYPQSMDVKLVHSWKSAVDEWVNRLLLLLSSDMSKPLNGEIPLIREEKVSKFI >EOY17670 pep chromosome:Theobroma_cacao_20110822:10:518427:522108:-1 gene:TCM_042435 transcript:EOY17670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 33 MKPLLSPSSSSVLRKARLSPYFYTLLAFILFVVILYSEDFLCSFGQGELVPTQPPISQTEKKQETTSLPFVIGRTEEGCDVFSGRWVRDEFARPHYEESECPYIQPQLTCQEHGRPDKEYQKWRWQPHGCDLPSFNATLMLEALRGKRMMFVGDSLNRGQYVSMVCLLHRILPENAKSMETSPDQSLVVFRAKDYNATIEFNWAPFLLESNSDNAIVHRVAERMVKKGSINKHGKNWKGVDVLVFNTYLWWMTGQKMKFLKGSFDDEKKDIEELTTEEAYRVAMKSMLKWVRKNMDRNKTRVFFTSMSPLHIKSTEWGGEPGGNCYNETTLIEDPNYWGSESKSVMQVIGEEFSKSKYPITFLNITQLSNYRKDAHTSIYKKQWSPLTPEQLANPVSYADCVHWCLPGLQDTWNELLFAKLFYP >EOY17961 pep chromosome:Theobroma_cacao_20110822:10:1593685:1596242:1 gene:TCM_042647 transcript:EOY17961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 60 isoform 2 MTTSIHVTALDSVVNVNSLFTLAVFIGLTWNPYDSSNTLVNPGSPCFPNLRIAEDLIKFHVYSFSSFLFSSLVALALKQAIKISKSHALNIHINFRGAEFLEHVDVNKSLLRVGMLVSGAGSVAGCVFLMLALVNVVQIKVGTLACGSGHALAAVVPLVILVPLALLIYVCVGLYAFTR >EOY17960 pep chromosome:Theobroma_cacao_20110822:10:1593510:1596242:1 gene:TCM_042647 transcript:EOY17960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 60 isoform 2 MTTSIHVTALDSVVNVNSLFTLAVFIGLTWNPYDSSNTLVNPGSPCFPNLRIAEDLIKFHVYSFSSFLFSSLVALALKQAIKISKSHALNIHINFRGAEFLEHVDVNKSLLRVGMLVSGAGSVAGCVFLMLALVNVVQIKVGTLACGSGHALAAVVPLVILVPLALLIYVCVGLYAFTLYLLWLFKLFRSVPELH >EOY18223 pep chromosome:Theobroma_cacao_20110822:10:2425285:2431635:-1 gene:TCM_042823 transcript:EOY18223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin depolymerizing factor 4 isoform 2 MRNALLPPVSSQFYIIGNNEVQMPYLDVLMQMESKGNVHGGGFSMESKIFNNDTQLRDGAFVIPYQSHFNSDLQDYQSGSSNCDNNFQQSMPLTSKLALPQFEQDIQKLQNGRKRPIVVNDQMPLNGTSALNEWKKKNKRKRLPSFEQQQSRQITEAVTEIKQCRMHMPAVRRSQKLSDKITALQKLVSPYGKTDTASVLQEASLYIKLLQEQIQNLFQMLSSSYNSLRAIHPQEIGKKQQDLRSRGLCLVPISFTQKVTKEDQKEKRESKEKRRKKNNKSKRLGGHFQAVIANPKNREKKFIYTFLLPFRSLFFSEEALDFLGLFSLFSSLSRETMANAASGMAVDDDCKLKFLELKAKRTYRFIVFKIEEKQKQVVVEKLGEPTDSYEAFTASLPADECRYAVYDFDFVTEENCQKSRIIFIAWSPDTSKVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIKSRTT >EOY18224 pep chromosome:Theobroma_cacao_20110822:10:2426437:2431173:-1 gene:TCM_042823 transcript:EOY18224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin depolymerizing factor 4 isoform 2 MRNALLPPVSSQFYIIGNNEVQMPYLDVLMQMESKGNVHGGGFSMESKIFNNDTQLRDGAFVIPYQSHFNSDLQDYQSGSSNCDNNFQQSMPLTSKLALPQFEQDIQKLQNGRKRPIVVNDQMPLNGTSALNEWKKKNKRKRLPSFEQQQSRQITEAVTEIKQCRMHMPAVRRSQKLSDKITALQKLVSPYGKTDTASVLQEASLYIKLLQEQIQNLFQMLSSSYNSLRAIHPQEIGKKQQDLRSRGLCLVPISFTQKVTKEDQKEKRESKEKRRKKNNKSKRLGGHFQAVIANPKNREKKFIYTFLLPFRSLFFSEEALDFLGLFSLFSSLSRETMANAASGMAVDDDCKLKFLELKAKRTYRFIVFKIEEKQKQVVVEKLGEPTDSYEAFTASLPADECRYAVYDFDFVTEENCQKSRIIFIAW >EOY17969 pep chromosome:Theobroma_cacao_20110822:10:1620365:1624378:-1 gene:TCM_042653 transcript:EOY17969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein MGDLPKGDFSAPSLHTSMLQDVVPSAASDALLYSYKRSFNGFAAKLTKKEAQKLRGEGVVSVFLSQKKQLHTSRSWDFMGFSSRKVERSVIESDIVVGMLDTGIWPESESFNDTGFGPIPAKWKGTCQKSSNFTCNKKIIGARYYRADGEFSPDDFKSPRDAKGHGSHTASTAADILAAFDDAIADGVDIISLSVGGSFASDYFDDSIAIGAFHSMKNGILTSNSAGNGGPDPSSIVNVSPCSLSVAASTIDRKFVTRVKLGNGEIYEGNSINTFDLKGKMYPFIYGGDAPNISEGFTSEDSRYCLPGSLNETLVKGKIVFCEYSSDDEGTTEAGAVGAVFLDEGNKDYAFSYPLPLANLNMDDGRTVLSYLSTTETPTATIYKSIEENNQFAPFVVSFSSRGPNPIAADILKPNLTAPGVNILAAWSEATTVTETEDDTRVVPYNIISGTSMSCPHATGAVAYVKSFHPTWSPAAIKSALMTTAFPMTSENNIDSEFAFGAGHINPALAAQPGLIYDAGEIDYIAFLCGQGYSPKYLQLITGNNSSCSEETNGTVWDLNYPSFALSATPGKSITGVFHRTVTNVRSAVSTYKAVVKATPGLISQVQPSVLSFNTLGQKQSFVVTVRAEVGNSMKSGSLIWDDGLHQVRSPIVGYASLIK >EOY17873 pep chromosome:Theobroma_cacao_20110822:10:1242462:1244052:-1 gene:TCM_042577 transcript:EOY17873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKESEWGFNFLCECSKDDELLFVFVGAPSLLETEPPRTQLTSLAPFWNFEMHVILYEIGEKDLGVHRRRYGYQEYQVLEKSTYMSSHYPGEEILYNLSDEFSQRLGLIDEGKLDSFNVPYYNPSQNEVQCLVDKEGYLTTEFIDTIALDIGRAQTQELKATDA >EOY18993 pep chromosome:Theobroma_cacao_20110822:10:7631704:7633895:-1 gene:TCM_043566 transcript:EOY18993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHLYAQMVKDTNLGKRFNKVCTPFIKVDGYHLKGPHGRAFLSAIVIDGNCGLFPVVVDVVELENGDPWSWFLNLVNTTIGDINKPLAMMSDAQKGLDDIIIEIMPHVIQRRVMPAFNSKFSVFQSGKTYAIRLKQNTLLRSSSIAVGFPLGAANGFSLRAVASKTSRRLASVQRKPVVATTLGIDRGACSGVKYVPARGGLKRAPFPELATSTPYYPCCLYCPYCLYLSNEF >EOY19893 pep chromosome:Theobroma_cacao_20110822:10:23614609:23624145:1 gene:TCM_045285 transcript:EOY19893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2 MQRQLLVAAVLATLSLLISGQTDYPDFFYKLSLQWPPSVCANAKCRSPIPRTFTIHGLWLQSVEDDEPIRLKPRQTPLYKAKEIRDAIYKTFGKYPEISCGKVNNTGKLLLNEIRLCFERANPPFVLRDCPKRNSTRCSNGNDQLKFPPRTSRV >EOY18100 pep chromosome:Theobroma_cacao_20110822:10:2073170:2079215:1 gene:TCM_042741 transcript:EOY18100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation repeat-containing protein isoform 4 MNGEGKESEEVKMEEVNRERLVFMWGYLPGALPQRTPLLSPVVVRIPASVGCSWTDVCGGGCGFAMAISDSGKLITWGSTDDLGQSYVTSGKHGETPEPFPLPTEASVVKAAAGWAHCVAVTENGEVYTWGWKECIPSGKVFGDPSMGTSLEKDVFERQNSFLTEQVSPRSQGSRSSGGTFSAADGKGGGEESTKRRRISSAKQATESSSSGDETLSALPCLVTLNPGVRIVSVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVTCIEPSSYGKDRAAALSRGSMSAEGQSFRIPGSYVKAIACGGRHSAVITDAGALLTFGWGLYGQCGQGSTDDELSPTCVSSLLGITIEAVAAGLWHTVCISADGDVYAFGGNQFGQLGTGGDQAETLPRLLDAPSLENTHAKVVSCGARHSAVITEDGKVFCWGWNKYGQLGLGDVIDRNIPSQVTIDGCGPKNIACGWWHTLLLADSPT >EOY18102 pep chromosome:Theobroma_cacao_20110822:10:2073171:2079240:1 gene:TCM_042741 transcript:EOY18102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation repeat-containing protein isoform 4 MNGEGKESEEVKMEEVNRERLVFMWGYLPGALPQRTPLLSPVVVRIPASVGCSWTDVCGGGCGFAMAISDSGKLITWGSTDDLGQSYVTSGKHGETPEPFPLPTEASVVKAAAGWAHCVAVTENGEVYTWGWKECIPSGKVFGDPSMGTSLEKDVFERQNSFLTEQVSPRSQGSRSSGGTFSAADGKGGGEESTKRRRISSAKQATESSSSGDETLSALPCLVTLNPGVRIVSVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVTCIEPSSYGKDRAAALSRGSMSAEGQSFRIPGSYVKAIACGGRHSAVITDAGALLTFGWGLYGQCGQGSTDDELSPTCVSSLLGITIEAVAAGLWHTVCISADGDVYAFGGNQFGQLGTGGDQAETLPRLLDAPSLENTHAKVVSCGARHSAVITEDGKVFCWGWNKYGQLGLGDVIDRNIPSQVTIDGCGPKNIACGWWHTLLLADSPT >EOY18101 pep chromosome:Theobroma_cacao_20110822:10:2073170:2079203:1 gene:TCM_042741 transcript:EOY18101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation repeat-containing protein isoform 4 MNGEGKESEEVKMEEVNRERLVFMWGYLPGALPQRTPLLSPVVVRIPASVGCSWTDVCGGGCGFAMAISDSGKLITWGSTDDLGQSYVTSGKHGETPEPFPLPTEASVVKAAAGWAHCVAVTENGEVYTWGWKECIPSGKVFGDPSMGTSLEKDVFERQNSFLTEQVSPRSQGSRSSGGTFSAADGKGGGEESTKRRRISSAKQATESSSSGDETLSALPCLVTLNPGVRIVSVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVTCIEPSSYGKDRAAALSRGSMSAEGQSFRIPGSYVKAIACGGRHSAVITDAGALLTFGWGLYGQCGQGSTDDELSPTCVSSLLGITIEAVAAGLWHTVCISADGDVYAFGGNQFGQLGTGGDQAETLPRLLDAPSLENTHAKVVSCGARHSAVITEDGKVFCWGWNKYGQLGLGDVIDRNIPSQVTIDGCGPKNIACGWWHTLLLADSPT >EOY18103 pep chromosome:Theobroma_cacao_20110822:10:2073170:2079203:1 gene:TCM_042741 transcript:EOY18103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation repeat-containing protein isoform 4 MNGEGKESEEVKMEEVNRERLVFMWGYLPGALPQRTPLLSPVVVRIPASVGCSWTDVCGGGCGFAMAISDSGKLITWGSTDDLGQSYVTSGKHGETPEPFPLPTEASVVKAAAGWAHCVAVTENGEVYTWGWKECIPSGKVFGDPSMGTSLEKDVFERQNSFLTEQVSPRSQGSRSSGGTFSAADGKGGGEESTKRRRISSAKQATESSSSGDETLSALPCLVTLNPGVRIVSVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVTCIEPSSYGKDRAAALSRGSMSAEGQSFRIPGSYVKAIACGGRHSAVITDAGALLTFGWGLYGQCGQGSTDDELSPTCVSSLLGITIEAVAAGLWHTVCISADGDVYAFGGNQFGQLGTGGDQAETLPRLLDAPSLENTHAKVVSCGARHSAVITARPG >EOY19270 pep chromosome:Theobroma_cacao_20110822:10:15451270:15454704:1 gene:TCM_044283 transcript:EOY19270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate family protein 13 MIGPTCKPFPFHLPFHLLTSPLKLSPSILSAPINAPTSKAQLLTNQTKKKKRKPILMGKKMKLPALFKHKEAREPWQWPSCKHPKTLSFRAGDDVFKTVNSVFFEPIDGVETPESWFTKSPESASFSTESDQEFDGESLEMVVRGARSERLFFEPGSDTSSILEEAKTGGLPFKESVVLAMESADPYVDFRKSMEEMVETHGLKDWKILEELLGWYLQVNGKTNHGFIIGAFIDLLVGLNATSCSDSTSYSSAVSSLPSSPLCSTQGDDNEIEEEENVRLP >EOY19724 pep chromosome:Theobroma_cacao_20110822:10:21843711:21851890:-1 gene:TCM_045030 transcript:EOY19724 gene_biotype:protein_coding transcript_biotype:protein_coding description:U5 small nuclear ribonucleoprotein helicase, putative isoform 1 MAHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLWGKIDPRSFGDRVYKGRPLELDEKLKKSKKKKERDPLAEPVPVRKTKRRRLHEESVLSVTEEGVYQPKTKETRAAYEAMLSLIQQQLGGQPLNIVSGAADEILAVLKNEGIKNPDKKKEIEKLLNPIPSQVFDQLVSIGKLITDYQDGGEGGGGSMGNGDDGLDDDVGVAVEFEENEDEEEESDLDMVQEDEDDDDDGVENGAGAMQMGGGIDDDDMHEANEGMSLNVQDIDAYWLQRKISQAYDQQIDPQQCQKLAEEVLKILAEGDDREVETKLLVHLQFDKFSLIKYLLRNRLKVVWCTRLARAEDQEERKKIEEEMMSLGPDLAAILEQLHATRATAKERQKNLEKSIREEARRLKDESVGDGDRDRRGLADRDTDGGWLKGQRQLLDLDSLAFEQGGLLMANKKCELPMGSYKHHAKGYEEVHVPAPKSKPLESDERLVKISEMPEWAQPAFKGMQQLNRVQSKVYETALFAADNILLCAPTGAGKTNVAVLTILQQLALNMDSDGSINHSNYKIVYVAPMKALVAEVVGNLSHRLEAYGVTVRELSGDQTLTRQQIDETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNYEDVALFLRVDLKEGLFHFDNSYRPVPLSQQYIGITVKKPLQRFQLMNDICYEKVMAVAGKHQVLIFVHSRKETTKTARAVRDTALANDTLSRFLKEDAASREILQSHTDMVKSNDLKDLLPYGFAIHHAGLARTDRQIVEELFADGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYSPEKGAWTELSPLDVMQMLGRAGRPQYDSYGEGIIITGHSELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGTVQNAREACNWITYTYLYVRMLRNPTLYGLPADVLSRDLTLDERRADLIHSAATILDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELYRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVYITQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVTKRMWNVQTPLRQFHGIPNEILMKLEKKDLAWDRYYDLSSQEIGELIRFQKMGRTLHRFIHQFPKLNLAAHVQPITRTVLRVELTITPDFQWEDKVHGYVEPFWVIVEDNDGEYVLHHEYFLLKKQYIDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYQDFKHFNPVQTQVFTVLYNTDDNVLVAAPTGSGKTICAEFAILRNHQKGPDSIMRVVYIAPLEAIAKERYRDWEKKFGRGLGMRVVELTGETSMDLKLLEKGQIVISTPEKWDALSRRWKQRKYVQQVSVFIVDELHLIGGQGGPVLEVIVSRMRYIASQVENKIRIVALSTSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAVVQHAKNGKPAIVFVPTRKHVRLTAVDLMSYSKVDNEEPAFRLRSAEELKPFVDKISEETLRTTLEHGVGYLHEGLNSLDQEVVSQLFEAGWIQVCVMSSSLCWGVPLSAHLVVVMGTQYYDGRENAHTDYPVTDLLQMMGHASRPLLDNSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHFLHDNFNAEIVALVIENKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDHLSELVENTLTDLEASKCITIEDDMDLSPLNLGMIASYYYISYTTIERFSSSLTSKTKMKGLLEILASASEYAQLPIRPGEEDVLRRLINHQRFSFENPRCTDPHVKANALLQAHFTRQHVGGNLALDQREVLLYATRLLQAMVDVISSNGWLSLALLAMEVSQMVTQGMWERDSMLLQLPHFTKDLAKRCQENPGKNIETIFDLVEMEDDERRELLQMSDLQLLDIAKFCNRFPNIDLSYDVLEGENVRAGENVTLQVTLERDLEGRTEVGPVDAPRYPKAKEEGWWLVVGETRSNQLLAIKRVSLQRKAKVKLEFAAPTEAAKKAYTLYFMCDSYLGCDQEYNFTVDAKEAAGPDEDSGKE >EOY19725 pep chromosome:Theobroma_cacao_20110822:10:21843762:21851333:-1 gene:TCM_045030 transcript:EOY19725 gene_biotype:protein_coding transcript_biotype:protein_coding description:U5 small nuclear ribonucleoprotein helicase, putative isoform 1 MAHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLWGKIDPRSFGDRVYKGRPLELDEKLKKSKKKKERDPLAEPVPVRKTKRRRLHEESVLSVTEEGVYQPKTKETRAAYEAMLSLIQQQLGGQPLNIVSGAADEILAVLKNEGIKNPDKKKEIEKLLNPIPSQVFDQLVSIGKLITDYQDGGEGGGGSMGNGDDGLDDDVGVAVEFEENEDEEEESDLDMVQEDEDEDQEERKKIEEEMMSLGPDLAAILEQLHATRATAKERQKNLEKSIREEARRLKDESVGDGDRDRRGLADRDTDGGWLKGQRQLLDLDSLAFEQGGLLMANKKCELPMGSYKHHAKGYEEVHVPAPKSKPLESDERLVKISEMPEWAQPAFKGMQQLNRVQSKVYETALFAADNILLCAPTGAGKTNVAVLTILQQLALNMDSDGSINHSNYKIVYVAPMKALVAEVVGNLSHRLEAYGVTVRELSGDQTLTRQQIDETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNYEDVALFLRVDLKEGLFHFDNSYRPVPLSQQYIGITVKKPLQRFQLMNDICYEKVMAVAGKHQVLIFVHSRKETTKTARAVRDTALANDTLSRFLKEDAASREILQSHTDMVKSNDLKDLLPYGFAIHHAGLARTDRQIVEELFADGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYSPEKGAWTELSPLDVMQMLGRAGRPQYDSYGEGIIITGHSELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGTVQNAREACNWITYTYLYVRMLRNPTLYGLPADVLSRDLTLDERRADLIHSAATILDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELYRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVYITQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVTKRMWNVQTPLRQFHGIPNEILMKLEKKDLAWDRYYDLSSQEIGELIRFQKMGRTLHRFIHQFPKLNLAAHVQPITRTVLRVELTITPDFQWEDKVHGYVEPFWVIVEDNDGEYVLHHEYFLLKKQYIDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYQDFKHFNPVQTQVFTVLYNTDDNVLVAAPTGSGKTICAEFAILRNHQKGPDSIMRVVYIAPLEAIAKERYRDWEKKFGRGLGMRVVELTGETSMDLKLLEKGQIVISTPEKWDALSRRWKQRKYVQQVSVFIVDELHLIGGQGGPVLEVIVSRMRYIASQVENKIRIVALSTSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAVVQHAKNGKPAIVFVPTRKHVRLTAVDLMSYSKVDNEEPAFRLRSAEELKPFVDKISEETLRTTLEHGVGYLHEGLNSLDQEVVSQLFEAGWIQVCVMSSSLCWGVPLSAHLVVVMGTQYYDGRENAHTDYPVTDLLQMMGHASRPLLDNSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHFLHDNFNAEIVALVIENKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDHLSELVENTLTDLEASKCITIEDDMDLSPLNLGMIASYYYISYTTIERFSSSLTSKTKMKGLLEILASASEYAQLPIRPGEEDVLRRLINHQRFSFENPRCTDPHVKANALLQAHFTRQHVGGNLALDQREVLLYATRLLQAMVDVISSNGWLSLALLAMEVSQMVTQGMWERDSMLLQLPHFTKDLAKRCQENPGKNIETIFDLVEMEDDERRELLQMSDLQLLDIAKFCNRFPNIDLSYDVLEGENVRAGENVTLQVTLERDLEGRTEVGPVDAPRYPKAKEEGWWLVVGETRSNQLLAIKRVSLQRKAKVKLEFAAPTEAAKKAYTLYFMCDSYLGCDQEYNFTVDAKEAAGPDEDSGKE >EOY20018 pep chromosome:Theobroma_cacao_20110822:10:24412367:24415903:-1 gene:TCM_045420 transcript:EOY20018 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MVLEVALAVGGAFLSSFLSVLFEKMASPQFLNLLKQQKLKRDLWQNLEILLLTVDKVLADAEDKQIKNPSVRKWLDMLKDAAYDAEDLLDAIATEDKRGRLDRDKKVQFNARLEHLHQKLKDIAAQKDALSLKESYGGRPVPRLPTTSLVDESEVCFREDVKDQILDFLVSVAKDEDKVPVVAIEGMGGIGKTTLAQFLFNDERVKSYFDLRTWAYVSEEFDVFKVTKTIFESIILWHCNISDLNALQLVLGKRLMGRRFLLVLDDVWNESFVDWDLLRRPFQDGAPGSKIIVTTRSQNVSFTMRSVLVHHLQPLPDEDCWSLFAKHAFKNKGSGEDPTLKAIGKKIVEKCKGLPLAAKTLGGLLRYKVEAEDWYNVLNSKIWDLPNEKSSILPALRLSYYHLPSHLKRSFAYCSIYPKGLEFEKGNLVRLWIAEGLVLQPNGQRRMEDVGGQYFDELLSRSLFQQSSCNNSCFEMHDLVNDLAQDVAGEFCFKFEDGSLPHRPERVRHLSCIPKQDEAPEKFEAFYGVFKSLRTFLPLRLSNSGKVFLNPIVLKNLFPVSGCLRVLSLSPYHVTKLPDSISNLKHLRYLDLSHTDIQNLPEGVGSLYNLETLNLSHCHSLTQLPANTGNLTKLEHLDIRGTAVTEMPENFGNLKCLQFLSGFFVSRNSESRISELKDLSLLFGTLSILGLQNVFQPEDASKANLKDKKYLNELILKWATYDTHNATEVLEKLCPHENLKKLHIERFGGTRLPEWLGDALFSKVESLQLVDCGNCSSLPSLGQLPSLKELHIVRMKVVQEVGDEFYGNDVLPFKSLETLLFRGMLDWQRWLPFKDGAFPSLQQLIVHKCPKLTGCLPSLLPSLVTLDIYECKKLEFLHPNGTNQYSALERLYIRKSCEDLISLPLGSLTKLRKIKLLDCKFLRSVGMPLDCHEDLKFLRKLKIKNCDDLGTFDARGLSSLQKLKIVHCSNLFTFGEGGLPSSLQSISIRNCKNLPPQETWGLEGMGSLRHCEVN >EOY17778 pep chromosome:Theobroma_cacao_20110822:10:838420:843339:-1 gene:TCM_042497 transcript:EOY17778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase C 2 MSKQTYRVCFCFRRRFRLTVSEAPEGIKKLFEQYSENGIMSLDRLQRFLVEVQKEEKATIEDAQKIIDSVKHFHRKGLNLEAFFKYLFGDINPPLASLGVHHDMSAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIINALKRGVRVIELDIWPNSAKDNVDVLHGRTLTTPVELIQCLKSIREHAFVASEYPVVITLEDHLAPDLQAKVAEMVTQTFGDVLFSPGPECLKEFPSPESLKKRIIISTKPPKEYLEAKEAKDKENDAEKGKAASDEEAWGKEVPDLKGSHVADDKNDLDEEDEEDPEDGDKSQHNLAPEYKRLIAIHAGKPKGGLDEWLRVDPDKVRRLSMSEQELEKAALTHGKQIVRFTQRNILRVYPKGIRVDSSNYNPLIGWMHGAQMVAFNMQGYGRSLWLMHGMFKANGGCGYVKKPDFLLKSGPHDDVFDPSVRLPVKTVLKVTVYMGEGWYHDFHHTHFDAYSPPDFYARVGIAGVPADNVMKKTKTLEDNWVPSWNEEFEFPLTVPELALLRIEVHEYDMSEKDDFGGQTCLPVSELRSGIRAVPLNSRKGDKYNSVKLLMRFELSDIRV >EOY18403 pep chromosome:Theobroma_cacao_20110822:10:3366192:3367573:-1 gene:TCM_042999 transcript:EOY18403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MQRNLIERERRSNLKNLYSKLFSLLPPQPAKMSLLDKLELATVHIKQLQRQVEELKQRKMQLDDQESEAWNRVKSGRITPVLNIIDSDSIMEVNLVTGSDMKFTLGEIINIIEEEGAEVIGATYNHARKVNRNILSVHCEEIGFKGSKGLERLKSLIGDCM >EOY19230 pep chromosome:Theobroma_cacao_20110822:10:14647797:14649635:-1 gene:TCM_044209 transcript:EOY19230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTFNHIECTIPVLTLPNFDSLFEVEFDISGVGIGAVLLQKKKPIAYLSEKLSDTRRKWTTYDKKSYYVENLSMDFVLGLPRAQKGMDFIFVVVDKFSKMAHFIPCKKTSNASEIGKLFFKEVVRLHVVPKTITSNRDNKFLDKPLYLDVQVNLRLSFSQVEGTDVDSLANNFLEQVTRQKPK >EOY18975 pep chromosome:Theobroma_cacao_20110822:10:7123850:7129765:-1 gene:TCM_043522 transcript:EOY18975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWGRPSDAGLLGWKEFDKAFMDRFTLRNVRAAKAKEFEASKQTLGMTVFEYDAQFTQLSRYAPYLVSSEEIRVNWFMVGLLEYLFRVVASQRFDSYSDAVDCARLIKGRSVEARALCESTERTKTEGQSSQRNTSQETTFARSSRPGRRDTIQNRGQVSTGSQGSRRNPQFSSPPHCGNFSAANNC >EOY19295 pep chromosome:Theobroma_cacao_20110822:10:16086508:16094570:1 gene:TCM_044346 transcript:EOY19295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRSQCPQEMGCDTFGLTNAPAAFVDLMNRVFWAYLDRFVVVFIDDILEYSKSLEEHKQYLRIVLQSLREDKLYVKFLKSMDFVMGVPQVRGGYDAIWVIIDWLTKSAHLLPVKTKYRVARYTQVYINEIVRLHGCSRNDSL >EOY17832 pep chromosome:Theobroma_cacao_20110822:10:1011206:1013241:-1 gene:TCM_042538 transcript:EOY17832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine-tRNA protein transferase 1, putative isoform 1 MERTCCPSYTIRLKASDFGPSKEQLRVYGRMQRFLDGTLEVRKPSELAENPNTSTHRGSSVCHAVSSSAANESFACVKKEENKAEEFMHHLSDLIDKVVHTYVGSGEFPFGIQLPKAAVKKVSHAKRKLSVEGTEDLLYSSNIAFQIAATLRRTCSAEKDVQRLRLSGHSAEENGLCPKSVAEKLACSLNQLANISSLSIRACNGHVNFYSAAKNVSSGGDVQIVAQPEESGSGSKSSCKKKSSEHPPGKKRKLEIRLKKSSFDPEEYALYRRYQIKVHNDTPDRVTESSYRRFLVDTPLLFVSPSADGMVPPCGFGSFHQQYIIDGKLVAVGVIDILPGCLSSKYLFWDPDYAFLSLGKYSALQEIGWVKENQAYSAGLQYYYMGYYIHSCSKMRYKAAYYPSELLCPLRYRWVPFHIARPLLDKKKYVILSDFASLQDGESTQ >EOY17829 pep chromosome:Theobroma_cacao_20110822:10:1010039:1014248:-1 gene:TCM_042538 transcript:EOY17829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine-tRNA protein transferase 1, putative isoform 1 MRNEASSSNSREESVVVDCGRRRSSCGYCKSSGRTSVSHGLWAHSIAVNDYQDLLDCGWRRSGCFLYKPEMERTCCPSYTIRLKASDFGPSKEQLRVYGRMQRFLDGTLEVRKPSELAENPNTSTHRGSSVCHAVSSSAANESFACVKKEENKAEEFMHHLSDLIDKVVHTYVGSGEFPFGIQLPKAAVKKVSHAKRKLSVEGTEDLLYSSNIAFQIAATLRRTCSAEKDVQRLRLSGHSAEENGLCPKSVAEKLACSLNQLANISSLSIRACNGHVNFYSAAKNVSSGGDVQIVAQPEESGSGSKSSCKKKSSEHPPGKKRKLEIRLKKSSFDPEEYALYRRYQIKVHNDTPDRVTESSYRRFLVDTPLLFVSPSADGMVPPCGFGSFHQQYIIDGKLVAVGVIDILPGCLSSKYLFWDPDYAFLSLGKYSALQEIGWVKENQAYSAGLQYYYMGYYIHSCSKMRYKAAYYPSELLCPLRYRWVPFHIARPLLDKKKYVILSDFASLQDGESTQSCIPESVMELQRDDIGLEDSNDVPIDDDEEMIDIESESSDDELDPEPSGLGSTAIEDGNLTNVLVGLRGSRLRYKDLQPAFGPTERNYLEMQLHNYQRVVGLELSERMVYSLG >EOY17831 pep chromosome:Theobroma_cacao_20110822:10:1010980:1013900:-1 gene:TCM_042538 transcript:EOY17831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine-tRNA protein transferase 1, putative isoform 1 MDECRDGTLEVRKPSELAENPNTSTHRGSSVCHAVSSSAANESFACVKKEENKAEEFMHHLSDLIDKVVHTYVGSGEFPFGIQLPKAAVKKVSHAKRKLSVEGTEDLLYSSNIAFQIAATLRRTCSAEKDVQRLRLSGHSAEENGLCPKSVAEKLACSLNQLANISSLSIRACNGHVNFYSAAKNVSSGGDVQIVAQPEESGSGSKSSCKKKSSEHPPGKKRKLEIRLKKSSFDPEEYALYRRYQIKVHNDTPDRVTESSYRRFLVDTPLLFVSPSADGMVPPCGFGSFHQQYIIDGKLVAVGVIDILPGCLSSKYLFWDPDYAFLSLGKYSALQEIGWVKENQAYSAGLQYYYMGYYIHSCSKMRYKAAYYPSELLCPLRYRWVPFHIARPLLDKKKYVILSDFASLQDGESTQSCIPESVMELQRDDIGLEDSNDVPIDDDEEMIDIESESSDDELDPEPSGLGSTAIEDGNLTNVLVGLRGSRLRYK >EOY17830 pep chromosome:Theobroma_cacao_20110822:10:1011205:1014241:-1 gene:TCM_042538 transcript:EOY17830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine-tRNA protein transferase 1, putative isoform 1 MDECRDGTLEVRKPSELAENPNTSTHRGSSVCHAVSSSAANESFACVKKEENKAEEFMHHLSDLIDKVVHTYVGSGEFPFGIQLPKAAVKKVSHAKRKLSVEGTEDLLYSSNIAFQIAATLRRTCSAEKDVQRLRLSGHSAEENGLCPKSVAEKLACSLNQLANISSLSIRACNGHVNFYSAAKNVSSGGDVQIVAQPEESGSGSKSSCKKKSSEHPPGKKRKLEIRLKKSSFDPEEYALYRRYQIKVHNDTPDRVTESSYRRFLVDTPLLFVSPSADGMVPPCGFGSFHQQYIIDGKLVAVGVIDILPGCLSSKYLFWDPDYAFLSLGKYSALQEIGWVKENQAYSAGLQYYYMGYYIHSCSKMRYKAAYYPSELLCPLRYRWVPFHIARPLLDKKKYVILSDFASLQDGESTQ >EOY17845 pep chromosome:Theobroma_cacao_20110822:10:1106745:1112228:-1 gene:TCM_042555 transcript:EOY17845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MDTNLLAAQFTSSPKLMFFKENGYRILVLEMITGRRPADDMFHGGLRLHNFCQRTLPEQLKDILHFRLLEEIEAPVKERGSKIMLPQNRMQLRQVVRELGGHGKLNLLVPQFNSSPQLIFFKENGLLVPRSLKFASAMGNETDRIALLSIKDQLVSAYPGALDSWNASLHFCLWQGVTCGHRYQRVTGLDLDGNVPKEVGYLRRLRVFELFQNNLHGRIPVELANCLNLTRIDLTYNNLTGKVPFQLGHLPKLNILYLGANKLVGGIPSSLGNISSLWKLSLAHNHLEGNIPDALVLFLCQFTIFLPWKCLTWLSTISQGVLQLSISNMSNLETLDLTYNSFSGSVPKDLGNLKNLEWFHIGDNYYGNGKAGDFDFLSSLSNCSLLQFLNIQSNRLGGLLPPSIGNLSIQFSILYIGWNSISGNIPDEIGNLMGLNLLDMRRTALTGSLPTSIGKLQNLERLLIGWNNFFDEIPSFIGNLSRLFDLLLYSNNFEGRIPLVLRNRKNMQNLDITENKLSRSIPDQLFGALTNLIVVNMSYNSLTGPLPSDFGNLKNLVELYVYEIKLSGEIPKTLGECSELAVLDMAGNFFQGSILLSFGSLRALEFLNLSHNNLSGTIPHELEKLPFLSSLNLSFNHFEGEVPKRGVFNKSNGFSIVGNKNLCGGIPEIKLLKCINPKPREKQNALSTKAIIILILGILVASILVVFLFICCCRKRSGKNFVPAALLGDSYLRVSYKELLQATGGFSSSNLIGVGSFGSVYKGVLHRQEKPVAVKVLNLQNRGAAKSSTVECKALRKVRHRNLLKIITSCSSIDYQGNDFKALVFEFIPKGSLDSWLHEQHESRYLNFVQRLDIAIDVANAIDYLHHNCEVVIVHCDLKPSNVLLDDDMVAHVTDFGLAKLLSTDTDTMGNDRASSSTMKGTIGYVPSEYGMGGAVSPEGDIYSYGILLLEMITGRRPTDGMFHGGLNLHNFCNMALPERLKEILDSRLLEQICENNERSRSQPNMEGKMLESLVSFTKVGVACSAGASGERMGIKDAITELLATKARLLRTGIHRRDRR >EOY17551 pep chromosome:Theobroma_cacao_20110822:10:174117:175206:1 gene:TCM_042360 transcript:EOY17551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein isoform 1 MDDGEVELSDHVLLSNPDSSSNLLDSTSVDLIIDEFFKNTRTCTHTHTCNPPGPDTAHTHTCYHTHTQVITSEEDDHQNNKNSSSLKPRRPSGNREAVRKYREKKKAHTAYLEEEVKKLRLLNQQLVRKLQGQTILEAEVLRLRSLLVDLRAKIDGGLGVFPFQKQCNNATILKEGDCGVQSTDESIGLQCQTDLPCFHPHAGSSSQASIGGSEKMNISWEGNCQPAIVNCQANINQMEVLKDTT >EOY17552 pep chromosome:Theobroma_cacao_20110822:10:173902:175481:1 gene:TCM_042360 transcript:EOY17552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein isoform 1 MDDGEVELSDHVLLSNPDSSSNLLDSTSVDLIIDEFFKNTRTCTHTHTCNPPGPDTAHTHTCYHTHTQVITSEEDDHQNNKNSSSLKPRRPSGNREAVRKYREKKKAHTAYLEEEVKKLRLLNQQLVRKLQGQTILEAEVLRLRSLLVDLRAKIDGGLGVFPFQKQCNNATILKEGDCGVQSTDESIGLQCQTDLPCFHPHAGSSSQASIGGSEKMNISWEGNCQPAIVNCQANINQMEVLKDTT >EOY17952 pep chromosome:Theobroma_cacao_20110822:10:1565262:1567072:-1 gene:TCM_042642 transcript:EOY17952 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAS/WASL-interacting protein family member 2, putative isoform 1 MAEQLDDAEFWLPAKFLTDDDIVMEKENLKNKNGGNNTELLIPSHGFPTEFPYEFDSFDSSSALSSPVESVVGSTETESGDEDEFLAGLTRRLAHSTSQKFTVPVLSLDKTEKSGVLASSPQSTLSGLGSWSTSSNGSPNGPSQVPSPPTTPFGAQNDTWDLIYAAAGQVARLKMSNEAPKYTSFNYGRGLPKAQSHAVMRNSSSGLYPSQGLSYNLAQTNQYHGRQEQVLKPQCGAVMARQVKASNWQAQLQQQQQQHIQSRARNNNVVGVRPLGLPQSSWPPLQVQSQQQQQPQHNSGSGMRAMFLSGSGSVKRECAGTGVFLPRRYGNPPEPRKKSGINKN >EOY17951 pep chromosome:Theobroma_cacao_20110822:10:1564069:1566808:-1 gene:TCM_042642 transcript:EOY17951 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAS/WASL-interacting protein family member 2, putative isoform 1 MAEQLDDAEFWLPAKFLTDDDIVMEKENLKNKNGGNNTELLIPSHGFPTEFPYEFDSFDSSSALSSPVESVVGSTETESGDEDEFLAGLTRRLAHSTSQKFTVPVLSLDKTEKSGVLASSPQSTLSGLGSWSTSSNGSPNGPSQVPSPPTTPFGAQNDTWDLIYAAAGQVARLKMSNEAPKYTSFNYGRGLPKAQSHAVMRNSSSGLYPSQGLSYNLAQTNQYHGRQEQVLKPQCGAVMARQVKASNWQAQLQQQQQQHIQSRARNNNVVGVRPLGLPQSSWPPLQVQSQQQQQPQHNSGSGMRAMFLSGSGSVKRECAGTGVFLPRRYGNPPEPRKKSGCSTVLLPAKVVQALNLNFDDTNGHVQPHINPSFASNYDALLARRNALLTQARRGYRPEGGLNHEIHLPQEWTY >EOY18008 pep chromosome:Theobroma_cacao_20110822:10:1790202:1793722:1 gene:TCM_042686 transcript:EOY18008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane Yip1 family protein MDESSYSNLATSHLLGSVPAVVSEENKASYEVPEANMQIFPPNNGGGGGSGRGYQTLEAPTEGFEQQSPNNWKGVFSISSYTQYFNVDTDVVINRLMSSFYPASGDFFSKIDANPDLYGLIWITTTLVFMLSSFGNCATYLMQKHTDSTASWNFDVGYVNVAACGIYGYAIVVPMAFYFLLQYLGSNASLIRFWCMWGYSLSIFILTAFLLLIPVEILRWIIILIAGTVSACFVALNLKSYIVGNDLTMMVVAAFLLQMALAIFIKEISQSKIPLEEKLLCVSGLLTW >EOY19942 pep chromosome:Theobroma_cacao_20110822:10:23989711:23991824:1 gene:TCM_045342 transcript:EOY19942 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 73B3 MSCKNRQLQIFFLPFMAHGHMIPFIDLAMLFAAKGVKTTIITTTLNVPHISKVTERAKNLGYEINILVTYFPSVEAGLPEGCESYDQASSPDMQFKFFTATTMLREPLAHLLQAHRPDCLVADMFFPWVTDVAAAFGIPRIVFHGTCVFSLSATEHIRLYEPHKKVSSDSEPFVIPNFPGEIKLTRSQMPDFVRQETGFTKFYSESKETELKCYGVIVNSFYELESAYADHYTKVLGRRAWHVGPISLRNKGTIDKTERGKKTCIDENECLAWLNSKKPNSVVYICFGSVTNFSSSQLLEIATGLEASGQQFIWVVRKEMKNEEKEDWLPEGFEKRMEGKGLIIRGWAPQVLILDHEAIGGFVTHCGWNSTLESVCASVPVVTWPVAAEQFYNEKLLTQILRIGIGVGAQKWARLVGDFVKREAIEKAVTEIIVGDRADEMRSRAKALAESARKAVEKGGSSDSDLNALIQELTARALKTYK >EOY19713 pep chromosome:Theobroma_cacao_20110822:10:21772405:21778750:1 gene:TCM_045019 transcript:EOY19713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKSPNLSFSFICHVASYPTGFPSLQPINPSTVPLALIDLLPAVGFLKPSYPFTAQIGLLSLYPTTALIKEIGPSLCPRSPLLPFRWLPKSGFPLCRWHYPKDFPPQPITKTPSKSIPKKQAKYPKAKLFCSSPFSLYFFIFFLFFWLWICGCWLLRPGILFAECGIWKQLPTGEMRS >EOY18603 pep chromosome:Theobroma_cacao_20110822:10:3968341:3972244:1 gene:TCM_043108 transcript:EOY18603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 C MEDRPNRTVDNSSTSPQQHQSHAAAASSKQPVTAPDAVDTTSVTQRLQKELMALMGNGCDFVYGGDVWLPIRLCLGHSIISHLKFKSKVELVMTEGDLGVSAFPEGESIFAWIGTIEGGKGTMYEGLSYKISMRFPLDYPFKPPQVKFETMCFHPNVDQFGNICLDILQDKWSSAYDCRTILLSVQSLLGEPNPESPLNTYAAALLNNEEDYRKMVQEQYFGEKTSES >EOY19114 pep chromosome:Theobroma_cacao_20110822:10:10508708:10513254:-1 gene:TCM_043851 transcript:EOY19114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSYWLLYEVTGRYNEIYTLNTLTAQIAIQVINVHLILKRFGLWGISIGNRIIHIPTLIILIGGTILIFHGTTTLGLKIQDQITLIAFHTKLDLFLGECKPTSLTRQLAGRSYVYPRGIIEDVLVKVDKFIFLVYFVILDIKEDRQIPIILGRPFLARTRALIDIEKDVTASLMPTSKPSIEKPPTLELKPLPTHLSPMCNKERGTVTRWRVCMDYRKLNKATRNDHFPLPFIDQMLDRLPDWTLPFELMCDASDCVIFVLLIEIFIRKKKFYMMLNIRFGMSLSCLSNAKTKFSKSVSRGRNPKCTSTLCQRVGNISRRHEMPLNILEMEIFYVWGIDVMGPFIPSHNNNNYTFVAVDYVSKWVEAVPLPTNDSKVVMSFIKKNIFTRFGTPRAIISDEVSHFCNKYFDTLFAKYGVKHKVTTAYHP >EOY17994 pep chromosome:Theobroma_cacao_20110822:10:1702709:1709914:1 gene:TCM_042671 transcript:EOY17994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MCCEDLPSGNALKCSIVHFQTLMRILMNLPDPSMELLAASGGDTVKIFDIKLEPNDPCVSSYSPSPSCLVNSVKWNHTNLVVASAGEDKKISLWRKNGQSMGTVPVAGTDSGDNIEESILAISFSNKGSRYICSGGSAQVVRIWDLQRKRCIKWLRGHTSTITGVMYNCKDEHLASISLNGDLILHNLASGARAAELKDPNEQVLRVLDYSRISRHVLVTAGDDGSIHLWDTTGRSPKVSWLKQHSAPTAGICFSPSNDKIIASVGLDKKLYTYDSGSRRPSAFISHEAPFSSLAFRDDGWTLAAGTSNGRVLFFDIRGKLQPFTVLRAYSSSEAVSSLCWQRSKPATVNESTCTAETALLGGAVEDSVLMPDPLPSMTLSSLSLSTAISGSRITGRSGPAEILSLTGSSGSVLNTLNLSSSEETPHRSHLWPGGALTRLHAPRSTYNFKDDMEVFSPLVDVQPITPSLDKLWDDHEGAKKEHLLTDKKPSSLLFPSSRRFAFADDGASDHPIFDWKSSSMSRQDDTRSFTAVGSTPASSSKSEEASITPPEAWGGEKISDKFTHLRQLPSRFGMQASGCLTSGSIYSGQDQSSTLGQTSISSLTSSNLSYENLSTKDVSSNQETSLGFPEHFSSSSMSSLSLGSKGISGAGNLDSPKLASLGLPRRFSTYAERISTTSAFSDGTSHLVASPKTKKTGAETREELLNSLLSRSDSLAAVESGILPAMNGGILQPHKAPQADPHQGSNFTLQLFQRTLEETLDSFQKSIHGDMRNLHIEILRQFHMQEMEMSRVMSSILENQAELMKEVQSLRKENQQLRQLL >EOY17995 pep chromosome:Theobroma_cacao_20110822:10:1704383:1709956:1 gene:TCM_042671 transcript:EOY17995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MNLPDPSMELLAASGGDTVKIFDIKLEPNDPCVSSYSPSPSCLVNSVKWNHTNLVVASAGEDKKISLWRKNGQSMGTVPVAGTDSGDNIEESILAISFSNKGSRYICSGGSAQVVRIWDLQRKRCIKWLRGHTSTITGVMYNCKDEHLASISLNGDLILHNLASGARAAELKDPNEQVLRVLDYSRISRHVLVTAGDDGSIHLWDTTGRSPKVSWLKQHSAPTAGICFSPSNDKIIASVGLDKKLYTYDSGSRRPSAFISHEAPFSSLAFRDDGWTLAAGTSNGRVLFFDIRGKLQPFTVLRAYSSSEAVSSLCWQRSKPATVNESTCTAETALLGGAVEDSVLMPDPLPSMTLSSLSLSTAISGSRITGRSGPAEILSLTGSSGSVLNTLNLSSSEETPHRSHLWPGGALTRLHAPRSTYNFKDDMEVFSPLVDVQPITPSLDKLWDDHEGAKKEHLLTDKKPSSLLFPSSRRFAFADDGASDHPIFDWKSSSMSRQDDTRSFTAVGSTPASSSKSEEASITPPEAWGGEKISDKFTHLRQLPSRFGMQASGCLTSGSIYSGQDQSSTLGQTSISSLTSSNLSYENLSTKDVSSNQETSLGFPEHFSSSSMSSLSLGSKGISGAGNLDSPKLASLGLPRRFSTYAERISTTSAFSDGTSHLVASPKTKKTGAETREELLNSLLSRSDSLAAVESGILPAMNGGILQPHKAPQADPHQGSNFTLQLFQRTLEETLDSFQKSIHGDMRNLHIEILRQFHMQEMEMSRVMSSILENQAELMKEVQSLRKENQQLRQLL >EOY18695 pep chromosome:Theobroma_cacao_20110822:10:4561388:4562015:-1 gene:TCM_046959 transcript:EOY18695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease inhibitor MASDECKGKSSWPELVGKKGEDAAARIEKENPHVNAVIVLEGSFVTADFLCTRVRVWVNTYGIVTRVPIIG >EOY18170 pep chromosome:Theobroma_cacao_20110822:10:2241331:2243275:-1 gene:TCM_042784 transcript:EOY18170 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MVFSSVPVYLDPPNWQQPPTQQPGVTSDNHHNPQLPPPPPPPQVGGGGAGAIRPGSMAERARLAKIPQPEVALECPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGSNRSKSPAVSERQTGSSSSSSTLASTSCTDMLGHMTPAPPQLPLLPPLHHLGDYNSGVIGINFGGIQAQVAAAIGGTGGTSASNMDFHIGTSSSGGGSMLTNGLVEQWRSLQQVQQFPFLSSLEPPAGLYPFESEGVEAPSYGGQLRSKPLESAITQLAAIKMEETHHQGLNLSRNFLGISGSDQYWGGGGGNAWTDLSGFTSSSTSHLL >EOY18171 pep chromosome:Theobroma_cacao_20110822:10:2241028:2243035:-1 gene:TCM_042784 transcript:EOY18171 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MVFSSVPVYLDPPNWQQPPTQQPGVTSDNHHNPQLPPPPPPPQVGGGGAGAIRPGSMAERARLAKIPQPEVALECPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGSNRSKSPAVSERQTGSSSSSSTLASTSCTDMLGHMTPAPPQLPLLPPLHHLGDYNSGVIGINFGGIQAQVAAAIGGTGGTSASNMDFHIGTSSSGGGSMLTNGLVEQWRSLQQVQQFPFLSSLEPPAGLYPFESEGVEAPSYGGQLRSKPLESAITQLAAIKMEETHHQGLNLSRNFLGISGSDQYWGGGGGNAWTDLSGFTSSSTSHLLFHQREINS >EOY19130 pep chromosome:Theobroma_cacao_20110822:10:10814782:10817086:1 gene:TCM_043887 transcript:EOY19130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MQIHHFNHHHPLNFHEVQKEDENLGCKACKLEIHGPAYIYKECGYYLHKACTELPNEVVYPLHPQHALNLLTRSLNTRHFICDEYGDISDGFLYFCFECQFKVDVKCAGLNAHRNQGQGFPEKMQHPFHPLQLLRAKIFLTKSCHAYDFLFVGISYSCLECDLHLHPTCLNSMRQGLKFNLRTCNLDFFYFGIGCQMLFNGYTCLRCDESCAGPSVSVLRLI >EOY19050 pep chromosome:Theobroma_cacao_20110822:10:9046441:9048655:1 gene:TCM_043703 transcript:EOY19050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEDQPFSFLQSMQTYFGLLSFFTLPFFLFSVLLYLRQKIWCSCDICHAYLSSNWSKEFNNLCDWYTYLLQKSPSQTIHIHVLNNTITANPENVEYMLKTRFNDYPKGKSFAMILGDLLGKGIFNVDGDLWMFQRKMASLELANFAIRAYAFEIVATEIKYRLLPLLSSFSGKDGSVLDLQEVFRRFSFDNICKFSFGLDPGCLESSLPISHFADAFDLASKLSAERGMAPVPLLWKIKRIFNIGSEKKLKKAIEAVNGLAMEVIMQKRKLGFSTHKDLLSRFMACINDDSYLRDIVISFILAGRDTMASALTTLFWLLANHPLAISEIRKESDQFRESNQEFASYDQIRKMHYLHATVYESLRLYPPVQFDSKFACKDDILPDGTFVQKGTRVTYHPYAMGRMENVWGSDCIKFKPERWLKDGTFCPQCPFKYPVFQAGVRVCLGKELALVEMKVVVLSVLRQFDIELVAPKVAPQFDPGLTAMVRGGLQVVIRKRRDIAAA >EOY17629 pep chromosome:Theobroma_cacao_20110822:10:389489:390713:1 gene:TCM_042406 transcript:EOY17629 gene_biotype:protein_coding transcript_biotype:protein_coding description:LURP-one-related 14-like protein isoform 1 MTASQMAYGVPMISFAGDGFRVPNSVEFILDKKQHGFSDVHYEVSDVNKIIFLQADGSYMTHYRKIVMRNSAGFPILTIREKAITGQKWLVLGGESSERSQPLCTVQRSCFAPMKTRLDVFLPGKIDEDISEFQVVGSNHPSQSYRVYKGDTITVQVNHNSTRRSFCQGRKENFGVKVRSGVDYAFILALIITVLECFSEFRSLSS >EOY17628 pep chromosome:Theobroma_cacao_20110822:10:388800:390654:1 gene:TCM_042406 transcript:EOY17628 gene_biotype:protein_coding transcript_biotype:protein_coding description:LURP-one-related 14-like protein isoform 1 MEALMTASQMAYGVPMISFAGDGFRVPNSVEFILDKKQHGFSDVHYEVSDVNKIIFLQADGSYMTHYRKIVMRNSAGFPILTIREKAITGQKWLVLGGESSERSQPLCTVQRSCFAPMKTRLDVFLPGKIDEDISEFQYVYTGYFPVLLSVQVNHNSTRRSFCQGRKENFGVKVRSGVDYAFILALIITVLECFSEFRSLSS >EOY19174 pep chromosome:Theobroma_cacao_20110822:10:11681929:11689749:-1 gene:TCM_043976 transcript:EOY19174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKRQPVTRSRCPRRFIPLVGFEVRPSLVKKEVNFSWNFLIKLYTLVLFDLRSTHSFVYLHFVLKLDRFCSHMEETLIVTTPLEEIFAVECVYKSCVLASCFAKVDYYRKLVKFKFLKESSFVIYRHCSLVSMGNVSKVASRPMPRQEGHRYLEMPRDVLLEEGSVDFVPSVGEYLDVFLEQLLELPPEREIEFCIDLVLNMQPIWILPYQMGLAKLKELQEKLEDLLNKGCTHPSVSP >EOY18283 pep chromosome:Theobroma_cacao_20110822:10:2718176:2747059:1 gene:TCM_042877 transcript:EOY18283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPLFLENQRMIVARMLKFHLFFCIYDSMGETRRQERENYGNHRYDNSGKRWIDSLHSVFANNLSKRLSWNAIRGAFEEHGRVVDVHLPKRILQDRNRDTNFAFIWYRDKSEMERALKWGGHQWIDGRRVGVRRAEPWRANSNKEIKGSMVKGSLAQGANQKKFDGRSYRDVVIEGRVNDQDPKGATTKQMMEARQICKESPGAKKETEQGTKLENKETGQQQMLSHRSKSGVINTNIPDEDMEWTRRSAGLSTLVTFEDYEEMVVILENYWDFFDQWFESLIPLDIASSDKEVRLWIKLEEIPIKLWHLNTFKAIAQCWGDFIGVDRATFRRERLDPALILVNVKSRTIIPPRAMLEAEGKIHIISVNIVGGERCDNLEIYTTGKEIVTEEEETIVKDGLNGGPPNTVEEPPCTLNPAVNTDSQKGCTGCSELSEIFELKGSVKGGFGDRSGETKIRSQIYRTEDRGRNVQEKREQLDKKTKVVVQSMGNSANNVSKKRGTGGIKIGLQKQVSTDRVEEDSDKQIKKVLHELNEAEQRTSHKNKEQLGTGMKNVKGRLSKAVTSSIGENNSKGEAPTVIESSDEERWMKVTLRRRRSHNVYDIDSFRKFIEDLGLIDMPMIGGEFTYRNFKEEEEAFGRLDRGNPSQAAIGGVLKDDKGVVKILFSISIGIFEANTAEMMAIKEAFKLFGASKWVESHSLIVESDSKNAVSWVHKPDKAL >EOY19231 pep chromosome:Theobroma_cacao_20110822:10:14651718:14652165:1 gene:TCM_044210 transcript:EOY19231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLKILFLLSLLRMTMMIERIVRQAKCEASRELFCCKLTKGNFFVRAHDGSKMLGYIEKLRQLGFVMDHQLCVDLVLQYNS >EOY17674 pep chromosome:Theobroma_cacao_20110822:10:545891:549465:1 gene:TCM_042442 transcript:EOY17674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MELLQHFSHEHPLIFNERQSHESEKQADCSACGDLVSGPSFSCEECGFYLDKKCAEAPSQLNHPLHRKHCLDLQARPPYEGAFFFCQFCFKKCEKFLYDCSCGLLFHIKCALFSYNIAEKRIGELQQIARINPLISTENGNEELKKAKCFACWKPFLDSVYLSPDCGFYLNAKCADLPAEINHLFHREHSLILQFCDEYFDDFSQHLPCNVCQVTQRKGFVYCCSICKFALHIECASPPPPIIKDKNHQHPFIRFRIRFPFICDACGSSGNHVSYICSTCETIIHRSCISLPRILRHPWHFEHPISHTYSVGQDKFKSWECRICHLEDVNSKYGSYSCSDYDYIVHVNCAIE >EOY18317 pep chromosome:Theobroma_cacao_20110822:10:2933899:2936136:1 gene:TCM_042912 transcript:EOY18317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MDECYERLRGAAQAGNIDALYALIREDAYLLDGIDQMPFFDTPLHIVAAAGHTDFAMEIMNLKPSLALKLNHDGFSPIHLALQNGQKETVLDLLGMDKDLVRVKGKEGKTPLHYVVGEADLSLVFTFLLCCPECIRDVTNQNETALHIAAQKNLLEALQVLVGLLRRTHHKDGKLWVKEVLNWKDKEGDTVLHIAMVELLLDCGIEKNAMNWSGLTAMDILQGQSQVDNKRTLEVLRYFGCSNASSIHTNSTFVKFLRSKISFSERSNIVISRMRKNISNGTRNALLVVLGLILTTTYQSAVNPPYDLFQPVIRQEMIPQDAGGQWVSPNDGIMAALDPLALSFSIFNAIDFLVTPLVTLLILPWVPYGQLLHVLLLLVFILFVASMGLSLASTTSVIGIGVFCSFVVITIILGIFIVMNKVRSGKVDTDCIKEVGDRIRLHGHEDDDIKKK >EOY18337 pep chromosome:Theobroma_cacao_20110822:10:3087651:3091772:1 gene:TCM_042942 transcript:EOY18337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MYESLSRAAHEGNIVELYASIRRDGDVLRKIDEMQFIDTPLHIAAAEGCIDFAMEIMILMPSFARKLNQEGFSPIHVAVEKGHKELALHLIENDKNLVRVKGKQGETPLHYAIPREQNFDLLARFLEACPESIRDMTTTNQTALHIATRHDRLEALDLLCQMLRRSDYCEDVVNQKDRNGDTVLHIAVRNNQPKMLRVLLKCKADTLATNPAGLTALDVAHELNNRESINILRGWSNAGGLNFQYKMRKQIFKLVTKASEVIFQGMDNVSSEDRNALLVVLGLLLTATYQASISPPAYTVFIVAFFLTLGLLKPFPHGFRTALQVLLAFLAISFDEAGSGQYVDLEKRRILNLQDKGGNTVLHIAASKRQHQMIKLLIESKKVDRNTVNQTGFTALHVLQVQAPVDSESVNTLNRAEEEVQAPSKFRRVRYFAKFEREIEEMKPDTINALLVVFALILGMSYQAVFSPPGGVLKADAGSSTKDAGKAVISPSVFVLFYT >EOY18515 pep chromosome:Theobroma_cacao_20110822:10:3684890:3686469:1 gene:TCM_043057 transcript:EOY18515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMKETKRGPIFCQSLNQRNEIVGVFEIIVRECITLYTIQAEGSLLSQCIDQIIRPGLNIENQVSSRVIAISNPKEESHHVNGLAIITSEVQNKPGKDVSINGMKHID >EOY19513 pep chromosome:Theobroma_cacao_20110822:10:18353765:18360900:1 gene:TCM_044639 transcript:EOY19513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFVRLRNHILLLYHRSAIMIHRPNRNSIRQDGQRQFEEHKPLESTFTSLAPGSRLLQYLLQLQRLSLFVV >EOY19511 pep chromosome:Theobroma_cacao_20110822:10:18356716:18357225:1 gene:TCM_044639 transcript:EOY19511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFVGFGFEPSDRSLMCYLFSKVTSKSMLHLDQVQIKDFDLYGEKEPWEIWDLHGGFNLQSDEDLYFFTKLKKKSQNGSRINRSVGTGTWMGEDSGKPIYSRLSAIQPLGFKRRFRYEGGVPQQVGQWIMHEYSLNTTLVPENDQGYVLCRVRKNDREEKKAEKRRKLIT >EOY18163 pep chromosome:Theobroma_cacao_20110822:10:2222482:2223166:1 gene:TCM_042777 transcript:EOY18163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTQLQEELLENCQRDDFQLDVAEPENENLVLENQASNQEVAFRSEQSLKAKKQGPSLVVFLKLAVSAFLGDPSLFISAMFQFNQK >EOY18958 pep chromosome:Theobroma_cacao_20110822:10:6579952:6593765:-1 gene:TCM_043479 transcript:EOY18958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTEEISRENEIMAPNQESYGQNQEITIAYRFSSKNKKKKIIAFKDKKEVRDKGDAKALNKTNLPRLASLDNIKKTRDELKSNGLVSSQITNFKTHTSKFKKYIMYFGGPSKTSDDGLSIKAKEMRLMVVDKAKNQTPIFDPGSLSFIGFGYHLDPHESKAYDNRRDIPIDTYYRNANRNPARLTYQLLSFPVQYRVSSILRCFSSVITCYSMHVLSWNCRGILNKKCHKNCKELVRTYAPNILVLLEIKCGEKGLVSTFAKKIGFDGLSMVLPTGLGRASTGGLIHNADDEWFGPPRESETQPTPKNGCVSDVKTCLWIWVIEQPWRSQWDTLTKILLMVIVYSLGLCNHNLTPLPIHFSGLGQFVDS >EOY19953 pep chromosome:Theobroma_cacao_20110822:10:24070301:24071525:1 gene:TCM_045356 transcript:EOY19953 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein MSMPRTDKQLPETLVMEILLKLPVKSLVRFKCVRKSWCSSFQTSYFITSYKNNNLNFLVKHIENVPCLSLFSTETEIKKHGGSGVELNLKDKENIPMPVSISSSAPITMFGPCNGLLCLDDGCGITLWNPSTREVKVVPKSSISRPASAYCTYFSCIGFGFDSKSDDYKILDKVTHRFQSESTHQIHLYSLNTNSWREIPHPNFRINPVMFNTYINGIYYWQVVGGDDGSYLIVSFNMAEEVFSTIPFPNFGMSKAECWWKAWEIVVTYGS >EOY19355 pep chromosome:Theobroma_cacao_20110822:10:16652377:16654686:-1 gene:TCM_044428 transcript:EOY19355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MELIIFSIQPLLLLFLVFLFSYYYFFTSHQKPDDNKGFKIYPILGALPDFLRNRHRFLDWTTDILSRCPTNTSVFRRPGKVHGIISANPLNVEHVLKTNFDNYPKGERFIFLLKDFLGQGIFNSDGELWKIQRKTASYEFNTKSLRNFIMDNVRVETSTRLIPVLNHASKTLQVLDLQDILEQYAFDNICQLAFNVDPGCLGGDGTSGSQFMRAFEDAASLSSGRFMYAFPLFFKIKKIFNMGSERNLRNSIKIVHEFADDIIQKRLEAQAENQDEDLLSRFIRNDDNSPQFLRDIIISFILAGRDTTSSALTWFFWLLSVNPNVELKIRKELQLIRQRNGKSIGDAYTFDELRDMHYLHAAISESLRLYPPVPVDTKACLRNDILPDGTFIGKDWFFTYHTYAMGRMEAIWGKNCKEYLPERWLDENGNCKQESPFRFPIFHAGPRMCLGKDMAYIQMKSIVAAVTERFVVEVPGKDKCPQHLLSLTLRMKGGLPVQIKER >EOY19055 pep chromosome:Theobroma_cacao_20110822:10:9155650:9157537:1 gene:TCM_043715 transcript:EOY19055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNFALKLDMRKAYDWVEWLFVQVTYSVLVNGVLGNMIKPTRGLRQGDPLSPFPLALVGSNPSYLWRSIKKSQSLIKSGSYCRVGAGQNILARKSNWIPYDTPRPVVSCAEIVSNSTKVSEFIVHEQMVWD >EOY20066 pep chromosome:Theobroma_cacao_20110822:10:24661478:24662165:-1 gene:TCM_045467 transcript:EOY20066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSFTTACMLLYCVKQLLLDNNTSIAKLILPETNLYSLPLNYYIYIALRDLRRVVCSPVMLEYLATHCKRIPKMKLFGFLEETEASLLAANFPLLQHLDTSSCALLVDGLSVILERHGNLIGLDTRHFYCVASCSIYLPSVCPRAEE >EOY18364 pep chromosome:Theobroma_cacao_20110822:10:3213806:3214283:1 gene:TCM_042969 transcript:EOY18364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSHSKVSSLAFMFCYIEPALCSFEMYIVTEVYHATFCCSYLLTYSITPNYVCYNTIKLQITKLIQIGL >EOY19624 pep chromosome:Theobroma_cacao_20110822:10:19275492:19284892:1 gene:TCM_044758 transcript:EOY19624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase 2A, putative MEGEQSHENHPPSMVAEGDDHHIITKKQGPTFCQFQGFWCRSSLVPNIISFQKHFQALDDDIIVASKPKAGTTWLKALVFTIVNRARFSLSNSPLNLTNPHKLIPYLEITVYGQDHIPDLTSIPSPRLFATHLPFAVLAESSIKQAKSRIVYVTRNPLDIIVSFWHFIRGIECPDWPLVECFEMFCRGEEGFGPFWDHALGYWKESSEKPHKVLFLKYEHLKENPIQQIKRIAEFIGFPFSVEEERAGAIEEIKTFCSFSNMKDLVGNMTGTMGRLHIQPKELFRKGEVGDHINYLSPSAIERFCKIVEEKLSGSGLAYDLPC >EOY17877 pep chromosome:Theobroma_cacao_20110822:10:1282896:1320766:-1 gene:TCM_042582 transcript:EOY17877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVKDIVFMNKGDGEKQLCQSCILNVAIFSSPLFRVFPSPPLIFFFFSVCNPK >EOY19245 pep chromosome:Theobroma_cacao_20110822:10:15123336:15124187:-1 gene:TCM_044247 transcript:EOY19245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKKYLIQPKHPWSCSPFMASKRSLGCHDQIVDILSTKVSCPTCSSEHTWRANPKVLAIPRQDLASWKMAARSSESFETLIVLSLQLLHL >EOY17517 pep chromosome:Theobroma_cacao_20110822:10:71801:79003:-1 gene:TCM_042332 transcript:EOY17517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-kaurenoic acid oxidase 1 isoform 2 MCYLMRYNQGAIENARYRTTHILERSLKFDIQILGVKAVQHPAMEMGSMWMVLLAILAGLASVKWVLERVNWWLYESQLGDMQYSLPPGDLGWPFVGDMWSFLRAFKSDDRDSFIRSFVSRFGHIGIYKAFMFGSPSVIVTTPETCKRVLNDDDAFKPGWPTATVELIGKKSFIGISYEQHKRLRRLTAASVNGHEALSMYIQYIEENVISALDKWSKMGDIVFLSELRKLTFRIIMYIFLSSESEEEMEALEREYTTLNYGVRAMAINVPGFSYHKALKARKNLVAVFQSMVNKRRKQKKMNNSTNKKDMLDALMDVKDEKGETLDDEEIIDIMLMYLNAGHESSGHTTMWATIFLQQYSEFLEKAKAEQERIIKKRPSTQKGLTLKEIREMDYLSKVIDETLRLITFSLTVFREAKTDVHISGYTIPKGWKVLVWFRSIHLDPEIYTNPKEFNPSRWDYHAARAGTFLPFGAGSRLCPGNDLAKLEIAIFLHHFLLNYQLERLNPESKIRYLPHSRPADNCLARIKKHPPRLPKEEK >EOY17516 pep chromosome:Theobroma_cacao_20110822:10:33922:75901:-1 gene:TCM_042332 transcript:EOY17516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-kaurenoic acid oxidase 1 isoform 2 MEMGSMWMVLLAILAGLASVKWVLERVNWWLYESQLGDMQYSLPPGDLGWPFVGDMWSFLRAFKSDDRDSFIRSFVSRFGHIGIYKAFMFGSPSVIVTTPETCKRVLNDDDAFKPGWPTATVELIGKKSFIGISYEQHKRLRRLTAASVNGHEALSMYIQYIEENVISALDKWSKMGDIVFLSELRKLTFRIIMYIFLSSESEEEMEALEREYTTLNYGVRAMAINVPGFSYHKALKARKNLVAVFQSMVNKRRKQKKMNNSTNKKDMLDALMDVKDEKGETLDDEEIIDIMLMYLNAGHESSGHTTMWATIFLQQYSEFLEKAKAEQERIIKKRPSTQKGLTLKEIREMDYLSKVIDETLRLITFSLTVFREAKTDVHISGYTIPKGWKVLVWFRSIHLDPEIYTNPKEFNPSRWDYHAARAGTFLPFGAGSRLCPGNDLAKLEIAIFLHHFLLNYQLERLNPESKIRYLPHSRPADNCLARIKKHPPRLPKEEK >EOY20063 pep chromosome:Theobroma_cacao_20110822:10:24642891:24646138:1 gene:TCM_045464 transcript:EOY20063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase (DsPTP1) family protein isoform 1 MSGINSTSCFSSVFQNLHETELLSAKKKSRLSFMLPRYQFNGGCTGIFCKVSESGIGGNPTNSKVSVRSKNRTEEYNTAMKRMMRNPYEYHHDLGMNYTLITDNLIVGSQPQKPEDIDHLKQEEKVAYILNLQQDKDIEYWGIDLQSIIKRCRQLGIRHMRRPARDFDPDSLRNELPRAVSSLEWAISEGKGKVYVHCTAGLGRAPAVAIAYMFWFCNMNLNTAYDALTSKRPCGPNKIAIRGATYDLAKNDPWKEPFESLPEHAFEGIADWERKLIQDGVRSLRGT >EOY20064 pep chromosome:Theobroma_cacao_20110822:10:24642894:24645638:1 gene:TCM_045464 transcript:EOY20064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase (DsPTP1) family protein isoform 1 MSGINSTSCFSSVFQNLHETELLSAKKKSRLSFMLPRYQFNGGCTGIFCKVSESGIGGNPTNSKVSVRSKNRTEEYNTAMKRMMRNPYEYHHDLGMNYTLITDNLIVGSQPQKPEDIDHLKQEEKVAYILNLQQDKDIEYWGIDLQSIIKRCRQLGIRHMRRPARDFDPDSLRNELPRAVSSLEWAISEGKGKVYVHCTAGLGRAPAVAIAYMFWFCNMNLNTAYDALTSKRPCGPNKIAIRGATYDLAKNDPWKEPFESLPEHAFEGIADWERKLIQDGVRSLRGT >EOY19138 pep chromosome:Theobroma_cacao_20110822:10:10923356:10929285:-1 gene:TCM_043902 transcript:EOY19138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSLTNVGAFPNPSTHPQRQQANDLPSKPPFLFDASTEPVINVTFAHDSHGSTSVDLGVSGDGTSSRSRGRGPGVGIHTPIDPTQRLRITPLVHSPWLTWKKIANNVKDLMLKKFKALNEDFFVLRIWNKICSDRLRDMLSEERTQARKEANTKNIMDCKGISRHWITNEVWDALIDTANEMNRDVSFVQVFNRTHKHLRGHGDFIDSKSKTINGKDFQNPSSLFIAIGSAFVVVCCQWQRKLRNP >EOY17972 pep chromosome:Theobroma_cacao_20110822:10:1632830:1640014:1 gene:TCM_042655 transcript:EOY17972 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, putative MMEQGEEGDQGYQGFVLPKFTPNGQGDQGFMPPEFTSNGQGDHGDQGFVLPNFTPNGQGDQGFVLPMFNPNGQGDQGDQGFVLPRYTPNGLVITNEKEFLDTLPPGYRFKPRDEELVVHYLRKKAHNKPLPPNIIKEVELYKHSPDELTRDQNNNKSTKPVTEWYFFTPRERKYCNGLRPNRAAGDGFWKATGADTSVRFQGTIVGFKKTLVFYRGKPPKGEKTTWIMHEFVLSNPPERKRGSKDDMRLDDWVLCRLYKKHGNNTRVVTPQQDPDPDPKQEEENAIQATDYTETLEQEYWNIPPQYMLPQQTCPMPPYVVYPDSTSVLPRSMPPYNAYAADSTSVLLRSMPPYNAYSDSTSGLPSSMPPYNAYPDSPSGLPRFPESLDPMLQHQSAVFPQQAMTVYDNFIPTAAPTLSIPPHFVGHHYPLNSYQQQPFSGVRNNIKFSADDKYLLNIDFGLPNV >EOY18319 pep chromosome:Theobroma_cacao_20110822:10:2965542:2967751:1 gene:TCM_042916 transcript:EOY18319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MYWRMIEAARTGNINVLYELIQEDPYVLERIDQVPFLDTPLHLAAFAGHIDFVMEMTNLKPSFARKLNQVGFSPMHLALQNDKTQAVLRLLKFDKALVRVKGREGLTPLHHVVGTGNLDLSIRFLEACPEAIEDVTVRDETAFHLAVKNDMFEAFVVLMGWLLRSCHEAAQRWENELLSWRDIEGNTVLHIAAIRNRPQVVKVLLENLSQDHINAKNLEGLTALDIVLEHQRNERQVDNREIMDMLSKAGGLRGSLLPKNPNSSININSFRSKMSYFQKFATMAARGKKGISNEMRNTFLVVTVLIITATYDASLNPPNKGDNLLSENYQVSSFPRFSQKANLPTGGHNPPQEFTDLIDVSSMFWLYNTLTFWVALGLTAYLLPSRTICLFLLITLSLFGSCYMLLVAVVSWKLQYLISPKPLHLSYHALSIVNYSLSTLIAVLVATRIAGYVFCRFVPRRKIFCLVQLLSFLSIASCIVTPAVLNVEFILRSNFFL >EOY18885 pep chromosome:Theobroma_cacao_20110822:10:5823627:6681562:1 gene:TCM_043384 transcript:EOY18885 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MEKICKALGCSSVRSVELAAFQLEDVAQEWYSSLCRGRPTNATPLSWSEFSVAFLDRFLPLSVRNARAREFETLVQTSSMTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVEPLFRAVASRDFTTYSAAVDRAQRIEMRTSESRATRDRAKRGKTEGYQGRRDFSSGGSSSSRQGPQRDSRLPQQGSDAPGANIRVGQRTFSSRRQQDSRQSSQVIRSCDTCGRRHSGRCFLATKTCYGCGQPGHIRRDCPMAHQSQDSARGSTQPASSAPSVAVSFGREVGGSRGRGAGTSSQGRPSGSGHQSSIGRGQARVFALT >EOY18747 pep chromosome:Theobroma_cacao_20110822:10:4795246:4798637:1 gene:TCM_043254 transcript:EOY18747 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MAGALVGEAFLSASIEVLVERIASRDVLNLIKGKSLEDGLLKKLKPALMSVKAVLNDAESRQVKDPDVRSWIDELKDAVYDAEDFLDEIATEALRSRLESEDQTSTAKQVCSFFSSVNPFNRGMGSKLEEILERLEYLVNQKDILGLKESRGEKSFQRPPATSLVDESAVCGRNDEKEAIMELLNPEYASANLIDVIPVVGMGGVGKTTLAQLIYNDKRVEEWFDVKAWVCVSEEFDALRVTKTILEEITSSSDGSQNLNQLQLKLKEKLLGKKFLFVLDDVWNEKYVDWEE >EOY19644 pep chromosome:Theobroma_cacao_20110822:10:19426848:19434335:1 gene:TCM_044781 transcript:EOY19644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retinoblastoma-related 1 MEDRKPSVTTSNSSDGDAIEARFTALCKNELSLAEKTCTEAMKLFKETKNLLSSNVSIGSGTLEEAERFCFSFVLYSLKQLSEKSGENVKQGSDENGFTICQILRATKLNIVDFFKELPQFVVKAGPVLNIMYGEDWESRLEAKELQANFVHLSLLSKSYKRAFRELFLTSDANIDKEQSATSAPDYVSEYHRFGWLLFLALRVHAFSRFKDLVTCANGFVSVLAILIIHVPVRFRNFKISDSPRFVKKGGKGVDLLASLCNMYDASEDDLRKTMEMANKLVEDILKKKPCSASEFKTETLENIDTDGLIYFEGLMDEQSLSSSLNILEKDYDDAIRNKGELDERVFINEEDSFLGSGSLFGGAGNVTGIKRKFDSIASPSKTISSPLSPHRSPASHGNGVLGPPNAKVAATPVSTAMTTAKWLRTVICPLPSKPSAELQRFLSSCDKDVTNDVIRRAHIILEAIFPSNHERSVTGSLQGANLMDDIWMEHRRLEALKLYYRVLEAMCTAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHKTATMLFPTVLDRTGITAFDLSKVIESFIRHEDSLPRELRRHLNSLEERLLESMVWDNGSSMYNSLIVARPALAAEIDRLGLLAEPMPSLDAIAMHINFSGGMPPLPSSQKHETSPGQNGDVRSPKRLCTDYRSVLVERNSFTSPVKDRLLAFSNLKKAPLQSAFASPTRPNPGGGGETCAETGINIFFSKINKLAAVRINGMVERLQLSQQIRESVYCLFQQVLSQRTSLFFNRHIDQIILCCFYVVAKISQLQSSLTFGEIIRNYKKQPQCKPQVFCSVFVDRLAAQRNGVTGQDHVDIITFYNKIFIPAIKPLLVEVGLTGANISTSQVPEANNSNHGPCPGSPKVAPFPSLPDMSPKKVSATHNVYVSPLRTSKMDALISHSSRSYYACVGESTRAFQSPSKDLTAINNHLNGNRKIRGALNFDDVDVGLVSDSMVASSLHLQNGSCASSSGAPLKSEQPES >EOY19572 pep chromosome:Theobroma_cacao_20110822:10:18923449:18928420:-1 gene:TCM_044716 transcript:EOY19572 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 35 isoform 1 MEEDDDYVEYIPVAKRRAMEAQKILQRKGKSSALEDENEKSNLAEVKPSLLVKATQLKKDQPEISQMEQIVQQEKEMIEHLSDRKTLMSVRELAKGITYTEPLLTGWKPPLHIRRMSKKERDLIWKQWHIIVDGEDIPPPIKNFKDMKFPDPILKKLKAKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPLIMIALQEEMMMPILPGEGPFGLIVCPSRELARQTYEVVEQFLVPMRENGYPELRPLLCIGGVDMRSQLDVVKKGVHIVVATPGRLKDMLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDVDAITNASGVKGCAYCGGLGHQEKFDMYLELLAYLDQQFLQRTYLVYLGLPLKKLLRHFMLHAKAATLIWQTRTSIMELQKGFRFLRCFLSYLMWLLRQMIYQMNKKLKFARVWLRQISV >EOY19571 pep chromosome:Theobroma_cacao_20110822:10:18923927:18927074:-1 gene:TCM_044716 transcript:EOY19571 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 35 isoform 1 MEEDDDYVEYIPVAKRRAMEAQKILQRKGKSSALEDENEKSNLAEVKPSLLVKATQLKKDQPEISQMEQIVQQEKEMIEHLSDRKTLMSVRELAKGITYTEPLLTGWKPPLHIRRMSKKERDLIWKQWHIIVDGEDIPPPIKNFKDMKFPDPILKKLKAKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPLIMIALQEEMMMPILPGEGPFGLIVCPSRELARQTYEVVEQFLVPMRENGYPELRPLLCIGGVDMRSQLDVVKKGVHIVVATPGRLKDMLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDVDAITNASGVKGCAYCGGLGHQEKFDMYLELLAYLDQQFLQRTYLVYLGLPLKKLLRHFMLHAKAATLIWQTRTSIMELQKGFRFLRCFLSYLMWLLRQMIYQMNKKLKFARVWLRQISV >EOY19773 pep chromosome:Theobroma_cacao_20110822:10:22419497:22425955:-1 gene:TCM_045113 transcript:EOY19773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKGPESPDNTIIAALCKDNRATEALKLSSEMRGQGIPPTVVTSNSLIHAMCNSCLWLFVKTIERLSVAQELFKEMMSTPGVVPNMIIYSALLYGLCTHGRIYDALGLFSVMRNNG >EOY20114 pep chromosome:Theobroma_cacao_20110822:10:24934224:24945988:1 gene:TCM_045511 transcript:EOY20114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome p450 79a2 MDNSSTISFQTQLPWGSHDFAISTLVSFHSTLVLVLLFAPLVLVKLKSTTRKNYTNQARLPQGPTPWPVIGNLPEIWKNKPAFRWIHGLMKQLDTDIACIRLANIHVIPVTSPEIAREFLKKYDAVFASRPVTMATELVSRGYLSTALVPWGDQWKKMRKVIASNIIKPARLSSLLHKRTQEADNLVRFIYNQCINPENDSSNGSVINLRLAVRQYTGNVIRKMMFDRRYFGQGKEDGGPGHEEEEHVESLFTVLKHLYSFILSDYVPWLRPLDLEGHEKIVSEAVRIVNGYHDPIIDERVQQWREGKKKEAEDLLDAFILAKDLDGKPALSVEEIKAQCTELMLATVDNPANAVEWAMAEMINQPETLQKAIKEIDGVVGKDRLVQETDIPKLNYVKACAREAFRLHPIAPFNLPHVSNTDVIVAGYFIPKGSHVLLSRVGLGRNPKVWDEPLKFKPERHLKDGSIEVDLTETELRFISFSTGRRGCMGVALGSEMTIMLLARLIQGFAWKAQPDEAKIDLSESEDDLFLAKPLHALAKPRLSAAVYAQLNYK >EOY19874 pep chromosome:Theobroma_cacao_20110822:10:23425072:23434931:-1 gene:TCM_045257 transcript:EOY19874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MMYGDPQQQQNQPPPSQGGEFPRGPPPPPPQMMRQPSASSTTLNSEYHHPAPPQIPPYDAHGDNFAAKRMRKLTQRRAVDYTSTVVRYMQIRMWQRDSRDRTVLQPTPAAAIDVLPTAAYIDNPSTSFAAKFVHTSLNKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGAIKYWQNNMNNVKFNKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKTGRELCSFHGHKNTVLCVKWNQNGNWVLTAAKDQIIKLYDIRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSFDGSIFHWLVGHETPQVEIPNAHDNSVWDIAWHPIGYLLCSGSNDHTTKFWCRNRPGDTARDKFSMGQNQGYGEQNPALAGRMPGNFAAPEGPTTPGPYAAGLTRNEGTIPGVGVPMPLSVPSLDGSAQGDQKQPLPGSMPFGAPPLPPGPHPSLLVANQQQGYQQNPQQMQQQQMPPMQMAPPNMSQLQPPSHMPLLPHPHLQRPPPQMPPIGMPSPVPSSMPGSLPPPSSMPTSHQMPMPGPMGMQGTMNQMPPPMPQGHFMGMNPMHSGSLPNSGAPTVGGFPNGMQNMQGPANAGGGQMYPQGGAFNRAQGGQMPMMPGFNPYQSGGQSGLPPPPPPGPPPHGQTPQ >EOY19189 pep chromosome:Theobroma_cacao_20110822:10:13316685:13318108:-1 gene:TCM_044080 transcript:EOY19189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRHPFWAHSKVLLCLGPHHLTYSFPSCLRATRQALQDLLAKFRTPDLLLSPEGNAFNHFSVNSFISISSKTLKLQLTKHRIKFNDFRSTCKFNFTSVSFYSCVLDMKCF >EOY19085 pep chromosome:Theobroma_cacao_20110822:10:9734325:9736489:-1 gene:TCM_043771 transcript:EOY19085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRVTLLIVKAKWAMPIGSMHRSWLEIWFLTTRIREIKVYL >EOY18261 pep chromosome:Theobroma_cacao_20110822:10:2564265:2566670:1 gene:TCM_042846 transcript:EOY18261 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MGKKRRIKKSNQLKQGHDPDVERPLERSFVASVHDVTMEDAVTAISSFVDDCEQDRPRNKWGLKVELGHAESCTSLPLAISLVWTWLDAGGTMLQYKEIKILVSNINAREPHKLVVLDCPQLESVRFEGSNLTSFQYRGRLVLFEFELSSNDDRPIFPNWSPSPFKFQLNDAMLDFRRGPPIYNSFNCNGFKLILKSVKNVNSLTLCRWVFQALISLVLSSLSRDSEIRLYGLTEMWWIDYSMDRDNFNSLLSFLKLCPCLERLHVTIDPESYNMGSTKQCTAKVNGLRKLNHLKPLKLEGFANENKEILFAKRLRPFFRMKPVILAKSKGTCLRRLVKELELEKEGKDPYKFKEVKNFYETCHDHAHMKF >EOY18562 pep chromosome:Theobroma_cacao_20110822:10:3843729:3845184:-1 gene:TCM_043087 transcript:EOY18562 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding Elongation factor Tu family protein isoform 1 MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTCHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSAYPPLGRFAVRDMRQTVAVGVIKNVEKKDPSGAKVTKSAAKKGGK >EOY18561 pep chromosome:Theobroma_cacao_20110822:10:3843185:3845875:-1 gene:TCM_043087 transcript:EOY18561 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding Elongation factor Tu family protein isoform 1 MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTCHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSAYPPLGRFAVRDMRQTVAVGVIKNVEKKDPSGAKVTKSAAKKGGK >EOY17621 pep chromosome:Theobroma_cacao_20110822:10:375251:376961:-1 gene:TCM_042400 transcript:EOY17621 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MATSSTLDSSDSSLPSQRLVGKVALVTGGASGIGESIVRLFHKHGAKVCIVDVQDNLGQKVCESLGDAPNVCFFHCDVTIEEEVRSAVDFAVEKFGTLDIMVNNAGLSGPPYHDIRNYDLSDFQKVMDVNVKGVFLGMKHAARIMIPHEKGSIVSVCSVAGVIGGLGPHAYTGSKHAVLGLNRNVAAELGKYGIRVNCVSPYGVPTGLSLRHLPEDERTEDALVGFRAFVAKSANLNGVDLTADHVANAVLFLASDEAGYISGDNLMVDGGFTCVNHSMRVFR >EOY17904 pep chromosome:Theobroma_cacao_20110822:10:1407095:1413874:-1 gene:TCM_042605 transcript:EOY17904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase/Diphenol oxidase family protein MVSWVQTLLFMSALFPVLVECKIRHYNFTVVLKNTTRLCATKPIVTVNGQFPGPTLYAREGDNVLARVTNHVQHNVTIHWHGVRQLRTGWSDGPAYITQCPIQPGQNFLYNFTVTGQRGTLLWHAHISWLRSTMHGAIVILPKKGVPYPFPKPYKEKVIILGEWWKADTEAVVNQAMQTGLAPNVSDAHTINGHAGPLANCSSEGAYTLHVETGKTYLLRVVNAAVNEELFFKIAGHNLTVVEVDAVYTKPYKTDTLFLGPGQTTTSLLTADQGIGKYLIAVSPFMDTIVAVDNLTAVGFLRYNHTLAFTPTTLTSIPPVNATSVTEVFSKSLRSLNSKEYPANVPLTIDHSLFFTIGIGINPCATCVNGSRAVAAINNVTFDMPTTALLQAHYYGISGVFTDDFPAKPLIPFNYTGTPPSSLQTMNGTRVYRLAYNSTVQLIIQGNSIIAPESHPTHLHGFNFFAVGRGVGNFDPEKDPLKFNLVDPVERNTISVPTAGWTAIRFRADNPGVWFFHCHLEVHTTWGLKMAFLVENGKGPNESLIPPPSDLPQC >EOY19364 pep chromosome:Theobroma_cacao_20110822:10:16852802:16853116:1 gene:TCM_044453 transcript:EOY19364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase Sec MATRIAPPRRSAVVTASMKRRRTTSSMASGGVAGTMLQFYTDDTPRLKISINVMLVMSIGFIALVAILHVMGKLYFVCREA >EOY18191 pep chromosome:Theobroma_cacao_20110822:10:2348735:2349447:-1 gene:TCM_042806 transcript:EOY18191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMTMMMVVVLVEVEMAVNFVMEGRKGGEREIHERNREKFGRRRRRRRREG >EOY17922 pep chromosome:Theobroma_cacao_20110822:10:1436527:1439527:-1 gene:TCM_042615 transcript:EOY17922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein MKNQSKFFTIGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPMQTIRSRVQFFKISALSLVFCVSVVFGNISLRFLPVSFNQAIGATTPFFTAVFAYLMTFKREAWLTYLTLVPVVTGVIIASGGEPSFHLFGFIMCVAATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLIMEENVVGITLALARDDIKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYSLTVFGVILYSEAKKRSK >EOY19591 pep chromosome:Theobroma_cacao_20110822:10:19055527:19063033:1 gene:TCM_044731 transcript:EOY19591 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 5 isoform 1 MTTLPPSLSPCHSQTTCASLLQELQIIWDEIGESDGERDKMLLELEQECLDIYRRKVEMTRKYKADLHHSLAQSESEIANLVSALGEHSLSFSRGKGTLKQQISAVRPVLEDLRSKKEQRMKDFTETQSQIIRICAEIAGNSQDIMSADPQVNEHDMTIKKLGELKSHLQELQNEKIIRLQKVNSHINMIHELSVLMSFDFLKTVSGIHPGLIDTPNGQSKSISNDTLAKLTGIIHSLQQEKQKRLQKLQSLGSILMELWNLLDSPADERKKFEHVTSLISSSIDEVSRQGCLGLDVIEQVEVHVERLNVLKASKMKELVFKRQNELEEIYRGVHMDVNSDAARQLLINLIESGDVDLSNLLSSMDDEITKAKQEALSRKDILDKVEKWKHASEEEKWLDDYEKDENRYTAGRGVHKNLKRAEKARILVGKLPAIVETLTAKVKAWELEKGIPFLYDKISLLNKLEEYTVLRQEREEEKRRSRVLPGALDRW >EOY19590 pep chromosome:Theobroma_cacao_20110822:10:19055500:19064504:1 gene:TCM_044731 transcript:EOY19590 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 5 isoform 1 MTTLPPSLSPCHSQTTCASLLQELQIIWDEIGESDGERDKMLLELEQECLDIYRRKVEMTRKYKADLHHSLAQSESEIANLVSALGEHSLSFSRGKGTLKQQISAVRPVLEDLRSKKEQRMKDFTETQSQIIRICAEIAGNSQDIMSADPQVNEHDMTIKKLGELKSHLQELQNEKIIRLQKVNSHINMIHELSVLMSFDFLKTVSGIHPGLIDTPNGQSKSISNDTLAKLTGIIHSLQQEKQKRLQKLQSLGSILMELWNLLDSPADERKKFEHVTSLISSSIDEVSRQGCLGLDVIEQVEVHVERLNVLKASKMKELVFKRQNELEEIYRGVHMDVNSDAARQLLINLIESGDVDLSNLLSSMDDEITKAKQEALSRKDILDKVEKWKHASEEEKWLDDYEKDENRYTAGRGVHKNLKRAEKARILVGKLPAIVETLTAKVKAWELEKGIPFLYDKISLLNKLEEYTVLRQEREEEKRRSREQKRLQEQFAAEQEALFGSRPKKPLGQTNTMVGTPIGRRVSTPSSRHGVSSLKERRESGRVNNVIPLNFVALPKDDSVSRGS >EOY19643 pep chromosome:Theobroma_cacao_20110822:10:19424667:19434671:1 gene:TCM_044780 transcript:EOY19643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic ABC protein 3 MSAEHLLLTVDDSDHQHSNHHDRGVKFEIRGLRKVSEAGVPILNGISVDIPKGMIVGIIGPSGSGKSTMLRALNRLWEPQSNTVFLDGHDIVDLDVLGLRRKVGMLFQLPVLFQGTVADNIRYGPRLRGKKLSDEEVSKLLTLADLDSSFLSKTGGELSVGQAQRVALARTLANEPEVLLLDEPTSALDPISTQNIEDVIVKLKKKKGMTVVMVSHSIKQIQRVADVVCLLVNGEIVEVLKPGELSEAKHPMAQRFLQLSS >EOY19263 pep chromosome:Theobroma_cacao_20110822:10:15319981:15320827:-1 gene:TCM_044272 transcript:EOY19263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASQQNQQGMSSSLDASADFTPKNCLNIDEFLGEFNKSYHLNSSSIELDDNEKCHKGEARKRSFMEMENGEEEQRGSLDLNLSLTPLGLLQQKDLCGNQSEISSTSSCMPVNLDSSSSEEVEFSNKTIEDPSLILMGCSHCLIYVMVSEINPKCPKCNSSILIDIFRHRLGKKSRKT >EOY19068 pep chromosome:Theobroma_cacao_20110822:10:9408714:9411561:-1 gene:TCM_043737 transcript:EOY19068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKFVKAVGYEDPRVTLHFGDGVAYLEAVPQDLITATHYSFLARHINLMDFIQLDLDHPNTNILNPIDINDSCCKSKRPLRLFYNSDILSVAFCLSKHYGFYPCLMVSCSEEPWI >EOY19371 pep chromosome:Theobroma_cacao_20110822:10:17066589:17068078:-1 gene:TCM_044470 transcript:EOY19371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKARRRLRTFWSSMIGLCWWLIQGGASPRSLVEQVLGQGARKVTVEEILWFVWRIGFYCCSRDDMAMFILS >EOY18659 pep chromosome:Theobroma_cacao_20110822:10:4240362:4252692:-1 gene:TCM_043154 transcript:EOY18659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucosamine mutase family protein MAAMSGKVVQNVFKAQCYQQNRQFGTQYQRDSCAPYMRTLPPFHGGKLAWTGISSMQMRNLSKYQSGFVSRGTFYCNASSSATAVPSLDKVDFLKLQNGSDIRGVAVAGVEGEPVSLTEPVTEAIAAAFAAWLLDKKKVDASRRLKVSIGHDSRISAQMLQDAVSRGISGAGLDVVQYGLASTPAMFNSALTEDKAILCPVDGAIMITASHLPYNRNGFKFFTNAGGLGKADIKDILERAADIYSNFLTEGYSGKKASASVQKVDYMAVYTSDLVKAVRKAAGNREKPLEGFHIIVDAGNGAGGFFASQVLEPLGAITSGSQFLEPDGMFPNHIPNPEDKVAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSSGREFNRNRLIALVSAIVLEEHPSTTIVTDSVTSDGLTSFIEKKLGGKHHRFKRGYKNVIDEAMRLNSVGEESHLAIETSGHGALKENHWLDDGAYLMVKLLNKLASARASGRDDGSKVLTDLVEGLEEPAIAVELRLKINQSHPDLKGGSFRDYGEAVLKHLENSIASDPKLQKAPVNYEGVRVSGLGGWFLLRLSLHDPVLPLNIEAPSREDAVKLGLEVAAAVKDLASTPAIFNSTLTEDEAFLSPVDGVIMITGSLRLYSSEDGIFEYVRVHMHENPAAQWNGFRFFTNAGGLGKTDIKDILERAADIYSNFLTTSVQKVDYMAVYTSDLVKAVRKAAGNVEKPLEGFHIIVDAGNGAGEFFAAKVLEPLGAITSGCQFLEPGYVIMGSSMFPNHIPNPEDKVAMKALLPRQFLTTRPTWGSSLMQRSAAVDSTVRGGKAPYRFTRGYKNVIDEAIRMNSVGEESHLAIESSGQGALKEDHWLDDGSYLMVKLLNKLASARASVLKHLENSIASDPKLQKAPVNYEGVRVSGFGGWFLLWLPLHDHVLPLNIEAPSCEDAVKFGLEVAAAVKEFPALDTSALDKFVQTQYAERRSLSAFGLRSSGCRLFRDELTCLT >EOY19779 pep chromosome:Theobroma_cacao_20110822:10:22454320:22462566:-1 gene:TCM_045118 transcript:EOY19779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSITAASSSSLWLLPKPSPSKFSKFPPCPSFSPSSPPCYRVVCRSGSPQRASTDLSSALHDALDSTGIDTSHAREARKSFVLQIQKLSDIERETSISINRCVDLGRTALYIAAEDDSLISHSSVPLPVDAFLERLDDLSMGYCSHYNSSCRSSQENFLESIEKYLFVKKGFRRSSAKNQAEPRALYLHSVLTHRSAYDKQKSKESDQPHIMTVQMLMEEILRNLKDAFWPFQHDHADSLFLRAAHAANCIDKYNGIQESGYQLASAKAAQHRLERGVWTSVHFGDMRRALAACERLILLQTEPKEMRDYSVLLYHCGLYEQALKYLELYQDTKSSSSENQPTNSVSNLEEDAVQKLIVRLNLIAMEEVSLVGALTPEAPSPCNQKPPLPPLPQPPTVPPQPPSPQPKPPQPPQPPSPSPQPKPPQPPSPQPKPPQPPSPQPKLPQPPSPQPPLPQPKPPQPPSPQPPSPQPKPPQPPSPQPKPPQPPSHQPPSPQPKPPQPPSPQPPSPQPPSPQPKLPQPPSPQPPSPQPKPPQPPSPQPKPPQPPLPQPPSPQPKPPQPPSPQPPSSQPKPPQPPSPQPPSPQPKLPPPSPQPPLPQPKLPPQPPSTQPPSPQPKPPQPPSPQPPLPQPKPPQPPSPQPPTAPPQPPLPQPPPAPPQPPLPQPPPALPQPPLPQPPPALPQPPLPQPPPPLQPGCPPPPDCNSDCEHPPEPDWPPYEPWVPPLINQNWKCWQSLNSIGNCIEEIIISVRTGNIVVGSACCYAFKDISDDCFNRMFVPYNPVLPLAIREHCFSLP >EOY18861 pep chromosome:Theobroma_cacao_20110822:10:5523757:5526226:1 gene:TCM_043360 transcript:EOY18861 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441, putative isoform 1 MSRHSATSLAPGFRFHPTDEELVRYYLKRKVLNKPSFDAISVVDVYRSEPWDLPDKSKLKSRDLEWYFFSALDKKYGNGSRTNRATERGYWKTTGKDRPIRYRERVVGMKKTLVYHKGRAPRGERTNWVMHEYRLIDEELEKSGIQQGAFVLCRVFQKSGSGPKNGEQYGAPFIEEEWEDDEAIFVPGQHAVAMDEEVANYDAFVEVNDFDKNLDIGSPSENAIPPQNFYHGQSNNYVEYSREFSEDYQKPPGNMSGNALLPSNFYHGESSNHAEHSMEFTEDYQKPTVMHSTESDSKPHHEHVFSDLPQPNGIATIVKPVKDEYVVEPIENVNPADNNYFLDEPYLDVTDYLPANDGFFLEANDLSNPIGPESDVFDPDEYLTFIDADDQPLAFDSDQMVGSEIPVSDQEPLAQTVHNSFLS >EOY18860 pep chromosome:Theobroma_cacao_20110822:10:5523595:5527771:1 gene:TCM_043360 transcript:EOY18860 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441, putative isoform 1 MSRHSATSLAPGFRFHPTDEELVRYYLKRKVLNKPSFDAISVVDVYRSEPWDLPDKSKLKSRDLEWYFFSALDKKYGNGSRTNRATERGYWKTTGKDRPIRYRERVVGMKKTLVYHKGRAPRGERTNWVMHEYRLIDEELEKSGIQQGAFVLCRVFQKSGSGPKNGEQYGAPFIEEEWEDDEAIFVPGQHAVAMDEEVANYDAFVEVNDFDKNLDIGSPSENAIPPQNFYHGQSNNYVEYSREFSEDYQKPPGNMSGNALLPSNFYHGESSNHAEHSMEFTEDYQKPTVMHSTESDSKPHHEHVFSDLPQPNGIATIVKPVKDEYVVEPIENVNPADNNYFLDEPYLDVTDYLPANDGFFLEANDLSNPIGPESDVFDPDEYLTFIDADDQPLAFDSDQMVGSEIPVSDQEPLAQTHSNGGTEQVSNASEHLEELGNSDASSSKQELEPTKFESGTKYPFLKQASHMLGSFPAPPAFASEFPSKDAALKLNSAAQASSSVHVVAGMIRITNMTSSGNQLDWSHSKNGNVNIVLSFSLPQGDVNSSNFLPMASLLSGKAGSVLARGWFFLMLLWVLIITVSLKFGTCIYTR >EOY19000 pep chromosome:Theobroma_cacao_20110822:10:7752327:7758951:1 gene:TCM_043584 transcript:EOY19000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPPRRGHPPLTRSVGRGRGRSQRHQPDTVEEESAASTIRAAPAAEQADSHPHPPSPQPPTGIPAMPTEAAQALAAFFAAIAGQAQTGQVPPVVPPATPLVPPPIQDVSISKKLKEARQLGCVSFTCELDATVAKDWINQVSETLSDMGLDDDMKLMVATRLLEKRARTWWNSVKSRSATPQIWSDFLREFDGGESSDKGKEIASEDQ >EOY18381 pep chromosome:Theobroma_cacao_20110822:10:3250660:3254274:1 gene:TCM_042980 transcript:EOY18381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDWIQRTDKYYDFEKRSTLNLQDKDGITVLHIAVSNNQREMIKRLTESKKVDRNRVNQSGFTALVVLEVQAPVDRESVNILKRAKDPPLRFRRVSYLAKFGRDIEEMKPDAINALLVVFALILLLVLLLASLKCCLLVDREKTAFMLPCPSPKMPPVPVSPGHPLAAAFVLFCFL >EOY19857 pep chromosome:Theobroma_cacao_20110822:10:23308008:23319599:1 gene:TCM_045236 transcript:EOY19857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 1 MGFWAWLRQVTRMIQGKVDHLPVRGVRPEDKPNTSGDSGTGTGTGSGNEGCPQSPTSCICQRPWVPRQPSPHLPEQGVPLLRATLNGDREKVQQILNQADNLLCASITEAHETALHVAVGARQVAVVEELVRKMVSGDLELQDGRGNTAFCVAVATGSVKIAEILMARHEELAFIRGANNKTPLYIAAVFGYPEMVRFLYKKFEPHIPFLNEEEQRRIFFACIQAGLFDLAITMLEVLGDVLTWARNADEETALEILARKPSAFAGFGSNTINMQHSALKLTNLLCQKMASDQGISYEETTDHASESLFEAARLGNYNFLAALIGSFPDLIFRKDEKNRSIFHIAVLQRRASIFNHIHKLGLQKDLIMLYRVRDQENPSIFYNMLHLAAKLPSLDRLNIVSGAALQMQRELLWFKEVENLTTPSERAQRDSEDNLTPQELFTKEHEELRKAGETWMKKTAESGMIVATIITTVVFTTASSLPGGTDDGDGSPKNKDKTMFHVFAVADSVAMCSSIISTIMFLLILTSRYAEKDFLVRLPLQLAAGITTLLVSMMALMVSFSAIYFLAYCQSKLKWVPILASAMSFLPAALFVLLQCRLLRDVFRSTFCSRCIFRPNKSTFWESFNKIFNENVSN >EOY19856 pep chromosome:Theobroma_cacao_20110822:10:23280264:23323683:1 gene:TCM_045236 transcript:EOY19856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 1 MNSELRKTRDQKCVREGESADCRQGFAAGARERSTERRNRERGAAAGARERSTERRNRERNGGRRKGRKSEPSVTTSDPVKGERQDTGSHSRRKRGESSIRVVDEKIERERERRSRGTRSGNDAVPATVRIGCEELVSSHTEAYATCRLYQTPKTLLQAYSSLTSDIERSMGFWAWLRQVTRMIQGKVDHLPVRGVRPEDKPNTSGDSGTGTGTGSGNEGCPQSPTSCICQRPWVPRQPSPHLPETNKEFYVEQGVPLLRATLNGDREKVQQILNQADNLLCASITEAHETALHVAVGARQVAVVEELVRKMVSGDLELQDGRGNTAFCVAVATGSVKIAEILMARHEELAFIRGANNKTPLYIAAVFGYPEMVRFLYKKFEPHIPFLNEEEQRRIFFACIQAGLFDLAITMLEVLGDVLTWARNADEETALEILARKPSAFAGFGSNTINMQHSALKLTNLLCQKMASDQGISYEETTDHASESLFEAARLGNYNFLAALIGSFPDLIFRKDEKNRSIFHIAVLQRRASIFNHIHKLGLQKDLIMLYRVRDQENPSIFYNMLHLAAKLPSLDRLNIVSGAALQMQRELLWFKEVENLTTPSERAQRDSEDNLTPQELFTKEHEELRKAGETWMKKTAESGMIVATIITTVVFTTASSLPGGTDDGDGSPKNKDKTMFHVFAVADSVAMCSSIISTIMFLLILTSRYAEKDFLVRLPLQLAAGITTLLVSMMALMVSFSAIYFLAYCQSKLKWVPILASAMSFLPAALFVLLQCRLLRDKADGADAFFCSLG >EOY17823 pep chromosome:Theobroma_cacao_20110822:10:1002571:1005000:1 gene:TCM_042535 transcript:EOY17823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-harvesting complex II protein Lhcb8 MATATTAAATTSHFFGTRINNLNLGSGRIQSRFGFNLRTKKAPPPPKKAAPKRPSDRLVWFPGATPPEWLDGSMIGDRGFDPFGFGKPAEYLQFDLDSLDQNLAKNVAGEVIGVISETAELKPTPFQPYTEVFGLQRFRECELIHGRWAMLGSLGALAVEALTGVSWQDAGKVELVEGSSYLGQPLPFSLTALIWIEVLVIGYIEFQRNAELDPEKRLYPGGYFDPLGLASDPQKIDNLKLAEIKHSRLAMVAFLIFGIQAAVTGKGPLSFIASFNN >EOY19723 pep chromosome:Theobroma_cacao_20110822:10:21842448:21843286:1 gene:TCM_045029 transcript:EOY19723 gene_biotype:protein_coding transcript_biotype:protein_coding description:CP12 domain-containing protein 3 MATLTFHSLTGNLERIPASCSCSNPRMSASFHCNSRGEKRVSRMKVKAMGAAKYKGTQMREKQLAEMIEKKVTEAKEVCEGDETSDECKVAWDEVEEVSQAKADLRLRLEIEKKDPLEFFCQENPETEECRVYED >EOY17983 pep chromosome:Theobroma_cacao_20110822:10:1678733:1682654:1 gene:TCM_042663 transcript:EOY17983 gene_biotype:protein_coding transcript_biotype:protein_coding description:POX family protein, putative MEGSYNQPLHIPQQNRRNRLRVTIGTNQEEEQASQAPLLQLNQPALLCPSSSQPTFMHTFPQSLCSSTMQNPRDVNYQFFDDQGLSLSLSFQHQDNMNLPLNLDAQKSNENSILGGFLKQNCQMRSSVPLGPFTGYASVLKSSRFLTPAQQILDDFCGVDYRVLDFPLESLGDGDVGKDPITCSDKIQHRWKNSRLVLMLDEVYRKYKLYCQQMQSVVASFKCVSGLGNAAPYVCFAFKAIAKHFSCLKSAILNQIRFTDKTADNAVVGKDNNVPSLWTSDQGISNQNPVQNVTFLQHPLWRSQRGLPDQAVAVLKTWLFEHFLHPYPTDSEKLMLARQTGLSRTQVSNWFINARVRLWKPMVEEIHMLELRQSQKPSSEATNQDAKLPSELLVDKLPHFIASQEVENIQNKRPRNNIFYPDEQSKLQKSALAYTSLPSNHHLGVGTSNFCLALSLNQDNNGIDFSTPPMPMNLCHNFNFKTDGELSLKAGFDVERQHHGKNF >EOY19207 pep chromosome:Theobroma_cacao_20110822:10:14175206:14175752:1 gene:TCM_044164 transcript:EOY19207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MKPSFNNFLGSATPVVTENETDKRALLEFKAKIIDDHFGVMHSWNNTIPFCQWHVVSCGHRHQRITKLDLRSIKLVGSISPFIGNLSFLRVLNLENNSFHQAIP >EOY19161 pep chromosome:Theobroma_cacao_20110822:10:11237254:11254405:-1 gene:TCM_043935 transcript:EOY19161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, putative MCGVEVHMTVMVKCGVGVYMMIFAMSGVEVHMTILAMCGVRVHMSWGDVGSWDLSCPDSRCSEIRVSPQRETLYLVSRSAAILTLNFIVHHGSFNIKLTSSSKVRLLIWRILHEALPTSEWLLKRHLRSTAFYFRCEAPVETLVHALRDCGKSKLLWLQLRPNIHSSDFFSEELKPWVLKNLACKDPVEGIPWAIIFIHAIWLLWFWRNMNLFDKSFIWPANATKQVWTKAKEAWDTLGKENHRLKQEVLIAWEKPKNGYVKLNVDGSAKGQPGLAASGGVIRDEYGNWIAGFCQKIGITFSLTAEPWGIYQGLTLCWNRGLRKFCVEIDSMLALQKIYSQSSMLDPNAQLLRRIKELLQQSWDVTISHVHREADQCTDWMTTHIENLKLGLHIFEYPPHDIVYYLFTDSLGISWSRMM >EOY18694 pep chromosome:Theobroma_cacao_20110822:10:4559293:4559803:-1 gene:TCM_043196 transcript:EOY18694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease inhibitor MASDECQGKSSWPELLGAKGEEAAATIERENPNVNAVIVSEGSFVTPDFLCTRVRVWVNTDGIVTRVPVIG >EOY19576 pep chromosome:Theobroma_cacao_20110822:10:18948387:18958994:-1 gene:TCM_044719 transcript:EOY19576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSSPKNAVGPLPFANDTIMVVSDDETSGQMDDDCEEDDTTNWNDEMDNDCEENYIDGHNDCSKEDKDDNNDIPNCNYADGSIKHATTIELEDVQCYDHATTIVLEDVQCDDPIYDNSIVGDNRIRSLDDSDQIMQCLIHLLCVPYGFHTSLCDAKMSFQYLLFIFTFYRVDVNIDVALTGQLRWDQVVDMANIDDVVGKIDGHTDVALMPRDILGRDYLSTLTIV >EOY19904 pep chromosome:Theobroma_cacao_20110822:10:23728866:23731783:-1 gene:TCM_045300 transcript:EOY19904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MPYSRTPLRVPSFRQLSNAFAQFDNLQVRSKKHLTIKDAVALNEWRFSKLKEFKDRNIEVENEAFDRYMQNISLLEEVFSTKSIHKGSNEDEGSEPKPSSLEDETWMMTSGLKLTLRSDPVRTDDSRKRIQQIVDQGIKKLQKCEADDGANDPDDQNKHGSRLNKVKTLWVERASILSDFVDKLNKARSEEDLKSCLDMKARLYNQSIEEADRNVAETKHPEALNKQGAENDVTPRRVADYLLPKLFTPIEIDQETINKVDVHFSSLEQIEDL >EOY19902 pep chromosome:Theobroma_cacao_20110822:10:23728866:23732567:-1 gene:TCM_045300 transcript:EOY19902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAASSPAATKSTTDTTTTTATTGTAATAAAAAATPSPPSLPFQRMDTPPKTQRGLNKPKCIQCGNVARSRCPYRSCKSCCSKAQNPCHIHVLKSNSAYPEKTPTSSTPSSDQKSTQASSQATPLRVPSFRQLSNAFAQFDNLQVRSKKHLTIKDAVALNEWRFSKLKEFKDRNIEVENEAFDRYMQNISLLEEVFSTKSIHKGSNEDEGSEPKPSSLEDETWMMTSGLKLTLRSDPVRTDDSRKRIQQIVDQGIKKLQKCEADDGANDPDDQNKHGSRLNKVKTLWVERASILSDFVDKLNKARSEEDLKSCLDMKARLYNQSIEEADRNVAETKHPEALNKQGAENDVTPRRVADYLLPKLFTPIEIDQETINKVDVHFSSLEQIEDL >EOY19903 pep chromosome:Theobroma_cacao_20110822:10:23728891:23732623:-1 gene:TCM_045300 transcript:EOY19903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAASSPAATKSTTDTTTTTATTGTAATAAAAAATPSPPSLPFQRMDTPPKTQRGLNKPKCIQCGNVARSSRCPYRSCKSCCSKAQNPCHIHVLKSNSAYPEKTPTSSTPSSDQKSTQASSQATPLRVPSFRQLSNAFAQFDNLQVRSKKHLTIKDAVALNEWRFSKLKEFKDRNIEVENEAFDRYMQNISLLEEVFSTKSIHKGSNEDEGSEPKPSSLEDETWMMTSGLKLTLRSDPVRTDDSRKRIQQIVDQGIKKLQKCEADDGANDPDDQNKHGSRLNKVKTLWVERASILSDFVDKLNKARSEEDLKSCLDMKARLYNQSIEEADRNVAETKHPEALNKQGAENDVTPRRVADYLLPKLFTPIEIDQETINKVDVHFSSLEQIEDL >EOY17534 pep chromosome:Theobroma_cacao_20110822:10:130180:133301:-1 gene:TCM_042346 transcript:EOY17534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein, putative MRVSANCDVEEIKTNDCTITVKSSGETTEILSETFTEPLLSSNGCPSHSIYQVDLPQIGDEGKEKISTFGKMKQYFMAVIRSKSLKMIFAPSTIAAIVGFIIGIVSPIRKALIGDSAALHVIYSSTELIGEAGIPSITLIVGANLLKGLRGSGVGASLIVGIIVIRTILLPASGILVVKAASYIGVVESDSFYQFTPLLQYAIPPAVNIGTISQMLGSGEREFSVLMLWNYVVAAFSLTLWTAFYMWLVT >EOY19979 pep chromosome:Theobroma_cacao_20110822:10:24231050:24239628:-1 gene:TCM_045383 transcript:EOY19979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell wall integrity and stress response component 2, putative MANHKNQDQTSNDKSPACCPVTRDKGTGKNRSFLEGCLFALCCCWLWDACFDL >EOY18767 pep chromosome:Theobroma_cacao_20110822:10:4842226:4848420:-1 gene:TCM_043264 transcript:EOY18767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MQALALLRQRRLFRAIHQTLDTTASSLKFLCSRTADNLQGQTSEPKTDEPKCLSLRIERLPKGETVGSAFKSWMADGFPVHRGDIFHAINRLRKLKLNKRALEVMEWVIRERPYRPKELDYSYLLEFTTKLHGMSQGEKLFSCVPQEFQNELLCNNLVIACLDKGMIRLSLEYMKKMRELRHPISHLVFNRLIILHSSPGRRKTIPKILNQMKADKVVRHVSTYNILMKIEANEHNIEGLVKVFNDMKRVEVEPNEISYCILATAHAVARLYTAAEAYVEAVVKAMTGNNWSTLDVLIILYGYLGKGKELERTWGTIQEFTHVRSKSYMLAIEAYGRIEQLSQAEELWLQMKSIKGLKLTEQFNSMISVYCKHGLISKATGVFREMRMNGCKPNSITFRHLALGCLKAGLVEESLKTLDMGMNFPTSNKVRCSTPWLETTFCMIEIFAEKGDVKNVEKLFEELKRANYTRYTFVYNTLIKAYVKAKIYDPNLLKRMILGGARPDAETYSLLKLGEQLQR >EOY18779 pep chromosome:Theobroma_cacao_20110822:10:4896303:4909070:1 gene:TCM_043271 transcript:EOY18779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein / kinesin motor family protein isoform 2 QRAERQRSTQQPQGLSHAGNLLTRSLNNGQQSLLRSKEAKPPSASSRRSVTPTSRSHSRDFEDDNDPGRVRVAVRLRPRNAEDLLSDADFADCVELQPELKRLKLRKNNWSSESYKFDEVLTETASQKRVYEVVAKPVVESVLGGYNGTVMAYGQTGTGKTFTLGRLGKDDASERGIMVRALEDIMANITIASDTVEVSYLQLYMESIQDLLAPEKTNIPINEDPKTGEVSLPGAVTVKVRDLDHFLELLQIGEANRHAANTKLNTESSRSHAILMVYIRRSVPEKVEVDISSQEKKTKSNLPVVRKSKLLIVDLAGSERLDKSGSEGLLLEEAKFINLSLTSLGKCINALAENCPHIPTRDSKLTRLLRDSFGGSARTSLIITIGPSSRHHAETTSTIMFGQRAMKIVNMVKLKEEFDYESLCRKLETQVDHLTAEIDRQHKLRERDKYDLEKQLRECQDSFYETRKNLVTRSEFLEKENARLELDMEDILAQLNCQKDHNSLMQDKVAELEINLEQSKQHQLENSTYQKVLADTTQIYENKIAELIKQLEVERAQSESAEEQFDAMKKLSGDHQKLIKMYEKKIRELTKQVEDEHTRFEGVQDQLDLANKLLRDYQNSMQEQEEISELRLKLQEMYQLHESTVNELQALKAEFKDQIQEKETISEELYVVREKLSAEEKRRKTIEHELVKLKKSAPEGDKDFERIKDHI >EOY18778 pep chromosome:Theobroma_cacao_20110822:10:4896085:4929032:1 gene:TCM_043271 transcript:EOY18778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein / kinesin motor family protein isoform 2 MATTSSGLRPAQRAERQRSTQQPQGLSHAGNLLTRSLNNGQQSLLRSKEAKPPSASSRRSVTPTSRSHSRDFEDDNDPGRVRVAVRLRPRNAEDLLSDADFADCVELQPELKRLKLRKNNWSSESYKFDEVLTETASQKRVYEVVAKPVVESVLGGYNGTVMAYGQTGTGKTFTLGRLGKDDASERGIMVRALEDIMANITIASDTVEVSYLQLYMESIQDLLAPEKTNIPINEDPKTGEVSLPGAVTVKVRDLDHFLELLQIGEANRHAANTKLNTESSRSHAILMVYIRRSVPEKVEVDISSQEKKTKSNLPVVRKSKLLIVDLAGSERLDKSGSEGLLLEEAKFINLSLTSLGKCINALAENCPHIPTRDSKLTRLLRDSFGGSARTSLIITIGPSSRHHAETTSTIMFGQRAMKIVNMVKLKEEFDYESLCRKLETQVDHLTAEIDRQHKLRERDKYDLEKQLRECQDSFYETRKNLVTRSEFLEKENARLELDMEDILAQLNCQKDHNSLMQDKVAELEINLEQSKQHQLENSTYQKVLADTTQIYENKIAELIKQLEVERAQSESAEEQFDAMKKLSGDHQKLIKQHEMENSNYLKALADTTQMYEKKIRELTKQVEDEHTRFEGVQDQLDLANKLLRDYQNSMQEQEEISELRLKLQEMYQLHESTVNELQALKAEFKDQIQEKETISEELYVVREKLSAEEKRRKTIEHELVKLKKSAPEGDKDFEDKRSYMKENIRGVSVFGTSASLNKSGPLRETQSAQRATIAKICEEVGLQKIIQLLTSEESDVQIHAVKVIANLAAEDINQEKIVEEGGLDALLTMLKSSQNATILRVASGAIANLAMNELNQGLIMSRGGAQLLAKTASKTDDPQTLRMVAGALANLCGNEKLHSMLKEDGGIKALLGMVRSGNSDVVAQVARGMANFAKCESRAIIQGHRKGRSLLMEDGGLEWLIANCNTASASTRRHVELALCHLAQNEDNAKDFTSSGGLQELQRISMESSRDDIRDLAKKMLKSNTMFQGDTRSGWQ >EOY19397 pep chromosome:Theobroma_cacao_20110822:10:17247506:17248720:1 gene:TCM_044494 transcript:EOY19397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2c-like protein MKLGACYVAKDNELKPLREDAYFICNKEQTLDVVDGVGGWAAKGGDVGEYARQLMANVIIAVHEEYMLKRSVDLRRVLHETYFHTKVEGSLTSCILTFKASLLHAVNVRDNGDRPDMAMELVVRVEAGDIVVLGIDGLLDNMYPTKMEEILKRETKGQAGSVCLKKVAQQGMRCTTRLTSLHSLHSLKLQKRQD >EOY18925 pep chromosome:Theobroma_cacao_20110822:10:6224749:6226674:1 gene:TCM_043432 transcript:EOY18925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vitamin E pathway gene 5 isoform 2 MPRSRIYSFHNYTQNKNQKKVPSSGNLSSLSLFLCLCWSACAIMTFSLSFTHPIFSRHVHSAALSLSSSPPPPPPGFFFLSPPTPTSLRFDFLYRVPQRSAAFSAAETAALLQDTASSAAVLAGAYALVFTFDTLTQKELIQQNLSRKLVHILSGLLFAVSWPIFSNSLLARYFASVVPLVNCVRLVIHGLSLADDQRLIKSVTREGNPKELLRGPLYYVLILILCALVFWRESPVGLISLAMMCGGDDILGRRFGSSKLPYNRNKSWVGSISMFVFGFFISVGMLYYYSVLGYFQLDWGWTMCRVALVSLVATVVESLPFTTVVDDNISVPLATMIAAYFSFRP >EOY18924 pep chromosome:Theobroma_cacao_20110822:10:6224540:6227045:1 gene:TCM_043432 transcript:EOY18924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vitamin E pathway gene 5 isoform 2 MPRSRIYSFHNYTQNKNQKKVPSSGNLSSLSLFLCLCWSACAIMTFSLSFTHPIFSRHVHSAALSLSSSPPPPPPGFFFLSPPTPTSLRFDFLYRVPQRSAAFSAAETAALLQDTASSAAVLAGAYALVFTFDTLTQKELIQQNLSRKLVHILSGLLFAVSWPIFSNSLLARYFASVVPLVNCVRLVIHGLSLADDQRLIKSVTREGNPKELLRGPLYYVLILILCALVFWRESPVGLISLAMMCGGDGVADILGRRFGSSKLPYNRNKSWVGSISMFVFGFFISVGMLYYYSVLGYFQLDWGWTMCRVALVSLVATVVESLPFTTVVDDNISVPLATMIAAYFSFRP >EOY19343 pep chromosome:Theobroma_cacao_20110822:10:16536229:16548697:-1 gene:TCM_044413 transcript:EOY19343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTQDRVNDCINVISLDNERIFKKLYQIVPKQISDVTSKQNFDDKVISSQGVKIKFRACYLPKDNELKPLEEDVCFICYEEQTLVVADEHMLKGSVDLGKVKLTSISRLKDYRRPVFSSLCGEQWVHDV >EOY17519 pep chromosome:Theobroma_cacao_20110822:10:77119:78924:-1 gene:TCM_042336 transcript:EOY17519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYRSIIVCYDLELLSFCVCGLVNNIGETADVSVELNCLPYEPHRLCSQSMLSSCVFFISSTHALQILLLF >EOY18845 pep chromosome:Theobroma_cacao_20110822:10:5376380:5378381:-1 gene:TCM_043338 transcript:EOY18845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFSRCLVCLTLLLLLSAGSQSRLLIEKRNLNNSMEDLRQYLNEEAEKIQNGQLERTSPGGPDPQHHFINNYHLLHVDFIFCYLSLSLSLSLEYGENNIKMCVDLRQYLNGEAKKNQNGQVERTGLASISFFMWILFLVTSPPPPLSLSLSSLFSLEYGENNIKMWVSLENGGKCQIPVRYEKSSSRRTLGLLFSACAANCILSSLLSFVSVQGYVSTKANFLMSRGVFYHFGSRLVTAF >EOY18420 pep chromosome:Theobroma_cacao_20110822:10:3440441:3441667:-1 gene:TCM_043017 transcript:EOY18420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSDSPEGSPLKNSILLSGNTAEGYKEEDSYQGRNQKQKQQQPPLSAGNCPDQHHPSDFSEETAQEQTAVMVPSQPSANWVSSKQDDCYITPQKQLLLPSKNSHPGPSSQSSYREPQQNPASGNVSYEEYRAKEEQEECNSSSTDPKTGFPKSWPKVSPPPAKVTSPPVELPLPAKDLSPLSNEAYPPTLPRTVLPPSPPPPPPRCCGCCIIL >EOY19285 pep chromosome:Theobroma_cacao_20110822:10:15957988:15961233:1 gene:TCM_044333 transcript:EOY19285 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein MKRVFGVKKDKEPPPSIEDASDRINKRGDTVDEKLKKLDAELSRYKEQIKKTRPGPAQEAIKARAMRVLKQKRMYEGQRDMLYNQTFNLDQVSFAAEGLKDAQQTMSALKSANKELKGMMKTVKIQDIDNLQDEMMDLMDVSNEIQETLGRSYNVPDDIDEDELMGELDALEADMGSEADGVPSYLQPDKEEPDLDAELNLPSVPSGSTTAPAGRSNAQAEDELGLPTVPRASVRS >EOY18930 pep chromosome:Theobroma_cacao_20110822:10:6319332:6319948:-1 gene:TCM_043445 transcript:EOY18930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 HSNSCDSCEVERGGTRGRKAERKEPISTTTNLNREARGRIGYEEGERKNVEKRERKRAKKVRYSLIFFLSCYFVIDVYNYFLFLPFFLLTFQPYDEI >EOY18079 pep chromosome:Theobroma_cacao_20110822:10:2005656:2006707:1 gene:TCM_042730 transcript:EOY18079 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 29 MTGLGSSCGACKFLRRKCTSECVFAPYFCYDEAASHFAAVHKVFGASNVSKLLLHLPMHNRSDAAVTISYEALARMRDPIYGCVAHIFALQQQVANLQEEIEILINQMANHAADQVPSCGNTQAATYPDGKIQFASLHETISTVYYQDEQAALPTNHPGLLTGNQILDAQLCEPLPPSYEWEDQNFLCNFYQNPPEINLEGVESGILIDYPCMGSSGTTTNWEGPSW >EOY17937 pep chromosome:Theobroma_cacao_20110822:10:1501715:1505417:1 gene:TCM_042629 transcript:EOY17937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 25, putative MKGEEKESNCQDSPAVGGSCSGGGGDELELIVAESELAGGGSGGNSRVKGPWSPEEDAVLSRLVAKFGARNWSLIARGIPGRSGKSCRLRWCNQLDPCLKRKPFTDEEDRIIISAHAIHGNKWASIAKLLPGRTDNAIKNHWNSTLRRRCMELGRFKPEPADMMEDGSLERTKASSEETLSVGDINSFKHFEGRDVVMDDRPNLQVDKPPIKEDQSAAEPKDHPTLCRPVARVSAFSVYNPPSNSRTESGMSSTILMQGPLAHASRSDWGVGKILEDLCSEPVIPLCCGFGCCSTPCGGHSQSSLLGPEFVDYEEPPAFSSHELISIATDLNNIAWIKSGLENSCVRIPSNTAGQRLPQGATSSMQIGISDLYVKSDHMRFDDGRSKLTGMTAEVIPTQMPKQTFTVRSEVEGLS >EOY17588 pep chromosome:Theobroma_cacao_20110822:10:296068:299687:1 gene:TCM_042382 transcript:EOY17588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein MAAQSFKVLRILFFLIIYFLPIFVAGGDIKPIADLPSYVCDPHKWELRGMNMSAIGYCDKSLPYEVRAKDLVDRLTLAEKVQQMGNNASSIPRLGLPAYNWWSEALHGVSNVGPGTFFTEIVPGATSFPTVIHTTAAFNQSLWNAIGKVVSTEARAMYNLGQGGLTYWSPNINPVRDPRWGRITETSGEDPFVVGVYGANYVRGLQDVEGQEHTADPGSRPLKVSACCKHYAAYDVDNWYEFDRLKFDAQVTEQDMVETFLRPFEMCVKDGDVSSVMCSYNQVNKVPTCADPILLKQTLREEWKLNGYIVSDCDSVEVIHKEQRLDLDCGVTYPTALENSVKQGKANEAEIDTSLKYLYVVLMRLGFFDGSPSFTSLGKDDVCTQDHIELAAEAAREGIVLLNNVDATLPLNPDAFKTLAIIGPLANATKQMLGNYEGLPCQYVSPLSGFSAFGQVIYEQGCPNVKCPNDTLILQATEAAKQADATILVVGTDRTIEEESRDRYDLLLPGLQKDLINQVANASKGPVILVVMSAGGVDISFAKDNNRIKGILWVGHPGQEGGRAIADVVFGKYNPGGRLPLTWYTADYVSKLPMTSMPLRPVEEKGYPGRTYKFFNGSVVYPFAYGLSYTTFNYKVTTPTNVSIPIKLNKNQQCRELELTDTSIEQPCPSVVVNDLTCEDKIAFEVEVQNTGDKDGSEVVIVYSKPPEGIVGTPFKQVVGFERVFVAAKQSQKVKFELNECKSLNIVDSSGYRILPSGLHKIALGTSSEQIEVDVSFAR >EOY19822 pep chromosome:Theobroma_cacao_20110822:10:22902582:22907629:1 gene:TCM_045179 transcript:EOY19822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MGFRAWLRQVLRTMQEKVDHFLGLGVRPENETETETVDQESPLSPELCICQRRWDSNNYRQPSPHLPEGEKGFYVGQGVPLYKATLNGDREKMQQILNRNDRTLLCSSLTEGHETALHVAVGARQAAVVEELVERMESADLVLRNGRGNTALCVAVATGSVKIAKILMEKKAELAFIRGADNKTPLYIAAVSGCPEMVRFLYEIYKQHISHLTDEAEQRDMFLACIHAGLFDLAIKMLKGLRDLAWARNSDDETALGILARKPSAFAGESSTTPKTLIDKCFGTNTISMQNTAIELTKLLCETMSSDEGKSFRETIDHASELLFEAARLGNYKFLAVLIGSFPDLIFRKDETNKSIFHIAVLHRHASIFNHIHNLGLQKDLIMLHRVGYPHQENPSNNQENPSSNQENTSIIYIMLHLAAKLPSLDRLTIVSGAALQMQRELLWFKEVENLTQPSEREKRDSKYHLTPRELFTKEHEELRKAGEEWMKSTAQSGMIVATLITAVVFTTASSVPGGTNGENGTPSNITKTMFHVFAVSDSVAMCSSIISTLMFLSIITSRYAEEDFLVRLPLKLAAGLTALLVSMMALMVSFSAIYFLNYSQLGKLKWVPVLTSALSFLPAALFVLLQYHLLHDVFRSTFGSKHIFRPDKSTF >EOY19760 pep chromosome:Theobroma_cacao_20110822:10:22204846:22295840:1 gene:TCM_045081 transcript:EOY19760 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MAFIGEAALSAFFDSLFGKFTSSDFNFVTEKRVRKEIMKWETILRTIHAVLADAEERKMKNQAVKIWLADLQDLAYDVDDILDEFASQALRRKLMKEREASTSKAHKFLTSLNSSSIMFNYKMMSKIKEITGRLEDLATRKINLQLENYVGRPMTIPKSKPSTSLVNEDTVRGRDKDKKAIIDLLLRKDGNDAGVSVIPITGMGGIGKTTLAQLVYNDSNIRDHFDLKAWVCVSHEFDVIKITKTILESVTFEPCDITDLNLLQFKLKEKLSRKKFLFVLDDVWTENYNDWMRLRSPFDAGISGSKIIITTRSSNVSSIMRSVADYLLQSLSEDDSLSLLSHHALARGDFTGHPDLKEIGLEIVKKCGGLPLAIKTIGGLLRTRENHDAWKYILMSDIWSIPEEKSDIIPALWLSYYYLPPQLKQCFAYCYLVPKDYEFKEEEIVLLWMAEGFLIGANTKRATKDLGSKYFEELVSRSFFQASRKNQSQFVMHDLINDLAQLVAGEIYFKRERYDDMKAKFLRTYLPFDMMMMRRYGRCYLSSNVLDDLLPRLKCLRVLSLKRYYITKIPSSIGNLKHLRYLDFSYTEIKGLPDSICTLYNLETLLLRFCDGIEKLPMKIGILDNLCHLDITGANSIKEMPSGIGKLTNLQVLSTFIVGQAQYAWEAKLSGKSSLNNLELSWSRNFNENLRNKEVVGEVLTLLQPHEELKALAIKYYAGLTFPIWLEDGSLKNLQFLNLEDCQNCKLLPAIGKLPLLKHLCIKGMRSVISVGIEFHGVNWPNLFPSLETLHFEDMLEWKEWKVCEINKQGKKFCCLRELLLKNCPKLVRTLPNDLHSLEKLVIRNCQELTVSVSNLPMLCEFEIDGCKEVVLESFDDLWSVKKIILSNISKFTCVTKETKKLESAKVVNLQINGCEELTSLWQTKWGWLAPLRSLHSLKFQNCPQVVCIGATDEEEEELLQLELPCNIEYVILVGCQGLERLSKSLQNLTCLTKLNIVRCSKLVSLSVDSLPLTLRTLDISDCENLQCLLDDEENINFSSTSLLESLDIGCCEALKSLSSSGKLPVRCKKLFIYECPVLRFLAQNIGDNACLESISLHNCINIKYLPQGLDKLNHLQEIDFDCCPSLVGFPESGF >EOY19106 pep chromosome:Theobroma_cacao_20110822:10:10387696:10390556:1 gene:TCM_043843 transcript:EOY19106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMANSLSLKSILDANKLTVPNFIDWFRNIKIILKQEKNAYVLDGLILEEPSNNATNNKKEAYCNTSYPHVEVNKTLSIRRRVN >EOY18517 pep chromosome:Theobroma_cacao_20110822:10:3692754:3694450:-1 gene:TCM_043059 transcript:EOY18517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho guanine nucleotide exchange factor 7, putative MVASSSSASWETQMVSQEQINAFHTIDRNIFARLVLNLRRDLGESIHVMAFLLWVEHVDNPARNLVFNIQPWSDTLINALAKEAVLCLNCIKSDEFPYNNFKDSNYLIPLIQGLTKNWASLRFFHHNRLRIIPGIIQNIQDVCFRAFRDIFKLASTINSMDAQRRSEQSLELSRFYGPLTRPTLPVFNDYNSGVGNFSDQNMGNKQVFWPNWNSDNSSFSQQVYHHNIKTQIQSLDEEMEELLNNTHSICTKGLEENNNNNNNNQEVPAEDRTIFLTFSKGYSLSEKDVTDFFARKFGDNFIERVEMQEVLRGEQPLFAKLVLHSASGLATILNGVRKAKYSIKGKHVWARKFEHRYPQVTSPSHHS >EOY19978 pep chromosome:Theobroma_cacao_20110822:10:24219129:24227558:1 gene:TCM_045382 transcript:EOY19978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated E3 ubiquitin ligase 1 MKNTDRRRFPELVSELQALVEEIASLAKESGSERELFSEFARLLNKLAPVLSDIRDNKDVMDTVTIRKAIESLEKELKRAKTLIKTPDSKQPNIWIEDVIQDLGRSIGLVLFASIDLHFDMKERIGALHKEFMTVKFDASLSPSPSPSPSPSNGSAYVSATASEKEIEEERTEIEEERTEIEEERSNLTIDDAVLQLKYGNDDEFNFALLGFSESIRQGLITNEWINEEGIISILVNRLGSCKPINRLIILQILKQLALENAENKEKMADAASLSALVKSLTRDVEERREAVGLLLDLSDLPAVWRRLGRIQGCIVMLVTMLNGDDPIASDNAGKLLNALSSNTQNALHMAEAGYFKPLVHYLKEGSDMSKILMATAMSRMELTDQSRASLGEDGAVEPLVKMFNAGKLEAKLSSLNALQNLSNLSENIQRLITSGIVVSLLQLLFSVTSVLMTLREPASAILARIAQSESILVNQDVAQQMLSLLNLSSPVIQYHLIQALNSIAGHSSASKVRTKMKENGAIQLLLPFLTESNAKIRTGALNLLYTLSKYLPEEMTEQLGESHLIIIVNIISSSPLDSDKAAAVGIMSNIPISNKKVTEVLRKANLLPILVSIMTCTPSTLTSTWHWLAEGVAGILIRFTIPSDKRLQLLAAENEVIPLLVKLVSSGSLAAKCKAATSLAQLSQNSLSLRKLKKSSWFCVPPSTTAFCGVHDGYCFVKSTFCLVKAGAIPPLIQILEGKDREADEAALNALATLLQDEICENGSNYIAEKAGIQAIIKILESTTVKAQEKALWILERVFNVEAHRVKYGESAQVVLIDLAQNGDPRIKSSTAKLLAQLELLQAQSSYF >EOY19595 pep chromosome:Theobroma_cacao_20110822:10:19130683:19132264:1 gene:TCM_044739 transcript:EOY19595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDNNAVAPLLVGLRFQPSDAMLLGYLFSKITGKSRLHLDQQVIKDFDLYGEKEPWEIWELYGGDNLRSGEDLYFFTQSKKKTQNSSKMNRLIGTGTWMITGPAEAITYSQLSAQPLGFKKRFRYKGEVAQQVSQWIMFEYSLDTNLVSKNDCNYVLCQLKKKDLKEEKG >EOY18326 pep chromosome:Theobroma_cacao_20110822:10:3013342:3019515:1 gene:TCM_042925 transcript:EOY18326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MTTANRTALHIATESNRLEALQLLCRMLWKSDDCGDVVNQKDRNGDTALHMAARNNQSQILKLLLNCKADKFATNQLVQRHFLLQTNLITESINILRGWSNVGVLSFRYKMRKRISKIVTKASEVIFQGMDRISSEDRNALLAILGLLLTATYQASISPPGSVW >EOY19813 pep chromosome:Theobroma_cacao_20110822:10:22690760:22693750:1 gene:TCM_046961 transcript:EOY19813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MDSSVTPVTMDNDHHHNSSKVSSQKKSYKGGWSAAIFVIFVEMAERFAFYGLAGNLIQYLTNNLGEPVATAAKNVNTWIGVSAIFPLLGAFVADSYLGRFKTILASSFIYFLGMVLLSLSVSVIPMHSRKAVFFTALYVLAIGEGGHKPCVQTFAADQFDENNPKEKAAKSSFFNWWYLGIVTGASVAIVVVIYLQDNVSWTAGFGVLAGSLAVALALFLIGIKRYRSQKPTGSPFTAMAQVFVAAAKKWRVSETHRGRGICYEDERGGSHGHGQTKGYNLVRTKQFRFLDKAMIIDNEDAMSKTKNPWRLCSLNQVEEVKLVLRLIPIWLGCLMFCAVIAQLHTFFTKQGSTMMRSIGPNFQVPPAALQSLVGFTILIAVPVYDRVFVPMARKITGHPSGITMLQRIGIGLFVSMLNMVVAGLIETARVNTARKHGLMDNPKAVVPMSVWWLLPQYVLTAIGDVFTIVGLQELFYDQMPEEMRSIGAAAYISIVGVGSFINTAIISVVQVITSRHGSVWLGDNLNRAHLNYFYWVLAGLSGINLCVYMWITSGFVYKKVENDETREGKELEMEGYLEVKV >EOY18857 pep chromosome:Theobroma_cacao_20110822:10:5491050:5497418:1 gene:TCM_043357 transcript:EOY18857 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441 MGREKNSLTVVPGPTAAAAAAAAAKTGGSATALAPGFRFHPTDEELVSYYLKRKVLNKPVRFNAIAEVDIYKQEPWELSDKSRLKTRDQEWYFFSLLDKKYGNGARMNRATSQGYWKATGKDREVRHNSQLIGMKKTLVFHSGRAPDGLRTNWVMHEYRLVEEESERIGALQGYVLCRVFHKNNIGPPNGNRYAPFVEAEWDDGSAALIPGVDAVDDVVAANDAVAGNDLAAAENGVQRIDFEQDIQYADEDSPPNDEVPRESLNERTDDCPPLPPCKIERSDDCPPLCVLNREAPLPLLQYKRRRHNDSGPNHANVSENSTRTTQDRCSSTTTTAATATTSPSSATTTAISALLEFSLMESIEPKENPHVPPPTYDTANLDSVVPPGCMKLINELQNEIHKISVDRETLKLEMMSAQAMINILQSRIDSLSKENEDLKRSN >EOY19531 pep chromosome:Theobroma_cacao_20110822:10:18504521:18505941:-1 gene:TCM_044660 transcript:EOY19531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type-b response regulator, putative MEESFLDKHPENSVVEVDDDVVHELRALTIDAQIFSLHYLCTVLHKCNYRIKTTTSAVEALEILRANKYEFDIVLVDVDSASIKGFKLLEITELEMYLPVIMVTGDGSLENIVNGLIYGAVDYIIKPVGVREINNTIWHRVTLNNTWVLNNLRTPKNHRTTKI >EOY19898 pep chromosome:Theobroma_cacao_20110822:10:23687354:23696906:1 gene:TCM_045297 transcript:EOY19898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIQQASNANQTFSTLLLSTVYGHNSLMAIWCLPMIRKQIDAPMSLLTTVFLAIKYIDPFKGKEYERLKQQSTRIQEWGESYRQAYTEKYNQMDYLVWQMREVAYKARSMAWKTDILRSQIFPVGKHEQQLIKYLDEVYSHYNKIGEYF >EOY18172 pep chromosome:Theobroma_cacao_20110822:10:2255584:2258612:1 gene:TCM_042785 transcript:EOY18172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock cognate protein 70-1 MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFTDSSVQSDIKLWPFKVIAGPGDKPMIVVNYKGEEKQFAAEEISSMVLIKMREIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIENMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTVKDEKIGSKLDPTDKKKIEDAIDGAIQWLDNNQLAEADEFEDKMKELESICNPIIAKMYQGAGADMGADMGAGMDDDAPPAGGSGAGPKIEEVD >EOY19819 pep chromosome:Theobroma_cacao_20110822:10:22781404:22788688:1 gene:TCM_045167 transcript:EOY19819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MLEVLGDLAWARDSDDETALGILARKPSAFAGESSTTLKTLIAKCFGTNTISMQNTAIELTKLLCETMSSDDHKSFRETIDHASELLFEAARLGNYKFLAVVIGSFPDLIFRKDETNKSIFHIAVLHRHASIFNHIHNLGLQKDLIMLHRVRYPDQENPSINQENPSIIYIMLHLAAKLPSLDRLTIVSGAALQMQRELLWFKEVENLTQPSEREKRDSKYKLTPRELFTKEHEKLRKDGEEWMKSTAEQGMIVATLITAVVFTTASSVPGGTNGENGTPSNITKTMFHVFAVSDSVAMCSSIISTLMFLSIITSRYAEEDFLVRLPLKLAAGLTALLVSMMALMVSFSAIYFLNYSQLSKLKWVPVLTSALSFLPAALFVLLQYHLLHDVFRSTFGSKHIFRPDKSTF >EOY19845 pep chromosome:Theobroma_cacao_20110822:10:23160483:23161787:-1 gene:TCM_045217 transcript:EOY19845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSTAQSGMIVATLITAVVFTTASSVPGGTNGENGTPRDITKTIFHVFAVSDSVAMCSSIISTLMFLSILTSR >EOY18702 pep chromosome:Theobroma_cacao_20110822:10:4582858:4586941:-1 gene:TCM_043203 transcript:EOY18702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMFYPPAPVVVFNPSDREIVSFFLPKLISGEGIGELSYLIEFCDLYCIKPAALFDVNKGFLPFVKPNQRFVFTHRQKISQKNANGKRPRRVLDSRAVGDGGFWRSSTGEKPILDEQGEHVIGYVNTLNFYEYKEWVIGKIKDTACSEEDKCVAFWVKELFGNLLLGNKECPSSALMQEAVFSGNLPLPDYGQCDPLIENYVDGRLQQSENLECQSSILVERSESLVNGFGEMDSNGLVGEGYSLMDQLLDEDPFNEVDQLLGISNNDQISNLDELVIDKSVDELVREYEALIDGDGLKEVDQLHGISNNNESSKSVEPVIVTGKNVDELSGGYEELNYDNSFKEVDQLWGISNTDENSKLDELFTDKDVDDIHALLAFDHLPRCAALVCFCYVAPRNFDIKGDQENRRSSGSSNIKKDGVMEDYNGN >EOY18194 pep chromosome:Theobroma_cacao_20110822:10:2360138:2366065:-1 gene:TCM_042811 transcript:EOY18194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionyl-tRNA synthetase / methionine--tRNA ligase / MetRS (cpMetRS) MAAAMMNLSSSIQSGLFSSLKPSSFFNFKTTHFKNRFFLPQSHFIFSKRSMLCTCSSNNSNFQTGAKAEPYVVTTPLYYVNAPPHMGSAYTTIAADAIARFQRLLGKRVIFVTGTDEHGEKIATAAAAQGSSPSEHCDVISQAYKMLWKDLNIAYDKFIRTTEPKHEAIVKEFYSRVLANDDIYRDDYEGLYCVNCEEYKDEKELLENNCCPTHLKPCVHRREDNYFFALSKYQKSLEETLAKNPDFVQPSYRLNEVQSWIKSGLRDFSISRASVDWGIPVPNDNKQTIYVWFDALLGYLSALLEENEQPSLQSAVSSGWPVSLHLIGKDILRFHAVYWPAMLMSAGLTLPKMVFGHGFLTKDGMKMGKSLGNTIEPNELVHKFGPDAVRYFFLREVEFGKDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCQSTLVVDSTIAAEEQDFKDTVEKLVEQAHIHYKNLSLSSACEAVLEIGNAGNLYMDKHQPWSLFKQGGAATEAAAKDLVIILEAMRIIAIALSPVTPSLCRRIYAQLGYSDDQFNNLNWSETKWGGLKGGQVMAQPKPVFTRIEQIKETENGGEAAKKVVKKKEKKPQVQGVVEA >EOY19769 pep chromosome:Theobroma_cacao_20110822:10:22388834:22399449:1 gene:TCM_045109 transcript:EOY19769 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MDKPASYSLQLLMCGNVVNKAVKIWLADLQDLAYDVDDILDEFATEALGRKLMKAHQASTSKAQKFLTSLNPRSIMFNSKMMSKIKEITGRLEDLATRKINLQLEKYVGRPMTIPKSKPSTSLVNEATVQGRDKDKRAIIDLLLRKDGNDAGVSVIPITGMGGIGKTTLAQLVYNDNSIRDYFDLKAWVCVSNEFDVIKITKTILESVTSQSCDRNDLNSLQVELKENLSGKKFLLVLDDVWNENYDDWTKLRSPFDVGTSGSKIIITTRSSNVSSIMRTVADYSLQILSDNDSLCMLAHHALERVDFTGHLDLKEIGMEIVKKCGGLPLAIKTIGGLLRTKVNHDAWNYILKSDIWNLPEDKSDITPALWLSYYYLPSQLKQCFAYCSLVPKDYEFKVEEIVLLWMAEGFLNRANTKRKMEDLGGKYFEELVSRSFFQASSENESQFVMHDLINDLTHNNVLNDLLPALKCLRVLSLKRYYITEIPSSIGNLKHLRYLDFSYTNIKSLPDSICTLYNLETLLLWFCGRFEKLPLKIGILDNLCHLDMIGANSIKEMPSGIGKLTNLQVLSNFIVGQGDGLNIREMQNLLNLKGQLCISELHNVDEAQHVWEAKLSSKLDLENLELKWSRDFNENLRRKEVEKEVLNSLQPHKDIKELAIKYYAGITFPIWLGDVSFKNLQSLELEDCENCTLLPGVGKLPLLKHLYVKGMRSVISVGNEFHGVNGPNVFPSLETLHFEDMPEWKEWKLYEVDEQGKKFCCLQELFVENCSNLERTLPDQLHSLEKLVIRECEELVVLISNLPMLCEVEIDGCKEVVLGSYDDLWSAKKIRLSNISEFACVTKEMKMVQSMKVEDLQINNCEKLTSLGQTKCWWLEPLRSLRTLKFENCPQVVCIGGGAKEEEKEELLQLEIPCNIEYVRLAACQGFERLSKTFSNLTCLTKLSIVECPKLVSLSTDNLPPTLRTLEIWSCENLECLLDDKENINFSSTSLLQSLYILVCKALKSLSWSGKLPVQLKRLLISGCPELECLAREIGDNTCLESIELNDCKNIQYLPQGLDKLSRLQRISLRECPNLVRLPEALPNLHHLQQLSIRGCPRVQNSIGERGFPTNLTSLSIDDHNISKAVMKWGLHRLTSLTILHIDGSNCTDATSFPQEEIGMKLPPSLTYLSIRNFKNIRKLSSNGFQNLTSLQSLEIYHCPKLKSIPRKEMLPSLSQLEIWYCPVLKKRCKRDKGKQWSNIAHIPYVTIDARFIYG >EOY17725 pep chromosome:Theobroma_cacao_20110822:10:678330:679883:1 gene:TCM_042471 transcript:EOY17725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MDQDQDQSPLSHPPQETTAKTTTTTTTSPDQDTKSSESESVIISPNTNPPGSSCTTTTSRKCKGKGGPDNNKFRYRGVRQRSWGKWVAEIREPRKRTRKWLGTFATAEDAARAYDRAAIILYGSKAQLNLQPSVSSSSSSSASSSRGGSSSSSSTQTLRPLLPRPSGFAFSFASSSNPVTHPASLIAGGSSSKFMPYGVYPNLLGPAALYPNIVQNPQQALQIVQQTEPSLVVNPGDPTGTLTSYTNPNPQQTQQHHQGSLYEDINSLVGSVGSSLSLSAQTSVAPAVPDPGLTVGPGSPSVWPLTNDDDYPPACIWDYGDPNFFFDF >EOY19785 pep chromosome:Theobroma_cacao_20110822:10:22503981:22515955:1 gene:TCM_045123 transcript:EOY19785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MGLLLFFVILALPILILFFLRKHRHKPPGPLGLPFIGNLLQLTSSPAPHIYLWKLSQKYGPLMRLQLGLRPTLVFSSAKMAKAILQTHDLDFCSRPRFLGQHKLSYNALDLAFSPYNSYWREIRKICIVHLFNRNRVLLYHPIREDEISHMIEKISKSSDDLKPINLSQLLMYVTSTITCRIAFSKRYDDEGNERSRFCGLLNETQAMLGSFFVSDFLPFMSWVDRLSGLLDRLEKNFKDFDRFYQELINEHLDPNRSKPKQEDIIDVLLQIRKDRAFQVDLTFDHIKAVLMTKSITLCNFFPFMQNMFVGGTDTSAVMVIWAMTFLLKNPRSMKKVQEEVRNLIGNNGFVNADDIQGLPYLKAVIKETFRLQPAAPLLLSRETIRKCDIGGHEIPAKTLVIVNAWAIGRDPEARENPKEFYPARFIGSSIDYKGLDFELIPFGAGRRGCPGLHMGIATVELALANLLYKFDWEMPVGMNKDDLDFDVIPGVVMHKKNALCLVARKINI >EOY19220 pep chromosome:Theobroma_cacao_20110822:10:14347757:14360981:1 gene:TCM_044182 transcript:EOY19220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVGNVRVELSSASPDELSFPGSYPNGQRGNYPGVSFDRSGSFREGNESRMFSPGTSTSRGGSTSAADVPPLSLWLTLDPITMGDQKYTRSGELRKVLGISFGSAAEDNSFGAAHMKPPPVATEELKRFKSSISETFMRARTRAKKLDECLQKLNKYFETIGSKKQQRNEMLTNERSGSNLLKMGILMQRNPSDVVSQRLEDRTKNVVMNKRVRSSMAELRAEGRSNMPARQPLVMGKDKDMPKDNGESSDLVEEKIRRLPTGGEGWDKKMKRKRSIGTVFTRPMDSDGELKRAMHHKLNNEPGLQSSDTQGFRSGLSNGTNGINKFDGTSLAANSSVRGMSRNDVEKLSLSRDFVAGSTKERILAKGNNKLNIREDNHLVSNIPVTKGKASRGPRSGPVVAANSSPNFPRSSGALDGWEQSPSANKVHSVGGANNRKRPLPSGSSSPPMAQWGGQRPQKISRTRRTNLVSPVSNLDELQVSSEGCLPDLGSKVTSVGTTELILAKGMVNGAQQLKIKHENVSSSARLSESEESAAGENRESRLKDKAMGSNEVEERTMNAVQNIGSSVLLTKENKMPEEESGDGVRRQGRSGRGSSNSRTSFSPMMEKLENPTSTKPLKITRHGSDKSGSKSGRPPLKKLSDRKLTRLGLTPTGSPDLCGESDDDREELLAAANFSCNASYLKCSSSFWKQMEPIFVPISLEDSSHLKQELRSTEDHHNSLTQGDSLHEEDVLSQTSLSGETARSLQDQNYSKESARTVDFVDQVEEIVSFSERSNAGGKQISPLYQRVLSALIVEDKTAEFEENGRWSNAFFQHHREDLPGGTCLPTKVEAGKGLWVEAAHESMLSPQAQKHSIGDNFPCNGFTTFSSAASYHPQLQNDDLLPDGCGFSNSDRGMLSEVSKNGSGGPLSIHIISSGISSPDCQYGQMSLEDKLILELLNIGICVESVPDLADGEDEIIDQDIVELQKRLNQQADKKKKYFNKIINAVEEVKKNEGRNLEQLAMDRLVEIAYKKRLATRASCASKSGITKVSKQVALAFIKRTLARCQKFEETGKSCFTEPAYRDVIFSAPPRGIDSESVKGFGSVVAASMQPENNNSHMEPGGPDPLASRVERLHNDKIGGAPFDGFGTLTDPSHQEFAKTRPILNRWKKKDVLLNDVSGSASLRAASALDNTVLGGAKGKRSERERDKDIKVSSGKAGRASIGNLKGERKTKSKPKQKTAQLSTSGNGFSNKLTETTRPTGNKKRVGLMSHDNVPQDSFQEMKEQLDLQLPEFGSIEELGVANQDLDTWLNIEEDGLQDHDLMGLQIPMDDLSDIL >EOY19221 pep chromosome:Theobroma_cacao_20110822:10:14348731:14356828:1 gene:TCM_044182 transcript:EOY19221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVGNVRVELSSASPDELSFPGSYPNGQRGNYPGVSFDRSGSFREGNESRMFSPGTSTSRGGSTSAADVPPLSLWLTLDPITMGDQKYTRSGELRKVLGISFGSAAEDNSFGAAHMKPPPVATEELKRFKSSISETFMRARTRAKKLDECLQKLNKYFETIGSKKQQRNEMLTNERSGSNLLKMGILMQRNPSDVVSQRLEDRTKNVVMNKRVRSSMAELRAEGRSNMPARQPLVMGKDKDMPKDNGESSDLVEEKIRRLPTGGEGWDKKMKRKRSIGTVFTRPMDSDGELKRAMHHKLNNEPGLQSSDTQGFRSGLSNGTNGINKFDGTSLAANSSVRGMSRNDVEKLSLSRDFVAGSTKERILAKGNNKLNIREDNHLVSNIPVTKGKASRGPRSGPVVAANSSPNFPRSSGALDGWEQSPSANKVHSVGGANNRKRPLPSGSSSPPMAQWGGQRPQKISRTRRTNLVSPVSNLDELQVSSEGCLPDLGSKVTSVGTTELILAKGMVNGAQQLKIKHENVSSSARLSESEESAAGENRESRLKDKAMGSNEVEERTMNAVQNIGSSVLLTKENKMPEEESGDGVRRQGRSGRGSSNSRTSFSPMMEKLENPTSTKPLKITRHGSDKSGSKSGRPPLKKLSDRKLTRLGLTPTGSPDLCGESDDDREELLAAANFSCNASYLKCSSSFWKQMEPIFVPISLEDSSHLKQELRSTEDHHNSLTQGDSLHEEDVLSQTSLSGETARSLQDQNYSKESARTVDFVDQVEEIVSFSERSNAGGKQISPLYQRVLSALIVEDKTAEFEENGRWSNAFFQHHREDLPGGTCLPTKVEAGKGLWVEAAHESMLSPQAQKHSIGDNFPCNGFTTFSSAASYHPQLQNDDLLPDGCGFSNSDRGMLSEVSKNGSGGPLSIHIISSGISSPDCQYGQMSLEDKLILELLNIGICVESVPDLADGEDEIIDQDIVELQKRLNQQVIFPAFLKQNL >EOY18053 pep chromosome:Theobroma_cacao_20110822:10:1916398:1920576:1 gene:TCM_042712 transcript:EOY18053 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein MATRIDGDLSRNIARDPTRIPNHSLFLSILSPRSSPGMIRVSDFGLHEKGSDCCCNVLSTCLFFVNMQECSLNCFTFLSFVVRLVVHCLKVFNSLDTMDDVIDLTGDGGVVKKIITRAKAGALAPSEDLPMVDVHYEGTLAETGEVFDTTHEDNSVFSFELGKGTVIQAWDIALKTMKVGEVAKITCKPEYAYGSAGSPPDIPPNATLIFEVELLSCRPRKGSSLGSASEERARLEELKKQRELAAAVKEEEKKKREEAKAAAAARIQAKLEAKKGQGKGKGKAK >EOY19215 pep chromosome:Theobroma_cacao_20110822:10:14263506:14264274:1 gene:TCM_044174 transcript:EOY19215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVFVAQSITILSCKGHTSLAQQESIVIFFLFGKNKLSLDINSDSCVNPNVPVIPSDYKSSSLMIDTVHSPKPNVNFK >EOY19171 pep chromosome:Theobroma_cacao_20110822:10:11583028:11584964:-1 gene:TCM_043967 transcript:EOY19171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWMLPSFRFTYKKVLVQVGIEIFFLSRYILLTEWHANLGLTNQLRLALLQLSVFILVFLHFSCDEFDDKSVNVDEAEIEGVLAPFDDFIDLDVLFSNHIKSSTMNQIILTPQVIQDEAIPNTEVVPNIEVILTVEVIPDVGVDELFLMLSSIMSKQLQILRLFMMLELLLMMLELLRLILVILPP >EOY18416 pep chromosome:Theobroma_cacao_20110822:10:3430461:3431345:1 gene:TCM_043013 transcript:EOY18416 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-type peptidyl-prolyl cis-trans isomerase MSAALPPPASSPKLTTTSFPSPRSLHQAPSKLQFSNIPRATPDSDSSTEPELSPSSDSSTSPDSDPFESRLSQVRLRYRSGTGKKAERRKSKKTGSVSGSTSSSSSSIYLPPVPLKETISNGVKVDFGFSPYSERINGRIAILGLTALVLVELATGKSVISYHTPAIILIQIYFVAAVGALFVKYEKEKVSVWPPSQSSSPKN >EOY19820 pep chromosome:Theobroma_cacao_20110822:10:22802287:22803757:-1 gene:TCM_045170 transcript:EOY19820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMKEDRSRVKKKGDIDSKRARGSSMVEGRIRLWVINQQYVDGLWKIGVDKKGRKSARRNMREVLSDGLRSADEMDHESISHSNIAH >EOY18341 pep chromosome:Theobroma_cacao_20110822:10:3113370:3115403:1 gene:TCM_042946 transcript:EOY18341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MAESAIISIRPCTTSEEKVMDAARSGDIGTLYQLIGEDVNVFKRMNKRRFFDTPLHIASAAGKTEFAMELMYLNPSFATKLNKDGLSPLHLALQEGQTELALSLLTINKNLVSVKGKMGYTPIHYLAMKETDRNRLTKFLNACPECIHHVTSRDETALHVAASNNNIKALEVLLRWLRKTSNCSMLQKERVLNHPNRDGDTVLHVAVSNKPPDPEMVRLLTSFRIKMKATNSRGSTALDILKCCRTQEDNINIKKCVDILGHDQCLNACRKGLWYFLELLSQWGYEIKHMSNDRGNALLVVTVLILTATYQATLSPPGGVLSLDADTNNKNVSALQIYFDNKNTTRVETKANYTAGSSVLQTIPFLWFFIPNLVAFAISFLLTCFVLLTLVSGLFSFTLILSLSMLLFCLLVSAVMIISPNNQSSNILLFCVYIIVYVTYCAIAPVLIPKIRRMFR >EOY20190 pep chromosome:Theobroma_cacao_20110822:10:25192994:25197794:1 gene:TCM_045565 transcript:EOY20190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MAVATFRSGRLPLFHHPQLPNKAAAAAGCSRRSGVGLKKLNGRSKSRALLLFAQNSQPSQTQEQDRFSSRFQSSIENLPQLVEDIVRTSISTGPRGALRLAQGIQAFVGVGGEWLADVSRSTNTSTRIPSELQLGLLSPLYLRKLFERMGATYIKLGQFVASAPTLFPPEYVEEFQKCFDRAPAVPYEDIQRILLQELGRPIDSIYEYIDPTPIASASIAQVHGARLRGSQDDVVIKVLKPGIEDILVADLNFIYVVARILEFLNPELSRTSLVGIVKDIRESMLEEVDFNKEAANIESFRRYLEAMGLTRQATAPRVYNHCSTRRVLTMERLYGVPLTDLDTISSLVSSPENSLITALNVWFGSLLACESFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWAAMEVFLASIATEEYESMAAALIEMGATNKDVDAKAFARDLEKIFSSIQELDTEIVVATARGTNTNATAVSANVIVDERQMNALFLDVVRVSESYGLRFPREFALLMKQLLYFDRYTRLLAPNLNMLQDQRISIVSNRRSNYRDNFK >EOY19550 pep chromosome:Theobroma_cacao_20110822:10:18677499:18680533:-1 gene:TCM_044683 transcript:EOY19550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group, putative isoform 1 MICGKNGTALAEGSLVLAIVRHVESQRAFCVLDSGLTGIIMKDDFSDEDGDFALEDKLHEGDKVSCKVKQIDKSTFQAFLTCKESEVKRSRYEDILEVDPYYHESGNILLNQQEKACMDEKLDKKHFKPRTISHPFFRNMTLDQAMEFLSDKDAGESIFRPSSRGPSYLTLTLKVFDELYLSKDIVESGKDHKDMTSLLHLGKVLKIGNDKFRDLDEVRDRYVIPLVKHLKEMLGFQKFKRGAKSEVDEVLRAEKLEYPMRVVYCFGISYEHPGTFILSYIKSRNLHHESMYNWWFARPVEL >EOY19551 pep chromosome:Theobroma_cacao_20110822:10:18678961:18680539:-1 gene:TCM_044683 transcript:EOY19551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group, putative isoform 1 MICGKNGTALAEGSLVLAIVRHVESQRAFCVLDSGLTGIIMKDDFSDEDGDFALEDKLHEGDKVSCKVKQIDKSTFQAFLTCKESEVKRSRYEDILEVDPYYHESGNILLNQQEKACMDEKLDKKHFKPRTISHPFFRNMTLDQAMEFLSDKDAGESIFRPSSRGPSYLTLTLKVFDELYLSKDIVESGKDHKDMTSLLHLGKVLKIGNDKFRDLDEETNI >EOY20079 pep chromosome:Theobroma_cacao_20110822:10:24699701:24702208:1 gene:TCM_045477 transcript:EOY20079 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 2 MKTGWEIDDEDEEELEMKMCSFSKFISQVLFRRCPTDLVKLLDLSIIDITRVESSLRLDQTLNQRLSQVEIYAPRLTTFKYSGTPPIVCLTDHPDSVDDVYFDLKTPPRFKRSEKEYGLRLINILNEFRHAKSLTLSLSVEVLTKCCSLRDQDLRPFANLKIKVDKWLFMKPKSFERILDSLLSCSATMKLCMDSQMAGHESPVGSD >EOY20078 pep chromosome:Theobroma_cacao_20110822:10:24698465:24702388:1 gene:TCM_045477 transcript:EOY20078 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 2 MKTGWEIDDEDEEELEMKMCSFSKFISQVLFRRCPTDLVKLLDLSIIDITRVESSLRLDQTLNQRLSQVEIYAPRLTTFKYSGTPPIVCLTDHPDSVDDVYFDLKTPPRFKRSEKEYGLRLINILNEFRHAKSLTLSLSVEVLTKCCSLRDQDLRPFANLKIKVDKWLFMKPKSFERILDSLLSCSATMKLYDFSGMDSQMAGHESPVGSD >EOY18405 pep chromosome:Theobroma_cacao_20110822:10:3371411:3384871:1 gene:TCM_043002 transcript:EOY18405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIPKVFSQLKNFAYYLILIQMSKRYGSALYGNYPFLPSFNVSYWQFSIPFLQRVFLPPMEFIPWLIRWHAFGVAWWRNRVPIFCWYVTSVGEFGALFFNGGIFYGFCLVRFPLLFKHGPIVHLLARLVDGTLFLKVYDRSLLLSRLGISWRPLLSGQFKFNDDGFAKGKLGPSGCGGGILTMVLHDCGIFSPVSWYVPATRVVVLGLMMNDWDWAAAAWWTGLKKMGLLFLMLAGCRQGLIFWFYHELEVVRAELLALREAAFIFAASRWNLSHILIIECDASNVVQ >EOY19533 pep chromosome:Theobroma_cacao_20110822:10:18529163:18535557:-1 gene:TCM_044663 transcript:EOY19533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVYICISLVHNFHSRENKNLSDNQLEGSILDEITIFRNLISLDFSCNLLNRTLPSWLYTASSLKCMSLSNNELSSDIKEFQYKPLEKIFFRNNRLKGHLPSSISQLVNLTCVSLSSNNLSGIVEFDMFSKLKNLQYLDLSYNYLSLNTNGSVVPLVIDVGSQVEFNQVGSLVGFECKFGETCCTLVVAWSNNGVSNKVYAQILPAGLGTHTVEWAQIKAKLEKSGSKCNTGNQFGYKYTLEIDPKSGAPTMKGTFTTVI >EOY17847 pep chromosome:Theobroma_cacao_20110822:10:1131073:1140927:-1 gene:TCM_042558 transcript:EOY17847 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase, putative MDKSCLGNICILQINLLVGFVVILSLLLPGSLKFASALGNETDRIALLSIKDQLVGAYPGALDSWNASLHFCEWQGVTCGRRHQRVTALELSGLKLAGSLSPSIGNLTFLRRLNLSDNRLKGNIPKEVGYLRRLLFFELSSNNLHGKLPVELANCSNLLTIDLSSNNLTGEVLFQLGDLSKLIRLSLDINNLVGLFCQKPGHMHVTGFPQGVLEGRNGSLKFASALGNETDRIALLSIKDQLVGAYPGALDSWNASLHFCEWQGVTCGRHHQRVTALELPGLKLAGSLSPSIGNLTFLRRLNLSDNRLKGNIPKEVGYLRRLLFFELSSNNLHGKLPVELANCSNLLTIDLSSNNLTSEVLFQLGDLSKLIRLSLDINNLVGVIPSSLGNLSSLSRLSLAYNHLEGNIPDALGRALNLRILFLGVNSLTGTVPLSIHNLSSLEMIDLAMNNLTGSLAVVMGGMSFPNLRVFSIAGNQLIGTIPRSISNMSKLEIFSIVLNGISGSVPNDVGNLKNLQQFRIGGNYFGNGKAGDLDFLSSLSNCSRLKILALDENRLGGLLPESIGNLSIQLNKLSLAWNLISGNIPDGIGNLVNLILLDMRRNALTGTLPTSIGKLKNLETLLLGWNNFSGEIPSFIGNLSRLFELILHDNIFEGRIPLALRNCKRIQNLDLGANKLSGSIPEQLLGAFTGLIWVNMSYNSLTGLLPSDLGNLKNLIGLYVYENKLFGEIPKTLGECSGLRSLDMAGNFFQGGIPLSFGSLKSLEFLDLSRNNLSEYGMSGAVSPEGDIYSYGILLSEMITGRRPTDGIFHGGLSLHNFCKMALPERLKEILDFHLLNQISENNDRLRSQLNIEGEVLEGLVSFTKIGVACSAEAPGERMGIKDAITQLLAIKARLLRTGIHTRDRR >EOY20015 pep chromosome:Theobroma_cacao_20110822:10:24367262:24372669:-1 gene:TCM_045415 transcript:EOY20015 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MAALSTSIAVLLGKLRSVLESEFADKHKKYAAYLQTLYSTLMRISHLIRDAEEIQQDEGLRNVLQNLEDVVYDADDLADEVLYEVTRRKRESESQKLGLWARTFNPSFGERYGKGMQSKIKQILELIDFLVMEISNFNFPKQSVSHRLHTTPVVGATEVVGRDEDRSQIIDLLLSGDADLDGIAIIGMGGCGKTTLAQLVYDDVAVRRHFDLTAWISVSFDFDVMKITRMILEAISRHIPEGCDLNLLQSRLCESLAGKRFLLVLDDVWNEDIKKWDLLRTPLKYGGWGSKILITTRNAEVADVVGCSTRYQLRLLTDQDCWHVFYKSAFAKMSEIDAKDLEDIGKEIVKKCHGVPLAAKSIGSLLLLRRSRLEWYHVLKSISLQFRQDESFFPILRLSYDHLPAHLKPCFAYCSLFPSDYEFEKEKLILLWMAAGLLAPSALGGLSMEQVGADYFDGLLNRSFFTQVGGLYFKMHDLVHDLASFASEGVCSKLERNCPIPKGMRHLSILTGQYDTPGKLQGTDEANRLRSFFLINSPSDHGSSQLSTYAVEDILTRQQRLRVLSLSQFQEAQFPDSIGKLKHLRYLDLSESALQSLPESLCTLYFLETLILTNCVNLIMLPRNIVKLFNLRHLHIKGTGLQQMPEEMSRLKRLQTLTNFIVGNGLNIKELGALIDLHGTLSVSKLQNISSSSDASDAKLKAKKYLNELHLEWSGSESDPVKDTAVLENLEPPTGLKKLTIRFYGGTKFPSWLGDSSFSNIVFLCLRDCNNCSSLPPLGQLPSLEHLIIERIISVSSIGHEFYRVDESISKPFQSLKTLTFEGMLRWEQWVSLQGEEFPCLQKLNLTNCPNLKGGLPKSLPSLVELRISECQQLADSLPRVPYNCELELSNCDKVRLRSKGGNLSRNDGEEASPFPLSMIEISGHVSFGIPEHSVPSKKVDDSFGFPEYSIPRDEKVDDSFLFPEHSIPSQKPDEFFGSLQYSIPSDERLDDSFLFPEHFIPSKKLDDSFSSSSSVTFKVSSITKLTELPARLPSLKIERCDALESLPTGILDRPLLQRLYIIDCDSLKTFPQLHQPSSLKRLYIRNCRNLEFPQHNEIANQFILLEHLCLGSSCDSLGSFRLDSFPNLKTLSLWDCKKLEYLWMEKGSQNDLKSLEVLEIRDCPNLTTFPEEGLEAPNLTSLVLSNCNNLKSLPQWMQNLTSLQSLHINKCKELQPLPPWRLPSSLNILCISFCDKITPQTAWELHKLHSLCNFEIEGGCQDMLSFPEDGLLPTTLNSLRISSLLSLKSLDKNGLQQLTSLQSLEINGCNELRSFPEEGLPSSLCHLSITDCSSLNSKLEKRKGREWFKIAHIPSIHLGWQQVKQTFTLRESIAALLLNVKAHGGFERSSKSIQIVQGDCGEERKEMLGARIAEG >EOY17957 pep chromosome:Theobroma_cacao_20110822:10:1584430:1585118:-1 gene:TCM_042644 transcript:EOY17957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRVLLFQGAFLDLPLSRLLYSISVIIIIIRLNTFRGMDKVFNALVDSSVSNFSVPNFSNKLPVSFPFAWWNDRSFRKSPWGLLQLQNCRKCLLISSYTLCYLHHSEQFDVHNNAYILSKCLAYAIWS >EOY18698 pep chromosome:Theobroma_cacao_20110822:10:4569862:4571608:-1 gene:TCM_043199 transcript:EOY18698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMFHPPAPGVVFNPSDREIVSFFLPKLMTGEGIDELSYLIEFCDLYCIKPDALFDVNKGFLPFVKPNQRFVFTHRQKISQKNANGKRPRRVLDSRAVGDGGFWRSSTGEKPILDEQGEHVIGYVNTLNFYEYKGEKRNPKDATKTSWLMHEYRLPRENFQEWVICKIKDTACSEEDKCVAFWVNELFGNLFLGNKECPSSALMQEAVFSGNLPLLDDGQCRPWVDNYVDGRLQQSENLECQSSILVERSESRVNGFGEMDSNGLVGEGDLDGSVTG >EOY19944 pep chromosome:Theobroma_cacao_20110822:10:24007891:24013471:1 gene:TCM_045344 transcript:EOY19944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATEDRDIHQTLGQLNQTLSVIDQNLDQVSQDSSTEVLNGLAEAVAGLKANQEELKDVFYELLTPFFQPGEGNSHNRDLSQLQDEGLRVIETEGEDELVGLVDGLEGLKKRGDELNERVIELMRDYNVVPKCSGGIEESYKENKPMDLESLAFTKEDGQEESQGPKRNLESRDFSFYVVATHLYGFPWNVAMEIKRSLGHRTLVESERLFLEDEDHIVLDEDSDSDDDGKLQEKDAGELKWVRVKKLKQIFEELKKKIYIKEEIDLNVSPKASDSGDELDPVNVNLRIRFLKVIRNWIFHIESVFVDLMFEIYYMFPGNDAEENIFQDLKSKLEDMMEIYLGMVPISVYKIIYMMENTEGANLEALMKMMTTLLGSNEEILYEKMNLNDRMDQAHKIILRLSSMLVTADLSYTRFIKEGESATNEKLLSESEEELRDIQRNIDRVKSELKIK >EOY19374 pep chromosome:Theobroma_cacao_20110822:10:17122249:17125807:1 gene:TCM_044478 transcript:EOY19374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response factor 2 MKSYLFVSNYLKSQCSTKHNLLSLVMSESTKGMDCSDKDFFNLITYSCSINTFASPSSLAFMDLKYIKQSFRKKRSIREKRLTEANERGEKSFKKGKAYRHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFPTADMAARAHDVASMVIKGDSAYLNFPESAHELPRPASSSRRDIQEAANKAAYAMVDQHNAMIEVKTEPSQDEMPVPQSPSTTTMSCETQGSPSSQSVDSDSMWFDLPDLSLEANSSHRFGYTSWWQQAGIDTEFQFEETMGWDK >EOY17682 pep chromosome:Theobroma_cacao_20110822:10:563182:568374:1 gene:TCM_042445 transcript:EOY17682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 85 MPLKSVLLLVLRFLHPFVTRKTLKALRNCLNSILRNVKTLLKKMSVDQSEDQKLDPIPPHFDPSKPSIPISYPIKTLEDLDSGSYFTSFHYPFNKSSVPFPPNSGLAQRPRILVCHDMQGNYLDDKWVQGGDNSGAYAIWHWYLIDVFVYFSHYLVSLPPPCWTNTAHRHGVKVLGTFITELDEGKAICRKLLSTKESAQKYAERLAELAVALGFDGWLLNVEVELEVGQIPYLKEFISHLTQTMHSSLPGSLVIWYDSVTVDGDLTWQNQLNEKNKPFFDICDGIFMNYTWKEDYPKLSGTVAGDRKFDVYMGIDVFGRGTYGGGQWTTNAALDVIKKDDVSAAIFAPGWVYEKKQAPDFQTAQNRWWDLVEKSWGIVQHYPKDLPFYSNFDQGRGYHVSIKGEQVLSSQWNNISSQTFQPFLEYADDPTSNTIEVHVDFKEASFSGGGNLTFKGTLGAKASISTRLFVGELLMGDLPVHFTYSVKSEGNSQLGLCLEFSSEMKGKKKLLLASGGTNQFSSKFSEVIVPHQPRKPDMASGWVLQESSIAMNKYTLTEIHAVCYRKQPERSESRSNTQDPAEYFAVLGHIRISTSNQNTEFPPSTSWIVEGQDVEWGGSQGSKTLSLRISWKLKDGKNSPFPRYNIYVEKLTKQSVRTLGGKLGGVQEYVGVAQVEAFYVSDLVIPSGTSGLKFIIQVCSADGASQKLDEAPFFQLNVEGQ >EOY19925 pep chromosome:Theobroma_cacao_20110822:10:23878655:23886476:-1 gene:TCM_045328 transcript:EOY19925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 6, putative MGWFPWLCQLLCLLLFSLDFQANFSSSLSPSPTPPQCSDFESAALLQFKGTFSINNASAYLCNFVGTKSYPKTNSWMEGTDCCRWKGVVCDSASGHVIGIDLSCSWLYGAITSNSSLFLLRHLQRLNLAYNDFRGSNISPEFGGFASLTHLNLSSSGFSGKIPYSSISQLSTLVSIDLTVRNYGLLQVEEHTLRGLVQNLTKVRVLVLNGVNISAVDPGSLMNLSSSLISLSLNNCGLRGRFPQNIFHLPNLRMLSLSGNRDLSGYLPKTNWSGPLVSLSLWSTSFSGELPDSIGNLESLTYLDLAASTFSGSVPRSLGNLSQLIYLDLCANGFTGHISFSLAKLTQLDHLSLCSNQLVGLIPDQVSLFHKISFLDLAYNFLNGTLPTWLYSHSALEFLYLQGNNFTGQIKEFQQKSLVYIYLGNNKLQGPTPNSIVELVNLTKLSLESNNLSGIVELDMFSKLQNLQVLDLSSNNLSVKSNIDVNYTLPNLFMLFLSSCKLRDFPKFVIALTNLNRLDLSNNGIHGMIPKWLGNVGKNSLSYLNLSNNFLTYLEEIPWNQMQILDLHSNLLQGKLPFLPPTTTFFSISNNSLSGGISSQICNVSFLSILDLSRNNLGGTIPQCLVNFSAYLSVLNLKMNKFYGIIPFSFANDCGLKYINFNGNQLEGRLPPSMAGCRYMEVLDLGNNKINDTFPQWLETLPELQVLVLRSNQLHGFIHGCKSAHCFSKLQILDLSNNDFTGPLPSEYIANLKSMRNQRRNDGSLQYLRDIGSYGYTYDYSVVVAIKGFDRELVKISAIFISIDLSNNKFEGEISTDFGKLISIRGLNLSHNSLNGHIPQSIGNLTVLEWLDLSSNKLVGKIPMQMVDLTSLSFLNLSYNQLVGPIPQGNQFNTFENGSFEGNLDLCGFPLNKACSGNENQQSPSNDSDSEYGFGWKVVLLGYGCGFVFGVAVGYLVIVTRKPKWLGTLVDW >EOY18421 pep chromosome:Theobroma_cacao_20110822:10:3448201:3449836:-1 gene:TCM_043019 transcript:EOY18421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKEMSSSLQGATAKDGSPGDNLPRESSQSYSYPAQKKQQFSRGYPSFSQQSQPSVPVLPPRQDPPKIDFQPSSSQASDKTKQLQPLNGVPDHQRSNLQPQQQQPSVRGLPLPENPPKGNYHPPSFQTSDHVLEPSNGIPSQGYSTKDNHHLLSDQSSNQPPSKQNPGKNVHHPSNQTSHRYQPRQPPNEVPSGIHLSRDHLQSNQPQTKQPPNGVLSEEQHPSKDKHPPTDKKSSNVYSQQDLPSALPPPTKTNLPVPSTAQGIFPKDLPSALPPPTKTNLPVPSTAQGIFPKKQAPIFQADPPLQPQKIEYPIENYAEIDAHGKVTGNDAPVLAFPDPSCLPERYLSQLPLYVPPPPPPPPPPPPPPPPRTCCCSIL >EOY19349 pep chromosome:Theobroma_cacao_20110822:10:16598600:16604214:1 gene:TCM_044422 transcript:EOY19349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MNAPIIDPLQGDFPEVIEEYLEHGVMKCIAFNRRGTLLAAGCSDGSCVIWDFETRGIAKELRDRDCTAAITSVCWSKYGHRILVSAADKSLTLWDVVSGEKVTRIVLQQTPLQARLHPGSSIPSVCLACPLSSAPMIVDLSTGSTTALPVIVPDMGNGVTPPSRNKNSDTTPFTLTAACFNKNGDLVYVGNSKGEILIIDHNNVKVLAIIPISGGAVIKNIVFSRNGQYLLTNSNDRIIRIYENLLPLKDGLKALDDLNETIEEEDTVEKMKAVGSKCLVLFREFQDLITKMHWKAPCFSGDGEWVIAASASKGEHKIYIWDRVGHLVKILEGPKEAVFDLAWHPVHPIIVSVSLTGLVYIWAKDYTENWSAFAPDFKELEENEEYVEQEDEFDLVPETEKVKESDVNEDDEVDIVTVDKDPFSDSDMSQEELCFLPAIPCPDVPEQQDKCVGSSSKLIDSNHSGSPLSEENGQNGQAANNASSPLEEDTGGTRLKRKRKPSEKGLELQAEKVRKPLKPLKSSGRLSKTKNKSVVDQDYGNGVYADDGSDDY >EOY19759 pep chromosome:Theobroma_cacao_20110822:10:22197369:22200794:1 gene:TCM_045079 transcript:EOY19759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILPVNLWTVDICGLYERKLNKLNPATQNITYDICVLYNFIDGLANIIALVQKGHFKWMPFHHVIKDCDSRRTFTRPWSH >EOY18576 pep chromosome:Theobroma_cacao_20110822:10:3869054:3874504:1 gene:TCM_043095 transcript:EOY18576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group isoform 1 MEPVMASIPDIGNAGMGISKDNSAEVEQFRCCVDEIFQKVDELEQKVNEVEQFYLNTNKKQQSSSRGSSFGKERDKERHVPSIKKQQQDASRREAAAAKRMQELMRQFGTILRQITQHKWAWPFMQPVDVKGLGLHDYYEVIEKPMDFSTIKNQMEAKDGTGYKNVREICADVRLVFNNAMKYNDEGSDVHLMAKTLLEKFEEKWNQLLPKVTEEEKRREEEEAEAQIDMQLVREAAHAKLVREICNELYEVDTHLEQLRETVVQKCRKMSTEEKRNLGTAIARLSTEDLGKALEIIAQNNPGFQAMAEEVEIDIDAQSESTLWRLKFFVKDALEGQGKSAASAGGNNNNNKRKKEICDAIAKTAKKKSKKPSS >EOY18575 pep chromosome:Theobroma_cacao_20110822:10:3869054:3874704:1 gene:TCM_043095 transcript:EOY18575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group isoform 1 MEPVMASIPDIGNAGMGISKDNSAEVEQFRCCVDEIFQKVDELEQKVNEVEQFYLNTNKKQQSSSRGSSFGKERDKERHVPSIKKQQQDASRREAAAAKRMQELMRQFGTILRQITQHKWAWPFMQPVDVKGLGLHDYYEVIEKPMDFSTIKNQMEAKDGTGYKNVREICADVRLVFNNAMKYNDEGSDVHLMAKTLLEKFEEKWNQLLPKVTEEEKRREEEEAEAQIDMQLVREAAHAKLVREICNELYEVDTHLEQLRETVVQKCRKMSTEEKRNLGTAIARLSTEDLGKALEIIAQNNPGFQAMAEEVEIDIDAQSESTLWRLKFFVKDALEGQGKSAASAGGNNNNNKRKKEICDAIAKTAKKKSKKPSS >EOY19455 pep chromosome:Theobroma_cacao_20110822:10:17829493:17832062:1 gene:TCM_044576 transcript:EOY19455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRFVPHKIYNISDVVEIFVYFLYDNFIHNLICLQLIILIINSHGNYQLCTTYFRYCIQLIIKDNTAQMSLVAFGWPVENWGIKTGFANYKIFDSADLKDQEWNTTIVATTSKSSTPSPLTLGSSAFKATTEKPEEQLSPTPIKMEMS >EOY19187 pep chromosome:Theobroma_cacao_20110822:10:13104876:13106223:1 gene:TCM_044063 transcript:EOY19187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLWIKVNVDYEKELVVTTPLREVFVTRYECRACVVQVKVNDTQANLILKDMLEFDIIHGMDWQSPNYASVD >EOY17927 pep chromosome:Theobroma_cacao_20110822:10:1462382:1467986:1 gene:TCM_042621 transcript:EOY17927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPP8 isoform 2 MVDLFFFFFSKRSGSIHTAIAYIRKLVETKVQGKELLLMLICLSYFLLQNSLTCPINLSTLWHFICLAFERRMAEAIVSVATERIADLLIHEALFLNDVGQEVESLKAELERMKSFLKDVDRKQEQDERLRNRVREIRDLAYDAEDVIDSYILKVAHRRGFHGFIKRFTTVFITHKMGKQVKDIQTKLGDISKTLPTYGISGEGEGSNSAAEMQHRLRRSYPHVEEDDVVSLEVSTRDVMDQLMKKEDRLHVVSIVGMGGIGKTTLAKKVYNHNDVKKYFDCCAWVFISQQCKPKEVLHGVLIKVLTPSIKDRELIDKLKEDELVEKLYDVLKEKRYLVVFDDIWKCEDWESLKPAFPKGNEGSKLLFTTRNKEVAMIADPRSSPIELPFLTGDESWTLFKRKALPENKMESHACSKEFAMLGKEMLKKCGGLPLAIVVLGGLLATKKSWTEWEMVQKNINAYLNKVQQQEYGGVNGILALSYNELPFHLKPCFLYLGHYPEDSEISKTELIRLWIAEGFISPSLEGREMLMEDVAEQYLVELINRCLVQVSRWDHTGTNVKTCRIHDLLRDLCVSKAGKENFFGIIQPPMNGNENHSLDLTVATVPKVRRIAVYPSKRYVFLKGKCLSLRSLLLFQDEGLIRLQISKCINFRFLRVLKLLRKDDFWTLSSEIGNLFHLRYLGLTCYEVVLPHSFGKLKNLHTLFIQVDEPAKIPNVLSKLQRLRHLVLTGNWKNLQNNWPEIQRCCQVNSLKNIETLKYVRIENLTENNALLKLTNIRSLGIQFGRSEDVEAILKSPSFGLHRLRSLRMELEGSIPFPELEQLSQCHHLSKLLLDGQIQEDTNSSHHVLEFLPTNICKLTLWSSCINEDPMPVLEKLPHLRILIFQSSSYTGTKMSCSVNGFPQLDSLEIYGSNLAEWQIEEGAMPCLRSLYLATVPGLKMVPEGLRYITTLQELYLQDMNRSLGERIRVRDGREGEDFYKVRHVLSIQIIS >EOY17928 pep chromosome:Theobroma_cacao_20110822:10:1462382:1467986:1 gene:TCM_042621 transcript:EOY17928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPP8 isoform 2 MVDLFFFFFSKRSGSIHTAIAYIRKLVETKVQGKELLLMLICLSYFLLQNSLTCPINLSTLWHFICLAFERRMAEAIVSVATERIADLLIHEALFLNDVGQEVESLKAELERMKSFLKDVDRKQEQDERLRNRVREIRDLAYDAEDVIDSYILKVAHRRGFHGFIKRFTTVFITHKMGKQVKDIQTKLGDISKTLPTYGISGEGEGSNSAAEMQHRLRRSYPHVEEDDVVSLEVSTRDVMDQLMKKEDRLHVVSIVGMGGIGKTTLAKKVYNHNDVKKYFDCCAWVFISQQCKPKEVLHGVLIKVLTPSIKDRELIDKLKEDELVEKLYDVLKEKRYLVVFDDIWKCEDWESLKPAFPKGNEGSKLLFTTRNKEVAMIADPRSSPIELPFLTGDESWTLFKRKALPENKMESHACSKEFAMLGKEMLKKCGGLPLAIVVLGGLLATKKSWTEWEMVQKNINAYLNKVQQQEYGGVNGILALSYNELPFHLKPCFLYLGHYPEDSEISKTELIRLWIAEGFISPSLEGREMLMEDVAEQYLVELINRCLVQVSRWDHTGTNVKTCRIHDLLRDLCVSKAGKENFFGIIQPPMNGNENHSLDLTVATVPKVRRIAVYPSKRYLGLTCYEVVLPHSFGKLKNLHTLFIQVDEPAKIPNVLSKLQRLRHLVLTGNWKNLQNNWPEIQRCCQVNSLKNIETLKYVRIENLTENNALLKLTNIRSLGIQFGRSEDVEAILKSPSFGLHRLRSLRMELEGSIPFPELEQLSQCHHLSKLLLDGQIQEDTNSSHHVLEFLPTNICKLTLWSSCINEDPMPVLEKLPHLRILIFQSSSYTGTKMSCSVNGFPQLDSLEIYGSNLAEWQIEEGAMPCLRSLYLATVPGLKMVPEGLRYITTLQELYLQDMNRSLGERIRVRDGREGEDFYKVRHVLSIQIIS >EOY19742 pep chromosome:Theobroma_cacao_20110822:10:22005078:22007092:-1 gene:TCM_045048 transcript:EOY19742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factors MDKETNQENPSLLSNNNANSITKEDCSPKKHPGSTAVIGGGSGGGGGGSNDRLKRDEWSEGAVSSLLEAYENKWVLRNRAKLKGHDWEDVARYVSARANCTKSPKTQTQCKNKIESMKKRYRSESATADGSSWPLYPRLDLLLRGSAPPPPQPPLQLQPPSAVPQAPAPLSTNPPLTLSEPSMVVVLQHQQPPPLPPPSIPPQVPGTAQNSHGSNGVDRIPKEDGAGTKLSDHLSDKVAMETDSSTPALYSDKEKLRSKKLKMKMEKKKRRKKEEWEIAESIRWLAEVVLKSEQARMETMREIEKMRVEAEAKRGEMDLKRTEILANTQLEIARLFAGSSKGVDSSLRIGRS >EOY18313 pep chromosome:Theobroma_cacao_20110822:10:2913353:2914135:1 gene:TCM_042907 transcript:EOY18313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat protein MDERYERLRRASQAGNIDALYALIREDAYLLEGIDQIPFFDTPLHIAAAAGHTDFIMEIMNLKLSLALKLNNDGFSPIHLVLQNGQEETVLDLLGMKKDLVLNLKKILKGLK >EOY20082 pep chromosome:Theobroma_cacao_20110822:10:24734127:24735476:-1 gene:TCM_045481 transcript:EOY20082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGELLDIVFNSIAKQSVYEKTVRVIDMGLDTNLDKVSGATPERTWLMKLLKEHIFISTSSLSSSSVSCWKGKVSKLKSRFGRDCQRGFHLLDKTQV >EOY19677 pep chromosome:Theobroma_cacao_20110822:10:19820558:19841081:-1 gene:TCM_044853 transcript:EOY19677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein, putative MLVPLQSSNVFTSLAAAAPAKEAETLLKWKASLDNRSQTFLSSWLGDSHCNWVGITCDKAGSTTNLSLPNYGFRGTLHLLNSFSFPNLIGLHLPNNALYGPIPSHIGNLSKLIFLDLSFNNFTGNIPPEICLLKSLQWISLAGNKISGSIPQKIQRLSSVTNILFHENYLNGSIPASVGSMHTLMRLDLGSNRLTGPIPGEVGMLRSLLYLDFSRNYLIGPIPESIGNLSKLVWLYLYSNKLSGSLPGEVGRLRSLSVMQLSTNNLIGVIPTSIGNLTNLSSLTLDGNMISGQIPASIGNLASLSILYIQQNMFSGSIPQEVGLLKSLTELSVALNMISGQIPASIGNLTKLTILSFTSNNLSGSIPPTFSNFIVLENLQLSDNHLSGQLPENVCHGGRLTYLAVMNNNLTGQIPSSLRNCKSLYRVRLEGNHLTGNLSEAFGVYPNLNFIALSNNKFYGELSPKWGQCHNLTSLQISNNNISGKIPPELEHATQLQELDLSSNHLIGEIPKELGSLSLMFRLLLSGNQLSGKIPSEIGVLSNLAHLNLASNNLSGPIPNQLGECLKLLILNLSRNKLGEIIPFSLSYIYGLQSLDLSQNLLVGAIPQQLGKLQTLEILDLSHNMLNGSIPIAFNGLLSLTIVNLSYNQLEGPIPNLKAFHEASFDALRNNKGLCGNATGLMPCAPITSNKISHKKSSRVIILVVLPLLGILLLTFTLAGGFLILRRKIQTRKSESREAQLGDIFTVLGYDGRILYENILEATEDFSSNHCIGSGGYGNVYKAVLPTGQVVAVKKLHQHEDSMLINNLKAFESEIRALTETRHRNIVKLHGFCSHSKHSFLVYEFVERGSLRMILSNNQEAEVLDWNKRLNVVKGLANALSYMHHDHSPPIIHRDISSNNVLLDLEYEAHVSDFGTARLLKPDSSNWTSFAGTIGYTAPELAYTMKVDEKCDVYSFGMLTMEILMGRHPGDLISCLSSSPLAPEANDQQILLKDVIDQRLSPPVKQVAEDVVFATKLAFACLNGNPKFRPTMGQVAQAFTCPSPQLPKPFSTTKLGELFELAYTFSMTEKCDVCSFCMLTLEIANCDHVAC >EOY17780 pep chromosome:Theobroma_cacao_20110822:10:848581:852199:-1 gene:TCM_042499 transcript:EOY17780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREVMVLFAASSWAKSGGINIESDSKNAVSWISKPINAPWRLGQLIHQITALKGKVLDRQIHYIPRSGDEVADNLAKTGIERPNDLIRIHP >EOY17721 pep chromosome:Theobroma_cacao_20110822:10:661737:664091:-1 gene:TCM_042467 transcript:EOY17721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factors MNLRGLPHITHSSGGSGGGREDCWSEGATGTLIEAWGDRYLRLNRGNLRQKDWQEVADAVNSRQNGAKPRKTDVQCKNRIDTLKKKYKLERAKPPPSKWPFFKRIDSLIGANASVNKKHSAVTFTIKPKATSFLKGPRSTESSFGDEDGDEDEVRKEHRVEDVGLSDGAACRELARAILKFGEIYERIESSKQQQMMELEKQRMEFTKDLEFQRMNMLVDAQLEMEKSKRQKHLTRSGKKH >EOY19307 pep chromosome:Theobroma_cacao_20110822:10:16300354:16310713:1 gene:TCM_044373 transcript:EOY19307 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-nucleotidase / magnesium ion binding protein isoform 1 MLSSQSLKSQMSYRLRSSSSSSSIIDIECSALSKLGFFRNSRQLLSPFLFLPSFFSPLILFFLFAIVFRRVWCCSQNTKTKMDKEEDHHLAKFTVINDPLSLNHKIAAIRNAGPSKLQVIADFDATLTRYWINGQRGQSSHGLLQQGNPEFDAKRQALLQYYHPLEFSPTIPLEEKTKLMEEWWGKTHGLLIEGGLTYDAIKNSVANANIAFRDGVVELFEFLEERDVPVLIFSAGLADIIEEVLRQKVHRSFMNIKIVSNRMLFDDSGHLVSFKGKLIHSLNKNEHALDMAAPLHDQLGDNDGPVTDNASVKQRTNVLLLGDHIGDLGMSDGLNYENRISVGFLNDNIEKNLESYRNSFDIVYLNDTPMWGVVKLATELCSVEC >EOY19308 pep chromosome:Theobroma_cacao_20110822:10:16300606:16308226:1 gene:TCM_044373 transcript:EOY19308 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-nucleotidase / magnesium ion binding protein isoform 1 MSYRLRSSSSSSSIIDIECSALSKLGFFRNSRVWCCSQNTKTKMDKEEDHHLAKFTVINDPLSLNHKIAAIRNAGPSKLQVIADFDATLTRYWINGQRGQSSHGLLQQGNPEFDAKRQALLQYYHPLEFSPTIPLEEKTKLMEEWWGKTHGLLIEGGLTYDAIKNSVANANIAFRDGVVELFEFLEERDVPVLIFSAGLADIIEEVLRQKVHRSFMNIKIVSNRMLFDDSGHLVSFKGKLIHSLNKNEHALDMAAPLHDQLGDNDGPVTDNASVKQRTNVLLLGDHIGDLGMSDGLNYENRISVGFLNDNIEKNLESYRNSFDIVYLNDTPMWGVVKLATELCSVEC >EOY18173 pep chromosome:Theobroma_cacao_20110822:10:2258615:2262949:-1 gene:TCM_042786 transcript:EOY18173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGSNKATVLSLAQKCKNILASNWQGHLNTIKSDAKGSKQDIYTSKVKYILKRGKPYIWVPENELHNVNTIIDERGSFSVASPFPGPLARLLKSMNKFPARVALTGDVVPLKDKKAQSAAETLKEVMLSEEKAVKEFSYTVSGVLSSSNQLSTSRSENLKELLDGGEKYVIYKFNLSSCMFVDGNGGTHEVDFEDIEKCKADLLAPYSAKLIDGINQSEARRRALILFCFIYLNVNARDAYMLSLDRRGFDVLGKVRSKAMKDEVGEYQWKQFRITFKEEARDVESFCHQLVQMEEEAVKKVSSYSGLG >EOY19735 pep chromosome:Theobroma_cacao_20110822:10:21951636:21972171:1 gene:TCM_045041 transcript:EOY19735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 15, putative MMMEPKWLWMLLIVLLLEGWLCSDACWEHERIALLQLKPFFNRYDHLNSWLEAKGSDCCQWEMVECNSSTRRELKSLYLPGNHIAGCIENEGFAKLSSRLGNLEILDLSWNYLNDSILLSLSELSSLKYLNLADNVLTGTSHVNGSGFESFSRLSNLETLDLSWNSLKNSILLHMGNISSLKVLYLRGSNLGGTVRIHDLSSNLFRNNTFAFLRGPSSLKSLDMSHNQLQGSIDIEGFESFSRLSNLETLDLSWNSLKNSPLLHMELNNLTNLKNLDLSDNRIESLRPLYQGNETELRLTSLDVLDLSWNLLRNNTFAFLRGLSRRYWPLKQAWGSHSGPVFFGLISLKTLELNNLINLKKLDLRWNKIESLRSFQGSGRQLELTHLEELDLSENLFNNSIFASLRGLSNLKSLYISSNQLKGSIDMEDLSAFTNLEELDMSNNELNKFVGHKVNKSMAFSSELHMSSNVEEIFLDYSDLNSNIVQSIGVLNSLKTLSLSDCGLIGTLPDRGWCDLKNLEELYISRNALQGNLPSCLGNLTSLRVLDISDNQFTGNLSPLTNFTSLRVLFLSTNHFQVPASFISLANLTDLKILLSDGNKLVMDPVFQTSVPKFQLNMMTLSKCSTDQELIKELPKFLYYQYDLRYVDLSYNKFSGMLPFWLLENNTKLEGLILADNFFTGPLLFPPFPHLEASSIDISNNKIQAQISVDICSAFPHLEKLILSANTFEDNIPPCLGGMSQLTILDLSNNQLSGGVPKELSMSSSLRVLRLSNNNLSGNVVPIILKSKFLLELYLDGNNFAGQRLDIDILTVGFPYFLRGIDLSNNSLSGELPRWIWNLSNLERLDLSNNHFEGSIPMELCNLHNLEFLDLSQNNLSGSIPSCFNPPSIKHVHLSKNRLSGPLTLALYNSSSLVTLDLRANKLTGNIPEWIGTLSALNVLLLKANQLDGKIPVQLCKSYFLSIIDLSQNMLSGPIPSCLGNFTLGLMYRKSSLDIGYFPFSELEVLKYIRMEVEISFFSVLHRYPDSYMEEWVEFTTKSGSHKYAGDILDYMFGIDLSCNNLTGQIPIELGNFSEIRSLNFSHNNLIGVIPQSFSNLKQIESLDLSYNSLSGRIPMQLIELNSLEVFSVAHNNLSGSTLERKAQFGTFDESSYEGNPFLCGPPLHNNCSETDSPSTVSTASDDEEGSLLDTYVFCVSFLVSYVVVLLGIFAVLYINSCWRKAWFVFIEDCITYCRFSTVGNFLELQIFRRIA >EOY17999 pep chromosome:Theobroma_cacao_20110822:10:1724761:1726514:1 gene:TCM_042676 transcript:EOY17999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative MSKALSKESSNEAASPIQPLDTASSHDTTNLTGQCLLAEISKHDGAENREELLSSLLSSSDSLAAVESGILLAMHGGTLQPHKAPQPDPRQGSNFTPQLCQRTLEETLDSFQKSIHGDMRNLHIEILRQFHMQEMEMSRVMSSILENQAELMKEVQSLRKENQQIRQLL >EOY18310 pep chromosome:Theobroma_cacao_20110822:10:2890582:2892613:1 gene:TCM_042904 transcript:EOY18310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MDWWMSEAAQTGNINVLYELIQEDPYVLERIDQVPFLDTPLHIAACAGHVDFVMEMMNLKPSFARKLNQAGFSPMHLALQDEKIQAVLRLLKFDKGLVRVKGREGMTPLHHVVGTGNLDLSIRFLEFCPEAIEDVTVRDETAFHLTVKNDMFEAFEVLIGWLRRSRHEAAQRWENELLSWADIEGNTILHIAAIRNSPQVVEVLLENLSQDHINSKNLEGLTALDILLEHQRNERQVDNREIMDMLSTAGGLRGSSLPNNPHSSINVNSLRSKMSYFQKFATIAARGKKGISYEMRNAFLVVTVLIITATYDASLNPPKKGDDVSFKNYQVSSSYTFYQGANPPTGGGNSLQDLTDLVDASSMFWLYNTLTFWVALGLTAYLLPSRTVCLFLLITLSLFGSCYMLLVAVVSWKLQFLIPLTPSAFSYHALSVVNYCLSTLIAVLVAFRIGRYVFYRFVPRRKIFCLVQFVSFLLIVICILTPAVLNVETIFFL >EOY19555 pep chromosome:Theobroma_cacao_20110822:10:18697213:18708754:-1 gene:TCM_044690 transcript:EOY19555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDHYDLQAQRREMKHKGRNVVWSIAMDKCLIEALAIQAKNGNKIDKCFNENAYTAACIAVNSRFNLNLNNQKVVNRLKTIKKRYKVMRDMLSQDGFRWNPNSKMIECDSEDLWKRYIAAHSDARGFRGKQIEMYDELKIVCGNYQAPSRWAKMKDGSHPTGYKNFEEDSASFLSPSSDDLSDTDGTESYTGQPEYLQDGSQDPPVMEPLRQLPKRPRGSDSLQEAMLAVASSIRRLADAMERSKTPINPSELLEAVMEVDGLEEAKQMYAFEYLNADPIKARAFMTYNVRMRKTYLFRQFWWWK >EOY18960 pep chromosome:Theobroma_cacao_20110822:10:6739319:6742472:-1 gene:TCM_043490 transcript:EOY18960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MIISHNFFKRKQLNYRTARSRGQKIKIYQATSEKEQNLSMCAESLSVNPMAMERLRRAAEAGDIDELYNSIGEDADVLRLYDDAEFADTPLHVAAERGHADFAIAIMYLKPSFARKLNQGVFSPIHLALQQGHTSTVLRLLDVDKDLVRVKGKQGYTAFHYVVENENLELLAQFLKDCPACIEDVTIQKKTALHIAAENHRFEALEILVRWLERTHLYGKVSRKHLLNAKDTDGNTVLHIAASHIQPEMIRLLLDCKVDTKAVNSENLTALNVLKRQRDGVIEDKEICLKILRDTDGSAGLSALFNLKAKAEPVHEKFRSRIIFPEKVAIRALGPIMNMSVESMNALLVALALIMTAIYESLLSPPGGVWQGNDPNDTRVGNSVMDVNTFSNFFWSLFVLAIFTLSLTIAILQLATGSSAIAILAEVLAVLVTRSFYYAWWTIRPAHDIYVYSKDPDEAVLAIVLITLASMEASRWFFIISKRLYLNHRM >EOY18372 pep chromosome:Theobroma_cacao_20110822:10:3232267:3233681:1 gene:TCM_042974 transcript:EOY18372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein METYKLISLLLTFTIAFSTSARMLDEQPSNLSNAVVTPVTNVPPLPISPGTQSNPNVAAATGPNVAVNPNPYPDHTLTFFMHDILGGSNPTALAVTGVVSNPALNGQLPFAKPNGANLPVNNGINQNSGNTGLINNNNVPLLTGLGGNTQAVLQNNGNNLVNGALNFPVTAGGQLPSGSTLQKLMFGTMTVIDDELTVGHELGSGFLGKAQGFYVASSIDGTSQTMAFTAMFESGHYADTLSFFGVHRTGVSESHLAIMGGTGKYVNAKGFAIVKTIPGANQQETDGFETVLEFTVYVTY >EOY19366 pep chromosome:Theobroma_cacao_20110822:10:16879432:16916332:-1 gene:TCM_044456 transcript:EOY19366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVSGRGNSSGIRAFDLKIIMPPQREHPPLTRSARRGKGRSQHRQLDPIEGESAVSTIRAAPAAEQIETHPHTLSPLPPTIPPVALLVPPSPPPVPPPVLDVSIPKKLKEARKHGCVSFMGELDATVAKEVVQMALRAKKLANENRRMQAELAKRRNLRVLRCAGDTYTTSRRDGSPDASHSISEGSLDSTAKSRWQPEPSCPKSADSNNINVSIRGCGRNVRGQLEKNKEKVTVASKPLRKVSGVRHFPSGCGRNAAPVSDEEYRRIQQAWIEEQRRKSQEKEDPLMCPDQDNEEPKDV >EOY18062 pep chromosome:Theobroma_cacao_20110822:10:1947231:1949571:-1 gene:TCM_042718 transcript:EOY18062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGIAHFRLQFRVFFRDPLFRFEIVFAAQFANIASAFFSESDPFIWLRHVNSQVRGLRELEGKCNHKAHGFCATPKTQPAHLKKQALVVPSGTSDKIGTIQRRLAWPLRKDDTHKSRNGGQFCVNHAKNFAFSGYERAWLILNARCVLLQTDAVAAIYKLPLFGCLIFLGRI >EOY18061 pep chromosome:Theobroma_cacao_20110822:10:1946658:1949571:-1 gene:TCM_042718 transcript:EOY18061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGIAHFRLQFRVFFRDPLFRFEIVFAAQFANIASAFFSESDPFIWLRHVNSQVRGLRELEGKCNHKAHGFCATPKTQPAHLKKQALVVPSGTSDKIGTIQRRLAWPLRKDDTHKSRNGGQFCVNHAKNFAFSGYERAWLILNARCVLLQTDAVAAIYKLPLFGCLIFLGRFKEMKYYGNREVPPN >EOY18374 pep chromosome:Theobroma_cacao_20110822:10:3237046:3238202:-1 gene:TCM_042976 transcript:EOY18374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein, putative MGYYQTPSMILLVFFLLTMVNRSTSARTLGKPTSSHHHHRKHHRISFLMQDVLNVTQPATAKVTSQLPFSKPLGFFPPNAGIPIPETNPPVPGTGLSTQTLDISDIGLYFPARATLQELEFGAVMTIDENLLDGTVNGSPVGKAQGVYVASSEGGPSHMMALTTFFANSKFKDGLRFFGLHRRDVAESHIAVIGGIGKYVGANGYATVKAVDLRSNAAAEKQGVNKLLSFNVYLI >EOY20261 pep chromosome:Theobroma_cacao_20110822:10:25399984:25406115:1 gene:TCM_045617 transcript:EOY20261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, putative MDKYTLQIIHTTDKPRVPHGQQPTVNVYASVIDAKHANTLVRRLNQIAPLENLRHVKRIQRMHLQVQGGSPELSVILCLACENETQSNSMPLEVQEIVNSYNLCPFIIKWVIQYKGVLKCVDNNEQ >EOY18932 pep chromosome:Theobroma_cacao_20110822:10:6362735:6365824:-1 gene:TCM_043450 transcript:EOY18932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSANIIETAMAIGIQWSEAPRLLLHGNGSTGDYYAYLLEILIVIDLASIHWCLLSIGIGGDQQGIPKPIPRRVTHLNNTVEYHLAHIDWHARSGCGVGGKDKKVSEGERDKKKP >EOY19235 pep chromosome:Theobroma_cacao_20110822:10:14717703:14719656:1 gene:TCM_044217 transcript:EOY19235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCGVGVHTAVMVVCGVEVHMTVMVMCGVGVHMNITTMCSVGVHMTFVEVGGYMHRTMLTILEITIRKDMREINEAIGGTLHG >EOY19680 pep chromosome:Theobroma_cacao_20110822:10:19911590:19912365:1 gene:TCM_044857 transcript:EOY19680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, putative MAQQKTIVAEGQSTNRPPLFDGSNYPYWSTRMSIYIRAIDYEMWDVITDGPFMPSTVNVVTNELMPKPRSKWTKAETKKIQINFKAINTLHCALTPTEFNKVSSCTTVKQVWEKLRIIHEGTSQVNESKIALLTHSYEMFKMEHGEDITSMFDRFTNITNKLS >EOY17671 pep chromosome:Theobroma_cacao_20110822:10:522733:532145:1 gene:TCM_042436 transcript:EOY17671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MEPLQHFSHGHPLIFNEEQSHESEEQAYCSGCGKLVSGPSFSCVECGFYLDKKCAEAPSQLNHPFHRNHSLNLLASSPYDASLSIICDFCDEICDRFVYHCSCELDLHIKCALFSYNIAEKRIEEIQQISTIDPLISKENGDEELEGAECFACWKPLLDSVYLSPDCGFYLHAKCLDPCAVNHLPLHEHPLILQFNSERLSCKICQETQRRGFVYCCSICKFALHIECGSPPPIIEDKINHLPLHEHPLILQFNSELLFCKICQETQLRGFVYCCSICKFALHIECGSPPPIIEDKSHQHPFILFWRRVPFICDACGTEGNYVSYICSTCGIIVHRKCISLPRTLKHPWHFEHPISHTYFLGQDKFKSWECGICHLKDVNSKHGSYSCSICDYIVHVNCAIEQYNWYDFDESGIIDESLEENSALQPYSIIKETKDGENVIVTEIKHFGHEHNLILSNDVKDDKCCDGCVLSIFTSYYYCSQCNFFFHKSCAESPRKKRLWRHRHMKPHQLITSDGIRICDYCRNKTSGFAYTCVECNECCCLRCALISFSLKHQGHEHPLSIQKYQGLCNACGRSFKFAYTYRCKSCNFSLHLKCMDLSHKARHKSDVHHFILTYRDDNDYSESHYCDICEEERNPNHWFYYCAICDTSAHPRCIIGEYPFIKLGSIYTKEDHPHPLTFVQMVYYYPECHACGELCLDLALECKTIGCNYIVHWRCEEFSLCSSDETDETNE >EOY18745 pep chromosome:Theobroma_cacao_20110822:10:4777566:4780148:1 gene:TCM_043251 transcript:EOY18745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRLFDTLKFNVDGTSKRKPREVSTGGVIRDEVGAVKHMFSKVVGVMDCKAVELVAMKEVFMMFGSSKRVYCYGYFL >EOY19515 pep chromosome:Theobroma_cacao_20110822:10:18367923:18371838:1 gene:TCM_044640 transcript:EOY19515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLCSVMSLSLKLTPNSALLFPHRGFNGFSSLSFPGICNSTSLVSQNFNKVSCSIGGDNNIVYGATDNGSYSTNNEGARMGKSESNEGESFSSKDSEPCNERNVRGESMQIQYLCLLLFFSVLKKLRRYGISGVLSYGLLNTAYYLTTFLLVWFYIAPVPGRMGYMAAVERFLKVMAMIWAGSQVTKLVRAGGALALAPFVDRALSWFTVKFKFESQGKASMVIIGFCFGLAFMLFLVVTVLWA >EOY19514 pep chromosome:Theobroma_cacao_20110822:10:18367996:18371996:1 gene:TCM_044640 transcript:EOY19514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLCSVMSLSLKLTPNSALLFPHRGFNGFSSLSFPGICNSTSLVSQNFNKVSCSIGGDNNIVYGATDNGSYSTNNEGARMGKSESNEGESFSSKDVLKKLRRYGISGVLSYGLLNTAYYLTTFLLVWFYIAPVPGRMGYMAAVERFLKVMAMIWAGSQVTKLVRAGGALALAPFVDRALSWFTVKFKFESQGKGFHGDYRILFWAGFHAVFGCDSALGIKLAIFGSHQLTYLVSPKSC >EOY19058 pep chromosome:Theobroma_cacao_20110822:10:9259751:9278625:1 gene:TCM_043725 transcript:EOY19058 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR class disease resistance protein MAEFIMSYALERLGDFLIGEVKFFQGVGQELDHVNTQLQWMKAFLKDASAKQDKDERIRNWMAEIQILAYDIEDIVESLALEVESTKKAGAKFAIWNPVKTKYNLGSKLEDINVRISDLTKSLKAFGIRELPITEGSNSAIDRKPKRSSSLWKNYPHIPENPVGVDEDVNLLVKKLVGEERRGGVWRCCAGGFAETSQGDNLRKHRVVSICGMGGIGKTTLAKKVYSHAKVRQGFDHFVWINISQQWEKRSTWEQILFKLSPPSKEQREEIEKMRDDDVAEMVFKELQKKKCLVVLDDIWDVHAWKILSAGFPTEDTGSKIILTTRKKEVARHADPRCYIHEPRCLNEARSWELFQRIALPRPPDDQDARNGYGLEKLGKEMVKHCKGLPLAITVLGGLLSTKQTFDEWDKVHENIKSYLNRQDESFSIPEVLALSYDDLPQRLKPLFLYLGIFPEDFLISVKKLTNLWIAEGMIPPVSGYEGEETMEDVAYRYLDEMAERYMVQVEKRSPTGRIKTCRMHDLMRDLCLSRAQGEDICDVANLNDKNKHNDFFQSITTKMMKPTGRIRRLSVNLRNFSGHIGFENEHYPPIRSILGFSLQEHSGISQQLMESMVNKFKLLRILDLDNVKGFKIPDEIGKLVHLRLLNVASAWIGELPSSMGDLSCLLTLYLDRQYSTSRMPDVFWKMERLRHLYLPPDCGYETERLRFANLGNLQTLFNFPSRHADVKDLITLTNLRKLVIVIEDEASLGSFQEIFEPGTVTFNHLRSLIIKPKWISFNSTLDVEKVTACCPRLCKLKLHGKKVYERGTNAS >EOY17779 pep chromosome:Theobroma_cacao_20110822:10:843977:848196:-1 gene:TCM_042498 transcript:EOY17779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide-specific phospholipase C family protein MNENVDSGSYNYKMFNFFNRKFKINEVEPPADVKKAFAEFTDGGAAAALNMTAEQLKRFLVVHQGEVDRTLEDAERIIQQVVSRRHHVTKYASHSLNLDDFFHFLFFDDLNGPIKTQVHHDMTAPLSHYFIYTGHNSYLTGNQLSSDCSEVPIIKALQRGVRVIELDLWPSSSKDEVLVLHGRTLTSPVSLIKCLISIKEYAFATSPYPVIITLEDHLTPELQAKVAEMVIQTFGTMLYYPESDCLSEFPSPESLKHRIIISTKPPKEYLESRSKDNSSPGEMGFSEEESSSKEMQDNKPESEADDRSDSDHDDEDFNECTGKSGQTGVSAYKRLITIHAGKPKGALKDALKVAANKVRRLSMSEQELEKAAASHGSDVVSFTQKNILRIYPKGTRFTSSNYKPTIGWMHGAQMIAFNMQGYGKSLWLMHGMFRANGGCGYVRKPDFLMQKGSHDVVLDPKITLPVQKMLKVKIYMGDGWRLDFSHTHFDTYSPPDFYTKVYIVGVPADEAKRKTRIIGDDWSPVWDEEFTYPLTVPDLALLRIEVREYDMSEKDDFGGQTCLPVSDLRPGIRSVPLHDKKGKKLPNARLLMKFEFV >EOY18625 pep chromosome:Theobroma_cacao_20110822:10:4073451:4075609:-1 gene:TCM_043125 transcript:EOY18625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKLMLSLAGFRSAFGVISAYRDVATVVTGPMGVSGRDNLDWYQSMVLRCTSDIHTMSRRCDSLDTSHSDSEGSLDSTARSKWYPDIGDSEGGPFRIPNNRIPLNLAE >EOY17842 pep chromosome:Theobroma_cacao_20110822:10:1065642:1076816:-1 gene:TCM_042547 transcript:EOY17842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MGNSCLENFCCKNNFLLCTVILSLVSGSLKFALALGNETDRLALLSLKDQLVGGSPDALNSWNSSLHFCEWQGVICGRRHQRVIALNLSGLRLSGSISPSIGNLTFLRGINLSWNRLQGNIPKELGRLRRLRALYLYINRLQGQIPVEITNCSNLQIIILNTNRLTGGVPSWFGLMPWLVRLSLAVNRFTGSIPAALGNISSLNHITLAINHLEGRIPEALSRASNLKFLLLGKNNLSGTIPPSLYNLSSMEFMDMHMNKLSGNLVPEIDIAFPNLQVFVIGDNRFTGTIPRSIANISSLQQFDIYSNGFSGSVPDNLGNLNNLQLLVLDYNNLGSGKAGDLDFISSLSNCSLLETLVIHKNRFGGRLPDSIANLSIRLRVLYMGENQITGSLPEGIGNLVNLNDINMGNLFLTGNIPVSMGKLQNLEGLSLPSNYLSGKIPSSVGNLSRLSKLDLSNNNFEGRILQSLANCDRMEQLDLSQNKLNGSIPNQLFGAFKSLFYLNLSHNSFTGLLPLDLGNLKNLVQLFLDNNKFFGEIPSNLGQSSGLRILYMQGNSFQGSIPTSFGSLRSLEILDFSSNNLSGNIPLELETLRFLVSLNLSFNQLEGEVPKQGVFKNVSGFSFMGNKKLCGGILQLELPKCFDKEPKKRANVLSTKVITMIILSVLIASFLAVFLVNLCWKRRSRMELNPVALLGDGYLRVSYKELLQATGSFASSNLIGGGAFGSVYKGVLHQQEKPVAVKVLNLQNHRVAQSFMAECKVLRKVRHRNIVKVITSCSSIDYRGNDFKALVFEFMPNGSLESWLHEHSESKYLNFVQRLEIAIDVANAIDYLQHDCETMIVHRDLKPTNVLLDDEMVAHVSDFGLSRLVSSHSRNMGMGDTNSSLIKGTIGYVAPEYGMGGVASPEGDIYSYGILLLEMITGRRPTDGMFHNGLSLHSFCKMALPEQFKEIIDFRLLEQMGEDMERISRQQEAKILECLVSFTKIGVACSAEVPAERMRIKDAITGLEATKARLIHRTGHM >EOY17577 pep chromosome:Theobroma_cacao_20110822:10:233718:240841:1 gene:TCM_042371 transcript:EOY17577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich receptor-like protein kinase 10, putative MFSFKVLLLILACLWISDAATDTLSLGDKLNSSDHLVSKSGNVTLGFYKQEYDATWGDKGFGYYLAVRYTEDTLNHPIWLANRDDPIADDSGVLIIDNTGLKITHAGGNPFLLFSLQSTAATNIKLVLEDSGNLILQDEDSNGPENRILWESFDYPTDTFLPGMKLGVSRGRNRSLTSWLTQSIPAPGAFTLEWNPVAGGLVVRLKDRVLWTTGESFENILPLDPLNMNYNFTNVSNVDEQYLYYTLLIGEYTPEDGRKNARLVLLDDGSLECESRLYLFNSGTCAGDITENGCVRWEGPKCRSNGDKYEKISIIPAHKNSINNTLLGNNSLSLNDCKDICWKDCGCLGVNEQMVLGCQFLSGPYIQGGLDATSYQIITRHRSKSKSWIWILISIAIALMITILLGILFYLRRRRRTRMEEEFLLDLMTSDRASDISELHTGNHGHNLNIYTAAFIMSATNCFSPENLLGKGGFGPVFKGTFPDGQEVAIKRLSRGSGQGLVEFKNELILIAKLQHTNLVRLLGFCVQGEEKMLVYEYMPNKSLDSFIFDESKRKLLDWNKRFSIIEGIAQGLLYLHKYSRLRIIHRDLKASNILLDENMNPKISDFGMARIYKTNEAQSNTNRIVGTYGYMSPEYAMDGIFSVKSDVYSFGVMVLEVVSGRKNTSTFHFDRPLNLVGYAWELWKHGAALELVDPTLSDSCSKPQVLRCITLGLLCVEDSPLDRPTMSDVISMLTGEMQLPLPKNPAFSTGRRIIETNVEEKEFENYSLNGLSMSVMDPR >EOY19668 pep chromosome:Theobroma_cacao_20110822:10:19708698:19713984:-1 gene:TCM_047100 transcript:EOY19668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine N-methyltransferase 3 MANNLRETEETNRLTQENQEEEEDDDDSKEPWTEEENEDDDENGEESEFLCLFCDSKYGSCDALFEHCRLTHFFDFNGIRKELGLDFYGSFKLINYVRSQVADNRCWSCGVHCQSKQDLQSHLHQSVNSKDFKLLLDDDKYLNPFMQEDSLLYSFGGDEEDENDYNTSFDEEEVVRNFGNVCIDDDDIAEEIELNAETSNKDRNKAVMTDSNGHLSLASSSKRIAENGRDYGESVSSCDSNPKDKHSTVYIADVVEKDIKKVNESYFGSYSSFGIHREMISDKVRTDAYREALLKNPSLLNGAVVMDVGCGTGILSLFAAQGGASRVIAIEASEKMSTVATQIAKDNGLWRSKTDIAGNNNCSGVIEVVQNMVENLDKSIQIQPHSVDILVSEWMGYCLLYESMLSSVLFARDRWLKPGGAILPDTATIFVAGFGKGGTSLPFWENVYGFNMSSIGKEVVEDAAKFPIVDVVNHHDLVTNAALLQSFDLATMKPEEVDFTAITELEAKLDSLASNPNDLKQQATSCYGIVLWFETGFTSRFCKETPTVLSTSPYTPKTHWKQTILTFREPIAMASCKFTADGSAPVGTDACPASKILLRISIARATQHRSIDISLETDGVCPNGQKRSWPVQMFNLS >EOY18107 pep chromosome:Theobroma_cacao_20110822:10:2087290:2087917:1 gene:TCM_042746 transcript:EOY18107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVRFNTSVPKPKEGDVGGILTIHTSKYIKAYNSLIAHLQIQKQNKIKIFQ >EOY19858 pep chromosome:Theobroma_cacao_20110822:10:23324302:23325425:1 gene:TCM_045239 transcript:EOY19858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFSSFTLGKEIRVDLVAPLPEELVRAITSMISSSFISKSSAVSFGFKQQSLYKNLLELNVTPLR >EOY17518 pep chromosome:Theobroma_cacao_20110822:10:60201:71791:1 gene:TCM_042335 transcript:EOY17518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSFSIPIFSIYRWTLSSLFKNLTFLSHATRWQLEEFLFLSAVIGYETQSFPFAEPLSLPLNRLVPSLYIPLLPFAGRRLSLFIPMPAVRISLLYLFLSSTASPKLHISLPKTDDSKAQILIPLNRQHFPKAFTQPAALPKPKNPSPKIKIHP >EOY19907 pep chromosome:Theobroma_cacao_20110822:10:23752505:23753613:-1 gene:TCM_045304 transcript:EOY19907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASVYIAFLRFRGDDNEAKNYSYSLEVGGNGRKMTWQGVPRSIRDNHRKVRDSLMVSSSNVTWLCSSQGETGKN >EOY20170 pep chromosome:Theobroma_cacao_20110822:10:25132715:25134050:1 gene:TCM_045551 transcript:EOY20170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLRALPLSPSQNSVPPHVGLPQHFSTHRFSRSSTFRVSAKKENGEKEEPKKSKQSLFSSVTEALDFSQVRSVKDAELLGEAREATKSGGRMSREQYGALRRKIGGTYKDFFKSYVEVDGEYVEEGWVDKRCKVCKKDTRGEPRQIDNFGRYVHVACLEKSNSGNFFTRLFSR >EOY18690 pep chromosome:Theobroma_cacao_20110822:10:4459896:4463669:1 gene:TCM_043186 transcript:EOY18690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAADSTISPSDHQALSPVLIEEGSQNSEQLFKDDGNELEIEGNDLEIEGRDIDIESNGLEIEGNGLDIESNGLQDCVQMLEIEDNHEIDGNDTTSVVVENDISQGKDYPPPAVGMEFESYDDAYNYYNCYAKELGIAIRVKSAMLSISKKLCSCTMHLLNYFCAGCLFVLASAGFCADSLPTYTWFSSNGVVGSKRMSCIFQLLPF >EOY19584 pep chromosome:Theobroma_cacao_20110822:10:19037255:19039009:-1 gene:TCM_044729 transcript:EOY19584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor 12 MRKPNCLLFSFFSVAIQFLFFDKFSAESILCSEPMATTLGGVHPSHGSQNSAELENLARFAVDEHNKKENAMVEFVRVVKATEQVVAGTLHHLTVEAIDAGKKKLYEAKVWVKPWMNFKELQEFKHAGDADVSPSFTASDLGVKKDVHGPGLQALPTHDPVVQDAANHAVKTIQQRSNSLVPYELKEIVHAKAEVLEDFAKLDMLLKVKRGDKEEKFKVEVHHKSEGTFHLNRMEPDHS >EOY20072 pep chromosome:Theobroma_cacao_20110822:10:24676990:24683613:1 gene:TCM_045472 transcript:EOY20072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMVKDPNPTMSKSKFASPYSIALPRGSATTIATMRRRKTTSGATSSMVAKTMLKFYADDGPRLEISANAVLVMSISFIAFVGILFITGKLYFIRKEA >EOY18240 pep chromosome:Theobroma_cacao_20110822:10:2492989:2496310:1 gene:TCM_042833 transcript:EOY18240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein, putative MESSGSMYNKAMPYLAMVFMRFGSAGMPIVAKFALNRGMSQHVLVVYRFAIATLVLAPFAIVFDRKVRPKMTFSIFVQILLLGLLEPTIDQNLYYTGIKYTTATVATALCNVLPAFVFLLALACRLEKVDMRKLHCQAKILGTLGTVGGAMIMTLVNGPILPLPWTKVTNEHQSTVSATKDDPLKGALMILAGCVCWACFVILQAITLKSYPAELSLTTLVCFMGAIEGTIVALVMEGGNAAAWSIHWDSKLFAAVYSGVICSGVAYYVGAMVIQAKGPVFYAAFNPLTMVIVAIMSSFIFSEIMYLGRVIGAIVIVVGLYLVLWGKNKDQHSSDSDSNEEAAAPRSGEQMAAIGTETAVVTSNQDFVLLDVISRAAAAADDESVKEKNQKQIP >EOY19751 pep chromosome:Theobroma_cacao_20110822:10:22093230:22096149:1 gene:TCM_045060 transcript:EOY19751 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance-like protein MKDRHVKIWLAELQDLAYDMDDILDEFGTEALGSKLVQECRANRKNDVGRPKRKIERPPTTSLVNEALVYGREEDKKAIIDLLLMNDYSDDKVIVIPIVGIGGIGKTTLAQLVYNDDSIKDHFDIKVWVELKEKLYENNFLLVLDDIWNGRYHDWNILQFPLEVGGLRSKIIVTTRDQNVSSIMRTVPNHSLKELPNDDCLFILTQHSLGEKGQGVGYQIRELNNLSNLKGQLSLSRLENLVNAQDALEARLFDKSNLDDLEMKWSADLKDDLRKEGVEKEVLSLLQPHKKLKKLIIKYYGGLAFPTWVGDPSFKNLIFLNFEGCQKCTSLPSIGKLPFLKVVCIKGMSFINRVDAEFYGEKCSNAFPSLEILHFEDMPELKEWNFYQVDGQTRFFKCLRDLSVKKCPKLLRSQPSCLPCL >EOY18145 pep chromosome:Theobroma_cacao_20110822:10:2165105:2166108:-1 gene:TCM_042761 transcript:EOY18145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKGSRASSSSSANYARQWSPLTLATLRNIRERPQQKCNKRKPGSTVIFRDQSDYGYGWLLPGWVAEERRMRTGRRYTYYYDPWGRQYTTKREVLYGIVENAKVQLFNPVTILGGIFVSLDQWHANWCGRT >EOY18899 pep chromosome:Theobroma_cacao_20110822:10:5999337:6001160:1 gene:TCM_043406 transcript:EOY18899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGRVVEYSNTLKLVKTVDLSDNNLSGEIPKEVTSLAGLQSLNFSHNLLVGRIPDNIGAMVSLECVDL >EOY19386 pep chromosome:Theobroma_cacao_20110822:10:17187533:17190270:-1 gene:TCM_044486 transcript:EOY19386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMTCKLMEKLNLALEKLSKDHAGRYATGDEVYMADLFLAPQIDFATKTFKVDMVMSLPELVTINMCVASTCMCMH >EOY19560 pep chromosome:Theobroma_cacao_20110822:10:18771380:18775843:-1 gene:TCM_044699 transcript:EOY19560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMGLFEKACEGEFLRCSALCCGPNGLLSLSRLRLEPIDNRQSPNPQIPNSPEPSRSLILLPNYKAFQLLDFISQFSLPIRHPAIGIWPSASTHHPWYKA >EOY19537 pep chromosome:Theobroma_cacao_20110822:10:18550472:18562334:-1 gene:TCM_044667 transcript:EOY19537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor-like protein MFVGFGFGPSDRSLMCYLFSKVTSKSMLHFDQDQIKDFDLYGEKEPWEIWDLYGGCNRQSGEDLYFFTKLKKKSQNGSRINRSVGMGTWMGEDSGKPIYSQLSAIQPLVFKKRLRYEGGVPHQVGQWIMHEYSLNTVLVPGNDQGYVLCRLRKNDRDEKKAEKRRKLIT >EOY18345 pep chromosome:Theobroma_cacao_20110822:10:3126308:3128365:-1 gene:TCM_042949 transcript:EOY18345 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase MPNSISLSFYLRRKRAEKTPHSQHTLCLSMELVMAICLLFLCSLISYICNMVFQKRNQCCYMLGYECYKASDDRKLDTEACVRVVMRNKNLGLEQYRFLLKTIVSSGLGEETYGPRNVLDGREESPTEKDAHTEMDEIMFDTLDSLFAKTGVSPSEIDILVVDVSLFSPSPSLTARIVNRYKMRDDIKSFNLSGMGCSASMVAIDLVQRLFKTYKNQFAIVVSTESIGSHWYCGKEKSMMLSNCLFRSGGSSILLTNKRALKDRAIFKLKCAVRTNIGYDDEAYGCCMQLEDEEGYQGFLLTKSLTKAAAKAFTMNLEILVPQILPVTELLRYAISFLGSKRIKGQTPDAARALSFNFNLKSGIEHFCLHPGGRAVIDGLGKSLRLSEYDLEPTRMALYRFGNTSAGGLWYVLSYMEAKKRLKKGDRILMISLGAGFMCNNCVWEVMKDGLEDTRVWEDCVDSYPRKNLVNPFTEKYSWINDECLNFVRLD >EOY18777 pep chromosome:Theobroma_cacao_20110822:10:4879805:4893620:1 gene:TCM_043270 transcript:EOY18777 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein MLKPKSRTRSFKLAALTSSLYERERQRKREGEMVSRNLKLQKRLSASVLKCGKGKVRLDPDEVNEISMANSRLSELPVELMTRQDSWSLEGNDSNALMLSTKRSKKRKGNNQELEKAKEKQHPKLSKSQIRKLKKLEEEKEKALLLSKSIKTLEKYKISEDAYSLLQSSKTIGLAETMREKRRRVVQFSKAGLEPPYVDKSSKGRGGNNSSSSSEPEPEPELEEINSRKLSTDGQPLIIEREVARNELGRLASSQEPVFGKDLDPSCSSVDTLPTKEVSLKENSTPLEEDIKNCIAKLSTDGGRESSMSKGLLSAPTVVHVSRPDEVENKRKDLPIVMMEQEIMEAINENSTVIICGETGCGKTTQVPQFLYEAGFGSSQSTLRSGIIGVTQPRRVAVLATAKRVAFELGLRLGKEVGFQVRHDKKIGDRCSIKFMTDGILLREVQNDVLLKRYSAIILDEAHERSLNTDILIGMLSRVIRLRQDLYEKQQRMMLSGQSVSPENLILPLNLVLMSATLRVEDFISGRKLFHVPPPVIEVPTRQYPVTVHFSKRTELVDYIGQAFKKVMSIHKRLPQGGILVFVTGQREVEYLCQKLRKASRDVIASISEGDKSTDTSAPSQIDLVEGINMKDISEAFEIHGDSTHQQTDRFSSYDEDQYDYEEDDSDASYDSEMESELEIFGEERNTLEQKSMDNVDNLVDAFGGNGSLASLKAAFDALAGKNGLDANPEGGETVSINPENSLEQPPAPIEKIREGNRSLNAGILRVLPLYAMLPAAAQLRVFEEVKDGERLVVVATNVAETSLTIPGIKYVVDTGREKVKNYNPTNGMETYEVLWISKASAAQRAGRAGRTGPGHCYRLYSSAVFNNIFPDFSCAEISKIPVDGVVLLMKSMGIDKVANFPFPTSPGPTALVEADRCLKALEALDRNGRLTSLGKAMAHYPMSPRHSRMLLTVIQIMRRVKSYARANLVLAYAVAAAAVLSLTNPFVMEYEGSYSQTDESKQNDGTGPLDGEKVLKKKEKSQKKKLREMARMSHAKFSNPSSDTLTVAYALQCFELSKSQVEFCIENRLHLKTMEEMSKLRKQLLQLVFNQNVHHDVEQDFLWTHGTMEDIEHSWRISSSKNPLLLNEEELLGQAICAGWADRVAKRIRGVSRSSEGDRKVNTARYQACLVKETVFLHRSSSLSNSAPEFLVYSELLHTKRPYMHGVTSVKSDWLVNYAKSYCTFSAPLADPKPYYDPQTDEVYCWVVPTFGPHLWQLPLHSLRISNDAHRVTVFAFALLEGQVLPCLRSVKQFMSASPDIILKPESYGQRRVGNLLHKLKARSINSCAQLRQTWEENSRELHLEILDWFQESFHKQFAKLWSEMLSEVLLEPQERFPKRVKRDKRKK >EOY19385 pep chromosome:Theobroma_cacao_20110822:10:17157706:17159350:1 gene:TCM_044483 transcript:EOY19385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQPLLKNATSLLPFANDTMMVVSDDNAFDQMDYDCAKDDTTDWNDDNYVGRHYDCLNEDKGDDNSIPNCNHVDGSTKHATIVVLEDVQYDDATTVGLEDV >EOY18149 pep chromosome:Theobroma_cacao_20110822:10:2185053:2186300:1 gene:TCM_042766 transcript:EOY18149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRSEHCGDSLIFVLLHLIIDNTVCGIYASDHKYIDEINYNKGSSERLIIDIDFRSHFELMVDAAGSSLKQNSMPFPPWRSLAYLQAKWQSPYQRQSTPNEHDLEDNVSSDNKQCNGHLRGLHSSPQSELEAERLLKPMNIDSHCRLKLWITNYTEND >EOY19009 pep chromosome:Theobroma_cacao_20110822:10:7946016:7949437:1 gene:TCM_043605 transcript:EOY19009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MVIILLHFLLLLTASAVGLGPASADRDVCEPKHCKNGQPRVRYPFRLKGRQPDHCGSSGFDLSCNNKNQTVLELPRSVKLLVKRIDYVHQRIHVYDEDGCVQKQLQNLILSASPFMYSSGSDPYYYSDSGNFTLFNCSIEDQSDYYDSSWSIPCLSGSGFYVKYVESDSGRSYLLNCRKTTDISEVPYGMMDDRKNKFDFNWTKPACGLCEVKGQGCRPNTTNTSGIECYSIHREDKGARMKLMISGLTIGSLLLLLSVIGLCWLHHLNKKEKDGQRKIEQFLEDYKALKPSRYSYADVKRITNQFKEKLGQGGYGTVFKGTLSNDVSVAVKVLNNFKGNGEEFVNEVGSMGRIHHVNVTRLVGFCADGYNRALVYEYLPNESLEKFIFAAKGENRFLSWEKLHEIALGIAKGIEYLHQGCEQRILHFDIKPHNILLDQNFTPKISDFGLAKLCSKEQSAVSMTAARGTMGYIAPEVLSRNFGNVSYKSDVYSFGMLLLEMVGGRKNIDVTVANESQVYFPEWVYNRLDKGEELGIDIEDEGHHKIAKKLTIVGLRCIQWYPVDRPSMKSVVQMLEGEADNLTMPPNPFASKDEKKPKKPINRELAAISE >EOY18744 pep chromosome:Theobroma_cacao_20110822:10:4773732:4775959:-1 gene:TCM_043250 transcript:EOY18744 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase Rpb8, putative MSKADHVIFQQVFVVHKADQEINGVTSIEARSQLHKILMQLDVDTERYPMKVGDTFLLSLAYTLYLDGETQTDYNFPEKKETLVDSYEYVRQGKLNNVTMEKESSTMEISFSFGDLFMLLKGDPSRLSHLEHEKKLFLLISKLPYKKPIWTV >EOY17633 pep chromosome:Theobroma_cacao_20110822:10:394431:396394:1 gene:TCM_042408 transcript:EOY17633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCRHHDLIKEVDDFVYRFQIKAGKDYGACPSRKCCKTPNYVACWISQLVAKKKHRGLFDVHHEVLDVNGNLFLQVDGSYKALHRKRIMRDPAGFPILTMREKLLLNVRRSHSIHMKTRLDVFLPSNINEDISNFLVVDSYPSQSSRVYKGDTVISEVNYNLSWKSFCQGTKEDFRIKVCPGVDYAFIVALVMILVESNMMLRAI >EOY18183 pep chromosome:Theobroma_cacao_20110822:10:2309522:2311318:-1 gene:TCM_042798 transcript:EOY18183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQANGDAHFFYVGHLAFLIVLVATKGLFSGLFCKCTGDLPKQGHYVMGVFEYLGERRIMAP >EOY19738 pep chromosome:Theobroma_cacao_20110822:10:21991962:21995225:-1 gene:TCM_045045 transcript:EOY19738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin z, P,TRX z isoform 1 MALFQTHTLSHTIPSLPPLSYFSSKTHLQNSLFFSTTNVRPFSLSTQPRKLLCKPTLGKYVREDYLVKKMSAQEVEELVRGERSVPIIIDFYATWCGPCILMAQELEMLAVEYEKNAIIVKVDTDDEYEFAHDMQVRGLPTLFFISPDPNKEAIRTEGLIPIQMMRDILDNEM >EOY19739 pep chromosome:Theobroma_cacao_20110822:10:21991551:21995203:-1 gene:TCM_045045 transcript:EOY19739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin z, P,TRX z isoform 1 MALFQTHTLSHTIPSLPPLSYFSSKTHLQNSLFFSTTNVRPFSLSTQPRKLLCKPTLGKYVREDYLVKKMSAQEVEELVRGERSVPIIIDFYATWCGPCILMAQELEMVRGLPTLFFISPDPNKEAIRTEGLIPIQMMRDILDNEM >EOY19649 pep chromosome:Theobroma_cacao_20110822:10:19466620:19467654:-1 gene:TCM_044786 transcript:EOY19649 gene_biotype:protein_coding transcript_biotype:protein_coding description:QLTG3-1 protein MASKGGVSTALFVSLNLLFFALVNSRNVNYQPAESNASSNGVGENSHDHRSSNQIQSNSNGSSNDGSFASGRTNSDDSSLRVGEILHDLLNGDSSNKKGLSNFNDLSNESGDNSNDNSKDSTTNSRNPVDNLPAAAMILGALNQQGKSPCNPLNLGVCANLLNGLVKVELGDVPTKPCCTLIQGLADLEAAVCLCTAIKANVLGIELNLPISLSVLLNNCGRQVSSDYQCTP >EOY19889 pep chromosome:Theobroma_cacao_20110822:10:23553698:23580519:-1 gene:TCM_045276 transcript:EOY19889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acidic endochitinase MARKSQTIALLIFFVAAALSKTSYATVISTYWGQNLYEGTLKEACDTGIYDIINLAFLNVFGGGQTPSLNLAGHCDPPSGTCVIFGEQITYCQGLGIKILLSLGGAAGNYYLSSQDDAQSVADYLWNTFLGGRTSAGPLGDATLDGIDFDIEGISNLYYDDLARFLKEKSESVYLSAAPQCPFPDYYMGAAIATGLFDTVWTQFYNNPPCQYSDGVTDDLINSWNQWTTSINVTNLFMGLPAAENAAPSGGYIPVDNLISDVLPVIESTAKYGGVMLWSRYYDVQTGYGASIKSSTLGDGLVSSS >EOY17654 pep chromosome:Theobroma_cacao_20110822:10:463240:468143:-1 gene:TCM_042423 transcript:EOY17654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoyl attachment domain-containing protein isoform 2 MESSAALRSFHYSVGAVSQAHCSLERPSTVHMYSCGLATSRKSCVPGLMFGGKNNSATKRNVTLISCMKTPEASVTAKSNVPLDSTAQGSMEKKTSRNATFPNGFEALVLEVCDETEVAELKMKIGDFEMHLKRNVGATKAPLSNISPTTAPPIPTKPMNESAAVAPPPSPPKPSPEKPTPFKNAAFGKSSKLAALEASGSSNYVLVPSPIVGTFRRGRTVKGKKQPPICKEGDLIKEGQVIGFLDQFGTELPVKSDVAGEVLKLLFDDGDAVGYGDPLIAVLPSFHGIE >EOY17655 pep chromosome:Theobroma_cacao_20110822:10:463729:468884:-1 gene:TCM_042423 transcript:EOY17655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoyl attachment domain-containing protein isoform 2 MESSAALRSFHYSVGAVSQAHCSLERPSTVHMYSCGLATSRKSCVPGLMFGGKNNSATKRNVTLISCMKTPEASVTAKSNVPLDSTAQGSMEKKTSRNATFPNGFEALVLEVCDETEVAELKMKIGDFEMHLKRNVGATKAPLSNISPTTAPPIPTKPMNESAAVAPPPSPPKPSPEKPTPFKNAAFGKSSKLAALEASGSSNYVLVPSPIVGTFRRGRTVKGKKQPPICKEGDLIKEGQVIGFLDQFGTELPVKSDVAGEVLKLLFDDGGLIALRQTMGAARDA >EOY18998 pep chromosome:Theobroma_cacao_20110822:10:7672563:7680444:1 gene:TCM_043574 transcript:EOY18998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRKRERKNRERVRVKRMVLLHFAKGKIEFLLQFWRKKKAENFELEILEVLLPLKGSKVLPICAEKLKLFVANLCRKFRAVCAEKLELFAAKNWSCLCRKIGVVCCRKIRAVYAEKLELFAANLCKKIGQHCAANLREKKQLGATKFLRKRELKVLPPEEEENDPRKRGSHGGEERKTWW >EOY18146 pep chromosome:Theobroma_cacao_20110822:10:2167720:2176849:1 gene:TCM_042762 transcript:EOY18146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSETDLHFVKSGSCNASCIGFSLVKLLRLSGYDAAVCASRGQGSGKVPGGDHEYIDVINYDKGSSERLIIDIDFRSHFEIARAVDSYDRILNSLPNFVFILRFHPISYMMKPIPINSKTQRIVTEYACGALQRPNQAAASHSHDRIDHAYQIFSNEQNLIWVLTTLQRIHDNQVIYAGDHDYIDVINYNKGGSERLIVDIDFRSHFEIARGVDCYDRILNSLPVVYVGSLTRLKQSLQLMVDAAGSSLKQNSMPFPPWRSLAYLQAKWQRPTRDNLLPVNMILKTMFLLTINSATGI >EOY20199 pep chromosome:Theobroma_cacao_20110822:10:25230037:25231911:-1 gene:TCM_045575 transcript:EOY20199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPAAPVSDTNDVPTVERLEIHRAERHITKLARLLALHDNCRDVVFQGANMALQAVLPNSNSDVPIDINSQVLIHSRNTTISIIWNSNSISDVYWYWS >EOY19505 pep chromosome:Theobroma_cacao_20110822:10:18220534:18221179:1 gene:TCM_044621 transcript:EOY19505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEDSGKPIYSQLSAIQPVGFKRRFRYEGGVPQQVGQWIMHEYSLNTTLVPENDQGYVLCRVRKNDREEKKAEKRRKLIT >EOY19439 pep chromosome:Theobroma_cacao_20110822:10:17618481:17622642:-1 gene:TCM_044555 transcript:EOY19439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKEKWVFNVSINTHCKWSQLHYITKTLQQKGEYDLVKRTCFGMLLDVYPQRYFYVGLLHSIMIRQILRASQWTTSYGLPLARPPGDATKMAFILIANNILFDQDYRRRVTPWLLSLVEDIDAWNVFPWGHYVWKLTLDYLLKGFKVLNLSVTKETRLRYNIYGFAWVIQLWALEMLEPTTDEAFREYFVDFDVPLSKGHEASGGTKRMHASAALVDELMDEGDEHGQGSEQPLDHGPAAPEPPTGHPQMQSGNDPSVQKRRQHAEVMIGPQAPIGPAPPQTANEPSSRTVNDGAVTIRQLRRIMRKHEKEMLELKASIQSLSVAMQTFKDCIVARILDGLKSQNILLVILDVSPSLSCYAWHVTTFYTFCMECHNIFLVILGVSPSLSCYAWSVTTFYLFCMACDNILLDGPSHGAGLEHDDADDGQHHEPGVDIDYDVLGADREHSDDAEREHLPPVDAFLDAAAGAIVLYRGSTPDAVEIRSSSPESSVVHHGAIEISDQTERARLKMASKYMASSFVDPLVTRRDVRDKIVENYEALKKEESARRNVDILGDQGADFFITLEDPNEEMTSEHIDACLNLLCKRMTGPKSKLTPSVCYTPNFQQKMPKPQCKFQMGCGAMWRIDLVRWMIKVVDSARTSDAKDNRVRACQMTPLTIMMPFICHQAGYFNNIRRKRWDLTPMPLDIHLPKPKVHR >EOY18740 pep chromosome:Theobroma_cacao_20110822:10:4739214:4740688:-1 gene:TCM_043244 transcript:EOY18740 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance-like protein MSLFKQLAFVKQNQTFNFVDLELETIREKIVKHCAGVPLAIRAIGSLLCFKRIKSEWSRVLENITQHGHGTGIESILRLSYDHLPSHLEQCFTYCSLFPNDYEIRKHVLIKLWMAQGFIQSLNRGQSLEDVGHDYFMDLLRDLSFKRQKKMIWAI >EOY20051 pep chromosome:Theobroma_cacao_20110822:10:24559032:24560473:1 gene:TCM_045447 transcript:EOY20051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 (NTF2) family protein with RNA binding (RRM-RBD-RNP motifs) domain MAAAKACPLPSFTAQEVADSFVRQYYTLLCISPGELHRFYQGSGTSTVSRPAGPDGAMISFRTMEEIKKHVQSSLDCKGYDIHSCDAQFIADGGVFVLVIGRFTAQNDKTRKFNQSFLLAPMEDVNHHRFFVLNDVIRFLDEQETKTMHLGDAPAAVSTNDVPNKAVPRKSFLSMVHALSENPASFKAPPVKTSIRTPPRESNLNGKSCLEGKKNTRNVNNVRETSIFVGNLAMDSKPEDLYEAFKRFGAIKGNGVQIRTDQHNRRFAFIQFETSSSAQSAVEASSIRIGNRTLKIKEKKRNNDSGNRKTSQGFINGNGQENSRGARNFTKGNGPAKH >EOY19983 pep chromosome:Theobroma_cacao_20110822:10:24258427:24262055:1 gene:TCM_045387 transcript:EOY19983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKDLWLINNACSNHLTSDESQFTTLDRSYGSKVEIVDGSFLRILGKGTVAVETQQGKKFISNVYFVLDANQNLLSVGQLTQNNYDILFKDKFCTIFDPKGEEILTVEIRNKCYPIDWQQSDHAFFSCVNDSELWHNRFGHVNFSSLQTMVTKELVTGLPKIAKPDTVCKIC >EOY20073 pep chromosome:Theobroma_cacao_20110822:10:24681555:24687180:1 gene:TCM_045473 transcript:EOY20073 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent glycerol-3-phosphate dehydrogenase family protein MMAQCTPCNTDKTKNVNTCFFLSLFSAQTTKMAPLYEPVFLLNLNPSFTSKNPCSIHFLNLPKLPSKPLVIATGCAATPSPSPSPSLPQDSDQNPPQASPDRTRDRRKVVRLAWEKLVRWSRSWRSKAKTDVLERTNKVVVLGGGSFGTAMAAHVANRKTQMEVSMLVRDPAVCQSINENHCNCKYFPEHKLPENVIAATDARTALLGADYCLHAVPVQFSATFLEGIAEYVDPGLPFISLSKGLELNTLRMMSQIIPQALKNPRQPFIALSGPSFALELMNKLPTAMVVASKDKKLANAVQQLLASSHLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMNLGNNSMAALVAQGCSEIRWLATKMGAKPTTITGLSGTGDIMLTCFVNLSRNRTVGVRLGSGEELDDILSSMNQVAEGVSTAGAVIALAQKYNVKMPVLTAVARIIDSELTPKKAVLELMRLPQVEEV >EOY19877 pep chromosome:Theobroma_cacao_20110822:10:23449413:23450801:1 gene:TCM_045260 transcript:EOY19877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein MAELEDNKAKSSSGSMFFNRSLTMHATAAESNPPKVHFLLNNPSLNRAASISKIYNSIDSVKGKVRKLCSLFESAKSSLSSSSLTASPKESVPKVVLRPAKSIGYSSSFSSSFNSPLIRLPGTEDRIVVYITSLRGIRRTYEDCYAVRMIFRGFRVWVDERDISMDAAYRKELQSVLKEKNVSLPQVFIKGKYVGGADAIKSMFEVGELAKILDGFPRRQPGFVCEACGDVRFVPCGNCSGSRKVFDEDEGLLKRCLECNENGLIRCPDCCS >EOY18179 pep chromosome:Theobroma_cacao_20110822:10:2291025:2294908:-1 gene:TCM_042793 transcript:EOY18179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFTDATVQSDIKLWPFKVIAGPADKPMIVVNYKGEEKQFAAEEISSMVLIKMREIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEDGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKAEAKNSLENYAYNMRNTVKDEKIGSKLSPDDKKKIEDAIDGAVQWLDGNQLAEADEFEDKMKELESICNPIIAKMYQGAGPDMGGAGMADDIPPAGGSGAGPKIEEVD >EOY17905 pep chromosome:Theobroma_cacao_20110822:10:1412524:1413897:-1 gene:TCM_042606 transcript:EOY17905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein MNIVTDKVSFFIPQAGETGQRKWHGSVSGIVDSPLDKVWTIVSQTKRLSEWMPMVERCTDLAGDEGIPGYVRLVSGFMFPQQDGERSWIKERLAAMDPTSHSYVYKMEASNVGLDGSVNSLKFIDYGDDSTLVNWSFEIDPLEGALEDNIIDYLGFLYKSCINTIQGAIVAASKKV >EOY19540 pep chromosome:Theobroma_cacao_20110822:10:18594767:18596950:1 gene:TCM_044671 transcript:EOY19540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H protein, putative MDILIIIFITAGFTVRFGKTFFDFIPTKVKNNNSKVKGLGRRIRNGHGTKFWTESWLPCGPVLDQVRVXXSEAEAELPMASFCDEYRRWNLESVKQLLPQNLILMISAMMIDPSGEEMDDSYWLHSSTGMFTIKSTYEMQISDPIHQTICWKKVWALNSSNKVRMFVWRVLHDSLPTASWLQNRGLVYSPVCLSCGYSEEQLIHVLHDCSRVKRTWLSFLLDLVTEDFFLQGPQVWILNNMQSTVFLEGIPWGTIFIHALWYFWYWRNLSIFDGKFSWPYNAKQLIWT >EOY19750 pep chromosome:Theobroma_cacao_20110822:10:22079494:22080838:1 gene:TCM_045058 transcript:EOY19750 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein MKWEMILRTIHTVLGDAEEKKMKNQAVKVWLADLQDLAYDVDDILDEFATEALGRKLMKEHQASTSKAEKFLTSLHPSSIMFNNKMMYKIKEITGRLQDLATPKSNLQLSEIDVGRPKPAERLPSTSLVNEATVRGRDNDKEAILDLLLRDGGIHAGVSVIRIVGMGGIGKTTLAQLVYNESSIRDHFDFKAWVCISDEFDVIKITKTILESITSQSSNTNDLNLLQVRLKEQLSSKKFLLVLDDVWNENYDDWTKLRSPFDAGIPGSKIIITTCSFNVSFIMGTVTDYSLQIVSNDDSLYTLAHHALERGDFTGHPD >EOY17797 pep chromosome:Theobroma_cacao_20110822:10:911699:912264:1 gene:TCM_042516 transcript:EOY17797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLILYEEPPPPSLYNTTLSPTRSLLSLTNRRHPLETTGFGWKSFFLVEQRKCHFCPATSSTWKSAARASSSNSKAHKISDGTASLCSMSVGDRTLENSCFIVSCKSLWNEKGFVRNKKHLNSCI >EOY19787 pep chromosome:Theobroma_cacao_20110822:10:22523491:22525975:1 gene:TCM_045126 transcript:EOY19787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MQKFTMGLLPFFVILALPILVLFFLRKHKRNPPGPLGLPLIGNLLQLASSPAPHIYLWKLSQKYGSLMSLQLGLRPTLVFSSAKMAKTILKTHDLDFCSRPRFLGQYKLSYNALDLAFSPYNSYWREIRKICVVHLFNLNRVLFYRPIREDEIARMIKKISKSSYDLKPINLSELLMYVTSTITCRIAFGKRYDDEDSERSRFHGLLNETQAMFGSFFVADYFPFMGWVDRLSGLHGRLEKNFKDFDIFYQELINDHLDPNRPKSEQEDIIDVLLQIWKDRVFQVDLTFDHIKAVLMNMFVAGTDTSAATVIWAMTFLLKNPRSMKKVQEEVRNLIGNNDFVNEDDIQDLPYLKAVIKETFRLQPIAPLLLPRETIRKCDIDGYEIPAQTLVFVNAWAIGKDPEAWENPNEFYPERFIGSSIDYKGLDFELIPFGAGRRGCPGIHMGIATVELALANLLCKFDWEMPAGMNKDDLDFDVIPGLAMHKKNALCLVARKINILI >EOY19428 pep chromosome:Theobroma_cacao_20110822:10:17481432:17485476:-1 gene:TCM_044531 transcript:EOY19428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 6, putative MGSPLYLSISISLLNLLLLFFLVSSVRPLCHPDERSALLQFKESFVINNSASSSPDAHAKTESWKLERESGDCCSWDGVECDNGTGHVTGLNLGSSYLYGSIDSSSNLFHLVHLQRLNLADNVFKNSKVPSAVRNLSRVTSLDLSYSEFSAQIPSEILALSELELLDLSGDSLKLRRPGLSSLLEKLTKLQGLYLTDVRISSSVPNILAKFSSLKALILSNCDLRGEFPTGIFELPALLFLSLQSNPKLTGYLPDIQSNHPLLELSLANTNFFGQLPESSGNFKSLELLDIYNCHFSGKLPWSLGNLTELSYLDLSLNNFSGPIPPSLGNLKQLMTLDFSDNNFSGEIPSFIANLTQLVYLSLATNNFDRGTLSWLGTQINLTCLDLSNTGLSGKIPSALKNLTQITTLYLWSNRLEGQIPPWIGNLTKLTEIKFQKNILSGPIPESIFKLENLELLHLHVNQLNGILKLDSFLELKNLTRLRLSRNNLSLLNTVGINATALKIQVLGLAFCNLSEFPDFLRSQDELEVLQLAGNNIHGQIPKWFLRVGKETLWHLNLSFNFLTRFEELPVLLPWTSLELFDLKSSMFRGPLPHPPPSIVYYDFSNNSLSGEIPPILCNLSFLVALDLSDNNLTGILPRCLVNLSDSLEVLNLRNNHFAGAIPSTYTKSCGLRMMDLSQNQLKGRTPRSLAHCTKLEFLNLGNYLINDTFPSWLGTLPELKVLILRANALHGVIVKPQAKSEFSKLQVIDLSDNSLRGKLASEYFNVWVAMELANTNSLSPYMNANTSFQTRGYEWSNYYNYVVTLANKDRDLRYEKVPDSISAIDLSSNQFQGEIPEVIGNLKLIRMLNLSNNNITGHIPSSLGEITNLESLDLSRNKLSGQIPQQLANINFLEVFKVSYNNLEGPIPRAVQTGNSVNSVTGYPVTELTELLYKTNRNRTEVKN >EOY19539 pep chromosome:Theobroma_cacao_20110822:10:18581422:18597999:1 gene:TCM_044670 transcript:EOY19539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 11 MDSPAQNTSLQRLQNVEKRIVRVLDLAGGVMDELANPTGPRKEFINNHCREFMKMIKDIQVTLRDEIKSACEYRPFEKCDYSSRISNEICCRKLEYVLSQLEAMKQTVDEYQGEGTI >EOY18739 pep chromosome:Theobroma_cacao_20110822:10:4732674:4734569:-1 gene:TCM_043241 transcript:EOY18739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFGEKLDFSSKIPSVSLKTNKIRTFFRSLHFKENESSRDTIIRSFKCLRVLDLKFSTFEKVSHYICRLKHLRYLDLSWNNDIREVPNFVTRLHNLQTLDLNFCRSL >EOY17643 pep chromosome:Theobroma_cacao_20110822:10:436575:438453:1 gene:TCM_042415 transcript:EOY17643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRSILCTTKGQDFAKAVPSDKLHRPKHVKPTSRNRVACQEKASLPGVRVDSERVKQKEMDSWGDRDRIPLAQVVSDLVKGWFQDALKEAKAGDTNMQVLVGQMYCSGFGVPKDVQKGLAWIGKASRSRSSVWKVSDKHPGYNASDSDSDELKGDAK >EOY18931 pep chromosome:Theobroma_cacao_20110822:10:6322162:6324449:-1 gene:TCM_043446 transcript:EOY18931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MRRIRFACLSALKSPNSFLCQNNSIPTALFRIARLPINPPFVVAAFCSNTRASDSGFSDVAKFYATIMDNSKAYDNLEKSLDQLELQLTTPLVLELLQRLSMEEKLAFRFFTWAARQHNYAHQPQAYNQMIDILSGTKYKIKQFRIVCDMLDHIKRSNRNAVPTEVLLLILRQYTEKHLTHLQKFAKKKRIRVKTQPEINAFNLLLDALCKCSLVEDAEVLFNRMKTRVKPDANSYNILFFGWCRVRNPKRGMTVLEAMIQLGHTPDNFTYNTAIDAFCKAGMVSEAAELFEFMRTKGSTMSSPTAKTYAIMTVALIQDNRMEECFELIGHMINSGCLPDVSTYKELIEGMCSAGKIEEAYKFLEEMGNKGYPPDIVSYNCFLKVLCDNMKSDEALRLYQRMIDVGCVPSVQTYNMLISMFFQMGDLDGVLETWQEMDNRGCAQDIETYCIMIDGLFSCNKVEDACFLLEDVVNKGLKLPYPKFDSFLMQLSTIGNLQAIQKLSDHMRKFYNPAMARRFALHQKRKSMRLRGK >EOY18689 pep chromosome:Theobroma_cacao_20110822:10:4458259:4459727:-1 gene:TCM_043185 transcript:EOY18689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMFYPPAPGVVFNPSDREIVSFFLPKLMSGEGIGELSYLIEFCDLYCIKPAALFDVNKGFLPFVKPNQRFVFTHRQKISQKNANGKRPRRVLDSRAAGDGGFWRSSTGEKPILDKQGEHVIGYVNTLNFYEYKGEKRSPKDATKTSWLMHEYRLPGENFQEWVICKIKDTACSEEDKCVAFWVKELFGNLLLGNKECPSSALMQEAVFSGNLPLPDDGQCDPLIDNYVDGRLQQSENLECQSSILVEGSESLVNGFGEMDSNGLVGEGYSLMDQLLDEDPFNEVDQLLGISNNDQISNLDELVIDKSVDELLREYEALIDGDCLKEVDQLPGISNNNESSKSVEPVIVTGKNVDELSGGYEELNYDDSFKEVDQLWGISNTDENSKLDELFTDKDVDDIHALLAFDHLPPLE >EOY17520 pep chromosome:Theobroma_cacao_20110822:10:78128:79579:-1 gene:TCM_042337 transcript:EOY17520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 ADKEENRFICRIVFPTTFSDETSTNSQSNIDFHFMDNKTP >EOY18379 pep chromosome:Theobroma_cacao_20110822:10:3243902:3248132:1 gene:TCM_042978 transcript:EOY18379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin 2 isoform 1 AQAICSLPTSKFQAEVFVQPTLPFFSVQKSSISCLLLLRFATPRPSYLQRIMASKSESKEPVNEQIVANTYGAMRSELNQIYSKITELEMEVSEHSLVINAIQPLDQSRRCYRMIGGVLVERTIKEVLPAVQRNKEGLEEVITRLNEALEKKKKEIADFEAKYKIRIKKSDSDVKDESSKKEGSAQGVLVGPASSSG >EOY18378 pep chromosome:Theobroma_cacao_20110822:10:3243777:3247999:1 gene:TCM_042978 transcript:EOY18378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin 2 isoform 1 MRPSPSHLFPSHFQVPSRSFCAADFTLLLCSKIQHFVSTPTPICDTQTQRIMASKSESKEPVNEQIVANTYGAMRSELNQIYSKITELEMEVSEHSLVINAIQPLDQSRRCYRMIGGVLVERTIKEVLPAVQRNKEGLEEVITRLNEALEKKKKEIADFEAKYKIRIKKSDSDVKDESSKKEGSAQGVLVGPASSSG >EOY19602 pep chromosome:Theobroma_cacao_20110822:10:19181526:19182265:1 gene:TCM_044747 transcript:EOY19602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METMTAIWDAPYGILGLILRQADGAWAVVGPRQTTAFAENNLRVGLDHGPIEAQNHYNRVILVHNWSLGHPIAIVVGIPATITAAAAATASTITATSFNSYASVGSEHDGGDEYKDAYCNGYAITKADSGGGSRRVGRRHIYL >EOY17794 pep chromosome:Theobroma_cacao_20110822:10:888800:891896:-1 gene:TCM_042513 transcript:EOY17794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNTAAPFETDSAILTMFVITTLVYAVDWAIEAKLETRSNSYHHVILSNISLLLESLATVFLVLILVPALGYFILLIWAIYFVGLTYGAWRKLYLLYNAISSVSDLLNELLGRRGLHNEEIIQCSTSSGSSANYTHAFCIFLVAIFLALMNLKFLSVDIPSPFETHSLIMPMFVITILVYATNFHPSFFHEVIRTYISLLTGSLTPVLFALILLPGDLGCGENLKFLKVLTQSCVHDRVGDKTVEVLLLSAFKITYKGILTKAYTATSKFLTLLTKEETNLYEAAQSSMAAFNKCRMGGLWHKRASVLGKKRKPTE >EOY18748 pep chromosome:Theobroma_cacao_20110822:10:4798686:4801927:1 gene:TCM_043255 transcript:EOY18748 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MSPNLKEIGEAIAKRCKGLPLAAKTLGGLLRCRTDVEDWNKVLNSSLWDITDDILPALRLSYYYLPSHLKRCFAYCSIFPKDYEFRKEELIRLWMAEDFLAYSGEVVNMEDRGNEYFKDLTSRSFFQQLSGNKSCFVMHDLISDLAKSVSGEFICRLDSGDRFSCKITKRTRYFSNVQEEYDILKRFEALAEAKGLHTFLTLESWAWGCYVTNAIMDDLIIKFRSLRVLSLAHYHNIDELSEEIGKLKQLRYLDLSETSIERLPNSLTTLYNLQTLLLFECEKLVELPEDVGRLINMHHLDIRGTKLVRMPPRMDKLKDLQILTDFVLGEQKGSSISELGKLKNLRGRLAISNLQNVVCHRDAKDANSKEKINLRELELKWSEDCHTNDDSKHDREILEQLEPHTNLEHLAIEFYRGTRFPEWVGHSSFSNLVSLHLRGCKFCLFLPPLGRLSSLKSLSISGFSEAVTVGDEFYGQGDASSKPFGSLEILSFADMSEWEEWFCLNDGAFPLLQKLYIEDCPKLTKSLPKHLPSLMKLKVVRCGKLGGLLPRAPSMSELDLQECDALQWEPFACGLRNLKISQLNINDSNINDSILEQMVRHCTHLEKLEMWYCNGLKSLPEGSLPTTLKELRINHCNALDYSKILLYTSLERLSMHDVSDHLLESSSIGSLPKLNNLQIRSCEGLKSIRIGSLPKLNDLYIKSDEDLKSFLALEGPHPHLPCLKYFKIKCCPNFISFPEEGFSATNLKSLNLSDCKNLKSLPEQMQSLFPSLVLLSIFKCPEIESFPKEGLPSKLKNITIGRSEKLIAGRKDWGLETLPSLTTFEIHDAEEIESFPDEHLLPSSLTRLKVCNLPNLKFLDYEGFQHLTSLRELRISKCPELQSMPVKRLPIPIIWIDDELIMKEALLA >EOY17742 pep chromosome:Theobroma_cacao_20110822:10:719198:719949:1 gene:TCM_042481 transcript:EOY17742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein B19.1A MASEQVKNASDEERAELDARARLGEVVVPGGTRGKSLEAQERLAEGRHRGGETRKQQIGREGYQEMGRKGGRSTTDKPGGERAAEEGMPIDESKYRNNS >EOY18502 pep chromosome:Theobroma_cacao_20110822:10:3618486:3619665:1 gene:TCM_043048 transcript:EOY18502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVAEILLAAFFTRPALSSFCDSDHSPQTLHNFPKYFFFDPSSLWWKKGFLLLHWPRDFAIHAFCYEKE >EOY19812 pep chromosome:Theobroma_cacao_20110822:10:22681774:22690630:-1 gene:TCM_045155 transcript:EOY19812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPLCRGLKPKLQVDSFLQLPLVSVRPCHSLSSESYQQVYRQNWHLDSDQGALMIVPRNIGLRLKRLLAELLVISLLTMLVLFTLFLKQSIFHNLSS >EOY18503 pep chromosome:Theobroma_cacao_20110822:10:3624692:3625547:-1 gene:TCM_043049 transcript:EOY18503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAASSIKKTPGGFMAMFLATLILCQGFANAEDKQPTLMVMGSEMPHVGESTAGMPLYRSRSDPSVLIPESMVRSTSLQRKRSP >EOY19975 pep chromosome:Theobroma_cacao_20110822:10:24200601:24201205:1 gene:TCM_045379 transcript:EOY19975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVVKPRPISITCDRDWYCAKPTLPLPRESPEGDGRGAGKAAEGEDHRRTGLAKEKREKKGWRNKGKRKRKKKEPVDQGGKGRIRDPTKE >EOY19761 pep chromosome:Theobroma_cacao_20110822:10:22221315:22223367:1 gene:TCM_045086 transcript:EOY19761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance RPS2-like protein MKRIFCLFWTIFGMEATMIGTFFSPLEVGGLRSKIIMTTWNQNVSSIMRSVPDYSLKELPSDDCLFILAQHSLGEKGFNYHLNLKEIREQIVKKCNGLPLAAKTIGGLPHTRVDPDAWQDVLENEIWNSSEEKCGGTAEARVIYALMGLQEEAVMNKLRIHRCNLMNVLCCSMASVASGQKVSRVIGGVEDLKLTCEDNFSLATPNGSLHCPPPYATLSFGSLLSAFHERLIT >EOY18941 pep chromosome:Theobroma_cacao_20110822:10:6434052:6437212:-1 gene:TCM_043461 transcript:EOY18941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFFKHLYSKESNEILSYPIRNGFFKLSEEAYDFMGCSVDVDEVREALFEMKPLKALGLDSFQALFFQSQWQEVMHFFHNKQGRKGWMMIKIDLEKAYDQLKWEFIHDSLLEARIPDNIVDISVRSWSCCSSHISGNGVCSDKFFPSRGAVEQEVWKPIRLGRHGPALTHLFFVDDLRLLAEALKTQMEVIKVSWRTFVPFSVPKGPFVAWQKSANSYKCLVDKVKSQLSAWKASSLSSAGRLTVIKSVLSSIPLHGENHSKKVHLLRWSTLCKPKVQGGEVPLLNTTVAMRLMVDKREQVRDYMLSTGECPVSVALWMRLLPQNNKYQFFQATWSEWLYVNLSQSNPFSLDIPCNILFGIACWHIWKWRNLFVFEGREISIEGQLNIIKYMAVATHNAWLNPLLQSGKPAKREEQLVGWTPPPVGWITVNFDGVYRSRTGAASVSGVLRNSDGTWIVGYACKLSTSTALYRVVGHCSRHPASLGARVSQH >EOY19089 pep chromosome:Theobroma_cacao_20110822:10:9913750:9915377:1 gene:TCM_043789 transcript:EOY19089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 family protein isoform 1 MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >EOY19090 pep chromosome:Theobroma_cacao_20110822:10:9913609:9915289:1 gene:TCM_043789 transcript:EOY19090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 family protein isoform 1 MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >EOY18655 pep chromosome:Theobroma_cacao_20110822:10:4215358:4220818:-1 gene:TCM_043150 transcript:EOY18655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFAKARESDEANLNENTKKKKREDYFVKTDRTYYADDYETVSVRCLDWLDGLKSPQKENNPNGYKVLAQPPKEIEFSPRAHVTRNIYKFDILQPMLIELVGDTQSSFIPEQQASDNVIVMQEAIHTMQIMKRRKGVLAIKINLEKAHGRLKWSFLQESLWRNWQTKNGPTLSHVCFADDIKLFGTATQNQLQVMMRDIHKFCSALDQKVNRQKSKMLVFSNINPTRAKELSCAAYTSLTNDFGKYLGTPMLHGRVQRTTYSDLCSKLLRKLDQWSNKFLSMAGRVSLVQAVTNTMALYIMQTTLIPDNVAKEIDKLNFICGRLGGERKIHAITGAPFVFPKTLEVYRLERPGNLICFTCQAWLEIVARKGFFLVEILWKKYLQNNDLFSVKAKSSDSHIWSSILKNREVLAKRLAMVVNDGLHTKFWLDSWLPCGPLIGFVTRDLSLAEIDLPVACFCDDYGNWDLDSRTDSLPMQVIQKTAPYSIDPSSTENDKCLWTLTSSGEFTVKSAYESLKCNKSA >EOY18736 pep chromosome:Theobroma_cacao_20110822:10:4716487:4726088:-1 gene:TCM_043236 transcript:EOY18736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLAVVSCGAMALALFATFGTLLGWHIYLITHNMTAIEVLGPNMLRWLWPASISHLKDGVSLPTSHDSS >EOY18098 pep chromosome:Theobroma_cacao_20110822:10:2064006:2066873:1 gene:TCM_042739 transcript:EOY18098 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ/MATH-domains containing protein isoform 2 MDDFKDSVSKSVSETVNGSHQFTIKGYSLAKGMGPGKCIASDVFTVGGFDWVIYFYPDGKNPEDSAMYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRTTLETSDYIKDDCLIMNCTVGVVRTRLEGPKQCSISVPPSEMGQNLKALLESEVGCDIIFQVVDEKFKAHKLILAARSPVFRAQFFGLVGDPNMDKVVVEDFEPSIFKAMLLFIYTDKLPDVQEITGSTSMCMSTNMVQHLLAAADLYNLDRLKVLCEAKLCEELNADTVATTLALAEQHHCAQLKAICLKFAATPANLGGACS >EOY18097 pep chromosome:Theobroma_cacao_20110822:10:2064006:2068601:1 gene:TCM_042739 transcript:EOY18097 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ/MATH-domains containing protein isoform 2 MDDFKDSVSKSVSETVNGSHQFTIKGYSLAKGMGPGKCIASDVFTVGGFDWVIYFYPDGKNPEDSAMYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRTTLETSDYIKDDCLIMNCTVGVVRTRLEGPKQCSISVPPSEMGQNLKALLESEVGCDIIFQVVDEKFKAHKLILAARSPVFRAQFFGLVGDPNMDKVVVEDFEPSIFKAMLLFIYTDKLPDVQEITGSTSMCMSTNMVQHLLAAADLYNLDRLKVLCEAKLCEELNADTVATTLALAEQHHCAQLKAICLKFAATPANLGAVMQSEGFRHLEECCPSLLSELLKTFASGEESLSQLSSRKRSGSSVYGMDLAAEGPVAESVNPNGRRVRRR >EOY17791 pep chromosome:Theobroma_cacao_20110822:10:881211:884249:-1 gene:TCM_042510 transcript:EOY17791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLKFLPVNIPSPFETHCDIMTMFIITILVYGTNFHTSFSHEIISKYISLLSGSLAPALLALILFPGYLGRLILLVWTIYFVKLTYDAWRKLYQLYNTISSVSDFLNQLLGRRGLHNEESIPYITSSSISTATHQKHAILGLLIAVFLALLPLKFASMNTTAAPFETHSAILSKFVITTLVYAVAWAIETKLETRANSYHHAIISNISLLVGSLATVLLVLILVPGLGRRLEIQADAACVDLKSQSPFLYEFGVGALTGVLRSDMELLFSKTLTATDVQKRLSIPFTCLKDFEFHGSNSAHLRVEDQNGSIWPFALSTRKKGYPKPIFSKGWLQFVRCSHLCEGDRVEFYKDTKANGLYRIQVQRCIKLFGKKCWVTPPLDQRRVNFEEGTEAAAEAEAKEEESKKNI >EOY19002 pep chromosome:Theobroma_cacao_20110822:10:7766990:7770672:-1 gene:TCM_043586 transcript:EOY19002 gene_biotype:protein_coding transcript_biotype:protein_coding description:10-formyltetrahydrofolate synthetase, putative MHHNHDITQLDPPKKFRPKKKKFSPSRSVRKLELLSPVPADIDIANSVEPFHISEIAKDLNLSSNHYNLYGKYKAKVLLPALDELQGSEDGYFDIGILADGTIFAQRNICCYLSSATIARTNFWNERGAAGGGYCKVILMDEFNLQLTGDIHAITAANNLLAAAIEIW >EOY19483 pep chromosome:Theobroma_cacao_20110822:10:18090734:18093003:1 gene:TCM_044599 transcript:EOY19483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNTLWIFYIMYEHEMYQVKNNGCYLTLCNKNDLVTERFLGIAYVLDTSATSLKATIEAMFPKYGLSLSRVCGECFDGANNIRSEFSGLKTFIMRENESAYYIHC >EOY18253 pep chromosome:Theobroma_cacao_20110822:10:2528501:2532473:-1 gene:TCM_042838 transcript:EOY18253 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein, putative MGPIRGFKRRKKAADKKVVDQNVLPSSAAVASSLGSQPQPLDWWDEFSKRISGTLSQSKDSKSFESVFRISRKTFDYICSLVKEDMMARQSSFTDLNGKPLSLNDQVAVALRRLSSGESLSIIGDTFGMNQSTVSQITWRFVEAMEERGLHHLSWPSTEAEMEQIKSKFEKIRGLPNCCGAIDITHVVMTLPTMDPSNNVWFDREKNYSMILQAVVDPEMRFRDVIAGWPGSLSDAIVLRSSGFFRLSEEGKRLNGKKLNISEGTDIREYIIGDAGFPLLPWLFTPYQGKGLSDLQVEFNKRHAATRMVAQMALARLKEMWRIIHGVMWMPDKNRLPRIVLVCCLLHNILIDLEDEVLDDMSLSHHHDTGYRRQNCESLDKSALIMRDKLSLYLTGKLPP >EOY18665 pep chromosome:Theobroma_cacao_20110822:10:4306467:4323501:-1 gene:TCM_043160 transcript:EOY18665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin (PH) and lipid-binding START domains-containing protein MEAKMEGWLYTIRSNRFGLQFSRKRYFILHNNVLKSYKAIPISDKEEPVRSAMIDSCIRVTDDGRESIHKKVFFIFTLHSTLDHNDQLKLGARSSEEAAIWIRCLKDAALKESRGQAKNFVAFPKKRWPSLRLGSAKTAQIKNFVDWPFRSSVHAEAMTSDVIAPSPWKIFGCQNGLRLFKEAKDGDSRGGHWDDHPAIMAVGVVDGTSETIFRAVMSLGPARSEWDFCLYRGSVVEHLDGHTDIIHKQLYSDWLPWGMKRRDLLLQRYWRREDDGTYVILYHSVFHKKCLPQSGYVRARLKSGGYVITPVNQGKQSLVKHMLAIDWRFWKLYLRPSAARSLTIRMLERVAALRELLKAKQGNYSSECLAGERRRDIDLHQIEREDFKMEIQSPKEIIKIEEDVLIENEVEKQASGRISLMSLNEAPDEFFDVPEASEFTDYDPLESEWPPEPSSELRPPNIQQPKLTSAAGLVRKLHDLAIQKKGYMDLQEVAREDSIMCSYGTSLQKDPTCTLPCSWSAGDPSAFLIRGKNYLKDHQKIKAKGTLMQMVGADWLISDKREDDLGSRLGGIVQKYAARGGPEFFFIVNMQIPGAPMYTLAMYYMIKTPLEDHPLLYNFVNGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLIGHSLEIHYFRGQNYLEVEVDVGSSTVARGVSNLVLGYLNNLVIELAFVIQGNTQEELPETLLGTCRLNRLDLSKAPLAMP >EOY18704 pep chromosome:Theobroma_cacao_20110822:10:4594019:4596064:-1 gene:TCM_043205 transcript:EOY18704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zim17-type zinc finger protein MEVNFLPAEPPSQVLPSASAFFSSRHNFYRRWLQIQANPDGQSNEDTENHEADHLKSASDLANVPSEANHSAVKHTAVSNLKTSSRHDLAMIFTCRVCETRSVKTVCRESYEKGVVVARCSGCNNLHLIADRLGWFGEPGSIKDFLAARGEEVKKGSVDTLNLTLEDLAGKGALKG >EOY19360 pep chromosome:Theobroma_cacao_20110822:10:16810492:16814042:-1 gene:TCM_044447 transcript:EOY19360 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein, putative MLLKYLFPPSLFFTATSLLTLASMANGGLEEVRGKHVQYSKFFGVGSQASKASQITLSTRAAMVILYTPGFLNGVASFLLFPDEGFRFLLLKSAITIHFGKRVFEALLIHKYSGKMGLDTLIFILVSYLISSASMIYSQHLTQGVPEPPVDLKYPGIVLFLVGVSGNFYHHYLLSKLRGKGAKEYKIPKGGLFALVACPHYLFEVLGFWGITFISQTLYTFSFTLGSTLYLMGRSYATRRWYLSKFEDFPEGVRAMIPYIF >EOY17693 pep chromosome:Theobroma_cacao_20110822:10:593766:596457:-1 gene:TCM_042450 transcript:EOY17693 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein MAPGLNSLGLTTLILSSARNASMHRNNCEQLAEHVKLIGNLLEKLKSTNLVTLPAVKEPLDGLDEALKKALDLVESCRDKSWLYMLALGWSIVYQFRRVQAETDRYLHLVPLISLVHEFQMQNLEECLQAIEQDQREYSLDEEDVEAQRVILKPDRTKKDANILEKSLSRRYPDLRFQEALQEEKEKMHVELQRSRTIDDSKQCRVIEHLIDVTENVVNVLPGKEVHKLLVNEPAYVLAGYMTNEKPRGRELGLKTEERCQSEWQVDLFDCCSEPCLSLKTCFYPCGVFSSITNVVSKGKTSREQAISDLMAYSLICGCRCYSCCIRKKLRELFNIKGGSGDDYLTHLICCCCAMVQEWRELEARGFEGCEGREMIPPPYQYMKP >EOY19444 pep chromosome:Theobroma_cacao_20110822:10:17733406:17738379:-1 gene:TCM_044567 transcript:EOY19444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MNHRAVDFILRLVRISCSKDVSEDLLLKLFYETHSDRMVLETVCSMLVDCYIKENEVGLALELACKMKSFNMIPSIGVCNSLLKALLELNELDLAWDFLDQMLRQGSGLNVAIVSLFIDKYCRKGQLLSAWTFLMEMKNYGIKPDVVAYTIIIDSLCKVSCLGEATSLLFKITRLGISPDSVLVSSVVEGHCKAGKPKEAINVINFFNLKPNIFVYNSFISKLCADGDMVEASLIFQDMFELGLLPDCVSYTTIIGGYCKDQDMNRAFQYFGKMLKCGIKPSVTTYTVLIDACCKSEDLEMAECLFQKMIMAGLVPDIVTFNTVIDGYGKKGHLHKAFMLLDMMRSAGISPDVTTYNIIIHSLIERGFTNEAKVILDELVQRGISPDMVTFTNIIDGLSKKGDFEEAFLIWFYMSERHVKPDVVTCSALLNGYCRARRMEEANTLFLRMLDVGLNPDLVLYNTLIHGFCRTGNMDEACNLVTMMVRNGILPNNVTHQAFVLGFEKKWVKNPEESAALKLQQLLLRHDIHVDVD >EOY19442 pep chromosome:Theobroma_cacao_20110822:10:17734330:17740678:-1 gene:TCM_044567 transcript:EOY19442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MKASFSVVNKLLFRRKTPFWHIPSKNSVSVSSSLLESQHVITQHSQVCNPLSLIKSILWKRGWNINPDNLCPIDFNESSVIGILTHLFEESLDAELALYFFKLSERCVGSLHSVKSVCKMIHILVSGNMNHRAVDFILRLVRISCSKDVSEDLLLKLFYETHSDRMVLETVCSMLVDCYIKENEVGLALELACKMKSFNMIPSIGVCNSLLKALLELNELDLAWDFLDQMLRQGSGLNVAIVSLFIDKYCRKGQLLSAWTFLMEMKNYGIKPDVVAYTIIIDSLCKVSCLGEATSLLFKITRLGISPDSVLVSSVVEGHCKAGKPKEAINVINFFNLKPNIFVYNSFISKLCADGDMVEASLIFQDMFELGLLPDCVSYTTIIGGYCKDQDMNRAFQYFGKMLKCGIKPSVTTYTVLIDACCKSEDLEMAECLFQKMIMAGLVPDIVTFNTVIDGYGKKGHLHKAFMLLDMMRSAGISPDVTTYNIIIHSLIERGFTNEAKVILDELVQRGISPDMVTFTNIIDGLSKKGDFEEAFLIWFYMSERHVKPDVVTCSALLNGYCRARRMEEANTLFLRMLDVGLNPDLVLYNTLIHGFCRTGNMDEACNLVTMMVRNGILPNNVTHQAFVLGFEKKWVKNPEESAALKLQQLLLRHDIHVDVD >EOY19443 pep chromosome:Theobroma_cacao_20110822:10:17733936:17738889:-1 gene:TCM_044567 transcript:EOY19443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MKASFSVVNKLLFRRKTPFWHIPSKNSVSVSSSLLESQHVITQHSQVCNPLSLIKSILWKRGWNINPDNLCPIDFNESSVIGILTHLFEESLDAELALYFFKLSERCVGSLHSVKSVCKMIHILVSGNMNHRAVDFILRLVRISCSKDVSEDLLLKLFYETHSDRMVLETVCSMLVDCYIKENEVGLALELACKMKSFNMIPSIGVCNSLLKALLELNELDLAWDFLDQMLRQGSGLNVAIVSLFIDKYCRKGQLLSAWTFLMEMKNYGIKPDVVAYTIIIDSLCKVSCLGEATSLLFKITRLGISPDSVLVSSVVEGHCKAGKPKEAINVINFFNLKPNIFVYNSFISKLCADGDMVEASLIFQDMFELGLLPDCVSYTTIIGGYCKDQDMNRAFQYFGKMLKCGIKPSVTTYTVLIDACCKSEDLEMAECLFQKMIMAGLVPDIVTFNTVIDGYGKKGHLHKAFMLLDMMRSAGISPDVTTYNIIIHSLIERGFTNEAKVILDELVQRGISPDMVTFTNIIDGLSKKGDFEEAFLIWFYMSERHVKPDVVTCSALLNGYCRARRMEEANTLFLRMLDVGLNPDLVLYNTLIHGFCRTGNMDEACNLVTMMVRNGILPNNVTHQAFVLGFEKKWVKNPEESAALKLQQLLLRHDIHVDVD >EOY19304 pep chromosome:Theobroma_cacao_20110822:10:16282847:16284577:1 gene:TCM_044369 transcript:EOY19304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein, putative MKSVKGKFMKKLKSIKPVGYLKPDRVLQVFAIDGFIDSCPKTPNLNEQPKLFSKESEQDKIKESCVTVEQHPEVIDVVELMKDLEDDDEEMDWNDGVDDKENIRPTTKANIDVVVEKENVNFPVKLETGNRRQSTPLSEIDVSSFRKPDLNTCTLFDPNLLAAFEQAVKEHIKMSEEERKARIEQENLEKSENEPPQKTRRIDDDLVDVVVDDDDDNEGDPLLGFEEKCPPGGDGSVILYTTTLKGIRKTFEGCNSVRFLLESFRVIFYERDISMHTEYKEELWRILDGKVMPPRLFIKGRYIGGAEEVLTLHEQGKLKLLFQGIPADTSNAPCEGCAGVRFVLCFKCNGSHRIIADDGLSSKCLQCNENGLIICPICC >EOY19926 pep chromosome:Theobroma_cacao_20110822:10:23885289:23892046:-1 gene:TCM_045329 transcript:EOY19926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATEDRDIHQTLGQLNQTLSMIDQNLDQVSQDSSTEVLNGLAEAVAGLKANQEELKDVFYELLTSFFRAGEGNSHNRDLSQLQDEGLRVIETEGEDELVGLVDGLEGLKKRGDELNERVIELMRDYNIVPKCSGGIEESYKENKPMDLESLAFTKEDGQEKSQGPKRNLESRDFSFYVVATHLYGFPWNVAMEIERSLGHRTLVESERLFLEDEDHIVLDEDSDSDDDGKLQEKDAGELKWVRVKKLKQIFEELKKKIYIKEEIDLNVSPKASDSGDELDPVTVNLRKRFLKVIRNWIFHIESVFVDLMFEIYYMFPGNDAEENIFQDLKSKLEDMMEIYLGMVPISVYKIIYMMENTEGANLEALMKMMTTLLGSNEEILYEKMNLNDRMDQAHKIILRLRSMLVTADLSYTRFIKEGESATYEKLLSESEEELRDIQRNIDRVKSELKIK >EOY17661 pep chromosome:Theobroma_cacao_20110822:10:491529:495910:-1 gene:TCM_042430 transcript:EOY17661 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+)/H(+) antiporter, putative MAINETIYPKLCILYERNMYSKGIWYGENPLDYFIPLAMFQIILFFILSRAVYFLLRPLKQPKVVCNVLTGLLLGPSVLGRYTTLMDNLYPAKEMLAVNTLCLFSNISFIFLITVKTDTRMIFRTARDDWSLGLLSILVPLGISYTLFNLLRDFLPGFQGGIAAFTVCGIMSTSFLSDVAYGMDELKLLTSELGQLAMSSAMINDLVGWAIVATLIMSSQTKSLSIEAMLSFAAFPIFALYAVRPTISWIIRTTPEGKQVDQLYVVATLLGAFAMGAISDAIGLSFLPGIIAMGLIVPNGPPLGAAIVEKSELIINEFFMPFFYLRLGRLANIVEIRDWKEFNALLLIIITGFLAKLLSVCLHSCFCKMRVRYVLLLSSMLNVKGVLKFIYFIRFLTKRRIDEQSFVLLILSNLTVTAIAMPLIEYFYKPHLRLETSLSAKLSTMSLHSTSSFGELRILLCIHNEDDVHGIITLLEASNPTPVSTICAYVVHLVELVGRATPLLAPYKKVGRNRTDRIMRAFTNYSKTSEQSVTVQPYTSIAPYKTMYENVCRLAQAKHVPLIIVPFHKSQVGEINASFRCFNVQTQAYAPCTVGILVQRGLSCVTCAEFSCNIAVLFVGGPDDREALALAARMSGHPRVQITVMRIIVRENVHQSELEQQLDDKLLTEFKAKTENYNFVQCLEVQVNNSVEILSWIRSLENKYDLVMVGKRQGSNLELEGDMATWIEHPELGVLGDMVASEDFRRGTVSVLVLQHCMVGETSKNRSSSQRRRYSIGSFSALLK >EOY19311 pep chromosome:Theobroma_cacao_20110822:10:17208429:17216894:1 gene:TCM_044376 transcript:EOY19311 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC-rich sequence DNA-binding factor-like protein, putative isoform 1 MSSAIRARNFRRRGDDIDDDGNDDNNTPNIASATVTATKKPSSSKPTAKKPPKLLSFADDENEEETTKPSSNRNRDKEREKPFSSRVSKPLSAHKITSTKDCKTPSTLPSNVQPQAGTYTKEALLELQKNMRTLAAPSSRASSVSSEPKIVLKGLLKPQSQNLNSERDNDPPEKLQKDDTESRLATMAAGKGVDLDFSAFPDQATIDAIKAKKDRVRKSFARPAPDYISLDRGSNLGGAMEEELSDDEEPEFPGRLFGESGKKGVFEVIEERAVGVGLRKDGIHDEDDDDNEEEKMWEEEQFRKGLGKRMDDSSNRVVSSSNNSGGVGMVHNMQQQHQQRYGYSTMGSYGSMMPSVSPAPPSSIVGAAGASQGLDVTSISQQAEITKKALQENVRRLKESHDRTISSLTKADENLSASLFNITALEKSLSAAGEKFIFMQKLRDFVSVICEFLQHKAPLIEELEEHMQKLNEERALSVLERRSANNDDEMVEVEAAVTAAMLVFSECGNSAAMIEVAANAAQAAAAAIRGQVNLPVKLDEFGRDVNRQKHLDMERRAEARQRRKARFDSKRLSSMEIDSSYQKIEGESSTDESDSESTAYRSNRDMLLQTADEIFGDASEEYSQLSLVKERFERWKKDYSSSYRDAYMSLSIPAIFSPYVRLELLKWDPLHVDEDFSDMKWHNLLFNYGFPEDGSFAPDDADANLVPALVEKVALPVLHHEISHCWDMLSMQETKNAVSATSLIIDYVPASSEALAELLVTIRTRLSEAVADIMVPTWSPLVMKAVPNAARVAAYRFGMSVRLMRNICLWKEILALPILEKLALDELLYGKILPHVRNITSDVHDAVTRTERIVASLSGVWAGTNVIQDSSRKLQPLVDYVLLLGKTLERRHASGVTESGTGGLARRLKKMLVELNEYDSARDIARRFHLKEAL >EOY19310 pep chromosome:Theobroma_cacao_20110822:10:16311020:17216467:1 gene:TCM_044376 transcript:EOY19310 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC-rich sequence DNA-binding factor-like protein, putative isoform 1 MSSAIRARNFRRRGDDIDDDGNDDNNTPNIASATVTATKKPSSSKPTAKKPPKLLSFADDENEEETTKPSSNRNRDKEREKPFSSRVSKPLSAHKITSTKDCKTPSTLPSNVQPQAGTYTKEALLELQKNMRTLAAPSSRASSVSSEPKIVLKGLLKPQSQNLNSERDNDPPEKLQKDDTESRLATMAAGKGVDLDFSAFPDQATIDAIKAKKDRVRKSFARPAPDYISLDRGSNLGGAMEEELSDDEEPEFPGRLFGESGKKGVFEVIEERAVGVGLRKDGIHDEDDDDNEEEKMWEEEQFRKGLGKRMDDSSNRVVSSSNNSGGVGMVHNMQQQHQQRYGYSTMGSYGSMMPSVSPAPPSSIVGAAGASQGLDVTSISQQAEITKKALQENVRRLKESHDRTISSLTKADENLSASLFNITALEKSLSAAGEKFIFMQKLRDFVSVICEFLQHKAPLIEELEEHMQKLNEERALSVLERRSANNDDEMVEVEAAVTAAMLVFSECGNSAAMIEVAANAAQAAAAAIRGQVNLPVKLDEFGRDVNRQKHLDMERRAEARQRRKARFDSKRLSSMEIDSSYQKIEGESSTDESDSESTAYRSNRDMLLQTADEIFGDASEEYSQLSLVKERFERWKKDYSSSYRDAYMSLSIPAIFSPYVRLELLKWDPLHVDEDFSDMKWHNLLFNYGFPEDGSFAPDDADANLVPALVEKVALPVLHHEISHCWDMLSMQETKNAVSATSLIIDYVPASSEALAELLVTIRTRLSEAVADIMVPTWSPLVMKAVPNAARVAAYRFGMSVRLMRNICLWKEILALPILEKLALDELLYGKILPHVRNITSDVHDAVTRTERIVASLSGVWAGTNVIQDSSRKLQPLVDYVLLLGKTLERRHASGVTESGTGGLARRLKKMLVELNEYDSARDIARRFHLKEAL >EOY19175 pep chromosome:Theobroma_cacao_20110822:10:11854809:11862154:1 gene:TCM_043990 transcript:EOY19175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCAMWGLHMSWVRWWCGVTRSGSLRDAITYVATSLDVLSNILELITYLQKEDKGVSLIDSVIINSVSRV >EOY18640 pep chromosome:Theobroma_cacao_20110822:10:4147687:4150148:-1 gene:TCM_046958 transcript:EOY18640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane intrinsic protein 2A MAKEGEHGFHAKDYVDPPPAPLIDAAELKKWSFYRALIAEFIATLLFLYITVLTVIGNKSQVGTSNDSCAGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFVARKVSLIRAIAYMVAQSLGAICGVGLVKAFQKSFYNRYGGGANTLSDGYSTGTGLGAEIIGTFVLVYTVFSATDPKRKARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGAAVIYNNKKAWDDHWIFWVGPMIGAAIAAFYHQFILRAGAAKALGSFRSQTHV >EOY19861 pep chromosome:Theobroma_cacao_20110822:10:23372825:23373804:1 gene:TCM_045246 transcript:EOY19861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator ARR8 MGMATEAQFHVLAVDDSLIDRKLIERLLKTSSYHVTAVDSGSKALEFLGLNGSHEDGQRNPSPASVSLDEDQHQQDVEVNLIITDYCMPGMTGYDLLRRIKGSSSFKDIPVVIMSSENIPSRINRCLEDGAEEFFLKPVQLSDVNKLRPHLMKGRSKEMQQNISKRKGMEEILTPDRTRTRYNELEVV >EOY20211 pep chromosome:Theobroma_cacao_20110822:10:25262613:25268437:1 gene:TCM_045585 transcript:EOY20211 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 METSPPISGPPSPSPTSSSSVTSSSGGDTSDSSRSFAIQVPALNLFRSPLSLLLEYSGIFSNPTLNQPRGVLVNTDLQPPANAASGAGEVSIQIIGPARAEEDNAQTSLDHDGVEEDDPLTGSSTSNEERSSNSSYQMQSYDVQRIARWFEHILPFSLLLLLVFIREHLQGFVVMIWVTIVMFKSNVILQKQTALKGERKNAVLITYSVLFMLHVIGVYWWYRDNDLLYPLVMLPPKTIPPFWHAIFIILVNDAMVRQAVMAFKCVLLIYYKNGRGHNFRRQGQVLTLVEYTLLLYRALLPTPVWYRFFLNKDYGSLFSSLTTGLYLTFKLTAVIEKVQSFFAALKALSQKEVHYGSYATLEQVNEAGDLCAICQEKMQAPILLRCKHIFCEDCVSEWFERERTCPLCRAVIKAPDLRSFGDGSTSLFFQLF >EOY20212 pep chromosome:Theobroma_cacao_20110822:10:25262833:25268899:1 gene:TCM_045585 transcript:EOY20212 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 METSPPISGPPSPSPTSSSSVTSSSGGDTSDSSRSFAIQVPALNLFRSPLSLLLEYSGIFSNPTLNQPRGVLVNTDLQPPANAASGAGEVSIQIIGPARAEEDNAQTSLDHDGVEEDDPLTGSSTSNEERSSNSSYQMQSYDVQRIARWFEHILPFSLLLLLVFIREHLQGFVVMIWVTIVMFKSNVILQKQTALKGERKNAVLITYSVLFMLHVIGVYWWYRDNDLLYPLVMLPPKTIPPFWHAIFIILVNDAMVRQAVMAFKCVLLIYYKNGRGHNFRRQGQVLTLVEYTLLLYRALLPTPVWYRFFLNKDYGSLFSSLTTGLYLTFKLTAVIEKVQSFFAALKALSQKEVHYGSYATLEQVVNEAGDLCAICQEKMQAPILLRCKHIFCEDCVSEWFERERTCPLCRAVIKAPDLRSFGDGSTSLFFQLF >EOY20088 pep chromosome:Theobroma_cacao_20110822:10:24791313:24795431:1 gene:TCM_045488 transcript:EOY20088 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein MEDFSDMLLFTLGRRNMTVEDRISKLPDDLLLKIMSLLNTKQAVQTCVLSKRWKPLWQSLPNLDFDFDTFPFQQEIDDEDKEEVEMKMCSFSNFISQVLFRRCPTDLVKVCVQSHIYDPHCFLVDGLICYAVKHNVQQLTFHSRSDCQYILPESFWTCQSLTSLELKGSDWMPMKLPTLLACPALKSLHLSHFFAAGPNFEPTAFSGCPNLETMQLFDILAVGSEGLCIDALKLTSLVFSFASLRHSKVEIYAPQLTTFKYSGIPPIVCLTDHLASVDDVYFDMKTPGFKRNEEESVLLLIKTINEFRHAKSLTLSSSTVQVLTKFQSLLDQNLLPFANLKHLKIKAKKWESKRFEMPACILNYFLNNSTVLKICMDSYEDTE >EOY19829 pep chromosome:Theobroma_cacao_20110822:10:22975712:22976935:1 gene:TCM_045189 transcript:EOY19829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMMTTKVQIFFSYQWDFQPCCSSWLGDFSSMQVAKLFADRLMCFSVFCIVLAWCSLLGALCWFLLTSLDFFARCSMPVSVRTVGFFVELVAKQKIKGTPWADPCRRECCHMTPLTFPLLVLTSCCKFFLLPSFELFLAFWRIS >EOY18150 pep chromosome:Theobroma_cacao_20110822:10:2186647:2191118:-1 gene:TCM_042767 transcript:EOY18150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKRFHPVKDDHLLRSDSETESDDNNLDDHVHGENLHRKRNNREKTIEKECKNSNEKEITLDNGNNSQDMEDGFKEEPENIVMKDAKEYQEYMKQLPVPDALELSSSLVLPFITWQGLAESMKHKYEQPLHYLTHILLRQWDESRDSTKNNESIKPIGNVIHPTPIYSAMNEMENNHQRKKKTIALSFQSLAVMAKARGRPRRTSSSSSHARQWSPLTLATLRNMRERPCQKCHTRKPGSTVTYRDQSDHGYGWLLPGWVAEERRMLTGRLYTYYYDPWGRLYNTKREVLYRWAVCGLVLVE >EOY18166 pep chromosome:Theobroma_cacao_20110822:10:2232156:2233603:1 gene:TCM_042780 transcript:EOY18166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIRIKAINHSSHQQHDLHLVQSDSFYICNGCGASGLGPRFSCPHRGCCFNLHEECCSIFTPITSHPFLINCNFIFSKSMPSQRPTCNACRKIVYGPNYYRCNHSNRNLHLSCARLPRTITASNGMNMTLKNEYQPRCLYPMCPKTNSGWSYFSANEHYSYHAGCWKDQMLKNANPRAQRNGLLPLRNNVTRPHRRH >EOY18167 pep chromosome:Theobroma_cacao_20110822:10:2232133:2233526:1 gene:TCM_042780 transcript:EOY18167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIRIKAINHSSHQQHDLHLVQSDSFYICNGCGASGLGPRFSCPHRGCCFNLHEECCSIFTPITSHPFLINCNFIFSKSMPSQRPTCNACRKIVYGPNYYRCNHSNRNLHLSCARLPRTITASNGMNMTLKNEYQPRCLYPMCPKTNSGWSYFSANEHYSYHAGCWKDQMLKNANPRAQRNGLLPLRNNVTRPHRRH >EOY18069 pep chromosome:Theobroma_cacao_20110822:10:1972788:1974815:-1 gene:TCM_042722 transcript:EOY18069 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative MELKVESGGIQEDGNLGWGRSLPVPSIQEIVRNDSNSVPDRYIQEHKDRPQVSENLHASLDIPVLDFSLLAKGDENERRKLHLACKEWGFFQITNHGMAKEVLHKMKTAVAAFFELPLQEKKKYAMAANDLQGYGQAYVVSEEQKLDWNDIIFLITLPTEKRNFKFWPVTSPGFKEAVDQYSTELQKVAAEIYANLSVLMGLDRDGLLELLGELKQGIRMNYYPSCPRPDLVLGISPHSDGSALTLLLQDDEVTALQIKHEESWVDVKPIPNSLVVNIGDAIEILSNGVYKSIEHRAITNEKKERISIATFVFPDEEQEIGPLESMVDELHRPRMYRKIEYVDYLRQVLNRRMEGKAHTDIVKLDNK >EOY18262 pep chromosome:Theobroma_cacao_20110822:10:2575278:2576194:-1 gene:TCM_042848 transcript:EOY18262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein A, putative MTPRKEKFSYITDDSARKASFRIRKNGLLKKASELSTLCGIEVCVIIYSPYDTQPVVWPSPEGAQSVLSEFKMMLTMDQSTKMMNQESFLRQRIANANQQLQRQSKDNREKEITQVMFQCLAGQGLESLNMMDLNDLGWLLKQNLEDIDKRIDTLTKASDSQGSVAAASAAMATPEAMLKSGEKVQAESPEREASTEIEDWQQMIEELMHPSDDVGLGLVLPFGDNNPTAFFP >EOY19079 pep chromosome:Theobroma_cacao_20110822:10:9563736:9586586:-1 gene:TCM_043754 transcript:EOY19079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREGRLNQIEFNRRDVKLMQEPCEVSVNISDVTLIGLITSSYKCPLRGLKGILDLDFVTTIAVVEEELFKK >EOY17547 pep chromosome:Theobroma_cacao_20110822:10:160099:165211:-1 gene:TCM_046942 transcript:EOY17547 gene_biotype:protein_coding transcript_biotype:protein_coding description:DYNAMIN-like 1E MTTMESLIGLVNRIQRACTVLGDYGGGDNAFSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTDQSSQEYAEFLHLPKRRFTDFAMVRKEIQDETDRMTGKTKQISPVPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQPESIVQDIETMVRSYVEKPNCIILAISPANQDIATSDAIKIAREVDPSGDRTFGVLTKLDLMDKGTNALDVLEGRSYRLQQPWVGIVNRSQADINKNVDMIVARRKEREYFATSPDYSHLASKMGSEYLAKLLSQHLESVIRARIPSITSLINKSIDELESEMDHLGRPIALDAGAQLYTILELCRAFDRIFKEHLDGGRPGGDRVYGVFDNQLPAALRKLPFDRHLSLQNVRRVVSEADGYQPHLIAPEQGYRRLIEGALNYFRGPAEASVDAVHFVLKELVRKSVGETQELKRFPTLQAEIAAAANEALERFRDESKKTVIRLVDMESSYLTVDFFRRLPQEVDKGGNPAAAAAATATNTDRYGEGHFRRIGSNVSSYVGMVSETLKNTIPKAVVYCQVREAKQSLLNRFYTLLGKKEAKQLSQLLDEDPALMERRQQCAKRLELYKAARDEIDSVSWAR >EOY19630 pep chromosome:Theobroma_cacao_20110822:10:19351869:19352950:1 gene:TCM_044768 transcript:EOY19630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSLINSLMHLLNHCQRPYFTFFYRRLVSPMVLPSCGPYKKVILAILTSTSTSITIDPLCTSKSIFVIKAILATTSLLVYAFVDILATTKFIKQYNNKSEHLTHNTQTRSYNDQITTT >EOY18780 pep chromosome:Theobroma_cacao_20110822:10:4929598:4931008:-1 gene:TCM_043275 transcript:EOY18780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive element-binding protein 2C MVFVGDQNPKVGSTLSMDSSRKRKRRNGLSVADTLKLWSQNEEAKHPRKAPAKGSKKGCMRGKGGPQNQSCNYRGVRQRTWGKWVAEIRAPNRGKRLWLGTFPTAYEAALAYDEAARMMYGENAILNMPYVSDSDSVATTSNAFSEADFMTVWPKSEICGYHAFGEGERMNVEFPVDSEAPSTSGVINTTDEFQPAKPEEECMKETDYSWLNGLDFSEDIPIIGAPGVWDVGSYFSEDEVFNIDEILG >EOY17962 pep chromosome:Theobroma_cacao_20110822:10:1594181:1596107:1 gene:TCM_042648 transcript:EOY17962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGAPMKYTCVVVFLVILSIAGFNGVDGYGPCGKHDIEKEAEKLAPCTKAAQYLEAPVSKRCCTVMEKKLKNPDCLCAIMFSHTARSAGVNPEVAVTIPKRCNIPVRPLSHWFKREDSHGSSSCTKLLGVSSISVRILSLLLVNPVDQFHCDIQPFK >EOY17963 pep chromosome:Theobroma_cacao_20110822:10:1595583:1596097:1 gene:TCM_042648 transcript:EOY17963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDMAHVGNMTSRRKLRSWLLVRRQLNIWKLQFLSVAALSWRKSSRILTACVLLCFLIQLGVLESIQKLLSPFPNAATFLFVPWVTSVELSHWFKREDSHGSSSCTKLLGVSSISVRILSLLLVNPVDQFHCDIQPFK >EOY19283 pep chromosome:Theobroma_cacao_20110822:10:15910911:15915073:-1 gene:TCM_044328 transcript:EOY19283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double Clp-N motif-containing P-loop nucleoside triphosphate hydrolases superfamily protein, putative MRAGVCTVQQALTAEAANLVKQAVGLARRRGHAQVTPLHVASAMLASSTGLLRRACLQSHSHPLQFRALELCFNVALNRLPASSSSPLLGPHSHHPSLSNALVAAFKRAQAHQRRGSIENQQQPILALKIELEHLVISILDDPSVSRVMREAGFSSTQVKSKVEQTVSLEICSQSPSVSASCQTKESAKPQVLGANVSHCMSYSQVGFGLSLSKPLDQVRNEDVTNVLNTVVNKRRNTVIIGECIGSAESVVRGVMDKFEKGQVSGDLRYMQFISLPLFSLRNLPKDEVEQKLVELKCLVKSYMGRGVVLYLGDLKWISEFWSNCGEQRSNFYCPVEHIVMELKRFVCGIRETGKLFLMGIATFQTYMKCKTGHPSLETIWELYPLTISVDSLGLSLNLDSDSQPQHRNKATIDGISWPLHEAGVNKNQTSFTDRLLNFDKAAQSTSSLPSWLQNYKEESKTNASHDKDSVNVRDLYRKWNSFRSSASKDHYNTEDALNISPLSSSSPISASSQERNANLHKTNLSWPVIFEPKKSPKEHQFWLSESTDEGYGLPLRNDPKPDLLSNPNSSPNSASSSEAIEEDIDGLNEFKILNVENLNILCNALEKKVPWQKEMIPEIVSTILECRSGMRKAKSWLKHREFKEETLLFFLGVDYEAKKKIARELARLIFGSQSNFASISLSNFSSTRADSNEQSGNKRKRDESGSSYLQRFGEALNENPHRVFFMEDLEQVDYCSQKGIKQAIESGRTTLSDGETVPLKDAIIIFSCESFSSVSRACSPRGKPNMGETEEKGNRKEDMELQNSCVSLDLNIAIENNSADECSGIGDIGILEYVDKQIIFRVQEL >EOY19683 pep chromosome:Theobroma_cacao_20110822:10:20001618:20007215:-1 gene:TCM_044868 transcript:EOY19683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYQDLKEVYWWEGLKRDVAEFVSKCLVCQQVKAEHQKPAGLLQPLPVPEWKWEHIAMDFVTGLPRTSGGYDSIWIVVDRLTKSAHFLPVKTTYGAAQYARVYVDEIVRLHGIPISIVSDRGAQFTSRFWGKLQEALGTKLDFSTAFHPQTGGQSERTIQTLEDMLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPVGWLEVGERKLLGPELVQDATEKIHMIRQRMLTAQSRQKSYADNRRRDLEFQVGDHVFLKVLPTKGVMRFGKKGKLSPRYIGPFEILDKVGAVAYRLALPPDLSNIHPVFHVSMLRKYNPDPSHVIRYETIQLQDDLTYEEQPVAILDRQVKKLRSKDVASVKVLWWNHTSEEVTWEAEDEMRTKHPHLFDM >EOY18077 pep chromosome:Theobroma_cacao_20110822:10:1999377:2001534:-1 gene:TCM_042729 transcript:EOY18077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase/oxygenase activase, chloroplastic isoform 1 MAAAVSTIGAVNRAPLSLNGSGAGAAVPSSAFLGSSLKKVSSRFSNSKLPSVSFKVVASTESTDEEKQTDKDKWRGLAYDESDDQQDITRGKGMVDSLFQAPMNDGTHYAVMSSYEYLSQGLRTYNLDNNVDGFYIAPAFMDKLVVHISKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRIGVCKGIFRTDNVPDDDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWVSEVGVDTVGKKLVNSREGPPTFEQPKMTIEKLLEYGNMLVAEQENVKRVQLADKYLSEAALGDANEDSIKRGTFYG >EOY18078 pep chromosome:Theobroma_cacao_20110822:10:1998869:2001771:-1 gene:TCM_042729 transcript:EOY18078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase/oxygenase activase, chloroplastic isoform 1 MAAAVSTIGAVNRAPLSLNGSGAGAAVPSSAFLGSSLKKVSSRFSNSKLPSVSFKVVASTESTDEEKQTDKDKWRGLAYDESDDQQDITRGKGMVDSLFQAPMNDGTHYAVMSSYEYLSQGLRTYNLDNNVDGFYIAPAFMDKLVVHISKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRIGVCKGIFRTDNVPDDDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWVSEVGVDTVGKKLVNSREGPPTFEQPKMTIEKLLEYGNMLVAEQENVKRVQLADKYLSEAALGDANEDSIKRGTFYGKAAQQIGVPVPEGCTDPGADNFDPTARSDDGSCTYK >EOY17659 pep chromosome:Theobroma_cacao_20110822:10:484093:487597:1 gene:TCM_042428 transcript:EOY17659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 12 METFKDFSIIFVGLFLLICSALCLANAEVQQHQFVIQATPVKRLCKTHSSITVNGMFPGPTLEVKDGDTLEVKVINKARYNVTIHWHGIRQMRTGWADGPEFVTQCPIRPGGSYTYRFTIQGQVGTLWWHAHSSWLRATVYGALIIRPKEGESYPFPKPKRETPILLGEWWDANPIDVVREATRTGASPNISDAYTINGQPGDLYICSSKDTTIVPIDSGETNLLRVINAALNQPLFFTVANHKLTVVGADASYLKPFTTSVIMLGPGQTTDVLIRGDQAPAQYYMAARAYQSAQNAPFDNTTTTAILEYKSAPCPAKKGLAPKPIMPSLPAYNDTNTVTAFSRSFRSPQKVEVPTDIDESLFFTVGLGLNNCPPNFHKSRCQGPNGTRFTASMNNVSFVLPGNFSLLQAHQQGIPGVFTTDFPGTPPVIFDYTGNVSQSLFQPVTGTKLYKLKYGSRVQIVIQDTSIVTPENHPIHLHGYDFYIIAEGFGNFNPQKDTSKFNLVDPPLRNTVAVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVENGFGELQTLQAPPPDLPIC >EOY19435 pep chromosome:Theobroma_cacao_20110822:10:17573040:17578517:-1 gene:TCM_044548 transcript:EOY19435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRPGCYDQIEIEAMALQFFRELYRDDGIPESLPKRSHWRLDDNESTDISKPIIDEEILDQFCKASGQKVSLAKSRILFSSNVCSARVNLLSNTTKIPLTMDSGKYLGASMIHGRITRETYSELVFKFGWPMSYKNVQRYKAKLLAASMENSKLKESASIHLRNLTLFDAYFVWPHNAWQQIWTKAKDAWDNLSRKHFRIKKGVMISWKKPKYPFVKLNVDESAKGQPVMAAASGVIRDENGNWGFRKVQVESDSLLAIQKLTNQSSPLDPECSSSQVYKRTTPTALGLHHISCSL >EOY18961 pep chromosome:Theobroma_cacao_20110822:10:6753360:6755808:-1 gene:TCM_043491 transcript:EOY18961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MAMERLRSAAEAGDTDELHNRGSAPGEDAGVLRRHDDVEFAHTPLHVAAARGHADFATAIMYLRLSFARKLNQGVFSPIHLALQQGHTSTVLRLLDVDKDLVRIKGKQGYTAFHYVVQNENLELLAQFLKDCPACIEDETIQKKTALHIAAEKHRFEALEILVRWLERTHLHGEVSRKHLLNAKDTDGNTVLHIAASHIQPKMIRLLLDCKVDTKAINSENLIVLNVLELQSNGLSAAGLIDDKEISLKILRDTEGSAGFSALFKPKAEPLHQKFRSRIKFPENAAIRALGPIMNMSVESMNAFLVALALIITAIYESLLSPPGGVWQGNDPNNTRVGNSAMDVNAFLNFFGTLLFLSVFTFSLTIAILQLATGSGIIAILAEVLTVLLTRFFYYAWRTIRPADDIYIQQGSR >EOY20183 pep chromosome:Theobroma_cacao_20110822:10:25170670:25172902:1 gene:TCM_045559 transcript:EOY20183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol-cytochrome c reductase complex 6.7 kDa protein MAGEGAMFKFLRPRLRPQPGDIQAAALWGVAATTTGLWLIQPFDWLKRTFLEKPESK >EOY18393 pep chromosome:Theobroma_cacao_20110822:10:3312031:3313748:-1 gene:TCM_042990 transcript:EOY18393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSVSMEREPTTSPADELFYKGKLLPLHLPPRLQMVEKLLRNSNAVYEDRKDNFEEFYSTPLATTVTTPTTTSTPFESCNISPSESCRVSRELNPEEYFFDYSTEVSGFIGENQKKSWTKKLKLIKQSSIGSKLKASRAYLKSLFGKSGCSDESCAAAKVADEGSVSKAKERLDRDMKATKKNPFGQIQHDKYQTSTAVMRSFDNEKITVDNANRHRRSFSLAIKRHSTNKSSSSSSSSGSSSSCSSNASNGFQYLQFLKRSSSVNAEIENPIQGAIAHCKQSQQLMRSRKTVSEVGFYSLSASSIAVCEDQERPDLCRG >EOY19536 pep chromosome:Theobroma_cacao_20110822:10:18545063:18574289:1 gene:TCM_044666 transcript:EOY19536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNHNKPYLAHEFLIQLHIMYVFRIHNKILTVADEKKKSHLGVLKILLKDKFDKFFYSTKNDTKEK >EOY18412 pep chromosome:Theobroma_cacao_20110822:10:3407785:3411298:-1 gene:TCM_043009 transcript:EOY18412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase, putative MENSGNLGLLVRISSIFCLVCLSVGFKPIDNYLIDCGSFKNRSEGQRVFIADNSSSSSYTLTTPQHIFANSVSNSISLYYDAALYQTARIFSGPSHYNFSIKEQGRHWIRLHFFPFVFENYNMSNAKFSVSAQNFTLIRELQLGNSSVVKEYCLNITSNKLVLTFNPAAKSFAFINALEVFSIPENLIPKEARTIDPKGDNRTLWEQALETVARVDMGNATVLPQNDTLWRLWVSDDAYLIDKNLGSFVSNVKAVNFTGGLMTEDIAPASVYGTATRLNYDDPRLKANLTWSFDVNPGFDYLVRLHFCDIVSNSTQQGIFLHIFLDTQLVGHLDLGSKTSNVLGVPYFMDVCIKASARHKLNVSVGSSTIVDYPSVILNGLEIMKINNARGSLDVPDLVSSRSSKMKVVVMVGLAVGSFVVVVVLAAVLFLFRRRRRKPVQETEEHFSMNTGQIVHTTGTKYSNGTAIFSSSKIGYRFPFMAILEATDNFSENLVIGVGGFGKVYKGVLRDETEVAVKRGAPQSNQGLVEFRTEIEMLSQFRHRHLVSLIGYCDDQNEMIIIYEYMKNGTLKNHLYGSNLPGLSWRQRLEICIGSAKGLHYLHTGSAKAIIHRDVKSANILLDKTFMAKVADFGLSKTGPDIDQTHVSTAVKGSFGYLDPEYLTRQQLTEKSDVYSFGVVLLEVLCGRPVIDPSLPREKVNLVDWATKSYRNGKLEEVVDPILVGEIKPDSLRKYWEITEKCLQEHGIHRPSMGDVLWNLESALQLQGNEQTPNHNGQLSSQASHVSRLETGLEFSRTGSVSDLAGISMSTVFAQMVREEMR >EOY18193 pep chromosome:Theobroma_cacao_20110822:10:2356270:2360134:1 gene:TCM_042808 transcript:EOY18193 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-XYL synthase 6 MSANGDHNSASKKPPSPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNFFTGSKDNLRKWIGHPRFELIRHDVTEALLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLTVQLPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAEAVKELINPEVQISMVENTPDDPRQRKPDITKAKELLGWEPTVKLRDGLPLMEEDFRQRLGVSRKN >EOY18238 pep chromosome:Theobroma_cacao_20110822:10:2464382:2467062:1 gene:TCM_042830 transcript:EOY18238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein MAGIFNQAKPFLAVIFLQFGYAGMSIIAKFALNQGMSQHVFVMYRHAIAFLVIAPFAIVLDRKVRPKMTLSIFVKLLLLGLLEPTIDQNLYFTGMKYTSATFTAAMCNVLPAFAFVLAWIFKLEKVHIKKLHSQAKILGTMVTVGGAMLMTLINGPMLNLPWANPNNQHVFSSAAAKQDHIKGAVMIAVGCFCWAGFIILQAITLKSYPAELSLTALICLVGTIEGSIVALAREAGNAAAWSVHLDVKFLAAVYGGVVCSGVGYYLQAVIMKARGPVFVTAFNPLTMVIVAILGSFVLSEVLYSGRVIGAIVIVIGLYLVLWGKSKDQPSSNLDVDVEPSSDQKMTAMDETKVGPNQDYVVLDVTSNRVNPSDESV >EOY19365 pep chromosome:Theobroma_cacao_20110822:10:16857692:16958182:-1 gene:TCM_044454 transcript:EOY19365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pro-like protein MSRRGGSLDTSHSDSEGSLDSTARSKWHPDTDFLLNQSEEYHREHTRKAIARGDIRLRKGREMNPKLYPRRYPTRISVRGGEQSTLVKVQRLCLEIGIRSISTPRTNAKLQSVNNAVQHEVRRQEQTIQELRNDCCLLETAMEGYKAQYEAVRQEYFQMRERNDSCTQSLQRKEAEMRWILRQMREVAFKARVMADKTEELRREILSKDELSERLIDHLKMLGTNMTKLVFPFGSYVMILDKDVIRYFSFIDVDFLMRPIGGTSPSDPISVPDLDDPKEQEKLKCASVESKDNLDTHQKFDLLEEILRMIEGMGMYCSMDAIELCLVLDVVIPQKFKVLNFEKYDGTKCPITHITMYCKRMATYAHDDKLLIHCFQDSLTDMAPDRLSLQNMKKKPTESFKEYAQRWRNMASQVQPPLTKKETTVMFVNTLRAPYYERLVGSAIKNFADMVISGEMIETAIKQGKIERGDTANTKKGGTFKKREGEAQAITSGQPQGGSYNPYQPYPPYPYYPVRWYDASAHCDYHYGIEGHSIENCTTFKHKVQGLIKAGILNFEKKQEQNVNNNPLPNHTGAGVNAIEGEMNVKKNIPEVETPMEKVFEALVKACMLEVWSKRPDMNDSRDIQRPYCLYHKECVGHLIQDCCSFRKEVQRMMDESKIEFYVEASRPAVNMMAKDSTHPMKIKPLTIFYEPRGEPVEDRTQAKMTIEAPKPFPYKDDKAVSWNYNCNAHDNRRDVPIDTHYPECQPEPHKAYISVSFLSWSGFTYNVVEQLNRLPARISLLSLLLSSEPHRNSLMRILNQACVDHDILVENLDYIIENILVGNIISFSDEEIPSRGRGNYKALHITIKCKSCTVAKVLLDNGSSLNVMPMRTLARLPIDMSFMRKIMDIAPSYNYLLGRRWIHMARAIPSSLHQKVKFIVDGKIVCVNGEEDLLISKPADTPYVEVAKEVPECSFRSFEFVNTTYVGEGTTPPIPRLSKTTKMVVSQIVRKGCRAGAGLGRELQGIRRPIRATKNEERFGLGYKPIKKEREEMIAERKRERLARFKGHELGIHGMTYPHLYETFRSGG >EOY17856 pep chromosome:Theobroma_cacao_20110822:10:1190231:1192503:-1 gene:TCM_042566 transcript:EOY17856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPRGRSRKRSNTRMAAATDAMKPFGFPNNLVVKTIKELLNVYGEEGWPFIEDAAYKVLIEAILEKDNGGETSHSKEPAAETSGGTLALACFDVNPPNTALQISNDLDPASETSGGTLAVACSDVNRPNTALQIGNDLDPASETCGGTLALACSDVNPPNTVLQISNGLDPESETNEALGTANLSNESVEAGGPERDPIQHPGSTSQSTPSLTHTPPMPESNSTRQRRPYYGWICSDDEEDLVELTPGPLAEEMENLLLSLRGQRERKQRWNVKPEDI >EOY19832 pep chromosome:Theobroma_cacao_20110822:10:23014944:23112927:-1 gene:TCM_045194 transcript:EOY19832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKNLNVLSLDDVPGPIKLMEGKEGSSAAKKKSELTGEEMVKQIIDGLRELAEKIGQRKETIKQQEKALKRELLDQIMKVLTIAVETITSVEEPTANKARANDKGKSNVEKK >EOY17894 pep chromosome:Theobroma_cacao_20110822:10:1343506:1345590:-1 gene:TCM_042595 transcript:EOY17894 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein MPSSAPCIALVSKSNVFPDQKSKLGELKLSVSDLPMLSCHYIQKGCLFTRSPIPIDSLVSLLKQSLSKTLSFFPPLAGRLHTDPNGYIYVTCNDGGVEFYHATCKGLSIRDVIGPLDVPEFVKKFFCFDKTVSYQGHFKPIMAVQVTELGDGVFIGCSVNHAVTDGTSFWNFFNTFAELCKKISSNNQNFEKIARQPDFSRNSVLVSPAVLKVPEGGPKVTFNEKERLRERIFSFSREAILELKARVNNNEEELLVNGNFNAVEILGKQSNDKYHNEKSKSRLFRTAPVSNTAEISSFQSLCALLWRAVTRARKLPSFQKTTFRMAVNCRHRLNPKLDPLYFGNAIQSIPTYASAGDVTSRELRWCAEQLNQSVRSHDDETVRRFVKEWEKDPRCFPLGNFDGASMTMGSSPRFPMYDNDFGWGRPLVVRSGGANKFDGKISAFPGREGNGSVDLEVVLAPETMAGIESDHEFMQYVTN >EOY17875 pep chromosome:Theobroma_cacao_20110822:10:1244103:1245992:-1 gene:TCM_042578 transcript:EOY17875 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MAMKVKDIVFMNKGDGENSYVKSAGLTLKVIAKTQPIVQKAVQSLFTGTHSTPLQVVNVADLGCALGPQPLESMSIVIESIVEKCGELGCEMPEIQFHLNDLAGNDFNTLFKGLSVVQEKYKNVSWFAMGAPGSFHGRLFPRNSMHLVHSCYSVHWLSKAPKITSEAGLPLNKGKIYMSKTSPPAVREGYLSQFEEDFSSVLRFRSPELAPDGRMVLILNGRQSADPTEKDICYLWDLLAEALSYLVSEGLIDEEKLDSFNVPYYNPSQEEVERVIDKEGSFTTEFSDTVVLEIGGKNAWSDPGLRIKGYRCFSEPILSHQFGEEVMDKLFDKAEEILAEDYKQGKEATKNISIVVVLKKKTNQTWT >EOY17874 pep chromosome:Theobroma_cacao_20110822:10:1243053:1246014:-1 gene:TCM_042578 transcript:EOY17874 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MAMKVKDIVFMNKGDGENSYVKSAGLTLKVIAKTQPIVQKAVQSLFTGTHSTPLQVVNVADLGCALGPQPLESMSIVIESIVEKCGELGCEMPEIQFHLNDLAGNDFNTLFKGLSVVQEKYKNVSWFAMGAPGSFHGRLFPRNSMHLVHSCYSVHWLSKAPKITSEAGLPLNKGKIYMSKTSPPAVREGYLSQFEEDFSSVLRFRSPELAPDGRMVLILNGRQSADPTEKDICYLWDLLAEALSYLVSEGLIDEEKLDSFNVPYYNPSQEEVERVIDKEGSFTTEFSDTVVLEIGGKNAWSDPGLRIKGYRCFSEPILSHQFGEEVMDKLFDKAEEILAEDYKQGKEATKNISIVVVLKKKTNQTWT >EOY19547 pep chromosome:Theobroma_cacao_20110822:10:18657391:18659273:-1 gene:TCM_044679 transcript:EOY19547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha chain of nascent polypeptide associated complex MTAQTDKEIEEILATHLDQQKIDSDQPEQPVVEDDDEDEDDDDDDDKDEDDAEGHQEGDGSGRSKQSRSEKKSRKAMLKLGMKPIPGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVVFGEAKIEDLSSQLQTQAAEQFKAPDLSHVISKPESSAMAQDDEEVDETGVEPKDIELVMTQAGVSRSKAVKALKAADGDIVSAIMELTT >EOY18302 pep chromosome:Theobroma_cacao_20110822:10:2842527:2844346:1 gene:TCM_042895 transcript:EOY18302 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP-like protein 2 MAMSSVSLNWVPATLTNKSNAPHSNELARATALSLHNSVACPKETTSNEENNCKRRLLLLGVGAVTVSLLPATSLLAEEIPQNYQAFVDILDGYSYYYPSDWREFDFRGHDSAFKDKFLQLQNVRVRFIPTDKKDIHDLGPIEEVVYNLVNHVYAAPNQMVNILDMQERTTDGKNYYTFEYALASPNYASASFATIAIGNGRYYTLVVGALERRWRRVRNKLKVVADSFKVLDI >EOY18833 pep chromosome:Theobroma_cacao_20110822:10:5311176:5325518:1 gene:TCM_043328 transcript:EOY18833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kow domain-containing transcription factor 1, putative MSSKGKGKAKEVFSGKRKSSGAEESRRKRKNPGVLQFFEDAAGVDHNDASDDSDIDNYFMEEELDLNVNIEAGKTHNLPFVPKEEVIEEEFDKIMEERYKDGAGFVTYAEDSYEAKGSIDRNSALPSSKDPTIWKVKCVVGRERHSAFCLMQKFIDMRSLGNILQIISAFSVDHVKGFFYIEADRQCDINEACKGLTYIYSSRVAPVPSNEVYHLLSVRTKRSEVSEGMWARVKNGKYKGDLAQVVAVNNARKRATVKLIPRIDLQAMAAKFGGGVSIKRNVTPAPKLISSSELEEFRPLIQYRRDRDTGIGFQILDGMMLKDGYLYKRVSIDSLSCWGVMPTKEELLKFSHSDNNESDDLEWLSQLYGEKKRKKNIKIDKGGEKGEGSMGSGMENSFDLHDLVCFGRKDFGLIVGMEKDDHYKILKETLEGPVVVTIGQHELKSGPLDTKFTALDQHSKTISINDTVKVLEGQHEGKQGMVKQIYRGTIFLYDENETDNGGFFCCKSQMCEKVKQYFDACNEKGGEPGTSGFGDFMSSPKSPLSPKKPWQERETRSDFNRGNRDGMFSIGQTLRIRVGPLKGYLCRVLAVHYSDVTVKLDSKQKVLTVKNEHLAEVQGKSYAANTSEHDGSNSFKPFELGTEGSSRDWLDRAGTSAEDGGSNGERSSLYVIPGKHQAEPNHSNLFGSEDTDLKKDGEDSAWGCKVTSNQNASWGAAVCSGDNDKKTDDACTALENKATTKQNSAWATGGSDQVGNWDSWNKAAAKTDSGSGASDAWGKAITSSGDPSGASKDVGGSWGQAKLKIGNPADSSNITSWEKDKNMNVGDDSWKKSESWDKGKNVTQNLSGVWDNAAAKKNQLNLWGKGKDVVEAGSWEKNGNSSVRQGHWNNNALGSNQRESWGKKNDAGGSEDNTWGKAAEKWSNKDDSGGSKGNWGSSTLAAENAKGGWGSAGACLTKPEAVSTDESSGWKKANDFSGNQTTNWDCKKDASECATGWTKGGSHESDGWNKGKVADGGTSWGKHDGGEQLGGSSWGEQPLGNAENDSKGWKNQNDGWNKPRSSGRDQGSGGWDKGKMESKDGKAPQGSGWGKGGNWNSNSDGASRGSNWARKADPHVGSGEATQDSRWGKKSDWNSGSGDMNQDSNWGKKSSWDAGLNSGSGGTNQDPSWAKMESKDGTALQGSGWDKGGNWNSNSGGASQGSNWARKTDPHVGSGEATQDSIWGKKSDWNSGSGDMNQDSNWGKKSSWDAGWNSGSGSANQDPSWAKKNDLDFGSGDATKGSGWGKKSDWNSGSGDANQDSGWKKRSDWNSGNGNEDQNVTFSSRGSGGNWRGGFGGRDSSGRGFRGRGNADRGGFRGRGRSDRGGFRGGGDGGYGGRSGDRGGFGGRGRGRRDQNGGWNNGDSGEDKSFSWNKEANNSEGWKSNDEVKCNQGWNGRTGPGDKAKTWNQSNADQGGQSSIWNQSNDVKQGGWNKGTGSTNEADGSEDNNWKSSSSSARTKCSSWNHPTGSKEINEGNNQGPGSAGGSDNQGSGWNRGAGSGDQARTWNQSNAADGGPSSGWNESKDAEETSGNRDSWGKAASSSWEQGSGSSKGGC >EOY19982 pep chromosome:Theobroma_cacao_20110822:10:24256657:24258012:1 gene:TCM_045386 transcript:EOY19982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein, putative MLVLVFLIAIAGISLQSVPSFNHRPRFFIPGSNFPVIRAQLSPKKGEEEDVLNMKEWELGMFQNEIAASQGIRIRRRPPMGPPLHYMGPFDFRLQNEDNTHRSFLEEIIWQKDVEVSHMKEKKPLASLKKFIENAARTRDFVGALKAAHSRTGLPGLIAEVKKGSPTRGILRDEVKKGCFGRRNHKGDSAIDSVWLCIDDLIYTTKDMKRKHGEKVNRCKRRNERA >EOY19301 pep chromosome:Theobroma_cacao_20110822:10:16256364:16265437:1 gene:TCM_044364 transcript:EOY19301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVLISQKEIRKEQTEQGQEKKDGKGEKEVEQEDEVENELQREKEHLVGGKSGASSLGEFEEILVSDFIRYILKEVRADQVKQQAKMHQEVQLAPPKIEQTVREANPDKGKAIDIALITKKTASKGS >EOY18316 pep chromosome:Theobroma_cacao_20110822:10:2927045:2929059:1 gene:TCM_042910 transcript:EOY18316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MDWRMSEAAQTGNIKRMSEAAQTGNINRMSEAAQTGNINVLYELIQEDPYVLERIDQVPFLDTPLHIAACAGHVDFVMEMMNLKPSFARKLNQAGFSPMHLALKDEKIQAVLRLLKFDKGLVRVKGREGMTPLHHVVGTGNLDLSIRFLEVCPEAIEDVTVRDETAFHLTVKNDMFEAFEVLIGWLRRSRHEAAQQWENELLSWADIEGNTILHIAAIRNSPQVVEVLLENLSQDHINSKNLEGLTALDILLEHQRNERQVDNREIMDMLSTAGGLRGSSLPNNPHSSINVNSFRSKMSYFQKFTTIAARGKKGIPYEMRNAFLVVTVLIITATYDALLNPPEKSDDVSFQNYQVSGSYSFSQQANPPMGGDNPAQDITDLIDASSMFWLYNTLTFWVALGLTAYLLPSRTICLLLLITLSLFGSCYMLLVAVVSWKQQFLVSLTPSHLLYHALSVVNYCLSTLIAVLVAFRIARYVIYRFVPRKKIFCLVQFVSFLFIVICILTPTVFNVQTMSFLWS >EOY19015 pep chromosome:Theobroma_cacao_20110822:10:8114880:8120834:-1 gene:TCM_043617 transcript:EOY19015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFKGIGLSGAYEIKWPLFVDKAMANGSQSSVARVCLEYDCRQPPVEQVWIVVQNRETGAVIRGYSQCVEFLRMPDYCSHYCHVGHSESVCLVMGNKPVKLGNNNAPPFGQSKLANKGTRVQIRELTTVLNDQNPSNEEPMSKIDKRKSIVLEEPPKQGKEWQVVRQSGKSGTKNSKGVKITLEESKDVVDQMKDWGRVSSSDCPALKVNEATMRNIKVLAILEPMAKEDRMEFFRRRLGFERVVSNCSQKIWLFHSHEVYCEVLVDHVQCLHVKINLPWFSNLLFVTIIYAKCTRLERKDLWTYLRSLSSDMEGPWLAGGDFNSIFSRYERLYGATLHHVSIEDFANTLLDCGLLDAGFEGNSFTWTNDHMLQRLDRVLYNREWAELFSSTKVQHLARDTSDHYPLLINYSMTSQRGPLAFYFLHAWTKHHTFMSFVERLWKFPIQTKGLKAFWLSKILPTIISDNQSGFVSGRIISDNILLAQELIGKLDYKARRGNVVLKLACGGVLRDYTSTLIFGFSANLGPTNSLKAKLLALQKVYFYAKLTIFLESG >EOY19803 pep chromosome:Theobroma_cacao_20110822:10:22625420:22626038:1 gene:TCM_045146 transcript:EOY19803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRECFTNNLKEICFIDDGNANHQFITMRRCILSLCSFFFQWLNLVNIVSQIMQPPKVPTNSNISLFPFPISI >EOY19461 pep chromosome:Theobroma_cacao_20110822:10:17867013:17915155:-1 gene:TCM_044582 transcript:EOY19461 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA gyrase subunit A MSLSYTLRFSFLRHNLYLAPSGVSALRPNLSHLRFLSVTPTRPLLSPVKARRAGGQEDEDGAGNGSLTAIVNDGSGGGGDGRVVPTELHKEATESYMAYALSVLLGRALPDVRDGLKPVHRRILFAMHELGLSSRKPFKKCARVVGEVLGKFHPHGDTAVYDSLVRMAQDFSLRFPLIQGHGNFGSIDADPPAAMRYTECRLEALTEAILLADLEQDTVDFVPNFDNSHKEPSLLPARLPTLLLNGTSGIAVGMATNIPPHNLGELVDVLCALIQNPEASLQELLEYMPGPDFPTGGLIMGNLGILEAYRTGRGRIVVRGKADIELLDSKTKRSAVIIKEIPYQTNKSSLVEKIAELVENKSLEGISDIRDESDRSGMRVVIELKRGSDPSIVLNNLYRLTALQSSFSCNMVGILDGQPKQMGLKELLQSFLDFRCSVVERRARYKLSQAQDRRHIVEGIVVGLDNLDSVIDIIREASSNAAASAGLRNEFNLSDKQAEAILDINLRRLNLLERKKFVGESRSLMEQISKLTELLSSRKNILQLIEQEAIELKSKFSSPRRSILEDSDGGQLEDIDVIPNEEMLLAFSEKGYVKRMKPNTFNLQNRGTIGKSVGKLRFNDAMSDFIVCRAHDHVLYFSDKGIVYTARAYKIPESSRTAAGTPLVQIISLSEGERITSIISVSEFAEDQFLAMLTVNGYIKKVSLNYFSAIRSTGIIAIQLVPGDELKWVRCCINDDLVAMASQNGMVILSSCGIIRALSRNTRGAIAMRLKEGDKMASMDIIPAPRHKDLDKAEEDSMNNNKGGSGPWLLFVSENGYGKRVPLSSFKRSPLNRVGLIGYKFSSEDRLAAVFVVGFSLAEDGESDEQVVLVSQSGTVNRIKVRDISIQSRYARGVILMRLEYAGKIQSASLISASAHEAEELLPDMLLEESATNVVATENISEASAGVIVKVYKTECILVDSNIVAIKSIDLDQYSKADFDNNIRRETKIMLLFSHPHILNANCSFIVDGQRFWVGLPSVEERFKVNKIPKKGVDVDGESWFQLVESKGLLCGSSMKDRFELELIFLNKSKEELIVKWSHFSGKTIIPKKVGETNRTSNSDGTNLNSPEGIGKEADEEESSIEGIVGDKPRVEGARDGIYIRVKVPSTDKPRYRTRKEDIATNMLGVCTPNMQFVFVLPGWEGSVADSRVLRDALRRRNGLKVPHGTHTSGFGWDDQKNMVVADDPVWESYIQSHKEVAPFRIKSFPFFNELSLIYARDRAIGKDAQTAIDILEEMQDCNDTINVETEGENLARYSFDDEDFSNIQPQTSAPKSETTSVRKKKRQNETSDPITSESIITAATILGENIKEVGIEFSKSVGAEVNIQQKA >EOY19320 pep chromosome:Theobroma_cacao_20110822:10:16393858:16394658:-1 gene:TCM_044388 transcript:EOY19320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRESASSGESVASGEKRVEDDDVDNGKEKGEGDVAVRKERPDDMAISGWSMKGIREGEKAGKVMKGQMTKYNVTKDGSMAERKGSNMGS >EOY18746 pep chromosome:Theobroma_cacao_20110822:10:4786524:4787201:1 gene:TCM_043252 transcript:EOY18746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTTLFFMFLLYFFLLLSPPPVMIGMNSMAIVGGATRPLVSKSPGLVTFKPETGTKHGFHSQDVKNCLPKGFHRTSAPSRYINDHTFGSTMCSTTSDISTRP >EOY18382 pep chromosome:Theobroma_cacao_20110822:10:3251130:3253150:1 gene:TCM_042981 transcript:EOY18382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MDERLRSAAQSGNIDDLYVLIQDDADVLRRIDEMEFVDTPLHIASAAGHTDFAMELMNLKPSFARKLNQGGFSPLHLASQCPETEMVVDYLLSIDKDLVRVKGREGYTPLHHAAREGNVPLLSKFLEYCPNCILDLTIRKETALHIAAQ >EOY17732 pep chromosome:Theobroma_cacao_20110822:10:695164:698677:-1 gene:TCM_042477 transcript:EOY17732 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 1 MITWILSWSLISLPLATILLFASKILNRRRNKKRAVGYFHPYTNDGGGGERVLWCAVKAIQEQNPDLDSVIFTGDHDASSQSLMSRATDRFGVHLLYPPKVVHLNKRKWIEETTYPHFTMIGQSLGSVYLSWEALSKFTPLYYFDTSGYAFTYPIARLFGCKVICYTHYPTISLDMVSRVRQRSSMYNNDALIAGSTWLSQCKIIYYTVFSRIYGMVGSCAHLVMVNSSWTQSHIEKLWRTPERTKRVYPPCDTSGLQALPLERAMETPKIISVSQFRPEKAHGLQLEAFSVAIRKLDKDLPRPTLQFVGSCRNKSDEERLQNLKDKAVQLNITEDVEFHKNLMYRDLVRLLGGAVAGIHSMIDEHFGICVVEYMAAGAIPIAHNSAGPKMDIVLDEDGQETGFLALSVEEYADAILKIIRMPESERLKIAAAARRRANRFSEQRFYDDFKAAIRPILCHSS >EOY17733 pep chromosome:Theobroma_cacao_20110822:10:695222:698327:-1 gene:TCM_042477 transcript:EOY17733 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 1 MITWILSWSLISLPLATILLFASKILNRRRNKKRAVGYFHPYTNDGGGGERVLWCAVKAIQEQNPDLDSVIFTGDHDASSQSLMSRATDRFGVHLLYPPKVVHLNKRKWIEETTYPHFTMIGQSLGSVYLSWEALSKFTPLYYFDTSGYAFTYPIARLFGCKVICYTHYPTISLDMVSRVRQRSSMYNNDALIAGRIYGMVGSCAHLVMVNSSWTQSHIEKLWRTPERTKRVYPPCDTSGLQALPLERAMETPKIISVSQFRPEKAHGLQLEAFSVAIRKLDKDLPRPTLQFVGSCRNKSDEERLQNLKDKAVQLNITEDVEFHKNLMYRDLVRLLGGAVAGIHSMIDEHFGICVVEYMAAGAIPIAHNSAGPKMDIVLDEDGQETGFLALSVEEYADAILKIIRMPESERLKIAAAARRRANRFSEQRFYDDFKAAIRPILCHSS >EOY19799 pep chromosome:Theobroma_cacao_20110822:10:22597318:22599739:-1 gene:TCM_045140 transcript:EOY19799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMLPCSLTTLEICDFPKLEILSSNGFQNLTSLESLVVKDCPNLKSLPEKGKLSSLLMLDISRCAVLRERCEKDKGPEWSKIAHIPCFHYESDDASEYDDSGDGYYSEDAFGSDDDASEYYDSGDGSNSEDCDLGDDYNSEDDSGSDDYDSQDGASENNSAEQQN >EOY17970 pep chromosome:Theobroma_cacao_20110822:10:1625325:1628768:-1 gene:TCM_046952 transcript:EOY17970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein MAVRTSPLAWLMVICFTSSMLVGCHGASDDRQLYIVYMGDLPKGDFSASRLHTNMLQHVVPSAASDALLYSYHRSFNGFAAKLTNKEAQKLRGKEGVVSVFLSQKKQLHTTRSWNFMGFSSRKVERSVIESDVVVGMLDTGIWPESESFNDRGFGPLPAKWKGTCQKSSNFTCNKKIIGARYYRADGVISPDDFKSPRDAEGHGSHTASTAAGGLVSKASLYGLAKGTARGGVPSARIAVYKICWSDGCYDADILAAFDDAIADGVDIISLSVGASSASEYFHDSIAIGAFHSMKNGVLTSNSAGNSGPDPASIDNFSPWSLSVAASTIDRKFVTKVKLGNGEIYEGTSINTFDLKEKMYPFIFGGVAPNTSQGFTSEDSRYCLAGTLNETLVKGKIVFCDYDSDRDGPIEGGGAVGAVYQYGGNKDYVSSHPLPLSNLNMDDGRVVFNYVNTTENPTATIFKSYVESNEFAPYVVSFSSRGPNPVTADILKPDLTAPGVDILAAWSEATSITETEYDNRIVPYNIISGTSMSCPHATGAAAYVKSFHPTWSPAAIKSALMTTAFQMSAKNNIEGEFAYGAGHINPALAAQPGLIYDAGEIDYIKFLCGQGYSPTYLKLITGNNSSCSEETNGTVWDLNYPSFALSATPGKSITRVFHRTVTNVGSAVSTYKSIVKAPPGLIIQVQPSVLSFKSLGQKQSFVVTVGAEVGNSMISGSLTWDDGLHQVRSPIVAYASLFE >EOY17638 pep chromosome:Theobroma_cacao_20110822:10:403031:405929:1 gene:TCM_042411 transcript:EOY17638 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein MASSDNGNQKEQGEESYVTNTNGQVPLHISTSQRMLLNDENTQRRSLRSFMSPANRIKFFKFGSASAKFKRIAEERDEVSRLVASSSGHRFRERLTGVFAKKIDWVSLMKMSKQWIKDPMNMALFVWIMCVAISGAILFLVMTGMLNAVLPKKSQRDAWFEVNNQILNALFTLMCLYQHPKRFYHLVLLCRWKPEDISRLRKIYCKNGTYKPHEWAHMMVVIVLLHINCFAQYALCGLNLGYRRSERPAIGVGICVSVAIAAPAMAGVYTIVSPLGKDYDSEIDEEAQRQIDTRESERPEQLRRQSLEKRYSFASGGEERIVESRPLWSGGIFDFWDDISLAYLSLFCSFCVFGWNMERLGFGNMYVHIATFLLFCMAPFWIFNLAAVNIDNETVREALSVTGIVLCMFGLLYGGFWRIQMRKRFNLPAYDFCCGQPAVSDCTLWLFCCWCALAQEARTGNSYDIVEDKFMKKQMDNGNQQLISPLPREDGIGQFSPWPSSSPGYNSSPSTRFTANSSSSRIVSKEYYSPDRQLSVVKEESSLSGKDETMIPPTPSLVQREAT >EOY18471 pep chromosome:Theobroma_cacao_20110822:10:3549956:3554492:-1 gene:TCM_043036 transcript:EOY18471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MGWFPCGGKSSKNAKKKLPNNNNSSSNRNSDDQIPSTSEKLKVNSVPDGKEEATKDGNSDHIAAHTFTFRELAAATKNFRADCLLGEGGFGRVYKGRLESTNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKRQLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSRAGGEQNLVAWARPLFKDRRKFAQMADPLLQGQYPVRGLYQALAVAAMCVQEQPNMRPLIADVVTALTYLASQKFDPETQSVQGSRTGSSTPRMRRE >EOY18974 pep chromosome:Theobroma_cacao_20110822:10:7077715:7080809:1 gene:TCM_043518 transcript:EOY18974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein, putative MGFLNLFTVAVMPVLKVILVTGVGLFLALDRINLLGWFMPVNIFITFIFGSALAWLLIKITRTPRHLQGVVIGCCSAGNRGNLLLIMIPAICEESNNPFGDSSVCSTHAEAYASLSMAVGAIFIWSYVYSVMRMYSAISPNSPRDTSGSQTFTEGCEGFLPIKDCDSSDDYTVQDELLLNTSGGGKIPILNRIKMIMGTIDLKKVFAPTAIAAVVGFITGIVSPIRKLMIGESAPLHAIDTSAYLLGQAAIPCMTLILGANLLRGLKGSNASVSVVVGILAVRSMFLPLLGIGVVKVANRFGMVGSDTLYQFVLMLQYAVPPATAVGMTSL >EOY17703 pep chromosome:Theobroma_cacao_20110822:10:616897:617451:-1 gene:TCM_042458 transcript:EOY17703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVISNMYIKLRIIFNVMLHVRPLSLSFLQLMITTSPYSIHFSLIHTFMINLKGNFKSLVPWGFEGGHGETQLNVNLEEYIEIPTTPIPSPAQQDGPQRGKSTVMP >EOY17726 pep chromosome:Theobroma_cacao_20110822:10:682788:684803:1 gene:TCM_042472 transcript:EOY17726 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MASSQDSQPFHWHYAELEDRDFQIRGRTLFFIIVLFSFVLVFTLIFVYSRWICSFHRDNSSTRSPTSHAPPQPRPEPRGLDPVTINALPITMVTRGRKSAAAAAVALESECCICLGVFEDGEKVKVLPACQHSYHSECVDRWLSAESSCPLCRASLRAESELHQIPVIVVVVVSGEIKVMLFPSLTFNTIIKQFRIASLDGLGVNETGCGLLAAGCWLLAAVCTLTSPESDGCF >EOY19264 pep chromosome:Theobroma_cacao_20110822:10:15332200:15343704:1 gene:TCM_044274 transcript:EOY19264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPWSQGDRSNAPTNLISVISARRLLRQGCIGYLAVVKDSQAKIGDVTQVSVVKEFVDVFPEELPGLPPEREVEFCIDLIPDTRPISIPPYRMAPAELKELKDQLEDLLDKGFIRPSVSPWGAPVLFVKKKDGSLRLCIDYRQLNKCEFWLESVAFLGHVVSKEGIRVDTKKIEAVEKWPRPTSVTEIRSFVGLAGYYRRFVKDFSKIVAPLTKLTRKDTKFEWSDACENSFEKLKACLTTAPVLSLPQGTRGYTVFCDASGVGLGCVLMQHGKVIAYASRQLKRHEQNYPIHDLEMAAIVFALKIWRHYLYGETCEIYMDHKSLKYIFQQRDLNLRQRRWMELLKDYDCTILYHPGKANVVADALSRKSMGSLAHISIGRRSLVREIHSLGDIGVRLEVAETSALLAHFRVRPILMDKIKEAQSKDEFVIKALEDPQGRKGKMFTKGTDGVLRYGTRLYVPDGDGLRREILEEAHMAAYVVHPGATKMYQDLKEVYWWEGLKRDVAEFVSKCLVCQQVKAEHQKPAGLLQPLPVPEWKWEHIAMDFVTGLPRTSGGYDSIWIVVDRLTKSAHFLPVKTTYGAAQYARVYVDEIVRLHGIPISIVSDRGAQFTSRFWGKLQEALGTKLDFSTAFHPQTDGQSERTIKTLEDMLRACVIDLGVKWEQYLPLVEFAYNNSFQTSIQMAAFEALYGRRCRSPIGWLEVGERKLLGPELVQDATEKIHMIRQKMLTAQRVMRFGKKGKLSPRYIGPFEILEKVGAVAYRLALPPDLSNIHPVFHVSMLRKYNPDPSHVIRYETIQLQNDLTYEEQPVAILDRQVKKLRSKDVASVKVLWRNHTSEEVTWEAKDEMRTKHPHLFDM >EOY19667 pep chromosome:Theobroma_cacao_20110822:10:19703460:19708268:-1 gene:TCM_047099 transcript:EOY19667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Staurosporin and temperature sensitive 3-like b MSSMISSDLLKPFKLKTKQQELLIRVSILCLVYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTQNGFYDFWNWFDSESWYPLGRIIGGTLYPGLMVTAALIYRLLHFLRFAVHIREVCVLTAPFFASNTTLVAYFFGKEIWDSGAGLVAAILIAVCPGYISRSVAGSYDNEGVAIFALLFTFYLFVKAVNTGSLAWGLASAFGYFYMVSAWGGYVFIINLIPLYVLVLLITGRYSMRLYVAYNCMYILGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLMQVFYFLDWVKHMLSDTKLFQAFLKITVTFAVAVGAVALGVGMVSGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSLYFAGVMVRLILVATPAVCLISAIAVSATVKNLTVLLRSKNKVVQTGSTKGTSSGKASSKASLDQSQPFQKNGAMALLFGAFYLLSKYATHCTWVTSEAYSSPSIVLAARGAHGNRVIFDDYREAYFWLRQNTPPDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIREPDYLVNGEYRVDKGAAPKMLNCLMYKLSYYRFGELVTEYGRPPGYDRARGVEIGNKDIKLEHLEEAFTTSNWIVRIYKVKPPNNRW >EOY20206 pep chromosome:Theobroma_cacao_20110822:10:25247027:25249896:-1 gene:TCM_045581 transcript:EOY20206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L16p/L10e family protein isoform 1 MGRRPARCYRQIKNKPYPKSRYCRGVPDSKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVNIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKCRADYLRWKSENRIVPDGVNAKLLGCHGPLANRQPGRAFLHASA >EOY20205 pep chromosome:Theobroma_cacao_20110822:10:25247547:25249789:-1 gene:TCM_045581 transcript:EOY20205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L16p/L10e family protein isoform 1 MGRRPARCYRQIKNKPYPKSRYCRGVPDSKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVNIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKYSRADYLRWKSENRIVPDGVNAKLLGCHGPLANRQPGRAFLHASA >EOY19091 pep chromosome:Theobroma_cacao_20110822:10:9915346:9915737:-1 gene:TCM_043790 transcript:EOY19091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRLHQSLAFHPIIAIRNICSYFMTEKLELPRSSSYTIHVTTNFMLVKFHTSFSIKKMSASGCIQYTIMPHWEELRPQMLEI >EOY19562 pep chromosome:Theobroma_cacao_20110822:10:18794220:18794851:-1 gene:TCM_044703 transcript:EOY19562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGHRLGERKGMREKKKREEKNGATPAGRKERKRKRKRKKERKKKSTGKEKGKGERGKKKRRRRRRKKKEKS >EOY18936 pep chromosome:Theobroma_cacao_20110822:10:6394786:6402042:1 gene:TCM_043455 transcript:EOY18936 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoinositide-dependent protein kinase MLAMEKDFDSKLRIQGTNNNDDNNSSNTSSNSAASNSVQRSKSFAFRAPLESFTIQDFELGKIYGVGSYSKVVRAKKKDTGMVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGVVRLFFTFQDTFSLYMALESCEGGELFDQITRKGCLSEDDARFYAAEVVDALEYIHNMGLIHRDIKPENLLLTTDGHIKIADFGSVKPMQDSRITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIRFPNYFSEEARDLIDRLLDIDPSRRPGAGADGYAALKMHPFFRGVDWSNLRAQTPPRLALETGAQSGEGDDHNDSSWNPTHIGDGSARQNDGNGGASSSESSGHITRLASIDSFDSKWQQFLDPGESVLMISMVKKLQKLSSKKVQLILTNKPKLIYVDPSKLVVKGNIIWSDNSNDLSVQVTSPSHFKICTPKKVLSFEDAKQRAWQWKKAIEGLQNQ >EOY19222 pep chromosome:Theobroma_cacao_20110822:10:14381389:14386743:1 gene:TCM_044184 transcript:EOY19222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFAGNSERSIEHDGSIVSKAQFGPMVLLCVNALRPDACWFTETNKQKWTSIGSQRKTGSHKNAVGIVCSWSAAMLRAFSLQRSTF >EOY18409 pep chromosome:Theobroma_cacao_20110822:10:3392138:3406602:1 gene:TCM_043006 transcript:EOY18409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing-like protein MEGLANQQDNMEIMDSSLYYKAARGEIDAFKQHPKPLNQLVTPIDKNTILHIHITSRCRFSSYPSYYYLHVKREVLSFDPSETSSVNFVKDVLRICPDLLNQPNAKDETLLHMAAKHGHEDIVKIIAEENERILQEDQEAARLMLRMVNKVKDTALHEAVRYGHLDVVKELVKRDGEFSYGANDCCETPLYLAAEKGFSMAVDQMLEACKSPAFQGPGERTALHAAVLCKDEEMTRKIVGKMRFLATKADKQGWVPLHYAAQSGCLAIVKILLEADTSAAYIANKTEEKTPLHLAAQNGQTYTMEELISRCPGCWEQVDAKGRNVLHYAVASDNKFAVYTILDDTSLSNLINEKDRDGNTPLHQHVTSYNYLKYFIGHPKVDRHAFNNRNLNPLDVILSQDGLWGNQWSFGLIISHTLFENQNNTMSQKKSKKALETMGTKSGQRIVDREESRGENSSQAENEMIAVIKEAREAHLVVATLIATVTFAAGFTLPGGYKSDENDPEQGHAILTKDLAFKVFVISDTIALMLSSSAVFFHMFMAHETDKTVLISLFKLALNLTINAMAAMVVAFITGTYAVLSHCLGLAIAATALCCPFFFIYIYYLTGRLLYKLDLASIQRSSGWACYASEEQSTKQRHPSQKEGREYHLGLLDVNAVNRSNLSALDMLLLFPSEAGDREIMDILSGAGALRARDVNLSPMASLDSRTLSETNHLQPKELEYFKFKKGRDSPSEARGTLLIIAALVASATFQVAFNPPGGACKIIISQTKMIVIAPPSATNILQRGLWLGAVLQWNAEERTAGILIVLVFHQVSTTGWINIDCGNDALVIGDNGLPWSTDEEFTKAGKNKRIPERVLTHTEMATLRYFPNPSDQNCYTLPTDPKVPRYLIRAGFVYGNYDGLDHPPTFDLEVDGKKWSTVTTTSSTITPVYQEVMHATRGSSSVTCA >EOY19969 pep chromosome:Theobroma_cacao_20110822:10:24162435:24164447:-1 gene:TCM_045372 transcript:EOY19969 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative isoform 2 MEAKSQSIMADSYAMNGGDGPYSYAQNSMYQRGIMETAKRMINEEIAMKLDIQKLSLAASEPIRIADLGCSCGPNTILAIQNILEALKRKFQTHPTPEFQVFFNDQVSNDFNSLFASLPELGRQYYAACVPGSFHGRLFPTASLHFVYSSCALNWLSKVPKEVVDKTDPAWNQGRIHYTGAPKEVFEAYSDQFAKDIGSFLQARVKELAPSGLMALVIPAVPDMISHPHITTGSEFELVGSCLMDMAKMGIVSEARIDTFNLPIYYTYPKELRQIIEGNGCFSIERMDMLNIPKQHIAMPDLRQRTVYIRAALEALIEKHFGKKIIDQLFEMYSRKLSESPIFLKPENQKTTAIFVLLKPI >EOY19970 pep chromosome:Theobroma_cacao_20110822:10:24162585:24164127:-1 gene:TCM_045372 transcript:EOY19970 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative isoform 2 MPYHFVQRGIMETAKRMINEEIAMKLDIQKLSLAASEPIRIADLGCSCGPNTILAIQNILEALKRKFQTHPTPEFQVFFNDQVSNDFNSLFASLPELGRQYYAACVPGSFHGRLFPTASLHFVYSSCALNWLSKVPKEVVDKTDPAWNQGRIHYTGAPKEVFEAYSDQFAKDIGSFLQARVKELAPSGLMALVIPAVPDMISHPHITTGSEFELVGSCLMDMAKMGIVSEARIDTFNLPIYYTYPKELRQIIEGNGCFSIERMDMLNIPKQHIAMPDLRQRTVYIRAALEALIEKHFGKKIIDQLFEMYSRKLSESPIFLKPENQKTTAIFVLLKPI >EOY18254 pep chromosome:Theobroma_cacao_20110822:10:2533251:2534685:-1 gene:TCM_042839 transcript:EOY18254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of Uncharacterized protein function, putative MASYARLRMELPMQKINQDRKTSPERTMVWVESKSNKERKVSVVYYLSRNGQLEHPHFIEVPLSSPQGLFLKDVIIRLNSLRGEGMANRYSWSSKRSYKNGYVWQDLSKNDFIYPSHGHEYILKGSLLLQTSLSFRSYKTVSSTSSISKNSSETYSSGEDSNFPAKIRRKHHSWSEFKELDEHKIYEARTSREFSSKGNNVSTQTDENARQRRVGGEEAEEHQRENGQQTPRPSSYSSSEVPECLNISADIRDQSVENDRPSGRIKASAVLMQLIACGSRRAKDCGGNANKGMNMLFHG >EOY18347 pep chromosome:Theobroma_cacao_20110822:10:3138625:3139828:-1 gene:TCM_042952 transcript:EOY18347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPAAFLFEASYKLGLFTRSLEMNKIDLICFGHSTSLWPFAINLPCAKGSETAEGLDAVQGGTRIGLSSNKSIDHALNCVTGIFLTSILGLKQHLAFLTLEAVQLLKASLQSKTVLTDVFFGKKGNVSHAY >EOY20053 pep chromosome:Theobroma_cacao_20110822:10:24563837:24566239:1 gene:TCM_045449 transcript:EOY20053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTAVEADAFVKQYYCLPRDNQSLIDRYYNDSSSVKWSGEDGVMRDVTTMEGIYDHFLSSLDAQEYLIQSCDVQDSLAGGLLVVVTGCVTLKNDETKMFTQSFFLAPQEESFFVLNDVFRFFSDEEAVKDHADETSEPEAVKDHADETSEPEAVKDHADETSDPEAVKDHADETSDPLPTNLEPVPVQEIPSVPSEESKQKANSEKFSPQDLAEGFLDKYYDILLISPNLVHRFYKDSSKVSWESRDGVMSDVTVMESMYEHFSPRLDAKECDLLSSNVQGSCDTGISIQVVGRMTMNDDEIRHFSQSFFLAPQEKGYFVSNDVFRFLKDEEITKIDADETSDPLRTNFEPSPDQEMTMVSSLDPKQEPNTNNPAIPVTETSSSAVQNNALEETSIYVGNLRMRAKVEELEEAFKRFGIIKPDGVRIVNNDRIKKCYGFIEFESPISAQNAIQASSIKIGKKRVTIETINKTSDDEFHQGRMDSCQ >EOY17804 pep chromosome:Theobroma_cacao_20110822:10:932468:933910:-1 gene:TCM_042521 transcript:EOY17804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein MGNLSQFLPCCFKVFTKVAGNRKSISTGNEKHARIKYPAALPEEIYHQRQFSLTEIKAATNNFHPKSLVREGYFVKVYKGIVDYGNLVAVKRFKPDSVQGLDGFQTEVQLLCQLRHQNLVSFIGFCNDKDEEILVYELMKNGSLRDHLCGCNYDPLLWKQRLEICIGAARGLHYLHTGAKHARDGGLSEKCDVYSFGVVLFEVLCARPVIDRRLDEHKQHLVYWVCRCIGDGTIYNIIDSYLKGKIAPECFKIFVDIAYCCISEKGDTRPEMGEVEMMLELALKMQEKADSK >EOY18276 pep chromosome:Theobroma_cacao_20110822:10:2672416:2677442:1 gene:TCM_042870 transcript:EOY18276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC2 MEDGLEAPGPLWKIIVVAAIAAGVQFGWALQLSLLTPYVQTLGVPHIWAAFIWLCGPISGLLVQPIVGYNSDRCTSRFGRRRPFIAAGACCVAAAVFLIGFAKDIGHKAGDSLEKNTKPRAVAVFVAGFWILDVANNMLQGPCRALLADLSANNHKRMRVANECFSFFMAVGNVLGYAAGSYSNLHKIFPFTRTTACDVYCANLKTCFIIHIVFLLLVTITAIISVKETPLSMKQEEEKASTPFVGELLTAFKSLKKPMWILLLVTCLNWIAWFPFLLYDTDWMGREIYGGFVDGNATQQKLYDDGVRAGALGLMINSIVLGFTSLGLENVGRLVGGVTNLWGGVNFILAACLASTVWITKIVEAWRDKHGHLAPPNNIKGPALAIFGLLGIPQAVTYSIPFALTSIYCSTSGGGQGLALGVLNMSIVIPQMFISVVSGPLDAAFGGGNLPAFVLGSIVAAVSALLAIFALPNPPQQVSLSPVMGGGH >EOY19766 pep chromosome:Theobroma_cacao_20110822:10:22328760:22332813:1 gene:TCM_045102 transcript:EOY19766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSHTTSGLQLLQVACRTFPSIYWESNVHLNWFPTLTQQCLPSSLSDHNPIALGESSFDWGPKPFKFFKHWLDDVSFQEVFKKARVNCERDGVTGDDIWQKLKPISLVSSIYKIIAKTLANRIRSVVEKVVGNCQFGFIRGRQIFDCALIANEVVDDIQKDNISGVFLKLMEEVDERLSFNCFNLYPCEWDSHQEDLFKNVGKLPSTYLGLPLGASLSSKAIWQPVLERCKAKLAGWKANILSIGGRISLIKAVLSNLPIYFMSILKMPKGVQEELDKMRRKFLWGGLGVVVGNGCTVLFWQDEWIDGVVLKDAFWEKEQWIGLLQIIGGFFLKEELHDKLIGRILLTVPILQNRFIRRWLIGKGRMEIWNMGFFAIIWSVWLSRNDVISAISRCISMRGRTLERRRSCAVNLNPINLNLTLTGIERESGRSGIGGVLRDDQGKVLIAFSKSVGMGNANFAELLAIKEALLIFVASDWVSSHELIIESDSVTAIKRVKNPEPASWRL >EOY19559 pep chromosome:Theobroma_cacao_20110822:10:18768498:18775330:-1 gene:TCM_044698 transcript:EOY19559 gene_biotype:protein_coding transcript_biotype:protein_coding description:T6D22.19, putative MDQSVENCINVDDDVNILNEDEIPSQSHISESEQLNKRVKKETSNVWNYFTKIGKKQDGVERATCNGCKTEYKVGPKPGGSNYGTSHLRRHIDTCKFISYFNPHQMLIDYEGKVKARKFDPRISRDMLAEAIIKHDLPYAFVEYDKIRAWAKYVNPDVVMPSRNTTVSDVQRIHLREKEKLKQAMAKVPNRICLTSDVWTASTSEGYICLTAHFVNKNWKLCSKLLNFCRMPPPHTGVELAATIFDCLKEWGIDRKVFSLTLDNASANDNMQGVGLRLDASTRWNSTYLMFESAIKYQKAFASLQFVDRTYKYNPSDKEWGRAMIICEFLEPFYETINLISGSSYPTSNLYFMQVWKIESILNENLHNEDEVIKDMSQRMKMKFDKYWKDYSVVLAFGAILDPRMKLDFLRFCYSKIDASTCHEKLENVKTKLYELFEQYASNTSASSTSSHSTSNLPKQAGRGTKPKGLKIFSDNAKRFPDLSVMARDVLNISITTVASESAFSISGHVLTKFRSSLHHENVQMLVCTKNWLHGFSLAADDDDSELETSLLSKQDSNV >EOY19224 pep chromosome:Theobroma_cacao_20110822:10:14535604:14536196:-1 gene:TCM_044197 transcript:EOY19224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLCKVDNNNYSHHLIAEMKYKPRVSSTWRKNGKHHLTTPALVRRVFISALLSSNLGSKCRSARTLRSKSFVGPTKDRRPSGSVNRLKWVH >EOY18390 pep chromosome:Theobroma_cacao_20110822:10:3295362:3298824:1 gene:TCM_042988 transcript:EOY18390 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase MELFITICFSLFFCIISYFYKRVFQMRNQCCYMLAYECYKASGDRKLDTEACVRVVMRNKNLGLDQYRFLLQTMVNSGLGEETYGPRNVLAGREETPTLEDAHLEMDEIMFDTLDKLFAKTGVSPSEIDILVVDVSLFSPAPSLTARIVNRYKMRDNIKAFSLSGMGCSASMVAVDLVQHLFKTHKNAFAIVVSTETIGPHWYCGKEKSMMLSNCLFRSGGCSVLLTNKRSLKRQALMKLSHSVRTNMGANDEAYGCCMQIEDEQGYQGFLLTRSLTKAAAKAFTLNLKVLVPKILPISELLRFAIVSLCKSKRKSSTPESARAGLNLKTGIEHFCIHPGGRAVIDGLGMSLGLSEYDLEPSRMALHRFGNTSAGGLWYVLGYMEAKKRLKKGDRILMISLGAGFMCNNCVWEVTKDLEDSNVWEDSLDRYPVSRENLVNPFAEKYSWINDECLNFVRID >EOY18369 pep chromosome:Theobroma_cacao_20110822:10:3222310:3223891:1 gene:TCM_042972 transcript:EOY18369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein 1589 of Uncharacterized protein function MVQHLIEKCLISNMTKEECMEALSKHASIQPVITFTVWNELETVIKDFFEAYAQSQSKQDRMSEAETSQMIQKTILDSP >EOY18827 pep chromosome:Theobroma_cacao_20110822:10:5240124:5245180:1 gene:TCM_043323 transcript:EOY18827 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MRALNSRFVLIDITNNATSWHQRQKHSHFITRTRGAHRRAAAASIKQSQPSVLRTPQIRKPSERTTFSNGSSLDYPNPASASTSTSTSRVEAPTELELFLEMLPLRMRRELCGHEEIGELIEVVLDLGRKPLARFPSGDWVISEQPVKHEDLRHAISKVEIQSLFLYVANMVSIFCMMITKLVGDFSDDNRSGIDRSLHRISAIRNRKLQIIGLTCRVGRAVSGSAEITRDLVEGGGSILVIGPPGVGKTTLIREIARMLADEHMKRVVIVDTSNEIGGDGDVPHDGIGRARRMQVPNVNMQHNVMIEAVENHMPETIIIDEIGTELEALAASTIAQRGVQLVGTAHGMTIDNIIKNPSLQILVGGIESVTLGDEEARKRKVQKTILERKGPPTFTCAVELISRTECRVHHRLDATVDAILAGKSALFEIRQMEAEANVSLKSTLMPKIDHLEQSDFPVNKEKSAQVDFDDEDEDSLPKFIKKQRLNQSVSKRISPICVYTYKILEADLLQVAAVMGLEDEIDVTDDIGMADAILASASEIKQNPWIRGIAKFHKLPMFVIKSNTMAQMVKAVRMILERESYGSRLEHPNKNSFDIEIEDDAPKRKPTLEEIDALEEVRLAIEYIVIPGGEPVELLPRRSEIIARQLELVKSYQLAAENSGTELNPRLQILPQRLNKKLSSKSLKSTTTVENETGLKPQTDSSGGTSVTRLPLLPE >EOY18725 pep chromosome:Theobroma_cacao_20110822:10:4665394:4669891:-1 gene:TCM_043218 transcript:EOY18725 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCRKC thioredoxin 2 MTSESFTLRFSPSLKTLLNSKFPGDPLPCFATPFLKNPCSSFDGLSLKRKTLSFSERINRFGGKFGIFNAWKKDGYLEELDDAPLAVELQQICSESQFDRVIAEAQQLEESLIILWMASWCRKCIYLKPKLEKLAAEYYPRLRFYRVDVNTVPHNLVARAGVTKMPTIQLWRDSKKQAEVIGGHKAYLVVNEVREMIENECTT >EOY17524 pep chromosome:Theobroma_cacao_20110822:10:89486:94039:1 gene:TCM_042339 transcript:EOY17524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter 1.5 MACLNNNCKEVSIEKKMIKEEESYTLDGAVDRHGRPAIRGRTGTWVAGILLLVNQGLATLAFFGVGVNLVLFLTRVLGQDNADAANNVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQAVFVVGLVLSSVSTSVFLLKPSGCGDEDTSCGSHSAFHIVFFYFAIYLVALGNGGYQPNIATFGADQFDEEDPKEGHSKIAFFSYFYLALNLGSLFSNTILGYFEDQGMWVLGFWASAASAFVALVLFLIGTPRYRHFKPTGNPLSRFCQVLVAASRKWKVQMTPGGENLFEVEKKESATNGARKILHTEGFRFLDRAAIMTPRDYADGQEKNIDGRNPWSLCTVTQVEEVKCILRLLPIWLCTILYSVVFTQMASLFVEQGAAMKTTISNFHIPAASMSSFDILSVATFIFIYRRVLDPIVARIKTKPKGLTELQRMGIGLVIAILAMVSAGIVELFRLKYADKDCPSCENASSLSIFWQVPQYMLIGASEVFMYVGQLEFFNGQAPDGLKSFGSALCMTSISLGNYVSSLLVTIVMKISTRDDMPGWIPGNLNRGHLDRFYFLLAALTTADLVVYIICAKWYKYIKFEGRSGEDNSTDGQAAAELKV >EOY18028 pep chromosome:Theobroma_cacao_20110822:10:1830443:1832690:1 gene:TCM_042696 transcript:EOY18028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLITQGLVLGTVLMSHRPDHATDVLNRTNTKPSAPGGAALRRRLSHVSRGRTGGEDYFILYRLLGSRLGDVGFCGYLRLNKRVSERRNWK >EOY18278 pep chromosome:Theobroma_cacao_20110822:10:2679968:2696241:-1 gene:TCM_042872 transcript:EOY18278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MEIQHFSHNHPLVFEEERSHDSDEKAYCNVCGEVVSGPTYSCVACGFHLDKKCAEAPLELNHPFHREHSLKLTIWTAGKYWFNCNFCNKRCDNFVYLCSITCKVRLHIKCALFTYSIVKKNIGGLQHIAHKDPLISTENPSVKLKYAHCFVCWTPLLDSPCFSLDCGFYLHKKCVELPFEINHLCHHQHSMLLQFNSDSLPCKICQETQVKGFVYCCSMCKVALHIECVPPSPFIEDCSHEHSFTRCLRRFSFICGACGMSGNYASYICSTCALPAHKNCISLQRIIKSMWHHHPIFHHYFVVENECGILECGICHEEVSKEYGSYYCAECKFIVHVKCVLEDTDCYYGIESKDVYEKLNENPTLVDPSFLVIKEIKLGENVIHTEIKHFSHEHNLVLYDEARDDKCCDCCSLLIETSFYHCSECDFCLHKSCAALPRKKPLWPFPEISLMLTPNCFFICELCGSNHTGFANRASDESSSKYICLQCGEFPWSCTSQGHKEHLLSLYPKYNGQCNACGDSIDDVSAYRCKGCNFNLHSKCTRLPQTARHKCDEHRLTLTYNEDNDYLEYHYCDICEERRNPNIWFYHCTICDKSVHLKCVLGDYPFIKFGPFIKFGRRIFTETNHPHSLTFVKKVFLYPECCKCGQPCSDLALECAECNYIIHWSCSKIDSDFIELAQ >EOY19740 pep chromosome:Theobroma_cacao_20110822:10:21995785:21996731:1 gene:TCM_045046 transcript:EOY19740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related protein 10.5, putative MKWRLLPQFPPAKMFKAFVLDADNLIPKVVPQAIKSSELLEGDGGPGSIKKITFGEDQLRVYDTGFVAGPDGGSVCKSTSKYYTIGDNEIKEEQIKTGKERAFGMYKAVEAYLLANPAYN >EOY20124 pep chromosome:Theobroma_cacao_20110822:10:24992933:24994413:-1 gene:TCM_045523 transcript:EOY20124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLWCVKSRVHTRRRRQGLKTVNSTRHMWTKELLLGGHGDNALSHMISMCPVFSFNNVVSQKHKHIAIISNIKGMQR >EOY19954 pep chromosome:Theobroma_cacao_20110822:10:24075092:24076646:-1 gene:TCM_045357 transcript:EOY19954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2, putative MHNCLLLLAALVFLPFTVGQAPFDLYKLSLRWPPSECNIGQLKCTPTVLNYWVIHGIWPTYENNTAVPKYDKTNNPCTHNPTKENQIQAKLSSIKNTLTQFWPSLRNYADDNTNLKDWIHEWKFHGQCSDYPTDPLSYFNSALSLRRKNDLAGTRIQPREEPYQAKEIVDAVKQILGATPEITCNTHRPSGMIQLREVRMCFKRAKPPTEPRDCPIQFSGTCNKESDHIRVPPAPSPGHSQVPWLPINIFLLAFCLGIVI >EOY20260 pep chromosome:Theobroma_cacao_20110822:10:25394527:25399746:-1 gene:TCM_045616 transcript:EOY20260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MSLVVSAGGHSFCLQARRGFSISKSKLPKLSARAALTEARPRVNGSLAVQVLGGDRAEDLQAEARAMARAANASVYSPELLARKYGSRPVQVLKRTLEILVALGSFALKLLLEQRNGTLDRNKRKRAAELRTIFTRLGPTFVKLGQGLSTRPDICPPEYLEELARLQDALPTFPDADAFSCIETELGVPLDSIFSSISPSPIAAASLGQVYKAQLKHSGQTVAVKVQRPGIEEAIGLDFYLIRGLGFLINKYVDIISSDVVALIDEFARRVYQELNYVQEGQNARKFKMLYADREDILVPDIFWNYTSGKVLTMDWVDGVKLNEQAAIESQGLKVLDLVNTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARSAIIGHVVHMVNRDYEAMARDYYALDFLSPDVDVTPIVPALRDFFDDALSYTVSELNFKTLVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGRFRWNRLENLLVQGRKDRDFTAKDALQPVLKLLLGPDGEELRTLVIKEAVRVTEAVALCTVVDTYNSVPPFMRTLMFNGNGGGPLAMSAAELESMIELRDQVFRIWGLLRSSENFDPALLQPILQVLQQRDARMLGGRVVGGITQRLAARLLQQVLRTPTVPTSSL >EOY20259 pep chromosome:Theobroma_cacao_20110822:10:25393996:25399882:-1 gene:TCM_045616 transcript:EOY20259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MSLVVSAGGHSFCLQARRGFSISKSKLPKLSARAALTEARPRVNGSLAVQVLGGDRAEDLQAEARAMARAANASVYSPELLARKYGSRPVQVLKRTLEILVALGSFALKLLLEQRNGTLDRNKRKRAAELRTIFTRLGPTFVKLGQGLSTRPDICPPEYLEELARLQDALPTFPDADAFSCIETELGVPLDSIFSSISPSPIAAASLGQVYKAQLKHSGQTVAVKVQRPGIEEAIGLDFYLIRGLGFLINKYVDIISSDVVALIDEFARRVYQELNYVQEGQNARKFKMLYADREDILVPDIFWNYTSGKVLTMDWVDGVKLNEQAAIESQGLKVLDLVNTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARSAIIGHVVHMVNRDYEAMARDYYALDFLSPDVDVTPIVPALRDFFDDALSYTVSELNFKTLVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGRFRWNRLENLLVQGRKDRDFTAKDALQPVLKLLLGPDGEELRTLVIKEAVRVTEAVALCTVVDTYNSVPPFMRTLMFNGNGGGPLAMSAAELESMIELRDQVFRIWGLLRSSENFDPALLQPILQVLQQRDARMLGGRVVGGITQRLAARLLQQVLRTPTVPTSSL >EOY19070 pep chromosome:Theobroma_cacao_20110822:10:9460072:9460647:1 gene:TCM_043741 transcript:EOY19070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative MGAPMKYICVLMFLVVLSIAGFNGVDGYGPCGKHNIEKEAEKLAPCTKAAQDLKAPVSKSCCTVMEKKLKNPGCLCAIMLSHTAKNAGVKPEVAVTIPKRCNIPVRPVGHKCGAFPLV >EOY18063 pep chromosome:Theobroma_cacao_20110822:10:1954502:1957551:-1 gene:TCM_042719 transcript:EOY18063 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein, putative MDTMKTCFIWLLRAGWAAGTLPFLIASLPCSRLGSFHTLVLGYVKRGKIMPSSSSHKLTVPQSFYLHFYLLAVVWTTVLLMGTWWFADKVAPLSHLTGGGSHVFSLHKSGFTSADDRLTVCKSVFLLLLMEIHVLRRLYETLFVFNYSSSARMHVVGYFTGICYYIAAPLSLSTFCAADQVAEFNVEGQEMVSITGFDLRGYVKPITSLGWCQWAGAAIFAWGWLHQCSCHAILGSLRTHRSDQTVEYVIPRGDWFNIVSSPHYLAEMVIYVGLLVASGGTDLTIWLLLGFVVANLAFAAADTHRWYLHKFEDYPPDRWAILPFVY >EOY19237 pep chromosome:Theobroma_cacao_20110822:10:14826923:14831037:1 gene:TCM_044224 transcript:EOY19237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLILYLCNLHYMHLFHNQRKISNSKYLPWMIILDGYFFHFLLVLFLKIDPITRHPFKASPTFKYYFTSRWYPSNRNMG >EOY19471 pep chromosome:Theobroma_cacao_20110822:10:18006470:18008476:-1 gene:TCM_044592 transcript:EOY19471 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MVDPVLTSLLVFVAIKAHIFKVLCTINTATPPPNLHAQLSQLHKMKSAHYSTFAFLFAILFSFSWETSAQSPEKFLHCLSLRSNDSSSISKVIYSQNNYSYSSILESSIQNLRFSTIDIPKPLVIVTPLHASHIQATIQCSKELGLQIRSRSGGHDFEGLSYVSEVPFVLIDLVNLRSIDVNVENGVAWVEAGATIGELYFRIAEKSRTLAFPAGICHTVGVGGHFSGGGYGGLFRKYGLAADNIIDAQLIDANGRILDRQSMGEDLFWAIRGGGGGSYGIVLAWKLKLVPVPATVTVFSITKTLEQNATELIHRWQDVAHKLPDDIFLIVTITRVNSGQEGKDTIQAAFTALFLGGVDNLISLMEIRFPELGVVKQDCIEMSWIQSLLYLDQFPIERPEILLDRTAVNKTLFKVKSDYVKEPIPKIVFEGMWQKFYEEEGKYGIIFLIPYGGRMDEIPETETPFPHRAGNMYKIIYYVGRAQEENLEFQKYINWIRRIYRHMTPYVSKSPREAYANYRDLDIGVNNKGNTSYAQASTWGFKYFKNNFNKLVRVKTLVDPKNFFRHEQSIPPVSSW >EOY19741 pep chromosome:Theobroma_cacao_20110822:10:21999769:22000698:1 gene:TCM_045047 transcript:EOY19741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNSDLEICHLQYADDTAFTLQADLDILLNTRRVIWCFHIIIGLHINFRKGFLYGVGIGQSTLADWATIINCKTDSLPSSYLGIPLGASQSSLHAWQPVIDKLEARLSCWKARKLSMGGRITILNSVLSSLPIYFTSLFHIPARVRDKLERLQRRFLWSGSSPSRKIHLAN >EOY19608 pep chromosome:Theobroma_cacao_20110822:10:19190273:19192394:-1 gene:TCM_044749 transcript:EOY19608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich family protein MACASQAMISANSCAFTSPKLFKKCYELNNKTSFFTVRASSDDSDDCNEEECAPDKEVGKVSVEWLAGDKTKVVGTYPPRRRGWTGYVEKDTAGQTNIYSVEPAVYIAESAISSGVAGSSADGAKSTLAINAGIALVFVAAASAVVLQVGRNSPQVKTAEYTGPALSYYINKFKPPEIIQATAPSLTESPSSVLPESSAPEVSQVEVQSALPPESSSPSTNS >EOY19627 pep chromosome:Theobroma_cacao_20110822:10:19307100:19309141:-1 gene:TCM_044762 transcript:EOY19627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase 2B, putative MAEENSPVSQHSEKHAPALTHFQGHWCLSQLVPNVISFQKHFQALDDDIILASTPKAGTTWLKALAFTIMNRNRFTLSNSPLNSSNPHDLVPYFEMMLYKDGQIPDFAGVSSPRLFATHLPYQALAESIKQSNSRIVYIARNPLDVIVSLWHFITSEPECADWSLEECFEQFCRGEDAYGPFWDHVLRYWKESLEKPNKVLFLKYEDMKENPIPHIRKMAEFTGFPFSMEEEKAGVI >EOY19665 pep chromosome:Theobroma_cacao_20110822:10:19691421:19697081:-1 gene:TCM_044832 transcript:EOY19665 gene_biotype:protein_coding transcript_biotype:protein_coding description:QLTG3-1 protein MASKVSASTALFLSFNLLFLAFSVSSHSVDYPSDNDGKINPHDSSNGVGKVLHDLLNGDSSNGKGLVNSDELSNGSGHNSNDNSKDSTTNSENPVVNLAAAAMVLGALNQQGKSSCKPLNLGVCANLLNGLVKVDLGDVPTKPCCSLIQGLADLEAAVCLCTAIKANVLGIKLDLPISLSVLLNNCGREVSASTALFLSFNLLFLAFSVSSHNVDYPSDDDGKINPHDSSNGVGKVSHDLLNGDSSNGKGLVNSDELPNGSEDNSNDNSKDSAKNSENPIVNLAAAAMVLGALSQQGKSNCNPLNLGVCANLLNGLVKVELGDVPTKPCCSLIQGLADIEAAVCLCTAIKANVLGIIKLDLPISLSVLLNNCGRELYF >EOY18501 pep chromosome:Theobroma_cacao_20110822:10:3616579:3618303:-1 gene:TCM_043047 transcript:EOY18501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLQVFTWNDHLNMSNMVKKGSLGDKDKTPNILNRIFWLKLVLLKCLEKNSKNSVSRLNEMILAIGKRLNNLLLHIELDYGNGI >EOY18507 pep chromosome:Theobroma_cacao_20110822:10:3627989:3643271:1 gene:TCM_043050 transcript:EOY18507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts 2 isoform 1 MEELRSVNLSKFVSEAVTAICDAKLKSSDIQAAVQICSLLNQRYKDFSPSLIQGLLKVFFPGKSGDDLDADRNLKAMKKRSTLKLLLELYFVGVIEDNGIFINIIKDLTSTEHLKDRDATQTLRQMEHENAKILNAKGELNEENASSYEKLRKSYDHLYRNVSSLAEALDMQSPVMPEDSHTTRVTTGEDASSPATGKESSTLEAIWDDDDTRAFYECLPDLRAFVPAVLLGEAEPKGIEQTSKAQEQPTDSSTEADQSTAVAQDAVEASADSGNLQEGKSIEKGKDKEEKDKERNKDPDKEKGKEKDSDKKGENEKEKLKGLEGTNLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKRLVRTLFNVPRTSLELLPYYSRMVATLSTCMKDVPSMLLQMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFRIAPAGLVFSCLKTCLDDFTHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFTDLDKSSIEHVLRQLRKLPWSECESYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLELNDYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLYLILVSGHDTAEQDVLDPPEDCFRIRMVITLLQTCGHYFDRGSSKRKLDRFLIHFQRYILSKGALPLDIEFDLQDLFAELRPNMTRYSSMEEVNAALVELEEHERTASTDKTSSEKHSDTEKPSSRTTAHSISGDRPSIFNGSEENGGVHEETGDSDSESGSGTIEPEGHDEDYLDEENHDDGCDTDEEDEDDGGPASDEDDEVHVRQKVAELDPQEVANFDQELRAVVQESMEQRKLELRGRPTLNMMIPMNVFEGSTKDHHGRVVGGESGDEALDEEAGGSREVQVKVLVKRGNKQQTKQMYIPRDCTLVQSTKQKEAAEFEEKQDIKRLVLEYNDRVEEENNGLGTQTLNWPSGNSRVYGRGNSWEGSSGRSGGPRHRHHSHSGSGAFYGRKK >EOY18504 pep chromosome:Theobroma_cacao_20110822:10:3627818:3643393:1 gene:TCM_043050 transcript:EOY18504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts 2 isoform 1 MDHHEDECRAGGEHHGKQDDEEAVARLEEMKKSIEGKMALRQSNLNPERPDSGFLRTLDSSIRRNTAVIKKLKQINEEQKEGLMEELRSVNLSKFVSEAVTAICDAKLKSSDIQAAVQICSLLNQRYKDFSPSLIQGLLKVFFPGKSGDDLDADRNLKAMKKRSTLKLLLELYFVGVIEDNGIFINIIKDLTSTEHLKDRDATQTNLTLLASFARQGRVFLGLPISGQEILEEFFKGLNITADQKKTFRKAFHAYYDAVTELLQSEHATLRQMEHENAKILNAKGELNEENASSYEKLRKSYDHLYRNVSSLAEALDMQSPVMPEDSHTTRVTTGEDASSPATGKESSTLEAIWDDDDTRAFYECLPDLRAFVPAVLLGEAEPKGIEQTSKAQEQPTDSSTEADQSTAVAQDAVEASADSGNLQEGKSIEKGKDKEEKDKERNKDPDKEKGKEKDSDKKGENEKEKLKGLEGTNLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKRLVRTLFNVPRTSLELLPYYSRMVATLSTCMKDVPSMLLQMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFRIAPAGLVFSCLKTCLDDFTHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFTDLDKSSIEHVLRQLRKLPWSECESYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLELNDYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLYLILVSGHDTAEQDVLDPPEDCFRIRMVITLLQTCGHYFDRGSSKRKLDRFLIHFQRYILSKGALPLDIEFDLQDLFAELRPNMTRYSSMEEVNAALVELEEHERTASTDKTSSEKHSDTEKPSSRTTAHSISGDRPSIFNGSEENGGVHEETGDSDSESGSGTIEPEGHDEDYLDEENHDDGCDTDEEDEDDGGPASDEDDEVHVRQKVAELDPQEVANFDQELRAVVQESMEQRKLELRGRPTLNMMIPMNVFEGSTKDHHGRVVGGESGDEALDEEAGGSREVQVKVLVKRGNKQQTKQMYIPRDCTLVQSTKQKEAAEFEEKQDIKRLVLEYNDRVEEENNGLGTQTLNWPSGNSRVYGRGNSWEGSSGRSGGPRHRHHSHSGSGAFYGRKK >EOY18505 pep chromosome:Theobroma_cacao_20110822:10:3627829:3643227:1 gene:TCM_043050 transcript:EOY18505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts 2 isoform 1 MDHHEDECRAGGEHHGKQDDEEAVARLEEMKKSIEGKMALRQSNLNPERPDSGFLRTLDSSIRRNTAVIKKLKQINEEQKEGLMEELRSVNLSKFVSEAVTAICDAKLKSSDIQAAVQICSLLNQRYKDFSPSLIQGLLKVFFPGKSGDDLDADRNLKAMKKRSTLKLLLELYFVGVIEDNGIFINIIKDLTSTEHLKDRDATQTNLTLLASFARQGRVFLGLPISGQEILEEFFKGLNITADQKKTFRKAFHAYYDAVTELLQSEHATLRQMEHENAKILNAKGELNEENASSYEKLRKSYDHLYRNVSSLAEALDMQSPVMPEDSHTTRVTTGEDASSPATGKESSTLEAIWDDDDTRAFYECLPDLRAFVPAVLLGEAEPKGIEQTSKAQEQPTDSSTEADQSTAVAQDAVEASADSGNLQEGKSIEKGKDKEEKDKERNKDPDKEKGKEKDSDKKGENEKEKLKGLEGTNLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKRLVRTLFNVPRTSLELLPYYSRMVATLSTCMKDVPSMLLQMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFRIAPAGLVFSCLKTCLDDFTHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFTDLDKSSIEHVLRQLRKLPWSECESYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLELNDYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLYLILVSGHDTAEQDVLDPPEDCFRIRMVITLLQTCGHYFDRGSSKRKLDRFLIHFQRYILSKGALPLDIEFDLQDLFAELRPNMTRYSSMEEVNAALVELEEHERTASTDKTSSEKHSDTEKPSSRTTAHSISGDRPSIFNGSEENGGVHEETGDSDSESGSGTIEPEGHDEDYLDEENHDDGCDTDEEDEDDGGPASDEDDEVHVRQKVAELDPQEVANFDQELRAVVQESMEQRKLELRGRPTLNMMIPMNVFEGSTKDHHGRVVGGESGDEALDEEAGGSREVQVKVLVKRGNKQQTKQMYIPRDCTLVQSTKQKEAAEFEEKQDIKRLVLEYNDRVEEENNGLGTQTLNWPSGNSRVYGRGNSWEGSSGRSGGPRHRHHSHSGSGAFYGRKK >EOY18506 pep chromosome:Theobroma_cacao_20110822:10:3627989:3643271:1 gene:TCM_043050 transcript:EOY18506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts 2 isoform 1 MEELRSVNLSKFVSEAVTAICDAKLKSSDIQAAVQICSLLNQRYKDFSPSLIQGLLKVFFPGKSGDDLDADRNLKAMKKRSTLKLLLELYFVGVIEDNGIFINIIKDLTSTEHLKDRDATQTLRQMEHENAKILNAKGELNEENASSYEKLRKSYDHLYRNVSSLAEALDMQSPVMPEDSHTTRVTTGEDASSPATGKESSTLEAIWDDDDTRAFYECLPDLRAFVPAVLLGEAEPKGIEQTSKAQEQPTDSSTEADQSTAVAQDAVEASADSGNLQEGKSIEKGKDKEEKDKERNKDPDKEKGKEKDSDKKGENEKEKLKGLEGTNLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKRLVRTLFNVPRTSLELLPYYSRMVATLSTCMKDVPSMLLQMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFRIAPAGLVFSCLKTCLDDFTHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFTDLDKSSIEHVLRQLRKLPWSECESYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLELNDYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLYLILVSGHDTAEQDVLDPPEDCFRIRMVITLLQTCGHYFDRGSSKRKLDRFLIHFQRYILSKGALPLDIEFDLQDLFAELRPNMTRYSSMEEVNAALVELEEHERTASTDKTSSEKHSDTEKPSSRTTAHSISGDRPSIFNGSEENGGVHEETGDSDSESGSGTIEPEGHDEDYLDEENHDDGCDTDEEDEDDGGPASDEDDEVHVRQKVAELDPQEVANFDQELRAVVQESMEQRKLELRGRPTLNMMIPMNVFEGSTKDHHGRVVGGESGDEALDEEAGGSREVQVKVLVKRGNKQQTKQMYIPRDCTLVQSTKQKEAAEFEEKQDIKRLVLEYNDRVEEENNGLGTQTLNWPSGNSRVYGRGNSWEGSSGRSGGPRHRHHSHSGSGAFYGRKK >EOY17609 pep chromosome:Theobroma_cacao_20110822:10:354216:355073:-1 gene:TCM_042394 transcript:EOY17609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein MPTFSICLLFTFNFIIFSNFCNTAYGIFCEETTEAITIKRVEKTSHLHFYFHDVISGKQPSVVKIAGPPNSTGYGFGATMIMDDALTEGPEISSKLVGRAQGMYAIAAQEELSLLMVMNFAFIEGTYNGSSISILGRNPVLNDIREMPIVGGGGVFRLARGYALADTIRLDYKTGDATVEYHVYVSHY >EOY18912 pep chromosome:Theobroma_cacao_20110822:10:6099463:6110569:1 gene:TCM_043415 transcript:EOY18912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein MDPFAINFLVLLCLNIFTHSFCIRIHNSTCIKSEKQALLKFRQDLQGPSNMLADWTRNGDCCNWSGVVCDNVTGRVTELHLGSAQGGCALKAKAETLVRPKLGGKLNPSLLDLKSLSYLDLSDNNFGQTPIPAWFWNLTSRLHYLNISRNQFLGNISDLLTMSHPSVVLDLSSNNFTGPLPRISVSVTALDLSKNALSGSISHFLCYRMNQPMRLEVLNLSCNLFSGEIPDCWEQWPRLVAIKFCNNSFSGKIPSSMGTLTHLQSLHLRNNSLVGEVPFSLRNCTELLTVDFGANQLSGEIPTWMGERPTKLIVIILQTNRFHGPIPQEFCALSSLQILDLSHNNLSGIIPSCIKNLSAMISRNNSDGKISYNTSRGCFFDDVALVVKGVVMDYSATLKLLALLDLSDNNLSGDIPEEVTSLKGLISLNLSNNLLVGRIPDNIGSMRLLECVDLSKNNLSGGIPSSMTELNFLSYLNLSNNKLTGKIPSGTQLQSLSASSFLGTELFGPPLTKDNTSSPLTPSNTVGEEEVDNGPKVNWFHLSVEFGFLFGFFGVIGPVIFRINDVAQYRDGSRDVNG >EOY18844 pep chromosome:Theobroma_cacao_20110822:10:5374656:5375010:-1 gene:TCM_043337 transcript:EOY18844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNSTIMQASLVILLILSANAVDIEAKSHAGRKTMKKRINSQSIIHALAGYDLSATKQGRRVMTDTSRISPGGPDPQHN >EOY17898 pep chromosome:Theobroma_cacao_20110822:10:1372444:1377043:1 gene:TCM_042599 transcript:EOY17898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein pmp34, putative MSDALINGLAGAGGGIIAQLITYPLQTVNTRQQTERDLKKEKRKLGTIQQMSQVVNQEGWERLYGGLTPSLVGTAASQGVYYYFYQIFRNKAEATALERQKKGIGDGSVGVLSSLIVAALSGCVNVLLTTPIWVIVTRMQTHKKILKKDHSNRSTTTAVEETVLSVIEPLPYGTSHAIQEVYDEAGFWGFWKGVFPSLIMVSNPSIQFMLYETMLKKLKKRRSLRKKGNNGITALEIFLLGALAKLGATAVTYPVLVVKSRLQAKQVTTGDRRHHYKGTLDAILKMIRYEGFSGFYKGMRTKIAQSVLAAAVLFMVKEELVRGARLLLIKGGINTVKSKPP >EOY18894 pep chromosome:Theobroma_cacao_20110822:10:5975761:5977151:-1 gene:TCM_043401 transcript:EOY18894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFQCWFHDRYEEAVKVTMPLSPWVSKQLSKRFNDAHLLVVKPINQVEFEVKDWKMDGLVNLSTKTCSCLFTLCLNIEFIVYFSKCKREAIEFYADYYKTTVLVEGYTGSIRLIGHPSEWDIPIM >EOY19689 pep chromosome:Theobroma_cacao_20110822:10:20822052:20827298:-1 gene:TCM_044928 transcript:EOY19689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIYEHGSLVSIEVAANVAARPMPRVPDDDKRYFNGRKECGFHTNSGDDPWCRVCMIWAIYNLRNEISKEAHVVAYAIHLGAIEMYHDLKFMYWWLGLKKYVSDPLHVIRYDEVQLQDGLSYEEQLIAVLDQQVKHLHSMDIAMVNLPQFSWNLEPRFMQFSLQGGDEVIRHVGDMLVS >EOY20027 pep chromosome:Theobroma_cacao_20110822:10:24464415:24465609:1 gene:TCM_045431 transcript:EOY20027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) binding 8-like protein MARRGGSISTMALGLALATATPDQVRTVLGERLHPLVQNLEPAAAARVTGMLLELDRTEVLHLLESPEALKSRVAEAMEVLISAACTPLGTAVGTGKARRGGSTSRRPISMRTLLRSALANATPDQERTILGERLYPLVEKLEPAAAAKVTGMLLELGRTEILHLLESPVALTSMVAQAIGVLRNSAQQRQDQTGGAKSRSIGHHCH >EOY17907 pep chromosome:Theobroma_cacao_20110822:10:1417446:1422138:1 gene:TCM_042608 transcript:EOY17907 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-Aspartase-like family protein MEARVSSGVLTKNQAPFLSPLQPRKVQSLRNLSALYNTKQTHQFSNASFQLSTISSCRSHGFRQVINMSGDNSCEVELSTLTALSPLDGRYWGKVKGLAPYMSEYGLIYFRVLVEIKWLLKLSQIPEVTEVPSFSAEAQSYLQGLIDGFSMDDALQVKQIERVTNHDVKAVEYFLKQKCQSHSEIAKVLEFFHFACTSEDINNLAHALMLKEAMTKVMLPTMDKLIEAICEMAKANASIPMLSRTHGQPASPTTLGKEMAIFAVRLSRERQEISQVEMMGKFAGAVGNYNAHLVAYPNINWPQIAEEFVTSLGLKFNPYVTQIETHDYMAKLYYAIIRFNNILIDFDRDIWGYISVGYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGKANEDLSYLSMKLPISRWQRDLTDSTVLRNMGGGLGHSLLAYKSALQGIAKLQVNEARLSEDLNQAWEVLAEPIQTVMRRYGVPEPYEKLKELTRGRAVTKESIREFIEGLELPKEAKTHLLKLTPHSYVGAAVELARTVDSTVNVINGTKVLETCS >EOY19924 pep chromosome:Theobroma_cacao_20110822:10:23872424:23878256:1 gene:TCM_045327 transcript:EOY19924 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II fifth largest subunit, putative isoform 1 MATNFANSGEVSGHVCNDGGAEGAQPCIKSMVDQGSVESHRFYLARKTVWEMLKDRGYNVADSELTPSITEFRSVFGDQPDLELLRISVSLRSNPSKKILVVFMGTNDIRKATVCALKGQILNESLSGLILILQSKMNSFALKELKNFPFKVELFKIADLYVNITKHFLMPKHEVLTAEEKQKLLKKYQLEDKQLPQMLQTDPIARYYGLEKGQVVKVTYSEEFVQFHEQYRCVV >EOY19923 pep chromosome:Theobroma_cacao_20110822:10:23872424:23878256:1 gene:TCM_045327 transcript:EOY19923 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II fifth largest subunit, putative isoform 1 MATNFANSGEVSGHVCNDGGAEGAQPCIKSMVDQGSVESHRFYLARKTVWEMLKDRGYNVADSELTPSITEFRSVFGDQPDLELLRISVSLRSNPSKKSKGRNAESGVIETGRNDKQRNVLSGPIEILVVFMGTNDIRKATVCALKGQILNESLSGLILILQSKMNSFALKELKNFPFKVELFKIADLYVNITKHFLMPKHEVLTAEEKQKLLKKYQLEDKQLPQMLQTDPIARYYGLEKGQVVKVTYSEEFVQFHEQYRCVV >EOY20258 pep chromosome:Theobroma_cacao_20110822:10:25389476:25394162:1 gene:TCM_045615 transcript:EOY20258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein MSNAIANGVAGAGAGIVAQIITYPLQTVNTRQQTERVAKSKPSAACTGTGTLLQILHVLRTEGWGGLYSGLKPSLFGTAASQGIYYYFYQLFKNKAEAIAAARKQKGRGDGTVGMFSWLLVAALAGSLNVLLTNPIWVLVTRMQTHTQAERKIAELKREALLREASENSLISSRLQDKLAELDSTKPHPYGTIQAAHEVYTEAGIRGFWKGIIPTLIMVCNPSIQFMIYESSLKRLKEKRAANNKHGLKNVTALEVFALGALAKLGATVTTYPLLVVKSRLQAKQEIGGNISLRYSGTVDAIIKMMRYEGLRGFYKGMSTKIVQSVFAASVLFMVKEELVKAYMFLIHKMLNKLIMY >EOY18906 pep chromosome:Theobroma_cacao_20110822:10:6082707:6083645:1 gene:TCM_043412 transcript:EOY18906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTHGIFITIKYLALKLTQISPFSLHMAGKRRTKEERKKTRTLGGKIEEKVWDFKGLS >EOY18824 pep chromosome:Theobroma_cacao_20110822:10:5212282:5270058:1 gene:TCM_043319 transcript:EOY18824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MVVAGPGGGGMPNMKSFGVQILTGPWLMVFGSLLIMSAAGATYMFSLYSNEIKKSLGYDQTTLNLLSFFKDLGTNVGVLSGLIAEVTPPWFVLSVGAVLNFFGYFMIWMAVSKRIARTQVWHMCLYICIGANSQSFANTGSLVTCVKNFPESRGVVLGILKGYVGLSGAIITQLYHAFYGDDSKSLILLIGWLPAVISLCFVRTIRIMKVAHQANEVKVFYKFLYISLGLASFLMIIIIVEKKFLFTRPEYGGSAAMVLFLLFLPLGVVIMEEYKLWEAKNRALNDPSPLKIVTEKPSSEVSLENTEAHSSSSTAKILANNESSHDQANVSCWKTAFNPPNRGDDYTILQALFSLDMLILFLATTCGIGGTLTAIDNLGQIGTSLGYPKRSISTFVSLVSIWNYLGRVTAGFVSEIFLAKYKFPRPLMLTLIMLFSCVGHLLIAFGVPGGLYIASVIIGFCFGAQWPLLFAIISEIFGLKYYSTLYNFGSVASPIGSYILNVKVAGNLYDREAKKQMAALGLKRKAGEDLDCNGVECFKLSFIIIAAATLFGTVVAFLLALRTRKFYKSDIYKKFREEAKATEMQMAAAGDGIVLPDVKATANGVSHPEGKATGNGIGPEAKVG >EOY19037 pep chromosome:Theobroma_cacao_20110822:10:8774739:8784406:1 gene:TCM_043679 transcript:EOY19037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKKLKKMLKKKLWLKNLLKIQKKNHNLPPHLHHIFLNVFKSKNVQTIPKFLEVFKKLHINIPFAEALEQMPSYLKFLKEILTKKRKLEEFETVALIEECSAIIQNKLPPKLKDPGSFSIPCTIGSFKISKALCDLGASVSIMPLSIARKLGFQEIQPTTVTLQLADRTIRHPNGIIEDVLLKVGHLYIPVDFIVLEIEDDVEIPLILGRPFLATARALIDVKNGKITFRVERRKWYLICSMQLNIPIQIVAINWI >EOY19408 pep chromosome:Theobroma_cacao_20110822:10:17292945:17295793:-1 gene:TCM_044501 transcript:EOY19408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MANPVYTLLFLLTLFHSVHLSHQLQPSQANALWEIQQLLNYPSVLSSFDNTWDFCNIEPTPSLTVVCYEDNVTQLHVIGNNGVAPLPQNFSIDAFFASLVSLSNLKVLSLVSLGLWGPLPGGIGKLSSLEILNVSSNYFTGFIPVELSYLWNLQTLFLDHNKFTGQVPGWLSSFHALTVLSLKNNSLFGTLPSAVASLENLRILSVANNHLFGEVPDLQKLTNLQVLDLENNYFGPHFPALHNKVVTLVLRNNSFQFGIPADLGSYYELQKLDISFNGFVGPFLPSLFALPSINYIDVSANKLTGRLFQNMSCNDELAFVNLSSNLLTGDLPACLQPTFKSRAVMYARNCLSDEEQEQHPSNFCHNEALAVKVLPRKLKYKRHDAKAVLASSIVGGIAGIAVIGSLSFLVIQRRNNRVAGKTLSTRLIMEKVSTVNPVKLLSDARYISETMKLGANHPAYRVFALEELKEATNNFTPSSIIGEGSHGQVYKGKLADGTLVAIRSLKMRKKHSSQTYTHHIETISKLRHSHLASALGHCFEYCPDDSSVSIINLVFEFVPNGTLRGCISGTILCY >EOY19407 pep chromosome:Theobroma_cacao_20110822:10:17290983:17295258:-1 gene:TCM_044501 transcript:EOY19407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MANPVYTLLFLLTLFHSVHLSHQLQPSQANALWEIQQLLNYPSVLSSFDNTWDFCNIEPTPSLTVVCYEDNVTQLHVIGNNGVAPLPQNFSIDAFFASLVSLSNLKVLSLVSLGLWGPLPGGIGKLSSLEILNVSSNYFTGFIPVELSYLWNLQTLFLDHNKFTGQVPGWLSSFHALTVLSLKNNSLFGTLPSAVASLENLRILSVANNHLFGEVPDLQKLTNLQVLDLENNYFGPHFPALHNKVVTLVLRNNSFQFGIPADLGSYYELQKLDISFNGFVGPFLPSLFALPSINYIDVSANKLTGRLFQNMSCNDELAFVNLSSNLLTGDLPACLQPTFKSRAVMYARNCLSDEEQEQHPSNFCHNEALAVKVLPRKLKYKRHDAKAVLASSIVGGIAGIAVIGSLSFLVIQRRNNRVAGKTLSTRLIMEKVSTVNPVKLLSDARYISETMKLGANHPAYRVFALEELKEATNNFTPSSIIGEGSHGQVYKGKLADGTLVAIRSLKMRKKHSSQTYTHHIETISKLRHSHLASALGHCFEYCPDDSSVSIINLVFEFVPNGTLRGCISEGLPGQRLKWTQRIAAAIGVAKGIQFLHAGILPGVFSNNLKITDVLLDQNLLAKISSYNLPLLAENGGMGGAGVSLPGLKANVRGREIHEDKDDVYDIGVILVEILVGRPIMSQNDVMVVKDILQVSNKMDDTARRSIVDPTIVKECSAESLKTVMEICLRCLSDEAGDRPSVEDVLWTLQFAAQLQDPWRLDSHHIHHLNISSLEKM >EOY17774 pep chromosome:Theobroma_cacao_20110822:10:826717:831741:1 gene:TCM_042495 transcript:EOY17774 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRINKLY4 related 3, putative MTKLAFALLVAVGFLAAISFPRLTHALGSGSTLAVAYGTVTVCAIVAAEPNQRIICYRPGDTSSSAVVPILPNVSYSTVVGGETDVCALRSGGYSLLCWKTDNLTFPVKRLYINYTVTLQSLSIGEERICATTTNTSTPVFCWRTDGSNRNNSEELPNGNYSMGKITSGVEFSCGIVLSENNRVTCWGDNPVGKQIERQFGNMSMSNIEAGFSHVCGVSSAGDLVCKGDNSTGQLNVPSNKGLNFASGLALGEGFSCAIRRSSGTVVCWGSMGETAVGGIGFESIVSGSNFACGLTTKNLSVVCWGPGWPGTNGSNSNSTVNELPLGADILPWPCVQSSCNECGLYPDSSRLCFGSGNICKPSPCFNFTAPPPPLPAAPPLGTTRSSPSKELRRGLLAFAIVGSVGCFVGICSIVYCLWTGVCFGKKKVHNSVQPTITRAGSNGGPGSNNSPPSRSSTIRRQSSRAMRRQRSGTSSKHADRAEEFSLAELAAATNDFSFENKIGAGSFGVVYRGKLLDGREVAIKRGETGSKAKKFQEKESAFESELAFLSRLHHKHLVRLVGYCEEKDERLLVYEYMKNGALYDHLHDKNNVEKSSSLLNSWKMRIKIALDAARGIEYLHNYAVPPIIHRDIKSSNILLDVSWTARVSDFGLSLMGPESDRDYRPMKAAGTVGYIDPEYYGLNVLTAKSDVYGLGVVMLELLTGKRAIFKNDENGGTPVSLVDFAVPAIMAGELVKVLDTRVGPPELNEAEAVELMAYTAMHCVNLEGKERPTIGDIVSNLERAVNVCDGSHGSISSGTFSIVSE >EOY19074 pep chromosome:Theobroma_cacao_20110822:10:9467569:9475166:-1 gene:TCM_043743 transcript:EOY19074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase isoform 2 MSMGTSEAVLHVLSGAVPRLFSSDLCSSNLDLVFSSKYHIKSVNKKGSSYMQRFKCLRLARCQIGSYMCKPLGGGLYGNRAIGRLKLLRCKCERAESVSGVGMDEGNGAWFVDSAKKLNLNGSINSPNILEFEAVEQLKREKEGLTSNGTVGTGTSTFHKASVDSIEDEAWELLRDSMVYYCGSPIGTIAANDPTSSNVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERVDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNNRLVALSFHIREYYWIDMRKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNKGGFLIGNLQPAHMDFRFFSLGNLWAVASG >EOY19073 pep chromosome:Theobroma_cacao_20110822:10:9466203:9475044:-1 gene:TCM_043743 transcript:EOY19073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase isoform 2 MSMGTSEAVLHVLSGAVPRLFSSDLCSSNLDLVFSSKYHIKSVNKKGSSYMQRFKCLRLARCQIGSYMCKPLGGGLYGNRAIGRLKLLRCKCERAESVSGVGMDEGNGAWFVDSAKKLNLNGSINSPNILEFEAVEQLKREKEGLTSNGTVGTGTSTFHKASVDSIEDEAWELLRDSMVYYCGSPIGTIAANDPTSSNVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERVDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQEICGPLLVVLQQLISHMLYWISSKLNGQIWWPTCHLRSATQLLKVGSGRSLQAVIPRTRPWSYHNGGSWPTLLWQLTVACMKMNRPEIAAKAISVAEKRISRDKWPEYYDTKKARFIGKQSHLFQTWSIAGYLVAKLLLADPNAAKILTTEEDSELVNAFSCMISANPRRKRGPKSLKQTYIV >EOY19072 pep chromosome:Theobroma_cacao_20110822:10:9466203:9482334:-1 gene:TCM_043743 transcript:EOY19072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase isoform 2 MSMGTSEAVLHVLSGAVPRLFSSDLCSSNLDLVFSSKYHIKSVNKKGSSYMQRFKCLRLARCQIGSYMCKPLGGGLYGNRAIGRLKLLRCKCERAESVSGVGMDEGNGAWFVDSAKKLNLNGSINSPNILEFEAVEQLKREKEGLTSNGTVGTGTSTFHKASVDSIEDEAWELLRDSMVYYCGSPIGTIAANDPTSSNVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERVDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNNRLVALSFHIREYYWIDMRKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNKGGFLIGNLQPAHMDFRFFSLGNLWAVASGLATTDQSHAILDLIEAKWADLVADMPFKICYPALEGREWQIITGSDPKNTPWSYHNGGSWPTLLWQLTVACMKMNRPEIAAKAISVAEKRISRDKWPEYYDTKKARFIGKQSHLFQTWSIAGYLVAKLLLADPNAAKILTTEEDSELVNAFSCMISANPRRKRGPKSLKQTYIV >EOY19044 pep chromosome:Theobroma_cacao_20110822:10:8837182:8838056:-1 gene:TCM_043682 transcript:EOY19044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYLPPWWHTFYASVIKITHRLVAFSFFFLTDQNVKEKRKREKIWVSSRKSLLYYI >EOY19753 pep chromosome:Theobroma_cacao_20110822:10:22098710:22100976:1 gene:TCM_045062 transcript:EOY19753 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR-like protein MVSSVEEDSIKISSTSLLSHLTIRKCPSLISLSSRGELPVRLQRLKIWSCPKLTYLSSSGTLPVGLTYLQVDLCQMLEYVAYSVHNNTCLKFIFVGRCEKIQYLPNGLDKLSHLQQIQIECSQNLVSISKLPFTSLHVLCLSWCRKLQALPNGMHILTSLRELEISN >EOY19484 pep chromosome:Theobroma_cacao_20110822:10:18093086:18095259:-1 gene:TCM_044600 transcript:EOY19484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGVQTTRTHIYKFGNKTLDSKLLASIETFESACGLTPSSDVAQAPLSKIQGYHEMKVEMKQLHTQMLDIQTSL >EOY19211 pep chromosome:Theobroma_cacao_20110822:10:14240352:14244515:-1 gene:TCM_044170 transcript:EOY19211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase-like protein MTNNDLVLLTQNFANLVEFAFLGCKLLNSDARCIISSEWPGLISIHLKDCKEVTRSSVCSLFNCTALEDLLLRHNGTEQNVMMMVYMIRGYKMKMNDKRSRFNLAEKPCANDSSFTNISETQEIVFANGVTCPVFARNLEGTKIEFKKAVSASDGLNHTDHDMVSGDDVLADKVAGIENVYGNTSSTISNVEKVNAFLAHLGIDSSMDLFLDISDVDSDTISD >EOY17759 pep chromosome:Theobroma_cacao_20110822:10:768501:770601:-1 gene:TCM_042488 transcript:EOY17759 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-(5'-phosphoribosyl)anthranilate isomerase isoform 2 MLSGLTTGSQFRPKVLNLHRMQIDAGSRGGTLPFVRIRSFPKNKIRCNIVQLNQVFSTDGEHEKNHALVKMCGITSARDAAMAAEAGAKLIGMILWPKSKRSVSLSVAKEISKVAREFGAKPVGVFVDDDVDTILRASDAADLEFVQLHGDVSRAAFPKLVQENKIIYVLHANQDGDLQNQISDEDCSLVDWILVDSAAGGSGKGFNWTQFKLPPIKSKHGWLLAGGINPDNVCEAINTLRPHGVDVSSGICTSDGIQKDQSRIFSFMSAVRAIPY >EOY17760 pep chromosome:Theobroma_cacao_20110822:10:768188:770768:-1 gene:TCM_042488 transcript:EOY17760 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-(5'-phosphoribosyl)anthranilate isomerase isoform 2 MLSGLTTGSQFRPKVLNLHRMQIDGSRGGTLPFVRIRSFPKNKIRCNIVQLNQVFSTDGEHEKNHALVKMCGITSARDAAMAAEAGAKLIGMILWPKSKRSVSLSVAKEISKVAREFGAKPVGVFVDDDVDTILRASDAADLEFVQLHGDVSRAAFPKLVQENKIIYVLHANQDGDLQNQISDEDCSLVDWILVDSAAGGSGKGFNWTQFKLPPIKSKHGWLLAGGINPDNVCEAINTLRPHGVDVSSGICTSDGIQKDQSRIFSFMSAVRAIPY >EOY19985 pep chromosome:Theobroma_cacao_20110822:10:24263647:24267253:1 gene:TCM_045390 transcript:EOY19985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVCRYPKEPLLLITPTSNCFSLEHMDNGVLDNTDDISNEQVWSRSLFAGADRKQSIPNVPRKNQTCKKIPVKETTSIKISKPIVAKLGLNTHHILYFEMWPVNGKKMINDFVKEQKISQGSYGKVVLYPNKNDGTAYAIKIAVVFIYSIRYLIQY >EOY19986 pep chromosome:Theobroma_cacao_20110822:10:24265083:24265951:1 gene:TCM_045390 transcript:EOY19986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVCRYPKEPLLLITPTSNCFSLEHMDNGVLDNTDDISNEQVWSRSLFAGADRKQSIPNVPRKNQTCKKIPVKETTSIKISKPVNGKKMINDFVKEQKISQGSYGKVVCKYHTRFWPG >EOY19272 pep chromosome:Theobroma_cacao_20110822:10:15534554:15544060:1 gene:TCM_044291 transcript:EOY19272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGICDMPAFRVCDMPWRESVTCQRLEYQSVDFVLCKLDDTTATMSVISEESRQYEDLDSLLIMSREKWAFNVVINTHFLGNGGNSSLVKDSCPLYSKGQRLPMHVQMAMQLEAKRLLQGIKTLEPTLDEANREYFMDIDVSLSEGHQYVPIGHMEDQANWGLGAREKRRNLKQKRVTGAMKERRTVTTEVDELSGPELMEEGDDHGNGNEEPVKTVLTLDHATTAPQPQRGHPQTHSANRPSTFEDRVFARILDDLKSQGGPLAHDAGEDHDDADDGQHDESGVHIHDDIVGANGDPTLEADLDDVVVEDMTLQSNNAEIDPVPERNVRILGDQGANFFTTLKDPKEEMTSEQIDTCLSLLCKWMTRSKLKLYNTRACVDTILILHTTFPTQDALATMEIPNELRGYVEGERPTYDKKWEDVDFILAPCNVDGHWVVTKIDLVRWTIKVVDSARTLGVKNNRVRTAHMTPLTTMMPIICHQVGFFNRTYRKTQDLKPMPLEIHLPKAKMHQ >EOY19088 pep chromosome:Theobroma_cacao_20110822:10:9891116:9903648:1 gene:TCM_043787 transcript:EOY19088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPRRGRPPLTRSVGRGKGRSQRHQPNIVEEESAASTIRAAPAAEQADSPPHPPSPQPPTGIPAMPTEAAQALAAFFAAMAGRATVVASSSPARTDIQRKDSSGLPPRQGVAIRSGVESNTPAHPPSRPQTRTSTRVFAVTEDEAQVRPRAVTGIMSLFDKDAYVLIDSGSDRSYVSTTFASIADRNLSPLEEEIVIHTPLGEKLVRNSCYRDCGVRVGEEEFRGDLIPLEILDFDLILGMDWLTAHRANVDCFRKEVVLRNSEGAEIVFVGKRRVLPSCVISAIKASKLVQKGYPTYLAYVIDTSKGEPKLEDVPIVSEFPDVFPDDLPGLPPDRELEFPIDLLPGTAPISIPPYRMAPAELKELKVQLQELVDKGFIRPSISPWGAPVLFVKKKDGTLRLCIDYRQLNRMTIKNKYPLPRIDDLFDQLQGATVFSKVDLRSGYHQLRIKEQDVPKTAFRTRYGHYEFLLYIDEIVRLHGVPVSIVSDRDPRFTSRFWPKFQEALGTKLKFSTAFHPQTDGQSERTIQTLEDMLRACVIDFIGSWDRHLPLVEFAYNNSFQSSIGMAPYEALYGRKCRTPLCWDEVGERKLVGVELIELTNDKIKVIRERLKVAQDRQKSYADKRRKDLEFEIDDKVFLRFLLGKVII >EOY19193 pep chromosome:Theobroma_cacao_20110822:10:13590843:13600367:1 gene:TCM_044106 transcript:EOY19193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPLPHNNNPRVLLYWIPIAMVVSMMLADIEESSSSNRAHPRVSLHPITCGLATYTYHKSWPSPRLIAL >EOY19705 pep chromosome:Theobroma_cacao_20110822:10:21212772:21213917:-1 gene:TCM_044963 transcript:EOY19705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKIKEDTYWANEQGRNLKRKRIDFESKKKEERRKKERERREKKEEKLQGGSALEKIGENQKVFSHMPLLKLEEEIGGSA >EOY18514 pep chromosome:Theobroma_cacao_20110822:10:3678893:3684612:1 gene:TCM_043056 transcript:EOY18514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate excretion transporter 1, putative MVVFQYGSLVIFNVREHEVDEYLKIVEKHASGLLPEMRKDALFVTCYVVANKEEGHQMILRLRLLDSLMSINIIETAMACGIWWSEAPGGYYVIGISLARNLDMFSLLRIELEQIEGSKLSSQRTSPNEDGKTTMDHKGGGWTTFLFIIGSMTGLSLVAGGWGANLIVFLINEFHVKSITATQINNVILGCNYLLPIAGAIVADTFFDSYTVIITFAFVSLLGMILLTLTTTIHSLKPSPCAMGASTCPTPSKLQFAVLYIALALASLGVGGTRFTIATMGADQFDDAKDQGIFFNWYFLALYIANCVSLTALIYIQDNVSWGLAFGICTVLNAIALVLFLSGKRFYRRIKPKRSPFLSILCVIFAAIRKRNVPGTFGSQDYYYGSVETTNIFNNGPSIGEAFHFPGQIALYYQEFPKSLKGWLPDNINHGRLDNVFWMLAVIGVVNLGYYLVCAKLFKYQNLEKSNDSGSHVH >EOY19128 pep chromosome:Theobroma_cacao_20110822:10:10750970:10756170:1 gene:TCM_043880 transcript:EOY19128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVNRDVAAIVMGLRGVPGRDSIHGGQWVDGIYKGGESRMWGVRSDLSFAGLMKLVENVVGVNSEIDDIELHALISTPRELSRPIIKDDEDVALILLEQRNVSAVYVSIKGPQTNVMSHEEVEQHGNQLNQNEIHRTHIPQHSVCNPQQWQLKYAQEFVQSGRHTTFIEQLAAQFRSGCASNQLLASVQQMQRSGETIECVMPLSNENTTPKDNIVRLEGDSMTLEDNTTSDKGNEDLLPVGKMEPVKGVDVGDAQCDDPIYNNPIASENGIRSLDTLLDDSYQERRNARISHMWLIAGAERFFFQTIIIEESTCADDRLYKGRMFSSKVELKRVLNMLYLKEQFGIRVKKSCKGHYEVGYMDKACKFSVRATKLPDRGEYWQVQTFHEVHTCTVDGLQGRFSTASAKIIGELMSHKLRANGVTLRPKDIICEIRVQWGLECLYGKAWQTKDKCKREAIEFYTEYYKKTVLVEGYSGSIRSVGHPSEWDIPPHVKQIIVLPPPWRGQAGKPRRRRIPLVGEVLWGDKPCPNGVTCHVVAVLI >EOY18005 pep chromosome:Theobroma_cacao_20110822:10:1770006:1783828:-1 gene:TCM_042683 transcript:EOY18005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPP8 MAQGGSMESAAARYESTLDALSSLITKRSRADKSNKGDRFDLLFDYLKILELEEAISEMKIIHVAGTKGKGSTCTFAESILRNCGFRTGLFTSPHLIDVRERFRLDGVEICEEKFLEYFWWCYDRLKEKTNEDVPMPTYFRFLALLAFKIFAAEQVDVAILEVGLGGKFDATNVVQTPIVCGISSLGYDHMEILGNTLGEIAGEKAGIFKQGIPAFTVAQPDEAMHVLEEKASKLNVQLQVAHPLDASLLNGLKLSLKGEHQYLNAGLAVALCSTWLQRTGHPITNSNQTGSLPEQFIKGLTTASLQGRAQIVCDQFTDIESPGDLVFYLDGAHSPESMEACGRWFSLCIKEGNQQANLNYQTQDYTESSSEVAQQQTDERYKKDTAQILLFNCMSVRDPQLLLPHLMRACASHGVCFKKALFVPNISVYHKVGSHTLPTTDPQVDLSWQFTLQRVWENLMLGDKGGEAINTDQACEEVKDDTGMSVRSCNNSSVFSSLPLAIKWLRDAAQKDQFVRFQVLVTGSLHLVEAIVSLAIERISDLLIHEAVYLHGVREEVQRLKAELERMKAELERMKSCLKVADNKQDQNELTCTLVRQIRDLAYEAEDVIDTFILHVAHQGGFHGIIKRFTKPFHLHKIGVKVKAIQTKLEDISKSLPAYDQISGREGSNSISEMQQRLRRTYSHVEEEDVVSLEGITNEVLAQLMTEEDRLHVVVSIVGMGGIGKTTLAKKVYNHIDVKGHFDCFAWTFISRQCMPRDVLHDLLIKVLCPSTQERELIDKLKENELIKRLYDVLKEKHYLIVLDDIWRNEDWNSLKSAFPRGKRGSKILFTTRNRDVPLLADPCNSPIELPVLTDNGSWKLFRMKAFRGNKIESHACPEELEMLGREMVKKCGGLPLAIAALGGLLATKKSRAQWEMVHRNINAHINKFQQQDHHYGAVNEILALSYNELPFHLKPCFLYLGHYPEDWEISKKELIRLWIAEGFISPSWENEGMLMEDVAEQFLEELINRCLVQVGKRDYTGIGVKTCHIHDLLRDLCIRKAQEENFLGIIQPPINENNGNSLHVTLTASMARRIAIHPNKRVLNLMKRDMMKWHVSNEIGNLHHLRYLGLECSGRIILPQSIGKLKSLHTLYLRNQALTRIPNVLFQLKRLRHIIVQNYNGWEGVHKFLLPSDASKSIETLKYIRVETLIENSAALILTNVKNLGIIFERSKDVEPILKALVESHRLCSLRMSLQDSIPFPDLEPLSRCHHLSKLSLRGMIQEDPHSSLHVLKFLPANITKLTLSSCMMNQDPMAVLGKLPHLRTLRLWYKSYTGSKMVCSANEFLQLDFLYIGGLKELEEWQIEEGAMPHLQRLRMHDVENLRTFPEGLRYIFALQELELILMRQSLVERIQVIDGREGKDFSKVRHIPSIQIIDTLDDRKL >EOY19025 pep chromosome:Theobroma_cacao_20110822:10:8417606:8425901:1 gene:TCM_043647 transcript:EOY19025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase core domain containing protein, putative MYAFDKFRSYLIGTKVIVYTDHSVIKYLIAKRDANPRLMRWILLLQEFDLEIKDRKGLENQVTDHLSRLKHDNQGKNLTLINQSFPNEQVLYVGQKNLSWFADYVNYIVSKLLHLNLNFHQRKKFLHDVRLYVSD >EOY19947 pep chromosome:Theobroma_cacao_20110822:10:24037569:24041794:1 gene:TCM_045348 transcript:EOY19947 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein MSMPITDKELPETLVMEILLRLPVKSLMRFKCVCKSWCSSFQTSYFITNHKNDNLNLLFKGFFGGFKVPHFSLLSTETESKKHGGPNVEFNLQIKENIRMPVPICSGNRSRLTVSGVCNGLLCLHDGYRITLWNPSTREVKLLPESTISLPPFVDCTYFYCMGLGFDRKSDDYKVLVNVINHVHDEERIIPLKYISQIHLYSLGTESWREIPHPKVSFDRLKHLFNIYINGFCHYINGICHWPAFDDSASFNEALATIVHPKSGMEKCYDIWVLNGYLWTKQLTIGPILGVGRPLGFWKNGELFLESENHDLVMFDPCTGKLQDFGIHMPKCGTQLVVYAESIVPIKGSSEYKANITRGVKFPVNVCKTWCSSFWTSYFITYHKNNNLNLLIWYVDKVPRYSLFSTETKIKKHGGPDEFNLKVKENIHIPVRQTVMGLCNGLLCLHDSYRITLWNPSMREVKFLPKSTISSPPSTSHTSFYCIGFGFDRKLDDYKILVYVFHYVESKIIFQIHLYSLNTDFCREIPHPNVYIYAPELFSTYQVSLAIP >EOY17795 pep chromosome:Theobroma_cacao_20110822:10:896027:899092:-1 gene:TCM_042514 transcript:EOY17795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPLVTPFSPCCSNNSTASNHKHVILGFVIATFLAPSSLKFQSMNIAAPFETHSAILTMFVITTLVYAVAWAIETKLETSSNSYHHVILSNISLLLGSLATVLLVLILVPGLGYLILLIWAIYFVGVTYRAWRKLHQLYSTISSVSDFLNKLLGLHNEESIRCSTSSSSSSAKYTLAICIFLVTVFLTLMNLKFLPVNIPSPFETHCDIMTMFIITILVYASTSYCHEIISKYITLLSGSLAPVLLALILFPGYLGRLMFLVWTIYFVKLSYDAWRKLYQLFNTISSVSDFLNQLLGRRGLHNEETIPYITSSKISTATHQKHVILGLPIAVFLALLPLRFPSMNTTAAPFETHCAILSKFVITTLLYAVAWAMETKLETCAHSYHRVIISNISLLLGSLATILLTLILVPGLGYFTLLIWTLFLVKLIYDACQKLHQLYRAISFASHLFNELLGRGCSV >EOY18306 pep chromosome:Theobroma_cacao_20110822:10:2866207:2867401:1 gene:TCM_042899 transcript:EOY18306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat protein MDERHERLRGAAQAGNNDALYAVIREGAYLLDGIDQIPFFDTPLHIAAAAGHTDFAMEIMNLKPSLALKLNHDGFSPIQLALQNGRSLVSW >EOY19749 pep chromosome:Theobroma_cacao_20110822:10:22067407:22071081:1 gene:TCM_045057 transcript:EOY19749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVAQKWSSTLVNGTSGFCLKVKLNRLKTHLKHWNKVSFGNIDDTINELENKVEEFDIICNLKDLTDNECLAQKQVVQQLCARPRSVLVITTSLESQLMVHGSLIRQSSHDAQNLEALISMEELKFAIWSCDGSKAPGPDGFNLNFFKHYWSFIKSELFDFISDFMTRGKLDKGINSSFIALIPKTPNPTALTEYWPISLINSLYKILAKLLANRLFRGISITSFGLSLSHLQFVNDTIIFMHADTQGALNLKWMLHYFELASGLHINFQKLSAFLIGISNSLGNELSALLHCKVGSLPLSYLGIPLGANPKRASTWDPIVNRFKKKLALWQRKYLSLGEQLTNERSQKLNGPRSNASYGWRSLTLSLRKSSSLHVESVSPPTSFVVCGVANLRNAVRTSFSPAHSVGEFGDMFLNGGESLGVLRVLYPLLCKRGMVALLGIRASKGVDAIEDMGWWIDPHLSSRRKAPHHHRVGTSWSPPPTELMAILKALKLFTATPYTSSPLIIESDSCVVLSWVYSVEKRPGTNEVSITS >EOY17622 pep chromosome:Theobroma_cacao_20110822:10:377312:378245:-1 gene:TCM_042401 transcript:EOY17622 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MDGFVGGAFLSAFLQVLFDRMASREVIDFIRGKKLTDDLLKKLKILLISVDTVLNDAEEKQITGLTVKKWVDELKDAVYDTEDVMDEIATQALKCRVEAKSTSSISQVQQLISTSLGLFEKEIESKLEKIIDRLEYIAEQKDVIGLRTSVGRQSSIRLPTTSLVYESEVYGRDADKEEIMKLLL >EOY17587 pep chromosome:Theobroma_cacao_20110822:10:294904:295807:-1 gene:TCM_042381 transcript:EOY17587 gene_biotype:protein_coding transcript_biotype:protein_coding description:High chlorophyll fluorescence 153, putative MASLSITSTISSFSPSVVLCPPVARTSSRPLGLPTPPAVAFSSHLYHHRKPRGLAVVTRAGPNTSSYVFAVVFPLSLLAVTIFTSIKIADKLDKDFLEDLTINQAIREADEDDGGIDADDDEISLEDIVQEPMLPRTRNRPKREV >EOY19675 pep chromosome:Theobroma_cacao_20110822:10:19786670:19791361:-1 gene:TCM_044848 transcript:EOY19675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein, putative MASFLIPFQVLFFLMLMPLLSSNVFASLTAAAPAKEAETLLKWKASLDNKSQTLLSSWLGDSHCNWVGITCDKAGSITNLSLPNYEEGLRGTLHSLNFFSFPKLMNLQLRNNSLYGPIPSHIGNLSKLIFLDLSYNNFSGNIPSEICLLKSLKVIFLRNNMISGSIPQEIGSLSSVSEIFFNDNNLSGPIPTSIGSLHNLTRLDVSVNDISGNIPQEIGRLSSATVILFRENNLSGSIPASIGSLHNLLRLDLGRNSLTGRIPREVGMLRSLQLLDFSGNYLTGPIPESIGNLSKLPWLYLYGNELSGPIPSEIGQLGSLSVLQLFGNYLTGAIPPSIGNLTKLFNLLLRQNMLSGSIPQEVGMLKSLSVLELSENNFSGSIPASIRNLTKLTGLFLSSNNLSGSIPPTFSNFIVLEYLQLSDNRLSGQLPENVCHGGRLTYLAVMNNNLTGQIPPSLRNCKSLYRVRLEGNHLTGNLSEAFGVYPNLNFIALSNNKFYGELSPKWGQCHNLTSLQISNNNISGKIPPELEHATQLQELDLSSNHLIGEIPKELGSLSLMFRLLLSGNQLSGKIPSEIGVLSNLAHLNLASNNLSGPIPNQLGECLKLLILNLSRNKLEEIIPFSLSYIDGLQSLDLSQNLLVGAIPQQLGKLQTLEILDLSHNMLNGSIPIAFNGLQSLTIVNLSYNQLEGPIPCVFYAFHEASFDALRNNKGLCGNATGLMPCAPITSNKISHKKSSRVIILVVLPLLGILLLIFPLAGGFLILRRKIRTRKSESREAQLGDIFTVLGYDGRILYENILEATEDFSSNHCIGSGGYGNVYKAVLPTGQVVAVKKLHQHEDSMLINNLKAFESEIHALTEIRHRNIVKLHGFCSHSKHSFLVYEFVERGSLRMILSNNKEAEVLDWNKRLNVVKGLANALSYMHHDHSPPIIHRDISSNNVLLDLEYEAHVSDFGTARLLKPDSSNWTSFAGTIGYTAPELAYTMKVDEKCDVYSFGMLTMEILMGRHPGDLISCLSSSPLAPEANDQQILLKDVIDQRLSPPVKQVAEDVVFATKLAFACLNGNPKFRRTMGQVAQAFTCPSPQLPKPFSTTKLGELFGD >EOY19056 pep chromosome:Theobroma_cacao_20110822:10:9231595:9238554:-1 gene:TCM_043721 transcript:EOY19056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVEGTLLLIFHASTCIVNKWMNYKHILIHLSNEQDFNRIWTKQTWFIANQKMRVFKWTPEFETEKEPSTVPVWISFPNLKAHLFEKSALLLIAKAIGNPLWIDEATANGTRPSVARVCIEYDCLKLPVDSVWIVVSKRGSKDMLGGYLQKVEFSPMSEYCNHCCHVGHSVSECLIVGTKSTTHKQGGKTAFESSHGRTHNNALSDQKDTEERTTMDGRENVALVEKKKTRSRRYLRNLIYGGKRFAVLESVEEDENQEQDQMEKHGITEDMNNILAKEKTSLGRSVDVGKRKESDTGETYELEDGRRLSCEDPNTKQQLQCKIGKGKERHVEKEIANAGNVSCHLTGHGDRRSGNRRR >EOY19199 pep chromosome:Theobroma_cacao_20110822:10:14108295:14110749:-1 gene:TCM_044155 transcript:EOY19199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRHRYTPKLHNFSPREDHMATMDINHCEMQRPEGYPSDEQDLGDMSSIVSDMERSPLFGGDNDLSLLERGLYRRTYSDMGDNHHRIQESAKRLGKSFDPRVLVLLEFFGEFYVRSQELLKQIFPGLRNEFIEFFKKVGTVLAKVKSNQVKVKTMQRSLSLGSPLMPSKEDESSLRLERFRIRTVNIGDVQEGGQSSQGGQGGQGGTKPAGSK >EOY17702 pep chromosome:Theobroma_cacao_20110822:10:614617:615211:-1 gene:TCM_042456 transcript:EOY17702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad21/Rec8-like family protein, putative MEAPVTDPPDIMTENIRKYMKAHFETPGAPQVESLNNLAARLNRKGAAQLFYQTCVLTSQGFLKVKQREPFGDILISKGPKM >EOY17882 pep chromosome:Theobroma_cacao_20110822:10:1317774:1318330:1 gene:TCM_042588 transcript:EOY17882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYPIRRMHKMHGVPREKPVIKGTRSTHGKPWNILIFFLNDRQPFEQCVKIIPHQIIQVKLDFRHLTFRSTAILRHAL >EOY19335 pep chromosome:Theobroma_cacao_20110822:10:16494722:16499492:1 gene:TCM_044404 transcript:EOY19335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia-telangiectasia mutated, putative MEDPKTPETLEAKNPDLELLEEASEATDLLTFSESRNGLGSILDVQHQENGNAVGGNSLALDRKENGVSVFETEEGCLVDDGVDGKKEDQMGVVVAESTERLQERVDGGSQTNVFIETVRDEGTKEDDGSGINGVDSVKRIQISGDNISLYVDFSGPLNEVNGTGLMGKNEEFREAGNEELIIDGQEHKFYVGDIVWVRTKSQTWWPGKIFDPSDAQEYALKGDQRHCLLVGYFGSSHVAWCCPSQLKPFHVNFEQMTGQNKARSFLGAVEKAVDDFGKRLKLEMTCSCVLKEKKFSVSNSAIKAGASMPECKVGALGEFSAAQFEPAKFLCQLKNLAYVVSKPGMLEFTIIQNCLSAFYCSIGHCQLPMHQLWRMTYDAENASRRPMDGRDATAGLAGENSISYKLLLEQSDVTKNQMSQLDQNADLAKISGENWGDIVAGEGVILSKLASTSRKRKGKNYSEVRTSSIQIEGPHQGMCVSSVENGNDMTDLKNEKAFDLRERKKSKYLSYPYVNWESKGLTETEDPNTLNVSHEGVNEFIGSPSVVKSSVKRFQKNWYRKFIRGNNVTPYPELMNTPSAELLSELHFIAVDCLFSTESKNFGLIEWFFSRFRISVYHDESIYEMYCRNMVGQKEATATEPFLSGNDSHDMKPTSSPCMYPGNKMQKGKKLTNSGRSKIKSLSGLSDVNINFATCNLSVKEFQAMASEAPNGKQTLAGQQTKQATDIPDLNGSGAMPIPLAEDSQIMSHIASEPRKRKRKRAASEHLKTRANGNTASSSSLLLDLQVTGPYSIKTIPEQSNREGLNVGLPDSSGKNAHARPDMGALAAEIKPCQKKRGRKPKAPSGHPNPMLAAGIPDLNGTSNEPNILGKDFQEANNVLSVVKPVRKRRRRKGEATLSSKNIIINYDRAEANGKPLGTTLLLTFTPGASMPSKEALVATFCRFGPLKESEILIMKDSNSAQVVFMRSEDAGNAVKSFEKSNPFGATLMNYHLQNDSILNSQPMEVLRTPAKPSGLTPHLGDAPPIDFIRQNLEMMTSMLERSGDNLSPEMKAKLESEIKGLLKKVSSLPNSSSS >EOY18681 pep chromosome:Theobroma_cacao_20110822:10:4372803:4378896:-1 gene:TCM_043174 transcript:EOY18681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein, putative MAVELNVLALSLLFLLASNAKAQPNNGVFDVMNFGAKAGGKTDISQALMAAWREACALVSPSKVVIPEGEYVLSQVTLAGPCKAPVEVQVRGNLKAPADPSKFNNVPSWVTFQRIDHFTLSGAGTFDGQGGYAAWAQNDCKQSKKCNKLPINIRFNFVTNGLVRDITSVDSKQFHINLLGCKNLTFANITINAPDQSPNTDGIHIGRSSGIDITDSRISTGDDCISLGGGSQNITISRVTCGPGHGISVGSLGKYKDEPVFGINVVNCALINTSNGVRVKTWPASLEGIASDMHFEDIVMVNVSNPVLIDQEYCPSNKCNLKAPSRVKISNVSFKNIRGTSATQVAVKLACSSGIPCENVEIGDINLSYIGTKGPATSQCSNVKPTIAGRQTPLACGLIV >EOY19410 pep chromosome:Theobroma_cacao_20110822:10:17299529:17307558:-1 gene:TCM_044503 transcript:EOY19410 gene_biotype:protein_coding transcript_biotype:protein_coding description:NYC1-like MATIISSMATAASSTCCQFLTPVWSPGAHPVIFPPISKPTHFQPFLANASLQNSAFSPTPTTSFYSPLSVKAQAATPGETQPMLPPYNVLITGSSKGIGYALAKEFLKAGDNVVICSRSAERVESAVKSLREEYEEQRVWGTQCDIREAQDVKNLVLFAQKNLGDIDIWINNAGSNAYSYKPLAEASDEDLIEVVSTNTLGLMICCREAIKMMLQQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMQDVKNVVVHNLSPGMVTTDLLMSGATTKQAKFFINVLAEPAEVVAEYLVPNIRSIPANGSWKPTYIRFLTGLKAYSQIFSRLAFGARRNRYMLED >EOY20104 pep chromosome:Theobroma_cacao_20110822:10:24864488:24866501:1 gene:TCM_045498 transcript:EOY20104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome p450 79a2 MATEYSSRRFLTIAVVPWGDQWKKMRRVVTCEIVSASKLHSLLENRTEEADNLVRFIYNQCKSNGGDNSTASAVVNLRLAMRQYSGNVIRKMIFNRRYFGEGRKDGGPGYEEEEHVESLFTVLKHLYSFILSDYIPWLRSFDLEGHEKTVRKAMKIVNDYQDPLIDERVQEWREGKRTESEDLLDAFILAKDSNGKRALSIEEIKAQCAELMLATVDNPSNAVEWAMAEMINQPEILLKAVEEIDAVVGKERLVQEADIPKLNYVKACAREGFRLHPIAPFNLPHVSTADATVAGYFIPKGSHVLLSRYGLGRNPKVWDDPLKFNPERHLKDGSMCVGLTETDLRFISFSTGRRGCMGVALGTAMTVMLLARLLQGFNWRVPPNEANIDLSEAKDDLFMAKPLHALGQPRLPAHLYPAN >EOY20100 pep chromosome:Theobroma_cacao_20110822:10:24823898:24826144:-1 gene:TCM_045493 transcript:EOY20100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSKKILSTFFFFFFTLLTLSRQSRVPSPDSVSPLPSPSPSPLPSRSPAPGPSDAGCWLFSESCRNRSLAACIDPSSSASKELLLLVRNDGEKPLEVKVTVSHAKLLIKNIPIAAHKINKVNVSANLGGNSSILLDAGELKCVIRIRSPASSGGIFDYIPFAAHITRINGAYLLLLTGLIFGSTWACYKRGSRGQQGDGIPYQELEMGQQPDSPSANNVRTFGGWEQEWDGDWDELKSDTAIGHQMANGSGNILTSRPPKRDGETNTWDD >EOY17639 pep chromosome:Theobroma_cacao_20110822:10:412878:420402:1 gene:TCM_042412 transcript:EOY17639 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein MPLRLIVVLILTHATKELLTVQHSSGIDWEFNFDIRMASSDNGCQKEQVEESNVTETKGQVPLHIPTSQGVLLNDENPLRKSPSSSMSPPNRIKFLKFGSASAKFKRIAEERDEVSRLVASSSGDRLRERLTGVFAKKIDWVSLMKMSKQWINRDPMNMALIVWIIGVAISGSILFLVMTGMLNAVLPKKSQRNAWAEVNSQILNALSTLMSLYQLPLRFYHLVLLCRWKPEDICRLRKKYCEGGTYKPHEWKHMMVIVVLLHINCFAQYALCGLNVGYRRSERPAIGVGICNAISIAAAIMAMVYSNVSPLGRNYDIELDEEAECWTDAGESKRPEQSRLQSLEKRYSFAFRDEERIVESRPLWSGRIHDFWRDISLAFLSLFCSICVFGWNMERFGFGNMYVHIATFLLLCTAPLLIFSLAAVNIDNEIVRDALGVTGIALCWFGLLYGGFWRNKMRKRFNLPTYNFCFGQPAASDCTLWLCCCCCSLAQEVRTGNSYDIIEDRFIKKQMDNGNQQLISPLPREDGIGQFSPGPSSSPGYNSSPSTRFTANSSSPRIVSKEYYSPDRQLSVVTEESSISGYRRSERPAIGVGICVSVAIAAPAMAGVYTIVSPLGKDYGSEMNEEAKKQIDTGESRRPEHFCVFGWNMERLGIGNMYVQIATFLLFCMAPFWVFNLAAVNIDNETVREALSVTGIVLSRTWNSYDIVEDKFIEKQMDNGSQQLISPSPREDGIGQFSPSPSSSPGNNSSPSRRFSASSSSPRIVSKEYYSPERQLSMVKEESFVSGKDETMIPPGLSLIQREAT >EOY17698 pep chromosome:Theobroma_cacao_20110822:10:604172:607254:1 gene:TCM_042453 transcript:EOY17698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative isoform 2 MENLLEISEPEVRINFILNSKCRYNLMLRSLCPAFPVAFKVQTSSPHKFLVNPPSGLVPPLSQVALQIVLKPQDQIPPTFPRSHSDRFLIRTALFDLDSGGTAHSDSVDSCLSARPTHDIKLKVAFVGRFLLHHAVSCGNLEIVRKMIKRQKSVLYDLSTREAESLLQVATQLANSEDMVNLLLEAGLRTASRGEEEDGHDAGFYQLDPRWESKGWTELHVAVAFDRTEELVELLRKGRREPLDWRDKEGRTPLHLAASKGNIECAKILVESGVDKNAKSKDGRTALFRAAANGNRRMVEMLIELDADPTIPDDRGRSAFDIARDKGHEEMVEIMERGEEVLMAARRGDATRLQSLLQKGAATNFQDQYGLTALHAAAIKGHKDVVSLLIESGSDLERRDNEGHTALHLAVEGGHLETVEALIEKGANAKAKNKRGVSPLYMAKAMGYDVISQVLVQRGNYSSLPSASSSSSSLPSML >EOY17699 pep chromosome:Theobroma_cacao_20110822:10:604417:607343:1 gene:TCM_042453 transcript:EOY17699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative isoform 2 MENLLEISEPEVRINFILNSKCRYNLMLRSLCPAFPVAFKVQTSSPHKFLVNPPSGLVPPLSQVALQIVLKPQDQIPPTFPRSHSDRFLIRTALFDLDSGGTAHSDSVDSCLSARPTHDIKLKVAFVGRFLLHHAVSCGNLEIVRKMIKRQKSVLYDLSTREAESLLQVATQLANSEDMVNLLLEAGLRTASRGEEEDGHDAGFYQLDPRWESKGWTELHVAVAFDRTEELVELLRKGRREPLDWRDKEGRTPLHLAASKGNIECAKILVESGVDKNAKSKDGRTALFRAAANGNRRMVEMLIELDADPTIPDDRGRSAFDIARDKGHPKICSKNELTRTWHDHVGRNGGDHGTWRGSTDGSKTGRRDAATITLAKRSRHEFSGPIWTHRPACSGNKRSQGRGFAAHRIGVGLGTSGQRRPHGVASGGGGWPLGNSGGVD >EOY19797 pep chromosome:Theobroma_cacao_20110822:10:22578857:22603790:-1 gene:TCM_045137 transcript:EOY19797 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MSFFDALSAIREVVVSKVFDFLIDKLVSSDFLQFATEEKIHLEVEKLRTELLEIRAVLDDAEERQLKDESVKIWLSNLQNLAYDVDDILDEIATDISMRNLMMERRGSSSKKPRLMISDSYNAVMFNRDMMSKINDVTARLKDLEPQTKKLQKRTRDYRRSKRIEERPQPTSVEIETHVYGRDKDKETILELLFKSDDERNFVIPIVGMGGVGKTTLAQLVYNDASIQNHFDLKAWVCVSDDFDVTRITKAILESVGSVSGNGNELTSLQEKLKNALSEKIFLIVLDDVWNEDYNKWTILQSPFLKRTPGSKIIVTTRNLVVSNTMGASHAQFLELLSEDDCLSIFAQHALGATNFRKHPNLKAVAEKIVRKCNGLPLAAKTIGGLLRTNVEHDAWKNILESEIWQLSEHQCGIIPALQLSYHHLPPHLRRCFAYCSIFPKDYEFEEEEIILLWKAEGFLQEARDRQRVEDLGHQYFRNLLSRSLLQISSKDNSRFVMHDLIHDLAQSVAGEICFRIEGDKQISKQARHLSYVADECDGIKKFEGVWEAKYLRTFLPLRLSSYGNGFVTSNVLTCLLPGLRFLRVLSLKGYKIEVLPDFIGDLKHLRHLDISRTFIRSLPESISTLYNLETLLLRRCEHLVKLPSEMENLVNLCHLDITDAIRLQGMPSNFGTLTNLQTLSNFVVDACGAKLQEKMGLDGLELKWCSCFGNATEEVEKKVLDSLRPSKKLKKLTIMGYCGDTLAKWVGDSSFNNLLSLSLINCLNCMSLPSIGKLPLLKEVCIQGLHNVTSVGLEFLGENTPNAFSSLEILRFGYMLNWENWEVDDEAMKFSKLRELHICYCSELLGSIPESLPALEKLVIKSCEKLEISISSFPKLSELEIDECEKVVYKGFADHSSLQKVFFSNIPKFTCTRECLRLGSIRVESLKILRCEELLSSRENNWGLLTQSMSLGNLTIRGCAQLLSIGVEGEREELMQLKIPYSIEHMVVEDCEKLEKISTTLQSFTSLRVLVLESCPKLISLSKSNLPLSLNRLRIWFCHNLRCLWDEGENINIDSAFLLEHLNILGCSSLVSLSSRGQLPRGLKELQIEYCPKLESIAQEIQQNAALECILISDCDKINYLPQGLNRLCHLQKINIECTNLVSFPEIGLPATNLKVLYLSNCTNLQDLPHGIYNLNCLEDLRIRNCPSLTSFPEEGIPTTLKSLHIEGPNIYKLLTEWGLHKLTSLKSLSIKNGCPDAVSFPQVEIGMMLPCSLTTLEICDFPKLEILSSNGFQNLTSLESLVVKDCPNLKSLPEKGKLSSLLMLDISRCAVLRERCEKDKGPEWSKIAHIPCFHYESDDASEYDDSGDGYYSEDAFGSDDDASEYYDSGDGYYSEDASGSDDDASEHYEAGDGSNSEDCDLGDDYNSEDDSGSDDYDSQDGASENNSAEQQN >EOY19434 pep chromosome:Theobroma_cacao_20110822:10:17550768:17558229:1 gene:TCM_044542 transcript:EOY19434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MAAEFAAAAAANTMGNLATEYASPYLSYFFRFGKIVDDFKNRRTELELKKDRVKNDVDEALTQTKVIEKDVVDWLTRAEKELGEAQSLQDEMERKKCFNWCPSWGWQYCLSKRVVKKTLYISQLLETCNFQRIGHRATLPGLEFISSKDFMSSESSISAFKEVMDALNNCDVNMIGLYGMGGVGKTTLAKEVGKQVKQLFDKVVIVTVSQAPNVNSIQDKIADFLDLVLEKKTIEGKAEQLWLRIKDVKNILIILDDVWEELDLKAIGIPFGDDHNGCKIFLTTRLQQVCTRMNCQKDVQLNILSEHEAWALFKDNAGLKDVPSPLNDVAKKVSGECKGLPLAIVTVGRALKDETLDGWKVVYQRLKDSRHMENQDVCGGIYNSLKISYDYLKTDSSRSCLLLCSLFPEDHEIRIEELIVYGIGQGIFDGVNLIEDARREMHVTITNLQKSGLLLKANDERLVKMHDVVRDFVHWMTSEGENTFMVKNGLKEWPKSRCYTAISLSKINVFPDKLEFPNLKTFLLDCDCDNDNESLTRVPSMFFKGMKVLNVLVLKRVFLSLEGLQFLTNLRTLHLEGCHLENASALGNLKELEILVIRYSDINKLPYELWELTTLRLLVIWNYSPVLIPRNLQPRLERLEELHLYPYIQRRVISLLKLCSSPHLTSLTLTVSSRRIPKSFAFPRLQSFIIIVNLVAEDVYNVYETNSVGYLTSRRILAISGFSLNAFKKLFWNVEELTLDNVMDYKDIVPSADQGGLNELTSFNIRDCKDLEYLIDTTQEQGPHSAAAFCNLVILTLTNMICLKELCHGRFPNGFLQKLEILTISECNNLIVAIPGLPNIKELSVEDCARLEVVFQINGRLHANEENQTSMLSSLTNLELDSLPELKHIWTGPPHLVKLQSLEVIRIASCDKLASLFPATIAQSLVHLEELHIHDCSELEHIITEAETDNNEIVSDTHLHSLCWPKLKTVHISCCPKLEYMFPNTSAQGLPCLELVEIIHSPQLKQVFNMASSEVSTYHLSLRKLRLVEISSKTLASLFPKSVAESLVQLEILQIDRCPLLEQIFSEMKVSTHHANPPGLKDLEVRNCHRLPILFSVPLTPCLVQLERLELENCTILEQIISNKGVGDDKVLKNPLCLSKLTTLKIIACPRLEYIFPGFVAERLPQLKVLHLEDLAQIEQVISPADDRDGDDILLKLPALQTLSVKNCPQLTSFIIQAQIKELYLSKLGNSNQLCISANCNQDYIAVGNYEEVFQVHGGYSFSSIKELHLEHLSKVHNIWRSDVAQVVTLENLTILEVNDCKRLRHIFSPTTARSLSQLVDLNITKCDELDQIIAEDEVCSSSNRYPNPISFPNLTTISVRFCKKLKSLSPLGSACCLSKLQSLRVQGNSKLEQVFEPEDEEEAIAEKEIKFDRLRLLSLEELPSLIDFCPMGYHFVLSDLNCLIVEECPKLTTGFFIDSEKYVHAKAKALQLLQEDLIGGSTSTPNVFHAENIYWFSSMSGVLPPYMEE >EOY19123 pep chromosome:Theobroma_cacao_20110822:10:10714007:10730085:-1 gene:TCM_043877 transcript:EOY19123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIGGAGRVVTAGSMGAWGQARHTRGNHRRQCASRLVEDLLEAMCLVDIASLWWKLKYDEKLGRSSRWSFESTWVEFHKEFQREDEQDIEATKLGSMHAIEINALLVPFANCICLLDTKGQCVILVIRRHNPDAKLLSAMQLANGAQQNEETFAVVLKLEDTLKALVKAPIEGKIELSDQVGEQEDDWRLKLSLAVGSTRICHCEWF >EOY19578 pep chromosome:Theobroma_cacao_20110822:10:18961651:18968712:-1 gene:TCM_044721 transcript:EOY19578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARSSTKLAFLMLTLLEKANIIFIKFNVDRAARGCPGLAEIRKALRDNNGYVIILFSKPLRVVNSNVVVITAIIKAFILFTTCPWAYSHELIVELDSSNVVKWCNDSSFATWRIRSTLNFINSLKHKILKWSVMHVPRSLNQLADCLAQEGVDRSIDILQTFN >EOY19631 pep chromosome:Theobroma_cacao_20110822:10:19365710:19367110:1 gene:TCM_044771 transcript:EOY19631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase 2A MPLSTCTTTSMVIPHFTKNPKIGVVGKEEEENLSNECKGLIHSLPKEKGWRTPFIYLFQGFWCQPKEIQAIISFQKHFQARDSDVILATIPKSGTTWIKALTFAIMNRKRFTTSSKNHPLLTSNPHDLVPFFEYKLYANNQIPDLSNLPKPRLFGTHVPFASLQESIKSSSCRIIYVCRNPFDTFISSWHYINKVRPESLPPFPLEEAFNLYCKGVVGFGPFWEHMLGYWKESTERPEKVLFMKYEDMKEDTVSHLKMLANFLGVPFSIEEEEEGMIKDIAKLCSFENLKDLEVNKCGKSIKNFENKHLFRKGAVGDWVNYLSPSMVEQLSKVIEEKLGDSGLKFNVFS >EOY17893 pep chromosome:Theobroma_cacao_20110822:10:1339997:1343209:1 gene:TCM_042594 transcript:EOY17893 gene_biotype:protein_coding transcript_biotype:protein_coding description:RP non-ATPase subunit 8A MDVITTQEISSRPIEKVIVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSSFKGSVDVTNSYAVPFEEDEKDPSIWFLDHNYHESMFAMFKRINAKEHVVGWYSTGPKLRENDLDIHGLFNDYVSNPVLVIIDVQPKELGIPTKAYCAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTNISTLATEVTGKLTALKGLDGRLQEIRSYLDLVIEGKLPLNHEILYHLQDVFNLLPNLNVGELIKAFAVKTNDMMLVIYLSSLIRSVIAHHNVINNKILNNEHEKAEDSKPVALSASAGT >EOY19835 pep chromosome:Theobroma_cacao_20110822:10:23057249:23059742:-1 gene:TCM_045199 transcript:EOY19835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQKPKILSSSQPEQLKLMDGEGGPPPATTGSLFDLPPTLLFLSKFATTLLHRRPPSSSAIVITKFSVVGHKRQKCWSANPVMLRPDLAVGAPYLAMPLARSALQRTDLAVAKAKLALRRRRWLLL >EOY19834 pep chromosome:Theobroma_cacao_20110822:10:23059164:23059370:-1 gene:TCM_045199 transcript:EOY19834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKESYQQTSKLCFPRFKQVLIFFALYFEQKELKLIPLYKRLEIFFFFHSTNQVFSLKSKEITATASDR >EOY18923 pep chromosome:Theobroma_cacao_20110822:10:6197397:6208087:1 gene:TCM_043429 transcript:EOY18923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein phosphatase, putative MTLGCPKMKIFSEGDLSTPMLQRVQFVESEDEACSEDEGDLRTPMLRRVQFVESEDGACWILWVRAYYTLRAFRVDGAMEKESSRNYRLQKLILESCADMASFYQGSKILEFLSLKRVNVVGCPQMFAFASTVSKEQRIEATDDGGNTRRLSKGVADKVFFNNTVLCPNLAILKLSSTKVHSIWSDQVQVTSSNVLNLRTMRVSGCHNLKHLFPSFLIKSFVQLNHLMIHDCKNMEEVIYTDGLAQAEGIMLLPNLERLWLGQLPKLTRFCYGDNSESDGLALFNEKTVFPRLNDLRIGMGNWRKIWDDKVTMNSFCELQFLLVRDCERLSNIFPFYMMERLEKLEILQIMNCDSLEEILGPQGLNSNQSHSVATTELINDKAVTKFVFPKVRSLVLSKLSKLKSFYSKMHTTEWPCLKELEVIECNKLEISAEEYLNIRETQGESQLSTQYFGKVKAFGLMSFRNKSVAISNCFIQSFPHLEKLVVGEAFFNEIFQFEGLGGDEGHAGVLARLSELSLLELPELTHPRKEEIPLGEEVLNNLRILAVLRCGKSKNLMPCSASFKNLTTLEISKRHGFMNLVTLPTAKSMVLLTRMSLTDCQMLERIIASTSNEVMDAIIFSKLESLELDGLPSLSRFCSGNYTFEFPSLKEVIMRRCPKMEIFSKGELSTPKLRGIKSTEGEYVGHWEGNLNATVQQLFIEKVFPSMEDLELSLINIQRILHYKLLAQHSYAQNLTCLTMEGCHNLNSLFPSSTVESFVQLKMLNIENCENVEKEILIEGLANEEMIRQWLFRRLEFLLLKDLPKLTRFCHGNYLEFPLLRTLRIESCPTLKTFISDAEGNSSEIASPTLFNEKAAHIEEFLL >EOY17929 pep chromosome:Theobroma_cacao_20110822:10:1469132:1472375:1 gene:TCM_042622 transcript:EOY17929 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein MLTLSMSSLTHNSSKVSSRLLGFVTRRRVLESGEEQDGNTLPVKNPSFLRRKALFLPELLRPGRNFGRWMFWGLLLLGFMTLLAKFALVNTFQDLNIDGRRLIATKVVENSSSANKVVQERGGNGGDFKEISSPEKLSTPDIWIKPNSDFYHKCVDRSSNEIKPPSNGYILVHSNGGLNQMKTGISDMVAIAKIMNATLVLPSLDHHSFWTDSSDFKDIFDWKHFIQYLEEDIWVVDHLPPAFASIKPLPRPPVSFSKPSYYRVQMSSLLKKHKVINFTHSDSRLANNGLSNPIQRLRCRAMYEALKFTEDIENLAMKLVERLKDGGSPYIALHLRYEKDMLAFTGCSHNLTKAEDDELRQLRYSVRHWKEKEINSEERRRQGVCPMTPREVAVFLEAMGYPSDTKIFIVAGKIYGRDGVKPLKEKYPNILTHSNLATEGELMPFKNHQNQLAALDYIVALESDVFVFTYDGNMARAVQGHRKYEGFRKTISPDKLNFVKLIDRMDRGEISWDEFASKVRLLHANRTGGPVPRRPGNCSKTEESFYANPYPGCICLKQRRKRKKC >EOY19852 pep chromosome:Theobroma_cacao_20110822:10:23245107:23246040:1 gene:TCM_045229 transcript:EOY19852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase 2A, putative MDTTEMISEDIVSIVSQDKEEPWGDDEFEELVKTLPKEKNWYGTHLYFYQGFWCPSSVFRAMISFKKHFHALDSDIFLPSIPKSGTTWLKALTFSINSQWKKIPCSAQDLTNLFHSFEYDLYLKNPCPDLENSYPYQPRIVSTHAPFAFLPPSLKDSNSKIVYICRNPMDLFISL >EOY19008 pep chromosome:Theobroma_cacao_20110822:10:7940120:7942803:1 gene:TCM_043603 transcript:EOY19008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLGAASTAIVDRVRSLIQDIFPFIDSLAAKFIQPIDLGWTTKLDNPFNHVVPKVTELGLSESSTSVNPTTSTIGLVSYNNKHGIDKPMSLALALESITTRSTLFTNPHESLSLVNAGFESIRSNFSTTTTSLSNPIDSNFVNENIIF >EOY17990 pep chromosome:Theobroma_cacao_20110822:10:1696881:1697528:-1 gene:TCM_042667 transcript:EOY17990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVIANLFTIPSQTIMKDWSSRYIVSFLGQRVCFSEEQILTSEGVFLIRIYGMEHGGKTVAVRL >EOY20055 pep chromosome:Theobroma_cacao_20110822:10:24579806:24580670:1 gene:TCM_045452 transcript:EOY20055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIQLFLKWQSKIGITLTFKAIDFSCHHALGEVDEAFNTKKVQCPANEGIGLVKLPPSMLQKDLIVLHIYDNQGPWPAGLHKSSPNDNADDLPPPPPFPWKQGKKQKSQSNHLVGKEEREAMCMEMEYGNLGKSC >EOY17790 pep chromosome:Theobroma_cacao_20110822:10:878524:880918:1 gene:TCM_042509 transcript:EOY17790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHNRCRNVRVRKLNRQTNSSTASNPKHAIFGFLIAVLLALLPLKFGSMNPSAAPFETYSAILSTFLIIMVLYAVAWAIETKLGTNNSSYRLLMRNISFLLGGLATVLLVLILVPGVGYFALVIWTLFLVKFIYEACQKLHQLYGAISLASNLWNELRGRGRGGHGGH >EOY18926 pep chromosome:Theobroma_cacao_20110822:10:6239647:6240315:1 gene:TCM_043434 transcript:EOY18926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGMASTSHSNGKDELINAQHQSSGRTKAGQEPGMKDVKGKSYLQALTGATNDLMSTTPPSPPSPSSLRLCSGLIEVETLRWLETSLIGLCKSACDPKTVATLIRNEGIKGISIRRVIGFHYLISFDDKSKFEEGQIDNWSWLEKWFCKIEIWTPNSGIKARRC >EOY17939 pep chromosome:Theobroma_cacao_20110822:10:1509476:1512037:-1 gene:TCM_042631 transcript:EOY17939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb:CAB81597.1 MRKLCPNYDLPDGLDTVLEVPIPEEMFASNKSSHRSWQNMKSWMNMKPNSERPPASMTVLFGGRNTEIQLLLGVIGAPLIPLPIKIDHDHSISKNIKDHPIEASMAKYIVKQYIAAIGGEQALNSIDSMYAMGKVKMAASEFCAGEGSVNNRIVKVRNLRNGGGEMGGFVLWQKRPDLWCLELVVSGCKISAGSDGKVAWRQTPWHHSHASRGPPRPLRRFLQGLDPRSTANLFSNSVCVGEKTINDEDCFILKLEAEPSTLRARSSSNVEIIRHTVRGYFSQRTGLLVQLEDSHLLRIKAPGNDSIFWETTMESWIQDYKTIDGINIAHAGKTWVSLFRFGENSESHSRTRMEEAWTIEEVDFNIKGLSMDCFLPPGDLNKEEEGYGIVSSNVRLPFKLRNGSTRVSASKIVAIDVDECDNSSDDEDL >EOY20232 pep chromosome:Theobroma_cacao_20110822:10:25291954:25309346:-1 gene:TCM_045596 transcript:EOY20232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSGEYRRSPRIVELDARKAQQARSQNKGNGICEVMDGEELDKGEDIVKHKRRRKKVKVRTVQDLIVNSVEYKVQKADTWNVEDQLVNAAMAPSGAALPEKSKLELLLGVLQRRDTHKIFAEPVNPEEVEYYYDVIKEPMDFGTIAKKLNEGSYQRLDEFEHDVFLVSNNAMLFNASNTVYYRQARALKELATRLFHALKTDPENFEAEASMRRIGAGRRKKAEVNSNKKTSIGNAARGYRAERQSDDFEVEKRRTYRPWNTFLSEKASLLSEIYRGSNQLKLDEKVGVGYVESVKRFAKDLGPTAQTTAMKKVGSYIAEALKVWNATTNRQSWTPQMQIPNAAFASKNIKVAPSFRVPSSTPGYQNMSRDKMDILTGFSNGGQASSGNTLNINDALNRGVSQPGSRLESLGDFRGKMTQSASLGFAPSFRVPSNAPGYQNMSGDKMDIQSGFLNGGQASTCNTLNINDALNRGVSQPGSRLESLGEFPGKLTQSVSLGFAPSVRVSSSTPGYQNMSGGEMDIQSGFSNGGQTSTGVTLNINDALNRGVSQPDSRLESLGDFRGKMTQSASLGFAPSLHLLGNSNGSQMFAGETMGAPFSSWNGGKVSAVNNIDINDALNGGKGNPGNRMDFRGKAVQTMGGGLDSGPSFKDYAANQSNGVQLGSWFANYDSGKEKLDFTSSWNTNSNQKELSMMVDTIDVGNAVQTAGQASLGSTMQEFTPTIISGASSSSWLPPSQVLTGLNSSQAIDHMSGIGSHYAGKGLCQKEAIADGEGSRYTINVEQGGQVPDWFKPLEMGPLPLTEYCFQEQTKSPEMTTLMQQKRDIDLLCNAPPLEDWLGSFPNEHGIRASSNASGYERLQPPSRGESSSRAAADRRQKQPMLATEMPKWSWL >EOY17581 pep chromosome:Theobroma_cacao_20110822:10:249230:253761:-1 gene:TCM_046945 transcript:EOY17581 gene_biotype:protein_coding transcript_biotype:protein_coding description:NBS type disease resistance protein, putative MASREVLNFFSNRQLNDEQLDKLKRALLSVEAVLIDAEEQQMKNRAVQKWLDELKDAVYDAEDLLDEIESLALSLKLKEEPQTSCAPLGRNCFSFPNSCTRRMEPKLTAILNRLDDIGKQTDTLGLRNDVREKPSPKLPTTSLVDEADVYGRNDDREAIIEMLLSDDASCHELGVITVVGMGGLGKTTVAQLVYNDSRVTEWFELKVWICVTEEFDVYRVTRTIIEGLTSTTCDIRDLNLLQIHLKERLQGRKFLLVLDDVWNKKYADWEALKRPLKSAAEGSKIIVTTRDEGVASVMRTTTSSYNLKQLLERDCWSLFTKHVSSGSGNTTIRPELEAMGGEIVKKCKGLPLAIKTLGGLLRMKVGAREWDKILKSDIWEFSDDESDILPALRLSYHYLPSYLKPCFAFCSLFPKDYRFKKEELVLLWMGEGLLDHAKGKGTMLEEVGDDYFDELASRSFFQRSSGSSRTWFVMHDLINDLAKFVSGKFFARFEDDGSCEINKRTRHFSYLLTHCDTSKKFEALNEAKYLRTFLPLDKYNKYDWVPRRSVSDIVTQDLLPKLGCLKVLSLSQYQNFDALPNSFGNLKLLRYLNLSFNRIKILPESVSALFHLQILLLSYCHDLVELPTKLGRLTNLQHLDLRGTKLKEMPAHMGDLKDLHKLTTFVVGKHSGSSISELGELQHLRGTLSISNLQNVGFSGDALKADLKGKKKLQKLVLRWSAEKADVPNFVPQRDLEHERNVLEQLQPSPDLEHLKIFGYGSAQFPEWVGHHSFSKIACMELSNCEHCLYLPALGHLRSLKKLHIRRLARVTAVASEFYGNGSSVKPFESLEILTFEKMQEWQEWLCLGDENGTFSSLQELYIIDCPKLTGDLPKTLPLLRKLWIENCERLASCLPRAPDMCKLKLVSCDKMQLQALPTELQELKIEECSVQHSMLEMMLQHCTRLEQLSIRSCSLECLPEGRLPVSLKRLKIDNCGELDFSRILLYTSLQVLKLIGAHDSLKSFSLGSFPNLNKCLFKHCTNIKFLSALEGPDQQHLQSLDDICIYDCPNFVSFPKRGLSAPNLTTLSLHNCKNLKSLPEHMHSLLPSLDYLSVSNCPEIESFPEGGLPFKLKYLEINDCNKLIASRMEWGLHKLHCLTHFMMNGGDMEFFPDEQLLPSTITKLGIGSLPNLKTLDYKGLQHLTSIQELNLDSCPKLQSMPPEGLPVSFSSMSISRCPLLTKRCQKEKGKDWAKISHIPLIIIDYHQAVVA >EOY20119 pep chromosome:Theobroma_cacao_20110822:10:24975564:24976488:-1 gene:TCM_045517 transcript:EOY20119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVAGCSVGCGGGVYLQRQDHPWDIPFNVRNGCRLACSFMVSKPRTTKILASSSSSKVSLQPLPTKQKEERKRNELLYEKIDEWMRDSVVEIVKKLPESPLLVHVYSDNNTTRTRTEKAEEGNWVLMKQKWEKGVTPMPDGVIFVEQIQEEEGEEIEEERKNEAVSRAWGIVVQGKGEGCGPPACYLLKTSKVGSGLGLRCTHFCLVKVKSFRETAFSQLKNCWLLQGN >EOY19101 pep chromosome:Theobroma_cacao_20110822:10:10203081:10208504:-1 gene:TCM_043825 transcript:EOY19101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVVVINYGFRCLNVESVLMIEAPFLKFEVCEIVKECDGNKALGPDGFNLNFFKTSWLVLKREVMDLIYEFYNNGHLGNVVNESFIILILKKKNPNSVGDSKPISLVGSINKLVAKLLANILRKVIVEEFTLGDVVSDGVVWKANASGSKPKMVEENLKWEAPSLGWVKFNINGASVGDPRGAGIGEILRNYKGDTLMHFSNFVGVCDANYIEVLAIKEAFILFTKILRQSNFNLWVESDSMNVVIWVKNPAKTPSRHRQCMVQIGCLQKSNESLEGDLCKARG >EOY20238 pep chromosome:Theobroma_cacao_20110822:10:25317467:25318645:1 gene:TCM_045599 transcript:EOY20238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPHLTHVSLIFGPASCHIIICTLNSCVSSPSSFIMQRVSLLLFIISAVHEPNITYIYIHTSPPVSHWTLVKIPCT >EOY19851 pep chromosome:Theobroma_cacao_20110822:10:23217409:23218382:1 gene:TCM_045227 transcript:EOY19851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type-like protein MEDINEKWKNFKLSKDEISQLRITRSMESGLTQSQKCYYLIGSVWEGKKVNKDAMVRTLCNLWKSKGNLTIKEIQENIFLFRFEDKEDYERIREGRPWCFDRNIVVLKEFDEVFMEPEDVDYGKEEFWIHILGLPTRFMEREVACAIGNTIARFIKVDGENTGLRDKFMRIKVLLDLAKPLRRGLMLSMEENHVKWITLQYESLPQFCFKCGRMGHIESNCAYQCMDEN >EOY18185 pep chromosome:Theobroma_cacao_20110822:10:2318374:2320773:-1 gene:TCM_042800 transcript:EOY18185 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MGKKSMMKKKSPETEHDPDDNQRKTAKEQLNMDPKDFISCFPDEILCHIITFLPFESAVQTTFLSTRWKDLWKKARVLHGTIEDAVVTILSLLNDLADLHPPRNKWGFQFNFGHGRALFAAIAPNNTLHLDFSAGEHKLSKSFDWLLPLNLPKRDKWPFPYKYEKILELNTPLPTDQQFKIKALYLISVCRLSRKALTSMVSYLPFLESLTIAKCNGVQSLDIENAARLQKLTVMDCPQLEYLCFGGSCLKSFQYRGRLVCFRFNVFCNCNSYRSWLSCVHHRGLYLEDAMLDFRQGPLTHWTWDFKKPSSYTHYYGLYKGSCNCGCTTLFQCFKSILESIDGVESLTLCRWLFEACFSNLSKLNFEFCFNELKELWWIDCSMERRNINALLCFLKLCPNLEKLYVTIDPKCYDLPSTEKFSAIFAGSDKLNNLKFVKLKGCADETKEMFLARRLIPLFLRTPLIISKQDGRCLRYLLKVPKLEKKGKYPYKFKMVEKPPEIYPDHVHMNL >EOY18001 pep chromosome:Theobroma_cacao_20110822:10:1744126:1749779:-1 gene:TCM_042679 transcript:EOY18001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPP8 MEQAIVSLAIERISDLLIHEAVFLLGVREEVEDLKAELERMKSSLKDADNKKQDQNELTRTLVRQIRDLAYEAEDVIDNFILRFAHQGGFHGIIKRFTKPFHLYKIGVKVKAIQTKLDGLSKGLPTYNQISSGEGSSSVAKMQQQLRRTYIHVEEEDLVSLERITNEVLAQLMTEEDRLHVVVSIVGMGGIGKTTLAKIVYKHIDVKRRFDCCAWAFISQQCMLRQVLQGLLIKLLSPSKEERELIDKLEENELMEKVYDFLKEKRYLVVFDDIWRKEHWNSLKHAFPRGKEGSKILFTTRNKEVALHADPCNSPIELQCLTDDESWKLFRMKAFPGKKTELHACAKELEMLGREMVEKCGGLPLAIATLGGLLATKRSRAQWETVHQNINAHLNEFQQQDHHYGGVNWILVLSYNELPFHLKPCFLYLSNYPEDWEISKKELIRLWIAEGFISPSWESGGMLMEDVAEQFLEELINRCLVQVGKRDHTGIGVKTCHVHDLLRDLCVLKAREENFLEIIRPSLIENDGNTLHVTLTPSMARRIAIHPSKRYVSLKGKHPNLRSLLLFQNEKLIELHISKCNDFKFLRVLNLARNDMPSKWHLSSEIGNLHHLRYLRLRSTGTIILPRSINKLKNLHTLYLLNEVPRIPDVLFKLRRLRHIVVGDIYDYVPLLLRDTLKNIETLKYIKGKSLIEKNAVLDLSNIRSLGISFERSKDVEPIIKALIESQRLRSLYMWLEDSIPYPDMEPLSHCHHLSKLFLRGKLQEDPHSSHHLLKFLPANIIKLSLIGCKIKQDPMAVLGKLPHLRTLRLFAYSYSGIKMVCSANDFLQLDFLRIWNLPELEEWQIEDGAMRHLRILILGWVSNLKIFPEGLRYITALQEMKLERMKRSLVERIQVIEGREGEDFFKVCHIPSIRIMHTEKD >EOY18892 pep chromosome:Theobroma_cacao_20110822:10:5954779:5962011:-1 gene:TCM_043398 transcript:EOY18892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKKLRKGKSRKSENGSKTPTFCTWEQIPFVFQDKKFVSLCETGIQVHVIRGAIPRLCTRVLLGLW >EOY17892 pep chromosome:Theobroma_cacao_20110822:10:1337357:1338330:1 gene:TCM_042593 transcript:EOY17892 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 5 MDDGLSGFCIKAGSVRGNGNNGSGGGGGGGGGTKCGRWNPTTEQVKVLTDLFRSGLRTPSTDQIQKISTQLSFYGKIESKNVFYWFQNHKARERQKRRKVSMDENDFICRDNRISSSKRFFEVNHHHDQVKGCQPERVIETLQLFPLNSFEESDHQQEKHLRFHASDQCRETATFPYKISTPETDHHPPLDLRLSFL >EOY17942 pep chromosome:Theobroma_cacao_20110822:10:1527150:1529053:1 gene:TCM_042634 transcript:EOY17942 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyltransferase family 1 protein MEPGKSQAPSPHILIFPLPIQGPVNAMLKLAELCATAGLKVTFLNSEYNHSRLVRFANIPSRFDKYPGFEFRTISDGLPGDHSRSGNRFMEIFEAMNIRTKPLLKDMLVEMIPPVDCIIGDGILEFVLDVANELGIPIINCRTIGACFLWSNYSIPDMIEAGELPIKGSEDMDRPITAVPGMEKFLRCRDLPSFCRASNMSDSTLLRYGTVTRKSFTASGVILNTFEELEGPILSQIRSKCPNVYTIGPLNEHFKTRMSLENGVSSQSSNYFWEVDRSCMSWLDRQPNQSVVYVSFGSIAVMSDEQIMEFWHGLVNSKRRFLWVVRPDSVAGKGFQGEDTPKELVEGTKDRGYIVGWAAQEEVLSHRAVGGFLTHSGWNSTMESIVAGVPMLCWPYFADQQLNSRFVGEVWKLGIDMKDVCDRKVVEKMVNDLMVERKDEFVKSAAAMASLAKDCVSVGGPSYCNFDRLIEDIKTISLKNHD >EOY19977 pep chromosome:Theobroma_cacao_20110822:10:24209918:24214662:-1 gene:TCM_045381 transcript:EOY19977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration stress protein (ERD4) MNPRGLLASAGINKGLALVVLILFSILKKQPSNAPIYYPRPLSKRHPITILPPDPFSPSRFLPSFSWIPRAFRVTEDEILETSGLDALVVIRLFKFGINFFAVCTLIGLLILLPINYGGQNDPSTSYHSMDSCSISNIERGSNMLWVHVICLWFISFYGLYLLYKEYSEILVKRIQKLQNLRDRPDQFTILVRQIPFCTEHKARGCCVDHFFSKHHPYSYHSYQMLYDGKDIEVLLFLRSTLPYMSSKCTKGVEPGKTFKRKIQDLRKKCTVKKHIGEMLLLDECRDESMKAALLEEKLQELCHKIRQLQSEDMLKERELPVSFVTFKSRWGAALAAQTQQHTNPLLWITGTAPEPSDVSWRNLAVQYKFLPLHKIGVILAASLLTVFFAVPVTAVQGIAKFEKLKKWFPPAMAIQLIPGLSSVVTGYLPSAILKGFIYIVPFAMLAMAKVGGSISESKEEIKACNMVFYFLVGNVFFLSLISGSLLDEIGESFSHPKNLPSHLASLVSSQADFFMTYILTDGLSGFSLEVLQPGLLLWDLIKSHTYCRGKEKDLYLYSLQYFRIIPTVSLSILIGIVYAVIAPLLLPFLLVYFYIGYAVYINQVQDVYNTVYDTFGQFWPFIHHYIFVAIILMQVTMIGLFGLKLKPAASISTIPLVLLTIMFNEYCKIRFLPTFQGYPIQNAVENDELDQKGGQMEASYGKAVGAYRQPCLRPVSFTQSECNLAQPLITSL >EOY18257 pep chromosome:Theobroma_cacao_20110822:10:2542591:2545439:1 gene:TCM_042842 transcript:EOY18257 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MGKKLRKKKKKNRNKKQNQPREINEISNQIENLVLEETNDDFISRLRDDILCQILSLVPFRCAVQTSLLSTRWRYVWRKAIELQGTIEDVPRVITSLLDPFDKLSCHRRIRFHFRQGSILSTIKDKEELHLNFCADGQETFSHFDWSLELNPQIPISDLSSCIKVLHLKSVNKLTRETVSSVVSKFQLLESLQISECKGLQSLYIEAGSRFRSLVILECLQLNDVYVFAYNLKELVFQGQLPWFWLKYSPHLECVILDFRYGPGYNPFACENLLSLLLAVKNVKTLTISGWLFKVSISKWLSSAGAIWERKDFLFNNLKELRWIDSSMENHSIATLVSVLRLCPSLEKLVDPASYCSPSKGECSFWKEYSNRVANTATLDHLNMVKLKGFLGQEDEILLAERLVEPKTPQSGTGMIEVPPAEDCRVIMAYQLDIAL >EOY19470 pep chromosome:Theobroma_cacao_20110822:10:17988709:17993497:1 gene:TCM_044590 transcript:EOY19470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium and calcium/calmodulin-dependent serine/threonine-protein kinase MGQEKGKLCDEYEIQDILGRGGFSVVRRGIKIKTDGQKSSQQVAIKTLKRFGTSASTPSGSFRVSAEKSIASMGLPAWNQVSISDALLTNEILVMRKIVENVSPHPNVIDLYDVYEDQSGVHLVLELCSGGELFDRIVAQERYSEAGAAAVVKQIAQGLAALHQANIVHRDLKPENCLFFNKSDDSTLKIMDFGLSSVEEFTDPVVGLFGSIDYVSPEALSQGKITFKSDMWSLGVILYILLSGYPPFIAQSNRQKQQMIMAGDYNFDERTWKNISSSAKHLISNLLQVDSDRRPSAEQLLAHPWVIGDSAKQEQMDAEVVSRLQSFNARRKLRAAAIASVLSSKVLLRTKKLRTLLGSHDLTKEEIDNLKIYFKNICAKGDNATLPEFEEVLKAMNMSSLLPLATRIFDLFDSNRDGTVDMREIVCGFSSLRNSKGDDALRLCFEMYDTDRSGCITREELASMLRALPDDCLPPDITEPGKLDEIFDRMDANSDGKVTFDEFKAAMQRDSSLQDVVLSSLRQQ >EOY18462 pep chromosome:Theobroma_cacao_20110822:10:3525730:3527556:-1 gene:TCM_043033 transcript:EOY18462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIEEKREVEMRYTKDIEFELLLGSIKVKGEGVWIMRLPMCVMWGEHMMALVVRDVGVHTMMMIYAMCAMWGEHMMTLVVRDVGVHRMMMIYAMCVMWGEHMMALVVRDVGVHTMTPTMCGVEYT >EOY19908 pep chromosome:Theobroma_cacao_20110822:10:23798342:23799350:-1 gene:TCM_045312 transcript:EOY19908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAF32477.1, putative MKGIERLFTHRIDSSRLKTGDHIYAYRFIRLYSHHGIFVGDSRVIHFIQTKSNKGASKDKPPCETCGYQKNVHLGVIKTCLDCFLSRRLFSSKSLHLYQYEEHKFEKLVKMPGTGSTAACFPPETVVEIAEAFHVNNNFGGYDLFGNNCEGFATSCKTGHPISEQITSIKNTPIIGVIAPILTNALDHLKSSS >EOY18411 pep chromosome:Theobroma_cacao_20110822:10:3407068:3407761:1 gene:TCM_043008 transcript:EOY18411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVDFPPQSTPQSAYFVFYFTEMAIRPSPSDTRVVDVYVNGVRKATVAVEVKQCKVVTVYPEILVDPTANVTLAAADSSTLPPIISAMEVFTTVDHVQDHDKGFTVGAYDPLILAFVILIHVFVVRQY >EOY19334 pep chromosome:Theobroma_cacao_20110822:10:16485701:16486345:-1 gene:TCM_044403 transcript:EOY19334 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein, putative MSMAKLRASGMKIVAEKLQVCGDRSGSSVKGVKGGHFAVFAVENDKTKRFIVPLSYLNHPGFLVLLEQAAEEFGFVQKGALRVPCQWSEMERLLAGNARRI >EOY17930 pep chromosome:Theobroma_cacao_20110822:10:1472571:1474475:1 gene:TCM_042623 transcript:EOY17930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yos1-like protein MGLWTILEGFLLLANALAILNEDRFLAPRGWSFTEFSAGRTKSFKGQLIGLIYATQYMRVPLILLNAICIVVKLVSG >EOY18408 pep chromosome:Theobroma_cacao_20110822:10:3387751:3392122:1 gene:TCM_043005 transcript:EOY18408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRRIKELVVISNSSCLNNGDKRIWALSPFSISWTMWLFRNDVVFQNASWDNKQVWNLIHLQTATWANANWPSQHGSILDMYIHPSCPTTQTNGPKTRVAILKFNVDGAANDSTRDADIGGILRSENGVVQARFSKKYWHWGLKSCRTSCNSRSFHYLCLLSLGWKFLTCH >EOY19850 pep chromosome:Theobroma_cacao_20110822:10:23214459:23216643:1 gene:TCM_045226 transcript:EOY19850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MQHNALKLTKLLCETMTSDQRKSYEETTDHASKLLFEAARLGNYNFLTVLVGFFPDLIFRKDEKRKSIFHIAVLHRHAGIFTHIHNLGLRKDLIMLYRVNYSDQENPIVYNMLHLAAKLPSLDRLNIVSGAALQMQRELLWFKEVENLTPPSEREMRDSKDELTPQELFTKEHEELRKAGETWMKKTAEAGMIVATIITTVVFTTASSLPGGTDGGDGSPKNKDKTMFHVFAVADSVAMCSSIVSTMMFLSILTSRYAEKDFLVRLPLKLAAAVTTLLVSMMALMVSFSAIYFLVYCQSKLKWVPILASALSFLPAALFVLLQYHLLPDVFRSAFGSRYIFRPNKSTFWESFNKIFNENVSN >EOY19325 pep chromosome:Theobroma_cacao_20110822:10:16424330:16425346:1 gene:TCM_044392 transcript:EOY19325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWRKSLYGWETGKRDSESRRNWKKKRWFGEEGKLLGGSKSQYSTVMVQIFIFLLFFFYFWIVMFYF >EOY18662 pep chromosome:Theobroma_cacao_20110822:10:4272162:4275912:-1 gene:TCM_043157 transcript:EOY18662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 19 MITVMDSRKELLKNSEKCLDPQLWHACAGGMVQMPSVNTKVFYFPQGHAEHANGSVDFGSLLIPSLVLCRIAAVKYMADPETDEVYSKIMLVPSRENDFGYEDDGFDGNIGMENPEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYNAEPPVQTILAKDVHGEVWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRTENGDLCVGIRRAKRGIGSGHEYPCGWNSGGGSSGSQFGGYSPFFREDESKSMRKDSNGDMRGKVRVESVIEAATCAANGQPFEVVYYPRASTPEFCVKASSIRAAMQIQWYPGMRFKMAFETEDSSRISWFMGTISSVQVVDPIRWPNSPWRLLQVAWDEPDLLHNVKRVSPWLVELVSNIPAIHLNPFSPPRKKMRLPQHPDFSLLSQIPMPSFSGNSLRSSSPVCCITDNIPGGIQGARHAPFGLSSSDLHSNKLQSGLFPLGLQQLDHTAPPTRISNDNLSSDHVNKKNISCMLTTGNPTQSLKESNEVKTPHILLFGQLIFSEQQASQSCSGDTVGNSSSDGNTEKTAISSDGSGSALHQNAQENSSEEGSAWCKEHQRSDLGLEAGHCKVFMESENVGRTLDLSVLRSYEELHGKLANMFGIESSEMLSSVLYCDAAGSVKHTGDEPFSEFLKTARRLTILMDSGSDNVGR >EOY19190 pep chromosome:Theobroma_cacao_20110822:10:13401899:13410873:1 gene:TCM_044087 transcript:EOY19190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative MEMGDQYGLPDLRQFLARGTHFPDTPQPSEPCFTHTHRNMAPLAPYHEAFMVSNGMAVPSSLIRFGHDHFAGASATTTAIAASASSAAASGPCAALFGVEMESSGIGWSLGNIEGGNSRWPRQETLTLLDIRSRLDSKFKEANQKGPLWDEVSRIMAEEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKNYRFFRQLEALYGETSNQSSLLETNLAQRTLLCQTPNNTMNQENQEFLQEQKLSESLTFSNASEFETSSSENNDDDLSAIAFMMKQSMVEKQKSINESGSSSRVKKGWKTKVKDFVESQMKKLIDSQDMWMERMLKAIDDKERERVSKEEEWRRQEAARFDKEHEFWAKERSWVEARDAALLDVLKKFTAGKGLEVSSSAEAPVITETHSHNKNQQDAINTNRWTEHEVSSLIQLRKSFESRFQDAGYSKESLWEEIEAKMVGLGYERDAVECKEKWDNMQMYFNMTTECYKKRKEDFRSSNYFQLLDSCDGQENNTNTVKQRDSPSNSYVGTHQQLQDTNSFQIAVHQGDQRLWDRYGLKLGKGKNQQI >EOY17535 pep chromosome:Theobroma_cacao_20110822:10:133425:135691:-1 gene:TCM_042347 transcript:EOY17535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLRDRSPNRTTGYRIVVSVISVQCSQWLLLLTRATEVCGICHVSVLGRDRWILVKIARTPRHLQGLVIACSSAANLGNMLLIILPAICEETNSPFGDSSTCAAYGEAYASLSLAILSKTFDP >EOY17568 pep chromosome:Theobroma_cacao_20110822:10:210452:213017:-1 gene:TCM_042367 transcript:EOY17568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin oxidase/dehydrogenase 6 isoform 2 MSYTLVSFPRKTNMLFLRSFMILFLSCITIKINLCFPSIPSSLKTLSIDGHFKFEEVDHAAKDFGNRYRFLPLAVLHPKSVSGIATTVKHIWQMGPRSELTVAARGHGHSLQGQAQAHRGVVINMESLQGPKMQVHAGKFPYVDVSGGELWINILRESLRHGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVHQLEVVTAGKGEVVNCSEKQNSDLFYSVLGGLGQFGIITRARISLEPAPEMVKWIRVLYTDFATFARDQEKLISGESTFDYIEGFVIINRTGLLNNWRSSFNPQDPVQASQFKSDGRTLFCLELAKYFNPEEMAVVNQEIKNSLSQLNHIPSTLFMSEVPYIEFLDRVHISEIKLRSKGLWEVPHPWLNLLVPRSKVHSFAQEVFGNILTDTSNGPILIYPVNKSKWDNRTSAVIPEEDVFYLVAFLSSAVPSSTGTDGLDHILIQNKRILEFCEIARLGVKQYLPHYSTQGEWQAHYGPQWELFVQRKSTYDPLALLAPGQRIFQKPIAYS >EOY17567 pep chromosome:Theobroma_cacao_20110822:10:210014:213483:-1 gene:TCM_042367 transcript:EOY17567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin oxidase/dehydrogenase 6 isoform 2 MSYTLVSFPRKTNMLFLRSFMILFLSCITIKINLCFPSIPSSLKTLSIDGHFKFEEVDHAAKDFGNRYRFLPLAVLHPKSVSGIATTVKHIWQMGPRSELTVAARGHGHSLQGQAQAHRGVVINMESLQGPKMQVHAGKFPYVDVSGGELWINILRESLRHGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVHQLEVVTGKGEVVNCSEKQNSDLFYSVLGGLGQFGIITRARISLEPAPEMVKWIRVLYTDFATFARDQEKLISGESTFDYIEGFVIINRTGLLNNWRSSFNPQDPVQASQFKSDGRTLFCLELAKYFNPEEMAVVNQEIKNSLSQLNHIPSTLFMSEVPYIEFLDRVHISEIKLRSKGLWEVPHPWLNLLVPRSKVHSFAQEVFGNILTDTSNGPILIYPVNKSKWDNRTSAVIPEEDVFYLVAFLSSAVPSSTGTDGLDHILIQNKRILEFCEIARLGVKQYLPHYSTQGEWQAHYGPQWELFVQRKSTYDPLALLAPGQRIFQKPIAYS >EOY17700 pep chromosome:Theobroma_cacao_20110822:10:607496:611090:1 gene:TCM_042454 transcript:EOY17700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLHDDANRLLVPAWKALHNFLFVFLKLGKGVGDSRLTGAARRAPNQTINVASGATPEPGFFRVDLTATIALTTEDKSEPFT >EOY18939 pep chromosome:Theobroma_cacao_20110822:10:6421093:6430117:1 gene:TCM_043459 transcript:EOY18939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphorylase superfamily protein MAAKQVKPCLVLVLVFSLLVFVSAVSKNRIKSLHVIKELNRRGPYIGLITVFSTEEDAFFATGSFRSDPKHPFVDLSGRRFRIGKVRGRKAIYVRCGIGMINAAAATQQMLDLFDVKGIIHFGIAGNINNSMSIGDVTIPKQVAHTGIWDWVNTNGAVDSADVSQLDFGSYNVPKGNGSNLLGHIGYSKEQFFSESGEPNIAESLVWAKISPHWLQLASNLEGMKLEQCVNSSLCLPEKPKLVVGLRASTANIFVDNAAYRDFLFKKFEVSSADMETAAVVMTSLSNGFPVIVIRGLSDLAGQQQGENAVKKFGSLAALNTAKAVLGFIDNLPGHAY >EOY19971 pep chromosome:Theobroma_cacao_20110822:10:24175110:24182846:-1 gene:TCM_045375 transcript:EOY19971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTLERAPVGYNRATDRNQRRWSQSTRLFGVGFECFTVRSLYTLPPHPSRPKNRGGGVKFHLTSLIPFCLLNFFSFPRFLYSLIKSAPFFPFETLKTILASSLVLINCHRRSLAVIAILLASRQLSLAVADLLSSSLCSSLKISNEIEENINLATNDSTSTPQVHASATEGNARSEVVSSKPQKQKEPSKMSSLVWDHFTKFVDNKDLTKITLEHICEIEPKLKSTLNHPPTNQNRLKLSGVPIVLLVIRHGGQWADGIYKGGESRMWGVGSDLLFAGLMKLVEDVVERNVLAVYVSIKGCETNVMSHEEVEQHGCASSQLLASVQQMQRSDETVKCVMPLSNENTTPEDNNVRLEGDIETLEDNTAFDEGNEDLFVAGEDRFDDNSDDGL >EOY19206 pep chromosome:Theobroma_cacao_20110822:10:14165022:14174954:-1 gene:TCM_044162 transcript:EOY19206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSELLFVAAAGFNTSAPPVLTGENYVIWSVKIRSYLKAYCLREVVETSEDLIQHHANPTLAQIRQFEEDKAKRYKALSSLHSAISDEIFFRIMHLDSPKEVWDHLKDEFFGSDRTRHIQSLNLSRQFEMLRMEDDENIKEFFRRMMSIVNQLRLLGKAVTEEKLVHKILVSLLEKYESKISSLEDSRDITQMTMKELVNILEGLKQRRVFRQRGVVDSALVA >EOY18161 pep chromosome:Theobroma_cacao_20110822:10:2217363:2219498:-1 gene:TCM_042775 transcript:EOY18161 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Kin17, conserved region MGKNDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQIFGQNPDRIVSGYSEEFEQNFLDLMKRSHRFSRVAATVVYNEFIHDRHHVHMNSTQWATLTEFVKYLGRTGKCKVEETPKGWFITYIDKDSETIFKEKMKNKRIKLDMVEEEKQEREIQKQIEKAGQLKAPLESEENEKKAVMKELNLESGVKIGFSLGGGNVKGESSSSARLVFEEEENEKKKKNNQRKENGGRKNALEELMREEEKAKERSNRKDYWLCEGIIVKVMSKALAEKGYYKQKGFVRKVIDKYVGEIEMFESKHALRVDQEELETVIPQIGGLVRIVNGAYRGSNARLLGVDTEKFCAKVQIEKGVYDGRVIKAIEYEDICKVV >EOY19710 pep chromosome:Theobroma_cacao_20110822:10:21583437:21585551:-1 gene:TCM_044995 transcript:EOY19710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRMRHYYFANDYQTWKQIEDGPHKIEKDMVNWNSHDLDLIELNAKAMLTIFSALGEKQYNQVQNYGNAKEIWDKLDKLYDNQLREN >EOY18905 pep chromosome:Theobroma_cacao_20110822:10:6030561:6037135:-1 gene:TCM_043409 transcript:EOY18905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIIKQTIGRGRREGFSLGKRLQGIKRPLLVVRSKERFGLGYEPTKKEILEIMFEKKKRMARLKRMELENMKIYFSYLYETFRLKGYIHPDLPKRKDHEYETVVNVVAKKQSEETP >EOY17740 pep chromosome:Theobroma_cacao_20110822:10:711929:714461:-1 gene:TCM_042479 transcript:EOY17740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C5 MQNESTRRFLTKRKLNITRPSEKGASIASLKSRNSNRVKLGTQNPESKQENATSCTVFFTYINRRGNQLPLSMFTSRSWAFVSTFSPFFRYRRIMPWALVVPTSPMFSPKKLPSIFCKSDGSAFPLSPRVNASQAPQSPSSLPLSSPKSPRPFSSASVAAPQSPSVSSSSSSLKSSLSLGADTASPSVVKRKRPAMIEIPTPMAVKLGFAAETPRGEEEVQVEREGYSVYCKRGRRGKMEDRYSAVVNLTGDSKQAFFGVFDGHGGSKAAEFAAKNLDKKVMEAVSNRSCGEGIEDAIREAYLTTDIDFLKEDVVGGTCCVTAMVHEGDIVVSNAGDCRAVMSRSGVAEALTSDHKPSRQDERDRVEALAGYVDCCHGAWRIQGSLAVSRAIGDKHLKQWVIAEPETKLLKIQPECEFLILASDGLWDKVTNQEAVDLVRPFCVGVDKPEPFSACKKLAELSSWRGSFDDISVMIVQLQHFVSGLV >EOY19411 pep chromosome:Theobroma_cacao_20110822:10:17306911:17310769:1 gene:TCM_044504 transcript:EOY19411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MGGKITGWAPGDQTGVKNWQQVEEAAVAIEEIIVAIEAASKLQTAQTVSRCGYSLWLRYYPRGMMLRLFTCQLKGCWLEVGSKMAFRLCGTRTLPTAISLRFLPLSSLCFLSSPSLNLPKLQPSEEADSLSQLLLAHHNPFHSMESSIQLHGISLSPFLLDQILLRLQHSSKIALSFFLYSKSLPPSPSSLLSTTSYNLIIDVLAKVRQFDVVWQLILEMDQTNIPPDSSTFMILIRRLIAAGLTRQAIRSFDDMGCFVTADNETDTDSSCQNSSFCFCFLLDTLCKYGYVKVAVEIFNKRKSGFRVDSKMYTILISGWCKIGRIDMAERFLKEMIEKGMEPNVVTYNVMLNGICRRVSLHPDDRFDRAIRNAEKLFDEMRQRGIEPDVTSFSIVLHVYSRAHKPELTLDKLKIMKEKGICPSVATYTSVIKCLCSCGRLEEAEKLLGEMVSNGVSPSAATYNCFFKEYRGRKNVNGALNLYRKMKEDRLCELSLHTYNILLGMCMKLDRIELAEEIWNDLKGSTAGPDLDSYTLLINGLCGKQKWREACQLFVEMIEKGLLPQKVTFERLYKGLIQSNMLRTWRRLKKKLDEESLIFGSEFQDYHLKPYRR >EOY19793 pep chromosome:Theobroma_cacao_20110822:10:22559624:22561278:-1 gene:TCM_045132 transcript:EOY19793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQVLFLYACILVDFALSSLPVALTCGFTTLNSRFAMVILRTSLLRTNKRFTSRPILWSTL >EOY19250 pep chromosome:Theobroma_cacao_20110822:10:15182252:15191173:-1 gene:TCM_044258 transcript:EOY19250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglycosylase 6-like protein MYEKEIENQYVRMVQDAMGLEIVLNASHDNDSKSVEEDPNPNATSFYSLLGDVDEPLWIFDEMVKRDVVHISEDELEQIRDARFAQWFQEYVAERRDKTNPLLLEISHGSGRMVRCFTGYFVNGFKFHILDYGQNRKTMNSRAYVKGSFYNDYERDFYGILVDIIELEYFNTENKVLLFKCHWFDTVKGVSGDGTSLRSRGRGHGVGIHTPTDLTQRLHVTPLGDRVLAIDAQIFSLQYLCAVLHKCNYNGMPLKLVPGNSAGTVVIAYYLSSKGETWDKIDFEFLGDISGQPYILHTNVFCQGKGNRKQQFYLWLDPTADFHTYSILWNPQRSVFYVDGIPIREFKNLEFLGVPFPKKQKMRLYIYALAMLMTALLGVNLSIQIGVKPPSRLPIGTSMPMLASGHPDHLIAVQTQTHGFGKSMILQRKGRRNGCRILTWTWYNYCTDTKRVPQSLPTECAYANILSKHSLPSFFPLPPPIWFLLFPFSTQFH >EOY17808 pep chromosome:Theobroma_cacao_20110822:10:952037:954026:-1 gene:TCM_042525 transcript:EOY17808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein MGNPSHFLPSCFTAGNRKPEISKGNEKHARIQYPAPLPEEICRQFSLTEIKAATNNFHPKSLIGVSYFAKVFKGIVDDGNVVAVKRLRPDSAQKALKEFQKEVLMLCQLRHQHLVSLIGYCNDKGEKIVVYELMKNGTLREHLYGSDYDPLPWKQRLEICIGTARGLHYLHTGAKHAVIHRDIKSSNILLDDEWVSKFSNFTLSEMRSQPSYSDTSKLLKKIISPLAGTKGYVDPECLKGYGVSEKSDVYSFGVVLFEVLCGRKVVDPILDENEQYLLGWVCQCIDKGTIYNIIDPHLKRKIAPECLKIFVDIAYSCISENGDTRPEMGEVELMLELALEMQEKADSQMRDVDPHSE >EOY17793 pep chromosome:Theobroma_cacao_20110822:10:887940:888536:-1 gene:TCM_042512 transcript:EOY17793 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI3/VP1 2, putative MQELRCFLFLTKPFLFQRLLQQTMKQLFSKVLSPTDIEHRLAVPSEILWAFEFDDKARAADFHVEDVAGQKWHFSCSTRKKDFHPKPVVSKGWRRFVKDKRLRVGDKVVLYKDGEGGSSHKIKTMKRVFKLFGEEVWLES >EOY19568 pep chromosome:Theobroma_cacao_20110822:10:18884456:18888519:1 gene:TCM_044711 transcript:EOY19568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MAKQTRPRLSSRHGMQAAATKFNVLAFGAKPNGKTDSTKGFFKAWNAACGSADSTMMYVPKWRYLLGSMAFKGDCKSPQITIRIDGTLVAPGDYSVLGKSANWLSFEGVSGVSIIGGALDAKGPALWACKASRTNCPSGATTLSFTNSNNIKINGLMSLNSQMFHIVINGCQNVHIQGVRIIAADNSPNTDGIHVQLSTNVEIINCSIKTGDDCTSIGPGTKNLWIEQVTCGPGHGISQGFERGRGPKCYSKKDNILCTQNGLRIKSWARPSNGFVQGVRFMDSVMRNVQNPTVVDQNYCPHNPNCPDQVLGIKIRDIVYEGIRGTSSTLIAIKFDCSAKQPCIGIRLQNVNLAYLNKTAQSSCSNVVGKAFDLVRPNSCFVIMEMKWLPFSFWKSNIAGKEKEAMEGQSDVNYPLRLSFRKTTIGARPSSLEVSY >EOY19003 pep chromosome:Theobroma_cacao_20110822:10:7827683:7842347:-1 gene:TCM_043595 transcript:EOY19003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein MGTHLPTSMNVSQREMDGQSNPAEKLDAGALFVLKSRGSWLHCGYHLTTSIVAPALLSLPYALSLTGWFAGVFCLTVAALVTFYAYNLLSLVLEYHAQLGQRQLRFRDMARDILGPRWGRYFVGPIQFCLCYGAVIACVLLGGQSLKYIYRLSSSKESMQLYQFVIIFGILMLILAQIPSFHSLRHINMISLVLCLLYTACATAGSIYVGNSKNAPAKDYSVKGSGQNRVFGSFTAISIIATTYGNGIVPEIQATIAPPVKGKMFKGLCVCYAVVVTTFFSVAISGYWAFGNQAAGTTVLANFLVDGELLLPTWVLLMTNVFTFLQVAAVAVVYLQPTNEALERKFVDAEVDQFSIRNVVPRLAYRSLSVVIATTLAAMFPFFGDINAVIGAFGCIPLDFVLPMVFYNVTFKPSKQGVLFWVNTLIAIIFSVLGVLGAISSIRQVVLDTSTYSFFANLD >EOY19879 pep chromosome:Theobroma_cacao_20110822:10:23452846:23455650:1 gene:TCM_045262 transcript:EOY19879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair specific 12 MSSTTFGHRETALPLTNTSNGSGSHKKEKLSNMFRAYGKVNEADQARLLARRKTRRRIAIIGLSFIVLAAIVVAAVFGSRGSGGDSKNGGNGGVQPISSSIKAVCDVTLYKDTCYDSLAPMANSSQLQPEDIFKLSMKVAIAELSKASQYFSKNGIFKDVADKMSIAALENCGELLSLAIDHLNSSLSSSGEVSVIQAVDDLRTWLSSASTYQQTCIDAFEELKGDTKASVHDHLKYSSELTSNSLAIITWISKVASVLNLRRLMSSSPNHEEPEWFHVKDRKLLQSSDLLKKKADIIVAKDGSGKYKTISAALKAVPDKSKKRIVIYVKKGVYSENVSVEKKKWNVTMIGDGMESTVVSGSLNYVDGTPTFSTATFAVFGKGFVARDIGFVNTAGPQKHQAVALMSTADQSVFYRCRFEAFQDTLYAHSNRQFYRECNIIGTVDFIFGNSAVVFQNCNILPRQPLPNQQNTITAQGKVDPNQNTGIAIQNCTILPYGKLDTSLRTYLGRPWKNYSTTILMHSSLGSVIHPTGWLPWSGTTAPDTIFYSEYKNTGPGSSTKDRVKWKGLRSITDKEAKKFTVKEFLHGDKWISDTGVSYKSSL >EOY18324 pep chromosome:Theobroma_cacao_20110822:10:2991905:2996065:1 gene:TCM_042921 transcript:EOY18324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDHELWFAIGKSKARLSKQEFCLITGLKFGPMLDVFRRPYEVAADGIHARYWNGQDSVKLQALLDTFRRSNFKRPRDATKMAFVLIANNILFGQYYRIRVTPWLLSLVEDIDAWNVFPWGHYVWKLTLDYLLKGFKVPDLSVTKETRLHYNIYGFAWVIQFWAMEAIPAFQKIVAPFGPKDNVHPRMCRWDCNQKPKDFYKTIQKLESSDQLWALETLEPTADKALREYFVDIDVPLSEGNEYVPIGHMEDLSDWGLGARQKRKSLNEQRASGGTKQMRTVAALVDELIDEGDDHGQVYGSDDSLRKRRQVLKHKLVRLHCRLLKSRRVSQHFLIILGVSPSLSCYAWRVTTFYLFCMACDNILLGGPSHCAGLEHDNADDRQHHEPGVDIDDDVLDDAEGEHLPPVDAFVDAAAGAIVLYRESTPDAVEIRLSSPESSVVHHGAAKISDPTERARLKMASKYMASPFVDPLVTHRDVRDKIVENYEAFKKEESARRNVGILGDQGADFFITLEDPNEEMTSEHIDACLSLLYTIRMLHTKFPIEDARAKMQIPDELRGYVEGERPTYAKKWEDVDFILAPCNVGGHWVVAKIDLVRWTIKVVDSARTSDAKDNGVRAGQMTPLTTMMPFISHQAGYFNNIRQKRQDLTSMPLDIHLPKAKVYRQNDSVSCGMLMIGYIEHILQSEKIEIKQNMIAKMRRQYALEIFSNSCESEP >EOY17750 pep chromosome:Theobroma_cacao_20110822:10:750456:752469:-1 gene:TCM_042485 transcript:EOY17750 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase domain-containing protein, putative MASSTHKQQQHLPNLYGATAAPPPTPSGQPNHHLVTSSATADALSKLLHRLPPTLSLPTHRSAPSTASPRTVSFSDPNLKDLLLSSGSKVGFFQLTSHDVSSQLANSAETESLSLFELPKEQKESCFPKNWPLGFDADEEEGGDEKGESFCLDATCSTELTNLSLSSLREFTRALEKLGLKIIDTLANAVGFENPIGEDPTRFCSLMWILEGLHGDDKPSGGFYPFVIGLQYQIRCQQYSLLSESGWVSVSPEVDSIMVTLGDIAQVWSNGKLRKVRGRPVAACLDDGNNSRHVSMSLLLTLPMDSQVAPLLTKVIADDENASDDEIRDDEIGTEGKKEGRLFRSFSFEDYAWRVYHECLLFKDPLDRYRI >EOY19145 pep chromosome:Theobroma_cacao_20110822:10:11065999:11073917:-1 gene:TCM_043919 transcript:EOY19145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded nucleic acid binding R3H protein isoform 1 MDGSVVEDLGAPESWEVADLDATMSRLMLSSNKEPKPELPDAISSSASSAEKVIAEDVTNQVDQFLREAIQNPRERLSILRMEQDVEKFIHDPTQQQLEFQQLPTSYLRLAAHRVAQHYSLQSMVLLDNNLPDGSGFRIIVRKTSECRLPPIRLADIPVNLPSEDPGVVKVAIKQRPQKRSQVSCNSNSNSLKTNNSKSVEERKEEYNRARARIFNSNSSSSGSGGKPENELRLQDVSYHGSSGMPKMEEKPVSVVSDINSSRGLIESSTSSSRLARSRTEKEPIGRSKPNNRVAIFRDRETDRKDPDYDRSYDRYLQRFDPGFGFNSGPYTMQPMYTPAINYNTEFPQLGTTHRPQISTEHQPRALPQHIPGPWAAPSTATGISYGHPETMMPPFNPNHVGAHSTSAIYLHSSQYPCQRPGMPFIHPHEHVHQPFSQRSELSELRMFINDEVIRGAKGNCEDIFYESGEFAAVLWNLLMCSISLW >EOY19144 pep chromosome:Theobroma_cacao_20110822:10:11066725:11073992:-1 gene:TCM_043919 transcript:EOY19144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded nucleic acid binding R3H protein isoform 1 MDGSVVEDLGAPESWEVADLDATMSRLMLSSNKEPKPELPDAISSSASSAEKVIAEDVTNQVDQFLREAIQNPRERLSILRMEQDVEKFIHDPTQQQLEFQQLPTSYLRLAAHRVAQHYSLQSMVLLDNNLPDGSGFRIIVRKTSECRLPPIRLADIPVNLPSEDPGVVKVAIKQRPQKRSQVSCNSNSNSLKTNNSKSVEERKEEYNRARARIFNSNSSSSGSGGKPENELRLQDVSYHGSSGMPKMEEKPVSVVSDINSSRGLIESSTSSSRLARSRTEKEPIGRSKPNNRVAIFRDRETDRKDPDYDRSYDRYLQRFDPGFGFNSGPYTMQPMYTPAINYNTEFPQLGTTHRPQISTEHQPRALPQHIPGPWAAPSTATGISYGHPETMMPPFNPNHVGAHSTSAIYLHSSQYPCQRPGMPFIHPHEHVHQPFSQSHQQQPDASFGLARPR >EOY18940 pep chromosome:Theobroma_cacao_20110822:10:6430424:6433999:-1 gene:TCM_043460 transcript:EOY18940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein MEQIRHFSHQHMLNLVEVGKDTDAERCIGCGSFFHGPAYTCKQCPGFFFHKSCVELPRQIKNHAFHQEHPLTLYPMDSFVCDGCGEVCAGLFYWCGLCIFSLDVKCATLNQELAKNKAQKGKEIKILINHFSHNHQLTRCIFLSPPGEKNEVPCMACKQNIHGKLIYSCQSCLFVLHESCLINMPEQIKSSFHPEHPLLAQPLARGSVVECGGCSDGVYGISFSCSECNFDFHVSCAKYQTRAIKHNCHVHPLLLLEKSNIRYRQRSLKCKECGEYCEEAFLCRKKCKFYIHLECVPLPSIVKHIRHLHLLKLKNSVVEDDCNEYYCDVCEAKRNPNHSVYFCEECNYITHIHCVMSEVEPPEWISSYLVPRPGKEKPDKGDQITSKMDGKLLEGEGIKHGRTEEKSILDKLEAEIEESYGNLYMNWAGILSSETGSIRDPGHWG >EOY19634 pep chromosome:Theobroma_cacao_20110822:10:19388560:19396061:-1 gene:TCM_044775 transcript:EOY19634 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATH and LRR domain-containing protein PFE0570w, putative isoform 2 MFLTMLVISLMGKKEFFLEGERCEIFYSIKAQHMDWCFGNGIEDLVVPMDQELADRLPSPESWSKWGYYAPGNFESSNKCFVVDENLTCEELKFNGRFCNGTEFETSADAKDPSSFPSVCGGLSEESLNQAPLSYPQPDYELDDFGRFQQMDDIFLYKRFKSIGQLFYKNYLFIIEIGSRHHQWSSLLEDLLGSEDLQKSVCFSPEDQCGRVPADYLLTDVSLDSQTISKNEHGMGSAKYLKTHAFSPSMTLEKEIPALRFKPRKSGLKNSPSVKVRFSTCRFIGKNKFQKFFTSDETSFVAYKSLLRSPVPATHLANIWHKNQTSSKPCQIYHHRRLFSCQSFFKESFIRISYAPLAKILAPPERNSETGFVSEGISLEESVLQELEMVTVQLSNKTRICFRDAFYRLAKNSKQNPVVINQHGNVCVRTHAPMWIVSEEKMRSGRKETTESETNSIDRAIANLTFNNMETNVRDFPIATPAKSKQHAIRVTGQKNNSSNQSGIHYFPRSSVASTDAEVPFLVHKRSQMRMAGHE >EOY19635 pep chromosome:Theobroma_cacao_20110822:10:19390312:19396163:-1 gene:TCM_044775 transcript:EOY19635 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATH and LRR domain-containing protein PFE0570w, putative isoform 2 MDWCFGNGIEDLVVPMDQELADRLPSPESWSKWGYYAPGNFESSNKCFVVDENLTCEELKFNGRFCNGTEFETSADAKDPSSFPSVCGGLSEESLNQAPLSYPQPDYELDDFGRFQQMDDIFLSSLLEDLLGSEDLQKSVCFSPEDQCGRVPADYLLTDVSLDSQTISKNEHGMGSAKYLKTHAFSPSMTLEKEIPALRFKPRKSGLKNSPSVKAPLAKILAPPERNSETGFVSEGISLEESVLQELEMVTVQLSNKTRICFRDAFYRLAKNSKQNPVVINQHGNVCVRTHAPMWIVSEEKMRSGRKETTESETNSIDRAIANLTFNNMETNVRDFPIATPAKSKQHAIRVTGQKNNSSNQSGIHYFPRSSVASTDAEVPFLVHKRSQMRMAGHE >EOY19059 pep chromosome:Theobroma_cacao_20110822:10:9283847:9289107:1 gene:TCM_043727 transcript:EOY19059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKQPTESQITLEVKSQIMLNAEATSRESKSSSKYTQRMATKSCRRESQARHKPLSLLTTILVGTECSRRDEDST >EOY19952 pep chromosome:Theobroma_cacao_20110822:10:24060826:24062035:-1 gene:TCM_045353 transcript:EOY19952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 34 MTYSPIGWELFRSFRYLFSIPINSMLFCQVQGGTFLFQMKLRSLDRSDNDFSVPLPTGYFESVMAMKSIGVGERELKYLGQEYYQDSVRLSWRDSRSNRRASCIESAKLFPQQPYRTNTNISGNLTVLESLDLSSNNLTGEIPGKLTCLTFLARLNLSQNQLVGSIPQGNQFDTFANDSYIGNLGLCGWPLSKKCSSDEAPEAPSSESEGNGDLFLDGFGWEAVVIGYGSGVVVGNAVGYIVFLTGKPRSLVRIIERNHHRKMRKTNQRHRETRNS >EOY20014 pep chromosome:Theobroma_cacao_20110822:10:24365640:24366127:-1 gene:TCM_045414 transcript:EOY20014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVTGTIWASAIRASLAYNTRARAPLNPSLRLIHARMHAQALTLAVLSDAAAYR >EOY19321 pep chromosome:Theobroma_cacao_20110822:10:16398169:16399082:1 gene:TCM_044389 transcript:EOY19321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWCLYNEVAYLHFHESLFEHHIVYSSHQQMDANPCLLVFMLVLGLALLLSHTTHVVEAVSAPSSADANVPSFDFPYKFKAVSKRLEFGRLRPPPPIPHRPPQFKPPIRRPPPRQRPYKRKSPPPLLPRSPPPPSQPPV >EOY19051 pep chromosome:Theobroma_cacao_20110822:10:9094684:9096472:1 gene:TCM_043707 transcript:EOY19051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anti-oxidant 1 MSQTVFLKVGMSCEGCVGAVKRVLGKMEGVESYEVDLKEQKVTVKGNVQPDAVLQTVSKTGKKTTFWETEAPAEPEAKPAEAVATA >EOY19274 pep chromosome:Theobroma_cacao_20110822:10:15596894:15620888:1 gene:TCM_044299 transcript:EOY19274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uricase MAKEMDGFNFEQRHGKARVRVGRVWRSKDGRRHSMVEWNVNISLLSNCTGAYVRDDNSDIVATDTMKNTVYVKAKECSEQLSAEEFAILLGKHFTSFYPQVFTAIVKVVEKPWERVSVNGQAHVHGFKLASEKHTAEAIVQKSGILQLTSGIEGLSLLKTTKSGFEGFIRDKYTALPETRERMLATEVTASWRYSYESVSSIPQKPLYFNECYLNVKKVLADTFFGPPDGGVYSASVQSTLFHMAKAVLSRFMDISSVQLKMPNIHFLPVNISSKDIGVIVKFNDDVYLPTDEPHGSIEATLSRFWSKM >EOY17657 pep chromosome:Theobroma_cacao_20110822:10:472944:473861:1 gene:TCM_042426 transcript:EOY17657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated RAB acceptor 1.B4 MANPATIPMTSSQSTAGSGAQSQPPIATPAFRAFLSRLTSSIRQGLSQRRPWYELIDRSAMARPDNLTDAYSRIRKNLSYFKVNYITLLVVVLAFSLLSHPLSLLVLLGLLAAWVFLYLFRPSDQPLVLFGRTFSDRETLGALVVLTVFVVFLTSVGSLLISALLIGVAIVCLHGAFRVPEDLFLDDQEPANTGFLSFLGGAASSAAAAAAPAVASRV >EOY19951 pep chromosome:Theobroma_cacao_20110822:10:24057291:24059692:1 gene:TCM_045352 transcript:EOY19951 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein MPVSICSGSRSRLTVAGVCNGLLCLHDGYRINLWNPSTREVKLLPESTISLPPSVDSTYFYCMGLGFDRKSDDYKVLVNVVNRVHDEERIIAFKYISQIHLCSLSTESWREIPHPKVSFDRLKYLFNIYINGFCHYINGICHWPAFDDSGDLILSFDVAEEVFSTSCLPNFGIRPIASFNEALATIVHPIRGMEKCYDIWVLNGCLWTKQLTIGPILGVGRPLGFWKNGELFLERENHDLVMFDPCTGELQDFGIHMPMCSTQLVVYAESIVPIKGSSEYKANITREVKLPVKKLVSTASILFLNTDSWREIPHPNVYIYAPELFSTYLNEIYNWKAIDDDVGDLILSFDMAEDVFSTLPLPNFGMSNDECLWHITSFNEAAAVTVSPTTGMENKYDIWVLSGHSWTKQLTIGSIFGRPLAFWKNGELLLESENDTLVMFDTCTGELQDFGITCLSTQGS >EOY19844 pep chromosome:Theobroma_cacao_20110822:10:23157208:23160063:1 gene:TCM_045216 transcript:EOY19844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMGDSTERRLFGWEEEQWREFIPFLKGYHLCVEIDDCLVWKGTLGGEFSVKSFCKQTLNSYNSNGSPWKHIWTNLAPFKIEVLTWQLIHRRVAIKDELVRRAMINSSAAMCPLCDKPETKGRDDGDVFKIYKGGRDDGDVFKIYKGE >EOY19599 pep chromosome:Theobroma_cacao_20110822:10:19160874:19162007:-1 gene:TCM_044744 transcript:EOY19599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MEQEKKLVDEVSGWLRAYDDGSVDRTWTGPPEVKFMTEAVPPHEEFIEGVATRDVTIDSDSSLRVRIYLPEQSLTTKTKLPIILHFHGGGFCISQADWYMHYIIYTRLARSVPAICVSVYLRLAPENKLPAACDDGYATLLWLKSLAKGESHEPWLNDHVDFNRVFLIGDSSGGNIMHQVAARAGNLDLSPLRLAGGIPIHPGFVRAERSKSELEQPESPFLTLDMVDKFLALALPVGSTKGHPITCPMGLAAPAMEGLNLPPFLFCVVEKDLIKDTEMEYYEAMKSQKHKFGKSSLSVKSFVVINLLWRHFFLLMGFYRSSMYCL >EOY19567 pep chromosome:Theobroma_cacao_20110822:10:18876728:18882610:-1 gene:TCM_044710 transcript:EOY19567 gene_biotype:protein_coding transcript_biotype:protein_coding description:KHG/KDPG aldolase MVFTCTLTCLSGTQSQRLFTWNSVQQHYSALPLPPYPFKPCCSSSSRNHNHTFSLIQDCAVIACLRANSAEQAMEAARAAVNGGIEVLEIVRSTPGVFEVLQALVKEYPTKAFGVGTVLNAEDAKTAMNAGAKFLMSPATVKDIMDDVQDADVLYMPGVMTPTEIFSAYNSGAKIVKIYPVSALGGTRYISAIMKPFPHIPMVASQGITIDSVGDYIAQGAISVVLSDAIFDKEAMGRNNFNAIHQLAKSAALQGKIAVEWKRNCRLTFFPHS >EOY18252 pep chromosome:Theobroma_cacao_20110822:10:2527263:2528113:-1 gene:TCM_042837 transcript:EOY18252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANFDAKSLLKDKKFWFASFLIAWAAALQGHMMWMQRQDSFRQKFGTLNQSNDDEQENLSQS >EOY18765 pep chromosome:Theobroma_cacao_20110822:10:4839355:4840554:1 gene:TCM_043262 transcript:EOY18765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSSNNSGKVLKLKSKDNQIFEVEESVAIQSELIKNMVEAGCDIGVIPLLMVHSKTLRNVIEWCQKHVDYVEENDNESNEEEVKNWESEICEEENNNGSDEEEIRNWESEICEEENNNGSDEEEIRNWESEICEEENNGSDEEEIKNWESEENNDGSDKEEVTNWESEENNAGSDNDELRNWESEENNAGSDNDELKNWESKFVDIDKDSLYELLLAADYLNIDSLLDRVIKQVADMIKASRSVEEVRQTFGIKSDFTPEEEEEIRKEISWID >EOY19681 pep chromosome:Theobroma_cacao_20110822:10:19915092:19915568:1 gene:TCM_044859 transcript:EOY19681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNCYECKKPGHFKSECPLLKDETPKKNKKSKKAMVAVAWSDSDTSSFETDDEKSEERANICLMVQEEKQRYPHPLVLILMMIYKMSMSAFMMNLKSFSQNTNH >EOY19757 pep chromosome:Theobroma_cacao_20110822:10:22147293:22364809:1 gene:TCM_045071 transcript:EOY19757 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H, putative MAVLHIEKVGPNELNPWKVYFDGASNALGHGIGAVLISPNGKYYPATARLNFNCTNNMAEYEALVLGLQAAIDMKADAIDVYGDSALVICQMKGEWETRDPKLVP >EOY19093 pep chromosome:Theobroma_cacao_20110822:10:9977688:9979957:-1 gene:TCM_043801 transcript:EOY19093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGKFSRMQHMQEIRTAFKGIGLVGAYEIRRLDYKHILIQRARSQQDMVKADLVYFEPKNAYGLAPLMVDEATANGTRPSVARVCVEYDCQKPPIEQVWIVIRDSQTRSITGGYMQKVEFTKLSEFCSHCCHVGHGVASCMDTVGKAAEPQKETQHTNVPIHNNFQMIMVEEKRRTKSGGKTGTNSGEKVASATQPQSLEGDTRDSHVNEERSLNGTHANDKDIEVTVPIEGNEAPAAGWATCQLSFYVHGNRDHMNKVTGAKDKKLATTVKEDRTSLHESAKAAAGQKLKINYTAPPVQAATLR >EOY18407 pep chromosome:Theobroma_cacao_20110822:10:3385224:3391641:-1 gene:TCM_043004 transcript:EOY18407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMKKRGREVRLAGCVRTLPGTSLTCQTRRIRVWQDSGTCPWVPRDKAMGRAPSRTRESGIKERGHCSISGGGEEPATKIPAATQKFHKKKSKRDIQKRTEKEKVCSRKSSPTREGARPSMPPDLSPEGRADPGEERRYRLGRPTVREPVAPPDLSPVGESRLDPRRKEEFAGARRGPDHRRHQICRRKEECRENSRTFKTTAFWLKKAEYLGHAHGLSAGLMRTGLSRA >EOY17719 pep chromosome:Theobroma_cacao_20110822:10:653465:657172:-1 gene:TCM_042465 transcript:EOY17719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant U-box 13 MVHLLASTLLSFSVKCTKRSSQDFHAKNDNKEVCSKLPNFVIKPLAWKKWWQTASGTDSLLERNSVMELALPPVMASSGFLPSGSLLQSLIHVSNEVASMEKLPFVQVRNISTMMRRIKLLSSLFEEIQEINSPLPPSSVLCLTELFSVIRRVKVLTEGCRDGSSIWGLIQTELISNQFYAIVKEMGRALDILPLSLLDVTVDIREQVELLHKQAKRAELFVDPREVQRREELLQVMASNNGKNRKNQRFVDIETVKEVLSSIGLRSPLDYEEEISKLEAEALKQAGTGGLFVVSNINNLVSFVSYSKSMIFSSSEECEKNKEDIKQSCGSINRNLDQSSTSQSMSLNIPDEFRCPISLDLMKDPVIVASGHTYERNSIAQWINTGRHTCPKSGQRLIHMALIPNYALKSLVHQWCQENNIPLTECSSSNTSELERSNSKQKACEKAIDHISATKVAIDAVKMTAEFLVGKLAMGSPDIQRQAAYELRLLAKTGMDNRRLIAEAGAIPFLVTLLSSNDPRIQENAVTALLNLSIFDNNKVLIMAAGGIDNIVEVLESGKTMEARGNAAAAIFSLSMIDDFKMAIGARPTTILALVGLLREGTTVAKRDAATAIFNLALYNANKASIIAAGTVPLLIELLMDDKAGVTDDALGVLALLLSCPQGLEAIKKSRVLVPLLIDLLRFGSAKGKENSITLLLGLCKDGGEEVARRLLINPRSIPSLQSLAADGSSKARRKADALLRLLNRCCSQSHNPVG >EOY19802 pep chromosome:Theobroma_cacao_20110822:10:22609521:22610145:1 gene:TCM_045144 transcript:EOY19802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMVKEVREGGRSISSQGNDATSREAPLMEKCLREVSLCKPHSITDLQIMGCKIASEMRLVGSPSSGKDTTLAHLNIKNSSRETMLCMQLGKASSFSHLERWSFLSLGVNNPLPGKDIRFGQLPIKISSRWLSLSNPCGKDLKFSQRLIIICSREELS >EOY19528 pep chromosome:Theobroma_cacao_20110822:10:18431252:18437217:-1 gene:TCM_044651 transcript:EOY19528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIAHRKGKKLICKPCGVPVGIPDNECQSRRRGDCHGPEAVVTSELACGPMPSIVATCVSSSEPKGYREMKSDLKELKIEYISIVSIQVLKHKQKQSNSQDCRNLITKTIMATNPSTNVQFTNSSGEILYLNAVNIWDGPPQSYIPLVIQVGPTVGVTQNGSVVGVEYKLKDNKSLIVAWTNNLVSNKVYAQILM >EOY19647 pep chromosome:Theobroma_cacao_20110822:10:19443648:19459115:1 gene:TCM_044784 transcript:EOY19647 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase isoform 1 MERQRRKRPGPFSDAEELEELKELFKHHIESFDYMIDEGLDLMLKRVKPVQIFDSSSNKTLRIWLDHPEVYPPQKDRSSKTSAGALYPFECRQAKISYTGSFHIDVCFQWDGGVVVREKLNFGEFPIMLKSKRCYLREADPRKLVACKEESREMGGYFILNGLERVVRLLILPKRNYPMSLVRNSFRDRREGYTDKAVVIRCVRGDLSSVTVKLYYLHNGSARLGFWVQGREYMLPVGIILKALIDTNDREIYTKLTCCYNEKNGEGKGAVGTQLVGERAKIILDEVQHLALFTQEQCLQHIGEHFQPIMEGMESESYSTVADVVLRNYIFVHLDDNNDKFNLLIFMVQKLFSLIDQTSAPDNSDSLQNQEVLLPGHLITIYLKEKLEDWLRKGKKLIEDAINNKSKNFDFCSMKDVKKVMEKNRPTQVSAAIENLLKTGRLITQTGLDLQQRAGFTVQAERLNYHRFLSFFRCVHRGASFAGLRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMTCTCRITSYYNSPGNIRDFFKIRMSILDVLVGVGMTTSWPKVDHAGPPQVLPVLLDGRVVGSLPSGEAEKVVAHLRRLKLAAASVIPDDLEVGYVPLSLGGTYPGLYLFTSPSRFVRPVRNISIPSADGKDIELIGPFEQVFMEIRCPDGGNGGRSNIFPATHEEISPTAMLSVVANLTPWSDHNQSPRNMYQCQMAKQTMAFSLQAINARADQKLYHLQTPQTPIVRTKTYTKYCMDEYPSGTNAIVAVLAYTGYDMEDAMILNKSSVERGMCHGQIYQTETIDLGDDKSKSDRGQRIFKREHSDRSISSCLDSDGLPHVGQVIRPNEPYCSTINQVTNSKRLYNHKGSETVIVDYVAVDTKSKKHLQKANIRFRHPRNPVIGDKFSSRHGQKGVCSQLWPDIDMPFSGVTGMRPDLIINPHAFPSRMTIAMLLESVAAKGGSLHGKFVDATPFSDSVKEAKGKTEAESESLVDELGSMLRARGFNYHGVEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKYQVRATGQVDQITRQPIKGRKRGGGIRFGEMERDAMLAHGAAYLLHDRLHTCSDYHIADVCSLCGSILTTSIVQPPKRVVREIGGLPPARAPKKVTCHACQTSKGMETVAMPYVFRYLAAELAAMNITMTIQLNSGAGA >EOY19646 pep chromosome:Theobroma_cacao_20110822:10:19443619:19459833:1 gene:TCM_044784 transcript:EOY19646 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase isoform 1 MLKSKRCYLREADPRKLVACKEESREMGGYFILNGLERVVRLLILPKRNYPMSLVRNSFRDRREGYTDKAVVIRCVRGDLSSVTVKLYYLHNGSARLGFWVQGREYMLPVGIILKALIDTNDREIYTKLTCCYNEKNGEGKGAVGTQLVGERAKIILDEVQHLALFTQEQCLQHIGEHFQPIMEGMESESYSTVADVVLRNYIFVHLDDNNDKFNLLIFMVQKLFSLIDQTSAPDNSDSLQNQEVLLPGHLITIYLKEKLEDWLRKGKKLIEDAINNKSKNFDFCSMKDVKKVMEKNRPTQVSAAIENLLKTGRLITQTGLDLQQRAGFTVQAERLNYHRFLSFFRCVHRGASFAGLRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMTCTCRITSYYNSPGNIRDFFKIRMSILDVLVGVGMTTSWPKVDHAGPPQVLPVLLDGRVVGSLPSGEAEKVVAHLRRLKLAAASVIPDDLEVGYVPLSLGGTYPGLYLFTSPSRFVRPVRNISIPSADGKDIELIGPFEQVFMEIRCPDGGNGGRSNIFPATHEEISPTAMLSVVANLTPWSDHNQSPRNMYQCQMAKQTMAFSLQAINARADQKLYHLQTPQTPIVRTKTYTKYCMDEYPSGTNAIVAVLAYTGYDMEDAMILNKSSVERGMCHGQIYQTETIDLGDDKSKSDRGQRIFKREHSDRSISSCLDSDGLPHVGQVIRPNEPYCSTINQVTNSKRLYNHKGSETVIVDYVAVDTKSKKHLQKANIRFRHPRNPVIGDKFSSRHGQKGVCSQLWPDIDMPFSGVTGMRPDLIINPHAFPSRMTIAMLLESVAAKGGSLHGKFVDATPFSDSVKEAKGKTEAESESLVDELGSMLRARGFNYHGVEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKYQVRATGQVDQITRQPIKGRKRGGGIRFGEMERDAMLAHGAAYLLHDRLHTCSDYHIADVCSLCGSILTTSIVQPPKRVVREIGGLPPARAPKKWSWGLKAIAVLKGFVQNPNHQGLKNVAVMAGWREIGMISANMESSSLNEGYAKILFCKQFSNNHLL >EOY19198 pep chromosome:Theobroma_cacao_20110822:10:14035865:14041686:1 gene:TCM_044148 transcript:EOY19198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MSVNRDVAAIVMGLREVSGRDNSIAPAAEQADSPPHPPPPPPPTGIPTMPTEAAQALAAFFTAMAGGAPTGQVLPIVPPVTPLVPPPVQDVSISKKLKETRQLGCVSFTGELDATPVKRGKDSAISGSTTSVSVTSPRPPFPPSQQRPSRFSRSAMTGSGKSFGGSDRCRNCGNYHSGLCREPTRCFQCGQTGHIRSNCPRLGRATVVASSSPARTDIQRRDSSGLPPRQGVAIRSGVESNTPAHPPSRP >EOY18868 pep chromosome:Theobroma_cacao_20110822:10:5609552:5618501:-1 gene:TCM_043370 transcript:EOY18868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQRVKSDLSFVGLMKLVEDVVGVVMEFSNENATLEDNIATLEGDITTFEDKTASNEGNEDLFLVGAEKFSFQTIITKESTCADDHLYKGRIFSSKVELKRALNMLALKEHFGIRVKRSCTGHYKVGCKDKACKFSVRATKLPEGGEYWQVRTFHKVHTCTVDGLQGRFATASVKIIGELMSHKVQANEVALRPKDIIGEMRVQWGFECLYELHHSLCGYHLKKNFKNKFKRDDVSMIFTLARDYYKVSGFNRHMNQLKQIHARAHTDLMRIGPKRWARACSLARRYQMMTSNIAECVNSCLKHARQMLITILIKFIRDKF >EOY19438 pep chromosome:Theobroma_cacao_20110822:10:17600662:17607831:-1 gene:TCM_044553 transcript:EOY19438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding MTTPVCPFVKAARPDDAGSAKKAATGGSEAARKDSGDTATVSPKCPFGYDSNNFKLGPLSCMICQALLFDSTKCIPCSHLFCKVCISRFKDCPLCGADIEKLEPDTNLQSIVDRFIEGHARIKRAHVDTNGEGEQVLNEDKKVIYEDVSLDRGAFLVQQAMRAFRAQNIESAKSRLSMCAEDIRDQLDKVGNTSELCSQLGAVLGMLGDCCRAMGDAGAAINYFEESVEFLTKLPTDDLEITHTLSVSLNKIGDLKYYDGDLQAARSYYFRSLGVRRDVIKHNPRVSSQILDVAVSLAKVADVDRTLGKDDVAVDGFQEAITMLESLTLKSEETGLQARRHSVLEFLKTQLAEKQSEATVSSVPPQ >EOY18168 pep chromosome:Theobroma_cacao_20110822:10:2233580:2235058:-1 gene:TCM_042781 transcript:EOY18168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPPPPSTTPQVITLVLKVFMFALLLASLIVLVTDTTTVPLDVIFELKVHFDDVYAYRYVLASIIIGLVYSLLQTAFSLYHLATGNRLISGDGGFVFDFYGDKVLSYVMATGCAAGFGATKDLKALADARKLDFDDYFNKAYASASLLLLAFLCAAVLSVFSSYALPKMVNES >EOY19629 pep chromosome:Theobroma_cacao_20110822:10:19345822:19347144:-1 gene:TCM_044767 transcript:EOY19629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase 2A, putative MGSFRTTPSPSPQVTEESDQEIEALLLSLPKGKGWLAGGLFLYQGCWPKSGTTWLKALIFSIVNRSRYTNATSPLLTTNPHELVPFIEFTLYGEKELPDLTSIQSPRLFSTHIPYMSLPVSIKECNNTRIVYICRNPFDVVVSSWHFAARARNSLERSMEDLVDNFCKGIEAFGSFWDHVLGYWKESLENPHKVLFLKYEDLKEDTNFHLKRLAEFIGFPFSMEEEKEGVIEEISKLFSLSSLKDLEVNKTGKFMPNFENKCYFRNGEVGDWVNHLTPSMVERLEKVIEEKLEGSGLSFQASTGLISSSSLDPNMPHIQNK >EOY18070 pep chromosome:Theobroma_cacao_20110822:10:1976949:1979620:-1 gene:TCM_042723 transcript:EOY18070 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative MEVSQEDKDMVGLNFRQFQRSKPTVMNFKFSPLSLPGSKDAIEECSAEVQKVAEELYANFSVLMGLDRDGLKRLQGELKQGIRMNYYPVCSRPDLVLGISPHSDGSTFTLLLQDDEVTGLQIKHKQVWVPVKPIPNSLLVNVGDATEIQSNGMHKIIEHRAITNEEKPRISIATFVFPDDEQKIGPLESTIDDSHRPRMYRNIKYVDYIKEKFARRMEGKAHTDFVKLQSK >EOY17872 pep chromosome:Theobroma_cacao_20110822:10:1239883:1242380:-1 gene:TCM_042576 transcript:EOY17872 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MEVKEMLFMNKGDGENSYVKTSGYTQKVAAVTQPVVYRAAQSLFTGRNSCSYQVLNVADLGCSSGPNTFTVMSTVIESTRDKCTELNWQMPEIQFYLNDLVGNDFNTLFKGLSVIQDKYKNVSCFAMGAPGSFHGRLFPQNSMHLIHSSYGVQWLSKVPKMTSEGGLSPPNKGKIYISKTSPPAVWKAYLSQFQEDFLSFLRCRSPELVPDGRMVLIIHGRKSADPTTRESCYTWEVLADAISYQVSQGLIDEEKLNSFNVPYYIPSQEEVRDLVNKEGSFLTEFVDTIEVELEGIWTGPENGAKNLRSFTEPMISHQFGEEVMDKLYDKVKDILVEDCKQEKQSTRGVSIVLELKKKESHLS >EOY18255 pep chromosome:Theobroma_cacao_20110822:10:2535761:2538646:-1 gene:TCM_042840 transcript:EOY18255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phragmoplastin interacting protein 1, putative MVLSNKKLKQKLRAELLAKKVAEPDADIKNPDSNSEAQSVKSLLDSVTRKPRLSKREKRRQTLSFSKGSNKETEEKGEDVEEVEEEEKKKKKKRKREEDVKEEKGRNKKETKKKKKNNKNKKGKNVSEEQGVLQVENGTESCKDIGIFVVNYTQNVLLERTGLSFNLVDDSCLFISQVREDAATKVYVGGIPYRYTEDDIRYYFEGCGTILDVDCMKFPDTGKFRGIAIISFKTEAAVKEALALDRAEMGGMQLTIQPYKSTRANNKVTGFSPKMVEGYNRIYVGNLSWDITEDDLRKLFSDCDVSSIRFGMDKETGEFRGYAHVDFSDSVSVAMALKLDQEIVCGRPVKISCAVPKNEVKSQSRSHPTRTEVPKSNEAPAVKEAPAIEEAPASNEALTGNEVPTMGNKADNGGSTVSSGKLRRRTCYECGQKGHISSACPNKKTADTTNTNTN >EOY18976 pep chromosome:Theobroma_cacao_20110822:10:7165029:7168149:1 gene:TCM_043525 transcript:EOY18976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein MGFLNLLIVAVMPVLKIILITAIGLLLALDRLKLLGPEANHHMNNIVFYVFSPALAASSLAETVTFKSLTTLWFMPVNLLLTFVLGSALAWLLIKITRTPKHLQGIVIGCCSAGNLGNLPLIMVPAVCEEPSNPFGDPSVCSTNAKPYASLSLSIGAIFIWSYVYGIMRMYANKSNESSTTGINSPIDTSETVPGGCTQAVLPSSNCNTSYLPRTISGERSTKMSGLKKIMPRVKMISGKIDLKKVFAPTAVAAIVGFIIGAVSPIRKLMIGKSAPLRVIDSSAYILGEATIPCMTLIMGANLLIGLKGSDVSRTVIIGIIAVRNIFLPLSGIGVVKAAHHFGLVGSDSLYQFVLMLQYAVPPAMAIGTMTQFFQLGQGETSMIMLWTYVIAAVTLTLWSTLFMWLLA >EOY18314 pep chromosome:Theobroma_cacao_20110822:10:2917455:2919315:1 gene:TCM_042908 transcript:EOY18314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MCLNIFFYFLHIFVIWLPHMDESLRRAAREGNIVELYASIQRDGNVLRQIDEMEFVDTPLHIAAAEGCFDFAMEVMILKPSFARKLNQEGLSPIHLAVEKGHKELALHLMQNDKNLVRVKGRLGETPLHYAVTGAENLDLLARFLEACPECIRDMATTNQTALHIATRNDNLEALELLCRMLRKSDYCEDVVNQKDRNGDTALHVATRNNQPKMLKLLLKCKADKLATNQAGRTALAIACELNNRESIKILRGWRSAKVLSYQYKIRKQMVKKVTKASEVIFQGLDSISSEDRNALLVILGLLLTATYQASISPPGSVWQGDGSSNSDSTVGKSVMDQVNFLLFYIPACTVFIVAFS >EOY19087 pep chromosome:Theobroma_cacao_20110822:10:9834526:9846117:1 gene:TCM_043783 transcript:EOY19087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 family protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >EOY20083 pep chromosome:Theobroma_cacao_20110822:10:24735637:24743755:1 gene:TCM_045482 transcript:EOY20083 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein MEDFSDMLLSTLGRRNRNNEDRISKLPDDLLFKIMSFLNTKQAVQTCVLSKRWKPLWQSLPYLDFNYNTSPFKQKTVQLDDADREQVGIEMLSLSNFISQVLFRRCPTDLVKVCVQSVNYDQHASVLAGLMCYAVKHNVQHLTFQLHRGGGGRPFLLPQSLYTCQSLTSLELKGNDWMAVKLPTLLACPALKSLHLSHFSMAGPNFEPTAFSGCPNLQTLQLFDIWIGSENLCINAVNLRSLLLSFVLHGEGKVEICAPRLTTFKYSGIPPIVCLKENLAFLDDVYFDIKAYSLKRNEEESVIRLINTFKEFRQAKSLTLSTSTVKILAKFPSLLDQNRLPFANLKHLKIKVKKWQSKRFEMPACILNCFLNNSTILKIC >EOY19316 pep chromosome:Theobroma_cacao_20110822:10:16361399:16373660:1 gene:TCM_044382 transcript:EOY19316 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: nucleoporin-related (TAIR:AT5G20200.1); Has 61042 Blast hits to 31782 proteins in 2093 species: Archae - 202; Bacteria - 16480; Metazoa - 16017; Fungi - 12552; Plants - 1653; Viruses - 629; Other Eukaryote /.../509 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G10650) TAIR;Acc:AT3G10650] MATAREGSNPYDGGFGAGGKFRKRPFRRTTQTTPYDRPPTAIRNPNASGDRNGWLSKLLDPAQRLITSSAHRLFASVFRKRLPPPPPHPPEAPKPETNEEVRENPPEAASTDSPVLEVANTGCDNSSNHTDGDGVAELEEILKQKTFTRSEIDRLTTLLHSRTVDIPGGNEEKRSDVRSVVLHDRKEEFPKTPVRENGTENRLISTPVVTSTVLDEDVASPAELAKAYMGSRPSKVSISTLALHNQVPRGDLALLSNKNFHSKSPTMSLVPRPSGHVGNLGNGFVTPRSRGRSAVYSMARTPYSRVNSAAVLKGAGTASDAFGGPLSSSQSAWKQNRISGSRQGALKRGSSVLDNDIGSVGPIRRIRQKSNLLSSKNLSLPASGGPLSAYEAGSSSAGLDTQHHVSLRETPALMGEVRQSITDALAENGDNSTPGTHFTPVPSKSSEMASKILQQLDKLVSPREKSPTKLSPSMLHGQALKSLENVDSSKFLENMHAIGKLGGSHAALPDVRDSTSHKQDKVEENGSTKLVDFPEKSGPAVNGVDTDSLMKDNVPHVKAADSAVIKSVVQLPQQKRWAFQMSAHEDYLDLDEDDYINGAASATFVEGREKLDNCVMGSKGAAAEAILVEKPSSLSEVKPISSLVLSKKPDLGTSDGSVVEKNDGITFPVAQVATSSVQAILSDTQSTLTANKDVASKESNATSPMLSFGEKSVPAKQPHAAAPTFGFASTNVGEVSSVSGSSGVKIVTSSDQKLENSISFTSTAPSTTNSSSDKSDKENTLNGVFFRTVETAISSAVSTSTSAGSIFKFGASADSSTLNNGSLASSPFSFCSTTPSLVSSNGQSSSISSTNCTSFTTNSNTAAAATISTTANATISSTSSPSMPASVPSFMAAPVFKFSSSGDPSTSASTLSATSGEATEAKTQSTSFGNVAIVPFGSTSAFTSSGSSIFGGKSEVTCTVSSTSGGTPAAVTSSVSSSFSGTSSKITNSGSGFFSSTFSTITSTGNGIFGDTTATKGITSTGNGIFGDTTATKGPGSSVFGGTCLSKASTGSSSFSTTSAITSAGSSIFGFSAPAASTATIQTQGSNPFNAVNTQASAAGTGIATSTQSMPIQFASSASSPSFGLAGNTTISSGSSVFGSSVSEAKPFGSGATFGMSSSSSEANSLSSSSGIASGTFGSNWQAPKAPLFGSTFSPSSSSGFSFGSSASITAPSNAPTIFGSSTGASSSSMFSFTSAAAATSSQPVFGNTSPGLVFGSTSSSNNDQMEDSMAEDTVQASPLTIATFGQQPISPPTSGFIFGASNPSGANSFQFGGQPSMATSQNSSPFQASGSLEFGGSGSFSLGTGGSDKSARKFVKVRKQRKKRRTAYDCCFRWKPYGIHWVVAEGLSGPGSALVRSFTVSLLSLEKLVTILYGSC >EOY18693 pep chromosome:Theobroma_cacao_20110822:10:4551521:4554411:-1 gene:TCM_043195 transcript:EOY18693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMFHPPAPGVVFNPSDRVIVSLFLPKLMTGEGIGELSYLIEFCDLYCMKPAALFDVNKGFLPFVKPNQRFVFTYRQKISQKNANGKRPRRVLDSRAAGDGGFWRSSTGEKPILDEQGEHVIGYVNTLNFYEYKGEKRSSKDANKTSWLMHEYRLPGENFQEWVICKIKDTACLEKDECVAFWVKELFGNLLLGNKECPPSALMQEAVFSGNLPLPDDGQCDPLIDNYVDGRLQQSENLECQSSILVEGSESLVNGFGEMESNGLVGEGYSLMDQLRDEDPFNEVDQLLGISNNDQISNLDELVIDKSVDKLLREYEALIDGDCLKELDQLHGISNNNEISKSVEPVTDSNVDELLGGYEELNDDESFKKLDQL >EOY18106 pep chromosome:Theobroma_cacao_20110822:10:2085285:2085923:1 gene:TCM_042745 transcript:EOY18106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASKNGLLSSFSHSLYTNKCNWIFSVTIDNLNFIILQILLQELHIHIQQLAKFCLNSSTLIKLQNLPFLR >EOY19396 pep chromosome:Theobroma_cacao_20110822:10:17243035:17244171:-1 gene:TCM_044493 transcript:EOY19396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLSLMANPTVTGYLVGKLSTKYSIGIFIGKGSDLSAKFLVNNENFSLIISDKVNPLTIPLVCYQWIVDGVGILHDDVCRRWLGIHRNSDYSDEENLEVPEGNNLAAMNSNKLLSLPTNVIKVSKLLTNCQYPLGLDVRGRGGHATTIPQVDSEVLVGASNLKVGTLEPNP >EOY18766 pep chromosome:Theobroma_cacao_20110822:10:4841129:4842117:1 gene:TCM_043263 transcript:EOY18766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMDRIQPYGYVCHGCKVAGRYIEHCPTNDDPNYDFRRVKPTTASSKASASSSSSSFGDNMIPLGFYWPLCKKVMKDAALTKKIVAADILPSMTLRDTINRNLNQSGSRNSDNGGASFSIQDTASKADQKTEIIVPPQHTSEKVKQPTPKGSVPACGKRRATEEGNFGCDGTEKEEEGLHGPKLPTVANLPICWS >EOY19102 pep chromosome:Theobroma_cacao_20110822:10:10273587:10281630:1 gene:TCM_043834 transcript:EOY19102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTNLANIRYAFRGIGLARVYKIRWLDYKHVLIHLSNKQDFNRIWMKQHWFIANQKIRVFKWSPEFEAEKESPIILVWISFPNLKTHLYKKSTLVLIAKIVGKPLFVDEATARGSRSSVARVYIEYDCRNQLVDQIKDPKDSNVSVLNRFHAISEDDNGEQNVAKGGMNDFIYLQGEKDQNEKCLGMRDMELTAPVRDASLGVADGMLVHEPVHTDAEGSGEHVPIEGQGASQSRGSAGHNRGETSTTCSRQRMEGHVNNPSHMESTSECPIFAPFVYAKCSRTEKIPLWTVLRSLLADIRVPWLVGVDFNVILNRAERLYGASPHVGSMDDFAVTLLDCGLVDGGFVGNTYTWTNSHMFQHLDRIDLFEAVVDFFHGAEMPRGMISDNILLVQELMGRLDKKVRGGNLVIKLDMMKAYDHMECDFLYKMLEQFGFSPQWINIIQRCISNCWFSVLINGTTTGYFKSEIRNMKLCPDNALTIRRVVSLRIEIWQVPDSARLTLIRSVLSFLPIYLLQVLKPLACVIEKIEILFNNFMWGDFTEGKRIHWTPWQKITWPTFEGGLDIRNISNVVEAFGMKIWWRFKSCNSLWARFMRVKYCVGQVPRYVKPRIHDSQTWKRMLVSCLVTEQHMRWRIEFSINNGALTP >EOY17656 pep chromosome:Theobroma_cacao_20110822:10:468996:472465:-1 gene:TCM_042425 transcript:EOY17656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neuronal PAS domain-containing protein 4 MASCCNPDMFTWIQNLPPITQWKTSSMSVCICSSSSSSHPSLKLSVAKNPHSSTLSISIVADFSVSIPLWASKPLAINPKSSKLLDEEAISCLVLNFIQDVLSYGSNKNSFLIRFPKLESVSGLKGIFNLSFLTLAFLICIYEAPVDLRSACLNTLKHQLACSQLREASKSLMRLLGSNLEEQWMRSINLAITNWIVELQATHRTLMKTPSPLFSYAISTFGLWKVQLYCPVIAMDIESSSNASVDDQRLLFSLHYHQLEGVIQVNYKVIVQEKWIDVMLNIDNIRCNIIRLVNETLMNERGVGADDKHFPSRVSLQITPTLQSNILSVSVSKSTENPAREIEIEKSIETSFDPPNSFLGLKVSVGETTTMSMKPWKFEQSVNGYSGTLNWFLHDSVDGREVVSSKPSKLALINPKAWFKDRYSNVHRPFTRQGGVIFAGDEYGEKVWWKVDKSAMGKTMEWEIRGWIWLTYWPNKHRTFYNETRRLEFREILHLNIA >EOY19248 pep chromosome:Theobroma_cacao_20110822:10:15171465:15434749:1 gene:TCM_044254 transcript:EOY19248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLHTEFPTEDARATMQIPDELQGYVEGERPTYAKKWEDVDFILAPCNVGGHWVVVKIYLVRWTIKVVDSARTLDAKDNGVRAGQMTPLTTMMPFICHQVGYFNNIPYFAIKKDRN >EOY19482 pep chromosome:Theobroma_cacao_20110822:10:18080824:18081566:1 gene:TCM_044598 transcript:EOY19482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGMLQAAAAISASLQSLNGTASVAADDMEVEFVMGSNVNRMLAGTPHPIDASKTPGKPAGKSLPRKNSPKKNQPCSIYTRDCHRYK >EOY17684 pep chromosome:Theobroma_cacao_20110822:10:576302:577171:-1 gene:TCM_042447 transcript:EOY17684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral organ boundaries domain family protein MGGNSPCASCKLLRRRCAKDCIFAPYFPSDDPHKFAIVHKVFGASNVSKMLQELPVQQRADAVSSLVYEANARVRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEILCIQMQQEPMAPTPQLEQDDKSFLLHNNVVLPHHHQYLNFANSSSNVIQDSLKRESIFGDMVS >EOY19028 pep chromosome:Theobroma_cacao_20110822:10:8553443:8556007:1 gene:TCM_043656 transcript:EOY19028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MALLLLLVKITGTSVLMAFIGMLIHLFDSMILNPARLRAKLRKQGIRGPPPTLLLGNTLDIKKTQSKLSMLPQEGEQVITHNSSSTVFPYFEQWREQHGPTFLFSLGNIQILHVTDPDLVKEIITCTSMDLGNPTYQQKERGPLLGKGILTSNGALWAHQRKIIAPELYMDKVKGMTTLMADCSVMVVNEWKSKIDGEGGIADIKVDDYLRRFTRDVISRACFGSNYSQGEEIFFKIRALQEAMSKKVLSNGFPGMRYLPTKSNREIWRLEKEVRALILKAVYKTKEEKSKEDLLQMILKGAKNSDLGPDATDDFIVDNCKNIYFAGYETTAITAAWTLLLLALNPDWQDKVRAEVLEICGGKLPDADMILTPSIPELDTYGERQSHVLKMFLNGCQLTMVISETLRLYPPGAIISREALEDMKFGDIHVPKGVNIWLLPATLHQDPEIWGPDADKFNPERFSNGVSGACKFPHVYLPFGFGPHTCLGQHFALAELKLLLALALSNFTFSPSPKYRHCPSLSLIIEPKHGVNLIVRRL >EOY17946 pep chromosome:Theobroma_cacao_20110822:10:1549578:1551191:1 gene:TCM_046951 transcript:EOY17946 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyltransferase family 1 protein, putative MEIFEAMNMRTKPLLKDMLVEMIPPVDCIIGDGILEFVLDVANELGIPIINCRTIGACFLWSNYSIPDMIEAGELPIKGSEDMDRPITAVPGMEKFLRCRDLPSFCQASNMSDSTLLRYGTVTRKSLTASGVILNTFEELEGPMLSQIRSKCPNVYTIGPLNEHFKTRMSLENGVSSQSSNYFWEVNRSCMSWLDRQPNQSVVYVSLVALL >EOY20058 pep chromosome:Theobroma_cacao_20110822:10:24607451:24609248:1 gene:TCM_045457 transcript:EOY20058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFHKVHTCTVYGLQGRFVTASAKIIGELMSHKLQANGVALRSKDIIDEIRVQWGLECLYGKAWQAKKYAERLVFGPSEESFQLLPSYFYMLEQKNLDTVTIMATGKFKSVLFVAVCKDANECIYPVAFGIGHVEEEDSRTWFLRKLHDAVGCPENTMFISDQHLDIKKIIQNVYPEAHHSLCGYH >EOY17850 pep chromosome:Theobroma_cacao_20110822:10:1167481:1171157:-1 gene:TCM_042563 transcript:EOY17850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type MFHSRVLPLLMHGMGGCFLVAKLCPFGEDSESQCYSFQPSDLPCVPLMDEVSSFPAGANESFSVILPRPGQPILKPFLDVREMGGHGQQLNQLVAQFNSSPKIIFFEENGVPEICIGLGERKTDRIALLSIKDQLVGAYPGALDSWNASLHFCEWQGVTCGSRHQRVTALDLDGLKLGNIPKEVGYLRRLRVFELFRNNLHGTIPVELANCSNLLTIRLSHNNLPGEVPFQLGDLLKLIVLSLGANNLIGCIPSSLGNLSSLRDLSLSYNHLEGNIPDALGGAINLRNLLLAANSLTGTIPRSISNRSNLEIFDIGWNGIGGSVPDNLGNLKNLQQFMIAGNYLGNGNTGDLDFLSSLSNCSLLQILDLEANRLGGPLPESIGNLSIQLNMLFMGWNQISGNIPEGIGNLVSLTLLHLPRNALAGTLPTSLGKLRNLERLFLGLNNFSGEIPSFIGNLSHSFELQLHNNSFEKKIPLVLRNCKSMQILFLSGNTLGGSIPDQLFGAFTSLTQVDMSSSSVTGPLPSDFDNLKNLEELFIYGNKLSDEIPEILGQCFGLRSLDMVGNFFREAKEKLVAVKVLNLQNRGAAKSFVAECKALGKVRHRNVLKIITSCSSIDYQGKDFKALVFEFIPNWSLDSWLHEQHESRYLNFVQSDFGLAKLLSSDTNNMGNDQTGSSMMKGTIGYIPPEYGMGGAVSPEGDIYGYGTLLLEMITGRRPTDGMFHGGLSLHNFCKMALPERLTEILDFRLLEQISENNERLTS >EOY18277 pep chromosome:Theobroma_cacao_20110822:10:2677580:2679931:-1 gene:TCM_042871 transcript:EOY18277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MELQHFSHKHPLLFNEERSHESEKQAYCSGCGELVSGPTFSCAECGFYLDKNCAEAPSEVNHCFHRDHSLQLLNVAERRFGELEDIGYKDPLISSENGYQELKEAECFACWKPLLDSAYLSLDFGFFIHKKCVDLPIEISHLFHCQHPLILQFNSKRLPCQICQRTQPRGFVYCCSPCQFALHIACAELPIKINHLCHRIHPLILQFNPESLPCQICQETQGQGFVYCCSICKFALHVKCVSPPPSIKGEIHEHPFTLFWRQVSFICDACGTIGDYVSYICSTCSFIVHKKCISLPHIIKLPRHHHPISHTFILGKLDIETWECKICPGEVNAQHGGYCCFDCNYIVHANCAKEDSSWYQFYEIDGTDEQLNEPSVFSVVKESKVGENVIPTEIKHLSHQHNLILGNADKDDDKFCVGCMLSISSSFYYCSQCDFFLHKSCAESPRKKHLWFHIHQRPCTLLISDLFFYCSTCSYEFNIGFAYECNVCEQYFCLRCALTSDTATCRGHEHLLTFYGKYEGQCSGCGGSLSMGYACKGCKFVVDYTCLRLPNKIRHKCDEHPLILTYHEDNIYSEYHYCDICEKKRNPNYWFYHCVICDNSIHPKCVIGRYSFMKLGHTYIKSYHPHPLTFTKKVYDYPECHQCDRPCLDLALECLDNECNYIVHWKC >EOY18398 pep chromosome:Theobroma_cacao_20110822:10:3316253:3317172:-1 gene:TCM_042993 transcript:EOY18398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVNPTRRRLAVLKRFPDIEIRICLIFRTAPSFSRGLQCFQHEGTEASGMKVFQPQNLFQKVFIFLASNRVVAVAEFSCFCWCWWDTRQRCPSGRPRRGSTCQYLNQSEHPPILIFWVVVGHSD >EOY19338 pep chromosome:Theobroma_cacao_20110822:10:16512192:16513820:1 gene:TCM_044408 transcript:EOY19338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITTTMRVRCENAHGDDGEVRCGSAHDDIALCGVGVHMMTLVMCGVGVYMSWVEPVVVYVLRHTIKRLGKGYVIALNVEN >EOY17644 pep chromosome:Theobroma_cacao_20110822:10:438982:444183:1 gene:TCM_042416 transcript:EOY17644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFEDNKELLVMEFAKLDQVMKEKALVEVVLRSYILINGRINEGDVECGFGNVCALVEESYWNDEDGFKEIFSKAWDASHSKHGSKGDLWWRPRLIKPAIKEWQSVNGEIDLCLEEEVTEAIFNCDGNKAPRPDGFNFNFFKAQWLMIKGEVYFMSLFEMPKGVKEELDRIQRWFLWGCSKEIGIQFANLETVKLIFSKKVSWGAANLAKVMAIREAMIIFAASSWTNLAGIILEIDSRNTIQHIPRVGNELADNLGKLGVARMNDLLQFSS >EOY19763 pep chromosome:Theobroma_cacao_20110822:10:22250521:22400503:1 gene:TCM_045091 transcript:EOY19763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVVPCLLMPWMNKTHDFVSHSNALKIKVGKVSRQHVSVCLGTSGFLDPFFKKKNHLIEHP >EOY20109 pep chromosome:Theobroma_cacao_20110822:10:24899128:24900121:1 gene:TCM_045504 transcript:EOY20109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome p450 79a2, putative MATMLASCGFLLTALVPWGDQWKKMRKGVASNIIKPARLSWLLHKRTQEADNLVRFIYNQCINPENGSSNGSVINLRLAVRQCIGNDIRKMMFNKRYFGNGKEDGGLDTKKKNT >EOY19770 pep chromosome:Theobroma_cacao_20110822:10:22401826:22404066:-1 gene:TCM_045111 transcript:EOY19770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein MKTHLVSLVFLFFSLAEGLHPKCDTQDHGSNLQVFHIYSPCSPFKPSKPLSWEEDLLQTQAKDQARLQYLSSLVAKKSVVPIASGRQIVQSPTYIVRAKIGTPPQTMLMAMDTSNDAAWLPCSGCLGCSSSVFDNAKSTTFKALGCQAGQCKQVPNPTCDGSACIFNMTYGGSSIAANLSQDTITLATDPVPSYTFGCLQKTTGNSVPPQGLLGLGRGPLSLLSQTQNWYQSTFSYCLPSFRSLNFSGSLRLGPVGQPLRIKYTPLLKNPRRPSLYFVNLIGIRVGRKIVDIPPSDLAFNPTTGSGTIIDSGTVFTRLVATAYVAVRDEFRRRVRVTNVTSLGGFDTCYTGPIVAPTITFMFAGMNVTLPQDNLLIHSTAGSITCLAMASAPENMNSVLNVIANMQQQNHRVLFDVPNSRLGVARELCT >EOY17838 pep chromosome:Theobroma_cacao_20110822:10:1049263:1049997:1 gene:TCM_047098 transcript:EOY17838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGAQGALPKEAKTATIYESVEGGENRTKMDLRAREDQGGIQVDKLEEKVEDPIGDAGPIFGSAKDDNNNNNQDLGVTGTA >EOY19312 pep chromosome:Theobroma_cacao_20110822:10:16311036:16314054:1 gene:TCM_044377 transcript:EOY19312 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB geranylgeranyl transferase beta subunit 1 MGELAVEKHVEYILAVEKRKDSFESVVMDHLRLNGAYWGLTTLDLLGKLDTVNADEVISWIMKCQHESGGFAGNIGHDPHILYTVSAVQVLALFNKLDVLDIDKVATYITGLQNEDGSFSGDMWGEVDTRFSYIAICCLSILRRLDKINVEKAVNYIVSCKNLDGGFGCTPGGESHAGQIFCCVGALAITGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKGKLFKFILDCQDVENGGISDRPDDAVDVFHTYFGVAGLSLLEYPGLKAIDPAYALPVDVVNRIFFSADN >EOY19598 pep chromosome:Theobroma_cacao_20110822:10:19151722:19155684:-1 gene:TCM_044742 transcript:EOY19598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 6, putative MGSPLYLSISISLLNLLLLFFLVSSVRPLCHPDERSALLQFKESFVINNSASSSPDAHAKTESWKLERESGDCCSWDGVECDNGTGHVTGLNLGSSYLYGSIDSSSSLFHLVHLQRLNLADNVFENSKVPSEVRNLSRLTSLDLSYSEFSGQIPSEILELSELELLDLSGNSLKLGKTGFSSLLEKLTKLQGLYLTDVRISSSLPNILANFSSLKALILSNCDLRGEFPTGIFELPALQFLSLRSNPKLTGYLPDIQSNHPLLKLSLANTSFLGQLPESFGNFKSLEFLDINNCHFSGKLPCSLGNLTKLNYLDLSFNSFWRPIPPSVGNLKQFMTLDFSSNNFSGEIPSSIANLTQLVYLSLANNNFDRGTLSWLGTQINLTYLDLTNTGLSGKIPSALKNLTQITTLYLWSNRLEGQIPPWIGNLTKLTEIKFQENILSGPIPESIFKLENLELLYLHDNQLNGILKLDSFLELKYLTRLQLSGNNLSLLNTVGINATAPKFKILGLALCNLSEFPDFLRSQDELGFLELTGNNIHGQIPKWFLRVGKETLQYLNLGFNFLTRFEELPVVLPWTSLEVFKLRSNMFRGPLPHPPPSIVNYAFSNNSLSGEIPPILCNLSFLVALDLSDNNLTGMLPRCLVNQSDYLKVLNLRNNRFACAIPSTYTKSCGLRMMDLSQNQLKGRIPRSLAHCTKLEFLNLGNNLINDTFPSWLGTLPELKVLILRANGLHGVIGKPQAKSEFSNLQIIDLSDNSLRGKLPSEYFSIWVAMKLANTNSLSPYMNAHTSFQTSGYEWSNYDNLKVILANKGRDLVYEYLPDSMSAIDLSSNKFQGEIPEAIGDLKLTRVLNLSNNNITGHIPSSLGEITNLESLDLSRNKLLGEIPQHLANINFLEVFKVSYNNLEGPIPRGAQFDTFNNDSYEGNSRLCGYPLLKNCGNPKVLQPPPPLTSKEDEGISFKFGWKIVLTGYGVGLIIGLSHGYNFTTRKHEWFVKVVRKWQRFV >EOY20016 pep chromosome:Theobroma_cacao_20110822:10:24392454:24404498:-1 gene:TCM_045418 transcript:EOY20016 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MPWEIVLAGVVGKALLSASLRLLFDKMASRKVLDFFREKKLDHHSLQTLKFKLLAVNSVLNDAEEQQHIMNLSVQEWLDELKDALYEAEDLFAEITSEVVKSDMEAGYQTPKEQVEALNTALRKIVDRVICIAEEKDFLNLKESPSDKSLPRFPTTSLVNESEECFRNNEKELIVNSLLSDSAMNGNGIPVIAIAGMGGIGKTTLAQFVYNDERVKTHFTLRAWAYVSERSSDVFKVTETIYESAVLMHSNAKDLNVLQVTLGRVLMGRKFLLVLDDLWNDSLTDWDLLQRPFHFGAGGSKIIVTTRNQSVSSTMHSVLVRSLTPLPYEDCWSIFAKHAFGSEYLTDGDSALESIGKKIVEKCQGLPLAAKALGGLLHSKVEPKEWDDVLNSKLWDLPSGKNHILPALKLSYYHLPSHLKQCFAYCSIFPKGHEIEKGDLVRLWIAEGLVQQQRGTRRTVEVGEQYFDELLCRSFFSRSDHDCSRFKMHDLLNDLAQQIAGEFTFKLEDESLPLNPERVRHLSCIPNRDEAPDKFEAFYEVFNRLRTFLPLRSSPNSSRVPLTPIISNSLFPGSDDKRNSDDRRRNLLTETDDRKKNLFPESSRLRVLSLSPYHITNLPESIGNLKHLRYLDLSHTEIRSLHDRVCSLYNLETLKLSSCFQLTQLPQDMRNLTKLEHLDIKGSKVKEMPPQFGNLRSLQSLTTFVVSKNTSASRISELKKLSLLRGTLSIEGLQNVFQTADASVANLEGKKYLDELIFQWAPGTHLAHYETEVLDKLRPHEYLKKLRIRHFGGSKLPDWLGDAIFSQMVSLHLVDCENCSSLPPLGQLPCLQELYIVRMRRLRCVGSEFYGSNLKPFRSLEILQFETMPYWQEWLPSSNEGGFPSLQELTVYNCSKLAGNLPNHLPSLVKLHIIECRKLKFFHPNGRNQYSKLEHLHIRSSCCDLETFSFDCFTRLVKLKLQGCIFLRSIELQSEHEHLTFLRKLKIEDCPILEIFSGRGLLSLQKLKISGCSNLSSFGEAGLPTNLQSFCFEQCRRLPPRDAWGLQNMASLIFFEFDGVKYHGE >EOY19113 pep chromosome:Theobroma_cacao_20110822:10:10502266:10505031:-1 gene:TCM_043850 transcript:EOY19113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPSSPRDSLESSESLESEDSFDIWEGANKLLEEWYKEKMKEAIAKGDIRPRKLSTVRHFPPGCGRGAALVSREECIRIQQAWIKDKMGKSQEIEEDPSMCSDQGDSDPNDT >EOY19150 pep chromosome:Theobroma_cacao_20110822:10:11126849:11129776:1 gene:TCM_043923 transcript:EOY19150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MEKEKESERVEEIQHFSHPHSLAVFNDEEQSEKSKEAHCTACLELLLGCPSFGCGECKFYLHKKCAEAPSKISGSPFHRKHPTLTLRLSSISCDLCKQTRKMFKYCCTSCSAALDIKCALLLHNMVEDFREFKYIGHEHPLTFFENLKDELKRVDCHWCQKPVIDSVYVCLNCRFYLHKQCVRLPTQLYHPCHRKHLLYLQDDSLVCRLCQRDHWSLFYQCLPCKLDIDIECVRSRPGSIIEYNSDHEHSFTLLVRNDPFICDACGTEGNYFSRICSTCHIMIHEKCISLPRIIKITRHHHYIFHNYFFQKQDLESHGCGICLSEVKIEYGSYKCFKQDCNYVVHVNCALEMEMYDIIDQVNDEDEESIENLAVNSSITCVIEMNQEGEAAKVKHFSHDHDLTLGNKIKEDDDQRCDACMLSISTSFYYCSQCNFLLHKTCAELPRKKHHWFHRSLTTLNLVDIYKCDRCRRLCSGFVYKDDEEYAFCLRCVGTSHTLICQGHEHFLFFDFKFRGQCSGCGATCENGGYKCKDCSTFALDVACITLPQATRYKGDKHFLKLGFHEEHDDPEQYYCDICEEKRNPNYWFYHCTVCNNSAHPKCALGRYPYMKIKIGITFPYQHHRHYHPLISVKKSYGTCSYCHQLCQDVALECTVCTPNLTIHYDCWDRYSLRVC >EOY19968 pep chromosome:Theobroma_cacao_20110822:10:24157091:24159788:-1 gene:TCM_045371 transcript:EOY19968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLVRNLAKIPRKSRTPSVKGSVESYPQFMTAAWIMHRRLHELLNLTALRRMRRFNAPDYTTKGPHAFFSKSSGRGGLRGRMAEPESSQSTSERRTGTSFGDTGGDYPEVPTATLEEIVVGLQGFGCQPYEEIDRGNVMVTLGDFMKLKPPSFSGAKSTEDPQVFLDEMDKICTALGCSSRQVVELTSFRLTEASGMTVSDYDIQFTQLSRYASYMVQTERERIKRFIKRLHRPIYRILVSRRFTSYPEVVDAARKIEAGCTEVGVERERSKRNQGEGSFRYKDPSRSKDVNIVDYQVKRLRSKGIVLVKVIWQNHSVEEAT >EOY18121 pep chromosome:Theobroma_cacao_20110822:10:2118680:2122446:-1 gene:TCM_042752 transcript:EOY18121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein MRLKQGFRLFGHQRTKSSGEASAMITTKMSMLQHVCSVPLTRAIRPRPFTVSGAATAVTPSRNLTVSFPSSSSSLRTPHVDPRVLLGMSEQDLQQLAVDFGQQRYRGKQLHHLIYKRKAKEIQDFSHLPQAFRNDLMEAGWTIGRSPIYHTVTAADGTVKLLIKLEDNRLIETVGIPVEDEKGSMRLTACVSSQVGCPLRCSFCATGKGGYSRNLRRHEIIEQVYDPRLCEQVLAIEDIFKRRVTNVVFMGMGEPMLNLKSVLDAHRCLNKDVQIGQRMITISTVGVPNTIKKLASHKLQSTLAVSLHAPNQELREMIVPSAKSYPLDAIMKDCRDYFVETSRRVSFEYALLAGVNDSVEQAVELAELLHEWGRNYHVNLIPFNPIEGSEYRRPYKKAVLAFAGALESRKVTVSIRQTRGLDASAACGQLRNNFQKNPLLTESDSEQSQSNVAVAC >EOY19827 pep chromosome:Theobroma_cacao_20110822:10:22970369:22972402:1 gene:TCM_045187 transcript:EOY19827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNFVNDFIVIKESSRDYTPISLVVSIYKIIAKVLENKMNKIIREVVENQQFAFVVRRQLMDCALSANEAVDIMREDHEGGVFFKVNFAKAYDTVSWGFLDFILSKIRRKIGLRQGCPLTLFLFNCVVEAFSVKIRKVLSLRLCKRIEVTFFREEETKVSNPLFGKTLRRPSCKKERTGEDKGVVKEGISFILGNGENLRFWTKVWTRRGY >EOY18177 pep chromosome:Theobroma_cacao_20110822:10:2280267:2282934:1 gene:TCM_042791 transcript:EOY18177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVQIFFLIFPCNFSPPSFCSLTSLFHLFLCLDMLIILHFSRALTMAVFGKPVFWQFLD >EOY18671 pep chromosome:Theobroma_cacao_20110822:10:4330286:4335278:1 gene:TCM_043164 transcript:EOY18671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein MACIMRLGSKSEAFHLDGQTWLCSTGLSSDVIVEVGEMSFHLHKFPLLSRSRVIENLIKDYSGGDEKKCVLRFHDLPGGAKTFLLVAKFCYGIRFELTALTIVSLRCAAEYLQMSEDHGEGNLITQTENFLNEIFGNWTDSIKALETCEEVLPYAQELHIVSRCINSLAMKACADLSLFGWPMSGSGTAAQSPEDTVLWNGISAAVKPPPVSEDWWYEDVSVLRLSLYKRLILAVESSGIKPERIAGSLVHYAKKHLPLLGRQSSFQNWDHAASGSTVSALCDADQRNLLEEIVALIPNQSGATPINFLLRLLRTSMILHASSSCQEKLEKQIGAQLDQAALEDLLIPNMGYSVETLYDIDCVQRILDHFVLLDHEAVDSGSNYIVDEGQLMGGSHPMTPMTLVANLVDGYLAEVAPDVNLKLPKFQSLAAVIPDYARPLDDGIYRAIDIYLKAHPWLTDSEREQICRLMNCQKLSLEASTHATQNERLPLRVIVQVLFFEQLRLRTSIAGWFFVSDNLGNSQNPSGNLEIPRNNASAHVGTTQDHFLAVDDIMERVSELEKECLSMKEELEKLVKTKGGWNIFLKKFSFKSKSKSCDPKTAKSSDSKKSKPYDSNTAATASFTNGRGNHNKGESGLQVLKEN >EOY17906 pep chromosome:Theobroma_cacao_20110822:10:1413947:1417037:-1 gene:TCM_042607 transcript:EOY17906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sedoheptulose-bisphosphatase METGVTCYARGIVLPSVSSKHSTALVSPPSISPPFSYRSLKTSSLLGESLRVVPRSSLKVSKTKSSSFVTRCEIGDSLEEFLTKATPDKGLIRLMMCMGEALRTIAFKVRTASCGGTACVNSFGDEQLAVDLLANKLLFEALTYSHFCKYACSEEVPELQDMGGPAEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGRDQVAAAMGIFGPRTTYVLALKDSPGTHEFLLLDEGKWQHVKDTTEIGEGKMFSPGNLRATSDNPEYDKLINYYVREKYTLRYTGGMVPDVNQIIVKEKGIFTNVASPSAKAKLRLLFEVAPLGFLIEKAGGYSSDGHRSVLDKLINNLDDRTQVAYGSKNEIIRFEETLNGSSRLKAGVPVGAAA >EOY17582 pep chromosome:Theobroma_cacao_20110822:10:254926:259340:-1 gene:TCM_046946 transcript:EOY17582 gene_biotype:protein_coding transcript_biotype:protein_coding description:NBS type disease resistance protein, putative MASPEVLNFFSGGVNDEMLKKLKITLLSLEAVLNDAEERQMKNHAVKNWLDELKDAVYDAEDILEEIASLSFLRELKEEPQNSWASRVLNVFSFPNPFTKKMDPKLEEILNRLEHITKQINILGLRNDVREKPSPKLPTTSLVDASEVCGRNDDKEALIKMLLSDDSSSQELGVISIVGMGGLGKTTLAQLLYNDSTVTDWFELKVWVCVTEEFDVCRVTRSIIEGLTSTTCDIKDLNLLQIQLSERLKGKKFLLVLDDVWNKKYVDWEALKRPLKSAAEDSKIIVTTRDEGVASVMRTTTSSYNLKQLSETDCWSLFTNHVCSGSGNTTIRPDLEAMGREIVKKCKGLPLAIKTLGGLLHMKVDAKEWEKILKSDIWEFSDDESDILPALRLSYHYLPSYLKPCFAFCSLFPKDYLFQKEELVLLWMGEGLLDYAKGNGKMLEEVGDNCFDELASRSFFQRSSGYSGTRFVMHDLINDLAEFVSGKFFARLEDDGSCEINKRTRHFSYLVKEYDTSKRFKALNEAKHLRTFLPINRYSWKGKLGFFSDIITHDLLPKLGCLRVLSLSSHRTIHMLENSIGNLKLLRYLDLSYTAIKKLPESVSALFHLQILFLSYCQDLVELPTKLGRLINLQHLDLRGTKLKEMPAHMGKLKDLYTLTTFVVGKHSGSSISELGELQHLHGTVSIWNLQNVGSSGDALKANLKGKKKLQKLVLSWCDEIEDYSKYEADVPLLQPSPDLEHLKIFGYGGTQFPEWVGDHSFSKIACLELSNCEHCLSLPALGHLRSLKNLSIRGFARVSAVGSEFYGNGSSMKSFESLEILRFEKMPEWQEWLCLGDENGTFSSLQELYIIDCPKLKGDLPKTLPLLRTFRIKNCEMLGSALSRAPDMHELELVNYSTLELMLQHCTRLEGLSIGRCASLKSLPEGRLPVSLKRLTIDNCESFPLCSFPNLNSLHFQHCTNIKSFSALEEPHQHLASLHSITIFDCPNFVSFPKGGLSAPNLITLCLFNCKNLKSLPEQMHSLLPSLDHLSVSNCPEIESFPEGGLPFNLKCLNIYNCNKLIAGQMGWGLHKLRCLTYFAMNGGDMEFFPDEQLLPSTITHLRIDGLPNLKTLDYKGLQHLTSIRRLEVVNCAKLQSMPPEGLPVSLSSLSIRLCPLLTKRCQKEKVHLLIGFFLLS >EOY19465 pep chromosome:Theobroma_cacao_20110822:10:17940936:17949889:1 gene:TCM_044587 transcript:EOY19465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIAHRKGKKLICKPYGVPVGILDNECQPRRRDDCHGPEGGSGILILLSNICTSSVYKSTTEKFCTNAQQSHQIKGGGPQAPRSALPIGADPIANPLRVTPVNIDKDWLHAVLAVSYAKELDQILSSGDRENEIDLNEEVYQEDMSISINITPSEELDNFTVLASGDYEEVNLLIEDEKDDMQGDEDEEDDMEGDKDEDEDEVEDEDKETFGAFAEQVDNETSTHDSRRSTFIDLGASVDDTSSRSKV >EOY17863 pep chromosome:Theobroma_cacao_20110822:10:1218821:1221084:1 gene:TCM_042570 transcript:EOY17863 gene_biotype:protein_coding transcript_biotype:protein_coding description:sugar beet HS1 PRO-1 2 MVDLDWKAKMVSSDIPNKSAKLSNKLQVSIPTSFRFSNVSSPFSTSASASSDYDYYLRLPELRKLWETKEFPGWQNECVLKPALHALEITFRFISIVLSDPRPYSNRREWTRRLESLTTSQIELIALLCEDENEDKTAAGTAPIVDLTSSNGVLARESSSTQVWKIHGEATVVSRTSEASLLPRLATWQKSKDVAQKILYSIECEMRRCPYTLGLGEPNLSGKPNLDYDAVCRPNELHELKKSPYDHIENHENATLYTTHHILESWIQSAKQVLKRIASRIDAESFEAAASDCYLMEKIWKLLAEIEDLHLLMDPDDFLHLKSQLLIKSVSETEAFCFRSKGLVEITRMSKELKHKVPFILGVEVDPKGGPRIQEAAMRLYAEKQEGSKVFLVQALQAIEGALKRFFYGFKQVLVVVMGSLEAKGNRVVASSDMGDSLSQIFLEPTYFPSLDAAKTFLGEFWSHEHGGSGWTRWRK >EOY17635 pep chromosome:Theobroma_cacao_20110822:10:396469:398440:-1 gene:TCM_042409 transcript:EOY17635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 2 MSIPATLKVLGVVPAFLLIVVIAWLADISVEFLMRYTHAGDSTTYAGVMRESFGRVGSVLVQICVMMTNLGCLIIYLIIIGDVLSGNQPEGSVHLGVLQQWFGIHWWNSRAFALLVTLVFILLPLVLFRRVESLRYSSAISVFLAVLFVAISSVMAILALLEGKTKSPRLLPELDNKTSFFDLFTAVPVIVTAFTFHFNVHPIGFEMDKPSDMISAVRISLVLCAAIYFTTGVFGYLLFGDSIMPDILVNFDQNSGSAVGYLLNDIVRLSYAFHLMLVFPLLNFSLRSNIDEFLFPNKTLLAKDNTRFVSLTLVLLAFSYLAAIAIPNIWYFFQFVGSTSVICLAFIFPGAIVLRYSCYLST >EOY17634 pep chromosome:Theobroma_cacao_20110822:10:396560:398545:-1 gene:TCM_042409 transcript:EOY17634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 2 MSPVSGVNVPLLPESKQPAKHASVPGAVFNVSTSIIGAGIMSIPATLKVLGVVPAFLLIVVIAWLADISVEFLMRYTHAGDSTTYAGVMRESFGRVGSVLVQICVMMTNLGCLIIYLIIIGDVLSGNQPEGSVHLGVLQQWFGIHWWNSRAFALLVTLVFILLPLVLFRRVESLRYSSAISVFLAVLFVAISSVMAILALLEGKTKSPRLLPELDNKTSFFDLFTAVPVIVTAFTFHFNVHPIGFEMDKPSDMISAVRISLVLCAAIYFTTGVFGYLLFGDSIMPDILVNFDQNSGSAVGYLLNDIVRLSYAFHLMLVFPLLNFSLRSNIDEFLFPNKTLLAKDNTRFVSLTLVLLAFSYLAAIAIPNIWYFFQFVGSTSVICLAFIFPGAIVLRDAHGISTTRDRILAAVMITLAVITSTIAISANIYSFFAENES >EOY18635 pep chromosome:Theobroma_cacao_20110822:10:4124955:4129538:-1 gene:TCM_043135 transcript:EOY18635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disulfide isomerase-like protein MSTTRFILLLIPLVIFHGDLQQVAAAFSSKAASHEEIIDFTDFTTQDLHVSKPPVVHGEKDVVVLTGKNFSSFIAENKYVMVLFYATWCGWSQKMVPEFEAAATLLKGDQVAFAKVDATRESELAVKYKVSGYPTVFLLAGRVCKPYDSKRTRNEIVSWVRSNIRVLNVTANDDAEPIFVTTFTEERAPLIFQNSLKQLWLFDTKSGSKEVKSAFEEASKALGQELLFVYAEISDDDMGRRLAAHFELTGDTPRIIARSRHGNKYVFNGDITASTIKSFGEDFLDGKLPYASNLIPEQILRLHTPSHASDPTSFPHIA >EOY19129 pep chromosome:Theobroma_cacao_20110822:10:10777671:10778493:1 gene:TCM_043884 transcript:EOY19129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor-like protein MASLSRSSLLVALSFAIFFINPSFAKPRPNVTDAEIITICSKTPAPSFCLKVLSNETLHANQTSLHGLAKISIELALASADETQVEISPLIKQAENYTVREGYTLCSQNYQEAVASLKDAKRLLSKHDYRGVRVQALAALEEAEACEHDLRIPAFNPSPLHDKNEEFKHYCNIIWAITNRLVDYY >EOY18291 pep chromosome:Theobroma_cacao_20110822:10:2829887:2833147:1 gene:TCM_042891 transcript:EOY18291 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MEVNAGDFISSLPDEILFHIISLLPFESAIQTIFLSTRWRLLWNLALVQHGSKEDVPTAVSGFLTNFDEHNPTRNTRRLRFHFGEDGVLSAIIAPNHKLHLDFSADNQEHPRQFGWQIELNPQNLSLQPTPSTFFVKTLCLISVNHLSSEAVSSMVSRFQLLENLKIIGCSGLESLSIDSDTKLLNLTIFDCPQLKSLHIRSYKLRNFWYRGQFPWFWPEFHFNLANAMLDSRQGPGYSTFRTIDFDRVLLTIKNSEIFTLCKWTFEALVCPSLSSFRAAFQFYRLKELWWIDNYSKGLYNSDALITFLELCPSLERLFVTIDHGSYVMPSTATCSKQVGKYTKLQHLKVVKLEGFANHEDEILFTERLQDVVAAKPVILTTLDGICFWNLTKVPSHEPQQPEETSLLSQEKYFYKFVPVKNINELCPTHAHMSL >EOY20076 pep chromosome:Theobroma_cacao_20110822:10:24691907:24695087:1 gene:TCM_045475 transcript:EOY20076 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein MSLTSEKRNKKNEDRMGKLPDDVLLKIMSFLNTKQAVQTCVLSKRWKSLWQSLPNLDFNFDTFPFQQEIDDEDEEELEMKMCSFSNFISQVLFRRCPTDLLKVCVQSLSYDRHASVLAGLICYAVKHNVQHVTFQLIGGCSFLMPKSLYTCHSLTSLGLKGNVWMPIKPPTLLACPALKYLRLSRISTAGPNFEPTAFSGCPNLETLELLDIRPGSKNLCINAVNLRSLVLSFALDFDCKVEIYAPRLTTFKDSGYVPMMCLRENLAFLDDVYFDIKASIFILNEEEHVIRLINAFNEFRHAKSLTLSTSTVKVLTKFPSMVDRNLLPFANLKHLNIKVKKWQSKGFEIPACILNYFLNRSTVLKICMDNQMAGYESPEDSD >EOY19709 pep chromosome:Theobroma_cacao_20110822:10:21515156:21518203:1 gene:TCM_044990 transcript:EOY19709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase family protein, putative MQRSFHSPKHRFSSDDRRGKSLHLIISPIQTAAMNLALILLLSLLSSITLCSSVLALIPIIGNYYPIERDALLQLRDSVASPKLHSNWTGPPCMNNQSRWGGIACSDGHVVRLVLDGIQLTGSLPPAFLQNISFLTKLSLRNNSAFGPLPSLTNLIQLEYVFLSHNLFTDSIPFDYIQLPNLKKIELQQNYLQGEIPPFNQQNLVAFNVSYNSLQGPIPQTDVLQRFPESSYQHNSGLCGNPLEKKCPVPPNPSITPTPSPPDSSKKSFEARNLALIVAASVLVPFVVIFVFLCYYKRVQRKETTKRKKAARILFHSESSASVKSTPSYLAQYIGIILGENAVQFDIFLPFSYFPPQTGDTSIELAEKKMSSSQSTEDPERTVELEFFDKNIPVFDLEDLLRASAEVLGKGKLSTTYKATLESGLVVAVKRIKSMNSLSKKEFVQQMQLLGKLRHENLAQIISFYNSKDEKLIIYEFVPNGNLFELLHENRGAGRVPLNWTTRLAIIKGVAMGMNFLHQYLSSHKVPHANLKSSNVLIHRQSQNYHSKVTDFGYYPLLPSRKSLERLAIARSPEFSQAKKLTHKADVYCFGIILLEIITGRIPGDEISEGNHDKRADGLSEWVTAVVNNDWSTDILDVEIVATREAHDEMLKFTELALECTDVAPEKRPKMSQVLRRIQEIEQRSSESH >EOY19782 pep chromosome:Theobroma_cacao_20110822:10:22474070:22476853:-1 gene:TCM_045120 transcript:EOY19782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Matrixin family protein MVARQALSFHDPSFFWLFFWFLSVVLSGNLRVLVFSVTQKTKSHPHFICDKLKYLEIFGYLSYSKNKTHANDDYFDDLLESAIKTYQLKFHSNSDGVLGAKTVSKMMMPRCGVADIINGPSRMRSGKQKGPHFYFFPGNLRWPKFQLTYAFLPGTREDAINPAGGAFQKWAANTQFTFSRIDKYTDADITVSFERGDHNDGHPFEGPHYGDLALATAPTGWIFRYNGECQWSESVTQDSFHLETVALHAIGHLLGLEHTSDEMALCTQASGLEYPRIWIRMLLKESRLYIRNDELSSEILYIYVDQA >EOY19966 pep chromosome:Theobroma_cacao_20110822:10:24150622:24152406:1 gene:TCM_045369 transcript:EOY19966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTNILRCSVGSLPFTYLGIPLGVSPRAASTWEPVVDQFRQRPLRASSKNWRSFNELSYGVEALILPSVNVVATVVDCCLCLYGVATKVV >EOY17841 pep chromosome:Theobroma_cacao_20110822:10:1064394:1065055:1 gene:TCM_042546 transcript:EOY17841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin (PH) domain superfamily protein MASLWRAATALTEKPNDYDGVEFWSNPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKESTITRGSRPRGVIPVASCLTVKGAEDILNKQYAFELSTRNETMYFIADSEKEKEDWINSIGRSIVQHSRSVTDSEIVDYDSKR >EOY19172 pep chromosome:Theobroma_cacao_20110822:10:11594734:11598860:1 gene:TCM_043968 transcript:EOY19172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFSSSILGTNPNKILSAAFATKQSWVQPIVSSIVIMCFMNLVLDFPQEIQVPVLPGYPLHPVVIGDGYRCACGATVEEHGFSYCNCGSQYYLSFQLRCANSLMRALKSKSHKHPLFYFGTERQRLFGNMDENYKHGLSICKKCHESLCDVPFFRCPFCDINFHLKCILLPHLIKSKYHIHPFIPKIFLKKMILESTIVIFVKKKAFRKLYLLL >EOY20233 pep chromosome:Theobroma_cacao_20110822:10:25302252:25303295:-1 gene:TCM_045597 transcript:EOY20233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 714, subfamily A, polypeptide 1, putative MKKQNVITNSEKEIESLNWEIVKERERKCIKAFSLENDLLHLILERPLNDQSLGKDSSKCFTVDNCNNMYFTGHKSTAVVSSWCLILLALHLEWQSHILTKVAQVCGEKLPDADSVSHMKIVTMVIQETLHLYPPVAFVSKEALEEI >EOY19446 pep chromosome:Theobroma_cacao_20110822:10:17762899:17764998:-1 gene:TCM_044571 transcript:EOY19446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEEKWLWIVRDIDFRAQGFVINPSWRNGRNLCIQLSWIISQREFPRLKLKRFFDEFEVVVDVFVLESASRKRYNFAFVRFSEERELRPAIKGGTKLYIAGRQLLVKEAYTASRRMPLLFFDKLVVDQSLPRTFKEVTKSERPVHVGSRPKVEPPVKDKEEDKA >EOY18962 pep chromosome:Theobroma_cacao_20110822:10:6780704:6798397:-1 gene:TCM_043494 transcript:EOY18962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYVMTCIYRVGFYTDGQSERTIQTLEDMLRACVIDFIGSWDRHLPLVEFAYNNNFQSSIGMAPYEALYGRKCRTPLCWDEVGERKLVSVELIELTNDKIKVIRERLKVAQDRQKSYADKQRKDLEFEIDDKVFLKVSPWKGVIRFAKRGKLNLRYIGPFRIIERIGPVAYRLELPPELDRIHNVFHVSMLKKYVPDPSHILETPPIELHDDLKFEVQLEESLPEKAKKSYPTSNDRGA >EOY17949 pep chromosome:Theobroma_cacao_20110822:10:1556957:1559450:-1 gene:TCM_042640 transcript:EOY17949 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MDYRKNQVVSSDVYDLIALIKILNSNCVTSFRDCLSKLLSSNVEDPIVCLVTDALWYFTQSVANGLKLPRIVLRTSNIFSFLVHKSMPSLHERGYLSVQDSRAENRVPEFSPLKFKDIHWVEASHLESVLQFLSILIPEVKASSGLIFNSNEDLEQEALTKCSLDFPIPTFSIGPFHKYFPASSCSSLLPQDQSCITWLDKQAPNSVIYVSFGSIAAMNEADFLEVAWGLANSKHHFLWVVRPGLVHGSEWLELLPNGFLEMLGGRGNIVKWAPQQEVLAHPSTGGFWTHCGWNSSLESLCEGVPMICQPSFGDQKVDARYISHVWKVGVHLEFKIERGEIEKAIKKLMVDAEGQEMRDRVKLLKEMMNLCLKRGGSSYKSLDNLVTYMLSL >EOY18872 pep chromosome:Theobroma_cacao_20110822:10:5634413:5635842:1 gene:TCM_043372 transcript:EOY18872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSSRVIGAAFLVLLFVDLAFAARSLKAVGKGGGGGGGGGGSGGGGGGGSGLGSGSGYGSGYGSGSGSGYGSGAYGSGGGGGGGGGGEGGGGGSGSGSGYGSGSGSGYGSGYGSGSGTGSGGGGGGGRGGGGGGGGGSGSGGGSGYGSGSGSGSGYGSGGGRGGGSGGGGGGGGGRGGGGGNGSGHGSGYGSGSGYGSGYGGGGDDDYLP >EOY19363 pep chromosome:Theobroma_cacao_20110822:10:16847457:16959987:-1 gene:TCM_044452 transcript:EOY19363 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein MTMLLQIVFPPTLLHTAASVFTLILMAILGLLETGGIHLQYSKFSNTTRMNVPSRVGMFLIYAPAFLAGLASFWLFPHGDLRFLFLKSAMTIHFFKRILEVLFVHKYSGVMGLESTIVILGTYFTLSSIMIYAQQLTQGLPEPSIDLEYPGIVLFLIGISGNFYHHYLLSKLRANGSKDYKIPRGGLFELVICPHYLFEILGFVGMSLISQTLFSFSASLGSAMYLTCRSYVSRRWYLSKFEDFPKQVKALIPYVF >EOY18735 pep chromosome:Theobroma_cacao_20110822:10:4709576:4716260:-1 gene:TCM_043234 transcript:EOY18735 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein MVRLRHLEIDKCNRLRYMPCGLGQLTCLTSLPMFKISKRDRHVGGLSELNRLNNLGGQLSIESLTNATLESGTAYLEEKLYLESLFLSWSWDEYSSQWDEEEVLESLRPHPNLKEPQVWMFHGAKFSNWLSSITKLVQIHLNFCKKLRNLPPMDDLPSLKDLDLDGLDALEHVSDIEIDTLTATTFFPSLKFLKISRCPNLKGWWRRRKEDDEDDEESTKASTTELLPHFPCFSTLTIWGCPNLTSLPLFPTLNDELSLRITSGRLLQQTTMMRTKGKITSAATTSSSTTLPLSNLKSLRLSGMENVESVLKEFLQNCCCTSLESLEIECCPELTSLQTEVHAFTSLQKLMIYECRNLMALPNWIPNLTSLQELAIESCDKLQSLPEGMSRLTNLRLLRIYDCSHLSERCKRDIGADWAEISHSSAGFLNATIFTFLASLCLFSFSVGVLTDPGYVPSSYLPDVEDSSSVSDEEPKKNGVQLKYCDKCAAYKPPRAHHCRVCRRCILRMDHHCLWINNCVGYCNYKAFFNLILYATIGSIHSTITIISCACQKDWNYSGRTPLKIFYVACGAMMLALSATLGTLLGWHIYLITHNMTTIEYYEGIRAAWLAKKSGLSYRHPFDLSVYKNTTLVLGPNMLRWLWPASISYLKDGVSFPTSRDI >EOY18204 pep chromosome:Theobroma_cacao_20110822:10:2379578:2389457:-1 gene:TCM_042815 transcript:EOY18204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C3H1 domain-containing protein, putative MEKIDELKAKAMASNSKNANPIKDIEASKTREEGELSDSYDDENQGCSTAQSSGTVAPPSGPTSAPSAVKSPPPNLAGNTVSGNNLASTVDIPSRQSVPPKSQKNIEKNRLPFESSNPSWYAPSGGSNNLVIRFTDDESGSDSEECSQQRTVEHKANSTTDGCRRPVTSSAPKSNKLGQTSRNITRVIPKKPLSRTFSSSMTKINGGANSRVAGPSAVDQGSRIRYLNPRNKNMASQDLGYDLGVGLNNSKLQDLRQQIALRESELKLKAAQQNKEAVSASTLNLDNGAGRKWTPTSVDAGPLDPKEPDKKRLKISESSFTHLNSDAQQEVHLLKSNLVSKDQQLETNSLRSRDKVDHSKKVVPSKAKSSIKWQKKDDKLVDVSSDDTSKVVKDGVDPQTNLHQSKRTSRQVDLSVLANQTASLTKISPGALPNNLSTAELNHPTKVGLHNPPSSSQSKATRELNLTKGSNGCEVISGDKTLEPYYSEKCQTSQNTANLWNCLGNVNVSGHCNVDIHSLDEIEEKLDKELEEAQEHRRICEIEERNALKAYRKARRALIEANARCRDLYRERELCSARFRSFIVDDSSLVWSSRQHEHSGIGLDTSDNVRENMDLVPMSSHRLQPDYDGFNEPAYDPNIQCINIAPRTMSHQHENGQNLGSEPCSEPDASTSEPFHHNSNNAANKVRSPCSPIISADEDEETSPMDHDSVQPSPEYQQKKQKSELTQKNANNESNNQDSLLLEATLRSELFARLGVRTSSKNIDSCDHGEPAVERGAENDVKSEKTQVSNGSLTLSEAEKKQLFDVSGPEKLNEVISVALVQNESQHHEKKNISEFFSAANSEDNGFSIGCHYSATSIIFSPSSILRSAIGHVRVMAAVTRQREDRFYRKEGAYVNFDEIQWSGQIANSLEEVVRGLSGKEMGSYMCTIAVDPFWPLCMYDIRGKCNNDECPFQHVKDFSKRDASQNADDDSDIAECQLGLMSCQQRSNGSTKPSKCHDVFISPTYIVSLDILKADPHPHESVVTWRNAHCWSKCFSICITLSSLLQKDLPTDEPFLDGSDGRIEVHGSWNRQSSYFQSRNGIVNKLNEALGMNAQSLEMALLILNQEINRMEGMKKALSLLSRALEADPASEILWIVYLLICYTHMTFVGKDDMFSYAVRNNEGSYELWLMYINSRKQLDDRLVAYEAALSALCRGASSSGKDEMHTSACILDLFLQMMDCLCISGNVEKAIQTIYRLLPSTTNSDGPHSPMFTDILTCLTISDKCVLWVSCIYLVIYRKLPDAVLQRLEREKELLPVEWPSVHLGDDEKKKVVQFLEMVVSCVDSYINIETFKSEIDLRSAQLFALNHIRCMVALDRSECSQNLLEKYIKLYPSCLELVLISARVQKNDSGNLAFTGFEEALCNWPKEAPGIQCIWNQYADYAQQNGKPDLVKKLMTRWYHSVWKVQYPESENLNAIDGGNSFVSLELGSTSRPEFLAPSSNQMDVMFGYLNQFLYKFLQNDCVEARSAIDLALRAATATGFNLCVKEHAMFLLNDESHEEGIPISWQLNTLNMYLDAARSFAVSEPLSRHFIGKIEKSRVQQLVRNILSPVLVDSYLVNLVLEVWHGPSLLPQNITEPKNLVDFVEAILGIAPSNYELVFSVSKMLSKGDSYSDISPGLLFWVGSTLVNAIFHAVPIPPEYVWVKAADILGNILGTETILKRYYKKALSVYPFSLKLWQCYHKVTKINGDGNAVVEAARERGIELD >EOY19249 pep chromosome:Theobroma_cacao_20110822:10:15178630:15182036:-1 gene:TCM_044257 transcript:EOY19249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type-b response regulator MEENSVVEVDDNAVHELRVLAIDAQIFSLQYLSVVLHKCNYKVKTTTSAAEALEILRANKYEFDTVLVDVDSATIKGFKLLEIIGLEMYLPVIMVTGDGSLENIVKGLIHGAVDYIIKPVGVQEIKNSLWHCVSLNNAYWGSQQSMDSQESSDHQSLKPLEHSNASITVEDDKHSTPLDDASSSCQKKRRLVWTPELDAKFVRAVQTLSKGSMVHPKRILAIMNEPGLNRAKVASHLQKYRMSLKKQQGFDIESVTRYSSTKRNNRRNGKAGGVNADPLAVPSFNPFHSLEDINSIFLDPIGGGNTVMSQHRIPYGGLLVDPQKPYQSVPYSCLDDPNFQTPDFKSFNYYNYCLGMNIQPHDLGSEPLPGTTSRSPYFHDVGSEASTPSSAPFYPSSNAFLAPETDVAFQSPFAVASAPNLFPVKRSWTTWQSTNLGNLLLPAARRLLSCGE >EOY17833 pep chromosome:Theobroma_cacao_20110822:10:1015941:1021173:1 gene:TCM_042539 transcript:EOY17833 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: proline-rich family protein (TAIR:AT3G09000.1); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryot /.../610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G40070) TAIR;Acc:AT2G40070] MNRSFRAQQETAMQAAALKQRQQLRASMMKEKEEELALFLEMRKREKEQSNLLLNHSSEDFDAPLGSKPGTSPIFNLSASTATPARKTVAAADDFLNSDNDKNDYDWLLTPPGTPLFPSLEMESQKSVMSQIGTPKPRPTALKSRLANPQPESAARGNLAPKQPALSPGLNSSTTGIRRPSSSGGPGSRPATPTGRHTLTGASKPTRSSTPTTRATLSSSKPSLSATKPVTSAAKPVTSATKPATRPVTSTTKPTVSVTKTASSATKPTVSARSSTPTRSTARSSTPTARPSIPSSRPVSRAATPTRRPSTPSSTSNVSVPPIKSSPSVTKPTPAASRNSVPSRGASPTVKSRPWKPSEMPGFSLDAPPNLRTSLPDRPLSATRGRPGAPSSRSSSVEPGPTGRPRRQSCSPSRGRLPNGSMLHVSGSSVPAVSRGYSKVSDNLSPVVIGTKMVERVINMRKLAPPKQDDKHSPHGNLSGKSSSPDSSGFGRTLSKKSLDMAIRHMDIRRSIPNNLRPLMMNIPASSMYSVRSGPTRGRTISVSDSPLATSSNASSELSVNNNGICLDGIEVEDDIGSERGGPSPASVHAR >EOY19804 pep chromosome:Theobroma_cacao_20110822:10:22628024:22634328:-1 gene:TCM_045149 transcript:EOY19804 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MSMSVIGEAALAAFFEALFSKLAEFLNVVTEKQVREELHEWENILLSIQAVLDDAEEKHVKNRHVKRWLTELQHLAYDAGDVLDEFATEALRRKLTREHQDSSSKLQKLRHTCFSHNFSSNSEMMSMIKEITTRFQKLADRRSALALTENVGGRPKRAIERPPTTSLVTETQVYGRANDKEAIFQLISDRNVAEICVIPIVGMGGIGKTTLAQLVYNDNQVNDYFDLKAWVCVSEIFDIKDITKSILSSIGHESPDAGADLNNLQIKLKQRLSGKRLLLILDDLWHQIYNDWTLLIAPFGKGTTIIVTTREQSVSSMTRTIPADHKLQKLSDEDCLSVLTHHALGAKDFSRHPNLEEIGRKIVKKCNGLPLAAKTIGGLLRNKVDLDAWKDILENEIWNLPEDRSNIIPALRISYHHLPPCLKRCFAYCAILPKDYEFTEMEIVWLWMAEGFLQVEAVKQNEDLGKEIFQELVSRSFFEISSQDKSRYVMHDLINDLAQSITKEICFRVKGDKKLNISKHARHSSYIGGRRDGIKKFQVFYGTERLRTFLPLKMPNQMYCYISNNVLSNLLSKLKCLRVLSLERYQLTELPDVFGDLIHLRYLNFSYTPIETLPDSICKLYNLETLILQECSRLKEFPSKMRDLINLRHLDFTGANSQIRMPMGIGELTSLQTLTRFVVSRDNGLQIQEMEKLSNLKGGLLISGLENIVKAQDAAVAGLCNKSNLSDLTLEWKYSRDAFAVEEIQFHMDVLNSLRPHAMLERLTIQHYGGKAFPNWIGDPSFEKLSHLELDNCPNCTSLPAIGKVPLLKSLFIKNMNKVTVVGSNFFGENASIAFPKLEELFFYNMPEWQEWDPCEVDGDVFQQLRLLSISDCPKLLGSLPTRLRSLEELVILRCQKLRSLATCPPSLKKLEVRECEQLVVSLSSLTKLCKLKIEECQEVVGTSFTNFGSLMKSVSLSNISKFTCPRDLMLGLRKAESLSIRKCEELISSWQNQEGCSAYPSALRFLKIQNCSQLASIGVEDEKEEQMQLEIPRNIVDLGISDCERLERLSKSLHSYTSLTVLQIERCPRLISFSKGNLPANLRSLTIRCCENLQYLLDERENVSINGTFFLEKLEIRDCKTFISLSARRELQLKFISLSIIDCENLQYLLDERENISIEQLTIGFCQSLISLSARGDLPVDLQRVTIYGCEKKLASLLSNGKLPEGLKYLSIEDCPSLESIAPEIEDNSSLKQIDIEFCENFRSLPRGFDNLNHLEEVFIGDCPNLISFPGSGLLTPKLREFYLMSCEKLEALPNRMHNIVSLEEFYIDDCASVVSLPEGGFPTNLISLTISQPNFCKSVIEWGLHKLTSLKHFCIHGASLDVASFPHEEMLLPPSLTSFSIRDFPNLEILSSKGFQNLTSLESLEILNCLKLKFLPSKEMLPSLLTLNIRGCPLLKQRCKKDEGPEWHNIAHVPHIAIQ >EOY19463 pep chromosome:Theobroma_cacao_20110822:10:17876823:17877382:1 gene:TCM_044584 transcript:EOY19463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNCYNSAQGSMWRKATTLSYSRPQCRGSQDCNAEENKATMLVKGRAPYKFTRLEHYNAKKGRTRCYCSKKGSSAKLGNYGHDAGRFSKNKNLTRFWK >EOY19027 pep chromosome:Theobroma_cacao_20110822:10:8502610:8503281:1 gene:TCM_043653 transcript:EOY19027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELLMLLAKVTGTVLLLGFSSMFVRLFDALVLTPKRIHSQLGMQEIRDNLPSFLPGNFQVPKKTQHKRKQVQHICFHQGKYRFCRGTIRIWPNIGSTPEDDSGPYVR >EOY19260 pep chromosome:Theobroma_cacao_20110822:10:15247733:15253187:-1 gene:TCM_044268 transcript:EOY19260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 7 MQRVVMLRKMSLGAATQNAITLHYTPQTEKTHLSSFTFLMDTQASSFSSFQSYLRALVHTPGRLARRAGSVSTPYEELSRVRARSGSDMQRSLRWFDLVGLGIGGMLGAGVFVTTGKASRLHAGPAIVISYAIAGFCALLSAFCYTEFAVHMPLAGGAFSYLRVTFGEFAAFLTGANLIMEYVMSNAAVARSFTSYLGAAIGISTAKWRFTVPGLPKGFDEIDVVACTVVLIITLIICYSTKQSSVVNMILTALHILFIGFVIFMGFWRGDWNNFTHPANPDNPSGFFPHGPSGVFNGAAAVYLSYIGYDAVSTMAEEVRDPVKDIPVGVSGSVIIVTILYCLMAASMSKLLPYDMIDVEAPFSAAFSGRSDGWEWVSRVIGVGASFGILTSLLVAMLGQARYMCVIGRSSVVPAWFARVHHKTSTPVNASAFLGIFTAAIALFTDLSVLLNLVSIGTLFVFYMVANAVIYRRYVVVGTTKPWSTLSFLCLLSLTSIIFTLLWHFAPPGKPKAFVLGTCVVIAISILQIFRCMVPQARKPDFWGVPFMPWLPSISIFLNIFLMGSLGGPSYVRFGFFSALAVLVYVLYSVHASFDAEVDGSFGQNNGEILKESAESEHPSHKV >EOY19818 pep chromosome:Theobroma_cacao_20110822:10:22745271:22746505:-1 gene:TCM_045162 transcript:EOY19818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein MQAIKEKLHEMGEMQKAKAEAKAEEKAEKDLAKARMNIAHEVRKAKEAEAEMDMHVAKAGNMAKREVDKHASETHNRNANHS >EOY20017 pep chromosome:Theobroma_cacao_20110822:10:24404532:24416054:-1 gene:TCM_045419 transcript:EOY20017 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MHDAEEKQIENSSVKVWLRRLEDVFYDADDLLDEISYDAIKRDLEAASKTSKSWASIFGAASAQRYGKGIRSKMERIFAEIEQIAEERAKFHLIEGTVSVSSNSVTTSFVDKSVIVGREKDKTEIVSRLLQSEDASASVEAIGIVGMGGLGKTTLAQLVFNDDRVKTNFDLRAWVCVSDLFDIREIMKTILEYATLGTSDFNDLNVLQSELSQRLSGRRFLLVLDDVWTENFRDWEILKSPLLSGARGSKILVTTRNQNVAIIACSTSHLLPLLGVNECWAIFARYAFVDRSKSEIERLEDIGKRIVLKCGGLPLAVKAIAGVLRLKRSREEWLQVLNSTPWELDVVDYVLPTLRLSYNHLPPLLKQCFAYCSMFPKDNKFDKEKLVLLWMAQGFLQQSHGATMEEVGFEYFDSLLKRSFFQQLGDLYITMHDLMHDLALSVSERLCSILDVDYGMVSAISNRTRHLLMIGKFDAPEKFRVIDQAKTLRTLFLINTSPDQLSPQLLKDLPVRQQQLRALSLPHCQITELPTSIGNLKHLRYLDLSHSTLERLPKSLGTLYFLQTLILTNCSSLNMLPEGIVNLVNLRHLHIKGTCLLQMPEEMGRLTSLQTLTNFVVGCGGSQIKELGTLLDLRTLSLSELQNVTSASDATKASLNAKKYLNELQLQWSGTSTDPTKEGEILRNLEPCKELKNLTIRFYGGIEFPSWLGDSFSNIIFLHLSDSNNCSSLPPLGQLPSLEHLIIERISGVTSIGNEFYGEDKPFQHLKRLKFEGMSRWEQWISPELEEGFEFPCLEELYIQNCPNLEGGLPESLPSLLKLEISNCQQLNAQLPRVPGSCEPELYGCDQVQRRNEKMPLRSDDEVASQLLSTVADQISIHSYGGFSRSSSLLSKNSHDDPSTSKMSEIPKVADKHDDQIQTVSSEDGKQHFLSFASFKVSSVTQLMDLPPDLLSLRIESCDALDSLPSGIKDRSFEELYIIDCISFKTFPQGHLTTSLKTLYIRNCRNLEFPQPKILNQFIILEDLCLGSSCDHLKSFPLNSLPNLKTLSLWDCKNLVSLSIEKELQNDIKSLDALEIRDCPNLTTFPKEGLQALSLTSLVLSNCGNLKSLPRWMQSLISLHSLHINRCPELESLPSMGLPSSLNILCINFCDKITPQRAWELDKLDSLRHFEIEGGCKGMLSFPEDGLLPTNLKSLRISRLLNLKCLDENGLQQLTSLQTLEINCCNELRCLPEDGLPYSLSFLRITDCSMLNQKLQKRKGKEWFKIAHIASINLDE >EOY19894 pep chromosome:Theobroma_cacao_20110822:10:23635028:23639554:-1 gene:TCM_045288 transcript:EOY19894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 25, putative MTIVTSTFFSAIFVHLLTLSIAQKELRYVNCENGRGNFSTGSPYQDNLNSVLSSLSSDTGNDHGFYNASFGENPDQVFARALCRGDVEPDICRSCISDARNARASVCPNQKEALLGYDECMVFYTNHSIFGVLQTSPGMVLWNRANASDPEGFSATLRTLLETSRKQAAAGDTLQKFAVNDTMTTDFSRVYVLTECTPDLSEQQCLDCLDLVIRNQPDCCTGTMIGGRLIVPSCNFRFENYRFYNITHVSSSPPPPGGKKNNTSRTVIIIVIPIASVVILIICVYIFLKMRKTREQDVESDDENIITAESLQYDFDTIKAATNNFADENRLGQGGFGAVYKGRLSTGQDIAVKRLSKDSGQGDLEFKNEVLLLAKLQHRNLVRLLGFSLERTERLLIYEFVPNSSLDRFIFDPKRREQLDWEQRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNILLDTDMNPKIADFGMARLFAVDQTQGNTSRIVGTYGYMAPEYAMHGQFSVKSDIFSFGVLILEIVNGQRNICFHDRDNVEDLLSYAWKNWREGTTLNLIDPSLKVSSKAEITRCIHIALLCVQENVVDRPTMASVAIMLNSYSVTLPVPSHPAFFMHSTIESNLSSSSVYTSRVTDHSLNDEILPLSKNETSITELYPR >EOY19758 pep chromosome:Theobroma_cacao_20110822:10:22165627:22251543:1 gene:TCM_045077 transcript:EOY19758 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MVVVPCLLMPWMNKTHDFVSHSNALKIKVGKVSRQHILIMGFIGEVALSAFFDSLFAKFFSSKFNFVTEKRVRKEIMNWETTLKTIRALLPDAEEKKMKNLAVKIWLADLQDLAYDVDDILDEFATEALRRKYMKAHQASTSKAQKFLTSLHPSSIMFNYKMMSEIKEIMRRLEDLAARKSNLQLREIDVGRPMPIPKSRSSTSLYSRCYLSNNVLNHLLSALKCVRVLSLKRYYITEIPSSIGNLKHLYYPDFSYTKIKSLPDSIYSLYNLETLLLRFCENFEKLSLKLGILDNLCHLDITGGNSIEEMPSGIGKLKNLQVLSNFIVGQGDGLNIREMGNLSNLKDQLCIFELHNVDEAQYAWEAKLSIKPDLENLELKWSRGFNENLRRKEVEKEVLNSLQPHKDIKELAVKYYGGIEFPDWVEDDSFKNMQVLRLEYCQNCILLPAVGKLPLLKHLYIKGMGSVINVGNEFHGVNGSNAFPSLETLHFEDMLEWKEWKLCEFDEQGKKFCCLQELFVKNCPKLVTTLLENLNSLEKLVILNCQELVVSVLNLPMLCELEIKGCKEVVLGSYDDLQSLKKILLSNILKFACVTKMKMLQSMKVENLQINNCEELICLWQTKCWWLVPLRSLRTLMFNNCSQVVCMGASKEEEKKELLELKIPRNIEYVKLQDCEGLERFSKTFHNLTCLTKLVIVKCPKLVSLSTDNLLPTLRTLEIDNCENMESLIDDKENINFSSTYFLQSLYIRSCEQSVGNNTCLESITLWDSKNIKYLPQGLDKLNRLQEIQFLDCPNLVRFPKPLPNLHHLQRLIIWECPKMQYSIGERGFPANLTFLSIYEPNSNKEVMEGLHRLTYLTTLWIDGTNCMDAMSFPQEEIRMKLPPSLTDLFIRGFKNLRKLSSNGFQNITSLQSLSINNCPKLKSIPREEMLPSLLQLCIRNCPVLKKRCKKDKGKQWSNITHIPYVTIDDRFIHE >EOY19036 pep chromosome:Theobroma_cacao_20110822:10:8690799:8691789:1 gene:TCM_043669 transcript:EOY19036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFITFLISEQIKVKITFKTDKPSQHWENKAAVLVKGSSPYNFLESSVLQSWRSSSKNKNLMRFWKLGYFKPI >EOY19552 pep chromosome:Theobroma_cacao_20110822:10:18686900:18688308:-1 gene:TCM_044686 transcript:EOY19552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMMMMLSWFKKTESYAFVIFLMKLKRLSGSKTLEKVLRWLSNLVFLMMRAFYLMISLEKRKLHMIMKRMRWQILLWMMEYHMEIDHL >EOY18190 pep chromosome:Theobroma_cacao_20110822:10:2348630:2350151:1 gene:TCM_042805 transcript:EOY18190 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein MLSPQAVHNGETPSPHHRILTPQFHSTVTLRKLRRFNSLILVFRLAAFAFSLASSVFMVTNSRGSDSPHWFDFDAFRFVFAANAIVALYSLFEMGVSVWEISTGATLFPEILQVWFDFGHDQVFAYLLLSADSAGTALAKTLKGTDTCKASNSFCVQSDISVALGFAGFVFLGFSSLLSGFRVVCYIINGSRFHL >EOY19236 pep chromosome:Theobroma_cacao_20110822:10:14744669:14751173:-1 gene:TCM_044221 transcript:EOY19236 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMMECR1 family MVSANREMVVFCFDTLVAHYNSEEAPPPAFDEGQHPLFVTWKKVVNGGEPRLRGCIGTLEARCLINGFKDYALTSALRDRRFPPIQAKELPCLECTVSILTDYETANNYFDWEVGKHGIIIEFTDDYNIRRSATYLPEVPAHEGWTKVEAIDSLMRKAGFSGPITESLRKRIKLTRYQSTLFTMHYSDYASYVKATRGAAPSIAGARLSNH >EOY18952 pep chromosome:Theobroma_cacao_20110822:10:6524863:6533328:-1 gene:TCM_043472 transcript:EOY18952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTRGMKERKVALCRVQLWLHSMKVVCHICRWMEMVFDFSRSEPFPAMGGLSVARISEFNEHLCLGRIRNRFYTAKANFKAIILSKLAYCVDEREAGKG >EOY20186 pep chromosome:Theobroma_cacao_20110822:10:25174909:25175905:-1 gene:TCM_045562 transcript:EOY20186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage-dependent T-type calcium channel subunit alpha-1I, putative METTALCQTSTLGTGSSPPINKTIKQFPKSFEGRSSRLRMPSRGLGSHGLRLKQRFGRGLGLGCVASDSGLLAEFERELEAEMKPEEEEWMRVGKLGERCKERKGVVELLECLETEAIMGEDQGKEPTDYNRRAQIFDKSSRVFQALKARSKPSESLQQP >EOY19632 pep chromosome:Theobroma_cacao_20110822:10:19379539:19381517:-1 gene:TCM_044773 transcript:EOY19632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKGKTHKEFRNGERHCSQLSWGHFDNDVNWRQLKSYFDEFGVVVDVFFLQLKRPFESKYAFMLYRETRELARAIYLGNGKMFYGMRFRVVEAKSPRSTKNTRLGDEDHGRKESYKKKKRVRSYKEILLTGRPKYDRNNREGEVGKSEIGNANDRDTVEKWRFNQVMIFVKVKSLRNIRTYIYLTDNGREHFVRATKVDIMQSESRLRSSKLVDGSLLGDMVEDWAEKEFLEKVMEELKGLSLKDDWKSFKRSVHVGLRFEIVTKQTRTTNGNFRFDEANSDYEDSDKELKEDMEKKNELGSLNAEGNPNSFERINSGVEENSKRPVEELDISKGSIKK >EOY18696 pep chromosome:Theobroma_cacao_20110822:10:4565525:4580115:-1 gene:TCM_043198 transcript:EOY18696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease inhibitor MASDECEGKSSWPELVGKKGEDAAATIEKENPRVNAVIVLEGSFVTGDFLCTRVRVWVNTYGIVTRVPIIG >EOY18963 pep chromosome:Theobroma_cacao_20110822:10:6810825:6811367:-1 gene:TCM_043496 transcript:EOY18963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat protein, putative MDERLRTVAKEGDTNALHECIREDPNVLRHIDEVEFVDTPLHIATTRGHAGFSTTIMYLKPSFFRKLNQKVYSPIHLGLQNEHTNAMLHLLAIDKDLVRLKGKEGYTTIHYRENYEE >EOY18947 pep chromosome:Theobroma_cacao_20110822:10:6488623:6498236:-1 gene:TCM_043470 transcript:EOY18947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNCYNYQWPSEQFMPRRVASEYELNSQVKASILEKKPTLKKMFMQHMANMNVVIQRNESSTRNIETHVSQLINDINNRAQEILPNDIEPNPRGEEYGKHFDEVNGKNVVDDGDQVDQKNLPSKQIQD >EOY20210 pep chromosome:Theobroma_cacao_20110822:10:25258785:25262311:1 gene:TCM_045584 transcript:EOY20210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein MKILEVPCLEDNYSYLIIDESTKEAAAVDPAEPQKVVEAANQQGVVLKLVLTTHHHWDHAGGNDKIKELVPGIKVYGGSLDNVKGCTDQLQNGDNLQLSSHINVLSLHTPCHTKGHISYYVTGKEGEDPAVFTGDTLFIAGCGKFFEGTAEQMYQSLCVTLGSLPKPTRVFCGHEYTVKNLQFALTVEPKNARIQQKLAWATSQRQAGLPTIPSTIEEELETNPFMRVDLPELQGRIGCQSPVEALREIRRMKDNWRG >EOY18441 pep chromosome:Theobroma_cacao_20110822:10:3502841:3504889:1 gene:TCM_043028 transcript:EOY18441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPPEGRRQPPQDIETGPPSNPQPPVSRPMLLFSFQGRVSRTALLSRSTVLVVYSMNTQETGDQTYDVCAICLEKFHDGDQCRVLSGCKHVYHKFCIERWLAEAQNCPVCRLYI >EOY17840 pep chromosome:Theobroma_cacao_20110822:10:1055016:1064219:1 gene:TCM_042545 transcript:EOY17840 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase, putative MNQPYKYVTKQYRKSPKRIAERQVLLCAMAFPFVYCQLAGFYFSIVLLHATIAAAATANFVIRTNPGNETDRIALLAFKQKISQDPDGVMSSWNLSKDFCEWEGITCSRRHRRVTMLNLRSRRLVGSLSPYIGNLSFLREIRLENNTLHGEIPEEVGRLFRLRFLYLGNNSLVGQIPVNLSHCSKLSFLHLGRNKLVGKFPLEFASLSNLKELAIHFNHLTGGIPPFLANISSLEALSASYNAFGGNIPDSLGQLRYLTSLGLGGNNISGTIPPSLYNLSSLAIFSLSENRLRGRLPSNLGLALPNLRRFQISVNFFSGSIPVSLSNASKLEFIEMVGNNLSGKLSVDFGGMQQLSYLNLGRNDLGSGEPDEMRFIDSLANCSNLQDLDLSVNRFQGVLPHSSGNLSTQLLRLLLDSNQLYGPIPSGVGNLVNLYLFAIGWNQFTGKIPAEIGKLHNLQWMDLHRNKLSGEIPPTLGNLSSLLELHLLNNNLQGTIPTSLGKLRNLAALDLSRNDLWGTIPETLFHKTPRMISLNLSQNHLVGKIPASIADMKNLMRLDVSRNNLSGEIPLELSNCGNLEILYVEGNFFQGSIPPALSSLRAIRQVDLARNNLSGKIPKFLESLALRYLNLSFNDFEGEVPVKGVFTNASAMSVVGNTRLCGGIHELQLPKCNINNSSKKQKDSLAFKVIISISCAFLGIAMVAFLMFCWFKRRREKQSPSPMLRKTLLKLSYEKLLKATDGFSSTNLIGLGSFGSVYKGVLDQDGLTIAVKVLNLQRQGGSKSFMAECKALTNIRHRNLVKIITSCSSVDFQGNDFKALVHEFMPNGSLENWLHPASEGEQAEIPYLSLRQRIEIGIDVASALDYLHHHCQQPILHCDLKPSNILLDSNMTAHVGDFGLVKFLQEHSNPTQSSSLGIRGTIGYAAPEYGLGSEVSADGDVYSYGILLLEMMTGKKPTDEMFDGGLNLHKFARMALANQVMDIVDPTLLNNGGELAAENNRLRHSNSDRIKECLISVIGIGVACSMESPQERMEISLADTSLPEYSDPIVFSQANLRRVLHDSRRRQRVTALDLQSRKLQGKLSPSVANLTFLQKTHLEGKFQPASAIVQNSRVIHLNFNKLTGRIPEELSNLPKVIALHIAANNLTGGITPFLGNLSTLLNLSLARNNLGGSIPDDLGRLASLNFLQAGSNNLSGIIPATILNLSVISIFAAADNKLTGSFPQSLGTNFPNLQIFAVGVNRFTGPIPPTLSNATGLLQIDFPDNYFVGRMPTDLGSIKNLQRLNVGRNRLGSREADDLSFLNSLINCSKLQLLGNNQIYGSIHSGIENLVKLHSLYIDHNMISGGIPIEIGKLSSLRQLYMNGNRLSRNIPHSIGNMTELFELRLDGNNLEGTIPSTLWNCVHLQVLNLSQNNLKGTIPKEVIGLSSLSKSVNLARSSLSGALPPEVGNLKNLKGFDVSENRLSGEVPRQGVFTNISSSSLLGNSKPCGGIFSLQLPPCPKQKSGKEGKSFTLKLAIITKPSPTSHSDDWHSDITYKDLHKATDGFSPANLIGVGSFGSVFKGMLNDGSCSSVDFRGNNLQFIPNGSLGHWLHLKTNEHHRQLKLNIFQRLNIAIDVASAPEYLHHHCHTPIIHCDLKPKYAVGGAVSTYGDVYSYGILLLEMFTGRRPTDDIFKDGSNLHNFAKKAIPEQVMEILDPTMLLCLFS >EOY19906 pep chromosome:Theobroma_cacao_20110822:10:23737214:23747407:1 gene:TCM_045302 transcript:EOY19906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein, putative MSQQLQLIKAEALTFLDLSSNSLAGVLPDCSVHWQALKVLNLANNSFSGGIPRTFGSLSSLESINLSDNKFSGELPSSLKNCSRLKHLDLNNNKFSGNIPAWIGENLSSLIHLSLQSNEFKGRIPVSLCQLANIRMLDLSLNTISGTIPLCLNNLTAMSQKGDSNYIIKYDYFSSSEEGPIGDLVLGLESGSYIEKAWVRWKGKICKCEKSLGLLRSINLAGNKLVGKIPDEITSLSELVQLNLSGNNLIGFIPKTIGHLKKLESLDLSKNQLSDQIPASMVDLNFLNCLNLSYNNLSGRIPLSTQIQTMEASAFVGNLALCGPLVTQQYPEDDATQSQSPEDEIEDEFNKWFYAGIGFGFFIGFWGVFATLLSKRSWRHAYFRFLDNLKDSLYVTIMLWGARSQRKLKKLEATTTLEVAAPDLWTVDSGRWQT >EOY19847 pep chromosome:Theobroma_cacao_20110822:10:23175704:23177437:-1 gene:TCM_045219 transcript:EOY19847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MNPIYHMRVELELELELKLKLKQEMNLKQEIKKVPGPRICAFVKDDGIPIILGSLLLICLRERKDFMQGLPLYKATLNGDREMTKQIQNQNEGTLLRSSLTEGHETALHVAVGARQAAVVKELVGRMESADLELRDGRGNIALCVAVATGSVKIAKILMEKNAELAFIRGADDKTPLYIAAEEQRDMFLACIHAGLFDLAIKMLEVLRDLAWARNSDDETALGILAPKPSAFAGESSTTPKTLIDKC >EOY17701 pep chromosome:Theobroma_cacao_20110822:10:609180:610558:1 gene:TCM_042455 transcript:EOY17701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSTPYMATWKFIVLVLLDSINYLTFVQVRCYYTTKLVGKHIHWALLSNLSPFVNRASKDFRERSR >EOY18035 pep chromosome:Theobroma_cacao_20110822:10:1849261:1852575:-1 gene:TCM_042703 transcript:EOY18035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin A4, ALPHA 1.6,EXPA4 MAIGSVVACLVALNLILVAVEARIPGVYSGAEWQTAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCANEPQWCHAGSPSIFVTATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKQGGIRFTINGFRYFNLVLITNVAGAGDIVKASVKGSKTGWMSLSRNWGQNWQSNAVLVGQSLSFRVTGSDRRTSTSWNIVPSNWQFGQTFTGKNFRV >EOY19314 pep chromosome:Theobroma_cacao_20110822:10:16353607:16361005:1 gene:TCM_044380 transcript:EOY19314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium dependent protein kinase 1 MGNSCVGPSISKNGFFQSVSAAMWRSRIPDDSVSHTNGETGSEVASKEPESPLPVQNKPPEQVTMPKPETKPENKPETNPEKPSKPKSPHMKRVSSAGLRTESVLQRKTGNFKEYYSLGRKLGQGQFGTTFLCVEKATGKEYACKSIAKRKLVTDDDVEDVRREIQIMHHLAGHPNVISIKGAYEDAVAVHVLMELCAGGELFDRIVQRGHYTERKAAALTRTIVGVVEACHSLGVMHRDLKPENFLFVNQQEDSLLKTIDFGLSIFFKPGEKFTDVVGSPYYVAPEVLRKRYGPEADVWSAGVILYILLSGVPPFWAESEQGIFEQVLHGDLDFESDPWPSISESAKDLVRRMLVRDPRRRLTAHEVLCHPWIQIDGVAPDKALDSAVLSRMKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMIDTDNSGQITFEELKAGLKRVGANLKESEIYDLMKAADVDNSGTIDYGEFVAATLHLNKIEREDHLFAAFSYFDKDGSGYITPDELQQACEEFGIEDVRLEEMIREVDQDNDGRIDYNEFVAMMQKGNLASNVAGAGKKGLQNSFSIGFREALKL >EOY18188 pep chromosome:Theobroma_cacao_20110822:10:2329346:2331861:-1 gene:TCM_042803 transcript:EOY18188 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MDSKDLISCFPDEILYLIITFLPLESAVQTTVLSTRWKDLWKKAFVYGSIEDAVTNVFSLLNDFAELRPLRSKWGFQFNIGQGRALFAAIAPDDALHLDFSTGEQGLSRSFDWLLPLNLPVRDKWPFPYKHDKLLELNLPLQQFKIKALYLTSVCRLFSKVLTSLVSYLPFLESLTIAKCNGVQSLDIENAARLQKLTVLDCPQLEYFCFGGSSSLKSFQYRGRLVSFRFKPSCKYNFHQSLSHFCDCGVQLEDAMLDFRQGPLTRWTWDFEMPTSVGPNGFYKICNCGSTTLFQCCKLILDSKSFCQKLPSSRTNLGFYLLLLKELRWIDCSAERENINALLCFLKFCHNLERLYVTIDPKCYNLPSTKRFSAMINVPDYKLKDLKFVKVEGCADETTETLFARRLIPLFPRTPLIISKPYGSRLRCLVKVPKLEKKGKYPYKFKVVEKLPEIYSDHVHMNV >EOY18768 pep chromosome:Theobroma_cacao_20110822:10:4849600:4854132:1 gene:TCM_043265 transcript:EOY18768 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 14 MIMGPTGDSKGELLSQLAELVKEITGLPDCKNSCKKTHGNLVRRIKLLSPLFEELRDGNEETMIKIEEIKGFELLKVALGSAKELLQSVNEGSKLYQALQKDQITDKFQLMTETIEAALNEIPYDKLDISEEVREQIELVHTQFRRASRRPDSPDLQLDQDLAIAQKEKDPDPAILKRLSDKLQLRTINDLTKESLALHELVIASGGDPGECFEKVSSLLKKLKDYVLIENPEADTSESEKGMMKHRSPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIQKWLDAGHKTCPKTQQTLLHTALTPNYVLKSLIALWCESNGVELPKKQGTCSSRKPGSSVSDCDRAAIIALLEKLANGNSEQQRAAAGELRLLAKRNADNRVCIAEAGAIPLLVELLSSTDSRTQEHAVTALLNLSINDSNKGTIVNAGAIPDIVDVLKNGSMEARENAAATLFSLSVVDENKVAIGAAGAIPALIKLLCEGSPRGKKDAATAIFNLSIYQGNKARAVRAGIVPPLMRLLKDAGGGMVDEALAILAILASHQEGKVAIGQAGPIPVLMEVIRTGSPRNRENSAAILWSLCTGDSEQLKIAKDSGAEEALKDLSESGTDRAKRKAGTILELLQRLEVNENPVSLSSL >EOY17849 pep chromosome:Theobroma_cacao_20110822:10:1159182:1167476:1 gene:TCM_042562 transcript:EOY17849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copia-like retrotransposable element, putative MKTFLRDVNLWNAVEFETELLVLKENASQAQVKQYEEDIAKRYRALSFIHSAVSESVFNKIMGCETANKACSKLEKEFLGSARSKQVRLQNLRRKYELLIMKENQTIDEFVEDLMKLVNQIRLMGDSLIDLKVVEKIMLSLPERFDPTITSLEQVKDITELSILDSVSALEADEQRKAARRDERVDHALAARAKGKAPADPSFKKNSNENKEKDKAGTAAGRSQNKRGKFSVCPYCKKRNHSEAYCWFRLGVKCNACKQLGHVEKVCKNKAEAANKKPQVTKQVEKAEVAEEVLFMTIENSNSADNNHWLLDSACSNHMTSKAELFSELDTDHCSSVKIGNGLILDAVGKGTVAIQTASGTRYVLNVLLVSEITHNLLSVGQLVDEDYMLVFKNNACTVYEPSGVYIMSVPMLNLPKLHGDSPICSSCQYGKLTRRSFPKASLNRAKHRLELVHSDVAGPMSEPSLNGSKYFVIFIDDMSRMTWIYFIQHKSEVFSVFQKFKAKVENESGCRIKKLRTDNGGEYTSSEFISYLENEGIHHQLTAPYCPEQNGVSERKNRTIIEMSRCFLFKKKLPKSFWAESANTAVYLQNILITQAVNNETPYEAWYSTRPSVDHLRIFASICYLHVPEELRDKLQPKAKLGVFIGYSQQSKAYRIYQIESGKVSVSIHVTFDEEQIVDEPLVRGTRPLQEIYESCNVAAFEPATPEEAVLSPEWKEAMKEEMKMINLNKTWSLVDRPKHHHVLGVKWVFRMKLNSDGSLNKHKARLVVKGFAQLPGVDYHETFAPVARMDTIRLLLALSAKFKWKMFHLDIKSAFLNGDLQEEIFIEQPYGFESEPNRDKVYKLHKAPYGLKQAPRAWYCKFDDYLKGQGFHKSSNEATLYIQKADDQNPLIISLYVDDMLVTGGDDQRISKLKLEMQSRFRMTDLGQMSYFVGLQILQGNSRIFICQSNYIGEVLDKFKMTDCKTVATPLIPHEKLSVDKGSALENPSTFRSLIGSLLYICASRPNLMFAASYLSRFMQVPTTEHFPAAKRVLRYLKGTANFGLQFTYIDESSVELVGFSDSDWAGCVDDCKSTSGYVFTLGNGVFC >EOY18290 pep chromosome:Theobroma_cacao_20110822:10:2826183:2827916:-1 gene:TCM_042890 transcript:EOY18290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFKLRCSSPLVVLLLPYDILFLAGCLGRDCLGSLKGGRGVRGGGEIFFSLGFSRLLLSGSWFLSGVLLAKAFGPLELALKSKFFSLGGRGGGCMHGAWLDVIAVIFFCFLRLVEVGE >EOY18059 pep chromosome:Theobroma_cacao_20110822:10:1943043:1949762:-1 gene:TCM_042716 transcript:EOY18059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 5 MESVVSELEGVLLKDPDPFCYFMLVAFEASGLIRFALLLLFWPLIRLLDMLGLDDAGLKLMTFVATAGLREAEIESVSRAVLPKFYMDDVDMEAWKVFSSYDKRVVVTKTPRIMVARFVKEHLRADEVLGSELVVNRFGFATGFVKGDIASISSRVAKLFVDEEPTLGLGRAPSSFQFLSLCKKQMHPPLMTNQNLHDHQLLRPLPVIFHDGRLVKRPTPSTALLILLWMPLGILLATIRIVVGLILPMRVIPYMSRLFGGKIIVKGKQPPPVSGSSSGVLFVCTHRTLMDPVVLSAVLMRKIPAVTYSISRLSEILSPIPTVRLTRIREVDAEKIKRELAKGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRVGFFHATTARGWKGLDPIFFFMNPRPVYEVTFLNQLPAEATCSSGKSPHDVANYVQRILAATLGFECTNFTRKDKYRVLAGNDGTVSCTSFVDQVKKVVSTFKPFFQ >EOY18986 pep chromosome:Theobroma_cacao_20110822:10:7456257:7472026:-1 gene:TCM_043552 transcript:EOY18986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myotubularin-like phosphatases II superfamily MAAPRPRRSVSVRDPSDGCERLEGAGSWDALEWTQIEPVTRSVSHANLEFLLEAERVVEEGHGVVLVNTDEAGTLFVTNFRLLFLSEGTRNIVPLGTIPLATIEKLNKMVVKIQSAPRQTDKSSSRRLLQIIGKDMRVIVFGFRPRTKQRRVIFDALSRCTKPARIWDLYAFTCGPSKFTNPNPKVRLLNEYFRLLGKGFHRASMNMIEDGSFTLSNDLWRISDMNTNYTMCQSYPFALIIPKNISDEEVIQASTFRARCRIPVVSWCHPGTGAVLARSSQPLVGLMMNMRSNTDEKLVAALCTQLVDGKGSRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEIVFFGIDNIHAMRESFARLREYLDTHGAASSDGMSSFLRHGGWTWGGGNLSSMSASVSTLGDSGWLIHVQSVLAGSAWIAARVALESASVLVHCSDGWDRTSQLVSLANLMLDPYYRTFTGFQALVEKDWLAFGHPFSDRVGMPSISGTSFELTRQSSTGSFSSSPMRQSSGSFTPQASNSSHAQNNYSPIFLQWVDCVSQLLRMYPFAFEFSSTFLVEFLDCVLSCRFGNFLCNSEKERQQCGVYDACGCLWAYLADLRSSEGSSHAHCNLFYDPLKHNGALLPPAAALAPTLWPLFHLRWACPSESQAGELEAECRNMAIKFSELRKAKEAAEKKAKEYSVAMETLNVELRNEKQVSKSTVILANRASKESAAIKRAVQSLGCRVNFTSSGDCTVDVESNPTETPQNFMCSPSRRESDAMMGHDEKTDLSVSITVVADNNVSSSPFGQVCETLCPLRTRDGGCRWPDAGCAQLGSQFVGLKANFDAFDQLSIDDDYFQSE >EOY19853 pep chromosome:Theobroma_cacao_20110822:10:23246167:23249647:1 gene:TCM_045230 transcript:EOY19853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METNNRRFRSKGLTLHSDAAIEKVDGTTRVGAVFIIRRPNGGFLCAARRKMKCCESVEEAELRALVCPTGFTMISALQAAELRISARDEVSGEKALLAKNKSKENFEVFTRKNFKEKEKKATQSSQSSNRKGRLKLCSYSKKRNHTNEHCWLKPDAKCKICSQLGHIDRVCKNKAIEDKSAQPNENSELTEEVLITAQTNLESDIKNSEWLLDSSNSRHITPFEAVFVDLDKNYQSKVKIGHGIYLHAYCKGKVHMQTSTGHSMLCQLGKISKKPFPSVSYSQAKCKLELLHFDVGGPISEESLNGSMEVSIPLMNSHNFLNKKGYSVNLLLLTLLSKMEPSVAHLKVFGCVCYVHIPKSLRDTLHQKVESGVFIGFNTQAKAYEPQPVTFIHHIQTFTAKDQVEIERDENIDDQLVMGTRSVIEEPSCYAKASWGPVWSKAMQEELQIIHKNGTWSLVDRPANRNTIGVKWIFKKELNPYGSLNKCKARLMAKGYS >EOY18510 pep chromosome:Theobroma_cacao_20110822:10:3662503:3667062:1 gene:TCM_043052 transcript:EOY18510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF155) [Source:Projected from Arabidopsis thaliana (AT5G13610) TAIR;Acc:AT5G13610] MWRSIRQLPSFSVRTARTQALPYPSFLSFSASLPKPQSSSSSSSSSSFYFFTPAKTLRATATSSPTTIILWTFNGSRGGGNATRGVPARCLSSIPTPQPETMVDWNDAVSCSEVGDGGDNDNGRTLEEDTKRSIPVRAYFFSTSVDLRRLVEQNKQNFIPPTSRMTNYVVLKFGNLASSPGPSAFISGSDSCFMVVFQYGSIVLFNVREHEVDEYLKIVEKHASGLLPEMRKDEYEVREKPSLNTWMQGGLDYIMLQFLNIDGIRTIGSVLGQSIALDYYVRQVDGMVGEFTDINRGMEKTGTFTMDSKKLFQIVGKANSNLADVILKLGLFERSDIAWKDAKYAQIWEFLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIVLISTEIVISLYDLIKRSL >EOY20019 pep chromosome:Theobroma_cacao_20110822:10:24416586:24417210:-1 gene:TCM_045421 transcript:EOY20019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxia-responsive family protein MEAIQSWVSEHKLTSIGAIWASAIGASLAYNTRARTPLKPSLRLIHARMHAQALTLAVLSGAAAYHYYEKSSSKEEKVTNA >EOY20089 pep chromosome:Theobroma_cacao_20110822:10:24796917:24799069:-1 gene:TCM_045489 transcript:EOY20089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator ARR17 MNGQSQFVLIVMEWTMIDEADPDSLVIRLDLFPIALIWAPPSSSPPTFSFPVVFSINTVSHYIHTSLPGILPCSSSDYCLARRFQRMAGGGCSKDTAVDFGDQPHVLAVDDNLIDRKLVEKLLKNSSCKVTTAENGLRALEYLGLGNDQRSAMEGTVSKVNLIITDYCMPGMTGYELLKKIKESSVLKEVPVVIMSSENSPTRISQCLEEGAQMFMLKPLKQSDVKQLKCHLMKCRS >EOY19510 pep chromosome:Theobroma_cacao_20110822:10:18296119:18298346:-1 gene:TCM_044630 transcript:EOY19510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase, 2,3-bisphosphoglycerate-independent MKQSNGKVSKGLVNYGVPFLSIMGGRFFRYYKGAPEKWRLIRAHGTAVGLPTEDDMGNSEVGHNALGVGRIYAQGAKLVDLALASGKIYDGEGFKYISESFEKGTLHLIGLLSDGGVHSRLQLLLKGASDHGAKRIRVHVLTDGRDVLVGSSIGFVETLENDLANLREKGVDAQIASGGGVMYVTMDRYEVRNNCQSPKSM >EOY19020 pep chromosome:Theobroma_cacao_20110822:10:8308876:8311033:-1 gene:TCM_043631 transcript:EOY19020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCGVEVHMLVLMWCGSVHDDICHVRCGSAHDGISYVRCGSAHDDFSYVREKLSVAWGLDPILVFTAARKSRVVPAQNFAIVKLYSRCSKKLFALLGYLL >EOY19426 pep chromosome:Theobroma_cacao_20110822:10:17429387:17434812:1 gene:TCM_044523 transcript:EOY19426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWRLAFFAIVWTSWLMCNEMVFQGKIWDGADVYCGVITSSFLREVFLPFFFAFLLLIYGLGKEVGVGVVSQGRQWVKGMSDDSLGSVLQAMFGSKVLLLFVFFLNESLVERLKVLLSWLLSMLIRGLFKVAFVLDLAFATGDCVVGGLLPDCSFKEPPYF >EOY19394 pep chromosome:Theobroma_cacao_20110822:10:17236998:17238271:1 gene:TCM_044491 transcript:EOY19394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEFVQNFYNTGCLGFEVNESFITLIPKKKNPTSIGDYRLINLVGSIYKLIAKLLVNRLRKVIGEVVEAHQFAFISGR >EOY19300 pep chromosome:Theobroma_cacao_20110822:10:16202973:16214421:1 gene:TCM_044358 transcript:EOY19300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MICLTHTTLWHTCYNLIKGTQFVVKINNTAVSHFLTQLKLTSKQTRCRGLTQDGRSSWLLLISLSSTKSKGKNMWKVPSVERSTCPFPISTHEVFMTHKLFFPIYFVVD >EOY18339 pep chromosome:Theobroma_cacao_20110822:10:3103392:3104872:1 gene:TCM_042944 transcript:EOY18339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MDERLSGAALSGNIDALYDLIKDDADVLRRIDEMEFVDTPLHIAAAAGHTEFAMELMNLKPSFARKLNQRGFSPIYLALQEKQEKMVDDLLSIDKDLVRVKGREGYTPLHHAVREGNVPLLSKFLENCPNSIFDLTIRKETALHIAAQNNNLEAFEAILFWIHKTHEYDYMEKRRILNLQDKDGNTVLHMAASNNQTQMMKLLMESRMVKGDKVNQSGFTALRVSQEQARVDSAESVNILKPPKEYRMDFGQVSYDISKMNLDTINALLVVFALIVTITYQALLSPPGGIEAAGKSVIKPNVFILFYTLNIAAFGIAWFSAVFIIKTVANKIAGYVVILFSLICLCYIVAHFIIAPTLHVGGVAFDAAFIIGSILAIMVHVSIA >EOY18178 pep chromosome:Theobroma_cacao_20110822:10:2287753:2290392:1 gene:TCM_042792 transcript:EOY18178 gene_biotype:protein_coding transcript_biotype:protein_coding description:FYD MGEALLTTLSMENYHPSTLLSMDSGSFPPDELEREMNRPMLLSQPPDINLPLSSEPSPPPLAWNDSCDILDVSLAPQIYESEAAVNIPKVAKKCIKRLDSVWGAWFFFTFYFKPVLNGKSKSKVSRDGNGVSGYDKSDLQLDSFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKHYRGLSNPQCLHGIEVVPSPNLSNIDDEEKRRWTELTGRDINFSIPPEASDFGAWRNLTNTEFELERSPAPLKSHLNSHPRKLLNGTGLNLSTQPLEHINGDGMDVSPLCNKRKKDYFRHGNDEDPGLPNNLHSNRVLDMKIHPVEPTWLNEFSGVMKNVYGPVTAAKTIYEDDEGFLIIVSLPFSDLQRVKVTWRNTPSHGIVKICYVSTACMPFIKRHDRTFKLTDPTPEHCPPGEFIREIPLPNRIPEDAKLEAYRDETGTMLEIIVPKHRVGPEEHEVRVCLRPSPWSERAFMDLEESIV >EOY18534 pep chromosome:Theobroma_cacao_20110822:10:3756658:3757100:1 gene:TCM_043071 transcript:EOY18534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 GRRGVKIMKLLDLYMPPLSGNGSNRSSCYSTF >EOY19345 pep chromosome:Theobroma_cacao_20110822:10:16548744:16565335:-1 gene:TCM_044415 transcript:EOY19345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 1 MSDIRKWFMKAHDKGKGNASKPANPAPTNTDSVPGGRENSGRRKTSKYFPAGKQQPKGEQGTEELPAKRKVQNENESVEKPPPSKKPSKVGIDDDFVLPKSRNTVDVTPSKKRKSGSGRGVAQKAEDNDESDEDDAKDLESPVKSGGRGGRGGTGASVAPASGRGRGRGRGGFMNFGERKDPPHKGEKEVPEGAPDCLAGLTFVISGTLDSLEREEAEDLIKRHGGRITTAVSKKTNYLLCDEDIEGRKSSKAKELGIPFLTEDGLFDMIRASNCGKAHSKEESKKSAESFAASLPKKSPQKMEVKSNSSSAKISGKSLTTSVSSTKQRGQPIQHSSLTWTEKYRPKVPNEMTGNQSLVNQLHNWLAHWNEQFLGTGSKGKGKKQNDPGAKKAVLLSGTPGIGKTTSAKLVSQMLGFQTIEVNASDSRGKADAKISKGIGGSNANSIKELVSNEALSVNMDRSKHVKTVLIMDEVDGMSAGDRGGIADLIASIKISKIPIICICNDRYSQKLKSLVNYCLLLSFRKPTKQQMAKRLMQVANAEGLQVNEIALQELAERVNGDMRMALNQLQYMSLSMSVIKYDDIRQRLLSGSKDEDISPFTAVDKLFGIYGGKLRMDQRIDLSMSDPDLVPLLIQENYINYRPSSIGKDDSGMKRMNLIAQAAESIGDGDIINVQIRRYRQWQLSQAGSLSSCIIPAALLHGQRETLEQGERNFNRFGGWLGKNSTMSKNYRLLEDLHVHILASRESSSGRETLRLDYLTVLLTQLTNPLRDKPKDEAVKQVVEFMNAYSISQEDFDTVVELSKFQGQSNPLEGIPAAVKAALTKAYNEGSKTQMVRAADLVTLPGMKKAPKKRIAAILEPSDDVLGEENGDTLPESEEKSSDTEDLEGTTDGETLRAELQSLNSKGIEVQMELKGTGNSSAKKAPAGRGRGGKGASGSAEKKGGRGSGAGAKRKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIYIYIYIYIYIYIYMCMYTFHQ >EOY19685 pep chromosome:Theobroma_cacao_20110822:10:20171195:20179464:-1 gene:TCM_044877 transcript:EOY19685 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein, putative MPPRRERPPLARSVGRGRGRSQRHQPDTVEEESAASTIRAAPAAEQADSPPHSPSPQPPTVPSTTPLVPPPVQDVSISKKLKEARQLGCVSFTGELDATVAKDWINQVPETLSDMRLDDDMKLMVATRLLEKRARTWWNSVKSRSATPQTWSDFLREFNGQYFTYFHQKEKKREFLSLKQGNVTVEEYETRFNELMLYVPDLVKSEQDQASYFEEGLHAEIVGIIIVGYVESQQDVSNVDRRVILGVICPRLGRATVVSSSSPARTDIQRRDSSRLPPRQGVAIRSGVESNTPAHPPSRPQTRTSTRVFTVTEDEAQVRPGAVTGTMSLFDKDAYVLIDSGSDRSYVSTTFASIADRNLSPLEEEIVIHTPLGEKLVRNSCYRDCDVRLTAHRANVDCFRKEVVLRNSEGAEIVFVGKRRVLPSCVISAIKASKLVQKGYPAYLAYVIDTSKGEPKLEDVPIVSEFPDVFPDDLPGLPPDRELEFPIDLLSGTAPISIPPYRMAPAELKELKGATVFSKVDLRSGYHQLRIKEQDVPKTTFRTRYGHYEFLVIPFGLTNAPAAFMDLMNRVFHPYLGKFVIVFIDDILVYSRDNDEHAAHLRIVLQTLREKQLYAKFSKCEFWLQEVVFLGHVVSRTGIYVDPKKVEAILQWEQPKTVTEIRSFLGLAGYYRRFVQGFSLIAAPLTRLTRKGVKFVCDDVCENRFQELKNRLTSAPVLTLPVNGKGFVVYSDASKLGLGCVLMQDEKVVAYASRQLKRHEANYPTHDLELAAVVFALKIWRHYLYGEHCRIFTDHKSLKYLLTQKELNLRQRRWLELIKDYDLVIDYHPGKANVVADALSRKSSSSLAALQSCYFSALIEMKSLGVQLRNGEDGSVLANFIVRPSLLNQIKDIQRSDDELRKEIQKLTDGGVSEFRFGEDNVLMFRDRVCVPEGNQLRQTIMEEAHSSAYALNPGSTKMYRTIRENYWWPGMKRDVAEFVAKCLVCQQVKAEHQRPVGTFQSLPVLEWKWEHVTMDFVLGLPRTQRGKDAIYEIVRLHGVLVSIVSDRDPRFTSRFWPKFQEALGTKLKFSTAFHPQTDGQSERTIQTLEDMLRACVIDFIGSWDRHLPLVEFAYNNSFQSSIGMAPYEALYERKCRTPLCWDEVGERKLVSVELIELTNDKIKVIRERLKVAQDRQKSNADKQRKDLEFEIDDKVFLKVSPWKGVIRFAKRGKLNPRYIGPFRIIERIGPVAYRLELPPELDRIHNVFHVSMLKKYVPDPSHVLEAPPIELHDDLKFEVQPVSILDRKDRVLRNKSISMVKVLWKSARMEEMTWEVEHQMRNQYPHLFVESGGEPSGKGKEVVPDE >EOY20086 pep chromosome:Theobroma_cacao_20110822:10:24756705:24776187:1 gene:TCM_045486 transcript:EOY20086 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein MFDYNEVQSQEWNIVNSAGTMGSTTPSPTTQQPQKQLLASPTNTHMEDFSDMLLLTLGRRSMTVEDRISKLPDDLLLKIMSLLNTKQAVQTCVLSKRWKPLWQSLPNLDFNFDTFPFQQETDDEDKEEVCVQSHIYDPHCFLVDGLLCYAVKHNVQQLTFHSRSDCQYLFPESFWTCQSLTSIELKGSDWMPMKLPTLLACPALKSLHLSHFSAAGPNFEPTAFSGCPNLETLQLFDILAVGSEGLCIDALKLTSLVLSFASLRHGKVEIYAPRLTTFKYSGIPPIVCLTDHLASVDDVYFDIKTLGFKRNEEEYVLRLINTLNEFRHAKSLTLSSSTVQRFSQVAPNLQTLQLFDIWIGSENLCINAVNLRSLLLSFVLHGEGKVEICAPRLTTFKYSGIPPIVSSKENLAFLDDVYFDIKAYSLKRNEEESVIRLINTFKEFRQAKSLTLSTSTVKILAKFPSLLDQNRLPFANLKHLKIKVKKWQSKRFEMPACIPNCFLNNSTILKIYMYHGILRHGFTLHGHVYLATLKSNQEIDDEDQEEVEMKMCSFSNFIRQVLFRRCPTDLVKVCVQSHIYDPHCFLVDGLLCYAVKHNVQQLTFHSRSDCQYLFPESFWTCQSLTSLELKGSDWIPMKLPTLLACPALKSLHLSHFSTAGPNFEPKAFSGCLNLETLQLFDILAVGSEGLCIDALKLTSLVLSFAFLGDGKVEIYAPRLTTFKYSGTPPIVCLTDHLASVDNVYFDIKTPPRFRHSEGEYVLRSINTLSEFRHPKSLTLSSSTVQVLTKFPSLLDQNPLSFANLKHMKIKVKKWERDRFEMPACILNYFFNNSAILRIWMDSFEDSQ >EOY19748 pep chromosome:Theobroma_cacao_20110822:10:22054507:22059408:1 gene:TCM_045056 transcript:EOY19748 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MAFIADAALSAFFDSLFSKFSSPDFNFVTEKQVRKEIMTWETKLRDIHAVLADAEEKKMKSQTVKNWLADLQDLAYDVDDILDEFATQALGRKLMKAHQASTSKAQKFLTSLHPSSIMFNYKMMSKIKEITGRLEDLATRKIKLQLVNAVGRPMTLPRSKPSTSLVNEATVRGRDKEKEAILDLLLRDGGIDAGVFVIPIVGMGGIGKTTLAQLVYNDSRITQYFDLKAWVCVSNEFDVIKVTKIILQSVTSESCDINDLNLLQVKLKEKLSSKKFLLVLDDVWNENYNDWTKLRSPFDAGIPGSKIIVTTRSFNVSSIMRSVADYSLQSLSNDDSLFMLAHHALERGDFAKHPDLEEIGLEIVKKCGGLPLAIKTIGGLLRTKVNHDAWKDILESDIWSLPEEKSDITPALWLSYYYLPSQLKQCFAYCSLVPKDYAFKEEEIVLLWMAEGFLNGANTKSKIQDLGSTYFEELVSRSFFQASNKNKSQFVMHDLINDLAQFVAGEIYFKKERHDDMKGPISRIRYSSYIIGSYDGIKKFETFFEAKSLRTYLPFEMMQQWRCYLSNNVLNDLLPALNCLRVLSLKRYYITEIPSSIGNLKHLRYLDFSYTKIKSLPDSIYTLYNLETLLLRFCENFEKLPLKIGILDNLCHLDMTSANSIKEMPSGIGKLTNLQVLSNFIVGQGDGLNIKEIQNLVNLKGRLCISELHNVDEAQHAWEAKLSSKCDLDNLELKWSADFNENLRKKEVEKEVLNSLQPHKDIKELAIKYYGGIEFPGWVEDDSFKNLQVLSLEYCENCTFLPTVGKLPVLKHLYVKGMKSVISVGNEFHGVNGPKVFPSLETLHFKDMPEWKEWKLYEVDEQGKKFCCLRKLFIENCPKLEKTLPDQLYSLEKLVIRKCQELVVSVSNLPMLCELDIDGCKEVVLRSYDDLWLVKKISLSNISKFECVTKEMKMVEWMKVEDLQINNCKELTSLCQTKWGWLAPLRSLRTIKFENCPQVVCIGGGVKEEEKEELLQLEIPCNIEYVRLQNCQRLERLSKTFPNLTCLTKLRIVKCLKLVSLSTDNLPPTLRTLEIWDCDNLECLLDDKENINFSSTSLLQSLNISNCEALKSLSWSGKLPVGLKSLLILMCPEIESLAQKIGDNACLESISLWDCRNIKYLPQGLDKLSRLQEINLYCPNLVRLPEALPNLHHLQHLIIGECPRVQNSIGGRGFRTNLTSLSLFDPNISKAIMQWGLHRLTSLTKLIIDGSNCTDVVSFPQEEIGMKLPLSLIDLSIRNFKNIRKLSSNGFQNLTSLQYLWIGNCPKLKSIPRKEMLPSLLQLHIWECPVLKKRCTRDEGKQWSNIAHIPEVRIDGRFIYE >EOY20175 pep chromosome:Theobroma_cacao_20110822:10:25151751:25154909:-1 gene:TCM_045554 transcript:EOY20175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamate-4-hydroxylase MDLLLLEKALVSLFITVILAILISKLRSKRFRLPPGPIPVPVFGNWLQVGDDLNHRNLTDLAKKFGDIFLLRMGQRNLVVVSSPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRHGWEDEVARVVEDVRKNPEAATNGIVLRRRLQLMMYNNMYRIMFDRRFESEDDPLFVKLKALNGERSRLAQSFEYNYGDFIPILRPFLRGYLKLCKEVKEMRLQLFKDYFLEERKNLASTTISDNNALKCAIDHILDAQQKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQQKLRNEIDTVLGPGVPVTEPDTHKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGYDIPAESKVLVNAWWLANNPAKWKNPEEFRPERFFEEEAKVEANGNDFRYLPFGVGRRSCPGIILALPILGITLGRLVQNFELLPPPGQAKLDTSEKGGQFSLHILKHSTIVAKPRVF >EOY19711 pep chromosome:Theobroma_cacao_20110822:10:21763106:21766140:-1 gene:TCM_045017 transcript:EOY19711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSHITAKPSPPLTSTILPSPIRNALHMAPNIKGITALRHTTFLVKDYHVHTLHHHLRNIPQLDNHGPNPTHHKGSSPAPNPTQFLGLK >EOY17784 pep chromosome:Theobroma_cacao_20110822:10:857705:859667:1 gene:TCM_042503 transcript:EOY17784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase family protein MGNTCIRSFRSEFYQGYDMKKEKLSSSKLNKNPVKISYNIDSLQAHHILGHKTPNIHDLYMFGRKLGQGQSGATFVCTEIATGIKYACKSILKANLISKDAAEDVRREVQIMQHLAGQKNIVEIKGAYEDPLYVHIVMELCSGGDLFDRIKKRSQCYSEREAAKLIKIIVGVIEACNALGVMHRDLKPENFMLVNKDDDFSLKAIDFGYSIFFEPGQVFTDRVGSPYYVAPEVINKHYGPEADVWSAGVILYILLSGVPPFWAETTQAQFDATLKGHIDFESEPWPKISDSAKDLIRKMLCLRPSERLTAGAVLSKYELSIDSAAECKQII >EOY19790 pep chromosome:Theobroma_cacao_20110822:10:22548959:22552993:-1 gene:TCM_045130 transcript:EOY19790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MAEVAVSLVLERLIPLLNQEVKLLRGVHREVEDIKVELEFISSFLRDADAKAAKEDDNNGLRTWVKHTREAAFRIEDAIDEYILHVGKRHHQHRFKAFLRKIDCRVKSMRKRHEIASEIQDIKTSVREIRERSERYSFNTFASGGAAENKTWHDPRMGLHFVENDALVGIDSLKTELVSKLMHGESHRTVISLVGMGGVGKTTLAKKVLDEQIDTGHFDCHAWITVSQSYKVEELLKTMIRRFYESRKESYPSEVNAMDGNELISKSREYLRDKRYFVVFDDIWKEDFWGDVEYALFGNDRSSRIMLTTRSRNAADFCKRSSLVDVLELRPLPLELAQELLCRIAFQFDEDKQCPLELKELSFDIAKRCEGLPLAIVAIGGLLSSKGRDVGEWQGLHDSLQSQLESNIHLTRIKKILSFSYHDLPYHLKSCFLYLGMFPEDYVVNCARLVRLWIAEGFVKQRDGATLEDAAREYLTELIRRNLVQAEWVDFDGVVRDCRVHDLMHEVILSKSDELSLIQTSAKNLQCPNQTARHLSIRDESNNLSRSSGCSKTHSIIFFEVNEFPKSLLSSLFANFILLKELDFEGVPLNYLPEELGNLLHLKYLSVRDTKVKMLPKSLAKLRNLGTLDLKRSLVRELPVEINKLSNLQHLLAYSEDYDTRQGVKIRGTLRSLNSLEKLYYVDMNAQNNFGFIRELGSLKHLRKLGITNLKSEAGNALCNAIEHMSYLESLHVISVKENELLQLQSMSSPPLLLNCLRLQGRLEKLPDWISELKCLVRIRLFWSQLSDIPLKMLGGLPKLLELALYKGYNGEQLHFEDGYFPVLKELTLEGMDRFNRLIIDEKALCLVEMLWIESCPRLEELPSGICHMKCLKYLEISDMSKEFARRMLPDVGQDHWKVQHIPNKSSETTGGACLLIQDG >EOY17787 pep chromosome:Theobroma_cacao_20110822:10:866844:869895:1 gene:TCM_042506 transcript:EOY17787 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein psf3 MRSSGQKPITIPAFPFNFPSQITIPKFTIDKFFLLFWFSEPKEKLRDKLRTKMANYYNIDDILTEEEFVPVVFHKAANGVIIDPSSETNSVEQGAKVELPLWLAQELYLRQAVSISVPACFNQKTRLEIQADAACVDLKSRSPFFYEFGCKIAPLVGDKTVEVLLLSAFKIRYKEILTKAYTVAYTAASKFLTLLTKEETNLYEAAQSSMAAFKKWRMGGPRLQRASVLGRKRKPIE >EOY18282 pep chromosome:Theobroma_cacao_20110822:10:2715135:2718743:-1 gene:TCM_042876 transcript:EOY18282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MEFQHPSHNHPLVFEEERSHESDEKAYCYVCGEVVSGPTYSCVACGFHLDKNCAEAPLQMNHPFHRNHSLTLGSETPVKFWFNCDFCNKSLIVIVSLARYAKKPKPGDLFTVVQCARLPFTSICVLKGKLFYHEIASKDAFEKLIENPTLVDPTFLVIKEIKLGENVINREIKHFSHEHNLVLYDEVRDDKCCDCCSLLIETSFYHCSKCDFYLHKSCAELPMKSRGYFAHLSLNLIPNHFFKCRGCKSLHTGFAYNWKGFCLCVQCAEFSLSYASQAHKEHLLLYYKKYNGQCNACGNSIDNAAAYRCKSCNFNVHWACTLLPQTARHKCDDHSLKLTYYEVNDYSEYHSCDICEERKIPNIWFYHCALCDKSAHPKCVLGDYPFIKLGRRISAKTDHPHSLILVQKVYLYPDGTECSKCGQLCLDLALECTDTRCSFIIHWRCSSLKDFIEDHNIVELIAKDFIEDHNIVELIAIKCY >EOY20161 pep chromosome:Theobroma_cacao_20110822:10:25116392:25117260:1 gene:TCM_045546 transcript:EOY20161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLVPLLGPDDGTGSLGSMLRIMGPYFPASLGDLMRVPVWEFLMVTIWSHGRTCCWWWLGSFSCEVVVTTTSSLPSRGRARFKVGSVAACRPCERKPFRSLAEDPEAVEMIKKNTTTAAVISWCC >EOY18920 pep chromosome:Theobroma_cacao_20110822:10:6185337:6187801:1 gene:TCM_043427 transcript:EOY18920 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-glucose/UDP-D-galactose 4-epimerase 5 MAKNILVTGGAGFIGSHTVLQLLLAGYNAVVVDNLNNSSDIAIRRVKELAGEYGNNLYFHQLDLRDKAALQKLFTETKFDAVIHFAGLKAVGESMKKPLLYYNNNLIGAITLLDVMAANGCKNLVFSSSATVYGWPKEVPCTEDFPLAAINPYGRTKPFIEQICRDIQHADLEWNIILLRYFNPVGAHPSGYIGEDPRGTPNNLMPYIQQVAVGRRPALTVFGNDYSTKDGTGVRDYMHVVDLAEGHIAALRKLCDPKRGCEVYNLGTGKGTSVLEIVAAFEKASGKKIPLVMAGRRPGDGEIVYASTEKAERELNWKAKYGIEDMCRDQWNWANKNPYGYQSPPEWLVIFFLLLLVIVLANQHGP >EOY17902 pep chromosome:Theobroma_cacao_20110822:10:1400170:1404072:-1 gene:TCM_042603 transcript:EOY17902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTFSLTLREYVSLFFFLFFSFFFSLMGTGKNMIFTFFFFHMSSNPSEILPYGLEELCRQIGIPQMKKLFTVFVFFSICHCGIYSYTPPLLYCAAAAVATMMKGQPSALPMSHLHHSSRMVSPQLEMLLTSNHLLLGKKHQTG >EOY17706 pep chromosome:Theobroma_cacao_20110822:10:632005:632759:1 gene:TCM_042460 transcript:EOY17706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein MASSHLAVFISSLLLLPLAFPSIAAAYGDTLQGKKTEVVVEGVVYCQSCDNYGSWSLSKAEPIASAKVSVICRNDMDQVSFYKAFETDGNGYFFAELEGFQMSHSLLDHPLQSCRVKLVSSPLENCNLLSNVNYGLNGSPLRYENKRLHRKDYEVVVYAAGPLAFRPANCPAPTNY >EOY18733 pep chromosome:Theobroma_cacao_20110822:10:4685020:4691651:-1 gene:TCM_043228 transcript:EOY18733 gene_biotype:protein_coding transcript_biotype:protein_coding description:NBS type disease resistance protein, putative MALVGEAFLTASIELLVERIASPDVLNLFKGKKLEDGLLKKLKPALMSVKAVLDDAENKQITNPNVRSWIDELKDAVYDAEDLLDEIATEALRSRLESEDQTSIAKQVRSLFSSLNPFNSGMGSKLEEILETLERLVNQKDILGLKESTGEKSIQRLPATSLVDESGVYGRHDEKEAIMELLNPEYASANQIDVIPIVGMGGVGKTTLAQLIYNDKRVAEWFDVKAWVCVSEEFDALRITKTILEEITSSSDGSQNLNQLQLKLKGKLLGKKFLFVLDDVWNEKYVDWEELRSPFNAGTKNSKIVVTTRGENVASIMRTVPTYHLSILSDEDCWLLFAKHAFANTSPSMHPDLKEIGEAIAKRCKGLPLAAKALGGLLRCRTDVEDWNKVLNSSLWDITDDILPALRLSYYYLPSHLKHCFAYCSIFPKDYEFRKEELIRLWMAEDPLAYSGKKGNMEELGNEYFKDLTSRSFFQQLSGNKSCFVMHDLISDLAEFVSGEFICRLDGGDRLSCKITKKTRYLSNVQEEYDALKKFEALPEAKGLHTFLTLKSWPRHCYVTNVIMDDLIVKSRSLRVLSLARYHNINELPEEIGKLKQLRYLDLSETSIERLPNSLTTLYNLQTLLLFECKTIVELPEDMGRLINMHHLDIRGTKLVRMPPGMDKLKDLRTLTDFVLGEQNGSSISELGKLENLRGGLAISNLQNVVCHRDAKDANLKEKINLKELVLRWSGDCHRNDDREILEQLEPHTNLEHLAIEFYRDTNFPEWVGLSSFSNLVSLQLIDCKFCSFLPPVGQLSSLKSLSIEGFAEVVTVGDEFYGQGDASSKPFGSLEILRFKNMPEWEEWFCLKDGAFCLLQELYMVDCPKLTKSLPKHLPSLMKLKIERCGKLGGLLPRAPSMSELDLQECDALQWEPLPCGLRKLHIYELNMNDSILEQMVRHCTHLEKLKIWHCYGLKSLPEGSLPTTLKELWIRDCNALDYSKILLYTSLERLDIRGNCHHPLESFPIGSFPKLNLLDIDSCEGLKSFRALEGPHQHHACLNRLLIESCPNFISFPEDGFSATNLTLLYLIDCKNLKSLPEQMQSLFPSLEYFSIQYCPEIESFPKEGLPSKLKLIAIRRSEKLIAGRKDWGLERLPSLTTFRIFDAEEIESFPDEHMLPSTLTRLVIGNLPNLEFLDYKGFQHLTSLRTLVISECPMLQSMPAKGLPISLSSLFLVKCPLLEEIAKRRKVKIGQRLPTCLSLRMTDNSLFSRTIYVSRGPVSI >EOY18380 pep chromosome:Theobroma_cacao_20110822:10:3248006:3250365:-1 gene:TCM_042979 transcript:EOY18380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MALTHPDENVNYDETFITNSRGLKLFTCKWIPVDKEPKALIFICHGYAMECSITMSSTATRLVKEGYAVYGMDYQGHGKSAGLEAYIQNFDDIINDCSDHFTNICEKEENKGKMRYLLGESMGGALALLLHRKMPAFWDGTILVAPMCKIADNMKPSPPVTFLLRGICWLAPTWKSPIHRDIVEVAFKEPEIREEIRKNPYCYKGPLRMKTAFELLRVSLDIEKRLDEVKLPFIVLHGEDDKVTDQQVSKQLYNVASSTDKTLKLYPGMWHGLLYGEPLENIDIVFLDIVRWLEERTSLGDSRLEMERKLENDRLYVTKINKL >EOY17870 pep chromosome:Theobroma_cacao_20110822:10:1238174:1239585:-1 gene:TCM_042574 transcript:EOY17870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYADMWLLSSRKNGHFFDWKKECHYGGLVSDFQNGWMVPVSMITMLVFKVLDECFMCSDSVFAADFVAIIAAGCSWSLIFMFGCVFQALSGLALSSCSVNVQV >EOY19563 pep chromosome:Theobroma_cacao_20110822:10:18816186:18821201:-1 gene:TCM_044705 transcript:EOY19563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPATEGEVVRRLREKSEKKGEDTTAGEERRRRFLERRERAPRLERESIKTVRFGLHRAGFLKQAGVLNLGSHGSPSWANRSPTRKSICHLTTINRVDPIAYMESSWPSSSYDGIYQVTQHMASTQQSEGDCLSKDHFSSLPDRVHLDLKQNDFTDLLNIWDKWGATTRANFDRKYGHIARLLKVQVDEQLLKAIVQFWDPSYRCFVFNKVDMVPTIEEYSALLQIDLDNPDKIYWRGQKTGHRRKLAKMMDITSAEVDQNLRKKGDNECIPWSFLRGYIMKQRDTEQGQLVMALAIYGLVIFPKVLGHIEVGIIDFFEQVVNKANPSPSILAETLRSLNYCRRKGEGRFVGCAQLLSIWIVSHFECKVDKFRKPFHPQTAPIREXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLMSVEVTWRAPWMPHHPVLYKCGNEPWVPLMGPWGAISYAPIMVRRQFGSEQFVPMTHRLNTLEFAYGEPGFLKRIEEIAQAWKKTSQVDQGRYTDEVTTGYQIWHDQRVKDVVYPKEDALRGPVDPEPRDALLESELARKKSEAENASWKQRYEDLQKECEKMKREVSQQRKKVRKMEGKYESLNDKFSAITSELQREIQVREN >EOY19294 pep chromosome:Theobroma_cacao_20110822:10:16082882:16085771:1 gene:TCM_044345 transcript:EOY19294 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ MFGRAPKKSDNTKYYEILGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFQSFFGGNPFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNIICFKCKGKGSKSGASMKCSGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVVQEKKVLEVIVEKGMQNGQRITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKGDDLFVEHTLTLTEALCGFQFILTHLDGRQLLIKSQPGEVVKPDQFKAINDEGMPMYQRPFMRGKLYIHFTVDFPDSLIPDQCKALEAVLPPRTSVQLTDMELDECEETTLHDVNIEEEMRRKQAQAQEAYEEDEDMHGGAQRVQCAQQ >EOY19784 pep chromosome:Theobroma_cacao_20110822:10:22492171:22494326:1 gene:TCM_045122 transcript:EOY19784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MAEAILKTHDLDFCSRPRFLGQHKLSYNALDLAFSPYTFYWREIRKICIVYLFNHNRVLPYHPIRESEIARMIEKKSKSSYDLKPINLSELLMYVTSTITCRIAFGKRHDDEGSERSRFHELLNETQAMFGSFFVADCLPFMGWVDRLSGLLDRLKKNFKDFNLFYQKLIDKHLDPYRSKSEHKNIIDVLLQIWKDRVFEVDLTFDHIKAVLMKSCPGIYMGIATVEFALANLLYKFDWEMPVGMNKDDLDFDVIPGVTMHKKNAVCLVARKINV >EOY19824 pep chromosome:Theobroma_cacao_20110822:10:22916174:22923279:-1 gene:TCM_045182 transcript:EOY19824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEGEHLTKHEKDFDQIIEKLTKLGVKMGEEQITLMFLASLPNSFADALESVIHAKMMLTLAEAREEWRRIKAENVKTWEGEEERGAALGTSLLPITKGREYWNADERIRKGDTGRSVAAFDNFINKIGLLDLPLAVQNTHGVVIEMI >EOY19271 pep chromosome:Theobroma_cacao_20110822:10:15475285:15478250:1 gene:TCM_044286 transcript:EOY19271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, putative MVLPHMDAAPPIAMDATALWKEEKKSYVIDTPIPPVPVADASAEDKEAYQCHKDDDDQAACMMLASMTLELQKQHEHMDVQSMILHLRELFDREGRIERYEISKELFRCKMAEGSSVRPHVLKMIGLIERLRQLGLAMDHELSIDLVLQSLPDSFSQFMLNFHMNRLEATLPELLNMLDTAKRSIRKDKGSLLLLSFSKAHTKQQKKKAQKGKKVKSQNEKALKPKGGVKKDKETDICHHCGKLGHWRRNCKEYLATVSKKKKLIEVSDSGTKDKDE >EOY19244 pep chromosome:Theobroma_cacao_20110822:10:15096016:15099315:1 gene:TCM_044244 transcript:EOY19244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTKTDGHTVPTSACHAYAICCSIEHSADGLTSEWVINCTRALPKTEISKSSNLPSSSAKQTCCFHCSSIVSFLMEHVSGFEN >EOY19526 pep chromosome:Theobroma_cacao_20110822:10:18399252:18400809:-1 gene:TCM_044646 transcript:EOY19526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCIAINFKLDMSNSTLMGLLEVTLVSQVREDILRNEDGGNPGYAPFESDSYNAVKWIQSHKRQIRHIPRPANEAADNLAPQDLLWVNSEAAQSSRVSPATNIEDDASCQVVDLSYWVYRVELS >EOY18099 pep chromosome:Theobroma_cacao_20110822:10:2068657:2069551:-1 gene:TCM_042740 transcript:EOY18099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 1 MESEKQNGVSFFQMPLHYPRYTQKDYQDMPEWKLDRLLAEYGLSNKGDLAYKRQFAMGAFLWPDFHGHQSPSTYISYK >EOY18071 pep chromosome:Theobroma_cacao_20110822:10:1979704:1980495:-1 gene:TCM_042724 transcript:EOY18071 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative MNYCPPCSRPDLVLGISPHSDGGSLTLLLQDDEITGLQIRHKEEWIPVKPIPNSLVMNIGDAVEILSNGMYRSIEHRAITNEKKERISVSAFAFVDDELEIGPLDSMVEDLNRPRMYQKIKYVDYIGQVSARKMGGKAQTDLVKLQSQ >EOY19776 pep chromosome:Theobroma_cacao_20110822:10:22432135:22437928:-1 gene:TCM_045115 transcript:EOY19776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFTLQVILLFYKLCRNEVIFRGKSFSPNKMRDIALLRHMLWCRGKWELGHVPADLCLMEPLCSNINTKRKNQRMATNWSTPPPGTLKLNTDGAAKGKPGPVGIGGVIRNHHGFIWGTFSENIGIEDSNFAEFYAIREGISFFFSSPWAATHSLVVENDSANAINWAQHHCKVPWQMKNISNAIETFLRKSTRITFKKPTRLQTDWPKQGY >EOY19712 pep chromosome:Theobroma_cacao_20110822:10:21766450:21772310:-1 gene:TCM_045018 transcript:EOY19712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein with leucine-rich repeat domain MLVPLQSSIVFASLAAAAPAKEAETLLKWKASLDNKSQTLLSSWLGDSHCNWVGITCDEAGSMTNLSLPNYVEGLRGTLHSLNFFSFPKLMNLELRNNSLYGPIPSHIGNLSKLIFLDLSYNNFSGNIPSEICLLKSLELISLSNNRITGSIPQEIGRLSSVSYIFFNDNNLSGPIPTSIGSLHNLTTVDLSRNKLSGSIPQKIRRLSLATNILFRDNNLSGPIPTSIGSLHNLMRLDLGNNRLTGHIPGEVGMLRSLNYIDIAGNYLTGNIPESIGNLSKLELFYLYDNKLSGSIPSEIGQLGSLIVLQLLGNYLTGVIPPSIGNLTKLSNLLLSQNMLSGSIPREVAMLKSLSVLALAENNFSGSIPSEIGQLESLSILQWSDNYLTGVIPASIGNLTKLSKLVLSQNKLSGSIPQEVGMLKSLSVLVLAGNNFSGSI >EOY19554 pep chromosome:Theobroma_cacao_20110822:10:18693705:18696983:-1 gene:TCM_044689 transcript:EOY19554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative MADQNSNPTIPFDRIKLNVGGKLLETTVSTLQSGGPDSLLAALSNRPLHHDSNPIFIDRDPEIFSVLLSLLRSNSLPSAALRRFSLQELTDEALYYGVESRLRSASLPSPLRGIDAALVDTIRPAAEAVPSTFSAAEDGSLWIAHGGQISLYDSYLSYSTAVRTHLDDITLICRVWPDIAAVGSESSSGLHFYDLSSGRYAGSVHWTDQADPRIYKARVSAIANSPDSLFASFDCPHRENGVLVIDKSTLQVSSELARQSGTAAKNTVAGKLTWLPATGLVIGSAVTSGAFGYSGYIRVWDPRSRQVVWETNEPGSGRSSRFGDAFADVDVDADDLTLFKICSKSGDLAMADIRSLGEDPWVYMKDTNPSLRDTSCGRNNVILHCYRGQVFVGKDGGLEVWSREKPCQQVCESQTQSLRRNYVDKVEDAERGMIKRIEGGGQRLFVSREDVEGIEVWESSNYSGAVSVSS >EOY19883 pep chromosome:Theobroma_cacao_20110822:10:23473055:23476688:-1 gene:TCM_045266 transcript:EOY19883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase-like protein MALRGDYIMRYFHNVASASRRGNYIGKIISHGQTIEDPIEIKKEVARHFKTFYQFTPILRLNNLNCGIAKLLDRYAKSLELPFSEQEIFYTISNSDGSKALGLDGFNFSFLKSQWNLVRCLYKIVAKALALRLQKVIDEVVGINQFAFIKGRQLVDCAFTTNKLVDTMKENGNLPTTYLRLPLKVALHSTSLWQPIIHRSEGKLYMWKAKTLSMSGTLTLLRLVLSNGSRIKFWDEEWIDGCIFRFEFPRIYALAVKRMGKLMIMAFGIRMNGLGINGIVHEGKQWDGCQVFELMKVRVAWWMNAKWLDLNLYIDDLGIEHIPRSSNEEANELAKQGVQRTSNLLWITPELTTFNPRMTDVEHD >EOY18982 pep chromosome:Theobroma_cacao_20110822:10:7418383:7420218:-1 gene:TCM_043546 transcript:EOY18982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQDLITVAHWGDTEVDAKPCGVSIDIRGNECLSGCRDVVMGLMGVPGRDMKYRLNEKTPMQKDSHNCGDWVVAALQSLIGSDHQTLKANAIEGIRTKFALKIFANSSSC >EOY17836 pep chromosome:Theobroma_cacao_20110822:10:1026461:1035074:1 gene:TCM_042542 transcript:EOY17836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MPQFICLMGLAGLRMEVPWALIFAVSLLLCCNSQGPYLIGPAKLVVNGNDTDRQALLEFKAKIAGDQLGVMRLWNDSVHFCQWHGVTCSSRHQRVTKLELRSLKLTGIITPYIGNLSFLKVLNLSNNGFSHGVPQEIGRLHRLEELILDKNPLGGQVPSNISGCSKLKRLYIGHCQLVGEIPGVLGLLYNLKYLGLSNNSLAGSIPPSLGNLSSLEIVYLSINDLSGTIPESLGQLRNLTVLSVPMNALSGIVPSSIFNLSNIRTLDIGSNQFQGSLPTDLGITIPYVETLYVSRNQFSGPFPLSISNASNLINLEFSFNKLVGKLPSFEKLDKLEWFTLTDNLLGSGEVNDLDFVCSLMNATSLVALEINYNNFGGVIPTCISNLSTNLIFFLLDGNEISGTFPVGIGNLINLEMLLAGSNQLSGSIPSTIQRLQKVQWLDLSNNSFSGSIPSSLGNLTMLLQLKLSQNNLKGTIPSSLSKCENLVLLDLSNNNLTGSIPPEVLGLSSLSLNLDLSSNYLTGVLPNEVGNLKNLGQLSVSQNRLSGVLPSSLGVCVRLEKLMVSENFFHGTIPSSFSSLRGLTVLDISHNNLSGEIPEFFANFTLQYLNLSYNDFEGMVPTGGVFNNASATSIEGNNKLCGGTPEFHLHGCNLKRSTRKSSSRLKLIIAIVFGLLGVTLVLLFLLVFWFRKRRKQPASTSPENSLLRLSYQSILKATDGFSSANLIGVGAYGSVYKGILQENEIVVAIKVLNLLNHKASRSFMAECEALRKIRHRNLVKVLTACSGVDYHGNDFKVLVYEFMSNGSLEDWLHSSIEIEADSKKSLNLYQRLNVAIDVACALDYLHHHCDQTSIVHCDLKPSNILLDDKMTGHVGDFGLVKFISEDTQNYSASQSSTLGLRGTIGYAPPEYGLGSEVSTYGDVYSYGILLLEIFTGKRPTDEMFKDGLNLHNLVKTALPERAVEMTDPILLQERVTGETVANTSDCNESSQSNKILLQCLNSIYEVGLTCSAELPTVRMNMSEVVAELCLIRNKLFPTKQRPERHIQSNHKVF >EOY19194 pep chromosome:Theobroma_cacao_20110822:10:13883293:13885736:1 gene:TCM_044134 transcript:EOY19194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 77A3 MATSSYHFTSYSFSSNSHLFFTLVAFVLSCLIFFLSRKSKSKRLNLPPGPPGWPVVGNLFQAARSGKPFFEYVEDLRKKYGPIFTLRMGTRTMIILSDAKLCHEAFIEKGALLASRPRENPTRNIFSCNKFTVNAAVYGPVWRSLRRNMVQNMLSSSRLKEFRSTRQHAMDKLIDRLRAEAEANKGVVSVLKNARFAVFCILLGMCFGIEMDEETVEKMDQVMKTVLITLDPRIDDYLPILSPFFSKQRKRALQVRKEQVEYIVPFIEKRREALLNPGSDKSAMSFSYLDTLFDLKVEGRKSAPSNSELVTLCSEFLNGGTDTTATALEWGIAQLIENPDVQSKLYDEIKWTVGDRKVDEFDVDKMKYLQATVKELLRRHPPTYFSLTHAATEPATLGGFDIPTDANLEIFLPGISEDPKIWSNPEKFDPDRFFSEKEAADITGVKGVKMMPFGVGRRICPGLGMATVHVHLMLARMVQEFEWTAYPPNSKMDFSGKLEFTVVMKNTLKAMIKPRG >EOY17915 pep chromosome:Theobroma_cacao_20110822:10:1425026:1428017:-1 gene:TCM_042611 transcript:EOY17915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase 2-beta-glucanase 2, putative MPSSLLLHLLFLSFLRFTSSVPPIGVTYSSVSTTTSTTVPPPPPPNKISTTISALKFTHVRLPDSDPSLIRSFAFTNTSLFLSIPNTFLPALASNRSHALRWLYRHVLPFYPRSKIALISVGNAVLDSTGEDFTPFLLPAIRNLHLALQELGINKIPISTTFSFFSTITTAFPPSSAQFQQPAGDLIIKPLLQFLQQTNSSFLINLYPYNLFRLNSEIPIGFALFQDYPFNFRDDLVTGVRYFNLFDMMADAVIAAMAVVGHENIPLIVAETGWPSGGGDAGEVEANGVYAEMYLKGLVGRLKSGVGTPLRKDGLAEVYVYELMDHDGVDKEKGRKWGILSENMTKKYNVEFSCGAKNFGLTGLLMVVVVVVGVFAASLNLGQWHLTSKVEAILGIGEVDGLDLDGEGLMGAVTSKISNAVLLICRGIIAVICTRKVSLNFRICYKNKLADRKSNLTSDI >EOY17531 pep chromosome:Theobroma_cacao_20110822:10:107842:116071:-1 gene:TCM_042344 transcript:EOY17531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance protein ABC transporter family MDVFTSFIATNSKFLQFPETWMQLKSPCFWEEVSVIMQLGFIVIALLHFVQKSVALMLKHSRKVANQAAKNYPIGAKVSFCYIASIVCSTLMLSIHFIKLLMLLNSMNDTHCNSILQAYSSEIMQLMSWAVTLIAVCKIPNKGHIRFPWILRAWWVCSFLLSIICTVLDTYSRTAEHGHLKMRDYADFIGLLASFLLLVISIRGKTGLVFIDSNNIAEPLLTGKTDKHSKQERESPYGRATLLQLITFSWLNPLFSVGVKKPLEQDEIPDVDVKDSAEFVSFAFDQNLKQIREKDGAANPSIYKAIFLFIRKKAAINALFAVISAGASYVGPYLIDDFVSFLAEKKTRNLESGYLLALAFLGAKMVETIAQRQWIFGARQLGLRLRAALISHIYKKGLVLSSQSRQSHTSGEIINYMSVDIQRITDFIWYLNIIWMLPIQISLAICILHTSLGLGSLAALAATLIVMSCNIPITRIQKRYQSKIMDAKDNRMKATAEVLRNMKTIKLQAWDSQFLQKLKSLRKIEYEWLWKSLRLAAISAFIFWGSPTFISVVTFGACMMMGIQLTAGRVLSALATFRMLQDPIFNLPDLLSVIAQGKVSADRVASYLQEEEIQQDAIKYVPKDQTEFEVEIDNGKFSWDPESGNPTLDGVQLKVKRGMKVAICGTVGSGKSSLLSCILGEIQKLSGTIKISGTKAYVPQSPWILTGNIRENILFGNPYDYNKYDRTVKACALTKDLELFSCGDLTEIGERGINMSGGQKQRIQIARAVYQDADIYLLDDPFSAVDAHTGTQLFEDCLMGILKDKTTLYVTHQVEFLPAADIILVMQNGRIAQAGTFEELLKQNIGFEVLVGAHSKALQSVLTVENSSRISQDPPTDGESNTDSTSNAQLLQTQQGSEHNLPLEITENGGKLVQDEEREKGSIGKEVYWSYLTTVKGGLLIPIILVAQSSFQVLQIASNYWMAWASPPTSETEPTFGMNFILLVYSLLAVGSSLCVLVRAMVVAVAGLWTAQKLFINMLHSILRAPMAFFDSTPAGRILNRASTDQSVLDLEMATKLGWCAFSIIQILGTIAVMSQVAWEVFVIFIPVTAICIWYQQYYIPTARELARLAGIQRAPILHHFAESLAGAATIRAFDQENRFIDANLGLIDNHSRPWFHNVSAMEWLSFRLNLLSNFVFAFSLVVLVTLPEGIINPSIAGLAVTYGINLNVLQASVIWNICNAENKMISVERILQYSNLASESALEIEECRPPNNWPEVGTICFRNLQIRYAEHLPSVLKNISCTFPGRKKIGVVGRTGSGKSTLIQAIFRIVEPREGSIIIDNVDISKIGLHDLRSRLSIIPQDPTMFEGTVRGNLDPLVQYSDNEVWEALDKCQLGELVRAKQEKLDATVVENGENWSVGQRQLFCLGRALLKKSSVLVLDEATASVDSATDGVIQKIISQEFKDRTVVTIAHRIHTVIESDLVLVLSDGRVAEFDTPAKLLEREDSFFSKLIKEYSMRSKSLNSLANLHI >EOY19448 pep chromosome:Theobroma_cacao_20110822:10:17788527:17789850:1 gene:TCM_044573 transcript:EOY19448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MCFVHKRKVGKLEPTALKCVFAGYSATQKGYKCYHPPSRKYFVSMDVTFRESELYFYTPQSPLQGENKEEEVDVIAPALIQFFSQNPSYDKEQPKTRRLDRPNLKTYTQQEKTNKAIQYVIPDQAPSLSSIPKNGWQEAYHDPKWKEAMVEEMKALAKNQTWELVTPPLGKKPIGCKWMFTMKHMTDGLVERYKARLVAKGFSQTYELTLIGTYNSDIKNAFLHGNLEEEVYMEIHPGFDDEKTKGKVCRLKKALHGLK >EOY18115 pep chromosome:Theobroma_cacao_20110822:10:2105954:2109331:-1 gene:TCM_042749 transcript:EOY18115 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAP four-disulfide core domain protein 8, putative MKFEDLLMERSEEEKKKLQLQEEVDNLQAELDEEKEINRVLRCALRGPELSQPLLSSLVPPRVQALLAELAMVEDEILSLERKIDELKMKLYQEKKQTKEWKMQQLQQQKNQLICEPGNVSVQLDDLKQRTRSQNYEVFDKGKIKSHRRASLGSALDTLSLSSSECTDEFRERSKKHTWRIQSQCPINKELIYEKPNALSEELVKCLIGIFLELNQASQDREGLAIVPKLSFSCMASKGYTAKPSLNFKSPLFPFNQNTSNIDPYNTLPELVGMLRDIGPYKNFIQITRHSLDVSRFSECLEAIGKLRVLMHKLSNVDLTFLTYKQKLAFWINIYNACIMHAFLEHGLPSTQEKLLALMNKAALNVGGIVLNALAIEHFVLRHPCESKYGPMDEKEMLLRHAYGLGYPEPNVTFALCRGSWSSPALRIYTADEVVNELGRARVEYLEASVGVTNKRKILVPRLLQWHMRDFADDMESLLEWIYSQLPRSASLKRLIMECLNKETKSLMTRMVEVQHYESEFRYLLPL >EOY19327 pep chromosome:Theobroma_cacao_20110822:10:16447447:16465614:1 gene:TCM_044396 transcript:EOY19327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWCLYNESLFEHHIVYSTHQEMDANPCLLVFKLIFGLGLLLSHTTHVVEANEAVSAPSSADANVPSFHFPYKFKAVSKRLKSPQLPTPPSPTPNGDVHTRTAPMHQYNRKSPPRQLSRSPSPPPPPPPPSPLEPPV >EOY19273 pep chromosome:Theobroma_cacao_20110822:10:15567391:15576599:1 gene:TCM_044295 transcript:EOY19273 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein MTTLQGKHANGENAWIGIDENTGAIADMKEQKIWDAYNLKAQTFKTAIEMACMLLRIDDIVSGIKKKQAPRANQAPSKPKVETQADHYKKTNFFRWKKFIGNFQ >EOY19664 pep chromosome:Theobroma_cacao_20110822:10:19688896:19689881:-1 gene:TCM_044831 transcript:EOY19664 gene_biotype:protein_coding transcript_biotype:protein_coding description:QLTG3-1 protein MASKVTASTALFLSFNLLFLAFSVSSHNVDDPSNNDATINPHDSSSGVGEILDGLLNGDSSNGKGLINFDDLSNGSGDNSNNNSKDSATNSENPVVNLAAAAMVLGALNQQGKSTCKPLNLGVCANLLNGLVKVELGDVPTKPCCSLIQGLADLEAAVCLCTAIKANVLGIIKLDLPISLSVLLNNCGREVASDYQCTP >EOY17897 pep chromosome:Theobroma_cacao_20110822:10:1369049:1370308:-1 gene:TCM_042598 transcript:EOY17897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVESSQNLWIGRENRVEQVKRLYPPSFIRNFRKEEPDVKRDYILILIIHSTEGIDPPSQYPGVTSRNYRVFASIQPGTQYLTEEAGGYPDVIWNERFDIPLKNRVPLQSNFLSLEVIRVPSKCDPGPSRGVVVVGRAKVPFPKEIGKRQCNRLGLARFVEGAVLGEGHITVSMILVENLRHTAELVGN >EOY19464 pep chromosome:Theobroma_cacao_20110822:10:17921069:17942487:-1 gene:TCM_044585 transcript:EOY19464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEMQSVLVKVWVTSLLLGIFTIIIHLFDTLILKPGRLRSRLQKQGIQSPPSSMLLGNLRDIKKTRLKASKSLEEGEQVITHNCSSLVFPYFDKWREQYGSTFMFALGNTQILHISNPDLLKEISISTSLDLGRPSYQQKALGPLLGQGIVASNGALWAHQRKILAPEFYMERVKGMVKLMVESSIEIVNLWNSKIDSEGGVADIKIDDYMRSFSGDVISRACFGSNYSKGEEIFSKIRALIDYMPARVLYLSIPGMRYLPIKINRETWRLEKEIRTLILQVVKEREEGTSEKDLLQMILEAAKSSDSGQDAANRFIVDNCKNIYLAGYETSAVTATWTLMLLALYPEWQEKVREEILDICRGELPNADVLLRMKTLTMVINEALRLYPPVSLMTREALEDIKLGNINVPKGVNLWILVVTLHKDPNIWGAEADKFNPERFANGVTGACKFPHVYMPFGTGSHTCLGQYFAMAELKILLSLLLSNFSFSLSPRYRHSPAMNLIMEPQYGVDLLVRKL >EOY18966 pep chromosome:Theobroma_cacao_20110822:10:6971090:6975087:-1 gene:TCM_043507 transcript:EOY18966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MAMERLRRAAEAGDIDELYNSIGEDADVLRRYDDAEFADTPLHVAAERGHADFAIAIMYLKPSFARKLNQGGFSPIHLALQQGHTSTVFRLLDVDKDLVRVKGKQGYTAFHYVVENENLELLAQFLKDCPACIEDVTIQKKTALHIAAEKHRFEALEILVRWLERTHLYGKVSRKHLLNAKDTDGNTVLHLAASHIQPKMIRLLLDCMVDTKAVNSENLTALNVLGRQRDGVIEDKEISLKILHDAEGSAGLSALFKPNPKAEPLHEKFRSRITFLEKAAIRALGPIMNMSVESMNAFLVALALIITATFESLLSPPGGVWQGNDPNGTRVSNSVMDVNTFLNFFGSLFCLAFMSFSLTIAILQLATGSSVIAILAEVLMVLLTRSLYYAWWTIRPAHDIYIYSKDPDIAGLVIALLIFSVLEASRWFFKIFKRLYLNHRM >EOY18965 pep chromosome:Theobroma_cacao_20110822:10:6819370:6835002:-1 gene:TCM_043498 transcript:EOY18965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MDRKKVHGNPHHCSDREGLTKLNQGVFSPIHLALQQGHTSTVLHLLDVDKDLVRVKGKQGYTAFHYVVENENLELLAQFLKDCPACIEDVTIQKKTALHITIEKHRFEALEILVRWLEKTHLYGKVSRKHLLNAKDTDGNTVLHIAASHIQPKMIKLLLDYKVDTKVINSENLTALKVFVRQRDAEIENKEISLKILRDTEGSAGLSALFKPKPKAKPVHEKFRSRITFLEKANKINSSSLYSIEHPAKSGYCENLTISCPI >EOY19628 pep chromosome:Theobroma_cacao_20110822:10:19321679:19325921:-1 gene:TCM_044764 transcript:EOY19628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+ antiporter/cation exchanger, putative MGMSKTEYVATLRELLGHLAEERTPEGLPRVSKAVASDYSENIEDIASKLTATLPNIKVPQEAVTRNSFEQSPKSDAENHKPSSPGLRRRAVPTSSIKDRMQDRTEAYTSAPVKLDAAAEAHIQKHKKLQEDLTDEMVGLARQLKESSLMMS >EOY19313 pep chromosome:Theobroma_cacao_20110822:10:16313769:16324686:-1 gene:TCM_044378 transcript:EOY19313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRPSAYGDPNVNPYATSEMQHMSAQRIVQHNAAMNNFSVEKDAKLRFSRVDGQWQRNRDAPKLHDQMPSHAFNQGKEKRGCEQEQITKLVVPSLEWFCICIFLSIYYGSVQEVNTTRSYHQGQALDLLENSANVENNAQSREQDIEIRYEDNRSPLTFEGLERKFHDEIMKLVKEQSDAEDKEIARHKEKIMEINTRYQEKLSALRAQHANRREEVLLKELQTRLHQYQQAGISSHLNSGLQDARGYGGTAVAAAAGETRGYTTGQFHSYRDQSRFNAGQTTQGSEVRVPYPEGHFNHTSAQYF >EOY18997 pep chromosome:Theobroma_cacao_20110822:10:7651422:7654663:-1 gene:TCM_043569 transcript:EOY18997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein MGTVAPNSNDLSSTDKENGVVQSPEELDAGALFVLKSRGSWLHCGYHLTTSIVGPVIFSFPFALALLGWVPGVLITALQGLVTFYSYNLLSLVLDHHAQLGKRQRRFRDMARDILGPRWGKYFVGPLQFAICYGAVIGCILLGGQSLKFIYLLYNPSGKMQLYQFIIIFGAVPLFLAQIPSFHSLRHINLASLLLCLAYSACVTAGSIHIGNSRNAPNKDYSIQGSEENRILGAINGISIIATTYGCGIIPEIQATIAPPVKGKMFKGLCICFAVIVSTYISVSISGYWAFGNQSQPTILSNFMGEKRPLLPTWFLLVTNIFTLMQLVTITVIYLQPTNELFEKWFANPKMDQFSARNVMPRLVFRSLSVIIASTLAAILPFFGDIMALFGAFGVIPLDFILPMVFYNLTFKPSKRGLVFWGNTLIAVASSALVAIGAVASVRQIVLDAKTYSLFANM >EOY19964 pep chromosome:Theobroma_cacao_20110822:10:24142244:24145292:-1 gene:TCM_045367 transcript:EOY19964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin 4, putative MGHPAEVEVLSKALSGIGVDEKSLVSILNKSHHEHKRSIRRGCSQFFFEDERQFERWNDDAIKTLKGEFKRFKDAVVLSLMHPWERDARLLKKALKKGPQQYGVIVEIACTRSSEQLLGARKAYHSLFERSIEEDLAAHIKGSERKLLVALVSAYRYEGPKVKEDTAKSEAKALLNAIKNADKRRLIEDEEVIRILTTRSKPHLKEVYEQYKKISGKSITEDFEAELFLKETIECLCTPHTYFTKQENLSIDCLSFQVFDTALRVDANEDAKKALTRLITTQEAGYFKGVSTKFAHKIEDRVKGAYKEVLLGVLARGEMNGQV >EOY18000 pep chromosome:Theobroma_cacao_20110822:10:1726590:1738487:-1 gene:TCM_042677 transcript:EOY18000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPP8 MADAIVSLAIERISDLLIHEAVFLRGVREEVEGLKAELERMKSSLEDADSRQEQTKLNRTLVRQIRDLAYKAEDVIDDFVLQVAHEGGFDGIMKRFTKPFHLHKIGKKVKAIQTELESISKKLPAYNQISGGEGSRSISEMQQRLRRTYTHVEEEDVVSLEDTTKEVLAQLMTEEDRLHVVVSIVGMGGIGKTTVAKKVYKHDDVKRHFECCAWAFISQQCMPREVLHDLLLKLLSPSKEERELIDKLKEHELVKRLYDVLKEKRYLVVLDDIWRSEDWDNFKPAFPRGRKGSKILFTTRHKELALHADPCSSPIEVQFLTDDESWKLFKMKAFPGKKTEFHACPEELEMLGREMVKKCGGLPLAIAVLGGLLATKKSPAQWEMVHSDINAHLNKFQQEDHRYGGVNGILALSYNELPFHLKPCFLYLGHYPEDWEISKRELIRLWIAEGFISPSWKSGEMLMEDVAEQFLEQLINRCLVQVGKRDHTGTRVKTCHVHDLLRDLCVEKAQEEKFLKVFQPSLNESDGNSLHVTLTVSMARRIAIHPSKRYVSLKGKHPNLRTLLVFQNEELIRLHISIPNNFKFLRVLNIARNDMPFNWYVSSEIGNLHHLRYLKLRSAATIILPRSIGKLKNLHTLYLLNDVPRIPDVLFKLRRLRHIVVGDLYNYVPLLLRGALKNIETLKYIESKTLIENNAVLDLTNIRSLGIRFQRIKDVKPILKALIKSQRLGSLNMRLEDSITYPDLEPLSHCHHLSKLFLRGKLREDPHLSHHILKFLPTNIVKLTLWDCEMKQDPMAVLGKLSHLRTLLLAGFSYRGTKMVCTANEFLQLDFLDIWNLFELEEWQIEEGAMPRLRGLSLAWVSNLRSLPEGLRYITALQEMKLYEMKRSLVERIQVIDGREGEDFSNVRHIPSIQIDYLLIHEAFFFDDVRQEVESLKAELERMKSFLKDVDRKQGQDDRLRTRVREIRDLAYDAEDVIDSYILKVANLGGFHRIIKRFSTLHTHKIGKQVKAIQTKLGDISKTLPAYGISGEGEGSNFSVEMQRRLRRSYPHVEEDDVVSLEVSTRDVMDQLMKKEDRLRVVSLVGMGGIGKTTLAKRVYNHNDVKRHFDCCAWVFISQQCMPREVFHGVLIKVLSPSREEREVIDRLKEHELVEMLYDILKEKQYLVILDDIWRCEDWDSLKPAFPKGNEGSKLLFTTRNKEVALLADPHSPPIELPLLTDDASWNLFKRKAFLENKMESHVCSKEFEMLGKEMLKRCGGLPLAIVVLGGLLATKKSWNEWEMVQKNINAYLNKVQQQDYGGVNGILALSYNELSFYLKPCFLYLGHYPEDSEISKKELIRLWIAEGFISPSPEGGEMLEDVAEEYLEELTNRCLVQVGRRDHTGVGVKTCRVHDLLRDLCMSKAREENFFGIVQPPMSGNKNHYLRLTVAALSKARRIVVHPSKRYLGFQSDEVVLPRSIGKLKNLHTLFILAKFPVKIPNVLSKLGRLRHLILIRWYGLKRFHKIKGFCQVNTLENIETMKYIRVEDLTKNNALLKLTNIRSLGIQFTRSEDVEAILRSTSFGLDSLRSLHMELVRSTPFPELEQLSQCHHLSKLLLRGRIPEDPESSHHVLKFLPTNICKLTLCYSHINEDPMPVLEKLPHLRILCLESLSYTGTAMSCSANGFPQLDSLDIYRSNLAEWQIEEGAMPCLRSLNLTDVAGLKMVPEGLRYITTLQQMKLEGMNRSLIERIQVMDGRKGEDFYKVQHVLSIQIIRS >EOY20267 pep chromosome:Theobroma_cacao_20110822:10:25433960:25441445:-1 gene:TCM_045622 transcript:EOY20267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPDYCTHCCQVGHNASACLVLGNKPKKQGLVSTKPLGSKKRLTDDDSGKAGDERQKPSMGEKIVIGDDRKREKVGTTDLEKRNILSGDEPLKQTTQGEAVREMVVEDLGKRKKLSLEEPRQNKQWQVIGKPSTSGLKHSKRVDIEAAMAKHASGQTGDVNSNLMGKNNFLGASLCTTERQGSVAVGLDRKQEDRRMSRDELKDDQYPQAVYEKGEELIVVEKVATIANRNSTHARVVVSWQTGANTNDSVEQVRDFNGVKWALEAGQVTVRKPKKENSHKTEDRLSVAAVYGEGLTKSATEQQSENVNMQSKSLSLNVEEVQDDGDNSSKNVMRLSQLESKRSPSQRGCFHDTVHLIATDGNASVLKTVADTCDTLEGRDENDPHMGLYLTICGLNKALSIVPSNGGTSSFPTHAVHAGSNDTGSRLSPVQPHAVYASDNLEVHPCVSRRRKSESSLYSQGNWNSLNASEPVEERLELWNCLRSISWDMQGPWMVGGDFNSILNSTERLHGAQPHSGSMEDFATMLLDCGLLDAGYEVPRGPSNFRFLHAWTHHHDFIPFVERSWKMPMQATGMLAEAEAAEKELLFQQDPSILNRNLMHKAYAKLNCQLSIEESFWQQKSGVKWLVEAPSLSKIKEVVFNINKDSVASPDGFSSLFYQHCWDIIKQDLLEAVLDFFKGSSLPRGVTSTTLVLLPKMPNACQWSDFRPISLCTVLNKIVTKLLANRLAKLLPSIISENQSAFVNDRLISDNILLAQELIGKIDGKSRGGNVVLKLDMAKAYDRLHWDFLYLMLEHFGFNTQWINMIKACISNCWFSLLINGSLVGYFKSERRRYHSLQYLSGCLMPISHLSFADDIVIFTNGCRSSLQKILNFLQEYEQVSGQQVNHQKSCFITANGYALSRRQIISHTTGFHHKTLPVTYLGAPLHKGPKKTAWSKITFPVSEGGLDIRNLRDVFEAFSLKLWWRFQTCNSLWTRFLRTKYCLGRIPHFVQPKLHDSQVWKQMIVGRDVALQNIRWRIGKGELFFWHDCWMGDQPLATLCPSFHNYMSHVHKFYNGDVWDIEKLNSCLPTSLVDEILQIPFDRSQEDVAYWALTSNGDFSFWSAWEAIRQRQTPNALSEESLIHVLWENPVAKQAELHALLRGLLLCKEWNITNLWIEMDALVAVQMVQQSKKGSHDLRYLLESIRMCLRSFSYRISHICREGNQAADFLSNKGQTHQSLYVFSEAQAGDL >EOY17964 pep chromosome:Theobroma_cacao_20110822:10:1597690:1598214:1 gene:TCM_042649 transcript:EOY17964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein MKYICFLVFVAILGIAVLNIAEGAGECGRSSPDREAWKLAPCAMAAQDSNAQVSDSCCQQVKKMGQNPRCLCAVMLSNTAKSSGVKPEIAVTIPKRCNIPDRPVGYKCGDYTLP >EOY20060 pep chromosome:Theobroma_cacao_20110822:10:24627586:24632213:1 gene:TCM_045460 transcript:EOY20060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLARDNPPTGVLMMGAKLTTTTGLSGIGAIMLTWAYRTVVEPLLHPEGAKPGECVSFSGIDGKPEDVLNPGRQLEKTTLYCAFLNLGIRSMGLVSVITVSFLFSLLYLASGFKFLEYPHFLIFN >EOY19996 pep chromosome:Theobroma_cacao_20110822:10:24288417:24288852:-1 gene:TCM_045398 transcript:EOY19996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVEMSLADSLMKVLMFLIVQGLVYLILSKSSDIFSKNKMRSLSFKRARSLSIRRILASISDLPQGVEPSPPSRSLRSPAQEYPTIEEYESY >EOY18633 pep chromosome:Theobroma_cacao_20110822:10:4118014:4120558:-1 gene:TCM_043133 transcript:EOY18633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar membrane ATPase 10 MEASRGQNGIQQLLAAEQEAQHIVNIARNAKMARLKQAKEEAEKEITEFRAQVEYEFQKKVAESSGDSGANVKRLELETDAKINHLKNEAARISQDVVQMLLKHVTTVRN >EOY19666 pep chromosome:Theobroma_cacao_20110822:10:19697603:19700258:1 gene:TCM_044833 transcript:EOY19666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTWNVEKINNIFLPYERGLILSMPLSFKRPKDRQVWFFNTHGRYKVQSGCRLIQAASLNNVVGCLNGNRLGLWKKIWQLNIPRKIILFVWKTINGILLTREAIIQSKVNVEGNCPNWENELELNTIVCVVAHWLGQTVKWSLPRVCKMNVDAALVRLDDEKKMGASFVVRDESGQLILAGASKLNFRTSAEEAELVAVVWSLQCCKREGLTIPELELDSLMVVNWIKEKKVTGVFGNTVRDFFDLMRQVGCEYVQYCPRVCNNVAHLVAKRVKEMAEEAMAWRRIEDMYSNIQHAMLRDIRSSN >EOY18717 pep chromosome:Theobroma_cacao_20110822:10:4638853:4640139:1 gene:TCM_043214 transcript:EOY18717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein MKWKKDPFFDSIMHIHKSIELKPIIQLKNFIAKDPSGCIPISAVSKRGLEFDISIKVARFLRQYPSVFEEFRGPEYNLPWFRLTPEAAEIDREEKRVFDECKEDLKDRLKRFILMSKDKVLPLKIIKGMEWYLGLPEGFLEDSKGNLDESFRFMDMEDGLKGLAVESELEKVLSTMQRNAMKNGVYFGGTMEGIEFPIFPSKGLRLRRKIEGWLNEFQKLPYVSPYEDFSHLDPNSDVAEKRVVGILHELLSLFVEHSAQRKKLLCLKKYFGLPQKVHKAFERHPHMFYLSFKNKTCTAILKEAYCGKSSIERHPLLGVRKKYIRLVKESGRILKNRKTNNQFIKQEKLEKDSDSDSESDDRTELPL >EOY17798 pep chromosome:Theobroma_cacao_20110822:10:913461:916782:-1 gene:TCM_042517 transcript:EOY17798 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein MVGPARPQFVLFGSSIVQLSFSNGGWGAILADVYARKADILLRGYYGWNSRRAVEVLDHVFPKDAATQPSLIITYFGGNDSMGPHPSGLGPHVPLNEYIEHMRKIAIHLKSLSDSTRLIFLTCPPVDEDRVSKNTSEFFSHLIRTNELCQKYSEACVKLCQELDVKVVDLFTAFQRRDNWTADCFTDGVHLSAEGSKIVVAEILKVLKEAEWQPSLHWKAMPTEFSEDSPYDLVAADGKTTLNPSEWTFHRAIQWD >EOY19508 pep chromosome:Theobroma_cacao_20110822:10:18287834:18289350:1 gene:TCM_044628 transcript:EOY19508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAIPAVSLALQHAPGVPVSGCQLRRNLVVTRTHNTSIMAAKSNPAVQYEAGVLVSTPCQLDRNWGSTKREKTIVAAFPAPVEYSTGVPVQTDVKLTNSSDANLKLDDVNFWEGSLEDDKVPKDIESSQELEFSHPADAKGSVGGLEYKFGENLKWIVAWSNSKNDSNKVYAQIVDQNSTVRWAQIKEALDKSGSTFDTGEQFGYSSSVEIHPTSATPKMTVTFANGKPPPPQ >EOY18340 pep chromosome:Theobroma_cacao_20110822:10:3106692:3107318:1 gene:TCM_042945 transcript:EOY18340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTEESFSITIGRPFSMQERVRNAAKEGHVEALYELIREKADFLKDIDQMEFVDTPLHVAAAAGRTGFCIGVDGLKAITCYEAEPRQVEPHAPCVAE >EOY19261 pep chromosome:Theobroma_cacao_20110822:10:15268715:15273570:1 gene:TCM_044269 transcript:EOY19261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDHYKINLGSVYMHDMICNLSDYRLNAVELYKGLVVTTPLREGFIAEYEYRAGVVQLSPNYASVDYHYKKVKFEYLEEKPFYIKGDRSIVSNSIVLAMTTSRMIKSTHFLLVKTTYGAAQYARLYIDEIVHGVLVTVVSDRGT >EOY17869 pep chromosome:Theobroma_cacao_20110822:10:1235357:1237361:1 gene:TCM_042573 transcript:EOY17869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane intrinsic protein 1,4 MEGKEEDVRLGANKFSERQPIGTAAQSQDEEKDYTEPPPAPLFEPGELTSWSFYRAGIAEFVATFLFLYITILTVMGVVKETTKCPTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYMVMQCLGAICGAGVVKGFMGKRRYGALNGGANFVAHGYTKGDGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKERGWNDHWIFWVGPFIGATLAALYHQVVIRAMPFKSK >EOY20080 pep chromosome:Theobroma_cacao_20110822:10:24700731:24701854:1 gene:TCM_045478 transcript:EOY20080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIQSSILFFVFFRKTKCYNIIPFHHAKRITELMLTHLFYIDSFTKFMFPITTYKCLVLLNYRVKEFKDKN >EOY18051 pep chromosome:Theobroma_cacao_20110822:10:1890289:1891376:1 gene:TCM_042709 transcript:EOY18051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTPHLLKGPTPTERDPKSPSFKRQIKQPRVMPHKSKKPPIVPRRHHPAKLSRIKSAPNLPFPFHLFRFCFSVFPLSVMEIYEHEEGYMTPRRPIQTASPPCPPAPKKKRPVYEKVEPPKGGFFKPPGLEALFSDLVPRREACV >EOY19637 pep chromosome:Theobroma_cacao_20110822:10:19404100:19406624:-1 gene:TCM_044778 transcript:EOY19637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLCYLSIIITAPSQLLPKTLRPSSSASSSPFLTWTQICDSCNMLSGQQRIAEATTRFGSRDGVWTRVQPNLFKAFQPATFQVWLIREMKGKNSGNKINSELDKPS >EOY19151 pep chromosome:Theobroma_cacao_20110822:10:11141700:11142491:1 gene:TCM_043925 transcript:EOY19151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLTSFHSHPFKAWLSPFGLFSFSIFFLSFFPSWILRPLSSFSFSNLLFAFFFFLDFPLSIFSFFFFFSILFLSFFSSMAFLLSSELIFFLFSFLLAHFSWFPLVFDLCSSYFLSFDPFFLVFFGV >EOY19200 pep chromosome:Theobroma_cacao_20110822:10:14117748:14126800:1 gene:TCM_044157 transcript:EOY19200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon, unclassified-like protein MRTSSEIHPLVARRRKSDSDISYSPSKDSSLEKDEKPMVHASKLEYIRRKLGFTGAVSNCSQKIWMFWAEEVGCTVQRDHHQCLHVRIAFPWLPFSFQTSFIYAKCTKTERRHLWDCLRNVATDMQEPWLVGGDFNTILSREERLFGAEPNAGSMEEFATALFDCGLMDAGFEGNKFTWTNTHMFQRLDRVVYNMEWASSFSHTRIHHLNRDGFDHCPLLISCCNFSLQRPSSFRFLHAWVKHHGFLNFVANNWRQTIYSTGLMAFWNKQQRLKKSLKGWNKDVFGDIFSNLRAAEKTAEEKELTYQHDSSVFNRTQLQYAYAKLNNQMQKKRVRNSIFKIQDSEGTLMEEPGLIESSAVEFFENLLKAENYDLSRFKAEFIPQMLSDADNNLLCAEPQLQEVKDAVFAIDKDSVVGPDGFSSFFYQQCWPIIAEDLLAAVRDFFKGAVFPRGVTSTTLVLLAKKPDAATWSDFRPISLCTILNKIVTKLLANRLSKVLPSLISENQSGFVSGRLINDNILLAQELIGKIDYKARGGNVVLKLDMMKAYDRLNWDFLILVLERFGFNDMWIDMIRRCITNCWFSVLINGHSAGYFKSERGLRQGDSISPMLFILAAEYLSRGINELFSRYISLHYHSGCSLNISHLAFADDIMIFTNGSKSVLEKILEFLQEYEQISGQRVNHQKSCFVTANNMPSSRRQIISQTIGFLHKTLPITYLGAPLFKGPKKVMLFDSLINKIRERITGWENKILSPGGRITLLRSVLSSMPIYLLQVLKPPACVIQKIERLFNSFLWGSSMDSTRIHWTAWHNITFPSSEGGLGIRSLKDSFDAFSAKLWWRFDTCQSLWVRYMRLKYCTGQIHHNIAPKPHDSATWKPLLAGRATASQQIRWRIGKGDIFFWHDAWMGDEPLVNSFPSFSQSMMKVNYFFNDDAWDVDKLKTFIPNAIVEEILKIPISREKEDIAYWALTANGDFSIKSAWELLRQRKQVNLVGQLIWHKSIPLTVSFFLWRTLHNWLPVEVRMKAKGIQLASKCLCCKSEESLLHVLWESPVAQQVWNYFSKFFQIYVHNPQNILQILNSWYYSGDFTKPGHIRTLILLFIFWFVWVERNDAKHRDLGMYPDRIIWRIMKILRKLFQGGLLCKWQWKGDLDIAIHWGFNFAQERQARPKIINWIKPLIGELKLNVDGSSKDEFQNAAGGGVLRDHTGNLIFGFSENFGYQNSLQAELLALHRGLCLCMEYNVSRVWIEVDAQVVIQMIQNHHKGSYKIQYLLESIRKCLQVISVRISHIHREGNQAADFLSKHGHTHQNLHVFTEAQGELRGRTLVNRVEHPRCLPELRPGSKPGLDLEPESFYGFKAIVHSLPRTFRA >EOY19014 pep chromosome:Theobroma_cacao_20110822:10:8095920:8096904:1 gene:TCM_043614 transcript:EOY19014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLTVNVVTNELMPKPRFEWTEAETKKVQINFKTINTLHCALTPTEFNKVSSCIAAKQVWEKLKIIHEGTSQVKESKIALLTHNYEMFKMEPGEDITSMLDRFTNITNKLS >EOY18846 pep chromosome:Theobroma_cacao_20110822:10:5381266:5388421:-1 gene:TCM_043339 transcript:EOY18846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MLLLSQSCTGFSYSCDLCEHEICPRCVSIPNPHQHPGHKDELTFSDISFEGKCAACGDGIYHEKAYRCTDCIHYGLDLKCLTLPLAARHRCDKHILKLVYYDENDDLERPHCDICGERRLLNHWFYRCSICNNSAHPKCALGKYPFIKDGSTLSHKNHPHPLITVKKVSNLKSDECFECGDSCQDLAFWCETCCSHAHLECLNTKTLKTERNPDELQKEINHPCHRMHPLSLKFHNKYNFCEICKNKERGYFYGCSPCNFGIHIDCAFPSLVIENKTHEHPFNLFWRQGSFICDACGTEGNYASYICSTCHLQVHKKCTSLPRIIKTAMHYDHPIFHNYFVRESDLDQKHECRVCYKEVKKEHGCYSCWRQDCKYIVHVNCAIVEDMHWIIDSENQDEPSDNSVTDSSITRVIEVNESGEAEKIEHFSHGHDLMLGDKIREEDDKCCDGCVLSISGLFYYCSQCDFFLHKTCAELPRKKHHWFHKHHTTLESIATFLLKIEATESPCIFECRFCLHVCSGFFYCCSDCDLKVCLRCASIPHAHQHSGHKDHIFLNSEYKGKCTACGCGIYHGNAYKCKDCTDIYALDYACLTLPLAARHKCDKHILKLVYHDENDDPEQHYCDICEEKRDPNYWFYLCSICDNSAHPKCVLGKYPFIKIGSTYLNEDQEPRLTFTKKIGYYPKCFECGKPCLDLTLESANSVCYHFNCVLKNYSKYVRERML >EOY18330 pep chromosome:Theobroma_cacao_20110822:10:3039701:3041326:1 gene:TCM_042932 transcript:EOY18330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MSLSVGSPDMDESLRRASQEGDIVEIYASIQRDGDVLRFARKLNPEDFTPIHLAEENGHEELALHLMENGATSNSPLHYAITREQNLGLLARFLEACPECFRDMTTTKQTALHIATRNNRLEALQLLRRILRKSDYCQDVMNQKDRNGDTALHIAAHNNQPQADKFATNQAGSTALTVAHERNKTEIAVDRLSSPFRVCLWATLQKGMLA >EOY17673 pep chromosome:Theobroma_cacao_20110822:10:545088:545612:1 gene:TCM_042441 transcript:EOY17673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNISSHSLMVIRTVIQNLIIATFVKKKEIQNIGFIIVQDVTIQLILSVFSKNTHLSSSGAYTQKEIIHTPSLWSRRFIITQNAINVVSFVLIWLLNVKRLDAIILSIGNV >EOY20504 pep supercontig:Theobroma_cacao_20110822:scaffold_522:85:6210:1 gene:TCM_046358 transcript:EOY20504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein AKYPSGLIIAQDLFSSGAIKSATDFQVYKEVAGLSGLDFVYTDNGAVYHTKNDKLELLKSGSLQHLGENMLSFLLQIASSSHLLKAKTMDGGGKPNHDTAKVPNSTVGIMARALVSNELIVLMCVVN >EOY20403 pep supercontig:Theobroma_cacao_20110822:scaffold_245:1958:3881:-1 gene:TCM_046303 transcript:EOY20403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin-like protein, putative MNLKTLSLFAFSLVARYFTVANAATINIRSNCPFTVWAAAVPGGGMQLDSGGVWDITANPGTTGTRVWARTNCQFDGAGRGSCQTGDCGGLLECQAYGAPPNTLAEYALNQFNNLDFFDISLVDGFNVPMDFSPTSGGCTRGITCKADIIGQCPDPLKAPGARAELVNFHWEAKGTLRPCPGGCNNPCTVFKTDQYCCNSGNCSPTDYSKFFKDRCPDAYSYPKDDQTSTFTCPGGTNYRVVFCP >EOY16941 pep chromosome:Theobroma_cacao_20110822:8:15328383:15334443:-1 gene:TCM_036028 transcript:EOY16941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 3 MTALSLLLFLVFFCADVSLISAFTDPHDAAALQSLKDSWQNTPPSWDKSDDPCGAPWEGVTCNSSRVTALGLSTMGLKGKLSGDIGELTELRSLDLSFNRDLTGSLSPRLGDLEKLNILILAGCGFTGNIPEELGKLAELSFLALNSNNFTGRIPPSLGTLSKLYWLDLADNQLMGSIPVSTPTSPGLDLLLKAKHFHFNKNKLSGTIPPKLFSSEMVLIHILFDGNQFAGNIPSTLGHVQTLEVLRLDRNALTGKVPSNLNNLTNINELNLAHNNLTGPLPDLTSMNTLNYVDLSNNSFDPTETPVWFSTLASLTTLVIEHGSLQGPVPQKLFSFPQIQQVKLRNNAFNGTLNLGDKVGTQLKLVDLQNNQISSITLGSGYANTLILIGNPVCTSALSNTNYCQVQQQNTKPYATSLANCGRKSCPIDQKLSPQSCECAYPFEGTLYFRGPMFRELSNVNMFHSLEMSLWVKLSLTPGSVFLQNPFFNVDDYLQIQLALFPPDEKYFNRSEIQRIGFDLSNQTYKPPPEFGPYYFIASPYTFPASNGTSVSIGVIIAVAIGGVILVLGLLGVGIYAVRQKKRAEKAIGLSKPFASWAPSGRDSGGAPQLKGARWFSYDELKKCTNNFSENNELGFGGYGKVYRGMLSDGQSVAIKRAQHGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMANGTLRDSLLGRSGIYIDWKRRLRIALGSARGLAYLHELANPPIIHRDIKSSNILLDENLTAKVADFGLSKLVSDSSKGHVSTQVKGTLGYLDPEYYMTQQLTERSDVYSFGVVMLELITAKQPIEKGKYVVREVRTVMNTKDEEHYGLRELMDPTIRSTGILIGFGKFLELAMQCVEDSATDRPTMSEVVKAIETILQNDGMNTNSTTSASSSATDFEAAKGSLRHPYGDSLPKKDNNDSDAFDYSGGYTLSAKVEPK >EOY16942 pep chromosome:Theobroma_cacao_20110822:8:15327570:15334182:-1 gene:TCM_036028 transcript:EOY16942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 3 MTALSLLLFLVFFCADVSLISAFTDPHDAAALQSLKDSWQNTPPSWDKSDDPCGAPWEGVTCNSSRVTALGLSTMGLKGKLSGDIGELTELRSLDLSFNRDLTGSLSPRLGDLEKLNILILAGCGFTGNIPEELGKLAELSFLALNSNNFTGRIPPSLGTLSKLYWLDLADNQLMGSIPVSTPTSPGLDLLLKAKHFHFNKNKLSGTIPPKLFSSEMVLIHILFDGNQFAGNIPSTLGHVQTLEVLRLDRNALTGKVPSNLNNLTNINELNLAHNNLTGPLPDLTSMNTLNYVDLSNNSFDPTETPVWFSTLASLTTLVIEHGSLQGPVPQKLFSFPQIQQVKLRNNAFNGTLNLGDKVGTQLKLVDLQNNQISSITLGSGYANTLILIGNPVCTSALSNTNYCQVQQQNTKPYATSLANCGRKSCPIDQKLSPQSCECAYPFEGTLYFRGPMFRELSNVNMFHSLEMSLWVKLSLTPGSVFLQNPFFNVDDYLQIQLALFPPDEKYFNRSEIQRIGFDLSNQTYKPPPEFGPYYFIASPYTFPASNGTSVSIGVIIAVAIGGVILVLGLLGVGIYAVRQKKRAEKAIGLSKPFASWAPSGRDSGGAPQLKGARWFSYDELKKCTNNFSENNELGFGGYGKVYRGMLSDGQSVAIKRAQHGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMANGTLRDSLLGRSGIYIDWKRRLRIALGSARGLAYLHELANPPIIHRDIKSSNILLDENLTAKVADFGLSKLVSDSSKGHVSTQVKGTLGYLDPEYYMTQQLTERSDVYSFGVVMLELITAKQPIEKGKYVVREVRTVMNTKDEEHYGLRELMDPTIRSTGILIGFGKFLELAMQCVEDSATDRPTMSEVVKAIETILQNDGMNTNSTTSASSSATDFEAAKGSLRHPYGDSLPKKDNNDSDAFDYSGGYTLSAKVEPK >EOY16943 pep chromosome:Theobroma_cacao_20110822:8:15327421:15332811:-1 gene:TCM_036028 transcript:EOY16943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 3 MGSIPVSTPTSPGLDLLLKAKHFHFNKNKLSGTIPPKLFSSEMVLIHILFDGNQFAGNIPSTLGHVQTLEVLRLDRNALTGKVPSNLNNLTNINELNLAHNNLTGPLPDLTSMNTLNYVDLSNNSFDPTETPVWFSTLASLTTLVIEHGSLQGPVPQKLFSFPQIQQVKLRNNAFNGTLNLGDKVGTQLKLVDLQNNQISSITLGSGYANTLILIGNPVCTSALSNTNYCQVQQQNTKPYATSLANCGRKSCPIDQKLSPQSCECAYPFEGTLYFRGPMFRELSNVNMFHSLEMSLWVKLSLTPGSVFLQNPFFNVDDYLQIQLALFPPDEKYFNRSEIQRIGFDLSNQTYKPPPEFGPYYFIASPYTFPASNGTSVSIGVIIAVAIGGVILVLGLLGVGIYAVRQKKRAEKAIGLSKPFASWAPSGRDSGGAPQLKGARWFSYDELKKCTNNFSENNELGFGGYGKVYRGMLSDGQSVAIKRAQHGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMANGTLRDSLLGRSGIYIDWKRRLRIALGSARGLAYLHELANPPIIHRDIKSSNILLDENLTAKVADFGLSKLVSDSSKGHVSTQVKGTLGYLDPEYYMTQQLTERSDVYSFGVVMLELITAKQPIEKGKYVVREVRTVMNTKDEEHYGLRELMDPTIRSTGILIGFGKFLELAMQCVEDSATDRPTMSEVVKAIETILQNDGMNTNSTTSASSSATDFEAAKGSLRHPYGDSLPKKDNNDSDAFDYSGGYTLSAKVEPK >EOY17034 pep chromosome:Theobroma_cacao_20110822:8:17151201:17153741:-1 gene:TCM_036195 transcript:EOY17034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein, putative MRLFAVKLNVYTFSTVLKSFAGASTFRQGLKTHALLIKKGFIDSLMLRTGFIDSSFRCGEIKLACHVFEEIPERDIVLWGAMIAGFAHNSMQKEALSYVRWMISERIYPHSVILTTILPVIGEVWAWKLGQEVHAYVVKTKSYSKQLVIQSGYVSNGRLDQALRSVVWMQQEGFKPDVVTVARILPARNVISWTAMIESYVESGRLHEAVSVFRSMQFSKHRSDSMAMARMLNVCRELRAVKLGKEIHGQVLKKDFESFLFVSAEIVKMHGSCGLMSSAKLVFDAVLVKGSMMWTAIIEAYGYNDFCEDSISHFHQMASDGFSLMTGSISQCALNHNFTFKVVFSMCRQARFVDKAC >EOY15005 pep chromosome:Theobroma_cacao_20110822:8:1937089:1940242:-1 gene:TCM_034210 transcript:EOY15005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid-responsive isoform 6 MIGSFLTRGLVMVFGYAYPAYECYKTVELNKPEIEQLRFWCQYWILVAVLTVCERIGDAFISWVPIPYVAKHENEIDRNLLELRTRAGDMAVLYWQRAASYGQTRIFEILQYVASQSTPRPHHAQAQGPRTRQPSGVPNRQSSTKTQAAQPETEEPPSPTSSTSSSQHQKEVAEEVGPSKVPSQVAKPGSPSASSNSQKADTASESTSQPAEPEAEAMQVEPVPPSSENESTNPPPKETLMEESIRLTRGRLRKARSTAR >EOY15006 pep chromosome:Theobroma_cacao_20110822:8:1937166:1940242:-1 gene:TCM_034210 transcript:EOY15006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid-responsive isoform 6 MIGSFLTRGLVMVFGYAYPAYECYKTVELNKPEIEQLRFWCQYWILVAVLTVCERIGDAFISWVPMYSEAKLAFFIYLWYPKTRGTSYVYDSFFRPYVAKHENEIDRNLLELRTRAGDMAVLYWQRAASYGQTRIFEILQYVASQSTPRPHHAQAQGPRTRQPSGVPNRQSSTKTQAAQPETEEPPSPTSSTSSSQHQKEVAEEVGPSKVPSQVAKPGSPSASSNSQKADTASESTSQPAEPEAEAMQVEPVPPSSENESTNPPPKETLMEESIRLTRGRLRKARSTAR >EOY15008 pep chromosome:Theobroma_cacao_20110822:8:1937089:1939846:-1 gene:TCM_034210 transcript:EOY15008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid-responsive isoform 6 MFAFLSDDFFVFTACRCDRMVFGYAYPAYECYKTVELNKPEIEQLRFWCQYWILVAVLTVCERIGDAFISWVPIPYVAKHENEIDRNLLELRTRAGDMAVLYWQRAASYGQTRIFEILQYVASQSTPRPHHAQAQGPRTRQPSGVPNRQSSTKTQAAQPETEEPPSPTSSTSSSQHQKEVAEEVGPSKVPSQVAKPGSPSASSNSQKADTASESTSQPAEPEAEAMQVEPVPPSSENESTNPPPKETLMEESIRLTRGRLRKARSTAR >EOY15003 pep chromosome:Theobroma_cacao_20110822:8:1937321:1938846:-1 gene:TCM_034210 transcript:EOY15003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid-responsive isoform 6 MIGSFLTRGLVMVFGYAYPAYECYKTVELNKPEIEQLRFWCQYWILVAVLTVCERIGDAFISWVPMYSEAKLAFFIYLWYPKTRGTSYVYDSFFRPYVAKHENEIDRNLLELRTRAGDMAVLYWQRAASYGQTRIFEILQYVASQSTPRPHHAQAQGPRTRQPSGVPNRQSSTKTQAAQPETEEPPSPTSSTSSSQHQKEVAEEVGPSKVPSQVAKPGSPSASSNSQKADTASESTSQPAEPEAEAMQVEPVPPSSENESTNPPPKETLMEESIRLTRGRLRKARSTAR >EOY15004 pep chromosome:Theobroma_cacao_20110822:8:1936606:1939956:-1 gene:TCM_034210 transcript:EOY15004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid-responsive isoform 6 MIGSFLTRGLVMVFGYAYPAYECYKTVELNKPEIEQLRFWCQYWILVAVLTVCERIGDAFISWVPMYSEAKLAFFIYLWYPKTRGTSYVYDSFFRPYVAKHENEIDRNLLELRTRAGDMAVLYWQRAASYGQTRIFEILQYVASQSTPRPHHAQNSQAQGPRTRQPSGVPNRQSSTKTQAAQPETEEPPSPTSSTSSSQHQKEVAEEVGPSKVPSQVAKPGSPSASSNSQKADTASESTSQPAEPEAEAMQVEPVPPSSENESTNPPPKETLMEESIRLTRGRLRKARSTAR >EOY15007 pep chromosome:Theobroma_cacao_20110822:8:1937321:1939716:-1 gene:TCM_034210 transcript:EOY15007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid-responsive isoform 6 MFAFLSDDFFVFTACRCDRMVFGYAYPAYECYKTVELNKPEIEQLRFWCQYWILVAVLTVCERIGDAFISWVPMYSEAKLAFFIYLWYPKTRGTSYVYDSFFRPYVAKHENEIDRNLLELRTRAGDMAVLYWQRAASYGQTRIFEILQYVASQSTPRPHHAQAQGPRTRQPSGVPNRQSSTKTQAAQPETEEPPSPTSSTSSSQHQKEVAEEVGPSKVPSQVAKPGSPSASSNSQKADTASESTSQPAEPEAEAMQVEPVPPSSENESTNPPPKETLMEESIRLTRGRLRKARSTAR >EOY15544 pep chromosome:Theobroma_cacao_20110822:8:3684614:3688018:1 gene:TCM_034563 transcript:EOY15544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKDQELEWAEAQKIAISVDLVAAAKQLLRFLAEIDRNRSLYDGHALDRAIYRLNPGCYKADCKELYGRILDNQNVISSTRAICRKQTEEIWKRMYPDEPYELNTITHFLENVEDFQRVPKSTEYDLISAVKRQSLFFYQVSRFHMNGDLFLEEAVASGNYGQDIRA >EOY16867 pep chromosome:Theobroma_cacao_20110822:8:12513425:12513700:1 gene:TCM_035799 transcript:EOY16867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISVYFVLLTSFDGSCVFSRLHPAPIQAPKVSFLPPLAIQWVTYIFVHIRPQMVPFGC >EOY16013 pep chromosome:Theobroma_cacao_20110822:8:5418384:5425242:-1 gene:TCM_034913 transcript:EOY16013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MAVGGFAVDGPVSGAVNGKITASVVITCIVAATSGLIFGYDIGISGGVTTMAPFLQKFFPAVYRKAGEAERNMYCMYDSQVLTAFTSSLYLAGLAASLVASRLTAAIGRRNTMVIGGLTFLAGAAINGGAANVAMLILGRILLGFGVGFTNQATPVYLSEVAPPKWRGAFNTGFQFFIGIGVVVANCLNFVTAKRSWGWRLSLGLAVVPAAIMTIGALLILDTPSSLIERGKVEQARQSLFKVRGKHSDVEAEFAELKKASDVAKEANREPFMTIFERQYRPHLVMSIAIPFFQQVTGINIIAFYAPVLFQSVGLGSDSALIAAIILGLVNLASILVSTGVVDRYGRRFLFMEGGIQMFACQVGVAVLLAVTTGVSGDKQISKGYAILVLVLMCLYAAGFGWSWGPLSWLIPSEIFPIKIRPTGQSISVAVNFATTFVLSQTFLTMLCHFKFGTFLFYSAWIALMTIFVALFLPETKGIPLDSMNLVWEKHWYWRRFVRG >EOY16014 pep chromosome:Theobroma_cacao_20110822:8:5419398:5424005:-1 gene:TCM_034913 transcript:EOY16014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MAVGGFAVDGPVSGAVNGKITASVVITCIVAATSGLIFGYDIGISGGVTTMAPFLQKFFPAVYRKAGEAERNMYCMYDSQVLTAFTSSLYLAGLAASLVASRLTAAIGRRNTMVIGGLTFLAGAAINGGAANVAMLILGRILLGFGVGFTNQATPVYLSEVAPPKWRGAFNTGFQFFIGIGVVVANCLNFVTAKRSWGWRLSLGLAVVPAAIMTIGALLILDTPSSLIERGKVEQARQSLFKVRGKHSDVEAEFAELKKASDVAKEANREPFMTIFERQYRPHLVMSIAIPFFQQVTGINIIAFYAPVLFQSVGLGSDSALIAAIILGLVNLASILVSTGVVDRYGRRFLFMEGGIQMFA >EOY14394 pep chromosome:Theobroma_cacao_20110822:8:169900:172031:-1 gene:TCM_033788 transcript:EOY14394 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 73B5, putative MGTEDGKIHAMFLPYMAPGHMMPMVDAARLLAAAAGTKVTIIVTAMNALRIKNAIDGDVQSGHQISLEILSFPGAQVGLPEGCENMISTPTPEMSMKLFHAIELLQPNIEKLFREYHPNCIISDSLFPWTLDAANDLGIPRIAFNGSGFFNLCVTDSIIRYQPHKNVKSETEAFVVPGLPDEVKLTSSQVPDIYRTRTTFSELFDKLKESERNSFGVLMNSFYELEPAYADHCKKVMGIKTWHIGPVSLYNRDTDDKGERGDKASVSKYACLSWLDCKKPKSVLYICFGSLTRFSKNQTTEIAYALEASGYSFMWVVGKVLKTDDSDCKKQQQELPEGFEDKMKENGQGLIITGWAPQVLILDHPAIGGFLTHCGWNSILEGVSAGVPFITWPIFAEQFFNEKLVTQVLNFGVAVGNEVWKAWATEESPSITNRDDILKAIDAVMGNTGEATEMRRRAKRLGELAKRAIEEGNSSYNDMKNLIEDIRMYKSGTSG >EOY17387 pep chromosome:Theobroma_cacao_20110822:8:19868045:19873909:1 gene:TCM_036539 transcript:EOY17387 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MGGSAIDDDWEFTSPSNGVRTVVLVGRTGNGKSATGNSILGRKSFKSRASSSGVTSTCELRTTTIKDGQIVNVIDTPGLFDISAGSEFLGKEIVKCIDLAKDGIHAVLVVFSVRTRFSKEEEAALRSLQTLFGSRIVDYMIVVFTGGDELEDNEETLEDYLGRECPQPLKDILVLCGNRLVLFDNKTKDETKRAKQVQDLLSLVNMVIAQNGGQPYSDELFAELKKGATKLRDQQQEVASLEGYSKREISDLKEQIERSYEEQLRRITLMVESKLKETTTRLEQQLAEEQAARLKAEEQAQAAQMKSNDEIRKLRENLERAQRETEELRKQAESRCAIL >EOY16992 pep chromosome:Theobroma_cacao_20110822:8:15854967:15881120:1 gene:TCM_036083 transcript:EOY16992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNYKHILIHLSNEQDFNRIWTKQTWFIANQKMRVFKWTPEFETKKEPSTVPVWISFPNLKAHLFEKSALLLIAKAIGNPLCIDETTANGTRPSVARVCIEYDCLKPPVDSVWIVVSKRGSKDMSGGYLQKVEFLRCRNTVIIVATLATASRNV >EOY16196 pep chromosome:Theobroma_cacao_20110822:8:6149272:6153340:1 gene:TCM_035037 transcript:EOY16196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENLELQDFPEAEGLSVSYILPKGRGLFAKKAFIPGEVIIREEPYVNESASPFPVCKGCFESQGLSKCSACQFVWYCGSECQRLDWRLHCISLNIVPSQGLTRRGTILLHLRYV >EOY16866 pep chromosome:Theobroma_cacao_20110822:8:12508017:12530653:-1 gene:TCM_035798 transcript:EOY16866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKICKALGCSSVRSVELAAFQLEDVAQEWYSSLCRGRPTNATPLAWSEFSVAFLDRFLPLSVRNARAREFETLVQTSSMTVSEYDIKFTQLARYAPYLVSTKEMKIQRFVDGLVEPLFRAVASRDFTTYSAAVDRAQRIEMRTSESRAARDRAKRGKTEGYQGRRDFSSGGSSSSRQGPQRDSRLPQQGSDAPGANIRVGQRTFSSRRQQDSRRSSQVIRSCDPCGRRHSGRCFLATKTCYGCGQPGHIRRDCPMAHQSPDSARGSTQPTSSAPSVAVSSGREVGGSRGRGAGTSSQGRPSGSGHQSSIGRGQARVFALTQQEAQPSNVVVLGYLAVVKDSQAKIGDVTQVSVVKEFVDVFPEELPGGETFGKGKGITPEDY >EOY15882 pep chromosome:Theobroma_cacao_20110822:8:4935208:4939109:-1 gene:TCM_034814 transcript:EOY15882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxy methylglutaryl CoA reductase 1 MDVRRRAYKVPRPTAAVIHQEQQQQSQASGSAAPKASDALPLPLYLTNAIFFTLFFSVAYYLLLRWRDKIRNSMPLHVVTLPELAAIVSLIASFIYLLGFFGIDFVQSFIARASNDAWDLEDDDVDVDPPEHRLLTCPPSAPDHLIPAVTSAEDEEIVEWVIKGTIPSHALEEKLGDCKRAACIRREALQRITGRSLQGLPVDGFNYDSILKQCCEMPVGYVQIPVGIAGPLLLDGFEYSVPMATTEGCLVASTNRGCKAIYVSGGATSTILRDGMTRAPVVRFPSAVRACHLKFFLENPSNFQALADEFNQSSNFARLQSIQCSVAGKNLYMRFSCCTGDAMGMNMVSKGVENVLRYLKSDYPDMDIIGISGNFCSDKKPAAINWIEGRGKSVVCEAIIKEEIVKKVLKTNVATLVELNMLKNLAGSAVAGALGGFNAHASNIVSAIFIATGQDPAQNVESSHCITMMEAVNDGKDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKGLPGANSRLLATIVAGSVLAGELSLMAAIADGQLVKSHMKYNRSSKDVSKVPHMNDLASNGLE >EOY14377 pep chromosome:Theobroma_cacao_20110822:8:112224:114250:1 gene:TCM_033774 transcript:EOY14377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase WNK-related isoform 1 MEGGLPMLNCLLQHTLRSLCSYSDSSSSSSSSKWVYAVFWRILPRNYPPPKWDYGGSALDRSKGNKRNWILVWEDGFCDFHECERAGSGYVKGRFGADVFFKMSHEVYNYGEGLVGKIAADNSHKWVYKETPNDNDPSFISSWNMSIEPTIAIISVREGIIQLGSFDKIVEDLNLVISIQRKFSYLQSIPGVFAIQRSYLPIQHPYVVKPNNQILESHETAFRLDDNRQLGGTKRLIDERPEDSPIKSVNLGWNSPQNGITGPPFWSIPPLLPTMSCSLGALLSKLPSVIPSYNAIEATDIAMINNTNNTSQKVKADNGGSLGEVQIAETKAQTSSQLEADQEKKPSPVKANLVLQDGAVVELGFGPLRN >EOY14375 pep chromosome:Theobroma_cacao_20110822:8:112116:114547:1 gene:TCM_033774 transcript:EOY14375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase WNK-related isoform 1 MLFLLLPFICATLTRTLCPVAALFISLSFSRLWVTMEGGLPMLNCLLQHTLRSLCSYSDSSSSSSSSKWVYAVFWRILPRNYPPPKWDYGGSALDRSKGNKRNWILVWEDGFCDFHECERAGSGYVKGRFGADVFFKMSHEVYNYGEGLVGKIAADNSHKWVYKETPNDNDPSFISSWNMSIEPQPRAWEFQFNSGIQTIAIISVREGIIQLGSFDKIVEDLNLVISIQRKFSYLQSIPGVFAIQRSYLPIQHPYVVKPNNQILESHETAFRLDDNRQLGGTKRLIDERPEDSPIKSVNLGWNSPQNGITGPPFWSIPPLLPTMSCSLGALLSKLPSVIPSYNAIEATDIAMINNTNNTSQKVKADNGGSLGEVQIAETKAQTSSQLEADQEKKPSPVKANLVLQDGAVVELGFGPLRN >EOY14376 pep chromosome:Theobroma_cacao_20110822:8:112818:114047:1 gene:TCM_033774 transcript:EOY14376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase WNK-related isoform 1 MSHEVYNYGEGLVGKIAADNSHKWVYKETPNDNDPSFISSWNMSIEPQPRAWEFQFNSGIQTIAIISVREGIIQLGSFDKIVEDLNLVISIQRKFSYLQSIPGVFAIQRSYLPIQHPYVVKPNNQILESHETAFRLDDNRQLGGTKRLIDERPEDSPIKSVNLGWNSPQNGITGPPFWSIPPLLPTMSCSLGALLSKLPSVIPSYNAIEATDIAMINNTNNTSQKVKADNGGSLGEVQIAETKAQTSSQLEADQEKKPSPVKANLVLQDGAVVELGFGPLRN >EOY15100 pep chromosome:Theobroma_cacao_20110822:8:2241127:2245999:-1 gene:TCM_034273 transcript:EOY15100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Winged-helix DNA-binding transcription factor family protein, putative MAMTADSSSPSDGGLNSPQFRRKNLPSPWAQVVRGESESIVAVHHTPSSPSSATSPPVASLPEQATFSDCSPSKAASSSSSSSPPPDNLAADGGSDSNSNSNAAARSKKPAWNKPSNGVVEVSPVMGAASWPALSEAARASPKSLADSSSKTVPDGSLSTSQGPVIPQSTQKQGTSNANPTSTPNRTMSSRQRSSKRGGSGGGNNSASGPPHSGFSHQHPPPPPPPPPFPVLQMPPNSYGNFVPAMPDPSMRDPQYRGNNWENRPVGGFASQSHNDHRHSSRRGGNYGPRGDGGYHNNYGGRRDQDRGNYGSGRDGHMQHQRAPPRGFPRPPPPSAHSFVPPQPVRPFVNPIGYPEFIYFPTMPMEPFRGMPLFTHAPPPAMIMPVPELPLPALLLHQIDYYFSDANLVKDEFLKSNMDDQGWVPISLIAGFPRVKSLTSNIQLILDSLRSSTIVEVQDDKVRRLNEWMKWIPSRVSTESGILSPGASSSDMLASSFQQITVKEESINQSKAGNVNPHSEDTSGRHLSELVSHSQLCNGEDSDDTCLDQN >EOY15617 pep chromosome:Theobroma_cacao_20110822:8:3956965:3958856:-1 gene:TCM_034621 transcript:EOY15617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFGVCCVFAKFNIYRGLVRDKRVNYGFFFLFFTVVQWRKQNEKGADTYCNLNATFKKKILLFQSWFLVQRFCASSPCRLS >EOY16581 pep chromosome:Theobroma_cacao_20110822:8:8648107:8653432:-1 gene:TCM_035390 transcript:EOY16581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSLPFTNDTLMVISDDDAFDQMDAVCEKDNIVDLNDEMDDDCEDDYIGRHDDCSEEDRGEDNEIRDYNHVDGSTEHATTVVLEDVQCNDHAIIVILEDVECDDLIYDNPISGDNGIRSLDDSDHERVNAGVSSQWIIQGACMIFFQTVEIEDMLALKEHFEIRVKRSCHTHIEVACKDKACKFTVCATKLLEGDYCKFACSTRLQGNNVTPLRPKEIMEEMNRKWGLQYLYGKAWRGNEHVESLVFSHSEELFQLLPLYFHMLECRKFWAIIWLMVVIDATHLKGRFKGILFVAVCKDENEQIYPIAFGIGHVEDEESWSWFLTQLRCAIGCPENAKFQRSPISPFGPLRKLESTKGSTKYRPMRRSWPKIWVVTLSTEMCSSGEFQIDLLPFKHAMAVIRTRSWVEGYAVPIFLIGHPSEWEIPLDVQ >EOY14704 pep chromosome:Theobroma_cacao_20110822:8:1043461:1047748:-1 gene:TCM_034001 transcript:EOY14704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2c, putative isoform 6 MPSTFFVRLRSAVQNGIQRTECGLHDSIGVLIGTGKLGFDNCRLFHSLRFSGLADLQGLLQTGTFLAARSDSLLANRRRNISVVGAFSRTISVPSVSGPAFQVCGYHIDCALADTSQIPPSVSKFQSKPMAASGSRVVFGEYLVDSLKLKHEHLSPLTSSAGISYGNRNLSSCIKATMSLKNREEPNNSPIYGYVMYTVGKRWCNFDPSSGSGSRAFHSSLPSCLSAGTAPDVSFDNSGREEQVANSSVSSDEKISAGKTLKLLSGSCYLPHPDKEDTGGEDAHFICVDEQAIGVADGVGGWADLGVDAGQYSRELMSNSVSAIQEEPKGSVDPARVLEKAHSCTKAKGSSTACIIALTDQGLHAINLGDSGFMVVRDGCTIFRSPVQQHDFNFTYQLESGSNGDLPSSGQVFAVPVAPGDVVIAGTDGLFDNLYNNEITAVVVHAVRAGLGPQVTAQKIAALARQRAQDRDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSEEKAPSLHTLLPNTHDLSVPTKLQGIRVEFWAVAFSLTYIKMNFWE >EOY14706 pep chromosome:Theobroma_cacao_20110822:8:1044088:1046912:-1 gene:TCM_034001 transcript:EOY14706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2c, putative isoform 6 MPSTFFVRLRSAVQNGIQRTECGLHDSIGVLIGTGKLGFDNCRLFHSLRFSGLADLQGLLQTGTFLAARSDSLLANRRRNISVVGAFSRTISVPSVSGPAFQVCGYHIDCALADTSQIPPSVSKFQSKPMAASGSRVVFGEYLVDSLKLKHEHLSPLTSSAGISYGNRNLSSCIKATMSLKNREEPNNSPIYGYVMYTVGKRWCNFDPSSGSGSRAFHSSLPSCLSAGTAPDVSFDNSGREEQVANSSVSSDEKISAGKTLKLLSGSCYLPHPDKEDTGGEDAHFICVDEQAIGVADGVGGWADLGVDAGQYSRELMSNSVSAIQEEPKGSVDPARVLEKAHSCTKAKGSSTACIIALTDQGLHAINLGDSGFMVVRDGCTIFRSPVQQHDFNFTYQLESGSNGDLPSSGQVFAVPVAPGDVVIAGTDGLFDNLYNNEITAVVVHAVRAGLGPQVTAQKIAALARQRAQDRDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSEEASRHKS >EOY14708 pep chromosome:Theobroma_cacao_20110822:8:1043771:1047818:-1 gene:TCM_034001 transcript:EOY14708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2c, putative isoform 6 MPSTFFVRLRSAVQNGIQRTECGLHDSIGVLIGTGKLGFDNCRLFHSLRFSGLADLQGLLQTGTFLAARSDSLLANRRRNISVVGAFSRTISVPSVSGPAFQVCGYHIDCALADTSQIPPSVSKFQSKPMAASGSRVVFGEYLVDSLKLKHEHLSPLTSSAGISYGNRNLSSCIKATMSLKNREEPNNSPIYGYVMYTVGKRWCNFDPSSGSGSRAFHSSLPSCLSAGTAPDVSFDNSGREEQVANSSVSSDEKISAGKTLKLLSGSCYLPHPDKEDTGGEDAHFICVDEQAIGVADGVGGWADLGVDAGQYSRELMSNSVSAIQEEPKGSVDPARVLEKAHSCTKAKGSSTACIIALTDQGLHAINLGDSGFMVVRDGCTIFRSPVQQHDFNFTYQLESGSNGDLPSSGQVFAVPVAPGDVVIAGTDGLFDNLYNNEITAVVVHAVRAGLGPQVTAQKIAALARQRAQDRDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSEELCRRTSLHEHVE >EOY14705 pep chromosome:Theobroma_cacao_20110822:8:1044088:1046912:-1 gene:TCM_034001 transcript:EOY14705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2c, putative isoform 6 MPSTFFVRLRSAVQNGIQRTECGLHDSIGVLIGTGKLGFDNCRLFHSLRFSGLADLQGLLQTGTFLAARSDSLLANRRRNISVVGAFSRTISVPSVSGPAFQVCGYHIDCALADTSQIPPSVSKFQSKPMAASGSRVVFGEYLVDSLKLKHEHLSPLTSSAGISYGNRNLSSCIKATMSLKNREEPNNSPIYGYVMYTVGKRWCNFDPSSGSGSRAFHSSLPSCLSAGTAPDVSFDNSGREEQVANSSVSSDEKISAGKTLKLLSGSCYLPHPDKEDTGGEDAHFICVDEQAIGVADGVGGWADLGVDAGQYSRELMSNSVSAIQEEPKGSVDPARVLEKAHSCTKAKGSSTACIIALTDQGLHAINLGDSGFMVVRDGCTIFRSPVQQHDFNFTYQLESGSNGDLPSSGQVFAVPVAPGDVVIAGTDGLFDNLYNNEITAVVVHAVRAGLGPQVTAQKIAALARQRAQDRDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSEEASRHKS >EOY14709 pep chromosome:Theobroma_cacao_20110822:8:1043345:1047748:-1 gene:TCM_034001 transcript:EOY14709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2c, putative isoform 6 MPSTFFVRLRSAVQNGIQRTECGLHDSIGVLIGTGKLGFDNCRLFHSLRFSGLADLQGLLQTGTFLAARSDSLLANRRRNISVVGAFSRTISVPSVSGPAFQVCGYHIDCALADTSQIPPSVSKFQSKPMAASGSRVVFGEYLVDSLKLKHEHLSPLTSSAGISYGNRNLSSCIKATMSLKNREEPNNSPIYGYVMYTVGKRWCNFDPSSGSGSRAFHSSLPSCLSAGTAPDVSFDNSGREEQVANSSVSSDEKISAGKTLKLLSGSCYLPHPDKEDTGGEDAHFICVDEQAIGVADGVGGWADLGVDAGQYSRELMSNSVSAIQEEPKGSVDPARVLEKAHSCTKAKGSSTACIIALTDQGLHAINLGDSGFMVVRDGCTIFRSPVQQHDFNFTYQLESGSNGDLPSSGQVFAVPVAPGDVVIAGTDGLFDNLYNNEITAVVVHAVRAGLGPQVTAQKIAALARQRAQDRDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSEEENKSS >EOY14707 pep chromosome:Theobroma_cacao_20110822:8:1043345:1047748:-1 gene:TCM_034001 transcript:EOY14707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2c, putative isoform 6 MPSTFFVRLRSAVQNGIQRTECGLHDSIGVLIGTGKLGFDNCRLFHSLRFSGLADLQGLLQTGTFLAARSDSLLANRRRNISVVGAFSRTISVPSVSGPAFQVCGYHIDCALADTSQIPPSVSKFQSKPMAASGSRVVFGEYLVDSLKLKHEHLSPLTSSAGISYGNRNLSSCIKATMSLKNREEPNNSPIYGYVMYTVGKRWCNFDPSSGSGSRAFHSSLPSCLSAGTAPDVSFDNSGREEQVANSSVSSDEKISAGKTLKLLSGSCYLPHPDKEDTGGEDAHFICVDEQAIGVADGVGGWADLGVDAGQYSRELMSNSVSAIQEEPKGSVDPARVLEKAHSCTKAKGSSTACIIALTDQGLHAINLGDSGFMVVRDGCTIFRSPVQQHDFNFTYQLESGSNGDLPSSGQVFAVPVAPGDVVIAGTDGLFDNLYNNEITAVVVHAVRAGLGPQVTAQKIAALARQRAQDRDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSEELCRRTSLHEHVELL >EOY16601 pep chromosome:Theobroma_cacao_20110822:8:8855937:8857174:-1 gene:TCM_035423 transcript:EOY16601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class III peroxidase, putative isoform 1 IIHKVVQKAVADSLRNAALLLRLQFHDCFVEGCDGSILIKNVADGELKARGNLGVQGFDIIESAKARLENLCPGVVSCADIVALAARDAVSLVKGPFYDVPTGRRDGRLSRMSLAENLPDVDDSIHVLKSKFRAKGLSDKDLVLLSGGAHTIGLTACFFMQHRLYNFTPGGGSDPAINPRFLPQLKAHCPLNGDVNVRIPLDWATQNVFDVHILRNIRDGTAVIASDARLYDDRETRQILDSYISSNGSGTRPSFNADFAKAMVKMGNIGVKTGSQGEIRRVCSAVN >EOY16600 pep chromosome:Theobroma_cacao_20110822:8:8855786:8857516:-1 gene:TCM_035423 transcript:EOY16600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class III peroxidase, putative isoform 1 MTFLTSKMHKHTLVALIWALLILPNILGVSQGQLGVGFYSKTCPTAESIIHKVVQKAVADSLRNAALLLRLQFHDCFVEGCDGSILIKNVADGELKARGNLGVQGFDIIESAKARLENLCPGVVSCADIVALAARDAVSLVKGPFYDVPTGRRDGRLSRMSLAENLPDVDDSIHVLKSKFRAKGLSDKDLVLLSGGAHTIGLTACFFMQHRLYNFTPGGGSDPAINPRFLPQLKAHCPLNGDVNVRIPLDWATQNVFDVHILRNIRDGTAVIASDARLYDDRETRQILDSYISSNGSGTRPSFNADFAKAMVKMGNIGVKTGSQGEIRRVCSAVN >EOY15164 pep chromosome:Theobroma_cacao_20110822:8:2443012:2444198:1 gene:TCM_034323 transcript:EOY15164 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein MNYCTLSESDQEHLLEKLDVFKVKGRDKRGRQVLLIIGKHFPARMVSSEIIKKYLEDKVYPKLGAKPFSAVYVHTDVQRSENFPGISALRSIYDAIPTKVKDNLEAVYFLHPGLQARLFLATFGRLFFSGGLYAKLKYVSRLEFLWDHVRRMEMEIPEFVHDHDEELEHRPAMDYGLESDHPRIYSAPSVPVDPISMYSMRCIA >EOY14963 pep chromosome:Theobroma_cacao_20110822:8:1811423:1815221:-1 gene:TCM_034186 transcript:EOY14963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MVVLLFFVLAVPSLFLFILPKDRDNGNSPLPPGPSGLPFIGHLHMQMLDNLAPHIFLWKLSQKYGSLMSLRFGFRPILVVSSAETAKEVMKTHDLDCCSRPTLLGGQKLSYNGLDLAFSPYSAYWREIRKICVVHLFNLNRVQIYRPIREDEVARLIAKISKLSVNSKPVNLSEAIMCLTSTIICRIGFSKRYEEGVKRSRLHGLLNESQALLMSFCVFDYFPCMDWNVFIGGTDTSAATVIWVMTFLMKNPRCLKKIQEEVKSLVGKKCFVNEDDIQSLTYFKAVIKEAFRLQPIAPLLVPRETMRKCNIGGNEIPAKTLIHVNAWAIGRDPQAWKNPKEFYSERFIGSSIDYKGLDFELIPFGAGRRGCPGIHMGVATLELALANLLYKFDWEMPAGMNKEDLDFDVIPGITMHKKNALCLVAHEIDVY >EOY15384 pep chromosome:Theobroma_cacao_20110822:8:3093891:3094926:1 gene:TCM_034464 transcript:EOY15384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGQKRVLATVRCKFKIFKLVERAEEEQASEVGLDPLSSGWKQAHGEGGPSSPLPPSLSRLQRDTQTLNLPMPRLFRNSVVVVVRRQTVLCLQFLTVPNPSLQSSPTLPNHDD >EOY14461 pep chromosome:Theobroma_cacao_20110822:8:393741:396686:-1 gene:TCM_033836 transcript:EOY14461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 2 MHKQNMLHSKASFIFRKNLDGQLNYRIPCSLPSLHNNQSHVNSCLMRVKTPAMTITAGLDSLEISHTLHPGCIKGVADENQGELSDEDDDLCPVDCVREFKTDEEFLKILDKAKETNSLVVVDFYRTSCGSCKYIEQGFSKLCKGSGDEEAAVIFLKHNVLDEYDEQSEVAERLRIRAVPLFHFYKNGVLLEAFPTRDKERIVEAILKYTCTTQDA >EOY14462 pep chromosome:Theobroma_cacao_20110822:8:393721:396668:-1 gene:TCM_033836 transcript:EOY14462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 2 MSDGCLIDYHSADGTRQGIRDTRKITAQSAMTITAGLDSLEISHTLHPGCIKGVADENQGELSDEDDDLCPVDCVREFKTDEEFLKILDKAKETNSLVVVDFYRTSCGSCKYIEQGFSKLCKGSGDEEAAVIFLKHNVLDEYDEQSEVAERLRIRAVPLFHFYKNGVLLEAFPTRDKERIVEAILKYTCTTQDA >EOY14512 pep chromosome:Theobroma_cacao_20110822:8:491150:494748:-1 gene:TCM_033870 transcript:EOY14512 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein, putative MVVARQELSYEECRRKRVEENKKRMEALNLPQLSQALRTPSFKPSPRKQVKPRTGEKQLVVVRRSSRVANKPAPVYHEVLVEKVIIPRRRVSKHRDLSNRVYASDEARAEALEKAEKLESGLEPDFPTLTKSMLQSHVTGGFWLGLPVHFCKRNLPKRDEVMTLIDEEGQEYPAIYLARKTGLSGGWKGFAVAHKLVDGDALVFQLVRPTTFKVYIIRVKGSEQSNKL >EOY14954 pep chromosome:Theobroma_cacao_20110822:8:1764697:1768050:-1 gene:TCM_034175 transcript:EOY14954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MFEESSRGKKSFVNEDDVQGLIHLKAVIKKTFRLQPIVPLLVPRETMRKCNISGYEIPAKTLVYVNAWAVGRDSEVWENPKEFYPERFIGSSVDYKGLNFELIPFGAGRRICPGIHMGVASVELALANLLYKFDWEMPTGMNKEDLDFEVVPGITVHKKNALCLVASKINV >EOY16846 pep chromosome:Theobroma_cacao_20110822:8:11915017:11918964:1 gene:TCM_035745 transcript:EOY16846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 4 MAIKKNGFIPSSAPEELKKVLTAVASEWGDMIEDMEEFHVIPLKGAMTNEVFQINWPTKHGDLHQKVLVRVYGEGVEVFFDRDDEIRTFECMSKHGQGPRLLGRFADGRIEEFIHARTLSAADLRDPEISALVAAKLREFHNLHMPGPKDVLLWERLRTWLSQAKKLCSPEDAKEFGLDILGEEISMLEKELTQGSQDIGFCHNDLQYGNIMMDEETRAITLIDYEYASYNPVAYDLANHFCEMAANYHSETPHILDYSIYPDLEERQRFISAYLTSSG >EOY16848 pep chromosome:Theobroma_cacao_20110822:8:11915671:11918751:1 gene:TCM_035745 transcript:EOY16848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 4 MAIKKNGFIPSSAPEELKKVLTAVASEWGDMIEDMEEFHVIPLKGAMTNEVFQINWPTKHGDLHQKVLVRVYGEGVEVFFDRDDEIRTFECMSKHGQGPRLLGRFADGRIEEFIHARTLSAADLRDPEISALVAAKLREFHNLHMPGPKDVLLWERLRTWLSQAKKLCSPEDAKEFGLDILGEEISMLEKELTQGSQDIGFCHNDLQYGNIMMDEETRAITLIDYEYASYNPVAYDLANHFCEMAANYHSETPHILDYSIYPDLEERQRFISAYLTSSD >EOY16845 pep chromosome:Theobroma_cacao_20110822:8:11914793:11919296:1 gene:TCM_035745 transcript:EOY16845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 4 MAIKKNGFIPSSAPEELKKVLTAVASEWGDMIEDMEEFHVIPLKGAMTNEVFQINWPTKHGDLHQKVLVRVYGEGVEVFFDRDDEIRTFECMSKHGQGPRLLGRFADGRIEEFIHARTLSAADLRDPEISALVAAKLREFHNLHMPGPKDVLLWERLRTWLSQAKKLCSPEDAKEFGLDILGEEISMLEKELTQGSQDIGFCHNDLQYGNIMMDEETRAITLIDYEYASYNPVAYDLANHFCEMAANYHSETPHILDYSIYPDLEERQRFISAYLTSSGNEPGEAEVAQLLNDAEKYTLANHLFWGLWGIISGHVNKIDFDYMEYARQRFQQYWLRKPLLLGS >EOY16847 pep chromosome:Theobroma_cacao_20110822:8:11915027:11919488:1 gene:TCM_035745 transcript:EOY16847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 4 MAIKKNGFIPSSAPEELKKVLTAVASEWGDMIEDMEEFHVIPLKGAMTNEVFQINWPTKHGDLHQKVLVRVYGEGVEVFFDRDDEIRTFECMSKHGQGPRLLGRFADGRIEEFIHARTLSAADLRDPEISALVAAKLREFHNLHMPGPKDVLLWERLRTWLSQAKKLCSPEDAKEFGLDILGEEISMLEKELTQGSQDIGFCHNDLQYGNIMMDEETRAITLIDYEYASYNPVAYDLANHFCEMAANYHSETPHILDYSIYPDLEERQRFISAYLTSSAGNEPGEAEVAQLLNDAEKYTLANHLFWGLWGIISGHVNKIDFDYMEYARQRFQQYWLRKPLLLGS >EOY15629 pep chromosome:Theobroma_cacao_20110822:8:4019817:4027821:-1 gene:TCM_034631 transcript:EOY15629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFYWLFIDAIMNIYLSYGDIDLTVFVALANDVCSVLQREYHNRVAEFVVKDVQLIQAKTGEALKETV >EOY17198 pep chromosome:Theobroma_cacao_20110822:8:18271325:18272363:1 gene:TCM_036347 transcript:EOY17198 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAST1 protein, putative MASSKTILVVAVLCLLLISEVGIMVAAERQDCQTKCAFRCSKSWKPKMCHKTCNTCCQRCNDGCVPPGPTANRDVCPCYAQMKTHGNRYKCP >EOY17204 pep chromosome:Theobroma_cacao_20110822:8:18334342:18339730:-1 gene:TCM_036357 transcript:EOY17204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKDTLYYVRVIVVKIDRGDWPKLPKLPGKGKKVLVGKSLSSENGGWRWWEEDEDIEDLEKGQWEKNLMAKNSPKVGHVGILELGLGETGQRISCCAKVENVWEFVTIGSIPNWELGVVVLVSVEAKKVDVCKKRRGRVSGVDSKYSFGNVYVLNDKGHRREVWGKLVEAVGRFDVLWCLGGDFNGVRNEKEMIGKSDIDISSTHFKEFINDVMLQDLPLLGAKFTWCSNRNEVAFNRLNRFLVDYGCLVSFNNLV >EOY16207 pep chromosome:Theobroma_cacao_20110822:8:6200915:6203083:1 gene:TCM_035046 transcript:EOY16207 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein MAGPTHQRKPTKETHQNQKKRPQKNDKPPSWAVVKGLFFGKHPQPQQEQQQQLQQQQKQHQQQQKQEQQQQKQQQKKKQKEKQPEQVMEETGKKCKKMRCSGSLCSNTKVMHRPEIASPEVHKKRASLGSSNNDASSRSMKAPLHELNGVVSSTNSSLSVSSASASSAGGSFRGMPFRRFSGCYECRMVVDPVLGIARDPSLRGSICSCPECGEIFMKAENLELHQAIRHAVSELGPEDTSKNIVEIIFQSSWLKKQTPICQIDRILKVHNTSKTISKFEEYRDSIKSKATKLPKKHPRCIADGNELLRFHCTTFACSLGLNGSSNLCTSIPNCNVCSIIKNGFKVAQELSGGSNGKGILTTATSGKAHDMAAGVEEIGNDKRAMLVCRVIAGRVKKSMEGSMEDCDSVASAVGVYSNLDELYVFNPKAILPCFVVIYRGF >EOY15392 pep chromosome:Theobroma_cacao_20110822:8:3121184:3127613:-1 gene:TCM_034472 transcript:EOY15392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase-like protein, putative MGYFSCNAESAVAVCDPYNWDYYRRKKFHKKKPRKTGNNVEIRQFLYTDLLSATSDFSSDSFLGKGSHGSVYRAVLDNGKLIAAVKKTTANCSSPADNEIEILSRVYDPHLVNLIGYCSDMLCKNKLIVVEYMPNGCLYDLLHSSSRPPGWTRRVRFALQVAKAVQALHSSNPPVIHRDIKSSNVLIDESWNARLGDFGLALRGHVEDVRVKCTPPAGTLGYLDPGYLAPSDVSTKSDVFSYGILLLEIISGRHAIDLNYSPPSVVDWAVPLIKGGDFSAICDCRVGPPVDKEVVQNLAVLAARCVRSTAEKRPGMADVVECLKVVRKRVHEGPVWRNLRRRVKRVDKPLVKNQVFEGSEEVVRSSRCGSRRNSRKVSSVTSVAHDSEVIGDRVVRSKSIGSFGERAMMMRMGPAEIDLDGDHVALVRKKPGVTVKVPVMKLSKSRSMGVLQSPRLLNQSSKKNVLEIGRRRNSNDFDMSKLVISFDDDKSERKMSEKPLFLV >EOY17291 pep chromosome:Theobroma_cacao_20110822:8:19056013:19058697:-1 gene:TCM_036439 transcript:EOY17291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWLEVGERKLLGPELVQDATEKIHMIRQRMLTAQSRQKSYADNRRRDLEFQVGDHVFLKVSPTKGVMRFGKKGKLSPRYIRPFEILEKVGAVAYRLALPPDLSNIHPVFHVSMLRKYNPDPSHVIRYETIQLQNDLTYEEQPVAILDRQVKKLRSKDVASVKVLWRNHTSEEVTWEAEDEMRTKHPHLFDM >EOY16787 pep chromosome:Theobroma_cacao_20110822:8:11087604:11114475:-1 gene:TCM_035661 transcript:EOY16787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHKQRRERQVQEKVVEDNRSLRDYAVPLIQGCQVPFDARTINQFYNTPNIEKDEYDQFANGDIDLDEVLGFLSILGIEWKMHKGVPISFKANAMDNGYKVCAMALSDSATTSIITLPCVQFASIYHLRM >EOY15115 pep chromosome:Theobroma_cacao_20110822:8:2289768:2297582:1 gene:TCM_034285 transcript:EOY15115 gene_biotype:protein_coding transcript_biotype:protein_coding description:DC1 domain-containing protein isoform 2 MKEMNWENNSNNPVQTLTNGDSEKISTSRFSSLLASKDRDYLLSSSEAEAEADQQVKISDLEGRVIGLYFSANWYPPCRNFNQVLVDVYDQLKSNGSNFEIVFVSSDEDLDAFNNYRKSMPWLSIPFSDLETKKALNRKFEVEGIPCLIILQPEDNKDGATFYDGVELIYRYGVEAFPFTKEKLEELQREERMRHETQTLKNLLTNPDRDYILGQPITRKVQVDSLIDKTIGLYFSAQWCLPGVTFTPRLISIYQKIKQTLEEKGGEDFDIVFVSNDRDQSSFDTYFGSMPWLALPFGDPSIKSLAKYFDVQGIPCLIIIGPDGKTVTKQGRNLINLYQENAYPFTDAKVELLEKEMEEAAKSFPKSEYHAGHRHELTLVSEGTGGGPFICCDCDEQGSGWAYQCLECGYEVHPKCVRAVAPGSTEGS >EOY15116 pep chromosome:Theobroma_cacao_20110822:8:2292695:2297391:1 gene:TCM_034285 transcript:EOY15116 gene_biotype:protein_coding transcript_biotype:protein_coding description:DC1 domain-containing protein isoform 2 MKEMNWENNSNNPVQTLTNGDSEKISTSRFSSLLASKDRDYLLSSSEAEAEADQQVKISDLEGRVIGLYFSANWKSMPWLSIPFSDLETKKALNRKFEVEGIPCLIILQPEDNKDGATFYDGVELIYRYGVEAFPFTKEKLEELQREERMRHETQTLKNLLTNPDRDYILGQPITRKVQVDSLIDKTIGLYFSAQWCLPGVTFTPRLISIYQKIKQTLEEKGGEDFDIVFVSNDRDQSSFDTYFGSMPWLALPFGDPSIKSLAKYFDVQGIPCLIIIGPDGKTVTKQGRNLINLYQENAYPFTDAKVELLEKEMEEAAKSFPKSEYHAGHRHELTLVSEGTGGGPFICCDCDEQGSGWAYQCLECGYEVHPKCVRAVAPGSTEGS >EOY15117 pep chromosome:Theobroma_cacao_20110822:8:2292566:2297471:1 gene:TCM_034285 transcript:EOY15117 gene_biotype:protein_coding transcript_biotype:protein_coding description:DC1 domain-containing protein isoform 2 MKEMNWENNSNNPVQTLTNGDSEKISTSRFSSLLASKDRDYLLSSSEAEAEADQVKISDLEGRVIGLYFSANWYPPCRNFNQVLVDVYDQLKSNGSNFEIVFVSSDEDLDAFNNYRKSMPWLSIPFSDLETKKALNRKFEVEGIPCLIILQPEDNKDGATFYDGVELIYRYGVEAFPFTKEKLEELQREERMRHETQTLKNLLTNPDRDYILGQPITRKVQVDSLIDKTIGLYFSAQWCLPGVTFTPRLISIYQKIKQTLEEKGGEDFDIVFVSNDRDQSSFDTYFGSMPWLALPFGDPSIKSLAKYFDVQGIPCLIIIGPDGKTVTKQGRNLINLYQENAYPFTDAKVELLEKEMEEAAKSFPKSEYHAGHRHELTLVSEGTGGGPFICCDCDEQGSGWAYQCLECGYEVHPKCVRAVAPGSTEGS >EOY14509 pep chromosome:Theobroma_cacao_20110822:8:480906:483847:-1 gene:TCM_033868 transcript:EOY14509 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRI1 kinase inhibitor 1 MDTYQQQKTREKVVDRKHEEGKFKQETKEGSADKQQQPSASPASPPSASSSPSHEFSFTISLHSSSNTVPDKTKTPPSIAIDLSPADDIFFHGHLLPLHLLSHLPVSPRSSTNSLDSFTLPVRELLDDQKPDKSSSNRSKSDSNIRTNINRSKNHDKVSNRHQSNDIEGEGRSKSKSFSIFSLTRWQKGRDVRETQEKEKHNKKKMRFDVSHVLKRYVRMVRPLLFFRGRRDNLHLRRQAYSFSGNLSLRNKQELRGRRGEYSAPASMRTSPTNSGLLVATTGFPSSTSDSTMEELQAAIQAAIAHCKNSIGEDKLKC >EOY15078 pep chromosome:Theobroma_cacao_20110822:8:2177530:2178018:1 gene:TCM_034258 transcript:EOY15078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAISDAVIGNLMTIYVAVIAGIKAYGLVCGRSFSGGFVLIVSSTVVGLILVGTLTWDVSRKATYAISRDHAAAVHVHEMCKGGICWHGVAVRSPASQVRFRLPQQIPYGSL >EOY17326 pep chromosome:Theobroma_cacao_20110822:8:19421804:19422762:1 gene:TCM_036486 transcript:EOY17326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYKNPSPYIKSSLISTPHYKITVATTNPPPLLKPASRNEALLLNSNPTATSRSPTFAPAHLMLTIRSWLRTRRSRCFLLLLCSPLLLPFLCATFPLLCIAEVCCRICRRRRSGKAAQEEEEEEEEEEERRLRRCEEGCCCGGEEREVGLLRRYLEDQLALVGSVYECGDYFDDHDYHDGDRDPGDLNCKAPLLS >EOY14775 pep chromosome:Theobroma_cacao_20110822:8:1234921:1237331:1 gene:TCM_034048 transcript:EOY14775 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 4 MDQGGAATRNGGSGSGSVGVQIQQSRRLPDFLQSVNLKYVKLGYHYLISNLLTLCFIPLIAVISIEGSQMNLDDLRQLWLHLKYNLVSIIICSAILVFGLTVYIMTRPRPVYLVDYSCYRAPDHLKAPCGRFMEHSRLTGDFDESSLKFQRKILERSGLGEETYVPKAMHNIPPTPSMAAAREEAEEVMFGALDILFRNTNVNPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAVDLAKDLLQVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGSAVLLSNKSKDRRRAKYKLIHVVRTHRGADDKAFRCVYQEQDDAGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFTTLLVKKLFNASVKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPVHVEASRMTLHRFGNTSSSSIWYELAYIEAKGRMRKRNRVWQIAFGSGFKCNSAVWEALRNVKPSGNGPWEDCIDNYPVKIST >EOY15253 pep chromosome:Theobroma_cacao_20110822:8:2709871:2711552:1 gene:TCM_034385 transcript:EOY15253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein MSRSLGIPVKLLHEASGHVVTVELKSGELYRGSMVECEDNWNCQLENITYTAKDGKVSLLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDAKIKGKSSSLGVGRGRAVAMRAKAQAAGRGTTAGRGGVPSVRR >EOY16182 pep chromosome:Theobroma_cacao_20110822:8:6075747:6078218:-1 gene:TCM_035029 transcript:EOY16182 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2 C-terminal RRM only like 1 MSASSSPVKTSNSKPLNPSALSYEPQSMQPIAKPHYPQDKIYLPHQFHFERNQNEAPLHLPQPQVPVLQSVFLPVVLPDFCPRPSFGYYSSWCWENKEECLNLYNSEKQPYPRIKVFDSPNSIPYDGMNYGSERIVGNKKKGKCGSVPPSLKPRSEYPMHSPVWVPRKADDEKIGASSNVQGGGSPLCPLVPAEEQMKFDGKTSIMIKNVPNHFNCHEPVIIYVSGLLYGPKSLEEEESVLPFVLQVFFVTSVGCSCTEVIRSDLQRMLDRFCQIENRKAQPVSSFCKSAYDFLYLPMDFGFHLNLGFAFVNFTSPDAASRFSRAFNRREWSYGDTRSKICEISVAKLQGKGALKEQFERSSFPCHTNDYLPVVFSPPRDGFTRSRPTIVGRRTHTSATSKGEKVMIMTRRKNRKA >EOY16412 pep chromosome:Theobroma_cacao_20110822:8:7028708:7032760:1 gene:TCM_035201 transcript:EOY16412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase SecA family protein, putative isoform 7 MESQELPECPVCLQPYDGVCAIPRVLACGHTVCETCLVNLPQKLPGAIRCPACTVLVKYPPEGPSTLPKNIELLRLIPGSGSTRKHVNKSPHDSRVPFLPRSWSDEFYSNWKIYILPSDAVERQKVSLLAVGSFSTGGEGGSGFTAGYFVRVMDCLSGMKEGEREELGLVLSAFNKQSSRICRVLGLWGDPGDGILYIVSEKQEYGNFLDKNLCGFEKDGFFNFAMIGMEICEAVIALHKEGLIAGCLGFSCFQFDDFGHVCLNLSEVLLIGREVLEVVAKVGSSGKKIGDGEIVLLITDLFKRDVFVSPEVLLELSEKEGIVVERGSSRYSIRYSSDVWLLGCILLRILVGEVFSDELVDYMCHIIVKGSENNELDCSSAYMSVMEKVSSLLGTKFGSEYVSLQQILCKCLEFNPKNRSLVTDVWKYIRELVIKPQFDKMVKLDGASYDENRGRCLVVGKLFLLSRERIEIQEKDVSQGMETNGAANMVIGLTEGSIKSKDLQGHLDCVTGLAVAGGYLFSSSFDKSVKVWSLQDYSHLHTFRGHEHKVMAVVCVDEEQPLCISGDSGGGIFVWSISIPFAQEPLKKWYEEKDWRYSGIHALAVSEIGYLYTGSGDKLIKEWSLRDGTFSCSMSGHKSVVSTLAVSNGVLYSGSWDGTVRLWSLSDHSLLTVLGEDTLGSV >EOY16417 pep chromosome:Theobroma_cacao_20110822:8:7030113:7032554:1 gene:TCM_035201 transcript:EOY16417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase SecA family protein, putative isoform 7 MDCLSGMKEGEREELGLVLSAFNKQSSRICRVLGLWGDPGDGILYIVSEKQEYGNFLDKNLCGFEKDGFFNFAMIGMEICEAVIALHKEGLIAGCLGFSCFQFDDFGHVCLNLSEVLLIGREVLEVVAKVGSSGKKIGDGEIVLLITDLFKRDVFVSPEVLLELSEKEGIVVERGSSRYSIRYSSDVWLLGCILLRILVGEVFSDELVDYMCHIIVKGSENNELDCSSAYMSVMEKVSSLLGTKFGSEYVSLQQILCKCLEFNPKNRSLVTDVWKYIRELVIKPQFDKMVKLDGASYDENRGRCLVVGKLFLLSRERIEIQEKDVSQGMETNGAANMVIGLTEGSIKSKDLQGHLDCVTGLAVAGGYLFSSSFDKSVKVWSLQDYSHLHTFRGHEHKVMAVVCVDEEQPLCISGDSGGGIFVWSISIPFAQEPLKKWYEEKDWRYSGIHALAVSEIGYLYTGSGDKLIKEWSLRVSSLIYSDLHCLCLHLVKC >EOY16408 pep chromosome:Theobroma_cacao_20110822:8:7028708:7032760:1 gene:TCM_035201 transcript:EOY16408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase SecA family protein, putative isoform 7 MESQELPECPVCLQPYDGVCAIPRVLACGHTVCETCLVNLPQKLPGAIRCPACTVLVKYPPEGPSTLPKNIELLRLIPGSGSTRKHVNKSPHDSRVPFLPRSWSDEFYSNWKIYILPSDAVERQKVSLLAVGSFSTGGEGGSGFTAGYFVRVMDCLSGMKEGEREELGLVLSAFNKQSSRICRVLGLWGDPGDGILYIVSEKQEYGNFLDKNLCGFEKDGFFNFAMIGMEICEAVIALHKEGLIAGCLGFSCFQFDDFGHVCLNLSEVLLIGREVLEVVAKVGSSGKKIGDGEIVLLITDLFKRDVFVSPEVLLELSEKEGIVVERGSSRYSIRYSSDVWLLGCILLRILVGEVFSDELVDYMCHIIVKGSENNELDCSSAYMSVMEKVSSLLGTKFGSEYVSLQQILCKCLEFNPKNRSLVTDVWKYIRELVIKPQFDKMVKLDGASYDENRGRCLVVGKLFLLSRERIEIQEKDVSQGMETNGAANMVIGLTEGSIKSKDLQGHLDCVTGLAVAGGYLFSSSFDKSVKVWSLQDYSHLHTFRGHEHKVMAVVCVDEEQPLCISGDSGGGIFVWSISIPFAQEPLKKWYEEKDWRYSGIHALAVSEIGYLYTGSGDKLIKEWSLRDGTFSCSMSGHKSVVSTLAF >EOY16410 pep chromosome:Theobroma_cacao_20110822:8:7029008:7034522:1 gene:TCM_035201 transcript:EOY16410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase SecA family protein, putative isoform 7 MESQELPECPVCLQPYDGVCAIPRVLACGHTVCETCLVNLPQKLPGAIRCPACTVLVKYPPEGPSTLPKNIELLRLIPGSGSTRKHVNKSPHDSRVPFLPRSWSDEFYSNWKIYILPSDAVERQKVSLLAVGSFSTGGEGGSGFTAGYFVRVMDCLSGMKEGEREELGLVLSAFNKQSSRICRVLGLWGDPGDGILYIVSEKQEYGNFLDKNLCGFEKDGFFNFAMIGMEICEAVIALHKEGLIAGCLGFSCFQFDDFGHVCLNLSEVLLIGREVLEVVAKVGSSGKKIGDGEIVLLITDLFKRDVFVSPEVLLELSEKEGIVVERGSSRYSIRYSSDVWLLGCILLRILVGEVFSDELVDYMCHIIVKGSENNELDCSSAYMSVMEKVSSLLGTKFGSEYVSLQQILCKCLEFNPKNRSLVTDVWKYIRELVIKPQFDKMVKLDGASYDENRGRCLVVGKLFLLSRERIEIQEKDVSQGMETNGAANMVIGLTEGSIKSKDLQGHLDCVTGLAVAGGYLFSSSFDKSVKVWSLQDYSHLHTFRGHEHKVMAVVCVDEEQPLCISGDSGGGIFVWSISIPFAQEPLKKWYEEKDWRYSGIHALAVSEIGYLYTGSGDKLIKEWSLRDGTFSCSMSGHKSVVSTLAVSNGVLYSGSWDGTVRLWSLSDHSLLTVLGEDTLGSVTTVLSLAADMNTLVAAYENGSVKIWRDDVFRKSIQIHNGAIFTISLEGKWLFTGSWDRTIKAQELAGDDFQVDLRPIGSIPCDSVITALSFWEGKLFVGFGDRTVKVYYYGK >EOY16406 pep chromosome:Theobroma_cacao_20110822:8:7029665:7034629:1 gene:TCM_035201 transcript:EOY16406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase SecA family protein, putative isoform 7 MDCLSGMKEGEREELGLVLSAFNKQSSRICRVLGLWGDPGDGILYIVSEKQEYGNFLDKNLCGFEKDGFFNFAMIGMEICEAVIALHKEGLIAGCLGFSCFQFDDFGHVCLNLSEVLLIGREVLEVVAKVGSSGKKIGDGEIVLLITDLFKRDVFVSPEVLLELSEKEGIVVERGSSRYSIRYSSDVWLLGCILLRILVGEVFSDELVDYMCHIIVKGSENNELDCSSAYMSVMEKVSSLLGTKFGSEYVSLQQILCKCLEFNPKNRSLVTDVWKYIRELVIKPQFDKMVKLDGASYDENRGRCLVVGKLFLLSRERIEIQEKDVSQGMETNGAANMVIGLTEGSIKSKDLQGHLDCVTGLAVAGGYLFSSSFDKSVKVWSLQDYSHLHTFRGHEHKVMAVVCVDEEQPLCISGDSGGGIFVWSISIPFAQEPLKKWYEEKDWRYSGIHALAVSEIGYLYTGSGDKLIKEWSLRDGTFSCSMSGHKSVVSTLAVSNGVLYSGSWDGTVRLWSLSDHSLLTVLGEDTLGSVTTVLSLAADMNTLVAAYENGSVKIWRDDVFRKSIQIHNGAIFTISLEGKWLFTGSWDRTIKAQELAGDDFQVDLRPIGSIPCDSVITALSFWEGKLFVGFGDRTVKVYYYGK >EOY16413 pep chromosome:Theobroma_cacao_20110822:8:7029008:7033650:1 gene:TCM_035201 transcript:EOY16413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase SecA family protein, putative isoform 7 MESQELPECPVCLQPYDGVCAIPRVLACGHTVCETCLVNLPQKLPGAIRCPACTVLVKYPPEGPSTLPKNIELLRLIPGSGSTRKHVNKSPHDSRVPFLPRSWSDEFYSNWKIYILPSDAVERQKVSLLAVGSFSTGGEGGSGFTAGYFVRVMDCLSGMKEGEREELGLVLSAFNKQSSRICRVLGLWGDPGDGILYIVSEKQEYGNFLDKNLCGFEKDGFFNFAMIGMEICEAVIALHKEGLIAGCLGFSCFQFDDFGHVCLNLSEVLLIGREVLEVVAKVGSSGKKIGDGEIVLLITDLFKRDVFVSPEVLLELSEKEGIVVERGSSRYSIRYSSDVWLLGCILLRILVGEVFSDELVDYMCHIIVKGSENNELDCSSAYMSVMEKVSSLLGTKFGSEYVSLQQILCKCLEFNPKNRSLVTDVWKYIRELVIKPQFDKMVKLDGASYDENRGRCLVVGKLFLLSRERIEIQEKDVSQGMETNGAANMVIGLTEGSIKSKDLQGHLDCVTGLAVAGGYLFSSSFDKSVKVWSLQDYSHLHTFRGHEHKVMAVVCVDEEQPLCISGDSGGGIFVWSISIPFAQEPLKKWYEEKDWRYSGIHALAVSEIGYLYTGSGDKLIKEWSLRDGTFSCSMSGHKSVVSTLAVSNGVLYSGSWDGTVRLWSLSDHSLLTVLGEDTLGSVTTVLSLAADMNTLVAAYENGSVKIWRDDVFRKSIQIHNGAIFTISLEGKWLFTGSWDRTIKAQV >EOY16414 pep chromosome:Theobroma_cacao_20110822:8:7028708:7032760:1 gene:TCM_035201 transcript:EOY16414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase SecA family protein, putative isoform 7 MESQELPECPVCLQPYDGVCAIPRVLACGHTVCETCLVNLPQKLPGAIRCPACTVLVKYPPEGPSTLPKNIELLRLIPGSGSTRKHVNKSPHDSRVPFLPRSWSDEFYSNWKIYILPSDAVERQKVSLLAVGSFSTGGEGGSGFTAGYFVRVMDCLSGMKEGEREELGLVLSAFNKQSSRICRVLGLWGDPGDGILYIVSEKQEYGNFLDKNLCGFEKDGFFNFAMIGMEICEAVIALHKEGLIAGCLGFSCFQFDDFGHVCLNLSEVLLIGREVLEVVAKVGSSGKKIGDGEIVLLITDLFKRDVFVSPEVLLELSEKEGIVVERGSSRYSIRYSSDVWLLGCILLRILVGEVFSDELVDYMCHIIVKGSENNELDCSSAYMSVMEKVSSLLGTKFGSEYVSLQQILCKCLEFNPKNRSLVTDVWKYIRELVIKPQFDKMVKLDGASYDENRGRCLVVGKLFLLSRERIEIQEKDVSQGMETNGAANMVIGLTEGSIKSKDLQGHLDCVTGLAVAGGYLFSSSFDKSVKVWSLQDYSHLHTFRGHEHKVMAVVCVDEEQPLCISGDSGGGIFVWSISIPFAQEPLKKWYEEKDWRYSGIHALAVSEIGYLYTGSGDKLIKEWSLRDGTFSCSMSGHKSVVSTLAVSNGVLYSGSWDGTVRLWSLSDHSLLTVLGEDTLGSV >EOY16411 pep chromosome:Theobroma_cacao_20110822:8:7028103:7032826:1 gene:TCM_035201 transcript:EOY16411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase SecA family protein, putative isoform 7 MESQELPECPVCLQPYDGVCAIPRVLACGHTVCETCLVNLPQKLPGAIRCPACTVLVKYPPEGPSTLPKNIELLRLIPGSGSTRKHVNKSPHDSRVPFLPRSWSDEFYSNWKIYILPSDAVERQKVSLLAVGSFSTGGEGGSGFTAGYFVRVMDCLSGMKEGEREELGLVLSAFNKQSSRICRVLGLWGDPGDGILYIVSEKQEYGNFLDKNLCGFEKDGFFNFAMIGMEICEAVIALHKEGLIAGCLGFSCFQFDDFGHVCLNLSEVLLIGREVLEVVAKVGSSGKKIGDGEIVLLITDLFKRDVFVSPEVLLELSEKEGIVVERGSSRYSIRYSSDVWLLGCILLRILVGEVFSDELVDYMCHIIVKGSENNELDCSSAYMSVMEKVSSLLGTKFGSEYVSLQQILCKCLEFNPKNRSLVTDVWKYIRELVIKPQFDKMVKLDGASYDENRGRCLVVGKLFLLSRERIEIQEKDVSQGMETNGAANMVIGLTEGSIKSKDLQGHLDCVTGLAVAGGYLFSSSFDKSVKVWSLQDYSHLHTFRGHEHKVMAVVCVDEEQPLCISGDSGGGIFVWSISIPFAQEPLKKWYEEKDWRYSGIHALAVSEIGYLYTGSGDKLIKEWSLRDGTFSCSMSGHKSVVSTLAVSNGVLYSGSWDGTVRLWSLSDHSLLTVLGEDTLGSVTTVLSLAADMNTLVAAYENGSV >EOY16409 pep chromosome:Theobroma_cacao_20110822:8:7028103:7032826:1 gene:TCM_035201 transcript:EOY16409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase SecA family protein, putative isoform 7 MESQELPECPVCLQPYDGVCAIPRVLACGHTVCETCLVNLPQKLPGAIRCPACTVLVKYPPEGPSTLPKNIELLRLIPGSGSTRKHVNKSPHDSRVPFLPRSWSDEFYSNWKIYILPSDAVERQKVSLLAVGSFSTGGEGGSGFTAGYFVRVMDCLSGMKEGEREELGLVLSAFNKQSSRICRVLGLWGDPGDGILYIVSEKQEYGNFLDKNLCGFEKDGFFNFAMIGMEICEAVIALHKEGLIAGCLGFSCFQFDDFGHVCLNLSEVLLIGREVLEVVAKVGSSGKKIGDGEIVLLITDLFKRDVFVSPEVLLELSEKEGIVVERGSSRYSIRYSSDVWLLGCILLRILVGEVFSDELVDYMCHIIVKGSENNELDCSSAYMSVMEKVSSLLGTKFGSEYVSLQQILCKCLEFNPKNRSLVTDVWKYIRELVIKPQFDKMVKLDGASYDENRGRCLVVGKLFLLSRERIEIQEKDVSQGMETNGAANMVIGLTEGSIKSKDLQGHLDCVTGLAVAGGYLFSSSFDKSVKVWSLQDYSHLHTFRGHEHKVMAVVCVDEEQPLCISGDSGGGIFVWSISIPFAQEPLKKWYEEKDWRYSGIHALAVSEIGYLYTGSGDKLIKEWSLRDGTFSCSMSGHKSVVSTLAF >EOY16416 pep chromosome:Theobroma_cacao_20110822:8:7029665:7034629:1 gene:TCM_035201 transcript:EOY16416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase SecA family protein, putative isoform 7 MDCLSGMKEGEREELGLVLSAFNKQSSRICRVLGLWGDPGDGILYIVSEKQEYGNFLDKNLCGFEKDGFFNFAMIGMEICEAVIALHKEGLIAGCLGFSCFQFDDFGHVCLNLSEVLLIGREVLEVVAKVGSSGKKIGDGEIVLLITDLFKRDVFVSPEVLLELSEKEGIVVERGSSRYSIRYSSDVWLLGCILLRILVGEVFSDELVDYMCHIIVKGSENNELDCSSAYMSVMEKVSSLLGTKFGSEYVSLQQILCKCLEFNPKNRSLVTDVWKYIRELVIKPQFDKMVKLDGASYDENRGRCLVVGKLFLLSRERIEIQEKDVSQGMETNGAANMVIGLTEGSIKSKDLQGHLDCVTGLAVAGGYLFSSSFDKSVKVWSLQDYSHLHTFRGHEHKVMAVVCVDEEQPLCISGDSGGGIFVWSISIPFAQEPLKKWYEEKDWRYSGIHALAVSEIGYLYTGSGDKLIKEWSLRDGTFSCSMSGHKSVVSTLAVSNGVLYSGSWDGTVRLWSLSDHSLLTVLGEDTLGSVTTVLSLAADMNTLVAAYENGSVKVLCSSNCS >EOY16415 pep chromosome:Theobroma_cacao_20110822:8:7029657:7032554:1 gene:TCM_035201 transcript:EOY16415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase SecA family protein, putative isoform 7 MESQELPECPVCLQPYDGVCAIPRVLACGHTVCETCLVNLPQKLPGAIRCPACTVLVKYPPEGPSTLPKNIELLRLIPGSGSTRKHVNKSPHDSRVPFLPRSWSDEFYSNWKIYILPSDAVERQKVSLLAVGSFSTGGEGGSGFTAGYFVRVMDCLSGMKEGEREELGLVLSAFNKQSSRICRVLGLWGDPGDGILYIVSEKQEYGNFLDKNLCGFEKDGFFNFAMIGMEICEAVIALHKEGLIAGCLGFSCFQFDDFGHVCLNLSEVLLIGREVLEVVAKVGSSGKKIGDGEIVLLITDLFKRDVFVSPEVLLELSEKEGIVVERGSSRYSIRYSSDVWLLGCILLRILVGEVFSDELVDYMCHIIVKGSENNELDCSSAYMSVMEKVSSLLGTKFGSEYVSLQQILCKCLEFNPKNRSLVTDVWKYIRELVIKPQFDKMVKLDGASYDENRGRCLVVGKLFLLSRERIEIQEKDVSQGMETNGAANMVIGLTEGSIKSKDLQGHLDCVTGLAVAGGYLFSSSFDKSVKVWSLQDYSHLHTFRGHEHKVMAVVCVDEEQPLCISGDSGGGIFVWSISIPFAQEPLKKWYEEKDWRYSGIHALAVSEIGYLYTGSGDKLIKEWSLRVSSLIYSDLHCLCLHLVKC >EOY16407 pep chromosome:Theobroma_cacao_20110822:8:7028103:7032826:1 gene:TCM_035201 transcript:EOY16407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase SecA family protein, putative isoform 7 MESQELPECPVCLQPYDGVCAIPRVLACGHTVCETCLVNLPQKLPGAIRCPACTVLVKYPPEGPSTLPKNIELLRLIPGSGSTRKHVNKSPHDSRVPFLPRSWSDEFYSNWKIYILPSDAVERQKVSLLAVGSFSTGGEGGSGFTAGYFVRVMDCLSGMKEGEREELGLVLSAFNKQSSRICRVLGLWGDPGDGILYIVSEKQEYGNFLDKNLCGFEKDGFFNFAMIGMEICEAVIALHKEGLIAGCLGFSCFQFDDFGHVCLNLSEVLLIGREVLEVVAKVGSSGKKIGDGEIVLLITDLFKRDVFVSPEVLLELSEKEGIVVERGSSRYSIRYSSDVWLLGCILLRILVGEVFSDELVDYMCHIIVKGSENNELDCSSAYMSVMEKVSSLLGTKFGSEYVSLQQILCKCLEFNPKNRSLVTDVWKYIRELVIKPQFDKMVKLDGASYDENRGRCLVVGKLFLLSRERIEIQEKDVSQGMETNGAANMVIGLTEGSIKSKDLQGHLDCVTGLAVAGGYLFSSSFDKSVKVWSLQDYSHLHTFRGHEHKVMAVVCVDEEQPLCISGDSGGGIFVWSISIPFAQEPLKKWYEEKDWRYSGIHALAVSEIGYLYTGSGDKLIKEWSLRDGTFSCSMSGHKSVVSTLAVSNGVLYSGSWDGTVRLWSLSDHSLLTVLGEDTLGSVTTVLSLAADMNTLVAAYENGSV >EOY14445 pep chromosome:Theobroma_cacao_20110822:8:355498:357939:-1 gene:TCM_033826 transcript:EOY14445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3223) [Source:Projected from Arabidopsis thaliana (AT1G45230) TAIR;Acc:AT1G45230] MASVLKPPPYFHRNCISISSSSSPVILSSPSQRTTSLQVRSCALRTGSDGGRIGSQESYGADMLRKPSILTPKDSGGTSEQEEGSEGKRKRGKWIDWEDRILEDTVPLVGFVRMIIHSGKYESGDRLSPEHEKTILDRLLPYHPECEKKIGCGIDYITVGYHPDFEGSRCLFIVRKDGELIDFSYWKCIKGLIRKTILYMQTASFSDNSDSVDGVDEGNTLNLSTTLCYYV >EOY14440 pep chromosome:Theobroma_cacao_20110822:8:355395:358117:-1 gene:TCM_033826 transcript:EOY14440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3223) [Source:Projected from Arabidopsis thaliana (AT1G45230) TAIR;Acc:AT1G45230] MASVLKPPPYFHRNCISISSSSSPVILSSPSQRTTSLQVRSCALRTGSDGGRIGSQESYGADMLRKPSILTPKDSGGTSEQEEGSEGKRKRGKWIDWEDRILEDTVPLVGFVRMIIHSGKYESGDRLSPEHEKTILDRLLPYHPECEKKIGCGIDYITVGYHPDFEGSRCLFIVRKDGELIDFSYWKCIKGLIRKNYPLYADSFILRHFRRRRRS >EOY14444 pep chromosome:Theobroma_cacao_20110822:8:355791:357918:-1 gene:TCM_033826 transcript:EOY14444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3223) [Source:Projected from Arabidopsis thaliana (AT1G45230) TAIR;Acc:AT1G45230] MASVLKPPPYFHRNCISISSSSSPVILSSPSQRTTSLQVRSCALRTGSDGGRIGSQESYGADMLRKPSILTPKDSGGTSEQEEGSEGKRKRGKWIDWEDRILEDTVPLVGFVRMIIHSGKYESGDRLSPEHEKTILDRLLPYHPECEKKIGCGIDYITVGYHPDFEGSRCLFIVRKDGELIDFSYWKCIKGLIRKNYPLYADSFILRHFRRRRRS >EOY14443 pep chromosome:Theobroma_cacao_20110822:8:355563:358157:-1 gene:TCM_033826 transcript:EOY14443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3223) [Source:Projected from Arabidopsis thaliana (AT1G45230) TAIR;Acc:AT1G45230] MASVLKPPPYFHRNCISISSSSSPVILSSPSQRTTSLQVRSCALRTGSDGGRIGSQESYGADMLRKPSILTPKDSGGTSEQEEGSEGKRKRGKWIDWEDRILEDTVPLVGFVRMIIHSGKYESGDRLSPEHEKTILDRLLPYHPECEKKIGCGIDYITVGYHPDFEGLRCLFIVWKDGELVVFSYWKCIKGLIRKNYPLYADSFILRQF >EOY14441 pep chromosome:Theobroma_cacao_20110822:8:355791:357918:-1 gene:TCM_033826 transcript:EOY14441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3223) [Source:Projected from Arabidopsis thaliana (AT1G45230) TAIR;Acc:AT1G45230] MASVLKPPPYFHRNCISISSSSSPVILSSPSQRTTSLQVRSCALRTGSDGGRIGSQESYGADMLRKPSILTPKDSGGTSEQEEGSEGKRKRGKWIDWEDRILEDTVPLVGFVRMIIHSGKYESGDRLSPEHEKTILDRLLPYHPECEKKIGCGIDYITVGYHPDFEGSRCLFIVRKDGELIDFSYWKCIKGLIRKNYPLYADSFILRHFRRRRRS >EOY14442 pep chromosome:Theobroma_cacao_20110822:8:355791:357918:-1 gene:TCM_033826 transcript:EOY14442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3223) [Source:Projected from Arabidopsis thaliana (AT1G45230) TAIR;Acc:AT1G45230] MASVLKPPPYFHRNCISISSSSSPVILSSPSQRTTSLQVRSCALRTGSDGGRIGSQESYGADMLRKPSILTPKDSGGTSEQEEGSEGKRKRGKWIDWEDRILEDTVPLVGFVRMIIHSGKYESGDRLSPEHEKTILDRLLPYHPECEKKIGCGIDYITVGYHPDFEGSRCLFIVRKDGELIDFSYWKCIKGLIRKNYPLYADSFILRHFRRRRRS >EOY15790 pep chromosome:Theobroma_cacao_20110822:8:4654293:4658038:-1 gene:TCM_034751 transcript:EOY15790 gene_biotype:protein_coding transcript_biotype:protein_coding description:NRAMP metal ion transporter 2 isoform 1 MNSLSRDEESSKEDLDKDKDTAAEDSESKRLLPSQSPSSSLSDDENEVAFESREKIVIVDVDIPESLQNVDYVPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATVMGLLIQLLSARLGVATGRHLAELCREEYPNWARFVLWFMAELALIGADIQEVIGSAIAIQILSHGALPLWAGVLITASDCFILLFLENYGVRKLEAVFAVLIATMASSFAWMFGDTKPNGKDLLIGILVPRLSSKTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDPKKRGRVQEALNYYSIESSVALLVSFMINLFVTTVFAKGFYGTKQANNIGLVNAGQYLQEKYGGGVFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSFAIVPTIIVALVFNTSEASLDILNEWLNVLQSVQIPFALIPLLTLVSKEQVMGVFRIGPILERLAWTVAALVIIINGYLLLDFFVSEVKGPLFALFICSWTAAYIAFIVYLVARGGGLPSTWFSIELSKRFSATGS >EOY15788 pep chromosome:Theobroma_cacao_20110822:8:4653973:4658038:-1 gene:TCM_034751 transcript:EOY15788 gene_biotype:protein_coding transcript_biotype:protein_coding description:NRAMP metal ion transporter 2 isoform 1 MNSLSRDEESSKEDLDKDKDTAAEDSESKRLLPSQSPSSSLSDDENEVAFESREKIVIVDVDIPESLQNVDYVPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATVMGLLIQLLSARLGVATGRHLAELCREEYPNWARFVLWFMAELALIGADIQEVIGSAIAIQILSHGALPLWAGVLITASDCFILLFLENYGVRKLEAVFAVLIATMASSFAWMFGDTKPNGKDLLIGILVPRLSSKTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDPKKRGRVQEALNYYSIESSVALLVSFMINLFVTTVFAKGFYGTKQANNIGLVNAGQYLQEKYGGGVFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSFAIVPTIIVALVFNTSEASLDILNEWLNVLQSVQIPFALIPLLTLVSKEQVMGVFRIGPILERLAWTVAALVIIINGYLLLDFFVSEVKGPLFALFICSWTAAYIAFIVYLVARGGGLPSTWFSIELSKRFSATGS >EOY15789 pep chromosome:Theobroma_cacao_20110822:8:4653973:4658038:-1 gene:TCM_034751 transcript:EOY15789 gene_biotype:protein_coding transcript_biotype:protein_coding description:NRAMP metal ion transporter 2 isoform 1 MNSLSRDEESSKEDLDKDKDTAAEDSESKRLLPSQSPSSSLSDDENEVAFESREKIVIVDVDIPESLQNVDYVPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATVMGLLIQLLSARLGVATGRHLAELCREEYPNWARFVLWFMAELALIGADIQEVIGSAIAIQILSHGALPLWAGVLITASDCFILLFLENYGVRKLEAVFAVLIATMASSFAWMFGDTKPNGKDLLIGILVPRLSSKTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDPKKRGRVQEALNYYSIESSVALLVSFMINLFVTTVFAKGFYGTKQANNIGLVNAGQYLQEKYGGGVFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSFAIVPTIIVALVFNTSEASLDILNEWLNVLQSVQIPFALIPLLTLVSKEQVMGVFRIGPILERLAWTVAALVIIINGYLLLDFFVSEVKGPLFALFICSWTAAYIAFIVYLVARGGGLPSTWFSIELSKRFSATGS >EOY15791 pep chromosome:Theobroma_cacao_20110822:8:4653378:4658038:-1 gene:TCM_034751 transcript:EOY15791 gene_biotype:protein_coding transcript_biotype:protein_coding description:NRAMP metal ion transporter 2 isoform 1 MNSLSRDEESSKEDLDKDKDTAAEDSESKRLLPSQSPSSSLSDDENEVAFESREKIVIVDVDIPESLQNVDYVPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATVMGLLIQLLSARLGVATGRHLAELCREEYPNWARFVLWFMAELALIGADIQEVIGSAIAIQILSHGALPLWAGVLITASDCFILLFLENYGVRKLEAVFAVLIATMASSFAWMFGDTKPNGKDLLIGILVPRLSSKTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDPKKRGRVQEALNYYSIESSVALLVSFMINLFVTTVFAKGFYGTKQANNIGLVNAGQYLQEKYGGGVFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSFAIVPTIIVALVFNTSEASLDILNEWLNVLQSVQIPFALIPLLTLVSKEQVMGVFRIGPILERLAWTVAALVIIINGYLLLDFFVSEVKGPLFALFICSWTAAYIAFIVYLVARGGGLPSTWFSIELSKRFSATGS >EOY15381 pep chromosome:Theobroma_cacao_20110822:8:3070866:3073563:1 gene:TCM_034460 transcript:EOY15381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFNRNPCKKKKKQIKASENQRKPIKKQSRNIGILLKEMASEAEIVRKPRFLCLHGFRTRGAILKKQIETNWPQSVLEKIDLVYPDAPFPAQGKADVEGIFDPPYYEWFQFNKEFTSYTNFEECLAYIEDIMIKQGPFDGLLGFSQGAILSGGLPGLQAKGLALTKVPKIKYLIIIGGAKFKSESVAEKARDRFLKTKWIGAVGILCRPRCHSSSTGPHYSQI >EOY14809 pep chromosome:Theobroma_cacao_20110822:8:1322935:1325910:-1 gene:TCM_034077 transcript:EOY14809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine carbamoyltransferase isoform 2 MAAISGHCTFRSHKLPLSSSSSSSFSGGADRSSRISFASPLSVRRNHISCQASSATSPPSSSVNGIAKGKMKDFLHISDFDKATIMKILDRAAEVKALLKSGDRSFVPFKGKSMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSRYNDIIMARVFAHQDILDLAKYATVPVVNGLTDYNHPCQIMADALTIIEHVGQLEGTKVVYVGDGNNVVHSWLLLAAVVPFHFVCACPKGFEPDKETVKKAQRAGISKIEITNDPKEAVKDADVVYSDVWASMGQKEEAAYRRQVFQGFQVDEALMKIAGPKAYFMHCLPAERGVEVTDGVIEAPNSIVFPQAENRMHAQNAIMLHLLGV >EOY14810 pep chromosome:Theobroma_cacao_20110822:8:1322570:1325247:-1 gene:TCM_034077 transcript:EOY14810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine carbamoyltransferase isoform 2 MKDFLHISDFDKATIMKILDRAAEVKALLKSGDRSFVPFKGKSMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSRYNDIIMARVFAHQDILDLAKYATVPVVNGLTDYNHPCQIMADALTIIEHVGQLEGTKVVYVGDGNNVVHSWLLLAAVVPFHFVCACPKGFEPDKETVKKAQRAGISKIEITNDPKEAVKDADVVYSDVWASMGQKEEAAYRRQVFQGFQVDEALMKIAGPKAYFMHCLPAERGVEVTDGVIEAPNSIVFPQAENRMHAQNAIMLHLLGV >EOY16124 pep chromosome:Theobroma_cacao_20110822:8:5869587:5872802:-1 gene:TCM_034992 transcript:EOY16124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid responsive element-binding factor 1, putative MGNLFSFSLSHARTLKIGFSSTNPHLPDRFQRLLRSFWRFRYYFSTAIYSHHFLHKPCIFFPFFGWLYVTVFLYLKLFMMSPDRARGQRFPPLARQSSLYNLTFDEVQSQLGNIGKPLNAMNLDELLKSVIAVEGQLVQNPSSSSSSASFFLGNFNLNGTLRKKTVDEVWKEIVDHGNVNAMDNQFVQQQLTLGETTLEDFLVRAGVINTASQNGVLDPQQFMAIDPVAVVSQQADWLQFQMATVQQQQQQQMTMMDSNFNVPDSSVYEGQVVDVGYPKNQLAMTMLPAMSATSQDSQATTARKRRCSDVMEKTIERRQKRMIKNRESAARSRARKQAYTNQLEVEVDQLRKMNSWLKRQKEVNMLLSSNTIAQPKYQLRRTSSASF >EOY15411 pep chromosome:Theobroma_cacao_20110822:8:3188262:3194986:1 gene:TCM_034484 transcript:EOY15411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase isoform 2 MASLSGLCSSSPSLKPKHNRITKLTPSLRKDSLAFSARSAASSKLVGAHSVAREISADLSKTNNVGILKKAKKGGLEKDPKALWRRYVDLLYQHKELGLYLDVSRIGFSDEFVAEMEPRFQAAFKAMEELEKGAIANPDEGRMVGHYWLRNAKLAPNSFLRVQIENTLDAVCKFADDVISGKIKPPSSPEGRFTQILSVGIGGSALGPQFVAEALAPDNPSLKIRFIDNTDPAGIDHQIAQLGSELASTLVIVISKSGGTPETRNGLLEVQKAFREAGLEFAKQGVAITQEKSLLDNTARIEGWVARFPMFDWVGGRTSEMSAVGLLPAALQGIDIREMLVGASMMDEATRCTELKNNPAALLALCWYWASDGIGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGITVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLLDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANNRESITVTVQEVTPRSVGALIALYERAVGIYASLTNINAYHQPGVEAGKKAAGEVLALQKRVLAVLNEASCKEPVEPLTLEEVADRCHAPEHIEMIYKIIEHMAANDRALIAEGFHHRSALYEMCSEMSSLHYSPAGFYNASRCEVLQPFDGSALPNFILQKLGWQIPQG >EOY15412 pep chromosome:Theobroma_cacao_20110822:8:3188786:3194660:1 gene:TCM_034484 transcript:EOY15412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase isoform 2 KFADDVISGKIKPPSSPEGRFTQILSVGIGGSALGPQFVAEALAPDNPSLKIRFIDNTDPAGIDHQIAQLGSELASTLVIVISKSGGTPETRNGLLEVQKAFREAGLEFAKQGVAITQEKSLLDNTARIEGWVARFPMFDWVGGRTSEMSAVGLLPAALQGIDIREMLVGASMMDEATRCTELKNNPAALLALCWYWASDGIGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGITVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLLDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANNRESITVTVLALQKRVLAVLNEASCKEPVEPLTLEEVADRCHAPEHIEMIYKIIEHMAANDRALIAEGFHHRSALYEMCSEMSSLHYSPAGFYNASRCEVLQPFDGSALPNFILQKLGWQIPQG >EOY16116 pep chromosome:Theobroma_cacao_20110822:8:5845813:5852352:-1 gene:TCM_034987 transcript:EOY16116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron carriers,protein disulfide oxidoreductases MPNLCVADTMEKENFSDKNITDFPIDEKLEGNTDGIHKEDQGKTVEEMQSLNISKVGINKLDDDNGQDSLSFNKDQNRVLESKAVGDNLDNDDKHVVQGNKINTGVDSDSKAQEEMNETTKEVLDEEEPEPVFDGTEVPGMEANRSLSTRSLDTDPEEEGSVWPEKAVALKNFVKEKGAVAVTNVLRRISFKRDEVEHAPADEDKHSADSAKRGEHTAMSPKTAERSAWNPLNYIKMSRDADAESKAEQGGGYYRRITKRLGYVDINIDVYPSRKLELEKFSGSCVVPKVLFNEVLIGGLSELKGLDESGKLDEKIDFLISEAPSLEAPQPPLSGEDDVSSNGPVDELALIVRKMKATIVVKDRFYKMRRCTNCFLGSEAVDFLSEDQYLEREEAVEFGGKLASELFFRHVLDENLFEDGSHLYRFLDHDPTVSSQCHNIPRGIIELKPKPITEIASRLRFLSYAIFEAYASEDGRHVDYRSIHGSEEFARYLRIVQELQRVEVQDMPREEKLAFFINLYNMMAIHAILVWGHPTGQLERRKLFGEFKYVVGGCTYSLSSIQNGILRGNQRPPYNLLKPFGVKDKRSQVALPYSEPLIHFALVCGTRSGPALRCYSPGNIDKELMDAARDFLRAGGLIVDLSGKVAYASKILKWFSVDFGKNEVEVLKHASNYLEPTESEALLEMLADDQLKVPCFTKFLEGITLQKKLEDTYSIRYLSGCSFYQIKIQSDLKLLVLLYIENSNAITFTKGYTLKSLLNLLF >EOY17401 pep chromosome:Theobroma_cacao_20110822:8:20038715:20040068:1 gene:TCM_036565 transcript:EOY17401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHYFIATTPHGRNSSFSYISAQDLWLMESMFNDIPLNVGKYMIERIKGTLLRDKANLPYSNIILALIKKKGNLEYKVLG >EOY14362 pep chromosome:Theobroma_cacao_20110822:8:59943:64988:1 gene:TCM_033761 transcript:EOY14362 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase MFYLYCKQDRQRVRERQRDREPPKPCPQYLHGTSRSLPTKISLSPLSWRPSLHSRAIFPRCQKPRTLIVVATILKAKPTVLVAEKLGDAGIQLLKAFANVDCSYNLSPEELCTKISLCDALIVRSGTKVTREVFESSGGRVKVVGRAGVGIDNVDLPAATEQGCLLVNAPTANTIAAAEHGIALLTAMARNVAQADASVKAGKWQRNKYVGVSVVGKTLAVMGFGKVGSEVARRAMGLGMHVIAHDHYAPAGRARAIGVELVTFEEALLTADFICMHMPLTPATSKMFNDDAFSRMKRGARIVNVARGGVIDEEALLRALDSGIVAQAALDVFREEPPPKESKLVQHETVTVTPHLGASTTEASVYAWPSILASVLLLHHITISNFKLFLYILSIWIMMVQSELAPFVALTEKLGRLAVQLVAGGSGLKFVKVTYASARVNADFTAKKRGLNLTEERIVLDGSPENPLEFIQVQIANVESKFAGAISDSGEITVEGGVKDGKPHLTKVGSFGVCVSLEGSLILCRQIDQPGMIGRVGSILGEELHPGNKL >EOY17349 pep chromosome:Theobroma_cacao_20110822:8:19583928:19586119:-1 gene:TCM_036506 transcript:EOY17349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MADETPAPAPPVAAPPPPPPRVVTESDSPAVVEKEDPSPPSPVDVVSVSVTESVSTAIEEKEEQTPPAEPVEVVPDSTDKGEKEEPPLPPPPQPVKSESESPAVTEKAKEEEPPAVTEKAKEEEPPAATEKAKEGEPPAATVAVLETELQQPPELPSQEVVTESKSLAAMMEKEEGGAPEPTVATNTTTSAEEEVAVVVEEKKIPQNLGSFKEESNKVADLSDFERKALEELKHFVQEAIDTHLFTSETKSEENPEKEKKEEPKEVSIWGIPLLKDDRSDVILLKFLRARDFKVKDAFVMIKNTIRWRKEFGIDELLDEDLGDDMEKVVFMHGQDREGHRVCYNVYGEFQNKDLYQKAFSDEEKRMKFLRWRIQFLEKSIRKLDFSPDGINTIFQVSDLKNSPGPGKRELRLATKQALQLLQDNYPEFVAKQVFINVPWWYLVFYTMISPFMTQRTKSKFVFAGPAKSAETLFKYIAPEQVPIQYGGLSVDYCDCNPEFGDADPATEITVKPGTKQTVEITIYEKCDLVWEIRVVGWEVSYGAEFMPNAKDSYTVIIQKPTKMSPKDEPVVSQCFKVGELGKVLLTVDNPTSKKKKLVYRFKVKPYCDCCH >EOY17347 pep chromosome:Theobroma_cacao_20110822:8:19583928:19586119:-1 gene:TCM_036506 transcript:EOY17347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MADETPAPAPPVAAPPPPPPRVVTESDSPAVVEKEDPSPPSPVDVVSVSVTESVSTAIEEKEEQTPPAEPVEVVPDSTDKGEKEEPPLPPPPQPVKSESESPAVTEKAKEEEPPAVTEKAKEEEPPAATEKAKEGEPPAATVAVLETELQQPPELPSQEVVTESKSLAAMMEKEEGGAPEPTVATNTTTSAEEEVAVVVEEKKIPQNLGSFKEESNKVADLSDFERKALEELKHFVQEAIDTHLFTSETKSEENPEKEKKEEPKEVSIWGIPLLKDDRSDVILLKFLRARDFKVKDAFVMIKNTIRWRKEFGIDELLDEDLGDDMEKVVFMHGQDREGHRVCYNVYGEFQNKDLYQKAFSDEEKRMKFLRWRIQFLEKSIRKLDFSPDGINTIFQVSDLKNSPGPGKRELRLATKQALQLLQDNYPEFVAKQVFINVPWWYLVFYTMISPFMTQRTKSKFVFAGPAKSAETLFKYIAPEQVPIQYGGLSVDYCDCNPEFGDADPATEITVKPGTKQTVEITIYEKCDLVWEIRVVGWEVSYGAEFMPNAKDSYTVIIQKPTKMSPKDEPVVSQCFKVGELGKVLLTVDNPTSKKKKLVYRFKVKPYCDCCH >EOY17348 pep chromosome:Theobroma_cacao_20110822:8:19583770:19586197:-1 gene:TCM_036506 transcript:EOY17348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MADETPAPAPPVAAPPPPPPRVVTESDSPAVVEKEDPSPPSPVDVVSVSVTESVSTAIEEKEEQTPPAEPVEVVPDSTDKGEKEEPPLPPPPQPVKSESESPAVTEKAKEEEPPAVTEKAKEEEPPAATEKAKEGEPPAATVAVLETELQQPPELPSQEVVTESKSLAAMMEKEEGGAPEPTVATNTTTSAEEEVAVVVEEKKIPQNLGSFKEESNKVADLSDFERKALEELKHFVQEAIDTHLFTSETKSEENPEKEKKEEPKEVSIWGIPLLKDDRSDVILLKFLRARDFKVKDAFVMIKNTIRWRKEFGIDELLDEDLGDDMEKVVFMHGQDREGHRVCYNVYGEFQNKDLYQKAFSDEEKRMKFLRWRIQFLEKSIRKLDFSPDGINTIFQVSDLKNSPGPGKRELRLATKQALQLLQDNYPEFVAKQVFINVPWWYLVFYTMISPFMTQRTKSKFVFAGPAKSAETLFKYIAPEQVPIQYGGLSVDYCDCNPEFGDADPATEITVKPGTKQTVEITIYEKCDLVWEIRVVGWEVSYGAEFMPNAKDSYTVIIQKPTKMSPKDEPVVSQCFKVGELGKVLLTVDNPTSKKKKLVYRFKVKPYCDCCH >EOY17346 pep chromosome:Theobroma_cacao_20110822:8:19583154:19586711:-1 gene:TCM_036506 transcript:EOY17346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MADETPAPAPPVAAPPPPPPRVVTESDSPAVVEKEDPSPPSPVDVVSVSVTESVSTAIEEKEEQTPPAEPVEVVPDSTDKGEKEEPPLPPPPQPVKSESESPAVTEKAKEEEPPAVTEKAKEEEPPAATEKAKEGEPPAATVAVLETELQQPPELPSQEVVTESKSLAAMMEKEEGGAPEPTVATNTTTSAEEEVAVVVEEKKIPQNLGSFKEESNKVADLSDFERKALEELKHFVQEAIDTHLFTSETKSEENPEKEKKEEPKEVSIWGIPLLKDDRSDVILLKFLRARDFKVKDAFVMIKNTIRWRKEFGIDELLDEDLGDDMEKVVFMHGQDREGHRVCYNVYGEFQNKDLYQKAFSDEEKRMKFLRWRIQFLEKSIRKLDFSPDGINTIFQVSDLKNSPGPGKRELRLATKQALQLLQDNYPEFVAKQVFINVPWWYLVFYTMISPFMTQRTKSKFVFAGPAKSAETLFKYIAPEQVPIQYGGLSVDYCDCNPEFGDADPATEITVKPGTKQTVEITIYEKCDLVWEIRVVGWEVSYGAEFMPNAKDSYTVIIQKPTKMSPKDEPVVSQCFKVGELGKVLLTVDNPTSKKKKLVYRFKVKPYCDCCH >EOY17353 pep chromosome:Theobroma_cacao_20110822:8:19583002:19586391:-1 gene:TCM_036506 transcript:EOY17353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MADETPAPAPPVAAPPPPPPRVVTESDSPAVVEKEDPSPPSPVDVVSVSVTESVSTAIEEKEEQTPPAEPVEVVPDSTDKGEKEEPPLPPPPQPVKSESESPAVTEKAKEEEPPAVTEKAKEEEPPAATEKAKEGEPPAATVAVLETELQQPPELPSQEVVTESKSLAAMMEKEEGGAPEPTVATNTTTSAEEEVAVVVEEKKIPQNLGSFKEESNKVADLSDFERKALEELKHFVQEAIDTHLFTSETKSEENPEKEKKEEPKEVSIWGIPLLKDDRSDVILLKFLRARDFKVKDAFVMIKNTIRWRKEFGIDELLDEDLGDDMEKVVFMHGQDREGHRVCYNVYGEFQNKDLYQKAFSDEEKRMKFLRWRIQFLEKSIRKLDFSPDGINTIFQVSDLKNSPGPGKRELRLATKQALQLLQDNYPEFVAKQVFINVPWWYLVFYTMISPFMTQRTKSKFVFAGPAKSAETLFKYIAPEQVPIQYGGLSVDYCDCNPEFGDADPATEITVKPGTKQTVEITIYEKCDLVWEIRVVGWEVSYGAEFMPNAKDSYTVIIQKPTKMSPKDEPVVSQCFKVGELGKVLLTVDNPTSKKKKLVYRFKDVQELKLLL >EOY17351 pep chromosome:Theobroma_cacao_20110822:8:19583778:19586391:-1 gene:TCM_036506 transcript:EOY17351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MADETPAPAPPVAAPPPPPPRVVTESDSPAVVEKEDPSPPSPVDVVSVSVTESVSTAIEEKEEQTPPAEPVEVVPDSTDKGEKEEPPLPPPPQPVKSESESPAVTEKAKEEEPPAVTEKAKEEEPPAATEKAKEGEPPAATVAVLETELQQPPELPSQEVVTESKSLAAMMEKEEGGAPEPTVATNTTTSAEEEVAVVVEEKKIPQNLGSFKEESNKVADLSDFERKALEELKHFVQEAIDTHLFTSETKSEENPEKEKKEEPKEVSIWGIPLLKDDRSDVILLKFLRARDFKVKDAFVMIKNTIRWRKEFGIDELLDEDLGDDMEKVVFMHGQDREGHRVCYNVYGEFQNKDLYQKAFSDEEKRMKFLRWRIQFLEKSIRKLDFSPDGINTIFQVSDLKNSPGPGKRELRLATKQALQLLQDNYPEFVAKQVFINVPWWYLVFYTMISPFMTQRTKSKFVFAGPAKSAETLFKYIAPEQVPIQYGGLSVDYCDCNPEFGDADPATEITVKPGTKQTVEITIYEKCDLVWEIRVVGWEVSYGAEFMPNAKDSYTVIIQKPTKMSPKDEPVVSQCFKVGELGKVLLTVDNPTSKKKKLVYRFKVKPYCDCCH >EOY17352 pep chromosome:Theobroma_cacao_20110822:8:19584274:19586119:-1 gene:TCM_036506 transcript:EOY17352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MADETPAPAPPVAAPPPPPPRVVTESDSPAVVEKEDPSPPSPVDVVSVSVTESVSTAIEEKEEQTPPAEPVEVVPDSTDKGEKEEPPLPPPPQPVKSESESPAVTEKAKEEEPPAVTEKAKEEEPPAATEKAKEGEPPAATVAVLETELQQPPELPSQEVVTESKSLAAMMEKEEGGAPEPTVATNTTTSAEEEVAVVVEEKKIPQNLGSFKEESNKVADLSDFERKALEELKHFVQEAIDTHLFTSETKSEENPEKEKKEEPKEVSIWGIPLLKDDRSDVILLKFLRARDFKVKDAFVMIKNTIRWRKEFGIDELLDEDLGDDMEKVVFMHGQDREGHRVCYNVYGEFQNKDLYQKAFSDEEKRMKFLRWRIQFLEKSIRKLDFSPDGINTIFQVSDLKNSPGPGKRELRLATKQALQLLQDNYPEFVAKQVFINVPWWYLVFYTMISPFMTQRTKSKFVFAGPAKSAETLFKYIAPEQVPIQYGGLSVDYCDCNPEFGDADPATEITVKPGTKQTVEITIYEVQFHCFFMLCLHVIHSHPAFSSYLLTLTK >EOY17345 pep chromosome:Theobroma_cacao_20110822:8:19583398:19586391:-1 gene:TCM_036506 transcript:EOY17345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MADETPAPAPPVAAPPPPPPRVVTESDSPAVVEKEDPSPPSPVDVVSVSVTESVSTAIEEKEEQTPPAEPVEVVPDSTDKGEKEEPPLPPPPQPVKSESESPAVTEKAKEEEPPAVTEKAKEEEPPAATEKAKEGEPPAATVAVLETELQQPPELPSQEVVTESKSLAAMMEKEEGGAPEPTVATNTTTSAEEEVAVVVEEKKIPQNLGSFKEESNKVADLSDFERKALEELKHFVQEAIDTHLFTSETKSEENPEKEKKEEPKEVSIWGIPLLKDDRSDVILLKFLRARDFKVKDAFVMIKNTIRWRKEFGIDELLDEDLGDDMEKVVFMHGQDREGHRVCYNVYGEFQNKDLYQKAFSDEEKRMKFLRWRIQFLEKSIRKLDFSPDGINTIFQVSDLKNSPGPGKRELRLATKQALQLLQDNYPEFVAKQVFINVPWWYLVFYTMISPFMTQRTKSKFVFAGPAKSAETLFKYIAPEQVPIQYGGLSVDYCDCNPEFGDADPATEITVKPGTKQTVEITIYEKCDLVWEIRVVGWEVSYGAEFMPNAKDSYTVIIQKPTKMSPKDEPVVSQCFKVGELGKVLLTVDNPTSKKKKLVYRFKVKPYCDCCH >EOY17350 pep chromosome:Theobroma_cacao_20110822:8:19583221:19586289:-1 gene:TCM_036506 transcript:EOY17350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MADETPAPAPPVAAPPPPPPRVVTESDSPAVVEKEDPSPPSPVDVVSVSVTESVSTAIEEKEEQTPPAEPVEVVPDSTDKGEKEEPPLPPPPQPVKSESESPAVTEKAKEEEPPAVTEKAKEEEPPAATEKAKEGEPPAATVAVLETELQQPPELPSQEVVTESKSLAAMMEKEEGGAPEPTVATNTTTSAEEEVAVVVEEKKIPQNLGSFKEESNKVADLSDFERKALEELKHFVQEAIDTHLFTSETKSEENPEKEKKEEPKEVSIWGIPLLKDDRSDVILLKFLRARDFKVKDAFVMIKNTIRWRKEFGIDELLDEDLGDDMEKVVFMHGQDREGHRVCYNVYGEFQNKDLYQKAFSDEEKRMKFLRWRIQFLEKSIRKLDFSPDGINTIFQVSDLKNSPGPGKRELRLATKQALQLLQDNYPEFVAKQVFINVPWWYLVFYTMISPFMTQRTKSKFVFAGPAKSAETLFKYIAPEQVPIQYGGLSVDYCDCNPEFGDADPATEITVKPGTKQTVEITIYEKCDLVWEIRVVGWEVSYGAEFMPNAKDSYTVIIQKPTKMSPKDEPVVSQCFKVGELGKVLLTVDNPTSKKKKLVYRFKVKPYCDCCH >EOY14908 pep chromosome:Theobroma_cacao_20110822:8:1644295:1649705:1 gene:TCM_034149 transcript:EOY14908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain-containing protein, putative MGALASVVSSWIPEDDLLLKNAIEAGASLESLAKGAVQFSRKFTVRELQERWHSLLYDPVVSEEASSRMIEFERSASTLSLRFGRTGNPKDNRSLSGKRKSESVRSCYYALRKRIRNEPFNSMDLSFLIAPNDGNYVGIEDEPIPDNCMLGNPVPDHFGIQETNMNIMHCSFPQILGDGGAATRDGCTTGGFQTTIHKQDDDGFPAEQVNIHKEIPHILGENQFLVESGSGFEELHQPKELPVHSLFEANDLMVKPSSAFDQINNDPENICSEFEGNQVFNSPIVECGLSIWRTDDGLSASAIPAGDGHGEKDLHAGDIYALPGDDVAKSNHVSGHDVVDTGSQLESAIPCEELENQTTNTEGYLVEITNTLMNDEPFFMDVDAKDVIDKSYFDGLSSLLASSPNNGDQDQMPDLTEPTETQDNLAKVSCSRLGELDEVAGSCTADGPVSCNSEVLMLSSASTSDGQFPELTNGMICCTVNTEDPEIPCNEDVVFAKQLCPLVVSATRQNFKEASNPLSACAKDFSGGQKTSEGGSLLGQRDQKDLGQSHGSSQMKASKMIAEMGQLHPVSDCRVKCKDSPCVAPRSDGFLANNSAQINSINVSEGTLPPTLTKEKSEEFVLGKHRNHSSVDSLIEKPALCSDSHNSYPLVNSSAIKQEVDPPEMTRDHQASSAEVGSMDIISPEPVVDPPPPDLEELLIESDDDVPCFSDTEAMILDMDLDPDDQDLCDQEVARYRHEVTKRAIIRLEQGSHSYMQRAIASHGAFAILYGRHSKHYIKKPEILLGRTTEDFVVDIDLGREGCANKVSRRQAIINLEEDGSFHLKNLGRCSVSINSKEVAPGQSLILNSSCLIEIRGMPFIFETNQTRVKQYLNSATKKC >EOY16329 pep chromosome:Theobroma_cacao_20110822:8:6728797:6733548:-1 gene:TCM_035146 transcript:EOY16329 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 1-1 isoform 2 MARSVSIWLALAAIVCSLTVISAEESESKEFVLTLDHSNFTDTVSKQDFIVVEFYAPWCGHCKNLAPEYEKAASILSKHDPPIFLAKFDANDDANKELASKYEIKGYPTLKILRNGGKNVQEYKGPREADGIVEYVKKQSGPASAEIKSAEDASNLIGDKKIVVVGIFPKFSGEEFESYIALAEKLRSDYEFGHTLDAKHLPRGESSVTGPVVRLFKPFDELFVDLKDFNLEALEKFVEESSIPIVTLFDNDPSNHPFVIKFYNSPNAKAMLFANLSAEGVDSVKSKYREVAEQYKGQGVSFLLGDVEASQGAFQYFGIQESQVPLIIVQNNDGKKYLKPNLEADHIAPWVKDYKEGKVPPYVKSEPIPEENNEPVKVVVADTLEDMVFKSGKNVLLEFYAPWCGHCKKLAPILDEVAVHYEKDAKVLIAKLDATANDIADENFDVKGYPTVYFRSANGNITPYEGDRSKEDIIDFIEKNRDKAVHQESAKDEL >EOY16332 pep chromosome:Theobroma_cacao_20110822:8:6728800:6822053:-1 gene:TCM_035146 transcript:EOY16332 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 1-1 isoform 2 MARSVSIWLALAAIVCSLTVISAEESESKEFVLTLDHSNFTDTVSKQDFIVVEFYAPWCGHCKNLAPEYEKAASILSKHDPPIFLAKFDANDDANKELASKYEIKGYPTLKILRNGGKNVQEYKGPREADGIVEYVKKQSGPASAEIKSAEDASNLIGDKKIVVVGIFPKFSGEEFESYIALAEKLRSDYEFGHTLDAKHLPRGESSVTGPVVRLFKPFDELFVDLKDFNLEALEKFVEESSIPIVTLFDNDPSNHPFVIKFYNSPNAKAMLFANLSAEGVDSVKSKYREVAEQYKGQGVSFLLGDVEASQGAFQYFGIQESQVPLIIVQNNDGKKYLKPNLEADHIAPWVKDYKEGKVPPYVKSEPIPEENNEPVKVVVADTLEDMVFKSGKNVLLEFYAPWCGHCKKLAPILDEVAVHYEKDAKVLIAKLDATANDIADENFDVKGYPTVYFRSANGNITPYEGDRSKEDIIDFIEKNRDKAVHQESAKDEL >EOY16328 pep chromosome:Theobroma_cacao_20110822:8:6727355:6736060:-1 gene:TCM_035146 transcript:EOY16328 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 1-1 isoform 2 MVLPSWSRDSPPAPDRVVPVGRVYSRRAEVANPCPILGGEILSSGLLVTSCLNALFDKPMLVLEWRKKKSIDQGKRAKAEVRKRERERERAGIMARSVSIWLALAAIVCSLTVISAEESESKEFVLTLDHSNFTDTVSKQDFIVVEFYAPWCGHCKNLAPEYEKAASILSKHDPPIFLAKFDANDDANKELASKYEIKGYPTLKILRNGGKNVQEYKGPREADGIVEYVKKQSGPASAEIKSAEDASNLIGDKKIVVVGIFPKFSGEEFESYIALAEKLRSDYEFGHTLDAKHLPRGESSVTGPVVRLFKPFDELFVDLKDFNLEALEKFVEESSIPIVTLFDNDPSNHPFVIKFYNSPNAKAMLFANLSAEGVDSVKSKYREVAEQYKGQGVSFLLGDVEASQGAFQYFGIQESQVPLIIVQNNDGKKYLKPNLEADHIAPWVKDYKEGKVPPYVKSEPIPEENNEPVKVVVADTLEDMVFKSGKNVLLEFYAPWCGHCKKLAPILDEVAVHYEKDAKVLIAKLDATANDIADENFDVKGYPTVYFRSANGNITPYEGDRSKEDIIDFIEKNRDKAVHQESAKDEL >EOY16330 pep chromosome:Theobroma_cacao_20110822:8:6728143:6733450:-1 gene:TCM_035146 transcript:EOY16330 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 1-1 isoform 2 MARSVSIWLALAAIVCSLTVISAEESESKEFVLTLDHSNFTDTVSKQDFIVVEFYAPWCGHCKNLAPEYEKAASILSKHDPPIFLAKFDANDDANKELASKYEIKGYPTLKILRNGGKNVQEYKGPREADGIVEYVKKQSGPASAEIKSAEDASNLIGDKKIVVVGIFPKFSGEEFESYIALAEKLRSDYEFGHTLDAKHLPRGESSVTGPVVRLFKPFDELFVDLKDFNLEALEKFVEESSIPIVTLFDNDPSNHPFVIKFYNSPNAKAMLFANLSAEGVDSVKSKYREVAEQYKGQGVSFLLGDVEASQGAFQYFGIQESQVPLIIVQNNDGKKYLKPNLEADHIAPWVKDYKEGKVPPYVKSEPIPEENNEPVKVVVADTLEDMVFKSGKNVLLEFYAPWCGHCKKLAPILDEVAVHYEKDAKVLIAKLDATANDIADENFDVKGYPTVYFRSANGNITPYEGDRSKEDIIDFIEKNRDKAVHQESAKDEL >EOY16331 pep chromosome:Theobroma_cacao_20110822:8:6728512:6822053:-1 gene:TCM_035146 transcript:EOY16331 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 1-1 isoform 2 MARSVSIWLALAAIVCSLTVISAEESESKEFVLTLDHSNFTDTVSKQDFIVVEFYAPWCGHCKNLAPEYEKAASILSKHDPPIFLAKFDANDDANKELASKYEIKGYPTLKILRNGGKNVQEYKGPREADGIVEYVKKQSGPASAEIKSAEDASNLIGDKKIVVVGIFPKFSGEEFESYIALAEKLRSDYEFGHTLDAKHLPRGESSVTGPVVRLFKPFDELFVDLKDFNLEALEKFVEESSIPIVTLFDNDPSNHPFVIKFYNSPNAKAMLFANLSAEGVDSVKSKYREVAEQYKGQGVSFLLGDVEASQGAFQYFGIQESQVPLIIVQNNDGKKYLKPNLEADHIAPWVKDYKEGKVPPYVKSEPIPEENNEPVKVVVADTLEDMVFKSGKNVLLEFYAPWCGHCKKLAPILDEVAVHYEKDAKVLIAKLDATANDIADENFDVKGYPTVYFRSANGNITPYEGDRSKEDIIDFIEKNRDKAVHQESAKDEL >EOY16333 pep chromosome:Theobroma_cacao_20110822:8:6728467:6822053:-1 gene:TCM_035146 transcript:EOY16333 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 1-1 isoform 2 MARSVSIWLALAAIVCSLTVISAEESESKEFVLTLDHSNFTDTVSKQDFIVVEFYAPWCGHCKNLAPEYEKAASILSKHDPPIFLAKFDANDDANKELASKYEIKGYPTLKILRNGGKNVQEYKGPREADGIVEYVKKQSGPASAEIKSAEDASNLIGDKKIVVVGIFPKFSGEEFESYIALAEKLRSDYEFGHTLDAKHLPRGESSVTGPVVRLFKPFDELFVDLKDFNLEALEKFVEESSIPIVTLFDNDPSNHPFVIKFYNSPNAKAMLFANLSAEGVDSVKSKYREVAEQYKGQGVSFLLGDVEASQGAFQYFGIQESQVPLIIVQNNDGKKYLKPNLEADHIAPWVKDYKEGKVPPYVKSEPIPEENNEPVKVVVADTLEDMVFKSGKNVLLEFYAPWCGHCKKLAPILDEVAVHYEKDAKVLIAKLDATANDIADENFDVKGYPTVYFRSANGNITPYEGDRSKEDIIDFIEKNRDKAVHQESAKDEL >EOY17318 pep chromosome:Theobroma_cacao_20110822:8:19376017:19379032:-1 gene:TCM_036481 transcript:EOY17318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFNIDGLAKGCLGPTGIGGIIRNESGEVKINFSKPIGMDDSSQVEIMAVKEVILIFFTSKWKESHLLIIESDASNVVNWVNNGSQVPWRLRKWVILIKRIKEQLGPWEIKHVPRETNQEANTLAKNAMSLGQSTFCVF >EOY16084 pep chromosome:Theobroma_cacao_20110822:8:5706910:5713786:-1 gene:TCM_034964 transcript:EOY16084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 1,3 isoform 1 MASQAHSNVEEGETKEMDIRSLSSSHHSVYNTQYLHKVGVPPKQNLFREFTATVKETFFHDDPLRPFKDQPRSRKFILGIQAIFPILEWARGYSWRKFRGDLIAGLTIASLCIPQDIGYAKLANLDPQYGLYSSLVPPLIYAFMGSSRDIAIGPVAVVSLLLGTMLSSEIDPIKNKAEYRRLAFTATFFAGITQATLGFLRLGFLIDFLSHAAIVGFMAGAAVTIALQQLKGLLGIKDFTKKSDIISVMNSVWGNVHHGWNWQTILIGVSFLSFLLFAKYIGKTNRKLFWVPAIAPLISVILSTFFVYITHAEKKGVQIVKQIEKGINPSSVDQIYFSGDYLLKGLKIGVVAGMIALTEAVAIGRTFASKKDYQINGNKEMVALGAMNVVGSMTSCYVATGSFSRSAVNYMAGCETAVSNIIMSCVVFLTLEFITPLFKYTPNAILASIIISAVIGLIDVPAAILIWKIDKFDFVACLGAFLGVVFSSVEIGLLIAVTISFAKILLQVTRPRTAILGKLPRTSVYRNIQQYPEAAKVPGLLIVRVDSAIYFSNSNYVKERILRWLMDEEELVKAACQPTIQFLIVEMSPVTDIDTSGIHALEELHRSLGKKGVQLILANPGPTVIDKLHASDFANLIAEDRIFLTVSDAVSSCSPKLVEEV >EOY16083 pep chromosome:Theobroma_cacao_20110822:8:5706985:5712098:-1 gene:TCM_034964 transcript:EOY16083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 1,3 isoform 1 MASQAHSNVEEGETKEMDIRSLSSSHHSVYNTQYLHKVGVPPKQNLFREFTATVKETFFHDDPLRPFKDQPRSRKFILGIQAIFPILEWARGYSWRKFRGDLIAGLTIASLCIPQDIGYAKLANLDPQYGLYSSLVPPLIYAFMGSSRDIAIGPVAVVSLLLGTMLSSEIDPIKNKAEYRRLAFTATFFAGITQATLGFLRLGFLIDFLSHAAIVGFMAGAAVTIALQQLKGLLGIKDFTKKSDIISVMNSVWGNVHHGWNWQTILIGVSFLSFLLFAKYIGKTNRKLFWVPAIAPLISVILSTFFVYITHAEKKGVQIVKQIEKGINPSSVDQIYFSGDYLLKGLKIGVVAGMIALTEAVAIGRTFASKKDYQINGNKEMVALGAMNVVGSMTSCYVATGSFSRSAVNYMAGCETAVSNIIMSCVVFLTLEFITPLFKYTPNAILASIIISAVIGLIDVPAAILIWKIDKFDFVACLGAFLGVVFSSVEIGLLIAVTISFAKILLQVTRPRTAILGKLPRTSVYRNIQQYPEAAKVPGLLIVRVDSAIYFSNSNYVKERILRWLMDEEELVKAACQPTIQFLIVEMSPVTDIDTSGIHALEELHRSLGKKGVQLILANPGPTVIDKLHASDFANLIAEDRIFLTVSDAVSSCSPKLVEEV >EOY16082 pep chromosome:Theobroma_cacao_20110822:8:5706963:5713784:-1 gene:TCM_034964 transcript:EOY16082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 1,3 isoform 1 MKKSEDLFKQDLPSSKYNKSLQSLNLHPLSLISDMASQAHSNVEEGETKEMDIRSLSSSHHSVYNTQYLHKVGVPPKQNLFREFTATVKETFFHDDPLRPFKDQPRSRKFILGIQAIFPILEWARGYSWRKFRGDLIAGLTIASLCIPQDIGYAKLANLDPQYGLYSSLVPPLIYAFMGSSRDIAIGPVAVVSLLLGTMLSSEIDPIKNKAEYRRLAFTATFFAGITQATLGFLRLGFLIDFLSHAAIVGFMAGAAVTIALQQLKGLLGIKDFTKKSDIISVMNSVWGNVHHGWNWQTILIGVSFLSFLLFAKYIGKTNRKLFWVPAIAPLISVILSTFFVYITHAEKKGVQIVKQIEKGINPSSVDQIYFSGDYLLKGLKIGVVAGMIALTEAVAIGRTFASKKDYQINGNKEMVALGAMNVVGSMTSCYVATGSFSRSAVNYMAGCETAVSNIIMSCVVFLTLEFITPLFKYTPNAILASIIISAVIGLIDVPAAILIWKIDKFDFVACLGAFLGVVFSSVEIGLLIAVTISFAKILLQVTRPRTAILGKLPRTSVYRNIQQYPEAAKVPGLLIVRVDSAIYFSNSNYVKERILRWLMDEEELVKAACQPTIQFLIVEMSPVTDIDTSGIHALEELHRSLGKKGVQLILANPGPTVIDKLHASDFANLIAEDRIFLTVSDAVSSCSPKLVEEV >EOY17449 pep chromosome:Theobroma_cacao_20110822:8:20607281:20612089:1 gene:TCM_036629 transcript:EOY17449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 3 MSKAGHEDDELGEVFLDESDIIHEVDVDEEDLPDADDDDAGITEEPDDSIHIFTGHTGELYAVACSPTDPVLVATGGSDDRGFLWKIGHADWASELQGHTDSVSSLAFSSDGQLLASGGFDGLVKIWDTSGNLKCTLEGPGGGIEWVRWHPKGHLILSGSEDCTVWLWNADNRRCLNVFSGHGASVTCGDFTPDGKTICTGSDDATLRIWNPRSVESIHVVRDSTFAVTGSKDGSVHIVNITTGKVVSSWPSQSSSTEGDPESIECVSFSPNFPWAVSGGMDGNLTIWDVQHSSPRFICNHEEGVTCLAWLGASKFLATGCCDGRIRLWNCLSGECVETLKGHEHAIQSVSVSSNLEFLVSVSIDGTARVFEIRDFH >EOY17450 pep chromosome:Theobroma_cacao_20110822:8:20600510:20612228:1 gene:TCM_036629 transcript:EOY17450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 3 MSKAGHEDDELGEVFLDESDIIHEVDVDEEDLPDADDDDAGITEEPDDSIHIFTGHTGELYAVACSPTDPVLVATGGSDDRGFLWKIGHADWASELQGHTDSVSSLAFSSDGQLLASGGFDGLVKIWDTSGNLKCTLEGPGGGIEWVRWHPKGHLILSGSEDCTVWLWNADNRRCLNVFSGHGASVTCGDFTPDGKTICTGSDDATLRIWNPRSVESIHVVRGHPYHTEGLTCLSISSDSTFAVTGSKDGSVHIVNITTGKLPLGCFWWHGWKPYHLGCTTLIPAFHM >EOY17448 pep chromosome:Theobroma_cacao_20110822:8:20600510:20612228:1 gene:TCM_036629 transcript:EOY17448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 3 MSKAGHEDDELGEVFLDESDIIHEVDVDEEDLPDADDDDAGITEEPDDSIHIFTGHTGELYAVACSPTDPVLVATGGSDDRGFLWKIGHADWASELQGHTDSVSSLAFSSDGQLLASGGFDGLVKIWDTSGNLKCTLEGPGGGIEWVRWHPKGHLILSGSEDCTVWLWNADNRRCLNVFSGHGASVTCGDFTPDGKTICTGSDDATLRIWNPRSVESIHVVRGHPYHTEGLTCLSISSDSTFAVTGSKDGSVHIVNITTGKVVSSWPSQSSSTEGDPESIECVSFSPNFPWAVSGGMDGNLTIWDVQHSSPRFICNHEEGVTCLAWLGASKFLATGCCDGRIRLWNCLSGECVETLKGHEHAIQSVSVSSNLEFLVSVSIDGTARVFEIRDFH >EOY15981 pep chromosome:Theobroma_cacao_20110822:8:5297746:5308316:1 gene:TCM_034889 transcript:EOY15981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASATVDHRHHHQLHLESIPVVDLRLISQPELLSLSLCSSSPSPSNADTELFTPKIDRSVFNESAGSRKQTFSRLRLAAPRNHLPHPHHSSPSSKPFTSLSQRLNPVNPGPLDEESSNILSLLKSLFNIDDSLTSNTNEDEPDDDKDLVPVQIEYENGKDNGNSVLQNIPVGIVSCSGSKRKRGRPRKDQKDNLLIESENLVIEEHQETAAFDRVSESVNAGGISSCSERKRKRGRPRKEESQNRVIVSEEKKVESEIERVALGNVEAILGIEEELRRRTEAIGTEAELLEFMGGLEGEWASKSQKKRIVDAAGFGNVLPQGWKLMLFVKKRAGHVWLACSRYISPNGQQFVSCKEVSSCLLSAGELKDSSQSTSSLTGRGIGSGVKPTSENFPIICTSSEHERQAPLLRMGSPWEVQRAETIKCHKCTMTFNQQDDFICHLLSSHQGTVKSSGHGTSTNEEVIIKNGKYECQFCYELFEERSCYSSHLGVHMKNNTKKVEGSVGVLTMQNSVQPFNSPSNSEIRPGFPCSGANENALVETYTDKHSYECNFLFREKTLADKTCDKQNKFCIITNNKGEVTDTAAVELNVCLGSEKVFFTAESSGMSQSSDKVDVRLALHSMEEKKREMASNFSLLAPNAEGNMFSDENLEYRHFASFLKGMEPDCEEKVVGDDPKANCANTCTGPDSVTIDAEQQNCSESCLLTLSSNEQRGNLVDYVKGASVTIDSAREVGSGCGLTSSKDDKSCVINNNLFLAFTGTLDDPESIVVSESGNNDSTIGFQTNHRVKKPSQDSESALLTLHGREQIFPSDNNAFKVSSRRVEVSEFDEIQKSSGLIQAGSHDSGLDMNILASVRQAKTRDFLFGPSSYKKTFTSTVEECKQVKGSESSLHEQSANQQNSSKETSMNKVSFITIEEPKHKVESSLIGNAHARLGAFALTGTGQESSSPLSSENWEKFAGKNNVPCIGSGTFHEPKQNKGAFEDLFCLSGSEQTQVANNLSMIHAGTAHDRSRLQDFENARNNEIMIGFGNHARRTESSMTGLTWKSDEGSVLLSGLADTSSQLLQSSGYYSTFDVMSHKGEGEMFNVSGKCSNVSGFEGLRSDSIEHMEYNILTAQTSSRSGDSKVPSYDSEMALTFDSSIWLGKEALPLLPKVDGRHQVTTLCSWCGNEFYHEAVDIEAQRNSMAVMCASCRARFSRNRDFL >EOY15980 pep chromosome:Theobroma_cacao_20110822:8:5297746:5304677:1 gene:TCM_034889 transcript:EOY15980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASATVDHRHHHQLHLESIPVVDLRLISQPELLSLSLCSSSPSPSNADTELFTPKIDRSVFNESAGSRKQTFSRLRLAAPRNHLPHPHHSSPSSKPFTSLSQRLNPVNPGPLDEESSNILSLLKSLFNIDDSLTSNTNEDEPDDDKDLVPVQIEYENGKDNGNSVLQNIPVGIVSCSGSKRKRGRPRKDQKDNLLIESENLVIEEHQETAAFDRVSESVNAGGISSCSERKRKRGRPRKEESQNRVIVSEEKKVESEIERVALGNVEAILGIEEELRRRTEAIGTEAELLEFMGGLEGEWASKSQKKRIVDAAGFGNVLPQGWKLMLFVKKRAGHVWLACSRYISPNGQQFVSCKEVSSCLLSAGELKDSSQSTSSLTGRGIGSGVKPTSENFPIICTSSEHERQAPLLRMGSPWEVQRAETIKCHKCTMTFNQQDDFICHLLSSHQGTVKSSGHGTSTNEEVIIKNGKYECQFCYELFEERSCYSSHLGVHMKNNTKKVEGSVGVLTMQNSVQPFNSPSNSEIRPGFPCSGANENALVETYTDKHSYECNFLFREKTLADKTCDKQNKFCIITNNKGEVTDTAAVELNVCLGSEKVFFTAESSGMSQSSDKVDVRLALHSMEEKKREMASNFSLLAPNAEGNMFSDENLEYRHFASFLKGMEPDCEEKVVGDDPKANCANTCTGPDSVTIDAEQQNCSESCLLTLSSNEQRGNLVDYVKGASVTIDSAREVGSGCGLTSSKDDKSCVINNNLFLAFTGTLDDPESIVVSESGNNDSTIGFQTNHRVKKPSQDSESALLTLHGREQIFPSDNNAFKVSSRRVEVSEFDEIQKSSGLIQAGSHDSGLDMNILASVRQAKTRDFLFGPSSYKKTFTSTVEECKQVKGSESSLHEQSANQQNSSKETSMNKVSFITIEEPKHKVESSLIGNAHARLGAFALTGTGQESSSPLSSENWEKFAGKNNVPCIGSGTFHEPKQNKGAFEDLFCLSGSEQTQVANNLSMIHAGTAHDRSRLQDFENARNNEIMIGFGNHARRTESSMTGLTWKSDEGSVLLSGLADTSSQLLQSSGYYSTFDVMSHKGEGEMFNVSGKCSNVSGFEGLRSDSIEHMEYNILTAQTSSRSGDSKVPSYDSEMALTFDSSIWLGKEALPLLPKVDGRHQVTTLCSWCGNEFYHEAVDIEAQRNSMAVMCASCRARFSRNRDFL >EOY17082 pep chromosome:Theobroma_cacao_20110822:8:17526830:17532658:-1 gene:TCM_036242 transcript:EOY17082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycloartenol synthase 1 MFLGLLSVLYGSWGVCFTYGTWFGVKGLVAAGNNFNNCSSIRKACDFLLSKQLPSGGWGESYLSCQNKED >EOY15081 pep chromosome:Theobroma_cacao_20110822:8:2181520:2187836:-1 gene:TCM_034260 transcript:EOY15081 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS35 B isoform 2 MMLNGAEDEEKWLAEGIAGIQHNAFYMHRALDSNNLREALKYSAQMLSELRTSKLSPHKYYDLYMRAFDELRKLEIFFKDEGKHGVSVVDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKEVLKDLVEMCRGVQHPLRGLFLRSYLAQVSRDKLPDIGSEYEGDADTVMDAVEFVLQNFTEMNKLWVRMQHQGPGRLREKREKERNELRDLVGKNLHVLSQIEGVDLEMYKETVLPRVLEQVVNCKDDLSQYYLMDCIIQVFPDEYHLQTLETLLGACPQLQPTVDIKTVLSRLMDRLSNYAASSADVLPEFLQVEAFAKLSNAIGKVIEAQVDMPAVGAITLYVSLLTFTLRVHPDRLDYVDLVLGACVKKLSSIPKLDDSRATKQVVALLSAPLEKYNDIVTALTLSNYPRVMDHLDNGTNKVMAMVIIQSIMKNNTCISTVDKVEVLFELIKGLIKDTDGADVDELDEEDFKDEQNAVARLIHMLYNNEPEEMLKIICTVRKHTMAGGPKRLPFTVPSLVFSALRLIRQLQGQEGDIVGEEVPATPKKIFQLLNQIIEDLSNVPSPELALRLSLQCAEAANDCDLEHVAYEFFTQAFVLYEEEIADSKAQVTAIHLIIGTLQRMNVFGVENRDTLTHKATGYSARLLKKPDQCRAVYACSHLFWVDDQDGIKDGERCEPRT >EOY15080 pep chromosome:Theobroma_cacao_20110822:8:2179758:2187992:-1 gene:TCM_034260 transcript:EOY15080 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS35 B isoform 2 MMLNGAEDEEKWLAEGIAGIQHNAFYMHRALDSNNLREALKYSAQMLSELRTSKLSPHKYYDLYMRAFDELRKLEIFFKDEGKHGVSVVDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKEVLKDLVEMCRGVQHPLRGLFLRSYLAQVSRDKLPDIGSEYEGDADTVMDAVEFVLQNFTEMNKLWVRMQHQGPGRLREKREKERNELRDLVGKNLHVLSQIEGVDLEMYKETVLPRVLEQVVNCKDDLSQYYLMDCIIQVFPDEYHLQTLETLLGACPQLQPTVDIKTVLSRLMDRLSNYAASSADVLPEFLQVEAFAKLSNAIGKVIEAQVDMPAVGAITLYVSLLTFTLRVHPDRLDYVDLVLGACVKKLSSIPKLDDSRATKQVVALLSAPLEKYNDIVTALTLSNYPRVMDHLDNGTNKVMAMVIIQSIMKNNTCISTVDKVEVLFELIKGLIKDTDGADVDELDEEDFKDEQNAVARLIHMLYNNEPEEMLKIICTVRKHTMAGGPKRLPFTVPSLVFSALRLIRQLQGQEGDIVGEEVPATPKKIFQLLNQIIEDLSNVPSPELALRLSLQCAEAANDCDLEHVAYEFFTQAFVLYEEEIADSKAQVTAIHLIIGTLQRMNVFGVENRDTLTHKATGYSARLLKKPDQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRALRIANAAQQMANVARGSSGPVTLFVEILNKYLYFFEKGNQQITGAAIQGLIELINTEKQNDSATPDSASDAFLASTMRYIQFQRQKGGIMGR >EOY15083 pep chromosome:Theobroma_cacao_20110822:8:2180774:2187982:-1 gene:TCM_034260 transcript:EOY15083 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS35 B isoform 2 MYKETVLPRVLEQVVNCKDDLSQYYLMDCIIQVFPDEYHLQTLETLLGACPQLQPTVDIKTVLSRLMDRLSNYAASSADVLPEFLQVEAFAKLSNAIGKVIEAQVDMPAVGAITLYVSLLTFTLRVHPDRLDYVDLVLGACVKKLSSIPKLDDSRATKQVVALLSAPLEKYNDIVTALTLSNYPRVMDHLDNGTNKVMAMVIIQSIMKNNTCISTVDKVEVLFELIKGLIKDTDGADVDELDEEDFKDEQNAVARLIHMLYNNEPEEMLKIICTVRKHTMAGGPKRLPFTVPSLVFSALRLIRQLQGQEGDIVGEEVPATPKKIFQLLNQIIEDLSNVPSPELALRLSLQCAEAANDCDLEHVAYEFFTQAFVLYEEEIADSKAQVTAIHLIIGTLQRMNVFGVENRDTLTHKATGYSARLLKKPDQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRALRIANAAQQMANVARGSSGPVTLFVEILNKYLYFFEKGNQQITGAAIQGLIELINTEKQNDSATPDSASDAFLASTMRYIQFQRQKGGIMGEKFESIKL >EOY15082 pep chromosome:Theobroma_cacao_20110822:8:2181663:2187836:-1 gene:TCM_034260 transcript:EOY15082 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS35 B isoform 2 MMLNGAEDEEKWLAEGIAGIQHNAFYMHRALDSNNLREALKYSAQMLSELRTSKLSPHKYYDLYMRAFDELRKLEIFFKDEGKHGVSVVDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKEVLKDLVEMCRGVQHPLRGLFLRSYLAQVSRDKLPDIGSEYEGDADTVMDAVEFVLQNFTEMNKLWVRMQHQGPGRLREKREKERNELRDLVGKNLHVLSQIEGVDLEMYKETVLPRVLEQVVNCKDDLSQYYLMDCIIQVFPDEYHLQTLETLLGACPQLQPTVDIKTVLSRLMDRLSNYAASSADVLPEFLQVEAFAKLSNAIGKVIEAQVDMPAVGAITLYVSLLTFTLRVHPDRLDYVDLVLGACVKKLSSIPKLDDSRATKQVVALLSAPLEKYNDIVTALTLSNYPRVMDHLDNGTNKVMAMVIIQSIMKNNTCISTVDKVEVLFELIKGLIKDTDGADVDELDEEDFKDEQNAVARLIHMLYNNEPEEMLKIICTVRKHTMAGGPKRLPFTVPSLVFSALRLIRQLQGQEGDIVGEEVPATPKKIFQLLNQIIEDLSNVPSPELALRLSLQCAEAANDCDLEHVAYEFFTQAFVLYEEEIADSKAQVTAIHLIIGTLQRMNVFGVENRDTLTHKATGVIMLMQNLSDYRALLICLYKN >EOY16927 pep chromosome:Theobroma_cacao_20110822:8:15189654:15193650:-1 gene:TCM_036007 transcript:EOY16927 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MTPANLAGQFGDTTYTKVFVGGLAWETQKDTMKKYFEQFGEILEAVVITDKATGRSKGYGFVTFREPEAAMRACVDAAPVIDGRRANCNLASLGVQRSKPSTPKHGGTGRNFRVMSSFQTGFGGGVGTAFPSAATFPHYAIQQGIPYNVYGYSPYSPDYTYPTSYYSVYGGATAQYPVYGAGPGGLMTGAGTTFYPYLQFGEGTGGATGYTSGQGYGVQYPHHPFQYSAVNSTGSYPQHYGAPMSLAPTPALQSGVTMALH >EOY16926 pep chromosome:Theobroma_cacao_20110822:8:15188556:15193650:-1 gene:TCM_036007 transcript:EOY16926 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MTPANLAGQFGDTTYTKVFVGGLAWETQKDTMKKYFEQFGEILEAVVITDKATGRSKGYGFVTFREPEAAMRACVDAAPVIDGRRANCNLASLGVQRSKPSTPKHGGTGRNFRVMSSFQTGFGGGVGTAFPSAATFPHYAIQQGIPYNVYGYSPYSPDYTYPTSYYSVYGGATAQYPVYGAGPGGLMTGAGTTFYPYLQFGEGTGGATGYTSGQGYGVQYPHHPFQYSAVNSTGSYPQHYGAPMSLAPTPALQSVCFAVPQACSKVSTFGLTMVLFLPLSLSNSKPVLGIRAFARTNKVPHRGHKSPPKLENHACFFCCIFFKQGFLEENQSILSQLQLSYWNS >EOY17249 pep chromosome:Theobroma_cacao_20110822:8:18692601:18706815:1 gene:TCM_036398 transcript:EOY17249 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein, putative isoform 2 MASSIPLQIIDDNDDDGDQEFDWEAAVREIDKACENTKPSSNNSSSHFAPAHPPPLAQMSKKNTAAAAGTARQSTLDRFIGKVGPRPPSDNHRTIEVEVEGEDRVASVGIDIEAAKTWIYPVNVPLRDYQFAITKTALFSNTLVALPTGLGKTLIAAVVIYNYFRWFPDGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTIDMTGQISPTRRASFWKTKRVFFVTPQVLEKDIQSGTCLAKYLVCLVIDEAHRALGNYSYCVAVRELMAMPVHLRVLALTATPGSKQPAIQKIIDNLYISTLEYRNESDPDVSPYVHNRKIELIEVPLGQDAAEVNNRLLEVIRPYVARLHAVGLIQNRDYQTLSPVDLLNSRDKFRQAPPPDLPHVKHGEVEAYFAVLITLYHIRKLLSSHGIRPAYEMLEEKLRQGPFSRLMSKNEDIMNAKLLMQRSLSHGAPSPKLSKMLEILVDHFHTKDPQNSRVIIFSNFRGSVRDIMNALANIGDLVKATEFIGQSSGKALKGQSQKVQQAVLEKFRAGGYNVIVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKHEGQVDILLLFHDAFLACQGSELKGYMRKQANSRSINKHMQNGGMNSFNFHSSPRMVPHIFKPEVQFVELSIEQFVPRGKKLKDDHTVETPPFREKLSVAESDLIAKYFHSTSENTWRPSLIAFPNFQAFPSKVYKVMHSCRTDMLIDSMQYLQGLTFPGGNGNFFVEAEVSSGDCFGVGIVEQHDSSSKDLLVLDNSPAAHAQLGVTDSAELPMRTIRTKEKYDEPNSECKSPQEHSYLFSSDFVSVDALGKVLIISVPSLYFEDVMHSKHASPSTKELQNCLNQETYPVKTSDGIMQTEAVPNVTTSQTKSTKDDTLPTPRFCETDSEKEKMLDGVEKIPGTPGGTCETPDIEEIKAPPPLADEHCCDLQDTELSPRLTNLIKIGVVPESPITDSGILKHKIRNESLIPDLASPAKLGTELLLRSSSPVENERGVMDNSPYGRNVSVLKDEMTPLVKMNPVSSTKHSPTSPLVETKTPLAHLTNSSGSKSWHLSSGEVATLEHAQKFKRLRKVGDCGKARSSKSMKENSLVSVANLAKSFSGASLIRKKHGRGKKKPENDVRTFIDEEAEVSTEAEISAEEEDDDNELYDDGFIDDRITPTAGSNQTESGRVDMMAIYSCCFRFHHLNFKIKRSLLSQSPMVRQSTSFSPDCVASTSKDNGSGCSSGKTFNSLQVPQLESINQPARKYTELFQMEERIFSQSMPFGTNDFAIENKSMQSRKRKLSFFQLETIPVINLDQEFSFESEVGGKESSKASQQPQVDKITVNENEFDDDDDQFYASLDLDAVEAQATFLLKHQSEPQIEKQEKIVQPNLQNGGLQGSPSFDLGIW >EOY17250 pep chromosome:Theobroma_cacao_20110822:8:18693228:18706749:1 gene:TCM_036398 transcript:EOY17250 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein, putative isoform 2 MQQIEACHNIVGIPQEWTIDMTGQISPTRRASFWKTKRVFFVTPQVLEKDIQSGTCLAKYLVCLVIDEAHRALGNYSYCVAVRELMAMPVHLRVLALTATPGSKQPAIQKIIDNLYISTLEYRNESDPDVSPYVHNRKIELIEVPLGQDAAEVNNRLLEVIRPYVARLHAVGLIQNRDYQTLSPVDLLNSRDKFRQAPPPDLPHVKHGEVEAYFAVLITLYHIRKLLSSHGIRPAYEMLEEKLRQGPFSRLMSKNEDIMNAKLLMQRSLSHGAPSPKLSKMLEILVDHFHTKDPQNSRVIIFSNFRGSVRDIMNALANIGDLVKATEFIGQSSGKALKGQSQKVQQAVLEKFRAGGYNVIVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKHEGQVVVLACQGSELKGYMRKQANSRSINKHMQNGGMNSFNFHSSPRMVPHIFKPEVQFVELSIEQFVPRGKKLKDDHTVETPPFREKLSVAESDLIAKYFHSTSENTWRPSLIAFPNFQAFPSKVYKVMHSCRTDMLIDSMQYLQGLTFPGGNGNFFVEAEVSSGDCFGVGIVEQHDSSSKDLLVLDNSPAAHAQLGVTDSAELPMRTIRTKEKYDEPNSECKSPQEHSYLFSSDFVSVDALGKVLIISVPSLYFEDVMHSKHASPSTKELQNCLNQETYPVKTSDGIMQTEAVPNVTTSQTKSTKDDTLPTPRFCETDSEKEKMLDGVEKIPGTPGGTCETPDIEEIKAPPPLADEHCCDLQDTELSPRLTNLIKIGVVPESPITDSGILKHKIRNESLIPDLASPAKLGTELLLRSSSPVENERGVMDNSPYGRNVSVLKDEMTPLVKMNPVSSTKHSPTSPLVETKTPLAHLTNSSGSKSWHLSSGEVATLEHAQKFKRLRKVGDCGKARSSKSMKENSLVSVANLAKSFSGASLIRKKHGRGKKKPENDVRTFIDEEAEVSTEAEISAEEEDDDNELYDDGFIDDRITPTAGSNQTESGRVDMMAIYRRSLLSQSPMVRQSTSFSPDCVASTSKDNGSGCSSGKTFNSLQVPQLESINQPARKYTELFQMEERIFSQSMPFGTNDFAIENKSMQSRKRKLSFFQLETIPVINLDQEFSFESEVGGKESSKASQQPQVDKITVNENEFDDDDDQFYASLDLDAVEAQATFLLKHQSEPQIEKQEKIVQPNLQNGGLQGSPSFDLGIW >EOY17079 pep chromosome:Theobroma_cacao_20110822:8:17440097:17445216:1 gene:TCM_036237 transcript:EOY17079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein, putative MECNQSSSLSFCLRSSPPNPLFYRNNQFSRIKASARSPLKPQKDSTKFAHRRSPPPFFEKNAFSSSLPLHTKNPHAIYKDIQRFARQNKLKEALAILDYVDHQGIPVNPTSFSSLLAACVRSKSLADGRQIHAHIRTNGLENNEFLRTVISGKKRYLDVLSTYSEMRLLAVKLNVYTFSTVLKSFAGSSAFRQGLKTHALLIKNGFIDSSMLRTGLIDFYFKCGKIKLTCRVFEEIPERDIVLWGAMIAGFAYNRMQKEALSYVRWMISAGIYPNFVILTTILPVIGEVWARKLGREVHAYVVKTKSYSKQLVIQSGLVDMLDQALRSVVWMQQEGFKPDVVTVATILPVCAELRALCHGKQIHAYAIKNCFLPNVSIVTSLMIMYSKCGVLDYSLKLFNALSVFRLMQFSKHRPDSVAMARMLNVCSELRAVKLGKEIHGQVLKKDFESIPFVSVEVVKMYGSCGLISSAKLVFDAVPVKGSMTKFEESLKDDIAPNGTIKSIKPRTSSNRNFKVKLRVSQFMDDSKW >EOY16800 pep chromosome:Theobroma_cacao_20110822:8:11353251:11358916:1 gene:TCM_035680 transcript:EOY16800 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein isoform 2 MALNPLMPPKREALLDSSTTHVFSQPNCETRKWTVLGEIRVGVFAKLDIPIGTELAYNYNFEWYGGAKVRCLCRAPNCSGFLGAKSRGFQEDTYLWEDDDDRYSVEKIPLYDSAEDEPATKLLKAVKSNSENDVNIKNEQPVTMDVSVKSEHQLESTADPVPMEGVVVNEVKTESTEELNSYSPDAHQAFSQKNAMISRIRSNSACRNYHIGSRPMSKKKSQHYSHGKSKHLSNKQIDLQHLAQLLASKEAQEEVFRYEELKNEAASQLASLYDEIRPAIEEHERDSQDSVATSVAEKWIEASCSKLKIEFDFHSSILKNIVRAPQKACEQLKPCELEGGNDTEVKLLEF >EOY16799 pep chromosome:Theobroma_cacao_20110822:8:11352859:11359137:1 gene:TCM_035680 transcript:EOY16799 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein isoform 2 MDPENDDLPQYEHVFQNEFSYRKHKKQKEEDIAICECKFDFSDPDSACGERCLNVLTSTECTPGYCPCGVYCKNQKFQKCQYARTTLFKTEGCGWGLLAAENIKAGQFIIEYCGEVISWKEAKQRSQAYETQGLKDAFIISLNGSESIDATKKGSLARFINHSCQPNCETRKWTVLGEIRVGVFAKLDIPIGTELAYNYNFEWYGGAKVRCLCRAPNCSGFLGAKSRGFQEDTYLWEDDDDRYSVEKIPLYDSAEDEPATKLLKAVKSNSENDVNIKNEQPVTMDVSVKSEHQLESTADPVPMEGVVVNEVKTESTEELNSYSPDAHQAFSQKNAMISRIRSNSACRNYHIGSRPMSKKKSQHYSHGKSKHLSNKQIDLQHLAQLLASKEAQEEVFRYEELKNEAASQLASLYDEIRPAIEEHERDSQDSVATSVAEKWIEASCSKLKIEFDFHSSILKNIVRAPQKACEQLKPCELEGGNDTEVKLLEF >EOY16382 pep chromosome:Theobroma_cacao_20110822:8:6959094:6963533:1 gene:TCM_035182 transcript:EOY16382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A1,1 isoform 1 MSTQSSNRRSSFSSSTTSSLAKRHASSSSSENVGKVTASLAKKRVPLSNITNQKNSSRSSVSASSLVPCSNKILKARKTQPVSNVGFSGHVLPSTNVRPSSVLPPKVVTSFPRGNEVVVPPPSISTIPPPLCSMEFSPSKSDGVSVSMDETMSTCDSFKSPEVEYMDNRDVTAIDSIERKTFSNLYISDHLEATGNICNRDAIAEMETDDKIIDVDDNYVDPQFCATFACDIYKHLRASEVKKRPSTDFMERIQKDINSSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNVMNRQRLQLLGVACMMIAAKYEEICAPQVEEFCYITDNTYFKEEVLEMESSVLNYLKFEMTAATAKCFLRRFVRAAQGVNEVPSMQLECMANYIAELSLLEYTMLCYAPSLIAASAIFLAKFILLPSKRPWNSTLQHYTLYKPSDLCDCVKDLHRLCCNNQNSTLPAIREKYNQHKYKCVAKKYCPPSIPSEFFQN >EOY16383 pep chromosome:Theobroma_cacao_20110822:8:6959337:6963083:1 gene:TCM_035182 transcript:EOY16383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A1,1 isoform 1 SVSASSLVPCSNKILKARKTQPVSNVGFSGHVLPSTNVRPSSVLPPKVVTSFPRGNEVVVPPPSISTIPPPLCSMEFSPSKSDGVSVSMDETMSTCDSFKSPEVEYMDNRDVTAIDSIERKTFSNLYISDHLEATGNICNRDAIAEMETDDKIIDVDDNYVDPQFCATFACDIYKHLRASEVKKRPSTDFMERIQKDINSSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNVMNRQRLQLLGVACMMIAAKYEEICAPQVEEFCYITDNTYFKEEVLEMESSVLNYLKFEMTAATAKCFLRILPCSITHFTSPLICVTVLRIFIDYAVTIKILLCLQSGRNTINISTNVLQRSTALPQYLQNSSRTKCTHQTSARLFQYFVG >EOY16243 pep chromosome:Theobroma_cacao_20110822:8:6397437:6403719:-1 gene:TCM_035084 transcript:EOY16243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQGHAPSFPTIMTFSFFFSLTIAFKICPSMEIFLHTTTIFTLKSEGNERSPLWCKFSVIETEQIIIFN >EOY16530 pep chromosome:Theobroma_cacao_20110822:8:8112588:8114351:-1 gene:TCM_035327 transcript:EOY16530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDREQEEMQFLGFVGIYKESYNVIFAWRKVFSKIALALILPLTFIYLARIEVSNLFFRKIIHNEIELDHTRSGTPKYKKLSDVISDEWAYFWLFKAAYFTLFFIFSLLSTAAVVYTIACIYTGRELTFRKVMSVVPKVWKRLMVTFLSIFVAMFFYHVVFVFIVVVWALSIGASNVGFAVFVILIILYLGGLLYLTIIWHLASVVSVLEEAYGFQAMVKGKNLIEGKLWVAVVIFFKLSVSLFIIQIAFQNLVVHGSTFGVVSRVAYAIICFLLLCKLILFGLVIQTVIYFVCKSYHHENIDKSALSDHLEVYLGEYVPLKAKDVQLEQYHV >EOY15255 pep chromosome:Theobroma_cacao_20110822:8:2718755:2722046:-1 gene:TCM_034388 transcript:EOY15255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin b2,4 isoform 3 MAGSDENNPGVIGPSHLQGGLRAREGGKFVAASGQNRRALSTINRNVIGAPSYPCAVNKRPLSERNAVCNKIPPIPAHRPITRKFAAQMANKQQNKPEEVKKSLQSVPVSNESEDCTIIDVDDPKASSDSDVPMFVQHTEAMLEEIDRMEEVEMEDVDEEPFLDIDICDKKNPLAVVEYIDDLYKFYRKAECTGCVPPNYMALQCDINERMRGILIDWLIEVHYKFELMEETLYLTVNLIDRFLAVQQVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYSRKEVLDMEKLMINTLQFNLSFPTPYVFMRRFLKAAQSNKKLELLSFFMIELCLVEYEMLKFPPSLLAAAAIFTAQCTISGSKNWTKTSEWYTAYSEEQLTDCSRMMVTFHQKAGTGKLTGVHRKYSTSKYGYAAKTEPATFLLEARF >EOY15256 pep chromosome:Theobroma_cacao_20110822:8:2718625:2722046:-1 gene:TCM_034388 transcript:EOY15256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin b2,4 isoform 3 MAGSDENNPGVIGPSHLQGGLRAREGGKFVAASGQNRRALSTINRNVIGAPSYPCAVNKRPLSENAVCNKIPPIPAHRPITRKFAAQMANKQQNKPEEVKKSLQSVPVSNESEDCTIIDVDDPKASSDSDVPMFVQHTEAMLEEIDRMEEVEMEDVDEEPFLDIDICDKKNPLAVVEYIDDLYKFYRKAECTGCVPPNYMALQCDINERMRGILIDWLIEVHYKFELMEETLYLTVNLIDRFLAVQQVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYSRKEVLDMEKLMINTLQFNLSFPTPYVFMRRFLKAAQSNKKLELLSFFMIELCLVEYEMLKFPPSLLAAAAIFTAQCTISGSKNWTKTSEWYTAYSEEQLTDCSRMMVTFHQKAGTGKLTGVHRKYSTSKYGYAAKTEPATFLLEARF >EOY15257 pep chromosome:Theobroma_cacao_20110822:8:2718625:2722046:-1 gene:TCM_034388 transcript:EOY15257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin b2,4 isoform 3 MAGSDENNPGVIGPSHLQGGLRAREGGKFVAASGQNRRALSTINRNVIGAPSYPCAVNKRPLSEKFAAQMANKQQNKPEEVKKSLQSVPVSNESEDCTIIDVDDPKASSDSDVPMFVQHTEAMLEEIDRMEEVEMEDVDEEPFLDIDICDKKNPLAVVEYIDDLYKFYRKAECTGCVPPNYMALQCDINERMRGILIDWLIEVHYKFELMEETLYLTVNLIDRFLAVQQVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYSRKEVLDMEKLMINTLQFNLSFPTPYVFMRRFLKAAQSNKKVCDNKTLPSCLYYPAILLNESEMTVIVTLNQLELLSFFMIELCLVEYEMLKFPPSLLAAAAIFTAQCTISGSKNWTKTSEWYTAYSEEQLTDCSRMMVTFHQKAGTGKLTGVHRKYSTSKYGYAAKTEPATFLLEARF >EOY15254 pep chromosome:Theobroma_cacao_20110822:8:2718639:2722721:-1 gene:TCM_034388 transcript:EOY15254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin b2,4 isoform 3 MIMDFPSWIEGSVALIITKSNHQTVEKNSVDIHFQATWQNVTDTGEMAGSDENNPGVIGPSHLQGGLRAREGGKFVAASGQNRRALSTINRNVIGAPSYPCAVNKRPLSERNAVCNKIPPIPAHRPITRKFAAQMANKQQNKPEEVKKSLQSVPVSNESEDCTIIDVDDPKASSDSDVPMFVQHTEAMLEEIDRMEEVEMEDVDEEPFLDIDICDKKNPLAVVEYIDDLYKFYRKAECTGCVPPNYMALQCDINERMRGILIDWLIEVHYKFELMEETLYLTVNLIDRFLAVQQVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYSRKEVLDMEKLMINTLQFNLSFPTPYVFMRRFLKAAQSNKKLELLSFFMIELCLVEYEMLKFPPSLLAAAAIFTAQCTISGSKNWTKTSEWYTAYSEEQLTDCSRMMVTFHQKAGTGKLTGVHRKYSTSKYGYAAKTEPATFLLEARF >EOY16633 pep chromosome:Theobroma_cacao_20110822:8:9088105:9100814:1 gene:TCM_035455 transcript:EOY16633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G77220) TAIR;Acc:AT1G77220] MLACYCSMGWRGVFYSSLFLLTLVESSGRSERILLPNLGAQKAVDFSWPVFSASIFVFVALVLSMYLIFEHLAAYNQPEEQKFLIGLILMVPVYALESFLSLLDSDAAFNCEVIRDCYEAFALYCFERYLIACLGGEERTIEFMESQTVITSRTPLLEEAYAYGVVEHPFPLNCFLKDWYLGPKFYHAVKIGIVQYMILKMICALLAMILESFGVYGEGKFEWRYGYPYLAVVLNFSQTWALYCLVQFYAVTKEKLEPIKPLAKFLTFKSIVFLTWWQGVAVAFLFSMGAFKGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPAVPYKRGERCVRNVAVMTDYASLGTPPDPEEVQDCERSTRMRLGRHYEREKRLNFPQSVRDVVLGSGEIIVDDMKYTVSHVVEPVERGIAKINKTFHQISENVKRHEEERRRSCKDDSYLIPLNSWNREFSEAHENLVEGSVSDSGMANGKRHHVEAKAGAYRNKTAR >EOY16634 pep chromosome:Theobroma_cacao_20110822:8:9088105:9100814:1 gene:TCM_035455 transcript:EOY16634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G77220) TAIR;Acc:AT1G77220] MLACYCSMGWRGVFYSSLFLLTLVESSGRSERILLPNLGAQKAVDFSWPVFSASIFVFVALVLSMYLIFEHLAAYNQPEEQKFLIGLILMVPVYALESFLSLLDSDAAFNCEVIRDCYEAFALYCFERYLIACLGGEERTIEFMESQTVITSRTPLLEEAYAYGVVEHPFPLNCFLKDWYLGPKFYHAVKIGIVQYMILKMICALLAMILESFGVYGEGKFEWRYGYPYLAVVLNFSQTWALYCLVQFYAVTKEKLEPIKPLAKFLTFKSIVFLTWWQGVAVAFLFSMGAFKGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPAVPYKRGERCVRNVAVMTDYASLGTPPDPEEVQDCERSTRMRLGRHYEREKRLNFPQSVRDVVLGSGEIIVDDMKYTVSHVVEPVERGIAKINKTFHQISENVKRHEEERRRSCKDDSYLIPLNSWNREFSEAHENLVEGSVSDSGMANGKRHHVEAKAGAYRNKTAR >EOY16632 pep chromosome:Theobroma_cacao_20110822:8:9088105:9100814:1 gene:TCM_035455 transcript:EOY16632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G77220) TAIR;Acc:AT1G77220] MLACYCSMGWRGVFYSSLFLLTLVESSGRSERILLPNLGAQKAVDFSWPVFSASIFVFVALVLSMYLIFEHLAAYNQPEEQKFLIGLILMVPVYALESFLSLLDSDAAFNCEVIRDCYEAFALYCFERYLIACLGGEERTIEFMESQTVITSRTPLLEEAYAYGVVEHPFPLNCFLKDWYLGPKFYHAVKIGIVQYMILKMICALLAMILESFGVYGEGKFEWRYGYPYLAVVLNFSQTWALYCLVQFYAVTKEKLEPIKPLAKFLTFKSIVFLTWWQGVAVAFLFSMGAFKGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPAVPYKRGERCVRNVAVMTDYASLGTPPDPEEVQDCERSTRMRLGRHYEREKRLNFPQSVRDVVLGSGEIIVDDMKYTVSHVVEPVERGIAKINKTFHQISENVKRHEEERRRSCKDDSYLIPLNSWNREFSEAHENLVEGSVSDSGMANGKRHHVEAKAGAYRNKTAR >EOY16635 pep chromosome:Theobroma_cacao_20110822:8:9089581:9099722:1 gene:TCM_035455 transcript:EOY16635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G77220) TAIR;Acc:AT1G77220] MESQTVITSRTPLLEEAYAYGVVEHPFPLNCFLKDWYLGPKFYHAVKIGIVQYMILKMICALLAMILESFGVYGEGKFEWRYGYPYLAVVLNFSQTWALYCLVQFYAVTKEKLEPIKPLAKFLTFKSIVFLTWWQGVAVAFLFSMGAFKGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPAVPYKRGERCVRNVAVMTDYASLGTPPDPEEVQDCERSTRMRLGRHYEREKRLNFPQSVRDVVLGSGEIIVDDMKYTVSHVVEPVERGIAKINKTFHQISENVKRHEEERRRSCKDDSYLIPLNSWNREFSEAHENLVEGSVSDSGMANGKRHHVEAKAGAYRNKTAR >EOY16033 pep chromosome:Theobroma_cacao_20110822:8:5512975:5514560:-1 gene:TCM_034933 transcript:EOY16033 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MDGLDQLPDSLILLIFNSVSDVKTLIRCRSVSKRFNSLVPQTESLLLKIDRVISSESDSDSLFLTLFKSFLYLIFPKPTVAQLPNRTHTYPAQILSQFQRIRELHVELPAGDLHLEKGTVVKWRAEFGETLKTCVIFGFRLGNRNNGNYEAEFAGGLKTRVMWTISALIAASIRHFLLREVVREHNGMEKLVLTDREGEGVVVVERQGLREWRTARESHVDVDEEDEERGRTVIPSARMRMKHEPRLGTTLVVVRAVKDGAQIGREADVEDADLALKAFGNGAYGDCVRELLKVKSYLLEMNSF >EOY16913 pep chromosome:Theobroma_cacao_20110822:8:14607335:14609209:1 gene:TCM_035956 transcript:EOY16913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan:xyloglucosyl transferase 33 MAILQHILFMSLSMFCMTSVVSSHSRHYTTPSVPRLTDLLSHVSVDTGFSKFFGGPNIKLINNGSMATLALDKTSGSGLVSRRKYYYGFFSAAIKLPAGLTSGVVVAFYLSNADMYPHSHDEIDIELLGHDKRIDWVLQTNVYANGVSTGREEKFYFWFDPTQQHHYYSILWNSHHIVFLVDNIPVREFPNNGKFSTAYPSKPMSLYVTIWDGSQWATHGGKYPVNYKYAPFVASFADMEMAGCITNPTPPGSSCSNASLSSMDPVGGPYFVKLSNQQITAMDWARRKLMFYSYCKDTSRFKVLPPECT >EOY15200 pep chromosome:Theobroma_cacao_20110822:8:2554628:2557574:-1 gene:TCM_034347 transcript:EOY15200 gene_biotype:protein_coding transcript_biotype:protein_coding description:OPC-8:0 CoA ligase1 isoform 4 MGIRKGHVVLLLSPNSIYFPVVCLSVMSLGAIITTTNPLNTAAEIGKQISDSKPVLAFTIPQLLPKLAGSTIPIVLLDDHAISNASQAKIVTTLHQMMKTEPSGSRVRDRVNQDDTATLLYSSGTTGASKGVVSSHRNLIAMVQTVLNRFSLQEEERFICTVPMFHIYGLVAFATGLLASGSTVIVLSKFEMHDMLSTIEKYRATYLPLVPPILVAMVNAADQIRKKYDLSSLHSALSGGAPLSKEVIEGFLDKYPTVKILQGYGLTESTGIGASTDSLEESRKYGTAGMLSPSMEAKIVDPDSGKALTVNQTGELWLRGPSIMKGYFSNPEATASTLDSEGWLKTGDICYIDDDGFIFVVDRLKELIKYKGYQVNEPLLPSPKVAFLCI >EOY15198 pep chromosome:Theobroma_cacao_20110822:8:2554646:2558147:-1 gene:TCM_034347 transcript:EOY15198 gene_biotype:protein_coding transcript_biotype:protein_coding description:OPC-8:0 CoA ligase1 isoform 4 MAQNINSAIDPRSGFCRSNSTFYSKRKPIPLAKNDSLDVTTFISSRAHHGKVAFIDAGTGRQLTFSELWRAVDSVATCLSDMGIRKGHVVLLLSPNSIYFPVVCLSVMSLGAIITTTNPLNTAAEIGKQISDSKPVLAFTIPQLLPKLAGSTIPIVLLDDHAISNASQAKIVTTLHQMMKTEPSGSRVRDRVNQDDTATLLYSSGTTGASKGVVSSHRNLIAMVQTVLNRFSLQEEERFICTVPMFHIYGLVAFATGLLASGSTVIVLSKFEMHDMLSTIEKYRATYLPLVPPILVAMVNAADQIRKKYDLSSLHSALSGGAPLSKEVIEGFLDKYPTVKILQGYGLTESTGIGASTDSLEESRKYGTAGMLSPSMEAKIVDPDSGKALTVNQTGELWLRGPSIMKGYFSNPEATASTLDSEGWLKTGDICYIDDDGFIFVVDRLKELIKYKGYQQVPPAELEALLLTHPEIFDAAVIPFPDKEVGQFPMAYVVRKTGCNLSETAVMDFVARQVAPYKRIRKVAFVSSIPKNPSGKILRKDLIKLATSKL >EOY15199 pep chromosome:Theobroma_cacao_20110822:8:2555191:2557645:-1 gene:TCM_034347 transcript:EOY15199 gene_biotype:protein_coding transcript_biotype:protein_coding description:OPC-8:0 CoA ligase1 isoform 4 MGIRKGHVVLLLSPNSIYFPVVCLSVMSLGAIITTTNPLNTAAEIGKQISDSKPVLAFTIPQLLPKLAGSTIPIVLLDDHAISNASQAKIVTTLHQMMKTEPSGSRVRDRVNQDDTATLLYSSGTTGASKGVVSSHRNLIAMVQTVLNRFSLQEEERFICTVPMFHIYGLVAFATGLLASGSTVIVLSKFEMHDMLSTIEKYRATYLPLVPPILVAMVNAADQIRKKYDLSSLHSALSGGAPLSKEVIEGFLDKYPTVKILQGYGLTESTGIGASTDSLEESRKYGTAGMLSPSMEAKIVDPDSGKALTVNQTGELWLRGPSIMKGYFSNPEATASTLDSEGWLKTGDICYIDDDGFIFVVDRLKELIKYKGYQVPPAELEALLLTHPEIFDAAVIP >EOY15197 pep chromosome:Theobroma_cacao_20110822:8:2554071:2557713:-1 gene:TCM_034347 transcript:EOY15197 gene_biotype:protein_coding transcript_biotype:protein_coding description:OPC-8:0 CoA ligase1 isoform 4 MAQNINSAIDPRSGFCRSNSTFYSKRKPIPLAKNDSLDVTTFISSRAHHGKVAFIDAGTGRQLTFSELWRAVDSVATCLSDMGIRKGHVVLLLSPNSIYFPVVCLSVMSLGAIITTTNPLNTAAEIGKQISDSKPVLAFTIPQLLPKLAGSTIPIVLLDDHAISNASQAKIVTTLHQMMKTEPSGSRVRDRVNQDDTATLLYSSGTTGASKGVVSSHRNLIAMVQTVLNRFSLQEEERFICTVPMFHIYGLVAFATGLLASGSTVIVLSKFEMHDMLSTIEKYRATYLPLVPPILVAMVNAADQIRKKYDLSSLHSALSGGAPLSKEVIEGFLDKYPTVKILQGYGLTESTGIGASTDSLEESRKYGTAGMLSPSMEAKIVDPDSGKALTVNQTGELWLRGPSIMKGYFSNPEATASTLDSEGWLKTGDICYIDDDGFIFVVDRLKELIKYKGYQVPPAELEALLLTHPEIFDAAVIPFPDKEVGQFPMAYVVRKTGCNLSETAVMDFVARQVAPYKRIRKVAFVSSIPKNPSGKILRKDLIKLATSKL >EOY15201 pep chromosome:Theobroma_cacao_20110822:8:2550855:2557645:-1 gene:TCM_034347 transcript:EOY15201 gene_biotype:protein_coding transcript_biotype:protein_coding description:OPC-8:0 CoA ligase1 isoform 4 MGIRKGHVVLLLSPNSIYFPVVCLSVMSLGAIITTTNPLNTAAEIGKQISDSKPVLAFTIPQLLPKLAGSTIPIVLLDDHAISNASQAKIVTTLHQMMKTEPSGSRVRDRVNQDDTATLLYSSGTTGASKGVVSSHRNLIAMVQTVLNRFSLQEEERFICTVPMFHIYGLVAFATGLLASGSTVIVLSKFEMHDMLSTIEKYRATYLPLVPPILVAMVNAADQIRKKYDLSSLHSALSGGAPLSKEVIEGFLDKYPTVKILQGYGLTESTGIGASTDSLEESRKYGTAGMLSPSMEAKIVDPDSGKALTVNQTGELWLRGPSIMKGYFSNPEATASTLDSEGWLKTGDICYIDDDGFIFVVDRLKELIMPHTKESGKWHSYLQYPRIHLARFSGRI >EOY16538 pep chromosome:Theobroma_cacao_20110822:8:8164230:8166658:1 gene:TCM_035334 transcript:EOY16538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGSKNKGQKAKSKTRNKNKPDSILSPQGSNSKKNGSGSGKIITDARFASLHSDPRFQKVPKHKTKVVIDSRFNRMFSDKRFSSSSAPLDKRGKPKKENSQSSLRHYYHLEDKEEEKRKKDVLSGGDDSEEVDEESESSEISKKDNDGESEEEGSESGSTTEEEDIDIIYED >EOY16539 pep chromosome:Theobroma_cacao_20110822:8:8156543:8166627:1 gene:TCM_035334 transcript:EOY16539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGSKNKGQKAKSKTRNKNKPDSILSPQGSNSKKNGSGSGKIITDARFASLHSDPRFQKVPKHKTKVVIDSRFNRMFSDKRFSSSSAPLDKRGKPKKENSQSSLRHYYHLEDKEEEKRKKDVLSGGDDSEEVDEESESSEISKKDNDGESEEEGSESGSTTEEEDIDIIYED >EOY16537 pep chromosome:Theobroma_cacao_20110822:8:8164230:8166658:1 gene:TCM_035334 transcript:EOY16537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGSKNKGQKAKSKTRNKNKPDSILSPQGSNSKKNGSGSGKIITDARFASLHSDPRFQKVPKHKTKVVIDSRFNRMFSDKRFSSSSAPLDKRGKPKKENSQSSLRHYYHLEDKEEEKRKKDVLSGGDDSEEVDEESESSEISKKDNDGESEEEGSESGSTTEEEDIDIIYED >EOY15852 pep chromosome:Theobroma_cacao_20110822:8:4850055:4852117:-1 gene:TCM_034798 transcript:EOY15852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complex small chain family protein MIHFVLLVSRQGKVRLTKWYSPYSQKERSKVIRELSGIILSRGPKLCNFVEWRGFKIVYKRYAGLYFCMCINQDDNELEILDIIHHYVEILDRYFGSVCELDLIFNFHKAYYILDELLIAGELQESSKRTVLRLVDAQDCIVEIAQQQASSVGNLIAQATK >EOY15624 pep chromosome:Theobroma_cacao_20110822:8:3995794:4000987:1 gene:TCM_034627 transcript:EOY15624 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOTTED-like from MDEYNQLNENSTPRGNFLYASPVLAPNSSPYGRASSGSNVSNQQAQMPLTSFHLQSSECYQSEAHPIVKTEASTSQHGQKFHYPLLRGHQAIHHQQEGNESSSTEVEAIKAKIIAHPQYSNLLEAYMDCQKVGAPPEVAARLAAARQEFEARQRSSVTSRDNSKDPELDQFMEAYYDMLVKYREELTRPIQEALDFMRRIEAQLNMLSNGPVRIFNSDEKCEGVGSSEEDQDNSGGETELPEIDPRAEDRELKNHLLRKYSGYLSSLKQELSKKKKKGKLPKEARQKLLSWWELHYKWPYPSETEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHPQNAALYMDGHYMGDGPYRLGP >EOY15606 pep chromosome:Theobroma_cacao_20110822:8:3928106:3932699:1 gene:TCM_034612 transcript:EOY15606 gene_biotype:protein_coding transcript_biotype:protein_coding description:WWE protein-protein interaction domain family protein, putative isoform 1 MSQPAATTKNRVESVGDMVAPPPPPPSSSSKSSRKCARCRSSKRIASQNKANFEKSAAPFLFMYYRNSSWLNFSEDVVKKLRAGFLERRPIIEASIDGAKYFFDLKRMVQIDYVTGNQRSISWIDENHKCFFPNVFYSEEEITESENESVSGDNIGCNFKDNSNNCNAKIEIEVKIDGASSKRKREEPEVSSANKAVDVIKRQRLEDGGAARWPDSLLLRETEKAYVVVKGHFLNGMKKADDGVTVTSIHQCKHQGHMNKARRKAFEKQVGITKSARGTSNIVYAWYGAAANVVESVLAHGFGMPSIVPAADVCGVGIYLSSFQLPQLSAKLADADDNGVKHLILCRLILGNVEKLEAGSKQYHPSSVDFDTGSDDPENPKWYVVWSAKANMHIMPECVVSFRGSGNIHGQPRPAAGVVYSLASLFSKIKSCLPPAKVREIWISYSTFKAGKLARDAFLRHLRLVAGDEIQTF >EOY15607 pep chromosome:Theobroma_cacao_20110822:8:3928173:3929414:1 gene:TCM_034612 transcript:EOY15607 gene_biotype:protein_coding transcript_biotype:protein_coding description:WWE protein-protein interaction domain family protein, putative isoform 1 MSQPAATTKNRVESVGDMVAPPPPPPSSSSKSSRKCARCRSSKRIASQNKANFEKSAAPFLFMYYRNSSWLNFSEDVVKKLRAGFLERRPIIEASIDGAKYFFDLKRMVQIDYVTGNQRSISWIDENHKCFFPNVFYSEEEITESENESVSGDNIGCNFKDNSNNCNAKIEIEVKIDGASSKRKREEPEVSSANKAVDVIKRQRLEDGGAARWPDSLLLRETEKAYVVVKGHFLNGMKKADDGVTVTSIHQCKHQGHMNKARRKAFEKQVGITKSARGTSNIVYAWYGAAANVVESVLAHGFGMPSIVPAADVCGVGIYLSSFQLPQLR >EOY15220 pep chromosome:Theobroma_cacao_20110822:8:2613749:2616465:-1 gene:TCM_034363 transcript:EOY15220 gene_biotype:protein_coding transcript_biotype:protein_coding description:T23E18.18, putative MMIKKPQKINMLIMKSVSDLDWRLLFLVITPLSLLLFLSFSSAPINSVFPLRSFIFDRTNSSGFISLWSRGPPNRLNFGSTPSKAELDKSRIAVCLVGGARRFELTGPSIVEKVLKEYPNSDLFLHSPMDKNAFKLSLLKTAPRVASVRLFEQKFVPQTEEQFRVLTAANSPNGIQGLLQYFNLVEGCITMIETYQKQHNFTYDWIVRTRVDGYWNAPLSPQNFVAGQYTVPSGSVYGGLNDRLGIGDLRTSKIALSRLSLIPKIDSAGYRQLNSESAFKAQLTTLNISYVEHRLPFCVMTDRTYEFPPAHLGVPVAALSSPGPLSGAKCRPCTPACRDLCVANIMSSLDKGWSWTNWGNGTLELCDAHGGWEKGWEKIFDRVAGKNFAAERRRVNVLKFEECVRDFREMMRKAAKWEAPAVEDICRLGLGMTRR >EOY15371 pep chromosome:Theobroma_cacao_20110822:8:3057917:3060558:-1 gene:TCM_034457 transcript:EOY15371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target SNARE coiled-coil domain protein MASTSNRAGGGSFYGGGAPYRSREGLSTRPVASSDEIQLRIDPMHADLDDEITGLRSQVKQLRNVAQEIGSEAKFQKDFLDQLQMTVIKAQAGVKNNIRKLNKSIIKHGSNHIVHVVLFALFCFFVVYMWSKLSRR >EOY14911 pep chromosome:Theobroma_cacao_20110822:8:1658035:1659947:1 gene:TCM_034151 transcript:EOY14911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Salt tolerance 2, putative isoform 1 MNPHYVTAILFYSLLSTDALITLSSQLFWGSKLPQSLTQYSLSEREIGSKEIKQIKQMKIQCDVCSKEEASVFCTADEAALCDACDHRVHHANKLASKHQRFSLLHPASSKQAPLCDICQEKRAFLFCQQDRAILCRDCDVPIHAANEHTQKHNRFLLTGVKLSATSALYTSSSSSSIASLSTGCDSVPEFESQPSIKNPVSASPTNLNPFSLAKSSPVSTTAAAVTNKSGGDNLLANEGGGSTSSISEYLIEMLPGWHFEDFLDSSSPPFGF >EOY14912 pep chromosome:Theobroma_cacao_20110822:8:1658219:1659322:1 gene:TCM_034151 transcript:EOY14912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Salt tolerance 2, putative isoform 1 MNPHYVTAILFYSLLSTDALITLSSQLFWGSKLPQSLTQYSLSEREIGSKEIKQIKQMKIQCDVCSKEEASVFCTADEAALCDACDHRVHHANKLASKHQRFSLLHPASSKQAPLCDICQEKRAFLFCQQDRAILCRDCDVPIHAANEHTQKHNRFLLTGVKLSATSALYTSSSSSSIASLSTGCDSVPEFESQPSIKNPVSASPTNLNPFSLAKSSPVSTTAAAVTNKSGGDNLLANEGGGSTSSISEYLIEMLPGWHFEDFLDSSSPPFGFCKVCIDPSLIYCISLSR >EOY14910 pep chromosome:Theobroma_cacao_20110822:8:1658035:1659929:1 gene:TCM_034151 transcript:EOY14910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Salt tolerance 2, putative isoform 1 MNPHYVTAILFYSLLSTDALITLSSQLFWGSKLPQSLTQYSLSEREIGSKEIKQIKQMKIQCDVCSKEEASVFCTADEAALCDACDHRVHHANKLASKHQRFSLLHPASSKQAPLCDICQEKRAFLFCQQDRAILCRDCDVPIHAANEHTQKHNRFLLTGVKLSATSALYTSSSSSSIASLSTGCDSVPEFESQPSIKNPVSASPTNLNPFSLAKSSPVSTTAAAVTNKSGGDNLLANEGGGSTSSISEYLIEMLPGWHFEDFLDSSSPPFGFCKSDDGMLPFSDADLESNKSSFSPESLGLWVPQSPSALYPPQYSSTMGGQIGFKETKEIIGMKANRRWTDDAFTVPQISLPSTGSKRTRPLW >EOY16436 pep chromosome:Theobroma_cacao_20110822:8:7085537:7092982:-1 gene:TCM_035208 transcript:EOY16436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Topbp1, putative isoform 2 MMMLKTKAFKGSSVFMSRNLVPPEVFDKLHGVLKDSGAQVFLCCDPSRNGPDDFHVISSIDHEKFEDLRAKGCNLLGPQCVLSCAKENRALPKQGFTCCLAMDGLKVLASGFDMDEKVKIEKLVTAMGGVLHTKASLDVSFVVVKNVLAAKYKWALNVLKKPIVTLHWLYQCWGEHRVVPQESYRVLPFSGLTICVTRIPADERKEIEKLIIQNGGKYSAELTKKCTHLICDAPEGDKYKVARRWGHVHIVVRKWFDQSIARRACLNEESYPVQGGCSSSKKNVSGSLSTQHSQDKFRGSSLSATSLMISDFNLSTVPPTGVGDPDLEATLSQNIPSMVSDAQVIVKEDGGEAPTLQPSNETKLDGCVANDSESEDNDLYLSDCRISLVGFEASEMRKLVMMVRRGGGSRYMSCNDKLTHIVVGTPSEVEKKEVRSFAASGVMQVVKSNWLEDCDRQKKEIPVQQRHIAYDLLLPKDSVQSVKGAVIGMVSSNQSKSSVLANSGTGMSSFLGDNLDDKTKINRNGDKSLEATVRSSKQGVPPTVNSTNNGWQKQHCGSIVLNLKNGMSSTVFKGKIFCFSNSFPEDRRAEIVEWVDQGGGEVVDDHVKQSVNFIIECHGVISRSIIDSQITYVSTHWVRSCLEDGCLLDVGCHILYSPLPCQIPFPGFKSFRFCVSQYEEKDRLLLRNLCFILGAKFVEKLTKKVTHLLCKFTSGPKYEAACKWGIYSVTAEWIYECVSQNKVVSLDPFFPKEVTAQDREAGLCTVSQFPTQAVQMMSVDIPSQFMTQSQDLRTQTLGGKIESIIGGRDEAEQSNVHLKRARCMEEDDQNGLLASVVHLCEPVLNENSTENNKSKIVGEAAQVLPDVAAAIEDLLEQTSKIHDQKSPERNGCDKSIFSSDRTGLCQDHTDSHSVIGLSRHWLNRDCEKR >EOY16434 pep chromosome:Theobroma_cacao_20110822:8:7085520:7092991:-1 gene:TCM_035208 transcript:EOY16434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Topbp1, putative isoform 2 MMMLKTKAFKGSSVFMSRNLVPPEVFDKLHGVLKDSGAQVFLCCDPSRNGPDDFHVISSIDHEKFEDLRAKGCNLLGPQCVLSCAKENRALPKQGFTCCLAMDGLKVLASGFDMDEKVKIEKLVTAMGGVLHTKASLDVSFVVVKNVLAAKYKWALNVLKKPIVTLHWLYQCWGEHRVVPQESYRVLPFSGLTICVTRIPADERKEIEKLIIQNGGKYSAELTKKCTHLICDAPEGDKYKVARRWGHVHIVVRKWFDQSIARRACLNEESYPVQGGCSSSKKNVSGSLSTQHSQDKFRGSSLSATSLMISDFNLSTVPPTGVGDPDLEATLSQNIPSMVSDAQVIVKEDGGEAPTLQPSNETKLDGCVANDSESEDNDLYLSDCRISLVGFEASEMRKLVMMVRRGGGSRYMSCNDKLTHIVVGTPSEVEKKEVRSFAASGVMQVVKSNWLEDCDRQKKEIPVQQRHIAYDLLLPKDSVQSVKGAVIGMVSSNQSKSSVLANSGTGMSSFLGDNLDDKTKINRNGDKSLEATVRSSKQGVPPTVNSTNNGWQKQHCGSIVLNLKNGMSSTVFKGKIFCFSNSFPEDRRAEIVEWVDQGGGEVVDDHVKQSVNFIIECHGVISRSIIDSQITYVSTHWVRSCLEDGCLLDVGCHILYSPLPCQIPFPGFKSFRFCVSQYEEKDRLLLRNLCFILGAKFVEKLTKKVTHLLCKFTSGPKYEAACKWGIYSVTAEWIYECVSQNKVVSLDPFFPKEVTAQDREAGLCTVSQFPTQAVQMMSVDIPSQFMTQSQDLRTQTLGGKIESIIGGRDEAEQSNVHLKRARCMEEDDQNGLLASVVHLCEPVLNENSTENNKSKIVGEAAQVLPDVAAAIEDLLEQTSKIHDQKSPERNGCDKSIFSSDRTGLCQDHTDSHSVIGLSRHWLNRTVKKDETCSYSGDGNAGLYDGFSETQTESQVVGYEEDLSGRQMLIDRVRTRSSMA >EOY16437 pep chromosome:Theobroma_cacao_20110822:8:7086340:7092862:-1 gene:TCM_035208 transcript:EOY16437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Topbp1, putative isoform 2 MMMLKTKAFKGSSVFMSRNLVPPEVFDKLHGVLKDSGAQVFLCCDPSRNGPDDFHVISSIDHEKFEDLRAKGCNLLGPQCVLSCAKENRALPKQGFTCCLAMDGLKVLASGFDMDEKVKIEKLVTAMGGVLHTKASLDVSFVVVKNVLAAKYKWALNVLKKPIVTLHWLYQCWGEHRVVPQESYRVLPFSGLTICVTRIPADERKEIEKLIIQNGGKYSAELTKKCTHLICDAPEGDKYKVARRWGHVHIVVRKWFDQSIARRACLNEESYPVQGGCSSSKKNVSGSLSTQHSQDKFRGSSLSATSLMISDFNLSTVPPTGVGDPDLEATLSQNIPSMVSDAQVIVKEDGGEAPTLQPSNETKLDGCVANDSESEDNDLYLSDCRISLVGFEASEMRKLVMMVRRGGGSRYMSCNDKLTHIVVGTPSEVEKKEVRSFAASGVMQVVKSNWLEDCDRQKKEIPVQQRHIAYDLLLPKDSVQSVKGAVIGMVSSNQSKSSVLANSGTGMSSFLGDNLDDKTKINRNGDKSLEATVRSSKQGVPPTVNSTNNGWQKQHCGSIVLNLKNGMSSTVFKGKIFCFSNSFPEDRRAEIVEWVDQGGGEVVDDHVKQSVNFIIECHGVISRSIIDSQITYVSTHWVRSCLEDGCLLDVGCHILYSPLPCQIPFPGFKSFRFCVSQYEEKDRLLLRNLCFILGAKFVEKLTKKVTHLLCKFTSGPKYEAACKWGIYSVTAEWIYECVSQNKVVSLDPFFPKEVTAQDREAGLCTVSQFPTQAVQMMSVDIPSQFMTQSQDLRTQTLGGKIESIIGGRDEAEQSNVHLKRARCMEEDDQNGLLASVVHLCEPVLNENSTENNKSKIVGEAAQVLPDVAAAIEDLLEQTSKIHDQKSPERNGCDKSIFSSDRTGLCQDHTDSHSVIGLSRHWLNRTVKKDETCSYSGDGNAGLYDGFSETQTESQVSDLTFLKSFSTSSLFQ >EOY16438 pep chromosome:Theobroma_cacao_20110822:8:7086906:7092991:-1 gene:TCM_035208 transcript:EOY16438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Topbp1, putative isoform 2 MMMLKTKAFKGSSVFMSRNLVPPEVFDKLHGVLKDSGAQVFLCCDPSRNGPDDFHVISSIDHEKFEDLRAKGCNLLGPQCVLSCAKENRALPKQGFTCCLAMDGLKVLASGFDMDEKVKIEKLVTAMGGVLHTKASLDVSFVVVKNVLAAKYKWALNVLKKPIVTLHWLYQCWGEHRVVPQESYRVLPFSGLTICVTRIPADERKEIEKLIIQNGGKYSAELTKKCTHLICDAPEGDKYKVARRWGHVHIVVRKWFDQSIARRACLNEESYPVQGGCSSSKKNVSGSLSTQHSQDKFRGSSLSATSLMISDFNLSTVPPTGVGDPDLEATLSQNIPSMVSDAQVIVKEDGGEAPTLQPSNETKLDGCVANDSESEDNDLYLSDCRISLVGFEASEMRKLVMMVRRGGGSRYMSCNDKLTHIVVGTPSEVEKKEVRSFAASGVMQVVKSNWLEDCDRQKKEIPVQQRHIAYDLLLPKDSVQSVKGAVIGMVSSNQSKSSVLANSGTGMSSFLGDNLDDKTKINRNGDKSLEATVRSSKQGVPPTVNSTNNGWQKQHCGSIVLNLKNGMSSTVFKGKIFCFSNSFPEDRRAEIVEWVDQGGGEVVDDHVKQSVNFIIECHGVISRSIIDSQITYVSTHWVRSCLEDGCLLDVGCHILYSPLPCQIPFPGFKSFRFCVSQYEEKDRLLLRNLCFILGAKFVEKLTKKVTHLLCKFTSGPKYEAACKWGIYSVTAEWIYECVSQNKVVSLDPFFPKEVTAQDREAGLCTVSQFPTQAVQMMSVDIPSQFMTQSQDLRTQTLGGKIESIIGGRDEAEQSNVHLKRARCMEEDDQNGLLASVVHLCEPVLNENSTENNKSKIVGEAAQVLPDVAAAIEDLLEQTSKVNIDVPCLYHLIVC >EOY16435 pep chromosome:Theobroma_cacao_20110822:8:7085537:7092982:-1 gene:TCM_035208 transcript:EOY16435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Topbp1, putative isoform 2 MMMLKTKAFKGSSVFMSRNLVPPEVFDKLHGVLKDSGAQVFLCCDPSRNGPDDFHVISSIDHEKFEDLRAKGCNLLGPQCVLSCAKENRALPKQGFTCCLAMDGLKVLASGFDMDEKVKIEKLVTAMGGVLHTKASLDVSFVVVKNVLAAKYKWALNVLKKPIVTLHWLYQCWGEHRVVPQESYRVLPFSGLTICVTRIPADERKEIEKLIIQNGGKYSAELTKKCTHLICDAPEGDKYKVARRWGHVHIVVRKWFDQSIARRACLNEESYPVQGGCSSSKKNVSGSLSTQHSQDKFRGSSLSATSLMISDFNLSTVPPTGVGDPDLEATLSQNIPSMVSDAQVIVKEDGGEAPTLQPSNETKLDGCVANDSESEDNDLYLSDCRISLVGFEASEMRKLVMMVRRGGGSRYMSCNDKLTHIVVGTPSEVEKKEVRSFAASGVMQVVKSNWLEDCDRQKKEIPVQQRHIAYDLLLPKDSVQSVKGAVIGMVSSNQSKSSVLANSGTGMSSFLGDNLDDKTKINRNGDKSLEATVRSSKQGVPPTVNSTNNGWQKQHCGSIVLNLKNGMSSTVFKGKIFCFSNSFPEDRRAEIVEWVDQGGGEVVDDHVKQSVNFIIECHGVISRSIIDSQITYVSTHWVRSCLEDGCLLDVGCHILYSPLPCQIPFPGFKSFRFCVSQYEEKDRLLLRNLCFILGAKFVEKLTKKVTHLLCKFTSGPKYEAACKWGIYSVTAEWIYECVSQNKVVSLDPFFPKEVTAQDREAGLCTVSQFPTQAVQMMSVDIPSQFMTQSQDLRTQTLGGKIESIIGGRDEAEQSNVHLKRARCMEEDDQNGLLASVVHLCEPVLNENSTENNKSKIVGEAAQVLPDVAAAIEDLLEQTSKIHDQKSPERNGCDKSIFSSDRTGLCQDHTDSHSVIGLSRHWLNRTVKKDETCSYSGDGNAGLYDGFSETQTESQVVVGYEEDLSGRQMLIDRVRTRSSMA >EOY15490 pep chromosome:Theobroma_cacao_20110822:8:3487375:3490746:1 gene:TCM_034531 transcript:EOY15490 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIM-like 1 isoform 2 MAAANPQPLQARLFEEHAQGTIQIEDDDGEYEDDAMDDVEDANVNSVNVAEHGIGVVGGVSGGGGGGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGRDIPTGVPTIEVPFDQNNRGVGDLPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESTSTSSWDSSQTGVQDGTPHPETVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKVWDTERSQ >EOY15486 pep chromosome:Theobroma_cacao_20110822:8:3487505:3492564:1 gene:TCM_034531 transcript:EOY15486 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIM-like 1 isoform 2 MAAANPQPLQARLFEEHAQGTIQIEDDDGEYEDDAMDDVEDANVNSVNVAEHGIGVVGGVSGGGGGGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGRDIPTGVPTIEVPFDQNNRGVGDLPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESTSTSSWDSSQTGVQDGTPHPETVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKVCLRIVFGMLLMLGTLRDLSKGGRNISMDQTEPETPIDVKPSIMEGDFPGNQDEHGNPSKDVTDGSNNASINPDEEDLHESAEDLTNSLSMGIVHPSADDDEQEPLVELANPSDTEISIPSNFD >EOY15492 pep chromosome:Theobroma_cacao_20110822:8:3487890:3490288:1 gene:TCM_034531 transcript:EOY15492 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIM-like 1 isoform 2 MAAANPQPLQARLFEEHAQGTIQIEDDDGEYEDDAMDDVEDANVNSVNVAEHGIGVVGGVSGGGGGGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGRDIPTGVPTIEVPFDQNNRGVGDLPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESTSTSSWDSSQTGVQDGTPHPETVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKVCLI >EOY15489 pep chromosome:Theobroma_cacao_20110822:8:3487602:3492564:1 gene:TCM_034531 transcript:EOY15489 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIM-like 1 isoform 2 MAAANPQPLQARLFEEHAQGTIQIEDDDGEYEDDAMDDVEDANVNSVNVAEHGIGVVGGVSGGGGGGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGRDIPTGVPTIEVPFDQNNRGVGDLPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESTSTSSWDSSQTGVQDGTPHPETVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRNISMDQTEPETPIDVKPSIMEGDFPGNQDEHGNPSKDVTDGSNNASINPDEEDLHESAEDLTNSLSMGIVHPSADDDEQEPLVELANPSDTEISIPSNFD >EOY15488 pep chromosome:Theobroma_cacao_20110822:8:3487375:3491311:1 gene:TCM_034531 transcript:EOY15488 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIM-like 1 isoform 2 MAAANPQPLQARLFEEHAQGTIQIEDDDGEYEDDAMDDVEDANVNSVNVAEHGIGVVGGVSGGGGGGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGRDIPTGVPTIEVPFDQNNRGVGDLPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESTSTSSWDSSQTGVQDGTPHPETVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRNISMDQTEPETPIDVKPSIMEGDFPGNQDEHGNPSKDVTDGSNNASINPDEEVDIYLHIEATDQDSAEQSSELK >EOY15485 pep chromosome:Theobroma_cacao_20110822:8:3487505:3492564:1 gene:TCM_034531 transcript:EOY15485 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIM-like 1 isoform 2 MAAANPQPLQARLFEEHAQGTIQIEDDDGEYEDDAMDDVEDANVNSVNVAEHGIGVVGGVSGGGGGGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGRDIPTGVPTIEVPFDQNNRGVGDLPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESTSTSSWDSSQTGVQDGTPHPETVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRNISMDQTEPETPIDVKPSIMEGDFPGNQDEHGNPSKDVTDGSNNASINPDEEDLHESAEDLTNSLSMGIVHPSADDDEQEPLVELANPSDTEISIPSNFD >EOY15487 pep chromosome:Theobroma_cacao_20110822:8:3487890:3491288:1 gene:TCM_034531 transcript:EOY15487 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIM-like 1 isoform 2 MAAANPQPLQARLFEEHAQGTIQIEDDDGEYEDDAMDDVEDANVNSVNVAEHGIGVVGGVSGGGGGGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGRDIPTGVPTIEVPFDQNNRGVGDLPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESTSTSSWDSSQTGVQDGTPHPETVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKVCLRIVFGMLLMLGTLRDLSKGGRNISMDQTEPETPIDVKPSIMEGDFPGNQDEHGNPSKDVTDGSNNASINPDEEVDIYLHIEATDQDSAEQSSELK >EOY15491 pep chromosome:Theobroma_cacao_20110822:8:3487375:3491379:1 gene:TCM_034531 transcript:EOY15491 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIM-like 1 isoform 2 MAAANPQPLQARLFEEHAQGTIQIEDDDGEYEDDAMDDVEDANVNSVNVAEHGIGVVGGVSGGGGGGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGRDIPTGVPTIEVPFDQNNRGVGDLPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESTSTSSWDSSQTGVQDGTPHPETVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKVWDTERSQ >EOY16154 pep chromosome:Theobroma_cacao_20110822:8:5964551:5977491:-1 gene:TCM_035010 transcript:EOY16154 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein, putative isoform 5 MRRGRWWCWWWLLLSVVTEALCHRQKKMMGRGADGGCGTEERPCRPISRIPGRSPVTQPKNAEKQISSDVGVDFFSQARKALCERSPFDVPVDGSVSASSVPTLPSGLASLLKQTDSRKRHKKSHSGADKKSSRQGERARGGSIWVETEEYFRDLALLDIDALFGITSFSFLAARKKCFVIPYVGNEPRENLNLVADMDEKANVSSGENFHVRNENGDVHKEDGTEMVKEEDGQLMEIDRVVTQAQFPAKEEKVCSVSDSASGLEWLLGSRSRLLLTSERPSKKRKLLGEDAGLEKVLIACACDGNSSLCHFCCTGDTRKESNRLIVCSSCKVAVHQKCYGVQNDVDSSWLCSWCKHKNDGNDTVKPCVLCPKQGGALKPIQKSDENVGSVEFAHLFCSHWMPEVYIEDLTKMEPIINVGGIKDTRKKLVCSVCKVKYGACVRCSHGTCRTSFHPICAREARHRMEVWGRYGCDNIELRAFCSKHSDIHDNSSSPQLGELCAAGSDSSFTDQPSPTSIDNSQTLKIGLKNGDKIAVHVEAPDDNSDKSGDGELQEIGLPDARSNTRVASEFGDAQQLVDVGLLERSNGDDVYPSDSLNLALILKKLIDRGKVNVKDVALEIGLSPDSLSATLDEDSLAPDLRCKIVKWLRNHAYMGPSQKNLKVKIKSLISSKGEAGAIDSSDDIMVSESDITDPVAVKSVPPRRRTKSNVRILRDNKVVCSSDEIINDNGVVMDEGRVDGLANEETNDSSKTFIPDASGKNSTKRDGSLDSSKRHLPTYAGNSVDPLNDSLSERSQLERATTPDKNTAANSDQANSICPTVNPIIPDLIRTEEFSNFYIHPYIHKKLLQMHNGMLYKNRVGEFEGRKDKLKEFGGAREGDLSRLVASSNASVCCSHESENSKCNDKSCSSDDSEQLVKARKSGALKFSPEDEVEGEIIYYQHRLLGNAVGRNSWTDNLVSRVAKSLPQEVEAARGQRWDAVLVNQYLYDLREAKKQGRKERRHKEAQAVLAAATAAAAASSRISSLRKDGLEDSSHQENVLKLNASGGRAGINYQPRAKDALSRNVVSRISSEKYSDIVQSVSDFSKEHPRSCDICRRSETVLNPILVCSGCKVAVHLDCYRNVKESTGPWCCELCEELFSSRSSGAASLNFWEKPYPAAECGLCGGTTGAFRKSVDGQWVHAFCAEWVLESTFRRGQVNPVEGMETASRGVDICCICRRKHGGCIKCSYGHCQTTFHPSCARSAGFYMNVKLIGGKLQHKAYCEKHSVEQRAKAETQKHGIEELKNMKQIRVELERLRLLCERIIKREKLKKELVVCSHEILACKRDHVSRSVLVHSPFFHPDVSSESATTSLKGHTDGYKSCSEAVRSDDVTVDSTLSVKHRVKVPVSMDNDQRTDDSSTSQSLFVRKPTERVPFSGKQIPHRYSLASRNGLDNAEWNSKSRKPIETFEKELVMTSDEASMKNSRLPKGYCYVPVDCLPKEKQITQDACSDGQLEHNG >EOY16159 pep chromosome:Theobroma_cacao_20110822:8:5965157:5977488:-1 gene:TCM_035010 transcript:EOY16159 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein, putative isoform 5 MRRGRWWCWWWLLLSVVTEALCHRQKKMMGRGADGGCGTEERPCRPISRIPGRSPVTQPKNAEKQISSDVGVDFFSQARKALCERSPFDVPVDGSVSASSVPTLPSGLASLLKQTDSRKRHKKSHSGADKKSSRQGERARGGSIWVETEEYFRDLALLDIDALFGITSFSFLAARKKCFVIPYVGNEPRENLNLVADMDEKANVSSGENFHVRNENGDVHKEDGTEMVKEEDGQLMEIDRVVTQAQFPAKEEKVCSVSDSASGLEWLLGSRSRLLLTSERPSKKRKLLGEDAGLEKVLIACACDGNSSLCHFCCTGDTRKESNRLIVCSSCKVAVHQKCYGVQNDVDSSWLCSWCKHKNDGNDTVKPCVLCPKQGGALKPIQKSDENVGSVEFAHLFCSHWMPEVYIEDLTKMEPIINVGGIKDTRKKLVCSVCKVKYGACVRCSHGTCRTSFHPICAREARHRMEVWGRYGCDNIELRAFCSKHSDIHDNSSSPQLGELCAAGSDSSFTDQPSPTSIDNSQTLKIGLKNGDKIAVHVEAPDDNSDKSGDGELQEIGLPDARSNTRVASEFGDAQQLVDVGLLERSNGDDVYPSDSLNLALILKKLIDRGKVNVKDVALEIGLSPDSLSATLDEDSLAPDLRCKIVKWLRNHAYMGPSQKNLKVKIKSLISSKGEAGAIDSSDDIMVSESDITDPVAVKSVPPRRRTKSNVRILRDNKVVCSSDEIINDNGVVMDEGRVDGLANEETNDSSKTFIPDASGKNSTKRDGSLDSSKRHLPTYAGNSVDPLNDSLSERSQLERATTPDKNTAANSDQANSICPTVNPIIPDLIRTEEFSNFYIHPYIHKKLLQMHNGMLYKNRVGEFEGRKDKLKEFGGAREGDLSRLVASSNASVCCSHESENSKCNDKSCSSDDSEQLVKARKSGALKFSPEDEVEGEIIYYQHRLLGNAVGRNSWTDNLVSRVAKSLPQEVEAARGQRWDAVLVNQYLYDLREAKKQGRKERRHKEAQAVLAAATAAAAASSRISSLRKDGLEDSSHQENVLKLNASGGRAGINYQPRAKDALSRNVVSRISSEKYSDIVQSVSDFSKEHPR >EOY16161 pep chromosome:Theobroma_cacao_20110822:8:5967209:5977153:-1 gene:TCM_035010 transcript:EOY16161 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein, putative isoform 5 MRRGRWWCWWWLLLSVVTEALCHRQKKMMGRGADGGCGTEERPCRPISRIPGRSPVTQPKNAEKQISSDVGVDFFSQARKALCERSPFDVPVDGSVSASSVPTLPSGLASLLKQTDSRKRHKKSHSGADKKSSRQGERARGGSIWVETEEYFRDLALLDIDALFGITSFSFLAARKKCFVIPYVGNEPRENLNLVADMDEKANVSSGENFHVRNENGDVHKEDGTEMVKEEDGQLMEIDRVVTQAQFPAKEEKVCSVSDSASGLEWLLGSRSRLLLTSERPSKKRKLLGEDAGLEKVLIACACDGNSSLCHFCCTGDTRKESNRLIVCSSCKVAVHQKCYGVQNDVDSSWLCSWCKHKNDGNDTVKPCVLCPKQGGALKPIQKSDENVGSVEFAHLFCSHWMPEVYIEDLTKMEPIINVGGIKDTRKKLVCSVCKVKYGACVRCSHGTCRTSFHPICAREARHRMEVWGRYGCDNIELRAFCSKHSDIHDNSSSPQLGELCAAGSDSSFTDQPSPTSIDNSQTLKIGLKNGDKIAVHVEAPDDNSDKSGDGELQEIGLPDARSNTRVASEFGDAQQLVDVGLLERSNGDDVYPSDSLNLALILKKLIDRGKVNVKDVALEIGLSPDSLSATLDEDSLAPDLRCKIVKWLRNHAYMGPSQKNLKVKIKSLISSKGEAGAIDSSDDIMVSESDITDPVAVKSVPPRRRTKSNVRILRDNKVVCSSDEIINDNGVVMDEGRVDGLANEETNDSSKTFIPDASGKNSTKRDGSLDSSKRHLPTYAGNSVDPLNDSLSERSQLERATTPDKNTAANSDQANSICPTVNPIIPDLIRTEEFSNFYIHPYIHKKLLQMHNGMLYKNRVGEFEGRKDKLKEFGGAREGDLSRLVASSNASVCCSHESENSKCNDKSCSSDDSEQLVKARKSGALKFSPEDEVEGEIIYYQHRLLGNAVGRNSWTDNLVSRVAKSLPQEVEAARGQRWDAVLVNQYLYDLREAKKQGRKERRHKEAQAVLAAATAAAAASSRISSLRKDGLEDSSHQENVLKLNASGGRAGINYQPRAKDALSRNVVSRISSEKYSDIVQSVSDFSKEHPRSCDICRRSETVLNPILVCSGCKVAVHLDCYRNVKESTGPWCCELCEELFSSRSSGAASLNFWEKPYPAAECGLCGGTTGAFRKSVDGQWVHAFCAEVIYSVLLVN >EOY16157 pep chromosome:Theobroma_cacao_20110822:8:5965772:5977488:-1 gene:TCM_035010 transcript:EOY16157 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein, putative isoform 5 MRRGRWWCWWWLLLSVVTEALCHRQKKMMGRGADGGCGTEERPCRPISRIPGRSPVTQPKNAEKQISSDVGVDFFSQARKALCERSPFDVPVDGSVSASSVPTLPSGLASLLKQTDSRKRHKKSHSGADKKSSRQGERARGGSIWVETEEYFRDLALLDIDALFGITSFSFLAARKKCFVIPYVGNEPRENLNLVADMDEKANVSSGENFHVRNENGDVHKEDGTEMVKEEDGQLMEIDRVVTQAQFPAKEEKVCSVSDSASGLEWLLGSRSRLLLTSERPSKKRKLLGEDAGLEKVLIACACDGNSSLCHFCCTGDTRKESNRLIVCSSCKVAVHQKCYGVQNDVDSSWLCSWCKHKNDGNDTVKPCVLCPKQGGALKPIQKSDENVGSVEFAHLFCSHWMPEVYIEDLTKMEPIINVGGIKDTRKKLVCSVCKVKYGACVRCSHGTCRTSFHPICAREARHRMEVWGRYGCDNIELRAFCSKHSDIHDNSSSPQLGELCAAGSDSSFTDQPSPTSIDNSQTLKIGLKNGDKIAVHVEAPDDNSDKSGDGELQEIGLPDARSNTRVASEFGDAQQLVDVGLLERSNGDDVYPSDSLNLALILKKLIDRGKVNVKDVALEIGLSPDSLSATLDEDSLAPDLRCKIVKWLRNHAYMGPSQKNLKVKIKSLISSKGEAGAIDSSDDIMVSESDITDPVAVKSVPPRRRTKSNVRILRDNKVVCSSDEIINDNGVVMDEGRVDGLANEETNDSSKTFIPDASGKNSTKRDGSLDSSKRHLPTYAGNSVDPLNDSLSERSQLERATTPDKNTAANSDQANSICPTVNPIIPDLIRTEEFSNFYIHPYIHKKLLQMHNGMLYKNRVGEFEGRKDKLKEFGGAREGDLSRLVASSNASVCCSHESENSKCNDKSCSSDDSEQLVKARKSGALKFSPEDEVEGEIIYYQHRLLGNAVGRNSWTGIYLLRSRSLFVCVCLCCFPLIYNHKTCILCNFFLADNLVSRVAKSLPQEVEAARGQRWDAVLVNQYLYDLREAKKQGRKERRHKEAQAVLAAATAAAAASSRISSLRKDGLEDSSHQENVLKLNASGGRAGINYQPRAKDALSRNVVSRISSEKYSDIVQSVSDFSKEHPRSCDICRRSETVLNPILVCSGCKVAVHLDCYRNVKESTGPWCCELCEELFSSRSSGAASLNFWEKPYPAAECGLCGGTTGAFRKSVDGQWVHAFCAEWVLESTFRRGQVNPVEGMETASRGVDICCICRRKHGGCIKCSYGHCQTTFHPSCARSAGFYMNVKLIGGKLQHKAYCEKHSVEQRAKAETQKHGIEELKNMKQIRVELERLRLLCERIIKREKLKKELVVCSHEILACKRDHVSRSVLVHSPFFHPDVSSESATTSLKGHTDGYKSCSEAVRSDDVTVDSTLSVKHRVKVPVSMDNDQRTDDSSTSQSLFVRKPTERVPFSGKQIPHRYSLASRNGLDNAEWNSKSRK >EOY16158 pep chromosome:Theobroma_cacao_20110822:8:5965772:5977488:-1 gene:TCM_035010 transcript:EOY16158 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein, putative isoform 5 MRRGRWWCWWWLLLSVVTEALCHRQKKMMGRGADGGCGTEERPCRPISRIPGRSPVTQPKNAEKQISSDVGVDFFSQARKALCERSPFDVPVDGSVSASSVPTLPSGLASLLKQTDSRKRHKKSHSGADKKSSRQGERARGGSIWVETEEYFRDLALLDIDALFGITSFSFLAARKKCFVIPYVGNEPRENLNLVADMDEKANVSSGENFHVRNENGDVHKEDGTEMVKEEDGQLMEIDRVVTQAQFPAKEEKVCSVSDSASGLEWLLGSRSRLLLTSERPSKKRKLLGEDAGLEKVLIACACDGNSSLCHFCCTGDTRKESNRLIVCSSCKVAVHQKCYGVQNDVDSSWLCSWCKHKNDGNDTVKPCVLCPKQGGALKPIQKSDENVGSVEFAHLFCSHWMPEVYIEDLTKMEPIINVGGIKDTRKKLVCSVCKVKYGACVRCSHGTCRTSFHPICAREARHRMEVWGRYGCDNIELRAFCSKHSDIHDNSSSPQLGELCAAGSDSSFTDQPSPTSIDNSQTLKIGLKNGDKIAVHVEAPDDNSDKSGDGELQEIGLPDARSNTRVASEFGDAQQLVDVGLLERSNGDDVYPSDSLNLALILKKLIDRGKVNVKDVALEIGLSPDSLSATLDEDSLAPDLRCKIVKWLRNHAYMGPSQKNLKVKIKSLISSKGEAGAIDSSDDIMVSESDITDPVAVKSVPPRRRTKSNVRILRDNKVVCSSDEIINDNGVVMDEGRVDGLANEETNDSSKTFIPDASGKNSTKRDGSLDSSKRHLPTYAGNSVDPLNDSLSERSQLERATTPDKNTAANSDQANSICPTVNPIIPDLIRTEEFSNFYIHPYIHKKLLQMHNGMLYKNRVGEFEGRKDKLKEFGGAREGDLSRLVASSNASVCCSHESENSKCNDKSCSSDDSEQLVKARKSGALKFSPEDEVEGEIIYYQHRLLGNAVGRNSWTDNLVSRVAKSLPQEVEAARGQRWDAVLVNQYLYDLREAKKQGRKERRHKEAQAVLAAATAAAAASSRISSLRKDGLEDSSHQEVILMDPCFYEFFYCLHVRI >EOY16160 pep chromosome:Theobroma_cacao_20110822:8:5966950:5977488:-1 gene:TCM_035010 transcript:EOY16160 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein, putative isoform 5 MRRGRWWCWWWLLLSVVTEALCHRQKKMMGRGADGGCGTEERPCRPISRIPGRSPVTQPKNAEKQISSDVGVDFFSQARKALCERSPFDVPVDGSVSASSVPTLPSGLASLLKQTDSRKRHKKSHSGADKKSSRQGERARGGSIWVETEEYFRDLALLDIDALFGITSFSFLAARKKCFVIPYVGNEPRENLNLVADMDEKANVSSGENFHVRNENGDVHKEDGTEMVKEEDGQLMEIDRVVTQAQFPAKEEKVCSVSDSASGLEWLLGSRSRLLLTSERPSKKRKLLGEDAGLEKVLIACACDGNSSLCHFCCTGDTRKESNRLIVCSSCKVAVHQKCYGVQNDVDSSWLCSWCKHKNDGNDTVKPCVLCPKQGGALKPIQKSDENVGSVEFAHLFCSHWMPEVYIEDLTKMEPIINVGGIKDTRKKLVCSVCKVKYGACVRCSHGTCRTSFHPICAREARHRMEVWGRYGCDNIELRAFCSKHSDIHDNSSSPQLGELCAAGSDSSFTDQPSPTSIDNSQTLKIGLKNGDKIAVHVEAPDDNSDKSGDGELQEIGLPDARSNTRVASEFGDAQQLVDVGLLERSNGDDVYPSDSLNLALILKKLIDRGKVNVKDVALEIGLSPDSLSATLDEDSLAPDLRCKIVKWLRNHAYMGPSQKNLKVKIKSLISSKGEAGAIDSSDDIMVSESDITDPVAVKSVPPRRRTKSNVRILRDNKVVCSSDEIINDNGVVMDEGRVDGLANEETNDSSKTFIPDASGKNSTKRDGSLDSSKRHLPTYAGNSVDPLNDSLSERSQLERATTPDKNTAANSDQANSICPTVNPIIPDLIRTEEFSNFYIHPYIHKKLLQMHNGMLYKNRVGEFEGRKDKLKEFGGAREGDLSRLVASSNASVCCSHESENSKCNDKSCSSDDSEQLVKARKSGALKFSPEDEVEGEIIYYQHRLLGNAVGRNSWTDNLVSRVAKSLPQEVEAARGQRWDAVLVNQYLYDLREAKKQGRKERRHKEAQAVLAAATAAAAASSRISSLRKDGLEDSSHQENVLKLNASGGRAGINYQPRAKDALSRNVVSRISSEKYSDIVQSVSDFSKEHPRSCDICRRSETVLNPILVCSGCKVAVHLDCYRNVKESTGPWCCELCEELFSSRSSGAASLNFWEKPYPAAECGLCGGTTGAFRKSVDGQWVHAFCAEWVLESTFRRGQVNPVEGMVCVHVKSLDNYFFLINQVIS >EOY16156 pep chromosome:Theobroma_cacao_20110822:8:5965645:5977488:-1 gene:TCM_035010 transcript:EOY16156 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein, putative isoform 5 MRRGRWWCWWWLLLSVVTEALCHRQKKMMGRGADGGCGTEERPCRPISRIPGRSPVTQPKNAEKQISSDVGVDFFSQARKALCERSPFDVPVDGSVSASSVPTLPSGLASLLKQTDSRKRHKKSHSGADKKSSRQGERARGGSIWVETEEYFRDLALLDIDALFGITSFSFLAARKKCFVIPYVGNEPRENLNLVADMDEKANVSSGENFHVRNENGDVHKEDGTEMVKEEDGQLMEIDRVVTQAQFPAKEEKVCSVSDSASGLEWLLGSRSRLLLTSERPSKKRKLLGEDAGLEKVLIACACDGNSSLCHFCCTGDTRKESNRLIVCSSCKVAVHQKCYGVQNDVDSSWLCSWCKHKNDGNDTVKPCVLCPKQGGALKPIQKSDENVGSVEFAHLFCSHWMPEVYIEDLTKMEPIINVGGIKDTRKKLVCSVCKVKYGACVRCSHGTCRTSFHPICAREARHRMEVWGRYGCDNIELRAFCSKHSDIHDNSSSPQLGELCAAGSDSSFTDQPSPTSIDNSQTLKIGLKNGDKIAVHVEAPDDNSDKSGDGELQEIGLPDARSNTRVASEFGDAQQLVDVGLLERSNGDDVYPSDSLNLALILKKLIDRGKVNVKDVALEIGLSPDSLSATLDEDSLAPDLRCKIVKWLRNHAYMGPSQKNLKVKIKSLISSKGEAGAIDSSDDIMVSESDITDPVAVKSVPPRRRTKSNVRILRDNKVVCSSDEIINDNGVVMDEGRVDGLANEETNDSSKTFIPDASGKNSTKRDGSLDSSKRHLPTYAGNSVDPLNDSLSERSQLERATTPDKNTAANSDQANSICPTVNPIIPDLIRTEEFSNFYIHPYIHKKLLQMHNGMLYKNRVGEFEGRKDKLKEFGGAREGDLSRLVASSNASVCCSHESENSKCNDKSCSSDDSEQLVKARKSGALKFSPEDEVEGEIIYYQHRLLGNAVGRNSWTDNLVSRVAKSLPQEVEAARGQRWDAVLVNQYLYDLREAKKQGRKERRHKEAQAVLAAATAAAAASSRISSLRKDGLEDSSHQENVLKLNASGGRAGINYQPRAKDALSRNVVSRISSEKYSDIVQSVSDFSKEHPRSCDICRRSETVLNPILVCSGCKVAVHLDCYRNVKESTGPWCCELCEELFSSRSSGAASLNFWEKPYPAAECGLCGGTTGAFRKSVDGQWVHAFCAEWVLESTFRRGQVNPVEGMETASRGVDICCICRRKHGGCIKLWSLSDHVSSLLC >EOY16155 pep chromosome:Theobroma_cacao_20110822:8:5964993:5977483:-1 gene:TCM_035010 transcript:EOY16155 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein, putative isoform 5 MRRGRWWCWWWLLLSVVTEALCHRQKKMMGRGADGGCGTEERPCRPISRIPGRSPVTQPKNAEKQISSDVGVDFFSQARKALCERSPFDVPVDGSVSASSVPTLPSGLASLLKQTDSRKRHKKSHSGADKKSSRQGERARGGSIWVETEEYFRDLALLDIDALFGITSFSFLAARKKCFVIPYVGNEPRENLNLVADMDEKANVSSGENFHVRNENGDVHKEDGTEMVKEEDGQLMEIDRVVTQAQFPAKEEKVCSVSDSASGLEWLLGSRSRLLLTSERPSKKRKLLGEDAGLEKVLIACACDGNSSLCHFCCTGDTRKESNRLIVCSSCKVAVHQKCYGVQNDVDSSWLCSWCKHKNDGNDTVKPCVLCPKQGGALKPIQKSDENVGSVEFAHLFCSHWMPEVYIEDLTKMEPIINVGGIKDTRKKLVCSVCKVKYGACVRCSHGTCRTSFHPICAREARHRMEVWGRYGCDNIELRAFCSKHSDIHDNSSSPQLGELCAAGSDSSFTDQPSPTSIDNSQTLKIGLKNGDKIAVHVEAPDDNSDKSGDGELQEIGLPDARSNTRVASEFGDAQQLVDVGLLERSNGDDVYPSDSLNLALILKKLIDRGKVNVKDVALEIGLSPDSLSATLDEDSLAPDLRCKIVKWLRNHAYMGPSQKNLKVKIKSLISSKGEAGAIDSSDDIMVSESDITDPVAVKSVPPRRRTKSNVRILRDNKVVCSSDEIINDNGVVMDEGRVDGLANEETNDSSKTFIPDASGKNSTKRDGSLDSSKRHLPTYAGNSVDPLNDSLSERSQLERATTPDKNTAANSDQANSICPTVNPIIPDLIRTEEFSNFYIHPYIHKKLLQMHNGMLYKNRVGEFEGRKDKLKEFGGAREGDLSRLVASSNASVCCSHESENSKCNDKSCSSDDSEQLVKARKSGALKFSPEDEVEGEIIYYQHRLLGNAVGRNSWTDNLVSRVAKSLPQEVEAARGQRWDAVLVNQYLYDLREAKKQGRKERRHKEAQAVLAAATAAAAASSRISSLRKDGLEDSSHQENVLKLNASGGRAGINYQPRAKDALSRNVVSRISSEKYSDIVQSVSDFSKEHPRSCDICRRSETVLNPILVCSGCKVAVHLDCYRNVKESTGPWCCELCEELFSSRSSGAASLNFWEKPYPAAECGLCGGTTGAFRKSVDGQWVHAFCAEWVLESTFRRGQVNPVEGMETASRGVDICCICRRKHGGCIKSVVMVTVRPRFIPPVLEVPASI >EOY14666 pep chromosome:Theobroma_cacao_20110822:8:913319:916712:-1 gene:TCM_033972 transcript:EOY14666 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MAMERAPLLNTHGADSNQIEKPVRWVKEFGYESKRLWHIAGPAILTAICQYSLGALTQTFAGHVSELDLAAVSVENSVVAGLAFGVMLGMGSALETLCGQAYGAGRIRMLGVYMQRSWVILFTTACLLVPVYIWSPPILELFGETTEISNAAGKFAVWMLPQLFAYAINFPIQKFLQAQRKVMVMAWVSAIVLVLHALFSWLLILKLGWGLIGAAITLNTSWWLIVIGQLLYIFITKSDGAWCGFSWLAFADLFGFVKLSLASAVMLCLEFWYLMVLVVITGRLPNPLIPVDAISICLNINGWDAMIAIGFNAAISVRVSNELGAGNAWLAKFSVLVVSITSVGIGVVCMIVVFATKDYFPYLFTNSNAVAEETTELSLLLGFTVLLNSLQPVLSGVAVGAGWQSLVAYINIGCYYIVGLPAGILLGFTFGFGVMGIWSGMIGGIVLQTLILIVVTSLTNWNKEAEEAESRVKRWGGSIAEH >EOY15849 pep chromosome:Theobroma_cacao_20110822:8:4835327:4849165:-1 gene:TCM_034795 transcript:EOY15849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MKTTEEEERRRLEELVESNPDDPSLHFQLGAYLWETGIGKEKAAEHWVISAKQNPNNAAAFRYLGHYYATVSADVQRAIKCYQRALSLHPDDSDAGEALCDLLDRQGKETLELAICKDASHNSPRAFWAFRRLGFLQVHQKKWSEAVESLQHAIRGYPTSPDLWEALGLAYHRLGMFTAAIKSYGRAVELEDTRIFALVECGNVFLMLGSFRKGIEQFQQALKISPQNLSALYGLASGLLGLSKECINSGAFSWGASLLEDACTAAEVSIQLAGNSSCTWKLHGDIQLTYAQSYPWMEESQSLEYNVETFNESIYSWKNTCSLAAMSARNSYQRALHLAPWQANIYIDIAICSDLISSFNMDCTHDRCTWQLSEKMTFGALVLEGDNYEFWVALGCLSHCNALKQHALIRGLQLDVSLANAWAYLGKLYREENEKELARKAFDCSRGIDPSLALPWAGMSADTHTGESTPDDAFESCLRAVQILPVAEFQIGLAKLALLSGNLSSSQVFGAIQQAVQRAPHYHESHNLNGLACEARFHFQSAIASYRLARYATTTISSGTVLKSHLKDISTNLARSLCKAGSAIDAVQECEDLKRKGMLDAEGLQVYAFSLWQLGEHEAALSVTRTLAASVSTMDRTSAAVSVSFICRLLYYISGQDSAIVSILKMPKELFQSSKISFIVSAINALDQNNSLESIVSSSRYFLASHGEITGMHYLIALSKLIKHGAEHHLGFQSGVSHLRKALHMYPNSNLLRNLLGYLLLASEEWGNIHVSSRCSVVNASESKNNEGLKLAWEIFSAGTVACHAMGNSKPRFSFPTCGCQCPSGSGAMQELQKCLRLEPWNRNARYLLVLNLLQKAREERFPVNVCIILERLIIVALSDEFYSGKEACCQYQKFQLYLCASEIFLQRGDIIGCINHSKSASALLLPDSYQFFGHLLLCRGYAAEGNFKNSKEEYERCLELKTDFHAGWICLKLMESQYEVQTFSNVVELRFKECSKGRDNSWNMWMAVYSLVMGLTCIWNQDFPSAEKFLEQACSLASAESCIFLCHGVTFMELARLFHDSQFLSSAIRSLSKTHMTSLVPIPIVSALLAQAEGSLGSKKKWERNLRLEWFSWPPEMRPAELFFQMHLLARQIESDSDSSSRVECCQSPQQWVLRAIHANPSNLRYWKVLQQLVLCHQTHPNEFFVDFVIGLF >EOY15850 pep chromosome:Theobroma_cacao_20110822:8:4836462:4847479:-1 gene:TCM_034795 transcript:EOY15850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MDDFQEEEERRRLEELVESNPDDPSLHFQLGAYLWETGIGKEKAAEHWVISAKQNPNNAAAFRYLGHYYATVSADVQRAIKCYQRALSLHPDDSDAGEALCDLLDRQGKETLELAICKDASHNSPRAFWAFRRLGFLQVHQKKWSEAVESLQHAIRGYPTSPDLWEALGLAYHRLGMFTAAIKSYGRAVELEDTRIFALVECGNVFLMLGSFRKGIEQFQQALKISPQNLSALYGLASGLLGLSKECINSGAFSWGASLLEDACTAAEVSIQLAGNSSCTWKLHGDIQLTYAQSYPWMEESQSLEYNVETFNESIYSWKNTCSLAAMSARNSYQRALHLAPWQANIYIDIAICSDLISSFNMDCTHDRCTWQLSEKMTFGALVLEGDNYEFWVALGCLSHCNALKQHALIRGLQLDVSLANAWAYLGKLYREENEKELARKAFDCSRGIDPSLALPWAGMSADTHTGESTPDDAFESCLRAVQILPVAEFQIGLAKLALLSGNLSSSQVFGAIQQAVQRAPHYHESHNLNGLACEARFHFQSAIASYRLARYATTTISSGTVLKSHLKDISTNLARSLCKAGSAIDAVQECEDLKRKGMLDAEGLQVYAFSLWQLGEHEAALSVTRTLAASVSTMDRTSAAVSVSFICRLLYYISGQDSAIVSILKMPKELFQSSKISFIVSAINALDQNNSLESIVSSSRYFLASHGEITGMHYLIALSKLIKHGAEHHLGFQSGVSHLRKALHMYPNSNLLRNLLGYLLLASEEWGNIHVSSRCSVVNASESKNNEGLKLAWEIFSAGTVACHAMGNSKPRFSFPTCGCQCPSGSGAMQELQKCLRLEPWNRNARYLLVLNLLQKAREERFPVNVCIILERLIIVALSDEFYSGKEACCQYQKFQLYLCASEIFLQRGDIIGCINHSKSASALLLPDSYQFFGHLLLCRGYAAEGNFKNSKEEYERCLELKTDFHAGWICLKLMESQYEVQTFSNVVELRFKECSKGRDNSWNMWMAVYSLVMGLTCIWNQDFPSAEKFLEQACSLASAESCIFLCHGVTFMELARLFHDSQFLSSAIRSLSKTHMTSLVPIPIVSALLAQAEGSLGSKKKWERNLRLEWFSWPPGSLPAHPLFLLLFCLFRFFYFLFIYIFATKGVCVYMCVRDEELILLLPYIESSYGGEILFPLLVS >EOY17261 pep chromosome:Theobroma_cacao_20110822:8:18754025:18764082:1 gene:TCM_036407 transcript:EOY17261 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 2 MHGLSRLGTGSNRSVSPSPPSSPRFRHGRYKNFSGAGGSAGGGRGGKQSAADKIVFVLISAVFRRKGVLLFAPLVYISGMLLFMGTLGFDVVSLKNAVVVVHRRSPPGSVYRSPQVFQKLWPFMEAESNASYNALMTAWNLKMHQGWKPCASSIISRTGFSELPKSNGFLIIEANGGLNQQRLSICDAVAVAWLLNATLLIPIFHLNSVWRDSSKFGDIFDEEFFVHALKNHVNVVRELPEDVLQRFDNNISNIVNLRVKGWSSPAHYLQKVLPKLEAMGAVRIAPFSNRLAYSVSSNVQGLRCLSNFEALRFSEPIRMLAGKMVERMVKNSSHSGGKYVSVHLRFEMDMVAFSCCEYDGGEEERHEMDIARERSWRGKFRRRGRVIRPGVNRMDGKCPLTPLEVGMMLRGMGFDNNTSVFVAAGNIYKAEKYMAPLKQMFPRLETKDTLATPEELAPFKGHLSRLAALDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLYGGHAKTIKPDKRKLALLFDNPHIRS >EOY17260 pep chromosome:Theobroma_cacao_20110822:8:18753758:18765296:1 gene:TCM_036407 transcript:EOY17260 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 2 MHGLSRLGTGSNRSVSPSPPSSPRFRHGRYKNFSGAGGSAGGGRGGKQSAADKIVFVLISAVFRRKGVLLFAPLVYISGMLLFMGTLGFDVVSLKNAVVVVHRRSPPGSVYRSPQVFQKLWPFMEAESNASYNALMTAWNLKMHQGWKPCASSIISRTGFSELPKSNGFLIIEANGGLNQQRLSICDAVAVAWLLNATLLIPIFHLNSVWRDSSKFGDIFDEEFFVHALKNHVNVVRELPEDVLQRFDNNISNIVNLRVKGWSSPAHYLQKVLPKLEAMGAVRIAPFSNRLAYSVSSNVQGLRCLSNFEALRFSEPIRMLAGKMVERMVKNSSHSGGKYVSVHLRFEMDMVAFSCCEYDGGEEERHEMDIARERSWRGKFRRRGRVIRPGVNRMDGKCPLTPLEVGMMLRGMGFDNNTSVFVAAGNIYKAEKYMAPLKQMFPRLETKDTLATPEELAPFKGHLSRLAALDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLYGGHAKTIKPDKRKLALLFDNPHIRWETFKRQMRDMLHHSDVKGGEVKKASGSVYTFPMPDCMCKQTEEEEEEEEEERNENSNTTKLSY >EOY15978 pep chromosome:Theobroma_cacao_20110822:8:5281960:5285661:-1 gene:TCM_034886 transcript:EOY15978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein, putative isoform 2 MAKLNCFSLLTAKKKKDKGGDVSSKAVELNKGVRTLQIKLEQPVQPFQSDELKSTTFTVPVPVGFQEDSLCNVKVIGHESPVGCEAAEIAYEGEDEHEENASIKRDNSDLDLQAHVANSGEEEFDFRTKRLDSSSSFDTEVKEEFMYQAQKDVEKAVDMIQSGHISDPGIGRAEFWASPKLKRSCSNLETRDVLRKVADQLPPSKPQSFEELQELSARVSDDFYPGSPASVMTRCSADRVMLKKHSSSQVLPSRSRRLWWKLFLWSHRNLHKHWTTKPRPLPVTATLNQQGGYSSDTIEPHRAMKLSKMESPGSFTGESLNKDFTGNCDDNQSWNGFQTGVSGLWPQNQWVAFPAPSSSFTRVDEWVRDLETQIKQPAEDGNGEEGITFPPSPDTGKSPARSTTHLTRRQDINLSEEILHANSVIQSLNSSSTVAHISGIGLKAIPIITHFSSLRAVNLSNNFIAHITPGSLPKGLHTLDLSRNKIHTIEGLRELTRLRVVDLSYNRIARIGHGLSNCTLIKELYLAGNKISDVEGLHRLLKLTVLDLSFNKITTTKALGQLVANFNSLQALNLLGNPVQSNISDDQLRKAICSLLSKLTYLNKQPIKPQRAREVLTDSVAKAALGSGSWNSRRKAAKRASQGALTSTSVHRSSVATGQRSKNKSKSRSRHHVSLNSPAQASSSRKRFSQ >EOY15979 pep chromosome:Theobroma_cacao_20110822:8:5282454:5286242:-1 gene:TCM_034886 transcript:EOY15979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein, putative isoform 2 MAKLNCFSLLTAKKKKDKGGDVSSKAVELNKGVRTLQIKLEQPVQPFQSDELKSTTFTVPVPVGFQEDSLCNVKVIGHESPVGCEAAEIAYEGEDEHEENASIKRDNSDLDLQAHVANSGEEEFDFRTKRLDSSSSFDTEVKEEFMYQAQKDVEKAVDMIQSGHISDPGIGRAEFWASPKLKRSCSNLETRDVLRKVADQLPPSKPQSFEELQELSARVSDDFYPGSPASVMTRCSADRVMLKKHSSSQVLPSRSRRLWWKLFLWSHRNLHKHWTTKPRPLPVTATLNQQGGYSSDTIEPHRAMKLSKMESPGSFTGESLNKDFTGNCDDNQSWNGFQTGVSGLWPQNQWVAFPAPSSSFTRVDEWVRDLETQIKQPAEDGNGEEGITFPPSPDTGKSPARSTTHLTRRQDINLSEEILHANSVIQSLNSSSTVAHISGIGLKAIPIITHFSSLRAVNLSNNFIAHITPGSLPKGLHTLDLSRNKIHTIEGLRELTRLRVVDLSYNRIARIGHGLSNCTLIKELYLAGNKISDVEGLHRLLKLTVLDLSFNKITTTKALGQLVANFNSLQALNLLGNPVQSNISDDQLRKAICSLLSKLTYLNKQPIKPQRAREVLTDSVAKAALGSGSWNSRRKAAKRASQGALTSTSVHRSS >EOY15272 pep chromosome:Theobroma_cacao_20110822:8:2751748:2767391:-1 gene:TCM_034394 transcript:EOY15272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein isoform 12 MDVSRRRMGLPLLFLSSISLFQVLFIVPVVVAQTTNNELRQRHSEGYCAMYDICGNRSDGKVLNCPYGSPAVKPDELLSSKIQSLCPTITENVCCTEAQFDTLRTQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSKVKNNLTVDGIDFYITDAFGEGLYESCKDVKFGTMNMRALELIGSGAKNFKEWFAFIGKQAEPDLPGSPYAIQFQPTAPESLGMRPMNVSTYSCGDVSLGCSCGDCPSSPVCSNTAPPPHEGDKCSVRIGSLKAKCVDLALAILYIVLVSMFFGWGLFHRTRKRRSFRMKPFLNTADGGESSVNMQKAENLPMQRLDDANQNSSGVQLSIVQGYMSNFYRKYGRWVARNPTLVLSLSVGMVLLLCLGLIHFKVETRPEKLWVGPGSKAAEEKRFFDSHLAPFYRIEQLILATIPDALHDKSPSIVTEENIKLLFEIQKKIDAIRANYSGSMITLTDICMKPMGQDCATQSVMQYFKMDPSYNADDRLEHVKYCFQHYTSAESCMSAFKAPLDPSTILGGFSGTNYTEASAFIITYPVNNAIDKEGNETEKAVAWEKAFIRLAKDELLPMVQSKNLTFSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDTPRLPSFYITSKVLLGLAGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQALDLPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVSLIVFDFLRADSRRIDCFPCIKVSSTYAESEKGIGGRKPGLLARYMKEVHAPILNLWGVKIIVVSTFVAFALASIALSTRIEPGLEQKIVLPQDSYLQGYFNNVSDYLRIGPPLYFVVKNYNYSSESIDTNQLCSISQCNSDSLLNEIARASLTPELSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGTYCPPDDQPPCCSAGDSSCGLSEVCKDCTTCFRHSDLHNDRPSTAQFKEKLPWFLDALPSADCSKGGHGAYTSSVELKGYENGVIRASSFRTYHTPLNKQIDYVNSMRAAREFASRVSGSLKMEIFPYSVFYMFFEQYLDIWRTALINLAIAIGAVFIVCLVITCSLWSSAIILLVLAMIVVDLMGVMAILGIQLNAVSVVNLVMAVGIAVEFCVHITHVFSVSSGNKDERVKEALGTMGASVFSGITLTKLVGVLVLCFSRTEVFVVSVRTLTCQLWKH >EOY15275 pep chromosome:Theobroma_cacao_20110822:8:2751748:2767391:-1 gene:TCM_034394 transcript:EOY15275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein isoform 12 MDVSRRRMGLPLLFLSSISLFQVLFIVPVVVAQTTNNELRQRHSEGYCAMYDICGNRSDGKVLNCPYGSPAVKPDELLSSKIQSLCPTITENVCCTEAQFDTLRTQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSKVKNNLTVDGIDFYITDAFGEGLYESCKDVKFGTMNMRALELIGSGAKNFKEWFAFIGKQAEPDLPGSPYAIQFQPTAPESLGMRPMNVSTYSCGDVSLGCSCGDCPSSPVCSNTAPPPHEGDKCSVRIGSLKAKCVDLALAILYIVLVSMFFGWGLFHRTRKRRSFRMKPFLNTADGGESSVNMQKAENLPMQRLDDANQNSSGVQLSIVQGYMSNFYRKYGRWVARNPTLVLSLSVGMVLLLCLGLIHFKVETRPEKLWVGPGSKAAEEKRFFDSHLAPFYRIEQLILATIPDALHDKSPSIVTEENIKLLFEIQKKIDAIRANYSGSMITLTDICMKPMGQDCATQSVMQYFKMDPSYNADDRLEHVKYCFQHYTSAESCMSAFKAPLDPSTILGGFSGTNYTEASAFIITYPVNNAIDKEGNETEKAVAWEKAFIRLAKDELLPMVQSKNLTFSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDTPRLPSFYITSKVLLGLAGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQALDLPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVSLIVFDFLRADSRRIDCFPCIKVSSTYAESEKGIGGRKPGLLARYMKEVHAPILNLWGVKIIVVSTFVAFALASIALSTRIEPGLEQKIVLPQDSYLQGYFNNVSDYLRIGPPLYFVVKNYNYSSESIDTNQLCSISQCNSDSLLNEIARASLTPELSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGTYCPPDDQPPCCSAGDSSCGLSEVCKDCTTCFRHSDLHNDRPSTAQFKEKLPWFLDALPSADCSKGGHGAYTSSVELKGYENGVIRASSFRTYHTPLNKQIDYVNSMRAAREFASRVSGSLKCDGNSRNPTECSLCC >EOY15264 pep chromosome:Theobroma_cacao_20110822:8:2751205:2767232:-1 gene:TCM_034394 transcript:EOY15264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein isoform 12 MDVSRRRMGLPLLFLSSISLFQVLFIVPVVVAQTTNNELRQRHSEGYCAMYDICGNRSDGKVLNCPYGSPAVKPDELLSSKIQSLCPTITENVCCTEAQFDTLRTQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSKVKNNLTVDGIDFYITDAFGEGLYESCKDVKFGTMNMRALELIGSGAKNFKEWFAFIGKQAEPDLPGSPYAIQFQPTAPESLGMRPMNVSTYSCGDVSLGCSCGDCPSSPVCSNTAPPPHEGDKCSVRIGSLKAKCVDLALAILYIVLVSMFFGWGLFHRTRKRRSFRMKPFLNTADGGESSVNMQKAENLPMQRLDDANQNSSGVQLSIVQGYMSNFYRKYGRWVARNPTLVLSLSVGMVLLLCLGLIHFKVETRPEKLWVGPGSKAAEEKRFFDSHLAPFYRIEQLILATIPDALHDKSPSIVTEENIKLLFEIQKKIDAIRANYSGSMITLTDICMKPMGQDCATQSVMQYFKMDPSYNADDRLEHVKYCFQHYTSAESCMSAFKAPLDPSTILGGFSGTNYTEASAFIITYPVNNAIDKEGNETEKAVAWEKAFIRLAKDELLPMVQSKNLTFSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDTPRLPSFYITSKVLLGLAGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQALDLPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVSLIVFDFLRADSRRIDCFPCIKVSSTYAESEKGIGGRKPGLLARYMKEVHAPILNLWGVKIIVVSTFVAFALASIALSTRIEPGLEQKIVLPQDSYLQGYFNNVSDYLRIGPPLYFVVKNYNYSSESIDTNQLCSISQCNSDSLLNEIARASLTPELSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGTYCPPDDQPPCCSAGDSSCGLSEVCKDCTTCFRHSDLHNDRPSTAQFKEKLPWFLDALPSADCSKGGHGAYTSSVELKGYENGVIRASSFRTYHTPLNKQIDYVNSMRAAREFASRVSGSLKMEIFPYSVFYMFFEQYLDIWRTALINLAIAIGAVFIVCLVITCSLWSSAIILLVLAMIVVDLMGVMAILGIQLNAVSVVNLVMAVGIAVEFCVHITHVFSVSSGNKDERVKEALGTMGASVFSGITLTKLVGVLVLCFSRTEVFVVYYFQMYLALVLLGFLHGLVFLPVVLSMFGPPSRCVQADKQDERPSVSSQP >EOY15276 pep chromosome:Theobroma_cacao_20110822:8:2751205:2767364:-1 gene:TCM_034394 transcript:EOY15276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein isoform 12 MDVSRRRMGLPLLFLSSISLFQVLFIVPVVVAQTTNNELRQRHSEGYCAMYDICGNRSDGKVLNCPYGSPAVKPDELLSSKIQSLCPTITENVCCTEAQFDTLRTQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSKVKNNLTVDGIDFYITDAFGEGLYESCKDVKFGTMNMRALELIGSGAKNFKEWFAFIGKQAEPDLPGSPYAIQFQPTAPESLGMRPMNVSTYSCGDVSLGCSCGDCPSSPVCSNTAPPPHEGDKCSVRIGSLKAKCVDLALAILYIVLVSMFFGWGLFHRTRKRRSFRMKPFLNTADGGESSVNMQKAENLPMQRLDDANQNSSGVQLSIVQGYMSNFYRKYGRWVARNPTLVLSLSVGMVLLLCLGLIHFKVETRPEKLWVGPGSKAAEEKRFFDSHLAPFYRIEQLILATIPDALHDKSPSIVTEENIKLLFEIQKKIDAIRANYSGSMITLTDICMKPMGQDCATQSVMQYFKMDPSYNADDRLEHVKYCFQHYTSAESCMSAFKAPLDPSTILGGFSGTNYTEASAFIITYPVNNAIDKEGNETEKAVAWEKAFIRLAKDELLPMVQSKNLTFSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDTPRLPSFYITSKVLLGLAGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQALDLPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVSLIVFDFLRADSRRIDCFPCIKVSSTYAESEKGIGGRKPGLLARYMKEVHAPILNLWGVKIIVVSTFVAFALASIALSTRIEPGLEQKIVLPQDSYLQGYFNNVSDYLRIGPPLYFVVKNYNYSSESIDTNQLCSISQCNSDSLLNEIARASLTPELSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGTYCPPDDQPPCCSAGDSSCGLSEVCKDCTTCFRHSDLHNDRPSTAQFKEKLPWFLDALPSADCSKGGHGAYTSSVELKGYENGVIRASSFRTYHTPLNKQIDYVNSMRAAREFASRVSGSLKCDGNSRNPTECSLCC >EOY15266 pep chromosome:Theobroma_cacao_20110822:8:2754249:2767033:-1 gene:TCM_034394 transcript:EOY15266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein isoform 12 MDVSRRRMGLPLLFLSSISLFQVLFIVPVVVAQTTNNELRQRHSEGYCAMYDICGNRSDGKVLNCPYGSPAVKPDELLSSKIQSLCPTITENVCCTEAQFDTLRTQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSKVKNNLTVDGIDFYITDAFGEGLYESCKDVKFGTMNMRALELIGSGAKNFKEWFAFIGKQAEPDLPGSPYAIQFQPTAPESLGMRPMNVSTYSCGDVSLGCSCGDCPSSPVCSNTAPPPHEGDKCSVRIGSLKAKCVDLALAILYIVLVSMFFGWGLFHRTRKRRSFRMKPFLNTADGGESSVNMQKAENLPMQRLDDANQNSSGVQLSIVQGYMSNFYRKYGRWVARNPTLVLSLSVGMVLLLCLGLIHFKVETRPEKLWVGPGSKAAEEKRFFDSHLAPFYRIEQLILATIPDALHDKSPSIVTEENIKLLFEIQKKIDAIRANYSGSMITLTDICMKPMGQDCATQSVMQYFKMDPSYNADDRLEHVKYCFQHYTSAESCMSAFKAPLDPSTILGGFSGTNYTEASAFIITYPVNNAIDKEGNETEKAVAWEKAFIRLAKDELLPMVQSKNLTFSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDTPRLPSFYITSKVLLGLAGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQALDLPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVSLIVFDFLRADSRRIDCFPCIKVSSTYAESEKGIGGRKPGLLARYMKEVHAPILNLWGVKIIVVSTFVAFALASIALSTRIEPGLEQKIVLPQDSYLQGYFNNVSDYLRIGPPLYFVVKNYNYSSESIDTNQLCSISQCNSDSLLNEIARASLTPELSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGTYCPPDDQQPPCCSAGDSSCGLSEVCKDCTTCFRHSDLHNDRPSTAQFKEKLPWFLDALPSADCSKGGHGAYTSSVELKGYENGVIRASSFRTYHTPLNKQRLIMLTQ >EOY15273 pep chromosome:Theobroma_cacao_20110822:8:2751748:2767391:-1 gene:TCM_034394 transcript:EOY15273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein isoform 12 MDVSRRRMGLPLLFLSSISLFQVLFIVPVVVAQTTNNELRQRHSEGYCAMYDICGNRSDGKVLNCPYGSPAVKPDELLSSKIQSLCPTITENVCCTEAQFDTLRTQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSKVKNNLTVDGIDFYITDAFGEGLYESCKDVKFGTMNMRALELIGSGAKNFKEWFAFIGKQAEPDLPGSPYAIQFQPTAPESLGMRPMNVSTYSCGDVSLGCSCGDCPSSPVCSNTAPPPHEGDKCSVRIGSLKAKCVDLALAILYIVLVSMFFGWGLFHRTRKRRSFRMKPFLNTADGGESSVNMQKAENLPMQRLDDANQNSSGVQLSIVQGYMSNFYRKYGRWVARNPTLVLSLSVGMVLLLCLGLIHFKVETRPEKLWVGPGSKAAEEKRFFDSHLAPFYRIEQLILATIPDALHDKSPSIVTEENIKLLFEIQKKIDAIRANYSGSMITLTDICMKPMGQDCATQSVMQYFKMDPSYNADDRLEHVKYCFQHYTSAESCMSAFKAPLDPSTILGGFSGTNYTEASAFIITYPVNNAIDKEGNETEKAVAWEKAFIRLAKDELLPMVQSKNLTFSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDTPRLPSFYITSKVLLGLAGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQALDLPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVSLIVFDFLRADSRRIDCFPCIKVSSTYAESEKGIGGRKPGLLARYMKEVHAPILNLWGVKIIVVSTFVAFALASIALSTRIEPGLEQKIVLPQDSYLQGYFNNVSDYLRIGPPLYFVVKNYNYSSESIDTNQLCSISQCNSDSLLNEIARASLTPELSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGTYCPPDDQPPCCSAGDSSCGLSEVCKDCTTCFRHSDLHNDRPSTAQFKEKLPWFLDALPSADCSKGGHGAYTSSVELKGYENGVIRASSFRTYHTPLNKQIDYVNSMRAAREFASRVSGSLKMEIFPYSVFYMFFEQYLDIWRTALINLAIAIGAVFIVCLVITCSLWSSAIILLVLAMIVVDLMGVMAILGIQLNAVSVVNLVMAVGIAVEFCVHITHVFSVSVTQLFL >EOY15269 pep chromosome:Theobroma_cacao_20110822:8:2751681:2767364:-1 gene:TCM_034394 transcript:EOY15269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein isoform 12 MDVSRRRMGLPLLFLSSISLFQVLFIVPVVVAQTTNNELRQRHSEGYCAMYDICGNRSDGKVLNCPYGSPAVKPDELLSSKIQSLCPTITENVCCTEAQFDTLRTQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSKVKNNLTVDGIDFYITDAFGEGLYESCKDVKFGTMNMRALELIGSGAKNFKEWFAFIGKQAEPDLPGSPYAIQFQPTAPESLGMRPMNVSTYSCGDVSLGCSCGDCPSSPVCSNTAPPPHEGDKCSVRIGSLKAKCVDLALAILYIVLVSMFFGWGLFHRTRKRRSFRMKPFLNTADGGESSVNMQKAENLPMQRLDDANQNSSGVQLSIVQGYMSNFYRKYGRWVARNPTLVLSLSVGMVLLLCLGLIHFKVETRPEKLWVGPGSKAAEEKRFFDSHLAPFYRIEQLILATIPDALHDKSPSIVTEENIKLLFEIQKKIDAIRANYSGSMITLTDICMKPMGQDCATQSVMQYFKMDPSYNADDRLEHVKYCFQHYTSAESCMSAFKAPLDPSTILGGFSGTNYTEASAFIITYPVNNAIDKEGNETEKAVAWEKAFIRLAKDELLPMVQSKNLTFSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDTPRLPSFYITSKVLLGLAGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQALDLPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVSLIVFDFLRADSRRIDCFPCIKVSSTYAESEKGIGGRKPGLLARYMKEVHAPILNLWGVKIIVVSTFVAFALASIALSTRIEPGLEQKIVLPQDSYLQGYFNNVSDYLRIGPPLYFVVKNYNYSSESIDTNQLCSISQCNSDSLLNEIARASLTPELSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGTYCPPDDQPPCCSAGDSSCGLSEVCKDCTTCFRHSDLHNDRPSTAQFKEKLPWFLDALPSADCSKGGHGAYTSSVELKGYENGVIRASSFRTYHTPLNKQIDYVNSMRAAREFASRVSGSLKMEIFPYSVFYMFFEQYLDIWRTALINLAIAIGAVFIVCLVITCSLWSSAIILLVLAMIVVDLMGVMAILGIQLNAVSVVNLVMAVGIAVEFCVHITHVFSVSSGNKDERVKEALGTMGASVFSGITLTKLVGVLVLCFSRTEVFVVYYFQMYLALVLLGFLHGLVFLPVSQNSDSLTIPFSFFTEDNEIMKQAKDQ >EOY15267 pep chromosome:Theobroma_cacao_20110822:8:2750937:2767408:-1 gene:TCM_034394 transcript:EOY15267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein isoform 12 MDVSRRRMGLPLLFLSSISLFQVLFIVPVVVAQTTNNELRQRHSEGYCAMYDICGNRSDGKVLNCPYGSPAVKPDELLSSKIQSLCPTITENVCCTEAQFDTLRTQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSKVKNNLTVDGIDFYITDAFGEGLYESCKDVKFGTMNMRALELIGSGAKNFKEWFAFIGKQAEPDLPGSPYAIQFQPTAPESLGMRPMNVSTYSCGDVSLGCSCGDCPSSPVCSNTAPPPHEGDKCSVRIGSLKAKCVDLALAILYIVLVSMFFGWGLFHRTRKRRSFRMKPFLNTADGGESSVNMQKAENLPMQRLDDANQNSSGVQLSIVQGYMSNFYRKYGRWVARNPTLVLSLSVGMVLLLCLGLIHFKVETRPEKLWVGPGSKAAEEKRFFDSHLAPFYRIEQLILATIPDALHDKSPSIVTEENIKLLFEIQKKIDAIRANYSGSMITLTDICMKPMGQDCATQSVMQYFKMDPSYNADDRLEHVKYCFQHYTSAESCMSAFKAPLDPSTILGGFSGTNYTEASAFIITYPVNNAIDKEGNETEKAVAWEKAFIRLAKDELLPMVQSKNLTFSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDTPRLPSFYITSKVLLGLAGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQALDLPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVSLIVFDFLRADSRRIDCFPCIKVSSTYAESEKGIGGRKPGLLARYMKEVHAPILNLWGVKIIVVSTFVAFALASIALSTRIEPGLEQKIVLPQDSYLQGYFNNVSDYLRIGPPLYFVVKNYNYSSESIDTNQLCSISQCNSDSLLNEIARASLTPELSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGTYCPPDDQPPCCSAGDSSCGLSEVCKDCTTCFRHSDLHNDRPSTAQFKEKLPWFLDALPSADCSKGGHGAYTSSVELKGYENGVIRASSFRTYHTPLNKQIDYVNSMRAAREFASRVSGSLKMEIFPYSVFYMFFEQYLDIWRTALINLAIAIGAVFIVCLVITCSLWSSAIILLVLAMIVVDLMGVMAILGIQLNAVSVVNLVMAVGIAVEFCVHITHVFSVSSGNKDERVKEALGTMGASVFSGITLTKLVGVLVLCFSRTEVFVVYYFQMYLALVLLGFLHGLVFLPRETACTTRANHPPK >EOY15265 pep chromosome:Theobroma_cacao_20110822:8:2751748:2767364:-1 gene:TCM_034394 transcript:EOY15265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein isoform 12 MDVSRRRMGLPLLFLSSISLFQVLFIVPVVVAQTTNNELRQRHSEGYCAMYDICGNRSDGKVLNCPYGSPAVKPDELLSSKIQSLCPTITENVCCTEAQFDTLRTQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSKVKNNLTVDGIDFYITDAFGEGLYESCKDVKFGTMNMRALELIGSGAKNFKEWFAFIGKQAEPDLPGSPYAIQFQPTAPESLGMRPMNVSTYSCGDVSLGCSCGDCPSSPVCSNTAPPPHEGDKCSVRIGSLKAKCVDLALAILYIVLVSMFFGWGLFHRTRKRRSFRMKPFLNTADGGESSVNMQKAENLPMQRLDDANQNSSGVQLSIVQGYMSNFYRKYGRWVARNPTLVLSLSVGMVLLLCLGLIHFKVETRPEKLWVGPGSKAAEEKRFFDSHLAPFYRIEQLILATIPDALHDKSPSIVTEENIKLLFEIQKKIDAIRANYSGSMITLTDICMKPMGQDCATQSVMQYFKMDPSYNADDRLEHVKYCFQHYTSAESCMSAFKAPLDPSTILGGFSGTNYTEASAFIITYPVNNAIDKEGNETEKAVAWEKAFIRLAKDELLPMVQSKNLTFSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDTPRLPSFYITSKVLLGLAGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQALDLPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVSLIVFDFLRADSRRIDCFPCIKVSSTYAESEKGIGGRKPGLLARYMKEVHAPILNLWGVKIIVVSTFVAFALASIALSTRIEPGLEQKIVLPQDSYLQGYFNNVSDYLRIGPPLYFVVKNYNYSSESIDTNQLCSISQCNSDSLLNEIARASLTPELSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGTYCPPDDQPPCCSAGDSSCGLSEVCKDCTTCFRHSDLHNDRPSTAQFKEKLPWFLDALPSADCSKGGHGAYTSSVELKGYENGVIRASSFRTYHTPLNKQIDYVNSMRAAREFASRVSGSLKMEIFPYSVFYMFFEQYLDIWRTALINLAIAIGAVFIVCLVITCSLWSSAIILLVLAMIVVDLMGVMAILGIQLNAVSVVNLVMAVGIAVEFCVHITHVFSVSSGNKDERVKEALGTMGASVFSGITLTKLVGVLVLCFSRTEVFVVYYFQMYLALVLLGFLHGLVFLPVSQNSDSLTIPFSFFTEDNEIMKQAKDQ >EOY15280 pep chromosome:Theobroma_cacao_20110822:8:2756975:2767364:-1 gene:TCM_034394 transcript:EOY15280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein isoform 12 MDVSRRRMGLPLLFLSSISLFQVLFIVPVVVAQTTNNELRQRHSEGYCAMYDICGNRSDGKVLNCPYGSPAVKPDELLSSKIQSLCPTITENVCCTEAQFDTLRTQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSKVKNNLTVDGIDFYITDAFGEGLYESCKDVKFGTMNMRALELIGSGAKNFKEWFAFIGKQAEPDLPGSPYAIQFQPTAPESLGMRPMNVSTYSCGDVSLGCSCGDCPSSPVCSNTAPPPHEGDKCSVRIGSLKAKCVDLALAILYIVLVSMFFGWGLFHRTRKRRSFRMKPFLNTADGGESSVNMQKAENLPMQRLDDANQNSSGVQLSIVQGYMSNFYRKYGRWVARNPTLVLSLSVGMVLLLCLGLIHFKVETRPEKLWVGPGSKAAEEKRFFDSHLAPFYRIEQLILATIPDALHDKSPSIVTEENIKLLFEIQKKIDAIRANYSGSMITLTDICMKPMGQDCATQSVMQYFKMDPSYNADDRLEHVKYCFQHYTSAESCMSAFKAPLDPSTILGGFSGTNYTEASAFIITYPVNNAIDKEGNETEKAVAWEKAFIRLAKDELLPMVQSKNLTFSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDTPRLPSFYITSKVLLGLAGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQALDLPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVSLIVFDFLRADSRRIDCFPCIKVSSTYAESEKGIGGRKPGLLARYMKEVHAPILNLWGVKIIVVSTFVAFALASIALSTRIEPGLEQKIVLPQDSYLQVQFFVSLFLNGELNCLISSFISVSLLHSFPAGLFQ >EOY15271 pep chromosome:Theobroma_cacao_20110822:8:2753810:2767033:-1 gene:TCM_034394 transcript:EOY15271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein isoform 12 MDVSRRRMGLPLLFLSSISLFQVLFIVPVVVAQTTNNELRQRHSEGYCAMYDICGNRSDGKVLNCPYGSPAVKPDELLSSKIQSLCPTITENVCCTEAQFDTLRTQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSKVKNNLTVDGIDFYITDAFGEGLYESCKDVKFGTMNMRALELIGSGAKNFKEWFAFIGKQAEPDLPGSPYAIQFQPTAPESLGMRPMNVSTYSCGDVSLGCSCGDCPSSPVCSNTAPPPHEGDKCSVRIGSLKAKCVDLALAILYIVLVSMFFGWGLFHRTRKRRSFRMKPFLNTADGGESSVNMQKAENLPMQRLDDANQNSSGVQLSIVQGYMSNFYRKYGRWVARNPTLVLSLSVGMVLLLCLGLIHFKVETRPEKLWVGPGSKAAEEKRFFDSHLAPFYRIEQLILATIPDALHDKSPSIVTEENIKLLFEIQKKIDAIRANYSGSMITLTDICMKPMGQDCATQSVMQYFKMDPSYNADDRLEHVKYCFQHYTSAESCMSAFKAPLDPSTILGGFSGTNYTEASAFIITYPVNNAIDKEGNETEKAVAWEKAFIRLAKDELLPMVQSKNLTFSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDTPRLPSFYITSKVLLGLAGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQALDLPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVSLIVFDFLRADSRRIDCFPCIKVSSTYAESEKGIGGRKPGLLARYMKEVHAPILNLWGVKIIVVSTFVAFALASIALSTRIEPGLEQKIVLPQDSYLQGYFNNVSDYLRIGPPLYFVVKNYNYSSESIDTNQLCSISQCNSDSLLNEIARASLTPELSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGTYCPPDDQPPCCSAGDSSCGLSEVCKDCTTCFRHSDLHNDRPSTAQFKEKLPWFLDALPSADCSKGGHGAYTSSVELKGYENGVIRASSFRTYHTPLNKQIDYVNSMRAAREFASRVSGSLKMEIFPYSVFYMFFEQYLDIWRTALINLAIAIG >EOY15279 pep chromosome:Theobroma_cacao_20110822:8:2752542:2767364:-1 gene:TCM_034394 transcript:EOY15279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein isoform 12 MDVSRRRMGLPLLFLSSISLFQVLFIVPVVVAQTTNNELRQRHSEGYCAMYDICGNRSDGKVLNCPYGSPAVKPDELLSSKIQSLCPTITENVCCTEAQFDTLRTQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSKVKNNLTVDGIDFYITDAFGEGLYESCKDVKFGTMNMRALELIGSGAKNFKEWFAFIGKQAEPDLPGSPYAIQFQPTAPESLGMRPMNVSTYSCGDVSLGCSCGDCPSSPVCSNTAPPPHEGDKCSVRIGSLKAKCVDLALAILYIVLVSMFFGWGLFHRTRKRRSFRMKPFLNTADGGESSVNMQKAENLPMQRLDDANQNSSGVQLSIVQGYMSNFYRKYGRWVARNPTLVLSLSVGMVLLLCLGLIHFKVETRPEKLWVGPGSKAAEEKRFFDSHLAPFYRIEQLILATIPDALHDKSPSIVTEENIKLLFEIQKKIDAIRANYSGSMITLTDICMKPMGQDCATQSVMQYFKMDPSYNADDRLEHVKYCFQHYTSAESCMSAFKAPLDPSTILGGFSGTNYTEASAFIITYPVNNAIDKEGNETEKAVAWEKAFIRLAKDELLPMVQSKNLTFSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDTPRLPSFYITSKVLLGLAGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQALDLPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVSLIVFDFLRADSRRIDCFPCIKVSSTYAESEKGIGGRKPGLLARYMKEVHAPILNLWGVKIIVVSTFVAFALASIALSTRIEPGLEQKIVLPQDSYLQGYFNNVSDYLRIGPPLYFVVKNYNYSSESIDTNQLCSISQCNSDSLLNEIARASLTPELSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGTYCPPDDQPPCCSAGDSSCGLSEVCKDCTTCFRHSDLHNDRPSTAQFKEKLPWFLDALPSADCSKGGHGAYTSSVELKGYENGVIRASSFRTYHTPLNKQIDYVNSMRAAREFASRVSGSLKMEIFPYSVFYMFFEQYLDIWRTALINLAIAIGAVFIVCLVITCSLWSSAIILLVLAMIVVDLMVFYSRTVTTKLLSFELISRWCSISVCYSLLQN >EOY15268 pep chromosome:Theobroma_cacao_20110822:8:2751615:2767391:-1 gene:TCM_034394 transcript:EOY15268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein isoform 12 MDVSRRRMGLPLLFLSSISLFQVLFIVPVVVAQTTNNELRQRHSEGYCAMYDICGNRSDGKVLNCPYGSPAVKPDELLSSKIQSLCPTITENVCCTEAQFDTLRTQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSKVKNNLTVDGIDFYITDAFGEGLYESCKDVKFGTMNMRALELIGSGAKNFKEWFAFIGKQAEPDLPGSPYAIQFQPTAPESLGMRPMNVSTYSCGDVSLGCSCGDCPSSPVCSNTAPPPHEGDKCSVRIGSLKAKCVDLALAILYIVLVSMFFGWGLFHRTRKRRSFRMKPFLNTADGGESSVNMQKAENLPMQRLDDANQNSSGVQLSIVQGYMSNFYRKYGRWVARNPTLVLSLSVGMVLLLCLGLIHFKVETRPEKLWVGPGSKAAEEKRFFDSHLAPFYRIEQLILATIPDALHDKSPSIVTEENIKLLFEIQKKIDAIRANYSGSMITLTDICMKPMGQDCATQSVMQYFKMDPSYNADDRLEHVKYCFQHYTSAESCMSAFKAPLDPSTILGGFSGTNYTEASAFIITYPVNNAIDKEGNETEKAVAWEKAFIRLAKDELLPMVQSKNLTFSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDTPRLPSFYITSKVLLGLAGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQALDLPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVSLIVFDFLRADSRRIDCFPCIKVSSTYAESEKGIGGRKPGLLARYMKEVHAPILNLWGVKIIVVSTFVAFALASIALSTRIEPGLEQKIVLPQDSYLQGYFNNVSDYLRIGPPLYFVVKNYNYSSESIDTNQLCSISQCNSDSLLNEIARASLTPELSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGTYCPPDDQPPCCSAGDSSCGLSEVCKDCTTCFRHSDLHNDRPSTAQFKEKLPWFLDALPSADCSKGGHGAYTSSVELKGYENGVIRASSFRTYHTPLNKQIDYVNSMRAAREFASRVSGSLKMEIFPYSVFYMFFEQYLDIWRTALINLAIAIGAVFIVCLVITCSLWSSAIILLVLAMIVVDLMGVMAILGIQLNAVSVVNLVMAVGIAVEFCVHITHVFSVSSGNKDERVKEALGTMGASVFSGITLTKLVGVLVLCFSRTEVFVVYYFQMYLALVLLGFLHGLVFLPVSQNSDSLTIPFSFFTEDNEIMKQAKDQ >EOY15270 pep chromosome:Theobroma_cacao_20110822:8:2753213:2767033:-1 gene:TCM_034394 transcript:EOY15270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein isoform 12 MDVSRRRMGLPLLFLSSISLFQVLFIVPVVVAQTTNNELRQRHSEGYCAMYDICGNRSDGKVLNCPYGSPAVKPDELLSSKIQSLCPTITENVCCTEAQFDTLRTQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSKVKNNLTVDGIDFYITDAFGEGLYESCKDVKFGTMNMRALELIGSGAKNFKEWFAFIGKQAEPDLPGSPYAIQFQPTAPESLGMRPMNVSTYSCGDVSLGCSCGDCPSSPVCSNTAPPPHEGDKCSVRIGSLKAKCVDLALAILYIVLVSMFFGWGLFHRTRKRRSFRMKPFLNTADGGESSVNMQKAENLPMQRLDDANQNSSGVQLSIVQGYMSNFYRKYGRWVARNPTLVLSLSVGMVLLLCLGLIHFKVETRPEKLWVGPGSKAAEEKRFFDSHLAPFYRIEQLILATIPDALHDKSPSIVTEENIKLLFEIQKKIDAIRANYSGSMITLTDICMKPMGQDCATQSVMQYFKMDPSYNADDRLEHVKYCFQHYTSAESCMSAFKAPLDPSTILGGFSGTNYTEASAFIITYPVNNAIDKEGNETEKAVAWEKAFIRLAKDELLPMVQSKNLTFSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDTPRLPSFYITSKVLLGLAGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQALDLPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVSLIVFDFLRADSRRIDCFPCIKVSSTYAESEKGIGGRKPGLLARYMKEVHAPILNLWGVKIIVVSTFVAFALASIALSTRIEPGLEQKIVLPQDSYLQGYFNNVSDYLRIGPPLYFVVKNYNYSSESIDTNQLCSISQCNSDSLLNEIARASLTPELSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGTYCPPDDQPPCCSAGDSSCGLSEVCKDCTTCFRHSDLHNDRPSTAQFKEKLPWFLDALPSADCSKGGHGAYTSSVELKGYENGVIRASSFRTYHTPLNKQIDYVNSMRAAREFASRVSGSLKMEIFPYSVFYMFFEQYLDIWRTALINLAIAIGAVFIVCLVITCRLAF >EOY15274 pep chromosome:Theobroma_cacao_20110822:8:2752344:2767391:-1 gene:TCM_034394 transcript:EOY15274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein isoform 12 MDVSRRRMGLPLLFLSSISLFQVLFIVPVVVAQTTNNELRQRHSEGYCAMYDICGNRSDGKVLNCPYGSPAVKPDELLSSKIQSLCPTITENVCCTEAQFDTLRTQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSKVKNNLTVDGIDFYITDAFGEGLYESCKDVKFGTMNMRALELIGSGAKNFKEWFAFIGKQAEPDLPGSPYAIQFQPTAPESLGMRPMNVSTYSCGDVSLGCSCGDCPSSPVCSNTAPPPHEGDKCSVRIGSLKAKCVDLALAILYIVLVSMFFGWGLFHRTRKRRSFRMKPFLNTADGGESSVNMQKAENLPMQRLDDANQNSSGVQLSIVQGYMSNFYRKYGRWVARNPTLVLSLSVGMVLLLCLGLIHFKVETRPEKLWVGPGSKAAEEKRFFDSHLAPFYRIEQLILATIPDALHDKSPSIVTEENIKLLFEIQKKIDAIRANYSGSMITLTDICMKPMGQDCATQSVMQYFKMDPSYNADDRLEHVKYCFQHYTSAESCMSAFKAPLDPSTILGGFSGTNYTEASAFIITYPVNNAIDKEGNETEKAVAWEKAFIRLAKDELLPMVQSKNLTFSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDTPRLPSFYITSKVLLGLAGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQALDLPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVSLIVFDFLRADSRRIDCFPCIKVSSTYAESEKGIGGRKPGLLARYMKEVHAPILNLWGVKIIVVSTFVAFALASIALSTRIEPGLEQKIVLPQDSYLQGYFNNVSDYLRIGPPLYFVVKNYNYSSESIDTNQLCSISQCNSDSLLNEIARASLTPELSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGTYCPPDDQPPCCSAGDSSCGLSEVCKDCTTCFRHSDLHNDRPSTAQFKEKLPWFLDALPSADCSKGGHGAYTSSVELKGYENGVIRASSFRTYHTPLNKQIDYVNSMRAAREFASRVSGSLKMEIFPYSVFYMFFEQYLDIWRTALINLAIAIGAVFIVCLVITCSLWSSAIILLVLAMIVVDLMGVMAILGIQLNAVSVVNLVMAVGIAVEFCVHITHVFSVSSGNKDERVKEALGTMGASVFRFFCFSYEPHPTPFPLCRGDK >EOY15278 pep chromosome:Theobroma_cacao_20110822:8:2753213:2767033:-1 gene:TCM_034394 transcript:EOY15278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein isoform 12 MDVSRRRMGLPLLFLSSISLFQVLFIVPVVVAQTTNNELRQRHSEGYCAMYDICGNRSDGKVLNCPYGSPAVKPDELLSSKIQSLCPTITENVCCTEAQFDTLRTQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSKVKNNLTVDGIDFYITDAFGEGLYESCKDVKFGTMNMRALELIGSGAKNFKEWFAFIGKQAEPDLPGSPYAIQFQPTAPESLGMRPMNVSTYSCGDVSLGCSCGDCPSSPVCSNTAPPPHEGDKCSVRIGSLKAKCVDLALAILYIVLVSMFFGWGLFHRTRKRRSFRMKPFLNTADGGESSVNMQKAENLPMQRLDDANQNSSGVQLSIVQGYMSNFYRKYGRWVARNPTLVLSLSVGMVLLLCLGLIHFKVETRPEKLWVGPGSKAAEEKRFFDSHLAPFYRIEQLILATIPDALHDKSPSIVTEENIKLLFEIQKKIDAIRANYSGSMITLTDICMKPMGQDCATQSVMQYFKMDPSYNADDRLEHVKYCFQHYTSAESCMSAFKAPLDPSTILGGFSGTNYTEASAFIITYPVNNAIDKEGNETEKAVAWEKAFIRLAKDELLPMVQSKNLTFSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDTPRLPSFYITSKVLLGLAGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQALDLPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVSLIVFDFLRADSRRIDCFPCIKVSSTYAESEKGIGGRKPGLLARYMKEVHAPILNLWGVKIIVVSTFVAFALASIALSTRIEPGLEQKIVLPQDSYLQGYFNNVSDYLRIGPPLYFVVKNYNYSSESIDTNQLCSISQCNSDSLLNEIARASLTPELSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGTYCPPDDQPPCCSAGDSSCGLSEVCKDCTTCFRHSDLHNDRPSTAQFKEKLPWFLDALPSADCSKGGHGAYTSSVELKGYENGVIRASSFRTYHTPLNKQIDYVNSMRAAREFASRVSGSLKMEIFPYSVFYMFFEQYLDIWRTALINLAIAIGAVFIVCLVITCRLAF >EOY15277 pep chromosome:Theobroma_cacao_20110822:8:2751748:2767391:-1 gene:TCM_034394 transcript:EOY15277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein isoform 12 MDVSRRRMGLPLLFLSSISLFQVLFIVPVVVAQTTNNELRQRHSEGYCAMYDICGNRSDGKVLNCPYGSPAVKPDELLSSKIQSLCPTITENVCCTEAQFDTLRTQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSKVKNNLTVDGIDFYITDAFGEGLYESCKDVKFGTMNMRALELIGSGAKNFKEWFAFIGKQAEPDLPGSPYAIQFQPTAPESLGMRPMNVSTYSCGDVSLGCSCGDCPSSPVCSNTAPPPHEGDKCSVRIGSLKAKCVDLALAILYIVLVSMFFGWGLFHRTRKRRSFRMKPFLNTADGGESSVNMQKAENLPMQRLDDANQNSSGVQLSIVQGYMSNFYRKYGRWVARNPTLVLSLSVGMVLLLCLGLIHFKVETRPEKLWVGPGSKAAEEKRFFDSHLAPFYRIEQLILATIPDALHDKSPSIVTEENIKLLFEIQKKIDAIRANYSGSMITLTDICMKPMGQDCATQSVMQYFKMDPSYNADDRLEHVKYCFQHYTSAESCMSAFKAPLDPSTILGGFSGTNYTEASAFIITYPVNNAIDKEGNETEKAVAWEKAFIRLAKDELLPMVQSKNLTFSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDTPRLPSFYITSKVLLGLAGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQALDLPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVSLIVFDFLRADSRRIDCFPCIKVSSTYAESEKGIGGRKPGLLARYMKEVHAPILNLWGVKIIVVSTFVAFALASIALSTRIEPGLEQKIVLPQDSYLQGYFNNVSDYLRIGPPLYFVVKNYNYSSESIDTNQLCSISQCNSDSLLNEIARASLTPELSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGTYCPPDDQPPCCSAGDSSCGLSEVCKDCTTCFRHSDLHNDRPSTAQFKEKLPWFLDALPSADCSKGGHGAYTSSVELKGYENGVIRASSFRTYHTPLNKQIDYVNSMRAAREFASRVSGSLKCDGNSRNPTECSLCC >EOY16994 pep chromosome:Theobroma_cacao_20110822:8:15953664:15955788:1 gene:TCM_036095 transcript:EOY16994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase MLKSHLSSNYFHGYLPKGAGLSIDVFNGDIPLWFGALHKVKYFILNNNTFIGTIPPTLANMSNLEILDLGHNLIQGKIPFEIGEIGDFRKLKMFHVGYNQLFGSIPSSFFNIYSLQLISLTKTTLSSSKLPCMSLDSNLEVLYLGGNYLNGNIPHCISNASKLKILKLNQNSFSRLIPITLGDLMELSFFIGKLRNLSVLDISFNPLNGILPTFISNFSTSLQEFHVMVCKIKGTILMEIGSLSNIRVLQLAQNEFRGSIPRSIENLIRLEEIYFYKNSLEGKILHFIF >EOY16467 pep chromosome:Theobroma_cacao_20110822:8:7205523:7213771:1 gene:TCM_035226 transcript:EOY16467 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD-type zinc finger family protein, putative isoform 1 MVVEEGTSNGEGAECGKVVQCFKSEPVNNGFGFEFVNDSGDGSSGASENFRTYKRRRQLRSSSKVKVQVDRRASTDQVPLAETNHCASLNGSNDHLQRQWRNVVLEHMHQLLSGDEGGIQRCIRDALLFHPENGCNVTAKEPDASHEGRQKCSLQAGRIPNGSKHTAEGLEGVISNGSLKENSQTTTEMCQRVFFDVIISEKFTSLCKLLFDNFQGIKVDSLFHLSVINSRMKNGVYECSPMLFSSDIQQVWRKLQDIGTEIVSLAKSLSNISSTSYSEQVGCSRGAVEKENHEFCTREPESLAKLEQTEACGVYKVCTCRHCGGKADGKDCLVCDSCEEMYHVACIEPAVKEIPPRSWYCTSCTANGMGSPHENCVICERLNACRTLVADENHNVNCKVFSELEEHSNCSVDNGLQLSPGNKHPCVCKICGSGVEKGQKLRRCEHPYCPNKYYHMRCLTRKQLKSYSPRWYCPSCLCRNCLTDKDDDKIVLCDGCDAAYHIYCMKPPRTSIPRGKWFCRKCDAGIQRIRRAKRAYQNMENKLKMKGIGGKMAYDNLEMSMNQKDTEESDKSRGGVDMLLTAANTLSCEEKLAAIQMKS >EOY16466 pep chromosome:Theobroma_cacao_20110822:8:7205266:7213767:1 gene:TCM_035226 transcript:EOY16466 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD-type zinc finger family protein, putative isoform 1 MVVEEGTSNGEGAECGKVVQCFKSEPVNNGFGFEFVNDSGDGSSGASENFRTYKRRRQLRSSSKVKVQVDRRASTDQVPLAETNHCASLNGSNDHLQRQWRNVVLEHMHQLLSGDEGGIQRCIRDALLFHPENGCNVTAKEPDASHEGRQKCSLQAGRIPNGSKHTAEGLEGVISNGSLKENSQTTTEMCQRVFFDVIISEKFTSLCKLLFDNFQGIKVDSLFHLSVINSRMKNGVYECSPMLFSSDIQQVWRKLQDIGTEIVSLAKSLSNISSTSYSEQVGCSRGAVEKENHEFCTREPESLAKLEQTEACGVYKVCTCRHCGGKADGKDCLVCDSCEEMYHVACIEPAVKEIPPRSWYCTSCTANGMGSPHENCVICERLNACRTLVADENHNVNCKVFSELEEHSNCSVDNGLQLSPGNKHPCVCKICGSGVEKGQKLRRCEHPYCPNKYYHMRCLTRKQLKSYSPRWYCPSCLCRNCLTDKDDDKIVLCDGCDAAYHIYCMKPPRTSIPRGKWFCRKCDAGIQRIRRAKRAYQNMENKLKMKGIGGKMAYDNLEMSMNQKDTEESDKSRGGVDMLLTAANTLSCEEKLAAIQMKS >EOY16747 pep chromosome:Theobroma_cacao_20110822:8:10775037:10782763:1 gene:TCM_035625 transcript:EOY16747 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 2 MAKRLQEFGHHVRLATHANFSSFVKSAGIDFYPLGGDPRVLAGYMARNKGFIPSGPGEISIQRKQLKAIIESLLPACTEPDIETGLPFRAQAIIANPPAYGHAHVAEALGVPLHIFFTMPWTPTCEFPHPLARVPQSAGYWLSYIVVDLLIWWGIRGYINDFRKKKLKLAPIAYFSTYHGSISHLPTGYMWSSHLVPKPKDWGPLVDVVGYCFLNLGSKYQPQEEFVQWIQKGSQPIYIGFGSMPLQDSRKTTDIILEALKDTGQRGIIDRGWGDLGHFTEVPENVFLLEDCPHDWLFPQCSAVVHHGGAGTTATGLKAGCPTTIVPFFGDQFFWGDRVHQRGLGPAPIPISQLSVENLSNAIRFMLQPEVKSHTLELAKLIENEDGVAAAVDAFHRHLPSELPLSTTPSEENDHPNALQWFFLQIGRLCCLPCSLWNS >EOY16748 pep chromosome:Theobroma_cacao_20110822:8:10775037:10782763:1 gene:TCM_035625 transcript:EOY16748 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 2 MAKRLQEFGHHVRLATHANFSSFVKSAGIDFYPLGGDPRVLAGYMARNKGFIPSGPGEISIQRKQLKAIIESLLPACTEPDIETGLPFRAQAIIANPPAYGHAHVAEALGVPLHIFFTMPWTPTCEFPHPLARVPQSAGYWLSYIVVDLLIWWGIRGYINDFRKKKLKLAPIAYFSTYHGSISHLPTGYMWSSHLVPKPKDWGPLVDVVGYCFLNLGSKYQPQEEFVQWIQKGSQPIYIGFGSMPLQDSRKTTDIILEALKDTGQRGIIDRGWGDLGHFTEVPENVFLLEDCPHDWLFPQCSAVVHHGGAGTTATGLKAGCPTTIVPFFGDQFFWGDRVHQRGLGPAPIPISQLSVENLSNAIRFMLQPEVKSHTLELAKLIENEDGVAAAVDAFHRHLPSELPLSTTPSEENDHPNALQWFFLQIGRLCCLPCSLWNS >EOY16746 pep chromosome:Theobroma_cacao_20110822:8:10773718:10782954:1 gene:TCM_035625 transcript:EOY16746 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 2 MGSNGVDHPLKDLQEENVGNSSNRGVLKDCEHTDEVDESVTVEYRSSDEQSFSSSFDEEENHKSSSERKSSVLEISKAKELSVTSTPRKGLDHCITAPVGTPRNLLIDDNEITFSRSMTEKKTPRHDLKIDRLSETEKKKLIVNFVKIQNDGTVEVDLAKSSPVASELLKIAILVVGTRGDVQTFLAMAKRLQEFGHHVRLATHANFSSFVKSAGIDFYPLGGDPRVLAGYMARNKGFIPSGPGEISIQRKQLKAIIESLLPACTEPDIETGLPFRAQAIIANPPAYGHAHVAEALGVPLHIFFTMPWTPTCEFPHPLARVPQSAGYWLSYIVVDLLIWWGIRGYINDFRKKKLKLAPIAYFSTYHGSISHLPTGYMWSSHLVPKPKDWGPLVDVVGYCFLNLGSKYQPQEEFVQWIQKGSQPIYIGFGSMPLQDSRKTTDIILEALKDTGQRGIIDRGWGDLGHFTEVPENVFLLEDCPHDWLFPQCSAVVHHGGAGTTATGLKAGCPTTIVPFFGDQFFWGDRVHQRGLGPAPIPISQLSVENLSNAIRFMLQPEVKSHTLELAKLIENEDGVAAAVDAFHRHLPSELPLSTTPSEENDHPNALQWFFLQIGRLCCLPCSLWNS >EOY16424 pep chromosome:Theobroma_cacao_20110822:8:7035263:7049780:1 gene:TCM_035202 transcript:EOY16424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase SecA family protein isoform 5 MATLPSLLNASFLTPKPLPQRETLFCTKPTSSFLFPSSSPSFFSLRRQHINIQTPIAASLKEDVGRFKKTLGDFISLNYWVVRDYYRLVDSVNALEPEIQRLSDEQLTAKTSEFKKRLSQGDNLSDIQAEAFAVVREAARRKLGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTGDGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQKGMTAEERRINYQCDITYTNNSELGFDYLRDNLAGNSDQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEASKDAARYPVAAKVAELLTRGLHYNVELKDNSVELTEEGIALAELALETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRNGKALIINELTGRVEEKRRWSEGIHQAVEAKEGLKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEREFLKMFQMPVIEVPTNLPNIRKDLPIQAFATARGKWEYVSQEVEYMFRQGRPVLVGTTSVENSEYLSDLLKERNIPHNVLNARPKYAAREAEIIAQAGRKYAITISTNMAGRGTDIILGGNPKMLAREIIEDSLLSFLTREAPNLEADDMGISKKVLSKIKVGPSSMALLAKAALMAKYVGKSEGKSWTYQEAKSIISESVEMSQSMPLKELRKLIDEQSEMYPLGPSIAITYLSVLKDCEVHCTKEGFEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVKLISKITNDEDIPIEGDAIVKQLLALQINAEKYFFNIRKSLVEFDEVLEVQRKHVYDLRQLILTGDNESCSQHIFQYMQVVVDEIVFGNADPLQHPRYWSLAKLLKEFIAIAGKLLDDSFASITEEDLLQSLKQLHESNSVDIDNLHLPNLPKPPDCFRGIRRKISSLKRWLAICSDDSTKNGRYRPTTNILRKYLGDILIASYLNIVEESGYDDAYIKEIERAVLVKTLEGMYLVHLDWMKL >EOY16419 pep chromosome:Theobroma_cacao_20110822:8:7035263:7048142:1 gene:TCM_035202 transcript:EOY16419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase SecA family protein isoform 5 MATLPSLLNASFLTPKPLPQRETLFCTKPTSSFLFPSSSPSFFSLRRQHINIQTPIAASLKEDVGRFKKTLGDFISLNYWVVRDYYRLVDSVNALEPEIQRLSDEQLTAKTSEFKKRLSQGDNLSDIQAEAFAVVREAARRKLGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTGDGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQKGMTAEERRINYQCDITYTNNSELGFDYLRDNLAGNSDQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEASKDAARYPVAAKVAELLTRGLHYNVELKDNSVELTEEGIALAELALETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRNGKALIINELTGRVEEKRRWSEGIHQAVEAKEGLKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEREFLKMFQMPVIEVPTNLPNIRKDLPIQAFATARGKWEYVSQEVEYMFRQGRPVLVGTTSVENSEYLSDLLKERNIPHNVLNARPKYAAREAEIIAQAGRKYAITISTNMAGRGTDIILGGNPKMLAREIIEDSLLSFLTREAPNLEADDMGISKKVLSKIKVGPSSMALLAKAALMAKYVGKSEGKSWTYQEAKSIISESVEMSQSMPLKELRKLIDEQSEMYPLGPSIAITYLSVLKDCEVHCTKEGFEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVKLISKITNDEDIPIEGDAIVKQLLALQINAEKYFFNIRKSLVEFDEVLEVQRKHVYDLRQLILTGDNESCSQHIFQYMQVVVDEIVFGNADPLQHPRYWSLAKLLKEFIAIAGKLLDDSFASITEEDLLQSLKQLHESNSVDIDNLHLPNLPKPPDCFRGIRRKISSLKRWLAICSDDSTKNGRYRPTTNILRKYLGDILIASYLNIVEESGYDDAYIKEIERAVLVKTLDCFWRDHLVNMNRLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWSSPMESQELFLS >EOY16420 pep chromosome:Theobroma_cacao_20110822:8:7035263:7049393:1 gene:TCM_035202 transcript:EOY16420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase SecA family protein isoform 5 MATLPSLLNASFLTPKPLPQRETLFCTKPTSSFLFPSSSPSFFSLRRQHINIQTPIAASLKEDVGRFKKTLGDFISLNYWVVRDYYRLVDSVNALEPEIQRLSDEQLTAKTSEFKKRLSQGDNLSDIQAEAFAVVREAARRKLGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTGDGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQKGMTAEERRINYQCDITYTNNSELGFDYLRDNLAGNSDQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEASKDAARYPVAAKVAELLTRGLHYNVELKDNSVELTEEGIALAELALETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRNGKALIINELTGRVEEKRRWSEGIHQAVEAKEGLKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEREFLKMFQMPVIEVPTNLPNIRKDLPIQAFATARGKWEYVSQEVEYMFRQGRPVLVGTTSVENSEYLSDLLKERNIPHNVLNARPKYAAREAEIIAQAGRKYAITISTNMAGRGTDIILGGNPKMLAREIIEDSLLSFLTREAPNLEADDMGISKKVLSKIKVGPSSMALLAKAALMAKYVGKSEGKSWTYQEAKSIISESVEMSQSMPLKELRKLIDEQSEMYPLGPSIAITYLSVLKDCEVHCTKEGFEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVKLISKITNDEDIPIEGDAIVKQLLALQINAEKYFFNIRKSLVEFDEVLEVQRKHVYDLRQLILTGDNESCSQHIFQYMQVVVDEIVFGNADPLQHPRYWSLAKLLKEFIAIAGKLLDDSFASITEEDLLQSLKQLHESNSVDIDNLHLPNLPKPPDCFRGIRRKISSLKRWLAICSDDSTKNGRYRPTTNILRKYLGDILIASYLNIVEESGYDDAYIKEIERAVLVKTLDCFWRDHLVNMNRLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWSSPMESQELFLS >EOY16421 pep chromosome:Theobroma_cacao_20110822:8:7035263:7049393:1 gene:TCM_035202 transcript:EOY16421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase SecA family protein isoform 5 MATLPSLLNASFLTPKPLPQRETLFCTKPTSSFLFPSSSPSFFSLRRQHINIQTPIAASLKEDVGRFKKTLGDFISLNYWVVRDYYRLVDSVNALEPEIQRLSDEQLTAKTSEFKKRLSQGDNLSDIQAEAFAVVREAARRKLGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTGDGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQKGMTAEERRINYQCDITYTNNSELGFDYLRDNLAGNSDQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEASKDAARYPVAAKVAELLTRGLHYNVELKDNSVELTEEGIALAELALETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRNGKALIINELTGRVEEKRRWSEGIHQAVEAKEGLKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEREFLKMFQMPVIEVPTNLPNIRKDLPIQAFATARGKWEYVSQEVEYMFRQGRPVLVGTTSVENSEYLSDLLKERNIPHNVLNARPKYAAREAEIIAQAGRKYAITISTNMAGRGTDIILGGNPKMLAREIIEDSLLSFLTREAPNLEADDMGISKKVLSKIKVGPSSMALLAKAALMAKYVGKSEGKSWTYQEAKSIISESVEMSQSMPLKELRKLIDEQSEMYPLGPSIAITYLSVLKDCEVHCTKEGFEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVKLISKITNDEDIPIEGDAIVKQLLALQINAEKYFFNIRKSLVEFDEVLEVQRKHVYDLRQLILTGDNESCSQHIFQYMQVVVDEIVFGNADPLQHPRYWSLAKLLKEFIAIAGKLLDDSFASITEEDLLQSLKQLHESNSVDIDNLHLPNLPKPPDCFRGIRRKISSLKRWLAICSDDSTKNGRYRPTTNILRKYLGDILIASYLNIVEESGYDDAYIKEIERAVLVKTLDCFWRDHLVNMNRLSSAVLNLNMLFYSLQLQMWMHSYGIL >EOY16423 pep chromosome:Theobroma_cacao_20110822:8:7035263:7049780:1 gene:TCM_035202 transcript:EOY16423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase SecA family protein isoform 5 MATLPSLLNASFLTPKPLPQRETLFCTKPTSSFLFPSSSPSFFSLRRQHINIQTPIAASLKEDVGRFKKTLGDFISLNYWVVRDYYRLVDSVNALEPEIQRLSDEQLTAKTSEFKKRLSQGDNLSDIQAEAFAVVREAARRKLGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTGDGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQKGMTAEERRINYQCDITYTNNSELGFDYLRDNLAGNSDQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEASKDAARYPVAAKVAELLTRGLHYNVELKDNSVELTEEGIALAELALETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRNGKALIINELTGRVEEKRRWSEGIHQAVEAKEGLKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEREFLKMFQMPVIEVPTNLPNIRKDLPIQAFATARGKWEYVSQEVEYMFRQGRPVLVGTTSVENSEYLSDLLKERNIPHNVLNARPKYAAREAEIIAQAGRKYAITISTNMAGRGTDIILGGNPKMLAREIIEDSLLSFLTREAPNLEADDMGISKKVLSKIKVGPSSMALLAKAALMAKYVGKSEGKSWTYQEAKSIISESVEMSQSMPLKELRKLIDEQSEMYPLGPSIAITYLSVLKDCEVHCTKEGFEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVKLISKITNDEDIPIEGDAIVKQLLALQINAEKYFFNIRKSLVEFDEVLEVQRKHVYDLRQLILTGDNESCSQHIFQYMQVVVDEIVFGNADPLQHPRYWSLAKLLKEFIAIAGKLLDDSFASITEEDLLQSLKQLHESNSVDIDNLHLPNLPKPPDCFRGIRRKISSLKRWLAICSDDSTKNGRYRPTTNILRKYLGDILIASYLNIVEESGYDDAYIKEIERAVLVKTLEGMYLVHLDWMKL >EOY16422 pep chromosome:Theobroma_cacao_20110822:8:7035263:7049393:1 gene:TCM_035202 transcript:EOY16422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase SecA family protein isoform 5 MATLPSLLNASFLTPKPLPQRETLFCTKPTSSFLFPSSSPSFFSLRRQHINIQTPIAASLKEDVGRFKKTLGDFISLNYWVVRDYYRLVDSVNALEPEIQRLSDEQLTAKTSEFKKRLSQGDNLSDIQAEAFAVVREAARRKLGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTGDGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQKGMTAEERRINYQCDITYTNNSELGFDYLRDNLAGNSDQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEASKDAARYPVAAKVAELLTRGLHYNVELKDNSVELTEEGIALAELALETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRNGKALIINELTGRVEEKRRWSEGIHQAVEAKEGLKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEREFLKMFQMPVIEVPTNLPNIRKDLPIQAFATARGKWEYVSQEVEYMFRQGRPVLVGTTSVENSEYLSDLLKERNIPHNVLNARPKYAAREAEIIAQAGRKYAITISTNMAGRGTDIILGGNPKMLAREIIEDSLLSFLTREAPNLEADDMGISKKVLSKIKVGPSSMALLAKAALMAKYVGKSEGKSWTYQEAKSIISESVEMSQSMPLKELRKLIDEQSEMYPLGPSIAITYLSVLKDCEVHCTKEGFEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVKLISKITNDEDIPIEGDAIVKQLLALQINAEKYFFNIRKSLVEFDEVLEVQRKHVYDLRQLILTGDNESCSQHIFQYMQVVVDEIVFGNADPLQHPRYWSLAKLLKEFIAIAGKLLDDSFASITEEDLLQSLKQLHESNSVDIDNLHLPNLPKPPDCFRGIRRKISSLKRWLAICSDDSTKNGRYRPTTNILRKYLGDILIASYLNIVEESGYDDAYIKEIERAVLVKTLDCFWRDHLVNMNRLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWSSPMESQELFLS >EOY14615 pep chromosome:Theobroma_cacao_20110822:8:720765:725003:-1 gene:TCM_033930 transcript:EOY14615 gene_biotype:protein_coding transcript_biotype:protein_coding description:GA requiring 3 MHSFSSLFSSFVHHYGFTILVLSLCGTGSLLATNPISIKLFCRYLSWFAHLYQIKKLKRESLQTCKVKMITMARIIQYIQTMSFINTVAVVGLSFLFFLVFLKRFVLSSRKMGSTSLPIVPEVPGLPIVGNLLQLKEKKPHKTFTKWAEIYGPIFSIRTGASSVVVINSPETAKEAMVTRYSSISTRKLSNALKILTFDKCMVATSDYGEFHKMAKRYLLTNTLGANAQRRHRHHRDAMIENISSQLHALVNNDPLRAVNFRKTFESELFGLAMKQALGEDVQSIYVEELGTTFSREEIHKVLVIDMMEGAIDVDWRDFFPYLKWFPNKSFEMKIQQKHIRRMALMNALIKEQMKRIDSGEEVNCYLDYLLSEAKTLAKEQLAMLLWETIIETADTTLVTTEWAMYQLAKDPTRQDRLYHELEKVCGSNKVKEENLSQLRYLDAVFHETLRKHSPAPLVPLRYVHEDTQIGGYYIPAGSEIAVNIYGCNMDKNHWENPEEWNPERFLDKKYDPLDLHKTMAFGAGKRACAGSLQAMLLACAAIGRLVQEFEWRLNDGEEEKVDTVGLTSQKLHPLLAILKPRN >EOY16742 pep chromosome:Theobroma_cacao_20110822:8:10756808:10758951:-1 gene:TCM_035622 transcript:EOY16742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein isoform 1 MKILSMLLFLISLLFPFFLASQSATILVDGVSEWENPSVNVGDSIIFKHKYHYNLYIFRNKNAFNLCNFTQATLLTKPNSTSYAWHPSRPGFFYFTFNNGSLKTCQGSQKLPIKVSPELPPENATTPSPELPPVAAPAPTSGGSVVSSSPAYPWPFRPRQAVSPAPSASSPVTVPTLVPDKGGGIPFINSNPAVPLPTGEVDSATIRPLPTSAHGGQAVVGFLAAPMALLSVALLGL >EOY16741 pep chromosome:Theobroma_cacao_20110822:8:10757158:10758812:-1 gene:TCM_035622 transcript:EOY16741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein isoform 1 MKILSMLLFLISLLFPFFLASQSATILVDGVSEWENPSVNVGDSIIFKHKYHYNLYIFRNKNAFNLCNFTQATLLTKPNSTSYAWHPSRPGFFYFTFNNGSLKTCQGSQKLPIKVSPELPPENATTPSPELPPVAAPAPTSGGSVVSSSPAYPWPFRPRQAVSPAPSASSPVTVPTLVPDKGGGIPFINSNPAVPLPTGEVDSATIRPLPTSAHGGQAVVGFLAAPMALLSVALLGL >EOY16743 pep chromosome:Theobroma_cacao_20110822:8:10756726:10758987:-1 gene:TCM_035622 transcript:EOY16743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein isoform 1 MKILSMLLFLISLLFPFFLASQSATILVDGVSEWENPSVNVGDSIMAPFSPWFLLLYFQQWLPQNMPRLSKAPHKSLSRAASRKCNHPFTRITSSSGSSTDFRWISCVIFPSISMAIPASPGGFTSTKR >EOY17494 pep chromosome:Theobroma_cacao_20110822:8:21148775:21169559:-1 gene:TCM_036698 transcript:EOY17494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAYSSMSMHDASNSSRNMMGFWKRIWHLNIPRKVILFLWKAINDILLTRQALTQRLDISFIPHDGEKKDKRNCECPLWDFWKARNMKIFKNKSYEPLQVIEFVTTRYSLRARDNRRGVR >EOY17179 pep chromosome:Theobroma_cacao_20110822:8:18185190:18189544:1 gene:TCM_036333 transcript:EOY17179 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoacid dehydrogenases acyltransferase family protein isoform 2 MAHILQTSFLPFTSSLRRTPVSGPKGKPQALQVKAKIREIFMPALSSTMTEGKIVSWTKSEGEKLSKGESVVVVESDKADMDVESFHDGFLAAIMVEEGGVAPVGSAIALLAETEDEIAEAKAKSQSSSSSSAQVLEEKPQEKEEVAPAVPAAAPVAPAVWSPKAVAVGSAVHPASEGGKRIVASPYAKKLAKELKVDLVALVGTGPMGRIVAKDVEAAAAAGVVAASESAPLPASPEKAAPVAPEIELGTVVPFTTMQGAVSRNMVESLSVPTFRVGYTITTNALDALYKKIKSKGVTMTALLAKATALALVQHPVVNSCCRDGNSFTFNSSINIAVAVAIDGGLITPVLQDADKVDIYTLSRKWKELVDKARAKQLQPHEYNTGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASLPTVVASKDGRIGMQNQMQVNVTADHRVIYGADLASFLQTLAKIIEDPKDLTF >EOY17180 pep chromosome:Theobroma_cacao_20110822:8:18185215:18188418:1 gene:TCM_036333 transcript:EOY17180 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoacid dehydrogenases acyltransferase family protein isoform 2 MAHILQTSFLPFTSSLRRTPVSGPKGKPQALQVKAKIREIFMPALSSTMTEGKIVSWTKSEGEKLSKGESVVVVESDKADMDVESFHDGFLAAIMVEEGGVAPVGSAIALLAETEDEIAEAKAKSQSSSSSSAQVLEEKPQEKEEVAPAVPAAAPVAPAVWSPKAVAVGSAVHPASEGGKRIVASPYAKKLAKELKVDLVALVGTGPMGRIVAKDVEAAAAAGVVAASESAPLPASPEKAAPVAPEIELGTVVPFTTMQGAVSRNMVESLSVPTFRVGYTITTNALDALYKKIKSKGVTMTALLAKATALALVQHPVVNSCCRDGNSFTFNSSINIAVAVAIDGGLITPVLQDADKVLVILPLAAYFFVI >EOY15225 pep chromosome:Theobroma_cacao_20110822:8:2631782:2637446:-1 gene:TCM_034367 transcript:EOY15225 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein MALQRRRQHYYHRLRTLVPVISAISGALLILFAILSFLAPSPNESDHFNLRRNHTSFNTVVEDPITNGDSVFRIPSNGGKLDRDIWSSRNAKFFYGCSNASGKFAKAEAVTHPTRYLAIATSGGLNQQRTGITDAVVAARILNATLVVPKLDPKSFWKDASNFSEIFDIHWFISFLSKDVKIIKQLPKRGGKSWTPYTMRVPRKCSERCYQNRVLPVLLKRHNAVQLNKFDYRLANKLDTDLQKLRCRVNYHALKFTDPILELGKTLVRRMRMRSKHYIALHLRFEPDMLAFSGCDYGGGEKERNELGAIRKRWKTLHLPTPDLMHVWHLFIGLQKINPDKQRRQGKCPLTPEEVGLMLRALGYGSDVHIYVASGEVYGGEETLRPLKALFPNFYSKDSIATREELKPFSSFSSRMAALDFIVCDESDVFVTNNNGNMARILAGRRRYFGHKPTIRPNAKKLYRLFLSRSNMTWEAFASRVRTLQRGFMGEPKEVRPGRGEFHENPSACICEDSEAKEKAKAGSGHRKHGKMDDSSRKDVMVSDDQNENDDDDEPEWPDLDDDEDQIGLQEKGLYNITGSDYDAVISDEPELELMLSD >EOY15480 pep chromosome:Theobroma_cacao_20110822:8:3451917:3480831:1 gene:TCM_034527 transcript:EOY15480 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 and Beach domain-containing protein isoform 1 MNIVKGVADLIRRTSSGQTGDSPGAQGERLSLPTPRICFSEVDDEAVLSTLWERYENTVDKVEKKKLFQVFLKQFLTVFKNWEPVNGGQLPEAASTTVQAAEYSTSVNDVVVGCSAGHPAEVILTLTEEIMQLTTLVSELNNGVGRTATDIPAASIILIITSEGLPVLDALKIVTRSMHNCRVFGYYGGIQKLTALMKGAVIQLKTMTGALSADENFSNLMAEKTGFLQRVLVYVVSIICSFIDLNSNVYEKAQLYSNTKDFSVLGASSSIEFSNSLKGPLSETRLHWHQKGVVSVMEAGGLNWLVELLRVIRRLSMKEQWTDMSLQCLTLRTLCFALSDNPRGQNHFKSIGGLEVLLDGLALPSINMLLLKSASHVDGQREQSTLLKIFQLHVLSLEVLREAVFGNVNNLQFLCENGRVHKFANSFCSPAFMLQEYKQQMKNSVPQDGSQTSIDNNAKSGLAEPSAPLSEKASYHQLWNDCVVELSRVLSSFLLAPEDVKFLHGQATSGRIPMPISSVYTELSIKWVMRVLLTVFPCIKACSNQNELPNHLWVFVSTLQHCVLNAFRKVLVSSPALLEVFRKEGIWDLIFSENFFYFGQASEEFSEEFSPYHEESPEKLEKCSASGNNSVQLKFSGVEIIPIEVISLVELAATSNGSVHNLPELSALLEALEQSACNPEIASVLAKSLLRILQLSAEKTIASFKALNAVSRVLKVACILAQESRRSGNLSPVIENNSLEGFRPHGYQRFDSSETSQSWIKCMETCMDLFMEFFLVADDARSLVLHDSTCIDCLFELFWEEGLRNHVLRYIFDLMKIVSLSEEDRKAILYLCSKYLETFTLIKEREKSFAELSINLLVGMIDLLQSDPVHYQALFRDGECFLHVVSLLNGNLDEANGERLVLIVLQTLTCLLASNDASKVAFRALVGKGYQTLQSLLLDFCQWHPSEALLNALLDMLVDGKFEIKGSPHIKNEDVIILYLSVLQKSSESLRHYGLSVFQQLLRDSLSNRASCVAAGMLNFLLDWFVEEDDDSVILKIAQLIQVIGGHSISGKDIRKIFALLRSEKVGTQQQYCSLLLTTVLSMLNEKGPTAFFDLNGNDSGIIIKTPVQWPLNKGFSFSCWLRVENFPGDGTMGLFKFLTENGRGCLAAVAKDKLIYESINLKRQYIRMHVNLVRKKWHFLCITHTIGRAFSGGSLLRCYLDGDLVSSERCRYAKVNELLTSCSIGTKIILSQNEEDDTLGSIQDSFPFLGQIGPVYLFCDAISSEQVKAVHSLGPSYMYSFLDKEAPAFGDNPLPSGILDAKDGLASKIVFGLNAQASDGKKLFNVSPVLDHALDKSLFEATIMVGTQLCSRRLLQEIIYCVGGVSVFFPLITQSDRYENDESGVLESTLLLPVAKERLTAEVIELIASVLDDNLANLQQMHLLSGFSILGFLLQSLQPQHLNNETLSALKHLFHVVSSCGLAELLMEEAMSAIFLNPLIWLYTVYNVQRELYMFLIEQFDNDLRLLKSLCRLPRVIDIIRQCYWDNVKSRFAIGGKPLLHPLTKQVIGERPGRDEIHKIRLLLLSLGEMSLRQNIAPADVKALIAFFETSQDMTCIEDVLHMVIRAVTQKSLLVSFLEQVNLIGGRHIFVNLLQREYEPIRLLGLQFLGRLLVGLPSEKKGPRFFNLAVGRSKSLSENSKKISSRMQPLFSAISDRLFKFPQTDNLCATLFDVLLGGASPRQVLQKNSLVDKQRGRGNNSHFFLPQILVLIFRFLSSCKDASARMKIISDLLHLLDSNPLNIEALMEYGWNAWLTASVKLDVVKDYRPDSRYQGDYETNEQNLVRRVFCIVLCHYIQFIKGGWQQLEETVNFLLLQCGQGGISCQYLLCDIYDNLIQRLVDLSAEENIFSSQPCRDNTLYFLRLVDEMLVSEFGNKLPFPANSSESTLYSLEVESQKDYTTVLHEVLQGEFDDKVSGNPRASRQPISSEDGITDDKWWNLFDNLWIVISEMNGKGPSKMMPRISASVGPSFGQRARGLVESLNIPAAEMAAVVVSGGIGNALSGKPNKNVDKAMALRGERCPRIVFRLLILYLCRSSLERASRCVQQFISLLPSLLATDDEQSKNRLQLFIWSLLAVRSQYGMLDDGARFHVIAHVICETVNSGKSMLATSMVGRDDSFDSSSHLKETGSIHNLIQKDQVLSAVSDESKYVKMLKSDRSRQLQELHAKMDENSSLEINNQKAFEDEIQSSLHTILASDESRRAAFLLAHEEEQQIVAEKWMHMFRTLIDERGPWSANPFPNGAVTHWKLDKTEDTWRRRPKLRRNYHFDEKLCHPPSTSSGNEATLPNNESKSSFVGHIPEQMKQFLLKGVRRITDEGSSEPGESGAEPSGLVVIPEDSSDGQSLEVVKSSNDQINIVQDRKELCSPSPETETSEVLMSLPCVLVTPKRKLAGQLAVMKDVLHFFGEFLVEGTVGSSVFKNLNASSQSESAQADQKPKSFKWAIHLDINSEKGTSPENIEAEILHKKQFKNVKRHRRWNISKIKAVHWTRYLLRYTAVEIFFGDSVAPIFMNFASQKDAKEIGTLIVSTRNELLFPRGSSRDKSGTISFVDRRVALEMAETARESWRRRDITNFEYLMILNTLAGRSYNDLTQYPVFPWILADYSSEVLDFNKSSTFRDLSKPVGALDSKRFEVFEDRYRNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIEGTYRNCLSNTSDVKELIPEFYYMPEFLINSNSYHLGVKQDGEPISDVSLPPWAKGSPELFISKNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANIFYYLTYEGAVDLDTMDDELQRSAIEDQIANFGQTPIQIFRKRHPRRGPPIPIAHPLYFAPASINLTSVVSCMSYPPSAVLYVGLLDLNIVIVNQGLTLSVKMWLTTQLQSGGNFTFSGSQDPFFGVGSDILSPRKIGSPLAESVELGAQCFATMQTPSENFLISCGNWENSFQVISLSDGRMVQSIRQHKDVVSCVAVTADGSILATGSYDTTVMVWEVLRVRVPEKRVRNLQTEVPRKDCIIAETPFHILCGHDDIITCLYVSVELDVVISGSKDGTCVFHTLRDGRYVRSLQHPSGSALSKLVASRHGLIVLYADGDLSLHLYSINGKHLASSESNGRLNCVELSGCGEFLVCAGDQGQIVVRSMNTLEVVKRYNGVGKIITSLTVTPEECFLAGTKDGSLLVYSIENPQLGKASLPRNPKTKVTITG >EOY15481 pep chromosome:Theobroma_cacao_20110822:8:3459264:3480831:1 gene:TCM_034527 transcript:EOY15481 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 and Beach domain-containing protein isoform 1 METCMDLFMEFFLVADDARSLVLHDSTCIDCLFELFWEEGLRNHVLRYIFDLMKIVSLSEEDRKAILYLCSKYLETFTLIKEREKSFAELSINLLVGMIDLLQSDPVHYQALFRDGECFLHVVSLLNGNLDEANGERLVLIVLQTLTCLLASNDASKVAFRALVGKGYQTLQSLLLDFCQWHPSEALLNALLDMLVDGKFEIKGSPHIKNEDVIILYLSVLQKSSESLRHYGLSVFQQLLRDSLSNRASCVAAGMLNFLLDWFVEEDDDSVILKIAQLIQVIGGHSISGKDIRKIFALLRSEKVGTQQQYCSLLLTTVLSMLNEKGPTAFFDLNGNDSGIIIKTPVQWPLNKGFSFSCWLRVENFPGDGTMGLFKFLTENGRGCLAAVAKDKLIYESINLKRQYIRMHVNLVRKKWHFLCITHTIGRAFSGGSLLRCYLDGDLVSSERCRYAKVNELLTSCSIGTKIILSQNEEDDTLGSIQDSFPFLGQIGPVYLFCDAISSEQVKAVHSLGPSYMYSFLDKEAPAFGDNPLPSGILDAKDGLASKIVFGLNAQASDGKKLFNVSPVLDHALDKSLFEATIMVGTQLCSRRLLQEIIYCVGGVSVFFPLITQSDRYENDESGVLESTLLLPVAKERLTAEVIELIASVLDDNLANLQQMHLLSGFSILGFLLQSLQPQHLNNETLSALKHLFHVVSSCGLAELLMEEAMSAIFLNPLIWLYTVYNVQRELYMFLIEQFDNDLRLLKSLCRLPRVIDIIRQCYWDNVKSRFAIGGKPLLHPLTKQVIGERPGRDEIHKIRLLLLSLGEMSLRQNIAPADVKALIAFFETSQDMTCIEDVLHMVIRAVTQKSLLVSFLEQVNLIGGRHIFVNLLQREYEPIRLLGLQFLGRLLVGLPSEKKGPRFFNLAVGRSKSLSENSKKISSRMQPLFSAISDRLFKFPQTDNLCATLFDVLLGGASPRQVLQKNSLVDKQRGRGNNSHFFLPQILVLIFRFLSSCKDASARMKIISDLLHLLDSNPLNIEALMEYGWNAWLTASVKLDVVKDYRPDSRYQGDYETNEQNLVRRVFCIVLCHYIQFIKGGWQQLEETVNFLLLQCGQGGISCQYLLCDIYDNLIQRLVDLSAEENIFSSQPCRDNTLYFLRLVDEMLVSEFGNKLPFPANSSESTLYSLEVESQKDYTTVLHEVLQGEFDDKVSGNPRASRQPISSEDGITDDKWWNLFDNLWIVISEMNGKGPSKMMPRISASVGPSFGQRARGLVESLNIPAAEMAAVVVSGGIGNALSGKPNKNVDKAMALRGERCPRIVFRLLILYLCRSSLERASRCVQQFISLLPSLLATDDEQSKNRLQLFIWSLLAVRSQYGMLDDGARFHVIAHVICETVNSGKSMLATSMVGRDDSFDSSSHLKETGSIHNLIQKDQVLSAVSDESKYVKMLKSDRSRQLQELHAKMDENSSLEINNQKAFEDEIQSSLHTILASDESRRAAFLLAHEEEQQIVAEKWMHMFRTLIDERGPWSANPFPNGAVTHWKLDKTEDTWRRRPKLRRNYHFDEKLCHPPSTSSGNEATLPNNESKSSFVGHIPEQMKQFLLKGVRRITDEGSSEPGESGAEPSGLVVIPEDSSDGQSLEVVKSSNDQINIVQDRKELCSPSPETETSEVLMSLPCVLVTPKRKLAGQLAVMKDVLHFFGEFLVEGTVGSSVFKNLNASSQSESAQADQKPKSFKWAIHLDINSEKGTSPENIEAEILHKKQFKNVKRHRRWNISKIKAVHWTRYLLRYTAVEIFFGDSVAPIFMNFASQKDAKEIGTLIVSTRNELLFPRGSSRDKSGTISFVDRRVALEMAETARESWRRRDITNFEYLMILNTLAGRSYNDLTQYPVFPWILADYSSEVLDFNKSSTFRDLSKPVGALDSKRFEVFEDRYRNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIEGTYRNCLSNTSDVKELIPEFYYMPEFLINSNSYHLGVKQDGEPISDVSLPPWAKGSPELFISKNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANIFYYLTYEGAVDLDTMDDELQRSAIEDQIANFGQTPIQIFRKRHPRRGPPIPIAHPLYFAPASINLTSVVSCMSYPPSAVLYVGLLDLNIVIVNQGLTLSVKMWLTTQLQSGGNFTFSGSQDPFFGVGSDILSPRKIGSPLAESVELGAQCFATMQTPSENFLISCGNWENSFQVISLSDGRMVQSIRQHKDVVSCVAADGSILATGSYDTTVMVWEVLRVRVPEKRVRNLQTEVPRKDCIIAETPFHILCGHDDIITCLYVSVELDVVISGSKDGTCVFHTLRDGRYVRSLQHPSGSALSKLVASRHGLIVLYADGDLSLHLYSINGKHLASSESNGRLNCVELSGCGEFLVCAGDQGQIVVRSMNTLEVVKRYNGVGKIITSLTVTPEECFLAGTKDGSLLVYSIENPQLGKASLPRNPKTKVTITG >EOY15478 pep chromosome:Theobroma_cacao_20110822:8:3451917:3478364:1 gene:TCM_034527 transcript:EOY15478 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 and Beach domain-containing protein isoform 1 MNIVKGVADLIRRTSSGQTGDSPGAQGERLSLPTPRICFSEVDDEAVLSTLWERYENTVDKVEKKKLFQVFLKQFLTVFKNWEPVNGGQLPEAASTTVQAAEYSTSVNDVVVGCSAGHPAEVILTLTEEIMQLTTLVSELNNGVGRTATDIPAASIILIITSEGLPVLDALKIVTRSMHNCRVFGYYGGIQKLTALMKGAVIQLKTMTGALSADENFSNLMAEKTGFLQRVLVYVVSIICSFIDLNSNVYEKAQLYSNTKDFSVLGASSSIEFSNSLKGPLSETRLHWHQKGVVSVMEAGGLNWLVELLRVIRRLSMKEQWTDMSLQCLTLRTLCFALSDNPRGQNHFKSIGGLEVLLDGLALPSINMLLLKSASHVDGQREQSTLLKIFQLHVLSLEVLREAVFGNVNNLQFLCENGRVHKFANSFCSPAFMLQEYKQQMKNSVPQDGSQTSIDNNAKSGLAEPSAPLSEKASYHQLWNDCVVELSRVLSSFLLAPEDVKFLHGQATSGRIPMPISSVYTELSIKWVMRVLLTVFPCIKACSNQNELPNHLWVFVSTLQHCVLNAFRKVLVSSPALLEVFRKEGIWDLIFSENFFYFGQASEEFSEEFSPYHEESPEKLEKCSASGNNSVQLKFSGVEIIPIEVISLVELAATSNGSVHNLPELSALLEALEQSACNPEIASVLAKSLLRILQLSAEKTIASFKALNAVSRVLKVACILAQESRRSGNLSPVIENNSLEGFRPHGYQRFDSSETSQSWIKCMETCMDLFMEFFLVADDARSLVLHDSTCIDCLFELFWEEGLRNHVLRYIFDLMKIVSLSEEDRKAILYLCSKYLETFTLIKEREKSFAELSINLLVGMIDLLQSDPVHYQALFRDGECFLHVVSLLNGNLDEANGERLVLIVLQTLTCLLASNDASKVAFRALVGKGYQTLQSLLLDFCQWHPSEALLNALLDMLVDGKFEIKGSPHIKNEDVIILYLSVLQKSSESLRHYGLSVFQQLLRDSLSNRASCVAAGMLNFLLDWFVEEDDDSVILKIAQLIQVIGGHSISGKDIRKIFALLRSEKVGTQQQYCSLLLTTVLSMLNEKGPTAFFDLNGNDSGIIIKTPVQWPLNKGFSFSCWLRVENFPGDGTMGLFKFLTENGRGCLAAVAKDKLIYESINLKRQYIRMHVNLVRKKWHFLCITHTIGRAFSGGSLLRCYLDGDLVSSERCRYAKVNELLTSCSIGTKIILSQNEEDDTLGSIQDSFPFLGQIGPVYLFCDAISSEQVKAVHSLGPSYMYSFLDKEAPAFGDNPLPSGILDAKDGLASKIVFGLNAQASDGKKLFNVSPVLDHALDKSLFEATIMVGTQLCSRRLLQEIIYCVGGVSVFFPLITQSDRYENDESGVLESTLLLPVAKERLTAEVIELIASVLDDNLANLQQMHLLSGFSILGFLLQSLQPQHLNNETLSALKHLFHVVSSCGLAELLMEEAMSAIFLNPLIWLYTVYNVQRELYMFLIEQFDNDLRLLKSLCRLPRVIDIIRQCYWDNVKSRFAIGGKPLLHPLTKQVIGERPGRDEIHKIRLLLLSLGEMSLRQNIAPADVKALIAFFETSQDMTCIEDVLHMVIRAVTQKSLLVSFLEQVNLIGGRHIFVNLLQREYEPIRLLGLQFLGRLLVGLPSEKKGPRFFNLAVGRSKSLSENSKKISSRMQPLFSAISDRLFKFPQTDNLCATLFDVLLGGASPRQVLQKNSLVDKQRGRGNNSHFFLPQILVLIFRFLSSCKDASARMKIISDLLHLLDSNPLNIEALMEYGWNAWLTASVKLDVVKDYRPDSRYQGDYETNEQNLVRRVFCIVLCHYIQFIKGGWQQLEETVNFLLLQCGQGGISCQYLLCDIYDNLIQRLVDLSAEENIFSSQPCRDNTLYFLRLVDEMLVSEFGNKLPFPANSSESTLYSLEVESQKDYTTVLHEVLQGEFDDKVSGNPRASRQPISSEDGITDDKWWNLFDNLWIVISEMNGKGPSKMMPRISASVGPSFGQRARGLVESLNIPAAEMAAVVVSGGIGNALSGKPNKNVDKAMALRGERCPRIVFRLLILYLCRSSLERASRCVQQFISLLPSLLATDDEQSKNRLQLFIWSLLAVRSQYGMLDDGARFHVIAHVICETVNSGKSMLATSMVGRDDSFDSSSHLKETGSIHNLIQKDQVLSAVSDESKYVKMLKSDRSRQLQELHAKMDENSSLEINNQKAFEDEIQSSLHTILASDESRRAAFLLAHEEEQQIVAEKWMHMFRTLIDERGPWSANPFPNGAVTHWKLDKTEDTWRRRPKLRRNYHFDEKLCHPPSTSSGNEATLPNNESKSSFVGHIPEQMKQFLLKGVRRITDEGSSEPGESGAEPSGLVVIPEDSSDGQSLEVVKSSNDQINIVQDRKELCSPSPETETSEVLMSLPCVLVTPKRKLAGQLAVMKDVLHFFGEFLVEGTVGSSVFKNLNASSQSESAQADQKPKSFKWAIHLDINSEKGTSPENIEAEILHKKQFKNVKRHRRWNISKIKAVHWTRYLLRYTAVEIFFGDSVAPIFMNFASQKDAKEIGTLIVSTRNELLFPRGSSRDKSGTISFVDRRVALEMAETARESWRRRDITNFEYLMILNTLAGRSYNDLTQYPVFPWILADYSSEVLDFNKSSTFRDLSKPVGALDSKRFEVFEDRYRNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIEGTYRNCLSNTSDVKELIPEFYYMPEFLINSNSYHLGVKQDGEPISDVSLPPWAKGSPELFISKNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANIFYYLTYEGAVDLDTMDDELQRSAIEDQIANFGQTPIQIFRKRHPRRGPPIPIAHPLYFAPASINLTSVVSCMSYPPSAVLYVGLLDLNIVIVNQGLTLSVKMWLTTQLQSGGNFTFSGSQDPFFGVGSDILSPRKIGSPLAESVELGAQCFATMQTPSENFLISCGNWENSFQVISLSDGRMVQSIRQHKDVVSCVAVTADGSILATGSYDTTVMVWEVLRVRVPEKRVRNLQTEVPRKDCIIAETPFHILCGHDDIITCLYVSVELDVVISGSKDGTCVFHTLRDGRYVRSLQHPSGSALSKLVASRHGLIVLYADGDLSLHLYSINGKHLASSESNGRLNCVELSGCGEFLVCAGDQGQIVVRSMNTLEVVKRYNGVGKIITSLTVTPEECFLAGTKDGSLLVYSIENPQLGKASLPRNPKTKVTITG >EOY15479 pep chromosome:Theobroma_cacao_20110822:8:3451917:3480831:1 gene:TCM_034527 transcript:EOY15479 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40 and Beach domain-containing protein isoform 1 MNIVKGVADLIRRTSSGQTGDSPGAQGERLSLPTPRICFSEVDDEAVLSTLWERYENTVDKVEKKKLFQVFLKQFLTVFKNWEPVNGGQLPEAASTTVQAAEYSTSVNDVVVGCSAGHPAEVILTLTEEIMQLTTLVSELNNGVGRTATDIPAASIILIITSEGLPVLDALKIVTRSMHNCRVFGYYGGIQKLTALMKGAVIQLKTMTGALSADENFSNLMAEKTGFLQRVLVYVVSIICSFIDLNSNVYEKAQLYSNTKDFSVLGASSSIEFSNSLKGPLSETRLHWHQKGVVSVMEAGGLNWLVELLRVIRRLSMKEQWTDMSLQCLTLRTLCFALSDNPRGQNHFKSIGGLEVLLDGLALPSINMLLLKSASHVDGQREQSTLLKIFQLHVLSLEVLREAVFGNVNNLQFLCENGRVHKFANSFCSPAFMLQEYKQQMKNSVPQDGSQTSIDNNAKSGLAEPSAPLSEKASYHQLWNDCVVELSRVLSSFLLAPEDVKFLHGQATSGRIPMPISSVYTELSIKWVMRVLLTVFPCIKACSNQNELPNHLWVFVSTLQHCVLNAFRKVLVSSPALLEVFRKEGIWDLIFSENFFYFGQASEEFSEEFSPYHEESPEKLEKCSASGNNSVQLKFSGVEIIPIEVISLVELAATSNGSVHNLPELSALLEALEQSACNPEIASVLAKSLLRILQLSAEKTIASFKALNAVSRVLKVACILAQESRRSGNLSPVIENNSLEGFRPHGYQRFDSSETSQSWIKCMETCMDLFMEFFLVADDARSLVLHDSTCIDCLFELFWEEGLRNHVLRYIFDLMKIVSLSEEDRKAILYLCSKYLETFTLIKEREKSFAELSINLLVGMIDLLQSDPVHYQALFRDGECFLHVVSLLNGNLDEANGERLVLIVLQTLTCLLASNDASKVAFRALVGKGYQTLQSLLLDFCQWHPSEALLNALLDMLVDGKFEIKGSPHIKNEDVIILYLSVLQKSSESLRHYGLSVFQQLLRDSLSNRASCVAAGMLNFLLDWFVEEDDDSVILKIAQLIQVIGGHSISGKDIRKIFALLRSEKVGTQQQYCSLLLTTVLSMLNEKGPTAFFDLNGNDSGIIIKTPVQWPLNKGFSFSCWLRVENFPGDGTMGLFKFLTENGRGCLAAVAKDKLIYESINLKRQYIRMHVNLVRKKWHFLCITHTIGRAFSGGSLLRCYLDGDLVSSERCRYAKVNELLTSCSIGTKIILSQNEEDDTLGSIQDSFPFLGQIGPVYLFCDAISSEQVKAVHSLGPSYMYSFLDKEAPAFGDNPLPSGILDAKDGLASKIVFGLNAQASDGKKLFNVSPVLDHALDKSLFEATIMVGTQLCSRRLLQEIIYCVGGVSVFFPLITQSDRYENDESGVLESTLLLPVAKERLTAEVIELIASVLDDNLANLQQMHLLSGFSILGFLLQSLQPQHLNNETLSALKHLFHVVSSCGLAELLMEEAMSAIFLNPLIWLYTVYNVQRELYMFLIEQFDNDLRLLKSLCRLPRVIDIIRQCYWDNVKSRFAIGGKPLLHPLTKQVIGERPGRDEIHKIRLLLLSLGEMSLRQNIAPADVKALIAFFETSQDMTCIEDVLHMVIRAVTQKSLLVSFLEQVNLIGGRHIFVNLLQREYEPIRLLGLQFLGRLLVGLPSEKKGPRFFNLAVGRSKSLSENSKKISSRMQPLFSAISDRLFKFPQTDNLCATLFDVLLGGASPRQVLQKNSLVDKQRGRGNNSHFFLPQILVLIFRFLSSCKDASARMKIISDLLHLLDSNPLNIEALMEYGWNAWLTASVKLDVVKDYRPDSRYQGDYETNEQNLVRRVFCIVLCHYIQFIKGGWQQLEETVNFLLLQCGQGGISCQYLLCDIYDNLIQRLVDLSAEENIFSSQPCRDNTLYFLRLVDEMLVSEFGNKLPFPANSSESTLYSLEVESQKDYTTVLHEVLQGEFDDKVSGNPRASRQPISSEDGITDDKWWNLFDNLWIVISEMNGKGPSKMMPRISASVGPSFGQRARGLVESLNIPAAEMAAVVVSGGIGNALSGKPNKNVDKAMALRGERCPRIVFRLLILYLCRSSLERASRCVQQFISLLPSLLATDDEQSKNRLQLFIWSLLAVRSQYGMLDDGARFHVIAHVICETVNSGKSMLATSMVGRDDSFDSSSHLKETGSIHNLIQKDQVLSAVSDESKYVKMLKSDRSRQLQELHAKMDENSSLEINNQKAFEDEIQSSLHTILASDESRRAAFLLAHEEEQQIVAEKWMHMFRTLIDERGPWSANPFPNGAVTHWKLDKTEDTWRRRPKLRRNYHFDEKLCHPPSTSSGNEATLPNNESKSSFVGHIPEQMKQFLLKGVRRITDEGSSEPGESGAEPSGLVVIPEDSSDGQSLEVVKSSNDQINIVQDRKELCSPSPETETSEVLMSLPCVLVTPKRKLAGQLAVMKDVLHFFGEFLVEGTVGSSVFKNLNASSQSESAQADQKPKSFKWAIHLDINSEKGTSPENIEAEILHKKQFKNVKRHRRWNISKIKAVHWTRYLLRYTAVEIFFGDSVAPIFMNFASQKDAKEIGTLIVSTRNELLFPRGSSRDKSGTISFVDRRVALEMAETARESWRRRDITNFEYLMILNTLAGRSYNDLTQYPVFPWILADYSSEVLDFNKSSTFRDLSKPVGALDSKRFEVFEDRYRNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIEGTYRNCLSNTSDVKELIPEFYYMPEFLINSNSYHLGVKQDGEPISDVSLPPWAKGSPELFISKNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANIFYYLTYEGAVDLDTMDDELQRSAIEDQIANFGQTPIQIFRKRHPRRGPPIPIAHPLYFAPASINLTSVVSCMSYPPSAVLYVGLLDLNIVIVNQGLTLSVKMWLTTQLQSGGNFTFSGSQDPFFGVGSDILSPRKIGSPLAESVELGAQCFATMQTPSENFLISCGNWENSFQVISLSDGRMVQSIRQHKDVVSCVAVTADGSILATGSYDTTVMVWEVLRVRVPEKRVRNLQTEVPRKDCIIAETPFHILCGHDDIITCLYVSVELDVVISGSKDGTCVFHTLRDGRYVRSLQHPSGSALSKLVASRHGLIVLYADGDLSLHLYSINGKHLASSESNGRLNCVELSGCGEFLVCAGDQGQIVVRSMNTLEVVKRYNGVGKIITSLTVTPEECFLAGTKDGSLLVYSIENPQLGKASLPRNPKTKVTITG >EOY14749 pep chromosome:Theobroma_cacao_20110822:8:1161568:1169417:-1 gene:TCM_034030 transcript:EOY14749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Respiratory burst oxidase D MYMCMHVQGSGRMFDRHHEASFLTFGKEETKEKKMRNEDGRGAYSENNSDTESIASDRAAFSGPLGLGGGGPVSNKRASKKSARFNLPPEITLAKTNSTGSSASFAAADNDYVEITLDIRDDSVAVHSVQGAGGGDEDPELALLAKRTLENKSASFRSYLFGNTSAHIKQVSQELKRALSRRSSNAGRRFDRTKSAAAHALKGLKFITTKTGASGNGWSSVEKRFNDLTASTNGLLHQSQFGECIGMNQSKEFAGELFQALARRQNITGDSINKTQLKQFWDQMSDESFDSRLQTFFDMVDKDADGRITEEEVREIISLSASANKLSNIQKQAEEYAALIMEELDPDNVGYIMIHNLETLLLQAPNQSVRVGDSRILSQMLSQKLKPTQENNPLKRWYQKTKYFIMDNWQRVWVMMLWLGIVGGLFAYKFVQYRNKAVFDVMGYCVCVAKGGAETLKFNMALILLPVCRNTITWLRNKTKLGVVVPFDDNLNFHKVIAVGITIGVILHGGAHLTCDFPRLLHATEEEYEPMKPFFGEEQPENYWWFVKGVEGVTGIIMVVLMAIAFTLATPWFRRNKLNLPKFLKKLTGFNAFWYSHHLFVIVYTLLIVHGIYLYLTKKWYQKTTWMYLAVPIILYACERLIRALRSSIKAVKILKVAVYPGNVLSLHMSKPQGFKYKSGQYMFVNCSAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLKTVFSEVCQPPPAGKSGLLRAEGGNNTSFPKILIDGPYGAPAQDYKKYDVVLLVGLGIGATPMISIVKDIINNMKMEEDSVPGPALENGNYSKNKNNKGFKTRKAYFYWVTREQGSFEWFKGIMNEVAEMDEKRVIELHNYCTSVYEEGDARSALITMLQSLHHAKNGVDVVSGTRVKSHFAKPNWRQVYKKIALHHPDARIGVFYCGAPALTKELRQLALDFSHKTSTKFEFHKENF >EOY16002 pep chromosome:Theobroma_cacao_20110822:8:5369523:5370096:1 gene:TCM_034904 transcript:EOY16002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trm112p-like protein MRMLTHNMLSSNIKGVTNGFPLRIEVEKVVEKQVEINHDFLRNIFPKIDWKAFFDASRVMGYAELPEEAPEPSVLESDLEFLRKFHHALLELHLEEGALVCPETGRKFPVNKGIPNMLLHEDEV >EOY15191 pep chromosome:Theobroma_cacao_20110822:8:2531868:2535292:1 gene:TCM_034340 transcript:EOY15191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MITRSNLAEQLREYQIRSKHDWASVSFFSSTSNFPSSRVDVVVFVIWELIILAFLVFSAVSLYFRHMQLGFILVCITMLLLLCMKITKQVRLARKKKRRMLLPLSM >EOY15192 pep chromosome:Theobroma_cacao_20110822:8:2533195:2535202:1 gene:TCM_034340 transcript:EOY15192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MITRSNLAEQLREYQIRSKHDWASVSFFSSTSNFPSSRVDVVVFVIWELIILAFLVFSAVSLYFRHMQLGFILVCITMLLLLCMKITKQVRLA >EOY15759 pep chromosome:Theobroma_cacao_20110822:8:4545878:4548773:-1 gene:TCM_034728 transcript:EOY15759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa family protein isoform 2 MGSSGNTLKRLSKRCLLRLSADCHLLLHGKSFGEATLTSQRWRLCPLSSPRKLAGFKGAPPSRAFSTSSSSSKVGFLRWYLAKLESKPLITKAVTTSIIFAAADFTSQMITSESSGYDSIRTLRMAAYGLLLLGPSQHLWFNLLSKALPRRDMLTTFKKMFLGQAVYGPVSTTVFFSYNAALQGESGEEIVARLKRDLLPTLINGAMFWPLCDFVTYKFVPVHLQPLMNSTCAYIWTIYLTYMASLKKVSID >EOY15760 pep chromosome:Theobroma_cacao_20110822:8:4545772:4548840:-1 gene:TCM_034728 transcript:EOY15760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa family protein isoform 2 MGSSGNTLKRLSKRCLLRLSADCHLLLHGKSFGEATLTSQRWRLCPLSSPRKLAGFKGAPPSRAFSTSSSSSKVGFLRWYLAKLESKPLITKAVTTSIIFAAADFTSQMITSESSGYDSIRTLRMAAYGLLLLGPSQHLWFNLLSKALPRRDMLTTFKKMFLGQAVYGPVSTTVFFSYNAALQGESGEEIVARLKRDLLPTLINGAMFWPLCDFVTYKFVPVHLQVFLASFLFLNPHFEQGRMLLKLFNFVRSNCYNKHLAKIFWQPLMNSTCAYIWTIYLTYMASLKKVSID >EOY15230 pep chromosome:Theobroma_cacao_20110822:8:2651773:2653711:1 gene:TCM_034371 transcript:EOY15230 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-N-debenzoyl-2'-deoxytaxol N-benzoyltransferase, putative MVMEVEVVSEEFIKPASPTPHHLRTHRISFLDQFIPLIYVPMVFFYMNQETSIPLTDIIAFNSKRSQLLKQSLSETLTLFYPFAGRIKDCLSIDCNDEGAYYVEARVDWSLNEFLRHPDSSFIPELLPAEASWTATSAGGYVAMIQVTNFACGGIVIGTFLSHMIADGPAATTFLRCWAAVTRKSGEEAAYPNFDASFVFPQSEAYPKEATILALFTPFLKTGICWSRRIVFDASAIASLKAKTASSSVPDPTRVEAVSALLCKCLMATFKAKSDFQKPTVINHAVNLRRRAVPPVPKNSMGNFLCMATALVMGEETELDDLVCHLRKEIRKADGDFVKSLQGEGGLLKFCEHIEEMMGKASYGAAGETDFIGFTSWCNFGLYEIDFGWGKPAWVTCTASNKSETVFSNTVVFMDTRLGDGIEAWVFLDEQDMAKLEQNEELLAFGSLEASPSDVGYSA >EOY16650 pep chromosome:Theobroma_cacao_20110822:8:9184926:9190121:-1 gene:TCM_035469 transcript:EOY16650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein, putative isoform 1 MSNNAITIILLFLNISLLPAISALNQEGLSLLSWLSTFNSSSSAAFFSSWNPSHQNPCRWDYIKCSDGFVSEITITSINLSNSFPTQILYFQHLTTLVLSHGNLTGEIPPSIGNLSSLVTLNLSFNAFTGNIPAEIGKLADLQSLSLNTNFLHGGIPTEIGNCSKLQQLELFDNHLSGKIPTEIGKLVALQIFRAGGNSGIQGEIPMQISNCKQLSFLGLADTAISGQLPSSIGELKNLKTLSIYTSNLNGHIPPEIGNSSALEDLFLYGNQLSGQIPEELGLLKNLKRVLLWQNQLSGRIPESLGNCSGMAVIDFSLNYLSGKVPLSLANLVALEELLLSGNNISGKIPPFAGNFSSLKQLELDNNRFSGEIPPAIGQLKELSQFFAWQNQLHGSIPTELANCEKLQHLDLSHNFLTGSVPSSLFNLKNLTQLLLISNRLSDEIPQNIGNCTSLTRLRLGSNSFSGHIPSGIGLLHSLSFLELSENQFTGEIPPDIGNCTRLEMVDLRGNKLQGTIPASFEFLAGLNVLDLSMNNIGGTIPQNLGKLTSLNKMVISGNYITGFIPKSLGNCKDLQLLDISRNKIAGSIPEEIGRLQGLDILFNLSWNALTGPIPESFSNLSKLANLDLSHNMLTGSLRVLGGLDNLVSLDVSYNNFSGILPDTKLFHDLPSAAFSGNQELCINRSECHLSGNPHGKKSTRNLIMRTLFSVAVTIVVVIVAVFLFIRVHGAKNEDDFLDWHFTPFQKLNFSVNDILTSLSDSNIVGKGCSGMVYRVETPTKQVVAVKKLWPIKKGEFPERDLFSAEVQTLGSIRHKNIVRLLGCCDNGKTRLLLFDYISNGSLAGLLHENRVFLDWDARYKIILGAAQGLAYLHHDCIPPIVHRDIKANNILVGPQFEPFLADFGLAKLVDASESPRAANIVAGSYGYIAPEYGYSMRITEKSDVYSFGVVLLEVLTGMEPTDNQIPDGVHIITWINTEIRERKKDFTTILDQLLLIRSSTQIQEMLQVLGVALLCVNPCPEERPTMKDVTAMLKEIRHENEDYEKPNLLGKGIANNPEAAVHCSSFSRSSEPLISSPS >EOY16651 pep chromosome:Theobroma_cacao_20110822:8:9185060:9189333:-1 gene:TCM_035469 transcript:EOY16651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein, putative isoform 1 MSNNAITIILLFLNISLLPAISALNQEGLSLLSWLSTFNSSSSAAFFSSWNPSHQNPCRWDYIKCSDGFVSEITITSINLSNSFPTQILYFQHLTTLVLSHGNLTGEIPPSIGNLSSLVTLNLSFNAFTGNIPAEIGKLADLQSLSLNTNFLHGGIPTEIGNCSKLQQLELFDNHLSGKIPTEIGKLVALQIFRAGGNSGIQGEIPMQISNCKQLSFLGLADTAISGQLPSSIGELKNLKTLSIYTSNLNGHIPPEIGNSSALEDLFLYGNQLSGQIPEELGLLKNLKRVLLWQNQLSGRIPESLGNCSGMAVIDFSLNYLSGKVPLSLANLVALEELLLSGNNISGKIPPFAGNFSSLKQLELDNNRFSGEIPPAIGQLKELSQFFAWQNQLHGSIPTELANCEKLQHLDLSHNFLTGSVPSSLFNLKNLTQLLLISNRLSDEIPQNIGNCTSLTRLRLGSNSFSGHIPSGIGLLHSLSFLELSENQFTGEIPPDIGNCTRLEMVDLRGNKLQGTIPASFEFLAGLNVLDLSMNNIGGTIPQNLGKLTSLNKMVISGNYITGFIPKSLGNCKDLQLLDISRNKIAGSIPEEIGRLQGLDILFNLSWNALTGPIPESFSNLSKLANLDLSHNMLTGSLRVLGGLDNLVSLDVSYNNFSGILPDTKLFHDLPSAAFSGNQELCINRSECHLSGNPHGKKSTRNLIMRTLFSVAVTIVVVIVAVFLFIRVHGAKNEDDFLDWHFTPFQKLNFSVNDILTSLSDSNIVGKGCSGMVYRVETPTKQVVAVKKLWPIKKGEFPERDLFSAEVQTLGSIRHKNIVRLLGCCDNGKTRLLLFDYISNGSLAGLLHENRVFLDWDARYKIILGAAQGLAYLHHDCIPPIVHRDIKANNILVGPQFEPFLADFGLAKLVDASESPRAANIVAGSYGYIAPGEYNQSMDTA >EOY15969 pep chromosome:Theobroma_cacao_20110822:8:5209524:5213998:1 gene:TCM_034879 transcript:EOY15969 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 85A2 MGSLGFADKPHAVCIPYPAQGHINPMLKLAKILHHRGFHITFVNTEFNHKRLLKARGPDALNGLPSFRFETIPDGLPPTDVDATQDIPSLCESTRKTCLPHFKQLLHKLNDSSSANVPPVSCIVSDGVMSFTLDAAEELGVPEVLFWTTSACGFLGYVHYRQLMEKGYTPLKDESYLTNGYLDTVIDWIPAMEGIRLRDLPTFIRTTDPNFIMLDFVFVETERALKASAIILNTFDDLEHEMLGALSPMLPPIYSVGPLHLALNHHVHDNDLKQLGSNLWKEEPECIQWLDSKEPNSVVYVNFGSIAVMTADQLTEFAWGLANSNQTFLWVIRPDLVGGESAVVPAEFVAETKDRGLMATWCPQEQVLSHPSVGGFLTHSGWNSTIESISGGVPMICWPFFAEQQTNCRYSCTKWGIGMEINSDVKRDEVEGLVRELMEGEKGKEMKKKALEWKRKAEEATGSSKGSSYKNLDQMINQVLLSPRDED >EOY15385 pep chromosome:Theobroma_cacao_20110822:8:3096983:3100387:-1 gene:TCM_034465 transcript:EOY15385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin F-box protein 5 isoform 1 MRHNRAERMSEDDERQQSSPSDLEFTSNKARNCNATGSGSGHPEFQSPFPDQVLENVLENVLHFLTSRRDRNAASLVCKSWYRVEALTRSELFIGNCYAVSPDRATARFIRVRALHLKGKPRFADFNLMPPDWGAHFNPWALALAKAYPWLEKVYLKRMSVTDDDLATLAESFSGFKELVLVCCDGFGTSGLAIFVSKCRLNFLDLLCFFFDLGMDWEMETQLRVLDLIESEVTDDETDWISCFPEGESHLESLIFDCVECPINFEALERLVARSPSLKKLRLNRHVSIGQLYRLMIRAPQLTHLGTGSFSPLEVAGQGDQEPDYVTAFAACRSLVCLSGFREIIPDYLPAIYPVCSNLTSLNFSYANIDAEQLKPIISNCHKLQVFWVLDSICDEGLQAVAATCKELRELRVFPIDAREDSDGPVSEVGLQAISEGCRKLQSILYFCQRMTNAAVIAMSKNCPDLVVFRLCIMGRHRPDPVTGDPMDDGFGAIVMNCKKLTRLAVSGLLTDKAFDYIGRYGKLVRTLSVAFAGDSDMGLKYVLEGCPQLQKLEIRDSPFGDAALRSGLHHYYNMRFLWMSSCSLTRQGCQEIAHAMDRLVVEVIRSIGEEEMDDSVGTLYMYRSLEGPRTDAPKFVTIL >EOY15386 pep chromosome:Theobroma_cacao_20110822:8:3097227:3100655:-1 gene:TCM_034465 transcript:EOY15386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin F-box protein 5 isoform 1 MRHNRAERMSEDDERQQSSPSDLEFTSNKARNCNATGSGSGHPEFQSPFPDQVLENVLENVLHFLTSRRDRNAASLVCKSWYRVEALTRSELFIGNCYAVSPDRATARFIRVRALHLKGKPRFADFNLMPPDWGAHFNPWALALAKAYPWLEKVYLKRMSVTDDDLATLAESFSGFKELVLVCCDGFGTSGLAIFVSKCSPLEVAGQGDQEPDYVTAFAACRSLVCLSGFREIIPDYLPAIYPVCSNLTSLNFSYANIDAEQLKPIISNCHKLQVFWVLDSICDEGLQAVAATCKELRELRVFPIDAREDSDGPVSEVGLQAISEGCRKLQSILYFCQRMTNAAVIAMSKNCPDLVVFRLCIMGRHRPDPVTGDPMDDGFGAIVMNCKKLTRLAVSGLLTDKAFDYIGRYGKLVRTLSVAFAGDSDMGLKYVLEGCPQLQKLEIRDSPFGDAALRSGLHHYYNMRFLWMSSCSLTRQGCQEIAHAMDRLVVEVIRSIGEEEMDDSVGTLYMYRSLEGPRTDAPKFVTIL >EOY16482 pep chromosome:Theobroma_cacao_20110822:8:7481784:7483123:1 gene:TCM_035255 transcript:EOY16482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSCISKCRPKKYFIQDFSHVQDKLVISQAPKTPIPVSNKISPLPLSPTISSSSSSVSSFSNTTTSSCSSISSSASVLSSKDRSFSNEFLWACVKENPHIIRINSIKEASLALATAKSPTQKLGSPVKPAVAPAKQSILQREKGSTPQKRGRSSSPTALTRQKSFRKEHDRLNSACNLPSRSLRSPSPSRRFSPGDYSRGILASTSKEICSSKRIVGPKVNALNSVSSSLRKDNFRPSSPMISHPSPLKSCLRNRETFIHRISSKIDESALRAALSQQENDSITMEDIDNPHISLDCFIFL >EOY15883 pep chromosome:Theobroma_cacao_20110822:8:4943068:4945573:1 gene:TCM_034815 transcript:EOY15883 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MAMAKGKVCVTGGGGYLGSWVLKLLLSNDYAVHATVRLPGDAKYAHLNQLEKASDNLKLFKADLLDYDSLCSAIEGCTGVFHVACPVPSTTVPNPQVEMIEPAVKGTLNVLKACLEENVKRVVVVSSVSAVSLNPRWPKGQIKDEACWSDKEYCTTTKNWYCFSKTEAESEAFEFAKRTGLDVVTVCPTLILGPLLQPAVNASSLVLIKLLKEGYDSLENKHRSIVDVRDVAQALLLVYEKPEAEGRYICTAHSIRARDLVDKLRSIFPNYNYPKSFIEVGEEDMLTSEKLQKLGWSYRPLEETLVDSVESYRKAGILD >EOY15884 pep chromosome:Theobroma_cacao_20110822:8:4943220:4945527:1 gene:TCM_034815 transcript:EOY15884 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MILFVPQLKAAPVSSMLPVLYPPPPFLIPRWPKGQIKDEACWSDKEYCTTTKNWYCFSKTEAESEAFEFAKRTGLDVVTVCPTLILGPLLQPAVNASSLVLIKLLKEGYDSLENKHRSIVDVRDVAQALLLVYEKPEAEGRYICTAHSIRARDLVDKLRSIFPNYNYPKSFIEVGEEDMLTSEKLQKLGWSYRPLEETLVDSVESYRKAGILD >EOY16513 pep chromosome:Theobroma_cacao_20110822:8:8036945:8043591:-1 gene:TCM_035314 transcript:EOY16513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVCNLVIVQLNGRLEPVAHICAAQCPWAHIGLRVTSLRDPLTTRIGPSSIKGFQMPYQRVDLRTTLH >EOY14968 pep chromosome:Theobroma_cacao_20110822:8:1828733:1832902:1 gene:TCM_034189 transcript:EOY14968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative MRGVHKSKRVTWAPDVNLCQIRLFLSEESPQQVGLGAQDHLQAKTSSVSHINGAAVDDFLPPGFEGAHSTNHLQINLSEIPVIKWRCPLRFVLDFNWQVVAGEESKEVEIQNLREVRVLEAVYPRPSAIPTNPSVSADIENCLFDDQRTPIIPITAIEDEDAAIETPSDVLAPFSSAISSQPQLLARGISPPPHCNMPSGSSASANEKPAAGIVLEPGVAAAAFTAINQSNERGSMIDPDLLIKILSNPKLIEKLVTDYGSASGAQNSPNSTSPLVPLSDPPPPVNLSDPSPAHINRTEDGTASLVATSGVVFYAQPNGVGVGPQNQQGPVPSVRPVSPSPAVGLTQKKDVNYYKNLIQQHGGERQVPAQKFNGRYIHQLRPNQELINNQKSRDSKPKIMKPCIYFNSSRGCRNGANCAYQHDTSSQNRGNTVPEAPNPKRMKMEREISS >EOY15741 pep chromosome:Theobroma_cacao_20110822:8:4479715:4486673:-1 gene:TCM_034715 transcript:EOY15741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin system component Cue protein, putative isoform 1 MSHRHTHINRQEGDRRSNFPKTQKKFIPKSQNKNKNQTPNATTSLSSSLRQSLPKQRDAPPSGSPAAPSGSASSLVRMGENGDWVPIRGTPSTPDGNFVNYLPQDEAVAAGLGAEEGGLDPVESQRVVDLLNRELSRLLKLSPREFWKQVSGDTSLHKFLDSFLQFRSRWYDFPHRGVKGIVAGVIVGELELSRRVFMVLYRISSNRDPAARAADSLSANDHAVILQEKKLLDLPKLLDICAIYGHENDDLTKLLVANALKAQPTIYDNLTGVLSQFLSIVHTMHERCSTSLEVLFSSGSHGDYGFDRLHADFLEVIDFINDAIVSMDAFVTAYRPAALFFSCPVEMSYGNEELLTTLSRVHDNLLPSLQQGFRRSIESEEYGMLTDIAISLKMLSMRIVKFGWKLLDICYLSDEVFLDGHPIPTVTKMFPATVEDPFIRADILVQTFREINGVSLQSQENEKRDTFLQNVEKNCNIMSKLENLQNTGWIFTDDEQFQYLSGIMMYTKQGIAKVQPPKTPIPASVTGNKVQMDEDAAIMESKISQIKDLFPDHGKGFLAACLEVYNQNPEEVIQRILEGTLHEDLQALDTSLETMPMPKSASNLSRTDKGKGKLVDTDKGKGKLVDTVAVSSTTAVPVVSGQLVEGPSVSSSSSSVGRFVRKSKDDSPYYATLDTREEKDSSRKAALISQYEYEDEYDDSFDDLGLSVAESGLEENEMLSDKISSDLGKSWGTESGSYGQSTPSSKWGSRKNPQYYVKDGKNYSYKVAGSVAVANANEAFLVTQAQVELIHGLGRGGNLPLGAVKKLMEHGEQTNQPDVFEMGGRDHARNPRGRGKGGGARPRESREEQDNQSDNSEVEGRGNAGNQRGRGRSGGRHHYRKDRAMNKHFSGLTGF >EOY15743 pep chromosome:Theobroma_cacao_20110822:8:4480661:4487972:-1 gene:TCM_034715 transcript:EOY15743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin system component Cue protein, putative isoform 1 MSHRHTHINRQEGDRRSNFPKTQKKFIPKSQNKNKNQTPNATTSLSSSLRQSLPKQRDAPPSGSPAAPSGSASSLVRMGENGDWVPIRGTPSTPDGNFVNYLPQDEAVAAGLGAEEGGLDPVESQRVVDLLNRELSRLLKLSPREFWKQVSGDTSLHKFLDSFLQFRSRWYDFPHRGVKGIVAGVIVGELELSRRVFMVLYRISSNRDPAARAADSLSANDHAVILQEKKLLDLPKLLDICAIYGHENDDLTKLLVANALKAQPTIYDNLTGVLSQFLSIVHTMHERCSTSLEVLFSSGSHGDYGFDRLHADFLEVIDFINDAIVSMDAFVTAYRPAALFFSCPVEMSYGNEELLTTLSRVHDNLLPSLQQGFRRSIESEEYGMLTDIAISLKMLSMRIVKFGWKLLDICYLSDEVFLDGHPIPTVTKMFPATVEDPFIRADILVQTFREINGVSLQSQENEKRDTFLQNVEKNCNIMSKLENLQNTGWIFTDDEQFQYLSGIMMYTKQGIAKVQPPKTPIPASVTGNKVQMDEDAAIMESKISQIKDLFPDHGKGFLAACLEVYNQNPEEVIQRILEGTLHEDLQALDTSLETMPMPKSASNLSRTDKGKGKLVDTDKGKGKLVDTVAVSSTTAVPVVSGQLVEGPSVSSSSSSVGRFVRKSKDDSPYYATLDTREEKDSSRKAALISQYEYEDEYDDSFDDLGLSVAESGLEENEMLSDKISSDLGKSWGTESGSYGQSTPSSKWGSRKNPQYYVKDGKNYSYKVAGSVAVANANEAFLVTQAQVELIHGLGRGGNLPLGAVKKLMEHGEQTNQPDVFEMGGRDHARNPRGRGKGGGARPRESREEQDNQSDNSEVEGRGNAGNQRGRGRSGGRHHYRKDRAMNKHFSGLTGF >EOY15742 pep chromosome:Theobroma_cacao_20110822:8:4479033:4486673:-1 gene:TCM_034715 transcript:EOY15742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin system component Cue protein, putative isoform 1 MSHRHTHINRQEGDRRSNFPKTQKKFIPKSQNKNKNQTPNATTSLSSSLRQSLPKQRDAPPSGSPAAPSGSASSLVRMGENGDWVPIRGTPSTPDGNFVNYLPQDEAVAAGLGAEEGGLDPVESQRVVDLLNRELSRLLKLSPREFWKQVSGDTSLHKFLDSFLQFRSRWYDFPHRGVKGIVAGVIVGELELSRRVFMVLYRISSNRDPAARAADSLSANDHAVILQEKKLLDLPKLLDICAIYGHENDDLTKLLVANALKAQPTIYDNLTGVLSQFLSIVHTMHERCSTSLEVLFSSGSHGDYGFDRLHADFLEVIDFINDAIVSMDAFVTAYRPAALFFSCPVEMSYGNEELLTTLSRVHDNLLPSLQQGFRRSIESEEYGMLTDIAISLKMLSMRIVKFGWKLLDICYLSDEVFLDGHPIPTVTKMFPATVEDPFIRADILVQTFREINGVSLQSQENEKRDTFLQNVEKNCNIMSKLENLQNTGWIFTDDEQFQYLSGIMMYTKQGIAKVQPPKTPIPASVTGNKVQMDEDAAIMESKISQIKDLFPDHGKGFLAACLEVYNQNPEEVIQRILEGTLHEDLQALDTSLETMPMPKSASNLSRTDKGKGKLVDTDKGKGKLVDTVAVSSTTAVPVVSGQLVEGPSVSSSSSSVGRFVRKSKDDSPYYATLDTREEKDSSRKAALISQYEYEDEYDDSFDDLGLSVAESGLEENEMLSDKISSDLGKSWGTESGSYGQSTPSSKWGSRKNPQYYVKDGKNYSYKVAGSVAVANANEAFLVTQAQVELIHGLGRGGNLPLGAVKKLMEHGEQTNQPDVFEMGGRDHARNPRGRGKGGGARPRESREEQDNQSDNSEVEGRGNAGNQRGRGRSGGRHHYRKDRAMNKHFSGLTGF >EOY15946 pep chromosome:Theobroma_cacao_20110822:8:5129597:5132359:1 gene:TCM_034862 transcript:EOY15946 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding dehydrogenase family protein isoform 2 AGKAIRCKAAVSRNPGEALVIEEIEVEPPKSWEVRVKILCTSLCHSDITFWKMSTGPFAIFPRIFGHEAVGVVESVGEHVKEVQEGDLVLPVFHPHCRECRDCKSQKGNGCSVFGYRLNPDMPRDATSRFKGMNGEVLHHFLFVSSFTEYTVVDVAHVVKISSEIPVDKACLLSCGVSTGIKEMTDGGADYCFECIGLASLMEDAFNSNREGWGKTVILGVEKHGTPLALNTYLLLRGRSVTGCLFGGFKPKSDIPLLAEKYLDKELNLDGFITHELSFQDINKAFELLLQGKSLRCIIWMDN >EOY15942 pep chromosome:Theobroma_cacao_20110822:8:5129354:5132617:1 gene:TCM_034862 transcript:EOY15942 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding dehydrogenase family protein isoform 2 MENHRGSVTAGKAIRCKAAVSRNPGEALVIEEIEVEPPKSWEVRVKILCTSLCHSDITFWKMSTGPFAIFPRIFGHEAVGVVESVGEHVKEVQEGDLVLPVFHPHCRECRDCKSQKGNGCSVFGYRLNPDMPRDATSRFKGMNGEVLHHFLFVSSFTEYTVVDVAHVVKISSEIPVDKACLLSCGVSTGIGAAWKVADIEGGSTVAIFGLGAVGLAVLITQLHLFNPCPNHALLIVAEGARLRGASKIIGVDLNPEKFEIGKTFGVTDFINPATCGEKKVSEVIKEMTDGGADYCFECIGLASLMEDAFNSNREGWGKTVILGVEKHGTPLALNTYLLLRGRSVTGCLFGGFKPKSDIPLLAEKYLDKELNLDGFITHELSFQDINKAFELLLQGKSLRCIIWMDN >EOY15943 pep chromosome:Theobroma_cacao_20110822:8:5129354:5132617:1 gene:TCM_034862 transcript:EOY15943 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding dehydrogenase family protein isoform 2 MENHRGSVTAGKAIRCKAAVSRNPGEALVIEEIEVEPPKSWEVRVKILCTSLCHSDITFWKMSTGPFAIFPRIFGHEAVGVVESVGEHVKEVQEGDLVLPVFHPHCRECRDCKSQKGNGCSVFGYRLNPDMPRDATSRFKGMNGEVLHHFLFVSSFTEYTVVDVAHVVKISSEIPVDKACLLSCGVSTGIGAAWKVADIEGGSTVAIFGLGAVGLAVAEGARLRGASKIIGVDLNPEKFEIGKTFGVTDFINPATCGEKKVSEVIKEMTDGGADYCFECIGLASLMEDAFNSNREGWGKTVILGVEKHGTPLALNTYLLLRGRSVTGCLFGGFKPKSDIPLLAEKYLDKELNLDGFITHELSFQDINKAFELLLQGKSLRCIIWMDN >EOY15945 pep chromosome:Theobroma_cacao_20110822:8:5129571:5132184:1 gene:TCM_034862 transcript:EOY15945 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding dehydrogenase family protein isoform 2 MENHRGSVTAGKAIRCKAAVSRNPGEALVIEEIEVEPPKSWEVRVKILCTSLCHSDITFWKMSTGPFAIFPRIFGHEAVGVVESVGEHVKEVQEGDLVLPVFHPHCRECRDCKSQKGNGCSVFGYRLNPDMPRDATSRFKGMNGEVLHHFLFVSSFTEYTVVDVAHVVKISSEIPVDKACLLSCGVSTGIGAAWKVADIEGGSTVAIFGLGAVGLAVAEGARLRGASKIIGVDLNPEKFEIGKTFGVTDFINPATCGEKKVSEVIKEMTDGGADYCFECIGLASLMEDAFNSNREGWGKTVILGVEKHGTPLALNTYLLLRGRSVTGCLFGGFKPKSDIPLLAEKYLDKVKKHSCLCLLITCSVHKFAS >EOY15944 pep chromosome:Theobroma_cacao_20110822:8:5129401:5132475:1 gene:TCM_034862 transcript:EOY15944 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding dehydrogenase family protein isoform 2 MENHRGSVTAGKAIRCKAAVSRNPGEALVIEEIEVEPPKSWEGPFAIFPRIFGHEAVGVVESVGEHVKEVQEGDLVLPVFHPHCRECRDCKSQKGNGCSVFGYRLNPDMPRDATSRFKGMNGEVLHHFLFVSSFTEYTVVDVAHVVKISSEIPVDKACLLSCGVSTGIGAAWKVADIEGGSTVAIFGLGAVGLAVAEGARLRGASKIIGVDLNPEKFEIGKTFGVTDFINPATCGEKKVSEVIKEMTDGGADYCFECIGLASLMEDAFNSNREGWGKTVILGVEKHGTPLALNTYLLLRGRSVTGCLFGGFKPKSDIPLLAEKYLDKELNLDGFITHELSFQDINKAFELLLQGKSLRCIIWMDN >EOY16034 pep chromosome:Theobroma_cacao_20110822:8:5516099:5519350:1 gene:TCM_034934 transcript:EOY16034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEERATSSTNTNNPNPQPEVVQVQESEYVVFRPGTYVIQVPKDQIYSVPPPENALIAERHLNNRANNNRRRCCYWPWFWFVSLVILVLLLGIIAWTVYMHLIKR >EOY15603 pep chromosome:Theobroma_cacao_20110822:8:3918227:3922987:-1 gene:TCM_034611 transcript:EOY15603 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 4 MSTPLTPSKRPHDRNPSETNGKRKLQKSGQSKILPGGIVFRVLCPASKTGSVIGKGGTIISQIRQETGAKVKVEEVIPGCDERVVVIMGSESSDKEAGVSIEQGKEDGGEEANAANQSDEEKENGENNDDKISDPVKDLNSVKETSYVQKALLLVFERIIEAEPDLGGVDEETVKSFTMVLRLLVLSSQVGCLFGKGGSVIKQMSAESGAQIRILPRDKLPPCATASDELVQITGEVAAVRKALQSISQQLIENPPRDHDSSPLNPTGQSSHSFGPRPEVHPPPNHSFSSQGPPFAAGPRDVDIHSAVPPLIPGRMMPSMEMLTFRLLCHHERVGGVIGKGGAIIKTLQQETGCEIKVVEGISDSEDRIIVISGPAHPDDRISAAQDAVFRVHTRINRAIPDSKDKSLMARLLVSSNQIGCLLGKGGAIIAEMRKSSGAHIRILGKDQVPKCASEGEEVVQINGEHDTVCEALLQITTRLRHHFFRDAFPSINHPSNPAFLDQGPPFPSFMGRREFSPPGLGPFHHFDAFGGPPPHGGFHPHDDPPFMRNIHRPGMPPHISERKAWAPQGFIGMPDFAGPPHRRISGFGGGSQPAIITSTTIEVVVPRSLVPTIYGEDGACLKQIRQPAATETVIIISGTPEQTHAAQSLIQAFVMSETESS >EOY15601 pep chromosome:Theobroma_cacao_20110822:8:3917864:3923088:-1 gene:TCM_034611 transcript:EOY15601 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 4 MSTPLTPSKRPHDRNPSETNGKRKLQKSGQSKILPGGIVFRVLCPASKTGSVIGKGGTIISQIRQETGAKVKVEEVIPGCDERVVVIMGSESSDKEAGVSIEQGKEDGGEEANAANQSDEEKENGENNDDKISDPVKDLNSVKETSYVQKALLLVFERIIEAEPDLGGVDEETVKSFTMVLRLLVLSSQVGCLFGKGGSVIKQMSAESGAQIRILPRDKLPPCATASDELVQITGEVAAVRKALQSISQQLIENPPRDHDSSPLNPTGQSSHSFGPRPEVHPPPNHSFSSQGPPFAAGPRDVDIHSAVPPLIPGRMMPSMEMLTFRLLCHHERVGGVIGKGGAIIKTLQQETGCEIKVVEGISDSEDRIIVISGPAHPDDRISAAQDAVFRVHTRINRAIPDSKDKSLMARLLVSSNQIGCLLGKGGAIIAEMRKSSGAHIRILGKDQVPKCASEGEEVVQINGEHDTVCEALLQITTRLRHHFFRDAFPSINHPSNPAFLDQGPPFPSFMGRREFSPPGLGPFHHFDAFGGPPPHGGFHPHDDPPFMRNIHRPGMPPHISERKAWAPQGFIGMPDFAGPPHRRISGFGGGSQPAIITSTTIEVVVPRSLVPTIYGEDGACLKQIRQISDAKITITDPKPAATETVIIISGTPEQTHAAQSLIQAFVMSETESS >EOY15602 pep chromosome:Theobroma_cacao_20110822:8:3918227:3922987:-1 gene:TCM_034611 transcript:EOY15602 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 4 MSTPLTPSKRPHDRNPSETNGKRKLQKSGQSKILPGGIVFRVLCPASKTGSVIGKGGTIISQIRQETGAKVKVEEVIPGCDERVVVIMGSESSDKEAGVSIEQGKEDGGEEANAANQSDEEKENGENNDDKISDPVKDLNSVKETSYVQKALLLVFERIIEAEPDLGGVDEETVKSFTMVLRLLVLSSQVGCLFGKGGSVIKQMSAESGAQIRILPRDKLPPCATASDELVQITGEVAAVRKALQSISQQLIENPPRDHDSSPLNPTGQSSHSFGPRPEVHPPPNHSFSSQGPPFAAGPRDVDIHSAVPPLIPGRMMPSMEMLTFRLLCHHERVGGVIGKGGAIIKTLQQETGCEIKVVEGISDSEDRIIVISGPAHPDDRISAAQDAVFRVHTRINRAIPDSKDKSLMARLLVSSNQIGCLLGKGGAIIAEMRKSSGAHIRILGKDQVPKCASEGEEVVQINGEHDTVCEALLQITTRLRHHFFRDAFPSINHPSNPAFLDQGPPFPSFMGRREFSPPGLGPFHHFDAFGGPPPHGGFHPHDDPPFMRNIHRPGMPPHISERKAWAPQGFIGMPDFAGPPHRRISGFGGGSQPAIITSTTIEVVVPRSLVPTIYGEDGACLKQIRQISDAKITITDPKPAATETVIIISGTPEQTHAAQSLIQAFVMSETESS >EOY15605 pep chromosome:Theobroma_cacao_20110822:8:3919789:3922118:-1 gene:TCM_034611 transcript:EOY15605 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 4 MSTPLTPSKRPHDRNPSETNGKRKLQKSGQSKILPGGIVFRVLCPASKTGSVIGKGGTIISQIRQETGAKVKVEEVIPGCDERVVVIMGSESSDKEAGVSIEQGKEDGGEEANAANQSDEEKENGENNDDKISDPVKDLNSVKETSYVQKALLLVFERIIEAEPDLGGVDEETVKSFTMVLRLLVLSSQVGCLFGKGGSVIKQMSAESGAQIRILPRDKLPPCATASDELVQITGEVAAVRKALQSISQQLIENPPRDHDSSPLNPTGQSSHSFGPRPEVHPPPNHSFSSQGPPFAAGPRDVDIHSAVPPLIPGRMMPSMEMLTFRLLCHHERVGGVIGKGGAIIKTLQQETGCEIKVVEGISDSEDRIIVISGPAHPDDRISAAQDAVFRVHTRINRAIPDSKDKSLMARLLVSSNQIGCLLGKGGAIIAEMRKSSGAHIRILGKDQVPKCASEGEEVVQINGEHDTVCEALLQITTRLRHHFFRDAFPSINHPSNPAFLDQGPPFPSFMGRREFSPPGLGPFHHFDAFGGPPPHGGFHPHDDPPFMRNIHRPGMPPHISERKAWAPQVLG >EOY15604 pep chromosome:Theobroma_cacao_20110822:8:3919449:3922118:-1 gene:TCM_034611 transcript:EOY15604 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 4 MSTPLTPSKRPHDRNPSETNGKRKLQKSGQSKILPGGIVFRVLCPASKTGSVIGKGGTIISQIRQETGAKVKVEEVIPGCDERVVVIMGSESSDKEAGVSIEQGKEDGGEEANAANQSDEEKENGENNDDKISDPVKDLNSVKETSYVQKALLLVFERIIEAEPDLGGVDEETVKSFTMVLRLLVLSSQVGCLFGKGGSVIKQMSAESGAQIRILPRDKLPPCATASDELVQITGEVAAVRKALQSISQQLIENPPRDHDSSPLNPTGQSSHSFGPRPEVHPPPNHSFSSQGPPFAAGPRDVDIHSAVPPLIPGRMMPSMEMLTFRLLCHHERVGGVIGKGGAIIKTLQQETGCEIKVVEGISDSEDRIIVISGPAHPDDRISAAQDAVFRVHTRINRAIPDSKDKSLMARLLVSSNQIGCLLGKGGAIIAEMRKSSGAHIRILGKDQVPKCASEGEEVVQINGEHDTVCEALLQITTRLRHHFFRDAFPSINHPSNPAFLDQGPPFPSFMGRREFSPPGLGPFHHFDAFGGPPPHGGFHPHDDPPFMRNIHRPGMPPHISERKAWAPQGFIGMPDFAGPPHRRISGFGG >EOY16149 pep chromosome:Theobroma_cacao_20110822:8:5953816:5955736:1 gene:TCM_035006 transcript:EOY16149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGNGYNQHLHHHNMHLHHKGAFLPMLCSKPSIKDVALPKWQDRSASFSDDPLSPKISCMGQVKRNNRIVGFPASHKLTITTKNSSNNSIKYLKLKKLFSGKNLTSSPATTTNTTTTSCRRKEVLVNGTSRPKNDDGKENSASINIENMDPPLPVIRRVPKQGDKGDGDTLWQRRSRGVALKSLQLQQVQLNRHQEPTTV >EOY16148 pep chromosome:Theobroma_cacao_20110822:8:5953799:5955845:1 gene:TCM_035006 transcript:EOY16148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGNGYNQHLHHHNMHLHHKGAFLPMLCSKPSIKDVALPKWQDRSASFSDDPLSPKISCMGQVKRNNRIVGFPASHKLTITTKNSSNNSIKYLKLKKLFSGKNLTSSPATTTNTTTTSCRRKEVLVNGTSRPKNDDGKENSASINIENMDPPLPVIRRVPKQGDKGDGDTLWQRRSRGVALKSLQLQQVQLNRHQEPTTV >EOY14820 pep chromosome:Theobroma_cacao_20110822:8:1360994:1362203:1 gene:TCM_034087 transcript:EOY14820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSEFDNVKAEKEDAVWRYNMERKLRMGLRLCGFCLALFLLLWSWFPTLISDTVEVAGLYRRRFVSTFNKPLVTFILVNIIILAVYILSSQKQSQKQTSCPDLYDEYVGSSRSNPTSIVATANAATYNSPVEEETIVDKQIVLVENALALSHVKQQRTTVDTVTETKRSLSPVKQTTMFRTAVKKTKPAVSSTTEAKTEEYRRTRSMVSESRNQLPREFLRSDTAISRQLVVSVRDPPRKSMDEMSSEEFRSIVDSFIADRKRTLMQENTAHYTRRKEKCMSIVVKN >EOY15958 pep chromosome:Theobroma_cacao_20110822:8:5157611:5161686:-1 gene:TCM_034869 transcript:EOY15958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class-II DAHP synthetase family protein isoform 1 MALTNSSILPTKSLLQSQPSTPFSKTKPFSVVRISAVHSSDSPKNPIVSDKSAKQPATAPLAATKSATAASPTAAPSQNAVPGKWTVDSWKSKKALQLPEYPDQTELESVLRTLEDFPPIVFAGEARSLEEKLGEAALGNAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVIKVGRMAGQFAKPRSQPFEEKNGVKLPSYRGDNINGDAFDAKARIPDPQRMIRAYCQAAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYRELAHRVDEALGFMSAAGLTVDHPIMTTTEFWTSHECLLLPYEQSLTRLDSISGRYYDCSAHFLWVGERTRQLDGSHVEFLKGVANPLGKLR >EOY15957 pep chromosome:Theobroma_cacao_20110822:8:5156550:5161429:-1 gene:TCM_034869 transcript:EOY15957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class-II DAHP synthetase family protein isoform 1 MALTNSSILPTKSLLQSQPSTPFSKTKPFSVVRISAVHSSDSPKNPIVSDKSAKQPATAPLAATKSATAASPTAAPSQNAVPGKWTVDSWKSKKALQLPEYPDQTELESVLRTLEDFPPIVFAGEARSLEEKLGEAALGNAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVIKVGRMAGQFAKPRSQPFEEKNGVKLPSYRGDNINGDAFDAKARIPDPQRMIRAYCQAAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYRELAHRVDEALGFMSAAGLTVDHPIMTTTEFWTSHECLLLPYEQSLTRLDSISGRYYDCSAHFLWVGERTRQLDGSHVEFLKGVANPLGIKVSDKMDPNELVKLIEILNPQNKPGRITIITRMGAENMRVKFPHLIRAVRRAGQIVTWVSDPMHGNTINSPVGLKTRSFDSIRAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSKNVTFDDLGSRYHTHCDPRLNASQSLELAFIIAERLRKRRISSQKSLALGL >EOY16577 pep chromosome:Theobroma_cacao_20110822:8:8573691:8581976:1 gene:TCM_035381 transcript:EOY16577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQVMYKYRDKLWVPLMGPWGAISYASIMVQRQFRSEQFVPMTHRSTRRVTDEVTTGYHTWHDQRVKDVVCPPKNPSKHPINPEPQDVLLESELTRKRLEKEMMNMKQRHEDELEEVKKKMARKVWMALEKRDEWQSKFKEVNVVNSSLVARMQELQSANNALQHEVRRQEQTIQELRNDCGLLETAMEGYKAQYEAVRQEYFQMRERNDSCTQSLQRKEAEMRWILRQMREVAFKARVIKDKTEEHRREILSRDELSERLINHLKMFYGCGFSYDKGKRVAGSSGVTEDVQQTKVNTDPVYPPGFTPPPARNASIPMPSIRQYPFFGMPVPTGPPPTYAQQRPIGGASPSNPISVPDLDDLKEQEKLKCGSVELKDNLDTHQKFDLFKERLHMIEGMGMYCSMDAIELYLVPDVVIPPKFKVLDFKKYDGTKCPVTHITMYCRRMATYAHDDKLLIHCFQDSLTGAAAKWPAVNMMAKDSTHLMKIKPLTIFYEPRGESVEDRTHVQMTIEVPKPFPYKDDKAVLWNYNCNVQVSKAGKWMVESQYNAANITGVGGITHSGRRYTPEALENLKKEKGKEKEQNLREKKMQFQESTDSSKALVTKKEAAEFLKFIKRIENLDYIIENISVGNIISFSDEEIPFGGRGNYKALHITTKCKGCIVAKMLLDNGLSLNVIPMRTLARLPIDMSYMRKSQMIVRAFDGTRREVVGDIEIPIEIGPVVIPSLLHQKVKFIVDGKIVCVNGEEDLLITKPADTPYVEAAEEVLECSFRSFEFVNTTYVEEGTTPPFPRLSKTTKMVVNQIVEKGYRAGAGLRKELQGIKRPIRATKNEERFGLGYKPTKKEREEMITERRRESRKSVSALGEAFSDLSICVTEEDEEQLGNVEGIPTTYLGPPELKLNSWTTMSLPVTCDSISNGFEVNFEKGTSVSELDDTENVEDYDLTPDLLILVEQEGRQIVPHQETLETINLGNEENKKEVRIGFLEVAKYPEWVANIVPMPKKDGKVRICVNYRDLNRVSPKDNFPLPHIDTLVDNTARHSIFSFMDGFSGYNQIKMAPEDKEKTTFITMWGTFCYKVMPFGLKNVGATYQRAMVTLFHDMMHRKVEVYVDDMIVKARKTKDHATNLERLFKRLQKFQLRLNPAKCTFRVTLGKLLGFVVSERGIEVDPDKFKQSVICLLPKRRKNYQRKCNRRFFGEKGGEDYEPMEFEFPDEDLMSICQTNQKESEEKESWKMFFDGASNALGHGIGVVLVSPEEGIIERKIHVLEVYGDSALVIYQLRGEWETRDSKLVRYHKFISKLIENFDKICFTHLPRGENQMADALATLAAMFKVEEEVDGKPWYHDIVHYLKFQQYPEQSSKNDKKTIRRLAMNFFLDGDILYKRSRDQMLLRCVDSAEAWRIVEEVHEGIYFAQKYHKCQIYTDRIHTLANSLNVLTSPWPFSIWGIDVIGLITPKASNGHQFILVAIDYFTKWVEAASYANVTQKVVCKFIQKEIICRYGLPKKIITDNASNLNGSMMKEIYAKFKIKHHNSVPYRPKMNGVVEAANKNIKRIIEKMTDVYKDWHEKLPFALHVYRTTI >EOY15281 pep chromosome:Theobroma_cacao_20110822:8:2776812:2780153:-1 gene:TCM_034395 transcript:EOY15281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MGNCFGTPVDHNSQCTSKPSSPEETKKLKDSKPNGLLPTRNNESAEDNGTAREGRSKEQETMPASGKIVSTTLKIFTLAELKAATRNFRPDTVLGEGGFGRVFKGWVDEKTYAPSKVGVGMAVAVKKSNPDSSQGLQEWQAEVKFLGKFCHPNLVKLLGYCWEENQFLLVYEYMQKGSLENHLFRMGGAEPLTWETRLKIAIGAAQGLAFLHTSEKSVIYRDFKASNILLDGAYNAKLSDFGLAKLGPINGNSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGLRALDTNRPSGEHNLVEWAKYSLTQKKKLKKIMDPRLEERYPLKAALQAGELILRCLESDPKNRPSMEEVLETLEKISAINEKPKDSKASTSHRNAICQEEQQSHYNHRSPVHSRHGGAGTGIQKNQRPSTATARSRR >EOY15829 pep chromosome:Theobroma_cacao_20110822:8:4784403:4785103:1 gene:TCM_034784 transcript:EOY15829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNNQILAQRDEAGSRRVDGLGNWPPIRICIGCLSPRRPVQDPQGRLPDLWRASLLRSKIAS >EOY15154 pep chromosome:Theobroma_cacao_20110822:8:2423250:2426592:1 gene:TCM_034316 transcript:EOY15154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein isoform 1 MVESVTRRSTDRQDQKFPMATPVKVYGPPMSTAVSRVLACLLEKDVQFQLIPVNMFKGEHKSPDFLKIQPFGQVPAFQDESTSLFESRSICRYLCEKYAEKGNTGLYGTNPLAKASIDQWLEAEGQSFNPPSSVLVFQLAFAPRMKIKQDQAVINENEQKLAKVLEVYEKRLGERESSSLSRKTWGGGGVRFRAVIRGRRWLKCRSRRLEPWFCWLFLHESMMESGAKEEQSVVGYNNNNVKKAKLHSTLAALLDDPILADVPKKPTLSDVDTLISLELGSAMRISILKLDGTSFDIAVMNSATVKDLKLAIKKKVIEFEQSKMGHRHISWRHVWANFCLAHHNEKLLDEGAALQDFGVRNNSQVQFVPYVVSKGSGSHSKRRKHRFFHGLNKRS >EOY15155 pep chromosome:Theobroma_cacao_20110822:8:2423452:2426603:1 gene:TCM_034316 transcript:EOY15155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein isoform 1 MVESVTRRSTDRQDQKFPMATPVKVYGPPMSTAVSRVLACLLEKDVQFQLIPVNMFKGEHKSPDFLKIQPFGQVPAFQDESTSLFESRSICRYLCEKYAEKGNTGLYGTNPLAKASIDQWLEAEGQSFNPPSSVLVFQLAFAPRMKIKQDQAVINENESMMESGAKEEQSVVGYNNNNVKKAKLHSTLAALLDDPILADVPKKPTLSDVDTLISLELGSAMRISILKLDGTSFDIAVMNSATVKDLKLAIKKKVIEFEQSKMGHRHISWRHVWANFCLAHHNEKLLDEGAALQDFGVRNNSQVQFVPYVVSKGSGSHSKRRKHRFFHGLNKRS >EOY15156 pep chromosome:Theobroma_cacao_20110822:8:2423444:2424579:1 gene:TCM_034316 transcript:EOY15156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein isoform 1 MVESVTRRSTDRQDQKFPMATPVKVYGPPMSTAVSRVLACLLEKDVQFQLIPVNMFKGEHKSPDFLKIQPFGQVPAFQDESTSLFESRSICRYLCEKYAEKGNTGLYGTNPLAKASIDQWLEAEGQSFNPPSSVLVFQLAFAPRMKIKQDQAVINENEQKLAKVLEVYEKRLGESRFLAGDEFSLADLSHLPNTHYLVNASDRGELFTFKKNVGRWWGEISSRDSWKKVVEMQKQTT >EOY16999 pep chromosome:Theobroma_cacao_20110822:8:16256143:16262592:1 gene:TCM_036118 transcript:EOY16999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDIFRFPNFVNLSFKEKKAQKDVVWCAPELGQLKFNVNGMPKGNPSELTIGGVLRDFKEGCLYPFQRQLVLVM >EOY14685 pep chromosome:Theobroma_cacao_20110822:8:992163:995717:-1 gene:TCM_033988 transcript:EOY14685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLEAMESSVNGGGFSQLQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFENMQWNGSDLASDDTQKSHRSRHRTHKSTGSSHKTMSRSLSCDSHSKGSVSTPRGSTKVDLSKLEMAALWRYWRHFNLVDAIPNPSKEQLIDVVQRHFMSQQMDELQILGVSILMSSSRG >EOY14686 pep chromosome:Theobroma_cacao_20110822:8:992255:996009:-1 gene:TCM_033988 transcript:EOY14686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLEAMESSVNGGGFSQLQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFENMQWNGSDLASDDTQKSHRSRHRTHKSTGSSHKTMSRSLSCDSHSKGSVSTPRGSTKVDLSKLEMAALWRYWRHFNLVDAIPNPSKEQLIDVVQRHFMSQQMDELQVIVGFVQAAKRLKTVCK >EOY16727 pep chromosome:Theobroma_cacao_20110822:8:10610149:10611875:-1 gene:TCM_035606 transcript:EOY16727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAWQAVLTSQNLSKGYEFHVCCCILNTLSSAIEFNLQDHHHFNLGFLCDCSYNISSLSACDTNILLRLKLIFQTSKKFSSSRIPQPEGKTNMSDGGIRFILVCHFSSHMPICHLSAKPATTFRPHSSSQLVHLLFCVSWFRISLKDEVPGLDFPQTSLATELFPGIIRIKPAGLTHLGTKSQATQNQQPNGLLSC >EOY16734 pep chromosome:Theobroma_cacao_20110822:8:10711629:10716753:1 gene:TCM_035616 transcript:EOY16734 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative MERHIQRFLNKVSLLSITIATITLLFLFLQTPETCIPHDTTLKPHLRFPKSTCDSSPRHYLPLPKKNTRLWSSKSWITQVSSFTQLFTQLYKMGLLKKHSKVLCVSAGAGHEVMALSKMGVEDVTGVEVIESLPLVSKADPHNLPFFDGAFDVAFTGHLVEALYPRRYAGEMERTVREGGVCVVVVDECGDEEVKEIVWLFRRSRLVGTSNVTLNRRRVTRIILRTIASA >EOY17092 pep chromosome:Theobroma_cacao_20110822:8:17823338:17824190:1 gene:TCM_036270 transcript:EOY17092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MEHEIDCHARVSPSNYRGVRQRKWGKWVSEIREPGKKTRIWLGSYETAEMAAAAYDVAALHFRGRAARLNFPELVDSLPRPASSSAEDVQMAAQEAALRLRRPKISSEVAMGACAGTGAAAAADGSLGPIRVGLSQSQIQAINEFPLDSPKMWMELAGALLMAEPTLYGDEFDDAELMISEYEEIVQVESIWD >EOY15913 pep chromosome:Theobroma_cacao_20110822:8:5003709:5005447:-1 gene:TCM_034836 transcript:EOY15913 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MKNRKLFPTFTETNQTVDCHGFCDPACPYNCYPYPGYYYLPPPPPPSLSDQNHYISPYVIILVSVLASFFLLIGYYVIIVKSCFGLCWSRNNRQPQAQTDGSDEEFLDENRVDHPIWFITTVGLQQSIINSITVCKYKKGEGLIEGTECSVCLNEFQEEETVRLLPKCNHAFHISCIDTWLGAHTNCPLCRAHIVFDAVCAPPTSADQNSNNMELVADNRIENSEIGGELSSQNQGRNSELCENRARADASSELPEANDQRILKEGVYYEENGTLEVSDCIDSSQAVAKEIQQIKRCVSMDSSSAASLFLGLANLCPRKSEGSSFDHPEDVENPHSSIIPKQDEGNSSEFRLMGNSSIAQHLHLSPVPMKRSFSCGGRIFSSKRSRSMNSILPL >EOY16354 pep chromosome:Theobroma_cacao_20110822:8:6824395:6834041:-1 gene:TCM_035159 transcript:EOY16354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin-like repeat, C2 calcium/lipid-binding domain (CaLB) protein isoform 1 MSKSPSPEPRDCGPSSSSKPRESYGTTGVGDPDDTVATVARFIEQLHANMSSPSEKEIITARVLGIARARKEARTLIGSHGQAMPLFISILRSGTLVAKLNVAATLTALCKDEDLRLKVLLGGCIPPLLSLLKSESTEARKAAAEAIFEVSSGGLSDDHVGMKIFVTEDVVPTLWEKLSPKNKQDKVVEGFVTGALRNLCGEKDGYWRATLKAGGVDIIVGLLSSDNAAAQSNAASLLARLMLAFSDSIPKVIDSGAVKALLQLVGQNNDTSVRSSAADALEALSSKSSAAKKAVVDANGVPSLIGAVVAPSKECMQGEHAQALQGHATCALANICGGMSDLILYLGELSQSSRLAAPVADIVGALAYALMVFEQISSLDEEPFDVPQIEDVLVMLLKPRDNKLVQDRVLEAMASLYGNTYLSGWLNHAEAKRVLIGLITMAAADVREHLILSLTSLCCDKVGVWEAIGNREGIQLLISLLGLSSEQHQEYAVHLLAILTDQVDDSKWAITAAGGIPPLVQLLEMGSQKAREDAAHILWNLCCHSEDIRACVESAGAVPAFLWLLRSGGPKGQEASAKALTKLVRTADSATINHLLALLLGDTPSSKAHIIRVLGHVLIMAPHEDLVHKGSAANKGLKSLVQVLNSSNEETQEYAASVLADLFSTRQDICDSLATDEIVHPCMKLLTSKTQVVATQSARALGALSRPTKSKTASKMAYIAAADVKPLIKLAKTSLVGAAETAVAALANLLSDSHIAAEALAEDVVSALTRVLGDGTSEGKKNASRALHQLLKHFPVGDVLIGNSQCRFAVLALVDSLNAMDMDTTDAADALEVVALLSRTKKGVNLTYPPWSALAEAPSSLEPLVRCLAEGPPPLQDKSIEILSRLCGEQPVVLSDLLVARSRSIGSLAKRTINSASLEVRVGGAALLTCTAKERKQQSLDALDQSGYLKPLIEALVDMAKRNLRCTSLEIEVRAPRDFDRNAFQEGEEFDVPDSATILGGTVALWLLSILSSCLSKNKITVMEAGGLEVLSDKLASYASNPQAEFEDTEGIWISALLLAILFQDANLVLSPATMRIIPSLALLLRSEEVIDRYFAAQAMASLVCNGSKGINLVIANSGAVAGLITLIGYMESDMPNLVALSEEFSLVQNPGQVVLEHLFEIEDVRVGSTARKSIPLLVDLLRPIPDRPGAPPIAVQLLTRIAEGSDTNKLIMGEAGALDALTKYLSLSPQDSTEADICELLRILFGNQDLIRYEASLSSLNQLIAVLRLGSKNARFSSARALHQLFDAENVRDSELARQAVQPLVDMLCAASESEQEAALVALIKLTSGNTSKAAIMTDVEGNPLESLHKILSSSSSLELKRNAAQLCFALFGNTKFRANPIASECIQPLISLMQSDTSTAVESGVCAFERLLDDEQQVELAAAYDIVDLLIGLISERNHELIEASVCALIKLGKDRTPCKLDMVKAGVIDNCLEVLPVVSSSLCSSIAELFRILTNSNAIARSSDAAKIVEPLFMVLLRPDFSLWGQHSALQALVNILEKPQSLATLKLTPSQVIEPLISFLESPSQAIQQLGTELLTHLLAQEHFQQDIMTKNAVVPLVQLAGIGILNLQQTAIKALEKISASWPKAVADAGGIFELAKVIIQDNPQPPHVLWESAALVLCNVLHFNAEYYFKVPLIVLVKMLHSTLESTITVALNALIVHERSDASSVEQMTEAGAIDALLDLLRSHQCEEASGRLLEALFNNVRVREMKVSKYAIAPLAQYLLDPQTRSESGRLLAALALGDLSQHEGHARASDSVSACRALVSLLEDQPTEDMKMVAICALQNFVMRSRTNRRAVAEAGGILVIQELLLSLNAEVAAQAALLIKFLFSNHTLQEYVSNELIRSLTAALERELWSTATINEEVLRTLNVILANFPKLHISEAATLCIPHLIGALKSGSEGAQESVLDTLCLLKHSWSTMPIDIAKSQSMIAAEAIPILQMLMKTCPPSFHERADSLLHCLPGCLTVTIKRGNNLKQAMGATNAFCRLTIGNGPPRQTKVVSHSTSPEWKEGFTWAFDVPPKGQKLHIICKSKNTFGKTTLGRMTIQIDKVVSEGVYSGLFSLNHDSNKDGSSRTLEIEIIWSNRISNDDSM >EOY16356 pep chromosome:Theobroma_cacao_20110822:8:6823833:6835115:-1 gene:TCM_035159 transcript:EOY16356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin-like repeat, C2 calcium/lipid-binding domain (CaLB) protein isoform 1 MSKSPSPEPRDCGPSSSSKPRESYGTTGVGDPDDTVATVARFIEQLHANMSSPSEKEIITARVLGIARARKEARTLIGSHGQAMPLFISILRSGTLVAKLNVAATLTALCKDEDLRLKVLLGGCIPPLLSLLKSESTEARKAAAEAIFEVSSGGLSDDHVGMKIFVTEDVVPTLWEKLSPKNKQDKVVEGFVTGALRNLCGEKDGYWRATLKAGGVDIIVGLLSSDNAAAQSNAASLLARLMLAFSDSIPKVIDSGAVKALLQLVGQNNDTSVRSSAADALEALSSKSSAAKKAVVDANGVPSLIGAVVAPSKECMQGEHAQALQGHATCALANICGGMSDLILYLGELSQSSRLAAPVADIVGALAYALMVFEQISSLDEEPFDVPQIEDVLVMLLKPRDNKLVQDRVLEAMASLYGNTYLSGWLNHAEAKRVLIGLITMAAADVREHLILSLTSLCCDKVGVWEAIGNREGIQLLISLLGLSSEQHQEYAVHLLAILTDQVDDSKWAITAAGGIPPLVQLLEMGSQKAREDAAHILWNLCCHSEDIRACVESAGAVPAFLWLLRSGGPKGQEASAKALTKLVRTADSATINHLLALLLGDTPSSKAHIIRVLGHVLIMAPHEDLVHKGSAANKGLKSLVQVLNSSNEETQEYAASVLADLFSTRQDICDSLATDEIVHPCMKLLTSKTQVVATQSARALGALSRPTKSKTASKMAYIAAADVKPLIKLAKTSLVGAAETAVAALANLLSDSHIAAEALAEDVVSALTRVLGDGTSEGKKNASRALHQLLKHFPVGDVLIGNSQCRFAVLALVDSLNAMDMDTTDAADALEVVALLSRTKKGVNLTYPPWSALAEAPSSLEPLVRCLAEGPPPLQDKSIEILSRLCGEQPVVLSDLLVARSRSIGSLAKRTINSASLEVRVGGAALLTCTAKERKQQSLDALDQSGYLKPLIEALVDMAKRNLRCTSLEIEVRAPRDFDRNAFQEGEEFDVPDSATILGGTVALWLLSILSSCLSKNKITVMEAGGLEVLSDKLASYASNPQAEFEDTEGIWISALLLAILFQDANLVLSPATMRIIPSLALLLRSEEVIDRYFAAQAMASLVCNGSKGINLVIANSGAVAGLITLIGYMESDMPNLVALSEEFSLVQNPGQVVLEHLFEIEDVRVGSTARKSIPLLVDLLRPIPDRPGAPPIAVQLLTRIAEGSDTNKLIMGEAGALDALTKYLSLSPQDSTEADICELLRILFGNQDLIRYEASLSSLNQLIAVLRLGSKNARFSSARALHQLFDAENVRDSELARQAVQPLVDMLCAASESEQEAALVALIKLTSGNTSKAAIMTDVEGNPLESLHKILSSSSSLELKRNAAQLCFALFGNTKFRANPIASECIQPLISLMQSDTSTAVESGVCAFERLLDDEQQVELAAAYDIVDLLIGLISERNHELIEASVCALIKLGKDRTPCKLDMVKAGVIDNCLEVLPVVSSSLCSSIAELFRILTNSNAIARSSDAAKIVEPLFMVLLRPDFSLWGQHSALQALVNILEKPQSLATLKLTPSQVIEPLISFLESPSQAIQQLGTELLTHLLAQEHFQQDIMTKNAVVPLVQLAGIGILNLQQTAIKALEKISASWPKAVADAGGIFELAKVIIQDNPQPPHVLWESAALVLCNVLHFNAEYYFKVPLIVLVKMLHSTLESTITVALNALIVHERSDASSVEQMTEAGAIDALLDLLRSHQCEEASGRLLEALFNNVRVREMKVSKYAIAPLAQYLLDPQTRSESGRLLAALALGDLSQHEGHARASDSVSACRALVSLLEDQPTEDMKMVAICALQNFVMRSRTNRRAVAEAGGILVIQELLLSLNAEVAAQAALLIKFLFSNHTLQEYVSNELIRSLTGALKSGSEGAQESVLDTLCLLKHSWSTMPIDIAKSQSMIAAEAIPILQMLMKTCPPSFHERADSLLHCLPGCLTVTIKRGNNLKQAMGATNAFCRLTIGNGPPRQTKVVSHSTSPEWKEGFTWAFDVPPKGQKLHIICKSKNTFGKTTLGRMTIQIDKVVSEGVYSGLFSLNHDSNKDGSSRTLEIEIIWSNRISNDDSM >EOY16355 pep chromosome:Theobroma_cacao_20110822:8:6823748:6838658:-1 gene:TCM_035159 transcript:EOY16355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin-like repeat, C2 calcium/lipid-binding domain (CaLB) protein isoform 1 MSKSPSPEPRDCGPSSSSKPRESYGTTGVGDPDDTVATVARFIEQLHANMSSPSEKEIITARVLGIARARKEARTLIGSHGQAMPLFISILRSGTLVAKLNVAATLTALCKDEDLRLKVLLGGCIPPLLSLLKSESTEARKAAAEAIFEVSSGGLSDDHVGMKIFVTEDVVPTLWEKLSPKNKQDKVVEGFVTGALRNLCGEKDGYWRATLKAGGVDIIVGLLSSDNAAAQSNAASLLARLMLAFSDSIPKVIDSGAVKALLQLVGQNNDTSVRSSAADALEALSSKSSAAKKAVVDANGVPSLIGAVVAPSKECMQGEHAQALQGHATCALANICGGMSDLILYLGELSQSSRLAAPVADIVGALAYALMVFEQISSLDEEPFDVPQIEDVLVMLLKPRDNKLVQDRVLEAMASLYGNTYLSGWLNHAEAKRVLIGLITMAAADVREHLILSLTSLCCDKVGVWEAIGNREGIQLLISLLGLSSEQHQEYAVHLLAILTDQVDDSKWAITAAGGIPPLVQLLEMGSQKAREDAAHILWNLCCHSEDIRACVESAGAVPAFLWLLRSGGPKGQEASAKALTKLVRTADSATINHLLALLLGDTPSSKAHIIRVLGHVLIMAPHEDLVHKGSAANKGLKSLVQVLNSSNEETQEYAASVLADLFSTRQDICDSLATDEIVHPCMKLLTSKTQVVATQSARALGALSRPTKSKTASKMAYIAAADVKPLIKLAKTSLVGAAETAVAALANLLSDSHIAAEALAEDVVSALTRVLGDGTSEGKKNASRALHQLLKHFPVGDVLIGNSQCRFAVLALVDSLNAMDMDTTDAADALEVVALLSRTKKGVNLTYPPWSALAEAPSSLEPLVRCLAEGPPPLQDKSIEILSRLCGEQPVVLSDLLVARSRSIGSLAKRTINSASLEVRVGGAALLTCTAKERKQQSLDALDQSGYLKPLIEALVDMAKRNLRCTSLEIEVRAPRDFDRNAFQEGEEFDVPDSATILGGTVALWLLSILSSCLSKNKITVMEAGGLEVLSDKLASYASNPQAEFEDTEGIWISALLLAILFQDANLVLSPATMRIIPSLALLLRSEEVIDRYFAAQAMASLVCNGSKGINLVIANSGAVAGLITLIGYMESDMPNLVALSEEFSLVQNPGQVVLEHLFEIEDVRVGSTARKSIPLLVDLLRPIPDRPGAPPIAVQLLTRIAEGSDTNKLIMGEAGALDALTKYLSLSPQDSTEADICELLRILFGNQDLIRYEASLSSLNQLIAVLRLGSKNARFSSARALHQLFDAENVRDSELARQAVQPLVDMLCAASESEQEAALVALIKLTSGNTSKAAIMTDVEGNPLESLHKILSSSSSLELKRNAAQLCFALFGNTKFRANPIASECIQPLISLMQSDTSTAVESGVCAFERLLDDEQQVELAAAYDIVDLLIGLISERNHELIEASVCALIKLGKDRTPCKLDMVKAGVIDNCLEVLPVVSSSLCSSIAELFRILTNSNAIARSSDAAKIVEPLFMVLLRPDFSLWGQHSALQALVNILEKPQSLATLKLTPSQVIEPLISFLESPSQAIQQLGTELLTHLLAQEHFQQDIMTKNAVVPLVQLAGIGILNLQQTAIKALEKISASWPKAVADAGGIFELAKVIIQDNPQPPHVLWESAALVLCNVLHFNAEYYFKVPLIVLVKMLHSTLESTITVALNALIVHERSDASSVEQMTEAGAIDALLDLLRSHQCEEASGRLLEALFNNVRVREMKVSKYAIAPLAQYLLDPQTRSESGRLLAALALGDLSQHEGHARASDSVSACRALVSLLEDQPTEDMKMVAICALQNFVMRSRTNRRAVAEAGGILVIQELLLSLNAEVAAQAALLIKFLFSNHTLQEYVSNELIRSLTAALERELWSTATINEEVLRTLNVILANFPKLHISEAATLCIPHLIGALKSGSEGAQESVLDTLCLLKHSWSTMPIDIAKSQSMIAAEAIPILQMLMKTCPPSFHERADSLLHCLPGCLTVTIKRGNNLKQAMGATNAFCRLTIGNGPPRQTKVVSHSTSPEWKEGFTWAFDVPPKGQKLHIICKSKNTFGKTTLGRMTIQIDKVVSEGVYSGLFSLNHDSNKDGSSRTLEIEIIWSNRISNDDSM >EOY14477 pep chromosome:Theobroma_cacao_20110822:8:424087:427258:-1 gene:TCM_033846 transcript:EOY14477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycogenin, putative isoform 1 MEAVLEENEARSKRKPKTNLTKLEVPRFIDEIGGGLKIGMVNFEDEDYSEWETHGETIPILFERVSELFEWPDLFPEWIDEEEEIDGPKCPEIPMPDFSKYDSLDLIVAKLPCQYPEDGWAREVFRLQVHLIAANLAVKNGKRDWNWRTKVVFLSKCRPMLEVFRCNDLVKQEDEWWYYEPEVARLEQKVSLPVGSCKLALPLWGRGIDEIFDASKIEQASTTVKREAYATVLHSSEAYVCGAITLAQSLVKTGTKRDLILLLDKSISEPKRDALKAAGWQLRFIKRIRNPRAEKHSYNEYNYSKFRLWQLTDYDKVIFIDADIIVLRNLDLLFHFPQMTATGNDVWIFNSGIMVIEPSNCTFQLLMNKRKEIFSYNGGDQGFLNEVFVWWHRLPRRVNFLKNFWSNSTIETGLKNQLFAADPPKVYAIHYLGLKPWLCYRDYDCNWNIGDQRVYASDVAHQRWWKFYDSMDEKLRQFCGLTKRRKIDLDWDRKKAGEAGLKDEHWRINITDSRRWKKNLIQ >EOY14476 pep chromosome:Theobroma_cacao_20110822:8:423957:427426:-1 gene:TCM_033846 transcript:EOY14476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycogenin, putative isoform 1 MGEGLGLQKIIKATPSKALVIRINLVFLAFFLVIYASLLLRPSSSVYFENAASLVRCSLGECHHKVEKGVKMEAVLEENEARSKRKPKTNLTKLEVPRFIDEIGGGLKIGMVNFEDEDYSEWETHGETIPILFERVSELFEWPDLFPEWIDEEEEIDGPKCPEIPMPDFSKYDSLDLIVAKLPCQYPEDGWAREVFRLQVHLIAANLAVKNGKRDWNWRTKVVFLSKCRPMLEVFRCNDLVKQEDEWWYYEPEVARLEQKVSLPVGSCKLALPLWGRGIDEIFDASKIEQASTTVKREAYATVLHSSEAYVCGAITLAQSLVKTGTKRDLILLLDKSISEPKRDALKAAGWQLRFIKRIRNPRAEKHSYNEYNYSKFRLWQLTDYDKVIFIDADIIVLRNLDLLFHFPQMTATGNDVWIFNSGIMVIEPSNCTFQLLMNKRKEIFSYNGGDQGFLNEVFVWWHRLPRRVNFLKNFWSNSTIETGLKNQLFAADPPKVYAIHYLGLKPWLCYRDYDCNWNIGDQRVYASDVAHQRWWKFYDSMDEKLRQFCGLTKRRKIDLDWDRKKAGEAGLKDEHWRINITDSRRWKKNLIQ >EOY16237 pep chromosome:Theobroma_cacao_20110822:8:6380723:6381621:1 gene:TCM_035076 transcript:EOY16237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSVAQEDRTCAILASAYGSNFRHLIRFTYLSQSNNFTNDLTYPSFFFFLVEELDLTYHFFVASYHKLRPNKGGLY >EOY14895 pep chromosome:Theobroma_cacao_20110822:8:1595621:1598683:-1 gene:TCM_034139 transcript:EOY14895 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL18I08.10 protein isoform 1 MDEDHSNGGFLETGKADRSVWLMKCPVVVAKSWKSHTASSSDSQPVAKVVLSLDPRKPDDPSSLQFTMEMAGSESGNIPKSYSLNMFKDFVPMCVFSESSQGRVAMEGKVEHKFDMKPHEENLEEYGRLCRERTNKSMIKNRQIQVIDNDRGVHMRPMPGMVGLISSNPKDKKKAAPVKPTEMKRTRRDRGELEDIMFKLFERQPNWALKQLVQETDQPAQFLKEILNELCVYNKRGTNQGTYELKPEYKKSVEDTGAE >EOY14896 pep chromosome:Theobroma_cacao_20110822:8:1595479:1598736:-1 gene:TCM_034139 transcript:EOY14896 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL18I08.10 protein isoform 1 MDEDHSNGGFLETGKADRSVWLMKCPVVVAKSWKSHTASSSDSQPVAKVVLSLDPRKPDDPSSLQFTMEMAGSESGNIPKSYSLNMFKDFVPMCVFSESSQGRVAMEGKVEHKFDMKPHEENLEEYGRLCRERTNKSMIKNRQIQVIDNDRGVHMRPMPGMVGLISSNPKQDKKKAAPVKPTEMKRTRRDRGELEDIMFKLFERQPNWALKQLVQETDQPAQFLKEILNELCVYNKRGTNQGTYELKPEYKKSVEDTGAE >EOY15244 pep chromosome:Theobroma_cacao_20110822:8:2701127:2708633:-1 gene:TCM_034384 transcript:EOY15244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch branching enzyme II, putative isoform 3 MLSSLGLLATPFAFDSFSPSSNKARTLSSTSNHQLAKQLAVESRRGSRKLLGRYVFPFNSVYGKVSHGSLISAVLVDDSSTMTSSEEDAENIGILGVDSALEPFKDHFLYRVKKYVDQKNLFEKHEGGLEEFAKGYLKFGFNREEGGIVYREWAPAAQEAQVIGDFNGWDGSNHKMEKNQFGVWSIKIPDSGGNPAIPHNSRVKFRFKHGDGVWVDRIPAWIKYATVDSTRFGAPYDAVHWDPPPSERYEFKYPCPPKPKAPRIYEAHVGMSSSEPCINSYREFADDVLPRIWANNYTTVQLMAVMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLIDKAHSLGLQVLMDVVHSHASNNVTDGLNGFDVGQSSQDSYFHSGESGYHKLWDSRLFNYGNWEVLRFLLSNLRWWLEAFKFDGFRFDGVTSMLYHHHGINMGFTGDYNEYFSEATDVDAVVYLMLANSLIHSILPDATVIAEDVSGMPGLGRPVSEGGTGFDYRLAMAIPDKWIDYLKNKNDEEWSMKDISRSLTNRRQTEKCISYAESHDQAIVGDKTIAFLIMDKEMYSGMSCLTDASPTVERGIALHKMIHFITMALGGEGYLNFMGNEFGHPEWIDFPREGNGWSYEKCRRQWSLVDTEHLRYKFMNAFDRAMNALDDKFSFLSSTKQILSSCSEEDQVVVFERGDLVFVFNFHPKNTYDGYKVGCDLPGKYRVALDSDAWEFGGHGRVGHDVDHFTSPEGIPGVPETNFNNRPNSFIVLSPARTCVVYYRVEENPEDINDGNLTSANETLQVDAVKQENTEESASVVDVMETKSLPVSIESPGVEESPGETNDDNLAGVDERLPIEGAKQENIEERASVVDRSFAAKLDTPEVEEREDGTLDD >EOY15249 pep chromosome:Theobroma_cacao_20110822:8:2702331:2708211:-1 gene:TCM_034384 transcript:EOY15249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch branching enzyme II, putative isoform 3 MLSSLGLLATPFAFDSFSPSSNKARTLSSTSNHQLAKQLAVESRRGSRKLLGRYVFPFNSVYGKVSHGSLISAVLVDDSSTMTSSEEDAENIGILGVDSALEPFKDHFLYRVKKYVDQKNLFEKHEGGLEEFAKGYLKFGFNREEGGIVYREWAPAAQEAQVIGDFNGWDGSNHKMEKNQFGVWSIKIPDSGGNPAIPHNSRVKFRFKHGDGVWVDRIPAWIKYATVDSTRFGAPYDAVHWDPPPSERYEFKYPCPPKPKAPRIYEAHVGMSSSEPCINSYREFADDVLPRIWANNYTTVQLMAVMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLIDKAHSLGLQVLMDVVHSHASNNVTDGLNGFDVGQSSQDSYFHSGESGYHKLWDSRLFNYGNWEVLRFLLSNLRWWLEAFKFDGFRFDGVTSMLYHHHGINMGFTGDYNEYFSEATDVDAVVYLMLANSLIHSILPDATVIAEDVSGMPGLGRPVSEGGTGFDYRLAMAIPDKWIDYLKNKNDEEWSMKDISRSLTNRRQTEKCISYAESHDQAIVGDKTIAFLIMDKEMYSGMSCLTDASPTVERGIALHKMIHFITMALGGEGYLNFMGNEFGHPEWIDFPREGNGWSYEKCRRQWSLVDTEHLRYKFMNAFDRAMNALDDKFSFLSSTKQILSSCSEEDQVQSGV >EOY15246 pep chromosome:Theobroma_cacao_20110822:8:2701631:2708211:-1 gene:TCM_034384 transcript:EOY15246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch branching enzyme II, putative isoform 3 MLSSLGLLATPFAFDSFSPSSNKARTLSSTSNHQLAKQLAVESRRGSRKLLGRYVFPFNSVYGKVSHGSLISAVLVDDSSTMTSSEEDAENIGILGVDSALEPFKDHFLYRVKKYVDQKNLFEKHEGGLEEFAKGYLKFGFNREEGGIVYREWAPAAQEAQVIGDFNGWDGSNHKMEKNQFGVWSIKIPDSGGNPAIPHNSRVKFRFKHGDGVWVDRIPAWIKYATVDSTRFGAPYDAVHWDPPPSERYEFKYPCPPKPKAPRIYEAHVGMSSSEPCINSYREFADDVLPRIWANNYTTVQLMAVMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLIDKAHSLGLQVLMDVVHSHASNNVTDGLNGFDVGQSSQDSYFHSGESGYHKLWDSRLFNYGNWEVLRFLLSNLRWWLEAFKFDGFRFDGVTSMLYHHHGINMGFTGDYNEYFSEATDVDAVVYLMLANSLIHSILPDATVIAEDVSGMPGLGRPVSEGGTGFDYRLAMAIPDKWIDYLKNKNDEEWSMKDISRSLTNRRQTEKCISYAESHDQAIVGDKTIAFLIMDKEMYSGMSCLTDASPTVERGIALHKMIHFITMALGGEGYLNFMGNEFGHPEWIDFPREGNGWSYEKCRRQWSLVDTEHLRYKFMNAFDRAMNALDDKFSFLSSTKQILSSCSEEDQVVVFERGDLVFVFNFHPKNTYDGYKVGCDLPGKYRVALDSDAWEFGGHGRVGHDVDHFTSPEGIPGVPETNFNNRPNSFIVLSPARTCVV >EOY15247 pep chromosome:Theobroma_cacao_20110822:8:2704821:2708144:-1 gene:TCM_034384 transcript:EOY15247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch branching enzyme II, putative isoform 3 MLSSLGLLATPFAFDSFSPSSNKARTLSSTSNHQLAKQLAVESRRGSRKLLGRYVFPFNSVYGKVSHGSLISAVLVDDSSTMTSSEEDAENIGILGVDSALEPFKDHFLYRVKKYVDQKNLFEKHEGGLEEFAKGYLKFGFNREEGGIVYREWAPAAQEAQVIGDFNGWDGSNHKMEKNQFGVWSIKIPDSGGNPAIPHNSRVKFRFKHGDGVWVDRIPAWIKYATVDSTRFGAPYDAVHWDPPPSERYEFKYPCPPKPKAPRIYEAHVGMSSSEPCINSYREFADDVLPRIWANNYTTVQLMAVMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLIDKAHSLGLQVLMDVVHSHASNNVTDGLNGFDVGQSSQDSYFHSGESGYHKLWDSRLFNYGNWEVLRFLLSNLRWWLEAFKFDGFRFDGVTSMLYHHHGINMGFTGDYNEYFSEATDVDAVVYLMLANSLIHSILPDATVIAEDVSGMPGLGRPVSEGGTGFDYRLAMAIPDKWIDYLKNKNDEEWSMKDISRSLTNRRQTEKCISYAESHDQAIVGDKTIAFLIMDKEMYSGMSCLTDASPTVERGIALHKR >EOY15245 pep chromosome:Theobroma_cacao_20110822:8:2701662:2708182:-1 gene:TCM_034384 transcript:EOY15245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch branching enzyme II, putative isoform 3 MLSSLGLLATPFAFDSFSPSSNKARTLSSTSNHQLAKQLAVESRRGSRKLLGRYVFPFNSVYGKVSHGSLISAVLVDDSSTMTSSEEDAENIGILGVDSALEPFKDHFLYRVKKYVDQKNLFEKHEGGLEEFAKGYLKFGFNREEGGIVYREWAPAAQEAQVIGDFNGWDGSNHKMEKNQFGVWSIKIPDSGGNPAIPHNSRVKFRFKHGDGVWVDRIPAWIKYATVDSTRFGAPYDAVHWDPPPSERYEFKYPCPPKPKAPRIYEAHVGMSSSEPCINSYREFADDVLPRIWANNYTTVQLMAVMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLIDKAHSLGLQVLMDVVHSHASNNVTDGLNGFDVGQSSQDSYFHSGESGYHKLWDSRLFNYGNWEVLRFLLSNLRWWLEAFKFDGFRFDGVTSMLYHHHGINMGFTGDYNEYFSEATDVDAVVYLMLANSLIHSILPDATVIAEDVSGMPGLGRPVSEGGTGFDYRLAMAIPDKWIDYLKNKNDEEWSMKDISRSLTNRRQTEKCISYAESHDQAIVGDKTIAFLIMDKEMYSGMSCLTDASPTVERGIALHKMIHFITMALGGEGYLNFMGNEFGHPEWIDFPREGNGWSYEKCRRQWSLVDTEHLRYKFMNAFDRAMNALDDKFSFLSSTKQILSSCSEEDQVVVFERGDLVFVFNFHPKNTYDGYKVGCDLPGKYRVALDSDAWEFGGHGRVGHDVDHFTSPEGIPGVPETNFNNRPNSFIVLSPARTCVAWKCTQVPT >EOY15248 pep chromosome:Theobroma_cacao_20110822:8:2702644:2708144:-1 gene:TCM_034384 transcript:EOY15248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch branching enzyme II, putative isoform 3 MLSSLGLLATPFAFDSFSPSSNKARTLSSTSNHQLAKQLAVESRRGSRKLLGRYVFPFNSVYGKVSHGSLISAVLVDDSSTMTSSEEDAENIGILGVDSALEPFKDHFLYRVKKYVDQKNLFEKHEGGLEEFAKGYLKFGFNREEGGIVYREWAPAAQEAQVIGDFNGWDGSNHKMEKNQFGVWSIKIPDSGGNPAIPHNSRVKFRFKHGDGVWVDRIPAWIKYATVDSTRFGAPYDAVHWDPPPSERYEFKYPCPPKPKAPRIYEAHVGMSSSEPCINSYREFADDVLPRIWANNYTTVQLMAVMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLIDKAHSLGLQVLMDVVHSHASNNVTDGLNGFDVGQSSQDSYFHSGESGYHKLWDSRLFNYGNWEVLRFLLSNLRWWLEAFKFDGFRFDGVTSMLYHHHGINMGFTGDYNEYFSEATDVDAVVYLMLANSLIHSILPDATVIAEDVSGMPGLGRPVSEGGTGFDYRLAMAIPDKWIDYLKNKNDEEWSMKDISRSLTNRRQTEKCISYAESHDQAIVGDKTIAFLIMDKEMYSGMSCLTDASPTVERGIALHKMIHFITMALGGEGYLNFMGNEFGHPEWIDFPREGNGWSYEKCRRQWSLVDTEHLRYKFMNAFDRAMNALDDKFSFLSSTKQILSSCSEEDQVVVFERGDLVFVFNFHPKNTYDGYKVGCDLPGKYRVALDSDAWEFGGHGRVGHDVDHFTSPEGIPGVPETNFNNRPNSFIVLSPARTCVV >EOY17176 pep chromosome:Theobroma_cacao_20110822:8:18163946:18169483:-1 gene:TCM_036329 transcript:EOY17176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding protein isoform 8 MQRSRRALLERRALDRAITGRSFFYKVSLSLVFVLWGLLFLLSLWVSHGDGYKDGSMAHGLSTWDEAKMRHNKHSDSPGQCLADESGSFFSHDGFCTNGAKTTALPAESSTSEASKNHVSTFEQLDADNSIAGVTSENSSPKSDRLSHAVPLGLDEFKSRAFISRSKSGTGQAGVKHRVEPGGKEYNYASASKGAKVLLCNKEAKGASNILGKDKDKYLRNPCSAEEKFVIIELSEETLVDTIEIANFEHYSSKLKDFELLGSLFFPTDVWIKLGNFTAGNVKHAQRFVLKEPKWVRYLKLNLLSHYGSEFYCTLSVIEVYGVDAVERMLEDLISVQDNLFASDDGTRDQKQMPSKLEPTQGNSVYQNSHKEMGSESSVENSNLQHDVFNNIVPSPVEDIHHQQVGRVPGDSVLKILMQKVRALDLNLSVLERYLEELNSKYGNIFKEFDEDIGEKDKLLEKIKSDIKDLLDSQKIMAKDIGDVASWKSLVSIQLDTILRDNADLRSKVEKVREK >EOY17171 pep chromosome:Theobroma_cacao_20110822:8:18165030:18168259:-1 gene:TCM_036329 transcript:EOY17171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding protein isoform 8 MQRSRRALLERRALDRAITGRSFFYKVSLSLVFVLWGLLFLLSLWVSHGDGYKDGSMAHGLSTWDEAKMRHNKHSDSPGQCLADESGSFFSHDGFCTNGAKTTALPAESSTSEASKNHVSTFEQLDADNSIAGVTSENSSPKSDRLSHAVPLGLDEFKSRAFISRSKSGTGQAGVKHRVEPGGKEYNYASASKGAKVLLCNKEAKGASNILGKDKDKYLRNPCSAEEKFVIIELSEETLVDTIEIANFEHYSSKLKDFELLGSLFFPTDVWIKLGNFTAGNVKHAQRFVLKEPKWVRYLKLNLLSHYGSEFYCTLSVIEVYGVDAVERMLEDLISVQDNLFASDDGTRDQKQMPSKLEPTQGNSVYQNSHKEMGSESSVENSNLQHDVFNNIVPSPVEDIHHQQVGRVPGDSVLKILMQKVRALDLNLSVLERYLEELNSKYGNIFKEFDEDIGEKDKLLEKIKSDIKDLLDSQKIMAKDIGDVASWKSLVSIQLDTILRDNADLRQCRVY >EOY17178 pep chromosome:Theobroma_cacao_20110822:8:18163523:18169282:-1 gene:TCM_036329 transcript:EOY17178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding protein isoform 8 MQRSRRALLERRALDRAITGRSFFYKVSLSLVFVLWGLLFLLSLWVSHGDGYKDGSMAHGLSTWDEAKMRHNKHSDSPGQCLADESGSFFSHDGFCTNGAKTTALPAESSTSEASKNHVSTFEQLDADNSIAGVTSENSSPKSDRLSHAVPLGLDEFKSRAFISRSKSGTGQAGVKHRVEPGGKEYNYASASKGAKVLLCNKEAKGASNILGKDKDKYLRNPCSAEEKFVIIELSEETLVDTIEIANFEHYSSKLKDFELLGSLFFPTDVWIKLGNFTAGNVKHAQRFVLKEPKWVRYLKLNLLSHYGSEFYCTLSVIEVYGVDAVERMLEDLISVQDNLFASDDGTRDQKQMPSKLEPTQGNSVYQNSHKEMGSESSVENSNLQHDVFNNIVPSPVEDIHHQQVGRVPGDSVLKILMQKVRALDLNLSVLERYLEELNSKYGNIFKEFDEDIGEKDKLLSKVEKVREKQISMENKGIAVFVVSLIFGFLAFVRLLVDMLLSVSMSLSDEKTEKPRKFCSFSSSWLLLLCSCSIVFILSI >EOY17170 pep chromosome:Theobroma_cacao_20110822:8:18163730:18166891:-1 gene:TCM_036329 transcript:EOY17170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding protein isoform 8 MLFLDYCLRLLSYSFHKSRKYGSMAHGLSTWDEAKMRHNKHSDSPGQCLADESGSFFSHDGFCTNGAKTTALPAESSTSEASKNHVSTFEQLDADNSIAGVTSENSSPKSDRLSHAVPLGLDEFKSRAFISRSKSGTGQAGVKHRVEPGGKEYNYASASKGAKVLLCNKEAKGASNILGKDKDKYLRNPCSAEEKFVIIELSEETLVDTIEIANFEHYSSKLKDFELLGSLFFPTDVWIKLGNFTAGNVKHAQRFVLKEPKWVRYLKLNLLSHYGSEFYCTLSVIEVYGVDAVERMLEDLISVQDNLFASDDGTRDQKQMPSKLEPTQGNSVYQNSHKEMGSESSVENSNLQHDVFNNIVPSPVEDIHHQQVGRVPGDSVLKILMQKVRALDLNLSVLERYLEELNSKYGNIFKEFDEDIGEKDKLLEKIKSDIKDLLDSQKIMAKDIGDVASWKSLVSIQLDTILRDNADLRSKVEKVREKQISMENKGIAVFVVSLIFGFLAFVRLLVDMLLSVSMSLSDEKTEKPRKFCSFSSSWLLLLCSCSIVFILSI >EOY17177 pep chromosome:Theobroma_cacao_20110822:8:18163523:18169213:-1 gene:TCM_036329 transcript:EOY17177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding protein isoform 8 MLFLDYCLRLLSYSFHKSRKYGSMAHGLSTWDEAKMRHNKHSDSPGQCLADESGSFFSHDGFCTNGAKTTALPAESSTSEASKNHVSTFEQLDADNSIAGVTSENSSPKSDRLSHAVPLGLDEFKSRAFISRSKSGTGQAGVKHRVEPGGKEYNYASASKGAKVLLCNKEAKGASNILGKDKDKYLRNPCSAEEKFVIIELSEETLVDTIEIANFEHYSSKLKDFELLGSLFFPTDVWIKLGNFTAGNVKHAQRFVLKEPKWVRYLKLNLLSHYGSEFYCTLSVIEVYGVDAVERMLEDLISVQDNLFASDDGTRDQKQMPSKLEPTQGNSVYQNSHKEMGSESSVENSNLQHDVFNNIVPSPVEDIHHQQVGRVPGDSVLKILMQKVRALDLNLSVLERYLEELNSKYGNIFKEFDEDIGEKDKLLSKVEKVREKQISMENKGIAVFVVSLIFGFLAFVRLLVDMLLSVSMSLSDEKTEKPRKFCSFSSSWLLLLCSCSIVFILSI >EOY17169 pep chromosome:Theobroma_cacao_20110822:8:18163946:18169282:-1 gene:TCM_036329 transcript:EOY17169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding protein isoform 8 MQRSRRALLERRALDRAITGRSFFYKVSLSLVFVLWGLLFLLSLWVSHGDGYKDGSMAHGLSTWDEAKMRHNKHSDSPGQCLADESGSFFSHDGFCTNGAKTTALPAESSTSEASKNHVSTFEQLDADNSIAGVTSENSSPKSDRLSHAVPLGLDEFKSRAFISRSKSGTGQAGVKHRVEPGGKEYNYASASKGAKVLLCNKEAKGASNILGKDKDKYLRNPCSAEEKFVIIELSEETLVDTIEIANFEHYSSKLKDFELLGSLFFPTDVWIKLGNFTAGNVKHAQRFVLKEPKWVRYLKLNLLSHYGSEFYCTLSVIEVYGVDAVERMLEDLISVQDNLFASDDGTRDQKQMPSKLEPTQGNSVYQNSHKEMGSESSVENSNLQHDVFNNIVPSPVEDIHHQQVGRVPGDSVLKILMQKVRALDLNLSVLERYLEELNSKYGNIFKEFDEDIGEKDKLLEKIKSDIKDLLDSQKIMAKDIGDVASWKSLVSIQLDTILRDNADLRQCRVY >EOY17172 pep chromosome:Theobroma_cacao_20110822:8:18163946:18169483:-1 gene:TCM_036329 transcript:EOY17172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding protein isoform 8 MLFLDYCLRLLSYSFHKSRKYGSMAHGLSTWDEAKMRHNKHSDSPGQCLADESGSFFSHDGFCTNGAKTTALPAESSTSEASKNHVSTFEQLDADNSIAGVTSENSSPKSDRLSHAVPLGLDEFKSRAFISRSKSGTGQAGVKHRVEPGGKEYNYASASKGAKVLLCNKEAKGASNILGKDKDKYLRNPCSAEEKFVIIELSEETLVDTIEIANFEHYSSKLKDFELLGSLFFPTDVWIKLGNFTAGNVKHAQRFVLKEPKWVRYLKLNLLSHYGSEFYCTLSVIEVYGVDAVERMLEDLISVQDNLFASDDGTRDQKQMPSKLEPTQGNSVYQNSHKEMGSESSVENSNLQHDVFNNIVPSPVEDIHHQQVGRVPGDSVLKILMQKVRALDLNLSVLERYLEELNSKYGNIFKEFDEDIGEKDKLLEKIKSDIKDLLDSQKIMAKDIGDVASWKSLVSIQLDTILRDNADLRSKVEKVREK >EOY17174 pep chromosome:Theobroma_cacao_20110822:8:18165259:18168259:-1 gene:TCM_036329 transcript:EOY17174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding protein isoform 8 MQRSRRALLERRALDRAITGRSFFYKVSLSLVFVLWGLLFLLSLWVSHGDGYKDGSMAHGLSTWDEAKMRHNKHSDSPGQCLADESGSFFSHDGFCTNGAKTTALPAESSTSEASKNHVSTFEQLDADNSIAGVTSENSSPKSDRLSHAVPLGLDEFKSRAFISRSKSGTGQAGVKHRVEPGGKEYNYASASKGAKVLLCNKEAKGASNILGKDKDKYLRNPCSAEEKFVIIELSEETLVDTIEIANFEHYSSKLKDFELLGSLFFPTDVWIKLGNFTAGNVKHAQRFVLKEPKWVRYLKLNLLSHYGSEFYCTLSVIEVYGVDAVERMLEDLISVQDNLFASDDGTRDQKQMPSKLEPTQGNSVYQNSHKEMGSESSVENSNLQHDVFNNIVPSPVEDIHHQQVGRVPGDSVLKILMQKVRALDLNLSVLERYLEELNSKYGNIFKEFDEDIGEKDKLLEKIKSDIKDLLDSQKIMAKDIGDVASWKSLVSIQLDTILRDNADLRLVLSLND >EOY17167 pep chromosome:Theobroma_cacao_20110822:8:18163523:18169282:-1 gene:TCM_036329 transcript:EOY17167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding protein isoform 8 MQRSRRALLERRALDRAITGRSFFYKVSLSLVFVLWGLLFLLSLWVSHGDGYKDGSMAHGLSTWDEAKMRHNKHSDSPGQCLADESGSFFSHDGFCTNGAKTTALPAESSTSEASKNHVSTFEQLDADNSIAGVTSENSSPKSDRLSHAVPLGLDEFKSRAFISRSKSGTGQAGVKHRVEPGGKEYNYASASKGAKVLLCNKEAKGASNILGKDKDKYLRNPCSAEEKFVIIELSEETLVDTIEIANFEHYSSKLKDFELLGSLFFPTDVWIKLGNFTAGNVKHAQRFVLKEPKWVRYLKLNLLSHYGSEFYCTLSVIEVYGVDAVERMLEDLISVQDNLFASDDGTRDQKQMPSKLEPTQGNSVYQNSHKEMGSESSVENSNLQHDVFNNIVPSPVEDIHHQQVGRVPGDSVLKILMQKVRALDLNLSVLERYLEELNSKYGNIFKEFDEDIGEKDKLLEKIKSDIKDLLDSQKIMAKDIGDVASWKSLVSIQLDTILRDNADLRSKVEKVREKQISMENKGIAVFVVSLIFGFLAFVRLLVDMLLSVSMSLSDEKTEKPRKFCSFSSSWLLLLCSCSIVFILSI >EOY17173 pep chromosome:Theobroma_cacao_20110822:8:18163510:18169575:-1 gene:TCM_036329 transcript:EOY17173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding protein isoform 8 MQRSRRALLERRALDRAITGRSFFYKVSLSLVFVLWGLLFLLSLWVSHGDGYKDGSMAHGLSTWDEAKMRHNKHSDSPGQCLADESGSFFSHDGFCTNGAKTTALPAESSTSEASKNHVSTFEQLDADNSIAGVTSENSSPKSDRLSHAVPLGLDEFKSRAFISRSKSGTGQAGVKHRVEPGGKEYNYASASKGAKVLLCNKEAKGASNILGKDKDKYLRNPCSAEEKFVIIELSEETLVDTIEIANFEHYSSKLKDFELLGSLFFPTDVWIKLGNFTAGNVKHAQRFVLKEPKWVRYLKLNLLSHYGSEFYCTLSVIEVYGVDAVERMLEDLISVQDNLFASDDGTRDQKQMPSKLEPTQGNSVYQNSHKEMGSESSVENSNLQHDVFNNIVPSPVEDIHHQQVGRVPGDSVLKILMQKVRALDLNLSVLERYLEELNSKYGNIFKEFDEDIGEKDKLLEKIKSDIKDLLDSQKIMAKDIGDVASWKSLVSIQLDTILRDNADLRSKVEKVREKQISMENKGIAVFVVSLIFGFLAFVRLLVDMLLSVSMSLSDEKTEKPRKFCSFSSSWLLLLCSCSIVFILSI >EOY17168 pep chromosome:Theobroma_cacao_20110822:8:18165040:18168259:-1 gene:TCM_036329 transcript:EOY17168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding protein isoform 8 MQRSRRALLERRALDRAITGRSFFYKVSLSLVFVLWGLLFLLSLWVSHGDGYKDGSMAHGLSTWDEAKMRHNKHSDSPGQCLADESGSFFSHDGFCTNGAKTTALPAESSTSEASKNHVSTFEQLDADNSIAGVTSENSSPKSDRLSHAVPLGLDEFKSRAFISRSKSGTGQAGVKHRVEPGGKEYNYASASKGAKVLLCNKEAKGASNILGKDKDKYLRNPCSAEEKFVIIELSEETLVDTIEIANFEHYSSKLKDFELLGSLFFPTDVWIKLGNFTAGNVKHAQRFVLKEPKWVRYLKLNLLSHYGSEFYCTLSVIEVYGVDAVERMLEDLISVQDNLFASDDGTRDQKQMPSKLEPTQGNSVYQNSHKEMGSESSVENSNLQHDVFNNIVPSPVEDIHHQQVGRVPGDSVLKILMQKVRALDLNLSVLERYLEELNSKYGNIFKEFDEDIGEKDKLLEKIKSDIKDLLDSQKIMAKDIGDVASWKSLVSIQLDTILRDNADLSEKQTM >EOY17175 pep chromosome:Theobroma_cacao_20110822:8:18163523:18169282:-1 gene:TCM_036329 transcript:EOY17175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding protein isoform 8 MQRSRRALLERRALDRAITGRSFFYKVSLSLVFVLWGLLFLLSLWVSHGDGYKDGSMAHGLSTWDEAKMRHNKHSDSPGQCLADESGSFFSHDGFCTNGAKTTALPAESSTSEASKNHVSTFEQLDADNSIAGVTSENSSPKSDRLSHAVPLGLDEFKSRAFISRSKSGTGQAGVKHRVEPGGKEYNYASASKGAKVLLCNKEAKGASNILGKDKDKYLRNPCSAEEKFVIIELSEETLVDTIEIANFEHYSSKLKDFELLGSLFFPTDVWIKLGNFTAGNVKHAQRFVLKEPKWVRYLKLNLLSHYGSEFYCTLSVIEVYGVDAVERMLEDLISVQDNLFASDDGTRDQKQMPSKLEPTQGNSVYQNSHKEMGSESSVENSNLQHDVFNNIVPSPVEDIHHQQVGRVPGDSVLKILMQKVRALDLNLSVLERYLEELNSKYGNIFKEFDEDIGEKDKLLEKIKSDIKDLLDSQKIMAKDIGDVASWKSLVSIQLDTILRDNADLRSKVEKVREKQISMENKGIAVFVVSLIFGFLAFVRLLVDMLLSVSMSLSDEKTEKPRKFCSFSSSWLLLLCSCSIVFILSI >EOY16898 pep chromosome:Theobroma_cacao_20110822:8:13133344:13166359:-1 gene:TCM_035857 transcript:EOY16898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLLIKNLKKKKNSLFKNLMRKQIAMAGSLLVNGLRSLFLVLCCLMVATLIYSISIDGLPFRMELLTPWMAATLVDFCINIVPFAVWIFYKESSWISATLWVILLICFGSVITSGYLFMQFLKLSPQESLQDPIYHVLLHDTNEDDTKPKGKHSPIVIARTLFSVLGCLMLGTLIYTLLTDGSPFRKELLTPWMTATLIDFYINVVALSVWVAYKESNWISAFFWIILLVWFGSVTTCAYIVKELLQLTSQDPLYLVLVTHDNRAENRYEGASW >EOY16900 pep chromosome:Theobroma_cacao_20110822:8:13132789:13166359:-1 gene:TCM_035857 transcript:EOY16900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLLIKNLKKKKNSLFKNLMRKQIAMAGSLLVNGLRSLFLVLCCLMVATLIYSISIDGLPFRMELLTPWMAATLVDFCINIVPFALSPQESLQDPIYHVLLHDTNEDDTKPKGKHSPIVIARTLFSVLGCLMLGTLIYTLLTDGSPFRKELLTPWMTATLIDFYINVVALSVWVAYKESNWISAFFWIILLVWFGSVTTCAYIVKELLQLTSQDPLYLVLVTHDNRAENRYEGASW >EOY16899 pep chromosome:Theobroma_cacao_20110822:8:13132789:13166359:-1 gene:TCM_035857 transcript:EOY16899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLLIKNLKKKKNSLFKNLMRKQIAMAGSLLVNGLRSLFLVLCCLMVATLIYSISIDGLPFRMELLTPWMAATLVDFCINIVPFAVWIFYKESSWISATLWVILLICFGSVITSGYLFMQFLKLSPQESLQDPIYHVLLHDTNEDDTKPKGKHSPIVIARTLFSVLGCLMLGTLIYTLLTDGSPFRKELLTPWMTATLIDFYINVVALSVWVAYKESNWISAFFWIILLVWFGSVTTCAYIVKELLQLTSQDPLYLVLVTHDNRKQV >EOY17486 pep chromosome:Theobroma_cacao_20110822:8:21064695:21067302:1 gene:TCM_036687 transcript:EOY17486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 57 kDa regulatory subunit B' beta isoform 2 MGFQRDSPKNSPKASPKKKTTLQHLFDLDSKPYIGSNLSSPTNGRQSSFGTEYEEILSAISDCNFIFTFTDPLESPSQQELKRLKLIQVLYLIKSSKKPLHERLLSPLMSMVSANLFRPLPPPSNTSIISDLPDDEELLSTFAPIWPHLQIVYDILLRLVLSVDPKTLRDYIDRHFILNLLFLFQSEDPRERESLKNVFHRIYSRFTFYRSLMRKAMNDVFLHYVFETERHCGIGELLEICGSIINGFAVPLKEEHKLFLMRVLLPLHKTKGLQVYHRQLAYCVSQFVQKEPALGGVVVRGILRYWPVTNCQKEVLLIGELEELVENIDPDQYRKLALLICTQITRCLSSCNSQVAERALYVWNNEQFVKMASTAMEDVFPVVVEGMEKNLKLHWSKSVKQLTENVKAMLEEMAPTLYHRCLQETEHRESEACQEEMKRKQKWDRIEMAAKQN >EOY17487 pep chromosome:Theobroma_cacao_20110822:8:21065260:21067475:1 gene:TCM_036687 transcript:EOY17487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 57 kDa regulatory subunit B' beta isoform 2 MGFQRDSPKNSPKASPKKKTTLQHLFDLDSKPYIGSNLSSPTNGRQSSFGTEYEEILSAISDCNFIFTFTDPLESPSQQELKRLKLIQVLYLIKSSKKPLHERLLSPLMSMVSANLFRPLPPPSNTSIISDLPDDEELLSTFAPIWPHLQIVYDILLRLVLSVDPKTLRDYIDRHFILNLLFLFQSEDPRERESLKNVFHRIYSRFTFYRSLMRKAMNDVFLHYVFETERHCGIGELLEICGSIINGFAVPLKEEHKLFLMRVLLPLHKTKGLQVYHRQLAYCVSQFVQKEPALGGVVVRGILRYWPVTNCQKEVLLIGELEELVENIDPDQYRKLALLICTQITRCLSSCNSQTEQHGVGR >EOY14384 pep chromosome:Theobroma_cacao_20110822:8:143606:146788:1 gene:TCM_033784 transcript:EOY14384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MLKRTQPLLQRFFILFLLCSIIASPEAAKLLPSPEATALLGFQSKADLRNNLRFSQNASFHFCDWQGVTCYEQKVVRLILEDLDLGGIFAPNTLSHLDQLRVLSLQNNSLTGPIPDLSGLINLKSLFLDHNFFTGSFPPSILSLHRIRTLDLSYNNITGPIPNSLASLDRLYYLRLDWNRFNGTVPPLNQSSLKTFSISGNNLTGAIPVTQALLRFGFSSFSWNPGLCGEIIHKECHPRPHFFGPTAAVVAPPPAVVLGQSVEVHGVELAQPSAKKHKRTAVIIGFSTGVFILIGSLVCFVMALRRQKDKKQSTAVIESDDGATTAQVAAVIQMEQETELEEKVKRVQGMQVAKSGNLIFCAGEAQLYTLDQLMRASAELLGRGTMGTTYKAVLDNRLIVAVKRLDAGKLASTTKETFEQHMESVGGLRHPNLVPLRAYFQAKEERLLVYDYQPNGSLLSLIHGSKSTRAKPLHWTSCLKIAEDVAQGLSYIHQAWRLVHGNLKSSNVLLGPDFEACISDYCLAALVLTSAPDEDPDSIACKPPETRNSNHEATSKSDVFAFGVLLLELLTGKPPSQHPFLAPEEMMHWLRSCREDDGGDDERLGMLLEVAIACSTSSPEQRPTMWQVLKMLQEIKEAVLTEDGELDPHSGMS >EOY14385 pep chromosome:Theobroma_cacao_20110822:8:143074:146115:1 gene:TCM_033784 transcript:EOY14385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MLKRTQPLLQRFFILFLLCSIIASPEAAKLLPSPEATALLGFQSKADLRNNLRFSQNASFHFCDWQGVTCYEQKVVRLILEDLDLGGIFAPNTLSHLDQLRVLSLQNNSLTGPIPDLSGLINLKSLFLDHNFFTGSFPPSILSLHRIRTLDLSYNNITGPIPNSLASLDRLYYLRLDWNRFNGTVPPLNQSSLKTFSISGNNLTGAIPVTQALLRFGFSSFSWNPGLCGEIIHKECHPRPHFFGPTAAVVAPPPAVVLGQSVEVHGVELAQPSAKKHKRTAVIIGFSTGVFILIGSLVCFVMALRRQKDKKQSTAVIESDDGATTAQVAAVIQMEQETELEEKVKRVQGMQVAKSGNLIFCAGEAQLYTLDQLMRASAELLGRGTMGTTYKAVLDNRLIVAVKRLDAGKLASTTKETFEQHMESVGGLRHPNLVPLRAYFQAKEERLLVYDYQPNGSLLSLIHGSKSTRAKPLHWTSCLKIAEDVAQGLSYIHQAWRLVHGNLKSSNVLLGPDFEACISDYCLAALVLTSAPDEDPDSIACKPPETRNSNHEATSKSDVFAFGVLLLELLTGKPPSQHPF >EOY15317 pep chromosome:Theobroma_cacao_20110822:8:2884049:2887562:-1 gene:TCM_034420 transcript:EOY15317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MAAGMDFSPPFTIIEGGYSKDNVADMERENLDNVKQVSLGKPPRHLSVMRHCVSSARLIAEANLELDVGIVVHKSSSDDKTEFLPVLRSGSCAEIGPKQYMEDEHICIDDLIGHLGATAEFPPPGAFYGVFDGHGGTDAAIFIRKNILKFIVEDSHFPICVEKAIKCAFLKADYAFADASSLDISSGTTALTALIFGRTLIIANAGDCRAVLGRRGRAIEMSKDHKPNCSSERLRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSACPLSAEPELQETDLTEEDEFLIMGCDGLWDVMSSQCAVTMARKELMLHNDPERCSRELVREALKRNTCDNLTVIVVCFSADPPPRIEIPQSRVRRSISAEGLNLLKGVLDSS >EOY15318 pep chromosome:Theobroma_cacao_20110822:8:2883991:2888244:-1 gene:TCM_034420 transcript:EOY15318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 SLSLSLSKSLSLCLGGFLSWIILREILKKKKKKERKRKGKAQRIIIFTSELDVGIVVHKSSSDDKTEFLPVLRSGSCAEIGPKQYMEDEHICIDDLIGHLGATAEFPPPGAFYGVFDGHGGTDAAIFIRKNILKFIVEDSHFPICVEKAIKCAFLKADYAFADASSLDISSGTTALTALIFGRTLIIANAGDCRAVLGRRGRAIEMSKDHKPNCSSERLRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSACPLSAEPELQETDLTEEDEFLIMGCDGLWDVMSSQCAVTMARKELMLHNDPERCSRELVREALKRNTCDNLTVIVVCFSADPPPRIEIPQSRVRRSISAEGLNLLKGVLDSS >EOY15723 pep chromosome:Theobroma_cacao_20110822:8:4379592:4381514:1 gene:TCM_034701 transcript:EOY15723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein MIANSLKSPTSSTEMDPGIWSKLPPELLEHILFFLPLKTFLNLRSTCKHFKSLVFSPSFISKHSSGSAFSSFLLLSHPQCYSHFPLYDTILGTWRNLALSFSFLPPCAAQFNLLSTSNGLFCFSLPNSCSFLVCNLLAKSSRVIQFPFFPFAFELLTLVSTPDGYQIFMLCSKFSSSCAFVYDSKVHAWRKYEGFQPILIDNFHQEGAPFNGSLYFTTPEPFSVVCFDLENGNWERLNTEMPGELTFVRLVSDTDEGKLYLIGGIGRNGISRSMRLWELGNEGNWEEVERLPELMCRKFMSVCYHNYEHVYCFWHQGMICVCCHTWPEILYFKVSRRTWHWIPKCPSVPDKWSCGFRWFSFVPELYTLA >EOY17364 pep chromosome:Theobroma_cacao_20110822:8:19632088:19650331:1 gene:TCM_036511 transcript:EOY17364 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL isoform 3 MDIEAPGEAKELPKIHRLDESVVNRIAAGEVIQRPVSAVKELVENSLDASSTSISVVVKDGGLKLIQVSDDGHGIRHEDLPILCERHTTSKLSKYEDLQSIKSMGFRGEALASMTYVGHVTVTTITKGQLHGYRVSYRDGMMEHEPKACAAVKGTQIMVENLFYNMIARRKTLQNSADDYTKIVDLLSRFAIHYIDVSFSCRKHGAARADVHSVATSSRLDAIRSVYGLSVARNLIKIEASDNDPSSSVFEMDGFISNSNYVVKKTTMVLFINDRLVECTALKRALEIVYSATLPKASKPFIYMSIILPPEHVDVNVHPTKREVSLLNQEVIIEKIQSVVESMLRNSNESRTFQEQTVESSPSVPSITNNESHLNPSPSGSKSQKVPVHKMVRTDSSDPAGRLHAYLYKKPQNHLEMNSSLTAVRSSVRQRRNLRETADLTSIQELINDIDSKCHSGLLDIVRQCTYVGMADDVFALLQHNTHLYLANVVNLSKELMYQQVLRRFAHFNAIQLSESAPLQELLMLALKEEELDLECNENDDLKMKIAEMNTQLLKQKAEMLEEYFCIFIDSDGNLSRLPILLDQYTPDMDRVPEFLLCLGNDW >EOY17366 pep chromosome:Theobroma_cacao_20110822:8:19631966:19654152:1 gene:TCM_036511 transcript:EOY17366 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL isoform 3 MDIEAPGEAKELPKIHRLDESVVNRIAAGEVIQRPVSAVKELVENSLDASSTSISVVVKDGGLKLIQVSDDGHGIRHEDLPILCERHTTSKLSKYEDLQSIKSMGFRGEALASMTYVGHVTVTTITKGQLHGYRDGMMEHEPKACAAVKGTQIMVENLFYNMIARRKTLQNSADDYTKIVDLLSRFAIHYIDVSFSCRKHGAARADVHSVATSSRLDAIRSVYGLSVARNLIKIEASDNDPSSSVFEMDGFISNSNYVVKKTTMVLFINDRLVECTALKRALEIVYSATLPKASKPFIYMSIILPPEHVDVNVHPTKREVSLLNQEVIIEKIQSVVESMLRNSNESRTFQEQTVESSPSVPSITNNESHLNPSPSGSKSQKVPVHKMVRTDSSDPAGRLHAYLYKKPQNHLEMNSSLTAVRSSVRQRRNLRETADLTSIQELINDIDSKCHSGLLDIVRQCTYVGMADDVFALLQHNTHLYLANVVNLSKELMYQQVLRRFAHFNAIQLSESAPLQELLMLALKEEELDLECNENDDLKMKIAEMNTQLLKQKAEMLEEYFCIFIDSDGNLSRLPILLDQYTPDMDRVPEFLLCLGNDVDWEDEKNCFQSLAAALGNFYAMHPPLLPHPSGEGLEFYRKRKHGKNPQDVGKSSCDIGDDIEIEDEFEHKLLSEAETAWGQREWSIQHVLFPSMRLFLKPPTSMAVNGTFVRVASLEKLYRIFERC >EOY17363 pep chromosome:Theobroma_cacao_20110822:8:19631796:19654307:1 gene:TCM_036511 transcript:EOY17363 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL isoform 3 MDIEAPGEAKELPKIHRLDESVVNRIAAGEVIQRPVSAVKELVENSLDASSTSISVVVKDGGLKLIQVSDDGHGIRHEDLPILCERHTTSKLSKYEDLQSIKSMGFRGEALASMTYVGHVTVTTITKGQLHGYRVSYRDGMMEHEPKACAAVKGTQIMVENLFYNMIARRKTLQNSADDYTKIVDLLSRFAIHYIDVSFSCRKHGAARADVHSVATSSRLDAIRSVYGLSVARNLIKIEASDNDPSSSVFEMDGFISNSNYVVKKTTMVLFINDRLVECTALKRALEIVYSATLPKASKPFIYMSIILPPEHVDVNVHPTKREVSLLNQEVIIEKIQSVVESMLRNSNESRTFQEQTVESSPSVPSITNNESHLNPSPSGSKSQKVPVHKMVRTDSSDPAGRLHAYLYKKPQNHLEMNSSLTAVRSSVRQRRNLRETADLTSIQELINDIDSKCHSGLLDIVRQCTYVGMADDVFALLQHNTHLYLANVVNLSKELMYQQVLRRFAHFNAIQLSESAPLQELLMLALKEEELDLECNENDDLKMKIAEMNTQLLKQKAEMLEEYFCIFIDSDGNLSRLPILLDQYTPDMDRVPEFLLCLGNDVDWEDEKNCFQSLAAALGNFYAMHPPLLPHPSGEGLEFYRKRKHGKNPQDVGKSSCDIGDDIEIEDEFEHKLLSEAETAWGQREWSIQHVLFPSMRLFLKPPTSMAVNGTFVRVASLEKLYRIFERC >EOY17365 pep chromosome:Theobroma_cacao_20110822:8:19631996:19653742:1 gene:TCM_036511 transcript:EOY17365 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL isoform 3 MDIEAPGEAKELPKIHRLDESVVNRIAAGEVIQRPVSAVKELVENSLDASSTSISVVVKDGGLKLIQVSDDGHGIRHEDLPILCERHTTSKLSKYEDLQSIKSMGFRGEALASMTYVGHVTVTTITKGQLHGYRVSYRDGMMEHEPKACAAVKGTQIMVENLFYNMIARRKTLQNSADDYTKIVDLLSRFAIHYIDVSFSCRKHGAARADVHSVATSSRLDAIRSVYGLSVARNLIKIEASDNDPSSSVFEMDGFISNSNYVVKKTTMVLFINDRLVECTALKRALEIVYSATLPKASKPFIYMSIILPPEHVDVNVHPTKREVSLLNQEVIIEKIQSVVESMLRNSNESRTFQEQTVESSPSVPSITNNESHLNPSPSGSKSQKVPVHKMVRTDSSDPAGRLHAYLYKKPQNHLEMNSSLTAVRSSVRQRRNLRETADLTSIQELINDIDSKCHSGLLDIVRQCTYVGMADDVFALLQHNTHLYLANVVNLSKELMYQQVLRRFAHFNAIQLSESAPLQELLMLALKEEELDLECNENDDLKMKIAELSTCVPCDPLWLRLYKPLIFCR >EOY14836 pep chromosome:Theobroma_cacao_20110822:8:1409982:1413994:-1 gene:TCM_034097 transcript:EOY14836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional nuclease in basal defense response 1 isoform 1 MASLQGPVICPAVRSKQVGVYTLPVNGPFPMARLHRSDMWGYRGVTDGKSEARAISRQLKLRKCRTTVHCSFSSSSDGNGSMAENFNENDEDYVNSSVVEAVEVRSGADGFSIKMRDGRHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVHIARPTMYQVVKDMVDKMGYTVKLVRVTKRVHEAYFAQLYLTKVADETESVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIESGKLSMQSPASDVVVVTELDRPSGQRCLDTEEFNIVRDLNEAIIQERYKDAAELRDKLGQFRIQRNLRKYT >EOY14837 pep chromosome:Theobroma_cacao_20110822:8:1409734:1413497:-1 gene:TCM_034097 transcript:EOY14837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional nuclease in basal defense response 1 isoform 1 MASLQGPVICPAVRSKQVGVYTLPVNGPFPMARLHRSDMWGYRGVTDGKSEARAISRQLKLRKCRTTVHCSFSSSSDGNGSMAENFNENDEDYVNSSVVEAVEVRSGADGFSIKMRDGRHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVHIARPTMYQVVKDMVDKMGYTVKLVRVTKRVHEAYFAQLYLTKVADETESVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIESGKLSMQSPASDVVVVTELDRSCSW >EOY14835 pep chromosome:Theobroma_cacao_20110822:8:1409976:1413838:-1 gene:TCM_034097 transcript:EOY14835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional nuclease in basal defense response 1 isoform 1 MASLQGPVICPAVRSKQVGVYTLPVNGPFPMARLHRSDMWGYRGVTDGKSEARAISRQLKLRKCRTTVHCSFSSSSDGNGSMAENFNENDEDYVNSSVVEAVEVRSGADGFSIKMRDGRHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVHIARPTMYQVVKDMVDKMGYTVKLVRVTKRVHEAYFAQLYLTKVADETESVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIESGKLSMQSPASDVVVVTELDRPSGQRCLDTEEFNIVRDLNEAIIQERYKDAAELRDKLGQFRIQRNLRKYT >EOY14589 pep chromosome:Theobroma_cacao_20110822:8:660796:664889:-1 gene:TCM_033914 transcript:EOY14589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 5, putative isoform 4 MPISRAFPYLSSSLPSRHSLHDDTGNAGYSSSPGGGDGNNRAQQQRRLTRQRKLRHLTDDELGLRFNDIDRSFSSPGSPDTAARKSRNPDCLEHWSSSAVPKPLPLPELFTNRKPKTSGSSPGPSQLASPDEEPASAFGRKDADHAAKSCPAKLSANVHKGFSQVEPVERVNNGSRQRVPTKSSLPSFFLTPISPRRQNTQDHFDSYDVADSTKSLLSRRKGSHDLNFGSVNYNLRLNVPARSAPTTALSSPSVSPQRSKTVDPFPSSSSGPQELRGLIDFDALHLGRAAAYTSPVSPVKTVHTPEHSPLHSPGPNKILFASSRDWLESNSQVNAHPLPLPPGALPSSQSSMPLPSPVSSHNIEKPIATSMISQWQKGRLLGRGTYGSVYEATNRETGALCAMKEVDIIPDDPKSVECIKQLEQEIRVLRNLKHRNIVQYYGSEIVDDHFYIYLEYVHPGSINKYVREHCGAITESIVRNFTRHVLSGLAYLHSSKTIHRDIKGANLLVDANGVVKLADFGMAKHLTGLSYELSLKGSPYWMAPEVIKAVMQKDANPNLALAVDIWSLGCTIIEMFNGKPPWSELEGPQAMFKVLNRSPPIPEALSLEGKDFLHCCFRRNPAERPSAVMLLEHPFVRNSADQNGSAIMQAFSRMNVMVSINE >EOY14590 pep chromosome:Theobroma_cacao_20110822:8:659796:665007:-1 gene:TCM_033914 transcript:EOY14590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 5, putative isoform 4 MPISRAFPYLSSSLPSRHSLHDDTGNAGYSSSPGGGDGNNRAQQQRRLTRQRKLRHLTDDELGLRFNDIDRSFSSPGSPDTAARKSRNPDCLEHWSSSAVPKPLPLPELFTNRKPKTSGSSPGPSQLASPDEEPASAFGRKDADHAAKSCPAKLSANVHKGFSQVEPVERVNNGSRQRVPTKSSLPSFFLTPISPRRQNTQDHFDSYDVADSTKSLLSRRKGSHDLNFGSVNYNLRLNVPARSAPTTALSSPSVSPQRSKTVDPFPSSSSGPQELRGLIDFDALHLGRAAAYTSPVSPVKTVHTPEHSPLHSPGPNKILFASSRDWLESNSQVNAHPLPLPPGALPSSQSSMPLPSPVSSHNIEKPIATSMISQWQKGRLLGRGTYGSVYEATNRETGALCAMKEVDIIPDDPKSVECIKQLEQEIRVLRNLKHRNIVQYYGSEIVDDHFYIYLEYVHPGSINKYVREHCGAITESIVRNFTRHVLSGLAYLHSSKTIHRDIKGANLLVDANGVVKLADFGMAKHLTGLSYELSLKGSPYWMAPEVIKAVMQKDANPNLALAVDIWSLGCTIIEMFNGKPPWSELEGPQAMFKVLNRSPPIPEALSLEGKDFLHCCFRRNPAERPSAVMLLEHPFVRNSADQNGSAIMQAFSRMNVM >EOY14588 pep chromosome:Theobroma_cacao_20110822:8:660420:665007:-1 gene:TCM_033914 transcript:EOY14588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 5, putative isoform 4 MPISRAFPYLSSSLPSRHSLHDDTGNAGYSSSPGGGDGNNRAQQQRRLTRQRKLRHLTDDELGLRFNDIDRSFSSPGSPDTAARKSRNPDCLEHWSSSAVPKPLPLPELFTNRKPKTSGSSPGPSQLASPDEEPASAFGRKDADHAAKSCPAKLSANVHKGFSQVEPVERVNNGSRQRVPTKSSLPSFFLTPISPRRQNTQDHFDSYDVADSTKSLLSRRKGSHDLNFGSVNYNLRLNVPARSAPTTALSSPSVSPQRSKTVDPFPSSSSGPQELRGLIDFDALHLGRAAAYTSPVSPVKTVHTPEHSPLHSPGPNKILFASSRDWLESNSQVNAHPLPLPPGALPSSQSSMPLPSPVSSHNIEKPIATSMISQWQKGRLLGRGTYGSVYEATNRETGALCAMKEVDIIPDDPKSVECIKQLEQEIRVLRNLKHRNIVQYYGSEIVDDHFYIYLEYVHPGSINKYVREHCGAITESIVRNFTRHVLSGLAYLHSSKTIHRDIKGANLLVDANGVVKLADFGMAKHLTGLSYELSLKGSPYWMAPEVIKAVMQKDANPNLALAVDIWSLGCTIIEMFNGKPPWSELEGPQAMFKVLNRSPPIPEALSLEGKDFLHCCFRRNPAERPSAVMLLEHPFVRNSADQNGSAIMQAFSRMNVMDKPHSPRSCPTHKIELMTTSLGTRITNGKLPYNRYMMCIFTYIPL >EOY14591 pep chromosome:Theobroma_cacao_20110822:8:659796:664993:-1 gene:TCM_033914 transcript:EOY14591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 5, putative isoform 4 MPISRAFPYLSSSLPSRHSLHDDTGNAGYSSSPGGGDGNNRAQQQRRLTRQRKLRHLTDDELGLRFNDIDRSFSSPGSPDTAARKSRNPDCLEHWSSSAVPKPLPLPELFTNRKPKTSGSSPGPSQLASPDEEPASAFGRKDADHAAKSCPAKLSANVHKGFSQVEPVERVNNGSRQRVPTKSSLPSFFLTPISPRRQNTQDHFDSYDVADSTKSLLSRRKGSHDLNFGSVNYNLRLNVPARSAPTTALSSPSVSPQRSKTVDPFPSSSSGPQELRGLIDFDALHLGRAAAYTSPVSPVKTVHTPEHSPLHSPGPNKILFASSRDWLESNSQVNAHPLPLPPGALPSSQSSMPLPSPVSSHNIEKPIATSMISQWQKGRLLGRGTYGSVYEATNRETGALCAMKEVDIIPDDPKSVECIKQLEQEIRVLRNLKHRNIVQYYGSEIVDDHFYIYLEYVHPGSINKYVREHCGAITESIVRNFTRHVLSGLAYLHSSKTIHRDIKGANLLVDANGVVKLADFGMAKHLTGLSYELSLKGSPYWMAPEVDKPHSPRSCPTHKIELMTTSLGTRITNGKLPYNSETGQSFSTKTINCAAASHHLIFSTLEVSTYTSATGLIRGSHSFSPSSHVSSNMPLGTVNNHPCALGRTQGKEVPHI >EOY14587 pep chromosome:Theobroma_cacao_20110822:8:659726:665298:-1 gene:TCM_033914 transcript:EOY14587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 5, putative isoform 4 MPISRAFPYLSSSLPSRHSLHDDTGNAGYSSSPGGGDGNNRAQQQRRLTRQRKLRHLTDDELGLRFNDIDRSFSSPGSPDTAARKSRNPDCLEHWSSSAVPKPLPLPELFTNRKPKTSGSSPGPSQLASPDEEPASAFGRKDADHAAKSCPAKLSANVHKGFSQVEPVERVNNGSRQRVPTKSSLPSFFLTPISPRRQNTQDHFDSYDVADSTKSLLSRRKGSHDLNFGSVNYNLRLNVPARSAPTTALSSPSVSPQRSKTVDPFPSSSSGPQELRGLIDFDALHLGRAAAYTSPVSPVKTVHTPEHSPLHSPGPNKILFASSRDWLESNSQVNAHPLPLPPGALPSSQSSMPLPSPVSSHNIEKPIATSMISQWQKGRLLGRGTYGSVYEATNRETGALCAMKEVDIIPDDPKSVECIKQLEQEIRVLRNLKHRNIVQYYGSEIVDDHFYIYLEYVHPGSINKYVREHCGAITESIVRNFTRHVLSGLAYLHSSKTIHRDIKGANLLVDANGVVKLADFGMAKHLTGLSYELSLKGSPYWMAPEVIKAVMQKDANPNLALAVDIWSLGCTIIEMFNGKPPWSELEGPQAMFKVLNRSPPIPEALSLEGKDFLHCCFRRNPAERPSAVMLLEHPFVRNSADQNGSAIMQAFSRMNVMDKPHSPRSCPTHKIELMTTSLGTRITNGKLPYNSETGQSFSTKTINCAAASHHLIFSTLEVSTYTSATGLIRGSHSFSPSSHVSSNMPLGTVNNHPCALGRTQGKEVPHI >EOY15972 pep chromosome:Theobroma_cacao_20110822:8:5251420:5251849:-1 gene:TCM_034882 transcript:EOY15972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVTWTNSRHTIVIGTIYALVQVQREFVIENSGMIRLLESSNSSFTSLASVLGVNIQENLWRRFAS >EOY16586 pep chromosome:Theobroma_cacao_20110822:8:8677852:8679819:1 gene:TCM_035394 transcript:EOY16586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase, putative MDSSRRRTSGPLMNSGSNAKQKSPVANDPVITKDSMFMGQWGGSSSRRVAVSSSRDAFAGSEADPQRSRRTDASPGALHKISRQQQSPVESADAKRTLSGRNTSHVKNYETALKGIEGLQFESDDRVHY >EOY14392 pep chromosome:Theobroma_cacao_20110822:8:168186:169599:1 gene:TCM_033787 transcript:EOY14392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sumo conjugation enzyme 1 isoform 1 MSGGIARSRLTEERKAWRKNHPHGFVAKPETALDGSVNMMLWHCIIPGKKGTDWDGGYFPLTLHFSEDYPSKPPNCKFPQGFLHPNVYPSGTVCLSILNEDNGWKPAITIKQILVGIQDLLDQPNASDPAQTEGYQLYVSNLDEYRKRVQQQAKQYPPCL >EOY14393 pep chromosome:Theobroma_cacao_20110822:8:167946:169790:1 gene:TCM_033787 transcript:EOY14393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sumo conjugation enzyme 1 isoform 1 MSGGIARSRLTEERKAWRKNHPHGFVAKPETALDGSVNMMLWHCIIPGKKGTDWDGGYFPLTLHFSEDYPSKPPNCKFPQGFLHPNVYPSGTVCLSILNEDNGWKPAITIKQILVGIQDLLDQPNASDPAQTEGYQLYVSMSIGKGFNSKPSNIHPVSSYVLDQQT >EOY15954 pep chromosome:Theobroma_cacao_20110822:8:5149590:5156619:-1 gene:TCM_034868 transcript:EOY15954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferases, transferring glycosyl groups isoform 2 MEISQQSHTFPLEDRRADALGNLKSLPDELICTILDYLTPRDIARLACVSSVMYIFCNEEPLWMSLCLKKLKGPLQYKGFWKKTVLHLENLANEFIEHCRKPLQFDGFSSLFLYRRLYRCHTTLDGFSFDDGNVERQKDLSAEQFHREYDGNKPVLLTGLADTWPARNTWTIDQLLLKYGDTAFKISQRTPGKVSMKFKDYVSYMKVQHDEDPLYIFDDKFGEAAPGLLKDYNVPKIFQEDFFDVLERDSRPPFRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGRVPLGVTVHVNDEDGDVNIDTPSSLQWWLDFYPLLADEDKPIECTQLPGETIFVPSGWWHCVLNLETTVAVTQNFVNSKNFEFVCLDMAPGYCHKGVCRAGLLALDEGSLENIEKNMSFDKDNFSYSDLTRKEKRVRTLRSQYSENHKGITNGANKSYNLWKQDFSYDINFLAVFLDRERDHYTSPWSSGNCIGPREMREWLSKLWVGKPGMRELIWKGACLAVNADKWLECLGKICFFHNLPFPNDNEKLPVGTGSNPVYVMDEYVVKIFVEGGLESSIYGLGTELEFYSALCEVNSPLKNHIPNVFASGILHLENGSCKIDCWDGKEVPGVIGKCNLIPEKDKCDVFPFGVWSKKLFEYRKAGSLACGADSSAGSTSIWPYLITKRCKGKIFAQLRDVLSWEDVLNLASFLGEQLQNLHLLPSPSLSISNLSDVEKKRDLPFANGMDMEYVSNESDIPVEWQIFARTLSRKKKDAFIRLNKWGDPIPKMLIEKVEEYLPDDFLKLLSVYEENGVKRVCKPLSWIHSDIMDDNIYMEPSCMSCSNGIAAQTNNGSLNGHNNGGEEKSWHPNYILDFSDLSIGDPIYDLIPVHLDVFRGDSRLLKHFLQSYKLPLMRKTSENGSVTACDKFGRLSYHAMCYCILHEENILGAIFSIWKELRTAESWEEVEQTVWGELNNYEGFS >EOY15956 pep chromosome:Theobroma_cacao_20110822:8:5151279:5156054:-1 gene:TCM_034868 transcript:EOY15956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferases, transferring glycosyl groups isoform 2 MEISQQSHTFPLEDRRADALGNLKSLPDELICTILDYLTPRDIARLACVSSVMYIFCNEEPLWMSLCLKKLKGPLQYKGFWKKTVLHLENLANEFIEHCRKPLQFDGFSSLFLYRRLYRCHTTLDGFSFDDGNVERQKDLSAEQFHREYDGNKPVLLTGLADTWPARNTWTIDQLLLKYGDTAFKISQRTPGKVSMKFKDYVSYMKVQHDEDPLYIFDDKFGEAAPGLLKDYNVPKIFQEDFFDVLERDSRPPFRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGRVPLGVTVHVNDEDGDVNIDTPSSLQWWLDFYPLLADEDKPIECTQLPGETIFVPSGWWHCVLNLETTVAVTQNFVNSKNFEFVCLDMAPGYCHKGVCRAGLLALDEGSLENIEKNMSFDKDNFSYSDLTRKEKRVRTLRSQYSENHKGITNGANKSYNLWKQDFSYDINFLAVFLDRERDHYTSPWSSGNCIGPREMREWLSKLWVGKPGMRELIWKGACLAVNADKWLECLGKICFFHNLPFPNDNEKLPVGTGSNPVYVMDEYVVKIFVEGGLESSIYGLGTELEFYSALCEVNSPLKNHIPNVFASGILHLENGSCKIDCWDGKEVPGVIGKCNLIPEKDKCDVFPFGVWSKKLFEYRKAGSLACGADSSAGSTSIWPYLITKRCKGKIFAQLRDVLSWEDVLNLASFLGEQLQNLHLLPSPSLSISNLSDVEKKRDLPFANGMDMEYVSNESDIPVEWQIFARTLSRKKKDAFIRLNKWYTYLI >EOY15955 pep chromosome:Theobroma_cacao_20110822:8:5150916:5156033:-1 gene:TCM_034868 transcript:EOY15955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferases, transferring glycosyl groups isoform 2 MEISQQSHTFPLEDRRADALGNLKSLPDELICTILDYLTPRDIARLACVSSVMYIFCNEEPLWMSLCLKKLKGPLQYKGFWKKTVLHLENLANEFIEHCRKPLQFDGFSSLFLYRRLYRCHTTLDGFSFDDGNVERQKDLSAEQFHREYDGNKPVLLTGLADTWPARNTWTIDQLLLKYGDTAFKISQRTPGKVSMKFKDYVSYMKVQHDEDPLYIFDDKFGEAAPGLLKDYNVPKIFQEDFFDVLERDSRPPFRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGRVPLGVTVHVNDEDGDVNIDTPSSLQWWLDFYPLLADEDKPIECTQLPGETIFVPSGWWHCVLNLETTVAVTQNFVNSKNFEFVCLDMAPGYCHKGVCRAGLLALDEGSLENIEKNMSFDKDNFSYSDLTRKEKRVRTLRSQYSENHKGITNGANKSYNLWKQDFSYDINFLAVFLDRERDHYTSPWSSGNCIGPREMREWLSKLWVGKPGMRELIWKGACLAVNADKWLECLGKICFFHNLPFPNDNEKLPVGTGSNPVYVMDEYVVKIFVEGGLESSIYGLGTELEFYSALCEVNSPLKNHIPNVFASGILHLENGSCKIDCWDGKEVPGVIGKCNLIPEKDKCDVFPFGVWSKKLFEYRKAGSLACGADSSAGSTSIWPYLITKRCKGKIFAQLRDVLSWEDVLNLASFLGEQLQNLHLLPSPSLSISNLSDVEKKRDLPFANGMDMEYVSNESDIPVEWQIFARTLSRKKKDAFIRLNKWGDPIPKMLIEKVEEYLPDDFLKLLSVYEVFCSPTIFFLFPLFPLNPMDLL >EOY14925 pep chromosome:Theobroma_cacao_20110822:8:1698005:1701879:-1 gene:TCM_034161 transcript:EOY14925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MNGTLYRWSSLILRKMAEEINKVEHRSSPTTTVAKSRSFWPSVLRWIPTSTDHIIASEKRLLSLVKTPYVQEQVNIGSGAPGSKVRWFRSSSNEPRFINTVTFDSKEGSPTLVMVHGYAASQGFFFKNFDYLASRFKVIAIDQLGWGGSSRPDFTCKSTEETEAWFVDSFEEWRKAKNLSNFILLGHSLGGYVAAKYALKHPEHVQHLILVGPAGFTAESDGKSEWLTRFRATWKGAILNHLWESNFTPQKLVRGLGPWGPDLVHKYTTARFSPRYSAENVLAEEESRLLTDYVYHTLAAKASGELCLKYIFAFGAFARMPLLHSASEWKVPTTFIYGVQDWMNYQGAQEARKQMKVPCEIFRVPQAGHFVFLENPDGFHSAMLYACRRFLSPHPDDEPFPEGLQSA >EOY14927 pep chromosome:Theobroma_cacao_20110822:8:1697807:1701798:-1 gene:TCM_034161 transcript:EOY14927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MNGTLYRWSSLILRKMAEEINKVEHRSSPTTTVAKSRSFWPSVLRWIPTSTDHIIASEKRLLSLVKTPYVQEQVNIGSGAPGSKVRWFRSSSNEPRFINTVTFDSKEGSPTLVMVHGYAASQGFFFKNFDYLASRFKVIAIDQLGWGGSSRPDFTCKSTEETEAWFVDSFEEWRKAKNLSNFILLGHSLGGYVAAKYALKHPEHVQHLILVGPAGFTAESDGKSEWLTRFRATWKGAILNHLWESNFTPQKLVRGLGPWGPDLVHKYTTARFSPRYSAENVLAEEESRLLTAGHFVFLENPDGFHSAMLYACRRFLSPHPDDEPFPEGLQSA >EOY14926 pep chromosome:Theobroma_cacao_20110822:8:1698605:1701798:-1 gene:TCM_034161 transcript:EOY14926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MNGTLYRWSSLILRKMAEEINKVEHRSSPTTTVAKSRSFWPSVLRWIPTSTDHIIASEKRLLSLVKTPYVQEQVNIGSGAPGSKVRWFRSSSNEPRFINTVTFDSKEGSPTLVMVHGYAASQGFFFKNFDYLASRFKVIAIDQLGWGGSSRPDFTCKSTEETEAWFVDSFEEWRKAKNLSNFILLGHSLGGYVAAKYALKHPEHVQHLILVGPAGFTAESDGKSEWLTRFRATWKGAILNHLWESNFTPQKLVRGLGPWGPDLVHKYTTARFSPRYSAENVLAEEESRLLTGMVRFLDFVYGTCISRYLTAVFFPVLPG >EOY15518 pep chromosome:Theobroma_cacao_20110822:8:3604850:3608866:-1 gene:TCM_034548 transcript:EOY15518 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-type zinc finger-related isoform 2 MVYSRGGPKGQSHSSKVVRSTKEEKGKRRVSNENPGLLADFERISMALASDETTRICNHCDRPIPSSNIDLHYAHCVRNLEKCKVCGDMVPKKHAQEHFLNTHAPVACSLCSETMEREILAIHKGENCPQRIVTCEFCEFPLPAIDLAEHQEVCGNRTELCHLCNRYIRLRERYNHESRCSGVAENVGSSRDVRAAEREQGAQRRQPPEYSRRRLLFTIAITGIAVLLGSLFFQKKTETSQVH >EOY15522 pep chromosome:Theobroma_cacao_20110822:8:3604961:3608547:-1 gene:TCM_034548 transcript:EOY15522 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-type zinc finger-related isoform 2 VINRNSLGLRSNFLFYFIFSSLTNLEKRKKERGESRTKIQGFLQILSGSLWHLLRTKPRAYAITVACSLCSETMEREILAIHKGENCPQRIVTCEFCEFPLPAIDLAEHQEVCGNRTELCHLCNRYIRLRERYNHESRCSGVAENVGSSRDVRAAEREQGAQRRQPPEYSRRRLLFTIAITGIAVLLGSLFFQKKTETSQVH >EOY15519 pep chromosome:Theobroma_cacao_20110822:8:3604961:3608655:-1 gene:TCM_034548 transcript:EOY15519 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-type zinc finger-related isoform 2 MALASDETTRICNHCDRPIPSSNIDLHYAHCVRNLEKCKVCGDMVPKKHAQEHFLNTHAPVACSLCSETMEREILAIHKGENCPQRIVTCEFCEFPLPAIDLAEHQEVCGNRTELCHLCNRYIRLRERYNHESRCSGVAENVGSSRDVRAAEREQGAQRRQPPEYSRRRLLFTIAITGIAVLLGSLFFQKKTETSQVH >EOY15521 pep chromosome:Theobroma_cacao_20110822:8:3605141:3608282:-1 gene:TCM_034548 transcript:EOY15521 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-type zinc finger-related isoform 2 MALASDETTRICNHCDRPIPSSNIDLHYAHCVRNLEKCKVCGDMVPKKHAQEHFLNTHAPVACSLCSETMEREILAIHKGENCPQRIVTCEFCEFPLPAIDLAEHQEVCGNRTELCHLCNRYIRLRERYNHESRCSGVAENVGSSRDVRAAEREQGAQRRQPPEYSRRRLLFTIAITGIAVLLGSLFFQKKTETSQVH >EOY15520 pep chromosome:Theobroma_cacao_20110822:8:3605141:3608282:-1 gene:TCM_034548 transcript:EOY15520 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-type zinc finger-related isoform 2 MALASDETTRICNHCDRPIPSSNIDLHYAHCVRNLEKCKVCGDMVPKKHAQEHFLNTHAPVACSLCSETMEREILAIHKGENCPQRIVTCEFCEFPLPAIDLAEHQEVCGNRTELCHLCNRYIRLRERYNHESRCSGVAENVGSSRDVRAAEREQGAQRRQPPEYSRRRLLFTIAITGIAVLLGSLFFQKKTETSQVH >EOY15329 pep chromosome:Theobroma_cacao_20110822:8:2902145:2904336:-1 gene:TCM_034423 transcript:EOY15329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease/protein kinase IRE1-like, putative isoform 4 MRRSALFLLLLMILLSPSIRGCFCSEISQTSLSNHFLPPSPDDDIAVVVTLDGTMHLVDRVSRKVHWSIASGRPIYSSYQAFHDHDNDKLNASGPNSDLFVDCGEDLQLYVHSWRQGRLKKLELSAEEYVRRTPYIAEDGGITLGVKKTTVYLVDANSGRIVQTYRLDDPPPTLDVQNDAGKTVLWTKDAEALMEFGPVNSTTVQRLVYIMRTDYVLQYYSPNSGEVLWNVAFAKIDAELRCLGSENKFSVDYMHDSELQLPCKMKPFVIQIRDHKLLESLPVFDWLDGIIPLPASNQNPRLPPANIFPLALPSDKPWLALPASEMENPLMFDNSNMNITRRSAEMMAGSSIKYFITILATMLTIIGIAFYRLRQGKGSKQDQEFKLQAVAHKKKKPKRSGNGKNSAKNEKRKKLVQEENTVGNTNGLPYMEENEGKSSLTFTNLVDGRVDGRRIGKLLVSNKEIAKGSNGTIVLEGIYDGRPVAVKRLVQTHHDVALKEIQNLIASDQHPNIVRWYGVEFDQDFVYLSLERCTCSLNDLIYVYSKSFQIQTIDKDEDSKLFNEYNVQLRTVMENNKDIELWKPNGCPSPHLLKLMR >EOY15326 pep chromosome:Theobroma_cacao_20110822:8:2899614:2904548:-1 gene:TCM_034423 transcript:EOY15326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease/protein kinase IRE1-like, putative isoform 4 MRRSALFLLLLMILLSPSIRGCFCSEISQTSLSNHFLPPSPDDDIAVVVTLDGTMHLVDRVSRKVHWSIASGRPIYSSYQAFHDHDNDKLNASGPNSDLFVDCGEDLQLYVHSWRQGRLKKLELSAEEYVRRTPYIAEDGGITLGVKKTTVYLVDANSGRIVQTYRLDDPPPTLDVQNDAGKTVLWTKDAEALMEFGPVNSTTVQRLVYIMRTDYVLQYYSPNSGEVLWNVAFAKIDAELRCLGSENKFSVDYMHDSELQLPCKMKPFVIQIRDHKLLESLPVFDWLDGIIPLPASNQNPRLPPANIFPLALPSDKPWLALPASEMENPLMFDNSNMNITRRSAEMMAGSSIKYFITILATMLTIIGIAFYRLRQGKGSKQDQEFKLQAVAHKKKKPKRSGNGKNSAKNEKRKKLVQEENTVGNTNGLPYMEENEGKSSLTFTNLVDGRVDGRRIGKLLVSNKEIAKGSNGTIVLEGIYDGRPVAVKRLVQTHHDVALKEIQNLIASDQHPNIVRWYGVEFDQDFVYLSLERCTCSLNDLIYVYSKSFQIQTIDKDEDSKLFNEYNVQLRTVMENNKDIELWKPNGCPSPHLLKLMRDIVSGLAHLHELGIIHRDLKPQNVLIIKERSLCAKLSDMGISKRLIGDMSSLTRSATGYGSSGWQAPEQLRQGRQTRAVDLFSLGCVLFFCITGGKHPYGDSIERDVNIVNDRKDLFLIETIPEAMDLFSHLLDPNPEMRPKALDVLHHPLFWSSEVRLSFLREASDRVELEDRENESDLLNALESTASVALGGKWDEKMETAFLNNIGRYRRYKFDSVRDLLRVIRNKFNHYRELPQEIQELLGPIPEGFDSYFYSRFPKLLIEVYKVLYKYCKEEKFFQKYIRSNLI >EOY15328 pep chromosome:Theobroma_cacao_20110822:8:2901956:2904479:-1 gene:TCM_034423 transcript:EOY15328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease/protein kinase IRE1-like, putative isoform 4 MRRSALFLLLLMILLSPSIRGCFCSEISQTSLSNHFLPPSPDDDIAVVVTLDGTMHLVDRVSRKVHWSIASGRPIYSSYQAFHDHDNDKLNASGPNSDLFVDCGEDLQLYVHSWRQGRLKKLELSAEEYVRRTPYIAEDGGITLGVKKTTVYLVDANSGRIVQTYRLDDPPPTLDVQNDAGKTVLWTKDAEALMEFGPVNSTTVQRLVYIMRTDYVLQYYSPNSGEVLWNVAFAKIDAELRCLGSENKFSVDYMHDSELQLPCKMKPFVIQIRDHKLLESLPVFDWLDGIIPLPASNQNPRLPPANIFPLALPSDKPWLALPASEMENPLMFDNSNMNITRRSAEMMAGSSIKYFITILATMLTIIGIAFYRLRQGKGSKQDQEFKLQAVAHKKKKPKRSGNGKNSAKNEKRKKLVQEENTVGNTNGLPYMEENEGKSSLTFTNLVDGRVDGRRIGKLLVSNKEIAKGSNGTIVLEGIYDGRPVAVKRLVQTHHDVALKEIQNLIASDQHPNIVRWYGVEFDQDFVYLSLERCTCSLNDLIYVYSKSFQIQTIDKDEDSKLFNEYNVQLRTVMENNKDIELWKPNGCPSPHLLKLMRRDPYVQS >EOY15327 pep chromosome:Theobroma_cacao_20110822:8:2900608:2903359:-1 gene:TCM_034423 transcript:EOY15327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease/protein kinase IRE1-like, putative isoform 4 MEFGPVNSTTVQRLVYIMRTDYVLQYYSPNSGEVLWNVAFAKIDAELRCLGSENKFSVDYMHDSELQLPCKMKPFVIQIRDHKLLESLPVFDWLDGIIPLPASNQNPRLPPANIFPLALPSDKPWLALPASEMENPLMFDNSNMNITRRSAEMMAGSSIKYFITILATMLTIIGIAFYRLRQGKGSKQDQEFKLQAVAHKKKKPKRSGNGKNSAKNEKRKKLVQEENTVGNTNGLPYMEENEGKSSLTFTNLVDGRVDGRRIGKLLVSNKEIAKGSNGTIVLEGIYDGRPVAVKRLVQTHHDVALKEIQNLIASDQHPNIVRWYGVEFDQDFVYLSLERCTCSLNDLIYVYSKSFQIQTIDKDEDSKLFNEYNVQLRTVMENNKDIELWKPNGCPSPHLLKLMRDIVSGLAHLHELGIIHRDLKPQNVLIIKERSLCAKLSDMGISKRLIGDMSSLTRSATGYGSSGWQAPEQLRQGRQTRAVDLFSLGCVLFFCITGGKHPYGDSIERDVNIVNDRKDLFLIETIPEAMDLFSHLLDPNPEMRPKALDVLHHPLFWSSEVRLSFLREASDRVELEDRENESDLLNALESTASVALGGKWDEKMETAFLNNIGRYRRYKFDSVRDLLRVIRNKFNHYRELPQEIQELLGPIPEGFDSYFYSRFPKLLIEVYKVLYKYCKEEKFFQKYIRSNLI >EOY16987 pep chromosome:Theobroma_cacao_20110822:8:15674871:15697767:-1 gene:TCM_036070 transcript:EOY16987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein, putative isoform 1 MEWDGNEIGESQYNNKEEEKEEVEGKVGDKEDEDDDEEEEEDDDYEFRFKSGINPLEFVGENASGLQIYQQFERLEYEALAEKKRKALADTHLSEGPAKKARQEDISEATMDEIMQVINFGARRKSKKRKKRGRRKGSRNKLSPEILGMLGDATLHYANGRYKEAISVLNEVVRLAPNLPDSYHTLGLVHKALGNNKIAFEFYMLAGILKPKDSSLWQQLFTWSIEQGNVSQTCYCLSKAITADPTDISLRFHQASLYVELGDHQRAAESYEQIQRLSPANVEALKSGAKLYQKCGQTERAVAILEDYLRGHPSEVDLSVIDLLVAMLMKINAYKRAILKIEEAQIIYYSEKELPLNLKIKAGICHIHLGDTEKAKIYFSVLVFGELHDHVDWITEVADTFMSLKHFSSALKYYHMLETLDGVDDANLHLKIARCYLSLKERGQAIQFFYRALDQLEDDVDARLDLASLLVEDAKEDEAISLLSSPINLDSQNIDQNPDKSKPWWLDGKIKLKLCHIYRAKGMLEKFVDTILPLVRESLYVESLQLKTKVKKRLRDSVLFERVKKVDDQQTDGVFCGSRPIVTPADRMKASRARKLLQRKAALKEEKKAAAVAAGLDWQSDDANDESEQEPVKEPPLLNLLRDEEHQYLIIDLCKALASLQRYYEALEIIKLTLKSGHNILPVEKEEELRSLGAQMAYNTMDPKHGFDCVKHIVQQHPYSITAWNCYYKVISRLGKSYSKHSKFLRSMRVKYKDCVPSIVISGHQFTVGCQHQDAAREYLEAYRVLPENPLINLCVGTALINLTLGFRLQNKHQCLAQGLSFLYNNLRLCGSSQEALYNIARAFHHVGLVTLAASYYWKVLAISEKDYPIPKLPNENWDVAENQNHGYCDLRREAAFNLHLIYKRSGALDLARQVLRDHCTLD >EOY16984 pep chromosome:Theobroma_cacao_20110822:8:15674871:15696293:-1 gene:TCM_036070 transcript:EOY16984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein, putative isoform 1 MEWDGNEIGESQYNNKEEEKEEVEGKVGDKEDEDDDEEEEEDDDYEFRFKSGINPLEFVGENASGLQIYQQFERLEYEALAEKKRKALADTHLSEGPAKKARQEDISEATMDEIMQVINFGARRKSKKRKKRGRRKGSRNKLSPEILGMLGDATLHYANGRYKEAISVLNEVVRLAPNLPDSYHTLGLVHKALGNNKIAFEFYMLAGILKPKDSSLWQQLFTWSIEQGNVSQTCYCLSKAITADPTDISLRFHQASLYVELGDHQRAAESYEQIQRLSPANVEALKSGAKLYQKCGQTERAVAILEDYLRGHPSEVDLSVIDLLVAMLMKINAYKRAILKIEEAQIIYYSEKELPLNLKIKAGICHIHLGDTEKAKIYFSVLVFGELHDHVDWITEVADTFMSLKHFSSALKYYHMLETLDGVDDANLHLKIARCYLSLKERGQAIQFFYRALDQLEDDVDARLDLASLLVEDAKEDEAISLLSSPINLDSQNIDQNPDKSKPWWLDGKIKLKLCHIYRAKGMLEKFVDTILPLVRESLYVESLQLKTKVKKRLRDSVLFERVKKVDDQQTDGVFCGSRPIVTPADRMKASRARKLLQRKAALKEEKKAAAVAAGLDWQSDDANDESEQEPVKEPPLLNLLRDEEHQYLIIDLCKALASLQRYYEALEIIKLTLKSGHNILPVEKEEELRSLGAQMAYNTMDPKHGFDCVKHIVQQHPYSITAWNCYYKVISRLGKSYSKHSKFLRSMRVKYKDCVPSIVISGHQFTVGCQHQDAAREYLEAYRVLPENPLINLCVGTALINLTLGFRLQNKHQCLAQGLSFLYNNLRLCGSSQEALYNIARAFHHVGLVTLAASYYWKVLAISEKDYPIPKLPNENWDVAENQNHGYCDLRREAAFNLHLIYKRSGALDLARQVLRDHCTLD >EOY16986 pep chromosome:Theobroma_cacao_20110822:8:15674871:15697762:-1 gene:TCM_036070 transcript:EOY16986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein, putative isoform 1 MEWDGNEIGESQYNNKEEEKEEVEGKVGDKEDEDDDEEEEEDDDYEFRFKSGINPLEFVGENASGLQIYQQFERLEYEALAEKKRKALADTHLSEGPAKKARQEDISEATMDEIMQVINFGARRKSKKRKKRGRRKGSRNKLSPEILGMLGDATLHYANGRYKEAISVLNEVVRLAPNLPDSYHTLGLVHKALGNNKIAFEFYMLAGILKPKDSSLWQQLFTWSIEQGNVSQTCYCLSKAITADPTDISLRFHQASLYVELGDHQRAAESYEQIQRLSPANVEALKSGAKLYQKCGQTERAVAILEDYLRGHPSEVDLSVIDLLVAMLMKINAYKRAILKIEEAQIIYYSEKELPLNLKIKAGICHIHLGDTEKAKIYFSVLVFGELHDHVDWITEVADTFMSLKHFSSALKYYHMLETLDGVDDANLHLKIARCYLSLKERGQAIQFFYRALDQLEDDVDARLDLASLLVEDAKEDEAISLLSSPINLDSQNIDQNPDKSKPWWLDGKIKLKLCHIYRAKGMLEKFVDTILPLVRESLYVESLQLKTKVKKRLRDSVLFERVKKVDDQQTDGVFCGSRPIVTPADRMKASRARKLLQRKAALKEEKKAAAVAAGLDWQSDDANDESEQEPVKEPPLLNLLRDEEHQYLIIDLCKALASLQRYYEALEIIKLTLKSGHNILPVEKEEELRSLGAQMAYNTMDPKHGFDCVKHIVQQHPYSITAWNCYYKVISRLGKSYSKHSKFLRSMRVKYKDCVPSIVISGHQFTVGCQHQDAAREYLEAYRVLPENPLINLCVGTALINLTLGFRLQNKHQCLAQGLSFLYNNLRLCGSSQEALYNIARAFHHVGLVTLAASYYWKVLAISEKDYPIPKLPNENWDVAENQNHGYCDLRREAAFNLHLIYKRSGALDLARQVLRDHCTLD >EOY16985 pep chromosome:Theobroma_cacao_20110822:8:15678259:15696198:-1 gene:TCM_036070 transcript:EOY16985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein, putative isoform 1 MEWDGNEIGESQYNNKEEEKEEVEGKVGDKEDEDDDEEEEEDDDYEFRFKSGINPLEFVGENASGLQIYQQFERLEYEALAEKKRKALADTHLSEGPAKKARQEDISEATMDEIMQVINFGARRKSKKRKKRGRRKGSRNKLSPEILGMLGDATLHYANGRYKEAISVLNEVVRLAPNLPDSYHTLGLVHKALGNNKIAFEFYMLAGILKPKDSSLWQQLFTWSIEQGNVSQTCYCLSKAITADPTDISLRFHQASLYVELGDHQRAAESYEQIQRLSPANVEALKSGAKLYQKCGQTERAVAILEDYLRGHPSEVDLSVIDLLVAMLMKINAYKRAILKIEEAQIIYYSEKELPLNLKIKAGICHIHLGDTEKAKIYFSVLVFGELHDHVDWITEVADTFMSLKHFSSALKYYHMLETLDGVDDANLHLKIARCYLSLKERGQAIQFFYRALDQLEDDVDARLDLASLLVEDAKEDEAISLLSSPINLDSQNIDQNPDKSKPWWLDGKIKLKLCHIYRAKGMLEKFVDTILPLVRESLYVESLQLKTKVKKRLRDSVLFERVKKVDDQQTDGVFCGSRPIVTPADRMKASRARKLLQRKAALKEEKKAAAVAAGLDWQSDDANDESEQEPVKEPPLLNLLRDEEHQYLIIDLCKALASLQRYYEALEIIKLTLKSGHNILPVEKEEELRSLGAQMAYNTMDPKHGFDCVKHIVQQHPYSITAWNCYYKVISRLGKSYSKHSKFLRSMRVKYKDCVPSIVISGHQFTVGCQHQDAAREYLEAYRVLPENPLINLCVGDCLNQLNPWI >EOY17451 pep chromosome:Theobroma_cacao_20110822:8:20612772:20613268:1 gene:TCM_036630 transcript:EOY17451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MRKALALLSLLVWRATKGFMGIMGFAMTNSICSWNRIATVKHATGCANPKSSIDSIQGGVRILSLVNVLTVVVFLKNPPTPTACLHATTKPYLTY >EOY17453 pep chromosome:Theobroma_cacao_20110822:8:20612292:20613529:1 gene:TCM_036630 transcript:EOY17453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 KDEVGRLQVWRATKGFMGIMGFAMTNSICSWNRIATVKHATGCANPKSSIDSIQGGVRILSLVNVLTVVVFLKNPPTPTACLHATTKPYLTY >EOY17452 pep chromosome:Theobroma_cacao_20110822:8:20612715:20613459:1 gene:TCM_036630 transcript:EOY17452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 LNAITISLNLLNKGFLCWKMRKALALLSLLVILSLTLPLGMESYKGFYGHHGICYDKFDMLMEPHCNSETCNRLCESKKFDRLYTRRCSDSITCERTYRCRLP >EOY16955 pep chromosome:Theobroma_cacao_20110822:8:15416672:15426079:1 gene:TCM_036036 transcript:EOY16955 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent clp protease ATP-binding subunit clpx isoform 2 MSGTGMWRWRQLPLLSLRHKIWTHLNSMECLGCHRSLIGIGIQERYKGDKGGGDNRNDDSNNSQTTTNSTTTTSTARRKMKAETNCPRCSSLNPMDLVFSNRHNSFNLNFPHAGAHGDDQSDDTSSNSSGSKSTPTSTSTPLNFCPTCKTPYHFRISPLQGTFMEIGRHQNHKSNPKTSSASPRNRLRLSFWDNLRSENWPPTPPPPTPPPPGNGIAVHTPPGPPFAPGVNVIRATGPKEDHGSGAWLGGANLGKDLPTPKEICKGLDQFVIGQRRAKKVLSVAVYNHYKRIYHASLQKGSGAEAGSSESIDDDDKVELEKSNVLLVGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLSYDEGEGRQTSGSRHLLPTNETSIPQFVAEFNVEAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFVDLDKTISERRQDSSIGFGAPVRANMRATGLTNAAVTSSLLETVESSDLIAYGLIPEFIGRFPILVSLSALTEDQLMQVLTEPKNALGKQYKKLFSMNNVKLHFTSKALRLIAKKAMAKNTGARGLRAILEGILTEAMYEIPDAKTGNNRLDAVVVDEESVGSTNIPGCGGKILCGDGALENYFAEAKLKDSAENLASVERELQEGESEVSSRAMSL >EOY16956 pep chromosome:Theobroma_cacao_20110822:8:15416672:15426079:1 gene:TCM_036036 transcript:EOY16956 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent clp protease ATP-binding subunit clpx isoform 2 MSGTGMWRWRQLPLLSLRHKIWTHLNSMECLGCHRSLIGIGIQERYKGDKGGGDNRNDDSNNSQTTTNSTTTTSTARRKMKAETNCPRCSSLNPMDLVFSNRHNSFNLNFPHAGAHGDDQSDDTSSNSSGSKSTPTSTSTPLNFCPTCKTPYHFRISPLQGTFMEIGRHQNHKSNPKTSSASPRNRLRLSFWDNLRSENWPPTPPPPTPPPPGNGIAVHTPPGPPFAPGVNVIRATGPKEDHGSGAWLGGANLGKDLPTPKEICKGLDQFVIGQRRAKKVLSVAVYNHYKRIYHASLQKGSGAEAGSSESIDDDDKVELEKSNVLLVGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLSVAEFNVEAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFVDLDKTISERRQDSSIGFGAPVRANMRATGLTNAAVTSSLLETVESSDLIAYGLIPEFIGRFPILVSLSALTEDQLMQVLTEPKNALGKQYKKLFSMNNVKLHFTSKALRLIAKKAMAKNTGARGLRAILEGILTEAMYEIPDAKTGNNRLDAVVVDEESVGSTNIPGCGGKILCGDGALENYFAEAKLKDSAENLASVERELQEGESEVSSRAMSL >EOY15534 pep chromosome:Theobroma_cacao_20110822:8:3668588:3675481:1 gene:TCM_034559 transcript:EOY15534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 2 isoform 1 MAMAISLRRLSSSIKSPIRPLFNGSSINFMSTAAAEKEKARANWIHQLNAPLEEIDPEIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFGLDPAKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGFIDYDQLEKSAVLFRPKLIVAGASAYARLYDYARIRKVCDKQKAILLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKKGQEVMYDFEDKINQAVFPGLQGGPHNHTITGLAVALKQVRTPEYKAYQEQVLSNCSKFAQRLLEKGYELVSGGTENHLVLVNLRNKGIDGSRVEKVMESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFIEEDFEKVADFFDAAVKLALKIKAETKGTKLKDFVETLQSDVNIQSEIAKLRREVEEYAKQFPTIGFEKETMKYKD >EOY15537 pep chromosome:Theobroma_cacao_20110822:8:3670203:3675049:1 gene:TCM_034559 transcript:EOY15537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 2 isoform 1 MAMAISLRRLSSSIKSPIRPLFNGSSINFMSTAAAEKEKARANWIHQLNAPLEEIDPEIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFGLDPAKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGFIDYDQLEKSAVLFRPKLIVAGASAYARLYDYARIRKVCDKQKAILLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKKGQEVMYDFEDKINQAVFPGLQGGPHNHTITGLAVALKQVRTPEYKAYQEQVLSNCSKFAQRLLEKGYELVSGGTENHLVLVNLRNKGIDGSRVEKVMESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFIEEDFEKVADFFDAAVKLALKIKAETKGTKLKDFVETLQSDVNIQSEIAKLRREVEEYAKQFPTIGFEKETMKYKD >EOY15535 pep chromosome:Theobroma_cacao_20110822:8:3670203:3675366:1 gene:TCM_034559 transcript:EOY15535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 2 isoform 1 MAMAISLRRLSSSIKSPIRPLFNGSSINFMSTAAAEKEKARANWIHQLNAPLEEIDPEIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFGLDPAKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGFIDYDQLEKSAVLFRPKLIVAGASAYARLYDYARIRKVCDKQKAILLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKKGQEVMYDFEDKINQAVFPGLQGGPHNHTITGLAVALKQVRTPEYKAYQEQVLSNCSKFAQRLLEKGYELVSGGTENHLVLVNLRNKGIDGSRVEKVMESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFIEEDFEKVADFFDAAVKLALKIKAETKGTKLKDFVETLQSDVNIQSEIAKLRREVEEYAKQFPTIGFEKETMKYKD >EOY15533 pep chromosome:Theobroma_cacao_20110822:8:3670304:3675428:1 gene:TCM_034559 transcript:EOY15533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 2 isoform 1 MAMAISLRRLSSSIKSPIRPLFNGSSINFMSTAAAEKEKARANWIHQLNAPLEEIDPEIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFGLDPAKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGFIDYDQLEKSAVLFRPKLIVAGASAYARLYDYARIRKVCDKQKAILLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKKGQEVMYDFEDKINQAVFPGLQGGPHNHTITGLAVALKQVRTPEYKAYQEQVLSNCSKFAQQRLLEKGYELVSGGTENHLVLVNLRNKGIDGSRVEKVMESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFIEEDFEKVADFFDAAVKLALKIKAETKGTKLKDFVETLQSDVNIQSEIAKLRREVEEYAKQFPTIGFEKETMKYKD >EOY15536 pep chromosome:Theobroma_cacao_20110822:8:3670203:3675227:1 gene:TCM_034559 transcript:EOY15536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 2 isoform 1 MAMAISLRRLSSSIKSPIRPLFNGSSINFMSTAAAEKEKARANWIHQLNAPLEEIDPEIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFGLDPAKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGFIDYDQLEKSAVLFRPKLIVAGASAYARLYDYARIRKVCDKQKAILLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKKGQEVMYDFEDKINQAVFPGLQGGPHNHTITGLAVALKQVRTPEYKAYQEQVLSNCSKFAQRLLEKGYELVSGGTENHLVLVNLRNKGIDGSRVEKVMESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFIEEDFEKVADFFDAAVKLALKIKAETKGTKLKDFVETLQSDVNIQSEIAKLRREVEEYAKQFPTIGFEKETMKYKD >EOY15532 pep chromosome:Theobroma_cacao_20110822:8:3670203:3675048:1 gene:TCM_034559 transcript:EOY15532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 2 isoform 1 MAMAISLRRLSSSIKSPIRPLFNGSSINFMSTAAAEKEKARANWIHQLNAPLEEIDPEIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFGLDPAKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGFIDYDQLEKSAVLFRPKLIVAGASAYARLYDYARIRKVCDKQKAILLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKKGQEVMYDFEDKINQAVFPGLQGGPHNHTITGLAVALKQVRTPEYKAYQEQVLSNCSKFAQRLLEKGYELVSGGTENHLVLVNLRNKGIDGSRVEKVMESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFIEEDFEKVADFFDAAVKLALKIKAETKGTKLKDFVETLQSDVNIQSEIAKLRREVEEYAKQFPTIGFEKETMKYKD >EOY15136 pep chromosome:Theobroma_cacao_20110822:8:2346908:2347932:-1 gene:TCM_047067 transcript:EOY15136 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR family of Fe/S cluster biogenesis protein MVLSLDLQDFLLRARVLKLYRQALRTARRAPEHSRAELKQVIRQEMESNRDCKDKQRIRFLISEGTERLKGLTEMLGMQGHC >EOY16589 pep chromosome:Theobroma_cacao_20110822:8:8761673:8762665:1 gene:TCM_035404 transcript:EOY16589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERQPSLRLERNSSLEHEPLTLTKEQYQAALAAVIQMYTKGNPSVQPGGEVQPEKDEAPQPAKGCSKL >EOY17013 pep chromosome:Theobroma_cacao_20110822:8:16919060:16919859:1 gene:TCM_036169 transcript:EOY17013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MIDTRPTPMGNIKFYFLWIFLIQRFMIGFTASMSVSNLTTDQSMLLEFKNQILDPHDVLASNWSSTSSVCHWVGVSCSAHHGRVSILDLSNMGLKGTIAPHLGNLSFLVSLNLSGNNFHGYLPKELAKLHRLKLIDLSYNAFNGEIPSWFGALHKVKYLILGNNTFTGTIPPTLANMSNLETLDLGHNMIHGKIPYEIGDLQKLKMFRVRYNQLFGSIPSSIFNISSLRLISLTNNTLSGNFLELKIF >EOY15151 pep chromosome:Theobroma_cacao_20110822:8:2410788:2418793:1 gene:TCM_034314 transcript:EOY15151 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like protein tyrosine kinase family protein isoform 2 MVMGDTESCSSRAVDFAPCQSRKQRQKVEVFNEVLCRLRDLNIEEASFPAFEDELWAHFSRLPARYAFDVNVERSQDVLMHKRLLHKARDPATRPAIEVRPVQVHSASDGNRVGSVHLKFARKADAQCSDYPNKKRFHPPPAFGTSSGIELVHEAKRGVKDMDVVVNGNLIDSRLMHEITISTNDKPKLLTQLTSLLSEVGLNIQEAHAFSTTDGYSLDVFVVDGWALEETDQLRNVLIKEISKVEKHSWSKSHALYHGRELKQTGNKLASSHVNIPSGGNGVWEIDTSLLKYESKLASGSYGDLYKGTFCGQDVAIKVLRTEHLNENLQREFTQEVNIMRKIRHKNVVEFIGACTRLPSLCIVTEFMSGGSMYDFLHKQKSGFKLPFLLKVAIDVSKGMSYLHQNNIMHRDLKAANLLMDENGVVKIADFGVARVQAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGVVLWELLTGKLPYENLTPLQAALGVVQKGLRPVIPKHTHPKFVELLDRCWQQEPSLRPEFSEISDLLQDIAGR >EOY15152 pep chromosome:Theobroma_cacao_20110822:8:2410787:2417336:1 gene:TCM_034314 transcript:EOY15152 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like protein tyrosine kinase family protein isoform 2 MVMGDTESCSSRAVDFAPCQSRKQRQKVEVFNEVLCRLRDLNIEEASFPAFEDELWAHFSRLPARYAFDVNVERSQDVLMHKRLLHKARDPATRPAIEVRPVQVHSASDGNRVGSVHLKFARKADAQCSDYPNKKRFHPPPAFGTSSGIELVHEAKRGVKDMDVVVNGNLIDSRLMHEITISTNDKPKLLTQLTSLLSEVGLNIQEAHAFSTTDGYSLDVFVVDGWALEETDQLRNVLIKEISKVEKHSWSKSHALYHGRELKQTGNKLASSHVNIPSGGNGVWEIDTSLLKYESKLASGSYGDLYKGTFCGQDVAIKVLRTEHLNENLQREFTQEVNIMRKIRHKNVVEFIGACTRLPSLCIVTEFMSGGSMYDFLHKQKSGFKLPFLLKVAIDVSKGMSYLHQNNIMHRDLKAANLLMDENGVVKIADFGVARVQAQSGVMTAETGTYRWMAPEVIEA >EOY16101 pep chromosome:Theobroma_cacao_20110822:8:5795377:5798080:1 gene:TCM_034976 transcript:EOY16101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of ribosome, putative MGFSKEDGLISKTISMVLSLCSIIPRSFRFQCPMQIQKEGTAYKHLLLPGLKTLIYAPGTPPTQSPTHSNPLIIHSYTIPHAVHSFQSLFRSLSTHSSSYSSWSSGMDDMLGTESGVYMSPDELRMLEMERTYGNRDYNPDKRKQGLAMKGEYPPAIPLLARTGNLPGHMPWILRRHYSNGRLILKEEKVKYHEYFEAYRENGRLILELVSLDDTFTCCHAVYEEKDEEVVLENAEFFQGEDLEKVEEYDDEEPEADGDDEDNGYVYVPRFNNNLISFSVPEFYHGNERYGDPRKCLTYSGRFISELSSIFCNAGEQEGSSVPLAFCNIIPPITTSTTACDAACLVT >EOY15744 pep chromosome:Theobroma_cacao_20110822:8:4488021:4494711:-1 gene:TCM_034716 transcript:EOY15744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding, putative isoform 1 MAMASSEQPLKKRRLYEPPPEPPETVAQPETSVGPPTTPPPLSQEEILARRRNRDEIRSVYENYKRIKSCIALKGKDVRHMPELEQAYLALITASRGCTSVQRLVADFIPRYASYCPTALEAATKVIINVHNSSLAVISMGGDADNVAFQTAKACIFGLADLCCTASAEAPTSSVVRGICSAVFQNVLSFLVSSFEGKDLFQIVDNDIWRMQDSDEIFSELKQRFSDEDESSLIKLSKFRALSLLWIFFHCPKNLLAACFELFRSSATEEADKGLYFLRQATGRLDNVDVESVLGKITVGPKSCTDSPGISTKGSLLSGETPRSDSCYVTEDACPALKSSLLGLVFGRNPSLRSWMVLKYKNLCKLSPSKSVPGIISSLEGIFESFGKCISIEVQADSDEDDSDSSKFVSQPHLVSRSSNQHETSTDQSGSNKTSNESCAENLSGQYLKPHIVPLEANVHLNTGSGHDSGGSRSMDFERHDHGDLSGSRSSVARDLSSHQMLSPVTRTPLDFRSNSFEGRNHVKNVDKNQVSNTSGASALRSSSGGVSNAVASPSSRFAALYGSTSSQTAWYFDGDPAAMGIFSASRQLWLGALGPDASEGHIRFQLERFAPIEQFFFFPIKGFALVEYRNIIDAIRSRDYVRGCFPWRVMFMDIGLGTRGAMNGVAVGSSSHVYVGNVTSQWVKDEILHESRKAVYKGPYMVTDLTCECALLLEYETPEEAAVVMTHLRKHRKERSNHMPAFNAGPANVSMSHVDSGRSGAAPPIHVDIKNSNSANMSSSSMELVSPKLRGENHGTAAPVTHPYQSNWPAPGCTDMPEGGLRKVDGYDNNLIADHTQGGGGVVSGASGQVWNYKKPESELHLAPGTMPCVPIGTQGLSAPPPPQLQAPPFMRPVYHPSNSSWDPRGLNHQFPQNPISPGVVPNTFHGNAVPPPFIPASVTPLAQIQGPPIQHFEQMFPHPVVPPPLSSMPPPQPEMPPPPPPPLSPPPLPQSLPPFVPPPPNSPPPPPPIAESTDTGSSELCVKHWWQGTLCKSGAHYCTIYAQRLESDLCKYSNAISEPAEWPAKLDMTKRTDFRHVKSTFTNTPPHKREVCCLIPSSSGDHKGFQDFISYLKQRECAGVIKIPAMKSMWSRLLFILPYSQEACSMLSVAPNSSECLIALVLPKETNFEWV >EOY15745 pep chromosome:Theobroma_cacao_20110822:8:4488824:4494345:-1 gene:TCM_034716 transcript:EOY15745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding, putative isoform 1 MAMASSEQPLKKRRLYEPPPEPPETVAQPETSVGPPTTPPPLSQEEILARRRNRDEIRSVYENYKRIKSCIALKGKDVRHMPELEQAYLALITASRGCTSVQRLVADFIPRYASYCPTALEAATKVIINVHNSSLAVISMGGDADNVAFQTAKACIFGLADLCCTASAEAPTSSVVRGICSAVFQNVLSFLVSSFEGKDLFQIVDNDIWRMQDSDEIFSELKQRFSDEDESSLIKLSKFRALSLLWIFFHCPKNLLAACFELFRSSATEEADKGLYFLRQATGRLDNVDVESVLGKITVGPKSCTDSPGISTKGSLLSGETPRSDSCYVTEDACPALKSSLLGLVFGRNPSLRSWMVLKYKNLCKLSPSKSVPGIISSLEGIFESFGKCISIEVQADSDEDDSDSSKFVSQPHLVSRSSNQHETSTDQSGSNKTSNESCAENLSGQYLKPHIVPLEANVHLNTGSGHDSGGSRSMDFERHDHGDLSGSRSSVARDLSSHQMLSPVTRTPLDFRSNSFEGRNHVKNVDKNQVSNTSGASALRSSSGGVSNAVASPSSRFAALYGSTSSQTAWYFDGDPAAMGIFSASRQLWLGALGPDASEGHIRFQLERFAPIEQFFFFPIKGFALVEYRNIIDAIRSRDYVRGCFPWRVMFMDIGLGTRGAMNGVAVGSSSHVYVGNVTSQWVKDEILHESRKAVYKGPYMVTDLTCECALLLEYETPEEAAVVMTHLRKHRKERSNHMPAFNAGPANVSMSHVDSGRSGAAPPIHVDIKNSNSANMSSSSMELVSPKLRGENHGTAAPVTHPYQSNWPAPGCTDMPEGGLRKVDGYDNNLIADHTQGGGGVVSGASGQVWNYKKPESELHLAPGTMPCVPIGTQGLSAPPPPQLQAPPFMRPVYHPSNSSWDPRGLNHQFPQNPISPESTDTGSSELCVKHWWQGTLCKSGAHYCTIYAQRLESDLCKYSNAISEPAEWPAKLDMTKRTDFRHVKSTFTNTPPHKREVCCLIPSSSGDHKGFQDFISYLKQRECA >EOY16403 pep chromosome:Theobroma_cacao_20110822:8:7027208:7029740:-1 gene:TCM_035199 transcript:EOY16403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Keratinocyte-associated protein 2 isoform 1 MAGSGSSMLYSFLLFTVILSLQEMYRGKLASSELFTILGGFISSLLFLVSLTFIGNFQETCGMRTGWGAVILAEVVALIAASTVHRVCITTCFLFSAGLLYEINKISGVTLSKSESKTKRH >EOY16404 pep chromosome:Theobroma_cacao_20110822:8:7027463:7029699:-1 gene:TCM_035199 transcript:EOY16404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Keratinocyte-associated protein 2 isoform 1 MLYSFLLFTVILSLQEMYRGKLASSELFTILGGFISSLLFLVSLTFIGNFQETCGMRTGWVILAEVVALIAASTVHRVCITTCFLFSAGLLYEINKISGVTLSKSESK >EOY16405 pep chromosome:Theobroma_cacao_20110822:8:7027213:7029109:-1 gene:TCM_035199 transcript:EOY16405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Keratinocyte-associated protein 2 isoform 1 MYRGKLASSELFTILGGFISSLLFLVSLTFIGNFQETCGMRTGWGAASIPCCSI >EOY17329 pep chromosome:Theobroma_cacao_20110822:8:19432734:19450346:1 gene:TCM_036490 transcript:EOY17329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MAIVSTTCNTQDPLLEETVVNGSVDCKGCPARRSTSGGWRSASFIIAVEVAERFACFGISSNLITYLTGPLGQSTATAAENVNAWSGTATLLPLLGAFVADAYLGRYRTIIISSLIYALGLGLLTLSAMLTSLSNLDCQNTNNITSCSTPQLLVFSFIFSLYLVAIGQGGHKPCVQAFGADQFDGNDPKESIARSSFFNWWYFTLSTGVLLSLVIIVYIQDNLSWALGFGILCILMLVALVVFLLGAKTYRYSVNVNKENPFRRIGQVFFFAVKNRRNALSVTVAEEEVLQPLPQQCSEQFKFLNKALLAQDGSSEDWKGCSLNENVEEAKGLLRLIPIWTTCLVYAIVFAQASTFFTKQGATMDRKLAQGLTIPAASLQLFTAVSIIIFIPLYDRVFVPITRTLTQKPTGITMLRRIGTGMFLSAFSMVIAALVEMKRLKTAQEYGLVDTPDVTIPMSVWWLVPQYLLFGLADVLTIVGLQEFFYDQVPNELKSVGLSLYLSVLGVGSFLSSFLVSVIDKATGGDGGESWFASNLNQAHLDYFYWLLAGLSAAGLAAFLFFSKSFVYTMGRSS >EOY17330 pep chromosome:Theobroma_cacao_20110822:8:19432993:19465838:1 gene:TCM_036490 transcript:EOY17330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MAIVSTTCNTQDPLLEETVVNGSVDCKGCPARRSTSGGWRSASFIIAVEVAERFACFGISSNLITYLTGPLGQSTATAAENVNAWSGTATLLPLLGAFVADAYLGRYRTIIISSLIYALGLGLLTLSAMLTSLSNLDCQNTNNITSCSTPQLLVFSFIFSLYLVAIGQGGHKPCVQAFGADQFDGNDPKESIARSSFFNWWYFTLSTGVLLSLVIIVYIQDNLSWALGFGILCILMLVALVVFLLGAKTYRYSVNVNKENPFRRIGQVFFFAVKNRRNALSVTVAEEEVLQPLPQQCSEQFKFLNKALLAQDGSSEDWKGCSLNENVEEAKGLLRLIPIWTTCLVYAIVFAQASTFFTKQGATMDRKLAQGLTIPAASLQLFTAVSIIIFIPLYDRVFVPITRTLTQKPTGITMLRRIGTGMFLSAFSMVIAALVEMKRLKTAQEYGLVDTPDVTIPMSVWWLVPQYLLFGLADVLTIVGLQEFFYDQVPNELKSVGLSLYLSVLGVGSFLSSFLVSVIDKATGGDGGESWFASNLNQAHLDYFYWLLAGLSAAGLAAFLFFSKSFVYTMGRSDQVRIYSLLVWVIRRMIELFHQSFCITPMILSAASFSYHLKI >EOY14930 pep chromosome:Theobroma_cacao_20110822:8:1704361:1714069:-1 gene:TCM_034163 transcript:EOY14930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 isoform 3 MESDDGSNSKAGPELQFDMGNKVELGLEKDSLQHSLKPRNKKMDSGGGPKKRVKVTPVEVGFDSGDDEPIGSLLKLRKPKNPKKIKAGLEGSVEKCHKVEVKAHKILGEAEEDLGEMNDTLASFRKKLKCPKKDIEPGTMRGRGYALNESVEDDGVLDGNSESKTVEKGQDIGEDRSNVVTDKGIERKSTGKVRRGKFDSKAKATGDDDDSEGLESQVEEDHNEGGLWPGEASDQPLDEKLEESLSTFFQRVQSGSQRKSLPNSCLKQNCKATHHAFVSKNPSRKCDDSSLSVSGTSLWHSTSKECNTAVNQRFDDGVCQQETILEPCDLNAQKGPIEDPCRSPKVCEKDGNRHSNIQLRDNCSAVDQSGKPESEGLKDGLELQSTAKTGSLVPCVVEMANSLSSSNLMEEIHGSSAGGLVPQSMDISNKYILSADPEIYSIGEENSNDELLNKSYENACEETAKLESGYVFNQYQEGSQQIQLNLSLSAVDSLKMEETCSDGPNTCAEEKSLETHVHPNELVASIRRCNSALHQPSEDASHGACVPSHDCFSVNEEADGDSPTSLTPDENESCHEDVVSLPSSEIKDSKSSAIQRAGRNIKKRRHGDMAYEGDADWENLISEQGFFGSQQFVDSDRSFRAREKFDEAAVSAGLKARAVGPVEKIKFKEVLKRRGGLQEYLECRNHILGLWSKDVTRILPLVDCGVTDTPSEAEPARASLIREIYAFLDQSGYINFGIASKKEKAEHNAKHNYKLLEEENFEGSSGASIADSEDGVAFILGQVKTTEAPAEAKSGVRVDDQNLASEAKLCEVSVDSITPELPNVPSADLSCDVVDMGIAPVVTPEERNDSQYVQSAAYDNPYWNDHLKGDSEVRKKIIVVGAGPAGLTAARHLQRHGFSVVVLEARNRIGGRVHTDCSSLSVPVDLGASIITGVEADVSTNRRPDPSSLVCAQLGLELTVLNSSCPLYDIVTGQKVPADLDDALEAEYNTLLDDMVFLVAQKGEKAMRMSLEDGLEYALKRHRMAEIGADIEETESHSSVEAFYDSKASNVIGNFPEEKCSKEEILSSLERRVMNWHYAHLEYGCAASLKEVSLPHWNQDDVYGGFGGPHCMIKGGYSTVVESLAEGLLLHLNHVVTNISYSPKDSGTDDSQHRQVKVSTLNGSEFSGDAVLITVPLGCLKAGAIKFSPSLPQWKHSSIQRLGFGVLNKVVLEFPEVFWDDTVDYFGVTAEETDRRGHCFMFWNVRKTVGAPVLIALVAGKAAIDGQSMSSSDHVNHAVIALRKLFGEASVPDPVASVVTDWGRDPFSYGAYSYVAIGASGEDYDMLGRPVENCLFFAGEATCKEHPDTVGGAMLSGLREAVRLIDIFTTGNDHTAEVEAMEAAQRQSESEKDEVRDIIKRLEAVELSNVLYKNSLDRARLLTREALLRDMFFNVKTTVGRLHLAKKLLGLPVESLKSFAGTKEGLTTLNSWMLDSMGKDGTQLLRHCVRLLVLVSTDLVAVRSSGIGKTVKEKVCVHTSRDIRAIASQLVNVWLEVFRKAKASSKRKNLKDAASGKPPLRSHHGAFENKRSLQDPLSAGSQYPINVKENGKSMGVEAVNLAMSEEEQAAFAAEAAARAAAKAAAEALASTEANCNKLLQLPKIPSFHKFARREQYAQMDERKWPGGVLGRQDCISEIDSRNCRVRDWSVDFSAACVNLDSSRMSVDNLSQRSHSNEIASHLKLREHSGESLAVDSSIFTKAWVDSAGSGGIKDYHAIDRWQSQAAAADLDFFHPTMHVKDEEDSYTSSRQPTWKHDGRANESSISQITVNKERFKNHPRGADRIKQAVVDYVASLLMPLYKARKIDKEGYKSIMKKTATKVMEIASDAEKNMAISEFLDFKRKNKIRSFVDKLIERHMAMKPVMNT >EOY14933 pep chromosome:Theobroma_cacao_20110822:8:1703950:1713706:-1 gene:TCM_034163 transcript:EOY14933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 isoform 3 MESDDGSNSKAGPELQFDMGNKVELGLEKDSLQHSLKPRNKKMDSGGGPKKRVKVTPVEVGFDSGDDEPIGSLLKLRKPKNPKKIKAGLEGSVEKCHKVEVKAHKILGEAEEDLGEMNDTLASFRKKLKCPKKDIEPGTMRGRGYALNESVEDDGVLDGNSESKTVEKGQDIGEDRSNVVTDKGIERKSTGKVRRGKFDSKAKATGDDDDSEGLESQVEEDHNEGGLWPGEASDQPLDEKLEESLSTFFQRVQSGSQRKSLPNSCLKQNCKATHHAFVSKNPSRKCDDSSLSVSGTSLWHSTSKECNTAVNQRFDDGVCQQETILEPCDLNAQKGPIEDPCRSPKVCEKDGNRHSNIQLRDNCSAVDQSGKPESEGLKDGLELQSTAKTGSLVPCVVEMANSLSSSNLMEEIHGSSAGGLVPQSMDISNKYILSADPEIYSIGEENSNDELLNKSYENACEETAKLESGYVFNQYQEGSQQIQLNLSLSAVDSLKMEETCSDGPNTCAEEKSLETHVHPNELVASIRRCNSALHQPSEDASHGACVPSHDCFSVNEEADGDSPTSLTPDENESCHEDVVSLPSSEIKDSKSSAIQRAGRNIKKRRHGDMAYEGDADWENLISEQGFFGSQQFVDSDRSFRAREKFDEAAVSAGLKARAVGPVEKIKFKEVLKRRGGLQEYLECRNHILGLWSKDVTRILPLVDCGVTDTPSEAEPARASLIREIYAFLDQSGYINFGIASKKEKAEHNAKHNYKLLEEENFEGSSGASIADSEDGVAFILGQVKTTEAPAEAKSGVRVDDQNLASEAKLCEVSVDSITPELPNVKIQEECLSDNCQQNDSIDVKLNPGLINLQVPSADLSCDVVDMGIAPVVTPEERNDSQYVQSAAYDNPYWNDHLKGDSEVRKKIIVVGAGPAGLTAARHLQRHGFSVVVLEARNRIGGRVHTDCSSLSVPVDLGASIITGVEADVSTNRRPDPSSLVCAQLGLELTVLNSSCPLYDIVTGQKVPADLDDALEAEYNTLLDDMVFLVAQKGEKAMRMSLEDGLEYALKRHRMAEIGADIEETESHSSVEAFYDSKASNVIGNFPEEKCSKEEILSSLERRVMNWHYAHLEYGCAASLKEVSLPHWNQDDVYGGFGGPHCMIKGGYSTVVESLAEGLLLHLNHVVTNISYSPKDSGTDDSQHRQVKVSTLNGSEFSGDAVLITVPLGCLKAGAIKFSPSLPQWKHSSIQRLGFGVLNKVVLEFPEVFWDDTVDYFGVTAEETDRRGHCFMFWNVRKTVGAPVLIALVAGKAAIDGQSMSSSDHVNHAVIALRKLFGEASVPDPVASVVTDWGRDPFSYGAYSYVAIGASGEDYDMLGRPVENCLFFAGEATCKEHPDTVGGAMLSGLREAVRLIDIFTTGNDHTAEVEAMEAAQRQSESEKDEVRDIIKRLEAVELSNVLYKNSLDRARLLTREALLRDMFFNVKTTVGRLHLAKKLLGLPVESLKSFAGTKEGLTTLNSWMLDSMGKDGTQLLRHCVRLLVLVSTDLVAVRSSGIGKTVKEKVCVHTSRDIRAIASQLVNVWLEVFRKAKASSKRKNLKDAASGKPPLRSHHGAFENKRSLQDPLSAGSQYPINVKENGKSMGVEAVNLAMSEEEQAAFAAEAAARAAAKAAAEALASTEANCNKLLQLPKIPSFHKFARREQYAQMDERKWPGGVLGRQDCISEIDSRNCRVRDWSVDFSAACVNLDSSRMSVDNLSQRSHSNEIASHLKLREHSGESLAVDSSIFTKAWVDSAGSGGIKDYHAIDRWQSQAAAADLDFFHPTMHVKDEEDSYTSSRQPTWKHDGRANESSISQITVNKERFKNHPRGADRIKQAVVDYVASLLMPLYKARKIDKEGYKSIMKKTATKVMEIASDAEKNMAISEFLDFKRKNKIRSFVDKLIERHMAMKPVMNT >EOY14932 pep chromosome:Theobroma_cacao_20110822:8:1706164:1713563:-1 gene:TCM_034163 transcript:EOY14932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 isoform 3 MESDDGSNSKAGPELQFDMGNKVELGLEKDSLQHSLKPRNKKMDSGGGPKKRVKVTPVEVGFDSGDDEPIGSLLKLRKPKNPKKIKAGLEGSVEKCHKVEVKAHKILGEAEEDLGEMNDTLASFRKKLKCPKKDIEPGTMRGRGYALNESVEDDGVLDGNSESKTVEKGQDIGEDRSNVVTDKGIERKSTGKVRRGKFDSKAKATGDDDDSEGLESQVEEDHNEGGLWPGEASDQPLDEKLEESLSTFFQRVQSGSQRKSLPNSCLKQNCKATHHAFVSKNPSRKCDDSSLSVSGTSLWHSTSKECNTAVNQRFDDGVCQQETILEPCDLNAQKGPIEDPCRSPKVCEKDGNRHSNIQLRDNCSAVDQSGKPESEGLKDGLELQSTAKTGSLVPCVVEMANSLSSSNLMEEIHGSSAGGLVPQSMDISNKYILSADPEIYSIGEENSNDELLNKSYENACEETAKLESGYVFNQYQEGSQQIQLNLSLSAVDSLKMEETCSDGPNTCAEEKSLETHVHPNELVASIRRCNSALHQPSEDASHGACVPSHDCFSVNEEADGDSPTSLTPDENESCHEDVVSLPSSEIKDSKSSAIQRAGRNIKKRRHGDMAYEGDADWENLISEQGFFGSQQFVDSDRSFRAREKFDEAAVSAGLKARAVGPVEKIKFKEVLKRRGGLQEYLECRNHILGLWSKDVTRILPLVDCGVTDTPSEAEPARASLIREIYAFLDQSGYINFGIASKKEKAEHNAKHNYKLLEEENFEGSSGASIADSEDGVAFILGQVKTTEAPAEAKSGVRVDDQNLASEAKLCEVSVDSITPELPNVKIQEECLSDNCQQNDSIDVKLNPGLINLQVPSADLSCDVVDMGIAPVVTPEERNDSQYVQSAAYDNPYWNDHLKGDSEVRKKIIVVGAGPAGLTAARHLQRHGFSVVVLEARNRIGGRVHTDCSSLSVPVDLGASIITGVEADVSTNRRPDPSSLVCAQLGLELTVLNSSCPLYDIVTGQKVPADLDDALEAEYNTLLDDMVFLVAQKGEKAMRMSLEDGLEYALKRHRMAEIGADIEETESHSSVEAFYDSKASNVIGNFPEEKCSKEEILSSLERRVMNWHYAHLEYGCAASLKEVSLPHWNQDDVYGGFGGPHCMIKGGYSTVVESLAEGLLLHLNHVVTNISYSPKDSGTDDSQHRQVKVSTLNGSEFSGDAVLITVPLGCLKAGAIKFSPSLPQWKHSSIQRLGFGVLNKVVLEFPEVFWDDTVDYFGVTAEETDRRGHCFMFWNVRKTVGAPVLIALVAGKAAIDGQSMSSSDHVNHAVIALRKLFGEASVPDPVASVVTDWGRDPFSYGAYSYVAIGASGEDYDMLGRPVENCLFFAGEATCKEHPDTVGGAMLSGLREAVRLIDIFTTGNDHTAEVEAMEAAQRQSESEKDEVRDIIKRLEAVELSNVLYKNSLDRARLLTREALLRDMFFNVKTTVGRLHLAKKLLGLPVESLKSFAGTKEGLTTLNSWMLDSMGKDGTQLLRHCVRLLVLVSTDLVAVRSSGIGKTVKEKVCVHTSRDIRAIASQLVNVWLEVFRKAKASSKRKNLKDAASGKPPLRSHHGAFENKRSLQDPLSAGSQYPINVKENGKSMGVEAVNLAMSEEEQAAFAAEAAARAAAKAAAEALASTEANCNKLLQLPKIPSFHKFARREQYAQMDERKWPGGVLGRQDCISEIDSRNCRVRDWSVDFSAACVNLDSSRMSVDNLSQRSHSNEIASHLKLREHSGESLAVDSSIFTKAWVDSAGSGGIKDYHAIDRWQSQAAAADLDFFHPTMHVKDEEDSYTSSRQPTWKHDGRANESSISQITVNKERFKNHPRGADRIKQAVVDYVASLLMPLYKARKIDKEGYKSIMKKTATKVMEIASDAEKNMAISEFLDFKRKNKIRSFVDKLIERHMAMKPVMNT >EOY14931 pep chromosome:Theobroma_cacao_20110822:8:1706262:1713563:-1 gene:TCM_034163 transcript:EOY14931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 isoform 3 MESDDGSNSKAGPELQFDMGNKVELGLEKDSLQHSLKPRNKKMDSGGGPKKRVKVTPVEVGFDSGDDEPIGSLLKLRKPKNPKKIKAGLEGSVEKCHKVEVKAHKILGEAEEDLGEMNDTLASFRKKLKCPKKDIEPGTMRGRGYALNESVEDDGVLDGNSESKTVEKGQDIGEDRSNVVTDKGIERKSTGKVRRGKFDSKAKATGDDDDSEGLESQVEEDHNEGGLWPGEASDQPLDEKLEESLSTFFQRVQSGSQRKSLPNSCLKQNCKATHHAFVSKNPSRKCDDSSLSVSGTSLWHSTSKECNTAVNQRFDDGVCQQETILEPCDLNAQKGPIEDPCRSPKVCEKDGNRHSNIQLRDNCSAVDQSGKPESEGLKDGLELQSTAKTGSLVPCVVEMANSLSSSNLMEEIHGSSAGGLVPQSMDISNKYILSADPEIYSIGEENSNDELLNKSYENACEETAKLESGYVFNQYQEGSQQIQLNLSLSAVDSLKMEETCSDGPNTCAEEKSLETHVHPNELVASIRRCNSALHQPSEDASHGACVPSHDCFSVNEEADGDSPTSLTPDENESCHEDVVSLPSSEIKDSKSSAIQRAGRNIKKRRHGDMAYEGDADWENLISEQGFFGSQQFVDSDRSFRAREKFDEAAVSAGLKARAVGPVEKIKFKEVLKRRGGLQEYLECRNHILGLWSKDVTRILPLVDCGVTDTPSEAEPARASLIREIYAFLDQSGYINFGIASKKEKAEHNAKHNYKLLEEENFEGSSGASIADSEDGVAFILGQVKTTEAPAEAKSGVRVDDQNLASEAKLCEVSVDSITPELPNVKIQEECLSDNCQQNDSIDVKLNPGLINLQVPSADLSCDVVDMGIAPVVTPEERNDSQYVQSAAYDNPYWNDHLKGDSEVRKKIIVVGAGPAGLTAARHLQRHGFSVVVLEARNRIGGRVHTDCSSLSVPVDLGASIITGVEADVSTNRRPDPSSLVCAQLGLELTVLNSSCPLYDIVTGQKVPADLDDALEAEYNTLLDDMVFLVAQKGEKAMRMSLEDGLEYALKRHRMAEIGADIEETESHSSVEAFYDSKASNVIGNFPEEKCSKEEILSSLERRVMNWHYAHLEYGCAASLKEVSLPHWNQDDVYGGFGGPHCMIKGGYSTVVESLAEGLLLHLNHVVTNISYSPKDSGTDDSQHRQVKVSTLNGSEFSGDAVLITVPLGCLKAGAIKFSPSLPQWKHSSIQRLGFGVLNKVVLEFPEVFWDDTVDYFGVTAEETDRRGHCFMFWNVRKTVGAPVLIALVAGKAAIDGQSMSSSDHVNHAVIALRKLFGEASVPDPVASVVTDWGRDPFSYGAYSYVAIGASGEDYDMLGRPVENCLFFAGEATCKEHPDTVGGAMLSGLREAVRLIDIFTTGNDHTAEVEAMEAAQRQSESEKDEVRDIIKRLEAVELSNVLYKNSLDRARLLTREALLRDMFFNVKTTVGRLHLAKKLLGLPVESLKSFAGTKEGLTTLNSWMLDSMGKDGTQLLRHCVRLLVLVSTDLVAVRSSGIGKTVKEKVCVHTSRDIRAIASQLVNVWLEVFRKAKASSKRKNLKDAASGKPPLRSHHGAFENKRSLQDPLSAGSQYPINVKENGKSMGVEAVNLAMSEEEQAAFAAEAAARAAAKAAAEALASTEANCNKLLQLPKIPSFHKFARREQYAQMDERKWPGGVLGRQDCISEIDSRNCRVRDWSVDFSAACVNLDSSRMSVDNLSQRSHSNEIASHLKLREHSGESLAVDSSIFTKAWVDSAGSGGIKDYHAIDRWQSQAAAADLDFFHPTMHVKDEEDSYTSSRQPTWKHDGRANESSISQITVNKERFKNHPRGADRIKQAVVDYVASLLMPLYKARKIDKEGYKSIMKKTATKVMEIASDAEKNMAISEFLDFKRKNKEKGGFEPSSLIS >EOY14934 pep chromosome:Theobroma_cacao_20110822:8:1704361:1714069:-1 gene:TCM_034163 transcript:EOY14934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 isoform 3 MESDDGSNSKAGPELQFDMGNKVELGLEKDSLQHSLKPRNKKMDSGGGPKKRVKVTPVEVGFDSGDDEPIGSLLKLRKPKNPKKIKAGLEGSVEKCHKVEVKAHKILGEAEEDLGEMNDTLASFRKKLKCPKKDIEPGTMRGRGYALNESVEDDGVLDGNSESKTVEKGQDIGEDRSNVVTDKGIERKSTGKVRRGKFDSKAKATGDDDDSEGLESQVEEDHNEGGLWPGEASDQPLDEKLEESLSTFFQRVQSGSQRKSLPNSCLKQNCKATHHAFVSKNPSRKCDDSSLSVSGTSLWHSTSKECNTAVNQRFDDGVCQQETILEPCDLNAQKGPIEDPCRSPKVCEKDGNRHSNIQLRDNCSAVDQSGKPESEGLKDGLELQSTAKTGSLVPCVVEMANSLSSSNLMEEIHGSSAGGLVPQSMDISNKYILSADPEIYSIGEENSNDELLNKSYENACEETAKLESGYVFNQYQEGSQQIQLNLSLSAVDSLKMEETCSDGPNTCAEEKSLETHVHPNELVASIRRCNSALHQPSEDASHGACVPSHDCFSVNEEADGDSPTSLTPDENESCHEDVVSLPSSEIKDSKSSAIQRAGRNIKKRRHGDMAYEGDADWENLISEQGFFGSQQFVDSDRSFRAREKFDEAAVSAGLKARAVGPVEKIKFKEVLKRRGGLQEYLECRNHILGLWSKDVTRILPLVDCGVTDTPSEAEPARASLIREIYAFLDQSGYINFGIASKKEKAEHNAKHNYKLLEEENFEGSSGASIADSEDGVAFILGQVKTTEAPAEAKSGVRVDDQNLASEAKLCEVSVDSITPELPNVKIQEECLSDNCQQNDSIDVKLNPGLINLQVPSADLSCDVVDMGIAPVVTPEERNDSQYVQSAAYDNPYWNDHLKGDSEVRKKIIVVGAGPAGLTAARHLQRHGFSVVVLEARNRIGGRVHTDCSSLSVPVDLGASIITGVEADVSTNRRPDPSSLVCAQLGLELTVLNSSCPLYDIVTGQKVPADLDDALEAEYNTLLDDMVFLVAQKGEKAMRMSLEDGLEYALKRHRMAEIGADIEETESHSSVEAFYDSKASNVIGNFPEEKCSKEEILSSLERRVMNWHYAHLEYGCAASLKEVSLPHWNQDDVYGGFGGPHCMIKGGYSTVVESLAEGLLLHLNHVVTNISYSPKDSGTDDSQHRQVKVSTLNGSEFSGDAVLITVPLGCLKAGAIKFSPSLPQWKHSSIQRLGFGVLNKVVLEFPEVFWDDTVDYFGVTAEETDRRGHCFMFWNVRKTVGAPVLIALVAGKAAIDGQSMSSSDHVNHAVIALRKLFGEASVPDPVASVVTDWGRDPFSYGAYSYVAIGASGEDYDMLGRPVENCLFFAGEATCKEHPDTVGGAMLSGLREAVRLIDIFTTGNDHTAEVEAMEAAQRQSESEKDEVRDIIKRLEAVELSNVLYKNSLDRARLLTREALLRDMFFNVKTTVGRLHLAKKLLGLPVESLKSFAGTKEGLTTLNSWMLDSMGKDGTQLLRHCVRLLVLVSTDLVAVRSSGIGKTVKEKVCVHTSRDIRAIASQLVNVWLEVFRKAKASSKRKNLKDAASGKPPLRSHHGAFENKRSLQDPLSAGSQYPINVKENGKSMGVEAVNLAMSEEEQAAFAAEAAARAAAKAAAEALASTEANCNKLLQLPKIPSFHKFARREQYAQMDERKWPGGVLGRQDCISEIDSRNCRVRDWSVDFSAACVNLDSSRMSVDNLSQRSHSNEIASHLKLREHSGESLAVDSSIFTKAWVDSAGSGGIKDYHAIDRWQSQAAAADLDFFHPTMHVKDEEDSYTSSRQPTWKHDGRANESSISQITVNKERFKNHPRGADRIKQAVVDYVASLLMPLYKARKIDKEGYKSIMKKTATKVMEIASDAEKNMAISEFLDFKRKNKIRSFVDKLIERHMAMKPVMNT >EOY14936 pep chromosome:Theobroma_cacao_20110822:8:1704361:1714069:-1 gene:TCM_034163 transcript:EOY14936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 isoform 3 MESDDGSNSKAGPELQFDMGNKVELGLEKDSLQHSLKPRNKKMDSGGGPKKRVKVTPVEVGFDSGDDEPIGSLLKLRKPKNPKKIKAGLEGSVEKCHKVEVKAHKILGEAEEDLGEMNDTLASFRKKLKCPKKDIEPGTMRGRGYALNESVEDDGVLDGNSESKTVEKGQDIGEDRSNVVTDKGIERKSTGKVRRGKFDSKAKATGDDDDSEGLESQVEEDHNEGGLWPGEASDQPLDEKLEESLSTFFQRVQSGSQRKSLPNSCLKQNCKATHHAFVSKNPSRKCDDSSLSVSGTSLWHSTSKECNTAVNQRFDDGVCQQETILEPCDLNAQKGPIEDPCRSPKVCEKDGNRHSNIQLRDNCSAVDQSGKPESEGLKDGLELQSTAKTGSLVPCVVEMANSLSSSNLMEEIHGSSAGGLVPQSMDISNKYILSADPEIYSIGEENSNDELLNKSYENACEETAKLESGYVFNQYQEGSQQIQLNLSLSAVDSLKMEETCSDGPNTCAEEKSLETHVHPNELVASIRRCNSALHQPSEDASHGACVPSHDCFSVNEEADGDSPTSLTPDENESCHEDVVSLPSSEIKDSKSSAIQRAGRNIKKRRHGDMAYEGDADWENLISEQGFFGSQQFVDSDRSFRAREKFDEAAVSAGLKARAVGPVEKIKFKEVLKRRGGLQEYLECRNHILGLWSKDVTRILPLVDCGVTDTPSEAEPARASLIREIYAFLDQSGYINFGIASKKEKAEHNAKHNYKLLEEENFEGSSGASIADSEDGVAFILGQVKTTEAPAEAKSGVRVDDQNLASEAKLCEVSVDSITPELPNVKIQEECLSDNCQQNDSIDVKLNPGLINLQVPSADLSCDVVDMGIAPVVTPEERNDSQYVQSAAYDNPYWNDHLKGDSEVRKKIIVVGAGPAGLTAARHLQRHGFSVVVLEARNRIGGRVHTDCSSLSVPVDLGASIITGVEADVSTNRRPDPSSLVCAQLGLELTVLNSSCPLYDIVTGQKVPADLDDALEAEYNTLLDDMVFLVAQKGEKAMRMSLEDGLEYALKRHRMAEIGADIEETESHSSVEAFYDSKASNVIGNFPEEKCSKEEILSSLERRVMNWHYAHLEYGCAASLKEVSLPHWNQDDVYGGFGGPHCMIKGGYSTVVESLAEGLLLHLNHVVTNISYSPKDSGTDDSQHRQVKVSTLNGSEFSGDAVLITVPLGCLKAGAIKFSPSLPQWKHSSIQRLGFGVLNKVVLEFPEVFWDDTVDYFGVTAEETDRRGHCFMFWNVRKTVGAPVLIALVAGKAAIDGQSMSSSDHVNHAVIALRKLFGEASVPDPVASVVTDWGRDPFSYGAYSYVAIGASGEDYDMLGRPVENCLFFAGEATCKEHPDTVGGAMLSGLREAVRLIDIFTTGNDHTAEVEAMEAAQRQSESEKDEVRDIIKRLEAVELSNVLYKNSLDRARLLTREALLRDMFFNVKTTVGRLHLAKKLLGLPVESLKSFAGTKEGLTTLNSWMLDSMGKDGTQLLRHCVRLLVLVSTDLVAVRSSGIGKTVKEKVCVHTSRDIRAIASQLVNVWLEVFRKAKASSKRKNLKDAASGKPPLRSHHGAFENKRSLQDPLSAGSQYPINVKENGKSMGVEAVNLAMSEEEQAAFAAEAAARAAAKAAAEALASTEANCNKLLQLPKIPSFHKFARREQYAQMDERKWPGGVLGRQDCISEIDSRNCRVRDWSVDFSAACVNLDSSRMSVDNLSQRSHSNEIASHLKLREHSGESLAVDSSIFTKAWVDSAGSGGIKDYHAIDRWQSQAAAADLDFFHPTMHVKDEEDSYTSSRQPTWKHDGRANESSISQITVNKERFKNHPRGADRIKQAVVDYVASLLMPLYKARKIDKEGYKSIMKKTATKVCVFSSTSCLSLLSSQPPFCLYFTLVLPF >EOY14935 pep chromosome:Theobroma_cacao_20110822:8:1706206:1713706:-1 gene:TCM_034163 transcript:EOY14935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 isoform 3 MESDDGSNSKAGPELQFDMGNKVELGLEKDSLQHSLKPRNKKMDSGGGPKKRVKVTPVEVGFDSGDDEPIGSLLKLRKPKNPKKIKAGLEGSVEKCHKVEVKAHKILGEAEEDLGEMNDTLASFRKKLKCPKKDIEPGTMRGRGYALNESVEDDGVLDGNSESKTVEKGQDIGEDRSNVVTDKGIERKSTGKVRRGKFDSKAKATGDDDDSEGLESQVEEDHNEGGLWPGEASDQPLDEKLEESLSTFFQRVQSGSQRKSLPNSCLKQNCKATHHAFVSKNPSRKCDDSSLSVSGTSLWHSTSKECNTAVNQRFDDGVCQQETILEPCDLNAQKGPIEDPCRSPKVCEKDGNRHSNIQLRDNCSAVDQSGKPESEGLKDGLELQSTAKTGSLVPCVVEMANSLSSSNLMEEIHGSSAGGLVPQSMDISNKYILSADPEIYSIGEENSNDELLNKSYENACEETAKLESGYVFNQYQEGSQQIQLNLSLSAVDSLKMEETCSDGPNTCAEEKSLETHVHPNELVASIRRCNSALHQPSEDASHGACVPSHDCFSVNEEADGDSPTSLTPDENESCHEDVVSLPSSEIKDSKSSAIQRAGRNIKKRRHGDMAYEGDADWENLISEQGFFGSQQFVDSDRSFRAREKFDEAAVSAGLKARAVGPVEKIKFKEVLKRRGGLQEYLECRNHILGLWSKDVTRILPLVDCGVTDTPSEAEPARASLIREIYAFLDQSGYINFGIASKKEKAEHNAKHNYKLLEEENFEGSSGASIADSEDGVAFILGQVKTTEAPAEAKSGVRVDDQNLASEAKLCEVSVDSITPELPNVKIQEECLSDNCQQNDSIDVKLNPGLINLQVPSADLSCDVVDMGIAPVVTPEERNDSQYVQSAAYDNPYWNDHLKGDSEVRKKIIVVGAGPAGLTAARHLQRHGFSVVVLEARNRIGGRVHTDCSSLSVPVDLGASIITGVEADVSTNRRPDPSSLVCAQLGLELTVLNSSCPLYDIVTGQKVPADLDDALEAEYNTLLDDMVFLVAQKGEKAMRMSLEDGLEYALKRHRMAEIGADIEETESHSSVEAFYDSKASNVIGNFPEEKCSKEEILSSLERRVMNWHYAHLEYGCAASLKEVSLPHWNQDDVYGGFGGPHCMIKGGYSTVVESLAEGLLLHLNHVVTNISYSPKDSGTDDSQHRQVKVSTLNGSEFSGDAVLITVPLGCLKAGAIKFSPSLPQWKHSSIQRLGFGVLNKVVLEFPEVFWDDTVDYFGVTAEETDRRGHCFMFWNVRKTVGAPVLIALVAGKAAIDGQSMSSSDHVNHAVIALRKLFGEASVPDPVASVVTDWGRDPFSYGAYSYVAIGASGEDYDMLGRPVENCLFFAGEATCKEHPDTVGGAMLSGLREAVRLIDIFTTGNDHTAEVEAMEAAQRQSESEKDEVRDIIKRLEAVELSNVLYKNSLDRARLLTREALLRDMFFNVKTTVGRLHLAKKLLGLPVESLKSFAGTKEGLTTLNSWMLDSMGKDGTQLLRHCVRLLVLVSTDLVAVRSSGIGKTVKEKVCVHTSRDIRAIASQLVNVWLEVFRKAKASSKRKNLKDAASGKPPLRSHHGAFENKRSLQDPLSAGSQYPINVKENGKSMGVEAVNLAMSEEEQAAFAAEAAARAAAKAAAEALASTEANCNKLLQLPKIPSFHKFARREQYAQMDERKWPGGVLGRQDCISEIDSRNCRVRDWSVDFSAACVNLDSSRMSVDNLSQRSHSNEIASHLKLREHSGESLAVDSSIFTKAWVDSAGSGGIKDYHAIDRWQSQAAAADLDFFHPTMHVKDEEDSYTSSRQPTWKHDGRANESSISQITVNKERFKNHPRGADRIKQAVVDYVASLLMPLYKARKIDKEGYKSIMKKTATKVCVFSSTSCLSLLSSQPPFCLYFTLVLPF >EOY14554 pep chromosome:Theobroma_cacao_20110822:8:584918:589418:1 gene:TCM_033896 transcript:EOY14554 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein 47C isoform 5 MQQNSGSDSQPSQEQNQRQQQQSQQPPQSQAQWVAMQYPAAAMVMQHQMMQPQHFVAPPPPPQHYMPYHHHHQQYQHHHGHVQHSQQQQGSGGGGENKTVWVGDLHHWMDENYLHSCFASTGEIASIKVIRNKQTGLSEGYGFVEFFSHATAEKVLQNYGGILMPNMEQPFRLNWATFSTGEKRSENGPDLSIFVGDLAADVTDSLLHETFASKYSSVKAAKVVIDANTGRSKGYGFVRFGDDTERSQAMTEMNGVYCSSRPMRIGAATPRKSSGYQQQYSSQGGYASNGASNQSDGDSSNTTIFVGGLDPNVTEEDLRQPFSQYGEIVSVKIPVGKGCGFVQFANRNNAEEALQKLNGTVIGKQTVRLSWGRNPANKQFRADYGNQWSGAYYGGQVYDGYGYAFPPPHDPGMYAAAAATYGAYPIYGSHQQQVS >EOY14556 pep chromosome:Theobroma_cacao_20110822:8:584960:589333:1 gene:TCM_033896 transcript:EOY14556 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein 47C isoform 5 MQQNSGSDSQPSQEQNQRQQQQSQQPPQSQAQWVAMQYPAAAMVMQHQMMQPQHFVAPPPPPQHYMPYHHHHQQYQHHHGHVQHSQQQQGSGGGGENKTVWVGDLHHWMDENYLHSCFASTGEIASIKVIRNKQTGLSEGYGFVEFFSHATAEKVLQNYGGILMPNMEQPFRLNWATFSTGEKRSENGPDLSIFVGDLAADVTDSLLHETFASKYSSVKAAKVVIDANTGRSKGYGFVRFGDDTERSQAMTEMNGVYCSSRPMRIGAATPRKSSGYQQQYSSQEGGYASNGASNQSDGDSSNTTIFVGGLDPNVTEEDLRQPFSQYGEIVSVKIPVGKGCGFVQFANRNNAEEALQKLNGTVIGKQTVRLSWGRNPANKQFRADYGNQWSGAYYGGQVYDGYGYAFPPPHDPGMYAAAAATYGAYPIYGSHQQQVS >EOY14558 pep chromosome:Theobroma_cacao_20110822:8:584945:588812:1 gene:TCM_033896 transcript:EOY14558 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein 47C isoform 5 MQQNSGSDSQPSQEQNQRQQQQSQQPPQSQAQWVAMQYPAAAMVMQHQMMQPQHFVAPPPPPQHYMPYHHHHQQYQHHHGHVQHSQQQQGSGGGGENKTVWVGDLHHWMDENYLHSCFASTGEIASIKVIRNKQTGLSEGYGFVEFFSHATAEKVLQNYGGILMPNMEQPFRLNWATFSTGEKRSENGPDLSIFVGDLAADVTDSLLHETFASKYSSVKAAKVVIDANTGRSKGYGFVRFGDDTERSQAMTEMNGVYCSSRPMRIGAATPRKSSGYQQQYSSQGGYASNGASNQSDGDSSNTTIFVGGLDPNVTEEDLRQPFSQYGEIVSVKIPVGKGCGFVQFANRNNAEEALQKLNGTVIGKQTVRLSWGRNPANKQS >EOY14555 pep chromosome:Theobroma_cacao_20110822:8:584977:588875:1 gene:TCM_033896 transcript:EOY14555 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein 47C isoform 5 MQQNSGSDSQPSQEQNQRQQQQSQQPPQSQAQWVAMQYPAAAMVMQHQMMQPQHFVAPPPPPQHYMPYHHHHQQYQHHHGHVQHSQQQQGSGGGGENKTVWVGDLHHWMDENYLHSCFASTGEIASIKVIRNKQTGLSEGYGFVEFFSHATAEKVLQNYGGILMPNMEQPFRLNWATFSTGEKRSENGPDLSIFVGDLAADVTDSLLHETFASKYSSVKAAKVVIDANTGRSKGYGFVRFGDDTERSQAMTEMNGVYCSSRPMRIGAATPRKSSGYQQQYSSQGGYASNGASNQSDGDSSNTTIFVGGLDPNVTEEDLRQPFSQYGEIVSVKIPVGKGCGFVQFANRNNAEEALQKLNGTVIGKQTVRLSWGRNPANKQVSLSYYSLLYSPLHC >EOY14559 pep chromosome:Theobroma_cacao_20110822:8:584977:588875:1 gene:TCM_033896 transcript:EOY14559 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein 47C isoform 5 MQQNSGSDSQPSQEQNQRQQQQSQQPPQSQAQWVAMQYPAAAMVMQHQMMQPQHFVAPPPPPQHYMPYHHHHQQYQHHHGHVQHSQQQQGSGGGGENKTVWVGDLHHWMDENYLHSCFASTGEIASIKVIRNKQTGLSEGYGFVEFFSHATAEKVLQNYGGILMPNMEQPFRLNWATFSTGEKRSENGPDLSIFVGDLAADVTDSLLHETFASKYSSVKAAKVVIDANTGRSKGYGFVRFGDDTERSQAMTEMNGVYCSSRPMRIGAATPRKSSGYQQQYSSQGGYASNGASNQSDGDSSNTTEQC >EOY14560 pep chromosome:Theobroma_cacao_20110822:8:584945:589342:1 gene:TCM_033896 transcript:EOY14560 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein 47C isoform 5 MQQNSGSDSQPSQEQNQRQQQQSQQPPQSQAQWVAMQYPAAAMVMQHQMMQPQHFVAPPPPPQHYMPYHHHHQQYQHHHGHVQHSQQQQGSGGGGENKTVWVGDLHHWMDENYLHSCFASTGEIASIKVIRNKQTGLSEGYGFVEFFSHATAEKVLQNYGGILMPNMEQPFRLNWATFSTGEKRSENGPDLSIFVGDLAADVTDSLLHETFASKYSSVKAAKVVIDANTGRSKGYGFVRFGDDTERSQAMTEMNGVYCSSRPMRIGAATPRKSSGYQQQYSSQGRADYGNQWSGAYYGGQVYDGYGYAFPPPHDPGMYAAAAATYGAYPIYGSHQQQVS >EOY14557 pep chromosome:Theobroma_cacao_20110822:8:584945:588810:1 gene:TCM_033896 transcript:EOY14557 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein 47C isoform 5 MQQNSGSDSQPSQEQNQRQQQQSQQPPQSQAQWVAMQYPAAAMVMQHQMMQPQHFVAPPPPPQHYMPYHHHHQQYQHHHGHVQHSQQQQGSGGGGENKTVWVGDLHHWMDENYLHSCFASTGEIASIKVIRNKQTGLSEGYGFVEFFSHATAEKVLQNYGGILMPNMEQPFRLNWATFSTGEKRSENGPDLSIFVGDLAADVTDSLLHETFASKYSSVKAAKVVIDANTGRSKGYGFVRFGDDTERSQAMTEMNGVYCSSRPMRIGAATPRKSSGYQQQYSSQGGYASNGASNQSDGDSSNTTIFVGGLDPNVTEEDLRQPFSQYGEIVSVKIPVGKGCGFVQFANRNNAEEALQKLNGTVIGKQTVRLSWGRNPANNLELIMVTSG >EOY15973 pep chromosome:Theobroma_cacao_20110822:8:5251906:5258159:-1 gene:TCM_034883 transcript:EOY15973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEEEQIVTLLHLEGLDLHRHEEEIKVAVLIRELHSKVDHLIVELQHQFLHLRHHHLQSFIHLMGTQLTVLTMGITKPSIIHKFSSHNTIINCMDHHLPQWGLHIIMGILCKLLGEHFLHPRLNVSPDRPISTILLRWRGPSPPILPRPFISLGILFPLPQIHRLHSKPPQKQKLGPSLLKVQKLKGKNSSLPKCSNCTILISLCSSYHLISLLYSQRFSNRVGTASSYYLCSPQLRPMAGKGGLINHLMQIFFLFSSQGKKNIHLFFPPKVFIEVIHSLRV >EOY15974 pep chromosome:Theobroma_cacao_20110822:8:5251866:5256477:-1 gene:TCM_034883 transcript:EOY15974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAYPHYRSPFGDTTFTKVFVGGLAWETPTEEMRSYFEQFGEILEAVIITDKNTGKSKGYGFVTFRDPEPARRACVDPNPVIDGRRANCNIASLGRPRPSPPRGRNQGSSPYQGVAQQGGPSYSGVAAPVPPPPPPPPPVIYPPYGFPRPFISLGILFPLPQIHRLHSKPPQKQKLGPSLLKVQKLKGKNSSLPKCSNCTILISLCSSYHLISLLYSQRFSNRVGTASSYYLCSPQLRPMAGKGGLINHLMQIFFLFSSQGKKNIHLFFPPKVFIEVIHSLRV >EOY17038 pep chromosome:Theobroma_cacao_20110822:8:17157302:17169922:-1 gene:TCM_036198 transcript:EOY17038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Altered inheritance rate of mitochondria protein 25 isoform 1 MNRTKGLRCLSSVNGTNREISTLGASLRDNVKKHLYGILDPAKPCIPHGIRSQRCLGHGGMGSQEILILGGNLFRRLNLPCSFRGSSSWLKQSVGTNCHYGNIANDESHLSRDFLAQLWVAERKMLKDVQKRRRRQAKHPNYVRDQASFQHPFEKCFSGSTVRKEKSNDRAGPVFEQPPVSQSVSGFLQPTSPEEAQIATLLARSNLLITRDIEWANLVLGFEQENRYAIVDVCYPQSPVGFIREQSNVIARQLLRSRRPFIASITDAVGNELFTVRRPFWWITSSIYAEIDGKEVGVVHRRWHLWRRVYDLYLGNKQFAVVENPGLWNWTFTLKDIDGEVLAEIDRNWRGFGFEIFTDAGQYVIRFGSADPISKTGPASMIQELDVIRPLTLSERAVTVALAISLDNDYFSRHGGWGVPLFVVED >EOY17040 pep chromosome:Theobroma_cacao_20110822:8:17158029:17171405:-1 gene:TCM_036198 transcript:EOY17040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Altered inheritance rate of mitochondria protein 25 isoform 1 MNRTKGLRCLSSVNGTNREISTLGASLRDNVKKHLYGILDPAKPCIPHGIRSQRCLGHGGMGSQEILILGGNLFRRLNLPCSFRGSSSWLKQSVGTNCHYGNIANDESHLSRDFLAQLWVAERKMLKDVQKRRRRQAKHPNYVRDQASFQHPFEKCFSGSTVRKEKSNDRAGPVFEQPPVSQSVSGFLQPTSPEEAQIATLLARSNLLITRDIEWANLVLGFEQENRYAIVDVCYPQSPVGFIREQSNVIARQLLRSRRPFIASITDAVGNELFTVRRPFWWITSSIYAEIDGKEVGVVHRRWHLWRRVYDLYLGNKQFAVVENPGLWNWTFTLKDIDGEVLAEIDRNWRGFGFEIFTDAGQYVIRFGSADPISKTGPASMLQIQELDVIRP >EOY17039 pep chromosome:Theobroma_cacao_20110822:8:17157565:17171401:-1 gene:TCM_036198 transcript:EOY17039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Altered inheritance rate of mitochondria protein 25 isoform 1 MNRTKGLRCLSSVNGTNREISTLGASLRDNVKKHLYGILDPAKPCIPHGIRSQRCLGHGGMGSQEILILGGNLFRRLNLPCSFRGSSSWLKQSVGTNCHYGNIANDESHLSRDFLAQLWVAERKMLKDVQKRRRRQAKHPNYVRDQASFQHPFEKCFSGSTVRKEKSNDRAGPVFEQPPVSQSVSGFLQPTSPEEAQIATLLARSNLLITRDIEWANLVLGFEQENRYAIVDVCYPQSPVGFIREQSNVIARQLLRSRRPFIASITDAVGNELFTVRRPFWWITSSIYAEIDGSWCGSQKVASLEEGVRFILGE >EOY17041 pep chromosome:Theobroma_cacao_20110822:8:17158111:17169409:-1 gene:TCM_036198 transcript:EOY17041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Altered inheritance rate of mitochondria protein 25 isoform 1 MNRTKGLRCLSSVNGTNREISTLGASLRDNVKKHLYGILDPAKPCIPHGIRSQRCLGHGGMGSQEILILGGNLFRRLNLPCSFRGSSSWLKQSVGTNCHYGNIANDESHLSRDFLAQLWVAERKMLKDVQKRRRRQAKHPNYVRDQASFQHPFEKCFSGSTVRKEKSNDRAGPVFEQPPVSQSVSGFLQPTSPEEAQIATLLARSNLLITRDIEWANLVLGFEQENRYAIVDVCYPQSPVGFIREQSNVIARQLLRSRRPFIASITDAVGNELFTVRRPFWWITSSIYAEIDGKEVGVVHRRWHLWRRVYDLYLGNKQFAVVENPGLWNWTFTLKDIDGEVLAEIDRNWRGFGFEIFTDAGQYVIRFGSADPISKTGPASMVNYYIRD >EOY17037 pep chromosome:Theobroma_cacao_20110822:8:17157210:17171405:-1 gene:TCM_036198 transcript:EOY17037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Altered inheritance rate of mitochondria protein 25 isoform 1 MNRTKGLRCLSSVNGTNREISTLGASLRDNVKKHLYGILDPAKPCIPHGIRSQRCLGHGGMGSQEILILGGNLFRRLNLPCSFRGSSSWLKQSVGTNCHYGNIANDESHLSRDFLAQLWVAERKMLKDVQKRRRRQAKHPNYVRDQASFQHPFEKCFSGSTVRKEKSNDRAGPVFEQPPVSQSVSGFLQPTSPEEAQIATLLARSNLLITRDIEWANLVLGFEQENRYAIVDVCYPQSPVGFIREQSNVIARQLLRSRRPFIASITDAVGNELFTVRRPFWWITSSIYAEIDGKEVGVVHRRWHLWRRVYDLYLGNKQFAVVENPGLWNWTFTLKDIDGEVLAEIDRNWRGFGFEIFTDAGQYVIRFGSADPISKTGPASMIQELDVIRPLTLSERAVTVALAISLDNDYFSRHGGWGVPLFVVED >EOY14661 pep chromosome:Theobroma_cacao_20110822:8:906844:909667:-1 gene:TCM_033970 transcript:EOY14661 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MGDYMSSDGEYYYNDYEDDNGDYCDDDGGDDSLVGFQALEPELLSAYANLPSIKVITKESLLAAQKEDLHRVMDLLSLKEHHARTLLIHYGWDVDKVLAVLVEYDKDKLFAAAGVQVLDDHALFPYQSSSSTFTCNICYDDVSGNMLTVMDCGHYFCNDCWTEHFVVKINGGQSRRIRCMAHKCNAVCDEEKIRQLVSRRDPNLSEKFDRFLLESYIEDNRRVKWCPSVPHCGNALQIEDDELCEVECPCGMQFCFSCLSEAHSPCSCLMWELWSKKCHDESETVNWITINTKPCPKCRKPVEKNGGCNHVSCFCGQSFCWLCGGATGKEHTNTSIAGHSCGRYKDDHEKKRVLAKHYLERYIHYHNRYKAHIDSFKLESKLKESIMGKINILEENLSTSKDFSWIINGLYRLFRSRRILSYSYAFAYYMFGDDLFKDEMSKTERKMKQNLFEDQQQQFEANVEKLSSILEEKFDTYSENDILSFRMRIIALSVTTDNLCRNLYEYIETDLLGSLQRTVQRIAPYRSKGVEKASS >EOY14663 pep chromosome:Theobroma_cacao_20110822:8:906844:909667:-1 gene:TCM_033970 transcript:EOY14663 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MGDYMSSDGEYYYNDYEDDNGDYCDDDGGDDSLVGFQALEPELLSAYANLPSIKVITKESLLAAQKEDLHRVMDLLSLKEHHARTLLIHYGWDVDKVLAVLVEYDKDKLFAAAGVQVLDDHALFPYQSSSSTFTCNICYDDVSGNMLTVMDCGHYFCNDCWTEHFVVKINGGQSRRIRCMAHKCNAVCDEEKIRQLVSRRDPNLSEKFDRFLLESYIEDNRRVKWCPSVPHCGNALQIEDDELCEVECPCGMQFCFSCLSEAHSPCSCLMWELWSKKCHDESETVNWITINTKPCPKCRKPVEKNGGCNHVSCFCGQSFCWLCGGATGKEHTNTSIAGHSCGRYKDDHEKKRVLAKHYLERYIHYHNRYKAHIDSFKLESKLKESIMGKINILEENLSTSKDFSWIINGLYRLFRSRRILSYSYAFAYYMFGDDLFKDEMSKTERKMKQNLFEDQQQQFEANVEKLSSILEEKFDTYSENDILSFRMRIIALSVTTDNLCRNLYEYIETDLLGSLQRTVQRIAPYRSKGVEKASS >EOY14662 pep chromosome:Theobroma_cacao_20110822:8:906264:909992:-1 gene:TCM_033970 transcript:EOY14662 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MGDYMSSDGEYYYNDYEDDNGDYCDDDGGDDSLVGFQALEPELLSAYANLPSIKVITKESLLAAQKEDLHRVMDLLSLKEHHARTLLIHYGWDVDKVLAVLVEYDKDKLFAAAGVQVLDDHALFPYQSSSSTFTCNICYDDVSGNMLTVMDCGHYFCNDCWTEHFVVKINGGQSRRIRCMAHKCNAVCDEEKIRQLVSRRDPNLSEKFDRFLLESYIEDNRRVKWCPSVPHCGNALQIEDDELCEVECPCGMQFCFSCLSEAHSPCSCLMWELWSKKCHDESETVNWITINTKPCPKCRKPVEKNGGCNHVSCFCGQSFCWLCGGATGKEHTNTSIAGHSCGRYKDDHEKKRVLAKHYLERYIHYHNRYKAHIDSFKLESKLKESIMGKINILEENLSTSKDFSWIINGLYRLFRSRRILSYSYAFAYYMFGDDLFKDEMSKTERKMKQNLFEDQQQQFEANVEKLSSILEEKFDTYSENDILSFRMRIIALSVTTDNLCRNLYEYIETDLLGSLQRTVQRIAPYRSKGVEKASS >EOY15020 pep chromosome:Theobroma_cacao_20110822:8:1984832:1991031:-1 gene:TCM_034220 transcript:EOY15020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVSTEMDKSRDVRRGTSRFSRQQVNTNKQQQTRPNLLFGFAGGVVGVGGGGNFVCLEKAKREKIRRLSSVNGNTGSHSPCPNSNQHCETEMGSCCCDLSSDNRENQCPSHSRHSSSLITNSTNKRFKVPKKFLNDCNVVDHASVPRKLRSAMKKRSRESISPPSPDSQKLNHTLDGVESHKKDGVKKPKLNLKRGESNWSRKSTVPGPITKDEEEVAETLYALAGMFPDSDSMDKNKLSGESIEVKPSAPPEAVESPVTAIEVKQEDTNSVCCPQAAKSASPIDESSHEAAKLNSLNEPTIQDQPDLPDSKKSTTEPAISISQMRLNTTIPSKAKREPGAEKSSCFTGNFHVLSDQSLETGLKQHKQQVTTPFERKPEMAFGVTAFESQIAQQRMIKEPKKNGLALWPGLSSTVPLGARSPGFSQPSATKIPSWLDAAMCGPRPCSLESGSSTGKVSKVTMDKKSMKRCAAHVYISCIIRNLQMQDSEDSILQQSLQLKPHVGLKRTALLYPNNRSNLRNGINDTTPSSSSGNSVIDRNSCEARSGIQQPKMLHQDQPQVASASGMHTSKKQSFDFLSLLAGDIGKEANNSSNKAGKGIESLPQLQVPYLHSLPPHQPLVPFSIPPTRYSSSAHTDQLSTATAARQVQLQLPQCLSNPFCGPPYTSHSGVSKQHQHQQQQRLWATHLAAQYRPAGTSAVLTQYPSWQNGKPESSMLMPCAQTVIPPHSTLDAVGPKYHTVPQHLQPIIEISSSLPPARVKRQDHHHLPSVYEGTSGGLRAAGGPPPLQLLCNERL >EOY15021 pep chromosome:Theobroma_cacao_20110822:8:1986458:1990829:-1 gene:TCM_034220 transcript:EOY15021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVSTEMDKSRDVRRGTSRFSRQQVNTNKQQQTRPNLLFGFAGGVVGVGGGGNFVCLEKAKREKIRRLSSVNGNTGSHSPCPNSNQHCETEMGSCCCDLSSDNRENQCPSHSRHSSSLITNSTNKRFKVPKKFLNDCNVVDHASVPRKLRSAMKKRSRESISPPSPDSQKLNHTLDGVESHKKDGVKKPKLNLKRGESNWSRKSTVPGPITKDEEEVAETLYALAGMFPDSDSMDKNKLSGESIEVKPSAPPEAVESPVTAIEVKQEDTNSVCCPQAAKSASPIDESSHEAAKLNSLNEPTIQDQPDLPDSKKSTTEPAISISQMRLNTTIPSKAKREPGAEKSSCFTGNFHVLSDQSLETGLKQHKQQVTTPFERKPEMAFGVTAFESQIAQQRMIKEPKKNGLALWPGLSSTVPLGARSPGFSQPSATKIPSWLDAAMCGPRPCSLESGSSTGKVSKVTMDKKSMKRCAAHVYISCIIRNLQMQDSEDSILQQSLQLKPHVGLKRTALLYPNNRSNLRNGINDTTPSSSSGNSVIDRNSCEARSGIQQPKMLHQDQPQVASASGMHTSKKQSFDFLSLL >EOY16804 pep chromosome:Theobroma_cacao_20110822:8:11444131:11446425:-1 gene:TCM_035690 transcript:EOY16804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSARRGVMIRILKLELGLVKAVQYLKMMAPTESPDVMDDIHSKWRNFRLSEEEEEEACPTQIKSDAGAQSTRHGKEFCLVGLVWESKAMKGDYDRVIKGKPWCFDRSLLVLKEFEEDLMDLEVIEFKKEEFWIQVTGIPLKLIAGETAKAIGNLVGQYVRVDACENNQLKWINIQYERLSRFCYRCGVLGHNEKDYRIPCFDEEGKDVSNQYGPFLIAPLQRKTQRVIITGVEHDVNSGCKEKSRADNVLPDQS >EOY16107 pep chromosome:Theobroma_cacao_20110822:8:5808079:5819059:-1 gene:TCM_034979 transcript:EOY16107 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and Leucine Rich Repeat domains containing protein, putative isoform 5 MSRVTKWKIEKAKIKVVFRLQFHATHIPQSGWDKLHISFIPADSGKATLKTTKANVRNGTCKWADPIYETTRLLQDIKTKQFDEKLYKLLVAMGSSRSSLLGEATINLADYADASKPSIVALPLHSCDSGAILHVTVQLLTSKTGFREFEQQRELRERKLQAGPDENGPDQSSSGKVSVSEESVNSHMDKVNTRVRFKEKSKEHHLLEEDVGLNEEYGDSAVGFDGSSNTSESLYAEKHDTSSTHEIDSLKSTASGDLGGLSHSPQQEKGDPSDHQILAQGTNDWIHGWSSDYSADNDLTIAYEENSRLRGCLEVAESSIQDLKVEVSLLQNHASQIGAETEKFAEQLVTEISSGERLAKEVSALKSECSKLKDDLEQMTNYKLCPALSSKKAIRKDQDHLFQDLEVTWSKGLLVMEDKIRELQNKACLNNHERDLRFLHADLEALLGILQDLKQGTQEEISVLRSVPSERCNMKGSREISLNNGEQFIPETSFDAELYQPELGMVPCVSLPGLMSHEPDSVGATTAMKDKIFELLRELDESKAERESLAKKMDQMECYYEALVQELEENQRQMLGELQSLRNEHSACLYRVQSTKAEMETMQQDMSEQILRFSEEKQDLESLSKELERRAIIAEAALKRARLNYSIAVGQLQKDLELLSSQVMSVFETNDNLIRQAFVDSSQPSSQGYSEMVKNRELDPEEFQPTKPLHCQNQYVGVRKQQLGGDILLEDLKRSLHLQESLYLKVEEEVCEMHYQNVYLDVFSKTLQEALLEASADVKHIKERTDELMRRLELSVESKELLMQRLQSAMDDVHSLNEYKATCIAKYNDMAVQKQTLEANVETVTHENHLLSEKITEMEHHLMEYKSYKSKYDACAMAKTELASLLKKETLENGNLRNENSSLQEDLRMIKGEFDELVTVKTNLQNTVDFLRSRLLNLLSSYGKNFDELSLLSDLVGQDIESKDLTSVMVWLEDVQHNAHEKFLHLLKENKELMEERDKALVSLTAVESDMVVMKQKFEGDIRAMVDKMDLSNVVVQKVQLEVEAVAGKLRVSSEVEETYAQQQRDLLSDIEHFEAELQQLTSKNREISEELLVLESVNEELGSSKLTVTELMEENKALMQSLQDKSEESSKLSLELNGLKESLRSVHDELLAERSSKDKLESLVTNLTSQMNEKHHQLLHFDQQKSELIHLKQMLSDLELEKSRVCSRLQQSEECLNNARKESSSITFLESQLSEMHGFLIAADVSLIFLRKRYETWTADLVCQLSLSESRLVELQKKHLDAKSMLNGCLAREAHCIEENARLSASLESLKSELDASMAENRVLLNKNSSVIAELQEYKSRIEKLEFGYCEDKNQHALEVERLKQLLVSSREEIDNLMVLKEELELNVVVLKAKLDEQSSQITLLEGPNDEVLLLQNQCNELSQRLSEQILKTEEFKNLSIHLKELKDKADAECIQAREKRESEVPPTAMQESLRIAFIKEQYESRLQELKHQLAVSKKHSEEMLWKLQDAIDDIENRKKSEASLLKTNEELGVKILDLEAELQSLISDKREKMRAYDLMKAELDCSMISLECCKEEKQKLEASLQECNEEKSRILVELSIVKELLETSTSTMSVQKERNDKLKDGCISDELVVNNAPTSDVDLKYSEQDTSTYTEEAEQACLVPIDEGDCTRVLRNMQPEQVNHMDLFTSIRF >EOY16108 pep chromosome:Theobroma_cacao_20110822:8:5810793:5819059:-1 gene:TCM_034979 transcript:EOY16108 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and Leucine Rich Repeat domains containing protein, putative isoform 5 MSRVTKWKIEKAKIKVVFRLQFHATHIPQSGWDKLHISFIPADSGKATLKTTKANVRNGTCKWADPIYETTRLLQDIKTKQFDEKLYKLLVAMGSSRSSLLGEATINLADYADASKPSIVALPLHSCDSGAILHVTVQLLTSKTGFREFEQQRELRERKLQAGPDENGPDQSSSGKVSVSEESVNSHMDKVNTRVRFKEKSKEHHLLEEDVGLNEEYGDSAVGFDGSSNTSESLYAEKHDTSSTHEIDSLKSTASGDLGGLSHSPQQEKGDPSDHQILAQGTNDWIHGWSSDYSADNDLTIAYEENSRLRGCLEVAESSIQDLKVEVSLLQNHASQIGAETEKFAEQLVTEISSGERLAKEVSALKSECSKLKDDLEQMTNYKLCPALSSKKAIRKDQDHLFQDLEVTWSKGLLVMEDKIRELQNKACLNNHERDLRFLHADLEALLGILQDLKQGTQEEISVLRSVPSERCNMKGSREISLNNGEQFIPETSFDAELYQPELGMVPCVSLPGLMSHEPDSVGATTAMKDKIFELLRELDESKAERESLAKKMDQMECYYEALVQELEENQRQMLGELQSLRNEHSACLYRVQSTKAEMETMQQDMSEQILRFSEEKQDLESLSKELERRAIIAEAALKRARLNYSIAVGQLQKDLELLSSQVMSVFETNDNLIRQAFVDSSQPSSQGYSEMVKNRELDPEEFQPTKPLHCQNQYVGVRKQQLGGDILLEDLKRSLHLQESLYLKVEEEVCEMHYQNVYLDVFSKTLQEALLEASADVKHIKERTDELMRRLELSVESKELLMQRLQSAMDDVHSLNEYKATCIAKYNDMAVQKQTLEANVETVTHENHLLSEKITEMEHHLMEYKSYKSKYDACAMAKTELASLLKKETLENGNLRNENSSLQEDLRMIKGEFDELVTVKTNLQNTVDFLRSRLLNLLSSYGKNFDELSLLSDLVGQDIESKDLTSVMVWLEDVQHNAHEKFLHLLKENKELMEERDKALVSLTAVESDMVVMKQKFEGDIRAMVDKMDLSNVVVQKVQLEVEAVAGKLRVSSEVEETYAQQQRDLLSDIEHFEAELQQLTSKNREISEELLVLESVNEELGSSKLTVTELMEENKALMQSLQDKSEESSKLSLELNGLKESLRSVHDELLAERSSKDKLESLVTNLTSQMNEKHHQLLHFDQQKSELIHLKQMLSDLELEKSRVCSRLQQSEECLNNARKESSSITFLESQLSEMHGFLIAADVSLIFLRKRYETWTADLVCQLSLSESRLVELQKKHLDAKSMLNGCLAREAHCIEENARLSASLESLKSELDASMAENRVLLNKNSSVIAELQEYKSRIEKLEFGYCEDKNQHALEVERLKQLLVSSREEIDNLMVLKEELELNVVVLKAKLDEQSSQITLLEGPNDEVLLLQNQCNELSQRLSEQILKTEEFKNLSIHLKELKDKADAECIQAREKRESEVPPTAMQESLRIAFIKEQYESRLQELKHQLAVSKKHSEEMLWKLQDAIDDIENRKKSEASLLKTNEELGVKILDLEAELQSLISDKREKMRAYDLMKAELDCSMISLECCKEEKQKLEASLQECNEEKSRILVELSIVKELLETSTSTMSVQKERNDKLKDGCISDELVVNNAPTSDVDLKYSEQDTSTYTEEAEQACLVPIDEGDCTRVLRNMQPEQ >EOY16106 pep chromosome:Theobroma_cacao_20110822:8:5808133:5819685:-1 gene:TCM_034979 transcript:EOY16106 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and Leucine Rich Repeat domains containing protein, putative isoform 5 MSRVTKWKIEKAKIKVVFRLQFHATHIPQSGWDKLHISFIPADSGKATLKTTKANVRNGTCKWADPIYETTRLLQDIKTKQFDEKLYKLLVAMGSSRSSLLGEATINLADYADASKPSIVALPLHSCDSGAILHVTVQLLTSKTGFREFEQQRELRERKLQAGPDENGPDQSSSGKVSVSEESVNSHMDKVNTRVRFKEKSKEHHLLEEDVGLNEEYGDSAVGFDGSSNTSESLYAEKHDTSSTHEIDSLKSTASGDLGGLSHSPQQEKGDPSDHQILAQGTNDWIHGWSSDYSADNDLTIAYEENSRLRGCLEVAESSIQDLKVEVSLLQNHASQIGAETEKFAEQLVTEISSGERLAKEVSALKSECSKLKDDLEQMTNYKLCPALSSKKAIRKDQDHLFQDLEVTWSKGLLVMEDKIRELQNKACLNNHERDLRFLHADLEALLGILQDLKQGTQEEISVLRSVPSERCNMKGSREISLNNGEQFIPETSFDAELYQPELGMVPCVSLPGLMSHEPDSVGATTAMKDKIFELLRELDESKAERESLAKKMDQMECYYEALVQELEENQRQMLGELQSLRNEHSACLYRVQSTKAEMETMQQDMSEQILRFSEEKQDLESLSKELERRAIIAEAALKRARLNYSIAVGQLQKDLELLSSQVMSVFETNDNLIRQAFVDSSQPSSQGYSEMVKNRELDPEEFQPTKPLHCQNQYVGVRKQQLGGDILLEDLKRSLHLQESLYLKVEEEVCEMHYQNVYLDVFSKTLQEALLEASADVKHIKERTDELMRRLELSVESKELLMQRLQSAMDDVHSLNEYKATCIAKYNDMAVQKQTLEANVETVTHENHLLSEKITEMEHHLMEYKSYKSKYDACAMAKTELASLLKKETLENGNLRNENSSLQEDLRMIKGEFDELVTVKTNLQNTVDFLRSRLLNLLSSYGKNFDELSLLSDLVGQDIESKDLTSVMVWLEDVQHNAHEKFLHLLKENKELMEERDKALVSLTAVESDMVVMKQKFEGDIRAMVDKMDLSNVVVQKVQLEVEAVAGKLRVSSEVEETYAQQQRDLLSDIEHFEAELQQLTSKNREISEELLVLESVNEELGSSKLTVTELMEENKALMQSLQDKSEESSKLSLELNGLKESLRSVHDELLAERSSKDKLESLVTNLTSQMNEKHHQLLHFDQQKSELIHLKQMLSDLELEKSRVCSRLQQSEECLNNARKESSSITFLESQLSEMHGFLIAADVSLIFLRKRYETWTADLVCQLSLSESRLVELQKKHLDAKSMLNGCLAREAHCIEENARLSASLESLKSELDASMAENRVLLNKNSSVIAELQEYKSRIEKLEFGYCEDKNQHALEVERLKQLLVSSREEIDNLMVLKEELELNVVVLKAKLDEQSSQITLLEGPNDEVLLLQNQCNELSQRLSEQILKTEEFKNLSIHLKELKDKADAECIQAREKRESEVPPTAMQESLRIAFIKEQYESRLQELKHQLAVSKKHSEEMLWKLQDAIDDIENRKKSEASLLKTNEELGVKILDLEAELQSLISDKREKMRAYDLMKAELDCSMISLECCKEEKQKLEASLQECNEEKSRILVELSIVKELLETSTSTMSVQKERNDKLKDGCISDELVVNNAPTSDVDLKYSEQDTSTYTEEAEQACLVPIDEGDCTRVLRNMQPEQDFLASSNVNGVQSLALVNPENLLNSDAKHLALINDRFKAQSLRSSMDHLNSELERMKNENLLLSEDGHHFDSKFPGLQLELMQLHKVNHMDLFTSIRF >EOY16104 pep chromosome:Theobroma_cacao_20110822:8:5807201:5819977:-1 gene:TCM_034979 transcript:EOY16104 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and Leucine Rich Repeat domains containing protein, putative isoform 5 MSRVTKWKIEKAKIKVVFRLQFHATHIPQSGWDKLHISFIPADSGKATLKTTKANVRNGTCKWADPIYETTRLLQDIKTKQFDEKLYKLLVAMGSSRSSLLGEATINLADYADASKPSIVALPLHSCDSGAILHVTVQLLTSKTGFREFEQQRELRERKLQAGPDENGPDQSSSGKVSVSEESVNSHMDKVNTRVRFKEKSKEHHLLEEDVGLNEEYGDSAVGFDGSSNTSESLYAEKHDTSSTHEIDSLKSTASGDLGGLSHSPQQEKGDPSDHQILAQGTNDWIHGWSSDYSADNDLTIAYEENSRLRGCLEVAESSIQDLKVEVSLLQNHASQIGAETEKFAEQLVTEISSGERLAKEVSALKSECSKLKDDLEQMTNYKLCPALSSKKAIRKDQDHLFQDLEVTWSKGLLVMEDKIRELQNKACLNNHERDLRFLHADLEALLGILQDLKQGTQEEISVLRSVPSERCNMKGSREISLNNGEQFIPETSFDAELYQPELGMVPCVSLPGLMSHEPDSVGATTAMKDKIFELLRELDESKAERESLAKKMDQMECYYEALVQELEENQRQMLGELQSLRNEHSACLYRVQSTKAEMETMQQDMSEQILRFSEEKQDLESLSKELERRAIIAEAALKRARLNYSIAVGQLQKDLELLSSQVMSVFETNDNLIRQAFVDSSQPSSQGYSEMVKNRELDPEEFQPTKPLHCQNQYVGVRKQQLGGDILLEDLKRSLHLQESLYLKVEEEVCEMHYQNVYLDVFSKTLQEALLEASADVKHIKERTDELMRRLELSVESKELLMQRLQSAMDDVHSLNEYKATCIAKYNDMAVQKQTLEANVETVTHENHLLSEKITEMEHHLMEYKSYKSKYDACAMAKTELASLLKKETLENGNLRNENSSLQEDLRMIKGEFDELVTVKTNLQNTVDFLRSRLLNLLSSYGKNFDELSLLSDLVGQDIESKDLTSVMVWLEDVQHNAHEKFLHLLKENKELMEERDKALVSLTAVESDMVVMKQKFEGDIRAMVDKMDLSNVVVQKVQLEVEAVAGKLRVSSEVEETYAQQQRDLLSDIEHFEAELQQLTSKNREISEELLVLESVNEELGSSKLTVTELMEENKALMQSLQDKSEESSKLSLELNGLKESLRSVHDELLAERSSKDKLESLVTNLTSQMNEKHHQLLHFDQQKSELIHLKQMLSDLELEKSRVCSRLQQSEECLNNARKESSSITFLESQLSEMHGFLIAADVSLIFLRKRYETWTADLVCQLSLSESRLVELQKKHLDAKSMLNGCLAREAHCIEENARLSASLESLKSELDASMAENRVLLNKNSSVIAELQEYKSRIEKLEFGYCEDKNQHALEVERLKQLLVSSREEIDNLMVLKEELELNVVVLKAKLDEQSSQITLLEGPNDEVLLLQNQCNELSQRLSEQILKTEEFKNLSIHLKELKDKADAECIQAREKRESEVPPTAMQESLRIAFIKEQYESRLQELKHQLAVSKKHSEEMLWKLQDAIDDIENRKKSEASLLKTNEELGVKILDLEAELQSLISDKREKMRAYDLMKAELDCSMISLECCKEEKQKLEASLQECNEEKSRILVELSIVKELLETSTSTMSVQKERNDKLKDGCISDELVVNNAPTSDVDLKYSEQDTSTYTEEAEQACLVPIDEGDCTRVLRNMQPEQDFLASSNVNGVQSLALVNPENLLNSDAKHLALINDRFKAQSLRSSMDHLNSELERMKNENLLLSEDGHHFDSKFPGLQLELMQLHKVNEELGSMFPLFNEYPESGNALERVLALELELAEALETKKKSSILFQSSFLKQHNDEEAVFKSFRDINELIKDMLEIKGRYGAVETELKEMHERYSQLSLQFAEVEGERQKLMMTLKNMRASRKAQNLNRSSSAALGDHS >EOY16105 pep chromosome:Theobroma_cacao_20110822:8:5807395:5819514:-1 gene:TCM_034979 transcript:EOY16105 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and Leucine Rich Repeat domains containing protein, putative isoform 5 MSRVTKWKIEKAKIKVVFRLQFHATHIPQSGWDKLHISFIPADSGKATLKTTKANVRNGTCKWADPIYETTRLLQDIKTKQFDEKLYKLLVAMGSSRSSLLGEATINLADYADASKPSIVALPLHSCDSGAILHVTVQLLTSKTGFREFEQQRELRERKLQAGPDENGPDQSSSGKVSVSEESVNSHMDKVNTRVRFKEKSKEHHLLEEDVGLNEEYGDSAVGFDGSSNTSESLYAEKHDTSSTHEIDSLKSTASGDLGGLSHSPQQEKGDPSDHQILAQGTNDWIHGWSSDYSADNDLTIAYEENSRLRGCLEVAESSIQDLKVEVSLLQNHASQIGAETEKFAEQLVTEISSGERLAKEVSALKSECSKLKDDLEQMTNYKLCPALSSKKAIRKDQDHLFQDLEVTWSKGLLVMEDKIRELQNKACLNNHERDLRFLHADLEALLGILQDLKQGTQEEISVLRSVPSERCNMKGSREISLNNGEQFIPETSFDAELYQPELGMVPCVSLPGLMSHEPDSVGATTAMKDKIFELLRELDESKAERESLAKKMDQMECYYEALVQELEENQRQMLGELQSLRNEHSACLYRVQSTKAEMETMQQDMSEQILRFSEEKQDLESLSKELERRAIIAEAALKRARLNYSIAVGQLQKDLELLSSQVMSVFETNDNLIRQAFVDSSQPSSQGYSEMVKNRELDPEEFQPTKPLHCQNQYVGVRKQQLGGDILLEDLKRSLHLQESLYLKVEEEVCEMHYQNVYLDVFSKTLQEALLEASADVKHIKERTDELMRRLELSVESKELLMQRLQSAMDDVHSLNEYKATCIAKYNDMAVQKQTLEANVETVTHENHLLSEKITEMEHHLMEYKSYKSKYDACAMAKTELASLLKKETLENGNLRNENSSLQEDLRMIKGEFDELVTVKTNLQNTVDFLRSRLLNLLSSYGKNFDELSLLSDLVGQDIESKDLTSVMVWLEDVQHNAHEKFLHLLKENKELMEERDKALVSLTAVESDMVVMKQKFEGDIRAMVDKMDLSNVVVQKVQLEVEAVAGKLRVSSEVEETYAQQQRDLLSDIEHFEAELQQLTSKNREISEELLVLESVNEELGSSKLTVTELMEENKALMQSLQDKSEESSKLSLELNGLKESLRSVHDELLAERSSKDKLESLVTNLTSQMNEKHHQLLHFDQQKSELIHLKQMLSDLELEKSRVCSRLQQSEECLNNARKESSSITFLESQLSEMHGFLIAADVSLIFLRKRYETWTADLVCQLSLSESRLVELQKKHLDAKSMLNGCLAREAHCIEENARLSASLESLKSELDASMAENRVLLNKNSSVIAELQEYKSRIEKLEFGYCEDKNQHALEVERLKQLLVSSREEIDNLMVLKEELELNVVVLKAKLDEQSSQITLLEGPNDEVLLLQNQCNELSQRLSEQILKTEEFKNLSIHLKELKDKADAECIQAREKRESEVPPTAMQESLRIAFIKEQYESRLQELKHQLAVSKKHSEEMLWKLQDAIDDIENRKKSEASLLKTNEELGVKILDLEAELQSLISDKREKMRAYDLMKAELDCSMISLECCKEEKQKLEASLQECNEEKSRILVELSIVKELLETSTSTMSVQKERNDKLKDGCISDELVVNNAPTSDVDLKYSEQDTSTYTEEAEQACLVPIDEGDCTRVLRNMQPEQDFLASSNVNGVQSLALVNPENLLNSDAKHLALINDRFKAQSLRSSMDHLNSELERMKNENLLLSEDGHHFDSKFPGLQLELMQLHKVNEELGSMFPLFNEYPESGNALERVLALELELAEALETKKKSSILFQSSFLKQHNDEEAVFKSFRDINELIKDMLEIKGRYGAVETELKEMHERYSQLSLQFAEVEGERQKLMMTLKNMRASRKAQNLNRSSSAALGDHS >EOY16283 pep chromosome:Theobroma_cacao_20110822:8:6545017:6552377:1 gene:TCM_035111 transcript:EOY16283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 2 MDKLKTDSPLSRRIVRSFIDFLNSVEPAPGVDLEGLEVARECLTEVFKLDSTSVNDVKPDVLVDLFSSLEASEDQKIKSDLSHRGASDNAPSSSYAHDVTSNNSLKASKLGDNWTREPQSAGVSKDELFGQFFAALEKIHFLRAMPDGNDDPAQLDKATRLFQDALNEMEQCGCKEFDRKNLADTFKCQGNRAMQSKLYSDAIELYSVAVSLCDENAVYYCNRAAAYTQIHKYNEAIRDCLKSIEIDPNYSKAYSRLGLAYYAQGNYADAIEKGFKKALQLDPNNESVKENIRVAEQKLKDEQQRAERDPNASSSHNNQGPNNHSTGSRSHGASPPFTMPFDTSALPADFTSMLMNMAASAYQGQPSQNRQGEDMNVNGSEEPGIRIGGNINLNFGEQMQMQIPEELTGAFRSVMEMFSGTSPPGNPQDTNGR >EOY16282 pep chromosome:Theobroma_cacao_20110822:8:6544983:6553251:1 gene:TCM_035111 transcript:EOY16282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 2 MDKLKTDSPLSRRIVRSFIDFLNSVEPAPGVDLEGLEVARECLTEVFKLDSTSVNDVKPDVLVDLFSSLEASEDQKIKSDLSHRGASDNAPSSSYAHDVTSNNSLKASKLGDNWTREPQSAGVSKDELFGQFFAALEKIHFLRAMPDGNDDPAQLDKATRLFQDALNEMEQCGCKEFDRKNLADTFKCQGNRAMQSKLYSDAIELYSVAVSLCDENAVYYCNRAAAYTQIHKYNEAIRDCLKSIEIDPNYSKAYSRLGLAYYAQGNYADAIEKGFKKALQLDPNNESVKENIRVAEQKLKDEQQRAERDPNASSSHNNQGPNNHSTGSRSHGASPPFTMPFDTSALPADFTSMLMNMAASAYQGQPSQNRQGEDMNVNGSEEPGIRIGGNINLNFGEQMQMQIPEELTGAFRSVMEMFSGHNGKSLEMKIACLGCMKVDNCLVSQKRKKKKNTHRQ >EOY16284 pep chromosome:Theobroma_cacao_20110822:8:6544983:6553251:1 gene:TCM_035111 transcript:EOY16284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 2 MDKLKTDSPLSRRIVRSFIDFLNSVEPAPGVDLEGLEVARECLTEVFKLDSTSVNDVKPDVLVDLFSSLEASEDQKIKSDLSHRGASDNAPSSSYAHDVTSNNSLKASKLGDNWTREPQSAGVSKDELFGQFFAALEKIHFLRAMPDGNDDPAQLDKATRLFQDALNEMEQCGCKEFDRKNLADTFKCQGNRAMQSKLYSDAIELYSVAVSLCDENAVYYCNRAAAYTQIHKYNEAIRDCLKSIEIDPNYSKAYSRLGLAYYAQGNYADAIEKGFKKALQLDPNNESVKENIRVAEQKLKDEQQRAERDPNASSSHNNQGPNNHSTGSRSHGASPPFTMPFDTSALPADFTSMLMNMAASAYQGQPSQNRQGEDMNVNGSEEPGIRIGGNINLNFGEQMQMQIPEELTGAFRSVMEMFSGTSPPGNPQDTNGR >EOY16285 pep chromosome:Theobroma_cacao_20110822:8:6546345:6552669:1 gene:TCM_035111 transcript:EOY16285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 2 MPDGNDDPAQLDKATRLFQDALNEMEQCGCKEFDRKNLADTFKCQGNRAMQSKLYSDAIELYSVAVSLCDENAVYYCNRAAAYTQIHKYNEAIRDCLKSIEIDPNYSKAYSRLGLAYYAQGNYADAIEKGFKKALQLDPNNESVKENIRVAEQKLKDEQQRAERDPNASSSHNNQGPNNHSTGSRSHGASPPFTMPFDTSALPADFTSMLMNMAASAYQGQPSQNRQGEDMNVNGSEEPGIRIGGNINLNFGEQMQMQIPEELTGAFRSVMEMFSGHNGKSLEMKIACLGCMKVDNCLVSQKRKKKKNTHRQ >EOY15282 pep chromosome:Theobroma_cacao_20110822:8:2779409:2780598:-1 gene:TCM_034396 transcript:EOY15282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSGRSCFLMEIWEIKAYLCLSKFMIPAPGISVELSKKKKIIMLWKTKFYLSVSQVRILKLSLEFPTGP >EOY15497 pep chromosome:Theobroma_cacao_20110822:8:3514586:3516048:-1 gene:TCM_034533 transcript:EOY15497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 11, putative MTISFPIYISKLFTSFVKQLASEQIQKYFFFSNTQASKATMSARTKRIQKEWANVQKDKEINNMCSVGLVGNNLDEWQATIFGQPGTPYEGGLFNLSIVLPSNYPFAPPKVTFKTKIYHPNINEQGSICLDILKFKDKWTPAYEVRAVIIAICSLMNDPNPDDPLVPKIGAEYKNNRSSFEATARQWTMQFALP >EOY15169 pep chromosome:Theobroma_cacao_20110822:8:2471866:2478854:1 gene:TCM_046888 transcript:EOY15169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein MGSLCVPEEPFGPLQYPNARRDESVVDDYHGVKVADPYRWLEDPDAEEAKEFVQKQVALTESVLGKCETRGKLRQKITELFDHPRYTVPFKRGNKYFYFHNTGLQAQNVLYMQDGLDGKPEVLLDPNTLSEDGTVSLKALSVSEEAKYLAYGLSSSGSDWVTIKVMRVEDKTGEPDALAWVKFSDISWTHDSKGFFYSRYPVPKDGENLDAGIETSVNVHHELYYHFLGTNQSEDILCWRDPENPKHMFTGSVTDDGKYLLLFIDEGCGPVNKLYYCDMSALPEGLEGFGVRNGPLPFVKLIDQFDARYLAVANDDSLFTFLTNKDAPKYKIVRVDLKEPSRWIDVVPEDEKAVLESACAVNGNQMIVSYMSEVKYVLQVRDLKTGSMLHKLPIDIGTVYGISARREDSTVLIGFTSFLTPGVIYQCNLGIEFPKMNIFREISVPGFDRLELKINQVFVPSKDGTKIPMFIVGENNNKLDGSHPCLLYGYGGFNVSLTPSFSVSRIVLARHLGAFFCIANIRGGGEYGEEWHKAGALSNKQTCFDDFISAAEYLVSSGYTRPEKLCIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTCDFGCSDKEEDFHWLIKYSPLHNVRRPWEQHPGGLTQYASTMLLTADHDDRVVPLHSLKMLATMQYVLCKSLENSPQTNPIIGRIECKAGHGCGRPTNKLIDEAADRYSFMAKALGATWVE >EOY15932 pep chromosome:Theobroma_cacao_20110822:8:5089347:5092689:1 gene:TCM_034854 transcript:EOY15932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSHYCKMLADYYIRNITEGLCHSVNIPPIREKEDRDKVSVLPKINRVLHCTTVTEAYRENEGDGDKNKTGVDPRLIVRITGFHALGFPSHAKNIDDIN >EOY17059 pep chromosome:Theobroma_cacao_20110822:8:17220653:17235678:1 gene:TCM_036208 transcript:EOY17059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginase MSAIGRSRGIHYLQKLKAANIPSDLIEKGQHRVIDASLTLIRERAKLKGELVRALGGSLASTSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSTTEEGKQLNDPRVLTDVGDVPVQEIRDCGVDDDRLMNVISESVKLVMEEDPLRPLVLGGDHSISFPVVRAVSEKLGGPVDILHLDAHPDIYDAFEGNKYSHASSFARIMEGGYVRRLLQVGIRSITTEGREQGKRFGVEQYEMRTFSRDRHFLENLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGNLVAADVVEFNPQRDTVDGMTAMMVKQENLEALFRVPMDQWSFNVGINIFCSPRRKSPTILRNKDLGEASTCVRYGQERRKIMGHKEKRVIGVVKWKGILDEEVDAPFAALETLVAQSLNDDQTRNLTLRPQMHTSLKHEMKRITAYNQYSLRSKGEMQGLEDRIVLRLLTIMSQQDGVCNDEGNTQGVDLDEPININESFTSAQSSPSLASYIASSHSSTSTEAPQHA >EOY14922 pep chromosome:Theobroma_cacao_20110822:8:1685924:1691243:-1 gene:TCM_034159 transcript:EOY14922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 3 MESLRHISIGLCTPALTPLPHPGKPSRLHRPSATTTVCSASKWADRLIADFQFLPPTDNSFSSSSSSTATLSPPFPPPLSPSPPERQVSIPLDFYKVLGAETHFLGDGIKRAYEARVSKPPQYGFSQDSLLSRRQILQAACETLANPGSRRNYNQGLVDDERDTIITQVPWDKVPGALCVLQEAGETEVVLRIGESLLRERLPKAFKQDVVLAMALAYVDLSRDAMALNPPDFITGCEVLEMALKLLQEEGASSLAPDLQSQIDETLEEITPRCVLELLALPLGDEYRTKREEGLRGVRNILWAVGGGGAAAIAGGFTREDFMNEAFLCMTAAEQVDLFAATPSNIPAESFEVYGVALALVAQAFLSKKPHLIRDADNLFQQLQQTKVAALRDPVSLYAPMENREIDFALERGLCSLLVGELDECRLWLGLDSDSSPYRNPSIVDFVLENSKDDDDRDLPGLCKLLETWLMEVVFPRFRDTKDIQFKLGDYYDDPTVLRYLERLEGVGGSPLAAAAAIVRIGAEATAVLDHVKASAIQALQKVFPLRSAEESVRHQLDGEMSNIFHAVENEETLGKPDPEDSAVLAEIPGKSSLEEMHEEETITDKIKDASVKIMSASVVIGLMTLVGLKVLPGRSSSSVIRKEISPAMSSNVSNIGSVDENSLQELPRIDARIAEGIVRRWQNVKSQAFGPDHCLDKLPEVLDGQMLKTWTDRAAEIAQLGWTYEYSLLSLAIDSVTLSLDGQRAVVEATLEESTCLTDVHHPENNASNVQSYTTRYEMSSTKSGWKITEGSVFKS >EOY14923 pep chromosome:Theobroma_cacao_20110822:8:1687871:1691270:-1 gene:TCM_034159 transcript:EOY14923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 3 MESLRHISIGLCTPALTPLPHPGKPSRLHRPSATTTVCSASKWADRLIADFQFLPPTDNSFSSSSSSTATLSPPFPPPLSPSPPERQVSIPLDFYKVLGAETHFLGDGIKRAYEARVSKPPQYGFSQDSLLSRRQILQAACETLANPGSRRNYNQGLVDDERDTIITQVPWDKVPGALCVLQEAGETEVVLRIGESLLRERLPKAFKQDVVLAMALAYVDLSRDAMALNPPDFITGCEVLEMALKLLQEEGASSLAPDLQSQIDETLEEITPRCVLELLALPLGDEYRTKREEGLRGVRNILWAVGGGGAAAIAGGFTREDFMNEAFLCMTAAEQVDLFAATPSNIPAESFEVYGVALALVAQAFLSKKPHLIRDADNLFQQLQQTKVAALRDPVSLYAPMENREIDFALERGLCSLLVGELDECRLWLGLDSDSSPYRNPSIVDFVLENSKDDDDRDLPGLCKLLETWLMEVVFPRFRDTKDIQFKLGDYYDDPTVLRYLERLEGVGGSPLAAAAAIVRIGAEATAVLDHVKASAIQALQKVFPLRSAEESVRHQLDGEMSNIFHAVENEETLGKPDPEDSAVLAEIPGKSSLEEMHEEETITDKIKDASVKIMSASVVIGLMTLVGLKVLPGRSSSSVIRKEISPAMSSNVSNIGMIQ >EOY14921 pep chromosome:Theobroma_cacao_20110822:8:1687363:1693002:-1 gene:TCM_034159 transcript:EOY14921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 3 MESLRHISIGLCTPALTPLPHPGKPSRLHRPSATTTVCSASKWADRLIADFQFLPPTDNSFSSSSSSTATLSPPFPPPLSPSPPERQVSIPLDFYKVLGAETHFLGDGIKRAYEARVSKPPQYGFSQDSLLSRRQILQAACETLANPGSRRNYNQGLVDDERDTIITQVPWDKVPGALCVLQEAGETEVVLRIGESLLRERLPKAFKQDVVLAMALAYVDLSRDAMALNPPDFITGCEVLEMALKLLQYCSECKVCLTMGKGANEEGASSLAPDLQSQIDETLEEITPRCVLELLALPLGDEYRTKREEGLRGVRNILWAVGGGGAAAIAGGFTREDFMNEAFLCMTAAEQVDLFAATPSNIPAESFEVYGVALALVAQAFLSKKPHLIRDADNLFQQLQQTKVAALRDPVSLYAPMENREIDFALERGLCSLLVGELDECRLWLGLDSDSSPYRNPSIVDFVLENSKDDDDRDLPGLCKLLETWLMEVVFPRFRDTKDIQFKLGDYYDDPTVLRYLERLEGVGGSPLAAAAAIVRIGAEATAVLDHVKASAIQALQKVFPLRSAEESVRHQLDGEMSNIFHAVENEETLGKPDPEDSAVLAEIPGKSSLEEMHEEETITDKIKDASVKIMSASVVIGLMTLVGLKVLPGRSSSSVIRKEISPAMSSNVSNIGSVDENSLQELPRIDARIAEGIVRRWQNVKSQAFGPDHCLDKLPEVLDGQMLKTWTDRAAEIAQLGWTYEYSLLSLAIDSVTLSLDGQRAVVEATLEESTCLTDVHHPENNASNVQSYTTRYEMSSTKSGWKITEGSVFKS >EOY15335 pep chromosome:Theobroma_cacao_20110822:8:2926935:2931356:1 gene:TCM_034430 transcript:EOY15335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein MGGGEGGGAAARELDQTPTWAVAVVCAVIVVISIVLEKLLHAIGEWFEERRKMALYEALQKVKGELMVLGFISLLLTFGQSYISNICIPVKVADTMLFCPKRVDTTHHKAQNKQPEQQHGGESAHRRLLFYERRFLGGASKGAACEHGYVPLISVNGLHQLHIFIFFLAVFHVTYSAITMLLGRLKTRGWKEWERECTGVNEFNDPSRFRLTHETSFVRDHTRPWTKTKFSFYFVCFWRQFFRSVRKADYLTMRHGFVTVHLAPGSKFDFQKYIKRSLEDDFKVVVGISPMLWASAVIFLLLDVNGWHAMFFLSMIPLVVTLAVGTKLQAIIAQMAIEIVEKHAVIQGMPLVQVSDGHFWFAWPRLVLHLIHFVLFQNAFEITYFFWITYEFGLQSCFHQDLRLIFARVILGVVVQFMCSYITLPLYALVTQMGSTMKRSIFDEQTSKALKQWHMKAVKKMGDGKPEAPRTRTLGGSPENSPLHERSAAGNMDRLDDQDVAIEAETSTPNRTANIRASVDLNGGQHDLLTGP >EOY16443 pep chromosome:Theobroma_cacao_20110822:8:7104700:7108828:1 gene:TCM_035211 transcript:EOY16443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 6 isoform 1 MNTTTTTLFLSPPLFTPKFHSSLFLNHSFLPIPSLKAKPLHSPLTPTIKPSFLVRVDDGDADGGGPDDYDMEEEVEELDNKKDFDVEYDPLATASVASASSTTVDVDITITESKSFVSTQGWDSEMVVDYRINEEEFHKISLLDCDFFIRKPPDPDNDVYDFREMYVTPPDTDVYSIPKVLAPMPQKYIRCAKSDYGCYNVTEPPIDAPRDPLYKSEREVMKVFLTKHYRNRRLGDPEFVLDFEEIYVIDSKTKSITRAKVLVTVPGGRNRDRKSDLLVIRDNGNSFKIIHASEKDDPTTVIEREEWVKTREDMEGHLRKLRDFSISNWF >EOY16444 pep chromosome:Theobroma_cacao_20110822:8:7104668:7108106:1 gene:TCM_035211 transcript:EOY16444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 6 isoform 1 MNTTTTTLFLSPPLFTPKFHSSLFLNHSFLPIPSLKAKPLHSPLTPTIKPSFLVRVDDGDADGGGPDDYDMEEEVEELDNKKDFDVEYDPLATASVASASSTTVDVDITITESKSFVSTQGWDSEMVVDYRINEEEFHKISLLDCDFFIRKPPDPDNDVYDFREMYVTPPDTDVYSIPKVLAPMPQSIFAVQRVIMAATTLQNHPLMHLVIHFTNLRGRS >EOY16627 pep chromosome:Theobroma_cacao_20110822:8:9056975:9060971:-1 gene:TCM_035450 transcript:EOY16627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant glycogenin-like starch initiation protein 2 isoform 2 MRGPSLSPKEPRHRVSASAEDTSRRGFQRNKVFKDVEKALHVPIRYRNWNFKISTLKAVLVIILLGTLFTLFRSPAVYVSDSPSNFVSRPSFVDRWIRHSAAADPRYISMLDVNWDQISSVIEKLTDRDEYQGIGLLNFNNSETDQWKQLLPDAEHVVLQLDSVAVNVTWESLYPEWIDEEEEFEVPHCRSLPSLQVPGKPRIDLIAVKLPCNKSGKWSRDVARLHFQLAAARLAASAKGFHPVHVLFVTDCFPIPNLFTCKDLVAREGNAWLYTPNLHRLREKIRLPVGSCELSVPLQAKESISIQREHTVKHMQQSYTLLMYMFVGPLLLRRASACQVQQGTL >EOY16626 pep chromosome:Theobroma_cacao_20110822:8:9056485:9061258:-1 gene:TCM_035450 transcript:EOY16626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant glycogenin-like starch initiation protein 2 isoform 2 MRGPSLSPKEPRHRVSASAEDTSRRGFQRNKVFKDVEKALHVPIRYRNWNFKISTLKAVLVIILLGTLFTLFRSPAVYVSDSPSNFVSRPSFVDRWIRHSAAADPRYISMLDVNWDQISSVIEKLTDRDEYQGIGLLNFNNSETDQWKQLLPDAEHVVLQLDSVAVNVTWESLYPEWIDEEEEFEVPHCRSLPSLQVPGKPRIDLIAVKLPCNKSGKWSRDVARLHFQLAAARLAASAKGFHPVHVLFVTDCFPIPNLFTCKDLVAREGNAWLYTPNLHRLREKIRLPVGSCELSVPLQAKEYFHSERAHREAYATILHSAHVYVCGAITAAQSIRMSGSTRDLVILVDDSISDYHRGGLEAAGWKIYTIQRIRNPKAEPEAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFEMPEISAIGNNATLFNSGVMVVEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEKKQMKTRLFGANPPILYVLHYLGNKPWLCFRDYDCNWNVDILQEFASDVAHKTWWKVHDAMPENLHKYCLLRSKQKAQLEWDRRQAEKGNYMDGHWKIKIKDKRLKTCFEEFCFWESMLWHWGEKNWTDNATATPSPPATKKASLSSL >EOY15948 pep chromosome:Theobroma_cacao_20110822:8:5136889:5138605:-1 gene:TCM_034864 transcript:EOY15948 gene_biotype:protein_coding transcript_biotype:protein_coding description:General regulatory factor 2, OMEGA MAGTPSPREENVYMAKLAEQAERYEEMVEFMEKVSASVENEELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVAVIRDYRAKIESELSSICDGILKLLDSRLIPSASAGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLTAYKSAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADEIKEAPKREEEQQQQQQPKQ >EOY15319 pep chromosome:Theobroma_cacao_20110822:8:2891123:2896993:1 gene:TCM_034421 transcript:EOY15319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 3.3 isoform 1 MNAAWFLLLLSLHFGVFKIGYGRNASTRPPVVNIGAIFSFDTTVGRVAKIAINEAVKDVNSNLSILQGTKLAVTMQDSNCSGFVGMVEALQYMETDVVAIIGPQCAVVAHIISHVANELQVPLLSFAVTDPTLSSLQFPFFVRTTQSDLYQMTAVAEIVEHYGWKEVIAIFIDDDGGRNGVSALNDKLAERRCRISYKVGIPPDSVANRGAIMDILVKVALMQSRIVVLHVNSMIGFKVFSVANYLGMMGNGYVWIATDWLSSVLDSDSPLPSETMETIQGVLTLRPHTPDSDRKRAFFSRWNKITGGSLGLNTYGLYAYDSVWLLAHALDDFFNQGGIISFSNDSRISSVAGSTLHLDAMSIFDDGMLLLKNILLSNFVGLTGPLKFNTDRSLILPAYDIINVLGTGFRRIGYWSNYSGLSTVSPETLYTRQPNRSSASQKLYSVIWPGETSSKPRGWVFPNNGKQLRIGVPNRASYREFVSRVRGTDFFKGFCIDIFTAAVNLLPYAVPYKFISFGDGRNNPSYTELVNKITTGDFDAVVGDIAIVTNRTKTVDFTQPYISSGLVIVSPFKKQNTGAWAFLRPFSPRMWIVTGSFFLVVGIVVWILEHRINDDFRGPPKHQVITILWFSFSTLFFAHRENTMSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIDSLIKSDEPIGFQVGSFAEHYLSQELNISRSRLVALGSPEAYASALKLGPEKGGVAAVVDERPYIELFLSSQCTFRIVGQEFTKSGWGFAFPRDSPLAVDMSTAILALAENGDLQRIRDKWLLQSTCSLESTEIESNRLHLSSFWGLFLICGIACFIALFIYFLQILRQLRRVPPPESASTGQGSLRSGGLQRFLSLMDEKEDQSKSGQKRRKIEKSLSDNDRDDELGRKPKRRETEMTRSDVNSGN >EOY15322 pep chromosome:Theobroma_cacao_20110822:8:2891292:2896646:1 gene:TCM_034421 transcript:EOY15322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 3.3 isoform 1 MNAAWFLLLLSLHFGVFKIGYGRNASTRPPVVNIGAIFSFDTTVGRVAKIAINEAVKDVNSNLSILQGTKLAVTMQDSNCSGFVGMVEALQYMETDVVAIIGPQCAVVAHIISHVANELQVPLLSFAVTDPTLSSLQFPFFVRTTQSDLYQMTAVAEIVEHYGWKEVIAIFIDDDGGRNGVSALNDKLAERRCRISYKVGIPPDSVANRGAIMDILVKVALMQSRIVVLHVNSMIGFKVFSVANYLGMMGNGYVWIATDWLSSVLDSDSPLPSETMETIQGVLTLRPHTPDSDRKRAFFSRWNKITGGSLGLNTYGLYAYDSVWLLAHALDDFFNQGGIISFSNDSRISSVAGSTLHLDAMSIFDDGMLLLKNILLSNFVGLTGPLKFNTDRSLILPAYDIINVLGTGFRRIGYWSNYSGLSTVSPETLYTRQPNRSSASQKLYSVIWPGETSSKPRGWVFPNNGKQLRIGVPNRASYREFVSRVRGTDFFKGFCIDIFTAAVNLLPYAVPYKFISFGDGRNNPSYTELVNKITTGDFDAVVGDIAIVTNRTKTVDFTQPYISSGLVIVSPFKKQNTGAWAFLRPFSPRMWIVTGSFFLVVGIVVWILEHRINDDFRGPPKHQVITILWFSFSTLFFAHRENTMSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIDSLIKSVAVDMSTAILALAENGDLQRIRDKWLLQSTCSLESTEIESNRLHLSSFWGLFLICGIACFIALFIYFLQILRQLRRVPPPESASTGQGSLRSGGLQRFLSLMDEKEDQSKSGQKRRKIEKSLSDNDRDDELGRKPKRRETEMTRSDVNSGN >EOY15320 pep chromosome:Theobroma_cacao_20110822:8:2891290:2896646:1 gene:TCM_034421 transcript:EOY15320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 3.3 isoform 1 MNAAWFLLLLSLHFGVFKIGYGRNASTRPPVVNIGAIFSFDTTVGRVAKIAINEAVKDVNSNLSILQGTKLAVTMQDSNCSGFVGMVEALQYMETDVVAIIGPQCAVVAHIISHVANELQVPLLSFAVTDPTLSSLQFPFFVRTTQSDLYQMTAVAEIVEHYGWKEVIAIFIDDDGGRNGVSALNDKLAERRCRISYKVGIPPDSVANRGAIMDILVKVALMQSRIVVLHVNSMIGFKVFSVANYLGMMGNGYVWIATDWLSSVLDSDSPLPSETMETIQGVLTLRPHTPDSDRKRAFFSRWNKITGGSLGLNTYGLYAYDSVWLLAHALDDFFNQGGIISFSNDSRISSVAGSTLHLDAMSIFDDGMLLLKNILLSNFVGLTGPLKFNTDRSLILPAYDIINVLGTGFRRIGYWSNYSGLSTVSPETLYTRQPNRSSASQKLYSVIWPGETSSKPRGWVFPNNGKQLRIGVPNRASYREFVSRVRGTDFFKGFCIDIFTAAVNLLPYAVPYKFISFGDGRNNPSYTELVNKITTGDFDAVVGDIAIVTNRTKTVDFTQPYISSGLVIVSPFKKQNTGAWAFLRPFSPRMWIVTGSFFLVVGIVVWILEHRINDDFRGPPKHQVITILWFSFSTLFFAHRENTMSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIDSLIKSDEPIGFQVGSFAEHYLSQELNISRSRLVALGSPEAYASALKLGPEKGGVAAVVDERPYIELFLSSQCTFRIVGQEFTKSGWGFAFPRDSPLAVDMSTAILALAENGDLQRIRDKWLLQSTCSLESTEIESNRLHLSSFWGLFLICGIACFIALFIYFLQILRQLRRVPPPESASTGQGSLRSGGLQRFLSLMDEKEDQSKSGQKRRKIEKSLSDNDRDDELGRKPKRRETEMTRSDVNSGN >EOY15324 pep chromosome:Theobroma_cacao_20110822:8:2891292:2896611:1 gene:TCM_034421 transcript:EOY15324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 3.3 isoform 1 MNAAWFLLLLSLHFGVFKIGYGRNASTRPPVVNIGAIFSFDTTVGRVAKIAINEAVKDVNSNLSILQGTKLAVTMQDSNCSGFVGMVEALQYMETDVVAIIGPQCAVVAHIISHVANELQVPLLSFAVTDPTLSSLQFPFFVRTTQSDLYQMTAVAEIVEHYGWKEVIAIFIDDDGGRNGVSALNDKLAERRCRISYKVGIPPDSVANRGAIMDILVKVALMQSRIVVLHVNSMIGFKVFSVANYLGMMGNGYVWIATDWLSSVLDSDSPLPSETMETIQGVLTLRPHTPDSDRKRAFFSRWNKITGGSLGLNTYGLYAYDSVWLLAHALDDFFNQGGIISFSNDSRISSVAGSTLHLDAMSIFDDGMLLLKNILLSNFVGLTGPLKFNTDRSLILPAYDIINVLGTGFRRIGYWSNYSGLSTVSPETLYTRQPNRSSASQKLYSVIWPGETSSKPRGWVFPNNGKQLRIGVPNRASYREFVSRVRGTDFFKGFCIDIFTAAVNLLPYAVPYKFISFGDGRNNPSYTELVNKITTGDFDAVVGDIAIVTNRTKTVDFTQPYISSGLVIVSPFKKQNTGAWAFLRPFSPRMWIVTGSFFLVVGIVVWILEHRINDDFRGPLKGIDSLIKSDEPIGFQVGSFAEHYLSQELNISRSRLVALGSPEAYASALKLGPEKGGVAAVVDERPYIELFLSSQCTFRIVGQEFTKSGWGFAFPRDSPLAVDMSTAILALAENGDLQRIRDKWLLQSTCSLESTEIESNRLHLSSFWGLFLICGIACFIALFIYFLQILRQLRRVPPPESASTGQGSLRSGGLQRFLSLMDEKEDQSKSGQKRRKIEKSLSDNDRDDELGRKPKRRETEMTRSDVNSGN >EOY15323 pep chromosome:Theobroma_cacao_20110822:8:2891292:2896646:1 gene:TCM_034421 transcript:EOY15323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 3.3 isoform 1 MNAAWFLLLLSLHFGVFKIGYGRNASTRPPVVNIGAIFSFDTTVGRVAKIAINEAVKDVNSNLSILQGTKLAVTMQDSNCSGFVGMVEALQYMETDVVAIIGPQCAVVAHIISHVANELQVPLLSFAVTDPTLSSLQFPFFVRTTQSDLYQMTAVAEIVEHYGWKEVIAIFIDDDGGRNGVSALNDKLAERRCRISYKVGIPPDSVANRGAIMDILVKVALMQSRIVVLHVNSMIGFKVFSVANYLGMMGNGYVWIATDWLSSVLDSDSPLPSETMETIQGVLTLRPHTPDSDRKRAFFSRWNKITGGSLGLNTYGLYAYDSVWLLAHALDDFFNQGGIISFSNDSRISSVAGSTLHLDAMSIFDDGMLLLKNILLSNFVGLTGPLKFNTDRSLILPAYDIINVLGTGFRRIGYWSNYSGLSTVSPETLYTRQPNRSSASQKLYSVIWPGETSSKPRGWVFPNNGKQLRIGVPNRASYREFVSRVRGTDFFKGFCIDIFTAAVNLLPYAVPYKFISFGDGRNNPSYTELVNKITTGDFDAVVGDIAIVTNRTKTVDFTQPYISSGLVIVSPFKKQNTGAWAFLRPFSPRMWIVTGSFFLVVGIVVWILEHRINDDFRGPPKHQVITILWFSFSTLFFAHRENTMSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIDSLIKSVAVDMSTAILALAENGDLQRIRDKWLLQSTCSLESTEIESNRLHLSSFWGLFLICGIACFIALFIYFLQILRQLRRVPPPESASTGQGSLRSGGLQRFLSLMDEKEDQSKSGQKRRKIEKSLSDNDRDDELGRKPKRRETEMTRSDVNSGN >EOY15321 pep chromosome:Theobroma_cacao_20110822:8:2891292:2896646:1 gene:TCM_034421 transcript:EOY15321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 3.3 isoform 1 MNAAWFLLLLSLHFGVFKIGYGRNASTRPPVVNIGAIFSFDTTVGRVAKIAINEAVKDVNSNLSILQGTKLAVTMQDSNCSGFVGMVEALQYMETDVVAIIGPQCAVVAHIISHVANELQVPLLSFAVTDPTLSSLQFPFFVRTTQSDLYQMTAVAEIVEHYGWKEVIAIFIDDDGGRNGVSALNDKLAERRCRISYKVGIPPDSVANRGAIMDILVKVALMQSRIVVLHVNSMIGFKVFSVANYLGMMGNGYVWIATDWLSSVLDSDSPLPSETMETIQGVLTLRPHTPDSDRKRAFFSRWNKITGGSLGLNTYGLYAYDSVWLLAHALDDFFNQGGIISFSNDSRISSVAGSTLHLDAMSIFDDGMLLLKNILLSNFVGLTGPLKFNTDRSLILPAYDIINVLGTGFRRIGYWSNYSGLSTVSPETLYTRQPNRSSASQKLYSVIWPGETSSKPRGWVFPNNGKQLRIGVPNRASYREFVSRVRGTDFFKGFCIDIFTAAVNLLPYAVPYKFISFGDGRNNPSYTELVNKITTGDFDAVVGDIAIVTNRTKTVDFTQPYISSGLVIVSPFKKQNTGAWAFLRPFSPRMWIVTGSFFLVVGIVVWILEHRINDDFRGPPKHQVITILWFSFSTLFFAHTGHFIAFAGENTMSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIDSLIKSDEPIGFQVGSFAEHYLSQELNISRSRLVALGSPEAYASALKLGPEKGGVAAVVDERPYIELFLSSQCTFRIVGQEFTKSGWGFAFPRDSPLAVDMSTAILALAENGDLQRIRDKWLLQSTCSLESTEIESNRLHLSSFWGLFLICGIACFIALFIYFLQILRQLRRVPPPESASTGQGSLRSGGLQRFLSLMDEKEDQSKSGQKRRKIEKSLSDNDRDDELGRKPKRRETEMTRSDVNSGN >EOY16067 pep chromosome:Theobroma_cacao_20110822:8:5633006:5635852:1 gene:TCM_034950 transcript:EOY16067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 6 MLKETLKWRAEYKPEEIRWEEVAHEAETGKIYRSNYVDKHGRTVLVMRPSCQNSKSTKGQIRYLVYCMENAIVNLPPDQEQMVWLIDFNGFNMSHISVKLTRETAHVLQDHYPERLGVAILYNPPKFFEPFWTVVKPFLEAKTQNKVKFAYSDDLNTKKIVEDLFDMEKLESAFGGNDDSGFDVNKYAERMREDDKRIPAFWTRENPQLAAPQPAPTCSVDLSSVNLNSDFDASDNDKVHSSLSHVSDSEGLPPNKGVLVTEGSDNGSREVH >EOY16062 pep chromosome:Theobroma_cacao_20110822:8:5631679:5635791:1 gene:TCM_034950 transcript:EOY16062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 6 MSAGLKKSSSNGCEKSLTSEEQQSKINEIRRLVGPLPEKLAIYCSDAAIARYLRARNWNVKKATKMLKETLKWRAEYKPEEIRWEEVAHEAETGKIYRSNYVDKHGRTVLVMRPSCQNSKSTKGQIRYLVYCMENAIVNLPPDQEQMVWLIDFNGFNMSHISVKLTRETAHVLQDHYPERLGVAILYNPPKFFEPFWTVVKPFLEAKTQNKVKFAYSDDLNTKKIVEDLFDMEKLESAFGGNDDSGFDVNKYAERMREDDKRIPAFWTRENPQLAAPQPAPTCSVDLSSVNLNSDFDASDNDKVHSSLSHVSDSEGLPPNKGVLVTEGSDNGSREVH >EOY16065 pep chromosome:Theobroma_cacao_20110822:8:5631679:5635791:1 gene:TCM_034950 transcript:EOY16065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 6 MSAGLKKSSSNGCEKSLTSEEQQSKINEIRRLVGPLPEKLAIYCSDAAIARYLRARNWNVKKATKMLKETLKWRAEYKPEEIRWEEVAHEAETGKIYRSNYVDKHGRTVLVMRPSCQNSKSTKGQIRYLVYCMENAIVNLPPDQEQMVWLIDFNGFNMSHISVKLTRETAHVLQDHYPERLGVAILYNPPKFFEPFWTVVKPFLEAKTQNKVKFAYSDDLNTKKIVEDLFDMEKLESAFGGNDDSGFDVNKYAERMREDDKRIPAFWTRENPQLAAPQPAPTCSVDLSSVNLNSDFDASDNDKVHSSLSHVSDSEGLPPNKGVLVTEGSDNGSREVH >EOY16064 pep chromosome:Theobroma_cacao_20110822:8:5631866:5635852:1 gene:TCM_034950 transcript:EOY16064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 6 MSAGLKKSSSNGCEKSLTSEEQQSKINEIRRLVGPLPEKLAIYCSDAAIARYLRARNWNVKKATKMLKETLKWRAEYKPEEIRWEEVAHEAETGKIYRSNYVDKHGRTVLVMRPSCQNSKSTKGQIRYLVYCMENAIVNLPPDQEQMVWLIDFNGFNMSHISVKLTRETAHVLQDHYPERLGVAILYNPPKFFEPFWTVVKPFLEAKTQNKVKFAYSDDLNTKKIVEDLFDMEKLESAFGGNDDSGFDVNKYAERMREDDKRIPAFWTRENPQLAAPQPAPTCSVDLSSVNLNSDFDASDNDKVHSSLSHVSDSEGLPPNKGVLVTEGSDNGSREVH >EOY16066 pep chromosome:Theobroma_cacao_20110822:8:5631779:5635589:1 gene:TCM_034950 transcript:EOY16066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 6 MEEVAHEAETGKIYRSNYVDKHGRTVLVMRPSCQNSKSTKGQIRYLVYCMENAIVNLPPDQEQMVWLIDFNGFNMSHISVKLTRETAHVLQDHYPERLGVAILYNPPKFFEPFWTVVKPFLEAKTQNKVKFAYSDDLNTKKIVEDLFDMEKLESAFGGNDDSGFDVNKYAERMREDDKRIPAFWTRENPQLAAPQPAPTCSVDLSSVNLNSDFDASDNDKVHSSLSHVSDSEGLPPNKGVLVTEGSDNGSREVH >EOY16063 pep chromosome:Theobroma_cacao_20110822:8:5631867:5635823:1 gene:TCM_034950 transcript:EOY16063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 6 MSAGLKKSSSNGCEKSLTSEEQQSKINEIRRLVGPLPEKLAIYCSDAAIARYLRARNWNVKKATKMLKETLKWRAEYKPEEIRWEEVAHEAETGKIYRSNYVDKHGRTVLVMRPSCQNSKSTKGQIRYLVYCMENAIVNLPPDQEQMVWLIDFNGFNMSHISVKLTRETAHVLQDHYPERLGVAILYNPPKFFEPFWTVVKPFLEAKTQNKVKFAYSDDLNTKKIVEDLFDMEKLESAFGGNDDSGFDVNKYAERMREDDKRIPAFWTRENPQLAAPQPAPTCSVDLSSVNLNSDFDASDNDKVHSSLSHVSDSEGLPPNKGVLVTEGSDNGSREVH >EOY16595 pep chromosome:Theobroma_cacao_20110822:8:8835604:8839383:-1 gene:TCM_035419 transcript:EOY16595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 1 MSNKPRIFSAHSHSNTPCTRAHQIGALLLIAATFFFTRLFDQSFPPPCNLITDRSSRDADLHVAKTNGGGRPLWPERGYGSHLSLKIYVYDENEIDGLKDLLYGRDGTVSTNACLKGQWGSQVKIHRLLLESRFRTRKKEEADLFFVPSYVKCVRMLGGLNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATYINLSIILTPEGDRTDKKDTSAFNTWKDIIIPGNVDDGMTKTGATVVQPLPLSKRKYLANYLGRAQKKAGRLKLIELSKQYGDKLECPELQLSGPNKLGRVEYFQHLGNAKFCFAPRGESSWTLRFYESFFVECVPVILSDQVELPFQNVVDYTQISIKWPSTVIGPELLEYLASIPDDVIEGMIAHGRQVRCLWVYAPDSEPCSAMQAVMWELQRKVRLFHQSAETFWLHNGSIVNRNLVEFTSWKPPMPLP >EOY16596 pep chromosome:Theobroma_cacao_20110822:8:8835018:8839565:-1 gene:TCM_035419 transcript:EOY16596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 1 MSNKPRIFSAHSHSNTPCTRAHQIGALLLIAATFFFTRLFDQSFPPPCNLITDRSSRDADLHVAKTNGGGRPLWPERGYGSHLSLKIYVYDENEIDGLKDLLYGRDGTVSTNACLKGQWGSQVKIHRLLLESRFRTRKKEEADLFFVPSYVKCVRMLGGLNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATYINLSIILTPEGDRTDKKDTSAFNTWKDIIIPGNVDDGMTKTGATVVQPLPLSKRKYLANYLGRAQKKAGRLKLIELSKQYGDKECVPVILSDQVELPFQNVVDYTQISIKWPSTVIGPELLEYLASIPDDVIEGMIAHGRQVRCLWVYAPDSEPCSAMQAVMWELQRKVRLFHQSAETFWLHNGSIVNRNLVEFTSWKPPMPLP >EOY14634 pep chromosome:Theobroma_cacao_20110822:8:790715:796294:1 gene:TCM_033948 transcript:EOY14634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 82, subfamily C, polypeptide 4, putative MGTEVISKFKPLMSSLNFHPASAHVRLPMQKKLSSIRDSFWPIHIGSRQSGGAAPEAGGAWPIIGHLPLLGGPEQPHVTLGAMADKHGPVFMIRLGVHQAAVVSSSDIAKEIFTVNDMAVSSRSKMAAAEHLGYNYAMFGFSPYGQFWREMRKITMLELLSNHRIEKLRNVFVSEIEGSLKDLYKFWLEEKNDSGHVFVEMKKQFGDLTLNVILRMVAGKRYTGGAKEDEKVVTQYRKALRDFFHLTGMFVMGDAVPILRWLDLGGHVKHMKKTAKELDEIVGGWLDDHRRNGRWDETKTDKDFMHVMQSVLRGSDLAGYDADTINKATSLNMILEGSDTTAVTLIWALSLLLNNPHLLKKAQEELDTHVGKERFVHESDISKLVYIQAIIKETLRMYPPAPLSAPRELSECCCVGGYDIPDGTRLIVNLHKIQRDPKIWPEPSEFRPERFLTTNKDVDVRGQHFELMPFGSGRRSCPGTSFALQMLHLTLSNFLHAFNFSTPANGLIDLNGTV >EOY15770 pep chromosome:Theobroma_cacao_20110822:8:4579542:4581758:-1 gene:TCM_034737 transcript:EOY15770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 2 METEGEQLCKAARNGNVDKVKTLIESGADASYFDSDGLTPLMHAAKLGQTDVIRALLEAGAPWNALSPSNQSAGDFAMDSGHQEAFEVLVYAGIQAELILGTIARKTKKSGDSEGDYLVDRVSFSEDKLMDSDSKAVMMAWEKPLMEAHAKAVCSGGGNILNVGFGMGLVDTAIQQYGPATHTIIEAHPDVYKRMLRTGWGKKDNVKIIFGRWQDVFSQLESYDGIFFDTYGEYYEDLREFHQHLPKLLKPGGIYSFFNGLCGGNAFFHVVYCHLVSLELENLGYSTQLIPLPVKDCLGEEVWQGVRHKYWQLDTYYLPVCQSLQDPE >EOY15771 pep chromosome:Theobroma_cacao_20110822:8:4579521:4581771:-1 gene:TCM_034737 transcript:EOY15771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 2 METEGEQLCKAARNGNVDKVKTLIESGADASYFDSDGLTPLMHAAKLGQTDVIRALLEAGAPWNALSPSNQSAGDFAMDSGHQEAFEVLVYAGIQAELILGTIARKTKKSGDSEGDYLVDRVSFSEDKLMDSDSKAVMMAWEKPLMEAHAKAVCSGGGNILNVGFGMGLVDTAIQQYGPATHTIIEAHPDVYKRMLRTGWGKKDNVKIIFGRWQDVFSQLESYDGIFFDTYGEYYEDLREFHQHLPKLLKPGGIYSFFNGLCGDNIMQRISCRKRTSPMCMGWIYHQFL >EOY15298 pep chromosome:Theobroma_cacao_20110822:8:2835549:2846863:-1 gene:TCM_034410 transcript:EOY15298 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein, putative isoform 2 MTSAATGANINPKTVYHIAGIPVEFPYKPYGTQFTFMYRVISTLDRAQKDGHCHTLLESPTGTGKSLSLLCSTLAWQQKYKLKNLQGCLSHSTPAPEAITDPLGHGGGFIPETQPSSVPPSGISEPPQQSANSKNKKKKLAPTIYYASRTHSQISQVIREYRKTSYRVQMAVLASRKNYCTNPHVLGKQNIDEECKLLLSNREEGCFEFKNMHKVKCHPSLQKGGCHEAHDIEDLVKVGQIVKGCAYYAARSMADDAQLVFCPYSYIINPVIRGAMDVDIKGAIIVLDEAHNIEDIARDAGSVDLEEDALLKLQTELEQLYMIDATIYQPLYEMIQDLMGWIEQRKSTLEKHEFRHYFSSWTGDKALRQLQEANISQQCFPILLDCATKAIKAASDTESDAPHLSGMSVITLEGLFSSLTYFFSRNGSHIFDYQLALQRYVKKDGENAFGNWTCSLSLWCLNPAVVFRDIAELSLSVILTSGTLSPMNSFSSELGVQFGNCLEAPHVIDVKSQVWSAIISHGPGNYRLDASYKTADTYAFQDALGKSLEEICKIVPGGSLIFFPSYKLMEKLCKRWRETGQWLRLNARKRLFVEPRGGNQEEFDTVLKGYYDSVSGGKEPGLGRKKRIKKADHNAIESTEVTNHEGAAFLAVFRGKVSEGIDFSDDNARVVIVVGIPFPNINDIQIALKKKYNNTYKSSKNLLSGSEWYCHQAFRALNQALGRCIRHKFDYGAIILLDSRFQEEKNRAYISKWLRPSVRSYESFEKSLEELRSFFRDVKDLVSKNKQLLPLAKYDATFPQMKPQIDLAIQTSVQVDKDQKTCKEYIDLECSSPKDSRCLETLSMTYSNEDPDLLMVKETPLVDSSISLASPGSLSKDGNSGSTIIQASTKSLDQFLIHPMSSTSLNEVPSSYESVTMFTPEKDVNQNTSSLIPKIESPSNLSVCSYIHKRRESMGSPFINLVNEEGSDTPAQIPGSMSFKDNLLANRRRIEFGFEPCSVENKQKKSNVPQSLATHNSCPAMDKRLQISCLLCRSPLGRPENHLYLSCSLTVSSKVYLLSLIKEKFICCSSNTLTTVPVIITDISSVDPRLCNRTLEGDTGQGIWHEDDGG >EOY15301 pep chromosome:Theobroma_cacao_20110822:8:2835728:2846757:-1 gene:TCM_034410 transcript:EOY15301 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein, putative isoform 2 MTSAATGANINPKTVYHIAGIPVEFPYKPYGTQFTFMYRVISTLDRAQKDGHCHTLLESPTGTGKSLSLLCSTLAWQQKYKLKNLQGCLSHSTPAPEAITDPLGHGGGFIPETQPSSVPPSGISEPPQQSANSKNKKKKLAPTIYYASRTHSQISQVIREYRKTSYRVQMAVLASRKNYCTNPHVLGKQNIDEECKLLLSNREEGCFEFKNMHKVKCHPSLQKGGCHEAHDIEDLVKVGQIVKGCAYYAARSMADDAQLVFCPYSYIINPVIRGAMDVDIKGAIIVLDEAHNIEDIARDAGSVDLEEDALLKLQTELEQLYMIDATIYQPLYEMIQDLMGWIEQRKSTLEKHEFRHYFSSWTGDKALRQLQEANISQQCFPILLDCATKAIKAASDTESDAPHLSGMSVITLEGLFSSLTYFFSRNGSHIFDYQLALQRYVKKDGENAFGNWTCSLSLWCLNPAVVFRDIAELSLSVILTSGTLSPMNSFSSELGVQFGNCLEAPHVIDVKSQVWSAIISHGPGNYRLDASYKTADTYAFQDALGKSLEEICKIVPGGSLIFFPSYKLMEKLCKRWRETGQWLRLNARKRLFVEPRGGNQEEFDTVLKGYYDSVSGGKEPGLGRKKRIKKADHNAIESTEVTNHEGAAFLAVFRGKVSEGIDFSDDNARVVIVVGIPFPNINDIQIALKKKYNNTYKSSKNLLSGSEWYCHQAFRALNQALGRCIRHKFDYGAIILLDSRFQEEKNRAYISKWLRPSVRSYESFEKSLEELRSFFRDVKDLVSKNKQLLPLAKYDATFPQMKPQIDLAIQTSVQVDKDQKTCKEYIDLECSSPKDSRCLETLSMTYSNEDPDLLMVKETPLVDSSISLASPGSLSKDGNSGSTIIQASTKSLDQFLIHPMSSTSLNEVPSSYESVTMFTPEKDVNQNTSSLIPKIESPSNLSVCSYIHKRRESMGSPFINLVNEEGSDTPAQIPGSMSFKDNLLANRRRIEFGFEPCSVENKQKKSNVPQSLATHNSCPAMDKRLQISCLLCRSPLGRPENHLYLSCSLTVSSKVYLLSLIKEKFICCSSNTLTTVPVIITDISSVDPRLCNRTLEGDTGQGIWHEDDGCVFKNVFCPFCSSRNNCLGVQIKAADENNVKWLNKILLFTDCVEIRNSEAAEDKAAKDKVDASTMDKTAILNSIDKFAYSPKQPDLGGWRTTKSKLKLSKRRG >EOY15300 pep chromosome:Theobroma_cacao_20110822:8:2836138:2846648:-1 gene:TCM_034410 transcript:EOY15300 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein, putative isoform 2 MTSAATGANINPKTVYHIAGIPVEFPYKPYGTQFTFMYRVISTLDRAQKDGHCHTLLESPTGTGKSLSLLCSTLAWQQKYKLKNLQGCLSHSTPAPEAITDPLGHGGGFIPETQPSSVPPSGISEPPQQSANSKNKKKKLAPTIYYASRTHSQISQVIREYRKTSYRVQMAVLASRKNYCTNPHVLGKQNIDEECKLLLSNREEGCFEFKNMHKVKCHPSLQKGGCHEAHDIEDLVKVGQIVKGCAYYAARSMADDAQLVFCPYSYIINPVIRGAMDVDIKGAIIVLDEAHNIEDIARDAGSVDLEEDALLKLQTELEQLYMIDATIYQPLYEMIQDLMGWIEQRKSTLEKHEFRHYFSSWTGDKALRQLQEANISQQCFPILLDCATKAIKAASDTESDAPHLSGMSVITLEGLFSSLTYFFSRNGSHIFDYQLALQRYVKKDGENAFGNWTCSLSLWCLNPAVVFRDIAELSLSVILTSGTLSPMNSFSSELGVQFGNCLEAPHVIDVKSQVWSAIISHGPGNYRLDASYKTADTYAFQDALGKSLEEICKIVPGGSLIFFPSYKLMEKLCKRWRETGQWLRLNARKRLFVEPRGGNQEEFDTVLKGYYDSVSGGKEPGLGRKKRIKKADHNAIESTEVTNHEGAAFLAVFRGKVSEGIDFSDDNARVVIVVGIPFPNINDIQIALKKKYNNTYKSSKNLLSGSEWYCHQAFRALNQALGRCIRHKFDYGAIILLDSRFQEEKNRAYISKWLRPSVRSYESFEKSLEELRSFFRDVKDLVSKNKQLLPLAKYDATFPQMKPQIDLAIQTSVQVDKDQKTCKEYIDLECSSPKDSRCLETLSMTYSNEDPDLLMVKETPLVDSSISLASPGSLSKDGNSGSTIIQASTKSLDQFLIHPMSSTSLNEVPSSYESVTMFTPEKDVNQNTSSLIPKIESPSNLSVCSYIHKRRESMGSPFINLVNEEGSDTPAQIPGSMSFKDNLLANRRRIEFGFEPCSVENKQKKSNVPQSLATHNSCPAMDKRLQISCLLCRSPLGRPENHLYLSCSLTVSSKVYLLSLIKEKFICCSSNTLTTVPVIITDISSVDPRLCNRTLEGDTGQGIWHEDDGCVFKNVFCPFCSSRNNCLGVQIKAADENNVKWLNKILLFTDCVEIRNSEAAEDKAAKDKDLSQVDASTMDKTAILNSIDKFAYSPKQPDLGGWRTTKSKLKLSKRRG >EOY15299 pep chromosome:Theobroma_cacao_20110822:8:2836138:2846648:-1 gene:TCM_034410 transcript:EOY15299 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein, putative isoform 2 MTSAATGANINPKTVYHIAGIPVEFPYKPYGTQFTFMYRVISTLDRAQKDGHCHTLLESPTGTGKSLSLLCSTLAWQQKYKLKNLQGCLSHSTPAPEAITDPLGHGGGFIPETQPSSVPPSGISEPPQQSANSKNKKKKLAPTIYYASRTHSQISQVIREYRKTSYRVQMAVLASRKNYCTNPHVLGKQNIDEECKLLLSNREEGCFEFKNMHKVKCHPSLQKGGCHEAHDIEDLVKVGQIVKGCAYYAARSMADDAQLVFCPYSYIINPVIRGAMDVDIKGAIIVLDEAHNIEDIARDAGSVDLEEDALLKLQTELEQLYMIDATIYQPLYEMIQDLMGWIEQRKSTLEKHEFRHYFSSWTGDKALRQLQEANISQQCFPILLDCATKAIKAASDTESDAPHLSGMSVITLEGLFSSLTYFFSRNGSHIFDYQLALQRYVKKDGENAFGNWTCSLSLWCLNPAVVFRDIAELSLSVILTSGTLSPMNSFSSELGVQFGNCLEAPHVIDVKSQVWSAIISHGPGNYRLDASYKTADTYAFQDALGKSLEEICKIVPGGSLIFFPSYKLMEKLCKRWRETGQWLRLNARKRLFVEPRGGNQEEFDTVLKGYYDSVSGGKEPGLGRKKRIKKADHNAIESTEVTNHEGAAFLAVFRGKVSEGIDFSDDNARVVIVVGIPFPNINDIQIALKKKYNNTYKSSKNLLSGSEWYCHQAFRALNQALGRCIRHKFDYGAIILLDSRFQEEKNRAYISKWLRPSVRSYESFEKSLEELRSFFRDVKDLVSKNKSDHCGQQLLPLAKYDATFPQMKPQIDLAIQTSVQVDKDQKTCKEYIDLECSSPKDSRCLETLSMTYSNEDPDLLMVKETPLVDSSISLASPGSLSKDGNSGSTIIQASTKSLDQFLIHPMSSTSLNEVPSSYESVTMFTPEKDVNQNTSSLIPKIESPSNLSVCSYIHKRRESMGSPFINLVNEEGSDTPAQIPGSMSFKDNLLANRRRIEFGFEPCSVENKQKKSNVPQSLATHNSCPAMDKRLQISCLLCRSPLGRPENHLYLSCSLTVSSKVYLLSLIKEKFICCSSNTLTTVPVIITDISSVDPRLCNRTLEGDTGQGIWHEDDGCVFKNVFCPFCSSRNNCLGVQIKAADENNVKWLNKILLFTDCVEIRNSEAAEDKAAKDKDLSQVDASTMDKTAILNSIDKFAYSPKQPDLGGWRTTKSKLKLSKRRG >EOY16683 pep chromosome:Theobroma_cacao_20110822:8:9609855:9649569:-1 gene:TCM_035513 transcript:EOY16683 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like protein tyrosine kinase family protein MDLTEGVGESSSPPRSFGSFNSYDVRNDVFNRLVETGHEEAISNPDFRDHLDSHFNRLPASYGLDVNMERVEDVLLHQKLLASAKDPDKRPVYHIRFLENLCTKADANDDQQFINSFSAQRPFDDGHSEKVVPSHKRSRDHAVDFEPCSKLEDLNLDVRKNSKCVEDRYLTENFSKRQDIVHIPIHEVIFSTTDRPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPVEDTDGLYKAMEKAVARSKGSLSGSLHSQSAVDDASAAQEKSGDWEIDRRLLKIGERIASGSCGDLYRGIYLGQDVAVKILRSEHLNDALEDEFAQEVAILREVQHKNVVRFIGACTKSPNLCIVTEYMPGGSLYDYLHKNHNVLKLSQLLKFAIDVCKGMEYLHQNHIIHRDLKTANLLMDTDNVVKVADFGVARFQNQGGVMTAETGTYRWMAPEVINHQPYDQKADVFSFAVVLWELVTAKVPYDTMTPLQAALGVRQGLRPDLPEAAHPKLLDMMQRCWEAAPDKRPSFSEITAEFETLFEEVKETTEAVNGN >EOY14450 pep chromosome:Theobroma_cacao_20110822:8:368013:373559:1 gene:TCM_033830 transcript:EOY14450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 2 MDGGDGTVRLGALNLKAGRGVDLDPDVSVSSPVTRQKAAAAKQFIENHYKNYLQGLQERKERRRALQRRAEEAQVSSEEQEEMMRNLERRETEYMRLQRRKVGIDDFEQLTVIGKGAFGEVRLCRAKNTGEIFAMKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKLFYSFQDSDFLYLIMEYLPGGDIMTLLMREDILSEDVARFYVAESILAIHSIHQHNYIHRDIKPDNLILDRNGHLKLSDFGLCKPLDGKYSSILLEDEDLTSQESTSEAEVQSGSERPPWTMPKEQLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFCSDDPRITCRKIINWRTCLKFPDEPKITDEAKDLICHLLCDVETRLGTRGVEELKAHPWFKGVQWEKLYEIEAAYKPTVTGDLDTQNFEKFPEIDGPPSAIPQVGPWRKMLTSKDTNFIGFTFKKSDIVKSLESSADTDMRSNGPSKAPSLITLLGRIDLQETVIPEGEQKQET >EOY14451 pep chromosome:Theobroma_cacao_20110822:8:368013:372028:1 gene:TCM_033830 transcript:EOY14451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 2 MKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKLFYSFQDSDFLYLIMEYLPGGDIMTLLMREDILSEDVARFYVAESILAIHSIHQHNYIHRDIKPDNLILDRNGHLKLSDFGLCKPLDGKYSSILLEDEDLTSQESTSEAEVQSGSERPPWTMPKEQLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFCSDDPRITCRKIINWRTCLKFPDEPKITDEAKDLICHLLCDVETRLGTRGVEELKAHPWFKGVQWEKLYEIEAAYKPTVTGDLDTQNFEKFPEIDGPPSAIPQVGPWRKMLTSKDTNFIGFTFKKSDIVKSLESSD >EOY14449 pep chromosome:Theobroma_cacao_20110822:8:368054:373747:1 gene:TCM_033830 transcript:EOY14449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 2 MDGGDGTVRLGALNLKAGRGVDLDPDVSVSSPVTRQKAAAAKQFIENHYKNYLQGLQERKERRRALQRRAEEAQVSSEEQEEMMRNLERRETEYMRLQRRKVGIDDFEQLTVIGKGAFGEVRLCRAKNTGEIFAMKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKLFYSFQDSDFLYLIMEYLPGGDIMTLLMREDILSEDVARFYVAESILAIHSIHQHNYIHRDIKPDNLILDRNGHLKLSDFGLCKPLDGKYSSILLEDEDLTSQESTSEAEVQSGSERPPWTMPKEQLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFCSDDPRITCRKIINWRTCLKFPDEPKITDEAKDLICHLLCDVETRLGTRGVEELKAHPWFKGVQWEKLYEIEAAYKPTVTGDLDTQNFEKFPEIDGPPSAIPQVGPWRKMLTSKDTNFIGFTFKKSDIVKSLESSDTDMRSNGPSKAPSLITLLGRIDLQETVIPEGEQKQET >EOY15310 pep chromosome:Theobroma_cacao_20110822:8:2866328:2869026:-1 gene:TCM_034415 transcript:EOY15310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 115, putative MEVEQEKPSLENVQARQQEKQREGEVVIVEEEEEQQQQVEEGEENANVLQFMDSMDAYLTLIHSLSSTLRQGWLELASARHSMGASRVNTVLLDHKFHPAATTLLVTQDEGKVDSMKQHFTLCKWASSGNEKCSLGEAKSGQDKLHPQLRHRASSQLYEEKTSSEYKASHEVDDQVQKERSKSLSVFGTLVSPKLRAAQLSFETALETLVEIANTRSAVLCAFDKVNKKLGGSKG >EOY16287 pep chromosome:Theobroma_cacao_20110822:8:6556625:6564950:1 gene:TCM_035112 transcript:EOY16287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 9 isoform 5 MSAYIVGALVPIVFTLLLRSSKNVKKRGVPVDVGGEPGYAIRNSRFPSPVETAWEGISTLAELFEQACKQHHDKRLLGTRKLISREVQVTEDGRSFEKVHLGDYEWLTYGKAFEAVCNFASGLVQLGHKKEERVAIFADTREEWFIALQACFRRNVTVVTIYASLGEEALCHSLNETEVTTVICANKELKKLVNISGQLDTVKRVICMDDEIPTSASGRWTITSFADVERMGCENPVVADLPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATVSAVMTIVPGLGSKDVYLAYLPLAHILELAAENLIPAVGGVIGYGAPLTLTDTSSKIKAGTKGDATVLSPTVMAAVPAILDRVREGVRKKVDAKGGLSKKLFDLAYARRLSAINGSWAGAWGLEKLLWNFLVFKKVRAILGGRIRFLLSGGAPLSADTQRFINICLGAPIGQGYGLTETCAGATFSEVDDTSVGRVGSPLPCSFVKLIDWPEGGYLTSDSPMPRGEIVVGGPSVTLGYFKMEEKTKEVYKVDERGMRWFYTGDIGQFHADGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSTYVDNIMLHADPFHSYCVALVVASQHAVEDWASKQGVAFTDFADLCEKEETVKEVHLSLVQIAKKSRLEKFEIPAKIKLLSTPWTPESGLVTAALKIKREAIRKAFSEDLAKLYTS >EOY16290 pep chromosome:Theobroma_cacao_20110822:8:6555777:6563308:1 gene:TCM_035112 transcript:EOY16290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 9 isoform 5 MSAYIVGALVPIVFTLLLRSSKNVKKRGVPVDVGGEPGYAIRNSRFPSPVETAWEGISTLAELFEQACKQHHDKRLLGTRKLISREVQVTEDGRSFEKVHLGDYEWLTYGKAFEAVCNFASGLVQLGHKKEERVAIFADTREEWFIALQACFRRNVTVVTIYASLGEEALCHSLNETEVTTVICANKELKKLVNISGQLDTVKRVICMDDEIPTSASGRWTITSFADVERMGCENPVVADLPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATVSAVMTIVPGLGSKDVYLAYLPLAHILELAAENLIPAVGGVIGYGAPLTLTDTSSKIKAGTKGDATVLSPTVMAAVPAILDRVREGVRKKVDAKGGLSKKLFDLAYARRLSAINGSWAGAWGLEKLLWNFLVFKKVRAILGGRIRFLLSGGAPLSADTQRFINICLGAPIGQGYGLTETCAGATFSEVDDTSVGRVGSPLPCSFVKLIDWPEGGYLTSDSPMPRGEIVVGGPSVTLGYFKMEEKTKEVYKVDERGMRWFYTGDIGQFHADGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSTYVDNIMLHADPFHSYCVALVVASQHAVEDWASKQGVAFTDFADLCEKEETVKEVHLSLVQIAKKSRLEKFEIPAKIKLLSTPWTPESGL >EOY16288 pep chromosome:Theobroma_cacao_20110822:8:6555753:6564618:1 gene:TCM_035112 transcript:EOY16288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 9 isoform 5 MSAYIVGALVPIVFTLLLRSSKNVKKRGVPVDVGGEPGYAIRNSRFPSPVETAWEGISTLAELFEQACKQHHDKRLLGTRKLISREVQVTEDGRSFEKVHLGDYEWLTYGKAFEAVCNFASGLVQLGHKKEERVAIFADTREEWFIALQACFRRNVTVVTIYASLGEEALCHSLNETEVTTVICANKELKKLVNISGQLDTVKRVICMDDEIPTSASGRWTITSFADVERMGCENPVVADLPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATVSAVMTIVPGLGSKDVYLAYLPLAHILELAAENLIPAVGGVIGYGAPLTLTDTSSKIKAGTKGDATVLSPTVMAAVPAILDRVREGVRKKVDAKGGLSKKLFDLAYARRLSAINGSWAGAWGLEKLLWNFLVFKKVRAILGGRIRFLLSGGAPLSADTQRFINICLGAPIGQGYGLTETCAGATFSEVDDTSVGRVGSPLPCSFVKLIDWPEGGYLTSDSPMPRGEIVVGGPSVTLGYFKMEEKTKEVYKVDERGMRWFYTGDIGQFHADGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSTYVDNIMLHADPFHSYCVALVVASQHAVEDWASKQGVAFTDFADLCEKEETVKEVHLSLVQIAKKSRLEKFEIPAKIKLLSTPWTPESGLVTAALKIKREAIRKAFSEDLAKLYTS >EOY16286 pep chromosome:Theobroma_cacao_20110822:8:6555777:6563521:1 gene:TCM_035112 transcript:EOY16286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 9 isoform 5 MSAYIVGALVPIVFTLLLRSSKNVKKRGVPVDVGGEPGYAIRNSRFPSPVETAWEGISTLAELFEQACKQHHDKRLLGTRKLISREVQVTEDGRSFEKVHLGDYEWLTYGKAFEAVCNFASGLVQLGHKKEERVAIFADTREEWFIALQACFRRNVTVVTIYASLGEEALCHSLNETEVTTVICANKELKKLVNISGQLDTVKRVICMDDEIPTSASGRWTITSFADVERMGCENPVVADLPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATVSAVMTIVPGLGSKDVYLAYLPLAHILELAAENLIPAVGGVIGYGAPLTLTDTSSKIKAGTKGDATVLSPTVMAAVPAILDRVREGVRKKVDAKGGLSKKLFDLAYARRLSAINGSWAGAWGLEKLLWNFLVFKKVRAILGGRIRFLLSGGAPLSADTQRFINICLGAPIGQGYGLTETCAGATFSEVDDTSVGRVGSPLPCSFVKLIDWPEGGYLTSDSPMPRGEIVVGGPSVTLGYFKMEEKTKEVYKVDERGMRWFYTGDIGQFHADGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSTYVDNIMLHADPFHSYCVALVVASQHAVEDWASKQGVAFTDFADLCEKEETVKEVHLSLVQIAKKSRLEKFEIPAKIKLLSTPWTPESGLVTAALKIKREAIRKAFSEDLAKLYTS >EOY16292 pep chromosome:Theobroma_cacao_20110822:8:6555777:6562663:1 gene:TCM_035112 transcript:EOY16292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 9 isoform 5 MSAYIVGALVPIVFTLLLRSSKNVKKRGVPVDVGGEPGYAIRNSRFPSPVETAWEGISTLAELFEQACKQHHDKRLLGTRKLISREVQVTEDGRSFEKVHLGDYEWLTYGKAFEAVCNFASGLVQLGHKKEERVAIFADTREEWFIALQACFRRNVTVVTIYASLGEEALCHSLNETEVTTVICANKELKKLVNISGQLDTVKRVICMDDEIPTSASGRWTITSFADVERMGCENPVVADLPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATVSAVMTIVPGLGSKDVYLAYLPLAHILELAAENLIPAVGGVIGYGAPLTLTDTSSKIKAGTKGDATVLSPTVMAAVPAILDRVREGVRKKVDAKGGLSKKLFDLAYARRLSAINGSWAGAWGLEKLLWNFLVFKKVRAILGGRIRFLLSGGAPLSADTQRFINICLGAPIGQGYGLTETCAGATFSEVDDTSVGRVGSPLPCSFVKMCVSEPMCSQSFIFSCSPLSLIDWPEGG >EOY16289 pep chromosome:Theobroma_cacao_20110822:8:6556079:6563767:1 gene:TCM_035112 transcript:EOY16289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 9 isoform 5 MSAYIVGALVPIVFTLLLRSSKNVKKRGVPVDVGGEPGYAIRNSRFPSPVETAWEGISTLAELFEQACKQHHDKRLLGTRKLISREVQVTEDGRSFEKVHLGDYEWLTYGKAFEAVCNFASGLVQLGHKKEERVAIFADTREEWFIALQACFRRNVTVVTIYASLGEEALCHSLNETEVTTVICANKELKKLVNISGQLDTVKRVICMDDEIPTSASGRWTITSFADVERMGCENPVVADLPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATVSAVMTIVPGLGSKDVYLAYLPLAHILELAAENLIPAVGGVIGYGAPLTLTDTSSKIKAGTKGDATVLSPTVMAAVPAILDRVREGVRKKVDAKGGLSKKLFDLAYARRLSAINGSWAGAWGLEKLLWNFLVFKKVRAILGGRIRFLLSGGAPLSADTQRFINICLGAPIGQGYGLTETCAGATFSEVDDTSVGRVGSPLPCSFVKLIDWPEGGYLTSDSPMPRGEIVVGGPSVTLGYFKMEEKTKEVYKKVDERGMRWFYTGDIGQFHADGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSTYVDNIMLHADPFHSYCVALVVASQHAVEDWASKQGVAFTDFADLCEKEETVKEVHLSLVQQIAKKSRLEKFEIPAKIKLLSTPWTPESGLVTAALKIKREAIRKAFSEDLAKLYTS >EOY16291 pep chromosome:Theobroma_cacao_20110822:8:6555777:6563337:1 gene:TCM_035112 transcript:EOY16291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 9 isoform 5 MSAYIVGALVPIVFTLLLRSSKNVKKRGVPVDVGGEPGYAIRNSRFPSPVETAWEGISTLAELFEQACKQHHDKRLLGTRKLISREVQVTEDGRSFEKVHLGDYEWLTYGKAFEAVCNFASGLVQLGHKKEERVAIFADTREEWFIALQACFRRNVTVVTIYASLGEEALCHSLNETEVTTVICANKELKKLVNISGQLDTVKRVICMDDEIPTSASGRWTITSFADVERMGCENPVVADLPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATVSAVMTIVPGLGSKDVYLAYLPLAHILELAAENLIPAVGGVIGYGAPLTLTDTSSKIKAGTKGDATVLSPTVMAAVPAILDRVREGVRKKVDAKGGLSKKLFDLAYARRLSAINGSWAGAWGLEKLLWNFLVFKKVRAILGGRIRFLLSGGAPLSADTQRFINICLGAPIGQGYGLTETCAGATFSEVDDTSVGRVGSPLPCSFVKLIDWPEGGYLTSDSPMPRGEIVVGGPSVTLGYFKMEEKTKEVYKVG >EOY16623 pep chromosome:Theobroma_cacao_20110822:8:9037363:9042215:-1 gene:TCM_035446 transcript:EOY16623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 42 isoform 1 MGRWNKFGWLLSSIALLGWVVLGVKGYPAEDLVVNLPGQPQVGFMQYAGYVDVDVKAGRSLFYYFVEADKDPDKKPLTLWLNGGPGCSSIGGGAFTELGPFYPTGDGRGLRRNSMSWNRASNLLFVESPAGVGWSYSNTSSDYICGDASTAKDMHIFMMNWYEKFPEFKSRELFLTGESYAGHYIPQLADVLLDHNAHSTGFKFNIKGVAIGNPLLRLDRDVPATQAIAEANGIVGDYINSYDVLLDVCYPSIVEQELRLRKMATKISLGVDVCMTYERRFYLNLPEVQMALHANRTKLPYPWSMCSGQIKYSDTDGNIDILPILKKIIQNGIPVWVFSGDQDSVVPLLGSRTLVRELAHDLNFKITVPYGAWFHKHQVGGWVTEYGNLLTFATVRGAAHMVPYAQPSRALHLFSSFVRGRRLPNNTRPSVNE >EOY16624 pep chromosome:Theobroma_cacao_20110822:8:9037846:9042215:-1 gene:TCM_035446 transcript:EOY16624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 42 isoform 1 MGRWNKFGWLLSSIALLGWVVLGVKGYPAEDLVVNLPGQPQVGFMQYAGYVDVDVKAGRSLFYYFVEADKDPDKKPLTLWLNGGPGCSSIGGGAFTELGPFYPTGDGRGLRRNSMSWNRASNLLFVESPAGVGWSYSNTSSDYICGDASTAKDMHIFMMNWYEKFPEFKSRELFLTGESYAGHYIPQLADVLLDHNAHSTGFKFNIKGVAIGNPLLRLDRDVPATYEFFWSHGMISDETGLTIMNECDFDDYVFENPHNVSQSCSQAIAEANGIVGDYINSYDVLLDVCYPSIVEQELRLRKMATKISLGVDVCMTYERRFYLNLPEVQMALHANRTKLPYPWSMCSGQIKYSDTDGNIDILPILKKIIQNGIPVWVFSGDQDSVVPLLGSRTLVRELAHDLNFKITVPYGAWFHKHQVGGWVTEYGNLLTFATVRGAA >EOY16622 pep chromosome:Theobroma_cacao_20110822:8:9037743:9041625:-1 gene:TCM_035446 transcript:EOY16622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 42 isoform 1 MGRWNKFGWLLSSIALLGWVVLGVKGYPAEDLVVNLPGQPQVGFMQYAGYVDVDVKAGRSLFYYFVEADKDPDKKPLTLWLNGGPGCSSIGGGAFTELGPFYPTGDGRGLRRNSMSWNRASNLLFVESPAGVGWSYSNTSSDYICGDASTAKDMHIFMMNWYEKFPEFKSRELFLTGESYAGHYIPQLADVLLDHNAHSTGFKFNIKGVAIGNPLLRLDRDVPATYEFFWSHGMISDETGLTIMNECDFDDYVFENPHNVSQSCSQAIAEANGIVGDYINSYDVLLDVCYPSIVEQELRLRKMATKISLGVDVCMTYERRFYLNLPEVQMALHANRTKLPYPWSMCSGQIKYSDTDGNIDILPILKKIIQNGIPVWVFSGDQDSVVPLLGSRTLVRELAHDLNFKITVPYGAWFHKHQVGGWVTEYGNLLTFATVRGAAHMVPYAQPSRALHLFSSFVRGRRLPNNTRPSVNE >EOY14545 pep chromosome:Theobroma_cacao_20110822:8:561244:563755:1 gene:TCM_033890 transcript:EOY14545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2, putative isoform 1 MDKALKPPVFHPTALRPCQVSFPFFSFTTATPYLNLNKTRNVGLTILSCSNSSIISSTSNGSSINNNMAGLPVKKKRKRYRKQYPGESEGITEEMRFVAMRLRNTNGKKVTSNSDTDTDTESENNQREEEEEEEGRGDKAEAEKNNEGVGDGEAETWKPSMEGFLKYLVDSKLVFNTIERIVDESDDVAYAYFRKTGLERSPGLSKDLEWFSQQDFVIPEPSNPGVTYVAYLKELAEKSAPHFLSHFYNIYFSHIAGGQVIARQVSEMLLEGRELEFYKWEGDVQESLKGVRDKLNVLGEVDD >EOY14542 pep chromosome:Theobroma_cacao_20110822:8:561238:564210:1 gene:TCM_033890 transcript:EOY14542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2, putative isoform 1 MDKALKPPVFHPTALRPCQVSFPFFSFTTATPYLNLNKTRNVGLTILSCSNSSIISSTSNGSSINNNMAGLPVKKKRKRYRKQYPGESEGITEEMRFVAMRLRNTNGKKVTSNSDTDTDTESENNQREEEEEEEGRGDKAEAEKNNEGVGDGEAETWKPSMEGFLKYLVDSKLVFNTIERIVDESDDVAYAYFRKTGLERSPGLSKDLEWFSQQDFVIPEPSNPGVTYVAYLKELAEKSAPHFLSHFYNIYFSHIAGGQVIARQVSEMLLEGRELEFYKWEGDVQESLKGVRDKLNVLGEHWSREGRNKCLKEAAKSFKFLGQIIRLIIL >EOY14543 pep chromosome:Theobroma_cacao_20110822:8:561130:563547:1 gene:TCM_033890 transcript:EOY14543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2, putative isoform 1 MDKALKPPVFHPTALRPCQVSFPFFSFTTATPYLNLNKTRNVGLTILSCSNSSIISSTSNGSSINNNMAGLPVKKKRKRYRKQYPGESEGITEEMRFVAMRLRNTNGKKVTSNSDTDTDTESENNQREEEEEEEGRGDKAEAEKNNEGVGDGEAETWKPSMEGFLKYLVDSKLVFNTIERIVDESDDVAYAYFRKTGLERSPGLSKDLEWFSQQDFVIPEPSNPGVTYVAYLKELAEKSAPHFLSHFYNIYFSHIAGGQVIARQVCMDGHSCLGFNKAMLCSSVYFSLLEVVQLNNLVSEMLLEGRELEFYKWEGDVQESLKGVRDKLNVLGEHWSREGRNKCLKEAAKSFKFLGQIIRLIIL >EOY14546 pep chromosome:Theobroma_cacao_20110822:8:561130:563194:1 gene:TCM_033890 transcript:EOY14546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2, putative isoform 1 MDKALKPPVFHPTALRPCQVSFPFFSFTTATPYLNLNKTRNVGLTILSCSNSSIISSTSNGSSINNNMAGLPVKKKRKRYRKQYPGESEGITEEMRFVAMRLRNTNGKKVTSNSDTDTDTESENNQREEEEEEEGRGDKAEAEKNNEGVGDGEAETWKPSMEGFLKYLVDSKLVFNTIERIVDESDDVAYAYFRKTGLERSPGLSKDLEWFSQQDFVIPEPSNPGVTYVAYLKELAEKSAPHFLSHFYNIYFSHIAGGQVIARQVCMDGHSCLGFNKAMLCSSVYFSLLEVVQLNNLVNLSL >EOY14544 pep chromosome:Theobroma_cacao_20110822:8:561238:564210:1 gene:TCM_033890 transcript:EOY14544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2, putative isoform 1 MDKALKPPVFHPTALRPCQVSFPFFSFTTATPYLNLNKTRNVGLTILSCSNSSIISSTSNGSSINNNMAGLPVKKKRKRYRKQYPGESEGITEEMRFVAMRLRNTNGKKVTSNSDTDTDTESENNQREEEEEEEGRGDKAEAEKNNEGVGDGEAETWKPSMEGFLKYLVDSKLVFNTIERIVDESDDVAYAYFRKTGLERSPGLSKDLEWFSQQDFVIPEPSNPGVTYVAYLKELAEKSAPHFLSHFYNIYFSHIAGGQVIARQVSEMLLEGRELEFYKWEGDVQESLKGHWSREGRNKCLKEAAKSFKFLGQIIRLIIL >EOY15390 pep chromosome:Theobroma_cacao_20110822:8:3112906:3114794:-1 gene:TCM_034470 transcript:EOY15390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative MDFDEHEDQDEEMGMAVPPGYDSLGNSQAALSRMGPASGGEGPASATPRKVGSTIRYRECLKNHAVSIGGHAVDGCGEFMAAGEEGTLDALKCAACNCHRNFHRKEGDGEGNTYNPHHHQHHPQFSAYYRAPPPAGYLHLTPPPQHRPLALPAASGGGGGGIAGGYSREDEDVSNPSSSGGGGGGSGGLKKRFRTKFTSEQKEKMLEFAERLGWRIQKHDEAAVEQFCEETGVKRHVLKVWMHNNKHTLVFSVG >EOY17193 pep chromosome:Theobroma_cacao_20110822:8:18260395:18265761:1 gene:TCM_036345 transcript:EOY17193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein isoform 1 MVSILHHANKTCSGEVMGSIGQDKRDHIQNEDSRGKGRHPEIVVRIFGAGPIFPWLSLQTKHQFFSLEQLLTVLSGHSAGMPGKSLASSLLTFDSATCFCTPDLATSAPNIISFSVTFSSSLPQKAIKRFGSTYFSPKKWAIHSTSQIKNSALSNEDRKTWEACRQALSAFNFSTEEEDKILGKAFGHVHSPYWGEERKKEVPKFEIINEILDYLRTLSLSDDDLYKLLKKFPEVLGCSIEHELRTNVQILEKDWGIKGKSLKNLLLRNPKVLGYNVDCKGDCMAQCTRCWVRF >EOY17195 pep chromosome:Theobroma_cacao_20110822:8:18260931:18265766:1 gene:TCM_036345 transcript:EOY17195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein isoform 1 MPGKSLASSLLTFDSATCFCTPDLATSAPNIISFSVTFSSSLPQKAIKRFGSTYFSPKKWAIHSTSQIKNSALSNEDRKTWEACRQALSAFNFSTEEEDKILGKAFGHVHSPYWGEERKKEVPKFEIINEILDYLRTLSLSDDDLYKLLKKFPEVLGCSIEHELRTNVQILEKDWGIKGKSLKNLLLRNPKVLGYNVDCKGDCMAQCTRCWVRF >EOY17194 pep chromosome:Theobroma_cacao_20110822:8:18260786:18265689:1 gene:TCM_036345 transcript:EOY17194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein isoform 1 MPGKSLASSLLTFDSATCFCTPDLATSAPNIISFSVTFSSSLPQKAIKRFGSTYFSPKKWAIHSTSQIKNSALSNEDRKTWEACRQALSAFNFSTEEEDKILGKAFGHVHSPYWGEERKKEVPKFEIINEILDYLRTLSLSDDDLYKLLKKFPEVLGCSIEHELRTNVQILEKDWGIKGKSLKNLLLRNPKVLGYNVDCKGDCMAQCTRCWVRF >EOY17196 pep chromosome:Theobroma_cacao_20110822:8:18260786:18265761:1 gene:TCM_036345 transcript:EOY17196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein isoform 1 MPGKSLASSLLTFDSATCFCTPDLATSAPNIISFSVTFSSSLPQKAIKRFGSTYFSPKKWAIHSTSQIKNSALSNEDRKTWEACRQALSAFNFSTEEEDKILGKAFGHVHSPYWGEERKKEVPKFEIINEILDYLRTLSLSDDDLYKLLKKFPEVLGCSIEHELRTNVQILEKDWGIKGKSLKNLLLRNPKVLGYNVDCKGDCMAQCTRCWVRF >EOY15258 pep chromosome:Theobroma_cacao_20110822:8:2724108:2729066:1 gene:TCM_034389 transcript:EOY15258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant U-Box 15, putative MAMDGERDGDGEDGGAETMEEENEPNSPNAREETVDLVQESVSLIEYAARFGDYRRTQRRECYNLVRRMKILLPLFDEIRDLGSPITQNGIVSLSKLNKALRLARKLLKTCNEGSKIYLALETETMMIKFHTVYEKLSQALDELPFDELEVSDEVREQVELMQTQLSRARKRTDTQDIELAVDLMVVSSKTDETNAADIAIIERLAKKLDLHSVEDLKIESISVRNLAKERGQTSESTQQIIELLNKFKQILGMEVTNILDDPVMPKMLVKSQSLIIPPEFLCPITLELMSDPVVVASGQTFERESIQKWFDSNHRTCPKTRQTLDHLSLAPNYALKNLITEWCEKNNFKVPKKEDLASSEGSSAEHIEKITFLVGELSSSQLEVQRTAVKDIRMLSKENPENRILFAKCGAIPPLVQLLSYPDSIIQEHAVTALLNLSIDESNRKLITNEEAIPAIIEILQNGSMESRENSAAALFSLSMLPENKVTIALAGGIPPLVDLLQNGTIRGKRDAATALFNLSLNHANKARAIDAGIVPPLLLFLEDRNLDMVDEALSIFLTLAEHPEGRHEIGQLSFIETLVDFIKDGTPKNKECATSLLLSLGSSNSSHTLAALQFGVYEHLIEISQSGTIRARRKANALLQLMSKSEQIP >EOY14782 pep chromosome:Theobroma_cacao_20110822:8:1252747:1253972:-1 gene:TCM_034054 transcript:EOY14782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLPFRDAIDGFICLCPFPRCCCFGTWLLTVHFFWVQYSSNQWHFEFKNPLPQDFITFLFYHVSSFTNLKLSLALRIIIPNLNTPLPRPPFIYVFSCDRRIIG >EOY16133 pep chromosome:Theobroma_cacao_20110822:8:5908493:5914521:-1 gene:TCM_034999 transcript:EOY16133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 6 isoform 2 MDLSHAQSALSLGFTKTSIADDSISLQIDTSFRCSSNHVRRVPLQFLEGKDDGAPRFQSGKHINHIKEDEEIRILGQSMCVKRHRDSQSVSNLCKRLATEPSLDQRRAAVRSWGNQRLEEADPELSEIMNKEKQRQFFGIELIASENFVCRAVMEALGSHLTNKYSEGMPGARYYTGNHFIDQIETLCHERALAAFSLDSEKWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDSPSGGHMSHGWYMPGGKKVSAASIFFESFPYKVNPQTGYIDYDKLEEKALDYRPKILICGGSSYPREWDYARFRQIADRCGAVLMCDMAHISGLVAAKECASPFDYCDIVTSTTHKSLRGPRGGIIFYRRGAKSRKQGMSLSNGDCGCQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKHVATPEYKAYMQQVKKNAQALASALVRRKCKLVTGGTDNHLLLWDLTTLGLTGKCYEKVCEMCHITLNKTAIFGDNGAICPGGVRIGSPAMTSRGCLESDFETMADFLYRAAQIASAVQRDHGKLQKEFLKGLHNNKDIVDLRNQVEAFAAQFAMPGFDT >EOY16134 pep chromosome:Theobroma_cacao_20110822:8:5908470:5914543:-1 gene:TCM_034999 transcript:EOY16134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 6 isoform 2 MDLSHAQSALSLGFTKTSIADDSISLQIDTSFRCSSNHVRRVPLQFLEGKDDGAPRFQSGKHINHIKEDEEIRILGQSMCVKRHRDSQSVSNLCKRLATEPSLDQRRAAVRSWGNQRLEEADPELSEIMNKEKQRQFFGIELIASENFVCRAVMEALGSHLTNKYSEGMPGARYYTGNHFIDQIETLCHERALAAFSLDSEKWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDSPSGGHMSHGWYMPGGKKVSAASIFFESFPYKECASPFDYCDIVTSTTHKSLRGPRGGIIFYRRGAKSRKQGMSLSNGDCGCQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKHVATPEYKAYMQQVKKNAQALASALVRRKCKLVTGGTDNHLLLWDLTTLGLTGKCYEKVCEMCHITLNKTAIFGDNGAICPGGVRIGSPAMTSRGCLESDFETMADFLYRAAQIASAVQRDHGKLQKEFLKGLHNNKDIVDLRNQVEAFAAQFAMPGFDT >EOY14390 pep chromosome:Theobroma_cacao_20110822:8:149935:161101:-1 gene:TCM_033786 transcript:EOY14390 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MEAAAASEDCCVKVAVHVRPLIGDEKLQGCKDCVTVVSGKPQVQIGTHSFTFDHVYGSTGPPSSAMFEECIVPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPQVMNALFSKIESLKHQIEFQLHVSFIEILKEEVRDLLDSTSLNKSDTASVNTGKVNVPGKPPIQIRESSNGVITLAGSTELSVSTLKEMSACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKLNPVVSGDGSHNDIMSEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEYLQAELCARGGSDEVQVLKERIAWLEAANEDLCRELHEYRSRCTIVEQRETDAHDGSPCSVKSEGLKRNLHSIESSDYQMGETMIGDSREIDEEAAKEWEHTLLQNTMDKELHELNRRLEEKESEMKLFGGDTVALKHHFGKKIQELEDEKRAVQQERDRLLAEIENLSAGSDGQTQKLQDIHAQKLKSLEAQILDLKKKQENQVQLLKQKQKSDEAAKRLQDEIQFIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSSARDNSAIANGNGTNGQNNEKALQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDEFASKGLSPPRGKNGFARASSMSPNARVARISSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDARCQLWEKDMEIKEMKEQLKELVSLLRQSELRRKEVENELKLREQAVAIALATSATGNSPNSLKHVADDMNGSLSPMSVPAQKQLKYSPGIVNGPIRESAAFIDQTRKMVPLGQLPMKKLVAIGQAGNGKLWRWKRSHHQWLVQFKWKWQKPWRLSEWIRHSDETIIRARPRPQALPQRV >EOY14389 pep chromosome:Theobroma_cacao_20110822:8:149178:161035:-1 gene:TCM_033786 transcript:EOY14389 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MEAAAASEDCCVKVAVHVRPLIGDEKLQGCKDCVTVVSGKPQVQIGTHSFTFDHVYGSTGPPSSAMFEECIVPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPQVMNALFSKIESLKHQIEFQLHVSFIEILKEEVRDLLDSTSLNKSDTASVNTGKVNVPGKPPIQIRESSNGVITLAGSTELSVSTLKEMSACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKLNPVVSGDGSHNDIMSEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEYLQAELCARGGSDEVQVLKERIAWLEAANEDLCRELHEYRSRCTIVEQRETDAHDGSPCSVKSEGLKRNLHSIESSDYQMGETMIGDSREIDEEAAKEWEHTLLQNTMDKELHELNRRLEEKESEMKLFGGDTVALKHHFGKKIQELEDEKRAVQQERDRLLAEIENLSAGSDGQTQKLQDIHAQKLKSLEAQILDLKKKQENQVQLLKQKQKSDEAAKRLQDEIQFIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSSARDNSAIANGNGTNGQNNEKALQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDEFASKGLSPPRGKNGFARASSMSPNARVARISSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDARCQLWEKDMEIKEMKEQLKELVSLLRQSELRRKEVENELKLREQAVAIALATSATGNSPNSLKHVADDMNGSLSPMSVPAQKQLKYSPGIVNGPIRESAAFIDQTRKMVPLGQLPMKKLVAIGQAGNGKLWRWKRSHHQWLVQFKWKWQKPWRLSEWIRHSDETIIRARPRPQALPQRV >EOY14388 pep chromosome:Theobroma_cacao_20110822:8:149906:161035:-1 gene:TCM_033786 transcript:EOY14388 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MEAAAASEDCCVKVAVHVRPLIGDEKLQGCKDCVTVVSGKPQVQIGTHSFTFDHVYGSTGPPSSAMFEECIVPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPQVMNALFSKIESLKHQIEFQLHVSFIEILKEEVRDLLDSTSLNKSDTASVNTGKVNVPGKPPIQIRESSNGVITLAGSTELSVSTLKEMSACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKLNPVVSGDGSHNDIMSEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEYLQAELCARGGSDEVQVLKERIAWLEAANEDLCRELHEYRSRCTIVEQRETDAHDGSPCSVKSEGLKRNLHSIESSDYQMGETMIGDSREIDEEAAKEWEHTLLQNTMDKELHELNRRLEEKESEMKLFGGDTVALKHHFGKKIQELEDEKRAVQQERDRLLAEIENLSAGSDGQTQKLQDIHAQKLKSLEAQILDLKKKQENQVQLLKQKQKSDEAAKRLQDEIQFIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSSARDNSAIANGNGTNGQNNEKALQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDEFASKGLSPPRGKNGFARASSMSPNARVARISSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDARCQLWEKDMEIKEMKEQLKELVSLLRQSELRRKEVENELKLREQAVAIALATSATGNSPNSLKHVADDMNGSLSPMSVPAQKQLKYSPGIVNGPIRESAAFIDQTRKMVPLGQLPMKKLVAIGQAGNGKLWRWKRSHHQWLVQFKWKWQKPWRLSEWIRHSDETIIRARPRPQALPQRV >EOY14387 pep chromosome:Theobroma_cacao_20110822:8:150222:161035:-1 gene:TCM_033786 transcript:EOY14387 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MEAAAASEDCCVKVAVHVRPLIGDEKLQGCKDCVTVVSGKPQVQIGTHSFTFDHVYGSTGPPSSAMFEECIVPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPQVMNALFSKIESLKHQIEFQLHVSFIEILKEEVRDLLDSTSLNKSDTASVNTGKVNVPGKPPIQIRESSNGVITLAGSTELSVSTLKEMSACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKLNPVVSGDGSHNDIMSEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEYLQAELCARGGSDEVQVLKERIAWLEAANEDLCRELHEYRSRCTIVEQRETDAHDGSPCSVKSEGLKRNLHSIESSDYQMGETMIGDSREIDEEAAKEWEHTLLQNTMDKELHELNRRLEEKESEMKLFGGDTVALKHHFGKKIQELEDEKRAVQQERDRLLAEIENLSAGSDGQTQKLQDIHAQKLKSLEAQILDLKKKQENQVQLLKQKQKSDEAAKRLQDEIQFIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSSARDNSAIANGNGTNGQNNEKALQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDEFASKGLSPPRGKNGFARASSMSPNARVARISSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDARCQLWEKDMEIKEMKEQLKELVSLLRQSELRRKEVENELKLREQAVAIALATSATGNSPNSLKHVADDMNGSLSPMSVPAQKQLKYSPGIVNGPIRESAAFIDQTRKMVPLGQLPMKKLVAIGQAGNGKLWRWKRSHHQWLVQFKWKWQKPWRLSEWIRHSDETIIRARPRPQALPQRV >EOY14391 pep chromosome:Theobroma_cacao_20110822:8:149712:161101:-1 gene:TCM_033786 transcript:EOY14391 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MEAAAASEDCCVKVAVHVRPLIGDEKLQGCKDCVTVVSGKPQVQIGTHSFTFDHVYGSTGPPSSAMFEECIVPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPQVMNALFSKIESLKHQIEFQLHVSFIEILKEEVRDLLDSTSLNKSDTASVNTGKVNVPGKPPIQIRESSNGVITLAGSTELSVSTLKEMSACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKLNPVVSGDGSHNDIMSEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEYLQAELCARGGSDEVQVLKERIAWLEAANEDLCRELHEYRSRCTIVEQRETDAHDGSPCSVKSEGLKRNLHSIESSDYQMGETMIGDSREIDEEAAKEWEHTLLQNTMDKELHELNRRLEEKESEMKLFGGDTVALKHHFGKKIQELEDEKRAVQQERDRLLAEIENLSAGSDGQTQKLQDIHAQKLKSLEAQILDLKKKQENQVQLLKQKQKSDEAAKRLQDEIQFIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSSARDNSAIANGNGTNGQNNEKALQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDEFASKGLSPPRGKNGFARASSMSPNARVARISSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDARCQLWEKDMEIKEMKEQLKELVSLLRQSELRRKEVENELKLREQAVAIALATSATGNSPNSLKHVADDMNGSLSPMSVPAQKQLKYSPGIVNGPIRESAAFIDQTRKMVPLGQLPMKKLVAIGQAGNGKLWRWKRSHHQWLVQFKWKWQKPWRLSEWIRHSDETIIRARPRPQALPQRV >EOY16010 pep chromosome:Theobroma_cacao_20110822:8:5399976:5406363:1 gene:TCM_034910 transcript:EOY16010 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein, putative isoform 3 MTLKWRWFLNSRYREVVCSVHPSKLATVQCMSCVKLEVPVDQSYHCSSQCFLDAWQMHVKLHSHAVKTEKQTATGGQQELRMLRSCGSWPDFSADQLFGENTLVVEREGKVWIKVGSSKTYKPSKEDVGFTLRLECVAVDYFEGTQLAPINIIVTDPVITFPPRCPRCMIEVGSYMKSHNIHFKSQTSDGLTFSVLSYNILADMYTGMGKYSYCPSWAVVWEYRKQNLLQEIIGYDADIICLQEVQSDHFEGYLQPELMKCGYSVMYKRKRTELYTSSRHYISEGCATFYRHDLFKEIMKYELEFDQRAQLVVEDLKPELKHVGRIRLMKDNVALIVILEAIRDGSTNDDFQFRICVANTHTHANTELPDVKLYQVANLVRGLEKIAQSKIPLLICGDLNSPPESDPHMLLVRGRINSVSGERTDPLGIYQILKLEHSLALVSAYASFFHLRGIDEKQFNRMNLENHEPLFTYFTSGFAGTLDYILYTADSLRVEGLLELLDFESMGVGLPSPLWSSDHIALMASFRLKPHSTSESWPALPQEI >EOY16009 pep chromosome:Theobroma_cacao_20110822:8:5399473:5403506:1 gene:TCM_034910 transcript:EOY16009 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein, putative isoform 3 MGSQDSKEYEMAVTLPSNTPVEGCEINPDVRVKHRAFPTLQPLPPYSVTFSWYREVVCSVHPSKLATVQCMSCVKLEVPVDQSYHCSSQCFLDAWQMHVKLHSHAVKTEKQTATGGQQELRMLRSCGSWPDFSADQLFGENTLVVEREGKVWIKVGSSKTYKPSKEDVGFTLRLECVAVDYFEGTQLAPINIIVTDPVITFPPRCPRCMIEVGSYMKSHNIHFKSQTSDGLTFSVLSYNILADMYTGMGKYSYCPSWAVVWEYRKQNLLQEIIGYDADIICLQEVQSDHFEGYLQPELMKCGYSVMYKRKRTELYTSSRHYISEGCATFYRHDLFKEIMKYELEFDQRAQLVVEDLKPELKHVGRIRLMKDNVALIVILEAIRDGSTNDDFQFRICVANTHTHANTELPDVKLYQVANLVRGLEKIAQSKIPLLICGDLNSPPESDPHMLLVRGRINSVSGERTDPLGIYQILKLEHSLALEKLFCRLVHMHHFSI >EOY16008 pep chromosome:Theobroma_cacao_20110822:8:5398547:5406363:1 gene:TCM_034910 transcript:EOY16008 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein, putative isoform 3 MGSQDSKEYEMAVTLPSNTPVEGCEINPDVRVKHRAFPTLQPLPPYSVTFSWYREVVCSVHPSKLATVQCMSCVKLEVPVDQSYHCSSQCFLDAWQMHVKLHSHAVKTEKQTATGGQQELRMLRSCGSWPDFSADQLFGENTLVVEREGKVWIKVGSSKTYKPSKEDVGFTLRLECVAVDYFEGTQLAPINIIVTDPVITFPPRCPRCMIEVGSYMKSHNIHFKSQTSDGLTFSVLSYNILADMYTGMGKYSYCPSWAVVWEYRKQNLLQEIIGYDADIICLQEVQSDHFEGYLQPELMKCGYSVMYKRKRTELYTSSRHYISEGCATFYRHDLFKEIMKYELEFDQRAQLVVEDLKPELKHVGRIRLMKDNVALIVILEAIRDGSTNDDFQFRICVANTHTHANTELPDVKLYQVANLVRGLEKIAQSKIPLLICGDLNSPPESDPHMLLVRGRINSVSGERTDPLGIYQILKLEHSLALVSAYASFFHLRGIDEKQFNRMNLENHEPLFTYFTSGFAGTLDYILYTADSLRVEGLLELLDFESMGVGLPSPLWSSDHIALMASFRLKPHSTSESWPALPQEI >EOY16048 pep chromosome:Theobroma_cacao_20110822:8:5600650:5610342:1 gene:TCM_034945 transcript:EOY16048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein isoform 2 MMLQGLSFVSLISFTLLFIHAGSTQPPFSCDPSDPSTKNYPFCQTTLPISQRARDLVSRLTLDEKISQLVNSAPAIPRLGIPAYEWWSEALHGVANVGPGIKFDGSIKAATSFPQVILTAASFDAYQWYRIGQVIGREARAIYNAGQARGMTFWAPNINIFRDPRWGRGQETPGEDPLVTGKYAVSYVRGVQGDIFQGGKLNGHLQASACCKHFTAYDLDNWKGVNRFVFDARVTVQDLADTYQPPFKSCVQDGRASGIMCAYNRVNGVPSCADSNLLSKTLRGEWDFKGYITSDCDAVAIIHNDQGYAKSPEDAVVDVLKAGMDLNCGSYLQKYSKSAVLQKKLPESEIDRALHNLFAVRMRLGLFNGNPAQHPFGNIGTDQVCSPEHQILALEAARNGIVLLKNEEKLLPLPKATVSLAVIGPNANSPQTLLGNYAGPPCKSVTPLQALQSYVKNTVYHPGCDTVSCSTGVIDKAVDIAKQADYVVLIMGLDQTQEKEELDRVDLLLPGRQQELITSVAKAAKRPVVLVLLSGGPIDVSFAKDDPRIGGIFWAGYPGEGGGIALAEIVFGDHNPGGRLPVTWYPQEFTKVPMTDMRMRPESSSEYPGRTYRFYKGDKVFEFGYGLSYSKYSYEFTRVSQNNVYLNHSSSFHTTVTSDSVRYKLVSELGAEVCDQRKFTVCVGVKNHGEMAGKHPVLLFARHGNHGDGRPKKQLVGFQSVILSAGEMAEIQFEVSPCEHLSRANEYGLMLRVKFLSLSYFHKNHDLRSVAMFDLNSKSRTSLANSDLVGPIRHAPKPPTLALPLHSFLLKQVTKMKLQKLSLLTLIHISSLLLLVLADSTQPPFSCDTSDPRTKSYPFCKTTLPINQRVQDLISRLTLDEKISQLVNSAPPIPRLGIPGDEWWSEALHGVAFLASVSQGIRFNGTIQSATSFPQVILTAASFDAHLWFRIGQAVGIEARGIYNAGQARGMTFWAPNINIYRDPRWGRGQETPGEDPLVTGKYAVSFVRGIQGDSFEGGMLGEHLQVSACCKHFTAYDLDNWKGVNRFVFNAKVSLQDLADTYQPPFQSCIQQGKASGIMCAYNRVNGVPNCADYNLLSKTARGQWGFNGYITSDCDAVSIMHEKQGYAKVPEDAVADVLKAGMDVNCGNYLKNYTKSAVKKRKLPMSEIDRALHNLFSVRMRLGLFNGNPTKQPFGNIGSDQVCSQEHQNLALEAARNGIVLLKNTDSLLPLSKTKTTSLAVIGPNANSAKTLVGNYAGPPCKSITPLQALQSYAKDTRYHPGCSAVNCSSALTDQAVKIAKGADHVVLVMGLDQTQEREDHDRVDLVLPAKQQNLISSIARAAKNPVILVLLSGGPVDITFAKYDQHIGSILWAGYPGEAGGLALAEIIFGDHNPGGRLPVTWYPQSFIKVPMTDMRMRPEPSSGYPGRTYRFYQGPKVFEFGYGLSYSKYSYEFLPVTQNKVYLNHQSCNKMVENSNPVRYMPVSEIAKELCDKRKFPVKVGVQNHGEMAGTHPVLLFVRQAKVGNGRPMKQLVGFHSVNLNAGERVEIEFELSPCEHLSRANEDGLMVIEEGPHFLSIGDKESEITVFI >EOY16050 pep chromosome:Theobroma_cacao_20110822:8:5600650:5610342:1 gene:TCM_034945 transcript:EOY16050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein isoform 2 MMLQGLSFVSLISFTLLFIHAGSTQPPFSCDPSDPSTKNYPFCQTTLPISQRARDLVSRLTLDEKISQLVNSAPAIPRLGIPAYEWWSEALHGVANVGPGIKFDGSIKAATSFPQVILTAASFDAYQWYRIGQVIGREARAIYNAGQARGMTFWAPNINIFRDPRWGRGQETPGEDPLVTGKYAVSYVRGVQGDIFQGGKLNGHLQASACCKHFTAYDLDNWKGVNRFVFDARVTVQDLADTYQPPFKSCVQDGRASGIMCAYNRVNGVPSCADSNLLSKTLRGEWDFKGYITSDCDAVAIIHNDQGYAKSPEDAVVDVLKAGMDLNCGSYLQKYSKSAVLQKKLPESEIDRALHNLFAVRMRLGLFNGNPAQHPFGNIGTDQVCSPEHQILALEAARNGIVLLKNEEKLLPLPKATVSLAVIGPNANSPQTLLGNYAGPPCKSVTPLQALQSYVKNTVYHPGCDTVSCSTGVIDKAVDIAKQADYVVLIMGLDQTQEKEELDRVDLLLPGRQQELITSVAKAAKRPVVLVLLSGGPIDVSFAKDDPRIGGIFWAGYPGEGGGIALAEIVFGDHNPGGRLPVTWYPQEFTKVPMTDMRMRPESSSEYPGRTYRFYKGDKVFEFGYGLSYSKYSYEFTRVSQNNVYLNHSSSFHTTVTSDSVRYKLVSELGAEVCDQRKFTVCVGVKNHGEMAGKHPVLLFARHGNHGDGRPKKQLVGFQSVILSAGEMAEIQFEVSPCEHLSRANEYGLMLRVKFLSLSYFHKNHDLRSVAMFDLNSKSRTSLANSDLVGPIRHAPKPPTLALPLHSFLLKQVTKMKLQKLSLLTLIHISSLLLLVLADSTQPPFSCDTSDPRTKSYPFCKTTLPINQRVQDLISRLTLDEKISQLVNSAPPIPRLGIPGDEWWSEALHGVAFLASVSQGIRFNGTIQSATSFPQVILTAASFDAHLWFRIGQAIGIEARGIYNAGQARGMTFWAPNINIYRDPRWGRGQETPGEDPLVTGKYAVSFVRGIQGDSFEGGMLGEHLQVSACCKHFTAYDLDNWKGVNRFVFNAKVSLQDLADTYQPPFQSCIQQGKASGIMCAYNRVNGVPNCADYNLLSKTARGQWGFNGYITSDCDAVSIMHEKQGYAKVPEDAVADVLKAGMDVNCGNYLKNYTKSAVKKRKLPMSEIDRALHNLFSVRMRLGLFNGNPTKQPFGNIGSDQVCSQEHQNLALEAARNGIVLLKNTDSLLPLSKTKTTSLAVIGPNANSAKTLVGNYAGPPCKSITPLQALQSYAKDTRYHPGCSAVNCSSALTDQAVKIAKGADHVVLVMGLDQTQEREDHDRVDLVLPAKQQNLISSIARAAKNPVILVLLSGGPVDITFAKYDQHIGSILWAGYPGEAGGLALAEIIFGDHNPGGRLPVTWYPQSFIKVPMTDMRMRPEPSSGYPGRTYRFYQGPKVFEFGYGLSYSKYSYEFLPVTQNKVYLNHQSCNKMVENSNPVRYMPVSEIAKELCDKRKFPVKVGVQNHGEMAGTHPVLLFVRQAKVGNGRPMKQLVGFHSVNLNAGERVEIEFELSPCEHLSRANEDGLMVIEEGPHFLSIGDKESEITVFI >EOY16049 pep chromosome:Theobroma_cacao_20110822:8:5600650:5610342:1 gene:TCM_034945 transcript:EOY16049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein isoform 2 MMLQGLSFVSLISFTLLFIHAGSTQPPFSCDPSDPSTKNYPFCQTTLPISQRARDLVSRLTLDEKISQLVNSAPAIPRLGIPAYEWWSEALHGVANVGPGIKFDGSIKAATSFPQVILTAASFDAYQWYRIGQVIGREARAIYNAGQARGMTFWAPNINIFRDPRWGRGQETPGEDPLVTGKYAVSYVRGVQGDIFQGGKLNGHLQASACCKHFTAYDLDNWKGVNRFVFDARVTVQDLADTYQPPFKSCVQDGRASGIMCAYNRVNGVPSCADSNLLSKTLRGEWDFKGYITSDCDAVAIIHNDQGYAKSPEDAVVDVLKAGMDLNCGSYLQKYSKSAVLQKKLPESEIDRALHNLFAVRMRLGLFNGNPAQHPFGNIGTDQVCSPEHQILALEAARNGIVLLKNEEKLLPLPKATVSLAVIGPNANSPQTLLGNYAGPPCKSVTPLQALQSYVKNTVYHPGCDTVSCSTGVIDKAVDIAKQADYVVLIMGLDQTQEKEELDRVDLLLPGRQQELITSVAKAAKRPVVLVLLSGGPIDVSFAKDDPRIGGIFWAGYPGEGGGIALAEIVFGDHNPGGRLPVTWYPQEFTKVPMTDMRMRPESSSEYPGRTYRFYKGDKVFEFGYGLSYSKYSYEFTRVSQNNVYLNHSSSFHTTVTSDSVRYKLVSELGAEVCDQRKFTVCVGVKNHGEMAGKHPVLLFARHGNHGDGRPKKQLVGFQSVILSAGEMAEIQFEVSPCEHLSRANEYGLMLRVKFLSLSYFHKNHDLRSVAMFDLNSKSRTSLANSDLVGPIRHAPKPPTLALPLHSFLLKQVTKMKLQKLSLLTLIHISSLLLLVLADSTQPPFSCDTSDPRTKSYPFCKTTLPINQRVQDLISRLTLDEKISQLVNSAPPIPRLGIPGDEWWSEALHGVAFLASVSQGIRFNGTIQSATSFPQVILTAASFDAHLWFRIVYDYIQAVGIEARGIYNAGQARGMTFWAPNINIYRDPRWGRGQETPGEDPLVTGKYAVSFVRGIQGDSFEGGMLGEHLQVSACCKHFTAYDLDNWKGVNRFVFNAKVSLQDLADTYQPPFQSCIQQGKASGIMCAYNRVNGVPNCADYNLLSKTARGQWGFNGYITSDCDAVSIMHEKQGYAKVPEDAVADVLKAGMDVNCGNYLKNYTKSAVKKRKLPMSEIDRALHNLFSVRMRLGLFNGNPTKQPFGNIGSDQVCSQEHQNLALEAARNGIVLLKNTDSLLPLSKTKTTSLAVIGPNANSAKTLVGNYAGPPCKSITPLQALQSYAKDTRYHPGCSAVNCSSALTDQAVKIAKGADHVVLVMGLDQTQEREDHDRVDLVLPAKQQNLISSIARAAKNPVILVLLSGGPVDITFAKYDQHIGSILWAGYPGEAGGLALAEIIFGDHNPGGRLPVTWYPQSFIKVPMTDMRMRPEPSSGYPGRTYRFYQGPKVFEFGYGLSYSKYSYEFLPVTQNKVYLNHQSCNKMVENSNPVRYMPVSEIAKELCDKRKFPVKVGVQNHGEMAGTHPVLLFVRQAKVGNGRPMKQLVGFHSVNLNAGERVEIEFELSPCEHLSRANEDGLMVIEEGPHFLSIGDKESEITVFI >EOY14453 pep chromosome:Theobroma_cacao_20110822:8:374455:377170:1 gene:TCM_033832 transcript:EOY14453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold regulated gene 27, putative isoform 3 MEGFRRIESRTTSEASASELTRERSPEFAHQEQSPSLDSLVTESTSTEWTDEKHSLYLKSMEASFVNQLYDSMNFLGWNSQKEKLSGSKSSRQRHCNICTSSGQFKVLRGGSWKKINFERPGFQLNKTDGSHCFVASPWVQHFRSGSMSRVPASRSVQGSASSKEVSDQNFEDEELGEKACSDCSSKKLKTLVNDSCNDQVVP >EOY14454 pep chromosome:Theobroma_cacao_20110822:8:374455:376970:1 gene:TCM_033832 transcript:EOY14454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold regulated gene 27, putative isoform 3 MEGFRRIESRTTSEASASELTRERSPEFAHQEQSPSLDSLVTESTSTEWTDEKHSLYLKSMEASFVNQLYDSMNFLGWNSQKEKLSGSKSSRQRHCNICTSSGQFKVLRGGSWKKINFERPGFQLNKTDGSHCFVASPWVQHFRSGSMSRVPASRSVQGSASSKERCQIRTLKMKN >EOY14457 pep chromosome:Theobroma_cacao_20110822:8:374437:377344:1 gene:TCM_033832 transcript:EOY14457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold regulated gene 27, putative isoform 3 MEGFRRIESRTTSEASASELTRERSPEFAHQEQSPSLDSLVTESTSTEWTDEKHSLYLKSMEASFVNQLYDSMNFLGWNSQKEKLSGSKSSRQRHCNICTSSGQFKVLRGGSWKKINFERPGFQLNKTDGSHCFVASPWVQHFRSGSMSRVPASRSVQEVSDQNFEDEELGEKACSDCSSKKLKTLVNDSCNDQVVP >EOY14455 pep chromosome:Theobroma_cacao_20110822:8:374437:377344:1 gene:TCM_033832 transcript:EOY14455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold regulated gene 27, putative isoform 3 MEGFRRIESRTTSEASASELTRERSPEFAHQEQSPSLDSLVTESTSTEWTDEKHSLYLKSMEASFVNQLYDSMNFLGWNSQKEKLSGSKSSRQRHCNICTSSGQFKVLRGGSWKKINFERPGFQLNKTDGSHCFVASPWVQHFRSGSMSRVPASRSVQGSASSKAEVSDQNFEDEELGEKACSDCSSKKLKTLVNDSCNDQVVP >EOY14456 pep chromosome:Theobroma_cacao_20110822:8:374437:377344:1 gene:TCM_033832 transcript:EOY14456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold regulated gene 27, putative isoform 3 MEGFRRIESRTTSEASASELTRERSPEFAHQEQSPSLDSLVTESTSTEWTDEKHSLYLKSMEASFVNQLYDSMNFLGWNSQKEKLSGSKSSRQRHCNICTSSGQFKVLRGGSWKKINFERPGFQLNKTDGSHCFVASPWVQHFRSGSMSRVPASRSVQAEVSDQNFEDEELGEKACSDCSSKKLKTLVNDSCNDQVVP >EOY16088 pep chromosome:Theobroma_cacao_20110822:8:5740312:5741830:1 gene:TCM_047076 transcript:EOY16088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGAEEEQKQEKEQPRTNPITESQFLAWKGQKDADASAKKAEAARKRAEDIAAGTVQMNGRELFLHEPWVFDNSQY >EOY16089 pep chromosome:Theobroma_cacao_20110822:8:5740313:5740986:1 gene:TCM_047076 transcript:EOY16089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGAEEEQKQEKEQPRTNPITESQFLAWKGQKDADASAKKAEAARKRAEDIAAGTVQMNGRELFLHEPWVFDNSQY >EOY16238 pep chromosome:Theobroma_cacao_20110822:8:6382553:6383499:-1 gene:TCM_035077 transcript:EOY16238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MVKTEHKLQPEPSKQMTSSTSPTSSSSCKKKKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPEAAARAYDAALLCLKGSSANLNFPITSSHYIPDTVMSPKSIQRVAAAAANSFVDNATTPPISPPPPSISSSSSVSSPSMSSSPSDQVDDDVSLMQSLVANDEPISMMEPWYSFDSLHSPKYIDQMFNLASFDPPMIDDFYEEGDIRLWSFC >EOY16880 pep chromosome:Theobroma_cacao_20110822:8:12655386:12662469:-1 gene:TCM_035812 transcript:EOY16880 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 39 isoform 1 MMQKAMMGGTSRTLLSISLLSSTKHFCHYPFLKLPKPSRVLPGFKPLCTATAPTPTIIEPDQLRHSMLLERLRTRHLKDSTRTPSPSKPQEKVTAFDKEGDASDKGKKRKKGMVESFEELGLSEEVMGAVREMGIEVPTEIQCIGVPSVLQGRSVVLGSHTGSGKTLAYMLPLVQLLRLDEALLGMLTKPRRPRAVVLCPTRELSEQVFRVAKSISHHARFRSTMVSGGGRLRPQEDSLNKPIDMVVGTPGRVLQHIEDGNMVYGDIKYLVLDEADTMFDHGFGPDIRKFLGPLKNHALKPNGQGFQTILVTATMTKAVEKLIDEEFQGIEHLRTSTLHKKIASARHDFIKLSGSENKLEALLQVLEPSLAKGNRVMVFCNTLNSSRAVDHFLGENQISTVNYHGEVPAEQRVENLNKFKSDDGDCPTLVCTDLAARGLDLDVDHVIMFDFPLNSIDYLHRTGRTARMGAKGKVTSLVAKKNVLLAERIEEAIRKNESLESLTAVNVRRDIARAQITEQKGKNAKLIKVSNQKNKTKAVSAHISGKKPSIAKSVKSPTAARPSKKIIKVSKNMKSAKASSVGKKNRSSGVNSTAKKLSVVGFRGRASSSKTDSFRRS >EOY16881 pep chromosome:Theobroma_cacao_20110822:8:12656474:12662423:-1 gene:TCM_035812 transcript:EOY16881 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 39 isoform 1 MMQKAMMGGTSRTLLSISLLSSTKHFCHYPFLKLPKPSRVLPGFKPLCTATAPTPTIIEPDQLRHSMLLERLRTRHLKDSTRTPSPSKPQEKVTAFDKEGDASDKGKKRKKGMVESFEELGLSEEVMGAVREMGIEVPTEIQCIGVPSVLQGRSVVLGSHTGSGKTLAYMLPLVQLLRLDEALLGMLTKPRRPRAVVLCPTRELSEQVFRVAKSISHHARFRSTMVSGGGRLRPQEDSLNKPIDMVVGTPGRVLQHIEDGNMVYGDIKYLVLDEADTMFDHGFGPDIRKFLGPLKNHALKPNGQGFQTILVTATMTKAVEKLIDEEFQGIEHLRTSTLHKKIASARHDFIKLSGSENKLEALLQVLEPSLAKGNRVMVFCNTLNSSRAVDHFLGENQISTVNYHGEVPAEQRVENLNKFKSDDGDCPTLVCTDLAARGLDLDVDHVIMFDFPLNSIDYLHRTGRTARMGAKGKVTSLVAKKNVLLAERIEEAIRKNESLESLTAVNVRRDIARAQITEQKGKNAKLIKVSNQKNKTKAVSAHISGKKPSIAKSVKSPTAARPSKKIIKVSKNMKSAKASSVGKKNRSSGVNSTAKKLSVVGFRGRASSSKTDSFRRS >EOY14425 pep chromosome:Theobroma_cacao_20110822:8:298658:300951:1 gene:TCM_033816 transcript:EOY14425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock transcription factor B4 MAVILDNCEGILLSLDSHKSVPAPFLTKTYQLVDDPTTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFKKGEKHLLCEIHRRKTAQPQVAINHHHHHHPHSPLVNAPSFFPFPSRVSISPADSDEQANWCDSPPLSSPRGGTGVSVVAGGGGGGVGGYSSSVTALSEDNERLRRSNNLLMSELAHMRKLYNDIIYFVQNHVKPVTPSNSYSPSLLLCGPPSSAAPPVVTTNSSLLQKPLNQLLGYYPNSPKQSPQVQVLNSPSATSQSSLTILEEASSNSCKTKLFGVPLQSKKRLHPEYGAANMETNKARLVLEKDDLGLNLMPSSTC >EOY15145 pep chromosome:Theobroma_cacao_20110822:8:2375247:2380485:1 gene:TCM_034306 transcript:EOY15145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D isoform 1 MADDISDSIVRLHGELDLKIIEARCLPNMDLLSERLRRCLTAFDTCRAPFSRRKKNHHHRKIITSDPYVTVCLAGATVARTRVISNSQNPIWNERFKIPLAHPASHVEFHVKDNDVFGADFIGVATVSAVKVLAGETVSGWFPIISSYGKPPKPDCAVRLEMRFIKCEEIPFYRYGMAANPTEFGIGNCYFPVRHGGSVTLYQDAHVKESMLPDIELENGTVFKHEPCWEDICHAILEAHHLVYIVGWSIFHEVKLVREPTRPLPSGGNLSLGDLLKYKSQEGVRVLLLVWDDKTSHSKFFINTSGVMQTHDEETRKFFKHSSVSCVLSPRYASSKLSIFKQQVVGTLFTHHQKCVIVDSQASGNNRKITAFIGGLDLCDGRYDTPEHRLFQDLNTVFRDDYHNPTFSAGTKGPRQPWHDLHCKVEGPAAYDILANFEQRWRKAIKWSEFGLRFQRVTRWHDDSLIKLERISWILSPSASVSNDDPALWVSKEGDPENWHVQVFRSIDSGSVKGFPKDVYQAESQNLVCAKNLVIDKSLQTAYIQAIRCAQHFIYIENQYFLGSSYAWPSYKEAGADNLIPMELALKIASKIRAKERFAVYVVIPMWPEGVPSSASVQEILFWQGQTIQMMYGIIAQELKSMRMENSHPQDYLNFYCLGNREEIPKEFSGSSSSLSNNGDSVSASQKFQRFMIYVHAKGMIVDDEYVILGSANINQRSLAGSRDTEIAMGAYQPHYTWGERKRHPRGQIN >EOY15144 pep chromosome:Theobroma_cacao_20110822:8:2375120:2382095:1 gene:TCM_034306 transcript:EOY15144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D isoform 1 MADDISDSIVRLHGELDLKIIEARCLPNMDLLSERLRRCLTAFDTCRAPFSRRKKNHHHRKIITSDPYVTVCLAGATVARTRVISNSQNPIWNERFKIPLAHPASHVEFHVKDNDVFGADFIGVATVSAVKVLAGETVSGWFPIISSYGKPPKPDCAVRLEMRFIKCEEIPFYRYGMAANPTEFGIGNCYFPVRHGGSVTLYQDAHVKESMLPDIELENGTVFKHEPCWEDICHAILEAHHLVYIVGWSIFHEVKLVREPTRPLPSGGNLSLGDLLKYKSQEGVRVLLLVWDDKTSHSKFFINTSGVMQTHDEETRKFFKHSSVSCVLSPRYASSKLSIFKQQVVGTLFTHHQKCVIVDSQASGNNRKITAFIGGLDLCDGRYDTPEHRLFQDLNTVFRDDYHNPTFSAGTKGPRQPWHDLHCKVEGPAAYDILANFEQRWRKAIKWSEFGLRFQRVTRWHDDSLIKLERISWILSPSASVSNDDPALWVSKEGDPENWHVQVFRSIDSGSVKGFPKDVYQAESQNLVCAKNLVIDKSLQTAYIQAIRCAQHFIYIENQYFLGSSYAWPSYKEAGADNLIPMELALKIASKIRAKERFAVYVVIPMWPEGVPSSASVQEILFWQGQTIQMMYGIIAQELKSMRMENSHPQDYLNFYCLGNREEIPKEFSGSSSSLSNNGDSVSASQKFQRFMIYVHAKGMIVDDEYVILGSANINQRSLAGSRDTEIAMGAYQPHYTWGERKRHPRGQVYGYRMSLWAEHMGKVDYFFKEPESLGCVKSVNNIAEENWKRYSAESFTQLQGHLLKYPIEVDSSGKVSPLPGQETFPDVGGKVLGSRTTLPDALTT >EOY15123 pep chromosome:Theobroma_cacao_20110822:8:2311496:2315627:1 gene:TCM_034290 transcript:EOY15123 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA pseudourine synthase 2, chloroplastic, putative MPAFNWKKPSMGPSKASFGWLLGSLLEFKASAELECNPVSHGLRHGDKVNCVISELQPLRAEPEDIPLDIVYEDDRVLVLNKPPDMFVHPAPGNATGTLVNRILHHCSLPTVASSEKEVLSDAENVSDDEESIHQSHCGLPSPSTGRVEIRNDRDLNNRIRMVAVPGLSHHGRARHAASRYKVIEVLAGGGSALVQWRLETGRTHQIRAHAKYMGIPLLGDEVTNHGDQFPTLNRVRKGKKVLWIKNFGTWISSGPKNRSAWAEPADKRKKTWKRHRDGGNLTGWRRYFVATSSELQTMSEILKNLMEKKLPFMASTVAP >EOY17481 pep chromosome:Theobroma_cacao_20110822:8:20993397:21005292:1 gene:TCM_036679 transcript:EOY17481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT1G34320) TAIR;Acc:AT1G34320] MGGLCSRRSTVDNAPGGGFPHVNGHFGRRSGLVFQTRELPAKINTNSTPPPAEDNADNADKESREPFSFPEISTVPYDTTPDDINDGIPRLTRALSNKCRSAKSKQAAVAKVSEVSSLLGRAGTAGLGKAVEVLDTLGSSMTNLNLSSGFTSGVTTKGNKISILAFEVANTIVKGANLMQSLSMENIRHLKEVVLLSEGVQNLISRDMDELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGSELTPEKQLKEEAEAIMQQLMTFVQYTAELYHELHALDRFEQDYRRKLQEEDNSNAAQRGDSLAILRAELKSQKKHVRSLKKKSLWSKILEEVMEKLVDIVHFLHLEIHEAFGSADGDKPVKSSVSGHKKLGSAGLALHYANIITQIDTLVSRSSSVPPNTRDSLYQGLPPTIKSALRSRLQSFQIKEELTVPQIKAEMEKTLQWLVPIATNTTKAHHGFGWVGEWANTGSEVNRKPAGQTDMLRIETLHHADKEKTEVYILDLVVWLHHLVTQARACNGGIRSPVKSPVRSVNQKTIQLSTQLSDTSCYFFVCEKSRG >EOY17480 pep chromosome:Theobroma_cacao_20110822:8:20993278:21005275:1 gene:TCM_036679 transcript:EOY17480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT1G34320) TAIR;Acc:AT1G34320] MGGLCSRRSTVDNAPGGGFPHVNGHFGRRSGLVFQTRELPAKINTNSTPPPAEDNADNADKESREPFSFPEISTVPYDTTPDDINDGIPRLTRALSNKCRSAKSKQAAVAKVSEVSSLLGRAGTAGLGKAVEVLDTLGSSMTNLNLSSGFTSGVTTKGNKISILAFEVANTIVKGANLMQSLSMENIRHLKEVVLLSEGVQNLISRDMDELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGSELTPEKQLKEEAEAIMQQLMTFVQYTAELYHELHALDRFEQDYRRKLQEEDNSNAAQRGDSLAILRAELKSQKKHVRSLKKKSLWSKILEEVMEKLVDIVHFLHLEIHEAFGSADGDKPVKSSVSGHKKLGSAGLALHYANIITQIDTLVSRSSSVPPNTRDSLYQGLPPTIKSALRSRLQSFQIKEELTVPQIKAEMEKTLQWLVPIATNTTKAHHGFGWVGEWANTGSEVNRKPAGQTDMLRIETLHHADKEKTEVYILDLVVWLHHLVTQARACNGGIRSPVKSPVRSVNQKTIQLSTQKPPSPMLTVEDQEMLRDVSKRKKTPGISKSQEFDTAKTRLSKHHRLSKSSSHSPTSETKKDPFPIRRPSSVPFIDFDIDRIKALDVIDRVDTLRSL >EOY15596 pep chromosome:Theobroma_cacao_20110822:8:3895244:3903631:-1 gene:TCM_034609 transcript:EOY15596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine esterase family protein, putative isoform 2 MLRRLGWLIGLNNKSGQAKKLPDAKPLLAKVQPAVMLDTVQEIAIYIHRFHNLDLFQQGWYQLKITMRWDDDEHDSVATPARVVQYEAPNLGSDDGYGIWRIDDTDNSFATQPFRIKYSRQDVLLSVMVAFDLPLTENEGPSSSAVILKFELLYAHVLENGFEFQASPDGCPAAVHEFRIPPKALLGLHSYCPVYFDAFHAVLVDVSVHISLLKAGSRKAPTKVPSAPYTATDDVAGESIDGSTQVLDEGSSTDLKQVMLVKALLNARDTLLGELQKLGNAINQAVDLNEFTSKMNDLKLFDTFLQANEVTADGEVSGQGKPQNGLERVNGRSEFQSDRLLQNLSKEDIIKMFHISGDQMLYLWNSFLNFHRLAYAFLLPVVAAVIRHFLPNIAGLDNKTQIFEFLRDAWAKDRRAEWSIWMVYSKVEMPHHYINGGFDESSHQIVHKRGSSLWKLTDDPAQIAAMRAELHRRSIAQMRINNRSIQDMQIFGDPSGIPIVIIERVMNAPRRTFSDKSYLRNLDIIDSATSHTGLSSEAGKRPSSTSALQNGRDLKIVVFVHGFQASSLSHACFLGCSFVALIQSCLFPMNHLTLRHLNYDFPKLKIWGHHLDLRLVRNQWLLIDPKIEFLMSEVNEEKTSGDFREMGLRLAHEVISFVKKKMDKASRSGRLRDIKLSFVGHSIGNIIIRTALAESAMEPYLRFLHTYVSLSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFTDDPDIRNTFFYKLCKQKTLENFKHIILLSSPQDGYVPYHSARIESCRAASMDYSKKGKAFLEMLNDCLDQIRAPTSEQRVFMRCDVNFDTSSYGRNLNTFIGRAAHIEFLESDIFARFIMWSFPHLFK >EOY15597 pep chromosome:Theobroma_cacao_20110822:8:3895244:3903638:-1 gene:TCM_034609 transcript:EOY15597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine esterase family protein, putative isoform 2 MLRRLGWLIGLNNKSGQAKKLPDAKPLLAKVQPAVMLDTVQEIAIYIHRFHNLDLFQQGWYQLKITMRWDDDEHDSVATPARVVQYEAPNLGSDDGYGIWRIDDTDNSFATQPFRIKYSRQDVLLSVMVAFDLPLTENEGPSSSAVILKFELLYAHVLENGFEFQASPDGCPAAVHEFRIPPKALLGLHSYCPVYFDAFHAVLVDVSVHISLLKAGSRKAPTKVPSAPYTATDDVAGESIDGSTQVLDEGSSTDLKQVMLVKALLNARDTLLGELQKLGNAINQAVDLNEFTSKMNDLKLFDTFLQANEVTADGEVSGQGKPQNGLERVNGRSEFQSDRLLQNLSKEDIIKMFHISGDQMLYLWNSFLNFHRDNKTQIFEFLRDAWAKDRRAEWSIWMVYSKVEMPHHYINGGFDESSHQIVHKRGSSLWKLTDDPAQIAAMRAELHRRSIAQMRINNRSIQDMQIFGDPSGIPIVIIERVMNAPRRTFSDKSYLRNLDIIDSATSHTGLSSEAGKRPSSTSALQNGRDLKIVVFVHGFQGHHLDLRLVRNQWLLIDPKIEFLMSEVNEEKTSGDFREMGLRLAHEVISFVKKKMDKASRSGRLRDIKLSFVGHSIGNIIIRTALAESAMEPYLRFLHTYVSLSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFTDDPDIRNTFFYKLCKQKTLENFKHIILLSSPQDGYVPYHSARIESCRAASMDYSKKGKAFLEMLNDCLDQIRAPTSEQRVFMRCDVNFDTSSYGRNLNTFIGRAAHIEFLESDIFARFIMWSFPHLFK >EOY15598 pep chromosome:Theobroma_cacao_20110822:8:3895486:3903459:-1 gene:TCM_034609 transcript:EOY15598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine esterase family protein, putative isoform 2 MLRRLGWLIGLNNKSGQAKKLPDAKPLLAKVQPAVMLDTVQEIAIYIHRFHNLDLFQQGWYQLKITMRWDDDEHDSVATPARVVQYEAPNLGSDDGYGIWRIDDTDNSFATQPFRIKYSRQDVLLSVMVAFDLPLTENEGPSSSAVILKFELLYAHVLENGFEFQASPDGCPAAVHEFRIPPKALLGLHSYCPVYFDAFHAVLVDVSVHISLLKAGSRKAPTKVPSAPYTATDDVAGESIDGSTQVLDEGSSTDLKQVMLVKALLNARDTLLGELQKLGNAINQAVDLNEFTSKMNDLKLFDTFLQANEVTADGEVSGQGKPQNGLERVNGRSEFQSDRLLQNLSKEDIIKMFHISGDQMLYLWNSFLNFHRDNKTQIFEFLRDAWAKDRRAEWSIWMVYSKVEMPHHYINGGFDESSHQIVHKRGSSLWKLTDDPAQIAAMRAELHRRSIAQMRINNRSIQDMQIFGDPSGIPIVIIERVMNAPRRTFSDKSYLRNLDIIDSATSHTGLSSEAGKRPSSTSALQNGRDLKIVVFVHGFQGHHLDLRLVRNQWLLIDPKIEFLMSEVNEEKTSGDFREMGLRLAHEVISFVKKKMDKASRSGRLRDIKLSFVGHSIGNIIIRTALAESAMEPYLRFLHTYVSLSGPHLGYLYSSNSLFNSGLWLLKKLKGTQCIHQLTFTDDPDIRNTFFYKLCKQKTLENFKHIILLSSPQDGYVPYHSARIESCRAASMDYSKKGKAFLEMLNDCLDQIRAPTSEQRVFMRCDVNFDTSSYGRNLNTFIGRAAHIEFLESDIFARFIMWSFPHLFK >EOY15599 pep chromosome:Theobroma_cacao_20110822:8:3897160:3903459:-1 gene:TCM_034609 transcript:EOY15599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine esterase family protein, putative isoform 2 MLRRLGWLIGLNNKSGQAKKLPDAKPLLAKVQPAVMLDTVQEIAIYIHRFHNLDLFQQGWYQLKITMRWDDDEHDSVATPARVVQYEAPNLGSDDGYGIWRIDDTDNSFATQPFRIKYSRQDVLLSVMVAFDLPLTENEGPSSSAVILKFELLYAHVLENGFEFQASPDGCPAAVHEFRIPPKALLGLHSYCPVYFDAFHAVLVDVSVHISLLKAGSRKAPTKVPSAPYTATDDVAGESIDGSTQVLDEGSSTDLKQVMLVKALLNARDTLLGELQKLGNAINQAVDLNEFTSKMNDLKLFDTFLQANEVTADGEVSGQGKPQNGLERVNGRSEFQSDRLLQNLSKEDIIKMFHISGDQMLYLWNSFLNFHRDNKTQIFEFLRDAWAKDRRAEWSIWMVYSKVEMPHHYINGGFDESSHQIVHKRGSSLWKLTDDPAQIAAMRAELHRRSIAQMRINNRSIQDMQIFGDPSGIPIVIIERVMNAPRRTFSDKSYLRNLDIIDSATSHTGLSSEAGKRPSSTSALQNGRDLKIVVFVHGFQGHHLDLRLVRNQWLLIDPKIEFLMSEVNEEKTSGDFREMGLRLAHEVISFVKKKMDKASRSGRLRDIKLSFVGHSIGNIIIRTALAGRWSCS >EOY15562 pep chromosome:Theobroma_cacao_20110822:8:3745019:3749709:1 gene:TCM_034577 transcript:EOY15562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA protein isoform 1 MSPPLLGVEEEGGQSNVTCLGSSGSMDSVCQNSAELKERNYMGLSDCSSVDSSVGSPVSEESKGNLNLKATELRLGLPGSQSPKRNPELCLLSSPQLDEKPLFPLHPSSDGHCSASQKTVVSGNKRGFSDAMDGFSQGKFLSNSKVDVMLSPRPSSNLGLKPGSVLENLGAQPTKVKEITNQKVVQDRPHAASETRANPNASANNNSGAPATKAQVVGWPPIRSFRKNSLATTSKNTDEVDGKAGPGALFVKVSMDGAPYLRKVDLKNYSKYQELSSALEKMFSCFTIGQYGSHGTLGRELLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWVMFIGTCKRLRIMKSSDAIGLAPRAVEKCRNRN >EOY15561 pep chromosome:Theobroma_cacao_20110822:8:3744808:3757299:1 gene:TCM_034577 transcript:EOY15561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aux/IAA protein isoform 1 MSPPLLGVEEEGGQSNVTCLGSSGSMDSVCQNSAELKERNYMGLSDCSSVDSSVGSPVSEESKGNLNLKATELRLGLPGSQSPKRNPELCLLSSPQLDEKPLFPLHPSSDGHCSASQKTVVSGNKRGFSDAMDGFSQGKFLSNSKVDVMLSPRPSSNLGLKPGSVLENLGAQPTKVKEITNQKVVQDRPHAASETRANPNASANNNSGAPATKAQVVGWPPIRSFRKNSLATTSKNTDEVDGKAGPGALFVKVSMDGAPYLRKVDLKNYSKYQELSSALEKMFSCFTIGQYGSHGTLGRELLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWVMFIGTCKRLRIMKSSDAIGLAPRAVEKCRNRN >EOY14664 pep chromosome:Theobroma_cacao_20110822:8:911718:913172:-1 gene:TCM_033971 transcript:EOY14664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S9 isoform 1 MSGRVETFKVTLQSCPKLTRIIRRRTSYMLGDIIVYSSYVILSGSPSLSLPCASPAALSQNTTKFLITINFPQTMALSISSLTSSLSSLSFSSQISRNPNSVSIPQPPKSVPISLFSKTTRSLSISATVAAPPESEPVEDLKKYVKSRLPGGFAAQTIIGTGRRKSAIARVVLQEGTGKFIINYRDAREYLQGNPLWLHYIKVPLVTLGYETSYDVFVKAHGGGLSGQAQAISLGIARALLKVSEDHRSPLRKEGLLTRDSRVVERKKVGLKKARKAPQYSKR >EOY14665 pep chromosome:Theobroma_cacao_20110822:8:911602:913033:-1 gene:TCM_033971 transcript:EOY14665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S9 isoform 1 MLGDIIVYSSYVILSGSPSLSLPCASPAALSQNTTKFLITINFPQTMALSISSLTSSLSSLSFSSQISRNPNSVSIPQPPKSVPISLFSKTTRSLSISATVAAPPESEPVEDLKKYVKSRLPGGFAAQTIIGTGRRKSAIARVVLQEGTGKFIINYRDAREYLQGNPLWLHYIKVPLVTLGYETSYDVFVKAHGGGLSGQAQAISLGIARALLKVSEDHRSPLRKEGLLTRDSRVVERKKVGLKKARKAPQYSKR >EOY15950 pep chromosome:Theobroma_cacao_20110822:8:5143663:5146484:1 gene:TCM_034866 transcript:EOY15950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MERYEIVKDIGSGNFGVAKLVRDKWTKELLAVKFIERGQKIDEHVQREIVNHRSLKHPNIVRFKEVHLTPTHLAIVMEYAAGGELFGRICNAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSTAPRVKICDFGYSKSSVFHSQPKSTVGTPAYIAPEVLSKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRILSVHYSIPDYVRVSMECKHLLSRIFAANPEKRITILEIKSHPWFLKNLPIELMEGGSWQSHDVNNPPQNLEEVQSIIQEAMKTSEVPKVGGLFMGGSMDLDDLDADADLEDVETSGDFVCPL >EOY15951 pep chromosome:Theobroma_cacao_20110822:8:5143384:5146484:1 gene:TCM_034866 transcript:EOY15951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MERYEIVKDIGSGNFGVAKLVRDKWTKELLAVKFIERGQKIDEHVQREIVNHRSLKHPNIVRFKEVHLTPTHLAIVMEYAAGGELFGRICNAGRFSEDEQICHRDLKLENTLLDGSTAPRVKICDFGYSKSSVFHSQPKSTVGTPAYIAPEVLSKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRILSVHYSIPDYVRVSMECKHLLSRIFAANPEKRITILEIKSHPWFLKNLPIELMEGGSWQSHDVNNPPQNLEEVQSIIQEAMKTSEVPKVGGLFMGGSMDLDDLDADADLEDVETSGDFVCPL >EOY15952 pep chromosome:Theobroma_cacao_20110822:8:5144815:5150072:1 gene:TCM_034866 transcript:EOY15952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MSKYSIGTLILIWQQARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSTAPRVKICDFGYSKSSVFHSQPKSTVGTPAYIAPEVLSKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRILSVHYSIPDYVRVSMECKHLLSRIFAANPEKRITILEIKSHPWFLKNLPIELMEGGSWQSHDVNNPPQNLEEVQSIIQEAMKTSEVPKVGGLFMGGSMDLDDLDADADLEDVETSGDFVCPL >EOY15204 pep chromosome:Theobroma_cacao_20110822:8:2566615:2567769:-1 gene:TCM_034350 transcript:EOY15204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANLNFNALRDLHNSANDLLHSPTIKRTLVHQGQEKWVHQVSEASLRMLEVCGISRDVLLLVKEHVQDLQFTLRRVSADEADIGTKIAAYNRYAKKLKKETLKCLHSLKGMRNKSITSDILSPIDDNLMMVVDVMREVRVTAISVVEPLLSLTSIPWLERKSAKGSFASKFVRSSCQSLYDISDEMALQSANNRLEAVEITIEDLEAELECIFRRLIQTRVLLLNILTN >EOY15434 pep chromosome:Theobroma_cacao_20110822:8:3257186:3258641:-1 gene:TCM_034495 transcript:EOY15434 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook DNA-binding family protein MKGEYVETKNENPNNMFSKLHHSHQQHQHQNHPFSHHFQLSRDSQTPDSEDTSRTTTPTTKDPTTNHNSTLPSGGGGGTSGGDGATIEVIRRPRGRPPGSKNKPKPPVIITREPEPAMSPYILEIPGGNDIVEAISRFSRRKNIGICVLTGSGTVSNVTLRQLSTTPGATITFHGRFDILSLSATFLPQSTSCHMPNTFSISLAGPQGQIVGGFVAGSLVAAGTVFIVAATFNNPSYHRLPGEEEARNTVSSGGGGEGQSPPLSGGGGDSGHGGGVDSCGVSMYSCHLGGSDVIWAPTARPPPPPPPY >EOY17053 pep chromosome:Theobroma_cacao_20110822:8:17200781:17204719:1 gene:TCM_036205 transcript:EOY17053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine monophosphate kinase isoform 1 MASSSCLNVAITQPCVSLSPSFSPNSKHPNQSLLPHSSFTPIHSSSLPLRSNQILSHPRSYKLPLPYAPNFHVVALAKVEPLKVMISGPPASGKGTQCELITKKYGLVHIPAGDLLRAEIASASENGKRAKEYMEKGELVPDEIVVMMVKERLLQPDSQEKGWLLDGYPRSSSQAAGLEDFGIHPDLFILLEVPEDILVERVVGRRLDPLTGKIYHLKYSPPENEEIASRLTQRFDDTEEKVNGNAPKENVFAQIDAALTQLLELRKENSGSLAA >EOY17052 pep chromosome:Theobroma_cacao_20110822:8:17200787:17204707:1 gene:TCM_036205 transcript:EOY17052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine monophosphate kinase isoform 1 MASSSCLNVAITQPCVSLSPSFSPNSKHPNQSLLPHSSFTPIHSSSLPLRSNQILSHPRSYKLPLPYAPNFHVVALAKVEPLKVMISGPPASGKGTQCELITKKYGLVHIPAGDLLRAEIASASENGKRAKEYMEKGELVPDEIVVMMVKERLLQPDSQEKGWLLDGYPRSSSQAAGLEDFGIHPDLFILLEVPEDILVERVVGRRLDPLTGKIYHLKYSPPENEEIASRLTQRFDDTEEKVKLRLHTHHQNVEAVLSKYKDITVKVNGNAPKENVFAQIDAALTQLLELRKENSGSLAA >EOY16628 pep chromosome:Theobroma_cacao_20110822:8:9062853:9070958:1 gene:TCM_035451 transcript:EOY16628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 45 MVLVSAVRDYVNRMLQDISGMKVLILDSQTVSIVSVVYSQSELLQKEVFLVELIDSISKSKESMSHLKAVYFLRPTSENIQHMRRQLSNPRFGEYHLFFSNMLKDTQIHLLADSDEQEVVQQLQEFYADFIAVDPYHFTLNMPSNHHYMLPAVVDPSSLQHFCDRAVDGIGALFLALKRRPIIRYSRTSDIAKRIAQETAKLMYQQESGLFDFRRMEMSPLLLIVDRRDDPVTPLLNQWTYQAMVHELIGIQDNKVDLRSISNLPKDQQEVVLSSEQDAFFQANMYENFGDIGMNIKRMVDDFQQVAKSNQNIQTIEDMAKFVDNYPEYRKMHGNVSKHVTLVTEMSKIVEERKLMLVSETEQELACNGGQVAAFEAVTNLLNNESISDIDRLRLVMLYALRYEKESPVQLMQLFNKLASRSAKYKPGLVQFLLKQAGVDKRTSDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFQTMESITKGRLRDVDYPYVGNHFQQGRPQEVVVFIVGGTTYEESRSVALQNASNSGIRFILGGSAVLNSKRFLKDLEEAQRIARTSSSVV >EOY16636 pep chromosome:Theobroma_cacao_20110822:8:9091643:9096802:-1 gene:TCM_035456 transcript:EOY16636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MADATLEGSSEVSSEPSRNVSSSVIPTVGENHSLQITQHKLNGANFLEWSQSVMLVIRGKGKLDYLTGTKVTPKEGATGHSTWESENSMVMAWLINSMKPKIGRTYLFYKTAKEIWDMAHEMYSNLKNSAQCFEVRSALRSTKQGNLSMIEYFNTFTKLWQEMDMFYETNWHCPEDSLKYKQMLEKERVFDFLHGLSKDLDEVQGGLLGTKPFPNIREAFAEVRREESRKRAMLRMTTEVISDNLSQSSALVSKKHEPANSSDQRGNRKNDKAWCDHCQRPYHTRGTCWKTHGKPANWKARKQGASDHVTGCHSKLTNDLNCVAKFYPSFGEFQDLLSGRTIGNAKMRDGLYYLEDHGQVNKQALALSGELTPVSNNGREYFNSILGNYFIENGIFHQSSCVKTPQQNGIAERKNRHLLETARALMFTTHVPKQFWGEAVLTASYLINRLPSRVLRFKTPFDQKGYKCYCPTTKKMFVSLDVSFLEDQPFYPNLTLQGEILGEEKLWDCLIPLPVVPDIPETPPGNRNPPIMSSTDFGLETGEDPNGLSQQQSELRVYSRRNKKPQEVQSFTHQPCCQESNPQVNAETETEIIGAGDTSNLPQVETQPNELDIPIALKKGGCVLEEMRALKKNETWDVVELPEGKSSVGCNWVFTIKYKSNGEIERHKARLVAKGFTQVFGVDYTETFAPIAKLNTIRVLLSLAANLDWALHQMDVKNAFLNRELDEEVYMDLPLGFEGAIGNRKVRRLKKSLYGLKQSPRAWFDRFAKTIKRYGYQQGQTDHTLFFKHSQDGKKTILIVYVDDIILTGDDTKEMERLKKTLRSEFEIKDLGQLRYFLGMEVARSKKGIIISQRKYTLDLLKETGMLGCKPAETPIVMNMKLGRTRSGIPVDRGRYQRLVGRLIYLSHTRPDIAFAVSVVSQYMHSPSEEHFEAVNRILRYLKSTPGKGLFFKKNELRSVEAFTDADWADSVEDRRSTSGYCTSVWGNLVTWRSKKQPVVARSSAEAEFRALAQGTCELIWLKRLMEELKVSSMGPMKLYCDNKAAISIAHNLVHHDQTKHVEIDRHFIKEKIEDGVICMTYVPTKQQIADVLTKGLPRPSFEVLVDKLGMTNIYSPA >EOY16369 pep chromosome:Theobroma_cacao_20110822:8:6895009:6895619:1 gene:TCM_035169 transcript:EOY16369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNNALKRVPTLSFEEMSFKWGFKFVVGLLKKTQIAGGERWLGLRQETGGKKEGNRRNEERERKRELLPERLRPTRATAAGTE >EOY14975 pep chromosome:Theobroma_cacao_20110822:8:1843334:1846468:1 gene:TCM_034193 transcript:EOY14975 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MGSVSLKIGDGTARFKRATLCSSAVNILMLFSVLTTNLFALYAFTYSPKQHISNPLNHPQKNISLISEQVSLIIREIDSSQRKLAQMEKELLGYDTLDLSRPNLASELKLFLQHHQLPLGKDSRTGITEMVASVGHSCEKSADLLSQYMSYKVYGPCPDDWSLAQKLIVKGCEPLPRRRCFAKTVPKVGLSSLPLSLWKPVSEKIVTWSGLGCKNFDCLNTKKLSRDCVGCFDLVNGFETQKYVKARSKNDFLMDDVLAMGNGGIRIGFDIGGGSGTFAARMAERNVTVITNTLNVDAPYSEFIAARGLFPLFLSLDHRFPFYDNVFDLVHATSGLDVGGKPEKLEFLMFDVDRILRAGGLFWLDNFYCANDEKKRALTRLIERFGYKKLKWVVGDKIDAAGSGKPEGYLSAVLQKPVRT >EOY16582 pep chromosome:Theobroma_cacao_20110822:8:8654275:8655899:1 gene:TCM_035391 transcript:EOY16582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLMTSINFPIYVATYSRISSFSEASPFLVSPLELLKAMKVKDFVTFIQMILNEMDKGVFLIISSFFYAVHPGGPAVLKGVEDNLGLERDKLKASYQVLSEYGNMWSSSVLFVLDEMRKRKWREAKPTQLKGWSGGFSSALGLVSHWRRRCFVALLLIQPTRYGLL >EOY15387 pep chromosome:Theobroma_cacao_20110822:8:3103093:3104334:1 gene:TCM_034466 transcript:EOY15387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSYCIFSFCSRSALRFPRNHLVSTDNSAPILLNDPLKIFSLSFLGFKTGFHRRLMEGVEAEARGLACLHNIFGGGFD >EOY14673 pep chromosome:Theobroma_cacao_20110822:8:936808:943605:1 gene:TCM_033978 transcript:EOY14673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MLRYFRLHSPSLHSYVHRPLQSFHASSPLHWKLREEFNITRPDLISRITRLLILGRYNALNDLSFDFSNELLDSVLRSLKLNPNASFYFFKLASKQQKFRPNITSYCIIVHILSRARMYDETRAHLSELVGLCKNKYSSFLVWNELVRVYKEFKFSPLVFDMLLKIYAEKGLIKNALNVFDNMGKYGRVPSLRSCNCLLSNLVKNGEIHTAVLVYEQMIRIGIVPDVFTCSIIVNAYCKEGRAERAVEFVREMENSGFELNVVSYNSLIDGFVGLGDMEGAKRVFKLMFEKGISRNVVTYTMLIKGYCKQRQMEEAEKVVKEMEEELMVADEFAYGVLLDGYCQVGKMDNAIRIQEEMLKMGLKMNLFVCNSLINGYCKFGQTHEAERVLMCMSGWNIKPDSFCYNTLVDGYCRMGHMSEAFKLCDEMLQEGIEPGVVTYNTLLKGLCRAGSFDDALHLWHVMLKRGLLPDEVSCCTLLCVFFKMGEVERALGFWKSILARGVSKNRIVFNTMINGLCKIGKMDEAKEIFGKMKELGCLPDVITYRILIDGYCKIGEIEDALKLKDKMEREAIFPTIEMYNSLISGVFKSRKLIKVGDLLTETFTRGLAPNLVTYGALITGWCDVGDLKKAFSIYFEMIEKGFAPNIIICSKIVSCLYRLGRIDEANLLLQKMLGTDPVLAHLGLDSLKTDVRCRDIQKIANTLDESAKSFSLPNNVVYNIAMAGLCKSGKVDDARRFFSALLQRGFNPDNFTYCTLIHGYSASGNVNEAFSLRDEMLKVGLKPNIVTYNALINGLCKSGNLDRAQRLFSKLPLKGLAPNAVTYNTLIDAYLKVGKTCEASGLLEKMIEEGVSPSPATYSALVTGLCEQGDNGKTMKLLAAQGHVKCGDLKTITKLHDIMHTVCPSLDVATQKQMDLTVSSDARVSDNVYYISEAVC >EOY16363 pep chromosome:Theobroma_cacao_20110822:8:6866485:6873972:1 gene:TCM_035165 transcript:EOY16363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase MLGLFWLVFLAAAAACGCCHGRNVTYDGRSLIIDGQHKVLFSGSIHYPRSTPEMWPSLIAKAKQGGIDVIQTYVFWNLHESQQGQYDFSGRRDIVRFIKDVQAHGLYVCLRIGPFIQSEWTYGGLPFWLHDVPGIVFRSDNGPFKYHMQKWVTKVVNMMKSERLYASQGGPIILSQIENEYQRIESAFPDEKGSRYVHWAAGMAVGLKTGVPWIMCSQQDAPDPVINACNGFQCGVKFEGPNSPNKPSMWTENWTSFLQRYGQEVFLRSATDIAFHVALFIAKNGSYVNYYMYHGGTNFGRTAAAYIITSYYDQAPLDEYGLIRQPLWGHLKELHAAIRLCTKPLLSGAYETYSSGKLQDAYVFKGKSSGDCAAFLVNSDNTTNARVRFQNVSFELPPMSISILPDCKNVAFNTAKVSTQYNTRSMTVRHKFDSTGRWEEYKEPIPTFSKTKLRAKNLLDHMSTTKDTSDHLWYTLSFQLDSDAQAVLSVTSDAHVLHSFVNGAYTGYAYGGKKNFELNNTVHLNNGTNNISLLSVMVGFPDSGAYLEHKTAGIQSVTIQNEDLNNYQWGYQVGLSGESSRIFTEHGSNKVQWNRFHGIHHRLTWYKTLFDAPGGNDPLALNLGSMGKGEVWVNGQSIGRYWVSLHTPELRPSQTWYNIPRPFLKPTGNLLVLLEEEYGDPLRITLGSISISKVCGHVSESHLPPVMQSQSGVNYNKDLARRPKVQLHCPPERNISRILFASFGTPSGDCNNYAIGNCHLSSSRAIVEKACLGKKKCSIRLPDQAFGRDPCPGIPKTLLIDAQCT >EOY17026 pep chromosome:Theobroma_cacao_20110822:8:17112598:17117032:-1 gene:TCM_036190 transcript:EOY17026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger and BTB domain-containing protein 11 isoform 2 ITGGGEVLVPDGKAILLQSNSYPYLISLPHLTWTRKKEDTRKIALMGKTKKELLSKAPWRGDDQDNSNKFADAKLKVTNQPGSTPKMHVPRPKSADSKFDNDDDDSLEIDPELRYSFQRNFQFLQRVFSIDTVVKPLPPAMAYNVSRNLSFFTRIFTQFFDPEGIANAQKSLGIGQEEKARRVR >EOY17025 pep chromosome:Theobroma_cacao_20110822:8:17112931:17117039:-1 gene:TCM_036190 transcript:EOY17025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger and BTB domain-containing protein 11 isoform 2 MGKTKKELLSKAPWRGDDQDNSNKFADAKLKVTNQPGSTPKMHVPRPKSADSKFDNDDDDSLEIDPELRYSFQRNFQFLQRVFSIDTVVKPLPPAMAYNVSRNLSFFTRIFTQFFDPEGIANAQKSLGIGQEEKARRVR >EOY14739 pep chromosome:Theobroma_cacao_20110822:8:1137539:1140342:-1 gene:TCM_034024 transcript:EOY14739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase 7-beta-glucanase 7 isoform 1 MAVLRCTLTLLLLTLVLGVNKAKSQSFIGVNYGQVADNLPSPAATAKLLQSTSIQKVRLYGSDPAIIKALANTGIGIVIGTANGDIPALASDPNFAKTWVNANIVAYYPSSKIILINVGNEVIMSGDNNLISQLLPAMQNVKNALDAASLGDKVKVSTVHSMALLKQSEPPSSGCFDPSFGDVLKGLLAFNNANGSPFAINPYPYFAYRSDPRPETLAFCLFQPNSGRVDANTKIKYMNMFDAQVDAVRSALNAMGFKNVEIVVAETGWPYKGDSDEVGPSIENAKAYNGNLIAHLRSMVGTPLMPGNSVDTYLFALYDEDLKPGPTSERSFGIFKSDLTMIYDIGLSQSSQTPVETPAMPKTPVTPSSQPKKAAWCVPRAGVSDAELQASLDYACGHGIDCSPIQPGGACFEPNTIASHAAYAMNLFYQTAGRNPWNCDFSQTAMLSSNNPSYNGCTYPGGST >EOY14740 pep chromosome:Theobroma_cacao_20110822:8:1137969:1140006:-1 gene:TCM_034024 transcript:EOY14740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase 7-beta-glucanase 7 isoform 1 MSGDNNLISQLLPAMQNVKNALDAASLGDKVKVSTVHSMALLKQSEPPSSGCFDPSFGDVLKGLLAFNNANGSPFAINPYPYFAYRSDPRPETLAFCLFQPNSGRVDANTKIKYMNMFDAQVDAVRSALNAMGFKNVEIVVAETGWPYKGDSDEVGPSIENAKAYNGNLIAHLRSMVGTPLMPGNSVDTYLFALYDEDLKPGPTSERSFGIFKSDLTMIYDIGLSQSSQTPVETPAMPKTPVTPSSQPKKAAWCVPRAGVSDAELQASLDYACGHGIDCSPIQPGGACFEPNTIASHAAYAMNLFYQTAGRNPWNCDFSQTAMLSSNNPSYNGCTYPGGST >EOY14741 pep chromosome:Theobroma_cacao_20110822:8:1137621:1140373:-1 gene:TCM_034024 transcript:EOY14741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase 7-beta-glucanase 7 isoform 1 MAVLRCTLTLLLLTLVLGVNKAKSQSFIGVNYGQVADNLPSPAATAKLLQSTSIQKVRLYGSDPAIIKALANTGIGIVIGTANGDIPALASDPNFAKTWVNANIVAYYPSSKIILINVGNEVIMSGDNNLISQLLPAMQNVKNALDAASLGDKVKVSTVHSMALLKQSEPPSSGCFDPSFGDVLKGLLAFNNANGSPFAINPYPYFAYRSDPRPETLAFCLFQPNSGRVDANTKIKYMNMFDAQQVDAVRSALNAMGFKNVEIVVAETGWPYKGDSDEVGPSIENAKAYNGNLIAHLRSMVGTPLMPGNSVDTYLFALYDEDLKPGPTSERSFGIFKSDLTMIYDIGLSQSSQTPVETPAMPKTPVTPSSQPKKAAWCVPRAGVSDAELQASLDYACGHGIDCSPIQPGGACFEPNTIASHAAYAMNLFYQTAGRNPWNCDFSQTAMLSSNNPSYNGCTYPGGST >EOY16324 pep chromosome:Theobroma_cacao_20110822:8:6703590:6708660:-1 gene:TCM_035142 transcript:EOY16324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase superfamily protein isoform 1 MASTIVNAPCTVPSVKGFDTLYYVGLRPTSTLRFNSGRTSISGRSQRLFVVRASERHDGHVKKIEMSIEECEAAVVAGNAPEAPPVPPKPAAPVGTPVIQPFQLSRRPRRNRKSPALRASFQETSISPANFVYPLFIHEGQEDTPIGAMPGCYRLGWRHGLVEEVAKARDVGVNSIVLFPKVPDALKSPTGDEAYNDNGLVPRTIRLLKDKYPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRDALDAEGFHHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALVEAHEDEAEGADILLVKPGLPYLDIIRLLRDESPLPIAAYQVSGEYSMIKAGGVLKMIDEERVMMESLMCLRRAGADIILTYFALQAARCLCGEKR >EOY16322 pep chromosome:Theobroma_cacao_20110822:8:6703590:6708825:-1 gene:TCM_035142 transcript:EOY16322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase superfamily protein isoform 1 MASTIVNAPCTVPSVKGFDTLYYVGLRPTSTLRFNSGRTSISGRSQRLFVVRASERHDGHVKKIEMSIEECEAAVVAGNAPEAPPVPPKPAAPVGTPVIQPFQLSRRPRRNRKSPALRASFQETSISPANFVYPLFIHEGQEDTPIGAMPGCYRLGWRHGLVEEVAKARDVGVNSIVLFPKVPDALKSPTGDEAYNDNGLVPRTIRLLKDKYPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRDALDAEGFHHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALVEAHEDEAEGADILLVKPGLPYLDIIRLLRDESPLPIAAYQVSGEYSMIKAGGVLKMIDEERVMMESLMCLRRAGADIILTYFALQAARCLCGEKR >EOY16323 pep chromosome:Theobroma_cacao_20110822:8:6703834:6708584:-1 gene:TCM_035142 transcript:EOY16323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase superfamily protein isoform 1 MASTIVNAPCTVPSVKGFDTLYYVGLRPTSTLRFNSGRTSISGRSQRLFVVRASERHDGHVKKIEMSIEECEAAVVAGNAPEAPPVPPKPAAPVGTPVIQPFQLSRRPRRNRKSPALRASFQETSISPANFVYPLFIHEGQEDTPIGAMPGCYRLGWRHGLVEEVAKARDVGVNSIVLFPKVPDALKSPTGDEAYNDNGLVPRTIRLLKDKYPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRDALDAEGFHHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALVEAHEDEAEGADILLVKPGLPYLDIIRLLRDESPLPIAAYQVSGEYSMIKAGGVLKMIDEERVMMESLMCLRRAGADIILTYFALQAARCLCGEKR >EOY14738 pep chromosome:Theobroma_cacao_20110822:8:1135347:1137374:-1 gene:TCM_034023 transcript:EOY14738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRERERDRELLIPVATISENGGSKSSVPIVSPTVTSSHGREAFLKVIRSWASKKFMTGCVILLPLAITFYVTWGFIHFVDGFFSPVYDHLGINIFGLGFATSITFIFLVGVFMSSWWGASVLTLGEWFIKKMPLVSYIYAASKQISAAISPDQNSNAFKEVAIIRHPRIGQFMFGFITSTVVLQKGIGEEELCCVYVPTNHLYLGDIFLISSRDILRPNLSVREGIEIVISGGMSVPKILTTVDAPGIPAARVVNFEAAV >EOY16364 pep chromosome:Theobroma_cacao_20110822:8:6874194:6877218:-1 gene:TCM_035166 transcript:EOY16364 gene_biotype:protein_coding transcript_biotype:protein_coding description:8-oxoguanine DNA glycosylase, putative isoform 1 MRPFLAMKRPRPSPPLSPTSTSTKPKPPPPPQTPPISAKKPKPYSQWVPLNLSQSELSLPLTFPTGQTFRWKQTGPLQYTGAIGPHLLSLKHLHNGDVCYFIHSTPSESAAKLALLDFLNVGISLAKLWQVFSENDSRFTELAKHLKGARVLRQDPVECLVQFLCSSNNNIGRITKMVDFVSSLGTYLGSVCGFEFHEFPSLERLSAVSEEELRRAGFGYRAKYITGTVGVLQSKPDGGAQWLLSLRKLDLREAIDALCTLPGVGPKVAACIALFSLDQHHAIPVDTHVWQIATRYLLPELAGARLTPKLSGRVAEAFVSKYGEYAGWAQTLLFIAELPSQKALLPSHFWDIEEKKTCQKKRQ >EOY16365 pep chromosome:Theobroma_cacao_20110822:8:6875069:6877177:-1 gene:TCM_035166 transcript:EOY16365 gene_biotype:protein_coding transcript_biotype:protein_coding description:8-oxoguanine DNA glycosylase, putative isoform 1 MRPFLAMKRPRPSPPLSPTSTSTKPKPPPPPQTPPISAKKPKPYSQWVPLNLSQSELSLPLTFPTGQTFRWKQTGPLQYTGAIGPHLLSLKHLHNGDVCYFIHSTPSESAAKLALLDFLNVGISLAKLWQVFSENDSRFTELAKHLKGARVLRQDPVECLVQFLCSSNNNIGRITKMVDFVSSLGTYLGSVCGFEFHEFPSLERLSAVSEEELRRAGFGYRAKYITGTVGVLQSKPDGGAQWLLSLRKLDLREAIDALCTLPGVGPKVAACIALFSLDQHHAIPVDTHVWQQDCYKVPPS >EOY16102 pep chromosome:Theobroma_cacao_20110822:8:5798259:5799782:1 gene:TCM_034977 transcript:EOY16102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTFNGKLWDSFQIFDLVKTRMAWWTRSKWKDETSSVMDIFRTPQQTTLSKKPLKKKITVEWKAPNHGQLKFNIDGAAKGNPGEFGIGGVLRDSHGRVFMTFSKATGVGDASRAELLAIKEALFIYVASNRVDSHQLVLKSDSVNVIKWVKCPEIVPWRHRKHILHIIKLTDPVWGGFLCFDWTSIVLVFWVVFATDYLHPF >EOY14460 pep chromosome:Theobroma_cacao_20110822:8:387675:393629:1 gene:TCM_033835 transcript:EOY14460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid 8'-hydroxylase 3 MFFQFLYISQSLLPPPMTPITKPTSWILQRVRLLRREKKESQGRAEAELPPGSMGWPYIGETLQLYSQDPNVFFAAKQKRYGEIFKTHILGCPSVMLASPEAARFVLVTQAHLFKPTYPKSKERLVGPAALFFHQGDYHTRLRKLVQASLSIETIRNLVANIDALAASALDTWDGGHVINTFHEMKKFSFEVGILFIFGRLEARHREELKKNYFIVDRGYNSFPTNIPGTPYKKALLKLLESSTCQIINCLRSYSLKTNSLQARKRLSKILSDIICKRKEKRLLEKDLLGCLLNYKDEKGEVLTCDQISDNIIGTLFAAQDTTASVMTWIVKYLHDNPKLLETVKAEQKAIRRLNEEGNQPLRWSQTRDMPVTYKVVLETLRMASIISFTFREAVADVEYKGYLIPKGWKVMPLFRNIHHNPEFFTDPQKFDPSRFEVAPKPNTFMPFGNGVHACPGNELAKLEMLIMTHHLVSKFRWEVVGSQSGSQYGPFPVPLQGLPTRFWKESTSSLPEAAREPCVKLQWAELEWVMPPAGELRLKDTKLVDYSLCCLPLWTPLIRCGYQSLIALSPLSCQSLPAALAMIF >EOY15069 pep chromosome:Theobroma_cacao_20110822:8:2134836:2136011:-1 gene:TCM_034251 transcript:EOY15069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHAPSKEAEALAEECANNEARTVLESCLGQAEEREAMLVQTLDELRQTLSRKEQQAVFREDMLCRNIEDLQKRYQLR >EOY16519 pep chromosome:Theobroma_cacao_20110822:8:8082170:8085337:1 gene:TCM_035321 transcript:EOY16519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLNIYPQGFFYIDLLHNIMVHRITKNGIMEHELWFFIGKSKARFSKREFCLVTRLKFGLMTDVFSRPYEVIPKGIHDKDRKGEDNLNLQQMLNRFRDSNL >EOY14939 pep chromosome:Theobroma_cacao_20110822:8:1715718:1721302:1 gene:TCM_034165 transcript:EOY14939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MSSKSKRPPPDPVAVLRGHRASVTDACFHPSRPILFTGAHSAAHGIIAVACSSLSGTNKVISQGRDGTVKCWDIEEGGLSRAPTLTIRTNAYHFCKLSLVKKPIANVKQAYPDVLGKETVDIESSDDSRGKTVENQVEGSNTFQGGFIEETYVEGLKYVAMAGEQSSEVEIWDLNTSERSVRLPLDNFGGSSSFSTKERGMCMAVQSFLLPGSQGFLNVLAGYEDGSILWWDIRNPGVPVTSVKFHSEPVLSLCIDGSCNGGISGAADDKIVIYNLDHPMGSCVVKKEISLERPGISSTSIRSDCKIAATAGWDHRVRIYNYRKGNPLAILKYHHATCSSVSFSTDCKLMASASEDTTVALWELYPPQT >EOY14938 pep chromosome:Theobroma_cacao_20110822:8:1715864:1720721:1 gene:TCM_034165 transcript:EOY14938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MSSKSKRPPPDPVAVLRGHRASVTDACFHPSRPILFTGSTDGEMRIWDTIQHRTVSSAWAHSAAHGIIAVACSSLSGTNKVISQGRDGTVKCWDIEEGGLSRAPTLTIRTNAYHFCKLSLVKKPIANVKQAYPDVLGKETVDIESSDDSRGKTVENQVEGSNTFQGGFIEETYVEGLKYVAMAGEQSSEVEIWDLNTSERSVRLPLDNFGGSSSFSTKERGMCMAVQSFLLPGSQGFLNVLAGYEDGSILWWDIRNPGVPVTSVKFHSEPGGQPRIWRLHISKFMH >EOY14940 pep chromosome:Theobroma_cacao_20110822:8:1715718:1721302:1 gene:TCM_034165 transcript:EOY14940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MSSKSKRPPPDPVAVLRGHRASVTDACFHPSRPILFTGSTDGEMRIWDTIQHRTVSSAWAHSAAHGIIAVACSSLSGTNKVISQGRDGTVKCWDIEEGGLSRAPTLTIRTNAYHFCKLSLVKKPIANVKQAYPDVLGKETVDIESSDDSRGKTVENQVEGSNTFQGMCMAVQSFLLPGSQGFLNVLAGYEDGSILWWDIRNPGVPVTSVKFHSEPVLSLCIDGSCNGGISGAADDKIVIYNLDHPMGSCVVKKEISLERPGISSTSIRSDCKIAATAGWDHRVRIYNYRKGNPLAILKYHHATCSSVSFSTDCKLMASASEDTTVALWELYPPQT >EOY14937 pep chromosome:Theobroma_cacao_20110822:8:1715718:1721224:1 gene:TCM_034165 transcript:EOY14937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MSSKSKRPPPDPVAVLRGHRASVTDACFHPSRPILFTGSTDGEMRIWDTIQHRTVSSAWAHSAAHGIIAVACSSLSGTNKVISQGRDGTVKCWDIEEGGLSRAPTLTIRTNAYHFCKLSLVKKPIANVKQAYPDVLGKETVDIESSDDSRGKTVENQVEGSNTFQGGFIEETYVEGLKYVAMAGEQSSEVEIWDLNTSERSVRLPLDNFGGSSSFSTKERGMCMAVQSFLLPGSQGFLNVLAGYEDGSILWWDIRNPGVPVTSVKFHSEPVLSLCIDGSCNGGISGAADDKIVIYNLDHPMGSCVVKKEISLERPGISSTSIRSDCKIAATAGWDHRVRIYNYRKGNPLAILKYHHATCSSVSFSTDCKLMASASEDTTVALWELYPPQT >EOY15449 pep chromosome:Theobroma_cacao_20110822:8:3338437:3342985:-1 gene:TCM_034509 transcript:EOY15449 gene_biotype:protein_coding transcript_biotype:protein_coding description:MSCS-like 3 isoform 1 MEMNDSNDARNMGFDFAGKAVYTALWVAAVSLFMELLGFSTQKWVTAGGLGTVLITLAGREIFTNFLSSVMIHATRPFVLNEWIQTNIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLSQKTHWRIKNYFAISHLDVNKINNIVADMRKVLAKNPQVEQQRLHRRVFLESINPENQALMILVSCFVKTSHVEEYLCVREAILLDLLRVISHHQARLATPIRTVQKMYSEAEVEDVPFADTIFRRSGVATNRPLLLIEPSYKISGDDKVKASTRANEEKDSMEEATSTSDSKANTLSRPTSIIDSKVDKATSPSLSNSSSSSKVSSSEAQTGNPVPDGSAEVNSEKQFKQSRGETWKVSGSGREMITEKSPVANPQSVNGESEIPLAISQAKQDVDRSVALPSVARPSLEENIVLGVALEGSKLTLPIEEEIAPSTLSTESKELGAHQSGSGPHLVGKDKKDGQMPVVHGGTQNN >EOY15448 pep chromosome:Theobroma_cacao_20110822:8:3338437:3342846:-1 gene:TCM_034509 transcript:EOY15448 gene_biotype:protein_coding transcript_biotype:protein_coding description:MSCS-like 3 isoform 1 MEMNDSNDARNMGFDFAGKAVYTALWVAAVSLFMELLGFSTQKWVTAGGLGTVLITLAGREIFTNFLSSVMIHATRPFVLNEWIQTNIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLSQKTHWRIKNYFAISHLDVNKINNIVADMRKVLAKNPQVEQQRLHRRVFLESINPENQALMILVSCFVKTSHVEEYLCVREAILLDLLRVISHHQARLATPIRTVQKMYSEAEVEDVPFADTIFRRSGVATNRPLLLIEPSYKISGDDKVKASTRANEEKDSMEEATSTSDSKANTLSRPTSIIDSKVDKATSPSLSNSSSSSKVSSSEAQTGNPVPDGSAEVNSEKQFKQSRGETWKVSGSGREMITEKSPVANPQSVNGESEIPLAISQAKQDVDRSVALPSVARPSLEENIVLGVALEGSKLTLPIEEEIAPSTLSTESKELGAHQSGSGPHLVGKDKKDGQMPVVHGGTQNN >EOY15447 pep chromosome:Theobroma_cacao_20110822:8:3338180:3345214:-1 gene:TCM_034509 transcript:EOY15447 gene_biotype:protein_coding transcript_biotype:protein_coding description:MSCS-like 3 isoform 1 MTRVASMQFSHELKIRNSHGCGRQHIGVMEKGRLHLVNINPSSHAMRQDAWSLHLLSSVCMPIRPVSSRSNVNVCRCILTSTGGNEIPILKSASMVLSRTFGTLHGGLIVPRLIPAVGIIAFAAWGLGPLIRLCRVIFFHRSDSSWKKSRTNHIISYYLRPVLLWTGATLICRALDPVVLPSEASQAVKQRLLNFVRSLSAVLAFSYCLSSLIRQAQKFFMEMNDSNDARNMGFDFAGKAVYTALWVAAVSLFMELLGFSTQKWVTAGGLGTVLITLAGREIFTNFLSSVMIHATRPFVLNEWIQTNIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLSQKTHWRIKNYFAISHLDVNKINNIVADMRKVLAKNPQVEQQRLHRRVFLESINPENQALMILVSCFVKTSHVEEYLCVREAILLDLLRVISHHQARLATPIRTVQKMYSEAEVEDVPFADTIFRRSGVATNRPLLLIEPSYKISGDDKVKASTRANEEKDSMEEATSTSDSKANTLSRPTSIIDSKVDKATSPSLSNSSSSSKVSSSEAQTGNPVPDGSAEVNSEKQFKQSRGETWKVSGSGREMITEKSPVANPQSVNGESEIPLAISQAKQDVDRSVALPSVARPSLEENIVLGVALEGSKLTLPIEEEIAPSTLSTESKELGAHQSGSGPHLVGKDKKDGQMPVVHGGTQNN >EOY16517 pep chromosome:Theobroma_cacao_20110822:8:8067414:8070333:1 gene:TCM_035319 transcript:EOY16517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein F MEPDLVETDKKRKRNVSIGSALQWSQWQLLDSILPTGGFAHSFGLEAAIQARLVSGPDDLKTFIIQLLDNTGSLLLPFVYSTTLNPTLDNWQNLNRILDATLTNEVGRKASVSQGSALMRVAAAAFTDVPSLKSMRDVSVGSGVVPFHHAPVFGLICGLVGMDSGTSQRAYMYVTMRDVISAATRLNLVGPLGASVLQHQIASVAEAMSKKWMDRPVEDACQTAPLLDTLQGCHGYLFSRLFCS >EOY15401 pep chromosome:Theobroma_cacao_20110822:8:3147951:3151114:-1 gene:TCM_034475 transcript:EOY15401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative isoform 1 MGEGCGSWFPQQQFDWQSPNFNSLAAPHPLVQQNTNPRFINPGTNMVSTAGALPVHANPGLSHLRVGQVNEPHGWYYCLPHFRQVFAPASNTELKEQLPANPYEHHRENIVPKAGSGCAQKRFLVFDQSGDQTTMIFSSAFRTPIKCLTSWGPKSPGACNFNGEDPISKVNLNLQSGPISTDLFDDNGTDVQSEMHEDTEELNALLYSDDDNDFIEDEEVTSTGHSPSTMTAHDEQFEGGTEEVASSTGLTKKRKLIDRGNDYVPLLVDTASSINPNRCSEYEDDADSGCAFGQNLGSGDMDLSSCNKRMRKEKIRETVSALRSIIPGGEGKDAIVVLDEAIDYLKSLKLKAKAFGLSTL >EOY15400 pep chromosome:Theobroma_cacao_20110822:8:3147219:3151013:-1 gene:TCM_034475 transcript:EOY15400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative isoform 1 MVCQAASQTRFRALKYENGIAGSATIVVRVIACFQPLQDCQAEYFRHLLKPHSTSGDCSGWMGEGCGSWFPQQQFDWQSPNFNSLAAPHPLVQQNTNPRFINPGTNMVSTAGALPVHANPGLSHLRVGQVNEPHGWYYCLPHFRQVFAPASNTELKEQLPANPYEHHRENIVPKAGSGCAQKRFLVFDQSGDQTTMIFSSAFRTPIKCLTSWGPKSPGACNFNGEDPISKVNLNLQSGPISTDLFDDNGTDVQSEMHEDTEELNALLYSDDDNDFIEDEEVTSTGHSPSTMTAHDEQFEGGTEEVASSTGLTKKRKLIDRGNDYVPLLVDTASSINPNRCSEYEDDADSGCAFGQNLGSGDMDLSSCNKRMRKEKIRETVSALRSIIPGGEGKDAIVVLDEAIDYLKSLKLKAKAFGLSTL >EOY15847 pep chromosome:Theobroma_cacao_20110822:8:4830629:4834311:1 gene:TCM_034794 transcript:EOY15847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MERLLAVVVGVLQVLIASAGSYADNHLLSRIAFGSCANQSAPQPIWDAINKFDPQVFIWLGDNIYGDIRQPFKILGKERTIGPWKNVRRFVPSSHSQMLSRYNMAKNIPGYSRLRANAKVIGTWDDHDYGLNDAGKEFSEKITNQRLLLDFLDEPQDSPRRKQAGVYTSYTLGPPGKQVKIILLDTRYHRDPISSDGSVLGESQWSWLGKELRGPPSTITIIGSSIQVISNLSATTGPLFYMESWGRFPKERNRLFKLIADSKRDGVFFISGDVHFGEITRYDCGAGYPLYDITSSGLTQAIEKVLPSPLHFIVRFLAWFTPSTMRAMSQTCRYRSCTYGEPNFGAIEIDWDAFPVTLKIQVRDINGLPVTGVNISLSELQAQNSTIKCCFLLW >EOY15846 pep chromosome:Theobroma_cacao_20110822:8:4830602:4834410:1 gene:TCM_034794 transcript:EOY15846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MERLLAVVVGVLQVLIASAGSYADNHLLSRIAFGSCANQSAPQPIWDAINKFDPQVFIWLGDNIYGDIRQPFKILGKERTIGPWKNVRRFVPSSHSQMLSRYNMAKNIPGYSRLRANAKVIGTWDDHDYGLNDAGKEFSEKITNQRLLLDFLDEPQDSPRRKQAGVYTSYTLGPPGKQVKIILLDTRYHRDPISSDGSVLGESQWSWLGKELRGPPSTITIIGSSIQVISNLSATTGPLFYMESWGRFPKERNRLFKLIADSKRDGVFFISGDVHFGEITRYDCGAGYPLYDITSSGLTQAIEKVLPSPLHFIVRFLAWFTPSTMRAMSQTCRYRSCTYGEPNFGAIEIDWDAFPVTLKIQVRDINGLPVTGVNISLSELQAQNSTIKVRQDRRHCSLEVMLPWIVKYRLAILVYSVLALLLLALVGLIYAATIVCRMCLSKCKID >EOY15848 pep chromosome:Theobroma_cacao_20110822:8:4830625:4834337:1 gene:TCM_034794 transcript:EOY15848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MERLLAVVVGVLQVLIASAGSYADNHLLSRIAFGSCANQSAPQPIWDAINKFDPQVFIWLGDNIYGDIRQPFKILGKERTIGPWKNVRRFVPSSHSQMLSRYNMAKNIPGYSRLRANAKVIGTWDDHDYGLNDAGKEFSEKITNQRLLLDFLDEPQDSPRRKQAGVYTSYTLGPPGKQVKIILLDTRYHRDPISSDGSVLGESQWSWLGKELRGPPSTITIIGSSIQVISNLSATTGPLFYMESWGRFPKERNRLFELQAQNSTIKVRQDRRHCSLEVMLPWIVKYRLAILVYSVLALLLLALVGLIYAATIVCRMCLSKCKID >EOY14504 pep chromosome:Theobroma_cacao_20110822:8:473588:476972:-1 gene:TCM_033865 transcript:EOY14504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphohydrolase 2 isoform 9 MYAVGRLGSYISRGVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKTREKVVSISVNGVEANFHMFLDHKGEAYFLREADVEEGESESVSLPFSSGDETDEPSSGNRRPMKSKSCNYDANKSNSVGEVDVSNGKIMARTSSQRSRIFGLVFGKMSTKEDSYQEGVGGAGVMKVGSLERAEIAADLLEVKWSTNLASSRSNNNASRFYSKNDTLDSKGAEEDVQINDEENQFHPSVPDKEENRIDRQTLLDETDFCNSQKVSCSDSGLENLDYSVEEASVQVSCVSTEQQVVETSSLHQGSMEEKCKVIANISGTIDGCRVGNSDHNENETGAVSGISGPYVQSQYKIEACSEKKFDEEPADNERNAVLPGGGISNEETVSDRVHSFLYCETSESSVVTLDGSGEQTHETLCLSDVENGKVHIHSETLVTTTELVPEVMVLKQAEDMELDSEGALTMSESNSQMVSVDPVIGSVEEMKPHSIHTTSTVSDLGDQAEDERNTKDFLRTSLESVDESQNFCGDSDPKRSVPPSESSEDEQFLFSDLDEFKIHEPDCVNKDLHHPICTESEEVNGLFNPNNESYLNSNKFEQENPSTDLENSVEKSRIVSSPISIYRNHRLPGEKNGWQVESLPNMWLPVAKFDASNHRPLSHSLDSNSETVRWTSIKKDDSSCIRSHADEEQPLAHERSSSEDCETSGKLKNTLYNPAVEISLCKHLLYEGMGAEAASQAFDAEKLDSKKFGSLGPTAVKNDRLVVRIGGRYFPWDAAAPILLGMIAFGSEEIFELQGMIPVDRVEKSVEVDPSKAIVSHSGSWRLWPFSLKRTRSRKAVQPAPVDIRGLDAENAADGTVVSDDDKNLLKARQVKKMIRAITPTSEQLAALNLKDGMNHITFTFSTAMLGKQQVLFISFLLEATLSF >EOY14506 pep chromosome:Theobroma_cacao_20110822:8:472501:477700:-1 gene:TCM_033865 transcript:EOY14506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphohydrolase 2 isoform 9 MYAVGRLGSYISRGVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKTREKVVSISVNGVEANFHMFLDHKGEAYFLREADVEEGESESVSLPFSSGDETDEPSSGNRRPMKSKSCNYDANKSNSVGEVDVSNGKIMARTSSQRSRIFGLVFGKMSTKEDSYQEGVGGAGVMKVGSLERAEIAADLLEVKWSTNLASSRSNNNASRFYSKNDTLDSKGAEEDVQINDEENQFHPSVPDKEENRIDRQTLLDETDFCNSQKVSCSDSGLENLDYSVEEASVQVSCVSTEQQVVETSSLHQGSMEEKCKVIANISGTIDGCRVGNSDHNENETGAVSGISGPYVQSQYKIEACSEKKFDEEPADNERNAVLPGGGISNEETVSDRVHSFLYCETSESSVVTLDGSGEQTHETLCLSDVENGKVHIHSETLVTTTELVPEVMVLKQAEDMELDSEGALTMSESNSQMVSVDPVIGSVEEMKPHSIHTTSTVSDLGDQAEDERNTKDFLRTSLESVDESQNFCGDSDPKRSVPPSESSEDEQFLFSDLDEFKIHEPDCVNKDLHHPICTESEEVNGLFNPNNESYLNSNKFEQENPSTDLENSVEKSRIVSSPISIYRNHRLPGEKNGWQVESLPNMWLPVAKFDASNHRPLSHSLDSNSETVRWTSIKKDDSSCIRSHADEEQPLAHERSSSEDCETSGKLKNTLYNPAVEISLCKHLLYEGMGAEAASQAFDAEKLDSKKFGSLGPTAVKNDRLVVRIGGRYFPWDAAAPILLGMIAFGSEEIFELQGMIPVDRVEKSVEVDPSKAIVSHSGSWRLWPFSLKRTRSRKAVQPAPVDIRGLDAENAADGTVVSDDDKNLLKARQVKKMIRAITPTSEQLAALNLKDGMNHITFTFSTAMLGKQQVDARIYLWKWSTRIVISDVDGTITK >EOY14503 pep chromosome:Theobroma_cacao_20110822:8:469936:477691:-1 gene:TCM_033865 transcript:EOY14503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphohydrolase 2 isoform 9 MYAVGRLGSYISRGVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKTREKVVSISVNGVEANFHMFLDHKGEAYFLREADVEEGESESVSLPFSSGDETDEPSSGNRRPMKSKSCNYDANKSNSVGEVDVSNGKIMARTSSQRSRIFGLVFGKMSTKEDSYQEGVGGAGVMKVGSLERAEIAADLLEVKWSTNLASSRSNNNASRFYSKNDTLDSKGAEEDVQINDEENQFHPSVPDKEENRIDRQTLLDETDFCNSQKVSCSDSGLENLDYSVEEASVQVSCVSTEQQVVETSSLHQGSMEEKCKVIANISGTIDGCRVGNSDHNENETGAVSGISGPYVQSQYKIEACSEKKFDEEPADNERNAVLPGGGISNEETVSDRVHSFLYCETSESSVVTLDGSGEQTHETLCLSDVENGKVHIHSETLVTTTELVPEVMVLKQAEDMELDSEGALTMSESNSQMVSVDPVIGSVEEMKPHSIHTTSTVSDLGDQAEDERNTKDFLRTSLESVDESQNFCGDSDPKRSVPPSESSEDEQFLFSDLDEFKIHEPDCVNKDLHHPICTESEEVNGLFNPNNESYLNSNKFEQENPSTDLENSVEKSRIVSSPISIYRNHRLPGEKNGWQVESLPNMWLPVAKFDASNHRPLSHSLDSNSETVRWTSIKKDDSSCIRSHADEEQPLAHERSSSEDCETSGKLKNTLYNPAVEISLCKHLLYEGMGAEAASQAFDAEKLDSKKFGSLGPTAVKNDRLVVRIGGRYFPWDAAAPILLGMIAFGSEEIFELQGMIPVDRVEKSVEVDPSKAIVSHSGSWRLWPFSLKRTRSRKAVQPAPVDIRGLDAENAADGTVVSDDDKNLLKARQVKKMIRAITPTSEQLAALNLKDGMNHITFTFSTAMLGKQQVDARIYLWKWSTRIVISDVDGTITKSDVLGQFMPLVGIDWSQTGVAHLFSAIKENGYQLLFLSARAISQAYLTRQFLVNLKQEGDVAVNRRVDTKSYSSLHALVHGMFPPMASSEQVLLHFSFPFITYSDRISLPQQ >EOY14495 pep chromosome:Theobroma_cacao_20110822:8:466183:477647:-1 gene:TCM_033865 transcript:EOY14495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphohydrolase 2 isoform 9 MYAVGRLGSYISRGVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKTREKVVSISVNGVEANFHMFLDHKGEAYFLREADVEEGESESVSLPFSSGDETDEPSSGNRRPMKSKSCNYDANKSNSVGEVDVSNGKIMARTSSQRSRIFGLVFGKMSTKEDSYQEGVGGAGVMKVGSLERAEIAADLLEVKWSTNLASSRSNNNASRFYSKNDTLDSKGAEEDVQINDEENQFHPSVPDKEENRIDRQTLLDETDFCNSQKVSCSDSGLENLDYSVEEASVQVSCVSTEQQVVETSSLHQGSMEEKCKVIANISGTIDGCRVGNSDHNENETGAVSGISGPYVQSQYKIEACSEKKFDEEPADNERNAVLPGGGISNEETVSDRVHSFLYCETSESSVVTLDGSGEQTHETLCLSDVENGKVHIHSETLVTTTELVPEVMVLKQAEDMELDSEGALTMSESNSQMVSVDPVIGSVEEMKPHSIHTTSTVSDLGDQAEDERNTKDFLRTSLESVDESQNFCGDSDPKRSVPPSESSEDEQFLFSDLDEFKIHEPDCVNKDLHHPICTESEEVNGLFNPNNESYLNSNKFEQENPSTDLENSVEKSRIVSSPISIYRNHRLPGEKNGWQVESLPNMWLPVAKFDASNHRPLSHSLDSNSETVRWTSIKKDDSSCIRSHADEEQPLAHERSSSEDCETSGKLKNTLYNPAVEISLCKHLLYEGMGAEAASQAFDAEKLDSKKFGSLGPTAVKNDRLVVRIGGRYFPWDAAAPILLGMIAFGSEEIFELQGMIPVDRVEKSVEVDPSKAIVSHSGSWRLWPFSLKRTRSRKAVQPAPVDIRGLDAENAADGTVVSDDDKNLLKARQVKKMIRAITPTSEQLAALNLKDGMNHITFTFSTAMLGKQQVDARIYLWKWSTRIVISDVDGTITKSDVLGQFMPLVGIDWSQTGVAHLFSAIKENGYQLLFLSARAISQAYLTRQFLVNLKQDGKALPDGPIVISPDGLFPSLYREVIRRAPHEFKIGCLEDIKALFPSDCNPFYAGFGNRDTDEISYLKVGIPIGKIFIINPKGDVAVNRRVDTKSYSSLHALVHGMFPPMASSEQEDFNSWNYWKLPPPLIDI >EOY14501 pep chromosome:Theobroma_cacao_20110822:8:470442:477691:-1 gene:TCM_033865 transcript:EOY14501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphohydrolase 2 isoform 9 MYAVGRLGSYISRGVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKTREKVVSISVNGVEANFHMFLDHKGEAYFLREADVEEGESESVSLPFSSGDETDEPSSGNRRPMKSKSCNYDANKSNSVGEVDVSNGKIMARTSSQRSRIFGLVFGKMSTKEDSYQEGVGGAGVMKVGSLERAEIAADLLEVKWSTNLASSRSNNNASRFYSKNDTLDSKGAEEDVQINDEENQFHPSVPDKEENRIDRQTLLDETDFCNSQKVSCSDSGLENLDYSVEEASVQVSCVSTEQQVVETSSLHQGSMEEKCKVIANISGTIDGCRVGNSDHNENETGAVSGISGPYVQSQYKIEACSEKKFDEEPADNERNAVLPGGGISNEETVSDRVHSFLYCETSESSVVTLDGSGEQTHETLCLSDVENGKVHIHSETLVTTTELVPEVMVLKQAEDMELDSEGALTMSESNSQMVSVDPVIGSVEEMKPHSIHTTSTVSDLGDQAEDERNTKDFLRTSLESVDESQNFCGDSDPKRSVPPSESSEDEQFLFSDLDEFKIHEPDCVNKDLHHPICTESEEVNGLFNPNNESYLNSNKFEQENPSTDLENSVEKSRIVSSPISIYRNHRLPGEKNGWQVESLPNMWLPVAKFDASNHRPLSHSLDSNSETVRWTSIKKDDSSCIRSHADEEQPLAHERSSSEDCETSGKLKNTLYNPAVEISLCKHLLYEGMGAEAASQAFDAEKLDSKKFGSLGPTAVKNDRLVVRIGGRYFPWDAAAPILLGMIAFGSEEIFELQGMIPVDRVEKSVEVDPSKAIVSHSGSWRLWPFSLKRTRSRKAVQPAPVDIRGLDAENAADGTVVSDDDKNLLKARQVKKMIRAITPTSEQLAALNLKDGMNHITFTFSTAMLGKQQVDARIYLWKWSTRIVISDVDGTITKSDVLGQFMPLVGIDWSQTGVAHLFSAIKENGYQLLFLSARAISQAYLTRQFLVNLKQVIMLVWWFSYIKLENENQEIQKFMLSLSLRIFELTTVACRKQKLFNKPIFISVGW >EOY14505 pep chromosome:Theobroma_cacao_20110822:8:471859:477700:-1 gene:TCM_033865 transcript:EOY14505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphohydrolase 2 isoform 9 MYAVGRLGSYISRGVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKTREKVVSISVNGVEANFHMFLDHKGEAYFLREADVEEGESESVSLPFSSGDETDEPSSGNRRPMKSKSCNYDANKSNSVGEVDVSNGKIMARTSSQRSRIFGLVFGKMSTKEDSYQEGVGGAGVMKVGSLERAEIAADLLEVKWSTNLASSRSNNNASRFYSKNDTLDSKGAEEDVQINDEENQFHPSVPDKEENRIDRQTLLDETDFCNSQKVSCSDSGLENLDYSVEEASVQVSCVSTEQQVVETSSLHQGSMEEKCKVIANISGTIDGCRVGNSDHNENETGAVSGISGPYVQSQYKIEACSEKKFDEEPADNERNAVLPGGGISNEETVSDRVHSFLYCETSESSVVTLDGSGEQTHETLCLSDVENGKVHIHSETLVTTTELVPEVMVLKQAEDMELDSEGALTMSESNSQMVSVDPVIGSVEEMKPHSIHTTSTVSDLGDQAEDERNTKDFLRTSLESVDESQNFCGDSDPKRSVPPSESSEDEQFLFSDLDEFKIHEPDCVNKDLHHPICTESEEVNGLFNPNNESYLNSNKFEQENPSTDLENSVEKSRIVSSPISIYRNHRLPGEKNGWQVESLPNMWLPVAKFDASNHRPLSHSLDSNSETVRWTSIKKDDSSCIRSHADEEQPLAHERSSSEDCETSGKLKNTLYNPAVEISLCKHLLYEGMGAEAASQAFDAEKLDSKKFGSLGPTAVKNDRLVVRIGGRYFPWDAAAPILLGMIAFGSEEIFELQGMIPVDRVEKSVEVDPSKAIVSHSGSWRLWPFSLKRTRSRKAVQPAPVDIRGLDAENAADGTVVSDDDKNLLKARQVKKMIRAITPTSEQLAALNLKDGMNHITFTFSTAMLGKQQVLFISFLLEATLSF >EOY14499 pep chromosome:Theobroma_cacao_20110822:8:469127:477691:-1 gene:TCM_033865 transcript:EOY14499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphohydrolase 2 isoform 9 MYAVGRLGSYISRGVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKTREKVVSISVNGVEANFHMFLDHKGEAYFLREADVEEGESESVSLPFSSGDETDEPSSGNRRPMKSKSCNYDANKSNSVGEVDVSNGKIMARTSSQRSRIFGLVFGKMSTKEDSYQEGVGGAGVMKVGSLERAEIAADLLEVKWSTNLASSRSNNNASRFYSKNDTLDSKGAEEDVQINDEENQFHPSVPDKEENRIDRQTLLDETDFCNSQKVSCSDSGLENLDYSVEEASVQVSCVSTEQQVVETSSLHQGSMEEKCKVIANISGTIDGCRVGNSDHNENETGAVSGISGPYVQSQYKIEACSEKKFDEEPADNERNAVLPGGGISNEETVSDRVHSFLYCETSESSVVTLDGSGEQTHETLCLSDVENGKVHIHSETLVTTTELVPEVMVLKQAEDMELDSEGALTMSESNSQMVSVDPVIGSVEEMKPHSIHTTSTVSDLGDQAEDERNTKDFLRTSLESVDESQNFCGDSDPKRSVPPSESSEDEQFLFSDLDEFKIHEPDCVNKDLHHPICTESEEVNGLFNPNNESYLNSNKFEQENPSTDLENSVEKSRIVSSPISIYRNHRLPGEKNGWQVESLPNMWLPVAKFDASNHRPLSHSLDSNSETVRWTSIKKDDSSCIRSHADEEQPLAHERSSSEDCETSGKLKNTLYNPAVEISLCKHLLYEGMGAEAASQAFDAEKLDSKKFGSLGPTAVKNDRLVVRIGGRYFPWDAAAPILLGMIAFGSEEIFELQGMIPVDRVEKSVEVDPSKAIVSHSGSWRLWPFSLKRTRSRKAVQPAPVDIRGLDAENAADGTVVSDDDKNLLKARQVKKMIRAITPTSEQLAALNLKDGMNHITFTFSTAMLGKQQVDARIYLWKWSTRIVISDVDGTITKSDVLGQFMPLVGIDWSQTGVAHLFSAIKENGYQLLFLSARAISQAYLTRQFLVNLKQDGKALPDGPIVISPDGLFPSLYREVFPPMASSEQEDFNSWNYWKLPPPLIDI >EOY14497 pep chromosome:Theobroma_cacao_20110822:8:469127:477691:-1 gene:TCM_033865 transcript:EOY14497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphohydrolase 2 isoform 9 MYAVGRLGSYISRGVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKTREKVVSISVNGVEANFHMFLDHKGEAYFLREADVEEGESESVSLPFSSGDETDEPSSGNRRPMKSKSCNYDANKSNSVGEVDVSNGKIMARTSSQRSRIFGLVFGKMSTKEDSYQEGVGGAGVMKVGSLERAEIAADLLEVKWSTNLASSRSNNNASRFYSKNDTLDSKGAEEDVQINDEENQFHPSVPDKEENRIDRQTLLDETDFCNSQKVSCSDSGLENLDYSVEEASVQVSCVSTEQQVVETSSLHQGSMEEKCKVIANISGTIDGCRVGNSDHNENETGAVSGISGPYVQSQYKIEACSEKKFDEEPADNERNAVLPGGGISNEETVSDRVHSFLYCETSESSVVTLDGSGEQTHETLCLSDVENGKVHIHSETLVTTTELVPEVMVLKQAEDMELDSEGALTMSESNSQMVSVDPVIGSVEEMKPHSIHTTSTVSDLGDQAEDERNTKDFLRTSLESVDESQNFCGDSDPKRSVPPSESSEDEQFLFSDLDEFKIHEPDCVNKDLHHPICTESEEVNGLFNPNNESYLNSNKFEQENPSTDLENSVEKSRIVSSPISIYRNHRLPGEKNGWQVESLPNMWLPVAKFDASNHRPLSHSLDSNSETVRWTSIKKDDSSCIRSHADEEQPLAHERSSSEDCETSGKLKNTLYNPAVEISLCKHLLYEGMGAEAASQAFDAEKLDSKKFGSLGPTAVKNDRLVVRIGGRYFPWDAAAPILLGMIAFGSEEIFELQGMIPVDRVEKSVEVDPSKAIVSHSGSWRLWPFSLKRTRSRKAVQPAPVDIRGLDAENAADGTVVSDDDKNLLKARQVKKMIRAITPTSEQLAALNLKDGMNHITFTFSTAMLGKQQVDARIYLWKWSTRIVISDVDGTITKSDVLGQFMPLVGIDWSQTGVAHLFSAIKENGYQLLFLSARAISQAYLTRQFLVNLKQDGKALPDGPIVISPDGLFPSLYREGN >EOY14496 pep chromosome:Theobroma_cacao_20110822:8:469140:477174:-1 gene:TCM_033865 transcript:EOY14496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphohydrolase 2 isoform 9 MYAVGRLGSYISRGVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKTREKVVSISVNGVEANFHMFLDHKGEAYFLREADVEEGESESVSLPFSSGDETDEPSSGNRRPMKSKSCNYDANKSNSVGEVDVSNGKIMARTSSQRSRIFGLVFGKMSTKEDSYQEGVGGAGVMKVGSLERAEIAADLLEVKWSTNLASSRSNNNASRFYSKNDTLDSKGAEEDVQINDEENQFHPSVPDKEENRIDRQTLLDETDFCNSQKVSCSDSGLENLDYSVEEASVQVSCVSTEQQVVETSSLHQGSMEEKCKVIANISGTIDGCRVGNSDHNENETGAVSGISGPYVQSQYKIEACSEKKFDEEPADNERNAVLPGGGISNEETVSDRVHSFLYCETSESSVVTLDGSGEQTHETLCLSDVENGKVHIHSETLVTTTELVPEVMVLKQAEDMELDSEGALTMSESNSQMVSVDPVIGSVEEMKPHSIHTTSTVSDLGDQAEDERNTKDFLRTSLESVDESQNFCGDSDPKRSVPPSESSEDEQFLFSDLDEFKIHEPDCVNKDLHHPICTESEEVNGLFNPNNESYLNSNKFEQENPSTDLENSVEKSRIVSSPISIYRNHRLPGEKNGWQVESLPNMWLPVAKFDASNHRPLSHSLDSNSETVRWTSIKKDDSSCIRSHADEEQPLAHERSSSEDCETSGKLKNTLYNPAVEISLCKHLLYEGMGAEAASQAFDAEKLDSKKFGSLGPTAVKNDRLVVRIGGRYFPWDAAAPILLGMIAFGSEEIFELQGMIPVDRVEKSVEVDPSKAIVSHSGSWRLWPFSLKRTRSRKAVQPAPVDIRGLDAENAADGTVVSDDDKNLLKARQVKKMIRAITPTSEQLAALNLKDGMNHITFTFSTAMLGKQQVDARIYLWKWSTRIVISDVDGTITKSDVLGQFMPLVGIDWSQTGVAHLFSAIKENGYQLLFLSARAISQAYLTRQFLVNLKQRMVRLYQMGQSLFPRMGFFHPYIEKLLEELLMNSRLGA >EOY14500 pep chromosome:Theobroma_cacao_20110822:8:469127:477691:-1 gene:TCM_033865 transcript:EOY14500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphohydrolase 2 isoform 9 MYAVGRLGSYISRGVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKTREKVVSISVNGVEANFHMFLDHKGEAYFLREADVEEGESESVSLPFSSGDETDEPSSGNRRPMKSKSCNYDANKSNSVGEVDVSNGKIMARTSSQRSRIFGLVFGKMSTKEDSYQEGVGGAGVMKVGSLERAEIAADLLEVKWSTNLASSRSNNNASRFYSKNDTLDSKGAEEDVQINDEENQFHPSVPDKEENRIDRQTLLDETDFCNSQKVSCSDSGLENLDYSVEEASVQVSCVSTEQQVVETSSLHQGSMEEKCKVIANISGTIDGCRVGNSDHNENETGAVSGISGPYVQSQYKIEACSEKKFDEEPADNERNAVLPGGGISNEETVSDRVHSFLYCETSESSVVTLDGSGEQTHETLCLSDVENGKVHIHSETLVTTTELVPEVMVLKQAEDMELDSEGALTMSESNSQMVSVDPVIGSVEEMKPHSIHTTSTVSDLGDQAEDERNTKDFLRTSLESVDESQNFCGDSDPKRSVPPSESSEDEQFLFSDLDEFKIHEPDCVNKDLHHPICTESEEVNGLFNPNNESYLNSNKFEQENPSTDLENSVEKSRIVSSPISIYRNHRLPGEKNGWQVESLPNMWLPVAKFDASNHRPLSHSLDSNSETVRWTSIKKDDSSCIRSHADEEQPLAHERSSSEDCETSGKLKNTLYNPAVEISLCKHLLYEGMGAEAASQAFDAEKLDSKKFGSLGPTAVKNDRLVVRIGGRYFPWDAAAPILLGMIAFGSEEIFELQGMIPVDRVEKSVEVDPSKAIVSHSGSWRLWPFSLKRTRSRKAVQPAPVDIRGLDAENAADGTVVSDDDKNLLKARQVKKMIRAITPTSEQLAALNLKDGMNHITFTFSTAMLGKQQVDARIYLWKWSTRIVISDVDGTITKSDVLGQFMPLVGIDWSQTGVAHLFSAIKENGYQLLFLSARAISQAYLTRQFLVNLKQVFPPMASSEQEDFNSWNYWKLPPPLIDI >EOY14502 pep chromosome:Theobroma_cacao_20110822:8:471259:477691:-1 gene:TCM_033865 transcript:EOY14502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphohydrolase 2 isoform 9 MYAVGRLGSYISRGVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKTREKVVSISVNGVEANFHMFLDHKGEAYFLREADVEEGESESVSLPFSSGDETDEPSSGNRRPMKSKSCNYDANKSNSVGEVDVSNGKIMARTSSQRSRIFGLVFGKMSTKEDSYQEGVGGAGVMKVGSLERAEIAADLLEVKWSTNLASSRSNNNASRFYSKNDTLDSKGAEEDVQINDEENQFHPSVPDKEENRIDRQTLLDETDFCNSQKVSCSDSGLENLDYSVEEASVQVSCVSTEQQVVETSSLHQGSMEEKCKVIANISGTIDGCRVGNSDHNENETGAVSGISGPYVQSQYKIEACSEKKFDEEPADNERNAVLPGGGISNEETVSDRVHSFLYCETSESSVVTLDGSGEQTHETLCLSDVENGKVHIHSETLVTTTELVPEVMVLKQAEDMELDSEGALTMSESNSQMVSVDPVIGSVEEMKPHSIHTTSTVSDLGDQAEDERNTKDFLRTSLESVDESQNFCGDSDPKRSVPPSESSEDEQFLFSDLDEFKIHEPDCVNKDLHHPICTESEEVNGLFNPNNESYLNSNKFEQENPSTDLENSVEKSRIVSSPISIYRNHRLPGEKNGWQVESLPNMWLPVAKFDASNHRPLSHSLDSNSETVRWTSIKKDDSSCIRSHADEEQPLAHERSSSEDCETSGKLKNTLYNPAVEISLCKHLLYEGMGAEAASQAFDAEKLDSKKFGSLGPTAVKNDRLVVRIGGRYFPWDAAAPILLGMIAFGSEEIFELQGMIPVDRVEKSVEVDPSKAIVSHSGSWRLWPFSLKRTRSRKAVQPAPVDIRGLDAENAADGTVVSDDDKNLLKARQVKKMIRAITPTSEQLAALNLKDGMNHITFTFSTAMLGKQQVDARIYLWKWSTRIVISDVDGTITKSDVLGQFMPLVGIDWSQTGVAHLFSAIKENGYQLLFLSARAISQAYLTRQFLVNLKQDGKALPDGPIVISPDGLFPSLYREGNCYHFRDLIKQFWGLLFICEICDYCSGLAFLMQHIPF >EOY14498 pep chromosome:Theobroma_cacao_20110822:8:470442:477691:-1 gene:TCM_033865 transcript:EOY14498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphohydrolase 2 isoform 9 MYAVGRLGSYISRGVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKTREKVVSISVNGVEANFHMFLDHKGEAYFLREADVEEGESESVSLPFSSGDETDEPSSGNRRPMKSKSCNYDANKSNSVGEVDVSNGKIMARTSSQRSRIFGLVFGKMSTKEDSYQEGVGGAGVMKVGSLERAEIAADLLEVKWSTNLASSRSNNNASRFYSKNDTLDSKGAEEDVQINDEENQFHPSVPDKEENRIDRQTLLDETDFCNSQKVSCSDSGLENLDYSVEEASVQVSCVSTEQQVVETSSLHQGSMEEKCKVIANISGTIDGCRVGNSDHNENETGAVSGISGPYVQSQYKIEACSEKKFDEEPADNERNAVLPGGGISNEETVSDRVHSFLYCETSESSVVTLDGSGEQTHETLCLSDVENGKVHIHSETLVTTTELVPEVMVLKQAEDMELDSEGALTMSESNSQMVSVDPVIGSVEEMKPHSIHTTSTVSDLGDQAEDERNTKDFLRTSLESVDESQNFCGDSDPKRSVPPSESSEDEQFLFSDLDEFKIHEPDCVNKDLHHPICTESEEVNGLFNPNNESYLNSNKFEQENPSTDLENSVEKSRIVSSPISIYRNHRLPGEKNGWQVESLPNMWLPVAKFDASNHRPLSHSLDSNSETVRWTSIKKDDSSCIRSHADEEQPLAHERSSSEDCETSGKLKNTLYNPAVEISLCKHLLYEGMGAEAASQAFDAEKLDSKKFGSLGPTAVKNDRLVVRIGGRYFPWDAAAPILLGMIAFGSEEIFELQGMIPVDRVEKSVEVDPSKAIVSHSGSWRLWPFSLKRTRSRKAVQPAPVDIRGLDAENAADGTVVSDDDKNLLKARQVKKMIRAITPTSEQLAALNLKDGMNHITFTFSTAMLGKQQVDARIYLWKWSTRIVISDVDGTITKSDVLGQFMPLVGIDWSQTGVAHLFSAIKENGYQLLFLSARAISQAYLTRQFLVNLKQDGKALPDGPIVISPDGLFPSLYREGN >EOY14874 pep chromosome:Theobroma_cacao_20110822:8:1538200:1540872:-1 gene:TCM_034122 transcript:EOY14874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein isoform 1 MENLMIPCTSKPPVIIPTKHENLSEFSQTPTKLAFSNTKKTNNPKISDSHLNYLSRNGRLTEAITALDSIAQSGSQVRANTFINLLQACIDFGSLELGRKLHARVHLVKESDPFVETKLVSMYAKCGSFVDARKVFDKMKERNLYAWSAMIGACSRELRWKEVVELFFLMMEDGVLPDEILFPKFLQACANCGDVRTGRLLHSLVIRLGMVCFARVSNSVLAVYAKCGKLSSARRFFENMNERDIVTWNSMILAYCQKGENDEAYGLFYGMWKDGIQPCLVTWNILINSYNQLGQCDVAMGLMKEMEISRIIPDVFTWTSMISGLAQNGRRWQALCLFKEMLLAGIKPNGVTITSAVSACASLRVLNMGREIHSIALKKGIIDNVLVGNSLIDMYAKCGELEAARQVFDKIEERDVYTWNSMVAGYCQAGYCGKAYELFMKMRESDLKPNVITWNTMISGYIQNGDEDRAMDLFQRMEQDGKIRRNTASWNAFIAGYVQLGEIDKAFGVFRQMQSCSVSSNSVTILSILPGCANLVAAKKVKEIHGCVLRRNLEFVLSISNSLIDTYAKSGNILYSRIIFDGMSTRDIISWNSIIGGYVLHGCSDAALDLFNQMRKLGLKPNRGTFLSIILAHGIAGMVDEGKQIFSSISDNYEIIPAVEHYAAMIDVYGRSGRLGEAVEFIEDMPIEPDSSVWTSLLTASRIHRDIALAVLAGERLLDLEPANILINRVMFQIYVLSGKLDDPLKVRKLEKENILRRSLGHSWIEVRNTVHKFVTGDQSKPCADLLYSWVKSIAREVNIHDHHGRFFLEEEEKEETGGVHSEKLTLAFALIGLPYSPRSIRIVKNTRMCSNCHLTAKYISLKFGCEIYLSDRKCFHHFKNGQCSCGDYW >EOY14875 pep chromosome:Theobroma_cacao_20110822:8:1537098:1541448:-1 gene:TCM_034122 transcript:EOY14875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein isoform 1 MENLMIPCTSKPPVIIPTKHENLSEFSQTPTKLAFSNTKKTNNPKISDSHLNYLSRNGRLTEAITALDSIAQSGSQVRANTFINLLQACIDFGSLELGRKLHARVHLVKESDPFVETKLVSMYAKCGSFVDARKVFDKMKERNLYAWSAMIGACSRELRWKEVVELFFLMMEDGVLPDEILFPKFLQACANCGDVRTGRLLHSLVIRLGMVCFARVSNSVLAVYAKCGKLSSARRFFENMNERDIVTWNSMILAYCQKGENDEAYGLFYGMWKDGIQPCLVTWNILINSYNQLGQCDVAMGLMKEMEISRIIPDVFTWTSMISGLAQNGRRWQALCLFKEMLLAGIKPNGVTITSAVSACASLRVLNMGREIHSIALKKGIIDNVLVGNSLIDMYAKCGELEAARQVFDKIEERDVYTWNSMVAGYCQAGYCGKAYELFMKMRESDLKPNVITWNTMISGYIQNGDEDRAMDLFQRMEQDGKIRRNTASWNAFIAGYVQLGEIDKAFGVFRQMQSCSVSSNSVTILSILPGCANLVAAKKVKEIHGCVLRRNLEFVLSISNSLIDTYAKSGNILYSRIIFDGMSTRDIISWNSIIGGYVLHGCSDAALDLFNQMRKLGLKPNRGTFLSIILAHGIAGMVDEGKQIFSSISDNYEIIPAVEHYAAMIDVYGRSGRLGEAVEFIEDMPIEPDSSVWTSLLTASRIHRDIALAVLAGERLLDLEPANILINRVMFQIYVLSGKLDDPLKVRKLEKENILRRSLGHSWIEVRNTVHKFVTGDQSKPCADLLYSWVKSIAREVNIHDHHGRFFLEEEEKEETGGVHSEKLTLAFALIGLPYSPRSIRIVKNTRMCSNCHLTAKYISLKFGCEIYLSDRKCFHHFKNGQCSCGDYW >EOY16977 pep chromosome:Theobroma_cacao_20110822:8:15632702:15640424:-1 gene:TCM_036062 transcript:EOY16977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEYLEFMKTLRSQINDVEDQGSKISAQEQMHFTTIQTLQDDIVSVKSQGKQLREDTEKMVKAKGQICSEIIGKQRKIASLESDSFTLTQTLELIQQEKLSLSSKLMEKSTYYSKVAKDLSTKLQQQQDWVKSLKISRQIEEHGLVNNELDGQMTESEGKCGIENHPIMDYVNNEADNDLIVKLDLAKAKLDGIVQMKAKLVTDNGKIKESIEEAKCRANNFKPEVLEMSTDALEEEYKVLLSEKDGETEYLCSLQNQVERMKGISNVIKCACGEEYTVKLHA >EOY16584 pep chromosome:Theobroma_cacao_20110822:8:8658805:8669090:-1 gene:TCM_035392 transcript:EOY16584 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEUSS transcriptional co-regulator isoform 1 MVPSGPSTPIGGAQSVPPSILRSNSGTLGAQGGGLPSQTGFTSLVSPRAQFNNMNMLGNVPNVSSLLNQSFGNGGPNPQLSGPGSSQRGGMDSGAESDPLSNVGNGMGFNAPSSSFVPSNMANHGSSGQVQGQQFSNLSGNHMLPDQQQSQQLESQHFQHGQQAMQQFPTPHNTQQGQQQQQFQSIRGGLPGVGAVKLEPQVTNDQHGQQQPQQPQQLQSLRNIAPVKLEPQQIPTMRTLAQVKMEPQHSDQSLFLHQQQQQQQQQQQQQQQQQQQQFLHMSRQPSQAAAAQINLLHQQRLLQLQQQHQQQQLLKAMPQQRSQLPQQFQPQNLSLRSPVKPVYELGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTMEELLYVDMPREYHNSSGQIVLDYAKAIQESVFDQLRVVRDGQLRIVFSPDLKRYVLGNFVLGVMKNLSLEDC >EOY16583 pep chromosome:Theobroma_cacao_20110822:8:8658802:8669052:-1 gene:TCM_035392 transcript:EOY16583 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEUSS transcriptional co-regulator isoform 1 MVPSGPSTPIGGAQSVPPSILRSNSGTLGAQGGGLPSQTGFTSLVSPRAQFNNMNMLGNVPNVSSLLNQSFGNGGPNPQLSGPGSSQRGGMDSGAESDPLSNVGNGMGFNAPSSSFVPSNMANHGSSGQVQGQQFSNLSGNHMLPDQQQSQQLESQHFQHGQQAMQQFPTPHNTQQGQQQQQFQSIRGGLPGVGAVKLEPQVTNDQHGQQQPQQPQQLQSLRNIAPVKLEPQQIPTMRTLAQVKMEPQHSDQSLFLHQQQQQQQQQQQQQQQQQQQQFLHMSRQPSQAAAAQINLLHQQRLLQLQQQHQQQQLLKAMPQQRSQLPQQFQPQNLSLRSPVKPVYELGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTMEELLYVDMPREYHNSSGQIVLDYAKAIQESVFDQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASSNLSAPELQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETRTGPMESLAKFPRRTSTSSGFNAQAQQSEEQLQQQQQQHQHQHQQTPQQQTIAQSSNSDQSSAQASGMHLAANNGVANVNSSLNAASASTSAGTIVGLLHQNSMNSRQQNSMNNASSPYGGNSVQISSPGSSSTIPQAQANPSPFQSPTPSSSNNPPQAPHGALAATSHVSSANSPVNMPMQQPALSGEADPSDSQSSVQKIIHEMLSGQLNGTGGMVGVGALGNDVKSVNGMMPTSNNTVRNGGNGLVGNGSVNNNSGIGGGGFGTMGGGLGQSAMVNGIRTAVGNNPVMNGRVGMTTMARDQGMNHQQDLGNQFLSGLGAVNGFNNLQFDWKPSP >EOY16585 pep chromosome:Theobroma_cacao_20110822:8:8658805:8669090:-1 gene:TCM_035392 transcript:EOY16585 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEUSS transcriptional co-regulator isoform 1 MVPSGPSTPIGGAQSVPPSILRSNSGTLGAQGGGLPSQTGFTSLVSPRAQFNNMNMLGNVPNVSSLLNQSFGNGGPNPQLSGPGSSQRGGMDSGAESDPLSNVGNGMGFNAPSSSFVPSNMANHGSSGQVQGQQFSNLSGNHMLPDQQQSQQLESQHFQHGQQAMQQFPTPHNTQQGQQQQQFQSIRGGLPGVGAVKLEPQVTNDQHGQQQPQQPQQLQSLRNIAPVKLEPQQIPTMRTLAQVKMEPQHSDQSLFLHQQQQQQQQQQQQQQQQQQQQFLHMSRQPSQAAAAQINLLHQQRLLQLQQQHQQQQLLKAMPQQRSQLPQQFQPQNLSLRSPVKPVYELGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTMEELLYVDMPREYHNSSGQIVLDYAKAIQESVFDQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVVSQLGAAAQKYQAATQNASSNLSAPELQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETRTGPMESLAKFPRRTSTSSGFNAQAQQSEEQLQQQQQQHQHQHQQTPQQQTIAQSSNSDQSSAQASGMHLAANNGVANVNSSLNAASASTSAGTIVGLLHQNSMNSRQQNSMNNASSPYGGNSVQISSPGSSSTIPQAQANPSPFQSPTPSSSNNPPQAPHGALAATSHVSSANSPVNMPMQQPALSGEADPSDSQSSVQKIIHEMLSGQLNGTGGMVGVGALGNDVKSVNGMMPTSNNTVRNGGNGLVGNGSVNNNSGIGGGGFGTMGGGLGQSAMVNGIRTAVGNNPVMNGRVGMTTMARDQGMNHQQDLGNQFLSGLGAVNGFNNLQFDWKPSP >EOY16706 pep chromosome:Theobroma_cacao_20110822:8:10161275:10168708:-1 gene:TCM_035564 transcript:EOY16706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MICMVFIQRNLLAPCDKSRSAVKHINNMNPTAAVPFSGSGHYINHPFFELKLRKQNQDFNTKSSIPLPFGSIIEKSVDITIFEALLRH >EOY16796 pep chromosome:Theobroma_cacao_20110822:8:11268667:11270505:-1 gene:TCM_035677 transcript:EOY16796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPQNHFIAFLTIFLSVYLLSPSPSMVAASPALAKKVCNGDSILNRDFCLKTLSNPQAAAAKNLNQLTDVVMKLAASNAQTTLNVITGMTKKPSSPGCLKALKTCEDVYRYAIRSFGMISAELSDDAMSANYDVSVIGPEADRCIKALAAAQVQAPQVSDGNKFLQYYSSMGSQITSFVN >EOY14779 pep chromosome:Theobroma_cacao_20110822:8:1247670:1247958:1 gene:TCM_034052 transcript:EOY14779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIGIGNRTCGICHNPSVNLKGRHFIGKCSCDIIVQKGISIIGRKSQVYQLWSGKSTLRSNKHSANFFGLSKRTSFLVVNCLI >EOY14780 pep chromosome:Theobroma_cacao_20110822:8:1245414:1247962:1 gene:TCM_034052 transcript:EOY14780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIGIGNRTCGICHNPSVNLKGRHFIGKCSCDIIVQKGISIIGRKSQVYQLWSGKSTLRSNKHSANFFGLSKRTSFLVVNCLI >EOY15615 pep chromosome:Theobroma_cacao_20110822:8:3951266:3954953:1 gene:TCM_034619 transcript:EOY15615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol28 isoform 1 MKALGWWLMLVGSLRLVSVWFGFFDIWALRLAVFSNTIMTEVHGRTFGVWTLLTCTLCFLCAFNLENKPLYLVTFLSFIYAFGHFLTEYLFYHTMALSNLTTVGIFAGTSIIWMLLQWNAHQQRHVKHS >EOY15614 pep chromosome:Theobroma_cacao_20110822:8:3950366:3954830:1 gene:TCM_034619 transcript:EOY15614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol28 isoform 1 MKALGWWLMLVGSLRLVSVWFGFFDIWALRLAVFSNTIMTEVHGRTFGVWTLLTCTLCFLCAFNLENKPLYLVTFLSFIYAFGHFLTEYLFYHTMALSNLTTVGIFAGTSIIWMLLQWNAHQQRHVKHS >EOY14586 pep chromosome:Theobroma_cacao_20110822:8:651643:659488:-1 gene:TCM_033912 transcript:EOY14586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomere maintenance component 1, putative isoform 1 MEDVKIITVSDLLRHGRSHTGSSSPSPPIISHRSPQPPPGPSTPNPNTNRNALTLLGDAAILVGTLTLPTLTLKCPQQNCLEFSDDSSAICCDIVGLDLRIIGKKIHVLTWNFIPSKHLTGGSLEIVKWEFPDWSHGLSQCSGLIIDSFPLGSGSSESKPRNSKSYQINGRLESVSPVFVVPCSFNDSNSCNSMNLRGFLVRIMACECKLCESKESVGVLYQGPDCHSFTEPVVVYFCESAWCWHPAMTKLIGNVVTISGLKKKLIFMGKEESDLMFVVAENSVLHLPRLLKKCVPFPRNVVKGNGECGSYTGIVNNVYMQGMVVELDKEVWLLLTDQLLKPPHGLRVGAVISVRNVHFVNPKFSWAKLLVLGACFRTSIKVESFSPLETGCLIVSQSESQLGKFIESLAFSTRLWVLLLVSCFQKKFSGILSGKKILGSKHKKGLAQMFASSHLPSSVFRARHGVLMEFNKHESCGCASEPYHGNLKLVVTISSFIHHCETLWIKTLSQLDIVHPRSCGGKSYPPSKRKTFQSEDLGIVLVGRLKVSPSSGRLQLVDTTGSIDAIIPDLPSNWNPDSIFEVIDYSLTVEGIPESDHLGLFSNELFSCRSIFQCFSSTRTRNLKMFVYFHLCNATSRNLPFYPSLDCQDEVNETGNGTFHLIHITHKFPLLQKFRGDSMITKRSSVFAEAIVLPWYLFLAGKDGTVLPNKVSRDCTGGNCLDHAPRKRHKTDCASSCVSPGFKDNFGIASSEKSTCSSRETCGDQSCPRMSFSHEIPCLATIQGVNNFIFTSSGFLYRTKANAKISVVCKESADKILLEFTSESDLKYQLLQIGGFYLMKHHMEDPFCNIKKNDNFSGFKVLMSSGTYLRRVSFSAEVLTTDRSLHDPSLGDSSLCDDEVLPTDQLLKVASDSSVSDVHLHVSSSLIGLFEINTKELGKGLNVPGRGTNIEENSCLSSGIEAIMTASGLSSDPPGSNCLFPEGNLTSMRGDVIAFHSFDEGCTEFRSSCEDFHDLPHYMFYDGTNGCCIHVSMAHQTVRIFGSVGHHLFPTGFGPGINATFHRILEFRGQNTLMLTPVSVIVINSIRTINEAYRKKCFNLWSSSFMHKAPSTKLVASSGLISELIQCSSGNLNQFRCRVVAVHILVLEKRKRKCNDLKSNMHSRPLSVDIPLACFVLDDGSSSCCCWANAERAATLLRLHELPPSAFEASGCIGKWVGMQNISRTSTMKGAYWLNRG >EOY14585 pep chromosome:Theobroma_cacao_20110822:8:648977:659623:-1 gene:TCM_033912 transcript:EOY14585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomere maintenance component 1, putative isoform 1 MEDVKIITVSDLLRHGRSHTGSSSPSPPIISHRSPQPPPGPSTPNPNTNRNALTLLGDAAILVGTLTLPTLTLKCPQQNCLEFSDDSSAICCDIVGLDLRIIGKKIHVLTWNFIPSKHLTGGSLEIVKWEFPDWSHGLSQCSGLIIDSFPLGSGSSESKPRNSKSYQINGRLESVSPVFVVPCSFNDSNSCNSMNLRGFLVRIMACECKLCESKESVGVLYQGPDCHSFTEPVVVYFCESAWCWHPAMTKLIGNVVTISGLKKKLIFMGKEESDLMFVVAENSVLHLPRLLKKCVPFPRNVVKGNGECGSYTGIVNNVYMQGMVVELDKEVWLLLTDQLLKPPHGLRVGAVISVRNVHFVNPKFSWAKLLVLGACFRTSIKVESFSPLETGCLIVSQSESQLGKFIESLAFSTRLWVLLLVSCFQKKFSGILSGKKILGSKHKKGLAQMFASSHLPSSVFRARHGVLMEFNKHESCGCASEPYHGNLKLVVTISSFIHHCETLWIKTLSQLDIVHPRSCGGKSYPPSKRKTFQSEDLGIVLVGRLKVSPSSGRLQLVDTTGSIDAIIPDLPSNWNPDSIFEVIDYSLTVEGIPESDHLGLFSNELFSCRSIFQCFSSTRTRNLKMFVYFHLCNATSRNLPFYPSLDCQDEVNETGNGTFHLIHITHKFPLLQKFRGDSMITKRSSVFAEAIVLPWYLFLAGKDGTVLPNKVSRDCTGGNCLDHAPRKRHKTDCASSCVSPGFKDNFGIASSEKSTCSSRETCGDQSCPRMSFSHEIPCLATIQGVNNFIFTSSGFLYRTKANAKISVVCKESADKILLEFTSESDLKYQLLQIGGFYLMKHHMEDPFCNIKKNDNFSGFKVLMSSGTYLRRVSFSAEVLTTDRSLHDPSLGDSSLCDDEVLPTDQLLKVASDSSVSDVHLHVSSSLIGLFEINTKELGKGLNVPGRGTNIEENSCLSSGIEAIMTASGLSSDPPGSNCLFPEGNLTSMRGDVIAFHSFDEGCTEFRSSCEDFHDLPHYMFYDGTNGCCIHVSMAHQTVRIFGSVGHHLFPTGFGPGINATFHRILEFRGQNTLMLTPVSVIVINSIRTINEAYRKKCFNLWSSSFMHKAPSTKLVASSGLISELIQCSSGNLNQFRCRVVAVHILVLEKRKRKCNDLKSNMHSRPLSVDIPLACFVLDDGSSSCCCWANAERAATLLRLHELPPSAFEASGCIGKWVGMQNISRTSTISGRVLTGSTEDLYFLISVIFNACINTFWTVVAGVMDSNAVSLLTEHLVEMEMPMPPMQNLWATEVCYVNQLAEARDMIQKLVKRKHRGKHRRYQPAGHDEKDSWQADWLDSK >EOY14806 pep chromosome:Theobroma_cacao_20110822:8:1311971:1314764:-1 gene:TCM_034074 transcript:EOY14806 gene_biotype:protein_coding transcript_biotype:protein_coding description:20S proteasome alpha subunit F2 MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLGCVNKANSELSSHQKKIFKVDDHIGVAIAGLTADGRVLSRYMRNECINYSFTYESPLPVGRLVVQLADKAQNSVLYENELTFKAVVLETLIDQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFENFKDSSRDDLVKDALMAIRETLQGETFKSSICTVAVVGVGEPFHVLDQNTVQQMIDAFDIVGEQEDPAAEPDTDAGQDAAVEPGAAADQGGSTDEGVAPMDI >EOY16341 pep chromosome:Theobroma_cacao_20110822:8:6774097:6781150:1 gene:TCM_035151 transcript:EOY16341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 3H1 isoform 2 MAREVEKKAVFDTDSAKEVVKELRASFVAGKTKSYEWRVAQLKALLKMTEENEPQIAAALRDDLSKPELESYIYEIAMLKNSCRLALKEMKRWIMPEKAKTSLTTFPSSAEIVSEPLGVVLVISAWNYPFLLSLDPVVGAIAAGNAVVLKPSEIAPATASLLAKLVANYLDSSCIKVVEGAVSETSALLEKKWDKIFYTGNGRVARIVMAAAAKHLTPVVLELGGKSPVIVDSGINLQVATRRIIAGKWGCNNGQACISPDYIITTKDYAPKLLDSFKRELEQFYGKNPLESKDLSRIVNSNHFARLSKLLDEDKVSGKIVHGGERDKNNLKIAPTILLDVPLDSLIMNEEIFGPLLPIIMVDKVEDSFDVINSSGTKPLAAYLFTNKEKLKEKFVATVSAGGLVVNDTTVHLAEHTLPFGGVGDSGMGAYHGKFSFDAFSHKKAVLYRGFACDAFVRYPPYTRRKLRLLQALLGGSLLSIIRALLGWS >EOY16342 pep chromosome:Theobroma_cacao_20110822:8:6774072:6781153:1 gene:TCM_035151 transcript:EOY16342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 3H1 isoform 2 MAREVEKKAVFDTDSAKEVVKELRASFVAGKTKSYEWRVAQLKALLKMTEENEPQIAAALRDDLSKPELESYIYEIAMLKNSCRLALKEMKRWIMPEKAKTSLTTFPSSAEIVSEPLGVVLVISAWNYPFLLSLDPVVGAIAAGNAVVLKPSEIAPATASLLAKLVANYLDSSCIKVVEGAVSETSALLEKKWDKIFYTGNGRVARIVMAAAAKHLTPVVLELGGKSPVIVDSGINLQVSIVLILLHVCFLCDLHADILICVFQVATRRIIAGKWGCNNGQACISPDYIITTKDYAPKLLDSFKRELEQFYGKNPLESKDLSRIVNSNHFARLSKLLDEDKVSGKIVHGGERDKNNLKIAPTILLDVPLDSLIMNEEIFGPLLPIIMVDKVEDSFDVINSSGTKPLAAYLFTNKEKLKEKFVATVSAGGLVVNDTTVHLAEHTLPFGGVGDSGMGAYHGKFSFDAFSHKKAVLYRGFACDAFVRYPPYTRRKLRLLQALLGGSLLSIIRALLGWS >EOY16194 pep chromosome:Theobroma_cacao_20110822:8:6143130:6149042:1 gene:TCM_035036 transcript:EOY16194 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein isoform 3 MEQLQASLQARGLSVSNLPDKGRSLVTTKDFYPGEVIISQEPYVFVPNNSLTESSCDGCFSKSNLKKCSACQVVWYCGSTCQKLEWKLHRLECQALAKLDKERRKSVTPTIRMMVKLYLRRKLQSERVIPVTAIDNYNLVEQLVSHMLDIDEKQLVLYAQMANLVNLILGCPDIDIKEIAENFSKIACNAHTICDSELRPLGTGLYPVISIINHSCLPNSVLVFEGRLAVVRAVQHIPKDAEILISYIETATSTITRQKTLKEQYLFTCTCPCCIKVGQHDDIQESAILEGYRCRDNRCSGFLLRESDDKGFVCQQCGLTRNKEEIRKKSRDIKALLDKAPKSTSSGNPQDAMILYKNIEKLQKEVCHPFSISLMRTWEKLHEILVQLEEWKEALTFCRLTIPVYERVYPGFHPLLGLQYYSCGKLEWLLGETDDAIKSLTKAVDILRITHGTNTPFMKELLMKLEEARAEASYTLSCKE >EOY16195 pep chromosome:Theobroma_cacao_20110822:8:6143130:6149042:1 gene:TCM_035036 transcript:EOY16195 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein isoform 3 MEQLQASLQARGLSVSNLPDKGRSLVTTKDFYPGEVIISQEPYVFVPNNSLTESSCDGCFSKSNLKKCSACQVVWYCGSTCQKLEWKLHRLECQALAKLDKERRKSVTPTIRMMVKLYLRRKLQSERVIPVTAIDNYNLVEQLVSHMLDIDEKQLVLYAQMANLVNLILGCPDIDIKEIAENFSKIACNAHTICDSELRPLGTGLYPVISIINHSCLPNSVLVFEGRLAVVRAVQHIPKDAEILISYIETATSTITRQKTLKEQYLFTCTCPCCIKVGQHDDIQESAILEGYRCRDNRCSGFLLRESDDKGFVCQQCGLTRNKEEIRKKSRDIKALLDKAPKSTSSGNPQDAMILYKNIEKLQKEVCHPFSISLMRTWEKLHEILVQLEEWKEALTFCRLTIPVYERVYPGFHPLLGLQYYSCGKLEWLLLGETDDAIKSLTKAVDILRITHGTNTPFMKELLMKLEEARAEASYTLSCKE >EOY16193 pep chromosome:Theobroma_cacao_20110822:8:6143131:6149012:1 gene:TCM_035036 transcript:EOY16193 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein isoform 3 MEQLQASLQARGLSVSNLPDKGRSLVTTKDFYPGEVIISQEPYVFVPNNSLTESSCDGCFSKSNLKKCSACQVVWYCGSTCQKLEWKLHRLECQALAKLDKERRKSVTPTIRMMVKLYLRRKLQSERVIPVTAIDNYNLVEQLVSHMLDIDEKQLVLYAQMANLVNLILGCPDIDIKEIAENFSKIACNAHTICDSELRPLGTGLYPVISIINHSCLPNSVLVFEGRLAVVRAVQHIPKDAEILISYIETATSTITRQKTLKEQYLFTCTCPCCIKVGQHDDIQESAILEGYRCRDNRCSGFLLRESDDKGFVCQQCGLTRNKEEIRKKSRDIKALLDKAPKSTSSDPQDAMILYKNIEKLQKEVCHPFSISLMRTWEKLHEILVQLEEWKEALTFCRLTIPVYERVYPGFHPLLGLQYYSCGKLEWLLGETDDAIKSLTKAVDILRITHGTNTPFMKELLMKLEEARAEASYTLSCKE >EOY17418 pep chromosome:Theobroma_cacao_20110822:8:20218589:20223944:1 gene:TCM_036587 transcript:EOY17418 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441, putative isoform 2 MTVTAAAGDSCLGDDQVWPPGFRFHPTDEELVLYYLKRKICRRKLKLDIIRETDVYKWDPEELPAQSILKSGDRQWFFFSPRDRKYPNGARSNRATRQGYWKATGKDRTITCNSRVVGVKKTLVFYGGRAPNGVRSDWVMHEYTLDEEELKRCQNMKDYYALYKVYKKSGPGPKNGEQYGAPFKEEDWVDEEYVSNPITVTPVKLPNEAIPDDNVNANVQVQSALNEIEEFMRQLADEPALPQPQAQPGHALPQVVSEEETQSTLLDPSPRGVIFHEPIGVVLEQASFEFSQSPTSQLHEAPEVTSVADHFEQVPQICEEGFLEIDDLIGPETLTSNVGKPAENVQFNELDGLSEFDLFHDAAMFLQDMGPIDQGAVPFSYTDNMINQPQLNAFGANQQLQPQLNAFGDNMLNQVDYQLQFQSVGDELDQQIQLDQIHEPLWTHDQSSDVFAPSGSNLGNAAPTSGLIYNGNNQDQGDKNGGGASMFSSALWSFVESIPTTPASASETPLVNRALERMSSFSRLRLNARNTAVSAVDGAATARRIGGNRGIFFISILGALCAILWFFTGTVRILGRSISS >EOY17423 pep chromosome:Theobroma_cacao_20110822:8:20218589:20222681:1 gene:TCM_036587 transcript:EOY17423 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441, putative isoform 2 MTVTAAAGDSCLGDDQVWPPGFRFHPTDEELVLYYLKRKICRRKLKLDIIRETDVYKWDPEELPAQSILKSGDRQWFFFSPRDRKYPNGARSNRATRQGYWKATGKDRTITCNSRVVGVKKTLVFYGGRAPNGVRSDWVMHEYTLDEEELKRCQNMKDYYALYKVYKKSGPGPKNGEQYGAPFKEEDWVDEEYVSNPITVTPVKLPNEAIPDDNVNANVQVQSALNEIEEFMRQLADEPALPQPQAQPGHALPQVVSEEETQSTLLDPSPRGVIFHEPIGVVLEQASFEFSQSPTSQLHEAPEVTSVADHFEQVPQICEEGFLEIDDLIGPETLTSNVGKPAENVQFNELDGLSEFDLFHDAAMFLQDMGPIDQGAVPFSYTDNMINQVSYQLEPQSNISLMEQQLQTQSNLNLMDEQLQLQSNINLMDPQLQPQLNAFGANQQLQPQLNAFGDNMLNQVDYQLQFQSVGDELDQQIQLDQIHEPLWTHDQSSDVFAPSGSNLGNAAPTSGRTSLHSLSYAFVLDKVAVLAHPCRALDQMDGLVDMPKST >EOY17422 pep chromosome:Theobroma_cacao_20110822:8:20220622:20223918:1 gene:TCM_036587 transcript:EOY17422 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441, putative isoform 2 MHEYTLDEEELKRCQNMKDYYALYKVYKKSGPGPKNGEQYGAPFKEEDWVDEEYVSNPITVTPVKLPNEAIPDDNVNANVQVQSALNEIEEFMRQLADEPALPQPQAQPGHALPQVVSEEETQSTLLDPSPRGVIFHEPIGVVLEQASFEFSQSPTSQLHEAPEVTSVADHFEQVPQICEEGFLEIDDLIGPETLTSNVGKPAENVQFNELDGLSEFDLFHDAAMFLQDMGPIDQGAVPFSYTDNMINQVSYQLEPQSNISLMEQQLQTQSNLNLMDEQLQLQSNINLMDPQLQPQLNAFGANQQLQPQLNAFGDNMLNQVDYQLQFQSVGDELDQQIQLDQIHEPLWTHDQSSDVFAPSGSNLGNAAPTSGLIYNGNNQDQGDKNGGGASMFSSALWSFVESIPTTPASASETPLVNRALERMSSFSRLRLNARNTAVSAVDGAATARRIGGNRGIFFISILGALCAILWFFTGTVRILGRSISS >EOY17420 pep chromosome:Theobroma_cacao_20110822:8:20219574:20223918:1 gene:TCM_036587 transcript:EOY17420 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441, putative isoform 2 KWDPEELPAQSILKSGDRQWFFFSPRDRKYPNGARSNRATRQGYWKATGKDRTITCNSRVVGVKKTLVFYGGRAPNGVRSDWVMHEYTLDEEELKRCQNMKDYYALYKVYKKSGPGPKNGEQYGAPFKEEDWVDEEYVSNPITVTPVKLPNEAIPDDNVNANVQVQSALNEIEEFMRQLADEPALPQPQAQPGHALPQVVSEEETQSTLLDPSPRGVIFHEPIGVVLEQASFEFSQSPTSQLHEAPEVTSVADHFEQVPQICEEGFLEIDDLIGPETLTSNVGKPAENVQFNELDGLSEFDLFHDAAMFLQDMGPIDQGAVPFSYTDNMINQPQLNAFGANQQLQPQLNAFGDNMLNQVDYQLQFQSVGDELDQQIQLDQIHEPLWTHDQSSDVFAPSGSNLGNAAPTSGLIYNGNNQDQGDKNGGGASMFSSALWSFVESIPTTPASASETPLVNRALERMSSFSRLRLNARNTAVSAVDGAATARRIGGNRGIFFISILGALCAILWFFTGTVRILGRSISS >EOY17421 pep chromosome:Theobroma_cacao_20110822:8:20219309:20223414:1 gene:TCM_036587 transcript:EOY17421 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441, putative isoform 2 MTVTAAAGDSCLGDDQVWPPGFRFHPTDEELVLYYLKRKICRRKLKLDIIRETDVYKWDPEELPAQSILKSGDRQWFFFSPRDRKYPNGARSNRATRQGYWKATGKDRTITCNSRVVGVKKTLVFYGGRAPNGVRSDWVMHEYTLDEEELKRCQNMKDYYALYKVYKKSGPGPKNGEQYGAPFKEEDWVDEEYVSNPITVTPVKLPNEAIPDDNVNANVQVQSALNEIEEFMRQLADEPALPQPQAQPGHALPQVVSEEETQSTLLDPSPRGVIFHEPIGVVLEQASFEFSQSPTSQLHEAPEVTSVADHFEQVPQICEEGFLEIDDLIGPETLTSNVGKPAENVQFNELDGLSEFDLFHDAAMFLQDMGPIDQGAVPFSYTDNMINQVSYQLEPQSNISLMEQQLQTQSNLNLMDEQLQLQSNINLMDPQLQPQLNAFGANQQLQPQLNAFGDNMLNQVDYQLQFQSVGDELDQQIQLDQIHEPLWTHDQSSDVFAPSGSNLGNAAPTSGLIYNGNNQDQGDKNGGGASMFSSALWSFVESIPTTPASASETPLVNRALERMSSFSRLRLNARNTAVSAVDGAATARRIGGNRGIFFISILGALCAILWFFTGTVRILGRSISS >EOY17419 pep chromosome:Theobroma_cacao_20110822:8:20219574:20223918:1 gene:TCM_036587 transcript:EOY17419 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441, putative isoform 2 KWDPEELPAQSILKSGDRQWFFFSPRDRKYPNGARSNRATRQGYWKATGKDRTITCNSRVVGVKKTLVFYGGRAPNGVRSDWVMHEYTLDEEELKRCQNMKDYYALYKVYKKSGPGPKNGEQYGAPFKEEDWVDEEYVSNPITVTPVKLPNEAIPDDNVNANVQVQSALNEIEEFMRQLADEPALPQPQAQPGHALPQVVSEEETQSTLLDPSPRGVIFHEPIGVVLEQASFEFSQSPTSQLHEAPEVTSVADHFEQVPQICEEGFLEIDDLIGPETLTSNVGKPAENVQFNELDGLSEFDLFHDAAMFLQDMGPIDQGAVPFSYTDNMINQLQPQLNAFGANQQLQPQLNAFGDNMLNQVDYQLQFQSVGDELDQQIQLDQIHEPLWTHDQSSDVFAPSGSNLGNAAPTSGLIYNGNNQDQGDKNGGGASMFSSALWSFVESIPTTPASASETPLVNRALERMSSFSRLRLNARNTAVSAVDGAATARRIGGNRGIFFISILGALCAILWFFTGTVRILGRSISS >EOY16440 pep chromosome:Theobroma_cacao_20110822:8:7094091:7100334:-1 gene:TCM_035209 transcript:EOY16440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-responsive family protein, putative isoform 2 MEDKSGGGGGAGSGGGGEPSRVVAPKVMKAGDRQVFTVELRPGETTYVSWKKLVKDANRGNGSSAAAAMVAVATSAPEPPPNAHPNLQSRIAPGQAAEKETKDEPPPNRFSAVIEKIERLYMGKDSSDEEELDETPDDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERVNEPLVILNQQPKKRRRKDAAKPAGESDDGRVSNKHVKAAKMTPGRAEPSLGRNNSNHSQNLTALNEQYGDVKAQNQLSVSDVKDTEKSKMGVLQSKNVVSNKLKDASGSYDVLHQKYHDKNAYLQSKSPHGKPIGNVDELELSVRLREKNGIRELQDTNVSDGKYAMHTAKSSHMQKRDGSTLRPKSSMLEKAIRELEKMVAESRPPAMENQDADNSSQGIKRRLPREIKFKLAKVARLAASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMISTGLSAKQEKDDRFQQVKKEVVEMIKTRVPSLEPKALEQQAGASDGFQEVGTEERALKRKFSMDTSLEDKIWIG >EOY16441 pep chromosome:Theobroma_cacao_20110822:8:7093238:7100611:-1 gene:TCM_035209 transcript:EOY16441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-responsive family protein, putative isoform 2 MEDKSGGGGGAGSGGGGEPSRVVAPKVMKAGDRQVFTVELRPGETTYVSWKKLVKDANRGNGSSAAAAMVAVATSAPEPPPNAHPNLQSRIAPGQAAEKETKDEPPPNRFSAVIEKIERLYMGKDSSDEEELDETPDDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERVNEPLVILNQQPKKRRRKDAAKPAGESDDGRVSNKHVKAAKMTPGRAEPSLGRNNSNHSQNLTALNEQYGDVKAQNQLSVSGISSKKKSSETRLALDPSSYLKVSNGNTSVPLADVKDTEKSKMGVLQSKNVVSNKLKDASGSYDVLHQKYHDKNAYLQSKSPHGKPIGNVDELELSVRLREKNGIRELQDTNVSDGKYAMHTAKSSHMQKRDGSTLRPKSSMLEKAIRELEKMVAESRPPAMENQDADNSSQGIKRRLPREIKFKLAKVARLAASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMISTGLSAKQEKDDRFQQVKKEVVEMIKTRVPSLEPKALEQQAGASDGFQEVGTEERALKRKFSMDTSLEDKICDLYDLYVDGLDEDSGPQIRKLYIELAQLWPNGLMDNHGIKRAICRAKERRRAMYNRHKDQEKIRRKKMLAPRLEESVRVESASSAQIQHSRERLAPDSGSHAIPSTNKSVSSAPAGAVRTPSPSTNGSSLDRLKQDKLKGISSNAMDEMKVADGSLPKKKVKRKPEMELDETHFRPEKLPLQQGDERHKSTKQPVNLPPKSSLPPTATGFEQSS >EOY16439 pep chromosome:Theobroma_cacao_20110822:8:7093240:7100611:-1 gene:TCM_035209 transcript:EOY16439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-responsive family protein, putative isoform 2 MEDKSGGGGGAGSGGGGEPSRVVAPKVMKAGDRQVFTVELRPGETTYVSWKKLVKDANRGNGSSAAAAMVAVATSAPEPPPNAHPNLQSRIAPGQAAEKETKDEPPPNRFSAVIEKIERLYMGKDSSDEEELDETPDDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERVNEPLVILNQQPKKRRRKDAAKPAGESDDGRVSNKHVKAAKMTPGRAEPSLGRNNSNHSQNLTALNEQYGDVKAQNQLSVSDVKDTEKSKMGVLQSKNVVSNKLKDASGSYDVLHQKYHDKNAYLQSKSPHGKPIGNVDELELSVRLREKNGIRELQDTNVSDGKYAMHTAKSSHMQKRDGSTLRPKSSMLEKAIRELEKMVAESRPPAMENQDADNSSQGIKRRLPREIKFKLAKVARLAASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMISTGLSAKQEKDDRFQQVKKEVVEMIKTRVPSLEPKALEQQAGASDGFQEVGTEERALKRKFSMDTSLEDKICDLYDLYVDGLDEDSGPQIRKLYIELAQLWPNGLMDNHGIKRAICRAKERRRAMYNRHKDQEKIRRKKMLAPRLEESVRVESASSAQIQHSRERLAPDSGSHAIPSTNKSVSSAPAGAVRTPSPSTNGSSLDRLKQDKLKGISSNAMDEMKVADGSLPKKKVKRKPEMELDETHFRPEKLPLQQGDERHKSTKQPVNLPPKSSLPPTATGFEQSS >EOY14839 pep chromosome:Theobroma_cacao_20110822:8:1418785:1421903:-1 gene:TCM_034098 transcript:EOY14839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MESENVHHQHQLQDQLVGSSSLPIPSCYGVASTHSWTPTPSFALSSSEFNPNHNGDILNSRQKNDILASPQNSSMIQDWTDNGGSFTTSQSCYDLHLAKIKEELSESLTRFTDMLSNTSSVGESHQLPPSPNYLKNEQKDLHDLSEKLLLKTISSGFPMFSAGEFYSATQNCSIPGGTALPSRRNFSQIYPSINISNLNQASSANIPSSFDMNLEALDLLSSARYCRSSSLSHPSHDHNLGIYKESPPFGLHHHMQQSNQRAAYSPSKLSPFTSELSEAKRPSTLPEPKATAAATKKSRLESRASCPPFKVRKEKLGDRIAALQQLVAPFGKVISGCFFLSSVKQLLLVTKGEWK >EOY14838 pep chromosome:Theobroma_cacao_20110822:8:1417120:1421805:-1 gene:TCM_034098 transcript:EOY14838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MESENVHHQHQLQDQLVGSSSLPIPSCYGVASTHSWTPTPSFALSSSEFNPNHNGDILNSRQKNDILASPQNSSMIQDWTDNGGSFTTSQSCYDLHLAKIKEELSESLTRFTDMLSNTSSVGESHQLPPSPNYLKNEQKDLHDLSEKLLLKTISSGFPMFSAGEFYSATQNCSIPGGTALPSRRNFSQIYPSINISNLNQASSANIPSSFDMNLEALDLLSSARYCRSSSLSHPSHDHNLGIYKESPPFGLHHHMQQSNQRAAYSPSKLSPFTSELSEAKRPSTLPEPKATAAATKKSRLESRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKSSRNNASRSNQGGSTMEDGNEEPKRDLRSRGLCLVPLSCMSYVTNDSGGGIWPPPPPNFSGGT >EOY17116 pep chromosome:Theobroma_cacao_20110822:8:17922308:17928160:-1 gene:TCM_036284 transcript:EOY17116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MADATLEGSSEVSSEPSRNVSSSVISTVGETHSLQITQHKLNGANFLEWSQSVMLVIRGKGKLSYLTGTKVTPKEGAAGHSTWESENSMVMAWLINSMEPKIDQTYLFYKTAKEIWDMAHEMYSDLENSAQCFEVRSALRSTKQGNLSVTEYFNTLTKLWQEMDMFYETNWHCHEDSLKYKQMLEKERVFDFLHGLSKELDEVRGRLLGTKPFQNIREAYAEVRREESRKRVMLGVTTEVISDNLSQRASDQMTGCHRSFSTYAPCSGNSKIRVADGALSSVAGKGSANLANMTLKSVLHVPNLKCNLVSISKLTNDLNCVAKFYPSFGEFQDLLSGRTISNAKMRDGLYYLEDNGQVNKQALALSGELTPVSIRVKTPQQNGIAERKNRHLLETARALMFTTHVPKHFWGEAVLTASYLINRLPSRVLRFKTLLSILLETYPQTRLYTFLSPKVFGCTSFVHNTSPTREKLYPKAIKCIFVGYSPSQKRYKRYCPTTKKMFVSLGVSFLEDQPFYPNPTLQEEILGEEKLWDCLIPLPVVADIPETPLGNRNPPIMSSTDFDLETGEDPNGLSQQQSELRVYSRRNKKPQEVQSFTHQPCCQESNPQVTAETETEIIGAGDTSNLPQVETQPNEWDIPIALRKGVRSCTQHPISNYLSYSQLSGAFRALTEKIEGDEIPKNVQEAFEKPEWRAAVLEEMRALKKNETWDVVELPEGKSSVGCKWVFTIKYKSDGEIERHKARLVAKGFTQVFGVDYTETFAPVAKLNTIRVLLSLAANLDWALHQMDVKNAFLNEELDEEVYMDLPPGFEGAIGNRKVCRLKKSLYGLKQSPRAWFDRFAKTIKRYGYQQGQTDHTLFFKHSQDGKKTILIVYVDDIILTGDDTEEMERLKKTLRTEFEIKDLGQLRYFLGMEVARSKKGIIISQRKYTLNLLKETGMLGCKPAETPIVMNMKLGRTRSGILVDKGRYQRLVGRLIYLSHTRPDIAFASTPGKGLFFKKNELRSVEAFTDADWAGSVEDRRSTSGYCTKVWGNLVTWRCKKQPVVARSSAEAEFRALAQGTCELIWLKRLMEELKVSSMGPMKLYCDNKAATSIAHNPVHHDRTKHVEIDRHFIKEKIEDGVICMTYVPTKQQIADVLTKGLPRPSSEVLVDKLGMTNIYSPA >EOY15610 pep chromosome:Theobroma_cacao_20110822:8:3934236:3942009:1 gene:TCM_034615 transcript:EOY15610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase family protein isoform 2 MRLKEEYSHNVDDYDDEDSETMSQISVGALQQQQLKNVVVVGYALTSKKIKSFLQPKLEGLARNKGILFVAIDQNRPLSDQGPFDIVLHKLTGKEWRQILEEYRRTHPEVTVLDPPDAIQHLHNRQSMLQCVADMNLSDSYGKVGVPRQLVIKRDASSIPDAVAKAGLTLPLVAKPLVADGSAKSHELSLAYDQYSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVTKRELSKNAGVFRFPRVSCAAASADDADLDPSVAELPPRPLLERLAKELRRGLGLRLFNLDIIREHGTRDHFYVIDINYFPGYGKMPGYEHIFTDFLLSLVQSGYKKRSC >EOY15609 pep chromosome:Theobroma_cacao_20110822:8:3934236:3941968:1 gene:TCM_034615 transcript:EOY15609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase family protein isoform 2 MRLKEEYSHNVDDYDDEDSETMSQISVGALQQQQLKNVVVVGYALTSKKIKSFLQPKLEGLARNKGILFVAIDQNRPLSDQGPFDIVLHKLTGKEWRQILELIFQEYRRTHPEVTVLDPPDAIQHLHNRQSMLQCVADMNLSDSYGKVGVPRQLVIKRDASSIPDAVAKAGLTLPLVAKPLVADGSAKSHELSLAYDQYSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVTKRELSKNAGVFRFPRVSCAAASADDADLDPSVAELPPRPLLERLAKELRRGLGLRLFNLDIIREHGTRDHFYVIDINYFPGYGKMPGYEHIFTDFLLSLVQSGYKKRSC >EOY15611 pep chromosome:Theobroma_cacao_20110822:8:3936997:3941406:1 gene:TCM_034615 transcript:EOY15611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase family protein isoform 2 MRLKEEYSHNVDDYDDEDSETMSQISVGALQQQQLKNVVVVGYALTSKKIKSFLQPKLEGLARNKGILFVAIDQNRPLSDQGPFDIVLHKLTGKEWRQILEEYRRTHPEVTVLDPPDAIQHLHNRQSMLQCVADMNLSDSYGKVGVPRQLVIKRDASSIPDAVAKAGLTLPLVAKPLVADGSAKSHELSLAYDQYSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVTKRELSKNAGVFRFPRVSCAAASADDADLDPSVAELPPRPLLERLAKELRRGLGLRLFNLDIIREHGTRDHFYVIDINYFPGKLNFYRISIMGAVLDLV >EOY15019 pep chromosome:Theobroma_cacao_20110822:8:1982649:1984593:1 gene:TCM_034219 transcript:EOY15019 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKAIIERMGELEKLE >EOY15963 pep chromosome:Theobroma_cacao_20110822:8:5182714:5183828:-1 gene:TCM_034873 transcript:EOY15963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCGTCILAGIQVAGCFKLLLVGDLCATHFRLIQWPSPPDLNVSTQIFQIDASQSVSFLDETKFCMCKLLGCGLIRLNVL >EOY15967 pep chromosome:Theobroma_cacao_20110822:8:5196804:5199897:-1 gene:TCM_034877 transcript:EOY15967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-responsive 1 family protein MASFVTQSVLKIFLLISLFQISLAGRKLSELVQDQPQLLTYHNGPLLSGKITINLIWYGKFKPSERAIVSDFVTSLSSTTPSQNNQPSVAKWWKTTEKYYHLTSKKSTLSLSLGKQILDENYSIGKSLKSKQIVELASKGDQKNAINVVLTASDVAVEGFCMSRCGTHGSALSSSKGHIKGDKYSKFAYVWVGNSQTQCPGQCAWPFHQPVYGPQNPPLIAPNNNVGLDGMVINLASLFAGTVTNPFGNGYFQGPADAPLEASSACPGIYGKGAYPGYAGNLLVDPTTGASYNAHGDNGRKYLLPALYDPATSSCSTLV >EOY16175 pep chromosome:Theobroma_cacao_20110822:8:6023516:6032172:1 gene:TCM_035019 transcript:EOY16175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate reductase 2 MAASVDNRQFRRLEPALNGVFKPLKPGPTPTHRPVKHVFVDQDYDSSSEDEENEANHYKDMIIKSNRELEQSILDPRDEATADNWIERNPSMVRLTGKHPFNSEAPLNRLMHHGFITPVPLHYVRNHGPVPKASWDDWTVEVTGLVKRPMKLTMDQLVNEFQSREFPVTLVCAGNRRKEQNMIKQTIGFNWGAAGVSTSVWRGVPLCDVLKRCGIFSKRHGALNVCFEGAEDLPGGGGSKYGTSIKKQFAMDPSRDIILAYMQNGECLAPDHGFPVRMIIPGFIGGRMVKWLKRIIVTTPESENYYHYKDNRVLPSHVDAELANAEAWWYKPEYIINELNINSVITTPCQEEILPINSWTTQRPYNMRGYAYSGGGKKVTRVEVTMDGGETWQVCTLDHPEKPNKYGKFWCWCFWSLEVEVLDLLGAKEIAVRAWDETLNTQPEKLIWNVMGMMNNCWFRVKTNICKPHKGEIGIVFEHPTLPGNQSGGWMAKERHLEKSADANPSLKKSVSSPFMNTASKTFSMSEVNKHNSADSAWIVVHGHVYDCTRFLKDHPGGTDSILINAGTDCTEEFDAIHSDKAKKMLEDYRIGEMITTGYASDSSTSSPNNSVHGASNLSFLAPIKEVAPTRPVALVPREKIPCKLVEKTSISHDVRLFRFALPSEDQVLGLPVGKHIFLCATIDDKLCMRAYTPTSTIDEVGHFDLVVKVYFKGVHPKFPNGGLMSQHLDSLPLGSFLDVKGPLGHIEYTCRGNFMVHGKPKFAKKLAMLAGGTGITPIYQVIQAILKDPEDETEMYVVYANRTEDDILLKEELDGWAKKHDRLKVWYVVQESIREGWQYSLGFITESILREHIPEGSNDTLALACGPPPMIQFAVQPNLEKMNYDVKDSLLVF >EOY17384 pep chromosome:Theobroma_cacao_20110822:8:19847088:19853040:1 gene:TCM_036535 transcript:EOY17384 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 2 MRLEMEYNSDRAWYDREEGNTMLDADSSSFFLGDEASFQKKEVDLAKRLVRRDGTRMSLAQSKKLSQLTADNAQWEDRQLLRSGAVRGTKVQTEFVYEDERKVILLVHDTKPPFLDGRIVFTKQAEPIMPIKDHTSDMAIISRKGSTLVREIHEKQSMNKSRQRFWELAGSKLGDILGVEKTAEQVDADTTEVGEHGEIDFKEDAKFAQHLKKGEAASEFAKSKSIAEQRQYLPIYSVRDELLQVIRENQIVVVVGETGSGKTTQLTQYLHEDGYTKNGVVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPNTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLSTDVLFGILKKVAAQRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNILYSKTPCEDYVEAAVKQAMTIHITSPPGDILIFMTGQDEIEAACYALAERIEQLISSTRKGVSKLLILPIYSQLPADLQAKIFQKAEDGTRKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNSKMGMDALQVFPVSRAAADQRAGRGGRTGPGQCFRLYTESAYLNEMLPAPVPEIQRTNLGNVVLLLKSLKIENLLDFDFMDPPPQENILNSMYQLWVLGALNFVGGLTDIGWKMVEFPLDPPLAKMLLTGERLQCIDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYQQWKANQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCGYDWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPEYVVYHELILTTKEYMQYVTAVEPQWLAELGPMFFSVKESDTTLLDCKKRQREEKTAMQEEKENLRKEQAKAKRESKEKKRQKRAKWQQQVSLPGLRQGSSTYLRPKKFGL >EOY17383 pep chromosome:Theobroma_cacao_20110822:8:19846907:19853040:1 gene:TCM_036535 transcript:EOY17383 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 2 MSSNRPKYIKPSPSSMFVGASPDARLVSPWMGDRTPRSAGMLFASGASPWDYASPSPVPIRASGASIKSSSSRYGRTSHQASFSRESSESFEDEGDKTGLAEEHNYEITESMRLEMEYNSDRAWYDREEGNTMLDADSSSFFLGDEASFQKKEVDLAKRLVRRDGTRMSLAQSKKLSQLTADNAQWEDRQLLRSGAVRGTKVQTEFVYEDERKVILLVHDTKPPFLDGRIVFTKQAEPIMPIKDHTSDMAIISRKGSTLVREIHEKQSMNKSRQRFWELAGSKLGDILGVEKTAEQVDADTTEVGEHGEIDFKEDAKFAQHLKKGEAASEFAKSKSIAEQRQYLPIYSVRDELLQVIRENQIVVVVGETGSGKTTQLTQYLHEDGYTKNGVVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPNTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLSTDVLFGILKKVAAQRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNILYSKTPCEDYVEAAVKQAMTIHITSPPGDILIFMTGQDEIEAACYALAERIEQLISSTRKGVSKLLILPIYSQLPADLQAKIFQKAEDGTRKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNSKMGMDALQVFPVSRAAADQRAGRGGRTGPGQCFRLYTESAYLNEMLPAPVPEIQRTNLGNVVLLLKSLKIENLLDFDFMDPPPQENILNSMYQLWVLGALNFVGGLTDIGWKMVEFPLDPPLAKMLLTGERLQCIDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYQQWKANQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCGYDWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPEYVVYHELILTTKEYMQYVTAVEPQWLAELGPMFFSVKESDTTLLDCKKRQREEKTAMQEEKENLRKEQAKAKRESKEKKRQKRAKWQQQVSLPGLRQGSSTYLRPKKFGL >EOY17381 pep chromosome:Theobroma_cacao_20110822:8:19845459:19855246:1 gene:TCM_036535 transcript:EOY17381 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 2 MSSNRPKYIKPSPSSMFVGASPDARLVSPWMGDRTPRSAGTASGASPWDYASPSPVPIRASGASIKSSSSRYGRTSHQASFSRESSESFEDEGDKTGLAEEHNYEITESMRLEMEYNSDRAWYDREEGNTMLDADSSSFFLGDEASFQKKEVDLAKRLVRRDGTRMSLAQSKKLSQLTADNAQWEDRQLLRSGAVRGTKVQTEFVYEDERKVILLVHDTKPPFLDGRIVFTKQAEPIMPIKDHTSDMAIISRKGSTLVREIHEKQSMNKSRQRFWELAGSKLGDILGVEKTAEQVDADTTEVGEHGEIDFKEDAKFAQHLKKGEAASEFAKSKSIAEQRQYLPIYSVRDELLQVIRENQIVVVVGETGSGKTTQLTQYLHEDGYTKNGVVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPNTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLSTDVLFGILKKVAAQRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNILYSKTPCEDYVEAAVKQAMTIHITSPPGDILIFMTGQDEIEAACYALAERIEQLISSTRKGVSKLLILPIYSQLPADLQAKIFQKAEDGTRKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNSKMGMDALQVFPVSRAAADQRAGRGGRTGPGQCFRLYTESAYLNEMLPAPVPEIQRTNLGNVVLLLKSLKIENLLDFDFMDPPPQENILNSMYQLWVLGALNFVGGLTDIGWKMVEFPLDPPLAKMLLTGERLQCIDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYQQWKANQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCGYDWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPEYVVYHELILTTKEYMQYVTAVEPQWLAELGPMFFSVKESDTTLLDCKKRQREEKTAMQEEKENLRKEQAKAKRESKEKKRQKRAKWQQQVSLPGLRQGSSTYLRPKKFGRTKVLGLRFVVYGCDAVLSQMVVILRNLTFAAFLSPSEASEEFHEGVIKCFRALLPNLHPCFNQFSLCKQSLDFPKLLETRDLQTPTGTLKHDLEQGESSLAFLQSEAVLAAVGHWLLPLLKAADTEAT >EOY17382 pep chromosome:Theobroma_cacao_20110822:8:19846907:19853040:1 gene:TCM_036535 transcript:EOY17382 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 2 MSSNRPKYIKPSPSSMFVGASPDARLVSPWMGDRTPRSAGMLFGTASGASPWDYASPSPVPIRASGASIKSSSSRYGRTSHQASFSRESSESFEDEGDKTGLAEEHNYEITESMRLEMEYNSDRAWYDREEGNTMLDADSSSFFLGDEASFQKKEVDLAKRLVRRDGTRMSLAQSKKLSQLTADNAQWEDRQLLRSGAVRGTKVQTEFVYEDERKVILLVHDTKPPFLDGRIVFTKQAEPIMPIKDHTSDMAIISRKGSTLVREIHEKQSMNKSRQRFWELAGSKLGDILGVEKTAEQVDADTTEVGEHGEIDFKEDAKFAQHLKKGEAASEFAKSKSIAEQRQYLPIYSVRDELLQVIRENQIVVVVGETGSGKTTQLTQYLHEDGYTKNGVVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPNTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLSTDVLFGILKKVAAQRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNILYSKTPCEDYVEAAVKQAMTIHITSPPGDILIFMTGQDEIEAACYALAERIEQLISSTRKGVSKLLILPIYSQLPADLQAKIFQKAEDGTRKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNSKMGMDALQVFPVSRAAADQRAGRGGRTGPGQCFRLYTESAYLNEMLPAPVPEIQRTNLGNVVLLLKSLKIENLLDFDFMDPPPQENILNSMYQLWVLGALNFVGGLTDIGWKMVEFPLDPPLAKMLLTGERLQCIDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYQQWKANQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCGYDWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPEYVVYHELILTTKEYMQYVTAVEPQWLAELGPMFFSVKESDTTLLDCKKRQREEKTAMQEEKENLRKEQAKAKRESKEKKRQKRAKWQQQVSLPGLRQGSSTYLRPKKFGL >EOY16484 pep chromosome:Theobroma_cacao_20110822:8:7519720:7523778:1 gene:TCM_035258 transcript:EOY16484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated kinase 2, putative MVTSVYSSIYKSLNNLLAEVSQQMIPWTLCKACQINDINKLTVYCLSDDLVFPMCCYMEGREIFCSTCAQKHIEMEAAMRVQGRAWHLALIGLLLAAAAAESTPIAKPGCQDICGNVSIPYPFGTTEDCYFNEDFFINCTSSDPPRAFLRRSNIEVKNITLEGKLPIMQFIAHDCYNKSGSPVANNDPFLSLSRFIISDTDNIFVAVGCDTEATIQGIQGEKGYTTGCISKCDSIDYVANYTCSGIGCCQTSIAKGVSYFNISVGSYNNHNDVWEFNPCSYAFVVEKNKFNFTSSYLRDLHDVEMLPMVLDWSIGNETCKTVEAKIMRYACQGNSTCYDVDNGSGYRCKCFEGYQGNPYLPSGCQDIDECKDPNLNNCEKICENTKGNYTCKCPKGYHGDGRKDGEGCVAIRSRSLVVELTVGIGVGITILLTGSTWLFWAFKKWKLIKLKEKFFRKNGGLMLQQELSRRDYSTGTAKIFSAEELEKATNNYEESRILGRGGYGTVYKGTLTDGRIVAIKKSQVVDESQIDQFINEVVVLSQINHRNVVKLLGCCLETEVPLLVYEYITNGTLFEHIHDKSKTSSMTWETRLSIAAETAGVLSYLHSSASTPIIHRDVKSTNILLDDSYTAKVSDFGASRLVPLDQAGLSTVVQGTLGYLDPEYLHTSQLTEKSDVYSFGVVLIELLTGQRALSFERPEKERNLAMYFVSALKEDRLVRILEDCIVHEAKSEQLKEVANLAKRCVRVKGEERPTMKEVAMELEGLRIMVKHPWANDELNLEETEHLLGKPFEKGGSSGSMNATYDSMRNHVLLQVRDGR >EOY17149 pep chromosome:Theobroma_cacao_20110822:8:18065123:18067333:-1 gene:TCM_036314 transcript:EOY17149 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MENPEGDHNPLEIHNLEDDDEFENQNPFHEDGPANQAARVGLEGQLLHALDLNGGGIRIDVIDFHEKFHAEEYLNWEASLENYFEWKPMAKNRKVLFVKLKLKGTALQWWKRVEEQRARQAESNEQITSLYLAGLNHSIRNEMGVVRLYNIEDARQYALSAERKVSRYSARKALYGTDWQNDFEARQGYQTSQQNYQGATTTNKTNGGATNVEKNDKGKSIMPYGRQNSSSSSTNKGGSNSHIRCFTCGEKEHISFACPQRRRAKYYFKRSNSKLKLPTSKHPHPYKIRWIKKGHKVPVNTQCLVKFTMGDNLDDEALCDVVPMDVGHILVGRLWLYDHDMDHKTKPNTYSFYKDNKWYTLYPLKEEIKKSATSSPTNSKISKITGYLSVENFGAEGGNEKE >EOY17491 pep chromosome:Theobroma_cacao_20110822:8:21169185:21172114:1 gene:TCM_036702 transcript:EOY17491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC2 isoform 1 MEDGLEAPGPLWKIIVVAAIAAGVQFGWALQLSLLTPYVQTLGVPHTWAAFIWLCGPISGLLVQPIVGYNSDRCTSRFGRRRPFIAAGACCVAGAVFLIGFAKDIGHKAGDSLDKSTKPRAVAVFVTGFWILDVANNMLQGPCRALLADLSANNHKRMRVANGWFSFFMAVGNVLGYAAGSYSNLHKIFPFTVTTACDVYCANLKTCFIIDILFLLLVTITAISCVKETPLSKKVMKQEEEKASTPLVGELLTAFKTLKKPMWILLLVTCLNWIAWFPFLLYDTDWMGREIYGGHVDGNDNQQKLYDNGVRAGALGLMINSIVLGFASLGLENIGRLVGGVKNLWGGVNFILAACLASTVWITKVVEAWRDTHGLLAPPSNIKGSALAVFGLLGIPLSVTFSIPFALASIYCSASGGGQGLALGVLNMAIVIPQMFISVVSGPLDEAFGGGNLPAFVLGSIVAAISAVLAIVALPNPPKQVSLNPAMAGGH >EOY17492 pep chromosome:Theobroma_cacao_20110822:8:21133965:21171954:1 gene:TCM_036702 transcript:EOY17492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC2 isoform 1 MEDGLEAPGPLWKIIVVAAVAAGVQFGWALQLSLLTPYVQTLGVPHTWAAFIWLCGPISGLLVQPIVGYNSDRCTSRFGRRRPFIAAGACCVAGAVFLIGFAKDIGHKAGDSLDKSTKPRAVAVFVTGFWILDVANNMLQGPCRALLADLSANNHKRMRVANGWFSFFMAVGNVLGYAAGSYSNLHKIFPFTVTTACDVYCANLKTCFIIDILFLLLVTITAISCVKETPLSKKVMKQEEEKASTPLVGELLTAFKTLKKPMWILLLVTCLNWIAWFPFLLYDTDWMGREIYGGHVDGNDNQQKLYDNGVRAGALGLMINSIVLGFASLGLENIGRLVGGVKNLWGGVNFILAACLASTVWITKVVEAWRDTHGLLAPPSNIKGSALAVFGLLGIPLSVTFSIPFALASIYCSASGGGQGLALGVLNMAIVIPQMFISVVSGPLDEAFGGGNLPAFVLGSIVAAISAVLAIVALPNPPKQVSLNPAMAGGH >EOY16827 pep chromosome:Theobroma_cacao_20110822:8:11623382:11649117:-1 gene:TCM_035715 transcript:EOY16827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gigantea protein isoform 1 MASPSERWIDGLQFSSLFWPPPQDPQQRKVQITAYVEYFGQFTSEQFPEDIAELVRNRYPHKEQRLFDDVLAMFVLHHPEHGHAVVLPIISCIIDGTLVYDKSTPPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPIYKMEQQNSETDRSNSSGQATTSEPVDGEPSFHIPLMQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGDLKPPSTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQHEPSGGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPAILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESSRVQTRKTRYSIGSASKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAQFSGSKRPRCEESYPPDEGIEESQSPSERPRDIKPRKTKKQGPVAAFDSYVLAAVCALACELQLFPLVTRGSNHSTAKDVQAIAKPAKLNGSSIEYGHSIDSAIHHTHRILAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVNKAEPLEAQLIHAPVWKDSPVCLDGRKQNKRTNTTCFDPGQSSASECEDSTHSDKNLRCERVLASDEGSGNSLGKGIASFPLDASDLANFLTMDRHIGFNCSAQILLRSVLVEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSASPTKAATAVVLQAEREFQPWITKDDDQGQKMWRINQRIVKLIVELMRNHDSPESLVIVASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGLAVADGLSNLLKCRLPATTRCLSHPSAHVRALSTSVLRNILHAGSIKPNSKQVEINGIHGPSYQYFSVGVIDWHTDIEKCLTWEAHSQLARGMPIRFLDTAAKELGCSISI >EOY16829 pep chromosome:Theobroma_cacao_20110822:8:11623660:11642891:-1 gene:TCM_035715 transcript:EOY16829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gigantea protein isoform 1 MASPSERWIDGLQFSSLFWPPPQDPQQRKVQITAYVEYFGQFTSEQFPEDIAELVRNRYPHKEQRLFDDVLAMFVLHHPEHGHAVVLPIISCIIDGTLVYDKSTPPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPIYKMEQQNSETDRSNSSGQATTSEPVDGEPSFHIPLMQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGDLKPPSTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQHEPSGGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPAILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESSRVQTRKTRYSIGSASKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAQFSGSKRPRCEESYPPDEGIEESQSPSERPRDIKPRKTKKQGPVAAFDSYVLAAVCALACELQLFPLVTRGSNHSTAKDVQAIAKPAKLNGSSIEYGHSIDSAIHHTHRILAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVNKAEPLEAQLIHAPVWKDSPVCLDGRKQNKRTNTTCFDPGQSSASECEDSTHSDKNLRCERVLASDEGSGNSLGKGIASFPLDASDLANFLTMDRHIGFNCSAQILLRSVLVEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQAEREFQPWITKDDDQGQKMWRINQRIVKLIVELMRNHDSPESLVIVASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGLAVADGLSNLLKCRLPATTRCLSHPSAHVRALSTSVLRNILHAGSIKPNSKQVEINGIHGPSYQYFSVGVIDWHTDIEKCLTWEAHSQLARGMPIRFLDTAAKELGCSISI >EOY16828 pep chromosome:Theobroma_cacao_20110822:8:11623836:11642754:-1 gene:TCM_035715 transcript:EOY16828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gigantea protein isoform 1 MASPSERWIDGLQFSSLFWPPPQDPQQRKVQITAYVEYFGQFTSEQFPEDIAELVRNRYPHKEQRLFDDVLAMFVLHHPEHGHAVVLPIISCIIDGTLVYDKSTPPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPIYKMEQQNSETDRSNSSGQATTSEPVDGEPSFHIPLMQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGDLKPPSTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQHEPSGGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPAILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESSRVQTRKTRYSIGSASKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAQFSGSKRPRCEESYPPDEGIEESQSPSERPRDIKPRKTKKQGPVAAFDSYVLAAVCALACELQLFPLVTRGSNHSTAKDVQAIAKPAKLNGSSIEYGHSIDSAIHHTHRILAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVNKAEPLEAQLIHAPVWKDSPVCLDGRKQNKRTNTTCFDPGQSSASECEDSTHSDKNLRCERVLASDEGSGNSLGKGIASFPLDASDLANFLTMDRHIGFNCSAQILLRSVLVEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSASPTKAATAVVLQQAEREFQPWITKDDDQGQKMWRINQRIVKLIVELMRNHDSPESLVIVASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGLAVADGLSNLLKCRLPATTRCLSHPSAHVRALSTSVLRNILHAGSIKPNSKQVEINGIHGPSYQYFSVGVIDWHTDIEKCLTWEAHSQLARGMPIRFLDTAAKELGCSISI >EOY16826 pep chromosome:Theobroma_cacao_20110822:8:11624282:11642658:-1 gene:TCM_035715 transcript:EOY16826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gigantea protein isoform 1 MASPSERWIDGLQFSSLFWPPPQDPQQRKVQITAYVEYFGQFTSEQFPEDIAELVRNRYPHKEQRLFDDVLAMFVLHHPEHGHAVVLPIISCIIDGTLVYDKSTPPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPIYKMEQQNSETDRSNSSGQATTSEPVDGEPSFHIPLMQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGDLKPPSTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQHEPSGGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPAILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESSRVQTRKTRYSIGSASKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAQFSGSKRPRCEESYPPDEGIEESQSPSERPRDIKPRKTKKQGPVAAFDSYVLAAVCALACELQLFPLVTRGSNHSTAKDVQAIAKPAKLNGSSIEYGHSIDSAIHHTHRILAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVNKAEPLEAQLIHAPVWKDSPVCLDGRKQNKRTNTTCFDPGQSSASECEDSTHSDKNLRCERVLASDEGSGNSLGKGIASFPLDASDLANFLTMDRHIGFNCSAQILLRSVLVEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSASPTKAATAVVLQAEREFQPWITKDDDQGQKMWRINQRIVKLIVELMRNHDSPESLVIVASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGLAVADGLSNLLKCRLPATTRCLSHPSAHVRALSTSVLRNILHAGSIKPNSKQVEINGIHGPSYQYFSVGVIDWHTDIEKCLTWEAHSQLARGMPIRFLDTAAKELGCSISI >EOY15032 pep chromosome:Theobroma_cacao_20110822:8:2011101:2013719:1 gene:TCM_034229 transcript:EOY15032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPSEDSKSVKKEEPEDDESDMRSLSSMLENRKKKPSCNNNNAAVSNSKSRPKEGKVKKEEPIDDDDDDHHKNEDFKVPIKDSSSRPKATKLKKEESDDDDEKPISKRSSATKPDKEKEQKKKKKKGEEKKAAAGNEGKRARKVYNLPGQKRDPPEQQRDPLRIFYETMYKQIPKSEMAQFWMMESGLLPLEEAKKVFEKKQNKNQQQKLSSPMKAASVVKSSTKSVTVKKTPPISPVSSKQTKKRKAEESSDDDFEDVLASKMKKQRAK >EOY15031 pep chromosome:Theobroma_cacao_20110822:8:2011026:2013705:1 gene:TCM_034229 transcript:EOY15031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPSEDSKSVKKEEPEDDESDMRSLSSMLENRKKKPSCNNNNAAVSNSKSRPKEGKVKKEEPIDDDDDDHHKNEDFKVPIKDSSSRPKATKLKKEESDDDDEKPISKRSSATKPDKEKEQKKKKKKGEEKKAAAGNEGKRARKVYNLPGQKRDPPEQRDPLRIFYETMYKQIPKSEMAQFWMMESGLLPLEEAKKVFEKKQNKNQQQKLSSPMKAASVVKSSTKSVTVKKTPPISPVSSKQTKKRKAEESSDDDFEDVLASKMKKQRAK >EOY14508 pep chromosome:Theobroma_cacao_20110822:8:467991:469545:1 gene:TCM_033867 transcript:EOY14508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin like 37, putative MKMALKTRSYSVDGKREMTIDEFKRYLKKFDDDKDGRISRDELANAIRAAGGWFATRKSKHAIRSVDANGNGFIDDNEIKNLAEFAEKHLNVRILYF >EOY14551 pep chromosome:Theobroma_cacao_20110822:8:578761:579420:1 gene:TCM_033894 transcript:EOY14551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAKTNLIVLAGALLLVLLFSYGITFTEERVLKTDKDVKPAGNYVTNVMTSSHKTNLNRDILEDGTVDVPTSSSGNGTAFDANDFRPTTPGHSPGAGHSTGPASNDKN >EOY14552 pep chromosome:Theobroma_cacao_20110822:8:578771:582286:1 gene:TCM_033894 transcript:EOY14552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAKTNLIVLAGALLLVLLFSYGITFTEERVLKTDKDVKPAGNYVTNVMTSSHKTNLNRDILEDGTVDVPTSSSGNGTAFDANDFRPTTPGHSPGAGHSTGPASSDKN >EOY15000 pep chromosome:Theobroma_cacao_20110822:8:1930441:1935235:-1 gene:TCM_034208 transcript:EOY15000 gene_biotype:protein_coding transcript_biotype:protein_coding description:EG isoform 3 MGKESKSKGCLGWFLVIVILALVVGAVVYAIKQKMDHSDDDKAAPVPGPPGAIDKKYADALKIAMQFFDVQKSGKLVDNKISWRGDSGLKDGSEAKLDLSKGMYDAGDHMKFGFPLAFTATVLSWAILEYGDQMEAVNQLEPAQESLKWITDYLINAHPSENVLYIQVGDPEADHKCWDRPEDMTEKRPLTMVNTSVPGTEVAAETAAAMASASLVFKTADSTYSSTLLKHAKQLFTFADNHRGSYSENIPEVATYYNSTGYGDELLWAASWLYHATGDQSYLRYVTGKNGEEFAEWGSPTWFSWDNKLAGTQVLLSRLSFFGAKGASQNSGLENYRKSAEDVMCGLLPKSPSATSSRTDGGLIWVSEWNALQHPVASAFLAALYSDYMLTSRTPKLKCGDDSFKPSDLRKFAKSQADYVLGSNPLKMSFLVGYGDKYPQYVHHRGASIPADATTGCKDGFKWLDSTKPNPNVAIGALLGGPFLNETYIDSRNNSMQAEPTTYNGAIIVGLLSSLLYETPRLTRVNSDVARLH >EOY15002 pep chromosome:Theobroma_cacao_20110822:8:1930948:1935262:-1 gene:TCM_034208 transcript:EOY15002 gene_biotype:protein_coding transcript_biotype:protein_coding description:EG isoform 3 MGKESKSKGCLGWFLVIVILALVVGAVVYAIKQKMDHSDDDKAAPVPGPPGAIDKKYADALKIAMQFFDVQKSGKLVDNKISWRGDSGLKDGSEAKLDLSKGMYDAGDHMKFGFPLAFTATVLSWAILEYGDQMEAVNQLEPAQESLKWITDYLINAHPSENVLYIQVGDPEADHKCWDRPEDMTEKRPLTMVNTSVPGTEVAAETAAAMASASLVFKTADSTYSSTLLKHAKQLFTFADNHRGSYSENIPEVATYYNSTGYGDELLWAASWLYHATGDQSYLRYVTGKNGEEFAEWGSPTWFSWDNPNPNVAIGALLGGPFLNETYIDSRNNSMQAEPTTYNGAIIVGLLSSLVTTSSAVKSFT >EOY15001 pep chromosome:Theobroma_cacao_20110822:8:1930626:1935262:-1 gene:TCM_034208 transcript:EOY15001 gene_biotype:protein_coding transcript_biotype:protein_coding description:EG isoform 3 MGKESKSKGCLGWFLVIVILALVVGAVVYAIKQKMDHSDDDKAAPVPGPPGAIDKKYADALKIAMQFFDVQKSGKLVDNKISWRGDSGLKDGSEAKLDLSKGMYDAGDHMKFGFPLAFTATVLSWAILEYGDQMEAVNQLEPAQESLKWITDYLINAHPSENVLYIQVGDPEADHKCWDRPEDMTEKRPLTMVNTSVPGTEVAAETAAAMASASLVFKTADSTYSSTLLKHAKQLFTFADNHRGSYSENIPEVATYYNSTGYGDELLWAASWLYHATGDQSYLRYVTGKNGEEFAEWGSPTWFSWDNKLAGTQVLLSRLSFFGAKGASQNSGLENYRKSAEDVMCGLLPKSPSATSSRTDGGLIWVSEWNALQHPVASAFLAALYSDYMLTSRTPKLKCGDDSFKPSDLRKFAKSQADYVLGSNPLKMSFLVGYGDKYPQYVHHRGASIPADATTGCKDGFKWLDSTKPNPNVAIGALLGGPFLNETYIDSRNNSMQAEPTTYNGAIIVGLLSSLVTTSSAVKSFT >EOY16141 pep chromosome:Theobroma_cacao_20110822:8:5925323:5930225:-1 gene:TCM_035002 transcript:EOY16141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 17, putative MAFPWFLVSLNANKTLLSCPLLRPRDGTLSFLHHLRHTKKHYKNWKKESLRLCIFSTHRSAVLSYFSFIPLNSLPFFSTQTLSPPMPPPRPPLPPPEFRHVDSRIWRACAGSSVQIPTVHSRVYYFPQGHVEQSWGSTPALSSLVLSRPLIPCVISEVHCLADPKTDEVFAKLLLVPVDPSRLPNQFLNINGDDEDSDKIVSFAKILTPSDANNGGGFSVPRFCADSIFPPLDYNAEPPVQTLSVTDVRGGVWDFRHIYRGTPRRHLLTTGWSKFVNQKKLIAGDSVVFMRDCNGNMFIGVRRAMKREAGGDFGRWREPSDGRAMQGEGRGRMTAEAVAEAAERAAKGLPFEVVYYPRAGLADFVVRAELVEAGLNIFWAGGTRVKMAVETEDSSRMTWFQGTVMSAAVPDSGPWTGSPWRMLQVAWDEPEVLQNAKRVSPWQVEIVSSSPLNSSFPSAKKLKFSQDSGPADAEGEIFFPMTGLTNSMMGYMNPSMLNYNSFPAGMQGARQNHFHLQSLTNYASENTPIMCTDNFSGNYMLPKLKGRSTELNIGSSQSDNLSPDSQSSILSYGTEVTVNGGSNSTKVGVSSFQLFGKIIHMKEPVRSRFDDVGSIEDDGGKRDDEAAGPEKSLDLSLAYGYSNLLNRLDVQCQRASAVEGFSL >EOY17109 pep chromosome:Theobroma_cacao_20110822:8:17882121:17888672:1 gene:TCM_036279 transcript:EOY17109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase isoform 1 MAQIGFRLWRYTKEEEAKGRASIFDIFRKHLVTSDHGVPLGGIGAGSIGRGYRGEFQRFKLFPKVCEEGPILANQFSAIVSRPNGNKCSTVLCARSPEVPKESTGLGIESWDWNLKGEKCTYHALFPRSWTIYEGQPDPELRISCCQISPFIPHNYKESSFPVSVFTFTLSNTGSTSADVTLLFTWTNSVGGNSGFSGDHFNLKMKTEDGVRGVLLHHKTANGKPPLTFAIAAKETSEVHVSECPCFLISGNFQGMSAKDMWHEIKKHGSFDNLDYEESSPSEPGSSIGAAVAASVTVPSDSVRRVTFSLAWDCPEVRFDDKTYHKRYTKYYGTLGDAAANIAHDSIFEHSNWESQIESWQRPILEDKRLPDWYPVILFNELYYLNAGGTIWTDGSPPMQSLVSIGEKKFSLDKSRSHLDNTIDTANQDGIAIDILERMTSVLEKAHIPLMSNAAFGTRLLQDGEENIGQFLYLEGSEYLMWNTYDVHFYSSFALLMLFPKLELSIQRDFAAAVMIHDPSKMEIMSDGKWVPRKVLGAVPHDIGLNDPWFEVNAYNLFNTDNWKDLNSKFVLQIYRDIVATGDKNFAQAVWPSVYTAMAFMEQFDSDGDGMIENQGFPDQTYDAWSVTGVSAYSGGLWVAALQAASSIACQVGDDVSAAYFHVKYQKAKSVYGTLWNGSYFNYDNTGSSSSRCILADQLAGQW >EOY17107 pep chromosome:Theobroma_cacao_20110822:8:17879930:17888673:1 gene:TCM_036279 transcript:EOY17107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase isoform 1 MENGLKETVENDKASNGTLLEADSGKPPSLTWQRQLNSIRKPPTAFGLGFNEIIHMAQIGFRLWRYTKEEEAKGRASIFDIFRKHLVTSDHGVPLGGIGAGSIGRGYRGEFQRFKLFPKVCEEGPILANQFSAIVSRPNGNKCSTVLCARSPEVPKESTGLGIESWDWNLKGEKCTYHALFPRSWTIYEGQPDPELRISCCQISPFIPHNYKESSFPVSVFTFTLSNTGSTSADVTLLFTWTNSVGGNSGFSGDHFNLKMKTEDGVRGVLLHHKTANGKPPLTFAIAAKETSEVHVSECPCFLISGNFQGMSAKDMWHEIKKHGSFDNLDYEESSPSEPGSSIGAAVAASVTVPSDSVRRVTFSLAWDCPEVRFDDKTYHKRYTKYYGTLGDAAANIAHDSIFEHSNWESQIESWQRPILEDKRLPDWYPVILFNELYYLNAGGTIWTDGSPPMQSLVSIGEKKFSLDKSRSHLDNTIDTANQDGIAIDILERMTSVLEKAHIPLMSNAAFGTRLLQDGEENIGQFLYLEGSEYLMWNTYDVHFYSSFALLMLFPKLELSIQRDFAAAVMIHDPSKMEIMSDGKWVPRKVLGAVPHDIGLNDPWFEVNAYNLFNTDNWKDLNSKFVLQIYRDIVATGDKNFAQAVWPSVYTAMAFMEQFDSDGDGMIENQGFPDQTYDAWSVTGVSAYSGGLWVAALQAASSIACQVGDDVSAAYFHVKYQKAKSVYGTLWNGSYFNYDNTGSSSSRCILADQLAGQWYARASGLMPIADDDKVRSALQTIYDFNVLKVKGGTRGAVNGMLPDGRVDMSALQSREVWAGVTYAVAATMIQEGMVETAFKTAAGAYEAAWSQQGTLFRPQK >EOY17106 pep chromosome:Theobroma_cacao_20110822:8:17879488:17889189:1 gene:TCM_036279 transcript:EOY17106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase isoform 1 MENGLKETVENDKASNGTLLEADSGKPPSLTWQRQLNSIRKPPTAFGLGFNEIIHMAQIGFRLWRYTKEEEAKGRASIFDIFRKHLVTSDHGVPLGGIGAGSIGRGYRGEFQRFKLFPKVCEEGPILANQFSAIVSRPNGNKCSTVLCARSPEVPKESTGLGIESWDWNLKGEKCTYHALFPRSWTIYEGQPDPELRISCCQISPFIPHNYKESSFPVSVFTFTLSNTGSTSADVTLLFTWTNSVGGNSGFSGDHFNLKMKTEDGVRGVLLHHKTANGKPPLTFAIAAKETSEVHVSECPCFLISGNFQGMSAKDMWHEIKKHGSFDNLDYEESSPSEPGSSIGAAVAASVTVPSDSVRRVTFSLAWDCPEVRFDDKTYHKRYTKYYGTLGDAAANIAHDSIFEHSNWESQIESWQRPILEDKRLPDWYPVILFNELYYLNAGGTIWTDGSPPMQSLVSIGEKKFSLDKSRSHLDNTIDTANQDGIAIDILERMTSVLEKAHIPLMSNAAFGTRLLQDGEENIGQFLYLEGSEYLMWNTYDVHFYSSFALLMLFPKLELSIQRDFAAAVMIHDPSKMEIMSDGKWVPRKVLGAVPHDIGLNDPWFEVNAYNLFNTDNWKDLNSKFVLQIYRDIVATGDKNFAQAVWPSVYTAMAFMEQFDSDGDGMIENQGFPDQTYDAWSVTGVSAYSGGLWVAALQAASSIACQVGDDVSAAYFHVKYQKAKSVYGTLWNGSYFNYDNTGSSSSRCILADQLAGQWYARASGLMPIADDDKVRSALQTIYDFNVLKVKGGTRGAVNGMLPDGRVDMSALQSREVWAGVTYAVAATMIQEGMVETAFKTAAGAYEAAWSQQGLGYSFQTPEAWNTDEQFRSLCYMRPLAVWAMQWALTKPKLFTEEMKHGVIDDFLYHKQHLGYSKVAHLLKLPSKEETSKTFLQSVTEFICRRVPI >EOY17108 pep chromosome:Theobroma_cacao_20110822:8:17879930:17887311:1 gene:TCM_036279 transcript:EOY17108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase isoform 1 MENGLKETVENDKASNGTLLEADSGKPPSLTWQRQLNSIRKPPTAFGLGFNEIIHMAQIGFRLWRYTKEEEAKGRASIFDIFRKHLVTSDHGVPLGGIGAGSIGRGYRGEFQRFKLFPKVCEEGPILANQFSAIVSRPNGNKCSTVLCARSPEVPKESTGLGIESWDWNLKGEKCTYHALFPRSWTIYEGQPDPELRISCCQISPFIPHNYKESSFPVSVFTFTLSNTGSTSADVTLLFTWTNSVGGNSGFSGDHFNLKMKTEDGVRGVLLHHKTANGKPPLTFAIAAKETSEVHVSECPCFLISGNFQGMSAKDMWHEIKKHGSFDNLDYEESSPSEPGSSIGAAVAASVTVPSDSVRRVTFSLAWDCPEVRFDDKTYHKRYTKYYGTLGDAAANIAHDSIFEHSNWESQIESWQRPILEDKRLPDWYPVILFNELYYLNAGGTIWTDGSPPMQSLVSIGEKKFSLDKSRSHLDNTIDTANQDGIAIDILERMTSVLEKAHIPLMSNAAFGTRLLQDGEENIGQFLYLEGSEYLMWNTYDVHFYSSFALLMLFPKLELSIQRDFAAAVMIHDPSKMEIMSDGKWVPRKVLGAVPHDIGLNDPWFEVNAYNLFNTDNWKDLNSKFVLQIYRDIVATGDKNFAQAVWPSVYTAMAFMEQFDSDGDGMIENQGFPDQTYDAWSVTGVSAYSGGLWVAALQAASSIACQVGDDVSAAYFHVKYQKAKSVYGTLWNGSYFNYDNTGSSSSRCILADQLAGQW >EOY14953 pep chromosome:Theobroma_cacao_20110822:8:1761601:1763649:-1 gene:TCM_034174 transcript:EOY14953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MSLISGVGLQKFSYIGSDVALSPYNAYWRETKKVFIVHLLNSNRVQLYRPIREEEVSKTIEKISNASVDSKPINLSEAMIRLTSTIICRNVFLAGRDKSSVAIVRVMTFLMNPRSMKRAQEEVRNLIGKKGFADENDIQSLFYLKAVVKETFRLQPVVPLLIPQETIRKSNIGNYEIPAKTLVYVSAWAIGRDPEVWENPESFCPERFLVVELGLANLLHKFDWDMPDGIIKEDWDLDVNPGLTMFQKNDLC >EOY17402 pep chromosome:Theobroma_cacao_20110822:8:20040936:20042637:1 gene:TCM_036566 transcript:EOY17402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRKGLSVCSAPSLVHHCKIWKPLKGIDLLICGSREKRIRVRRRQGERKEREGERKKEEKEKRSQWGERKEMREREEKKEKKKKKEEAGRERERKGRRKKEREGKKEKKKKKEKGDRGLFTIFFGEIFACCCTLVACLGPHSFVLFVLI >EOY16000 pep chromosome:Theobroma_cacao_20110822:8:5357960:5366291:-1 gene:TCM_034902 transcript:EOY16000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 93 MGRSPCCDENGLKKGPWTPEEDQKLVQYIKQHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQDEEQTILHLHSILGNKWSAIATHLPGRTDNEIKNFWNTHLKKKLIQMGIDPMTHQPRTDIFASLPQLIALANLRDLVESTNPLDDHTVRLQAEAVQLARLQYLQFLVQSAASMTNNSYSQNGIADMEAFNLLNSSVPHIKENQVLNSAPISLGNATSQPLHHPALLSHLPDPQVPFSFQTSLNCEKTSLNSEMGHCSNFTIVSQGDNQTDNSSWLLPSPTLPPTVTETSISNPGEVSSTSSYTGAASPYWHELYFEDSIMHEIS >EOY16235 pep chromosome:Theobroma_cacao_20110822:8:6374870:6379608:-1 gene:TCM_035075 transcript:EOY16235 gene_biotype:protein_coding transcript_biotype:protein_coding description:YbaK/aminoacyl-tRNA synthetase-associated domain isoform 2 MGSSKDQLLVRLKELQIDFSQYEHPVVLTVEAQAKYVGNKGGALSKNLFLKDKKHRYYIVSALADTKVDLKVLSQRLGLGKGGLRMAPEQALGEILQVPLGCVTPFALVNESARHVSLLLDQGIKTQERCFFHPLSNDISISLDAHGLDKFLKSTGRDPSYVDLEANPPVGKDQPPDLAAFIPSGSTVLPDLPDEAPASQDSTGNHVSAGTKSAAVIAVKPSGNVQNVKEKPVNGARPSIPSANAGKFVEELLDKTSTLLLSEISEDSIKQHGGQLGTEVVNNIQKCLREDLKNLATIFKNTAYTEGFYAGIHYQPKRL >EOY16236 pep chromosome:Theobroma_cacao_20110822:8:6374887:6379587:-1 gene:TCM_035075 transcript:EOY16236 gene_biotype:protein_coding transcript_biotype:protein_coding description:YbaK/aminoacyl-tRNA synthetase-associated domain isoform 2 MGSSKDQLLVRLKELQIDFSQYEHPVVLTVEAQAKYVGNKGGALSKNLFLKDKKHRYYIVSALADTKVDLKVLSQRLGLGKGGLRMAPEQALGEILQVPLGCVTPFALVNESARHVSLLLDQGIKTQERCFFHPLSNDISISLDAHGLDKFLKSTGRDPSYVDLEANPPVGKDQPPDLAAFIPSGSTVLPDLPDEAPASQDSTGNHVSAGTKSAAVIAKAVKPSGNVQNVKEKPVNGARPSIPSANAGKFVEELLDKTSTLLLSEISEDSIKQHGGQLGTEVVNNIQKCLREDLKNLATIFKNTAYTEGFYAGIHYQPKRL >EOY17201 pep chromosome:Theobroma_cacao_20110822:8:18275969:18277608:-1 gene:TCM_036350 transcript:EOY17201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 28 MSSKRITKELKDLQKDPPVSCSAGPIGDDMFHWQATIMGPTDSPYAGGVFLVSIHFPPDYPFKPPKVAFKTKVYHPNINSNGSICLDILKEQWSPALAVSKVLLSICSLLTDPNPDDPLVPEIAHTFKTDRAKYESTARSWTQKYAMG >EOY16971 pep chromosome:Theobroma_cacao_20110822:8:15537857:15539277:1 gene:TCM_036055 transcript:EOY16971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFVLGLPRTQSGKDAIWTNGQSERTIQTLEDMLRACVIDFTRSWDKHLPLVEFAYNNSFQSCIGMAPYEALYGRKCRTLLCWDEVGERKLFNVELIDLTNDKIKVIRERLKTAQDKQKSYSDRRRKNLEFEVDDRVFLKVFPWKGVIRFAKRGKLNLRYIGPFRIVERIGPVAYRLELPPELDQIHNVFHVSMLKKYVSDPSHILEAPPIELQEDLKFEVQPVRILDRKDRELRNKSIPMVKVLWKNARMEEMTWEVEHQMRNQYLHLFSESGK >EOY15388 pep chromosome:Theobroma_cacao_20110822:8:3106136:3108610:1 gene:TCM_034468 transcript:EOY15388 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH interacting protein 1 MMSQPSPDRNKVPETKQSTTSSESSQPAARSSDYAPYPKLDPKDVTPPPESWTNVSVGSQSQPNPGPAPISSSAATSMPAESNPYVSPAPVQSSSVKNKMDSVKDVLGKWGKKAAEDTKKAKEIAGNMWQHLKTGPSFADAAVGRIAQSTKVLAEGGYEKIFQQTFENVPEEQLLKTYACYLSTSAGPVMGVLYLSTAKLAFCSDNPLSYQVGDQTQWSYYKVVLPLHQLSTVNPSVSKANTAEKYIQIISVDNHEFWFMGFVHYDSAVKNLQGALQPRCS >EOY16863 pep chromosome:Theobroma_cacao_20110822:8:12430263:12440183:1 gene:TCM_035792 transcript:EOY16863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MRKQTYTVTMATFAYIGFLILPVIILFFIILRYSGKTNDLPWNWPIIGMLPMILTHFHRLADRCTEFLESSGGTFIVKGLWFTNTDLIFTSDPVNVHHIFSSNFSMYPKGIGWKKRFDVFGNTLFNSDFEEWKNHKVFFRGYLSHRRFHELLPKIFEDSMKKKLIPSLEHVSKQDIPVDFASLLKGYIFYISCRLATGCDACSSFPVSFHENLFSNAIMHACEAIFSRHIFPESIWKLQKWLGIGKEGKLRDAWITIDNVLAEQISLKRKEVSDRMAEEDIDFNALELHLSRHKLLGPISVSNGLIRDNMLGLMFATQDTTSTALSWFFWLLSRHPAVEKRIREEIQEYFPENGETKWLAYGAKELDKMVYLHAALCETLRLFPPVPFQTRTPLQNDTLPSGHRINRGQNILICTYAMGRMTSVWGEDCREFKPERWITHDGGIKHEPAHKFFAFNAGPRICPGKDVGFTLLKGIASAIIHNYHVKVVENHPVIHQSSIVLHMKHGLMVAVKKRWP >EOY15975 pep chromosome:Theobroma_cacao_20110822:8:5271295:5277474:1 gene:TCM_034884 transcript:EOY15975 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MSRPLHRGVSGIRISGNSNDFWDSQVKAKTEKDDLDRNRSPDQSYLSLRFPFRLLFPDSSPLKHGVTENGFASDPFSVGTPRSRHKLTMLFLKLSLIVIVILALTGSFWWTISISTSSRGHIFHGYRRLQEQLVSDLWDIGELSLGPSRLKEIEFCPEESENFIPCFNVSENLALGYSDGNEYDRLCGHGSRQRCLVLPPVNYKIPLRWPTGGDVIWVANVKITGQEVFSSGSLTKRMMMLEEEQISFRSALLMFDGVEDYSHQIAEMIGLRNESNFIQAGVRTILDIGCGYGSFGAHLFSKQLLTMCIANYESSGSQVQLTLERGLPAMIGSFNSKQLPYPSLSFDMLHCARCGIDWDQKDGIYLIEVDRVLKPGGYFVWTSPLTNVQSFLRNKEKQKRWNFVSNFAESLCWELMSQQDETVVWKKTIKKSCYNSRKPGFGPSICSKGQDVESPYYRPLQNCIGGMHSRRWLPIEERTTWPSRSNLNKNELALYGLHSEELNEDNANCKTTVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNARYGGFNAALLEAGKSVWVMNVVPTSGPNYLPLILDRGYVGVLHDWCEAFPTYPRTYDMVHAEGLLSLETSQNRRCTMLDLFTEIDRLLRPEGWVIIRDTAPLIESARALTTRLKWDARVVETESNSEERLLICQKPFFKRQAS >EOY15976 pep chromosome:Theobroma_cacao_20110822:8:5271295:5277474:1 gene:TCM_034884 transcript:EOY15976 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MSRPLHRGVSGIRISGNSNDFWDSQVKAKTEKDDLDRNRSPDQSYLSLRFPFRLLFPDSSPLKHGVTENGFASDPFSVGTPRSRHKLTMLFLKLSLIVIVILALTGSFWWTISISTSSRGHIFHGYRRLQEQLVSDLWDIGELSLGPSRLKEIEFCPEESENFIPCFNVSENLALGYSDGNEYDRLCGHGSRQRCLVLPPVNYKIPLRWPTGGDVIWVANVKITGQEVFSSGSLTKRMMMLEEEQISFRSALLMFDGVEDYSHQIAEMIGLRNESNFIQAGVRTILDIGCGYGSFGAHLFSKQLLTMCIANYESSGSQVQLTLERGLPAMIGSFNSKQLPYPSLSFDMLHCARCGIDWDQKDGIYLIEVDRVLKPGGYFVWTSPLTNVQSFLRNKEKQKRKPGFGPSICSKGQDVESPYYRPLQNCIGGMHSRRWLPIEERTTWPSRSNLNKNELALYGLHSEELNEDNANCKTTVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNARYGGFNAALLEAGKSVWVMNVVPTSGPNYLPLILDRGYVGVLHDWCEAFPTYPRTYDMVHAEGLLSLETSQNRRCTMLDLFTEIDRLLRPEGWVIIRDTAPLIESARALTTRLKWDARVVETESNSEERLLICQKPFFKRQAS >EOY15356 pep chromosome:Theobroma_cacao_20110822:8:3004530:3009127:1 gene:TCM_034447 transcript:EOY15356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGSISVSPQPQSQCSLMGHPQHNFTCRYALDKGLHGSDISISHIQFADDTFVFCQPYLEQLQNVTRILKGFQAIFGLKINIFKSNLIGGVAKKKFHHANWPLVTRPKECGGLDIIDLGLKNQTLLNKWIWRYANEPHCMWRQVVASKNKLDQQALFPFSRMSKRSIIWRNICRPMNSSDPLHGMIVSNLIFSLGQAKQGLLLTSGIGKATNGGGISILEGLLLIGKQTSGLAPPKVEVLCWQILNGKWQPNLNYTKENFSILTLPTALSVVSNHSSAPWRMKSLFDNIEVLKCDVKAILFKHIFREDNNLANSLTKAAVMRLGDSQLKGFSLPSQLFSGNPLASSFNEMLVVCELACLLALWNICVGMIAGSMLCKSGKQSLAMLYFPGLTSCCNFWVLSLAAG >EOY14521 pep chromosome:Theobroma_cacao_20110822:8:512934:514261:1 gene:TCM_033876 transcript:EOY14521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSVFYQEEQPRQSKRCKFLASVLKEAFSNCHAFGGHSGPEEEYPTSDIDDESEVVVSEIRSRAMEKMKRRPSLTAENISWVFSPSTGELFITSKHAKRRDKDNEDEEREEFFSVGSCFSFCSSAVSREAFLSAKANFSRCSSLNKVDFPDFWKFDLQDFRRRSIIQEFCHCEGWPFGLCRKAVLLPPLPKSP >EOY14520 pep chromosome:Theobroma_cacao_20110822:8:512942:514656:1 gene:TCM_033876 transcript:EOY14520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSVFYQEEQPRQSKRCKFLASVLKEAFSNCHAFGGHSGPEEEYPTSDIDDESEVVVSEIRSRAMEKMKRRPSLTAENISWVFSPSTGELFITSKHAKRRDKDNEDEEREEFFSVGSCFSFCSSAVSREAFLSAKANFSRCSSLNKVDFPDFWKFDLQDFRRRSIIQEFCHCEGWPFGLCRKAVLLPPLPKSPSESWSWRKGTRLAKTPYI >EOY17376 pep chromosome:Theobroma_cacao_20110822:8:19740210:19742179:1 gene:TCM_036522 transcript:EOY17376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDIDFLMPYLVHLVVLYVSVGVIYLVYKYKSRPATPNFPPGRKGLPYLGETLDYVLASRRGTPEKFVTDRTTKYSPDVFRTSLLGEDMAIFCGAAGNKFLFSGQNKYVTSWWPHSIKKALMDPSSVDNSSKEESTKLRAYLPPFLKPESLQHYIPVMDIMAKEHLDQHWSPYNQVQVFPLSKKYTFSLACRLFMSVHDQEEIEKFAKPFALATAGLISVPIDLPGSTFNRAVKAGKLIRQELLALITKKKNELSEKGLTVASDLVDSMLMDGMTEIEIGNKIVGFFIASHDTTSTAITFIVSYLTDYRDIYNRVLEEQMDILRTKGPGEPLRWEDIQKMKYTWCVACEAMRLAPPANGAFREAITDFTYAGYTIPKGWKAFWTVHSTNKNPNYFPDPEKFDPSRFEGNGPAPYTFVPFGGGPRMCPGKEYARLEILTFIHNLVTRFKWEKLNPNEKISYIPSPIPEEGLPIKLQAA >EOY16296 pep chromosome:Theobroma_cacao_20110822:8:6581886:6582395:-1 gene:TCM_035117 transcript:EOY16296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKSKRIFCYRNNCFFFCFLGGISTSACGYGGEYNNNRAASCGETLGVNGTNIVVGSCKDPSVKSK >EOY17161 pep chromosome:Theobroma_cacao_20110822:8:18140430:18152576:1 gene:TCM_036324 transcript:EOY17161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor SUI1 family protein isoform 3 MFKKAVEAKAHQRLSGADRKKLKRTLRDRFPTASDADIDALLPPKTEITVAKFQNRAHVYGVEGGFPVFFDVDGRGTEIYPTVFALWKVPELMPSFMLKGGEVSRFVIGGADLMFPGISVAAEGLPSFSAGEPWAVKVPGNPAPIAVGSTTMSSTEALKAGLRGKALRIMHYYRDLLWESVEGHYVPNAGFLEDAVFEDPSFLSSNQPSVSSEGAADGSDAQQSGINNEDIGESVNVNDVVSERLAASAQNYSENAAEEITPDVSDLKLSENVAATESNTEHNSLSTEGVDSHLDRCLLQALHTTVKDKDLPMPGSTLWSNHVLACRPSGITLDIKKSSHKKLSKWLQAKSSTGLIVVKEDKYKKEAMLISVNRAHPDYLSFKPEKRPVEKVDQAGDSAASECLSQKVLEVVEVYKSSVHVKPIFASVGADTGKLYSASEASDIVFKYIEKENLVKQTNKAIMVLDATLCDALFKGAIKKGSTYPTEIHKKDLGSTFISRMQAHHIVTRGSESAVRKGALKTVQIVTERRQGNKKVTKVSGLETFLVDAEALASELQKKFACSTTVAELPGKKGHEVLIQGGVIDDVARHLVEQYGIPKRYIEFLDKTRK >EOY17162 pep chromosome:Theobroma_cacao_20110822:8:18140430:18152576:1 gene:TCM_036324 transcript:EOY17162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor SUI1 family protein isoform 3 MFKKAVEAKAHQRLSGADRKKLKRTLRDRFPTASDADIDALLPPKTEITVAKFQNRAHVYGVEGGFPVFFDVDGRGTEIYPTVFALWKVPELMPSFMLKGGEVSRFVIGGADLMFPGISVAAEGLPSFSAGEPWAVKVPGNPAPIAVGSTTMSSTEALKAGLRGKALRIMHYYRDLLWESVEGHYVPNAGFLEDAVFEDPSFLSSNQPSVSSEGAADGSDAQQSGINNEDIGESVNVNDVVSERLAASAQNYSENAAEEITPDVSDLKLSENVAATESNTEHNSLSTEGVDSHLDRCLLQALHTTVKDKDLPMPGSTLWSNHVLACRPSGITLDIKKSSHKKLSKWLQAKSSTGLIVVKEDKYKKEAMLISVNRAHPDYLSFKPEKRPVEKVDQAGDSAASECLSQKVLEVVEVYKSSVHVKPIFASVGADTGKLYSASEASDIVFKYIEKENLVKQTNKAIMVLDATLCDALFKGAIKKGSTYPTEIHKKDLGSTFISRMQAHHIVTRGSESAVRKGALKTVQIVTERRQGNKKVTKVSGLETFLVDAEALASELQKKFACSTTVAELPGKKGHEVLIQGGVIDDVARHLVEQYGIPKRYIEFLDKTRK >EOY17163 pep chromosome:Theobroma_cacao_20110822:8:18141083:18152108:1 gene:TCM_036324 transcript:EOY17163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor SUI1 family protein isoform 3 MLMGEAQKFTPLVFFALWKVPELMPSFMLKGGEVSRFVIGGADLMFPGISVAAEGLPSFSAGEPWAVKVPGNPAPIAVGSTTMSSTEALKAGLRGKALRIMHYYRDLLWESVEGHYVPNAGFLEDAVFEDPSFLSSNQPSVSSEGAADGSDAQQSGINNEDIGESVNVNDVVSERLAASAQNYSENAAEEITPDVSDLKLSENVAATESNTEHNSLSTEGVDSHLDRCLLQALHTTVKDKDLPMPGSTLWSNHVLACRPSGITLDIKKSSHKKLSKWLQAKSSTGLIVVKEDKYKKEAMLISVNRAHPDYLSFKPEKRPVEKVDQAGDSAASECLSQKVLEVVEVYKSSVHVKPIFASVGADTGKLYSASEASDIVFKYIEKENLVKQTNKAIMVLDATLCDALFKGAIKKGSTYPTEIHKKDLGSTFISRMQAHHIVTRGSESAVRKGALKTVQIVTERRQGNKKVTKVSGLETFLVDAEALASELQKKFACSTTVAELPGKKGHEVLIQGGVIDDVARHLVEQYGIPKRYIEFLDKTRK >EOY15780 pep chromosome:Theobroma_cacao_20110822:8:4623661:4626051:1 gene:TCM_034746 transcript:EOY15780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MASWCLYLPIFLALYVFTKHFLNRIHNLPPSPFPCLPIIGHLYLLKKPLYRTLSKIANRNGPILFFKFGSRPVLVVSSPSAAEECFTKNDIIFANRPRLLIAKHLAYNYTALSWAPYGDHWRNLRRIASIEILSTNRLQLLSGIRMDEVKSLMRNLSGHPDEPVELRRAFFELTFNVMMRMIAGKRYYGCENVEDMEEARRFREIQVEALELSSATNIGDFLPWLKSRKLERKLMECGKKRDKFMQDLIEQHRRKMRSDPDGERKKTMIEILLSLQESESEYYTDEIIRGLMLILLMAGTETSINTMEWALSLLLNNPEVLKKAQIEIVNTVGHEHLIDESDLAQLPYLRSIISETLRMYPPVPLLVPHESSEECIVEGFRVPSGTMLLVNAWAIQNDPSIWEDPASFKPERFEGVEGARDGFKWMPFGSGRRGCPGEGLGLRIVGLTLGSLIQCFEWSRVGDNMVDMRAGTGFTMPKAQPLQAVCRPRTTVLELLSQL >EOY14979 pep chromosome:Theobroma_cacao_20110822:8:1871117:1871832:1 gene:TCM_034196 transcript:EOY14979 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MSAGLGKCSKIRHIVRLRQMLRRWRNKARMSAGRIPSDVPEGHVAVCVGTSCRRFVVRATYLNHPVFKKLLVQAEEEYGFTNQGPLAIPCDESVFEEVIRFISRSESGHSARFVNLEDFKGNCHVGIRSQLNLWTESRPLLHGFAEKTIW >EOY16146 pep chromosome:Theobroma_cacao_20110822:8:5944242:5949587:1 gene:TCM_035005 transcript:EOY16146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 9 domain isoform 1 MENADVFLGLQDFLERMRQPSAADFVKSIKSFIVSFSNNAPDPERDSAAVQSFLANMEADFRAHPLWAGCSEEELDSAGEGLEKYVMTKLFTRVFASLPDDVKHDEQLSEKMALIQQFVRPENLDIKPNFQNETSWLLAQKELQKINMYKAPRDKLVCILNCCKVINNLLLNASIASNENPPGADEFLPVLIYVTIKANPPQLHSNLLYIQRYRRQSRLVAEAAYFFTNMLSAESFISSIDAKALSMEETEFEKNMEFARALLSGLSTDVDGLSSQIDQIAGHDPGESTESRHKTLKEDHLVQPKSLETKPSNKEDQLSITKIPSISELENRGAAMLLKEDQTSKVFRDYPYLFAHAGDLTISDVEDLLNNYKQLVFKYVSLLKGSGGGSATFPSSGSLAQAHHHVETVKESAENRVLEQNIGLPKDTDTVDDGPNRVSNQENIESDFSKDEVVPPSKDNHDDTSE >EOY16147 pep chromosome:Theobroma_cacao_20110822:8:5944233:5949011:1 gene:TCM_035005 transcript:EOY16147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 9 domain isoform 1 MENADVFLGLQDFLERMRQPSAADFVKSIKSFIVSFSNNAPDPERDSAAVQSFLANMEADFRAHPLWAGCSEEELDSAGEGLEKYVMTKLFTRVFASLPDDVKHDEQLSEKMALIQQFVRPENLDIKPNFQNETSWLLAQKELQKINMYKAPRDKLVCILNCCKVINNLLLNASIASNENPPGADEFLPVLIYVTIKANPPQLHSNLLYIQRYRRQSRLVAEAAYFFTNMLSAESFISSIDAKALSMEETEFEKNMEFARALLSGLSTDVDGLSSQIDQIAGHDPGESTESRHKTLKEDHLVQPKSLETKPSNKEDQLSITKIPSISELENRGAAMLLKEDQTSKVFRDYPYLFAHAGDLTISDVEDLLNNYKQLVFKYVSLLKGSGGGSATFPSSGSLAQAHHHVETVKESAENRVLEQNIGLPKDTDTVDDGPNRVSNQENIESDFSKDEVVPPSKDNHDDTSE >EOY16794 pep chromosome:Theobroma_cacao_20110822:8:11250190:11251230:1 gene:TCM_035674 transcript:EOY16794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELHALISTHGELSRSIIKDEEDVALILLEQRNVPAVYVTIKECDTNIMPHEEDVQHGNHLNQNKIYSASHIPQNSISNPQQMQLTYAHEFVQPDRHMTFIEHLAAQFQLAYASNQSLASVQQIQPLGETIEGVTPFSNEIATLEDNITMLEDDTATLKDNIASNQENKDLLPTDEDRFDDNTDDELDEWHHDSLDDDWLYDNDIPNHNNVEGEIEHVRGVDVGDIQCDDPIYNNPIVGVFLFFPICWPITLHVHQ >EOY15112 pep chromosome:Theobroma_cacao_20110822:8:2273602:2279991:-1 gene:TCM_034282 transcript:EOY15112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein MRTVKGTTFSSLSSVVFCWFAFVIVCQRTVKAQSLPPAKYDGFVYTNRRVDSDTIIIEAFFDPVCSDSRDAWPALKQAIQHYGPRVMLTIHLLPLPYHDYAFATSRALHIVNLLNPSATFGLLESFFKHQERFLSDQTLNMSRVAVVNEIMKFTAESVGNSYYSAIKSGFNDSKTDLKTRVSFKYGTSRGVFGTPTFYINGFALPDPGSAIDYKKWRSVIDPLLAAQGRKRKGATFSSLSSVVFCLFAFVYVSERTVQAQSLPPAKYDGFVYTNRQVDSDPIVIEAFFDPVCPDSRDSWPPLKQAIQHYGSRVMLTIHLLPLPYHDYAFATSRALHIVNLLNPSATFTLLESFFEHQERFYNDQTRNMSRVAVVNEIIKFTAESVGNSYYSAIESGFSDRKTDLKTRVSFKYSASRGVFGTPSFYINGFALPDPGSAIDYKGWRSIIDPLLVAQGRKSDNSRHLFF >EOY15926 pep chromosome:Theobroma_cacao_20110822:8:5061859:5071694:1 gene:TCM_034847 transcript:EOY15926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Queuine tRNA-ribosyltransferase MALRFEVLGRFNRARAAQLTLPHFVCQTPLFMPVGTQGTIKGLTTNQLEEIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRAMLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIEIQNRIGADIIMALDDVVRTTITGPRIEEAMYRTLRWIDRCIAAHKRPHEQNLFGIVQGGLDPVLRDICVRGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHRAMADDTRPIDPTCACMVCKNYTRAYIHSLVTKDAMGSQLLSYHNLYYMMQLSRNLHSSIVRGRFPEFVCNFLQKMFPKGDVPEWVCNAMEVAGIDISSCCAPFPSAQD >EOY15962 pep chromosome:Theobroma_cacao_20110822:8:5179961:5182372:-1 gene:TCM_034872 transcript:EOY15962 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box with wd-40 2 isoform 1 MFMWFPKYESTPFLSCFLDPKSKEMEEQSDFRRWDELIPDALGLIFRNLSLQEVLTVIPSVCKSWRKAVTGPYCWQEIDIEEWSNRCQPDHLDRMLRMLITRSSGSLRKLCVSGLQNDAVFSFITENASSLRALRLPRGEMSDSIVEQTAGRLSTITFLDLSYCGKIGARALEAIGKHCKLLVSLCRNMHPIDTAGKLSQDDEANAIAATMPRLKRLEMAYHRISTGSVLKILSSCPQLDFLDLRGCWDVKLDAQFLKEKFPKLKILGPLVMDYYEMDEWDDCSDYSDSSEYLAWEFLAGEMGDYDDYDIYDGMWHGEGRLEELELRFYEGIGEDAGMFGWPPSP >EOY15960 pep chromosome:Theobroma_cacao_20110822:8:5179968:5182576:-1 gene:TCM_034872 transcript:EOY15960 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box with wd-40 2 isoform 1 MEEQSDFRRWDELIPDALGLIFRNLSLQEVLTVIPSVCKSWRKAVTGPYCWQEIDIEEWSNRCQPDHLDRMLRMLITRSSGSLRKLCVSGLQNDAVFSFITENASSLRALRLPRGEMSDSIVEQTAGRLSTITFLDLSYCGKIGARALEAIGKHCKLLVSLCRNMHPIDTAGKLSQDDEANAIAATMPRLKRLEMAYHRISTGSVLKILSSCPQLDFLDLRGCWDVKLDAQFLKEKFPKLKILGPLVMDYYEMDEWDDCSDYSDSSEYLAWEFLAGEMGDYDDYDIYDGMWHGEGRLEELELRFYEGIGEDAGMFGWPPSP >EOY15961 pep chromosome:Theobroma_cacao_20110822:8:5180059:5182609:-1 gene:TCM_034872 transcript:EOY15961 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box with wd-40 2 isoform 1 MEEQSDFRRWDELIPDALGLIFRNLSLQEVLTVIPSVCKSWRKAVTGPYCWQEIDIEEWSNRCQPDHLDRMLRMLITRSSGSLRKLCVSGLQNDAVFSFITENASSLRALRLPRGEMSDSIVEQTAGRLSTITFLDLSYCGKIGARALEAIGKHCKLLVSLCRNMHPIDTAGKLSQDDEANAIAATMPRLKRLEMAYHRISTGSVLKILSSCPQLDFLDLRGCWDVKLDAQFLKEKFPKLKILGPLVMDYYEMDEWDDCSDYSDSSEYLAWEFLAGEMGDYDDYDIYDGMWHGEGRLEELELRFYEGIGEDAGMFGWPPSP >EOY15691 pep chromosome:Theobroma_cacao_20110822:8:4274064:4286198:-1 gene:TCM_034677 transcript:EOY15691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-type calcium-transporting ATPase 3 isoform 1 MEDAYARSVSEVLDFFEVDSTKGLTDTQVSQHARLYGKNVLPEEERTPFWKLVFKQFDDLLVKILIAAAVVSFVLALINGETGLTAFLEPSVILLILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDVVEVSVGSKIPADMRMIEMLSDQLRVDQAILTGESSSVEKDLESTMATNAVYQDKTNILFSGTVVVAGRARAVVIGVGANTAMGNIRDSMMQTDDEVTPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPSHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVNSVQHGPAVAEFGVSGTTYAPEGFIFDSSGIQLEFPAQLPCLLHIAMCSALCNESLLQYNPDKGNYEKIGESTEVALRVLAEKVGLPGFDSMPSALNMLSKHERASYCNHYWENQFKKVSVLEFSRDRKMMSVLCSHKQMEIMFSKGAPESVISRCTNILCNSDGSTVPLTATLRTELESRFHSFAGKETLRCLALALKIMPNGQQILSIDDEKDLTFIGLVGMLDPPREEVRNAMLSCMTAGIRVIVVTGDNKSTAESVCRKIGAFDHLVDFVGCSYTAAEFEELPAMQQTVALRRMALFTRVEPSHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKVCIIVLFSECFRYGFS >EOY15690 pep chromosome:Theobroma_cacao_20110822:8:4269844:4286245:-1 gene:TCM_034677 transcript:EOY15690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-type calcium-transporting ATPase 3 isoform 1 MEDAYARSVSEVLDFFEVDSTKGLTDTQVSQHARLYGKNVLPEEERTPFWKLVFKQFDDLLVKILIAAAVVSFVLALINGETGLTAFLEPSVILLILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDVVEVSVGSKIPADMRMIEMLSDQLRVDQAILTGESSSVEKDLESTMATNAVYQDKTNILFSGTVVVAGRARAVVIGVGANTAMGNIRDSMMQTDDEVTPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPSHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVNSVQHGPAVAEFGVSGTTYAPEGFIFDSSGIQLEFPAQLPCLLHIAMCSALCNESLLQYNPDKGNYEKIGESTEVALRVLAEKVGLPGFDSMPSALNMLSKHERASYCNHYWENQFKKVSVLEFSRDRKMMSVLCSHKQMEIMFSKGAPESVISRCTNILCNSDGSTVPLTATLRTELESRFHSFAGKETLRCLALALKIMPNGQQILSIDDEKDLTFIGLVGMLDPPREEVRNAMLSCMTAGIRVIVVTGDNKSTAESVCRKIGAFDHLVDFVGCSYTAAEFEELPAMQQTVALRRMALFTRVEPSHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKAKPRKVGEAVVTGWLFFRYLVIGAYVGLATVAGFIWWFVYAETGPKLLYAELMNFDTCSTRETTYPCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIPPWSNLWLVASIILTMLLHILVLYVPPLSTLFSVTPLSWAEWTVILYLSFPVIIIDEVLKFFSRNSYGIRFNFRFRRFDALPKKELRDK >EOY15867 pep chromosome:Theobroma_cacao_20110822:8:4899383:4902863:1 gene:TCM_034806 transcript:EOY15867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 5 isoform 1 MDIHPPNLAIEFDDDTTALDLEHPLPFDHYDPALAQPLSTLGDPNLEPYEGMEFDSEQAARIFYNSYARRIGFSTRVSVYQRSRRDGSIICRQIVCSREGFRRDAGQNSSKRQRTITRVGCKAQITVKKQTSGKWAVSKLVKDHNHELVPPDKVHCLRSHRHVSGPARSLIDTLQAAGMGPSGVMSVLIKESGGVNNVGFTKVDCQNYMSSSRQRTLGSGGQVVFDYLKQMQTEDPAFFYAVQGDFGNSTGNIFWADAHSRMNYNHFGDTVAFDTTYRTNRYRVPFAPFTGWNHHGQPVLFGCALLLNESESSFVWLFQTWLAAMSGRHPISITTDQDRIIRAAVAQVFQGTRHRFCKWNVFREAQEKLSDVYHSHPTFEAEIQRCINVTETVDEFESCWESLLLRYSLGDNEWLQSMYNTRQHWVPVYLRDTFFGEMSINQGSDSINSYFDGYINASTNAQVLIKQYEKAVANRYEKEVKADYDTLNIAPALKTPSPMEKQAANLYTRKIFMKFQEELVETLAYPATAIDDSGLEVTYRVAKFGEDHQAHFVKFNVFGKKASCSCQMFEFSGIICKHVLAVFRVTNVLTLPSHYILKRWTRNAKSGAAVDGCTVELPSNIQESYAARYDNLCHEAIKFVREGTGSIHTFNVAMDALHEAAKKVASAKKNDSAVIKNSPFNSGQQLQSGSENQEKKIQELSVELEDASRRCEGYRAKLAAVLKDMEEQKLKMSVKVQNVRLILKD >EOY15866 pep chromosome:Theobroma_cacao_20110822:8:4899331:4902798:1 gene:TCM_034806 transcript:EOY15866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 5 isoform 1 MDIHPPNLAIEFDDDTTALDLEHPLPFDHYDPALAQPLSTLGDPNLEPYEGMEFDSEQAARIFYNSYARRIGFSTRVSVYQRSRRDGSIICRQIVCSREGFRRDAGQNSSKRQRTITRVGCKAQITVKKQTSGKWAVSKLVKDHNHELVPPDKVHCLRSHRHVSGPARSLIDTLQAAGMGPSGVMSVLIKESGGVNNVGFTKVDCQNYMSSSRQRTLGSGGQVVFDYLKQMQTEDPAFFYAVQGDFGNSTGNIFWADAHSRMNYNHFGDTVAFDTTYRTNRYRVPFAPFTGWNHHGQPVLFGCALLLNESESSFVWLFQTWLAAMSGRHPISITTDQDRIIRAAVAQVFQGTRHRFCKWNVFREAQEKLSDVYHSHPTFEAEIQRCINVTETVDEFESCWESLLLRYSLGDNEWLQSMYNTRQHWVPVYLRDTFFGEMSINQGSDSINSYFDGYINASTNAQVLIKQYEKAVANRYEKEVKADYDTLNIAPALKTPSPMEKQAANLYTRKIFMKFQEELVETLAYPATAIDDSGLEVTYRVAKFGEDHQAHFVKFNVFGKKASCSCQMFEFSGIICKHVLAVFRVTNVLTLPSHYILKRWTRNAKSGAAVDGCTVELPSNIQESYAARYDNLCHEAIKFVREGTGSIHTFNVAMDALHEAAKKVASAKKNDSAVIKNSPFNSGQQLQSGSENQEKKIQELSVELEDASRRCEGYRAKLAAVLKDMEEQKLKMSVKVQNVRLILKD >EOY14571 pep chromosome:Theobroma_cacao_20110822:8:612103:616751:1 gene:TCM_033901 transcript:EOY14571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF547 [Source:Projected from Arabidopsis thaliana (AT5G42690) TAIR;Acc:AT5G42690] MNTRVRASLQSRKVPGKHEKEKVDMQETKPTVATKAMKNRRASSKERKMVLQQDVDKLKKKLRQEENIHRALERAFNRPLGALPRLPPYLPPSTLELLAEVAVLEEEVVRLEEKVVHFRQDLYQEAVYISSSKRNMDNSADLCEPSLDKSPKPEQPKILTRDTSMARHLQSFSDDGRGKENQSCTNSTKSNKGSLVHKSQSVRTPVERPLIDSKPAEKRIDPQKLQLECRIRDQGNTEARIISTPDERRLGDDEPNKVSEELVKCLSSIFLRMSSTKRKSTAEGSPSLSMLGSQESSEETEFRDPYGTCSNFGRRDIGPYKNLFSIDAGSINPNRTSKSLFLLRRLKLLLERLASSNLLNLNHQEKLAFWINIYNSCMMNAFLEHGVPDSPKMVVELMRKATINVGGRLLNAITIEHFILRLPYHSKFIFSKGVKNDEMTARSIFGLELSEPLVTFALSCGSWSSPAVRVYTASQVENELEVAKREYLQAAVGISSTKFAIPKLLDWYLLDFAKDLDSLLDWICLQLPSELGKEAIKYLERAKSESLSQFVQIMPYEFSFRYLLCT >EOY14572 pep chromosome:Theobroma_cacao_20110822:8:612914:617181:1 gene:TCM_033901 transcript:EOY14572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF547 [Source:Projected from Arabidopsis thaliana (AT5G42690) TAIR;Acc:AT5G42690] MQETKPTVATKAMKNRRASSKERKMVLQQDVDKLKKKLRQEENIHRALERAFNRPLGALPRLPPYLPPSTLELLAEVAVLEEEVVRLEEKVVHFRQDLYQEAVYISSSKRNMDNSADLCEPSLDKSPKPEQPKILTRDTSMARHLQSFSDDGRGKENQSCTNSTKSNKGSLVHKSQSVRTPVERPLIDSKPAEKRIDPQKLQLECRIRDQGNTEARIISTPDERRLGDDEPNKVSEELVKCLSSIFLRMSSTKRKSTAEGSPSLSMLGSQESSEETEFRDPYGTCSNFGRRDIGPYKNLFSIDAGSINPNRTSKSLFLLRRLKLLLERLASSNLLNLNHQEKLAFWINIYNSCMMNAFLEHGVPDSPKMVVELMRKATINVGGRLLNAITIEHFILRLPYHSKFIFSKGVKNDEMTARSIFGLELSEPLVTFALSCGSWSSPAVRVYTASQVENELEVAKREYLQAAVGISSTKFAIPKLLDWYLLDFAKDLDSLLDWICLQLPSELGKEAIKYLERAKRKPPLIPNFISCGP >EOY14573 pep chromosome:Theobroma_cacao_20110822:8:612103:616751:1 gene:TCM_033901 transcript:EOY14573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF547 [Source:Projected from Arabidopsis thaliana (AT5G42690) TAIR;Acc:AT5G42690] MNTRVRASLQSRKVPGKHEKEKVDMQETKPTVATKAMKNRRASSKERKMVLQQDVDKLKKKLRQEENIHRALERAFNRPLGALPRLPPYLPPSTLELLAEVAVLEEEVVRLEEKVVHFRQDLYQEAVYISSSKRNMDNSADLCEPSLDKSPKPEQPKILTRDTSMARHLQSFSDDGRGKENQSCTNSTKSNKGSLVHKSQSVRTPVERPLIDSKPAEKRIDPQKLQLECRIRDQGNTEARIISTPDERRLGDDEPNKVSEELVKCLSSIFLRMSSTKRKSTAEGSPSLSMLGSQESSEETEFRDPYGTCSNFGRRDIGPYKNLFSIDAGSINPNRTSKSLFLLRRLKLLLERLASSNLLNLNHQEKLAFWINIYNSCMMNATINVGGRLLNAITIEHFILRLPYHSKFIFSKGVKNDEMTARSIFGLELSEPLVTFALSCGSWSSPAVRVYTASQVENELEVAKREYLQAAVGISSTKFAIPKLLDWYLLDFAKDLDSLLDWICLQLPSELGKEAIKYLERAKSESLSQFVQIMPYEFSFRYLLCT >EOY16005 pep chromosome:Theobroma_cacao_20110822:8:5386016:5393221:1 gene:TCM_034907 transcript:EOY16005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related protein MQKLGFPSMKSLDHFKSLSGSGSRAARTFSVPSRPSTDSVSLGSFANLKLTAEKLVKEQASVRTDLEMANSKLKKSMEHIRVLEEKLQNAFNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLQHLASQVQNAEKDTEFFEGKLSESSKVIESLNEHMNGLSLKLSSAEETIRNCGKEIEELKFEKEENGRFYKDEQCKSANLIEEKDALIKKFEATVAANKQAAESLNSKMEEVQLELRSKEDEIKCLLTTQENLEKGKTNLLLSNDEFAKKLSISLWEIKNLEGFVAVLAAQLVELDKQNLTFTDKFDEINALYDTCFKLVQQEKILTAKHAQKKYEQLDDKFLCITSERDALQLVNQELNSKIIERQKAQESVVSQLSEECRLAGERIQKLESEAESLVSKKTEAEKLVLKLEEKIDTLSESSRSSENKMQDLLLKISALEMENKDNSEKMQAEIQGKTEEIDNLEKECGKREMQVDSLEKQVGQLQVMLEEKERLILQYKEREKKLEDHISENQAMLTAAESNLVEARKQYDVMLESKQLELSRHLKEISQRNDQAINDMRRKYEVEKQEIVKLEKEKADKVVGEMEQKCDQKVAECKEESRLHLLRIQEENAALVTRLQQEHDRKELNLKADHHEELKCAQLQAENELREKTTFLRSEHEVQIKALRCQFEDECRKLEEELSLQKSKEDRQRALLQLQWKVMGDKPQEEQEVNSKKDYSISSIKMRDSDGGKRSQLALVRPENEEKESPFPGVTQTPVSKLLKKVENANTGSVMSIPKHHKKVTHHEYEVETTNGRTITKRRKTRSTVLFEDPRKHKKVRTPKANTPRSFVKGTKGSHPKASNIGDLFSEGSLNPYADDPYAFD >EOY14988 pep chromosome:Theobroma_cacao_20110822:8:1891248:1892261:-1 gene:TCM_034199 transcript:EOY14988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQGMEKLGLDCSNSSKILNEQTYNHDFSLHLALGVWKAPCHFFQLEQLDFELKLTPNQETRINNYGVCKAAKFNVPPDFAYDFSFLGVANGPVLPPIIEQRSSPFDQLNEFYILNYTFQHVSWGNPGKKHRLLCLERIRKAYISRHFTHVVRSPGMKRPE >EOY17112 pep chromosome:Theobroma_cacao_20110822:8:17897006:17902876:-1 gene:TCM_036282 transcript:EOY17112 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein, putative isoform 2 MAEEEVVAAAPSPVPSDHKRKLEDVETQVPPVGMPLESAVDPDADNNDVAASDSSEAKRPRLDDDKTDGGLASENGFQAGKSDEPAKEEEEASQQNEVNKQSEDGDAPSDEAQATVKPEQVEGTTEETEQLSTDNHSHAHSADAGGSPSLVARGLATTQAAGAADHIEIQVPNEKVGLIIGRGGETIKGLQTRSGARIQLIPQHLPEGDGSKERTVRVTGDKKQIEIAREMIKDVMNQTVRPSPLSGGFNQPPYRPRGTTGPPQWGPRGHPAQTASYDYQQRGPYPSQSSHYQPPPYGGYPSHQMAPRSNFGSGWEQRPHSMQGPPQTGGYDYYSRQGSASGPHSNPIPVHAPGPAPAPAIGPSSAQSNYNYGQPHGPADYSHPPYSQAAHQHSYGHGYEEKYENHTQAQHPYGGLGSSQPGYAQSGPQPGYAPQQQYGKQPSYGMQSQGPQTYGPPANQPEVPYQGPTAQSYGPNVPPQQQYPYASGAPMQQSYPPYGSAPPSDGYSQPAPVTGQAYPQQGSQPVPGYSQPSAQQATAYAPASTAAGYGQYPPSQQGYSEQPAPTNAGYGYQGAQDPAYGGAPVTTYGAAASGQTTYAQSTAAQPTYDQSVPQSGGYAAAPGSAPVAYAKTVSPQPGYQQYDSTQMYAAPR >EOY17111 pep chromosome:Theobroma_cacao_20110822:8:17896890:17902849:-1 gene:TCM_036282 transcript:EOY17111 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein, putative isoform 2 MAEEEVVAAAPSPVPSDHKRKLEDVETQVPPVGMPLESAVDPDADNNDVAASDSSEAKRPRLDDDKTDGGLASENGFQAGKSDEPAKEEEEASQQNEVNKQSEDGDAPSDEAQATVKPEQVEGTTEETEQLSTDNHETTDAQLGKVESSETDNGQEPAKEDNKEPAEEMNQLEVDDGSTITRKMEVPNAKVGVLIGKAGDTIRYLQYNSGAKIQIMRDADADREAPTRPVEIIGTLSSIMKAEKLINAVIAEADAGGSPSLVARGLATTQAAGAADHIEIQVPNEKVGLIIGRGGETIKGLQTRSGARIQLIPQHLPEGDGSKERTVRVTGDKKQIEIAREMIKDVMNQTVRPSPLSGGFNQPPYRPRGTTGPPQWGPRGHPAQTASYDYQQRGPYPSQSSHYQPPPYGGYPSHQMAPRSNFGSGWEQRPHSMQGPPQTGGYDYYSRQGSASGPHSNPIPVHAPGPAPAPAIGPSSAQSNYNYGQPHGPADYSHPPYSQAAHQHSYGHGYEEKYENHTQAQHPYGGLGSSQPGYAQSGPQPGYAPQQQYGKQPSYGMQSQGPQTYGPPANQPEVPYQGPTAQSYGPNVPPQQQYPYASGAPMQQSYPPYGSAPPSDGYSQPAPVTGQAYPQQGSQPVPGYSQPSAQQATAYAPASTAAGYGQYPPSQQGYSEQPAPTNAGYGYQGAQDPAYGGAPVTTYGAAASGQTTYAQSTAAQPTYDQSVPQSGGYAAAPGSAPVAYAKTVSPQPGYQQYDSTQMYAAPR >EOY15541 pep chromosome:Theobroma_cacao_20110822:8:3679672:3683418:-1 gene:TCM_034561 transcript:EOY15541 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 8 isoform 4 MVVRKVGKYEIGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSTIIKHKMVDQIKREISIMKLVRHPYVVRLHEVIASRTKIYIILEFITGGELFDKIVHNGRLSEAEARRYFQQLIDGVEYCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSALPEQGVSLLRTTCGTPNYVAPEVLSHKGYDGAVADVWSCGVILYVLMAGYLPFDELDLTTLYSKIERAEFSCPSWFPVGAKSLIHRILDPNPQTRITIEQIRSDEWFKKGFVPVRLLEYEDINLDDVNAVFDDPEVSKEERGNEQSGNEDMGPLILNAFDLIILSQGLNLATLFDRGQDSMKYQTRFVSQKPARVVLSSMEVVAQSMGYKTHIRNYKMRVEGLSANKTSHFSVILEVFEVAPTFLMVDIQKAAGDAGEYLKFYKTFCSNLEDIIWKPPNESSKSRITKSKSKRR >EOY15543 pep chromosome:Theobroma_cacao_20110822:8:3679294:3683767:-1 gene:TCM_034561 transcript:EOY15543 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 8 isoform 4 MVVRKVGKYEIGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSTIIKHKMVDQIKREISIMKLVRHPYVVRLHEVIASRTKIYIILEFITGGELFDKIVHNGRLSEAEARRYFQQLIDGVEYCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSALPEQGVSLLRTTCGTPNYVAPEVLSHKGYDGAVADVWSCGVILYVLMAGYLPFDELDLTTLYSKIERAEFSCPSWFPVGAKSLIHRILDPNPQTRITIEQIRSDEWFKKGFVPVRLLEYEDINLDDVNAVFDDPEEFYKTFCSNLEDIIWKPPNESSKSRITKSKSKRR >EOY15540 pep chromosome:Theobroma_cacao_20110822:8:3679289:3683743:-1 gene:TCM_034561 transcript:EOY15540 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 8 isoform 4 MVVRKVGKYEIGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSTIIKHKMVDQIKREISIMKLVRHPYVVRLHEVIASRTKIYIILEFITGGELFDKIVHNGRLSEAEARRYFQQLIDGVEYCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSALPEQGVSLLRTTCGTPNYVAPEVLSHKGYDGAVADVWSCGVILYVLMAGYLPFDELDLTTLYSKIERAEFSCPSWFPVGAKSLIHRILDPNPQTRITIEQIRSDEWFKKGFVPVRLLEYEDINLDDVNAVFDDPEEERGNEQSGNEDMGPLILNAFDLIILSQGLNLATLFDRGQDSMKYQTRFVSQKPARVVLSSMEVVAQSMGYKTHIRNYKMRVEGLSANKTSHFSVILEVFEVAPTFLMVDIQKAAGDAGEYLKFYKTFCSNLEDIIWKPPNESSKSRITKSKSKRR >EOY15542 pep chromosome:Theobroma_cacao_20110822:8:3679296:3683630:-1 gene:TCM_034561 transcript:EOY15542 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 8 isoform 4 MVVRKVGKYEIGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSTIIKHKMVDQIKREISIMKLVRHPYVVRLHEVIASRTKIYIILEFITGGELFDKIVHNGRLSEAEARRYFQQLIDGVEYCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSALPEQGVSLLRTTCGTPNYVAPEVLSHKGYDGAVADVWSCGVILYVLMAGYLPFDELDLTTLYSKIERAEFSCPSWFPVGAKSLIHRILDPNPQTRITIEQIRSDEWFKKGFVPVRLLEYEDINLDDVNAVFDDPEEERGNEQSGNEDMGPLILNAFDLIILSQGLNLATLFDRGQDSMKYQTRFVSQKPARVVLSSMEVVAQSMGYKTHIRNYKMRVEGLSANKTSHFSVILEVFLRLLPHF >EOY15068 pep chromosome:Theobroma_cacao_20110822:8:2128597:2131029:-1 gene:TCM_034250 transcript:EOY15068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein, putative MRYSTIGKIDFKDPQIRPLVQEDSKTLQWLFREIPLWVKNPDFDRVDWINKIIKNMWPYLDTAICNAAKTIEKPIIVEQIPKYKIQSVDFETFTLGGVIIECDSKNAITWIDNPDSPPWYLRQLILKIKALRGKTLGWQITYIPRSGNDKADNLAKSGVDRSNDLLCIYP >EOY16376 pep chromosome:Theobroma_cacao_20110822:8:6928862:6933615:-1 gene:TCM_035177 transcript:EOY16376 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) MAPVLQSSQPWVEKYRPKQVKDVAHQDEVVRVLTNTLETSNCPHMLFYGPPGTGKTTTALAIAHQLFGPELYKSRVLELNASDERGINVVRTKIKDFAAVAVGSGQRQGGYPCPPFKIIILDEADSMTEDAQACLNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKALSEEIMSNRVLHICNEEGLHLDSEALSTLSSISQGDLRRAITYLQGAARLFGSTISSKDLLSVSGVIPQEVVEALYAACKSGNFDLANKEVNNVIAEGFPVSQMLSQLFDVVVEADDIPDEQKARICKSLAEADKRLVDGADEYLQLLDVASNTMRALCNMPQEFCFES >EOY15433 pep chromosome:Theobroma_cacao_20110822:8:3242586:3249726:1 gene:TCM_034494 transcript:EOY15433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 16, putative isoform 2 MLLAGDLGISSLVLVVSLVLPLIGLFIRRKWRLSVARQAEIKRLLILASEEAARAELESLLGYGTISVSRNYHQCAICFCPTTTRCARCKAVRYCSAKCQIIHWRQGHKEECHPPSIATHQNHDEGSDSGQKVVEQDQYGDRYEIEEKQHTKPTETSSTKPALSNSTSSSVVLHGKDDDIKVEFHADGEGTNSASESSSVSFSGFSSAAGSESSDDISVCESIGSNEPDKFDRSSSADANLDKFWTASGVNDVDQTNPSSPKFVRLVDSVDKFTKLNKLNQTKRDRSGESQCTSTSSSGLGISGTCEGSIAEPCATTSGFWGSSLESVASTSDADNESFQSTPKVAINSASLDSGSSLQFSFNLSGNASSSRPQGSKAKDVKLDDAPQGALGSTKVSDGVTLSRNIGLDARKVINSPSLNSEWPNHVECGSSSISHVPKPLEVKTSSPSSLQSGSESGSISTDVPFVSTLSSSCFEKAGSSTVINGPSNASHPLKSAEAYSSSARVHAVSSMKSGKIGVHANAATLPPVSSCSSNGRHGLKTSMLKVVDQFRGSKLPKHYPLGVGNEVTGKYSDKGLFPYESFVKLYNWNKVELQPCGLVNCGNSCYANAVLQCLTFTPPLTAYFLQGLHSKACAKKEWCFSCEFENLILKAKDGKSPLSPIGILSQLQNIGSQLANGKEEDAHEFLRYAIDAMQSVCLREAGVDSSGCSEEETTLVGLTFGGYLRSKIKCMKCQGKSERHERMMDLTVEIEGDIGTLEEALRRFTATEILDGENKYQCSRCKSYEKAKKKLTILEAPNVLTIALKRFQSGKFGKLNKAIRFPEILNLAPYMSGTSDKSPIYRLYGVVVHLDIMNAAFSGHYVCYVKNVQNKWFKIDDSTVVTSAELERVLTKGAYMLLYARCSPRAPRLIRSRNKTIPSRVNSKNLSKSSSSTHSSLDESYPSSIHPDFPGSIESLYSKYNPLQRISEEDSSSDSSSLFSSNSDEGSCCTDSTRDSTSADDFLDSVFGDSIRGWNSPWRSSDSDASSSSSSSPLYSRHSPLADLDRYASGSPETCGSQVEYTDSAAENVPLDRRPSGSSGRQKDEEGKGNHPFFHSDTSKQCRKIGSSSSRETDSERLGRVNPLNDVSFRRSTRERTN >EOY15432 pep chromosome:Theobroma_cacao_20110822:8:3242146:3250449:1 gene:TCM_034494 transcript:EOY15432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 16, putative isoform 2 MLLAGDLGISSLVLVVSLVLPLIGLFIRRKWRLSVARQAEIKRLLILASEEAARAELESLLGYGTISVSRNYHQCAICFCPTTTRCARCKAVRYCSAKCQIIHWRQGHKEECHPPSIATHQNHDEGSDSGQKVVEQDQYGDRYEIEEKQHTKPTETSSTKPALSNSTSSSVVLHGKDDDIKVEFHADGEGTNSASESSSVSFSGFSSAAGSESSDDISVCESIGSNEPDKFDRSSSADANLDKFWTASGVNDVDQTNPSSPKFVRLVDSVDKFTKLNKLNQTKRDRSGESQCTSTSSSGLGISGTCEGSIAEPCATTSGFWGSSLESVASTSDADNESFQSTPKVAINSASLDSGSSLQFSFNLSGNASSSRPQGSKAKDVKLDDAPQGALGSTKVSDGVTLSRNIGLDARKVINSPSLNSEWPNHVECGSSSISHVPKPLEVKTSSPSSLQSGSESGSISTDVPFVSTLSSSCFEKAGSSTVINGPSNASHPLKSAEAYSSSARVHAVSSMKSGKIGVHANAATLPPVSSCSSNGRHGLKTSMLKVVDQFRGSKLPKHYPLGVGNEVTGKYSDKGLFPYESFVKLYNWNKVELQPCGLVNCGNSCYANAVLQCLTFTPPLTAYFLQGLHSKACAKKEWCFSCEFENLILKAKDGKSPLSPIGILSQLQNIGSQLANGKEEDAHEFLRYAIDAMQSVCLREAGVDSSGCSEEETTLVGLTFGGYLRSKIKCMKCQGKSERHERMMDLTVEIEGDIGTLEEALRRFTATEILDGENKYQCSRCKSYEKAKKKLTILEAPNVLTIALKRFQSGKFGKLNKAIRFPEILNLAPYMSGTSDKSPIYRLYGVVVHLDIMNAAFSGHYVCYVKNVQNKWFKIDDSTVTSAELERVLTKGAYMLLYARCSPRAPRLIRSRNKTIPSRVNSKNLSKSSSSTHSSLDESYPSSIHPDFPGSIESLYSKYNPLQRISEEDSSSDSSSLFSSNSDEGSCCTDSTRDSTSADDFLDSVFGDSIRGWNSPWRSSDSDASSSSSSSPLYSRHSPLADLDRYASGSPETCGSQVEYTDSAAENVPLDRRPSGSSGRQKDEEGKGNHPFFHSDTSKQCRKIGSSSSRETDSERLGRVNPLNDVSFRRSTRERTN >EOY16240 pep chromosome:Theobroma_cacao_20110822:8:6389138:6395096:-1 gene:TCM_035081 transcript:EOY16240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein isoform 2 MPILFFFLLFFSVHSQEDTPIARFRRYLQFNTAHPNPNYADPISFLVSQANSIGLQSQTLELTPSKPVLLLTWPGSNPSLHSVLFNSHLDSVPAEPSKWSHPPFAATLTPDGKIYARGAQDDKCIAMQYLEAIRNLKARGFIPLRTVHISYVPDEEIGGFDGSAKFVTSREFEDLNVGFVLDEGQASTGDEFRVFYADRSPWGLKIKASGAPGHGSRMYDNGAMENLMKSVEVITKFRESQFDVIKAGEAMNSEVVSVNPVYLKAGIPSPSGFVMNVQPSEAEAGFDLRLPPTVDPDLIKRRIAEEWGPARRNMTYEIIEKGPIRDYMGRPLMTVTNNSNPWWPVFKQAIEAAGGKLSRPEILASTTDARFMRERGIPTLGFSPMTNTPILLHDHNEFLKDTVYLRGIEVYESIISSLSSFKGKSH >EOY16241 pep chromosome:Theobroma_cacao_20110822:8:6388873:6394747:-1 gene:TCM_035081 transcript:EOY16241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein isoform 2 MPILFFFLLFFSVHSQEDTPIARFRRYLQFNTAHPNPNYADPISFLVSQANSIGLQSQTLELTPSKPVLLLTWPGSNPSLHSVLFNSHLDSVPAEPSKWSHPPFAATLTPDGKIYARGAQDDKCIAMQYLEAIRNLKARGFIPLRTVHISYVPDEEIGGFDGSAKFVTSREFEDLNVGFVLDEGQASTGDEFRVFYADRSPWGLKIKASGAPGHGSRMYDNGAMENLMKSVEVITKFRESQFDVIKAGEAMNSEVVSVNPVYLKAGIPSPSGFVMNVQPSEAEAGFDLRLPPTVDPDLIKRRIAEEWGPARRNMTYMIIEKGPIRD >EOY15749 pep chromosome:Theobroma_cacao_20110822:8:4505151:4507457:1 gene:TCM_034719 transcript:EOY15749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSVTPPIGQDLHVRSGAGWGGRLRRRAETELKKHKDYVIYVSDDLRVQGQAVMLLRAAYIYSPCLSCFYIRLALGLNYYMLLVSLFWLEGNNLDLLVEHLAL >EOY15160 pep chromosome:Theobroma_cacao_20110822:8:2432927:2433833:-1 gene:TCM_034319 transcript:EOY15160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLETYLQRSLLHYKTVTQKKKTFLQISSNRCTLRYVQKIIRTRSASACQRLAKAKGEEQIKALSAAAMSGFE >EOY14645 pep chromosome:Theobroma_cacao_20110822:8:854457:859375:1 gene:TCM_033958 transcript:EOY14645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain isoform 3 MELSLRQRSTTSLALEKVVVAVRAERVISKTGLAWALTHVVRPGDCVTLLALFPGEKKVRRFWNFPMLAGDCGSSIQEELPERICQISESCSQMVLQFHNQIEVTVRIKVVSGTTGNAVAAEAKNNGANWVILDKKLKQELKHCLDELHCNIVVMKGSQAKVLRLNLQCINELQTPYFSAAASPVVDAGEFLGHRMKHSTPVGSPEEPGLNRGNYTSIDDENNLDNQLTVLNSYGEELINLSANPASSGKSNDKSIFWIPQNHIEEKPHKTKSNRTKIISPTSKTLLGKFVQYDQVTKAGRHVHQSQRTDYMVSSNIRDAVALGRTSSVPPPLCSFCQHQAPVFGKPPRRFSYEELEEATNGFSELNFLAEGGFGVVYRGILRDGQVVAVKLLKFVGCQADIDFCREVQVLSCAQHRNVVLLIGFCIDGNKRVLVYEYICNGSLDFHLHGSNKTSLDWQSRLRIAVGAARGLRYLHEDCRVGCIVHRDMRPKNILLTHDFEPQVTDFGLARWHSDQWIVGSEERAIGTSGYLAPEYLDGGRITQKVDVYAFGVVLLELMTRQRISDLQFYKGQNFLSDWFHPLAALDSNQIMANIYQLLDPCLASSKVQDYTHQLQAMGRAAFLCLSPDPESRPSMSKVLRMLEGGDVSIPLSLDLNSIGNRSGHLRGLKTQTQPESRRRHSRRLSH >EOY14647 pep chromosome:Theobroma_cacao_20110822:8:855768:859003:1 gene:TCM_033958 transcript:EOY14647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain isoform 3 MKGSQAKVLRLNLQCINELQTPYFSAAASPVVDAGEFLGHRMKHSTPVGSPEEPGLNRGNYTSIDDENNLDNQLTVLNSYGEELINLSANPASSGKSNDKSIFWIPQNHIEEKPHKTKSNRTKIISPTSKTLLGKFVQYDQVTKAGRHVHQSQRTDYMVSSNIRDAVALGRTSSVPPPLCSFCQHQAPVFGKPPRRFSYEELEEATNGFSELNFLAEGGFGVVYRGILRDGQVVAVKLLKFVGCQADIDFCREVQVLSCAQHRNVVLLIGFCIDGNKRVLVYEYICNGSLDFHLHGSNKTSLDWQSRLRIAVGAARGLRYLHEDCRVGCIVHRDMRPKNILLTHDFEPQVTDFGLARWHSDQWIVGSEERAIGTSGYLAPEYLDGGRITQKVDVYAFGVVLLELMTRQRISDLQFYKGQNFLSDWFHPLAALDSNQIMANIYQLLDPCLASSKVQDYTHQLQAMGRAAFLCLSPDPESRPSMSKVLRMLEGGDVSIPLSLDLNSIGNRSGHLRGLKTQTQPESRRRHSRRLSH >EOY14646 pep chromosome:Theobroma_cacao_20110822:8:854529:858983:1 gene:TCM_033958 transcript:EOY14646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain isoform 3 MELSLRQRSTTSLALEKVVVAVRAERVISKTGLAWALTHVVRPGDCVTLLALFPGEKKVYLKKVRRFWNFPMLAGDCGSSIQEELPERICQISESCSQMVLQFHNQIEVTVRIKVVSGTTGNAVAAEAKNNGANWVILDKKLKQELKHCLDELHCNIVVMKGSQAKVLRLNLQCINELQTPYFSAAASPVVDAGEFLGHRMKHSTPVGSPEEPGLNRGNYTSIDDENNLDNQLTVLNSYGEELINLSANPASSGKSNDKSIFWIPQNHIEEKPHKTKSNRTKIISPTSKTLLGKFVQYDQVTKAGRHVHQSQRTDYMVSSNIRDAVALGRTSSVPPPLCSFCQHQAPVFGKPPRRFSYEELEEATNGFSELNFLAEGGFGVVYRGILRDGQVVAVKLLKFVGCQADIDFCREVQVLSCAQHRNVVLLIGFCIDGNKRVLVYEYICNGSLDFHLHGSNKTSLDWQSRLRIAVGAARGLRYLHEDCRVGCIVHRDMRPKNILLTHDFEPQVTDFGLARWHSDQWIVGSEERAIGTSGYLAPEYLDGGRITQKVDVYAFGVVLLELMTRQRISDLQFYKGQNFLSDWFHPLAALDSNQIMANIYQLLDPCLASSKVQDYTHQLQAMGRAAFLCLSPDPESRPSMSKVLRMLEGGDVSIPLSLDLNSIGNRSGHLRGLKTQTQPESRRRHSRRLSH >EOY16199 pep chromosome:Theobroma_cacao_20110822:8:6165362:6166961:-1 gene:TCM_035040 transcript:EOY16199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase 1, putative MKIELLKDPDPCPQYDKSIKGQDFAGDVTNIQSQAPLSKDLTSDVKLEPSKAEKQGKVPSSKGASSPRNRNWNYAPDLFFKVNGKLYQRLGKIGSGGSSEVHNHKVFSSDCTIYAIEKIKLKGRDYATAYGLENFRVIGVNLSNVGNIYISLLKQFAAKQILQAVNATHEERIAHSNLKPANFLLVKGSLKLTDFGIAKAIMSDTTNIQRDSRVLVAHCTLQLLIQSTTGNLGPLLM >EOY16834 pep chromosome:Theobroma_cacao_20110822:8:11681047:11700151:1 gene:TCM_035722 transcript:EOY16834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase D MNLVICLLFSFFLRHVIARGGQRSASFYAGFRNDSYAAFARHLFEENQSQPSIDIARGYMTNSELEKAVKEFGQRCSHISRIYSIGTSVNGVPLWVIEISDKPSEEEPEPAFKFVGNVHGDEPVGRELLILLANWICDNYMSDPLVKLIVENVHLHILPSMNPDGFSLRRRGNANGIDLNRDFPDQFFPWNDDEDARQPETKAIMSWLREIHFTASASLHGGALVANYPWDGTQDKRRNYYACPDDGTFRFLASVYSQSHYNMSSSKEFKAGITNGASWYPIYGGMQDWNYIYGGCFELTLEISDNKWPNAKELPTIWEYNKMSMLNLVASLVKTGVHGRVFSSDSGRPSPGSITIKGINYTVKAGRAFADYHRLLVPVERYEVMAAVPGYKSKATSIWLGEEAMTVDFILDPEVTSEGTLLRSICDCNCGGKSRHLLVDYFWGIHFEVYSVLIVVLVFLCILLRRRIKINLLKHRQSPKRIGMDGGWLLVISGCWNRCYAEFVFPLEGQQVQPERIGVKSLDCHRQCWELPWMLSYHVKGFRG >EOY16254 pep chromosome:Theobroma_cacao_20110822:8:6427862:6431831:1 gene:TCM_035091 transcript:EOY16254 gene_biotype:protein_coding transcript_biotype:protein_coding description:ChaC-like family protein isoform 2 MAMWVFGYGSLIWKAGFNYDDRLVGFIKDYRRVFYQGSTDHRGTPEYPGRTVTLEPADGEVCWGAAYKISKKEDKENAIMHLEVREKQYDKKAYLDFFTDPTATTPAISGVMVYIASPDKKLNKNYLGPASLEEIAKMLLSPYLMFADVLISVLQLLDNSHPLPFLHRKDLAELEAVFEHVNTN >EOY16253 pep chromosome:Theobroma_cacao_20110822:8:6427779:6432200:1 gene:TCM_035091 transcript:EOY16253 gene_biotype:protein_coding transcript_biotype:protein_coding description:ChaC-like family protein isoform 2 MAMWVFGYGSLIWKAGFNYDDRLVGFIKDYRRVFYQGSTDHRGTPEYPGRTVTLEPADGEVCWGAAYKISKKEDKENAIMHLEVREKQYDKKAYLDFFTDPTATTPAISGVMVYIASPDKKLNKNYLGPASLEEIAKQIIHAEGPSGPNRDYLFQLEKALLQLGCKDKHVIGLANEVRRILSEGQLIAS >EOY15819 pep chromosome:Theobroma_cacao_20110822:8:4751654:4756003:1 gene:TCM_034778 transcript:EOY15819 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRG family protein, putative isoform 5 MKFTEENRQKQEALNKTLAEEKKVEFHTSKRGTGRVFHFKLKHPKGGRGKKRKNDSISKEKSAVPSEKIVSIQLPLTLRKQLVNDCEFITHLGKLVKLPRIPTVEDILKMYLDYRSKKDGTVTDSVQEIFKGIRVYFNKALPVMLLYKSERQQYDDTITEDICPSTVYGAEHLLRLFVKLPELLVRADIEEETLLELQQKLVDFLKFLQKNQNTLFLSTYHVAEDVETSTNKQDN >EOY15821 pep chromosome:Theobroma_cacao_20110822:8:4751475:4755746:1 gene:TCM_034778 transcript:EOY15821 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRG family protein, putative isoform 5 MGSSNTALNDDDDSATESDNDTATKTDSDSDTDSETETDEEFRKRYDTGPFREGEKVLAFHNLRIYEAKVLKFDNRSNGWHYFVHYLEEKSAVPSEKIVSIQLPLTLRKQLVNDCEFITHLGKLVKLPRIPTVEDILKMYLDYRSKKDGTVTDSVQEIFKGIRVYFNKALPVMLLYKSERQQYDDTITEDICPSTVYGAEHLLRLFVKLPELLVRADIEEETLLELQQKLVDFLKFLQKNQNT >EOY15820 pep chromosome:Theobroma_cacao_20110822:8:4751546:4755905:1 gene:TCM_034778 transcript:EOY15820 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRG family protein, putative isoform 5 MGSSNTALNDDDDSATESDNDTATKTDSDSDTDSETETDEEFRKRYDTGPFREGEKVLAFHNLRIYEAKVLKFDNRSNGWHYFVHYLVSIKSWDEWVAVDRLMKFTEENRQKQEALNKTLAEEKKVEFHTSKRGTGRVFHFKLKHPKGGRGKKRKNDSISKEKSAVPSEKIVSIQLPLTLRKQLVNDCEFITHLGKLVKLPRIPTVEDILKMYLDYRSKKDGTERQQYDDTITEDICPSTVYGAEHLLRLFVKLPELLVRADIEEETLLELQQKLVDFLKFLQKNQNTLFLSTYHVAEDVETSTNKQDN >EOY15817 pep chromosome:Theobroma_cacao_20110822:8:4751402:4756126:1 gene:TCM_034778 transcript:EOY15817 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRG family protein, putative isoform 5 MGSSNTALNDDDDSATESDNDTATKTDSDSDTDSETETDEEFRKRYDTGPFREGEKVLAFHNLRIYEAKVLKFDNRSNGWHYFVHYLGWNKNWDEWVAVDRLMKFTEENRQKQEALNKTLAEEKKVEFHTSKRGTGRVFHFKLKHPKGGRGKKRKNDSISKEKSAVPSEKIVSIQLPLTLRKQLVNDCEFITHLGKLVKLPRIPTVEDILKMYLDYRSKKDGTVTDSVQEIFKGIRVYFNKALPVMLLYKSERQQYDDTITEDICPSTVYGAEHLLRLFVKLPELLVRADIEEETLLELQQKLVDFLKFLQKNQNTLFLSTYHVAEDVETSTNKQDN >EOY15818 pep chromosome:Theobroma_cacao_20110822:8:4751546:4755905:1 gene:TCM_034778 transcript:EOY15818 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRG family protein, putative isoform 5 MGSSNTALNDDDDSATESDNDTATKTDSDSDTDSETETDEEFRKRYDTGPFREGEKVLAFHNLRIYEAKVLKFDNRSNGWHYFVHYLVSIKSWDEWVAVDRLMKFTEENRQKQEALNKTLAEEKKVEFHTSKRGTGRVFHFKLKHPKGGRGKKRKNDSISKEKSAVPSEKIVSIQLPLTLRKQLVNDCEFITHLGKLVKLPRIPTVEDILKMYLDYRSKKDGTVTDSVQEIFKGIRVYFNKALPVMLLYKSERQQYDDTITEDICPSTVYGAEHLLRLFVKLPELLVRADIEEETLLELQQKLVDFLKFLQKNQNTLFLSTYHVAEDVETSTNKQDN >EOY14960 pep chromosome:Theobroma_cacao_20110822:8:1792428:1798539:-1 gene:TCM_034182 transcript:EOY14960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MGVATVELALANLLYKFDWEMPTGMNKEDLDFDVIPALPSLLLFILLKQRNNGKILLPPGPPGLPLIGHLHMQILDNSAPHIFLWKLSQKYGPLMSLRFGFRSTLVVSSAKMGKEVMKTHDLDFCSRPTSRMAQKFSYNGLDLAFSPYNAYWREMRKICTVHLFNSNRAQLYRPIREDEVARLISKISKLSVYPKLVNLSEAMMCLSSTIICRVAVGKRYEEGTERSRFNGLLNECQALFASLFISDYFPFMGWIDRFSGLLSRLEKNFKEFDIFYQELIDEHLDPNRSKLKQEDIIDVLLRIWKDRDFAIDLSMDHIKAILKDVFIAGTDSSAAVVIWVMTYLMKNPRCLKKIQEEVISLIGKKGFVNEDDIQNLTYLKAVIKETFRLQPIAPLLIPRETIRKCNIGGFEIPTKTLVYVNAWAIGRDPEAWENPKEFYPERFIGSSIDYKGLDFELIPFGAGRRGCPGIHMGVATVELVLANLLYKFKWEMPAGMSNDDLDFDVKLGLSVHKKNALCLVANEINV >EOY15114 pep chromosome:Theobroma_cacao_20110822:8:2284972:2286068:1 gene:TCM_034284 transcript:EOY15114 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MRHGGHYTPPPLLSPSPSPALNSTTTAGSHHPMLVSVFLALFLPCAGMSAVFIVYICLLWYATNYRTGNSGSLAIKQVAEKGLSVSELEKLPKVTGKELVLGTECAVCLDEIEAEQPARVVPGCNHGFHLQCADTWLSKHSVCPVCRAKLEPDQLFDASDENPC >EOY17473 pep chromosome:Theobroma_cacao_20110822:8:20873313:20876460:1 gene:TCM_036667 transcript:EOY17473 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MAEKDIDCSSHKLPSASQVLEELKELWGMALPITAMNWLVFVRAVVSVLFLGRLGSLELAGGALSIGFTNITGYSVLVGLASGLEPVCSQAYGSKNWELLSLSLQRMIIILFLAIIPISLLWVNLESIMVFMGQDKDITAMAATYCMYSLPDLLTNTLLQPLRVFLRSQRVTKPMMWCSLVAVMFHVPLNYMLVMVMGLGVPGVAMASVVTNMNMVALMVGYVWVSGRWEMRWTAGIGGVCGGVVPLLRLAVPSCLGICLEWWWYEIVTVMAGYLPNPTLAVAATGILIQTTSMMYTVPMALAGCVSARVGNELGAGKPHKAKLAAMVALGCAFVIGILNVAWTVILRERWAGLFTKDDLVKPLVATVLPIIGLCELGNCPQTTGCGILRGTARPAVGARINLGSFYFVGTPVAVGLAFWLNVGFPGLWFGLLSAQVACAVSILCVVLLYTDWEAEALNAKKLTSMEMSSCNNGHGEKGYEMDEESRGFLGNGNDKIDDGL >EOY15568 pep chromosome:Theobroma_cacao_20110822:8:3776256:3781806:1 gene:TCM_034583 transcript:EOY15568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxykinase 1 MANCGIGNGEFSFGSSGVGQNGLAKIQTQRKEADVCHDDSAAPVKAQTIDELHSLQKKKSAPTTPLTDTQGAFANNISEIERQKLQLQSISASLASLTRETGPKVVKGDPSRKHEAPKVTHVHHFTPTIAVSDSALKFTHFLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVKDETTEDELWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDPEHRIKVRIISARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTTSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNIGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSENGVSNIEGGCYAKCIDLSKEKEPDIWNAIKFGTVLENVVFDEHTREVDHSDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEEGVKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSGGSYGSGNRIKLAYTRRIINAIHSGSLLEANYKKTDVFGLEIPTEIEGVPSEILDPVNTWPDKKAYNDTLLKLAGLFKKNFGGFTNYKIGKDSKLTEEILAAGPIF >EOY16430 pep chromosome:Theobroma_cacao_20110822:8:7075054:7083400:-1 gene:TCM_035207 transcript:EOY16430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(P)/ATP NAD kinase, putative isoform 3 MDTLCNPLTGECIVSYDFTPEEKPLVEDKIVSVLGCMLSLLNKGREDVLSGRVSIMNNFRMADISVMDDKLPPLALFRSEMKRCCESLHVALENYLTPDDFRSLNVWRKLQRLKNACYDLGFPRKDEHPCHTLFANWQPVCLSTSKEEIESKDCEIAFWRGGQVTEEGLKWLIEKGFKTIVDLRAEIVKDNFYQAAMDDAISSGKVEFVKIPIEVGTAPSMEQVEKFASLVSDFNKKPIYLHSKEGVWRTSAMVSRWRQYMTRFASQFVSNQSMSPSDTPSKAANGSGEMQASSSSEEKLKLQETLNVSHGSNGAHKNEVFSDNDKEDQRICGANNDLVSSQVMTSEEAVDNAEGTMINIFENIDPLKAQIPPCNIFSRKEMSMFLRSKKISPPMYFNHQLKRLETLPVSRETSTRAAWGNKVVHANAKSQLAEAGSSNGLFSATNQSQEHHSTAAGRGKYLNGGSYATSSTKVNGFVEGERYSMTETKAATLDGNFNEHVTSTSFSKRQKSNGKAFSDSNDDELGSIEGDMCASATGVVRVQSRKKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGPELMEEAKEVASFLYYHEKMNVLVEPDVHDIFARIPGFGFVQTFYSQDVSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHTFEDYRQDLMQVIHGNNTADGVYITLRMRLQCEIFRNGKAVPGKVFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSNAWVSFDGKRRQQLSRGHSVRISMSQHPLPTVNKSDQTGDWFHSLIRCLNWNERLDQKAL >EOY16432 pep chromosome:Theobroma_cacao_20110822:8:7079950:7085051:-1 gene:TCM_035207 transcript:EOY16432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(P)/ATP NAD kinase, putative isoform 3 MVECLDVCHVAIVDMKRLSPVVGLSTPFKFGRESKVSGFGLGFGLKRKVVVRKRLKLVVRAELSKSFSFNLGLDSQTIQSHDVSQLRWIGPVPGDIAEVEAYCRIFRTAERLHAALMDTLCNPLTGECIVSYDFTPEEKPLVEDKIVSVLGCMLSLLNKGREDVLSGRVSIMNNFRMADISVMDDKLPPLALFRSEMKRCCESLHVALENYLTPDDFRSLNVWRKLQRLKNACYDLGFPRKDEHPCHTLFANWQPVCLSTSKEEIESKDCEIAFWRGGQVTEEGLKWLIEKGFKTIVDLRAEIVKDNFYQAAMDDAISSGKVEFVKIPIEVGTAPSMEQVEKFASLVSDFNKKPIYLHSKEGVWRTSAMVSRWRQYMTRFASQFVSNQSMSPSDTPSKAANGSGEMQASSSSEEKLKLQETLNVSHGSNGAHKNEVFSDNDKEDQRICGANNDLVSSQVMTSEEAVDNAEGTMINIFENIDPLKAQIPPCNIFSRKEMSMFLRSKKISPPMYFNHQLKRLETLPVSRETSTRAAWGNKVVHANAKSQLAEAGSSNGLFSATNQSQEHHSTAAGRGKYLNGGSYATSSTKVNGFVEGERYSMTETKAATLDGNFNEHVTSTSFSKRQKSNGKAFSDSNDDELGSIEGDMCASATGVVRVQSRKKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGPELMEEAKEVASFLYYHEKMNVLVEPDVHDIFARIPGFGFVQTFYSQDVSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHTFEDYRQDLMQVIHGNNTADGVYITLRMRLQCEIFRNGKAVPGKVFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVS >EOY16428 pep chromosome:Theobroma_cacao_20110822:8:7075465:7084710:-1 gene:TCM_035207 transcript:EOY16428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(P)/ATP NAD kinase, putative isoform 3 MVECLDVCHVAIVDMKRLSPVVGLSTPFKFGRESKVSGFGLGFGLKRKVVVRKRLKLVVRAELSKSFSFNLGLDSQTIQSHDVSQLRWIGPVPGDIAEVEAYCRIFRTAERLHAALMDTLCNPLTGECIVSYDFTPEEKPLVEDKIVSVLGCMLSLLNKGREDVLSGRVSIMNNFRMADISVMDDKLPPLALFRSEMKRCCESLHVALENYLTPDDFRSLNVWRKLQRLKNACYDLGFPRKDEHPCHTLFANWQPVCLSTSKEEIESKDCEIAFWRGGQVTEEGLKWLIEKGFKTIVDLRAEIVKDNFYQAAMDDAISSGKVEFVKIPIEVGTAPSMEQVEKFASLVSDFNKKPIYLHSKEGVWRTSAMVSRWRQYMTRFASQFVSNQSMSPSDTPSKAANGSGEMQASSSSEEKLKLQETLNVSHGSNGAHKNEVFSDNDKEDQRICGANNDLVSSQVMTSEEAVDNAEGTMINIFENIDPLKAQIPPCNIFSRKEMSMFLRSKKISPPMYFNHQLKRLETLPVSRETSTRAAWGNKVVHANAKSQLAEAGSSNGLFSATNQSQEHHSTAAGRGKYLNGGSYATSSTKVNGFVEGERYSMTETKAATLDGNFNEHVTSTSFSKRQKSNGKAFSDSNDDELGSIEGDMCASATGVVRVQSRKKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGPELMEEAKEVASFLYYHEKMNVLVEPDVHDIFARIPGFGFVQTFYSQDVSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHTFEDYRQDLMQVIHGNNTADGVYITLRMRLQCEIFRNGKAVPGKVFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKVIVWWRVMGLLCTS >EOY16433 pep chromosome:Theobroma_cacao_20110822:8:7075228:7085027:-1 gene:TCM_035207 transcript:EOY16433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(P)/ATP NAD kinase, putative isoform 3 MVECLDVCHVAIVDMKRLSPVVGLSTPFKFGRESKVSGFGLGFGLKRKVVVRKRLKLVVRAELSKSFSFNLGLDSQTIQSHDVSQLRWIGPVPGDIAEVEAYCRIFRTAERLHAALMDTLCNPLTGECIVSYDFTPEEKPLVEDKIVSVLGCMLSLLNKGREDVLSGRVSIMNNFRMADISVMDDKLPPLALFRSEMKRCCESLHVALENYLTPDDFRSLNVWRKLQRLKNACYDLGFPRKDEHPCHTLFANWQPVCLSTSKEEIESKDCEIAFWRGGQVTEEGLKWLIEKGFKTIVDLRAEIVKDNFYQAAMDDAISSGKVEFVKIPIEVGTAPSMEQVEKFASLVSDFNKKPIYLHSKEGVWRTSAMVSRWRQYMTRFASQFVSNQSMSPSDTPSKAANGSGEMQASSSSEEKLKLQETLNVSHGSNGAHKNEVFSDNDKEDQRICGANNDLVSSQVMTSEEAVDNAEGTMINIFENIDPLKAQIPPCNIFSRKEMSMFLRSKKISPPMYFNHQLKRLETLPVSRETSTRAAWGNKVVHANAKSQLAEAGSSNGLFSATNQSQEHHSTAAGRGKYLNGGSYATSSTKVNGFVEGERYSMTETKAATLDGNFNEHVTSTSFSKRQKSNGKAFSDSNDDELGSIEGDMCASATGVVRVQSRKKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGPELMEEAKEVASFLYYHEKMNVLVEPDVHDIFARIPGFGFVQTFYSQDVSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHTVIHGNNTADG >EOY16431 pep chromosome:Theobroma_cacao_20110822:8:7075232:7085009:-1 gene:TCM_035207 transcript:EOY16431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(P)/ATP NAD kinase, putative isoform 3 MDTLCNPLTGECIVSYDFTPEEKPLVEDKIVSVLGCMLSLLNKGREDVLSGRVSIMNNFRMADISVMDDKLPPLALFRSEMKRCCESLHVALENYLTPDDFRSLNVWRKLQRLKNACYDLGFPRKDEHPCHTLFANWQPVCLSTSKEEIESKDCEIAFWRGGQVTEEGLKWLIEKGFKTIVDLRAEIVKDNFYQAAMDDAISSGKVEFVKIPIEVGTAPSMEQVEKFASLVSDFNKKPIYLHSKEGVWRTSAMVSRWRQYMTRFASQFVSNQSMSPSDTPSKAANGSGEMQASSSSEEKLKLQETLNVSHGSNGAHKNEVFSDNDKEDQRICGANNDLVSSQVMTSEEAVDNAEGTMINIFENIDPLKAQIPPCNIFSRKEMSMFLRSKKISPPMYFNHQLKRLETLPVSRETSTRAAWGNKVVHANAKSQLAEAGSSNGLFSATNQSQEHHSTAAGRGKYLNGGSYATSSTKVNGFVEGERYSMTETKAATLDGNFNEHVTSTSFSKRQKSNGKAFSDSNDDELGSIEGDMCASATGVVRVQSRKKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGPELMEEAKEVASFLYYHEKMNVLVEPDVHDIFARIPGFGFVQTFYSQDVSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHTFEDYRQDLMQVIHGNNTADGVYITLRMRLQCEIFRNGKAVPGKVFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSN >EOY16427 pep chromosome:Theobroma_cacao_20110822:8:7074098:7085051:-1 gene:TCM_035207 transcript:EOY16427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(P)/ATP NAD kinase, putative isoform 3 MVECLDVCHVAIVDMKRLSPVVGLSTPFKFGRESKVSGFGLGFGLKRKVVVRKRLKLVVRAELSKSFSFNLGLDSQTIQSHDVSQLRWIGPVPGDIAEVEAYCRIFRTAERLHAALMDTLCNPLTGECIVSYDFTPEEKPLVEDKIVSVLGCMLSLLNKGREDVLSGRVSIMNNFRMADISVMDDKLPPLALFRSEMKRCCESLHVALENYLTPDDFRSLNVWRKLQRLKNACYDLGFPRKDEHPCHTLFANWQPVCLSTSKEEIESKDCEIAFWRGGQVTEEGLKWLIEKGFKTIVDLRAEIVKDNFYQAAMDDAISSGKVEFVKIPIEVGTAPSMEQVEKFASLVSDFNKKPIYLHSKEGVWRTSAMVSRWRQYMTRFASQFVSNQSMSPSDTPSKAANGSGEMQASSSSEEKLKLQETLNVSHGSNGAHKNEVFSDNDKEDQRICGANNDLVSSQVMTSEEAVDNAEGTMINIFENIDPLKAQIPPCNIFSRKEMSMFLRSKKISPPMYFNHQLKRLETLPVSRETSTRAAWGNKVVHANAKSQLAEAGSSNGLFSATNQSQEHHSTAAGRGKYLNGGSYATSSTKVNGFVEGERYSMTETKAATLDGNFNEHVTSTSFSKRQKSNGKAFSDSNDDELGSIEGDMCASATGVVRVQSRKKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGPELMEEAKEVASFLYYHEKMNVLVEPDVHDIFARIPGFGFVQTFYSQDVSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHTFEDYRQDLMQVIHGNNTADGVYITLRMRLQCEIFRNGKAVPGKVFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSNAWVSFDGKRRQQLSRGHSVRISMSQHPLPTVNKSDQTGDWFHSLIRCLNWNERLDQKAL >EOY16429 pep chromosome:Theobroma_cacao_20110822:8:7080485:7084710:-1 gene:TCM_035207 transcript:EOY16429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(P)/ATP NAD kinase, putative isoform 3 MVECLDVCHVAIVDMKRLSPVVGLSTPFKFGRESKVSGFGLGFGLKRKVVVRKRLKLVVRAELSKSFSFNLGLDSQTIQSHDVSQLRWIGPVPGDIAEVEAYCRIFRTAERLHAALMDTLCNPLTGECIVSYDFTPEEKPLVEDKIVSVLGCMLSLLNKGREDVLSGRVSIMNNFRMADISVMDDKLPPLALFRSEMKRCCESLHVALENYLTPDDFRSLNVWRKLQRLKNACYDLGFPRKDEHPCHTLFANWQPVCLSTSKEEIESKDCEIAFWRGGQVTEEGLKWLIEKGFKTIVDLRAEIVKDNFYQAAMDDAISSGKVEFVKIPIEVGTAPSMEQVEKFASLVSDFNKKPIYLHSKEGVWRTSAMVSRWRQYMTRFASQFVSNQSMSPSDTPSKAANGSGEMQASSSSEEKLKLQETLNVSHGSNGAHKNEVFSDNDKEDQRICGANNDLVSSQVMTSEEAVDNAEGTMINIFENIDPLKAQIPPCNIFSRKEMSMFLRSKKISPPMYFNHQLKRLETLPVSRETSTRAAWGNKVVHANAKSQLAEAGSSNGLFSATNQSQEHHSTAAGRGKYLNGGSYATSSTKVNGFVEGERYSMTETKAATLDGNFNEHVTSTSFSKRQKSNGKAFSDSNDDELGSIEGDMCASATGVVRVQSRKKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGPELMEEAKEVASFLYYHEKMNVLVEPDVHDIFARIPGFGFVQTFYSQDVSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHTARLDAGHPW >EOY16387 pep chromosome:Theobroma_cacao_20110822:8:6970890:6973564:-1 gene:TCM_035186 transcript:EOY16387 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPP6 N-terminal domain-like protein MKAKALFSFFLLFFFTLLCTAAEHEPRSRSGSIVFTTLGRSDYAWDIFTLPTLDPPNPSNELQITDGESVNFNGHFPSASSSSILSLLHNRSLLHPPGPQAPSPLQLIYVTERKGMSNIYYDALYHGAPRSTRSRSALEIPVRVQAPLLGLEESKNRISMKDRPSLSGENLIYVSTHEDPGEPRTSWAAVYSTQLGTGVTRRLTPYGIADFSPAVSPSGVWTAVASYGSKGWDGEVEELSTDIYVFLTGDGSQRVKVVEHGGWPCWVDDSTLYFHRTSEDQWISVYRAILPKGKLVSTESVTIERVTPPGMHAFTPATSPGNHKFIAVATRRPNSSFRHIELFDLVKNEFIELTRHVSPTTHHLNPFISPDSARVGYHKCRGDSNGGKSPQLLLENVRSPVPNLSLFRVDGSFPSFSPAGDRIAYVDFPGVYVVNRDGSNLRQAFPLNAFATAWDPIRKGIVYTSAGPEFASEITEVDIVAINVDDVDQSNFKRLTIGGKNNAFPSPSPDGKWIVFRSGRTGHKNLYIMDAIEGEAGGLRRLTEGPWTDTMCNWSPDGDWIAFASDRDNPGSGSFELYLIHPNGTGLRRLVKSGSAGRANHPSFSPDGTYVVFTSDFAGISAEPISNPHHYQPYGEIFTIKLDGSDLKRLTHNSYEDGTPTWAPSYINPVDVEGPKRPYCAFEDCHWLNEMPGRGTRVEPLGSAKPQCGA >EOY16169 pep chromosome:Theobroma_cacao_20110822:8:6007178:6013722:1 gene:TCM_035015 transcript:EOY16169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 1, putative isoform 2 MHHLQRFFSDRSGMDSKRSRRKPRLERRNALKHVDYDAASFSSSLEDSSSSSSLITRSLDLSDKTSFRIQGTDGEFDLICRSLGFSGPEDFSIPADAWEARKIRSSSDLLPRSRLNRLDSPNEETAKEKDRTEVIVAELSDRVLGTELTRDDSVELKLSECYLSDRIVVDATTTTKLELNACCVGVSGGGNNGIKGARPPVLKPPPAVMKLPVIDNACSTWDLFRDFAPEDDSGCLVPVHSLSSSDEENEEGKEEEDGVNVGNDKQEASLMRIGETAVLSESCSFTTSNDDDSSSSTTEPTSNISPNGRFKRNITYWEKGEPLGNGSFGSVFEGISDDGFFFAVKEVSLLDQGSQGKQSIIQLEQEIALLSQFEHENIVQYYGTDKDESKLYIFLELVTKGSLLSLYSKYHLKDSQVSAYTRQILHGLKYLHDRNVVHRDIKCANILVDASGSVKLADFGLAKATKLNDVKSCKGTAYWMAPEVVVNRKGQGYGLPADIWSLGCTVLEMLTGLFPYSHLECMQALFRIGRGEPPPVPDSLSKDAREFILQCVQVNPDARPTAAKLLQHPFVKRPLPTHSGSASPHLGRRF >EOY16170 pep chromosome:Theobroma_cacao_20110822:8:6007304:6013618:1 gene:TCM_035015 transcript:EOY16170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 1, putative isoform 2 MHHLQRFFSDRSGMDSKRSRRKPRLERRNALKHVDYDAASFSSSLEDSSSSSSLITRSLDLSDKTSFRIQGTDGEFDLICRSLGFSGPEDFSIPADAWEARKIRSSSDLLPRSRLNRLDSPNEETAKEKDRTEVIVAELSDRVLGTELTRDDSVELKLSECYLSDRIVVDATTTTKLELNACCVGVSGGGNNGIKGARPPVLKPPPAVMKLPVIDNACSTWDLFRDFAPEDDSGCLVPVHSLSSSDEENEEGKEEEDGVNVGNDKQEASLMRIGETAVLSESCSFTTSNDDDSSSSTTEPTSNISPNGRFKRNITYWEKGEPLGNGSFGSVFEGISDDGFFFAVKEVSLLDQGSQGKQSIIQLEQEIALLSQFEHENIVQYYGTDKDESKLYIFLEHCLELAGVNHHRFLILCQKMHESSSCNAYKSIQMLGQLLLSSYSIHL >EOY16168 pep chromosome:Theobroma_cacao_20110822:8:6007176:6014217:1 gene:TCM_035015 transcript:EOY16168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 1, putative isoform 2 MHHLQRFFSDRSGMDSKRSRRKPRLERRNALKHVDYDAASFSSSLEDSSSSSSLITRSLDLSDKTSFRIQGTDGEFDLICRSLGFSGPEDFSIPADAWEARKIRSSSDLLPRSRLNRLDSPNEETAKEKDRTEVIVAELSDRVLGTELTRDDSVELKLSECYLSDRIVVDATTTTKLELNACCVGVSGGGNNGIKGARPPVLKPPPAVMKLPVIDNACSTWDLFRDFAPEDDSGCLVPVHSLSSSDEENEEGKEEEDGVNVGNDKQEASLMRIGETAVLSESCSFTTSNDDDSSSSTTEPTSNISPNGRFKRNITYWEKGEPLGNGSFGSVFEGISDDGFFFAVKEVSLLDQGSQGKQSIIQLEQEIALLSQFEHENIVQYYGTDKDESKLYIFLELVTKGSLLSLYSKYHLKDSQVSAYTRQILHGLKYLHDRNVVHRDIKCANILVDASGSVKLADFGLAKATKLNDVKSCKGTAYWMAPEVVNRKGQGYGLPADIWSLGCTVLEMLTGLFPYSHLECMQALFRIGRGEPPPVPDSLSKDAREFILQCVQVNPDARPTAAKLLQHPFVKRPLPTHSGSASPHLGRRF >EOY15576 pep chromosome:Theobroma_cacao_20110822:8:3814542:3819444:-1 gene:TCM_034588 transcript:EOY15576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein, putative MAEIIVSPLLQVVFDKLASRLLQEIANILGLKKEVRKLQRSLYVIQAVLEDAEERQLADRALRIWLTELKEVAYEVEDLLDEFYLEAMQSRNQGGFAEQVRSFIPSLARVAGCMDLSTRLQQIKETLEVLAEEKSSFNLREMVTKGGSRRRRARQTGSFIIESEVFGREEDKDRIINMLLSSNSFTKGDISVVSIVGLGGLGKTTLTQLLYNNDRVAAHFDLKIWVCVSDDFDVGKIMISIIESASKNKCDIFGMDVLQFRLQELLFGKRYLLVLDDVWNEDDSEWERLRMSLRSGVEGSRIIVTTRSKKVALMMGSTYTYQLEGLSDNDCWALFKQRAFGNNEVEHQNLIPIGRQIVKKCRGVPLAAKTLGSLMRFKREERDWLVVQESDLWNVSQSENGILPALRLSYSHMPSHLKACFAYCSIFPKNYIIKKEKLIQLWIAGGFIQSPEGRKSLEFIGNEYFDDLVWMFFFQDIQKSENGNIIECKMHDLIHDLAQSIVGNEFNMLENDNIREDLCQTRHSSVVCNFRFYAIPEVLYAATKLRTLILLFPKGDLGELPSKIFSSFRYLWVLDISGSGIKKLQDSISSFIFLRYLDISNTHIENLPESVCRLRNLQVLNLSGCYNLIELPSGMAQMNKLRHLILDGCERLTKMPTWIGRLLYLQTLSMFIVGKEVGQHLNQLQNLNLGGELQIRGLENVRDATYAMNADLAAKRNILSLKLCWGSVFSGLNGNFANDDMQQKVLDHLQPHGYLKKLSIRGFGGIRLPGWMSISKLPNITELVLVNCRRCEYLPVLGQLPFLKVLYLQGMNAVKNIGREFYGDGTGTLFPSLKELTLMDFPSLEFWWSSKKKEEFPSLVKLTLSKCFRLQNVPCFPSLQHLELRSCNEMVLQSASDLTSLNILVIDDFAEQLVPLENLLKNNALLMSLKISSCPKLLSIPPSLGVLVNLRSLVIRWCEELYSLPRGLQNLTTLESLEIIECHSLISLPEDIQGLHSLRSLSIENCSKLMSLPVELEFLTALEHLTIMYCPNLASLPDSFQHLSKLKSLSVLNCPELKCLPEGLRCATLMQNLEIRSCPGLMALPEWVSELTSLRSLALSDCDNLTSLPRGLQSLGSLQHLSILECPTLEERCKKEIGEDWPKISHVAHAYIGSREFESSTTH >EOY15582 pep chromosome:Theobroma_cacao_20110822:8:3824132:3826513:-1 gene:TCM_034590 transcript:EOY15582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphorylase 6 MATVARAIALAGAFHHCSHITIRSPRRLYHSCRAIHNPKVQIKEEGQPQTLDYRVFLVDHSGKKVSPWHDIPLHLGDGVFNFVVEIPKDTSAKMEVATDELYTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSFSNPEVEGALGDNDPVDVVEIGERQRRIGQILKVKPLAALAMIDEGELDWKIVAISLDDPTASLVNDVDDVEKHFPGTLVAIRDWFRDYKIPDGKPANWFALGNKVANKDYALKIINETNESWAKLMKRSIPAGDLSLL >EOY16006 pep chromosome:Theobroma_cacao_20110822:8:5394458:5395015:-1 gene:TCM_034908 transcript:EOY16006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon-derived protein PEG10, putative MEGIEAKTRDEAPKLLMAIRCAKAALLLSSLKSSVSRVFEAANNDEDEEKEKMRREIENLRVELVKERLKIKKIKLCGVMELILQLILVMLISSFHMTLAFDFFLCDDESSFL >EOY16321 pep chromosome:Theobroma_cacao_20110822:8:6697950:6702361:1 gene:TCM_035141 transcript:EOY16321 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-leucine resistant-like gene 6 isoform 2 MNSPKPCVLILILIFTPLFANNFLVYVNSITSSSSSSDDEYATNFFDAITSINSRASLKSGRNQTSSSSSATSGPSSCKVWDVACSEAVLGVARKPENVEWIKGLRRKIHENPELAFEEYETSKLVCAELDRMDIEYRYPLAKTGIRAWIGTGGPPFVAIRADMDALPIQEAVEWEHKSKVPGKMHACGHDAHVAMLVGAAKILKNREHLLKGTVILLFQPAEEAGNGAKRMIGDGALENVEAIFAVHVSHEHPTAIIGSRPGPLLAGCGFFRAVISGRKGLAGNPHHSVDPILAASAAVISLQGIVSRESNPLDSQVCLMFCNFFYLFIFQHFIPFSRF >EOY16320 pep chromosome:Theobroma_cacao_20110822:8:6697800:6703546:1 gene:TCM_035141 transcript:EOY16320 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-leucine resistant-like gene 6 isoform 2 MNSPKPCVLILILIFTPLFANNFLVYVNSITSSSSSSDDEYATNFFDAITSINSRASLKSGRNQTSSSSSATSGPSSCKVWDVACSEAVLGVARKPENVEWIKGLRRKIHENPELAFEEYETSKLVCAELDRMDIEYRYPLAKTGIRAWIGTGGPPFVAIRADMDALPIQEAVEWEHKSKVPGKMHACGHDAHVAMLVGAAKILKNREHLLKGTVILLFQPAEEAGNGAKRMIGDGALENVEAIFAVHVSHEHPTAIIGSRPGPLLAGCGFFRAVISGRKGLAGNPHHSVDPILAASAAVISLQGIVSRESNPLDSQVVSVTSFNGGNNLDMIPDTVVIGGTFRAFSNASFYNLLQRIEEVIVEQASVFRCSATVDFFEKEYTIYPPTVNDDRMYEHVKKVATDLLGPPNFRVVPPMMGAEDFSFYSQVVPAAFYYIGIRNETLGSVHTGHSPYFMIDEDVLPIGAAVHATIAERYLIEHG >EOY16989 pep chromosome:Theobroma_cacao_20110822:8:15734883:15788743:1 gene:TCM_036074 transcript:EOY16989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MSLPVIGPSLAQSVIGLVFPAVPAMEEVSVLDLSGMGLEGTIAPHLGNLSFLVSLNLSGNNFHGYLPKELAKLRRLKLIDLSYNAFNGEIPSWFGALHKVKYLILSNNTFTGTIPPTLANMSNLETLDLGYNLIQGEIPYEIGDLQKLKMFRARDNQLFGSIPSSIFNLSSLKLISLANNNLSVQEVILV >EOY16644 pep chromosome:Theobroma_cacao_20110822:8:9162786:9166144:1 gene:TCM_035466 transcript:EOY16644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endo-1,4-beta-glucanase, putative MTGSSTSASDADKYSATYVHTISEAGRLLPSASKWNSIELDFKVLPQSSTGYDSLPSSYSKSYDYELVITDKTHYKRFLYISSTVAFLILALGLVLHFLPRKNHHHESAKNLSLAVNQAITFFDAQKSGNYPSKSPIKFRGSSGLRDGNTGNTRADLVGGFYDSGNNIKFTFPAAYTITLLSWSVIEYHQKYEDIGELEHIKDVIRWGSDYLLKVFVAPNATSEPTILYSQVGSAGNDTQNPGSNDINCWQRPEDMNYERPVSVCDETASDLAGEIVAALSAASIVFKEENEYSQRLTKAAEKLYGITEKKDKIHKAVTYTTIDACGGEARKFYNSSGYKDELVWGGTWLFFATGNYTYLDYATTNFAAASNNETIADKGIFYWNNKLTATAALLTRLRFFHDLGFPYEKALGLSSKMTDQLMCSYLSKQNFNRTPGGLILLRPDYGEPLQFAATASFLSKLYKDYLTLLGRSGGNCTKCDGFSLEMLQSFSISQVNYILGDNPRKMSYMVGFGDHYPTKVHHRSASIPWDGQFHSCAEGNRWLRSQDRNPNILLGAMVAGPDHFDGFSDERDKPWFTEPSIASNAGLVAALIANHGPNLGLDQMGIFEKVQLDSRVP >EOY17474 pep chromosome:Theobroma_cacao_20110822:8:20938257:20944241:1 gene:TCM_036672 transcript:EOY17474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine phosphatase 1 isoform 2 MAAAPNPRSSSSNPPPPNRFVFSADYPPRVSLTPSQFNYCSRALKLFSEKLLMPHEITREFARLQANRIRPSEMARSCTVGLNSVNLDKNRYSDVVPFDKNRVVLNSCKDYRPAAKGYINASFVTTSSSENISQFIATQGPIPHTYEDFWEMVIQRHCPVIVMLTRLVDNYKVVKCGDYFQVEGGPRQCGNICIDTKWIQATDTSLLLRSLEVNYSESEDPPVSVLHIQYPEWPDHGVPVDTLAVREILKRVLKVPPNLGPIVVHCSAGIGRTGTYCAIHNTIQRILVGDMSALDLANTVSTFRSQRIGMVQTMDQYIFCYKAIVDELKDLVSEFSSEYSSKC >EOY17475 pep chromosome:Theobroma_cacao_20110822:8:20938116:20943544:1 gene:TCM_036672 transcript:EOY17475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine phosphatase 1 isoform 2 MAAAPNPRSSSSNPPPPNRFVFSADYPPRVSLTPSQFNYCSRALKLFSEKLLMPHEITREFARLQANRIRPSEMARSCTVGLNSVNLDKNRYSDVVPFDKNRVVLNSCKDYRPAAKGYINASFVTTSSSENISQFIATQGPIPHTYEDFWEMVIQRHCPVIVMLTRLVDNYKVVKCGDYFQVEGGPRQCGNICIDTKWIQATDTSLLLRSLEVNYSESEDPPVSVLHIQYPEWPDHGVPVDTLAVREILKRVLKVPPNLGPIVVHCSAGIGRTGTYCAIHNTIQRILVGDMSALDLANTVSTFRSQRIGMVQTMLLGYSEFLKEYGAGWNLWSVLISDAE >EOY17476 pep chromosome:Theobroma_cacao_20110822:8:20938116:20943544:1 gene:TCM_036672 transcript:EOY17476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine phosphatase 1 isoform 2 MAAAPNPRSSSSNPPPPNRFVFSADYPPRVSLTPSQFNYCSRALKLFSEKLLMPHEITREFARLQANRIRPSEMARSCTVGLNSVNLDKNRYSDVVPFDKNRVVLNSCKDYRPAAKGYINASFVTTSSSENISQFIATQGPIPHTYEDFWEMVIQRHCPVIVMLTRLVDNYKVVKCGDYFQVEGGPRQCGNICIDTKWIQATDTSLLLRSLEVNYSESEDPPVSVLHIQYPEWPDHGVPVDTLAVREILKRVLKVPPNLGPIVVHCRYWENWNILCNS >EOY14777 pep chromosome:Theobroma_cacao_20110822:8:1238429:1243232:-1 gene:TCM_034049 transcript:EOY14777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MGFRDDSDDGRDLRKPFLHTGSWYRMGSRMGSSMLGSSQAIRDSSVSVVACVMIVALGPIQFGFTSGYSSPTQSAIIKDLGLTVSELSVTIGIMLAYLLGLFVQWRVLAVLGVLPCTILIPGLFFIPESPRWLAKMGMTEDFEASLQVLRGFDADISIEVNEIKRSVASTTRRTTIRFAQLKQRRYWFPLMVGVGLLMLQQLSGINGLLFYSSTIFEAAGVKSSNVATFGLGAVQVVATAVTTWLADKAGRRLLLIVSSSGMTLSLLIVAVSFYIKDVVSSDSTLYSIMGILSVVGVVAVVVAFSLGMGPIPWVIMSEILPINIKGLGGSIATLSNWFFSWVVTMTANLLLDWSSGGTFTIYMI >EOY14776 pep chromosome:Theobroma_cacao_20110822:8:1237927:1242991:-1 gene:TCM_034049 transcript:EOY14776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MSFRDDSDDGRDLRKPFLHTGSWYRMGSRMGSSMLGSSQAIRDSSVSVVACVMIVALGPIQFGFTSGYSSPTQSAIIKDLGLTVSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNVIGWLAISFARDSSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGALGSVNQLSVTIGIMLAYLLGLFVQWRVLAVLGVLPCTILIPGLFFIPESPRWLAKMGMTEDFEASLQVLRGFDADISIEVNEIKRSVASTTRRTTIRFAQLKQRRYWFPLMVGVGLLMLQQLSGINGLLFYSSTIFEAAGVKSSNVATFGLGAVQVVATAVTTWLADKAGRRLLLIVSSSGMTLSLLIVAVSFYIKDVVSSDSTLYSIMGILSVVGVVAVVVAFSLGMGPIPWVIMSEILPINIKGLGGSIATLSNWFFSWVVTMTANLLLDWSSGGTFTIYMIVSAFTILFVALWVPETKGRTLEEIQWSFR >EOY16983 pep chromosome:Theobroma_cacao_20110822:8:15671235:15675455:1 gene:TCM_036069 transcript:EOY16983 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MVTGQEMSQSQNSSKQAGCCSSSTTSSAVSAELKLYQAFIFSVPIFFAFILLFMFYLFYLRRRRADWSSLRMRTALDNNNDLYMAELGLKKEVREMLPIIIYKETFSIRDAQCSVCLGDYQAEDKLQQIPACGHTFHMDCIDHWLANHTTCPLCRLSVLASPKASDKLPVIQAENGQESSHPENSNGSSVQPMSQSCEETHDVQPSEPTVGDARILQHNSEEQDCVDQGREFRNTRNETREHEGSRGISVHSSLMMPVFVMKCASHDFNLWLFSNLNNTELDTLPELGPSSSTVHSIWHRIYHFPRCKGVIGSVCHNELLMWAKQSITKLMLFLTFASLGFMQLLRAKDSMGKRMSVHFTWCLFYLWF >EOY17222 pep chromosome:Theobroma_cacao_20110822:8:18513315:18519792:1 gene:TCM_036376 transcript:EOY17222 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-binding casette family G25 isoform 1 MFDSVLVLSEERSLYFGKESEAMAYFESVGFSPSFPVNPADFLLDIANGVCKLDAVSERERPNVKQTLIASYNTLLAPKVKAACMEITIVSAKETRLIGSHSSKEHKESNTVDLSTWFHQFNILLQRSLKERKHESFNILRVFQVITVAILAGLMWWHSDYRDIQDHLGLLFFIFIFWGVLPSFNAIFAFPQERAIFVKERASGMYTLSSYFMARIIGDLPMELILPTVFLIMTYWMAGLKPNLVAFLLTLLVVLGYVLVSQGLGLALGAAIMDAKQASTIVTVTMLAFVLTGGYYVHKVPSCMAWIKYISTTYYGYKLFINVQYGESKKISSMLGCSHHGRSNTVSCKFIDQDIAGQISPELSVAILLLMFVGYRLLAYLALRHIKG >EOY17221 pep chromosome:Theobroma_cacao_20110822:8:18501994:18519892:1 gene:TCM_036376 transcript:EOY17221 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-binding casette family G25 isoform 1 MFDSVLVLSEERSLYFGKESEAMAYFESVGFSPSFPVNPADFLLDIANGVCKLDAVSERERPNVKQTLIASYNTLLAPKVKAACMEITIVSAKETRLIGSHSSKEHKESNTVDLSTWFHQFNILLQRSLKERKHESFNILRVFQVITVAILAGLMWWHSDYRDIQDHLGLLFFIFIFWGVLPSFNAIFAFPQERAIFVKERASGMYTLSSYFMARIIGDLPMELILPTVFLIMTYWMAGLKPNLVAFLLTLLVVLGYVLVSQGLGLALGAAIMDAKQASTIVTVTMLAFVLTGGYYVHKVPSCMAWIKYISTTYYGYKLFINVQYGESKKISSMLGCSHHGRSNTVSCKFIDQDIAGQISPELSVAILLLMFVGYRLLAYLALRHIKG >EOY15334 pep chromosome:Theobroma_cacao_20110822:8:2924926:2926811:-1 gene:TCM_034429 transcript:EOY15334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENSTSGEKVVSFPCFPVVTVLKRECTERNKWRLVTQDLPIPVPSNFQFAPLQPVNGSACISIKCVNKECNEIISNPRFAAVHAARTPSKNRHNLGLAYDPILISGHDYKIVHVFVIDEDQEYGFEIFSSTLNSWEKSNPRLRCESIFEASRAEAVYFNGSLHWIKQGGNIVAFNVKTEDARIIPMPDKLVLKMIKSQQKEAMVRPCQRVLCIFKIEGYFI >EOY15737 pep chromosome:Theobroma_cacao_20110822:8:4459035:4463179:1 gene:TCM_034712 transcript:EOY15737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited Ca2+-ATPase 1 isoform 3 MESYLNENFGDVKPKNSSEETLQRWRKLCWIVKNRKRRFRFTANLSKRFEAEAIRRSNQEKFRVAVLVSQAALQFINGLNLSSDYDAPEDVKAAGFQICADELGSIVEGRDVKKLKIHGGVENIAAMLSTSIINGIPTSEHIINERKRIYGINKFTETPARGFWVFVWEALQDTTLMILAICAFVSLAVGITVEGWPKGAYDGLGIVLSILLVVFVTATSDYKQSLQFRDLDKEKKKIMVQVTRDGLRQKVSIFDLLPGDLVHLAIGDQVPADGLFISGFSVLINESCLTGECEPVNVNAANPFLLSGTKVQDGSCKMLITTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLYFAIVTFAVLVQGLFSRKIQEGTHWIWSGDDAMEMLEFFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKMMKDKALVRHLAACETMGSSTNICSDKTGTLTSNHMTVVKTCICEEIKEVSSSNKSYNFRSAVPESAVKILLQSIFNNTGGEVVNSKENKIEILGTPTETALLEFALLLGGDFQAERQASTIVKVEPFNSAKKRMGVVIELPEGGFRVHSKGASEIILAACDKVIDSNGDVVPLDERSTNHLKNIIELFASEALRTLCLAYMDIGTEFSVDSALPLKGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIAREIGILTDNGIAIEGPEFREKSEEELHELIPKMQVHSFFSLHYFWTTEQILMKLFLHSHWILVEFSLHFILKEIKNCICYCAALEKVHSLGCHAYSVVSTYDYFLCKP >EOY15735 pep chromosome:Theobroma_cacao_20110822:8:4458847:4465860:1 gene:TCM_034712 transcript:EOY15735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited Ca2+-ATPase 1 isoform 3 MESYLNENFGDVKPKNSSEETLQRWRKLCWIVKNRKRRFRFTANLSKRFEAEAIRRSNQEKFRVAVLVSQAALQFINGLNLSSDYDAPEDVKAAGFQICADELGSIVEGRDVKKLKIHGGVENIAAMLSTSIINGIPTSEHIINERKRIYGINKFTETPARGFWVFVWEALQDTTLMILAICAFVSLAVGITVEGWPKGAYDGLGIVLSILLVVFVTATSDYKQSLQFRDLDKEKKKIMVQVTRDGLRQKVSIFDLLPGDLVHLAIGDQVPADGLFISGFSVLINESCLTGECEPVNVNAANPFLLSGTKVQDGSCKMLITTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLYFAIVTFAVLVQGLFSRKIQEGTHWIWSGDDAMEMLEFFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKMMKDKALVRHLAACETMGSSTNICSDKTGTLTSNHMTVVKTCICEEIKEVSSSNKSYNFRSAVPESAVKILLQSIFNNTGGEVVNSKENKIEILGTPTETALLEFALLLGGDFQAERQASTIVKVEPFNSAKKRMGVVIELPEGGFRVHSKGASEIILAACDKVIDSNGDVVPLDERSTNHLKNIIELFASEALRTLCLAYMDIGTEFSVDSALPLKGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIAREIGILTDNGIAIEGPEFREKSEEELHELIPKMQVMARSSPMDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPNNDLMKRLPVGRKGNFISNVMWRNILGQSIYQFVIIWYLQTRGKAAFHLDGPDSELILNTLIFNSFVFCQVFNEISSRDMEKINVLRGILKNHVFVAVLSCTIIFQIVIVEFLGTFASTCPLTLQQWFASVFLGFLGMPIAAALKLIPVGSN >EOY15736 pep chromosome:Theobroma_cacao_20110822:8:4459031:4465263:1 gene:TCM_034712 transcript:EOY15736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited Ca2+-ATPase 1 isoform 3 MESYLNENFGDVKPKNSSEETLQRWRKLCWIVKNRKRRFRFTANLSKRFEAEAIRRSNQEKFRVAVLVSQAALQFINGLNLSSDYDAPEDVKAAGFQICADELGSIVEGRDVKKLKIHGGVENIAAMLSTSIINGIPTSEHIINERKRIYGINKFTETPARGFWVFVWEALQDTTLMILAICAFVSLAVGITVEGWPKGAYDGLGIVLSILLVVFVTATSDYKQSLQFRDLDKEKKKIMVQVTRDGLRQKVSIFDLLPGDLVHLAIGDQVPADGLFISGFSVLINESCLTGECEPVNVNAANPFLLSGTKVQDGSCKMLITTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLYFAIVTFAVLVQGLFSRKIQEGTHWIWSGDDAMEMLEFFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKMMKDKALVRHLAACETMGSSTNICSDKTGTLTSNHMTVVKTCICEEIKEVSSSNKSYNFRSAVPESAVKILLQSIFNNTGGEVVNSKENKIEILGTPTETALLEFALLLGGDFQAERQASTIVKVEPFNSAKKRMGVVIELPEGGFRVHSKGASEIILAACDKVIDSNGDVVPLDERSTNHLKNIIELFASEALRTLCLAYMDIGTEFSVDSALPLKGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIAREIGILTDNGIAIEGPEFREKSEEELHELIPKMQVMARSSPMDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGFQ >EOY16061 pep chromosome:Theobroma_cacao_20110822:8:5622705:5631099:1 gene:TCM_034949 transcript:EOY16061 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MGSRGRLLFDLNEPPAEDDEESDRSACFQPQKALPSANLHASDMFMTPAGPQGIVNNNAFSHASSGSGFQPFIRPKASPYPEVGVEPKRAGDQNSNLASSSSKSNNIGETKAHAAASFVLGPANAQAVEREEGEWSDAEGSADAYGNSSLLEEVKASQEQGVPEVMDSSASGVTVESVSATEKSHSPLRMDQILNDQKGNNSRNSEGNGKGDISIDGQEDPGLVPKQREVKGIEASHAVKCANNPGKRKIDQQKEAMLGKKRNRKTMFLNLEDVKQAGPIKTSTPRRQNFPTPVTTRTVKEVRSIPPPGERVGEKQGQPINEDQKQVDLPCTEGSNPTVESCDPISECNGDTNSGILARPRRLNSDSDLSEAHLPPIPRQSSWKQPIDSRQLKNSPFSNRKPAPISQSSMDSKIVNKKHLPSKKATAAGTSYQDTSVERLIREVTNEKFWHVPEDTELQCVPGRFESVEEYVRVFEPLLFEECRAQLYSTWEELAESASRDTHIMVRIKNIERRERGWYDVIVLPANECKWAFKEGDVAVLSAPRPGSVRTKRNNSSSIEEDEEAEVIGRVAGTVRRHIPIDTRDPLGAILHFYVGDSYDSNSKVDEDHILRKLQSRAIWYLTVLGSLATTQREYVALHAFCRLNSQMQNAILKPSRDHFPKYEQQTPAMPECFTPNFVDYLHRTFNGPQLAAIQWAATHTAAGTSSGVTKRQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQQYYTSLLKKLAPESYKQANESNPDNVAMGSIDEVLQNMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKIYRPDVARVGVDSQTRAAQAVSVERRTEQLLLKSREEILGHMHTLRGREAMLSQQIASLQRELTAAAAAVRSQGSVGVDPDILVARDQNRDVLLQNLAAAVENRDKVLVEMSRLLILEARFRVGSNFNLEEARANLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVAKLPDEVYYKDPLLKPYLFYDIMHGRESHRGGSVSYQNVHEAMFCLRLYEHLQKTVKSLGLPKITVGIITPYKLQLKCLQREFESVIKSEEGKDLYINTVDAFQGQERDVIIMSCVRASSHGVGFVADIRRMNVALTRARRALWVMGNANALVQSDDWAALIADAKARKCYMDMDSLPKDFPKELLSNFSGPRGLGYPPSQGKVSNMRGLRSAGPRHRSLDMHMDSRAGTPSEDEDKSGTSVISRNGNYRPFKPPMETSLDDFDQSGDKSREAWQYGIQKKQSSAGVVGKRDS >EOY16060 pep chromosome:Theobroma_cacao_20110822:8:5622705:5631099:1 gene:TCM_034949 transcript:EOY16060 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MGSRGRLLFDLNEPPAEDDEESDRSACFQPQKALPSANLHASDMFMTPAGVEPKRAGDQNSNLASSSSKSNNIGETKAHAAASFVLGPANAQAVEREEGEWSDAEGSADAYGNSSLLEEVKASQEQGVPEVMDSSASGVTVESVSATEKSHSPLRMDQILNDQKGNNSRNSEGNGKGDISIDGQEDPGLVPKQREVKGIEASHAVKCANNPGKRKIDQQKEAMLGKKRNRKTMFLNLEDVKQAGPIKTSTPRRQNFPTPVTTRTVKEVRSIPPPGERVGEKQGQPINEDQKQVDLPCTEGSNPTVESCDPISECNGDTNSGILARPRRLNSDSDLSEAHLPPIPRQSSWKQPIDSRQLKNSPFSNRKPAPISQSSMDSKIVNKKHLPSKKATAAGTSYQDTSVERLIREVTNEKFWHVPEDTELQCVPGRFESVEEYVRVFEPLLFEECRAQLYSTWEELAESASRDTHIMVRIKNIERRERGWYDVIVLPANECKWAFKEGDVAVLSAPRPGSVRTKRNNSSSIEEDEEAEVIGRVAGTVRRHIPIDTRDPLGAILHFYVGDSYDSNSKVDEDHILRKLQSRAIWYLTVLGSLATTQREYVALHAFCRLNSQMQNAILKPSRDHFPKYEQQTPAMPECFTPNFVDYLHRTFNGPQLAAIQWAATHTAAGTSSGVTKRQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQQYYTSLLKKLAPESYKQANESNPDNVAMGSIDEVLQNMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKIYRPDVARVGVDSQTRAAQAVSVERRTEQLLLKSREEILGHMHTLRGREAMLSQQIASLQRELTAAAAAVRSQGSVGVDPDILVARDQNRDVLLQNLAAAVENRDKVLVEMSRLLILEARFRVGSNFNLEEARANLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVAKLPDEVYYKDPLLKPYLFYDIMHGRESHRGGSVSYQNVHEAMFCLRLYEHLQKTVKSLGLPKITVGIITPYKLQLKCLQREFESVIKSEEGKDLYINTVDAFQGQERDVIIMSCVRASSHGVGFVADIRRMNVALTRARRALWVMGNANALVQSDDWAALIADAKARKCYMDMDSLPKDFPKELLSNFSGPRGLGYPPSQGKVSNMRGLRSAGPRHRSLDMHMDSRAGTPSEDEDKSGTSVISRNGNYRPFKPPMETSLDDFDQSGDKSREAWQYGIQKKQSSAGVVGKRDS >EOY16059 pep chromosome:Theobroma_cacao_20110822:8:5622707:5631099:1 gene:TCM_034949 transcript:EOY16059 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MGSRGRLLFDLNEPPAEDDEESDRSACFQPQKALPSANLHASDMFMTPAGVEPKRAGDQNSNLASSSSKSNNIGETKAHAAASFVLGPANAQAVEREEGEWSDAEGSADAYGNSSLLEEVKASQEQGVPEVMDSSASGVTVESVSATEKSHSPLRMDQILNDQKGNNSRNSEGNGKGDISIDGQEDPGLVPKQREVKGIEASHAVKCANNPGKRKIDQQKEAMLGKKRNRKTMFLNLEDVKQAGPIKTSTPRRQNFPTPVTTRTVKEVRSIPPPGERVGEKQGQPINEDQKQVDLPCTEGSNPTVESCDPISECNGDTNSGILARPRRLNSDSDLSEAHLPPIPRQSSWKQPIDSRQLKNSPFSNRKPAPISQSSMDSKIVNKKHLPSKKATAAGTSYQDTSVERLIREVTNEKFWHVPEDTELQCVPGRFESVEEYVRVFEPLLFEECRAQLYSTWEELAESASRDTHIMVRIKNIERRERGWYDVIVLPANECKWAFKEGDVAVLSAPRPGSVRTKRNNSSSIEEDEEAEVIGRVAGTVRRHIPIDTRDPLGAILHFYVGDSYDSNSKVDEDHILRKLQSRAIWYLTVLGSLATTQREYVALHAFCRLNSQMQNAILKPSRDHFPKYEQQTPAMPECFTPNFVDYLHRTFNGPQLAAIQWAATHTAAGTSSGVTKRQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQQYYTSLLKKLAPESYKQANESNPDNVAMGSIDEVLQNMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKIYRPDVARVGVDSQTRAAQAVSVERRTEQLLLKSREEILGHMHTLRGREAMLSQQIASLQRELTAAAAAVRSQGSVGVDPDILVARDQNRDVLLQNLAAAVENRDKVLVEMSRLLILEARFRVGSNFNLEEARANLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVAKLPDEVYYKDPLLKPYLFYDIMHGRESHRGGSVSYQNVHEAMFCLRLYEHLQKTVKSLGLPKITVGIITPYKLQLKCLQREFESVIKSEEGKDLYINTVDAFQGQERDVIIMSCVRASSHGVGFVADIRRMNVALTRARRALWVMGNANALVQSDDWAALIADAKARKCYMDMDSLPKDFPKELLSNFSGPRGLGYPPSQGKVSNMRGLRSAGPRHRSLDMHMDSRAGTPSEDEDKSGTSVISRNGNYRPFKPPMETSLDDFDQSGDKSREAWQYGIQKKQSSAGVVGKRDS >EOY15825 pep chromosome:Theobroma_cacao_20110822:8:4763923:4767569:1 gene:TCM_034781 transcript:EOY15825 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative isoform 1 MATPLILLVFSLALYCPLSSSSSDGLWVDSSLSVETAGDVLTSPNGTFSAGFHPVGQNAYSFAIWFNKPSCSANSCTIVWMANRDQPVNGRYSKLCLLKSGNLVLKDAGRIPVWETKTVSQSPTHLKLEDGGNLVLRNLEGNILWQSYDSPTDTLLPLQPFNEYTKLISSRSQGNYSSGFFQLYFDPDNVLCLVYDGLEFSSVYWPSPWLLRWEAGRSTFNNSKIAVLDSMGNFSSTDNFTFLSADYGSKIPRMLKMDFDGNIRLYSLNQDGETWVVSWQAFPQPCMVHGCCGPNSICIYIPNFGRKCSCLPGYKMTNRTDWSLGCKPVYHLSCNQTEIGFLKLRHVEFYGYDYNMYPNVTLEDCKKICSQLCDCKGFQFRFIKAHQPDGTYCYPKTQLLNGHRPPNFNADFYVKVPKATVPFYSNIVQDSELECSNESQTLERKYSKRRENESLKFALWSACAVGGLEFLTIFFVWCFLIRTHDNSSPVAGYHLATTGFRKFSYAELKKATNSFSEEIGRGAGGIVYKATLSDGRVAAIKRLIDANQGEAEFLAEVNTIGKLNHMNLIEMWGYCAEGKLRLLVYEYMEHGSLAENLSSKTLDWKKRFEIAVGTARGLAYLHEECLEWVLHCDIKPQNILIDSKYQPKVSDFGLSWLLNRSDVKYSKVSKIRGTRGYMAPEWVFNLPITSKVDVYSYGIVLLELVTGRSPAMRTHVTDDENSKAQRTLVSWVRELMARAQETDAWKHEIIDPTLEEIYCETEMLILVTVALQCVQEDKDVRPTMGEVVEMLLRHESH >EOY15824 pep chromosome:Theobroma_cacao_20110822:8:4764109:4767514:1 gene:TCM_034781 transcript:EOY15824 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative isoform 1 MATPLILLVFSLALYCPLSSSSSDGLWVDSSLSVETAGDVLTSPNGTFSAGFHPVGQNAYSFAIWFNKPSCSANSCTIVWMANRDQPVNGRYSKLCLLKSGNLVLKDAGRIPVWETKTVSQSPTHLKLEDGGNLVLRNLEGNILWQSYDSPTDTLLPLQPFNEYTKLISSRSQGNYSSGFFQLYFDPDNVLCLVYDGLEFSSVYWPSPWLLRWEAGRSTFNNSKIAVLDSMGNFSSTDNFTFLSADYGSKIPRMLKMDFDGNIRLYSLNQDGETWVVSWQAFPQPCMVHGCCGPNSICIYIPNFGRKCSCLPGYKMTNRTDWSLGCKPVYHLSCNQTEIGFLKLRHVEFYGYDYNMYPNVTLEDCKKICSQLCDCKGFQFRFIKAHQPDGTYCYPKTQLLNGHRPPNFNADFYVKVPKATVPFYSNIVQDSELECSNESQTLERKYSKRRENESLKFALWSACAVGGLEFLTIFFVWCFLIRTHDNSSPVAGYHLATTGFRKFSYAELKKATNSFSEEIGRGAGGIVYKATLSDGRVAAIKRLIDANQGEAEFLAEVNTIGKLNHMNLIEMWGYCAEGKLRLLVYEYMEHGSLAENLSSKTLDWKKRFEIAVGTARGLAYLHEECLEWVLHCDIKPQNILIDSKYQPKVSDFGLSWLLNRSDVKYSKVSKIRGTRGYMAPEWVFNLPITSKVDVYSYGIVLLELVTGRSPAMRTHVTDDENSKAQRTLVSWVRELMARAQETDAWKHEIIDPTLEEIYCETEMLILVTVALQCVQEDKDVRPTMGEVVEMLLRHESH >EOY15853 pep chromosome:Theobroma_cacao_20110822:8:4852514:4858385:-1 gene:TCM_034799 transcript:EOY15853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transporter, putative isoform 2 MKLGNNRSWNFEMHCIGLRRTKKQMLGANGRSASSSSPSSWHLCSSRNLDDGNLQSMLNISPRLSMLSNPDALLERSSPDQASMHWNSRKEVCYCSSKSVASNGTPGPKSSVRLRREIAVLELEILHLERYLLSLYRTAFEEHLPTLSNNGGNHLECETELLLPIVANGHHNLEPRMQKCDFANHDQPSPAHDSFVSDDQNCPSSFNIATSVREEKLSASGHRSLADHLGASRMDKNLYNPDRLSEHIVRCISSIYCKLAHVPQAHAGLSASPVSSLSSSSIFSSKTPCDSWSPHYTEASKGNTQFQGSKEESGPHAAIIEVSKLSLDNDSFNYAAEMLQNFRSLVRNLEKVDPRKMKREEKLAFWINIHNALVMHANLAYGTHSHVKSTSIMKAEYNVGGYCINAYIIQTSILGIRPHQSALWLQTLFSPGRKPKATSTKHVYALEYPEPLVHFALCLGAYSDPMVRVYTAENIFRDLKLAMEEFIHSRVYIHKEMKIFLPKVLYHFAKDMSLDMKNVLEIVSGCVSEEQREFIQKCIKGRPDKYIHWLSQSSSFRYLIHGELAKEE >EOY15855 pep chromosome:Theobroma_cacao_20110822:8:4854370:4858615:-1 gene:TCM_034799 transcript:EOY15855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transporter, putative isoform 2 MKLGNNRSWNFEMHCIGLRRTKKQMLGANGRSASSSSPSSWHLCSSRNLDDGNLQSMLNISPRLSMLSNPDALLERSSPDQASMHWNSRKEVCYCSSKSVASNGTPGPKSSVRLRREIAVLELEILHLERYLLSLYRTAFEEHLPTLSNNGGNHLECETELLLPIVANGHHNLEPRMQKCDFANHDQPSPAHDSFVSDDQNCPSSFNIATSVREEKLSASGHRSLADHLGASRMDKNLYNPDRLSEHIVRCISSIYCKLAHVPQAHAGLSASPVSSLSSSSIFSSKTPCDSWSPHYTEASKGNTQFQGSKEESGPHAAIIEVSKLSLDNDSFNYAAEMLQNFRSLVRNLEKVDPRKMKREEKLAFWINIHNALVMHVWLLALELLPMNSNEICILVLLIDRLLIATSCKS >EOY15854 pep chromosome:Theobroma_cacao_20110822:8:4852866:4856371:-1 gene:TCM_034799 transcript:EOY15854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transporter, putative isoform 2 MQSSVRLRREIAVLELEILHLERYLLSLYRTAFEEHLPTLSNNGGNHLECETELLLPIVANGHHNLEPRMQKCDFANHDQPSPAHDSFVSDDQNCPSSFNIATSVREEKLSASGHRSLADHLGASRMDKNLYNPDRLSEHIVRCISSIYCKLAHVPQAHAGLSASPVSSLSSSSIFSSKTPCDSWSPHYTEASKGNTQFQGSKEESGPHAAIIEVSKLSLDNDSFNYAAEMLQNFRSLVRNLEKVDPRKMKREEKLAFWINIHNALVMHANLAYGTHSHVKSTSIMKAEYNVGGYCINAYIIQTSILGIRPHQSALWLQTLFSPGRKPKATSTKHVYALEYPEPLVHFALCLGAYSDPMVRVYTAENIFRDLKLAMEEFIHSRVYIHKEMKIFLPKVLYHFAKDMSLDMKNVLEIVSGCVSEEQREFIQKCIKGRPDKYIHWLSQSSSFRYLIHGELAKEE >EOY15348 pep chromosome:Theobroma_cacao_20110822:8:2963708:2966018:-1 gene:TCM_034439 transcript:EOY15348 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative MALEAVVYPQDPFTYACKDFYLVGGGAWGYDFCFQEEDKALLGILDNNIEQGSSPHLHANWDSSSTSVMQHAQEWDPYSSPEACTVDQSLPGGSFPPMEAPAAAAATATTTTTNSRRKRRRTRSSKNKEELENQRMTHITVERNRRKQMNEYLAVLRSLMPPSYVQRGDQASIIGGAINFVKELEQLLQSMEAHKRTTQQPEHNGYSSPFAEFFTFPQFSTHATQCNNPQSMAAEPMAAAESVADIEVTMVETHANLKILSKKRPRQLLKLVAGLQSLRLTILHLNVTTVEEMALYSISVKVEEGCHLNTVDEIAAAVNQMLRGIQEEAAFS >EOY16884 pep chromosome:Theobroma_cacao_20110822:8:12726826:12730955:-1 gene:TCM_035822 transcript:EOY16884 gene_biotype:protein_coding transcript_biotype:protein_coding description:185, putative MVTLNHILWGVYSTHSQVSHTAQVNVQLYMRTSFPFTHSLFTRFSKRRKKGGQQVPLALYSTHLSKSKCNSPIPPNASISQQRSRECIIMLQMPNHRIDRPNSRSFSMHSLYISNTQGRTLWEGSSLASIRLIIPLASCIPILFDYHSGM >EOY16117 pep chromosome:Theobroma_cacao_20110822:8:5852361:5855294:-1 gene:TCM_034988 transcript:EOY16117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MLSLAVTLPQVTLFQQPSNSTIQKPSFRCSNSKTQSKTTSTKNPPQFSCFCSTDSCFLPEFDHSISVSASHEDPDAGFMDITPPSVSRSVDSDDLAALLQSCYNVRQARRVHAVVLKRLKNPGTYVENNLISVYSRFGKLMEARKVFDKMAERNVVSWTAMINGYSKLGFDDEALRLFADSISSGVRGNGKMFVCLMNLCSRRMDFELGRRIHGCILKGNWRNLIVDSAVVNFYAQCGELSKAFRVFCWMGKKDVVCWTTIITACAQQGNGKEAFSMFSRMLSEGFWPNEFTVCSVLKACGEEKALKSGRQLHGAIIKKIFKNDVFVGTSLVDMYAKCGEISDARIVFNGMGSRNTVTWTSIIAGYARKGLGEDAISLFRVMKRRNIIANNLTIVSVLRACGSVGYLLMGREVHAQIVKISIQTNIYIGSTLVWFYCKCGEYNIASKVLQQMPLRDVVSWTAMISGCASLGHEAEALDFLKEMMEEGVEPNSFTYSSALKACAKLEAVSQGKLIHSFANKTPALSNVFVGSALIHMYAKCGFVSEAFQVFDSMPERNLVSWKAMIIGYARNGLCREALQLMYRMEAEGFEVDDYILTTVLSACGDIEWDEEPSAELLPAT >EOY15763 pep chromosome:Theobroma_cacao_20110822:8:4548924:4553269:1 gene:TCM_034730 transcript:EOY15763 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 4, putative isoform 2 MDPSIVKLLEEDEDESMHSGADVEAFQAALNRDIEGDAATTSQTSGSNTVLSQGSNPASSQSVAQWPTKGQDGNTNFQNQRALRSAQQQQQPSSEMEQKQQGAVVAGSQHQVRQPNDVPQEINRLPQQQKQPQDDRQQGVAEQVSAQVPQSTGVQTTEKSPIPAREPERTNNQDSESQYMKLQKMSNQQAGGTEQPNNPMNRGKQVPFAVLLPALLPQLDKDRAMQLHTLYGKLKKNEIAKDGFVRHMRDIVGDQMLRLAVNKLQVQMSSNQFPLQSQAAARQNTPRMPSVSAGATQFAGPHSLAQLQQKGPNSPATPSRAPSPAVPMQTNSSYSSTENKAPKSQEMDRQSDSRFGVLGSQISSFSTTTVNQERDRSSIPVQGLNKQQQQHLNFPQTSFSMHGSSSYHPYSGPSVNASGSSLKPQPHDSQMRQTALHQSMGSNPVGGPTQAMNVMSGPKFERQNSSNDPNRLQGGSLSHFSNSSVPWQASSSKETNPGPLSSVTYVKQESVDQGAEHQHKPHLSASQGLPTALGEQGNAVTTTPKDEPLEKQSSRIGFSTPNSMVPPNSVSPITTQVDSNVLLGSRNPSVPSLAGANSRTPQKKPSVGQKKPLETLGSSPPPSSKKQKVSGAFLDQSIEQLNDVTAVSGVNLREEEEQLFSGPKDDSRVSEASRRVVQEEEERLILQKTPLQKKLAEIMAKSGLKNI >EOY15761 pep chromosome:Theobroma_cacao_20110822:8:4548896:4555627:1 gene:TCM_034730 transcript:EOY15761 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 4, putative isoform 2 MDPSIVKLLEEDEDESMHSGADVEAFQAALNRDIEGDAATTSQTSGSNTAVLSQGSNPASSQSVAQWPTKGQDGNTNFQNQRALRSAQQQQQPSSEMEQKQQGAVVAGSQHQVRQPNDVPQEINRLPQQQKQPQDDRQQGVAEQVSAQVPQSTGVQTTEKSPIPAREPERTNNQDSESQYMKLQKMSNQQAGGTEQPNNPMNRGKQVPFAVLLPALLPQLDKDRAMQLHTLYGKLKKNEIAKDGFVRHMRDIVGDQMLRLAVNKLQVQMSSNQFPLQSQAAARQNTPRMPSVSAGATQFAGPHSLAQLQQKGPNSPATPSRAPSPAVPMQTNSSYSSTENKAPKSQEMDRQSDSRFGVLGSQISSFSTTTVNQERDRSSIPVQGLNKQQQQHLNFPQTSFSMHGSSSYHPYSGPSVNASGSSLKPQPHDSQMRQTALHQSMGSNPVGGPTQAMNVMSGPKFERQNSSNDPNRLQGGSLSHFSNSSVPWQASSSKETNPGPLSSVTYVKQESVDQGAEHQHKPHLSASQGLPTALGEQGNAVTTTPKDEPLEKQSSRIGFSTPNSMVPPNSVSPITTQVDSNVLLGSRNPSVPSLAGANSRTPQKKPSVGQKKPLETLGSSPPPSSKKQKVSGAFLDQSIEQLNDVTAVSGVNLREEEEQLFSGPKDDSRVSEASRRVVQEEEERLILQKTPLQKKLAEIMAKSGLKNISNDVERCVSLCVEERMRGLICNLIRLSKQRVDDEKSRHRTLITSDVRQQIMMMNRNAREEWEKKQAEAEKLRKLNEPEAETAVDGDKEKDDNRVKSVKANKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGGMDAASGSQAGKDVNRRPLSASVKNTKDNQESEKRGPLSPLASGASRKFGRNQVITPQTRVARTISVKDVIAVLEREPQMSKSTLIYRLYEKIRSEAAAE >EOY15762 pep chromosome:Theobroma_cacao_20110822:8:4549010:4555515:1 gene:TCM_034730 transcript:EOY15762 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 4, putative isoform 2 MDPSIVKLLEEDEDESMHSGADVEAFQAALNRDIEGDAATTSQTSGSNTAVLSQGSNPASSQSVAQWPTKGQDGNTNFQNQRALRSAQQQQQPSSEMEQKQQGAVVAGSQHQVRQPNDVPQEINRLPQQQKQPQDDRQQGVAEQVSAQVPQSTGVQTTEKSPIPAREPERTNNQDSESQYMKLQKMSNQQAGGTEQPNNPMNRGKQVPFAVLLPALLPQLDKDRAMQLHTLYGKLKKNEIAKDGFVRHMRDIVGDQMLRLAVNKLQVQMSSNQFPLQSQAAARQNTPRMPSVSAGATQFAGPHSLAQLQQKGPNSPATPSRAPSPAVPMQTNSSYSSTENKAPKSQEMDRQSDSRFGVLGSQISSFSTTTVNQERDRSSIPVQGLNKQQQQHLNFPQTSFSMHGSSSYHPYSGPSVNASGSSLKPQPHDSQMRQTALHQSMGSNPVGGPTQAMNVMSGPKFERQNSSNDPNRLQGGSLSHFSNSSVPWQASSSKETNPGPLSSVTYVKQESVDQGAEHQHKPHLSASQGLPTALGEQGNAVTTTPKDEPLEKQSSRIGFSTPNSMVPPNSVSPITTQVDSNVLLGSRNPSVPSLAGANSRTPQKKPSVGQKKPLETLGSSPPPSSKKQKVSGAFLDQSIEQLNDVTAVSGVNLREEEEQLFSGPKDDSRVSEASRRVVQEEEERLILQKTPLQKKLAEIMAKSGLKNISNDVERCVSLCVEERMRGLICNLIRLSKQSRHRTLITSDVRQQIMMMNRNAREEWEKKQAEAEKLRKLNEPEAETAVDGDKEKDDNRVKSVKANKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGGMDAASGSQAGKDVNRRPLSASVKNTKDNQESEKRGPLSPLASGASRKFGRNQVITPQTRVARTISVKDVIAVLEREPQMSKSTLIYRLYEKIRSEAAAE >EOY16697 pep chromosome:Theobroma_cacao_20110822:8:9994447:10015799:1 gene:TCM_035548 transcript:EOY16697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec5 isoform 3 MSMDDDEDSEVEMLSISSGDEDTGKDPKGGVGGRSRGRGSKDDDGPWDGEEPDCWKRVDEAELTRRVREMRETRTAPVAQKFERKPSATVGRILNNLQSFPRGMECVDPLGLGIIDNKTLRLITEASESSPSKSDRDYMDSGLREKLMYFSEKFDAKLFLSRIHQDTTAADLEAGALALKTDLKGRTQQRKQLVKDNFDCFVSCKTTIDDIESKLKRIEEDPEGSGTTHLFNCMQGVSSLANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSTIRGSISKGEYDLAVREYKKAKSIALPSHVNILKRVLEEVEKVMQEFKVMLYKSMEDPQIDLTSLENTVRLLLELEPESDPVWHYLNVQNHRIRGLLEKCTSDHEARMETLHNEIQERALSDAKWQQIQQNLSQSSDVNYSLGNIQLPVDLQPVGLTGEEVDVLRGRYIRRLTAVLVHHIPAFWKVALSVFSGKFAKSSQVSDSSASKSEEKVGDGRYSSHSLDEVAGMMHSTISVYEVKVLNTFRDLEESNILHSYMSDAIMEISKACLAFEAKESAPPIAVLALRTLQAEVTKIYMLRLCSWMRASTEGITKDEAWVPVSVLERNKSPYTISYLPLAFRSVMASAMDQINMMIQSLRSEATKFEDMFAQLQEIQESVRLAFLNCFLDFAGHLEHIGSELAQNKSIKESLHLQNGYSHEPEEELSSDLPGNVVDPHQRLLIVLSNIGYCKDELSSELYNKYKCIWLQSREKDEDDSDIQDLVMSFSGLEEKVLEQYTYAKANLIRSAAMNYLLDSGVQWGSAPAVKGVRDAAVELLHTLVAVHAEPLLDKTLGILVEGLIDTFISLFNENETKDLSSLDANGFCQLMLELEYFETILNPCFTADARESMKSLQGVLLEKATESISEIVENPGHHRRPTRGSEDALADERQQGVSVSPDDLIALAQQYSSELLQAELERTRINTACFVESLPLESAPESAKAAYASFRGSMDSPSRNYRGTQAMGSPSFTQRRRR >EOY16698 pep chromosome:Theobroma_cacao_20110822:8:9994213:10016177:1 gene:TCM_035548 transcript:EOY16698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec5 isoform 3 MSSDSDDEDELLQIALKEQAQRDLNYQKPPSSNSRKPVANFVQPPPQQPGTVYKAQKAPTASAPKKPAARKMSMDDDEDSEVEMLSISSGDEDTGKDPKGGVGGRSRGRGSKDDDGPWDGEEPDCWKRVDEAELTRRVREMRETRTAPVAQKFERKPSATVGRILNNLQSFPRGMECVDPLGLGIIDNKTLRLITEASESSPSKSDRDYMDSGLREKLMYFSEKFDAKLFLSRIHQDTTAADLEAGALALKTDLKGRTQQRKQLVKDNFDCFVSCKTTIDDIESKLKRIEEDPEGSGTTHLFNCMQGVSSLANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSTIRGSISKGEYDLAVREYKKAKSIALPSHVNILKRVLEEVEKVMQEFKVMLYKSMEDPQIDLTSLENTVRLLLELEPESDPVWHYLNVQNHRIRGLLEKCTSDHEARMETLHNEIQERALSDAKWQQIQQNLSQSSDVNYSLGNIQLPVDLQPVGLTGEEVDVLRGRYIRRLTAVLVHHIPAFWKVALSVFSGKFAKSSQVSDSSASKSEEKVGDGRYSSHSLDEVAGMMHSTISVYEVKVLNTFRDLEESNILHSYMSDAIMEISKACLAFEAKESAPPIAVLALRTLQAEVTKIYMLRLCSWMRASTEGITKDEAWVPVSVLERNKSPYTISYLPLAFRSVMASAMDQINMMIQSLRSEATKFEDMFAQLQEIQESVRLAFLNCFLDFAGHLEHIGSELAQNKSIKESLHLQNGYSHEPEEELSSDLPGNVVDPHQRLLIVLSNIGYCKDELSSELYNKYKCIWLQSREKDEDDSDIQDLVMSFSGLEEKVLEQYTYAKANLIRSAAMNYLLDSGVQWGSAPAVKGVRDAAVELLHTLVAVHAEVFAGAKPLLDKTLGILVEGLIDTFISLFNENETKDLSSLDANGFCQLMLELMLGSL >EOY16696 pep chromosome:Theobroma_cacao_20110822:8:9994213:10016177:1 gene:TCM_035548 transcript:EOY16696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec5 isoform 3 MSSDSDDEDELLQIALKEQAQRDLNYQKPPSSNSRKPVANFVQPPPQQPGTVYKAQKAPTASAPKKPAARKMSMDDDEDSEVEMLSISSGDEDTGKDPKGGVGGRSRGRGSKDDDGPWDGEEPDCWKRVDEAELTRRVREMRETRTAPVAQKFERKPSATVGRILNNLQSFPRGMECVDPLGLGIIDNKTLRLITEASESSPSKSDRDYMDSGLREKLMYFSEKFDAKLFLSRIHQDTTAADLEAGALALKTDLKGRTQQRKQLVKDNFDCFVSCKTTIDDIESKLKRIEEDPEGSGTTHLFNCMQGVSSLANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSTIRGSISKGEYDLAVREYKKAKSIALPSHVNILKRVLEEVEKVMQEFKVMLYKSMEDPQIDLTSLENTVRLLLELEPESDPVWHYLNVQNHRIRGLLEKCTSDHEARMETLHNEIQERALSDAKWQQIQQNLSQSSDVNYSLGNIQLPVDLQPVGLTGEEVDVLRGRYIRRLTAVLVHHIPAFWKVALSVFSGKFAKSSQVSDSSASKSEEKVGDGRYSSHSLDEVAGMMHSTISVYEVKVLNTFRDLEESNILHSYMSDAIMEISKACLAFEAKESAPPIAVLALRTLQAEVTKIYMLRLCSWMRASTEGITKDEAWVPVSVLERNKSPYTISYLPLAFRSVMASAMDQINMMIQSLRSEATKFEDMFAQLQEIQESVRLAFLNCFLDFAGHLEHIGSELAQNKSIKESLHLQNGYSHEPEEELSSDLPGNVVDPHQRLLIVLSNIGYCKDELSSELYNKYKCIWLQSREKDEDDSDIQDLVMSFSGLEEKVLEQYTYAKANLIRSAAMNYLLDSGVQWGSAPAVKGVRDAAVELLHTLVAVHAEVFAGAKPLLDKTLGILVEGLIDTFISLFNENETKDLSSLDANGFCQLMLELEYFETILNPCFTADARESMKSLQGVLLEKATESISEIVENPGHHRRPTRGSEDALADERQQGVSVSPDDLIALAQQYSSELLQAELERTRINTACFVESLPLESAPESAKAAYASFRGSMDSPSRNYRGTQAMGSPSFTQRRRR >EOY14830 pep chromosome:Theobroma_cacao_20110822:8:1402394:1409571:-1 gene:TCM_034095 transcript:EOY14830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MSGPLDRFARPCFEGTGSNDERKDRKSDFEISEEDRKTRIGNLKKKAMKASSKFRRSLKKKSRRKSDGQIRSVSIKDIRDIEELQTVDAFRQALIAEQLLPAKHEDYHMLLRFLKARKFDIEKAKHMWDNMIQWRKDFGTDTILEDFEFNELNEVLKYYPQGYHGVDKEGRPVYIELLGKVDPNKLMRVTTLERYVKYHVQEFEKCFATKFPACSIAAKRHIDSSTTILDVQGMGCKSFSMSAQDLVRRLQKIDGDNYPETLYRMFIINAGPGFKMIWRAVKSCLDSKTASKIHVLGSNYQNKLLEIIDASELPQFLGGCCTCADQGGCMRSDKGPWKDPNILKMIASGEALFSRQIVTVSNSEGRIIAYDKPRYPVIKSSDTSAGESGSEVEEIASPKTTRSYLHPILAPVSEEARMAGKAISAGGSYEYDEYVPMIDKVVDSECEIQVSHQSPYSSEGTPLPLVEQTPKGIYGHIGAAVIAFLTLFAFIRTMVLHLMKKQSVTDLTRSTPDQHVEPIYKEETRPPSPAPRFTEVDLLSSVVRRLGDLEEKVEILQSKRFEMPHEKEELLNAAVYRVDALEAELIATKKALHEALMRQEELLAYIDSQEEAKARRKRFCW >EOY14833 pep chromosome:Theobroma_cacao_20110822:8:1405056:1409233:-1 gene:TCM_034095 transcript:EOY14833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MSGPLDRFARPCFEGTGSNDERKDRKSDFEISEEDRKTRIGNLKKKAMKASSKFRRSLKKKSRRKSDGQIRSVSIKDIRDIEELQTVDAFRQALIAEQLLPAKHEDYHMLLRFLKARKFDIEKAKHMWDNMIQWRKDFGTDTILEDFEFNELNEVLKYYPQGYHGVDKEGRPVYIELLGKVDPNKLMRVTTLERYVKYHVQEFEKCFATKFPACSIAAKRHIDSSTTILDVQGMGCKSFSMSAQDLVRRLQKIDGDNYPETLYRMFIINAGPGFKMIWRAVKSCLDSKTASKIHVLGSNYQNKLLEIIDASELPQFLGGCCTCADQGGCMRSDKGPWKDPNILKMIASGEALFSRQIVTVSNSEGRIIAYDKPRYPVIKSSDTSAGESGSEVEEIASPKTTRSYLHPILAPVSEEARMAGKAISAGGSYEYDEYVPMIDKVVDSECEIQVSHQSPYSSEGTPLPLVEQTPKGIYGHIGAAVIAFLTLFAFIRTMVLHLMKKQSVTDLTRSTPDQHVEPIYKEETRPPSPAPRFTEVDLLSSVVRRLGDLEEKVEILQSKRFEMPHEKEELLNAAVYRVDALEAELIATKKALHEALMRQEELLAYIDSQEEAKARVSRTQ >EOY14829 pep chromosome:Theobroma_cacao_20110822:8:1402394:1409571:-1 gene:TCM_034095 transcript:EOY14829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MSGPLDRFARPCFEGTGSNDERKDRKSDFEISEEDRKTRIGNLKKKAMKASSKFRRSLKKKSRRKSDGQIRSVSIKDIRDIEELQTVDAFRQALIAEQLLPAKHEDYHMLLRFLKARKFDIEKAKHMWDNMIQWRKDFGTDTILEDFEFNELNEVLKYYPQGYHGVDKEGRPVYIELLGKVDPNKLMRVTTLERYVKYHVQEFEKCFATKFPACSIAAKRHIDSSTTILDVQGMGCKSFSMSAQDLVRRLQKIDGDNYPETLYRMFIINAGPGFKMIWRAVKSCLDSKTASKIHVLGSNYQNKLLEIIDASELPQFLGGCCTCADQGGCMRSDKGPWKDPNILKMIASGEALFSRQIVTVSNSEGRIIAYDKPRYPVIKSSDTSAGESGSEVEEIASPKTTRSYLHPILAPVSEEARMAGKAISAGGSYEYDEYVPMIDKVVDSECEIQVSHQSPYSSEGTPLPLVEQTPKGIYGHIGAAVIAFLTLFAFIRTMVLHLMKKQSVTDLTRSTPDQHVEPIYKEETRPPSPAPRFTEVDLLSSVVRRLGDLEEKVEILQSKRFEMPHEKEELLNAAVYRVDALEAELIATKKALHEALMRQEELLAYIDSQEEAKARRKRFCW >EOY14832 pep chromosome:Theobroma_cacao_20110822:8:1400712:1409571:-1 gene:TCM_034095 transcript:EOY14832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MSGPLDRFARPCFEGTGSNDERKDRKSDFEISEEDRKTRIGNLKKKAMKASSKFRRSLKKKSRRKSDGQIRSVSIKDIRDIEELQTVDAFRQALIAEQLLPAKHEDYHMLLRFLKARKFDIEKAKHMWDNMIQWRKDFGTDTILEDFEFNELNEVLKYYPQGYHGVDKEGRPVYIELLGKVDPNKLMRVTTLERYVKYHVQEFEKCFATKFPACSIAAKRHIDSSTTILDVQGMGCKSFSMSAQDLVRRLQKIDGDNYPETLYRMFIINAGPGFKMIWRAVKSCLDSKTASKIHVLGSNYQNKLLEIIDASELPQFLGGCCTCADQGGCMRSDKGPWKDPNILKMIASGEALFSRQIVTVSNSEGRIIAYDKPRYPVIKSSDTSAGESGSEVEEIASPKTTRSYLHPILAPVSEEARMAGKAISAGGSYEYDEYVPMIDKVVDSECEIQVSHQSPYSSEGTPLPLVEQTPKGIYGHIGAAVIAFLTLFAFIRTMVLHLMKKQSVTDLTRSTPDQHVEPIYKEETRPPSPAPRFTEVDLLSSVVRRLGDLEEKVEILQSKRFEMPHEKEELLNAAVYRVDALEAELIATKKALHEALMRQEELLAYIDSQEEAKARRKRFCW >EOY14831 pep chromosome:Theobroma_cacao_20110822:8:1403427:1409474:-1 gene:TCM_034095 transcript:EOY14831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MSGPLDRFARPCFEGTGSNDERKDRKSDFEISEEDRKTRIGNLKKKAMKASSKFRRSLKKKSRRKSDGQIRSVSIKDIRDIEELQTVDAFRQALIAEQLLPAKHEDYHMLLRFLKARKFDIEKAKHMWDNMIQWRKDFGTDTILEDFEFNELNEVLKYYPQGYHGVDKEGRPVYIELLGKVDPNKLMRVTTLERYVKYHVQEFEKCFATKFPACSIAAKRHIDSSTTILDVQGMGCKSFSMSAQDLVRRLQKIDGDNYPETLYRMFIINAGPGFKMIWRAVKSCLDSKTASKIHVLGSNYQNKLLEIIDASELPQFLGGCCTCADQGGCMRSDKGPWKDPNILKMIASGEALFSRQIVTVSNSEGRIIAYDKPRYPVIKSSDTSAGESGSEVEEIASPKTTRSYLHPILAPVSEEARMAGKAISAGGSYEYDEYVPMIDKVVDSECEIQVSHQSPYSSEGTPLPLVEQTPKGIYGHIGAAVIAFLTLFAFIRTMVLHLMKKQSVTDLTRSTPDQHVEPIYKEETRPPSPAPRFTEVDLLSSVVRRLGDLEEKVEILQSKRFEMPHEKEELLNAAVYRVDALEAELIATKKALHEALMRQEELLAYIDSQEEAKARVSRTQ >EOY15906 pep chromosome:Theobroma_cacao_20110822:8:4974325:4975469:-1 gene:TCM_034827 transcript:EOY15906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein MVGLHEKRLRKCLSKLKGIEKVEVDANSQKVVVTGYAHRNKVLKAIRRGGLKADFWSAHNELLNAYTVATASYGSFRCNNFNFF >EOY16625 pep chromosome:Theobroma_cacao_20110822:8:9053302:9056358:-1 gene:TCM_035449 transcript:EOY16625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family UPF0090 [Source:Projected from Arabidopsis thaliana (AT1G77122) TAIR;Acc:AT1G77122] MDLLVSAWNFKHLAVPISALLPSPTAITCSCSFYKPPGSANKFSFPFWTYPFARIPNKSPSSIAIHARKKNSKSEPLLKPTIVEEVSMDDEDKEEEQILFDDSEDDESMIDNDDDYFEEEYLDNETELYVGDGAGGGGISLAGTWWDKEALALAQDVCLSFNGDLGIYAFKTLSNSSIQVRIERLTNKSGSPSMEDVEAFSVSYRARLDEAELARSVPQNITLEVSSPGVERVVRMPQDLDRFKDRPMYVKYVTVAESGSLSEGDGVFRLVTFDMETKCCTWGLADVRINREKAGKGRPLSKKQREWCLETTFDSLRLVRLYSEI >EOY15085 pep chromosome:Theobroma_cacao_20110822:8:2193347:2195221:-1 gene:TCM_034262 transcript:EOY15085 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL20J20.12 protein, putative MSRCFPYPPPGYLRHGLVESIKLEREKVLPKTERKIHKRREKKEKKKKERKEKEKTHGISKKLKKLDDDLNGDKDEQLGNSDLTEEHEPPVCYLSDGTQNSNKRKRETPSSSECRVNGSIKIRFSFKKPRESDASLCEERVCSTSGRADCSTQPIAQEQPDPSNQKENIITHVPEQKITTVLEQKLWRDNERKQQIPSSGTSVFGNKMKKAALQYKTLLEDLMPLPLQLQNHDDYDDDWLFKSKQQGKHAGERSKVDDDVRCPTIATSCPRAHFLPDVEIYALPYTVPF >EOY16315 pep chromosome:Theobroma_cacao_20110822:8:6674984:6677353:-1 gene:TCM_035137 transcript:EOY16315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeic acid 3-O-methyltransferase 1 MTQEDQDQELGKLAVRLANAVVLPMVLKSALELNIIDTISAAGDGTFLSPSQIASGLPTKNPEASVLLDRMLRLLASYSILKCSVRTKESGEVERLYGAGPLCKFLVKNQDEGSVAPLFLLHHDKVFMESWYHLNDVILEGGIPFNRAYGMTAFEYPGTDLRFNRVFNQAMSNHTTLIMRKILDVYKGFDEVEVLVDVGGGIGVALGIITSKYPHIKAINFDLPHVLADAPTYSGVEHVGGDMFESVPKGDAIFMKWILHDWSDEHCLKLLKNCWEALPNGGKVIIVESILPEAPDSSVSSNIVCEQDLLMLAQNPGGKERTRKEYEALALRTGFSGSEVICCAYNSWVMEFHKRENL >EOY15139 pep chromosome:Theobroma_cacao_20110822:8:2356769:2359063:-1 gene:TCM_034303 transcript:EOY15139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol methyltransferase 2 MDSLALFCTGALLACGLYWFVCVLGPAEQKGKRAVDLSGGSISAEKVQDNYKQYWSFFRRPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSLPGKSHRDATRHHEEMAVDLIDVKPGDRILDVGCGVGGPMRAIAAHSRAKVVGITINEYQVKRARLHNKKAGLDSLCEVVCGNFLEMPFQDNSFDGAYSIEATCHAPKLEEVYAEIFRVLKPGSLYVSYEWVTTDKYRADNPEHVDIIQGIERGDALPGLRSYLDIAETAKKVGFEVVKEKDLAKPPAQPWWTRLKMGRIAYWRNHILITVLAAIGIAPKGTVDVHEMLFKTADYLTRGGDSGIFSPMHMILLRKPEVSPSKS >EOY15380 pep chromosome:Theobroma_cacao_20110822:8:3067936:3091541:1 gene:TCM_034462 transcript:EOY15380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxin (pyrodoxamine) 5'-phosphate oxidase isoform 3 MASSLQNQGSITYLTQREAAEIDEILMGPLGFSVDQLMELAGLSVATSMAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLYHFGYKPFVCYPKRTSKPLYSGLVTQLESLSIPFLSVDELPVDWSNDFDILVDAMFGFSFHGAPRPPFDNLIEKLVHLNNYKQRQQKSPVIVSVDIPSGWHVEEGDVNGEGIKPDMLVSLTAPKLCAKKFSGPHHFLGGRFVPPSIAEKYKLHLPPYPGTSMCVRIGKPPQIDISALRENYMSPDFLEEQVEADPIDQFRKWFDDAVAAGLKEPNAMALSTTGKDGKPSSRMVLLKSVDKDGFVWYTNYESRKARELSENSHASLLFYWDGLNRQVRVEGSVQKVSDDESEQYFHSRPRGSQIGAIVSKQSTIVPGRHVLHQLYKELEEKYSDGSLIPKPKHWGGYRLQPERFEFWQGQQSRLHDRCLLYLGNRRTGSRI >EOY15374 pep chromosome:Theobroma_cacao_20110822:8:3067937:3091649:1 gene:TCM_034462 transcript:EOY15374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxin (pyrodoxamine) 5'-phosphate oxidase isoform 3 MASSLQNQGSITYLTQREAAEIDEILMGPLGFSVDQLMELAGLSVATSMAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLYHFGYKPFVCYPKRTSKPLYSGLVTQLESLSIPFLSVDELPVDWSNDFDILVDAMFGFSFHGAPRPPFDNLIEKLVHLNNYKQRQQKSPVIVSVDIPSGWHVEEGDVNGEGIKPDMLVSLTAPKLCAKKFSGPHHFLGGRFVPPSIAEKYKLHLPPYPGTSMCVRIGKPPQIDISALRENYMSPDFLEEQVEADPIDQFRKWFDDAVAAGLKEPNAMALSTTGKDGKPSSRMVLLKSVDKDGFVWYTNYESRKARELSENSHASLLFYWDGLNRQVRVEGSVQKVSDDESEQYFHSRPRGSQIGAIVSKQSTIVPGRHVLHQLYKELEEKYSDGSLIPKPKHWGGYRLQPERFEFWQGQQSRLHDRCLLYLGNRRTGSRI >EOY15377 pep chromosome:Theobroma_cacao_20110822:8:3085449:3092842:1 gene:TCM_034462 transcript:EOY15377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxin (pyrodoxamine) 5'-phosphate oxidase isoform 3 MASSLQNQGSITYLTQREAAEIDEILMGPLGFSVDQLMELAGLSVATSMAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLYHFGYKPFVCYPKRTSKPLYSGLVTQLESLSIPFLSVDELPVDWSNDFDILVDAMFGFSFHGAPRPPFDNLIEKLVHLNNYKQRQQKSPVIVSVDIPSGWHVEEGDVNGEGIKPDMLVSLTAPKLCAKKFSGPHHFLGGRFVPPSIAEKYKLHLPPYPGTSMCVRIGKPPQIDISALRENYMSPDFLEEQVEADPIDQFRKWFDDAVAAGLKEPNAMALSTTGKDGKPSSRMVLLKSVDKDGFVWCTPIMKVGRHASYQKILMHHFFSTGMGLIGRVRVEGSVQKVSDDESEQYFHSRPRGSQIGAIVSKQQSTIVPGRHVLHQLYKELEEKYSDGEFDSKA >EOY15379 pep chromosome:Theobroma_cacao_20110822:8:3067936:3092849:1 gene:TCM_034462 transcript:EOY15379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxin (pyrodoxamine) 5'-phosphate oxidase isoform 3 SGYLPRFSSGFLCPISCSAIRALCSKSGKVGGMASSLQNQGSITYLTQREAAEIDEILMGPLGFSVDQLMELAGLSVATSMAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLYHFGYKPFVCYPKRTSKPLYSGLVTQLESLSIPFLSVDELPVDWSNDFDILVDAMFGFSFHGAPRPPFDNLIEKLVHLNNYKQRQQKSPVIVSVDIPSGWHVEEGDVNGEGIKPDMLVSLTAPKLCAKKFSGPHHFLGGRFVPPSIAEKYKLHLPPYPGTSMCVRIGKPPQIDISALRENYMSPDFLEEQVEADPIDQFRKWFDDAVAAGLKEPNAMALSTTGKDGKPSSRMVLLKSVDKDGFVWYTNYESRKARELSENSHASLLFYWDGLNRQVRVEGSVQKVSDDESEQYFHSRPRGSQIGAIVSKQSTIVPGRHVLHQLYKELEEKYSDGSLIPKPKHWGGYRLQPERFEFWQGQQSRLHDRLQYSPQE >EOY15373 pep chromosome:Theobroma_cacao_20110822:8:3084868:3092951:1 gene:TCM_034462 transcript:EOY15373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxin (pyrodoxamine) 5'-phosphate oxidase isoform 3 MYLTGKKKNSDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGYLPRFSSGFLCPISCSAIRALCSKSGKVGGMASSLQNQGSITYLTQREAAEIDEILMGPLGFSVDQLMELAGLSVATSMAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLYHFGYKPFVCYPKRTSKPLYSGLVTQLESLSIPFLSVDELPVDWSNDFDILVDAMFGFSFHGAPRPPFDNLIEKLVHLNNYKQRQQKSPVIVSVDIPSGWHVEEGDVNGEGIKPDMLVSLTAPKLCAKKFSGPHHFLGGRFVPPSIAEKYKLHLPPYPGTSMCVRIGKPPQIDISALRENYMSPDFLEEQVEADPIDQFRKWFDDAVAAGLKEPNAMALSTTGKDGKPSSRMVLLKSVDKDGFVWYTNYESRKARELSENSHASLLFYWDGLNRQVRVEGSVQKVSDDESEQYFHSRPRGSQIGAIVSKQSTIVPGRHVLHQLYKELEEKYSDGSLIPKPKHWGGYRLQPERFEFWQGQQSRLHDRLQYSPQEINGKQSWRIDRLAP >EOY15378 pep chromosome:Theobroma_cacao_20110822:8:3067802:3091653:1 gene:TCM_034462 transcript:EOY15378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxin (pyrodoxamine) 5'-phosphate oxidase isoform 3 MLGVLRKGGRMICSLLTQAPFPLAYCSSPSSIYEAKPSGYLPRFSSGFLCPISCSAIRALCSKSGKVGGMASSLQNQGSITYLTQREAAEIDEILMGPLGFSVDQLMELAGLSVATSMAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLYHFGYKPFVCYPKRTSKPLYSGLVTQLESLSIPFLSVDELPVDWSNDFDILVDAMFGFSFHGAPRPPFDNLIEKLVHLNNYKQRQQKSPVIVSVDIPSGWHVEEGDVNGEGIKPDMLVSLTAPKLCAKKFSGPHHFLGGRFVPPSIAEKYKLHLPPYPGTSMCVRIGKPPQIDISALRENYMSPDFLEEQVEADPIDQFRKWFDDAVAAGLKEPNAMALSTTGKDGKPSSRMVLLKSVDKDGFVWYTNYESRKARELSENSHASLLFYWDGLNRQVRVEGSVQKVSDDESEQYFHSRPRGSQIGAIVSKQSTIVPGRHVLHQLYKELEEKYSDGSLIPKPKHWGGYRLQPERFEFWQGQQSRLHDRLQYSPQEINGKQSWRIDRLAP >EOY15376 pep chromosome:Theobroma_cacao_20110822:8:3068117:3091584:1 gene:TCM_034462 transcript:EOY15376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxin (pyrodoxamine) 5'-phosphate oxidase isoform 3 MASSLQNQGSITYLTQREAAEIDEILMGPLGFSVDQLMELAGLSVATSMAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLYHFGYKPFVCYPKRTSKPLYSGLVTQLESLSIPFLSVDELPVDWSNDFDILVDAMFGFSFHGAPRPPFDNLIEKLVHLNNYKQRQQKSPVIVSVDIPSGWHVEEGDVNGEGIKPDMLVSLTAPKLCAKKFSGPHHFLGGRFVPPSIAEKYKLHLPPYPGTSMCVRIGKPPQIDISALRENYMSPDFLEEQVEADPIDQFRKWFDDAVAAGLKEPNAMALSTTGKDGKPSSRMVLLKSVDKDGFVWYTNYESRKARELSENSHASLLFYWDGLNRQVRVEGSVQKVSDDESEQYFHSRPRGSQIGAIVSKQSTIVPGRHVLHQLYKELEEKYSDGSLIPKPKHWGGYRLQPERFEFWQGQQSRLHDRLQYSPQEINGKQSWRIDRLAP >EOY15375 pep chromosome:Theobroma_cacao_20110822:8:3067882:3091987:1 gene:TCM_034462 transcript:EOY15375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxin (pyrodoxamine) 5'-phosphate oxidase isoform 3 MASSLQNQGSITYLTQREAAEIDEILMGPLGFSVDQLMELAGLSVATSMAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLYHFGYKPFVCYPKRTSKPLYSGLVTQLESLSIPFLSVDELPVDWSNDFDILVDAMFGFSFHGAPRPPFDNLIEKLVHLNNYKQRQQKSPVIVSVDIPSGWHVEEGDVNGEGIKPDMLVSLTAPKLCAKKFSGPHHFLGGRFVPPSIAEKYKLHLPPYPGTSMCVRIGKPPQIDISALRENYMSPDFLEEQVEADPIDQFRKWFDDAVAAGLKEPNAMALSTTGKDGKPSSRMVLLKSVDKDGFVWYTNYESRKARELSENSHASLLFYWDGLNRQVRVEGSVQKVSDDESEQYFHSRPRGSQIGAIVSKQSTIVPGRHVLHQLYKELEEKYSDGSLIPKPKHWGGYRLQPERFEFWQGQQSRLHDRLQYSPQEINGKQSWRIDRLAP >EOY16131 pep chromosome:Theobroma_cacao_20110822:8:5898444:5902819:-1 gene:TCM_034997 transcript:EOY16131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYAQMVFNKVKRKRNFGGCPSLTSTFSYGREGKATKNVERERGSGQPISISLLKEKKKSWGYDGEEPAVRYTRGKGISATTKAAGAGSHKSAEFGGNALEPIKKNMGVG >EOY17142 pep chromosome:Theobroma_cacao_20110822:8:18054064:18057759:1 gene:TCM_036309 transcript:EOY17142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family isoform 1 MVSFEMNDRKKIGLGLTGFGIFFSFLGIIFFFDKGLLAMGNILFISGVTLTIGLKSTMQFFMKRQNFKGTISFGVGFFFVVIGWPIFGMILEAYGFVVLFSGFWPTLAVFMQRIPILGWLFQQPYIRSLFDRYRGKRVPV >EOY17143 pep chromosome:Theobroma_cacao_20110822:8:18054132:18057537:1 gene:TCM_036309 transcript:EOY17143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family isoform 1 MVSFEMNDRKKIGLGLTGFGIFFSFLGIIFFFDKGLLAMGNILFISGVTLTIGLKSTMQFFMKRQNSGDNFFWCWLLFCCHWMAYLWHDIGGIWICCTLQWFLADTGSVYAEDTNPWLVVPTTIHQIVCCSCLTDIG >EOY17141 pep chromosome:Theobroma_cacao_20110822:8:18054132:18057537:1 gene:TCM_036309 transcript:EOY17141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family isoform 1 MVSFEMNDRKKIGLGLTGFGIFFSFLGIIFFFDKGLLAMGNILFISGVTLTIGLKSTMQFFMKRQNSGDNFFWCWLLFCCHWMAYLWHDIGGIWICCTLQWFLADTGSVYAEDTNPWLVVPTTIHQIVCCSCLTDIG >EOY17144 pep chromosome:Theobroma_cacao_20110822:8:18054100:18057702:1 gene:TCM_036309 transcript:EOY17144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family isoform 1 MVSFEMNDRKKIGLGLTGFGIFFSFLGIIFFFDKGLLAMGNILFISGVTLTIGLKSTMQFFMKRQNFKGTISFGVGFFFVVIGWPIFGMILEAYGFVVLFSGFWPTLAVFMQRIPILGWLFQQPYIRSLFDRYRGKRVPV >EOY17138 pep chromosome:Theobroma_cacao_20110822:8:18054064:18057743:1 gene:TCM_036309 transcript:EOY17138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family isoform 1 MVSFEMNDRKKIGLGLTGFGIFFSFLGIIFFFDKGLLAMGNILFISGVTLTIGLKSTMQFFMKRQNFKGTISFGVGFFFVVIGWPIFGMILEAYGFVVLFSGFWPTLAVFMQRIPILGWLFQQPYIRSLFDRYRGKRVPV >EOY17139 pep chromosome:Theobroma_cacao_20110822:8:18054064:18057759:1 gene:TCM_036309 transcript:EOY17139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family isoform 1 MVSFEMNDRKKIGLGLTGFGIFFSFLGIIFFFDKGLLAMGNILFISGVTLTIGLKSTMQFFMKRQNFKGTISFGVGFFFVVIGWPIFGMILEAYGFVVLFSGFWPTLAVFMQRIPILGWLFQQPYIRSLFDRYRGKRVPV >EOY17140 pep chromosome:Theobroma_cacao_20110822:8:18054136:18057743:1 gene:TCM_036309 transcript:EOY17140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family isoform 1 MVSFEMNDRKKIGLGLTGFGIFFSFLGIIFFFDKGLLAMGNILFISGVTLTIGLKSTMQFFMKRQNFKGTISFGVGFFFVVIGWPIFGMILEAYGFVVLFSGFWPTLAVFMQRIPILGWLFQQPYIRSLFDRYRGKRVPV >EOY16047 pep chromosome:Theobroma_cacao_20110822:8:5560915:5567742:-1 gene:TCM_034942 transcript:EOY16047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MEHFQNDDLEYVVDDYYDVDDFEHDNPFAEPEPQRDTADLDSFDSDVEDDLESSKPKTDTSAMEARNGKDIQGIPWERLNFTRDKYRETRLRQYKNYENLSGSREEMEKECLQVEKGKAFYDFQFNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLHKGKEVLNVAKPIVPSLKRPGLLSQQLSRVQISTMAVKENLMVAGGFQGELICKYLYQPGVAFCTKLTTDDNAITNAVDVYRNPSGAMRVMAANNDAQIRIFDAETFASLNCFSFDWSVNNTSVSPDGKLLAVLGDSVECLIADAQSGKVTSCLKGHLDYSFASAWHPDGHILATGNQDTTCRLWDIRNLSKSLAVLKGRMGAIRAVKFTSDGRFLGMAEPADFVHVFDAESGYVNCQEIDLFGEIAGISFSPDTEALFVGVADRTYGSLLEFNRRRYTHYLDSIL >EOY16046 pep chromosome:Theobroma_cacao_20110822:8:5560873:5567792:-1 gene:TCM_034942 transcript:EOY16046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MEHFQNDDLEYVVDDYYDVDDFEHDNPFAEPEPQRDTADLDSFDSDVEDDLESSKPKTDTSAMEARNGKDIQGIPWERLNFTRDKYRETRLRQYKNYENLSGSREEMEKECLQVEKGKAFYDFQFNTRLVKSTIVHFQVLLSYYYILSMLLFDYGSLPEPFIGSYTNCKNLKAIYAEVRVLMLHGCAGAIKMHCYMLRNLLWATSKHDVYLMQNYSVMHWSSLLHKGKEVLNVAKPIVPSLKRPGLLSQQLSRVQISTMAVKENLMVAGGFQGELICKYLYQPGVAFCTKLTTDDNAITNAVDVYRNPSGAMRVMAANNDAQIRIFDAETFASLNCFSFDWSVNNTSVSPDGKLLAVLGDSVECLIADAQSGKVTSCLKGHLDYSFASAWHPDGHILATGNQDTTCRLWDIRNLSKSLAVLKGRMGAIRAVKFTSDGRFLGMAEPADFVHVFDAESGYVNCQEIDLFGEIAGISFSPDTEALFVGVADRTYGSLLEFNRRRYTHYLDSIL >EOY16591 pep chromosome:Theobroma_cacao_20110822:8:8789906:8790907:1 gene:TCM_035407 transcript:EOY16591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTSTCKNVCLLYIATFIITESFISFADLYIPEDTCHVHILSMGQKAMQVFAALTKTRYLLRFFLQSCT >EOY16164 pep chromosome:Theobroma_cacao_20110822:8:5988115:5995353:1 gene:TCM_035012 transcript:EOY16164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein, putative isoform 2 MKTQFIFSYYLVNRRVYEVTVLLHKEGYAHGQEKTGKYMNEDARNIGVCYGLNGNNLPSPTDVINLYKRSQIDNIRIYEPHPEVLQALRGSGLSVAIGPRNEDIASFAASQDAANAWVNTNIVPYKNDVSFKWINIGNEVIPGPLGANVPAAMNNIRNALSSVGLTGVKVTTVLAGTALGASFPPSAGVFASDITETITSIAGILAQEDAPLMVNVYPYFAYSSDPTHISAEYAFFTSTSAVVSDGRLQYFNLFDAVCDAFNAALEKINFANVKLAVAETGWPTGGNPPLSSVANAQTYNRNLMNHVMQNGTPRRPGHLMEAFFFEMFNENLKENAVEQNFGFFFPSTEPVYPFW >EOY16165 pep chromosome:Theobroma_cacao_20110822:8:5988872:5990267:1 gene:TCM_035012 transcript:EOY16165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein, putative isoform 2 MASKFAQLFVSALVLLTQLLSLADARNIGVCYGLNGNNLPSPTDVINLYKRSQIDNIRIYEPHPEVLQALRGSGLSVAIGPRNEDIASFAASQDAANAWVNTNIVPYKNDVSFKWINIGNEVIPGPLGANVPAAMNNIRNALSSVGLTGVKVTTVLAGTALGASFPPSAGVFASDITETITSIAGILAQEDAPLMVNVYPYFAYSSDPTHISAEYAFFTSTSAVVSDGRLQYFNLFDAVCDAFNAALEKINFANVKLAVAETGWPTGGNPPLSSVANAQTYNRNLMNHVMQNGTPRRPGHLMEAFFFEMFNENLKENAVEQNFGFFFPSTEPVYPFW >EOY14656 pep chromosome:Theobroma_cacao_20110822:8:891059:901244:-1 gene:TCM_033967 transcript:EOY14656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec3A isoform 2 MAKSSADDEELRRACEAAIEGTKQKIVMSIRVAKSRGIWGKSGKLGRHMAKPRVLALSMKSKGQRTKAFLRVMKYSTGGVLEPAKLYKLKHLSKVEVITNDPSGCTFTLGFDNLRSQSVAPPQWTMRNIDDRNRLLLCILNICKDVLGRLPKVVGIDVVEMALWAKENTSSVTTQSNQQDGPVATTVTESDLKVTVEKELVSQAEEEDMEALLGTYVMGIGEAEAFSERLKRELLALEAANVHAILESEPLVEEVLQGLEAASNCVDDMDEWLGIFNVKLRHMREDIESIETRNNKLEMQSVNNKALIEELDKLLERLRVPSEYAACLTGGPFDEARMLQNVEACEWLTGALRGLEVPNLDSTYANMRAVKEKRAELEKLKATFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEASTGGSQSGNSADTSAVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPALVPPGGVANGNKSGSYDDTNDDDLGIMDIDDNDSKAGKTSADLQSLNESLQDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRLLLGDLESRISMQFSRFVDEACHQIERNERNVRQMGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVSIMFVTLEKIAQTDPKYADIFLLENYAAFQNSLYDLANVVPTLAKFYHQASESYEQACTRHISMIIYYQFERLFQFARKIEDLMFTISPEEIPFQLGLSKMDLRKMLKSSLSGVDKSIAAMSKKLQKNLTSEELLPSLWDKCKKEFLDKYDSFAQLVAKIYPNETIPSVAEMRDLLASM >EOY14657 pep chromosome:Theobroma_cacao_20110822:8:891511:900933:-1 gene:TCM_033967 transcript:EOY14657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec3A isoform 2 MAKSSADDEELRRACEAAIEGTKQKIVMSIRVAKSRGIWGKSGKLGRHMAKPRVLALSMKSKGQRTKAFLRVMKYSTGGVLEPAKLYKLKHLSKVEVITNDPSGCTFTLGFDNLRSQSVAPPQWTMRNIDDRNRLLLCILNICKDVLGRLPKVVGIDVVEMALWAKENTSSVTTQSNQQDGPVATTVTESDLKVTVEKELVSQAEEEDMEALLGTYVMGIGEAEAFSERLKRELLALEAANVHAILESEPLVEEVLQGLEAASNCVDDMDEWLGIFNVKLRHMREDIESIETRNNKLEMQSVNNKALIEELDKLLERLRVPSEYAACLTGGPFDEARMLQNVEACEWLTGALRGLEVPNLDSTYANMRAVKEKRAELEKLKATFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEASTGGSQSGNSADTSAVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPALVPPGGVANGNKSGSYDDTNDDDLGIMDIDDNDSKAGKTSADLQSLNESLQDLLDGIQGRLLCCSGLGIQD >EOY16109 pep chromosome:Theobroma_cacao_20110822:8:5821469:5822334:-1 gene:TCM_034981 transcript:EOY16109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRHDPTWKFRSFSPRQQPINFPISFQKINPIHFVLILPSKTSLINNHLTRLNLCSIYSNLANPRFPSSSFCQLGNGWSWLCRSWSSRSLRDAISA >EOY15473 pep chromosome:Theobroma_cacao_20110822:8:3427310:3428134:-1 gene:TCM_034524 transcript:EOY15473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASKLNNNMNKAIIIHALLGLLVGVIARTYFHALQDNVEENESYLGQHFDELGGTVARSIQDGFVTLAVKFLHFFISKCLQFRYLPALLLAPSAMSLLFWVAFTRSISSMPNNPL >EOY15159 pep chromosome:Theobroma_cacao_20110822:8:2430183:2432750:-1 gene:TCM_034318 transcript:EOY15159 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group family isoform 1 MEEASKATSSAGKTKGRNGAVEKKEYPDPLAYHEEVVQDPIVFWDTLRRFHFIMGTKFMIPVIGGKELDLHVLYVEATKRGGYEKVVAEKKWREVGSVFRFSPTTTSASFVLRKHYFSLLYHYEQVHFFKMKGPLHTPAVAFPVNDPSCRPELALVEYSPKPIREFPDPLIEVFGTIDGKFDCGYLISVRLGSEVLSGVLYHPEQPGSSASTPEYNNALVPYKRIHKSRHSVRRRRRSRRAGDPSYPKPNRSGYNFFFAEKHYKLKSLYPNREREFTKMIGESWNSLGPEERMVYQNIGLKDKERYKRELKEYKERLKIRQDVEVERPDF >EOY15158 pep chromosome:Theobroma_cacao_20110822:8:2429855:2432873:-1 gene:TCM_034318 transcript:EOY15158 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group family isoform 1 MEEASKATSSAGKTKGRNGAVEKKEYPDPLAYHEEVVQDPIVFWDTLRRFHFIMGTKFMIPVIGGKELDLHVLYVEATKRGGYEKVVAEKKWREVGSVFRFSPTTTSASFVLRKHYFSLLYHYEQVHFFKMKGPLHTPAVAFPVNDPSCRPELALVEYSPKPIREFPDPLIEGTSCFSVFGTIDGKFDCGYLISVRLGSEVLSGVLYHPEQPGSSASTPEYNNALVPYKRIHKSRHSVRRRRRSRRAGDPSYPKPNRSGYNFFFAEKHYKLKSLYPNREREFTKMIGESWNSLGPEERMVYQNIGLKDKERYKRELKEYKERLKIRQDVEVERPDF >EOY14890 pep chromosome:Theobroma_cacao_20110822:8:1574705:1578910:-1 gene:TCM_034134 transcript:EOY14890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 12 isoform 1 MVARWDCASFALVIAVGLIFSVAEVCNGGKTSSFVRNDQLSHDMPLDSDVFRIPPGYNAPQQVHITQGDHLGKGVIVSWVTPDEPGSNLVLYWAENSELKHQAEGIVLTYKYFNYTSGYIHHCTITNLESDTKYYYEVGIGNTSREFWFRTPPEVGPDVPYTFGLIAIYTPQYKWLQKEFQKVNRTETPWLIVLMHCPFYSSYVHHYMEGESMRVIYEPWFVQHKVDVVFAGHVHAYERSDRISNIAYNVVNGLCTPISDLSAPVYITIGDGGNLEGLVTEMTEPQPSYSAFREASFGHGIFDIKNRTHAHFSWHRNQDGYAVEADSLWFHNRYWSVSEDYI >EOY14889 pep chromosome:Theobroma_cacao_20110822:8:1574705:1578806:-1 gene:TCM_034134 transcript:EOY14889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 12 isoform 1 MVARWDCASFALVIAVGLIFSVAEVCNGGKTSSFVRNDQLSHDMPLDSDVFRIPPGYNAPQQVHITQGDHLGKGVIVSWVTPDEPGSNLVLYWAENSELKHQAEGIVLTYKYFNYTSGYIHHCTITNLESDTKYYYEVGIGNTSREFWFRTPPEVGPDVPYTFGLIGDLGQTHDSNRTLTHYELNPAKGQTLLFVGDLSYADAYPYYDNSRWDTWGRFIERNAAYEPWIWTAGNHEIDILPEIGERIPFKSYLHRYHVPYKASGSTSPLWYSIKRASAHIIVMSSYSAYAIYTPQYKWLQKEFQKVNRTETPWLIVLMHCPFYSSYVHHYMEGESMRVIYEPWFVQHKVDVVFAGHVHAYERSDRISNIAYNVVNGLCTPISDLSAPVYITIGDGGNLEGLVTEMTEPQPSYSAFREASFGHGIFDIKNRTHAHFSWHRNQDGYAVEADSLWFHNRYWSVSEDYI >EOY16924 pep chromosome:Theobroma_cacao_20110822:8:15118724:15125360:1 gene:TCM_035997 transcript:EOY16924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase MT-A70 family protein isoform 2 MDSPERSSRGYARRDREDSSDLKSDRAVGDDEEWEATDNKKKHKSTKSRKPSNVEEGEGIESSSGRRRSSGDRSEGRKRSGASTRADSDEDDYDTRKQSRSKQIKRKQEESSLEKLSSWYQDGEFESRQDGADKSASKGHAWADETERKKVALKLSEQDSSRGSKSKEERSHDGELEKLLDRDSRYSERRESSRDKGHGSSELSRNSRRRWDESDASRKAEENTYERPDLRSGKASDLKYESAREKTASARNEPSEGKSSGADSNNDKCVKSNSREERRLDADNSKSKGRSEALEEDNRASPLNREDRSGREKTEKHRQQRTPSGRDVAESRERTSNMDEDGITWMRDRSSREVGQTNRSRTPERSSRRYQESELSEMDYERSLERKQRELERDDRSKSRDDSWSDRTRDREGSKENWKRRQSSNNDKDSKDGDIAYDRGREWDLPRHGRERNENERPHGRSGNRKDVNRGEAVKTSSNFGISNDNYDVIEIQTKPLDYGRAESASNFPRRTEVGQQSEMKPALNEEEWAYMRDNRGRRTDIYGSGPLDEDSRDKYTEDNNSMQDPNLWNDELDYSGGKGRGQKLTVSGRGIGGQSSSAGSHPPYGNQDPGTFGRAPSQGVKGSRIGRGGRGRPTGRDNQQVGLQLPMMGSPFAHLGMPPPGPMQPINPSMSPAPGPPISPSVFIPPFSPPVVWSGPRAVDMNMLGVPPGLSPVPPGPSGPRFPPNIGASPNPGMYFNQSGPARGPSNVSLSGFNVAGPMGRGTPPERTSGGWVPPRAGGPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTNVVEDYPRLRELIQKKDEIVAKSASPPMYMKCDLRELELSPDFFGTKFDVILIDPPWEEYVHRAPGVADHIEYWTFEEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKGSTQKPEDMYRIIEHFALGCRRLELFGEDHNIRSGWLTVGKGLSSSNFNTEAYIRNFADKDGKVWQGGGGRNPPPDAPHLIKTTPEIEALRPKSPIKNQQQMQQQQSTSISLTTPNSSNRRPAGNSPQNPVAMGLSQEASSSNPSTPAPWAPPMEGFRGREGINMSSDDRMFDMYGYGGQANGDYLDFESHRPLNLM >EOY16922 pep chromosome:Theobroma_cacao_20110822:8:15118125:15124299:1 gene:TCM_035997 transcript:EOY16922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase MT-A70 family protein isoform 2 MDSPERSSRGYARRDREDSSDLKSDRAVGDDEEWEATDNKKKHKSTKSRKPSNVEEGEGIESSSGRRRSSGDRSEGRKRSGASTRADSDEDDYDTRKQSRSKQIKRKQEESSLEKLSSWYQDGEFESRQDGADKSASKGHAWADETERKKVALKLSEQDSSRGSKSKEERSHDGELEKLLDRDSRYSERRESSRDKGHGSSELSRNSRRRWDESDASRKAEENTYERPDLRSGKASDLKYESAREKTASARNEPSEGKSSGADSNNDKCVKSNSREERRLDADNSKSKGRSEALEEDNRASPLNREDRSGREKTEKHRQQRTPSGRDVAESRERTSNMDEDGITWMRDRSSREVGQTNRSRTPERSSRRYQESELSEMDYERSLERKQRELERDDRSKSRDDSWSDRTRDREGSKENWKRRQSSNNDKDSKDGDIAYDRGREWDLPRHGRERNENERPHGRSGNRKDVNRGEAVKTSSNFGISNDNYDVIEIQTKPLDYGRAESASNFPRRTEVGQQSEMKPALNEEEWAYMRDNRGRRTDIYGSGPLDEDSRDKYTEDNNSMQDPNLWNDELDYSGGKGRGQKLTVSGRGIGGQSSSAGSHPPYGNQDPGTFGRAPSQGVKGSRIGRGGRGRPTGRDNQQVGLQLPMMGSPFAHLGMPPPGPMQPINPSMSPAPGPPISPSVFIPPFSPPVVWSGPRAVDMNMLGVPPGLSPVPPGPSGPRFPPNIGASPNPGMYFNQSGPARGPSNVSLSGFNVAGPMGRGTPPERTSGGWVPPRAGGPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTNVVEDYPRLRELIQKKDEIVAKSASPPMYMKCDLRELELSPDFFGTKFDVILIDPPWEEYVHRAPGVADHIEYWTFEEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKINATPGLRHDSHTIFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPSYGSTQKPEDMYRIIEHFALGCRRLELFGEDHNIRSGWLTVGKGLSSSNFNTEAYIRNFADKDGKVWQGGGGRNPPPDAPHLIKTTPEIEALRPKSPIKNQQQMQQQQSTSISLTTPNSSNRRPAGNSPQNPVAMGLSQEASSSNPSTPAPWAPPMEGFRGREGINMSSDDRMFDMYGYGGQANGDYLDFESHRPLNLM >EOY16923 pep chromosome:Theobroma_cacao_20110822:8:15118125:15124722:1 gene:TCM_035997 transcript:EOY16923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase MT-A70 family protein isoform 2 MDSPERSSRGYARRDREDSSDLKSDRAVGDDEEWEATDNKKKHKSTKSRKPSNVEEGEGIESSSGRRRSSGDRSEGRKRSGASTRADSDEDDYDTRKQSRSKQIKRKQEESSLEKLSSWYQDGEFESRQDGADKSASKGHAWADETERKKVALKLSEQDSSRGSKSKEERSHDGELEKLLDRDSRYSERRESSRDKGHGSSELSRNSRRRWDESDASRKAEENTYERPDLRSGKASDLKYESAREKTASARNEPSEGKSSGADSNNDKCVKSNSREERRLDADNSKSKGRSEALEEDNRASPLNREDRSGREKTEKHRQQRTPSGRDVAESRERTSNMDEDGITWMRDRSSREVGQTNRSRTPERSSRRYQESELSEMDYERSLERKQRELERDDRSKSRDDSWSDRTRDREGSKENWKRRQSSNNDKDSKDGDIAYDRGREWDLPRHGRERNENERPHGRSGNRKDVNRGEAVKTSSNFGISNDNYDVIEIQTKPLDYGRAESASNFPRRTEVGQQSEMKPALNEEEWAYMRDNRGRRTDIYGSGPLDEDSRDKYTEDNNSMQDPNLWNDELDYSGGKGRGQKLTVSGRGIGGQSSSAGSHPPYGNQDPGTFGRAPSQGVKGSRIGRGGRGRPTGRDNQQVGLQLPMMGSPFAHLGMPPPGPMQPINPSMSPAPGPPISPSVFIPPFSPPVVWSGPRAVDMNMLGVPPGLSPVPPGPSGPRFPPNIGASPNPGMYFNQSGPARGPSNVSLSGFNVAGPMGRGTPPERTSGGWVPPRAGGPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTNVVEDYPRLRELIQKKDEIVAKSASPPMYMKCDLRELELSPDFFGTKFDVILIDPPWEEYVHRAPGVADHIEYWTFEEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKINATPGLRHDSHTIFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPSYEGLSSLVKTIIFDLVG >EOY16029 pep chromosome:Theobroma_cacao_20110822:8:5498951:5502876:1 gene:TCM_034929 transcript:EOY16029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein, putative isoform 1 MTKYSVEEELGCGLMGRIFQRWSNRSRKSSVPALPAKGINKPVTDKSKKPSTDDSRRRRTSSVDSVLQDSSNLAKPLPKQDQKPTRKSDLLPPRNSISTSHQRKDSRRTSDAARSSTTSSSSSSQTRVSQTQSLTSEQRKLQKESSGSYSKEIAKVFTADEQQSNNSKALIRATSSNVMLVGQLGNLRQLGAGSALGNNSPNATIKAEDDFYQNLPEARSTTKPPRKNSPSKLGGFVMGNIVRQPSDESKLFHGPMSRLDPDALKNMGNEAYKQGRFEEALTLYERAISLDSKQATYRCNKSAALLGLDRLLEAVFECKEAIQLDPTYCRAHRRLATIYLRLGEAEQALFHYKHAGNHADSTEIAEAQALNQCLKRCSDAQKLNEWNTLLKETQCAITSGVDSAPQVYALQAEALLKLHRHQEAYTAYMKGPNFAIESCINFFGLAVSAYLLMIRALVYMVSGRLEDAVSAAQHAAKLDPGNKEISLVVKRTRAVSSARLSGNLLFKASKFLEACIVYGEGLEHDPYNSVLLCNRAACRSKLRQFEKAIEDCTAAFNVQPSYSKARLRRADCNAKLERWEAAIQDYEMLIRETPGDEEVARALFEAQVQIKKQRGEDIKDMKFGSNLVMVSSNERFRHFVTSPGMTVVLFCNKAKHKQVLQLMEQVCKRFPSVNFLKYVLVDLVRWR >EOY16030 pep chromosome:Theobroma_cacao_20110822:8:5498415:5501930:1 gene:TCM_034929 transcript:EOY16030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein, putative isoform 1 MTKYSVEEELGCGLMGRIFQRWSNRSRKSSVPALPAKGINKPVTDKSKKPSTDDSRRRRTSSVDSVLQDSSNLAKPLPKQDQKPTRKSDLLPPRNSISTSHQRKDSRRTSDAARSSTTSSSSSSQTRVSQTQSLTSEQRKLQKESSGSYSKEIAKVFTADEQQSNNSKALIRATSSNVMLVGQLGNLRQLGAGSALGNNSPNATIKAEDDFYQNLPEARSTTKPPRKNSPSKLGGFVMGNIVRQPSDESKLFHGPMSRLDPDALKNMGNEAYKQGRFEEALTLYERAISLDSKQATYRCNKSAALLGLDRLLEAVFECKEAIQLDPTYCRAHRRLATIYLRLGEAEQALFHYKHAGNHADSTEIAEAQALNQCLKRCSDAQKLNEWNTLLKETQCAITSGVDSAPQVYALQAEALLKLHRHQEAYTAYMKGPNFAIESCINFFGLAVSAYLLMIRALVYMVSGRLEDAVSAAQHAAKLDPGNKEISLVVKRTRAVSSARLSGNLLFKASKFLEACIVYGEGLEHDPYNSVLLCNRAACRSKLRQFEKAIEDCTAAFNVQPSYSKARLRRADCNAKKGGKLQFRIMRC >EOY16028 pep chromosome:Theobroma_cacao_20110822:8:5498468:5502987:1 gene:TCM_034929 transcript:EOY16028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein, putative isoform 1 MTKYSVEEELGCGLMGRIFQRWSNRSRKSSVPALPAKGINKPVTDKSKKPSTDDSRRRRTSSVDSVLQDSSNLAKPLPKQDQKPTRKSDLLPPRNSISTSHQRKDSRRTSDAARSSTTSSSSSSQTRVSQTQSLTSEQRKLQKESSGSYSKEIAKVFTADEQQSNNSKALIRATSSNVMLVGQLGNLRQLGAGSALGNNSPNATIKAEDDFYQNLPEARSTTKPPRKNSPSKLGGFVMGNIVRQPSDESKLFHGPMSRLDPDALKNMGNEAYKQGRFEEALTLYERAISLDSKQATYRCNKSAALLGLDRLLEAVFECKEAIQLDPTYCRAHRRLATIYLRLGEAEQALFHYKHAGNHADSTEIAEAQALNQCLKRCSDAQKLNEWNTLLKETQCAITSGVDSAPQVYALQAEALLKLHRHQEAYTAYMKGPNFAIESCINFFGLAVSAYLLMIRALVYMVSGRLEDAVSAAQHAAKLDPGNKEISLVVKRTRAVSSARLSGNLLFKASKFLEACIVYGEGLEHDPYNSVLLCNRAACRSKLRQFEKAIEDCTAAFNVQPSYSKARLRRADCNAKLERWEAAIQDYEMLIRETPGDEEVARALFEAQVQIKKQRGEDIKDMKFGSNLVMVSSNERFRHFVTSPGMTVVLFCNKAKHKQVLQLMEQVCKRFPSVNFLKVEVEDHPYLAKSEAVTSIPAFKIYKNGSRVKEVPGNNPELLERSVKLYSS >EOY15838 pep chromosome:Theobroma_cacao_20110822:8:4811360:4824540:-1 gene:TCM_034790 transcript:EOY15838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein isoform 2 MATSSSSSVVSGIPLSQIRWDTSRGGGGRSIVRVNSPVPTASLPLTRFRFHLPPTPAAARWQGDVRSRNTIGLEFQNWNNNTIRKKKKKKSGASKAWKWTSPVSFSQQCKRWIPCCSSLNRNCYRKIAPLPLATSVPAFFPDKSCFPLSAHTLNTTSGKHICAPCATVGPDEPHAASTTWPDGLLEKQDFDSLYPQFQTTELEGFLSTQLPSHPKLHRGQLKNGLRYLILPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTCTKESDEDLLPLVLDALNEIAFHPKFLSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPGNATLYIVGDIDNISKTIYQIEAVFGQTALENEMPPPPTSSAFGAMASFLVPKLSAGLAGSSSHERLSNPADQAKIIKKEKHAVRPPVKHIWSLPGHNTDMKPPQIFQHELLQNFSINMFCKIPVNKVQTFGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPKNWQNAIKVAVQEVRRLKEFGVTKGELTRYMDALLKDSEQLAAMIDNVSSVDNLDFIMESDALGHTVMDQTQGHESLMAVAGTVTLDEVNSIGAQVLEFISDFGKPTAPLPAAIVACVPKKVHLDGIGETEFKITPSEITAAIKSGLEEPIEAEPEEMYIQVTSLLNVFSFLQLEVPKELISPLQLQELRMQRGPSFIPLSAEMNVTKVQDKETGITQLRLSNGIPVNYKISKNEARGGVMRLIVGGGRAAETSDSKGAVVVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFISMEFRFTLRDNGMHAAFQLLHMVLEHSVWLDDAFDRARQLYLSYYRSIPKSLERSTAHKLMLAMMNGDERFVEPTPKSLQNLTLKSVKDAVMNQFVGDNMEVSIVGDFSEEEIESCVLDYLGTVRASRDSERAHGFSPILFRPSPSDLQFQQVFLKDTDERACAYIAGPAPNRWGLTVDGQDLLESVADIPSADDAQPHSDEGKDIQKDLQKKLRGHPLFFGITMGLLAEVINSRLFTTVRDSLGLTYDVSFELNLFDRLKLGWYVISVTSTPSKVYRAVDACKNVLRGLHTNKIAPRELERAKRTLLMRHEAEIKSNAYWLGLLAHLQASSVPRKDISCVKELTSLYEAASIEDIYLAYDQLKVDEDSLYSCIGIAGVHAGEGTTASEEEEESDGGFQGVIPVGRGLSTMTRPTT >EOY15842 pep chromosome:Theobroma_cacao_20110822:8:4811933:4823525:-1 gene:TCM_034790 transcript:EOY15842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein isoform 2 LPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTCTKESDEDLLPLVLDALNEIAFHPKFLSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPGNATLYIVGDIDNISKTIYQIEAVFGQTALENEMPPPPTSSAFGAMASFLVPKLSAGLAGSSSHERLSNPADQAKIIKKEKHAVRPPVKHIWSLPGHNTDMKPPQIFQHELLQNFSINMFCKIPVNKVQTFGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPKNWQNAIKVAVQEVRRLKEFGVTKGELTRYMDALLKDSEQLAAMIDNVSSVDNLDFIMESDALGHTVMDQTQGHESLMAVAGTVTLDEVNSIGAQVLEFISDFGKPTAPLPAAIVACVPKKVHLDGIGETEFKITPSEITAAIKSGLEEPIEAEPELEVPKELISPLQLQELRMQRGPSFIPLSAEMNVTKVQDKETGITQLRLSNGIPVNYKISKNEARGGVMRLIVGGGRAAETSDSKGAVVVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFISMEFRFTLRDNGMHAAFQLLHMVLEHSVWLDDAFDRARQLYLSYYRSIPKSLERSTAHKLMLAMMNGDERFVEPTPKSLQNLTLKSVKDAVMNQFVGDNMEVSIVGDFSEEEIESCVLDYLGTVRASRDSERAHGFSPILFRPSPSDLQFQQVFLKDTDERACAYIAGPAPNRWGLTVDGQDLLESVADIPSADDAQPHSDEGKDIQKDLQKKLRGHPLFFGITMGLLAEVINSRLFTTVRDSLGLTYDVSFELNLFDRLKLGWYVISVTSTPSKVYRAVDACKNVLRGLHTNKIAPRELERAKRTLLMRHEAEIKSNAYWLGLLAHLQASSVPRKDISCVKELTSLYEAASIEDIYLAYDQLKVDEDSLYSCIGIAGVHAG >EOY15840 pep chromosome:Theobroma_cacao_20110822:8:4810507:4825046:-1 gene:TCM_034790 transcript:EOY15840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein isoform 2 MATSSSSSVVSGIPLSQIRWDTSRGGGGRSIVRVNSPVPTASLPLTRFRFHLPPTPAAARWQGDVRSRNTIGLEFQNWNNNTIRKKKKKKSGASKAWKWTSPVSFSQQCKRWIPCCSSLNRNCYRKIAPLPLATSVPAFFPDKSCFPLSAHTLNTTSGKHICAPCATVGPDEPHAASTTWPDGLLEKQDFDSLYPQFQTTELEGFLSTQLPSHPKLHRGQLKNGLRYLILPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTCTKESDEDLLPLVLDALNEIAFHPKFLSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPGNATLYIVGDIDNISKTIYQIEAVFGQTALENEMPPPPTSSAFGAMASFLVPKLSAGLAGSSSHERLSNPADQAKIIKKEKHAVRPPVKHIWSLPGHNTDMKPPQIFQHELLQNFSINMFCKIPVNKVQTFGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPKNWQNAIKVAVQEVRRLKEFGVTKGELTRYMDALLKDSEQLAAMIDNVSSVDNLDFIMESDALGHTVMDQTQGHESLMAVAGTVTLDEVNSIGAQVLEFISDFGKPTAPLPAAIVACVPKKVHLDGIGETEFKITPSEITAAIKSGLEEPIEAEPELEVPKELISPLQLQELRMQRGPSFIPLSAEMNVTKVQDKETGITQLRLSNGIPVNYKISKNEARGGVMRLIVGGGRAAETSDSKGAVVVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFISMEFRFTLRDNGMHAAFQLLHMVLEHSVWLDDAFDRARQLYLSYYRSIPKSLERSTAHKLMLAMMNGDERFVEPTPKSLQNLTLKSVKDAVMNQFVGDNMEVSIVGDFSEEEIESCVLDYLGTVRASRDSERAHGFSPILFRPSPSDLQFQQVFLKDTDERACAYIAGPAPNRWGLTVDGQDLLESVADIPSADDAQPHSDEGKDIQKDLQKKLRGHPLFFGITMGLLAEVINSRLFTTVRDSLGLTYDVSFELNLFDRLKLGWYVISVTSTPSKVYRAVDACKNVLRGLHTNKIAPRELERAKRTLLMRHEAEIKSNAYWLGLLAHLQASSVPRKDISCVKELTSLYEAASIEDIYLAYDQLKVDEDSLYSCIGIAGVHAGEGTTASEEEEESDGGFQGVIPVGRGLSTMTRPTT >EOY15841 pep chromosome:Theobroma_cacao_20110822:8:4811424:4823740:-1 gene:TCM_034790 transcript:EOY15841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein isoform 2 MEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTCTKESDEDLLPLVLDALNEIAFHPKFLSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPGNATLYIVGDIDNISKTIYQIEAVFGQTALENEMPPPPTSSAFGAMASFLVPKLSAGLAGSSSHERLSNPADQAKIIKKEKHAVRPPVKHIWSLPGHNTDMKPPQIFQHELLQNFSINMFCKIPVNKVQTFGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPKNWQNAIKVAVQEVRRLKEFGVTKGELTRYMDALLKDSEQLAAMIDNVSSVDNLDFIMESDALGHTVMDQTQGHESLMAVAGTVTLDEVNSIGAQVLEFISDFGKPTAPLPAAIVACVPKKVHLDGIGETEFKITPSEITAAIKSGLEEPIEAEPELEVPKELISPLQLQELRMQRGPSFIPLSAEMNVTKVQDKETGITQLRLSNGIPVNYKISKNEARGGVMRLIVGGGRAAETSDSKGAVVVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFISMEFRFTLRDNGMHAAFQLLHMVLEHSVWLDDAFDRARQLYLSYYRSIPKSLERSTAHKLMLAMMNGDERFVEPTPKSLQNLTLKSVKDAVMNQFVGDNMEVSIVGDFSEEEIESCVLDYLGTVRASRDSERAHGFSPILFRPSPSDLQFQQVFLKDTDERACAYIAGPAPNRWGLTVDGQDLLESVADIPSADDAQPHSDEGKDIQKDLQKKLRGHPLFFGITMGLLAEVINSRLFTTVRDSLGLTYDVSFELNLFDRLKLGWYVISVTSTPSKVYRAVDACKNVLRGLHTNKIAPRELERAKRTLLMRHEAEIKSNAYWLGLLAHLQASSVPRKDISCVKELTSLYEAASIEDIYLAYDQLKVDEDSLYSCIGIAGVHAGEGTTGEGIP >EOY15839 pep chromosome:Theobroma_cacao_20110822:8:4810890:4825026:-1 gene:TCM_034790 transcript:EOY15839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein isoform 2 MATSSSSSVVSGIPLSQIRWDTSRGGGGRSIVRVNSPVPTASLPLTRFRFHLPPTPAAARWQGDVRSRNTIGLEFQNWNNNTIRKKKKKKSGASKAWKWTSPVSFSQQCKRWIPCCSSLNRNCYRKIAPLPLATSVPAFFPDKSCFPLSAHTLNTTSGKHICAPCATVGPDEPHAASTTWPDGLLEKQDFDSLYPQFQTTELEGFLSTQLPSHPKLHRGQLKNGLRYLILPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTCTKESDEDLLPLVLDALNEIAFHPKFLSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPGNATLYIVGDIDNISKTIYQIEAVFGQTALENEMPPPPTSSAFGAMASFLVPKLSAGLAGSSSHERLSNPADQAKIIKKEKHAVRPPVKHIWSLPGHNTDMKPPQIFQHELLQNFSINMFCKIPVNKVQTFGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPKNWQNAIKVAVQEVRRLKEFGVTKGELTRYMDALLKDSEQLAAMIDNVSSVDNLDFIMESDALGHTVMDQTQGHESLMAVAGTVTLDEVNSIGAQVLEFISDFGKPTAPLPAAIVACVPKKVHLDGIGETEFKITPSEITAAIKSGLEEPIEAEPELEVPKELISPLQLQELRMQRGPSFIPLSAEMNVTKVQDKETGITQLRLSNGIPVNYKISKNEARGGVMRLIVGGGRAAETSDSKGAVVVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFISMEFRFTLRDNGMHAAFQLLHMVLEHSVWLDDAFDRARQLYLSYYRSIPKSLERSTAHKLMLAMMNGDERFVEPTPKSLQNLTLKSVKDAVMNQFVGDNMEVSIVGDFSEEEIESCVLDYLGTVRASRDSERAHGFSPILFRPSPSDLQFQQVFLKDTDERACAYIAGPAPNRWGLTVDGQDLLESVADIPSADDAQPHSDEGKDIQKDLQKKLRGHPLFFGITMGLLAEVINSRLFTTVRDSLGLTYDVSFELNLFDRLKLGWYVISVTSTPSKVYRAVDACKNVLRGLHTNKIAPRELERAKRTLLMRHEAEIKSNAYWLGLLAHLQASSVPRKDISCVKELTSLYEAASIEDIYLAYDQLKVDEDSLYSCIGIAGVHAGEGTTASEEEEESDGGFQGVIPVGRGLSTMTRPTT >EOY15989 pep chromosome:Theobroma_cacao_20110822:8:5324039:5327515:-1 gene:TCM_034896 transcript:EOY15989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MRIQGHELGLFAIYDGHLGDSVPAYLQKHLFANILKEEEFWVDPFRAILKAYEKTDQAILSHSSDLGRGGSTAVTAILINGIRLWVANVGDSRAVLSRGGQAIQMTTDHEPNTERGSIENKGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDIQDTNVDNSMDILVLASDGLWKVMTNQEAVDIARRFKDPQKAA >EOY15991 pep chromosome:Theobroma_cacao_20110822:8:5323962:5327005:-1 gene:TCM_034896 transcript:EOY15991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MDWLCCFSSSQLGGAHSSSSSGKGKNHEGMMRFGYSLVKGKANHPMEDYHVAKFMQIQGHELGLFAIYDGHLGDSVPAYLQKHLFANILKEEEFWVDPFRAILKAYEKTDQAILSHSSDLGRGGSTAVTAILINGIRLWVANVGDSRAVLSRGGQAIQMTTDHEPNTERGSIENKGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDIQDTNVDNSMDILVLASDGLWKVMTNQEAVDIARRFKDPQKAAKQLTAEAVKRDSKDDISCVVVRFRG >EOY15992 pep chromosome:Theobroma_cacao_20110822:8:5324039:5327515:-1 gene:TCM_034896 transcript:EOY15992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MDWLCCFSSSQLGGAHSSSSSGKGKNHEGMMRFGYSLVKGKANHPMEDYHVAKFMQIQGHELGLFAIYDGHLGDSVPAYLQKHLFANILKEEEFWVDPFRAILKAYEKTDQAILSHSSDLGRGGSTAVTAILINGIRLWVANVGDSRAVLSRGGQAIQMTTDHEPNTERGSIENKGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDIQDTNVDNSMDILVLASDGLWKVMTNQEAVDIARRFKDPQKAA >EOY15993 pep chromosome:Theobroma_cacao_20110822:8:5324040:5327005:-1 gene:TCM_034896 transcript:EOY15993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MDWLCCFSSSQLGGAHSSSSSGKGKNHEGMMRFGYSLVKGKANHPMEDYHVAKFMQIQGHELGLFAIYDGHLGDSVPAYLQKHLFANILKEEEFWVDPFRAILKAYEKTDQAILSHSSDLGRGGSTAVTAILINGIRLWVANVGDSRAVLSRGGQAIQMTTDHEPNTERGSIENKGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDIQDTNVDNSMDILVLASDGLWKVMTNQEAVDIARRFKDPQKAA >EOY15990 pep chromosome:Theobroma_cacao_20110822:8:5323523:5327706:-1 gene:TCM_034896 transcript:EOY15990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MDWLCCFSSSQLGGAHSSSSSGKGKNHEGMMRFGYSLVKGKANHPMEDYHVAKFMQIQGHELGLFAIYDGHLGDSVPAYLQKHLFANILKEEEFWVDPFRAILKAYEKTDQAILSHSSDLGRGGSTAVTAILINGIRLWVANVGDSRAVLSRGGQAIQMTTDHEPNTERGSIENKGGFVSNMPAGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDIQDTNVDNSMDILVLASDGLWKVMTNQEAVDIARRFKDPQKAAKQLTAEAVKRDSKDDISCVVVRFRG >EOY15988 pep chromosome:Theobroma_cacao_20110822:8:5323737:5327694:-1 gene:TCM_034896 transcript:EOY15988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MDWLCCFSSSQLGGAHSSSSSGKGKNHEGMMRFGYSLVKGKANHPMEDYHVAKFMQIQGHELGLFAIYDGHLGDSVPAYLQKHLFANILKEEEFWVDPFRAILKAYEKTDQAILSHSSDLGRGGSTAVTAILINGIRLWVANVGDSRAVLSRGGQAIQMTTDHEPNTERGSIENKGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDIQDTNVDNSMDILVLASDGLWKVMTNQEAVDIARRFKDPQKAAKQLTAEAVKRDSKDDISCVVVRFRG >EOY15395 pep chromosome:Theobroma_cacao_20110822:8:3132698:3145428:-1 gene:TCM_034474 transcript:EOY15395 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD and tetratricopeptide repeat protein, putative isoform 4 MAEWPFHDGNAHNLLKSRHIDIRHDVDHSLQMHSSLIQRLSLERELEGHQGCVNAMAWNSNGSLLISGSDDARINIWSYSGRKLLHSIETGHSANIFCTKFIPETSDELVVSGAGDAEVRLFNLSRLSGRGLNDGAITPSALYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGTSCPPAGSSHQECRNVLLDLRCGAKRSLADPPRHTLALKSCDISSTRPHLLLVGGNDAFARLYDRRMLSPPTSCRKRMPPPTCVNYFCPMHLSDRGRSSLHLTHVTFSPNGEEVLLSYGGEHVYLMDVNHASGSSMKYSSGDAAKLMTFTPVLNGVGRQPPVSSVFQNGLPRRSNTAAKIEKCRMLAKRSLEDGTNVFHAIEACNEILDGHGSDIGPMLRHECFCTRAALLLKRKWKNDAHMAIRDCHNARRIDSSSFRAHYYTAEALEQLGKHKEALDFAVAAQCFSPSDTMAAEKVENIRKHLAAAEAERNNKVSDGAPRSEPRTGRVLSLSDILYRSEANSDASQDGPRSDREDSDYDEELELDFETSISGDEGRDVDSNILHGSLNLRIHRRGDSTRETGANGSCGSPSSSSQNDRAAYQPEAVIDMKRRYVGHCNVGTDIKQASFLGQRGEYVASGSDDGRWFIWEKQTGRLIKMLLGDDAVVNCVQCHPFDCFVVTSGIDSTIKLWTPTAAVPSMVAGGSAGPETTNVLEVMESNQHKLCRNREAILPFELLERFRMHEFSEGSLHPFECAQT >EOY15397 pep chromosome:Theobroma_cacao_20110822:8:3135697:3145428:-1 gene:TCM_034474 transcript:EOY15397 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD and tetratricopeptide repeat protein, putative isoform 4 MAEWPFHDGNAHNLLKSRHIDIRHDVDHSLQMHSSLIQRLSLERELEGHQGCVNAMAWNSNGSLLISGSDDARINIWSYSGRKLLHSIETGHSANIFCTKFIPETSDELVVSGAGDAEVRLFNLSRLSGRGLNDGAITPSALYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGTSCPPAGSSHQECRNVLLDLRCGAKRSLADPPRHTLALKSCDISSTRPHLLLVGGNDAFARLYDRRMLSPPTSCRKRMPPPTCVNYFCPMHLSDRGRSSLHLTHVTFSPNGEEVLLSYGGEHVYLMDVNHAASGSSMKYSSGDAAKLMTFTPVLNGVGRQPPVSSVFQNGLPRRSNTAAKIEKCRMLAKRSLEDGTNVFHAIEACNEILDGHGSDIGPMLRHECFCTRAALLLKRKWKNDAHMAIRDCHNARRIDSSSFRAHYYTAEALEQLGKHKEALDFAVAAQCFSPSDTMAAEKVENIRKHLAAAEAERNNKVSDGAPRSEPRTGRVLSLSDILYRSEANSDASQDGPRSDREDSDYDEELELDFETSISGDEGRDVDSNILHGSLNLRIHRRGDSTRETGANGSCGSPSSSSQNDRAAYQPEAVIDMKRRYVGHCNVGTDIKQASFLGQRGEYVASGSDDGRWFIWEKQTGRLIKMLLGDDAVVNCVQCHPFDCFVVTSGIDSTIKVSIMGRVQLYAIIKISFLICSWCMV >EOY15399 pep chromosome:Theobroma_cacao_20110822:8:3132701:3145428:-1 gene:TCM_034474 transcript:EOY15399 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD and tetratricopeptide repeat protein, putative isoform 4 MAEWPFHDGNAHNLLKSRHIDIRHDVDHSLQMHSSLIQRLSLERELEGHQGCVNAMAWNSNGSLLISGSDDARINIWSYSGRKLLHSIETGHSANIFCTKFIPETSDELVVSGAGDAEVRLFNLSRLSGRGLNDGAITPSALYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGTSCPPAGSSHQECRNVLLDLRCGAKRSLADPPRHTLALKSCDISSTRPHLLLVGGNDAFARLYDRRMLSPPTSCRKRMPPPTCVNYFCPMHLSDRGRSSLHLTHVTFSPNGEEVLLSYGGEHVYLMDVNHAASGSSMKYSSGDAAKLMTFTPVLNGVGRQPPVSSVFQNGLPRRSNTAAKIEKCRMLAKRSLEDGTNVFHAIEACNEILDGHGSDIGPMLRHECFCTRAALLLKRKWKNDAHMAIRDCHNARRIDSSSFRAHYYTAEALEQLGKHKEALDFAVAAQCFSPSDTMAAEKVENIRKHLAAAEAERNNKVSDGAPRSEPRTGRVLSLSDILYRSEANSDASQDGPRSDREDSDYDEELELDFETSISGDEGRDVDSNILHGSLNLRIHRRGDSTRETGANGSCGSPSSSSQNDRAAYQSYGLQLLLFHQWLPVGQQGQKPLTC >EOY15396 pep chromosome:Theobroma_cacao_20110822:8:3134346:3145070:-1 gene:TCM_034474 transcript:EOY15396 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD and tetratricopeptide repeat protein, putative isoform 4 MAEWPFHDGNAHNLLKSRHIDIRHDVDHSLQMHSSLIQRLSLERELEGHQGCVNAMAWNSNGSLLISGSDDARINIWSYSGRKLLHSIETGHSANIFCTKFIPETSDELVVSGAGDAEVRLFNLSRLSGRGLNDGAITPSALYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGTSCPPAGSSHQECRNVLLDLRCGAKRSLADPPRHTLALKSCDISSTRPHLLLVGGNDAFARLYDRRMLSPPTSCRKRMPPPTCVNYFCPMHLSDRGRSSLHLTHVTFSPNGEEVLLSYGGEHVYLMDVNHAASGSSMKYSSGDAAKLMTFTPVLNGVGRQPPVSSVFQNGLPRRSNTAAKIEKCRMLAKRSLEDGTNVFHAIEACNEILDGHGSDIGPMLRHECFCTRAALLLKRKWKNDAHMAIRDCHNARRIDSSSFRAHYYTAEALEQLGKHKEALDFAVAAQCFSPSDTMAAEKVENIRKHLAAAEAERNNKVSDGAPRSEPRTGRVLSLSDILYRSEANSDASQDGPRSDREDSDYDEELELDFETSISGDEGRDVDSNILHGSLNLRIHRRGDSTRETGANGSCGSPSSSSQNDRAAYQPEAVIDMKRRYVGHCNVGTDIKQASFLGQRGEYVASGSDDGRWFIWEKQTGRLIKMLLGDDAVVNCVQCHPFDCFVVTSGIDSTIKLWTPTAAVPSMVAGGSAGPETTNVLEVMESNQHKLCRNREAILPFELLERFRMHEFSEGSLHPFECAQT >EOY15398 pep chromosome:Theobroma_cacao_20110822:8:3135701:3145428:-1 gene:TCM_034474 transcript:EOY15398 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD and tetratricopeptide repeat protein, putative isoform 4 MAEWPFHDGNAHNLLKSRHIDIRHDVDHSLQMHSSLIQRLSLERELEGHQGCVNAMAWNSNGSLLISGSDDARINIWSYSGRKLLHSIETGHSANIFCTKFIPETSDELVVSGAGDAEVRLFNLSRLSGRGLNDGAITPSALYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGTSCPPAGSSHQECRNVLLDLRCGAKRSLADPPRHTLALKSCDISSTRPHLLLVGGNDAFARLYDRRMLSPPTSCRKRMPPPTCVNYFCPMHLSDRGRSSLHLTHVTFSPNGEEVLLSYGGEHVYLMDVNHAASGSSMKYSSGDAAKLMTFTPVLNGVGRQPPVSSVFQNGLPRRSNTAAKIEKCRMLAKRSLEDGTNVFHAIEACNEILDGHGSDIGPMLRHECFCTRAALLLKRKWKNDAHMAIRDCHNARRIDSSSFRAHYYTAEALEQLGKHKEALDFAVAAQCFSPSDTMAAEKVENIRKHLAAAEAERNNKVSDGAPRSEPRTGRVLSLSDILYRSEANSDASQDGPRSDREDSDYDEELELDFETSISGDEGRDVDSNILHGSLNLRIHRRGDSTRETGANGSCGSPSSSSQNDRAAYQPEAVIDMKRRYVGHCNVGTDIKQASFLGQRGEYVASGSDDGRWFIWEKQTGRLIKMLLGDDAGNIPLSSPTCPTDCGLYRHPMVYYSLENNSFCSPQL >EOY15394 pep chromosome:Theobroma_cacao_20110822:8:3132066:3145267:-1 gene:TCM_034474 transcript:EOY15394 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD and tetratricopeptide repeat protein, putative isoform 4 MAEWPFHDGNAHNLLKSRHIDIRHDVDHSLQMHSSLIQRLSLERELEGHQGCVNAMAWNSNGSLLISGSDDARINIWSYSGRKLLHSIETGHSANIFCTKFIPETSDELVVSGAGDAEVRLFNLSRLSGRGLNDGAITPSALYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGTSCPPAGSSHQECRNVLLDLRCGAKRSLADPPRHTLALKSCDISSTRPHLLLVGGNDAFARLYDRRMLSPPTSCRKRMPPPTCVNYFCPMHLSDRGRSSLHLTHVTFSPNGEEVLLSYGGEHVYLMDVNHASGSSMKYSSGDAAKLMTFTPVLNGVGRQPPVSSVFQNGLPRRSNTAAKIEKCRMLAKRSLEDGTNVFHAIEACNEILDGHGSDIGPMLRHECFCTRAALLLKRKWKNDAHMAIRDCHNARRIDSSSFRAHYYTAEALEQLGKHKEALDFAVAAQCFSPSDTMAAEKVENIRKHLAAAEAERNNKVSDGAPRSEPRTGRVLSLSDILYRSEANSDASQDGPRSDREDSDYDEELELDFETSISGDEGRDVDSNILHGSLNLRIHRRGDSTRETGANGSCGSPSSSSQNDRAAYQPEAVIDMKRRYVGHCNVGTDIKQASFLGQRGEYVASGSDDGRWFIWEKQTGRLIKMLLGDDAVVNCVQCHPFDCFVVTSGIDSTIKLWTPTAAVPSMVAGGSAGPETTNVLEVMESNQHKLCRNREAILPFELLERPNQLLSSYNSMQTAPCKP >EOY16669 pep chromosome:Theobroma_cacao_20110822:8:9524161:9532589:-1 gene:TCM_035502 transcript:EOY16669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family with FYVE zinc finger domain isoform 3 MADLVSYGNAQRDIDQALIALKKGARLLKYGRKGKPKFCPFRLSNDETSLIWISSNGERRLKLASVSKIIPGQRTAVFQRYLCPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGQGGRSKIDGWSDGGLYLDDGRDLTSNSASDSSVSATRDISSPEVSVGFNPNTSPKSLRPENPFHSERSHVASDSTNMQVKGSGSDVFRVSVSSAPSTSSHGSAPDDYDALGDVYIWGEVICDNVVKVVADKNTNYLSTRVDVLLPRPLESNVVLDVHHVACGVRHAALVTRQGEVFTWGEESGGRLGHGVGKDVIQPRLVESLAVTSVDFVACGEFHTCAVTMAGELYTWGDGTHNAGLLGHGTDVSHWIPKRISGPLEGLQVAVVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENVPYPREVESLSGLRTIAVACGVWHTAAIVEVIVTQSSASVSSGKLFTWGDGDKNRLGHGDKEPRLKPTCVPALIDYNFHKVACGHSLTVGLTTSGHVFTMGSTVYGQLGNPYADGKIPCLVEDKLSGECVEEIACGAYHVAVLTSRNEVYTWGKGANGRLGHGDIEDRKTPTLVETLKDRHVKYIACGSNYSAAICLHKWVCGAEQSQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRAALAPNPGKPYRVCDSCFAKLSKVSEGGNNRRNSVPRLSGENKDRLDKADLRLSKSATPSNMDLIKQLDSKAAKQGKKAETFSLVLSGQAPSLLQLKDVVLSSAVDLRRTGPKPVLTPSGISSRSVSPFSRRPSPPRSATPVPTTSGLSFSKSITDSLKKTNELLNQEVLKLRAQVETLRQRCELQELELQKSTKKAQEAMALAAEESAKSKAAKEVIKSLTAQLKDMAERLPPGVYDTENIRPAYLPNGLETNGVHYTDANGGGHLRSDSIGGSFLASPTGIDSTTINGTHSPAQLLREPTGANGRDDHSDTRLPNGSAGFLAGGSNVSEAVDEKESGSFGDGENSMKSRNSALVANGNQVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAETWWSENREKVYERYNVRGSDKASVSGQTARRSEGALSPTSQV >EOY16671 pep chromosome:Theobroma_cacao_20110822:8:9524943:9531533:-1 gene:TCM_035502 transcript:EOY16671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family with FYVE zinc finger domain isoform 3 MADLVSYGNAQRDIDQALIALKKGARLLKYGRKGKPKFCPFRLSNDETSLIWISSNGERRLKLASVSKIIPGQRTAVFQRYLCPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGQGGRSKIDGWSDGGLYLDDGRDLTSNSASDSSVSATRDISSPEVSVGFNPNTSPKSLRPENPFHSERSHVASDSTNMQVKGSGSDVFRVSVSSAPSTSSHGSAPDDYDALGDVYIWGEVICDNVVKVVADKNTNYLSTRVDVLLPRPLESNVVLDVHHVACGVRHAALVTRQGEVFTWGEESGGRLGHGVGKDVIQPRLVESLAVTSVDFVACGEFHTCAVTMAGELYTWGDGTHNAGLLGHGTDVSHWIPKRISGPLEGLQVAVVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENVPYPREVESLSGLRTIAVACGVWHTAAIVEVIVTQSSASVSSGKLFTWGDGDKNRLGHGDKEPRLKPTCVPALIDYNFHKVACGHSLTVGLTTSGHVFTMGSTVYGQLGNPYADGKIPCLVEDKLSGECVEEIACGAYHVAVLTSRNEVYTWGKGANGRLGHGDIEDRKTPTLVETLKDRHVKYIACGSNYSAAICLHKWVCGAEQSQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRAALAPNPGKPYRVCDSCFAKLSKVSEGGNNRRNSVPRLSGENKDRLDKADLRLSKSATPSNMDLIKQLDSKAAKQGKKAETFSLVLSGQAPSLLQLKDVVLSSAVDLRRTGPKPVLTPSGISSRSVSPFSRRPSPPRSATPVPTTSGLSFSKSITDSLKKTNELLNQEVLKLRAQVRVL >EOY16670 pep chromosome:Theobroma_cacao_20110822:8:9524458:9531492:-1 gene:TCM_035502 transcript:EOY16670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family with FYVE zinc finger domain isoform 3 MADLVSYGNAQRDIDQALIALKKGARLLKYGRKGKPKFCPFRLSNDETSLIWISSNGERRLKLASVSKIIPGQRTAVFQRYLCPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGQGGRSKIDGWSDGGLYLDDGRDLTSNSASDSSVSATRDISSPEVSVGFNPNTSPKSLRPENPFHSERSHVASDSTNMQVKGSGSDVFRVSVSSAPSTSSHGSAPDDYDALGDVYIWGEVICDNVVKVVADKNTNYLSTRVDVLLPRPLESNVVLDVHHVACGVRHAALVTRQGEVFTWGEESGGRLGHGVGKDVIQPRLVESLAVTSVDFVACGEFHTCAVTMAGELYTWGDGTHNAGLLGHGTDVSHWIPKRISGPLEGLQVAVVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENVPYPREVESLSGLRTIAVACGVWHTAAIVEVIVTQSSASVSSGKLFTWGDGDKNRLGHGDKEPRLKPTCVPALIDYNFHKVACGHSLTVGLTTSGHVFTMGSTVYGQLGNPYADGKIPCLVEDKLSGECVEEIACGAYHVAVLTSRNEVYTWGKGANGRLGHGDIEDRKTPTLVETLKDRHVKYIACGSNYSAAICLHKWVCGAEQSQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRAALAPNPGKPYRVCDSCFAKLSKVSEGGNNRRNSVPRLSGENKDRLDKADLRLSKSATPSNMDLIKQLDSKAAKQGKKAETFSLVLSGQAPSLLQLKDVVLSSAVDLRRTGPKPVLTPSGISSRSVSPFSRRPSPPRSATPVPTTSGLSFSKSITDSLKKTNELLNQEVLKLRAQVETLRQRCELQELELQKSTKKAQEAMALAAEESAKSKAAKEVIKSLTAQQLKDMAERLPPGVYDTENIRPAYLPNGLETNGVHYTDANGGGHLRSDSIGGSFLASPTGIDSTTINGTHSPAQLLREPTGANGRDDHSDTRLPNGSAGFLAGGSNVSEAVDEKESGSFGDGENSMKSRNSALVANGNQVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAETWWSENREKVYERYNVRGSDKASVSGQTARRSEGALSPTSQV >EOY16684 pep chromosome:Theobroma_cacao_20110822:8:9643856:9645729:-1 gene:TCM_035517 transcript:EOY16684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAYHPKHTKSTSNHSKASTFEATPSSQPPPLPTPHSDDCFFKWNSSHPSLERQFNKDFAKRDVKPGQACKKIVNDPKFAGDEDHENTFAKPSVIPSAAPRVGPSAHPSIGRSYPFMSTTFDNGQAYSRLLSFMESMDACVVHRLDALEAQNRKLLYRQQLLKEQFTTFCAQFPLLA >EOY16222 pep chromosome:Theobroma_cacao_20110822:8:6302713:6309632:1 gene:TCM_035065 transcript:EOY16222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II/R family protein, putative MRGGSGVAEQSMIVERVEDADKEKKKKRRSNRRSKHNSVNEARVESSDSLKNGDKTKSLTQSMSCSSSSKQQGLETALNEQTPGRASDFAFSSMPTMHINEQVGSGCGDADDDVGGRTFSKSCPEPISLAGSSKVCIDGFFPFHQVEGFARKELFAPYWPIEAVNKALEKGEAFKALFRVNAHNRLEAYCKIDGVPTDVLISGVSSQNRAVEGDIVVIKVDPLGLWTKMKGSTGSSNNSAQVEEYNLVQEVDGLAGNSYKGKGKVDADCEYAHCKSGVLLEKGVYDEAGMTRTAAFNNVNGHYQSSSDSSHMGFFPGQNEGMNSVDRLAAMTSQFSLKRPTGRVVAIVEKSPRRDAIVGFLNVKQWFSYRELYRKDAKKNSAIFDREYVTLTPTDPRFPKMIVYVRDLPDRIKKRLEDGDETIEMELVAAQIEDWSAESPFPQARVSHSFGRGGELEPQINAILYQNAILCTDFPPLVLSCLPNIPWEIPMEEFQSRKDLKDLCVFTIDPSTASDLDDALSVERLSNGSFRIGVHIADVSYFVLPNTALDKEAQIRSTSVYMLHRKIQMLPSLLSEKLCSLNPGVDRLAFSIFWDLNSMGDVLDRWIGRTVIRSCCKLSYQHAQDIIEGTIDVEKFNTLEGYPQLYGQFEWTDVVRSVKCLHEISKTLMGKRFNDGALQLESSKVVYLFDECGVPYDCRLSERMDSNFLIEEFMLLANMTAAEVISRAFPASALLRRHPEPNMRKLKEFEAFCHKNGLALDTSSSGQFHQSLEKIREKLKDDSVLFDILISYASKPMQLATYFCSGELKDNLNDWGHYALAVPLYTHFTSPLRRYPDIVVHRTLAAVIEAEELYLKHRGLLKVNNGEEVLRRCFTGIYFDKEAAASPQGKEALSIAALNHGIPSPELLADVAAYSNERKLASRHAEDACEKLSMWVLLKKKEIFLSDARVLGLGPRFMSVYIQKLAIERRIYYDEVEGLNVEWLESTSTLVLNLSGHRRVFKRGGLQHYMALGNVAWVVNPYDLSVETGSVDDCDATCMGNNGVAFPDSEPISKSWVDPGTFPLTVRLLSTIPVALYAIGGDDGPLEIGVRLYMSSYLK >EOY16550 pep chromosome:Theobroma_cacao_20110822:8:8164238:8170662:1 gene:TCM_035335 transcript:EOY16550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus isoform 1 MQEENVPMIEKETRWLAIVNMDWRHVKAVDLYVMLSSFLPKDGQIMSVAVYPSEFGLRRMKEEEIHGPVGLFDDENEENDEVGDDEIDNEKLRAYERSRLRYYYAVVECDSSATADYLYKACDGAEFERSSNVLDLRFIPDSMEFKHSPRDVATEAPANYEGLNFHTQALQQSKIHLSWDEDEPQRGKILKRKFNAEQLAELELKEFLASDESESDDDENEDTTENQSDKKNKKRDIYCALLQSGDGSDGDGEDNGQDMEVTFNTGLEDISKRILEKKDKEAETVWEVHLRKRREKKKSKKNKSKDSSEDESHETDIEATEEPDDFFVEEPSFKRSKKEGKQHEDMEKEAEASRAELELLLTDDKGADTGLKGYNLKPKEAKGKKGKEVLDEEKIPIVDDDPRFSALFTSPLYALDPTNPQFKRSATYARQIAKKLQKGEQKELAVEDMKMSANSQFPSDDPGMNKVEQEKSHILPSKEKHALSSMVRSVKMKLKQVQLPSDSKMPKKSVSGMGRKQEQHQVHSIKKTKVLRK >EOY16551 pep chromosome:Theobroma_cacao_20110822:8:8164230:8170433:1 gene:TCM_035335 transcript:EOY16551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus isoform 1 MQEENVPMIEKETRWLAIVNMDWRHVKAVDLYVMLSSFLPKDGQIMSPANYEGLNFHTQALQQSKIHLSWDEDEPQRGKILKRKFNAEQLAELELKEFLASDESESDDDENEDTTENQSDKKNKKRDIYCALLQSGDGSDGDGEDNGQDMEVTFNTGLEDISKRILEKKDKEAETVWEVHLRKRREKKKSKKNKSKDSSEDESHETDIEATEEPDDFFVEEPSFKRSKKEGKQHEDMEKEAEASRAELELLLTDDKGADTGLKGYNLKPKEAKGKKGKEVLDEEKIPIVDDDPRFSALFTSPLYALDPTNPQFKRSATYARQIAKKLQKGEQKELAVEDMKMSANSQFPSDDPGMNKVEQEKSHILPSKEKHALSSMVRSVKMKLKQVQLPSDSKMPKKSVSGMGRKQEQHQVHSIKKTKVLRK >EOY16540 pep chromosome:Theobroma_cacao_20110822:8:8163183:8170286:1 gene:TCM_035335 transcript:EOY16540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus isoform 1 MQEENVPMIEKETRWLAIVNMDWRHVKAVDLYVMLSSFLPKDGQIMSVAVYPSEFGLRRMKEEEIHGPVGLFDDENEENDEVGDDEIDNEKLRAYERSRLRYYYAVVECDSSATADYLYKACDGAEFERSSNVLDLRFIPDSMEFKHSPRDVATEAPANYEGLNFHTQALQQSKIHLSWDEDEPQRGKILKRKFNAEQLAELELKEFLASDESESDDDENEDTTENQSDKKNKKRDIYCALLQSGDGSDGDGEDNGQDMEVTFNTGLEDISKRILEKKDKEAETVWEVHLRKRREKKKSKKNKSKDSSEDESHETDIEATEEPDDFFVEEPSFKRSKKEGKQHEDMEKEAEASRAELELLLTDDKGADTGLKGYNLKPKEAKGKKGKEVLDEEKIPIVDDDPRFSALFTSPLYALDPTNPQFKRSATYARQIAKKLQKGEQKELAVEDMKMSANSQFPSDDPGMNKVEQEKSHILPSKEKHALSSMVRSVKMKLKQVQLPSDSKMPKKSVSGMGRKQEQHQVHSIKKTKVLRK >EOY16552 pep chromosome:Theobroma_cacao_20110822:8:8164230:8170798:1 gene:TCM_035335 transcript:EOY16552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus isoform 1 MQEENVPMIEKETRWLAIVNMDWRHVKAVDLYVMLSSFLPKDGQIMSPANYEGLNFHTQALQQSKIHLSWDEDEPQRGKILKRKFNAEQLAELELKEFLASDESESDDDENEDTTENQSDKKNKKRDIYCALLQSGDGSDGDGEDNGQDMEVTFNTGLEDISKRILEKKDKEAETVWEVHLRKRREKKKSKKNKSKDSSEDESHETDIEATEEPDDFFVEEPSFKRSKKEGKQHEDMEKEAEASRAELELLLTDDKGADTGLKGYNLKPKEAKGKKGKEVLDEEKIPIVDDDPRFSALFTSPLYALDPTNPQFKRSATYARQIAKKLQKGEQKELAVEDMKMSANSQFPSDDPGMNKVEQEKSHILPSKEKHALSSMVRSVKMKLKQVQLPSDSKMPKKSVSGMGRKQEQHQVHSIKKTKVLRK >EOY16546 pep chromosome:Theobroma_cacao_20110822:8:8164238:8170286:1 gene:TCM_035335 transcript:EOY16546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus isoform 1 MQEENVPMIEKETRWLAIVNMDWRHVKAVDLYVMLSSFLPKDGQIMSVAVYPSEFGLRRMKEEEIHGPVGLFDDENEENDEVGDDEIDNEKLRAYERSRLRYYYAVVECDSSATADYLYKACDGAEFERSSNVLDLRFIPDSMEFKHSPRDVATEAPANYEGLNFHTQALQQSKIHLSWDEDEPQRGKILKRKFNAEQLAELELKEFLASDESESDDDENEDTTENQSDKKNKKRDIYCALLQSGDGSDGDGEDNGQDMEVTFNTGLEDISKRILEKKDKEAETVWEVHLRKRREKKKSKKNKSKDSSEDESHETDIEATEEPDDFFVEEPSFKRSKKEGKQHEDMEKEAEASRAELELLLTDDKGADTGLKGYNLKPKEAKGKKGKEVLDEEKIPIVDDDPRFSALFTSPLYALDPTNPQFKRSATYARQIAKKLQKGEQKELAVEDMKMSANSQFPSDDPGMNKVEQEKSHILPSKEKHALSSMVRSVKMKLKQVQLPSDSKMPKKSVSGMGRKQEQHQVHSIKKTKVLRK >EOY16548 pep chromosome:Theobroma_cacao_20110822:8:8163282:8170136:1 gene:TCM_035335 transcript:EOY16548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus isoform 1 MKEEEIHGPVGLFDDENEENDEVGDDEIDNEKLRAYERSRLRYYYAVVECDSSATADYLYKACDGAEFERSSNVLDLRFIPDSMEFKHSPRDVATEAPANYEGLNFHTQALQQSKIHLSWDEDEPQRGKILKRKFNAEQLAELELKEFLASDESESDDDENEDTTENQSDKKNKKRDIYCALLQSGDGSDGDGEDNGQDMEVTFNTGLEDISKRILEKKDKEAETVWEVHLRKRREKKKSKKNKSKDSSEDESHETDIEATEEPDDFFVEEPSFKRSKKEGKQHEDMEKEAEASRAELELLLTDDKGADTGLKGYNLKPKEAKGKKGKEVLDEEKIPIVDDDPRFSALFTSPLYALDPTNPQFKRSATYARQIAKKLQKGEQKELAVEDMKMSANSQFPSDDPGMNKVEQEKSHILPSKEKHALSSMVRSVKMKLKQVQLPSDSKMPKKSVSGMGRKQEQHQVHSIKKTKVLRK >EOY16543 pep chromosome:Theobroma_cacao_20110822:8:8163220:8170136:1 gene:TCM_035335 transcript:EOY16543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus isoform 1 MKEEEIHGPVGLFDDENEENDEVGDDEIDNEKLRAYERSRLRYYYAVVECDSSATADYLYKACDGAEFERSSNVLDLRFIPDSMEFKHSPRDVATEAPANYEGLNFHTQALQQSKIHLSWDEDEPQRGKILKRKFNAEQLAELELKEFLASDESESDDDENEDTTENQSDKKNKKRDIYCALLQSGDGSDGDGEDNGQDMEVTFNTGLEDISKRILEKKDKEAETVWEVHLRKRREKKKSKKNKSKDSSEDESHETDIEATEEPDDFFVEEPSFKRSKKEGKQHEDMEKEAEASRAELELLLTDDKGADTGLKGYNLKPKEAKGKKGKEVLDEEKIPIVDDDPRFSALFTSPLYALDPTNPQFKRSATYARQIAKKLQKGEQKELAVEDMKMSANSQFPSDDPGMNKVEQEKSHILPSKEKHALSSMVRSVKMKLKQVQLPSDSKMPKKSVSGMGRKQEQHQVHSIKKTKVLRK >EOY16547 pep chromosome:Theobroma_cacao_20110822:8:8163282:8170136:1 gene:TCM_035335 transcript:EOY16547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus isoform 1 MQEENVPMIEKETRWLAIVNMDWRHVKAVDLYVMLSSFLPKDGQIMSVAVYPSEFGLRRMKEEEIHGPVGLFDDENEENDEVGDDEIDNEKLRAYERSRLRYYYAVVECDSSATADYLYKACDGAEFERSSNVLDLRFIPDSMEFKHSPRDVATEAPANYEGLNFHTQALQQSKIHLSWDEDEPQRGKILKRKFNAEQLAELELKEFLASDESESDDDENEDTTENQSDKKNKKRDIYCALLQSGDGSDGDGEDNGQDMEVTFNTGLEDISKRILEKKDKEAETVWEVHLRKRREKKKSKKNKSKDSSEDESHETDIEATEEPDDFFVEEPSFKRSKKEGKQHEDMEKEAEASRAELELLLTDDKGADTGLKGYNLKPKEAKGKKGKEVLDEEKIPIVDDDPRFSALFTSPLYALDPTNPQFKRSATYARQIAKKLQKGEQKELAVEDMKMSANSQFPSDDPGMNKVEQEKSHILPSKEKHALSSMVRSVKMKLKQVQLPSDSKMPKKSVSGMGRKQEQHQVHSIKKTKVLRK >EOY16545 pep chromosome:Theobroma_cacao_20110822:8:8163359:8170765:1 gene:TCM_035335 transcript:EOY16545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus isoform 1 MQEENVPMIEKETRWLAIVNMDWRHVKAVDLYVMLSSFLPKDGQIMSVAVYPSEFGLRRMKEEEIHGPVGLFDDENEENDEVGDDEIDNEKLRAYERSRLRYYYAVVECDSSATADYLYKACDGAEFERSSNVLDLRFIPDSMEFKHSPRDVATEAPANYEGLNFHTQALQQSKIHLSWDEDEPQRGKILKRKFNAEQLAELELKEFLASDESESDDDENEDTTENQSDKKNKKRDIYCALLQSGDGSDGDGEDNGQDMEVTFNTGLEDISKRILEKKDKEAETVWEVHLRKRREKKKSKKNKSKDSSEDESHETDIEATEEPFSALFTSPLYALDPTNPQFKRSATYARQIAKKLQKGEQKELAVEDMKMSANSQFPSDDPGMNKVEQEKSHILPSKEKHALSSMVRSVKMKLKQVQLPSDSKMPKKSVSGMGRKQEQHQVHSIKKTKVLRK >EOY16544 pep chromosome:Theobroma_cacao_20110822:8:8163359:8170287:1 gene:TCM_035335 transcript:EOY16544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus isoform 1 MQEENVPMIEKETRWLAIVNMDWRHVKAVDLYVMLSSFLPKDGQIMSVAVYPSEFGLRRMKEEEIHGPVGLFDDENEENDEVGDDEIDNEKLRAYERSRLRYYYAVVECDSSATADYLYKACDGAEFERSSNVLDLRFIPDSMEFKHSPRDVATEAPANYEGLNFHTQALQQSKIHLSWDEDEPQRGKILKRKFNAEQLAELELKEFLASDESESDDDENEDTTENQSDKKNKKRDIYCALLQSGDGSDGDGEDNGQDMEVTFNTGLEDISKRILEKKDKEAETVWEVHLRKRREKKKSKKNKSKDSSEDESHETDIEATEEPFSALFTSPLYALDPTNPQFKRSATYARQIAKKLQKGEQKELAVEDMKMSANSQFPSDDPGMNKVEQEKSHILPSKEKHALSSMVRSVKMKLKQVQLPSDSKMPKKSVSGMGRKQEQHQVHSIKKTKVLRK >EOY16542 pep chromosome:Theobroma_cacao_20110822:8:8163255:8170249:1 gene:TCM_035335 transcript:EOY16542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus isoform 1 MQQEENVPMIEKETRWLAIVNMDWRHVKAVDLYVMLSSFLPKDGQIMSVAVYPSEFGLRRMKEEEIHGPVGLFDDENEENDEVGDDEIDNEKLRAYERSRLRYYYAVVECDSSATADYLYKACDGAEFERSSNVLDLRFIPDSMEFKHSPRDVATEAPANYEGLNFHTQALQQSKIHLSWDEDEPQRGKILKRKFNAEQLAELELKEFLASDESESDDDENEDTTENQSDKKNKKRDIYCALLQSGDGSDGDGEDNGQDMEVTFNTGLEDISKRILEKKDKEAETVWEVHLRKRREKKKSKKNKSKDSSEDESHETDIEATEEPDDFFVEEPSFKRSKKEGKQHEDMEKEAEASRAELELLLTDDKGADTGLKGYNLKPKEAKGKKGKEVLDEEKIPIVDDDPRFSALFTSPLYALDPTNPQFKRSATYARQIAKKLQKGEQKELAVEDMKMSANSQFPSDDPGMNKVEQEKSHILPSKEKHALSSMVRSVKMKLKQVQLPSDSKMPKKSVSGMGRKQEQHQVHSIKKTKVLRK >EOY16549 pep chromosome:Theobroma_cacao_20110822:8:8163183:8170662:1 gene:TCM_035335 transcript:EOY16549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus isoform 1 MQEENVPMIEKETRWLAIVNMDWRHVKAVDLYVMLSSFLPKDGQIMSVAVYPSEFGLRRMKEEEIHGPVGLFDDENEENDEVGDDEIDNEKLRAYERSRLRYYYAVVECDSSATADYLYKACDGAEFERSSNVLDLRFIPDSMEFKHSPRDVATEAPANYEGLNFHTQALQQSKIHLSWDEDEPQRGKILKRKFNAEQLAELELKEFLASDESESDDDENEDTTENQSDKKNKKRDIYCALLQSGDGSDGDGEDNGQDMEVTFNTGLEDISKRILEKKDKEAETVWEVHLRKRREKKKSKKNKSKDSSEDESHETDIEATEEPDDFFVEEPSFKRSKKEGKQHEDMEKEAEASRAELELLLTDDKGADTGLKGYNLKPKEAKGKKGKEVLDEEKIPIVDDDPRFSALFTSPLYALDPTNPQFKRSATYARQIAKKLQKGEQKELAVEDMKMSANSQFPSDDPGMNKVEQEKSHILPSKEKHALSSMVRSVKMKLKQVQLPSDSKMPKKSVSGMGRKQEQHQVHSIKKTKVLRK >EOY16541 pep chromosome:Theobroma_cacao_20110822:8:8163043:8170782:1 gene:TCM_035335 transcript:EOY16541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus isoform 1 MQEENVPMIEKETRWLAIVNMDWRHVKAVDLYVMLSSFLPKDGQIMSVAVYPSEFGLRRMKEEEIHGPVGLFDDENEENDEVGDDEIDNEKLRAYERSRLRYYYAVVECDSSATADYLYKACDGAEFERSSNVLDLRFIPDSMEFKHSPRDVATEAPANYEGLNFHTQALQQSKIHLSWDEDEPQRGKILKRKFNAEQLAELELKEFLASDESESDDDENEDTTENQSDKKNKKRDIYCALLQSGDGSDGDGEDNGQDMEVTFNTGLEDISKRILEKKDKEAETVWEVHLRKRREKKKSKKNKSKDSSEDESHETDIEATEEPDDFFVEEPSFKRSKKEGKQHEDMEKEAEASRAELELLLTDDKGADTGLKGYNLKPKEAKGKKGKEVLDEEKIPIVDDDPRFSALFTSPLYALDPTNPQFKRSATYARQIAKKLQKGEQKELAVEDMKMSANSQFPSDDPGMNKVEQEKSHILPSKEKHALSSMVRSVKMKLKQVQLPSDSKMPKKSVSGMGRKQEQHQVHSIKKTKVLRK >EOY15908 pep chromosome:Theobroma_cacao_20110822:8:4980508:4986243:1 gene:TCM_034830 transcript:EOY15908 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein, putative isoform 1 MGVPVVTTESAKECDGGPAIGVIELGVTPSAFLFRVALPGIRNDLSKVQCEVQCDGKVLIQGIVTEGTGLTQQSVSICQTKVQQMSSPGPFTISFNLPGPVDPRLFSPRFRRDGILEGVAVRFIRPSDPANGWSLPF >EOY15909 pep chromosome:Theobroma_cacao_20110822:8:4980541:4985652:1 gene:TCM_034830 transcript:EOY15909 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein, putative isoform 1 MGVPVVTTESAKECDGGPAIGVIELGVTPSAFLFRVALPGIRNDLSKVQCEVQCDGKVLIQGIVTEGTGLTQQSVSICQTKVQQMSSPGPFTISFNLPGPVDPRLFSPRFRRDGILEGVAVRFIRPSDPANGWSLPF >EOY17294 pep chromosome:Theobroma_cacao_20110822:8:19084619:19098052:1 gene:TCM_036442 transcript:EOY17294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein isoform 3 MAKSENLNSNLSLPYAKIHPSMDPEADPNSYSLEKFRLYETRARFYLIGSDRNKKFFRVLKIDRSEPSDLNISEDPVVYSPQEIKNLLQRIAEGNRATGGLSFVAKVFGIVGCIKFLESYYLILVTKRRQIGCICGHAIYSIDESHLITIPHVSIQSDVAHSKTELRYKKLLSSVDLTKDFFYSYTYPIMQSLQKNVLSLDEEGMPYDNIFVWNAHLTQVIRSRCSNTIWTIALVHGHFKQTRLSIFGRDFSVTLVSRRSRHFAGTRYLKRGVNDRGRVANDVETEQIVLDEEAGSCKGKMSSIVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYQATKLHFEDLANRYGNPIIVLTLIKTVEKRPREMMLRREFANAVGYLNTILSEENHLKFIHWDFHKFAKSKSANVLAVLGGVAGEALDLTGFYYSGKPSTVKRRANQLNRTSAGRDASIRDLRGNSGDLARIGSSNENLNSLTSRDREGDLSQQIKNDTYDGVPPRFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTDKPKVDPDSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELDSDYYLHVSGIGDDLFPEKCVEDNAKPLAVVGKTLAPIPACREDFSRMKLTSFDKLLERTCSSIKNVRLCSEPDQRHGGPTANSGVAPDAAEIQLKSPNWLFGQRKFEEVGSAPKVTASEIKNGGSNGEVKVDDFCDLNWLSSYDDDEQDIFQRYLSMTSVNEANGWYGGTLLGDQDETSEIYRHYAELCEGPAMEPFQNDLEREKHYADVLQVNTIDLVDDAAIEAEMAAALEEYDLIGADLGIFPASCKSFTEDPSQLTRWIIGEDKLQKV >EOY17295 pep chromosome:Theobroma_cacao_20110822:8:19084733:19097839:1 gene:TCM_036442 transcript:EOY17295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein isoform 3 MAKSENLNSNLSLPYAKIHPSMDPEADPNSYSLEKFRLYETRARFYLIGSDRNKKFFRVLKIDRSEPSDLNISEDPVVYSPQEIKNLLQRIAEGNRATGGLSFVAKVFGIVGCIKFLESYYLILVTKRRQIGCICGHAIYSIDESHLITIPHVSIQSDVAHSKTELRYKKLLSSVDLTKDFFYSYTYPIMQSLQKNVLSLDEEGMPYDNIFVWNAHLTQVIRSRCSNTIWTIALVHGHFKQTRLSIFGRDFSVTLVSRRSRHFAGTRYLKRGVNDRGRVANDVETEQIVLDEEAGSCKGKMSSIVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYQATKLHFEDLANRYGNPIIVLTLIKTVEKRPREMMLRREFANAVGYLNTILSEENHLKFIHWDFHKFAKRDASIRDLRGNSGDLARIGSSNENLNSLTSRDREGDLSQQIKNDTYDGVPPRFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTDKPKVDPDSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELDSDYYLHVSGIGDDLFPEKCVEDNAKPLAVVGKTLAPIPACREDFSRMKLTSFDKLLERTCSSIKNVRLCSEPDQRHGGPTANSGVAPDAAEIQLKSPNWLFGQRKFEEVGSAPKVTASEIKNGGSNGEVKVDDFCDLNWLSSYDDDEQDIFQRGTSQ >EOY17293 pep chromosome:Theobroma_cacao_20110822:8:19084619:19097745:1 gene:TCM_036442 transcript:EOY17293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein isoform 3 MAKSENLNSNLSLPYAKIHPSMDPEADPNSYSLEKFRLYETRARFYLIGSDRNKKFFRVLKIDRSEPSDLNISEDPVVYSPQEIKNLLQRIAEGNRATGGLSFVAKVFGIVGCIKFLESYYLILVTKRRQIGCICGHAIYSIDESHLITIPHVSIQSDVAHSKTELRYKKLLSSVDLTKDFFYSYTYPIMQSLQKNVLSLDEEGMPYDNIFVWNAHLTQVIRSRCSNTIWTIALVHGHFKQTRLSIFGRDFSVTLVSRRSRHFAGTRYLKRGVNDRGRVANDVETEQIVLDEEAGSCKGKMSSIVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYQATKLHFEDLANRYGNPIIVLTLIKTVEKRPREMMLRREFANAVGYLNTILSEENHLKFIHWDFHKFAKSKSANVLAVLGGVAGEALDLTGFYYSGKPSTVKRRANQLNRTSAGRDASIRDLRGNSGDLARIGSSNENLNSLTSRDREGDLSQQIKNDTYDGVPPRFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTDKPKVDPDSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELDSDYYLHVSGIGDDLFPEKCVEDNAKPLAVVGKTLAPIPACREDFSRMKLTSFDKLLERTCSSIKNVRLCSEPDQRHGGPTANSGVAPDAAEIQLKSPNWLFGQRKFEEVGSAPKVTASEIKNGGSNGEVKVDDFCDLNWLSSYDDDEQDIFQRYLSMTSVNEANGWYGGTLLGDQDETSEIYRHYAELCEGPAMEPFQNDLEREKHYADVLQVNTIDLVDDAAIEAEMAAALEEYDLIGADLGIFPASCKSFTEDPSQLTRWIIGEDKLQKV >EOY15313 pep chromosome:Theobroma_cacao_20110822:8:2880321:2883424:1 gene:TCM_034419 transcript:EOY15313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Canopy isoform 3 MARSLLWLVSIFSTFSIAYCIDDKCAACNAVAAELEIQLSKEKPRNHLDMRHRLDSKGQRQGKVIDYRMSELRAVELLDGLCEKMQDYTLEKIDSSRQEWIKVDNWDILTIDKQEAKAYSKDISSYCGRLLEETEDELTELIKQGSIKGGDVSKVLCQDLSKHCSSLSGSHLVDTDDDDDEL >EOY15315 pep chromosome:Theobroma_cacao_20110822:8:2880266:2883571:1 gene:TCM_034419 transcript:EOY15315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Canopy isoform 3 MARSLLWLVSIFSTFSIAYCIDDKCAACNAVAAELEIQLSKEKPRNHLDMRHRLDSKGQRQGKVIDYRMSELRAVELLDGLCEKMQDYTLEKIDSSRQEWIKVDNWDILTIDKQEAKAYSKDISSYCGS >EOY15316 pep chromosome:Theobroma_cacao_20110822:8:2880252:2882817:1 gene:TCM_034419 transcript:EOY15316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Canopy isoform 3 MARSLLWLVSIFSTFSIAYCIDDKCAACNAVAAELEIQLSKEKPRNHLDMRHRLDSKGQRQGKVIDYRMSELRAVELLDGLCEKMQDYTLEKIDSSRQEWIKVDNWDILTIDKQEAKAYSKDISSYCGRLLEETEDEVNPQCCL >EOY15314 pep chromosome:Theobroma_cacao_20110822:8:2880426:2882879:1 gene:TCM_034419 transcript:EOY15314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Canopy isoform 3 VCCLQCRRGNFIAELEIQLSKEKPRNHLDMRHRLDSKGQRQGKVIDYRMSELRAVELLDGLCEKMQDYTLEKIDSSRQEWIKVDNWDILTIDKQEAKAYSKDISSYCGRLLEETEDELTELIKQGSIKGGDVSKVLCQDLSKHCSSL >EOY17431 pep chromosome:Theobroma_cacao_20110822:8:20293513:20296605:1 gene:TCM_036596 transcript:EOY17431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase family protein MADIPIRIGIIGCADIARKVSRAIQLAPNATLSAVASRSIDKAANFAKANGFPPEAKIYGSYESLLDDPDIDAVYLPLPTSLHHKWAVLTAQKKKHLLMEKPLALNVAQFDEILKACEENGVQIMDGTMWVHHPRTYKMKEFLNDKERFGLLKSVNSCFTFFADPDFLKNDIRVKPDLDALGALGDAGWYGIRSVLWAADYEMPKTVTAMQGPVLNEAGVILACGASLYWEDGKVATFHCSFLSNLTMNLTAIGTFGTLHLTDFIIPYQEHEASYTTSSKPQFNELVTGWVPIPSEHTVTVNLPQEACMVREFASLVENIKKNGAQPDKKWPTISRKTQLVLDAVKASIEKGFEAVEIVS >EOY14950 pep chromosome:Theobroma_cacao_20110822:8:1751253:1754407:1 gene:TCM_034172 transcript:EOY14950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein, putative isoform 2 MEGISESRSNMQKLQSPPSNSIPKPQSNLDIPIFNASQMAPSPHTRLSPENNNNKRPGIPPSHPNYPAATSPYSQIIGSRSNSQQGAPSHSRSLSQPTFFSLDSLPPWSPPPYREPSVASLSDPASNDVSMEERVVNSNVRSSLPSPVARGVNEFRVGESSSLPPRKGHRRSSSDVPLGFSAMIQSSPQLLPIGSRGVLDRSVSGRESSSGVEKPIQLVKRESEWSKDGSSNVEGMSERKSEGDVADDLFNAYMNLDSLETLNSSGTEDKDLDSRASGTKTYGGESSDNEVESRVNGHPISMQGMSAGASNEKGVKRSAGGDIAPTARHHRSVSMDSYMGSLQFDDESSKIPPGSSVDANSGKFNLELGSSEFSEAEMKKIMENEKLAEIASVDPKRAKRILANRQSAARSKERKMRYIAELEHKVQTLQTEATTLSAQLTMLQVGINFLYLYPIIRLFSL >EOY14948 pep chromosome:Theobroma_cacao_20110822:8:1751310:1755031:1 gene:TCM_034172 transcript:EOY14948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein, putative isoform 2 MEGISESRSNMQKLQSPPSNSIPKPQSNLDIPIFNASQMAPSPHTRLSPENNNNKRPGIPPSHPNYPAATSPYSQIIGSRSNSQQGAPSHSRSLSQPTFFSLDSLPPWSPPPYREPSVASLSDPASNDVSMEERVVNSNVRSSLPSPVARGVNEFRVGESSSLPPRKGHRRSSSDVPLGFSAMIQSSPQLLPIGSRGVLDRSVSGRESSSGVEKPIQLVKRESEWSKDGSSNVEGMSERKSEGDVADDLFNAYMNLDSLETLNSSGTEDKDLDSRASGTKTYGGESSDNEVESRVNGHPISMQGMSAGASNEKGVKRSAGGDIAPTARHHRSVSMDSYMGSLQFDDESSKIPPGSSVDANSGKFNLELGSSEFSEAEMKKIMENEKLAEIASVDPKRAKRILANRQSAARSKERKMRYIAELEHKVQTLQTEATTLSAQLTMLQRDSAGLTSQNNELKFRLQAMEQQAQLKDALNEALAAEVQRLKVTAAELSGEAHLSSCMAQQLSLNHPMFQLQPQQPQQVNVYQMQQQQQHQQPQHSQHNQLQTQQQQNDDPTANESK >EOY14949 pep chromosome:Theobroma_cacao_20110822:8:1751244:1755049:1 gene:TCM_034172 transcript:EOY14949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein, putative isoform 2 MEGISESRSNMQKLQSPPSNSIPKPQSNLDIPIFNASQMAPSPHTRLSPENNNNKRPGIPPSHPNYPAATSPYSQIIGSRSNSQQGAPSHSRSLSQPTFFSLDSLPPWSPPPYREPSVASLSDPASNDVSMEERVVNSNVRSSLPSPVARGVNEFRVGESSSLPPRKGHRRSSSDVPLGFSAMIQSSPQLLPIGSRGVLDRSVSGRESSSGVEKPIQLVKRESEWSKDGSSNVEGMSERKSEGDVADDLFNAYMNLDSLETLNSSGTEDKDLDSRASGTKTYGGESSDNEVESRVNGHPISMQGMSAGASNEKGVKRSAGGDIAPTARHHRSVSMDSYMGSLQFDDESSKIPPGSSVDANSGKFNLELGSSEFSEAEMKKIMENEKLAEIASVDPKRAKRILANRQSAARSKERKMRYIAELEHKVQTLQTEATTLSAQLTMLQRDSAGLTSQNNELKFRLQAMEQQAQLKDALNEALAAEVQRLHPMFQLQPQQPQQVNVYQMQQQQQHQQPQHSQHNQLQTQQQQNDDPTANESK >EOY16958 pep chromosome:Theobroma_cacao_20110822:8:15431738:15435503:-1 gene:TCM_036038 transcript:EOY16958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I peptide chain release factor isoform 2 MATAAMGGGFVNVMKSVHHMLTSNGVGVLGKAVCASSSRYFHFYSVSLQSRGRGGGGGLQLFCCSRGGSGGCGDYSDGNTNGYLKLRDEELMKQCEMNTFKSSGPGGQHRNKRESAVRLKHLPTGIVAQAVEDRSQHMNRASALARLRTLIALKVRNPVHLHTYSPPLELLEILPPKSTIRGSDSRPQIGPNNPKFVLGMQALLDLIFAVEGSVSDAAKVLGMSTGALSRLILSDDSLRMAVNELRASKGMKPLK >EOY16959 pep chromosome:Theobroma_cacao_20110822:8:15432332:15435406:-1 gene:TCM_036038 transcript:EOY16959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I peptide chain release factor isoform 2 GVLGKAVCASSSRYFHFYSVSLQSRGRGGGGGLQLFCCSRGGSGGCGDYSDGNTNGYLKLRDEELMKQCEMNTFKSSGPGGQHRNKRESAVRLKHLPTGIVAQAVEDRSQHMNRASALARLRTLIALKVRNPVHLHTYSPPLELLEILPPKSTIRGSDSRPQIGPNNPKFVLGMQALLDLIFAVEGSVSDAAKVLGMSTGALSRLILSDDSLRMAVNELRASKGMKPLK >EOY16960 pep chromosome:Theobroma_cacao_20110822:8:15431581:15435406:-1 gene:TCM_036038 transcript:EOY16960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I peptide chain release factor isoform 2 GVLGKAVCASSSRYFHFYSVSLQSRGRGGGGGLQLFCCSRGGSGGCGDYSDGNTNGYLKLRDEELMKQCEMNTFKSSGPGGQHRNKRESAVRLKHLPTGIVAQAVEDRSQHMNRASALARLRTLIALKVRNPVHLHTYSPPLELLEILPPKSTIRGSDSRPQIGPNNPKFVLGMQALLDLIFAVEGSVSDAAKVLGMSTGALSRLILSDDSLRMAVNELRASKGMKPLK >EOY14373 pep chromosome:Theobroma_cacao_20110822:8:103798:105541:-1 gene:TCM_033770 transcript:EOY14373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein MSISGIQGLPFEVTVVGCYNLEDKEWISRQDPYVCLEYGSAKYRTKTCTDGGKNPTFQEKFTFTLIEGLRELNVVVWNSNTLVADDLIGSGRIQLHKALSQGFDDCTWPLQSKTGRHSGEVRLILHYSNATQPQQCKAKLAPSMPEYAPSAPFTQVSPYGHAPAAPPAPYPATLPYAAPSPYKSYPTSTATYPPSPYAGYSPQAPPAGYPPQTYPPLPQASSYYPPARAGIYPPPPY >EOY15214 pep chromosome:Theobroma_cacao_20110822:8:2590187:2594739:-1 gene:TCM_034358 transcript:EOY15214 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR-signaling kinase 1 MGCCESSFLKGRGSRRKTNYNHHHNSSSNNNRHAQANNGPPQPSNGTDSVGGGAGGVPDFAEFSLTELKAATNNFSSDFIVSESGEKAPNVVYKGRLQNDNNRRWIAIKKFTKLAWPDPKQFAEEAWGVGKLRHKRVANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWENQTIEWAMRLRVALYIAEALDYCSSEGRLLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKHIPPSHALDMIRGKNILLLMDSHLEGNFSTEEATVVFDLASRCLQYEPRERPNTKDLVTTLAPLQNKPDVPSYVMLGIPKHEEGPPTPQHPLSPMGDACSRMDLTAIHQILVMTHYKDDEGTNELSFQEWTQQMRDMLEARKRGDVAFRDKDFKTAIECYSQFIDVGTMVSPTVYARRSLCHLLCDQPDAALRDAMQAQCVYPDWSTAFYMQAVALAKLDMHKDATDMLNEAAALEEKKQRGGKAS >EOY15173 pep chromosome:Theobroma_cacao_20110822:8:2483602:2488126:-1 gene:TCM_034331 transcript:EOY15173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein, putative isoform 5 MSNDTEPAQVSSVAAESHAGACDAEMQLLEGAVRSLPYHVVKELLSVGVCARCVLRLFGIEEHIYCHSSFSQSMLGSILEEETSFKSEVEFQFCSVCLGVLQFSYCDDGEETVVKKESAKDMALSIAELVKQEGHQIDGFSLEVSVPPLILENESSLLSHMKKKYESEPWFQERLLSKCHSVKDVLKFAITKPLETLLGVKASASSFRIRLTYTHKRASKKVQNSVERNQECKRRKTGTENALHNVNDESVIADKDCSGYSTIETNSVTGIFSNGVQDQETSEHFESPIEKVSEPCHLVYVCCRTHIYLCGRYLKYSRNVSQTRWIIDEERMGEASVEEIIGSNILPICQGDNYKFHAAGREDIDVRMLGSGRPFLLEIQNARQVPSELIVKEMESKINGLENKSVGVKNLKVVGSQGWALMHEGEAEKQKILQRTPIRVLHRRSPLER >EOY15175 pep chromosome:Theobroma_cacao_20110822:8:2485460:2487942:-1 gene:TCM_034331 transcript:EOY15175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein, putative isoform 5 MSNDTEPAQVSSVAAESHAGACDAEMQLLEGAVRSLPYHVVKELLSVGVCARCVLRLFGIEEHIYCHSSFSQSMLGSILEEETSFKSEVEFQFCSVCLGVLQFSYCDDGEETVVKKESAKDMALSIAELVKQEGHQIDGFSLEVSVPPLILENESSLLSHMKKKYESEPWFQERLLSKCHSVKDVLKFAITKPLETLLGVKASASSFRIRLTYTHKRASKKVQNSVERNQECKRRKTGTENALHNVNDESVIADKDCSGYSTIETNSVTGIFSNGVQDQETSEHFESPIEKVSEPCHLVYVCCRTHIYLCGRYLKYSRNVSQTRWIIDEERMGEASVEEIIGSNILPICQGDNYKFHAAGREDIDVRMLGSGRPFLLEIQNARQVPSELIVKEMESKINGLENKSLHITYSCRLELKILKWL >EOY15172 pep chromosome:Theobroma_cacao_20110822:8:2484643:2487942:-1 gene:TCM_034331 transcript:EOY15172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein, putative isoform 5 MSNDTEPAQVSSVAAESHAGACDAEMQLLEGAVRSLPYHVVKELLSVGVCARCVLRLFGIEEHIYCHSSFSQSMLGSILEEETSFKSEVEFQFCSVCLGVLQFSYCDDGEETVVKKESAKDMALSIAELVKQEGHQIDGFSLEVSVPPLILENESSLLSHMKKKYESEPWFQERLLSKCHSVKDVLKFAITKPLETLLGVKASASSFRIRLTYTHKRASKKVQNSVERNQECKRRKTGTENALHNVNDESVIADKDCSGYSTIETNSVTGIFSNGVQDQETSEHFESPIEKVSEPCHLVYVCCRTHIYLCGRYLKYSRNVSQTRWIIDEERMGEASVEEIIGSNILPICQGDNYKFHAAGREDIDVRMLGSGRPFLLEIQNARQVPSELIVKEMESKINGLENKSVGVKNLKVVGSQGWALMHEGEAEKQKQYCALVWISRPLEDEDMQTISLLNDMKILQRTPIRVLHRRSPLER >EOY15174 pep chromosome:Theobroma_cacao_20110822:8:2485189:2487754:-1 gene:TCM_034331 transcript:EOY15174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein, putative isoform 5 MSNDTEPAQVSSVAAESHAGACDAEMQLLEGAVRSLPYHVVKELLSVGVCARCVLRLFGIEEHIYCHSSFSQSMLGSILEEETSFKSEVEFQFCSVCLGVLQFSYCDDGEETVVKKESAKDMALSIAELVKQEGHQIDGFSLEVSVPPLILENESSLLSHMKKKYESEPWFQERLLSKCHSVKDVLKFAITKPLETLLGVKASASSFRIRLTYTHKRASKKVQNSVERNQECKRRKTGTENALHNVNDESVIADKDCSGYSTIETNSVTGIFSNGVQDQETSEHFESPIEKVSEPCHLVYVCCRTHIYLCGRYLKYSRNVSQTRWIIDEERMGEASVEEIIGSNILPICQGDNYKFHAAGREDIDVRMLGSGRPFLLEIQNARQVPSELIVKEMESKINGLENKSVGVKNLKVVGSQGWALMHEGEAEKQKQYCALVWISRPLEDEDMQTISLLNDMVSCSVKFNFSFP >EOY15171 pep chromosome:Theobroma_cacao_20110822:8:2484260:2487754:-1 gene:TCM_034331 transcript:EOY15171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein, putative isoform 5 MSNDTEPAQVSSVAAESHAGACDAEMQLLEGAVRSLPYHVVKELLSVGVCARCVLRLFGIEEHIYCHSSFSQSMLGSILEEETSFKSEVEFQFCSVCLGVLQFSYCDDGEETVVKKESAKDMALSIAELVKQEGHQIDGFSLEVSVPPLILENESSLLSHMKKKYESEPWFQERLLSKCHSVKDVLKFAITKPLETLLGVKASASSFRIRLTYTHKRASKKVQNSVERNQECKRRKTGTENALHNVNDESVIADKDCSGYSTIETNSVTGIFSNGVQDQETSEHFESPIEKVSEPCHLVYVCCRTHIYLCGRYLKYSRNVSQTRWIIDEERMGEASVEEIIGSNILPICQGDNYKFHAAGREDIDVRMLGSGRPFLLEIQNARQVPSELIVKEMESKINGLENKSVGVKNLKVVGSQGWALMHEGEAEKQKQYCALVWISRPLEDEDMQTISLLNDMKILQRTPIRVLHRRSPLEREKIIHWMKIERIAGSSQYFLLHLCTQAGTYIKEFVHGDLGRTQPSVGSILGCRAEILQLDVTDVKMDCFVTE >EOY16574 pep chromosome:Theobroma_cacao_20110822:8:8486523:8489387:1 gene:TCM_035372 transcript:EOY16574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin M-type 4 MALENCFQLSSACTTRASVLQSYHHFSSVEKVHLPTFRGFNKPNLSFTSSSSSSSLAHSFTRRSQKSRLICKAREAVDEVAVVTESSWGELVVGSETPVLVEFWAPWCGPCRMIEPVIAELAKEYAGKIACYKLNTDDSPNIATEFGIRSIPTMLFFKDGEKKESIIGAVPKSTLAATIDKYVDS >EOY16779 pep chromosome:Theobroma_cacao_20110822:8:10958070:10961844:1 gene:TCM_035650 transcript:EOY16779 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein isoform 3 MDSNIIRLSAPFSYNHSYLFTNQKFKLRFPSISIRLQGRKNYHSDAKEFDESAFEAERLRLDAKARDSMAQTSKRVMEALAEEEDDPQAWKWVIRKRIWDLMEARNIAQNPRPVHHRIPNFIGAPAAAKNLSELQVFGMANCVKVNPDSPQKQVRFLTLSDGKKLLTPQPRLRTGFFSVLESSRLTPSTINEACNSLGIAKYGRPIGLDEKIKVDLIVIGSVAVDPKTGARLGKGEGFAELEYGMLQYMGAIDESTPVVTSGLLVTCYSA >EOY16778 pep chromosome:Theobroma_cacao_20110822:8:10958075:10960476:1 gene:TCM_035650 transcript:EOY16778 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein isoform 3 MDSNIIRLSAPFSYNHSYLFTNQKFKLRFPSISIRLQGRKNYHSDAKEFDESAFEAERLRLDAKARDSMAQTSKRVMEALAEEEDDPQAWKWVIRKRIWDLMEARNIAQNPRPVHHRIPNFIGAPAAAKNLSELQVFGMANCVKVNPDSPQKQVRFLTLSDGKKLLTPQPRLRTGFFSVLESSRLTPSTINEACNSLGIAKYGRPIGLDEKIKVDLIVIGSVAVDPKTGARLGKGEGFAELEYGMLQYMGAIDESTPVVTSDAHVICVDC >EOY16777 pep chromosome:Theobroma_cacao_20110822:8:10957791:10961979:1 gene:TCM_035650 transcript:EOY16777 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein isoform 3 MDSNIIRLSAPFSYNHSYLFTNQKFKLRFPSISIRLQGRKNYHSDAKEFDESAFEAERLRLDAKARDSMAQTSKRVMEALAEEEDDPQAWKWVIRKRIWDLMEARNIAQNPRPVHHRIPNFIGAPAAAKNLSELQVFGMANCVKVNPDSPQKQVRFLTLSDGKKLLTPQPRLRTGFFSVLESSRLTPSTINEACNSLGIAKYGRPIGLDEKIKVDLIVIGSVAVDPKTGARLGKGEGFAELEYGMLQYMGAIDESTPVVTSVHDCQLVDDIPVEKLLVHDVPVDIICTPTQVIFTNTAILKPQGIYWEKLSPEKLGQIRILRELKSKIERETGQKLPCGPSEKLPSTAQRRRQRS >EOY15577 pep chromosome:Theobroma_cacao_20110822:8:3819496:3822793:-1 gene:TCM_034589 transcript:EOY15577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase isoform 3 MASIRRTLSPAYHDRSYQNGAGFSSPSHKFFPNGNSKQFSSSSSSAHLRLLFNAVNLLYRKGWRRSFCRCTFFFLIGFLFGITPFGHIDTDIQAKDFTFPELKPPHVNLQLDDQIVTSVSLGVNTRLQEDKEFTADLIEPLKQLIVVTPTYNRGFQAYFLNRLGQVLRLVKPPLVWIVVEEKVASFETAEILRKTGVMYRHVVCTRNSSNVKDRGVHQRNAALEHIERHKLDGIVYFADDDNVYSLELFESLRTISRFGTWPVAMLAQSKNKAILEGPVCNGSQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWGRPFLNPTRQLDTVKEGFQETTFIEQVVEDESQMEGAPPGCSGIMNWHLHLDTGNLVYPKGWLLQKNLESCSYFVLVVH >EOY15580 pep chromosome:Theobroma_cacao_20110822:8:3820197:3822694:-1 gene:TCM_034589 transcript:EOY15580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase isoform 3 MASIRRTLSPAYHDRSYQNGAGFSSPSHKFFPNGNSKQFSSSSSSAHLRLLFNAVNLLYRKGWRRSFCRCTFFFLIGFLFGITPFGHIDTDIQAKDFTFPELKPPHVNLQLDDQIVTSVSLGVNTRLQEDKEFTADLIEPLKQLIVVTPTYNRGFQAYFLNRLGQVLRLVKPPLVWIVVEEKVASFETAEILRKTGVMYRHVVCTRNSSNVKDRGVHQRNAALEHIERHKLDGIVYFADDDNVYSLELFESLRTISRFGTWPVAMLAQSKNKAILEGPVCNGSQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWGRPFLNPTRQLDTVKEGFQVCILLLHFVFSR >EOY15578 pep chromosome:Theobroma_cacao_20110822:8:3819729:3822793:-1 gene:TCM_034589 transcript:EOY15578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase isoform 3 MASIRRTLSPAYHDRSYQNGAGFSSPSHKFFPNGNSKQFSSSSSSAHLRLLFNAVNLLYRKGWRRSFCRCTFFFLIGFLFGITPFGHIDTDIQAKDFTFPELKPPHVNLQLDDQIVTSVSLGVNTRLQEDKEFTADLIEPLKQLIVVTPTYNRGFQAYFLNRLGQVLRLVKPPLVWIVVEEKVASFETAEILRKTGVMYRHVVCTRNSSNVKDRGVHQRNAALEHIERHKLDGIVYFADDDNVYSLELFESLRTISRFGTWPVAMLAQSKNKAILEGPVCNGSQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWGRPFLNPTRQLDTVKEGFQETTFIEQVVEDESQMEGAPPGCSGIMNWHLHLDTGNLVYPKGWLLQKNLEVTLPIK >EOY15579 pep chromosome:Theobroma_cacao_20110822:8:3819905:3822694:-1 gene:TCM_034589 transcript:EOY15579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase isoform 3 MASIRRTLSPAYHDRSYQNGAGFSSPSHKFFPNGNSKQFSSSSSSAHLRLLFNAVNLLYRKGWRRSFCRCTFFFLIGFLFGITPFGHIDTDIQAKDFTFPELKPPHVNLQLDDQIVTSVSLGVNTRLQEDKEFTADLIEPLKQLIVVTPTYNRGFQAYFLNRLGQVLRLVKPPLVWIVVEEKVASFETAEILRKTGVMYRHVVCTRNSSNVKDRGVHQRNAALEHIERHKLDGIVYFADDDNVYSLELFESLRTISRFGTWPVAMLAQSKNKAILEGPVCNGSQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWGRPFLNPTRQLDTVKEGFQVCILLLHFVFSR >EOY15581 pep chromosome:Theobroma_cacao_20110822:8:3820860:3822666:-1 gene:TCM_034589 transcript:EOY15581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase isoform 3 MASIRRTLSPAYHDRSYQNGAGFSSPSHKFFPNGNSKQFSSSSSSAHLRLLFNAVNLLYRKGWRRSFCRCTFFFLIGFLFGITPFGHIDTDIQAKDFTFPELKPPHVNLQLDDQIVTSVSLGVNTRLQEDKEFTADLIEPLKQLIVVTPTYNRGFQAYFLNRLGQVLRLVKPPLVWIVVEEKVASFETAEILRKTGVMYRHVVCTRNSSNVKDRGVHQRNAALEHIERHKLDGIVYFADDDNVYSLELFESLRTISRFGTWPVAMLAQSKNKAILEGPVCNGSQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWGRPFLNPTRQLDTVKEGFQVCILLLHFVFSR >EOY16038 pep chromosome:Theobroma_cacao_20110822:8:5523107:5525453:-1 gene:TCM_034935 transcript:EOY16038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 MTNQQNVVVFDLKPRGVRHVLPPSSLPVAKPLSRQDIKMLLMKRFETEKAGAKINAWVDSMRASSPTRIKSTASLPPETDEKSSWIVHHPSALSMFEQIVAASKGKQIVMFLDYDGTLSPIVEDPDQAFMPREMRAALRDVARYFPTAIVTGRCRDKVYSFVKLAGLYYAGSHGMDIKGPSKSCKYKKGNQGVLFQPASEFLPMIDKVYKALVEKTKSIPGAKVENNKFCVSVHFRCVDEKVLEIRPTIKWDKGRALEFLLEALGYANSNDV >EOY16039 pep chromosome:Theobroma_cacao_20110822:8:5523407:5525382:-1 gene:TCM_034935 transcript:EOY16039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 MTNQQNVVVFDLKPRGVRHVLPPSSLPVAKPLSRQDIKMLLMKRFETEKAGAKINAWVDSMRASSPTRIKSTASLPPETDEKSSWIVHHPSALSMFEQIVAASKGKQIVMFLDYDGTLSPIVEDPDQAFMPREMRAALRDVARYFPTAIVTGRCRDKVYSFVKLAGLYYAGSHGMDIKGPSKSCKYKKGNQGVLFQPASEFLPMIDKVYKALVEKTKSIPGAKVENNKFCVSVHFRCVDEKSWAALAEQVRSVLNEYPKLKLTQGRLVFPLSISVFLLFPVSLG >EOY16035 pep chromosome:Theobroma_cacao_20110822:8:5522519:5525394:-1 gene:TCM_034935 transcript:EOY16035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 MTNQQNVVVFDLKPRGVRHVLPPSSLPVAKPLSRQDIKMLLMKRFETEKAGAKINAWVDSMRASSPTRIKSTASLPPETDEKSSWIVHHPSALSMFEQIVAASKGKQIVMFLDYDGTLSPIVEDPDQAFMPREMRAALRDVARYFPTAIVTGRCRDKVYSFVKLAGLYYAGSHGMDIKGPSKSCKYKKGNQGVLFQPASEFLPMIDKVYKALVEKTKSIPGAKVENNKFCVSVHFRCVDEKSWAALAEQVRSVLNEYPKLKLTQGRLVLEIRPTIKWDKGRALEFLLEALGYANSNDVLPVYIGDDRTDEDAFKVLRDRGQGFGILVSKVPKETNAFYSLQEPSEVKEFLRRLVDWKKLSQQAP >EOY16037 pep chromosome:Theobroma_cacao_20110822:8:5522448:5525453:-1 gene:TCM_034935 transcript:EOY16037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 MTNQQNVVVFDLKPRGVRHVLPPSSLPVAKPLSRQDIKMLLMKRFETEKAGAKINAWVDSMRASSPTRIKSTASLPPETDEKSSWIVHHPSALSMFEQIVAASKGKQIVMFLDYDGTLSPIVEDPDQAFMPREMRAALRDVARYFPTAIVTGRCRDKVYSFVKLAGLYYAGSHGMDIKGPSKSCKYKKGNQGVLFQPASEFLPMIDKSWAALAEQVRSVLNEYPKLKLTQGRLVLEIRPTIKWDKGRALEFLLEALGYANSNDVLPVYIGDDRTDEDAFKVLRDRGQGFGILVSKVPKETNAFYSLQEPSEVKEFLRRLVDWKKLSQQAP >EOY16036 pep chromosome:Theobroma_cacao_20110822:8:5522728:5525028:-1 gene:TCM_034935 transcript:EOY16036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 MTNQQNVVVFDLKPRGVRHVLPPSSLPVAKPLSRQDIKMLLMKRFETEKAGAKINAWVDSMRASSPTRIKSTASLPPETDEKSSWIVHHPSALSMFEQIVAASKGKQIVMFLDYDGTLSPIVEDPDQAFMPREMRAALRDVARYFPTAIVTGRCRDKVYSFVKLAGLYYAGSHGMDIKGPSKSCKYKKGNQGVLFQPASEFLPMIDKVYKALVEKTKSIPGAKVENNKFCVSVHFRCVDEKSWAALAEQVRSVLNEYPKLKLTQGRLVLEIRPTIKWDKGRALEFLLEALVGYANSNDVLPVYIGDDRTDEDAFKVLRDRGQGFGILVSKVPKETNAFYSLQEPSERLRSF >EOY15764 pep chromosome:Theobroma_cacao_20110822:8:4555683:4560257:1 gene:TCM_034731 transcript:EOY15764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase alpha 1 MSRARVYANVNVHRPRDYWDYESLTVQWGDQDHYEVVRKVGRGKYSEVFEGISVINNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDVVRDHHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPSKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYHLELDPQLDALVGRHSRKPWSRFINANNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFFQVRSAENNRMRTL >EOY14426 pep chromosome:Theobroma_cacao_20110822:8:303138:307759:1 gene:TCM_033817 transcript:EOY14426 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-repeat protein, putative isoform 2 MDVVIASSSIDGGIGCWDLQTGAEQLRYKTCASPPHGLVSVGRRFLASSQLRDPSATSGHVLYWSWSKPQAEVKSFPAEPIKPLAADSEGSYIVGGGSSGDIYLWEVATGRLLKKWHAHYRAVTCLVFSEDNSLVISGSDDGCVRVWSLFLIFDDVRRQQASHLYEYSFTEHTLCVTDIIMGYGGGSAIIVSASEDRTCKVWSLSRGRLLRNIVFPSIIDAIALDPGEHVFYAGSRDGKIYIAALNAESSPSNSYGLHIIGSLSDHSKAVTCLAYSTERNLLLSGSEDGMVRVWDVKTQNIIRMFRHAKGPVNNILIVSRPLSLGRAESNAQGFSRRHGSSLPPPLEKYANSTDEDMDNKAIINLPATSNLPTDASYLSSQLINDHIKELQQQGSSAAVEMEVEILKLDCKRSMPMVQQWKKNYYNLQEFCVNELLDGEQMGGSEGNSP >EOY14428 pep chromosome:Theobroma_cacao_20110822:8:303094:306075:1 gene:TCM_033817 transcript:EOY14428 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-repeat protein, putative isoform 2 MDVVIASSSIDGGIGCWDLQTGAEQLRYKTCASPPHGLVSVGRRFLASSQLRDPSATSGHVLYWSWSKPQAEVKSFPAEPIKPLAADSEGSYIVGGGSSGDIYLWEVATGRLLKKWHAHYRAVTCLVFSEDNSLVISGSDDGCVRVWSLFLIFDDVRRQQASHLYEYSFTEHTLCVTDIIMGYGGGSAIIVSASEDRTCKVWSLSRGRLLRNIVFPSIIDAIALDPGEHVFYAGSRDGKIYIAALNAESSPSNSYGLHIIGSLSDHSKAVTCLAYSTERNLLLSGSEDGMVRVWDVKTQNIIRMFRHAKESNAQGFSR >EOY14427 pep chromosome:Theobroma_cacao_20110822:8:303094:306470:1 gene:TCM_033817 transcript:EOY14427 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-repeat protein, putative isoform 2 MDVVIASSSIDGGIGCWDLQTGAEQLRYKTCASPPHGLVSVGRRFLASSQLRDPSATSGHVLYWSWSKPQAEVKSFPAEPIKPLAADSEGSYIVGGGSSGDIYLWEVATGRLLKKWHAHYRAVTCLVFSEDNSLVISGSDDGCVRVWIFDDVRRQQASHLYEYSFTEHTLCVTDIIMGYGGGSAIIVSASEDRTCKVWSLSRGRLLRNIVFPSIIDAIALDPGEHVFYAGSRDGKIYIAALNAESSPSNSYGLHIIGSLSDHSKAVTCLAYSTERNLLLSGSEDGMVRVWDVKTQNIIRMFRHAKGPVNNILIVSRPLSLGRAESNAQGFSRRHGSSLPPPLEKYANSTDEDMDNKAIINLPATSNLPTDASYLSSQLINDHIKELQQQGSSAAVE >EOY17462 pep chromosome:Theobroma_cacao_20110822:8:20689514:20695422:1 gene:TCM_036643 transcript:EOY17462 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative isoform 1 MMTKNTATLLILFCLQLLACFFPCNGGQDGSDHQIKGMFVFGSSLVDNGNNNYLQYSTAKADFLPYGIDFPNGPSGRFTNGKNVIDLLGEKLRLSSLIPTFSDPSTKGRKVVDGVNFASGASGILDDTGFLARQVITLNQQIRNFEEVTLPELEKQVCCGSRELLPNYLFVVGAGGNDYSFNYFVRKSHNIVSLEAFTANLTDSLSQQLKKLYNLGARKFVLMSVNPLGCTPMVTAVLKGRCITALNHAATLFNDGLKSLVDVAKAEMPSANIVFVNSYKIIRDIINNPASKGFSNADNACCEVVPSSLLGGSGVLCKEGGKVCDDRSAHVFFDGLHPTEAVNIEIATKAFTSYLHTEVYPMNVHQLAKL >EOY17463 pep chromosome:Theobroma_cacao_20110822:8:20689485:20696352:1 gene:TCM_036643 transcript:EOY17463 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative isoform 1 MMTKNTATLLILFCLQLLACFFPCNGGQDGSDHQIKGMFVFGSSLVDNGNNNYLQYSTAKADFLPYGIDFPNGPSGRFTNGKNVIDLLGEKLRLSSLIPTFSDPSTKGRKVVDGVNFASGASGILDDTGFLARQVITLNQQIRNFEEVTLPELEKQVCCGSRELLPNYLFVVGAGGNDYSFNYFVRKSHNIVSLEAFTANLTDSLSQQLKKLYNLGARKFVLMSVNPLGCTPMVTAVLKGRCITALNHAATLFNDGLKSLVDVAKAEMPSANIVFVNSYKIIRDIINNPASKGFSNADNACCEVVPSSLLGGSGVLCKEGGKVCDDRSAHVFFDGLHPTEAVNIEIATKAFTSYLHTEVYPMNVHQLAKL >EOY17464 pep chromosome:Theobroma_cacao_20110822:8:20689606:20695419:1 gene:TCM_036643 transcript:EOY17464 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative isoform 1 MMTKNTATLLILFCLQLLACFFPCNGGQDGSDHQIKGMFVFGSSLVDNGNNNYLQYSTAKADFLPYGIDFPNGPSGRFTNGKNVIDLLGEKLRLSSLIPTFSDPSTKGRKVVDGVNFASGASGILDDTGFLARQVITLNQQIRNFEEVTLPELEKQVCCGSRELLPNYLFVVGAGGNDYSFNYFVRKSHNIVSLEAFTANLTDSLSQQLKKLYNLGARKFVLMSVNPLGCTPMVTAVLKGRCITALNHAATLFNDGLKSLVDVAKAEMPSANIVFVNSYKIIRDIINNPASKGFSNADNACCEVVPSSLLGGSGVLCKEGGKVCDDRSAHVFFDGLHPTEAVNIEIATKAFTSYLHTEVYPMNVHQLAKL >EOY16465 pep chromosome:Theobroma_cacao_20110822:8:7194693:7197757:-1 gene:TCM_035225 transcript:EOY16465 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein MLEAKKQAVAKALLFFLPIGPKAKTKMRRHRCHQAVRSESETESETDTETMRCISCKEEYGARDAGTCKECYEEASETEEELKREIEDLKAKVAFLRFWSPLDHAHRGSTSPGPFFPDVVLVASDDGSPGLPPVPVPSHKAVLASRSPVFKAMLENEMEESRSGTIKISDVSYDALRAFVNYLYTAEAWLDEQMACDLLVLAEKYQVKHLKGYCEKFLVSKLNWDNSLMSYAFAHQHNAKLVLDAALCLITDNMDKLTKREEYMELVEKDPRLVVEIYEAYLSKQVNTAAHKDSSVKS >EOY16311 pep chromosome:Theobroma_cacao_20110822:8:6664603:6666606:1 gene:TCM_035133 transcript:EOY16311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein isoform 2 MATRGATGNSLEAPKIAWNETQRRFETEDKKAYVEYVLRQDGKVMDIVHSFVPSSKRGMGLASHLCVAAFNHAKSHSLSIIPSCSYVSDTFLPRNPSWNTLLYSEDLKSNI >EOY16312 pep chromosome:Theobroma_cacao_20110822:8:6664745:6666620:1 gene:TCM_035133 transcript:EOY16312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein isoform 2 EAPKIAWNETQRRFETEDKKAYVEYVLRQDGKVMDIVHSFVPSSKRGMGLASHLCVAAFNHAKSHSLSIIPSCSYVSRTSNPISK >EOY14584 pep chromosome:Theobroma_cacao_20110822:8:647649:649323:-1 gene:TCM_033911 transcript:EOY14584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSMPLVQQPPPVTVTQPYNSHATTHASIGPVIAVLLVIIILGILAGMIGRLCTGRKIMGYGQYDIESWIETKCSSCIDGRIYPPPPRANASGTSVPASIQHHPQQEDQSPSNPNT >EOY14372 pep chromosome:Theobroma_cacao_20110822:8:99652:103123:-1 gene:TCM_033769 transcript:EOY14372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-P-dolichol utilization defect 1 protein isoform 1 MDYLGIDFRCALGSIKNGNFPPKDCLLPLISKLLGYAIVAASTTVKLPQILKILKHRSVRGLSVVAFELEVVGYTIALAYCLHKGLPFSAFGELAFLLIQALILVAIIYYFSQPVGIFTWIRALLYCAVAPTVLAGQIDPILFEALYASQHAIFFFARVPQIWKNFSNKSTGELSFLTCLMNSGGSLGK >EOY14371 pep chromosome:Theobroma_cacao_20110822:8:99359:103321:-1 gene:TCM_033769 transcript:EOY14371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-P-dolichol utilization defect 1 protein isoform 1 MDYLGIDFRCALGSIKNGNFPPKDCLLPLISKLLGYAIVAASTTVKLPQILKILKHRSVRGLSVVAFELEVVGYTIALAYCLHKGLPFSAFGELAFLLIQALILVAIIYYFSQPVGIFTWIRALLYCAVAPTVLAGQIDPILFEALYASQHAIFFFARVPQIWKNFSNKSTGELSFLTCLMNSGGSLVRVFTSIQEKAPTSVILGSVLGILTNGTILSQIIIYQKPQVQKEKKVK >EOY14772 pep chromosome:Theobroma_cacao_20110822:8:1230466:1234886:1 gene:TCM_034047 transcript:EOY14772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf-like protein isoform 3 MQDKTSPFKVILGSSSIARRKILAEMGYEFTLMSADIDEKGIRKETPEELVIALAEAKADSILSKLQTINNQEKDERPIILIAADTAEAILHRLPVGDYIKEAEPTLLITSDQVVVYEGAVREKPANEKEAREYIKGYSGGHAATVGSVLVTNLKTGFRKGEWDRVEIYFHEIPDEVIEKLIEEGTVLHVAGGLIIEHPLIKPYVKQVVGTTDSVMGLPKALTEKLIKEAL >EOY14773 pep chromosome:Theobroma_cacao_20110822:8:1230710:1234583:1 gene:TCM_034047 transcript:EOY14773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf-like protein isoform 3 MQDKTSPFKVILGSSSIARRKILAEMGYEFTLMSADIDEKGIRKETPEELVIALAEAKAEAILHRLPVGDYIKEAEPTLLITSDQVVVYEGAVREKPANEKEAREYIKGYSGGHAATVGSVLVTNLKTGFRKGEWDRVEIYFHEIPDEVIEKLIEEGTVLHVAGGLIIEHPLIKPYVKQVVGTTDSVMGLPKALTEKLIKEAL >EOY14774 pep chromosome:Theobroma_cacao_20110822:8:1230724:1234400:1 gene:TCM_034047 transcript:EOY14774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf-like protein isoform 3 MQDKTSPFKVILGSSSIARRKILAEMGYEFTLMSADIDEKGIRKETPEELVIALAEAKADSILSKLQTINNQEKDERPIILIAADTVVVYEGAVREKPANEKEAREYIKGYSGGHAATVGSVLVTNLKTGFRKGEWDRVEIYFHEIPDEVIEKLIEEGTVLHVAGGLIIEHPLIKPYVKQVVGTTDSVMGLPKALTEKLIKEAL >EOY17470 pep chromosome:Theobroma_cacao_20110822:8:20783263:20788560:1 gene:TCM_036655 transcript:EOY17470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKVNYFFHDNEWDVDKLKVVLPAVIINEILKVPISCTQENLAYWALTLNGDFTTKSAWELLRQRQLIHALGKFIWHTSIPLTVSFFLWCLVHNWIPVELRMKSKGFQLASKCLCCQSKETIMHVLWEGPIAQQVWNYFAKFFQIYVERNDAKHRGLGMYPDRNAAGGGILRDHIGVFWSFIPKISKSSKNIVLFYKVGLDTRYLLASIRKCLSCISFRISHIHREGNQAADHLSVQGYTHQNLHVFSQAKVELKGILRLDKLNLPYVRF >EOY15238 pep chromosome:Theobroma_cacao_20110822:8:2668756:2674257:-1 gene:TCM_034375 transcript:EOY15238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein MGGSFLLFSSFLLSIFACLRSFSLVYADANLTQPSFTPINRDLYHSRIIKFVHQGSSLAFPSVNDLIEQIKSLVHRHPDKFTVETIKAGNKGYRAEITVVTYCRSRKESDERSKFRILLSFGQHGRELITSELALRILSILSEEQFLTKMDRASINRALDNLVIKVVPMENLNGRKLVEAGDLCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGSAAFSEPETQIMRKLAISFDPHLWVNVHSGMEALFMPYDHKNTTPDGLPSQQMRLLLEELNKVHCHKRCTIGSGGGSVGFVFLYFEAMMTCDSIMLLKYLAHGTATDYMYDVARVPMAFTFEIYGDDTASTKDCFKMFNPVDHTTFKGVVNDWSAAFFTIFKLLPHQLDVHTKAAVSNLEKWVSIDEYLDGYLMGRRNRYGKKMEVLEVGMQEIRTYFRLFLLSSVLLLFMFCSRISKSKGGRQIVSAMPL >EOY15088 pep chromosome:Theobroma_cacao_20110822:8:2195556:2198637:1 gene:TCM_034263 transcript:EOY15088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein isoform 1 MRRANGLPTLGRALSCGGASTEQRLVQAALISTCSSSSSNSHIFSQKLADLLGLSRPSLERGVAGTMLFSVAASSLAQDAHAKEPPRSKKFLYNEVVLYQYEACPFCNKVKAFLDYNNIPYKIVEVNPISKKEIKWSDYKKVPILKVDGEQMVDSSDIIDKLFHRINPDSSIPDDGEEKKWCGWIITWCMFYPQTYIELLLRHLNHSTISPLMAISVSPRG >EOY15086 pep chromosome:Theobroma_cacao_20110822:8:2195476:2198917:1 gene:TCM_034263 transcript:EOY15086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein isoform 1 MRRANGLPTLGRALSCGGASTEQRLVQAALISTCSSSSSNSHIFSQKLADLLGLSRPSLERGVAGTMLFSVAASSLAQDAHAKEPPRSKKFLYNEVVLYQYEACPFCNKVKAFLDYNNIPYKIVEVNPISKKEIKWSDYKKVPILKVDGEQMVDSSDIIDKLFHRINPDSSIPDDGEEKKWCQWVDNHLVHVLSPNIYRTTSEALESFDYITTHGNFSFTERLVAKYAGAAAMYFVSKKLKKKYNITDERAALYEAAETWVDALKDRHYLGGSKPNLADLAVFGVLRPIRYLKSGKDMVEHTRIGEWYTRMENTVGESARIKE >EOY15087 pep chromosome:Theobroma_cacao_20110822:8:2195556:2198637:1 gene:TCM_034263 transcript:EOY15087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein isoform 1 MRRANGLPTLGRALSCGGASTEQRLVQAALISTCSSSSSNSHIFSQKLADLLGLSRPSLERGVAGTMLFSVAASSLAQDAHAKEPPRSKKFLYNEVVLYQYEACPFCNKVKAFLDYNNIPYKIVEVNPISKKEIKWSDYKKVPILKVDGEQMVDSSDIIDKLFHRINPDSSIPDDGEEKKWCQCGWIITWCMFYPQTYIELLLRHLNHSTISPLMAISVSPRG >EOY16281 pep chromosome:Theobroma_cacao_20110822:8:6526263:6544399:1 gene:TCM_035110 transcript:EOY16281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Androgen induced inhibitor of proliferation (As3) / pds5, putative isoform 2 MDESSLQLISGIGAKLEQLTRPSKDVIVKSLRKVVNVLSQIEQPSVVEVATKALVVGKLEDVTKPLRKSIVKHGLSNHTDKDVRLLVAICVSEFFRILAPQPPFADKYLRDMFKLILSMFMELADTTSAFFSRRVKILETVAQCKCCVIMLDIDCSDLILEMFNIFFSVVREHHQQSLINDILSIMTHILNEEVSHQLTDVILRNLVQESKGATSAASQLAASVIQSCAEKLQPFVCGFLTSCSLDRDAVGSELKEFYHEIVLKLFQCAPEMLNAIIPNLTQELMTDQVDVRIKAVNLIGKLLLRPEYRLAQRYHALFVEFLKRLCDKSSEVRVTALQCAKACYLANPSGIESHELLTAIEDRLLDFDDKVRMQAVIVACELAGSNLKYISSKLISEVIERLRDKKISVRKKALQKVMEVYRDYCNKCAEGHITMCDHFEQIPCKVLMLCYDKDCKEFRSQNIELVVAEELFPVLLPVEERARHWIHLFSLFSPLHVKALSAILSQKRRLQTEMRNYLAIRKEKENSSEDMKKKLKSSFVKMSASFPDPSKAEECFDKLSQMKDNNIFTSLGLLLDEVTLKNALVIRDKFLKVIGDKHPHFEFLQLLSSKCSFNIFDSEHVCCILSLISTSGLGSNNLEAFSIELLLVIISNFPSLMRGSELQFRLLFEEKYLIHDKIIQVLAKVGSHISVNFSDFYPVLKKICLEGTRTQSKYAVSAIASLIDVPKQYVFTELCEELVDSLHSGQNIATVLQSLGCIAQYSVSTFEDLDQEITQHVYKNIFQAKSLDDLSVTEDSSGCTVTCKLKIYGLKMLVKSFLPHRGSQVNRQINPLLGILLKMLQKGDMFDNIFSCASDKAYIRLAAAKSVLQLSRRWDLHISPDIFRFTILMAKDSSSFVRRLFLDKTHKLLKEHVIPIRYACAFTLATSDSLKDLQHDSFKYMVEFIKEYSREARIRQTSMLQGGSIMDFPAYIVVFLIHLLVHDAGFPSEDCQDEAIYAQFCGPLLSFLNASMNSSVVDGDLDLVNNAALYLNYIFRAIKRAKDAVDAQRTPRLHFLADIGISAVNSLHRNGISSVCTLGTILLPSSLYKITPMEREEANLKFLTQSFVERVVHVFKSQVSLPVGSVHKRGRKCHEDGTLNMVLGKQVDFSTCGALETHKRSTRMETSSGRRRGHVVPPNALVSIGSHNKGFTEELEYGASNSSEAALEKRQPFSSSGSVTQKPSQMESQVSTQKFERSNALKGNIGAGKIINAEASNSRKVKFNIASKELPSANEVLIGQRIKVWSTFDSCFHSGTVDDFNPENNTHKITCDNGEVEILCLDSESWETISDCSLTEREVVPSDKANTLHLRQCGKDTLDKFRGDANQQSKTKLNMEDRKFRSRKVPLSEKEGTDFIQRLVISVRNYQYR >EOY16280 pep chromosome:Theobroma_cacao_20110822:8:6526263:6544399:1 gene:TCM_035110 transcript:EOY16280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Androgen induced inhibitor of proliferation (As3) / pds5, putative isoform 2 MDESSLQLISGIGAKLEQLTRPSKDVIVKSLRKVVNVLSQIEQPSVVEVATKALVVGKLEDVTKPLRKSIVKHGLSNHTDKDVRLLVAICVSEFFRILAPQPPFADKYLRDMFKLILSMFMELADTTSAFFSRRVKILETVAQCKCCVIMLDIDCSDLILEMFNIFFSVVREHHQQSLINDILSIMTHILNEEVSHQLTDVILRNLVQESKGATSAASQLAASVIQSCAEKLQPFVCGFLTSCSLDRDAVGSELKEFYHEIVLKLFQCAPEMLNAIIPNLTQELMTDQVDVRIKAVNLIGKLLLRPEYRLAQRYHALFVEFLKRLCDKSSEVRVTALQCAKACYLANPSGIESHELLTAIEDRLLDFDDKVRMQAVIVACELAGSNLKYISSKLISEVIERLRDKKISVRKKALQKVMEVYRDYCNKCAEGHITMCDHFEQIPCKVLMLCYDKDCKEFRSQNIELVVAEELFPVLLPVEERARHWIHLFSLFSPLHVKALSAILSQKRRLQTEMRNYLAIRKEKENSSEDMKKKLKSSFVKMSASFPDPSKAEECFDKLSQMKDNNIFTSLGLLLDEVTLKNALVIRDKFLKVIGDKHPHFEFLQLLSSKCSFNIFDSEHVCCILSLISTSGLGSNNLEAFSIELLLVIISNFPSLMRGSELQFRLLFEEKYLIHDKIIQVLAKVGSHISVNFSDFYPVLKKICLEGTRTQSKYAVSAIASLIDVPKQYVFTELCEELVDSLHSGQNIATVLQSLGCIAQYSVSTFEDLDQEITQHVYKNIFQAKSLDDLSVTEDSSGCTVTCKLKIYGLKMLVKSFLPHRGSQVNRQINPLLGILLKMLQKGDMFDNIFSCASDKAYIRLAAAKSVLQLSRRWDLHISPDIFRFTILMAKDSSSFVRRLFLDKTHKLLKEHVIPIRYACAFTLATSDSLKDLQHDSFKYMVEFIKEYSREARIRQTSMLQGGSIMDFPAYIVVFLIHLLVHDAGFPSEDCQDEAIYAQFCGPLLSFLNASMNSSVVDGDLDLVNNAALYLNYIFRAIKRAKDAVDAQRTPRLHFLADIGISAVNSLHRNGISSVCTLGTILLPSSLYKITPMEREEANLKFLTQSFVERVVHVFKSQVSLPVGSVHKRGRKCHEDGTLNMVLGKQVDFSTCGALETHKRSTRMETSSGRRRGHVVPPNALVSIGSHNKGFTEELEYGASNSSEAALEKRQPFSSSGSVTQKPSQMESQVSTQKFERSNALKGNIGAGKIINAEASNSRKVKFNIASKELPSANEVLIGQRIKVWSTFDSCFHSGTVDDFNPENNTHKITCDNGEVEILCLDSESWETISDCSLTEREVVPSDKANTLHLRQCGKDTLDKFRGDANQQSKTKLNMEDRKFRSRKVPLSEKRKKGQILSRDLSSVSEIINIDEDAVAKRTRRRNV >EOY15813 pep chromosome:Theobroma_cacao_20110822:8:4736007:4736557:-1 gene:TCM_034774 transcript:EOY15813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKNSSTSYSSMKRHLFVLGLLALLVSTEFTAVDGRALRSKTNNVVVAGCEEQGGADEQVAVSTFAVSANNSSSRPSVRSLASRLASGPSKRGPGH >EOY15622 pep chromosome:Theobroma_cacao_20110822:8:3975409:3980203:1 gene:TCM_034625 transcript:EOY15622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 10 protein / carbohydrate-binding domain-containing protein isoform 2 MENPQMKSDNANAENLNQNMISPIGNPAANIVVNHDFSNGLHSWHPNCCNGFVVSAESGNPGGLSAKSGGNYAVVTNRTECWQGLEQDITGRISPGSTYSVSACVGVSGPLSGSTDVLATLKLENQGSATSYLFIGKTSVSKERWGMVEGTFSLSTMPERLVFYLEGPPSGVELLIDSVVITCSSSSKSESSSIRWDIAGDENVVINPQFEDGLNNWSGRGCKVVLHDSMADGKIVPQLGKVFASATERTQSWNGIQQEITGRVQRKLAYNVAAVVRIFGNNVMTATVQATLWVQTPDRREQYIVIANVQATDKDWVQLQGKFLLNGSPSRVVIYLEGPPPGTDILVNALAVKHAEKVPPSSPPVIEDPNFGVNIITNSQLNDGTNGWFPLGNCNLSVGTGSPHILPPMARASLGAHEPLSGLYILVKNRTQTWMGPAQMITDKLKLFLTYQVSAWVRIGSGASGPQNVNVALGVDSQWVNGGQVEINDDRWHEIGGSFRIEKQPSKVMVYIQGPAAGVDLMVAGLQIFPVDRAARLKYLRRQTDKIRKRDVILKFSGAGSSSLLGTFVKVIQAQNSFPIGSCINRTNIDNEDFVDFFVKNFNWAVFGNELKWYWTEPQQGNFNYKDADDMLALCQNHKIETRGHCIFWEVQATVQQWIQALNKNDLMTAVQNRLTGLLTHYKGKFRHYDVNNEMMHGSFYQDRLGKDIRANMFKNANQLDPSATLFVNDYHVEDGCDTRSSPENYIEHILDLQEQGAPVGGIGIQGHIDSPVGPVVCSALDKLGILGLPIWFTELDVSSVNEYIRGEDLEVMLREAFAHPAVEGVMLWGFWELFMSRNDAHLVNAEGEINETGKRFLALKHEWLSHAHGHIDEQGQFEFRGFHGTYVVEVVTASKKSSKTFVVDKGDSPLIVSIAL >EOY15621 pep chromosome:Theobroma_cacao_20110822:8:3974786:3980821:1 gene:TCM_034625 transcript:EOY15621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 10 protein / carbohydrate-binding domain-containing protein isoform 2 MRRLSICCFTTRKHKHSPQRFGETMENPQMKSDNANAENLNQNMISPIGNPAANIVVNHDFSNGLHSWHPNCCNGFVVSAESGNPGGLSAKSGGNYAVVTNRTECWQGLEQDITGRISPGSTYSVSACVGVSGPLSGSTDVLATLKLENQGSATSYLFIGKTSVSKERWGMVEGTFSLSTMPERLVFYLEGPPSGVELLIDSVVITCSSSSKSESSSIRWDIAGDENVVINPQFEDGLNNWSGRGCKVVLHDSMADGKIVPQLGKVFASATERTQSWNGIQQEITGRVQRKLAYNVAAVVRIFGNNVMTATVQATLWVQTPDRREQYIVIANVQATDKDWVQLQGKFLLNGSPSRVVIYLEGPPPGTDILVNALAVKHAEKVPPSSPPVIEDPNFGVNIITNSQLNDGTNGWFPLGNCNLSVGTGSPHILPPMARASLGAHEPLSGLYILVKNRTQTWMGPAQMITDKLKLFLTYQVSAWVRIGSGASGPQNVNVALGVDSQWVNGGQVEINDDRWHEIGGSFRIEKQPSKVMVYIQGPAAGVDLMVAGLQIFPVDRAARLKYLRRQTDKIRKRDVILKFSGAGSSSLLGTFVKVIQAQNSFPIGSCINRTNIDNEDFVDFFVKNFNWAVFGNELKWYWTEPQQGNFNYKDADDMLALCQNHKIETRGHCIFWEVQATVQQWIQALNKNDLMTAVQNRLTGLLTHYKGKFRHYDVNNEMMHGSFYQDRLGKDIRANMFKNANQLDPSATLFVNDYHVEDGCDTRSSPENYIEHILDLQEQGAPVGGIGIQGHIDSPVGPVVCSALDKLGILGLPIWFTELDVSSVNEYIRGEDLEVMLREAFAHPAVEGVMLWGFWELFMSRNDAHLVNAEGEINETGKRFLALKHEWLSHAHGHIDEQGQFEFRGFHGTYVVEVVTASKKSSKTFVVDKGDSPLIVSIAL >EOY15560 pep chromosome:Theobroma_cacao_20110822:8:3739590:3740751:-1 gene:TCM_034576 transcript:EOY15560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMLEFGTLIQSVGRVVNEWTMSATRPGVKVVGPVKQASLNCKPASMSESTRDKAEMDIVLKAILHSEIFIDLALLL >EOY16026 pep chromosome:Theobroma_cacao_20110822:8:5475650:5480001:-1 gene:TCM_034926 transcript:EOY16026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MAFGWLQLTSNLGSVIGGFLAVLIAPITLIGIPGWRIAFHLVGLISIMVGILVYLFANDPHCSDSGRKSSHQISNRSLWSEVKSLVQEAKTVIKIPSFQIIVAQGVTGSFPWSALSFAPMWLELIGFSHKKTAFLIALFVIATSVGGLFGGRMGDFLSTRLPNSGRIILAQISSASAIPLAAILLLFLPDDPSTGVMHGLVLIIVGFCISWNAPATNNPIFAEIVPEKSRTSVYALDRSFESILSSFAPPVVGILAQHVYGYKPIPKGSSESEEIATDRENAASLAKALYSAIGIPMALCCFIYSFLYCTYPRDREQAQMEALIESEMRELLSDNMPEGGESPQAQSSELEEPSVKDRSIIEVDYEGEDDFDPDENDEKTSLYRQLTFSNLGV >EOY16025 pep chromosome:Theobroma_cacao_20110822:8:5475650:5480178:-1 gene:TCM_034926 transcript:EOY16025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MKAETLTLVLVNLAGIMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQSSCYPIAAYLAVRHNRAHVIALGAFLWAAATFLVAFSSTFFQVAISRALNGIGLAIVGPAIQSLVADSTDDNNRGMAFGWLQLTSNLGSVIGGFLAVLIAPITLIGIPGWRIAFHLVGLISIMVGILVYLFANDPHCSDSGRKSSHQISNRSLWSEVKSLVQEAKTVIKIPSFQIIVAQGVTGSFPWSALSFAPMWLELIGFSHKKTAFLIALFVIATSVGGLFGGRMGDFLSTRLPNSGRIILAQISSASAIPLAAILLLFLPDDPSTGVMHGLVLIIVGFCISWNAPATNNPIFAEIVPEKSRTSVYALDRSFESILSSFAPPVVGILAQHVYGYKPIPKGSSESEEIATDRENAASLAKALYSAIGIPMALCCFIYSFLYCTYPRDREQAQMEALIESEMRELLSDNMPEGGESPQAQSSELEEPSVKDRSIIEVDYEGEDDFDPDENDEKTSLYRQLTFSNLGV >EOY17283 pep chromosome:Theobroma_cacao_20110822:8:18989122:19008259:1 gene:TCM_036434 transcript:EOY17283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps52 / Sac2 family isoform 3 MADVAANNASHPNETPKNVFDFGTFVGDLIVEEDTSSDDISLEGLQLELEECKNDDVVANILSKGIKLREYTKGVENNLRQVELDSIQDYIKESDNLVSLHDQIRDCDSILSQMETLLSGFQAEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLAKFVEDIIVPPRMVDIIVDGEVNDEYMRTLDILSKKLKFVEVDPMVKASKALKDVQPELEKLMQKAVSKVFDFIVQKLQALRKPKTNIQILQQNVLLKYKYVISFLKEHSKEVYSEVRAAYIDTMNKVLSAHFRAYIQALEKLQLDIATSNDLIGVETRSTSLFSRGREPLKNRSAVFALGERLNVLKEIDQPALIPHIAEASSLKYPYEVLFRSLHKLLMDTATSEYLFCDEFFGEESIFYDIFAGPFAVIDEHFNSILPNCYDAIGLMLMIRIIHQHQLIMSRRRIPCLDSYLDKVNISLWPRFKMVFDMHLSSLRNANVKLLWEDDIHPHYVMRRYAEFTASLIHLNVEYGDGQLELNMERLRMAVDDLLMKLAKLFSKPKLQIVFLINNYDMTIAVLKEAGPEGGKFQLHFEELLKSNTGLFVEELLVEHFSDLIKFVKTRASEDPNASSERPITIAEVEPLVKDFASRWKAAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDCIKRINGGTALNKDLVSISSIMYEIRKYSRTF >EOY17285 pep chromosome:Theobroma_cacao_20110822:8:18991303:19008370:1 gene:TCM_036434 transcript:EOY17285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps52 / Sac2 family isoform 3 MADVAANNASHPNETPKNVFDFGTFVGDLIVEEDTSSDDISLEGLQLELEECKNDDVVANILSKGIKLREYTKGVENNLRQVELDSIQAEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLAKFVEDIIVPPRMVDIIVDGEVNDEYMRTLDILSKKLKFVEVDPMVKASKALKDVQPELEKLMQKAVSKVFDFIVQKLQALRKPKTNIQILQQNVLLKYKYVISFLKEHSKEVYSEVRAAYIDTMNKVLSAHFRAYIQALEKLQLDIATSNDLIGVETRSTSLFSRGREPLKNRSAVFALGERLNVLKEIDQPALIPHIAEASSLKYPYEVLFRSLHKLLMDTATSEYLFCDEFFGEESIFYDIFAGPFAVIDEHFNSILPNCYDAIGLMLMIRIIHQHQLIMSRRRIPCLDSYLDKVNISLWPRFKMVFDMHLSSLRNANVKLLWEDDIHPHYVMRRYAEFTASLIHLNVEYGDGQLELNMERLRMAVDDLLMKLAKLFSKPKLQIVFLINNYDMTIAVLKEAGPEGGKFQLHFEELLKSNTGLFVEELLVEHFSDLIKFVKTRASEDPNASSERPITIAEVEPLVKDFASRWKAAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDCIKRINGGTALNKDLVSISSIMYEIRKYSRTF >EOY17284 pep chromosome:Theobroma_cacao_20110822:8:18991773:19006277:1 gene:TCM_036434 transcript:EOY17284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps52 / Sac2 family isoform 3 MADVAANNASHPNETPKNVFDFGTFVGDLIVEEDTSSDDISLEGLQLELEECKNDDVVANILSKGIKLREYTKGVENNLRQVELDSIQDYIKESDNLVSLHDQIRDCDSILSQMETLLSGFQAEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLAKFVEDIIVPPRMVDIIVDGEVNDEYMRTLDILSKKLKFVEVDPMVKASKALKDVQPELEKLMQKAVSKVFDFIVQKLQALRKPKTNIQILQQNVLLKYKYVISFLKEHSKEVYSEVRAAYIDTMNKVLSAHFRAYIQALEKLQLDIATSNDLIGVETRSTSLFSRGREPLKNRSAVFALGERLNVLKEIDQPALIPHIAEASSLKYPYEVLFRSLHKLLMDTATSEYLFCDEFFGEESIFYDIFAGPFAVIDEHFNSILPNCYDAIGLMLMIRIIHQHQLIMSRRRIPCLDSYLDKVVNISLWPRFKMVFDMHLSSLRNANVKLLWEDDIHPHYVMRRYAEFTASLIHLNVEYGDGQLELNMERLRMAVDDLLMKLAKLFSKPKLQIVFLINNYDMTIAVLKEAGPEGGKFQLHFEELLKSNTGLFVGRIASGTF >EOY17498 pep chromosome:Theobroma_cacao_20110822:8:21254225:21255119:1 gene:TCM_036708 transcript:EOY17498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDEPITLPISRLPQFFLYHMHGPIILHIFTILQSVVISISFSLFLVFSLSFLYYQTLFHSPSIMILFQNIANISYFIMLFIKYHSNFMTNAMQCTYISSLGRMEIMTMLGAERLFFINKNGSFYNQA >EOY16458 pep chromosome:Theobroma_cacao_20110822:8:7166524:7170383:-1 gene:TCM_035219 transcript:EOY16458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGGVENHISDDILEDLRFYSRLKKERGMLENFRPSCGSNGKRNKKIMNKQCNEIDMEESIKDSDYETFISSLEQYGYGHDHDHDREKGKERDPQYEMFLENLKPDGTSYLAEIPLSSGLSIIIRYEEKEQESFENVERMRNFKSNSKRVKAEVPDYLDGFSRKAKTDLRRIKANVPENSGGFSRKAKAETTKTVKKDLKIGEKKRKEKARDVPNGERKSAVDENQVKEEAEDDSVPHRSSGEPSKKMSLDMVDESWEEFLNSRSKRDEKMVFSDESGHDGRHWKDDESSSDVEILASDNIPFHEGGYTPFVPAKSYQSLGVRDLRNGRMKAYSTKTDGKSYLDWYKKLKMKIDEFRCDRHKILCLLRGFFFWLENTAHEGSFQPWLDSLYLNALDDRRFSLN >EOY16457 pep chromosome:Theobroma_cacao_20110822:8:7168044:7170312:-1 gene:TCM_035219 transcript:EOY16457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGGVENHISDDILEDLRFYSRLKKERGMLENFRPSCGSNGKRNKKIMNKQCNEIDMEESIKDSDYETFISSLEQYGYGHDHDHDREKGKERDPQYEMFLENLKPDGTSYLAEIPLSSGLSIIIRYEEKEQESFENVERMRNFKSNSKRVKAEVPDYLDGFSRKAKTDLRRIKANVPENSGGFSRKAKAETTKTVKKDLKIGEKKRKEKARDVPNGERKSAVDENQVKEEAEDDSVPHRSSGEPSKKMSLDMVDESWEEFLNSRSKRDEKMVFSDESGHDGRHWKDDESSSDVEILASDNIPFHEGGYTPFVPAKSYQSLTGDESWEGIKNFSLSQFREKLMNLLKKPYDQEEFDYLWREVTYKKPVQGVRDLRNGRMKAYSTKTDGKSYLDWYKKLKMKIDEFRCDRHKILCLLRGFFFWLEVSFYIISASSHFPHASCPRPLRC >EOY16459 pep chromosome:Theobroma_cacao_20110822:8:7167725:7170675:-1 gene:TCM_035219 transcript:EOY16459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGGVENHISDDILEDLRFYSRLKKERGMLENFRPSCGSNGKRNKKIMNKQCNEIDMEESIKDSDYETFISSLEQYGYGHDHDHDREKGKERDPQYEMFLENLKPDGTSYLAEIPLSSGLSIIIRYEEKEQESFENVERMRNFKSNSKRVKAEVPDYLDGFSRKAKTDLRRIKANVPENSGGFSRKAKAETTKTVKKDLKIGEKKRKEKARDVPNGERKSAVDENQVKEEAEDDSVPHRSSGEPSKKMSLDMVDESWEEFLNSRSKRDEKMVFSDESGHDGRHWKDDESSSDVEILASDNIPFHEGGYTPFVPAKSYQSLGVRDLRNGRMKAYSTKTDGKSYLDWYKKLKMKIDEFRCDRHKILCLLRGFFFWLEVSFYIISASSHFPHASCPRPLRC >EOY16460 pep chromosome:Theobroma_cacao_20110822:8:7168517:7170680:-1 gene:TCM_035219 transcript:EOY16460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGGVENHISDDILEDLRFYSRLKKERGMLENFRPSCGSNGKRNKKIMNKQCNEIDMEESIKDSDYETFISSLEQYGYGHDHDHDREKGKERDPQYEMFLENLKPDGTSYLAEIPLSSGLSIIIRYEEKEQESFENVERMRNFKSNSKRVKAEVPDYLDGFSRKAKTDLRRIKANVPENSGGFSRKAKAETTKTVKKDLKIGEKKRKEKARDVPNGERKSAVDENQVKEEAEDDSVPHRSSGEPSKKMSLDMVDESWEEFLNSRSKRDEKMVFSDESGHDGRHWKDDESSSDVEILASDNIPFHEGGYTPFVPAKSYQSLTGDESWEGIKNFSLSQFREKLMNLLKKPYDQEEFDYLWREVTYKKPVQGVRDLRNGRMKAYSTKTDGKSYLDWYKSKCVFSKYIDGHMSLPFSL >EOY16456 pep chromosome:Theobroma_cacao_20110822:8:7167050:7170312:-1 gene:TCM_035219 transcript:EOY16456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGGVENHISDDILEDLRFYSRLKKERGMLENFRPSCGSNGKRNKKIMNKQCNEIDMEESIKDSDYETFISSLEQYGYGHDHDHDREKGKERDPQYEMFLENLKPDGTSYLAEIPLSSGLSIIIRYEEKEQESFENVERMRNFKSNSKRVKAEVPDYLDGFSRKAKTDLRRIKANVPENSGGFSRKAKAETTKTVKKDLKIGEKKRKEKARDVPNGERKSAVDENQVKEEAEDDSVPHRSSGEPSKKMSLDMVDESWEEFLNSRSKRDEKMVFSDESGHDGRHWKDDESSSDVEILASDNIPFHEGGYTPFVPAKSYQSLTGDESWEGIKNFSLSQFREKLMNLLKKPYDQEEFDYLWREVTYKKPVQGVRDLRNGRMKAYSTKTDGKSYLDWYKKLKMKIDEFRCDRHKILCLLRGFFFWLENTAHEGSFQPWLDSLYLNALDDRRFSLN >EOY16672 pep chromosome:Theobroma_cacao_20110822:8:9531798:9532586:-1 gene:TCM_035503 transcript:EOY16672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSVHTHHQNIPREIHPLVIWFHLATTFSSVDCPHPPQRHQISPFLAPHPQTLPNENNPPTLLPAVKFQLHLFHSLFATERNSLRASTSFGRPDSQITKTPPRRGSLVPSMSSLCSKFRPKVKNVTRTSSPVEGLVLHITSKSFPIIHSFQ >EOY17391 pep chromosome:Theobroma_cacao_20110822:8:19936969:19959309:-1 gene:TCM_036548 transcript:EOY17391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein isoform 3 MSIIHLPNSDFLDTRDPHLCHIAISPLNNPRMATHPLLLSLFWVLFSTLFPPSLSLTVETQALLDFKNKLKDPLNVLDSWKESESPCRFFGVSCDPVSGKVTEISLGNKSLSGEVSPSISVLHSLTKLYLPQNAISGKIPAQLNECTNLIVLNLTWNKMVGIIPDLSGLKKLEFLDLTFNFFSGKFPSWVGNLTELRSLGLADNNYDEGEIPETIGNLKNLTWLFLAMSNLRGQIPASIFELKALQTLDISRNKISGDFPQSISKLKNLTKIELFMNNLTGELPPGIADLTLLQEIDISGNQMQGTLPEGIGNLKNLVVFQCYNNKYSGEIPAGFGDMRHLIGFSIYRNNFSGEFPANFGRFSPLDSFDISENQFTGDFPRFLCESRKLRLLLALENNFSGEFPDTYVDCKSLERFRINKNGLSGKIPDGLWALPYVRMIDFGDNDFTGGISPSIGFSISLNQLVLRNNRFSSNLPSELGKLTNLERLLLNNNNFSGNLPAEIGSLKLLSSLYLEQNRLTGSIPEELGDCVRLVYLNLADNDLSGNIPQTVALMSSLNSLNLSGNKLSGSIPKNLEKLKLSSIDLSANQLSGSVPYDLLTIGGDKAFLGNRELCIDQNVKSFRNDTVLNVCKEKQGQKRVLRGKLVFFITIAVALLLVLAGLLLVSYKNFKLSEADMENSLEGEKGVDPKWKLASFHQMDIDADEICNLDEENLIGSGSTGRVYRLDLKKKGAVVAVKRLWKGDGLNVLAAEMEILGKIRHRNILKLYACLMKAGSSFLVFEYMANGNVFQALRREKKGGQPELDWYQRYKIALGAAKGISYLHHDCSPPIIHRDIKSGNILLDEDYEPKIADFGVAKIAEKSPKGSEYSCFAGTHGYFAPELAYTPKVTEKSDVYSFGVVLLELVTGRGPVEEEYGEGKDIVYWVLTHLNNLESVLKVLDNEVASETVRDDMIKVLKVGILCTAKLPSSRPTMREVVKMLIDAEPCTFMSPDTQPDKIVKGFL >EOY17392 pep chromosome:Theobroma_cacao_20110822:8:19937692:19942950:-1 gene:TCM_036548 transcript:EOY17392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein isoform 3 MSIIHLPNSDFLDTRDPHLCHIAISPLNNPRMATHPLLLSLFWVLFSTLFPPSLSLTVETQALLDFKNKLKDPLNVLDSWKESESPCRFFGVSCDPVSGKVTEISLGNKSLSGEVSPSISVLHSLTKLYLPQNAISGKIPAQLNECTNLIVLNLTWNKMVGIIPDLSGLKKLEFLDLTFNFFSGKFPSWVGNLTELRSLGLADNNYDEGEIPETIGNLKNLTWLFLAMSNLRGQIPASIFELKALQTLDISRNKISGDFPQSISKLKNLTKIELFMNNLTGELPPGIADLTLLQEIDISGNQMQGTLPEGIGNLKNLVVFQCYNNKYSGEIPAGFGDMRHLIGFSIYRNNFSGEFPANFGRFSPLDSFDISENQFTGDFPRFLCESRKLRLLLALENNFSGEFPDTYVDCKSLERFRINKNGLSGKIPDGLWALPYVRMIDFGDNDFTGGISPSIGFSISLNQLVLRNNRFSSNLPSELGKLTNLERLLLNNNNFSGNLPAEIGSLKLLSSLYLEQNRLTGSIPEELGDCVRLVYLNLADNDLSGNIPQTVALMSSLNSLNLSGNKLSGSIPKNLEKLKLSSIDLSANQLSGSVPYDLLTIGGDKAFLGNRELCIDQNVKSFRNDTVLNVCKEKQGQKRVLRGKLVFFITIAVALLLVLAGLLLVSYKNFKLSEADMENSLEGEKGVDPKWKLASFHQMDIDADEICNLDEENLIGSGSTGRVYRLDLKKKGAVVAVKRLWKGDGLNVLAAEMEILGKIRHRNILKLYACLMKAGSSFLVFEYMANGNVFQALRREKKGGQPELDWYQRYKIALGAAKGISYLHHDCSPPIIHRDIKSGNILLDEDYEPKIADFGVAKIAEKSPKGSEYSCFAGTHGYFAPGEAGIYTKSH >EOY17393 pep chromosome:Theobroma_cacao_20110822:8:19938994:19942391:-1 gene:TCM_036548 transcript:EOY17393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein isoform 3 YVCCRQDGVGFIGNRMSIIHLPNSDFLDTRDPHLCHIAISPLNNPRMATHPLLLSLFWVLFSTLFPPSLSLTVETQALLDFKNKLKDPLNVLDSWKESESPCRFFGVSCDPVSGKVTEISLGNKSLSGEVSPSISVLHSLTKLYLPQNAISGKIPAQLNECTNLIVLNLTWNKMVGIIPDLSGLKKLEFLDLTFNFFSGKFPSWVGNLTELRSLGLADNNYDEGEIPETIGNLKNLTWLFLAMSNLRGQIPASIFELKALQTLDISRNKISGDFPQSISKLKNLTKIELFMNNLTGELPPGIADLTLLQEIDISGNQMQGTLPEGIGNLKNLVVFQCYNNKYSGEIPAGFGDMRHLIGFSIYRNNFSGEFPANFGRFSPLDSFDISENQFTGDFPRFLCESRKLRLLLALENNFSGEFPDTYVDCKSLERFRINKNGLSGKIPDGLWALPYVRMIDFGDNDFTGGISPSIGFSISLNQLVLRNNRFSSNLPSELGKLTNLERLLLNNNNFSGNLPAEIGSLKLLSSLYLEQNRLTGSIPEELGDCVRLVYLNLADNDLSGNIPQTVALMSSLNSLNLSGNKLSGSIPKNLEKLKLSSIDLSANQLSGSVPYDLLTIGGDKAFLGNRELCIDQNVKSFRNDTVLNVCKEKQGQKRVLRGKLVFFITIAVALLLVLAGLLLVSYKNFKLSEADMENSLEGEKGVDPKWKLASFHQMDIDADEICNLDEENLIGSGSTGRVYRLDLKKKGAVVAVKRLWKGDGLNVLAAEMEILGKIRHRNILKLYACLMKAGSSFLVFEYMANGNVFQALRREKKGGQPELDWYQRYKIALGAAKGISYLHHDCSPPIIHRDIKSGNILLDEDYEPKIADFGVAKIAEKSPKGSEYSCFAGTHGYFAP >EOY16878 pep chromosome:Theobroma_cacao_20110822:8:12648399:12650876:-1 gene:TCM_035810 transcript:EOY16878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFGWFMGHLGWYAVSISPNCREGGQEDVLSENLFDRRDLVSVDLFGWRIQRMREETGDYKALQVGVLTVITRLLASALYCVCVSPGVIRIDFPIDKRPGSVAAHALHLFYSAKPHTSLKLQSVANNLLLFFANLYVCMFK >EOY15886 pep chromosome:Theobroma_cacao_20110822:8:4949117:4949677:-1 gene:TCM_034817 transcript:EOY15886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLSHVQTSGWGLGLPRGVHSTCFRATIAGLQQCPIQGPQPPWYPNSQVVFLGSIPELLVPLRNVQRVFLSP >EOY14429 pep chromosome:Theobroma_cacao_20110822:8:311669:312980:1 gene:TCM_033818 transcript:EOY14429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein MEIDQLKSATEDQMEMMMMMQMDKLPEFYGAYNEVVELSPAELAGASSNSSNNSTSTATPHFVENPHVGNSPPFMNLPTNISFTGSTPVQEAGPPGFLSSPGSARWRGAGELSGANAFSTLSQKKNSMAAMREMIFRIAAMQPIHIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERVAANRPAGIGFPVAMSNGSFLPMGKAYQPTQNAPQFGDANHLAQ >EOY16118 pep chromosome:Theobroma_cacao_20110822:8:5855355:5859642:1 gene:TCM_034989 transcript:EOY16118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MELASASRQACCLLPVRLKSSKATSYGSSSASLSSTKNSNSSRIRCLSSKFSSCPALIVNQVRLRRPLAAVVGDKTAVPNDCDGEQVAGLDSFDSSPINDDVNEDEKGSVEGLDNSKMIRVCDKLIEVFMVDKPTPTDWRRLLAFSKEWSSIRPHFFKRCQDRADGEADPGMKHKLLRLGRKLKEIDEDVQRHNELLEVVKGAPSEVSEIVARRRKDFTKEFFVHLHTVAESCYDNPTEQNALAKLGNTCLAAVQAYDTATESIEAINAAELKFQDIINSPSLDVACQKIDSLAAKNQLDSALMLMITKAWSAAKESNMTKDEVKDILYHLYMTARGNLQRLLPKEIRIVKYLLAIEDPEERLCALNDAFTPGEELEGKDVDNLYTTPEKLHTLMRAVVDAYNFSQEGTLLREARDLMNPKIIEKLEELIKVVERNFM >EOY16119 pep chromosome:Theobroma_cacao_20110822:8:5855355:5859642:1 gene:TCM_034989 transcript:EOY16119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MELASASRQACCLLPVRLKSSKATSYGSSSASLSSTKNSNSSRIRCLSSKFSSCPALIVNQVRLRRPLAAVVGDKTAVPNDCDGEQVAGLDSFDSSPINDDVNEDEKGSVEGLDNSKMIRVCDKLIEVFMVDKPTPTDWRRLLAFSKEWSSIRPHFFKRCQDRADGEADPGMKHKLLRLGRKLKEIDEDVQRHNELLEVVKGAPSEVSEIVARRRKDFTKEFFVHLHTVAESCYDNPTEQNALAKLGNTCLAAVQAYDTATESIEAINAAELKFQDIINSPSLDVACQKIDSLAAKNQLDSALMLMITKAWSAAKESNMTKDEVKDILYHLYMTARGNLQRLLPKEIRIVKYLLAIEDPEERLCALNDAFTPGEELEGKDVDNLYTEAPYLDESRGGCV >EOY16802 pep chromosome:Theobroma_cacao_20110822:8:11362398:11363705:1 gene:TCM_035682 transcript:EOY16802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTDATLKGSSEVSSELSRNMSSSSAISTVKETHSLQITQHKLNRANFLEWSQSVMLVIRGNGKLGYLTGTKATPKEGATGHSA >EOY15337 pep chromosome:Theobroma_cacao_20110822:8:2931372:2933460:1 gene:TCM_034431 transcript:EOY15337 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein isoform 2 MASVRILQRCNLDCHKICSKCSANQTHNTKQVVLSIPISRRCAMLVSSVPFFLVSLPQFSEARERRNKKTIPIEDYLTTPEGLKYYDVVEGKGSVAEKGSTVQVHFDCIYRGITAVSSRESKLLAGNRIIAQPYEFKVGATPGKERKRDFVDNPNGLFSAQAAPKPPPAMYSITEGMKVGGKVMRTVIVPPEAGYGEKGMNEIPPGGTFELNIELLQVMPPEEK >EOY15336 pep chromosome:Theobroma_cacao_20110822:8:2931425:2933452:1 gene:TCM_034431 transcript:EOY15336 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein isoform 2 MASVRILQRCNLDCHKICSKCSANQTHNTKQVVLSIPISRRCAMLVSSVPFFLVSLPQFSEARERRNKKTIPIEDYLTTPEGLKYYDVVEGKGSVAEKGSTVQVHFDCIYRGITAVSSRESKLLAGNRIIAQPYEFKVGATPGKERKRDFVDNPNGLFSAQAAPKPPPAMYSITEGMKVGGKRTVIVPPEAGYGEKGMNEIPPGGTFELNIELLQVMPPEEK >EOY14873 pep chromosome:Theobroma_cacao_20110822:8:1533097:1536825:-1 gene:TCM_034121 transcript:EOY14873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin superfamily protein, putative isoform 1 MSLEDDNKPISVGPWGGQGGSSWDDGVYFTVRQLVIAHGSGIDSIQIEYDNKGNSIWSKNHGGGGGSMTDKVKLDYPDEFLTSVHGYYGILHERGPILVRSLTFFSNRKAYGPYGIEQGTSFSMTRGKIVGFHGRCGCFLDAIGTHSKPFSKLNPSKTIVHAQSFVADRAEKVGYSLIQGSVGEKYDIVLAVRQRDAYGNPLPRQLSRQSSSSSDDSSDVETRSKVRKKSTPCFPFYMRDINFFMFVALFEVSFRTPEKVPSKLPEGVLSYGPWGGNGGAKFDDGTYTGIRQITLSRNVGIVWIKACYDRDGQAVWGSKHGGTGGFKTDRIIFDYPSEILTHITGTFGPLMYMGPNVIKSLTFHTNKGKHGPYGDEQGPSFTNKMNEGRIIGFHGREGLFLDAVGVYVMEGKVPPPRPSISQAIIQSERSIAEIDNSPWSNKLVLARRGPVEEVACGVVKEPAPCGPGPWGGEGGRPWDDGVFSGIKQIFVTKSEAICSIQIEYDRNGQSVWSVKHGGHGGTTTHRVKLDHPHEVLICISGYYGPINNEEKSKVVRSLTFYSSRGKYGPFGEEIGTYFTSTTTEGKVVGFHGRNSSYLDAIGVHMQHWLGNQRTSRMSLFKIFS >EOY14872 pep chromosome:Theobroma_cacao_20110822:8:1533014:1536759:-1 gene:TCM_034121 transcript:EOY14872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin superfamily protein, putative isoform 1 MSLEDDNKPISVGPWGGQGGSSWDDGVYFTVRQLVIAHGSGIDSIQIEYDNKGNSIWSKNHGGGGGSMTDKVKLDYPDEFLTSVHGYYGILHERGPILVRSLTFFSNRKAYGPYGIEQGTSFSMTRGKIVGFHGRCGCFLDAIGTHSKPFSKLNPSKTIVHAQSFVADRAEKVGYSLIQGSVGEKYDIVLAVRQRDAYGNPLPRQLSRQSSSSSDDSSDVETRSKVSFRTPEKVPSKLPEGVLSYGPWGGNGGAKFDDGTYTGIRQITLSRNVGIVWIKACYDRDGQAVWGSKHGGTGGFKTDRIIFDYPSEILTHITGTFGPLMYMGPNVIKSLTFHTNKGKHGPYGDEQGPSFTNKMNEGRIIGFHGREGLFLDAVGVYVMEGKVPPPRPSISQAIIQSERSIAEIDNSPWSNKLVLARRGPVEEVACGVVKEPAPCGPGPWGGEGGRPWDDGVFSGIKQIFVTKSEAICSIQIEYDRNGQSVWSVKHGGHGGTTTHRVKLDHPHEVLICISGYYGPINNEEKSKVVRSLTFYSSRGKYGPFGEEIGTYFTSTTTEGKVVGFHGRNSSYLDAIGVHMQHWLGNQRTSRMSLFKIFS >EOY14871 pep chromosome:Theobroma_cacao_20110822:8:1533032:1536755:-1 gene:TCM_034121 transcript:EOY14871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin superfamily protein, putative isoform 1 MSLEDDNKPISVGPWGGQGGSSWDDGVYFTVRQLVIAHGSGIDSIQIEYDNKGNSIWSKNHGGGGGSMTDKVKLDYPDEFLTSVHGYYGILHERGPILVRSLTFFSNRKAYGPYGIEQGTSFSMTRGKIVGFHGRCGCFLDAIGTHSKPFSKLNPSKTIVHAQSFVADRAEKVGYSLIQGSVGEKYDIVLAVRQRDAYGNPLPRQLSRQSSSSSDDSSDVETRSKVSFRTPEKVPSKLPEGVLSYGPWGGNGGAKFDDGTYTGIRQITLSRNVGIVWIKACYDRDGQAVWGSKHGGTGGFKTDRIIFDYPSEILTHITGTFGPLMYMGPNVIKSLTFHTNKGKHGPYGDEQGPSFTNKMNEGRIIGFHGREGLFLDAVGVYVMEGKVPPPRPSISQAIIQSERSIAEIDNSPWSNKLVLARRGPVEEVACGVVKEPAPCGPGPWGGEGGRPWDDGVFSGIKQIFVTKSEAICSIQIEYDRNGQSVWSVKHGGHGGTTTHRVKLDHPHEVLICISGYYGPINNEEKSKVVRSLTFYSSRGKYGPFGEEIGTYFTSTTTEGKVVGFHGRNSSYLDAIGVHMQHWLGNQRTSRMSLFKIFS >EOY14862 pep chromosome:Theobroma_cacao_20110822:8:1496148:1499749:-1 gene:TCM_034115 transcript:EOY14862 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein isoform 1 MSLCFCSLIRSSPLIYDSNFPWNLNLNRSTYPISFSVHGNSRNLVARVVQHGLEVNNISLPSPTIEAEEEKEVIRELGTGAAGAELVEHEPKPVRVRKKRAGDDDNDDESFEDRFKLRNGKEVFEEKAYLVGVERKGETLDSFGIEESLKELAQLADTAGLMVVGSTYQKLSSPSPRTYIGSGKVAEIKSATHAFGVETVIFDDELSPGQLRNLEKAFGGDVRVCDRTALILDIFNQRAATHEAALQVALAQMEYQLPRLTRMWTHLERQAGGKVKGMGEKQIEVDKRILRTQIGVLKKELESVRKHRKQYRNRRFSVPVPVVSLVGYTNAGKSTLLNQLTGANVLSEDRLFATLDPTTRRVQMKNGSEFLLTDTVGFIQKLPTTLVAAFRATLEEISESSLLVHVVDISSAIP >EOY14860 pep chromosome:Theobroma_cacao_20110822:8:1496148:1499749:-1 gene:TCM_034115 transcript:EOY14860 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein isoform 1 MSLCFCSLIRSSPLIYDSNFPWNLNLNRSTYPISFSVHGNSRNLVARVVQHGLEVNNISLPSPTIEAEEEKEVIRELGTGAAGAELVEHEPKPVRVRKKRAGDDDNDDESFEDRFKLRNGKEVFEEKAYLVGVERKGETLDSFGIEESLKELAQLADTAGLMVVGSTYQKLSSPSPRTYIGSGKVAEIKSATHAFGVETVIFDDELSPGQLRNLEKAFGGDVRVCDRTALILDIFNQRAATHEAALQVALAQMEYQLPRLTRMWTHLERQAGGKVKGMGEKQIEVDKRILRTQIGVLKKELESVRKHRKQYRNRRFSVPVPVVSLVGYTNAGKSTLLNQLTGANVLSEDRLFATLDPTTRRVQMKNGSEFLLTDTVGFIQKLPTTLVAAFRATLEEISESSLLVHVVDISHPLAEQQIDAVEKVLSELDVSAIPRLMVWNKVDRVSDPQRIKLEAERSEDVVCASALTGDGLQEFCNAVQEKLK >EOY14861 pep chromosome:Theobroma_cacao_20110822:8:1497065:1499661:-1 gene:TCM_034115 transcript:EOY14861 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein isoform 1 MSLCFCSLIRSSPLIYDSNFPWNLNLNRSTYPISFSVHGNSRNLVARVVQHGLEVNNISLPSPTIEAEEEKEVIRELGTGAAGAELVEHEPKPVRVRKKRAGDDDNDDESFEDRFKLRNGKEVFEEKAYLVGVERKGETLDSFGIEESLKELAQLADTAGLMVVGSTYQKLSSPSPRTYIGSGKVAEIKSATHAFGVETVIFDDELSPGQLRNLEKAFGGDVRVCDRTALILDIFNQRAATHEAALQVALAQMEYQLPRLTRMWTHLERQAGGKVKGMGEKQIEVDKRILRTQIGVLKKELESVRKHRKQYRNRRFSVPVPVVSLVGYTNAGKSTLLNQLTGANVLSEDRLFATLDPTTRRVQMKNGSEFLLTDTVGFIQKLPTTLVAAFRATLEEISESSLLVHVVDIR >EOY14859 pep chromosome:Theobroma_cacao_20110822:8:1495577:1499852:-1 gene:TCM_034115 transcript:EOY14859 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein isoform 1 MSLCFCSLIRSSPLIYDSNFPWNLNLNRSTYPISFSVHGNSRNLVARVVQHGLEVNNISLPSPTIEAEEEKEVIRELGTGAAGAELVEHEPKPVRVRKKRAGDDDNDDESFEDRFKLRNGKEVFEEKAYLVGVERKGETLDSFGIEESLKELAQLADTAGLMVVGSTYQKLSSPSPRTYIGSGKVAEIKSATHAFGVETVIFDDELSPGQLRNLEKAFGGDVRVCDRTALILDIFNQRAATHEAALQVALAQMEYQLPRLTRMWTHLERQAGGKVKGMGEKQIEVDKRILRTQIGVLKKELESVRKHRKQYRNRRFSVPVPVVSLVGYTNAGKSTLLNQLTGANVLSEDRLFATLDPTTRRVQMKNGSEFLLTDTVGFIQKLPTTLVAAFRATLEEISESSLLVHVVDISHPLAEQQIDAVEKVLSELDVSAIPRLMVWNKVDRVSDPQRIKLEAERSEDVVCASALTGDGLQEFCNAVQEKLKDSMVPVEALVPFDKGELLNTIHQVGMVEKTEYTENGTLVKAFVPLRFARLLTPMRQLCKL >EOY15474 pep chromosome:Theobroma_cacao_20110822:8:3429105:3431443:-1 gene:TCM_034525 transcript:EOY15474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MWTDLLLQGAIILVTIFMFLAMHDIPKKIFTKIRYRNRADFQAKRHFVLGAQLLAQARSSKSRSSTTSLAKQAESEANKAISLDPKDAAAYILKALALDLQGYKTSALDSLDIALSPLAAKSLTDKERGDALFKRAELKMAMNRRGSGVDSAIEDLTKAVELGADNGKAFCLLGECYEIKKMKADAKAAFEEALKVEPSSNVARAAVDRLGSTCFHGLENNLEEQKKGHLAKNSVQQQGIAARNISDGFTALAIQFLHFFLAKCLQFRYLPVLQPAPSMSMPFWLAYTQSISNQPS >EOY14650 pep chromosome:Theobroma_cacao_20110822:8:867727:872307:1 gene:TCM_033961 transcript:EOY14650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MKEPVVLFLAIFLLSLITAQASKCNRSCGDQTVPYPFGFSAGCQIPLNCSSSSQKLIAGFPILTINADRIKISIEATCNRPLQALHRLYGPNYAPTSRNAILLQNCTSPAPCMIPSTMVYTHFEALACSPDSSNISCYSENNVNGFADYTKVTRTNCKSFLSSISAESFNESAVLEVRVVELGWWLQGQCSDFCSENAICDEIVSPFSGKPGFRCKCKHGFTGDGYRAGDGCRKAPSDCNLARYISGKCGGTTRVAVLVGGIAAGASLMICAVLLCCFVQRQSNSKARHSTKRFLCEASDISIPIYTYKEIEKATNSFSEKQRLGTGAFGTVYAGKLHNNSWVAIKRLKHRDTDCIEQVMNEIKLISSVSHPNLVRLLGCSIENSEQILVYEFMPNGTLCQHLQRERGDGLAWPVRLTIAAETAQAIAHLHSAIDPPIYHRDIKSSNILLDYNFRSKVADFGLSRLGRTEISHISTAPQGTPGYLDPQYHQNFHLSDKSDVYSFGVVLIEIITALKVVDFSRPPNEVNLAAVATDRISKGRLDEIIDPLIEPNSDSWTLSSIHKVAELAFRCLSFHRDMRPTMMEVAVELEQIRLSRWVPAEEITCAASSEVSPCSSSSNLSERPLSTAVKTAGLENRGLFMLQMSNVGCVNSMERLKDNSPVSVQDPWLSEQSSPSSSSLLNNVTH >EOY16001 pep chromosome:Theobroma_cacao_20110822:8:5366403:5367850:1 gene:TCM_034903 transcript:EOY16001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidases, putative MANDVALRSAIVWLAVIIVLVGVRTLSLQKMMITYAFGMVGIAGILLPDWDFFDRDFSRWCYPVTAEERAALLARRSGLIKRCRIYPMRLIIYTTIYGFGLYKWWTFISS >EOY15986 pep chromosome:Theobroma_cacao_20110822:8:5315454:5317161:-1 gene:TCM_034894 transcript:EOY15986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MILQFLKKKMTRCFSLTEAKNWCYRFSFNKSGLRSTITDLQDGTIMHCWVPKIRKDSKPNLLLIHGLGANAMWQWGDILRQMIPYFNIFIPDLLFFGESYTTRPERSESFQAQCVMRVMEKNSVRKLSLVGLSYGGFVGYCLAAQFSEAIERVMICCAGVCMEEKDLKEGVFRVSDLEEAARILVPQTPEKLRELMGFSLFKPPPLSLLPSCLLADFIGVMCTEYTEEKKELIRAIPNDRKISNIPKITQPTLILWGEYDQIFPLELGHRLKRHLGDNAHLVVIKDAGHAFNIEKPKEYYKHLKSFLVDWRPPPARPPPKNENRTLSCNAAEVQP >EOY15987 pep chromosome:Theobroma_cacao_20110822:8:5315444:5317309:-1 gene:TCM_034894 transcript:EOY15987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MILQFLKKKMTRCFSLTEAKNWCYRFSFNKSGLRSTITDLQDGTIMHCWVPKIRKDSKPNLLLIHGLGANAMWQWGDILRQMIPYFNIFIPDLLFFGESYTTRPERSESFQAQCVMRVMEKNSVRKLSLVGLSYGGFVGYCLAAQFSEAIERVMICCAGVCMEEKDLKEGVFRVSDLEEAARILVPQTPEKLRELMGFSLFKPPPLSLLPSCLLADFIGVMCTEYTEEKKELIRAIPNDRKISNIPKITQQPTLILWGEYDQIFPLELGHRLKRHLGDNAHLVVIKDAGHAFNIEKPKEYYKHLKSFLVDWRPPPARPPPKNENRTLSCNAAEVQP >EOY15402 pep chromosome:Theobroma_cacao_20110822:8:3151961:3155217:-1 gene:TCM_034476 transcript:EOY15402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSSSPFLLIISLCIEPGYPWIITKRYTVRGWSVRSKMMCPMLISAVGPIILSMISYFEWSSFKLLVFMLNIGCHLFFIVYILTAILISFFPFPFAKV >EOY16208 pep chromosome:Theobroma_cacao_20110822:8:6206281:6207207:1 gene:TCM_035047 transcript:EOY16208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNRAIGTFGNSALTVGLEGGAQCLTLVDPSHVALVWLMSVGSQSMVGQALTGLSFLSLEDISPSGQDLGPIIE >EOY16861 pep chromosome:Theobroma_cacao_20110822:8:12407339:12414528:1 gene:TCM_035789 transcript:EOY16861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad21/Rec8-like family protein isoform 3 MFFSKGLVSKKGPLGAIWVAAYFFKKLKKAQIFETNISTSVDNILQDQLDILTYRVLAYLLLGVVRIYSKKVEYLFDDCQEVLIKINEFVVREKNRAKKEALRARCFSITRPVSFDLDAFDLEILEETSGDNAVPHEDITLKDVAWKNAGIREYSLDRSEQFEALDDDFLMDDTLIEDFSCHLMNFKTESRTSHGVCDLELSMEKLRYDEEVAHLKTVSGVEEDPPNLVKVFDKSDRERVEVPDMAVLENHMTLKTSREKYNDRFLSEEGMNLHSEAEEDPLGPLKPLAEDQTKREKMKDPDLSKSDNEMHQEDHVSILEACTDVPDIAGSENHMEREASREKCNNRFFSEEGVNLRSEAVEECPSPFKPLAEDQADREKIKGPDLPQSENEVHQVMEENHVSILEACAEVPDIADSENHIGTEASREKPPGLIKTFGEGKTNREKMKGPDMVQSENEVHQVMEEDCVLEASQGKLQAFFHMDIEEPSPVVRPLAEEVQTGAELDNFPAMTTSEDGKSQVAAKDHSLLVTSYATPQSKLRGASGATTPHFMPIRTPVTKERARLSRKRKCVFDDMIVFPNDLMRQWIKDASDLVSKRRKCTALDARKTHRVFNLSQSFSEASVPCTSELKSLYYGKRLRLLESVKIMKSPEKIDVSEAPPIGGSFDEAEIAPETVEIRDPPAMLNLSKFPLFDGSSEQTGIAPQTPRRHSPPLVGGEQMEIAPQTPVPHSKSVRRLESPEYLKCANLFEVGHANLDPTESIEKESSLIEIVEKEPSLSKDEVLDLNLEIHSDEDDNQEQDGWSMRTRMVAKYLQRSFLGQRKRGEEETLKLSQILEGRTKKESVRLFYEILVCCSQ >EOY16860 pep chromosome:Theobroma_cacao_20110822:8:12406998:12415409:1 gene:TCM_035789 transcript:EOY16860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad21/Rec8-like family protein isoform 3 MFFSKGLVSKKGPLGAIWVAAYFFKKLKKAQIFETNISTSVDNILQDQLDILTYRVLAYLLLGVVRIYSKKVEYLFDDCQEVLIKINEFVVREKNRAKKEALRARCFSITRPVSFDLDAFDLEILEETSGDNAVPHEDITLKDVAWKNAGIREYSLDRSEQFEALDDDFLMDDTLIEDFSCHLMNFKTESRTSHGVCDLELSMEKLRYDEEVAHLKTVSGVEEDPPNLVKVFDKSDRERVEVPDMAVLENHMTLKTSREKYNDRFLSEEGMNLHSEAEEDPLGPLKPLAEDQTKREKMKDPDLSKSDNEMHQEDHVSILEACTDVPDIAGSENHMEREASREKCNNRFFSEEGVNLRSEAVEECPSPFKPLAEDQADREKIKGPDLPQSENEVHQVMEENHVSILEACAEVPDIADSENHIGTEASREKPPGLIKTFGEGKTNREKMKGPDMVQSENEVHQVMEEDCVLEASQGKLQAFFHMDIEEPSPVVRPLAEEVQTGAELDNFPAMTTSEDGKSQVAAKDHSLLVTSYATPQSKLRGASGATTPHFMPIRTPVTKERARLSRKRKCVFDDMIVFPNDLMRQWIKDASDLVSKRRKCTALDARKTHRVFNLSQSFSEASVPCTSELKSLYYGKRLRLLESVKIMKSPEKIDVSEAPPIGGSFDEAEIAPETVEIRDPPAMLNLSKFPLFDGSSEQTGIAPQTPRRHSPPLVGGEQMEIAPQTPVPHSKSVRRLESPEYLKCANLFEVGHANLDPTESIEKESSLIEIVEKEPSLSKDEVLDLNLEIHSDEDDNQEQDGWSMRTRMVAKYLQRSFLGQRKRGEEETLKLSQILEGRTKKESVRLFYEILVLKTKGLVNVKQDTAFDDILVQQSPQWDQTCRTDGK >EOY16862 pep chromosome:Theobroma_cacao_20110822:8:12407344:12414559:1 gene:TCM_035789 transcript:EOY16862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad21/Rec8-like family protein isoform 3 MFFSKGLVSKKGPLGAIWVAAYFFKKLKKAQIFETNISTSVDNILQDQLDILTYRVLAYLLLGVVRIYSKKVEYLFDDCQEVLIKINEFVVREKNRAKKEALRARCFSITRPVSFDLDAFDLEILEETSGDNAVPHEDITLKDVAWKNAGIREYSLDRSEQFEALDDDFLMDDTLIEDFSCHLMNFKTESRTSHGVCDLELSMEKLRYDEEVAHLKTVSGVEEDPPNLVKVFDKSDRERVEVPDMAVLENHMTLKTSREKYNDRFLSEEGMNLHSEAEEDPLGPLKPLAEDQTKREKMKDPDLSKSDNEMHQEDHVSILEACTDVPDIAGSENHMEREASREKCNNRFFSEEGVNLRSEAVEECPSPFKPLAEDQADREKIKGPDLPQSENEVHQVMEENHVSILEACAEVPDIADSENHIGTEASREKPPGLIKTFGEGKTNREKMKGPDMVQSENEVHQVMEEDCVLEASQGKLQAFFHMDIEEPSPVVRPLAEEVQTGAELDNFPAMTTSEDGKSQVAAKDHSLLVTSYATPQSKLRGASGATTPHFMPIRTPVTKERARLSRKRKCVFDDMIVFPNDLMRQWIKDASDLVSKRRKCTALDARKTHRVFNLSQSFSEASVPCTSELKSLYYGKRLRLLESVKIMKSPEKIDVSEAPPIGGSFDEAEIAPETVEIRDPPAMLNLSKFPLFDGSSEQTGIAPQTPRRHSPPLVGGEQMEIAPQTPVPHSKSVRRLESPEYLKCANLFEVGHANLDPTESIEKESSLIEIVEKEPSLSKDEVLDLNLEIHSDEDDRC >EOY17341 pep chromosome:Theobroma_cacao_20110822:8:19563226:19564471:1 gene:TCM_036501 transcript:EOY17341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MALRAEKLANENRRMRAELAKRKNLNMSSSQPLKRSKGSFVSGSAPSVSVTSSRPSFSQMQQRPPRFSGSAVTTSEKSFGGFDRCRECGRFHGGVCWGPLRCFHCGQTGHFRTNCPQLGQATVAALSSSTRTDLQMRDSSGAQPRQGVAIRPDVESNTPVYPPSKPLTRASTKVFTVMEDEARVQPRESE >EOY14783 pep chromosome:Theobroma_cacao_20110822:8:1254118:1256115:1 gene:TCM_034055 transcript:EOY14783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein 33 isoform 1 MEVRGQEHDIKAPGSSGFGHHSPGADRRRDGNHNGTAVLTCTETLDHVHRPQRQQSLGQGRSPHPDRVTASGAAVAPISVSSNTKPSSVIRYRECLKNHAASIGGNVYDGCGEFMPSGEEGTLEALKCAACDCHRNFHRKEVDGETQFGPNSSRRSLMLNPLQLPPPLPSPTMLHHHQRYSVHTSPSSAMVAPMNVAFGSGGGCGTESSSEDLMFQSNAEGMPPPPPYVLSKKRFRTKFTQEQKDKMLEFAEKLGWRINKQDEEEVEKFCAEVGVKRQVFKVWMHNNKNVKKQPQE >EOY14784 pep chromosome:Theobroma_cacao_20110822:8:1254118:1256309:1 gene:TCM_034055 transcript:EOY14784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein 33 isoform 1 MEVRGQEHDIKAPGSSGFGHHSPGADRRRDGNHNGTAVLTCTETLDHVHRPQRQQSLGQGRSPHPDRVTASGAAVAPISVSSNTKPSSVIRYRECLKNHAASIGGNVYDGCGEFMPSGEEGTLEALKCAACDCHRNFHRKEVDGETQFGPNSSRRSLMLNPLQLPPPLPSPTMLHHHQRYSVHTSPSSAMVAPMNVAFGSGGGCGTESSSEDLMFQSNAEGMPPPPPYVLSKKRFRTKFTQEQKDKMLEFAEKLGWRINKQDEEEVEKFCAEVGVKRQVFKVWMHNNKNVKKQPQE >EOY17331 pep chromosome:Theobroma_cacao_20110822:8:19456820:19469248:1 gene:TCM_036493 transcript:EOY17331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MAIVSTTCNTQAPLLEDTVVYGSVDCQGCPARRSTSGGWRSASFIIAVEVAERFAYFGISSNLITYLTGPLGQSTATAAENVNAWSGTATLLPLLGAFVADAYLGRYRTIIISSLIYILGLGLLTLSAMLTSLSNLDCQNTNNITSCSTPQILVFSFIFSLYLVAIGQGGHKPCVQAFGADQFDGNDPKESIARSSFFNWWYFTLSTGVLLSLVIIVYIQDNLSWALGFGILCILMLVALVVFLLGAKTYRYSVNVNKENPFRRIGQVFVFAVKNRRNALSVTVAEEEVLQPLPQQCSEQFKFLNKALLAQDGSSEDWKGCSLNDVEEAKGLLRLIPIWTTCLVYAIVFAQASTFFTKQGATMDRKLAQGLTIPAASLQLFTGVSIIIFIPLYDRIFVPITRTLTQKPSGITMLQRIGTGMFLSAFSMVIAALVEMKRLKTAQEYGLVDTPDVTIPMSVWWLVPQYLLFGLADVLTIVGLQEFFYDQVPNELKSVGLSLYLSVLGVGSFLSSFLVSVIDKATGGDGGESWFASNLNQAHLDYFYWLLAGLSSAGLAAFLFFSKSFVYTMGRSS >EOY17332 pep chromosome:Theobroma_cacao_20110822:8:19432997:19465744:1 gene:TCM_036493 transcript:EOY17332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MAIVSTTCNTQDPLLEETVVNGSVDCKGCPARRSTSGGWRSASFIIAVEVAERFACFGISSNLITYLTGPLGQSTATAAENVNAWSGTATLLPLLGAFVADAYLGRYRTIIISSLIYILGLGLLTLSAMLTSLSNLDCQNTNNITSCSTPQILVFSFIFSLYLVAIGQGGHKPCVQAFGADQFDGNDPKESIARSSFFNWWYFTLSTGVLLSLVIIVYIQDNLSWALGFGILCILMLVALVVFLLGAKTYRYSVNVNKENPFRRIGQVFVFAVKNRRNALSVTVAEEEVLQPLPQQCSEQFKFLNKALLAQDGSSEDWKGCSLNDVEEAKGLLRLIPIWTTCLVYAIVFAQASTFFTKQGATMDRKLAQGLTIPAASLQLFTGVSIIIFIPLYDRIFVPITRTLTQKPSGITMLQRIGTGMFLSAFSMVIAALVEMKRLKTAQEYGLVDTPDVTIPMSVWWLVPQYLLFGLADVLTIVGLQEFFYDQVPNELKSVGLSLYLSVLGVGSFLSSFLVSVIDKATGGDGGESWFASNLNQAHLDYFYWLLAGLSSAGLAAFLFFSKSFVYTMGRSS >EOY17501 pep chromosome:Theobroma_cacao_20110822:8:21330275:21336253:-1 gene:TCM_036717 transcript:EOY17501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSDSVQLPSHGGMIHSSSFDGCLATHLMPKELGHVSLMSQFYVIFMFIDIEHVYHDVANAWRILMNIMDECTNVA >EOY14419 pep chromosome:Theobroma_cacao_20110822:8:268993:272502:1 gene:TCM_033809 transcript:EOY14419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein MSFSDGVCQLNCLHSVFLTFVMFTLHVHSVSVNTYIVHMDKSFMPKIFASHHSWYSSTVDSLKSRNTALSSNPRTSPTLLYSYDSGAHGFSAVLSPDELETLKKSPGFVSAYPDKSVTLDTTHTPEFLSLNPYSGLWPASNYGEDIIIGVIDSGVWPESDSYKDDGMTPVPARWNGICEEGQEFNSSMCNSKLIGARYFNKGVIAANPGVNISMNSARDTIGHGTHTSSTAAGNYVKDASFFGYAKGTARGMAPRSRLAMYKVLWEEGRYSADVLAGMDQAIADGVDVISISMGFDEVPLYKDPIAIASFAAMEKGVLVSSSAGNEGPNLGTLHNGIPWVLTVAAGTIDRSFAGIVSLGDGQTITGWTLFPASALVKELPLIYNKSLSACNSSHLLSDAPDGIIICDNTGSLPIQIGRITRSRVYGAIFISDEPELLGSMPCPGVVISTEDAPALIKYATSIKDATASIKFQQTILGTKPAPAVASYTSRGPSPSYPGILKPDIMAPGSIVLAAYSPNSIAAIIGDYIFLSSNVAMPSGTSMACPHASGIAALLKGAHPDWSAAAIRSALVTTANTLDNTVKPIRDNGDDNLSFASPLAMGAGQIDPNQALDPGLIYDATPQDYVNLLCTMNFTRSQILTITRSKSYNCSNPSSDLNYPSFIALYNPNVTETVAKIFRRTVTNMGEGAATYNVKIVQPEGSTIVVSPETLVFKNTYEKQIFSVTISYSSHKKGRVSFGELVWVEENGKHTVRSPVVVSPINQRGLISSDTLLLRCNMWTTIGFINSLPEANFL >EOY14721 pep chromosome:Theobroma_cacao_20110822:8:1086893:1089766:-1 gene:TCM_034012 transcript:EOY14721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANPLQGKFLLVLFLFMFTSPPLSVVFVNANSVSIQNEHGHDQIPETKSSRDSNHQEVLLHKLEELVRNLSEVVSRLESKLSESSKVDDRHSVESSRSGFGKKDEISQRKYDGKAPIQEIEVRDGERGRAVSVTTFSPFWSERFQFMSAVKLDSDATCINVLPFRDYEGLSKYVAIGDDRGTVYVFLRNGDVVAEFYTRCDSPIMAMVSYMSVYKNESVVFTGHKNGVIMVHRIYEGLNGEESGSPVMETVGKFVAADSGEDGLPITTLEVHYVGRMRYILSTDLSGKIRVFRENGSLYGSAMPTSRPLVFLKQRLLFLTQTGAGSLDLRSMKIKESECEGLNHSLALNYVFDPTERSKAYGCTSDGDLIHVLLLGDIMNFKCRVRSKKKLELRQPLAFQAIKGYLLIVDQEKVFVYNVSTLHYVRAGSPRLLFSASLDEIRSSFLTYRGMDINNERRQVMPVIASDREKLVVLGLGGEYVGMYRSNLPVLKGESNMMLWTSPVLFFILFLFGAWQFFAKKKEALTSWGPDDPFSSTSAANGPPLVSSTGDRSFIDSSSRGAVDIDLRSSGLRGRRYGSPTRYPAGAPSSFGPNAADPSSRPASVDPNYRSAPELKFRGSALESTGFSKRRESLFVNSQVVDDNS >EOY14753 pep chromosome:Theobroma_cacao_20110822:8:1174587:1176767:1 gene:TCM_034033 transcript:EOY14753 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAL3-like protein A isoform 1 MAYPEPQNAVREMMQVNPTNRKPRILLAASGSVAAIKFGNLCHCFSEWAEVKAVVTKASLHFIDRASIPRDVSVYTDEDEWSSWKIIGDNVLHIELRRWADIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTFMWTNPFTEKHLMSIDELGISLIPPVTKRLACGDYGNGAMAEPSLIHSTIRLFLESRPLPSDGRAG >EOY14754 pep chromosome:Theobroma_cacao_20110822:8:1174706:1176770:1 gene:TCM_034033 transcript:EOY14754 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAL3-like protein A isoform 1 MAYPEPQNAVREMMQVNPTNRKPRILLAASGSVAAIKFGNLCHCFSEWAEVKAVVTKASLHFIDRASIPRDVSVYTDEDEWSSWKIIGDNVLHIELRRWADIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTFMWTNPFTEKHLMSIDELGISLIPPVTKRLACGDYGNGAMAEPSLIHSTIRLFLESRPLPSDGRAG >EOY15218 pep chromosome:Theobroma_cacao_20110822:8:2611539:2612117:1 gene:TCM_034361 transcript:EOY15218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 26 MERKSEPGKGKKAEQSHQPPHISPMQPVTRGAYGGGMYGTEEQGQTKRPEKPPASETQSADGPDEARYKPVNKPPPSSGDRDIDITGQSYIQ >EOY14365 pep chromosome:Theobroma_cacao_20110822:8:79760:86792:-1 gene:TCM_033764 transcript:EOY14365 gene_biotype:protein_coding transcript_biotype:protein_coding description:D3-type cyclin isoform 3 MALNHQNSTFVVDALYCSEENWDEEVGEDHFLEVEEESCYNNGINKSNPFPILLEQDLFWEDDELSSLLSKEEQNQLYDSLQTNGNPAGARREAVEWMLKVNAHYSFSALTAVLAVNYLDRFLFNFRFQNEKPWMSQLAAVACLSLAAKVEETQVPLLLDLQVEENRYVFEAKAIQRMEVLVLSTLQWKMNPVTPLSFLDYISRRLGLKDHLCWEFLRRCGRILLSVISDSRFMCYLPSVMATATMLHVVDSVEPNLRVEYQNQLLGILGIDKEKVDKCCKLIIELATRVQGNQSNKRRFSSIPGSPNGVMDLSFSSDSSNDSWAAASSVSSSPEPVSKKSRSQQEQLLRRLSHDPSDFLSIPR >EOY14367 pep chromosome:Theobroma_cacao_20110822:8:79739:81651:-1 gene:TCM_033764 transcript:EOY14367 gene_biotype:protein_coding transcript_biotype:protein_coding description:D3-type cyclin isoform 3 MALNHQNSTFVVDALYCSEENWDEEVGEDHFLEEEQNQLYDSLQTNGNPAGARREAVEWMLKVNAHYSFSALTAVLAVNYLDRFLFNFRFQNEKPWMSQLAAVACLSLAAKVEETQVPLLLDLQVEENRYVFEAKAIQRMEVLVLSTLQWKMNPVTPLSFLDYISRRLGLKDHLCWEFLRRCGRILLSVISDSRFMCYLPSVMATATMLHVVDSVEPNLRVEYQNQLLGILGIDKEKVDKCCKLIIELATRVQGNQSNKRRFSSIPGSPNGVMDLSFSSDSSNDSWAAASSVSSSPEPVSKKSRSQQEQLLRRLSHDPSDFLSIPR >EOY14366 pep chromosome:Theobroma_cacao_20110822:8:79739:81651:-1 gene:TCM_033764 transcript:EOY14366 gene_biotype:protein_coding transcript_biotype:protein_coding description:D3-type cyclin isoform 3 MALNHQNSTFVVDALYCSEENWDEEVGEDHFLEVEEESCYNNGINKSNPFPILLEQDLFWEDDELSSLLSKEEQNQLYDSLQTNGNPAGARREAVEWMLKVNAHYSFSALTAVLAVNYLDRFLFNFRFQNEKPWMSQLAAVACLSLAAKVEETQVPLLLDLQVEENRRLGLKDHLCWEFLRRCGRILLSVISDSRFMCYLPSVMATATMLHVVDSVEPNLRVEYQNQLLGILGIDKEKVDKCCKLIIELATRVQGNQSNKRRFSSIPGSPNGVMDLSFSSDSSNDSWAAASSVSSSPEPVSKKSRSQQEQLLRRLSHDPSDFLSIPR >EOY14430 pep chromosome:Theobroma_cacao_20110822:8:314558:321168:-1 gene:TCM_033819 transcript:EOY14430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEAVKPKDTKRNGSEEDAYRGRQAKEQENRKTYKEILMCNRAEEGDKKKAEVGKISETEKKNRLVIEEQELEWLNRSAMGQLRSRINCASVESRLFSEGIIMQVRPVGGLFVLVTFTNKEEMEEYLDRCPDLEPWFQSLSPYKADKDDRKYRVWVKIEEIPLHIWHDDSFKAIGDAWGNSISDSESQGLEKRQTNWWIEEEEGEHDEVVHRESELESKRRERDQALEKSQSRPASSDQYSVKSYGKVGNENYGKKKRGRGKKQRGGKDTRKQPRRKESENTRKKQQGEGENIAERSSKEREIQEIWNISRKLGLEYQKNKDEVIRMLAEIEGEERKRRLNREKEFVGRTGGNYERKGGHVATRGDFNTVRFEHEKTGRADVERSAAVFNEFIDNMTLLDLPLIGDNFTWCGFRERWVFSKLDRFLVDVEWLNGSQELVQQCLPSSLSDHCPVILRHSEMEWEPKPFKIFNFWMDEQSFQELVENNWKKNEQANNDRRRRNNQQAGYEEIRAKRVELWILYKKEEREWMQKSRVKWVNERDRNTRFFQATTLTRKRVNHIDKLNGAESIVEDPESIKREVVNHFQKLYSKQLVLDVKKMDWEMRTLKRESAEFWKNFLKKRKCGQQYKDVTATKLLDQTGRNQFSFIKGRQLMDCALIANEMVDWMRKTKWKVWIKECITTATISVLVNGSPTSQFKMERGLRQGCPLFSFLFNMVGEVLSGMLWKVEDLGMCKGVVVGDNGMSVTHLQYADDTIIFCEPKIENMLRVKWILRCFQSVSGLRINLSKSHLIGIGVEQNVVENWARRISCQIEEVSTTYLGLPLGVNHNSVKFWKPVIDRVGARLAGWKAKTLSLGGRVTLLRSVLISLPIFFISLFQVSIQVKNELEKIQRNFLWGGAELKRKIHYVKWDKVCNYEECGGIGITNIEVKNRALLNKWIWRYEKERDSLWREVLVAKTKSDPTMLLPSVTSNLGFAVGNGENIKFWQDEWMEGIILSDAVPRIFAQLFGWEIEQWEYLGEAFREFQLSKELTDELVWKREANGIYIAKSFCKYALVTNDKVDGIWKQVWANLAPYRVEAFAWQILHGKIAVKDELDKRGMLLGNAILCVLCNSERETIQHLFVDCYETWKVWMGWCKAWGVSWSSPKDIRTFFEAWNDCQVARLRVAVWGNAKWPKEFPSVLDTYRQLPTKNLNKTKVKERTKVEWELPQQGQMKFNVDGAARGCPRLTGIGAIKEAFIIFTSSRWRNDQKLVIECDSSNAVKWINQSHTAPWRMQKWLIQIERMKEKLVGWDVKHVRREANQRADSLANEGVQLQDDILRVYGNDTGDPAQENLDL >EOY16476 pep chromosome:Theobroma_cacao_20110822:8:7391554:7392163:1 gene:TCM_035245 transcript:EOY16476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMRVHPGQVNIEAGGAQRVRAEAETRFKAKNGSVFPKKKKKLVKKRMLDCVVKFFPSLFTSFKASQSKQTQMQKVKHC >EOY16505 pep chromosome:Theobroma_cacao_20110822:8:7914526:7917963:1 gene:TCM_035296 transcript:EOY16505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MCPFLKPIYGSQKFSLSHYTNLINHCLSLKSIQFAQTIHAQLIKFGFGRITFLGNCFVNLYFKVGSFNDASKVFDEINDKNIISWNIFLNGLLKFGHFKKACLMFDEMPAKDVVSWNSMISGCGWLGFWGYGLAVFKEMHNCGVRPSKFTFSILTTFVSCASQGKEIHGNIITSGVGLSNLVIGNSLIDMYGKLGLVDYAFGVFFNMEEVDVVSWNSLISGCCNSGYEDMALKQFDQMRFAGYSPDEFTISNVISVCTNLRNLDKGKEIFALCVKVGFISNSIVSSATIDLFSKCNRLEDSVKLFEEVERWDSVLCSSMISSYARHGLQDDALLLFVLSLREDCRPTEFAISIVLSCITFISAEQGHQVHSLVIKSGFESESIVACSLVDMYAKIGLIDPAMQIFSEMHVKDIISWNTLIMGLAHNGRAVETLEIYKELLREGPAPDRITLAGVLLACRYGAFVDIGMSIFSSMEEKFGVIPCDEHYACIVELLCHAGKVKEACDILEAMPFDPSYLVWESIVLATATYANPNLTESMAAKMIELEPQSSLPYLVLNHAYEMRGRWEGMIRVRKAMKSRLKKIVGCSWIGIQNHVYMFRADQLHHDGGRDIYLILRLLTWDLEEKGCIHLEHRIEGTEWNKSETN >EOY17479 pep chromosome:Theobroma_cacao_20110822:8:20968956:20973325:1 gene:TCM_036678 transcript:EOY17479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNVYEAHVVFAKKVETKLSYSSLVGEFSRSFLELILVTKSISPKVSRLELPWFEGSTSNFSFREEVSKYREKIDTVLRPCHQSPRFQRTSAQVLRPSHRCVETQLHQGFVMGKQVSR >EOY14844 pep chromosome:Theobroma_cacao_20110822:8:1441661:1447338:-1 gene:TCM_034102 transcript:EOY14844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein isoform 1 MISQLFVLSQRGDNIVFRDYRGEVQRGSAEIFFRKVRFWNDDGEGDAPPAFNVNGVNYFHVRVSGLLFVATTRVNVSPSLVLELLQRIARLIKDYLGVLNEDSLRKNFVLVYELLDEVLDFGYVQTTSTEVLKSYVFNAPVMIDAARMPSLGPAAIFMQGNKRMPGTAITKSVVVNEPGGRRREEIFVDVIEKISVTFSASGYILTSEIDGTIQMKSYLTGNPEILLALNDDLNIGRGDYRSSYGSGAVILDDCNFHESVQLNSFDIDRTLTLVPPDGEFSVMNYRMTQEFKPPFRINALLEEAGLLKAELILKVQAEFSSSITANSILVQMPVPTYTTRVSLDLEPGAVANTTDYKESSKRLEWGLKKIVGGSEHTLRAKLTFGQEPHGNITKEAGPVSMTFTIPMYNASRLQVKYLQMAKKSNTYNPYRWVRYVTEANSKSPRTVA >EOY14845 pep chromosome:Theobroma_cacao_20110822:8:1442165:1447338:-1 gene:TCM_034102 transcript:EOY14845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein isoform 1 MISQLFVLSQRGDNIVFRDYRGEVQRGSAEIFFRKVRFWNDDGEGDAPPAFNVNGVNYFHVRVSGLLFVATTRVNVSPSLVLELLQRIARLIKDYLGVLNEDSLRKNFVLVYELLDEVLDFGYVQTTSTEVLKSYVFNAPVMIDAARMPSLGPAAIFMQGNKRMPGTAITKSVVVNEPGGRRREEIFVDVIEKISVTFSASGYILTSEIDGTIQMKSYLTGNPEILLALNDDLNIGRDYRSSYGSGAVILDDCNFHESVQLNSFDIDRTLTLVPPDGEFSVMNYRMTQEFKPPFRINALLEEAGLLKAELILKVQAEFSSSITANSILVQMPVPTYTTRVSLDLEPGAVANTTDYKESSKRLEWGLKKRLLVDLSILSVQS >EOY16350 pep chromosome:Theobroma_cacao_20110822:8:6800137:6817717:-1 gene:TCM_035157 transcript:EOY16350 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 4 MSSRSHRSSNSPFRSRKSPVPSPSTKTAGRPVTPSPTTSSRPPSRLSSSPATSSSPSPGPSPPIVVLELPETTKSKENVTVTVRFRPLSPREINKGDEIAWYADGNFTVRNEFNPSIAYGFDRVFGPATTTRHVYDVAAQHVVSGAMQGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFATIQETPGREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPRGETNGEEDVTLSQLNLIDLAGSESSKAETTGLRRKEGSYINKSLLTLGTVISKLTDNKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSSSEETHNTLKFAHRSKHVEIKASQNKIMDEKSLIKKYQKEISSLKHELEQLKRGLMENPYMATSTQEDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNSMSSNIPERSGHRRRHSFGEDELAYLPDRKREYIIDDDAGSCASELSMEGRDDVTNLDELVKDYKRNRRRGMLGWFKLSKPENLAGQSLSADSGSSASGSPASCSKSLQDKVTFNDTKDVRRKSVSRRGDDPAIIDSFPERTQAGDLFSATVGGRHLPPSGTTITDQMDLLQEQMKMLAGEVALSISSLKRLSEKAASSPDDSQLREQMRKLKDEISEKRHQIRVLEQRMIGSVEKTPHTSNSAEMSQALSKLTTQLNEKTFELEIKSADNRILQEQLQRKISENAEMQETILLLRQQLNSLPDKSSKIPQESADNEASPEKTCSEELLQNNDGKTGIGSCKETYGDDNTPTSVMSLNRAFSQEDSKECDKSTLLNTQVLIQAAEIESLKQEKVKLTEEKDGFEIHSNKLAEEASYAKELAAAAAVELRNLAEEVTRLSYENAKLNGELAAAKEARCRSNCCQRTAPHDFRQNNMGGARPEGRPRKQENGILIGELQKELNMRHQREAALEAALSESEQKEGDLRRRINESKRREEDLENELANMWVLVAKMRKPGVNAEDILSNISQTGERNGLLPSNDRSFKLFKEEENCENLHGMKTYEELRACYREERRRCEELERLVSRMKGEDISGLDVTTLEELQNFHVEAITKICHAKCANYIL >EOY16351 pep chromosome:Theobroma_cacao_20110822:8:6798247:6814850:-1 gene:TCM_035157 transcript:EOY16351 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 4 MSSRSHRSSNSPFRSRKSPVPSPSTKTAGRPVTPSPTTSSRPPSRLSSSPATSSSPSPGPSPPIVVLELPETTKSKENVTVTVRFRPLSPREINKGDEIAWYADGNFTVRNEFNPSIAYGFDRVFGPATTTRHVYDVAAQHVVSGAMQGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFATIQETPGREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPRGETNGEEDVTLSQLNLIDLAGSESSKAETTGLRRKEGSYINKSLLTLGTVISKLTDNKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSSSEETHNTLKFAHRSKHVEIKASQNKIMDEKSLIKKYQKEISSLKHELEQLKRGLMENPYMATSTQEDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNSMSSNIPERSGHRRRHSFGEDELAYLPDRKREYIIDDDAGSCASELSMEGRDDVTNLDELVKDYKRNRRRGMLGWFKLSKPENLAGQSLSADSGSSASGSPASCSKSLQDKVTFNDTKDVRRKSVSRRGDDPAIIDSFPERTQAGDLFSATVGGRHLPPSGTTITDQMDLLQEQMKMLAGEVALSISSLKRLSEKAASSPDDSQLREQMRKLKDEISEKRHQIRVLEQRMIGSVEKTPHTSNSAEMSQALSKLTTQLNEKTFELEIKSADNRILQEQLQRKISENAEMQETILLLRQQLNSLPDKSSKIPQESADNEASPEKTCSEELLQNNDGKTGIGSCKETYGDDNTPTSVMSLNRAFSQEDSKECDKSTLLNTQVLIQAAEIESLKQEKVKLTEEKDGFEIHSNKLAEEASYAKELAAAAAVELRNLAEEVTRLSYENAKLNGELAAAKEARCRSNCCQRTAPHDFRQNNMGGARPEGRPRKQENGILIGELQKELNMRHQREAALEAALSESEQKEGDLRRRINESKRREEDLENELANMWVLVAKMRKPGVNAEDILSNISQTGERNGLLPSNDRSFKLFKEEENCENLHGMKTYEELRACYREERRRCEELERLVSRMKGEDISGLDVTTLEELQNFHVEAITKICHAKCANYIL >EOY16352 pep chromosome:Theobroma_cacao_20110822:8:6799302:6812252:-1 gene:TCM_035157 transcript:EOY16352 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 4 GEQKSPGIIPLAVKDVFATIQETPGREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPRGETNGEEDVTLSQLNLIDLAGSESSKAETTGLRRKEGSYINKSLLTLGTVISKLTDNKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSSSEETHNTLKFAHRSKHVEIKASQNKIMDEKSLIKKYQKEISSLKHELEQLKRGLMENPYMATSTQEDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNSMSSNIPERSGHRRRHSFGEDELAYLPDRKREYIIDDDAGSCASELSMEGRDDVTNLDELVKDYKRNRRRGMLGWFKLSKPENLAGQSLSADSGSSASGSPASCSKSLQDKVTFNDTKDVRRKSVSRRGDDPAIIDSFPERTQAGDLFSATVGGRHLPPSGTTITDQMDLLQEQMKMLAGEVALSISSLKRLSEKAASSPDDSQLREQMRKLKDEISEKRHQIRVLEQRMIGSVEKTPHTSNSAEMSQALSKLTTQLNEKTFELEIKSADNRILQEQLQRKISENAEMQETILLLRQQLNSLPDKSSKIPQESADNEASPEKTCSEELLQNNDGKTGIGSCKETYGDDNTPTSVMSLNRAFSQEDSKECDKSTLLNTQVLIQAAEIESLKQEKVKLTEEKDGFEIHSNKLAEEASYAKELAAAAAVELRNLAEEVTRLSYENAKLNGELAAAKEARCRSNCCQRTAPHDFRQNNMGGARPEGRPRKQENGILIGELQKELNMRHQREAALEAALSESEQKEGDLRRRINESKRREEDLENELANMWVLVAKMRKPGVNAEDILSNISQTGERNGLLPSNDRSFKLFKEEENCENLHGMKTYEELRACYREERRRCEELERLVSRMKG >EOY16349 pep chromosome:Theobroma_cacao_20110822:8:6799302:6817717:-1 gene:TCM_035157 transcript:EOY16349 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 4 MSSRSHRSSNSPFRSRKSPVPSPSTKTAGRPVTPSPTTSSRPPSRLSSSPATSSSPSPGPSPPIVVLELPETTKSKENVTVTVRFRPLSPREINKGDEIAWYADGNFTVRNEFNPSIAYGFDRVFGPATTTRHVYDVAAQHVVSGAMQGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFATIQETPGREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPRGETNGEEDVTLSQLNLIDLAGSESSKAETTGLRRKEGSYINKSLLTLGTVISKLTDNKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSSSEETHNTLKFAHRSKHVEIKASQNKIMDEKSLIKKYQKEISSLKHELEQLKRGLMENPYMATSTQEDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNSMSSNIPERSGHRRRHSFGEDELAYLPDRKREYIIDDDAGSCASELSMEGRDDVTNLDELVKDYKRNRRRGMLGWFKLSKPENLAGQSLSADSGSSASGSPASCSKSLQDKVTFNDTKDVRRKSVSRRGDDPAIIDSFPERTQAGDLFSATVGGRHLPPSGTTITDQMDLLQEQMKMLAGEVALSISSLKRLSEKAASSPDDSQLREQMRKLKDEISEKRHQIRVLEQRMIGSVEKTPHTSNSAEMSQALSKLTTQLNEKTFELEIKSADNRILQEQLQRKISENAEMQETILLLRQQLNSLPDKSSKIPQESADNEASPEKTCSEELLQNNDGKTGIGSCKETYGDDNTPTSVMSLNRAFSQEDSKECDKSTLLNTQVLIQAAEIESLKQEKVKLTEEKDGFEIHSNKLAEEASYAKELAAAAAVELRNLAEEVTRLSYENAKLNGELAAAKEARCRSNCCQRTAPHDFRQNNMGGARPEGRPRKQENGILIGELQKELNMRHQREAALEAALSESEQKEGDLRRRINESKRREEDLENELANMWVLVAKMRKPGVNAEDILSNISQTGERNGLLPSNDRSFKLFKEEENCENLHGMKTYEELRACYREERRRCEELERLVSRMKGEDISGLDVTTLEELQNFHVEAITKICHAKCANYIL >EOY15776 pep chromosome:Theobroma_cacao_20110822:8:4600440:4602090:-1 gene:TCM_034742 transcript:EOY15776 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MSWWWAGAIGAAKKKFEEGEAPISFQSVGLVVGVTGIVGNSLAEILPLTDTPGGPWKVYGVARRPRPNWSMDHPIEYIQCDISDPKDTESKLSPLTDVTYIFYVSWINRSSEAENCEINGSMLRNVLRSVIPNAPNLRHVCLQTGTKNYLGPFELLGKIQPHDPPFTEDLPRLNAPNFYYTQEDILFEETEKKEGLTWSVHRPDVIFGFSPYSVMNIVGTLCVYAAICKHEGQPLWFPGIRAAWESYSNGSDADLIAEHQIWAAVDPYAKNEAFNVNNGDVFKWKHLWRALAEQFGIEEFGFEEGQNLGLVEMMKGKERVWEEIVKENQLEETRLEEVGLWWFVDLILAGEPPLSSMNKSKEHGFLGFRNSKNSFITWIDKMKAYKIVP >EOY16991 pep chromosome:Theobroma_cacao_20110822:8:15811871:15827909:-1 gene:TCM_036081 transcript:EOY16991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDKTRVVRLKVKWMAPQKGWVKFNIDGVSFGNPRKASIGGLLRDHKGSIKVKYLKDIEKTLTSEEEGDWFVYEVELQGGSILRRRLKDPGLLISSDMVVKEFISSKKRKSKWKTKEVKQIMGGSRVIWVLRGDFNAIKREEERIGKGNISRTAKRFDEFINEVGLMDLSMVGGRFTWSNNRKGITFSLIDYFFVDVEFANDTMIFCKLEVQGLINTKRILRCFQAMSRLKINFYYSNVQLVVKDGSRILFWEDNWMERQPLKVRFPRIYALAINKEGYIQDYGKWDEELWVWEVQLRRQPFGWEEEQWSWFKQATEEYHLSRKLEDTLAWKGAPSSQHTIESFCKLN >EOY14848 pep chromosome:Theobroma_cacao_20110822:8:1461016:1461440:1 gene:TCM_034105 transcript:EOY14848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide upstream open reading frame 5 MSPVVSEILRSGFMINSSLRRRTHLVQSFSVVFLYWFYVFS >EOY17153 pep chromosome:Theobroma_cacao_20110822:8:18092414:18094017:-1 gene:TCM_036318 transcript:EOY17153 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-4-beta-mannan endohydrolase, putative MILKKPLIFTEFGKSKKDEGYSINDRDSFFNTVYMNIYELAGNGGRIGGGLVWQIAAEGMESYYDGYEIVLSQDRSTGSVLSQQARKMAMLERILRSFQ >EOY15302 pep chromosome:Theobroma_cacao_20110822:8:2848218:2851608:1 gene:TCM_034411 transcript:EOY15302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 706, subfamily A, polypeptide 6, putative MTLIPSSVRFDYSYSKIWSWLSKNTNGIDDFSRLFFTLVGVFAIFWYTWMYIKSNNKGKPTSPPGPRGLPLVGNLPFLQPDLHSYFAELARTYGPVVKLQLGCKIGILVTSPSTAREVLRDQDIVFANRDVPAAAMLVTGGRDIAWNPYGPEWRMLRKICVLKMLSNATLDKVYSLRRREVRETVGYIHSKTGSPVNVGEQMFLTILNVVTSMLWGGTVEGDARASLGAEFRHVISEVTEIFGLPNISDFFPVLAPLDLQGLIKRMGKSVEKLNGIVDKIIDQRLKVERESGSTTVEFKDFLQFLLQLKDEEDSKTPMTMDHIKALLLDMVVGGSDTSSNSIEFTLAEVMNKPEVMRKAQQELDEAVGKENIVEETHVHKVFVNAWAIHRDPSVWENPLEFNPDRFLNSKWDFSGSDFNYFPFGSGRRSCAGIAMAERMVLYSIATLLHSFDWKVPEGKKLDTTEKFGIVLKLKNPLVAIPTPRLPNPALYE >EOY14734 pep chromosome:Theobroma_cacao_20110822:8:1125166:1127050:1 gene:TCM_034021 transcript:EOY14734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dutp-pyrophosphatase-like 1 MLVFKPIRGNPLPVCFSFSRSFKMAQADQLNTSPEIKEPSTKVPKLSQNGMHEVSQGVVSVLRVKKLSEKAVLPSRGSPLAAGYDLSSATDTKVPARGKALVPTDLSIAIPEGTYGRVAPRSGLAWKHSIDVGAGVIDADYRGPLGVILFNHSDVDFEVKVGDRIAQLIVEKIMTPDVLEVDDLDSTARGDGGFGSTGV >EOY17090 pep chromosome:Theobroma_cacao_20110822:8:17753676:17758962:1 gene:TCM_036267 transcript:EOY17090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSFTNKASQWNKEVFGNIFYRKKRLLTRLGGVEKILKSQPPRHLREIEHNLKVKYENVLQQEEAF >EOY17063 pep chromosome:Theobroma_cacao_20110822:8:17272768:17274741:1 gene:TCM_036209 transcript:EOY17063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginase isoform 1 MSAIGRSRGIHYLQKLSAANIPSDLIEKGQSRVIDASLTLIREKAKLKGELVRALGGSLASTSLLGVPLGHNSSFLQGPAFAPPRIREAMWCGSTNSTTEEGKELKDPRVLTDVGDLAVQEIRDCGVDDDRLMNVVSESVKIVMEEDPLRPLVLGGDHSISYPVVRAVSQKLGGPVDILHLDAHPDIYDAFEGNKYSHASPFARIMEGGYARRLLQVGIRSINSEGREQGKRFGVEQYEMRTFSRDRHFLEHLVSLFLIFFSLVFFTWLTCFHSSTSLHKIFCLRIED >EOY17061 pep chromosome:Theobroma_cacao_20110822:8:17220902:17275216:1 gene:TCM_036209 transcript:EOY17061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginase isoform 1 MSAIGRSRGIHYLQKLSAANIPSDLIEKGQSRVIDASLTLIREKAKLKGELVRALGGSLASTSLLGVPLGHNSSFLQGPAFAPPRIREAMWCGSTNSTTEEGKELKDPRVLTDVGDLAVQEIRDCGVDDDRLMNVVSESVKIVMEEDPLRPLVLGGDHSISYPVVRAVSQKLGGPVDILHLDAHPDIYDAFEGNKYSHASPFARIMEGGYARRLLQVGIRSINSEGREQGKRFGVEQYEMRTFSRDRHFLEHLKLGEGVKGVYISVDVDCLDPAFAPGVSHFEPGGLSFRDVLNILHNLQGNLVAADVVEYNPQRDAVDGLTAMVAAKLVRELAAKMSK >EOY17062 pep chromosome:Theobroma_cacao_20110822:8:17272582:17275266:1 gene:TCM_036209 transcript:EOY17062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginase isoform 1 MSAIGRSRGIHYLQKLSAANIPSDLIEKGQSRVIDASLTLIREKAKLKGELVRALGGSLASTSLLGVPLGHNSSFLQGPAFAPPRIREAMWCGSTNSTTEEGKELKDPRVLTDVGDLAVQEIRDCGVDDDRLMNVVSESVKIVMEEDPLRPLVLGGDHSISYPVVRAVSQKLGGPVDILHLDAHPDIYDAFEGNKYSHASPFARIMEGGYARRLLQVGIRSINSEGREQGKRNWGKE >EOY17060 pep chromosome:Theobroma_cacao_20110822:8:17272582:17275266:1 gene:TCM_036209 transcript:EOY17060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginase isoform 1 MSAIGRSRGIHYLQKLSAANIPSDLIEKGQSRVIDASLTLIREKAKLKGELVRALGGSLASTSLLGVPLGHNSSFLQGPAFAPPRIREAMWCGSTNSTTEEGKELKDPRVLTDVGDLAVQEIRDCGVDDDRLMNVVSESVKIVMEEDPLRPLVLGGDHSISYPVVRAVSQKLGGPVDILHLDAHPDIYDAFEGNKYSHASPFARIMEGGYARRLLQVGIRSINSEGREQGKRFGVEQYEMRTFSRDRHFLEHLKLGEGVKGVYISVDVDCLDPAFAPGVSHFEPGGLSFRDVLNILHNLQGNLVAADVVEYNPQRDAVDGLTAMVAAKLVRELAAKMSK >EOY15262 pep chromosome:Theobroma_cacao_20110822:8:2744785:2749813:-1 gene:TCM_034392 transcript:EOY15262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain superfamily protein isoform 2 MDDFDIFSDKSLVTQARAGAKFQPRAKLKSRKENVASIPCNKKEEVVTQSLSSLDNRLTSPRGLSVDTSTTSGTEESLKTNYEDLSQIAVNKADDVGFIDAPRSDIPVTVNDHDSHSVPNIWAKDVDFDLDPFDDVANQVTNNGRAGGKFEPKTRPKACIVDPDGVVDDCGSSEVMTSSQVAVTDSLLSEVAVSNGCHDSHSSFGRSVGENADIFSGLECLDQFLTQSSNNNGGIQIDDEGTGAQEAGAFPDVETQDIMSGATIASGAFIPPDPSTSEFPVNEELTNLTEASNPGVTLSGDFPSMPGKLSSNSRKREASPIPNPSQKSKQSSASDGGNENGKATKRLRKQVTSPKLVDDHEDGTCNDEGLATEPPTSSAIDEDRDDGDDDDEYNAESAFSKRRTSRRSKKPMAENEKPPRKRKTAKEKQVEKQKKVNEASDQPTEEQPRKFSHSTRRKRRFVDESLLHTPEDEIDFAKVALKDIILLADYKERIAKKEAKASKIPLTNQSTKNTFPEENAHNEESSIASEQDQGFTDDQMSGGAQSSSFFLNYQSYMDKEPRAKWTKQDTELFYGAIRQFGPDFSLIQQLFPGRSRHQIKLKFKNEERRYPLRLSEALASRTKDHSYFEKVIEQLQQVAGQAERESTGDVSMDLTREEAELTPEANGKATKAEQDEDEAVGDQQADVAEDRSTFKSDETDDDDHEDILSSYRSAF >EOY15261 pep chromosome:Theobroma_cacao_20110822:8:2744389:2751158:-1 gene:TCM_034392 transcript:EOY15261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain superfamily protein isoform 2 MDDFDIFSDKSLVTQARAGAKFQPRAKLKSRKENVASIPCNKKEEVVTQSLSSLDNRLTSPRGLSVDTSTTSGTEESLKTNYEDLSQIAVNKADDVGFIDAPRSDIPVTVNDHDSHSVPNIWAKDVDFDLDPFDDVANQVTNNGRAGGKFEPKTRPKACIVDPDGVVDDCGSSEVMTSSQVAVTDSLLSEVAVSNGCHDSHSSFGRSVGENADIFSGLECLDQFLTQSSNNNGGIQIDDEGTGAQEAGAFPDVETQDIMSGATIASGRHAGKFKPKTRLQTSVVPSQPAVVDIVMHPPNSPFVPSETMSVENSIPDLSPMDLGAFIPPDPSTSEFPVNEELTNLTEASNPGVTLSGDFPSMPGKLSSNSRKREASPIPNPSQKSKQSSASDGGNENGKATKRLRKQVTSPKLVDDHEDGTCNDEGLATEPPTSSAIDEDRDDGDDDDEYNAESAFSKRRTSRRSKKPMAENEKPPRKRKTAKEKQVEKQKKVNEASDQPTEEQPRKFSHSTRRKRRFVDESLLHTPEDEIDFAKVALKDIILLADYKERIAKKEAKASKIPLTNQSTKNTFPEENAHNEESSIASEQDQGFTDDQMSGGAQSSSFFLNYQSYMDKEPRAKWTKQDTELFYGAIRQFGPDFSLIQQLFPGRSRHQIKLKFKNEERRYPLRLSEALASRTKDHSYFEKVIEQLQQVAGQAERESTGDVSMDLTREEAELTPEANGKATKAEQDEDEAVGDQQADVAEDRSTFKSDETDDDDHEDILSSYRSAF >EOY15263 pep chromosome:Theobroma_cacao_20110822:8:2742792:2751158:-1 gene:TCM_034392 transcript:EOY15263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain superfamily protein isoform 2 MDDFDIFSDKSLVTQARAGAKFQPRAKLKSRKENVASIPCNKKEEVVTQSLSSLDNRLTSPRGLSVDTSTTSGTEESLKTNYEDLSQIAVNKADDVGFIDAPRSDIPVTVNDHDSHSVPNIWAKDVDFDLDPFDDVANQVTNNGRAGGKFEPKTRPKACIVDPDGVVDDCGSSEVMTSSQVAVTDSLLSEVAVSNGCHDSHSSFGRSVGENADIFSGLECLDQFLTQSSNNNGGIQIDDEGTGAQEAGAFPDVETQDIMSGATIASDPSTSEFPVNEELTNLTEASNPGVTLSGDFPSMPGKLSSNSRKREASPIPNPSQKSKQSSASDGGNENGKATKRLRKQVTSPKLVDDHEDGTCNDEGLATEPPTSSAIDEDRDDGDDDDEYNAESAFSKRRTSRRSKKPMAENEKPPRKRKTAKEKQVEKQKKVNEASDQPTEEQPRKFSHSTRRKRRFVDESLLHTPEDEIDFAKVALKDIILLADYKERIAKKEAKASKIPLTNQSTKNTFPEENAHNEESSIASEQDQGFTDDQMSGGAQSSSFFLNYQSYMDKEPRAKWTKQDTELFYGAIRQFGPDFSLIQQLFPGRSRHQIKLKFKNEERRYPLRLSEALASRTKDHSYFEKVIEQLQQVAGQAERESTGDVSMDLTREEAELTPEANGKATKAEQDEDEAVGDQQADVAEDRSTFKSDETDDDDHEDILSSYRSAF >EOY16844 pep chromosome:Theobroma_cacao_20110822:8:11896199:11904108:-1 gene:TCM_035743 transcript:EOY16844 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine carrier 2 MDPRTVSSNVSTSQTISPDGLRYKKCDPHKEESKLSASVHVGDENPFHFFRVFYESVIAGATAGVVVEAALYPIDTIKTRLQAARGGGKEILKGLYSGLGGNLAGVLPASAIFLGVYEPAKQKLLKAFPENMSAFAHLTAGALGGAASSLVRVPTEVVKQRMQTGQFASAPAAVRLIVAKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLAAQRDLNDPENAILGAFAGAITGAVTTPLDVIKTRLMVQGSAKQYKGIFDCVRTIVKEEGTHALLKGIGPRVLWIGLGGSIFFGVLEKTKQMLAERRPENQKSFSFKQN >EOY15712 pep chromosome:Theobroma_cacao_20110822:8:4350023:4352096:-1 gene:TCM_034695 transcript:EOY15712 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZCW7 isoform 1 MRPLETLPPTETLEIENGLSLAPRVKLNLTIHPSLPSISKPIDEWQLKRALIDFLKTSLSVSVTVPEEDLQIRRLKDLKKRKRDEPVAHGALFIRDLGFLNSRKKGEESDKEEEDVKELEKKFLDWRRYVAENMDGIELNLEGVKYNLSVEIPASDDFDRMRKDWEELYAFGNRGYSKGGRQEPDTIVLRGVPSRWFAEPRVSSKPSMLVTHTIFSAFGKIRNLNVAEDDDLSKGTDEDDLDIVSGLHCKIVVQFEKYRDFYNALKVLCGRSLQKQGSRLSADYEVKWDKDGFFRNSRSQNQERSSRMQEPAAVRYKTEAPRREPHISQFTTNDTRRKRFKVSSHLNQ >EOY15710 pep chromosome:Theobroma_cacao_20110822:8:4349206:4352127:-1 gene:TCM_034695 transcript:EOY15710 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZCW7 isoform 1 MRPLETLPPTETLEIENGLSLAPRVKLNLTIHPSLPSISKPIDEWQLKRALIDFLKTSLSVSVTVPEEDLQIRRLKDLKKRKRDEPVAHGALFIRDLGFLNSRKKGEESDKEEEDVKELEKKFLDWRRYVAENMDGIELNLEGVKYNLSVEIPASDDFDRMRKDWEELYAFGNRGYSKGGRQEPDTIVLRGVPSRWFAEPRVSSKPSMLVTHTIFSAFGKIRNLNVAEDDDLSKGTDEDDLDIVSGLHCKIVVQFEKYRDFYNALKVLCGRSLQKQGSRLSADYEVKWDKDGFFRNSRSQNQERSSRMQEPAAVRYKTEAPRREPHISQFTTNDTRRKRFKE >EOY15711 pep chromosome:Theobroma_cacao_20110822:8:4349636:4352287:-1 gene:TCM_034695 transcript:EOY15711 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZCW7 isoform 1 MRPLETLPPTETLEIENGLSLAPRVKLNLTIHPSLPSISKPIDEWQLKRALIDFLKTSLSVSVTVPEEDLQIRRLKDLKKRKRDEPVAHGALFIRDLGFLNSRKKGEESDKEEEDVKELEKKFLDWRRYVAENMDGIELNLEGVKYNLSVEIPASDDFDRMRKDWEELYAFGNRGYSKGGRQEPDTIVLRGVPSRWFAEPRVSSKPSMLVTHTIFSAFGKIRNLNVAEDDDLSKGTDEDDLDIVSGLHCKIVVQFEKYRDFYNALKVLCGRSLQKQGSRLSADYEVKWDKDGFFRNSRSQNQERSSRMQEPAAVRYKTEAPRREPHISQFTTNDTRRKRFKVRIEILLHVLKDFCRLIYEDRIAPK >EOY15713 pep chromosome:Theobroma_cacao_20110822:8:4350218:4352287:-1 gene:TCM_034695 transcript:EOY15713 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZCW7 isoform 1 MRPLETLPPTETLEIENGLSLAPRVKLNLTIHPSLPSISKPIDEWQLKRALIDFLKTSLSVSVTVPEEDLQIRRLKDLKKRKRDEPVAHGALFIRDLGFLNSRKKGEESDKEEEDVKELEKKFLDWRRYVAENMDGIELNLEGVKYNLSVEIPASDDFDRMRKDWEELYAFGNRGYSKGGRQEPDTIVLRGVPSRWFAEPRVSSKPSMLVTHTIFSAFGKIRNLNVAEDDDLSKGTDEDDLDIVSGLHCKIVVQFEKYRDFYNALKVLCGRSLQKDLD >EOY15812 pep chromosome:Theobroma_cacao_20110822:8:4728968:4731965:-1 gene:TCM_034772 transcript:EOY15812 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin-dependent kinase-subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G27960) TAIR;Acc:AT2G27960] MGLGHPAQPRQFGPPFWLKREIEWRAIGVQQSCSWVHYAIHHPEPDIMLFRRPLNYQQQQENQAQQTMLAKYKNALDLVYCLDGVD >EOY16206 pep chromosome:Theobroma_cacao_20110822:8:6193845:6198218:-1 gene:TCM_035045 transcript:EOY16206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificty protein kinase-ttk isoform 1 MDREANLPVPPPSRPIPNQYTSSSTSSSSSPPGFIRDVQAAFKRHRSLGTVQTNGIMPRRMVVPQRTASRNVGANIDTNKSRDCVSLSHGQLVKDKIIAGESQEDASITPPSITGTITKTFDENFNPFDVDRDQPKEVVDQKENNLIPLRDVESQHVDGQRKVQLLPGHHVSSQEMEWDTVNQVEAQAVVNNESKHQHFRNTESEINLKSDGAISSLAKRTTIIQDQLHQLRNFLGQPLTQSSVVGSSCATTTSIHSSSAPMLNLTTYCSHSHSEGGSHVAKEPLGDIDVNHQLVNQVDMLQQPFPSTKEMNRMLVDQTALAAQASTSGKDAQEEVKKFDLSKKQEGSLANENELFKDPESCPQYDKSTKGQDFAGDVTNIQSQAPLSKDLTSDVKLEPSKAEKQGKVPSSKGASAPRKRNYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYATAYGFCQEIEYLNRLKGKNNIIQLIDYEVTDKNLLREVMNGCMSNKDGRVKDDGYLYMVLEYGEIDLAHMLSQKWKEMGSSNQTIDENWLRFYWQQFAANTSS >EOY16205 pep chromosome:Theobroma_cacao_20110822:8:6192010:6198216:-1 gene:TCM_035045 transcript:EOY16205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificty protein kinase-ttk isoform 1 MDREANLPVPPPSRPIPNQYTSSSTSSSSSPPGFIRDVQAAFKRHRSLGTVQTNGIMPRRMVVPQRTASRNVGANIDTNKSRDCVSLSHGQLVKDKIIAGESQEDASITPPSITGTITKTFDENFNPFDVDRDQPKEVVDQKENNLIPLRDVESQHVDGQRKVQLLPGHHVSSQEMEWDTVNQVEAQAVVNNESKHQHFRNTESEINLKSDGAISSLAKRTTIIQDQLHQLRNFLGQPLTQSSVVGSSCATTTSIHSSSAPMLNLTTYCSHSHSEGGSHVAKEPLGDIDVNHQLVNQVDMLQQPFPSTKEMNRMLVDQTALAAQASTSGKDAQEEVKKFDLSKKQEGSLANENELFKDPESCPQYDKSTKGQDFAGDVTNIQSQAPLSKDLTSDVKLEPSKAEKQGKVPSSKGASAPRKRNYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYATAYGFCQEIEYLNRLKGKNNIIQLIDYEVTDKNLLREVMNGCMSNKDGRVKDDGYLYMVLEYGEIDLAHMLSQKWKEMGSSNQTIDENWLRFYWQQILQAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNESDANGNTIKCGRPSDIWSLGCILYQMVYGRTPFAEYKSFWSKFKVITDPNHEITYGPVSNPWLLDLMKKCLAWDRNERWRIPQLLKHPFLVPPVPPEPSFSQDQSCQLLQLIEKACGNNQDALVLCSQLTQLLRDPMSQITSQSLTSQEQECRLLSQMSKLCFQLQEHLSKPG >EOY15403 pep chromosome:Theobroma_cacao_20110822:8:3158592:3159016:-1 gene:TCM_034477 transcript:EOY15403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNISSIFCFFRLWNVWTVDYSKSMSFQRTSKKKTDLPKKKNVNEPGSSSKQMPSLSWTVSSRVACS >EOY15382 pep chromosome:Theobroma_cacao_20110822:8:3081342:3084281:1 gene:TCM_034461 transcript:EOY15382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folic acid and derivative biosynthetic process MGLGLVQLELAAFRSHVFSDILTRYPRPCPINCRIAGTHVKEKQIKASENQRKPIKKQSRNIGILLKEMGSEAEIARKPRFLCLHGFRTSGAILKKQIETKWPQSVLEKIDLVYPDAPFPAQGKSDVEGIFDPPYYEWFQFNKEFTSYTNFEECLAYIEDIMIRQGPFDGLLGFSQGAILSCGLPGLQAKGVALTKVPKIKYLIIIGGAKFKSESVAEKAYSSPIQCPSLHFLGETDFLKPYGLELLESCVDPVVIHHPKGHTIPRFDEKGLESVMSFLERIQRMLPEKQEKEIYSTATEDALEA >EOY16103 pep chromosome:Theobroma_cacao_20110822:8:5804689:5807117:1 gene:TCM_034978 transcript:EOY16103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein 6 precursor MAGEDLVELKFRLADGTDIGPSKYSPATTVASLKEKILAQWPRDKENCPKTIQDVKLINAGKILENNRTLAESRLPVGELPGGVITMHVVLRLPLSDKNNEKQQNDSPKKSSCACTIL >EOY17046 pep chromosome:Theobroma_cacao_20110822:8:17191160:17192875:-1 gene:TCM_036203 transcript:EOY17046 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetyl-l-glutamate kinase MAAAATSKSLHSYPSQESLSFSSITKTHSLKFSKTSFSPSSHRLRLAIRASSSSATLNDPPLPTHNNLDHQLRVRILSESLPYIQKFRGKTIVVKYGGAAMKSAELKASVVRDLVLLSCVGLRPILVHGGGPEINVLLNQLNIPAQFRDGLRVTDARTMEVVSMVLVGKVNKDLVSRINFAGATAVGLSGMDGRLLTARPSPNAAQLGFVGEVARVDPTVLQPLVDIGHIPVIASVAADEFGQPYNINADTVAGEVAAALGAEKLILLTDVAGILRNREDPGSLVKEIDIKGVKKMIEEGKVGGGMIPKVNCCIRSLAQGVTTASIIDGRVEHSLLHEIMTDEGAGTMITG >EOY17251 pep chromosome:Theobroma_cacao_20110822:8:18707512:18712226:-1 gene:TCM_036399 transcript:EOY17251 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 5 MQKLIRRSPSGLPLLCTSSIKPSLSFLSLSLSLAFSFPATNSTRFTMPTAALSGSGLALAAAAALTNKNPSFSRRFYTTSTCSIYQPCSNARTHLRGWPGRIGIRPARCHSGGVEEALGPVEGSKSLGDEEGKEEEGKPMRLNRRQKGSGVLVGSPDLLTIPGVGPRNLRKLVENGIQGVAELKQLYKDKFFGKASQKMVEFLQSSVGIIHRNHAESITTFIKESVDEELKDSDSDVKPAAKKRLTFCVEGNISVGKTTFLQRIANETLELRDLVEIVPEPIDKWQNVGPDHFNILDAFYAEPERYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSCLPGLIPDGFIYLRASPDTCHKRMMLRKRAEEGGVSLDYLRDLHEKHESWLFPFQSGNHGVLSVSKLPVHMDSSLHPDIRDRVFYLEGNHMHSSIQKVPALVLDCEPNIDFSRDIEAKRQYARQVAEFFEFVKKKKEVSSTKAGEEGQVNSQPQILLPHAGGLWVADGKHFPDSALKSLDFRRAMSFMSGSG >EOY17255 pep chromosome:Theobroma_cacao_20110822:8:18707634:18712204:-1 gene:TCM_036399 transcript:EOY17255 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 5 MQKLIRRSPSGLPLLCTSSIKPSLSFLSLSLSLAFSFPATNSTRFTMPTAALSGSGLALAAAAALTNKNPSFSRRFYTTSTCSIYQPCSNARTHLRGWPGRIGIRPARCHSGGVEEALGPVEGSKSLGDEEGKEEEGKPMRLNRRQKGSGVLVGSPDLLTIPGVGPRNLRKLVENGIQGVAELKQLYKDKFFGKASQKMVEFLQSSVGIIHRNHAESITTFIKESVDEELKDSDSDVKPAAKKRLTFCVEGNISVGKTTFLQRIANETLELRDLVEIVPEPIDKWQNVGPDHFNILDAFYAEPERYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSCLPGLIPDGFIYLRASPDTCHKRMMLRKRAEEGGVSLDYLRDLHEKHESWLFPFQSGNHGVLSVSKLPVHMDSSLHPDIRDRVFYLEGNHMHSSIQKVPALVLDCEPNIDFSRDIEAKRQ >EOY17252 pep chromosome:Theobroma_cacao_20110822:8:18708265:18712208:-1 gene:TCM_036399 transcript:EOY17252 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 5 MQKLIRRSPSGLPLLCTSSIKPSLSFLSLSLSLAFSFPATNSTRFTMPTAALSGSGLALAAAAALTNKNPSFSRRFYTTSTCSIYQPCSNARTHLRGWPGRIGIRPARCHSGGVEEALGPVEGSKSLGDEEGKEEEGKPMRLNRRQKGSGVLVGSPDLLTIPGVGPRNLRKLVENGIQGVAELKQLYKDKFFGKASQKMVEFLQSSVGIIHRNHAESITTFIKESVDEELKDSDSDVKPAAKKRLTFCVEGNISVGKTTFLQRIANETLELRDLVEIVPEPIDKWQNVGPDHFNILDAFYAEPERYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSCLPGLIPDGFIYLRASPDTCHKRMMLRKRAEEGGVSLDYLRDLHEKHESWLFPFQSGNHGVLSVSKLPVHMDSSLHPDIRDRVFYLEGNHMHSSIQKVPALVLDCEPNIDFSRDIEAKRQY >EOY17254 pep chromosome:Theobroma_cacao_20110822:8:18708326:18712208:-1 gene:TCM_036399 transcript:EOY17254 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 5 MQKLIRRSPSGLPLLCTSSIKPSLSFLSLSLSLAFSFPATNSTRFTMPTAALSGSGLALAAAAALTNKNPSFSRRFYTTSTCSIYQPCSNARTHLRGWPGRIGIRPARCHSGGVEEALGPVEGSKSLGDEEGKEEEGKPMRLNRRQKGSGVLVGSPDLLTIPGVGPRNLRKLVENGIQGVAELKQLYKDKFFGKASQKMVEFLQSSVGIIHRNHAESITTFIKESVDEELKDSDSDVKPAAKKRLTFCVEGNISVGKTTFLQRIANETLELRDLVEIVPEPIDKWQNVGPDHFNILDAFYAEPERYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSCLPGLIPDGFIYLRASPDTCHKRMMLRKRAEEGGVSLDYLRDLHEKHESWLFPFQSGNHGVLSVSKLPVHMDSSLHPDIRDRVFYLEGNHMHSSIQKV >EOY17253 pep chromosome:Theobroma_cacao_20110822:8:18707902:18712208:-1 gene:TCM_036399 transcript:EOY17253 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 5 MQKLIRRSPSGLPLLCTSSIKPSLSFLSLSLSLAFSFPATNSTRFTMPTAALSGSGLALAAAAALTNKNPSFSRRFYTTSTCSIYQPCSNARTHLRGWPGRIGIRPARCHSGGVEEALGPVEGSKSLGDEEGKEEEGKPMRLNRRQKGSGVLVGSPDLLTIPGVGPRNLRKLVENGIQGVAELKQLYKDKFFGKASQKMVEFLQSSVGIIHRNHAESITTFIKESVDEELKDSDSDVKPAAKKRLTFCVEGNISVGKTTFLQRIANETLELRDLVEIVPEPIDKWQNVGPDHFNILDAFYAEPERYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSCLPGLIPDGFIYLRASPDTCHKRMMLRKRAEEGGVSLDYLRDLHEKHESWLFPFQSGNHGVLSVSKLPVHMDSSLHPDIRDRVFYLEGNHMHSSIQKV >EOY17307 pep chromosome:Theobroma_cacao_20110822:8:19266098:19267558:1 gene:TCM_036465 transcript:EOY17307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSQRSFLENQTLVDIDNNDWRYFLQNQAQEYGNGANPNLLRQIQLFDFEEYVEEALSNSAKICEDQLEVEKAKATEKFFREAYDNLLNMQCSPPDINSEDAQQLREATHKFLENSYNNLIHMFDGVSETGKGDYLL >EOY15678 pep chromosome:Theobroma_cacao_20110822:8:4215926:4216528:1 gene:TCM_034667 transcript:EOY15678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokines 3 precursor, putative MSNLRSLFIAALLINFTLSYAARPEPALPLTHHEGVEAEKVEIDENCEGVGKEECLMRRTLAAHVDYIYTQNHKP >EOY16245 pep chromosome:Theobroma_cacao_20110822:8:6400202:6403317:1 gene:TCM_035085 transcript:EOY16245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family/GOLD family protein isoform 2 MFFGGRAVSLLPIILVICLTSNSDILPVVEAIWLTIPSSGTKCVSEEIQSNVVVLADYNVIDENNPDHIPTVSARVTSPFGNNLHHNENATHGQFAFTTSEAGNYLACFWKDGSHQQDAELTLGLDWKIGIAAKDWESVAKKEKIEVYRVLNLS >EOY16246 pep chromosome:Theobroma_cacao_20110822:8:6400202:6403317:1 gene:TCM_035085 transcript:EOY16246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family/GOLD family protein isoform 2 MFFGGRAVSLLPIILVICLTSNSDILPVVEAIWLTIPSSGTKCVSEEIQSNVVVLADYNVIDENNPDHIPTVSARVTSPFGNNLHHNENATHGQFAFTTSEAGNYLACFWKDGSHQQDAELTLGLDWKIGIAAKDWESVAKKEKIEVYRVLNLS >EOY16244 pep chromosome:Theobroma_cacao_20110822:8:6400103:6403812:1 gene:TCM_035085 transcript:EOY16244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family/GOLD family protein isoform 2 MFFGGRAVSLLPIILVICLTSNSDILPVVEAIWLTIPSSGTKCVSEEIQSNVVVLADYNVIDENNPDHIPTVSARVTSPFGNNLHHNENATHGQFAFTTSEAGNYLACFWKDGSHQQDAELTLGLDWKIGIAAKDWESVAKKEKIEGVELVLSRLQGAVEAIHGNLIYLKDREAEMREVSERTNARVAWFSIMSLGVCIVVSIVQLWYLKRYFLKKKLI >EOY16752 pep chromosome:Theobroma_cacao_20110822:8:10803377:10807993:1 gene:TCM_035631 transcript:EOY16752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingomyelin synthetase family protein isoform 4 MRWPAANGGGLGVAAITYVAVDYLRNVSTTWHQRLQPLLWSLLALIAITRVPFYRHWNAEFRAALPFLASIIFMLSALLYEALSVRFVTAVLGLDWHHDTPPLPDTGQWLLLALNEKLPEAVVEILRAHIIGLHHFLMLFIMLAFSVLFDSVKAPGLGLGARYMFTMAIGRLLRAITFASTILPSARPWCASARFRVPGHPHPWAQKYYVPYASDANAIRQVIQQDIAYADTGKYLGDYRPDWGSMSFLIDFLRPTPSEGSSWYSLLKKAGGGCNDLLYSGHMLVAVLTAMAWTEAYGGFSSALIWLLVMHSAQREIRERHHYTVDCIVAIYVGILLWKMTGFIWSAKDGTRDSRLTKLGKIQGRLLQAAKDSDMDEVRELLKGVELDRQDSQKKGPSKVMWLFACGTIFFSLTIVVLAFTWTSDG >EOY16754 pep chromosome:Theobroma_cacao_20110822:8:10803377:10807993:1 gene:TCM_035631 transcript:EOY16754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingomyelin synthetase family protein isoform 4 MRWPAANGGGLGVAAITYVAVDYLRNVSTTWHQRLQPLLWSLLALIAITRVPFYRHWNAEFRAALPFLASIIFMLSALLYEALSVRFVTAVLGLDWHHDTPPLPDTGQWLLLALNEKLPEAVVEILRAHIIGLHHFLMLFIMLAFSVLFDSVKAPGLGLGARYMFTMAIGRLLRAITFASTILPSARPWCASARFRVPGHPHPWAQKYYVPYASDANAIRQVIQQDIAYADTGKYLGDYRPDWGSMSFLIDFLRPTPSEGSSWYSLLKKAGGGCNDLLYSGHMLVAVLTAMAWTEAYGGFSSALIWLLVMHSAQREIRERHHYTVDCIVAIYVGILLWKMTGFIWSAKDGTRDSRLTKLGKIQGRLLQAAKDSDMDEVRELLKGVELDRQDSQKKGPSKVMWLFACGTIFFSLTIVVLAFTWTSDG >EOY16755 pep chromosome:Theobroma_cacao_20110822:8:10803801:10807993:1 gene:TCM_035631 transcript:EOY16755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingomyelin synthetase family protein isoform 4 MTKLCQVMVHFDTPPLPDTGQWLLLALNEKLPEAVVEILRAHIIGLHHFLMLFIMLAFSVLFDSVKAPGLGLGARYMFTMAIGRLLRAITFASTILPSARPWCASARFRVPGHPHPWAQKYYVPYASDANAIRQVIQQDIAYADTGKYLGDYRPDWGSMSFLIDFLRPTPSEGSSWYSLLKKAGGGCNDLLYSGHMLVAVLTAMAWTEAYGGFSSALIWLLVMHSAQREIRERHHYTVDCIVAIYVGILLWKMTGFIWSAKDGTRDSRLTKLGKIQGRLLQAAKDSDMDEVRELLKGVELDRQDSQKKGPSKVMWLFACGTIFFSLTIVVLAFTWTSDG >EOY16753 pep chromosome:Theobroma_cacao_20110822:8:10803421:10807993:1 gene:TCM_035631 transcript:EOY16753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingomyelin synthetase family protein isoform 4 MRWPAANGGGLGVAAITYVAVDYLRNVSTTWHQRLQPLLWSLLALIAITRVPFYRHWNAEFRAALPFLASIIFMLSALLYEALSVRFVTAVLGLDWHHDTPPLPDTGQWLLLALNEKLPEAVVEILRAHIIGLHHFLMLFIMLAFSVLFDSVKAPGLGLGARYMFTMAIGRLLRAITFASTILPSARPWCASARFRVPGHPHPWAQKYYVPYASDANAIRQVIQQDIAYADTGKYLGDYRPDWGSMSFLIDFLRPTPSEGSSWYSLLKKAGGGCNDLLYSGHMLVAVLTAMAWTEAYGGFSSALIWLLVMHSAQREIRERHHYTVDCIVAIYVGILLWKMTGFIWSAKDGTRDSRLTKLGKIQGRLLQAAKDSDMDEVRELLKGVELDRQDSQKKGPSKVMWLFACGTIFFSLTIVVLAFTWTSDG >EOY17275 pep chromosome:Theobroma_cacao_20110822:8:18914236:18915143:-1 gene:TCM_036423 transcript:EOY17275 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-like protein 1A, putative MSMSIPAVETKKITLRTADNHEFEVERAIAMEFGTIKTFFDENPDASEDTIPLHNVSSTCLSAIIEYCKSHLAFRAGDTSSSIDEQVRTYDEEFVKARDNESLKEMILAANYLNIKELLDMLNQAVADRIKNRSVEYVRRFFGIENDYTPEEEAALRAENEWAFEAVDPDD >EOY14359 pep chromosome:Theobroma_cacao_20110822:8:55071:56861:-1 gene:TCM_033756 transcript:EOY14359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MINGSMQCKFELDALEANGTWTVVPLPHGAHTIGCKWVYKVKLNANGTVERYKARLVAKGYNQKEGLDCKETFSPVAKQSTVRVLLALTAIKGWTLTQLDIDNAFLNGDLNEDIYMTLPQGYQIKGEFPSNSLADYSLFFKKTIDDEILVLLVYVDDIIISNSSSNATEQIIEFLKSKFRLKDLGKLKYFLGLEVAQSEAGISICQRKYAFDLLAEYGVLGCKPITTPIDYNHRLNKATDTDQLANATNYRQLVGKLLYLTFSRPDISYAVHILSQYMDKPTQSHLHAAFRVLKYIKGAPGKGILLSSRSSLQLKAYSDSDWGGYPDTRRSVTGFCVFLGDSLISWKSKKQMVVARSSTEAKYRAMAATTCEVIWLLCLLKDLGINHMQAVSLHCDNQFALHLCKNPIFHERTKHIKIDCHFIREKVLSSIISPTYVHTKLQ >EOY17110 pep chromosome:Theobroma_cacao_20110822:8:17889248:17896472:1 gene:TCM_036281 transcript:EOY17110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin folding cofactor MEQNSCFTLGQRVHSSTDPRRVGTVKYVGNVEGYSGTWVGVDWDNGGDGKHDGSINGVRYFQARSQKSGSFVRVQNLSPGISLLQALNLRYKSHSTKEDEGEMYVLSASNKRVSVQLLGKDKIEDKLSRFEELKSASISYLGVSSPGGPAEIRAAVPNLKELDLTGNLISDWKDVGTICEQLPHLVALNLSNNLMTQNITQLPLLKGIRVLVLNNTGINWSQVEILKHSLPVIEELHMMENNISTIKPTSSSVVQGFDSLRLLNLEDNCIAEWDDILKLSQLKSLEQLYLNKNKLISIFYPANNKIQELLSNHESCEESYLPFQNLRCLLLGNLSSVLFSRHLDIRLSDNPIADPGRGGLSRFVLIARLAKIEMLNGSEISGRERKESEIRYVRSVMSKLADSPEEIKRLHPLFVELKNFHGIEDERPSVGAAGPQKMASGLLSVTLKCVGPSIGEKLPLTKKLPATTTVGKLKVLCESFFKLKSLKLKLFLQEEGSPLPMLLDDEMASLMDIGVGTESTILVDEES >EOY15681 pep chromosome:Theobroma_cacao_20110822:8:4226547:4229236:-1 gene:TCM_034670 transcript:EOY15681 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MPMYQPSTRREADMKLESQVLDLETAVKDGILGGGGGVISTGFASEKLDLKVMIEELESMDVPTVFICPISLEPMQDPVTLCTGQTYERSNILKWFSLGHYTCPTTMQELWDNSVTPNKTLQQLIYSWFSQKYLAMKKRSEDVQGRVKEILENLKKVKGQARVQALKELRQVVQAHGTAKKTVVACGGVGLISSLLGPFTTHAVGSEVVGVLVNLNLDFDSKSDLLQPAKISLMVDILNEGSIETKINCTRLIGMLMEGNDSASENVASLSLLVGLLRLVKNKKRPNGVLAGLSLLKTICSHESVRNSFVNVGAVPHLVELMPGLNNECLELVLYILELLSSIPEGRLALKDCPNTIPYVVKLLMKASENCTQFALSILWAICKFAPEECASLAVDAGLAAKLLLVIQSGYNPVLKQRSAELLKLCSLNYTATIFISKCKLTRTIQ >EOY15308 pep chromosome:Theobroma_cacao_20110822:8:2856676:2867273:1 gene:TCM_034414 transcript:EOY15308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ion binding protein, putative isoform 3 MAGPNQDQFEVYFRRADLDGDGRISGAEAVAFFQGSGLPKPVLAQIWMHADQSHSGFLSKQEFYNALKLVTVAQRRELTPDIVKAALYGPAAAKIPAPQINFPATSAPQIGAAVQTASPIPGFRGPGVPNASMSPQYFPSQQNPSMRPTMPAGTAPRPPQGIAAPEFSRGGSIVGQTQGMLAGSTARPLQSMPTGATGPSFTNQNISSDWLAGRTVGASTGPQGVTPSTPSAASKPQTVFSMSSLSAANDSKALAVSGNGFASDSAFGGDAFSATSSAPKQELSAQTFSSSSAPASSVITPASSGAQPIVKSNSLDSLQSAFSMQSAGSQRAHSSLIPGQQVSSPSSSSITSSGISVGTVNAASNSSQVPWPKMKPSDVQKYTKVFMEVDTDRDGKITGEQARNLFLSWRLPREVLKQVWDLSDQDSDSMLSLREFCFALYLMERYREGRPLPSALPSNVMFDETLLSMTGQPNVSYGNADWGPNPGFGQQPGMGAQPMTPSTGFRPPIPPNASADTTAMSNQQKSRAPVLDDSFATQLDNGEQNSVNGAAQGATADGIKVDGTEKVILDSKEKLEFYREKMQELVLYKSRCDNRLNEIMERAIADKREAEILAKKYEEKYKQVSEIAAKLTIEDAKFREIQERRRELQQAIVNMEQGGSADGILQVRADRIQSDLEELMKALTERCKKHGYDVKSTAVIELPMGWQPGIPEGAAVWDEEWDKFEDQGFGNELTVDVKNVSVSQRGKASPDGSLTPDSSSYVDEKAANLFSAGERALESESAYTHSEDESARSPHGSPAGRNSLESPSQQFSDDHFGKSTEADAETHRFDESAWGTFDNDDTDSVWGFNPVNTKDLDSDKHREFFGSSDFGVNPTRTESPSAGSFYDKKSPFTFEDSVPSTPLSRFGNSPPRFSEASRDQFDSLSRLDSFGMHESGFSQQPDRLTRFDSINSSGDFGSGFSHQPEALTRFDSTNSSKDFGHGFSFDDTDPFGSSGPFKVSSDHQSPKKGSDSWSAF >EOY15309 pep chromosome:Theobroma_cacao_20110822:8:2857373:2865910:1 gene:TCM_034414 transcript:EOY15309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ion binding protein, putative isoform 3 MHADQSHSGFLSKQEFYNALKLVTVAQRRELTPDIVKAALYGPAAAKIPAPQINFPATSAPQIGAAVQTASPIPGFRGPGVPNASMSPQYFPSQQNPSMRPTMPAGTAPRPPQGIAAPEFSRGGSIVGQTQGMLAGSTARPLQSMPTGATGPSFTNQNISSDWLAGRTVGASTGPQGVTPSTPSAASKPQTVFSMSSLSAANDSKALAVSGNGFASDSAFGGDAFSATSSAPKQELSAQTFSSSSAPASSVITPASSGAQPIVKSNSLDSLQSAFSMQSAGSQRAHSSLIPGQQVSSPSSSSITSSGISVGTVNAASNSSQVPWPKMKPSDVQKYTKVFMEVDTDRDGKITEVLKQVWDLSDQDSDSMLSLREFCFALYLMERYREGRPLPSALPSNVMFDETLLSMTGQPNVSYGNADWGPNPGFGQQPGMGAQPMTPSTGFRPPIPPNASADTTAMSNQQKSRAPVLDDSFATQLDNGEQNSVNGAAQGATADGIKVDGTEKVILDSKEKLEFYREKMQELVLYKSRCDNRLNEIMERAIADKREAEILAKKYEEKYKQVSEIAAKLTIEDAKFREIQERRRELQQAIVNMEQGGSADGILQVRADRIQSDLEELMKALTERCKKHGYDVKSTAVIELPMGWQPGIPEGAAVWDEEWDKFEDQGFGNELTVDVKNVSVSQRGKASPDGSLTPDSSSYVDEKAANLFSAGERALESESAYTHSEDESARSPHGSPAGRNSLESPSQQFSDDHFGKSTEADAETHRSFDESAWGTFDNDDTDSVWGFNPVNTKDLDSDKHREFFGSSDFGVNPTRTESPSAGSFYDKKSPFTFEDSVPSTPLSRFGNSPPRFSEASRDQFDSLSRLDSFGMHESGFSQQPDRLTRFDSINSSGDFGSGFSHQPEALTRFDSTNSSKDFGHGFSFDDTDPFGSSGPFKVSSDHQSPKKGSDSWSAF >EOY15307 pep chromosome:Theobroma_cacao_20110822:8:2856676:2866254:1 gene:TCM_034414 transcript:EOY15307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ion binding protein, putative isoform 3 MAGPNQDQFEVYFRRADLDGDGRISGAEAVAFFQGSGLPKPVLAQIWMHADQSHSGFLSKQEFYNALKLVTVAQRRELTPDIVKAALYGPAAAKIPAPQINFPATSAPQIGAAVQTASPIPGFRGPGVPNASMSPQYFPSQQNPSMRPTMPAGTAPRPPQGIAAPEFSRGGSIVGQTQGMLAGSTARPLQSMPTGATGPSFTNQNISSDWLAGRTVGASTGPQGVTPSTPSAASKPQTVFSMSSLSAANDSKALAVSGNGFASDSAFGGDAFSATSSAPKQELSAQTFSSSSAPASSVITPASSGAQPIVKSNSLDSLQSAFSMQSAGSQRAHSSLIPGQQVSSPSSSSITSSGISVGTVNAASNSSQVPWPKMKPSDVQKYTKVFMEVDTDRDGKITGEQARNLFLSWRLPREVLKQVWDLSDQDSDSMLSLREFCFALYLMERYREGRPLPSALPSNVMFDETLLSMTGQPNVSYGNADWGPNPGFGQQPGMGAQPMTPSTGFRPPIPPNASADTTAMSNQQKSRAPVLDDSFATQLDNGEQNSVNGAAQGATADGIKVDGTEKVILDSKEKLEFYREKMQELVLYKSRCDNRLNEIMERAIADKREAEILAKKYEEKYKQVSEIAAKLTIEDAKFREIQERRRELQQAIVNMEQGGSADGILQVRADRIQSDLEELMKALTERCKKHGYDVKSTAVIELPMGWQPGIPEGAAVWDEEWDKFEDQGFGNELTVDVKNVSVSQRGKASPDGSLTPDSSSYVDEKAANLFSAGERALESESAYTHSEDESARSPHGSPAGRNSLESPSQQFSDDHFGKSTEADAETHRSFDESAWGTFDNDDTDSVWGFNPVNTKDLDSDKHREFFGSSDFGVNPTRTESPSAGSFYDKKSPFTFEDSVPSTPLSRFGNSPPRFSEASRDQFDSLSRLDSFGMHESGFSQQPDRLTRFDSINSSGDFGSGFSHQPEALTRFDSTNSSKDFGHGFSFDDTDPFGSSGPFKVSSDHQSPKKGSDSWSAF >EOY15807 pep chromosome:Theobroma_cacao_20110822:8:4712130:4713725:1 gene:TCM_034765 transcript:EOY15807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 81, subfamily D, polypeptide 7 MEVSYYLVLVTSFLFLIHKLFIRNRVVRRNLPPSPPGLPIIGHYHLLKRPVHLTLLDLSKKKIAEYDFTTLVTCPYGHHWRNLRRLTAIEIFSSARIQGTSSIRAEEIHFLVKQLFKSSFREVEIESFFYILTFNIMMKLVAGHRYLDDDVHSDNNRGIINDLKQMFNPTVNLSWSDHFPILRWLTFQGADKRILKTHVEKDDFLQALVDMRRRVVSSPSITDGERKRPIIDVMLSLQESEPDCYTDEIIEGIIIVKPREICFHLLEMICILCKYELVVSCIYVLEMSIPTEFARI >EOY14463 pep chromosome:Theobroma_cacao_20110822:8:397381:399382:1 gene:TCM_033837 transcript:EOY14463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol carrier protein 2 MANTELKSDNLFEMMKIHLATDAGKELTKKIGLVYQINIAPKKIGFDEVSYVVDLKKGEVTKGKYEGGKPDAIFTFKDDDFIKVATGKMHPQIAFMRGAMKIKGSLSAAQKFTPDIFPKPAKL >EOY17210 pep chromosome:Theobroma_cacao_20110822:8:18424853:18428920:1 gene:TCM_036365 transcript:EOY17210 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family MAAPRNVLRDEESAAVALLNNSSKEDDDSPSGKRLKSERFPVSRWELAAFFGVFLIFSAGLFCIYLTMPATEYGKLKIPRSISDLRLLKDNIATYAKDYPTQFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVRGLFLVVFNATAGACSCFFLSKLIGRPLVSWLWPDKLRFFQAEIAKRRDKLLNYMLFLRITPTLPNLFINLASPIVDIPFHVFFLATFIGLIPASYITVKAGLALGDLRSVKDLYDFKTLSVLFLIGSVSIFPTLLKRKRIYE >EOY15436 pep chromosome:Theobroma_cacao_20110822:8:3268913:3272247:-1 gene:TCM_034497 transcript:EOY15436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta carbonic anhydrase 5, putative isoform 2 MAWLIRSKARLLVSATRPLRAPVDSFHCSHFSDLTDHSVCSSPRKWLRSKAMRLNDVDDTQSRSSSSPQINRRPPVSQLEVSSNSLALHEFTGSEVQNVNNSGVRVDLFDELKQRFLSFKRHKYLEELEHFQTLKEAQSPKFMVIACADSRVCPSTILGFRPGEAFMIRNVANLVPPFENGLSETNAALEFAVKTLEVENILIIGHSCCGGIQTLMSMRDDGDSSFIKSWVTTGKVAKSRTEAAANHLSFDQQCRLCEKESINRSLLNLLTYSWIEDKVREGLLFVHGGYYDFLNCSFEKWTLDFKGSSGEEGARLLVKDQELWC >EOY15437 pep chromosome:Theobroma_cacao_20110822:8:3269323:3272330:-1 gene:TCM_034497 transcript:EOY15437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta carbonic anhydrase 5, putative isoform 2 MAWLIRSKARLLVSATRPLRAPVDSFHCSHFSDLTDHSVCSSPRKWLRSKAMRLNDVDDTQSRSSSSPQINRRPPVSQLEVSSNSLALHEFTGSEVQNVNNSGVRVDLFDELKQRFLSFKRHKYLEELEHFQTLKEAQSPKFMVIACADSRVCPSTILGFRPGEAFMIRNVANLVPPFENGLSETNAALEFAVKTLEVSDYLQDTYHVFCFCKTKFPRMCL >EOY15438 pep chromosome:Theobroma_cacao_20110822:8:3269432:3272330:-1 gene:TCM_034497 transcript:EOY15438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta carbonic anhydrase 5, putative isoform 2 MAWLIRSKARLLVSATRPLRAPVDSFHCSHFSDLTDHSVCSSPRKWLRSKAMRLNDVDDTQSRSSSSPQINRRPPVSQLEVSSNSLALHEFTGSEVQNVNNSGVRVDLFDELKQRFLSFKRHKYLEELEHFQTLKEAQSPKFMVIACADSRVCPSTILGFRPGEAFMIRNVANLVPPFENGLSETNAALEFAVKTLEVENILIIGHSCCGGIQTLMSMRDDGDSR >EOY16761 pep chromosome:Theobroma_cacao_20110822:8:10815680:10821342:1 gene:TCM_035633 transcript:EOY16761 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein, putative isoform 3 MSMTGVGGKQEEMEMRAKQDLDYGQDITPPILPLSSSLYDSFLSSHCSSCFSPLPPTFPHIPRHVPLYCSPTCSSSHSPLHSSSAESLLPPTCPDSSDLRTALRLLQSLPSTPPHLHRIDGLLTNHHMLTSSSPEVAAKIRQGAIAMAAARKSRNRDNEGQSDGFLLEEAVLSLVITNAVEVQDKSGRSLGIAVYDLSFSWINHSCSPNACYRFSISSPHATLSFREDSSSTLRIVPSVLGEECDACSCVEHTKGNKGYELGPKIIVRSIKRIRKGEEVCVSYTDLLQPKAMRQSELWSKYQFTCSCSRCSASPTTYVDRALEEISTCNLSFSSSSFDHNLYRDEASKRVYSYMDETITEVLSDGDPESCCEKLESILNLGLHIEQVESKDGKSLLNFKLHPFHHLALNAYTTLTSAYRICSSDLLALHPDVDECQLKAFDMNRTSAAYSLLLAGATHRLFCSESSLIASAANFWTNAGESLVTLARSSLWNLFVKWGFPISEVSTIAKHKCSKCSLMDIFDTKSILSQAQRVNFENISSDFLDCVSNMTAKIWRFLVRGCHYLEVFEDPFDFGWLVHTWDFHARANRNDEDSKFITEGSIYKHQAQWYTNERRIHVYEVGIHCLLYGGILAHICYGQNSQLSTHVLSILYNVESVVH >EOY16763 pep chromosome:Theobroma_cacao_20110822:8:10815561:10821342:1 gene:TCM_035633 transcript:EOY16763 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein, putative isoform 3 MSMTGVGGKQEEMEMRAKQDLDYGQDITPPILPLSSSLYDSFLSSHCSSCFSPLPPTFPHIPRHVPLYCSPTCSSSHSPLHSSSAESLLPPTCPDSSDLRTALRLLQSLPSTPPHLHRIDGLLTNHHMLTSSSPEVAAKIRQGAIAMAAARKSRNRDNEGQSDGFLLEEAVLSLVITNAVEVQDKSGRSLGIAVYDLSFSWINHSCSPNACYRFSISSPHATLSFREDSSSTLRIVPSVLGEECDACSCVEHTKGNKGYELGPKIIVRSIKRIRKGEEVCVSYTDLLQPKEISTCNLSFSSSSFDHNLYRDEASKRVYSYMDETITEVLSDGDPESCCEKLESILNLGLHIEQVESKDGKSLLNFKLHPFHHLALNAYTTLTSAYRICSSDLLALHPDVDECQLKAFDMNRTSAAYSLLLAGATHRLFCSESSLIASAANFWTNAGESLVTLARSSLWNLFVKWGFPISEVSTIAKHKCSKCSLMDIFDTKSILSQAQRVNFENISSDFLDCVSNMTAKIWRFLVRGCHYLEVFEDPFDFGWLVHTWDFHARANRNDEDSKFITEGSIYKHQAQWYTNERRIHVYEVGIHCLLYGGILAHICYGQNSQLSTHVLSILYNVESVVH >EOY16762 pep chromosome:Theobroma_cacao_20110822:8:10815536:10821342:1 gene:TCM_035633 transcript:EOY16762 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein, putative isoform 3 MSMTGVGGKQEEMEMRAKQDLDYGQDITPPILPLSSSLYDSFLSSHCSSCFSPLPPTFPHIPRHVPLYCSPTCSSSHSPLHSSSAESLLPPTCPDSSDLRTALRLLQSLPSTPPHLHRIDGLLTNHHMLTSSSPEVAAKIRQGAIAMAAARKSRNRDNEGQSDGFLLEEAVLSLVITNAVEVQDKSGRSLGIAVYDLSFSWINHSCSPNACYRFSISSPHATLSFREDSSSTLRIVPSVLGEECDACSCVEHTKGNKGYELGPKIIVRSIKRIRKGEEVCVSYTDLLQPKEISTCNLSFSSSSFDHNLYRDEASKRVYSYMDETITEVLSDGDPESCCEKLESILNLGLHIEQVESKDGKSLLNFKLHPFHHLALNAYTTLTSAYRICSSDLLALHPDVDECQLKAFDMNRTSAAYSLLLAGATHRLFCSESSLIASAANFWTNAGESLVTLARSSLWNLFVKWGFPISEVSTIAKHKCSKCSLMDIFDTKSILSQAQRVNFENISSDFLDCVSNMTAKIWRFLVRGCHYLEVFEDPFDFGWLVHTWDFHARANRNDEDSKFITEGSIYKHQAQWYTNERRIHVYEVGIHCLLYGGILAHICYGQNSQLSTHVLSILYNVESVVH >EOY16764 pep chromosome:Theobroma_cacao_20110822:8:10815561:10821342:1 gene:TCM_035633 transcript:EOY16764 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein, putative isoform 3 MSMTGVGGKQEEMEMRAKQDLDYGQDITPPILPLSSSLYDSFLSSHCSSCFSPLPPTFPHIPRHVPLYCSPTCSSSHSPLHSSSAESLLPPTCPDSSDLRTALRLLQSLPSTPPHLHRIDGLLTNHHMLTSSSPEVAAKIRQGAIAMAAARKSRNRDNEGQSDGFLLEEAVLSLVITNAVEVQDKSGRSLGIAVYDLSFSWINHSCSPNACYRFSISSPHATLSFREDSSSTLRIVPSVLGEECDACSCVEHTKGNKGYELGPKIIVRSIKRIRKGEEVCVSYTDLLQPKEISTCNLSFSSSSFDHNLYRDEASKRVYSYMDETITEVLSDGDPESCCEKLESILNLGLHIEQVESKDGKSLLNFKLHPFHHLALNAYTTLTSAYRICSSDLLALHPDVDECQLKAFDMNRTSAAYSLLLAGATHRLFCSESSLIASAANFWTNAGESLVTLARSSLWNLFVKWGFPISEVSTIAKHKCSKCSLMDIFDTKSILSQAQRVNFENISSDFLDCVSNMTAKIWRFLVRGCHYLEVFEDPFDFGWLVHTWDFHARANRNDEDSKFITEGSIYKHQAQWYTNERRIHVYEVGIHCLLYGGILAHICYGQNSQLSTHVLSILYNVESVVH >EOY16759 pep chromosome:Theobroma_cacao_20110822:8:10815561:10821342:1 gene:TCM_035633 transcript:EOY16759 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein, putative isoform 3 MSMTGVGGKQEEMEMRAKQDLDYGQDITPPILPLSSSLYDSFLSSHCSSCFSPLPPTFPHIPRHVPLYCSPTCSSSHSPLHSSSAESLLPPTCPDSSDLRTALRLLQSLPSTPPHLHRIDGLLTNHHMLTSSSPEVAAKIRQGAIAMAAARKSRNRDNEGQSDGFLLEEAVLSLVITNAVEVQDKSGRSLGIAVYDLSFSWINHSCSPNACYRFSISSPHATLSFREDSSSTLRIVPSVLGEECDACSCVEHTKGNKGYELGPKIIVRSIKRIRKGEEVCVSYTDLLQPKAMRQSELWSKYQFTCSCSRCSASPTTYVDRALEEISTCNLSFSSSSFDHNLYRDEASKRVYSYMDETITEVLSDGDPESCCEKLESILNLGLHIEQVESKDGKSLLNFKLHPFHHLALNAYTTLTSAYRICSSDLLALHPDVDECQLKAFDMNRTSAAYSLLLAGATHRLFCSESSLIASAANFWTNAGESLVTLARSSLWNLFVKWGFPISEVSTIAKHKCSKCSLMDIFDTKSILSQAQRVNFENISSDFLDCVSNMTAKIWRFLVRGCHYLEVFEDPFDFGWLVHTWDFHARANRNDEDSKFITEGSIYKHQAQWYTNERRIHVYEVGIHCLLYGGILAHICYGQNSQLSTHVLSILYNVESVVH >EOY16758 pep chromosome:Theobroma_cacao_20110822:8:10815661:10821342:1 gene:TCM_035633 transcript:EOY16758 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein, putative isoform 3 MSMTGVGGKQEEMEMRAKQDLDYGQDITPPILPLSSSLYDSFLSSHCSSCFSPLPPTFPHIPRHVPLYCSPTCSSSHSPLHSSSAESLLPPTCPDSSDLRTALRLLQSLPSTPPHLHRIDGLLTNHHMLTSSSPEVAAKIRQGAIAMAAARKSRNRDNEGQSDGFLLEEAVLSLVITNAVEVQDKSGRSLGIAVYDLSFSWINHSCSPNACYRFSISSPHATLSFREDSSSTLRIVPSVLGEECDACSCVEHTKGNKGYELGPKIIVRSIKRIRKGEEVCVSYTDLLQPKAMRQSELWSKYQFTCSCSRCSASPTTYVDRALEEISTCNLSFSSSSFDHNLYRDEASKRVYSYMDETITEVLSDGDPESCCEKLESILNLGLHIEQVESKDGKSLLNFKLHPFHHLALNAYTTLTSAYRICSSDLLALHPDVDECQLKAFDMNRTSAAYSLLLAGATHRLFCSESSLIASAANFWTNAGESLVTLARSSLWNLFVKWGFPISEVSTIAKHKCSKCSLMDIFDTKSILSQAQRVNFENISSDFLDCVSNMTAKIWRFLVRGCHYLEVFEDPFDFGWLVHTWDFHARANRNDEDSKFITEGSIYKHQAQWYTNERRIHVYEVGIHCLLYGGILAHICYGQNSQLSTHVLSILYNVESVVH >EOY16760 pep chromosome:Theobroma_cacao_20110822:8:10815530:10821342:1 gene:TCM_035633 transcript:EOY16760 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein, putative isoform 3 MSMTGVGGKQEEMEMRAKQDLDYGQDITPPILPLSSSLYDSFLSSHCSSCFSPLPPTFPHIPRHVPLYCSPTCSSSHSPLHSSSAESLLPPTCPDSSDLRTALRLLQSLPSTPPHLHRIDGLLTNHHMLTSSSPEVAAKIRQGAIAMAAARKSRNRDNEGQSDGFLLEEAVLSLVITNAVEVQDKSGRSLGIAVYDLSFSWINHSCSPNACYRFSISSPHATLSFREDSSSTLRIVPSVLGEECDACSCVEHTKGNKGYELGPKIIVRSIKRIRKGEEVCVSYTDLLQPKEISTCNLSFSSSSFDHNLYRDEASKRVYSYMDETITEVLSDGDPESCCEKLESILNLGLHIEQVESKDGKSLLNFKLHPFHHLALNAYTTLTSAYRICSSDLLALHPDVDECQLKAFDMNRTSAAYSLLLAGATHRLFCSESSLIASAANFWTNAGESLVTLARSSLWNLFVKWGFPISEVSTIAKHKCSKCSLMDIFDTKSILSQAQRVNFENISSDFLDCVSNMTAKIWRFLVRGCHYLEVFEDPFDFGWLVHTWDFHARANRNDEDSKFITEGSIYKHQAQWYTNERRIHVYEVGIHCLLYGGILAHICYGQNSQLSTHVLSILYNVESVVH >EOY17378 pep chromosome:Theobroma_cacao_20110822:8:19791328:19792920:1 gene:TCM_036527 transcript:EOY17378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLASSQNAVDCNVKLISFACFLVNYSPKQLALFRYLVPVVPSFFYTKERGEFVTYFPELICSFSFIVPFFFCLFLPPLSTLCLGFEEGDARRALRLNNQDIGSAVDFPFDEKTKRAVKREDEIRRRMEIVSGAKITWSDAVEEGRES >EOY14675 pep chromosome:Theobroma_cacao_20110822:8:943726:945798:-1 gene:TCM_033980 transcript:EOY14675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein MPRSPALFHFLLLSLFAVFFLANATAVSTMAQQFKEAPQFYNSLDCPVIVDEDEEGEGSILCSDQAVHVAMTLDKAYIRGSMAAILSVLQHSSCPQNIAFHFVASATANASLLRATISSSFPYLNFQVYPFDDSSVSRLISTSIRSALDCPLNYARSYLANLLPLCVRRVVYLDSDLVLVDDIAKLAATPLGDNSVLAAPEYCNANFTSYFTLTFWSNPSLSLTFANRKACYFNTGVMVIDLDRWRDGDYTTKIEEWMELQKRMRIYELGSLPPFLLVFAGNIVPVNHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWARLDANRPCPLDALWAPYDLLQTPFALDS >EOY15815 pep chromosome:Theobroma_cacao_20110822:8:4744492:4745826:1 gene:TCM_034776 transcript:EOY15815 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MKRSAPDSQVPGADQKMKKLKEEAEEGRGLMNLDENLLYEVLKHVDARTLAMASCVSRLWHQTAKDERLWELICTRHWANIGCGTQQLRSVVLPLGGFRRLHSLYLWPLSKPQAASASSWAPISRMINSKPPARWGKDEVHLCLSLLSIQYYEKMNFTNRGK >EOY16903 pep chromosome:Theobroma_cacao_20110822:8:13470018:13475247:-1 gene:TCM_035886 transcript:EOY16903 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase G3A isoform 2 MSVRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKEVQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDTLDNWHEEFLKQANPTDPRTFPFILLGNKIDIDGGNSRVVSDKKANEWCASKGKIPYFETSAKEDINVDHAFLCIAKTALANEREQDIYFQGIPEAVSETEQRNGCAC >EOY16904 pep chromosome:Theobroma_cacao_20110822:8:13469778:13474634:-1 gene:TCM_035886 transcript:EOY16904 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase G3A isoform 2 PPCHSASQERFQSLGVAFYRGADCCVLVYDVNVMKSFDTLDNWHEEFLKQANPTDPRTFPFILLGNKIDIDGGNSRVVSDKKANEWCASKGKIPYFETSAKEDINVDHAFLCIAKTALANEREQDMASRRLCLRLSKEMVVHADYKPNSSILGCLMLQFFFLVPMIHIHFLYTLYSKLP >EOY15194 pep chromosome:Theobroma_cacao_20110822:8:2540472:2544456:-1 gene:TCM_034343 transcript:EOY15194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein MGEVVEDGSAPRHQAQAIQAPPMQMQYNSPSLSRNPLLLSVQSDGDAANRTQKSSHQAPNFLSPLGTPLRKAIQLTKLDPQDAWLPITESRNGNAYYAAFHTLCSGIGIQALVLPVAFTILGWTWGIISLIVAFIWQLYTLWILVKLHESAETGMRYSRYLQLFSATFGEKMGKLFALFPIMYLSGGTCVALIIVGGSTMKLFFQIVCGHCSAKPLTTVEWYLVFTCAAVVLSQLPNLNSIAGVSLVGAVTAIGYCTTMWMISVTEGRLDGVSYDPIKEEDNMAMIFSVLNALGIIAFAFRGHNLTLEIQATMPSSEKKPSKIPMWRGVKVAYLIIALCLFPLAVGGYWAYGHKIPANGGMLTAIYQYHGRDTSQAALALTSLFVIINAVSSFQIYGMPMFDDMESKYTKRKHKPCPWWLRAVFRAMFGYGCFFVAVAIPFLGSLAGLIGGIAVPVTFAYPCFMWLRIKKPEKYSVMWWVNWGLGLSGTVLSVVLIAAGVYVVIDTGIEVSFFKPH >EOY15920 pep chromosome:Theobroma_cacao_20110822:8:5027624:5029203:1 gene:TCM_034843 transcript:EOY15920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein, putative MASSGSGVAMACLVLAMSCMVVPSRQVVFTVGESTGWIPGVDYNAWAKGKNFKVGDRLVFNYPSGHTVDEVFENDYNTCTAGNALSTDNSGSTSIPLLTAGPHYFMCGVVGHCGQGMKLAVNVAAESTPLPSGTPSPATLAASSPSASNSSTDL >EOY15858 pep chromosome:Theobroma_cacao_20110822:8:4873758:4876330:-1 gene:TCM_034802 transcript:EOY15858 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein isoform 4 MVSPIGRMEEDDMGPPWLVPMLRASYFIPCPIHGDANKSECNLFCLDCMRNALCSYCLINHKDHRVVQIRRSSYHNVVRVSEIQKFIDISCVQTYIINSAKIVFLNERPQPRPGKGVTNTCEICCRSLLDSFRFCSLGCKLGAMKRGDPDLTFTLKAKHTRDSFYGGSESDESSTPKKIRKTPLFNRMMDGLPLSSDSHKNDGRERYSSSGDEANNTISPATPPIFNHHNARRRKVLTDRIQHRGRGLGPCSEAIG >EOY15862 pep chromosome:Theobroma_cacao_20110822:8:4874897:4876269:-1 gene:TCM_034802 transcript:EOY15862 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein isoform 4 MSSSSLVYSCLYAYDQVSPIGRMEEDDMGPPWLVPMLRASYFIPCPIHGDANKSECNLFCLDCMRNALCSYCLINHKDHRVVQIRRSSYHNVVRVSEIQKFIDISCVQTYIINSAKIVFLNERPQPRPGKGVTNTCEICCRSLLDSFRFCSLGCKLGAMKRGDPDLTFTLKAKHTRDSFYGGSESDESSTPKKIRKTPLFNRMMDGLPLSSDSHKNDGRERYSSSGDEANNTISPATPPIFNHHNARRRKG >EOY15860 pep chromosome:Theobroma_cacao_20110822:8:4874255:4876709:-1 gene:TCM_034802 transcript:EOY15860 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein isoform 4 RAELLHRTLAYINIYLFVNTTVVLLVCSLILYQAKFQLYASFFQLHLLKVELDKLAIDNQHQQHHHHQIFGVVEASHIHKKVMVSPIGRMEEDDMGPPWLVPMLRASYFIPCPIHGDANKSECNLFCLDCMRNALCSYCLINHKDHRVVQIRRSSYHNVVRVSEIQKFIDISCVQTYIINSAKIVFLNERPQPRPGKGVTNTCEICCRSLLDSFRFCSLGCKLGAMKRGDPDLTFTLKAKHTRDSFYGGSESDESSTPKKIRKTPLFNRMMDGLPLSSDSHKNDGRERYSSSGDEANNTISPATPPIFNHHNARRRKGIPHRAPF >EOY15861 pep chromosome:Theobroma_cacao_20110822:8:4873645:4876588:-1 gene:TCM_034802 transcript:EOY15861 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein isoform 4 MEEDDMGPPWLVPMLRASYFIPCPIHGDANKSECNLFCLDCMRNALCSYCLINHKDHRVVQIRRSSYHNVVRVSEIQKFIDISCVQTYIINSAKIVFLNERPQPRPGKGVTNTCEICCRSLLDSFRFCSLGCKLGAMKRGDPDLTFTLKAKHTRDSFYGGSESDESSTPKKIRKTPLFNRMMDGLPLSSDSHKNDGRERYSSSGDEANNTISPATPPIFNHHNARRRKVLTDRIQHRGRGLGPCSEAIG >EOY15859 pep chromosome:Theobroma_cacao_20110822:8:4874838:4876747:-1 gene:TCM_034802 transcript:EOY15859 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein isoform 4 MVSPIGRMEEDDMGPPWLVPMLRASYFIPCPIHGDANKSECNLFCLDCMRNALCSYCLINHKDHRVVQIRRSSYHNVVRVSEIQKFIDISCVQTYIINSAKIVFLNERPQPRPGKGVTNTCEICCRSLLDSFRFCSLGCKLGAMKRGDPDLTFTLKAKHTRDSFYGGSESDESSTPKKIRKTPLFNRMMDGLPLSSDSHKNDGRERYSSSGDEANNTISPATPPIFNHHNARRRKGIPHRAPF >EOY14599 pep chromosome:Theobroma_cacao_20110822:8:688480:689884:-1 gene:TCM_033921 transcript:EOY14599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease inhibitor (SERPIN) family protein, putative MDVREMIRSQTDVALSLTKHVLTTQAKVDSNLVFSPLSAQVVLSAIAVGSNGSNLGQLLCFLKSTSNDYLSSFYSEIISAVFVDGRPVGGPRLSFSNGVWVDKSLPLKHSFGQIMKNVYKAASNQVDFQTEADLMRSEVNLWAEKGTNGLIKQVLPPGSVNRLTRLIFANALYFKGVWNEKFDSLKTKDHDFYLTNGSSVQVPFMTSKKKQYIRAYDGFKVLGLPYKQGGDIRRFTMYIFQMQEMG >EOY16220 pep chromosome:Theobroma_cacao_20110822:8:6288673:6290747:1 gene:TCM_035063 transcript:EOY16220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonol synthase MEVERVQALAFGGLNELPAQFIRPAHERPENSKPIEGVTVPVISLSQPRDVLVKEVSEACSQWGFLLVTDHGLSPELIKRLQQVGQEFFALPQKEKEAYANDPSSGKFEGYGTKMTKNLEEKVEWVDYYFHLMSPPSKVNHDIWPKHPPSYREVNEEYSKEMLRITGELLGLLSEGLGLDKMALATPLGDQEIELEMKINMYPPCPQPHLALGVEPHTDMSALTLLVPNDVPGLQVWKDGSWIAVDYLPNALFVHVGDQIEVLSNGKYKSVLHRSVVNKDRTRMSWAVFCAPPHEAMIGPLPGLVNEQNPAKYSTKTFAEYRYRKFNKLPQ >EOY15633 pep chromosome:Theobroma_cacao_20110822:8:4054396:4056070:1 gene:TCM_034634 transcript:EOY15633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 84 MGRAPCCDKASVKKGPWSPEEDAKLKEYIEQHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDKIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKEQQSRRGNSLKQEMKRGNGNSMIPDHNNQSPYWPELPVLAPIPYSSEDQRIDSQASMRRLLIKLGGRFSEAEHVISDGTTLHQFPNDSSSTQQLYEQTVHMPSSSPPMDALNNNIDTQFVNSPYTTIDATNLHMLQGQSSFSSELQEMAYSNPQRLDGMEFLYGEDMINNRAVNSCVSIGWGDTSSLVCPPVTSEYGGMQQGILQEYAFSELRYPGA >EOY16072 pep chromosome:Theobroma_cacao_20110822:8:5653257:5658374:1 gene:TCM_034954 transcript:EOY16072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulase containing protein, expressed MLVSSTRHVLSICVLLSLVLSFCQGRVDPNFRIRAVNLGGWLVTEGWIKPTLFDGILNKDFLDGTALQFKSVTTGKYLCAELGGGSIIVANRTAASGWETFRLWRINGTALNFRVFEKQFIGLDTNGNGIDMVAVSKTPGNSETFEIVRDSNDRNRVRIKAPNGYYLQAKTEELVTADSQGGNGWGDDDPSVFVMQMTGRLEGEFQVTNGYGPDRAPQVMRDHWRTFIVEEDFKFISQNGLNAVRIPVGWWIASDPTPPPPYVGGSLQALDHAFSWAEKYGLKVIIDLHAAPGSQNGWEHSASRDGSQEWGKTDENIHQTVAVIEFLTARYAKSPSLYAVELINEPLSPGATLESVTKYYKAGYAAVRRHSSTAFVVLSNRLGPMEPRELFPMASGLRGSVIDVHYYNLFQDMFNNLTVQQNIDFIYTNRSSQLNHITTSNGPLTFVGEWVAEWNVSGATKEDYQRFAKAQLEVYGRATFGWAYWTLRNVNRHWSLEWMINNGYIKL >EOY16494 pep chromosome:Theobroma_cacao_20110822:8:7734077:7737208:1 gene:TCM_035279 transcript:EOY16494 gene_biotype:protein_coding transcript_biotype:protein_coding description:F9C16.20, putative isoform 2 MACHSAYYASFSPSPFKDYAAKNRKFRPSEGFRPLRLQVSSISSTARASCNTLVSELFFWILLSDFQAARLLGPPASFEASKLKVVFMGEGLKNYSATIPRTYILSHCDFTANLTLTISNVINLDQLKGWCHKDDVVAEWKKVRETMLLNVHCYVSGPNLLLDLAAEFRYHIFSKELPLVLKAVLHGDSVLFTENPELLDAVVCVYFHSSLPKYNRIECWGPLKDAAEGRLGNQVQGLLTAHKESSSPPEKWGRRPKSIFQALFTFLL >EOY16493 pep chromosome:Theobroma_cacao_20110822:8:7734060:7737179:1 gene:TCM_035279 transcript:EOY16493 gene_biotype:protein_coding transcript_biotype:protein_coding description:F9C16.20, putative isoform 2 MACHSAYYASFSPSPFKDYAAKNRKFRPSEGFRPLRLQVSSISSTARASCNTLVSEKWLPIDAISSCSDIFPAATKLHTRVLSLLQERPMAARLLGPPASFEASKLKVVFMGEGLKNYSATIPRTYILSHCDFTANLTLTISNVINLDQLKGWCHKDDVVAEWKKVRETMLLNVHCYVSGPNLLLDLAAEFRYHIFSKELPLVLKAVLHGDSVLFTENPELLDAVVCVYFHSSLPKYNRIECWGPLKDAAEGRLGNQVQGLLTAHKESSSPPEKWGRRPKSIFQALFTFLL >EOY16216 pep chromosome:Theobroma_cacao_20110822:8:6251463:6257282:1 gene:TCM_035059 transcript:EOY16216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Camphor resistance CrcB family protein, putative isoform 1 MERGTNNSEPARTGSFSRTSSLGSSLRKRSLSISSVVSAQIDDDIHSETVSEAGDIGDRALQSNRHSVSSSVRLSVDCAMETGTVIHPAELNTISLVSPLPEDITFPLPIDPLKCSEDKRQEKRGLPLSLEYISCLIHLAVFGILGVLTRYLLERLFGPSVSGVTSNQTIVYPNLPSNMVGSFLMGWWGVVFKGDISQVSDILAIGLTTGYLGSLTTFSGWNQKMLDLSVNGHWVQAVLGFLIGLFLAAYSIIFGIETAKGFRWILKRLNTSTKEVPNISSNWRLDSCRRQLAAMVVLLLVLGVILSVSGALLKEEFGSGSSGAQLWLACLVGPLGVWIRWFLARLNGRGLGKSGILRWLPFGTLIANVFAACVMAALSTVKRTVNTKNFDTVSTGMQLGFLGCLSTVSTFIAEFNAMRESKHPWRAYAYALITTGVSFGLGILIYNVPAWTKGFT >EOY16217 pep chromosome:Theobroma_cacao_20110822:8:6251528:6257430:1 gene:TCM_035059 transcript:EOY16217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Camphor resistance CrcB family protein, putative isoform 1 MERGTNNSEPARTGSFSRTSSLGSSLRKRSLSISSVVSAQIDDDIHSETVSEAGDIGDRALQSNRHSVSSSVRLSVDCAMETGTVIHPAELNTISLVSPLPEDITFPLPIDPLKCSEDKRQEKRGLPLSLEYISCLIHLAVFGILGVGSFLMGWWGVVFKGDISQVSDILAIGLTTGYLGSLTTFSGWNQKMLDLSVNGHWVQAVLGFLIGLFLAAYSIIFGIETAKGFRWILKRLNTSTKEVPNISSNWRLDSCRRQLAAMVVLLLVLGVILSVSGALLKEEFGSGSSGAQLWLACLVGPLGVWIRWFLARLNGRGLGKSGILRWLPFGTLIANVFAACVMAALSTVKRTVNTKNFDTVSTGMQLGFLGCLSTVSTFIAEFNAMRESKHPWRAYAYALITTGVSFGLGILIYNVPAWTKGFT >EOY15654 pep chromosome:Theobroma_cacao_20110822:8:4144323:4146806:-1 gene:TCM_034653 transcript:EOY15654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLLLSSPSGALSARKCSLKFTAPIQPLYSNSATSRRPKLIPTRNSPKPLHLTFAKAEGGLDSASASASAPKQSPPPPPPFNNNDTVFVGQEDVPLEGVIQFEKPSSSSHLIKWGRVALLAGGDVLALLLFSAIGRYNHGLPIFAMDTLRTADPFMAGWFLSAYFLGGYGEDGRGANGLSQAVIAAAKSWALGIPLGLIIRAATSGHIPPYPFVLVTMGSTSVLLIGWRALVISIFPDETKKKKNDVYRRGSPFELFELLTSLIRRW >EOY15655 pep chromosome:Theobroma_cacao_20110822:8:4144392:4146986:-1 gene:TCM_034653 transcript:EOY15655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLLLSSPSGALSARKCSLKFTAPIQPLYSNSATSRRPKLIPTRNSPKPLHLTFAKAEGGLDSASASASAPKQSPPPPPPFNNNDTVFVGQEDVPLEGVIQFEKPSSSSHLIKWGRVALLAGGDVLALLLFSAIGRYNHGLPIFAMDTLRTADPFMAGWFLSAYFLGGYGEDGRGANGLSQAVIAAAKSWALGIPLGLIIRAATSGHIPPYPFVLVTMGSTSVLLIGWRALVISIFPDETKKKKNDVYRRGSPFELFELLTSLIRRW >EOY16520 pep chromosome:Theobroma_cacao_20110822:8:8087531:8088051:-1 gene:TCM_035322 transcript:EOY16520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKWEVIQQVFKGIGLFGAYNIRWFNYKHILINLLNEYEYDFKRIWGYQAWFIANQKMEVFKWSLDLNPEKTPSIVLVWISFPNLKLHLFEKSMLLAIAKSIENLLYVNESPANGTKPSMARVYVEYDCFNPLVDSI >EOY14515 pep chromosome:Theobroma_cacao_20110822:8:496823:501019:-1 gene:TCM_033872 transcript:EOY14515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF829, transmembrane 53 [Source:Projected from Arabidopsis thaliana (AT5G44250) TAIR;Acc:AT5G44250] MWGFGGRYYWGRKERVERREGGIVVVFAWMSSQEKHLQNYVQLYASLGWDSLVCHSEFLNMFFPEKAAALALYLLKELVEELKMKPCPVVFASFSGGPKACMYKVLQMIEGLCEVQGNPDDLQLVKECFSGHIYDSSPVDFTSDLGARFVVHPTVLKMSHPPRIASWIANQIASGLDALFLSRFESHRAEYWQTLYASVSMGAPYLILCSETDDLAPYQIICNFAQRIEQLGGDIKLVKWNGSPHVGHYRHYPFEYKAAVTELLSKAAASYSQRIQRLEGERMGLAGTHDEISEPISDISKTALSPNQSFQGTLVQSDHFLLPSSIEYYEGRDFMQDEHKEGMIRLPHPPSINVHGVLGQILFDVCVPQNVEGWDMKSSDSSSRRPYTCAWRNSHFNPIKCIRRSRL >EOY14514 pep chromosome:Theobroma_cacao_20110822:8:496789:502977:-1 gene:TCM_033872 transcript:EOY14514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF829, transmembrane 53 [Source:Projected from Arabidopsis thaliana (AT5G44250) TAIR;Acc:AT5G44250] MWGFGGRYYWGRKERVERREGGIVVVFAWMSSQEKHLQNYVQLYASLGWDSLVCHSEFLNMFFPEKAAALALYLLKELVEELKMKPCPVVFASFSGGPKACMYKVLQMIEGLCEVQGNPDDLQLVKECFSGHIYDSSPVDFTSDLGARFVVHPTVLKMSHPPRIASWIANQIASGLDALFLSRFESHRAEYWQTLYASVSMGAPYLILCSETDDLAPYQIICNFAQRIEQLGGDIKLVKWNGSPHVGHYRHYPFEYKAAVTELLSKAAASYSQRIQRLEGERMGLAGTHDEISEPISDISKTALSPNQSFQGTLVQSDHFLLPSSIEYYEGRDFMQDEHKEGMIRLPHPPSINVHGVLGQILFDVCVPQNVEGWDMKSSDSSSRRPYTCAWRNSHFNPIKCIRRSRL >EOY16228 pep chromosome:Theobroma_cacao_20110822:8:6346682:6350362:1 gene:TCM_035070 transcript:EOY16228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein isoform 1 MQAQCTWTQSEMLRPLSFKFPTTFFTSSKHFRKGTDSPFFSTNRRINKCPSVMATISTASNHKGSVSTQDGPAQITQQPSQVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKDAAIQAIKDGKNQYARGYGVPDFNNAIAARFKKDTGLVIDPEKEVTVTSGCTEAIAATMLGLINPGDEVILFAPFYDSYEATLSMAGAKIKCISLRPPDFAVPIDELKSSISKNTRAILINTPHNPTGKMFTHKELDMIASLCIENDVLVFTDEVYDKLAFEMDHISMASLPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATSTPMQWAAAVALRAPDSYYVELKRDYMAKKAILVEGLEDVGFKVFPSSGTYFVVVDHTPFGLENDIVFCEYLIKEVGVVAIPTSVFYLNPEEGKNLVRFTFCKDEETLRSAVERMKEKLKKK >EOY16230 pep chromosome:Theobroma_cacao_20110822:8:6347147:6349703:1 gene:TCM_035070 transcript:EOY16230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein isoform 1 MIWTKVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKDAAIQAIKDGKNQYARGYGVPDFNNAIAARFKKDTGLVIDPEKEVTVTSGCTEAIAATMLGLINPGDEVILFAPFYDSYEATLSMAGAKIKCISLRPPDFAVPIDELKSSISKNTRAILINTPHNPTGKMFTHKELDMIASLCIENDVLVFTDEVYDKLAFEMDHISMASLPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATSTPMQWAAAVALRAPDSYYVELKRDYMAKKAILVEGLEDVGFKVFPSSGTYFVVVDHTPFGLENDIVFCEYLIKEVGVVAIPTSVFYLNPEEGKNLVRFTFCKDEETLRSAVERMKEKLKKK >EOY16229 pep chromosome:Theobroma_cacao_20110822:8:6346442:6349601:1 gene:TCM_035070 transcript:EOY16229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein isoform 1 MQAQCTWTQSEMLRPLSFKFPTTFFTSSKHFRKGTDSPFFSTNRRINKCPSVMATISTASNHKGSVSTQDGPAQITQQPSQVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKDAAIQAIKDGKNQYARGYGVPDFNNAIAARFKKDTGLVIDPEKEVTVTSGCTEAIAATMLGLINPGDEVILFAPFYDSYEATLSMAGAKIKCISLRPPDFAVPIDELKSSISKNTRAILINTPHNPTGKMFTHKELDMIASLCIENDVLVFTDEVYDKLAFEMDHISMASLPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATSTPMQWAAAVALRAPDSYYVELKRDYMAKKAILVEGLEDVGFKVFPSSGTYFVVVDHTPFGLENDIVFCEYLIKEVGVVAIPTSVFYLNPEEGKNLVRFTFCKDEETLRSAVERMKEKLKKK >EOY16268 pep chromosome:Theobroma_cacao_20110822:8:6491186:6495203:-1 gene:TCM_035102 transcript:EOY16268 gene_biotype:protein_coding transcript_biotype:protein_coding description:EamA-like transporter family protein isoform 1 MQTIKSFTPATTVVLQWLVWRKYFDWRIWASLVPIVGGILLTSITELSFNMLGFFAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILGVPALLLEGNGVMDWFETHPSPWAALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVALAVLVSWLIFRNPISALNAVGCGITLAGCTFYGYVRHILSQQPPGTPRTPRTPRTPRNRMELLPLVNNDKLDDKV >EOY16267 pep chromosome:Theobroma_cacao_20110822:8:6490965:6495298:-1 gene:TCM_035102 transcript:EOY16267 gene_biotype:protein_coding transcript_biotype:protein_coding description:EamA-like transporter family protein isoform 1 MEDGMLLQWSVFRSLLAILQWWGFNVTVIIINKWIFQKLDFKFPLSVSCVHFICSSIGAYLIIKVLKLKPLIVTIKSFTPATTVVLQWLVWRKYFDWRIWASLVPIVGGILLTSITELSFNMLGFFAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILGVPALLLEGNGVMDWFETHPSPWAALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVALAVLVSWLIFRNPISALNAVGCGITLAGCTFYGYVRHILSQQPPGTPRTPRTPRTPRNRMELLPLVNNDKLDDKV >EOY17292 pep chromosome:Theobroma_cacao_20110822:8:19059248:19059731:-1 gene:TCM_036438 transcript:EOY17292 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCHC-type integrase MQHGKVIAYASRQLKRHEQNYPIHDLKMAAIVFALKIWRHYLYGETCEIYTDHKSLKYIFQQRDLNLRQRRWMELLKDYDCTILYHPGKANVVADALSRKSMGSLAHISIGRRSLVREIHSLGDIGLKKHKVKMSL >EOY17290 pep chromosome:Theobroma_cacao_20110822:8:19050523:19082917:-1 gene:TCM_036438 transcript:EOY17290 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCHC-type integrase MRNKCSPLNLVDTKRLALYIECNISELWHRRLGHVNCNSLSYMSSNELIDGLLEIAKPDKLCKTYQLGKLTKKPCLKVKSNALKQFMKSKALCRKLCKLESNKMIVADENPIWVIDDEVSDIKDESLIVKGTRTPQDSKCHVVVTKPSNFINVVSDENWKVAMDAKMSMILKNNTCILVDKPFDQHVIGVKWIFGIKLNPNGIVNKYKARLVVKGYAQVYGVDYFETFAPVARHDTIRLLVALSARENWKIFHLSVKSAFLDGVLLKDIYILNCLKGI >EOY16580 pep chromosome:Theobroma_cacao_20110822:8:8622311:8623425:-1 gene:TCM_035387 transcript:EOY16580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPRAKQTKKTTYPASSDFAGVGSSSSSYGSSFLGNFMNASDAQKFRNNIGKLKVMPSRIIDFDYLESINFSLVKNFEILGWTYYLKLNQTYYESLVKDFYFTATHKFPDLKFSRSVSHWYRFITNVMAKRIIFTRELINNIHRIHTCIDDLGDVIDDGNLQSAFVFPLNNEGSSSYMRLCFPNRVLHLIINHTIHPHHFNYSKITKEDL >EOY15594 pep chromosome:Theobroma_cacao_20110822:8:3887213:3889560:1 gene:TCM_034607 transcript:EOY15594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha-2 chain MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESGEGDEGDEGEEY >EOY14771 pep chromosome:Theobroma_cacao_20110822:8:1227952:1228956:1 gene:TCM_034046 transcript:EOY14771 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ subfamily C member 2 MASGSTWTPKQNKLFENALAIYDKDTPDRWHNLARAVGGKTVEEVKMHYENLVEDIKQIESGQVPLPPYKKAGGSKGYSYMDEEQRLRNLRL >EOY17300 pep chromosome:Theobroma_cacao_20110822:8:19159340:19161154:1 gene:TCM_036453 transcript:EOY17300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavone synthase II, putative MEFLLNKSKAGKSVNVIQELLKLTNSIISQMMLSMRCSGSGNSADGVRTLVREVTEIFGEFNISDIIWFCKNWDLQGFRKRFEDIHRRYDALLERIIRDREEVRKSKKKGRNECDQGENVDEDILTAATDTTAIALEWALAELINHPEVLKIAQEEIDQVVGKSRLVEESDSPRLQYIHAIIKETFRLHPPIPMISRKSAIGRDPKVWADPLEFQPERFLISDEVDNNSGPIDVRGLHYQLLPFGTGRRGCPGASLAMQELPTSLAAMIQCFDWKPAATSKTGDGVDMSERPGLTAPRAKDLECFPVARFTPTLFET >EOY15064 pep chromosome:Theobroma_cacao_20110822:8:2117916:2124683:-1 gene:TCM_034249 transcript:EOY15064 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein, putative isoform 5 MESKSPPRCPSSVTVRRNPYRKARATPLTNPPQLPSHSTSSSKLPPISSFPIQDILSEEIPQNAPPTVTVTAASQSRSQDSIAENLRVYLRIRPLVPLKGSTKNVGDQNPSSRTKNVWPQNPSKKDSVREKKNSKKKNNESCVTVSEDCHSVTLSPPLPLQESKRIKSEVYEGFSYVFSADSTQSEVYEKMVNPLVEDFLKGKSGMLAALGPTGSGKTHTVFGSAREPGMVPLALQRIFKPAPACSSQSSRRFYLSIFEICAERGKAERICDLTSDGQDLSMQQSAIKGLQEVLVHDVAGAEQLIGRALLKRSTAMTNANSQSSRSQCIINIRRGIDSSDAETDEQSNSTVLSIVDLAGAEREKRTGSQGTRLAESNFINNTSMVFGLCLRSLLEHQKKPKKALQKHFQNSLLTRYLRDYLEGKKRMTLILTVKPGEEDYLDASYLLRQASPFMKIKFTNIEAQSNLLCNKRQFQTTFRAEQPKRIKLGSRDASMIEGKIARDENRLHDEEDLRICNAKFKNCTPLNLNSDNLMKRERNHQIMQNFAKALWSVLKQHNEKLKVAESEIQILKENLRNEKTRSIEMEKELNNLRSFCTCSKENSVASTIVKVGENFESTVHSEGHTFCSFDETKPVLDSSNRINSECSSCLRICDSTPGEDHRNVKVLSPNCNCSPENGDLVRRQQQDMSSQILSHKTSSNLSGLECIDDKQEPDALVGMTLRLLFGEKF >EOY15061 pep chromosome:Theobroma_cacao_20110822:8:2117119:2128301:-1 gene:TCM_034249 transcript:EOY15061 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein, putative isoform 5 MESKSPPRCPSSVTVRRNPYRKARATPLTNPPQLPSHSTSSSKLPPISSFPIQDILSEEIPQNAPPTVTVTAASQSRSQDSIAENLRVYLRIRPLVPLKGSTKNVGDQNPSSRTKNVWPQNPSKKDSVREKKNSKKKNNESCVTVSEDCHSVTLSPPLPLQESKRIKSEVYEGFSYVFSADSTQSEVYEKMVNPLVEDFLKGKSGMLAALGPTGSGKTHTVFGSAREPGMVPLALQRIFKPAPACSSQSSRRFYLSIFEICAERGKAERICDLTSDGQDLSMQQSAIKGLQEVLVHDVAGAEQLIGRALLKRSTAMTNANSQSSRSQCIINIRRGIDSSDAETDEQSNSTVLSIVDLAGAEREKRTGSQGTRLAESNFINNTSMVFGLCLRSLLEHQKKPKKALQKHFQNSLLTRYLRDYLEGKKRMTLILTVKPGEEDYLDASYLLRQASPFMKIKFTNIEAQSNLLCNKRQFQTTFRAEQPKRIKLGSRDASMIEGKIARDENRLHDEEDLRICNAKFKNCTPLNLNSDNLMKRERNHQIMQNFAKALWSVLKQHNEKLKVAESEIQILKENLRNEKTRSIEMEKELNNLRSFCTCSKENSVASTIVKVGENFESTVHSEGHTFCSFDETKPVLDSSNRINSECSSCLRICDSTPGEDHRNVKVLSPNCNCSPENGDLVRRQQQDMSSQILSHKTSSNLSGLECIDDKQEPDALDGFFLLDGQVRSSEADVSSPSICVSNENCQIFQIHESFPGTGNIHEDSSESNYVVAEHLDPKSDVKVSTSGNDSSASVEPGQQLGEKNEDLLDLLVSPKEVKHTQQQDLTYVPETEIRPDTSCNSSRKEKPKRRLLPASSILVREISTLDADEFDKPRGDGVGNKLAASERQRTQGSISLLRLLKNGSVLVLGATKVQRESKRSLPLIIGSVDSLGLRFKPFTMGSTVRANSKHPLMVKTRTNEWGMCDRTQRS >EOY15066 pep chromosome:Theobroma_cacao_20110822:8:2119662:2124639:-1 gene:TCM_034249 transcript:EOY15066 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein, putative isoform 5 KKRETMESKSPPRCPSSVTVRRNPYRKARATPLTNPPQLPSHSTSSSKLPPISSFPIQDILSEEIPQNAPPTVTVTAASQSRSQDSIAENLRVYLRIRPLVPLKGSTKNVGDQNPSSRTKNVWPQNPSKKDSVREKKNSKKKNNESCVTVSEDCHSVTLSPPLPLQESKRIKSEVYEGFSYVFSADSTQSEVYEKMVNPLVEDFLKGKSGMLAALGPTGSGKTHTVFGSAREPGMVPLALQRIFKPAPACSSQSSRRFYLSIFEICAERGKAERICDLTSDGQDLSMQQSAIKGLQEVLVHDVAGAEQLIGRALLKRSTAMTNANSQSSRSQCIINIRRGIDSSDAETDEQSNSTVLSIVDLAGAEREKRTGSQGTRLAESNFINNTSMVFGLCLRSLLEHQKKPKKALQKHFQNSLLTRYLRDYLEGKKRMTLILTVKPGEEDYLDASYLLRQASPFMKIKFTNIEAQSNLLCNKRQFQTTFRAEQPKRIKLGSRDASMIEGKIARDENRLHDEEDLRICNAKFKNCTPLNLNSDNLMKRERNHQIMQNFAKALWSVLKQHNEKLKVAESEIQILKENLRNEKTRSIEMEKELNNLRSFCTCSKENSVASTIVKVGENFESTVHSEGHTFCSFDERNVKVLSPNCNCSPENGDLVRRQQQDMSSQILSHKTSSNLSGLECIDDKQEPDALDGFFLLDGQVRSSEADVS >EOY15062 pep chromosome:Theobroma_cacao_20110822:8:2117916:2124683:-1 gene:TCM_034249 transcript:EOY15062 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein, putative isoform 5 MESKSPPRCPSSVTVRRNPYRKARATPLTNPPQLPSHSTSSSKLPPISSFPIQDILSEEIPQNAPPTVTVTAASQSRSQDSIAENLRVYLRIRPLVPLKGSTKNVGDQNPSSRTKNVWPQNPSKKDSVREKKNSKKKNNESCVTVSEDCHSVTLSPPLPLQESKRIKSEVYEGFSYVFSADSTQSEVYEKMVNPLVEDFLKGKSGMLAALGPTGSGKTHTVFGSAREPGMVPLALQRIFKPAPACSSQSSRRFYLSIFEICAERGKAERICDLTSDGQDLSMQQSAIKGLQEVLVHDVAGAEQLIGRALLKRSTAMTNANSQSSRSQCIINIRRGIDSSDAETDEQSNSTVLSIVDLAGAEREKRTGSQGTRLAESNFINNTSMVFGLCLRSLLEHQKKPKKALQKHFQNSLLTRYLRDYLEGKKRMTLILTVKPGEEDYLDASYLLRQASPFMKIKFTNIEAQSNLLCNKRQFQTTFRAEQPKRIKLGSRDASMIEGKIARDENRLHDEEDLRICNAKFKNCTPLNLNSDNLMKRERNHQIMQNFAKALWSVLKQHNEKLKVAESEIQILKENLRNEKTRSIEMEKELNNLRSFCTCSKENSVASTIVKVGENFESTVHSEGHTFCSFDETKPVLDSSNRINSECSSCLRICDSTPGEDHRNVKVLSPNCNCSPENGDLVRRQQQDMSSQILSHKTSSNLSGLECIDDKQEPDALVRSSEADVSSPSICVSNENCQIFQIHESFPGTGNIHEDSSESNYVVAEHLDPKSDVKVSTSGNDSSASVEPGQQLGEKNEDLLDLLVSPKEVKHTQQQDLTYVPETEIRPDTSCNSSRKEKPKRRLLPASSILVREISTLDADEFDKPRGDGVGNKLAASERQRTQGSISLLRLLKSNLHSLV >EOY15063 pep chromosome:Theobroma_cacao_20110822:8:2117916:2128301:-1 gene:TCM_034249 transcript:EOY15063 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein, putative isoform 5 MESKSPPRCPSSVTVRRNPYRKARATPLTNPPQLPSHSTSSSKLPPISSFPIQDILSEEIPQNAPPTVTVTAASQSRSQDSIAENLRVYLRIRPLVPLKGSTKNVGDQNPSSRTKNVWPQNPSKKDSVREKKNSKKKNNESCVTVSEDCHSVTLSPPLPLQESKRIKSEVYEGFSYVFSADSTQSEVYEKMVNPLVEDFLKGKSGMLAALGPTGSGKTHTVFGSAREPGMVPLALQRIFKPAPACSSQSSRRFYLSIFEICAERGKAERICDLTSDGQDLSMQQSAIKGLQEVLVHDVAGAEQLIGRALLKRSTAMTNANSQSSRSQCIINIRRGIDSSDAETDEQSNSTVLSIVDLAGAEREKRTGSQGTRLAESNFINNTSMVFGLCLRSLLEHQKKPKKALQKHFQNSLLTRYLRDYLEGKKRMTLILTVKPGEEDYLDASYLLRQASPFMKIKFTNIEAQSNLLCNKRQFQTTFRAEQPKRIKLGSRDASMIEGKIARDENRLHDEEDLRICNAKFKNCTPLNLNSDNLMKRERNHQIMQNFAKALWSVLKQHNEKLKVAESEIQILKENLRNEKTRSIEMEKELNNLRSFCTCSKENSVASTIVKVGENFESTVHSEGHTFCSFDETKPVLDSSNRINSECSSCLRICDSTPGEDHRNVKVLSPNCNCSPENGDLVRRQQQDMSSQILSHKTSSNLSGLECIDDKQEPDALVRSSEADVSSPSICVSNENCQIFQIHESFPGTGNIHEDSSESNYVVAEHLDPKSDSALQAMTAVHLWNQVNSLVRKMRTYWICWYHLRKLNILNSKTLRMCLRLKSDLIPPATLQGRKNQKGGFCLLHPF >EOY15067 pep chromosome:Theobroma_cacao_20110822:8:2119687:2124624:-1 gene:TCM_034249 transcript:EOY15067 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein, putative isoform 5 MESKSPPRCPSSVTVRRNPYRKARATPLTNPPQLPSHSTSSSKLPPISSFPIQDILSEEIPQNAPPTVTVTAASQSRSQDSIAENLRVYLRIRPLVPLKGSTKNVGDQNPSSRTKNVWPQNPSKKDSVREKKNSKKKNNESCVTVSEDCHSVTLSPPLPLQESKRIKSEVYEGFSYVFSADSTQSEVYEKMVNPLVEDFLKGKSGMLAALGPTGSGKTHTVFGSAREPGMVPLALQRIFKPAPACSSQSSRRFYLSIFEICAERGKAERICDLTSDGQDLSMQQSAIKGLQEVLVHDVAGAEQLIGRALLKRSTAMTNANSQSSRSQCIINIRRGIDSSDAETDEQSNSTVLSIVDLAGAEREKRTGSQGTRLAESNFINNTSMVFGLCLRSLLEHQKKPKKALQKHFQNSLLTRYLRDYLEGKKRMTLILTVKPGEEDYLDASYLLRQASPFMKIKFTNIEAQSNLLCNKRQFQTTFRAEQPKRIKLGSRDASMIEGKIARDENRLHDEEDLRICNAKFKNCTPLNLNSDNLMKRERNHQIMQNFAKALWSVLKQHNEKLKVAESEIQILKENLRNEKTRSIEMEKELNNLRSFCTCSKENSVASTIVKVGENFESTVHSEGHTFCSFDERNVKVLSPNCNCSPENGDLVRRQQQDMSSQILSHKTSSNLSGLECIDDKQEPDALVGMTLRLLFGRFFGEIAFDGKKIFPFISFLFDRMDFFYWMVR >EOY15065 pep chromosome:Theobroma_cacao_20110822:8:2117916:2128301:-1 gene:TCM_034249 transcript:EOY15065 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein, putative isoform 5 MESKSPPRCPSSVTVRRNPYRKARATPLTNPPQLPSHSTSSSKLPPISSFPIQDILSEEIPQNAPPTVTVTAASQSRSQDSIAENLRVYLRIRPLVPLKGSTKNVGDQNPSSRTKNVWPQNPSKKDSVREKKNSKKKNNESCVTVSEDCHSVTLSPPLPLQESKRIKSEVYEGFSYVFSADSTQSEVYEKMVNPLVEDFLKGKSGMLAALGPTGSGKTHTVFGSAREPGMVPLALQRIFKPAPACSSQSSRRFYLSIFEICAERGKAERICDLTSDGQDLSMQQSAIKGLQEVLVHDVAGAEQLIGRALLKRSTAMTNANSQSSRSQCIINIRRGIDSSDAETDEQSNSTVLSIVDLAGAEREKRTGSQGTRLAESNFINNTSMVFGLCLRSLLEHQKKPKKALQKHFQNSLLTRYLRDYLEGKKRMTLILTVKPGEEDYLDASYLLRQASPFMKIKFTNIEAQSNLLCNKRQFQTTFRAEQPKRIKLGSRDASMIEGKIARDENRLHDEEDLRICNAKFKNCTPLNLNSDNLMKRERNHQIMQNFAKALWSVLKQHNEKLKVAESEIQILKENLRNEKTRSIEMEKELNNLRSFCTCSKENSVASTIVKVGENFESTVHSEGHTFCSFDERNVKVLSPNCNCSPENGDLVRRQQQDMSSQILSHKTSSNLSGLECIDDKQEPDALVRSSEADVSSPSICVSNENCQIFQIHESFPGTGNIHEDSSESNYVVAEHLDPKSDVKVSTSGNDSSASVEPGQQLGEKNEDLLDLLVSPKEVKHTQQQDLTYVPETEIRPDTSCNSSRKEKPKRRLLPASSILVREISTLDADEFDKPRGDGVGNKLAASERQRTQGSISLLRLLKSNLHSLV >EOY15662 pep chromosome:Theobroma_cacao_20110822:8:4176515:4187161:1 gene:TCM_034659 transcript:EOY15662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A isoform 8 MANNSQPSSAQPHWPPAVGSLGPQSYGSPLSSQFRPVVPMQQGQHFVPAASQQFRPVGQVPSSNVGMPAVQNQQMQFSQPMQQFPPRPNQPGLSAPSAQPMHVPFGQTNRPLTSGSPQSHQTAPPLNSHMPGLGAPGMPPSSSYSYVPSSFGQPQNNVSASSQFQPTSQVHASVAPVAGQPWLSSGNQSVSLAIPIQQTGQQPPLISSADTAANAPIHTPPSASDWQEHTSADGRRYYYNKKTRQSSWEKPLELMTPIERADASTVWKEFTTPEGRKYYYNKVTKQSKWTIPEELKLAREQAQVVASQGAPSDTGVASQAPVAGAVSSAEMPAAAIPVSSNTSQASSPVSVTPVAAVANPSPTLVSGSTVVPVSQSAATNASEVQSPAVAVTPLPAVSSGGSTTPVTSVNANTTMIRSLESTASQDSVHFTNGASAQDIEEAKKGMATAGKVNVTPVEEKVPDDEPLVYANKQEAKNAFKSLLESANVQSDWTWEQTMREIINDKRYGALKTLGERKQAFNEYLGQRKKLEAEERRMRQKKAREEFTKMLEESKELTSSMRWSKAQSLFENDERFKAVERARDREDLFENYIVELERKERENAAEEKRRNIAEYRKFLESCDFIKANSQWRKVQDRLEDDERCSRLEKIDRLVMFQDYIHDLEKEEEEKKKMQKEQLRRAERKNRDAFRKLMDEHVVDGTLTAKTYWRDYCLKVKDLPPYLAVASNTSGSTPKDLFEDVVEELEKQYQQDKTHIKDAMKSGKISMVSTWTVEDFKAAISEDVGSLPISDINLKLVYEELLKSAKEKEEKEAKKRQRLADDFTKLLHTYKEITASSDWEDSRPLFEESQEYRSIAEESLRREIFEEYIAYLQEKAKEKERKREEEKAKKEKEREEKEKRKEKERKEKEREREREKGKERTKKDETDSENLDISDSHGHKEDKKKEKEKDRKHRKRHQSGGDDGSSDKDDREESKKSRRHGSDRKKSRKHAHSPESDNESRHKKHKRDHRDGSRRNSGYEELEDGEVGEDGEIQ >EOY15668 pep chromosome:Theobroma_cacao_20110822:8:4176840:4185004:1 gene:TCM_034659 transcript:EOY15668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A isoform 8 MANNSQPSSAQPHWPPAVGSLGPQSYGSPLSSQFRPVVPMQQGQHFVPAASQQFRPVGQVPSSNVGMPAVQNQQMQFSQPMQQFPPRPNQPGLSAPSAQPMHVPFGQTNRPLTSGSPQSHQTAPPLNSHMPGLGAPGMPPSSSYSYVPSSFGQPQNNVSASSQFQPTSQVHASVAPVAGQPWLSSGNQSVSLAIPIQQTGQQPPLISSADTAANAPIHTPPSASDWQEHTSADGRRYYYNKKTRQSSWEKPLELMTPIERADASTVWKEFTTPEGRKYYYNKVTKQSKWTIPEELKLAREQAQVVASQGAPSDTGVASQAPVAGAVSSAEMPAAAIPVSSNTSQASSPVSVTPVAAVANPSPTLVSGSTVVPVSQSAATNASEVQSPAVAVTPLPAVSSGGSTTPVTSVNANTTMIRSLESTASQDSVHFTNGASAQDIEEAKKGMATAGKVNVTPVEEKVPDDEPLVYANKQEAKNAFKSLLESANVQSDWTWEQTMREIINDKRYGALKTLGERKQAFNEYLGQRKKLEAEERRMRQKKAREEFTKMLEESKELTSSMRWSKAQSLFENDERFKAVERARDREDLFENYIVELERKERENAAEEKRRNIAEYRKFLESCDFIKANSQWRKVQDRLEDDERCSRLEKIDRLVMFQDYIHDLEKEEEEKKKMQKVEEQLRRAERKNRDAFRKLMDEHVVDGTLTAKTYWRDYCLKVKDLPPYLAVASNTSGSTPKDLFEDVVEELEKQVCASNLFSARFDVYFFLLHYSSLQQRTNDFHTFFMVSFLHE >EOY15664 pep chromosome:Theobroma_cacao_20110822:8:4176843:4185474:1 gene:TCM_034659 transcript:EOY15664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A isoform 8 MANNSQPSSAQPHWPPAVGSLGPQSYGSPLSSQFRPVVPMQQGQHFVPAASQQFRPVGQVPSSNVGMPAVQNQQMQFSQPMQQFPPRPNQPGLSAPSAQPMHVPFGQTNRPLTSGSPQSHQTAPPLNSHMPGLGAPGMPPSSSYSYVPSSFGQPQNNVSASSQFQPTSQVHASVAPVAGQPWLSSGNQSVSLAIPIQQTGQQPPLISSADTAANAPIHTPPSASDWQEHTSADGRRYYYNKKTRQSSWEKPLELMTPIERADASTVWKEFTTPEGRKYYYNKVTKQSKWTIPEELKLAREQAQVVASQGAPSDTGVASQAPVAGAVSSAEMPAAAIPVSSNTSQASSPVSVTPVAAVANPSPTLVSGSTVVPVSQSAATNASEVQSPAVAVTPLPAVSSGGSTTPVTSVNANTTMIRSLESTASQDSVHFTNGASAQDIEEAKKGMATAGKVNVTPVEEKVPDDEPLVYANKQEAKNAFKSLLESANVQSDWTWEQTMREIINDKRYGALKTLGERKQAFNEYLGQRKKLEAEERRMRQKKAREEFTKMLEESKELTSSMRWSKAQSLFENDERFKAVERARDREDLFENYIVELERKERENAAEEKRRNIAEYRKFLESCDFIKVQHFQKRIQANSQWRKVQDRLEDDERCSRLEKIDRLVMFQDYIHDLEKEEEEKKKMQKEQLRRAERKNRDAFRKLMDEHVVDGTLTAKTYWRDYCLKVKDLPPYLAVASNTSGSTPKDLFEDVVEELEKQYQQDKTHIKDAMKSGKISMVSTWTVEDFKAAISEDVGSLPISDINLKLVYEELLKSAKEKEEKEAKKRQRLADDFTKLLHTYKVCYIVL >EOY15661 pep chromosome:Theobroma_cacao_20110822:8:4176141:4187314:1 gene:TCM_034659 transcript:EOY15661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A isoform 8 MANNSQPSSAQPHWPPAVGSLGPQSYGSPLSSQFRPVVPMQQGQHFVPAASQQFRPVGQVPSSNVGMPAVQNQQMQFSQPMQQFPPRPNQPGLSAPSAQPMHVPFGQTNRPLTSGSPQSHQTAPPLNSHMPGLGAPGMPPSSSYSYVPSSFGQPQNNVSASSQFQPTSQVHASVAPVAGQPWLSSGNQSVSLAIPIQQTGQQPPLISSADTAANAPIHTPPSASDWQEHTSADGRRYYYNKKTRQSSWEKPLELMTPIERADASTVWKEFTTPEGRKYYYNKVTKQSKWTIPEELKLAREQAQVVASQGAPSDTGVASQAPVAGAVSSAEMPAAAIPVSSNTSQASSPVSVTPVAAVANPSPTLVSGSTVVPVSQSAATNASEVQSPAVAVTPLPAVSSGGSTTPVTSVNANTTMIRSLESTASQDSVHFTNGASAQDIEEAKKGMATAGKVNVTPVEEKVPDDEPLVYANKQEAKNAFKSLLESANVQSDWTWEQTMREIINDKRYGALKTLGERKQAFNEYLGQRKKLEAEERRMRQKKAREEFTKMLEESKELTSSMRWSKAQSLFENDERFKAVERARDREDLFENYIVELERKERENAAEEKRRNIAEYRKFLESCDFIKANSQWRKVQDRLEDDERCSRLEKIDRLVMFQDYIHDLEKEEEEKKKMQKEQLRRAERKNRDAFRKLMDEHVVDGTLTAKTYWRDYCLKVKDLPPYLAVASNTSGSTPKDLFEDVVEELEKQYQQDKTHIKDAMKSGKISMVSTWTVEDFKAAISEDVGSLPISDINLKLVYEELLKSAKEKEEKEAKKRQRLADDFTKLLHTYKEITASSDWEDSRPLFEESQEYRSIAEESLRREIFEEYIAYLQEKAKEKERKREEEKAKKEKEREEKEKRKEKERKEKEREREREKGKERTKKDETDSENLDISDSHGHKEDKKKEKEKDRKHRKRHQSGGDDGSSDKDDREESKKSRRHGSDRKKSRKHAHSPESDNESRHKKHKRDHRDGSRRNSGYEELEDGEVGEDGEIQ >EOY15665 pep chromosome:Theobroma_cacao_20110822:8:4176843:4185902:1 gene:TCM_034659 transcript:EOY15665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A isoform 8 MANNSQPSSAQPHWPPAVGSLGPQSYGSPLSSQFRPVVPMQQGQHFVPAASQQFRPVGQVPSSNVGMPAVQNQQMQFSQPMQQFPPRPNQPGLSAPSAQPMHVPFGQTNRPLTSGSPQSHQTAPPLNSHMPGLGAPGMPPSSSYSYVPSSFGQPQNNVSASSQFQPTSQVHASVAPVAGQPWLSSGNQSVSLAIPIQQTGQQPPLISSADTAANAPIHTPPSASDWQEHTSADGRRYYYNKKTRQSSWEKPLELMTPIERADASTVWKEFTTPEGRKYYYNKVTKQSKWTIPEELKLAREQAQVVASQGAPSDTGVASQAPVAGAVSSAEMPAAAIPVSSNTSQASSPVSVTPVAAVANPSPTLVSGSTVVPVSQSAATNASEVQSPAVAVTPLPAVSSGGSTTPVTSVNANTTMIRSLESTASQDSVHFTNGASAQDIEEAKKGMATAGKVNVTPVEEKVPDDEPLVYANKQEAKNAFKSLLESANVQSDWTWEQTMREIINDKRYGALKTLGERKQAFNEYLGQRKKLEAEERRMRQKKAREEFTKMLEESKELTSSMRWSKAQSLFENDERFKAVERARDREDLFENYIVELERKERENAAEEKRRNIAEYRKFLESCDFIKVQHFQKRIQANSQWRKVQDRLEDDERCSRLEKIDRLVMFQDYIHDLEKEEEEKKKMQKEQLRRAERKNRDAFRKLMDEHVVDGTLTAKTYWRDYCLKVKDLPPYLAVASNTSGSTPKDLFEDVVEELEKQYQQDKTHIKDAMKSGKISMVSTWTVEDFKAAISEDVGSLPISDINLKLVYEELLKSAKEKEEKEAKKRQRLADDFTKLLHTYKEITASSDWEDSRPLFEESQEYRSIAEESLRREIFEEYIAYLQEKAKEKERKREEEKVCEFLAMMSK >EOY15666 pep chromosome:Theobroma_cacao_20110822:8:4176515:4185125:1 gene:TCM_034659 transcript:EOY15666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A isoform 8 MANNSQPSSAQPHWPPAVGSLGPQSYGSPLSSQFRPVVPMQQGQHFVPAASQQFRPVGQVPSSNVGMPAVQNQQMQFSQPMQQFPPRPNQPGLSAPSAQPMHVPFGQTNRPLTSGSPQSHQTAPPLNSHMPGLGAPGMPPSSSYSYVPSSFGQPQNNVSASSQFQPTSQVHASVAPVAGQPWLSSGNQSVSLAIPIQQTGQQPPLISSADTAANAPIHTPPSASDWQEHTSADGRRYYYNKKTRQSSWEKPLELMTPIERADASTVWKEFTTPEGRKYYYNKVTKQSKWTIPEELKLAREQAQVVASQGAPSDTGVASQAPVAGAVSSAEMPAAAIPVSSNTSQASSPVSVTPVAAVANPSPTLVSGSTVVPVSQSAATNASEVQSPAVAVTPLPAVSSGGSTTPVTSVNANTTMIRSLESTASQDSVHFTNGASAQDIEEAKKGMATAGKVNVTPVEEKVPDDEPLVYANKQEAKNAFKSLLESANVQSDWTWEQTMREIINDKRYGALKTLGERKQAFNEYLGQRKKLEAEERRMRQKKAREEFTKMLEESKELTSSMRWSKAQSLFENDERFKAVERARDREDLFENYIVELERKERENAAEEKRRNIAEYRKFLESCDFIKVQHFQKRIQANSQWRKVQDRLEDDERCSRLEKIDRLVMFQDYIHDLEKEEEEKKKMQKEQLRRAERKNRDAFRKLMDEHVVDGTLTAKTYWRDYCLKVKDLPPYLAVASNTSGSTPKDLFEDVVEELEKQYQQDKTHIKDAMKSGKVRY >EOY15663 pep chromosome:Theobroma_cacao_20110822:8:4176515:4187161:1 gene:TCM_034659 transcript:EOY15663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A isoform 8 MANNSQPSSAQPHWPPAVGSLGPQSYGSPLSSQFRPVVPMQQGQHFVPAASQQFRPVGQVPSSNVGMPAVQNQQMQFSQPMQQFPPRPNQPGLSAPSAQPMHVPFGQTNRPLTSGSPQSHQTAPPLNSHMPGLGAPGMPPSSSYSYVPSSFGQPQNNVSASSQFQPTSQVHASVAPVAGQPWLSSGNQSVSLAIPIQQTGQQPPLISSADTAANAPIHTPPSASDWQEHTSADGRRYYYNKKTRQSSWEKPLELMTPIERADASTVWKEFTTPEGRKYYYNKVTKQSKWTIPEELKLAREQAQVVASQGAPSDTGVASQAPVAGAVSSAEMPAAAIPVSSNTSQASSPVSVTPVAAVANPSPTLVSGSTVVPVSQSAATNASEVQSPAVAVTPLPAVSSGGSTTPVTSVNANTTMIRSLESTASQDSVHFTNGASAQDIEEAKKGMATAGKVNVTPVEEKVPDDEPLVYANKQEAKNAFKSLLESANVQSDWTWEQTMREIINDKRYGALKTLGERKQAFNEYLGQRKKLEAEERRMRQKKAREEFTKMLEESKELTSSMRWSKAQSLFENDERFKAVERARDREDLFENYIVELERKERENAAEEKRRNIAEYRKFLESCDFIKVQHFQKRIQANSQWRKVQDRLEDDERCSRLEKIDRLVMFQDYIHDLEKEEEEKKKMQKEQLRRAERKNRDAFRKLMDEHVVDGTLTAKTYWRDYCLKVKDLPPYLAVASNTSGSTPKDLFEDVVEELEKQYQQDKTHIKDAMKSGKISMVSTWTVEDFKAAISEDVGSLPISDINLKLVYEELLKSAKEKEEKEAKKRQRLADDFTKLLHTYKEITASSDWEDSRPLFEESQEYRSIAEESLRREIFEEYIAYLQEKAKEKERKREEEKAKKEKEREEKEKRKEKERKEKEREREREKGKERTKKDETDSENLDISDSHGHKEDKKKEKEKDRKHRKRHQSGGDDGSSDKDDREESKKSRRHGSDRKKSRKHAHSPESDNESRHKKHKRDHRDGSRRNSGYEELEDGEVGEDGEIQ >EOY15667 pep chromosome:Theobroma_cacao_20110822:8:4176141:4185274:1 gene:TCM_034659 transcript:EOY15667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A isoform 8 MANNSQPSSAQPHWPPAVGSLGPQSYGSPLSSQFRPVVPMQQGQHFVPAASQQFRPVGQVPSSNVGMPAVQNQQMQFSQPMQQFPPRPNQPGLSAPSAQPMHVPFGQTNRPLTSGSPQSHQTAPPLNSHMPGLGAPGMPPSSSYSYVPSSFGQPQNNVSASSQFQPTSQVHASVAPVAGQPWLSSGNQSVSLAIPIQQTGQQPPLISSADTAANAPIHTPPSASDWQEHTSADGRRYYYNKKTRQSSWEKPLELMTPIERADASTVWKEFTTPEGRKYYYNKVTKQSKWTIPEELKLAREQAQVVASQGAPSDTGVASQAPVAGAVSSAEMPAAAIPVSSNTSQASSPVSVTPVAAVANPSPTLVSGSTVVPVSQSAATNASEVQSPAVAVTPLPAVSSGGSTTPVTSVNANTTMIRSLESTASQDSVHFTNGASAQDIEEAKKGMATAGKVNVTPVEEKVPDDEPLVYANKQEAKNAFKSLLESANVQSDWTWEQTMREIINDKRYGALKTLGERKQAFNEYLGQRKKLEAEERRMRQKKAREEFTKMLEESKELTSSMRWSKAQSLFENDERFKAVERARDREDLFENYIVELERKERENAAEEKRRNIAEYRKFLESCDFIKANSQWRKVQDRLEDDERCSRLEKIDRLVMFQDYIHDLEKEEEEKKKMQKEQLRRAERKNRDAFRKLMDEHVVDGTLTAKTYWRDYCLKVKDLPPYLAVASNTSGSTPKDLFEDVVEELEKQVCASNLFSARFDVYFFLLHYSSLQQRTNDFHTFFMVSFLHE >EOY15895 pep chromosome:Theobroma_cacao_20110822:8:4960375:4962571:-1 gene:TCM_034821 transcript:EOY15895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein isoform 1 MATVSSLHCCNISSCLNQKGVIVSASSITTRSNSNSRKQPPFCCCNSYRPQHEEKKKPFIRVQEVKEDGHGHEHATRRRQIMLQGPLIAFSFPQFVSAALAGKEPDVPQDFRAYTDDVNKFKIFIPQDWQVGAGEPNGFKSITAFFPEEEAANSNVSVVITGLGPDFTRMESFGKVEAFADTLVSGLDRSWQRPPGVAAKLIDCKAANGFYYIEYTLQNPGESRKHLFSAIGMASNGWYNRLYTVTGQVILHEIYTIQLHKILLLPNMQCHFSFCVPTLIL >EOY15897 pep chromosome:Theobroma_cacao_20110822:8:4959874:4962571:-1 gene:TCM_034821 transcript:EOY15897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein isoform 1 MATVSSLHCCNISSCLNQKGVIVSASSITTRSNSNSRKQPPFCCCNSYRPQHEEKKKPLDWQVGAGEPNGFKSITAFFPEEEAANSNVSVVITGLGPDFTRMESFGKVEAFADTLVSGLDRSWQRPPGVAAKLIDCKAANGFYYIEYTLQNPGESRKHLFSAIGMASNGWYNRLYTVTGQFVDDEAEKYGSRIEKAVSSFRFI >EOY15892 pep chromosome:Theobroma_cacao_20110822:8:4959739:4962607:-1 gene:TCM_034821 transcript:EOY15892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein isoform 1 MATVSSLHCCNISSCLNQKGVIVSASSITTRSNSNSRKQPPFCCCNSYRPQHEEKKKPFIRVQEVKEDGHGHEHATRRRQIMLQGPLIAFSFPQFVSAALAGKEPDVPQDFRAYTDDVNKFKIFIPQDWQVGAGEPNGFKSITAFFPEEEAANSNVSVVITGLGPDFTRMESFGKVEAFADTLVSGLDRSWQRPPGVAAKLIDCKAANGFYYIEYTLQNPGESRKHLFSAIGMASNGWYNRLYTVTGQFVDDEAEKYGSRIEKAVSSFRFI >EOY15894 pep chromosome:Theobroma_cacao_20110822:8:4960045:4962365:-1 gene:TCM_034821 transcript:EOY15894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein isoform 1 MLQGPLIAFSFPQFVSAALAGKEPDVPQDFRAYTDDVNKFKIFIPQDWQVGAGEPNGFKSITAFFPEEEAANSNVSVVITGLGPDFTRMESFGKVEAFADTLVSGLDRSWQRPPGVAAKLIDCKAANGNCIIQDHPLP >EOY15896 pep chromosome:Theobroma_cacao_20110822:8:4959761:4962571:-1 gene:TCM_034821 transcript:EOY15896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein isoform 1 MATVSSLHCCNISSCLNQKGVIVSASSITTRSNSNSRKQPPFCCCNSYRPQHEEKKKPFIRVQEVKEDGHGHEHATRRRQIMLQGPLIAFSFPQFVSAALAGKEPDVPQDFRAYTDDVNKFKIFIPQDWQVGAGEPNGFKSITAFFPEEEAANSNVSVVITGLGPDFTRMESFGKVEAFADTLVSGLDRSWQRPPGVAAKLIDCKAANGCIILQIHLTQQLLIKIAESWFYTGVLQILFKL >EOY15893 pep chromosome:Theobroma_cacao_20110822:8:4959872:4962441:-1 gene:TCM_034821 transcript:EOY15893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein isoform 1 MATVSSLHCCNISSCLNQKGVIVSASSITTRSNSNSRKQPPFCCCNSYRPQHEEKKKPFIRVQEVKEDGHGHEHATRRRQIMLQGPLIAFSFPQFVSAALAGKEPDVPQDFRAYTDDVNKFKIFIPQDWQVGAGEPNGFKSITAFFPEEEAANSNVSVVITGLGPDFTRMESFGKVEAFADTLVSGLDRSWQRPPGVAAKLIDCKAANGFYYIEYTLQNPGESRKHLFSAIGMASNGWYNRLYTVTGQFVDDEAEKYGSRIEKVALVNIR >EOY17055 pep chromosome:Theobroma_cacao_20110822:8:17213747:17215510:1 gene:TCM_046894 transcript:EOY17055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF565) [Source:Projected from Arabidopsis thaliana (AT3G56830) TAIR;Acc:AT3G56830] MELEAVVCFCGAMATTTKWPNRLRNANFSICKLPSSSHATVDPGACSHENVCSFGRKHNSQPCSIHAAPPFFINNLRRMAWSIRSSVDASGFDPSSSDNGRPRLIRAIRAIQSKLGVRLQELRRNLPMKILFLLLGFYCATAFATVIGQTGDWDILSAALAVVVVEGIGALMYRASLPVLAKVRSLITMFNYWKAGLTLGLFLDSFKYEVDKITGFSNLINFEIDVFPVFL >EOY17056 pep chromosome:Theobroma_cacao_20110822:8:17213580:17214818:1 gene:TCM_046894 transcript:EOY17056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF565) [Source:Projected from Arabidopsis thaliana (AT3G56830) TAIR;Acc:AT3G56830] MELEAVVCFCGAMATTTKWPNRLRNANFSICKLPSSSHATVDPGACSHENVCSFGRKHNSQPCSIHAAPPFFINNLRRMAWSIRSSVDASGFDPSSSDNGRPRLIRAIRAIQSKLGVRLQELRRNLPMKILFLLLGFYCATAFATVIGQTGDWDILSAALAVVVVEGIGALMYRASLPVLAKQAAALICC >EOY15110 pep chromosome:Theobroma_cacao_20110822:8:2266351:2270219:-1 gene:TCM_034280 transcript:EOY15110 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAM domain (PCI/PINT associated module) protein isoform 4 MTQDVEMKDHQTPPHSVSSAVPSTLHHLKEIASLIETGSYTKEVRRIVRAVRLTFGLRRKLTAPVLSAFLDFALQPGSEALARLSSFLPKEDEHEMEVDTATSAVQTSGKHLLPELEIYCYLLVLLYLIDQKKYNEAKACSSASVNRLKSLNRRTLDVLASRLYFYYSYSYELTGDFAEIRGNLLALHRIATLHHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAARGFRIQCNKWAILVRLLLGEIPERTMFMQKGMEKALRPYFELTNVKKFASTFSTDKTHNLIVRLRHNVIRTGLRNISISYSRISLADVAEKLRLNSKTPVADAESIVAKAIRDGAIDATLDHANGWMVSKETGDIYSTNEPQIAFHSRIAFCLNMHNEAVRALRFPPNTHKEKESAEKRRERQQQEQELAKHIAEEDDDDF >EOY15108 pep chromosome:Theobroma_cacao_20110822:8:2266461:2270245:-1 gene:TCM_034280 transcript:EOY15108 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAM domain (PCI/PINT associated module) protein isoform 4 MTQDVEMKDHQTPPHSVSSAVPSTLHHLKEIASLIETGSYTKEVRRIVRAVRLTFGLRRKLTAPVLSAFLDFALQPGSEALARLSSFLPKEDEHEMEVDTATSAVQTSGKHLLPELEIYCYLLVLLYLIDQKKYNEAKACSSASVNRLKSLNRRTLDVLASRLYFYYSYSYELTGDFAEIRGNLLALHRIATLHHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAARGFRIQCNKWAILVRLLLGEIPERTMFMQKGMEKALRPYFELTNRSLLALSVLTRHTISLSGCDIMSLGLDYATSVSLIHAFHWLMLLKN >EOY15107 pep chromosome:Theobroma_cacao_20110822:8:2265607:2269721:-1 gene:TCM_034280 transcript:EOY15107 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAM domain (PCI/PINT associated module) protein isoform 4 MTQDVEMKDHQTPPHSVSSAVPSTLHHLKEIASLIETGSYTKEVRRIVRAVRLTFGLRRKLTAPVLSAFLDFALQPGSEALARLSSFLPKEDEHEMEVDTATSAVQTSGKHLLPELEIYCYLLVLLYLIDQKKYNEAKACSSASVNRLKSLNRRTLDVLASRLYFYYSYSYELTGDFAEIRGNLLALHRIATLHHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAARGFRIQCNKWAILVRLLLGEIPERTMFMQKGMEKALRPYFELTNAVRIGDLELFRTVAEKFASTFSTDKTHNLIVRLRHNVIRTGLRNISISYSRISLADVAEKLRLNSKTPVADAESIVAKAIRDGAIDATLDHANGWMVSKETGDIYSTNEPQIAFHSRIAFCLNMHNEAVRALRFPPNTHKEKESAEKRRERQQQEQELAKHIAEEDDDDF >EOY15109 pep chromosome:Theobroma_cacao_20110822:8:2266883:2269465:-1 gene:TCM_034280 transcript:EOY15109 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAM domain (PCI/PINT associated module) protein isoform 4 MTQDVEMKDHQTPPHSVSSAVPSTLHHLKEIASLIETGSYTKEVRRIVRAVRLTFGLRRKLTAPVLSAFLDFALQPGSEALARLSSFLPKEDEHEMEVDTATSAVQTSGKHLLPELEIYCYLLVLLYLIDQKKYNEAKACSSASVNRLKSLNRRTLDVLASRLYFYYSYSYELTGDFAEIRGNLLALHRIATLHHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAARGFRIQCNKWAILVRLLLGEIPERTMFMQKGMEKALRPYFELTNVSCTDW >EOY14405 pep chromosome:Theobroma_cacao_20110822:8:209442:211786:1 gene:TCM_033796 transcript:EOY14405 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein MNPSKVRCISECFVKPPHVSQESKQPFYLTTWDLAMLSVQYIQKGLLFAKPPPEDCPQDLIKNILDRLKKSLSLSLVHFYPLAGRLATRREENPPAYFVFVDCNNSPGAKFIHAAVDATVSHILSPTYVPLVVQSFFDHDRAINHDGHTRPLLSIQVTELVDGVFIGCSMNHAIGDGSTFWHFFNILSEIFQAQGDNVKISRQPVLKRWFPEGHGPLINLPFTHQDELIRRFEAPQLLERIFHFSAESIAKLKARANSEYNTSKISSFQSLSAFVWMSITKARRFPNDQLTSCRLAINNRSRLDPPLSPDYFGNSIQTVRAVTTAGELVEQNLGWAAWQLHLAVVNHTDKSVRGFVNDWLRSPFIYQLGQFFDPQSVMMGSSPRFNKYGNEFGLGKALALRSGYAHKFDGKVSSYPGREGGGSIDLEVCLPAHSMNALESDAEFMAAVSSSI >EOY17096 pep chromosome:Theobroma_cacao_20110822:8:17851987:17853588:1 gene:TCM_036274 transcript:EOY17096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative MKKMDLYKVLGVNRSATKEEIKDAFRKLAVKYHPDKHSQSPKPVRDSSLLRFKQVSEAYEVLSDDRKRAHYNLTSSSSSSSSSSYSYNHRYRTASRGGGGYGYASSNSYSYSYSNNKSNIWQIELRFFSTRAFLLNLAFAGALYGGIVAIDASRESLWKMHNSGKSFEEAMESLEKAKAHRDT >EOY15506 pep chromosome:Theobroma_cacao_20110822:8:3537778:3553664:-1 gene:TCM_034540 transcript:EOY15506 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL2 isoform 1 MASIRSTLPSRLRQLLSGETSTGPSIKVDSEPPPQIKSFIDKVIQSPLQDIAIPLSGFRWEYSKGNFHHWRPLFLHFDTYFKTYLSCRNDLLLSDKILEDDSPFPKHAVLQILRVMQTILENCHNKSSFDGLEHFKLLLSSTDPEILIATLETLSALVKINPSKVHGSGKLIGCGSVNSYLLSLAQGWGSKEEGLGLYSCVLANERTQEEGLSLFPSDLEHDGDKSQHRIGSSLYFELHGLNTQGTEESSGNVSSTSRVIHMPDLHLQKEDDLLIMKQCIEQYNVPTELRFSLLTRIRYAHAFRSPRICRLYSRICLLAFIVLVQSNDANDELTSFFANEPEYTNELIRIVRSEETIPGTIRTLAMLALGAQLAAYSASHDRARILSGSSISFTVGNRMILLNVLQKAVLSLKSSSDPSSLAFIEALLQFYLLHIVSSSASGSNIRGSGMVPTFLPLLEDSDPNHMHLVYLAVKALQKLMDYSSSAVSLLRELGGVELLAQRLQIEVQRVIGTSGGNDNSMIIGECSRYNDDQLYSQKRLIKVLLKALGSATYAPANSTRPQSPQDSSLPGTLSLIYGNADKFGGDIYCSAVTVMSEIIHKDPTCLPALLELGLPDAFLSSVLSGVLPSSKAITCVPNGLGAICLNAKGLEAVKETSALRFLVDIFTSKKYVLAMNEAIVPLANAVEELLRHVSSLRSSGVDIIIEIVNKIASFGDSSSFSGSSVEKVIGSTAMETDSEDKGNEGHCCLVGAVDSVTEGISDEQFLQLCILHLMVLLHRTTENSETCRLFVEKSGIEALLKLLLRPIIVQSSEGMSIALHSTMVFKGFTQHHSAPLARAFCSSLREHLKKALTGFGAASASFLLDPRMMPDDGVFSPLFLVEFLLFLAASKDNRWISALLTELGNGSKDVLEDIGLVHREILWQIALFEDAKLEMEDDGASASSAESQQRESSASDTEEQRLNSFRQFLDPLLRRRTPGWSIESQFFDLINLYRDLGRATGFQQRLGTDGSNMRFGANHSTSSDASGSVNKKEYDKQRSYHTSCCDMVRSLSFHITHLFQELGKVMLLPSRRRDDTVNASPASKSVASSFASTALDHMNFGGHVNSSGSEASISTKCRYFGKVIDFIDSVLLDRPDSCNSIMLNCLYGRGVVQSVLTTFEATSQLLFAVNRAPASPMDTDDGNVKQDEKEDGDHAWIYGPLASYGKLMDHLVTSSFILSPFTKHLLVQPLVSGDVPFPRDAETFVKVLQSMVLKAVLPVWIHPQFTDCSYDFITTVISIIRHIYSGVEVKNVTSSNSARIAGPPPNETTIATIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEETQEDDELARALAMSLGNSESDTNVDVANDSSQQLEEEMVQLPPVEELLSTCTKLLQMKEPLAFPVRDLLVLICSQNDGQYRSSVISFILDQVRDSSSASDSRNNSLLSAFFHVLALILHEDMGAREIASKTGLVKLVTDLLSEWDSSSVDKAKRQVPKWVTTAFLALDRLLQVDQKLNSDIVEQLKGENLSSQQTSVSIDEEKKNKLHSSIESPRHMDIHEQNRLIEIACSCIRNQFPSETMHAVLQLCSTLTRTHSVAVCFLDGGGVSSLLSLPTSSLFPGFDNIAATIIRHVLEDPQTLQQAMEAEIKHSLVAMANRHSNGRVSPRNFLVNLSSVISRDPVIFMLAVKSVCQVEMVGDRPYIVLIKDRDKDKCKEKEKDKEKASDKDKTQQNDGKGNLCNMNLAGPGNGHGKFNDSNSKSVKMHRKSPQSFVNVIELLLDSVSAFVPPLTDDVRTEVPVDAPSSTDMEIDVAAVKGKGKAIATVSEENEVSVLDASASLAKIVFILKLLTEILLMYASSVHVLLRRDGELSSCRVPHQRGSTGLSIGGIFHHILHRFIPYSRNSKKERKIDGDWRHKLATRASQFLVASCVRSVEARKRVFTEINCVFNDFVDSSDGFKPPSSDMQTFVDLLNDILVARTPTGSCISAEASATFIDVGLVASLTRTLEVLDLDHAESPKVVTGLIKALELVTKEHVHSADSSAIKGENSVKPTDHNQSGRADNIVDASQSMEVASQSNHDAVAADGVESFNTVQNYGGSEAVTDDMEHDQDLDGGFAPATEDDYMQETSEDARGLENGVETVGIHFEIQPHEQENLDDDEDEEMSGDDGDEVDEDEDEDDEDHNDLEEDDVHHLSHPDTDQDDHEIDDDEFDDEVLEEDDEDDGDDEGGVILRLEEGINGMDVFDHIEVFGRDHSFANETLHVMPVEVFGSRRQGRTTSIYSLLGRSGENSAPSRHPLLLGPSSLRSASQRQSENAHDMILSDRNSDSTSSRLDTIFRSLRNGRHSHRLNLWVDESQQSSGSSAATVPQGLEELLVSQLRRPVSVKSSDHNTSTVEPQTHGEGSQLQESGAGARPENLVENNVNNENANAPPSAAVDTSVNADVRPAVNDSLQGTDATSIHSQSVEMQFEQNDAAVRDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDDGGERQGSSDRTPDPQAARVRRTNVSFGNSTAAGGRDAPLHSVTEVSENSSREADQDSTAAEQQINSDAASGSIDPAFLDALPEELRAEVLSAQQGQVAQPSSAEQQNSGDIDPEFLAALPPDIRAEVLAQQQAQRLHQSQELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAILANLTPALVAEANMLRERFAHRYHNRALFGMYPRNRRGESSRRSEGIGSSLDRMGGSIVSRRSVSAKIIEAEGAPLVGTEALQAMVRLLRIVQPLYKGSLQKLLLNLCAHNETRTALVKILMDMLMLDARKPGSYSNAIEPPYRLYGCQNNVMYSRPQHFDGVPPLVSRRVLETLTYLARNHPYVAKILLQFRLPLPTQQELRNIDQSRGKALMTEEQQEGYISIALLLSLLNQPLYLRSIAHLEQLLNLLDVIIDHVERKPRSSEKSRASSTEQIPALQISMSDADITAEKHDAPEVADSSTPSTSGVSNECDAQTVLTNLPRAELRLLCSLLAREGLSDNAYGLVAEVMKKLVAIAPSHCHLFISELADAVQNLIKSAMDELRMFGEAVKALLSTTSSDGAAILRVLQALSSLVASLTEKEKDLQLLPDMERSSALSQVWDINAALEPLWIELSTCISKIESFSDSAPDLLAPSKTSISRQSGVTPPLPAGTQNILPYIESFFVMCEKLHPAQPGSGHDFGMAALSDVEDASTSTGQQKTAGPVSKFDEKHVAFVKFSEKHRKLLNAFIRQNPGLLEKSFSLMLKVPRFVDFDNKRAHFRSKIKHQHDHHHSPLRISVRRAYILEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYFKNLKWMLENDISDVLDLTFSIDADEEKLILYERTQVTDYELIPGGRNIKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFNELIPRELISIFNDKELELLISGLPDIDLDDMRANTEYSGYSAASPVIQWFWEVVQGFSKEDKARLLQFVTGTSKVPLEGFTALQGISGSQKFQIHKAYGSPDHLPSAHTCFNQLDLPEYPSKEHLEERLLLAIHEANEGFGFG >EOY15508 pep chromosome:Theobroma_cacao_20110822:8:3541118:3552872:-1 gene:TCM_034540 transcript:EOY15508 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL2 isoform 1 MASIRSTLPSRLRQLLSGETSTGPSIKVDSEPPPQIKSFIDKVIQSPLQDIAIPLSGFRWEYSKGNFHHWRPLFLHFDTYFKTYLSCRNDLLLSDKILEDDSPFPKHAVLQILRVMQTILENCHNKSSFDGLEHFKLLLSSTDPEILIATLETLSALVKINPSKVHGSGKLIGCGSVNSYLLSLAQGWGSKEEGLGLYSCVLANERTQEEGLSLFPSDLEHDGDKSQHRIGSSLYFELHGLNTQGTEESSGNVSSTSRVIHMPDLHLQKEDDLLIMKQCIEQYNVPTELRFSLLTRIRYAHAFRSPRICRLYSRICLLAFIVLVQSNDANDELTSFFANEPEYTNELIRIVRSEETIPGTIRTLAMLALGAQLAAYSASHDRARILSGSSISFTVGNRMILLNVLQKAVLSLKSSSDPSSLAFIEALLQFYLLHIVSSSASGSNIRGSGMVPTFLPLLEDSDPNHMHLVYLAVKALQKLMDYSSSAVSLLRELGGVELLAQRLQIEVQRVIGTSGGNDNSMIIGECSRYNDDQLYSQKRLIKVLLKALGSATYAPANSTRPQSPQDSSLPGTLSLIYGNADKFGGDIYCSAVTVMSEIIHKDPTCLPALLELGLPDAFLSSVLSGVLPSSKAITCVPNGLGAICLNAKGLEAVKETSALRFLVDIFTSKKYVLAMNEAIVPLANAVEELLRHVSSLRSSGVDIIIEIVNKIASFGDSSSFSGSSVEKVIGSTAMETDSEDKGNEGHCCLVGAVDSVTEGISDEQFLQLCILHLMVLLHRTTENSETCRLFVEKSGIEALLKLLLRPIIVQSSEGMSIALHSTMVFKGFTQHHSAPLARAFCSSLREHLKKALTGFGAASASFLLDPRMMPDDGVFSPLFLVEFLLFLAASKDNRWISALLTELGNGSKDVLEDIGLVHREILWQIALFEDAKLEMEDDGASASSAESQQRESSASDTEEQRLNSFRQFLDPLLRRRTPGWSIESQFFDLINLYRDLGRATGFQQRLGTDGSNMRFGANHSTSSDASGSVNKKEYDKQRSYHTSCCDMVRSLSFHITHLFQELGKVMLLPSRRRDDTVNASPASKSVASSFASTALDHMNFGGHVNSSGSEASISTKCRYFGKVIDFIDSVLLDRPDSCNSIMLNCLYGRGVVQSVLTTFEATSQLLFAVNRAPASPMDTDDGNVKQDEKEDGDHAWIYGPLASYGKLMDHLVTSSFILSPFTKHLLVQPLVSGDVPFPRDAETFVKVLQSMVLKAVLPVWIHPQFTDCSYDFITTVISIIRHIYSGVEVKNVTSSNSARIAGPPPNETTIATIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEETQEDDELARALAMSLGNSESDTNVDVANDSSQQLEEEMVQLPPVEELLSTCTKLLQMKEPLAFPVRDLLVLICSQNDGQYRSSVISFILDQVRDSSSASDSRNNSLLSAFFHVLALILHEDMGAREIASKTGLVKLVTDLLSEWDSSSVDKAKRQVPKWVTTAFLALDRLLQVDQKLNSDIVEQLKGENLSSQQTSVSIDEEKKNKLHSSIESPRHMDIHEQNRLIEIACSCIRNQFPSETMHAVLQLCSTLTRTHSVAVCFLDGGGVSSLLSLPTSSLFPGFDNIAATIIRHVLEDPQTLQQAMEAEIKHSLVAMANRHSNGRVSPRNFLVNLSSVISRDPVIFMLAVKSVCQVEMVGDRPYIVLIKDRDKDKCKEKEKDKEKASDKDKTQQNDGKGNLCNMNLAGPGNGHGKFNDSNSKSVKMHRKSPQSFVNVIELLLDSVSAFVPPLTDDVRTEVPVDAPSSTDMEIDVAAVKGKGKAIATVSEENEVSVLDASASLAKIVFILKLLTEILLMYASSVHVLLRRDGELSSCRVPHQRGSTGLSIGGIFHHILHRFIPYSRNSKKERKIDGDWRHKLATRASQFLVASCVRSVEARKRVFTEINCVFNDFVDSSDGFKPPSSDMQTFVDLLNDILVARTPTGSCISAEASATFIDVGLVASLTRTLEVLDLDHAESPKVVTGLIKALELVTKEHVHSADSSAIKGENSVKPTDHNQSGRADNIVDASQSMEVASQSNHDAVAADGVESFNTVQNYGGSEAVTDDMEHDQDLDGGFAPATEDDYMQETSEDARGLENGVETVGIHFEIQPHEQENLDDDEDEEMSGDDGDEVDEDEDEDDEDHNDLEEDDVHHLSHPDTDQDDHEIDDDEFDDEVLEEDDEDDGDDEGGVILRLEEGINGMDVFDHIEVFGRDHSFANETLHVMPVEVFGSRRQGRTTSIYSLLGRSGENSAPSRHPLLLGPSSLRSASQRQSENAHDMILSDRNSDSTSSRLDTIFRSLRNGRHSHRLNLWVDESQQSSGSSAATVPQGLEELLVSQLRRPVSVKSSDHNTSTVEPQTHGEGSQLQESGAGARPENLVENNVNNENANAPPSAAVDTSVNADVRPAVNDSLQGTDATSIHSQSVEMQFEQNDAAVRDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDDGGERQGSSDRTPDPQAARVRRTNVSFGNSTAAGGRDAPLHSVTEVSENSSREADQDSTAAEQQINSDAASGSIDPAFLDALPEELRAEVLSAQQGQVAQPSSAEQQNSGDIDPEFLAALPPDIRAEVLAQQQAQRLHQSQELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAILANLTPALVAEANMLRERFAHRYHNRALFGMYPRNRRGESSRRSEGIGSSLDRMGGSIVSRRSVSAKIIEAEGAPLVGTEALQAMVRLLRIVQPLYKGSLQKLLLNLCAHNETRTALVKILMDMLMLDARKPGSYSNAIEPPYRLYGCQNNVMYSRPQHFDGVPPLVSRRVLETLTYLARNHPYVAKILLQFRLPLPTQQELRNIDQSRGKALMTEEQQEGYISIALLLSLLNQPLYLRSIAHLEQLLNLLDVIIDHVERKPRSSEKSRASSTEQIPALQISMSDADITAEKHDAPEVADSSTPSTSGVSNECDAQTVLTNLPRAELRLLCSLLAREG >EOY15509 pep chromosome:Theobroma_cacao_20110822:8:3538488:3553243:-1 gene:TCM_034540 transcript:EOY15509 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL2 isoform 1 MASIRSTLPSRLRQLLSGETSTGPSIKVDSEPPPQIKSFIDKVIQSPLQDIAIPLSGFRWEYSKGNFHHWRPLFLHFDTYFKTYLSCRNDLLLSDKILEDDSPFPKHAVLQILRVMQTILENCHNKSSFDGLEHFKLLLSSTDPEILIATLETLSALVKINPSKVHGSGKLIGCGSVNSYLLSLAQGWGSKEEGLGLYSCVLANERTQEEGLSLFPSDLEHDGDKSQHRIGSSLYFELHGLNTQGTEESSGNVSSTSRVIHMPDLHLQKEDDLLIMKQCIEQYNVPTELRFSLLTRIRYAHAFRSPRICRLYSRICLLAFIVLVQSNDANDELTSFFANEPEYTNELIRIVRSEETIPGTIRTLAMLALGAQLAAYSASHDRARILSGSSISFTVGNRMILLNVLQKAVLSLKSSSDPSSLAFIEALLQFYLLHIVSSSASGSNIRGSGMVPTFLPLLEDSDPNHMHLVYLAVKALQKLMDYSSSAVSLLRELGGVELLAQRLQIEVQRVIGTSGGNDNSMIIGECSRYNDDQLYSQKRLIKVLLKALGSATYAPANSTRPQSPQDSSLPGTLSLIYGNADKFGGDIYCSAVTVMSEIIHKDPTCLPALLELGLPDAFLSSVLSGVLPSSKAITCVPNGLGAICLNAKGLEAVKETSALRFLVDIFTSKKYVLAMNEAIVPLANAVEELLRHVSSLRSSGVDIIIEIVNKIASFGDSSSFSGSSVEKVIGSTAMETDSEDKGNEGHCCLVGAVDSVTEGISDEQFLQLCILHLMVLLHRTTENSETCRLFVEKSGIEALLKLLLRPIIVQSSEGMSIALHSTMVFKGFTQHHSAPLARAFCSSLREHLKKALTGFGAASASFLLDPRMMPDDGVFSPLFLVEFLLFLAASKDNRWISALLTELGNGSKDVLEDIGLVHREILWQIALFEDAKLEMEDDGASASSAESQQRESSASDTEEQRLNSFRQFLDPLLRRRTPGWSIESQFFDLINLYRDLGRATGFQQRLGTDGSNMRFGANHSTSSDASGSVNKKEYDKQRSYHTSCCDMVRSLSFHITHLFQELGKVMLLPSRRRDDTVNASPASKSVASSFASTALDHMNFGGHVNSSGSEASISTKCRYFGKVIDFIDSVLLDRPDSCNSIMLNCLYGRGVVQSVLTTFEATSQLLFAVNRAPASPMDTDDGNVKQDEKEDGDHAWIYGPLASYGKLMDHLVTSSFILSPFTKHLLVQPLVSGDVPFPRDAETFVKVLQSMVLKAVLPVWIHPQFTDCSYDFITTVISIIRHIYSGVEVKNVTSSNSARIAGPPPNETTIATIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEETQEDDELARALAMSLGNSESDTNVDVANDSSQQLEEEMVQLPPVEELLSTCTKLLQMKEPLAFPVRDLLVLICSQNDGQYRSSVISFILDQVRDSSSASDSRNNSLLSAFFHVLALILHEDMGAREIASKTGLVKLVTDLLSEWDSSSVDKAKRQVPKWVTTAFLALDRLLQVDQKLNSDIVEQLKGENLSSQQTSVSIDEEKKNKLHSSIESPRHMDIHEQNRLIEIACSCIRNQFPSETMHAVLQLCSTLTRTHSVAVCFLDGGGVSSLLSLPTSSLFPGFDNIAATIIRHVLEDPQTLQQAMEAEIKHSLVAMANRHSNGRVSPRNFLVNLSSVISRDPVIFMLAVKSVCQVEMVGDRPYIVLIKDRDKDKCKEKEKDKEKASDKDKTQQNDGKGNLCNMNLAGPGNGHGKFNDSNSKSVKMHRKSPQSFVNVIELLLDSVSAFVPPLTDDVRTEVPVDAPSSTDMEIDVAAVKGKGKAIATVSEENEVSVLDASASLAKIVFILKLLTEILLMYASSVHVLLRRDGELSSCRVPHQRGSTGLSIGGIFHHILHRFIPYSRNSKKERKIDGDWRHKLATRASQFLVASCVRSVEARKRVFTEINCVFNDFVDSSDGFKPPSSDMQTFVDLLNDILVARTPTGSCISAEASATFIDVGLVASLTRTLEVLDLDHAESPKVVTGLIKALELVTKEHVHSADSSAIKGENSVKPTDHNQSGRADNIVDASQSMEVASQSNHDAVAADGVESFNTVQNYGGSEAVTDDMEHDQDLDGGFAPATEDDYMQETSEDARGLENGVETVGIHFEIQPHEQENLDDDEDEEMSGDDGDEVDEDEDEDDEDHNDLEEDDVHHLSHPDTDQDDHEIDDDEFDDEVLEEDDEDDGDDEGGVILRLEEGINGMDVFDHIEVFGRDHSFANETLHVMPVEVFGSRRQGRTTSIYSLLGRSGENSAPSRHPLLLGPSSLRSASQRQSENAHDMILSDRNSDSTSSRLDTIFRSLRNGRHSHRLNLWVDESQQSSGSSAATVPQGLEELLVSQLRRPVSVKSSDHNTSTVEPQTHGEGSQLQESGAGARPENLVENNVNNENANAPPSAAVDTSVNADVRPAVNDSLQGTDATSIHSQSVEMQFEQNDAAVRDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDDGGERQGSSDRTPDPQAARVRRTNVSFGNSTAAGGRDAPLHSVTEVSENSSREADQDSTAAEQQINSDAASGSIDPAFLDALPEELRAEVLSAQQGQVAQPSSAEQQNSGDIDPEFLAALPPDIRAEVLAQQQAQRLHQSQELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAILANLTPALVAEANMLRERFAHRYHNRALFGMYPRNRRGESSRRSEGIGSSLDRMGGSIVSRRSVSAKIIEAEGAPLVGTEALQAMVRLLRIVQPLYKGSLQKLLLNLCAHNETRTALVKILMDMLMLDARKPGSYSNAIEPPYRLYGCQNNVMYSRPQHFDGVPPLVSRRVLETLTYLARNHPYVAKILLQFRLPLPTQQELRNIDQSRGKALMTEEQQEGYISIALLLSLLNQPLYLRSIAHLEQLLNLLDVIIDHVERKPRSSEKSRASSTEQIPALQISMSDADITAEKHDAPEVADSSTPSTSGVSNECDAQTVLTNLPRAELRLLCSLLAREG >EOY15507 pep chromosome:Theobroma_cacao_20110822:8:3538177:3553243:-1 gene:TCM_034540 transcript:EOY15507 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL2 isoform 1 MASIRSTLPSRLRQLLSGETSTGPSIKVDSEPPPQIKSFIDKVIQSPLQDIAIPLSGFRWEYSKGNFHHWRPLFLHFDTYFKTYLSCRNDLLLSDKILEDDSPFPKHAVLQILRVMQTILENCHNKSSFDGLEHFKLLLSSTDPEILIATLETLSALVKINPSKVHGSGKLIGCGSVNSYLLSLAQGWGSKEEGLGLYSCVLANERTQEEGLSLFPSDLEHDGDKSQHRIGSSLYFELHGLNTQGTEESSGNVSSTSRVIHMPDLHLQKEDDLLIMKQCIEQYNVPTELRFSLLTRIRYAHAFRSPRICRLYSRICLLAFIVLVQSNDANDELTSFFANEPEYTNELIRIVRSEETIPGTIRTLAMLALGAQLAAYSASHDRARILSGSSISFTVGNRMILLNVLQKAVLSLKSSSDPSSLAFIEALLQFYLLHIVSSSASGSNIRGSGMVPTFLPLLEDSDPNHMHLVYLAVKALQKLMDYSSSAVSLLRELGGVELLAQRLQIEVQRVIGTSGGNDNSMIIGECSRYNDDQLYSQKRLIKVLLKALGSATYAPANSTRPQSPQDSSLPGTLSLIYGNADKFGGDIYCSAVTVMSEIIHKDPTCLPALLELGLPDAFLSSVLSGVLPSSKAITCVPNGLGAICLNAKGLEAVKETSALRFLVDIFTSKKYVLAMNEAIVPLANAVEELLRHVSSLRSSGVDIIIEIVNKIASFGDSSSFSGSSVEKVIGSTAMETDSEDKGNEGHCCLVGAVDSVTEGISDEQFLQLCILHLMVLLHRTTENSETCRLFVEKSGIEALLKLLLRPIIVQSSEGMSIALHSTMVFKGFTQHHSAPLARAFCSSLREHLKKALTGFGAASASFLLDPRMMPDDGVFSPLFLVEFLLFLAASKDNRWISALLTELGNGSKDVLEDIGLVHREILWQIALFEDAKLEMEDDGASASSAESQQRESSASDTEEQRLNSFRQFLDPLLRRRTPGWSIESQFFDLINLYRDLGRATGFQQRLGTDGSNMRFGANHSTSSDASGSVNKKEYDKQRSYHTSCCDMVRSLSFHITHLFQELGKVMLLPSRRRDDTVNASPASKSVASSFASTALDHMNFGGHVNSSGSEASISTKCRYFGKVIDFIDSVLLDRPDSCNSIMLNCLYGRGVVQSVLTTFEATSQLLFAVNRAPASPMDTDDGNVKQDEKEDGDHAWIYGPLASYGKLMDHLVTSSFILSPFTKHLLVQPLVSGDVPFPRDAETFVKVLQSMVLKAVLPVWIHPQFTDCSYDFITTVISIIRHIYSGVEVKNVTSSNSARIAGPPPNETTIATIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEETQEDDELARALAMSLGNSESDTNVDVANDSSQQLEEEMVQLPPVEELLSTCTKLLQMKEPLAFPVRDLLVLICSQNDGQYRSSVISFILDQVRDSSSASDSRNNSLLSAFFHVLALILHEDMGAREIASKTGLVKLVTDLLSEWDSSSVDKAKRQVPKWVTTAFLALDRLLQVDQKLNSDIVEQLKGENLSSQQTSVSIDEEKKNKLHSSIESPRHMDIHEQNRLIEIACSCIRNQFPSETMHAVLQLCSTLTRTHSVAVCFLDGGGVSSLLSLPTSSLFPGFDNIAATIIRHVLEDPQTLQQAMEAEIKHSLVAMANRHSNGRVSPRNFLVNLSSVISRDPVIFMLAVKSVCQVEMVGDRPYIVLIKDRDKDKCKEKEKDKEKASDKDKTQQNDGKGNLCNMNLAGPGNGHGKFNDSNSKSVKMHRKSPQSFVNVIELLLDSVSAFVPPLTDDVRTEVPVDAPSSTDMEIDVAAVKGKGKAIATVSEENEVSVLDASASLAKIVFILKLLTEILLMYASSVHVLLRRDGELSSCRVPHQRGSTGLSIGGIFHHILHRFIPYSRNSKKERKIDGDWRHKLATRASQFLVASCVRSVEARKRVFTEINCVFNDFVDSSDGFKPPSSDMQTFVDLLNDILVARTPTGSCISAEASATFIDVGLVASLTRTLEVLDLDHAESPKVVTGLIKALELVTKEHVHSADSSAIKGENSVKPTDHNQSGRADNIVDASQSMEVASQSNHDAVAADGVESFNTVQNYGGSEAVTDDMEHDQDLDGGFAPATEDDYMQETSEDARGLENGVETVGIHFEIQPHEQENLDDDEDEEMSGDDGDEVDEDEDEDDEDHNDLEEDDVHHLSHPDTDQDDHEIDDDEFDDEVLEEDDEDDGDDEGGVILRLEEGINGMDVFDHIEVFGRDHSFANETLHVMPVEVFGSRRQGRTTSIYSLLGRSGENSAPSRHPLLLGPSSLRSASQRQSENAHDMILSDRNSDSTSSRLDTIFRSLRNGRHSHRLNLWVDESQQSSGSSAATVPQGLEELLVSQLRRPVSVKSSDHNTSTVEPQTHGEGSQLQESGAGARPENLVENNVNNENANAPPSAAVDTSVNADVRPAVNDSLQGTDATSIHSQSVEMQFEQNDAAVRDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDDGGERQGSSDRTPDPQAARVRRTNVSFGNSTAAGGRDAPLHSVTEVSENSSREADQDSTAAEQQINSDAASGSIDPAFLDALPEELRAEVLSAQQGQVAQPSSAEQQNSGDIDPEFLAALPPDIRAEVLAQQQAQRLHQSQELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAILANLTPALVAEANMLRERFAHRYHNRALFGMYPRNRRGESSRRSEGIGSSLDRMGGSIVSRRSVSAKIIEAEGAPLVGTEALQAMVRLLRIVQPLYKGSLQKLLLNLCAHNETRTALVKILMDMLMLDARKPGSYSNAIEPPYRLYGCQNNVMYSRPQHFDGVPPLVSRRVLETLTYLARNHPYVAKILLQFRLPLPTQQELRNIDQSRGKALMTEEQQEGYISIALLLSLLNQPLYLRSIAHLEQLLNLLDVIIDHVERKPRSSEKSRASSTEQIPALQISMSDADITAEKHDAPEVADSSTPSTSGVSNECDAQTVLTNLPRAELRLLCSLLAREG >EOY15475 pep chromosome:Theobroma_cacao_20110822:8:3432472:3440167:-1 gene:TCM_034526 transcript:EOY15475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 1 MPHRTTYFFPRQFPDRGFDASSKQLLDHENKVAKEATSTTAGAATTTPTAASPFNPVTENDRNKSISRTALKDFAAPAAKTNNSPLSDLFTDDKLHSKKQQLAAFRDWFVERKATTDRSRHVKPSSRRLSSTTDEDRELLLPPEPAPPPSPPPRLPLAPETTIVINDRSVDRNFDRQLSLPRFSSGSSYAGSLFSGTTLDGNLSSEVKDTWTKDTSSSLPVPATREVVEPEPEAEESKDSLALKSRDSYYFQLMLARRLTSQASLLSEPLLLQEYCGANVVDAETVSYRLWVSGCLSYNDKISDGFYNILGMNPYLWVMCNEFEEGRRLPPLMSLREIEPNATSMEVVLVDRRGDTRLKELEDKAQELYCASENTLVLVEKLGQLVAIYMGGTFPVEQGDLHERWKMVSRRLKDLQKCIVFPIGSLSTGLCRHRAILFKKLADYIGLPCRIARGCKYCAADHRSSCLVKIEDDRQPSREYVVDLVGEPGNIHGPDSSINGGFLSSMPSPFQISHLKEFQQPYMDNASYCQIEDSKNSCSPFENPLHSGTLCGIEKCQKLKDNGLLENQKVSHYAPIDQDHLGKESSLMPFETAAVATMHENIEQNLRKELFVSGSSVVKGMNQLKVNLPSQSDLEEIGAELDNRGRFAAVTIPRYLNLEPSLAMDWLEISWDELHIKERVGAGSFGTVHRAEWHGSDVAVKVLTVQDFQDDQLKEFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLIHRPAAGETLDQRRRLRMALDVAKGINYLHCLNPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKADTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELATMQQPWSGLSPAQVVGAVAFQNRRLAIPPNTSPKLASLMESCWADDPAQRPSFGNIVEGLKKLLKSPLQLMQMGSQ >EOY15476 pep chromosome:Theobroma_cacao_20110822:8:3431728:3440860:-1 gene:TCM_034526 transcript:EOY15476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 1 MPHRTTYFFPRQFPDRGFDASSKQLLDHENKVAKEATSTTAGAATTTPTAASPFNPVTENDRNKSISRTALKDFAAPAAKTNNSPLSDLFTDDKLHSKKQQLAAFRDWFVERKATTDRSRHVKPSSRRLSSTTDEDRELLLPPEPAPPPSPPPRLPLAPETTIVINDRSVDRNFDRQLSLPRFSSGSSYAGSLFSGTTLDGNLSSEVKDTWTKDTSSSLPVPATREVVEPEPEAEESKDSLALKSRDSYYFQLMLARRLTSQASLLSEPLLLQEYCGANVVDAETVSYRLWVSGCLSYNDKISDGFYNILGMNPYLWVMCNEFEEGRRLPPLMSLREIEPNATSMEVVLVDRRGDTRLKELEDKAQELYCASENTLVLVEKLGQLVAIYMGGTFPVEQGDLHERWKMVSRRLKDLQKCIVFPIGSLSTGLCRHRAILFKKLADYIGLPCRIARGCKYCAADHRSSCLVKIEDDRQPSREYVVDLVGEPGNIHGPDSSINGGFLSSMPSPFQISHLKEFQQPYMDNASYCQIEDSKNSCSPFENPLHSGGIEKCQKLKDNGLLENQKVSHYAPIDQDHLGKESSLMPFETAAVATMHENIEQNLRKELFVSGSSVVKGMNQLKVNLPSQSDLEEIGAELDNRGRFAAVTIPRYLNLEPSLAMDWLEISWDELHIKERVGAGSFGTVHRAEWHGSDVAVKVLTVQDFQDDQLKEFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLIHRPAAGETLDQRRRLRMALDVAKGINYLHCLNPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKADTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELATMQQPWSGLSPAQATVDVLSNPFGRAVNPIKLRPTMKNVAKQ >EOY15477 pep chromosome:Theobroma_cacao_20110822:8:3431979:3440531:-1 gene:TCM_034526 transcript:EOY15477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 1 MPHRTTYFFPRQFPDRGFDASSKQLLDHENKVAKEATSTTAGAATTTPTAASPFNPVTENDRNKSISRTALKDFAAPAAKTNNSPLSDLFTDDKLHSKKQQLAAFRDWFVERKATTDRSRHVKPSSRRLSSTTDEDRELLLPPEPAPPPSPPPRLPLAPETTIVINDRSVDRNFDRQLSLPRFSSGSSYAGSLFSGTTLDGNLSSEVKDTWTKDTSSSLPVPATREVVEPEPEAEESKDSLALKSRDSYYFQLMLARRLTSQASLLSEPLLLQEYCGANVVDAETVSYRLWVSGCLSYNDKISDGFYNILGMNPYLWVMCNEFEEGRRLPPLMSLREIEPNATSMEVVLVDRRGDTRLKELEDKAQELYCASENTLVLVEKLGQLVAIYMGGTFPVEQGDLHERWKMVSRRLKDLQKCIVFPIGSLSTGLCRHRAILFKKLADYIGLPCRIARGCKYCAADHRSSCLVKIEDDRQPSREYVVDLVGEPGNIHGPDSSINGGFLSSMPSPFQISHLKEFQQPYMDNASYCQIEDSKNSCSPFENPLHSGGIEKCQKLKDNGLLENQKVSHYAPIDQDHLGKESSLMPFETAAVATMHENIEQNLRKELFVSGSSVVKGMNQLKVNLPSQSDLEEIGAELDNRGRFAAVTIPRYLNLEPSLAMDWLEISWDELHIKERVGAGSFGTVHRAEWHGSDVAVKVLTVQDFQDDQLKEFLREVVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLIHRPAAGETLDQRRRLRMALDVAKGINYLHCLNPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKADTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELATMQQPWSGLSPAQATVDVLSNPFGRAVNPIKLRPTMKNVAKQ >EOY15994 pep chromosome:Theobroma_cacao_20110822:8:5328867:5329336:1 gene:TCM_034897 transcript:EOY15994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWSVMSEFACPSKDEVGANIWFVPLVDAVNKINVDAAIFAEDKMTGLGALIRDHSGQLLVTATTCMMR >EOY16710 pep chromosome:Theobroma_cacao_20110822:8:10188187:10189493:-1 gene:TCM_035567 transcript:EOY16710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKPTQSRQKSYADNKRKPLEFKIEDYVFLKISPTKGVKRFGKTGKLSPRCIRPFEILEHVGIMVYQLALLLNRSNVHPIFHVSMLRKYVHDPSHVIHYDDVQLQNGPTYEEQLVAILNR >EOY15758 pep chromosome:Theobroma_cacao_20110822:8:4543246:4545256:-1 gene:TCM_034727 transcript:EOY15758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSSLRCFLRREPLKFRTRRAKHAKGREVKRKKDRERKRKRERHSKLQVIEKSPPPFLDFFVSRRTLSPLSWTRRRISVGKNSLVEMHFFDRMTIPMRRVWTGVATRLGVRKSGLLKLRKDVRSCEYEDVHVMWEMLRRNETEVGRSPRRCKKRPLSNCFEWARRAPFLCRGF >EOY16348 pep chromosome:Theobroma_cacao_20110822:8:6797082:6800123:1 gene:TCM_035156 transcript:EOY16348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MDVKKMKATVPRMMMFFLLLPATFPGYFSADSQQPNKKKSTHPNAANGFGSSILFPLTGNVYPLGYYSLSLSIGHPPKVFEFDIDTGSDLTWVQCDAPCNGCTKPVNDLYKPKKHVLVHCEHPSCGAVHFPGSPQCETPDDQCDFEVEYVDHGSVTGVLVTDLFSLRLTNGSLIRPRLTFGCAYDVKNPGPYPPPSTAGVLALGNGKASILSQLQSFNLIRNVIGHCLSGKGGGYLFLGDDFVPSSGIVWMPMSDVAKHYLSSPAELLFGGKPTGIKDLKIVFDSGSSYTYFCLRVYEAVLDLVRRGLTGKPLNTVHDQALPICWKGSKPFKTVHDVKHYFSTLTLSFTNTRNIQLQLPPEAYLIVTEHGNACLGILNGTEAGLDDFNIIGDISLQDKMVVYDNEKQQIGWVSANCDRLPNLDSDYNEDIQQPYAANFGILEEKHPATQASSKRNMRVHRREL >EOY17067 pep chromosome:Theobroma_cacao_20110822:8:17390010:17395629:1 gene:TCM_036223 transcript:EOY17067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxysteroid 11-beta-dehydrogenase 1-like protein isoform 2 MSRTQHVFSQRLLHVKVAVFILSLIIAFHFFFSYQSFFPIKSYTFNIGTRGVVNMMDLIDSFLNFLVPPASMVILFFAWPALCFVRACEWLYNTLYTENSIQDKVVIITGASSGIGEQIAYEYARRRANLVLVARREHRLRGISEKARHIGAKNVIIIAADVVKEDDCRRFVTETINFYGRVDHLVNAVSLGHTFYFEEVTDTSVFPHLLDINFWGNVYPTFVALPHLHQSNGRIIMNASVENWLPLPRMSLYAAAKAALVNFYETLRFEVNNEVGITIATHGWIGGEMTRGKFMLEEGAEMQWKEKREIAVSAGAVEEFARLIVSGACRGDAYVKYPSWHDTFLLYRAIAPNVLNWMLRLLLSVHGTRRTSMMGVGRQLPVDAARYVSESTSPMKLPTGPITFSQPQQKME >EOY17068 pep chromosome:Theobroma_cacao_20110822:8:17391152:17394746:1 gene:TCM_036223 transcript:EOY17068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxysteroid 11-beta-dehydrogenase 1-like protein isoform 2 MMDLIDSFLNFLVPPASMVILFFAWPALCFVRACEWLYNTLYTENSIQDKVVIITGASSGIGEQIAYEYARRRANLVLVARREHRLRGISEKARHIGAKNVIIIAADVVKEDDCRRFVTETINFYGRVDHLVNAVSLGHTFYFEEVTDTSVFPHLLAAKAALVNFYETLRFEVNNEVGITIATHGWIGGEMTRGKFMLEEGAEMQWKEKREIAVSAGAVEEFARLIVSGACRGDAYVKYPSWHDTFLLYRAIAPNVLNWMLRLLLSVHGTRRTSMMGVGRQLPVDAARYVSESTSPMKLPTGPITFSQPQQKME >EOY15918 pep chromosome:Theobroma_cacao_20110822:8:5022521:5024308:1 gene:TCM_034841 transcript:EOY15918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRCILLLMFLCLGLSFSFHLSNPFCSLLRTIVCFRFSLEKKIFRSKEFLKIVPTYFKKGFLWKRSYSKASGRVKHSYPKIIPKYLQKMKMMPFGSKKRKTEMFLCFLQVQLDTELPNQENVKCDF >EOY16529 pep chromosome:Theobroma_cacao_20110822:8:8107387:8111481:-1 gene:TCM_035325 transcript:EOY16529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein Rab-18 MESSSTSQPEFDYLFKLLLIGDSGVGKSTLLLSFTSDTFEDLSPTIGVDFKVKHVTLGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERVVSKKEGIDFAREYGCLYIECSAKTRVNVEQCFEELVLKILETPSLLAEGSSGVKKNIFKQNPPQNGASASSCCS >EOY16887 pep chromosome:Theobroma_cacao_20110822:8:12754527:12757272:-1 gene:TCM_035825 transcript:EOY16887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, Ty3-gypsy subclass, putative MQNGRVIAYVSRQLKRHEQNYPTHDLEMAVIVFALKIWRHYLYEESFEIYTDHKSLKSIFLQRDLNLRQCRWMELLKDYDCIIQYHPGKVNIVADTLSRKSMGTLAHLSAERRSIVCEWKSLGCMGLRLIFMGLVSLLLIFERGYDLIWVIVDQLTKSAYFIPVKTNYGSGKYAKVYIDYIMRLHGVPMTIVSDRRPQFTNKFWRSLDEALGPRLNCITTIILKQMVSPLKQSKRFRKKGKLSLRYIGPFEILERIGLTAYRLALPMELACVNPTFHVSMLRKHVKDSTHVIRYDLVTLDEGLTFEEQLVAILDRQVKQLCSKEVASIKILWQSRSVEKATKEPEEEMKKKYPHLF >EOY14792 pep chromosome:Theobroma_cacao_20110822:8:1279894:1281938:1 gene:TCM_034063 transcript:EOY14792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDQRQMFRFRLPWLSAAAAPRPAAQPQPRPAAQTQAPAQPTTTIPIQRPPFRPAGIAPVQATPSQGQAPPQKAEPPPAATSRAATESKATLRPASPPRLTTQIRAASVPPSPSPRATETQVTSQPVAPTRAPTQARVASVPPSPSRIASQPQSTAQTVSEQQSPSRLASQPPGQTSSQPSSPSRRATQERSQPPSSTLPPLSASQETTFRPFGVAVEPSQASAQAKEVAPIIAATETPSAPLKPKEREERKKAAEERRKAKTKGSTHEEPEQRTITKLLAAAADAGTKTRELLGAAFETGIRHQEKQEDIERKKIWTTSSTDEKQIKTVSSTYPKEGSTPTNSHQKHATSTWEQVPLHKEIREDISKFVHKMATGQPKLPTDEKSIAVLTLAGENRGASFYMGSESAKKDGLVHIHRGYKINPDDSPDATTTDGEGSSRGRKPKDSMTRENPAPRAYVNSNTQSINNSVVFESSVNERNPGVHLEFLQNSAEPTKSNAKAGPLETRKAEFNVTPAEKLTYEPTVRRRCLRGLFAEPSDSDPDNPEKPRRHGCRYSCGEKNKETEIGVL >EOY15363 pep chromosome:Theobroma_cacao_20110822:8:3048419:3052995:-1 gene:TCM_034455 transcript:EOY15363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purin 7 isoform 1 MAQCIRTTVNPPKTPLNSSQTHNPNRLFPSISSLEAKPKSIKYPKISLSVMSSQNQSQQLNPSLDALLNSGRKEEVFATIKGSVHNCLSETNLHLTVPGIESKTRGKVRDIYDSGDYLVLVTTDRQSAFDRILASIPFKGQVLNETSLWWFNRTQHITPNAVVSVPDKNVTIAKKCSVFPVEFVVRGFVTGSTDTSLWTVYKNGVRNYCGNVLPNGLVKNQKLTANILTPTTKAEDHDVPVTPDEIIGRGLMTQADFDEAREKALSLFEYGQCVALEHGLILVDTKYEFGKDSDGSILLIDEVHTPDSSRYWIANSYEERFQNGLEPENVDKEFLRLWFKDNCNPYEDEVLPDAPEELVCELAWRYIFLYETITKSRFEMPLTEEPIHDRISQNVSSALLSLQ >EOY15367 pep chromosome:Theobroma_cacao_20110822:8:3048546:3052916:-1 gene:TCM_034455 transcript:EOY15367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purin 7 isoform 1 MAQCIRTTVNPPKTPLNSSQTHNPNRLFPSISSLEAKPKSIKYPKISLSVMSSQNQSQQLNPSLDALLNSGRKEEVFATIKGSVHNCLSETNLHLTVPGIESKTRGKVRDIYDSGDYLVLVTTDRQSAFDRILASIPFKGQVLNETSLWWFNRTQHITPNAVVSVPDKNVTIAKKCSVFPVEFVVRGFVTGSTDTSLWTVYKNGVRNYCGNVLPNGLVKNQKLTANILTPTTKAEDHDVPVTPDEIIGRGLMTQADFDEAREKALSLFEYGQCVALEHGLILVDTKYEFGKDSDGSILLIDEVGANT >EOY15364 pep chromosome:Theobroma_cacao_20110822:8:3049793:3052839:-1 gene:TCM_034455 transcript:EOY15364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purin 7 isoform 1 MAQCIRTTVNPPKTPLNSSQTHNPNRLFPSISSLEAKPKSIKYPKISLSVMSSQNQSQQLNPSLDALLNSGRKEEVFATIKGSVHNCLSETNLHLTVPGIESKTRGKVRDIYDSGDYLVLVTTDRQSAFDRILASIPFKGQVLNETSLWWFNRTQHITPNAVVSVPDKNVTIAKKCSVFPVEFVVRGFVTGSTDTSLWTVYKNGVRNYCGNVLPNGLVKNQKLTANILTPTTKAEDHDVPVTPDEIIGRGLMTQADFDEAREKALSLFEYGQCVALEHGLILVDTKYEFGKDSDGSILLIDEVHTPDSSRYWIANSYEERFQNGLEPENVDKEFLRLWFKDNCNPYEDEVSCNKKLNSVLFDVC >EOY15366 pep chromosome:Theobroma_cacao_20110822:8:3048546:3052496:-1 gene:TCM_034455 transcript:EOY15366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purin 7 isoform 1 MQVRDIYDSGDYLVLVTTDRQSAFDRILASIPFKGQVLNETSLWWFNRTQHITPNAVVSVPDKNVTIAKKCSVFPVEFVVRGFVTGSTDTSLWTVYKNGVRNYCGNVLPNGLVKNQKLTANILTPTTKAEDHDVPVTPDEIIGRGLMTQADFDEAREKALSLFEYGQCVALEHGLILVDTKYEFGKDSDGSILLIDEVHTPDSSRYWIANSYEERFQNGLEPENVDKEFLRLWFKDNCNPYEDEVLPDAPEELVCELAWRYIFLYETITKSRFEMPLTEEPIHDRISQNVSSALLSLQ >EOY15365 pep chromosome:Theobroma_cacao_20110822:8:3048546:3052916:-1 gene:TCM_034455 transcript:EOY15365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purin 7 isoform 1 MAQCIRTTVNPPKTPLNSSQTHNPNRLFPSISSLEAKPKSIKYPKISLSVMSSQNQSQQLNPSLDALLNSGRKEEVFATIKGSVHNCLSETNLHLTVPGIESKTRGKVLNETSLWWFNRTQHITPNAVVSVPDKNVTIAKKCSVFPVEFVVRGFVTGSTDTSLWTVYKNGVRNYCGNVLPNGLVKNQKLTANILTPTTKAEDHDVPVTPDEIIGRGLMTQADFDEAREKALSLFEYGQCVALEHGLILVDTKYEFGKDSDGSILLIDEVHTPDSSRYWIANSYEERFQNGLEPENVDKEFLRLWFKDNCNPYEDEVLPDAPEELVCELAWRYIFLYETITKSRFEMPLTEEPIHDRISQNVSSALLSLQ >EOY15101 pep chromosome:Theobroma_cacao_20110822:8:2249574:2251961:1 gene:TCM_034275 transcript:EOY15101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl alcohol dehydrogenase 9 MAVETPNHTQTVGGWAAYDSSGKIAPYIFKRRENGVNDVTIKVLYCGICHTDLHHVKNDWGITMYPVVPGHEITGVITKVGSNVKNFKVGDRVGVGCLAASCLECEFCKSSQENYCDQIQFTYNGIFWDGSITYGGYSKMLVADHRYVVRVPDNLPMDAAAPLLCAGITVFSPMKDSQLLESPGKMVGIVGLGGLGHVAVKMAKAFGHHVTVISTSPSKEKEARQRLGADGFIVSTNAEQMQRGKRTLDVILDTVSAKHSLGPILELLKVNGTLVVVGAPDRPMDLPSFPLIFGKRAVKGSMTGGMKETQEMMDVCGKHNITCDVELIEPDQINEALDRLARNDVRYRFVIDIAGRSKL >EOY17460 pep chromosome:Theobroma_cacao_20110822:8:20677855:20682072:1 gene:TCM_036641 transcript:EOY17460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MAMKSSSTAKSLFLTFTLLSLLSLSLFIFLFFTSPTTQPTTPLSQTTLPSFQNSIKVYVANLPRSLNYGLLEQYWASNHPDSRIPADPDHQIPGTHFSKSTKYPPYPENPLIKQYSAEYWILSDLETPGELRTGSFAKRVFDVSEADVVFVPFFATLSAEMELGSGSGAFKKKAGNGDYSRQKEVVDFVRKTDAWKRSGGRDHVFVLTDPVAMWHFRVETAPAILLVVDFGGWFRLDTKSFNGNSSDMIHHTQVSLLKDVIVPYTHLLPRLQLSENKKRQTLLYFKGAKHRHRGGLVREKLWDLLVNEPGVIMEEGFPNATGREQSIEGMRSSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDNIELPFEGMVDYSTFSLFVAVSDALRPNWLVAHLRSFAEKRRDEFRQNMGKVQPIFVYDNGHPGGIGPIPSDGAVNHIWKKVHQKLPAIKEAIVREKRKPAGISIPLRCHCT >EOY14996 pep chromosome:Theobroma_cacao_20110822:8:1910166:1919854:1 gene:TCM_034205 transcript:EOY14996 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease 3 isoform 2 MGVPAFYRWLAEKYPLVIMDVIEEEPVVIDGVSIPVDTSKPNPNKLEFDNLYLDMNGIIHPCFHPEDRPSPTTFDEVFQCMFDYIDRLFVMVRPRKLLFMAIDGVAPRAKMNQQRSRRFRAAKDAAEAAAEEARLREEFEREGRRLPPKEESQLFDSNVITPGTPFMAVLSIALQYYIHLRLNYDPGWKTVKVILSDANVPGEGEHKIMSYIRLQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQDKCFVCGQMGHVAADCEGKAKRKAGEFDEKGDGKAVARKPYQFLNIWTLREYLEYEMRIPNLPFEMDLERVVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAAYKKELRSLGGYLTNGSKPNLSRVEHFIQAVGSYEDKIFQKRARLHQRQAERIKREKAQARRGDDVEPQIQPDSLVPVARFHGSRLASGPSPAPFQQTMESNGNGSSGQPHKVRRLSSGATIGAAIVEAEDSIETEEHENKEELKAKLKELIRDKSDVFNSKNHEEDKIKLGEPGWKERYYEEKFSAKTPEEMEAIRKDVVLRYTEGLCWVMHYYYEGVCSWRWFYPYHYAPFASDLKDLGQLDIQFELGSPFKPFNQLLGVFPAASAHALPEQYRELMTDPNSPIIDFYPTDFEIDMNGKRFSWQGIAKLPFIDEERLLAEVAKIEHTLTEEEARRNSIMCDMLFVAASHRLSEQIFSLDNRCRHLTEKERIEVKEEVKPDLSDGMNGYISPCAGDTHPPIFRSPIKDMEDILANEVICCIYRLPKAHKHITRPPAGVTFPPKMVQFSDMKPEPVLWHEDSGRRPWENGRSPAQALHLMERHNPPGAISGRKLGEASHRLIANSLQLKGDRNGFSNDIHAPPPLYAAAPYVPPVNSHVNYGFHNQGQHRMVPPGQDYPSAGYPLPQTPLRPPYAHGYNEPYGPHQYQIHNQAAANNLHYPPRHDQNGGSRHMPRSMAQVSMEASPYPSHQGGYDANRIYQAPGIGSHQQWGGRMAPQANQDVLGGYGLHQQGVVNRGIHRGYDHQQQRGNQLHHQRGDHHQRGSQPHRGNQHGGNQQQRGNSYAALDRQRHRRAPVENNH >EOY14997 pep chromosome:Theobroma_cacao_20110822:8:1910166:1919854:1 gene:TCM_034205 transcript:EOY14997 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease 3 isoform 2 MGVPAFYRWLAEKYPLVIMDVIEEEPVVIDGVSIPVDTSKPNPNKLEFDNLYLDMNGIIHPCFHPEDRPSPTTFDEVFQCMFDYIDRLFVMVRPRKLLFMAIDGVAPRAKMNQQRSRRFRAAKDAAEAAAEEARLREEFEREGRRLPPKEESQLFDSNVITPGTPFMAVLSIALQYYIHLRLNYDPGWKTVKVILSDANVPGEGEHKIMSYIRLQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQDKCFVCGQMGHVAADCEGKAKRKAGEFDEKGDGKAVARKPYQFLNIWTLREYLEYEMRIPNLPFEMDLERVVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAAYKKELRSLGGYLTNGSKPNLSRVEHFIQAVGSYEDKIFQKRARLHQRQAERIKREKAQARRGDDVEPQIQPDSLVPVARFHGSRLASGPSPAPFQQTMESNGNGSSGQPHKVRRLSSGATIGAAIVEAEDSIETEEHENKEELKAKLKELIRDKSDVFNSKNHEEDKIKLGEPGWKERYYEEKFSAKTPEEMEAIRKDVVLRYTEGLCWVMHYYYEGVCSWRWFYPYHYAPFASDLKDLGQLDIQFELGSPFKPFNQLLGVFPAASAHALPEQYRELMTDPNSPIIDFYPTDFEIDMNGKRFSWQGIAKLPFIDEERLLAEVAKIEHTLTEEEARRNSIMCDMLFVAASHRLSEQIFSLDNRCRHLTEKERIEVKEEVKPDLRSACSDGMNGYISPCAGDTHPPIFRSPIKDMEDILANEVICCIYRLPKAHKHITRPPAGVTFPPKMVQFSDMKPEPVLWHEDSGRRPWENGRSPAQALHLMERHNPPGAISGRKLGEASHRLIANSLQLKGDRNGFSNDIHAPPPLYAAAPYVPPVNSHVNYGFHNQGQHRMVPPGQDYPSAGYPLPQTPLRPPYAHGYNEPYGPHQYQIHNQAAANNLHYPPRHDQNGGSRHMPRSMAQVSMEASPYPSHQGGYDANRIYQAPGIGSHQQWGGRMAPQANQDVLGGYGLHQQGVVNRGIHRGYDHQQQRGNQLHHQRGDHHQRGSQPHRGNQHGGNQQQRGNSYAALDRQRHRRAPVENNH >EOY14493 pep chromosome:Theobroma_cacao_20110822:8:460417:460956:1 gene:TCM_033863 transcript:EOY14493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative MFLLHNKDTAQNPIKKMPFYYQTSGKSAPINYDVQQIRKIFLDCDIDGNKVLTKEEIKHAFDRLGAAIPGYRAWRGLHHADANNDGCISMDELDELVRYANGLGYKIK >EOY16681 pep chromosome:Theobroma_cacao_20110822:8:9588006:9590818:1 gene:TCM_035511 transcript:EOY16681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide binding,nucleic acid binding MADGYWSRRQATPPMLSSGGVLKRPRHDFDAPASGLHAAHEMHNYLARDDDRGGHLSLKDTKTIGSAYDRYLQSTQLSSFTSGEASTFGGMGRAVGGAMSARPVADPSVMGRSGSAALDLAPNGRNVGYSGQLPVDGMTRPGQDTIPLPPDASNTLYVEGLPPDSTKREVAHIFRPFVGYKEVRLVSKESRHRGGDPIILCFVDFSNPACAATAMSALQGYKMDEHDPDSNYLRLQFSRYPGPRSSSGARGRRVLRSGHFN >EOY14726 pep chromosome:Theobroma_cacao_20110822:8:1099042:1105032:1 gene:TCM_034016 transcript:EOY14726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELRSSTHLHFIQAIKGGLVAKFINVDTHGRPTLKFKEIKEILNLRDAIYHNQVPKIHLRDDLESSPTKCCVVKVEGPDSPLVRGIKIKTEPEASDFNCNNDCGGGNNDLDDLSFGNMTLKQIQKKCKTKKRKRLNSVGLNEETVETWSSAKNEFPNCQHKDDEYDLEEPIISWKSKLSNNMKSKKKCLRKSVSASSPNAMSAVKSQQVNSGEDFQQPNGNWPAPIDVKVEVPESGYSGQTIFSDSSVSDFSCSEQVDYFVTVSNEVPETTNVQILVTEVPNPTKEPQYCSLNEVSYEYVENLESKFDVGVSGWEIIKVDSPEIISYEYSDLSEFKKEDYIIYSLPYDVSSEPMSPTKDYSCDIHDSCQSNSTNHEMPWQTSSYSLIQVPETTIACGLETGVPLYPVEEPVCHVSKGLSYDYMEDVDRKFGARFSGSEIVKVDSPETISYQCSDSPEFGREGTVYLLPYDISSESMSPPKDHCPDFHDSFKSNSSEHKMAWQTSTYGQNEVPELDSDNSLQCSENINEGSGCFFESRTTHDWPSNFRNVAISPSSENSLYWSSSCLNHEKHSVPVSVDSPSAGKQSLSSAFRAINSFDASDEPNASAGPQDYHQLKQQHRPERLLSSRKAISPTSQERLCQAMELTGLDDNERHQCRGKLYFGKQTNHRILRAQGLDQFRRDGATVSPKSIMRKEKHNKKGSPPKGILKVTHPSRSPRVSTASSTVQRFSQSAIAFSQRQMRDIESLATKLTTELKSMKDIVKGKLHSEASPATSAEENADEVRKALENATKVEETARKWLSIMARDCNRFCKIMRSTGDNTAASERVIHKERKITFADEAGGKLCHVKVFKDDMCTASLLECGSE >EOY14603 pep chromosome:Theobroma_cacao_20110822:8:703115:705669:-1 gene:TCM_033925 transcript:EOY14603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein isoform 2 MAIRVTVTYSGYVAQNLASNAGFRLGSCSSRSVHECWLRSRFLSPNKKSDIDPSPARNYHAADLRHPRSSMSSTLAAEILKDGCNNPIIVGLISLMKSTAYGSCSSSTTVGLCGISPFKATSIISFLQASKWLPCNEPASVGPESSEVDRGGTSNEDRSLSLELDPKGFVKSSWISRLLNVCSEDAKAALTAVTVSILFRSFMAEPRSIPSTSMYPTLDVGDRVLAEKVSYFFRKPEVSDIVIFRAPPILQEIGFSSGDVFIKRIVAKAGDCVEVRDGKLLINGVAQDEDFVLEPLAYEMDPVVVPEGYVFVLGDNRNNSFDSHNWGPLPIENIVGRSVFRYWPPSKVSDTIHDPHVGKIAVAVS >EOY14607 pep chromosome:Theobroma_cacao_20110822:8:703997:706115:-1 gene:TCM_033925 transcript:EOY14607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein isoform 2 MAIRVTVTYSGYVAQNLASNAGFRLGSCSSRSVHECWLRSRFLSPNKKSDIDPSPARNYHAADLRHPRSSMSSTLAAEILKDGCNNPIIVGLISLMKSTAYGSCSSSTTVGLCGISPFKATSIISFLQASKWLPCNEPASVGPESSEVDRGGTSNEDRSLSLELDPKGFVKSSWISRLLNVCSEDAKAALTAVTVSILFRSFMAEPRSIPSTSMYPTLDVGDRVLAEKVSYFFRKPEVSDIVIFRAPPILQEIGFSSGDVFIKRIVAKAGDCVEVSP >EOY14605 pep chromosome:Theobroma_cacao_20110822:8:703711:706115:-1 gene:TCM_033925 transcript:EOY14605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein isoform 2 MAIRVTVTYSGYVAQNLASNAGFRLGSCSSRSVHECWLRSRFLSPNKKSDIDPSPARNYHAADLRHPRSSMSSTLAAEILKDGCNNPIIVGLISLMKSTAYGSCSSSTTVGLCGISPFKATSIISFLQASKWLPCNEPASVGPESSEVDRGGTSNEDRSLSLELDPKGFVKSSWISRLLNVCSEDAKAALTAVTVSILFRSFMAEPRSIPSTSMYPTLDVGDRVLAEKVSYFFRKPEVSDIVIFRAPPILQEIGFSSGDVFIKRIVAKAGDCVEVRDGKLLINGVAQDEDFVLEPLAYEMDPVVVPEGYVFVLGDNRNNSFDSHNW >EOY14608 pep chromosome:Theobroma_cacao_20110822:8:703115:706094:-1 gene:TCM_033925 transcript:EOY14608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein isoform 2 MAIRVTVTYSGYVAQNLASNAGFRLGSCSSRSVHECWLRSRFLSPNKKSDIDPSPARNYHAADLRHPRSSMSSTLAAEILKDGCNNPIIVGLISLMKSTAYGSCSSSTTVGLCGISPFKATSIISFLQASKWLPCNEPASVGPESSEVDRGGTSNEDRSLSLELDPKGFVKSSWISRLLNVCSEDAKAALTAVTVSILFRSFMAEPRSIPSTSMYPTLDVGDRVLAEKVSYFFRKPEVSDIVIFRAPPILQEIGFSSGDVFIKRIVAKAGDCVEVRDGKLLINGVAQDEDFVLEPLAYEMDPVGSTSHRKHCW >EOY14609 pep chromosome:Theobroma_cacao_20110822:8:703244:706094:-1 gene:TCM_033925 transcript:EOY14609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein isoform 2 MAIRVTVTYSGYVAQNLASNAGFRLGSCSSRSVHECWLRSRFLSPNKKSDIDPSPARNYHAADLRHPRSSMSSTLAAEILKDGCNNPIIVGLISLMKSTAYGSCSSSTTVGLCGISPFKATSIISFLQASKWLPCNEPASVGPESSEVDRGGTSNEDRSLSLELDPKGFVKSSWISRLLNVCSEDAKAALTAVTVSILFRSFMAEPRSIPSTSMYPTLDVGDRVLAEKVSYFFRKPEVSDIVIFRAPPILQEIGFSSGDVFIKRIVAKAGDCVEVRDGKLLINGVAQDEDFVLEPLAYEMDPVVVVPEGYVFVLGDNRNNSFDSHNWGPLPIENIVGRSVFRYWPPSKVSDTIHDPHVGKIAVAVS >EOY14604 pep chromosome:Theobroma_cacao_20110822:8:703784:706115:-1 gene:TCM_033925 transcript:EOY14604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein isoform 2 MAIRVTVTYSGYVAQNLASNAGFRLGSCSSRSVHECWLRSRFLSPNKKSDIDPSPARNYHAADLRHPRSSMSSTLAAEILKDGCNNPIIVGLISLMKSTAYGSCSSSTTVGLCGISPFKATSIISFLQASKWLPCNEPASVGPESSEVDRGGTSNEDRSLSLELDPKGFVKSSWISRLLNVCSEDAKAALTAVTVSILFRSFMAEPRSIPSTSMYPTLDVGDRVLAEKVSYFFRKPEVSDIVIFRAPPILQEIGFSSGDVFIKRIVAKAGDCVEVSP >EOY14606 pep chromosome:Theobroma_cacao_20110822:8:703163:706115:-1 gene:TCM_033925 transcript:EOY14606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein isoform 2 MAIRVTVTYSGYVAQNLASNAGFRLGSCSSRSVHECWLRSRFLSPNKKSDIDPSPARNYHAADLRHPRSSMSSTLAAEILKDGCNNPIIVGLISLMKSTAYGSCSSSTTVGLCGISPFKATSIISFLQASKWLPCNEPASVGPESSEVDRGGTSNEDRSLSLELDPKGFVKSSWISRLLNVCSEDAKAALTAVTVSILFRSFMAEPRSIPSTSMYPTLDVGDRVLAEKVSYFFRKPEVSDIVIFRAPPILQEIGFSSGDVFIKRIVAKAGDCVEVRDGKLLINGVAQDEDFVLEPLAYEMDPVVSVLLLTVLVYSFVYCTLFISILSVVLHHACYMVTESITLVSRIHAFLWVILQVVPEGYVFVLGDNRNNSFDSHNWGPLPIENIVGRSVFRYWPPSKVSDTIHDPHVGKIAVAVS >EOY16225 pep chromosome:Theobroma_cacao_20110822:8:6313331:6314655:-1 gene:TCM_035067 transcript:EOY16225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGQEVKQLEECSVSNALGTWVFSVAGALLAIPVGIKRKSLAPLVFFGTTGTMLDIIMGISACEREHAERQMKLLEAQNSAADDSSVSES >EOY17077 pep chromosome:Theobroma_cacao_20110822:8:17431525:17433622:1 gene:TCM_036235 transcript:EOY17077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 21, putative MDYTVVKGVTRGGSTLEWINVKTLGEGSYGVVHLVKPIKPDLDPIFAVKSCLYQDSLSLQKEQRILRRLVGCPYIVQCFGDTLSIDEEGEVVYNLFLEYAPRGDLFDLITKRYWGKIPECNARFYARMLVLGLRNIHERGYVHCDLKPENILVFPFDLNGLINGLKIADFGLAKQPGERVDGPPGKVNFPGTAVNMPPESVENVKISASLDIWSLGCVVLQMITGEPPWEYENLTDLAIKLFHSRNPPKIPENMSSAGKDFLMKCFARNPSERWTAAMLLNHPYLLPDLPSQTNFLHRAQAGSHLPSMKHQTMQLN >EOY15716 pep chromosome:Theobroma_cacao_20110822:8:4354459:4358473:-1 gene:TCM_034697 transcript:EOY15716 gene_biotype:protein_coding transcript_biotype:protein_coding description:No pollen germination related 1 MLCACSGEQFKFEDAPQSPESLATRDFSASGLSSRTGDWESKLEDVQVDEVESTLKEALSLNYEEARALLGRLEYQRGNFDAALQVFQGIDVKGLTPRMTRAIVERTRQRKPRSKGDIIPPSVMSMHSVSLLLEAILLKAKSLEELGHFREAAKECKIILDVVEAALPNGMREGIGEDCKLQEMFHKALELLPNLWIKAGLLDEAVTAYRRALVKPWNLDPQRLASVQKDLAATLLYGGVETKLPPHLQVWGSTTPNGNTEEAILLLLVLVQKVAFGEIKWDAAIIDHLTFALSVSGQFELLAGYLEQALPGIYERAERWYLLALSYAAAGQDEVALNLLKKVAGQSEAKHKPHVPALLFGAKLSSQDPKHAHYGITFARNVIDLADQVNEHFKGQAHKFLGVCYGNAARISISDSERALLQKESLTSLNTAALNIKEDPEVLFNLSLENAVQRNLDVAFDNAMMYSNMVTENSGRGWRLLALILSGDKRFKDAETILDFALDEAGRLDQLELLRLKAVLQIAEERPKQAIETYRILLSLIQAQREPHSNSTVHAKSSDSESEAEKNMEMAAWQDLAIIYTKFGSWADAEICLNKAKSIEFFSPKSWHTTGLLFEAQSLYREALVSFSVSLSMEPDYVPSIVSTAAVLINLGSQSLPIARSFLMNALRLDPTNHDAWMNLGLIAKMEGSLQQAADFFQAAYELKLSAPVEAFT >EOY17029 pep chromosome:Theobroma_cacao_20110822:8:17118758:17138402:1 gene:TCM_036191 transcript:EOY17029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycloartenol synthase 1 isoform 1 MWRLKIAEGGSPWLRTVNNHVGRQIWEFDPDLGSPEEIMEIDKARHNFTDNRFQRKHSSDLLMRLQFSKENPVPVVLPQVKVEEAENITEGMVTNTLRRAINFHSSLQAHDGHWPGDYGGPMFLMPGLVISLSITGALNAVLSEEHKKEMCRYLYNHQNEDGGWGLHIEGPSTMFGTVLSYVTLRLLSEGANDGQGAMERGRDWILSHGGATQITSWGKMWLSVLGVFEWSGNNPLPPEIWLLPYMLPFHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTVLSLRKELFTIPYHEIDWNQARNLCAKEDLYYPHPMVQDILWASLDKVVEPILMHWPGKKLREKALQTAIEHIHYEDENTRYICIGPVNKVLNMLCCWVEDPNSEAFKLHLPRIYDYLWLAEDGMKMQGYNGSQLWDTAFAVQAIISTNLTEEYGLTLRKANTYIKNSQVREDCPGDLNFWYRHISKGAWPFSTADHGWPISDCTAEGLKAALLLSKIPSEIVGEPVEAKCLYDAVNVILSLQNADGGYATYELTRSYCWLELINPAETFGDIVIDYPYVECTSAAIQALTSFKNSHPGHRQEEIDQCIQKAAKFIESIQASDGSWYGSWGVCFTYGTWFGVKGLVAAGNNFNNCSSIRKACDFLLSKQLPSGGWGESYLSCQNKVYSNIEGNRPHVVNTGWAMLALMAAGQAERDPTPLHKGARCLINSQMENGDFPQEEIMGVFNKNCMITYAAYRNIFPIWALGEYRTNVLGI >EOY17030 pep chromosome:Theobroma_cacao_20110822:8:17118880:17138633:1 gene:TCM_036191 transcript:EOY17030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycloartenol synthase 1 isoform 1 MWRLKIAEGGSPWLRTVNNHVGRQIWEFDPDLGSPEEIMEIDKARHNFTDNRFQRKHSSDLLMRLQFSKENPVPVVLPQVKVEEAENITEGMVTNTLRRAINFHSSLQAHDGHWPGDYGGPMFLMPGLVISLSITGALNAVLSEEHKKEMCRYLYNHQNEDGGWGLHIEGPSTMFGTVLSYVTLRLLSEGANDGQGAMERGRDWILSHGGATQITSWGKMWLSVLGVFEWSGNNPLPPEIWLLPYMLPFHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTVLSLRKELFTIPYHEIDWNQARNLCAKEDLYYPHPMVQDILWASLDKVVEPILMHWPGKKLREKALQTAIEHIHYEDENTRYICIGPVNKVLNMLCCWVEDPNSEAFKLHLPRIYDYLWLAEDGMKMQGYNGSQLWDTAFAVQAIISTNLTEEYGLTLRKANTYIKNSQVREDCPGDLNFWYRHISKGAWPFSTADHGWPISDCTAEGLKAALLLSKIPSEIVGEPVEAKCLYDAVNVILSLQNADGGYATYELTRSYCWLELINPAETFGDIVIDYPYVECTSAAIQALTSFKNSHPGHRQEEIDQCIQKAAKFIESIQASDGSWYGSWGVCFTYGTWFGVKGLVAAGNNFNNCSSIRKACDFLLSKQLPSGGWGESYLSCQNKVYSNIEGNRPHVVNTGWAMLALMAAGQAERDPTPLHKGARCLINSQMENGDFPQEEIMGVFNKNCMITYAAYRNIFPIWALGEYRTNVLGI >EOY17031 pep chromosome:Theobroma_cacao_20110822:8:17118758:17137040:1 gene:TCM_036191 transcript:EOY17031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycloartenol synthase 1 isoform 1 MWRLKIAEGGSPWLRTVNNHVGRQIWEFDPDLGSPEEIMEIDKARHNFTDNRFQRKHSSDLLMRLQFSKENPVPVVLPQVKVEEAENITEGMVTNTLRRAINFHSSLQAHDGHWPGDYGGPMFLMPGLVISLSITGALNAVLSEEHKKEMCRYLYNHQNEDGGWGLHIEGPSTMFGTVLSYVTLRLLSEGANDGQGAMERGRDWILSHGGATQITSWGKMWLSVLGVFEWSGNNPLPPEIWLLPYMLPFHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTVLSLRKELFTIPYHEIDWNQARNLCAKEDLYYPHPMVQDILWASLDKVVEPILMHWPGKKLREKALQTAIEHIHYEDENTRYICIGPVNKVLNMLCCWVEDPNSEAFKLHLPRIYDYLWLAEDGMKMQGYNGSQLWDTAFAVQAIISTNLTEEYGLTLRKANTYIKNSQVREDCPGDLNFWYRHISKGAWPFSTADHGWPISDCTAEGLKAALLLSKIPSEIVGEPVEAKCLYDAVNVILSLQNADGGYATYELTRSYCWLELINPAETFGDIVIDYPYVECTSAAIQALTSFKNSHPGHRQEEIDQCIQKAAKFIESIQASDGSWFGPTFL >EOY17027 pep chromosome:Theobroma_cacao_20110822:8:17118143:17138633:1 gene:TCM_036191 transcript:EOY17027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycloartenol synthase 1 isoform 1 MWRLKIAEGGSPWLRTVNNHVGRQIWEFDPDLGSPEEIMEIDKARHNFTDNRFQRKHSSDLLMRLQFSKENPVPVVLPQVKVEEAENITEGMVTNTLRRAINFHSSLQAHDGHWPGDYGGPMFLMPGLVISLSITGALNAVLSEEHKKEMCRYLYNHQNEDGGWGLHIEGPSTMFGTVLSYVTLRLLSEGANDGQGAMERGRDWILSHGGATQITSWGKMWLSVLGVFEWSGNNPLPPEIWLLPYMLPFHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTVLSLRKELFTIPYHEIDWNQARNLCAKEDLYYPHPMVQDILWASLDKVVEPILMHWPGKKLREKALQTAIEHIHYEDENTRYICIGPVNKVLNMLCCWVEDPNSEAFKLHLPRIYDYLWLAEDGMKMQGYNGSQLWDTAFAVQAIISTNLTEEYGLTLRKANTYIKNSQVREDCPGDLNFWYRHISKGAWPFSTADHGWPISDCTAEGLKAALLLSKIPSEIVGEPVEAKCLYDAVNVILSLQNADGGYATYELTRSYCWLELINPAETFGDIVIDYPYVECTSAAIQALTSFKNSHPGHRQEEIDQCIQKAAKFIESIQASDGSWYGSWGVCFTYGTWFGVKGLVAAGNNFNNCSSIRKACDFLLSKQLPSGGWGESYLSCQNKVYSNIEGNRPHVVNTGWAMLALMAAGQAERDPTPLHKGARCLINSQMENGDFPQEEIMGVFNKNCMITYAAYRNIFPIWALGEYRTNVLGI >EOY17028 pep chromosome:Theobroma_cacao_20110822:8:17118512:17138178:1 gene:TCM_036191 transcript:EOY17028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycloartenol synthase 1 isoform 1 MWRLKIAEGGSPWLRTVNNHVGRQIWEFDPDLGSPEEIMEIDKARHNFTDNRFQRKHSSDLLMRLQFSKENPVPVVLPQVKVEEAENITEGMVTNTLRRAINFHSSLQAHDGHWPGDYGGPMFLMPGLVISLSITGALNAVLSEEHKKEMCRYLYNHQNEDGGWGLHIEGPSTMFGTVLSYVTLRLLSEGANDGQGAMERGRDWILSHGGATQITSWGKMWLSVLGVFEWSGNNPLPPEIWLLPYMLPFHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTVLSLRKELFTIPYHEIDWNQARNLCAKEDLYYPHPMVQDILWASLDKVVEPILMHWPGKKLREKALQTAIEHIHYEDENTRYICIGPVNKVLNMLCCWVEDPNSEAFKLHLPRIYDYLWLAEDGMKMQGYNGSQLWDTAFAVQAIISTNLTEEYGLTLRKANTYIKNSQVREDCPGDLNFWYRHISKGAWPFSTADHGWPISDCTAEGLKAALLLSKIPSEIVGEPVEAKCLYDAVNVILSLQNADGGYATYELTRSYCWLELINPAETFGDIVIDYPYVECTSAAIQALTSFKNSHPGHRQEEIDQCIQKAAKFIESIQASDGSWYGSWGVCFTYGTWFGVKGLVAAGNNFNNCSSIRKACDFLLSKQLPSGGWGESYLSCQNKVYSNIEGNRPHVVNTGWAMLALMAAGQAERDPTPLHKGARCLINSQMENGDFPQEEIMGVFNKNCMITYAAYRNIFPIWALGE >EOY14683 pep chromosome:Theobroma_cacao_20110822:8:978645:980841:-1 gene:TCM_033986 transcript:EOY14683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF607) [Source:Projected from Arabidopsis thaliana (AT5G42610) TAIR;Acc:AT5G42610] MAARKTLAERLLRGYRIPSPLVSPENSSMISLQNASRRDYVTSPEPVLRGFFRRFIHRRSPGQMAPMYSEFFSLPLGEKLGQRFRGIDITEDRLWLEGLTPPPQMDAAAGESLATISVEDARKLLRVSQVEKLKAKLREIPKSSISYNEFVQVCLEGCGNQAQALEFAKMLDESGNVIVLGDVVFLRPEQVAKSMETLISQSMALPNDPRRTELDEMEKQKGQIDKKAKTLVRRELYCGLGFLVAQTLGFMRLTFWELSWDVMEPICFFVTSLHFAMAYGFFLRTSTEPSFEGFFQRRFKAKQKKLMKVHNFDIEKYNQLRQAFYPNFSPSGLPNLELNSTLKHKEEAII >EOY16836 pep chromosome:Theobroma_cacao_20110822:8:11693991:11695853:1 gene:TCM_035724 transcript:EOY16836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNNLDDEALCDVVPMDVGHILVGRPWLYDHDMVHKTKPNTYSFYKNNKRYTLYPLREETKKSANNKISKITGYLSAENFEAEGSEMGIMYALVTKHLKSDQMSKSPQYPTEIQQLLKEFGELFNEDLPKSLPPLRSIQHAIDLVPGAALPNLPAYRMPPMQRAEVQRQVEELLEKGLVRESKSPCACPALLAPKKDGSWRMCVDSRAINKITIKYRFPIPRLDEMLDQLVGSRVFSKIDLKSGYHQIRMRDGDEWKTAFKTPDGLFEWLVMPFGLSNAPSTFMRVMAEVLKPFLNSFVVVYFDDILIYSHTKEKHLKYLRQVLEVLQKEQLYINLKKCSFMQPEVVFLGFIVSAEGLKPDPEKIRAISEWPAPTSIKEVRSFHGLASFYRRFIRNFSSIMSPITESLKKDGFEWSHSAQKAFERVKALMTEAPVLALPDFEKLFVVECDASYVGIGAVLSQDGRPIEFFSEIMT >EOY14920 pep chromosome:Theobroma_cacao_20110822:8:1681498:1687458:1 gene:TCM_034158 transcript:EOY14920 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein, putative isoform 1 MGTMSEEVEVQEQGLSGQEEKIFVSVRLRPLNEKDRARNSVSDWECINNDTIVFKNSLPERSMFPAAYTFDRVFACDSHTRQVYEEGAKQIALSVLSGINSTIFAYGQTSSGKTYTMSGITEYAVADIYDYIEMHEEREFLVKFSAMEIYNEAVRDLLSSDSTPLRLLDDPERGTVVEKLTEETLRDRDHLQELLSICEAQRQIGETSLNETSSRSHQILRLTVESSARDYAGAENSSMLAASVNFVDLAGSERASQTLSAGARLKEGCHINRSLLTLGTVIRKLSKGRNAHVPYRDSKLTRILQNSLGGNARTAIICTMNPARSHVEQSRNTLLFASCAKEVTTNAHVNLVMSDKALVKQLQRELARLENEMKSLGSASNKRDTAIMLREKELLIGQMAKEIAELTRQRDLAQSRVENLLLSVREVQMLKQGEYSSPKLSELAKVPYMVDYNKHRNTSVPSVPSSYNHYLGLPENSEEYFLLDGSTPKFVGPDPCKGWEEIAHRTNEQFDDSCKEVRCIEIENSSIKMNEKAEVSSLSPANNEGKLATEDAIVQETETDELSIDPEEQERKSAMTETVVKEIEADDSSIAPEEKVGKVPKTEVILKKTGDGEFLTVPEDIEGKLTMMESSMEKLEADGFSTDPEENQGKLDIARVMNENVLPIVQKENLQSTPLYRDETYKALKQKIEELQRTVKFLVRIHHLEQSPCFSDASSSSTSSMTRSRSCKAVLATVPSSPCFEKAQQNESTPPSTGFDKDFFERPRGLDQKLSGLKYDNRSENMSRKNSQTSLSSVSGDAQSLKDSDVEDNCSVIDFLPRPEKSFSNDFVRRRTASSPDSVAGGIETKLQSEKQIGHDAAQETTDQTDNSREKIEDAMQLHSSWASEFEKQQRKIIELWDACNVPLIHRTYFILLFKGDPSDSVYMEVELRRLSFIKNSMSSGTNARTNSPLDTTSSSVKDLIRERGMLSKQIQKKFSRKQREELFKKWGIGLDTKQRSLQLARRVWTDTNDMDHVKESAALVAKLFGFVEPSRAPKEIVGLNILPRSITRRSYTWKHGIPPLL >EOY14919 pep chromosome:Theobroma_cacao_20110822:8:1681507:1688269:1 gene:TCM_034158 transcript:EOY14919 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein, putative isoform 1 MGTMSEEVEVQEQGLSGQEEKIFVSVRLRPLNEKDRARNSVSDWECINNDTIVFKNSLPERSMFPAAYTFDRVFACDSHTRQVYEEGAKQIALSVLSGINSTIFAYGQTSSGKTYTMSGITEYAVADIYDYIEMHEEREFLVKFSAMEIYNEAVRDLLSSDSTPLRLLDDPERGTVVEKLTEETLRDRDHLQELLSICEAQRQIGETSLNETSSRSHQILRLTVESSARDYAGAENSSMLAASVNFVDLAGSERASQTLSAGARLKEGCHINRSLLTLGTVIRKLSKGRNAHVPYRDSKLTRILQNSLGGNARTAIICTMNPARSHVEQSRNTLLFASCAKEVTTNAHVNLVMSDKALVKQLQRELARLENEMKSLGSASNKRDTAIMLREKELLIGQMAKEIAELTRQRDLAQSRVENLLLSVREVQMLKQGEYSSPKLSELAKVPYMVDYNKHRNTSVPSVPSSYNHYLGLPENSEEYFLLDGSTPKFVGPDPCKGWEEIAHRTNEQFDDSCKEVRCIEIENSSIKMNEKAEVSSLSPANNEGKLATEDAIVQETETDELSIDPEEQERKSAMTETVVKEIEADDSSIAPEEKVGKVPKTEVILKKTGDGEFLTVPEDIEGKLTMMESSMEKLEADGFSTDPEENQGKLDIARVMNENVLPIVQKENLQSTPLYRDETYKALKQKIEELQRTVKFLVRIHHLEQSPCFSDASSSSTSSMTRSRSCKAVLATVPSSPCFEKAQQNESTPPSTGFDKDFFERPRGLDQKLSGLKYDNRSENMSRKNSQTSLSSVSGDAQSLKDSDVEDNCSVIDFLPRPEKSFSNDFVRRRTASSPDSVAGGIETKLQSEKQIGHDAAQETTDQTDNSREKIEDAMQLHSSWASEFEKQQRKIIELWDACNVPLIHRTYFILLFKGDPSDSVYMEVELRRLSFIKNSMSSGTNARTNSPLDTTSSSVKDLIRERGMLSKQIQKKFSRKQREELFKKWGIGLDTKQRSLQLARRVWTDTNDMDHVKESAALVAKLFGFVEPSRAPKEIVGLNILPRSITRRSYTWKHGIPPLL >EOY14635 pep chromosome:Theobroma_cacao_20110822:8:798197:801541:1 gene:TCM_033949 transcript:EOY14635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 82A3, putative MAYYWPPSPIQSATPTPYYLRLLFKRAGNYGPIFTIKLGLSQAVIVSNRELAKECLSTNDKVFATRPKSIAFEILGYNYAVFGFSPYGSYWHHIRKLATVLQVLLVAINFKLASVSKGALFQFGFQSPNQRSTPTWRCTNKKKAAPEAGNSWPVLGHLHLLAGRQPAHLTLSAMADKYGPIFTIKLGVRRALVVSSWETAKECLTTNDKAFATRPKNISMEVLGYNHAMFGFAPYGPYWRNMRKITTLELLSNHRLDMLKHVRESEMKVSLQELYQLWKENKNSSDKVLVEMDKWFRDATANVILKMIVGKRISSLGNDAESGRWKKALKEFFELSGKFLVADAFPFLRWLDIGGDERLMKKVKKVLNEVAEEWLQEHKQKRASGEFRDEEEDFMDVMLSILTDAKEYDADTINKATCLILILAATDPTAVTLTWCLALLLNNRDALKKAQQEIDIHIGKDKPVEENDIKNLVYLQAIIKETLRLHPAGPLAVPHESMENCTVNGYHIPAGTELLINLYKIHRDSRVWSDPYKFQPERFLTTHKNFDVRGQNFELIPFSSGRRMCPGVSFALQVVQLTLANLLHGFDLATPFDEPVDMREAAGLSNFKATPLEVSISPRLPNSCYELK >EOY15338 pep chromosome:Theobroma_cacao_20110822:8:2933076:2937259:-1 gene:TCM_034432 transcript:EOY15338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin I isoform 1 MEISRFGLLVFTIAILASPALSDLVLSKVDRRIYLTSQIVRISSTLKVENAGSEMVSEVLLAFPELQAKNLAYLMAAQHEGKGKAKNSVVALSVEVASPKDMPPALTFYSVSLPKGLAEGDSFTFDVLAVYTHALQPIPEQITQADIQLVVYQESAYYLSPYVVRVQSLSIKLPDSRIESYTKLENTKIHGSEIKYGPYENLPTFSYSPIVVHFESNQPFAVAQELVREIEVSHWGNVQVTEHYKLVHGGARSKGEFSRLDFQARPNLRGASAFRYLVANLPPRAHSVYYRDEIGNISTSYLWGDSKKTELLIEPRYPMFGGWKTAFTIGYGLPLQDFLYKSEGKRFLNITFSTPMVELIIDTLIVKVVLPEGSSDISVSAPFPVKQWQETKISHLDIDGRPVVVLEKTNVVPEHNQYFQVYYKFNKLSMLREPLMLISGFFFLFVACIVYMHADISISKSSASYLAKQQWEEVQGAIQQVQNIMSRCLTTHDKLEASLRDLSRTGDVQACKAARKAADGLLKELSKELKPLLTFLHSSPSAAQILPKVEELVAKERELQEKVMAKHSTVVDGYEKKSGARDIENRVALQQQKLTALRQEVDNLLEFIDEI >EOY15339 pep chromosome:Theobroma_cacao_20110822:8:2933427:2937305:-1 gene:TCM_034432 transcript:EOY15339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin I isoform 1 MEISRFGLLVFTIAILASPALSDLVLSKVDRRIYLTSQIVRISSTLKVENAGSEMVSEVLLAFPELQAKNLAYLMAAQHEGKGKAKNSVVALSVEVASPKDMPPALTFYSVSLPKGLAEGDSFTFDVLAVYTHALQPIPEQITQADIQLVVYQESAYYLSPYVVRVQSLSIKLPDSRIESYTKLENTKIHGSEIKYGPYENLPTFSYSPIVVHFESNQPFAVAQELVREIEVSHWGNVQVTEHYKLVHGGARSKGEFSRLDFQARPNLRGASAFRYLVANLPPRAHSVYYRDEIGNISTSYLWGDSKKTELLIEPRYPMFGGWKTAFTIGYGLPLQDFLYKSEGKRFLNITFSTPMVELIIDTLIVKVVLPEGSSDISVSAPFPVKQWQETKISHLDIDGRPVVVLEKTNVVPEHNQYFQVYYKFNKLSMLREPLMLISGFFFLFVACIVYMHADISISKSSASYLAKQQWEEVQGAIQQVQNIMSRCLTTHDKLEASLRDLSRTGDVQACKAARKAADGLLKELSKELKPLLTFLHSSPSAAQILPKVEELVAKERELQEKVMAKHSTVVDGYEKKSGARDIENRVALQQQKLTALRQEVDNLLEFIDEI >EOY16599 pep chromosome:Theobroma_cacao_20110822:8:8848663:8851476:-1 gene:TCM_035422 transcript:EOY16599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 1 MGTLNSYHRTKRIWHHGTPCTNTHQIGALLLIVATLFINRLWDHLPSALHNNSQCSIFNQDRSHRKLAHFNGGYPSWPERGYGSHLSLKIYVYDENEIDGLKPLMYGKEGVVDVDICYQGQWGTQVKIHRLLLSSRFRTRKKEEADFFFVPAYVKCVHMLEGLTEEEINQTYVEVLSQMPYFRRSGGRDHIFVFPSGNGAHFFTSWKTFLNRSIFLTPEGDRTDNKNSSSFHTWKDIIIPGNVHDEMTKNGAALVEPLPLSKRNYLANYLGRAQGLKGRLQLIELARQYPDKLEAPDLKHDPPDKLFKLEYFEHLRNAKFCLIPRGLSSWTLRFYESFFVVCPHSHSHSLSLFVLFQF >EOY16598 pep chromosome:Theobroma_cacao_20110822:8:8848495:8851895:-1 gene:TCM_035422 transcript:EOY16598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 1 MTIDKPFINSYILCSSSFPSIACLIWFSMGTLNSYHRTKRIWHHGTPCTNTHQIGALLLIVATLFINRLWDHLPSALHNNSQCSIFNQDRSHRKLAHFNGGYPSWPERGYGSHLSLKIYVYDENEIDGLKPLMYGKEGVVDVDICYQGQWGTQVKIHRLLLSSRFRTRKKEEADFFFVPAYVKCVHMLEGLTEEEINQTYVEVLSQMPYFRRSGGRDHIFVFPSGNGAHFFTSWKTFLNRSIFLTPEGDRTDNKNSSSFHTWKDIIIPGNVHDEMTKNGAALVEPLPLSKRNYLANYLGRAQGLKGRLQLIELARQYPDKLEAPDLKHDPPDKLFKLEYFEHLRNAKFCLIPRGLSSWTLRFYESFFVECVPVIISDQIELPFQNVIDYTQITIKWPATRIGPQLLEYLESIPDEVIVGMIARGRQVKCLWTYAPEIEPCSAMHGILWELQRKVRVFHQSTETFWLHNGTIVNRDLVEFHNWKPPMPLP >EOY17356 pep chromosome:Theobroma_cacao_20110822:8:19608266:19610359:-1 gene:TCM_036508 transcript:EOY17356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 3 SPPLPLNFFYVQRNCNLNCRGSSGRSSAERVRCSAVKVEDDIDDEACELVNGVELSIGEGDNNIQAYLFKAVKNNNGTCVLLLSDIFGFQDSATRDFAYQVACHGYNVLVPDLFRGDPWAEGRPRTMLEQWLASQDPERVAKDIATSTKWIVDEFTAAGISKKLGIIGFCFGGGRVIDVLAADQGAYFSTAVSFYGTRMDPSAASKVKVPVLFISGDNDPLCPVSVLSEFEKSIGQGSRVVIFKGRGHAFAHRPGSPEEDGDAEQAFTLMRNWLHDGLLVNT >EOY17357 pep chromosome:Theobroma_cacao_20110822:8:19608781:19610596:-1 gene:TCM_036508 transcript:EOY17357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 3 MGLASTPSSSSSVLSTSLCATRSSSLPLRHSRHHHLRFLSTFRNCNLNCRGSSGRSSAERVRCSAVKVEDDIDDEACELVNGVELSIGEGDNNIQAYLFKAVKNNNGTCVLLLSDIFGFQDSATRDFAYQVACHGYNVLVPDLFRGDPWAEGRPRTMLEQWLASQDPERVAKDIATSTKWIVDEFTAAGISKKLGIIGFCFGGGRVIDVLAADQGAYFSTAVSFYGTRMDPSAASKVKVPVLFISGDNDPLCPVSVLSEFEKSIGQGSRVVIFKGRGHAFAHRPGSPEEDGDAEQAFTLMRNWLHDGLLVNT >EOY17355 pep chromosome:Theobroma_cacao_20110822:8:19608523:19610629:-1 gene:TCM_036508 transcript:EOY17355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 3 MCNAPSLPSSATQKQKKIWGWLQHPPPHPPCSPPPFAQPEVALSRSATVVTITSASSQLLYVYVQRNCNLNCRGSSGRSSAERVRCSAVKVEDDIDDEACELVNGVELSIGEGDNNIQAYLFKAVKNNNGTCVLLLSDIFGFQDSATRDFAYQVACHGYNVLVPDLFRGDPWAEGRPRTMLEQWLASQDPERVAKDIATSTKWIVDEFTAAGISKKLGIIGFCFGGGRVIDVLAADQGAYFSTAVSFYGTRMDPSAASKVKVPVLFISGDNDPLCPVSVLSEFEKSIGQGSRVVIFKGRGHAFAHRPGSPEEDGDAEQAFTLMRNWLHDGLLVNT >EOY16738 pep chromosome:Theobroma_cacao_20110822:8:10736244:10743268:1 gene:TCM_035619 transcript:EOY16738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPATDYQGSSAPLTNIGRSLLSLRRDQVHAMETQNDGSASHELELESFQRQVADRFHDLSSVPSDELLSLPWVRKLLDVFLCCQEEFRVILFNNKAQVMKPPMDRLIADYYERTVKALDVCNAIRDGIEQIRQWQKLLEIVLCALGDSNVNSNTSSNGNNNNCYQRILGEGQFRRAKKALIDLAIGMLDEKDSGQALAHRNRSFGRNNNSGSHSKDHHHRSLGHFRSLSWSVSRSWSAARQLQAIGNNLAAPRGNEVVATNGLAIPVYTMGCVLLFVMWALVAAIPCQDRGLQVHFYVPRQFSWAAPILSLHERIMEESKKRDRKNACGLLREIYQMEKCSRLLGELADSVQFPLSEEKEGEVRQRVKELGQVCDAMKEGLEPLERQVREVFHRIVPCNCVMICLWGNLSIMKKRRDDEDGEEMKKDLRHTPQK >EOY15250 pep chromosome:Theobroma_cacao_20110822:8:2709620:2717874:-1 gene:TCM_034386 transcript:EOY15250 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein isoform 1 MEKKEKKFLTVAPFECAWRKDLKFREAGRGCVAFDAFAHNDVTVVFRENVGSQHYHYKRDNSPHYTVIIGSHRNRRLKIEVDGKTVVDAAGVGLCCSSAFQSYWISIYDGLISIGKGRYPFQNLVFQWLDSNPNCSVQYVGLSSWDKHVGYRNVNVLPLMQNHLLLWKQVDCGEYNGEDDGDEELENEKMGYEKWGLENFLESWELSDMFFIVGEEERAVPAHKVILQASGNFSLSSSDGDVVQLQHVAYPILHALLQYVYAGQTQISEAQLWPLWALSLQFEVMPLVKQCEEAMERFKVNKKLFDSGKNVELSYASSQPHSGGTFSSGHPINMQRLQQLHSTGEYSDINIYIEGQGLIARAHKVILGFYSVPFAKMFTNGMCESNTPEVCLKDVSSEALKAMLEFMYSGELRIEDTEDFGTLLLQLLLLSDKFGISLLHQECCKMLLECLSEGSVCPILQVVASIPSCKLIEETCERKFAMHFDYCTTASLDFISLDETTFRNIIQHPDLTVTSEERVLDAILMWCMKAEKLCGWELVNELMINSTSESLFKERLQSVDDLLPSVRFSLLPYPLIKKLENTSLSRHISAFGDLVTEAINYKECTVTIHGNDQNVKFQHRRSSYKELQYICDGDSNGILYFAGTSYGEHPWVNPVLSKRIAITASSPTSRYTDPKVLVSRTYQGTCFAGPRMEGGRICAWWMIDIGQDHQLICNYYTLRQDGSRAYIRCWKIQGSVDGRSWIDLRVHENDQTMCKPGQFASWPVTGTNALLPFRFFRVLLTGPTTDSSHPWNFCICFLELYGYYR >EOY15252 pep chromosome:Theobroma_cacao_20110822:8:2711632:2718418:-1 gene:TCM_034386 transcript:EOY15252 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein isoform 1 MEKKEKKFLTVAPFECAWRKDLKFREAGRGCVAFDAFAHNDVTVVFRENVGSQHYHYKRDNSPHYTVIIGSHRNRRLKIEVDGKTVVDAAGVGLCCSSAFQSYWISIYDGLISIGKGRYPFQNLVFQWLDSNPNCSVQYVGLSSWDKHVGYRNVNVLPLMQNHLLLWKQVDCGEYNGEDDGDEELENEKMGYEKWGLENFLESWELSDMFFIVGEEERAVPAHKVILQASGNFSLSSSDGDVVQLQHVAYPILHALLQYVYAGQTQISEAQLWPLWALSLQFEVMPLVKQCEEAMERFKVNKKLFDSGKNVELSYASSQPHSGGTFSSGHPINMQRLQQLHSTGEYSDINIYIEGQGLIARAHKVILGFYSVPFAKMFTNGMCESNTPEVCLKDVSSEALKAMLEFMYSGELRIEDTEDFGTLLLQLLLLSDKFGISLLHQECCKMLLECLSEGSVCPILQVVASIPSCKLIEETCERKFAMHFDYCTTASLDFISLDETTFRNIIQHPDLTVTSEERVLDAILMWCMKAEKLCGWELVNELMINSTSESLFKERLQSVDDLLPSVRFSLLPYPLIKKVTEAINYKECTVTIHGNDQNVKFQHRRSSYKELQYICDGDSNGILYFAGTSYGEHPWVNPVLSKRIAITASSPTSRYTDPKVLVSRTYQGTCFAGPRMEGGRICAWWMIDIGQDHQLICNYYTLRQDGSRAYIRCWKIQGSVDGRSWIDLRVHENDQTMCKPGQFASWPVTGTNALLPFRFFRVLLTGPTTDSSHPWNFCICFLELYGYYR >EOY15251 pep chromosome:Theobroma_cacao_20110822:8:2715082:2717323:-1 gene:TCM_034386 transcript:EOY15251 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein isoform 1 MEKKEKKFLTVAPFECAWRKDLKFREAGRGCVAFDAFAHNDVTVVFRENVGSQHYHYKRDNSPHYTVIIGSHRNRRLKIEVDGKTVVDAAGVGLCCSSAFQSYWISIYDGLISIGKGRYPFQNLVFQWLDSNPNCSVQYVGLSSWDKHVGYRNVNVLPLMQNHLLLWKQVDCGEYNGEDDGDEELENEKMGYEKWGLENFLESWELSDMFFIVGEEERAVPAHKVILQASGNFSLSSSDGDVVQLQHVAYPILHALLQYVYAGQTQISEAQLWPLWALSLQFEVMPLVKQCEEAMERFKVNKKLFDSGKNVELSYASSQPHSGGTFSSGHPINMQRLQQLHSTGEYSDINIYIEGQGLIARAHKVILGFYSVPFAKMFTNGMCESNTPEVCLKDVSSEALKAMLEFMYSGELRIEDTEDFGTLLLQLLLLSDKFGISLLHQECCKMLLECLSEGWSSPSGRGSYNKSFSIMHKFNGRGSRQIWQSNWAVACEANC >EOY16516 pep chromosome:Theobroma_cacao_20110822:8:8061407:8066921:-1 gene:TCM_035317 transcript:EOY16516 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein MVKETEYYDVLGVSPSASEEEIRKAYYLKARQVHPDKNPNDPHAAERFQVLGEAYQVLSDPVQRDTYDRNGKYSISRETMLDPTAVFALLFGSELFEDYIGHLAVASMASSELASETDTSEKLHDKLKAVQKEREEKLARSLKDFLNQYVRGDKDGFLQRAESEARRLSDTAIGVDILHTIGYIYSRQAAQELGKKAIYLGVPFLAEWVRHKGHFWKSQITAAKGAFQLLQLQEDMRRQFKKDGSGPENDVESHVRLNKETLVSSLWKLNVVDIEVTLVHVCQMVLRENNVKKEELKARALALKVLGKIFQEQRAQNGGTSRRKNVAEIDAYDDDDDGSSSDSSSEEESPRALSYRTPFLTQGIGRLFRCLCNPAFDVDDDEIVFKSK >EOY17230 pep chromosome:Theobroma_cacao_20110822:8:18561081:18561893:1 gene:TCM_036382 transcript:EOY17230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSGGPGKRSKKIASKPKAVSLQEKKEMVDEEEEALVRDIDDLRKWTDTVDAMNDEQLKEYLKRRPEELKTVKIQKSKPKQKVQKVVKPKPSTCSGIMASVWKFHKEDDDDKQLPARSDARYNLLTKASGLLFPVAEQNEPL >EOY17477 pep chromosome:Theobroma_cacao_20110822:8:20944761:20946148:-1 gene:TCM_036673 transcript:EOY17477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MSSTAMAKAIMSCFLLSSKHPSSLRSFIRKTNFFSLPTFFPSLINPKIHISSSLCLPTTTHRFTLLSSPFSSSSQPPNHTQRINTKVNFSLSDSDSDSEEVSRKQELDKSKLPPPYDPFNKKPIIEEPQDPKNLQQVFHDMRSDGLINNAVKMFDALSKDGLTHEALELFSQFKDKGQMPDVVAHTAVIEAYANAGHCKEALKGFMRMLASGVAPNAYTYTVLIKGLAAGDAKHLGDAKKYLMEMLERGIRPNAGTYTAVFEAFARVEKVDEAKDFLERMKEKGFVPDEKDVREVLRNKRGPGCRSVMRILFDR >EOY15495 pep chromosome:Theobroma_cacao_20110822:8:3493980:3514437:-1 gene:TCM_034532 transcript:EOY15495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi-body localization protein domain isoform 3 MAASPVKFLFGFLMISITLWMVFIFASRLLAWILSRIVGASVGFRVGGWKCLRDVVVKFNKGAIESILVGEIKLSLRQSLVKLGFGIISKDPKLQVLICDLEIVLRPSTKSSQKAKSRKPRTSGRGKWMVVANIARFLSVSITDLVLKTPKATVEVKELKVDISKDGGSKPNLFVKLHILPISVHAIRSLSGIMEKFSAPFSCEEFSLSCEFGHDREAGVVVRNVDINCGEVVVNLNEELLSKNKKSSDVFSETDRVTGLTADSVTKKKPQKKQAAILALTKYTSVFPEKICFNLPKLDVKFVHREHDLFVENNIMGIQLKSIKSRSTEDVGESTRLDVQLEFSEIHLLREAGSSILEIMKVDVVSFVYIPIQPISLVRAEVDVKLGGTQCNIIMSILKPWLGLQSSKKKGMVLREETSTIEKPQSSESKAFMWTCTVSAPEMTIVLYSISGVPLYHGCSQSSHVFANNISSTGTTVHMELGELNLHMADEYQECLKESLFSVESNSGSLLHIAKVSLDWGKKDMESSEDDGPRCKLVLSTDVTGMGIYLTFKRVESLIIAAMSFQALLKNLSAGKKATQSRTGRSSKPSGKGTRLLKFNLERCSVSFCGETSLDNTVVADPKRVNYGSQGGRVVISVSADGTPRNANLMSTASDQCKKLKYSLLLDIFHFSLCVNKEKQSTQVELERARSIYQEHLEEDKPDTKVALFDMQNAKFVRRSGGLKEIAVCSLFSATDISIRWEPDVHLSLFELVLQLKALVHNQKVKGHGNELMDNVSGVRDAEQKKEVIVMESGHLDKTKKKESIFAVDVEMLSISAEAGDGVDALVQVQSIFSENARIGVLLEGLMLSFNGARIFKSSRMQISRIPNASSSSDAAVPLVTVWDWVVQALDVHICMPFRLQLRAIDDAVEEMLRALKLITSAKTQLILPMKKESSKPKKPSSTKFGRVKFCIRKLTADIEEEPIQGWLDEHYHLMKNEAVELAVRLKFLNDFILANQCPKTAEISDSACERKIQNNGVEINVQDPSAIEKMQEEICKQSFQSYYLACQKLKPSERSGACREGFQAGFKPSTARTSLLSVSATDLDVTLTRIDGGDDGMIEVLRQLDPVCRESNIPFSRLYGSNILLNTGSLTVQLRNYTLPLFSAISGRCEGRVVLAQQATCFQPQISNDVFIGRWRKVRMLRSASGTTPPMKTYSDLPIHFEKAEVSFGVGYEPVFADISYAFTVALRRANLSNRSPGLPQPPKKERSLPWWDDMRNYIHGNITLFFSETKWNILATTDPYERLDKLQIVSGSMEIQQSDGRVYVSAKDFKIFLSSLESLVNSHSLKLPASVSGAFLEAPVFSLEVTMDWECESGNPMNHYLFALPIEGKPREKVFDPFRSTSLSLRWNFSLKPLFPALEKQSPSASVSECTVLEGTVNGAHFKDENVSIASPTVNVGAHDLAWIVKFWNMNYIPPHKLRSFSRWPRFGIPRIPRSGNLSLDRVMTEFMLRLDATPTCIKHKTLDDDDPAKGLAFGMTKLKYEICYSRGKQKYTFECKRDPLDLVYQGLDLHMPKVFLNKEDCNSVTKVVQMTRKTSQSASIERVPSEKSNYMSGCTEKHRDEGFLLSSDYFTIRRQAPKADPARLFAWQEAGRKNLEMTYVRSEFENGSESDEHARSDPSDDDGYNVVIADNCQRVFVYGLKLLWTIENRDAVWSFVGGISKAFEPQKPSPSRQYAQRKLLEEYQKHGDPEMPQEDTSKSPSSNHGVASPSQHVETSGSHSSLSHAVGMENLSTSAVALNDSEEEGTRHFMVNVIEPQFNLHSEDANGRFLLAAVSGRVLARSFHSVLHVGYEMIEQALGTGNVHIPEGGHDMTLKRTEFSVMLEHVQAHVAPTDVDPGAGLQWLPKIRRSSTKVKRTGALLERVFLPCDMYFRYTRHKGGTPDLKVKPLKDLTFNSHNITATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSCPGEDDEDVGEEADEVVPDGVEEVELAKISLEQKEREQKLLLNDIKKLSLHCDTSGDHLEKEGDWWMVNGGRSILVQGMKRELVNAKKSRKAASVSLRVALQKAAQLRLMEKEKNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYDFDRDYKDVGVAQFTTKYFVVRNCLLNAKSDMLLSAWNPPPEWGKNVMLRVDAKQGAPKDANSPLELFQVEIYPLKIHLTETMYRMMWEYFFPEEEQDSQRRQEVWKVSTTAGARRVKKGFSTHDASASGSHSTKESEISSKPSVSTTSVTSQPVPADSAQASKLQNLKANVVSGSGPELRRTSSFDRTWEETVAESVANELVLQVHSSSISSTKSGPLVSLEQQDECSKNKMKDTKSIKYGRSSHEEKKVGKSNEEKKSRPRKMMEFHNIKISQVELLVTYEGARFVVNDLKLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAHSQQPSGAGVPDSDLNLSDNDQVGKSDPYPITFIKRPSDGAGDGFVTSIRGLFNTQRRKAKQFVLRTMRGEAENDFHGEWSESDAEFSPFARQLTITKAKRLIRRHTKKFRSRGQKGSSSQQRES >EOY15493 pep chromosome:Theobroma_cacao_20110822:8:3493026:3514484:-1 gene:TCM_034532 transcript:EOY15493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi-body localization protein domain isoform 3 MAASPVKFLFGFLMISITLWMVFIFASRLLAWILSRIVGASVGFRVGGWKCLRDVVVKFNKGAIESILVGEIKLSLRQSLVKLGFGIISKDPKLQVLICDLEIVLRPSTKSSQKAKSRKPRTSGRGKWMVVANIARFLSVSITDLVLKTPKATVEVKELKVDISKDGGSKPNLFVKLHILPISVHAIRSLSGIMEKFSAPFSCEEFSLSCEFGHDREAGVVVRNVDINCGEVVVNLNEELLSKNKKSSDVFSETDRVTGLTADSVTKKKPQKKQAAILALTKYTSVFPEKICFNLPKLDVKFVHREHDLFVENNIMGIQLKSIKSRSTEDVGESTRLDVQLEFSEIHLLREAGSSILEIMKVDVVSFVYIPIQPISLVRAEVDVKLGGTQCNIIMSILKPWLGLQSSKKKGMVLREETSTIEKPQSSESKAFMWTCTVSAPEMTIVLYSISGVPLYHGCSQSSHVFANNISSTGTTVHMELGELNLHMADEYQECLKESLFSVESNSGSLLHIAKVSLDWGKKDMESSEDDGPRCKLVLSTDVTGMGIYLTFKRVESLIIAAMSFQALLKNLSAGKKATQSRTGRSSKPSGKGTRLLKFNLERCSVSFCGETSLDNTVVADPKRVNYGSQGGRVVISVSADGTPRNANLMSTASDQCKKLKYSLLLDIFHFSLCVNKEKQSTQVELERARSIYQEHLEEDKPDTKVALFDMQNAKFVRRSGGLKEIAVCSLFSATDISIRWEPDVHLSLFELVLQLKALVHNQKVKGHGNELMDNVSGVRDAEQKKEVIVMESGHLDKTKKKESIFAVDVEMLSISAEAGDGVDALVQVQSIFSENARIGVLLEGLMLSFNGARIFKSSRMQISRIPNASSSSDAAVPLVTVWDWVVQALDVHICMPFRLQLRAIDDAVEEMLRALKLITSAKTQLILPMKKESSKPKKPSSTKFGRVKFCIRKLTADIEEEPIQGWLDEHYHLMKNEAVELAVRLKFLNDFILANQCPKTAEISDSACERKIQNNGVEINVQDPSAIEKMQEEICKQSFQSYYLACQKLKPSERSGACREGFQAGFKPSTARTSLLSVSATDLDVTLTRIDGGDDGMIEVLRQLDPVCRESNIPFSRLYGSNILLNTGSLTVQLRNYTLPLFSAISGRCEGRVVLAQQATCFQPQISNDVFIGRWRKVRMLRSASGTTPPMKTYSDLPIHFEKAEVSFGVGYEPVFADISYAFTVALRRANLSNRSPGLPQPPKKERSLPWWDDMRNYIHGNITLFFSETKWNILATTDPYERLDKLQIVSGSMEIQQSDGRVYVSAKDFKIFLSSLESLVNSHSLKLPASVSGAFLEAPVFSLEVTMDWECESGNPMNHYLFALPIEGKPREKVFDPFRSTSLSLRWNFSLKPLFPALEKQSPSASVSECTVLEGTVNGAHFKDENVSIASPTVNVGAHDLAWIVKFWNMNYIPPHKLRSFSRWPRFGIPRIPRSGNLSLDRVMTEFMLRLDATPTCIKHKTLDDDDPAKGLAFGMTKLKYEICYSRGKQKYTFECKRDPLDLVYQGLDLHMPKVFLNKEDCNSVTKVVQMTRKTSQSASIERVPSEKSNYMSGCTEKHRDEGFLLSSDYFTIRRQAPKADPARLFAWQEAGRKNLEMTYVRSEFENGSESDEHARSDPSDDDGYNVVIADNCQRVFVYGLKLLWTIENRDAVWSFVGGISKAFEPQKPSPSRQYAQRKLLEEYQKHGDPEMPQEDTSKSPSSNHGVASPSQHVETSGSHSSLSHAVGMENLSTSAVALNDSEEEGTRHFMVNVIEPQFNLHSEDANGRFLLAAVSGRVLARSFHSVLHVGYEMIEQALGTGNVHIPEGGHDMTLKRTEFSVMLEHVQAHVAPTDVDPGAGLQWLPKIRRSSTKVKRTGALLERVFLPCDMYFRYTRHKGGTPDLKVKPLKDLTFNSHNITATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSCPGEDDEDVGEEADEVVPDGVEEVELAKISLEQKEREQKLLLNDIKKLSLHCDTSGDHLEKEGDWWMVNGGRSILVQGMKRELVNAKKSRKAASVSLRVALQKAAQLRLMEKEKNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYDFDRDYKDVGVAQFTTKYFVVRNCLLNAKSDMLLSAWNPPPEWGKNVMLRVDAKQGAPKDANSPLELFQVEIYPLKIHLTETMYRMMWEYFFPEEEQDSQRRQEVWKVSTTAGARRVKKGFSTHDASASGSHSTKESEISSKPSVSTTSVTSQPVPADSAQASKLQNLKANVVSGSGPELRRTSSFDRTWEETVAESVANELVLQVHSSSISSTKSGPLVSLEQQDECSKNKMKDTKSIKYGRSSHEEKKVGKSNEEKKSRPRKMMEFHNIKISQVELLVTYEGARFVVNDLKLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAHSQQPSGAGVPDSDLNLSDNDQVGKSDPYPITFIKRPSDGAGDGFVTSIRGLFNTQRRKAKQFVLRTMRGEAENDFHGEWSESDAEFSPFARQLTITKAKRLIRRHTKKFRSRGQKGSSSQQRESLPSSPMDPMETTPFETDSSSGSSPYEDFHE >EOY15494 pep chromosome:Theobroma_cacao_20110822:8:3497727:3514019:-1 gene:TCM_034532 transcript:EOY15494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi-body localization protein domain isoform 3 MAASPVKFLFGFLMISITLWMVFIFASRLLAWILSRIVGASVGFRVGGWKCLRDVVVKFNKGAIESILVGEIKLSLRQSLVKLGFGIISKDPKLQVLICDLEIVLRPSTKSSQKAKSRKPRTSGRGKWMVVANIARFLSVSITDLVLKTPKATVEVKELKVDISKDGGSKPNLFVKLHILPISVHAIRSLSGIMEKFSAPFSCEEFSLSCEFGHDREAGVVVRNVDINCGEVVVNLNEELLSKNKKSSDVFSETDRVTGLTADSVTKKKPQKKQAAILALTKYTSVFPEKICFNLPKLDVKFVHREHDLFVENNIMGIQLKSIKSRSTEDVGESTRLDVQLEFSEIHLLREAGSSILEIMKVDVVSFVYIPIQPISLVRAEVDVKLGGTQCNIIMSILKPWLGLQSSKKKGMVLREETSTIEKPQSSESKAFMWTCTVSAPEMTIVLYSISGVPLYHGCSQSSHVFANNISSTGTTVHMELGELNLHMADEYQECLKESLFSVESNSGSLLHIAKVSLDWGKKDMESSEDDGPRCKLVLSTDVTGMGIYLTFKRVESLIIAAMSFQALLKNLSAGKKATQSRTGRSSKPSGKGTRLLKFNLERCSVSFCGETSLDNTVVADPKRVNYGSQGGRVVISVSADGTPRNANLMSTASDQCKKLKYSLLLDIFHFSLCVNKEKQSTQVELERARSIYQEHLEEDKPDTKVALFDMQNAKFVRRSGGLKEIAVCSLFSATDISIRWEPDVHLSLFELVLQLKALVHNQKVKGHGNELMDNVSGVRDAEQKKEVIVMESGHLDKTKKKESIFAVDVEMLSISAEAGDGVDALVQVQSIFSENARIGVLLEGLMLSFNGARIFKSSRMQISRIPNASSSSDAAVPLVTVWDWVVQALDVHICMPFRLQLRAIDDAVEEMLRALKLITSAKTQLILPMKKESSKPKKPSSTKFGRVKFCIRKLTADIEEEPIQGWLDEHYHLMKNEAVELAVRLKFLNDFILANQCPKTAEISDSACERKIQNNGVEINVQDPSAIEKMQEEICKQSFQSYYLACQKLKPSERSGACREGFQAGFKPSTARTSLLSVSATDLDVTLTRIDGGDDGMIEVLRQLDPVCRESNIPFSRLYGSNILLNTGSLTVQLRNYTLPLFSAISGRCEGRVVLAQQATCFQPQISNDVFIGRWRKVRMLRSASGTTPPMKTYSDLPIHFEKAEVSFGVGYEPVFADISYAFTVALRRANLSNRSPGLPQPPKKERSLPWWDDMRNYIHGNITLFFSETKWNILATTDPYERLDKLQIVSGSMEIQQSDGRVYVSAKDFKIFLSSLESLVNSHSLKLPASVSGAFLEAPVFSLEVTMDWECESGNPMNHYLFALPIEGKPREKVFDPFRSTSLSLRWNFSLKPLFPALEKQSPSASVSECTVLEGTVNGAHFKDENVSIASPTVNVGAHDLAWIVKFWNMNYIPPHKLRSFSRWPRFGIPRIPRSGNLSLDRVMTEFMLRLDATPTCIKHKTLDDDDPAKGLAFGMTKLKYEICYSRGKQKYTFECKRDPLDLVYQGLDLHMPKVFLNKEDCNSVTKVVQMTRKTSQSASIERVPSEKSNYMSGCTEKHRDEGFLLSSDYFTIRRQAPKADPARLFAWQEAGRKNLEMTYVRSEFENGSESDEHARSDPSDDDGYNVVIADNCQRVFVYGLKLLWTIENRDAVWSFVGGISKAFEPQKPSPSRQYAQRKLLEEYQKHGDPEMPQEDTSKSPSSNHGVASPSQHVETSGSHSSLSHAVGMENLSTSAVALNDSEEEGTRHFMVNVIEPQFNLHSEDANGRFLLAAVSGRVLARSFHSVLHVGYEMIEQALGTGNVHIPEGGHDMTLKRTEFSVMLEHVQAHVAPTDVDPGAGLQWLPKIRRSSTKVKRTGALLERVFLPCDMYFRYTRHKGGTPDLKVKPLKDLTFNSHNITATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSCPGEDDEDVGEEADEVVPDGVEEVELAKISLEQKEREQKLLLNDIKKLSLHCDTSGDHLEKEGDWWMVNGGRSILVQGMKRELVNAKKSRKAASVSLRVALQKAAQLRLMEKEKNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMVTGRSQAMCLGVKAQSEPPLRTSPCTTPYL >EOY15496 pep chromosome:Theobroma_cacao_20110822:8:3497706:3514437:-1 gene:TCM_034532 transcript:EOY15496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi-body localization protein domain isoform 3 MAASPVKFLFGFLMISITLWMVFIFASRLLAWILSRIVGASVGFRVGGWKCLRDVVVKFNKGAIESILVGEIKLSLRQSLVKLGFGIISKDPKLQVLICDLEIVLRPSTKSSQKAKSRKPRTSGRGKWMVVANIARFLSVSITDLVLKTPKATVEVKELKVDISKDGGSKPNLFVKLHILPISVHAIRSLSGIMEKFSAPFSCEEFSLSCEFGHDREAGVVVRNVDINCGEVVVNLNEELLSKNKKSSDVFSETDRVTGLTADSVTKKKPQKKQAAILALTKYTSVFPEKICFNLPKLDVKFVHREHDLFVENNIMGIQLKSIKSRSTEDVGESTRLDVQLEFSEIHLLREAGSSILEIMKVDVVSFVYIPIQPISLVRAEVDVKLGGTQCNIIMSILKPWLGLQSSKKKGMVLREETSTIEKPQSSESKAFMWTCTVSAPEMTIVLYSISGVPLYHGCSQSSHVFANNISSTGTTVHMELGELNLHMADEYQECLKESLFSVESNSGSLLHIAKVSLDWGKKDMESSEDDGPRCKLVLSTDVTGMGIYLTFKRVESLIIAAMSFQALLKNLSAGKKATQSRTGRSSKPSGKGTRLLKFNLERCSVSFCGETSLDNTVVADPKRVNYGSQGGRVVISVSADGTPRNANLMSTASDQCKKLKYSLLLDIFHFSLCVNKEKQSTQVELERARSIYQEHLEEDKPDTKVALFDMQNAKFVRRSGGLKEIAVCSLFSATDISIRWEPDVHLSLFELVLQLKALVHNQKVKGHGNELMDNVSGVRDAEQKKEVIVMESGHLDKTKKKESIFAVDVEMLSISAEAGDGVDALVQVQSIFSENARIGVLLEGLMLSFNGARIFKSSRMQISRIPNASSSSDAAVPLVTVWDWVVQALDVHICMPFRLQLRAIDDAVEEMLRALKLITSAKTQLILPMKKESSKPKKPSSTKFGRVKFCIRKLTADIEEEPIQGWLDEHYHLMKNEAVELAVRLKFLNDFILANQCPKTAEISDSACERKIQNNGVEINVQDPSAIEKMQEEICKQSFQSYYLACQKLKPSERSGACREGFQAGFKPSTARTSLLSVSATDLDVTLTRIDGGDDGMIEVLRQLDPVCRESNIPFSRLYGSNILLNTGSLTVQLRNYTLPLFSAISGRCEGRVVLAQQATCFQPQISNDVFIGRWRKVRMLRSASGTTPPMKTYSDLPIHFEKAEVSFGVGYEPVFADISYAFTVALRRANLSNRSPGLPQPPKKERSLPWWDDMRNYIHGNITLFFSETKWNILATTDPYERLDKLQIVSGSMEIQQSDGRVYVSAKDFKIFLSSLESLVNSHSLKLPASVSGAFLEAPVFSLEVTMDWECESGNPMNHYLFALPIEGKPREKVFDPFRSTSLSLRWNFSLKPLFPALEKQSPSASVSECTVLEGTVNGAHFKDENVSIASPTVNVGAHDLAWIVKFWNMNYIPPHKLRSFSRWPRFGIPRIPRSGNLSLDRVMTEFMLRLDATPTCIKHKTLDDDDPAKGLAFGMTKLKYEICYSRGKQKYTFECKRDPLDLVYQGLDLHMPKVFLNKEDCNSVTKVVQMTRKTSQSASIERVPSEKSNYMSGCTEKHRDEGFLLSSDYFTIRRQAPKADPARLFAWQEAGRKNLEMTYVRSEFENGSESDEHARSDPSDDDGYNVVIADNCQRVFVYGLKLLWTIENRDAVWSFVGGISKAFEPQKPSPSRQYAQRKLLEEYQKHGDPEMPQEDTSKSPSSNHGVASPSQHVETSGSHSSLSHAVGMENLSTSAVALNDSEEEGTRHFMVNVIEPQFNLHSEDANGRFLLAAVSGRVLARSFHSVLHVGYEMIEQALGTGNVHIPEGGHDMTLKRTEFSVMLEHVQAHVAPTDVDPGAGLQWLPKIRRSSTKVKRTGALLERVFLPCDMYFRYTRHKGGTPDLKVKPLKDLTFNSHNITATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSCPGEDDEDVGEEADEVVPDGVEEVELAKISLEQKEREQKLLLNDIKKLSLHCDTSGDHLEKEGDWWMVNGGRSILVQGMKRELVNAKKSRKAASVSLRVALQKAAQLRLMEKEKNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMVTGRSQAMCLGVKAQSEPPLRTSPCTTPCEIYDFDRDYK >EOY16597 pep chromosome:Theobroma_cacao_20110822:8:8839776:8842461:-1 gene:TCM_035420 transcript:EOY16597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MRTANLPQNPQQIPNDLPQQTIKITETIGKNNSNPNQPSWSYIIRNRLSRGTPKQVLSLYTQIRQKGLYILVLVPLIFKACASASTQPFGKSLHAESIKAGVAFDLHTASSLLNMYSKCGNLIDSRKVFDEMPERNVVTWNAMIGGYLKNGDTKSALDLFENILVGRNSVTWIEMINGFAKNGDTLKARRFFDKVPLELRNVVTWTVMVDGYTANGELEAARELFEMMPEKNFYVWSSMISGYCKRGDVKEARNIFDRIPVRNLVNWNSLISGYAQNGFCEKALEMFRKMQSEGFEPDEVTITSILSACAQLGELDVGKEIHYLIKEKGIVVNQFVLNALLDMYAKCGDLAHARLIFEGMSRRTSACWNSMISGFALHGQSSEALEYFRRMEQSNEMPDEITFLSLLSACAHGGFVDAGLDIFSKMEKYGLVPSVKHYGCLVDLLGRAGRLKEAFDLIKRMPMKPNDVVWGALLGACRVHLDTNMVEQVMQEVGRLAHNSHYVLLSNIYAASDRWEKAEKMRMTMVSKGFQKTPGLSSVILSRIDLRSHHCSGTMMDHAEMWENANCIVVGDSRFAVR >EOY14722 pep chromosome:Theobroma_cacao_20110822:8:1089813:1095061:-1 gene:TCM_034013 transcript:EOY14722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDSDEDLELASPSDRPASPVPGRKLKRLKKVKTVAENQSQYEELDFDVFDGQAIEEPRSGSRSGFEGSDEEDEFSSGFAELPVEEKESVAKRTLDFDSLTEEVDGGGEDQSREMEIRDSEREESEKKRPSLDELENREKKKKKRVKGDGDEEMPLLPERRTSKERREHLAQLRAESQRLLRETRDAAFKPAPLVQKPISSVLEKIRRRKLEVSKKTYFVVDDHDGFSNKDMVEFVTNEGSGNDEAVEVGSEKGIANHGISDTLSADEIKSVANVSSHEKLSPEMAVDEEPKQAFRAPIDDTQDLFSDSQTSDSKDDFAEETPKSPLEEVLAPSLLALNLKLDSAPPDDISSDEEDNDKENVDPQPHGSVDLSPVPNGDPVKAFIDQEAEEEDDSDNDLLPFQDDDNEEDEDSEDLEELRDMIATTYEEKQSDIEKRMELHQKLFDRQDAAKTENLLRRWGPKQRETTLLDEEGFEEDDESVEEEEDFVETTEDLPPINLHMHIKKIKEMIPHMFTDKDDMYISSDDEEVEKKLAEQCLSEKASQQAELLPPTADARSKELFGYIKKVNNMPDPRRKAKPSTISNMLFMGKKGNASSKSSFIGRGSNCSISSSRKHGSGILRSFVFEREDSNSRSTTSVADNSSDLVQREHRPTKTASAKFTNSQIKSTPQPRKDEMETSSRAQLLEILRRSSLQMSHSTRNSIVGHTESIFAAFKLEKKSVDNKANVSMKTL >EOY14723 pep chromosome:Theobroma_cacao_20110822:8:1089991:1094770:-1 gene:TCM_034013 transcript:EOY14723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDSDEDLELASPSDRPASPVPGRKLKRLKKVKTVAENQSQYEELDFDVFDGQAIEEPRSGSRSGFEGSDEEDEFSSGFAELPVEEKESVAKRTLDFDSLTEEVDGGGEDQSREMEIRDSEREESEKKRPSLDELENREKKKKKRVKGDGDEEMPLLPERRTSKERREHLAQLRAESQRLLRETRDAAFKPAPLVQKPISSVLEKIRRRKLEVSKKTYFVVDDHDGFSNKDMVEFVTNEGSGNDEAVEVGSEKGIANHGISDTLSADEIKSVANVSSHEKLSPEMAVDEEPKQAFRAPIDDTQDLFSDSQTSDSKDDFAEETPKSPLEEVLAPSLLALNLKLDSAPPDDISSDEEDNDKENVDPQPHGSVDLSPVPNGDPVKAFIDQEAEEEDDSDNDLLPFQDDDNEEDEDSEDLEELRDMIATTYEEKQSDIEKRMELHQKLFDRQDAAKTENLLRRWGPKQRETTLLDEEGFEEDDESVEEEEDFVETTEDLPPINLHMHIKKIKEMIPHMFTDKDDMYISSDDEEVEKKLAEQCLSEKASQQAELLPPTADARSKELFGYIKKVNNMPDPRRKAKPSSKILINECLDKLFAFPQLRLFCYKYK >EOY14724 pep chromosome:Theobroma_cacao_20110822:8:1089991:1094770:-1 gene:TCM_034013 transcript:EOY14724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MYYFGIETRDAAFKPAPLVQKPISSVLEKIRRRKLEVSKKTYFVVDDHDGFSNKDMVEFVTNEGSGNDEAVEVGSEKGIANHGISDTLSADEIKSVANVSSHEKLSPEMAVDEEPKQAFRAPIDDTQDLFSDSQTSDSKDDFAEETPKSPLEEVLAPSLLALNLKLDSAPPDDISSDEEDNDKENVDPQPHGSVDLSPVPNGDPVKAFIDQEAEEEDDSDNDLLPFQDDDNEEDEDSEDLEELRDMIATTYEEKQSDIEKRMELHQKLFDRQDAAKTENLLRRWGPKQRETTLLDEEGFEEDDESVEEEEDFVETTEDLPPINLHMHIKKIKEMIPHMFTDKDDMYISSDDEEVEKKLAEQCLSEKASQQAELLPPTADARSKELFGYIKKVNNMPDPRRKAKPSTISNMLFMGKKGNASSKSSFIGRGSNCSISSSRKHGSGILRSFVFEREDSNSRSTTSVADNSSDLVQREHRPTKTASAKFTNSQIKSTPQPRKDEMETSSRAQLLEILRRSSLQMSHSTRNSIVGHTESIFAAFKLEKKSVDNKANVSMKTL >EOY14869 pep chromosome:Theobroma_cacao_20110822:8:1514887:1519531:1 gene:TCM_034119 transcript:EOY14869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole (Corrin/Porphyrin) Methylases MLLRRLPSTAVSVTTTALSRRRTSPYFFSTQPGLKFLNSLSFYPKLFLLSFSSTSQSSSEFTDFITEPASKRGPLKPGLYLVGTPIGNLEDITLRALRVLKSADVILSEDTRLSGKLLHYYSIKTPLLSYHKFNESQREQTVLKRLKRGEIIALISDAGMPGISDPGTELAKLCVDENILVIPIPGPSAFLTALSASGLSTDEFTFVGFLPRHAGSRKERLMASSSETTTQIFYVPPHKLCQFLEESSPIFGDSRRCVIARELTKIHEEFWRGTLGKAKEVFSTHQPKGEITLLIEGNANCTVETPSECQLENELRELISSGHSLSSAVKLVAQGTSMKKKMIYSLALRKFGKKSEAEDSN >EOY14594 pep chromosome:Theobroma_cacao_20110822:8:673294:676598:1 gene:TCM_033916 transcript:EOY14594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein isoform 1 MGYTSNHFVPPGKLQCEWGSGPRILVVLDDVWSLSALEQLIFRIPAYKTLVVSRFKFPTSVVNEVYKVELLREDESMSLFCHSAFGQKSIPPTADESLVKQIVSECKGLPLALKVIGASLRDQPEMYWASAKKRLLRGEPICESHENKLLERMAISVEYLNKKVKQCFLDLGSFPEDKKIPLDVLINMWVEIHDIDEEEAFAILVELSDKNLLTLVKDPRAGDAYSSFYEICVTQHDVLRDLALHLSNRGDVTERKRLLMPRRDTELPRDWERNADQPFNAQIVSVHTGEMREMDWFRMEFPKAEVLILNFSSNEYFLPPFTDDMPKLRALVVINYGTSEATLQNFSVFTNLANLRSLWLEKVSVPQLSNATVPLRNLRKLSMVFCKVNNSFDPSVLDLPQIFPRLSELVIDHCDDLIKLPLSICKVNSLQSLSITNCHRLCELPADLGMLRKLQILRLYACPELKKLPPSIGELVALKYLDISQCLNMRCLPREIGRLSSLEKIDMRECSETVSLPTSAALLNMKSLRRVICDDEVSGLWKNVGKAKPDLHVQVAERSYSLDWLDG >EOY14595 pep chromosome:Theobroma_cacao_20110822:8:673294:676598:1 gene:TCM_033916 transcript:EOY14595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein isoform 1 MAFNDFFTGEIATELLKQLVSISRKSCLCKSTADNLITSIQELLPIIDEIKYSGVELPAIRQSQLDRFSETLRGGVELARKVLASGRWNVYKNLQLARKMEKLEKQVARFVSGPLQAHLLADVHHMRFETMERFDRLEGRLEQRLSSMKIGVGGWVEEAVKRMEVEEEASLGILGGVGLDLGKSKVKKLLMGRDDLNVVGICGIGGSGKTTLANEICRDNQVRSYFNNRILFLTVSQSPDLDQLRAKIWGFITGNEAMGYTSNHFVPPGKLQCEWGSGPRILVVLDDVWSLSALEQLIFRIPAYKTLVVSRFKFPTSVVNEVYKVELLREDESMSLFCHSAFGQKSIPPTADESLVKQIVSECKGLPLALKVIGASLRDQPEMYWASAKKRLLRGEPICESHENKLLERMAISVEYLNKKVKQCFLDLGSFPEDKKIPLDVLINMWVEIHDIDEEEAFAILVELSDKNLLTLVKDPRFVTQDPLFVSQASNLGWFYLVEISDFCSLNCRAGDAYSSFYEICVTQHDVLRDLALHLSNRGDVTERKRLLMPRRDTELPRDWERNADQPFNAQIVSVHTGEMREMDWFRMEFPKAEVLILNFSSNEYFLPPFTDDMPKLRALVVINYGTSEATLQNFSVFTNLANLRSLWLEKVSVPQLSNATVPLRNLRKLSMVFCKVNNSFDPSVLDLPQIFPRLSELVIDHCDDLIKLPLSICKVNSLQSLSITNCHRLCELPADLGMLRKLQILRLYACPELKKLPPSIGELVALKYLDISQCLNMRCLPREIGRLSSLEKIDMRECSETVSLPTSAALLNMKSLRRVICDDEVSGLWKNVGKAKPDLHVQVAERSYSLDWLDG >EOY14593 pep chromosome:Theobroma_cacao_20110822:8:672938:677165:1 gene:TCM_033916 transcript:EOY14593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein isoform 1 MAFNDFFTGEIATELLKQLVSISRKSCLCKSTADNLITSIQELLPIIDEIKYSGVELPAIRQSQLDRFSETLRGGVELARKVLASGRWNVYKNLQLARKMEKLEKQVARFVSGPLQAHLLADVHHMRFETMERFDRLEGRLEQRLSSMKIGVGGWVEEAVKRMEVEEEASLGILGGVGLDLGKSKVKKLLMGRDDLNVVGICGIGGSGKTTLANEICRDNQVRSYFNNRILFLTVSQSPDLDQLRAKIWGFITGNEAMGYTSNHFVPPGKLQCEWGSGPRILVVLDDVWSLSALEQLIFRIPAYKTLVVSRFKFPTSVVNEVYKVELLREDESMSLFCHSAFGQKSIPPTADESLVKQIVSECKGLPLALKVIGASLRDQPEMYWASAKKRLLRGEPICESHENKLLERMAISVEYLNKKVKQCFLDLGSFPEDKKIPLDVLINMWVEIHDIDEEEAFAILVELSDKNLLTLVKDPRAGDAYSSFYEICVTQHDVLRDLALHLSNRGDVTERKRLLMPRRDTELPRDWERNADQPFNAQIVSVHTGEMREMDWFRMEFPKAEVLILNFSSNEYFLPPFTDDMPKLRALVVINYGTSEATLQNFSVFTNLANLRSLWLEKVSVPQLSNATVPLRNLRKLSMVFCKVNNSFDPSVLDLPQIFPRLSELVIDHCDDLIKLPLSICKVNSLQSLSITNCHRLCELPADLGMLRKLQILRLYACPELKKLPPSIGELVALKYLDISQCLNMRCLPREIGRLSSLEKIDMRECSETVSLPTSAALLNMKSLRRVICDDEVSGLWKNVGKAKPDLHVQVAERSYSLDWLDG >EOY16346 pep chromosome:Theobroma_cacao_20110822:8:6789697:6796057:1 gene:TCM_035154 transcript:EOY16346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein MRKKRQNRKEEENKKKKKKKKREDIDLPYPPEIAGLLTKYLTGALSKGLLLAEAVAKRYWRPSLFYFFATGQDQPQWQRSTVRPTAVNGFGFGSSVVLPVAGNVYPFGFYSVELKIGNPPKTFQLDIDTGSDLTWVQCDAPDTVCTGCTLPHDRRYKPAKSNFLPCKDPICAALNSPKSNPCKNPNEKCGFNVKYADQVSVLGFMVSDNFHGLGSGSLSNPHLAFGCGSQLENHSPDRPHPLTTAGVLGLGKSKASMSSQLSGMGITKNVVGHCFSGQGGGFLFLGAGFPESKMTWTPMLQNSIDEHYYSSGPAELLFGGEPTGAKGLYVIFDTGATYTYLSSEVYQTLLNLIRKDLAGKQLRDVKDYALPICWKGAKPFKSVRDVRNYFNTLVLSFTGANNNKLVLPPEAYIIVTERGNVCLGILNGTEVGLGITNVIGAISLQDKLVIYDNENQRIGWASADGTRKPR >EOY14797 pep chromosome:Theobroma_cacao_20110822:8:1294953:1297750:1 gene:TCM_034069 transcript:EOY14797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoflavone reductase-like protein 4 isoform 1 MAGKNKVLIIGGTGYIGKFIVEASAKEGHPTFALVRESAFSDPVKGKLIDNFKSLGVQLLYGDLYDHESLVKAIKQVDVVISAVGHMQIADQVKIIAAIKEAGNVKVGASLLAHIRFFPSEFGNDVDRVHAVEPATSAFAMKAHIRRAIEAEGIPYTYVPANCFAGYFLPSLCQAGATAPPRDKVVILGDGNPKAVFNHEGDIGTFTIKAVDDPRTLNKVLFIRPPKNTYSLNELVALWEKLIGKTLEKTYVPEDQLLKQIQEAPIPINVVLSINHSIFVKGDHTNFEIEPSFGFEASELYPDVKYTTVEEYLSRFV >EOY14798 pep chromosome:Theobroma_cacao_20110822:8:1295111:1297598:1 gene:TCM_034069 transcript:EOY14798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoflavone reductase-like protein 4 isoform 1 MAGKNKVLIIGGTGYIGKFIVEASAKEGHPTFALVRESAFSDPVKGKLIDNFKSLGVQLLYGDLYDHESLVKAIKQVDVVISAVGHMQIADQVKIIAAIKEAGNVKRFFPSEFGNDVDRVHAVEPATSAFAMKAHIRRAIEAEGIPYTYVPANCFAGYFLPSLCQAGATAPPRDKVVILGDGNPKAVFNHEGDIGTFTIKAVDDPRTLNKVLFIRPPKNTYSLNELVALWEKLIGKTLEKTYVPEDQLLKQIQEAPIPINVVLSINHSIFVKGDHTNFEIEPSFGFEASELYPDVKYTTVEEYLSRFV >EOY16663 pep chromosome:Theobroma_cacao_20110822:8:9437367:9441565:-1 gene:TCM_035490 transcript:EOY16663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MNTRLQNNIVKPKHLHLTTTHPLPDFIEPTCALQAIRHPHWKQAMLDEYTTLTNNETWELVPPLPDKTIVGYKWIFCIKRHLDGSISRYKARLVAKGFTQRPGLDFTETFSPVVKPVTIRLVLCLTVSNNWRLHQLDVNNSFLQGKLTKEVYMQQPSVLYDTTKPHHVCKLKKAICGLKQAPRAWYQALSSFFLSFGFTQSVADASLFIYHCNGITAYLLVYVDDLILTGNHTAFLCSFIQHLASQFSIKDLGSLHYFLGIEVVLTAQGFFLSQHRYISDILIKTGMSGAKASTTPISTTTRLCLHDGSATADDEAFRKIVGCLQYLVLTRLDICFVVNKLSQFMHKPSTLHFQALKRVLRYLKRTLFHGLFIKKELSPSLQVFTNSDWAGSPNDKTSTSVFIIYLGGTPVSWSLKKQKAFARSSSEAEYRAISTAVSEATWIQSLLHELQLVLPSSPSVYCDNLSATYTCKNSVFHTKMKHLQLDFFFVREKIGVTNGATILRGHISVADKLTSATATADKPAIADKPATADKLDKLTSVTATVDKPATADKLSVADKLIFVTVTADKLTSTIRDKHI >EOY14994 pep chromosome:Theobroma_cacao_20110822:8:1903632:1907164:-1 gene:TCM_034204 transcript:EOY14994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase/archaeosine transglycosylase-like family protein isoform 2 MSVTIKPRRMQAPVQSSSTPVIKSSLIEPDGGVVVDLVLPESEREAKTAEAESMPKVRLTKIDMEWVHVISEGWASPLKGFMREDEYLQSLHFNSLRMKDGSIVNMSLPIVLAIDDETKEGIGSSSDVGLVGPDGDLIAILRSIQIYKHNKEERIARTWGTSAPGLPYVEEVVTPAGNWLIGGDLEVLKPIKYNDGLDHYRISPKQLRKEFDKRKADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFRNPILLLHPLGGFTKADDVPLNVRMEQHSKVLEDGVLDPETTIVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFHVAAYDTVAKKMAFFDPSRAKDFLFISGTKVLLQMRTYARNGE >EOY14995 pep chromosome:Theobroma_cacao_20110822:8:1902745:1907020:-1 gene:TCM_034204 transcript:EOY14995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase/archaeosine transglycosylase-like family protein isoform 2 MSVTIKPRRMQAPVQSSSTPVIKSSLIEPDGGVVVDLVLPESEREAKTAEAESMPKVRLTKIDMEWVHVISEGWASPLKGFMREDEYLQSLHFNSLRMKDGSIVNMSLPIVLAIDDETKEGIGSSSDVGLVGPDGDLIAILRSIQIYKHNKEERIARTWGTSAPGLPYVEEVVTPAGNWLIGGDLEVLKPIKYNDGLDHYRISPKQLRKEFDKRKADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFRNPILLLHPLGGFTKADDVPLNVRMEQHSKVLEDGVLDPETTIVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFHVAAYDTVAKKMAFFDPSRAKDFLFISGTKMRTYARNGENPPDGFMCPGGWEVLVKYYESLEAEEATQQPAVLSS >EOY14993 pep chromosome:Theobroma_cacao_20110822:8:1902745:1906997:-1 gene:TCM_034204 transcript:EOY14993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase/archaeosine transglycosylase-like family protein isoform 2 MSVTIKVYFTTSTTYLNFSNRPSCFTGCYNSKIRPRPIYHSNPLTSTFHKPRRMQAPVQSSSTPVIKSSLIEPDGGVVVDLVLPESEREAKTAEAESMPKVRLTKIDMEWVHVISEGWASPLKGFMREDEYLQSLHFNSLRMKDGSIVNMSLPIVLAIDDETKEGIGSSSDVGLVGPDGDLIAILRSIQIYKHNKEERIARTWGTSAPGLPYVEEVVTPAGNWLIGGDLEVLKPIKYNDGLDHYRISPKQLRKEFDKRKADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFRNPILLLHPLGGFTKADDVPLNVRMEQHSKVLEDGVLDPETTIVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFHVAAYDTVAKKMAFFDPSRAKDFLFISGTKMRTYARNGENPPDGFMCPGGWEVLVKYYESLEAEEATQQPAVLSS >EOY16269 pep chromosome:Theobroma_cacao_20110822:8:6501568:6505444:1 gene:TCM_035103 transcript:EOY16269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MNVELKALEDNMTWSIVPLLPNSHAIGCKWVYKVKLKANGDIERYKARLVAKGYNQVEGFDFQETYSPVAKQTSVRVFFAVATMCNWTLSQLDVNNAFLNGDLEEEVYMELPQGYDIKGEYPAKGKMSMSDYSLFTMKKEYGSFTALLVYVDDIIVGSTSTKASDDVKQYLKSQFKLKDLRNVKYFLGLKIDQSAKGISICQRKKSKKQQVVARSSTEAEYRSMATACCEVIWLKVLLADLDVRHDESVSFYCDNQSVLNICKNPVFHEQTKHIEMDCHFIREKLNKTFHT >EOY16381 pep chromosome:Theobroma_cacao_20110822:8:6954158:6956809:-1 gene:TCM_035181 transcript:EOY16381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A1,1, putative isoform 3 MSTPSRRSSSKVRTPSTSENPMAEGKPQLAKKRPALSDISNQSKKSVPCTSKGRAENSLHTARSMAISPSKSPIKASETKENNNLYIQEHGHKEGKICKRNVLLEMETNDDAVDVGNDSMDPQFCAHIAHDIYKNLRASESVKRPSAHFMKMVQKDISASMRALLINWLVQVVEECRLVPETLFLTVNYIDRYLSGNSINRQQLKLLGVTCMMIAAKYEEIYSPKVEDFCYLTGNSHGKKEILQMESAVLNYLKFEMTVPTAKSFLR >EOY16379 pep chromosome:Theobroma_cacao_20110822:8:6952879:6956859:-1 gene:TCM_035181 transcript:EOY16379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A1,1, putative isoform 3 MSTPSRRSSSKVRTPSTSENPMAEGKPQLAKKRPALSDISNQSKKSVPCTSKGRAENSLHTARSMAISPSKSPIKASETKENNNLYIQEHGHKEGKICKRNVLLEMETNDDAVDVGNDSMDPQFCAHIAHDIYKNLRASESVKRPSAHFMKMVQKDISASMRALLINWLVQVVEECRLVPETLFLTVNYIDRYLSGNSINRQQLKLLGVTCMMIAAKYEEIYSPKVEDFCYLTGNSHGKKEILQMESAVLNYLKFEMTVPTAKSFLRHFVHAAQMINQVQFLQFECLANYIAELSLLEYIMLHYAPSLIAASAVFLAKFILSPSRKPWDSMLGRYTLYQPSELYNCVKDLHHLCLNGDCPNLAAIKQKYSQHKYKFVAEKDCPSSIPQEFFRD >EOY16380 pep chromosome:Theobroma_cacao_20110822:8:6947805:6956833:-1 gene:TCM_035181 transcript:EOY16380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A1,1, putative isoform 3 MSTPSRRSSSKVRTPSTSENPMAEGKPQLAKKRPALSDISNQSKKSVPCTSKGRAENSLHTARSMAISPSKSPIKASETKENNNLYIQEHGHKEGKICKRNVLLEMETNDDAVDVGNDSMDPQFCAHIAHDIYKNLRASESVKRPSAHFMKMVQKDISASMRALLINWLVQVVEECRLVPETLFLTVNYIDRYLSGNSINRQQLKLLGVTCMMIAAKYEEIYSPKVEDFCYLTGNSHGKKEILQMESAVLNYLKFEMTVPTAKSFLRHFVHAAQMINQVQFLQFECLANYIAELSLLEYIMLHYAPSLIAASAVFLAKFILSPSRKPWDSMLGRYTLYQPSELYNCVKDLHHLCLNGDCPNLAAIKQKYSQHKVNVVVSFVYSLVKRYNWIWESLQRFKPSCFVINPLFTNDIKNHNN >EOY15645 pep chromosome:Theobroma_cacao_20110822:8:4094871:4101003:1 gene:TCM_034642 transcript:EOY15645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAF48080.1 MELHFECTDLSIWKEAISSYKSRIESLDKPNLVSLDEFYRNELPPLLHQRNPNPFITTSELSRLMQWKLTRGKWRPRLLDFVSSLDDSLVKSASQKAFQSLPDISKAVSELTVLKGVGPATASAVLAAYAPETAPFMSDEAMEAALGNSKDYSLKQYLLFVDKIQSKSKELSSKGDSFTPSDVERALWSSAVGIKLQSSQAVLDNKMKGSNKRKRRH >EOY16303 pep chromosome:Theobroma_cacao_20110822:8:6607764:6632918:1 gene:TCM_035122 transcript:EOY16303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase family protein with Rieske domain isoform 1 MTAIATAGALSLPISLYRSCKTSSKKRLVQLQGVKGGFGVFAVFGEESSLGDKKSPWGTLFDVEDPRSKVPQCKGKFLDVYQALEVARFDIQYCDWRARQDLLTIMLLHEKVVEVLNPLAREYKSIGTMKKELAELQGELAQAHKQVHISEARVAAALDKLAYMEELVNDKLLEDRTTTESNLASPSSSTPTKSMDTMKNKLPRKSLDVSGPVRPYHPRLKNFWYPVAFTTDLKDDTMIPIDCFEEPWVLFRGKDGNPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYTTDGKCEKMPSTRLLNVKIKSLPCLEQEGMIWIWPGNDPPTTTLPSLQPPSGFQIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWTVPSLVKFFTPASDLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGQSTRECTTHLHQLHVCLPSSRKKTRLLYRMSLDFAPVLKHIPFMQYLWRHFAEQVLNEDLRLVIGQQERMLNGANVWNWPVIYDKLGVRYRLWRDAVERGAKQLPFGKSM >EOY16302 pep chromosome:Theobroma_cacao_20110822:8:6607375:6612310:1 gene:TCM_035122 transcript:EOY16302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase family protein with Rieske domain isoform 1 MTAIATAGALSLPISLYRSCKTSSKKLQGVKGGFGVFAVFGEESSLGDKKSPWGTLFDVEDPRSKVPQCKGKFLDVYQALEVARFDIQYCDWRARQDLLTIMLLHEKVVEVLNPLAREYKSIGTMKKELAELQGELAQAHKQVHISEARVAAALDKLAYMEELVNDKLLEDRTTTESNLASPSSSTPTKSMDTMKNKLPRKSLDVSGPVRPYHPRLKNFWYPVAFTTDLKDDTMIPIDCFEEPWVLFRGKDGNPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYTTDGKCEKMPSTRLLNVKIKSLPCLEQEGMIWIWPGNDPPTTTLPSLQPPSGFQIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWTVPSLVKFFTPASDLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGQSTRECTTHLHQLHVCLPSSRKKTRLLYRMSLDFAPVLKHIPFMQYLWRHFAEQVLNEDLRLVIGQQERMLNGANVWNWPVIYDKLGVSQFNISSHIIGTDSRLPQTDIFKAYIEDNQGNSAETVY >EOY15111 pep chromosome:Theobroma_cacao_20110822:8:2270293:2273091:-1 gene:TCM_034281 transcript:EOY15111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alba DNA/RNA-binding protein MDRYQRVEKPKAETPIDENEIRITSQGRMRSYITYAMTLLQEKGSNEIVFKAMGRAINKTVTIVELIKRRIVGLHQITSIGSTDITDIWEPLEEGLLPLETTRHVSMITITLSKKELNTSSVGYQPPLPADQVKPSAEIDHEGEGSPNGRGRGRGGRGRPRSRGNGFVSVEYEDGGWDRNRGYARGRGRGRGRGFRGRGRGGYNGPQVDRQQDVGYNYEAPPQGGRGRGRGRGYRGRGRGFRSNGPIQAAA >EOY15936 pep chromosome:Theobroma_cacao_20110822:8:5104787:5109351:-1 gene:TCM_034858 transcript:EOY15936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seryl-tRNA synthetase isoform 3 MALQCRLSGTTIQSLKMATIPILSSSSRFIFRPLPQSLKLSIFSRHSPPRTPPITLLIRALSAPAVAAAPATEISDNKVVKPQWRAAIDFKWIRDNKEAVAINIKNRNSNANLEFVLELYDKMLNLQKEVERLRGERNTVANKMKGKLEPSERQKLIEEGKNLKEGLMTLEEDLIKLRDELQLEAQCIPNMTHPDVPIGGECSSKLRNMVGSRREFDFPIKDHLQIGKELDLFDFDAAAEVSGSKFYYLKNEAVMLEMALVNWTLTEVMKRGFTPLTTPEIVRSSVVEKCGFQPRGENTQVYSIEDSDQCLIGTAEIPVGGIHMDSILSESSLPLKYVAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFILCRPEDSDMFHEELIKIEEDLFSSLGLHYKTLDMATGDLGAPAYRKFDVEAWMPGLERYGEISSASNCTDYQSRRLGIRYRPSESTSTNSKKGQASLATTKFVHTLNATACAVPRMLVCLLENFQQEDGSVIIPNPLRPFMGGIELISLKSR >EOY15937 pep chromosome:Theobroma_cacao_20110822:8:5104787:5109296:-1 gene:TCM_034858 transcript:EOY15937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seryl-tRNA synthetase isoform 3 MALQCRLSGTTIQSLKMATIPILSSSSRFIFRPLPQSLKLSIFSRHSPPRTPPITLLIRALSAPAVAAAPATEISDNKVVKPQWRAAIDFKWIRDNKEAVAINIKNRNSNANLEFVLELYDKMLNLQKEVERLRGERNTVANKMKGKLEPSERQKLIEEGKNLKEGLMTLEEDLIKLRDELQLEAQCIPNMTHPDVPIGGECSSKLRNMVGSRREFDFPIKDHLQIGKELDLFDFDAAAEVSGSKFYYLKNEAVMLEMALVNWTLTEVMKRGFTPLTTPEIVRSSVVEKCGFQPRGENTQVYSIEDSDQCLIGTAEIPVGGIHMDSILSESSLPLKYVAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFILCRPEDSDMFHEELIKIEEDLFSSLGLHYKTLDMATGDLGLLENFQQEDGSVIIPNPLRPFMGGIELISLKSR >EOY15938 pep chromosome:Theobroma_cacao_20110822:8:5106542:5109242:-1 gene:TCM_034858 transcript:EOY15938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seryl-tRNA synthetase isoform 3 MALQCRLSGTTIQSLKMATIPILSSSSRFIFRPLPQSLKLSIFSRHSPPRTPPITLLIRALSAPAVAAAPATEISDNKVVKPQWRAAIDFKWIRDNKEAVAINIKNRNSNANLEFVLELYDKMLNLQKEVERLRGERNTVANKMKGKLEPSERQKLIEEGKNLKEGLMTLEEDLIKLRDELQLEAQCIPNMTHPDVPIGGECSSKLRNMVGSRREFDFPIKDHLQIGKELDLFDFDAAAEVSGSKFYYLKNEAVMLEMALVNWTLTEVMKRGFTPLTTPEIVRSSVVEKCGFQPRGENTQVYSIEDSDQCLIGTAEIPVGGIHMDSILSESSLPLKYVAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFILCRPEDSDMFHEELIKIEEDLFSSLGLHYKTLDMATGDLGAPAYRKFDVEAWMPGLERYGEVLK >EOY16680 pep chromosome:Theobroma_cacao_20110822:8:9581821:9587860:1 gene:TCM_035510 transcript:EOY16680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase 1 MNAPEDEAVLYDCFIGKELEKLVKNEEVEKNMLEKMVEVGLWCIQDDPSMRPSMKKVILMLEQTVDVPGPPCRPSSFIGSVRSSSTSSSMVCLSPERDTQGSESFYQPKMAFMILFLLLCLSFILNTTNAQPRNSIIRPGSSLSPNSNSHWLSESGQFAFGFYRYGNGFSVGIWFEKLQQKTVVWTANRDDPPFSSDVTLLLSTEGRLIVQPKQGQEILIASASQLASSASMLDSGNFVLFNSSSAIIWQTFDFPTDTILPGQRLLPGHSLVSNVSETNHTRAKFLLVMQTDGNLVQYPVDSIELEAAYWNTKTYGAGDNVTLNLDSNGTLYLLNATALLVQNITEKASVSGKPIYRATIDADGIFRLYSRSFNQFDNWSIQWSSSENKCDPKGFCGVNSYCTLMDRDPVCQCPPGFDFIDQGQKDLGCHKNYNVDACTGKSEQMFDFDELISVSWEVDAYSSLSSIPKDACREECFRDCNCEAALYQIENQLCKKLKLPLRFGKRELSGQVITLLKIGAALDRGGERKQRELRVDVLIISIALACLTIAFTVIVAVGVLRYRSRVREYKSILNVGNNGVVEDVTLRSFTFDELKDATNNFVDEIGKGAYGSVFRGVISNGKRIVAIKRLEKVVAEGERGFRNEMKAIGKTHHKNLVQLLGYCYDGTNRLLVYEYMRNGSLADFLFKSNLKVNWEGRIAIILNIARGIFYLHEECETQIIHCDIKPENILMDDKGSAKIADFGLAKLLMPNQTKTYTGIRGTRGYVAPEWHRNLPITVKADVYSYGIMLFEIICCRRSVEADVPEDEAVLAHWVYDCFKANELEKLVPNEEVEMSKLGRMVKVGLWCTQDEPSSRPSMKKVILMLEGTVNIPDPPLLSSFVSSP >EOY15467 pep chromosome:Theobroma_cacao_20110822:8:3414816:3417158:-1 gene:TCM_034520 transcript:EOY15467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 1 MDESEKRKERLKAMRLEAAQSEVPNNVATPSVPGHLSNPLSETSSTAAVQEDFCSTPRFDYYTDPMAATSGWPVARVSPSHPGPRNYDMNPPVRHMQSQYSLDQRMYHQQGPHSNFAAHRSPITRSPSHMHHGNSDAWNGSQAFGNYYSSASDGSPGGMFGTPLMHPGTTPRFWNPSNASRYSNSPTPGFSPADIPYGRGRPQQFGNYPLPSPGHGGSLGLSSGRGRGRGYGGSITHGIGRSGGRGLGFHGHSSASNRMMGPESFYDESMLEDPWQHLKPVLWRRREAGMDSLSNPDSSNSWFPKSISAKKVKVSEASNKFNSQLSLAEYLAASFNKAVEDTKNE >EOY15466 pep chromosome:Theobroma_cacao_20110822:8:3414650:3417329:-1 gene:TCM_034520 transcript:EOY15466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 1 MDESEKRKERLKAMRLEAAQSEVPNNVATPSVPGHLSNPLSETSSTAAVQEDFCSTPRFDYYTDPMAAFSANKKRGKADNQSTQNYFTPPTTSGWPVARVSPSHPGPRNYDMNPPVRHMQSQYSLDQRMYHQQGPHSNFAAHRSPITRSPSHMHHGNSDAWNGSQAFGNYYSSASDGSPGGMFGTPLMHPGTTPRFWNPSNASRYSNSPTPGFSPADIPYGRGRPQQFGNYPLPSPGHGGSLGLSSGRGRGRGYGGSITHGIGRSGGRGLGFHGHSSASNRMMGPESFYDESMLEDPWQHLKPVLWRRREAGMDSLSNPDSSNSWFPKSISAKKVKVSEASNKFNSQLSLAEYLAASFNKAVEDTKNE >EOY14980 pep chromosome:Theobroma_cacao_20110822:8:1883882:1891175:1 gene:TCM_034198 transcript:EOY14980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MDRRWPWKKKSSDKGDKAAAAAAAAADAAAATLASAASQGDQETYKKPKYVQISVESYSHLTGLENQVKTYEEQVQTLEDEIKDLNEKLSAADSEISTKEDLVKQHTKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEEHEQKLQDVVISKNKQCEKIRLELEAKIANLDQELLKSEAENAAITRSLQERANMLIKISEEKAQAEAEIEHLKGNIESCEREINSLKYELHVVSKELEIRNEEKNMSMRSAEVANKQHMEGVKKITKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDTRLRRSPVRPSTPHLSTATDFSLDNAQKSQKENEFLTERLLAMEEETKMLKEALAKRNSELLASRNLCAKTSSKLQTLEAQLVISSQQRSPSKAIVPIPAEVYSSQNVSNPPSVTSVSEDGNDDDRSCAESWATALMSELSQFKKEKNVEKPNKTENAKHLDLMDDFLEMEKLACSSNDSTANGTITISDSTNNKISESVNGDASGEISCKELQSEKQHVLSPSVNQVSSNMDLSVVYPESDADQLPVMKLRTRLSIVLQSMSKDADVQKILEDIKRAVQDARDTLCEHSVNGVSEEVHGSDGTCIGQAHNGVGSLTAEKEIAISPGDKVASEIVQTVSQELAAAISQIHDFVLSLGKEARAVDDICSDGNRLSHKIEEFSVTYNKVLCSNVSLTDFIFDLSTILAKASDLRVNVLGYKDNEEEINSPDCIDKVVLPENKVIQQDSSGGRYQNGCAHISNPTSNPEVPDDGNLVSDYESKQSRKFSSEEFEELKLEKENMAMDLARCTENLEMTKSQLHETEQLLAEAKSQLASAQKSNSLAETQLKCMAESYRSLETRADELETEVNLLRVKIETLENEHQDEKRSHHDTLARCKELEEQLQRNENCSACAAAADNDLKNKQEKELAAAAEKLAECQETIFLLGKQLKSLRPQTDMMGSPYNERSQKGEGLLEDEPTTSGMNLQDLDQTEIDTAASGNASRGGAESPMEPLISPSSPSDTDANLLRSPINSNHPKHKSTLSSSSSSSSTPTPEKQSRGFSRFFSSKGKTGH >EOY14984 pep chromosome:Theobroma_cacao_20110822:8:1883882:1889567:1 gene:TCM_034198 transcript:EOY14984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MDRRWPWKKKSSDKGDKAAAAAAAAADAAAATLASAASQGDQETYKKPKYVQISVESYSHLTGLENQVKTYEEQVQTLEDEIKDLNEKLSAADSEISTKEDLVKQHTKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEEHEQKLQDVVISKNKQCEKIRLELEAKIANLDQELLKSEAENAAITRSLQERANMLIKISEEKAQAEAEIEHLKGNIESCEREINSLKYELHVVSKELEIRNEEKNMSMRSAEVANKQHMEGVKKITKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDTRLRRSPVRPSTPHLSTATDFSLDNAQKSQKENEFLTERLLAMEEETKMLKEALAKRNSELLASRNLCAKTSSKLQTLEAQLVISSQQRSPSKAIVPIPAEVYSSQNVSNPPSVTSVSEDGNDDDRSCAESWATALMSELSQFKKEKNVEKPNKTENAKHLDLMDDFLEMEKLACSSNDSTANGTITISDSTNNKISESVNGDASGEISCKELQSEKQHVLSPSVNQVSSNMDLSVVYPESDADQLPVMKLRTRLSIVLQSMSKDADVQKILEDIKRAVQDARDTLCEHSVNGVSEEVHGSDGTCIGQAHNGVGSLTAEKEIAISPGDKVASEIVQTVSQELAAAISQIHDFVLSLGKEARAVDDICSDGNRLSHKIEEFSVTYNKVLCSNVSLTDFIFDLSTILAKASDLRVNVLGYKDNEEEINSPDCIDKVVLPENKVIQQDSSGGRYQNGCAHISNPTSNPEVPDDGNLVSDYESKQSRKFSSEEFEELKLEKENMAMDLARCTENLEMTKSQLHETEQLLAEAKSQLASAQKSNSLAETQLKCMAESYRSLETRADELETEVNLLRVKIETLENEHQDEKRSHHDTLARCKELEEQLQRNENCSACAAAADNDLKNKQVSVYFNLCILRWILPNPLIYLILLPRNIIYSCVNKQQKD >EOY14987 pep chromosome:Theobroma_cacao_20110822:8:1883882:1891175:1 gene:TCM_034198 transcript:EOY14987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MDRRWPWKKKSSDKGDKAAAAAAAAADAAAATLASAASQGDQETYKKPKYVQISVESYSHLTGLENQVKTYEEQVQTLEDEIKDLNEKLSAADSEISTKEDLVKQHTKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEEHEQKLQDVVISKNKQCEKIRLELEAKIANLDQELLKSEAENAAITRSLQERANMLIKISEEKAQAEAEIEHLKGNIESCEREINSLKYELHVVSKELEIRNEEKNMSMRSAEVANKQHMEGVKKITKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDTRLRRSPVRPSTPHLSTATDFSLDNAQKSQKENEFLTERLLAMEEETKMLKEALAKRNSELLASRNLCAKTSSKLQTLEAQLVISSQQRSPSKAIVPIPAEVYSSQNVSNPPSVTSVSEDGNDDDRSCAESWATALMSELSQFKKEKNVEKPNKTENAKHLDLMDDFLEMEKLACSSNDSTANGTITISDSTNNKISESVNGDASGEISCKELQSEKQHVLSPSVNQVSSNMDLSVVYPESDADQLPVMKLRTRLSIVLQSMSKDADVQKILEDIKRAVQDARDTLCEHSVNGVSEEVHGSDGTCIGQAHNGVGSLTAEKEIAISPGDKVASEIVQTVSQELAAAISQIHDFVLSLGKEARAVDDICSDGNRLSHKIEEFSVTYNKVLCSNVSLTDFIFDLSTILAKASDLRVNVLGYKDNEEEINSPDCIDKVVLPENKVIQQDSSGGRYQNGCAHISNPTSNPEVPDDGNLVSDYESKQSRKFSSEEFEELKLEKENMAMDLARCTENLEMTKSQLHETEQLLAEAKSQLASAQKSNSLAETQLKCMAESYRSLETRADELETEVNLLRVKIETLENEHQDEKRSHHDTLARCKELEEQLQRNENCSACAAAADNDLKN >EOY14981 pep chromosome:Theobroma_cacao_20110822:8:1883882:1890405:1 gene:TCM_034198 transcript:EOY14981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MDRRWPWKKKSSDKGDKAAAAAAAAADAAAATLASAASQGDQETYKKPKYVQISVESYSHLTGLENQVKTYEEQVQTLEDEIKDLNEKLSAADSEISTKEDLVKQHTKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEEHEQKLQDVVISKNKQCEKIRLELEAKIANLDQELLKSEAENAAITRSLQERANMLIKISEEKAQAEAEIEHLKGNIESCEREINSLKYELHVVSKELEIRNEEKNMSMRSAEVANKQHMEGVKKITKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDTRLRRSPVRPSTPHLSTATDFSLDNAQKSQKENEFLTERLLAMEEETKMLKEALAKRNSELLASRNLCAKTSSKLQTLEAQLVISSQQRSPSKAIVPIPAEVYSSQNVSNPPSVTSVSEDGNDDDRSCAESWATALMSELSQFKKEKNVEKPNKTENAKHLDLMDDFLEMEKLACSSNDSTANGTITISDSTNNKISESVNGDASGEISCKELQSEKQHVLSPSVNQVSSNMDLSVVYPESDADQLPVMKLRTRLSIVLQSMSKDADVQKILEDIKRAVQDARDTLCEHSVNGVSEEVHGSDGTCIGQAHNGVGSLTAEKEIAISPGDKVASEIVQTVSQELAAAISQIHDFVLSLGKEARAVDDICSDGNRLSHKIEEFSVTYNKVLCSNVSLTDFIFDLSTILAKASDLRVNVLGYKDNEEEINSPDCIDKVVLPENKVIQQDSSGGRYQNGCAHISNPTSNPEVPDDGNLVSDYESKQSRKFSSEEFEELKLEKENMAMDLARCTENLEMTKSQLHETEQLLAEAKSQLASAQKSNSLAETQLKCMAESYRSLETRADELETEVNLLRVKIETLENEHQDEKRSHHDTLARCKELEEQLQRNENCSACAAAADNDLKNKQLLVMILAGCSLLIGFIKYKAPDLGLFLSITGERISSCSR >EOY14986 pep chromosome:Theobroma_cacao_20110822:8:1886227:1890828:1 gene:TCM_034198 transcript:EOY14986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MLFSCRSGFRFIAHYLSWKEILISYHLNCWLFYLVSIFLGLYFVEQETYKKPKYVQISVESYSHLTGLENQVKTYEEQVQTLEDEIKDLNEKLSAADSEISTKEDLVKQHTKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEEHEQKLQDVVISKNKQCEKIRLELEAKIANLDQELLKSEAENAAITRSLQERANMLIKISEEKAQAEAEIEHLKGNIESCEREINSLKYELHVVSKELEIRNEEKNMSMRSAEVANKQHMEGVKKITKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDTRLRRSPVRPSTPHLSTATDFSLDNAQKSQKENEFLTERLLAMEEETKMLKEALAKRNSELLASRNLCAKTSSKLQTLEAQLVISSQQRSPSKAIVPIPAEVYSSQNVSNPPSVTSVSEDGNDDDRSCAESWATALMSELSQFKKEKNVEKPNKTENAKHLDLMDDFLEMEKLACSSNDSTANGTITISDSTNNKISESVNGDASGEISCKELQSEKQHVLSPSVNQVSSNMDLSVVYPESDADQLPVMKLRTRLSIVLQSMSKDADVQKILEDIKRAVQDARDTLCEHSVNGVSEEVHGSDGTCIGQAHNGVGSLTAEKEIAISPGDKVASEIVQTVSQELAAAISQIHDFVLSLGKEARAVDDICSDGNRLSHKIEEFSVTYNKVLCSNVSLTDFIFDLSTILAKASDLRVNVLGYKDNEEEINSPDCIDKVVLPENKVIQQDSSGGRYQNGCAHISNPTSNPEVPDDGNLVSDYESKQSRKFSSEEFEELKLEKENMAMDLARCTENLEMTKSQLHETEQLLAEAKSQLASAQKSNSLAETQLKCMAESYRSLETRADELETEVNLLRVKIETLENEHQDEKRSHHDTLARCKELEEQLQRNENCSACAAAADNDLKNKQVSVYFNLCILRWILPNPLIYLILLPRNIIYSCTDMMGSPYNERSQKGEGLLEDEPTTSGMNLQDLDQTEIDTAASGNASRGGAESPMEPLISPSSPSDTDANLLRSPINSNHPKHKSTLSSSSSSSSTPTPEKQSRGFSRFFSSKGKTGH >EOY14983 pep chromosome:Theobroma_cacao_20110822:8:1883910:1899317:1 gene:TCM_034198 transcript:EOY14983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MRQIRNLKEEHEQKLQDVVISKNKQCEKIRLELEAKIANLDQELLKSEAENAAITRSLQERANMLIKISEEKAQAEAEIEHLKGNIESCEREINSLKYELHVVSKELEIRNEEKNMSMRSAEVANKQHMEGVKKITKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDTRLRRSPVRPSTPHLSTATDFSLDNAQKSQKENEFLTERLLAMEEETKMLKEALAKRNSELLASRNLCAKTSSKLQTLEAQLVISSQQRSPSKAIVPIPAEVYSSQNVSNPPSVTSVSEDGNDDDRSCAESWATALMSELSQFKKEKNVEKPNKTENAKHLDLMDDFLEMEKLACSSNDSTANGTITISDSTNNKISESVNGDASGEISCKELQSEKQHVLSPSVNQVSSNMDLSVVYPESDADQLPVMKLRTRLSIVLQSMSKDADVQKILEDIKRAVQDARDTLCEHSVNGVSEEVHGSDGTCIGQAHNGVGSLTAEKEIAISPGDKVASEIVQTVSQELAAAISQIHDFVLSLGKEARAVDDICSDGNRLSHKIEEFSVTYNKVLCSNVSLTDFIFDLSTILAKASDLRVNVLGYKDNEEEINSPDCIDKVVLPENKVIQQDSSGGRYQNGCAHISNPTSNPEVPDDGNLVSDYESKQSRKFSSEEFEELKLEKENMAMDLARCTENLEMTKSQLHETEQLLAEAKSQLASAQKSNSLAETQLKCMAESYRSLETRADELETEVNLLRVKIETLENEHQDEKRSHHDTLARCKELEEQLQRNENCSACAAAADNDLKNKQEKELAAAAEKLAECQETIFLLGKQLKSLRPQTDMMGSPYNERSQKGEGLLEDEPTTSGMNLQDLDQTEIDTAASGNASRGGAESPMEPLISPSSPSDTDANLLRSPINSNHPKHKSTLSSSSSSSSTPTPEKQSRGFSRFFSSKGKTGH >EOY14985 pep chromosome:Theobroma_cacao_20110822:8:1883880:1889567:1 gene:TCM_034198 transcript:EOY14985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MRQIRNLKEEHEQKLQDVVISKNKQCEKIRLELEAKIANLDQELLKSEAENAAITRSLQERANMLIKISEEKAQAEAEIEHLKGNIESCEREINSLKYELHVVSKELEIRNEEKNMSMRSAEVANKQHMEGVKKITKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDTRLRRSPVRPSTPHLSTATDFSLDNAQKSQKENEFLTERLLAMEEETKMLKEALAKRNSELLASRNLCAKTSSKLQTLEAQLVISSQQRSPSKAIVPIPAEVYSSQNVSNPPSVTSVSEDGNDDDRSCAESWATALMSELSQFKKEKNVEKPNKTENAKHLDLMDDFLEMEKLACSSNDSTANGTITISDSTNNKISESVNGDASGEISCKELQSEKQHVLSPSVNQVSSNMDLSVVYPESDADQLPVMKLRTRLSIVLQSMSKDADVQKILEDIKRAVQDARDTLCEHSVNGVSEEVHGSDGTCIGQAHNGVGSLTAEKEIAISPGDKVASEIVQTVSQELAAAISQIHDFVLSLGKEARAVDDICSDGNRLSHKIEEFSVTYNKVLCSNVSLTDFIFDLSTILAKASDLRVNVLGYKDNEEEINSPDCIDKVVLPENKVIQQDSSGGRYQNGCAHISNPTSNPEVPDDGNLVSDYESKQSRKFSSEEFEELKLEKENMAMDLARCTENLEMTKSQLHETEQLLAEAKSQLASAQKSNSLAETQLKCMAESYRSLETRADELETEVNLLRVKIETLENEHQDEKRSHHDTLARCKELEEQLQRNENCSACAAAADNDLKNKQVSVYFNLCILRWILPNPLIYLILLPRNIIYSCVNKQQKD >EOY14982 pep chromosome:Theobroma_cacao_20110822:8:1886227:1890828:1 gene:TCM_034198 transcript:EOY14982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MLFSCRSGFRFIAHYLSWKEILISYHLNCWLFYLVSIFLGLYFVEQETYKKPKYVQISVESYSHLTGLENQVKTYEEQVQTLEDEIKDLNEKLSAADSEISTKEDLVKQHTKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEEHEQKLQDVVISKNKQCEKIRLELEAKIANLDQELLKSEAENAAITRSLQERANMLIKISEEKAQAEAEIEHLKGNIESCEREINSLKYELHVVSKELEIRNEEKNMSMRSAEVANKQHMEGVKKITKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDTRLRRSPVRPSTPHLSTATDFSLDNAQKSQKENEFLTERLLAMEEETKMLKEALAKRNSELLASRNLCAKTSSKLQTLEAQLVISSQQRSPSKAIVPIPAEVYSSQNVSNPPSVTSVSEDGNDDDRSCAESWATALMSELSQFKKEKNVEKPNKTENAKHLDLMDDFLEMEKLACSSNDSTANGTITISDSTNNKISESVNGDASGEISCKELQSEKQHVLSPSVNQVSSNMDLSVVYPESDADQLPVMKLRTRLSIVLQSMSKDADVQKILEDIKRAVQDARDTLCEHSVNGVSEEVHGSDGTCIGQAHNGVGSLTAEKEIAISPGDKVASEIVQTVSQELAAAISQIHDFVLSLGKEARAVDDICSDGNRLSHKIEEFSVTYNKVLCSNVSLTDFIFDLSTILAKASDLRVNVLGYKDNEEEINSPDCIDKVVLPENKVIQQDSSGGRYQNGCAHISNPTSNPEVPDDGNLVSDYESKQSRKFSSEEFEELKLEKENMAMDLARCTENLEMTKSQLHETEQLLAEAKSQLASAQKSNSLAETQLKCMAESYRSLETRADELETEVNLLRVKIETLENEHQDEKRSHHDTLARCKELEEQLQRNENCSACAAAADNDLKNKQEKELAAAAEKLAECQETIFLLGKQLKSLRPQTDMMGSPYNERSQKGEGLLEDEPTTSGMNLQDLDQTEIDTAASGNASRGGAESPMEPLISPSSPSDTDANLLRSPINSNHPKHKSTLSSSSSSSSTPTPEKQSRGFSRFFSSKGKTGH >EOY14472 pep chromosome:Theobroma_cacao_20110822:8:415916:422236:-1 gene:TCM_033844 transcript:EOY14472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin subfamily A member 3 isoform 2 MMASSFFFIIKLKRNLPLAKHEQPNPEIVHTCLQYLDDSPSHTSPQLPFSALFYPNILLSFFLLFTLPLPKTPTQTSGFMSFSFPSSAHAHAKTPRKLLNNLSTRTSRSDPTFLTLLDIAPPLTSIFWGPLSNGSLKDRATSGASSVIPSLSLSLSPPLWPFLVNESFVDEKQKGDCQSRVGDPASAPTLICFDEENTRSLRRVDDFINNRFHSTPRFFVSGLSGHLGGTRCHFSHMENVTTEEIPRSESSLSSKDADHSSGEVPVISISNGTKQTDSHLSVMEQKDHIKDSAASTSSVVIDQTETDHRGRVMEDSRTEGMHDSAGEQLSQNTGSVGISHIHIEDIIVPPASNPKVGDSETDHVEPPSELSLPPTDVTSAVVGSIHGLSDGQQSQEADSVVSSHVVNGECDMILPSASSHEVKSSEFTLPLPEVGTIAVGSIQHASDEQQSPNAHSASSSKVNDSEAGGAKNGDHVAQINNLILPHQRIVSSAVGSPKSVSPKHMKQVDVNRGLIDTAAPFESVKEAVSKFGGIVDWKAHRMQTVERRKLVEQELEKVQDEMPEYKQRSEDAEEAKMQVLKELDSTKRLIEELKLSLERAQIEENQAKQDSELAKLRVEEMEQGIADEASVAAKTQLEVAKARHAAAVSELKSVKEELEALQKEYASLMTERDVAVKKAEEAVSASKEVEKTVEELTIELIATKESLESAHAAHLEAEEKRIGAAMARDQDTHHWEKELKQAEEELQKLNQQIHSAKELKLKLDTASALLLDLKAELAAYMESKLKEQTDGHSTDESQASERRTHTDIQAAIASAKKELEEVKLNIEKATTEVDCLKVAAISLKSEVEKEKSALAAIKQREGMASVAVASLEAELDKTRSEIAMVQMKEKEAREKMLELPKQLQQAAQEADEVKSLAQMAREELRKANEEAEQAKAGASTMESRLLAAQKEIEAAKASEKLALAAIKALQESESAQSTNNVDSPAGVTLSLEEYYELSKRAHEAEEQANMRVAAAISQIEVAKQSESRSLEKLEEVNREMANRREALKIAMEKAEKAKEGKLGVEQELRKWRAEHEQRRKATELSHGGNAPRASFEGNKETKNFEPVPAAPAHILASPKAYAHRNNTETESSPEAKVVKKKKKSLFPKIFMFLARRKSTSSKSS >EOY14474 pep chromosome:Theobroma_cacao_20110822:8:416354:419339:-1 gene:TCM_033844 transcript:EOY14474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin subfamily A member 3 isoform 2 MENVTTEEIPRSESSLSSKDADHSSGEVPVISISNGTKQTDSHLSVMEQKDHIKDSAASTSSVVIDQTETDHRGRVMEDSRTEGMHDSAGEQLSQNTGSVGISHIHIEDIIVPPASNPKVGDSETDHVEPPSELSLPPTDVTSAVVGSIHGLSDGQQSQEADSVVSSHVVNGECDMILPSASSHEVKSSEFTLPLPEVGTIAVGSIQHASDEQQSPNAHSASSSKVNDSEAGGAKNGDHVAQINNLILPHQRIVSSAVGSPKSVSPKHMKQVDVNRGLIDTAAPFESVKEAVSKFGGIVDWKAHRMQTVERRKLVEQELEKVQDEMPEYKQRSEDAEEAKMQVLKELDSTKRLIEELKLSLERAQIEENQAKQDSELAKLRVEEMEQGIADEASVAAKTQLEVAKARHAAAVSELKSVKEELEALQKEYASLMTERDVAVKKAEEAVSASKEVEKTVEELTIELIATKESLESAHAAHLEAEEKRIGAAMARDQDTHHWEKELKQAEEELQKLNQQIHSAKELKLKLDTASALLLDLKAELAAYMESKLKEQTDGHSTDESQASERRTHTDIQAAIASAKKELEEVKLNIEKATTEVDCLKVAAISLKSEVEKEKSALAAIKQREGMASVAVASLEAELDKTRSEIAMVQMKEKEAREKMLELPKQLQQAAQEADEVKSLAQMAREELRKANEEAEQAKAGASTMESRLLAAQKEIEAAKASEKLALAAIKALQESESAQSTNNVDSPAGVTLSLEEYYELSKRAHEAEEQANMRVAAAISQIEVAKQSESRSLEKLEEVNREMANRREALKIAMEKAEKAKEGKLGVEQELRKWRAEHEQRRKATELSHGGNAPRASFEGNKETKNFEPVPAAPAHILASPKAYAHRNNTETESSPEAKVVKKKKKSLFPKIFMFLARRKSTSSKSS >EOY14473 pep chromosome:Theobroma_cacao_20110822:8:416004:421222:-1 gene:TCM_033844 transcript:EOY14473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin subfamily A member 3 isoform 2 MENVTTEEIPRSESSLSSKDADHSSGEVPVISISNGTKQTDSHLSVMEQKDHIKDSAASTSSVVIDQTETDHRGRVMEDSRTEGMHDSAGEQLSQNTGSVGISHIHIEDIIVPPASNPKVGDSETDHVEPPSELSLPPTDVTSAVVGSIHGLSDGQQSQEADSVVSSHVVNGECDMILPSASSHEVKSSEFTLPLPEVGTIAVGSIQHASDEQQSPNAHSASSSKVNDSEAGGAKNGDHVAQINNLILPHQRIVSSAVGSPKSVSPKHMKQVDVNRGLIDTAAPFESVKEAVSKFGGIVDWKAHRMQTVERRKLVEQELEKVQDEMPEYKQRSEDAEEAKMQVLKELDSTKRLIEELKLSLERAQIEENQAKQDSELAKLRVEEMEQGIADEASVAAKTQLEVAKARHAAAVSELKSVKEELEALQKEYASLMTERDVAVKKAEEAVSASKEVEKTVEELTIELIATKESLESAHAAHLEAEEKRIGAAMARDQDTHHWEKELKQAEEELQKLNQQIHSAKELKLKLDTASALLLDLKAELAAYMESKLKEQTDGHSTDESQASERRTHTDIQAAIASAKKELEEVKLNIEKATTEVDCLKVAAISLKSEVEKEKSALAAIKQREGMASVAVASLEAELDKTRSEIAMVQMKEKEAREKMLELPKQLQQAAQEADEVKSLAQMAREELRKANEEAEQAKAGASTMESRLLAAQKEIEAAKASEKLALAAIKALQESESAQSTNNVDSPAGVTLSLEEYYELSKRAHEAEEQANMRVAAAISQIEVAKQSESRSLEKLEEVNREMANRREALKIAMEKAEKAKEGKLGVEQELRKWRAEHEQRRKATELSHGGNAPRASFEGNKETKNFEPVPAAPAHILASPKAYAHRNNTETESSPEAKVVKKKKKSLFPKIFMFLARRKSTSSKSS >EOY15526 pep chromosome:Theobroma_cacao_20110822:8:3632764:3635466:-1 gene:TCM_034553 transcript:EOY15526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQCKPVLHAIYVAMLISTNKMECINEVVELEVANNVYFIRGCELPVEEGFCSSLWGRKGGFSSLQSPCIIMEVDDNVEVASNADMECVNKLEQSPMEKKLEMYSNFEDASSAIRRNLGANLNSDANVLETLEPLMVVRWLGEKRGGLV >EOY16139 pep chromosome:Theobroma_cacao_20110822:8:5916798:5917282:1 gene:TCM_035000 transcript:EOY16139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MESSSSSTSSNFLSFWNYLNLLLVRPIIAIFFVLSFIFLWWWLAWKLVLVHVPLVQEIFGLRKKPVKPKPPTRRLSRYYNSINAQSSSS >EOY16136 pep chromosome:Theobroma_cacao_20110822:8:5916756:5918615:1 gene:TCM_035000 transcript:EOY16136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 SPAQNQDKDRNVLLLEEVRGAVLLALQSMESSSSSTSSNFLSFWNYLNLLLVRPIIAIFFVLSFIFLWWWLAWKLVLVHVPLVQEIFGLRKKPVKPKPPTRRLSRYYNSINAQSSSSQ >EOY16135 pep chromosome:Theobroma_cacao_20110822:8:5916798:5918439:1 gene:TCM_035000 transcript:EOY16135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MESSSSSTSSNFLSFWNYLNLLLVRPIIAIFFVLSFIFLWWWLAWKLVLVHVPLVQEIFGLRKKPVKPKPPTRRLSRYYNSINAQSSSSQ >EOY16138 pep chromosome:Theobroma_cacao_20110822:8:5916822:5918728:1 gene:TCM_035000 transcript:EOY16138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MESSSSSTSSNFLSFWNYLNLLLVRPIIAIFFVLSFIFLWWWLAWKLVLVHVPLVQEIFGLRKKPVKPKPPTRRLSRYYNSINAQSSSSQ >EOY16137 pep chromosome:Theobroma_cacao_20110822:8:5916798:5918671:1 gene:TCM_035000 transcript:EOY16137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MESSSSSTSSNFLSFWNYLNLLLVRPIIAIFFVLSFIFLWWWLAWKLVLVHVPLVQEIFGLRKKPVKPKPPTRRLSRYYNSINAQSSSSQ >EOY14469 pep chromosome:Theobroma_cacao_20110822:8:410881:414108:1 gene:TCM_033842 transcript:EOY14469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar import/degradation MGGAHSREELISDSDEEEYEEENEEEEENFQDSKDESPKRSSSGRSRPKTPSSLDEVEAKLKALKLKYPSANTQNPSLNNAVKLYLHIGGNTPKAKWVTSEKLTSYSFIKTSKINGQDDEEDGEESENEEANGEAWWVLKVGNKIRVKVGVEMQLKTFGDQRRVDFVSKGVWAMKFFSDEVYRSFVSKFNDCLFENTYRIESNDANKVKVYGKDFIGWAKPEAADDSIWEDAEDSPLKSPQSATPVRVNQDLREEFEEAANGGIQSLALGALDNSFLVGDSGIQVVKNFAHGVHGKGVYVNFDHGSQRSGLSLVHSTPKKALLMRAETNMLLMSPMTEGKPHTNGLHQLDIETGKIVSEWKFEKDGTDITMRDITNDSKGAQLDPSGSTFLGLDDNRLCRWDMRDRNGMVQNLATSTPVLNWTQGHQFSRGTNFQCFATTGDGSIVVGSLDGKIRLYSINSMRQAKTAFPGLGSPITHVDVTFDGKWILGTTDTYLILICTLFTGKDGKTKTGFNGRMGNKIAAPRLLKLTPLDSHLAGVNNKFRNAQFSWVTENGKQERHLVATVGKFSVIWNFQQVKNGSHECYHNQEGLKSCYCYKIVLKDDSIVDSRFMHDKFAVTDSPEAPLVIATPMKVSSFSISSRR >EOY16306 pep chromosome:Theobroma_cacao_20110822:8:6635978:6637091:-1 gene:TCM_035128 transcript:EOY16306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTDNFLLVGQQGDESALPPIIPPSSEHISDDGVYLLENGEDALIYFGSSVDSSILQQILGFTSVDEVPTQFHYVSPLNLETTSLETQPIMSPGDMLLSEEHCPDILLSMFANTAMSLESLQTVIVPVI >EOY17506 pep chromosome:Theobroma_cacao_20110822:8:21457900:21468702:-1 gene:TCM_036727 transcript:EOY17506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat superfamily protein isoform 2 MLLQTTISSPPLHHHHVCFSATLPHSYSFLSSGSLRFSRKKLSFQAIVQCYCEPALKNSGTGNGVNDTRLLNPQMFQKGLATYMACRGRCSSHMKKSLKNNLAVGDDSNLKKPLVVVSAFLFGQALWLISAQLANASEITSGDAVYEVGALFELGIQLSYLLLLLGLLGVGTFFVIRQVLVRRELDLSAKELQEQVRSGEASATELFELGAVMLRRKFYPAATKYLLQAIEKWDGDDQDLAQVCNALGVSYVRDGKLEKGISQFETAVKLQPGYVTAWNNLGDAYEKKKDYKSALKAFEEVLLFDPNNKVARPRRDALKDRVQMYKGVPVKSKDR >EOY17507 pep chromosome:Theobroma_cacao_20110822:8:21457768:21464866:-1 gene:TCM_036727 transcript:EOY17507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat superfamily protein isoform 2 MLLQTTISSPPLHHHHVCFSATLPHSYSFLSSGSLRFSRKKLSFQCYCEPALKNSGTGNGVNDTRLLNPQMFQKGLATYMACRGRCSSHMKKSLKNNLAVGDDSNLKKPLVVVSAFLFGQALWLISAQLANASEITSGDAVYEVGALFELGIQLSYLLLLLGLLGVGTFFVIRQVLVRRELDLSAKELQEQVRSGEASATELFELGAVMLRRKFYPAATKYLLQAIEKWDGDDQDLAQVCNALGVSYVRDGKLEKGISQFETAVKLQPGYVTAWNNLGDAYEKKKDYKSALKAFEEVLLFDPNNKVARPRRDALKDRVQMYKGVPVKSKDR >EOY14712 pep chromosome:Theobroma_cacao_20110822:8:1054418:1057536:-1 gene:TCM_034004 transcript:EOY14712 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein MVELENSSPMTVSTASGEASASSSGNQIQGTQPTGGGPPKKKRNLPGMPDPDAEVIALSPKSLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTSKEIRKRVYVCPEPSCVHHNPARALGDLTGIKKHFCRKHGEKKWKCERCSKKYAVQSDWKAHMKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEESARAQTLAIANTEGNVNVSGNGNGNGKTMVGGAAATSPPPQPLTPSTASVVSPGLSIQSSEIPDNPMGLSPPTPAATSTSTSNSNVFASIFAPNSQPSKIPAPSPIFRSAAPLERTSLSLSSPLYLSNNGSSIFTGPEHDHCHYAPSPQPAMSATALLQKAAQMGAAASNPSLLRGLGLAVSSTSTAGQDPNVKPESSSLTAGLGLGLPSNGSSNLTDHMMDPSSLFGNKPTTLDLLGLGMGDGGASSNGLSALLTSFGGGFNVGAATTSYAAGSGSSPRETWEGAPERKPNGPAML >EOY17362 pep chromosome:Theobroma_cacao_20110822:8:19621950:19628100:1 gene:TCM_036510 transcript:EOY17362 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent protease La domain-containing protein isoform 2 MSCHVLYATSMSMKPRFGNGKSQTRRPRPFSHTFPYDYGVKHFPGDGDRSISKRRRICPSAVSLELPLLPFNMNEVLVPSESKTLHLYEARYLALLEESLLRKKLFVHFVLDPIAISNSRGEASFAARYGCLVLIENIEQLDVGALVSIRGIGRVKIIKFLQADPYLKGEVRPQQDMVLDSTTNITSKVLQVKEALHSLNKLEIKLKVMKVVSTDIFK >EOY17361 pep chromosome:Theobroma_cacao_20110822:8:19622136:19631407:1 gene:TCM_036510 transcript:EOY17361 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent protease La domain-containing protein isoform 2 MSMKPRFGNGKSQTRRPRPFSHTFPYDYGVKHFPGDGDRSISKRRRICPSAVSLELPLLPFNMNEVLVPSESKTLHLYEARYLALLEELDPIAISNSRGEASFAARYGCLVLIQLDVGALVSIRGIGRVKIIKFLQADPYLKGEVRPQQDMVLDSTTNITSKVLQVKEALHSLNKLEIKLKAPKGAPLQTSCLNSLTWAENELSLECDKDFVPSSAERVSFAAFQPVSGSTQSELLKLQEEKLKAMKLKDTVQRIDNSLELIKESTSTVAAKLAIQSLEMQ >EOY17360 pep chromosome:Theobroma_cacao_20110822:8:19621998:19631519:1 gene:TCM_036510 transcript:EOY17360 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent protease La domain-containing protein isoform 2 MSCHVLYATSMSMKPRFGNGKSQTRRPRPFSHTFPYDYGVKHFPGDGDRSISKRRRICPSAVSLELPLLPFNMNEVLVPSESKTLHLYEARYLALLEESLLRKKLFVHFVLDPIAISNSRGEASFAARYGCLVLIENIEQLDVGALVSIRGIGRVKIIKFLQADPYLKGEVRPQQDMVLDSTTNITSKVLQVKEALHSLNKLEIKLKAPKGAPLQTSCLNSLTWAENELSLECDKDFVPSSAERVSFAAFQPVSGSTQSELLKLQEEKLKAMKLKDTVQRIDNSLELIKESTSTVAAKLAIQSLEMQ >EOY17094 pep chromosome:Theobroma_cacao_20110822:8:17843939:17846409:-1 gene:TCM_036272 transcript:EOY17094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MEISYLSRRNLNLRHFPIPHYCLSSLLFSVSSKSLPFLFSSPQTLLLPKPSPPPILSFHQFHSISSSDSSASLVSSNGFSSFLSTAYPSSQFFNLYDFRWFRSFSVSVSGLMASRKQALEVVSLIRSGQNDLESKLDGMNVSLSEASLNTIFRILNNEKVSALRFFYWIRESHPQFYHNSDICSLVIDNCGRLDDFDSAASLLNDFKLHGIRLNHRAFGFVPVMISSKAATKKSICKVVEVLNRIGGSCSVSGIHALIEMLCALESFEMAKYVIAKAEKRLSNYNILIRGQCRKGDFEGAREILDWMIKVGCNPNSQTFNNILSCLCKNDKVAEACQLLEQMLESGCPLDALTFEIFICYYCGLGRLDMAFEWLNKMDSSGIEPRITTHAAFVKGYFKLQQYEEAHNYVVVCSDKYKQASNIVYSLLASLHRKRGKPVIAQSILSEMIEKGLKPNFAVYMTVTKQLQKSGREDLAGNLRSSFSSLISQPSADNG >EOY15620 pep chromosome:Theobroma_cacao_20110822:8:3970580:3974326:1 gene:TCM_034624 transcript:EOY15620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, hydrolyzing O-glycosyl compounds, putative MPAPCICLWILISDTRISLYAPKSTRTGHLTNGNNNIILNPTFEDGLSNWSGKGCKILLHESMGDGKVLPLYGKFFASATNRTESWNGIEQEITGRVQPKLAYEVTAVVRIYGGNVTNAGIQATLWVQSPDIHDQYIRIAILQATDKDWVQLQGKFLLNGSPLRAIIFLEGPPPGTDILINSLVVKHAEKVPPSLRPIMKGEAFGVNIIENSNVDDGINGWIPLVRIGPGSTSPQIVNVALGVDGNWVNGGQVEFNDERWHEVGGSFRIEKQPSNIMVYVQDPAAGVDLMVAGLCIFPVDRRGRLKFLKKQTDKWSWTEPQQGNLNYKDADELLEFCKNNNLEIRGHCIFWEELDLQQQGAPVGHIDSPVGPVFSSALDKLGTLGFPIWFTELDVSSTNEFVRADDLENAHLVNAEDKINAAGKRYLALKKEWLTHAPGHIDAQGEFRFRGFYGTYKIEISSPTKKINRTFVVDKGESPLAINIDL >EOY14435 pep chromosome:Theobroma_cacao_20110822:8:337064:342763:-1 gene:TCM_033822 transcript:EOY14435 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative isoform 2 MDTVENQSEAPAIKALGFLFKLTEVYLWDDGAKETRQSSCLCESENNKSSRQRDKSRSSVFHMTSDSCTLPEDIELANEMNALGLPLSFHTSKETRSKMTSSKRKGVRSKHSHGHKDTEETMEFSRVMVVNESQCPDLKQEDSASSVRTICGSVMEQTCDGISDLVTNDGQDYDFAQQSGIVSTADEKIAVSSTSLGAVLLPEHCLMDPGLDHCNNEGYRSLMEHECFDCSSVAPCNEKDVLDCDGNDQTYGGALGDWRVYWDSFYMRNYFYNLKTQASTWDPPPGMENLVFNNLNNKSNEMTAESLQKSVHGGGLEELLSDELSNGTGVAAASSLAIPSVSKSFELAGEHCETSGTCVAELTLRLTSDAQDNVDSMPTVPPGTISDGEDIILENAALANNQADTLLVAAIRKGKKKTRRRAQRKLARDEEELQFQEIFEEYSAIIGKYWCQRYLLFSRFDDGIKMDEEGWFSVTPEPIARHHASRCGSGIVVDSFTGVGGNAIQLAQRSAHVIAIDIDPKKIDYAYHNAAVYGVNDRIDFIMGDFFALAPKLKADTVFLSPPWGGPDYTKVKIYDLKTMLRPRDGYFLFDVAKKIACRIVMFLPRNVDLNQLAELSLSAQPPWSLEVEKNFLNGKLKAITAYFTETAVRGQ >EOY14436 pep chromosome:Theobroma_cacao_20110822:8:337487:342759:-1 gene:TCM_033822 transcript:EOY14436 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative isoform 2 MVVNESQCPDLKQEDSASSVRTICGSVMEQTCDGISDLVTNDGQDYDFAQQSGIVSTADEKIAVSSTSLGAVLLPEHCLMDPGLDHCNNEGYRSLMEHECFDCSSVAPCNEKDVLDCDGNDQTYGGALGDWRVYWDSFYMRNYFYNLKTQASTWDPPPGMENLVFNNLNNKSNEMTAESLQKSVHGGGLEELLSDELSNGTGVAAASSLAIPSVSKSFELAGEHCETSGTCVAELTLRLTSDAQDNVDSMPTVPPGTISDGEDIILENAALANNQADTLLVAAIRKGKKKTRRRAQRKLARDEEELQFQEIFEEYSAIIGKYWCQRYLLFSRFDDGIKMDEEGWFSVTPEPIARHHASRCGSGIVVDSFTGVGGNAIQLAQRSAHVIAIDIDPKKIDYAYHNAAVYGVNDRIDFIMGDFFALAPKLKADTVFLSPPWGGPDYTKVKIYDLKTMLRPRDGYFLFDVAKKIACRIVMFLPRNVDLNQLAELSLSAQPPWSLEVEKNFLNGKLKAITAYFTETAVRGQ >EOY16132 pep chromosome:Theobroma_cacao_20110822:8:5905420:5907198:1 gene:TCM_034998 transcript:EOY16132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPSSTSSVNGFYTFLTRGIDDLERVYLSNNFMSIQFLQRVLSLLRSFHSQLLLLVQKLHLPVGDKWLDEYMDESSKLWEACHVLKSGISGMENYYSAGFNITSSLDNHRHLSPQLSRQVVRAISGCRREAVGLEEENRALMETRIQPLSLRFDEKVSIESKLNGFNGFRGVLYAMRNVSSLLLMILLYGLVYCWPESSFLRGGYEGCLFFGSAFMISTARLQQRVAAEINQINGRPGILLYEFRRSKLAMEELRGELERWCGQGGAVECETEVGIRERVENLKGCFGVLRSGAENIVGQLDDFFDEIVEGRKKLLDFCSHR >EOY17461 pep chromosome:Theobroma_cacao_20110822:8:20683034:20684715:1 gene:TCM_036642 transcript:EOY17461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPCLLSHGLAWLNRGGAAVLKLLCCCSVLSSLKAVPTAVQSFPMVLGEDFPDSRIVGKVIVKLPSSLVRRTKGRMERKTIRNGPNRRNIQVAHIARRQHIWKSIAGGGLMQSVATASNLGTSPKYTTPQSRLEMVISCK >EOY16766 pep chromosome:Theobroma_cacao_20110822:8:10866641:10868210:1 gene:TCM_035638 transcript:EOY16766 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 73B3, putative MTRLQLPTFIIEEAENERKELIYQALKSDQTSYGVIINSFHELHPAYSEYYSKFLGRKAWHIGPVSLCNKNDEDKADRGNAASIDRHECLRWLDSKKPNSVVYICFGSLFRSSAGQLNEIAKGLEASGQDFIWVLKKGEKQEWLPEGFEERVKGKGLMIRGWAPQVLTLDHEAVGGFITHCGWNSTIESISAGVPMVTWPLYAEQFCNEKLVTDVLKIGVDVGAKEWHRWVDDTKFSVTKEDIERAVTRIMVGKEAEGIRNRARALKDMARKAAEGGGSSYSDLNALL >EOY14421 pep chromosome:Theobroma_cacao_20110822:8:280828:282662:1 gene:TCM_033811 transcript:EOY14421 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAA30379.1 protein, putative MHAKTDSEVTSLAPSSPTRSPRRPVYYVQSPSRDSHDGEKTTTSFHSTPVVSPMGSPPHSHSSVGRHSRESSSSRFSGSLKPGSRKISPNDASSGRAHSKGHKQWKECDVIEEEGLLENEEREKGLPRRCYVLAFVLGFFILFSMFSLILWGASRPQKPKIRMQSIKFEQFKIQAGSDFTGVATDMITMNSTVKMIYRNTGTFFGVHVTSTPLDLSYSQINIASGTMKKFYQSRKSQRSVQVMVMGNKVPLYGSGASLSSSTGTTSLPVSLNLNFVVRSRAYVLGKLVKPKFSKRIDCDITFDPKKLNVPISLKQSCTYD >EOY15361 pep chromosome:Theobroma_cacao_20110822:8:3040098:3045435:-1 gene:TCM_034453 transcript:EOY15361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein DNAj, putative isoform 1 MELAKKYHPDANKNNPSAKRKFQEITDAYETLQDAKKRREYDRTHVRSSEDTEFGADGAQGFRFYDASGARGFRYSYQTNFSDSFSKIFSEIFQDEMDQFAPDIQTELLLSFSEAAKGCTKDLCFDAFVPCDSCDGRGYPLNAKVKVCPTCRGTGMVTIPPFTSTCNTCKGSGQIIEEYCISCQGSGVVEGVKEVKVTIPAGVDSGDTIRIPEAGNIRRQGSQPGNLFIKIKVADDPVFARDGADVYVNSNISFTQAILGGKVEVPTLSGKIQVKIPKGCQHEELLVLRGKGLPKHGFIVHHGDQYVRFRVNLPTVINDRQRA >EOY15360 pep chromosome:Theobroma_cacao_20110822:8:3039172:3045893:-1 gene:TCM_034453 transcript:EOY15360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein DNAj, putative isoform 1 MGRFNWLGLCRRHLLSTMAVESAIDRSDGVRKLSALFQSLNCERALHSCSFGIGKPSDFTVTGMPLRERYFHATGPCCSAKQDYYEILGVPENATRDEIKKAYRALAKKYHPDANKNNPSAKRKFQEITDAYETLQDAKKRREYDRTHVRSSEDTEFGADGAQGFRFYDASGARGFRYSYQTNFSDSFSKIFSEIFQDEMDQFAPDIQTELLLSFSEAAKGCTKDLCFDAFVPCDSCDGRGYPLNAKVKVCPTCRGTGMVTIPPFTSTCNTCKGSGQIIEEYCISCQGSGVVEGVKEVKVTIPAGVDSGDTIRIPEAGNIRRQGSQPGNLFIKIKVADDPVFARDGADVYVNSNISFTQAILGGKVEVPTLSGKIQVKIPKGCQHEELLVLRGKGLPKHGFIVHHGDQYVRFRVNLPTVINDRQRAILEELAKEEINIENNSTVEGNWWETILEHVMSPKFVIEFSLLLLILLILRKTMG >EOY15977 pep chromosome:Theobroma_cacao_20110822:8:5278736:5280573:1 gene:TCM_034885 transcript:EOY15977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein MVMAWRFFFLGIPLLNPNPKFPNPCSFSTSFLITKTPKKHKPKRPKPDSPRTRPVTPDSNKIPQFESLLDRDATFRFLTKTKEFLSKQSEQILPLDDAGKLHRELGFPRGRKVARSIARHPLLVTTYRHSDNKIWLGFTDLMDQLLLEEKSIMEAVEEDRVTKICKLLMMSKNKRIPLSKIYHKRLIFGIPEDFRDKIGKYTDYFRLVIEDDGKQVLELVNWDPSLAVSALEKEFLVNEDKVKKAFKFPVKYGKDLGLEENDVKKLNLLNTLPLVSPYSDGWKLDLWSLEAEKYRVGIIHEFLSLTLEKRALIHHIVEFKEEFSLTRQTYEMLKRQPRTFYLAGTEMNWAVFLKDGYDENGNLIEKDPQVLFNEKLYKFAQMQDEELSSEFGEK >EOY16712 pep chromosome:Theobroma_cacao_20110822:8:10230756:10262638:-1 gene:TCM_035571 transcript:EOY16712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein, putative MAESSADASAAPGIHEGSEIGMVGSNSGEEDKGRVDEGDRSFGGNRWPRQESLALLKIRSDMDAVFRDSSLKGPLWEEVSRKLAELGYHRSAKKCKEKFENVFKYHKRTKDGRTGKADGKTYRFFDQLEALENLHSLQSQSPPKPQTPTPTSAAMPWTNPPTASNIHVPSTTINPTNVPQTNATPSINPTISTQAVPIHSIGPYSNSIPSSFHNISSNLFSTSTSSSTASDDDSDQGSSKKKRKWKEFFWRLTKEVIEKQEELQNKFLRTIEKCEQERTAREEAWRIQEMARINREHEILVQERSTAAAKDAAVIAFLQKILGQQPNTVQVQPQENPQPTPPPPTAPLSLPPPLHQPQPQPPTPALNFDTSKMTNGAYNVVLSSPSRWPKAEVQALIRLRTNLNVKYQENGPKAPLWEEISAGMRKLGYSRSAKRCKEKWENINKYFKKVKESSKKRSEDSKTCPYFHQLDAIYKEKISKNENSVGSSGYGVKPESKMVPLMVQPEQQWPPQQQEISQQAEAMMEEAERENVDQIQEDEEDIGESEGEEYERNAFELVANKTAPIGTAE >EOY16042 pep chromosome:Theobroma_cacao_20110822:8:5539112:5545368:1 gene:TCM_034937 transcript:EOY16042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter protein isoform 1 DISGEQHLDVRHDIIKKRLDAHGNVIESRQDGIGAPKIEKPLQRHGGRLEHNETYCGSCYGAEASDDDCCNSCEDVREAYRKKGWALSNPDLVDQCKREGFLQKIKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFQQSNVHVHDLLAFQKDSFNISHKINRLAFGDYFPGVVNPLDGVHWTQEQPSGMYQYFIKVVPTVYTDVSGHTIQSNQFSVTEHFKGAEINRLQSLPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGTGAWEKSNRVNEKMIAMQYLEYDMLKHLPSLI >EOY16041 pep chromosome:Theobroma_cacao_20110822:8:5536758:5544885:1 gene:TCM_034937 transcript:EOY16041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter protein isoform 1 MDGIMNKLRNLDAYPKINEDFYSRTLSGGVITLVSSVVMFFLFFSELRLYLHAVTETKLVVDTSRGETLRINFDVTFPALACSILSLDAMDISGEQHLDVRHDIIKKRLDAHGNVIESRQDGIGAPKIEKPLQRHGGRLEHNETYCGSCYGAEASDDDCCNSCEDVREAYRKKGWALSNPDLVDQCKREGFLQKIKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFQQSNVHVHDLLAFQKDSFNISHKINRLAFGDYFPGVVNPLDGVHWTQEQPSGMYQYFIKVVPTVYTDVSGHTIQSNQFSVTEHFKGAEINRLQSLPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGVFTVSGILDSFIYHGQRAIKKKIEIGKYS >EOY16559 pep chromosome:Theobroma_cacao_20110822:8:8263160:8266368:-1 gene:TCM_035352 transcript:EOY16559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase family protein MSTITMMAAKAPSLPQLNLKASTFRSRKTKSSHPTVRMQTRIHRLIEDQGIVLMPGCYDALSAAIVQQSGFTAGFISGYALSASLLGKPDLGLLTPPEMAATARTVCAAAPVIPMIADADTGGGNALNVQRTIKDLIAAGAAGCFLEDQAWPKKCGHMHGKQVIPAEEHAAKIASARDAIGDSDFFLVARTDARATSAKTGLSDAISRANLYMEAGADACFVEAPRNDDELKEIGRQTKGYRVCNMIEGGVTPLHTPEELQAMGFHLIVHSLTTVYASARALVDVLKILKENGTTRDHLQKMATFEEFNQLVKLESWFELEARYSKLKSAVGVKS >EOY15982 pep chromosome:Theobroma_cacao_20110822:8:5305260:5308284:1 gene:TCM_034890 transcript:EOY15982 gene_biotype:protein_coding transcript_biotype:protein_coding description:APR-like 4 isoform 1 MGTKAWQTGILMLVLWGRLACAVSVPVRVPLCPKHSVSDTIFDFRDSYCPINSEFSESVDFVGVTEGDEVSLQKALNMVHKNSREYVAVLFYASWCPFSRSFRPSFSILSSLYPSIPHFAIKESTVRPSILSKYGVHGFPTLFLLNSTMRVRYHGNRSFESLGAFYSDVTGIKNKSLDKTSLDKIGRLSNHEKHNSTEQESCPFSWARSPENLLRQETYLALATTFVLLRLLYLLYPTLLVFAQFTWRRLIRNMKLGSLLEHSLAYLKRAIQLFNSLKEPCKRSNLQRAMNARAWASKSLATVSIGDANTSRAVPMSGCR >EOY15983 pep chromosome:Theobroma_cacao_20110822:8:5305378:5308505:1 gene:TCM_034890 transcript:EOY15983 gene_biotype:protein_coding transcript_biotype:protein_coding description:APR-like 4 isoform 1 MGTKAWQTGILMLVLWGRLACAVSVPVRVPLCPKHSVSDTIFDFRDSYCPINSEFSESVDFVGVTEGDEVSLQKALNMVHKNSREYVAVLFYASWCPFSRSFRPSFSILSSLYPSIPHFAIKESTVRPRFANEYCHLVFACYEYLYLVKLMSGGVVYFSILSKYGVHGFPTLFLLNSTMRVRYHGNRSFESLGAFYSDVTGIKNKSLDKTSLDKIGRLSNHEKHNSTEQESCPFSWARSPENLLRQETYLALATTFVLLRLLYLLYPTLLVFAQFTWRRLIRNMKLGSLLEHSLAYLKRAIQLFNSLKEPCKRSNLQRAMNARAWASKSLATVSIGDANTSRAVPMSGCR >EOY14617 pep chromosome:Theobroma_cacao_20110822:8:725672:728945:-1 gene:TCM_033933 transcript:EOY14617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein MTGMKTLSTMQASGCFLALLLLSLLPSFSYALSDSEVAFIARRQLLTLPENGDLPHDYEYNVELNLTFENSRLRRAYIALQALKHAIYSDPLKTTQNWKGPKVCNYKGVFCAPAVDDPKLMVVAGIDLNHADIAGYLPVELGLLTDLALFHINSNRFCGIIPKSFSKLILLYELDVSNNRFVGPFPDVVISLPSLKFLDLRYNNFEGELPSELFEKELDALFLNNNRFVSNIPETLGSSPASVIVVANNKLSGCIPDSIGKMCNTLNEIIFANNNLSGCLPSEIGMLRNVTVLDVASNSFSGVLAKTFKGLGKVEHFDVAHNMLTGFVSDDICRLPGLNNFTFSHNYFNGEAKSCEPSKGRGIVLDDTSNCLPDRPKQKSAKECHSVVSRPIDCSKSKCGGSSSRRPSPPAKKISPPSQKATSKPEPPKEQSPKEQPPEPKPTVNPLPKPSPTTVPNPPKIATPPQVPVEEPHGEAPVKRQWSPPQQSPPAVVQSPTPPKPLAPASTPEDPHRESPIIWHRSPPLPIQSPPPPVHSPPPPVYSSPPPPLISSPPPPVHSPPPTPLAPAPTPDDPHRESPIIIRHPSPPPSIYSPPPSVYPPPSPPPPISSPPPPVHSSPPKPLTPTPTLEDPHKESPIIWHPSPLPPVQSPTPLIHSPSPPSCSPPPPPVSSPSPPVHSPPPKPLAPAPTPDDPHRESPIIIRRQSPPPPIYSPPPPIYSPPPPVLSPLPPVHSPPPPPLDDPHRESPIIVRRQSPPPPIYSPPPPIYSPPPPPPVLSPPPPIYSLPPPPPPPVLSPPPPIHSPPPVHSPPDDPHRESPIIIRSQSPPPPIYSPPPPIYYPPPPVHSPPLPPPIHSPPPPVFSPPPPIQSPPPPSPTPVPVFEPLPNQEIVLPPNLGFQYSSPPPPIFPGY >EOY15656 pep chromosome:Theobroma_cacao_20110822:8:4146919:4150426:-1 gene:TCM_034654 transcript:EOY15656 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein, putative MDSDQGKLFVGGISRETTEAILKDHFSRYGNVMSSVVAKDRNTKTPRGFGFVLFSEPSSADKALQDTHVILGRTVEVKKAIPRSEQHQNQQQQQQLYHNPNQQRCSGLSRNCSDAADSNNQFRTKKIFVGGLSASLTEEEFKNYFERFGRITDVVVMHDSSTNRPRGFGFVTFESEESVENVMQKSFHELNNRLVEVKRAVPKEGNNGGNSNHNMKAGVVRGSLYNGFQPVEYASNSPGYGIFPGYAPLPGYDAVGGYVYGTGVYGSGYPTVVYGRFGYGVTPATPRSPLYAPVMLGARVCPLPYGGASIYPAYMNGGVGLMGTVSGVYNGIIGTAVDGKHTQVPGGFGDLPANAIPPQIEGVNLDSGSPGLKERSGGASSEQDQNGLDGQLKPLPVAASR >EOY16052 pep chromosome:Theobroma_cacao_20110822:8:5615044:5615999:1 gene:TCM_034947 transcript:EOY16052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALSRQARVGSGNPMKQLIGFHKVNLKAGERAEIESERSHGEHLSRANDDGFRVIKDCGKQSV >EOY16278 pep chromosome:Theobroma_cacao_20110822:8:6519190:6520562:1 gene:TCM_035108 transcript:EOY16278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase-reductase B, putative MLMVRYSELMHCKILWCLMLTFMHCLEEDAKRVLESTFKHFGRIDILVYAAAGNFLVSAEDLSPNGFPNGYFEPFQQGFQCAQVMDIDSVGTFTMCHEALKYLKGGGHGRNSWGGDISATLHYTAALYQIHVSAVPAVDSIPRNLALEWYQL >EOY16918 pep chromosome:Theobroma_cacao_20110822:8:15056028:15061640:1 gene:TCM_035992 transcript:EOY16918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Whirly 2, putative isoform 2 MMKLWRSRNLSSQTLLSAKRGDVRDALWSHAFESRAAISTSIHDFASKGNSTARVIAPYTVYKGKAAFSVTPLLPTFSKIDSGNLKLDRRGAMMLTFWPAVGERKYDWEKRQRFALSPTEVGSLISMGAHDVSEFFHDPSMLSSNAGQVSKKLYIKALDGGNGYMISLTVSNNILKSNERFNVPITTAEFAVLKTACSFALPHIIGWDWLTNHSRKGIEGSSSKVNPKLLDSEWDR >EOY16919 pep chromosome:Theobroma_cacao_20110822:8:15056553:15061529:1 gene:TCM_035992 transcript:EOY16919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Whirly 2, putative isoform 2 MMKLWRSRNLSSQTLLSAKRGDVRDALWSHAFESRAAISTSIHDFASKGNSTARVIAPYTVYKGKAAFSVTPLLPTFSKIDSGNLKLDRRGAMMLTFWPAVGERKYDWEKRQRFALSPTEVGSLISMGAHDVSEFFHDPSMLSSNAGQVSKKLYIKALDGGNGYMISLTVSNNILKSNERFNVPITTAEFAVLKTACS >EOY15036 pep chromosome:Theobroma_cacao_20110822:8:2024844:2028501:-1 gene:TCM_034233 transcript:EOY15036 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Brain/reproductive organ-expressed protein (InterPro:IPR010358); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryo /.../9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G42470) TAIR;Acc:AT5G42470] MSFDGFPPFISAQLHYLLNHFPDKIKVEQVLSGGKSYTGGLDRFTLLIPYCLDHIKWDVIYNAEFPLSPPDIIFGPDDEDFHPLHATGGEGEGDLKSRTRSVLSDWNNKDPTRLLALIQELRDQYMSYQRKRVGEVDDDRLKFEISTILSREGIEMHISSGAEKPEEVKFSVPLMDMNINKMVHACPWRHPQKIYLQVIYPVGRKYASTPSAPRLKLMSTIELRVLFSVDDVKLPPWLDGMCLAEYLPHLEESLEKQVLEAVSLIDIRRRFIEALAPLFGRPLEADPIFCRKATFLESSGSFVFLVHVLISTQFPKQQPALMLQSSQHISPQGVPKQSPLLTDYPWSPRWEASQMAERIYDFLVDESLNFKRFCNESQSQH >EOY15903 pep chromosome:Theobroma_cacao_20110822:8:4969842:4973113:-1 gene:TCM_034825 transcript:EOY15903 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MHLSENEGIEGNTFVVTGGLGFVGSALCLELVRRGARQVRSFDLQPRSPWSGNLTNHGVRCIQGDLVLKKDVHNALRGADCVFHLASYGMSGKEMLQFARVDEVNINGTCHVLEACLEFGIRRLVYVSTYNVVFGGKEIVNGNEALPYFPIDDHVDPYGRSKSIAEQLVLKYNGRPFKKNIGKCLYTCVIRPAAIYGPGEERHLPRIVSLAKLGLVPFKIGDANVKSDWVYVDNLVLALLLASMGLLDDIPGQEGPVAAGQPYFISDGSPINTFEFIQPLLRSLDYDLPKSWIAVSHALILAKIFWAVYTMLYPFLNRWWLPEPFILPAEVHKVGVTHYFSFLKAQRELGYVPMVSAREGMAATIAYWQNRKKKSLDGPTIYAWGFVVIGMILLFASGWFPAIGPVPLLRSIGLFLFRSMYGIRLAFCLATAAHVGEALYAWYLAKRVDPVNARGWFWQTFALGFPSLRLLLKRAKK >EOY14827 pep chromosome:Theobroma_cacao_20110822:8:1392294:1399120:1 gene:TCM_034092 transcript:EOY14827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-pentakisphosphate 2-kinase family protein, putative isoform 1 MEKVVLEQKDAVDWVYRGEGAANLVLAYTGSSPAFIGKVMRIQKSPRNDKNGVNGNGSLTTQEQLLWREDMELTASPNREIVEQLYVKHAMSPLLGPKHVDAGIRVRVTKEFLESVDNNVICQRPAWRVDSSQVDANRDTALIISDHSVFPNGTLKGGPCVTVEIKPKCGFLPISRFIAEENALKRIITRFRMHQALKLHKQEISEYSEYNPLDLFSGSRDRICKAIKALYATPQNNFRVFLNGSRVFGGSGGGTDNTTVLVVCRELSNDKLSHQYTSLHSIPLDESLKIVKDYLMAATAKDCSLMISFRPKEDGELQSGSSKNSVYLGSTNQVFEYKVYFIDLDLKPLKKMEYYYKLDKKIVSCYSQKVKTEHRADKAVRMDSYGSVSR >EOY14826 pep chromosome:Theobroma_cacao_20110822:8:1391776:1399718:1 gene:TCM_034092 transcript:EOY14826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-pentakisphosphate 2-kinase family protein, putative isoform 1 MEKVVLEQKDAVDWVYRGEGAANLVLAYTGSSPAFIGKVMRIQKSPRNDKNGVNGNGSLTTQEQLLWREDMELTASPNREIVEQLYVKHAMSPLLGPKHVDAGIRVRVTKEFLESVDNNVICQRPAWRVDSSQVDANRDTALIISDHSVFPNGTLKGGPCVTVEIKPKCGFLPISRFIAEENALKRIITRFRMHQALKLHKQEISEYSEYNPLDLFSGSRDRICKAIKALYATPQNNFRVFLNGSRVFGGSGGGTDNTTVLVVCRELSNDKLSHQYTSLHSIPLDESLKIVKDYLMAATAKDCSLMISFRPKEDGELQSGSSKNSVYLGSTNQVFEYKVYFIDLDLKPLKKMEYYYKLDKKIVSCYSQKILLKTILSVELLCPRCRQKVMKLISDVVGITSIVLDPSKNTVTVTGEADPVKIIKKVRKFRKHASIVSIAAAKDEKKDEKKVEKKDEKKDDRRDLVVYTPKTCHKCDVWYVVGDDLYTYCSIL >EOY17444 pep chromosome:Theobroma_cacao_20110822:8:20553876:20554515:-1 gene:TCM_036623 transcript:EOY17444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDASSTREVTAQATPGYYPWSFNEVIRAILRCLGLENEFHQDPSSPKKEDDGKVNGNTQAGCLESPVVSPEAGADPPSTTDQSDPPRTLADDPPADPPSTTEDDPGLVISLSAPKRPGTSSGSGPQIN >EOY16056 pep chromosome:Theobroma_cacao_20110822:8:5617566:5619075:-1 gene:TCM_034948 transcript:EOY16056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein, putative isoform 2 MVAVAWRSLLPVIIISAFIVYEERVSFPSCKHLPDTTNHPGEHIGNEDGSPEDLTVMMVANLLLLGSDAGFVNLYFRDYYMSKFFKKSFQSLKPDMLLVLGDVSAKGSELSRSKWLSVFHQFDRILGPFLELPLHVILGDRDVGECCDLDAKSVNWVARNFPGLDSSGCGAFEISNISFVSLNAVPLLCGNNKLRFGVEKVVERKSVDLQMKTKSTAETKDESGMSRELSYGFGWRENAMTSGSGPVLVLHFPLYRPGNTYSREGSAFKSTIHPSGHSSNFVESR >EOY16058 pep chromosome:Theobroma_cacao_20110822:8:5616384:5619234:-1 gene:TCM_034948 transcript:EOY16058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein, putative isoform 2 MVAVAWRSLLPVIIISAFIVYEERVSFPSCKHLPDTTNHPGEHIGNEDGSPEDLTVMMVANLLLLGSDAGFVNLYFRDYYMSKFFKKSFQSLKPDMLLVLGDVSAKGSELSRSKWLSVFHQFDRILGPFLELPLHVILGDRDVGECCDLDAKSVNWVARNFPGLDSSGCGAFEISNISFVSLNAVPLLCGNNKLRFGVEKVVERKSVDLQMKTKSTAETKDESGMSRELSYGFGWRENAMTSGSGPVLVLHFPLYRPGNTYSREGSAFKSTIHPSGHSSNFVESSNLSGSGPYDLSQTVPPNATEYIFQALKPRHEFSDHTHPDGTREVT >EOY16053 pep chromosome:Theobroma_cacao_20110822:8:5616188:5619075:-1 gene:TCM_034948 transcript:EOY16053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein, putative isoform 2 MVAVAWRSLLPVIIISAFIVYEERVSFPSCKHLPDTTNHPGEHIGNEDGSPEDLTVMMVANLLLLGSDAGFVNLYFRDYYMSKFFKKSFQSLKPDMLLVLGDVSAKGSELSRSKWLSVFHQFDRILGPFLELPLHVILGDRDVGECCDLDAKSVNWVARNFPGLDSSGCGAFEISNISFVSLNAVPLLCGNNKLRFGVEKVVERKSVDLQMKTKSTAETKDESGMSRELSYGFGWRENAMTSGSGPVLVLHFPLYRPGNTYSREGSAFKSTIHPSGHSSNFVESSNLSGSGPYDLSQTVPPNATEYIFQALKPRIIFSAHTHEFSDHTHPDGTREVTVPAMTWNARVDPGFIVATFRGNISAVSVTYCSVARESDILIVYTCTLVLFIILVIVSNTPQLKDF >EOY16057 pep chromosome:Theobroma_cacao_20110822:8:5615992:5619912:-1 gene:TCM_034948 transcript:EOY16057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein, putative isoform 2 MVAVAWRSLLPVIIISAFIVYEERVSFPSCKHLPDTTNHPGEHIGNEDGSPEDLTVMMKSFQSLKPDMLLVLGDVSAKGSELSRSKWLSVFHQFDRILGPFLELPLHVILGDRDVGECCDLDAKSVNWVARNFPGLDSSGCGAFEISNISFVSLNAVPLLCGNNKLRFGVEKVVERKSVDLQMKTKSTAETKDESGMSRELSYGFGWRENAMTSGSGPVLVLHFPLYRPGNTYSREGSAFKSTIHPSGHSSNFVESSNLSGSGPYDLSQTVPPNATEYIFQALKPRIIFSAHTHEFSDHTHPDGTREVTVPAMTWNARVDPGFIVATFRGNISAVSVTYCSVARESDILIVYTCTLVLFIILVIVSNTPQLKDF >EOY16054 pep chromosome:Theobroma_cacao_20110822:8:5616388:5618907:-1 gene:TCM_034948 transcript:EOY16054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein, putative isoform 2 MMVANLLLLGSDAGFVNLYFRDYYMSKFFKKSFQSLKPDMLLVLGDVSAKGSELSRSKWLSVFHQFDRILGPFLELPLHVILGDRDVGECCDLDAKSVNWVARNFPGLDSSGCGAFEISNISFVSLNAVPLLCGNNKLRFGVEKVVERKSVDLQMKTKSTAETKDESGMSRELSYGFGWRENAMTSGSGPVLVLHFPLYRPGNTYSREGSAFKSTIHPSGHSSNFVESRCISVELEDKGMVLNLLSLYLVPMAKLLWTETTSLYYLVDHVGPRPSLLMGSWEINATYLGVGLMIYHKLYHQMLLNTFFKLLNLGLFLVLTHMNLVITLTQMGPVR >EOY16055 pep chromosome:Theobroma_cacao_20110822:8:5616104:5619064:-1 gene:TCM_034948 transcript:EOY16055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein, putative isoform 2 AWRSLLPVIIISAFIVYEERVSFPSCKHLPDTTNHPGEHIGNEDGSPEDLTVMMVANLLLLGSDAGFVNLYFRDYYMSKFFKKSFQSLKPDMLLVLGDVSAKGSELSRSKWLSVFHQFDRILGPFLELPLHVILGDRDVGECCDLDAKSVNWVARNFPGLDSSGCGAFEISNISFVSLNAVPLLCGNNKLRFGVEKVVERKSVDLQMKTKSTAETKDESGMSRELSYGFGWRENAMTSGSGPVLVLHFPLYRPGNTYSREGSAFKSTIHPSGHSSNFVESSSHGKAIMD >EOY16852 pep chromosome:Theobroma_cacao_20110822:8:12087996:12093116:-1 gene:TCM_035762 transcript:EOY16852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVKNMDGVSVVKEFLDVVFQAYLDKFVAVFIEDILIHSKNQEEHEQHLRIMLRTLRKDQLYAKFSKCEFWLKSVSFLGHVMVVFFGRKRNFHLMLVFRCNEKLSGFERWDGLKRDVVEYVAKFLVCQQVKAELKKPIGKLQPLPVLE >EOY15585 pep chromosome:Theobroma_cacao_20110822:8:3841295:3841841:-1 gene:TCM_034597 transcript:EOY15585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFREEVVISCILYKKQSKNLILEVAQCPRLQNERKKKKKKKRNGLCCCCCCSTWLGRVMVGLGFCCPSQSQTEPRVSLPQSDNFISVVRKGIHIKWLQLEHVIVSQCSLSSICRFSIQPFYCHLH >EOY15051 pep chromosome:Theobroma_cacao_20110822:8:3263412:3268401:-1 gene:TCM_034244 transcript:EOY15051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein isoform 1 MISQFFVLSQRGDNIVFRDYRGEVAKGSAEIFFRKVKFWKEDGQEEAPPVFNVDGVNYFHVKVVGLLFVATTRVNVSPSLVLELLQRIARVIKDYLGVLSEDSLRKNFVLVYELLDEVIDFGYVQTTSTEVLKSYVFNEPIVVDAARLQPLGPAAIFMQGSKRMPGTAVTKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRGGGSVYDYRSSSGAGPVILDDCNFHESVRLDSFDMDRTLALVPPDGEFPVMNYRMTQEFKPPFHINCLIEEAGHLKAEVILKVRAEFPSNITANTVVVQMPLPKYTTRHFPFPIFYTW >EOY15050 pep chromosome:Theobroma_cacao_20110822:8:2077198:3268446:-1 gene:TCM_034244 transcript:EOY15050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein isoform 1 MISQFFVLSQRGDNIVFRDYRGEVAKGSAEIFFRKVKFWKEDGQEEAPPVFNVDGVNYFHVKVVGLLFVATTRVNVSPSLVLELLQRIARVIKDYLGVLSEDSLRKNFVLVYELLDEVIDFGYVQTTSTEVLKSYVFNEPIVVDAARLQPLGPAAIFMQGSKRMPGTAVTKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRGGGSVYDYRSSSGAGPVILDDCNFHESVRLDSFDMDRTLALVPPDGEFPVMNYRMTQEFKPPFHINCLIEEAGHLKAEVILKVRAEFPSNITANTVVVQMPLPKYTTRASFELEPGAVGQRTDFKEANKKLEWGLKKIVGGSEHTLRAKLTFSQESHANITKEAGPVSMTFTIPMYNASRLQVKYLQIAKKSSSYNPYRWVRYVTQANSYVARI >EOY17325 pep chromosome:Theobroma_cacao_20110822:8:19405426:19406608:-1 gene:TCM_036484 transcript:EOY17325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 4 MQPTPGGSGSSSGGGGGGGELSRGGLARFRSAPATWLEALLEEEEEDPLKPNQCLTQLLTANSTTPATRDSGPFSSSADPAGLFEPTGFQRQNSSPADFLGNNSGAASDAYFSNFGIPANYDYLSPNIDASPSSKRARELDTQYPPTKFQSQLKGEQRGQISSGVSNLIDVDMEKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKVGLLVGVNFNQEIQSSIGR >EOY17324 pep chromosome:Theobroma_cacao_20110822:8:19404817:19406716:-1 gene:TCM_036484 transcript:EOY17324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 4 MQPTPGGSGSSSGGGGGGGELSRGGLARFRSAPATWLEALLEEEEEDPLKPNQCLTQLLTANSTTPATRDSGPFSSSADPAGLFEPTGFQRQNSSPADFLGNNSGAASDAYFSNFGIPANYDYLSPNIDASPSSKRARELDTQYPPTKFQSQLKGEQRGQISSGVSNLIDVDMEKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKAQ >EOY17321 pep chromosome:Theobroma_cacao_20110822:8:19401771:19406715:-1 gene:TCM_036484 transcript:EOY17321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 4 MQPTPGGSGSSSGGGGGGGELSRGGLARFRSAPATWLEALLEEEEEDPLKPNQCLTQLLTANSTTPATRDSGPFSSSADPAGLFEPTGFQRQNSSPADFLGNNSGAASDAYFSNFGIPANYDYLSPNIDASPSSKRARELDTQYPPTKFQSQLKGEQRGQISSGVSNLIDVDMEKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLDEAVEYVKYLQKQIEITKMESFSLAPMENFSCKSPDFKGHMRVGTTLIWLLSLLNSVEYGTEQGPVVVVIV >EOY17322 pep chromosome:Theobroma_cacao_20110822:8:19402895:19406716:-1 gene:TCM_036484 transcript:EOY17322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 4 MQPTPGGSGSSSGGGGGGGELSRGGLARFRSAPATWLEALLEEEEEDPLKPNQCLTQLLTANSTTPATRDSGPFSSSADPAGLFEPTGFQRQNSSPADFLGNNSGAASDAYFSNFGIPANYDYLSPNIDASPSSKRARELDTQYPPTKFQSQLKGEQRGQISSGVSNLIDVDMEKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLDEAVEYVKYLQKQIEELTEHQRKCKCKTKE >EOY17323 pep chromosome:Theobroma_cacao_20110822:8:19401771:19406716:-1 gene:TCM_036484 transcript:EOY17323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 4 MQPTPGGSGSSSGGGGGGGELSRGGLARFRSAPATWLEALLEEEEEDPLKPNQCLTQLLTANSTTPATRDSGPFSSSADPAGLFEPTGFQRQNSSPADFLGNNSGAASDAYFSNFGIPANYDYLSPNIDASPSSKRARELDTQYPPTKFQSQLKGEQRGQISSGVSNLIDVDMEKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKITKMESFSLAPMENFSCKSPDFKGHMRVGTTLIWLLSLLNSVEYGTEQGPVVVVIV >EOY14356 pep chromosome:Theobroma_cacao_20110822:8:386:7759:1 gene:TCM_033752 transcript:EOY14356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKLQDVRSAFKGIGLTGAYEVRWLDYKHVLIHLSNEQDCNRVWTKQVWFIANQKMRVFKWTPDFEPEKESAVVPVWIAFPNLKAHLFEKSALLLIAKTVGKPLFVDEATANGSRPSVARVCIEYDCRRSPIDQVWIVVQNRETGTVTSGYPQRVEFSQMPAYCDHCCHVGHKEIDCIVLGNKDKSLGRSKSQSLRALTVEKKTGYGGGSEKNLEKRKNPEKEKIVRPEEPASLRWKQVSKAGTSGTKDLQGKEIVPVLNRFQAISKDRDETQNRDARQTEGTTQGIEAVPDARMQAGKLQADMRKALVMEEQYNAKVNIEQQNGIQMAELSTTKQSSSSGGKVTGRPEVGKVPFRDKIEEQRAGEEGQNGSSRQSETENEAVFSVNLQTLEAATQATIHENSKQKQNEKTEGDGEIEESTGAGIGGPDKMTADRLNKKEKKKELQKVFFRPQDKDKNVLNPALGQEQLAGVPTAGQQKPRPPAALHGRQVQTSHVSPDAQTLFHENEMQGQPDNAADVEGSPPKLKEGEEQEPFDVHGLHGQKRGFTSVMTRTDPTRGETTVKAAVGRIATGPFPHVTEQRDPTNSEARKKERERIESAGTEKTSGQQKMQEATGENSNKYFSNSLLHGSICTGENQSLKNYSQPPRLSEAPRKILLKQRNATNTNGVENLAAQHETFDLGVATPRVGIDERPSDSYGGANPPNQATNISTNTLSQKGNRSGRQIKGIAKTTLHGNESLTLTTPTGTREVEMTTPIEGEGTASGGPTRSPPRHELEERILGLKAQETTLAIEHDGTLLQKAEYAGTSQNLKNDILEPSTQTTDRRQEKEHRIAQSGSRLQNLLSDTLEGSGEHVPIEEEGTSQMQQCMLNKELSDIPTISCNSHAVIEVHPRERGISGRVIQRRLKKLQLMHRIKILAILEPMVDISKAEFFRRKLGFEKVIVNSSQKIWLFHSLELHSDIILDHPQCLHVRLTSPWLEKPFFATFVYAKCTRSERTLLWDCLRRLAADNEEPWLVGGDFNIILKREERLYGSAPHEGSMEDFASVLLDCGLLDGGFEGNPFTWTNNRMFQRLDRVVYNHQWINMFPITRIQHLNRDGSDHCPLLISCFISSEKSPSSFRFQHAWVLHHDFKTSVEGNWNLPINGSGLQAFWIKQHRLKQHLKWWNKAVFGDIFSKLKEAEKRVEECEILHQQEQTVGSRINLNKSYAQLNKQLNVEEIFWKQKSGVKWVVEGERNTKFFHMRMQKKRIRSHIFKVQEPDGRWIEDQEQLKQSAIEYFSSLLKAEPCDISRFQNSLIPSIISNSENELLCAEPNLQEVKDAVFDIDPESAAGPDGFSSYFYQQCWNTIAHDLLDAVRDFFHGANIPRGVTSTTLVLLPKKSSASKWSEFRPISLCTVMNKIITKLLSNRLAKILPSIITENQSGFVGGRLISDNILLAQELIRKLDTKSRGGNLALKLDMMKAYDRLDWSFLIKVLQHFGFNEQWIGMIQKCISNCWFSLLLNGRIEGYFKSERGLRQGDSISPQLFILAAEYLSRGLNALYDQYPSLHYSSGVPLSVSHLAFADDVLIFTNGSKSALQRILVFLQEYEEISGQRINAQKSCFVTHTNIPNSRRQIIAQATGFNHQLLPITYLGAPLYKGHKKVILFNDLVAKIEERITGWENKILSPGGRITLLRSVLASLPIYLLQVLKPPVCVLERVNRLFNSFLWGGSAASKRIHWASWAKIALPVTEGGLDIRSLAEVFEAFSMKLWWRFRTTDSLWTRFMRMKYCRGQLPMQTQPKLHDSQTWKRMLTSSTITEQHMRWRVGQGNVFFWHDCWMGEAPLISSNQEFTSSMVQVCDFFTNNSWNIEKLKTVLQQEVVDEIAKIPIDTMNKDEAYWTPTPNGDFSTKSAWQLIRKRKVVNPVFNFIWHKTVPLTTSFFLWRLLHDWIPVELKMKSKGLQLASRCRCCKSEESIMHVMWDNPVAMQVWNYFAKLFQILIINPCTINQIIGAWFYSGDYCKPGHIRTLVPLFILWFLWVERNDAKHRNLGMYPNRVVWRVLKLIQQLSLGQQLLKWQWKGDKQIAQEWGIIFQAESLAPPKVFSWHKPSLGEFKLNVDGSAKQSHNAAGGGILRDHAGEMVFGFSENLGTQNSLQAELLALYRGLILCRDYNIRRLWIEMDAISVIRLLQGNHRGPHAIRYLMVSLRQLLSHFSFRFSHIFREGNQAADFLANRGHEHQNLQVFTVAQGKLRGMLCLDQTSFPYVRFK >EOY14632 pep chromosome:Theobroma_cacao_20110822:8:782625:784847:1 gene:TCM_033946 transcript:EOY14632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MASLLPHLSTFVAGLAAILVLFFYLFRSKVTLSKTRAPQAAGAWPVIGHLRLLGGPQLPHVTLGALAEKYGPVYSIRIGIHPGLVVSSWEIAKEIYTNYDVAVTNRPRMIAAEHLGFNYAMAGVAPYGSYWREMRKIINFALLSNRRLEILKQVRVSEAQVSVKELYKTWSERNNGSGHVLVEMKQWFGDLTLNVIVRMVAGKRYFGSGAKGDDKEARRCQRAMREWFHLLGVFALKDAVPFLGFLDLGGHEKAMKETAKELDSIASEWLKEHKQKRASGEAEDQDFMDVLLSLLEGTNLASEFDVDTINKANCLSMITGGSDTPKVTLTWILSLLLNNLHWLRKVQEELDIHVGKERLVNESDLSKLECLQAVVKETLRLHPPVLLFPRFCTDEIIVSGYHVPRDSWIFLNLWKIQTDPRVWSDPLEFKPERFLTTNKDFDVGGDQYFELIPFGFGRRVCPGMSFGLQMVHLTLASLLQAFDISTPSNAMVDMTEEAGLSNMKATQLEVLVRPRLPSKIYE >EOY15286 pep chromosome:Theobroma_cacao_20110822:8:2795263:2797875:1 gene:TCM_034400 transcript:EOY15286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF23) [Source:Projected from Arabidopsis thaliana (AT4G37420) TAIR;Acc:AT4G37420] MSFPIKKSPSSPSLCLLSFPLSFSSSLSETMRRKAPTTLLLVFFCVLLSAFYSLHLSRDAISHSLTELRFYPKNLTASLKDPGSYNHVIREEIKELTHRTRRVSSIQGPVDSVSVLLPNWEVLVLVSAGNPLTSPSEESLYCLFPNGEASPANFSGVLPFSNATAFKCILPKGNRRRQPFHRPVLTSSLEKESAVITPAPVMPRWGFLVYESFSTETDVILFVKGVNNRQGINRPPEEFSCVFGDEENSAVRTPVTSSMQEVFRCQHPNLTAVNIPIATAERIKVSLEINRQKVVIPSVAYYSPSSRRTPANPKPKSLLCATTMVYNVAKFLREWVMYHSKIGVDKFFIYDNESDDDLKRVIKELNEEDYNIERIFWVWPKTQEAGFSHSAVYGKDSCTWMMYVDVDEFIFSPSWLKNSSQPSKAMLPSLLPSSTNPPIGQVSIKCNDFGPSEQKEHPAEGVIQGYNCRRRVEQRHKSIVLLDAIDYSLLNVIHHFGLNNSYYSWQDLPLDVAALNHYKYQAWPEFMTKFRRRVSAFVADWRTGVNPNSKDRTPGLGFQPIKPENWENMFCDVKDERLKLLTQRWFTSQTPEGLKMAWQR >EOY16803 pep chromosome:Theobroma_cacao_20110822:8:11381003:11383185:-1 gene:TCM_035685 transcript:EOY16803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKQMSKVWWYFMASKMLLCLHVSNVTRNRAVFINAIVTKKSINIGQAINHTMMHTAITKRNGLCFPSLIPALCGRADIQWNPSEELLHPNVHIDVGLIYQYSQPSTNGSSSSAPRPQTLQPKAKALISPQRIE >EOY15285 pep chromosome:Theobroma_cacao_20110822:8:2786326:2791179:-1 gene:TCM_034399 transcript:EOY15285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MSCFPCLNPRSKDIRIDIDNGSRTTSRHSADSSVSGGTRGKASLDEHKKGGDQRKDSKGSGARSFTFRELATATSNFRETNLLGEGGFGRVFKGRLETGEIVAVKQLNHDGLQGYQEFIVEVLMLSLLHHVNLVTLIGYCTAGDQRLLVYEYMPMGSLEDHLFDLEPGQEPLSWNKRIKIAVGAARGLEYLHCKANPPVIYRDLKSANILLDNDFNPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELITGRKAIDTNKKHAEQNLVSWSRPLLKDQKKFGLLVDPLIRGCYPRRCLNYAIAITAMCLNEEANFRPLIGDIAVALEYLASQSQNWSPESRNVEVRSASQTSPMQTGKGTHHQSNSRRSLAYV >EOY16081 pep chromosome:Theobroma_cacao_20110822:8:5699837:5700458:1 gene:TCM_034962 transcript:EOY16081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGLVIIIIIFSSSCDVVFPSSLFLFSSILVSSSSLFLLSSSSPFVVDSSSPSPSFPFLLSSFSPSPLLSFSFLSLPLLLL >EOY16915 pep chromosome:Theobroma_cacao_20110822:8:14917957:14920133:-1 gene:TCM_035982 transcript:EOY16915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFKRSRGETGKGVVTEKEDILDNVATYLVKLMDQIENMDKDMRGLMDKGQCMTGYFGIIGLSNVKLMITYGGHWVDDTYKGDDYIGEHDDYSKDYKVEHNDILVCNHADGSTEHATTIVLEEVWCNDHATTVELEDVEGTDPIYDNPISLENEIH >EOY17064 pep chromosome:Theobroma_cacao_20110822:8:17236653:17238755:-1 gene:TCM_036210 transcript:EOY17064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLALKEYFEIKVKNSCDTRFEVACKDKVCKFIMHATKLPNRDYWQVRTFHKYTRVLLMILKEIPSNVKQIVILPSPWRGQVGKPNRKKIPLIGEGNRRRRCSQSKSYSHNRQNYPMPFVVPSTNSAPSLSRPETPHRARDNRHEASTDILHPECLSKPHKA >EOY16892 pep chromosome:Theobroma_cacao_20110822:8:12793762:12795559:1 gene:TCM_035835 transcript:EOY16892 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 7, putative MLGLEKDETSYIIGGVDASFILSIASQMTIGSSIYSTSIHHFELNTESLSVPASSTYIVVETLKGEFGVFLVSNGSNHPYRHQIRAPDSAHLQGLNSMSKHHMLVDVVTIIGTGSDPSSGALANLTHL >EOY17386 pep chromosome:Theobroma_cacao_20110822:8:19857658:19861769:-1 gene:TCM_036537 transcript:EOY17386 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase 2 MEGRLQTQQEQDDTTVETPSLPLLTPYKLGNFNLSHRVVLAPLTRQRSYNNVPQPHAILYYSQRTSKGGLLIAEATGVSDTAQGYLDTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRVSNSGFQPNGQAPISSTDKPLKPQLRANGIDIAQFTPPRRLRTDEISLVVNDFRLAARNAMEAGFDGVEIHGAHGYLIDQFMKDQVNDRTDQYGGSLENRCRFALEVVEAIANEIGADKVGIRLSPFANYMESGDSNPKELGLYMAESLNKYGILYCHMVEPRMKTVAEKHECPDSLVPMRKAFNGSFIVAGGYDREDGNKAVAENRADLVVYGRWFLSNPDLPRRFELDAPLNKYNRDTFYLSDPVVGYTDYPFLEAST >EOY14658 pep chromosome:Theobroma_cacao_20110822:8:901531:902360:-1 gene:TCM_033968 transcript:EOY14658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein MLPRIIFCLAVILAILAVILLTLLSPVAHKAKNPSRPWLALSLYIQRPHISSSSIQPVAQSDTGAFIFHRALTEGPENTSRVVGKAQGFIIPVEHFANSEFNIIYLTFETPEFSGSLSVQAKHVEHKDREELTVVGGTGSFAFARGLALFAQTDSSQSSVADATYHVKLQLRFPDRSQRIPG >EOY17115 pep chromosome:Theobroma_cacao_20110822:8:17907339:17920922:1 gene:TCM_036283 transcript:EOY17115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shoot gravitropism 2 (SGR2) isoform 2 MADSAVNPRIVGASGVEETSPDLLKNTPYNIARLEDVIEHCKGRQKYLAQTRSPSDGGDVRWYFCKVPLAENELAASIPRTEIVGKSDYFRFGMRDSLAIEASFLQREEELLSSWWKEYAECSEGPRGQSSSGKKLDMGEDSSSSKASQSAQLCAVEEERVGVPVKGGLYEVDLVERHCFPVYWNGENRRVLRGHWFARKGGIDWLPLREDVAEQLEMAYRSQVWHRRTFQASGLFAARVDLQGSTPGLHALFTGEDDTWEAWLNVDASGFSSVISFSGNAVKLRRGFSASHFPKPTQDELRQRKEEEMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAERHLTSHQRGTQRVLFIPCQWRRGLKLSGEAAVENITLDGVRGLRVMLSATVHDVLYYMSPIYCQSIIDSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSPFPMEWIYEKHSKDVECSPDMNNQSSKCSSLAKLEEKSSTMMSKDVVDCPGEDLGSQPIPLVIEDGHVEDNFLELAEINAVSEDSMQESLKEDVHQLLNDSGETPQLDKGGLGEATDVHFVPSAGLLEKATEEESEEAPDKDKAIKMLREEVDSLKEKIAQLESHNSEDTDENKEMLLQKPTTLQKFDKKLPLKLDDAPKSYTPYIRYTKLEFKWDHLWESSLPYAMSVLDLAKGKIIGTRKTLMKRCHLVIKCSTFSTHLIL >EOY17113 pep chromosome:Theobroma_cacao_20110822:8:17907339:17929569:1 gene:TCM_036283 transcript:EOY17113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shoot gravitropism 2 (SGR2) isoform 2 MADSAVNPRIVGASGVEETSPDLLKNTPYNIARLEDVIEHCKGRQKYLAQTRSPSDGGDVRWYFCKVPLAENELAASIPRTEIVGKSDYFRFGMRDSLAIEASFLQREEELLSSWWKEYAECSEGPRGQSSSGKKLDMGEDSSSSKASQSAQLCAVEEERVGVPVKGGLYEVDLVERHCFPVYWNGENRRVLRGHWFARKGGIDWLPLREDVAEQLEMAYRSQVWHRRTFQASGLFAARVDLQGSTPGLHALFTGEDDTWEAWLNVDASGFSSVISFSGNAVKLRRGFSASHFPKPTQDELRQRKEEEMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAERHLTSHQRGTQRVLFIPCQWRRGLKLSGEAAVENITLDGVRGLRVMLSATVHDVLYYMSPIYCQSIIDSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSPFPMEWIYEKHSKDVECSPDMNNQSSKCSSLAKLEEKSSTMMSKDVVDCPGEDLGSQPIPLVIEDGHVEDNFLELAEINAVSEDSMQESLKEDVHQLLNDSGETPQLDKGGLGEATDVHFVPSAGLLEKATEEESEEAPDKDKAIKMLREEVDSLKEKIAQLESHNSEDTDENKEMLLQKPTTLQKFDKKLPLKLDDAPKSYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNVRIGLGKGQDYWDEENINEEMPSCHQMFNIFHPFDPVAYRVEPLVCKEYITKRPVIIPYHKGGRKLHIGFQEFTEDLAARSQAVMDHLSSLRAKVLTVCQSRNTDSLEGPEKVEEKEERSYGTLMIERLTGSEEGRIDYVLQDKTFEHPYLQAIGAHTNYWRDYDTALFILKHLYQDIPEDLNSPVESNGGSSKDQNVSTGLSDQRETTDEELPLTFSDRIMVRNFSSKAKKFIKKP >EOY17114 pep chromosome:Theobroma_cacao_20110822:8:17907339:17920922:1 gene:TCM_036283 transcript:EOY17114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shoot gravitropism 2 (SGR2) isoform 2 MADSAVNPRIVGASGVEETSPDLLKNTPYNIARLEDVIEHCKGRQKYLAQTRSPSDGGDVRWYFCKVPLAENELAASIPRTEIVGKSDYFRFGMRDSLAIEASFLQREEELLSSWWKEYAECSEGPRGQSSSGKKLDMGEDSSSSKASQSAQLCAVEEERVGVPVKGGLYEVDLVERHCFPVYWNGENRRVLRGHWFARKGGIDWLPLREDVAEQLEMAYRSQVWHRRTFQASGLFAARVDLQGSTPGLHALFTGEDDTWEAWLNVDASGFSSVISFSGNAVKLRRGFSASHFPKPTQDELRQRKEEEMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAERHLTSHQRGTQRVLFIPCQWRRGLKLSGEAAVENITLDGVRGLRVMLSATVHDVLYYMSPIYCQSIIDSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSPFPMEWIYEKHSKDVECSPDMNNQSSKCSSLAKLEEKSSTMMSKDVVDCPGEDLGSQPIPLVIEDGHVEDNFLELAEINAVSEDSMQESLKEDVHQLLNDSGETPQLDKGGLGEATDVHFVPSAGLLEKATEEESEEAPDKDKAIKMLREEVDSLKEKIAQLESHNSEDTDENKEMLLQKPTTLQKFDKKLPLKLDDAPKSYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNVRIGLGKGQDYWDEENINEEMPSCHQMFNIFHPFDPVAYRVEPLVCKEYITKRPVIIPYHKGGRKLHIGFQEFTEDLAARSQAVMDHLSSLRVGLYYTFQS >EOY14991 pep chromosome:Theobroma_cacao_20110822:8:1899847:1901289:-1 gene:TCM_034202 transcript:EOY14991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRHFLVSTRTNGTVISLDRADDRCMWLLEKHVNALSVSQTIASKSLMMCKNNTNIPQYTNQRMPRQLLDVINLLNHPI >EOY16375 pep chromosome:Theobroma_cacao_20110822:8:6927684:6929492:-1 gene:TCM_035176 transcript:EOY16375 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF688 (InterPro:IPR007789); BEST Arabidopsis thaliana protein match is: hydroxyproline-rich glycoprotein family protein (TAIR:AT1G21695.1); Has 328 Blast hits to 314 proteins in 61 species: Ar /.../ 0; Bacteria - 12; Metazoa - 130; Fungi - 28; Plants - 92; Viruses - 10; Other Eukaryotes - 56 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G77400) TAIR;Acc:AT1G77400] MEHNISSAALMMMSGWSPEFQISIAHSHLAGLAMAVISYFPLERTMAVDDSFKKPGAVPFKWEIRPGVPKVQQQQKQQEQSPQKQKQKHQKQKQSPPPLPPPASPFINQRSLPTPPGTPRQKLKPPPAGSYFVLTPEPRSHSFRSAPRARSERWRLEQPARVRPECVSPGCFPSPLLMHKGSKRKTQKPEPNYISDLETPSRWSLSSRRSRSPFYGSPASSFSSFRSSPRPVADAQWAGFGLF >EOY15527 pep chromosome:Theobroma_cacao_20110822:8:3650392:3656762:1 gene:TCM_034554 transcript:EOY15527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein MDMIVHTNHAHEGFCSFQHFHREGLRNGISFLEVRVKAKAVANFSSVKAYAGCKPVGFQKQRRCRVLAVSKVESAGVNGRFQNLDSSSQGHLGNGHVSSSPLKSLHNFEESGSNNQLRKFVRNGELEEGFKLLEGMVYHGEIPDIIACTSLIRGFCKKGKTRKATRVMEIIEDSGAVPDVITYNVLISGYCKAGEIDNALQVLDRMSVAPDVVTYNTILRSLCDSGKLKQAMEVMDRQLQRECYPDVITYTILIEATCKESGVGQAMKLLDEMRSRGCKPDVVTYNVLVNGICKEGRLDEAIKFLNNMPSYGCQPNVITHNIILRSMCSTGRWMDAERLLADMLRKGCSPSVVTFNILINFLCRKGLLGRAIDILEKMPKHGCTPNSLSYNPLLHGFCKEKKMERAIEYLEIMVSRGCYPDIVTYNTLLTALCKDGKVDVAVEILNQLSTKGCSPVLITYNTVIDGLSKVGKTDQAIKLLEEMRAKGLKPDIITYSSLVGGLSREGKVDDAIKFFHDFERMGIRPNAITYNSIMLGLCKARQTDRAIDFLAYMVMRGCKPTESTYTILIEGLAYEGFANEALELLNELCSRGVVKKSSAEQVAVKM >EOY14358 pep chromosome:Theobroma_cacao_20110822:8:52216:54584:1 gene:TCM_033755 transcript:EOY14358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of Uncharacterized protein function (DUF303), putative MVVLSSNMFKLVSLFSMLLLARSILGDNPAQDVFILAGQSNMAGWGGVASGKWDGSVPPQCQPNPSILRLTANLTWEEARDPLHDDIDVGRICGVGPGMAFANELRTRGSGIGVLGLVPCAVGGTAISKWARGSHLYNQLDLRSDLNLPSLPFIQVALASGEAFVEIVRKAQMEINLPIVKCVDAKGLPLKADNLHLTTMGEVKVGLKLAHAFLDSFVHLR >EOY14898 pep chromosome:Theobroma_cacao_20110822:8:1601448:1608716:-1 gene:TCM_034141 transcript:EOY14898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar proton ATPase A3 isoform 1 MGEGRQRPTMDLLRSEPMQLVQLIIPIESAHRSISYLGDLGLFQFKDLNSEKSPFQRTYATQIKRSGEMARKLRFFKEQMTKAGLSPSTRSARNDDVDLDNLEVKLGELEAELIEMNANHEKLQQSYNELKEYKLVMQKAGEFFQSAQSSAAAKQREAEAEQRGEGSIDSPLLLEQEMVTDPSKQVKLGFVSGLVSRERSLAFERILFRATRGNVFLKQSVVEDPVTDPASGEKVEKNVFIVFYSGERARNKIMKICEVFGANRYPFTEDLGKQFQIITEVSGRLEELKTTIDVGLVHQSNLLQTIAYHFENWSLLVKKEKSIYHTLNMLSIDVSRKCLVAEGWCPVFATNQIQNVLQKATIDSSSQVGTIFHVLQTKESPPTYFHTNKFTSAFQEIVDAYGIAKYQEANPAVFTIITFPFLFAVMFGDWGHGICLCLATSYFIIREKKFSSQKLGDITEMIFGGRYVIMMMALFSIYTGLIYNEFFSVPFELFGPSAYGCHDPSCSDASTAGLVKVRATYPFGVDPKWHGTRSELPFLNSLKMKMSILIGVAQMNLGIILSYFNAKFFKNEINIWYQFVPQLIFLNSLFGYLSLLIVVKWCTGSQADLYHVMIYMFLSPTDDLGENQLFFGQKFLQIVLLLAALVSVPWMLFPKPFLLKKQHEERHRGQSYALLDSSDDDPLEMELHHGSGSHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAWGFNNIIILIIGIFVFICATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFQPFSFALVSEEDD >EOY14900 pep chromosome:Theobroma_cacao_20110822:8:1601448:1608716:-1 gene:TCM_034141 transcript:EOY14900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar proton ATPase A3 isoform 1 MGEGRQRPTMDLLRSEPMQLVQLIIPIESAHRSISYLGDLGLFQFKDLNSEKSPFQRTYATQIKRSGEMARKLRFFKEQMTKAGLSPSTRSARNDDVDLDNLEVKLGELEAELIEMNANHEKLQQSYNELKEYKLVMQKAGEFFQSAQSSAAAKQREAEAEQRGEGSIDSPLLLEQEMVTDPSKQVKLGFVSGLVSRERSLAFERILFRATRGNVFLKQSVVEDPVTDPASGEKVEKNVFIVFYSGERARNKIMKICEVFGANRYPFTEDLGKQFQIITEVSGRLEELKTTIDVGLVHQSNLLQTIAYHFENWSLLVKKEKSIYHTLNMLSIDVSRKCLVAEGWCPVFATNQIQNVLQKATIDSSSQVGTIFHVLQTKESPPTYFHTNKFTSAFQEIVDAYGIAKYQEANPAVFTIITFPFLFAVMFGDWGHGICLCLATSYFIIREKKFSSQKLGDITEMIFGGRYVIMMMALFSIYTGLIYNEFFSVPFELFGPSAYGCHDPSCSDASTAGLVKVRATYPFGVDPKWHGTRSELPFLNSLKMKMSILIGVAQMNLGIILSYFNAKFFKNEINIWYQFVPQLIFLNSLFGYLSLLIVVKWCTGSQADLYHVMIYMFLSPTDDLGENQLFFGQKFLQIVLLLAALVSVPWMLFPKPFLLKKQHEERHRGQSYALLDSSDDDPLEMELHHGSGSHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAWGYR >EOY14901 pep chromosome:Theobroma_cacao_20110822:8:1603331:1608431:-1 gene:TCM_034141 transcript:EOY14901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar proton ATPase A3 isoform 1 MGEGRQRPTMDLLRSEPMQLVQLIIPIESAHRSISYLGDLGLFQFKDLNSEKSPFQRTYATQIKRSGEMARKLRFFKEQMTKAGLSPSTRSARNDDVDLDNLEVKLGELEAELIEMNANHEKLQQSYNELKEYKLVMQKAGEFFQSAQSSAAAKQREAEAEQRGEGSIDSPLLLEQEMVTDPSKQVKLGFVSGLVSRERSLAFERILFRATRGNVFLKQSVVEDPVTDPASGEKVEKNVFIVFYSGERARNKIMKICEVFGANRYPFTEDLGKQFQIITEVSGRLEELKTTIDVGLVHQSNLLQTIAYHFENWSLLVKKEKSIYHTLNMLSIDVSRKCLVAEGWCPVFATNQIQNVLQKATIDSSSQVGTIFHVLQTKESPPTYFHTNKFTSAFQEIVDAYGIAKYQEANPAVFTIITFPFLFAVMFGDWGHGICLCLATSYFIIREKKFSSQKLGDITEMIFGGRYVIMMMALFSIYTGLIYNEFFSVPFELFGPSAYGCHDPSCSDASTAGLVKVRATYPFGVDPKWHGTRSELPFLNSLKMKMSILIGVAQMNLGIILSYFNAKFFKNEINIWYQFVPQLIFLNSLFGYLSLLIVVKWCTGSQADLYHVMIYMFLSPTDDLGENQLFFGQKFLQIVLLLAALVSVPWMLFPKPFLLKKQHEERHRGQSYALLDSSDDDPLEMELHHGSGSHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAWG >EOY14899 pep chromosome:Theobroma_cacao_20110822:8:1602552:1608716:-1 gene:TCM_034141 transcript:EOY14899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar proton ATPase A3 isoform 1 MNANHEKLQQSYNELKEYKLVMQKAGEFFQSAQSSAAAKQREAEAEQRGEGSIDSPLLLEQEMVTDPSKQVKLGFVSGLVSRERSLAFERILFRATRGNVFLKQSVVEDPVTDPASGEKVEKNVFIVFYSGERARNKIMKICEVFGANRYPFTEDLGKQFQIITEVSGRLEELKTTIDVGLVHQSNLLQTIAYHFENWSLLVKKEKSIYHTLNMLSIDVSRKCLVAEGWCPVFATNQIQNVLQKATIDSSSQVGTIFHVLQTKESPPTYFHTNKFTSAFQEIVDAYGIAKYQEANPAVFTIITFPFLFAVMFGDWGHGICLCLATSYFIIREKKFSSQKLGDITEMIFGGRYVIMMMALFSIYTGLIYNEFFSVPFELFGPSAYGCHDPSCSDASTAGLVKVRATYPFGVDPKWHGTRSELPFLNSLKMKMSILIGVAQMNLGIILSYFNAKFFKNEINIWYQFVPQLIFLNSLFGYLSLLIVVKWCTGSQADLYHVMIYMFLSPTDDLGENQLFFGQKFLQIVLLLAALVSVPWMLFPKPFLLKKQHEERHRGQSYALLDSSDDDPLEMELHHGSGSHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAWGFNNIIILIIGIFVFICATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFQPFSFALVSEEDD >EOY16907 pep chromosome:Theobroma_cacao_20110822:8:13592902:13593846:1 gene:TCM_035896 transcript:EOY16907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFSHFFLIGSCPFFLYWTMSNFPCLLGHAHFPCYFLFFSFLFFLSFSPTVQQPCCLPFSSLHMHKPSTLFLSLPPPPITLPSFLSLNFSAATAAYFGSKISSYVPLFLLKYSATKLHLSFKKFAAKTTTINHQNSTSNIKFSATKFCTF >EOY14847 pep chromosome:Theobroma_cacao_20110822:8:1458247:1460261:1 gene:TCM_034104 transcript:EOY14847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWIFRLHNCAFHTSFWSLELDRNRKEHFYWMVALILHKGLLMIGNCQGTLLPMPVAYFAVSKLKVDHLFFFCSSTKKIRIQILQISNVHGKVGSWKKFHVPVSSKLMAYHCPSPLGWLAIKAGTMQPSVSVVQAAS >EOY16716 pep chromosome:Theobroma_cacao_20110822:8:10426310:10429098:1 gene:TCM_035584 transcript:EOY16716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 1 MKSLIKYAMVMENHKPYIAMLFVQFIYAGMALFSKAAIAKGMSPYVFVVYRQAFATVALAPFAFFLERLTLSLNLYYVAINYTTATFAAATTNTIPVLTFTIAVCLRTESICIRQLPGIAKVFGSVTSLSGALVFAFVKGPPIKFMNWYPATQKQTADSLVNSYSIGEWIKGSLIMLAANTAWSLWLVLQGHIVKQYPAKIRLTALQCFFSCIQSTFWAIAAERNSSAWRLGWDVHLLSVAYCGCNCHWHHILAASLDYREERSSFHSNFYSISSCNNSHLLCIPVEGNPSLGKSIGGVVLLVGGLYSVLWGKKREDGKGVTNEQNPDTKEETVLECITHH >EOY16715 pep chromosome:Theobroma_cacao_20110822:8:10395226:10435774:1 gene:TCM_035584 transcript:EOY16715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 1 MKSLIKYAMVMENHKPYIAMLFVQFIYAGMALFSKAAIAKGMSPYVFVVYRQAFATVALAPFAFFLESKQTSLSYNLLCKIFLISLCGLTLSLNLYYVAINYTTATFAAATTNTIPVLTFTIAVCLRTESICIRQLPGIAKVFGSVTSLSGALVFAFVKGPPIKFMNWYPATQKQTADSLVNSYSIGEWIKGSLIMLAANTAWSLWLVLQGHIVKQYPAKIRLTALQCFFSCIQSTFWAIAAERNSSAWRLGWDVHLLSVAYCGVIVTGITYWLQVWTIEKKGPVFTAIFTPLALVITVIFSAFLWKETLHWGSIGGVVLLVGGLYSVLWGKKREDGKGVTNEQNPDTKEETVLECITHH >EOY16780 pep chromosome:Theobroma_cacao_20110822:8:10974426:10976428:1 gene:TCM_035652 transcript:EOY16780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MMTLLTYPGFLIIAVVLFLTFLTCSRKRRGLRWQWPVVAKLPQFVRRYCQFHDWSAQILERSGGTCLVVKNLWFVNMDNWLTSNPANVQHIMSKSFTKYPKGIDWRKRFDIFGDSVFNSDSVKWKYERALYKGFLNHQRFHELMPKIFEDSMEKQLIPILEHVSKQHVPVALQDLLGKHIFYFSCRMTTGCDLGLFQSSSHEHLFAKAIVNACEAISFRYLLPECIWKLQKWLGIGKEKRLSEARKTLDHLVSEYISIKRKELSSRLRKEDMDFSILKLLLDRDELAGTLSISDEVMRDNIITFMFAAHDTTSTVLSWFFWILSKHPVVEKRIREEIQKYLPDNGKTKWLAFNAKELNKMVYLHAALCETLRLYPPVPVQTRTALHNDTLPSGHKINQGTRVSISVYAMGRMTSTWGEDCNEFKPERWITDDGGIKHEPAHKFFAFNAGPRGCLGKDFAFTLMKAITSAIIHNYDVQVVGNSQIAPKRSIILHMNHDLMVTVKKRWA >EOY17309 pep chromosome:Theobroma_cacao_20110822:8:19283492:19293763:-1 gene:TCM_036467 transcript:EOY17309 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein MSKLVVEIHDAYDLIPKDGQGSASPFVEVEFDEQRQRTQTKHKDLNPSWNQKLVFNVDNPRDLPNKIIDVTVYNDRKGSHGHRKNFLGRVRISGVSVPSSEAETSIQRYPLDKLGLFSHVKGDIALKLYAVHDGASHHAEPVRPTPTSIPENEEKSPFQETQFQETPFQEINTNNFDEEIKAEEKKKKKKKKEQEVRTFHSIGTGTGGPPPAAPTPMSAGIGFAAMKEKTPMVETRADFAKAAPPSVMHMQLPRQNPEFLLVETSPPLAARLRYRGGDKTSSTYDLVEQMRYLYVNVVKAKDLPVMDISGSLDPYVEVKLGNYKGQTKHLEKNQNPVWNQIFAFSKERLQSNLLEVIVKDKDFGKDDFVGKVVFDVSEIPLRVPPDSPLAPQWYKLADKKGDKVKGEIMLAVWMGTQADESFPEAWHSDAHSVSHSNLANTRSKVYFSPKLYYLRIHVMEAQDLVPHDKGRLPDPFVKVVVGKQVRLTKPVQRTVNPVWDDQLMFVVSEPFEDYIDILVVSGKDEILGRAVIPLRDVPQRFETSKPPDPRWLSLHKPSLAEAEGEKRKEKFSSRILLRFFLESGYHVLDESTHFSSDLQPSSKHLRKQNIGILELGILSAKNLLPMKIKEGKMTDAYCVAKYGNKWVRTRTLLDNLSPRWNEQYTWDVYDPCTVITIGVFDNSHANGSKDDARDERIGKVRIRLSTLETDRVYTHYYPLLVLTPSGLKKHGELQLALRFTCTAWVNMVAQYGRPLLPKMHYVHPIPVRHIDWLRYQAMHIVAARLQRAEPPLRKEVVEYMLDVDYHMWSLRRSKANFYRIMSVLSGVTAVCKWFNDICYWRNPITTCLVHVLFLILVCYPELILPTIFLYLFVIGIWNYRFRSRHPPHMDARLSQADNAHPDELDEEFDSFPTSRPSDIVRMRYDRLRSVAGRVQTVVGDLASQGERAQAILSWRDPRATAIFIIFSLIWAVFIYVTPFQVVAVLFGLYWLRHPRFRSKMPSVPVNFFKRLPSKSDMLL >EOY16334 pep chromosome:Theobroma_cacao_20110822:8:6735225:6741894:-1 gene:TCM_035147 transcript:EOY16334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGHFLLSLISTEWAGTMSGTTGSSFHLGFFSSSSSSVFAAVFVSNTIMGCGPSKVDDLPLVTLCKERKELIKAASIHRSALAAAHVTYFHSLRDVGEAIRRFVDEELVVGSSSSVGSPVLTLPSDEFKSSKKNKKKDDNNLPSSSSTSLSHSHSIEENSKNTKKDKEESDGEDSHLDLSSGSPSISGSGSGSGPGSPSGHVQIDHSHSHSHSPEQEGPAPYGYNYGYGYGYGFEYPHPPPQENWGYNGNSSSYTYYMKKSATPSQSFVYQEPEGHSSYGYSSYQNGGFFGYPLGSPRGGYGYGQRSSPPGPPQPPPEPPSPPSVSTWDFLNVFDTFDNSVYPGYHPAARYGYGSTTSSPDSKEVREREGIPDLEDETEPEMLRAAHKEKRKIMNEEINHNYNNSNKNTNFGEGTSKSVPVQKINSTTDGSTSTSKAMPSSKSESLESGGHIKINTSSGSADTIVTKSSEEEYAKSKRVSFEVEEASNLDVESSKPSSLTTLSLHGTRDLQEVVKEIRDEFETASSYGKEVAVLLEVGKLPYQQRKGTGFRVIFSRILYLVAPNMLSSHPPPRSSIRITSRTMKMAKEYCQIAEQDEKPRNLSSTLEELYAWEKKLYKEVKDEERLRAIYEKKCKRLRMLDGQGAEASKIDATQASIRKLLTKINVCIKAVEAISIRIHKLRDEELQPQLTELVHGLIRMWKSMLRCHQKQFQAIMESKVRSLRANTAFQRESGLKATAELEMELLDWCTRFNNWINTQKAYVGSLYGWLMRCIEREQEITADGLAPFSPGRVGAPPIFVICNDWYQAMDRVSEKGVANAMHNFASSLRQLWERQDEEQRQRTRAQYLSKDFEKRLRELRLERQRIEQVQDALSDKAAVSKVPSESGVSPLDDLKVDLDSMRKKLEEERARHKDAIKLVHDAASSSLQAGLVPIFEALGNFTSEVLKAHEQVRLENAGAS >EOY14641 pep chromosome:Theobroma_cacao_20110822:8:826058:844572:1 gene:TCM_033955 transcript:EOY14641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 82A3, putative isoform 1 MTRKAPEVSGARPFLGHLQLLGGSKPAQVVLGDLADTYGPIFTIRLGMQSTLVVSNWEMAKECFTTNHKAFVNRPRTLAAELLGYNYAMMVIGKRYSEVEASHGKDDNDRCRNAVRNFLELTGTFTVGDSLPFLRWLDLGGHEKAMKKTAKELDQILEKWLEEHKQKRNSGNVESVKIRDKKRAAPEAGSSWPVIGHLHLLGGPQPPHIVLGDMAEKYGSIFTIKMGVYRALVVNNWETAKECLTTNDKAFASRPKTLAMEFLSFEHTMVGFTLMDRTGAKCVKSPLLRFSLTGSGICEYPIIGSGMKRKAPEASGARPFLGHLHLLGGSKPAHVVLGDLADTYGPIFTIRLGVRLTLVVSNWEIAKECFTTNDKAFANRPRTLAAELLGYNYAMFGFSPYGPYWRQIRKIVTLEVLSNHRLEKLKHIRESEVRTSIKELYKLGVEGVSNSSSGKVLVEMKRWFWTLNINMVFKMVVGKRYSEAETSHGKDENDRRRKALRDFFELTGTFTVGDSLPFLRWLDLGGHEKAMKKTAKELDHILEEWLEEHKQKRNSGNAESEHDFMGMMLSLLNDAAELPSYDADTINKATCLSLILAASDTTMVTLTWALSLLLNNRNALKKAQEELDIHVGRDKLVEESDIKKLVYLQAIIKETLRLYPAAPLSVPHESMEDCVVSGYHIPAGTRLLINLYKIHRDPHAWSDPCEFQPERFLTTYKDFDVRGQNFELIPFGSGRRMCPGVSLALQVLELTLANLLQGFELGTPLDEPVDMGEAIGITNLKVSPLEVLITPRLPAVCY >EOY14642 pep chromosome:Theobroma_cacao_20110822:8:838183:844624:1 gene:TCM_033955 transcript:EOY14642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 82A3, putative isoform 1 METLLPSPASWMAGVFAFLIFCYLLFTRVINRTGSGMKRKAPEASGARPFLGHLHLLGGSKPAHVVLGDLADTYGPIFTIRLGVRLTLVVSNWEIAKECFTTNDKAFANRPRTLAAELLGYNYAMFGFSPYGPYWRQIRKIVTLEVLSNHRLEKLKHIRESEVRTSIKELYKLGVEGVSNSSSGKVLVEMKRWFWTLNINMVFKMVVGKRYSEAETSHGKDENDRRRKALRDFFELTGTFTVGDSLPFLRWLDLGGHEKAMKKTAKELDHILEEWLEEHKQKRNSGNAESEHDFMGMMLSLLNDAAELPSYDADTINKATCLSLILAASDTTMVTLTWALSLLLNNRNALKKAQEELDIHVGRDKLVEESDIKKLVYLQAIIKETLRLYPAAPLSVPHESMEDCVVSGYHIPAGTRLLINLYKIHRDPHAWSDPCEFQPERFLTTYKDFDVRGQNFELIPFGSGRRMCPGVSLALQVLELTLANLLQGFELGTPLDEPVDMGEAIGITNLKVSPLEVLITPRLPAVCY >EOY16373 pep chromosome:Theobroma_cacao_20110822:8:6922413:6925625:-1 gene:TCM_035175 transcript:EOY16373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MIPTKPLQRSHSAIVHQVLSIMLQNRPFDSQLASSTTSNPWTTDAVSDILRSVSKFFFQSPRSIGSQTGFRHRAPLKQRNIKQENFKNYQNVLILGPAAYRDPKRVALGLDKAMEFYIWVENFFGFAHNEKTCKEMAFVLAKGNDLKVLWHFLKDMSRRENSGLVTTSTVTCLIKVLGEEGLVNEALACFYRMKQFRCKPDVFAYNMIIHALCRVGNFNKARFLLEQMELPGFICPPDVYTYTILISSYCKFSMQTGCRKAIRRRLYEANHLFRLMLFKGFVPDVVTYNCLIDGCCKTYRIERALELYDDMNKRDCVPNRITYNSFIRYYCAVNEIDKGIEMMRRMQQMNHGLATNSSYTPIIHALCEAGRVLEAKDFLLELISGGSIPREYTYKLVCDTLNSVGAANLIDDELHKRIRDGIESRCRQVMKDHYFNSLIKVCHFQFLMHKESAPSVPSKFLLLEWFGWLHQEKKLRENRLGREMDTVYICAISFISVLVTLFFLLICCFECMIKKSKGVGVNPEGHRTGGGFGGGANPGVTITAVGASGMTTGCACGTGSGSGMGGCGGSGGGGGGGGCGGGGGVC >EOY16374 pep chromosome:Theobroma_cacao_20110822:8:6922985:6925472:-1 gene:TCM_035175 transcript:EOY16374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MIPTKPLQRSHSAIVHQVLSIMLQNRPFDSQLASSTTSNPWTTDAVSDILRSVSKFFFQSPRSIGSQTGFRHRAPLKQRNIKQENFKNYQNVLILGPAAYRDPKRVALGLDKAMEFYIWVENFFGFAHNEKTCKEMAFVLAKGNDLKVLWHFLKDMSRRENSGLVTTSTVTCLIKVLGEEGLVNEALACFYRMKQFRCKPDVFAYNMIIHALCRVGNFNKARFLLEQMELPGFICPPDVYTYTILISSYCKFSMQTGCRKAIRRRLYEANHLFRLMLFKGFVPDVVTYNCLIDGCCKTYRIERALELYDDMNKRDCVPNRITYNSFIRYYCAVNEIDKGIEMMRRMQQMNHGLATNSSYTPIIHALCEAGRVLEAKDFLLELISGGSIPREYTYKLVCDTLNSVGAANLIDDELHKRIRDGIESRCRQVMKVKQIKFT >EOY16791 pep chromosome:Theobroma_cacao_20110822:8:11184360:11186941:1 gene:TCM_035671 transcript:EOY16791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MCLLNKVAHHRGSGQYVRFPPVGDGGEEQQEDSNTQEIQSQLSQIRESNQPMIQHRNNTEMFFGPQGQATEMSAMVSALTHVVSGQRAAADWGYGANLAGMVTSSFGHSGSAASPSSYSSSASPSGSGSASGSGLWIGQKRGREEEGATQLIESVTRVQRPFGDFRGSHADSSSGATTVTEETTNVVAPPTTTAPSTTSTETAAAAAYEETGERRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDDAALRFRGNRAKLNFPENVRLVPQPMQNFPATQTSVSSSLTTHFPPSHSTPMPSYYQSQPLQSSNVDMLRDYWQYSQLLQSSTDFHGQQTTSLLEQMIQSTQLANIQQPILSSSLSSLPSSFAVSSASGSSSSSSASFPLLFAEQQQMGNLRQQFNQTQASGSDFPVPPSSHPGHYPSSTG >EOY16045 pep chromosome:Theobroma_cacao_20110822:8:5558670:5560230:-1 gene:TCM_034941 transcript:EOY16045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFSSIILYVFLLCSKEHILIEFNISSLGRQKQTRFQVKNHPQRAIGSGTGRLQNVRLENCPENDPYTLYPAAACRQLKICVNPVFKSAFHCLIIHILWYKKKKKFCGIATNGARTLLRMAKARTKSYPSCRKLEVTDAVGKL >EOY15131 pep chromosome:Theobroma_cacao_20110822:8:2329572:2341558:1 gene:TCM_034294 transcript:EOY15131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin beta-2, putative isoform 2 MAGQGTWQPKEEGLREICGVLELHISPTSDKPRIWQQLQHYSQFPDFNNYLVFIFAHAQGASVEIRQAAGLLLKNNLRSAFKLLDPAYQQCIKSQLLPCLGEAKRDIRNTVGTIISVIVQQERILGWPELLQVLVRCLDSNDINHMEGALDTLSKICEDIPHELDLDVPGLSERPIDVFLPRLLQFFQSPHASLRKLSLGSVNQFIMLMPTALYLSMDQYLQGLFVLSHDPVAEVRKLVCAALVQLIEVNPSFLEPHLKNVIEFMLQANNDADNEVALEACEFWSEYCEAQLNPQLLREFLQQLIPVLLSNMVYADDDESLIDSEEDESVPDRDQDLKPRFHSSRFHGSEDMKDDDDDSLSIWNLRKCSAAGLDVLSTVYGDEILPTLMPLVQAKLSTSDDGSWKEREAAVLALGAIAEGCIRGLYPYIAEIVEFLLPLLDNKFPLLRSITCWTLSRFSKFIVQGIGHQKGYEQFNKVLMGLLRRILDTNKRVQEAACSAFATLEEEAAEELTPHLEIILQHLLCAYGRYQKRNLRLVYDAIGTLAETVGGELNQPRYLQILMPPLIAKWQQLSDADKDLFPLLECFTSIAQALENGFSQFAQPIFQRCIKIIQSQQLAKVDPSSAGMQYEKEFIVCSLDLLSGLAEGLGSGIESLVSQSNLRDQLLQCCMDDSIDVQQSAFALFGDLARACPAYLHPCLSEFLNVATKQMSTPKLTESISVANNACWAIGELAIKVGQEVSPYVMTVVSSIVPILQHAEGVNKSLVENSAITLGRLAWVCPEIVSPHVEHFMQEWCTALSM >EOY15130 pep chromosome:Theobroma_cacao_20110822:8:2329572:2341558:1 gene:TCM_034294 transcript:EOY15130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin beta-2, putative isoform 2 MAGQGTWQPKEEGLREICGVLELHISPTSDKPRIWQQLQHYSQFPDFNNYLVFIFAHAQGASVEIRQAAGLLLKNNLRSAFKLLDPAYQQCIKSQLLPCLGEAKRDIRNTVGTIISVIVQQERILGWPELLQVLVRCLDSNDINHMEGALDTLSKICEDIPHELDLDVPGLSERPIDVFLPRLLQFFQSPHASLRKLSLGSVNQFIMLMPTALYLSMDQYLQGLFVLSHDPVAEVRKLVCAALVQLIEVNPSFLEPHLKNVIEFMLQANNDADNEVALEACEFWSEYCEAQLNPQLLREFLQQLIPVLLSNMVYADDDESLIDSEEDESVPDRDQDLKPRFHSSRFHGSEDMKDDDDDSLSIWNLRKCSAAGLDVLSTVYGDEILPTLMPLVQAKLSTSDDGSWKEREAAVLALGAIAEGCIRGLYPYIAEIVEFLLPLLDNKFPLLRSITCWTLSRFSKFIVQGIGHQKGYEQFNKVLMGLLRRILDTNKRVQEAACSAFATLEEEAAEELTPHLEIILQHLLCAYGRYQKRNLRLVYDAIGTLAETVGGELNQPRYLQILMPPLIAKWQQLSDADKDLFPLLECFTSIAQALENGFSQFAQPIFQRCIKIIQSQQLAKVDPSSAGMQYEKEFIVCSLDLLSGLAEGLGSGIESLVSQSNLRDQLLQCCMDDSIDVQQSAFALFGDLARACPAYLHPCLSEFLNVATKQMSTPKLTESISVANNACWAIGELAIKVGQEVSPYVMTVVSSIVPILQHAEGVNKSLVENSAITLGRLAWVCPEIVSPHVEHFMQEWCTALSMIHDDIEKEDAFHGLCAIVRTNVSGALNSLGYICRAIASWHVMTSEDLHNEVCQILLGYKQILKDGGWEQCLSTLEPQVKEKLSNYGKFKTDHLFGSRVKKLRFWKHPT >EOY17274 pep chromosome:Theobroma_cacao_20110822:8:18912452:18913826:-1 gene:TCM_036422 transcript:EOY17274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCFNVLMHTNDEDLVHEIEDELENNWKILNAKRMKSFPILQRSVRQNEWHQWR >EOY15357 pep chromosome:Theobroma_cacao_20110822:8:3009463:3010498:-1 gene:TCM_034448 transcript:EOY15357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTGYLYYSPPPPPPPPKCNHTMTPPPPPPPPPPPPQCNHTMLPPPPPPPPPPPPPNCNHTIPPLPPPTPPPCCVSPPIEPPMAPPPHYGPPTLGPQPPPGPPDHTVIIAVFVSLGGAFFLAFLAVGLFCLAKKKKRPIPPPCVEEHRHVEETITTGPCGDQTVTVTIDDDIRVHEAAEVGAAAVGSHAEPPDCDRPAGSHAEGHFRTG >EOY14750 pep chromosome:Theobroma_cacao_20110822:8:1169846:1173294:-1 gene:TCM_034031 transcript:EOY14750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erythronate-4-phosphate dehydrogenase family protein isoform 3 MEGPCETPENGMVADHNLQIIRYSPFQPCARLSSLWFDLRVFYVRVSNFQVDDLTPEFLTLNHIPLNPDTLLEVNGTRCGIYTDGVSLLLRRDRVDKKSDEATFVSTDSIWLTGSVKFEVFDGKDLILSGVLEMSSSNGFIEESKNNVKRWSMNCESDITAGSGFLKGKHIAGTELSPPTIEVYVAGCFSGTPIILTKTLQLNYRKKHNRKGMLDSIPEYETTECQKDMSPGLDMQVVEYRNYKQENDEDYSNIYWRRTEYIDGEDGELSWFNAGVRVGVGIGLGVCLGIGIGVGLLVRTYQSTTRNFKRRLI >EOY14751 pep chromosome:Theobroma_cacao_20110822:8:1169595:1173568:-1 gene:TCM_034031 transcript:EOY14751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erythronate-4-phosphate dehydrogenase family protein isoform 3 MEGPCETPENGMVADHNLQIIRYSPFQPCARLSSLWFDLRVFYVRVSNFQVDDLTPEFLTLNHIPLNPDTLLEVNGTRCGIYTDGVSLLLRRDRVDKKSDEATFVSTDSIWLTGSVKFEVFDGKDLILSGVLEMSSSNGFIEESKNNVKRWSMNCESDITAGSGFLKGKHIAGTELSPPTIEVYVAGCFSGTPIILTKTLQLNYRKKHNRKGMLDSIPEYETTECQKDMSPGLDMQVVEYRNYKQENDEDYSNIYWRRTEYIDGEDGELSWFNAGVRVGVGIGLGVCLGIGIGVGLLVRTYQSTTRNFKRRLI >EOY14752 pep chromosome:Theobroma_cacao_20110822:8:1170142:1173064:-1 gene:TCM_034031 transcript:EOY14752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erythronate-4-phosphate dehydrogenase family protein isoform 3 MEGPCETPENGMVADHNLQIIRYSPFQPCARLSSLWFDLRVFYVRVSNFQVDDLTPEFLTLNHIPLNPDTLLEVNGTRCGIYTDGVSLLLRRDRVDKKSDEATFVSTDSIWLTGSVKFEVFDGKDLILSGVLEMSSSNGFIEESKNNVKRWSMNCESDITAGSGFLKGKHIAGTELSPPTIEVYVAGCFSGTPIILTKTLQLNYRKKHNRKGMLDSIPEYETTECQKDMSPGLDMQVVEYRNYKQENDEDYSNIYWRRTEY >EOY14541 pep chromosome:Theobroma_cacao_20110822:8:554939:559358:1 gene:TCM_033889 transcript:EOY14541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MLVAAIMDIVTSNCDSVEKVSFKPSLPGNAEMRDIAAAIHVIEEGGMHLDEGERNDDDDDGGRGMKGIGIKILEGTTVLGLSRTSELMMFDHSDDTNVESDRGTPKTLALLNKHDSSVGQANLSAAVVPGLWDDLHRQHVAVPFAAWALANWAMASEINRSHIEELDQDGEAVMTALLAPERSVKWHGSLVARLLLEDRNLPLNDSVSDWASSLLSTASHASKNEDISLSRMALSAFLVAVERSLEARRTVMEKGLELMRVTAKRTVKHQQVQEALAKALEFLSTEDLHLSLEESQKWSGILLSWVFGKPSSNAIRSSAIRILSCILEDQGPSSLLISQGWLALLLNDILSSCKTSSVKGGTQPKSETAKTQINQSNILSAAQTGNQLAVAVVNLAGNQLGTTKDSVDTFPLADLLSLEPLAGPFKNLKKDNPPKFDVADSALATLKAIKALTEICAEDSLLQDKITELGVLCLLRRYLLRDDYEKLAAIEAYAASRAPESQERVSSNAGESSPSSTNNPSSVRVPPTAHIRRHAARLLTILSLLPKVQKVIAADETWCKWLEDCANGKISGINDLKIRSYARATLLNVFCNQQIGIDLVNNGPVTSGRDGTSIGPHYGDMIFLINPELPHWKCPGKDQSTVWKDKSLSSEFDSMNSDNELVTKVSDVGDASSSFNVSNNDSESEIPQMDIVFVHGLRGGPYKTWRIAEDTSSTKSGLVEKIDEEAGKLGTFWPGEWLSADFPQARLFSLKYKTNLTLWSGASLPLQEVGSMLLEKLVAAGIGNRPVVFVTHRSCIKQRL >EOY14536 pep chromosome:Theobroma_cacao_20110822:8:554939:560756:1 gene:TCM_033889 transcript:EOY14536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MSSANHEVRAGFELRVAALLADIAAANAGRRAAIVSAGGGAVVDWLLETVAVAKLDGCGTQAEAARALAYLIADPDVRKDVLGRPRAVPNLLRFIYLSQPQNKSKRHSRRSSLDISDSSKGRSMLVAAIMDIVTSNCDSVEKVSFKPSLPGNAEMRDIAAAIHVIEEGGMHLDEGERNDDDDDGGRGMKGIGIKILEGTTVLGLSRTSELMMFDHSDDTNVESDRGTPKTLALLNKHDSSVGQANLSAAVVPGLWDDLHRQHVAVPFAAWALANWAMASEINRSHIEELDQDGEAVMTALLAPERSVKWHGSLVARLLLEDRNLPLNDSVSDWASSLLSTASHASKNEDISLSRMALSAFLVAVERSLEARRTVMEKGLELMRVTAKRTVKHQQVQEALAKALEFLSTEDLHLSLEESQKWSGILLSWVFGKPSSNAIRSSAIRILSCILEDQGPSSLLISQGWLALLLNDILSSCKTSSVKGGTQPKSETAKTQINQSNILSAAQTGNQLAVAVVNLAGNQLGTTKDSVDTFPLADLLSLEPLAGPFKNLKKDNPPKFDVADSALATLKAIKALTEICAEDSLLQDKITELGVLCLLRRYLLRDDYEKLAAIEAYAASRAPESQERVSSNAGESSPSSTNNPSSVRVPPTAHIRRHAARLLTILSLLPKVQKVIAADETWCKWLEDCANGKISGINDLKIRSYARATLLNVFCNQQIGIDLVNNGPVTSGRDGTSIGPHYGDMIFLINPELPHWKCPGKDQSTVWKDKSLSSEFDSMNSDNELVTKVSDVGDASSSFNVSNNDSESEIPQMDIVFVHGLRGGPYKTWRIAEDTSSTKSGLVEKIDEEAGKLGTFWPGEWLSADFPQARLFSLKYKTNLTLWSGASLPLQEVGSMLLEKLVAAGIGNRPVVFVTHSMGGLVVKQILYKAKAENMDNLVNNTVGVVFYSCPHFGSKLADLPCRMGFVLRPAPNIGELRSGSQRLEQLNDFLRHLHKKQMLEVLSFCETKMTPIVTGYGGVAFRTEIVARESAYPGFGEIVLQSTDHINSCKPLSRSDPSYTEALEFLRKLKAQYSRREV >EOY14540 pep chromosome:Theobroma_cacao_20110822:8:554939:560757:1 gene:TCM_033889 transcript:EOY14540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MLRHFLRSRRRCYLLCRRRFSSSSSSERPIESPNNLNNTHPVLSQSPLPPTPPQNFETHLSALPTKTASLSRNSVFALSATLLSALVASVAVLTVGKENDVSDAIPNPRNSPLYESIEHTVHKSNESFKRIVHHAKQTGVAAAVLWQSLRSVMSSANHEVRAGFELRVAALLADIAAANAGRRAAIVSAGGGAVVDWLLETVAVAKLDGCGTQAEAARALAYLIADPDVRKDVLGRPRAVPNLLRFIYLSQPQNKSKRHSRRSSLDISDSSKGRSMLVAAIMDIVTSNCDSVEKVSFKPSLPGNAEMRDIAAAIHVIEEGGMHLDEGERNDDDDDGGRGMKGIGIKILEGTTVLGLSRTSELMMFDHSDDTNVESDRGTPKTLALLNKHDSSVGQANLSAAVVPGLWDDLHRQHVAVPFAAWALANWAMASEINRSHIEELDQDGEAVMTALLAPERSVKWHGSLVARLLLEDRNLPLNDSVSDWASSLLSTASHASKNEDISLSRMALSAFLVAVERSLEARRTVMEKGLELMRVTAKRTVKHQQVQEALAKALEFLSTEDLHLSLEESQKWSGILLSWVFGKPSSNAIRSSAIRILSCILEDQGPSSLLISQGWLALLLNDILSSCKTSSVKGGTQPKSETAKTQINQSNILSAAQTGNQLAVAVVNLAGNQLGTTKDSVDTFPLADLLSLEPLAGPFKNLKKDNPPKFDVADSALATLKAIKALTEICAEDSLLQDKITELGVLCLLRRYLLRDDYEKLAAIEAYAASRAPESQERVSSNAGESSPSSTNNPSSVRVPPTAHIRRHAARLLTILSLLPKVQKVIAADETWCKWLEDCANGKISGINDLKIRSYARATLLNVFCNQQIGIDLVNNGPVTSGRDGTSIGPHYGDMIFLINPELPHWKCPGKDQSTVWKDKSLSSEFDSMNSDNELVTKVSDVGDASSSFNVSNNDSESEIPQMDIVFVHGLRGGPYKTWRIAEDTSSTKSGLVEKIDEEAGKLGTFWPGEWLSADFPQARLFSLKYKTNLTLWSGASLPLQEVGSMLLEKLVAAGIGNRPVVFVTHSMGGLVVKQILYKAKAENMDNLVNNTVGVVCCSQQII >EOY14537 pep chromosome:Theobroma_cacao_20110822:8:555281:564300:1 gene:TCM_033889 transcript:EOY14537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MLVAAIMDIVTSNCDSVEKVSFKPSLPGNAEMRDIAAAIHVIEEGGMHLDEGERNDDDDDGGRGMKGIGIKILEGTTVLGLSRTSELMMFDHSDDTNVESDRGTPKTLALLNKHDSSVGQANLSAAVVPGLWDDLHRQHVAVPFAAWALANWAMASEINRSHIEELDQDGEAVMTALLAPERSVKWHGSLVARLLLEDRNLPLNDSVSDWASSLLSTASHASKNEDISLSRMALSAFLVAVERSLEARRTVMEKGLELMRVTAKRTVKHQQVQEALAKALEFLSTEDLHLSLEESQKWSGILLSWVFGKPSSNAIRSSAIRILSCILEDQGPSSLLISQGWLALLLNDILSSCKTSSVKGGTQPKSETAKTQINQSNILSAAQTGNQLAVAVVNLAGNQLGTTKDSVDTFPLADLLSLEPLAGPFKNLKKDNPPKFDVADSALATLKAIKALTEICAEDSLLQDKITELGVLCLLRRYLLRDDYEKLAAIEAYAASRAPESQERVSSNAGESSPSSTNNPSSVRVPPTAHIRRHAARLLTILSLLPKVQKVIAADETWCKWLEDCANGKISGINDLKIRSYARATLLNVFCNQQIGIDLVNNGPVTSGRDGTSIGPHYGDMIFLINPELPHWKCPGKDQSTVWKDKSLSSEFDSMNSDNELVTKVSDVGDASSSFNVSNNDSESEIPQMDIVFVHGLRGGPYKTWRIAEDTSSTKSGLVEKIDEEAGKLGTFWPGEWLSADFPQARLFSLKYKTNLTLWSGASLPLQEVGSMLLEKLVAAGIGNRPVVFVTHSMGGLVVKQILYKAKAENMDNLVNNTVGVVFYSCPHFGSKLADLPCRMGFVLRPAPNIGELRSGSQRLEQLNDFLRHLHKKQMLEVLSFCETKMTPIVTGYGGVAFRTEIVARESAYPGFGEIVLQSTDHINSCKPLSRSDPSYTEALEFLRKLKAQYSRREV >EOY14539 pep chromosome:Theobroma_cacao_20110822:8:554939:560757:1 gene:TCM_033889 transcript:EOY14539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MLVAAIMDIVTSNCDSVEKVSFKPSLPGNAEMRDIAAAIHVIEEGGMHLDEGERNDDDDDGGRGMKGIGIKILEGTTVLGLSRTSELMMFDHSDDTNVESDRGTPKTLALLNKHDSSVGQANLSAAVVPGLWDDLHRQHVAVPFAAWALANWAMASEINRSHIEELDQDGEAVMTALLAPERSVKWHGSLVARLLLEDRNLPLNDSVSDWASSLLSTASHASKNEDISLSRMALSAFLVAVERSLEARRTVMEKGLELMRVTAKRTVKHQQVQEALAKALEFLSTEDLHLSLEESQKWSGILLSWVFGKPSSNAIRSSAIRILSCILEDQGPSSLLISQGWLALLLNDILSSCKTSSVKGGTQPKSETAKTQINQSNILSAAQTGNQLAVAVVNLAGNQLGTTKDSVDTFPLADLLSLEPLAGPFKNLKKDNPPKFDVADSALATLKAIKALTEICAEDSLLQDKITELGVLCLLRRYLLRDDYEKLAAIEAYAASRAPESQERVSSNAGESSPSSTNNPSSVRVPPTAHIRRHAARLLTILSLLPKVQKVIAADETWCKWLEDCANGKISGINDLKIRSYARATLLNVFCNQQIGIDLVNNGPVTSGRDGTSIGPHYGDMIFLINPELPHWKCPGKDQSTVWKDKSLSSEFDSMNSDNELVTKVSDVGDASSSFNVSNNDSESEIPQMDIVFVHGLRGGPYKTWRIAEDTSSTKSGLVEKIDEEAGKLGTFWPGEWLSADFPQARLFSLKYKTNLTLWSGASLPLQEVGSMLLEKLVAAGIGNRPVVFVTHSMGGLVVKQILYKAKAENMDNLVNNTVGVVCCSQQII >EOY14538 pep chromosome:Theobroma_cacao_20110822:8:554939:560372:1 gene:TCM_033889 transcript:EOY14538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MLVAAIMDIVTSNCDSVEKVSFKPSLPGNAEMRDIAAAIHVIEEGGMHLDEGERNDDDDDGGRGMKGIGIKILEGTTVLGLSRTSELMMFDHSDDTNVESDRGTPKTLALLNKHDSSVGQANLSAAVVPGLWDDLHRQHVAVPFAAWALANWAMASEINRSHIEELDQDGEAVMTALLAPERSVKWHGSLVARLLLEDRNLPLNDSVSDWASSLLSTASHASKNEDISLSRMALSAFLVAVERSLEARRTVMEKGLELMRVTAKRTVKHQQVQEALAKALEFLSTEDLHLSLEESQKWSGILLSWVFGKPSSNAIRSSAIRILSCILEDQGPSSLLISQGWLALLLNDILSSCKTSSVKGGTQPKSETAKTQINQSNILSAAQTGNQLAVAVVNLAGNQLGTTKDSVDTFPLADLLSLEPLAGPFKNLKKDNPPKFDVADSALATLKAIKALTEICAEDSLLQDKITELGVLCLLRRYLLRDDYEKLAAIEAYAASRAPESQERVSSNAGESSPSSTNNPSSVRVPPTAHIRRHAARLLTILSLLPKVQKVIAADETWCKWLEDCANGKISGINDLKIRSYARATLLNVFCNQQIGIDLVNNGPVTSGRDGTSIGPHYGDMIFLINPELPHWKCPGKDQSTVWKDKSLSSEFDSMNSDNELVTKVSDVGDASSSFNVSNNDSESEIPQMDIVFVHGLRGGPYKTWRIAEDTSSTKSGLVEKIDEEAGKLGTFWPGLLCL >EOY15583 pep chromosome:Theobroma_cacao_20110822:8:3826934:3831511:1 gene:TCM_034591 transcript:EOY15583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMIVTQRFEYCKCKHPYRFPSFITLEESSFIMLNNGYMFLDFYATNLVLVLLPIICLIIIVLCVCFRVTHC >EOY17102 pep chromosome:Theobroma_cacao_20110822:8:17873462:17874459:-1 gene:TCM_036278 transcript:EOY17102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ER assembly factor, Vma12, putative isoform 1 MSFGDTQSQPELVITVTESIRLFLLSASNDPCLSQEFRQLALTLSSRTNAPYKPIRSIWIGSDVGTRPKLISLFSGSDFVFTSPKLREKSEELKQRLRKLKEIAERKEYEDLVKDITPKKDLNEPFSNYKDQLGFGLHVALIMFTGYLGGYFAFRALFNHNSTMNAAGGILGLVLGMLLETLLFIIRTSNPDFKSSSSTSRLKKNQ >EOY17103 pep chromosome:Theobroma_cacao_20110822:8:17872126:17877894:-1 gene:TCM_036278 transcript:EOY17103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ER assembly factor, Vma12, putative isoform 1 MSFGDTQSQPELVITVTESIRLFLLSASNDPCLSQEFRQLALTLSSRTNAPYKPIRSIWIGSDVGTRPKLISLFSGSDFVFTSPKLREKSEELKQRLRKLKEIAERKEYEDLVKDITPKKDLNEPFSNYKDQLGFGLHVALIMFTGYLGGYFAFRALFNHNSTMNAAGGILGLVLGMLLETLLFIIRTSNPDFKSSSSTSRLKKNQ >EOY17104 pep chromosome:Theobroma_cacao_20110822:8:17872328:17874472:-1 gene:TCM_036278 transcript:EOY17104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ER assembly factor, Vma12, putative isoform 1 MSFGDTQSQPELVITVTESIRLFLLSASNDPCLSQEFRQLALTLSSRTNAPYKPIRSIWIGSDVGTRPKLISLFSGSDFVFTSPKLREKSEELKQRLRKLKEIAERKEYEDLVKDITPKKDLNEPFSNYKDQLGFGLHVALIMFTGYLGGYFAFRALFNHNSTMNAAGGILGLVLGMLLETLLFIIRTSNPDFKSSSSTSRLKKNQ >EOY17105 pep chromosome:Theobroma_cacao_20110822:8:17872160:17874472:-1 gene:TCM_036278 transcript:EOY17105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ER assembly factor, Vma12, putative isoform 1 MSFGDTQSQPELVITVTESIRLFLLSASNDPCLSQEFRQLALTLSSRTNAPYKPIRSIWIGSDVGTRPKLISLFSGSDFVFTSPKLREKSEELKQRLRKLKEIAERKEYEDLVKDITPKKDLNEPFSNYKDQLGFGLHVALIMFTGYLGGYFAFRALFNHNSTMNAAGGILGLVLGMLLETLLFIIRTSNPDFKSSSSTSRLKKNQ >EOY17101 pep chromosome:Theobroma_cacao_20110822:8:17871988:17874472:-1 gene:TCM_036278 transcript:EOY17101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ER assembly factor, Vma12, putative isoform 1 MSFGDTQSQPELVITVTESIRLFLLSASNDPCLSQEFRQLALTLSSRTNAPYKPIRSIWIGSDVGTRPKLISLFSGSDFVFTSPKLREKSEELKQRLRKLKEIAERKEYEDLVKDITPKKDLNEPFSNYKDQLGFGLHVALIMFTGYLGGYFAFRALFNHNSTMNAAGGILGLVLGMLLETLLFIIRTSNPDFKSSSSTSRLKKNQ >EOY15516 pep chromosome:Theobroma_cacao_20110822:8:3587388:3592002:-1 gene:TCM_046891 transcript:EOY15516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhamnogalacturonate lyase family protein MSSRGVQLDVQDKYVVMDNDILQVTISNPGGIVTGLQYNGIDNLLAVENDETDRGYWDLVWNLAGRKGTKGKFDRIEATSFNVIVENEEQVELSFTRMWDSSLEGRVVPLNIDKRFVMLRNSSGFYTYAIYEHLEEWPAFILDRFRVAFKLRKDKFHYMAMADNRQRCMPLPDDRLPYRSQTLAYPEAVLLVDPLEPEFRGEVDDKYQYSCENKDNRVHGWICTDPPVPVGFWQITPSDEFRSAGPLKQNLTSHVGPTTLAVMHSVHYSGEDLLLKFGTNEPWKKVFGPIFIYLNSLSNGGDPLSLWEDAKEQMTIEVQGWPYTFPVSEDFPQSDQRGNVSGRLLVKDRYVHDDNIPANGAYVGLAPPGDVGSWQREVKGYQFWTKTDEDGYFCINNIRTGDYNLYAWVPGFIGDYRYNVIITPTAGYDIYMGDLVYEPPRDGPTLWEIGIPDRTAAEFYVPDPSPVYINKLYVNHQDRFRQYGLWERYAELYPDGDLVYTVGVSDYTKDWFFAQVTRKKDDNTYQGTTWQIKFKLDCVDESGTYKLRLALASAHASELQVRVNDPDAISPLFSSGQIGKDNTIARHGIHGLYWLYNVDIPGNLLHEGDNTIFLTQSKSTSPFQGIMYDYIRLEGPPSSDANKRP >EOY17513 pep chromosome:Theobroma_cacao_20110822:8:21523843:21531415:-1 gene:TCM_036737 transcript:EOY17513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKLQDVRAAFKGIALTGAYEVRWLDYKHVLIHLSNEQDFNRIWTKQNWFIATQKMRVFKWTPEFEPEKESAVVPVWISFPNLKAHLFEKSALLLIAKTVGKPLFVDEATANGSRPSVARVCVEYDCRKSPVDQVWIVVQNRKTGEVMNGYSQRVEFAQMPAYCDHCCHVGHKETDCILLGNKPRPPGTSKPPTSRIEDGERRIGLKEDAEFITDKRKTVANSKKPENGKILYHEEPSKYLQMWQLVYKGSTSGVKDRQGKEVKADKASKEENILVSNRFHTISEEKEDDHNRTTQNGKEKHEKNNEKDEGGRTEGIRRETTEERRTGAELQTGNGKPEGGRKEGTRRETTEERRIGAEIQTGNGTPEGTEMTAIPLANSQILEDTAQGTLHENGVHGQLQNHVEERDKHAERENGNPRNSQNKKNTSKSQQKDNEVQHTRGRLQTEENLQKSNARTVGPRLQAADTQRTAKTTCGEPLDVTDQTGKEGTFAFSKSKSDIQQSKRDPTKTNTAGKGENSKKSTVGDGNLTLDIMQVSNCEQNLNNYSSQHPKQAAPTLQGATQFEKETEDQIYSAEALNKTETGQPKHKAKQNDGEKSKGGELVTIATIELHSERNDEIVRSPGIDSHDQAKTRPSENQERAKEFVGAAVEGEGPAATGSVPHHTPYVHVERNKDVEGQNQLMQATPDEPLLQKDGQIKPSQSLKNNFIKSSTQASTLRQAKGCYMIEYGSGVHYSPVDTLEGSGEHVPIEEEGTSQTPLQTEQISTAFKIIRAGEMRVDNDLLSPNLESASSKCLFNKEPSDIPSFSGNNHADLEVHPRERRRRYSDNAIPLRNTLSTATEEAIVLGGNEEDSDGDSISKSRVIQRRIKKLQLMHRLKILAILEPMVDTSKAEYFRRKMGFEKVIVNNSQKIWLFHSVEFICEVLLDHPQCLHVRVTIPWLDLPIFTTFVYAKCTRSERTPLWNCLRNLAADMEGPWIVGGDFNIILKREERLYGADPHEGSIEDFASVLLDCGLLDGGFEGNPFTWTNNRMFQRLDRMVYNQQWINKFPITRIQHLNRDGSDHCPLLLSCSNSSEKAPSSFRFLHAWALHHNFNASVEGNWNLPINGSGLMAFWSKQKRLKQHLKWWNKTVFGDIFSNIKEAEKRVEECEILHQQEQTIGSRIQLNKSYAQLNKQLSMEEIFWKQKSGVKWVVEGERNTKFFHMRMQKKRIRSHIFKIQEQDGNWIEDPEQLQQSAIDFFSSLLKAESCDDTRFQSSLCPSIISDTDNGFLCAEPTLQEVKEAVFGIDPESAAGPDGFSSHFYQQCWDIIAHDLFEAVKEFFHGADIPQGMTSTTLVLIPKTTSASKWSEFRPISLCTVMNKIITKILANRLAKILPSIITENQSGFVGGRLISDNILLAQELIGKLDQKNRGGNVALKLDMMKAYDRLDWSFLFKVLQHLGFNAQWIGMIQKCISNCWFSLLLNGRTVGYFKSERGLRQGDSISPQLFILAAEYLARGLNALYDQYPSLHYSSGCSLSVSHLAFADDVIIFANGSKSALQKIMAFLQEYEKLSGQRINPQKSCVVTHTNMASSRRQIILQATGFSHRPLPITYLGAPLYKGHKKVMLFNDLVAKIEERITGWENKTLSPGGRITLLRSTLSSLPIYLLQVLKPPVIVLERINRLLNNFLWGGSTASKRIHWASWGKIALPIAEGGLDIRNVEDVCEAFSMKLWWRFRTTNSLWTQFMRAKYCGGQLPTDVQPKLHDSQTWKRMVTISSITEQNIRWRIGHGELFFWHDCWMGEEPLVNRNQAFASSMAQVSDFFLNNSWNVEKLKTVLQQEVVEEIVKIPIDTSSNDKAYWTTTPNGDFSTKSAWQLIRNRKVENPVFNFIWHKSVPLTTSFFLWRLLHDWIPVELKMKTKGFQLASRCRCCKSEESLMHVMWKNPVANQVWSYFAKVFQIQIINPCTINQIICAWFYSGDYSKPGHIRTLVPLFTLWFLWVERNDAKHRNLGMYPNRVVWKILKLLHQLFQGKQLQKWQWQGDKQIAQEWGIILKADAPSPPKLLFWLKPSIGELKLNVDGSCKHNPQSAAGGGLLRDHTGSMIFGFSENFGPQDSLQAELMALHRGLLLCIEHNISRLWIEMDAKVAVQMIKEGHQGSSRTRYLLASIHRCLSGISFRISHIFREGNQAADHLSNQGHTHQNLQVISQAEGQLRGILRLEKINLAYVRFK >EOY15306 pep chromosome:Theobroma_cacao_20110822:8:2852342:2856387:1 gene:TCM_034412 transcript:EOY15306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MAFHFHHLHGVSLSFNRARNHLPCINSFSSAFSFSTLSDSSIKEPSFNQISNQSTVDERRVLGELSDLFQFSHSNATVPYPYRESYPPKQIESGAVDEYLLPEEKLRGVFLQKLRGKTAIEHALSNVPVELSIDIIAKVVNIGNLGGEAMVLFFNWAMKQPGIARDIHSYYIIIKALGRRKFFKFMIETLHDMVKEGIKPDVETLSIVMDSFIRAQRVQKAIETFENLEELGLKRDTKSLNVLLQCLCRRAHVGAANSLFNAVNGKVKFNCDTYNIMISGWSKLGRVSKIERILKAMIADEFTPDCSTFSYLIEGLGRAGRIDDAVEIFDHMKEKGCIPDTRVYNAMISNFISVGNFDECMKYYKGLLNSNSDPDVDTYTKLISAFLKAQNVADALEIFDEMLVQGIVPTTGTLTSFVEPLCSYGPPYAAMMFYKKARKFGCKISLSAYKLLLMRLSRFGKCGMLLNIWDEMQESGHTSDMEVYEHVINGLCNIGHLENAVLVMEEALRKGFCPSRVLYSKLNNKLLASNEVEKAYKLFLKIKNARRDENARRYWRANGWHF >EOY15303 pep chromosome:Theobroma_cacao_20110822:8:2852342:2856387:1 gene:TCM_034412 transcript:EOY15303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MAFHFHHLHGVSLSFNRARNHLPCINSFSSAFSFSTLSDSSIKEPSFNQISNQSTVDERRVLGELSDLFQFSHSNATVPYPYRESYPPKQIESGAVDEYLLPEEKLRGVFLQKLRGKTAIEHALSNVPVELSIDIIAKVVNIGNLGGEAMVLFFNWAMKQPGIARDIHSYYIIIKALGRRKFFKFMIETLHDMVKEGIKPDVETLSIVMDSFIRAQRVQKAIETFENLEELGLKRDTKSLNVLLQCLCRRAHVGAANSLFNAVNGKVKFNCDTYNIMISGWSKLGRVSKIERILKAMIADEFTPDCSTFSYLIEGLGRAGRIDDAVEIFDHMKEKGCIPDTRVYNAMISNFISVGNFDECMKYYKGLLNSNSDPDVDTYTKLISAFLKAQNVADALEIFDEMLVQGIVPTTGTLTSFVEPLCSYGPPYAAMMFYKKARKFGCKISLSAYKLLLMRLSRFGKCGMLLNIWDEMQESGHTSDMEVYEHVINGLCNIGHLENAVLVMEEALRKGFCPSRVLYSKLNNKLLASNEVEKAYKLFLKIKNARRDENARRYWRANGWHF >EOY15305 pep chromosome:Theobroma_cacao_20110822:8:2852342:2856387:1 gene:TCM_034412 transcript:EOY15305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MAFHFHHLHGVSLSFNRARNHLPCINSFSSAFSFSTLSDSSIKEPSFNQISNQSTVDERRVLGELSDLFQFSHSNATVPYPYRESYPPKQIESGAVDEYLLPEEKLRGVFLQKLRGKTAIEHALSNVPVELSIDIIAKVVNIGNLGGEAMVLFFNWAMKQPGIARDIHSYYIIIKALGRRKFFKFMIETLHDMVKEGIKPDVETLSIVMDSFIRAQRVQKAIETFENLEELGLKRDTKSLNVLLQCLCRRAHVGAANSLFNAVNGKVKFNCDTYNIMISGWSKLGRVSKIERILKAMIADEFTPDCSTFSYLIEGLGRAGRIDDAVEIFDHMKEKGCIPDTRVYNAMISNFISVGNFDECMKYYKGLLNSNSDPDVDTYTKLISAFLKAQNVADALEIFDEMLVQGIVPTTGTLTSFVEPLCSYGPPYAAMMFYKKARKFGCKISLSAYKLLLMRLSRFGKCGMLLNIWDEMQESGHTSDMEVYEHVINGLCNIGHLENAVLVMEEALRKGFCPSRVLYSKLNNKLLASNEVEKAYKLFLKIKNARRDENARRYWRANGWHF >EOY15304 pep chromosome:Theobroma_cacao_20110822:8:2852265:2856397:1 gene:TCM_034412 transcript:EOY15304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MAFHFHHLHGVSLSFNRARNHLPCINSFSSAFSFSTLSDSSIKEPSFNQISNQSTVDERRVLGELSDLFQFSHSNATVPYPYRESYPPKQIESGAVDEYLLPEEKLRGVFLQKLRGKTAIEHALSNVPVELSIDIIAKVVNIGNLGGEAMVLFFNWAMKQPGIARDIHSYYIIIKALGRRKFFKFMIETLHDMVKEGIKPDVETLSIVMDSFIRAQRVQKAIETFENLEELGLKRDTKSLNVLLQCLCRRAHVGAANSLFNAVNGKVKFNCDTYNIMISGWSKLGRVSKIERILKAMIADEFTPDCSTFSYLIEGLGRAGRIDDAVEIFDHMKEKGCIPDTRVYNAMISNFISVGNFDECMKYYKGLLNSNSDPDVDTYTKLISAFLKAQNVADALEIFDEMLVQGIVPTTGTLTSFVEPLCSYGPPYAAMMFYKKARKFGCKISLSAYKLLLMRLSRFGKCGMLLNIWDEMQESGHTSDMEVYEHVINGLCNIGHLENAVLVMEEALRKGFCPSRVLYSKLNNKLLASNEVEKAYKLFLKIKNARRDENARRYWRANGWHF >EOY16027 pep chromosome:Theobroma_cacao_20110822:8:5485111:5491034:-1 gene:TCM_034928 transcript:EOY16027 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MEAMLGIIKRLQDYGHQSVFIIALFLSLQLCNYHHPRRLCRFFQLFIYISCCTFKQSKLLAMDSGNSSSMQSSSGGDEEYDSRPESLPAFLNASGHFSPLSNPHPSLVSHHQDHPPTFFDPSSNYLNPFSQSQPNNSLLNLDGGVRPRGLRSEPNCTDLGNLPGSSSSSQSMLGAQGLNQGSFPSSSSMQSRPAHDNGARSLAQSDQTSVVKNPKKRTRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFSGSSYSRRLDLFGSGSGMRSSHLEPLGSLYPLRPSAKRVQPTPFVSSSSPSLLNNPLVDAANITNTTSNSTIPTSIAATTNAFNPTSSNYQLPSDLSLLKQPQNMLNLQNQSPVLSFQSFLQPPTLHPSLNLPGFGVKSQGSSAMPSLDELGMSHGHVNANLGGLQSHVTPDGPRARSDSNWRDGIGLNDGNQDHLRPLDGNYGNDHHNSQRVNNSCKLNFSASSSDFHHDKGIYDKKHGNRNKEPFLPRTLSSAENINRSVLLIKGLLYSLNPPRNPPWSFSIDLQVVLNLVSIGNCLS >EOY17130 pep chromosome:Theobroma_cacao_20110822:8:18009843:18015289:1 gene:TCM_036302 transcript:EOY17130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding LIM protein 1, putative MEGELLDWEVLQNSDSDSNSIVVNSPELRNLEEIEGDTDGMIRSDYFSLDNQSMYAKVGDASEEGSVESDNPSWIDPGPETRYERKNLGEFWSDSGSDRSDDRKFNDSDVKNELGFVENEKPPVDFEGIGEMEAKSENSGKLKPDDSKFSDLDGKKGLGFGEIQVQDKDLGKFWSDSGGDGISMKFEDDEKEGDIGFGDFMKKGAEVENSGELDDGNGSTVELEGGEGNQIDTEGATIDEMKLCVKSVDEGEKKRVVWWKVPFELLRYCVFKVSPVWSFSVAAAVMGFAILGHRLYKMKRKSRNLPLKVTMDDKKVSQFMTRAARLNEAFSVVRRVPIIRPSLSTAGVNPWPVMSLR >EOY15208 pep chromosome:Theobroma_cacao_20110822:8:2577407:2578506:-1 gene:TCM_034353 transcript:EOY15208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRPTRIACEGLKGHINEMEEMNYVLIALTTRKLSKQTSVEQLQTLLKQLEALELSILGLEDGLKRMFRQLVKTRVSLLNILSQ >EOY15140 pep chromosome:Theobroma_cacao_20110822:8:2366408:2373989:1 gene:TCM_034304 transcript:EOY15140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 3 MEKLSGLRHLFMTIFLHSFATFMVIPAITDVTMAALCPGKEECSVAIYLSGFQQAEAFPFEPPFSLVLLRSLRDTERMAKLPALSHLCFTFFLYIFATFMTIPVMIDVTMSALCPGKIECYLAIYLTGFQQAIIGLGSLVMMPLVGNLSDKYGRKALLTVPMTLTIIPLAILAYSRTRSFFYAYYVLKILTAMFCEGTVHCLSLAYVADNVPEGRRVSTFGILSGIGSCAFVCGTLSTRFLSTASTFQVATAMAMLSAVYMRIFLPDSIINDNLSAPILSQGKLDGIVNPDEESDKKMQMFKTMPSIEDMLALLKSSLTFSQAAIVSFFSNLSDVGLHASLLYYLKARFHFNKDQFADLMVITGVAGTISQLLLMPVLAPALGEERLLAIGLFFSCAHMFFYSIAWSVWVPYAAAVFSLFYVFSQPCIRSIVSKQVGPCEQGKAQGFISGIGSFANVASPLIFSPLTALFLSEGAPFYFPGFSIMCVGFASMIAFFQSLMIRAVPPISSQRVGNYNCMEA >EOY15141 pep chromosome:Theobroma_cacao_20110822:8:2366403:2373989:1 gene:TCM_034304 transcript:EOY15141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 3 MEKLSGLRHLFMTIFLHSFATFMVIPAITDVTMAALCPGKEECSVAIYLSGFQQAIIGLGSLVMMPLVGNLSDKYGRKALLTVPMTLTIIPLAILAYSRTRSFFYAYYVLKILTAMFCEGTVHCLSLAYVADNVPEGRRVSTFGILSGIGSCAFVCGTLSTRFLSTASTFQVATAMAMLSAVYMRIFLPDSIINDNLSAPILSQGKLDGIVNPDEESDKKMQMFKTMPSIEDMLALLKSSLTFSQAAIVSFFSNLSDVGLHASLLYYLKARFHFNKDQFADLMVITGVAGTISQLLLMPVLAPALGEERLLAIGLFFSCAHMFFYSIAWSVWVPYAAAVFSLFYVFSQPCIRSIVSKQVGPCEQGKAQGFISGIGSFANVASPLIFSPLTALFLSEGAPFYFPGFSIMCVGFASMIAFFQSLMIRAVPPISSQRVGNYNCMEA >EOY15142 pep chromosome:Theobroma_cacao_20110822:8:2366486:2373687:1 gene:TCM_034304 transcript:EOY15142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 3 MEKLSGLRHLFMTIFLHSFATFMVIPAITDVTMAALCPGKEECSVAIYLSGFQQAIIGLGSLVMMPLVGNLSDKYGRKALLTVPMTLTIIPLAILAYSRTRSFFYAYYVLKILTAMFCEGTVHCLSLAYVADNVPEGRRVSTFGILSGIGSCAFVCGTLSTRFLSTASTFQVATAMAMLSAVYMRIFLPDSIINDNLSAPILSQGKLDGIVNPDEESDKKMQMFKTMPSIEDMLALLKSSLTFSQAAIVSFFSNLSDVGLHASLLYYLKARFHFNKDQFADLMVITGVAGTISQLLLMPVLAPALGEERLLAIGLFFSCAHMFFYSIAWSVWVPYAAAVFSLFYVFSQPCIRSIVSKQVGPCEQGKAQGFISGIGSFANVASPLIFSPLTAALFLSEGAPFYFPGFSIMCVGFASMIAFFQSLMIRAVPPISSQRVGNYNCMEA >EOY14531 pep chromosome:Theobroma_cacao_20110822:8:542137:544342:1 gene:TCM_033885 transcript:EOY14531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A 2A, IIA,PLA2A MGTIPQANVFPMTSPKSFLQAPTYGNLITVLSIDGGGIRGIIPGTILAFLESQLQKLDDEEARLADYFDVISGTSTGGLVTAMLTTPNPNEGNRPLFAAKDINEFYLEHCPKIFPQDRSPFAPAENLVKSLIGPKYDGRYLHNIVREKLGETRLHQTLTNVVIPTFDIKQLQPKIFSSYEVKYNPCKNALLSDICIGTSAAPTYLPAHHFETKNSNGEVKEFHLIDGGVAANNPTLVAMNEVTKEITRKNSDSFPTKANDFARFLVLSLGTGSQKCEEKYPAPMAAKWGLLEWLTFQHSTPLMDVFMQASSDMVDFHIATVFQALQSEEKYLRIQDDTLCGTVSSVDIATKENLENLVKVGEELLKKPVSRVNLDNGKFEPINNEDTNENALIRLAKVLSQEKRLRDSRSPTGNLTAKKN >EOY16912 pep chromosome:Theobroma_cacao_20110822:8:14211153:14212338:-1 gene:TCM_035932 transcript:EOY16912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFENPKRYLSRVGNRNNQLVDLLPRNNIREAPLHRIPTAMAVSTMLADIGEIMWSQPHISTRGLATYIIPWPCHVHISRTVA >EOY14403 pep chromosome:Theobroma_cacao_20110822:8:203912:209395:1 gene:TCM_033795 transcript:EOY14403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) binding protein 8 isoform 1 MAQIQVQHQPPVSGPNGVAPGPGGAQFMPTSLYVGDLDVTVTDEQLYEMFGSVAQVVSVRVCRDLATGRSLGYGYVNYNNPRDAARALDLLNFTPLNNKPIRIMYSQRDPSLRKSGTANIFIKNLDKSIDHKALHDTFSSFGNILSCKIATDGFGQSKGYGFVQFDNEESAQNAIDKLNGMLINDKQVYVGHFLRKQERETALSRAKFNNVYVKNLSESTTDEDLKTIFGEHGEITSAVVMRDADGKSKCFGFVNFENSEDAAKAVEALNGRKFEEKEWYVGKAQKKSEREHELKARFEQTMKEAADKFQGVNLYIKNLDDSIGDENLKELFSEFGNITSCKVMRDPSGISKGSGFVAFSTPEEASRALAEMNGKMVVSKPLYVALAQRKEERRARLQAQFSQMRPLAIPSVAPRMPMYPSGAPGLGQQFLYGQAPPAIIPPQAGFGYQQQLVPGMRPGGPPVPISFVPMVQQGQRPGGRRGASPVQQTQPPVPLMQQQMLPRGRVYRYPPGRNMPDVPMSSVAGGMLSVPYDLGGMPLRDAGVGQPMPIPALATALANATPEQQRTMLGESLYPLVERLERDSAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEALEVLRSVAAQQQANSPADQLASLSLNDNLVS >EOY14404 pep chromosome:Theobroma_cacao_20110822:8:204469:208877:1 gene:TCM_033795 transcript:EOY14404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) binding protein 8 isoform 1 MLGILMLPLPMSSSTKCSAPWLRSSPLGFAGTWLRAALSAMATSTITILVMNLDKSIDHKALHDTFSSFGNILSCKIATDGFGQSKGYGFVQFDNEESAQNAIDKLNGMLINDKQVYVGHFLRKQERETALSRAKFNNVYVKNLSESTTDEDLKTIFGEHGEITSAVVMRDADGKSKCFGFVNFENSEDAAKAVEALNGRKFEEKEWYVGKAQKKSEREHELKARFEQTMKEAADKFQGVNLYIKNLDDSIGDENLKELFSEFGNITSCKVMRDPSGISKGSGFVAFSTPEEASRALAEMNGKMVVSKPLYVALAQRKEERRARLQAQFSQMRPLAIPSVAPRMPMYPSGAPGLGQQFLYGQAPPAIIPPQAGFGYQQQLVPGMRPGGPPVPISFVPMVQQGQRPGGRRGASPVQQTQPPVPLMQQQILSVPDAAQGTCLSLPTWS >EOY15873 pep chromosome:Theobroma_cacao_20110822:8:4911808:4919505:1 gene:TCM_034809 transcript:EOY15873 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein isoform 7 MSKMEDTEMLEQQLPEASKVNLVDSGVQQQQSSLATEDQDTTETRHSPHSGTADDKALTLPTDVNMSDNPALPDKPDKKTSNDANTNARDAASVERLEKKSSGDAAPLPCAEFLTPKSQHGSVKKSKNWLLDPEMGEADEAGTQEERAAFMKELESFYKDRSLEFKPPKFYGEPLNCLKLWRAVIRLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKYKRENGEIQLPASSLPHTVGEKESSGYQASGSGRARRDAAARAMQGWHAQRSVGYGEITEPIIKDKSLSSTPKQKHLKTIGLQKQKTPISTEPAEKSAHEPNKQLVTEVVDVGAPADWVKINVRETKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQVDNPWGITPFKKVVTLPARIDPLQTSAVVSLHGRLFVRVPFEH >EOY15876 pep chromosome:Theobroma_cacao_20110822:8:4912041:4919421:1 gene:TCM_034809 transcript:EOY15876 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein isoform 7 MSKMEDTEMLEQQLPEASKVNLVDSGVQQQQSSLATEDQDTTETRHSPHSGTADDKALTLPTDVNMSDNPALPDKPDKKTSNDANTNARDAASVERLEKKSSGDAAPLPCAEFLTPKSQHGSVKKSKNWLLDPEMGEADEAGTQEERAAFMKELESFYKDRSLEFKPPKFYGEPLNCLKLWRAVIRLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKYKRENGEIQLPASSLPHTVGEKESSGYQASGSGRARRDAAARAMQGWHAQRSVGYGEITEPIIKDKSLSSTPKQKHLKTIGLQKQKTPISTEPAEKSAHEPNKLVTEVVDVGAPADWVKINVRETKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQVDNPWGITPFKKVFLVVPNRANQKACSVCRPGPEVHKYMLENIVRLLKRGRTLPLLGTDVLNFLQFLLSNN >EOY15878 pep chromosome:Theobroma_cacao_20110822:8:4912041:4919497:1 gene:TCM_034809 transcript:EOY15878 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein isoform 7 MSKMEDTEMLEQQLPEASKVNLVDSGVQQQQSSLATEDQDTTETRHSPHSGTADDKALTLPTDVNMSDNPALPDKPDKKTSNDANTNARDAASVERLEKKSSGDAAPLPCAEFLTPKSQHGSVKKSKNWLLDPEMGEADEAGTQEERAAFMKELESFYKDRSLEFKPPKFYGEPLNCLKLWRAVIRLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKYKRENGEIQLPASSLPHTVGEKESSGYQASGSGRARRDAAARAMQGWHAQRSVGYGEITEPIIKDKSLSSTPKQKHLKTIGLQKQKTPISTEPAEKSAHEPNKLVTEVVDVGAPADWVKINVRETKDCFEVYALVPGLLREEVVTLPARIDPLQTSAVVSLHGRLFVRVPFEH >EOY15870 pep chromosome:Theobroma_cacao_20110822:8:4911808:4919505:1 gene:TCM_034809 transcript:EOY15870 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein isoform 7 MSKMEDTEMLEQQLPEASKVNLVDSGVQQQQSSLATEDQDTTETRHSPHSGTADDKALTLPTDVNMSDNPALPDKPDKKTSNDANTNARDAASVERLEKKSSGDAAPLPCAEFLTPKSQHGSVKKSKNWLLDPEMGEADEAGTQEERAAFMKELESFYKDRSLEFKPPKFYGEPLNCLKLWRAVIRLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKYKRENGEIQLPASSLPHTVGEKESSGYQASGSGRARRDAAARAMQGWHAQRSVGYGEITEPIIKDKSLSSTPKQKHLKTIGLQKQKTPISTEPAEKSAHEPNKQLVTEVVDVGAPADWVKINVRETKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQVDNPWGITPFKKVVTLPARIDPLQTSAVVSLHGRLFVRVPFEH >EOY15871 pep chromosome:Theobroma_cacao_20110822:8:4912041:4919417:1 gene:TCM_034809 transcript:EOY15871 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein isoform 7 MSKMEDTEMLEQQLPEASKVNLVDSGVQQQQSSLATEDQDTTETRHSPHSGTADDKALTLPTDVNMSDNPALPDKPDKKTSNDANTNARDAASVERLEKKSSGDAAPLPCAEFLTPKSQHGSVKKSKNWLLDPEMGEADEAGTQEERAAFMKELESFYKDRSLEFKPPKFYGEPLNCLKLWRAVIRLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKYKRENGEIQLPASSLPHTVGEKESSGYQASGSGRARRDAAARAMQGWHAQRSVGYGEITEPIIKDKSLSSTPKQKHLKTIGLQKQKTPISTEPAEKSAHEPNKLVTEVVDVGAPADWVKINVRETKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQVDNPWGITPFKKVVTLPA >EOY15875 pep chromosome:Theobroma_cacao_20110822:8:4912041:4919497:1 gene:TCM_034809 transcript:EOY15875 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein isoform 7 MSKMEDTEMLEQQLPEASKVNLVDSGVQQQQSSLATEDQDTTETRHSPHSGTADDKALTLPTDVNMSDNPALPDKPDKKTSNDANTNARDAASVERLEKKSSGDAAPLPCAEFLTPKSQHGSVKKSKNWLLDPEMGEADEAGTQEERAAFMKELESFYKDRSLEFKPPKFYGEPLNCLKLWRAVIRLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKYKRENGEIQLPASSLPHTVGEKESSGYQASGSGRARRDAAARAMQGWHAQRSVGYGEITEPIIKDKSLSSTPKQKHLKTIGLQKQKTPISTEPAEKSAHEPNKQLVTEVVDVGAPADWVKINVRETKDCFEVYALVPGLLREEVVTLPARIDPLQTSAVVSLHGRLFVRVPFEH >EOY15872 pep chromosome:Theobroma_cacao_20110822:8:4911808:4919420:1 gene:TCM_034809 transcript:EOY15872 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein isoform 7 MSKMEDTEMLEQQLPEASKVNLVDSGVQQQQSSLATEDQDTTETRHSPHSGTADDKALTLPTDVNMSDNPALPDKPDKKTSNDANTNARDAASVERLEKKSSGDAAPLPCAEFLTPKSQHGSVKKSKNWLLDPEMGEADEAGTQEERAAFMKELESFYKDRSLEFKPPKFYGEPLNCLKLWRAVIRLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKYKRENGEIQLPASSLPHTVGEKESSGYQASGSGRARRDAAARAMQGWHAQRSVGYGEITEPIIKDKSLSSTPKQKHLKTIGLQKQKTPISTEPAEKSAHEPNKQLVTEVVDVGAPADWVKINVRETKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQVDNPWGITPFKKVFLVVPNRANQKACSVCRPGPEVHKYMLENIVRLLKRGRTLPLLGTDVLNFLQFLLSNN >EOY15877 pep chromosome:Theobroma_cacao_20110822:8:4912041:4919497:1 gene:TCM_034809 transcript:EOY15877 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein isoform 7 MSKMEDTEMLEQQLPEASKVNLVDSGVQQQQSSLATEDQDTTETRHSPHSGTADDKALTLPTDVNMSDNPALPDKPDKKTSNDANTNARDAASVERLEKKSSGDAAPLPCAEFLTPKSQHGSVKKSKNWLLDPEMGEADEAGTQEERAAFMKELESFYKDRSLEFKPPKFYGEPLNCLKLWRAVIRLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKYKRENGEIQLPASSLPHTVGEKESSGYQASGSGRARRDAAARAMQGWHAQRSVGYGEITEPIIKDKSLSSTPKQKHLKTIGLQKQKTPISTEPAEKSAHEPNKLVTEVVDVGAPADWVKINVRETKDCFEVYALVPGLLREEVVTLPARIDPLQTSAVVSLHGRLFVRVPFEH >EOY15874 pep chromosome:Theobroma_cacao_20110822:8:4912049:4919690:1 gene:TCM_034809 transcript:EOY15874 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein isoform 7 MSKMEDTEMLEQQLPEASKVNLVDSGVQQQQSSLATEDQDTTETRHSPHSGTADDKALTLPTDVNMSDNPALPDKPDKKTSNDANTNARDAASVERLEKKSSGDAAPLPCAEFLTPKSQHGSVKKSKNWLLDPEMGEADEAGTQEERAAFMKELESFYKDRSLEFKPPKFYGEPLNCLKLWRAVIRLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKYKRENGEIQLPASSLPHTVGEKESSGYQASGSGRARRDAAARAMQGWHAQRSVGYGEITEPIIKDKSLSSTPKQKHLKTIGLQKQKTPISTEPAEKSAHEPNKQLVTEVVDVGAPADWVKINVRETKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQVDNPWGITPFKKVVTLPARIDPLQTSAVVSLHGRLFVRVPFEH >EOY14479 pep chromosome:Theobroma_cacao_20110822:8:428148:430484:-1 gene:TCM_033847 transcript:EOY14479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like family protein isoform 2 RQEDAEAFLAQVRLRRRELRSNAKVVPITLDQVYSLKVEGIAFRFLPDPIQIKNALELKAADIKSGFDGVPVFQEDIEKELSKVSRASRGTGLSQHIMVGSLEDVLRKMEHQNSNYINSKLLKSIRDGRENLWDRSELALFL >EOY14478 pep chromosome:Theobroma_cacao_20110822:8:427731:432008:-1 gene:TCM_033847 transcript:EOY14478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like family protein isoform 2 MEEDAEAFLAQVRLRRRELRSNAKVVPITLDQVYSLKVEGIAFRFLPDPIQIKNALELKAADIKSGFDGVPVFQSDLLVVRKKNKRFFPIYFNKEDIEKELSKVSRASRGTGLSQHIMVGSLEDVLRKMEMSEKNSGWEDLIFIPPASKQQLHQLEALEVYSGWEREFMG >EOY17032 pep chromosome:Theobroma_cacao_20110822:8:17138683:17140817:1 gene:TCM_036193 transcript:EOY17032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPDHLHYHYFDHSTSTWVPPGYRFAPTDDQLILHYLSNKVKGQPLLSEAVTDCEIYGDQDKEPWNIFDVTSPRTFYVFTKLKNKGKGKRIERTAGRGTWKGQRTDPVKDSDGNHIGLKKLFVFEVKGDGANNVNGHWLMHEYSLLTQSDYVLCAIRNKNANESTAEEVGLDHVEGIEAMIEEPEQCIGQDQTLMSKQVQATNTCINNQHTKRGLETEEDETQQKRMRFGNSVQDKQTCFAGAATPVSTLASDKSLGDQEGMTAEKLEQEWALEGFEDQNLDELLEATNAQEYPQQEEMMRFSDYCVMEDMYKQHSFTAAAEPALGIDSEFYYSNPQPYTLHDHCPHSLPDNSMGNWSD >EOY14735 pep chromosome:Theobroma_cacao_20110822:8:1126702:1135146:-1 gene:TCM_034022 transcript:EOY14735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar isomerase (SIS) family protein isoform 2 MSGFHQGDPDSIPGNGAQWLGCLAFTQETRVRSPATEPSFCPLLHFFIFAWPWRMTISPISDAVVYVVLTLGNSARCFRGVHRKSQPTEFNPQKSQSNKLHAPKPLNRTQSRDSPKLCQLQPFLPPLVLYPLSFHHPLCFLLCSAEMASTTLICDTEPWKDLKAHVEDFKNTHLRDLMNDKERCQSMMVEFDGMLLDYSRQRATLETMDKLDKLAEAASLKQHINRMYSGEHINSTENRSVLHVALRAPRDAVIYSDGKNVVPDVWNVLDKIKAFSEKVRSGSWVGATGKPLKDVIAIGIGGSFLGPLFVHTALQTDPEAAEFAKGRQLRFLANVDPIDVARNIAGLNPETTLVVVVSKTFTTAETMLNARTLREWISSALGPCAVAKHMVAVSTNLTLVEKFGIDTNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVEKFLKGASSIDQHFCSAPFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGVPLPYEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGIVKSQQPVYLKGELVSNHDELMSNFFAQPDALAYGKTSEQLLKENVPQHLIPHKTFSGNRPSLSLLLPSLNAYNIGQLLASYEHRIAVEGFVWGINSFDQWGVELGKSLATQVRKQLHASRTKGDPVEGFNFSTTTLLTRYLEASSDIPADPPTLLPRI >EOY14736 pep chromosome:Theobroma_cacao_20110822:8:1127113:1133940:-1 gene:TCM_034022 transcript:EOY14736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar isomerase (SIS) family protein isoform 2 MASTTLICDTEPWKDLKAHVEDFKNTHLRDLMNDKERCQSMMVEFDGMLLDYSRQRATLETMDKLDKLAEAASLKQHINRMYSGEHINSTENRSVLHVALRAPRDAVIYSDGKNVVPDVWNVLDKIKAFSEKVRSGSWVGATGKPLKDVIAIGIGGSFLGPLFVHTALQTDPEAAEFAKGRQLRFLANVDPIDVARNIAGLNPETTLVVVVSKTFTTAETMLNARTLREWISSALGPCAVAKHMVAVSTNLTLVEKFGIDTNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVEKFLKGASSIDQHFCSAPFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGVPLPYEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGIVKSQQPVYLKGELVSNHDELMSNFFAQPDALAYGKTSEQLLKENVPQHLIPHKTFSGNRPSLSLLLPSLNAYNIGQLLASYEHRIAVEGFVWGINSFDQWGVELGKSLATQVRKQLHASRTKGDPVEGFNFSTTTLLTRYLEASSDIPADPPTLLPRI >EOY14737 pep chromosome:Theobroma_cacao_20110822:8:1127085:1133481:-1 gene:TCM_034022 transcript:EOY14737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar isomerase (SIS) family protein isoform 2 MNDKERCQSMMVEFDGMLLDYSRQRATLETMDKLDKLAEAASLKQHINRMYSGEHINSTENRSVLHVALRAPRDAVIYSDGKNVVPDVWNVLDKIKAFSEKVRSGSWVGATGKPLKDVIAIGIGGSFLGPLFVHTALQTDPEAAEFAKGRQLRFLANVDPIDVARNIAGLNPETTLVVVVSKTFTTAETMLNARTLREWISSALGPCAVAKHMVAVSTNLTLVEKFGIDTNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVEKFLKGASSIDQHFCSAPFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGVPLPYEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGIVKSQQPVYLKGELVSNHDELMSNFFAQPDALAYGKTSEQLLKENVPQHLIPHKTFSGNRPSLSLLLPSLNAYNIGQLLASYEHRIAVEGFVWGINSFDQWGVELGKSLATQVRKQLHASRTKGDPVEGFNFSTTTLLTRYLEASSDIPADPPTLLPRI >EOY16179 pep chromosome:Theobroma_cacao_20110822:8:6065307:6069543:-1 gene:TCM_035026 transcript:EOY16179 gene_biotype:protein_coding transcript_biotype:protein_coding description:T6D22.19-like protein MGIWLSASTHHLWYKFYHTTTFAVLPSALSFLLLDPLETRSIFGSTGKSLNTFNSNFVEKIKNVTIMDQSVENYINMDDDVNILNEDEILSQSHISESEQLNKRAKKETSNVWNYFTKIGKKQDRVERATCNGCKTEYKVGPKPGGSNYGTSHLRRHIDTCKFISYFNPHQMLMDYEGKVKARKFYPRISRDMLAEAIIKHYLPHAFVEYDKIRAWAKYVNPDVVMPSRNTAVSDVQRIHLREKEKLKQAMAKNLHNEDEVIKDMSQMMKMKFEKYWKDYSVVLTFGAILDPRMKLDFLRFCYSKIDASTCHEKLENVKTKLYELFEQYASNTGASGTFSHSTSNLPKQAGGGTKPKGLKIFSEFKMFQNETISIARKFEFDVYLGEAKLDYEVFEDLNVLNYWKDNAKRFPDLSVMARDVLSISITTVASESAFSIGGHVLTKFRSSLHHENVEMLVCTKNWLHGFSLAADDDDSELETSLLSK >EOY16212 pep chromosome:Theobroma_cacao_20110822:8:6226812:6229286:-1 gene:TCM_035053 transcript:EOY16212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein MDLLSPLVLVMAMLLSGPKMYECARIFTILNSCKETIWPGITPGDNFNGGGFALKPGQSIVFQAPIGWSGRIWGRTGCKFDKNGNGPCQTADCGNTLKCSASGKTPASLAEFTLSELDFYDVSLVDGFNLPLAVTPINGRGNCTVAGCDSDLRKSCPSELSVKANGKVIACRSACDIFNTDEYCCRGLYGNPVVCQPTYYSKKFKSACPTAYSYAYDDPTSIFTCSGPDYVITFCSTRNQTVCTYHDHKLICKNKANGTNPLMGTWWSAMLALLLVASLWFKL >EOY15623 pep chromosome:Theobroma_cacao_20110822:8:3981866:3982764:1 gene:TCM_034626 transcript:EOY15623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERESGDWQGVMMSSASGNSSRAVFARLSLKRHPEMGPKKQELTKEKWECFFFCCFCWGSDETERDPPIWLAVAAAAAAADQLAAGHWELSSSLL >EOY16086 pep chromosome:Theobroma_cacao_20110822:8:5734528:5739469:1 gene:TCM_047075 transcript:EOY16086 gene_biotype:protein_coding transcript_biotype:protein_coding description:STAS domain / Sulfate transporter family isoform 2 MGSLPDETFSVEEQQQQLDLEDAGRTERKKWLINSPDPPSFWQELVSAIRGSVFPHGRKHSSSAGGRRATAMSFLQGLFPILSWGRTYKASKFKHDLMAGLTLASLSIPQSIGYANLAKVDPQYGLYTSVVPPLIYALMGSSREIAIGPVAVVSMLLSSMIPNLVDPAADPNGYRRLVFTVTFFAGTFQTIFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLFGMSHFTTKTDVISVLHSVFKSVQHEWYPLNFVLGCLFLVFLLVARFIGRRNKKLFWFPAIAPLISVILSTLIVYLTKADKHGVKIVKHIKGGLNPSSLHQLQFEGPHVAEAAKIGLITAIVALTEAIAVGRSFASIKGYHLDGNKEMMAMGFMNLAGSLTSCYVATGSFSRTAVNFSAGCQTVVSNIVMAITVLLSLELFTRLLYYTPIAILASIILSALPGLIDFNEACYIWKVDKLDFLACIGAFFGVLFASVEIGLLAAVTISFAKILLNSIRPAIEQLGRLPRTDIFCEIDQYPMAIKTPGILTLRVNSALLCFANANFLRERIIRCVTEEENETEETAKGRVQILILDMSNVMNIDTSGIVALEELHNELVSSGIRLAMVNLRWQAIHKLKLAKFMEKIGAEWIFLTVSEAVEECLASKLESTNNC >EOY16087 pep chromosome:Theobroma_cacao_20110822:8:5734528:5740295:1 gene:TCM_047075 transcript:EOY16087 gene_biotype:protein_coding transcript_biotype:protein_coding description:STAS domain / Sulfate transporter family isoform 2 MGSLPDETFSVEEQQQQLDLEDAGRTERKKWLINSPDPPSFWQELVSAIRGSVFPHGRKHSSSAGGRRATAMSFLQGLFPILSWGRTYKASKFKHDLMAGLTLASLSIPQSIGYANLAKVDPQYGLYTSVVPPLIYALMGSSREIAIGPVAVVSMLLSSMIPNLVDPAADPNGYRRLVFTVTFFAGTFQTIFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLFGMSHFTTKTDVISVLHSVFKSVQHEWYPLNFVLGCLFLVFLLVARFIGRRNKKLFWFPAIAPLISVILSTLIVYLTKADKHGVKIVKHIKGGLNPSSLHQLQFEGPHVAEAAKIGLITAIVALTEAIAVGRSFASIKGYHLDGNKEMMAMGFMNLAGSLTSCYVATGSFSRTAVNFSAGCQTVVSNIVMAITVLLSLELFTRLLYYTPIAILASIILSALPGLIDFNEACYIWKVDKLDFLACIGAFFGVLFASVEIGLLAACSKLKEFQTLVTCGFHLIIELHNSRSIKLYTQEVRSCHHAFWTNNC >EOY14432 pep chromosome:Theobroma_cacao_20110822:8:330617:335203:-1 gene:TCM_033821 transcript:EOY14432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein isoform 2 MAELGFQEQSAVRSSGYRARDASPDSVIFTLESNFSLFSSASASVDRCSFASDAHDHDSLASELSLHLAGHEGGDQNESLSGPDLDPNKAMTVHKHSRLSRKGEKVKVQKGENNEAHIVEDENQLIDSARNSFSLALKECQDRRTRSEALLKNPDRRRPASLDLNNVSASSPRLGAMKKSSVATRKSGAFPSPGTPSYHHHHHSSAGMQKGWSSERVPLHNNGGRRQGNAAGVLPFNNGRTLPSKWEDAERWIFSPVSGDGGVRQSIVPPQRKPKSKSGPLGPPGIAYYSLYSPAMHMFDGGHTGNFMAGSPFSAGVIAADGLAIHSSSHGGGFAARTEPCMARSVSVHGCSEVVTPPSFPSQDADENLETVKDAATDISRTVSRRDMATQMSPQGSTNSSPKGRPFSPLTPSALPIMEVQSIHSSKSEVRDVQVDERVTMTRWSKKHRARNSGKSSEIVDDWRKRAVDTRTSTWDVTETAKNISKIKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKRAQEMRSLMLANQAHQVTRTSHKALSFSRTRQMGSLSGCFTCHAF >EOY14434 pep chromosome:Theobroma_cacao_20110822:8:330724:335119:-1 gene:TCM_033821 transcript:EOY14434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein isoform 2 MKKSSVATRKSGAFPSPGTPSYHHHHHSSAGMQKGWSSERVPLHNNGGRRQGNAAGVLPFNNGRTLPSKWEDAERWIFSPVSGDGGVRQSIVPPQRKPKSKSGPLGPPGIAYYSLYSPAMHMFDGGHTGNFMAGSPFSAGVIAADGLAIHSSSHGGGFAARTEPCMARSVSVHGCSEVVTPPSFPSQDADENLETVKDAATDISRTVSRRDMATQMSPQGSTNSSPKGRPFSPLTPSALPIMEVQSIHSSKSEVRDVQVDERVTMTRWSKKHRARNSGKSSEIVDDWRKRAVDTRTSTWDVTETAKNISKIKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKRAQEMRSLMLANQAHQVTRTSHKALSFSRTRQMGSLSGCFTCHAF >EOY14433 pep chromosome:Theobroma_cacao_20110822:8:330343:335161:-1 gene:TCM_033821 transcript:EOY14433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein isoform 2 MAELGFQEQSAVRSSGYRARDASPDSVIFTLESNFSLFSSASASVDRCSFASDAHDHDSLASELSLHLAGHEGGDQNESLSGPDLDPNKAMTVHKHSRLSRKGEKVKVQKGENNEAHIVEDENQLIDSARNSFSLALKECQDRRTRSEALLKNPDRRRPASLDLNNVSASSPRLGAMKKSSVATRKSGAFPSPGTPSYHHHHHSSAGMQKGWSSERVPLHNNGGRRQGNAAGVLPFNNGRTLPSKWEDAERWIFSPVSGDGGVRQSIVPPQRKPKSKSGPLGPPGIAYYSLYSPAMHMFDGGHTGNFMAGSPFSAGVIAADGLAIHSSSHGGGFAARTEPCMARSVSVHGCSEVVTPPSFPSQDENLETVKDAATDISRTVSRRDMATQMSPQGSTNSSPKGRPFSPLTPSALPIMEVQSIHSSKSEVRDVQVDERVTMTRWSKKHRARNSGKSSEIVDDWRKRAVDTRTSTWDVTETAKNISKIKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKRAQEMRSLMLANQAHQVTRTSHKALSFSRTRQMGSLSGCFTCHAF >EOY16076 pep chromosome:Theobroma_cacao_20110822:8:5682164:5694757:1 gene:TCM_034957 transcript:EOY16076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-CoA carboxylase 1 isoform 2 MSEAQRKSAMAGVGRGNNGYTNGVLLMRSPATISQVDEFCFALGGKKPIHSILIANNGMAAVKFIRSIRTWAYETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITHVDAVWPGWGHASESPALPDALNAKGIIFLGPPAVSMAALGDKIGSSLIAQAAEVPTLPWSGSHVKIPAESCLVAIPDEIYSKACVYTTEEAILSCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPITVAPLETVKKLEQAARRLAKCVNYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMEHGGGYDSWRKTSVVTTSFDFDKAESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTIDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKAAASSAAMVSDYVGYLEKGQIPPKHISLVHSQVSLNIEGSKYTIDMVRGGPGSYRLKMNESEIEAEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLVAETPCKLLRFLVSDGSHVDADTPYAEVEVMKMCMPLLSPGSGVIQLKMSEGQAMQAGELIARLDLDDPSAVRKAEPFHGSFPVLGPPTAISGKVHQKCAASLNTACMILAGYEHNIDEVVQSLLTCLDSPELPFLQWQECLSVLATRLPKNLKNELESNHKGFEAISSSQNVDFPAKLLKGVLESHLSSCPEKERGSLERLIEPLMSLVKSYEGGRESHARVIVRSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLKVVDIVLSHQGVKSKNKLILRLLEQLVYPNPAAYRDQLIRFSALNHTSYSELALKASQLLEQTKLSELRSTIARSLSELEMFTEDGESMDTPKRKSAINERMEDLVSAPLAVEDALVGLFDHSDHTLQRRVVETYVRRLYQPYLVKGSVRMQWHRSGLIASWEFLEEHIERKNGSEEKMSDKPLVEKHGEKKWGAMVIIKSLQFLPAIINAALRETTHNLHEATPNGCAEPSSFGNMMHIALVGINNQMSLLQDSGDEDQAQERINKLAKILKDKEVGSSLRSAGVGVISCIIQRDEGRTPMRHSFHWSAEKLYYEEEPFLRHLEPPLSIYLELDKLKGYENIQYTPSRDRQWHLYTVVDKPLPIQRMFLRTLVRQPTADDGLTAYRGLDVDMIRSQWAISFTSRSILRSLMAAMEELELNVHNATLKSDHAQMYLCILREQQINDLVPYPKQIYRELEDTSKHRVVYHSLSVRGPLHGVPVNAHYQTLGVLDRKRLLARKNNTTYCYDFPLAFETALQQSWASQFPGIKKPKDKLLPKVTELIFADQKGNWGTPLVPVERQPGLNDVGMVAWCMEMSTPEFPSGRTILIVANDVTFKAGSFGPREDAFFLGVTDLACTKKLPLIYLAANSGARIGVAEEVKACFKVGWSDESSPERGFQYVYLTPEDYARIGSSVIAHEIKLASGECRWVIDTIVGKEDGLGVENLTGSGAIAGAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILNWLSCIPTHIGGPLPILNPSDPPERPVEYFPENSCDPRAAICGALESSGNWKGGIFDRDSFVETLEGWARTVVTGRAKLGGIPVGVVAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAIMDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPVFVYIPMMGELRGGAWVVVDSRINADHIEMYAERTAKGNVLEPEGMIEIKFRTKELLECMGRLDQQLISLKANLQEAKISGAYAKMESLQQQIRTREKQLLPVYTQIATKFAELHDTSLRMAAKGVIKEVVDWDRSRSFFYRRLCRRIAESSLVKIVKDAAGDQLSHKSAMDLIKKWFLDSNIAKGSEDAWVNDEAFFSWKDDQRNYSEKLQELRVQKVLLQLTNIGNSASDMQALPQGLAALLSKMEPSSRTQIVNELRKVLG >EOY16077 pep chromosome:Theobroma_cacao_20110822:8:5682164:5694415:1 gene:TCM_034957 transcript:EOY16077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-CoA carboxylase 1 isoform 2 MSEAQRKSAMAGVGRGNNGYTNGVLLMRSPATISQVDEFCFALGGKKPIHSILIANNGMAAVKFIRSIRTWAYETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITHVDAVWPGWGHASESPALPDALNAKGIIFLGPPAVSMAALGDKIGSSLIAQAAEVPTLPWSGSHVKIPAESCLVAIPDEIYSKACVYTTEEAILSCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPITVAPLETVKKLEQAARRLAKCVNYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMEHGGGYDSWRKTSVVTTSFDFDKAESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTIDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKAAASSAAMVSDYVGYLEKGQIPPKHISLVHSQVSLNIEGSKYTIDMVRGGPGSYRLKMNESEIEAEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLVAETPCKLLRFLVSDGSHVDADTPYAEVEVMKMCMPLLSPGSGVIQLKMSEGQAMQAGELIARLDLDDPSAVRKAEPFHGSFPVLGPPTAISGKVHQKCAASLNTACMILAGYEHNIDEVVQSLLTCLDSPELPFLQWQECLSVLATRLPKNLKNELESNHKGFEAISSSQNVDFPAKLLKGVLESHLSSCPEKERGSLERLIEPLMSLVKSYEGGRESHARVIVRSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLKVVDIVLSHQGVKSKNKLILRLLEQLVYPNPAAYRDQLIRFSALNHTSYSELALKASQLLEQTKLSELRSTIARSLSELEMFTEDGESMDTPKRKSAINERMEDLVSAPLAVEDALVGLFDHSDHTLQRRVVETYVRRLYQPYLVKGSVRMQWHRSGLIASWEFLEEHIERKNGSEEKMSDKPLVEKHGEKKWGAMVIIKSLQFLPAIINAALRETTHNLHEATPNGCAEPSSFGNMMHIALVGINNQMSLLQDSGDEDQAQERINKLAKILKDKEVGSSLRSAGVGVISCIIQRDEGRTPMRHSFHWSAEKLYYEEEPFLRHLEPPLSIYLELDKLKGYENIQYTPSRDRQWHLYTVVDKPLPIQRMFLRTLVRQPTADDGLTAYRGLDVDMIRSQWAISFTSRSILRSLMAAMEELELNVHNATLKSDHAQMYLCILREQQINDLVPYPKQIYRELEDTSKHRVVYHSLSVRGPLHGVPVNAHYQTLGVLDRKRLLARKNNTTYCYDFPLAFETALQQSWASQFPGIKKPKDKLLPKVTELIFADQKGNWGTPLVPVERQPGLNDVGMVAWCMEMSTPEFPSGRTILIVANDVTFKAGSFGPREDAFFLGVTDLACTKKLPLIYLAANSGARIGVAEEVKACFKVGWSDESSPERGFQYVYLTPEDYARIGSSVIAHEIKLASGECRWVIDTIVGKEDGLGVENLTGSGAIAGAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILNWLSCIPTHIGGPLPILNPSDPPERPVEYFPENSCDPRAAICGALESSGNWKGGIFDRDSFVETLEGWARTVVTGRAKLGGIPVGVVAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAIMDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPVFVYIPMMGELRGGAWVVVDSRINADHIEMYAERTAKGNVLEPEGMIEIKFRTKELLECMGRLDQQLISLKANLQEAKISGAYAKMESLQQQIRTREKQLLPVYTQIATKFAELHDTSLRMAAKGVIKEVVDWDRSRSFFYRRLCRRIAESSLVKIVKDAAGDQLSHKSAMDLIKKWFLDSNIAKGSEDAWVNDEAFFSWKDDQRNYSEKLQELRVQKVLLQLTNIGNSASDMQALPQGLAALLSKVNHPTILSCFYSILVYISRNL >EOY16075 pep chromosome:Theobroma_cacao_20110822:8:5681167:5695133:1 gene:TCM_034957 transcript:EOY16075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-CoA carboxylase 1 isoform 2 MSEAQRKSAMAGVGRGNNGYTNGVLLMRSPATISQVDEFCFALGGKKPIHSILIANNGMAAVKFIRSIRTWAYETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITHVDAVWPGWGHASESPALPDALNAKGIIFLGPPAVSMAALGDKIGSSLIAQAAEVPTLPWSGSHVKIPAESCLVAIPDEIYSKACVYTTEEAILSCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPITVAPLETVKKLEQAARRLAKCVNYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMEHGGGYDSWRKTSVVTTSFDFDKAESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTIDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKAAASSAAMVSDYVGYLEKGQIPPKHISLVHSQVSLNIEGSKYTIDMVRGGPGSYRLKMNESEIEAEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLVAETPCKLLRFLVSDGSHVDADTPYAEVEVMKMCMPLLSPGSGVIQLKMSEGQAMQAGELIARLDLDDPSAVRKAEPFHGSFPVLGPPTAISGKVHQKCAASLNTACMILAGYEHNIDEVVQSLLTCLDSPELPFLQWQECLSVLATRLPKNLKNELESNHKGFEAISSSQNVDFPAKLLKGVLESHLSSCPEKERGSLERLIEPLMSLVKSYEGGRESHARVIVRSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLKVVDIVLSHQGVKSKNKLILRLLEQLVYPNPAAYRDQLIRFSALNHTSYSELALKASQLLEQTKLSELRSTIARSLSELEMFTEDGESMDTPKRKSAINERMEDLVSAPLAVEDALVGLFDHSDHTLQRRVVETYVRRLYQPYLVKGSVRMQWHRSGLIASWEFLEEHIERKNGSEEKMSDKPLVEKHGEKKWGAMVIIKSLQFLPAIINAALRETTHNLHEATPNGCAEPSSFGNMMHIALVGINNQMSLLQDSGDEDQAQERINKLAKILKDKEVGSSLRSAGVGVISCIIQRDEGRTPMRHSFHWSAEKLYYEEEPFLRHLEPPLSIYLELDKLKGYENIQYTPSRDRQWHLYTVVDKPLPIQRMFLRTLVRQPTADDGLTAYRGLDVDMIRSQWAISFTSRSILRSLMAAMEELELNVHNATLKSDHAQMYLCILREQQINDLVPYPKRVDLDARQEEAAAESILEELAQEIHAFVGVRMHKLGVCEWEVKLWMASSGQANGAWRVVVTNVTGQTCTVHIYRELEDTSKHRVVYHSLSVRGPLHGVPVNAHYQTLGVLDRKRLLARKNNTTYCYDFPLAFETALQQSWASQFPGIKKPKDKLLPKVTELIFADQKGNWGTPLVPVERQPGLNDVGMVAWCMEMSTPEFPSGRTILIVANDVTFKAGSFGPREDAFFLGVTDLACTKKLPLIYLAANSGARIGVAEEVKACFKVGWSDESSPERGFQYVYLTPEDYARIGSSVIAHEIKLASGECRWVIDTIVGKEDGLGVENLTGSGAIAGAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILNWLSCIPTHIGGPLPILNPSDPPERPVEYFPENSCDPRAAICGALESSGNWKGGIFDRDSFVETLEGWARTVVTGRAKLGGIPVGVVAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAIMDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPVFVYIPMMGELRGGAWVVVDSRINADHIEMYAERTAKGNVLEPEGMIEIKFRTKELLECMGRLDQQLISLKANLQEAKISGAYAKMESLQQQIRTREKQLLPVYTQIATKFAELHDTSLRMAAKGVIKEVVDWDRSRSFFYRRLCRRIAESSLVKIVKDAAGDQLSHKSAMDLIKKWFLDSNIAKGSEDAWVNDEAFFSWKDDQRNYSEKLQELRVQKVLLQLTNIGNSASDMQALPQGLAALLSKMEPSSRTQIVNELRKVLG >EOY17303 pep chromosome:Theobroma_cacao_20110822:8:19176477:19185363:-1 gene:TCM_036456 transcript:EOY17303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGKNWYLSLIVLMLCLCVLNNGGRVRAQSAAECKQERKILVNACKAVITRKPPTPYCCERLRVTRVSCVCPVITPQLAALIDVNYAIKVIQGCGIQVPRHFKCGIKLSSTPFCVFFTQQETASSSHQSRLPPLIFRTIYLMVLTEFCTFHLCRATSFNEKNKKKWERKERSGLVSPDVPKCNPILTNVSKLNELEPNNVEKKSWLTWEKYTYAPALRWSKVNYSCSSQNLEYIPNWSL >EOY15419 pep chromosome:Theobroma_cacao_20110822:8:3213172:3228831:1 gene:TCM_034487 transcript:EOY15419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase activation protein with PH domain, putative isoform 3 MATKKDEPCQAHQKMPSKKEEPSQAQQQRIPSKKEEPSQGLQGEAAAPGPPTPAPGPPDHLHSRGGNSVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPSAISQKGNEVNLTLGGIDLNNSGSVVVKADKKLLTVLFPDGRDGRAFTLKAETLEDLYEWKTALEHALSQAPSSAHVMGQNGIFGNDQTDAVDGSKEPVNDKQPVRSTVIGRPILLALEDVDGAPTFLEKALRFVEEHGVKVEGILRQAADVEDVERRIREYEQGKSEFSSEEDPHVIADCVKYVLRELPSSPVPASCCNALLEACRTERGARVNAMRVAVLDTFPEPNRRLLQRILLMMQKVASHKAENWMSSSAVAACMAPLLLRPLLAGDCEIENDFDVGGDGSIQLLQAAAAANHAQAIVITLLEEYDKIFGVGSVSPDLYSDSEESGSESEEATDDGESYEDDEDYEDDDCDDAIQASDAYNNDDDVASRTGSESGHSINNDLDDDKDSDYSSSGSELSEAGDDLKATKKLSSSPHSSLSENDNSERSEDNQSSNSSVTETNKSAGLSKGVYGETKLEDQLTSHNQISCIPKSISIGNGPGHNVRRPTVWGRTAAKKNLSMESIDFPCEEEAEIETLEAEKSDLQNRLTEEIEGNAILEASLEKRKKTLHERRLALEKDVARLEEELQRERDKRMALEAGLNPFQGPITLPATIDEKTKADLKDIAQAEADIINLKKKVDDLGMQLNQHLEKNSVSMNDSCNKHQPNHQAKMKDKPKGTEAAFKRSGSKDTYLDEAWCQNEKKQESSLANKHTPQNQQLDHSAHNSNHMHAAETAAQKPLAPSNSKKSATKGSQFHIFSTDKTDNPAQLSEGAAKPDCK >EOY15422 pep chromosome:Theobroma_cacao_20110822:8:3213172:3228831:1 gene:TCM_034487 transcript:EOY15422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase activation protein with PH domain, putative isoform 3 MATKKDEPCQAHQKMPSKKEEPSQAQQQRIPSKKEEPSQGLQGEAAAPGPPTPAPGPPDHLHSRGGNSVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPSAISQKGNEVNLTLGGIDLNNSGSVVVKADKKLLTVLFPDGRDGRAFTLKAETLEDLYEWKTALEHALSQAPSSAHVMGQNGIFGNDQTDAVDGSKEPVNDKQPVRSTVIGRPILLALEDVDGAPTFLEKALRFVEEHGVKVEGILRQAADVEDVERRIREYEQGKSEFSSEEDPHVIADCVKYVLRELPSSPVPASCCNALLEACRTERGARVNAMRVAVLDTFPEPNRRLLQRILLMMQKVASHKAENWMSSSAVAACMAPLLLRPLLAGDCEIENDFDVGGDGSIQLLQAAAAANHAQAIVITLLEEYDKIFGVGSVSPDLYSDSEESGSESEEATDDGESYEDDEDYEDDDCDDAIQASDAYNNDDDVASRTGSESGHSINNDLDDDKDSDYSSSGSELSEAGDDLKATKKLSSSPHSSLSENDNSERSEDNQSSNSSVTETNKSAGLSKGVYGETKLEDQLTSHNQISCIPKSISIGNGPGHNVRRPTVWGRTAAKKNLSMESIDFPCEEEAEIETLEAEKSDLQNRLTEEIEGNAILEASLEKRKKTLHERRLALEKDVARLEEELQRERDKRMALEAGLNPFQGPITLPATIDEKTKADLKDIAQAEADIINLKKKVDDLGMQLNQHLEKNSVSMNDSCNKHQPNHQAKMKDKPKGTEAAFKRSGSKQDTYLDEAWCQNEKKQESSLANKHTPQNQQLDHSAHNSNHMHAAETAAQKPLAPSNSKKSATKGSQFHIFSTDKTDNPAQLSEGAAKPDCK >EOY15421 pep chromosome:Theobroma_cacao_20110822:8:3213932:3226244:1 gene:TCM_034487 transcript:EOY15421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase activation protein with PH domain, putative isoform 3 MATKKDEPCQAHQKMPSKKEEPSQAQQQRIPSKKEEPSQGLQGEAAAPGPPTPAPGPPDHLHSRGGNSVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPSAISQKGNEVNLTLGGIDLNNSGSVVVKADKKLLTVLFPDGRDGRAFTLKAETLEDLYEWKTALEHALSQAPSSAHVMGQNGIFGNDQTDAVDGSKEPVNDKQPVRSTVIGRPILLALEDVDGAPTFLEKALRFVEEHGVKVEGILRQAADVEDVERRIREYEQGKSEFSSEEDPHVIADCVKYVLRELPSSPVPASCCNALLEACRTERGARVNAMRVAVLDTFPEPNRRLLQRILLMMQKVASHKAENWMSSSAVAACMAPLLLRPLLAGDCEIENDFDVGGDGSIQLLQAAAAANHAQAIVITLLEEYDKIFGVGSVSPDLYSDSEESGSESEEATDDGESYEDDEDYEDDDCDDAIQASDAYNNDDDVASRTGSESGHSINNDLDDDKDSDYSSSGSELSEAGDDLKATKKLSSSPHSSLSENDNSERSEDNQSSNSSVTETNKSAGLSKGVYGETKLEDQLTSHNQISCIPKSISIGNGPGHNVRRPTVWGRTAAKKNLSMESIDFPCEEEAEIETLEAEKSDLQNRLTEEIEGNAILEASLEKRKKTLHERRLALEKDVARLEEELQRERDKRMALEAGLNPFQGPITLPATIDEKTKADLKDIAQAEADIINLKKKVDDLGMQLNQHLEKNSVSMNDSCNKHQPNHQAKMKDKPKGTEAAFKRSGSKDTYLDEAWCQNEKKQESSLANKHTPQNQQLDHSAHNSNHMHAAETAAQKPLAPSNSKKSATKGEGANSTSSALTKLTTRLNFLKERRSQIANEILGMEKGRGSGQAVPNPDKGKGSEPIQSLQNPEKGRGLDISQ >EOY15424 pep chromosome:Theobroma_cacao_20110822:8:3213776:3226053:1 gene:TCM_034487 transcript:EOY15424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase activation protein with PH domain, putative isoform 3 MATKKDEPCQAHQKMPSKKEEPSQAQQQRIPSKKEEPSQGLQGEAAAPGPPTPAPGPPDHLHSRGGNSVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPSAISQKGNEVNLTLGGIDLNNSGSVVVKADKKLLTVLFPDGRDGRAFTLKAETLEDLYEWKTALEHALSQAPSSAHVMGQNGIFGNDQTDAVDGSKEPVNDKQPVRSTVIGRPILLALEDVDGAPTFLEKALRFVEEHGVKVEGILRQAADVEDVERRIREYEQGKSEFSSEEDPHVIADCVKYVLRELPSSPVPASCCNALLEACRTERGARVNAMRVAVLDTFPEPNRRLLQRILLMMQKVASHKAENWMSSSAVAACMAPLLLRPLLAGDCEIENDFDVGGDGSIQLLQAAAAANHAQAIVITLLEEYDKIFGVGSVSPDLYSDSEESGSESEEATDDGESYEDDEDYEDDDCDDAIQASDAYNNDDDVASRTGSESGHSINNDLDDDKDSDYSSSGSELSEAGDDLKATKKLSSSPHSSLSENDNSERSEDNQSSNSSVTETNKSAGLSKGVYGETKLEDQLTSHNQISCIPKSISIGNGPGHNVRRPTVWGRTAAKKNLSMESIDFPCEEEAEIETLEAEKSDLQNRLTEEIEGNAILEASLEKRKKTLHERRLALEKDVARLEEELQRERDKRMALEAGLNPFQGPITLPATIDEKADLKDIAQAEADIINLKKKVDDLGMQLNQHLEKNSVSMNDSCNKHQPNHQAKMKDKPKGTEAAFKRSGSKDTYLDEAWCQNEKKQESSLANKHTPQNQQLDHSAHNSNHMHAAETAAQKPLAPSNSKKSATKGEGANSTSSA >EOY15418 pep chromosome:Theobroma_cacao_20110822:8:3213172:3228831:1 gene:TCM_034487 transcript:EOY15418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase activation protein with PH domain, putative isoform 3 MATKKDEPCQAHQKMPSKKEEPSQAQQQRIPSKKEEPSQGLQGEAAAPGPPTPAPGPPDHLHSRGGNSVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPSAISQKGNEVNLTLGGIDLNNSGSVVVKADKKLLTVLFPDGRDGRAFTLKAETLEDLYEWKTALEHALSQAPSSAHVMGQNGIFGNDQTDAVDGSKEPVNDKQPVRSTVIGRPILLALEDVDGAPTFLEKALRFVEEHGVKVEGILRQAADVEDVERRIREYEQGKSEFSSEEDPHVIADCVKYVLRELPSSPVPASCCNALLEACRTERGARVNAMRVAVLDTFPEPNRRLLQRILLMMQKVASHKAENWMSSSAVAACMAPLLLRPLLAGDCEIENDFDVGGDGSIQLLQAAAAANHAQAIVITLLEEYDKIFGVGSVSPDLYSDSEESGSESEEATDDGESYEDDEDYEDDDCDDAIQASDAYNNDDDVASRTGSESGHSINNDLDDDKDSDYSSSGSELSEAGDDLKATKKLSSSPHSSLSENDNSERSEDNQSSNSSVTETNKSAGLSKGVYGETKLEDQLTSHNQISCIPKSISIGNGPGHNVRRPTVWGRTAAKKNLSMESIDFPCEEEAEIETLEAEKSDLQNRLTEEIEGNAILEASLEKRKKTLHERRLALEKDVARLEEELQRERDKRMALEAGLNPFQGPITLPATIDEKTKADLKDIAQAEADIINLKKKVDDLGMQLNQHLEKNSVSMNDSCNKHQPNHQAKMKDKPKGTEAAFKRSGSKDTYLDEAWCQNEKKQESSLANKHTPQNQQLDHSAHNSNHMHAAETAAQKPLAPSNSKKSATKGEGANSTSSALTKLTTRLNFLKERRSQIANEILGMEKGRGSGQAVPNPDKGKGSEPIQSLQNPEKGDVLKAIVSYSSDRDQDY >EOY15423 pep chromosome:Theobroma_cacao_20110822:8:3213172:3226606:1 gene:TCM_034487 transcript:EOY15423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase activation protein with PH domain, putative isoform 3 MATKKDEPCQAHQKMPSKKEEPSQAQQQRIPSKKEEPSQGLQGEAAAPGPPTPAPGPPDHLHSRGGNSVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPSAISQKGNEVNLTLGGIDLNNSGSVVVKADKKLLTVLFPDGRDGRAFTLKAETLEDLYEWKTALEHALSQAPSSAHVMGQNGIFGNDQTDAVDGSKEPVNDKQPVRSTVIGRPILLALEDVDGAPTFLEKALRFVEEHGVKVEGILRQAADVEDVERRIREYEQGKSEFSSEEDPHVIADCVKYVLRELPSSPVPASCCNALLEACRTERGARVNAMRVAVLDTFPEPNRRLLQRILLMMQKVASHKAENWMSSSAVAACMAPLLLRPLLAGDCEIENDFDVGGDGSIQLLQAAAAANHAQAIVITLLEEYDKIFGVGSVSPDLYSDSEESGSESEEATDDGESYEDDEDYEDDDCDDAIQASDAYNNDDDVASRTGSESGHSINNDLDDDKDSDYSSSGSELSEAGDDLKATKKLSSSPHSSLSENDNSERSEDNQSSNSSVTETNKSAGLSKGVYGETKLEDQLTSHNQISCIPKSISIGNGPGHNVRRPTVWGRTAAKKNLSMESIDFPCEEEAEIETLEAEKSDLQNRLTEEIEGNAILEASLEKRKKTLHERRLALEKDVARLEEELQRERDKRMALEAGLNPFQGPITLPATIDEKTKADLKDIAQAEADIINLKKKVDDLGMQLNQHLEKNSVSMNDSCNKHQPNHQAKMKDKPKGTEAAFKRSGSKDTYLDEAWCQNEKKQESSLANKHTPQNQQLDHSAHNSNHMHAAETAAQKPLAPSNSKKSATKGSQFHIFSTDKTDNPAQLSEGAAKPDCK >EOY15425 pep chromosome:Theobroma_cacao_20110822:8:3213776:3223190:1 gene:TCM_034487 transcript:EOY15425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase activation protein with PH domain, putative isoform 3 MATKKDEPCQAHQKMPSKKEEPSQAQQQRIPSKKEEPSQGLQGEAAAPGPPTPAPGPPDHLHSRGGNSVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPSAISQKGNEVNLTLGGIDLNNSGSVVVKADKKLLTVLFPDGRDGRAFTLKAETLEDLYEWKTALEHALSQAPSSAHVMGQNGIFGNDQTDAVDGSKEPVNDKQPVRSTVIGRPILLALEDVDGAPTFLEKALRFVEEHGVKVEGILRQAADVEDVERRIREYEQGKSEFSSEEDPHVIADCVKYVLRELPSSPVPASCCNALLEACRTERGARVNAMRVAVLDTFPEPNRRLLQRILLMMQKVASHKAENWMSSSAVAACMAPLLLRPLLAGDCEIENDFDVGGDGSIQLLQAAAAANHAQAIVITLLEEYDKIFGVGSVSPDLYSDSEESGSESEEATDDGESYEDDEDYEDDDCDDAIQASDAYNNDDDVASRTGSESGHSINNDLDDDKDSDYSSSGSELSEAGDDLKATKKLSSSPHSSLSENDNSERSEDNQSSNSSVTETNKSAGLSKGVYGETKLEDQLTSHNQISCIPKSISIGNGPGHNVRRPTVWGRTAAKKNLSMESIDFPCEEEAEIETLEAEKSDLQNRLTEEIEGNAILEASLEKRKKTLHERRLALEKDVCL >EOY15420 pep chromosome:Theobroma_cacao_20110822:8:3213172:3228409:1 gene:TCM_034487 transcript:EOY15420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase activation protein with PH domain, putative isoform 3 MATKKDEPCQAHQKMPSKKEEPSQAQQQRIPSKKEEPSQGLQGEAAAPGPPTPAPGPPDHLHSRGGNSVLKSGPLFLSSKGIGWTSWKKRWFILTHTSLVFFRSDPSAISQKGNEVNLTLGGIDLNNSGSVVVKADKKLLTVLFPDGRDGRAFTLKAETLEDLYEWKTALEHALSQAPSSAHVMGQNGIFGNDQTDAVDGSKEPVNDKQPVRSTVIGRPILLALEDVDGAPTFLEKALRFVEEHGVKVEGILRQAADVEDVERRIREYEQGKSEFSSEEDPHVIADCVKYVLRELPSSPVPASCCNALLEACRTERGARVNAMRVAVLDTFPEPNRRLLQRILLMMQKVASHKAENWMSSSAVAACMAPLLLRPLLAGDCEIENDFDVGGDGSIQLLQAAAAANHAQAIVITLLEEYDKIFGVGSVSPDLYSDSEESGSESEEATDDGESYEDDEDYEDDDCDDAIQASDAYNNDDDVASRTGSESGHSINNDLDDDKDSDYSSSGSELSEAGDDLKATKKLSSSPHSSLSENDNSERSEDNQSSNSSVTETNKSAGLSKGVYGETKLEDQLTSHNQISCIPKSISIGNGPGHNVRRPTVWGRTAAKKNLSMESIDFPCEEEAEIETLEAEKSDLQNRLTEEIEGNAILEASLEKRKKTLHERRLALEKDVARLEEELQRERDKRMALEAGLNPFQGPITLPATIDEKTKADLKDIAQAEADIINLKKKVDDLGMQLNQHLEKNSVSMNDSCNKHQPNHQAKMKDKPKGTEAAFKRSGSKQDTYLDEAWCQNEKKQESSLANKHTPQNQQLDHSAHNSNHMHAAETAAQKPLAPSNSKKSATKGEGANSTSSALTKLTTRLNFLKERRSQIANEILGMEKGRGSGQAVPNPDKGKGSEPIQSLQNPEKGRGLDISQ >EOY15793 pep chromosome:Theobroma_cacao_20110822:8:4665485:4669898:-1 gene:TCM_034753 transcript:EOY15793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MFLYLFFFLALYAVSTHIIHKIRNLPPTPFPALPVIGHLHLLEKPLHRSLSEISKRHGPILLLQLGSRYVLHVSSPSIAEECLTKNDIMFANRNYLLVGKHFGYNHTSLLWTPYGDHWRNLRRVVTVELLSTRSLRLLSSIRGDEVRMLVLGLLKNRGQIVDMRSAFFELMLNVIIRMIAGKRYYSDSVEEVEEARTFHEMVRETFHLVDATTMADFLPVLNWFQNYEKRMIALQEKRDIIMQELIEESRSKMSNDGGSLAVGKKKTVIEVLLSLQKKEPENYKDEIIRSIMMVLLNAGTETSSGTMEWAMSLLLNNPEVLKKAQMEIDNVVGHDRMMDESDLAKLPYLHCIICETMRMYPVTPLLLPHESSKECMVGGHRVPRGTTLLVNMWAIQNDPNIWEEPTKFKPERFGGFEGPRVGFKLLPFGSGRRSCPGEGLAISMVGLTLGSLIQCFEWERTSEEMVDMTQGSGLTMPKERALHAKGRPRQAMMNMLSRS >EOY16857 pep chromosome:Theobroma_cacao_20110822:8:12265084:12266749:-1 gene:TCM_035777 transcript:EOY16857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNMKRKEGALAIKINLEKVYDRVKWSFSQEVLIDIGFPSQSINLIMFIVKSFTFFIIWNGNHTLVFTSTRCLHQGDPLSIYLFV >EOY16178 pep chromosome:Theobroma_cacao_20110822:8:6062241:6063564:1 gene:TCM_035025 transcript:EOY16178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S13/S18 family, putative MLGLRGSVGVLSDVSHQLLQNITFHGIKVQYIRVGGAEIPDHKRLAVSLQSIFGIGRTRARQILSELNIDNKLTNELTGKELMSLREHVSSTYVIGEDLRRCVNADMTRLKVIQCYKGIRHEDKLPCRGQHTKTNARTAKKGFNAVVERHKASPKS >EOY16593 pep chromosome:Theobroma_cacao_20110822:8:8817072:8830799:1 gene:TCM_035414 transcript:EOY16593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKKNVHISKDELEIVRDARFVKWFKEYVAERRDEIDLRILEISHGPGLLASGVYEEVNLLIENEEDEMQKDEDEEDDMEGDEDEEEEEVEDEDEETCCDDSDNNESQSFAYSERTIVKGKHSKPKPRATNASAIMSLPSNDSMFVDPSTQAQMQQVNDMPSEPPSLLATSAEQVDNEPSSHDSSRSTSIDLSASVNGLSSRSRGRGLGVGLQTPIDSSERLHVTPVGESTFFERGVTTTITKIIKNHFNGPWPTWRKVPNDVKELMFKKFQAIEMKRDGSFLEVFNWMNKHMADHSDFIDNKSKSTSKMFTFVLSQKYGEDSSSQLEFDPHAWTEAIGGMETTRTHIYGFGIRVPITALLSGTQSNTATSESARGPINSNATSPVIALEEKVNNLSENLGTIHDEILGEIREEIKNAMVKSMSEFTADMETMIMTNALSK >EOY14448 pep chromosome:Theobroma_cacao_20110822:8:366353:366997:1 gene:TCM_033829 transcript:EOY14448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate-gated kainate-type ion channel receptor subunit GluR5, putative MTGPTFKLGGFGFVFPKGSPLTFDISEAILKVKEGGEMRQLEEHLLSPSNCSSSTSITDGSSLGPQPFAALFFISGGVSAFAFLVTTLRLARRHIRIWAYAFHSQCYRNVASRFLFRNPVMRANNQGNMLMYIPL >EOY16718 pep chromosome:Theobroma_cacao_20110822:8:10426293:10467676:1 gene:TCM_035588 transcript:EOY16718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 1 MKSLIKYAMVMENHKPYIAMLFVQFIYAGMALFSKAAIAKGMSPYVFVVYRQAFATVALAPFAFFLESKQTSLSYNLLCKIFLISLCGLTLSLNLYYVAINYTTATFAAATTNTIPVLTFIIAVCLRMESISIRQLPGIAKVFGSVTSLSGALVFAFVKGPPIKFMKWYPATQKQTAHSLINSCSFGEWIKGSLIMLAANTAWSLWLVLQGRIVKQYPAKIRLTALQCFFSCIQSTFWAIALERNPSAWRLGWDVHLLSVAYCGVIVTGITYLLQVWTIEKKGPVFTAIFTPLALIITAILSAFLWKETLHWGSIGGVVLLVGGLYSVLWGKKREDGKGVTNEQNPDTKEEIVLECITHH >EOY16717 pep chromosome:Theobroma_cacao_20110822:8:10465112:10467928:1 gene:TCM_035588 transcript:EOY16717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 1 MKSLIKCAMVMENHKPYIAMLFVQFIYAGMALFSKAAIAKGMNPYVFVVYRQAFATVALAPFAFFLERLTLSLNLYYVAINYTTATFAAATTNTIPVLTFIIAVCLRMESISIRQLPGIAKVFGSVTSLSGALVFAFVKGPPIKFMKWYPATQKQTAHSLINSCSFGEWIKGSLIMLAANTAWSLWLVLQGRIVKQYPAKIRLTALQCFFSCIQSTFWAIALERNPSAWRLGWDVHLLSVAYCGVIVTGITYLLQVWTIEKKGPVFTAIFTPLALIITAILSAFLWKETLHWGSIGGVVLLVGGLYSVLWGKKREDGKGVTNEQNPDTKEEIVLECITHH >EOY15469 pep chromosome:Theobroma_cacao_20110822:8:3417476:3420257:1 gene:TCM_034521 transcript:EOY15469 gene_biotype:protein_coding transcript_biotype:protein_coding description:DsRNA-binding domain-like superfamily protein isoform 1 MPTNENFSGVSNCYVFKSRLQEYAQKVGLPTPVYETIKEGPSHEPSFRSAVIVNDVRYDSLPGFFNRKAAEQSAAEVALMELSKSGEVNQSISQPVHETGLCKNLLQEYAQKMNYAIPVYQCLKDEAPGRGPHFSCTVEIGGIRYIGAAARTKKEAEIKAARTALLAIQSSTLELSNKVVGNSQLTVIPCRKRAMETASNPEEAVNVPKAKKTRFKKKMLKAKLSGNSVDHSQDKSTGNSAVGMDDPVKSEWVQTNSLSSETLATEVVGNLQDTKLDSDLIEREVPSAEVALPPQGADNSKNGQLTALNCVHCNHEAPDVGNSSMVYADVTALVKVTDGVEVASMVNDSSFSQMEASKIMTGLNQAVERIHANAGQA >EOY15468 pep chromosome:Theobroma_cacao_20110822:8:3417444:3420196:1 gene:TCM_034521 transcript:EOY15468 gene_biotype:protein_coding transcript_biotype:protein_coding description:DsRNA-binding domain-like superfamily protein isoform 1 MPTNENFSEFFILHLSGSATYFCCRFLLLLSPGVSNCYVFKSRLQEYAQKVGLPTPVYETIKEGPSHEPSFRSAVIVNDVRYDSLPGFFNRKAAEQSAAEVALMELSKSGEVNQSISQPVHETGLCKNLLQEYAQKMNYAIPVYQCLKDEAPGRGPHFSCTVEIGGIRYIGAAARTKKEAEIKAARTALLAIQSSTLELSNKVVGNSQLTVIPCRKRAMETASNPEEAVNVPKAKKTRFKKKMLKAKLSGNSVDHSQDKSTGNSAVGMDDPVKSEWVQTNSLSSETLATEVVGNLQDTKLDSDLIEREVPSAEVALPPQGADNSKNGQLTALNCVHCNHEAPDVGNSSMVYADVTALVKVTDGVEVASMVNDSSFSQMEASKIMTGLNQAVERIHANAGQA >EOY16889 pep chromosome:Theobroma_cacao_20110822:8:12801218:12803732:-1 gene:TCM_035834 transcript:EOY16889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dead box ATP-dependent RNA helicase, putative isoform 2 MKRAIKPLALGSLALSSELVSSTKPFTSIKPFSLIRPLSSSTAKATKETEKEQSFSPKSKRDALILEQFRQRHLRGSLKSNSKSKSKQQQQQQSSPPKSTSTVVEKARESEQVGFENDKSGATKVVSSFQELGLKAEIIGALSEMGIWVPSEIQCVGIPALLDGKSVVLSSDSGSGRTLAYLLPLIQLLRQDEALLSVKPKHPRAIVLCTSEEQCDQGFQVAGCISHHATLKSAMESGSGKSRTSENLPNDSIGMLVATPSETIKYIEERSVVPDDIKYLVLDEMDTMFDHGLGSEIHKILYLIKNRTSKAKDFGLQTILVTSTITKMLGNRLSPLMEHLEQNNAGKVAAMMLEMDQQEVFDLTQSLDALKIKIDEAMDSLHLS >EOY16890 pep chromosome:Theobroma_cacao_20110822:8:12800825:12803834:-1 gene:TCM_035834 transcript:EOY16890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dead box ATP-dependent RNA helicase, putative isoform 2 MKRAIKPLALGSLALSSELVSSTKPFTSIKPFSLIRPLSSSTAKATKETEKEQSFSPKSKRDALILEQFRQRHLRGSLKSNSKSKSKQQQQQQSSPPKSTSTVVEKARESEQVGFENDKSGATKVVSSFQELGLKAEIIGALSEMGIWVPSEIQCVGIPALLDGKSVVLSSDSGSGRTLAYLLPLIQVTSKYLHIAEQLLRQDEALLSVKPKHPRAIVLCTSEEQCDQGFQVAGCISHHATLKSAMESGSGKSRTSENLPNDSIGMLVATPSETIKYIEERSVVPDDIKYLVLDEMDTMFDHGLGSEIHKILYLIKNRTSKAKDFGLQTILVTSTITKMLGNRLSPLMEHLEQNNAGKVAAMMLEMDQQEVFDLTQSLDALKIKIDEAMDSLHLS >EOY16891 pep chromosome:Theobroma_cacao_20110822:8:12786253:12804136:-1 gene:TCM_035834 transcript:EOY16891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dead box ATP-dependent RNA helicase, putative isoform 2 MKRAIKPLALGSLALSSELVSSTKPFTSIKPFSLIRPLSSSTAKATKETEKEQSFSPKSKRDALILEQFRQRHLRGSLKSNSKSKSKQQQQQQSSPPKSTSTVVEKARESEQVGFENDKSGATKVVSSFQELGLKAEIIGALSEMGIWVPSEIQCVGIPALLDGKSVVLSSDSGSGRTLAYLLPLIQDEALLSVKPKHPRAIVLCTSEEQCDQGFQVAGCISHHATLKSAMESGSGKSRTSENLPNDSIGMLVATPSETIKYIEERSVVPDDIKYLVLDEMDTMFDHGLGSEIHKILYLIKNRTSKAKDFGLQTILVTSTITKMLGNRLSPLMEHLEQNNAGKVAAMMLEMDQQEVFDLTQSLDALKIKIDEAMDSLHLS >EOY16645 pep chromosome:Theobroma_cacao_20110822:8:9166189:9168699:-1 gene:TCM_035467 transcript:EOY16645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein (GLTP) family protein, putative isoform 2 MKRKREMEKRSELRSAIDELSMIAKVQPGDDLDAAHIAPKPFLHVCTLILQVLDKIGPTMAVLRQDIHQNIQRLEILCESDPTRYSNLIEMLKEEDSEGNARKGTSCSRAFLWLTRSMDFMVDLLKRLVKNPGQNMEQAVEESYNITLKPWHGWISSAAFKVALKLVPDNETFISLLMTKDQTYDNLREDMQTLISLLVPILEEIHSILNFYRLGRLKST >EOY16646 pep chromosome:Theobroma_cacao_20110822:8:9166732:9168906:-1 gene:TCM_035467 transcript:EOY16646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein (GLTP) family protein, putative isoform 2 MKRKREMEKRSELRSAIDELSMIAKVQPGDDLDAAHIAPKPFLHVCTLILQVLDKIGPTMAVLRQDIHQNIQRLEILCESDPTRYSNLIEMLKEEDSEGNARKGTSCSRAFLWLTRSMDFMVDLLKRLVKNPGQNMEQAVEESYNITLKPWHGWISSAAFKFTGGAKT >EOY17240 pep chromosome:Theobroma_cacao_20110822:8:18595977:18599523:-1 gene:TCM_036388 transcript:EOY17240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec15A MDSKPKRRTVIENGDTGEDLVLATVIGNGDDLSPLVRHAFEMGRPEPLVHQLKHVVKKKEVEIEELCKTHYEEFILAVDELRGVLVDAEELKSDLASDNFRLQEVGSALLVKLEELLESCSIKKNVTEAIKMSKICIEVLELCAKCNNHISEGQFYPALKTVDLIERNYLENIPVNAIKIVIGKNIPIIKAHIEKKVTTHFNEWLVQIRSSAKDIGQTAIGHAASARQRDEEMLERQRKAEEQNVSGLGDLAYSLDVEEVDEDSVLKFDLTPLYRSYHIHACLGIQEQFREYYYKNRLLQLNSDLQISSAQPFVESYQTYLAQIAGYFIVEDRVLRTAGGLLSADQVETMWETTVSKLASVLEEQFSHMDSATHLLLVKDYITLLGATLRQYGYEVGSVLEVLDNSRDKYHELLLEECRQQIANVLSNDTYEQMVMKKDTDYENNVLIFHLQASDIMPAFPYIAPFSSMVPDCCRIVRSFIKGSVDYLSYGVNSNVYDVVRKYLDKLLIDVLNEVVLTTVHSAGIGVSQAMQITANISFLERACDFFLRHAAQLCGIPVRSVERPQASLTAKVVLKTSRDAAYLALLNLVNGKLEEFMALSENINWTSEEISQNTSEYMNEVILYLDTLLSTAQQILPLDALYKVGSGALEHISDTIVEAFLSDSIKRFYANAVMVINNDLKMLENFADDRFHSTGLSEIYKEGSFRGCLIEARQLINLLSSSQPENFMNPVIREKNYNALDYKKVASICEKFKDSADGIFGSLSTRNTKQNARKKSMDVLKKRLKDFN >EOY16464 pep chromosome:Theobroma_cacao_20110822:8:7186837:7195933:1 gene:TCM_035223 transcript:EOY16464 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MKPTAPVISTTTTATASSSTAIADILKTPKFVKFAAIALLSASLVFLATHFSSSSSPFSSFSFNYSSLPPQISPPLSPPPIPSPPPPPPPPPPPPLPPAVRRTGIIDESGAMSDEFSIGELDSNSTNAFTELSDGNGEGGEEEEEKKKSNGEVRARVDKYTVCEASKVDYIPCLDNKEAIKLFNEGEKGEKYERHCPRKDKMLDCVVPRPEGYRSPIPWPQSRDEVWFSNVPHTRLVEDKGGQNWISIKKDKFIFPGGGTQFIHGADQYLNQISQMVPEISFGHHIHVALDIGCGVASFGAFLLQHNVTTLSIAPKDVHENQIQFALERGVPAMVAVFATHRLLYPSQAFDLIHCSRCRINWTRDDGILLLEANRMLRAGGYFVWAAQPVYKHEEILQEQWKEMEDLTTRICWELVKKEGYIAIWRKPLNNSCYLNRDTGVLPPLCDPNDNSDNVWYVDLKACITQLPENGYGSNVSTWPARLHYPPDRLQSIEMDAYISRKEIFRAESKYWNEIIDSYVRAFRWKDMKLRNVMDMRAGLGGFAAALHDLQIDCWVMNVVPVSGFNTLPVIYDRGLMGVMHDWCEPFDTYPRTYDLLHAAGLFSVEQKRCNISTIMLEMDRMLRPGGRVYIRDSVSVMGELQEIATALGWVHALHDTGEGPHASWKILISEKRM >EOY17246 pep chromosome:Theobroma_cacao_20110822:8:18666203:18669978:-1 gene:TCM_036395 transcript:EOY17246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALNGGLRSASKLLTSSSQSLLSKSANRGFHSTGVKRMGGGHAHGHDEPYYLHAKHMYNLDRMKHQKLKMSLGVFTAFSIGVGLPVYAVIFQQKKTASG >EOY15786 pep chromosome:Theobroma_cacao_20110822:8:4645674:4649918:-1 gene:TCM_034750 transcript:EOY15786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio domain-containing protein C4G8.03c, putative isoform 1 MELVHGSPSFFSETPLLAPIWRSPESCLPFSHDIQPMLPETSVQNNPSDQTLESAFSRLSVSTRGNQELGYPGFLGGEYLEGSGSGIGGGLARTGQERNNAVEGLNVGFDGGMMVGPDIDSWDTFMSSSYQPSKLNGNGYLLDSRRSELFNEFSILPSSSQNRFLAGSAAAGCCTRACCSKNRNVMRSSFTNNNNNNQNNSNSFRRPHWLQEPLNCLRLGDLRGRIVALAKDQYGCRFLQRAVGEASKEEIDMIFMETINHVGELMLDPFANYVVQKVVEVCSDEQKNQILLLVTEDDFRLVNICLNTHGSRAVQKLLEKLTTHHQISLAMSALSAGAVALTKDMNGHRVIQCCLINFSDEDNKYLLNEVAYNCYQIATDKSGCCALQQCVDHSKGEVRAHLVREIIANALHLAEDQYGNYVVQHILGLKDPQITESLLRQLEGNYASLSCNRYGSNVVEKCLIESGEQQSTRIIMELLRSPTVSRLLVDPFGNYVFQSALSVSKGFVYNALLNLVRVNYPMMRSHVYGKWVLRKLHMYF >EOY15787 pep chromosome:Theobroma_cacao_20110822:8:4647210:4649907:-1 gene:TCM_034750 transcript:EOY15787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio domain-containing protein C4G8.03c, putative isoform 1 MELVHGSPSFFSETPLLAPIWRSPESCLPFSHDIQPMLPETSVQNNPSDQTLESAFSRLSVSTRGNQELGYPGFLGGEYLEGSGSGIGGGLARTGQERNNAVEGLNVGFDGGMMVGPDIDSWDTFMSSSYQPSKLNGNGYLLDSRRSELFNEFSILPSSSQNRFLAGSAAAGCCTRACCSKNRNVMRSSFTNNNNNNQNNSNSFRRPHWLQEPLNCLRLGDLRGRIVALAKDQYGCRFLQRAVGEASKEEIDMIFMETINHVGELMLDPFANYVVQKVVEVCSDEQKNQILLLVTEDDFRLVNICLNTHGSRAVQKLLEKLTTHHQISLAMSALSAGAVALTKDMNGHRVIQCCLINFSDEDNKYLLNEVAYNCYQIATDKSGCCALQQCVDHSKGEQLCGATYT >EOY15767 pep chromosome:Theobroma_cacao_20110822:8:4572983:4576056:1 gene:TCM_034735 transcript:EOY15767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSHQRVACKQILRVLRIEGGFACLLTYACNRFRWLSIQSAKVPLRKGIKRGDDSIVGERDKRANPPKTLREKTHLTRNRSTVSCFAINTTRRTYWQLFLFGVLNISRNRSDTGRSSIYVSHQMTLFLDVHTQHGILYSQRFAMGKPSVSTMAL >EOY15040 pep chromosome:Theobroma_cacao_20110822:8:2042436:2049960:1 gene:TCM_034237 transcript:EOY15040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative MASTSSAFACFGSSSAHFMGHKVSIKEPSSVPTSVQFRPLRVSAACASTAERPVSHIASPASLYEVLGIQMGATCQEIKAAYRRLARVLHPDVSSSGQNNATAHEFIKVHEAYATLSDPEKRADYDRTLLFRPRRSFAVSASAASMASPTSSGFSGYTRGSWETDQCW >EOY17000 pep chromosome:Theobroma_cacao_20110822:8:16338870:16339774:1 gene:TCM_036124 transcript:EOY17000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MIDIRPTLMDNIKFHFLWILLIQCVMISLPMSMSMSNLTTDQSMLLKFKNQILDLHDVLASNWSSTSSVCHWVGISCSARHGRVSILDLSEMGLKGAITPHLGNLSFLVSLNLSGNNFHGYLPKELAKLRHLELVDLSYNALNGEIPLWFGALHKVKYLILNNNTFTETIPPTLANMSNLETLDLGYNLIQGKIPYEIGDLQKLKMFREVYHLICVTVCLSLRAS >EOY17223 pep chromosome:Theobroma_cacao_20110822:8:18513325:18514429:1 gene:TCM_036377 transcript:EOY17223 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-binding casette family G25, putative MLGFDGVEASIGDTLDGPNHSKETSRDLRDFPSLMSCCYPIILKFIDVCFKVKIQQQTNMSRGRRIKRMFSHEGSSAAPSDQKSTGQVQEKTILNNITGVASPGEILAIIGPSSSGKSTLLNALAGRLQQGHGFFGTILANNKKPTKQTAKRIRFVTQDDVLYPHLTVHETLVFCSLLRLPKTLTTK >EOY15680 pep chromosome:Theobroma_cacao_20110822:8:4221445:4225236:-1 gene:TCM_034669 transcript:EOY15680 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD domain-containing protein, putative MRQPFLNLQAFNQPLSLTPKNPKSKKVKKGKEKKNMSWLARSLANSLRLDDDDDNDDDESASSGEENDVVTQESLSSPPPRNKIQNEETKAGEQSLSPEQVAELQSRGVKEDLTELKQTLTRQIWEVASFLAPPPPPPPPPPPPRSSKQFNRQSNDRSFSNLNRFEPSDQSISLDEEDPSDSAAVARIRNDFAEIGGRLSKMASDYLPFGSGENEEENEMENENEEENEEFNAVGITDEVLAFAGNIAHHPETWLDFPLDPDEDLDDFDMSVAQQEHAMAVEHLAPRLAALRIELCPCHMSDSYFWKVYFVLLHSRLNKNDAEILSTPQVVEARALWMKELQKQTKPETDWYGRSTSHLGDSSSIMHEDFIPSSSSYFAFETMSPRTYASEPASSITTDYETEKHPVESTEMPFVDKSVIEENPVSNTEDKDCLVGPSSKIMIPNFEEDEIDWPEDDDSEFGGYSGAVICVENEEDFSFSDLEDVDDSSTPAKSKIVSKGFETSKT >EOY15405 pep chromosome:Theobroma_cacao_20110822:8:3163554:3166369:-1 gene:TCM_034479 transcript:EOY15405 gene_biotype:protein_coding transcript_biotype:protein_coding description:D2/4-type cyclin MAPSFDCAVSSLLCAEDNSSIFDDNECCGWGAEVEEFGAAWNHRYYRNSNQNRVFNGVDEDGLPLQSEECLALMVEKEQQHLPNADYLKRLKSGDLDLEARKEAVDWIWKVHAHFGFGPLCAYLSINYLDRFLSAYELPKGKAWMMQLLAVACLSLAAKMEETEVPLILDLQVGESRFVFEARTIQRMELLVLSTLKWRMQAITPFSFIDYFLYKLNDDKIPLRNSILRSIQLISSTIKGIDFLEFKSCEIAAAVAVSVAVETKTVDTEKAISVLTQYVQKERVLKCIELVDELSLFGGSVKGGNASVPSVPQSPIGVLDAACLSYKSDDTTVGSCASSSHTHTSPSTKRRKLSRP >EOY15628 pep chromosome:Theobroma_cacao_20110822:8:4014177:4019532:1 gene:TCM_034630 transcript:EOY15628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain amino acid aminotransferase 5 / branched-chain amino acid transaminase 5 (BCAT5), putative isoform 2 MLLVPLSFTNATIVQFSSSLKVHHQLRLAATSSQGSLPSSSSWRRATSSDSHSETSESADRRWDSLGFNPVQTDYMYVMKSSEDGSFADGGLRRYGNIEIIPAAAVLNYGQGIIEGLKAYKKQNGSIILFRPEENGLRLRVGAERLCMPAPTIEQFVEAVKSTVLANKRWVPPPDKGFLHIRPLLLGNGPVLSLTTAPEFTFLIYVTPVGNYFEGGLKPINLVVENETHRAAPGGVGSIKAIGNYAGNNTISTPTLGGTILPGVTRKSIIDIALSQGFQVEERLVSVEELSDADEVFCSENALCVLPVGSITYMDKRVDYEESGFVVSQQLYSALTNIQMGLTEDIMGWTTVLE >EOY15627 pep chromosome:Theobroma_cacao_20110822:8:4014181:4019469:1 gene:TCM_034630 transcript:EOY15627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain amino acid aminotransferase 5 / branched-chain amino acid transaminase 5 (BCAT5), putative isoform 2 MLLVPLSFTNATIVQFSSSLKVHHQLRLAATSSQGSLPSSSSWRRATSSDSHSETSESADRRWDSLGFNPVQTDYMYVMKSSEDGSFADGGLRRYGNIEIIPAAAVLNYGQGIIEGLKAYKKQNGSIILFRPEENGLRLRVGAERLCMPAPTIEQFVEAVKSTVLANKRWVPPPDKGFLHIRPLLLGNGPVLSLTTAPEFTFLIYVTPVGNYFEGGLKPINLVVENETHRAAPGGVGSIKAIGNYAGIIKAQAAAKVNGFSDVLYLDSVHNKYLEEISTANLFVVKNNTISTPTLGGTILPGVTRKSIIDIALSQGFQVEERLVSVEELSDADEVFCSENALCVLPVGSITYMDKRVDYEESGFVVSQQLYSALTNIQMGLTEDIMGWTTVLE >EOY15803 pep chromosome:Theobroma_cacao_20110822:8:4699504:4700257:1 gene:TCM_034761 transcript:EOY15803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHFQPSLQVALLQKLVVVIMLLSLLVSGDQKEESSVLVGMNGYSPGTKEKQPVRQQQHQRLRHHFDAFFSSKRKVPNASDPLHNR >EOY15865 pep chromosome:Theobroma_cacao_20110822:8:4893452:4899221:1 gene:TCM_034805 transcript:EOY15865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha MDSDFGIPRELSDLQKLRSQYQPGLPPCLEGTTVRVEFGDATTALDPADAHTISRAFPHTYGQPLAHFLRATAKVPDAQIITEHPAMRVGLVFCGRQSPGGHNVIWGLHKALKIHNPNSTLLGFLGGTQGLFAQKTLEITDDILSTYKNQGGYDLLGRTQDQIRTTEQVNAALTACNDLKLDGLVIIGGVTSNTDAAQLAETFAEAKCQTKVVGVPVTLNGDLKNQFVEANVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILGEEVAASKLTLFDITKQICDAVQARAEQDKNHGVILLPEGLIESIPEVYALLKEIHSLLRQGVSAEKISSQLSPWASALFEFLPPFIRRQLLLHPESDDSAQLSQIETEKLLAHLVETEINKRLKAGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHICYHILAAGLNGYMATITNLKNPVNKWRCGAAPIAAMMSVKRWSQNPNALSIGKPAIHPATVDLKGKAYELLRQNAARFLLDDIYRNPGPLQFDGPGADAKAVTLCVEDQDYMGRIKKLQEYLEKVRTIVKPGCSQEVLKAALSVMASVTEVLSVMSSSSSGGQTTL >EOY14410 pep chromosome:Theobroma_cacao_20110822:8:225578:231285:1 gene:TCM_033800 transcript:EOY14410 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 MQGQRGTVGSLPETFFDHGSTSSNATIDQQVCWNNIRNPMENRLPDCLLSPNDMNIAYVNSIGREEQELGRWSLGEPSSSGTQNEVIRNERKIEHGWSSSMSASGNAGPRLEERRYEQNPQLVQSPNAGTVPQNLNLNAGLVGHGDDICQVTERSNLYKPSGSENERISPGAGPEAFLLSSGSGGYAVDGNSSGPGCSYEGRRASCKRKALEGNVGQSSSSGSSSYFHCAESSAWHGVSASYNAGSSVNISAPSGQAHPRLGLDVRGSASDSIPEQIVLPTAESSRRNFRPRINPSSIQEPIAPPIFSAGDMARQSVVSSSQQSSRLLPTDHSLDLRSAPVVDNASPQDQNVLIHVPSLPRNVQPFRWNAGSGSRTGSSSSSNVSGERDVVPRDEHQSRSMARNLLDHPMFVPAPEVRTVVRNPTNRGLNSGNISVSGNVASTSRAGSSSGANASSASTWVPHPNPSTQYPRRLSELVRRSLMSTLGAESGGQGNHSSLSPGPPTSPEELLLSSGVANQGHHRPYPRSMSWMERQDAGLLGIPHSLRTLAAATEGRSRLVVSEIRNVLDLMRRGENFRFEVSF >EOY14409 pep chromosome:Theobroma_cacao_20110822:8:226219:231285:1 gene:TCM_033800 transcript:EOY14409 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 MQGQRGTVGSLPETFFDHGSTSSNATIDQQVCWNNIRNPMENRLPDCLLSPNDMNIAYVNSIGREEQELGRWSLGEPSSSGTQNEVIRNERKIEHGWSSSMSASGNAGPRLEERRYEQNPQLVQSPNAGTVPQNLNLNAGLVGHGDDICQVTERSNLYKPSGSENERISPGAGPEAFLLSSGSGGYAVDGNSSGPGCSYEGRRASCKRKALEGNVGQSSSSGSSSYFHCAESSAWHGVSASYNAGSSVNISAPSGQAHPRLGLDVRGSASDSIPEQIVLPTAESSRRNFRPRINPSSIQEPIAPPIFSAGDMARQSVVSSSQQSSRLLPTDHSLDLRSAPVVDNASPQDQNVLIHVPSLPRNVQPFRWNAGSGSRTGSSSSSNVSGERDVVPRDEHQSRSMARNLLDHPMFVPAPEVRTVVRNPTNRGLNSGNISVSGNVASTSRAGSSSGANASSASTWVPHPNPSTQYPRRLSELVRRSLMSTLGAESGGQGNHSSLSPGPPTSPEELLLSSGVANQGHHRPYPRSMSWMERQDAGLLGIPHSLRTLAAATEGRSRLVVSEIRNVLDLMRRGENFRFEDVMILDQSVFFGVADIHDRHRDMRLDVDNMSYEVLLALEERIGNVSTGLSEETILSRLKRRKYSTAPVTQLEAEPCCICQEEYNDGQDLGTLECGHDFHADCIKQWLIHKNLCPICKATGLNK >EOY14408 pep chromosome:Theobroma_cacao_20110822:8:225732:231193:1 gene:TCM_033800 transcript:EOY14408 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 MQGQRGTVGSLPETFFDHGSTSSNATIDQQVCWNNIRNPMENRLPDCLLSPNDMNIAYVNSIGREEQELGRWSLGEPSSSGTQNEVIRNERKIEHGWSSSMSASGNAGPRLEERRYEQNPQLVQSPNAGTVPQNLNLNAGLVGHGDDICQVTERSNLYKPSGSENERISPGAGPEAFLLSSGSGGYAVDGNSSGPGCSYEGRRASCKRKALEGNVGQSSSSGSSSYFHCAESSAWHGVSASYNAGSSVNISAPSGQAHPRLGLDVRGSASDSIPEQIVLPTAESSRRNFRPRINPSSIQEPIAPPIFSAGDMARQSVVSSSQQSSRLLPTDHSLDLRSAPVVDNASPQDQNVLIHVPSLPRNVQPFRWNAGSGSRTGSSSSSNVSGERDVVPRDEHQSRSMARNLLDHPMFVPAPEVRTVVRNPTNRGLNSGNISVSGNVASTSRAGSSSGANASSASTWVPHPNPSTQYPRRLSELVRRSLMSTLGAESGGQGNHSSLSPGPPTSPEELLLSSGVANQGHHRPYPRSMSWMERQDAGLLGIPHSLRTLAAATEGRSRLVVSEIRNVLDLMRRGENFRFEDVMILDQSVFFGVADIHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETILSRLKRRKYSTAPVTQLEAEPCCICQEEYNDGQDLGTLECGHDFHADCIKQWLIHKNLCPICKATGLNK >EOY14411 pep chromosome:Theobroma_cacao_20110822:8:225967:231285:1 gene:TCM_033800 transcript:EOY14411 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 MQGQRGTVGSLPETFFDHGSTSSNATIDQQVCWNNIRNPMENRLPDCLLSPNDMNIAYVNSIGREEQELGRWSLGEPSSSGTQNEVIRNERKIEHGWSSSMSASGNAGPRLEERRYEQNPQLVQSPNAGTVPQNLNLNAGLVGHGDDICQVTERSNLYKPSGSENERISPGAGPEAFLLSSGSGGYAVDGNSSGPGCSYEGRRASCKRKALEGNVGQSSSSGSSSYFHCAESSAWHGVSASYNAGSSVNISAPSGQAHPRLGLDVRGSASDSIPEQIVLPTAESSRRNFRPRINPSSIQEPIAPPIFSAGDMARQSVVSSSQQSSRLLPTDHSLDLRSAPVVDNASPQDQNVLIHVPSLPRNVQPFRWNAGSGSRTGSSSSSNVSGERDVVPRDEHQSRSMARNLLDHPMFVPAPEVRTVVRNPTNRGLNSGNISVSGNVASTSRAGSSSGANASSASTWVPHPNPSTQYPRRLSELVRRSLMSTLGAESGGQGNHSSLSPGPPTSPEELLLSSGVANQGHHRPYPRSMSWMERQDAGLLGIPHSLRTLAAATEGRSRLVVSEDDAMLIIRNVLDLMRRGENFRFEVRCYDP >EOY16316 pep chromosome:Theobroma_cacao_20110822:8:6679923:6683844:1 gene:TCM_035138 transcript:EOY16316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi nucleotide sugar transporter 3 isoform 1 MSKVVESPVVNGPEAPALSSKAQVTWYSSLLKQVSVYGIAAGYCISASLLSIINKWAVMKFPYPGALTALQYLTSAAGVFLCGRLKVIEHDPLNLLTMWRFLPAATIFYLSLFTNSELLLHANVDTFVVFRSVVPMFVAIGETLFLHQPWPSMKTWISLATIFGGSVLYVLTDYQFTLTAYTWAVAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLIMGELKKIKHEISDESDWYSFQVILPVGLSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVIWDKHSTFVGTVGLLICMIGGVMYQQSTSNTPKAVNEATAQEREEEQQKLLEMQSNGESNNNEKEVIEIEEK >EOY16317 pep chromosome:Theobroma_cacao_20110822:8:6679835:6683844:1 gene:TCM_035138 transcript:EOY16317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi nucleotide sugar transporter 3 isoform 1 MSKVVESPVVNGPEAPALSSKAQVTWYSSLLKQVSVYGIAAGYCISASLLSIINKWAVMKFPYPGALTALQYLTSAAGVFLCGRLKVIEHDPLNLLTMWRFLPAATIFYLSLFTNSELLLHANVDTFVVFRSVVPMFVAIGETLFLHQPWPSMKTWISLATIFGGSVLYVLTDYQFTLTAYTWAVAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLIMGELKKIKHEISDESDWYSFQVILPVGLSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVIWDKHSTFVGTVGLLICMIGGVMYQQSTSNTPKAVNEATAQEREEEQQKLLEMQSNGESNNNEKEVIEIEEK >EOY16366 pep chromosome:Theobroma_cacao_20110822:8:6882697:6889924:1 gene:TCM_035167 transcript:EOY16366 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWITCH/sucrose nonfermenting 3C MPASPSDGRGRWKRRKRERRAKHHQEENDVVPEEDDEEDNNNNNNDDLDNHRENSGDDAGGAVTDPSLAGPSESEVLADGGVRISEFPAVVKRTVNRPHGSVMAIVAAERAGLVGDSKGHQQVALAVLENVSYGQLQAVSAEAPVVDPEKYVITSPPIMEGRGVVKRFGSRVHVLPMHSEWFSPASVHRLERQVVPHFFSGKSPEHTPEKYMECRNHIVVKYMDNPEKRITVSDCQGLIDGINIEDLTRIVRFLDHWGIINYCATSRSHEPWNVGSYLREDPNGEVHVPSAALKSIDSLIKFDKPKCRLKAADVYSSSSCHDDDFSDLDNKIRERLSENHCTSCSQPIPTSYYQSQKEVDTLLCSDCFHDGRFVSGHSSIDFVRVDSAKDYDDLDGESWSDQETLLLLEAMEIYNENWNEIAEHVGTKSKAQCILHFLRLPMEDGLLENVEVPSMPKSTSVSNGDVRGRLHSNMNGSVSGPSLQDSDSESRLPFSNSGNPVMAMVAFLASAVGPRVAAACAHASLAALSEDVQKEGSGPGNRMNTEGVHSREGGFHGSIHQKEENSAVHGSFGQNEAEVHPLSAEKVKAAAKAGLAAAAMKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETLLMKECEQVEKARQRFASERARIVSARFGPAGVTSQTTLPGVASPMVNNSIGNNRQHVMSASPSQPSTSGYGSNQAVHPHMPFMPRQPMFPTGPRLPLTAMQASTSAPPNVMFSSPGNAQPSLNHPLMRSVSGTSSGLG >EOY17010 pep chromosome:Theobroma_cacao_20110822:8:16773964:16780437:1 gene:TCM_036159 transcript:EOY17010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRIPWIYHLVPSNTGKLQCMSLDSNLELLFLWGNYLSGNIPNCFSNASKLKKLYLNQNSFSGLIPNTLGNVSFLEVLSL >EOY15427 pep chromosome:Theobroma_cacao_20110822:8:3229471:3231789:1 gene:TCM_034490 transcript:EOY15427 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: methyltransferases (TAIR:AT5G01710.1); Has 93 Blast hits to 93 proteins in 15 species: Archae - 0; Bacteria - 0; Metazoa - 1; Fungi - 0; Plants - 92; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G58120) TAIR;Acc:AT1G58120] MEVQRIRQLMLLWSRLQSSRVALVGGNHTAARLLSMAVQIIDGLQTTCHDKHKPLCYGGISLPADTHLIVKLPDSRIFKLLSRFIVILALTIVLIPLLRIGSIISKESASPAADAIKPEFGSTDPVNLEFLPLIFRDLNKQGILKMGNKGLMLSNDDEEAIHCSLFLRRSDMEFVSLTDLERQSSIPNESFDSAFTRNFRAASEFIDRTLKVGGIVAVQLNGRSSLSFDKPSNYRIVYYSKSQSNILVMKKIEYSKTISSTQRRLLGYTLEAKKAALKKLEDVLLEPPRAASRRSKTYLKRTKYLPDLLGDTLESYPRRVFIDVGVPEKEGGSGPSWFAKNYPTRNLKFEMYKIETLTKESSRKDVPQLAEIGMSDWLRKNVKGEEFVVMKAEAEVVEDMVKSKSIRLVDELFLECKPQGDDGRKNMSKRAYWECLALYGKLRDEGVAVHQWWG >EOY17511 pep chromosome:Theobroma_cacao_20110822:8:21471887:21476283:-1 gene:TCM_036730 transcript:EOY17511 gene_biotype:protein_coding transcript_biotype:protein_coding description:5\'-3\' exonuclease family protein isoform 2 MPASISLMLCLPANPLLSISNTKLRSKTTKFITLKTNTWKIRAVIESSFSSSVFGSFHQTVGGQVLPERGCSEKDIVKSKNKKRVFFLDVNPLRYVGSKPSFQSFRHWVSLFFSQVSLTDPVIAVFDGEKCNERRRQLLPSYKAHRRKFFRQPTTSRKFVRSQVGRSQQLIMDVLRKCNVPVIKIEGNEADDVVATLVEQVLQRGYRVVIASPDKDFKQLISENVQIVLPLVELDRWSFYTLKHYVAQYNCDPHSDLSLRCIMGDEVDGVPGIQHLVPGFGRKTALKLLKKHGSLENLLNAAAVRTVGRQYAQEALTKHADYLRRNYEVLALRRYLVSSR >EOY17510 pep chromosome:Theobroma_cacao_20110822:8:21471733:21476277:-1 gene:TCM_036730 transcript:EOY17510 gene_biotype:protein_coding transcript_biotype:protein_coding description:5\'-3\' exonuclease family protein isoform 2 MPASISLMLCLPANPLLSISNTKLRSKTTKFITLKTNTWKIRAVIESSFSSSVFGSFHQTVGGQVLPERGCSEKDIVKSKNKKRVFFLDVNPLRYVGSKPSFQSFRHWVSLFFSQVSLTDPVIAVFDGEKCNERRRQLLPSYKAHRRKFFRQPTTSRKFVRSQVGRSQQLIMDVLRKCNVPVIKIEGNEADDVVATLVEQVLQRGYRVVIASPDKDFKQLISENVQIVLPLVELDRWSFYTLKHYVAQYNCDPHSDLSLRCIMGDEVDGVPGIQHLVPGFGRKTALKLLKKHGSLENLLNAAAVRTVGRQYAQEALTKHADYLRRNYEVLALRRDVDVHLQEEWLVERDTCNDSSVLSKFFRSLEDTNKPTPENRSSFSNG >EOY17512 pep chromosome:Theobroma_cacao_20110822:8:21472992:21476283:-1 gene:TCM_036730 transcript:EOY17512 gene_biotype:protein_coding transcript_biotype:protein_coding description:5\'-3\' exonuclease family protein isoform 2 MPASISLMLCLPANPLLSISNTKLRSKTTKFITLKTNTWKIRAVIESSFSSSVFGSFHQTVGGQVLPERGCSEKDIVKSKNKKRVFFLDVNPLRYVGSKPSFQSFRHWVSLFFSQVSLTDPVIAVFDGEKCNERRRQLLPSYKAHRRKFFRQPTTSRKFVRSQVGRSQQLIMDVLRKCNVPVIKIEGNEADDVVATLVEQVLQRGYRVVIASPDKDFKQLISENVQIVLPLVELDRWSFYTLKHYVAQYNCDPHSDLSLTAVRTVGRQ >EOY17299 pep chromosome:Theobroma_cacao_20110822:8:19130826:19134935:1 gene:TCM_036450 transcript:EOY17299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavone synthase II, putative MMLELLSYAILFIASFLIVKTIIYNKSRPKLPPGPIALPIIGHLHHLGPFLHQTFHKLSSRYGPLIYLRLGSVGCVVASNPELAKEFLKTYELTFANRKQTAAINHLTYNSSFAFAPYGPYWKFIKKLSTNELLGNRTLSQFLPVRTKELHHFMEFLLEKSKAGESVNVTQELLKLTSNIISEMMLSMKCSGSGNSTDGVQTIVREVTEIFGEFSISDIIWFCKNWDLQGFRRRFEDIHRRYDALLERIIRDREEVRKSKKKGRNECYQGDNVDEVKDFLDMMLDVLENDNSEMQLTRNHIKACIMDFLTAATDTAAIALEWALAELINHPDVLKIAQEEIDQVVGKSRLVEESDNPRLLYIHAIIKETFRLHPPIPMINRKSVQSCQIKGYTIPADSLLFVNIWAIGRDPNVWADPLKFQPERFLTSNEGDNNSGPIEVRGLHYQLLPFGAGRRRCPGTSLAMQALPTTLAAMIQCFHWKPAATSKIGDGVDMSERPGLTAPRAKDLECVPIARFTPTLFAT >EOY15652 pep chromosome:Theobroma_cacao_20110822:8:4121801:4129896:-1 gene:TCM_034650 transcript:EOY15652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTGYVKNLQVSESMMFQVIWISFQTDSIKLKVWDMAGPHRVDHSGDAGVGPRRGELGACLTRDGGVAFGLVSSVAFLKWAAATAGNPYQDNKYGIIVHRDINRTQPTDLMVQKGELARTM >EOY16152 pep chromosome:Theobroma_cacao_20110822:8:5958971:5960274:1 gene:TCM_035008 transcript:EOY16152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPCNRKIQHASQDKSIKMVTSISTLVSQNRKISSMFPTLRSSFGLLLFLIKYILLFLQTCISPLILLFLDEI >EOY16523 pep chromosome:Theobroma_cacao_20110822:8:8092675:8100102:-1 gene:TCM_035323 transcript:EOY16523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolases, acting on acid anhydrides, in phosphorus-containing anhydrides,ATP-dependent helicases,nucleic acid binding,ATP binding,RNA binding,helicases isoform 5 MAAQKELLVSSKAELKRKQKEKKKAKSGGFESLNLSPNVYRGIKRKGYKVPTPIQRKTMPLILAGNDVVAMARTGSGKTAAFLVPMLEKLKQHVPQGGVRALILSPTRDLALQTLKFTKELGKFTDLCISLLVGGDSMENQFEELAQNPDIIIATPGRLMHHLTEVDDMSLRTVEYVVFDEADSLFGMGFAEQLNKILTQLSENRQTLLFSATLPSALAEFAKAGLRDPQLVRLDLETKISPDLKLMFFTLRQEEKHAALLYLVRDHISSDQQTLIFVSTKHHVEFLNILFREEGIEPSVCYGDMDQDARKINISKFRSRKTMLLVVTDVAARGIDIPLLDNVINWDFPPKPKIFVHRVGRAARAGRTGTAFSFVTSEDFPYLLDLHLFLSRPIRAAPTEEEVLQGMDGVMNKIDQAIANGETVYGRFPQKIIDLVSDRVREMIDSSAELNNLQKTCTNAFRLYSKTKPLPARESIKRAKDLPREGLHPIFKNILEGGELVALAFSERLKAFSRPKQTILEAEGEAAKSKHSQGSSSQWVDVMKKKRAIHEEIINLVHKQRSSNHVDKEGQSEVTASKIKEIKEARGSKRKATNFKDEEYYISSVPTNHHMEAGLSVRSNEGFGSNRLDSAVLDLVADDGEGLQKQKSRFHWDKRSKKYVKLNNSERVTASGKVKTESGAKVKAQKTGIYKKWKERSHRKVSLKGTSNGENPETANSSGDYRLRGNARKFRGNKKSQHSVPNAHVRSEIKDLEQVRKERQKKASKISLMKGKGNKNKGKKSGRSGKRGKSK >EOY16522 pep chromosome:Theobroma_cacao_20110822:8:8093097:8099949:-1 gene:TCM_035323 transcript:EOY16522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolases, acting on acid anhydrides, in phosphorus-containing anhydrides,ATP-dependent helicases,nucleic acid binding,ATP binding,RNA binding,helicases isoform 5 MAAQKELLVSSKAELKRKQKEKKKAKSGGFESLNLSPNVYRGIKRKGYKVPTPIQRKTMPLILAGNDVVAMARTGSGKTAAFLVPMLEKLKQHVPQGGVRALILSPTRDLALQTLKFTKELGKFTDLCISLLVGGDSMENQFEELAQNPDIIIATPGRLMHHLTEVDDMSLRTVEYVVFDEADSLFGMGFAEQLNKILTQLSENRQTLLFSATLPSALAEFAKAGLRDPQLVRLDLETKISPDLKLMFFTLRQEEKHAALLYLVRDHISSDQQTLIFVSTKHHVEFLNILFREEGIEPSVCYGDMDQDARKINISKFRSRKTMLLVVTDVAARGIDIPLLDNVINWDFPPKPKIFVHRVGRAARAGRTGTAFSFVTSEDFPYLLDLHLFLSRPIRAAPTEEEVLQGMDGVMNKIDQAIANGETVYGRFPQKIIDLVSDRVREMIDSSAELNNLQKTCTNAFRLYSKTKPLPARESIKRAKDLPREGLHPIFKNILEGGELVALAFSERLKAFRPKQTILEAEGEAAKSKHSQGSSSQWVDVMKKKRAIHEEIINLVHKQRSSNHVDKLQEGQSEVTASKIKEIKEARGSKRKATNFKDEEYYISSVPTNHHMEAGLSVRSNEGFGSNRLDSAVLDLVADDGEGLQKQKSRFHWDKRSKKYVKLNNSERVTASGKVKTESGAKVKAQKTGIYKKWKERSHRKVSLKGTSNGENPETANSSGDYRLRGNARKFRGNKKSQHSVPNAHVRSEIKDLEQVRKERQKKASKISLMKGKGNKNKGKKSGRSGKRGKSK >EOY16525 pep chromosome:Theobroma_cacao_20110822:8:8093858:8100317:-1 gene:TCM_035323 transcript:EOY16525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolases, acting on acid anhydrides, in phosphorus-containing anhydrides,ATP-dependent helicases,nucleic acid binding,ATP binding,RNA binding,helicases isoform 5 MAAQKELLVSSKAELKRKQKEKKKAKSGGFESLNLSPNVYRGIKRKGYKVPTPIQRKTMPLILAGNDVVAMARTGSGKTAAFLVPMLEKLKQHVPQGGVRALILSPTRDLALQTLKFTKELGKFTDLCISLLVGGDSMENQFEELAQNPDIIIATPGRLMHHLTEVDDMSLRTVEYVVFDEADSLFGMGFAEQLNKILTQLSENRQTLLFSATLPSALAEFAKAGLRDPQLVRLDLETKISPDLKLMFFTLRQEEKHAALLYLVRDHISSDQQTLIFVSTKHHVEFLNILFREEGIEPSVCYGDMDQDARKINISKFRSRKTMLLVVTDVAARGIDIPLLDNVINWDFPPKPKIFVHRVGRAARAGRTGTAFSFVTSEDFPYLLDLHLFLSRPIRAAPTEEEVLQGMDGVMNKIDQAIANGETVYGRFPQKIIDLVSDRVREMIDSSAELNNLQKTCTNAFRLYSKTKPLPARESIKRAKDLPREGLHPIFKNILEGGELVALAFSERLKAFRPKQTILEAEGEAAKSKHSQGSSSQWVDVMKKKRAIHEEIINLVHKQRSSNHVDKEGQSEVTASKIKEIKEARGSKRKATNFKDEEYYISSVPTNHHMEAGLSVRSNEGFGSNRLDSAVLDLVADDGEGLQKQKSRFHWDKVLGAI >EOY16521 pep chromosome:Theobroma_cacao_20110822:8:8092199:8100171:-1 gene:TCM_035323 transcript:EOY16521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolases, acting on acid anhydrides, in phosphorus-containing anhydrides,ATP-dependent helicases,nucleic acid binding,ATP binding,RNA binding,helicases isoform 5 MAAQKELLVSSKAELKRKQKEKKKAKSGGFESLNLSPNVYRGIKRKGYKVPTPIQRKTMPLILAGNDVVAMARTGSGKTAAFLVPMLEKLKQHVPQGGVRALILSPTRDLALQTLKFTKELGKFTDLCISLLVGGDSMENQFEELAQNPDIIIATPGRLMHHLTEVDDMSLRTVEYVVFDEADSLFGMGFAEQLNKILTQLSENRQTLLFSATLPSALAEFAKAGLRDPQLVRLDLETKISPDLKLMFFTLRQEEKHAALLYLVRDHISSDQQTLIFVSTKHHVEFLNILFREEGIEPSVCYGDMDQDARKINISKFRSRKTMLLVVTDVAARGIDIPLLDNVINWDFPPKPKIFVHRVGRAARAGRTGTAFSFVTSEDFPYLLDLHLFLSRPIRAAPTEEEVLQGMDGVMNKIDQAIANGETVYGRFPQKIIDLVSDRVREMIDSSAELNNLQKTCTNAFRLYSKTKPLPARESIKRAKDLPREGLHPIFKNILEGGELVALAFSERLKAFRPKQTILEAEGEAAKSKHSQGSSSQWVDVMKKKRAIHEEIINLVHKQRSSNHVDKEGQSEVTASKIKEIKEARGSKRKATNFKDEEYYISSVPTNHHMEAGLSVRSNEGFGSNRLDSAVLDLVADDGEGLQKQKSRFHWDKRSKKYVKLNNSERVTASGKVKTESGAKVKAQKTGIYKKWKERSHRKVSLKGTSNGENPETANSSGDYRLRGNARKFRGNKKSQHSVPNAHVRSEIKDLEQVRKERQKKASKISLMKGKGNKNKGKKSGRSGKRGKSK >EOY16526 pep chromosome:Theobroma_cacao_20110822:8:8093309:8100317:-1 gene:TCM_035323 transcript:EOY16526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolases, acting on acid anhydrides, in phosphorus-containing anhydrides,ATP-dependent helicases,nucleic acid binding,ATP binding,RNA binding,helicases isoform 5 MAAQKELLVSSKAELKRKQKEKKKAKSGGFESLNLSPNVYRGIKRKGYKVPTPIQRKTMPLILAGNDVVAMARTGSGKTAAFLVPMLEKLKQHVPQGGVRALILSPTRDLALQTLKFTKELGKFTDLCISLLVGGDSMENQFEELAQNPDIIIATPGRLMHHLTEVDDMSLRTVEYVVFDEADSLFGMGFAEQLNKILTQLSENRQTLLFSATLPSALAEFAKAGLRDPQLVRLDLETKISPDLKLMFFTLRQEEKHAALLYLVRDHISSDQQTLIFVSTKHHVEFLNILFREEGIEPSVCYGDMDQDARKINISKFRSRKTMLLVVTDVAARGIDIPLLDNVINWDFPPKPKIFVHRVGRAARAGRTGTAFSFVTSEDFPYLLDLHLFLSRPIRAAPTEEEVLQGMDGVMNKIDQAIANGETVYGRFPQKIIDLVSDRVREMIDSSAELNNLQKTCTNAFRLYSKTKPLPARESIKRAKDLPREGLHPIFKNILEGGELVALAFSERLKAFRPKQTILEAEGEAAKSKHSQGSSSQWVDVMKKKRAIHEEIINLVHKQRSSNHVDKEGQSEVTASKIKEIKEARGSKRKATNFKDEEYYISSVPTNHHMEAGLSVRSNEGFGSNRLDSAVLDLVADDGEG >EOY16527 pep chromosome:Theobroma_cacao_20110822:8:8094062:10317647:-1 gene:TCM_035323 transcript:EOY16527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolases, acting on acid anhydrides, in phosphorus-containing anhydrides,ATP-dependent helicases,nucleic acid binding,ATP binding,RNA binding,helicases isoform 5 MAAQKELLVSSKAELKRKQKEKKKAKSGGFESLNLSPNVYRGIKRKGYKVPTPIQRKTMPLILAGNDVVAMARTGSGKTAAFLVPMLEKLKQHVPQGGVRALILSPTRDLALQTLKFTKELGKFTDLCISLLVGGDSMENQFEELAQNPDIIIATPGRLMHHLTEVDDMSLRTVEYVVFDEADSLFGMGFAEQLNKILTQLSENRQTLLFSATLPSALAEFAKAGLRDPQLVRLDLETKISPDLKLMFFTLRQEEKHAALLYLVRDHISSDQQTLIFVSTKHHVEFLNILFREEGIEPSVCYGDMDQDARKINISKFRSRKTMLLVVTDVAARGIDIPLLDNVINWDFPPKPKIFVHRVGRAARAGRTGTAFSFVTSEDFPYLLDLHLFLSRPIRAAPTEEEVLQGMDGVMNKIDQAIANGETVYGRFPQKIIDLVSDRVREMIDSSAELNNLQKTCTNAFRLYSKTKPLPARESIKRAKDLPREGLHPIFKNILEGGELVALAFSERLKAFRPKQTILEAEGEAAKSKHSQGSSSQWVDVMKKKRAIHEEIINLVHKQRSSNHVDKEGQSEVTASKIKEIKEARGSKRKATNFKDEEYYISSVPTNHHMEAGLSVRSNEGFGSNRLDSAVLDLVADDGEGLQKQKSRFHWDKRSKKYVKLNNSERVTASGKVIFIVDSICACLSG >EOY16524 pep chromosome:Theobroma_cacao_20110822:8:8093858:10317647:-1 gene:TCM_035323 transcript:EOY16524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolases, acting on acid anhydrides, in phosphorus-containing anhydrides,ATP-dependent helicases,nucleic acid binding,ATP binding,RNA binding,helicases isoform 5 MAAQKELLVSSKAELKRKQKEKKKAKSGGFESLNLSPNVYRGIKRKGYKVPTPIQRKTMPLILAGNDVVAMARTGSGKTAAFLVPMLEKLKQHVPQGGVRALILSPTRDLALQTLKFTKELGKFTDLCISLLVGGDSMENQFEELAQNPDIIIATPGRLMHHLTEVDDMSLRTVEYVVFDEADSLFGMGFAEQLNKILTQLSENRQTLLFSATLPSALAEFAKAGLRDPQLVRLDLETKISPDLKLMFFTLRQEEKHAALLYLVRDHISSDQQTLIFVSTKHHVEFLNILFREEGIEPSVCYGDMDQDARKINISKFRSRKTMLLVVTDVAARGIDIPLLDNVINWDFPPKPKIFVHRVGRAARAGRTGTAFSFVTSEDFPYLLDLHLFLSRPIRAAPTEEEVLQGMDGVMNKIDQAIANGETVYGRFPQKIIDLVSDRVREMIDSSAELNNLQKTCTNAFRLYSKTKPLPARESIKRAKDLPREGLHPIFKNILEGGELVALAFSERLKAFRPKQTILEAEGEAAKSKHSQGSSSQWVDVMKKKRAIHEEIINLVHKQRSSNHVDKEGQSEVTASKIKEIKVEG >EOY14972 pep chromosome:Theobroma_cacao_20110822:8:1837834:1843182:1 gene:TCM_034192 transcript:EOY14972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase isoform 1 MGSSPAKDDALEKLEYLSLVSKVSTELESHVGFSDKVLAEFITDMGRHCETVEEFDAKLKENGAELPDYFVRTLLTIIHAILPPKPKGEKESKKETAGDGKKGKFKALAIADDKDRAKELEKEIELETRDRRKEEDRDRDRDRDRDRDRDRRDRDRDRSRHRDRYKEYGDDDRRDYGSRGRNRERNRDNRDGDEDNRDYRNRTSHRDRDNGEGGEDGERRSNGRYRDDEPELYKVYKGRVSRVMDSGCFVQLNELRGKEGLVHVSQMATRRISNAKDVVKRDQEVYVKVISVSGQKLSLSMRDVDQNTGKDLLPLKKSSDDDAFRTNPSAGKEGPVMRTGLSGIRIVEDENAVPSRRPLKRMSSPERWEAKQLIASGVLSVDEYPMYDEEGDGMLYQEEGAEEELEIELNEDEPAFLQGQTRYSVDVSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAFGKALTFGQRSKLSIQEQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILIDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDFACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFEPPPPGKRKVVVATNIAEASLTIDGIFYVVDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGTTTLMMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIISMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVSAGKNFTKIRKAIAAGFFFHAGRKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVVDPKWLVELAPRFFKVADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >EOY14973 pep chromosome:Theobroma_cacao_20110822:8:1837834:1843157:1 gene:TCM_034192 transcript:EOY14973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase isoform 1 MGSSPAKDDALEKLEYLSLVSKVSTELESHVGFSDKVLAEFITDMGRHCETVEEFDAKLKENGAELPDYFVRTLLTIIHAILPPKPKGEKESKKETAGDGKKGKFKALAIADDKDRAKELEKEIELETRDRRKEEDRDRDRDRDRDRDRDRRDRDRDRSRHRDRYKEYGDDDRRDYGSRGRNRERNRDNRDGDEDNRDYRNRTSHRDRDNGEGGEDGERRSNGRYRDDEPELYKVYKGRVSRVMDSGCFVQLNELRGKEGLVHVSQMATRRISNAKDVVKRDQEVYVKVISVSGQKLSLSMRDVDQNTGKDLLPLKKSSDDDAFRTNPSAGKEGPVMRTGLSGIRIVEDENAVPSRRPLKRMSSPERWEAKQLIASGVLSVDEYPMYDEEGDGMLYQEEGAEEELEIELNEDEPAFLQGQTRYSVDVSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAFGKALTFGQRSKLSIQEQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILIDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDFACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFEPPPPGKRKVVVATNIAEASLTIDGIFYVVDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGTTTLMMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIISMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVSAGKNFTKIRKAIAAGFFFHAGRKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVVDPKWLVELAPRFFKVADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >EOY14974 pep chromosome:Theobroma_cacao_20110822:8:1838578:1843177:1 gene:TCM_034192 transcript:EOY14974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor ATP-dependent RNA helicase isoform 1 MDSGCFVQLNELRGKEGLVHVSQMATRRISNAKDVVKRDQEVYVKVISVSGQKLSLSMRDVDQNTGKDLLPLKKSSDDDAFRTNPSAGKEGPVMRTGLSGIRIVEDENAVPSRRPLKRMSSPERWEAKQLIASGVLSVDEYPMYDEEGDGMLYQEEGAEEELEIELNEDEPAFLQGQTRYSVDVSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAFGKALTFGQRSKLSIQEQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILIDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDFACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFEPPPPGKRKVVVATNIAEASLTIDGIFYVVDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGTTTLMMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIISMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVSAGKNFTKIRKAIAAGFFFSICSLETVWKVIHFSSRHALASNVDISNHWGQVFGSVLEPIIYLENARRTPRSKATKVLANRRDWSYDMGKKVASLSISIGNRFVGKAA >EOY15168 pep chromosome:Theobroma_cacao_20110822:8:2468393:2471919:-1 gene:TCM_034329 transcript:EOY15168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho guanyl-nucleotide exchange factor 1 MASVSSEEGFDQISERLESYSLSADVSESESSSGYSSCRHFDQEGGSTSLTSSSLAGPEFVDGSSFAARVPVMLPVVGGRHVVIPAKKAEVPEADTSGEFTTTFASDLLKVEMMKERFAKLLLGEDMSGGRKGVCTALAISNAITNLSASVFGELWKLEPLPPQRKLMWRREMDWLLCVSDYIVELKPSLQEFPAGGTFEVMVARPRSDLYVNLPALKKLDAMLLSILDGFRNSEFHYADRGIVIADTDEIEAFPSYLSSGRPSVRHEEKWWLPFPKVPPTGLSEDTRKRLQQCRECTNQILKAAMAINSSVLAEMEIPSAYLDSLPKCGKDCLGEVMYRYLTADQFSPECLLDYLDLSSEYTTLEIANRIEASVHIWKQKYLKKRSLRAKVGKASWGGKVKGFVVDIEKSKVLTQRAETLLQNLKLRFPGLPQTSLDGSKIQYNKDVGQSILESYSRVIESLAFNITARIDDLLYVDDATKQRAAAESMPLYDQGRFGGALPKQRLVSPSPFLFEHAPSGSPCAMRASYTSDVIGESPDRRIYHPLHYGNLRDPLDGSLERVAF >EOY15553 pep chromosome:Theobroma_cacao_20110822:8:3712398:3713484:-1 gene:TCM_034571 transcript:EOY15553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MPCVNLWFDYLSTYKLGKIKRHIVACLVLFCYLCICIYQGNFSVQYVP >EOY16997 pep chromosome:Theobroma_cacao_20110822:8:16159354:16172855:1 gene:TCM_036111 transcript:EOY16997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor protein kinase family protein, putative MPLNLTALQISSNPLNGILPTYNFIIWSKYLYYDYNVNKSSFFFFFTNFVGEISCKIGNLITLEKFYASYMHISGLIQPSSFNISSLKEIYLQNNSLSGKLPCMSSDSKFEELNLGRNNLNGNIPDCISKATKLKILSLYQNSFSGLIPNTLGNLRALEELCLWSNHLTAKPPNHEWSFLSSLANCKNLIVLQISSNPLYGILPTFISNLSASLQDFCAMDCKIKGIIPTEISSLSNIRVLHLGQNEMRGSIPRSIGNLTRLKELYLDENSLKGKILNLIFKLIFWIAMLTNQVFFFFTNFAGENSCEIENLITMEKFYASYRFISALIPPSFFNISSLKEIYLQNNSLSSKLPFMSLDSNLEKLNLGSNNFSGNILDCISNASKLKILSLYQNSFSGLIPNTLGNLSFLEELHLWSNRLTTKTPNHGQSFLSSLANCKNLHVLEISSNPLYDILPTFISNLSTSLRMFRVVDCKIKGTIPMEIGSLSSIRVLHLNQNELRGSIPRSIGNLTRLKELYLDDNNLKGSGTRLPNAMENSITRSHHQSFFSRCDWPPINSILIDEVY >EOY17471 pep chromosome:Theobroma_cacao_20110822:8:20788913:20792867:1 gene:TCM_036656 transcript:EOY17471 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-type lectin S-receptor serine/threonine-protein kinase MTLLHFLSLFFFFSFSTFYIASSSTISLGSSISPSTPSQSWSSSNSTFSLSFISSRSSNSFLAAITFAGGVPVWTAGGGATVDSGGSLRLLSNGALRLFNGSGAVVWDSDTANQGVSSASLEDSGELRLLGNGSATVWSSFDHPTDTIVPGQNFTLGRVLKSGLYSFSLQKPGNLTLKWNDSIVYWNQGLNSSVNANLTSPSLRLQATGVLSIFDPSLTSGAIMFYSSDYAEGSDILRFLRLDNDGNLRIYSSATNTGTETVRLSAVLDQCDVFGYCGNMGICSYNDSNPICGCPSENFEPVDVNDRRQGCKRKVEIEDCPGSATMLALDHTEFLTYQPELSSQLFSVGITACRLNCLVGPACVASTALSDGTGFCYLKTTEFVSGYQSPAHPSASFVKICGPAVPNPSPFAYNAENSKGWRLRAWIVVVVVVVTLLVLVALEGSLWWWCCRNSPKFGGLSAQYALLEYASGAPVQFSYKELQRSTKGFKEKLGAGGFGAVYKGILTNRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNASLDNFLFVTEEQSGKTLSWEYRFNIALGTARGITYLHEECRDCIVHCDIKPENILLDENYNAKVSDFGLAKLINPKDHRYRTLTSVRGTRGYLAPEWLANLPVTSKSDVYSYGMVLLEIVSGRRNFDVSVDTNRKKFSIWAYEEFERGNVEGIVDKRLVDQDVDMEQVIRAIQVSFWCIQEQPSQRPMMGKVVQMLEGITEIERPPAPKSATEGSISGTSINVSSNISAFSTFAASAPAPSSSSSLQTAMVSPLASGMNMGKQSTSLLQSDTK >EOY15283 pep chromosome:Theobroma_cacao_20110822:8:2780735:2785371:-1 gene:TCM_034397 transcript:EOY15283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMDPLPPQSCTLSTVQRIAKNPRHFSIVYLFIVILETVFSGCQRHVIHERKEAKSNLLRAEGRRHKLDILYLDRAKQISESSSKVRRATERMPKLVGIISGHPKAEGTFVIYLSLKNCSWCWRNILRLRDSALALNKHEIRDRRNTFLWLDYRHPHGPLLNRFSSSIIMASGLHKKVKDWPKTRPRAILDIIAAVDGIVSPNPCRQILFFGSSKCKVADTWKLLRHKQSTVEWA >EOY14689 pep chromosome:Theobroma_cacao_20110822:8:1010157:1011661:1 gene:TCM_033992 transcript:EOY14689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLRKWRASRNQATSYLRFLDSGIYRFPTQIPSSSTRFACSTDPTSGLRYLLSAYYSRFFESKHPTRESALSSNPKKRKRKRKKQPHSLNEKEQAADQRHQEARPLLLKAHELLLGAADFPAIMSKLRSEFCSSTDLCGGEEHSFIELGRVWQAPLYDITLDFKHSRLTNESENGGLVR >EOY15566 pep chromosome:Theobroma_cacao_20110822:8:3765151:3766884:1 gene:TCM_034581 transcript:EOY15566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKGQRQYRNRLSIESNQSQSPTLPSVSAWFTAQCTAPPHRQIRNSNNPANGGDLAANRSDSVQAQM >EOY15802 pep chromosome:Theobroma_cacao_20110822:8:4694753:4695938:-1 gene:TCM_034760 transcript:EOY15802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTFKIEGVAEMSANNQIKERHDEGYLKGNHGGNSKEWLSLSLGRHEDIVASGRGSQSKYASNKFFCNFCRRKFSSTQALGGHQNAHKRERGVVRRYQSERLMATIGLPINMARSRGVQPHSLVRELSTEWRPVAARFNDSNEEIVGAWAGFMHRETTLKWPGNYQVDPQPSKPPPELLKVDLDLRL >EOY17192 pep chromosome:Theobroma_cacao_20110822:8:18255633:18257402:1 gene:TCM_036344 transcript:EOY17192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQAEASPHDRRTSKNRKTKSLLSLPVVGLCSLLPRHHRWGLIVGYTSPRPI >EOY17147 pep chromosome:Theobroma_cacao_20110822:8:18061500:18079741:1 gene:TCM_036312 transcript:EOY17147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein with ARM repeat domain isoform 1 MSINMKTLTQALAKTAAVIEKTVQTTVQEVTGPKALQDYELLDQIGSAGPGLAWKLYSAKARDGTRPQQYPTVCVWVLDKKVLSEARARAGLSKVAEDSFFDLIRADAGRLVRLRHPGVVHVVQALDENKNAMAMVTEPLFASVANALGNVENVANVPKDLKGMEMGLLEVKHGLLQIAESLDFLHNNARLIHRAISPENILITSSGAWKLGGFGFAISTDQASNDLANVQAFHYAEYDIEDSVMPLQPSLNYTAPELVRSKASSTGCSSDIFSFGCLAYHLIARKPLFDCHNNVKMYMNTLTYLSNEAFSSIPPELVHELQRMLSANESFRPSALDFTGSPFFRDDTRLRALRFLDHMLERDNMQKSEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNLVMQPMILPMVLTIAESQDKTDFELVTLPALVPVLSTAAGETLLLLVKHAELIINKTSPEHLVSHVLPMLVRAYDDNDPRIQEEVLKKSVFLAKQLDAQQLVKQAILPRVHGLALKTTVAAVRVSALLCLGEFVHTLDKHAVLDVLQTIQRCTAVDRSAPTLMCTLGVSNSILKQYGVEFVAEHVLPLLTPLLTAQQLNVQQFAKYMLFVKDILRKIEENRGVTLTDSGIREVKHAATANGLESQALSKASGTVASAKSSPAWDEDWGSTTRGAATATAPASAYQPSNNNLSTQSVLGDKSIQSAPRQSQSSMISTVSRQQTSVSCPAVDIEWPPRASSGVPVQSGNGEKQLNAGISSPINFDELDPFANWPPRPSAASSGPGAFNNGTRGPATNNYGSSSITSTPNNLSYQTDNSDSWAFSNQYSGEPLRPNQGSSTLNTSILNSGGLQNSLGFKKQNQGISASVTTSYNNHKSTDLGSIFGSSKNEQAAPKLAPPPSTAVGRGRGRGRGGSSTSRASHAKPTPEQPPLLDLL >EOY17146 pep chromosome:Theobroma_cacao_20110822:8:18061330:18080150:1 gene:TCM_036312 transcript:EOY17146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein with ARM repeat domain isoform 1 MSINMKTLTQALAKTAAVIEKTVQTTVQEVTGPKALQDYELLDQIGSAGPGLAWKLYSAKARDGTRPQQYPTVCVWVLDKKVLSEARARAGLSKVAEDSFFDLIRADAGRLVRLRHPGVVHVVQALDENKNAMAMVTEPLFASVANALGNVENVANVPKDLKGMEMGLLEVKHGLLQIAESLDFLHNNARLIHRAISPENILITSSGAWKLGGFGFAISTDQASNDLANVQAFHYAEYDIEDSVMPLQPSLNYTAPELVRSKASSTGCSSDIFSFGCLAYHLIARKPLFDCHNNVKMYMNTLTYLSNEAFSSIPPELVHELQRMLSANESFRPSALDFTGSPFFRDDTRLRALRFLDHMLERDNMQKSEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNLVMQPMILPMVLTIAESQDKTDFELVTLPALVPVLSTAAGETLLLLVKHAELIINKTSPEHLVSHVLPMLVRAYDDNDPRIQEEVLKKSVFLAKQLDAQLVKQAILPRVHGLALKTTVAAVRVSALLCLGEFVHTLDKHAVLDVLQTIQRCTAVDRSAPTLMCTLGVSNSILKQYGVEFVAEHVLPLLTPLLTAQQLNVQQFAKYMLFVKDILRKIEENRGVTLTDSGIREVKHAATANGLESQALSKASGTVASAKSSPAWDEDWGSTTRGAATATAPASAYQPSNNNLSTQSVLGDKSIQSAPRQSQSSMISTVSRQQTSVSCPAVDIEWPPRASSGVPVQSGNGEKQLNAGISSPINFDELDPFANWPPRPSAASSGPGAFNNGTRGPATNNYGSSSITSTPNNLSYQTDNSDSWAFSNQYSGEPLRPNQGSSTLNTSILNSGGLQNSLGFKKQNQGISASVTTSYNNHKSTDLGSIFGSSKNEQAAPKLAPPPSTAVGRGRGRGRGGSSTSRASHAKPTPEQPPLLDLL >EOY16293 pep chromosome:Theobroma_cacao_20110822:8:6566151:6567974:1 gene:TCM_035113 transcript:EOY16293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGYREDNSCCYFHPKEVVVGVCPLCLNERLLILASKQGQRSSSSSRGNHRIQGLSHKKPPTKLPKIFALGSVLLNRLEFKHWKSENSGDHDASTSQEDSFISIKFEDNGVASWEKGTVSKVSLEHCSVSWNPTMTKEITKEQKETNKSVVEHAKPRASLRWRKRIGHLFQLIRWKRSSKGNVCHVGSKVEGVKVMRKGWIRTLTKRTKE >EOY16298 pep chromosome:Theobroma_cacao_20110822:8:6587523:6589390:-1 gene:TCM_035119 transcript:EOY16298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding family protein MAQTMLLMSSTSVSTSHVVNLKRGHPLLQFQAQGLKPKPFFHFLLNPFSDSVATSSRGFTTFAVFKPKTKAAPKRAAAPPKLKVEDGIFGTSGGIGFTKQNELFVGRVAMLGFAASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGSFVDDPPSGIEGAVIPPGRGIRGALGLKEGGPLFGFTKANELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGIPISDIEPLVLFNVAFFFFAAINPGTGKFVTDEDEK >EOY16560 pep chromosome:Theobroma_cacao_20110822:8:8311795:8315273:-1 gene:TCM_035356 transcript:EOY16560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor protein kinase EXS, putative MKVNSEPVSFSDFLSTAITNTIPSSIFSLPHIRYLDLSFNNFILSKIPTGLSNLIGLAYLNLSSTMFNDSMTTQFTNLTSLTALDLSWSFSIWDYSSIFANLSSTLTIHSGALYTYINRGSLSASNLNWLQQLNNLRELKLSGVDLSESSRSALWAKPISNLSKLRLLDLSNCGISGKVPVEQLLNLTRLSHLFMDFNIIASEIPRNLANLTSLLILDLTRSNLQGHIPYLPQLKGEIPASMMNLSRIEKLLLDLNQLTGQTSPSISNLKSLKYLSLIQNSFHGSIPDIICSISSLRYLVLVGNSFTGNLPDCIGQLHDLRYLAVNYNKMNGTIPSLSSFFHNSTLYMVSLGLSGLSVKVNQLPFPPKFQPKVLSLGSCNLGGTIPNFISNLTQLTFLGLSNNNLSGPIPSWLFNLPNLGYLDISLNKLQGVIPPNIKLKSFFLPTTLNLRNNQLQVPIPQRLENIEALDLSANNFTGYIPKEVGLGNIRYLALSSNNLFGHIPFSLCQAKNELMLLDLSNNNLGGTGPTMLGNCTSLVYLNLGGNKLSGGIPNELQGKYIGVEVNLLAKGLSLQLKVVCTYHNGMDLSCNNLSRSLPSELGLLQGLYPLKLTQNTLSRPHFDTLSRDGLAYTGNNFLCGAPDSVECDANGSPTTESSDAEDIGQWKLVYAIGTVGYVVGFWGLFGVLYLMSEKRKRSYWAAVDQIVARIIRCNK >EOY14962 pep chromosome:Theobroma_cacao_20110822:8:1810470:1811239:-1 gene:TCM_034185 transcript:EOY14962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNTCSQKIEDEITPMDRSSAATPGEKVGTLKKHSSGTSVIIRCFPPYVEQVFNSSYPRFSTLLILVFAKLSACWLVAYSSYPRFSTLLILVFAQLSACWLVASL >EOY16856 pep chromosome:Theobroma_cacao_20110822:8:12235025:12514102:-1 gene:TCM_035776 transcript:EOY16856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein MDFVTGLPRTSGGYDSIWIVVDRLTKSAHFLPVKTTYGAAQYARVYVDEIVRLHGIPISIVSDRGAQFTSRFWGKLQEALGTKLDFSTAFHPQTDGQSERTIQTLEDMLRACVIDLGVRWEQYLPLVEFAYNNICD >EOY17369 pep chromosome:Theobroma_cacao_20110822:8:19708825:19712629:-1 gene:TCM_036519 transcript:EOY17369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDIACLMPYLVHFVVLYVPVGVIYLVYKYKSRAATPNLPPGRKGLPYIGETLDYVLGLRRGTPVKFINDRSTKYSPDVFRTSLLGEDMAVFCGAAGNKFLFSGHNKYVTAWWPRSMKKALLDPSSVDNSSEEESTILRTYLLPLLKPESLQRYVPVMDVMAKEHLDQYWSPYNQVQVSPLSKKYGFSLACRLFMSVQQKEEIENFAKPFAFATAGLISVPIDLPGTTFNRAVKAGKVIRRELLAFITKKKNELLKKGMTVASDIVDSMLMDGMTEIEILNKIVGFFIASHDTTSTAITFTVSYLSDYPDVYNRVLEEQKDILRSKGPGEPLGWEDIQKMKYTWCVVCEAMRLAPPANGAFREAITDFTYAGYTIPKGWKAFWTVHSTNKNPKYFPDPEKFDPSRFEGNGPAPYTYVPFGGGPRMCPGKEYARLEILTFIHNLVTRFKWEKLNPNEKIFYSPSPIPEEGLPIKLQAA >EOY16260 pep chromosome:Theobroma_cacao_20110822:8:6453194:6456189:1 gene:TCM_035095 transcript:EOY16260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGGHGAVEVAKTVLEVADVAWTAMECCHHHHHHQHDDDSPENHDDSKLEKELETLKSENRRLRNLLEQNLKLLNNLSESPAVLNDCPPDLYARLVSTVDSKDFLTRLKSLNESNTQVEFPFKEATGDDMHSAEILINVDQKEPSWWVWVTDEMVPSNVEEWSGIDDENYIVVSEEHVVDGVANFMAKCILSNPKAQTLTPEELQKTLLKALGGVSKLEKVLGIWHAGKLFYALSTWGLALAGLYRTRTVLKLAAMGINTSSKVVMRAL >EOY16259 pep chromosome:Theobroma_cacao_20110822:8:6453073:6456434:1 gene:TCM_035095 transcript:EOY16259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGGHGAVEVAKTVLEVADVAWTAMECCHHHHHHQHDDDSPENHDDSKLEKELETLKSENRRLRNLLEQNLKLLNNLSESPAVLNDCPPDLYARLVSTVDSKDFLTRLKSLNESNTQVEFPFKEATGDDMHSAEILINVDQKEPSWWVWVTDEMVPSNVEEWSGIDDENYIVVSEEHVVDGVANFMAKCILSNPKAQTLTPEELQKTLLKALGGVSKLEKVLGIWHAGKLFYALSTWGLALAGSKRMAWMLFLLERPSMLIYLFVHHWPLILVTMRTPFPGYQSPRFACRIRSVLKINGACFSISWLKDLALRSQARWARLYRTRTVLKLAAMGINTSSKVVMRAL >EOY14602 pep chromosome:Theobroma_cacao_20110822:8:700912:702908:-1 gene:TCM_033924 transcript:EOY14602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSSRFLLSLLFFLLSEILSLSFNPLQSKNPQILQDVLEKIALKQEWELEGLNFSKLEVSKARFGAGKRYEFRIRFGKTHLLFKFPDEVSSWSKFRKGSGDDFLDFVKEINSTAGLDSFKMEGPFELRLAPNHQASLLLPLNTSHTDLKRVLVGEGITVEVSGAQEVSLFHAFSFGLPVNESEVEEKTGYWPFRQSFCMPLLPVNVLGSVSLVAYQTRNPDAHIEAVFLSSDTIELLPEKCYGDRAYMKQSYPMDSISLRISKLRKVLRTFLGDRDNGNGFSSSLNVKTKASPIIHFQLELEKTIGKNETVRGMLAEWRSKPTVERLWFDVTARIEAEKLKPLMIKKVRPFVGVDTVSWSNLLSNISFTKFPSILVPPEALTLDVKW >EOY17454 pep chromosome:Theobroma_cacao_20110822:8:20613542:20618977:-1 gene:TCM_036631 transcript:EOY17454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein, putative MLSVMNNDAGFDQSSDKIEEKARVSSDDAIDSSNEENRLSLGVSNDEARVSSMELDLKDVRVSENERSGDVRESDCSVDKGIGAESRVYDVTDRIDEQDDRVNDDENDRIENVEEVEEDSGSEYKSLLSEFDDYVANDRIGGGTSRALSYGFEVGDMVWGKVKSHPWWPGHIFNEAFASPSVRRTRREGHVLVAFFGDSSYGWFDPAELIPFDRHFMEKSQQTNSRTFVKAVEEAMDEASRRHGLGLACKCRNPYNFRPTNVQGYFAVDVPDYEPNGVYSVNQIRTARNNFKPSEILSFVKQLASAPGACDQQSIEFFKNKATVFSFRKAVFEEFDETYAQAFGVQPARPSNASDDKSNQPVKQPPRAPLSGPLVIAEALGGGKSSKKPMKVKDHSKKDRYLFKRRDETSDLQVPQIGQGQASSLIQLTFREGSPTFLAGDYVLQKRAPMSQIPLKQEQTVFMSRDGANSSGDFSGNEVVTVNQTSANCAAVDGKLSLNKIDGALASFQREGDAMYDLKPEEGGKLSRLSEGAQKPDLGFTAKLEGGQGLDQFQDGYTGGHPVLVDVKRSGAMSSEGGVKKVKKRPSVDIGSDNSALGERKKKKKKKEAGPETNSDHPQKPFVLGKGGAKAAQISLGPREESQVNHQKKDVGPANSSFNSVGASTTIGLGNSGLELAQLLSDLHSLALDPFHAVERNSPTIIRQFFLRFRALVYQKSLVLSPPSEMEPAEVRGTKPPPFVGVSDNLPNENVRDSTPSKPVRPLVRPDDPTKAGRKRLPSDRQEEIAAKRLKKISQLKSLAAEKKANLRTMEAPKVEGKEQPTAGPPARPLKKPDSARKTEPPPRAVEPTMLVMKFPPQVSLPSVAELKARFGRFGSLDQSAIRVFWKSSTCRVVFRHKLDAQAAYRYANGNNSLFGNVNVRYHVRSVEAPAVEVPDFDKARGDDTASETMRVKDPAVERSAPILPHQPLPQSTVLLKSCLKKPTADEAGQGSGGNGGRGTARVKFMLGGEETSRGEQLMVGNRNNFNNNASFADGGATSIAMEFNSKNFQKVVPPSSSPSPIHPIPQYGKAPANNLHHTEVAPRNSHNLNTQTIPPGTASIDISQQMLSLLTRCNDVVTNVTGLLGYVPYHPL >EOY17370 pep chromosome:Theobroma_cacao_20110822:8:19727627:19735503:1 gene:TCM_036520 transcript:EOY17370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 5 MEFLIVLNSDKGRVSVSVLGFLRVSCTPGLVLQLSLFPQKKKKKHTNLDGWDRDHEFQVWIDQELQFFTQLKEVYITSLTVMSNRIEDDSFSKPVGRWSVFYYGVGHMLNDITAACWFTYLLLFLTDIGLSQRDAATVMLSGQIADGLATIFVGELIDRFGHFKIWHGAGSMLVAVSFSSVFGGCLPCKALATFSSTVETISYSTFAAIFNVGWAATQVSHMSMVNCISLNSTSRVVLASCRNAFTMVANLSLYGIALIVFDISIAKTYADIENQYRWIAYLSIFIGCCFVGIFHFGTNEPRSKKGIHGNIHARISWTYWFRKILYYQVALVYVLTRLVLNVSQAYLAFYVINDLLMAQSAKALVPAIIYICSFIVSVLLQEIAWNGQRLKAYYAAGGILWMFCGVGILLLPRSMSLFMYAISVFIGIANALMTVTAVSMQSIVIGEDLNGCAFVCGSLSFLDKISCGLALFVLQSYQSNSPIIVGNYSTNFNFSVTRYGLGLVPAFCSALAVAVTCTMELQTPLSKPLMEPLLE >EOY17373 pep chromosome:Theobroma_cacao_20110822:8:19729326:19735566:1 gene:TCM_036520 transcript:EOY17373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 5 MSNRIEDDSFSKPVGRWSVFYYGVGHMLNDITAACWFTYLLLFLTDIGLSQRDAATVMLSGQIADGLATIFVGELIDRFGHFKIWHGAGSMLVAVSFSSVFGGCLPCKALATFSSTVETISYSTFAAIFNVGWAATQVSHMSMVNCISLNSTSRVVLASCRNAFTMVANLSLYGIALIVFDISIAKTYADIENQYRWIAYLSIFIGCCFVGIFHFGTNEPRSKKGIHGNIHARISWTYWFRKILYYQVALVYVLTRLVLNVSQAYLAFYVINDLLMAQSAKALVPAIIYICSFIVSVLLQEIAWNGQRLKAYYAAGGILWMFCGVTAVSMQSIVIGEDLNGCAFVCGSLSFLDKISCGLALFVLQSYQSNSPIIVGNYSTNFNFSVTRYGLGLVPAFCSALAVAVTCTMELQTPLSKPLMEPLLE >EOY17374 pep chromosome:Theobroma_cacao_20110822:8:19731670:19735435:1 gene:TCM_036520 transcript:EOY17374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 5 MLVAVSFSSVFGGCLPCKALATFSSTVETISYSTFAAIFNVGWAATQVSHMSMVNCISLNSTSRVVLASCRNAFTMVANLSLYGIALIVFDISIAKTYADIENQYRWIAYLSIFIGCCFVGIFHFGTNEPRSKKGIHGNIHARISWTYWFRKILYYQVALVYVLTRLVLNVSQAYLAFYVINDLLMAQSAKALVPAIIYICSFIVSVLLQEIAWNGQRLKAYYAAGGILWMFCGVGILLLPRSMSLFMYAISVFIGIANALMTVTAVSMQSIVIGEDLNGCAFVCGSLSFLDKISCGLALFVLQSYQSNSPIIVGNYSTNFNFSVTRYGLGLVPAFCSALAVAVTCTMELQTPLSKPLMEPLLE >EOY17372 pep chromosome:Theobroma_cacao_20110822:8:19728337:19735435:1 gene:TCM_036520 transcript:EOY17372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 5 MSNRIEDDSFSKPVGRWSVFYYGVGHMLNDITAACWFTYLLLFLTDIGLSQRDAATVMLSGQIADGLATIFVGELIDRFGHFKIWHGAGSMLVAVSFSSVFGGCLPCKALATFSSTVETISYSTFAAIFNVGWAATQVSHMSMVNCISLNSTSRVVLASCRNAFTMVANLSLYGIALIVFDISIAKTYADIENQYRWIAYLSIFIGCCFVGIFHFGTNEPRSKKGIHGNIHARISWTYWFRKILYYQVALVYVLTRLVLNVSQAYLAFYVINDLLMAQSAKALVPAIIYICSFIVSVLLQEIAWNGQRLKAYYAAGGILWMFCGVGILLLPRSMSLFMYAISVFIGIANALMTVTAVSMQSIVIGEDLNGCAFVCGSLSFLDKISCGLALFVLQSYQSNSPIIVGNYSTNFNFSVTRYGLGLVPAFCSALAVAVTCTMELQTPLSKPLMEPLLE >EOY17371 pep chromosome:Theobroma_cacao_20110822:8:19728199:19735582:1 gene:TCM_036520 transcript:EOY17371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 5 MSNRIEDDSFSKPVGRWSVFYYGVGHMLNDITAACWFTYLLLFLTDIGLSQRDAATVMLSGQIADGLATIFVGELIDRFGHFKIWHGAGSMLVAVSFSSVFGGCLPCKALATFSSTVETISYSTFAAIFNVGWAATQVSHMSMVNCISLNSTSRVVLASCRNAFTMVANLSLYGIALIVFDISIAKTYADIENQYRWIAYLSIFIGCCFVGIFHFGTNEPRSKKGIHGNIHARISWTYWFRKILYYQVALVYVLTRLVLNVSQAYLAFYVINDLLMAQSAKALVPAIIYICSFIVSVLLQEIAWNGQRLKAYYAAGGILWMFCGVGILLLPRSMSLFMYAISVFIGIANALMTVTAVSMQSIVIGEDLNGCAFVCGSLSFLDKISCGLALFVLQSYQSNSPIIVGNYSTNFNFSVTRYGLGLVPAFCSALAVAVTCTMELQTPLSKPLMEPLLE >EOY15099 pep chromosome:Theobroma_cacao_20110822:8:2240086:2240781:1 gene:TCM_034272 transcript:EOY15099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRFFFAPFVLPPLLTLPFNSLQFDESFSVFLSTNPYLKTYSRLLQVSQGTRAMEDQRAGFDVMSNCRWKT >EOY15231 pep chromosome:Theobroma_cacao_20110822:8:2653715:2660499:-1 gene:TCM_034372 transcript:EOY15231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-rRNA-processing protein TSR1 isoform 2 MGGSRVQVNKPHKSRFSSKSSRSLHKVSQKDKNRIAKSDRNATKGARAARLQRSKMLREQTRAAFLKEKRASSGSASPPRLILLFPLSPSVNVNSLAEDLLRLLSTEGAGALSSTVASSEYKLRATVLQAPHGDLLSCMEMAKVADLIAFVASATEESTCDYIDSFGSQCLSVFRSLGLPSTVVLIRDLPIELKRRNDAKKTCTSSLTSEFPEDCKFYPADTKDDLHKFMWLFKEQRLTTPHWRNQRPYLMAQKVDMVPDDSNPGKCTLLLTGYTRAHSLSVNQLVHVSGAGDFQLGKIEILKDPIPLNARKEHNAMDSDDIQDVEVIRSLAPDPLNEEPLLVENVPDPLAGEQTWPTEAEMAEADRNQKQKRLRKKNLPRGTSEYQAAWIIDDTDEEDSDAKDEDADDDDEDDDGMVLDEGESGFPSQEGTNNPDFEDQASLYLRDSDEETENDSVMMEGENLTREQIEAEIKKIKEAHAEDEEFPDEVDTPLDVPARKRFAKYRGLKSFRTSSWDPKESLPPEYARIFAFDNFARTQKHVIAKALEMEQESRDNRVPAGSYARIYIKDIPLDIASKLCAVSRRAPIILCGLLQHESKMSVLHFSIKKHDSYDAPIKSKEEFIFHVGFRQFVARPIFSTDNVNSDKHKMERFLHAGRFSIASIYAPISFPPLPLIVLKGAGGASTPTVAAVGSLRSIDPDRIVLKKIILTGYPQRVSKLKATVRYMFHNPEDVRWFKPVEVWTKCGRRGRIKEPVGTHGAMKCIFNGGLQQHDTVCMSLYKRAYPRWPEHRFPVLNA >EOY15232 pep chromosome:Theobroma_cacao_20110822:8:2653723:2660278:-1 gene:TCM_034372 transcript:EOY15232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-rRNA-processing protein TSR1 isoform 2 MGGSRVQVNKPHKSRFSSKSSRSLHKVSQKDKNRIAKSDRNATKGARAARLQRSKMLREQTRAAFLKEKRASSGSASPPRLILLFPLSPSVNVNSLAEDLLRLLSTEGAGALSSTVASSEYKLRATVLQAPHGDLLSCMEMAKVADLIAFVASATEESTCDYIDSFGSQCLSVFRSLGLPSTVVLIRDLPIELKRRNDAKKTCTSSLTSEFPEDCKFYPADTKDDLHKFMWLFKEQRLTTPHWRNQRPYLMAQKVDMVPDDSNPGKCTLLLTGYTRAHSLSVNQLVHVSGAGDFQLGKIEILKDPIPLNARKEHNAMDSDDIQDVEVIRSLAPDPLNEEPLLVENVPDPLAGEQTWPTEAEMAEADRNQKQKRLRKKNLPRGTSEYQAAWIIDDTDEEDSDAKDEDADDDDEDDDGMVLDEGESGFPSQEGTNNPDFEDQASLYLRDSDEETENDSVMMEGENLTREQIEAEIKKIKEAHAEDEEFPDEVDTPLDVPARKRFAKYRGLKSFRTSSWDPKESLPPEYARIFAFDNFARTQKHVIAKALEMEQESRDNRVPAGSYARIYIKDIPLDIASKLCAVSRRAPIILCGLLQHESKMSVLHFSIKKHDSYDAPIKSKEEFIFHVGFRQFVARPIFSTDNVNSDKHKMERFLHAGRFSIASIYAPISFPPLPLIVLKGAGGASTPTVAAVGSLRSIDPDRIVLKKIILTGYPQRVSKLKATVRYMFHNPEDVRWFKSLWKCGQSAVAVVELRNLLVHMAQ >EOY15233 pep chromosome:Theobroma_cacao_20110822:8:2653953:2660297:-1 gene:TCM_034372 transcript:EOY15233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-rRNA-processing protein TSR1 isoform 2 MGGSRVQVNKPHKSRFSSKSSRSLHKVSQKDKNRIAKSDRNATKGARAARLQRSKMLREQTRAAFLKEKRASSGSASPPRLILLFPLSPSVNVNSLAEDLLRLLSTEGAGALSSTVASSEYKLRATVLQAPHGDLLSCMEMAKVADLIAFVASATEESTCDYIDSFGSQCLSVFRSLGLPSTVVLIRDLPIELKRRNDAKKTCTSSLTSEFPEDCKFYPADTKDDLHKFMWLFKEQRLTTPHWRNQRPYLMAQKVDMVPDDSNPGKCTLLLTGYTRAHSLSVNQLVHVSGAGDFQLGKIEILKDPIPLNARKEHNAMDSDDIQDVEVIRSLAPDPLNEEPLLVENVPDPLAGEQTWPTEAEMAEADRNQKQKRLRKKNLPRGTSEYQAAWIIDDTDEEDSDAKDEDADDDDEDDDGMVLDEGESGFPSQEGTNNPDFEDQASLYLRDSDEETENDSVMMEGENLTREQIEAEIKKIKEAHAEDEEFPDEVDTPLDVPARKRFAKYRGLKSFRTSSWDPKESLPPEYARIFAFDNFARTQKHVIAKALEMEQESRDNRVPAGSYARIYIKDIPLDIASKLCAVSRRAPIILCGLLQHESKMSVLHFSIKKHDSYDAPIKSKEEFIFHVGFRQFVARPIFSTDNVNSDKHKMERFLHAGRFSIASIYAPISFPPLPLIVLKGAGGASTPTVAAVGSLRSIDPDRIVLKKIILTGYPQRVSKLKGRNEMHI >EOY17298 pep chromosome:Theobroma_cacao_20110822:8:19124995:19126003:1 gene:TCM_036449 transcript:EOY17298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWEHISVKTELMKRNLILENQALCVICNQKLDSVRHLSFTCDEVWKIWGRWCLDWKVNWVIHESPKFLFLAWNSLCVSNDKLKIWILVFYVITWSIWLLHNNIVLIIRNGIVISYMT >EOY15431 pep chromosome:Theobroma_cacao_20110822:8:3237347:3239481:-1 gene:TCM_034492 transcript:EOY15431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein isoform 1 MPGNLGCVIVAVDGSEESMDALRWALDNLKLRSSTPDSTKSPGYFLVLHVQSPPSIAAGLNPGAIPFGGPSGLEVPAFTAAIEAHQKRITEVILDHALQICSERNANVKTQVAIGDPKEKICEVVENLHSDLLVMGSRAFGPIKRMFLGSVSNYCANHAQCPVIIIKSKGTSSQA >EOY15429 pep chromosome:Theobroma_cacao_20110822:8:3235545:3239336:-1 gene:TCM_034492 transcript:EOY15429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein isoform 1 MPGNLGCVIVAVDGSEESMDALRWALDNLKLRSSTPDSTKSPGYFLVLHVQSPPSIAAGLNPGAIPFGGPSGLEVPAFTAAIEAHQKRITEVILDHALQICSERNANVKTQVAIGDPKEKICEVVENLHSDLLVMGSRAFGPIKRMFLGSVSNYCANHAQCPVIIIKSKGTSSQA >EOY15430 pep chromosome:Theobroma_cacao_20110822:8:3235412:3239321:-1 gene:TCM_034492 transcript:EOY15430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein isoform 1 MPGNLGCVIVAVDGSEESMDALRWALDNLKLRSSTPDSTKSPGYFLVLHVQSPPSIAAGLNPGAIPFGGPSGLEVPAFTAAIEAHQKRITEVILDHALQICSERNANVKTQVAIGDPKEKICEVVENLHSDLLVMGSRAFGPIKRMFLGSVSNYCANHAQCPVIIIKSKGTSSQA >EOY16184 pep chromosome:Theobroma_cacao_20110822:8:6097189:6102515:-1 gene:TCM_035031 transcript:EOY16184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase 1 MHEALFNEQSDVVLNSKKKKSDDEKDHLLVAVTTPMAHQHRSRSQATTRRVTPTTTATAFVGAGATVEKLLPNGDLYIGSFSGNAPHGSGKYLWKDGCMYEGEWRRGKASGKGKFSWPSGATFEGDFKSGRMEGFGTFIGSDGDTYRGSWSSDRKHGYGHKRYANGDYYEGSWKKNLQDGHGRYVWSNGNEYVGEWKNGVINGRGTLIWANGNRYDGQWENGVPKGSGVFSWPDGSCYIGAWNEDNMKKIQQLNGTFYHGNDGKEHCLKGGESLVVMPRKRSSVDGRGSLGERNMNFPRICIWESDGEAGDITCDIIDNVEASMIYRDGLGLDRDGFRQFRRNPCCFSGEIKKPGQTISKGHKNYDLMLNLQLGIRYSVGKHASILRDLKPSDFDPKEKFWTRFPAEGSKLTPPHQSVEFRWKDYCPVVFRHLRELFQVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLIRMLPSYYQHVCRYENSLVAKFYGVHCVKPIGGQKTRFIVMGNLFCSEYRIHRRFDLKGSSHGRSTDKPEEEIDETTTLKDLDLNFVFRLQRNWFQELMKQIDRDCEFLEAERIMDYSLLVGLHFRDDNRGDKMGLSPFLLRTGKKDSYQNEKFMRGCRFLEAELQDMDRILSGRKPLIRLGANMPARAERMARRSDFDQYTQGGVSHFSHSGEVYEVVLYFGIIDILQDYDISKKLEHAYKSLQADPTSISAVDPKHYSKRFRDFIGRIFVEDR >EOY15538 pep chromosome:Theobroma_cacao_20110822:8:3675532:3679191:1 gene:TCM_034560 transcript:EOY15538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MASLPSFAGSGSLKLEPDSRKHSPASLSTDKSPSISYPRTHKFTQLDGKSESVRSMDFQEALSRIKDGSRIETSYYVPLLQECIDRNSLAGAQNLHSHIIKTGTHEDLFVLTFLVNVYAKCGAMENARKVFTSLPRKNVVAWTTLMTGYVHNDQPDAAIYVFQQMLEFGSYPTNYTLGSALTACSALNFLELGKQIHAYSIKYQIDHDTSVGNSLCCLYSKCASLNSAIKAFQKIGRRNVISWTIIVSACGDNARAAKGLRFFTEMLAENVEPNEFTLTSALSMCCTMQSLRVGAQVHCLSIKLGYGSNLRIKNSIMYLYLKCGWFREAQQLFNGMEDMSLVTMNSMIAGYGEMMDLAKDDLSAYHNGTEALNIFSKLNNSGFKPDLFTFSSVLTICSKMVALEQGEQIHAQTLKTGYLSDVIVGTALVNMYNKCGSIERASRAFLEMSARTLISWTSMINAFTQNGQTQQALQLFEDMRLVGVRPNQVTFVGVLSACGHAGMVDEAFGYLEMMQKDYKIRPVMDHYACLIDMFVRLGRLAEAFDLIRKMDFQPNEFIWSLLLAGCKRHGKTELGFYAAEKLLELKPKDAETYLLLLNMYKSAERWEDVSKVRALMEEKRLEKLMDWSWISTKDKVYAFKSDERQTYGTEMHELLDELLDKAKSLLGYESLESIDLVDEEDEEKTFSSTVQHSEKLAAAFGLLNIADAAPIRLIKNISMCRGCHNFMKAISSLNARKIIVRDRKRLHKFVNGQCSCGDYGGLL >EOY15539 pep chromosome:Theobroma_cacao_20110822:8:3675532:3679191:1 gene:TCM_034560 transcript:EOY15539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MASLPSFAGSGSLKLEPDSRKHSPASLSTDKSPSISYPRTHKFTQLDGKSESVRSMDFQEALSRIKDGSRIETSYYVPLLQECIDRNSLAGAQNLHSHIIKTGTHEDLFVLTFLVNVYAKCGAMENARKVFTSLPRKNVVAWTTLMTGYVHNDQPDAAIYVFQQMLEFGSYPTNYTLGSALTACSALNFLELGKQIHAYSIKYQIDHDTSVGNSLCCLYSKCASLNSAIKAFQKIGRRNVISWTIIVSACGDNARAAKGLRFFTEMLAENVEPNEFTLTSALSMCCTMQSLRVGAQVHCLSIKLGYGSNLRIKNSIMYLYLKCGWFREAQQLFNGMEDMSLVTMNSMIAGYGEMMDLAKDDLSAYHNGTEALNIFSKLNNSGFKPDLFTFSSVLTICSKMVALEQGEQIHAQTLKTGYLSDVIVGTALVNMYNKCGSIERASRAFLEMSARTLISWTSMINAFTQNGQTQQALQLFEDMRLVGVRPNQVTFVGVLSACGHAGMVDEAFGYLEMMQKDYKIRPVMDHYACLIDMFVRLGRMQKTWQN >EOY15243 pep chromosome:Theobroma_cacao_20110822:8:2697140:2700240:-1 gene:TCM_034383 transcript:EOY15243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein MEQKKEKNKYSVIVPTYNERLNIALIVYLIFKHLREVDFEIIVVDDGSPDGTQEVVKQLQKLYGEDRILLRPRPKKLGLGTAYVHGLKHASGNFVVIMDADLSHHPKYLPSFIKKQLETDASIVTGTRYVKGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYRKSVLEDVISSCVSKGYVFQMEMIVRASRKGYHIEEVPITFVDRVFGSSKLGGSEIVEYLKGLAYLLVTT >EOY15190 pep chromosome:Theobroma_cacao_20110822:8:2529676:2530794:-1 gene:TCM_034339 transcript:EOY15190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrin 2, putative MAEEHHSKAPECESNVAGEGAVETKDRGLFDFLGKKEEKKPQEEVVIATEFDEKVKIEETKVEEEHKKEAGEEKKHSLLEKLHRSDSSSSSSSDEEEGEGEEKKKKKKKEKKEKKGLKEKIEEKLEGEKKEEEMKHEDTSVPVEKCDEPVVQAEPEKKGFLEKIKEKLPGQYKKAEEVPPPPPPPPAECVAAEPHEGAEAKEKKGILEKIKEKLPGYHSKTEEEKEKEKESASH >EOY16749 pep chromosome:Theobroma_cacao_20110822:8:10796334:10797611:-1 gene:TCM_035628 transcript:EOY16749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate-binding protein, putative MTPPWDQCLCGADPTDGFTPVPSTDENFDLQKPYDKPLSQRYYYSDGIRSLRVYDKDKPFKRGSGTRQSTEIRIKYSTVVDDYSSGVWQFEGHAYVPKGTSAVTIVQIHGAAEGATTLQLRIYHGNMRYYSYNLVATNLYDKWFRVNVIHDVGKGKVIVFIDGEEKFVVNDQGPGDPYFKCGVCRTSYV >EOY16201 pep chromosome:Theobroma_cacao_20110822:8:6177661:6184416:1 gene:TCM_035043 transcript:EOY16201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ASHR1 MEDDLELQRFLKAEGLTVSSVLPKFDYNGECMRCLFATRDFITGEVIMREEPYVCIPTSSDPVCQRCFKSDGTLLRCASCNIVWYCGAECQRLDWKLHKVECRAISRLEKKWQELVTPEIRLLVKLFIRTKLQRDKVIPTTPIDNFNLVEAMISHQSDMETEKLVEYMTKAQIVKQRLQQPELDQDEAVNNFCKLSCNAHAIVDIEMEQLGIGLYPVMSIINHSCLPNAVLVFEGKLAVLRAIAPVDEGDEVLISYIDLGRTTRFRQDDLNGKYHFTCSCPRCCKFAKIDDQILDALGCKNGKCDGFLVDKYGFYSSDQKLECNKCGLVRTSEAEKRAKGKIDPLLAKGRSDFSSGYFREALSTYKRVEEATLDLFHPSSFSVMIVRNLLTKLYMKTGDIQAALECCRLTTPVHQRLLQGHDPVLGRHYYTRGMLEWVKGNKEDALNYLIRAGDILEITHGTNFPLVKELLINLAKEQEGHPSGF >EOY16532 pep chromosome:Theobroma_cacao_20110822:8:8135166:8139114:-1 gene:TCM_035331 transcript:EOY16532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa family protein isoform 3 MEALGGNGAFWGWNLPDIRSKKKRFQSKSKSSDSTAEATGAGGYRLPLKQAVTAASLALTGDTIAQLSDRWRKQKQSLSGSSDTSKDIMSSVVSHHDWLRALRMTSYGFLFYGPGSYAWYKCLDHYLPHQTAQNLMLKVLLNQIVLGPCVIAVVFAWNNLWLGKLSQLPNKYQKDALPTLFYGFRFWIPVSVLNFWGGPSSSPCSFHVNRIHFLELFLVINHEQVDSTAPRIDKLCDQLMRFKPENFSQTRCGE >EOY16533 pep chromosome:Theobroma_cacao_20110822:8:8135689:8139119:-1 gene:TCM_035331 transcript:EOY16533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa family protein isoform 3 MEALGGNGAFWGWNLPDIRSKKKRFQSKSKSSDSTAEATGAGGYRLPLKQAVTAASLALTGDTIAQLSDRWRKQKQSLSGSSDTSKDIMSSVVSHHDWLRALRMTSYGFLFYGPGSYAWYKCLDHYLPHQTAQNLMLKIVLGPCVIAVVFAWNNLWLGKLSQLPNKYQKDALPTLFYGFRFWIPVSVLNFWVVPLQARVA >EOY16535 pep chromosome:Theobroma_cacao_20110822:8:8136318:8138942:-1 gene:TCM_035331 transcript:EOY16535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa family protein isoform 3 MEALGGNGAFWGWNLPDIRSKKKRFQSKSKSSDSTAEATGAGGYRLPLKQAVTAASLALTGDTIAQLSDRWRKQKQSLSGSSDTSKDIMSSVVSHHDWLRALRMTSYGFLFYGPGSYAWYKCLDHYLPHQTAQNLMLKVLLNQIVLGPCVIAVVFAWNNLWLGKLSQLPNKYQKDALPTLFYGKTSFEAKCVLA >EOY16534 pep chromosome:Theobroma_cacao_20110822:8:8135152:8139114:-1 gene:TCM_035331 transcript:EOY16534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa family protein isoform 3 MEALGGNGAFWGWNLPDIRSKKKRFQSKSKSSDSTAEATGAGGYRLPLKQAVTAASLALTGDTIAQLSDRWRKQKQSLSGSSDTSKDIMSSVVSHHDWLRALRMTSYGFLFYGPGSYAWYKCLDHYLPHQTAQNLMLKVLLNQIVLGPCVIAVVFAWNNLWLGKLSQLPNKYQKDALPTLFYGWSLFKPV >EOY16512 pep chromosome:Theobroma_cacao_20110822:8:8032339:8036920:1 gene:TCM_035312 transcript:EOY16512 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 3 isoform 2 MDVFHVTDQQGKQITDGKTIDYIERALGPKGHTTDGMKNCPGKRVGVHSVGNHTAIELIGRDRPGLLSEISAVLANLHFNVAAAEVWTHNRRIACVLYVNDDPTSRAVDDPNRLSIMEEQLKHILRGCEDDDKVARTSFSMGFTHIDRRLHQMLFADRDYEGGGVTTEVDYPPSFKPKITVERCEEKGYSVVSVQCKDRAKLMFDIVCTLTDMQYVVFHANISSNGPYALQEYFIRHMDGCTLDTEGEKERVIKCLEAAIHRRVSEGLSLELCAKDRVGLLSEVTRILRENGLSVRRAGVSTVGEQAVNVFYVRDAYGNPVDTKTIEALRKEIGHTMMLNVKKDPASAKAHEAETSGWAKTSFFFGNLLEKFLA >EOY16510 pep chromosome:Theobroma_cacao_20110822:8:8029416:8036790:1 gene:TCM_035312 transcript:EOY16510 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 3 isoform 2 MAKVCWPYFDPEYENLSVRINPPRVSVDNTSCRDCTVVKVDSVNKPGILLEVVQILTDLDFIITKAYVSSDGGWFMDVFHVTDQQGKQITDGKTIDYIERALGPKGHTTDGMKNCPGKRVGVHSVGNHTAIELIGRDRPGLLSEISAVLANLHFNVAAAEVWTHNRRIACVLYVNDDPTSRAVDDPNRLSIMEEQLKHILRGCEDDDKVARTSFSMGFTHIDRRLHQMLFADRDYEGGGVTTEVDYPPSFKPKITVERCEEKGYSVVSVQCKDRAKLMFDIVCTLTDMQYVVFHANISSNGPYALQEYFIRHMDGCTLDTEGEKERVIKCLEAAIHRRVSEGLSLELCAKDRVGLLSEVTRILRENGLSVRRAGVSTVGEQAVNVFYVRDAYGNPVDTKTIEALRKEIGHTMMLNVKKDPASAKAHEAETSGWAKTSFFFGNLLEKFLA >EOY16511 pep chromosome:Theobroma_cacao_20110822:8:8029426:8036503:1 gene:TCM_035312 transcript:EOY16511 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 3 isoform 2 MKNCPGKRVGVHSVGNHTAIELIGRDRPGLLSEISAVLANLHFNVAAAEVWTHNRRIACVLYVNDDPTSRAVDDPNRLSIMEEQLKHILRGCEDDDKVARTSFSMGFTHIDRRLHQMLFADRDYEGGGVTTEVDYPPSFKPKITVERCEEKGYSVVSVQCKDRAKLMFDIVCTLTDMQYVVFHANISSNGPYALQEYFIRHMDGCTLDTEGEKERVIKCLEAAIHRRVSEGLSLELCAKDRVGLLSEVTRILRENGLSVRRAGVSTVGEQAVNVFYVRDAYGNPVDTKTIEALRKEIGHTMMLNVKKDPASAKA >EOY15024 pep chromosome:Theobroma_cacao_20110822:8:1994636:1995582:-1 gene:TCM_034223 transcript:EOY15024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated family protein isoform 1 MAISKTLLASLLIVSLFVLGFAESDPTVITNMVENALTDDKIDCDGACDVRCKLSSRPNLCKRACGTCCDRCNCVPPGTSGNYDACPCYRDMTTHGGKHKCP >EOY15025 pep chromosome:Theobroma_cacao_20110822:8:1994582:1995426:-1 gene:TCM_034223 transcript:EOY15025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated family protein isoform 1 MAISKTLLASLLIVSLFVLGFAESDPTVITNMVENALTDDKIDCDGACDVRCKLSSRPNLCKRACGTCCDRCNCVPPGTSGNYDACPCYRDMTTHGGKHKCP >EOY14401 pep chromosome:Theobroma_cacao_20110822:8:188442:192111:-1 gene:TCM_033792 transcript:EOY14401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESPLHPPPLLKDMMGLLQILLLQKVYVSFFTSHTSREALIAIVLLSPACHIATRSPLVWDCGGWRVVLKVVLYEDDSLVTACDNLVMVASCVNCYLSLSNIISGGGRRFPFRRDSAAILRIGSRLRPPEF >EOY15785 pep chromosome:Theobroma_cacao_20110822:8:4639901:4644804:-1 gene:TCM_034749 transcript:EOY15785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 3 MARTWLHRTSSLLIVCSVLWFHLATVDAKHHHRRPMVLPLHLSSRNHSLHRHVDNLRRHLQQSEFSPSIPNARMRLYDDLLSNGYYTTRLWIGTPPQEFALIVDTGSTVTYVPCSSCAQCGKHQDPRFQPDLSSTYQPVKCNPSCNCDDEQKQCTYDRRYAEMSSSSGVLGEDVVSFGNESELVPQRAVFGCENMETGDLYSQRADGIMGLGRGRLSIVDQLVDKSVIGDSFSLCYGGMDVGGGAMVLGNITPPPDMVFSHSDPFRSPYYNIELKEMHVAGKPLKLHPGIFDGRHGTVLDSGTTYAYLPKAAFVAFRDAIIREVHFLKRVHGPDPNYDDICFSSAGRDFSQLAKIFPEVEMVFNNGKKLLLSPENYLFQHTKVSGAYCLGIFQNAEATTLLGGIVVRNTLVTYDRGNDRIGFWKTNCSELWRRVQFPGAPAPAPLVSQSKDTNMEIPPALAPSGLPPNVLPGSFRIGFITFDMSISANDSNLKPNFKELADLISQELEVDKSQVHLLNVTSKGNDFLVRWGIFPAASANYISNTTALVLSLL >EOY15784 pep chromosome:Theobroma_cacao_20110822:8:4638164:4644949:-1 gene:TCM_034749 transcript:EOY15784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 3 MARTWLHRTSSLLIVCSVLWFHLATVDAKHHHRRPMVLPLHLSSRNHSLHRHVDNLRRHLQQSEFSPSIPNARMRLYDDLLSNGYYTTRLWIGTPPQEFALIVDTGSTVTYVPCSSCAQCGKHQDPRFQPDLSSTYQPVKCNPSCNCDDEQKQCTYDRRYAEMSSSSGVLGEDVVSFGNESELVPQRAVFGCENMETGDLYSQRADGIMGLGRGRLSIVDQLVDKSVIGDSFSLCYGGMDVGGGAMVLGNITPPPDMVFSHSDPFRSPYYNIELKEMHVAGKPLKLHPGIFDGRHGTVLDSGTTYAYLPKAAFVAFRDAIIREVHFLKRVHGPDPNYDDICFSSAGRDFSQLAKIFPEVEMVFNNGKKLLLSPENYLFQVSGAYCLGIFQNAEATTLLGGIVVRNTLVTYDRGNDRIGFWKTNCSELWRRVQFPGAPAPAPLVSQSKDTNMEIPPALAPSGLPPNVLPGSFRIGFITFDMSISANDSNLKPNFKELADLISQELEVDKSQVHLLNVTSKGNDFLVRWGIFPAASANYISNTTALSIILRLRDHRMQFPERFGNYKLVEWNAEPQRKMTWWQHHFLALALGFVTTLILGLSAIGIWLVHRRRQQAISAYEPVGAPTPEQELQPLQS >EOY15783 pep chromosome:Theobroma_cacao_20110822:8:4637385:4645808:-1 gene:TCM_034749 transcript:EOY15783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 3 MFLALLSLKAMSILFFSYAMKMVRGRQALRSSARSWVLRVQWSVVLDHNLGPKRRAMARTWLHRTSSLLIVCSVLWFHLATVDAKHHHRRPMVLPLHLSSRNHSLHRHVDNLRRHLQQSEFSPSIPNARMRLYDDLLSNGYYTTRLWIGTPPQEFALIVDTGSTVTYVPCSSCAQCGKHQDPRFQPDLSSTYQPVKCNPSCNCDDEQKQCTYDRRYAEMSSSSGVLGEDVVSFGNESELVPQRAVFGCENMETGDLYSQRADGIMGLGRGRLSIVDQLVDKSVIGDSFSLCYGGMDVGGGAMVLGNITPPPDMVFSHSDPFRSPYYNIELKEMHVAGKPLKLHPGIFDGRHGTVLDSGTTYAYLPKAAFVAFRDAIIREVHFLKRVHGPDPNYDDICFSSAGRDFSQLAKIFPEVEMVFNNGKKLLLSPENYLFQHTKVSGAYCLGIFQNAEATTLLGGIVVRNTLVTYDRGNDRIGFWKTNCSELWRRVQFPGAPAPAPLVSQSKDTNMEIPPALAPSGLPPNVLPGSFRIGFITFDMSISANDSNLKPNFKELADLISQELEVDKSQVHLLNVTSKGNDFLVRWGIFPAASANYISNTTALSIILRLRDHRMQFPERFGNYKLVEWNAEPQRKMTWWQHHFLALALGFVTTLILGLSAIGIWLVHRRRQQAISAYEPVGAPTPEQELQPLQS >EOY17078 pep chromosome:Theobroma_cacao_20110822:8:17436005:17437541:-1 gene:TCM_036236 transcript:EOY17078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTQLQLDRSFHQSTMPELLLSPTHDYLYFDHSTFTWVPPGYRFAPTDDQLILHYLSNKVRGQPLPSEAVTDCEIYGDQDKEPWRIFDMTSPRKFYVFTKLKNKGKGKRIERTAGRGTWKGQRTDPVKDSDGNHIGFKKLFVFEVKGGGANNVNGHWLMHEYSLLTQSDYVLCAIRNKNATESTAEEVGCDHVEGIEAMMEELEECIGQDQTLMSEQDQATNTCINNQHTNTQELIKRGLETEEDETQQKRMRFNKSVQDKKTCFEGAAIPVSTLASDKSQGEEGMTAEKLEQEWILEGFEDQNLDELLEATSAQEYQQQEEMMRFSDNCVKEDMYKQHSFTAAAEPALGFDSE >EOY17428 pep chromosome:Theobroma_cacao_20110822:8:20259403:20264255:1 gene:TCM_036592 transcript:EOY17428 gene_biotype:protein_coding transcript_biotype:protein_coding description:SnRNA activating complex family protein, putative isoform 4 MESMIANCSIPKGGPIYIPNLISPLTTVPEFQNVLVQQLQELEAELNSSQLTDAEEICVDDLKILKEEELVEMALEEAFKVDEHAGKAASGDCRKSGNKHDRVKGSGLKNASTSIESTNGRPPVSDVDGVAMEKKKGSKKQKKRKANKHLVENTYFKRVEQLAKIKQKQDDDKATARLHSLNAVSKNNDCAIPSSDKIERMKSLRSMNSSEQVKTLEVEEHIPVSYPEVVLCVEVYHNKRRWSKIQEFLVLGHQTLTELKDKIYCLTDQVMQKAGKHDPSGYFLIEDIFFNDLRDPSAIDYSGPIFDWLRNSRDDALKKWESIITGELQQKQRAILGNVTPSKLPNFKTVDMHKTRFCDLRFQLGAGYLYCHQGDCKHTMVIRDMRLIHPEDVNNRAAYPIIIFQLKPRVQKCHVCKISRATKVTVDDKWARENPCYFCDYCFSLLHSSDESPLYAQFSVYDYVHD >EOY17429 pep chromosome:Theobroma_cacao_20110822:8:20260512:20264208:1 gene:TCM_036592 transcript:EOY17429 gene_biotype:protein_coding transcript_biotype:protein_coding description:SnRNA activating complex family protein, putative isoform 4 MEKKKGSKKQKKRKANKHLVENTYFKRVEQLAKIKQKQDDDKATARLHSLNAVSKNNDCAIPSSDKIERMKSLRSMNSSGKVKTLEVEEHIPVSYPEVVLCVEVYHNKRRWSKIQEFLVLGHQTLTELKDKIYCLTDQVMQKAGKHDPSGYFLIEDIFFNDLRDPSAIDYSGPIFDWLRNSRDDALKKWESIITGELQQKQRAILGNVTPSKLPNFKTVDMHKTRFCDLRFQLGAGYLYCHQGDCKHTMVIRDMRLIHPEDVNNRAAYPIIIFQLKPRVQKCHVCKISRATKVTVDDKWARENPCYFCDYCFSLLHSSDESPLYAQFSVYDYVHD >EOY17427 pep chromosome:Theobroma_cacao_20110822:8:20259403:20264255:1 gene:TCM_036592 transcript:EOY17427 gene_biotype:protein_coding transcript_biotype:protein_coding description:SnRNA activating complex family protein, putative isoform 4 MESMIANCSIPKGGPIYIPNLISPLTTVPEFQNVLVQQLQELEAELNSSQLTDAEEICVDDLKILKEEELVEMALEEAFKVDEHAGKAASGDCRKSGNKHDRVKGSGLKNASTSIESTNGRPPVSDVDGVAMEKKKGSKKQKKRKANKHLVENTYFKRVEQLAKIKQKQDDDKATARLHSLNAVSKNNDCAIPSSDKIERMKSLRSMNSSGKVKTLEVEEHIPVSYPEVVLCVEVYHNKRRWSKIQEFLVLGHQTLTELKDKIYCLTDQVMQKAGKHDPSGYFLIEDIFFNDLRDPSAIDYSGPIFDWLRNSRDDALKKWESIITGELQQKQRAILGNVTPSKLPNFKTVDMHKTRFCDLRFQLGAGYLYCHQGDCKHTMVIRDMRLIHPEDVNNRAAYPIIIFQLKPRVQKCHVCKISRATKVTVDDKWARENPCYFCDYCFSLLHSSDESPLYAQFSVYDYVHD >EOY17426 pep chromosome:Theobroma_cacao_20110822:8:20259271:20264374:1 gene:TCM_036592 transcript:EOY17426 gene_biotype:protein_coding transcript_biotype:protein_coding description:SnRNA activating complex family protein, putative isoform 4 MESMIANCSIPKGGPIYIPNLISPLTTVPEFQNVLVQQLQELEAELNSSQLTDAEEICVDDLKILKEEELVEMALEEAFKVDEHAGKAASGSDCRKSGNKHDRVKGSGLKNASTSIESTNGRPPVSDVDGVAMEKKKGSKKQKKRKANKHLVENTYFKRVEQLAKIKQKQDDDKATARLHSLNAVSKNNDCAIPSSDKIERMKSLRSMNSSGKVKTLEVEEHIPVSYPEVVLCVEVYHNKRRWSKIQEFLVLGHQTLTELKDKIYCLTDQVMQKAGKHDPSGYFLIEDIFFNDLRDPSAIDYSGPIFDWLRNSRDDALKKWESIITGELQQKQRAILGNVTPSKLPNFKTVDMHKTRFCDLRFQLGAGYLYCHQGDCKHTMVIRDMRLIHPEDVNNRAAYPIIIFQLKPRVQKCHVCKISRATKVTVDDKWARENPCYFCDYCFSLLHSSDESPLYAQFSVYDYVHD >EOY16821 pep chromosome:Theobroma_cacao_20110822:8:11585531:11588462:1 gene:TCM_035708 transcript:EOY16821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein isoform 1 MAPASKADRKAVVDAAAWMFNVVTSVGIIIVNKALMATYGFSFAVSCFLEVVMDKIRYSRDTKLSIAVVLLGVGVCTVTDVSVNTKGFVAAFIAVWSTSLQQYYVHHLQRRYNLSSFNLLGHTAPAQAGTLLLVGPFLDYWLTNKRVDAYSYNLASVTFLVLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFIFGKEGLNLHVVLGMIIAVVGMIWYGNASSKPGGKERRSLSLPTSRQQKHGSLSDSNEHDGKV >EOY16819 pep chromosome:Theobroma_cacao_20110822:8:11584117:11588460:1 gene:TCM_035708 transcript:EOY16819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein isoform 1 MAPASKADRKAVVDAAAWMFNVVTSVGIIIVNKALMATYGFSFATTLTGLHFATTTLMTAVLRWLGYIQSSHLPLPELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVMDKIRYSRDTKLSIAVVLLGVGVCTVTDVSVNTKGFVAAFIAVWSTSLQQYYVHHLQRRYNLSSFNLLGHTAPAQAGTLLLVGPFLDYWLTNKRVDAYSYNLASVTFLVLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFIFGKEGLNLHVVLGMIIAVVGMIWYGNASSKPGGKERRSLSLPTSRQQKHGSLSDSNEHDGKV >EOY16820 pep chromosome:Theobroma_cacao_20110822:8:11584510:11588656:1 gene:TCM_035708 transcript:EOY16820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein isoform 1 MAPASKADRKAVVDAAAWMFNVVTSVGIIIVNKALMATYGFSFATTLTGLHFATTTLMTAVLRWLGYIQSSHLPLPELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVMDKIRYSRDTKLSIAVVLLGVGVCTVTDVSVNTKGFVAAFIAVWSTSLQQYYVHHLQRRYNLSSFNLLGHTAPAQAGTLLLVGPFLDYWLTNKRVDAYSYNLASVTFLVLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFIFGKEGLNLHVVLGMIIAVVGMIWYGNASSKPGGKERRSLSLPTSRQQKHGSLSDSNEHDGKV >EOY17212 pep chromosome:Theobroma_cacao_20110822:8:18429775:18433794:-1 gene:TCM_036366 transcript:EOY17212 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L21 isoform 2 MASSSAHATLALCSSFATHCKISNHPHHQNSLLFSPSKTPFFSLSNPFSFRLTPSPLLSKTPTFCTIPKSSESDAGVVETEPDNIQSEPEPAPEPAEVVEAAKDKPKREEIFAVVMIGSRQYIVFPGRYIYTQRLKGANVNDEIVLNKVLLVGTKTTTYIGKPVVTNAAVHAVVEEQAGIKSKSSCLQVQEEEKLSEKYWTSTAEYADKDNRHHRLPRLSSGDTQLLKFHVEDWKLQSLLFSLFPILYVFHFQVGI >EOY17211 pep chromosome:Theobroma_cacao_20110822:8:18429711:18433885:-1 gene:TCM_036366 transcript:EOY17211 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L21 isoform 2 MASSSAHATLALCSSFATHCKISNHPHHQNSLLFSPSKTPFFSLSNPFSFRLTPSPLLSKTPTFCTIPKSSESDAGVVETEPDNIQSEPEPAPEPAEVVEAAKDKPKREEIFAVVMIGSRQYIVFPGRYIYTQRLKGANVNDEIVLNKVLLVGTKTTTYIGKPVVTNAAVHAVVEEQGLNPKVVVFKYKKKKNYRRNIGHRQPNTRIRITGITGYQDYPAVTLNS >EOY15774 pep chromosome:Theobroma_cacao_20110822:8:4595952:4597978:-1 gene:TCM_034740 transcript:EOY15774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITNFNGFGVGLGFGVGCGFGVGWGFGGMPLSLLGLGAGGGCGVGFGLGWGFGSAYGSQYRSSRVTFQGLEFGKEDRTKDGEFKVIPKSSKEIRESQ >EOY17466 pep chromosome:Theobroma_cacao_20110822:8:20715735:20719394:1 gene:TCM_036647 transcript:EOY17466 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-type lectin S-receptor serine/threonine-protein kinase MMVWTIKKGKESSLENMAKLSPFLLLQLHLLFVVSSSSTIIPLGSTLHASDANQSWSSPSSTFSFSFIPVTPSSYVAAITYSVGVTVWSAGDGSNSAGAVVDSGGTLRLLLTGALRLVNGSGTIIWDSGTADRGVSHASLDDTGNFQLLNNDSSPIWSSFENPTDTLVPSQNFSVGKILRSGSYSLSLNEIGNLTLKWNNSIEYWNLGFNSSSKGNLTSPRYVLQSAGILRGFDPSFSSGMIMAYSTDYGEGNGVFRFLRMDSDGNLRIYSTSKGSGNITPTWAAVTDQCQVFGYCGNMGICSYKDVNPICGCPSQNFELIDANDRRKGCKRKVEIEDCPGDFTMLELGHAKFLTYPPEVSSQTFIVGIVACRMNCLGSDSCIASTLVADGSGSCYMKTPDFVSGYQNAILPSTSFVKVCGPAVPNASPYQDIAGNDNISRSSVLIVAVVVLAILLILVALLIGFWCCCYPSSPKFGHKSAQYVLVDYASGAPVKFSYKELQQYTKGFSERLGEGGFGAVHKGTLANRMVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLVGFCTDGRHRLLVYEFMKNGSLDNFLFMSKDKGKSLNWENRFNIALGTAKGITYLHEECRDCIIHCDIKPENILLDESYTAKVSDFGLAKLMNPKDNRYLSLASIRGTRGYLAPEWLANLPITSKCDVYSYGMVLLEIVSGTRNFEVSTETDGKRFSLWAYEEFEKGNIEGIVDKRLEEVDIEQVVRAIMVSFWCIQEQPSQRPMMGKVVQMLEGVIDIERPGAPKVVAEGATRGTGTTVNSNISAFSTYASSSSQSIGVLPFRSERDMGRESSSLLGLERSETDPHS >EOY15284 pep chromosome:Theobroma_cacao_20110822:8:2784606:2785427:1 gene:TCM_034398 transcript:EOY15284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTEREEDDQLREEMKTEERRLAERRDEHGGRAVDRGEEDGGLRENRLFGGRLKLLRKMGKEEEREKNYFL >EOY15358 pep chromosome:Theobroma_cacao_20110822:8:3015128:3036334:1 gene:TCM_034449 transcript:EOY15358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease 3, putative MRKALLVINGIVLAIGKCGGPLLMRAYFIHGGKRFWFSSWLTTGGWPIILFPIACAYMYRRRTEPTSKNKLFLMRPPLFVASAVIGILTGVDDYLYSYGVALLPVSTCSIVIASQLAFTAAFAFILVKQKFTSYSVNAVFLLTVGAGVLALRASSDRPDTESNRKYALGFIMTLAAAALYGFVLPLVELMYKKTKQEMSYALVMEIEMVNCLFATGFCTVGMLVNNDFKVIPREAREFDLGETKYYVVAIWSAIMWQFFFLGATGVIFCASSLVSGIIIAVVLPVIEILAVIFYKENFQAEKGVALALSVWGFISYFYGNCGGPFLMRAYFIHGGKRFWFSSWLTTGGWPIILFPIACAYMYRCRTEPTSKNKLFLMKPPLFIASAVIGILTGVDDYLYAYGVALLPVST >EOY15720 pep chromosome:Theobroma_cacao_20110822:8:4362630:4367275:1 gene:TCM_034698 transcript:EOY15720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 4 GKIRPNSFSSRIKSNRISNLQLSNHKDIVSPHRGSINSLQVDLTEGRYLLSGASDASAAVYDVQKATNYEGNGLIAKHKCLFSVDKQHEKGHKYAISSAIWYPVDTGLFVTGSYDHCIKVWDTNTTQVVMNFKMPGKVYRTAMSTLATSHMLIAAGTEDVQVRLCDISSGAFAHTLSGHRDGIMTAEWSTSSEWVLITGGCDGAIRFWDIRRAGCFLVLDQSQSQLGRRPPVLGRSAANKVSVSKLTSAGPSSLVKSRAPQKKYANGHGIKQSTIGRMPAKGSARQRVHPGMLSIQDRATAHYGAVTGLKVTEDGMYLLSAGSDSRRMKDTLKIRIIGVIRICYHINLFKISHAINSFFFHLYILDVCVYPHLYLLLVNHFCRFIVCSSLIGYYVYKNLHSTNNFLLVFF >EOY15719 pep chromosome:Theobroma_cacao_20110822:8:4362388:4367275:1 gene:TCM_034698 transcript:EOY15719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 4 MWKEIGDREAGKIRPNSFSSRIKSNRISNLQLSNHKDIVSPHRGSINSLQVDLTEGRYLLSGASDASAAVYDVQKATNYEGNGLIAKHKCLFSVDKQHEKGHKYAISSAIWYPVDTGLFVTGSYDHCIKVWDTNTTQVVMNFKMPGKVYRTAMSTLATSHMLIAAGTEDVQVRLCDISSGAFAHTLSGHRDGIMTAEWSTSSEWVLITGGCDGAIRFWDIRRAGCFLVLDQSQSQLGRRPPVLGRSAANKVSVSKLTSAGPSSLVKSRAPQKKYANGHGIKQSTIGRMPAKGSARQRVHPGMLSIQDRATAHYGAVTGLKVTEDGMYLLSAGSDSRIKLWDLESGYNTLVNFEMVRLQTSKAIQLAISQDSAHVFVPCMTVVKVNILSMLSLSMVFCEYSSHL >EOY15718 pep chromosome:Theobroma_cacao_20110822:8:4362390:4367014:1 gene:TCM_034698 transcript:EOY15718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 4 MWKEIGDREAGKIRPNSFSSRIKSNRISNLQLSNHKDIVSPHRGSINSLQVDLTEGRYLLSGASDASAAVYDVQKATNYEGNGLIAKHKCLFSVDKQHEKGHKYAISSAIWYPVDTGLFVTGSYDHCIKVWDTNTTQVVMNFKMPGKVYRTAMSTLATSHMLIAAGTEDVQVRLCDISSGAFAHTLSGHRDGIMTAEWSTSSEWVLITGGCDGAIRFWDIRRAGCFLVLDQSQSQLGRRPPVLGRSAANKVSVSKLTSAGPSSLVKSRAPQKKYANGHGIKQSTIGRMPAKGSARQRVHPGMLSIQDRATAHYGAVTGLKVTEDGMYLLSAGSDSRIKLWDLESGYNTLVNFEMVRLQTSKAIQLAISQDSAHVFVPCMTVVKAFDVWSGKMSLAFRGHYESVNCCWFSSQDQQELYTGGSDRQILVWSPHKSISDDMDEGHTKDQDNWSD >EOY15717 pep chromosome:Theobroma_cacao_20110822:8:4362388:4367286:1 gene:TCM_034698 transcript:EOY15717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 4 MWKEIGDREAGKIRPNSFSSRIKSNRISNLQLSNHKDIVSPHRGSINSLQVDLTEGRYLLSGASDASAAVYDVQKATNYEGNGLIAKHKCLFSVDKQHEKGHKYAISSAIWYPVDTGLFVTGSYDHCIKVWDTNTTQVVMNFKMPGKVYRTAMSTLATSHMLIAAGTEDVQVRLCDISSGAFAHTLSGHRDGIMTAEWSTSSEWVLITGGCDGAIRFWDIRRAGCFLVLDQSQSQLGRRPPVLGRSAANKVSVSKLTSAGPSSLVKSRAPQKKYANGHGIKQSTIGRMPAKGSARQRVHPGMLSIQDRATAHYGAVTGLKVTEDGMYLLSAGSDSRIKLWDLESGYNTLVNFEMVRLQTSKAIQLAISQDSAHVFVPCMTVVKAFDVWSGKMSLAFRGHYESVNCCWFSSQDQELYTGGSDRQILVWSPHKSISDDMDEGHTKDQDNWSD >EOY14894 pep chromosome:Theobroma_cacao_20110822:8:1593017:1595315:1 gene:TCM_034138 transcript:EOY14894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFPLLASTFMGVTKSPLPFSFSCTMVKEKVPAMGHHKPHQYQIKVQVKLIGSHAQSLAFIEKMANILKRLEYNEDFRF >EOY16213 pep chromosome:Theobroma_cacao_20110822:8:6233613:6237302:1 gene:TCM_035054 transcript:EOY16213 gene_biotype:protein_coding transcript_biotype:protein_coding description:like AUX1 3 [Source:Projected from Arabidopsis thaliana (AT1G77690) TAIR;Acc:AT1G77690] MASEKVETIVAGNYVEMERDEGDSSSAKSKFSKLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLMGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNVGLFFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLIMTSYTAWYLTIASLIHGQIEGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKMIYLIATLYVLTLTLPSASAVYWAFGDLLLTHANALSLLPRTGFRDTAIILMLIHQFITFGFACTPLYFVWEKFIGVHETKSLFKRALARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPSLAHMITFAPAAARENAVERPPSFLGGWAGMYSMNAFVVVWVLIVGFGFGGWASMLNFIQQIDTFGLFTKCYQCPPHKA >EOY14523 pep chromosome:Theobroma_cacao_20110822:8:517824:519375:1 gene:TCM_033878 transcript:EOY14523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MDSSSKPELVTEFPGIIRVYKDGHVERLIETGFVPPSTDPQTGGSSKDITMIPESNVSARLFLPKLTSPHQKLPLLVYFHGGAFCVSSPFTPKYNSYLNALVAEANVIAVSVNYRKAPEHPIPTAYEDSWAALQWVVSHCNSKGPEAWLNDHADFQRVFLGGESAGANIAHNLAIIAGNPEFGLNVQLRGIALVHPFFWGSDPIGSEALMDPGKKSVLDRVWPFICPSNPDNNDPRFNPVAMDAPSLVGLGCTRVLVCVAEKDGVRDRGRLYFEALGRSGWMGVVEIMETEGEDHGFHLSDLESEKAKDLIKRLAAFYNGDMPCSL >EOY17280 pep chromosome:Theobroma_cacao_20110822:8:18980534:18981514:-1 gene:TCM_036431 transcript:EOY17280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATP synthase G3 isoform 1 MMATMEPFRGQGGIQMLLTAEQEAQHIVSSARCLKMARLKQAKEEAEKDVALYRSHMETEYQKKISETSGSSGNTVKQLEEETDMKIKNLEESTSKVSKEIVDMLMKHITTVRT >EOY17279 pep chromosome:Theobroma_cacao_20110822:8:18978716:18982572:-1 gene:TCM_036431 transcript:EOY17279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATP synthase G3 isoform 1 MMATMEPFRGQGGIQMLLTAEQEAQHIVSSARCLKMARLKQAKEEAEKDVALYRSHMETEYQKKISETSGSSGNTVKQLEEETDMKIKNLEESTSKVSKEIVDMLMKHITTVRT >EOY16353 pep chromosome:Theobroma_cacao_20110822:8:6818407:6823586:1 gene:TCM_035158 transcript:EOY16353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C / DNA polymerase III gamma-tau subunit MAEVVSLMDIDEDDNHQKENHSRKLSKGKNVVTTNDTKATPWVEKYRPQSLADVAAHRDIVDTIDRLTSENRLPHLLLYGPPGTGKTSTILAVARKLYGAHYHNMILELNASDERGIDVVRQQIQDFASTQSFSFGVAVQNLTLHKLVVVVISIWLLSSIAAENALGCLAKSSVKLILLDEADAMTKDAQFALRRVIEKYTKNTRFALICNHVNKIIPALQSRCTRFRFAPLDPIHVTERLKNVIEAERHVFCFLMSLFCAHSVDLLDVPDCGLAALVRLSNGDMRKALNILQSFCLAVRIVTEKEGRVEMLNILLSTHMASQQITEEAVYLCTGNPLPKDIEQISYWLLNESFAESFKRISEIKTRKGLALVDIVREVTMFVFKIKMPSDVRVQLINDLADIEYHLSFGCNDKLQLGSLIATFTRARSALVAAAK >EOY16678 pep chromosome:Theobroma_cacao_20110822:8:9559401:9562697:1 gene:TCM_035508 transcript:EOY16678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALVLFLLLLPSIFFTTEAQIRDSNISLGSSCSPTNNSYWLSASRRFGFGVYPYGNGFSVGIWYESIPQKTVVWTANRDEPPFPADATLLLSTDGRLVVQQKQGQETLIANASFASSASMLDSGPEGLGMPKIYSADACTRKSKQSFDVYELSSVTWEEDPYATLQELSKDDCREECFRNCNCEVALYGSARQICKMLKLPLRYGRRRLGGRWTTFVKIGTGVRTIVGGKGKKSEVRMDIFITGIGSLTLAFFVLASSGIFIYRHRIQQSRRVSDLRDNGFVEEVTLKSFTYEELKHATNNFTDNIGKGAFGTVFRGVISNGKKIVAIKRLEKVVAEGEREFRNEVKAIGQTHHKNLVQLLGYCYDGTNRLLVYEYMRNGSLADFLFRSSLKINWEGRIAIILNIARGIFYLHEECEIRIIHCDIKPENKLMDDKGYAKIADFGLAKLLMPNQSKTYTGIRGTRGYVAPEWHRNLPITVKADVYSFGIMLFEIICCRRNVEADVPDNEAVLVNWVYDCLKANELEKLLQEDEVEKEKLERVVKVGLWCTQDEPSSRPSMKKVILMLEGTVNVPDPPCPTSFLAPHRPYLSSAENNEV >EOY15649 pep chromosome:Theobroma_cacao_20110822:8:4116601:4117780:1 gene:TCM_034648 transcript:EOY15649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTEGSARVVSFTGSCSFPFFPCELFLSTNHRRTILSSLSLSFVCSTRDIGLEPAAKWGVLHPEAIKTK >EOY14458 pep chromosome:Theobroma_cacao_20110822:8:377666:380460:1 gene:TCM_033833 transcript:EOY14458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase / dehydrase and lipid transport protein MPPFLSTSKAVGSLISRRNGISHFVRHVKSGGHSREFDQFRWFSNIAGIKTPSVHPLIGSRKEESNFSFKSLCDKYALQSRPFLGCGDGEEGGVLSRVYEERIVMGYSPEQLFDVVAAVDLYHGFVPWCQRSDIIKRYPDGSFDAELEIGFKFLVESYVSHVELSRAKFVKSTASESSLFDHLINIWEFSPGPVPGTCNLYFLVDFKFQSPLYRQVASMFFKEVVSRLVSSFSERCRLIYGPGVPVLENSYGERA >EOY14909 pep chromosome:Theobroma_cacao_20110822:8:1649728:1652091:1 gene:TCM_034150 transcript:EOY14909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein MSKLSSTLYLCLRFLVFLNTISKLLFAANSRTYPADIQVLKDLKHGVDHRSIAPGSCLSFWDFSLDPCDNIFTEHFTCGLRCDRIVSGYARTLDVSDNSFSGSIPDSLSNLTRLRRLGLSRNSLSGEIPVILGSLSHLEELYLDSNHLHGPIPSSFNNLTSLRRLEIQQNDISGEFPDLGSLKNLYFLDASDNNISGGVPATLPTSLVELSIRNNKLGGNIPDNIENMRFLQVLDLSHNILSGSILSVLFDHPSLEQLTLSYNNFSYLEVPGDKGLNSKLIALDLSYNKLRGFLPAFMASMAKLAALSLEHNKFTGMIPAQYALKAAVPRTNTSSFERLLLGGNYLFGPIPGPLLGLKPGSAQVSLADNCLYRCPDAFFFCQGGDQKSLVDCKSFGPAIP >EOY16566 pep chromosome:Theobroma_cacao_20110822:8:8411613:8415800:1 gene:TCM_035362 transcript:EOY16566 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCARECROW-like 1 isoform 2 MSLVRSAEPATAAYGNTKLYSLRGSDSSGLSAQIFGSDKHRPVYITDSYSNESYEKYFLDSPTEELIHPSSSGISGSSIRPKDVSSYQLRDYLEIQSPETLDSDTDKMKLKLQELERALLADNDVDEDDDMFGTGISMEIDGEWSDPIRTESLHDSPKESSSSDSNLSSTSSNKDVSHVSSRSPKQMLIECAAVLSEGNIKEASAIINDLRQMVSIQGDPPQRIAAYMVEGLAARMAESGKYLYKALRCKEPPSSDRLAAMQILFEVCPCFKFGFMAANGAIIEAFKDEKRVHIIDFDINQGSQYITLIQTIAKRPGKPPHLRLTGVDDPESVQRLNGGLAIVGLRLEKLAEALGVPFEFQAVASRTSLVTPSMLDCRPGEALIVNFAFQLHHMPDESVSTINQRDQLLRMVKSLNPKLVTVVEQDVNTNTSPFFPRFVEAYSYYSAVFESLDATLPRESQDRMNVERQCLARDIVNIVACEGEERIERYEVAGKWRARMMMAGFSSCPMSPNVIDMIRKLIREYCDRYKLKEDLGVLHFGWEDKSLIVASAWR >EOY16565 pep chromosome:Theobroma_cacao_20110822:8:8412905:8415683:1 gene:TCM_035362 transcript:EOY16565 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCARECROW-like 1 isoform 2 MSLVRSAEPATAAYGNTKLYSLRGSDSSGLSAQIFGSDKHRPVYITDSYSNESYEKYFLDSPTEELIHPSSSGISGSSIRPKDVSSYQLRDYLEIQSPETLDSDTDKMKLKLQELERALLADNDVDEDDDMFGTGISMEIDGEWSDPIRTESLHDSPKESSSSDSNLSSTSSNKDVSHVSSRSPKQMLIECAAVLSEGNIKEASAIINDLRQMVSIQGDPPQRIAAYMVEGLAARMAESGKYLYKALRCKEPPSSDRLAAMQILFEVCPCFKFGFMAANGAIIEAFKDEKRVHIIDFDINQGSQYITLIQTIAKRPGKPPHLRLTGVDDPESVQRLNGGLAIVGLRLEKLAEALGVPFEFQAVASRTSLVTPSMLDCRPGEALIVNFAFQLHHMPDESVSTINQRDQLLRMVKSLNPKLVTVVEQDVNTNTSPFFPRFVEAYSYYSAVFESLDATLPRESQDRMNVERQCLARDIVNIVACEGEERIERYEVAGKWRARMMMAGFSSCPMSPNVIDMIRKLIREYCDRYKLKEDLGVLHFGWEDKSLIVASAWR >EOY16564 pep chromosome:Theobroma_cacao_20110822:8:8411613:8415800:1 gene:TCM_035362 transcript:EOY16564 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCARECROW-like 1 isoform 2 MYQDSFYNATIQRVETMSLVRSAEPATAAYGNTKLYSLRGSDSSGLSAQIFGSDKHRPVYITDSYSNESYEKYFLDSPTEELIHPSSSGISGSSIRPKDVSSYQLRDYLEIQSPETLDSDTDKMKLKLQELERALLADNDVDEDDDMFGTGISMEIDGEWSDPIRTESLHDSPKESSSSDSNLSSTSSNKDVSHVSSRSPKQMLIECAAVLSEGNIKEASAIINDLRQMVSIQGDPPQRIAAYMVEGLAARMAESGKYLYKALRCKEPPSSDRLAAMQILFEVCPCFKFGFMAANGAIIEAFKDEKRVHIIDFDINQGSQYITLIQTIAKRPGKPPHLRLTGVDDPESVQRLNGGLAIVGLRLEKLAEALGVPFEFQAVASRTSLVTPSMLDCRPGEALIVNFAFQLHHMPDESVSTINQRDQLLRMVKSLNPKLVTVVEQDVNTNTSPFFPRFVEAYSYYSAVFESLDATLPRESQDRMNVERQCLARDIVNIVACEGEERIERYEVAGKWRARMMMAGFSSCPMSPNVIDMIRKLIREYCDRYKLKEDLGVLHFGWEDKSLIVASAWR >EOY17268 pep chromosome:Theobroma_cacao_20110822:8:18772689:18786796:-1 gene:TCM_036410 transcript:EOY17268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily MSVSSYGSEYKNFKQVTRERLLHDMLRSTKTADSKSTWKFKKGGGGLNLCISKLYSASVLIVDKFTVKIMSYAFKMKDITNEGVSLVEDINRKRQPLTFMDAIYFIQPSKENITMLLSDMSGRTPLYRKASVFFSSAIAGELVNYIKKDTILLPCITLKEMDLEYFAIDSQSFITADESALEDLFGFEENTYKGDRCLNVMATRIASVFASLREFPLIRYRAAKSIDATTPTTFCDLIPTKLAAGVWNHLMKYKQIMPNFPQAETCDLLILDRSVDQIAPVIHEWFYDAMCHDLLDIEGNKYVREVCRKSSGPLEKKEVLLDEDDPVWLELRHAHIADASERLHEKMTNFIAKYEDTQIHCSRYGGELSARNLQKMVQALPQYSEQIDKLSLHVEIAGNINKMITNLRLKELGELEQDLIFGGAGIKDVIKFFKINENTTREDKLRLLMILAAIYPEELEGEKGLNWMKLAKLPAEDMSAVNNMKLLGGSPDAKKSFTGIFSLKFDFHKRRHVARKERIDVEETWQLSRFYPMIEELIENLSNGELSEKEYPCLNGPSATSYGSSPTSHVHQGLAHSTRSRRTPTWAKRQNVDDKYSSDSVLKHASSDLKRMGRRIFVFIAGGATRSELRVCHKLTNKLKREIVLGSSSLDDPSQFVSVTASSYHKLKQLAAHELSLDVRKI >EOY16021 pep chromosome:Theobroma_cacao_20110822:8:5457609:5460788:-1 gene:TCM_034920 transcript:EOY16021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MERSTPVRKPHTSTADLLTWSETPHSDSPASATRSTRPHQPSDGIRKVVFGGQVTDEEFESLNKRKPCSGYKMKEMTGSGIFAANGENDESEPGSANPTPNNKTGLRMYQQALAGISHISFAEEESISPKKPTTLPEVAKQRELSGTLESEADAKLKKQLSDAKCKELSGHDIFAAPPEILPRPTTVRALALKDNFDMGEPDTHNPAGGSISSEEAALKTAKKIYNQKFAELSGNDIFKGDVPPSSAEKPLSVAKLREMSGSNIFADGKVEARDYLGGVRKPPGGESSIALV >EOY16022 pep chromosome:Theobroma_cacao_20110822:8:5457640:5460962:-1 gene:TCM_034920 transcript:EOY16022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MERSTPVRKPHTSTADLLTWSETPHSDSPASATRSTRPHQPSDGIRKVVFGGQVTDEEFESLNKRKPCSGYKMKEMTGSGIFAANGENDESEPGSANPTPNNKTGLRMYQQALAGISHISFAEEESISPKKPTTLPEVAKQRELSGTLESEADAKLKKQLSDAKCKELSGHDIFAAPPEILPRPTTVRALALKDNFDMGEPDTHNPAGGSISSEEAALKTAKKIYNQKFAELSGNDIFKGDVPPSSAEKPLSVAKLREMSGSNIFADGKVEARDYLGGVRKPPGGESSIALV >EOY17159 pep chromosome:Theobroma_cacao_20110822:8:18124283:18137612:1 gene:TCM_036323 transcript:EOY17159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-like protein 6 isoform 1 MEAIEELSQLSDSMRQAAALLADEDVDENSSSSSSSRRSSTFLNVVALGNVGAGKSAVLNSLIGHPILPTGENGATRSPISIDLQQDGALSSKSIILQIDNKSQQVSASALRHSLQDRLSKGSSGKNRDEIYLKLRTSTAPPLKLIDLPGLDQRIMDESMVSDYAERNDAILLVIVPAAQAPEIASSRALRLAKEYDAEGTRTIGVISKIDQASSEQKALAAVQALLLNQGPPKTADIPWVALIGQSVSIASAQSGSENSLETAWKAESESLKSILTGAPQSKLGRIALVNALAQQIRKHMKVRLPNLLSGLQGKSQIVQDELVRLGEQMVQTAEGTRAIALELCREFEDKFLQHITTGEGTGWKIVASFEGSFPNRIKQLPIDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKVVLELAKEPARLCVEEVHRVLIDIVSAAANGTPGLGRYPPFKREVVAIASAALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKNRPSKKGHEAEQAILNRATSPQPAGQQTGGSLKTMKEKSGQAEKEVQEGSALKTAGADREITAGFLLKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEEKHFRGVIILEECSIEEVSDEEEPAPKSAKDKKANGPDKGPSLVFKISSKVPYKTVLKAHSAVVLKAESMADKVEWINKLSIVIQPSRGPMKGASTDGGPGMRHSLSDGSLDTMTRRPVDPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSVRFSTLLYVFLCVHKTLPSLVLQVALERVVFFYGLWVCIICHELKYIVSYEGVFDEYIMFWTV >EOY17158 pep chromosome:Theobroma_cacao_20110822:8:18124100:18140104:1 gene:TCM_036323 transcript:EOY17158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-like protein 6 isoform 1 MEAIEELSQLSDSMRQAAALLADEDVDENSSSSSSSRRSSTFLNVVALGNVGAGKSAVLNSLIGHPILPTGENGATRSPISIDLQQDGALSSKSIILQIDNKSQQVSASALRHSLQDRLSKGSSGKNRDEIYLKLRTSTAPPLKLIDLPGLDQRIMDESMVSDYAERNDAILLVIVPAAQAPEIASSRALRLAKEYDAEGTRTIGVISKIDQASSEQKALAAVQALLLNQGPPKTADIPWVALIGQSVSIASAQSGSENSLETAWKAESESLKSILTGAPQSKLGRIALVNALAQQIRKHMKVRLPNLLSGLQGKSQIVQDELVRLGEQMVQTAEGTRAIALELCREFEDKFLQHITTGEGTGWKIVASFEGSFPNRIKQLPIDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKVVLELAKEPARLCVEEVHRVLIDIVSAAANGTPGLGRYPPFKREVVAIASAALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKNRPSKKGHEAEQAILNRATSPQPAGQQTGGSLKTMKEKSGQAEKEVQEGSALKTAGADREITAGFLLKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEEKHFRGVIILEECSIEEVSDEEEPAPKSAKDKKANGPDKGPSLVFKISSKVPYKTVLKAHSAVVLKAESMADKVEWINKLSIVIQPSRGPMKGASTDGGPGMRHSLSDGSLDTMTRRPVDPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSVSAQSTARIEELLQEDQNVKRRRERYQKQSSLLSKLTRQLSIHDNRAAAASGWSDGGGGAESSPRTSSAGDDWRSAFDAAANGPVDYRRSGSNGHSRHYSDAAQNGDVNSGSGSNSRRTPNRLPPAPPPSTSSGYKF >EOY17160 pep chromosome:Theobroma_cacao_20110822:8:18124287:18139966:1 gene:TCM_036323 transcript:EOY17160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-like protein 6 isoform 1 MEAIEELSQLSDSMRQAAALLADEDVDENSSSSSSSRRSSTFLNVVALGNVGAGKSAVLNSLIGHPILPTGENGATRSPISIDLQQDGALSSKSIILQIDNKSQQVSASALRHSLQDRLSKGSSGKNRDEIYLKLRTSTAPPLKLIDLPGLDQRIMDESMVSDYAERNDAILLVIVPAAQAPEIASSRALRLAKEYDAEGTRTIGVISKIDQASSEQKALAAVQALLLNQGPPKTADIPWVALIGQSVSIASAQSGSENSLETAWKAESESLKSILTGAPQSKLGRIALVNALAQQIRKHMKVRLPNLLSGLQGKSQIVQDELVRLGEQMVQTAEGTRAIALELCREFEDKFLQHITTGEGTGWKIVASFEGSFPNRIKQLPIDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKVVLELAKEPARLCVEEVHRVLIDIVSAAANGTPGLGRYPPFKREVVAIASAALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKNRPSKKGHEAEQAILNRATSPQPAGQQTGGSLKTMKEKSGQAEKEVQEGSALKTAGADREITAGFLLKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEEKHFRGVIILEECSIEEVSDEEEPAPKSAKDKKANGPDKGPSLVFKISSKVPYKTVLKAHSAVVLKTARIEELLQEDQNVKRRRERYQKQSSLLSKLTRQLSIHDNRAAAASGWSDGGGGAESSPRTSSAGDDWRSAFDAAANGPVDYRRSGSNGHSRHYSDAAQNGDVNSGSGSNSRRTPNRLPPAPPPSTSSGYKF >EOY16798 pep chromosome:Theobroma_cacao_20110822:8:11300399:11351997:-1 gene:TCM_035679 transcript:EOY16798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDNVRSVDILAGIPWSIIFMYSLWLICLGFLRREHDAVKKEILISWELPKHLYVKLNVDGSARGQPEMATVGGVITDEVGNWLLGFNYKIGISCSLQVELWALYWGLTLCWDKGFRKVQVESDSLLAVQKISNQSLQPKQNAGLLKCIRELFQRSWDCTLTHIHREANQCANWMATHHENLPLGLHIMILHHLVLVQFYLQIV >EOY14574 pep chromosome:Theobroma_cacao_20110822:8:619938:621545:1 gene:TCM_033902 transcript:EOY14574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-2c, putative MEVVIPAAPVMDFDFNSARSSPRSSAPSTPRRFGECFFSAPTSPSRMSEFYREFDRFSMMNDRQSSIGSSSLAIPFDWEEKPGTPKSPRATGNDEEEDDFAFDFSEALEKTSLPAEELFDGGKIKPLKPPPRLQVDECNQKSPLLSSPRSPRSPLSQGKKIIREAFSPRKKKNRDPFATAIESSRNDTEHGRGRERVQDFSSRNSSRRATRSLSPYRVSEYPWEEEEKQQQHETTKQSPLNSKPSLSSTSSKSSSRKWRLRDFLLFRSASEGRATDKDPFRKYSLALFKKPEADTKNSSSFRSTDSSGSVGSRRKISAHELHYTTNKAVSENMKKKTFLPYKQGILGRLAFNPAVHALANGFGALTRS >EOY15592 pep chromosome:Theobroma_cacao_20110822:8:3878269:3882572:-1 gene:TCM_034605 transcript:EOY15592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKETKIGKMGRMLMLQHMIGAGEGCDGDKHERSQASVNQKSQLLAGKQGHRAEDTQQGSTSCVFSLQSDGNLLQETKDRKNVWGPSKIPYRVVVQRCTSEKRKNFMIPVKG >EOY14763 pep chromosome:Theobroma_cacao_20110822:8:1205941:1212376:1 gene:TCM_034042 transcript:EOY14763 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase isoform 1 MSAPPPSHLVVSVVTSLPTCNRTFPNFSSSESDSLFSPFPLNSFALSPRCLFSSTMRIPKKPVAFCLSLFSPDFPAPFSSRILRLSPGFPVKIKAIHAAGATQWHAHYSMDYSPSTKLGDKALQSHLMDNSNGGENPCVWSSPEGGCKIDIGKQIFCNRSLNMRNIVAVGFDMDYTLAQYKPETFESLAYEGTTRKLVYDLGYPQELLAWTFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFRELSKEDKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDNNPGKVPEGADYSRMYKDVRAAVDLCHRDGTLKRMVAKDPKRYINEDTSIVPMLKMLRDSGRSTFLVTNSLWDYTNIVMNFLCASRTMDGGNKCNFDWLQYFDVVITGSAKPGFFHDNRANLFEVEPESGMLLNTDNGTPMPQVGNTSPNVQPKGLNKSCRVFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVELLWESRNMRKQLRMLRNERDLIEDHIHHMKWSLKFESLHDDEKQKTTSALHELESQKDQVRLAHQQAQRECHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKYYRPSEDFMPHEFHILPL >EOY14764 pep chromosome:Theobroma_cacao_20110822:8:1205957:1212056:1 gene:TCM_034042 transcript:EOY14764 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase isoform 1 MDYSPSTKLGDKALQSHLMDNSNGGENPCVWSSPEGGCKIDIGKQIFCNRSLNMRNIVAVGFDMDYTLAQYKPETFESLAYEGTTRKLVYDLGYPQELLAWTFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFRELSKEDKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDNNPGKVPEGADYSRMYKDVRAAVDLCHRDGTLKRMVAKDPKRYINEDTSIVPMLKMLRDSGRSTFLVTNSLWDYTNIVMNFLCASRTMDGGNKCNFDWLQYFDVVITGSAKPGFFHDNRANLFEVEPESGMLLNTDNGTPMPQVGNTSPNVQPKGLNKSCRVFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVELLWESRNMRKQLRMLRNERDLIEDHIHHMKWSLKFESLHDDEKQKTTSALHELESQKDQVRLAHQQAQRECHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKYYRPSEDFMPHEFHILPL >EOY16933 pep chromosome:Theobroma_cacao_20110822:8:15280902:15284226:-1 gene:TCM_036020 transcript:EOY16933 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MGLPHTPSPTPPHLYPQALQLKLYQAFIFSIPILFSVILFLLFTVYLKRRASTPSYPRPLIPTTFNQATPYLPSPCQLSLKKELTDKLPTVLFDEELSTRDSKCSVCLGEFEMKEELLQVPSCRHVFHVECMHHWLHSNSTCPLCRGCVSVIPATKLHTPIPNSLTEQQQQPHQQNVPPPQLQLHQVASDTSTQHLAASSIEGSSVSTHIQVHNS >EOY15964 pep chromosome:Theobroma_cacao_20110822:8:5184363:5188132:-1 gene:TCM_034874 transcript:EOY15964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotyrosyl phosphatase activator (PTPA) family protein MEPPPPRAPQDQSSHAHSHPSTTTIPTTGTCCKCGGTTTFSPPPLNPTSMPDLSSPPTYRPIRAPAINLPPNNQSQAIILAPVPQSQKVPIITPPFQFQTPTKIITSPDDIRKFHDSPTSKNFLGFIVALSESIRGHKISDPCHESPTVTKIVSIVETLSLWIDQIPPVQQSSRYGNISYRTWHERLTENAEPLMLQFLPEDLKPSTIEIVPYFTDSFGNSSRIDYGTGHETNFAAWLYCLARMGIVKEVDYQAVVARVFVKYLDLMRKLQLVYCLEPAGSHGVWGLDDYHFLPFIFGSSQLIDHKYMKPKSIHNEDILENFSSEYMYLSCIGFIKKVKKGLFAEHSPLLDDISGVPNWNKVNSGLLKMYKFEVLEKVPIMQHFLFGWLIKWE >EOY16144 pep chromosome:Theobroma_cacao_20110822:8:5930641:5934111:-1 gene:TCM_035003 transcript:EOY16144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF2/IF5 isoform 1 MALQNIGAANSDDAFYRYKMPKMITKIEGRGNGIKTNVVNMVEIAKALARPASYTTKYFGCELGAQSKFDEKTGTSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIIITKMQMITLKCAACGFVSDVDMRDKLTTFILKNPPEAKKSSKDKKAMRRAEKERLKEGEAADEELKKIKKESKKKGTSTTSKDAASKGAATKKKNKNSDEDHSPAHSQADENEQVASDDGDNDVQWQTDTSLEAARQRIQEQLSAVTADMVMLSTNEEKKKTARKSPEREGKVHENGVSAHEKLVHEIMEYLKKGSPATQLKSFLGSLSGTSQEVMDALFIALFHDVKKGLAKEVTKKKSYLAAAIQEEGWQMVLLHSIESFCGKASPEAAKEVALVLKVLYDNDILEEELIMEWYQKGVAGSNKSSQIWKNVKPFIEWLQNAESESEEE >EOY16143 pep chromosome:Theobroma_cacao_20110822:8:5930728:5933779:-1 gene:TCM_035003 transcript:EOY16143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF2/IF5 isoform 1 MALQNIGAANSDDAFYRYKMPKMITKIEGRGNGIKTNVVNMVEIAKALARPASYTTKYFGCELGAQSKFDEKTGTSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIIITKMQMITLKCAACGFVSDVDMRDKLTTFILKNPPEAKKSSKDKKAMRRAEKERLKEGEAADEELKKIKKESKKKGTSTTSKDAASKGAATKKKNKNSDEDHSPAHSQADENEQVASDDGDNDVQWQTDTSLEAARQRIQEQLSAVTADMVMLSTNEEKKKTARKSPEREGKVHENGVSAHEKLVHEIMEYLKKGSPATQLKSFLGSLSGTSQEVMDALFIALFHDVKKGLAKEVTKKKSYLAAAIQEEGWQMVLLHSIESFCGKASPEAAKEVALVLKVLYDNDILEEELIMEWYQKGVAGSNKSSQIWKNVKPFIEWLQNAESESEEE >EOY16142 pep chromosome:Theobroma_cacao_20110822:8:5930722:5933924:-1 gene:TCM_035003 transcript:EOY16142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF2/IF5 isoform 1 MALQNIGAANSDDAFYRYKMPKMITKIEGRGNGIKTNVVNMVEIAKALARPASYTTKYFGCELGAQSKFDEKTGTSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIIITKMQMITLKCAACGFVSDVDMRDKLTTFILKNPPEAKKSSKDKKAMRRAEKERLKEGEAADEELKKIKKESKKKGTSTTSKDAASKGAATKKKNKNSDEDHSPAHSQADENEQVASDDGDNDVQWQTDTSLEAARQRIQEQLSAVTADMVMLSTNEEKKKTARKSPEREGKVHENGVSAHEKLVHEIMEYLKKGSPATQLKSFLGSLSGTSQEVMDALFIALFHDVKKGLAKEVTKKKSYLAAAIQEEGWQMVLLHSIESFCGKASPEAAKEVALVLKVLYDNDILEEELIMEWYQKGVAGSNKSSQIWKNVKPFIEWLQNAESESEEE >EOY17388 pep chromosome:Theobroma_cacao_20110822:8:19874074:19890555:-1 gene:TCM_036541 transcript:EOY17388 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein isoform 1 MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNIRSTIERYKKACSDSSNTNSVIEINAQYYQQESAKLRQQIQMLQNSNRHLMGDSLSSLTVKELKQLENRLERGITRIRSKKHEMLLAEIEYLQKREIELENESVCLRTKIAEIERLQQANMVTGPELNAIQALASRNFFSPNVIEGGTAYSHPDKKILHLG >EOY17389 pep chromosome:Theobroma_cacao_20110822:8:19874284:19890600:-1 gene:TCM_036541 transcript:EOY17389 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein isoform 1 MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNIRSTIERYKKACSDSSNTNSVIEINAQYYQQESAKLRQQIQMLQNSNRHLMGDSLSSLTVKELKQLENRLERGITRIRSKKHEMLLAEIEYLQKREIELENESVCLRTKIAEIERLQQANMVTGPELNAIQALASRNFFSPNVIEGGTAYSHPDKKILHLG >EOY14567 pep chromosome:Theobroma_cacao_20110822:8:597607:602018:-1 gene:TCM_033899 transcript:EOY14567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase II-3 chain B isoform 1 MKVTIIWLLLLLFPCYFPGFPTSCKGNQIDNLNRLIESRKSSNPPRPESWAQQDDDTEDSHTPPVYVASQKLLMQADKINALPGQPEGVGFDQYAGYVTVDPVADRALFYYFVESPVDPSKKPLVLWLNGGPGCSSFGYGAMQELGPFRVNSDGETLYRNEYAWNNVANVIFLESPAGVGFSYSKNSSDYTSVGDRKTAQDSYIFLINWLERFPQYKTRDFYITGESYAGHYVPQLAYYILARNKNTTQTVINLKGIAIGNAWVDDVACTKGLFDFLWTHALNSDETNEGINKYCNFASEDSLTKKADADDNPIQCGKYQKQGLLELGDINLYGIYAPPCYTSALKSGSTGNVKNYDPCAEYYVNSYLNLAKVQAALHTKATNWSGCSDVGWTDSPTTILPEIRHLAREIRVWKYSGDTDGRVPVTSSRYSINNLNLQVETAWHPWYSNSEVGGYVVGYKGVIFATVRGAGHTVPSYQPERALTMITSFLQGKLPPGKPTS >EOY14569 pep chromosome:Theobroma_cacao_20110822:8:597448:601560:-1 gene:TCM_033899 transcript:EOY14569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase II-3 chain B isoform 1 MQADKINALPGQPEGVGFDQYAGYVTVDPVADRALFYYFVESPVDPSKKPLVLWLNGGPGCSSFGYGAMQELGPFRVNSDGETLYRNEYAWNNVANVIFLESPAGVGFSYSKNSSDYTSVGDRKTAQDSYIFLINWLERFPQYKTRDFYITGESYAGHYVPQLAYYILARNKNTTQTVINLKGIAIGNAWVDDVACTKGLFDFLWTHALNSDETNEGINKYCNFASEDSLTKKADADDNPIQCGKYQKQGLLELGDINLYGIYAPPCYTSALKSGSTGNVKNYDPCAEYYVNSYLNLAKVQAALHTKATNWSGCSDVGWTDSPTTILPEIRHLAREIRVWKYRRQGEDVSLEWALKKLNQFSADRIILKVKRYLWTMYYYVSIFNNYLRIDIII >EOY14566 pep chromosome:Theobroma_cacao_20110822:8:597875:601544:-1 gene:TCM_033899 transcript:EOY14566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase II-3 chain B isoform 1 MKVTIIWLLLLLFPCYFPGFPTSCKGNQIDNLNRLIESRKSSNPPRPESWAQQDDDTEDSHTPPVYVASQKLLMQADKINALPGQPEGVGFDQYAGYVTVDPVADRALFYYFVESPVDPSKKPLVLWLNGGPGCSSFGYGAMQELGPFRVNSDGETLYRNEYAWNNVANVIFLESPAGVGFSYSKNSSDYTSVGDRKTAQDSYIFLINWLERFPQYKTRDFYITGESYAGHYVPQLAYYILARNKNTTQTVINLKGIAIGNAWVDDVACTKGLFDFLWTHALNSDETNEGINKYCNFASEDSLTKKADADDNPIQCGKYQKQGLLELGDINLYGIYAPPCYTSALKSGSTGNVKNYDPCAEYYVNSYLNLAKVQAALHTKATNWSGCSDVGWTDSPTTILPEIRHLAREIRVWKYSGDTDGRVPVTSSRYSINNLNLQVETAWHPWYSNSEVGGYVVGYKGVIFATVRGAGHTVPSYQPERALTMITSFLQGKLPPGKPTS >EOY14568 pep chromosome:Theobroma_cacao_20110822:8:597448:601526:-1 gene:TCM_033899 transcript:EOY14568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase II-3 chain B isoform 1 WLLLLLFPCYFPGFPTSCKGNQIDNLNRLIESRKSSNPPRPESWAQQDDDTEDSHTPPVYVASQKLLMQADKINALPGQPEGVGFDQYAGYVTVDPVADRALFYYFVESPVDPSKKPLVLWLNGGPGCSSFGYGAMQELGPFRVNSDGETLYRNEYAWNNVANVIFLESPAGVGFSYSKNSSDYTSVGDRKTAQDSYIFLINWLERFPQYKTRDFYITGESYAGHYVPQLAYYILARNKNTTQTVINLKGIAIGNAWVDDVACTKGLFDFLWTHALNSDETNEGINKYCNFASEDSLTKKADADDNPIQCGKYQKQGLLELGDINLYGIYAPPCYTSALKSGSTGNVKNYDPCAEYYVNSYLNLAKVQAALHTKATNWSGCSDVGWTDSPTTILPEIRHLAREIRVWKYRE >EOY16823 pep chromosome:Theobroma_cacao_20110822:8:11592162:11594496:-1 gene:TCM_035711 transcript:EOY16823 gene_biotype:protein_coding transcript_biotype:protein_coding description:S18 ribosomal protein MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELTAQELDNLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >EOY14885 pep chromosome:Theobroma_cacao_20110822:8:1564067:1568663:1 gene:TCM_034131 transcript:EOY14885 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP1/OBG family protein isoform 2 MSSLVSLRLPSSSSCLTPRLPNDKLFFGPLLPVTTSPRHNWELTSPGNLRYCAIKSRLTKPKESPATNPTTLLREPHKYFDQVIITVRSGDGGHGAILSMPDQQRAATSQGRHENKEKAKKKPSYKRDFDGSLILPMGGHGGDVVIYADEGKDTLLEFHTKSRYNAKRGGNVDAMGVLTSQLRDGLAAPTLRVPVPVGTVVKRKRGKLLADLAQPGDEVLVARGGQGGISLLEMPAHRRKRLMSLTTNVMRDDSDKVLVLGQPGEEGLPNAGKSTLLAAITLAKPDIADYPFTTLMPNLGQLDGDPALGAGRYSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRLLVHVVDASAEDPLNDYRTVREELRMYNPNYLERPFVVVLNKIDLPEAREKLPFLTEEILKIGSDIVNSELGMSSEDLVQSSPAEGGEADIIYSVISDEDKIDRGIEDYPRPTAVVGASVLKGIRVNEMLKEIRAALRKCRDSNEALELSARS >EOY14884 pep chromosome:Theobroma_cacao_20110822:8:1564067:1568663:1 gene:TCM_034131 transcript:EOY14884 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP1/OBG family protein isoform 2 MSSLVSLRLPSSSSCLTPRLPNDKLFFGPLLPVTTSPRHNWELTSPGNLRYCAIKSRLTKPKESPATNPTTLLREPHKYFDQVIITVRSGDGGHGAILSMPDQQRAATSQGRHENKEKAKKKPSYKRDFDGSLILPMGGHGGDVVIYADEGKDTLLEFHTKSRYNAKRGGNVDAMGVLTSQLRDGLAAPTLRVPVPVGTVVKRKRGKLLADLAQPGDEVLVARGGQGGISLLEMPAHRRKRLMSLTTNVMRDDSDKVLVLGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLAAITLAKPDIADYPFTTLMPNLGQLDGDPALGAGRYSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRLLVHVVDASAEDPLNDYRTVREELRMYNPNYLERPFVVVLNKIDLPEAREKLPFLTEEILKIGSDIVNSELGMSSEDLVQSSPAEGGEADIIYSVISDEDKIDRGIEDYPRPTAVVGASVLKGIRVNEMLKEIRAALRKCRDSNEALELSARS >EOY16040 pep chromosome:Theobroma_cacao_20110822:8:5532378:5533251:1 gene:TCM_034936 transcript:EOY16040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTFGLAKHGPLGKEGKTRRCIAKKGPTISPFHDLTSHFAQAMIHQGPSIYAHSAKPTKSPPPHLFPGAGPPTSSSSSRGSITFPKSGYPPSSSFFQDFYGL >EOY15163 pep chromosome:Theobroma_cacao_20110822:8:2436808:2438014:1 gene:TCM_034322 transcript:EOY15163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGYEIVMLSTERQRPYNHRSKPTRRQAGLTSALHLLSAMAVTDKADKETKGLHFVGVESGSCSYEKYPAMQESKEEGPTNWAEFQ >EOY16739 pep chromosome:Theobroma_cacao_20110822:8:10748084:10748694:1 gene:TCM_035620 transcript:EOY16739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFITSSSSDLSMCRAPSTVALSQSTRTPHQIEPPVLQHQAFSATTLSSTTNTAFGSELSAAALSSEATLPLAVNSALQCQTLSTAMPT >EOY16388 pep chromosome:Theobroma_cacao_20110822:8:6975960:6978120:1 gene:TCM_035188 transcript:EOY16388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of the outer mitochondrial membrane 6 MFPGMFMRKPDKAAALKQLKVHVAMFGVWVAVVRVTPYILHYLSDDKEELKLEF >EOY14730 pep chromosome:Theobroma_cacao_20110822:8:1114180:1115544:1 gene:TCM_034019 transcript:EOY14730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter, putative isoform 1 MKGQDSPTAVSIAIPVQQQTTGSAKKHSSVAGFFGKSGYKFWVLAAILLLAFWSMFTGSVSLKWSSGDLTRFSDDSEFSVHDDLDVLELEEREKVVRKMWNVYTHSASLRLPKFWLEAFEAAYEYLSSDVPGVRDTAMSEIAKLSMRSLNLDPLSPKSKSTSQGRKTLNQ >EOY14729 pep chromosome:Theobroma_cacao_20110822:8:1114282:1115639:1 gene:TCM_034019 transcript:EOY14729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter, putative isoform 1 MKGQDSPTAVSIAIPVQQQTTGSAKKHSSVAGFFGKSGYKFWVLAAILLLAFWSMFTGSVSLKWSSGDLTRFSDDSEFSVHDDLDVLELEEREKVVRKMWNVYTHSASLRLPKFWLEAFEAAYEYLSSDVPGVRDTAMSEIAKLSMRSLNLDPLSPKSKIRAWFHSFIAVGMS >EOY16271 pep chromosome:Theobroma_cacao_20110822:8:6507390:6507934:1 gene:TCM_035105 transcript:EOY16271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNLFTPARIWRCFHPSSGQTRQHNYYDHRSGTKLNTTDPTLGGKARNSTLCPLNFDWLHRILAPSEVS >EOY16272 pep chromosome:Theobroma_cacao_20110822:8:6507003:6507894:1 gene:TCM_035105 transcript:EOY16272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNLFTPARIWRCFHPSSGQTRQHNYYDHRSGTKLNTTDPTLGGKARNSTLCPLNFDWLHRILAPSEVS >EOY16099 pep chromosome:Theobroma_cacao_20110822:8:5788637:5789927:1 gene:TCM_034974 transcript:EOY16099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of ribosome, putative MQPQKYYSASSSEIQLRLETLTICPKKSQTEKHFNSCMIASSVVSSSPSSLIGDYIGTESCFDLDKSEEVCVSKTCDGGHDVNSNDCSGVCDRSRGKREQRRKRMKREFPPPIPSLASTANQFSHMPWVLRRYYTSDGRLILREEKVRHQEYFRAHRSNGRLTLHLVPLDENDLADHHPIDGIEDCEIDHEEAKEEVEVNVFDININNDDEDDKSNIVETLVEDNDAVIEDSIVKCSSMAETPMENGIAANGGKCLNYSSVRASPTCFLGLPVPAIRPVHS >EOY17089 pep chromosome:Theobroma_cacao_20110822:8:17734100:17737941:1 gene:TCM_036266 transcript:EOY17089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATEGSLRKNLTPILDDRSRKKALKQSKSDDLFSEGNLFSYEEMENEKGMDKNSVMENANDVEDRLDILRPWTPNYVRGSHDLSAVVARRGKRGKFAWIVVELDLSKSLIPKFFINGKRQSIEYEGLPRVCFSCGVCGHVKEECHKHRENLTKSIQGDQRVENQQQKDFPFGLWMLVTRRKPRGEDAVKTGCKGQTSVKVARRDQVVGSLPKNQRFPHKQVGAASGDKSTEMAYGNIEDKLVLDKKSNARSEDKLKDKGKEVCVIEDEKFIPIPSQTSQSEEVTVKERSLDPMKHTAIIIANKSISCNSTGKSSTQMRDHVTMAEKLGGKSIHKRDDKPPDKRGSFHMQKVSLKKKTKSKNSISSSRSNALPIVRRGRANGADKVIGSLNFDRSYRVDASGFSGGIWVLWKDWVQLLVERLTNLG >EOY15037 pep chromosome:Theobroma_cacao_20110822:8:2032636:2038110:1 gene:TCM_034234 transcript:EOY15037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT1G30755) TAIR;Acc:AT1G30755] MGGVCSGGTRERSIQLEQKTTTGFSGKLKSKKSFGKQKGNSNPHSYTNGDGFDKTRQRHDSGDFGLQFSRELKPSTPARTAASKVSHRSSFLGRAGIMGLERAVDVLDTLGSSMSNLNAGSGFVTGLASRGNRISILAFEVANTLAKGAILLQSFSEENIQFLKKDILHSDGVQKLVSTNMKELLSIAAADKRDELDVFSREVIRFGDLCKDPQWHNLGRYFSKLDIDNSFHKQARTEAEMTMQELTTLAQHTSELYHELNALDRFDEDYRRKLEEVESLNLPKRGENLMILQSELKQQRKLVRSLKKKSLWSRTLEEIMEKLVDIVTYMHQAIFEAFGDTASVRKETTENPQKLGVAGLSLHYANVIHQIDNIAGRPASLPPNIRDTLYHGLPPSVKKALRSRLQSIDTKEERSISQVKDEMEKTLQWLVPVATNTTKAHQGFGWVGEWANTGNEFGRSASTNSNLTRLQTLYHADKQKTDAYILELVQWLHHLICLVKQRDHGFRPQPVRSPTYKGLVYHSNMRRFLSFNGGTKPHRIELSEEDRNLLKKVTARRLIPGISKSQEFPLGKNKGVKMWALSRSAGNSPDRSFRAGKILKDPQTNRLDVMDGLDLAT >EOY17320 pep chromosome:Theobroma_cacao_20110822:8:19396723:19399165:1 gene:TCM_036483 transcript:EOY17320 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 88A1 MQETIVLYASPGLGHVVSMVELGKLILQQRNHQFCITILLTTGFRDTPSIISYINSVSQAYPSISFRRFPSVSVSMDKKCSVVAIAFQFIRLHAPNVLHSLQEISKDHKISAFVIDLFCTSTLSMGKDLKIPTFYFYTSGASSLAAFFQFPKLDEQTTESFRDLPDTVFHFHGLPPLKAIHMPEPALDREDPAYRDFIYFCSGLAQSDGIIVNTFEELEPISIKAIADGLCLVDAPTPPTYYIGPLIAAGSKAEHESLSWLEKQPSKSVVFLCFGSRGSFSRVQIMEIAKGLERSGRRFLWVVKNPPQDEKAKQTEESPNVDLDFLLPEGFMERTKDRGLVVKSFAPQVAVLNKDSVGGFVTHCGWNSILEAAVAGVPMIAWPLYAEQHLNRNILVQDMKMAIPVEQRQEDGFVSGTELEKRVMELMDSDIGMELRERSWEMREKALAARGPSGSSTKAITKLLDLWKQG >EOY17058 pep chromosome:Theobroma_cacao_20110822:8:17216344:17218831:-1 gene:TCM_036207 transcript:EOY17058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitroreductase family protein isoform 2 MVLQFLPSRLCLPLNAPHFHILPSTSVSLSRPNRRTIPTMSFPSSSSSSSASPSVTDPNKEEEEQGKVAQALKYHHQTKHSFTNYARGPRGLDWANQPNPFRRYISAPLIPLLHFPAEKQAITDDAPLYSSLFHSLPPPKPISQSTISQLFYDSLALSAWKTTGYSTWSLRVNPSSGNLHPTEAYLISPPIQSLSDSPFVAHYAPKEHSLEVRATIPSGFFPKFFPENSFLIGISSIFWREAWKYGERAFRYCNHDVGHAIGAVAMAAATLGWDVKLLDGFGYDDLQKLMGLDIFPEFKVPSRPIKGKFPDIEFEHPDCLLLVFPNGSNQFHVNYKELSSAVKEFLNLEWKGKPNSLSREHVCWDIIYRTAEAVKKPLTVQSGEFPVDQFQSSGICSENSYKGLTVREVVRKRRSAVDMDGVTVMERETFYQILLHCVPSGNGGKHRRQLALPFRALSWDAEVHAALFVHRVVGLPKGLYFLVRNEDHLEELKRATRPEFNWEKPAGCPDDLPLYELATDNCQELAKRLSCHQDIASDGCFSLGMVAHFEPALSDNGAWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCFFDDPVCQ >EOY17057 pep chromosome:Theobroma_cacao_20110822:8:17215595:17220360:-1 gene:TCM_036207 transcript:EOY17057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitroreductase family protein isoform 2 MVLQFLPSRLCLPLNAPHFHILPSTSVSLSRPNRRTIPTMSFPSSSSSSSASPSVTDPNKEEEEQGKVAQALKYHHQTKHSFTNYARGPRGLDWANQPNPFRRYISAPLIPLLHFPAEKQAITDDAPLYSSLFHSLPPPKPISQSTISQLFYDSLALSAWKTTGYSTWSLRVNPSSGNLHPTEAYLISPPIQSLSDSPFVAHYAPKEHSLEVRATIPSGFFPKFFPENSFLIGISSIFWREAWKYGERAFRYCNHDVGHAIGAVAMAAATLGWDVKLLDGFGYDDLQKLMGLDIFPEFKVPSRPIKGKFPDIEFEHPDCLLLVFPNGSNQFHVNYKELSSAVKEFLNLEWKGKPNSLSREHVCWDIIYRTAEAVKKPLTVQSGEFPVDQFQSSGICSENSYKGLTVREVVRKRRSAVDMDGVTVMERETFYQILLHCVPSGNGGKHRRQLALPFRALSWDAEVHAALFVHRVVGLPKGLYFLVRNEDHLEELKRATRPEFNWEKPAGCPDDLPLYELATDNCQELAKRLSCHQDIASDGCFSLGMVAHFEPALSDNGAWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCFFDDPVHELLGFRGSKFQSLYHFTIGGPVLDKRIMSLPAYPGPGIDT >EOY15714 pep chromosome:Theobroma_cacao_20110822:8:4352400:4354050:1 gene:TCM_034696 transcript:EOY15714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGCLPCFGGGNKEERKEQDRLASAEARAKAAEAAQKRQEQFEQSAAGRAVRAQIQAAAKQSENSNKGEPVLKWQVG >EOY15715 pep chromosome:Theobroma_cacao_20110822:8:4352362:4354347:1 gene:TCM_034696 transcript:EOY15715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGCLPCFGGGNKEERKEQDRLASAEARAKAAEAAQKRQEQFEQSAAGRAVRAQIQAAAKQSENSNKGEPVLKWQVG >EOY16990 pep chromosome:Theobroma_cacao_20110822:8:15788792:15826543:1 gene:TCM_036078 transcript:EOY16990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor protein kinase family protein MDLFGKEYRYLPTKSRYLGSRIRFSSKVPCMSLDSNLEVLRLWGNHLSGNIPRSIGNLTRLEDLELDYNSLEGEIPSEIGNLITLEIFYADEMHLSGQIPPSIFNISSLKYIGVQNNSLSGKLPCMSLDSNLKVLRLWGNHLSGNIPRSIGNLTRLEDLELNYNSLEGEIPSEIGNLIKLEIFYAADMHLSGQIPPSIFNISSLKYIGVQNNSLSGKLPCMSLDSNLKVLQLRGNYLSGNIPRSIGNLTRLEELDLDYNNLEGEIPSEIGNLIKLEIFYAADMHLSGQIPPSIFNISSLKYIGVQNNSLSGKLPCMSFHSNLEVLQLWGNYLSGNIPDCISNARKLKILHLNQNLFSGLLPRSIGNLTRLKELLLGENSLEGGIPSEIGNLIKLEVLHAPHMHLSGQIPPSIFNISSLKVILLQNNSLSGNLLNNI >EOY16044 pep chromosome:Theobroma_cacao_20110822:8:5547553:5549814:-1 gene:TCM_034939 transcript:EOY16044 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 4, putative MEATMDFYSSRAVQSDLYGGELMEALEPFMKSVASSSSSCPSPSYSSFSSPSPSPSPSPSPSLPSTSYLSFSSSQTQPNFYPDGCCYSTTIDPFSGLQQQQQQPQPGSTIGLNNLTQAQIHQIQVQFHLQNGQPSYLYQNPQPQNNNHHHNHMLSFLSPKPIPMKQMGSPPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGDFARLNFPNLRHQGSHIGGDFGEYKPLHSSVDAKLQAICESLEQNQKQGNKKKSSKETAANKSKNNKIHVAEPEPEEKTVKVENSSSSVSPVLSESEGSAESSPLSDLTFSDFNEQPWPEVVSPSENFMLAKYPSYEIDWDSILKA >EOY14437 pep chromosome:Theobroma_cacao_20110822:8:342636:347636:1 gene:TCM_033823 transcript:EOY14437 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 5 B MPGLKVGKRLEVVAITEAEGDSRGRKKMEDGEIEEGMVVEESSQLPVPPRKPEKSPYDLLKESKASVEEIVAKVLSIKKKDKPKSDLRELVTQMFLHFVNLRQANRSILLEEDKVKAETERAKAPVDFTTLQLHNLMYEKGHYLKAIKACKDFKSKYPDIELVPEEEFFRDGPEEIKGSNLSDDSSHNLMLKRLNYELFQRKELCKLLEKLEQRKKSLLEKIANRKKFLSSLPSHLKSLKKASLPVQNQLGVLHTKKLKQHHSAELLPPPLYVIYSQFTAQKEAFGEDIDLEIIGSMKDAQAFARQQANKDNGISTSVESSRLEDDVPDEEDDGQRRRKRPKRVPSKEAIDQAGIYQVHPLKIILHIHDDEASDPRSAKLITLKFEYLLKLNVVCVGIEGSTEGPEYNILCNLFPDDTGLDLPHQSAKLFVGDAVTFDERRTSRPYKWAQHLAGIDFLPEVSPLLNSNETSNNETKNDAVVSGLALYRQQNRVQTVVQRIRSRKKAELALVEQLDSLMKLKWPSLNCKSVPWALHTPLCSLHSWSSVGPKVNETSSEPVPDREPVQEHMDVDMDGRSGMSKEELEGLREDGELPSLLSAPSVKNDAKLTMLKGSSLNHSKQLALISKNILSPVSKGKSPSFKKHDDESDFMLETDSDLDEPAETETENTASSQCYEIAEKAWVDYGIKEFVLLLTRKMDTSGQNMKLEAKVKISMEYPLRPPLFTVNLYSSPGENSLENDYFQWHNEIRAMEAEVNLHMLKMVPPDQENYTLTHQVYCLAMLFDYYMDEASPSSEKRKSSSVIDVGLCKPVSGRLLARSFRGRDRRKMISWKDMECTTGYPF >EOY17128 pep chromosome:Theobroma_cacao_20110822:8:17976366:17996808:-1 gene:TCM_036300 transcript:EOY17128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate kinase-homoserine dehydrogenase i, I,AK-HSDH MPPLLLSSQTFSSFQVFRVIHFVFSARFYSIDLPFGSQEMFLHAVQNEIATVECRSHTEFVVIVESLTAIVKSVKCNLFKLDTKEFILFSIFQLKYCFSAGKREVIKYLCPGISSRYSYWRKGPFLLDFMCSDNLCYIRVYISVEKSMDTVHLRKGDIWAVHKFGGTCVGTSQRIKNVADIIVSDDSERKLVVVSAMSKVTDMMYDLINKAQSRDDSYISALDAVLEKHQSTALDLLDGDDLATFLSQLHHDVNNLKAMLRAIYIAGHATESFSDFVVGHGELWSAQMLSFVVKKNGLDSKWMDTRQVLIVNPTSSNQVDPDFLESERRLEKWFSQNPSNIIIATGFIASTPQNIPTTLKRDGSDFSAAIMGALFRARQVTIWTDVDGVYSADPRKVSEAVILRTLSYQEAWEMSYFGANVLHPRTIIPVMRYNIPIIIRNIFNLSAPGTMICHIETEGEDGQRLESPVKGFATIDNLALVNVEGTGMAGVPGTASAIFGAVKDVGANVIMISQASSEHSVCFAVPEKEVKAVAEALQSRFRQALDAGRLSQVEVIPNCSILAAVGQKMASTPGVSATLFNALAKANINVRAIAQGCSEYNITVVVKREDCIRALRAVHSRFYLSRTTIAMGIIGPGLIGGTLLDQLRDQAAVLKEEFNIDLRVMGITGSMTMLLSEVGIDLSRWRELLKEKGQVADLEKFAQHVHGNHFIPNTVLVDCTADSNIASCYHDWLCKGIHVITPNKKANSGPLDKYLRLRALQRQSYTHYFYEATVGAGLPIISTLRGLLETGDRILRIEGIFSGTLSYIFNNFTGTRAFSEVVAEAKEAGYTEPDPRDDLSGTDVARKVVIGQARMGSGSGQAILGQVIILARESGLKLELSDIPVRSLVPEPLRASASAEEFMKQLPQFDKDLTKERQNAEESGEVLRYVGVVDAVNQEGRVELRRYSKDHPFAQLSGSDNIIAFTTTRYKKQPLIVRGPGAGAQVTAGGIFSDILRLASYLGAPS >EOY15693 pep chromosome:Theobroma_cacao_20110822:8:4301513:4305973:1 gene:TCM_034680 transcript:EOY15693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKVPLSSYKLNIYGSAFGKPGLTGIEGAIRDDEGFVIFASWRIKNIYNNIEVLKVDIKLVTFTYISREANHLADGFAKAGVIRQGNLLIRKQVEATWSMGKQLIKRILKKRRWKAGFQNAGVPRQIPRIWRTLKNSLFDVCIYMRVAKERGHVTSAWLIKIIKLSTTVHICITFR >EOY16378 pep chromosome:Theobroma_cacao_20110822:8:6946540:6951869:-1 gene:TCM_035180 transcript:EOY16378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A1,1, putative MMSTRNRRLSTSSSSSTAKRPSITGNQSKKMGAVKTQFIKKRAALSDITNQGNGYPNGSRVIVIRSKPMVPCTSKLAKKKETSTCTQDHGLSRPTTLSPESCADVSCMDTIWTRDDQPTPKVLGLLSPSSMCTPGSMDISPDRSLSGSVSLDETMSTCDSLKGPEFEYVENEDVSAVKWIERKANYNLYISKYTQREGKICKRNILSDMGTNDNAGAVDNTSKDPQFCTLIAHDIYKNAWASEAKKGPSADFMEKVQRDINASMRAILIDWLVEVTEEYRLVPETLFLTVNYIDRYLSGNSINRQQLQLLGVACMMIASKYEEICAPQVKEFCYVTDNTYCKDEILQMESAVLNYLKFEMTVPTAKFFLRHFVHAAQMINQVQSMQFECLANYIMELSLLEYTMLHYAPSLIAASAAFLAKFILSPTKKPWDSILEHYTPYQPSDMRDCVKSLHHLCRNGGRANLPAIREKYSQHKYKFVAKKYCPASIPQEFFQDLSK >EOY14438 pep chromosome:Theobroma_cacao_20110822:8:346799:351561:-1 gene:TCM_033824 transcript:EOY14438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid responsive elements-binding factor 2 isoform 1 MGTNMNFKNVGINPPPLADGGGNKPQPPGNIQLIRQPSIYSLTFDEFQSTIGGIGKDFGSMNMDELLKNIWSAEETQTMASSSGGLEGNGGLQRQGSLTLPRTLSQKTVDEVWKDIAKEYSIGKDGIGTGGTNNMPQRQQTLGEMTLEEFLVRAGVVREDTPLVGKVNNGGFFGELPPSGTNTGFGMGFQHGGRGANLMGNRISESGNQIGIQASNLPLNVNGVRSNQHQLAQQQQQHQQYQQPIFPKQPAVGYGAQIPLQSGGQLGSPGVRGGIAGIGDQGLSNGLIQGGAVQGGGMGMVGLGGPVSVATGSPANQLSSDGIGKSSGDTSSVSPAPYVFNGSLRGRKCSAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKDENQELRKKHEEIMEMQKNQVMETMNMQQGAKKRCLRRTQTGPW >EOY14439 pep chromosome:Theobroma_cacao_20110822:8:347469:351678:-1 gene:TCM_033824 transcript:EOY14439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid responsive elements-binding factor 2 isoform 1 MGTNMNFKNVGINPPPLADGGGNKPQPPGNIQLIRQPSIYSLTFDEFQSTIGGIGKDFGSMNMDELLKNIWSAEETQTMASSSGGLEGNGGLQRQGSLTLPRTLSQKTVDEVWKDIAKEYSIGKDGIGTGGTNNMPQRQQTLGEMTLEEFLVRAGVVREDTPLVGKVNNGGFFGELPPSGTNTGFGMGFQHGGRGANLMGNRISESGNQIGIQASNLPLNVNGVRSNQHQLAQQQQQHQQYQQPIFPKQPAVGYGAQIPLQSGGQLGSPGVRGGIAGIGDQGLSNGLIQGGAVQGGGMGMVGLGGPVSVATGSPANQLSSDGIGKSSGDTSSVSPAPYVFNGSLRGRKCSAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKDENQELRKKHEEIMEMQKNQVMETMNMQQGAKKRCLRRTQTGPW >EOY17119 pep chromosome:Theobroma_cacao_20110822:8:17933275:17935291:-1 gene:TCM_036287 transcript:EOY17119 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR family of Fe/S cluster biogenesis protein isoform 2 MGASKGQKLSGLQKQVLSLYRGFLRAARSKPCEDRCQIESIVSAEFRRNSKQVDRKNFFYIEYLLRRGKKQLDQLKSPDTMGLSSLNVSSSQKQNAKT >EOY17120 pep chromosome:Theobroma_cacao_20110822:8:17932985:17935372:-1 gene:TCM_036287 transcript:EOY17120 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR family of Fe/S cluster biogenesis protein isoform 2 MGASKGQKLSGLQKQVLSLYRGFLRAARSKPCEDRCQIESIVSAEFRRNSKQVDRKNFFYIEYLLRRGHFFCSGSSIGLVEWIGEILG >EOY16447 pep chromosome:Theobroma_cacao_20110822:8:7117027:7134315:1 gene:TCM_035213 transcript:EOY16447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone methyltransferases(H3-K4 specific),histone methyltransferases(H3-K36 specific), putative isoform 1 MGLCENRTLVDEPLREVAATEQHSCTELMGNLVPQQRDCIVFDPNGDCAGEPSEDENTDCERSRDIDCRDGIKGECKNVVGFGLKGLMGDECCDSTICLKENECENVYSSCSKELMGDNIVFSENNQDVDDSDLKELVDNRCNDSVVCLEENRGESVDGSSSKELMGERNRDSAVFSNEHLGENVDGSFSDVLMGGRCGDSTVSSNEDQGENVDCAGSKELISYKDGHSVVCLNENQSENVDGSGSKEMMDDRCGDGVVCLNDNQGENVDGSGPEELIGDGDSTGYSNENQENVDRSGSKEWMGDSISDNVVCLNENQGNVDVNDSETDLLCLKNRGISGEDGPTAVDGCSQDENTACLSSGMEISIDQMRGNDENVVGWMLKECIDIQGGVCLIENLGKVDHHNSENDTSQDTEMPSELKTVATSPRNCVKQDKEKDDESVSGSTQQGAMEDGEEKCEEENDVLKRTGADVPNQILPSQKSEVPFELISVTGDFVSSSDWHNQKDDLSSSDLSLESFTKPVETKRTDDICIELLASKGCLSTLETLHRAESLGTHQNAQTDNKNVNGQSENGVAEVFEKRAAVTAGTKVETPSEIINAEENGCNSKGDSFELGANCLGDRSDSLSCQLFDVVENGLSERLDPVDIFAKDACAAISSSSSIDCSRERENEGKDVVKVDCVSDTKHHPATSSSSRRGSRKSKSSRKAPAKRIARYCRKTKLANPHESIEFIFRASRKKRSCSSKPARASDWGLLSNITQFLEQYHEPGCNEVPNQERSKAGGGRASGKRSKNRAGKSRKGSSGISNTSTNCLRLKIKVGKEVASINLNSVVTESVDPSVSVDTSFNNHGKETSFQCPKLVNVVEDKVGKLESERQLQFKEDSEKVKTCSDASIMDLKLAHKVVESAENLEMSAEDAADNYPVSLSDAVAEASGEVVENKYIDPGTSPDSEVINLIPDARVGSIHQEESHNTVLNTSGALASAGGVKSSKSSKRGKKDNHKSPGAASARKSKSSKNCRGKQKTTVNGFCSSGALTSSTGANSSRENGLGVSEEAMKVEIATDAKACCSPDVPDTKNTKNLSSSKHKRNQPSKSSKSQGVSKGKSRVSDSARSRKGNACKQKGDELKSVSKTKVKKKGSDKDIVARGGRHPLTVDIAGNHISDNIEISNTSNSIALADMINVDLVSDGTMEQCTQPDNAWVRCDDCHKWRRIPVALVKSIDEACRWVCGDNVDKAFADCSIPQEKSNADINADLGISDAEEDGCDGLNYKELEKGFESKHMTVPPTSHFWRIDSNWFLHRGRKTQTIDEIMVCHCKRPPDGKLGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQKRKYAKMKWDRFGRKGFGLRMLEDISASQFLIEYVGEVLDMQAYEARQKEYASRGQRHFYFMTLNGSEVIDAYVKGNLGRFINHSCDPNCRTEKWMVNGEICIGLFALRDIKQGEEVTFDYNYVRVFGAAAKKCHCGSPHCRGYIGGDLLSAEEIVHDDSDEESPEPMMLEDGETWNGSDNIISRSSSFDGAEMQSVESVVTDGVIKLENRPEAEDSVNRSASVTSQLKSSVETEYLNGNFQLSIKPEEVLPAMAAVQPDSTTGKKALNRTSCSIQKLDTSLNILDNKLPTDVVDANKKSKFDTAEDKQVPPKSRPLMKTSRSSSSIKKGKISSNSLNGHKVQITSTKSQVPSVKPKRLSENSSNCRFEAVEEKLNELLDCDGGITKRKDASKGYLKLLLLTATSGDSGNGETIQSNRDLSMILDALLKTKSRLVLTDIINKNGLQMLHNIMKKYRSDFKKIPILRKLLKVLEYLAMREILTLDHIIGGPSCAGRQSFRESILSLTEHDDKQVHQIARNFRDRWIPKPVRKLSYRDKDEGKMEFHRGLDCNRVPASNNHWREQAIRPTEAISCVMQSVVATTSVDTASREGCSSSSTGVCQTNSTKIRKRKSRWDQPAETEKIGSRSPKKLQYSPLPVLVESTPDHIDKMSQGDKECRDCVCKGEAINVDNGRHSFQEDVPPGFSSPPNASLVSSTAPSTAIEFPKPYQLKCPDVIIALPQKRFISRLPVSYGIPLPILQQFGSPQGECVESWIIAPGMPFHPFPPLPPCPRDKKDTRPACTANSIGIDEDAEEGQRDSNRPATSYPDENIPCMAGGNQPDPDIPGTNIQQTFKRMRESYDLGKKYFRQQKRKGPPWHKSECMGNNQIGGTCCIDVGNVKNELRNSYFSDDITCRVEKGGNDFYQQPQHPNQQ >EOY16448 pep chromosome:Theobroma_cacao_20110822:8:7117131:7134315:1 gene:TCM_035213 transcript:EOY16448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone methyltransferases(H3-K4 specific),histone methyltransferases(H3-K36 specific), putative isoform 1 MGLCENRTLVDEPLREVAATEQHSCTELMGNLVPQQRDCIVFDPNGDCAGEPSEDENTDCERSRDIDCRDGIKGECKNVVGFGLKGLMGDECCDSTICLKENECENVYSSCSKELMGDNIVFSENNQDVDDSDLKELVDNRCNDSVVCLEENRGESVDGSSSKELMGERNRDSAVFSNEHLGENVDGSFSDVLMGGRCGDSTVSSNEDQGENVDCAGSKELISYKDGHSVVCLNENQSENVDGSGSKEMMDDRCGDGVVCLNDNQGENVDGSGPEELIGDGDSTGYSNENQENVDRSGSKEWMGDSISDNVVCLNENQGNVDVNDSETDLLCLKNRGISGEDGPTAVDGCSQDENTACLSSGMEISIDQMRGNDENVVGWMLKECIDIQGGVCLIENLGKVDHHNSENDTSQDTEMPSELKTVATSPRNCVKQDKEKDDESVSGSTQQGAMEDGEEKCEEENDVLKRTGADVPNQILPSQKSEVPFELISVTGDFVSSSDWHNQKDDLSSSDLSLESFTKPVETKRTDDICIELLASKGCLSTLETLHRAESLGTHQNAQTDNKNVNGQSENGVAEVFEKRAAVTAGTKVETPSEIINAEENGCNSKGDSFELGANCLGDRSDSLSCQLFDVVENGLSERLDPVDIFAKDACAAISSSSSIDCSRERENEGKDVVKVDCVSDTKHHPATSSSSRRGSRKSKSSRKAPAKRIARYCRKTKLANPHESIEFIFRASRKKRSCSSKPARASDWGLLSNITQFLEQYHEPGCNEVPNQERSKAGGGRASGKRSKNRAGKSRKGSSGISNTSTNCLRLKIKVGKEVASINLNSVVTESVDPSVSVDTSFNNHGKETSFQCPKLVNVVEDKVGKLESERQLQFKEDSEKVKTCSDASIMDLKLAHKVVESAENLEMSAEDAADNYPVSLSDAVAEASGEVVENKYIDPGTSPDSEVINLIPDARVGSIHQEESHNTVLNTSGALASAGGVKSSKSSKRGKKDNHKSPGAASARKSKSSKNCRGKQKTTVNGFCSSGALTSSTGANSSRENGLGVSEEAMKVEIATDAKACCSPDVPDTKNTKNLSSSKHKRNQPSKSSKSQGVSKGKSRVSDSARSRKGNACKQKGDELKSVSKTKVKKKGSDKDIVARGGRHPLTVDIAGNHISDNIEISNTSNSIALADMINVDLVSDGTMEQCTQPDNAWVRCDDCHKWRRIPVALVKSIDEACRWVCGDNVDKAFADCSIPQEKSNADINADLGISDAEEDGCDGLNYKELEKGFESKHMTVPPTSHFWRIDSNWFLHRGRKTQTIDEIMVCHCKRPPDGKLGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQKRKYAKMKWDRFGRKGFGLRMLEDISASQFLIEYVGEVLDMQAYEARQKEYASRGQRHFYFMTLNGSEVIDAYVKGNLGRFINHSCDPNCRTEKWMVNGEICIGLFALRDIKQGEEVTFDYNYVRVFGAAAKKCHCGSPHCRGYIGGDLLSAEEIVHDDSDEESPEPMMLEDGETWNGSDNIISRSSSFDGAEMQSVESVVTDGVIKLENRPEAEDSVNRSASVTSQLKSSVETEYLNGNFQLSIKPEEVLPAMAAVQPDSTTGKKALNRTSCSIQKLDTSLNILDNKLPTDVVDANKKSKFDTAEDKQVPPKSRPLMKTSRSSSSIKKGKISSNSLNGHKVQITSTKSQVPSVKPKRLSENSSNCRFEAVEEKLNELLDCDGGITKRKDASKGYLKLLLLTATSGDSGNGETIQSNRDLSMILDALLKTKSRLVLTDIINKNGLQMLHNIMKKYRSDFKKIPILRKLLKVLEYLAMREILTLDHIIGGPSCAGRQSFRESILSLTEHDDKQVHQIARNFRDRWIPKPVRKLSYRDKDEGKMEFHRGLDCNRVPASNNHWREQAIRPTEAISCVMQSVVATTSVDTASREGCSSSSTGVCQTNSTKIRKRKSRWDQPAETEKIGSRSPKKLQYSPLPVLVESTPDHIDKMSQGDKECRDCVCKGEAINVDNGRHSFQEDVPPGFSSPPNASLVSSTAPSTAIEFPKPYQLKCPDVIIALPQKRFISRLPVSYGIPLPILQQFGSPQGECVESWIIAPGMPFHPFPPLPPCPRDKKDTRPACTANSIGIDEDAEEGQRDSNRPATSYPDENIPCMAGGNQPDPDIPGTNIQQTFKRMRESYDLGKKYFRQQKRKGPPWHKSECMGNNQIGGTCCIDVGNVKNELRNSYFSDDITCRVEKGGNDFYQQPQHPNQQ >EOY16446 pep chromosome:Theobroma_cacao_20110822:8:7117131:7136228:1 gene:TCM_035213 transcript:EOY16446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone methyltransferases(H3-K4 specific),histone methyltransferases(H3-K36 specific), putative isoform 1 MGLCENRTLVDEPLREVAATEQHSCTELMGNLVPQQRDCIVFDPNGDCAGEPSEDENTDCERSRDIDCRDGIKGECKNVVGFGLKGLMGDECCDSTICLKENECENVYSSCSKELMGDNIVFSENNQDVDDSDLKELVDNRCNDSVVCLEENRGESVDGSSSKELMGERNRDSAVFSNEHLGENVDGSFSDVLMGGRCGDSTVSSNEDQGENVDCAGSKELISYKDGHSVVCLNENQSENVDGSGSKEMMDDRCGDGVVCLNDNQGENVDGSGPEELIGDGDSTGYSNENQENVDRSGSKEWMGDSISDNVVCLNENQGNVDVNDSETDLLCLKNRGISGEDGPTAVDGCSQDENTACLSSGMEISIDQMRGNDENVVGWMLKECIDIQGGVCLIENLGKVDHHNSENDTSQDTEMPSELKTVATSPRNCVKQDKEKDDESVSGSTQQGAMEDGEEKCEEENDVLKRTGADVPNQILPSQKSEVPFELISVTGDFVSSSDWHNQKDDLSSSDLSLESFTKPVETKRTDDICIELLASKGCLSTLETLHRAESLGTHQNAQTDNKNVNGQSENGVAEVFEKRAAVTAGTKVETPSEIINAEENGCNSKGDSFELGANCLGDRSDSLSCQLFDVVENGLSERLDPVDIFAKDACAAISSSSSIDCSRERENEGKDVVKVDCVSDTKHHPATSSSSRRGSRKSKSSRKAPAKRIARYCRKTKLANPHESIEFIFRASRKKRSCSSKPARASDWGLLSNITQFLEQYHEPGCNEVPNQERSKAGGGRASGKRSKNRAGKSRKGSSGISNTSTNCLRLKIKVGKEVASINLNSVVTESVDPSVSVDTSFNNHGKETSFQCPKLVNVVEDKVGKLESERQLQFKEDSEKVKTCSDASIMDLKLAHKVVESAENLEMSAEDAADNYPVSLSDAVAEASGEVVENKYIDPGTSPDSEVINLIPDARVGSIHQEESHNTVLNTSGALASAGGVKSSKSSKRGKKDNHKSPGAASARKSKSSKNCRGKQKTTVNGFCSSGALTSSTGANSSRENGLGVSEEAMKVEIATDAKACCSPDVPDTKNTKNLSSSKHKRNQPSKSSKSQGVSKGKSRVSDSARSRKGNACKQKGDELKSVSKTKVKKKGSDKDIVARGGRHPLTVDIAGNHISDNIEISNTSNSIALADMINVDLVSDGTMEQCTQPDNAWVRCDDCHKWRRIPVALVKSIDEACRWVCGDNVDKAFADCSIPQEKSNADINADLGISDAEEDGCDGLNYKELEKGFESKHMTVPPTSHFWRIDSNWFLHRGRKTQTIDEIMVCHCKRPPDGKLGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQKRKYAKMKWDRFGRKGFGLRMLEDISASQFLIEYVGEVLDMQAYEARQKEYASRGQRHFYFMTLNGSEVIDAYVKGNLGRFINHSCDPNCRTEKWMVNGEICIGLFALRDIKQGEEVTFDYNYVRVFGAAAKKCHCGSPHCRGYIGGDLLSAEEIVHDDSDEESPEPMMLEDGETWNGSDNIISRSSSFDGAEMQSVESVVTDGVIKLENRPEAEDSVNRSASVTSQLKSSVETEYLNGNFQLSIKPEEVLPAMAAVQPDSTTGKKALNRTSCSIQKLDTSLNILDNKLPTDVVDANKKSKFDTAEDKQVPPKSRPLMKTSRSSSSIKKGKISSNSLNGHKVQITSTKSQVPSVKPKRLSENSSNCRFEAVEEKLNELLDCDGGITKRKDASKGYLKLLLLTATSGDSGNGETIQSNRDLSMILDALLKTKSRLVLTDIINKNGLQMLHNIMKKYRSDFKKIPILRKLLKVLEYLAMREILTLDHIIGGPSCAGRQSFRESILSLTEHDDKQVHQIARNFRDRWIPKPVRKLSYRDKDEGKMEFHRGLDCNRVPASNNHWREQAIRPTEAISCVMQSVVATTSVDTASREGCSSSSTGVCQTNSTKIRKRKSRWDQPAETEKIGSRSPKKLQYSPLPVLVESTPDHIDKMSQGDKECRDCVCKGEAINVDNGRHSFQEDVPPGFSSPPNASLVSSTAPSTAIEFPKPYQLKCPDVIIALPQKRFISRLPVSYGIPLPILQQFGSPQGECVESWIIAPGMPFHPFPPLPPCPRDKKDTRPACTANSIGIDEDAEEGQRDSNRPATSYPDENIPCMAGGNQPDPDIPGTNIQQTFKRMRESYDLGKKYFRQQKRKGPPWHKSECMGNNQIGGTCCIDVGNVKNELRNSYFSDDITCRVEKGGNDFYQQPQHPNQQ >EOY16277 pep chromosome:Theobroma_cacao_20110822:8:6512126:6517007:-1 gene:TCM_035107 transcript:EOY16277 gene_biotype:protein_coding transcript_biotype:protein_coding description:MuDR family transposase isoform 1 MLINYTAFPWKQFLFCFLHGGSTLNHIRLLLPSFFVIMADHDHALVVADTSHSLVEHTLADTSRALVEQTLVIGQEFPDVETCRRTLKDIAIALHFDLRIVKSDRSRFIAKCSKEGCPWRVHVAKCPGVPTFSIRTLHGEHTCEGVRNLHHQQASVGWVARSVEARVRDNPQYKPKEILQDIRDQHGVAVSYMQAWRGKERSMAALHGTFEEGYRLLPAYCEQIRKTNPGSVASVFATGQENCFQRLFISYRASIYGFINACRPLLELDKADLKGKYLGTLLCAAAVDADDALFPLAIAIVDLESDENWMWFMSELRKLLGVNTENMPRLTILSERRQSIVDAVETHFPSAFHGFCLRYVSENFRDTFKNTKLVNIFWNAVYALTTVEFESKISEMVEISQDVIQWFQHFPPQLWAVAYFEGVRYGHFSLGVTELLYNWALECHELPVVQMMEHIRHQLTSWFNNRREMGMRWTSSLVPSAEKRILEAIADARCYQVLRANEIEFEIVSTERTNIVDIRSRVCSCRRWQLYGLPCAHAAAALISCGQNAHLFAEPCFTVASYRETYSQMINPIPDKSTWKEQGEGAEGGAAKLDITIRPPKYRRPPGRPKKKVLRVENLKRPKRVVQCGRCHLLGHSQKKCTMPI >EOY16276 pep chromosome:Theobroma_cacao_20110822:8:6512013:6517088:-1 gene:TCM_035107 transcript:EOY16276 gene_biotype:protein_coding transcript_biotype:protein_coding description:MuDR family transposase isoform 1 MLINYTAFPWKQFLFCFLHGGSTLNHIRLLLPSFFVIMADHDHALVVADTSHSLVEHTLADTSRALVEQTLVIGQEFPDVETCRRTLKDIAIALHFDLRIVKSDRSRFIAKCSKEGCPWRVHVAKCPGVPTFSIRTLHGEHTCEGVRNLHHQQASVGWVARSVEARVRDNPQYKPKEILQDIRDQHGVAVSYMQAWRGKERSMAALHGTFEEGYRLLPAYCEQIRKTNPGSVASVFATGQENCFQRLFISYRASIYGFINACRPLLELDKADLKGKYLGTLLCAAAVDADDALFPLAIAIVDLESDENWMWFMSELRKLLGVNTENMPRLTILSERRQSIVDAVETHFPSAFHGFCLRYVSENFRDTFKNTKLVNIFWNAVYALTTVEFESKISEMVEISQDVIQWFQHFPPQLWAVAYFEGVRYGHFSLGVTELLYNWALECHELPVVQMMEHIRHQLTSWFNNRREMGMRWTSSLVPSAEKRILEAIADARCYQVLRANEIEFEIVSTERTNIVDIRSRVCSCRRWQLYGLPCAHAAAALISCGQNAHLFAEPCFTVASYRETYSQMINPIPDKSTWKEQGEGAEGGAAKLDITIRPPKYRRPPGRPKKKVLRVENLKRPKRVVQCGRCHLLGHSQKKCTMPI >EOY16275 pep chromosome:Theobroma_cacao_20110822:8:6512118:6517018:-1 gene:TCM_035107 transcript:EOY16275 gene_biotype:protein_coding transcript_biotype:protein_coding description:MuDR family transposase isoform 1 MLINYTAFPWKQFLFCFLHGGSTLNHIRLLLPSFFVIMADHDHALVVADTSHSLVEHTLADTSRALVEQTLVIGQEFPDVETCRRTLKDIAIALHFDLRIVKSDRSRFIAKCSKEGCPWRVHVAKCPGVPTFSIRTLHGEHTCEGVRNLHHQQASVGWVARSVEARVRDNPQYKPKEILQDIRDQHGVAVSYMQAWRGKERSMAALHGTFEEGYRLLPAYCEQIRKTNPGSVASVFATGQENCFQRLFISYRASIYGFINACRPLLELDKADLKGKYLGTLLCAAAVDADDALFPLAIAIVDLESDENWMWFMSELRKLLGVNTENMPRLTILSERRQSIVDAVETHFPSAFHGFCLRYVSENFRDTFKNTKLVNIFWNAVYALTTVEFESKISEMVEISQDVIQWFQHFPPQLWAVAYFEGVRYGHFSLGVTELLYNWALECHELPVVQMMEHIRHQLTSWFNNRREMGMRWTSSLVPSAEKRILEAIADARCYQVLRANEIEFEIVSTERTNIVDIRSRVCSCRRWQLYGLPCAHAAAALISCGQNAHLFAEPCFTVASYRETYSQMINPIPDKSTWKEQGEGAEGGAAKLDITIRPPKYRRPPGRPKKKVLRVENLKRPKRVVQCGRCHLLGHSQKKCTMPI >EOY16809 pep chromosome:Theobroma_cacao_20110822:8:11539676:11541310:1 gene:TCM_035700 transcript:EOY16809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKTGLGVCDIDGNWQGEEPCMMYLKLMIESDNQPSITRHAYSYTDANISINY >EOY14548 pep chromosome:Theobroma_cacao_20110822:8:567052:573926:-1 gene:TCM_033892 transcript:EOY14548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MGSDKHSAGLLPTLGMDRVRTILTHTYPYPHEHSRHAIIAVVVGCLFFISSDNIHTLIEKLDNNIKWWSMYACLLGFFYFFSSPFIGKTIKPSYSNFSRWYIAWILVAAIYHLPSFQSMGVDMRMNLSLFLSIYISSILFLLVFHIIFLGLWYLGLISRVAGRRPEILTILQNCAVISIACCVFYSHCGNRAMLRQRPLERRTSNWFSFWKKEERNTWLAKFIRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGELACNGSCPGSSDEISPIYSLWATFIGLYIANYVVERSTGWALTHPLSVEEFEKLKKNQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMSRVHNGAKQDDLFYDHLSEKEDLWFDFMADTGDGGNSSYAVARLLAQPSLRLTRDDSVLTLPRGDLLLIGGDLAYPNPSGFTYERRLFCPFEYALQPPPWYKPEHIAANKPELPEGVSELKEYNGPQCFLIPGNHDWFDGLNTFMRYICHKSWLGGWFMPQKKSYFALQLPKRWWVFGLDLSLHADIDVYQFKFFSELVKNKLGENDSVIIMTHEPHWLLDWYWKGVSGENVSHLICDYLKGRCKLRIAGDLHHYMRHSCVPSEGPVHVQHLLVNGCGGAFLHPTHVFSNFNKFYGKTYECKAAYPSFDDSSRIALGNILKFRKKNWQFDFIGGIIYFILVFSMFPQCKLDHIWQDDSFSGHMRNFFGTVWNSFIYVLEHSFISLAGVVLLLITAIAFVPSKLARKKRAIIGILHVSAHLAAALILMLLLELGLETCIRHKLLATSGYHSLYQWYRSVESEHFPDPTGLRARIEQWTFGLYPACIKYLMSAFDVPEVMAVTRSYICKNGLQSLSRGGAVIYYASVFLYFWVFSTPVVSLVFGCYLYVCINWLHIHFDEAFSSLRIANYKSFTRFHINRDGDLEVFTLAVDKVPKEWKLDPDWDGEPKQSPQLSHRRKYPSKWSASSSQQDPVNTVRVVDQFVIRQTDKPDSISSNGSV >EOY14549 pep chromosome:Theobroma_cacao_20110822:8:567127:572765:-1 gene:TCM_033892 transcript:EOY14549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MGVDMRMNLSLFLSIYISSILFLLVFHIIFLGLWYLGLISRVAGRRPEILTILQNCAVISIACCVFYSHCGNRAMLRQRPLERRTSNWFSFWKKEERNTWLAKFIRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGELACNGSCPGSSDEISPIYSLWATFIGLYIANYVVERSTGWALTHPLSVEEFEKLKKNQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMSRVHNGAKQDDLFYDHLSEKEDLWFDFMADTGDGGNSSYAVARLLAQPSLRLTRDDSVLTLPRGDLLLIGGDLAYPNPSGFTYERRLFCPFEYALQPPPWYKPEHIAANKPELPEGVSELKEYNGPQCFLIPGNHDWFDGLNTFMRYICHKSWLGGWFMPQKKSYFALQLPKRWWVFGLDLSLHADIDVYQFKFFSELVKNKLGENDSVIIMTHEPHWLLDWYWKGVSGENVSHLICDYLKGRCKLRIAGDLHHYMRHSCVPSEGPVHVQHLLVNGCGGAFLHPTHVFSNFNKFYGKTYECKAAYPSFDDSSRIALGNILKFRKKNWQFDFIGGIIYFILVFSMFPQCKLDHIWQDDSFSGHMRNFFGTVWNSFIYVLEHSFISLAGVVLLLITAIAFVPSKLARKKRAIIGILHVSAHLAAALILMLLLELGLETCIRHKLLATSGYHSLYQWYRSVESEHFPDPTGLRARIEQWTFGLYPACIKYLMSAFDVPEVRNLSLFGRYDTFSIVNYINGGKIARLWLSPEAIFARMVYSRYPEGVLSYITRQSSSTSGCSQPQWFHWCLDAIYMSALTGFTYTLTRPSLL >EOY14957 pep chromosome:Theobroma_cacao_20110822:8:1776408:1780331:-1 gene:TCM_034179 transcript:EOY14957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MVVLLFFVLALPSLFLCILLKHRRNGSILLPPGPPGLPLIGHLHMQMLDNSAPHIFLWKLSQKYGPLMSLRFGFRPTLVVSSAKMAKEVMKTHDLDFCSRPTLHGSYKLSYKGLDLAFSPYTAYWREIRKICVVHLFNSNRVQLYRPIREDEVSRLIAKISKLSVDSKPVNLSEAMMCLTSTIICRVGFGKRYEDEGTERSRFHEMLNEAQALFVEFFISDYFPFMNWVDRISGLLKRVEKNFKEFDTFYQKLIDEHLDPNRSKLEQEDIIDVLLQIWKDREFAIDLTIDHIKAVLMNVFVAGTDTSAASVIWVMTFLMKNPRCLKKAQEEVRSLMGKKDFVNEDDTQALTYLKAVIKETFRLQAIVPLLVPRETMRKSNISGYEIPAKTLVYVNAWAIGRDPEAWENPEEFYPERFIGSSIDYKGLDFELIPFGAGRRGCPGIHMGVVTVELALANLLYKFDWEMPTGMNKEDLDFDVIPGITTHKKNALCLVAKEINAASYLAKLPISMARRRKESFSVKRFVTALDLICGARAH >EOY15997 pep chromosome:Theobroma_cacao_20110822:8:5335743:5341225:-1 gene:TCM_034899 transcript:EOY15997 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRY1 isoform 1 MSGGACSIVWFRRDLRVEDNPALAAGVRAGAVVAVFIWAPEEEGHYYPGRVSRWWLKQSLAHLDSSLRSLGTCLISKRSTESVSSLLEVVKSTGATQLFFNHLYDPISLVRDHRAKEVLTAHGVAVRSFNADLLYEPWDVNDAQGRPFTTFAAFWEKCLSMPYDPEAPLLPPKRIISGDVSNCPSHPLAFEDESEKGSNALLARAWSPGWSNADKALTTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVLWANEGNEAGEESVNLFIKSIGLREYSRYLSFNHPYSHERPLLGHLKFFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGREFDRIDNPQFEGYKFDPHGEYVRRWLPELARLPTDWIHHPWNAPESVLQAAGIELGSNYPLPIVGIDAAKARLQEALSEMWQQEAASRAAIENGTEEGLGDSSESAPFAFPQDIQMEENLEPARNNAPATTRRYEDQMVPSMTSSLVRGEDEEPSLDLRTSAEESRAEVPRNLSMDQEPRRDILNQGVPQTVRNSNTFLQFNIPTGVGNAEDSTAESSSSSGRRERDGGVVPVWSPPASSYSEQFIGDENGIGGSSSYLQRHPRSHQIVNWRRLSQTG >EOY15998 pep chromosome:Theobroma_cacao_20110822:8:5336459:5341371:-1 gene:TCM_034899 transcript:EOY15998 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRY1 isoform 1 MSGGACSIVWFRRDLRVEDNPALAAGVRAGAVVAVFIWAPEEEGHYYPGRVSRWWLKQSLAHLDSSLRSLGTCLISKRSTESVSSLLEVVKSTGATQLFFNHLYDPISLVRDHRAKEVLTAHGVAVRSFNADLLYEPWDVNDAQGRPFTTFAAFWEKCLSMPYDPEAPLLPPKRIISGDVSNCPSHPLAFEDESEKGSNALLARAWSPGWSNADKALTTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVLWANEGNEAGEESVNLFIKSIGLREYSRYLSFNHPYSHERPLLGHLKFFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGREFDRIDNPQFEGYKFDPHGEYVRRWLPELARLPTDWIHHPWNAPESVLQAAGIELGSNYPLPIVGIDAAKARLQEALSEMWQQEAASRAAIENGTEEGLGDSSESAPFAFPQDIQMEENLEPARNNAPATTRRYEDQMVPSMTSSLVRGEDEEPSLDLRTSAEESRAEVPRNLSMDQEPRRDILNQGVPQTVRNSNTFLQFNIPTGVGNAEDSTAESSSSSGRRERDGGVVPVWSPPASSYSEQFIGDENGIGGSSSYLQRHPRSHQIVNWRRLSQTG >EOY17338 pep chromosome:Theobroma_cacao_20110822:8:19517801:19527843:-1 gene:TCM_036498 transcript:EOY17338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPFTTPLMHLLCFFLWRDHCHLQIQLQNFVFFIPSMHINSGNKYHLKVQVKRINEGNFASHEDDEELQYDLLYLSYTSIGILRGKESDGPKWCEVP >EOY15587 pep chromosome:Theobroma_cacao_20110822:8:3843434:3849844:-1 gene:TCM_034599 transcript:EOY15587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose proton symporter MKGMAIPEADRQRARGRPPTSSSTRPAARPPVRARVRLRQLLRVASVACGIQFGWALQLSLLTPYVQELGIPHAWASIIWLCGPLSGLLVQPLVGHMSDRCTSRFGRRRPFIVAGAVSIIAAVLIIGHSADIGWLFGDTGSYRPRAIAVFVFGFWILDVANNMTQGPCRALLADLTGKDHRRTRVANAYFSLFMAVGNVLGFATGSYSGWFKILSFTMTAACDVDCANLKSAFFLDIIFIAITTYVSISAAKEVPLGSLDRSTPFHEEGPEQSGQAEEAFLWELFGTFRYFTGPIWIILSVTALNWIGWFPFLLFDTDWMGREIYGGQPNEGYNYNAGVRMGAFGLMLNSVVLGITSVLMEKLCSKWGAGFVWGVSNILMALCFLLMLILSYVANHMDYIGRDLPPNGIVIAALVIFSILGVPLSITYSVPYALISTRIEALGLGQGLSMGVLNLAIVIPQVVVSVGSGPWDQLFGGGNSPAFAVAAVAALTSGLIAILAIPRSSSQKPRALP >EOY16396 pep chromosome:Theobroma_cacao_20110822:8:7006780:7013817:-1 gene:TCM_035195 transcript:EOY16396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 1 MDQFGVLTERYGLKPQGKSAPMSQAKRPTATATSITSTNQNFGFDTGLNGSSMPFSSKSSWNSNPSNGSLLDDHDFFSNQKPQNSFGLGDDYGYAFGAFENTTKKQSNTSNNSSNGSSFDLDSMLFNSGPKSSSTNLYVVDDLFGGMPGSENSSNDDIIGSFASSTKQKGSAGDLLGDFSGVAAKLKSSSRKNSWDWGKTEAGFDDLIPGFGGSSPPANRTNIKTTKSTFKSTEDPFVVLESASSSAYNSSETPTDPLEEFSMLNESGGSKLRGSSNASRSLRPPPKPAQVLKADKVKSSGASPIDELEDFAMGRVFNKASRSKEAEDVARKNQEKRADDLESFFGVSSRSNSAPKSRATTLDPMFDAHTHQRQQKTSPGASSTAKKSSPATMMNGVDDLSSIFGAAPMFGEFEEVDGESEERRRARLGRHQRTQDRVT >EOY16395 pep chromosome:Theobroma_cacao_20110822:8:7006464:7013959:-1 gene:TCM_035195 transcript:EOY16395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 1 MDQFGVLTERYGLKPQGKSAPMSQAKRPTATATSITSTNQNFGFDTGLNGSSMPFSSKSSWNSNPSNGSLLDDHDFFSNQKPQNSFGLGDDYGYAFGAFENTTKKQSNTSNNSSNGSSFDLDSMLFNSGPKSSSTNLYVVDDLFGGMPGSENSSNDDIIGSFASSTKQKGSAGDLLGDFSGVAAKLKSSSRKNSWDWGKTEAGFDDLIPGFGGSSPPANRTNIKTTKSTFKSTEDPFVVLESASSSAYNSSETPTDPLEEFSMLNESGGSKLRGSSNASRSLRPPPKPAQVLKADKVKSSGASPIDELEDFAMGRVFNKASRSKEAEDVARKNQEKRADDLESFFGVSSRSNSAPKSRATTLDPMFDAHTHQRQQKTSPGASSTAKKSSPATMMNGVDDLSSIFGAAPMFGEFEEVDGESEERRRARLGRHQRTQDRVARAVADMNQRDRQTQHEQEERRRIAEAMDFEIKRWAAGKEGNVRALLSSLQQVLWPECGWEPISLTDLITSGSVKKVYRKATLCVHPDKVQQKGATLEQKYIAEKVFDILKEASNKFNKEELS >EOY17408 pep chromosome:Theobroma_cacao_20110822:8:20108845:20131478:1 gene:TCM_036573 transcript:EOY17408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MTLEIEARDVIKIVLQFCKENSLHQTFQTLQNECQVSLNTVDSIETFVADVNSGRWDAILPQVAQLKLPRNKLEDLYEQIVLEMIELRELDTARAILRQTQAMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQDSTKEKRRAQIAQAIAAEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDIDDMHPTTLSHTVRFGKKCHAECARFSPDGQFLVSSSVDGFIEVWDYISGKLKKDLQYQADETFMMHDDPVLCVDFSRDSEMIASGSQDGKIKVWRIRTGQCLRRLERAHSQGVTSLVFSRDGSQLLSTSFDSTARIHGLKSGKLLKEFRGHTSYVNDAIFTADGSRIITASSDCTVKVWDVKTTDCLQTFKPPPPLRGGDASVNSVHLFPKNTDHIIVCNKTSSIYIMTLQGQVVKSFSSGKKEGGDFAAACVSPKGEWIYCVGEDSRNMYCFSYQTGKLEHLLTVHEKDVIGITHHPHRNLVATYAEDCTMKLWKS >EOY17407 pep chromosome:Theobroma_cacao_20110822:8:20108832:20150755:1 gene:TCM_036573 transcript:EOY17407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MTLEIEARDVIKIVLQFCKENSLHQTFQTLQNECQVSLNTVDSIETFVADVNSGRWDAILPQVAQLKLPRNKLEDLYEQIVLEMIELRELDTARAILRQTQAMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQDSTKEKRRAQIAQAIAAEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDIDDMHPTTLSHTVRFGKKCHAECARFSPDGQFLVSSSVDGFIEVWDYISGKLKKDLQYQADETFMMHDDPVLCVDFSRDSEMIASGSQDGKIKVWRIRTGQCLRRLERAHSQGVTSLVFSRDGSQLLSTSFDSTARIHGLKSGKLLKEFRGHTSYVNDAIFTADGSRIITASSDCTVKVWDVKTTDCLQTFKPPPPLRGGDASVNSVHLFPKNTDHIIVCNKTSSIYIMTLQGQVVKSFSSGKKEGGDFAAACVSPKGEWIYCVGEDRNMYCFSYQTGKLEHLLTVHEKDVIGITHHPHRNLVATYAEDCTMKLWKS >EOY17409 pep chromosome:Theobroma_cacao_20110822:8:20108847:20131288:1 gene:TCM_036573 transcript:EOY17409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MTLEIEARDVIKIVLQFCKENSLHQTFQTLQNECQVSLNTVDSIETFVADVNSGRWDAILPQVAQLKLPRNKLEDLYQFDLFRGTAAMKQDIDDMHPTTLSHTVRFGKKCHAECARFSPDGQFLVSSSVDGFIEVWDYISGKLKKDLQYQADETFMMHDDPVLCVDFSRDSEMIASGSQDGKIKVWRIRTGQCLRRLERAHSQGVTSLVFSRDGSQLLSTSFDSTARIHGLKSGKLLKEFRGHTSYVNDAIFTADGSRIITASSDCTVKVWDVKTTDCLQTFKPPPPLRGGDASVNSVHLFPKNTDHIIVCNKTSSIYIMTLQGQVVKSFSSGKKEGGDFAAACVSPKGEWIYCVGEDRNMYCFSYQTGKLEHLLTVHEKDVIGITHHPHRNLVATYAEDCTMKLWKS >EOY16769 pep chromosome:Theobroma_cacao_20110822:8:10872054:10872973:-1 gene:TCM_035641 transcript:EOY16769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Egg cell-secreted protein 1.1 [Source:Projected from Arabidopsis thaliana (AT1G76750) UniProtKB/Swiss-Prot;Acc:Q9SRD8] MADTFKLFSCLTVLLALTMAALSQARPLDTPKLSLVARLKLDEESPSCWESLIQLQACTGEVILFFLNGETYLGDACCHAIHTIGQKCWPNMLETLGYTTEEGDILQGYCDHETAKSPPSPTSSSVKSIKVVNPVKTLLP >EOY17256 pep chromosome:Theobroma_cacao_20110822:8:18712947:18714329:1 gene:TCM_036400 transcript:EOY17256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKRCHVACHEGISCLFLVFSKTIVGLLNFEPKNSYKHNKLLMTTSKLLLLIIYCFYLFYFFPHYLLFYFFFFLHWTQAIDRRLFFLYSLDASYQSSVLKGKSEKREKEKKRGKKGEKRRKEIKAIYNYR >EOY15428 pep chromosome:Theobroma_cacao_20110822:8:3232237:3234561:-1 gene:TCM_034491 transcript:EOY15428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein MDFTATLLFFALLISSTKALDPCAAQADNSGLSIIPIYGKCSPFNPPKPDSWVNTVINMAAKDPARVKYLSSLAAQKTTAVPIASGQQVLNIGNYVVRVKLGTPGQLMFMVLDTSNDAAWVPCSGCIGCSATTFSPNTSSSYGSLDCSLPQCSQVRGLSCPATGAAACSFNQSYGGDSSFSATLVQDSLGLANDILPNFAFGCINSISGGSVPPQGLLGLGRGPMSLLSQSGSLYSSVFSYCLPSFKSYYFSGSLKLGPVGQPKNIRTTPLLKNPHRPSLYYVNLTGVSVGRVRVPIAPEYIAFNPNTGAGTIIDSGTVVTRFVQPVYEAIRNEFVKHLKGPFSTIGVFDTCFDAAAESEAPLITLHLEGLSMTLPMENSFLHSSAGSRACLAMAPVPNNVNAALNVIANLQQQNHRILFDVANSRLGIAREVCN >EOY15728 pep chromosome:Theobroma_cacao_20110822:8:4406296:4415014:1 gene:TCM_034705 transcript:EOY15728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyoscyamine 6-dioxygenase, putative isoform 1 METQNQNGAEAPIKVSTLNCIDLSNPDIHHSVSLLKQACLDCGFFYVVNHGISQEFMEEVFAQSKNFFHLPLNEKKELLRNEKHRGYTPVLDELLDPDNQVHVGDYKEGYYIGVEVPEDDPDSEKPFYGPNVWPADGVLPGWRQTMEKFHYEALEVAKAIARVIALALDLEVDFFDRPEMLGKPIATLRLLHYEGQISDPSKGIYGAGAHSDYGLITLLATDDVMGLQICKDKDAKPRKWEYVAPIKGAFIINLGDMLERWSNCIFKSTLHRVLGNGQERYSVAYFVEPSHDCLVECLPTCKSEKNPPKFPPIRCGTYLTQRYKDTHAELSVYNKHHT >EOY15727 pep chromosome:Theobroma_cacao_20110822:8:4406296:4414830:1 gene:TCM_034705 transcript:EOY15727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyoscyamine 6-dioxygenase, putative isoform 1 METQNQNGAEAPIKVSTLNCIDLSNPDIHHSVSLLKQACLDCGFFYVVNHGISQEFMEEVFAQSKNFFHLPLNEKKELLRNEKHRGYTPVLDELLDPDNQVHGDYKEGYYIGVEVPEDDPDSEKPFYGPNVWPADGVLPGWRQTMEKFHYEALEVAKAIARVIALALDLEVDFFDRPEMLGKPIATLRLLHYEGQISDPSKGIYGAGAHSDYGLITLLATDDVMGLQICKDKDAKPRKWEYVAPIKGAFIINLGDMLERWSNCIFKSTLHRVLGNGQERYSVAYFVEPSHDCLVECLPTCKSEKNPPKFPPIRCGTYLTQRYKDTHAELSVYNKHHT >EOY15726 pep chromosome:Theobroma_cacao_20110822:8:4411177:4415015:1 gene:TCM_034705 transcript:EOY15726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyoscyamine 6-dioxygenase, putative isoform 1 METQNQNGAEAPIKVSTLNCIDLSNPDIHHSVSLLKQACLDCGFFYVVNHGISQEFMEEVFAQSKNFFHLPLNEKKELLRNEKHRGYTPVLDELLDPDNQVHGDYKEGYYIGVEVPEDDPDSEKPFYGPNVWPADGVLPGWRQTMEKFHYEALEVAKAIARVIALALDLEVDFFDRPEMLGKPIATLRLLHYEGQISDPSKGIYGAGAHSDYGLITLLATDDVMGLQICKDKDAKPRKWEYVAPIKGAFIINLGDMLERWSNCIFKSTLHRVLGNGQERYSVAYFVEPSHDCLVECLPTCKSEKNPPKFPPIRCGTYLTQRYKDTHAELSVYNKHHT >EOY14913 pep chromosome:Theobroma_cacao_20110822:8:1660036:1661100:-1 gene:TCM_034152 transcript:EOY14913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEERCENKGLYCSCLKPPKSEQIWHVRHTEKRYAQKAGLCNGLALVGVGLGVVDPRESLSAVYQHSD >EOY15094 pep chromosome:Theobroma_cacao_20110822:8:2222433:2225690:1 gene:TCM_034268 transcript:EOY15094 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL20J20.8-like protein MTSGSNIWLALWVVLVFCGTEASTGDVGVLLAPATPGNRAFPALLAFGDSILDTGNNDNVLTITKCNFPPYGRDFPGGKATGNGKVLSDVIVREGLGVKSALPAFLDPNLPSEELATGVCFASGGSGLDQLTAKLQNVISITDQLNFFKQHIQKLEGAVGPEKAKGTISDSLFLVSSGNNDIAITYFVLLRNLLLDIDLSTTQLDMHGLGARKFAYLSTLPLGSLPAAGKTVVGGLLRDCAASANQAAKMFNSKLEAELNNLNSNLTGAKIVVIDVFNPLLNVIQNANKFGFADASTGCCGTGMLEGYWNHQIGSIVRSSTGRKITLRSLDFEVDEAVALESQTIKHMIEDDCADNGSPLPNVTSKILSKVIEYCKKHVEAPKSDDRSASVEDELKS >EOY16657 pep chromosome:Theobroma_cacao_20110822:8:9263698:9264669:1 gene:TCM_035479 transcript:EOY16657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLPKTIELILMLLLVAVLLLVSSEVATALLDNAAYQLKLGRRALLSTYNTTGRGGYN >EOY17458 pep chromosome:Theobroma_cacao_20110822:8:20671862:20673002:1 gene:TCM_036638 transcript:EOY17458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METMILHCLHLVMENPITQDLTESFQLKDEYQYLKDDDADESHYIYIINAILSGTARLNVLLPTATILAFTIFAPLLTNDGQCTSLSRWVMGSFLVFLAASCVFFTFTDSFRTATGRLYYGIATFRGIWTFNAGRKKPCIPSDYKLRWSDIFHAWLSLVAFLAFAGSHSDVAACYYPGIPRKVTNTVPLVVGFVVSVLFVVFPSRRRGIGYPFMLLREARYSRV >EOY16262 pep chromosome:Theobroma_cacao_20110822:8:6457040:6461837:-1 gene:TCM_035096 transcript:EOY16262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basal transcription factor complex subunit-related isoform 1 MASAPSKLYADDVSLVVVLVDTNPFFWSASSLSFSQFLSHVLAFLNAILTLNQLNQVVVIATGYNSCNYIFDSSSDLNQSFENGRMPVMCSSLLQKLEEFLIKDEQLSKEVPEGRIKSSLLSGSLSMALCYIQRVFRSGALHPHPRILCLQGSPDGPEQYVAIMNAIFSAQRSMVPIDSCYMGAQNSAFLQQASYITGGVHHKPQHLDGLFQYLMTIFATDLHSRSFLHLPKPVGVDFRASKFYYLLNFGGSFILGNFCFILDIAQLPCFIYKQ >EOY16261 pep chromosome:Theobroma_cacao_20110822:8:6456620:6461438:-1 gene:TCM_035096 transcript:EOY16261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basal transcription factor complex subunit-related isoform 1 MASAPSKLYADDVSLVVVLVDTNPFFWSASSLSFSQFLSHVLAFLNAILTLNQLNQVVVIATGYNSCNYIFDSSSDLNQSFENGRMPVMCSSLLQKLEEFLIKDEQLSKEVPEGRIKSSLLSGSLSMALCYIQRVFRSGALHPHPRILCLQGSPDGPEQYVAIMNAIFSAQRSMVPIDSCYMGAQNSAFLQQASYITGGVHHKPQHLDGLFQYLMTIFATDLHSRSFLHLPKPVGVDFRASCFCHKNTIDMGYICSVCLSIFCKHHKKCSTCGSVFGQAQSEAASTSDKKRKTPET >EOY16620 pep chromosome:Theobroma_cacao_20110822:8:9007711:9010754:-1 gene:TCM_035440 transcript:EOY16620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 7 isoform 2 MVGSFEVEQYSLSKLRQFHERFLSYSNKIVKGHCKLWVSESISALVVIGLFLSFLLATVCTYFYVFPRYQPAAVRTYRVHESSNSVGKCNVFEGKWIPDESYPLYNASQCPFAEPGFNCLANGRRDRGYQKWRWKPKNCDIPKFNVQEILGKLRGKRIVFVGDSLSRTQWESMICLLVTGVEDKRNVYEINGNKITKRIRFLGVWFSSFNIRVDFYRSVFLVQPGPAPRRAPKRVKSTVRLDKLDDISKEWIDSDFLIFNSGHWWTPTKLFDMGCYFQSGGSLKLGMGITSAFRSSLKTWAAWVETSINRNRTRWSKP >EOY16619 pep chromosome:Theobroma_cacao_20110822:8:9007333:9010754:-1 gene:TCM_035440 transcript:EOY16619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 7 isoform 2 MVGSFEVEQYSLSKLRQFHERFLSYSNKIVKGHCKLWVSESISALVVIGLFLSFLLATVCTYFYVFPRYQPAAVRTYRVHESSNSVGKCNVFEGKWIPDESYPLYNASQCPFAEPGFNCLANGRRDRGYQKWRWKPKNCDIPKFNVQEILGKLRGKRIVFVGDSLSRTQWESMICLLVTGVEDKRNVYEINGNKITKRIRFLGVWFSSFNIRVDFYRSVFLVQPGPAPRRAPKRVKSTVRLDKLDDISKEWIDSDFLIFNSGHWWTPTKLFDMGCYFQSGGSLKLGMGITSAFRSSLKTWAAWVETSINRNRTRVFFRTFESSHWIGRNRNSCKVTRRPWLKTKGRDRSRISDIIIDVVKKMTTPVAVLHVTPMGAFRSDAHVGTWSDNPSVPDCSHWCLPGVPDTWNEILLSMMLSKNGLTA >EOY14765 pep chromosome:Theobroma_cacao_20110822:8:1212800:1213773:1 gene:TCM_034043 transcript:EOY14765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L27 family protein isoform 1 MFNIAKTFCKRVSVKELVTSAPVYGSITDGSSSGLSLMFKRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGMGKDHTLYALKEGCVKFETRKLSGRKWVHVEPKEGHVLHPVYVTAASEELKTAT >EOY14767 pep chromosome:Theobroma_cacao_20110822:8:1212776:1213855:1 gene:TCM_034043 transcript:EOY14767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L27 family protein isoform 1 MFNIAKTFCKRVSVKELVTSAPVYGSITDGSSSGLSLMFKRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGMGKDHTLYALKEGCVKFETRKLSGRKWVHVEPKEGHVLHPVYVTAASEELKTAT >EOY14766 pep chromosome:Theobroma_cacao_20110822:8:1212451:1213831:1 gene:TCM_034043 transcript:EOY14766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L27 family protein isoform 1 SRLASKTLKQPENCLIPRLINWDDSIVLHFNTDMFNIAKTFCKRVSVKELVTSAPVYGSITDGSSSGLSLMFKRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGMGKDHTLYALKEGCVKFETRKLSGRKWVHVEPKEGHVLHPVYVTAASEELKTAT >EOY14639 pep chromosome:Theobroma_cacao_20110822:8:818450:849930:1 gene:TCM_033953 transcript:EOY14639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 82A3, putative MDLFHPFPPIPVVIATVFAFPLFLFSLLWISKSVQNRDKKRAAPQAGSSWPVIGHLHLLGGPQPPHIVLGDMADKYGPIFTIKMGAYRALVVSNWETAKECLTTNDKAFASRPKTHAIDFLTYDHAMFGFASYGPYWRQMRKVATLELLSNYRLDMLKHVRQSEIKTSLKELYQHWSENKGSSDKVLLDMKAWFRDVTLNVMLRMIVGKRIPSSGSDAEGERWKDALQDFFDLSGKFVISDALPFLRWLDVGGDEKFMKKVRKELDEVAEGWLQDHKQKTASGNQESDQDFMDVMFSILSTDAGKHDAETINKANCLNLILGGFDTTTVTLTWALSLLLNNRDALKKAQEELDIHVGRDKLVEESDVKKLIYLQAIVKETLRLYPAGPLSVPHESTEDCTVSGYHIPAGTRLLINLYKIHRDPRVWSDPCEFQPERFLTTYKDFDVRGQNFELIPFGSGRRMCPGVSFALQVLELTLANLLQGFELGTPLDELVDMSEAIGMTNLKASPLEVLITPRLPAAQNFSSMLTKIHRDYKFQPQSEDCGLEWFEMSWHVGFEVMDFGEPSNEELSCWNLTPDGLRTRDVNLTRASKKALRADLEIRRLRLAMWTVSRDDRNGQRDLDNYKRDVSFVHLQSKSSKLERIISNAVINQKSLTNKVKALEVSGARPLLGHIHNLLEGPKPAHITLGDLVDKYGPIFTIKLGMKPALVISNSELAKECLTTNNEAFVNRLKSLAAEFFGYNYAMLRFSPYGSY >EOY16172 pep chromosome:Theobroma_cacao_20110822:8:6014655:6019332:1 gene:TCM_035017 transcript:EOY16172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead box protein G1, putative isoform 1 MAKVSKVQKSGSSHKKVSPFLLPSYPKKAGKGSRKKKCSKASEKKDWEAAKCSVCLEFPHNAVLLLCSSYDKGCRPYMCATSRRFSNCLEQYKKAYTKVTSVDNGLVDNASLDSGGGQPTEKMEVPELLCPLCRGQVKGWTVVEPVRKYLNQKKRACMQDKCLFVGTYKELRKHVRAKHPLARPRAVDPVLEEKWKKFENERERNDVISTIMSSTPGAVVLGDYVIEPGYRGIYRDEYDSDDSLDDGFLHLDSSARLPTRFMDYGLIEDDDFGMHQAFRAVAPVARTRPARLLGSVGLRRIPRVRGRNGSG >EOY16171 pep chromosome:Theobroma_cacao_20110822:8:6014655:6019332:1 gene:TCM_035017 transcript:EOY16171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead box protein G1, putative isoform 1 MAKVSKVQKSGSSHKKVSPFLLPSYPKKAGKGSRKKKCSKASEKKDWEAAKCSVCLEFPHNAVLLLCSSYDKGCRPYMCATSRRFSNCLEQYKKAYTKVTSVDNGLVDNASLDSGGGQPTEKMEVPELLCPLCRGQVKGWTVVEPVRKYLNQKKRACMQDKCLFVGTYKELRKHVRAKHPLARPRAVDPVLEEKWKKFENERERNDVISTIMSSTPGAVVLGDYVIEPGYRGIYRDEYDSDDSLDDGFLHLDSSARLPTRFMDYGLIEDDDFGMHQAFRAVAPVARTRPARLLGSVGLRRIPRVRGRNGSG >EOY16173 pep chromosome:Theobroma_cacao_20110822:8:6014832:6019376:1 gene:TCM_035017 transcript:EOY16173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead box protein G1, putative isoform 1 MAKVSKVQKSGSSHKKVSPFLLPSYPKKAGKGSRKKKCSKASEKKDWEAAKCSVCLEFPHNAVLLLCSSYDKGCRPYMCATSRRFSNCLEQYKKAYTKVTSVDNGLVDNASLDSGGGQPTEKMEVPELLCPLCRGQVKGWTVVEPVRKYLNQKKRACMQDKCLFVGTYKELRKHVRAKHPLARPRAVDPVLEEKWKKFENERERNDVISTIMSSTPGAVVLGDYVIEPGYRGIYRDEYDSDDSLDDGFLHLDSSARLPTRFMDYGLIEDDDFGMHQAFRAVAPVARTRPARLLGSVGLRRIPRVRGRNGSG >EOY15170 pep chromosome:Theobroma_cacao_20110822:8:2478903:2483541:1 gene:TCM_046889 transcript:EOY15170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein MILHASHAVLINLPRITGRLGNVGPNLLAKVSAGPKSCHYHNPFSKLRQPSVRSTARFMGSLSALKEPLDYPIARRDDSVVDDYHGVKVADPYRWLEDPDAEEVKEFVQKQVKLTESVLEKCEARDKLRDEITKLFDHPRYDVPFKQNNKYFYFHNTGLQAQNVLYVQDSLEGEAEVLLDPNTLSEDGTVSLSTPSVSEDAKYLAYALSSSGSDWVTIKVMRVEDKSAEPDTLSWVKFSGISWTHDSKGFFYSRYPAPKEGGNIDAGTETDSNLNHELYYHFLGADQSEDILCWRDPENPKHLIDGSVTDDGKYLLLSIGESCDPVNKLYYCDMSSLPEGLEGFRKKNGPLPFVKLIDQFDAQYQAIANDDTVFTFLTNKDAPKYKLVRVDLKEPSNWIDVIPEAEKDVLESAYAVNVNQMIVSYLSDVKYVLQIRDLKTGLLLHQLPIDIGSVYGISARRKDSVAFIGFTSFLTPGIVYQCNIGTEVPDMKIFREITVPGFDRSEYEVTQVFVQSKDGTKIPMFIVGKKNANLDGSHPCLLYGYGGFNISLTPTFSVSSIVLARHLGAFFCIANIRGGGEYGEEWHKAGALSNKQNCFDDFISAAEYLISAGYTQSKKLCIEGGSNGGLLIGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDYGCSDKEEEFNWLIKYSPLHNVRRPWEQHPGQPLQYPPTMLLTADHDDRVVPLHSLKLLATMQYVLCTSLEKSPQTNPIIGRIECKAGHGAGRPTQKMIDEAAERFGFMAKMLGASWVE >EOY16307 pep chromosome:Theobroma_cacao_20110822:8:6637956:6638751:-1 gene:TCM_035129 transcript:EOY16307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSTSASSFITFHPSNLETTLQIQSVVHPRDVLLSQQYGQNIVLSMLANTGLPLEFLQTVIVPDGLSFAWETDADPENLGCKIIKLLVELLVEETDDEGDDQVFDDSLSTLNFNPAS >EOY15092 pep chromosome:Theobroma_cacao_20110822:8:2205465:2210049:1 gene:TCM_034266 transcript:EOY15092 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 2 MQQGDYSSYYQYPHSNPNPNPNPNPNPSPTEVHQPPYASAPPFTSGYASSDYSVYPPNYPSYTQNLDPVPPTAPSYAPPLAASTPTTPTLTSQSSFNQQPIAPQSAAAAPSFPPYDSHVPYQTPTSQPPYYQPYDQHQTAPSYGPPPSNPSPTPNPPYYSAPYSQGSSVSSVPPAYDNPCDNSMKFDHSSVGYFDEKFGGGYGRSRSDLGSDLYGKRSDSYSRYGDDGVYGDGIYAYEGGKVDPYGARGTAPKSSTWVQFDDYGRSINFPSGKESSGGSVSVSGKIVRAVPKAETQQDVKSGVQKFRVKLLSEGGGQGPMDVLCQIGLDGIRMLDPSTSRTLRIYPLENITRCDVTDSSTFAFWSKSSVDFEPRRIRLQSNGYTTNTLLDTVTAATVQIKEMGGRSQAPESLKTTEQPAEKKRGFADWMNLMKPGMEEKDHWVPDEAVSKCTACGTDFGAFVRKHHCRNCGDIFCDKCTPGRIALTADENAQPVRVCDRCMAEVTQRLSNAKEAASKPAGIQSHEDLARKLQEEMEKNRRSASGSKSDGSGRRMKEVACPTCTVHLQVQVPSSGSETIECGVCQHPFLVSAH >EOY15091 pep chromosome:Theobroma_cacao_20110822:8:2205383:2210374:1 gene:TCM_034266 transcript:EOY15091 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 2 MQQGDYSSYYQYPHSNPNPNPNPNPNPSPTEVHQPPYASAPPFTSGYASSDYSVYPPNYPSYTQNLDPVPPTAPSYAPPLAASTPTTPTLTSQSSFNQQPIAPQSAAAAPSFPPYDSHVPYQTPTSQPPYYQPYDQHQTAPSYGPPPSNPSPTPNPPYYSAPYSQGSSVSSVPPAYDNPCDNSMKFDHSSVGYFDEKFGGGYGRSRSDLGSDLYGKRSDSYSRYGDDGVYGDGIYAYEGGKVDPYGARGTAPKSSTWVQFDDYGRSINFPSGKESSGGSVSVSGKIVRAVPKAETQQDVKSGVQKFRVKLLSEGGGQGPMDVLCQIGLDGIRMLDPSTSRTLRIYPLENITRCDVTDSSTFAFWSKSSVDFEPRRIRLQSNGYTTNTLLDTVTAATVQIKEMGGRSQAPESLKTTEQPAEKKRGFADWMNLMKPGMEEKDHWVPDEAVSKCTACGTDFGAFVRKHHCRNCGDIFCDKCTPGRIALTADENAQPVRVCDRCMAEVTQRLSNAKEAASKPAGIQSHEDLARKLQEEMEKNRRSASGSFLFPECIITMKEVTCIYICSKSDGSGRRMKEVACPTCTVHLQVQVPSSGSETIECGVCQHPFLVSAH >EOY16265 pep chromosome:Theobroma_cacao_20110822:8:6470813:6481667:1 gene:TCM_035100 transcript:EOY16265 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative MDESVEKKEPELVMVSGTNQRERRSVRRRLVQSTLFPHKSPEIELKVDQKANEGEDDHNDGEDEEFCGSQGKRKIRKRKQNVTPQKRASRKAKGKSPLKTTPKKNGMSNLMESEDGSPPPIPNLRLEAKLTAEENLRMFAGRQIHPFFASCKAGKRSQETAGVGSNGCLIDRSNKCINIGPIHVFDRTEDDVVLDWKDWTFFEKTSVEVGCTLEGLFTSVFKSCAGALCLDNFPGALHSSDTSVVQNKLSDQCIIHGNDLLGTSLAMPAVLVDEQLESYQLFKSSEGECQVDEIAALSKQTDNVENSELEQQSNLLQERFLPCYHGCIVRPDDSLWTDKYQPKKATEVCGNTESVKFMSEWLRLWHERSFQAIKASNNNDEGNIQEDDGNCCESDFDSENIDGEDRLKNVLLVTGPIGSGKSAAIHACAKEHGFKVLESNASDCRNGAVVKQKFGEALESRCFTGSIENPVGSLSKEVMKSSAPLSNGEAAQEFDDEVIELIPTSDEEESFGAHRASRQRVCNESEAGFAQAKVKPLILFEDVDISFPEDHGFVAAIQKIAEKAKGPVILTSNSNNLVLPDKLSRLELCFTMPSTKELLHHLHMVCAAEKATIQPYLLEQLINCCQGDIRKTIMHLQFWCQSKKYRKDRKLQKTYGLLLFDIEVGHLVLPTLIPWDFPSLLSELVEKEIAKTLSMMEENSTLMDVMEEELENSMPNRSEMHNNEIDNIEAKKEVMLSRNLSIEDCGFINPSYTAHEFYNSSGTPVSFSRRTRRRKLDVVMSSDSEDEHFNKQPSLVSDKNVNRELFIGDCGLLSHCPNMQKCISPLIDELLCSEAEKHEERGFQCSETAINLQTETCKSVDVSYVPESSFVPETEIVNGMELSSRTVFPETTEVSVSCEFTENLLPVEANDPGKSIHNLVKASDILDSTCNIIAQGSHEMVVENSENEYDEAVSRGHAVMDECSRMDFNKRSFSREKLKNQLATDLVQKSWKNLRDNHADLSHYVDSEPKDALKILKLSSRISDLISQADQLLSKCQMLDLLDPLMIPSENLDAFSWCDEQLQMVNTVSQHGFCLYAKDIDAIGSKMGVELRVDLSQEILVSSTSTMALGSWLGQGGRASRTSVDGKGLDMSPSKCELSMKRDVKSCLSNIIGSIVPSRSHLALKGAAFHEYISSLRCISRSEASRLSVGMNWTKRRRARGSWHYLSTGALMLSPEDISLLDQYNFYGKLSSKS >EOY16502 pep chromosome:Theobroma_cacao_20110822:8:7905185:7907623:1 gene:TCM_035293 transcript:EOY16502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDPGAKLPRSHVPLSDHMGRRLSDSYDSYDSRVQKTAQNYANCRSCNSMGGRFPAETSVMEDESGISTPPLWSTSPSRSPPHRQNINYRCLSPSSKAQAIARGQRELMEMVSRMPESCYELSLKDLVEHQPVVVEPKQESFAEGRGVINEDTYMKEKEMKKKKKQNNQKPQIIRSGSIDNGGFLLKMVFPISLRSKKKKKIKNDSATNHNSKVSPKPTVSDASAKNVDKEWWKKRSGSSESESGRSTINSGSTKSSGSSSSSSSCRSISNSSSRHGRSGCLAFISSMRSKASR >EOY16200 pep chromosome:Theobroma_cacao_20110822:8:6174082:6174747:1 gene:TCM_035042 transcript:EOY16200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHYYARGMLEWAGGNKEDSLNYLNRAGNILRITHGTNFPLVEELLINLAKEQEGGPSGF >EOY15207 pep chromosome:Theobroma_cacao_20110822:8:2576451:2579447:1 gene:TCM_034352 transcript:EOY15207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLYESVASPLKPKTKGLALILPLVQEWILRCIQALRMLTGEIWRANRLNEYGNQVLRPSSFLAQAIYTTLEIKMAKLSRLIGPQQQHVLHLDNIDEILVSSQLHWRKLLSLAFGRFGFNQLVNQE >EOY15189 pep chromosome:Theobroma_cacao_20110822:8:2524208:2528976:-1 gene:TCM_034338 transcript:EOY15189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramidase, putative MRKHLHLLSPFVALCTAFALIKEVDGEYLIGVGSYDMTGPAAGVNMMGYANMEQSTAGIHFRLRARTFVVAESSQGARFAFVNLDAGMASQLVTIKVLERLQERFGDLYTQENLAISGTHTHAGPAGYLQYVVYSVTSLGFIKQSFDAIVTAIEQSIIQAHTNLKPGSIFLNSGDVENAGINRSPSAYLFNPPEERARYPSNVDTTMTLLKFLDIESKNSIGAFSCFATHGTSMSRGNKLISGDNKGAAARFFEDWFSFGNKSLSTPITRSSASPDISKLIKKAQTIKATGGKPCGKATSEGFKVRKNDGSGFVGAFCQSNVGDVTPNVLGAFCTDTGKPCDFNRSSCNGNDQLCVGRGPGYPDEILSTKIIGERQFQKAVELFTSATNQLSGKIDYRHAYLNFTNIEVALDENTVVKTCPAALGPGFAAGTTDGPGAFGFQQSDTEINELWKQLRDLLKEPSQYQVDCQKPKAVLLSTGEMFRPYAWAPAILPIQMLRLGNFVILSVPGEFTTMAGRRLREAVKETLIRNGNGEFDDDTHVVIAGLTNTYSQYVATFEEYKQQRYEAASTLYGPHTLSAYIQEFKKLAVAMATGKKLGGTGLLPPDLSSVQLSLLLDPTGDSPPPGKRFGDMQQDVGQQKGGSFKKKDKPSATFWSANPRYDLLTEGTFAVVEMLQDGRWIPVYDDDDFCLYFKWKVDTSLYGLATIEWEVPEEAASGVYRFRHFGSSKKTRDYPNEHFTGASKAFAVA >EOY15843 pep chromosome:Theobroma_cacao_20110822:8:4826061:4827605:1 gene:TCM_034792 transcript:EOY15843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative MYEIVLKEETRKNSPMSLTLNLEPPGPKEASQTSGSAASATVYKQLAEANYQNYIQANPLLLLATTCAFLPFTNSPTIRNKLQSTQTHPHRERERERERERDQYIDAFRMNSNGDFIATTSTTASSNSQPKSVLASLRRKLSPRTKSCSEDARRCSSRSSNISEASSDLQMVFDFIDANGDGKISAEELQRCVRTAGGHLSMDEAELAVKSSDLDGDGMLGFHEFQELVEGGGSTEEERKKELREAFGMYVMEGSECITPASLRRMLRRLGESKSIKDCKAMIRAFDLNGDGVLSFDEFSVMMR >EOY16939 pep chromosome:Theobroma_cacao_20110822:8:15325826:15329045:1 gene:TCM_036027 transcript:EOY16939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 1 MQRLYYALCPWQLDNESKKMRGKAVSGKAIFVLCLASFLAGSLFTSRTWTAYTSHDKYHPTPPIQKHASNKLGEVARDFDRKRKLAEGKAEDIMGEVLKTHKAIQSLDKTISNLEMELAVARMSKTSAGGISLESKSNQTLQKAFVVIGINTAFSSRKRRDSVRETWMPRGEKLKKLEREKGIVIRFVIGHSATPGGVLDKALDREEAEHKDFLRLKHVEGYHQLSTKTRLYFSTAVAIWDAQFYVKVDDDVHLNLGMLASTLAQYRSKPRVYIGCMKSGPVLSRKGVKYHEPEYWKFGEDGNKYFRHATGQLYGISKDLAAYISINSPILHRYANEDVSLGSWLIGLEVEHVDDRSMCCGTPPDCEWKAQAGNICVASFDWSCSGVCNSVERMKYVHSSCGEGDGALWKVDL >EOY16938 pep chromosome:Theobroma_cacao_20110822:8:15324676:15328371:1 gene:TCM_036027 transcript:EOY16938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 1 MQRLYYALCPWQLDNESKKMRGKAVSGKAIFVLCLASFLAGSLFTSRTWTAYTSHDKYHPTPPIQKHASNKLGEVARDFDRKRKLAEGKAEDIMGEVLKTHKAIQSLDKTISNLEMELAVARMSKTSAGGISLESKSNQTLQKAFVVIGINTAFSSRKRRDSVRETWMPRGEKLKKLEREKGIVIRFVIGHSATPGGVLDKALDREEAEHKDFLRLKHVEGYHQLSTKTRLYFSTAVAIWDAQFYVKVDDDVHLNLGMLASTLAQYRSKPRVYIGCMKSGPVLSRKGVKYHEPEYWKFGEDGNKYFRHATGQLYGISKDLAAYISINSPILHRYANEDVSLGSWLIGLEVEHVDDRSMCCGTPPDCEWKAQAGNICVASFDWSCSGVCNSVERMKYVHSSCGEGDGALWKVDL >EOY16940 pep chromosome:Theobroma_cacao_20110822:8:15325826:15329045:1 gene:TCM_036027 transcript:EOY16940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 1 MQRLYYALCPWQLDNESKKMRGKAVSGKAIFVLCLASFLAGSLFTSRTWTAYTSHDKYHPTPPIQKHASNKLGEVARDFDRKRKLAEGKAEDIMGEVLKTHKAIQSLDKTISNLEMELAVARMSKTSAGGISLESKSNQTLQKAFVVIGINTAFSSRKRRDSVRETWMPRGEKLKKLEREKGIVIRFVIGHSATPGGVLDKALDREEAEHKDFLRLKHVEGYHQLSTKTRLYFSTAVAIWDAQFYVKVDDDVHLNLASTLAQYRSKPRVYIGCMKSGPVLSRKGVKYHEPEYWKFGEDGNKYFRHATGQLYGISKDLAAYISINSPILHRYANEDVSLGSWLIGLEVEHVDDRSMCCGTPPDCEWKAQAGNICVASFDWSCSGVCNSVERMKYVHSSCGEGDGALWKVDL >EOY14713 pep chromosome:Theobroma_cacao_20110822:8:1055418:1056003:-1 gene:TCM_034005 transcript:EOY14713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVHSDEVLVFYGSVLSLLDVVLVWIFSLREYLANVWLVRGENREREREKEVQEFGFGFTSEMVTVSISKLNDRRSKSEKGELRERKAAIIIAFAFTSEAFKL >EOY14668 pep chromosome:Theobroma_cacao_20110822:8:917813:921061:-1 gene:TCM_033973 transcript:EOY14668 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 2 MSIVTKQREPLRLPIKTEAELDKISNLPGHVIDQILSHLPIRDAVRTSVLSRKWRYKWATIPYLVFDNQCLNVSSQDQTFIKNKLVNIIDHVLLLHSGPLHKFKLSHRDLLGVTDIDRWILCLSRSSIKEFILEIWKGQRYKPPSCLFNCQNLIHLELFNCLLKPPLTFKGFKNLRSLDLQHITIIQNVFEHLISSCPLLERLTLMNFTGVTHLNIDAPNLQFFDIGGIFDDVSFQNTVHLSLVSIGLYVNIDDEDNDAEENSSKLLRFFANLPHIRRLEVQSYFLKYLAIGNVPSRLPNPCVDLNYLSIRIDFDDLEENIAAQCLLRSCPNLQELEMLVSFVYLLT >EOY14667 pep chromosome:Theobroma_cacao_20110822:8:917053:921122:-1 gene:TCM_033973 transcript:EOY14667 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 2 MSIVTKQREPLRLPIKTEAELDKISNLPGHVIDQILSHLPIRDAVRTSVLSRKWRYKWATIPYLVFDNQCLNVSSQDQTFIKNKLVNIIDHVLLLHSGPLHKFKLSHRDLLGVTDIDRWILCLSRSSIKEFILEIWKGQRYKPPSCLFNCQNLIHLELFNCLLKPPLTFKGFKNLRSLDLQHITIIQNVFEHLISSCPLLERLTLMNFTGVTHLNIDAPNLQFFDIGGIFDDVSFQNTVHLSLVSIGLYVNIDDEDNDAEENSSKLLRFFANLPHIRRLEVQSYFLKYLAIGNVPSRLPNPCVDLNYLSIRIDFDDLEENIAAQCLLRSCPNLQELEMLARPEEQNTVERSSNFWEDDHWSSLFAHLRLVKVSGISGVKSEMDFIKFLLSNSPVLERLTVKPASQDGEWELMKELLRFRRASIYAEVIYLDP >EOY15333 pep chromosome:Theobroma_cacao_20110822:8:2917530:2924440:1 gene:TCM_034428 transcript:EOY15333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative MARRHGWELPAHTFQVVAITVFFLLSVAYYAFFAPFLGKDIYEYVAISVYSVLALSVLILYVRCTAIDPADPGILLEADKTSAYKSHNEMDLPGNSSSIEEPSKIGLKYGRQSDRHGSTWCSKLGGFFCGCLVRGDCRKDEDLLQQQNGEEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFVCLMAASLVWLIVEFGVGIAVLVRCFVDRKGTESEISEKLGVGFSRPPFATVVALCTVVSFLATVPLGELFFFHIILIRKGITTYEYVVAMRTQSEPPGQSADGGDQQSMPSSPTSSAVTAISGRSSLGMSLQYKGAWCTPPRIFMDHQDEIIPHLEPGRLPSTVDPDAVHSLDKGKKLPQRPVRISAWKLAKLDSNEAVKAAAKARASSSVLRPIGSRHHSYSVDQPSSSNVSGRSSPISTDLGFQSKNSRAGALSSYPPSRASREDAESCGHSVGNVSSPHLSSITPSLAQQDSNRQHFNPMYQMSANQSPLSAKQSEENENAARENAAQENAAQENAAGYPFRKSLSATESTRTSVFWDPEAGRFVSSSSRSAGSLQVPGTELLYTGQSIFFGGPLMKEQLNRGTRSGSSLSVGLDRGSTSSHYQQGRSQRGGQLPVFVPSDSQQKQFSSRLP >EOY17185 pep chromosome:Theobroma_cacao_20110822:8:18220740:18222225:1 gene:TCM_036338 transcript:EOY17185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRVFLACCHQLLLREKPSSFTNADESIRVFASLGIDLQKLQVSLSENSLSLQWCRDVVNLLRKMHFHLLCFVQKSEPTMLWEGVNCLEEYMEESLILLDFCNCLKSAISGMNRYCLLIDVAANKLHDEKSLSSASSSKNEIERLERESKNLYGIEIKGKDLNLFSQEICKTKSRDVNVRVICAVKGTMSVLCLLLFSSIFYPVSIKVDDGLYSNFPQLKLFSVSLRKLVCSFFEGLDGDNKDYTRPVLVENKMVESRVLNIKDQASRGIAIDEKNYLKSIDSLKNKSVVLKEGLERFESAVTELFKEVVKGRKNVLGMVTAA >EOY16952 pep chromosome:Theobroma_cacao_20110822:8:15345040:15349227:-1 gene:TCM_036030 transcript:EOY16952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 9 domain isoform 1 METTHSLPFDSFLDKMRNPASLDLVRSIKSFIVSFSFNAGNPENDGKRIQDFFLTTEAAIRDHPLWAGSTDEEIDNALEGLEKYVMTKLHSRTFASSAEDIKMDREISEKICLLQTFLRPEHLDIPVVLQNEASWLLAEKELKKINAFKAPREKLLCIMNCCRVINNLLLNASISEDHVPGGADDFLPVLIYVTIKANPPQLHSNLKFIQLYRRQSKLISEAAYYHTNLVSAKSFIVDLNAKSLSIEETEFEESMQAARLVNRVPQITSPTIDKISTFGKQTDPGPSTEMHKRKTNINVH >EOY16951 pep chromosome:Theobroma_cacao_20110822:8:15343645:15349227:-1 gene:TCM_036030 transcript:EOY16951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 9 domain isoform 1 METTHSLPFDSFLDKMRNPASLDLVRSIKSFIVSFSFNAGNPENDGKRIQDFFLTTEAAIRDHPLWAGSTDEEIDNALEGLEKYVMTKLHSRTFASSAEDIKMDREISEKICLLQTFLRPEHLDIPVVLQNEASWLLAEKELKKINAFKAPREKLLCIMNCCRVINNLLLNASISEDHVPGGADDFLPVLIYVTIKANPPQLHSNLKFIQLYRRQSKLISEAAYYHTNLVSAKSFIVDLNAKSLSIEETEFEESMQAARLVNRVPQITSPTIDKISTFGKQTDPGPSTEMHKRKTNINDHHIAILGSAGSNYPYMEAEAGELTVADVERLLGLYKDVVTKYNSLCNTVRHLSISKTTVSPASEGTNDLLRGRNGTSRDTDCKGD >EOY16950 pep chromosome:Theobroma_cacao_20110822:8:15341593:15349227:-1 gene:TCM_036030 transcript:EOY16950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 9 domain isoform 1 METTHSLPFDSFLDKMRNPASLDLVRSIKSFIVSFSFNAGNPENDGKRIQDFFLTTEAAIRDHPLWAGSTDEEIDNALEGLEKYVMTKLHSRTFASSAEDIKMDREISEKICLLQTFLRPEHLDIPVVLQNEASWLLAEKELKKINAFKAPREKLLCIMNCCRVINNLLLNASISEDHVPGGADDFLPVLIYVTIKANPPQLHSNLKFIQLYRRQSKLISEAAYYHTNLVSAKSFIVDLNAKSLSIEETEFEESMQAARLVNRVPQITSPTIDKISTFGKQTDPGPSTEMHKRKTNINDHHIAILGSAGSNYPYMEAEAGELTVADVERLLGLYKDVVTKYNSLCNTVRHLSISKTTVSPASEGTNDLLRGRNGTSRDTDCKGD >EOY15637 pep chromosome:Theobroma_cacao_20110822:8:4061890:4068284:-1 gene:TCM_034636 transcript:EOY15637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipases,hydrolases, acting on ester bonds isoform 1 MEYKSITKMRLAHITGSPPNPSHFTVLVRGIPWSPDHSYSDAVEKFFSTYYPASYVSHQMVYRAGTVEKLMKDAEKMYRMLKTVEPHGKQGSMPCCLCGGTTHSFKMLSHEAESVKGKTSVDELQSTQREKERPAAFVFFRTRYAAVVAAQVLQSPNPMLWVTQLAPEPHDVYWSNLSIPYKQVWLRKIATLLGAIVFMFMFLVPVTFVQGLTQLDQLSHTFPFLKGILKEKLMNQLVTGYLPSVILILFLYAVPPTMMLFSTMEGNVSRSERKRSTCIKVLYFTIWNVFFVNVLSGSIIRQLSVFSSVRDIPTQLAKAVPTQATFFTTYVLSSGWASLSCEVIQLFALLCNAFRKFILRSKEEPSNCTLTFPHHTEIPRLLLFGLLGFTCSVMAPLILPFVLVYFFLAFLVYRNQILHVYVSKYECGGQFWPIVHNTTIFSLVLTQIIALGVFGIKQSPVASGFTIPLIFFTLLFNEYCRQRFSPVFKSSPAQVFS >EOY15635 pep chromosome:Theobroma_cacao_20110822:8:4061918:4069656:-1 gene:TCM_034636 transcript:EOY15635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipases,hydrolases, acting on ester bonds isoform 1 MDIGALLTSAGINIAICVVLLSLYSILRKQPSNVSVYFTRRFVSEPVKRSDPFCLERFVPSASWIMRAWQATDEEILAVGGVDAVVFMRIVVFSIRIFSIAAMICIFLVLPVNYYGQEMQHKRIHAESLEVFTIGNVKEGSKWLWTHCLALYVISCSACVLLYFEYKSITKMRLAHITGSPPNPSHFTVLVRGIPWSPDHSYSDAVEKFFSTYYPASYVSHQMVYRAGTVEKLMKDAEKMYRMLKTVEPHGKQGSMPCCLCGGTTHSFKMLSHEAESVKGKTSVDELQSTQREKERPAAFVFFRTRYAAVVAAQVLQSPNPMLWVTQLAPEPHDVYWSNLSIPYKQVWLRKIATLLGAIVFMFMFLVPVTFVQGLTQLDQLSHTFPFLKGILKEKLMNQLVTGYLPSVILILFLYAVPPTMMLFSTMEGNVSRSERKRSTCIKVLYFTIWNVFFVNVLSGSIIRQLSVFSSVRDIPTQLAKAVPTQATFFTTYVLSSGWASLSCEVIQLFALLCNAFRKFILRSKEEPSNCTLTFPHHTEIPRLLLFGLLGFTCSVMAPLILPFVLVYFFLAFLVYRNQILHVYVSKYECGGQFWPIVHNTTIFSLVLTQIIALGVFGIKQSPVASGFTIPLIFFTLLFNEYCRQRFSPVFKSSPAQVLIEMDRQDEQWGRTEEIYSRLRSAYCQFPLITHDVPTVGNSHQEDQESSQDPESLKPGNQLVKLNE >EOY15636 pep chromosome:Theobroma_cacao_20110822:8:4062463:4069341:-1 gene:TCM_034636 transcript:EOY15636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipases,hydrolases, acting on ester bonds isoform 1 MDIGALLTSAGINIAICVVLLSLYSILRKQPSNVSVYFTRRFVSEPVKRSDPFCLERFVPSASWIMRAWQATDEEILAVGGVDAVVFMRIVVFSIRIFSIAAMICIFLVLPVNYYGQEMQHKRIHAESLEVFTIGNVKEGSKWLWTHCLALYVISCSACVLLYFEYKSITKMRLAHITGSPPNPSHFTVLVRGIPWSPDHSYSDAVEKFFSTYYPASYVSHQMVYRAGTVEKLMKDAEKMYRMLKTVEPHGKQGSMPCCLCGGTTHSFKMLSHEAESVKGKTSVDELQSTQREKERPAAFVFFRTRYAAVVAAQVLQSPNPMLWVTQLAPEPHDVYWSNLSIPYKQVWLRKIATLLGAIVFMFMFLVPVTFVQGLTQLDQLSHTFPFLKGILKEKLMNQLVTGYLPSVILILFLYAVPPTMMLFSTMEGNVSRSERKRSTCIKVLYFTIWNVFFVNVLSGSIIRQLSVFSSVRDIPTQLAKAVPTQATFFTTYVLSSGWASLSCEVIQLFALLCNAFRKFILRSKEEPSNCTLTFPHHTEIPRLLLFGLLGFTCSVMAPLILPFVLVYFFLAFLVYRNQILHVYVSKYECGGQFWPIVHNTTIFSLVLTQIIALGVFGIKQSPVASGFTIPLIFFTLLFNEYCRQRFSPVFKSSPAQVSIRNLSI >EOY14360 pep chromosome:Theobroma_cacao_20110822:8:56531:57231:-1 gene:TCM_033757 transcript:EOY14360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIWKPIKHSYPEMLYFMSPFFLFKRANHHLNLCILLLMILVFYIVILCPLLIFLLILVILLLFKILPPVFLLIFQHLFPPIQQLILNLKPTMTLSLLILFQTVTLKFLLQIKILLLGKVLESLNDPNTLKLMIVNYLLMLTVSQTIPSPNTYHHSNSHIPIKPLPPS >EOY17188 pep chromosome:Theobroma_cacao_20110822:8:18246901:18255496:-1 gene:TCM_036343 transcript:EOY17188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MAQYRQQYHYGNGTTSDHVAIGVRGGGGGGGAGNKAARWRRSGRGDKNRRISIGFLIVVLSLVLVVTVLVYYYISADNNDNSEELNSYHPKDVDSKVDSDFLTNVTRMDSSKVLSFGRSSIAHGRDSRYWDRDDRRRDDDYNEDVVEHNIMDSSDESLDGGHVPVKVKNEKKEASLDPNKDLDRRAVGLYNEAGRNELKRYEKEYELSLKDGGKLQKELENSRRLSDSKDFGLHDEVDADDHYNDGFDSSDSQTEDYDDFGHDKEDNVDEAKSHDEHVKEFSTFSKTKERHVVKEGKEESMLSREASGDFGDVDANSQHVGSLGRKGAKSSRADSKRKPRRRKFSGSCEMKLLNSTHLVEPLESRKFARFSLQYKQMEENSEGEEQWVPTFAGHQSLQEREESFLAHDQKINCGFVKGPQGYPSTGFDLAEDDVNYISRCHIAVISCIFGNSDRLRTPAGKMVTRLSRKNVCFVMFVDEVTMQTLFSEGQSPDGGFIGLWKIVVVKNLPYADMRRVGKIPKLLPHRLFPSARYSIWLDSKLRLQRDPLQLLDYFLWRKGHEYAISNHYDRHCVWEEVAQNKKLNKYNHTVIDEQFEFYQADGLKKFNSSDPNKLLPSNVPEGSFIVRAHTPMSNLFSCLWFNEVERFTPRDQLSFAYTYQKLRRMNPDKPFYLNMFKDCERRAIAKLFRHRSEEKRNVQQQAML >EOY17186 pep chromosome:Theobroma_cacao_20110822:8:18243980:18255477:-1 gene:TCM_036343 transcript:EOY17186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MAQYRQQYHYGNGTTSDHVAIGVRGGGGGGGAGNKAARWRRSGRGDKNRRISIGFLIVVLSLVLVVTVLVYYYISADNNDNSEELNSYHPKDVDSKVDSDFLTNVTRMDSSKVLSFGRSSIAHGRDSRYWDRDDRRRDDDYNEDVVEHNIMDSSDESLDGGHVPVKVKNEKKEASLDPNKDLDRRAVGLYNEAGRNELKRYEKEYELSLKDGGKLQKELENSRRLSDSKDFGLHDEVDADDHYNDGFDSSDSQTEDYDDFGHDKEDNVDEAKSHDEHVKEFSTFSKTKERHVVKEGKEESMLSREASGDFGDVDANSQHVGSLGRKGAKSSRADSKRKPRRRKFSGSCEMKLLNSTHLVEPLESRKFARFSLQYKQMEENSEGEEQWVPTFAGHQSLQEREESFLAHDQKINCGFVKGPQGYPSTGFDLAEDDVNYISRCHIAVISCIFGNSDRLRTPAGKMVTRLSRKNVCFVMFVDEVTMQTLFSEGQSPDGGFIGLWKIVVVKNLPYADMRRVGKIPKLLPHRLFPSARYSIWLDSKLRLQRDPLQLLDYFLWRKGHEYAISNHYDRHCVWEEVAQNKKLNKYNHTVIDEQFEFYQADGLKKFNSSDPNKLLPSNVPEGSFIVRAHTPMSNLFSCLWFNEVERFTPRDQLSFAYTYQKLRRMNPDKPFYLNMFKDCERRAIAKLFRHRSEEKRNVQQQAIKKKEPIGIPSSALCALLREYDFGAPFRCSLREPDRGLGAPLESSIRAPVLSRSTNLVLSMGDQSVLGTPSVDLRERKQ >EOY17187 pep chromosome:Theobroma_cacao_20110822:8:18246901:18255499:-1 gene:TCM_036343 transcript:EOY17187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MAQYRQQYHYGNGTTSDHVAIGVRGGGGGGGAGNKAARWRRSGRGDKNRRISIGFLIVVLSLVLVVTVLVYYYISADNNDNSEELNSYHPKDVDSKVDSDFLTNVTRMDSSKVLSFGRSSIAHGRDSRYWDRDDRRRDDDYNEDVVEHNIMDSSDESLDGGHVPVKVKNEKKEASLDPNKDLDRRAVGLYNEAGRNELKRYEKEYELSLKDGGKLQKELENSRRLSDSKDFGLHDEVDADDHYNDGFDSSDSQTEDYDDFGHDKEDNVDEAKSHDEHVKEFSTFSKTKERHVVKEGKEESMLSREASGDFGDVDANSQHVGSLGRKGAKSSRADSKRKPRRRKFSGSCEMKLLNSTHLVEPLESRKFARFSLQYKQMEENSEGEEQWVPTFAGHQSLQEREESFLAHDQKINCGFVKGPQGYPSTGFDLAEDDVNYISRCHIAVISCIFGNSDRLRTPAGKMVTRLSRKNVCFVMFVDEVTMQTLFSEGQSPDGGFIGLWKIVVVKNLPYADMRRVGKIPKLLPHRLFPSARYSIWLDSKLRLQRDPLQLLDYFLWRKGHEYAISNHYDRHCVWEEVAQNKKLNKYNHTVIDEQFEFYQADGLKKFNSSDPNKLLPSNVPEGSFIVRAHTPMSNLFSCLWFNEVERFTPRDQLSFAYTYQKLRRMNPDKPFYLNMFKDCERRAIAKLFRHRSEEKRNVQQQAML >EOY17189 pep chromosome:Theobroma_cacao_20110822:8:18246966:18254506:-1 gene:TCM_036343 transcript:EOY17189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MDSSKVLSFGRSSIAHGRDSRYWDRDDRRRDDDYNEDVVEHNIMDSSDESLDGGHVPVKVKNEKKEASLDPNKDLDRRAVGLYNEAGRNELKRYEKEYELSLKDGGKLQKELENSRRLSDSKDFGLHDEVDADDHYNDGFDSSDSQTEDYDDFGHDKEDNVDEAKSHDEHVKEFSTFSKTKERHVVKEGKEESMLSREASGDFGDVDANSQHVGSLGRKGAKSSRADSKRKPRRRKFSGSCEMKLLNSTHLVEPLESRKFARFSLQYKQMEENSEGEEQWVPTFAGHQSLQEREESFLAHDQKINCGFVKGPQGYPSTGFDLAEDDVNYISRCHIAVISCIFGNSDRLRTPAGKMVTRLSRKNVCFVMFVDEVTMQTLFSEGQSPDGGFIGLWKIVVVKNLPYADMRRVGKIPKLLPHRLFPSARYSIWLDSKLRLQRDPLQLLDYFLWRKGHEYAISNHYDRHCVWEEVAQNKKLNKYNHTVIDEQFEFYQADGLKKFNSSDPNKLLPSNVPEGSFIVRAHTPMSNLFSCLWFNEVERFTPRDQLSFAYTYQKLRRMNPDKPFYLNMFKDCERRAIAKLFRHRSEEKRNVQQQAML >EOY17191 pep chromosome:Theobroma_cacao_20110822:8:18247047:18255496:-1 gene:TCM_036343 transcript:EOY17191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MAQYRQQYHYGNGTTSDHVAIGVRGGGGGGGAGNKAARWRRSGRGDKNRRISIGFLIVVLSLVLVVTVLVYYYISADNNDNSEELNSYHPKDVDSKVDSDFLTNVTRMDSSKVLSFGRSSIAHGRDSRYWDRDDRRRDDDYNEDVVEHNIMDSSDESLDGGHVPVKVKNEKKEASLDPNKDLDRRAVGLYNEAGRNELKRYEKEYELSLKDGGKLQKELENSRRLSDSKDFGLHDEVDADDHYNDGFDSSDSQTEDYDDFGHDKEDNVDEAKSHDEHVKEFSTFSKTKERHVVKEGKEESMLSREASGDFGDVDANSQHVGSLGRKGAKSSRADSKRKPRRRKFSGSCEMKLLNSTHLVEPLESRKFARFSLQYKQMEENSEGEEQWVPTFAGHQSLQEREESFLAHDQKINCGFVKGPQGYPSTGFDLAEDDVNYISRCHIAVISCIFGNSDRLRTPAGKMVTRLSRKNVCFVMFVDEVTMQTLFSEGQSPDGGFIGLWKIVVVKNLPYADMRRVGKIPKLLPHRLFPSARYSIWLDSKLRLQRDPLQLLDYFLWRKGHEYAISNHYDRHCVWEEVAQNKKLNKYNHTVIDEQFEFYQADGLKKFNSSDPNKLLPSSKFVKDTHSSILRCLESHASTQMHICLKVLWQFELPILFVMFAMHVCRCA >EOY17190 pep chromosome:Theobroma_cacao_20110822:8:18247870:18255368:-1 gene:TCM_036343 transcript:EOY17190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MAQYRQQYHYGNGTTSDHVAIGVRGGGGGGGAGNKAARWRRSGRGDKNRRISIGFLIVVLSLVLVVTVLVYYYISADNNDNSEELNSYHPKDVDSKVDSDFLTNVTRMDSSKVLSFGRSSIAHGRDSRYWDRDDRRRDDDYNEDVVEHNIMDSSDESLDGGHVPVKVKNEKKEASLDPNKDLDRRAVGLYNEAGRNELKRYEKEYELSLKDGGKLQKELENSRRLSDSKDFGLHDEVDADDHYNDGFDSSDSQTEDYDDFGHDKEDNVDEAKSHDEHVKEFSTFSKTKERHVVKEGKEESMLSREASGDFGDVDANSQHVGSLGRKGAKSSRADSKRKPRRRKFSGSCEMKLLNSTHLVEPLESRKFARFSLQYKQMEENSEGEEQWVPTFAGHQSLQEREESFLAHDQKINCGFVKGPQGYPSTGFDLAEDDVNYISRCHIAVISCIFGNSDRLRTPAGKMVTRLSRKNVCFVMFVDEVTMQTLFSEGQSPDGGFIGLWKIVVVKNLPYADMRRVGKIPKLLPHRLFPSARYSIWLDSKLRLQRDPLQLLDYFLWRKGHEYAISNHYDRHCVWEEVAQNKKLNKYNHTVIDEQFEFYQADGLKKFNSSDPNKLLPSSKFVKDTHSSILRCLESHASTQMHICLKVLWQFELPILFVMFAMHVCRCA >EOY15704 pep chromosome:Theobroma_cacao_20110822:8:4336297:4338039:-1 gene:TCM_034690 transcript:EOY15704 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein MAFAGTTQKCMACDKTVYLVDKLTADNRVYHEACFRCHHCKGTLKLGNYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKIAKPEKQADAEKPAATKASGMFAGTRDKCVGCKNTVYPTERVTVNGTPYHKSCFKCTHGGCVISPSNYVAHEGRLYCKHHHGQLIKEKGNLSQLEGEREKERVNATEVAAEF >EOY16647 pep chromosome:Theobroma_cacao_20110822:8:9177742:9183352:1 gene:TCM_035468 transcript:EOY16647 gene_biotype:protein_coding transcript_biotype:protein_coding description:V(D)J recombination-activating protein 2 isoform 3 MFSFRTKTRLPLSCTQDDSFNFYILFSVSLASLPLEQISITKKKKAMAVAVGGAVSATTTGVRLLSVSRSLASIQASSFVTALSSSKLGLWPVRIRNNEPDRKKLFVIRAARAESEGVSLGFRAPNFQLPEPLTGKIWTLEDFESYPALLVMFVCNHCPFVKHLKKDIVKLSNFYMKKGLAVVAISSNSVATHPQDGPQFMAEEAKLYNYPFPYLYDETQDVARDFGAVCTPEFFLFKKAGRRPFELVYHGQFDDSRPSNNVPVTGRDLSLAIDRVLSGQPVPSFQKPSVGCSIKWHPLKSS >EOY16649 pep chromosome:Theobroma_cacao_20110822:8:9177742:9183518:1 gene:TCM_035468 transcript:EOY16649 gene_biotype:protein_coding transcript_biotype:protein_coding description:V(D)J recombination-activating protein 2 isoform 3 MFSFRTKTRLPLSCTQDDSFNFYILFSVSLASLPLEQISITKKKKAMAVAVGGAVSATTTGVRLLSVSRSLASIQASSFVTALSSSKLGLWPVRIRNNEPDRKKLFVIRAARAESEGVSLGFRAPNFQVMFVCNHCPFVKHLKKDIVKLSNFYMKKGLAVVAISSNSVATHPQDGPQFMAEEAKLYNYPFPYLYDETQDVARDFGAVCTPEFFLFKKAGRRPFELVYHGQFDDSRPSNNVPVTGRDLSLAIDRVLSGQPVPSFQKPSVGCSIKWHPLKSS >EOY16648 pep chromosome:Theobroma_cacao_20110822:8:9177742:9183518:1 gene:TCM_035468 transcript:EOY16648 gene_biotype:protein_coding transcript_biotype:protein_coding description:V(D)J recombination-activating protein 2 isoform 3 MFSFRTKTRLPLSCTQDDSFNFYILFSVSLASLPLEQISITKKKKAMAVAVGGAVSATTTGVRLLSVSRSLASIQASSFVTALSSSKLGLWPVRIRNNEPDRKKLFVIRAARAESEGVSLGFRAPNFQLPEPLTGKIWTLEDFESYPALLVMFVCNHCPFVKHLKKDIVKLSNFYMKKGLAVVAISSNSVATHPQDGPQFMAEEAKLYNYPFPYLYDETQDVARDFGAVCTPEFFLFKKAGRRPFELVYHGQFDDSRPSNNVPVTGRDLSLAIDRVLSGQPVPSFQKPRCALPLVLPFFFNFIF >EOY16732 pep chromosome:Theobroma_cacao_20110822:8:10695378:10698925:1 gene:TCM_035613 transcript:EOY16732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQFLIKPFLKSLTLNQIKITKLAPKARHLKSKGFKCDLSSIRSDPQPCRKREGTGYLALGSVDAYGHLIVSKLDASGKRNKDIERLLWFLFSPEPSLTTTIPTTSTTATALTFLFIHIYIHTYTHIYEWWCFKALEELPLAQKNGG >EOY17206 pep chromosome:Theobroma_cacao_20110822:8:18359890:18370142:1 gene:TCM_036359 transcript:EOY17206 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein isoform 1 MGSKNKKKHIDETPSSSSSPSTSDYSESSPERCHGHRKDWRRKDGSSRREKERKREKRKRKEKERERKRRKLRREDRKKKKRDHRSDSESQLGPDCGSGSDSEGDRGRIEPQVVVEEMLKEFPNVGNDLKQLLQMIDDGQAVDIKGISERSLNTHLKKLFLSLNLKENGDRVFLLSSNARPTLDVVGHLIQTHTEPEEQQPKSSVSAKDAPSIPEHAECSQVMDENNLDRDDSATPKRRVIGPEMPSAELLAAAAKLTEAQAELREAELEEDNELFIGPPPPALVAEAESANEAERFEEVTRIMGVEADCPYDVIGANRNMSADNIKKKYWKLSLLVHPDKCTHPQAHQAFIILNKAFKELQDPDKRKAMDEKIKLKEEQEEFKAELRAMREAAQWRRLQGISMEGDDELLAEVDVKVPPKRDEWMTTLPPERKPGVTMQSTRFSKSSKEGRGDTSGWIDTPMERAQKAKIYLEAYNEAA >EOY17207 pep chromosome:Theobroma_cacao_20110822:8:18360863:18369551:1 gene:TCM_036359 transcript:EOY17207 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein isoform 1 MGSKNKKKHIDETPSSSSSPSTSDYSESSPERCHGHRKDWRRKDGSSRREKERKREKRKRKEKERERKRRKLRREDRKKKKRDHRSDSESQLGPDCGSGSDSEGDRGRIEPQVVVEEMLKEFPNVGNDLKQLLQMIDDGQAVDIKGISERSLNTHLKKLFLSLNLKENGDRVFLLSSNARPTLDVVGHLIQTHTEPEEQQPKSSVSAKDAPSIPEHAECSQVMDENNLDRDDSATPKRRVIGPEMPSAELLAAAAKLTEAQAELREAELEEDNELFIGPPPPALVAEAESANEAERFEEVTRIMGVEADCPYDVIGANRNMSADNIKKKYWKLSLLVHPDKCTHPQAHQAFIILNKAFKELQDPDKRKAMDEKIKLKEEQEEFKAELRAMREAAQWRRLQGISMEGDDELLAEVDVKVPPKRDEWMTTLPPERKVRHFNLQFST >EOY17205 pep chromosome:Theobroma_cacao_20110822:8:18359818:18370916:1 gene:TCM_036359 transcript:EOY17205 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein isoform 1 MGSKNKKKHIDETPSSSSSPSTSDYSESSPERCHGHRKDWRRKDGSSRREKERKREKRKRKEKERERKRRKLRREDRKKKKRDHRSDSESQLGPDCGSGSDSEGDRGRIEPQVVVEEMLKEFPNVGNDLKQLLQMIDDGQAVDIKGISERSLNTHLKKLFLSLNLKENGDRVFLLSSNARPTLDVVGHLIQTHTEPEEQQPKSSVSAKDAPSIPEHAECSQVMDENNLDRDDSATPKRRVIGPEMPSAELLAAAAKLTEAQAELREAELEEDNELFIGPPPPALVAEAESANEAERFEEVTRIMGVEADCPYDVIGANRNMSADNIKKKYWKLSLLVHPDKCTHPQAHQAFIILNKAFKELQDPDKRKAMDEKIKLKEEQEEFKAELRAMREAAQWRRLQGISMEGDDELLAEVDVKVPPKRDEWMTTLPPERKPGVTMQSTRFSKSSKEGRGDTSGWIDTPMERAQKAKMHYLEAYNEAAALASNEDDKKRTNSDADLVDIYNKEKRSKSLVQKHQEETAKCPKKKSKQQAEKAEWEGKHPWKPWDREKDLVAGRQNVKLDTDNMAKGLTSRFSSGTFQRNFL >EOY14524 pep chromosome:Theobroma_cacao_20110822:8:519633:521181:1 gene:TCM_033879 transcript:EOY14524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSWVKIFLVQQARLMIPRRHLLSDQVNKTNSKGLQISGRNLNGEKALSPGAESLSPEISSLQLLPMTPNFQTGSSLWESVNPSFSVNIK >EOY17269 pep chromosome:Theobroma_cacao_20110822:8:18862589:18869372:-1 gene:TCM_036418 transcript:EOY17269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTSSALASQSSKGTKRKWNFHEDVALVTALIDLHNIGKYNADTGFRRGYLIELENMLATKLPDANLKAKPHIESRIKTLKKEWAIIYDMVQGTHTSGFGWDDQRNMVVADDPVWESYIQSHKEAAPFRRKSFPFFNELSIIYARDRATGKDAQTAADILEEMQDSNDTINEEIEGENLAGYNFEDEDFSNIQPQTSAPRSDTTSTRKRKRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLPKSPTLMFVFFSIDPDRRLEWLRTFLADR >EOY16982 pep chromosome:Theobroma_cacao_20110822:8:15667266:15669530:1 gene:TCM_036068 transcript:EOY16982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMTLWLHNKIVDPLLQILRKGAEPKQLAFSAALGITLGIFPICGVTVLLCGMAIALLGSLSHSPTVMLANFIATPIELSLVVPFLRFGEAIAGGPHFPLTSDALKKVLTGHASHEVLLSIAHALLGWLVAAPIILAILYILFLPIFKVLVPKFSSVPLSPRKPLHSHSEVRLKVRDV >EOY16853 pep chromosome:Theobroma_cacao_20110822:8:12209691:12298105:-1 gene:TCM_035771 transcript:EOY16853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKYLKKTQASSKSMSTKAIVVNLESSNVALARILSSWRQTASAVHSKWRTRTLSMVSLMIVPTSSFLIKVGLDENDSEEEGEILEDLESEDDSVENVLRARIRENEGIPVEQVLKLAESNKCQEKDYEASRKKDRGKLELNKCWKDQIVKDESLEGHMPTSSRLPKLWESDGVELSNCYYVELGPRVDIMLSYLRALAWRVLLRDSDACSDGGVVITRRKPCLKGDEEIVPETTELTVVDPKENKVGKQKIIVWTKYSLKKNLQETN >EOY16576 pep chromosome:Theobroma_cacao_20110822:8:8563844:8567665:-1 gene:TCM_035380 transcript:EOY16576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCHGLWLGGEPLDTGKGLYSRTENRSISTPNTSLQWWRFSWTAYEKGTVTQLYTYLRGEMLDEVSPELGGFVVSIARILASSSAWFVSRPGTPHRARDNRREASTNILHPECRSKPRKALVSALALPR >EOY15531 pep chromosome:Theobroma_cacao_20110822:8:3663085:3670167:-1 gene:TCM_034558 transcript:EOY15531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 4 MGTVELDASPKLDEKPIVEPEIDESELSPIEEVRLTVPNTDEPSLPVWTFRMWFLGLSSCLLLSFLNQFFGYRSEPLVITQITVQVATLPIGRFMASVLPTTKFPIPGFGSRQFSLNPGPFNMKEHVLITIFANAGSAFGGGTVYAVGIVTIIKAFYGRSISFLASWLLIITTQVMGYGWAGLLRKYVVEPAHMWWPSTLVQISLFRTLHEKEENDGKRRISRAKFFVIALVCSFCWYIVPGYLFNTLQSISLVCLAFPHSVTAHQIGSGLSGLGIGAFTLDWTTVASFLFSPLVSPFFAIVNVFIGYALIIYFVMPISYWGMNLYNAKTFPIFSADLFTSQGQLYNITQIVNEKFELDLPEYEKLGRIHLSTFFALTYGFGFATIASTVSHVALFYGREIYSRYRASSKEKADIHTRLMRNYRDIPSWWFYLLLLGSIVVGLALCIFLNKDVQMPWWGLLFAAALAFVFTLPISIITATTNQTPGLNIITEYIMGAILPGEPITNVCFKTYGYISMAQAVAFLSDFKLGHYMKIPPRSMFLVQFIGTVLAGTVNLGVAWWLLTSVDSICHKDLLPKNSPWTCPGDRVFFDASVIWGLVGPKRIFGSLGQYSTLNWFFLGGLLGPVVVWLLHKAFPSQSWIPLINLPVLLGATGNMPPASPLNYTSWILVGTIFNFFVFRYQKQWWQRYNYILSAALDAGVAFMTVLLYFALGLENKSLNWWGSSPDYIPEHCDLAGCPTAKGISVDGCPTF >EOY14976 pep chromosome:Theobroma_cacao_20110822:8:1845623:1870979:-1 gene:TCM_034194 transcript:EOY14976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein / auxin-responsive factor AUX/IAA-related MGSVVEEKIKPGALVNGGPQATLLEEMKLLKEMQDQSGARKAIHSELWHACAGPLVSLPQVGSLVYYFPQGHSEQVAVSTKRMATSQIPNYPNLPSQLMCQVHNVTLHADRDTDEIYAQMSLQPVNSEKDVFPIPDFGLKSSKHPNEFFCKTLTASDTSTHGGFSVPRRAAEKLFPPLDYTMQPPTQELVVRDLHDNTWTFRHIYRGQPKRHLLTTGWSLFVGSKRLRAGDSVLFIRDEKSQLMVGVRRANRQQTTLPSSVLSADSMHIGVLAAAAHAAANRSPFTIFYNPRACPSEFVIPLAKYRKSVYGTQVSVGMRFGMMFETDESGKRRYMGTLVGIGDLDPLRWPGSKWRNLQVEWDEPGCNDKPNRVSAWEIETPESLFIFPSLTSGLKRPLHPGILGAESEWGSLIKRPLLQFPENGNGNLPYSISNLCSEQLMKMMLKPQLVNHPGVFASTLQQISAVKGSPLEEMKNLQSTSNQKPQLIQSENLFVENQNLTQLVPDQPDPINSNLPKINANGNLHPPANKFESQTQARSSNEKLKLESEHSTDQLSQLTSTSECNEEKLAANAASPSTILNQLSFPNQNQIPFPLQNNPWPIQSQLESSALQAHQMQVPQADITTLSSFLPFLDPDEWTSHLSACQPLAGIYRSPGPVPVVGLQDSSAVFTEATDPSLTTGGQDTWDHQLNNCRILSHVDQLTSIPQQDSYNLSSGGVRDLSDDSNNQSGIYSCLNIDVSNGGSTVIDPSVSSAILDEFCSLKDADFQNPSDCLVGNFSSSQDVQSQITSASLADSQAFSRQELPDSSGGTSSSNVDFDESGLLQNNSWQQMAPRVRTYTKVQKAGSVGRSLDVTSFKNYDELISAIECMFGLKGLLNDPRGSGWKLVYVDYENDVLLVGDDPWEEFVGCVRCIRILSPTEVQQMSEEGMKLLNSATVQGINGTNSEGCNA >EOY15856 pep chromosome:Theobroma_cacao_20110822:8:4862344:4864535:-1 gene:TCM_034800 transcript:EOY15856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRMMIDLGSQRGPLHINIMDSATSVECGREVRFRRSFRSLVECMVPCCGFQPSDSVSSDTESTHGSSSTVTGTFFGYRKGRVSFCLQDDTRSPPLLLLEFAVPTAYLAREMQYGLLRIALECDKHKENRCSSPCSLYNVPVWSMYCNGRKVGFAIRRQMSVNDAAVLKLMQSVSVGAGVLPVSPKSSEEDGDLMYLRASFERVIGSADSESFHMLNPVGSTGQELSIFLLRS >EOY16562 pep chromosome:Theobroma_cacao_20110822:8:8353242:8355248:-1 gene:TCM_035359 transcript:EOY16562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 52 MEDSGAASSDDVKTCPRGHWRPAEDEKLRQLVEQYGAQNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRRPFTEEEEERLLAAHRIHGNKWALIARLFPGRTDNAVKNHWHVIMARKQREQSKLCGKRSFQDGLSDSKLSSTGFTPRKARCQEAFSSRIGFGDSRFLEFQNPSKERIFSVSYSSTSSPSWTFASSTMMPSNNSSSAELSRRDGKDYLSGSGSSYYSMENSKILDQSLYKYHSNASAYCSSLKNSSAFGLPNYRRVVPSPFGYLKLGDNYESNNGVMRKELMSVIDNAPKLANIRVSSQQENDDDSIKQKDTPFIDFLGVGISS >EOY17306 pep chromosome:Theobroma_cacao_20110822:8:19256246:19258274:-1 gene:TCM_036464 transcript:EOY17306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSAIQLTIMINAKSLSRSTSRKTSTRGSQTTPASRWSGHNNSTQASKQHNLEQFDRHNMPARRTKPTHMHKMCILQIHVLDASTDLGHKGSIAHNPTTNVSTQGSHVTQGPTVRGNAYLSLTHYNLA >EOY14961 pep chromosome:Theobroma_cacao_20110822:8:1804277:1809181:-1 gene:TCM_034184 transcript:EOY14961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MVVLLFFVLALPSLFLFILLKQRNNGSILLPPSPPGLPLIGHLHMQMLDNSAPHIFFWKLSRKYGSLMSLRLGFRLTLIVSSAKMAKEVMKTHDLDFCSRPTLRGVQKLSYNGLDLTFSPYNAYWREIRKICVIHLFNSNRVQLFRPIREDEVARLIAKISKLSVDSKIVNLSEAMMYLTSTIICRIGFGKRYEEEGTERSRFHGLLNESQALLTSFFISDYFPFMGWVDRLSGLLSRLEKNYKKYEIFYQELIGEHLNPNRSKPEQEDIVDVLLQIWKDRDFAINLTMDHIKAVLMDVFIAGTDTSAATVIWVMTFLMKNPRCMKKVQEEVRSLIGKKDFVSEDDAQGLTYLKAVIKETFRLQPIVPLLVPRETMRKCSIGGYDIPAKTLVYVNAWAVGRDPEAWENPEEFYPERFIGSSIDYKGLDFELIPFGAGRRGCPGIHMGVVTVELALANLLYKFDWEMPTGMNKEDLDFDVIPGITTHKKIALCLVAKEIHV >EOY16473 pep chromosome:Theobroma_cacao_20110822:8:7325164:7328111:-1 gene:TCM_035238 transcript:EOY16473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MKLTWNKNKNKNNKKRSLEAIAHYPNLPFEQQKPDAQLQSEEPAASQNHEKSSADSLDSDSKRLAQSFQAQGNMLAEDGKYREALGKWEGALNLMPENAVLHEQKAQILLEIGDAWSALKAATRATQLEPSWAEAWITLGRAQLNFGEPDSAIESFDRALAIKPDSVEAQDDRKTAVHLIKRRKQLHSSSLSTDKNRYMVGDRTEAS >EOY17012 pep chromosome:Theobroma_cacao_20110822:8:16875680:16879405:1 gene:TCM_036166 transcript:EOY17012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSSWLEEEAPKRLLFKYVLDVNSTVKGNPAELGIGGVLRDFKRRMLISFSKATSVGDANRVEGCSGVSGIGGALRDNGGYMKILGKFPNGLVHIPRSRNKFADHPEKARVD >EOY16114 pep chromosome:Theobroma_cacao_20110822:8:5837868:5840051:1 gene:TCM_034985 transcript:EOY16114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 2 MAKIKKLFPLKALSLLFETLLKSSGKLPYLRFKVSNGPATQFKFLKHSTLVHRTDELANQTLVFHLEGALLKSCSLFPYFMLVAFEAGGLFRALILLLLYPLVLLIGKELGLRILVFVSFVGITKEKFRAGTTILPNFFLEDVGCEGFDIVMSYKKRVAVTGMPKFMIEGFLRDYLGIDAVVARELKEFRGYFLGLVEENMDAGLVISECTHNIGLGCFRKSHDQKIFSHCKEIYLVTEAEKKNWQVLPRKRYPKPLIFHDGRLAFRPTPFAALIMFIWLPFGFLLNITRAIIFISLPFNLSTPLLALSGFIVTVSKPEPTTASINGENKPGGMLYVCNHRTLLDPLFLSGVLMNSVSAVTYSISKFSEVISPIKTVGLSRDREKDGKIMKKLLSQGDLVVCPEGTTCREPYLLRFSPLFAEMTNEIVPVAIKLQVSLFYGSTASGLKCLDSTFHLMNPNPMCSVKILNKLPSCQTHNTGGKSKFEVNNHVQNQIAAALGFECTNLTRKDKYAILAGNEGIV >EOY15205 pep chromosome:Theobroma_cacao_20110822:8:2572996:2574782:1 gene:TCM_034351 transcript:EOY15205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase family protein, putative isoform 1 MEENKAVNSRFKRVCVFCGSSTGKRNCYREAALELGKELVSRRLDLVYGGGSVGLMGLVSQEVHRGGGHVLGIIPKTLMRKEITGETVGEVKPVADMHQRKAEMARHSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLINVDGYYNSLLTFLDKAVDDGFIRPSQRSIVVSAPTARELVQKLEEYVPLHDGVVAKARWEAEQLELNSSLQSEIAR >EOY15206 pep chromosome:Theobroma_cacao_20110822:8:2572996:2574782:1 gene:TCM_034351 transcript:EOY15206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase family protein, putative isoform 1 MEENKAVNSRFKRVCVFCGSSTGKRNCYREAALELGKELVSRRLDLVYGGGSVGLMGLVSQEVHRGGGHVLGIIPKTLMRKEITGETVGEVKPVADMHQRKAEMARHSDCFIALPAGGYGTLEELLEVITWAQLGIHDKPVGLINVDGYYNSLLTFLDKAVDDGFIRPSQRSIVVSAPTARELVQKLEEYVPLHDGVVAKARWEAEQLELNSSLQSEIAR >EOY15999 pep chromosome:Theobroma_cacao_20110822:8:5345446:5353920:1 gene:TCM_034900 transcript:EOY15999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGMKQRPDWLGLSPPNLFGGVIVSILSTTAVGHCFVHCQRVSLSDNSRLFSNAFGCRYLRTGELETSQMLHPNISSLL >EOY14916 pep chromosome:Theobroma_cacao_20110822:8:1661690:1662626:-1 gene:TCM_034154 transcript:EOY14916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METSVKAWWVLFFLAVAIVRLRGDDLVRGPTSTNSSSVHVKLPQGKDNVEVIVRLRGDDLVRGPTSTNSSSVHVKLPQGKDNVEVSNRTSTEVVLDDKNKNRGPYYRGGGGGGGGGGGGGGGGGGGGWYKWGCGGTKPGKGKGRRGAGRGIHSHQAHRKRVFNKEDYKLGEFAQCMVRGRCRGMRLDCPLHCGGPCVYDCQHMCKAHCRRP >EOY15928 pep chromosome:Theobroma_cacao_20110822:8:5077917:5080342:-1 gene:TCM_034850 transcript:EOY15928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGLGFGSGAVEAWRLGFPSSCRVCIAYDAHEIRGLLVGLSSIAIRVDDCFYKELSRSTAAFVIQAFMFCQTSGCSQKEKKGTVVGVMYPLFNLDGQPCAAAMQILEQEFPGLNWHAKAYALEKRPLSLDVKSSTYTQVK >EOY14395 pep chromosome:Theobroma_cacao_20110822:8:173969:176476:-1 gene:TCM_033789 transcript:EOY14395 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPla/RYanodine receptor domain-containing protein MIEWKHVAAVAMTIGILVTLLVVFIWRWCYHKERKDVVDQNRAARTENFQDGIARLHQASLHRRFDLDSKRRGNYYVFRRGASAKPLFNWADHPSLITDAVENGWSRFGFTGYMSSPSTRSSLLGLCAAGDYGKGHDIEINWEVCQGSADFMQKIRLNSGSRKGTMGHHSMAAASVIRTALPLPGPPLGNSAFPQEAYFEITILYCRGDDHDSRGKLKEGERTKLIQETYNAKANSESLVHVISGHDIKIEELKLATKDDEGKGDSVMLSVGLTVGGSLPLKLPGSYPGSIGFNSDGSVYLDALPSLQPVLYSIPGIKLVFGSEKEDWGKTEKVIGCGFDPRQKKVFFTLDSELVHVINCKSEEYGTPLYPSLAANADVLVLVNFGQSAFAYAPANGQRTPNPCFIGPLVNSPAAALGYEDSKELFSMGRIDSQWLNRCTTKGSHNVGNNYPTTDFDEESEADLFEIVLDNNGRSPNTVL >EOY17200 pep chromosome:Theobroma_cacao_20110822:8:18274243:18275967:1 gene:TCM_036349 transcript:EOY17200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTFDVMLLCVWNANGWEKLASKFMCCLWAGGRPFSGQLYLVSPRSGNHLFAPSYWCVGRTINSLNRC >EOY16837 pep chromosome:Theobroma_cacao_20110822:8:11695734:11697362:1 gene:TCM_035725 transcript:EOY16837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSIMSTQVTGFEELKNQYSFDSYFSKIIADLQGSLQAENLPYRLHEDYLFKGNQLCIPKGSLREQIIRELHGNGLGGHFGRDKTLAMVADRYYWPKMRRDVERLVKRCPACLFGKGSAQNTGLYVPLPEPDAPWIHLSMDFVLELPKTAKGFDSIFVVVDRFSKMAHFIPCFRTSDATHIAELFFREIVRLHGIPTSIVSDRDVKFMGHFWRTLWRKFGTELKYSSTCHPQTDGQTEVVNRSLGNMLRCLIQNNPKTWDLVIPQAEFAYNNSVNRSIKKTPFEVAYGLKPQHVLDLVPLPQEARVSNEGELFADHIRKIHEEVKAALKASNAEYSFTANQHRRKQEFEEGDQVLVHLRQERFPKGTYHKLKSRKFGPCKVLKKISSNAYLIELPPELQISHIFNILDLYPFDGCDGTASTIDAQIQHLPIAKVEVIEDVLDVKEVRSRRGNPYRRFLVKWLGKPANESTWIAEEELKRVDPDIYEEYVKAYSSESSLF >EOY15524 pep chromosome:Theobroma_cacao_20110822:8:3624971:3639638:-1 gene:TCM_034551 transcript:EOY15524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHAIKSFLFQQPEEEFMVCIFINYYLSGCGAVNFVYNCIKSSLDLKPMSSYKILHAFCPIPSTYCQFGKAKTKEIVLLYCSHLFRENSIRKVRDVEFCTSHFQVCFFKVWSNDWKFINIAILPFLSGRS >EOY15501 pep chromosome:Theobroma_cacao_20110822:8:3524607:3526370:1 gene:TCM_034536 transcript:EOY15501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19-like CHCH family protein, putative MPRRNSAGRSAPRPAASAPSRNPPPQPAASAPPPAPGLSGSGSVAGGIGATIADGLAWGTGTAIAHRAVDSIFGPWVVKHETVASSEPAAAAPAPNMNSLANSDACDGQSKALSDCLSSYGSDISKCQFYMDMLQECRRSSGAALGA >EOY15910 pep chromosome:Theobroma_cacao_20110822:8:4986668:4989941:1 gene:TCM_034833 transcript:EOY15910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 1,9 MALKVLSALDAAKIQLYHFKAIIVAGMGLFTDAYDLFCIPPIIKLIGRIYYDQTSGVRSEGKQDKNKTTPTVVVSALVAIALLGTVIGQLVFGRLGDRIGRRRMYGLSLTIMVLSSIACGFSICTTRNCVLVSLGFFRFLLGVGIGGDYPLSATIMSEFANKKTRGAFIAAVFSMQGFGILASSIVTIVVCKIFDAASDVSHGHTPEEADVAWRLIVMVGAIPAAVTYYWRMMMPETARYTALVEQNVLQAAIDMKKVLDVPMSQIAEDSPLPSNPPSYPLLSKRFFRRHGRDLFSCAASWFLVDVVFYSSNLFQSQIYHRFVKDGNDLNGYELAFKVARFQAILAICSTIPGYWVTVNFIDRIGRVKIQMIGFFFMAMVYFAIGIPYKYYWSENIGVGFMALYALSFFFSNFGPNTTTFIVPAELFPARFRSTCHGISGAVGKVGAIIGTVGFLWASQNQNSDGKPSPLRMTVALVFLGAVCLVGLAVTYFFTRETMGRSLEDNENEDESSGEQYILGGLTGTTSLRRHSSFSSLNNETTVA >EOY15554 pep chromosome:Theobroma_cacao_20110822:8:3715656:3720941:-1 gene:TCM_034573 transcript:EOY15554 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRNA, clone: RTFL01-34-G06 isoform 3 MNIASCNIQLPSSSYYSSCWCISPSTFSKPKPKQLSFNRTTASLLDMDATTNPGLYPLQRCKTLHLVRHAQGIHNVAGEKDHAAYLSEELFDAHLTPLGWQQVDNLRKHVQETGLSKKIDLVIVSPLLRTMQTAVGAFGGEGYRDGIDIPPLMVENAGESHHPAISSLNCPPFVAVELCREHLGVHPCDRRKSINEYRPLFPAIDFSLIESNEDILWEANTREKNEEVAVRGMKFLNWLWTRKEREIAVVTHSGFLYHTLSAFGSDCHPAVKNDICKHFANCELRSVVIVDKSMMGSDPATTNYPGKIPSGLDLPSDVADEKHAEEGVAK >EOY15556 pep chromosome:Theobroma_cacao_20110822:8:3716139:3720622:-1 gene:TCM_034573 transcript:EOY15556 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRNA, clone: RTFL01-34-G06 isoform 3 MDATTNPGLYPLQRCKTLHLVRHAQGIHNVAGEKDHAAYLSEELFDAHLTPLGWQQVDNLRKHVQETGLSKKIDLVIVSPLLRTMQTAVGAFGGEGYRDGIDIPPLMVENAGESHHPAISSLNCPPFVAVELCREHLGVHPCDRRKSINEYRPLFPAIDFSLIESNEDILWEANTREKNEEVAVRGMKFLNWLWTRKEREIAVVTHSGFLYHTLSAFGSDCHPAVKNDICKHFANCELRSVVIVDKSMMGSDPA >EOY15557 pep chromosome:Theobroma_cacao_20110822:8:3715620:3720622:-1 gene:TCM_034573 transcript:EOY15557 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRNA, clone: RTFL01-34-G06 isoform 3 MNIASCNIQLPSSSYYSSCWCISPSTFSKPKPKQLSFNRTTASLLDMDATTNPGLYPLQRCKTLHLVRHAQGIHNVAGEKDHAAYLSEELFDAHLTPLGWQQVDNLRKHVQETGLSKKIDLVIVSPLLRTMQTAVGAFGGEGYRDGIDIPPLMVENAGESHHPAISSLNCPPFVAVELCREHLGVHPCDRRKSINEYRPLFPAIDFSLAKSNEDILWEANTREKNEEVAVRGMKFLNWLWTRKEREIAVVTHSGFLYHTLSAFGSDCHPAVKNDICKHFANCELRSVVIVDKSMMGSDPATTNYPGKIPSGLDLPSDVADEKHAEEGVAK >EOY15555 pep chromosome:Theobroma_cacao_20110822:8:3716139:3720622:-1 gene:TCM_034573 transcript:EOY15555 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRNA, clone: RTFL01-34-G06 isoform 3 MNIASCNIQLPSSSYYSSCWCISPSTFSKPKPKQLSFNRTTASLLDMDATTNPGLYPLQRCKTLHLVRHAQGIHNVAGEKDHAAYLSEELFDAHLTPLGWQQVDNLRKHVQETGLSKKIDLVIVSPLLRTMQTAVGAFGGEGYRDGIDIPPLMVENAGESHHPAISSLNCPPFVAVELCREHLGVHPCDRRKSINEYRPLFPAIDFSLKAMRIFCGKLILEKRMKKLQLEE >EOY15751 pep chromosome:Theobroma_cacao_20110822:8:4511161:4515658:1 gene:TCM_034721 transcript:EOY15751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMGNQLPAFSSFYMQDFATVKCDFQGTFSSKYKNTFDCLRDLLQFKPPPRKITRARHCRGWYPSKHPTKLKKRCTALSKRNMQNHEFVTSTSSSVSYFGNLTSKCSNLGLIFSHTR >EOY15462 pep chromosome:Theobroma_cacao_20110822:8:3389010:3394709:-1 gene:TCM_034517 transcript:EOY15462 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase isoform 3 MSSSSDLVRFVESVLGVSLGGSVTDSMIVIATTSLAVILGLLVFLWKKSASERSHDIKPLVTPKPISLKDDEDDDAVIAAGKTKVTIFYGTQTGTAEGFAKALAEEIKARYEKAAVKVVDLDDYALDDEQYEEKLKKETLAFFMVATYGDGEPTDNAARFYKWFTEGNEREPWLQQLTYGVFGLGNRQYEHFNKIAKVLDEQFSEQGAKRLIQVGLGDDDQCIEDDFTAWREMLWPELDQLLRDEDDANTVSTPYTAAIPEYRVVIHDPAVMHIEANYSNMANGNASYDIHHPCRVNVAVQRELHKPESDRSCIHLEFDISGTGITYETGDHVGVYADNCIETVEEAARLLGQPLDLLFSVHTDNEDGTSLGSSLPPPFPGPCTLRTALARYSDLLNPPRKAALIALAAHATEPSEAERLKFLSSPQGKDEYSQWVVGSQRSLLEVMAEFPSAKAPLGVFFAAVAPRLQPRYYSISSSPRFVPHRVHVTCALVYGPTPTGRIHRGVCSTWMKVVLEP >EOY15459 pep chromosome:Theobroma_cacao_20110822:8:3387978:3394953:-1 gene:TCM_034517 transcript:EOY15459 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase isoform 3 MSSSSDLVRFVESVLGVSLGGSVTDSMIVIATTSLAVILGLLVFLWKKSASERSHDIKPLVTPKPISLKDDEDDDAVIAAGKTKVTIFYGTQTGTAEGFAKALAEEIKARYEKAAVKVVDLDDYALDDEQYEEKLKKETLAFFMVATYGDGEPTDNAARFYKWFTEGNEREPWLQQLTYGVFGLGNRQYEHFNKIAKVLDEQFSEQGAKRLIQVGLGDDDQCIEDDFTAWREMLWPELDQLLRDEDDANTVSTPYTAAIPEYRVVIHDPAVMHIEANYSNMANGNASYDIHHPCRVNVAVQRELHKPESDRSCIHLEFDISGTGITYETGDHVGVYADNCIETVEEAARLLGQPLDLLFSVHTDNEDGTSLGSSLPPPFPGPCTLRTALARYSDLLNPPRKAALIALAAHATEPSEAERLKFLSSPQGKDEYSQWVVGSQRSLLEVMAEFPSAKAPLGVFFAAVAPRLQPRYYSISSSPRFVPHRVHVTCALVYGPTPTGRIHRGVCSTWMKNAVPLEKSHDCSWAPIFIRQSNFKLPADPSIPVIMIGPGTGLAPFRGFLQERMVLQEDGAQLGPSLLFFGCRNRRMDFIYEDELNNFVAQGALSELIVAFSRERPQKEYVQHKMMDKAAYMWSLLSKGGYLYVCGDAKGMARDVHRTLHTIVLEQESVDSSKAESMVKKLQMEGRYLRDVW >EOY15460 pep chromosome:Theobroma_cacao_20110822:8:3388404:3394647:-1 gene:TCM_034517 transcript:EOY15460 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase isoform 3 MSSFLNKVLNVLSKLVLVTMINVLKMILLLGREMLWPELDQLLRDEDDANTVSTPYTAAIPEYRVVIHDPAVMHIEANYSNMANGNASYDIHHPCRVNVAVQRELHKPESDRSCIHLEFDISGTGITYETGDHVGVYADNCIETVEEAARLLGQPLDLLFSVHTDNEDGTSLGSSLPPPFPGPCTLRTALARYSDLLNPPRKAALIALAAHATEPSEAERLKFLSSPQGKDEYSQWVVGSQRSLLEVMAEFPSAKAPLGVFFAAVAPRLQPRYYSISSSPRFVPHRVHVTCALVYGPTPTGRIHRGVCSTWMKNAVPLEKSHDCSWAPIFIRQSNFKLPADPSIPVIMIGPGTGLAPFRGFLQQERMVLQEDGAQLGPSLLFFGCRNRRMDFIYEDELNNFVAQGALSELIVAFSRERPQKEYVQHKMMDKAAYMWSLLSKGGYLYVCGDAKGMARDVHRTLHTIVLEQESVDSSKAESMVKKLQMEGRYLRDVW >EOY15461 pep chromosome:Theobroma_cacao_20110822:8:3388106:3394896:-1 gene:TCM_034517 transcript:EOY15461 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase isoform 3 MSSSSDLVRFVESVLGVSLGGSVTDSMIVIATTSLAVILGLLVFLWKKSASERSHDIKPLVTPKPISLKDDEDDDAVIAAGKTKVTIFYGTQTGTAEGFAKALAEEIKARYEKAAVKVVDLDDYALDDEQYEEKLKKETLAFFMVATYGDGEPTDNAARFYKWFTEGNEREPWLQQLTYGVFGLGNRQYEHFNKIAKVLDEQFSEQGAKRLIQVGLGDDDQCIEDDFTAWREMLWPELDQLLRDEDDANTVSTPYTAAIPEYRVVIHDPAVMHIEANYSNMANGNASYDIHHPCRVNVAVQRELHKPESDRSCIHLEFDISGTGITYETGDHVGVYADNCIETVEEAARLLGQPLDLLFSVHTDNEDGTSLGSSLPPPFPGPCTLRTALARYSDLLNPPRKAALIALAAHATEPSEAERLKFLSSPQGKVDKILSDAFSFRPFVCSCLLFLFPMFLLFMCCCSFSICLAFAQDEYSQWVVGSQRSLLEVMAEFPSAKAPLGVFFAAVAPRLQPRYYSISSSPRFVPHRVHVTCALVYGPTPTGRIHRGVCSTWMKNAVPLEKSHDCSWAPIFIRQSNFKLPADPSIPVIMIGPGTGLAPFRGFLQERMVLQEDGAQLGPSLLFFGCRNRRMDFIYEDELNNFVAQGALSELIVAFSRERPQKEYVQHKMMDKAAYMWSLLSKGGYLYVCGDAKGMARDVHRTLHTIVLEQESVDSSKAESMVKKLQMEGRYLRDVW >EOY17436 pep chromosome:Theobroma_cacao_20110822:8:20390302:20457744:-1 gene:TCM_036604 transcript:EOY17436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory laccase, putative isoform 1 MVRWSGVHYTMPNKTWRKFHSGDQFVDRGRNTLVACPHDWSRATVHGAIKIFPAKGTSYPFRNPDGDQTIIFSSWYKSKVMDVLDEALSTGGDPNVSDAYTINGEPGDLYDCSNETMYTLLVKTGKTYLLRIVNSILNEEMFFAIANHNMTVVGSDGAYVKPFSTDYLFITPGQTMDVLVTANQAASHYYMVLTPFLDTDSSYDNTTSRALIKYSGNYTTPSTIPTPTFPNISDSISAQLFVVRLKSLADKAHPINVPKNITRQIFMTVSVNLFACPNSTGCTVDGNNKLAASLNNNSFVMPSTALLQEYYNNNYNLNHLVDDLPNKPPTPFNYTTVANMSAFTEEGTQVITLNYGDDVEIVFQGTNIGATQNHPMHLHGYSFYLVGTGSADFDNGTDPGRFNLVDPPEVNTIAVPRKGWSAIRFKADNPGVWFMHCHFERHTTWGMSTAVIVKNGGTKETSMRPPPSYMPPCS >EOY17434 pep chromosome:Theobroma_cacao_20110822:8:20389736:20393270:-1 gene:TCM_036604 transcript:EOY17434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory laccase, putative isoform 1 MGLISGVLGFMFLASLLFSNAEGAIRSYHWVVKETNFTKLCETSTVLTVNDRFPGPEIHAKKGDTVFVTVQNSGPYGITIHWHGVKMPRNPWSDGPEYITQCPIKPGGNFTQEINLSTEEGTLWWHAHSDWSRATVHGAIKIFPAKGTSYPFRNPDGDQTIIFSSWYKSKVMDVLDEALSTGGDPNVSDAYTINGEPGDLYDCSNETMYTLLVKTGKTYLLRIVNSILNEEMFFAIANHNMTVVGSDGAYVKPFSTDYLFITPGQTMDVLVTANQAASHYYMVLTPFLDTDSSYDNTTSRALIKYSGNYTTPSTIPTPTFPNISDSISAQLFVVRLKSLADKAHPINVPKNITRQIFMTVSVNLFACPNSTGCTVDGNNKLAASLNNNSFVMPSTALLQEYYNNNYNLNHLVDDLPNKPPTPFNYTTVANMSAFTEEGTQVITLNYGDDVEIVFQGTNIGATQNHPMHLHGYSFYLVGTGSADFDNGTDPGRFNLVDPPEVNTIAVPRKGWSAIRFKADNPGVWFMHCHFERHTTWGMSTAVIVKNGGTKETSMRPPPSYMPPCS >EOY17435 pep chromosome:Theobroma_cacao_20110822:8:20390302:20457701:-1 gene:TCM_036604 transcript:EOY17435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory laccase, putative isoform 1 MVRWSGVHYTMPNKTWRKFHSGDQFVDRGRNTLVACPHDWSRATVHGAIKIFPAKGTSYPFRNPDGDQTIIFSSWYKSKVMDVLDEALSTGGDPNVSDAYTINGEPGDLYDCSNETMYTLLVKTGKTYLLRIVNSILNEEMFFAIANHNMTVVGSDGAYVKPFSTDYLFITPGQTMDVLVTANQAASHYYMVLTPFLDTDSSYDNTTSRALIKYSGNYTTPSTIPTPTFPNISDSISAQLFVVRLKSLADKAHPINVPKNITRQIFMTVSVNLFACPNSTGCTVDGNNKLAASLNNNSFVMPSTALLQEYYNNNYNLNHLVDDLPNKPPTPFNYTTVANMSAFTEEGTQVITLNYGDDVEIVFQGTNIGATQNHPMHLHGYSFYLVGTGSADFDNGTDPGRFNLVDPPEVNTIAVPRKGWSAIRFKADNPGVWFMHCHFERHTTWGMSTAVIVKNGGTKETSMRPPPSYMPPCS >EOY15452 pep chromosome:Theobroma_cacao_20110822:8:3357415:3366490:1 gene:TCM_034511 transcript:EOY15452 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MMIALLPLLMQFFMTGSGKTYTMGTNYTGEGGNGGIIPKVMETIFKRVEATKHSTEFLIRVSFIEIFKEEVFDLLDSNPAALSKVEGASLAKPTAPGRLPIQIRETVNGGITLAGVTEAEVAMKEEMASYLLRGSLSRATGSTNMNSQSSRSHAIFTITVEQKKIASCPNGVINDDIGDDILCAKLHLVDLAGSERAKRTGADGMRFKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVINRDPMAAQLQRMRSQIEQLQAELLFYRGDGNGSFDELQILKHKVSLLEASNAELQRELHERRLTSEQLAQRALDAQVAKDKLMMQIESVRNGKSWDEIDSNQNQDFDLMKTYVLKIQELEGELIRLKSLNSSKRSRFSDCADSDDDGTSKNSLFSSGNDYSSSDEIEDNEKELEHSSLQEKLDKELKELDKKLEQKEAEMKHFSSADTSVLKQHYEKKVNELEQEKRVLQKEIEELRHNLASISSTSDDGAQKLKEEYLQKLNVLEAQVAELKKKQDAQAQLLRQKQKSDEAARRLQDEIQRIKSQKVQLQHKIKQESEQFRLWKASREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAMATKRLKELLESRKSSTREASSAGNGNGAGFQAIMQTIEHELEVTVRVHEVRSEYERQMEERARMAKEVARLKEEAEMLKQSDLSDCPETMSPGARNSRIFALENMLAATSSTLVSMASQLSEAEERERIFSGRGRWNQVRSLADAKNIMNYLFNLASSSRCSVRDQEVHCREKDAEIRDLKEKVVKLSSLARQLEIQKADLVHQVKVMNATMKQYSMKGTMDSGIPDLNGGRHKYELRKQEHRSSIIFMEDMDTSESEHSDMDASDDGEWVQSEKKPFRRRASRSRGHSSEGIHQLVANDSENIKGCTGEVTGKTDGLCCTCSKKSWCKTPKCQCRANGNSCGQSCGCSSVRCSNREAEANMPSDVGTNEEQNLVAQGAMLLQNALEGEKAAETNEDCATKRKPLTDIGNTLDKPNAPKPNRRKKWG >EOY15450 pep chromosome:Theobroma_cacao_20110822:8:3356647:3367095:1 gene:TCM_034511 transcript:EOY15450 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MENTESVRVAVNIRPLITTELLNGCTDCITVVPGEPQVQIGSHAFTYDYVYGGGGSPSSVIYDDCVAPLVDAIFHGYNATVLAYGQTGSGKTYTMGTNYTGEGGNGGIIPKVMETIFKRVEATKHSTEFLIRVSFIEIFKEEVFDLLDSNPAALSKVEGASLAKPTAPGRLPIQIRETVNGGITLAGVTEAEVAMKEEMASYLLRGSLSRATGSTNMNSQSSRSHAIFTITVEQKKIASCPNGVINDDIGDDILCAKLHLVDLAGSERAKRTGADGMRFKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVINRDPMAAQLQRMRSQIEQLQAELLFYRGDGNGSFDELQILKHKVSLLEASNAELQRELHERRLTSEQLAQRALDAQVAKDKLMMQIESVRNGKSWDEIDSNQNQDFDLMKTYVLKIQELEGELIRLKSLNSSKRSRFSDCADSDDDGTSKNSLFSSGNDYSSSDEIEDNEKELEHSSLQEKLDKELKELDKKLEQKEAEMKHFSSADTSVLKQHYEKKVNELEQEKRVLQKEIEELRHNLASISSTSDDGAQKLKEEYLQKLNVLEAQVAELKKKQDAQAQLLRQKQKSDEAARRLQDEIQRIKSQKVQLQHKIKQESEQFRLWKASREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAMATKRLKELLESRKSSTREASSAGNGNGAGFQAIMQTIEHELEVTVRVHEVRSEYERQMEERARMAKEVARLKEEAEMLKQSDLSDCPETMSPGARNSRIFALENMLAATSSTLVSMASQLSEAEERERIFSGRGRWNQVRSLADAKNIMNYLFNLASSSRCSVRDQEVHCREKDAEIRDLKEKVVKLSSLARQLEIQKADLVHQVKVMNATMKQYSMKGTMDSGIPDLNGGRHKYELRKQEHRSSIIFMEDMDTSESEHSDMDASDDGEWVQSEKKPFRRRASRSRGHSSEGIHQLVANDSENIKGCTGEVTGKTDGLCCTCSKKSWCKTPKCQCRANGNSCGQSCGCSSVRCSNREAEANMPSDVGTNEEQNLVAQGAMLLQNALEGEKAAETNEDCATKRKPLTDIGNTLDKPNAPKPNRRKKWGKSVIQLVPVAPPTSQPENAAEPQKTETADPPKRTDNCPSESDIPLKLPRAMRSASSNGNKLLRERNADQQDESTNKEQAVLAPSSPIRPTRTTDEKENCRR >EOY15451 pep chromosome:Theobroma_cacao_20110822:8:3357415:3367200:1 gene:TCM_034511 transcript:EOY15451 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MENTESVRVAVNIRPLITTELLNGCTDCITVVPGEPQVQIGSHAFTYDYVYGGGGSPSSVIYDDCVAPLVDAIFHGYNATVLAYGQTGSGKTYTMGTNYTGEGGNGGIIPKVMETIFKRVEATKHSTEFLIRVSFIEIFKEEVFDLLDSNPAALSKVEGASLAKPTAPGRLPIQIRETVNGGITLAGVTEAEVAMKEEMASYLLRGSLSRATGSTNMNSQSSRSHAIFTITVEQKKIASCPNGVINDDIGDDILCAKLHLVDLAGSERAKRTGADGMRFKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVINRDPMAAQLQRMRSQIEQLQAELLFYRGDGNGSFDELQILKHKVSLLEASNAELQRELHERRLTSEQLAQRALDAQVAKDKLMMQIESVRNGKSWDEIDSNQNQDFDLMKTYVLKIQELEGELIRLKSLNSSKRSRFSDCADSDDDGTSKNSLFSSGNDYSSSDEIEDNEKELEHSSLQEKLDKELKELDKKLEQKEAEMKHFSSADTSVLKQHYEKKVNELEQEKRVLQKEIEELRHNLASISSTSDDGAQKLKEEYLQKLNVLEAQVAELKKKQDAQAQLLRQKQKSDEAARRLQDEIQRIKSQKVQLQHKIKQESEQFRLWKASREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAMATKRLKELLESRKSSTREASSAGNGNGAGFQAIMQTIEHELEVTVRVHEVRSEYERQMEERARMAKEVARLKEEAEMLKQSDLSDCPETMSPGARNSRIFALENMLAATSSTLVSMASQLSEAEERERIFSGRGRWNQVRSLADAKNIMNYLFNLASSSRCSVRDQEVHCREKDAEIRDLKEKVVKLSSLARQLEIQKADLVHQVKVMNATMKQYSMKGTMDSGIPDLNGGRHKYELRKQEHRSSIIFMEDMDTSESEHSDMDASDDGEWVQSEKKPFRRRASRSRGHSSEGIHQLVANDSENIKGCTGEVTGKTDGLCCTCSKKSWCKTPKCQCRANGNSCGQSCGCSSVRCSNREAEANMPSDVGTNEEQNLVAQGAMLLQNALEGEKAAETNEDCATKRKPLTDIGNTLPNRRKKWGKSVIQLVPVAPPTSQPENAAEPQKTETADPPKRTDNCPSESDIPLKLPRAMRSASSNGNKLLRERNADQQDESTNKEQAVLAPSSPIRPTRTTDEKENCRR >EOY16007 pep chromosome:Theobroma_cacao_20110822:8:5396347:5399247:-1 gene:TCM_034909 transcript:EOY16007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSESIPKALLETTSTMLLLFIRSSELSFTLERDKIDKDLVDDASFKDELSGLRNHEFSPEFGIVLDADHLDAIGAIGIAHCFSFGGNRNRVLHDPAIQPRSDLSKEWLGKGGPRKGTSSWRNSLKNFIRIGIGRLE >EOY14533 pep chromosome:Theobroma_cacao_20110822:8:545608:547358:-1 gene:TCM_033886 transcript:EOY14533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A 2A, IIA,PLA2A isoform 2 MEGAKDRLQPPTYGDLITILSIDGGGIRGIIPGTILAVLESELQKLDGEDARLADYFDVIAGTSTGGLVTGMLTCPNEKNRPLFAAKDIKDFYLNYCPKIFPQPGCPLFAQTSKVIKALSGPKYDGKFLHSLVKEKLGDTRLHQTLTNVVIPTFDIHHLQPTIFSSYQVTLVAMGEVSKEIIKGNADFFPIKPMDYGRFLVISLGTGSRKAEEKFSAQEAAKWGLLGWLTSGGSTPLVDVFTQASGDMVDLHLSVVFEALHSDKYLRIQDDTLSGVVSSV >EOY14532 pep chromosome:Theobroma_cacao_20110822:8:545047:551816:-1 gene:TCM_033886 transcript:EOY14532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A 2A, IIA,PLA2A isoform 2 MEGAKDRLQPPTYGDLITILSIDGGGIRGIIPGTILAVLESELQKLDGEDARLADYFDVIAGTSTGGLVTGMLTCPNEKNRPLFAAKDIKDFYLNYCPKIFPQPGCPLFAQTSKVIKALSGPKYDGKFLHSLVKEKLGDTRLHQTLTNVVIPTFDIHHLQPTIFSSYQVKTKPTLDALLSDICIGTSAAPTYLPAHYFKTEDQKGNVREYNLIDGGVAANNPTLVAMGEVSKEIIKGNADFFPIKPMDYGRFLVISLGTGSRKAEEKFSAQEAAKWGLLGWLTSGGSTPLVDVFTQASGDMVDLHLSVVFEALHSDKYLRIQDDTLSGVVSSVDVATKENLNELVKVGQALLKKQVSRVNLETGIFEPFSEETNEEALKRFATLLSQERQRRHSRTPQGKDGVHQNGAKN >EOY14361 pep chromosome:Theobroma_cacao_20110822:8:58422:59881:-1 gene:TCM_033758 transcript:EOY14361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTDSMSSTSDLRSSTSPIEDPLSFLLALSIQNKSRFIDGSIPEPDVSDKLFVPCTRCNSLILAWLLESISPPIASTVFYIRKAYEVWETLKERFSQPDDARICNLQFNLYNISQGTRSVDAYFTELNCIWEELRNYRPLPHCSCGICNSACFQTYIDQYQKDSVFRFLNGLNESFSALRSQILMMKPFPSLNKAYNLVIRDESQRNLYLHTMPIIESSAMATMTEGKVKSKVDVVCSYCHKKGHTKDKCYRLIGFPPDFKFLKGKSPLKKGNVWSINNVGPVTSKEECDESTKSLSSLTLSKHQIQKLMSLINDHIADGENEEPTNPP >EOY15545 pep chromosome:Theobroma_cacao_20110822:8:3687807:3692265:1 gene:TCM_034564 transcript:EOY15545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKILEHDDTDSDRAKGKKLDNGFSETTKQWEDTFGSRYWRAGAMYRGNAPSPLESICLNWVHSNFGLIVGNGENLNFWQDEWIEGVVLADAFPRMFALAVKKSGKVTEFGIWEDGRWAWNVQFRRQLFDWEVEQWEQFHDSLKEFHLCKDFKDELVWKRETSGNYTTKSFCRLC >EOY14598 pep chromosome:Theobroma_cacao_20110822:8:685716:688033:-1 gene:TCM_033920 transcript:EOY14598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease inhibitor (SERPIN) family protein MDLRESIRRQTDVTLSLTKHVLQTEAKDSNLAFSPLSIHVVLSMIAAGSTGPTLDQLLSFLKSASNDHLGSFSSELVSVVFADGSPAGGPRLSFANGVWIDKSLPLKPSFKQVVDNVYKAASNQVDFQTKAVQVAGEVNLWAEKETSGLIKQLLPPGSVDGSTRLIFANALYFKGAWNETFDASKTKENDFYLVNGSSVKAPFMTSQKKQAVGAYDGFKVLGLPYKQGGDKRRFSMYFFLPDAKDGLPALVEKVSSESGFLERHLSYEPVKVGEFRIPRFKISFGFEASEVLKRLGLVLPFSGEGGLTEMVDSPLGQSLYVSNIFHKSFIEVNEEGTEAAAASAGVIRLRGVLVEEKIDFVADHPFLFLIREDVTGVVLFIGHVLNPLES >EOY17237 pep chromosome:Theobroma_cacao_20110822:8:18580828:18582830:1 gene:TCM_036385 transcript:EOY17237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEFVSCPRLYYFLGYLGDQLWGKIFKGEVFSRRKRCWFHNGYGKKGFRGQLWVLSDAGLLEKHVVVSFYSAGCDRVFEKLCLLQRGFFLVHIKEVDFCSAKGHLFLDNNPIVLDDALESFQQLSVTCLVK >EOY14659 pep chromosome:Theobroma_cacao_20110822:8:902899:905768:-1 gene:TCM_033969 transcript:EOY14659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT5G42660) TAIR;Acc:AT5G42660] MSSSIFNTNSISISVSDDESDELGRMRVRVRRKRKKHGHHRARTEFTQRLIRLFVKYWTLLIFLPAAVLLIFEATRIGRKPGLVVNSEINEVKKPNLLGNLELSKLKELSQEKKIDSNLNRLDPTTHVVGGVRERCLKLLPAEELEHLDIPVDEESISKPVKRLVYISDHETPFGGGESTLSWQRTNGTRFNLFTGNQTLDEREASFKVNETAVVHCGFFSENGGFKISDKDKSYMQTCKVVVSTCAFGGGDDLYQPIGMSEASLKKVCYVAFWDEITLSAQESQGNKIGEDGFIGKWRIVIVQNLPFVDQRLNGKIPKMLPHRLFPNSKYSIWVDSKSQFRRDPLGVLEALLWRRNSMLAISEHGARSSVYDEAKAVVKKHKATPKEVEVQITQYRHDGLPEDKRFNGKKALNEASVIVRKHSPLTNLLMCLWFNEVVRFTSRDQLSFPYVLWRLKVLKNINMFPVCTRKDLVNSMGHIRKAKPLTS >EOY14660 pep chromosome:Theobroma_cacao_20110822:8:902528:906132:-1 gene:TCM_033969 transcript:EOY14660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT5G42660) TAIR;Acc:AT5G42660] MSSSIFNTNSISISVSDDESDELGRMRVRVRRKRKKHGHHRARTEFTQRLIRLFVKYWTLLIFLPAAVLLIFEATRIGRKPGLVVNSEINEVKKPNLLGNLELSKLKELSQEKKIDSNLNRLDPTTHVVGGVRERCLKLLPAEELEHLDIPVDEESISKPVKRLVYISDHETPFGGGESTLSWQRTNGTRFNLFTGNQTLDEREASFKVNETAVVHCGFFSENGGFKISDKDKSYMQTCKVVVSTCAFGGGDDLYQPIGMSEASLKKVCYVAFWDEITLSAQESQGNKIGEDGFIGKWRIVIVQNLPFVDQRLNGKIPKMLPHRLFPNSKYSIWVDSKSQFRRDPLGVLEALLWRRNSMLAISEHGARSSVYDEAKAVVKKHKATPKEVEVQITQYRHDGLPEDKRFNGKKGCSFHFKGSIELSICSLAVESAKEHQHVSSLHTQRSC >EOY17151 pep chromosome:Theobroma_cacao_20110822:8:18089518:18092019:-1 gene:TCM_036317 transcript:EOY17151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis protein COQ9 isoform 1 MYRTAANRLYHGASLINNSRGGRLLRLPKLRTVISSSRFSTTVDPQPFPNQTLNPSDSSTVSSSTSSTSGDGPHDERKNPRAAYEEEQARVLQASLRHVMRLGWSEEAMVAGAKEVGVSPSIVGSFPRKEAALVEFFMDDCLQRLIDRIDSGEELQNLIPSQRISKLVRIRLELQAPYISKWPQALSIQAHPLNVSTSFKQRAMLVDEIWHAADYEASDVDWYVKRTVLGGIYSTTEIYMLTDSSPEFRDTWLFLDDRVKDAFDLKKTIQEAKYLAEAVGAGMGSSLQGFVSRVLRR >EOY17152 pep chromosome:Theobroma_cacao_20110822:8:18088887:18092015:-1 gene:TCM_036317 transcript:EOY17152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis protein COQ9 isoform 1 MYRTAANRLYHGASLINNSRGGRLLRLPKLRTVISSSRFSTTVDPQPFPNQTLNPSDSSTVSSSTSSTSGDGPHDERKNPRAAYEEEQARVLQASLRHVMRLGWSEEAMVAGAKEVGVSPSIVGSFPRKEAALVEFFMDDCLQRLIDRIDSGEELQNLIPSQRISKLVRIRLELQAPYISKWPQALSIQAHPLNVSTSFKQRAMLVDEIWHAADYEASDVDWYVKRTVLGGIYSTTEIYMLTDSSPEFRDTWLFLDDRVKDAFDLKKTIQEAKYLAEAVGAGMGSSLQGFVSRVLRR >EOY17132 pep chromosome:Theobroma_cacao_20110822:8:18016835:18029685:1 gene:TCM_036304 transcript:EOY17132 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase family protein MDIIGLRSRSHLGLKQIKVTRDMKEGQSVSGEEEEPLSPMARMFHEPDSNVKEINDALHAIPMILQTLTCSNMNSAYRQGRRVADENNGGELKWVKTEVELEKHVIIPRVDEEMASQGAADKFVEDYIANISKTKISLSIPMWDCHILNIKTSDAESVLVLLVHHSLGDGTSLMSLLISCSRKLSDLLALLTFPAMKKKQMPTTTTTTWLCFWIRLWSFFLLIWNTSVDLLVCVATLFFYKDTPTPLKPSSRRVACTPGRIMRRTFTLDDIKLVKNATNMTVNDVVLAITQAGLSRYLNRKYGKTKRNEAGRKWEDNLPNNIRLRATLFINLRSSPGIYALGEMLKKNSKAEWGNKIGYVLFPFTISLKDNLLDYIRDVKAATDRKKAYLEAKFRLLMAMVFVRFYRTKFAKFPSTTMWFSNVARPQDDITIFGNQVAYIAPSLYGQLVALTVHVVSYAKMISMVLSVDDNIISDPYQLCDDLEESLKLIKTSVVSPLVRVRLSYSRTKSRSTLVILAKFPSTTIWFSNVARPQDEITIFGNQVAYIASSVYGQPKALTIHVVSFAKKISQPVVLLAGHPVPLTPMLPRRAGADASL >EOY15570 pep chromosome:Theobroma_cacao_20110822:8:3787837:3789649:-1 gene:TCM_034585 transcript:EOY15570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-fold modifier-conjugating enzyme 1 MEGWDPNTKSTLTQIPLLTTKAGPRDGAAWTQRLKEEYRALIAYTQMNKSNDNDWFRISAANPEGTRWTGKCWYVHNLLKYEFDLQFDIPVTYPATAPEIELPELDGKTQKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEIPILVDSGMIKHKDDAASSNES >EOY15863 pep chromosome:Theobroma_cacao_20110822:8:4877381:4883885:-1 gene:TCM_034803 transcript:EOY15863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 14 MEEVGAQVAPPIFMHQALANRFCEPPSLPRKRDLSCQTPAFQYQNPSQQRVANPRDWNPKLWEWDAVRFIAKPLDTEILQPGTSTAEQRKKERVNGNGNSITSKKTAAVNEDDDSLQLNLGGRLNSVEEPVSRPNKKVRSGSPGSTNYPMCQVDNCKEDLSNAKDYHRRHKVCEVHSKATKALVGKHMQRFCQQCSRFHLLSEFDEGKRSCRRRLAGHNRRRRKTQPEDVTSRLLLPVNRDNAGNGNLDIVNLLTALARSQGKNEDKSINCSSLPNKDQLVQILNKINLLPLPVDLAAKLPNVGVLNRKNQEQPLVGHQNQLNGKNTSSPSTMDLLAALSATLTSSSNNALAILSQRSTQSSDSEKTKSTCPDHVAAPSMQNRVPLEFASGGGERSSTSYQSPVEDSECQIQETRANLPLQLFSSSPENDSPPKLASSRKYFSSDSSNPMEERSPTSSPAVQKLFPMHSTVEAVKYEKMPIGRESNAIAEGSRTHGSILPLELFSGSKRGNAHGSFQQFPSQAGYTSSSGSDHSPSSLNSDAQDRTGRIIFKLFDKDPSHFPGTLRTQIYNWLSNSPSEMESYIRPGCVVLSLYVSMSYVAWEQLEGNLLQYVNSLLHYTDSDFWRKARFLVHTGQQLASHKDGKIRLCKSWRTWSSPELISVSPLAIVGGQETSLLLRGRNLTNPGTKIHFAYMGGYSSMQISGSAYQGTTYDEVSMGGFKVQVSSPSALGRFFIEVENGFKGNNFPIIIADATICKELRLLESELDIEAKASDIISEEHAYDGRRPRSREEVLHFLNELGWLFQRRSTCPLPKSSDYLLCRFKFLLIFSVERDYCALVKVLLDMLVESNLYMDGLSRESVEMLSEIHLLSRAVKRRCRKMADLLIHYSISSIDESSKKYIFPPNLEGAGGITPLHLAACTSGSDDMVDVLTDDPQEIGLACWNSLLDANGQSPYAYAIMRNNHSYNKLVARKYADRRNGQVSVTIGQDEQSGLTAVQLHEISSKFKQDRSSCAKCAVVATRYNKKFPGSQGLLQRPYVHSMLAIAAVCVCVCLFLRGSPDIGSVAPFKWENLDFGTI >EOY16219 pep chromosome:Theobroma_cacao_20110822:8:6282478:6284778:-1 gene:TCM_035062 transcript:EOY16219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein MAYVERGVVKSKRTIWRLRTITDFFWAIINFIGVFFSTMLSMEKSDAYRKGSGSSKKWDGGPGGPGSGPYGGGGGPRRPPRGLDNVRGIDHSSLPACGSCCGG >EOY14563 pep chromosome:Theobroma_cacao_20110822:8:590721:600435:1 gene:TCM_033898 transcript:EOY14563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen-III synthase family protein isoform 1 MELLSLSPLSPLLPPPPPPPCSSSLQLHSRISFSPSRIGASSSSLTSQVIQHTPTSNPKVVVTRERGKNSKLIDALAEHGINCLELPLIQHTQGPDFDRLASVLSETAFDWIIITSPEAGSVFLEAWKAAGTPSVRVGVVGAGTASIFDNLMQSSKHSLDVAFAPSKATGKILASELPKDGNRRCTVLYPASVKASNEIEEGLSSRGFQVMRLNTYTTVLVDHVDQIVLEKALSVPVVAVASPSAVQAWVNLISKPDSWSNSVACIGETTASAAKRLGLRNVYFPTQPGLDGWVGSILEALRAHDSF >EOY14564 pep chromosome:Theobroma_cacao_20110822:8:590721:601526:1 gene:TCM_033898 transcript:EOY14564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen-III synthase family protein isoform 1 MELLSLSPLSPLLPPPPPPPCSSSLQLHSRISFSPSRIGASSSSLTSQVIQHTPTSNPKVVVTRERGKNSKLIDALAEHGINCLELPLIQHTQGPDFDRLASVLSETAFDWIIITSPEAGSVFLEAWKAAGTPSVRVGVVGAGTASIFDNLMQSSKHSLDVAFAPSKATGKILASELPKDGNRRCTVLYPASVKASNEIEEGLSSRGFQVMRLNTYTTVLVDHVDQIVLEKALSVPVVAVASPSAVQAWVNLISKPDSWSNSVACIGETTASAAKRLGLRNVYFPTQPGLDGWVGSILEALRAHDSF >EOY14565 pep chromosome:Theobroma_cacao_20110822:8:590663:599296:1 gene:TCM_033898 transcript:EOY14565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen-III synthase family protein isoform 1 MELLSLSPLSPLLPPPPPPPCSSSLQLHSRISFSPSRIGASSSSLTSQVIQHTPTSNPKVVVTRERGKNSKLIDALAEHGINCLELPLIQHTQGPDFDRLASVLSETAFDWIIITSPEAGSVFLEAWKAAGTPSVRVGVVGAGTASIFDNLMQSSKHSLDVAFAPSKATGKILASELPKDGNRRCTVLYPASVKASNEIEEGLSSRGFQVMRLNTYTTVLVDHVDQIVLEKALSVPVVAVASPSAVQLKQP >EOY14561 pep chromosome:Theobroma_cacao_20110822:8:590721:601526:1 gene:TCM_033898 transcript:EOY14561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen-III synthase family protein isoform 1 MELLSLSPLSPLLPPPPPPPCSSSLQLHSRISFSPSRIGASSSSLTSQVIQHTPTSNPKVVVTRERGKNSKLIDALAEHGINCLELPLIQHTQGPDFDRLASVLSETAFDWIIITSPEAGSVFLEAWKAAGTPSVRVGVVGAGTASIFDNLMQSSKHSLDVAFAPSKATGKILASELPKDGNRRCTVLYPASVKASNEIEEGLSSRGFQVMRLNTYTTVLVDHVDQIVLEKALSVPVVAVASPSAVQAWVNLISKPDSWSNSVACIGETTASAAKRLGLRNVYFPTQPGLDGWVGSILEALRAHDSF >EOY14562 pep chromosome:Theobroma_cacao_20110822:8:590721:601526:1 gene:TCM_033898 transcript:EOY14562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen-III synthase family protein isoform 1 MELLSLSPLSPLLPPPPPPPCSSSLQLHSRISFSPSRIGASSSSLTSQVIQHTPTSNPKVVVTRERGKNSKLIDALAEHGINCLELPLIQHTQGPDFDRLASVLSETAFDWIIITSPEAGSVFLEAWKAAGTPSVRVGVVGAGTASIFDNLMQSSKHSLDVAFAPSKATGKILASELPKDGNRRCTVLYPASVKASNEIEEGLSSRGFQVMRLNTYTTVLVDHVDQIVLEKALSVPVVAVASPSAVQAWVNLISKPDSWSNSVACIGETTASAAKRLGLRNVYFPTQPGLDGWVGSILEALRAHDSF >EOY16313 pep chromosome:Theobroma_cacao_20110822:8:6666754:6672905:-1 gene:TCM_035135 transcript:EOY16313 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 MNSDFALTVPAELESALRLKTVQYFVTQRPWLDLYGKNVRPVAPYGSVSRRAYVDPALLHRCLPDELLFEVFVRMTPYDLGRASCVCRKWRYSIRNPVFWRSACLKAWQLSGVVENYKILQSKYEGSWRKMWLSRPRVRTDGLYVSRNTYIRAGVAEWKVTNPVHIVCYFRYLRFFPSGRFLYKNSSQKIKDAAKCMNFRASKTDCVFGGHYTLSDDKVEAAVLYPGMRPTVLRIRLRLRGTIAGANNRMDLLSLVTSGVNENEASGPEEDILGVVEGWQDDETHNPDVPAVSHKRGLTPFVFVPFEEVETSVLNLPVEKMDYYVPG >EOY14416 pep chromosome:Theobroma_cacao_20110822:8:246545:249638:-1 gene:TCM_033805 transcript:EOY14416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine protease 2, putative MTGRMNFYSYSLFVLSWLLLLLLLEINNAMSEPEEYKTYVVHMNHSHKPTSFSTHELWHRSTLRSLSNPVDDEEKLLYSYNHIIHGFSARLTSSQLSEIEKSPAHLATYQESLGKLFTTHSPEFLGLEHNSGLWPAASYGEGVIIGVFDTGIWPESESFNDKGMPQVPQNWKGKCENGTAFSPSACNKKLIGARSFSKGIQAAEGNISKELDYDSPRDFDGHGTHTSSTAAGNHVLGVSHFGYARGTASGVAPHAHVAMYKVMWETDSGNSAASDVLAGMDQAIADGVDIMSLSLGFDQTPYFNDLIAIASLSAVEKGIFVVCAAGNDGARNGAPWITTVGAGTLDRSFTAAVTLGNGVTFEGTSYFPESIYITNTPLYYGKDDANKAICYMEALDAKEIAGKVVVCDNSSKIDISSQMEELDRVGAYAGIFMTDRSTLDPEDYYIPSLVLPTSSGMFVREYAKNASKAEIRSMRFLFTSLGTKPAPQVADFSSRGPDPVNPTVLKPDIIAPGVDVLAAVAPNRPFTETGNYKFVTDYALYSGTSMAAPHVAGVAALLKAVHPEWSPAAIRSAMMTTAYTNNNNGTALTNQFLDLPGTPLDYGAGHINPNKAMDPGLIYDIEFQDYIDFLCNLSYNDAQMKAVLRRSQWNCSRERTELNYPSFIAIFSKDESSPKVKNFTRVVSNVGDDKSVYQAVATTSSGMTIKVEPSTLTFTEKYQKQSFVLSVEIDGEPPKVVYGHVKWIDQYSHIVSSPVVVLLNF >EOY16345 pep chromosome:Theobroma_cacao_20110822:8:6786397:6788994:1 gene:TCM_035153 transcript:EOY16345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 2 MADQEMKGKRASLMIMVFVFQVLSAHLHGCFAATGQGQRLWKRSTVHPTVVNGFGSSVFLPVSGNVYPLGYYSVELKIGNPPKPFQLDIDTGSDLTWVQCDAPCTGCTQPRDRLYKPVRNNFLPCKDPICAALNSPKSNPCKNPNEKCGFQVEYADRGSVLGVMVSDNFPLGLVNGSLSNPLLAFGCGYRLQNRGPHPPPTTAGVLGLGKSKASISSQLSGMGITKNVVGHCFSGRGGGFLFLGADFVPKSGMTWTPMLQNSFDKHYSSGPAELLFGGKPTGVKGLNVIFDSGATYTYLSSKVYQTVLNLIRKDLTGKQLRDVKDNALPICWKGAKPFKSVRDVRNYFNTLVLSFAGANNNKLVLPPEAYLIVTERGNVCLGILSGTEAGLGITNVIGGKSVFIAYSLTSHFASKFLICLPSLAIQLFLVGMLYRHFIAR >EOY16344 pep chromosome:Theobroma_cacao_20110822:8:6785783:6790037:1 gene:TCM_035153 transcript:EOY16344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 2 MVLSAHLHGCFAATGQGQRLWKRSTVHPTVVNGFGSSVFLPVSGNVYPLGYYSVELKIGNPPKPFQLDIDTGSDLTWVQCDAPCTGCTQPRDRLYKPVRNNFLPCKDPICAALNSPKSNPCKNPNEKCGFQVEYADRGSVLGVMVSDNFPLGLVNGSLSNPLLAFGCGYRLQNRGPHPPPTTAGVLGLGKSKASISSQLSGMGITKNVVGHCFSGRGGGFLFLGADFVPKSGMTWTPMLQNSFDKHYSSGPAELLFGGKPTGVKGLNVIFDSGATYTYLSSKVYQTVLNLIRKDLTGKQLRDVKDNALPICWKGAKPFKSVRDVRNYFNTLVLSFAGANNNKLVLPPEAYLIVTERGNVCLGILSGTEAGLGITNVIGDISLQDKLVIYDNENQRIGWASADCTRKPR >EOY14624 pep chromosome:Theobroma_cacao_20110822:8:745038:745677:1 gene:TCM_033937 transcript:EOY14624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6-f complex subunit 7, putative MATTSAVLAPVTTSGAVVRCGSNKTKQTTPKVVYIGGMSSYGGLKAHSNNNVVSLGLPRCTEQCFANVISSLKKAHGEGRTGGGALSSTCNKADEIFNIAVIMNGLVLIGVAVGFVLLRIEASLEESDQ >EOY14700 pep chromosome:Theobroma_cacao_20110822:8:1033660:1038730:-1 gene:TCM_033999 transcript:EOY14700 gene_biotype:protein_coding transcript_biotype:protein_coding description:J-domain protein required for chloroplast accumulation response 1, putative isoform 4 MSSRSRNSRNDFFDDIFRGNESSSSSPRKYEMKDPFAPGSQLLSPARPLPPKLEPFGSSIPAQFSLPPQLNKGMDLPTFGSPTRSTYRCKDGSSDGSSYYAYSPLSRFSGQANQDKEELRNYFQTSNRFSALSRELSTGSEESTNLSKYDETETKCNSDSSEITKNGSHFHFSIYKWADKGGLPLAIPLRGNDRHKEKDKLQRCSSANGRIGCKSIAMEPKAKLNDGSTDRMSRNGKSFGVEHGKNENGSLIDSRNGDAEPSRIIEEDNIPMADSETISSLKSSNKNVSGDTVLRSSGGEEKTHCSLPQIEVSAVGKETHKPQSKPLNLLLDDNDDYDKKGNYEITKNARTKEISKKSAKKLSEILDGKSIKKQDVKKKATSNNVEASKTSVKGSPMNSWDNGKGRVRGKVKEFIKIFNQDASSKPRSSTVSESHSSRQKERDTVMPENEPSISMTERDEKIHMTNMQKKKSSSDIPTNGASEKNVNSSVKDTISDGSKTVVEDPADSFEANFLIEDLTPEAKILPQLGIDPEALQVSLMLKYGNGQMESKEIFVHCCQPYNMFFGPVVVGRQFPLLT >EOY14702 pep chromosome:Theobroma_cacao_20110822:8:1034689:1038916:-1 gene:TCM_033999 transcript:EOY14702 gene_biotype:protein_coding transcript_biotype:protein_coding description:J-domain protein required for chloroplast accumulation response 1, putative isoform 4 MQRFSQRESVLLSCSPEKTFVDSSSSPKSPGRNSDIDFSDVFGGPPRRSSIQETRYSFGEITGSSGFRRSEETAAASPNPWSGLSEKPVFGEEVMSSRSRNSRNDFFDDIFRGNESSSSSPRKYEMKDPFAPGSQLLSPARPLPPKLEPFGSSIPAQFSLPPQLNKGMDLPTFGSPTRSTYRCKDGSSDGSSYYAYSPLSRFSGQANQDKEELRNYFQTSNRFSALSRELSTGSEESTNLSKYDETETKCNSDSSEITKNGSHFHFSIYKWADKGGLPLAIPLRGNDRHKEKDKLQRCSSANGRIGCKSIAMEPKAKLNDGSTDRMSRNGKSFGVEHGKNENGSLIDSRNGDAEPSRIIEEDNIPMADSETISSLKSSNKNVSGDTVLRSSGGEEKTHCSLPQIEVSAVGKETHKPQSKPLNLLLDDNDDYDKKGNYEITKNARTKEISKKSAKKLSEILDGKSIKKQDVKKKATSNNVEASKTSVKGSPMNSWDNGKGRVRGKVKEFIKIFNQDASSKPRSSTVSESHSSRQKERDTVMPENEPSISMTERDEKIHMTNMQKKKSSSDIPTNGASEKNVNSSVKDTISDGSKTVVEDPADSFEANFLIEDLTPEAKILPQLGIDPEALQVIDAKIRQWSNGKQGNIRSLLSTLQYVLWPGSGWKTVPLVDIIEGPAVKRSYQKALLCLHPDKLQQKGVASDQKYIAEKVFDILQKFLVTIEVK >EOY14699 pep chromosome:Theobroma_cacao_20110822:8:1034114:1039259:-1 gene:TCM_033999 transcript:EOY14699 gene_biotype:protein_coding transcript_biotype:protein_coding description:J-domain protein required for chloroplast accumulation response 1, putative isoform 4 MQRFSQRESVLLSCSPEKTFVDSSSSPKSPGRNSDIDFSDVFGGPPRRSSIQETRYSFGEITGSSGFRRSEETAAASPNPWSGLSEKPVFGEEVMSSRSRNSRNDFFDDIFRGNESSSSSPRKYEMKDPFAPGSQLLSPARPLPPKLEPFGSSIPAQFSLPPQLNKGMDLPTFGSPTRSTYRCKDGSSDGSSYYAYSPLSRFSGQANQDKEELRNYFQTSNRFSALSRELSTGSEESTNLSKYDETETKCNSDSSEITKNGSHFHFSIYKWADKGGLPLAIPLRGNDRHKEKDKLQRCSSANGRIGCKSIAMEPKAKLNDGSTDRMSRNGKSFGVEHGKNENGSLIDSRNGDAEPSRIIEEDNIPMADSETISSLKSSNKNVSGDTVLRSSGGEEKTHCSLPQIEVSAVGKETHKPQSKPLNLLLDDNDDYDKKGNYEITKNARTKEISKKSAKKLSEILDGKSIKKQDVKKKATSNNVEASKTSVKGSPMNSWDNGKGRVRGKVKEFIKIFNQDASSKPRSSTVSESHSSRQKERDTVMPENEPSISMTERDEKIHMTNMQKKKSSSDIPTNGASEKNVNSSVKDTISDGSKTVVEDPADSFEANFLIEDLTPEAKILPQLGIDPEALQVIDAKIRQWSNGKQGNIRSLLSTLQYVLWPGSGWKTVPLVDIIEGPAVKRSYQKALLCLHPDKLQQKGVASDQKYIAEKVFDILQDAWTHFNSLGSV >EOY14701 pep chromosome:Theobroma_cacao_20110822:8:1035926:1038761:-1 gene:TCM_033999 transcript:EOY14701 gene_biotype:protein_coding transcript_biotype:protein_coding description:J-domain protein required for chloroplast accumulation response 1, putative isoform 4 MQRFSQRESVLLSCSPEKTFVDSSSSPKSPGRNSDIDFSDVFGGPPRRSSIQETRYSFGEITGSSGFRRSEETAAASPNPWSGLSEKPVFGEEVMSSRSRNSRNDFFDDIFRGNESSSSSPRKYEMKDPFAPGSQLLSPARPLPPKLEPFGSSIPAQFSLPPQLNKGMDLPTFGSPTRSTYRCKDGSSDGSSYYAYSPLSRFSGQANQDKEELRNYFQTSNRFSALSRELSTGSEESTNLSKYDETETKCNSDSSEITKNGSHFHFSIYKWADKGGLPLAIPLRGNDRHKEKDKLQRCSSANGRIGCKSIAMEPKAKLNDGSTDRMSRNGKSFGVEHGKNENGSLIDSRNGDAEPSRIIEEDNIPMADSETISSLKSSNKNVSGDTVLRSSGGEEKTHCSLPQIEVSAVGKETHKPQSKPLNLLLDDNDDYDKKGNYEITKNARTKEISKKSAKKLSEILDGKSIKKQDVKKKATSNNVEASKTSVKGSPMNSWDNGKGRVRGKVKEFIKIFNQDASSKPRSSTVSESHSSRQKERDTVMPENEPSISMTERDEKIHMTNMQKKKSSSDIPTNGASEKNVNSSVKDTISDGSKTVVEDPADSFEANFLIEDLTPEAKILPQLGIDPEALQVT >EOY14698 pep chromosome:Theobroma_cacao_20110822:8:1031390:1039355:-1 gene:TCM_033999 transcript:EOY14698 gene_biotype:protein_coding transcript_biotype:protein_coding description:J-domain protein required for chloroplast accumulation response 1, putative isoform 4 MQRFSQRESVLLSCSPEKTFVDSSSSPKSPGRNSDIDFSDVFGGPPRRSSIQETRYSFGEITGSSGFRRSEETAAASPNPWSGLSEKPVFGEEVMSSRSRNSRNDFFDDIFRGNESSSSSPRKYEMKDPFAPGSQLLSPARPLPPKLEPFGSSIPAQFSLPPQLNKGMDLPTFGSPTRSTYRCKDGSSDGSSYYAYSPLSRFSGQANQDKEELRNYFQTSNRFSALSRELSTGSEESTNLSKYDETETKCNSDSSEITKNGSHFHFSIYKWADKGGLPLAIPLRGNDRHKEKDKLQRCSSANGRIGCKSIAMEPKAKLNDGSTDRMSRNGKSFGVEHGKNENGSLIDSRNGDAEPSRIIEEDNIPMADSETISSLKSSNKNVSGDTVLRSSGGEEKTHCSLPQIEVSAVGKETHKPQSKPLNLLLDDNDDYDKKGNYEITKNARTKEISKKSAKKLSEILDGKSIKKQDVKKKATSNNVEASKTSVKGSPMNSWDNGKGRVRGKVKEFIKIFNQDASSKPRSSTVSESHSSRQKERDTVMPENEPSISMTERDEKIHMTNMQKKKSSSDIPTNGASEKNVNSSVKDTISDGSKTVVEDPADSFEANFLIEDLTPEAKILPQLGIDPEALQVIDAKIRQWSNGKQGNIRSLLSTLQYVLWPGSGWKTVPLVDIIEGPAVKRSYQKALLCLHPDKLQQKGVASDQKYIAEKVFDILQDAWTHFNSLGSV >EOY14694 pep chromosome:Theobroma_cacao_20110822:8:1020860:1021818:1 gene:TCM_033996 transcript:EOY14694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 11A isoform 2 LEAYLSKRDGVDKLLKISRYATKIILASSVLPETVPLTRRLKSFESSVGLSRKAFRLGKFVQDVNALRNSHLDSKQEIFLSIIAYGGEGLYYFVEQFIWLAKSGLIDAKHSRNLQKLSAWAEFIGYIGSISLKFRDLKRINEDEACLNSSIEIAVSRGAGCKEEEERRNKLREKELMKKLSVVQDLADGLMALADIQDGKGRFSDPLVVSCAGLLSALISTHKNWVSC >EOY14693 pep chromosome:Theobroma_cacao_20110822:8:1020677:1022539:1 gene:TCM_033996 transcript:EOY14693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 11A isoform 2 MDSKASTVAPTQSKPKEKDFLNHLEAYLSKRDGVDKLLKISRYATKIILASSVLPETVPLTRRLKSFESSVGLSRKAFRLGKFVQDVNALRNSHLDSKQEIFLSIIAYGGEGLYYFVEQFIWLAKSGLIDAKHSRNLQKLSAWAEFIGYIGSISLKFRDLKRINEDEACLNSSIEIAVSRGAGCKEEEERRNKLREKELMKKLSVVQDLADGLMALADIQDGKGRFSDPLVVSCAGLLSALISTHKNWVSC >EOY14865 pep chromosome:Theobroma_cacao_20110822:8:1508159:1510846:1 gene:TCM_034117 transcript:EOY14865 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain protein 11 isoform 1 MVSRDSPPNPASSILHHFIISDSINSQNQFEGQHFGAYGSALRGNHHNTFPQSLGLLPSIQSLGERMSRSMDLLPAPIVAEESEISQTRHLMDLLGAANETNHQTQRLSLSLGSHMLGPSLNSNIASSSYLLSGAEDREVCNPGVEHISDDYSFTASTFASASTSLHRSCSTAYGAESFGTAIGNSRYLRPAQSLLDEVVNVGSKNFEDHFFGKLYLGDRGVGSRLSSELKAEFCSNEISLPEKHDLQIRLAKLIGLLEEVEIRYEKYYQQMEEVVSSFEALAGVGSAKTYTALALQAMSRHFGSLRGAIISQINVIRKRFSQDLPKINRGLSQLSLFDRDSRHNRLSLQQLGMIPSQRQAWRPIRGLPETSVAILRSWLFEHFLHPYPTDSEKLMLASQTGLTKNQVSNWFINARVRLWKPMIEEMYKEEFADSPQDSGPSLASSSMGREGFD >EOY14864 pep chromosome:Theobroma_cacao_20110822:8:1508288:1510851:1 gene:TCM_034117 transcript:EOY14864 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain protein 11 isoform 1 MVSRDSPPNPASSILHHFIISDSINSQNQFEGQHFGAYGSALRGNHHNTFPQSLGLLPSIQSLGERMSRSMDLLPAPIVAEESEISQTRHLMDLLGAANETNHQTQRLSLSLGSHMLGPSLNSNIASSSYLLSGAEDREVCNPGVEHISDDYSFTASTFASASTSLHRSCSTAYGAESFGTAIGNSRYLRPAQSLLDEVVNVGSKNFEDHFFGKLYLGDRGVGSRLSSELKAEFCSNEISLPEKHDLQIRLAKLIGLLEEVEIRYEKYYQQMEEVVSSFEALAGVGSAKTYTALALQAMSRHFGSLRGAIISQINVIRKRFSQDLPKINRGLSQLSLFDRDSRHNRLSLQQLGMIPSQRQAWRPIRGLPETSVAILRSWLFEHFLHPYPTDSEKLMLASQTGLTKNQVSNWFINARVRLWKPMIEEMYKEEFADSPQDSGPSLASSSMGREGIAADQAED >EOY16980 pep chromosome:Theobroma_cacao_20110822:8:15646369:15647304:1 gene:TCM_036066 transcript:EOY16980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVINTKNFASTRGSIGERDNVKELLKAIDEQFESSDKALASILMTKLSSMKLTNVRGVCEHIMQMMDIATQLKSFKVEMFESFLVHFILNSLPHQYGVFKISYNAHKDKWSINELLIMCVQEENKFIAESAKVHI >EOY14733 pep chromosome:Theobroma_cacao_20110822:8:1114527:1122227:-1 gene:TCM_034020 transcript:EOY14733 gene_biotype:protein_coding transcript_biotype:protein_coding description:PERQ amino acid-rich with GYF domain-containing protein 2, putative isoform 1 MDDTGSYRKADEVPISKEISSQVTNSVNPGTMWRASSLVERSHTVAHDWKEIPNDVRSRTPDMCRSQPQEDMINQRESNVMNSSYSRDEANWQTSEDPILKRQPSGVLEREPEPRKLPAPEDLLLHYKDPQGEIQGPFSGIDIIGWFEAGYFGIDLEVRLASAPKDSPFSLLGDVMPHLRAKARPPPGFGVQKQGELSDVSSKPNLSSFGKAHVGASEVDIIRNEPRPKHGSTTEAENRFLESLMSGSLSNPSQGLQGYIANNSSSIPASGIESGNDLYLLAKRMTLERQRSLPKPYPYWPGRDAASMVSKSEIISESPAPHAKLLTSLTDNILQPPHSQGADMMSILQGLSERSAPGVNNSVGGWSNFPSQGALDPLQDKIELHHAQSFPTQASFGIQQQRLQTPTPPSLTSLLSQTMDNSSGILTPEKLISSGLSQDPQLLMLQQQQQYLMQQLPPQASVPTQHMLLLEKIMLLKQQQRQEEQQQLLRQQQLLSQVYQEHHSQQHFGEPSYGHLQATTMPTGNASVDPNRLQSSQDMLQIGSQIQLPATQDEHANNYINRPLQATKDMGYAVSSEAPLQLPHQMFGSINRQMSWGTNAPEQVNDIQQSLPVTTIVESSPSMEVMSLSSQEAALVQAPLIASDCHALKLEQPLDDAQKIDDIVPIATPGNDANCVTLEHPEIAITRTSKIDTPINERVQPTAAIDELQVGRERSDDQPSVVREVKNVEAREVRKASEKKSRKQKSSKSSQASDQAKGVAKASSSVQLKPSETEEPVVGDANTAGDNLYGTSPRKREENKSRIAPVVHMDSQYVKSSSAANVGIVDVETTELKGESSLSDSFPAQNTPIQPALRAWKPAPGFKAKSLLEIQQEEQRKAQVEMAVSEITSSVNSMSLSTPWSGVVASLEPKVSRESQRDADIIESAVGKPESSANPNSKKSPLHDLLADEVLGNSSERDADVPDSISTLSSVHVTTTNVEPIDDDNFIEAKETKKSRKKSAKAKGAGAKVSVPLTPTEVPVSASPVEKSRSARPAQQEKEVLPLIPSGPSLGDFVPWKGEQVNPSSAPAWSTDSKKLSKPTSLRDIQKEQQKKNSSVQSTNPIPTPQKSQPSQSTHGAASSRSITASSPSKVASPIHINSNASSQSKYKGEDDLFWGPIDQTKQETKQADFPHLANMGSWGTKNTPVKGIASRSLSRQKSVGGRQIESTVLSSPASATSLKGKRGTSTKHSEAMDFRDWCESECVRLIGTKDTSFLEFCLKQSRSEAQILLVENLGSFDPNHEFIEKFLNYKELLPADVLEIAFQSRNDLKVTEASPRNVNSGNTAAGDFDQDNAVGPDGSSKGGGKKKGKKGKKVSPAVLGFNVVSNRIMMGEIQTVED >EOY14731 pep chromosome:Theobroma_cacao_20110822:8:1114983:1124799:-1 gene:TCM_034020 transcript:EOY14731 gene_biotype:protein_coding transcript_biotype:protein_coding description:PERQ amino acid-rich with GYF domain-containing protein 2, putative isoform 1 MAHSSASDSRHHLTVNPPHPISKDVQGSENPIPLSPQWLLPKPGESKPGLGTMESHPAPYLAHGSQSDVMKPSGNGEEMHDTLKKKDVFRPSLLDMETGRRDRWRDEERDTHSSVRKDHWRDGDKELSDTRRMDRWADNLPSRHFGEARRPPSERWTDSGNRDSNYDQRRESKWNTRWGPDDKDTESLRDKWTDSGRDGDMPLDKGLSHLSSHRKDEREGDHYRPWRSTSSQSRGRGEPPHHQTLTPSKQVPTFSYGRGRGENHPSTLSAGRGRGSAGGNSVASVSSHRQSLGTILDKSEIGHGEPSPLRYNRTKLLDVYRRTDMRIYQKLLEELVQVPSLTQNEPLEPLALCAPNSDEMVVLKGIDKGDITSSGAPQVPKDGPAGRNSIEFTHSRRNKIGSREDLPPAVDDCKDESVDVPKSSYSNYLEGSPLEKHKGYPDSKFKPEAMDDTGSYRKADEVPISKEISSQVTNSVNPGTMWRASSLVERSHTVAHDWKEIPNDVRSRTPDMCRSQPQEDMINQRESNVMNSSYSRDEANWQTSEDPILKRQPSGVLEREPEPRKLPAPEDLLLHYKDPQGEIQGPFSGIDIIGWFEAGYFGIDLEVRLASAPKDSPFSLLGDVMPHLRAKARPPPGFGVQKQGELSDVSSKPNLSSFGKAHVGASEVDIIRNEPRPKHGSTTEAENRFLESLMSGSLSNPSQGLQGYIANNSSSIPASGIESGNDLYLLAKRMTLERQRSLPKPYPYWPGRDAASMVSKSEIISESPAPHAKLLTSLTDNILQPPHSQGADMMSILQGLSERSAPGVNNSVGGWSNFPSQGALDPLQDKIELHHAQSFPTQASFGIQQQRLQTPTPPSLTSLLSQTMDNSSGILTPEKLISSGLSQDPQLLMLQQQQQYLMQQLPPQASVPTQHMLLLEKIMLLKQQQRQEEQQQLLRQQQLLSQVYQEHHSQQHFGEPSYGHLQATTMPTGNASVDPNRLQSSQDMLQIGSQIQLPATQDEHANNYINRPLQATKDMGYAVSSEAPLQLPHQMFGSINRQMSWGTNAPEQVNDIQQSLPVTTIVESSPSMEVMSLSSQEAALVQAPLIASDCHALKLEQPLDDAQKIDDIVPIATPGNDANCVTLEHPEIAITRTSKIDTPINERVQPTAAIDELQVGRERSDDQPSVVREVKNVEAREVRKASEKKSRKQKSSKSSQASDQAKGVAKASSSVQLKPSETEEPVVGDANTAGDNLYGTSPRKREENKSRIAPVVHMDSQYVKSSSAANVGIVDVETTELKGESSLSDSFPAQNTPIQPALRAWKPAPGFKAKSLLEIQQEEQRKAQVEMAVSEITSSVNSMSLSTPWSGVVASLEPKVSRESQRDADIIESAVGKPESSANPNSKKSPLHDLLADEVLGNSSERDADVPDSISTLSSVHVTTTNVEPIDDDNFIEAKETKKSRKKSAKAKGAGAKVSVPLTPTEVPVSASPVEKSRSARPAQQEKEVLPLIPSGPSLGDFVPWKGEQVNPSSAPAWSTDSKKLSKPTSLRDIQKEQQKKNSSVQSTNPIPTPQKSQPSQSTHGAASSRSITASSPSKVASPIHINSNASSQSKYKGEDDLFWGPIDQTKQETKQADFPHLANMGSWGTKNTPVKGIASRSLSRQKSVGGRQIESTVLSSPASATSLKGKRGTSTKHSEAMDFRDWCESECVRLIGTKDTSFLEFCLKQSRSEAQILLVENLGSFDPNHEFIEKFLNYKELLPADVLEIAFQSRNDLKVTEASPRNVNSGNTAAGDFDQDNAVGPDGSSKGGGKKKGKKGKKVSPAVLGFNVVSNRIMMGEIQTVED >EOY14732 pep chromosome:Theobroma_cacao_20110822:8:1115618:1124799:-1 gene:TCM_034020 transcript:EOY14732 gene_biotype:protein_coding transcript_biotype:protein_coding description:PERQ amino acid-rich with GYF domain-containing protein 2, putative isoform 1 MAHSSASDSRHHLTVNPPHPISKDVQGSENPIPLSPQWLLPKPGESKPGLGTMESHPAPYLAHGSQSDVMKPSGNGEEMHDTLKKKDVFRPSLLDMETGRRDRWRDEERDTHSSVRKDHWRDGDKELSDTRRMDRWADNLPSRHFGEARRPPSERWTDSGNRDSNYDQRRESKWNTRWGPDDKDTESLRDKWTDSGRDGDMPLDKGLSHLSSHRKDEREGDHYRPWRSTSSQSRGRGEPPHHQTLTPSKQVPTFSYGRGRGENHPSTLSAGRGRGSAGGNSVASVSSHRQSLGTILDKSEIGHGEPSPLRYNRTKLLDVYRRTDMRIYQKLLEELVQVPSLTQNEPLEPLALCAPNSDEMVVLKGIDKGDITSSGAPQVPKDGPAGRNSIEFTHSRRNKIGSREDLPPAVDDCKDESVDVPKSSYSNYLEGSPLEKHKGYPDSKFKPEAMDDTGSYRKADEVPISKEISSQVTNSVNPGTMWRASSLVERSHTVAHDWKEIPNDVRSRTPDMCRSQPQEDMINQRESNVMNSSYSRDEANWQTSEDPILKRQPSGVLEREPEPRKLPAPEDLLLHYKDPQGEIQGPFSGIDIIGWFEAGYFGIDLEVRLASAPKDSPFSLLGDVMPHLRAKARPPPGFGVQKQGELSDVSSKPNLSSFGKAHVGASEVDIIRNEPRPKHGSTTEAENRFLESLMSGSLSNPSQGLQGYIANNSSSIPASGIESGNDLYLLAKRMTLERQRSLPKPYPYWPGRDAASMVSKSEIISESPAPHAKLLTSLTDNILQPPHSQGADMMSILQGLSERSAPGVNNSVGGWSNFPSQGALDPLQDKIELHHAQSFPTQASFGIQQQRLQTPTPPSLTSLLSQTMDNSSGILTPEKLISSGLSQDPQLLMLQQQQQYLMQQLPPQASVPTQHMLLLEKIMLLKQQQRQEEQQQLLRQQQLLSQVYQEHHSQQHFGEPSYGHLQATTMPTGNASVDPNRLQSSQDMLQIGSQIQLPATQDEHANNYINRPLQATKDMGYAVSSEAPLQLPHQMFGSINRQMSWGTNAPEQVNDIQQSLPVTTIVESSPSMEVMSLSSQEAALVQAPLIASDCHALKLEQPLDDAQKIDDIVPIATPGNDANCVTLEHPEIAITRTSKIDTPINERVQPTAAIDELQVGRERSDDQPSVVREVKNVEAREVRKASEKKSRKQKSSKSSQASDQAKGVAKASSSVQLKPSETEEPVVGDANTAGDNLYGTSPRKREENKSRIAPVVHMDSQYVKSSSAANVGIVDVETTELKGESSLSDSFPAQNTPIQPALRAWKPAPGFKAKSLLEIQQEEQRKAQVEMAVSEITSSVNSMSLSTPWSGVVASLEPKVSRESQRDADIIESAVGKPESSANPNSKKSPLHDLLADEVLGNSSERDADVPDSISTLSSVHVTTTNVEPIDDDNFIEAKETKKSRKKSAKAKGAGAKVSVPLTPTEVPVSASPVEKSRSARPAQQEKEVLPLIPSGPSLGDFVPWKGEQVNPSSAPAWSTDSKKLSKPTSLRDIQKEQQKKNSSVQSTNPIPTPQKSQPSQSTHGAASSRSITASSPSKVASPIHINSNASSQSKYKGEDDLFWGPIDQTKQETKQADFPHLANMGSWGTKNTPVKGIASRSLSRQKSVGGRQIESTVLSSPASATSLKGKRGTSTKHSEAMDFRDWCESECVRLIGTKDTSFLEFCLKQSRSEAQILLVENLGSFDPNHEFIEKFLNYKELLPADVLEIAFQSRNDLKVTEASPRNVNSGNTAAGDFDQDNAVGPDGSSKGGGKKKGKKGKKVSPAVLGFNVVSNRIMMGEIQTVED >EOY17289 pep chromosome:Theobroma_cacao_20110822:8:19038926:19039658:1 gene:TCM_036437 transcript:EOY17289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQNSKPFKKELISLFSPWAATHCLEVECDSSNVISWIKDHNKVPWPMKIISNAIESCLRSCTGISFSHILREVNLVADNLTKSGVLRTSNFKAYFDIYKGRTHQDSTALD >EOY16695 pep chromosome:Theobroma_cacao_20110822:8:9908843:9918457:-1 gene:TCM_035540 transcript:EOY16695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin interaction motif-containing protein MADQQEEEDLRMALRMSMQNSPPEPKRSKPREAVSSATTTPEESRRLQRELMAAAAEKRMLAAAKSVSASSSPSKSERSGDLGRKETEMKAKEANLGNELSEEEAYQLFSMVFGSGVSKDILAQWSNQGIRFSPDPETSMGLVQHEGGPCGVLATIQAFVLKHLLFFPDELVKVTPNIPQNLSSRRSSKNQYVALNNFAAFTEEAKARALVKSMGEILFLCGNNKRAVIATLSAIGYGIEGSEDSPKDVIIAQALEGLSIETPSDLQKVLRVDTYTTPASAFKRLEAMITVFQSRMGALLFLISALLSRGLDWVQADRDDPSLPLVTAPFGHASQEIVNLLLCGQAVPNVFDGRMDLGGGMFLKGISTNVEVGFLTLLESLNFCKVGQNLKCPKWPIWVVGSESHYTVLFALDTAVQDENELEERESQIRKAFDAQDQSGGGGFISVEGFHQVLRETNIRLPSEKLDSLCSSGFIVWSEFWQVILDLDKSLGGLKDSTGQMGRKIFDLYHFNGIAKSDLNGSQATSGSETPIQRPRLTKLRVSVPPRWTPEEFMADVAVPSGAAGSDSSGKDAEVAKPEPPQHAPLVDCIRTRWPRAVCNWAGDPPSIV >EOY16662 pep chromosome:Theobroma_cacao_20110822:8:9434025:9441391:-1 gene:TCM_035489 transcript:EOY16662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIDFPLNRSTDASPGAGRLSRRPLAPHRTSLRWLPRSALPLPKSVGLYALGFWSSVVPPLPCQTRIFRVWQGNSIFTYSSLFFSPNHKLTSATATADKPAIADKPATADKLDKLTSVTATVDKPATADKLSLSRPRRCSLRERSPKIQKRKLSQPDEEIMTDKQNKVDGCSSKSITGFSSLSEVSYKQRKRRVDLLVFTLSLLPISSFSCKENLPVFKAKCSHSGVKTKRNGGIMKWYKVVLDLGK >EOY16185 pep chromosome:Theobroma_cacao_20110822:8:6115094:6124942:-1 gene:TCM_035033 transcript:EOY16185 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 1C isoform 1 MHPFCCVSTVSDHSPVKPLPDHVITMPPLPVTTITTTSSSAPATRSNSARSTAQIQCHSNQNHDPHHHRSNSMDLNRLSLRNGMLLGRDALPATAPAPPPQVDVKINDIVGNGISGVLYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIVVSQETEKGSKVIGEESFRIISRHRNSISHHPLTRRKPFGEVHLKVSSIRESRSDDKRFSIFTGTKRLHLRAETREDRVAWMEALQAVKDMFPRMSNSELMAPVDNVAVSTEKLRQRLMMEGVSESAIQDSEQIMRSEFAALQSQLLLLKQKQWLLIDTLRQLETEKVDLENTVVDESQNKLTDQGASSIIRQDKSSEGSVTDSDDDNERVDAAEEETDEDDHTFFDTRDFLSSSSFKSNGSDFRTSSFSSDDDGLNAFDSEDDIDPSIKSVGSNFPYIKRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAHEWGIRGNSLMRILNVAAFAVSGYSSTEGRICKPFNPLLGETYEADFPDKGVRFFSEKVSHHPMIVACHCQGTGWKLWGDSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIEGNREYSCKLKFKEQSIIDRNPHQVHGVVQDRNGRTVATLFGKWDESMHYVNGDCSAKGKGQESLSESRLLWKRSKPPKYATRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRYLENGEYEMANSEKLRLEQRQRQARKMQERGWKPRWFAKDKGSDTYRYIGGYWEAREQGKWDSCPDIFGQIPSDQLLD >EOY16186 pep chromosome:Theobroma_cacao_20110822:8:6114978:6125116:-1 gene:TCM_035033 transcript:EOY16186 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 1C isoform 1 MHPFCCVSTVSDHSPVKPLPDHVITMPPLPVTTITTTSSSAPATRSNSARSTAQIQCHSNQNHDPHHHRSNSMDLNRLSLRNGMLLGRDALPATAPAPPPQVDVKINDIVGNGISGVLYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIVVSQETEKGSKVIGEESFRIISRHRNSISHHPLTRRKPFGEVHLKVSSIRESRSDDKRFSIFTGTKRLHLRAETREDRVAWMEALQAVKDMFPRMSNSELMAPVDNVAVSTEKLRQRLMMEGVSESAIQDSEQIMRSEFAALQSQLLLLKQKQWLLIDTLRQLETEKVDLENTVVDESQNKLTDQGASSIIRQDKSSGSVTDSDDDNERVDAAEEETDEDDHTFFDTRDFLSSSSFKSNGSDFRTSSFSSDDDGLNAFDSEDDIDPSIKSVGSNFPYIKRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAHEWGIRGNSLMRILNVAAFAVSGYSSTEGRICKPFNPLLGETYEADFPDKGVRFFSEKVSHHPMIVACHCQGTGWKLWGDSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIEGNREYSCKLKFKEQSIIDRNPHQVHGVVQDRNGRTVATLFGKWDESMHYVNGDCSAKGKGQESLSESRLLWKRSKPPKYATRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRYLENGEYEMANSEKLRLEQRQRQARKMQERGWKPRWFAKDKGSDTYRYIGGYWEAREQGKWDSCPDIFGQIPSDQLLD >EOY16187 pep chromosome:Theobroma_cacao_20110822:8:6115661:6124521:-1 gene:TCM_035033 transcript:EOY16187 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 1C isoform 1 MHPFCCVSTVSDHSPVKPLPDHVITMPPLPVTTITTTSSSAPATRSNSARSTAQIQCHSNQNHDPHHHRSNSMDLNRLSLRNGMLLGRDALPATAPAPPPQVDVKINDIVGNGISGVLYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIVVSQETEKGSKVIGEESFRIISRHRNSISHHPLTRRKPFGEVHLKVSSIRESRSDDKRFSIFTGTKRLHLRAETREDRVAWMEALQAVKDMFPRMSNSELMAPVDNVAVSTEKLRQRLMMEGVSESAIQDSEQIMRSEFAALQSQLLLLKQKQWLLIDTLRQLETEKVDLENTVVDESQNKLTDQGASSIIRQDKSSEGSVTDSDDDNERVDAAEEETDEDDHTFFDTRDFLSSSSFKSNGSDFRTSSFSSDDDGLNAFDSEDDIDPSIKSVGSNFPYIKRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAHEWGIRGNSLMRILNVAAFAVSGYSSTEGRICKPFNPLLGETYEADFPDKGVRFFSEKVSHHPMIVACHCQGTGWKLWGDSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIEGNREYSCKLKFKEQSIIDRNPHQVHGVVQDRNGRTVATLFGKWDESMHYVNGDCSAKGKGQESLSESRLLWKRSKPPKYATRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRYLENGEYEMANSEKLRLEQRQRQVSSEDARERLEAKVVCKGQRQ >EOY16188 pep chromosome:Theobroma_cacao_20110822:8:6117368:6124716:-1 gene:TCM_035033 transcript:EOY16188 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 1C isoform 1 MHPFCCVSTVSDHSPVKPLPDHVITMPPLPVTTITTTSSSAPATRSNSARSTAQIQCHSNQNHDPHHHRSNSMDLNRLSLRNGMLLGRDALPATAPAPPPQVDVKINDIVGNGISGVLYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIVVSQETEKGSKVIGEESFRIISRHRNSISHHPLTRRKPFGEVHLKVSSIRESRSDDKRFSIFTGTKRLHLRAETREDRVAWMEALQAVKDMFPRMSNSELMAPVDNVAVSTEKLRQRLMMEGVSESAIQDSEQIMRSEFAALQSQLLLLKQKQWLLIDTLRQLETEKVDLENTVVDESQNKLTDQGASSIIRQDKSSEGSVTDSDDDNERVDAAEEETDEDDHTFFDTRDFLSSSSFKSNGSDFRTSSFSSDDDGLNAFDSEDDIDPSIKSVGSNFPYIKRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAHEWGIRGNSLMRILNVAAFAVSGYSSTEGRICKPFNPLLGETYEADFPDKGVRFFSEKSSSYDCSMSLSGYRMEIVG >EOY15672 pep chromosome:Theobroma_cacao_20110822:8:4190882:4201157:-1 gene:TCM_034662 transcript:EOY15672 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MEKICVAVRVRPSISEEISSGTYWRVDDNGISLHKIQGTPISGISYSFDHVFDESCSNAKVYELLTKDIIHAAVDGFNGTAFAYGQTSSGKTFTMNGSSTDPGIIHRAVNDIFHKIQTISDREFLIRVSYMEIYNEEINDLFTVENQKLQIHESLERGIFVAGLREEIVNNVEQVMNLLQSGEVNRHFGETNMNARSSRSHTIFRMVIESKGKDASSFGDYSSSDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKYINKSLMVLGNVINKLSDGAKQRAHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEVHVEETKGTLLFASRAKRITNCAQVNEILTDAALLKRQKLEIEELRRKLQGSHAEVLEQEILKLRNDMLKYELERDKLEMELEEERRLHKEREQRIIDQQMKIENLSSLVSDGDRSSSQGSTKESPKEECNDRGDDFKTPCFKAAPNAFVAKRSNYSELPDFSPLPDSFSNVADEDTWFKMNKGYIADLDSLQTTPARKVQSFPPQDVTPVCSNKNDKQELQNLKRQLELVIEEKNEIQRKHAEQIQLNDRLMGELTELKQEALFVRKMPQRLCESVASCKDIYEDVLSKMQSSASDGKSSTAKFLLGTSEIGTTLFSTLEAHFAMAMNGHNSSSGNDSLFQECNKMLSETLKSTITSLILSETAGAEDDQANAPLCSCNFKGGETACWKEKLSNELNSVREKYENLEKELDLSTKFLEASKERYGSLEREFQVLKQERDSLLKTVSESSHKLTLLNDQKENVLMDLNTEVKRRKDLEEEIKHFSVAFASRQRSLMSIHGEFKSKIEKLRAENPVSVQKSLPH >EOY15670 pep chromosome:Theobroma_cacao_20110822:8:4191138:4197334:-1 gene:TCM_034662 transcript:EOY15670 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MEKICVAVRVRPSISEEISSGTYWRVDDNGISLHKIQGTPISGISYSFDHVFDESCSNAKVYELLTKDIIHAAVDGFNGTAFAYGQTSSGKTFTMNGSSTDPGIIHRAVNDIFHKIQTISDREFLIRVSYMEIYNEEINDLFTVENQKLQIHESLERGIFVAGLREEIVNNVEQVMNLLQSGEVNRHFGETNMNARSSRSHTIFRMVIESKGKDASSFGDYSSSDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKYINKSLMVLGNVINKLSDGAKQRAHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEVHVEETKGTLLFASRAKRITNCAQVNEILTDAALLKRQKLEIEELRRKLQGSHAEVLEQEILKLRNDMLKYELERDKLEMELEEERRLHKEREQRIIDQQMKIENLSSLVSDGDRSSSQGSTKESPKEECNDRGDDFKTPCFKAAPNAFVAKRSNYSELPDFSPLPDSFSNVADEDTWFKMNKGYIADLDSLQTTPARKVQSFPPQDVTPVCSNKNDKQELQNLKRQLELVIEEKNEIQRKHAEQIQLNDRLMGELTELKQEALFVRKMPQRLCESVASCKDIYEDVLSKMQSSASDGKSSTAKFLLGTSEIGTTLFSTLEAHFAMAMNGHNSSSGNDSLFQECNKMLSETLKSTITSLILSETAGAEDDQANAPLCSCNFKGCTQGGETACWKEKLSNELNSVREKYENLEKELDLSTKFLEASKERYGSLEREFQVLKQERDSLLKTVSESSHKLTLLNDQKENVLMDLNTEVKRRKDLEEEIKHFSVAFASRQRSLMSIHGEFKSKIEKLRAENPVSVQKSLPH >EOY15671 pep chromosome:Theobroma_cacao_20110822:8:4191726:4197281:-1 gene:TCM_034662 transcript:EOY15671 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MEKICVAVRVRPSISEEISSGTYWRVDDNGISLHKIQGTPISGISYSFDHVFDESCSNAKVYELLTKDIIHAAVDGFNGTAFAYGQTSSGKTFTMNGSSTDPGIIHRAVNDIFHKIQTISDREFLIRVSYMEIYNEEINDLFTVENQKLQIHESLERGIFVAGLREEIVNNVEQVMNLLQSGEVNRHFGETNMNARSSRSHTIFRMVIESKGKDASSFGDYSSSDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKYINKSLMVLGNVINKLSDGAKQRAHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEVHVEETKGTLLFASRAKRITNCAQVNEILTDAALLKRQKLEIEELRRKLQGSHAEVLEQEILKLRNDMLKYELERDKLEMELEEERRLHKEREQRIIDQQMKIENLSSLVSDGDRSSSQGSTKESPKEECNDRGDDFKTPCFKAAPNAFVAKRSNYSELPDFSPLPDSFSNVADEDTWFKMNKGYIADLDSLQTTPARKVQSFPPQDVTPVCSNKNDKQELQNLKRQLELVIEEKNEIQRKHAEQIQLNDRLMGELTELKQEALFVRKMPQRLCESVASCKDIYEDVLSKMQSSASDGKSSTAKFLLGTSEIGTTLFSTLEAHFAMAMNGHNSSSGNDSLFQECNKMLSETLKSTITSLILSETAGAEDDQANAPLCSCNFKFLNVEGLHSGWRNCLLEGETEQ >EOY15968 pep chromosome:Theobroma_cacao_20110822:8:5204234:5205585:1 gene:TCM_034878 transcript:EOY15968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-responsive 1 family protein, putative MGFYQLAKIFPLVVVLIHLSLANVCLGARRLTSLYQAPTMALSYHKGALLEGNLPVSILWYGEFSPAQKSIIADFLLSLNPQKENLGPSPVKPLVSHWWNTIQTYMKKAGKKDARIVLANQVTDRNCSLGKILKKSQISRLARRVHSKPGGLTIVLTAKDVSVEAFCLSNCGFHSSNAKEKSVFIWVGNSVTQCPGQCAWPFHQPIYGPQTVPLGAPNGDVGVDGMIINIASLLAGAVTNPFGNGYFLGSAGAELEVASACPGVYGKGAHPVYAGELLMDRTTGASYNAQGVNGRKYLLPALFDPLTSQCSTLV >EOY15120 pep chromosome:Theobroma_cacao_20110822:8:2299260:2304386:1 gene:TCM_034287 transcript:EOY15120 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1 complex, subunit B protein isoform 1 MGAEKNFIDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPSILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLDDQEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDATS >EOY15119 pep chromosome:Theobroma_cacao_20110822:8:2299359:2304035:1 gene:TCM_034287 transcript:EOY15119 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1 complex, subunit B protein isoform 1 MGAEKNFIDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPSILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLDDQEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDATS >EOY15484 pep chromosome:Theobroma_cacao_20110822:8:3481146:3486251:1 gene:TCM_034530 transcript:EOY15484 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA-type zinc finger protein with TIFY domain MYGQSQPMNIPAQIVGSGADVDDDVSASVSADNHHSVSYDAHPLEDGVGVEDVANDPIYVSAAVASASDLAAVQRVDGASQLTLSFRGQVYVFDAITPDKFHAVLLLLGGCELASGPHGVEMSSQNQRGVLDFPSRSNQPHRAASLDRFRQKRKERCFDKKVRYSVRQEVALRMQRNKGQFTSSKKSDGAYSWGTSQDSGQDDNLPDTSCTHCGISSKSTPMMRRGPSGPRSLCNACGLFWANKGTLRDIPKKTQDHSQTPVEQGESEANDSDCGNAIPTQSNVVSFSNGDASALIAEH >EOY17100 pep chromosome:Theobroma_cacao_20110822:8:17858614:17870505:-1 gene:TCM_036276 transcript:EOY17100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase methylesterase 1 isoform 2 MDSSNLGSVPEETLEEQLHQQQQESKPVVSAFASVPDRPPTQGFSQKYSPLDWSGYFDREEDICIPDSNDVFHLYMTGTEGPVIFCLHGGGYSGLSFALSASKIKEKARIVAMDLRGHGKSSTENDLDLSIETMCNDVFAVLKALYGDSPPAIVLVGHSMGGSVAVHVAAKKTLRSLAGLVVVDVVEGTAMASLMHMQKILSNRMQHFSSIEKAIEWSVRGGSLRNIDSARVSIPTTLKYDDSKKCYVYRARLEETEQYWRGWYEGLSEKFLSCPVPKLLLLAGTDRLDRELSQLVKCKASFKWWLSDIQDMLYRKMRPMNLQC >EOY17099 pep chromosome:Theobroma_cacao_20110822:8:17857825:17870614:-1 gene:TCM_036276 transcript:EOY17099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase methylesterase 1 isoform 2 MDSSNLGSVPEETLEEQLHQQQQESKPVVSAFASVPDRPPTQGFSQKYSPLDWSGYFDREEDICIPDSNDVFHLYMTGTEGPVIFCLHGGGYSGLSFALSASKIKEKARIVAMDLRGHGKSSTENDLDLSIETMCNDVFAVLKALYGDSPPAIVLVGHSMGGSVAVHVAAKKTLRSLAGLVVVDVVEGTAMASLMHMQKILSNRMQHFSSIEKAIEWSVRGGSLRNIDSARVSIPTTLKYDDSKKCYVYRARLEETEQYWRGWYEGLSEKFLSCPVPKLLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDAPDEFAMLILNFISRNRIGPHGVEIPGLCRPARPQS >EOY17098 pep chromosome:Theobroma_cacao_20110822:8:17857677:17872043:-1 gene:TCM_036276 transcript:EOY17098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase methylesterase 1 isoform 2 MDSSNLGSVPEETLEEQLHQQQQESKPVVSAFASVPDRPPTQGFSQKYSPLDWSGYFDREEDICIPDSNDVFHLYMTGTEGPVIFCLHGGGYSGLSFALSASKIKEKARIVAMDLRGHGKSSTENDLDLSIETMCNDVFAVLKALYGDSPPAIVLVGHSMGGSVAVHVAAKKTLRSLAGLVVVDVVEGTAMASLMHMQKILSNRMQHFSSIEKAIEWSVRGGSLRNIDSARVSIPTTLKYDDSKKCYVYRARLEETEQYWRGWITGYEASIRRVPLLDYKFSSPVSRYEGLSEKFLSCPVPKLLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDAPDEFAMLILNFISRNRIGPHGVEIPGLCRPARPQS >EOY17216 pep chromosome:Theobroma_cacao_20110822:8:18435812:18438495:-1 gene:TCM_036367 transcript:EOY17216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 2 isoform 2 MNKKTNSSSSSSTTTKPAGTVLPYQTPRLRDHYLLGKKLGQGQFGTTYQCTHKATGTLYACKSIPKRKLLCREDYDDVWREIQIMHHLSEHPSVVQIKGTYEDSVFVHLVMELCAGGELFDRIVAKGHYSEREAVKLIKTIVGVVEACHSLGVMHRDLKPENFLFDSPADDAILKATDFGLSIFYKPGQYFSDVVGSPYYVAPEVLCKYYGPEVDIWSAGVILYILLSGVPPFWAETESGIFRQILHGKIDFASEPWPSISDSAKDLIRKMLERDPRQRISAHEVLCHPWIVDDRVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFQELKDGLKKVGSELMESEIKSLMEAVNIPS >EOY17213 pep chromosome:Theobroma_cacao_20110822:8:18433941:18440041:-1 gene:TCM_036367 transcript:EOY17213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 2 isoform 2 MGWNGMEWLIPWNQTKLLRTIRRSPIQLQAYNKKQKKEEENNSKQEGRKKVKEKEIPSEIFSAMNKKTNSSSSSSTTTKPAGTVLPYQTPRLRDHYLLGKKLGQGQFGTTYQCTHKATGTLYACKSIPKRKLLCREDYDDVWREIQIMHHLSEHPSVVQIKGTYEDSVFVHLVMELCAGGELFDRIVAKGHYSEREAVKLIKTIVGVVEACHSLGVMHRDLKPENFLFDSPADDAILKATDFGLSIFYKPGQYFSDVVGSPYYVAPEVLCKYYGPEVDIWSAGVILYILLSGVPPFWAETESGIFRQILHGKIDFASEPWPSISDSAKDLIRKMLERDPRQRISAHEVLCHPWIVDDRVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFQELKDGLKKVGSELMESEIKSLMEAADIDNSGTIDYGEFLAATLHINKMEREENLVAAFSFFDKDGSGYITIDELQNACKEFGLGDVHLDEMIKEIDQDNDGRIDYGEFTAMMRKGDGIGRSRTMRSNLNFTIADAFGVKDPTSDSN >EOY17214 pep chromosome:Theobroma_cacao_20110822:8:18435658:18438762:-1 gene:TCM_036367 transcript:EOY17214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 2 isoform 2 MNKKTNSSSSSSTTTKPAGTVLPYQTPRLRDHYLLGKKLGQGQFGTTYQCTHKATGTLYACKSIPKRKLLCREDYDDVWREIQIMHHLSEHPSVVQIKGTYEDSVFVHLVMELCAGGELFDRIVAKGHYSEREAVKLIKTIVGVVEACHSLGVMHRDLKPENFLFDSPADDAILKATDFGLSIFYKPGQYFSDVVGSPYYVAPEVLCKYYGPEVDIWSAGVILYILLSGVPPFWAETESGIFRQILHGKIDFASEPWPSISDSAKDLIRKMLERDPRQRISAHEVLCHPWIVDDRVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFQELKDGLKKVGSELMESEIKSLMEAVNIPS >EOY17215 pep chromosome:Theobroma_cacao_20110822:8:18434612:18438762:-1 gene:TCM_036367 transcript:EOY17215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 2 isoform 2 MNKKTNSSSSSSTTTKPAGTVLPYQTPRLRDHYLLGKKLGQGQFGTTYQCTHKATGTLYACKSIPKRKLLCREDYDDVWREIQIMHHLSEHPSVVQIKGTYEDSVFVHLVMELCAGGELFDRIVAKGHYSEREAVKLIKTIVGVVEACHSLGVMHRDLKPENFLFDSPADDAILKATDFGLSIFYKPGQYFSDVVGSPYYVAPEVLCKYYGPEVDIWSAGVILYILLSGVPPFWAETESGIFRQILHGKIDFASEPWPSISDSAKDLIRKMLERDPRQRISAHEVLCHPWIVDDRVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFQELKDGLKKVGSELMESEIKSLMEAADIDNSGTIDYGEFLAATLHINKMEREENLVAAFSFFDKDGSGYITIDELQNACKEFGLGDVHLDEMIKEIDQDNDGRIDYGEFT >EOY15368 pep chromosome:Theobroma_cacao_20110822:8:3053210:3057374:1 gene:TCM_034456 transcript:EOY15368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase-related kinase 2 isoform 1 MRKKRKGSETDVSTEVTNNFASSCGSRSSNIRSHFSLEDYARLKKRCKEDVDAPPVGSCKSRLAGIATAPPCGASSLVPPGRGLKRKIGCIEVITQIGRKKKVEDDYVKGATIGRGKFGSVWLCRSRTSGVDFACKTLCKGEETVHREVEIMQHLSGHPGVVTLQAVYEEPDCFHLVMELCSGGRLIDQMAEGQYSEQRAANIFKDVMLVIKYCHEMGVVHRDIKPENILLTTSGTIKLADFGLAMRISKGQTLSGLAGSPAYVAPEVLSGNYSEKVDIWSAGVLLHALLVGVLPFQGDSLKAVFEAIKNVNLDFHSGIWESVSKPARDLLARMLTRDVSSRITADEVLRHPWILFYTERSLKTLSIKSKSKNQVGPSIQIYSSPGSKLSVRRIDGGSHSRVPQPVSTSSSSSCGSEEQDENGVVDVLAVAISHVRISEPKRSRLCSPTGPIEQQCSSNLTANNLLGKMMLMDDELNIQSGDNGVISSKAFDFQSHGGVCSVIEIESLSLGT >EOY15369 pep chromosome:Theobroma_cacao_20110822:8:3053210:3057257:1 gene:TCM_034456 transcript:EOY15369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase-related kinase 2 isoform 1 MRKKRKGSETDVSTEVTNNFASSCGSRSSNIRSHFSLEDYARLKKRCKEDVDAPPVGSCKSRLAGIATAPPCGASSLVPPGRGLKRKIGCIEVITQIGRKKKVEDDYVKGATIGRGKFGSVWLCRSRTSGVDFACKTLCKGEETVHREVEIMQHLSGHPGVVTLQAVYEEPDCFHLVMELCSGGRLIDQMAEGQYSEQRAANIFKDVMLVIKYCHEMGVVHRDIKPENILLTTSGTIKLADFGLAMRISKGQTLSGLAGSPAYVAPEVLSGNYSEKVDIWSAGVLLHALLVGVLPFQGDSLKAVFEAIKNVNLDFHSGIWESVSKPARDLLARMLTRDVSSRITADEVLRHPWILFYTERSLKTLSIKSKSKNQVGPSIQIYSSPGSKLSVRRIDGGSHSRVPQPVSTSSSSSCGSEEQDENGVVDVLAVAISHVRISEPKRSRLCSPTGPIEQQCSSNLTANNLCRAF >EOY15370 pep chromosome:Theobroma_cacao_20110822:8:3054321:3056931:1 gene:TCM_034456 transcript:EOY15370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase-related kinase 2 isoform 1 MRKKRKGSETDVSTEVTNNFASSCGSRSSNIRSHFSLEDYARLKKRCKEDVDAPPVGSCKSRLAGIATAPPCGASSLVPPGRGLKRKIGCIEVITQIGRKKKVEDDYVKGATIGRGKFGSVWLCRSRTSGVDFACKTLCKGEETVHREVEIMQHLSGHPGVVTLQAVYEEPDCFHLVMELCSGGRLIDQMAEGQYSEQRAANIFKDVMLVIKYCHEMGVVHRDIKPENILLTTSGTIKLADFGLAMRISKGQTLSGLAGSPAYVAPEVLSGNYSEKVDIWSAGVLLHALLVGVLPFQGDSLKAVFEAIKNVNLDFHSGIWESVSKPARDLLARMLTRDVSSRITADEVLRHPWILFYTERSLKTLSIKSKSKNQVGPSIQIYSSPGSKLSVRRIDGGSHSRVPQPVSTSSSSSCGSEEQDENGVVDVLAVAISHVRISEPKRSRLCSPTGPIEQQCSSNLTANNLCRAF >EOY16870 pep chromosome:Theobroma_cacao_20110822:8:12585369:12598471:-1 gene:TCM_035803 transcript:EOY16870 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 1 MKQGSKHQPELFWPRVVMRKLLNITAKDSDYSADTDDEDDIGSDSETEEIYESCRESRFRGNRDEEPLPDLNGLDGLPKLRRRKSETFRAQYINTKEIRVCVGTWNVGGKVLPDDLDIDDWIDINKPADIYVLGLQEIVPLNAGNIFGAEDSRPVPKWENIIRETLNRIRPATTKVKCYSDPPSPSKFKPFDDVPNLEEEIILESDSDIGEEIHPLDEEPNSFDEVNNSAGNKSVFTNSGVSDCGGGAKLDVPVEQDLQRQFSSPKRLDRLNCLRMEDCAENVESPVSQQNRKFTRMLSGIEQNGKLTRMLSGTERIGLSWPEPPLNLLSQHVLERPSSFKSIKSFRATKSFQTYSSFKSMNNMASGLALLAELDLESLLKRKKRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTHFCFVCTHLTSGEKDGDELKRNADVHEILRRTHFHSLSAFGLPKSIHDHERIIWLGDLNYRINLSYEKTCALIFKKEWSKLIESDQLVRELRKGRTFDGWSEGALNFAPTYKYELNSEKYYGEDPKVGRRTPAWCDRILSYGKGMRQLSYRRTELQLSDHRPVSAIYMVEVEEFCPRKLQRALTYTDAEIENEEVVAEDIQY >EOY16869 pep chromosome:Theobroma_cacao_20110822:8:12584431:12602445:-1 gene:TCM_035803 transcript:EOY16869 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 1 MKQGSKHQPERSWAEICCFGCSCLQLFWPRVVMRKLLNITAKDSDYSADTDDEDDIGSDSETEEIYESCRESRFRGNRDEEPLPDLNGLDGLPKLRRRKSETFRAQYINTKEIRVCVGTWNVGGKVLPDDLDIDDWIDINKPADIYVLGLQEIVPLNAGNIFGAEDSRPVPKWENIIRETLNRIRPATTKVKCYSDPPSPSKFKPFDDVPNLEEEIILESDSDIGEEIHPLDEEPNSFDEVNNSAGNKSVFTNSGVSDCGGGAKLDVPVEQDLQRQFSSPKRLDRLNCLRMEDCAENVESPVSQQNRKFTRMLSGIEQNGKLTRMLSGTERIGLSWPEPPLNLLSQHVLERPSSFKSIKSFRATKSFQTYSSFKSMNNMASGLALLAELDLESLLKRKKRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTHFCFVCTHLTSGEKDGDELKRNADVHEILRRTHFHSLSAFGLPKSIHDHERIIWLGDLNYRINLSYEKTCALIFKKEWSKLIESDQLVRELRKGRTFDGWSEGALNFAPTYKYELNSEKYYGEDPKVGRRTPAWCDRILSYGKGMRQLSYRRTELQLSDHRPVSAIYMVEVEEFCPRKLQRALTYTDAEIENEEVVAEDIQY >EOY15931 pep chromosome:Theobroma_cacao_20110822:8:5087307:5088380:1 gene:TCM_034853 transcript:EOY15931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRKNLMANLSRSKDVAGGRSKPNEGCRRHPKHRQSPGVCSLCLGEKLSQLSAHTSSRSITTTVSSSSSSSSSLSSYHSSSSASSCSSPMHRYRFTTEGKGTSLSLLLFSGKNILTKSRSLAFASRMRRKEGDDKKKKDGFLSKLLHPRSSKKMEEAAGLMHSRTMREMLTSRVH >EOY14781 pep chromosome:Theobroma_cacao_20110822:8:1248822:1251172:1 gene:TCM_034053 transcript:EOY14781 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein MNYENYDPSFPDQPVVDQYLPIWASLPAFRSKPAFIWPDDGSTDVSKSSTLTYAQLNDSVQSISFQLLLSLQRGDTIVILCSPGLELVEIIFGCQRAGLLSVPIIPPDPSFAKENYHHLLRVLSQTKLKAAIAHHDYITRVQQYLSSPSKDERLAGMLQNLIWISTGDIKHKKVDSTAGSMFYNGCKPDELYLIQYTSGATGIPKPVLVTAGSAAHNVRTARKAYDLHPNSVIVSWLPQYHDCGLMFLLLTIVSGATCVLTSPGAFVNRPRLWIELITEFKATCTPVPSFTLPLVVKRGGVEKGSSPINLWSLRNLIIINEPIYKASVEEFLDVFKPFGLNPSSISPSYGLAENCTFVSTAWRNNDNSGNSSFRHLPSHNKLLPSARLANEEEEEDMNIIVVNEDTHEPVEDEIEGEIWVSSPSNASGYLGHPFLTQDIFKGRLSNKVGRCFVRTGDRGIVKGAERFLFVTGRCLDVVKLPNGQDMHPHYIETTAYNTCPQLIRGGCLAAFDISRMIVLVAEMQRSEKDNKILRDICEKMRETVLNQERVELGMVVLVKSGSVPKTTSGKIQRWAAKDNFLGGKMKVLMEMKFDNYHGVLLPSPGAMILASKGRGQRIGKGREGEEGRALIAEEKEEIPFSLSSAPTRHPWLSRL >EOY16248 pep chromosome:Theobroma_cacao_20110822:8:6405822:6411882:1 gene:TCM_035086 transcript:EOY16248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 1 MLSKGVGTMATTATMAARSLSIAHNPRHKLKWVFTPLSFCSSATRARKLVLYSKPGCCLCDGLKEKLRAAFSISGPDSLHDITLQVRDITSNPEWEEAYQYEIPVLAKVLPDGTEEILPRLSPRLGVELVQKKIAAAFRHRGISMHQKGKENDKDGTRDEDFKPPAAVAGD >EOY16249 pep chromosome:Theobroma_cacao_20110822:8:6405889:6411373:1 gene:TCM_035086 transcript:EOY16249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 1 MLSKGVGTMATTATMAARSLSIAHNPRHKLKWVFTPLSFCSSATRARKLVLYSKPGCCLCDGLKEKLRAAFSISGPDSLHDITLQVRDITSNPEWEEAYQYEIPVLAKVLPDGTEEILPRLSPRLGVELVQKKIAAAFRQ >EOY16247 pep chromosome:Theobroma_cacao_20110822:8:6405813:6413017:1 gene:TCM_035086 transcript:EOY16247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 1 MLSKGVGTMATTATMAARSLSIAHNPRHKLKWVFTPLSFCSSATRARKLVLYSKPGCCLCDGLKEKLRAAFSISGPDSLHDITLQVRDITSNPEWEEAYQYEIPVLAKVLPDGTEEILPRLSPRLGVELVQKKIAAAFRHRGISMHQKGKENDKDGTRDEDFKPPAAVAGD >EOY17134 pep chromosome:Theobroma_cacao_20110822:8:18032719:18041081:1 gene:TCM_036306 transcript:EOY17134 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase family protein MDIIDLRSGSHLGLKQIKVTREMEEGRSVSGEDNEPLSPMARMFHEPDSNVYIITIVGFKNPIEPNSFKANLVHTLLKHPRFSSVQVADENNGGELKWVQTEVELEKHVIVPKVDEEMASQGAADKFIEDYISNMSKTKISMSIPMWDCHILNLKTSDAESVLVLRVHHSLGDGTSLMSLLISCSRKLFDPLALPTFPAMKKKPIATTTWLCFWIKLWSFFLLIWNTLVDMLMCVATLYFYKDTPTPLKPPSRSVACTPKRIVRRTFSLDDVKLVKNATNTLIWLIRVYLKCFAIGPFVDRQRCRPSNHSSRLISLDISTVNMRHEAGKEWEDNLPNNIRLRATLFINLRSSPGIYALGEMLKKNSKAEWGNKIGYVLFPFTIALKDNPLEYIRDVKEAMDRKKASLEAKFRHLMATVFVRFYRTRLAKFPSTTMWFSNVAGPQDEITIFGNQVTYIAPSLYGQPVALTIHVVSYAKKMSMVLSVDDNIIPDPYQLCDDLEESLKLIKKSVISQ >EOY16611 pep chromosome:Theobroma_cacao_20110822:8:8958550:8980873:1 gene:TCM_035433 transcript:EOY16611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase 1 MTTAGQVIRCKAAVAWESGKPLSIEDVEVAPPQKDEVRIKILFTSLCHTDVYFWDAKGQDPLFPRILGHEAGGIVESVGEGVTDLQPGDHVLPIFTGECKECRHCLSEESNMCDLLRINTDRGTMIHDGKSRFSINGKPIYHFLGTSTFSEYTVVHVGQVAKINPSAPLDKVCVLSCGISTGFGATVNVAKPKNGQSVAVFGLGAVGLAAAEGARVSGASRIIGVDLNPNRFEEAKKFGVTEFVNPKDHNKPVQEVIAEMTGGGVDRSVECTGSIQAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPMNLLNERTLKGTFFGNYKPRSHIPGVVEKYMNNELELDKFITHSVPFSEINKAFEYMLRGEGLRCIIRMDA >EOY15890 pep chromosome:Theobroma_cacao_20110822:8:4956678:4959732:1 gene:TCM_034820 transcript:EOY15890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Switch subunit 3, putative isoform 2 MAGRSPVKEADSSDTIPTPAQSKPPQVSAKSTTPAVKSEIPSTPTPCSRPPPSSSDADVIHVPSYSRWFSWEKIDACEVRFLPEFFDGRSPSKSPSVYMYYRNSIIKQFRENPSRKISYTDARRALVGDVGSIRRVFDFLELWGLVNYSAASAPSKPAKDTANNNKSADAPSLEAPSSAAPTSSSSKHSSAASRRFCGACKSLCTIACFVCDKYDSTLCARCYVRGNFRVGLSNADFRRVEITDEPKADWSEKDTLLLLEAIMHYGDDWKKVAQHVGGRTDKDCVAHFVKLPFGEEFLGHPSSDEAESGFETNKRMRLTPLADASNPIMAQAAFLSALAGVESAGAAAQAAVTTLSEVDDNTASKGGRGSFARNTRREADVSSNGDTNLNALERAYADVYALLEKEERDIERAITGITEVQMKEIQDKILHFEELDLQMEKELAQLEGMKNLLFVDQLNLLFRRSYALKTEERAVENVKPNVS >EOY15891 pep chromosome:Theobroma_cacao_20110822:8:4956546:4959286:1 gene:TCM_034820 transcript:EOY15891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Switch subunit 3, putative isoform 2 MAGRSPVKEADSSDTIPTPAQSKPPQVSAKSTTPAVKSEIPSTPTPCSRPPPSSSDADVIHVPSYSRWFSWEKIDACEVRFLPEFFDGRSPSKSPSVYMYYRNSIIKQFRENPSRKISYTDARRALVGDVGSIRRVFDFLELWGLVNYSAASAPSKPAKDTANNNKSADAPSLEAPSSAAPTSSSSKHSSAASRRFCGACKSLCTIACFVCDKYDSTLCARCYVRGNFRVGLSNADFRRVEITDEPKADWSEKDTLLLLEAIMHYGDDWKKVAQHVGGRTDKDCVAHFVKLPFGEEFLGHPSSDEAESGFETNKRMRLTPLADASNPIMAQAAFLSALAGVESAGAAAQAAVTTLSEVDDNTASKGGRGSFARNTRRDVSSNGDTNLNALERAYADVYALLEKEERDIERAITGITE >EOY15210 pep chromosome:Theobroma_cacao_20110822:8:2581614:2583166:-1 gene:TCM_034355 transcript:EOY15210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MINSNVKHKNDKNLSWKKKLAASIGHVRSSSLPSNTHPLVASVEEQLSRLKASQDTSSSISCGLGGLKELYERADDLVHLPLTQQALCREQLEDVLEGSLRLLDVCGTTRDVFSRMRESVQVLESSLRRRSGGESGLANEVRAFMVTKKELNKMICQCFKSLKGMDRKCKSTVLGKDSEMVAVLSMLREAEEISLAVFDSLLSFLSLPKPRSKLTVLLHSKSASSEVEANEAQKVDAELSLLKAGEDIKLVQVQ >EOY16490 pep chromosome:Theobroma_cacao_20110822:8:7677420:7681339:1 gene:TCM_035274 transcript:EOY16490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated kinase 2, putative MSYCLDCPPKPFLGQGNASAPVLNISLDGELRVSSSIARQCYNASGLIVEDRSSRLVLTKFPISNTRNRFTVVGCDTFAAIARTRGQNFTTGCLSLCDQIDNVANGSCAGIGCCQTMIPRGMRNFGAAVGSLENHTTVSSFNPCSFAFLVEEGFYNFSTADLIDLRNKDDMPVVLDWAVGNVTCQEAQNNLTTYACQAADSECSNSSNGPGYRCRCKTGFQGNAYLVDGCQDIDECRTSNPCSGACHNSPGSVFCSCPEGFEGDGMRNGTGCHRIANTGDEKRLIIPLSVTISLLVLIVGGCWIYWGVQKRKLIKLKEKFFEQNGGVMLQQQLSKHKGSIETARIYTAEELKKATKNYHESRVLGQGGYGTVYKGLFPDNKVVAIKKSKICDQSQIEQFINEVLVLTQINHRNVVKLLGCCLETEHPHFVWELRLKVAAETAGALAYSHSATSMPIIHRDVKTTNILLDNSYTAKVSDFGASRLVPLDQTQLTTLVQGTLGYLDPEYFHSSQLTEKGDVYSLGVVLVELLTGKQALAFDRPEKERNLAMVFVSSMKEDQLLEILDGRIANEGNIQQIQQVAELAKRCLSVRGEERPTMKEVATELEGLRVVEKHPWVKVDLGSEETERT >EOY16318 pep chromosome:Theobroma_cacao_20110822:8:6679840:6686382:1 gene:TCM_035139 transcript:EOY16318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDELITSLQFDSTTPNRTDRMCRERFEPRARAQSAYVSLSVGAEPNELIDTGCSKPDKKGVLACLSAEMSQLLNKPLPPISDPQTTYQKMVRSSLTAINSIILYPVFTKGLKIGILPICHVQSKFLATPLFKTARDIDVILLEAKFQHVPEMGGDLSQQRANPTLQAAAAVAEIFIRLGSNIFNTCPA >EOY17016 pep chromosome:Theobroma_cacao_20110822:8:17085995:17090442:-1 gene:TCM_036182 transcript:EOY17016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSNFPSNQLLLYSQERDPLEGPIPHLEAHFCIFLSIVPLPIANVFEVEAKSQSSSFKNLGGNMRHLIVEACSARNLSDSSAYFWLGYVSSLMVSSELSLVKKSTWFSVMEGDPLNGHLVNSFLTTPASSLAEIEKLYHIALNGLVVEKLATTKILCGASLSYGWNFQDEMDSNFEQLEFASDKPIYIDYFPKLRGCGALQSDSSTPSSSSICGSLVSSKEDVYQIHTFFTWDVLKATPFVLEAILTTCAYGRLSSRDLATGLRDLVDFLLASLAVIIIFVPK >EOY15779 pep chromosome:Theobroma_cacao_20110822:8:4621283:4623613:1 gene:TCM_034745 transcript:EOY15779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MFLQILLFVALYSITTHLLHKFQNHPPIPFPSLPIIGHLHLLKKPLHRSLAKISNKHGPILLLHFGSRPVLVVSSPSAAEECLTKNDIVFANRPQLQFGKHLGNNYTTLAWAPYGDNWRNLRRISSLQLLSTNCLQLLYTTRLDEVRSLLRKLFENRDRMVEMKSALFDLMLNVMMRMIAGKRYYGDNVAEVKEATRFREIMTETFLLAATSNMGDFLPVLKWVGKSEKGMIKLQQKRETFTQELVEEWRNRMSNGKSSLVTEKKKTMIEVLLSLQEEDPENYKDETIRNLMMVLLLAGTDTSAGTLEWAMSFLLNHPEVLEKAQTEMDTVVGQARLMDESDLVNLPYLHCIIRETMRIKPVGPLLIPHESSKDCVVGGYHIPCGTMLMVNLWAIHNDPNNWEEPTKFKPERFEGLEGTKVGFKFMPFGSGRRSCPGEGLAMRMVGLTLGSLIQCFHWERIGKEMVDMTEGPGLTMPKAQPLQAKCRPRQPMVNLLSQI >EOY16367 pep chromosome:Theobroma_cacao_20110822:8:6889927:6895536:-1 gene:TCM_035168 transcript:EOY16367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nramp transporter isoform 1 MGSLQQQATDLALPKSWGGGSNRIAAPEYVYIFALLVFYSFGLILIDLFDAQKPGWRKFWSFVGPGLLVSLAYIDPGSLETDLQAAANHGYELLWVVFIGLVFALIIQSLAANLGVSTGKHLSELCKAEYPILIKYCLWLLAEFAVISADVPEVIGTAFALNILFHVPVWAGVLCTGLSTLLLLSLQRYGVIKLYFVRKLEMLMAVMISVMAACFFGEMSYVKPPATGVLKGMLVPKLSGQGATGDAIALLGALVMPHNLFLHSALVLSRKVPNSVRGINAACRYFLIETGFALFVALLINVAVVSVAGTVCLANNLSSDDSDRCSNLTLNSASFMLQHVLGKSSSTLYAIALLASGQSSSIADTYAGQFIMQGFLDLKMKKWVRNLVTRSIAIAPSLIVSIIGGSQGAARLIIISSMILSYVLPFTFIPLLKFSNSSAKMGPHKNSIYIIVISWILGLGMFGINVYYLITTFVGWLIHDDLPKVGNVFIGIIVFPLMAIYILSMIYLTFRKDTVVTYIEPEMNDPAAQARMESGLSNPDGSFRADDVPYGQDLADIPLPE >EOY16368 pep chromosome:Theobroma_cacao_20110822:8:6890127:6918878:-1 gene:TCM_035168 transcript:EOY16368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nramp transporter isoform 1 MGSLQQQATDLALPKSWGGGSNRIAAVNVEGSTPESFPSNDNKSSDHDHDPDHEKPGWRKFWSFVGPGLLVSLAYIDPGSLETDLQAAANHGYELLWVVFIGLVFALIIQSLAANLGVSTGKHLSELCKAEYPILIKYCLWLLAEFAVISADVPEVIGTAFALNILFHVPVWAGVLCTGLSTLLLLSLQRYGVRKLEMLMAVMISVMAACFFGEMSYVKPPATGVLKGMLVPKLSGQGATGDAIALLGALVMPHNLFLHSALVLSRKVPNSVRGINAACRYFLIETGFALFVALLINVAVVSVAGTVCLANNLSSDDSDRCSNLTLNSASFMLQHVLGKSSSTLYAIALLASGQSSSIADTYAGQFIMQGFLDLKMKKWVRNLVTRSIAIAPSLIVSIIGGSQGAARLIIISSMILSYVLPFTFIPLLKFSNSSAKMGPHKNSIYIIVISWILGLGMFGINVYYLITTFVGWLIHDDLPKVGNVFIGIIVFPLMAIYILSMIYLTFRKDTVVTYIEPEMNDPAAQARMESGLSNPDGSFRADDVPYGQDLADIPLPE >EOY14952 pep chromosome:Theobroma_cacao_20110822:8:1755457:1761506:1 gene:TCM_034173 transcript:EOY14952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein isoform 2 MSTKEPEKKVEEEGEEKKKGGELLFCGSTCWDIVGRRKGAVEGNLISPTRLRPLVGIDIRFVASGCASCHCVALDVDGRCYTWGRNEKGQLGHGDTIQRDRPTVVSELSKYKIIKAGGGRSHTVVVTEEGNSLAFGWNKHGQLGSGSTRNELELSPVRCLVSQVTNTACGADFTVWISSVEGASILTAGLPQFGQLGHGTDNEYNTKDSSVRLAYEAQPRPRAIATLAGETIVKVACGTNHTVAVDKNGYVYTWGFGGYGRLGHREQKDEWVPRRVEIFQKHNVLPPDAIVSAGSVNSACTAGGGQLYMWGKIKTTGDDWMYPKPLMDLSGWNLRCMDSGNMHHFVGADSSCISWGHAQYGELGYGPNGQKSSAVPKKVDILEGVHVISVACGMGHSMVIVDRTNVGDRLDELDIYDGKTSGEGTEVPDAKTPVSKPNNKKAASKTPNDSKKRKKSKDSSDSEEEEEGEEENSDVESDSSGEQVNGKVSGRGRGKGAKKSTSGGKGTGRGRGRPPANKSSQSSQVKTGKRGRPRKS >EOY14951 pep chromosome:Theobroma_cacao_20110822:8:1755457:1761506:1 gene:TCM_034173 transcript:EOY14951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein isoform 2 MSTKEPEKKVEEEGEEKKKGGELLFCGSTCWDIVGRRKGAVEGNLISPTRLRPLVGIDIRFVASGCASCHCVALDVDGRCYTWGRNEKGQLGHGDTIQRDRPTVVSELSKYKIIKAGGGRSHTVVVTEEGNSLAFGWNKHGQLGSGSTRNELELSPVRCLVSQVTNTACGADFTVWISSVEGASILTAGLPQFGQLGHGTDNEYNTKDSSVRLAYEAQPRPRAIATLAGETIVKVACGTNHTVAVDKNGYVYTWGFGGYGRLGHREQKDEWVPRRVEIFQKHNVLPPDAIVSAGSVNSACTAGGGQLYMWGKIKTTGDDWMYPKPLMDLRIILFSDFGCCFYPPFHGWNLRCMDSGNMHHFVGADSSCISWGHAQYGELGYGPNGQKSSAVPKKVDILEGVHVISVACGMGHSMVIVDRTNVGDRLDELDIYDGKTSGEGTEVPDAKTPVSKPNNKKAASKTPNDSKKRKKSKDSSDSEEEEEGEEENSDVESDSSGEQVNGKVSGRGRGKGAKKSTSGGKGTGRGRGRPPANKSSQSSQVKTGKRGRPRKS >EOY17220 pep chromosome:Theobroma_cacao_20110822:8:18501755:18509104:1 gene:TCM_036375 transcript:EOY17220 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-binding casette family G25 MPSFGGVEAPTGDSLEGPDHSKDISRDLRDFPSLMSSCYPITLKFIDVCYKVKIQQQTNSSRGRCIKRMFSHGGLSAATSDQRSTGQVQETTILNNITGVASPGEILAILGPSGSGKSTLLNALAGRLQQGHGFSGTILANNKKPTKQIAKRTGFVTQDDVLYPHLTVRETLVFCSLLRLPKTLTTKEKTSIAEMVLSELGLSKCENTIIGNSFIRGISGGERKRVSIAHEMLINPSLLILDEPTSGLDSTAAHRLVSTLGSLAQKGKTIVTSMHQPSSRVYQMFDSVLVLSEGKSLYFGKGSEAMAYFESVGFSPSFPMNPADFLLDLANDVCKLDGVSERERPNVKQTLIASYNALLAPKVKAACMEITIVSAKETRLIGSHSFKEHRDSNTIDLCTWFHQFSILLQRSLKERKHESFNILRVFQVITAAILAGLMWWHSDYSDIQDRLGLLFFISIFWGVLPSFNAVFAFPQERAIFMKERASGMYTLSSYFMARIIGDLPMELILPVVFLIVTYWMAGLKPDLVAFLLTLLVLLGYVLVSQGLGLALGAAIMDAKQASTIVTVTMLAFVLTGGYYVHKVPSCMAWIKYISTTYYSYKLFINVQYGEGKKISSMLGCSHHGRSNTVSCKFIDQDIAGQISPELSVAILLLMFVGYRLLAYLALRRIKG >EOY16981 pep chromosome:Theobroma_cacao_20110822:8:15653909:15655845:1 gene:TCM_036067 transcript:EOY16981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVKTTLFNGELEEEVYMKQVEGFSSSDGEQMCVHAQDILIWIETSLPSMDDVLLATNIKRLLYEVKQFLSKNFDMKDMDEASYVFGIKIYKDRSPSILVAIFSTKNNKSGNRSKHIDIKYLAVKEHVKENKVVIEHVSTKLMIVDPLTKGMLPMKFKNHVA >EOY16130 pep chromosome:Theobroma_cacao_20110822:8:5895371:5898113:1 gene:TCM_034996 transcript:EOY16130 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOM, putative isoform 1 MEEATPANQPHTQIEIKPQSEEAPPPISPSLGNNHPESINQPEGRFPFFKSRYRQRSSDTWLISIFVILHLLAFITTMLFNYFSLGSALFQPLSENPLLGPSASTLEKVGALQRADLAQNHRTWRLFVCPWLHAGVIHFAINISCIIFVGIHLERDYGPLRIGIIYLLSAFFGSLVCSLFVRNSPVVTSSDALFGLLGAMLSGIIRNWKVYTNKCAALAVVFIVFAINFLLGLLPYIDNFANIGAFMSGFLLGFVFLFTPQIRQLSKNKAGLFEYSVKSSINLKQKLKLDRPILRSVSLLLFIILLVGCLEAVFRGIDINHYCGWCTFIDCIPSKRWNCNDRTNACEIMTSNSDLTLTCLRNGNFR >EOY16129 pep chromosome:Theobroma_cacao_20110822:8:5895004:5898099:1 gene:TCM_034996 transcript:EOY16129 gene_biotype:protein_coding transcript_biotype:protein_coding description:KOM, putative isoform 1 MEEATPANQPHTQIEIKPQSEEAPPPISPSLGNNHPESINQPEGRFPFFKSRYRQRSSDTWLISIFVILHLLAFITTMLFNYFSLGSALFQPLSENPLLGPSASTLEKVGALQRADLAQNHRTWRLFVCPWLHAGVIHFAINISCIIFVGIHLERDYGPLRIGIIYLLSAFFGSLVCSLFVRNSPVVTSSDALFGLLGAMLSGIIRNWKVYTNKCAALAVVFIVFAINFLLGLLPYIDNFANIGAFMSGFLLGFVFLFTPQIRQLSKNKAGLFEYSVKSSINLKQKLKLDRPILRSVSLLLFIILLVGCLEAVFRGIDINHYCGWCTFIDCIPSKRWNCNDRTNACEVYIMTSNSDLTLTCLRNGNFRVLPSTNISQARITDLCSMIC >EOY14877 pep chromosome:Theobroma_cacao_20110822:8:1545847:1551456:1 gene:TCM_034124 transcript:EOY14877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 5 isoform 1 MWEDLIKKAKDGGLDVIDTYVFWNGHELSPGNYNFEGRYDLVRFIKTVQKLGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTQKIVQMMKNEKLFASQGGPIILSQIENEYGPEGKALGAAGHAYINWAAKMAVGLDTGVPWVMCKEDDAPDPVINACNGFYCDAFSPNKPYKPKMWTEAWSGWFTEFGGTIHQRPVQDLAFAVARFIQNGGSYINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLQELHRAIKLCEHALVSSDPTVTSLGTYQQAHVFSSRQGSCAAFLSNFHTKSAARVLFNNRHYNLPPWSISILPDCRNAVFNTAIVGTKTSHIQMLPTNSMMFSWETYDEDISSLGESSRITAPGLLEQMNVTRDTSDYLWYTTSVDISPSESFLRGGQKPTLNVDSAGHALHVFINGQFSGSAFGTREDRSFTFTGPVNLRAGTNRIALVSVAVGLPNVGLHYETWKTGILGVFLHGLDQGKKDLTWQKWSYQVGLQGEAMNLVSPDRASSAEWIRGSLATRSRQSMAWYKAYFNAPGGDEPLALDMRSMGKGQVWINGQSLGRYWMAYAKGDCGACGYSGTFRPTKCQSGCGQPTQRWYHVPRSWLKPTRNLLVVFEELGGDASKVSLVRRSVL >EOY14876 pep chromosome:Theobroma_cacao_20110822:8:1543441:1551456:1 gene:TCM_034124 transcript:EOY14876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 5 isoform 1 MGQSGIREMLYIRRITLLTLQYIWKKGFLSFVYLAAVLDFQAVDLVHHRFITGLLVCSKLIQCSITYDKKAIVRILISGSIHYPRSTPEMWEDLIKKAKDGGLDVIDTYVFWNGHELSPGNYNFEGRYDLVRFIKTVQKLGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTQKIVQMMKNEKLFASQGGPIILSQIENEYGPEGKALGAAGHAYINWAAKMAVGLDTGVPWVMCKEDDAPDPVINACNGFYCDAFSPNKPYKPKMWTEAWSGWFTEFGGTIHQRPVQDLAFAVARFIQNGGSYINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLQELHRAIKLCEHALVSSDPTVTSLGTYQQAHVFSSRQGSCAAFLSNFHTKSAARVLFNNRHYNLPPWSISILPDCRNAVFNTAIVGTKTSHIQMLPTNSMMFSWETYDEDISSLGESSRITAPGLLEQMNVTRDTSDYLWYTTSVDISPSESFLRGGQKPTLNVDSAGHALHVFINGQFSGSAFGTREDRSFTFTGPVNLRAGTNRIALVSVAVGLPNVGLHYETWKTGILGVFLHGLDQGKKDLTWQKWSYQVGLQGEAMNLVSPDRASSAEWIRGSLATRSRQSMAWYKAYFNAPGGDEPLALDMRSMGKGQVWINGQSLGRYWMAYAKGDCGACGYSGTFRPTKCQSGCGQPTQRWYHVPRSWLKPTRNLLVVFEELGGDASKVSLVRRSVL >EOY14878 pep chromosome:Theobroma_cacao_20110822:8:1546191:1550225:1 gene:TCM_034124 transcript:EOY14878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 5 isoform 1 MWEDLIKKAKDGGLDVIDTYVFWNGHELSPGNYNFEGRYDLVRFIKTVQKLGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTQKIVQMMKNEKLFASQGGPIILSQIENEYGPEGKALGAAGHAYINWAAKMAVGLDTGVPWVMCKEDDAPDPVINACNGFYCDAFSPNKPYKPKMWTEAWSGWFTEFGGTIHQRPVQDLAFAVARFIQNGGSYINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLQELHRAIKLCEHALVSSDPTVTSLGTYQQAHVFSSRQGSCAAFLSNFHTKSAARVLFNNRHYNLPPWSISILPDCRNAVFNTAIVGTKTSHIQMLPTNSMMFSWETYDEDISSLGESSRITAPGLLEQMNVTRDTSDYLWYTTSVDISPSESFLRGGQKPTLNVDSAGHALHVFINGQFSGSAFGTREDRSFTFTGPVNLRAGTNRIALVSVAVGLPNVGLHYETWKTGILGVFLHGLDQGKKDLTWQKWSYQVWQTCIHLQKLFDDALQNARRS >EOY16812 pep chromosome:Theobroma_cacao_20110822:8:11546468:11548477:1 gene:TCM_047081 transcript:EOY16812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MCHYQPWEDDSPPTEDHAQSTRNGSDPVVAGWHSWETRIAMLEARIARAEARLDGLEARMLYQQKALHVTFVLFLGTLIYAIWK >EOY16811 pep chromosome:Theobroma_cacao_20110822:8:11546337:11549091:1 gene:TCM_047081 transcript:EOY16811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MCHYQPWEDDSPPTEDHAQSTRNGSDPVVAGWHSWETRIAMLEARIARAEARLDGLEARMLYQQKALHVTFVLFLGTLIYAIWK >EOY16451 pep chromosome:Theobroma_cacao_20110822:8:7154532:7165637:1 gene:TCM_035217 transcript:EOY16451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative isoform 3 MDPSSYVNHHHHHHHQQNNHTRYAPLSPPLHLLSPTPPLPPHAPPYQQSPNNLYPSRYHVPPPQPPPQLAPPPPPPQQPQQQPYHPLPAPPPSVQRQQHPNHHPYNPQHPQYTFNPNFNSNPKPNNVSHQFHDFPQRRVPEFDTRPEYWPDNRASRPHSVSSLDREARYHQFDRRPASLAVDRYRHDVEGSSRYRALELNLRERPELGRVHSDNWIPDRASRDFGIVSMGFESNSNNSGFCHKVAENVRWGSRLRDQLIDNGNNEINERDEMRVFSRKIDYYQESELERFSDRGSSREDSHEFNRAPRKQIQKKSALLRIQKAQQNHRNREDERSHYMGYNNEGKTGSFRGKDLVLHSDHGLEERERKVSPVELDVSFKSNSLVAKAIVTPSSSSPVSDLNVKPRTSKIRKVMIFDKANESRAKLDVSTSVLNSGSGSEDSKQSAGKVKSCGIGNVHDGVTKPSSKRTNVSLRNGKFERSCKVTVKLDDLTCVTEETPITDKKPESLEGKSTIPCIGNMRDGGLQTCSDRANVSVRENKVEGTLKSTLSDKSGASVGKPSSLKATKKKKIVRKVEKKVTNSPLNLANSKSPKSCDQPVKADTSTYCLSAISVADKSVTPPKMKIASAAGCSAGAVGLECCPKESALLLEYEKVTGASKDVVSKEVGTDVDPGSSVAPKIKRKRNSSTLPLRSSGHEESKVDQRFVNSDNSVFGLRIVSNIKEDRTETLNESITSRAFSVEDIDKQFYHSESNNDGLLRSEDINVHEDIVDIGSSSVAMHGTPGFECGSSNTQEINIACDIGNVNSGSKQACATAGNPVVEDGTTGRLPEANCSAGSNKMPHLPCSEETQINSGSIYADCSNHNRSTIHTPDIGYVNSGESNCEIGDDFVKHLVSSTLSLGNSGAERIPNAAESPECTAGSADVLTSANCLDTTIITSGVSAPPEVMVSDFGWLDTFREVSASADGKSPENKKRKISTSSSDVTASVINEGVAVSNISKSAVQLPSNFTDDQLQLEQAVKVSSIDGLHKEGIDLLLVNSSVVGPSQSVGFFRDAYKINHPRIDPCSAFIESVAPSSPCLHLLKLGGDQLSTATQVSAQNNHQIVAMDIEGDDRGKVHVGTAEEQKFISSEVSQCRITPEHMSSSLDQRLPSTDVEDDNHIPLKDDLPSALISLVFGVDANEVSATNSNDEVMPAPDIVSDVGSPYNHDNFVISASTCKAPLCQQSEKQAFGDEKFSDDKPMAEGAGNVSALVSYSQHSRTILKSNDAIQTNQSVAGKEVLLPSHDSKNTNSPNSISGATRRRKNPLSHVVPKSYPTRSSFVFSASKNTTPSTNITKPRTWHRTNNSSASPLSGNKPSSSANPLQRQMPKKAAFFQSPSYIRKGNSLVRKPVAVPALPQGSHSLSSSVYRMNPGVVDEVKKGTGPNSRVGAVDLRTGGANASFERPTTPPLSSVSKVPNCTSNSPGECTSSPLAEPSISDCCETAINHASSMEINDVLNSPEDGLKTFETLNQNGSVNNLEECTEQSESNLVPSNAKRLTYVKPKSNQLVATSECGRTSILNADKNQNFSAPSDGYYKKSKNQLIRTALESHIKQAVTMSDNKTNSVGQVAAKVMPSRTVGKRQSNKVVGKTHKPSKFSLVWTLHSARLSKNDGNSLRRPKVLPQLFPWKRMTYWRSFKLNSVSSCNSSLSTISRKMLLSRKRNTVYTRSINGFSIRKSKVFSVGGSSLKWSKSIERNSRKANEEATLAVAEAERKKREQKGTVSRTGKRSYSCHKVVHGTELRPGERIFRIGSLRYKMDSSRHSLQRISDDESSCSSDHLSENSTKKTYVPRRLVIGNDEYVRIGNGNQLVRDPKKRTRVLASEKVRWSLHTARLRLVKKRKYCQFFTRFGKCNKDDGKCPYIHDPSKIAVCTKFLKGLCSNPNCKLTHKVIPERMPDCSYFLQGLCTNENCPYRHVHVNPNASTCEGFLRGYCADGNECRKKHSYVCPNFEATGSCPQGSKCKLHHPKKQSKGKKSKRSIKHNNARGRYFGIDMLVPKRMVPESHRALDDDDVFFDGKFSDYIRLDVRDDDAGEIHQVMNDQMTFGDNDSSDLRLDDLDELIKPIRIMNR >EOY16453 pep chromosome:Theobroma_cacao_20110822:8:7154815:7163699:1 gene:TCM_035217 transcript:EOY16453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative isoform 3 MDPSSYVNHHHHHHHQQNNHTRYAPLSPPLHLLSPTPPLPPHAPPYQQSPNNLYPSRYHVPPPQPPPQLAPPPPPPQQPQQQPYHPLPAPPPSVQRQQHPNHHPYNPQHPQYTFNPNFNSNPKPNNVSHQFHDFPQRRVPEFDTRPEYWPDNRASRPHSVSSLDREARYHQFDRRPASLAVDRYRHDVEGSSRYRALELNLRERPELGRVHSDNWIPDRASRDFGIVSMGFESNSNNSGFCHKVAENVRWGSRLRDQLIDNGNNEINERDEMRVFSRKIDYYQESELERFSDRGSSREDSHEFNRAPRKQIQKKSALLRIQKAQQNHRNREDERSHYMGYNNEGKTGSFRGKDLVLHSDHGLEERERKVSPVELDVSFKSNSLVAKAIVTPSSSSPVSDLNVKPRTSKIRKVMIFDKANESRAKLDVSTSVLNSGSGSEDSKQSAGKVKSCGIGNVHDGVTKPSSKRTNVSLRNGKFERSCKVTVKLDDLTCVTEETPITDKKPESLEGKSTIPCIGNMRDGGLQTCSDRANVSVRENKVEGTLKSTLSDKSGASVGKPSSLKATKKKKIVRKVEKKVTNSPLNLANSKSPKSCDQPVKADTSTYCLSAISVADKSVTPPKMKIASAAGCSAGAVGLECCPKESALLLEYEKVTGASKDVVSKEVGTDVDPGSSVAPKIKRKRNSSTLPLRSSGHEESKVDQRFVNSDNSVFGLRIVSNIKEDRTETLNESITSRAFSVEDIDKQFYHSESNNDGLLRSEDINVHEDIVDIGSSSVAMHGTPGFECGSSNTQEINIACDIGNVNSGSKQACATAGNPVVEDGTTGRLPEANCSAGSNKMPHLPCSEETQINSGSIYADCSNHNRSTIHTPDIGYVNSGESNCEIGDDFVKHLVSSTLSLGNSGAERIPNAAESPECTAGSADVLTSANCLDTTIITSGVSAPPEVMVSDFGWLDTFREVSASADGKSPENKKRKISTSSSDVTASVINEGVAVSNISKSAVQLPSNFTDDQLQLEQAVKVSSIDGLHKEGIDLLLVNSSVVGPSQSVGFFRDAYKINHPRIDPCSAFIESVAPSSPCLHLLKLGGDQLSTATQVSAQNNHQIVAMDIEGDDRGKVHVGTAEEQKFISSEVSQCRITPEHMSSSLDQRLPSTDVEDDNHIPLKDDLPSALISLVFGVDANEVSATNSNDEVMPAPDIVSDVGSPYNHDNFVISASTCKAPLCQQSEKQAFGDEKFSDDKPMAEGAGNVSALVSYSQHSRTILKSNDAIQTNQSVAGKEVLLPSHDSKNTNSPNSISGATRRRKNPLSHVVPKSYPTRSSFVFSASKNTTPSTNITKPRTWHRTNNSSASPLSGNKPSSSANPLQRQMPKKAAFFQSPSYIRKGNSLVRKPVAVPALPQGSHSLSSSVYRMNPGVVDEVKKGTGPNSRVGAVDLRTGGANASFERPTTPPLSSVSKVPNCTSNSPGECTSSPLAEPSISDCCETAINHASSMEINDVLNSPEDGLKTFETLNQNGSVNNLEECTEQSESNLVPSNAKRLTYVKPKSNQLVATSECGRTSILNADKNQNFSAPSDGYYKKSKNQLIRTALESHIKQAVTMSDNKTNSVGQVAAKVMPSRTVGKRQSNKVVGKTHKPSKFSLVWTLHSARLSKNDGNSLRRPKVLPQLFPWKRMTYWRSFKLNSVSSCNSSLSTISRKMLLSRKRNTVYTRSINGFSIRKSKVFSVGGSSLKWSKSIERNSRKANEEATLAVAEAERKKREQKGTVSRTGKRSYSCHKVVHGTELRPGERIFRIGSLRYKMDSSRHSLQRISDDESSCSSDHLSENSTKKTYVPRRLVIGNDEYVRIGNGNQLVRDPKKRTRVLASEKVRWSLHTARLRLVKKRKYCQFFTRFGKCNKDDGKCPYIHDPSKIAVCTKFLKGLCSNPNCKLTHKVQNLSG >EOY16452 pep chromosome:Theobroma_cacao_20110822:8:7154532:7165637:1 gene:TCM_035217 transcript:EOY16452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative isoform 3 MDPSSYVNHHHHHHHQQNNHTRYAPLSPPLHLLSPTPPLPPHAPPYQQSPNNLYPSRYHVPPPQPPPQLAPPPPPPQQPQQQPYHPLPAPPPSVQRQQHPNHHPYNPQHPQYTFNPNFNSNPKPNNVSHQFHDFPQRRVPEFDTRPEYWPDNRASRPHSVSSLDREARYHQFDRRPASLAVDRYRHDVEGSSRYRALELNLRERPELGRVHSDNWIPDRASRDFGIVSMGFESNSNNSGFCHKVAENVRWGSRLRDQLIDNGNNEINERDEMRVFSRKIDYYQESELERFSDRGSSREDSHEFNRAPRKQIQKKSALLRIQKAQQNHRNREDERSHYMGYNNEGKTGSFRGKDLVLHSDHGLEERERKVSPVELDVSFKSNSLVAKAIVTPSSSSPVSDLNVKPRTSKIRKVMIFDKANESRAKLDVSTSVLNSGSGSEDSKQSAGKVKSCGIGNVHDGVTKPSSKRTNVSLRNGKFERSCKVTVKLDDLTCVTEETPITDKKPESLEGKSTIPCIGNMRDGGLQTCSDRANVSVRENKVEGTLKSTLSDKSGASVGKPSSLKATKKKKIVRKVEKKVTNSPLNLANSKSPKSCDQPVKADTSTYCLSAISVADKSVTPPKMKIASAAGCSAGAVGLECCPKESALLLEYEKVTGASKDVVSKEVGTDVDPGSSVAPKIKRKRNSSTLPLRSSGHEESKVDQRFVNSDNSVFGLRIVSNIKEDRTETLNESITSRAFSVEDIDKQFYHSESNNDGLLRSEDINVHEDIVDIGSSSVAMHGTPGFECGSSNTQEINIACDIGNVNSGSKQACATAGNPVVEDGTTGRLPEANCSAGSNKMPHLPCSEETQINSGSIYADCSNHNRSTIHTPDIGYVNSGESNCEIGDDFVKHLVSSTLSLGNSGAERIPNAAESPECTAGSADVLTSANCLDTTIITSGVSAPPEVMVSDFGWLDTFREVSASADGKSPENKKRKISTSSSDVTASVINEGVAVSNISKSAVQLPSNFTDDQLQLEQAVKVSSIDGLHKEGIDLLLVNSSVVGPSQSVGFFRDAYKINHPRIDPCSAFIESVAPSSPCLHLLKLGGDQLSTATQVSAQNNHQIVAMDIEGDDRGKVHVGTAEEQKFISSEVSQCRITPEHMSSSLDQRLPSTDVEDDNHIPLKDDLPSALISLVFGVDANEVSATNSNDEVMPAPDIVSDVGSPYNHDNFVISASTCKAPLCQQSEKQAFGDEKFSDDKPMAEGAGNVSALVSYSQHSRTILKSNDAIQTNQSVAGKEVLLPSHDSKNTNSPNSISGATRRRKNPLSHVVPKSYPTRSSFVFSASKNTTPSTNITKPRTWHRTNNSSASPLSGNKPSSSANPLQRQMPKKAAFFQSPSYIRKGNSLVRKPVAVPALPQGSHSLSSSVYRMNPGVVDEVKKGTGPNSRVGAVDLRTGGANASFERPTTPPLSSVSKVPNCTSNSPGECTSSPLAEPSISDCCETAINHASSMEINDVLNSPEDGLKTFETLNQNGSVNNLEECTEQSESNLVPSNAKRLTYVKPKSNQLVATSECGRTSILNADKNQNFSAPSDGYYKKSKNQLIRTALESHIKQAVTMSDNKTNSVGQVAAKVMPSRTVGKRQSNKVVGKTHKPSKFSLVWTLHSARLSKNDGNSLRRPKVLPQLFPWKRMTYWRSFKLNSVSSCNSSLSTISRKMLLSRKRNTVYTRSINGFSIRKSKVFSVGGSSLKWSKSIERNSRKANEEATLAVAEAERKKREQKGTVSRTGKRSYSCHKVVHGTELRPGERIFRIGSLRYKMDSSRHSLQRISDDESSCSSDHLSENSTKKTYVPRRLVIGNDEYVRIGNGNQLVRDPKKRTRVLASEKVRWSLHTARLRLVKKRKYCQFFTRFGKCNKDDGKCPYIHDPSKIAVCTKFLKGLCSNPNCKLTHKVIPERMPDCSYFLQGVLFVDCAFLISNCLNAEF >EOY17343 pep chromosome:Theobroma_cacao_20110822:8:19575747:19583106:1 gene:TCM_036503 transcript:EOY17343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cactus-binding domain, C-terminal, Cactin, central region MLFLVRTGKRRSDDDSASESKSDETNSRHSLSSRKRSKDRSRRSKSKSSRYRHTRSRSRRDRGSDDDFSGDDSDESDRCRSKKKRSSKNITEEEVAEYMAKKAQKKALIAAKKLKAQTVLGYSNDSNPFGDSNLNEKQGFFFPKLFVWRKKIEQDVAQGVPSDTFSVKAEKKRQKERMAEIEMVKKRREERALEKAQHEEEMALLARDRARAEFHDWEKKEEEFHFDQSKVRSEIRLREGRMKRIDGLTVKEMEELCDDIKMHLDLDRATPTHRVLGEYFVNLRPYGSHALMVVCDWELADARKKDALDRARVHGEEPPAELLAEERGLHSSIEADVKNLLEGKTHCELEALQSEIESQMLSGTAEVVEYWEAVLKRLHIFKAKACLKEIHAKMLHKHLQRLEQPSEGEDRMESDHGLTVNLDSQGYRWHDKYQPRKPKYFNRVHTGYEWNKYKQTHYDHDNPPPKIVQGYEFNIFYPDLVDKTKAPGYTIEKDGNGTETCVIRFHAGPPDEDIAFRIVNKGSEYSHKKGFKCTFEHGILHIYFNFKRYRYCR >EOY16709 pep chromosome:Theobroma_cacao_20110822:8:10171504:10172948:-1 gene:TCM_035566 transcript:EOY16709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLAYSAKRLSANGCNSAPCLILFMHYIYQTLPWEETHLFSNTICIETLIIKKKKKENFSLPHSRS >EOY14613 pep chromosome:Theobroma_cacao_20110822:8:717462:719193:-1 gene:TCM_033928 transcript:EOY14613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger DNA-binding family protein, putative MPTDSGDRRPVRVPGTMGSHPPPKLTEPLPCPRCDSTSTKFCYYNNYNLSQPRYFCKSCRRYWTQGGTLRNVPVGGGTRKASKRSRCSSGSSPSVATSSSSSSVTHEVESMPMAAANPVSVMPGPGVKPEMGLADVNLNESVDLPVNGSFTSFLNSQGEGYLSLGGYGFGAGSGFDGVWGYPGNGYLGGFSGGGSGGPGGVIGGTTGCNTWQTTNDVEGGGGLADGDCFGWPGLAISAPGKGLK >EOY17065 pep chromosome:Theobroma_cacao_20110822:8:17377370:17381545:1 gene:TCM_036220 transcript:EOY17065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transporter, putative MDLWAVHLKNGTNCGNPAAGALLLNRNSIPERPNSWNIGKFGGFEIRPSRRLLSSSSHPSFTVKAMAKKNNHDDSSSSSSSSGNGDQSIPDRDSSRRNNSSDSNESDDSASQKSHHANTDWREFRAMLYNNYQVETAESDAHKQGGAPHVSKPLGSKWAHPLSVPETGCVLVATEKLDGVRTFERTVVLLLRSGTRHPQEGPFGVVINRPLHKKIKHMKSTNNELTTTFSDCSLHFGGPLDASMFLLKVGKKSKFPGFEEVIPGLCFGARNSLDEAAELVKRGVLKSQDFKFFVGYAGWQLDQLREEIESDYWYVAACSPNLIFGDSSDSWSESLWKEILQEMGGHYSELSRKPKQDI >EOY15708 pep chromosome:Theobroma_cacao_20110822:8:4342790:4345692:1 gene:TCM_034692 transcript:EOY15708 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MVFGQVVIGPPGSGKTTYCNGMSQFLKLIGRKVAVINLDAANDMLPYECAINIEDLIKLSDVMTEHSLGPNGGLVYCMDYLEKNIDWLQSRLEPLLKDHYLLFDFPGQVELFFLHSNAKNVVMKLIKKLNLRLTAVHLVDAHLCSDPGKYVSALLLSLSTMLHLELPHINVLSKIDLIESYGKLAFNLDFYTDVQDLSYLQHHLDQDPRSAKYRKLTKELCDVIEDFSIVNFTTLDIQQDKESVGNLVKLIDRSNGYIFAGIDASAVEFSKIAVRQVDWDYYRSCSGAREVHEG >EOY15707 pep chromosome:Theobroma_cacao_20110822:8:4342229:4346080:1 gene:TCM_034692 transcript:EOY15707 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MVFGQVVIGPPGSGKTTYCNGMSQFLKLIGRKVAVINLDAANDMLPYECAINIEDLIKLSDVMTEHSLGPNGGLVYCMDYLEKNIDWLQSRLEPLLKDHYLLFDFPGQVELFFLHSNAKNVVMKLIKKLNLRLTAVHLVDAHLCSDPGKYVSALLLSLSTMLHLELPHINVLSKIDLIESYGKLAFNLDFYTDVQDLSYLQHHLDQDPRSAKYRKLTKELCDVIEDFSIVNFTTLDIQDKESVGNLVKLIDRSNGYIFAGIDASAVEFSKIAVRQVDWDYYRAAAVQEKYMKDDENFDDDD >EOY15706 pep chromosome:Theobroma_cacao_20110822:8:4342229:4346080:1 gene:TCM_034692 transcript:EOY15706 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MVFGQVVIGPPGSGKTTYCNGMSQFLKLIGRKVAVINLDAANDMLPYECAINIEDLIKLSDVMTEHSLGPNGGLVYCMDYLEKNIDWLQSRLEPLLKDHYLLFDFPGQVELFFLHSNAKNVVMKLIKKLNLRLTAVHLVDAHLCSDPGKYVSALLLSLSTMLHLELPHINVLSKIDLIESYGKLAFNLDFYTDVQDLSYLQHHLDQDPRSAKYRKLTKELCDVIEDFSIVNFTTLDIQDKESVGNLVKLIDRSNGYIFAGIDASAVEFSKIAVRQVDWDYYRAAAVQEKYMKDDENFDDDD >EOY16840 pep chromosome:Theobroma_cacao_20110822:8:11772431:11778534:-1 gene:TCM_035734 transcript:EOY16840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein, putative isoform 2 MVKSRLSFKFAGCLVSSLILNLLFIINIYVGGQWNLSWSSRAAAEAEAVAATSCSGHGRAYLDGLVVDGNTEPVCECNACYTGPDCSQYIPHCMANADGGDPLFLEPFWLQHAASSALVVAGWHRMSYSFDGSTYISKELERLIRKVHALVGNAVTENRFIIFGTGSTQVLSAAAYALSLENSSSPAGVVASVPYYALYKEQAEYFSSEKFKFQGDANAWKNKSDTSTNMIEVVTSPNNPDGRLNKVILQGPNVKTIYDRAYYWPHFTPITAPADEDLMVFTLSKLSGHAGSRFGWAVVKDENVYNRMTIHMQLSSMGVSRDSQLRAFKLLKAALEGEGRELFDFAYQTMKTRWERLTRTLSLSKRFSVQKINPQYCTFYNKFREFSPAYAWLKCEREEDKDCYAVLKEAKIIARQGNRFGSEDRYVRLSLVRSQDDFDILIKRLNKLVSEEDGAKIM >EOY16841 pep chromosome:Theobroma_cacao_20110822:8:11765871:11778534:-1 gene:TCM_035734 transcript:EOY16841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein, putative isoform 2 MVKSRLSFKFAGCLVSSLILNLLFIINIYVGGQWNLSWSSRAAAEAEAVAATSCSGHGRAYLDGLVVDGNTEPVCECNACYTGPDCSQYIPHCMANADGGDPLFLEPFWLQHAASSALVVAGWHRMSYSFDGSTYISKELERLIRKVHALVGNAVTENRFIIFGTGSTQVLSAAAYALSLENSSSPAGVVASVPYYALYKEQAEYFSSEKFKFQGDANAWKNKSDTSTNMIEVVTSPNNPDGRLNKVILQGPNVKTIYDRAYYWPHFTPITAPADEDLMVFTLSKLSGHAGSRFGWAVVKDENVYNRMTIHMQLSSMGVSRDSQLRAFKLLKAALEGEGRELFDFAYQTMKTRWERLTRTLSLSKRFSVQKINPQYCTFYNKFREFSPDQEAIGN >EOY15056 pep chromosome:Theobroma_cacao_20110822:8:2104186:2111141:1 gene:TCM_034247 transcript:EOY15056 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 3 MAPSFIFEAPSDEEPEFEESEEEDNEEAEEEEGAEAEEKPSKPKRKSQSPWDFASYSESVAEEHARRGTTSVDFKISKILQQSSAPEQQEEISDSEPDKQVDYRSEDDDEEKSNAGESKSFFAPSEGASFHANSFMELNLSRPLLRACEALGYTKPTPIQAACIPLALTGRDICGSAVTGSGKTAAYALPTLERLLFRPKRISAIRVLILTPARELAVQVHSMIEKLAQFTDIRCCLVVGGLSLKAQESALRLMPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLELGFSAEIHELVRLCPKRRQTMLFSATMTEEVDELVKLSLTRPLRLSADPSAKRPATLTEEVVRIRRMREVNQEAVLLSLCSKTFTSKVIIFSGTKQAAHRLKILFQLAGLQAAELHGDLTQVQRLDALDRFRKQEVDFLIATDVAARGLDIIGVETVINYACPRDITSYVHRVGRTARAGREGYAVTFVTDNDRSLLKAIAKRVGSKLKSRIVAEQSIAKWSQKIEEKEDKVAEVIEEERAERALRKAEMEATKAENMIAHKDEIYARPKRTWFMTEKEKKLVAKAAKASVETEKGSANAVISAQQAEDLKMKEKRKREREKNLPRKKRRKLEAAREMLEDQSEMNESEGSGKNKKEKEGISLVDLAYRRAKAVKAVKKAVDSGKIVKKSNKKSKHANQRTQSRTEEMRELFQNDMSEKRQKSTSGAGRKKSKSSFKSKSRYKRR >EOY15058 pep chromosome:Theobroma_cacao_20110822:8:2104497:2110985:1 gene:TCM_034247 transcript:EOY15058 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 3 MAPSFIFEAPSDEEPEFEESEEEDNEEAEEEEGAEAEEKPSKPKRKSQSPWDFASYSESVAEEHARRGTTSVDFKISKILQQSSAPEQQEEISDSEPDKQVDYRSEDDDEEKSNAGESKSFFAPSEGASFHANSFMELNLSRPLLRACEALGYTKPTPIQAACIPLALTGRDICGSAVTGSGKTAAYALPTLERLLFRPKRISAIRVLILTPARELAVQVHSMIEKLAQFTDIRCCLVVGGLSLKAQESALRLMPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLELGFSAEIHELVRLCPKRRQTMLFSATMTEEVDELVKLSLTRPLRLSADPSAKRPATLTEEVVRIRRMREVNQEAVLLSLCSKTFTSKVIIFSGTKQAAHRLKILFQLAGLQAAELHGDLTQVQRLDALDRFRKQEVDFLIATDVAARGLDIIGVETVINYACPRDITSYVHRVGRTARAGREGYAVTFVTDNDRSLLKAIAKRVGSKLKSRIVAEQSIAKWSQKIEEKEDKVAEVIEEERAERALRKAEMEATKKAENMIAHKDEIYARPKRTWFMTEKEKKLVAKAAKASVETEKGSANAVISAQQAEDLKMKEKRKREREKNLPRKKRRKLEAAREMLEDQSEMNESEG >EOY15057 pep chromosome:Theobroma_cacao_20110822:8:2104466:2111092:1 gene:TCM_034247 transcript:EOY15057 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 3 MAPSFIFEAPSDEEPEFEESEEEDNEEAEEEEGAEAEEKPSKPKRKSQSPWDFASYSESVAEEHARRGTTSVDFKISKILQQSSAPEQQEEISDSEPDKQVDYRSEDDDEEKSNAGESKSFFAPSEGASFHANSFMELNLSRPLLRACEALGYTKPTPIQAACIPLALTGRDICGSAVTGSGKTAAYALPTLERLLFRPKRISAIRVLILTPARELAVQVHSMIEKLAQFTDIRCCLVVGGLSLKAQESALRLMPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLELGFSAEIHELVRLCPKRRQTMLFSATMTEEVDELVKLSLTRPLRLSADPSAKRPATLTEEVVRIRRMREVNQEAVLLSLCSKTFTSKVIIFSGTKQAAHRLKILFQLAGLQAAELHGDLTQVQRLDALDRFRKQEVDFLIATDVAARGLDIIGVETVINYACPRDITSYVHRVGRTARAGREGYAVTFVTDNDRSLLKAIAKRVGSKLKSRIVAEQSIAKWSQKIEEKEDKVAEVIEEERAERALRKAEMEATKAENMIAHKDEIYARPKRTWFMTEKEKKLVAKAAKASVETEKGSANAVISAQQAEDLKMKEKRKREREKNLPRKKRRKLEAAREMLEDQSEMNESEGSGKNKKEKEGISLVDLAYRRAKAVKAVKKAVDSGKIVKKSNKKSKHANQRTQSRTEEMRELFQNDMSEKRQKSTSGAGRKKSKSSFKSKSRGRSQLGSYTTSGHLSNLLKYLLQFTEWQFSLVFL >EOY17367 pep chromosome:Theobroma_cacao_20110822:8:19654369:19655160:-1 gene:TCM_036514 transcript:EOY17367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKQGRALDTRWMDFPKLYGPVQSPKAGSREHEEEGEMFGVILSRSRSVSFAPTLALRAEKENSALENAARRAFSMRRSTSVPSGYYKLFDHRDPFADNEMHVARKSRKRRGKIFEACRRLIGRVLA >EOY15186 pep chromosome:Theobroma_cacao_20110822:8:2511692:2519396:-1 gene:TCM_034336 transcript:EOY15186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative isoform 2 MADQHSNEGLSTGNVSGESSDSFVELKIKTLDSQIFSFHVDKSTPVLLFKEKIANEIGVPVGQQRLIFRGKVLKDEHLLSEYHVENGHTLHLVERQPAQSQPSSDTSSGETNGNNTNQGNDASAGIPRNRVGQISHSVVLGTFNVGDQGEGIVPDLTRVIGAVLNSFGVGGQPTTNGINSTQSSTSASQGNETDGAPSGGQNQAGNQTQSAQSFPGQTFQFSPQVMPISLTPAAMPVPSLNSPIPDALNTLSEFMNHMEAHSPNGYQLHSSTTNRGDQPRVELPSDARGLPTPEALSIVMRHAERLLSSHAIAALSHIAERLEQERNSPDPTVRGQIQTESVQVGLAMQHLGALLLELGRTILTLRMGHSPAESSVNAGPAVYISPSGPNPIMVQPFPLQTSSLFSGSHSPSNPPTLGPVGVGTAPRHINIHIHAGTALAPIISAVGNRTSNGEGVQGERGNNAGSGSMRVLPVRNVLAAAVPARPTGAVSSAAQSAPTDSSISSIVAEVNSRLRNFVSNMQGGNQVASGNGQPGNVAVSGAGDSSVALPADILQTEEQKSQPQHAEGSNNIMESGVSSKDVSTGTVECPPSSSGELLVKSEDPSGSVLRSGEDNAKAVPLGLGLGGLERKRIKQTKSPVSTGDSGTTSSSLDQNLSVRTTGQQILQSLVSRSSSVNRVEHDASPSNPGVQSSRLSGGQGSDDQLDAANAVSQVLQSPALNGLLAGVSEQTGVGSPDVFRNMLQQLTQSPQIMNTVGQLAQQVDSQDIGNMFSGLGGGQGGGIDLSRMVQQMMPIVSQALSRGASAPPPFPAVEPQLQGQLDGRKSSAADKPCDRDFQRMIFNKWLRGLNNRIPLTMSSTLLLKMQFGYMAMEGMLKNF >EOY15185 pep chromosome:Theobroma_cacao_20110822:8:2511553:2519596:-1 gene:TCM_034336 transcript:EOY15185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative isoform 2 MADQHSNEGLSTGNVSGESSDSFVELKIKTLDSQIFSFHVDKSTPVLLFKEKIANEIGVPVGQQRLIFRGKVLKDEHLLSEYHVENGHTLHLVERQPAQSQPSSDTSSGETNGNNTNQGNDASAGIPRNRVGQISHSVVLGTFNVGDQGEGIVPDLTRVIGAVLNSFGVGGQPTTNGINSTQSSTSASQGNETDGAPSGGQNQAGNQTQSAQSFPGQTFQFSPQVMPISLTPAAMPVPSLNSPIPDALNTLSEFMNHMEAHSPNGYQLHSSTTNRGDQPRVELPSDARGLPTPEALSIVMRHAERLLSSHAIAALSHIAERLEQERNSPDPTVRGQIQTESVQVGLAMQHLGALLLELGRTILTLRMGHSPAESSVNAGPAVYISPSGPNPIMVQPFPLQTSSLFSGSHSPSNPPTLGPVGVGTAPRHINIHIHAGTALAPIISAVGNRTSNGEGVQGERGNNAGSGSMRVLPVRNVLAAAVPARPTGAVSSAAQSAPTDSSISSIVAEVNSRLRNFVSNMQGGNQVASGNGQPGNVAVSGAGDSSVALPADILQTEEQKSQPQHAEGSNNIMESGVSSKDVSTGTVECPPSSSGELLVKSEDPSGSVLRSGEDNAKAVPLGLGLGGLERKKRIKQTKSPVSTGDSGTTSSSLDQNLSVRTTGQQILQSLVSRSSSVNRVEHDASPSNPGVQSSRLSGGQGSDDQLDAANAVSQVLQSPALNGLLAGVSEQTGVGSPDVFRNMLQQLTQSPQIMNTVGQLAQQVDSQDIGNMFSGLGGGQGGGIDLSRMVQQMMPIVSQALSRGASAPPPFPAVEPQLQGQLDGRKSSAADKPCDRDFQDDIQQMAQRIEQSNSPDDVFHTVAENAVRVYGNGRNAEELLNELCGDEGLAKEYTEMLQRDVHQRFQDKSEEDKS >EOY14674 pep chromosome:Theobroma_cacao_20110822:8:940836:942331:-1 gene:TCM_033979 transcript:EOY14674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLGQISARITKKNTGQPSEKKALSICEDGVFASLSLVRLELALAVAVSTIFSKLFSFFTWGFPEILSNFCSPGRWCTPFSLPTFDRAVQANL >EOY14691 pep chromosome:Theobroma_cacao_20110822:8:1012985:1015113:1 gene:TCM_033994 transcript:EOY14691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brassinosteroid signaling positive regulator family protein MTSDGATSTPAPRRKPSWRERENNRRRERRRRAIAAKIYTGLRAQGNYNLPKHCDNNEVLKALCAEAGWVVEEDGTTYRKGCKPPPIDIPGSSAKITPFSSQNPSPLSSAFPSPIPSCQVSPSSSSFPSPTRLDANNPSSLLPFLRSAIPSSLPPLRISNSAPVTPPLSSPTSRNPKPIPNWEAIAKESMASFNYPFYAVSAPASPTHRHFHAPATIPECDESDTSTVESGQWISFQKFAPSTSQMPTSPTFNLVKPVVPQSLPNDLIMEKGRGSEFQFESGQVKPWEGERIHEVGLDDLELTLGSGKARC >EOY14623 pep chromosome:Theobroma_cacao_20110822:8:742878:744776:1 gene:TCM_033936 transcript:EOY14623 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein, putative isoform 3 MKLQEVPEKFAKNMKQKLPETVTLKGPSGIIWDVGLKADGDTLFFDCGWKIFVKDHSLVENDLLIFKYNGMSQFDVLMFDGRSLCEKAASYFVRKCGHTEYDSGCQTKRKMNETPVEIVHNSSHCGLESSPEKSINNNIDTRPSRQPITSAATNKKLRIVGSSTRSIPARKSLRGKDLTTFAAEVKVETGDLEFDHTSMDGDVFSPRHTARKRRATQVEKANVFLMAQEALPREGFMVVMKPTHVARRFYMAIPTAWVAKHLSKQNEDVILRINKQTWKTRFYYHRNRGCGGLSGGWRNFVNDNNLDEDDACVFEPADIGNKPMILDVSIFRVLQAPVPLIQVHPASY >EOY14621 pep chromosome:Theobroma_cacao_20110822:8:741422:744895:1 gene:TCM_033936 transcript:EOY14621 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein, putative isoform 3 MPFTETRSKSKAFISYRTMSRSAVWVCKMGDSCKDCRSWEEEIFWTHFQSIHFSQFLHGDFLQRLEVPEKFAKNMKQKLPETVTLKGPSGIIWDVGLKADGDTLFFDCGWKIFVKDHSLVENDLLIFKYNGMSQFDVLMFDGRSLCEKAASYFVRKCGHTEYDSGCQTKRKMNETPVEIVHNSSHCGLESSPEKSINNNIDTRPSRQPITSAATNKKLRIVGSSTRSIPARKSLRGKDLTTFAAEVKVETGDLEFDHTSMDGDVFSPRHTARKRRATQVEKANVFLMAQEALPREGFMVVMKPTHVARRFYMAIPTAWVAKHLSKQNEDVILRINKQTWKTRFYYHRNRGCGGLSGGWRNFVNDNNLDEDDACVFEPADIGNKPMILDVSIFRVLQAPVPLIQVHPASY >EOY14622 pep chromosome:Theobroma_cacao_20110822:8:742073:744849:1 gene:TCM_033936 transcript:EOY14622 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein, putative isoform 3 MGDSCKDCRSWEEEIFWTHFQSIHFSQFLHGDFLQRLEVPEKFAKNMKQKLPETVTLKGPSGIIWDVGLKADGDTLFFDCGWKIFVKDHSLVENDLLIFKYNGMSQFDVLMFDGRSLCEKAASYFVRKCGHTEYDSGCQTKRKMNETPVEIVHNSSHCGLESSPEKSINNNIDTRPSRQPITSAATNKKLRIVGSSTRSIPARKSLRGKDLTTFAAEVKVETGDLEFDHTSMDGDVFSPRHTARKRRATQVEKANVFLMAQEALPREGFMVVMKPTHVARRFYMAIPTAWVAKHLSKQNEDVILRINKQTWKTRFYYHRNRGCGGLSGGWRNFVNDNNLDEDDACVFEPADIGNKPMILDVSIFRVLQAPVPLIQVHPASY >EOY17118 pep chromosome:Theobroma_cacao_20110822:8:17931221:17932466:1 gene:TCM_036286 transcript:EOY17118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIESRCRWLTSLNSCSRSHNGCADLHEEDDKDNIPCRKLLWLVSDESNEAMAAMEERSGKHVHGFSKFDPSIGQRVLLPSVEQRKEKTSDMMDNDCGDRLCRQNMKKKPEMEAQSEENYDGPSIKTGLEKGNKEMKEGSGIANECPTDGDRENKNCSFKEVVSEVFHRIATLEGISKPREARRINYRNLGWLFIWVICRVGARPREGNIPTAYRLCFLLLLGFVVRVAGKIWSELFISFGGSVYNDRVLSAGTFFVSQFLAI >EOY17069 pep chromosome:Theobroma_cacao_20110822:8:17395496:17396685:-1 gene:TCM_036224 transcript:EOY17069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MVKSYPFSLPLTFCFFNLYSLYFPSPVFNRGSTFCSIASSLSCVSCALEKTMSAAAVEESSRKYKGVRRRRWGKWVSEIRVPGTQERLWLGSYSTPEGAAIAHDIAHYCLRRPSSLNGLNFPSMVPPNLNVNMSPKSVQKAASDAGMAVDAQMIVISNETKASENSGVIVGMETESWENDSSGSWEGSVGKEGEALSISVEDYL >EOY16947 pep chromosome:Theobroma_cacao_20110822:8:15343062:15345068:1 gene:TCM_036029 transcript:EOY16947 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 3 MDVSKKYGMEYRLLHAITRGHSWYGNWGYEFGSGSYALTQDAYKRAVDNLSTMPLSPLLFQGRKTRTRLQNIIAFYQSLSCSELSTLKDLFSFLLRLITESHRTSMPKTSKMSDSSTNVLCAWTRNDVESLQQAMIKVLMATAPGSNWVTRRGLKGVMSKAASPELLDYCLKHLRGNLATNGKVVEARCNPNSSDIEFRLQPLSSVPNESSLYSNCPSEEHIKGDLKFLFDSLLHPETMLTYRPQATRECVIDSATKLLDCKQFVKDYKPYAYNPFAICVWCHLELSDQPKDDPVPPPELIVLPLNATIGDLKSEATNAFEDVYAMFKRFQVEHLLDYGSVEDNITLKLLVGTTGSIQVKGRCPSKHGLNRYRLERGTDNWTVDCTCGAKDDDGERMLACDICGVWQHTRCAGIDNADAIPAKFICMRCANSLHKKSTSIPNSGMEARKFSQLDTCRGAIGSNGPGFTTALTVG >EOY16945 pep chromosome:Theobroma_cacao_20110822:8:15342256:15344770:1 gene:TCM_036029 transcript:EOY16945 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 3 WSGHPVCRKRYHFIIRSCDNGINSYENKSCPSRVVSGDHDDDDVHDVEEWEYSQFEDNTHLLHGVVHSNGYGHLLTVNGRTLLSVRKVTVMDVSKKYGMEYRLLHAITRGHSWYGNWGYEFGSGSYALTQDAYKRAVDNLSTMPLSPLLFQGRKTRTRLQNIIAFYQSLSCSELSTLKDLFSFLLRLITESHRTSMPKTSKMSDSSTNVLCAWTRNDVESLQQAMIKVLMATAPGSNWVTRRGLKGVMSKAASPELLDYCLKHLRGNLATNGKVVEARCNPNSSDIEFRLQPLSSVPNESSLYSNCPSEEHIKGDLKFLFDSLLHPETMLTYRPQATRECVIDSATKLLDCKQFVKDYKPYAYNPFAICVWCHLELSDQPKDDPVPPPELIVLPLNATIGDLKSEATNAFEDVYAMFKRFQVEHLLDYGSVEDNITLKLLVGTTGSIQVKGRCPSKHGLNRYRLERGTDNWTVDCTCGAKDDDGERMLACDICGVWQHTRCAGIDNADAIPAKFICMRCANSLHKKSTSIPNSGMEARKFSQLDTCRGAIGSNGPGFTTALTVG >EOY16949 pep chromosome:Theobroma_cacao_20110822:8:15343062:15345304:1 gene:TCM_036029 transcript:EOY16949 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 3 MDVSKKYGMEYRLLHAITRGHSWYGNWGYEFGSGSYALTQDAYKRAVDNLSTMPLSPLLFQGRKTRTRLQNIIAFYQSLSCSELSTLKDLFSFLLRLITESHRTSMPKTSKMSDSSTNVLCAWTRNDVESLQQAMIKVLMATAPGSNWVTRRGLKGVMSKAASPELLDYCLKHLRGNLATNGKVVEARCNPNSSDIEFRLQPLSSVPNESSLYSNCPSEEHIKGDLKFLFDSLLHPETMLTYRPQATRECVIDSATKLLDCKQFVKDYKPYAYNPFAICVWCHLELSDQPKDDPVPPPELIVLPLNATIGDLKSEATNAFEDVYAMFKRFQVEHLLDYGSVEDNITLKLLVGTTGSIQVKGRCPSKHGLNRYRLERGTDNWTVDCTCGAKDDDGERMLACDICGVWQHTRCAGIDNADAIPAKFICMRCANSLHKKSTSIPNSGMEARKFSQLDTCRGAIGSNGPGFTTALTVG >EOY16948 pep chromosome:Theobroma_cacao_20110822:8:15342841:15344746:1 gene:TCM_036029 transcript:EOY16948 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 3 MDVSKKYGMEYRLLHAITRGHSWYGNWGYEFGSGSYALTQDAYKRAVDNLSTMPLSPLLFQGRKTRTRLQNIIAFYQSLSCSELSTLKDLFSFLLRLITESHRTSMPKTSKMSDSSTNVLCAWTRNDVESLQQAMIKVLMATAPGSNWVTRRGLKGVMSKAASPELLDYCLKHLRGNLATNGKVVEARCNPNSSDIEFRLQPLSSVPNESSLYSNCPSEEHIKGDLKFLFDSLLHPETMLTYRPQATRECVIDSATKLLDCKQFVKDYKPYAYNPFAICVWCHLELSDQPKDDPVPPPELIVLPLNATIGDLKSEATNAFEDVYAMFKRFQVEHLLDYGSVEDNITLKLLVGTTGSIQVKGRCPSKHGLNRYRLERGTDNWTVDCTCGAKDDDGERMLACDICGVWQHTRCAGIDNADAIPAKFICMRCANSLHKKSTSIPNSGMEARKFSQLDTCRGAIGSNGPGFTTALTVG >EOY16946 pep chromosome:Theobroma_cacao_20110822:8:15342721:15344770:1 gene:TCM_036029 transcript:EOY16946 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 3 MDVSKKYGMEYRLLHAITRGHSWYGNWGYEFGSGSYALTQDAYKRAVDNLSTMPLSPLLFQGRKTRTRLQNIIAFYQSLSCSELSTLKDLFSFLLRLITESHRTSMPKTSKMSDSSTNVLCAWTRNDVESLQQAMIKVLMATAPGSNWVTRRGLKGVMSKAASPELLDYCLKHLRGNLATNGKVVEARCNPNSSDIEFRLQPLSSVPNESSLYSNCPSEEHIKGDLKFLFDSLLHPETMLTYRPQATRECVIDSATKLLDCKQFVKDYKPYAYNPFAICVWCHLELSDQPKDDPVPPPELIVLPLNATIGDLKSEATNAFEDVYAMFKRFQVEHLLDYGSVEDNITLKLLVGTTGSIQVKGRCPSKHGLNRYRLERGTDNWTVDCTCGAKDDDGERMLACDICGVWQHTRCAGIDNADAIPAKFICMRCANSLHKKSTSIPNSGMEARKFSQLDTCRGAIGSNGPGFTTALTVG >EOY16944 pep chromosome:Theobroma_cacao_20110822:8:15341196:15344740:1 gene:TCM_036029 transcript:EOY16944 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 3 MVDHHSAARPLKRARRTTRVTADLSDFLSFPSPSTTAAFSGKPFREAVRSFLSSHARLTTAFAPPVLPCLMTWQILFRVGDSVTDAHDPSPIVAALYIVEEDVTRSSRSVYCDQCRVVGWSGHPVCRKRYHFIIRSCDNGINSYENKSCPSRVVSGDHDDDDVHDVEEWEYSQFEDNTHLLHGVVHSNGYGHLLTVNGREGGSNLLSGFHIMNFWDSLCTLLSVRKVTVMDVSKKYGMEYRLLHAITRGHSWYGNWGYEFGSGSYALTQDAYKRAVDNLSTMPLSPLLFQGRKTRTRLQNIIAFYQSLSCSELSTLKDLFSFLLRLITESHRTSMPKTSKMSDSSTNVLCAWTRNDVESLQQAMIKVLMATAPGSNWVTRRGLKGVMSKAASPELLDYCLKHLRGNLATNGKVVEARCNPNSSDIEFRLQPLSSVPNESSLYSNCPSEEHIKGDLKFLFDSLLHPETMLTYRPQATRECVIDSATKLLDCKQFVKDYKPYAYNPFAICVWCHLELSDQPKDDPVPPPELIVLPLNATIGDLKSEATNAFEDVYAMFKRFQVEHLLDYGSVEDNITLKLLVGTTGSIQVKGRCPSKHGLNRYRLERGTDNWTVDCTCGAKDDDGERMLACDICGVWQHTRCAGIDNADAIPAKFICMRCANSLHKKSTSIPNSGMEARKFSQLDTCRGAIGSNGPGFTTALTVG >EOY16558 pep chromosome:Theobroma_cacao_20110822:8:8261593:8262488:-1 gene:TCM_035351 transcript:EOY16558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper binding protein 6 MAQGRGSTDHQAKFTVALLLCLLVCLQNIDAATYTVGGPGGWTFNMATWPKGKSFRAGDVLVFNYDATIHNVVAVNREAYTGCTTPAGAKVYSSGKDQIKLVKGLNFFICNTAGHCESGMKIAINAV >EOY15079 pep chromosome:Theobroma_cacao_20110822:8:2178520:2179454:1 gene:TCM_034259 transcript:EOY15079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLLSRLGSLPFVLFMDRKGSTTNNCKDVDGIIWMGCVVVGGPPPVSMPSGEEIPLRWLLPSIDFQNPGQSP >EOY17459 pep chromosome:Theobroma_cacao_20110822:8:20673522:20677802:1 gene:TCM_036639 transcript:EOY17459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLACLVCHSVESPSHSFRSYSVSSSDNEGRCSAIANCLARKSSLPAGRPTIPSTSKVTPQPNFQNSVGMAGPPRLVRSRAVRRDLVRDWNFDEVVMER >EOY17305 pep chromosome:Theobroma_cacao_20110822:8:19232111:19237213:-1 gene:TCM_036461 transcript:EOY17305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MACISNTSISVLVNGTPTREIHMKRGIAIDDAGLYVLHLQYADDTVVSGLKINFNKSCLYGVGIDKSLVISWADLIKCRDVHWKFVWSGLFPLKIKILCWQILRGRLAIKETLASRGITSPEATVCLLSRNGMEMVIHKDLLICFLSWVELAYLLNNGKCGKWHAPNRKFKSIVHRSKPSEGCLKFNIDRSSRRCLGDSGIGGILRNYSGDVLALFSKSVGIIDSNKAELLAVREAAIIYAASKRLNVPDFGIPCCCSFVYAALYEGRPKGPLCSPPLGTFLTVIPVADPLEKCLLYDKARPSVCWMFTPVVLWLLNAFQDCGAYEFGIWVESRIIPTFLFPLPRAPSVL >EOY15128 pep chromosome:Theobroma_cacao_20110822:8:2324216:2327439:-1 gene:TCM_034293 transcript:EOY15128 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 1 MAKVRHSRLQAKKWSTVMLVLSMLFMLTVVLLMLLGLGIFSLPMSTDDSPPNDLTSYRRMASERGKELGKRGEQWTEVLSWEPRAFIYHNFLSKEECEYLINLAKPHMAKSTVVDSKTGRSKDSRVRTSSEHGEGLQVLHYEVGQKYDAHFDYFLDEFNTKNGGQRMATMLMYLSDVEEGGETIFPAAKGNFSAVPWWNELSECGKQGLSVKPKMGDALLFWSMRPDATLDPSSLHGGCPVIMGNKWSSTKWIHVEEYKV >EOY15127 pep chromosome:Theobroma_cacao_20110822:8:2325206:2327255:-1 gene:TCM_034293 transcript:EOY15127 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 1 MAKVRHSRLQAKKWSTVMLVLSMLFMLTVVLLMLLGLGIFSLPMSTDDSPPNDLTSYRRMASERGKELGKRGEQWTEVLSWEPRAFIYHNFLSKEECEYLINLAKPHMAKSTVVDSKTGRSKDSRVRTSSGMFLRRGQDKIIRDIEKRIADYTFIPVEHGEGLQVLHYEVGQKYDAHFDYFLDEFNTKNGGQRMATMLMYLSDVEEGGETIFPAAKGNFSAVPWWNELSECGKQGLSVKPKMGDALLFWSMRPDATLDPSSLHGGCPVIMGNKWSSTKWIHVEEYKV >EOY15129 pep chromosome:Theobroma_cacao_20110822:8:2324451:2327435:-1 gene:TCM_034293 transcript:EOY15129 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 1 MAKVRHSRLQAKKWSTVMLVLSMLFMLTVVLLMLLGLGIFSLPMSTDDSPPNDLTSYRRMASERGKELGKRGEQWTEVLSWEPRAFIYHNFLSKEECEYLINLAKPHMAKSTVVDSKTGRSKDSRVRTSSGMFLRRGQDKIIRDIEKRIADYTFIPVEHGEGLQVLHYEVGQKYDAHFDYFLDEFNTKNGGQRMATMLMYLSDVEEGGETIFPAAKGNFSAVPWWNELSECGKQGLSVKPKMGDALLFWSMRPDATLDPSSLHGHQLHDIVVHCCEGVVNVDGI >EOY15482 pep chromosome:Theobroma_cacao_20110822:8:3475053:3475647:1 gene:TCM_034528 transcript:EOY15482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLHIRYNVTELCLWYYTFARVKAAGKCIYSTSISFSHLMLTIYFIIFLDIVMEMCSLTMTMSNMG >EOY15047 pep chromosome:Theobroma_cacao_20110822:8:2067334:2069493:1 gene:TCM_034242 transcript:EOY15047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MARLIRPLRQWPQLQQHHHYCCSRTTLHHFLYTLLPLTISTKTTAPCFSFATSTSFGGKARHRPCGVKLPNAPAPSDLQDGETSDSDSDVKKSRNQKKREARRAVRWGMDLASFSTPQIKRILRVASLEQDVFDALMLVKRLGPDVREGKRRQFNYIGKLLREAEPELMDALIQATKVGDQKTLQALAGSKMQILQEEEGEGDSDDQFEEIQYESSQEYVNIANRWFDGLISKDINITNEVYSVNSIDFDRQELGKLVRRVQTIQEQSQAVTEEDKAKIEAAVTSAKKSLTRFLRTLARQLPTEYSFD >EOY15048 pep chromosome:Theobroma_cacao_20110822:8:2067228:2068644:1 gene:TCM_034242 transcript:EOY15048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 FSFYYFLNLLNMIIVATRVKNAKIYHQAAFVLKGLERPKRWLLLAGAGESQSRTLVEQSMARLIRPLRQWPQLQQHHHYCCSRTTLHHFLYTLLPLTISTKTTAPCFSFATSTSFGGKARHRPCGVKLPNAPAPSDLQDGETSDSDSDVKKSRNQKKREARRAVRWGMDLASFSTPQIKRILRVASLEQDVFDALMLVKMFVFWLQRLGPDVREGKRRQFNYIGKLLREAEPELMDALIQATKVGDQKTLQALAGSKMQILQEEEGEGDSDDQFEEIQYESSQEYVNIANRWFDGLISKDINITNEVYSVNSIDFDR >EOY15045 pep chromosome:Theobroma_cacao_20110822:8:2067286:2069487:1 gene:TCM_034242 transcript:EOY15045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MARLIRPLRQWPQLQQHHHYCCSRTTLHHFLYTLLPLTISTKTTAPCFSFATSTSFGGKARHRPCGVKLPNAPAPSDLQDGETSDSDSDVKKSRNQKKREARRAVRWGMDLASFSTPQIKRILRVASLEQDVFDALMLVKRLGPDVREGKRRQFNYIGKLLREAEPELMDALIQATKVGDQKTLQALAGSKMQILQEEEGEGDSDDQFEEIQYESSQEYVNIANRWFDGLISKDINITNEVYSVNSIDFDRQASKMSCCPLDFKLNTISHSLSKELGKLVRRVQTIQEQSQAVTEEDKAKIEAAVTSAKKSLTRFLRTLARQLPTEYSFD >EOY15046 pep chromosome:Theobroma_cacao_20110822:8:2067422:2069089:1 gene:TCM_034242 transcript:EOY15046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 RPLRQWPQLQQHHHYCCSRTTLHHFLYTLLPLTISTKTTAPCFSFATSTSFGGKARHRPCGVKLPNAPAPSDLQDGETSDSDSDVKKSRNQKKREARRAVRWGMDLASFSTPQIKRILRVASLEQDVFDALMLVKRLGPDVREGKRRQFNYIGKLLREAEPELMDALIQATKVGDQKTLQALAGSKMQILQEEEGEGDSDDQFEEIQYESSQEYVNIANRWFDGLISKDINITNEVYSVNSIDFDRQVFL >EOY16818 pep chromosome:Theobroma_cacao_20110822:8:11567683:11568754:1 gene:TCM_035707 transcript:EOY16818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSSNFHTLHFHNKQVLSLKKKKESSFFNWKTFVVMYQYPPKDQLKSNSPRVQTYSHNQFHSLLKVQHNISTPINETIILGRKITITIII >EOY14513 pep chromosome:Theobroma_cacao_20110822:8:494804:496742:-1 gene:TCM_033871 transcript:EOY14513 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MKILVTGASGYLGGRLCDALVSRGHSVRAFVRRTSDLSGLPSPTHGSSLELAYGDVTDYRSLLDACSGCDVIFHAAALVESWVPDPSRFFSVNVGGLKNLLQAAKETKTINKIIYTSSFFAVGPTDGYIADENQIHPEKFFCTEYEKSKAAADKIALQAVAEGMPIVPVYPGVIYGPGKLTTGNVVAQLIIERFNWRLPGYIGRGNDKFSFSHVEDVVEGHIAAMEKGRPGERYLLTGDNASFRHCFDIAAIITETGRPKFNIPLGLIEAYGWVSVLIARITGKLPLISPPTVNVLRHQWAYTCDKAKLELDYRPRSLKDGLEEMLPWLKSLGKIRY >EOY15809 pep chromosome:Theobroma_cacao_20110822:8:4715199:4715894:1 gene:TCM_034767 transcript:EOY15809 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP81C6v2, putative MLPYLHCIINETLRLGSTGPIIPPHESSKECTVGGYNIPQGTMLLVNAFALYNDTKLWEDPDMFKPERFQGSGWEGERGGYKFIPFGLGRRQCPGEGLAMRLMALTLGTLIQCFEWRKAGEDRQADGVAANVQKTEDVALKVIFRPRETLAPSILSQL >EOY15777 pep chromosome:Theobroma_cacao_20110822:8:4608903:4612095:-1 gene:TCM_034743 transcript:EOY15777 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM-repeat/Tetratricopeptide repeat-like protein MDSLKKKGKMTPIFTTPKTSDLDKMVLEQTCSNPFCFFCIMKEPDPSLRRAGIASCFKEMPLIGDDQQHVLVLSGLWNIAMTQPDDPEFPSLGIFDCMASFIDKGINDRCWLLRDQNIYIPYYAAHVIGSYTMNKVEFAEKAVLAGVIPPLMELLRGKISWVEQRVAVRALGHLASYERTFEAVAAYEEEVVRLGMHLASTCLDEVYVKFVGVKDKSKRSKYHCDLLTRGVGGLEMENRKAEEWASQLQCWSLYLLNCFACKERSLNLICKQEFLTKLSGMWGGLINHSSPAGVGLIRILCYSKYGRKSISESKDVLENLCHLSRSSDDWQYMAIDCLLLLLNDPDTRYKVIEIATIFLIDLVELKSLGDRSRLGETITKALLLDYKQSKFRIKNSQVQKGLQETWELKVDRRKREKVMSEEKVEERRVLGNLIKQQANHMFWLGDVEAAVKSYTEALDACPLKLRKERMVLYSNRAQCYLMLRDPDAAIRDSTRALCLSNPANSHGKSLWRRSQAYDMKGLAKESLMDCIMFINGCINSETSKRLKIPYNAARMISKHMDATWLFANAQSKATISHLREVQESDDDYEISSNEDQECDEMMRMMMEKKSMASGLSTIIEEPLIVKERSWKETKRARRRNKAVVARSM >EOY17478 pep chromosome:Theobroma_cacao_20110822:8:20946929:20947553:-1 gene:TCM_036674 transcript:EOY17478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERYEIFDYLVRFLCCTFLDTSPWALWKVFVDIDKLQKIVGDVKYKQIYEANHFADVLAKTGVDHLTMSMCVGDGFYYNDAKMGIIFGLIRLCA >EOY15132 pep chromosome:Theobroma_cacao_20110822:8:2340695:2341509:-1 gene:TCM_034295 transcript:EOY15132 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR family of Fe/S cluster biogenesis protein MIAYLHKCRNLTICLKPVSGNDVVFIQRFLHNGPDTVEELLDRHVVKKEKSLNEEEEELLTRRRLTSTRREALSLYRDILRATRFFMWADSRGVLWRDVLRENARKEFEEARFEKDPEVVTRLLIGGRDAVQTALEKLAEKQRQQIEKERGGGEGR >EOY15933 pep chromosome:Theobroma_cacao_20110822:8:5095907:5101251:1 gene:TCM_034855 transcript:EOY15933 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein MQRRRRRVVVVLRKMLTCAICTIALVGLLSVHVHVFPSSRVSDLPDPYKLPTVSQQHELNYQKLSTQREWTQELAPPHLSKEQASPHLSKAPLSSHKLDGARGNLDFEKLWKPPANRDFVPCVQHSSNYAAPDESRGYLLVHTNGGLNQMRAGICDMVAVARIINATLVVPELDKRSYWQDSSNFSDVFDEDHFINALANDVKVIKKLPKELSSATKVVKQFKSWSGLEYYQDEIASLWEEYQVIRAAKSDSRLANNNLPPDIQKLRCRACYEALRFAPKIEAMGKLLVDRMRSYGPFIALHLRYEKDMLAFSGCTQGLSNAEAEELKTIRENTVYWKVKEIDAREQRSKGYCPLTPKEVGIFLTALGYPSNTPIYIAAGEIYGGDTHMADLRSHYPMLMSKEKLASVEELEPFTNHASQMAALDYIVSVESDVFIPSYSGNMARAVEGHRRFLGHRKTISPDRKALVRLFDKLENGVLKEGRKLSSRIIEIHKKLQGSPRKRRGPVSGTKGMDRFRSEEAFYVNPLPDCLCRRVSQNVSTSISISWQCHYKNGDAECVYLEF >EOY14418 pep chromosome:Theobroma_cacao_20110822:8:266683:268786:1 gene:TCM_033808 transcript:EOY14418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLKVEQQESKPKLNKERRLTLFKTLTAEEQVYGYILNKDVLKLPGIKLYLDIKLDMAKVLIWHIFNEIDTIRVTLDRVIFQHIMRDGNSSADKLATRC >EOY16963 pep chromosome:Theobroma_cacao_20110822:8:15457537:15462607:1 gene:TCM_036045 transcript:EOY16963 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein MLANGHPIPFPVGTPSGWKWRPPMHNLVQVRRGFTSTSIETSGAYPKQFIVCGGTDPNHLLSSHSDWSLPPNPPDVEPVTTVTGKCSAEPGTKRLTVGFGKLKAKRVKALVERTFRMKQEISTNDDELVAQSRQRGVSRVFLSKELRETCQSTDTEKHIENSDLSADSIQGLDKSHESYPTNAEPEGSFRGGSAAHLLGWGDGGSIHKPKMKSPELLKKHHKLSVGGDFFSRKTFIDLGCSEYMIKSLKEQLFVRPSHIQAMAFRPVLEGQSCIIADQSGSGKTLAYLIPVIQRLREEELQGFSKSSSGSPRAVIIVPTAELASQVLSSCRSMSKFGVPFRSMVVTGGFRQKTQLENLEQGVDVLIATPGRFMFLIKEGFLQLANLRTAVFDEVDILFNDEDFKVALQSLIDSSPIITQYLFVTATLPVDIYNKLIEVFPDTKMIMGPGMHHISSGLEEVLVDCSGEGTLKTPDTAFLNKKNALLKLVEESPVCKTIVFCNKIDTCRKVENVLKRFDRRGTHVRVLPFHAALAQETRIVNMKEFTQSHPGGESLILVCTDRASRGIDFAGVDHVVLFDFPRDPSEYVRRVGRTARGAGGKGKAFIFVVGKQVPLARRIIERNQKGHPLHDVPSAFELMR >EOY16661 pep chromosome:Theobroma_cacao_20110822:8:9428452:9433146:-1 gene:TCM_035488 transcript:EOY16661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTVCQTCGDRGFSVAFIYCDKCQAYAVHRYCLDKLPATLEEYVVWFCVDCEPKVAELSTLQQALPAIGFQLRLKEGNPVRKLKGENDIKELKKNKKNNESEYDSGSLAISEVQRQSSPSLLRPENLEKDETLRRSLEVGRPSSDKEARPFEAEILSSNTCSSSRVSDWVNRDEEAESANIRTSLVAINDHLNVIEHSPLDPRQRQLDGVYSDEAADNVKTKTTLVATSNQIPKHYYVPARPIFEPIWRGSFRLYDENLAVGIVAHLSSLACLKVCEMAKCLPESLFLELLPRCDVWPKGFKKWGPSEESIALYFFPNDERDVKIFYNLVDKVIVRDLGMRAVVQDVELLVFTSNILPLHLWRFQEKFYLWGVFRAKQASHLTNVVHGEEKNPLKALTCNPRSPISPLSDRGISISG >EOY15294 pep chromosome:Theobroma_cacao_20110822:8:2828790:2831711:-1 gene:TCM_034407 transcript:EOY15294 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase 2 isoform 2 MTADEKMEVIPLLTPYKMGSFSLSHRIVLAPMSRLRSYEFVSQTHAVLYYSQRTTQGGFLIGEASGISETAQGYPNTPGIWTEEQVDAWKPIVHAVHEKGGIFFCQLWHAGRASDHSFQPNGQPPISCTSKPIQAKTHIDGTTPAAFPAPRRLTVDEITQVVDDYRKAARNAMEAGFDGVEIHGANGYLIDQFLKDQVNDRTDEYGGSLENRCLFPLEVVKAVADEIGADRVGLRLSPFADYNDCGDSDPEALGLYMAQSLNKLGILYCHVIEPRMVTQFDSQNTKDSLLPMRKAFKGTFIVAGGYNREDGNAVVARDGADLVAFGRLFLANPDLPRRFELDAVLNKYDRNTFYTHDPVVGYTDYPFLETDN >EOY15295 pep chromosome:Theobroma_cacao_20110822:8:2829016:2831564:-1 gene:TCM_034407 transcript:EOY15295 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase 2 isoform 2 MTADEKMEVIPLLTPYKMGSFSLSHRIVLAPMSRLRSYEFVSQTHAVLYYSQRTTQGGFLIGEASGISETAQGYIYPNTPGIWTEEQVDAWKPIVHAVHEKGGIFFCQLWHAGRASDHSFQPNGQPPISCTSKPIQAKTHIDGTTPAAFPAPRRLTVDEITQVVDDYRKAARNAMEAGFDGVEIHGANGYLIDQFLKDQVNDRTDEYGGSLENRCLFPLEVVKAVADEIGADRVGLRLSPFADYNDCGDSDPEALGLYMAQSLNKLGILYCHVIEPRMVTQFDSQNTKDSLLPMRKAFKGTFIVAGGYNREDGNAVVARDGADLVAFGRLFLANPDLPRRFELDAVLNKYDRNTFYTHDPVVGYTDYPFLETDN >EOY16638 pep chromosome:Theobroma_cacao_20110822:8:9106406:9107706:1 gene:TCM_035458 transcript:EOY16638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHKVVNKHLYFYLQNICKEKMREFVSRILVPLSLVVGSILLQPITWVLPPTRGLRKHYASFPLNSSTSTFRCMNNQLIT >EOY16301 pep chromosome:Theobroma_cacao_20110822:8:6601534:6605035:-1 gene:TCM_035121 transcript:EOY16301 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM, LAG1 and CLN8 (TLC) lipid-sensing domain containing protein MPRTYQSSSSDKAGTFFFATLVLWFVSVLFEIVFNKRRELLYVVAGGCFYQIANWVIRVRVSRDPLFVNTSVSLLHSTITSTSVVFILVNQWLRTGFKRMFDHTQLVEGTWPWAYQALCFSCGYFAYDQWDMLHYHLYSGVIPAILMHHLILLICFTLALYRHVTVNYLILTLICELHSIFLHVRKVRRMVGVRDAKSKVVKVEWVLNWIMFVLARIISHVLITVKLIKDASKFEKGVEWPLAMFGMAGMNLLNAFLGIDLFNAFKREKNPQQNNQKRRE >EOY17415 pep chromosome:Theobroma_cacao_20110822:8:20172767:20175339:-1 gene:TCM_036580 transcript:EOY17415 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein MSRHPTVKWAQRSDVVFITIDLPDAQDVKLKLEPEGKFFFSATSGVDKIPYEVDIDLRDKVDVAESKASVGKRNICYLVKKAENKWWSRLLKQEGKPPVFLKVDWDKWVDEDEEDQDSKLAPDMDYGDFDFSKLNMGGGEGFGAAECEDDDDSDTEEENVEEVPPSKEDASSAGAQPEVKKD >EOY15696 pep chromosome:Theobroma_cacao_20110822:8:4317543:4319051:1 gene:TCM_034683 transcript:EOY15696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKHERKFHEVIFLSLGFYLASILFGSMWFMILPKMDTKHLKESLGQILLVLVSYFMFGIVVGLILPLVSMMGCASLTSLFNYPSELYSSDNAECKETRFPVWFTAPAYILCCILIRISWTLLNDYCGKLLKRPEEDGFLVVVPIISYSLGFTHSLVLALCFGFCILWEIAKKSSKSVKMSPISDIESVQSKS >EOY16153 pep chromosome:Theobroma_cacao_20110822:8:5962795:5963751:-1 gene:TCM_035009 transcript:EOY16153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKLPFFLYTFFIIFFCFQANRWPSGSATPVAPTLMLSRGVGVRISPSSWEARPLPRMLPQGAQISLLEGGAGGGVLR >EOY15573 pep chromosome:Theobroma_cacao_20110822:8:3794519:3814008:-1 gene:TCM_034587 transcript:EOY15573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MTLQFQQHGKVDRWLEELWMWMVRFKEGVFFIALEPVPVRTKLLALKFLETYVLLFTSDNVDSEKVVEATRGSRWTFNVSWLSGGHPVLDPVVLTSDAHRTLYILLDVLQSASSLPGSVTITVVNCLAAVARKRPLHYGTVLSALLDFNPNFETARGCHNASIQYSLRTAFLGFLRCTNPAIMESRDTLLRALRAMNAGDAADQVIRQVEKMIKSSERASRETRAGRDDQSSSQAAILGDVSKKRSMPQDNEEPSNSLEMVSKRTRYGLNSHSMSPIQINDSGQDSASVNGLPPNVPLSDGHLTPVEQMIAMIGALLAEGERGAESLEILISKIHPDLLADIVITNMKHLPKDPPPLTRVGTLPINQQAGYVNSPAQVLPPPAPTNSLHPPLSTSQLPFTSAATTSSLLSDTSVVSNFAADSKRDPRRDPRRLDPRRAAACVGVPSPPVLEDTGASLAEFDGSISSKPFSVPVVENPPVHSMSNIQSDDKIIEGPLVSGVEQPGPEGIVLGGVEDIVPVLEVQTSSKHAPSPPYTVDGDSAEMKADAEAKYETDASSFPESDQNFQASVNSSSFDETGCDLPVLPLYVELTEEQKRTVRKSAVQQIAESYLHLHWSDCSQTRNALLARLVAQIDADDDIIVMLGKQIVADYQHQKGHEIVLQVLYHLYSLTVSNSVDNSSYSAVLYDKFLLAVAESLLDTFPASDKSFSRLLGEVPFLPDSALRLLDDLCYSDVFDVTGKELRDAERVTQGLGAVWSLILGRPNNRQACLGIALKCAVHSQDDIRGKAIRLVANKLYQLSYISGEIEQFATNMLLSAVDQRAAGEELLQLVSIDEKGERGGSGDTSISGSNLLEPRASGIDSMGTESTSNSASVVSFPEAQRLISLFFALCKKKPSLLQLSFDIYGRAPKIVKQAFHRHIPIVIRALGQSYSQLLRIISDPPRGSENLLTLVLQILTQETTPSPDLIATVKHLYETKLKDATILIPMLSSLSKNEVLPIFPRLVDLPLEKFQLALAHILQGSAHTGPALTPAEVLVAIHDIIPEKDGLPLKKIMDACSACFEQRTVFTQQVLAKALNQMVDQIPLPLLFMRTVIQAIDAFPTLVDFVMEILSKLVNKQVWRMPKLWVGFLKCVAQTQPHSFPVLLKLPPPQLESALNKYGSLRSSLAAYASQPATKGSLPRSTLAVLGLANESHMQQPHMSTLHPSDTSSVQGATLT >EOY15575 pep chromosome:Theobroma_cacao_20110822:8:3796326:3814008:-1 gene:TCM_034587 transcript:EOY15575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MTLQFQQHGKVDRWLEELWMWMVRFKEGVFFIALEPVPVRTKLLALKFLETYVLLFTSDNVDSEKVVEATRGSRWTFNVSWLSGGHPVLDPVVLTSDAHRTLYILLDVLQSASSLPGSVTITVVNCLAAVARKRPLHYGTVLSALLDFNPNFETARGCHNASIQYSLRTAFLGFLRCTNPAIMESRDTLLRALRAMNAGDAADQVIRQVEKMIKSSERASRETRAGRDDQSSSQAAILGDVSKKRSMPQDNEEPSNSLEMVSKRTRYGLNSHSMSPIQINDSGQDSASVNGLPPNVPLSDGHLTPVEQMIAMIGALLAEGERGAESLEILISKIHPDLLADIVITNMKHLPKDPPPLTRVGTLPINQQAGYVNSPAQVLPPPAPTNSLHPPLSTSQLPFTSAATTSSLLSDTSVVSNFAADSKRDPRRDPRRLDPRRAAACVGVPSPPVLEDTGASLAEFDGSISSKPFSVPVVENPPVHSMSNIQSDDKIIEGPLVSGVEQPGPEGIVLGGVEDIVPVLEVQTSSKHAPSPPYTVDGDSAEMKADAEAKYETDASSFPESDQNFQASVNSSSFDETGCDLPVLPLYVELTEEQKRTVRKSAVQQIAESYLHLHWSDCSQTRNALLARLVAQIDADDDIIVMLGKQIVADYQHQKGHEIVLQVLYHLYSLTVSNSVDNSSYSAVLYDKFLLAVAESLLDTFPASDKSFSRLLGEVPFLPDSALRLLDDLCYSDVFDVTGKELRDAERVTQGLGAVWSLILGRPNNRQACLGIALKCAVHSQDDIRGKAIRLVANKLYQLSYISGEIEQFATNMLLSAVDQRAAGEELLQLVSIDEKGERGGSGDTSISGSNLLEPRASGIDSMGTESTSNSASVVSFPEAQRLISLFFALCKKKPSLLQLSFDIYGRAPKIVKQAFHRHIPIVIRALGQSYSQLLRIISDPPRGSENLLTLVLQILTQETTPSPDLIATVKHLYETKLKDATILIPMLSSLSKNEVLPIFPRLVDLPLEKFQLALAHILQGSAHTGPALTPAEVLVAIHDIIPEKDGLPLKKVCCQ >EOY15572 pep chromosome:Theobroma_cacao_20110822:8:3794463:3814717:-1 gene:TCM_034587 transcript:EOY15572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MAIADSRDQALSLLTAANNHADLAVKLSSLKQAKDILSSLDSSSAADLFPYLADLQGSPECLVRKFLLEIIEDIALRAIEHSSILVPVLVAFLKDSDSDVVRQSIVSGTNFFCSFLEEMTLQFQQHGKVDRWLEELWMWMVRFKEGVFFIALEPVPVRTKLLALKFLETYVLLFTSDNVDSEKVVEATRGSRWTFNVSWLSGGHPVLDPVVLTSDAHRTLYILLDVLQSASSLPGSVTITVVNCMLLAAVARKRPLHYGTVLSALLDFNPNFETARGCHNASIQYSLRTAFLGFLRCTNPAIMESRDTLLRALRAMNAGDAADQVIRQVEKMIKSSERASRETRAGRDDQSSSQAAILGDVSKKRSMPQDNEEPSNSLEMVSKRTRYGLNSHSMSPIQINDSGQDSASVNGLPPNVPLSDGHLTPVEQMIAMIGALLAEGERGAESLEILISKIHPDLLADIVITNMKHLPKDPPPLTRVGTLPINQQAGYVNSPAQVLPPPAPTNSLHPPLSTSQLPFTSAATTSSLLSDTSVVSNFAADSKRDPRRDPRRLDPRRAAACVGVPSPPVLEDTGASLAEFDGSISSKPFSVPVVENPPVHSMSNIQSDDKIIEGPLVSGVEQPGPEGIVLGGVEDIVPVLEVQTSSKHAPSPPYTVDGDSAEMKADAEAKYETDASSFPESDQNFQASVNSSSFDETGCDLPVLPLYVELTEEQKRTVRKSAVQQIAESYLHLHWSDCSQTRNALLARLVAQIDADDDIIVMLGKQIVADYQHQKGHEIVLQVLYHLYSLTVSNSVDNSSYSAVLYDKFLLAVAESLLDTFPASDKSFSRLLGEVPFLPDSALRLLDDLCYSDVFDVTGKELRDAERVTQGLGAVWSLILGRPNNRQACLGIALKCAVHSQDDIRGKAIRLVANKLYQLSYISGEIEQFATNMLLSAVDQRAAGEELLQLVSIDEKGERGGSGDTSISGSNLLEPRASGIDSMGTESTSNSASVVSFPEAQRLISLFFALCKKKPSLLQLSFDIYGRAPKIVKQAFHRHIPIVIRALGQSYSQLLRIISDPPRGSENLLTLVLQILTQETTPSPDLIATVKHLYETKLKDATILIPMLSSLSKNEVLPIFPRLVDLPLEKFQLALAHILQGSAHTGPALTPAEVLVAIHDIIPEKDGLPLKKIMDACSACFEQRTVFTQQVLAKALNQMVDQIPLPLLFMRTVIQAIDAFPTLVDFVMEILSKLVNKQVWRMPKLWVGFLKCVAQTQPHSFPVLLKLPPPQLESALNKYGSLRSSLAAYASQPATKGSLPRSTLAVLGLANESHMQQPHMSTLHPSDTSSVQGATLT >EOY15574 pep chromosome:Theobroma_cacao_20110822:8:3794519:3811573:-1 gene:TCM_034587 transcript:EOY15574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MESRDTLLRALRAMNAGDAADQVIRQVEKMIKSSERASRETRAGRDDQSSSQAAILGDVSKKRSMPQDNEEPSNSLEMVSKRTRYGLNSHSMSPIQINDSGQDSASVNGLPPNVPLSDGHLTPVEQMIAMIGALLAEGERGAESLEILISKIHPDLLADIVITNMKHLPKDPPPLTRVGTLPINQQAGYVNSPAQVLPPPAPTNSLHPPLSTSQLPFTSAATTSSLLSDTSVVSNFAADSKRDPRRDPRRLDPRRAAACVGVPSPPVLEDTGASLAEFDGSISSKPFSVPVVENPPVHSMSNIQSDDKIIEGPLVSGVEQPGPEGIVLGGVEDIVPVLEVQTSSKHAPSPPYTVDGDSAEMKADAEAKYETDASSFPESDQNFQASVNSSSFDETGCDLPVLPLYVELTEEQKRTVRKSAVQQIAESYLHLHWSDCSQTRNALLARLVAQIDADDDIIVMLGKQIVADYQHQKGHEIVLQVLYHLYSLTVSNSVDNSSYSAVLYDKFLLAVAESLLDTFPASDKSFSRLLGEVPFLPDSALRLLDDLCYSDVFDVTGKELRDAERVTQGLGAVWSLILGRPNNRQACLGIALKCAVHSQDDIRGKAIRLVANKLYQLSYISGEIEQFATNMLLSAVDQRAAGEELLQLVSIDEKGERGGSGDTSISGSNLLEPRASGIDSMGTESTSNSASVVSFPEAQRLISLFFALCKKKPSLLQLSFDIYGRAPKIVKQAFHRHIPIVIRALGQSYSQLLRIISDPPRGSENLLTLVLQILTQETTPSPDLIATVKHLYETKLKDATILIPMLSSLSKNEVLPIFPRLVDLPLEKFQLALAHILQGSAHTGPALTPAEVLVAIHDIIPEKDGLPLKKIMDACSACFEQRTVFTQQVLAKALNQMVDQIPLPLLFMRTVIQAIDAFPTLVDFVMEILSKLVNKQVWRMPKLWVGFLKCVAQTQPHSFPVLLKLPPPQLESALNKYGSLRSSLAAYASQPATKGSLPRSTLAVLGLANESHMQQPHMSTLHPSDTSSVQGATLT >EOY17264 pep chromosome:Theobroma_cacao_20110822:8:18767050:18768845:-1 gene:TCM_036408 transcript:EOY17264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase-like protein isoform 4 MKDRISELPDEILVHILSFLSLRNAARSGILSRRWRHLWKSVPSLNFDFVNKLGPGRDSKGLNKYQFVDWVNAVLDLYQGKSLDEFRIHCTLGVDLASSIDSWIHFAIDKKVKRMDLDFLTRLDFFPVSLKYTFPSCNPVETVTSVEYVSLSSCILTLPGIGKFRSLKHLFLDRVELVDEVLQHLLSNCSSLEYLNLQRAAKLVSVKVDASSSKLKCLMIYYCLSLKCVDIYASNLITFTYVGHKVDLKSAPSLTEVSFCVGNHSCEDRLTYAGVQFAGSLHQLEKLVLQVSPHEVSRGIGVLPTLCNLKVLVLWVSSKEDSVTAFIQLLDLSPLLRRLELHLMYLRSQPGPSRTEITSESVHRQLEEVEVGGFFGFEDEIQLLEHVVKNAVALKKIVIDPCRKDLSASGKSVEAGNSFPFNGRLQTARKHAQHFCERIPPSINVVIL >EOY17265 pep chromosome:Theobroma_cacao_20110822:8:18767050:18768968:-1 gene:TCM_036408 transcript:EOY17265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase-like protein isoform 4 MWKESLKLLIHAMLLCFDCLFHTRFSYYSIGGEFFMQRSNTMKDRISELPDEILVHILSFLSLRNAARSGILSRRWRHLWKSVPSLNFDFVNKLGPGRDSKGLNKYQFVDWVNAVLDLYQGKSLDEFRIHCTLGVDLASSIDSWIHFAIDKKVKRMDLDFLTRLDFFPVSLKYTFPSCNPVETVTSVEYVSLSSCILTLPGIGKFRSLKHLFLDRVELVDEVLQHLLSNCSSLEYLNLQRAAKLVSVKVDASSSKLKCLMIYYCLSLKCVDIYASNLITFTYVGHKVDLKSAPSLTEVSFCVGNHSCEDRLTYAGVQFAGSLHQLEKLVLQVSPHEVSRGIGVLPTLCNLKVLVLWVSSKEDSVTAFIQLLDLSPLLRRLELHLMYLRSQPGPSRTEITSESVHRQLEEVEVGGFFGFEDEIQLLEHVVKNAVALKKIVIDPCRKDLSASGKSVEAGNSFPFNGRLQTARKHAQHFCERIPPSINVVIL >EOY17262 pep chromosome:Theobroma_cacao_20110822:8:18765925:18769518:-1 gene:TCM_036408 transcript:EOY17262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase-like protein isoform 4 MQTVNPKRSNTMKDRISELPDEILVHILSFLSLRNAARSGILSRRWRHLWKSVPSLNFDFVNKLGPGRDSKGLNKYQFVDWVNAVLDLYQGKSLDEFRIHCTLGVDLASSIDSWIHFAIDKKVKRMDLDFLTRLDFFPVSLKYTFPSCNPVETVTSVEYVSLSSCILTLPGIGKFRSLKHLFLDRVELVDEVLQHLLSNCSSLEYLNLQRAAKLVSVKVDASSSKLKCLMIYYCLSLKCVDIYASNLITFTYVGHKVDLKSAPSLTEVSFCVGNHSCEDRLTYAGVQFAGSLHQLEKLVLQVSPHEVSRGIGVLPTLCNLKVLVLWVSSKEDSVTAFIQLLDLSPLLRRLELHLMYLRSQPGPSRTEITSESVHRQLEEVEVGGFFGFEDEIQLLEHVVKNAVALKKIVIDPCRKDLSASGKSVEAGNSFPFNGRLQTARKHAQHFCERIPPSINVEKVEAIFGIWSLSLHSVISKGILFWDANDVKMAQLNAKAMRTFFCALGVSENNRELEKWDKLEWTHEGTNQVKESKDECPLLTMSCFK >EOY17263 pep chromosome:Theobroma_cacao_20110822:8:18766395:18769501:-1 gene:TCM_036408 transcript:EOY17263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase-like protein isoform 4 MQTVNPKRSNTMKDRISELPDEILVHILSFLSLRNAARSGILSRRWRHLWKSVPSLNFDFVNKLGPGRDSKGLNKYQFVDWVNAVLDLYQGKSLDEFRIHCTLGVDLASSIDSWIHFAIDKKVKRMDLDFLTRLDFFPVSLKYTFPSCNPVETVTSVEYVSLSSCILTLPGIGKFRSLKHLFLDRVELVDEVLQHLLSNCSSLEYLNLQRAAKLVSVKVDASSSKLKCLMIYYCLSLKCVDIYASNLITFTYVGHKVDLKSAPSLTEVSFCVGNHSCEDRLTYAGVQFAGSLHQLEKLVLQVSPHEVSRGIGVLPTLCNLKVLVLWVSSKEDSVTAFIQLLDLSPLLRRLELHLMYLRSQPGPSRTEITSESVHRQLEEVEVGGFFGFEDEIQLLEHVVKNAVALKKIVIDPCRKDLSASGKSVEAGNSFPFNGRLQTARKHAQHFCERIPPSINVVIL >EOY16357 pep chromosome:Theobroma_cacao_20110822:8:6840854:6842957:-1 gene:TCM_035160 transcript:EOY16357 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441 MTATELQLPPGFRFHPTDEELVRHYLCRKCASQSIAVPIIAEIDLYKYDPWDLPDLALYGEKEWYFFSPRERKYPNGSRPNRAAGSGYWKATGADKPIGQPKPVGIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSVRKKNSLRLDDWVLCRIYNKKGAIEKQPPQGSVTKKASGTAIEDKKPDIGTLGMESRGPPPAPTGTVNDLVYFDTSESVPRLHTDSSCSEHVVSPEFTCEVQSEPKWKDWGSTNNSALDFAYNYIDATVDAGFASHFQSNSQLSPLQDMFMYLQKPF >EOY14967 pep chromosome:Theobroma_cacao_20110822:8:1822102:1828336:1 gene:TCM_034188 transcript:EOY14967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iq-domain 32, putative isoform 1 MGRSTSCLKIITCGSDSAENDEAIDVPENKRSSDKKGWSFRKRSERHRVLSNTVIEESASGLKESPESAGFNFQQPDVSIAPEKTSTIEYTEEKPQLLTPKEFIEEKPELLAPKEYTEEKSELLAPKEYAEEKSQLLTPIECTEEKFQLLTPEDSKVPEPVAATTKEAEDDTNLDESVVVIIQTAIRGFLARKELGKLKNLVKLQAAVRGHLVRRHAVGTLRCVQAIVKMQVLVRARRARISQVGSYAEKKLDGNQSLQGSPATKQNATYASAEKLLSNRFARQLMDSTPKTKPIHIKCDSSKPNSAWSWLERWMSVSSSGKLATAEVLIEQPEREKSDNCDSPVDATTPSEARCESNEPKSDVREMLVSSESEENLITYDAANFKFEACQPTSSSVMDDLEQPQSNNVSTSDLKETSQVQTMQSDAHSQTEVGCLSHKPEIESEQPKSSMKRFASEQLEMEGKKYVYGSRKASNPAFVAAQTKFEELSSTANSSKSINSSHQDVGVESNMDNVSSGADMISSSKELNIAENPVLNNWRVQHGGSECGTELSVTSTLDSPDISEVGTIEYEQGAKVSEQENCSSNSTKDLDVKENDTIAIPVPDSSLSVADQPEKPDDAKGESENLIVVDSPQIEQEPLKSTSDLQRERNSETGNQAYRSSPEASPRSHMTVPESQGTPSSQVSVKAKKKKTNKSSQKHKSLSAAKGSPSTPAHDSGARSSMEQLPKDQKNGKRRNSFGSTRPENTDQEPRDSNSSHSLPHFMQATESARAKVSANNSPRSSPDVQDSDIHIKKRHSLPGANGRQGSPRIQRSMSQAQQGAKGNGTNPLHDGKGEWFFGATIYQGNMVFNLSDGKVEPIDGLTI >EOY14965 pep chromosome:Theobroma_cacao_20110822:8:1822565:1827345:1 gene:TCM_034188 transcript:EOY14965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iq-domain 32, putative isoform 1 MGRSTSCLKIITCGSDSAENDEAIDVPENKRSSDKKGWSFRKRSERHRVLSNTVIEESASGLKESPESAGFNFQQPDVSIAPEKTSTIEYTEEKPQLLTPKEFIEEKPELLAPKEYTEEKSELLAPKEYAEEKSQLLTPIECTEEKFQLLTPEDSKVPEPVAATTKEAEDDTNLDESVVVIIQTAIRGFLARKELGKLKNLVKLQAAVRGHLVRRHAVGTLRCVQAIVKMQVLVRARRARISQVGSYAEKKLDGNQSLQGSPATKQNATYASAEKLLSNRFARQLMDSTPKTKPIHIKCDSSKPNSAWSWLERWMSVSSSGKLATAEVLIEQPEREKSDNCDSPVDATTPSEARCESNEPKSDVREMLVSSESEENLITYDAANFKFEACQPTSSSVMDDLEQPQSNNVSTSDLKETSQVQTMQSDAHSQTEVGCLSHKPEIESEQPKSSMKRFASEQLEMEGKKYVYGSRKASNPAFVAAQTKFEELSSTANSSKSINSSHQDVGVESNMDNVSSGADMISSSKELNIAENPVLNNWRVQHGGSECGTELSVTSTLDSPDISEVGTIEYEQGAKVSEQENCSSNSTKDLDVKENDTIAIPVPDSSLSVADQPEKPDDAKGESENLIVVDSPQIEQEPLKSTSDLQRERNSETGNQAYRSSPEASPRSHMTVPESQGTPSSQVSVKAKKKKTNKSSQKHKSLSAAKGSPSTPAHDSGARSSMEQLPKDQKNGKRRNSFGSTRPENTDQEPRDSNSSHSLPHFMQATESARAKVSANNSPRSSPDVQDSDIHIKKRHSLPGANGRQGSPRIQRSMSQAQQGAKGNGTNPLHERRWQR >EOY14966 pep chromosome:Theobroma_cacao_20110822:8:1822102:1828336:1 gene:TCM_034188 transcript:EOY14966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iq-domain 32, putative isoform 1 MGRSTSCLKIITCGSDSAENDEAIDVPENKRSSDKKGWSFRKRSERHRVLSNTVIEESASGLKESPESAGFNFQQPDVSIAPEKTSTIEYTEEKPQLLTPKEFIEEKPELLAPKEYTEEKSELLAPKEYAEEKSQLLTPIECTEEKFQLLTPEDSKVPEPVAATTKEAEDDTNLDESVVVIIQTAIRGFLARKELGKLKNLVKLQAAVRGHLVRRHAVGTLRCVQAIVKMQVLVRARRARISQVGSYAEKKLDGNQSLGSPATKQNATYASAEKLLSNRFARQLMDSTPKTKPIHIKCDSSKPNSAWSWLERWMSVSSSGKLATAEVLIEQPEREKSDNCDSPVDATTPSEARCESNEPKSDVREMLVSSESEENLITYDAANFKFEACQPTSSSVMDDLEQPQSNNVSTSDLKETSQVQTMQSDAHSQTEVGCLSHKPEIESEQPKSSMKRFASEQLEMEGKKYVYGSRKASNPAFVAAQTKFEELSSTANSSKSINSSHQDVGVESNMDNVSSGADMISSSKELNIAENPVLNNWRVQHGGSECGTELSVTSTLDSPDISEVGTIEYEQGAKVSEQENCSSNSTKDLDVKENDTIAIPVPDSSLSVADQPEKPDDAKGESENLIVVDSPQIEQEPLKSTSDLQRERNSETGNQAYRSSPEASPRSHMTVPESQGTPSSQVSVKAKKKKTNKSSQKHKSLSAAKGSPSTPAHDSGARSSMEQLPKDQKNGKRRNSFGSTRPENTDQEPRDSNSSHSLPHFMQATESARAKVSANNSPRSSPDVQDSDIHIKKRHSLPGANGRQGSPRIQRSMSQAQQGAKGNGTNPLHERRWQR >EOY15188 pep chromosome:Theobroma_cacao_20110822:8:2520781:2524282:1 gene:TCM_034337 transcript:EOY15188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding alcohol dehydrogenase family protein isoform 1 MVAPPSPYEVRIRIICTSLCHSDIIFWKLKVPPACFPRILGHEAVGVVESVGENVDEVAEGDVVIPTFLSDCGECADCRSEKSNLCSKFPFRVHPWMPRYDASRFTDLKGEALYHFLFVSSFSEYTVVDITHVVKIDPAIPPNRACLFGCCVSTGVGAACKTANVEAGSTVAIFGLGSIGLAVAKGARLCGAKRIIGVDINPEKFEIGKNFGVTEFINSKDCGNKSLSQVIIEMTEGGADYCFECVGLGSLVQEAYASCRKGWGKTIVVGVDKPGAQLNFSSFDVLHSGKVLTGSLFGGVKAKSDLPVLIKRYMDKELQLDEFVTHEVKFEDINKAFELLIEGKCIRCVIWMDK >EOY15187 pep chromosome:Theobroma_cacao_20110822:8:2520649:2524431:1 gene:TCM_034337 transcript:EOY15187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding alcohol dehydrogenase family protein isoform 1 MDNEKLSSKTAGKPIRCKAAVSREPGEPLVIEEIMVAPPSPYEVRIRIICTSLCHSDIIFWKLKVPPACFPRILGHEAVGVVESVGENVDEVAEGDVVIPTFLSDCGECADCRSEKSNLCSKFPFRVHPWMPRYDASRFTDLKGEALYHFLFVSSFSEYTVVDITHVVKIDPAIPPNRACLFGCCVSTGVGAACKTANVEAGSTVAIFGLGSIGLAVAKGARLCGAKRIIGVDINPEKFEIGKNFGVTEFINSKDCGNKSLSQQVIIEMTEGGADYCFECVGLGSLVQEAYASCRKGWGKTIVVGVDKPGAQLNFSSFDVLHSGKVLTGSLFGGVKAKSDLPVLIKRYMDKELQLDEFVTHEVKFEDINKAFELLIEGKCIRCVIWMDK >EOY14655 pep chromosome:Theobroma_cacao_20110822:8:888286:891292:-1 gene:TCM_033966 transcript:EOY14655 gene_biotype:protein_coding transcript_biotype:protein_coding description:IBR domain-containing protein, putative MSGSEDDNNLGNDDGDYYFCNAEDTEDDDEGIALQEDETDNLPRSHQNYTVLKEADIRHRMEDSIGEVSGVLSISKVEASILLLHYNWSVGKVHDSWFTDEGEARKKAGLVVKPLIELPDHGDILCRICFESYSRDGIKSTVCGHPYCSDCWSSYIKTAIADGPGSLLLKCPEPSCRAAVGEDMIGVFASEEEKKKYSGYFVMSYIEDSKMIKWCPGPGCENAINFDAGSTNFDVSCACSHLFCWNCSEEAHRPVDCETVRKWIMKNSSEAENVNYILAFTKPCPKCRRPIEKNMGCSHMSCMAPCYYQFCWLCLKDWSNHGACNRYNQDPEEERREKAKKYMMRYSHYFERWATNQKSMKKAAADMENVQSNQLEILARIQLLPETQFSFLTEAWQQIVECRRVLAWTYAYGYYLPDQDPAKRNLFEYLQGQAESGLERLHDCAEKELRPFLKDQHPENEFIDFRKRLSVLTTVTRNYFDNLVTALENGLSDVKSQNSSSVSKKPRRESTMNTADAADVVAGAQILTTRIKASFSEGPWVCPYCSYRNNGSATSCGMCARRGTWACDHCTFANTRTATTCFMCLEPRQP >EOY14728 pep chromosome:Theobroma_cacao_20110822:8:1107351:1113441:1 gene:TCM_034018 transcript:EOY14728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF620) [Source:Projected from Arabidopsis thaliana (AT1G75160) TAIR;Acc:AT1G75160] MGETWEKEMGVSSRILKRSSEAPKHKQTKTSGAPNPVAAKACTVIGTGDHGNMVLFVGLWCQEIDQVIQLESSNAKSKVSIRTTSGSEGKRKEEGFLSLARAPFPLSLPFCLSLPVAMMIRKLCPNLDKKDGLETVLEVPIPEEMYTKMGSNAALRWQNMRALMKAQSAFDKSTHLQAKSDNEFLALLKIVGAPLIPFQVHLENYTLSGPLNSKDCSIEASTAKYIVQQYVAATGGHLALSSMTSMYAVGQVKMQGSEMQQGDDSVHTRGNCEVGGFVLWQKNPDLWYLELVVSGFKVSAGSDGKVAWNQSSSQPGQANRGPPRPLRRFFQGLDPRCTASLFLDAVCIGEQAINNEDCFVLKLETAANALKVQSSAQTEIIHHTIWGYFSQRTGLLVKFEDTKLVRMKPVKGNDSAFWETSVETVVQDYKYIDGINIAHSGKTITTLYRYGKSYNQKRKIEETWRIDEVDFNICGLSNETFLPPADLKREQEGGEQ >EOY16454 pep chromosome:Theobroma_cacao_20110822:8:7165684:7166852:1 gene:TCM_035218 transcript:EOY16454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subunit NDH-M of NAD(P)H:plastoquinone dehydrogenase complex isoform 2 MAATSSHMACTKFSMLGWGRGKRDLRKTRFVSVSAQQQAQVGEEAQEAQVQEEQEKVKQQSTQPRPVEPQLNVKSKNMSKEYGGQWLSSVTRHVRIYAAYIDPQTSEFDQTQMDKLSLILDPTDEFVWTPETCNKVYSYFQELVDHYEGAPLTEYTLRLIGSDIEHYIRKLLYDGEIRYNMNAKVLNFSMGKPRILFNNNDGHFQDAE >EOY16455 pep chromosome:Theobroma_cacao_20110822:8:7165874:7166582:1 gene:TCM_035218 transcript:EOY16455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subunit NDH-M of NAD(P)H:plastoquinone dehydrogenase complex isoform 2 MAATSSHMACTKFSMLGWGRGKRDLRKTRFVSVSAQQQAQVGEEAQEAQVQEEQEKVKQQSTQPRPVEPQLNVKSKNMSKEYGGQWLSSVTRHVRIYAAYIDPQTSEFDQTQMDKLSLILDPTDEFVWTPETCNKVYSYFQELVDHYEICLMFLL >EOY16953 pep chromosome:Theobroma_cacao_20110822:8:15393727:15400087:-1 gene:TCM_036033 transcript:EOY16953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRILIVCLLCQGISGCSRSLLTPTLWALKTLKPTPDEAKREYFVDIDVPLSEGHQYVPTRHKEDRADWGLGAREKRKNLKDKRATSAMKWRCTTTAGDNKLSGLELMEEGDDHGNGTPQPLRGLPQTHSANMPSLTEVTTALQPLIGHAQLHNVNEPSTFKDCIVGRILDGLKSRGGASSHDNGEDHDDVDDGQHDEPGVHIHHDVVSVDGENVTHVDDFLNDAVARDVTLQSNDAEGDHVPEVDALVKATTGRDENLASVQDLKNSMVEAYEAFKKDECVRRNIEILGDQGANFLTTLEDPKEEMTSEQIDSCLNILCKRMTRSKSKLYNASACWLIRYSSTPSICSTPHFQLNMACPLWKFQMSFRGTWRVVAKIDMVRWIIKVVDSARTSTVKDNGTRDLTPMPLKNHLPKAKVYRQNDSVSCVDLITPSLALVLSALTPVTASLGAPAAASLGAPAATSLGSLVVTSLGAPVAASLALLATLDFFL >EOY15929 pep chromosome:Theobroma_cacao_20110822:8:5082084:5084659:1 gene:TCM_034851 transcript:EOY15929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ribosomal protein L27 MPLGLILGIGRAFRRKRTSSLDILSSKRAPRDYYKGKNCKSTGFHTRKGGYVLMQEKLPNYVVPDLTDFKLKPYVSQCPREVKTTEVSKSAK >EOY16190 pep chromosome:Theobroma_cacao_20110822:8:6136433:6141969:-1 gene:TCM_035035 transcript:EOY16190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Holocarboxylase synthase 1 isoform 2 MWLCQSIFCMLVSSRFRLLSPHISFSVLSLKNHTPSRLSFSVAASAMETSSPSALFLCGKSSSETEIAKSLKINNALKLPNGGEVSTHLQSEISNFQLGEEAFNVNLFLNSLSTNRFGRNLIWSPRLPSTHDVVSHNFCELPIGAACVADVQFKGRGRSKNAWESPRGCLMFSFTLEMEDGKIVPLVQYVVSLALTEAIKDICDREGFPCLDVRIKWPNDLYLNGLKVGGILCTSTYKSKKFNVSSGVGLNVDNEKPTTCLNAVLKELSTTSNKFRREDIMAAFFNKFEMLYDIFLNQGFQTLEELYYRTWLHSGQRVIVQEKNEDRIVENVVTIQGLTSSGYLLAIGDDYQMCELHPDGNSFDFFKGLVRRKLE >EOY16191 pep chromosome:Theobroma_cacao_20110822:8:6137569:6142093:-1 gene:TCM_035035 transcript:EOY16191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Holocarboxylase synthase 1 isoform 2 MWLCQSIFCMLVSSRFRLLSPHISFSVLSLKNHTPSRLSFSVAASAMETSSPSALFLCGKSSSETEIAKSLKINNALKLPNGGEVSTHLQSEISNFQLGEEAFNVNLFLNSLSTNRFGRNLIWSPRLPSTHDVVSHNFCELPIGAACVADVQFKGRGRSKNAWESPRGCLMFSFTLEMEDGKIVPLVQYVVSLALTEAIKDICDREGFPCLDVRIKWPNDLYLNGLKVGGILCTSTYKSKKFNVSSGVGLNVDNEKPTTCLNAVLKELSTTSNKFRREDIMAAFFNKFEMLYDIFLNQGFQTLEELYYRTWLHSGQRVIVQEKNEDRIVENVVTIQEKFL >EOY16192 pep chromosome:Theobroma_cacao_20110822:8:6136150:6142091:-1 gene:TCM_035035 transcript:EOY16192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Holocarboxylase synthase 1 isoform 2 MWLCQSIFCMLVSSRFRLLSPHISFSVLSLKNHTPSRLSFSVAASAMETSSPSALFLCGKSSSETEIAKSLKINNALKLPNGGEVSTHLQSEISNFQLGEEAFNVNLFLNSLSTNRFGRNLIWSPRLPSTHDVVSHNFCELPIGAACVADVQFKGRGRSKNAWESPRGCLMFSFTLEMEDGKIVPLVQYVVSLALTEAIKDICDREGFPCLDVRIKWPNDLYLNGLKVGGILCTSTYKSKKFNVSSGVGLNVDNEKPTTCLNAVLKELSTTSNKFRREDIMAAFFNKFEMLYDIFLNQVGRELLCRKRMRIELWKMWSRSRV >EOY17123 pep chromosome:Theobroma_cacao_20110822:8:17942578:17947364:-1 gene:TCM_036292 transcript:EOY17123 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi family protein isoform 2 MSHTFSYHPNNPWTPKETTPTNDRNSKNAWHSLHNNTNSNSSSLRKQPFVLCCVVFPVVLPPHPLLKMRTLLTLGPPCLSSSSSSSSLPITYNSHSSPSSCSSSNSFLYSFRPNKRFHFVKPCSSLKQTKKQQSLQRSPPSAPQSLRWFLNPQGDDDKKLKVDADADGNGEGGIQGGSALTGTLLAGVLLFGVVGGLGTVGFIYKDQINAFLNQFSTFIEGYGPAGYALFVAVYAGLEVLAIPAIPLTMSAGLLFGSVIGTIIVSISGTVAASVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFRVVTLLRLSPLLPFSLGNYLYGLTSVKFVPYVLGSWLGMLPGTWAYVSAGAFGRAIIQEESDFAFGGNGILTLGLGLLATAIAAAYVTQLAKDAVKDIE >EOY17124 pep chromosome:Theobroma_cacao_20110822:8:17943634:17947381:-1 gene:TCM_036292 transcript:EOY17124 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi family protein isoform 2 MSHTFSYHPNNPWTPKETTPTNDRNSKNAWHSLHNNTNSNSSSLRKQPFVLCCVVFPVVLPPHPLLKMRTLLTLGPPCLSSSSSSSSLPITYNSHSSPSSCSSSNSFLYSFRPNKRFHFVKPCSSLKQTKKQQSLQRSPPSAPQSLRWFLNPQGDDDKKLKVDADADGNGEGGIQGGSALTGTLLAGVLLFGVVGGLGTVGFIYKDQINAFLNQFSTFIEGYGPAGYALFVAVYAGLEVLAIPAIPLTMSAGLLFGSVIGTIIVSISGTQVLPF >EOY15015 pep chromosome:Theobroma_cacao_20110822:8:1969771:1972371:1 gene:TCM_034215 transcript:EOY15015 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein MAILTFLPEQEAGPKKQLSTKRRRKQKKPKQPSSWDQIKNLLTCKQVEGSKVHDPSKNNPPHHHGYSKLGSSCNSICSFRDVVHGNTRVVHRADNSPESSTVGQETGLLRRKAANGSSTRSLSGSTRSNTSTTYTTSSSSRAMQFRKLSGCYECHMIVDPSRYPSSRTTISACSQCGEVFPKIESLELHQAVRHAVSELGPEDSGRNIVEIIFKSSWLKKDNPICKIERILKVHNTQRTIQRFEDCRDAVKTRALNSTRKNPRCAADGNELLRFHCTTLTCSLGARGSSSLCGAVPGCGVCTIIRQGFQNKGGSAAVADFKGVRTTASSGRAHDSLNCKDGRRAMLVCRVIAGRVKRVTDDAPLEEDNSSVSAGSYDSLAAYAGVYSNLEELVVFNPRAILPCFVVIYKALES >EOY15868 pep chromosome:Theobroma_cacao_20110822:8:4902628:4905080:1 gene:TCM_034807 transcript:EOY15868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase B2,2 MERQSQTAAVSSSAMEAFEKLEKVGEGTYGKVYRARERATGKIVALKKTRLHEDDEGVPPTTLREVSILRMLSRDPHVVRLMDVKQGQNKEGKTVLYLVFEYMDTDLKKYIRSFRQSGDQIPVKTVKSLMYQLCKGVAFCHGHGVLHRDLKPHNLLMDRKTMTLKIADLGLARAFTLPIKKYTHEILTLWYRAPEVLLGATHYSTAVDMWSVACIFAELVTKQALFPGDSELQQLLHIFRLLGTPNEQVWPGVSLLPNWHDYPQWSPQGLSSAVPNLDDDGLHLLSQMLQYDPSKRISAKKAMEHPYFADLDKAHL >EOY15919 pep chromosome:Theobroma_cacao_20110822:8:5025251:5026661:1 gene:TCM_034842 transcript:EOY15919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein, putative MASPSVGVACLVLVLCMVVPSLATDYTVGDTAGWSTGVDYSIWTQGKTFNVGDSLVFNYPTSHTVDEVSQSDYSTCTVGNAISTENTGATTITLKTAGTHYFICGVIGHCGNGMKLAVTVESGSSTTPSKSPSSSSSPPSSDKPSTTTPSTATTTTTTTNVPYSSSSWSLSPFAAFVTTWVAIFVMVIT >EOY16859 pep chromosome:Theobroma_cacao_20110822:8:12326579:12327685:1 gene:TCM_035780 transcript:EOY16859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein isoform 2 MLLDIAGRELTTLEIRDALTPYLESLLEEHGRTLVNVVENFPDPPVKDKPVTQVSPPDSAVDSKKLKAVSRVSETGPAGKLRPQVLYLMELGMDLEKIKVITRRFPSFAYYSLEGKIKPVVEFLLELGVPKSDIPIILSKRPQLCGISLSENLIPTMTFLENLGVDKKQWAKVIYRFPALLTYSRQKVKTTLDFLYEMGISSENVGKILTRCPNIISYSVEDKLRPTAKYFRSLGVDIAVLLLRCPQTFGLSIEANLKPVTEFFLDKGYTVEEVGIMTSRYGALYTFSLVDNLIPKWDFFLTMDYSKSELIKFPQYFGYSLEERIKPRVAVVKESGVKLLLNQILSLSSRDFEKALKKKIEKQLTDQV >EOY16858 pep chromosome:Theobroma_cacao_20110822:8:12324798:12328314:1 gene:TCM_035780 transcript:EOY16858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein isoform 2 MRVFCAIRPSMDLSSLSRGPFLITRTRLPFPEKLYFCRAKFADSEADGSFSLRVVPPTLITAEKEEAKAVLTLFLKKQGLSNAVAARIINKSGLFIDHLVSTLHSVHKSRYLVGRELTTLEIRDALTPYLESLLEEHGRTLVNVVENFPDPPVKDKPVTQVSPPDSAVDSKKLKAVSRVSETGPAGKLRPQVLYLMELGMDLEKIKVITRRFPSFAYYSLEGKIKPVVEFLLELGVPKSDIPIILSKRPQLCGISLSENLIPTMTFLENLGVDKKQWAKVIYRFPALLTYSRQKVKTTLDFLYEMGISSENVGKILTRCPNIISYSVEDKLRPTAKYFRSLGVDIAVLLLRCPQTFGLSIEANLKPVTEFFLDKGYTVEEVGIMTSRYGALYTFSLVDNLIPKWDFFLTMDYSKSELIKFPQYFGYSLEERIKPRVAVVKESGVKLLLNQILSLSSRDFEKALKKKIEKQLTDQV >EOY14424 pep chromosome:Theobroma_cacao_20110822:8:289851:292203:-1 gene:TCM_033815 transcript:EOY14424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLPSNHLPCWVDPGRQSTSPHSRNEERQHLKLCNTNIPFYILGTPLPQGTEAEQLSYSLVPEAEAVGITRYMFSLKME >EOY16095 pep chromosome:Theobroma_cacao_20110822:8:5774363:5780167:-1 gene:TCM_034973 transcript:EOY16095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 1 MEKRAVFLVLSVWLLLGGSAALTFSSRLIHRFSDEAKALWTARNGNAGNGVVSWPKRNSLEYLELLIGNDLKRQRMKLGSQYPLLFPSQGSETLFFGNEFDWLHYTWIDIGTPNVSFLVALDAGSDLLWVPCDCIQCAPLSASYYNSLDKDLSEYSPSLSSSSKNLSCSHLLCESSSYCKGPNDPCPYIIEYDSDNTSTSGYLVEDKLHLKSFSGHSEESSLQASVVIGCGRKQSGGYLDGAAPDGLMGLGPGNISVPSLLAKAGLIQNSFSICLDENGSGRIYFGDKGLATQQSTPFLPIGGKYEKYFVRVEHLCVGSSCLEKSGFSALVDSGTSFTYLPPEIYDKVVLEFDKQVNARRISNQEDFWKYCYNVSSQEPFKIPSMRLKFAINQSFEIHNHIYSYTGIEGFTVFCLTVLRGKDDFGIIGQNFMTGHEIVFDRENLKLGWSHSSCQDVNDKSSVHLAPPPSGESPIPLPTNEQQNTNNTQAVTPAVAGRASTNPSAASSLQIPTLLCLMASLLILRCLL >EOY16096 pep chromosome:Theobroma_cacao_20110822:8:5774838:5780231:-1 gene:TCM_034973 transcript:EOY16096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 1 MEKRAVFLVLSVWLLLGGSAALTFSSRLIHRFSDEAKALWTARNGNAGNGVVSWPKRNSLEYLELLIGNDLKRQRMKLGSQYPLLFPSQGSETLFFGNEFDWLHYTWIDIGTPNVSFLVALDAGSDLLWVPCDCIQCAPLSASYYNSLDKDLSEYSPSLSSSSKNLSCSHLLCESSSYCKGPNDPCPYIIEYDSDNTSTSGYLVEDKLHLKSFSGHSEESSLQASVVIGCGRKQSGGYLDGAAPDGLMGLGPGNISVPSLLAKAGLIQNSFSICLDENGSGRIYFGDKGLATQQSTPFLPIGGKYEKYFVRVEHLCVGSSCLEKSGFSALVDSGTSFTYLPPEIYDKVVLEFDKQVNARRISNQEDFWKYCYNVRLDVRLCVRFGSLFLILHSDCPLFLPLEQFTGAVQNS >EOY16098 pep chromosome:Theobroma_cacao_20110822:8:5774542:5780261:-1 gene:TCM_034973 transcript:EOY16098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 1 MEKRAVFLVLSVWLLLGGSAALTFSSRLIHRFSDEAKALWTARNGNAGNGVVSWPKRNSLEYLELLIGNDLKRQRMKLGSQYPLLFPSQGSETLFFGNEFDWLHYTWIDIGTPNVSFLVALDAGSDLLWVPCDCIQCAPLSASYYNSLDKDLSEYSPSLSSSSKNLSCSHLLCESSSYCKGPNDPCPYIIEYDSDNTSTSGYLVEDKLHLKSFSGHSEESSLQASVVIGCGRKQSGGYLDGAAPDGLMGLGPGNISVPSLLAKAGLIQNSFSICLDENGSGRIYFGDKGLATQQSTPFLPIGGKYEKYFVRVEHLCVGSSCLEKSGFSALVDSGTSFTYLPPEIYDKVVLEFDKQVNARRISNQEDFWKYCYNQDVNDKSSVHLAPPPSGESPIPLPTNEQQNTNNTQAVTPAVAGRASTNPSAASSLQIPTLLCLMASLLILRCLL >EOY16097 pep chromosome:Theobroma_cacao_20110822:8:5776555:5780261:-1 gene:TCM_034973 transcript:EOY16097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 1 MEKRAVFLVLSVWLLLGGSAALTFSSRLIHRFSDEAKALWTARNGNAGNGVVSWPKRNSLEYLELLIGNDLKRQRMKLGSQYPLLFPSQGSETLFFGNEFDWLHYTWIDIGTPNVSFLVALDAGSDLLWVPCDCIQCAPLSASYYNSLDKDLSEYSPSLSSSSKNLSCSHLLCESSSYCKGPNDPCPYIIEYDSDNTSTSGYLVEDKLHLKSFSGHSEESSLQASVVIGCGRKQSGGYLDGAAPDGLMGLGPGNISVPSLLAKAGLIQNSFSICLDENGSGRIYFGDKGLATQQSTPFLPIGGKYEKYFVRVEHLCVGSSCLEKSGFSALVDSGTSFTYLPPEIYDKVVLEFDKQVNARRISNQEDFWKYCYNVSSQEPFKIPSMRLKFAINQSFEIHNHIYSYTGIEVILLFLSILHVNFHFETI >EOY15372 pep chromosome:Theobroma_cacao_20110822:8:3064262:3066879:1 gene:TCM_034458 transcript:EOY15372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folic acid and derivative biosynthetic process MGSEAEIARKPRFLCLHGFRTSGAILKKQIETKWPQSVLEKIDLVYPDAPFPAQGKSDVEGIFDPPYYEWFQFNKEFTSYTNFEECLAYIEDIMIRQGPFDGLLGFSQGAILSCGLPGLQAKGVALTKVPKIKYLIIIGGAKFKSESVAEKAYSSPIQCSSLHFLGETDFLKPYGLELLESCVDPVVIHHPKGHTIPRFDEKGLESVMSFLERIQRMLPEKQEKEIYSTATEDALEA >EOY15235 pep chromosome:Theobroma_cacao_20110822:8:2664471:2668530:1 gene:TCM_034374 transcript:EOY15235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein isoform 2 MLLLPPPLPARFPSIQLSSPITRLHVSLQTSIYTAAAATAAEASISLSIDKDKDRDRYDDEDDDQSDVLSIHKRRYDFTPLLNYLSSSNSEPDSDSDSASPTSLDPIEFQLAESYRAVPAPLWHSLLKSMCSSSSSSSSSSINLAYAVVSWLQRHNLCFSYELLYSILIHALGRSEKLYEAFLLSQRQTLTPLTYNALINACARNNDLEKALNLMSRMRQDGYQSDFVNYSLIIQSLTRSNKIDSSLLQKLYGEIECDKIEVDGQLLNDIIVGFAKANDPSHALKFLAMAQAIGLNPKTATLVAVIYSLGCCGRIAEAEAVFEEMKGTGLKPRTRAYNALLKGYVKAGSLKDAELVVSEMERSGVSPDEHTYSLLIDAYANAGRWESARIVLKEMEANNVQPNSFVYSRILASYRNKGEWQRSFQVLREMKSNGIQPDRHFYNVMIDTFGKYNCLDHAMDTFDRMLSEGIKPDTVTWNTLIDCHCKAGRHGRAEELFEEMKESGYSPCTTTYNIMINSFGGQERWDNVKSLLGKMQSQGLLPNIVTYTTLVDIYGKSGRFSDAMECLELMKSAGLKPSLTMYNALINAYAQRGLSEQAINALRIMRADGLKPNLLALNSLINAFGEDRRDVEAFAVLQYMKENDVKPDVVTYTTLMKSLIRVDKFHKVPAVYEEMILSGCTPDRKARAMLRSALRYMKQKVKS >EOY15237 pep chromosome:Theobroma_cacao_20110822:8:2664896:2668346:1 gene:TCM_034374 transcript:EOY15237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein isoform 2 PPLPARFPSIQLSSPITRLHVSLQTSIYTAAAATAAEASISLSIDKDKDRDRYDDEDDDQSDVLSIHKRRYDFTPLLNYLSSSNSEPDSDSDSASPTSLDPIEFQLAESYRAVPAPLWHSLLKSMCSSSSSSSSSSINLAYAVVSWLQRHNLCFSYELLYSILIHALGRSEKLYEAFLLSQRQTLTPLTYNALINACARNNDLEKALNLMSRMRQDGYQSDFVNYSLIIQSLTRSNKIDSSLLQKLYGEIECDKIEVDGQLLNDIIVGFAKANDPSHALKFLAMAQAIGLNPKTATLVAVIYSLGCCGRIAEAEAVFEEMKGTGLKPRTRAYNALLKGYVKAGSLKDAELVVSEMERSGVSPDEHTYSLLIDAYANAGRWESARIVLKEMEANNVQPNSFVYSRILASYRNKGEWQRSFQVLREMKSNGIQPDRHFYNVMIDTFGKYNCLDHAMDTFDRMLSEGIKPDTVTWNTLIDCHCKAGRHGRAEELFEEMKESGYSPCTTTYNIMINSFGGQERWDNVKSLLGKMQSQGLLPNIVTYTTLVDIYGKSGRFSDAMECLELMKSAGLKPSLTMYNALINAYAQRVPAVYEEMILSGCTPDRKARAMLRSALRYMKQKVKS >EOY15236 pep chromosome:Theobroma_cacao_20110822:8:2664896:2668346:1 gene:TCM_034374 transcript:EOY15236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein isoform 2 PPLPARFPSIQLSSPITRLHVSLQTSIYTAAAATAAEASISLSIDKDKDRDRYDDEDDDQSDVLSIHKRRYDFTPLLNYLSSSNSEPDSDSDSASPTSLDPIEFQLAESYRAVPAPLWHSLLKSMCSSSSSSSSSSINLAYAVVSWLQRHNLCFSYELLYSILIHALGRSEKLYEAFLLSQRQTLTPLTYNALINACARNNDLEKALNLMSRMRQDGYQSDFVNYSLIIQSLTRSNKIDSSLLQKLYGEIECDKIEVDGQLLNDIIVGFAKANDPSHALKFLAMAQAIGLNPKTATLVAVIYSLGCCGRIAEAEAVFEEMKGTGLKPRTRAYNALLKGYVKAGSLKDAELVVSEMERSGVSPDEHTYSLLIDAYANAGRWESARIVLKEMEANNVQPNSFVYSRILASYRNKGEWQRSFQVLREMKSNGIQPDRHFYNVMIDTFGKYNCLDHAMDTFDRMLSEGIKPDTVTWNTLIDCHCKAGRHGRAEELFEEMKESGYSPCTTTYNIMINSFGGQERWDNVKSLLGKMQSQGLLPNIVTYTTLVDIYGKSGRFSDAMECLELMKSAGLKPSLTMYNALINAYAQRGLSEQAINALRIMRADGLKPNLLALNSLINAFGEDRRDVEAFAVLQYMKENDVKPDVVTYTTLMKSLIRVDKFHKVPAVYEEMILSGCTPDRKARAMLRSALRYMKQKVKS >EOY15619 pep chromosome:Theobroma_cacao_20110822:8:3962915:3968921:-1 gene:TCM_034623 transcript:EOY15619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MFVYFASMEGDSSRVREKEVDDAEGIKDGNENNNNKKKRKQKKKKKRRSSSLVRFGCLRMESDENGGVDMEVEFPGERNDPTHLVIMVNGIIGSAQNWRYAAKQFLKKYPEDVIVHCSERNSSMLTFDGVNVMGDRLAEEVKYVISRHPSVQKISFVGHSLGGLVARYAIARLYAQDLTRELSQTNGDCGTDHLGDSCPENKFKGKIAGLEPINFITFASPHLGSRWHKQIPLLCGSHALEKVAARTSWLLGRTGKHLFLTDGKEGKPPLLLQMVSDCEDLKFMSALQSFRRRVAYANASFDHIVGWSTSSLRRRNELPKIKHLPRGDKYPHVVNVETAKTATLDEVPSEAKVNGQEKINMEEEMIRGLTKVSWERVDVYFKGSRQRLLAHSTIQVKNYWVNSDGADVVEHMIDNFLL >EOY15626 pep chromosome:Theobroma_cacao_20110822:8:4013191:4013503:1 gene:TCM_034629 transcript:EOY15626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFRQFISGCLKTNAASVGNGLVSTLRPEMLNPQLRVLFCSVIVCLPSVFSVRFDASNCCYLYFALVLF >EOY16573 pep chromosome:Theobroma_cacao_20110822:8:8485178:8485811:-1 gene:TCM_035371 transcript:EOY16573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMGIANSLAPWRQMDSILRDFPQLEQNHHHSAVAGVLREASLTTTSIFHTLLLFLSPTLFKPKPSKWSLVSKLVRKGPTACGEHQNMNELERVDVAVSNLLLQSSRDDFEEEKIQSAKVKLESLDAIFEGFEDGL >EOY17003 pep chromosome:Theobroma_cacao_20110822:8:16368279:16374152:-1 gene:TCM_036128 transcript:EOY17003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCVMNAKVSVLVNGVATNPFKMGRGLRQGCSLSLFPFYMVAEAFSLLMDKRLELGACKRISIGSNRLQISHLQFTNDTMIFCKQEVRGLINTKRILRCFQAMSGLKINFCKSSLIGVGTNEQVTKEGAERIACMDDSNVQLVVGDGNRILFWEDKWMKRQPLKVRFPRIYALAINKEGYIRDYEIEEYHMSRKLEDTLAWKRRLSRQYAAGSFCKLNSTRRMLHGKVAIKYKLLRRRLLNQEIAGCVLFCWVVPGRLKEFFEIWNNIALREGASWDGNQVWENSTLRVTVWAKAKWPYKYGSTIIRYQNPSLGDERQPWRVWNRSVMRDKHGQIKIMFSKSIEVVDANLAEIIAIREAFILFIASKWGKTKSLIIKSDSSNAIKCVNQSTKWPWRLQKWILHIERLKRDVISWQVNHTFRDNNQSTDKLAKARIQCVQDLINVLD >EOY14576 pep chromosome:Theobroma_cacao_20110822:8:622054:628420:-1 gene:TCM_033903 transcript:EOY14576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylamino-acid-releasing enzyme, putative isoform 3 MALSILTRFFTSTSSLFSSTLIFNHFSKRTVFTIATKKKTQPQRYKIMASSQAQAPLSEDQKITAPYGSWKSPITADVVSGSSKRLGGTAVDSQGHLFWLESRPSESGRAVLVKGAEKPGDEPFDITPKEFAVRTVAQEYGGGAFRISGDTVVFSNYIDQRLYKQSISSKDPCPVPITPDYGGPVVSYADGVFDSRLDRYITVMEDRRENSINATTTIAAVSLDDGNQEPKVLVSGNDFYAFPRLDPKGERMAWIEWSHPNMPWDKAELWVGYISENGDLYKRVCVAGCDPKIVESPTEPKWSPTGELFFITDRKSGFWNLHKWVESKNEVLPLYCLNAEFARPLWIFGMNSYEFIKSEVEKTLIACSYRQNGRSHLGILDVVQGSISLLDIPFTDIDNITSWENCLYVEGASVTHPSSVAKVTLDDHKVNVVDFKIIWSSSPDSLKYESYFSLPELIEFPTEVPGQNAYAYYYPPSNPLYQAIQEEKPPLLLKSHGGPTSETRGILNLSIQYWTSRGWAFVDVNYGGSTGYGRQYRERLLGQWGIVDVDDCCSCARFLVEKGKADEERLFITGGSAGGYTTLAALAFRDTFKAGASLYGVADLSLLRAETHKFESHYIDNLVGSETDYFERSPINFVDKFSCPIILFQGLEDKVVPPDQARKIYQALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGRFNVADEITPIKIDNFD >EOY14575 pep chromosome:Theobroma_cacao_20110822:8:622889:628420:-1 gene:TCM_033903 transcript:EOY14575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylamino-acid-releasing enzyme, putative isoform 3 MALSILTRFFTSTSSLFSSTLIFNHFSKRTVFTIATKKKTQPQRYKIMASSQAQAPLSEDQKITAPYGSWKSPITADVVSGSSKRLGGTAVDSQGHLFWLESRPSESGRAVLVKGAEKPGDEPFDITPKEFAVRTVAQEYGGGAFRISGDTVVFSNYIDQRLYKQSISSKDPCPVPITPDYGGPVVSYADGVFDSRLDRYITVMEDRRENSINATTTIAAVSLDDGNQEPKVLVSGNDFYAFPRLDPKGERMAWIEWSHPNMPWDKAELWVGYISENGDLYKRVCVAGCDPKIVESPTEPKWSPTGELFFITDRKSGFWNLHKWVESKNEVLPLYCLNAEFARPLWIFGMNSYEFIKSEVEKTLIACSYRQNGRSHLGILDVVQGSISLLDIPFTDIDNITSWENCLYVEGASVTHPSSVAKVTLDDHKVNVVDFKIIWSSSPDSLKYESYFSLPELIEFPTEVPGQNAYAYYYPPSNPLYQAIQEEKPPLLLKSHGGPTSETRGILNLSIQYWTSRGWAFVDVNYGGSTGYGRQYRERLLGQWGIVDVDDCCSCARFLVEKGKADEERLFITGGSAGGYTTLAALAFRDTFKAGASLYGVADLSLLRAETHKFESHYIDNLVGSETDYFERSPINFVDKFSCPIILFQGLEDKVVPPDQARKIYQALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGRFNVADEITPIKIDNFD >EOY14577 pep chromosome:Theobroma_cacao_20110822:8:622888:628420:-1 gene:TCM_033903 transcript:EOY14577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylamino-acid-releasing enzyme, putative isoform 3 MALSILTRFFTSTSSLFSSTLIFNHFSKRTVFTIATKKKTQPQRYKIMASSQAQAPLSEDQKITAPYGSWKSPITADVVSGSSKRLGGTAVDSQGHLFWLESRPSESGRAVLVKGAEKPGDEPFDITPKEFAVRTVAQEYGGGAFRISGDTVVFSNYIDQRLYKQSISSKDPCPVPITPDYGGPVVSYADGVFDSRLDRYITVMEDRRENSINATTTIAAVSLDDGNQEPKVLVSGNDFYAFPRLDPKGERMAWIEWSHPNMPWDKAELWVGYISENGDLYKRVCVAGCDPKIVESPTEPKWSPTGELFFITDRKSGFWNLHKWVESKNEVLPLYCLNAEFARPLWIFGMNSYEFIKSEVEKTLIACSYRQNGRSHLGILDVVQGSISLLDIPFTDIDNITSWENCLYVEGASVTHPSSVAKVTLDDHKVNVVDFKIIWSSSPDSLKYESYFSLPELIEFPTEVPGQNAYAYYYPPSNPLYQAIQEEKPPLLLKSHGGPTSETRGILNLSIQYWTSRGWAFVDVNYGGSTGYGRQYRERLLGQWGIVDVDDCCSCARFLVEKGKADEERLFITGGSAGGYTTLAALAFRDTFKAGASLYGEVRRITLRGHLSILLINFLAPSFSSRDWKIRLYPLIKLVKSTRH >EOY15844 pep chromosome:Theobroma_cacao_20110822:8:4827619:4830324:-1 gene:TCM_034793 transcript:EOY15844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLMQSPAIFSAKPAWAPLGVKALYRTSSGDSNSMAAAVPGPKWAQKTITLPPHRRGCHLVTSQILREIRPDLSEFKCGLAHLFLHHTSASLTINENYDSDVRDDTETFLNKIVPEGRSAPWKHILEGPDDMPAHIKSSMFGCSLTIPITDGRLNMGTWQGIWLCEHRDAPTARKVVVTLNGI >EOY15845 pep chromosome:Theobroma_cacao_20110822:8:4829366:4830532:-1 gene:TCM_034793 transcript:EOY15845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLMQSPAIFSAKPAWAPLGVKALYRTSSGDSNSMAAAVPGPKWAQKTITLPPHRRGCHLVTSQILREIRPDLSEFKCGLAHLFLHHTSASLTINENYDSDVRDDTETFLNKIVPEGRSAPWKHILEGCFSSYFTTSDCLLFELD >EOY14715 pep chromosome:Theobroma_cacao_20110822:8:1069226:1073461:-1 gene:TCM_034008 transcript:EOY14715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNWVQRKIYLYNVTFGLYMLDWWERYLFNILVIVLMWFIFYNSSKYVTEFCKRHLS >EOY16931 pep chromosome:Theobroma_cacao_20110822:8:15215225:15220666:-1 gene:TCM_036012 transcript:EOY16931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIIGNVDLDRYSFIEMTNDVLKLLVDDSDVGVRLGISIMSRLPKSYEVFKLKNDGGVLKLLQLNEGTFNVDVNASEGTGSGRIGEASYSDLNASTSRIAIGLGIDKVDLSSEDVEWMLETKSEQDEGRAIDRFLRGIPYALDENMKEGIELYRAKNEKTRFKALCKGNSYEQMIHAALCLDKKTFKIKKRYGVNVHPKKLYRAKKRVQKETKRNIGKSYSYMHLHAHMARDTNLGIIAIMQRGLRPFIGVDGYHLKGPYGKTFLSTIAVAGNYRLFPMAFAVIELKNEVRVKMMKRFNNRYEKACAWEGQVTPKIKKKLDSVKYSGRFPKVVPTSDSEFNVFQGSKTYAVSLRVTRVDPMDLLMLRCIPSKPKKNKRRKLGERPSESINAGKKSIARSVLRVVSGFTSITTRGPFANMKGRPITTTLGHGGGGGGAIAGGHSGAAQTAKSTS >EOY15625 pep chromosome:Theobroma_cacao_20110822:8:4004993:4007651:-1 gene:TCM_034628 transcript:EOY15625 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD domain class transcription factor MSSGRNQVKVMSFSCKNNWASFTRVYYLLEKQGMWWGTFGRSATRKTLFLFPPRHHDSVLASSFEQHLVLTTMGFDDFCNTGLGLGIGCLVKQEKFSQSDHQQKKKKLFLKHDHFFPSLTLGPSDDIYQSATKIDASKAHGESMDLHQQGSSLSAVSSFSNSSVKKERDFGGEEVELERVSSRVSDEDEEGSPRKKLRLSKEQSAILEDSFKEHSTLNPKQKQLLAEQLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKKCCETLTEENKRLQKELQELKSLKLTASYCMQLPAATLTMCPSCERVASGGEGPSTSPFTIGQKSHFFNPFTHPSAAC >EOY15177 pep chromosome:Theobroma_cacao_20110822:8:2488769:2491801:1 gene:TCM_034332 transcript:EOY15177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2 isoform 2 MTMICLLGYRDVAVYALGVGACGRDAVDTDELKYVHHENGQQFIKVLPTFSALFSLESLPDGLDLPGLTFEPRLLLHGQQYIEVHKPLPSNARIRNKVSLAGLHDKGKAAILEIETRSYEKESGELLSMNRTTVFLRGAGGFSDPSQPFTYSNYPANPAPAMKIPESVPFAIFEDCTQSSQALLYRLSGDYNPLHSDPMFAKVAGFSRPILHGLCTLGFAVRAIIKCICKGDPDMIKNISGRFLLHVYPGETLVTEMWLKGLRVIYQVKAKERNRAVLSGYVHLHRLASSL >EOY15176 pep chromosome:Theobroma_cacao_20110822:8:2488244:2491555:1 gene:TCM_034332 transcript:EOY15176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2 isoform 2 MAVSSACNPQLLLSHKFPETTYTYTERDVAVYALGVGACGRDAVDTDELKYVHHENGQQFIKVLPTFSALFSLESLPDGLDLPGLTFEPRLLLHGQQYIEVHKPLPSNARIRNKVSLAGLHDKGKAAILEIETRSYEKESGELLSMNRTTVFLRGAGGFSDPSQPFTYSNYPANPAPAMKIPESVPFAIFEDCTQSSQALLYRLSGDYNPLHSDPMFAKVAGFSRPILHGLCTLGFAVRAIIKCICKGDPDMIKNISGRFLLHVYPGETLVTEMWLKGLRVIYQVKAKERNRAVLSGYVHLHRLASSL >EOY15879 pep chromosome:Theobroma_cacao_20110822:8:4922738:4924737:-1 gene:TCM_034810 transcript:EOY15879 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook DNA-binding family protein, putative MFLLGYSADKIKYPLEGALAEASRKACREAFKLRIKGQAATEPQQNRKLANKWWERLDVVAMAGYEAAGPGSRYGQQPFRPELHLQMPSLTPPSDDSRDSQDNDPNNPDLSDAAAATSSGGPTRRPRGRPAGSKNKPKPPIIVTRDSPNALRSHVLEISSGADIVDSLSNYARRRGRGICVLSGSGTVANVSLRQPASPPASVLTLHGRFEILSLCGKVLPPPAPPGVGGLSIFLSGGQGQVVGGRVVGPLVASGPVVLMAASFANAVFERLPPDEEEEGTVQVQPTGSQSSGVTGSGQLPDGGGTSSAAASATAGSLFIMGGSGPNYPFSGDLFGWGSGTTARPPF >EOY14488 pep chromosome:Theobroma_cacao_20110822:8:446691:447216:1 gene:TCM_033856 transcript:EOY14488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative MLRSSNMPKGISPKCPRKTGPVLLTGTELRTIFKSCDTNLDGRLSKQELRKAFSSLGSRLPCWRARQGLHQADANGDGYVSDEEFDDLVEYALECGYTFK >EOY16893 pep chromosome:Theobroma_cacao_20110822:8:13094996:13097143:-1 gene:TCM_035853 transcript:EOY16893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxanthine-guanine phosphoribosyltransferase isoform 3 MSLDSHMEKVLWTEDQIQDRVAQIASQITHDFRAAPHPPLIVGVATGAFLFLADLVRRIHLPISVDLVRAQSYGFATLSNGAPTISLDLKLDVKGKHVVLVEDIVDTGCTLSYLIGHLESKGASSVSVCTFLDKPARRKVDIELVGDGKFYKGFECPDYFVVGYGMDFAELYRNLPYVGVLKPEHYK >EOY16894 pep chromosome:Theobroma_cacao_20110822:8:13096005:13096986:-1 gene:TCM_035853 transcript:EOY16894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxanthine-guanine phosphoribosyltransferase isoform 3 MSLDSHMEKVLWTEDQIQDRVAQIASQITHDFRAAPHPPLIVGVATGAFLFLADLVRRIHLPISVDLVRAQSYGFATLSNGAPTISLDLKLDVKGKHVVLVEDIVDTGCTLSYLIGHLESKGASSVSVCTFLDKPARRKVDIELVGDGKFYKGFEKHPCTPRESREI >EOY16895 pep chromosome:Theobroma_cacao_20110822:8:13095086:13097114:-1 gene:TCM_035853 transcript:EOY16895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxanthine-guanine phosphoribosyltransferase isoform 3 MSLDSHMEKVLWTEDQIQDRVAQIASQITHDFRAAPHPPLIVGVATGAFLFLADLVRRIHLPISVDLVRAQSYGFATLSNGAPTISLDLKLDVKGKHVEDIVDTGCTLSYLIGHLESKGASSVSVCTFLDKPARRKVDIELVGDGKFYKGFECPDYFVVGYGM >EOY14467 pep chromosome:Theobroma_cacao_20110822:8:406441:408643:1 gene:TCM_033840 transcript:EOY14467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase-like MKLLISLCFIFLSSAFASADILFQGFNWQSWTKEGGFYNFLKSSVPELASAGITHVWLPPPSQSRDPEGYYPGRLYDLNASKYGSQAELKSLVEAFHQNGIKCIADIVVNHRSTEREDAQGVFFEGGTPDGRLDGGTSLICNNDPNFTYGTGSPDSGRDFPFGPDVDLLNTTTQQQLSDWMNWLKTDIGFDGWRFDFVIGYATSITKFFMEQTKPDFAVAEKWDDFTLGQENAHRNALRDWVGTAGGAITAFDFTTKFIFNQAIKGELGRLKDSNGNPAGMIGVLPQNAVTFIDNHDTWSQRLAPFSDDPDKVAQGYVYILTHPGIPSIFYDHFLEWGLKDTIKNLTAIRKKHGINPTSKVKILAAESDLYMAEIDEKIIMKIGPKGDLGNLLPSTYQLAYPGKDFAVWEKI >EOY16390 pep chromosome:Theobroma_cacao_20110822:8:6979905:6983651:1 gene:TCM_035189 transcript:EOY16390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic type i signal peptidase 1 isoform 2 MSYLRPCALYKTLITFPSLRWMPCQSWGFLRWPGLDGFLRFMVVALLWTTFSEIRFIPSSSMYPTLRVGDRIIVEKASYFFRSPAINDIVTFLAPEQEPGYGKDAVFIKRIVAKAGDLVQVHHGSLYVNGVAQNENFIAERPSYTSDLTIRYNKCEHEEAWWAP >EOY16389 pep chromosome:Theobroma_cacao_20110822:8:6980013:6984321:1 gene:TCM_035189 transcript:EOY16389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic type i signal peptidase 1 isoform 2 MSYLRPCALYKTLITFPSLRWMPCQSWGFLRWPGLDGFLRFMVVALLWTTFSEIRFIPSSSMYPTLRVGDRIIVEKASYFFRSPAINDIVTFLAPEQEPGYGKDAVFIKRIVAKAGDLVQVHHGSLYVNGVAQNENFIAERPSYTSDLTYVPKGHVYVLGDNRNNSYDSHNWGPLPVEKILGRYVMCCYRPSNH >EOY16677 pep chromosome:Theobroma_cacao_20110822:8:9554457:9560808:1 gene:TCM_035507 transcript:EOY16677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein isoform 1 CLPKLPFPTDNPSRTNHPLPQSNLYPLFLPTSKKANPISLLLNIYSFPLLCSLFVAVVALLGQFYFSSLLLSFGSLEGLRKMDHAADAHRTDLMTITRYVLNEQSKYPESRGDFTILLNHIVLGCKFLCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFIKALVSSGRTCILVSEEDEEATFVEPSKRGRYCVVFDPLDGSSNIDCGVSIGTIFGIYMVKDSHEPTLDDVLQPGKHMLAAGYCMYGSSCTLVLSTGEGVHGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDGPTAKYVEKCKFPKDGSSSKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALDLVPTKIHERSPIFLGSYDDVEEIKALYAAEGKKE >EOY16675 pep chromosome:Theobroma_cacao_20110822:8:9554641:9559678:1 gene:TCM_035507 transcript:EOY16675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein isoform 1 MDHAADAHRTDLMTITRYVLNEQSKYPESRGDFTILLNHIVLGCKFLCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFIKALVSSGRTCILVSEEDEEATFVEPSKRGRYCVVFDPLDGSSNIDCGVSIGTIFGIYMVKDSHEPTLDDVLQPGKHMLAAGYCMYGSSCTLVLSTGEGVHGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDGPTAKYVEKCKFPKDGSSSKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALDLVPTKIHERSPIFLGSYDDVEEIKALYAAEGKKE >EOY16676 pep chromosome:Theobroma_cacao_20110822:8:9554641:9559678:1 gene:TCM_035507 transcript:EOY16676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein isoform 1 MDHAADAHRTDLMTITRYVLNEQSKYPESRGDFTILLNHIVLGCKFLCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFIKALVSSGRTCILVSEEDEEATFVEPSKRGRYCVVFDPLDGSSNIDCGVSIGTIFGIYMVKDSHEPTLDDVLQPGKHMLAAGYCMYGSSCTLVLSTGEGVHGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDGPTAKYVEKCKFPKDGSSSKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALDLVPTKIHERSPIFLGSYDDVEEIKALYAAEGKKE >EOY15209 pep chromosome:Theobroma_cacao_20110822:8:2579549:2580836:-1 gene:TCM_034354 transcript:EOY15209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDALIITMKSNFHARSNSLPSKSQPLVADVEDQLRRFRASEATFSSPSVLCQNLSALKDLYECADNLLQLQLAQKAFSNELHDKCVEDMLDGSLRVLDICSLSKDALSQIKGCLQDLESSFRRRTGCESSLANEIRKYFISRKQVSKIVCKCFGNMKRMQKRNAAIPENDHDFVAVVSVLKEVEAVSKLIQSKRLSCEIEDKEDKINHTLEALIKNKSSKSIDVTQVQKALKDLEAFDSIIQELEGDWSVSLGV >EOY15724 pep chromosome:Theobroma_cacao_20110822:8:4382442:4385162:-1 gene:TCM_034702 transcript:EOY15724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage dependent anion channel 2 MSKGPGLFSDFGKQAKDLLNKDYTSDQKFTISSTSYTGVAVASNLVNKGGLSSGDVAAQYKHKNAVVDVKLDTESNILTTFTVTDLLPSAKTVASFKLPDFNSGKLEVQYFHEHAALTTAVGLKKSPAVDFSSTIGTPSIAFGAEASYITSPGEFTKYTAGVNIIKPDSNASVILADKGDSLRVSYLHHLNQLNGGAVVGEMVRKFSTNENTLTVGCSYNVDPHTVVKAKLNNHGNLGALVQHELRPKSFLTISGAFDTKALEKTPKFGLALSLKP >EOY16774 pep chromosome:Theobroma_cacao_20110822:8:10928646:10931578:1 gene:TCM_035647 transcript:EOY16774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein 1 MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDPTKPCKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVIVGVVGYVKTPRGLRTLNTVWAQHLSEEVKRRFYKHWCKSKKKAFTKYSKQYESDEGKKSIQAQLEKMKKYATVIRILAHTQIRKMKGLKQKKAHLMEIQVNGGTVADKVDFAYKFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYRVGKVGDETHSAITDYDRTEKDITPMGGFPHYGVVKSDYLMIKGCCVGPKKRVVTLRQSLINQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >EOY15345 pep chromosome:Theobroma_cacao_20110822:8:2948365:2954935:-1 gene:TCM_034436 transcript:EOY15345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast monosaccharide transporter2 isoform 1 MRGAALVAIAATIGNFLQGWDNATIAGAIVYIKEDLNLGTSVEGLVVAMSLIGATVITTCSGAISDWLGRRPMLIISSILYFVSGLVMLWSPNVYVLCIARLLDGFGIGLAVTLVPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMDSPSWRLMLGILSIPSLLYFALTVFYLPESPRWLVSKGKMLEAKQVLQRLRGREDVSGEMALLVEGLGIGGETSIEEYIIGPADELADGQEPTADKDKIRLYGPQEGLSWVAKPVTGQSILGLASRQGSMVNQSVPLMDPLVTLFGSVHEKLPETGSMRSMLFPNFGSMFSTAEPHGKNEHWDEESLQREGDDYASDAAGGDSDDNLHSPLISRQTTSLEKDMVPPASHGSILSMRRHSTLVQDSGEQVGSTGIGGGWQLAWKWSEQEGEDGKKEGGFKRIYLHQEGVPGSRRGSLVSLPGNDMPAEGEFIQAAALVSQPALYSKELMNQHPVGPAMVHPSETASKGPIWAALLDPGVKRALLVGVGIQILQQFSGINGVLYYTPQILEEAGVEVLLSNLGLSSDSASFLISAFTTLLMLPCIGVAMKLMDISGRRRLLLTTIPVLIVSLIILVFSEIVDLGTVVNAAISTACVIIYFCCFVMGYGPIPNILCSEIFPTRVRGLCIAICALVYWIGDIIVTYTLPVMLSSIGLAGVFGIYAVVCVISLVFVFLKVPETKGMPLEVITEFFAVGARQAAATKNE >EOY15347 pep chromosome:Theobroma_cacao_20110822:8:2947822:2953312:-1 gene:TCM_034436 transcript:EOY15347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast monosaccharide transporter2 isoform 1 MRGAALVAIAATIGNFLQGWDNATIAGAIVYIKEDLNLGTSVEGLVVAMSLIGATVITTCSGAISDWLGRRPMLIISSILYFVSGLVMLWSPNVYVLCIARLLDGFGIGLAVTLVPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMDSPSWRLMLGILSIPSLLYFALTVFYLPESPRWLVSKGKMLEAKQVLQRLRGREDVSGEMALLVEGLGIGGETSIEEYIIGPADELADGQEPTADKDKIRLYGPQEGLSWVAKPVTGQSILGLASRQGSMVNQSVPLMDPLVTLFGSVHEKLPETGSMRSMLFPNFGSMFSTAEPHGKNEHWDEESLQREGDDYASDAAGGDSDDNLHSPLISRQTTSLEKDMVPPASHGSILSMRRHSTLVQDSGEQVGSTGIGGGWQLAWKWSEQEGEDGKKEGGFKRIYLHQEGVPGSRRGSLVSLPGNDMPAEGEFIQAAALVSQPALYSKELMNQHPVGPAMVHPSETASKGPIWAALLDPGVKRALLVGVGIQILQQQFSGINGVLYYTPQILEEAGVEVLLSNLGLSSDSASFLISAFTTLLMLPCIGVAMKLMDISGRRRLLLTTIPVLIVSLIILVFSEIVDLGTVVNAAISTACVIIYFCCFVMGYGPIPNILCSEIFPTRVRGLCIAICALVYWIGDIIVTYTLPVMLSSIGLAGVFGIYAVVCVISLVFVFLKVPETKGMPLEVITEFFAVGARQAAATKNE >EOY15344 pep chromosome:Theobroma_cacao_20110822:8:2947388:2954109:-1 gene:TCM_034436 transcript:EOY15344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast monosaccharide transporter2 isoform 1 MRGAALVAIAATIGNFLQGWDNATIAGAIVYIKEDLNLGTSVEGLVVAMSLIGATVITTCSGAISDWLGRRPMLIISSILYFVSGLVMLWSPNVYVLCIARLLDGFGIGLAVTLVPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMDSPSWRLMLGILSIPSLLYFALTVFYLPESPRWLVSKGKMLEAKQVLQRLRGREDVSGEMALLVEGLGIGGETSIEEYIIGPADELADGQEPTADKDKIRLYGPQEGLSWVAKPVTGQSILGLASRQGSMVNQSVPLMDPLVTLFGSVHEKLPETGSMRSMLFPNFGSMFSTAEPHGKNEHWDEESLQREGDDYASDAAGGDSDDNLHSPLISRQTTSLEKDMVPPASHGSILSMRRHSTLVQDSGEQVGSTGIGGGWQLAWKWSEQEGEDGKKEGGFKRIYLHQEGVPGSRRGSLVSLPGNDMPAEGEFIQAAALVSQPALYSKELMNQHPVGPAMVHPSETASKGPIWAALLDPGVKRALLVGVGIQILQQFSGINGVLYYTPQILEEAGVEVLLSNLGLSSDSASFLISAFTTLLMLPCIGVAMKLMDISGRRRLLLTTIPVLIVSLIILVFSEIVDLGTVVNAAISTACVIIYFCCFVMGYGPIPNILCSEIFPTRVRGLCIAICALVYWIGDIIVTYTLPVMLSSIGLAGVFGIYAVVCVISLVFVFLKVPETKGMPLEVITEFFAVGARQAAATKNE >EOY15346 pep chromosome:Theobroma_cacao_20110822:8:2947822:2953659:-1 gene:TCM_034436 transcript:EOY15346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast monosaccharide transporter2 isoform 1 MRGAALVAIAATIGNFLQGWDNATIAGAIVYIKEDLNLGTSVEGLVVAMSLIGATVITTCSGAISDWLGRRPMLIISSILYFVSGLVMLWSPNVYVLCIARLLDGFGIGLAVTLVPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMDSPSWRLMLGILSIPSLLYFALTVFYLPESPRWLVSKGKMLEAKQVLQRLRGREDVSGEMALLVEGLGIGGETSIEEYIIGPADELADGQEPTADKDKIRLYGPQEGLSWVAKPVTGQSILGLASRQGSMVNQSVPLMDPLVTLFGSVHEKLPETGSMRSMLFPNFGSMFSTAEPHGKNEHWDEESLQREGDDYASDAAGGDSDDNLHSPLISRQTTSLEKDMVPPASHGSILSMRRHSTLVQDSGEQVGSTGIGGGWQLAWKWSEQEGEDGKKEGGFKRIYLHQEGVPGSRRGSLVSLPGNDMPAEGEFIQAAALVSQPALYSKELMNQHPVGPAMVHPSETASKGPIWAALLDPGVKRALLVGVGIQILQQFSGINGVLYYTPQILEEAGVEVLLSNLGLSSDSASFLISAFTTLLMLPCIGVAMKLMDISGRRRLLLTTIPVLIVSLIILVFSEIVDLGTVVNAAISTACVIIYFCCFVMGYGPIPNILCSEIFPTRVRGLCIAICALVYWIGDIIVTYTLPVMLSSIGLAGVFGIYAVVCVISLVFVFLKVPETKGMPLEVITEFFAVGARQAAATKNE >EOY17327 pep chromosome:Theobroma_cacao_20110822:8:19428084:19431492:1 gene:TCM_036488 transcript:EOY17327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MGQTWCHEEGSLAPAEAHGFINCQGNPVYRSKSSGWKSACFIIGVEVAESHLAAFVADSFLGRYCTITLASLIYTLVSPLLSSVSCMFKGSATKPNTLLSSCHMLCIVEADSSATSKARGLGLLTLAAMLPSLKTSGCSNTKKITPCSPPQLQVILLFFSLYLVAVGQARHKPCVQALGADQFDGEDIEESKAKSSFFNWCWGLAFGIPYIVMVLAVAIFLLGSKNYRYRIKGHKRSPFVRIGRVFDRAIRNWRASPSSTTFEEGAHSNLPVQISNHFE >EOY16914 pep chromosome:Theobroma_cacao_20110822:8:14907158:14909530:-1 gene:TCM_035981 transcript:EOY16914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHGGRKCKCAAIEFCSEYYKTRSWVEGYAVPIFPIRHPSEWGVPNDIQQIVILPPICKVKREDLGGKGFHQLGKGCEIVVDESFNPIKGSPFHPAHDTPSTGSSSVIPYSVLNDVTYNMLIRIDEKLTNQTDRMQTLELRIQNVQNLLMQRTDIADWVAEARSKETDIDEFLRQEF >EOY17443 pep chromosome:Theobroma_cacao_20110822:8:20507930:20508495:1 gene:TCM_036616 transcript:EOY17443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MENFPSLAYRNPKRSSRRSSWYLGVRRRPWGRYAAEIRNPHTKERHWLGTFDTAEEAAIAYDLSSISFSGIDRARTNFYYPFLVLPSPPPSTPPPPPPTPELEGDDDDQEMNNVNEDDESVVIASILQSFAHSANCSFYP >EOY17050 pep chromosome:Theobroma_cacao_20110822:8:17192870:17199708:-1 gene:TCM_036204 transcript:EOY17050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein isoform 4 MTHYSLSSLSSSFSLNPINRYSSNLDSKNPPSPTASLPVSSLLFSSSSQEFLRNVKWVSRKNRYYLAKPADQDIGTREPSPQVSGENAAASSSTADGNASTSFLSILCPLLRLFSGGDPSQERNHALEVATSSLSSLARFPWGSKSLSGSLESQDVTISDPPMRMQLFEFEACPFCRRIREALTELDLSVEVYPCPKGSIRHREMVRSFGGKEQFPFLIDPNTEISMYESGDIVKYLFTQYGKGRNPSMGLLESYSLMHLDPKRLAPDRLSDHPSHHLSLNFLIFHQRYPI >EOY17048 pep chromosome:Theobroma_cacao_20110822:8:17192720:17199693:-1 gene:TCM_036204 transcript:EOY17048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein isoform 4 MTHYSLSSLSSSFSLNPINRYSSNLDSKNPPSPTASLPVSSLLFSSSSQEFLRNVKWVSRKNRYYLAKPADQDIGTREPSPQVSGENAAASSSTADGNASTSFLSILCPLLRLFSGGDPSQERNHALEVATSSLSSLARFPWGSKSLSGSLESQDVTISDPPMRMQLFEFEACPFCRRIREALTELDLSVEVYPCPKGSIRHREMVRSFGGKEQFPFLIDPNTEISMYESGDIVKYLFTQYGKGRNPSMGLLERYLSQNVTLHSTLFTGWMPTILRAGRGMMLWDKARQDPPPRKLELFSCENNPYSRIVREALCELELPYILQNVGEGSRRTKLLLDASGSKEIPYMIDPNTGTQIGDYKKILTYLFKTYSVATV >EOY17047 pep chromosome:Theobroma_cacao_20110822:8:17192720:17199691:-1 gene:TCM_036204 transcript:EOY17047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein isoform 4 MTHYSLSSLSSSFSLNPINRYSSNLDSKNPPSPTASLPVSSLLFSSSSQEFLRNVKWVSRKNRYYLAKPADQDIGTREPSPQVSGENAAASSSTADGNASTSFLSILCPLLRLFSGGDPSQERNHALEVATSSLSSLARFPWGSKSLSGSLESQDVTISDPPMRMQLFEFEACPFCRRIREALTELDLSVEVYPCPKGSIRHREMVRSFGGKEQFPFLIDPNTEISMYESGDIVKYLFTQYGKGRNPSMGLLESTLFTGWMPTILRAGRGMMLWDKARQDPPPRKLELFSCENNPYSRIVREALCELELPYILQNVGEGSRRTKLLLDASGSKEIPYMIDPNTGTQIGDYKKILTYLFKTYSVATV >EOY17049 pep chromosome:Theobroma_cacao_20110822:8:17193343:17199693:-1 gene:TCM_036204 transcript:EOY17049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein isoform 4 MTHYSLSSLSSSFSLNPINRYSSNLDSKNPPSPTASLPVSSLLFSSSSQEFLRNVKWVSRKNRYYLAKPADQDIGTREPSPQVSGENAAASSSTADGNASTSFLSILCPLLRLFSGGDPSQERNHALEVATSSLSSLARFPWGSKSLSGSLESQDVTISDPPMRMQLFEFEACPFCRRIREALTELDLSVEVYPCPKGSIRHREMVRSFGGKEQFPFLIDPNTEISMYESGDIVKYLFTQYGKGRNPSMGLLESTLFTGWMPTILRAGRGMMLWDKARQDPPPRKLELFSCENNPYSRIVREALCELELPYILQNVGEGSRRTKLLLDASGSKEVSSG >EOY17051 pep chromosome:Theobroma_cacao_20110822:8:17193305:17199550:-1 gene:TCM_036204 transcript:EOY17051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein isoform 4 PVSSLLFSSSSQEFLRNVKWVSRKNRYYLAKPADQDIGTREPSPQVSGENAAASSSTADGNASTSFLSILCPLLRLFSGGDPSQERNHALEVATSSLSSLARFPWGSKSLSGSLESQDVTISDPPMRMQLFEFEACPFCRRIREALTELDLSVEVYPCPKGSIRHREMVRSFGGKEQFPFLIDPNTEISMYESGTLFTGWMPTILRAGRGMMLWDKARQDPPPRKLELFSCENNPYSRIVREALCELELPYILQNVGEGSRRTKLLLDASGSKEVSSG >EOY16725 pep chromosome:Theobroma_cacao_20110822:8:10603654:10608336:1 gene:TCM_035604 transcript:EOY16725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby like protein 10 isoform 1 MSFRSIVNDVRDAFGSLSRRSFEVRLPGHHRGKSHGSVLELHDQPVVIQNSRWASLPPELLRDVIKRLEASESTWPARKHVIACAAVCRSWREMCKEIVRCPEFSGKITFPVSLKQPGPRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISIDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLPPPGRSRRFYSKKVSPKVPTGSYNIAQVSYELNVLGTRGPRRMHCTMHSIPASALEPGGIVPGQPELLPRSLEDSFRSISFSKSIDNSSEFSSARFSDIVGTRDEEDEGKERPLVLRNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAANQPAAGAPTPSQPAQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >EOY16726 pep chromosome:Theobroma_cacao_20110822:8:10603505:10608331:1 gene:TCM_035604 transcript:EOY16726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby like protein 10 isoform 1 MSFRSIVNDVRDAFGSLSRRSFEVRLPGHHRGKSHGSVLELHDQPVVIQNSRWASLPPELLRDVIKRLEASESTWPARKHVIACAAVCRSWREMCKEIVRCPEFSGKITFPVSLKQPGPRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISIDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLPPPGRSRRFYSKKVSPKVPTGSYNIAQVSYELNVLGTRGPRRMHCTMHSIPASALEPGGIVPGQPELLPRSLEDSFRSISFSKSIDNSSEFSSARFSDIVGTRDEEDEGKERPLVLRNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAANQPAAGAPTPSQPAQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >EOY17375 pep chromosome:Theobroma_cacao_20110822:8:19736160:19738509:-1 gene:TCM_036521 transcript:EOY17375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF966) [Source:Projected from Arabidopsis thaliana (AT1G05577) TAIR;Acc:AT1G05577] MEAAGKGGGGQLRRLHIIYFLSRMGRTEHPHLIRVHHVNEKGVYLRDVKRWLSDLRGKGMPESFSWSYKRRYKTGYVWQDLMDDELITPISDNEYVLKGSEIMPSTPFEATSQGEKRAVEVEEKDPRNKPLPKEAIQENSSYFLPEIYQESPQFALDLETKLDDKSHQTQQGDQDEDPSSFYSAMQNQKNKRGAKTKDKSKDNNVDKVMDIPSCSSFSSPPFSPSLPYAKSKTYSSGARQKLRNLLSCGAVDTDDAVLIMLNRAGKIKPTHFDYSGDKSVKISKGDKLGGSARVIGTPWNEQQQFIAPRKSFDEAKDCKKKQRAEFGCSKVVSAAYKPVGGPTCS >EOY14955 pep chromosome:Theobroma_cacao_20110822:8:1765719:1767651:-1 gene:TCM_034176 transcript:EOY14955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MLVLLFFVFALPSLFLFILLKHRNNGNILLPPGPPGLPLIGHLHMQMLDNSAPRILLWKLSQKYGSLMSLRLGFWLTLVVSSAKMAKEVMKTHGLDFCNRPALRGMQKLSYNGSDLAFSPYNVYWREMRKICVVHPFNSNRVQLFRLIREDEVARLIAKISKLSVNFKTINLSEATMSLTSTIICRVGFGKRYEEEGAERNRFLELLNESQALFASFYILTIFLI >EOY16561 pep chromosome:Theobroma_cacao_20110822:8:8341994:8342961:-1 gene:TCM_035358 transcript:EOY16561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNWRVILISDNNFDGYCPHIVHTVQNLIVLNLGSNRFKERSLNSLETSKTFHVLELEPNSFNASIF >EOY15816 pep chromosome:Theobroma_cacao_20110822:8:4745876:4750739:1 gene:TCM_034777 transcript:EOY15816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGNVKSFFFVLVLLLSSLLFTSEARPLNDAEPGAGSSITKEIEVFLDGLNLEGIKTGGPSPGGNGHAFTNAFTYSGPSPGGGGH >EOY15705 pep chromosome:Theobroma_cacao_20110822:8:4338674:4341783:-1 gene:TCM_034691 transcript:EOY15705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHMKLLEIFFLVFWFKETGILASKAFLSHKVVIAGWIQQHRAFPLTITWPKSRSACDQSPPILSSVRNTSTGIPPVAATPRPTAQAAPATTANDPADATTHLPSDASNPPKGKLGCATSMVWGHLTKLPCNDIADQKVSCGYCGWYSMRDFMTFVALRNLDSKFLREGSKDLDDSIFRIRSAVRDVRASPAKLQSFKIVCSKKNKSKSLVCLDVETRCNSSYLILEATLKFRKAFDSSNEETSSSSQATMKEEETDDAGDVVVYALSKYKRRAESQCKEGKSELERVTLRLPSFQSDVPLALLHATELCASSGNSHLSSWLAADNADWTAGYIGWSVLAFQSRGGPLTLEAKDAVLEQ >EOY16825 pep chromosome:Theobroma_cacao_20110822:8:11592253:11606455:-1 gene:TCM_035714 transcript:EOY16825 gene_biotype:protein_coding transcript_biotype:protein_coding description:S18 ribosomal protein isoform 1 MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELTAQELDNLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >EOY16824 pep chromosome:Theobroma_cacao_20110822:8:11604290:11606452:-1 gene:TCM_035714 transcript:EOY16824 gene_biotype:protein_coding transcript_biotype:protein_coding description:S18 ribosomal protein isoform 1 MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELTAQELDNLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >EOY14611 pep chromosome:Theobroma_cacao_20110822:8:711123:715485:1 gene:TCM_033927 transcript:EOY14611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 1 MEERPETELISIPATPRVSTPEIQTPSGQRSPRPASKEAKSSTAWTPTSFISPRFLSPIGTPMKRVLINMKGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVLPVAFAFLGWSWGILSLTIAYCWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGPICSSNPLTTVEWYLVFTSLCIVLSQLPNLNSIAGLSLVGAVTAITYSTMVWVLSVSQQRPPTISYEPLSLPSSAAAFFSVMNALGIVAFAFRGHNLVLEIQATMPSTFKHPAHVPMWRGAKVAYFFIAMCLFPVAIGGYWAYGNLMPSGGILSALYAFHSHDIPRGLLALTFLLVVFNCLSSFQIYSMPVFDSFEAGYTSRTNRPCSIWVRSGFRVFYGFVSFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKRPTKYSFNWYFNWILGWLGIAFSLAFSIGGVWSMVNNGLKLKFFKPN >EOY14612 pep chromosome:Theobroma_cacao_20110822:8:710596:715236:1 gene:TCM_033927 transcript:EOY14612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 1 MEERPETELISIPATPRVSTPEIQTPSGQRSPRPASKEAKSSTAWTPTSFISPRFLSPIGTPMKRVLINMKGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVLPVAFAFLGWSWGILSLTIAYCWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGPIWLSLVGAVTAITYSTMVWVLSVSQQRPPTISYEPLSLPSSAAAFFSVMNALGIVAFAFRGHNLVLEIQATMPSTFKHPAHVPMWRGAKVAYFFIAMCLFPVAIGGYWAYGNLMPSGGILSALYAFHSHDIPRGLLALTFLLVVFNCLSSFQIYSMPVFDSFEAGYTSRTNRPCSIWVRSGFRVFYGFVSFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKRPTKYSFNWYFNWILGWLGIAFSLAFSIGGVWSMVNNGLKLKFFKPN >EOY16637 pep chromosome:Theobroma_cacao_20110822:8:9097839:9098618:1 gene:TCM_035457 transcript:EOY16637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWLFSRLKPLLKFMLLQLFVFPQVPVLDTDPTPQHSHGDRVLWPSNCKRKLRNSWTHSSWMPMSDTLDSKQCRLEMPVLMWYP >EOY15410 pep chromosome:Theobroma_cacao_20110822:8:3182848:3185751:-1 gene:TCM_034483 transcript:EOY15410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein MLFFTFWQKIKKGKYIYFGKILFHFFNFYYLEISARIFLAFVFKKMKNTSEESKKRKRKSGWLCCHITLYNRWFLHIAADKATMWVEWENPPQEPQQPEPDSHLDFDFLSLLSKPKDYYKILEVDFDATDDAIRSNYIRLALKWHPDKQKDNGNSATSRFQEINEAYQILSDPVKRREYDTRGMLHVYDYDIIEYLKRYKGLILTCNGLGIRQSIW >EOY15095 pep chromosome:Theobroma_cacao_20110822:8:2224839:2229219:-1 gene:TCM_034269 transcript:EOY15095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein isoform 1 MGAAASRKGSLKDDHAQLLSSLLKRKTNALVHNYKHGFSGFAAVLSAEEAHSIAERPGVVSVFPDSVLELHTTRSWDFLKYQTSVVIDSNPNSDSNSTSDPDSGAIIGVLDTGIWPESESFNDKDMGPIPPGWHGTCAQAQDFNTSNCNRKIIGARSYEADDSSVIKYHSPRDTIGHGTHVASTAAGSEVQGVSYYGLAEGTAKGGSPGSRLAIYRVCSSHNGCRGSSILAAFDDAIADGVDVLSLSLGAPSFFKPELIDDPIAIGAFHAVQHNITVVCSAGNDGPTRGSVVNAAPWILTVAASTIDRDFESDVVLGEDKVIIKGEGINFANIQKSPVYPIIYAQSANKTGVDENESRSCNPDSMDQEIIKGKIVVCDKDGPYSPSEKKDVVKNLGGIGVVLIDDESRAVASTFGTFPATVISSKDGAKVLSYINSTKNPAATILPTTSPTNYKPAPTIAYFSSRGPSTIPKNILKPDIAAPGVNILAAWLGNDTAEAPEGKDPPLYNVISGTSMACPHVSGIAATVKSRNSKWSPSAIRSAIMTTATQTNNLKAPITTDIGAAATPYDFGAGEVSTTGPLQPGLVYETTTIDYLNFLCYYGYNISTIKIITNTIPDGFTCPEESSIDLISNINYPSIAISNFNEKAGRKVNRTLTNVAEDDKTVYTVSIDAPAGLDVQVVPDKLQFTNNGQKSSYQVSFSSANPLKEDVFGFLTWSNEKYKVRSPFAVSSESGN >EOY15096 pep chromosome:Theobroma_cacao_20110822:8:2225871:2229126:-1 gene:TCM_034269 transcript:EOY15096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein isoform 1 MGAAASRKGSLKDDHAQLLSSLLKRKTNALVHNYKHGFSGFAAVLSAEEAHSIAERPGVVSVFPDSVLELHTTRSWDFLKYQTSVVIDSNPNSDSNSTSDPDSGAIIGVLDTGIWPESESFNDKDMGPIPPGWHGTCAQAQDFNTSNCNRKIIGARSYEADDSSVIKYHSPRDTIGHGTHVASTAAGSEVQGVSYYGLAEGTAKGGSPGSRLAIYRVCSSHNGCRGSSILAAFDDAIADGVDVLSLSLGAPSFFKPELIDDPIAIGAFHAVQHNITVVCSAGNDGPTRGSVVNAAPWILTVAASTIDRDFESDVVLGEDKVIIKVMIFFDPFSCILLVLVFVIYLLTDIQGEGINFANIQKSPVYPIIYAQSANKTGVDENESRSCNPDSMDQEIIKGKIVVCDKDGPYSPSEKKDVVKNLGGIGVVLIDDESRAVASTFGTFPATVISSKDGAKVLSYINSTKNPAATILPTTSPTNYKPAPTIAYFSSRGPSTIPKNILKPDIAAPGVNILAAWLGNDTAEAPEGKDPPLYNVISGTSMACPHVSGIAATVKSRNSKWSPSAIRSAIMTTATQTNNLKAPITTDIGAAATPYDFGAGEVSTTGPLQPGLVYETTTIDYLNFLCYYGYNISTIKIITNTIPDGFTCPEESSIDLISNINYPSIAISNFNEKAGRKVNRTLTNVAEDDKTVYTVSIDAPAGLDVQVVPDKLQFTNNGQKSSYQVSFSSANPLKEDVFGFLTWSNEKYKVRSPFAVSSESGN >EOY17258 pep chromosome:Theobroma_cacao_20110822:8:18737905:18739634:-1 gene:TCM_036404 transcript:EOY17258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 3, putative MGRSPCCEKAHTNKGAWTKEEDQRLIDYIRVHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLISRGIDPQTHRSLNETANTTNNTAKATATATATSPAELDFRNAPKVGKANFITTPSLDFKYSEFQVKAKAESLEEGNCTSSGTTTDEEQQQHLHHRQQQHKDKYPSNGQELNLELSIGISSAGKDSTRVSSANSAESKPQLDNHNYQFLGQAMVAKAVCLCWQLGFQRNEICRSCQNTNGFYRYCRPLDS >EOY15221 pep chromosome:Theobroma_cacao_20110822:8:2617184:2624747:1 gene:TCM_034364 transcript:EOY15221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MITKSSLDFDGFENWRNPIANGVADYPVKGRARILARNVRKIEALKVPVTKKQPLLAPGGAAMQGGSSGIGYGLKYQARCISDVKADTDHTSFITGTLSLREENEVHLIRLSSGGTELICEGLFSHPNEIWDLASCPFDQRIFSTVFSTGESYGAAIWQIPELYGQLNSPQLEQIASLDAHVGKINCVLWWPSGRHDKLISIDDENLLLWSLDCLKKVAQVQSKESAGMLHYLSSGAWDPHDVNAVAATCESSVQFWDLRTMKKANSIECAHIRKANYDVKKKHMLVTAEDESGIHVWDLRKPKIPVKELPGHMHWTWAVTCNPEYDGLILSAGTDSTVNLWLAPTSASDESTSGSIAESPTQQADPLLNSYSDYEDSVYGLAWSSREPWIFASLSYDGRVVVESIKPFLSRK >EOY17509 pep chromosome:Theobroma_cacao_20110822:8:21469636:21471023:1 gene:TCM_036729 transcript:EOY17509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MINNVEFEVEGQFMKDFESDDDEIEQDSEGPYIRLTKEEEEKIRKPWRHTLIVKLLERDISYTYLYNRVKSDEASIDSVVAWIRLLGMPLEFYDREVLTKIENLLGKTLKVDWTTSYATKGKFARFGHGAKLCPIRQMEQEEYSEEQAQKLVEDKKLIEKDYESSHFGPWMIAKKSYKRNNRDEKNTSSDEEFVLETLEIQAPDKAKTCL >EOY17271 pep chromosome:Theobroma_cacao_20110822:8:18894049:18895323:-1 gene:TCM_036419 transcript:EOY17271 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPla/RYanodine receptor (SPRY) domain-containing protein isoform 2 MELAKFFGLDGFDDLVQNCVALLAYERPQESSVGYLLEESQRDVVADTINAMILSTNPNMKNLQSCLHSYLEKLLRQLTTCYLERRSSNGDQGEAFHLHRVLNSGKDIKS >EOY17270 pep chromosome:Theobroma_cacao_20110822:8:18894321:18895216:-1 gene:TCM_036419 transcript:EOY17270 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPla/RYanodine receptor (SPRY) domain-containing protein isoform 2 MVGALEEAVKYGRMELAKFFGLDGFDDLVQNCVALLAYERPQESSVGYLLEESQRDVVADTINAMILSTNPNMKNLQSCLHSYLEKLLRQLTTCYLERRSSNGDQGEAFHLHRVLNSGKDIKS >EOY16813 pep chromosome:Theobroma_cacao_20110822:8:11547449:11551236:-1 gene:TCM_035702 transcript:EOY16813 gene_biotype:protein_coding transcript_biotype:protein_coding description:One-helix protein 2 MSVASSIPCIKIPNASSPSSSSSSSSSSSSSSTCCRLSTTAKPYIVTIRSSQTEGPLRRPVAPSPPPPLKPVPPSPSPPPTPPPSSSPPPKPASVAAAGDQNVITLEFQRQKAKEMQDYFKQKKLEESNQGPFFGFLGKNEIANGRWAMFGFAVGMLTEYATVPFADTTSLLPVHPVEPRPLLFLPLTLLFWFPMNATQLLQGLSHFWCFVHDLQLEVNHLPMVDNDTS >EOY16659 pep chromosome:Theobroma_cacao_20110822:8:9349954:9369452:1 gene:TCM_035484 transcript:EOY16659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVVVAKRQSTNRQPLFDGSNYPYWSTRMSIYIRPIDYEMWDVITDGPFIPSTKNVMTNEMISKSRGIRETCEFIAFISHIEPKSFEEAEKEESWILAMQEELEQFERNHVWTLVFRSFNHLIVGTKWVFRNKVNEQDLCKNFAEESKVNSK >EOY16772 pep chromosome:Theobroma_cacao_20110822:8:10893478:10904593:-1 gene:TCM_035643 transcript:EOY16772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDFQCMKRKELQALCKKHGVPANLTNREMANQLASIFKENEELVSLEKLTTNPEEIGSETGAEVAKKQARKVRFSPENQTIVYEVSVYRRPGRRSRKQMLSKNPAQVIENAPKSEDIRKAEDCQVRVTRSRVQSTVEDDVNMVSTPSVGRKRGRGGMKNKDSEMINIGKSEVLEMGYRDDVKICNDEVTGGVSRRQLRRRKNVTQEDSKKIRKGKGGDEVHMLDESSEESYVVCEDVGSKNGSKQPTRNARKEDQSVALSNEVEKAEVVSRVTRQSRAQSKNVASMVKSEVKIVEVQRRCEEVLQLKKPQKGRGKSALRQKIVPQRVRAENSLLVLEGAEAEKPLRRSNRNAEKDCAKTAKSETQVTKVPGGDIAFEKPSECLSSYSSSGKTVVCQSSKGENEEELVKRETRKRTRTTDLDAIVEHSGEIERAEYAFTSQDQAPLRRSRRKTVILNTPAPTNAELANKEDIGQMLQFRAPFVGKEVTEELPRRSSRNVSRYNSPGTSKEDQIAFTKKDSGVKQQRQEPILEEETSVVEHHPIEEKAQRRSNRIASRSSSVAPPCPTASVVKKKQQSKSRITIIEAAALSESLLAPGELPAGKDELVVEESAGNKNDLSLNVSKQTAKNSERCSNKKRQGLTGNSGAKKQCGFAEASPLSLDLEELKDSTGNMEKTLIRTSAKFVGLSTDQEMHQAADKSMVGYEKESNLVEDDREKLVHGGNVESEENCMSCKSVNTKCILDDAKCSDPKLLEFEGKHAVDFSGMTFPAESQGHGMSDQEKKEDIKAMDTHSAYESLVVYKEDRSPVESEKEQLVQVDTADSEINCHELSWYLTGRGAVDDPNNVINLDSSEITSLANGFSLADQMDLTGATFTAVNLETQLETEPPRGENTNFASDNAEDSRTSFGEDQILVELKVANVTAAESVREATFKDHLNEFGESSGLKRGSENALKKAEEMIATDFSDKEGIPHIESSDKATEIQESSAEFHFAITEIGAQKTTEIQQSSHSHTAAPETPCGPAPETQVKEKTCWVEAAVETSGSEMSNQEMIENMILENSHCVCESVLIHKENTRLVKNDEEIRIDDDTFEGGMKYQSCLSLNGTGAMDDSNLVEPRMVVCPEINSPADGSSSASQADLAGKTSSHIEQEKILETEEPLTVENVNSINDNMDAKRSRTPVEEDHIKVQLEDANVIAAESIGEVIFNDLLNELGESIGLKRGSETPLKKTEKLSATEFSDAGAISLPETSTKASEKQDYRAEIDIVTKITGTPNITEIKWSSSSDTTACRTPFGLAPQSQDKLCNAGIIVGIARSEMCNQDEETSLVEDDKEIVIQDDTVEGKVKYHHFLSLNKTGALDEVLVEPRMVEFESNVNNMNCFEMASLADVCSAASQSNLAGETSNKLKQEKVLESEKSSMSENVNDESDKEEVEDDNNPAQEDQNSKHLEDATMTAAEPVKEVVVCDHWNELCASCGSKKFEVSLKKAEGTSTNHSSGKGMVHTESSVEINENSDATAKIHTITAETGEKIIMGMQWSLSGHDTTFRSPHRPEVGSQDMLGTINRVENAVRNTGYGICSRQKIEEIVKIVQNEHKETINTERGLFVGEKKLLDEDSEGEFLADGACHLLSDSIDGECAKDKRNDIAEGSFNNVSVETQEKHTCEVFDGEGTDAVSSEEKDNDIFESASHADVSPQCICNGKLPVRNNMQEKLEPVCMDNENSRTKIPTHFSSQNALKQLDNESEAVKQGAGIGEVHAFSNASQALRLEELTASISSEPFSMRLHDHVLEDGGRNCQGEIVMDQPNDFAATMDGNGISASRETNVGQDDNMMEEIAISALKVKLGDHGGCKVDAKLYETNMSALETMFQETDVSGLVHTKFPEEMPNASVRSTLPFEKPNCGDLDDQSEEVAPERDCSSSIGLDGLRSTVGVILDNNSQVGTLESTFNSNDPQSGTEAEDPNVNDSGPYGSEDGGKTPISHQRSLGSGKQGVPSYANSPCSKPETNIGSSTLLCSSIPVSCAEKEKLHPQVMDDVDEVGGTKISIDRKVARRERDGRQINTGGFSDVIDGCLVEKIKGDPDEIAETKSDDCEGSVKTATFMDGNDSLVVNQPPCLDASKSCDLQTEEPRGFHLHDNIAGESREVGSCSKVDIVSLVKPEMVVDCLSISSICATSDEEHKHLENPDESHILTNMEMSLSLADEDEVKEPDAKAGDSNCAALDKTITECISSDLKKQDYVACSDAEDIQFSVQKDGPETMEMRKDVSGLDAAMADKSPVLKNSEVATAVEREEAFMSQLKLPSSQKKNVTTKKEGSKSVSVKQLTSSILKSKSKSRLTQRTPKRLIIHDMKENEVSTKKGQIGNMTTPKASSKRRPLELWKY >EOY16773 pep chromosome:Theobroma_cacao_20110822:8:10893478:10904593:-1 gene:TCM_035643 transcript:EOY16773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDFQCMKRKELQALCKKHGVPANLTNREMANQLASIFKENEELVSLEKLTTNPEEIGSETGAEVAKKQARKVRFSPENQTIVYEVSVYRRPGRRSRKQMLSKNPAQVIENAPKSEDIRKAEDCQVRVTRSRVQSTVEDDVNMVSTPSVGRKRGRGGMKNKDSEMINIGKSEVLEMGYRDDVKICNDEVTGGVSRRQLRRRKNVTQEDSKKIRKGKGGDEVHMLDESSEESYVVCEDVGSKNGSKQPTRNARKEDQSVALSNEVEKAEVVSRVTRQSRAQSKNVASMVKSEVKIVEVQRRCEEVLQLKKPQKGRGKSALRQKIVPQRVRAENSLLVLEGAEAEKPLRRSNRNAEKDCAKTAKSETQVTKVPGGDIAFEKPSECLSSYSSSGKTVVCQSSKGENEEELVKRETRKRTRTTDLDAIVEHSGEIERAEYAFTSQDQAPLRRSRRKTVILNTPAPTNAELANKEDIGQMLQFRAPFVGKEVTEELPRRSSRNVSRYNSPGTSKEDQIAFTKKDSGVKQQRQEPILEEETSVVEHHPIEEKAQRRSNRIASRSSSVAPPCPTASVVKKKQQSKSRITIIEAAALSESLLAPGELPAGKDELVVEESAGNKNDLSLNVSKQTAKNSERCSNKKRQGLTGNSGAKKQCGFAEASPLSLDLEELKDSTGNMEKTLIRTSAKFVGLSTDQEMHQAADKSMVGYEKESNLVEDDREKLVHGGNVESEENCMSCKSVNTKCILDDAKCSDPKLLEFEGKHAVDFSGMTFPAESQGHGMSDQEKKEDIKAMDTHSAYESLVVYKEDRSPVESEKEQLVQVDTADSEINCHELSWYLTGRGAVDDPNNVINLDSSEITSLANGFSLADQMDLTGATFTAVNLETQLETEPPRGENTNFASDNAEDSRTSFGEDQILVELKVANVTAAESVREATFKDHLNEFGESSGLKRGSENALKKAEEMIATDFSDKEGIPHIESSDKATEIQESSAEFHFAITEIGAQKTTEIQQSSHSHTAAPETPCGPAPETQVKEKTCWVEAAVETSGSEMSNQEMIENMILENSHCVCESVLIHKENTRLVKNDEEIRIDDDTFEGGMKYQSCLSLNGTGAMDDSNLVEPRMVVCPEINSPADGSSSASQADLAGKTSSHIEQEKILETEEPLTVENVNSINDNMDAKRSRTPVEEDHIKVQLEDANVIAAESIGEVIFNDLLNELGESIGLKRGSETPLKKTEKLSATEFSDAGAISLPETSTKASEKQDYRAEIDIVTKITGTPNITEIKWSSSSDTTACRTPFGLAPQSQDKLCNAGIIVGIARSEMCNQDEETSLVEDDKEIVIQDDTVEGKVKYHHFLSLNKTGALDEVLVEPRMVEFESNVNNMNCFEMASLADVCSAASQSNLAGETSNKLKQEKVLESEKSSMSENVNDESDKEEVEDDNNPAQEDQNSKHLEDATMTAAEPVKEVVVCDHWNELCASCGSKKFEVSLKKAEGTSTNHSSGKGMVHTESSVEINENSDATAKIHTITAETGEKIIMGMQWSLSGHDTTFRSPHRPEVGSQDMLGTINRVENAVRNTGYGICSRQKIEEIVKIVQNEHKETINTERGLFVGEKKLLDEDSEGEFLADGACHLLSDSIDGECAKDKRNDIAEGSFNNVSVETQEKHTCEVFDGEGTDAVSSEEKDNDIFESASHADVSPQCICNGKLPVRNNMQEKLEPVCMDNENSRTKIPTHFSSQNALKQLDNESEAVKQGAGIGEVHAFSNASQALRLEELTASISSEPFSMRLHDHVLEDGGRNCQGEIVMDQPNDFAATMDGNGISASRETNVGQDDNMMEEIAISALKVKLGDHGGCKVDAKLYETNMSALETMFQETDVSGLVHTKFPEEMPNASVRSTLPFEKPNCGDLDDQSEEVAPERDCSSSIGLDGLRSTVGVILDNNSQVGTLESTFNSNDPQSGTEAEDPNVNDSGPYGSEDGGKTPISHQRSLGSGKQGVPSYANSPCSKPETNIGSSTLLCSSIPVSCAEKEKLHPQVMDDVDEVGGTKISIDRKVARRERDGRQINTGGFSDVIDGCLVEKIKGDPDEIAETKSDDCEGSVKTATFMDGNDSLVVNQPPCLDASKSCDLQTEEPRGFHLHDNIAGTDL >EOY16771 pep chromosome:Theobroma_cacao_20110822:8:10894309:10904593:-1 gene:TCM_035643 transcript:EOY16771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDFQCMKRKELQALCKKHGVPANLTNREMANQLASIFKENEELVSLEKLTTNPEEIGSETGAEVAKKQARKVRFSPENQTIVYEVSVYRRPGRRSRKQMLSKNPAQVIENAPKSEDIRKAEDCQVRVTRSRVQSTVEDDVNMVSTPSVGRKRGRGGMKNKDSEMINIGKSEVLEMGYRDDVKICNDEVTGGVSRRQLRRRKNVTQEDSKKIRKGKGGDEVHMLDESSEESYVVCEDVGSKNGSKQPTRNARKEDQSVALSNEVEKAEVVSRVTRQSRAQSKNVASMVKSEVKIVEVQRRCEEVLQLKKPQKGRGKSALRQKIVPQRVRAENSLLVLEGAEAEKPLRRSNRNAEKDCAKTAKSETQVTKVPGGDIAFEKPSECLSSYSSSGKTVVCQSSKGENEEELVKRETRKRTRTTDLDAIVEHSGEIERAEYAFTSQDQAPLRRSRRKTVILNTPAPTNAELANKEDIGQMLQFRAPFVGKEVTEELPRRSSRNVSRYNSPGTSKEDQIAFTKKDSGVKQQRQEPILEEETSVVEHHPIEEKAQRRSNRIASRSSSVAPPCPTASVVKKKQQSKSRITIIEAAALSESLLAPGELPAGKDELVVEESAGNKNDLSLNVSKQTAKNSERCSNKKRQGLTGNSGAKKQCGFAEASPLSLDLEELKDSTGNMEKTLIRTSAKFVGLSTDQEMHQAADKSMVGYEKESNLVEDDREKLVHGGNVESEENCMSCKSVNTKCILDDAKCSDPKLLEFEGKHAVDFSGMTFPAESQGHGMSDQEKKEDIKAMDTHSAYESLVVYKEDRSPVESEKEQLVQVDTADSEINCHELSWYLTGRGAVDDPNNVINLDSSEITSLANGFSLADQMDLTGATFTAVNLETQLETEPPRGENTNFASDNAEDSRTSFGEDQILVELKVANVTAAESVREATFKDHLNEFGESSGLKRGSENALKKAEEMIATDFSDKEGIPHIESSDKATEIQESSAEFHFAITEIGAQKTTEIQQSSHSHTAAPETPCGPAPETQVKEKTCWVEAAVETSGSEMSNQEMIENMILENSHCVCESVLIHKENTRLVKNDEEIRIDDDTFEGGMKYQSCLSLNGTGAMDDSNLVEPRMVVCPEINSPADGSSSASQADLAGKTSSHIEQEKILETEEPLTVENVNSINDNMDAKRSRTPVEEDHIKVQLEDANVIAAESIGEVIFNDLLNELGESIGLKRGSETPLKKTEKLSATEFSDAGAISLPETSTKASEKQDYRAEIDIVTKITGTPNITEIKWSSSSDTTACRTPFGLAPQSQDKLCNAGIIVGIARSEMCNQDEETSLVEDDKEIVIQDDTVEGKVKYHHFLSLNKTGALDEVLVEPRMVEFESNVNNMNCFEMASLADVCSAASQSNLAGETSNKLKQEKVLESEKSSMSENVNDESDKEEVEDDNNPAQEDQNSKHLEDATMTAAEPVKEVVVCDHWNELCASCGSKKFEVSLKKAEGTSTNHSSGKGMVHTESSVEINENSDATAKIHTITAETGEKIIMGMQWSLSGHDTTFRSPHRPEVGSQDMLGTINRVENAVRNTGYGICSRQKIEEIVKIVQNEHKETINTERGLFVGEKKLLDEDSEGEFLADGACHLLSDSIDGECAKDKRNDIAEGSFNNVSVETQEKHTCEVFDGEGTDAVSSEEKDNDIFESASHADVSPQCICNGKLPVRNNMQEKLEPVCMDNENSRTKIPTHFSSQNALKQLDNESEAVKQGAGIGEVHAFSNASQALRLEELTASISSEPFSMRLHDHVLEDGGRNCQGEIVMDQPNDFAATMDGNGISASRETNVGQDDNMMEEIAISALKVKLGDHGGCKVDAKLYETNMSALETMFQETDVSGLVHTKFPEEMPNASVRSTLPFEKPNCGDLDDQSEEVAPERDCSSSIGLDGLRSTVGVILDNNSQVGTLESTFNSNDPQSGTEAEDPNVNDSGPYGMLDYISANTHHVANEFNAALMVEEAGSEDGGKTPISHQRSLGSGKQGVPSYANSPCSKPETNIGSSTLLCSSIPVSCAEKEKLHPQVMDDVDEVGGTKISIDRKVARRERDGRQINTGGFSDVIDGCLVEKIKGDPDEIAETKSDDCEGSVKTATFMDGNDSLVVNQPPCLDASKSCDLQTEEPRGFHLHDNIAGESREVGSCSKVDIVSLVKPEMVVDCLSISSICATSDEEHKHLENPDESHILTNMEMSLSLADEDEVKEPDAKAGDSNCAALDKTITECISSDLKKQDYVACSDAEDIQFSVQKDGPETMEMRKDVSGLDAAMADKSPVLKNSEVATAVEREEAFMSQLKLPSSQKKNVTTKKEGSKSVSVKQLTSSILKSKSKSRLTQRTPKRLIIHDMKENEVSTKKGQIGNMTTPKASSKRRPLELWKY >EOY15146 pep chromosome:Theobroma_cacao_20110822:8:2380941:2381859:-1 gene:TCM_034307 transcript:EOY15146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin 1 MAAVTSAAVAIPSFTGLKAGATPAKASATTKVATSSGPMLSIKASLKDVGVAVAATAASAILASNALAIEVKLGGDDGELAFVPSDFSVSAGEKIVFKNNAGFPHNVIFDEDEIPSGVDASKISMSDEELLNAPGEVYAVTLTEKGTYSFYCTPHQGAGMVGKVTVN >EOY16970 pep chromosome:Theobroma_cacao_20110822:8:15474192:15475594:1 gene:TCM_036050 transcript:EOY16970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyesterase 18 MSTTTKTSLKLPFKVRLLLAAHSFSVNAFCRSDSTAVNRPLMNLFDPKASPSTSTKPDRGIVSSDIMLDATHKLWFRLYTNTAAAAGATTPIIVYFHGGGFAFMAANSMIYDDLCKRLAREVPAIVVSVNYRLSPEHRYPSQYEDGFDVLKFIDNPKFEGFPASSANTKMQFFIAGDSAGGNLAHHVALKACEHEFSRLNLVGVIELQPFFGGEERTESEMKLVGAPLISVKRTDWMWKAFLPQGCNRDHQAVNVFGPNCVDISHLPFPPTLVFIGGFDPLQDWQRKYVAGLRKSGKKVYKIEYPNAFHGFYGFAELPESSLLIAEVKSFVQNQLAT >EOY17087 pep chromosome:Theobroma_cacao_20110822:8:17649516:17651811:-1 gene:TCM_036255 transcript:EOY17087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRQVTQTRRCSICRLQGHYSTTCQLKTKDHSMPVSSKLLERKQGKFWTEQEHKAFLMGLEVYGQGKWKKISENLVKTRTPSQIASHAQKFNLWLKAVANDPEKKHNFSIFQVQQTPISQFSSSTANGGASETTSQIPIFQFSSSIASGGVSETTSQVAHPLANTIAMNPCLCLRMSPAGHATPHSC >EOY16722 pep chromosome:Theobroma_cacao_20110822:8:10545350:10556174:1 gene:TCM_035596 transcript:EOY16722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNEIVFQVREWNMEQVLELARIRVAIWANAKWPRIYPSVLEVYHQPPTQSQLTKKSQERKGIIWEKPDQGQMKFNVDGTARRCPGPTRIGEILRDCSREAKIIFPKAHGEADSSLAKMMVVKEALLIFSVSQRNENHKLLIESDSSNTVKWTKHINLAPWRMRQLILQMERLKVEVIGVKMEIG >EOY15725 pep chromosome:Theobroma_cacao_20110822:8:4394034:4396033:1 gene:TCM_034704 transcript:EOY15725 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H MWGMDVIGLITPKASNGHRFILVAIDYFTKWVEAASYANVTQKVVCKFIQKEIICRYGLPERIITDNASNLNGAMVKDVCAKFKIKHHNLTTYRPKMNGAVEAANKNIKKIVEKMTEVYKDWHEKLPFALHAYRTSVRTSTGATPYSLVYGAEAVLPVEVEIPSLRVLMETKLEDAEWVCSRYEQLNLIEEKRLAALCHGQMYQRRMIRAYEKKVHPRQFREGELVLKRILPNQTDFRGKWMPNWEGPYVVKKAFSGGALILTDMDGGDLPNPINADAVKKYYA >EOY15017 pep chromosome:Theobroma_cacao_20110822:8:1976978:1978681:-1 gene:TCM_034217 transcript:EOY15017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF827) [Source:Projected from Arabidopsis thaliana (AT1G75720) TAIR;Acc:AT1G75720] MSMDGEGGVVMIKRTEIDTRAPFRSVREAVALFGEKVLAGELYSAKLKEMHGEASGTGPSRLGTVAAELEETKHNLQKAREESMLMANCLSSLKEELEQTKRELQQMKERESEKLMMEFEIEDVKIVSDSTKFEVKKTQTSNEEGTEFQKKRYVTFANPPSLAQVIVPQGVEKLERHPSLRKKKKKPLIPLIGGIFSKKKGSPEVALPRSP >EOY17199 pep chromosome:Theobroma_cacao_20110822:8:18272555:18273778:-1 gene:TCM_036348 transcript:EOY17199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRAQGDAGRHQERMFATEHARLAARDATAFHLVPMATSMPVPAMQSSKPMGISPSAPDFKPTIRYIWIIRISTICCNKGKYSHQFYTLNYYHLVLIWDCLIRIIISMIKFHYCFYFISFYFKLLFMQKGAKSLLKVSIKAATRSAKTAETTITETAI >EOY17319 pep chromosome:Theobroma_cacao_20110822:8:19383604:19385619:-1 gene:TCM_036482 transcript:EOY17319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKWRLDYATILVEVRSLQDIFPFMPIEANGKGFLVRDSIKEVLSCEAISRCNETPSDTSDEGDRGLDKSNLGVEGAIESTKGRAKDVQLRGKEVVCTKKNGNGQNMMERKNDGRYCSATAQISSDDDEDADEGSVDINKENELIFEMSNLMGLEFVKGIEEVLCYVTGMKEGMTEKAHSK >EOY14527 pep chromosome:Theobroma_cacao_20110822:8:529081:532051:1 gene:TCM_033882 transcript:EOY14527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A 2A, IIA,PLA2A, putative MEHQLPTYGEKIIILSIDGGGIRGIIPGTVLAFLEAQLQKLDGEEARLADYFDIIAGTSTGGLVTAMLTAQNQKNCPLYAAKGIKDFYLEHCPKIFPQDRSPLAPAANFLKTITGPKYDGKYLHKLFERKVGRNTTEPGTGQRCDPNGKVDPWRNALLSDICIGTSPATTFLPAHHFKTQDSKGVVKEFNLIDGGVAANDPALVAVSEMTKEIVRRSPEFFPIKPTDYSRFVVISLGTGSAKSVEKFDADEAAKWGVLGWLTSENSTPLVDIFTQASSDMTEYHLAAVFQALQSENCYLRIQDDTLTGPLASVDIATKQNLENLVNVGVDFLKKPALRVNLETGKMEPSDLGTNEKALISYLLEKRLRDMRAPRGSTATKK >EOY14834 pep chromosome:Theobroma_cacao_20110822:8:1401469:1404400:-1 gene:TCM_034096 transcript:EOY14834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidylate synthase 1, putative MLMRIYGQRNILFSKVLLGCRTYTNGVSDLISGSASLRFLRGFDESAMHGFSSMPGDNLRSLPKSDSLRSYQVVVAATREMGIGKDGKLPWRLPSDLKFFKELTMTTSDPEKKNAVVMGRKTWESIPLEYRPLPGRLNVVLTRSESSKITTGENVVICGSISSALQLLAEVPYCLSIEKVFVIGGGQIFRETLNAPGCEAIHITEIDTSIECDTFIPAIDLSCFRRWYSSKPLVENGIRFSFKTYVRVGTAATLSPLTSEVKSCGDLNSNDFDVKNSTFLPRMILERHDD >EOY14688 pep chromosome:Theobroma_cacao_20110822:8:1001557:1009262:-1 gene:TCM_033990 transcript:EOY14688 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 72, putative isoform 1 MANPAASRPADNIGFNCTHEEFFTWFNKYTSGSPLPSNVIDDNPYRYRPQNLPKNFWFLISSNENIDVEHGFWETKEEAREVFSNSDIIGWKTTLEYYEGQVPYGRKTEYVMQVFSITQKILSDDNEKKEKSSMCRLSLFHEMQKTVCSAGVETETRNHLTQPPVLDANSSTRRVSSSNPEVNKHDAVAGRLPVPEHHGENLNEMDSFSGGVGFLELDDLNSPASPSSSSDNSSAMSLSSGECFDAMALLQDLEDPVIEQKDEGKKLNVSASKKLDEVVIVPPTLGSVVSVEGSNSGSDESFKTAGSAPVSASKHAKGDQRGEGPSSSSDSHMAASAGGRKRVPFRGMKKLRKKYLCFMPF >EOY14687 pep chromosome:Theobroma_cacao_20110822:8:1001665:1009247:-1 gene:TCM_033990 transcript:EOY14687 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 72, putative isoform 1 MANPAASRPADNIGFNCTHEEFFTWFNKYTSGSPLPSNVIDDNPYRYRPQNLPKNFWFLISSNENIDVEHGFWETKEEAREVFSNSDIIGWKTTLEYYEGQVPYGRKTEYVMQVFSITQKILSDDNEKKEKSSMCRLSLFHEMQKTVCSAGVETETRNHLTQPPVLDANSSTRRVSSSNPEVNKHDAVAGRLPVPEHHGENLNEMDSFSGGVGFLELDDLNSPASPSSSSDNSSAMSLSSGECFDAMALLQDLEDPVIEQKDEGKKLNVSASKKLDEVVIVPPTLGSVVSVEGSNSGSDESFKTAGSAPVSASKHAKGDQRGEGPSSSSDSHMAASAGGRKRVPFRGMKKLRKKYLCFMPF >EOY14369 pep chromosome:Theobroma_cacao_20110822:8:86309:92441:-1 gene:TCM_033766 transcript:EOY14369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3223) [Source:Projected from Arabidopsis thaliana (AT3G46630) TAIR;Acc:AT3G46630] MAAPLLLKGFPLLRLRLQHYNRLAARLLSAPRRPWCTAAESAGHEEEVSSAEKSSTVLSVTEPPKYHRWDDPDYRKWKDKEEEILRDIEPIISLSKEILHSDRYMDGERLTVEDEETVVEKLLSHHPHSEDKIGCGLDSIMVDRHPQFRHSRCLFVVRTDGGWIDFSYQKCLRAYIRDKYPSHAERFIGKHFKRGSG >EOY15881 pep chromosome:Theobroma_cacao_20110822:8:4933348:4934612:-1 gene:TCM_034813 transcript:EOY15881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLCMPTCFNASLIKENSISRCMPRFGIALIQSLILVGWIMPLGIDLICNYVHTMHIGEDKDMSQLELLWIYML >EOY16478 pep chromosome:Theobroma_cacao_20110822:8:7437272:7437906:1 gene:TCM_035251 transcript:EOY16478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVKVHSNDSIEVLREPKVEVNSAGQGMKLKMMAEPRFKQKNGSVFPTKRKLVKKMMLEYLVKSLSSPFLSFGSSQSRNTKMSDS >EOY16085 pep chromosome:Theobroma_cacao_20110822:8:5730648:5731685:-1 gene:TCM_034965 transcript:EOY16085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLRALEKEWKDHSTNNVSQTLGLDCRPTNLRFQDCSISFMFCPLYQSPYIPLLRIPSLCRLKNAAADVPC >EOY17297 pep chromosome:Theobroma_cacao_20110822:8:19102032:19107730:1 gene:TCM_036445 transcript:EOY17297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGHSSKLLVELYQALLQDQGKYIKTNFVEDDSQVDITQLDVADFFAQLERQIDHLIVATGEKTALIPLHREPLWYTDRPAVSFFEDEISALAQRFKFSMVGKFSRMPRMQEIRATFKRIGLMGAYEIRWLDYKHILILLTNEHDLNRIWLNQTLLAIAKMVGRSLMVDEATTNGTCPSVVRVCIEYDCQKPIIDHVWIVTRDRQTGLVKGGYMQIVEFAWLQENCTHCYHVGHGVASCMVMGHRPKKKLLLMGVRKQIKGNGNDRKNHRDGDPKNKEGNRIDKVQVELAKQNEKWQVVSRPGPSAVKDSRGEKQAEKENNTHAGKKVVAGLSNVEENPSDKNVDEDSRTKKAHALSGETTTNTAKLQVREGDKCDGHVHGAQIPSEMHANRKEIETTMPIEDVKTPAAGGSTPNLSFYVHGAFNHTNQVIGVKTKKTGTTEVEGTSQNEPLNEVTGQNSTKNNQKKKAEPSFHKEGRQSEEDVLERGIPIVRGKMTSTTAMDQSARRQSQRDPLREGMEKMKIDLIAVKGHETAGRNASQASLGEKDKNYSMGSDVRTNVESKVWAVAFVQGEIQQMPEHGPADQNVLEEMLEGSGEHSPIDGQGTSQIRGIDDHQQIVSSEASSRERIEGHANTPPTQESASASDKAMDMGKNDEDSDEDAISVNFAASWERERYF >EOY15565 pep chromosome:Theobroma_cacao_20110822:8:3761356:3764813:1 gene:TCM_034580 transcript:EOY15565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein MGTGWRRAFCTTIPREPETTVLDKQQQQSPSPSPSPSPRSCAKLSFFKGGSNPSTPRFQSQPVSHPSLRCRTTVEPPSTKESPTLQCKTTPKSATKSPKPILSSNPSSPRSPLKLSLFRNSFKFRSSCGICLNSVKTGQGTAIYTAECAHSFHFPCIAAHVRKHDSLVCPVCNTTWKDVPLLSIHKNQTPPQNDTVLIESTTPRIEEKKIIESYSPRIVNQTQPKPKPKPKPKPSDLRSYDDDEPLVSPTAGGRFIPIPEADENIEQEEDDEVEEFQGFFVNPNPSSAVKSDEVLPFNGRDLRNVQVGLSPETAVVSVGRGYETYAVALKIKAPPPLPAKVQASSWNSGNTASHLDPSHRAPIDLVTVLDVSGSMTGAKLQMLKRAMRLVISSLGSADRLSIVAFSASTKRLLPLRRMTAQGQRAARRIIDRLVCGQGTSVGEALRKATKVLEDRRERNPVASIMLLSDGQDERVQSNASNQRHHSGHVSSTRFAHIEIPVHAFGFGQSGGYSHEPAEDAFAKCVGGLLSVVVQDLRIQLSFVSDSAPAEITAVYSCNGRPSVLTSSSVRLGDLYAEEERELLVELKVPTSAVGSHHVMCVRCLYKDPASQEVVYGRDQALLVPRPHAVRSSAPKIERLRFFFITTRAIAEARRLIECNNDLTSAHHLLASARALLMQSNSLSAEEYVRGLETELAELHWRKQQMMEIQRRRVNEREREREATMVVMDENGEPLTPSSAWRAAEKLAKVAIMKKSLNRVSDLHGFENARF >EOY15052 pep chromosome:Theobroma_cacao_20110822:8:2087537:2090040:1 gene:TCM_034245 transcript:EOY15052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein MDLIFSACLFGLLSVFPGISGTTFTLVNKCEHTVWPGSLGNSQLGSTGFELPSGGSRSFQAPPSWSGRFWGRTGCTSDQTTGQLTCQTGDCGSSQVECNGNGATPPATLAEFTIGSGTQDFYDVSLVDGYNLPMIVEPSGGSSGACLSTGCVTDLNRQCPTELQFGSGEACLSACEAFKTPEYCCNGAFGAPDTCKPSVYSEMFKAACPRSYSYAYDDATSTFTCTGADYTITFCPSSTSQKSASNTTPATGTTGTTSTTYGSIAGSGEVPKTDDNGSWFPDFLTGESSTTLSSAVFSTTLLASAISSLFLSRFSS >EOY14990 pep chromosome:Theobroma_cacao_20110822:8:1897602:1898066:-1 gene:TCM_034201 transcript:EOY14990 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein MAIRKSNKLPQTAVIKQILKRCSSLGKKQSYDEQGLPLDVPKGHFVVYVGENRSRYIVPISFLTRPEFQSLLHQAEEEFGFDHDMGLTIPCEEVVFQSLTSMLR >EOY15287 pep chromosome:Theobroma_cacao_20110822:8:2798145:2803401:-1 gene:TCM_034401 transcript:EOY15287 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding bromodomain-containing protein, putative MGGEIAAGTMTKKKKKEGLPSHLELQKRSIKQQQQDEEQQQSHQQKNPNLINPNFSTNSSRRSTRRNPNLDGGSPLPEWIDGGEDDDDERQQKKHKLLLGLNSSRNRQHYPNSSAPKTASYSSDSNADSEDPEVPLKRRKVASFRPGSDQMGEKVSKATETLHGSPVDSGSTTPLPDKKLLVFILDKLQKKDTYGVFSEPVDPEELPDYSDIIAHPMDFQTVRKKLDGGAYTTLEQFEKDVFLICSNAMKFNAPDTIYFRQARSMQELAKKDFENLRQDRDEGEPQLKVARRGRPPGKSLKKSSESSSFNRVGSEFSSGATLATGPDASSLSNTYNLRKGTSSLKLRPADTLVRPSWGSHSNENHASWSPEWGNEFPASVVKAVMKYGKKLFPLDENRRDTYNPSSTCELEQPIFFTLDEELKQLIPVGLSVENGYAASLARFAAYLGPVLWKIASKKIESVLPSGLKFGPGWVGENRTVEQPQLFSFSEKQKSSNSLSDHHSSRPFSPPATSGSNSIAGSRFPLQGREDAETVRGLSSQNDLTSLYTKVGGIKSAPPHQFQHRPLSHSGINGSIGGFGIGYPPQMGLVTQPGNPLSEKTSVPYPTHGMVSGSSSAMCPMPASDFLSNEAKFAGSSGTIHSGNTMAVGPDLASHTATNVGLLRKSYNKQDFLPFTPDLNVRFLAPGSPSSSVPNGSPQHPDLALQL >EOY16920 pep chromosome:Theobroma_cacao_20110822:8:15062686:15063461:-1 gene:TCM_035994 transcript:EOY16920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MRPPHFILLCLLTAVMYLWSPYNEFQNLASSPSSIFLTGRRSLRGLKMPLPDHKNRWRLMRLCNQRRKIPWRSDIQGAIAMAIWRTLLTTLTTMESQLIQPQPPNILSHNLMPLLCQINR >EOY16921 pep chromosome:Theobroma_cacao_20110822:8:15062956:15063416:-1 gene:TCM_035994 transcript:EOY16921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MRPPHFILLCLLTAVMYLWSPYNEFQNLASSPSSIFLTGRRSLRGLKMPLPDHKNRYLKSEVAVDEALQSAAKDSMALRYSRSHSNGNMEDLAYYIDYHGVTTHPTPTPKHPKP >EOY17499 pep chromosome:Theobroma_cacao_20110822:8:21269438:21272906:1 gene:TCM_036712 transcript:EOY17499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEVRSAVGEHMELMADLVQKLSSELRSGLRPAYNNFIGFFHAIDWKEPWLMCLLAFHVVLLIITIFSRKNTNFQMCLFLLALVGVYLAETLNKFLGDNWKRFATQNYFDPSGLFLSVLWSGPLLAIAIIILINTLFTMCYLIVRWKKAELRHRARLSRNKQD >EOY15682 pep chromosome:Theobroma_cacao_20110822:8:4230757:4232906:-1 gene:TCM_034671 transcript:EOY15682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MQGLSIKLAIIPIAMMLCLCQAITNLHPLILVPGSGGNQLEARLTTGYKPLNLFCDRWYPISKDKEGWFRLWFDPSVLLAPFTKCFAQRMMLCYDRDLDDYRNAPGVETRVPEFGSTESLLYLDPHLKQLTAYMAQLIESLEEIGYVSGETLFGAPYDFRYGLAAEGHPSHVGSKFLRDLKDLIEKASASNGGKPVILLSHSLGGLYVLQLLNRNSASWRQRFIKHFVALSAPWGGTVQEMLTFASGSSFGVPLVDPLLVRGEQRSSESNLWLMPSPTLFGPGKVLVFTPSEAYSAHDIPQFLNDIGFPEGVIPYKSRILPLTEELRPPNVSVTCIIGTGVKTAETLFYGKAGFDEQPEVVYGDGDGTVNLVSLLALESLWANEKSQPLKVIRIAGISHTSILEDNAALDQIIGEVSSINSQAEVHFA >EOY15340 pep chromosome:Theobroma_cacao_20110822:8:2937410:2940024:1 gene:TCM_034433 transcript:EOY15340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast channel forming outer membrane protein isoform 1 METSLRYGGDSKALRIHAKEKFPIDSKTHLQVRGELDTKTGAPGNLCAMIRHFYPDLLTSLGVGMRYDKRDKVRYTVQGKKSFLVTNNGLVNFIVKGRYDVDQEFKGRKSEGAAEFSFSIFNFQKDQDVRLKLGYEVFDKVPYMQIRENNWTLNADMNGRWNIRYDL >EOY15341 pep chromosome:Theobroma_cacao_20110822:8:2937462:2939947:1 gene:TCM_034433 transcript:EOY15341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast channel forming outer membrane protein isoform 1 METSLRYGGDSKALRIHAKEKFPIDSKTHLQVRGELDTKTGAPGNLCAMIRHFYPDRKSEGAAEFSFSIFNFQKDQDVRLKLGYEVFDKVPYMQIRENNWTLNADMNGRWNIRYDL >EOY16701 pep chromosome:Theobroma_cacao_20110822:8:10033290:10058324:-1 gene:TCM_035553 transcript:EOY16701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALIPLVIFACALMPSIEITPSDANRWEITSVNDFNFSPVASTLCVICSPLWQARDLFSTSGFALSVI >EOY15921 pep chromosome:Theobroma_cacao_20110822:8:5031224:5032157:-1 gene:TCM_034844 transcript:EOY15921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKSFACFVLLALIASCALGQAPSSAPTKSPPSSSPTPAPKSSPTKAPTASPTASPPSTSTPPSSAPKSSPTSSPTSSPPAPPTVPTGAPTTAPSPTSSISQPPASTPTSPPSAAALNRVTVVGSAVTVVLAAAALLM >EOY14485 pep chromosome:Theobroma_cacao_20110822:8:439850:440439:1 gene:TCM_033853 transcript:EOY14485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFFFRKAVQVNIKDLPVSLQADYAEIRKIFKSCDANEDGRLSWEEVKAGFRKLQSRWPAYRAQRAFKVADKNGDGYISEAELDQLVQYTLERYKGKIRN >EOY17313 pep chromosome:Theobroma_cacao_20110822:8:19333269:19337891:-1 gene:TCM_036474 transcript:EOY17313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MLLEPLDSVIYTPLDSVIYTKLVQHSTKSGCFLHGKLAHTHIIKSAFKPCLFLLNNLLNMYCKAGEMDVAHRLFDRMSKPNLVSYNSLISGYTQMGAFDKAMQVFLEARKSCLKLDKFTYAGALNACAQTGGLELGKLIHGLIVVSGLVEKTFLTNSLIDMYCKCECLDHARFLFENSKELDEVSWNTLIAGYVAMNGKEEMLKLLINMHRNGLNLNSYTMGSVLKACCTIIDIGRTYGEMLHGCIIKLGLDVDIVVGTALLDMYAKKGEVNSAVKIFETMPDRNIVMYNAMISGFIEAESISKECANEAFSLFSELKRQGLKPSKFTFSSMLKACYAVEDFDHGKQIHAQICKYNLQCDEFIGSALIELYSLMGSSEDSLKCFRSTPKRDIVLWTSMIAGHVQNGQFESALNLFHELLASGGRPDEFIISSMFSACADSATASLGEQVHGHAIRSSLGNFRIVQNSQLCMYAKCGDIGSADLTFREMQNPDVVSWSVMICSYAQHGCARDALNLFELMKEHGIAPNHITFIGVLCACSYGGLVEEGLQFFESMKHYDVETSAEHYCCVVDLFGRAGRLAEAENFILTSCFKDNAVIWRALLSACRVYKDTVTAKHAARKVIELQPQEAASYVLVYNIYADAAVEPLAASVREMMGRQGVKKEPGLSWI >EOY17314 pep chromosome:Theobroma_cacao_20110822:8:19333009:19337969:-1 gene:TCM_036474 transcript:EOY17314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MLLEPLDSVIYTPLDSVIYTKLVQHSTKSGCFLHGKLAHTHIIKSAFKPCLFLLNNLLNMYCKAGEMDVAHRLFDRMSKPNLVSYNSLISGYTQMGAFDKAMQVFLEARKSCLKLDKFTYAGALNACAQTGGLELGKLIHGLIVVSGLVEKTFLTNSLIDMYCKCECLDHARFLFENSKELDEVSWNTLIAGYVAMNGKEEMLKLLINMHRNGLNLNSYTMGSVLKACCTIIDIGRTYGEMLHGCIIKLGLDVDIVVGTALLDMYAKKGEVNSAVKIFETMPDRNIVMYNAMISGFIEAESISKECANEAFSLFSELKRQGLKPSKFTFSSMLKACYAVEDFDHGKQIHAQICKYNLQCDEFIGSALIELYSLMGSSEDSLKCFRSTPKRDIVLWTSMIAGHVQNGQFESALNLFHELLASGGRPDEFIISSMFSACADSATASLGEQVHGHAIRSSLGNFRIVQNSQLCMYAKCGDIGSADLTFREMQNPDVVSWSVMICSYAQHGCARDALNLFELMKEHGIAPNHITFIGVLCACSYGGLVEEGLQFFESMKHYDVETSAEHYCCVVDLFGRAGRLAEAENFILTSCFKDNAVIWRALLSACRVYKDTVTAKHAARKVIELQPQEAASYVLVYNIYADAAVEPLAASVREMMGRQGVKKEPGLSWI >EOY16640 pep chromosome:Theobroma_cacao_20110822:8:9143618:9145339:1 gene:TCM_035462 transcript:EOY16640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogen-related protein isoform 1 KKKKTLTGCSPHASHGGVCLSSADEPSPYKKELKGNDHYSNIDLLRSLVFRCMLETNNCLKFLLSEKMASSVTQEDKYRSYLHGEGEKNTKWKFGTPPNYDVVNKLFEEGRTKIWPPGSLEEKVQNLVKTWEMEMFNKISFEDFKSINLENYTVSVNGRKPLTLEEKRKLWGGYNSFMQTSLPENLRGYNPAEETAGSSHVAFTTAFPRGFALEVIQVYSGPPLIVYKFRHWGYMEGPFKGHAATGELVELYGISTVEVDEQMKILKIEFFFDRGELLGGLMKGAKLGSTGTDQVALSCPFLRKTG >EOY16639 pep chromosome:Theobroma_cacao_20110822:8:9143618:9145334:1 gene:TCM_035462 transcript:EOY16639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogen-related protein isoform 1 MLETNNCLKFLLSEKMASSVTQEDKYRSYLHGEGEKNTKWKFGTPPNYDVVNKLFEEGRTKIWPPGSLEEKVQNLVKTWEMEMFNKISFEDFKSINLENYTVSVNGRKPLTLEEKRKLWGGYNSFMQTSLPENLRGYNPAEETAGSSHVAFTTAFPRGFALEVIQVYSGPPLIVYKFRHWGYMEGPFKGHAATGELVELYGISTVEVDEQMKILKIEFFFDRGELLGGLMKGAKLGSTGTDQVALSCPFLRKTG >EOY17278 pep chromosome:Theobroma_cacao_20110822:8:18939144:18953746:-1 gene:TCM_036425 transcript:EOY17278 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPla/RYanodine receptor domain-containing protein isoform 3 MSSIKMNSCNSNNGESKNGTSNSLSSVNHDLGLYFLELARLGSAKAPTEMEEDEEEAPKELNTINSSGGFLFVATDKLSVKYTSVNLHGHDVGVVQANKPAPVKRLLYYFEIYVKDAGAKGQIAIGFTQESFKMRRQPGWEANSFGYHGDDGFLYRGHGKGEAFGPTYTTGDTVGGGINYASQEFFFTKNGTIVGTVCMVKEIKGPLFPTVAVHSLNEEVHVNFGQKKFAFDLKEYEAQERLKHQMTIEKISLPPNISYGLVRSYLLHYGYEDTLNSFDLASKSTVPPIYIAKENGFDEQDIVYALNQRKTLRQLIRNGEIDAAISKLRDWYPQIVQDCGALLAYERPQESSVGYLLEESQRDVVADTVNAMILSTNPNMKDLQGCLHSYLEKLLRQLTACCLERRSANGDQGEAFHLHRVLNSGKDIKS >EOY17276 pep chromosome:Theobroma_cacao_20110822:8:18937896:18953824:-1 gene:TCM_036425 transcript:EOY17276 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPla/RYanodine receptor domain-containing protein isoform 3 MSSIKMNSCNSNNGESKNGTSNSLSSVNHDLGLYFLELARLGSAKAPTEMEEDEEEAPKELNTINSSGGFLFVATDKLSVKYTSVNLHGHDVGVVQANKPAPVKRLLYYFEIYVKDAGAKGQIAIGFTQESFKMRRQPGWEANSFGYHGDDGFLYRGHGKGEAFGPTYTTGDTVGGGINYASQEFFFTKNGTIVGTVCMVKEIKGPLFPTVAVHSLNEEVHVNFGQKKFAFDLKEYEAQERLKHQMTIEKISLPPNISYGLVRSYLLHYGYEDTLNSFDLASKSTVPPIYIAKENGFDEQDIVYALNQRKTLRQLIRNGEIDAAISKLRDWYPQIVQEEKSATCFLLHCQKFIELVRVGALEEAVKYGRMELAKFVELDGFNDLVQDCGALLAYERPQESSVGYLLEESQRDVVADTVNAMILSTNPNMKDLQGCLHSYLEKLLRQLTACCLERRSANGDQGEAFHLHRVLNSVTGLVVLSSIWSRAPPWIQFYQLYSEDSYHLLSFDCWKEILFRGTSDSGMLLKN >EOY17277 pep chromosome:Theobroma_cacao_20110822:8:18937896:18953824:-1 gene:TCM_036425 transcript:EOY17277 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPla/RYanodine receptor domain-containing protein isoform 3 MSSIKMNSCNSNNGESKNGTSNSLSSVNHDLGLYFLELARLGSAKAPTEMEEDEEEAPKELNTINSSGGFLFVATDKLSVKYTSVNLHGHDVGVVQANKPAPVKRLLYYFEIYVKDAGAKGQIAIGFTQESFKMRRQPGWEANSFGYHGDDGFLYRGHGKGEAFGPTYTTGDTVGGGINYASQEFFFTKNGTIVGTVCMVKEIKGPLFPTVAVHSLNEEVHVNFGQKKFAFDLKEYEAQERLKHQMTIEKISLPPNISYGLVRSYLLHYGYEDTLNSFDLASKSTVPPIYIAKENGFDEQDIVYALNQRKTLRQLIRNGEIDAAISKLRDWYPQIVQVGALEEAVKYGRMELAKFVELDGFNDLVQDCGALLAYERPQESSVGYLLEESQRDVVADTVNAMILSTNPNMKDLQGCLHSYLEKLLRQLTACCLERRSANGDQGEAFHLHRVLNSGKDIKS >EOY16163 pep chromosome:Theobroma_cacao_20110822:8:5984645:5987347:1 gene:TCM_035011 transcript:EOY16163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 GAVFGAGWWFWIDAVVCSSVTVSFVHYLPGIFASIAALMFNCVRKEDIDYSPYEEGEWRLKLWLFFAYVVSFVSLAASVGLLIQDSLVKSGPSVWTGTAGVLQCVFVLISGLIYWTSH >EOY16162 pep chromosome:Theobroma_cacao_20110822:8:5984504:5987536:1 gene:TCM_035011 transcript:EOY16162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDLGELWAIFGPGVAGAVFGAGWWFWIDAVVCSSVTVSFVHYLPGIFASIAALMFNCVRKEDIDYSPYEEGEWRLKLWLFFAYVVSFVSLAASVGLLIQDSLVKSGPSVWTGTAGVLQCVFVLISGLIYWTSHSE >EOY16567 pep chromosome:Theobroma_cacao_20110822:8:8415865:8422390:-1 gene:TCM_035363 transcript:EOY16567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein isoform 1 MASLKEKSEGAASSEEENNESSNFYDIYGPQAKAEIVFKTPETNSTLNLQDVQGLVTWVLAEGFMPSWVFIKNKPLIPKVVMLYVPGLDAALYLAQSKTLSGLKKSCGNPRALLALSCVSDGMQTIDALLTCKVKRKRNLIDSVRDTSQSAEQERSISEMDSTELLKDLPFPVTYYTLTAKELEDNGYCLNQPGFVSTLPASAGSSPYKILALDCEMCITSEGFELTRVTLVDIKGQVVLDKLVKPSNPITDYNTRYSGITYEMLSGVTTSLQDVQEDFLKLVYKDTILIGHSLENDLLALKISHDLVIDTSVLYKHPRGGSYKTALRVLAKRFLSSEIQQSGNGHDSIEDARAAMELALLKIKNGPDFGSPPSLMRRKLLSLLGECGKTSSFIDDISIVKRYASESSHAIPVCSDDEALLKARKEVKNDRVHFVWTHFSELNSYFRKQAEDDVKLNGKLAEMISLLTCDKKPANWKGIKNNVSTELKEILTRINARVYSLHTALPTNSMFIICTGHGDIAIVHRLRKMLTEQSETTVSREKLVKVLEELQAQAEVALCFVGMKH >EOY16568 pep chromosome:Theobroma_cacao_20110822:8:8417372:8422264:-1 gene:TCM_035363 transcript:EOY16568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein isoform 1 MASLKEKSEGAASSEEENNESSNFYDIYGPQAKAEIVFKTPETNSTLNLQDVQGLVTWVLAEGFMPSWVFIKNKPLIPKVVMLYVPGLDAALYLAQSKTLSGLKKSCGNPRALLALSCVSDGMQTIDALLTCKVKRKRNLIDSVRDTSQSAEQERSISEMDSTELLKDLPFPVTYYTLTAKELEDNGYCLNQPGFVSTLPASAGSSPYKILALDCEMCITSEGFELTRVTLVDIKGQVVLDKLVKPSNPITDYNTRYSGITYEMLSGVTTSLQDVQEDFLKLVYKDTILIGHSLENDLLALKISHDLVIDTSVLYKHPRGGSYKTALRVLAKRFLSSEIQQSGNGHDSIEDARAAMELALLKIKNGPDFGSPPSLMRRKLLSLLGECGKTSSFIDDISIVKRYASESSHAIPVCSDDEALLKARKEVDCFIHFRDFPSILACLPTCCIYLLW >EOY16602 pep chromosome:Theobroma_cacao_20110822:8:8869065:8881078:1 gene:TCM_035426 transcript:EOY16602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group A2 isoform 2 MVRRRDEEDDDVEDEDYEDEDDQLLDDEDYDEDEDEGRGGGGSSRKRRRSDFIDDVAEEDDEEDEDDDDEGYGGGRGGRRQNKAPRSGSQFFDLEAQVDSDDEEEEDEGEDDFIVDNGADLPDEDVGRRLHRRPLPLREDEQEDVEALERSIQARYARSSHTEYDEETTEVEQQALLPSVRDPKLWMVKCAIGRERETAVCLMQKYIDKGSELQIRSVIALDHLKNYIYIEADKEAHVREAVKGLRNIFATKIMLVPIKEMTDVLSVESKAIDLSRDTWVRMKIGTYKGDLAQVVDVDNVRQRVTVKLIPRIDLQALANKLEGREVAKKKAFVPPPRFMNVDEARELHIRVERRRDPMTGDYFENIGGMLFKDGFLYKTVSMKSISAQNIKPTFDELEKFRTPSENGESEMVGLSTLFANRKKGHFMKGDAVIVVKGDLKNLKGWVEKVEEENVHIRPEMKGLPKTLAVNEKELCKYFEPGNHVKVVSGTKEGATGMVVKVEQHVLIILSDTTKEHIRVFADDVVESSEVTTGVTKIGEYELHDLVLLDNNSFGVIIRVESEAFQVLKGVPERPEVSLVKLREIKCKLEKKFNVQDRYRNTVSVKDVVRILEGPCKGKQGPVEHIYKGVLFVYDRHHLEHAGFICAKADSCCIVGGSRSNGDRNGESFSRFGGFKTPPRIPPSPRKFSRGGPPFDTGGRHRGGRGGHDALVGTTVKIRQGPFKGYRGRVVDIKGQSVRVELESQMKVVTVDRNFISDNVVISTPYRDTSRYGMGSETPMHPSRTPLHPYMTPMRDAGATPIHDGMRTPMRDRAWNPYAPMSPPRDNWEEGNPASWGTSPQYQPGSPPSRAYEAPTPGSGWASTPGGNYSEAGTPRDSSSAYANAPSPYMPSTPSGQPMTPSSGSYIPGTPGGQPMTPGTGGLDIMSPVIGTDNEGPWFMPDILVNVRKSGDETLGVIQEVLPDGSCKVALGSNGSGDTVIALPSEMEIVAPRKSDKIKIMGGSLRGVTGKLIGVDGTDGIVRIDDSLDVKILDLVILAKLP >EOY16603 pep chromosome:Theobroma_cacao_20110822:8:8872757:8881304:1 gene:TCM_035426 transcript:EOY16603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group A2 isoform 2 MVRRRDEEDDDVEDEDYEDEDDQLLDDEDYDEDEDEGRGGGGSSRKRRRSDFIDDVAEEDDEEDEDDDDEGYGGGRGGRRQNKAPRSGSQFFDLEAQVDSDDEEEEDEGEDDFIVDNGADLPDEDVGRRLHRRPLPLREDEQEDVEALERSIQARYARSSHTEYDEETTEVEQQALLPSVRDPKLWMVKCAIGRERETAVCLMQKYIDKGSELQIRSVIALDHLKNYIYIEADKEAHVREAVKGLRNIFATKIMLVPIKEMTDVLSVESKAIDLSRDTWVRMKIGTYKGDLAQVVDVDNVRQRVTVKLIPRIDLQALANKLEGREVAKKKAFVPPPRFMNVDEARELHIRVERRRDPMTGDYFENIGGMLFKDGFLYKTVSMKSISAQNIKPTFDELEKFRTPSENGESEMVGLSTLFANRKKGHFMKGDAVIVVKGDLKNLKGWVEKVEEENVHIRPEMKGLPKTLAVNEKELCKYFEPGNHVKVVSGTKEGATGMVVKVEQHVLIILSDTTKEHIRVFADDVVESSEVTTGVTKIGEYELHDLVLLDNNSFGVIIRVESEAFQVLKGVPERPEVSLVKLREIKCKLEKKFNVQDRYRNTVSVKDVVRILEGPCKGKQGPVEHIYKGVLFVYDRHHLEHAGFICAKADSCCIVGGSRSNGDRNGESFSRFGGFKTPPRIPPSPRKFSRGGPPFDTGGRHRGGRGGHDALVGTTVKIRQGPFKGYRGRVVDIKGQSVRVELESQMKVVTAVDRNFISDNVVISTPYRDTSRYGMGSETPMHPSRTPLHPYMTPMRDAGATPIHDGMRTPMRDRAWNPYAPMSPPRDNWEEGNPASWGTSPQYQPGSPPSRAYEAPTPGSGWASTPGGNYSEAGTPRDSSSAYGQCSKPLYAINPQWAAYDSKLGILYSWYTWRAANDTRNWWS >EOY16426 pep chromosome:Theobroma_cacao_20110822:8:7071626:7073184:1 gene:TCM_035206 transcript:EOY16426 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate MAIPVLDFSQLNGEERAMTLTQIANACEEWGFFQLVNHGIPEELLERVKKVSTKFYKLEREENFKNSKLVNLLDETGERLENVDWEDVITLLDDNEWPSKTPGFEETMKEYRFELKKLAEKVMEVMDENLGLPKGYIKKAFNDGEGGDNAFFGTKVSHYPPCRHPERVNGLRAHTDAGGVILLFQDDKVGGLQVLKDGEWIDVQPLPNTIVINTGDQIEVLSNGRYKSAWHRVMSSTDGNRRSIASFYNPSLSATIAPAPQLVEKTNQQVEQTYPKFVFGDYMSVYVQQKFLPKEPRFQAVRAM >EOY15049 pep chromosome:Theobroma_cacao_20110822:8:2075283:2077743:1 gene:TCM_034243 transcript:EOY15049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein MAQATYIAPICVLILLQSFISGLQATTFTFVNKCDYVVWPGILSNAGIPTLSTTGFTLQRGETKTITAPTSWGGRFWGRTHCSQDSTGKFSCLTGDCGSGKLECSGNGATPPATLAEFTLDGAGGLDFFDVSLVDGYNIPMLVVPQGGTGQNCTNTGCVVDLNGSCPSELKVMSTDGRDGVACKSACEAFRQPQYCCSGAYATPDTCKPSSYSEVFKTACPRAYSYAYDDKTSTFTCANADYTITFCPSPNTSQKSSQGQNTEPTTTTTTSPFINSTMVYEGALDESAASPSTCTHVFGSHAVAGIVGIAMAIWCNSTNDSLASPNLPFGPFSGVGGCPYQISCMGRVTRLGPIGSAMVTWNPVMIENAHPPTELKCANIRSRALRATSM >EOY16018 pep chromosome:Theobroma_cacao_20110822:8:5440017:5442991:-1 gene:TCM_034917 transcript:EOY16018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microsomal glutathione S-transferase 3 MEMRGVEFLPNEYGYVILVLVLYCFLNFWMAGQVGKARKRYKVGYPTLYAVESENKDAKLFNCVQRGHQNSLEMMPMFFLLMVLGGMGHPCVCSALGVVYIVTRYFYFTGYATGDPQNRLSIGSGFFFPYCHTWEIWVLGVAGARDLHHFIWSKSASTMISDFRLLQSTLLPSSAFAFRFCSPVSKLVARVRKSNSDAWRCLATLDQTKPIFRKLRLQLQDQAPPLMSTYGIAPGNLVAELIDIGKLVAWLAGIVDCYVAEFGNWVEGSWEWKVETRRQLFDRKVKQWAHLQGMLQEQQLSKDFKDEVIWKREPSGKYTSKSFCIYTLSSIDSTDGIWKLVWAKLAPLRVEVFMWQMLLGKKGVKEELVKRGIQLNSSLLCVLG >EOY14907 pep chromosome:Theobroma_cacao_20110822:8:1640782:1643378:1 gene:TCM_034148 transcript:EOY14907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein DEFICIENS isoform 1 MNLPFFAMQELLSSCFPALGNSTSLSALPPHLCRTKQIYDQYQKVLGVDLWTTHYELKKLKEVNRNLRKEIRQRMGDCLNDVSFEDLQALEQEMETSVKLIRDRKYRVISNQIDTSRKKVRNVEEIHRNLLHELDAIKEDPYGLVDNGVDYDTIIGYQNGGPRIFALRLQPNHPNLHSGGGSDLTTYPLLE >EOY14906 pep chromosome:Theobroma_cacao_20110822:8:1640464:1643583:1 gene:TCM_034148 transcript:EOY14906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein DEFICIENS isoform 1 MARGKIQIKLIENATNRQVTYSKRRNGLFKKANELTVLCDARASIIMFSSTGKLHEFISPSTSTKQIYDQYQKVLGVDLWTTHYEKMQEQLKKLKEVNRNLRKEIRQRMGDCLNDVSFEDLQALEQEMETSVKLIRDRKYRVISNQIDTSRKKVRNVEEIHRNLLHELDAIKEDPYGLVDNGVDYDTIIGYQNGGPRIFALRLQPNHPNLHSGGGSDLTTYPLLE >EOY16789 pep chromosome:Theobroma_cacao_20110822:8:11166975:11173649:1 gene:TCM_035669 transcript:EOY16789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQMANTGIEQENVESLLCVPRHRWGFNTGINIHCKRSHLHVIREMLCQVNELESFKRTCFGHMMDVEAYKSLFCASLVHNLMLHRINELNATEVELWLAIRKTKARFSNREFYLVTGLKFGPLLAHIVNPYEAFPGGIHLRYWGLGKELWAVRMLEPTAKKMLTSYWADIERRRTTDEEVHSDFDAAEAPHSLPATVHGASPTAPPPVSAVAPPPASNAEPRNRALCP >EOY17358 pep chromosome:Theobroma_cacao_20110822:8:19614802:19620888:-1 gene:TCM_036509 transcript:EOY17358 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box protein with domain of Uncharacterized protein function (DUF 1232) isoform 1 MEGPPVNDCCSICHGSFNVPCQANCSHWFCGNCIMLVWHHGSSLHPCKCPLCRRHITLLVPGEASLRERHNSAVAEILEKVEKYNRYFGGQSNGLIQRLQDLPFLLRRLLREMTDPQRSLPLVIKARVYLAMFLSTIYIFSPIDIIPEAILGIVGLLDDLLIVLVCFLHVAAIYRSVLYYRHGGS >EOY17359 pep chromosome:Theobroma_cacao_20110822:8:19614741:19621241:-1 gene:TCM_036509 transcript:EOY17359 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box protein with domain of Uncharacterized protein function (DUF 1232) isoform 1 MEGPPVNDCCSICHGSFNVPCQANCSHWFCGNCIMLVWHHGSSLHPCKCPLCRRHITLLVPGEASLRERHNSAVAEILEKVEKYNRYFGGQSNGLIQMFLSTIYIFSPIDIIPEAILGIVGLLDDLLIVLVCFLHVAAIYRSVLYYRHGGS >EOY16069 pep chromosome:Theobroma_cacao_20110822:8:5637800:5642040:-1 gene:TCM_034951 transcript:EOY16069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein isoform 1 NAKTTQEFSLFLICFGFLCLLKLTRKSCDSLLTRPPSPSPSTFLSSSPCNKLCPIFAFFLCLGFFAVGLFKPEGQLFRVPNKANNLFSFLPGFSFLVSGLLSLRMANAMLHQTVGSLHLLSNSGIHCEVGNNSVRLLPKGFKVDVGFSKRGTYSSGERKFSVIQASASQTSVFDPVLSPSKNGTHESRKKSNEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPVDMIYTSALIRAQMTAMLAMTQHRRKKVPIIMHNESEQARAWSQIYSEDTMKQSIPVIAAWQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAQRAVAYFKDNIEPQLLSGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPVAPTEAGVYAYTRRLAQYRQKLDETLH >EOY16068 pep chromosome:Theobroma_cacao_20110822:8:5637995:5646295:-1 gene:TCM_034951 transcript:EOY16068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein isoform 1 MHDPSTCKIKPPKKEGRVSNGVRPPSPSPSTFLSSSPCNKLCPIFAFFLCLGFFAVGLFKPEGQLFRVPNKANNLFSFLPGFSFLVSGLLSLRMANAMLHQTVGSLHLLSNSGIHCEVGNNSVRLLPKGFKVDVGFSKRGTYSSGERKFSVIQASASQTSVFDPVLSPSKNGTHESRKKSNEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPVDMIYTSALIRAQMTAMLAMTQHRRKKVPIIMHNESEQARAWSQIYSEDTMKQSIPVIAAWQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAQRAVAYFKDNIEPQLLSGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPVAPTEAGVYAYTRRLAQYRQKLDETLH >EOY16393 pep chromosome:Theobroma_cacao_20110822:8:6996822:7001569:1 gene:TCM_035192 transcript:EOY16393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease isoform 1 MKMAENGAGKNHLQHNQVFDLSIDVLPQGGSKCFDEDGRLKRTGTVWTASAHIITAVIGSGVLSLAWATAQLGWVAGPAVMFLFSFVTYYTSTLLAACYRSGDPINGKRNYTYMDAVRSNLGGFKVKICGWVQYLNLFGVAIGYTIASSISMMAIKRSNCFHASGGKNPCHLNSNPYMIAFGIVEIIFSQIPGFDQLWWLSIVAAVMSFTYSTIGLGLGIAKVAETGKVRGSLTGISVGTVTQTQKIWRSFQALGDIAFAYSYSLILIEIQDTLKAPPSESKTMKKASLISVAVTTLFYMLCGCMGYAAFGDLSPGNLLTGFGFYNPFWLLDIANAAIVIHLVGAYQVYCQPLFAFIEKKAAEKFPDSEFITKDIKISIPGFRAYNLNLFRLVWRTLFVISTTLISMLLPFFNDIVGLLGALGFWPLTVYFPVEMYISKKKIPKWSTRWLCLQILSIACLIITIAAAAGSIAGVVLDLKSYKPFSTDY >EOY16392 pep chromosome:Theobroma_cacao_20110822:8:6998134:7000839:1 gene:TCM_035192 transcript:EOY16392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease isoform 1 MAENGAGKNHLQHNQVFDLSIDVLPQGGSKCFDEDGRLKRTGTVWTASAHIITAVIGSGVLSLAWATAQLGWVAGPAVMFLFSFVTYYTSTLLAACYRSGDPINGKRNYTYMDAVRSNLGGFKVKICGWVQYLNLFGVAIGYTIASSISMMAIKRSNCFHASGGKNPCHLNSNPYMIAFGIVEIIFSQIPGFDQLWWLSIVAAVMSFTYSTIGLGLGIAKVAETGKVRGSLTGISVGTVTQTQKIWRSFQALGDIAFAYSYSLILIEIQDTLKAPPSESKTMKKASLISVAVTTLFYMLCGCMGYAAFGDLSPGNLLTGFGFYNPFWLLDIANAAIVIHLVGAYQVYCQPLFAFIEKKAAEKFPDSEFITKDIKISIPGFRAYNLNLFRLVWRTLFVISTTLISMLLPFFNDIVGLLGALGFWPLTVYFPVEMYISKKKIPKWSTRWLCLQILSIACLIITIAAAAGSIAGVVLDLKSYKPFSTDY >EOY16503 pep chromosome:Theobroma_cacao_20110822:8:7908376:7911559:1 gene:TCM_035294 transcript:EOY16503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MIFATTIQKKLKVKSSGFCICMAVNAASILNRLLQHKTHKPQNQPNSLKSVTQSILTHLKSGRLQKAVSVLFAYPEPFPHSLYAHLFHLCSAKDAIVEARKLESHLVTFCPLPPVFLLNRAIETYGKCGCLVDARELFDEMPERDGGSWNTMITAYARNGFQEKALCLFSEMNREGILPNEITFASVLGSCGVVLELGLSRQIHAMVVKYGYSNNVVLGSSLVDVYGKCGVISDARRIFDQIENPNDVSWNVIVRRYLEIGDGKEAVSMFFRVFRGDVRPLNFTFSNALVACSSMSALKEGMQIHGVVFKINFEKDKVVSSSLIGMYVKCGRLESARMIFDQLGSKDLISWTAIMSGYAMCGRTREARELFNMMPERNVISWNAMLAGYTHLFQWEDALEFVFLMRRMTKEIDHVTLVLVLNVCAGISDVEMGKQVHGFIYRHGFCSNIFVGNALLDMYGKCGTLNSARVWFYQMSQERDTVSWNALLTSYARHHRSEQAMTFFNEMQWESRPCKFTFGTLLAACANMFALNHGKQIHGFMIRNGYELDMVIRGALVDMYCKCRCVLYALAIFKEAALRDVVLWNTMIFGCCHNGRGREVLELVGLMEEEGVKPDHVTFQGILLACICEHEAELGKQYFNSMSNDYCIIPRLEHYDCMIEIYSRCGCMKELEKFIKSLPFEPTVAMLTRVFDACEKHGAVRFGEWAAEQHNQLNPSSPLRFELKDRRKIGR >EOY15731 pep chromosome:Theobroma_cacao_20110822:8:4421671:4440181:-1 gene:TCM_034708 transcript:EOY15731 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase family protein, putative isoform 1 IILDNGQISGKVLVFRQPGVHFGDVHLLNARYVESLNEYVGHAKYAIFFPCKGPRSLADEMAGGDFDGDTYFVSKNPQLLDYFKVSEPWTENSSTCGVSTKGPCEFSNEELEDELFKLFLRTRFQPSNAMAIASDNCMAVMDRLLTLEDSNSPEEFLLKKNLQRLIDLYYESLDAPKTGKKIEVPRELRADAFPHYLERQKSFKSASILGKIYDFVKSYGEELPRKEVRKLPCFDVGFPQDCREKWTELYKQYREDMTQTLQTLDGKSKELRDVAANAVYNKYKNCMEVLCW >EOY15730 pep chromosome:Theobroma_cacao_20110822:8:4421194:4425574:-1 gene:TCM_034708 transcript:EOY15730 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase family protein, putative isoform 1 MAGGDFDGDTYFVSKNPQLLDYFKVSEPWTENSSTCGVSTKGPCEFSNEELEDELFKLFLRTRFQPSLECGLDIIQVIGFGSDVKVLLAAFSNAMAIASDNCMAVMDRLLTLEDSNSPEEFLLKKNLQRLIDLYYESLDAPKTGKKIEVPRELRADAFPHYLERQKSFKSASILGKIYDFVKSYGEELPRKEVRKLPCFDVGFPQDCREKWTELYKQYREDMTQTLQTLDGKSKELRDVAANAVYNKYKQELYGGAVLEQRQRPLDQIYKEALAIYNISYDYAIRIDDVGKCGFAWKVAGSALLSLYASEQGEKTLSCAPSVLKELFR >EOY14703 pep chromosome:Theobroma_cacao_20110822:8:1040396:1043149:-1 gene:TCM_034000 transcript:EOY14703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase family protein MAVRRDKGQSIRGSRIAIAIVIGVLLGCVIAFVFPHGLINPTPSVQNRRIGKTNFQIGSSSCESSERIKMLKSEIVSLSEKNSELKKQVRDLTEKLQLAEQGKDHAQKQFLVLGEQHKAGPFGTVKALRTNPSVVPDDSVNPRLAKILEEVAIQKELIVALANANVKETLEVWFSSIKRVGILNYLVIALDDHIVDFCKSNNVPVYKRDPDDGIDAVGRTGGNHAVSGLKFRILREFLQLGYSVLLSDVDIVYLQNPFNHLYRDSDVESMSDGHNNMTAYGYNDVFDEPAMGWARYAHTMRIWVFNSGFFYIRPTIPSIELLDRVADRLARQQNAWDQAVFNEELFFPSHPGYDGLHAAKRTMDFYKFMNSKVLFKTVRKDAKLKKLKPVIVHANYHPDKLRRMKAVVEFYVNGKRDALDPFPDGSDW >EOY16975 pep chromosome:Theobroma_cacao_20110822:8:15625629:15632373:1 gene:TCM_036061 transcript:EOY16975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-phosphate synthase family protein isoform 2 MAGNEWINGYLEAILDVGSGTRKRYDGQLKIAKFPEHKVQVKEEKVFSPTKYFVEEVINSFDESDLHRTWVKVIATRNTRERSNRLENMCWRIWHLARKKKQIAWDDARRLAKRRLEREQGRNDAADDLSELSEGEKEKGDSNYTEASKDMSRINSDTQIWFDDDKAKHLYIVLISMHGLVRGENMELGRDSDTGGQVKYVVELARALANTKGVHRVDLLTRQITSPEVDSSYGEPTEMLSCPSDGSGSCGAYLIRIPCGPRNKYIPKESLWPHIPEFVDGALNHIVTMARALGDQLNGGKPTWPYVIHGHYADAGEVAARLSGALNVPMVLTGHSLGRNKFEQLLKQGRLSREDINATYKIMRRIEGEEMGLDAAEMVVTSTRQEIEEQWGLYDGFDPKLERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSYVTTQDSLENDGDLKSLLGPDRAQNKRHLPPIWSEIMRFFTNPHKPTILALSRPDPKKNVTTLLKAFGECQALRELANLTLILGNRDDIEEMSNSSSVVLTTVLKLIDKYDLYGQVAYPKHHKQSEVPEIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDILKVLHNGLLVDPHDQKAIADALLKLVADKNLWAECRKNGLRNIHRFSWPEHCRNYLSHVEHCRNRHPTSRLEIITIPEEPMSDSLRDVEDISLRFSIEGDIKLNGEIDAATRQKKLIEAISQLASSNSNTGITYSPGRRQMLFVIAADCYDNNGGITETFQAIIKNVMKAAGLSIGLGKVGFVLVTGSSLRETMQALSSCLVNIEDFDSLVCNSGSELYYPWRDMVADTDYEAHIEYRWPGENVRSMAMRLARTEDGDKDDITEYVEACSSRCYSYSIKPSAKTRRMDDLRQRLRMRGFRCNIVYTRAASKLNVVPLFASRMQALRYLSIRWGIDLSKVVLFVGERGDTDHEDLLGGLHKTLVLKGSVPYGSEKLLRSEDNFKREDAVPQDNSNINSIENYEAHNIAGALDALEIK >EOY16976 pep chromosome:Theobroma_cacao_20110822:8:15625970:15632076:1 gene:TCM_036061 transcript:EOY16976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-phosphate synthase family protein isoform 2 MAGNEWINGYLEAILDVGSGTRKRYDGQLKIAKFPEHKVQVKEEKVFSPTKYFVEEVINSFDESDLHRTWVKVIATRNTRERSNRLENMCWRIWHLARKKKQIAWDDARRLAKRRLEREQGRNDAADDLSELSEGEKEKGDSNYTEASKDMSRINSDTQIWFDDDKAKHLYIVLISMHGLVRGENMELGRDSDTGGQVKYVVELARALANTKGVHRVDLLTRQITSPEVDSSYGEPTEMLSCPSDGSGSCGAYLIRIPCGPRNKYIPKESLWPHIPEFVDGALNHIVTMARALGDQLNGGKPTWPYVIHGHYADAGEVAARLSGALNVPMVLTGHSLGRNKFEQLLKQGRLSREDINATYKIMRRIEGEEMGLDAAEMVVTSTRQEIEEQWGLYDGFDPKLERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSYVTTQDSLENDGDLKSLLGPDRAQNKRHLPPIWSEIMRFFTNPHKPTILALSRPDPKKNVTTLLKAFGECQALRELANLTLILGNRDDIEEMSNSSSVVLTTVLKLIDKYDLYGQVAYPKHHKQSEVPEIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDILKVLHNGLLVDPHDQKAIADALLKLVADKNLWAECRKNGLRNIHRFSWPEHCRNYLSHVEHCRNRHPTSRLEIITIPEEPMSDSLRDVEDISLRFSIEGDIKLNGEIDAATRQKKLIEAISQLASSNSNTGITYSPGRRQMLFVIAADCYDNNGGITETFQAIIKNVMKAAGLSIGLGKVGFVLVTGSSLRETMQALSSCLVNIEDFDSLVCNSGSELYYPWRDMVADTDYEAHIEYRWPGENVRSMAMRLARTEDGDKDDITEYVEACSSRCYSYSIKPSAKLLQTRRMDDLRQRLRMRGFRCNIVYTRAASKLNVVPLFASRMQALRYLSIRWGIDLSKVVLFVGERGDTDHEDLLGGLHKTLVLKGSVPYGSEKLLRSEDNFKREDAVPQDNSNINSIENYEAHNIAGALDALEIK >EOY15837 pep chromosome:Theobroma_cacao_20110822:8:4806226:4809251:1 gene:TCM_034789 transcript:EOY15837 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: plasma membrane; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; BEST Arabidopsis thaliana protein match is: hydroxyproline-rich gl /.../tein family protein (TAIR:AT5G52430.1); Has 353 Blast hits to 231 proteins in 60 species: Archae - 0; Bacteria - 6; Metazoa - 57; Fungi - 22; Plants - 125; Viruses - 4; Other Eukaryotes - 139 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G76660) TAIR;Acc:AT1G76660] EQNRFPQQERRKRWGGCWGAFSCFGTQKGGKRIVPASRIPEGNTSATQPNGPQAVGLTNQTTTLAPSLLAPPSSPASFTNSALPSTAQSPSCFLSLSANSPGGPSSTMFATGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPFARFLTSSADLKSSDKNNYIAANDLQSTYSLYPGSPASSLISPISRTSGDCLSSSFPEREFHPQWDPSISPQNGKHSRSGSGRLFEHDVTGSSIVSQDTNFFCPATFAQFYLDQNPLPHAGGRLSVSKDSDVCPTSCSGHQNRQSRSPKQDVEELEAYRASFGFSADEIITTTQYVEITDVTEDSFTMTPFPTDKPSFEESVELTSIGKGLNTEKIEENLLAEKKRKSKPEFVNEVVHHDVQLSCNGFEGEVSPT >EOY15836 pep chromosome:Theobroma_cacao_20110822:8:4806001:4810503:1 gene:TCM_034789 transcript:EOY15836 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: plasma membrane; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; BEST Arabidopsis thaliana protein match is: hydroxyproline-rich gl /.../tein family protein (TAIR:AT5G52430.1); Has 353 Blast hits to 231 proteins in 60 species: Archae - 0; Bacteria - 6; Metazoa - 57; Fungi - 22; Plants - 125; Viruses - 4; Other Eukaryotes - 139 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G76660) TAIR;Acc:AT1G76660] MGSEQNRFPQQERRKRWGGCWGAFSCFGTQKGGKRIVPASRIPEGNTSATQPNGPQAVGLTNQTTTLAPSLLAPPSSPASFTNSALPSTAQSPSCFLSLSANSPGGPSSTMFATGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPFARFLTSSADLKSSDKNNYIAANDLQSTYSLYPGSPASSLISPISRTSGDCLSSSFPEREFHPQWDPSISPQNGKHSRSGSGRLFEHDVTGSSIVSQDTNFFCPATFAQFYLDQNPLPHAGGRLSVSKDSDVCPTSCSGHQNRQSRSPKQDVEELEAYRASFGFSADEIITTTQYVEITDVTEDSFTMTPFPTDKPSFEESVELTSIGKGLNTEKIEENLLAEKKRKSKPEFVNEVVHHDVQLSCNGFEDHKSRRQVSNVTGSNTPVNHTLTDEEGIYLKKCSSRSRKYHLGLSSSDAEIDYRRGRSLREGKGDFAWHN >EOY14710 pep chromosome:Theobroma_cacao_20110822:8:1048197:1051130:-1 gene:TCM_034002 transcript:EOY14710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 721, subfamily A, polypeptide 1, putative MNHLVLLFIAFLCICLLKFVYSIVWIPWKIQNHFKKQGVTGPGYRPIFGNSAEIRRLFAEAQSKPAPLHHHDVLQRVAPFYHRWSNMYGKPFLYWFGSKPRLGISDPAMIKEAAMNTGAAFHKVGFNPMSRMLFGQGLVGLEGEEWAVHRRIANQAFSMERVKGWVPEIVAATTKMLEKWEENRAGRDEFELEVNKELHDFSADVISRTAFGSSFEEGKRIFMLQEQQMKLFSLAVRSVYIPGFRFLPTRNNRERWRLEKETRESVRALIRANSNRREDSSSLLGLLMSSYKNQHDQEERLGEGDIIDECKTFYFAGKETAANALSWALLLLALNPEWQDKAREEVLRICGNDKLPVAENLNDLKIVTMIINETLRLYPAAVLMMRQAGKDVKLGKLNVPTGTQLYLALTAVHNDADIWGEDANKFNPSRFKESRKHLASFLPFGLGPRTCVGQNLAMAEFKIALAMIVRQYSLAVSPTYVHAPMLFITLQPQYGLQMLLTKL >EOY15782 pep chromosome:Theobroma_cacao_20110822:8:4635503:4636687:1 gene:TCM_034748 transcript:EOY15782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated family protein MKLILVTFLLVSLVLSSSFFEVSMAGSGFCDSKCKVRCSKAGAKDRCLKYCGICCKKCKCVPSGTYGNKQECPCYRDMKNSKGQLALAGLNCTQR >EOY15772 pep chromosome:Theobroma_cacao_20110822:8:4590325:4593739:1 gene:TCM_034738 transcript:EOY15772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin/t-SNARE family protein, putative MASSFDRWEKDPFFSVAEEVQESADRMESTYRTWIHAKKDVSSTWNLEELRRDLRTALGTTKWQLEEFEKAVQSSYGGSSSEDSRDRHHEFIVAIEDQISKIEKSLQESALSEGKALMPWVRLDEGECNELALFLSGPSVSGEKKLPPKSHGRENEITQGIDKESVAHCSKNPQSVEWSSSEAKGQKSHGHRRTASASADIGAWKIAIADDALQQNSSNGQPSIPPRKVPSLSGFLDSLDSVAKLKWPKNGIRKCKAVDRHHESDTELLRPPQLDRGINACYERSKSCLNCDDCYDKQLYGWYGAIQRQLQRSQYQMQYSRPIQLSVWIVLFLCLIVLIAFHTI >EOY17432 pep chromosome:Theobroma_cacao_20110822:8:20309811:20312142:1 gene:TCM_036597 transcript:EOY17432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 78, subfamily A, polypeptide 7 MGLAPISIDKTWWLSTLPAVVETQNLLDGFVLFSIFVAVLLAGIFTWASSPGGLAWKNGRNQLGQVPIPGPRGLPLFGSLFSLSHGLAHRTLSCMASSQAATTKLMAISLGSTPAVITSDPQIAREILTSPHFANRPVKSSAKKLMFSRAIGFAPNGTYWRLLRRISSTHLFAPKRIAAHESGRQIGCDAMLCAIAKEQSFNGNVSLRKHLQAAALNNIMGTVFGKRYDLIENNDEAKELQEIVREGFGILGAFNWSDYLPWLSNFYDPFRINERCSILVPRVKKLVYQIIEEHRFNQSRNAADSSDFVDVLLSIDGDEKLKDEDMVAVLWEMIFRGTDTTALLTEWIMAELVLNPDIQFKLHQELVLAVGDITVTDADVAKSPYLQAVVKETLRLHPPGPLLSWARMSTSDVHLSNGMVVPDDTTAMVNMWAITHDPNVWEDPHVFKPERFLEGGADQVDVRGGDLRLAPFGAGRRACPGRNLGLVTVGLWVARLVQHFKWVQDVANPVDLTEVLKLSCEMKNPLCAVAIPRD >EOY16362 pep chromosome:Theobroma_cacao_20110822:8:6860420:6866267:1 gene:TCM_035164 transcript:EOY16362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase isoform 1 MGRWFLFLLGLLLTVSGGRGSGGNVTYDGRSLIIDGQHKILFSGSIHYPRSTPQMWSSLIAKAKAGGLDVIETLVFWNLHEPQPGQFDFSGRRDIVRFIKEIQAQGLYACIRIGPFIQGEWSYGGLPFWLHDIPGIVYRSDNEPFKYQMQKFVSKIVSMMRAENLYASQGGPIILSQIENEYGMVQAAFREKGPTYLRWAAEMAVGLQTGVPWVMCKQDDAPDPVINACNGRRCGETFAGPNSPNKPAIWTENWTSFYQVYGDDVDIRSAEDIAFHVALFIAKKGSYVNYYMYHGGTNFGRNAAAYMLTGYYDQAPLDEYGLFRQPKWGHLKELHAAIKLCSKPLISGVYTTMALGRSQQAFVYRGNSVDCAAFLVNNDTRKNVGVTFLNSFYELPPKSISILPDCKTEAFNTAKVVSTQYNTRAVETRQKLDSIEKWEEFKEAIPTFEKTSLRANILLEHMNTTKDTSDYLWYTFRFQNDFSDAQYVLNVTSSAHVLHAFVNGASVGFTHGSYKTKTPNLERKVTLSNGTNHISLLSGMVGLPDSGAYLERRVAGVSRVIIKGEHEIKDFTSYSWGYQVGLLGEKLQVYTDFGSSKIQWNTYGSSTHRTLTWYKTLFDAPVGKDPVALNLESMGKGEAWVNGQSIGRYWVSFLTPKGSPSQTW >EOY16361 pep chromosome:Theobroma_cacao_20110822:8:6859157:6866438:1 gene:TCM_035164 transcript:EOY16361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase isoform 1 MGRWFLFLLGLLLTVSGGRGSGGNVTYDGRSLIIDGQHKILFSGSIHYPRSTPQMWSSLIAKAKAGGLDVIETLVFWNLHEPQPGQFDFSGRRDIVRFIKEIQAQGLYACIRIGPFIQGEWSYGGLPFWLHDIPGIVYRSDNEPFKYQMQKFVSKIVSMMRAENLYASQGGPIILSQIENEYGMVQAAFREKGPTYLRWAAEMAVGLQTGVPWVMCKQDDAPDPVINACNGRRCGETFAGPNSPNKPAIWTENWTSFYQVYGDDVDIRSAEDIAFHVALFIAKKGSYVNYYMYHGGTNFGRNAAAYMLTGYYDQAPLDEYGLFRQPKWGHLKELHAAIKLCSKPLISGVYTTMALGRSQQAFVYRGNSVDCAAFLVNNDTRKNVGVTFLNSFYELPPKSISILPDCKTEAFNTAKVSTQYNTRAVETRQKLDSIEKWEEFKEAIPTFEKTSLRANILLEHMNTTKDTSDYLWYTFRFQNDFSDAQYVLNVTSSAHVLHAFVNGASVGFTHGSYKTKTPNLERKVTLSNGTNHISLLSGMVGLPDSGAYLERRVAGVSRVIIKGEHEIKDFTSYSWGYQVGLLGEKLQVYTDFGSSKIQWNTYGSSTHRTLTWYKTLFDAPVGKDPVALNLESMGKGEAWVNGQSIGRYWVSFLTPKGSPSQTWYNVPRSFLKPTNNLLVILEEQNGYPLGISVDTISITKVCGHVSDSHLPPVISWRGQNKTEEKNHEKHHGRRPKVQLRCPPGRNISSILFSSYGNPSGDCGSYAIGSCHSSNSLAIVEEACLGKRICSIPVWSQKFGDDPCPGIQKTLLVDAQCT >EOY16120 pep chromosome:Theobroma_cacao_20110822:8:5860307:5865724:1 gene:TCM_034990 transcript:EOY16120 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II protein isoform 2 MMSKKASNCAICDNSNRASICAVCVNYRLNEYNSLLKSLKSRRDFLYSKLDEVLAAKRKADDQLNWKILQNEKLTDLKEKLRRSKEQLAQGKAKIERVSYDLKVKYGVLESARGMLEKNRVEKLEKFYPNLICTQSLGLMAITSERLHKQSVVIKQICKLFPQRRVNLDGEGRDGSCGQYDLICNVGLPRGLDPHSVPSEQLAASLGYMVQLLNLVVHNLAAPALHNSGFAGSCSRIWQRDSYWNARPSSRSNEYPLFIPRQNYCSTSGDNSWTDRSSSNFGVASMESERRPRLDSSGSNSFNYSSASSHTVETHKDLQIGISLLKKSVACITAFCYNSLCLDVPTEASTFEAFSKLLATLSSTKEVRSVFSLKMACSRSSKQAQQLNKSVWNVNSAMSSSMLLESAHMLPLTKNLSDHNLPSSAASFLFATEMPDIGKNESLIEEWDLVEHPTFPPPPSQTEDVEHWTRAMFIDATKR >EOY16121 pep chromosome:Theobroma_cacao_20110822:8:5860356:5864618:1 gene:TCM_034990 transcript:EOY16121 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II protein isoform 2 MMSKKASNCAICDNSNRASICAVCVNYRLNEYNSLLKSLKSRRDFLYSKLDEVLAAKRKADDQLNWKILQNEKLTDLKEKLRRSKEQLAQGKAKIERVSYDLKVKYGVLESARGMLEKNRVEKLEKFYPNLICTQSLGLMAITSERLHKQSVVIKQICKLFPQRRVNLDGEGRDGSCGQYDLICNVGLPRGLDPHSVPSEQLAASLGYMVQLLNLVVHNLAAPALHNSGFAFYLRGLAHGYGNEILIGMHALLLEAMNIHFLYRAKIIALLVGIIHGQIEALVILVSLQWNPREGPAWIHLEVIASIILLPLLTLLKHIRTCR >EOY15757 pep chromosome:Theobroma_cacao_20110822:8:4539157:4542872:-1 gene:TCM_034726 transcript:EOY15757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 35 protein, putative MKVHGIWVFFFVVGSALLVFTFSSSSSIPGMEFSQLGNGVTITGTSRKLKGNGYNLINEKKTNVHLEDYHPIDPVPSSKASIKPGPIEHGSPLIPFIPKPSPPAHPNSGGST >EOY16402 pep chromosome:Theobroma_cacao_20110822:8:7023507:7026262:1 gene:TCM_035198 transcript:EOY16402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MIKVFKCHNKLLLGWIVLVRRSYSSEPTRDVLDTSKKICKIMMSSSPVVLNTALDQSGLRVPPEVVEDVLKRFENAGMLAYRFFEWAEKQRNYMHSIRAYHTMIESLAKIRQYQIMWDLVNKMRNKSMLNVETFCIIMRRYARVQKVEETVYTFNIMEKYDVPPNLAAFNGLLSTLCKSKNVRKAQEIFDKMKDRFVPDSKTYSILLEGWGRAPNLPKAREIFREMVDMGCDPDIVTYGIMVDILCKSGRVDEAIEIVREMDSNGCRPTSFIYSVLVHTYGIENRIEDAVDAFLEMERNGIKADVVVYNALIGAFCKVNKLKNVYRVLNEMDSKGVAPNARTCNIILNSLIGRGETDEAFKVFRRMIKECEPDADTYTIMIKMFCDRDELDMAFKVWKYMRLKQFIPTMHTFSVLIHGLCEKGNAAKACILLEEMLEKGIQPSGLTFGRLRQLLIKEGREDVLKFLQEKMNLLIKEPLYD >EOY16398 pep chromosome:Theobroma_cacao_20110822:8:7023505:7026540:1 gene:TCM_035198 transcript:EOY16398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MIKVFKCHNKLLLGWIVLVRRSYSSEPTRDVLDTSKKICKIMMSSSPVVLNTALDQSGLRVPPEVVEDVLKRFENAGMLAYRFFEWAEKQRNYMHSIRAYHTMIESLAKIRQYQIMWDLVNKMRNKSMLNVETFCIIMRRYARVQKVEETVYTFNIMEKYDVPPNLAAFNGLLSTLCKSKNVRKAQEIFDKMKDRFVPDSKTYSILLEGWGRAPNLPKAREIFREMVDMGCDPDIVTYGIMVDILCKSGRVDEAIEIVREMDSNGCRPTSFIYSVLVHTYGIENRIEDAVDAFLEMERNGIKADVVVYNALIGAFCKVNKLKNVYRVLNEMDSKGVAPNARTCNIILNSLIGRGETDEAFKVFRRMIKECEPDADTYTIMIKMFCDRDELDMAFKVWKYMRLKQFIPTMHTFSVLIHGLCEKGNAAKACILLEEMLEKGIQPSGLTFGRLRQLLIKEGREDVLKFLQEKMNLLIKEPLYD >EOY16400 pep chromosome:Theobroma_cacao_20110822:8:7023669:7026640:1 gene:TCM_035198 transcript:EOY16400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MIKVFKCHNKLLLGWIVLVRRSYSSEPTRDVLDTSKKICKIMMSSSPVVLNTALDQSGLRVPPEVVEDVLKRFENAGMLAYRFFEWAEKQRNYMHSIRAYHTMIESLAKIRQYQIMWDLVNKMRNKSMLNVETFCIIMRRYARVQKVEETVYTFNIMEKYDVPPNLAAFNGLLSTLCKSKNVRKAQEIFDKMKDRFVPDSKTYSILLEGWGRAPNLPKAREIFREMVDMGCDPDIVTYGIMVDILCKSGRVDEAIEIVREMDSNGCRPTSFIYSVLVHTYGIENRIEDAVDAFLEMERNGIKADVVVYNALIGAFCKVNKLKNVYRVLNEMDSKGVAPNARTCNIILNSLIGRGETDEAFKVFRRMIKECEPDADTYTIMIKMFCDRDELDMAFKVWKYMRLKQFIPTMHTFSVLIHGLCEKGNAAKACILLEEMLEKGIQPSGLTFGRLRQLLIKEGREDVLKFLQEKMNLLIKEPLYD >EOY16401 pep chromosome:Theobroma_cacao_20110822:8:7023669:7026902:1 gene:TCM_035198 transcript:EOY16401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MIKVFKCHNKLLLGWIVLVRRSYSSEPTRDVLDTSKKICKIMMSSSPVVLNTALDQSGLRVPPEVVEDVLKRFENAGMLAYRFFEWAEKQRNYMHSIRAYHTMIESLAKIRQYQIMWDLVNKMRNKSMLNVETFCIIMRRYARVQKVEETVYTFNIMEKYDVPPNLAAFNGLLSTLCKSKNVRKAQEIFDKMKDRFVPDSKTYSILLEGWGRAPNLPKAREIFREMVDMGCDPDIVTYGIMVDILCKSGRVDEAIEIVREMDSNGCRPTSFIYSVLVHTYGIENRIEDAVDAFLEMERNGIKADVVVYNALIGAFCKVNKLKNVYRVLNEMDSKGVAPNARTCNIILNSLIGRGETDEAFKVFRRMIKECEPDADTYTIMIKMFCDRDELDMAFKVWKYMRLKQFIPTMHTFSVLIHGLCEKGNAAKACILLEEMLEKGIQPSGLTFGRLRQLLIKEGREDVLKFLQEKMNLLIKEPLYD >EOY16399 pep chromosome:Theobroma_cacao_20110822:8:7023669:7026902:1 gene:TCM_035198 transcript:EOY16399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MIKVFKCHNKLLLGWIVLVRRSYSSEPTRDVLDTSKKICKIMMSSSPVVLNTALDQSGLRVPPEVVEDVLKRFENAGMLAYRFFEWAEKQRNYMHSIRAYHTMIESLAKIRQYQIMWDLVNKMRNKSMLNVETFCIIMRRYARVQKVEETVYTFNIMEKYDVPPNLAAFNGLLSTLCKSKNVRKAQEIFDKMKDRFVPDSKTYSILLEGWGRAPNLPKAREIFREMVDMGCDPDIVTYGIMVDILCKSGRVDEAIEIVREMDSNGCRPTSFIYSVLVHTYGIENRIEDAVDAFLEMERNGIKADVVVYNALIGAFCKVNKLKNVYRVLNEMDSKGVAPNARTCNIILNSLIGRGETDEAFKVFRRMIKECEPDADTYTIMIKMFCDRDELDMAFKVWKYMRLKQFIPTMHTFSVLIHGLCEKGNAAKACILLEEMLEKGIQPSGLTFGRLRQLLIKEGREDVLKFLQEKMNLLIKEPLYD >EOY15831 pep chromosome:Theobroma_cacao_20110822:8:4794103:4803002:1 gene:TCM_034786 transcript:EOY15831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Set domain protein, putative isoform 5 MVSSTSPFDEYDHVHDDPFFSRKRLKVSDRRSNIYTGLSPDSASSICGDERSATEMSCQSNGNSSGVPQSCNDGGGSCQDKSYSSYAPSSFASGWMYVNEHGQMCGPYIQQQLYEGLSTGFLPDELPVYPVVNGTVSNPVPLKYFRQFPGHVATGFVYLSSTTASNCFKSSHTNFQHTLSQSQINRNGFDASNDLISSSLLQSGEDACWLYEDDKSTKHGPHSLLQLYSWHRYGYLADSVMIHHAENRFRPIKLLSVLNAWKGSQAYAAENERDLSVNFISDISEEVSSQLHSGIMKAARRVVLDEIISNMISEFVTAKKSQRHLMVESFNQDAKRFPDGKRIENAPEIKMQCIPMFETAASHNVSDQPCIQESTCSPASIKYVGSIENFWGSYTVVCKMLFDYCMQVMWNAVFYDSIAEYSSSWRRGKLWFGHPNVMLSATDSRDHGNETEKVTDKPLLSGMELIAHDVDCPPGFELATVAGVDSAEKSSTSSYVVQQILSKQKTRLCNNGLYDDMECILEGVENELHLSVKVFMAKYVDNFVKSEARRVIGLENDDKSKENLDDEEAEKSVNFSIDDELKELQKLQDAVGSSSQCHLALEFDTLDICGEKRVSLSRMSDLSGNLQNPLQSWTPICQSVSENLYVTRQETFMAGAFKSLFSHLGDVIDELEVDEPPPPGLEGNAGTLVPSHLCKFRPSRSDERSPKIGEYVAVAMCRQKLHEDVLREWKSSFIDATLYQFLTSWRSLKKRCKADSKEERAFSVGREILADSSAIGDKLRERSKKSQSSGSSEVSLVTGKYTYYRKKKLVRKKIGSTQSTIVNGSQNHPVERPRKKEASRNLLDHADPEPTAATSKKVGINKSASQSSTVSRSSKTIAKSSLLNDHSILKSAGGRKKTKVTLAVQKNLVGEGAVQVSRERASTSQNCDVKKVVGRTNHIVGSEVELTNDSHKKTLKAPKVSRVKRKQLDNDEPPLLPTKVQKVANSASKHPSSRGNADRNTHSIRSRTANSCPRSDGCARSSINGWEWHKWSLNASPAERARVRGIQCTHMKYSGSEVNNMMQLSNGKGLSARTNRVKLRNLLAAAEGADLLKATQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIREHYYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYTKVISVEGQKKIFIYAKRHIAAGEEITYNYKFPLEEKKIPCNCGSKKCRGSLN >EOY15833 pep chromosome:Theobroma_cacao_20110822:8:4794098:4803002:1 gene:TCM_034786 transcript:EOY15833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Set domain protein, putative isoform 5 MVSSTSPFDEYDHVHDDPFFSRKRLKVSDRRSNIYTGLSPDSASSICGDERSATEMSCQSNGNSSGVPQSCNDGGGSCQDKSYSSYAPSSFASGWMYVNEHGQMCGPYIQQQLYEGLSTGFLPDELPVYPVVNGTVSNPVPLKYFRQFPGHVATGFVYLSSTTASNCFKSSHTNFQHTLSQSQINRNGFDASNDLISSSLLQSGEDACWLYEDDKSTKHGPHSLLQLYSWHRYGYLADSVMIHHAENRFRPIKLLSVLNAWKGSQAYAAENERDLSVNFISDISEEVSSQLHSGIMKAARRVVLDEIISNMISEFVTAKKSQRHLMVESFNQDAKRFPDGKRIENAPEIKMQCIPMFETAASHNVSDQPCIQESTCSPASIKYVGSIENFWGSYTVVCKMLFDYCMQVMWNAVFYDSIAEYSSSWRRGKLWFGHPNVMLSATDSRDHGNETEKVTDKPLLSGMELIAHDVDCPPGFELATVAGVDSAEKSSTSSYVVQQILSKQKTRLCNNGLYDDMECILEGVENELHLSVKVFMAKYVDNFVKSEARRVIGLENDDKSKENLDDEEAEKSVNFSIDDELKELQKLQDAVGSSSQCHLALEFDTLDICGEKRVSLSRMSDLSGNLQNPLQSWTPICQSVSENLYVTRQETFMAGAFKSLFSHLGDVIDELEVDEPPPPGLEGNAGTLVPSHLCKFRPSRSDERSPKIGEYVAVAMCRQKLHEDVLREWKSSFIDATLYQFLTSWRSLKKRCKADSKEERAFSVGREILADSSAIGDKLRERSKKSQSSGSSEVSLVTGKYTYYRKKKLVRKKIGSTQSTIVNGSQNHPVERPRKKEASRNLLDHADPEPTAATSKKVGINKSASQSSTVSRSSKTIAKSSLLNDHSILKSAGGRKKTKVTLAVQKNLVGEGAVQVSRERASTSQNCDVKKVVGRTNHIVGSEVELTNDSHKKTLKAPKVSRVKRKQLDNDEPPLLPTKVQKVANSASKHPSSRGNADRNTHSIRSRTANSCPRSDGCARSSINGWEWHKWSLNASPAERARVRGIQCTHMKYSGSEVNNMMQLSNGKGLSARTNRVKLRNLLAAAEGADLLKATQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIREHYYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYTKVISVEGQKKIFIYAKRHIAAGEEITYNYKFPLEEKKIPCNCGSKKCRGSLN >EOY15835 pep chromosome:Theobroma_cacao_20110822:8:4794350:4803002:1 gene:TCM_034786 transcript:EOY15835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Set domain protein, putative isoform 5 MIHHAENRFRPIKLLSVLNAWKGSQAYAAENERDLSVNFISDISEEVSSQLHSGIMKAARRVVLDEIISNMISEFVTAKKSQRHLMVESFNQDAKRFPDGKRIENAPEIKMQCIPMFETAASHNVSDQPCIQESTCSPASIKYVGSIENFWGSYTVVCKMLFDYCMQVMWNAVFYDSIAEYSSSWRRGKLWFGHPNVMLSATDSRDHGNETEKVTDKPLLSGMELIAHDVDCPPGFELATVAGVDSAEKSSTSSYVVQQILSKQKTRLCNNGLYDDMECILEGVENELHLSVKVFMAKYVDNFVKSEARRVIGLENDDKSKENLDDEEAEKSVNFSIDDELKELQKLQDAVGSSSQCHLALEFDTLDICGEKRVSLSRMSDLSGNLQNPLQSWTPICQSVSENLYVTRQETFMAGAFKSLFSHLGDVIDELEVDEPPPPGLEGNAGTLVPSHLCKFRPSRSDERSPKIGEYVAVAMCRQKLHEDVLREWKSSFIDATLYQFLTSWRSLKKRCKADSKEERAFSVGREILADSSAIGDKLRERSKKSQSSGSSEVSLVTGKYTYYRKKKLVRKKIGSTQSTIVNGSQNHPVERPRKKEASRNLLDHADPEPTAATSKKVGINKSASQSSTVSRSSKTIAKSSLLNDHSILKSAGGRKKTKVTLAVQKNLVGEGAVQVSRERASTSQNCDVKKVVGRTNHIVGSEVELTNDSHKKTLKAPKVSRVKRKQLDNDEPPLLPTKVQKVANSASKHPSSRGNADRNTHSIRSRTANSCPRSDGCARSSINGWEWHKWSLNASPAERARVRGIQCTHMKYSGSEVNNMMQLSNGKGLSARTNRVKLRNLLAAAEGADLLKATQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIREHYYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYTKVISVEGQKKIFIYAKRHIAAGEEITYNYKFPLEEKKIPCNCGSKKCRGSLN >EOY15834 pep chromosome:Theobroma_cacao_20110822:8:4794647:4799670:1 gene:TCM_034786 transcript:EOY15834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Set domain protein, putative isoform 5 MVSSTSPFDEYDHVHDDPFFSRKRLKVSDRRSNIYTGLSPDSASSICGDERSATEMSCQSNGNSSGVPQSCNDGGGSCQDKSYSSYAPSSFASGWMYVNEHGQMCGPYIQQQLYEGLSTGFLPDELPVYPVVNGTVSNPVPLKYFRQFPGHVATGFVYLSSTTASNCFKSSHTNFQHTLSQSQINRNGFDASNDLISSSLLQSGEDACWLYEDDKSTKHGPHSLLQLYSWHRYGYLADSVMIHHAENRFRPIKLLSVLNAWKGSQAYAAENERDLSVNFISDISEEVSSQLHSGIMKAARRVVLDEIISNMISEFVTAKKSQRHLMVESFNQDAKRFPDGKRIENAPEIKMQCIPMFETAASHNVSDQPCIQESTCSPASIKYVGSIENFWGSYTVVCKMLFDYCMQVMWNAVFYDSIAEYSSSWRRGKLWFGHPNVMLSATDSRDHGNETEKVTDKPLLSGMELIAHDVDCPPGFELATVAGVDSAEKSSTSSYVVQQILSKQKTRLCNNGLYDDMECILEGVENELHLSVKVFMAKYVDNFVKSEARRVIGLENDDKSKENLDDEEAEKSVNFSIDDELKELQKLQDAVGSSSQCHLALEFDTLDICGEKRVSLSRMSDLSGNLQNPLQSWTPICQSVSENLYVTRQETFMAGAFKSLFSHLGDVIDELEVDEPPPPGLEGNAGTLVPSHLCKFRPSRSDERSPKIGEYVAVAMCRQKLHEDVLREWKSSFIDATLYQFLTSWRSLKKRCKADSKEERAFSVGREILADSSAIGDKLRERSKKSQSSGSSEVSLVTGKYTYYRKKKLVRKKIGSTQSTIVNGSQNHPVERPRKKEASRNLLDHADPEPTAATSKKVGINKSASQSSTVSRSSKTIAKSSLLNDHSILKSAGGRKKTKVTLAVQKNLVGEGAVQVSRERASTSQNCDVKKVVGRTNHIVGSEVELTNDSHKKTLKAPKVSRVKRKQLDNDEPPLLPTKVQKVANSASKHPSSRGNADRNTHSIRSRTANSCPRSDGCARSSINGWEWHKWSLNASPAERARVRGIQCTHMKYSGSEVNNMMQLSNGKGLSARTNRVKLRNLLAAAEGADLLKATQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIREHYYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYTKVISVEGQKKIFIYAKRHIAAGEEITYNYKFPLEEKKIPCNCGSKK >EOY15832 pep chromosome:Theobroma_cacao_20110822:8:4794103:4803002:1 gene:TCM_034786 transcript:EOY15832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Set domain protein, putative isoform 5 MVSSTSPFDEYDHVHDDPFFSRKRLKVSDRRSNIYTGLSPDSASSICGDERSATEMSCQSNGNSSGVPQSCNDGGGSCQDKSYSSYAPSSFASGWMYVNEHGQMCGPYIQQQLYEGLSTGFLPDELPVYPVVNGTVSNPVPLKYFRQFPGHVATGFVYLSSTTASNCFKSSHTNFQHTLSQSQINRNGFDASNDLISSSLLQSGEDACWLYEDDKSTKHGPHSLLQLYSWHRYGYLADSVMIHHAENRFRPIKLLSVLNAWKGSQAYAAENERDLSVNFISDISEEVSSQLHSGIMKAARRVVLDEIISNMISEFVTAKKSQRHLMVESFNQDAKRFPDGKRIENAPEIKMQCIPMFETAASHNVSDQPCIQESTCSPASIKYVGSIENFWGSYTVVCKMLFDYCMQVMWNAVFYDSIAEYSSSWRRGKLWFGHPNVMLSATDSRDHGNETEKVTDKPLLSGMELIAHDVDCPPGFELATVAGVDSAEKSSTSSYVVQQILSKQKTRLCNNGLYDDMECILEGVENELHLSVKVFMAKYVDNFVKSEARRVIGLENDDKSKENLDDEEAEKSVNFSIDDELKELQKLQDAVGSSSQCHLALEFDTLDICGEKRVSLSRMSDLSGNLQNPLQSWTPICQSVSENLYVTRQETFMAGAFKSLFSHLGDVIDELEVDEPPPPGLEGNAGTLVPSHLCKFRPSRSDERSPKIGEYVAVAMCRQKLHEDVLREWKSSFIDATLYQFLTSWRSLKKRCKADSKEERAFSVGREILADSSAIGDKLRERSKKSQSSGSSEVSLVTGKYTYYRKKKLVRKKIGSTQSTIVNGSQNHPVERPRKKEASRNLLDHADPEPTAATSKKVGINKSASQSSTVSRSSKTIAKSSLLNDHSILKSAGGRKKTKVTLAVQKNLVGEGAVQVSRERASTSQNCDVKKVVGRTNHIVGSEVELTNDSHKKTLKAPKVSRVKRKQLDNDEPPLLPTKVQKVANSASKHPSSRGNADRNTHSIRSRTANSCPRSDGCARSSINGWEWHKWSLNASPAERARVRGIQCTHMKYSGSEVNNMMQLSNGKGLSARTNRVKLRNLLAAAEGADLLKATQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIREHYYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYTKVISVEGQKKIFIYAKRHIAAGEEITYNYKFPLEEKKIPCNCGSKKCRGSLN >EOY16744 pep chromosome:Theobroma_cacao_20110822:8:10760775:10765408:-1 gene:TCM_035623 transcript:EOY16744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein, putative MASSKVMPTSNSRNSDLSRRSASSSSSTKPQSFSDQSNINNSNTNRLSSTMTVDGILRNVYSAAPTTETTLVDASITLIDTPTPTTAGGNSEVSQGQTVADCSNNVAKSVDEVWREIVSGERKEITMKEEAPDEMMTLEDFLAKAGAVEDAAAAATAEVKVQQDRMSGGVYAYDPVGGSPFQMLDKMEGSIVGLNGMEVIGSGGGAGGGGRGKRGRGVLMEPLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVKLEEENEQLLKEKAERTKERFKQLMEKVVPVVEQRRPPRVLRRVRSLQW >EOY15226 pep chromosome:Theobroma_cacao_20110822:8:2639214:2642214:1 gene:TCM_034368 transcript:EOY15226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSLTVKPASFVLQSTSTVLSSCKPKQCLPSVSFPRKIKSSRRLGLRFEAYDSSNSDESSKSSGDSKPTNGTLPKTRRDILLEYVKNVQPEFMELFVKRAPQQVVEAMRQTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVMMTGYMFRNAQYRLELQQSIEQAALPEVQEEKDVPEYAPGTQKNVSGEVIRWNNVSGPEKIDAKKYIELLEAEIEELNRQVGRKSANGQNELLEFLKSLEPQNLKELTSSAGEDVVFAMNTFIKRLLAVSDPDQMKASVTETSAPELAKLLCWLMVVGYSIRNIEVRFDMERVLGTPPKPAELPPGENI >EOY16471 pep chromosome:Theobroma_cacao_20110822:8:7317497:7319211:-1 gene:TCM_035235 transcript:EOY16471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIQGHNLMVRPWYPPEFQDLFVAAAWVKFQGMPFHLYHESVLKQIASLLGKLLKIDYNMSSEKRGKFSRIAIELDLSKPLLPRVYSHVKETRPKQTFELTDVIWDDENMEKTQSQSFPFGPWMLGPWLTLTKSSSQKVIERDKTSGKESDIQKIVEMGPSVPVLGAPSLSEVKSNESAPMIQPEQHCEE >EOY16711 pep chromosome:Theobroma_cacao_20110822:8:10191541:10195044:1 gene:TCM_035569 transcript:EOY16711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSKKPNLFPRMGCSLGDVTYYGVLQDIIELDYYRHFNVAVLKCDWFQVKQDEFGFSLVNFMRLTSQNDPFVLASHVKQVFYIQDLIGMLLSSLVVQETYMICLDQMKNMHSNS >EOY16965 pep chromosome:Theobroma_cacao_20110822:8:15465658:15467549:1 gene:TCM_036047 transcript:EOY16965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light harvesting complex of photosystem II 5 isoform 2 MASLAASTAAASLGVSEMLGTPLNFSAAARSSAPTASNAASFKTVALFSKKKAAPPKAKTASVSPADEELAKWYGPDRRIFLPEGLLDRSEIPEYLTGEVPGDYGYDPFGLSRKPENFAKYQAYELIHGRWAMLGAAGFIIPEAFNKFGANCGPEAVWFKTGALLLDGNTLNYFGRNIPINLVVAVIAEIILVGGAEYYRIINVLDLEDKLHPGGPFDPLGLAKDPDQAALLKVKEIKNGRLAMFAMLGFYFQAYVTGEGPVENLAKHLSDPFGNNLLTVIAGSAERAPTL >EOY16966 pep chromosome:Theobroma_cacao_20110822:8:15465968:15467596:1 gene:TCM_036047 transcript:EOY16966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light harvesting complex of photosystem II 5 isoform 2 KKKAAPPKAKTASVSPADEELAKWYGPDRRIFLPEGLLDRSEIPEYLTGEVPGEKPENFAKYQAYELIHGRWAMLGAAGFIIPEAFNKFGANCGPEAVWFKTGALLLDGNTLNYFGRNIPINLVVAVIAEIILVGGAEYYRIINVLDLEDKLHPGGPFDPLGLAKDPDQAALLKVKEIKNGRLAMFAMLGFYFQAYVTGEGPVENLAKHLSDPFGNNLLTVIAGSAERAPTL >EOY17238 pep chromosome:Theobroma_cacao_20110822:8:18583896:18586687:1 gene:TCM_036386 transcript:EOY17238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPFRFPCLVVKQEGGIGILRNRNSSNMALGWEWLIPALPPIQPSRKSYSLSTDRLPLLYQAPFPCVHLLSQPIILPPSSSPLSAGHLPLSQPWVFPSLSPVGLPSLSAALTRGSALSLSRSPVGLSLSLSLSPVGLPFSLSRSPVTVFWVAFKEGGNAGWIAFLLDFLLPPFSLVIWIVIN >EOY17281 pep chromosome:Theobroma_cacao_20110822:8:18983960:18986257:-1 gene:TCM_036432 transcript:EOY17281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative MIGNGSSRLGLRGANHQQLTSTRRGLRIPIICRCFPNFTTHYALLGLTPFASKSDVKQAYKRLALKYHPDVYKGEDVPGKDKAFREIKSAYECLMQKYEADELQTEKDFDEYDDWEEWMGFEGGIPVTINPF >EOY16384 pep chromosome:Theobroma_cacao_20110822:8:6963649:6964674:1 gene:TCM_035183 transcript:EOY16384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTTLIALDSGMLSVPSEIVFDLVGAKANLIALDCEMLSVDAVVVGSVEDSEIDAFDSVGAMVVSSDAVAAAAGECPLIA >EOY14614 pep chromosome:Theobroma_cacao_20110822:8:720032:720543:-1 gene:TCM_033929 transcript:EOY14614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQWKLGMREGRWCTLVLMRVIQVVWAVKNRSEPKEIHIIRTQELIETRGGRILGLSHQNRVPLRADCCWKLEPHACLAINSNHHSLSATCLVQRSTVKGPSPS >EOY16528 pep chromosome:Theobroma_cacao_20110822:8:8100488:8107251:-1 gene:TCM_035324 transcript:EOY16528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein MNAQGEAIPIVVRKFCYVSFYPNSNLTDPFAASTSSFSPPGGDAEAEALPLSPPADAPQLLPSTFEPPPQQPPQSDLMISSRNPDALFSGGGISFLTGSQSAKFSYGYSSFKGKRASMEDFYETRISEVDGQMVAFFGVFDGHGGSRTAEYLKNNLFKNLSTHPDFMKDTKTAIFEAFKQTDEDYLNEEKDQQKDAGSTASTAVLLGDRLLVANVGDSRVVACMAGSAVPLSTDHKPDRSDERQRIEEAGGFIIWAGTWRVGGVLAVSRAFGDKLLKPYVVAEPEIQEEEIGGVDFIIIASDGLWNVISNKDAVALVQDVTDAEAAARKLIKEAYARGSSDNITCVVVRFDSS >EOY17439 pep chromosome:Theobroma_cacao_20110822:8:20454709:20457746:-1 gene:TCM_036608 transcript:EOY17439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 14, putative isoform 1 MGLISGFLVFMILGSPLFSKAEGATHNYLWVVKETNFTKLCNTSTVLTVNDSFPGPEIHANKGDTVFVTVQNDGPYGITIHWHGVRMLRNPWSDGPEYITQCPIKPGGNFTQEINLSTEEGTLWWHAHSDWSRATVHGAIKVFPANGTSYPYDEPDGDQTIVFASWYKSNVMDVLNESLQTGGDPNVSDAYTINGEPGDLYDCSNETMYSLLVETGKTYLLRIINSILNEEMFFAIANHNMTVVGSDGAYVKPFSTDYLFITPGQTMDVLLTANQTASYYYMVLTPFLDTDSSYDNTTSRALIKYSGNYTTPSTIPTPTFPNISDSISAQLFVVRLKSLADAAHPINVPQNITRQIFMTVSVNLFACPNSTGCTVDGDNKLAASLNNNSFLSPSTALLQEYYDNNYNLSHLVGELPNKPPTPFNYTTVANMSAYTEEGRLVITLNYGDEVEIVFQGTNIGATQNHPMHLHGYSFYLVGTGAGDFDNGTDPGRFNLVDPPEVNTIAVPRKGWSAIRFKADNPGVWFMHCHFERHTTWGMSTTVIVKNGATNATSMRPPPSYMPPCS >EOY17438 pep chromosome:Theobroma_cacao_20110822:8:20454708:20457701:-1 gene:TCM_036608 transcript:EOY17438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 14, putative isoform 1 MGLISGFLVFMILGSPLFSKAEGATHNYLWVVKETNFTKLCNTSTVLTVNDSFPGPEIHANKGDTVFVTVQNDGPYGITIHWHGVRMLRNPWSDGPEYITQCPIKPGGNFTQEINLSTEEGTLWWHAHSDWSRATVHGAIKVFPANGTSYPYDEPDGDQTIVFASWYKSNVMDVLNESLQTGGDPNVSDAYTINGEPGDLYDCSNETMYSLLVETGKTYLLRIINSILNEEMFFAIANHNMTVVGSDGAYVKPFSTDYLFITPGQTMDVLLTANQTASYYYMVLTPFLDTDSSYDNTTSRALIKYSGNYTTPSTIPTPTFPNISDSISAQLFVVRLKSLADAAHPINVPQNITRQIFMTVSVNLFACPNSTGCTVDGDNKLAASLNNNSFLSPSTALLQEYYDNNYNLSHLVGELPNKPPTPFNYTTVANMSAYTEEGRLVITLNYGDEVEIVFQGTNIGATQNHPMHLHGYSFYLVGTGAGDFDNGTDPGRFNLVDPPEVNTIAVPRKGWSAIRFKADNPGVWFMHCHFERHTTWGMSTTVIVKNGATNATSMRPPPSYMPPCS >EOY17440 pep chromosome:Theobroma_cacao_20110822:8:20454719:20460083:-1 gene:TCM_036608 transcript:EOY17440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 14, putative isoform 1 MLRNPWSDGPEYITQCPIKPGGNFTQEINLSTEEGTLWWHAHSDWSRATVHGAIKVFPANGTSYPYDEPDGDQTIVFASWYKSNVMDVLNESLQTGGDPNVSDAYTINGEPGDLYDCSNETMYSLLVETGKTYLLRIINSILNEEMFFAIANHNMTVVGSDGAYVKPFSTDYLFITPGQTMDVLLTANQTASYYYMVLTPFLDTDSSYDNTTSRALIKYSGNYTTPSTIPTPTFPNISDSISAQLFVVRLKSLADAAHPINVPQNITRQIFMTVSVNLFACPNSTGCTVDGDNKLAASLNNNSFLSPSTALLQEYYDNNYNLSHLVGELPNKPPTPFNYTTVANMSAYTEEGRLVITLNYGDEVEIVFQGTNIGATQNHPMHLHGYSFYLVGTGAGDFDNGTDPGRFNLVDPPEVNTIAVPRKGWSAIRFKADNPGVWFMHCHFERHTTWGMSTTVIVKNGATNATSMRPPPSYMPPCS >EOY15721 pep chromosome:Theobroma_cacao_20110822:8:4367428:4372592:-1 gene:TCM_034699 transcript:EOY15721 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger transcription factor MIFFEAEFCPEAVLRWYHERSTGHMKNSHQISLKAKKHLSAMGWSFWYAPNNGRRELRYQSPDGKVYYSLKTACKSQIDGGGGQEEIRVKVQDLEPKQPRKRKSLSQEKQPLGELVQPNPPKRGKKLKKQKKPRKNQTNPHVQRSSKRVREGPLPGSSHRQPRNILSWLIDNNGVSPLAKVYYRNKAGDPLMKGRITRDGIQCDCCFRVFGLTAFEAHAGSNNHRPAANIMLDDGSGSSLSDCQRQVRDSMIKSSKAQSPQTVKANSYEYENDGLCSACCYGGELICCDRCPSAFHVNCLGLKEVPDGDWFCPSCCCGICGIGHLSDDSFLTCQQCERKFHVGCPRKKQSSDLKNDQTGKNQFCSHSCGQVFSGLQKLTGKPIPVGNNLTWTLLKSAGCSDGDTEHTHGVEASAENHSKLSVALEVMHECFEPTKDVYTGRDLVEDVIFSRGSKLKRVNFKGFYTVILEENDDLVTVATVRVYGDRVAEMPLVATRFSHRRRGMCRVLVDELEKNLMKFGVEKLVLPALPATVDTWTKNFGFSQITDEERSKLLQYTFLDFQGTIMCQKLLKTEQDL >EOY15530 pep chromosome:Theobroma_cacao_20110822:8:3662204:3664100:1 gene:TCM_034557 transcript:EOY15530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPLSNFGNVYYMIPFSSPRNYGKSKQVSAPKVARFVEISTLDLNHSPCLRFCMIRMIKKLKGKDGEIF >EOY17406 pep chromosome:Theobroma_cacao_20110822:8:20095140:20105214:-1 gene:TCM_036572 transcript:EOY17406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide-protein glycosyltransferase 48kDa subunit family protein MKIFFSLPHFPLPFSISTGWRFQLSLKVPDVYGVFQFKVEYQKLGYTSLSLSKQILVRPYRHNEYERFIPTAYPYYGAAFSMMAGFLIFTFVHLYSK >EOY17121 pep chromosome:Theobroma_cacao_20110822:8:17936048:17936940:-1 gene:TCM_036289 transcript:EOY17121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEWSPQDAMKAYLHTLHLVRDPNPAETTGVVEPQCLEFISALAAGKRAKLIVEITTQGVTPLTVALGVAAKQSGGQLICILVDDDGDDHRHVDVGSMTTGLDHVIKLVRGISPCEMLMQLNNVDFAVIDCKFHGYLKLFREVDVNPSASTVIVHNLHRTKAGVSFAQIVKRTKGVESVTLPIGEGIELTRIGLGSSSTVKRGWSRHNRFLVTFEN >EOY14998 pep chromosome:Theobroma_cacao_20110822:8:1919952:1920585:1 gene:TCM_034206 transcript:EOY14998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRPSSWNVGTHLVKVRLGFVLHVRAEVGRLSTFQTTVLDDSKSGLLRTLIGSLDSDNAARHRLVFSLS >EOY15182 pep chromosome:Theobroma_cacao_20110822:8:2498175:2502097:-1 gene:TCM_034334 transcript:EOY15182 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 5 isoform 2 MPLNLAVALFCATASLFAIAGADDPYRFFNWNVTYGDIYPLGVRQTGILINGQFPGPDIHSVTNDNLIINVFNSLDEPFLLSWNGIQQRRNSYEDGVYGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFPDPAGDYTVLIGDWYKSNHTDLRAHLDLGKKLPFPDGILINGRGPGGASFNVEQGKTYRLRISNVGLQNSLNFRIQNHKLKLVEVEGTHTLQTTYSSIDIHVGQSSSVLFTADQPAQDYYIVVSTRFTNPVLTTTATLRYSNSAGPVSGPPPGGPTIQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINTTRTIRLANSAGQVNGKQRYAVNSVSFVPADTPLKLADYFKIGGVFRVGSISDNPYGGGIYLDTSVMNADYRAFIEIVFQNDEDIVQSWHLDGYSFFVVG >EOY15181 pep chromosome:Theobroma_cacao_20110822:8:2497561:2502010:-1 gene:TCM_034334 transcript:EOY15181 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 5 isoform 2 MPLNLAVALFCATASLFAIAGADDPYRFFNWNVTYGDIYPLGVRQTGILINGQFPGPDIHSVTNDNLIINVFNSLDEPFLLSWNGIQQRRNSYEDGVYGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFPDPAGDYTVLIGDWYKSNHTDLRAHLDLGKKLPFPDGILINGRGPGGASFNVEQGKTYRLRISNVGLQNSLNFRIQNHKLKLVEVEGTHTLQTTYSSIDIHVGQSSSVLFTADQPAQDYYIVVSTRFTNPVLTTTATLRYSNSAGPVSGPPPGGPTIQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINTTRTIRLANSAGQVNGKQRYAVNSVSFVPADTPLKLADYFKIGGVFRVGSISDNPYGGGIYLDTSVMNADYRAFIEIVFQNDEDIVQSWHLDGYSFFVVGMDGGQWTAASRNQYNLRDAISRCTTQECGT >EOY15180 pep chromosome:Theobroma_cacao_20110822:8:2497548:2502026:-1 gene:TCM_034334 transcript:EOY15180 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 5 isoform 2 MPLNLAVALFCATASLFAIAGADDPYRFFNWNVTYGDIYPLGVRQTGILINGQFPGPDIHSVTNDNLIINVFNSLDEPFLLSWNGIQQRRNSYEDGVYGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFPDPAGDYTVLIGDWYKSNHTDLRAHLDLGKKLPFPDGILINGRGPGGASFNVEQGKTYRLRISNVGLQNSLNFRIQNHKLKLVEVEGTHTLQTTYSSIDIHVGQSSSVLFTADQPAQDYYIVVSTRFTNPVLTTTATLRYSNSAGPVSGPPPGGPTIQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINTTRTIRLANSAGQVNGKQRYAVNSVSFVPADTPLKLADYFKIGGVFRVGSISDNPYGGGIYLDTSVMNADYRAFIEIVFQNDEDIVQSWHLDGYSFFVVGMDGGQWTAASRNQYNLRDAISRCTTQVYPKSWTAIYVALDNVGMWNLRSEFWARQYLGQQFYLRVYTDSSSLRDEYPIPKNALLCGRASGRSTRPL >EOY16481 pep chromosome:Theobroma_cacao_20110822:8:7452609:7457597:1 gene:TCM_035254 transcript:EOY16481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLKEHWICAPKEHPSMFPGNTQTVLSKEYQNGAPKEHHVGEHPITASQGTPTFGSTRSMLLRSTTMGAPLRILIKYFLIRSDMGWSTVKWSIVKGKRGVFAQ >EOY15149 pep chromosome:Theobroma_cacao_20110822:8:2400315:2402015:-1 gene:TCM_034310 transcript:EOY15149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal-dependent decarboxylase family protein, putative MGSSPRTLQAIVGAPGVRGKKVAALSKDGLTAFIQSIVSTKQEMKEPFYVLDLGVVMALFDKWARNLPMAQPFYAVKCNPNPALLGALATLGSGFDCASKAEIESVLSLGVSPGRIIFANPCKAESHIKYAATVGVNLTTFDSKEELEKIKKWHPKCALLIRVKAPDDGGARCPLGPKYGALPEEVTPLLQAAQTARLTVTGVSFHIGSGAMQFRAYREAIAAAKTVFETAARLGMPKMHVLNIGGGFTAGPQFAEAASTVKAALQAYFPNEPSLTVIAEPGRFFAESAFTLATNIIGKRVRGDLREYWINDGIYGSMNCILYDHAVVTCMPLARASSPRCKGARTYDSTVFGPTCDALDTVLKGYPLPELQVNDWLVFPNMGAYTAAAGSNFNGFNTSAILTYLAYSNPN >EOY15060 pep chromosome:Theobroma_cacao_20110822:8:2110814:2116996:-1 gene:TCM_034248 transcript:EOY15060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor family protein, putative isoform 1 MTLEDFFTLTEMKDGLTAPSRVEELLTVMKKEKDSVVKNISDATRQWAAVASTIAATENKDCLNLFIQLDGVWYLDRWLKGAQEFGNDSSDSFVEESITALLRALEKLHRNNERSISSEIWITVKNLLGHKSSRVQDGARLLFDNWKRIRVTDDVHGGVGSGGHISDYGISDSATVTGENSRPECSAKEGPVSRGSTDEENTGADAAKNENLPSSSLDGVQLESSKELHSETTNDELQSHIYSDCADMENRSPNHLSSSLVSNPAQENSSTKEDLPAKTVEETASLETCSLPDSKQENVEVLDAQNLNELSSDEKQKLDMTVSSSSTVEHVLVSSGAGVGSAQEATKEPNSQKDAEANKSDVLKSVALGGERTPVSETKKMMGDAGVINHSGNGSQLFKTAGQDSESHSGMLRSSSDNEFIYRKPKDLVTTFSRMEGIRTTDENKENCRVEDLRGGSKFTPGPDVIDKRMSDIELEYGIVDALEVARQVAQEVEREVVDDREPSCSSSEKISEGGIRQPSTPDSINGKQDLPTEVIPKEVSTGPNQSAEACTEGEGHIINPDNPDNEPENDLHDLESSQVTVAQEPEPNTEKSLCDFDLNQEVCSDDVERAANSISTPISVVSASRAAAAPGLPAAPLQFKGELGWKGSAATSAFRPASPRRNSDVDKTLSIGGTSSGSKQRLDCLDFDLNVAEAGDEKGAELMSGKQVTASSGLHSAESSLDVSPRKSERLKLDLNRMSDDGDAPALDTRLEGRLFYNRNGHRSPSPASSSSSMQPSLRNIDLNDRPYSHNDASELGPYHGGSSRNVNAYGGPKPNDPVISIMGTRVEVNRKEFVPQVVSLPNGKALEPATDASITRTGGFMGLGPTVSYTHSHAFSYNGLTMPPTVSFSPAIYGASGSIPYMVDSRAPIVPQIMGSTSAVPPPYSQPQFIMSMSNAPVGLNGSGSSRPNFDLNTGLAIEGGNRDSTGVRQSFMPGQSRSMEEHLRANSQPSSSSAVGAKRKEPDSGWEPYQFNYRHHQFPWK >EOY15059 pep chromosome:Theobroma_cacao_20110822:8:2110814:2117001:-1 gene:TCM_034248 transcript:EOY15059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor family protein, putative isoform 1 MTLEDFFTLTEMKDGLTAPSRVEELLTVMKKEKDSVVKNISDATRQWAAVASTIAATENKDCLNLFIQLDGVWYLDRWLKGAQEFGNDSSDSFVEESITALLRALEKLHRNNERSISSEIWITVKNLLGHKSSRVQDGARLLFDNWKRIRVTDDVHGGVGSGGHISDYGISDSATVTGENSRPECSAKEGPVSRGSTDEENTGADAAKNENLPSSSLDGVQLESSKELHSETTNDELQSHIYSDCADMENRSPNHLSSSLVSNPAQENSSTKEDLPAKTVEETASLETCSLPDSKQENVEVLDAQNLNELSSDEKQKLDMTVSSSSTVEHVLVSSGAGVGSAQEATKEPNSQKDAEANKSDVLKSVALGGERTPVSETKKMMGDAGVINHSGNGSQLFKTAGQDSESHSGMLRSSSDNEFIYRKPKDLVTTFSRMEGIRTTDENKENCRVEDLRGGSKFTPGPDVIDKRMSDIELEYGIVDALEVARQVAQEVEREVVDDREPSCSSSEKISEGGIRQPSTPDSINGKQDLPTEVIPKEVSTGPNQSAEACTEGEGHIINPDNPDNEPENDLHDLESSQVTVAQEPEPNTEKSLCDFDLNQEVCSDDVERAANSISTPISVVSASRAAAAPGLPAAPLQFKGELGWKGSAATSAFRPASPRRNSDVDKTLSIGGTSSGSKQRLDCLDFDLNVAEAGDEKGAELMSGKQVTASSGLHSAESSLDVSPRKSERLKLDLNRMSDDGDAPALDTRLEGRLFYNRNGHRSPSPASSSSSMQPSLRNIDLNDRPYSHNDASELGPYHGGSSRNVNAYGGPKPNDPVISIMGTRVEVNRKEFVPQVVSLPNGKALEPATDASITRTGGFMGLGPTVSYTHSHAFSYNGLTMPPTVSFSPAIYGASGSIPYMVDSRAPIVPQIMGSTSAVPPPYSQPQFIMSMSNAPVGLNGSGSSRPNFDLNTGLAIEGGNRDSTGVRQSFMPGQSRSMEEHLRANSQPSSSSAVGAKRKEPDSGWEPYQFNYRHHQFPWK >EOY15440 pep chromosome:Theobroma_cacao_20110822:8:3277643:3281917:-1 gene:TCM_034498 transcript:EOY15440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MCRSEQTQASNGKSTEIHDNNDGEEESAALQLEAEVSLGGLIWVKLHGNSWWPAVVVDENSVNGSSKPGNRSEAEVLVRLYGSYEYLYADPMKYYSEFKMVLQQNNGSCREIFDRSLEQVATARKRKAVKADLGQYSMGTVLGNKGTMRHSARRRLKDVNDGEAPYKKVRESNGVKMKLESNEPSTEDVVNRKISKKDRLVKKLKPNSPSRRKNPGQNGSNVEDKARTRTSDQDEIQQKLKRKSPSTDKQAKNKANEPERVQKKQRKNNQIVEESAKHQSSKQDGEQKKCKPTRKRAFQAAKVPAGKMHKTVRQKSTSNSAKAKNKAPKEDGVQKKLTNDKQSAKDEVKSKSPKQVSVHKKLRSSSSTANRKTSKLDREQKKMKSNNRSAKEVSNSQGGRRSKTSKQGEEQKKVNPNGLDSDGTKFQTPKQDKLSKEKTNGPSSERTSPGKSPKSSARRMRVMQGLGLIAPSGSPFH >EOY15441 pep chromosome:Theobroma_cacao_20110822:8:3277637:3281990:-1 gene:TCM_034498 transcript:EOY15441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MCRSEQTQASNGKSTEIHDNNDGEEESAALQLEAEVSLGGLIWVKLHGNSWWPAVVVDENSVNGSSKPGNRSEAEVLVRLYGSYEYLYADPMKYYSEFKMVLQQNNGSCREIFDRSLEQVATARKRKAVKADLGQYSMGTVLGNKGTMRHSARRRLKDVNDGEAPYKKVRESNGVKMKLESNEPSTEDVVNRKISKKDRLVKKLKPNSPSRRKNPGQNGSNVEDKARTRTSDQDEIQQKLKRKSPSTDKQAKNKANEPERVQKKQRKNNQIVEESAKHQSSKQDGEQKKCKPTRKRAFQAAKVPAGKMHKTVRQKSTSNSAKAKNKAPKEDGVQKKLTNDKQSAKDEVKSKSPKQVSVHKKLRSSSSTANRKTSKLDREQKKMKSNNRSAKEVSNSQGGRRSKTSKQGEEQKKVNPNGLDSDGTKFQTPKQDKLSKEKTNGPSSERTSPGKSPKSSARRMRVMQGLGLIAPSGSPFH >EOY15439 pep chromosome:Theobroma_cacao_20110822:8:3274480:3281436:-1 gene:TCM_034498 transcript:EOY15439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MCRSEQTQASNGKSTEIHDNNDGEEESAALQLEAEVSLGGLIWVKLHGNSWWPAVVVDENSVNGSSKPGNRSEAEVLVRLYGSYEYLYADPMKYYSEFKMVLQQNNGSCREIFDRSLEQDCFRKKSIKPKAKDLILLVATARKRKAVKADLGQYSMGTVLGNKGTMRHSARRRLKDVNDGEAPYKKVRESNGVKMKLESNEPSTEDVVNRKISKKDRLVKKLKPNSPSRRKNPGQNGSNVEDKARTRTSDQDEIQQKLKRKSPSTDKQAKNKANEPERVQKKQRKNNQIVEESAKHQSSKQDGEQKKCKPTRKRAFQAAKVPAGKMHKTVRQKSTSNSAKAKNKAPKEDGVQKKLTNDKQSAKDEVKSKSPKQVSVHKKLRSSSSTANRKTSKLDREQKKMKSNNRSAKEVSNSQGGRRSKTSKQGEEQKKVNPNGLDSDGTKFQTPKQDKLSKEKTNGPSSTDKASLLAEVVHHVRELRRQVEDVARRDVDGCCSKSQPELESWPFPGERDEAALSLYDKEAKLLKATVCCEDRPGLNHDLNRVIRSVQARVVRAEMTTVGGRTKSVVVMQWGGDVEEIGPLERALKDVVANRVSVLAHGEGSKRARVIGSDNENGSGFLVDSV >EOY14570 pep chromosome:Theobroma_cacao_20110822:8:602030:611593:-1 gene:TCM_033900 transcript:EOY14570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase 40, putative MGNTALIIWVSLLRLVGFTQCYGGRDFNPIKLLRQELSEQMSSDVSKWPTYFSLETDAGQFSPVYIGPQGGLREVDKIGSLPGQPGEVDFDQYSGYVTVDPEAGRALFYYFVESPHHSSTKPLVLWLNGGPGCSSIGNGAMMELGPFRVKKDGKSLRRNRYAWNKDANIIFLESPAGVGFSYSNRTSDYKSSGDKRTAQDSYTFLVNWRERFPEYKTREFFITGESYAGHYVPQLAHTILQNNKYTNQTIINLKGIAIGNAYIDFETTMKGAVDFYWTHALMSDEIYNGLASNCDYSTLNLSSKECLGFVDKANDAAGNIYTYDIYASLCNSSSSSNSKFTSQVSAFDPCSENYVHSYLNNPEVQRALHANVTALPYLWESCRHGPISLSLFPSWGICVVIGEVNRHWKDKPLTVLPIIKELMESGIRVWIYSGDTDGALPVTCSRYAINKLGTPIKTAWYPWYTQGEVGGYAVGYQNLTFVTVRGAGHFVPSYQPARALVLFSSFLDGKLPPSTRKSCDFPRKNHKICRHMPTKNTDKVMNGSNVKSVKVKRKESLEAVIHRALDRTN >EOY15042 pep chromosome:Theobroma_cacao_20110822:8:2064132:2067064:1 gene:TCM_034241 transcript:EOY15042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-NADP(+)-oxidoreductase 1, putative isoform 1 MALTVNAAVSLPSSKSSSLSFKSSITISERMNFNKSVWYSRNVSAGGNVVSIKAQVTTEAPAKVVKVSKKDDEGVVVNKFKPKNPYIGKCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVTPEGIDKNGKPHKLRLYSIASSALGDFGTSQTVSLCVKRLVYTNEQGEIVKGVCSNFLCDLKPGADGTITGTCWERDAYAKRSKCHHHKACNWNWNCSFPFILMEDVL >EOY15043 pep chromosome:Theobroma_cacao_20110822:8:2064101:2066204:1 gene:TCM_034241 transcript:EOY15043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-NADP(+)-oxidoreductase 1, putative isoform 1 MALTVNAAVSLPSSKSSSLSFKSSITISERMNFNKSVWYSRNVSAGGNVVSIKAQVTTEAPAKVVKVSKKDDEGVVVNKFKPKNPYIGKCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVTPEGIDKNGKPHKLRLYSIASSALGDFGTSQTVSLCVKRLVYTNEQGEIVKGVCSNFLCDLKPGADGTITGTCWERDAYAKRSKCHHHKACNWNWNCSFPFILMEDVL >EOY15044 pep chromosome:Theobroma_cacao_20110822:8:2064476:2066082:1 gene:TCM_034241 transcript:EOY15044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-NADP(+)-oxidoreductase 1, putative isoform 1 MTKVLLLTSSSQRILTLESAFSTPKLLEMMLLERLGTWFLALKVKFTGEVPYREGQSIGVTPEGIDKNGKPHKLRLYSIASSALGDFGTSQTVSLCVKRLVYTNEQGEIVKGVCSNFLCDLKPGADGTITGTCWERDAYAKRSKCHHHKACNWNWNCSFPFILMEDVL >EOY17317 pep chromosome:Theobroma_cacao_20110822:8:19373744:19376042:1 gene:TCM_036480 transcript:EOY17317 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 88A1 MQETIVLYPSPGLGHVVSMVELGKLILQQRNHQFSITILLTTGFWDTPSIISYIKSVSQAYPSISFRRFPSISVSMDQKCSGAAIYFQFVRLHAPNALLSLQEISKDHKISAFVIDLFCTSSLSMGKDLKIPTFYFYASGASSLAAFLQFSKLDEQTTESFRDLPDTVFHFHGLPPLKAIHMPDPTLDREDPAYRDFIYFCSGLAQSDGIIVNTFEELEPISIKAIADGLCLVDAPTPPTYYIGPLIAAGSKAEHECLSWLEKQPCKSVVFLCFGSRGSFSRVQIMEIAKGLERSGQRFLWVVKNPPRDEKAKQTDESPTVDLDSLLPEGFLERTKDRGLVVKSFAPQVAVLNKDSVGGFVTHCGWNSILEAAVAGVPMIAWPLYAEQHLNRNILVQDMKMAIPVEQRQEDGFVSGTELEKRVTELMDSDIGMELRERSWKMREKALAAWGPSGSSTKALTKLIDLWKRG >EOY15923 pep chromosome:Theobroma_cacao_20110822:8:5048089:5059706:-1 gene:TCM_034846 transcript:EOY15923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MQHIPATIEEQLFLKAIREESSWENLPKRLQATLNSREEWHRRIIDHCIKKRLQWNTCFARKVCKESEYYEEMMRYLRKNLALFPYHLAEYVCRVMRVSPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFPIEPWWGVCLVNFTLEEFKKLSEEEMATIDKICKEEANAFILFDPDVIKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNKEQSYEDPIEELLYAVFVVSSENATVAELASTLQADLNQLQAAASFVCRLGWAAKVIDPASVLQENTGVPPHGVSLADEEDASHPSSTSANMSTDSETAQQGDLWEIENYGPHSSDARVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIAELCKDLSTLEGTKFEGELQEFANHAFSLRCVLECLLSGGVANDTKTVEIADRMGVSASVHDESTLVADNSLTDVSEQSTNETGENINDTNNLEICREGSVGDDSVPETIGDDRSATLSKDGNLESEVSKSDLIVQNDDKLIQMEGPEIGKGTSRRKKKYRVDILRCESLAALPKTTLDRLFLRDYDIVVSMVPLPYSSVLPGPTGPINFGPPSHSSMTPWMKLVLYSTVASGPLSVVLMKGQCLRMLPAPLAGCEKALLWSWDGSTIGGLGGKFEGNLVKGSVLLHCLNSLLKCSAVIVQPFSRYDLDGSGKVVTLDIPLPLKNSDGSVALVGDELGLCAEECSKLNDLLTDLAHKIELWTVGYIRLLKLFKERESDHFAPDEEKYEWVPLSIEFGMPLFSPKLCNNICERIVTSRLLQADSLTEQHDSMQSIRKRLRDVCAEYQATGPAAKLLYQKEHQKDHSKELSKLLMNYASGRWNPLLDPSSPISGASSEHQRLKLASRQRCRTEVLSFDGSILRSYALTPVYEAATRPIDDSTPVTATKVDPDETDSKEIILPGVNLLFDGAELHPFDIGACLQARQPISLIAEAASASTSFAIK >EOY15924 pep chromosome:Theobroma_cacao_20110822:8:5048830:5059560:-1 gene:TCM_034846 transcript:EOY15924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MQHIPATIEEQLFLKAIREESSWENLPKRLQATLNSREEWHRRIIDHCIKKRLQWNTCFARKVCKESEYYEEMMRYLRKNLALFPYHLAEYVCRVMRVSPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFPIEPWWGVCLVNFTLEEFKKLSEEEMATIDKICKEEANAFILFDPDVIKGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNKEQSYEDPIEELLYAVFVVSSENATVAELASTLQADLNQLQAAASFVCRLGWAAKVIDPASVLQENTGVPPHGVSLADEEDASHPSSTSANMSTDSETAQQGDLWEIENYGPHSSDARVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIAELCKDLSTLEGTKFEGELQEFANHAFSLRCVLECLLSGGVANDTKTVEIADRMGVSASVHDESTLVADNSLTDVSEQSTNETGENINDTNNLEICREGSVGDDSVPETIGDDRSATLSKDGNLESEVSKSDLIVQNDDKLIQMEGPEIGKGTSRRKKKYRVDILRCESLAALPKTTLDRLFLRDYDIVVSMVPLPYSSVLPGPTGPINFGPPSHSSMTPWMKLVLYSTVASGPLSVVLMKGQCLRMLPAPLAGCEKALLWSWDGSTIGGLGGKFEGNLVKGSVLLHCLNSLLKCSAVIVQPFSRYDLDGSGKVVTLDIPLPLKNSDGSVALVGDELGLCAEECSKLNDLLTDLAHKIELWTVGYIRLLKLFKERESDHFAPDEEKYEWVPLSIEFGMPLFSPKLCNNICERIVTSRLLQADSLTEQHDSMQSIRKRLRDVCAEYQATGPAAKLLYQKEHQKDHSKELSKLLMNYASGRWNPLLDPSSPISGASSEHQRLKLASRQRCRTEVLSFDGSILRSYALTPVYEAATRPIDDSTPVTATKVDPDETDSKEIILPGVNLLFDGAELHPFDIGACLQARQPDALYCLKAASGLLRVSISSERIGDTCL >EOY15925 pep chromosome:Theobroma_cacao_20110822:8:5050021:5055222:-1 gene:TCM_034846 transcript:EOY15925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSLSIRMTGLKFLGLKGSFQTRSSLMRILLRITALFRLLYAVFVVSSENATVAELASTLQADLNQLQAAASFVCRLGWAAKVIDPASVLQENTGVPPHGVSLADEEDASHPSSTSANMSTDSETAQQGDLWEIENYGPHSSDARVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIAELCKDLSTLEGTKFEGELQEFANHAFSLRCVLECLLSGGVANDTKTVEIADRMGVSASVHDESTLVADNSLTDVSEQSTNETGENINDTNNLEICREGSVGDDSVPETIGDDRSATLSKDGNLESEVSKSDLIVQNDDKLIQMEGPEIGKGTSRRKKKYRVDILRCESLAALPKTTLDRLFLRDYDIVVSMVPLPYSSVLPGPTGPINFGPPSHSSMTPWMKLVLYSTVASGPLSVVLMKGQCLRMLPAPLAGCEKALLWSWDGSTIGGLGGKFEGNLVKGSVLLHCLNSLLKCSAVIVQPFSRYDLDGSGKVVTLDIPLPLKNSDGSVALVGDELGLCAEECSKLNDLLTDLAHKIELWTVGYIRLLKLFKERESDHFAPDEEKYEWVPLSIEFGMPLFSPKLCNNICERIVTSRLLQADSLTEQHDSMQSIRKRLRDVCAEYQATGPAAKLLYQKEHQKDHSKELSKLLMNYASGRWNPLLDPSSPISGASSEHQRLKLASRQRCRTEVLSFDGSILRSYALTPVYEAATRPIDDSTPVTATKVDPDETDSKEIILPGVNLLFDGAELHPFDIGACLQARQPISLIAEAA >EOY15600 pep chromosome:Theobroma_cacao_20110822:8:3914026:3917918:1 gene:TCM_034610 transcript:EOY15600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease 6 MAREMQKTSMFIEQNPGDYENGDFQKNLDDDGRVKRTGTWLTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVLMAFSFITYFTSTLLVDCYRAPDPVHGKRNYTYMDVVRAYLGGRKVQLCGLAQYGNLIGVTIGYTITASISMVAVKRSNCFHKHGHHVKCQTSNYPFMVIFACIQIVLSQIPNFHKLSWLSILAAIMSFAYSSIGLGLSIATVAGGGHVRTSLTGVTVGVDVSGSEKVWRTFQAIGDIAFAYAYSTVLIEIQDTIKSSPPENKSMKRATSIGVSTTTLFYVLCGLVGYAAFGNDAPGNFLTGFGFYEPFWMIDFANVCIAVHLIGAYQVFCQPLFGFVEGWCAGHWPDNKFITSEHAVDVPLYGIYYINFFRLVWRTAYVIVTAVAAMIFPFFNDFLGLIGAASFWPLTVYFPIEMHIAQTKMPKYSFRWMWLKILSWACLIVSLIAAAGSIQGLAQSLKAYRPFQTQE >EOY15034 pep chromosome:Theobroma_cacao_20110822:8:2019381:2023068:-1 gene:TCM_034231 transcript:EOY15034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta-1 chain MREILHVQGGQCGNQIGSKFWEVICDEHGVDPTGRYNGDGSSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYISLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLRMSSTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATVEDEGDYEDEVEGLEENYDV >EOY16255 pep chromosome:Theobroma_cacao_20110822:8:6439900:6443126:1 gene:TCM_035093 transcript:EOY16255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein, putative MGDKMQSGTFPVGLTRAKSYSAMIFLQFGFAGMYVITMFSLQQGMNHYILAVYRHLVATIVIAPFALVLERKIRPKLTLPVFLRILVLGFLEPVIDQNVYYLGMKYTTATLASATVNVLPAITFVMALIFRLERVNLKKVHSIAKIIGTVIMVPGAVIMTLYKGPAINFIKLQGGGHHGATNAAEAKHWVAGTLMLLARCWGWSGFYILQSFTLRMYPAELSLTALICFIGTIGGAAVSFAVERDMNAWKIGWDASLLAAVYSGVVCSGIAYYAQGVVLREQGPVFVTAFSPLCMIITAALGSFLLAEKVHLGSIIGTVIIICGLYTVLWGKSKDQQNSTTDEGKLQELPITDSAKSIDIEDSIEGPARILKIPTENPATRET >EOY16043 pep chromosome:Theobroma_cacao_20110822:8:5545655:5546808:1 gene:TCM_034938 transcript:EOY16043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNFYSPFTRMQVFPHARSGFILARWNTNYLPLLNVSNPHRKIGRPNPVNPMYNVASANRIDTTPCGKESDLYNSAVYFPPRGVLDKARAELINGAKD >EOY16508 pep chromosome:Theobroma_cacao_20110822:8:7967404:7980201:1 gene:TCM_035305 transcript:EOY16508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1, putative MEIAPPSPSHVVIAFDATKDHNERELRITIDNVRVRGDILRVGDTLLMLGVLHRVTHPMGYQSKACPEFFGTSIRAMEEEISKKVDAYVNMLHQSAEDCEDQGVSIVVKITAGNPIKNVILQEVLTSKAAWVILDRHLRRDLKLYAKQIPCKVALVQDSFNVEVLRNYTIRETDAVGHKVFNSMSKPVPLLNVHGSDNMDHSESSCRNNSLSITSLDHFKIGHSMPSFTLKSQQNNFSSDFGSSFKQDKSDTHSKGEDKLPTALNIIQKQQRSAFRHKYSGARLLCAACGITTELNIQDSTRFTYSEIQQATDEFAKENLLGEGGYGHVYKGKLKDGQLIAAKVRKEESTQGFDEFNSEVSVLSFARHKNIVMLLGYCCKENLNILVYEYICNKSLHWHLFDNKENVLDWHQRRAIAVGTAKGLRFLHEECRGGPIIHRDMRPSNILLTHDLVPMLGDFGLARWKINDDTLQTKILGTLGYLAPEYAENGFVSVRTDVYAFGIVLLQLISGRKVIDLEREGQNVSLRQWAEPLIEKLALHELIDPRIGESYDTYQLYLMAKTAYICVQQSPEMRPSMGEVLRLLEGESDNFHRLKEKSVPHYTKR >EOY14917 pep chromosome:Theobroma_cacao_20110822:8:1669221:1674210:-1 gene:TCM_034155 transcript:EOY14917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein MSSLSERSVMHIFGVTGMVIMTLMPMIATETSSPSSPLSSSSPASDLLFHPVERKKQQGSGDKEISYLQQDHRQKVIIQDQEDYGVWNPTPRSGGGGIRTSLDLFYCSLSEILICKLKQAPSLHRRKGYLGVRTGITSASKLRRRLQGNLCNNCKRPGHFARECINVSVCNNCGLPGHIASECTTQAQCWNCREPGHVASHCPNEGICHSCGKTGHRARDCPNPQMQSGDMRLCNNCFRPGHLAADCTNDKACKNCRKTGHLARDCHSDPVCNLCNISGHVARQCPKGHILSDRGGGSRNNGYRDVVCRNCNQVGHISRECRGAPIICHNCGGRGHMAYECPSGRLADRGYRRY >EOY15502 pep chromosome:Theobroma_cacao_20110822:8:3527109:3529945:1 gene:TCM_034538 transcript:EOY15502 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein a, putative isoform 2 MGICKVFLELLASILTVLCWPSYALIYPLYVSIRTVENNSSFKNQQCLTYWVLFALITMGELTLGKFLNWFPFWPCVKGVATILLVTPYFGGASYVFKHLIRPYFSEKIWNILFFPKKKDIVSEAQNGILDDADTNRLKNGPKLEELIINGEGNFDRSSDNKEVNSTWLTHPKRVQKEWSCVLCLISASSEKCLKKHLQGKKHKTKEDELRADALALRATCKLSSVPKKAGRVVLLRNLNIESLLNPVTSSITWCRWKKPEIGCIKLNTDGSVVPENAGFGGLLRDYKGDPLCAFVSKAPQDDIFLVELWAIWRGLVLASGLGIKVIWVESDSMSVVRTINREQFHGAKCSRCLKQIWKLLTMFDNYRVTHSWRETNKAADHLSRMVLRESDAVLWPVDFPDSLNNIIQDDARGKIYFRR >EOY15503 pep chromosome:Theobroma_cacao_20110822:8:3527109:3529945:1 gene:TCM_034538 transcript:EOY15503 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein a, putative isoform 2 MGELTLGKFLNWFPFWPCVKGVATILLVTPYFGGASYVFKHLIRPYFSEKIWNILFFPKKKDIVSEAQNGILDDADTNRLKNGPKLEELIINGEGNFDRSSDNKEVNSTWLTHPKRVQKEWSCVLCLISASSEKCLKKHLQGKKHKTKEDELRADALALRATCKLSSVPKKAGRVVLLRNLNIESLLNPVTSSITWCRWKKPEIGCIKLNTDGSVVPENAGFGGLLRDYKGDPLCAFVSKAPQDDIFLVELWAIWRGLVLASGLGIKVIWVESDSMSVVRTINREQFHGAKCSRCLKQIWKLLTMFDNYRVTHSWRETNKAADHLSRMVLRESDAVLWPVDFPDSLNNIIQDDARGKIYFRR >EOY15504 pep chromosome:Theobroma_cacao_20110822:8:3527109:3530093:1 gene:TCM_034538 transcript:EOY15504 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein a, putative isoform 2 MGELTLGKFLNWFPFWPCVKGVATILLVTPYFGGASYVFKHLIRPYFSEKIWNILFFPKKKDIVSEAQNGILDDADTNRLKNGPKLEELIINGEGNFDRSSDNKEVNSTWLTHPKRVQKEWSCVLCLISASSEKCLKKHLQGKKHKTKEDELRADALALRATCKLSSVPKKAGRVVLLRNLNIESLLNPVTSSITWCRWKKPEIGCIKLNTDGSVVPENAGFGGLLRDYKGDPLCAFVSKAPQDDIFLVELWAIWRGLVLASGLGIKVIWVESDSMSVVRTINREQFHGAKCSRCLKQIWKLLTMFDNYRVTHSWRETNKAADHLSRMVLRESDAVLWPVDFPDSLNNIIQDDARGKIYFRR >EOY16462 pep chromosome:Theobroma_cacao_20110822:8:7181616:7182905:1 gene:TCM_035221 transcript:EOY16462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQRLKPGERFGKLMYTFPISLYQDRFFLGLSCLSNGACINNSTSTLKQWEEWICLRAHRFRLQGYSRPCGPLTKYGWWKFMVHNN >EOY14420 pep chromosome:Theobroma_cacao_20110822:8:274222:277466:1 gene:TCM_033810 transcript:EOY14420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein MAADHTKILFVWLSFIVPFIFTKLAEADNYIVQMDLSAKPKAFSGQQSWYLATLASLSANWRANTNATIPSSKLIYTYNHVIQGFSASLTPAELEALKNAPGYVSSIRDRTVKVDTTHSFKFLGLNSSTGAWPVSNFGKDVIIGVIDTGVWPESGSFNDNGMTDVPSKWKGGCENGTQFNSSLCNKKLIGARSFNKGLIAHNPNITISMNSPRDTEGHGTHTSTTAAGTYVQDASYFGYAMGTARGMAPGARVAMYKALWEEGAYTTDIIAAIDQAITDGVDVLSMSLGLDELELYEDPIAIATFAAIEKNIFVSTSAGNEGPDVETLHNGTPWVLTVAAGTMDREFGATLTLGNKGSVDGLALFPGNFSASQFPIVFDACEKASELRKLGQKIVVCQDPGKEGSLNDQFNSVQVAGNAAGVFITNNSDVEVFIQGPFPAMFLEQKDGDTVLDYIKRNIDPKASMEFKKTFLGTKPSPTVTSYTSRGPSYSCPSVLKPDIMAPGDLVLAAWPPNLGVARVNEDLLFSNFNLLSGTSMACPHATGVAALLKGAYPYWSPAAIRSALMTTSDLIDNTGSPIKDTGDNLRPASPLAMGAGHINPNKALDPGLIYDATVEDYVNLLCGLNFTAEQIKTITKSSNNCSNPALDLNYPSFIAFFNDRDAKRNSKTVKEFQRTVTNVGEGSSTYKATVTPINGVKVTVEPDQLVFKEKNDKKNFKLSVEAPSQLDEAVSFGYLTWEDIGGKHVVRSPIVATSYSIEK >EOY17093 pep chromosome:Theobroma_cacao_20110822:8:17826438:17831163:-1 gene:TCM_036271 transcript:EOY17093 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein, putative MDRKWIVSLKELKKIGCIAAPMVAVTVLQHLLQVVSIMMVGHLGELSLSGVSIATSFTIVTGFCFLFGMSGALETICGQAYGAEQYQSLGTYTYCAIINLVLVCFPISVLWVFTDKILILVGQDHSISHVAHRYALFLIPALFGYAILQAMVRYFQTQSLILPMLFTSLVALCFHVPFCWTLVFKLGLGTIGAAISIALSTWLNVIWLGFYMKYSSKCDKTRSALSIKDVIKSSRVFFRFAVPSAAMVCLEWWASEVLIFLSGLLPNPKLETSVLSICFSFTYLHYFIPYGIGAIGSTRVANELGAGNPEAAKLSVLVVTVIAVVEAVIVSTTLFFCRHVLGYAFSSEKEVVNRVADMVPIMSVAVITDCLQAVLSGVARGCGWQNTAALVNLGAYYLFGAPLAAVLAFVLHFKAKGLLIGLTSGVALQVIVFGLITIFTNWQKQASRARKRMLEEDANKETEQRSLRNKHPGRGVKEQQQKMVPNRTDFPSWKRCWGTEAKNGSKQDRFPILEQVSRNGSKN >EOY15415 pep chromosome:Theobroma_cacao_20110822:8:3195729:3203061:1 gene:TCM_034485 transcript:EOY15415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MFTEGLDNNALKWVREKELPYSNSSLRPRMDPITNISNGGRNIGLPPPAKFRSGHLPVTAIPVTSTSLTGGDDSASASENDVTTDSEDDTVYGGRYSLDSSPQDERIPNGTALRYGNPVQRRPRYATASDYTYSDVSSSRETLMGGIGGNLGDRLGRGNGRYPVGRDGFTEEDESSDSAGSSEFSTTQVGSINGRIPRSRTYVSEGYASSVPSRVNVESAAGKDLNSRKLQHEKFSDDDIPSAPPFSGSVQEVKQDAEHIAASEIHSTPRAADSLDPKKFKSISGVKPEQNMSNRKSDEFVRSGAGAETATASSGVHPARVPTFHASALGPWHAVIAYDACVRLCLHAWARGCMEAPMFLENECALLRDTFGLQQVLLQSEEELMAKRSSELTSEAAAPKPQKIIGKMKVQVRKVKTTLDPPAGCSMSSLSLRAPVIKLEAIRYRLSNFQSTISSRWQALRKIRVAPRLPANGSFSRQSLAYVHAGTQYIKQVSGLLKIGATSLRNSSSSYEIVQETYCCTLRLKSYTEEDGVRMQPGSGETHVFFPDSLGDDLIVEVQDSKGKHFGRVLAQVASIAEDSTDKLRWWSIYREPEHEPVGKLQLYINYSTSSDDNSQLKCGSVAETVAYDLVLEVAMKVQHFQQRNLQLYGSWKWLLTEFASYYGVSDVYTKLRYLSYVMDVATPTADCLTLVHELLMPVVMKGHSKSTLSHQENRILGETKDQIEQILSLVFENYKSLDESAFSGIMDVFKPATGLAAPALEPAVKLYTLLHDILSPEAQTNLCHYFQAAARKRSRRHLAETDEFVTTNNEPNFMDPVAMSTAYQKMTCLCMSIKNEIFTDIEIHNQHILPSFIDLPNLSASIYSTELCGRLHAFLLACPPSCPSPPVAELVIATADFQRDLASWNISHVKGGVDAKELFNLYIMIWIQDKRQSLLESCKLDKVKWSGVRTQHSTTPFVDEMYDRLRETLSDYEVIICRWPEYIFVLENAIADVEKAIVEALDKQYADVVSPLKENLAPKKFGLKYMQKLAKRSVCSYTVPDELGILLNSMKRMLDILRPKIETQFKSWGSCIPDGGNTAPGERLSEVTVMLRTKFRGYLQAVVEKLAEN >EOY15414 pep chromosome:Theobroma_cacao_20110822:8:3195437:3203199:1 gene:TCM_034485 transcript:EOY15414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MFTEGLDNNALKWVREKELPYSNSSLRPRMDPITNISNGGRNIGLPPPAKFRSGHLPVTAIPVTSTSLTGGDDSASASENDVTTDSEDDTVYGGRYSLDSSPQDERIPNGTALRYGNPVQRRPRYATASDYTYSDVSSSRETLMGGIGGNLGDRLGRGNGRYPVGRDGFTEEDESSDSAGSSEFSTTQVGSINGRIPRSRTYVSEGYASSVPSRVNVESAAGKDLNSRKLQHEKFSDDDIPSAPPFSGSVQEVKQDAEHIAASEIHSTPRAADSLDPKKFKSISGVKPEQNMSNRKSDEFVRSGAGAETATASSGVHPARVPTFHASALGPWHAVIAYDACVRLCLHAWARGCMEAPMFLENECALLRDTFGLQQVLLQSEEELMAKRSSELTSEAAAPKPQKIIGKMKVQVRKVKTTLDPPAGCSMSSLSLRAPVIKLEAIRYRLSNFQSTISSRWQALRKIRVAPRLPANGSFSRQSLAYVHAGTQYIKQVSGLLKIGATSLRNSSSSYEIVQETYCCTLRLKSYTEEDGVRMQPGSGETHVFFPDSLGDDLIVEVQDSKGKHFGRVLAQVASIAEDSTDKLRWWSIYREPEHEPVGKLQLYINYSTSSDDNSQLKCGSVAETVAYDLVLEVAMKVQHFQQRNLQLYGSWKWLLTEFASYYGVSDVYTKLRYLSYVMDVATPTADCLTLVHELLMPVVMKGHSKSTLSHQENRILGETKDQIEQILSLVFENYKSLDESAFSGIMDVFKPATGLAAPALEPAVKLYTLLHDILSPEAQTNLCHYFQAAARKRSRRHLAETDEFVTTNNEPNFMDPVAMSTAYQKMTCLCMSIKNEIFTDIEIHNQHILPSFIDLPNLSASIYSTELCGRLHAFLLACPPSCPSPPVAELVIATADFQRDLASWNISHVKGGVDAKELFNLYIMIWIQDKRQSLLESCKLDKVKWSGVRTQHSTTPFVDEMYDRLRETLSDYEVIICRWPEYIFVLENAIADVEKAIVEALDKQYADVVSPLKENLAPKKFGLKYMQKLAKRSVCSYTVPDELGILLNSMKRMLDILRPKIETQFKSWGSCIPDGGNTAPGERLSEVTVMLRTKFRGYLQAVVEKLAENVSLIFKRT >EOY15413 pep chromosome:Theobroma_cacao_20110822:8:3195336:3205527:1 gene:TCM_034485 transcript:EOY15413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MFTEGLDNNALKWVREKELPYSNSSLRPRMDPITNISNGGRNIGLPPPAKFRSGHLPVTAIPVTSTSLTGGDDSASASENDVTTDSEDDTVYGGRYSLDSSPQDERIPNGTALRYGNPVQRRPRYATASDYTYSDVSSSRETLMGGIGGNLGDRLGRGNGRYPVGRDGFTEEDESSDSAGSSEFSTTQVGSINGRIPRSRTYVSEGYASSVPSRVNVESAAGKDLNSRKLQHEKFSDDDIPSAPPFSGSVQEVKQDAEHIAASEIHSTPRAADSLDPKKFKSISGVKPEQNMSNRKSDEFVRSGAGAETATASSGVHPARVPTFHASALGPWHAVIAYDACVRLCLHAWARGCMEAPMFLENECALLRDTFGLQQVLLQSEEELMAKRSSELTSEAAAPKPQKIIGKMKVQVRKVKTTLDPPAGCSMSSLSLRAPVIKLEAIRYRLSNFQSTISSRWQALRKIRVAPRLPANGSFSRQSLAYVHAGTQYIKQVSGLLKIGATSLRNSSSSYEIVQETYCCTLRLKSYTEEDGVRMQPGSGETHVFFPDSLGDDLIVEVQDSKGKHFGRVLAQVASIAEDSTDKLRWWSIYREPEHEPVGKLQLYINYSTSSDDNSQLKCGSVAETVAYDLVLEVAMKVQHFQQRNLQLYGSWKWLLTEFASYYGVSDVYTKLRYLSYVMDVATPTADCLTLVHELLMPVVMKGHSKSTLSHQENRILGETKDQIEQILSLVFENYKSLDESAFSGIMDVFKPATGLAAPALEPAVKLYTLLHDILSPEAQTNLCHYFQAAARKRSRRHLAETDEFVTTNNEPNFMDPVAMSTAYQKMTCLCMSIKNEIFTDIEIHNQHILPSFIDLPNLSASIYSTELCGRLHAFLLACPPSCPSPPVAELVIATADFQRDLASWNISHVKGGVDAKELFNLYIMIWIQDKRQSLLESCKLDKVKWSGVRTQHSTTPFVDEMYDRLRETLSDYEVIICRWPEYIFVLENAIADVEKAIVEALDKQYADVVSPLKENLAPKKFGLKYMQKLAKRSVCSYTVPDELGILLNSMKRMLDILRPKIETQFKSWGSCIPDGGNTAPGERLSEVTVMLRTKFRGYLQAVVEKLAENTKLQNSTKLKKILQDSKETVGESDIRGRMQPLKEQLTNTINHLHTVFETHVFIAICRWYWDRMGQDVLSFLENRKENRSWYKGSRIAVSILDDTFASQMQQLVGNALPEKDLEPPRSIMEVQSMLCKDAHNHKDNSFYY >EOY16111 pep chromosome:Theobroma_cacao_20110822:8:5826298:5832524:-1 gene:TCM_034983 transcript:EOY16111 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGD2-like defense response protein 1 MYSANCQHQNGKCSTSSRQFGPSQLPHDAFYCALAACLSSAYGVMEPPQQILLHIHNRDGPRLHIVLSQRVAITGNSHSNAGKRLGHCTQVPRNVNLEKLRSGYLFPEISRRESEHMQKNPHASLLRLGIGDTTEPIPDIITLAMVEHVRGLSTILGYRGYGAEQGNMALRKAIAEKLYQDMGIKADEIFVSDGAQCDISRLQMLLGPNVTVAVQDPSFPAYIDSSVIVGQAGELEEETGKYENIIYMNCGPENSFFPDLSTTPRTDIIFFCSPNNPTGHAASRQQLKQLVAFAKANGSIIIYDSAYAAYITDESPRSIFEIPGAKEVAIEISSFSKFAGFTGVRLGWSVVPKELLYSNGFPVIKDFNRIVCTCFNGASNIAQAGGLACLSTDGYQALRNVIDHYMENANIIVDAFSSLGSNVYGGTNAPYIWAHFPGLSSWNVFSEILEKTNIVTVPGRGFGPGGEEYIRVSSFGQRERIIEASRRLTRFLR >EOY15644 pep chromosome:Theobroma_cacao_20110822:8:4089812:4091643:-1 gene:TCM_034641 transcript:EOY15644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene monooxygenase isoform 1 MDLLIFYLIIVLDTFTCNGMSSMGLLYFLTADCVEEIDAQQVFGYALFKDGKHTRLSYPLEKFHSDVSGRSFHNGRFIQRMREKSASLPNVRLEQGTVTSLLEEKGTIRGVQYKTKDGRELTAFAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLVLENCNLPYSNHGHVILADPSPILFYPISSTEVRCLVDVPGQKVPSIANGEMANYLKTIVAPQVPPEIYNSFVAAVDKGNIRTMPNRSMPAAPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLRDLNDAPTLCKYLESFYTLRKPIASTINTLAGALYKVFCASPDQARKEMRQACFDYLSLGGVFSTGPISLLSGLNPRPVSLVLHFFAVAIYGVGRLLLPFPSPKRIWIGARLISVSI >EOY15642 pep chromosome:Theobroma_cacao_20110822:8:4088904:4093375:-1 gene:TCM_034641 transcript:EOY15642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene monooxygenase isoform 1 MADSYVWGWILGSVMTLVALCGVVLKRRKGSGISATRTESVKCVSSINGKCRSADGSDADVIIVGAGVAGSALAHTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQQVFGYALFKDGKHTRLSYPLEKFHSDVSGRSFHNGRFIQRMREKSASLPNVRLEQGTVTSLLEEKGTIRGVQYKTKDGRELTAFAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLVLENCNLPYSNHGHVILADPSPILFYPISSTEVRCLVDVPGQKVPSIANGEMANYLKTIVAPQVPPEIYNSFVAAVDKGNIRTMPNRSMPAAPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLRDLNDAPTLCKYLESFYTLRKPIASTINTLAGALYKVFCASPDQARKEMRQACFDYLSLGGVFSTGPISLLSGLNPRPVSLVLHFFAVAIYGVGRLLLPFPSPKRIWIGARLISGASGIIFPIIKAEGVRQMFFPATVPAYYRAPPVE >EOY15643 pep chromosome:Theobroma_cacao_20110822:8:4089055:4091688:-1 gene:TCM_034641 transcript:EOY15643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene monooxygenase isoform 1 MDLLIFYLIIVLDTFTCNGMSSMGLLYFLTADCVEEIDAQQVFGYALFKDGKHTRLSYPLEKFHSDVSGRSFHNGRFIQRMREKSASLPNVRLEQGTVTSLLEEKGTIRGVQYKTKDGRELTAFAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLVLENCNLPYSNHGHVILADPSPILFYPISSTEVRCLVDVPGQKVPSIANGEMANYLKTIVAPQVPPEIYNSFVAAVDKGNIRTMPNRSMPAAPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLRDLNDAPTLCKYLESFYTLRKPIASTINTLAGALYKVFCASPDQARKEMRQACFDYLSLGGVFSTGPISLLSGLNPRPVSLVLHFFAVAIYGVGRLLLPFPSPKRIWIGARLISGASGIIFPIIKAEGVRQMFFPGERKCYDKLVCNLICLPPFQSNGEEQMLN >EOY16218 pep chromosome:Theobroma_cacao_20110822:8:6257442:6260828:-1 gene:TCM_035060 transcript:EOY16218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonol synthase MEVERVQGIANFSTETIPEEFIRSTNEQPGLTTVQGTVLEVPVIDLSDPDEKKMLEAIIDASRNWGIFQVVNHGIPDEVIRKLQEAGKVFFELPQEEKELYAKPPGSQSIEGYGTKLQKELRGKKAWVDHLFHKIWPPREINSQFWPKNPPSYREANEEYTKHMHGVVDKLFRCLSVGLGLEGHELKEAVGGENLVYLLKINYYPPCPRPDLALGVPSHTDMSSLTILVPNDVQGLQANRDGHWYDVKYIPNALIIHIGDQVEIASNGMYRSVLHRTTVNKEQTRISWPVFLEPPSDLEVGPHPKLVNEANPPKYKTKKYREYCYCKLNKIPQ >EOY15148 pep chromosome:Theobroma_cacao_20110822:8:2387505:2389175:-1 gene:TCM_034309 transcript:EOY15148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine/ornithine decarboxylase MPSYLFRHLRSIWKYMHSLIVSPFLSLDQSRTMVSSSRSLQAIISAGWLTRKRAAALSENELIAFIQSVISDEQQTEPFYVLDLGVVVDLFDTWIRNLPMVQAFYAVKCNPSPALLREMAALGSNFDCASRAEIEAILALGVSPDRIVFANPCKAESHIKYAASVGVNLTTFDSKDELEKIRKWHPECALLIRIKPPETSGARFQLGAKFGALPEEVVPLLQAAQAAKFTVPGVSFHIGSGATHFRAFEEAIAAAKTVFEKAAHLGMPNMHLLNIGGGFTAGPRFTEAAPVVKTALQKYFFNEPGLKVMAEPGLFFAQSSFTLVASIIGKRVRHELREYWINDGIYGSMNFLLYDHDDVILTPLACTSNRGNPTCKGLKTYDSTVFGPTCDAVDTVLKGHPLPELQVNDWLVFHKMGAYTSACGTKFNGFDTCAISTYIAYSDQS >EOY16358 pep chromosome:Theobroma_cacao_20110822:8:6851337:6852222:-1 gene:TCM_035161 transcript:EOY16358 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MENEDAKQSSKRVPFTQLDQVDSDFAMAMALQEQERAFSMLETIESDSEEDDSEASYGSNNNNDYEYFEGLEAGGDLEFLEEQDSNDDEDMEEEEEEEDEIDPDDLSYEELIALGEIIGVERRGLSQNEISSCLIPWKFQSVKCNTGIDRCAICQMEYEEEEGVVALPNCEHPYHSECISKWLQIKRICPICSTEISSSKNARNV >EOY17073 pep chromosome:Theobroma_cacao_20110822:8:17410116:17412227:1 gene:TCM_036230 transcript:EOY17073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MATRPTKFCRTLFNLYPCLFHSSLIHSISPLHSVEETVEAAVEAKSYKQLPDILIAVENTVRIPNPFSFLSTFPLKLRTQIIDEILQSFKSIRPRSRPHIAYDLLLSYTLQSPHPIPLSLAILQCTLRSGCLPAPQIKLLLSSAWLNCQGQSQSVSDSLMEMQDIGYCPDSLMCNYLISSLCAVDRLEEAVKVLKGMSGVGSLPDLESYAGLIAAMCTFRKTVDAVELMKQMVQKARLTPRQGTVVKVLATLRANREIWKAIEMIEFLEREGNPVGFESYELVVEGCLECCEYILAGKVVIAMTERGFIPYIKVRQKVVEGLCNADELKLAYSVRKRFAELGS >EOY16110 pep chromosome:Theobroma_cacao_20110822:8:5823490:5825977:1 gene:TCM_034982 transcript:EOY16110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANKPSRGLVLYGDGLARFIEPSHAHLHSLASKANCGFLSLPNAPPSESEDDRIVREFAVLMDACEAYFNQNGQLSTEAKFQKSSLIPTMSDRFMGMRAALLTNSYVIVHIGSEENLNDEKGKGTAGDIEFMNALLGAIMHLAQLGTEIASCLHLSLVMSYGYVSKVDEFGLSILSNNYENNSPLSTLFPHQSLLFVSAGSRGQEREGGTYKVLDFVGR >EOY17081 pep chromosome:Theobroma_cacao_20110822:8:17473006:17475223:-1 gene:TCM_036239 transcript:EOY17081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MFVYACTSCNASFDIKCASLSHNMDENFRELKYLTKDELKRAHCHRCQKPLVNSVYVRLACRFYLHKKCAQLPTQLFHPCHRKHLLYLASGYLLCKVCHMEHWNLFYLCFPCKFAIDIECLLSMPRCDIECNEHSFTQLLRDEPFICDACGTEGNYVSYICSTCHIMIHKNCISLPRIIKTTRHHHCIIHNYFFQKRELEKLDCGICLREVQMKYGHYDCLKQDCNFVAHVKCAMEKYVVIDEVNEQDEESSENVVTNSSITHVIEMNQREEATKIKHFLHEHDLTLGTKIKEDDDKLCDACMLSISTSFYYCSQYTIILSFVLKRIMTPALAVVSPVKM >EOY15408 pep chromosome:Theobroma_cacao_20110822:8:3176060:3178693:-1 gene:TCM_034481 transcript:EOY15408 gene_biotype:protein_coding transcript_biotype:protein_coding description:General regulatory factor 8, KAPPA MATTVPDNLSGDQYVYLAKLAEQAERYEEMVQFMQKLVLGSTPAAELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEEGRKNEEHVVLVKEYRSKIEAELSDVCASILTLLESNLIPSAAASESKVFYLKMKGDYHRYLAEFKVGDERKAAAEDTMLSYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNQSDKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDVQDQLDEP >EOY16145 pep chromosome:Theobroma_cacao_20110822:8:5939308:5943414:1 gene:TCM_035004 transcript:EOY16145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein MKHRSPKKISVKWIPFLCICFFTLGILFCNRLWLPLESNGQLISRHRREQELQIVSEDCDTKKKPAQDNDVMGEVLKTHEAIQSLDKSVAMLQMQLAASRSSQEMGNLDASSAVSTLAHDGPPRKKVFMVIGINTAFSSRRRRDSVRETWMPQGEKLVQLEREKGIVIRFMIGHSATSNSILDRAIDSEDAQHKDFLRLEHVEGYHELSAKTKIFFSTAVAKWDAEFYVKVDDDVHVNLGVLAATLGRHRSKPRVYIGCMKSGPVLSQKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGAWFIGLEVEHIDDRNMCCGTPPVLCIAKYATICIPELLQRISLDLISIALTKELGLPSNSSLFPVPQIVSGRHKQAMYVLHHLIGAAAESANQWRRSRLFTKGVVKGMELFGVLYSKLIWIGWYSGANKSKPLWLTIKEKQFSLLRWERTVHPVALSWYISATHFLEIFS >EOY14580 pep chromosome:Theobroma_cacao_20110822:8:638484:639406:1 gene:TCM_033907 transcript:EOY14580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 77 MEAMNRCSSSTSSSDTSSSESSLSARASNKAEKIKGPWSAEEDRILTRLVERYGARNWSLISRYIKGRSGKSCRLRWCNQLSPSVEHRPFSPAEDETILAAHARYGNRWATIAKLLPGRTDNAVKNHWNSTLKRRARGGQQQQQQEQQQQLQLLLNQEEQIVQSHHQMEGGDNAFGSVGMMMDEEALTALTLAPPGSGVPSGSAVVVAERRSRDERVPAEFWDVMRDVIAREVREYMTTTLSAETPGFPLR >EOY17088 pep chromosome:Theobroma_cacao_20110822:8:17732307:17733428:-1 gene:TCM_036265 transcript:EOY17088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYFNRKFCTEQEHKVFLIGLEVYGQGKRKKISENLVKTRTLSQIASHAQKFNLWLKAIAKDLEKKHNFSIFQVQRLNL >EOY14407 pep chromosome:Theobroma_cacao_20110822:8:220457:223182:1 gene:TCM_033799 transcript:EOY14407 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441, putative MCNNSDSILPLIEIPGDSSRTRSLYHLPPGCRFFPSEEELLNHYLTGKNSSDASDRADMYGYDLIRELNLYDYEPSDLPEGVCFVHGCRGRKRHWFCYTERKGERSKRRAKGGFWRKIGKVKDVFDGENVLLGTKTRFVFYEANSVKKAVRTSWIMYEYALLHHRKASFVLCRVFVKSRAGNSVSENVLSSCAEESVAAVRHIGIQHDGFLSPDILEAEINGDDFTKELDQSISTRSVSVPSFEFPSVVQPELPNDLVGSQLATNNLWAIVEGNFIELNDLGR >EOY14525 pep chromosome:Theobroma_cacao_20110822:8:521183:522422:1 gene:TCM_033880 transcript:EOY14525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic, putative MGSNASEVALDLFPYLKVYKDGTLERIAGVEVVSPGLDPETDVLSKDIVIVPETGVSARIYRPNLATTHEKLPLVVYFHGGAFCVASPAFPNYHTSLNKLVAEANIVALSVDYRLVPEFPLPTAYEDSWAALEWIASHKEGDSCHEAWIKDHADLDQVFLAGDSAGSNISHHLALRLKDSDLGQKLKILGIGMIHPYFWGTNPIGSEFADQFRKELVDKWWLYVCPSDKGCDDPLINPFVDGSSDLSGLACDGILVIVAEKDILKDRGRLYYDKLVKSGWKGKAEILETEGEDHVFHIFNPDCAKAKSLIKRLASFLNQGKAPVE >EOY14855 pep chromosome:Theobroma_cacao_20110822:8:1483493:1485245:1 gene:TCM_046880 transcript:EOY14855 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM, LAG1 and CLN8 (TLC) lipid-sensing domain containing protein MLTICSVEGRETNMEDDIANLIVLGVLSWTTAFLLIRKTLYKRSFDFCNRIVSTIHATLAVILASRSVEDWSCPVCPLASKSSLKQRQTLAVTVAYLIYDLICGLFEERVSLDNTVHHLVSIVGIGAGLAYQKCGSEQVAALFITEISSPFLHARELLKELGYRDTDLNLAADITFAVIFSLARMVGGPYLTFVTLFANNPILIKAMGLGLQLVSTFWFYKIVKMVIYKLTKKQKVVSSPLHSRKLN >EOY15134 pep chromosome:Theobroma_cacao_20110822:8:2343173:2343913:-1 gene:TCM_034297 transcript:EOY15134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVYFNKVYINIYPHDHIAWCMVYLFSPTLCFLQIYFSMADSELLPRYESLKDLISSLPQSGTPTPAYPGNLKTRYAKREEPLFKNGVAVYWKEVPLRKEGLEDHGFCDLFEKHVVGTMKPRFGKALGALCCLPKFVHDSDIALGKQNHDL >EOY14796 pep chromosome:Theobroma_cacao_20110822:8:1292004:1293004:1 gene:TCM_034068 transcript:EOY14796 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon catalytic subunit A, putative MGSLMSGWDSPVSDPKSVIRKRNRSLTKEEIDAYWRSKKKTEEEHLKAISSASDSCSQLEIPFEKYGRQYMRSSSMPLPNTKQSFLDTDTETSLENIIKKNGWWTRSNWAFLNEPPVLERPTNSYTSQYHIANLAASKLNTNAGIST >EOY16797 pep chromosome:Theobroma_cacao_20110822:8:11271101:11280324:-1 gene:TCM_035678 transcript:EOY16797 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein MEKRVYEVWKGSNKFILGGRLMFGPDARSLLVTLLLIIVPVIIFCVFVARHLRHAFSPYNAGYAILVVAIVFTIYVLILLFLTSARDPGIIPRNSHPPEEEFRYDSSVSAEIGGRQTPSLQFPRTKEVMVNGISVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRYFFMFVSSATLLCIYVFSMSALYIKVLKDDHRGTVWKAMKESPPSVILMVYCFISLWFVGGLTGFHLYLIGTNQTTYENFRYRADNRINVYNRGCPSNFLEVFCTKIKPSKNNFRALVQAEVSRPTLPSTREAEAEDLGGDPRSKVEDDLEIGEDLLKISQRRNIEEIDEDIRSRGSNGPPHTTLEVDSVLGSDHRAPTIRSDTRHSSWGRSGSWEIAPDVLANSTVTESRSYITPKEARQ >EOY17017 pep chromosome:Theobroma_cacao_20110822:8:17091724:17092708:1 gene:TCM_036183 transcript:EOY17017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRDQPIWKGNGKEKVLRSFHSRSKEELRGKKEREISLKERRKEKGERIKKLGGGSAWKRLQKNRNVFSCMPWLEL >EOY15442 pep chromosome:Theobroma_cacao_20110822:8:3282625:3284404:1 gene:TCM_034499 transcript:EOY15442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVFIGGTNTSCNSRASHSDEGKRTEEQTLKMEKGGDKSSDNNINTDIFVCERVCTSKRMLQKVGSVSKDPTTDSCVTVCGVSEVDACADVCARTVCVNQHQLDRKKASDQRWSLQGNPYPFFISPLIAYHLQAQTSHPKVMETSLCLAFRSLLRFKGYTVEICAEEVKGEKLKIELKWFRLIQVHSHLKPAFTSSLIQSFTAVRMGAMTLEFFLE >EOY14696 pep chromosome:Theobroma_cacao_20110822:8:1022856:1027436:1 gene:TCM_033997 transcript:EOY14696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein isoform 1 MESELKDLNSKPAKTTQKPDPTHDDGSTKDDRPLLKSDSSSADTNIQELEKKFAAYVRNDVYGTMGRGKLPLKEKLLLGIALVTLLPVRIVLGMTILVFYYLICRVCTLFLAPNREDEQEDYAHMGGWRRAVIVRSGRLFSRVMLFLVGFYWINETHRDSANTQENSKTEGINQSEEQERPGAIVSNHVSYLDILYHMSSSFPSFVAKRSVAKIPLVGLISKCLGCVYVQRESKSSDFKGVAGVVTERVCEAHQNESAPMMMLFPEGTTTNGDFLLPFKTGAFLARAPVVPVILRYPYQRFSVAWDSISGLRHVVFLLCQFVNRMEVTWLPVYYPSQQEKDDQKLYANNVRRLMANEGNLILSDIGLAEKRTYHAALNGLFCQS >EOY14695 pep chromosome:Theobroma_cacao_20110822:8:1023380:1027529:1 gene:TCM_033997 transcript:EOY14695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein isoform 1 MESELKDLNSKPAKTTQKPDPTHDDGSTKDDRPLLKSDSSSADTNIQELEKKFAAYVRNDVYGTMGRGKLPLKEKLLLGIALVTLLPVRIVLGMTILVFYYLICRVCTLFLAPNREDEQEDYAHMGGWRRAVIVRSGRLFSRVMLFLVGFYWINETHRDSANTQENSKTEGINQSEEQERPGAIVSNHVSYLDILYHMSSSFPSFVAKRSVAKIPLVGLISKCLGCVYVQRESKSSDFKGVAGVVTERVCEAHQNESAPMMMLFPEGTTTNGDFLLPFKTGAFLARAPVVPVILRYPYQRFSVAWDSISGLRHVVFLLCQFVNRMEVTWLPVYYPSQQEKDDQKLYANNVRRLMANEGNLILSDIGLAEKRTYHAALNGLFCQS >EOY16338 pep chromosome:Theobroma_cacao_20110822:8:6757739:6763013:-1 gene:TCM_035150 transcript:EOY16338 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-ascorbate peroxidase T isoform 3 MAERLSQLTQIVKVQSSSPSQSQSSSLKRPMASSLGTAASSRIFAASSSKARLYLRSSYSSSPSLISFSSSKSLALCPRFCRHQRTSAVNVSSSGRFSTGASPKCAASDPDQLKSAREDIKELLKSKFCHPILVRLGWHDAGTYNKNIEEWPQRGGANGSLRFEVELKHAANAGLVNALKLIQPIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGRVDVSGPNECPEEGRLPDAGPPSPADHLREVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGKPETKYTKDGPGAPGGHSWTVQWLKFDNSYFKDIKAKKDEDLLVLPTDAALFEDPSFKVYAEKYAEDQETFFKDYAEAHAKLSNLGAKFDPPEGIVLDAGPTQAAPEKFVAAKYSTGKVFLVLLCRVL >EOY16339 pep chromosome:Theobroma_cacao_20110822:8:6757855:6763208:-1 gene:TCM_035150 transcript:EOY16339 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-ascorbate peroxidase T isoform 3 MAERLSQLTQIVKVQSSSPSQSQSSSLKRPMASSLGTAASSRIFAASSSKARLYLRSSYSSSPSLISFSSSKSLALCPRFCRHQRTSAVNVSSSGRFSTGASPKCAASDPDQLKSAREDIKELLKSKFCHPILVRLGWHDAGTYNKNIEEWPQRGGANGSLRFEVELKHAANAGLVNALKLIQPIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGRVDVSGPNECPEEGRLPDAGPPSPADHLREVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGKPETKYTKDGPGAPGGHSWTVQWLKFDNSYFKDIKAKKDEDLLVLPTDAALFEDPSFKVCRCMLRNMLKIRRHSSRIMQKPMPNLATLGPNLILQRESCQKL >EOY16335 pep chromosome:Theobroma_cacao_20110822:8:6755334:6763348:-1 gene:TCM_035150 transcript:EOY16335 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-ascorbate peroxidase T isoform 3 MAERLSQLTQIVKVQSSSPSQSQSSSLKRPMASSLGTAASSRIFAASSSKARLYLRSSYSSSPSLISFSSSKSLALCPRFCRHQRTSAVNVSSSGRFSTGASPKCAASDPDQLKSAREDIKELLKSKFCHPILVRLGWHDAGTYNKNIEEWPQRGGANGSLRFEVELKHAANAGLVNALKLIQPIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGRVDVSGPNECPEEGRLPDAGPPSPADHLREVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGKPETKYTKDGPGAPGGHSWTVQWLKFDNSYFKDIKAKKDEDLLVLPTDAALFEDPSFKVYAEKYAEDQETFFKDYAEAHAKLSNLGAKFDPPEGIVLDAGPTQAAPEKFVAAKYSTGKRELSEAMKQKIRAEYEGLGGSPDKPLPTNYFLNIMIIIGVLALLTSLMVLVAF >EOY16336 pep chromosome:Theobroma_cacao_20110822:8:6757732:6763348:-1 gene:TCM_035150 transcript:EOY16336 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-ascorbate peroxidase T isoform 3 MAERLSQLTQIVKVQSSSPSQSQSSSLKRPMASSLGTAASSRIFAASSSKARLYLRSSYSSSPSLISFSSSKSLALCPRFCRHQRTSAVNVSSSGRFSTGASPKCAASDPDQLKSAREDIKELLKSKFCHPILVRLGWHDAGTYNKNIEEWPQRGGANGSLRFEVELKHAANAGLVNALKLIQPIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGRVDVSGPNECPEEGRLPDAGPPSPADHLREVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGKPETKYTKDGPGAPGGHSWTVQWLKFDNSYFKDIKAKKDEDLLVLPTDAALFEDPSFKVYAEKYAEDQETFFKDYAEAHAKLSNLGAKFDPPEGIVLDAGPTQAAPEKFVAAKYSTGKD >EOY16340 pep chromosome:Theobroma_cacao_20110822:8:6758339:6763208:-1 gene:TCM_035150 transcript:EOY16340 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-ascorbate peroxidase T isoform 3 MAERLSQLTQIVKVQSSSPSQSQSSSLKRPMASSLGTAASSRIFAASSSKARLYLRSSYSSSPSLISFSSSKSLALCPRFCRHQRTSAVNVSSSGRFSTGASPKCAASDPDQLKSAREDIKELLKSKFCHPILVRLGWHDAGTYNKNIEEWPQRGGANGSLRFEVELKHAANAGLVNALKLIQPIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGRVDVSGPNECPEEGRLPDAGPPSPADHLREVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGKPETKYTKDGPGAPGGHSWTVQWLKFDNSYFKDIKAKKDEDLLVLPTDAALFEDPSFKVYAEKYAEDQETFFKDYAEAHAKLSNLGAKFDPPEGIVLDAGPTQAAPEKFVAAKYSTGK >EOY16337 pep chromosome:Theobroma_cacao_20110822:8:6758402:6762967:-1 gene:TCM_035150 transcript:EOY16337 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-ascorbate peroxidase T isoform 3 MAERLSQLTQIVKVQSSSPSQSQSSSLKRPMASSLGTAASSRIFAASSSKARLYLRSSYSSSPSLISFSSSKSLALCPRFCRHQRTSAVNVSSSGRFSTGASPKCAASDPDQLKSAREDIKELLKSKFCHPILVRLGWHDAGTYNKNIEEWPQRGGANGSLRFEVELKHAANAGLVNALKLIQPIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGRVDVSGPNECPEEGRLPDAGPPSPADHLREVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGKPETKYTKDGPGAPGGHSWTVQWLKFDNSYFKDIKAKKDEDLLVLPTDAALFEDPSFKVCRCMLRNMLKIRRHSSRIMQKPMPNLATLGPNLILQRVLC >EOY16801 pep chromosome:Theobroma_cacao_20110822:8:11359579:11361389:1 gene:TCM_035681 transcript:EOY16801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MDRSLQALVAAILSFLLVSLILACIFLICKSTKKPNRQNPPQTRSLTQTRPAPNPPDPSTCDSAAFDPSINRLDMEELATATKNFSSDLIIGDGSFGYVYRATLSNGVTVAIKKLDPNAFQGLREFRAEMETLGKLRHPNIVKILGFCSSGLDRVLIYEFIEKGSLDQWLYDTSAAEEQENSVGRLTLSWETRKKIVRGIANGLAYLHGLDTPIIHRDIKASNVLLGKNFEAHIADFGLARQIQEAHTHVSTQVAGTMGYMPPEYREGNTAATVMADAYSFGILMIEIATQNRPNWPVRFEGKDVGLVEWARKMVDRNRQTEMVYEKIPRKGLIEDEVKEYFRIACMCTNEISKERPAMNQVVELLGQHLAISFPYMVRKKFPRLGVCNSGFRVECS >EOY15222 pep chromosome:Theobroma_cacao_20110822:8:2625101:2626066:1 gene:TCM_034365 transcript:EOY15222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRKLPRFQLIRNCNLMVKRCLATSSMGEALTRGESKWIGEKWCVPWLQFQFSPSSAWIRWETGVGDLKASRDFAFSIRILWFLCMPTATLSAAEIHLRKIPQTCVWMKFIQNRLLISKPIQPTR >EOY17125 pep chromosome:Theobroma_cacao_20110822:8:17960121:17969320:-1 gene:TCM_036295 transcript:EOY17125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKMPLVHLDLKQNDFTDLLDIWERWGVTTRANFDKRYGHIARLLKIQIDDQLLKVIVQFWDPSYRGQKTEHRRKLAKMMGVTPREVDQNLRKNGDNECIPWSFRRSCTMKHQDTEQGQLVMA >EOY15393 pep chromosome:Theobroma_cacao_20110822:8:3129036:3130115:-1 gene:TCM_034473 transcript:EOY15393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASNKEDIVPSQQASLLECCMCGDSGLTHELFQCKVCQFRSQHSYCSNLYPKAESYKVCNWCLNQKEDSKEKSQNSCNSSASCKDNSEDDSKNKKKSDHHNQGPTGLKGSQRNNLKLQLIKNPIKKPKSPEKSPTTTRKRIITNARLEEKLRRTKSEEISNSGLITRHVFRNKVRRYKLLDEVSS >EOY16815 pep chromosome:Theobroma_cacao_20110822:8:11553767:11558118:-1 gene:TCM_035703 transcript:EOY16815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low affinity potassium transport system protein kup isoform 1 MLLTVEGGGFFSSSASGYSKGLTLLLLGQKHEDRPMRVSPWNHYQLVDQEPDPDLQLASIKNRLSRGCASFVCFGRTSAGLDTPSPLKVGPVQQQDVLPGPLDSDKSNDHTSHLEDGNSNARKVALKSSLKKPSNSTPVPLEDVNDHEASGEKDGDIPSHTERRKVQWTDACGSELAEIKEFEPSETGGSDDEFDSGSERTCSCTIM >EOY16814 pep chromosome:Theobroma_cacao_20110822:8:11553583:11558223:-1 gene:TCM_035703 transcript:EOY16814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low affinity potassium transport system protein kup isoform 1 MLLTVEGGGFFSSSASGYSKGLTLLLLGQKHEDRPMRVSPWNHYQLVDQEPDPDLQLASIKNRLSRGCASFVCFGRTSAGLDTPSPLKVGPVQQQDVLPGPLDSDKSNDHTSHLEDGNSNARKVALKSSLKKPSNSTPVPLEDVNDHEASGEKDGDIPSHTERRKVQWTDACGSELAEIKEFEPSETGGSDDEFDSGSERTCSCTIM >EOY15229 pep chromosome:Theobroma_cacao_20110822:8:2649793:2651725:1 gene:TCM_034370 transcript:EOY15229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate N-benzoyltransferase protein, putative MEVEIMSKESIKPSCPTPHHLRTYKLSLLDQLMQSAHVPMILFYRPINCDSNNMSVVGERLERLKQSLSETLTSFYPFAGRIKDGLYIDCNNSGVQYFEAKISCSLSEILSKPDSQMIRQLLPSNLSRLETPNAGIPVAMIQVNILKCGGIAIGTQTSHKIIDGPTSTTFLKAWAASARGSGEAPRPSFIAPLLFPQNSLLPSDTMLAIWPSLLKFGKCVTRRFVFDASGIATLKAKASSSSFVRNPTLVESVSAFIWICVTAASRIRYGSLRPSVLSHIVNLRGKTATSLPEHSIGNLLWMATAQCHAVANLELQSLGALLRKSIMETSGEFVDQLQGEKGFQKVPECLTELGEVHSNGGADYFAFSSMCKVGIYEADFGWGKPMWVSPGGIDGLVFQNLVFLIETRNGDGIEAWVTLDEQDMAILQPLICFPGSESF >EOY16256 pep chromosome:Theobroma_cacao_20110822:8:6443167:6451716:-1 gene:TCM_035094 transcript:EOY16256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAEEVDDSLAPETDAKEAVEPTAASEDIESRITTAMRSRVGHFKEQAEYTHSLSGSCSLTFEGVRRLLEKDLGLETFALDVHKRFVKQCLLKCLDGGDDDDAPKSSGETGEKNLSTTTEVTESPKGRQSKKDVKEAFSEDEEKLEDSPVLGLLTGHKTTKTETMETETKENKDVFESTIKKAIKKRASYVEANSEKVTMAGLRRLLEEDLKLDKDTLDPYKKFITEQLDEVLKSREVSAPASVVKKNNLKKNSQSKASKKASKKLSSASSGSESDEEEGEEEEDEDEDEDVDEEEEEEEEEVKPKKKISAKGKIKNSEGLKKRKIPKKEAEMPSKKRSKHAESISDDNSDAEDSGSVSDDNRSRSSAAKAVKRKETSTPVYGKHVEHLKSVIKSCGMSVPPAIYKRVKQVPENNREAQLIKELEEILSKEGLSSNPSEKGQDSNLYTTVDGHVVTDPPIQLLPLDLRVHLHERGSRSQSYHPPQDLESQGVVVTFRIFHSDSHWFLTLLGLHSLGQVINHSLHLCQLSLLGLISSTSTSASTNDSLLAKNLCRIVGLFALIPFDVTGRKRKERAKELEGIDTSNIVLSSRRRSTTSFVAPPKPKIPDASDDDESEESDDNDDDDDDDEDNDDEDGGDEGNSQSEGSDEGIGDSNLSLCSTLGIYDCSTGHVKGL >EOY16258 pep chromosome:Theobroma_cacao_20110822:8:6443418:6451771:-1 gene:TCM_035094 transcript:EOY16258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAEEVDDSLAPETDAKEAVEPTAASEDIESRITTAMRSRVGHFKEQADSLTFEGVRRLLEKDLGLETFALDVHKRFVKQCLLKCLDGGDDDDAPKSSGETGEKNLSTTTEVTESPKGRQSKKDVKEAFSEDEEKLEDSPVLGLLTGHKTTKTETMETETKENKDVFESTIKKAIKKRASYVEANSEKVTMAGLRRLLEEDLKLDKDTLDPYKKFITEQLDEVLKSREVSAPASVVKKNNLKKNSQSKASKKASKKLSSASSGSESDEEEGEEEEDEDEDEDVDEEEEEEEEEVKPKKKISAKGKIKNSEGLKKRKIPKKEAEMPSKKRSKHAESISDDNSDAEDSGSVSDDNRSRSSAAKARKETSTPVYGKHVEHLKSVIKSCGMSVPPAIYKRVKQVPENNREAQLIKELEEILSKEGLSSNPSEKEIKEVRKRKERAKELEGIDTSNIVLSSRRRSTTSFVAPPKPKIPDASDDDESEESDDNDDDDDDDEDNDDEDGGDEGNSQSEGSDEEADEDSD >EOY16257 pep chromosome:Theobroma_cacao_20110822:8:6443110:6452008:-1 gene:TCM_035094 transcript:EOY16257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAEEVDDSLAPETDAKEAVEPTAASEDIESRITTAMRSRVGHFKEQADSLTFEGVRRLLEKDLGLETFALDVHKRFVKQCLLKCLDGGDDDDAPKSSGETGEKNLSTTTEVTESPKGRQSKKDVKEAFSEDEEKLEDSPVLGLLTGHKTTKTETMETETKENKDVFESTIKKAIKKRASYVEANSEKVTMAGLRRLLEEDLKLDKDTLDPYKKFITEQLDEVLKSREVSAPASVVKKNNLKKNSQSKASKKASKKLSSASSGSESDEEEGEEEEDEDEDEDVDEEEEEEEEEVKPKKKISAKGKIKNSEGLKKRKIPKKEAEMPSKKRSKHAESISDDNSDAEDSGSVSDDNRSRSSAAKAVKRKETSTPVYGKHVEHLKSVIKSCGMSVPPAIYKRVKQVPENNREAQLIKELEEILSKEGLSSNPSEKEIKEVRKRKERAKELEGIDTSNIVLSSRRRSTTSFVAPPKPKIPDASDDDESEESDDNDDDDDDDEDNDDEDGGDEGNSQSEGSDEEADEDSD >EOY17002 pep chromosome:Theobroma_cacao_20110822:8:16346818:16372711:1 gene:TCM_036126 transcript:EOY17002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor protein kinase family protein MGGKEGGYKCSKMSLEIKVYILTKLSYNFNLMKGKVPSMSLDSNIKVLQLWGNHLSGNIPRSIGNLTRLEDLELDYNSLEGEIPSEIGNLIKLEIFYAADMHLSGQIPPSIFNISSLKYIGVQNNSLSGKLPCMSLDSNLEVLRLWGNYLCGNIPDCIFNAWKLKILSLNQNSFSGLIPRSIGNLTKIKELYLEENSFEGNGSNDFKAKFRTPWPDSVKETLDLESMAKSGWEVSDWHSTDKSKAKA >EOY16091 pep chromosome:Theobroma_cacao_20110822:8:5751669:5760732:-1 gene:TCM_034969 transcript:EOY16091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSGSRAANLEIQLYDFSEGQLIDNRNMVGKYQQSETVGNLSMATVEAGPPRGSSPPLTNQPKHKQSLLASEFPSENNAPNTEEAPQEQETPDVVELESPPGRDYQFQLDQMNANPDASGHRAVVRPDNSNPVHKRVEFVSSPGTTVESFLHANSTQNLMQPAYSGFEGSGSSRGDHATDAHQTETPPYSPLIMQPTHSFPLALAEKMPENGSYSAQSFYSEHEVNSVQANQLNGQIGSSSLTEQCLRPQRVEPLNRGKAVVADQFEQNIPNNYFNDNQQLPFSTLFPRSPSGIGFLNGGPTQFSQHLPSWLSSNENHLYTPLALLGSQVNQIKATNSSDPQCYNFMQPRTSAPLRPQQLNNPLQLLNQVPNMPNASDPLLQQSALTKHSMPMQLMPQLFPYQHTQFPMAPGPYNSQTPSYMLPESSMPLSSSSLAELRSPILPPYLQTNPLDVSDFQYRNSLQSKPVTLSSSYQLPAIQQASNQFSMMPSLPNLEHHNSELPESSLLPRAPTVQQQGLLTPTVRPIATYPSSGASLSSLVFNSLLQQDNAGASAPHQMETSLSSFNEQEILGRRGHARGRLELGESSSFKRFRRESVMPQASSAEPVNITSLSPQNEAASPSAASFSHPRQIKNSVYDPIYEGLGLPIDPHLRMFASRNLMG >EOY16929 pep chromosome:Theobroma_cacao_20110822:8:15210818:15213220:-1 gene:TCM_036011 transcript:EOY16929 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein isoform 1 MGIDLYQRTWFITFVIVIFQYSNGVAGSERAPALFVFGDSLVDVGNNNFLSSIAKSNYFPYGIDFNMQPTGRFSNGKTFVDIIGEMLGVPYPPAFADPNSVGVKILGGMNYASAAAGILDETGQHYGARYSLSRQVVNFESTLDQLRTMMGSNVTNFLAKSIAIMVFGSNDYINNYLMPSIYSSSYTYSPSEFANLLLNHYGRQLLALYSVGLRKFFIAGVGPLGCIPNQRATGQAAPGRCVDYVNQILGTFNQGIKSLVEQLNNRPGAIFVYGNTYGAVGDILNDPSTYGFSVVDKGCCGIGRNQGQITCLPFAYPCPDRTQYVFWDAFHPTQAVNSILARRAFYGPPLDSYPINIQQMTLFN >EOY16930 pep chromosome:Theobroma_cacao_20110822:8:15210846:15212781:-1 gene:TCM_036011 transcript:EOY16930 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein isoform 1 MQPTGRFSNGKTFVDIIGEMLGVPYPPAFADPNSVGVKILGGMNYASAAAGILDETGQHYGARYSLSRQVVNFESTLDQLRTMMGSNVTNFLAKSIAIMVFGSNDYINNYLMPSIYSSSYTYSPSEFANLLLNHYGRQLLFMELMQALYSVGLRKFFIAGVGPLGCIPNQRATGQAAPGRCVDYVNQILGTFNQGIKSLVEQLNNRPGAIFVYGNTYGAVGDILNDPSTYGFSVVDKGCCGIGRNQGQITCLPFAYPCPDRTQYVFWDAFHPTQAVNSILARRAFYGPPLDSYPINIQQMTLFN >EOY15686 pep chromosome:Theobroma_cacao_20110822:8:4246287:4251476:1 gene:TCM_047072 transcript:EOY15686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 7, putative MAREMKMALLVFLFFLFQVCSQLAFAHSAVKFLPGFEGPLPFELETGYVGVGDSEDVQLFYYFVKSERNPEEDPLLLWLTGGPGCSAFSGLVFEIGPLNFKADVEYNGSLPTLVLNPYSWTKVSNIIFVDSPVGTGFSYARNNLAALTGDFKQANQLHQFLRKWLKDHPDFISSPVYVSGDSYSGIPIPVLAQEILNGNEEGIRPIISLQGYVLGNPATVPSLEANLKIPYAHGMGLISDELYESLKRSCDGEYQTVDPSNVECEKDIQYFSKCISGIQPAQILEPICPFASPRPQEIGGKRDLEEQHGEVLLEDEPPPPPPLPTLGCRTYAYLLCYYWANDNNVQKALHIRKGSIGQWQRCTLGLPYTADVPSSFPYHANLSARGIRALIYSGDHDLTVPFLATQAWIRSLNYTIVDDWRPWVLRGQVVGYTRTYSNRMTFATVKGGGHTAPEYRPAECLAMFKRWISEEPL >EOY16790 pep chromosome:Theobroma_cacao_20110822:8:11173802:11175486:-1 gene:TCM_035670 transcript:EOY16790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITYGGHWVDDTYKGGETQVRGVGSDLSFSGLVKLVEEVVGVNSHNNEIELHASLSHAARVSRAVIRADEDGASILRDERTFVVFVTPGAHMRCLQMMSAQFRSECALNEILGTLQQTQLSLENALGPLSLANDTVMVVSDDDASDQIEDDVEEDDTADRNDELCYDCEDDYIGGHENRSEDDKVDQTDILDCSHADGGIGHTTIVVFEEVDLDDHGRTVELEDVEGVNPI >EOY14802 pep chromosome:Theobroma_cacao_20110822:8:1302991:1305870:1 gene:TCM_034072 transcript:EOY14802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma carbonic anhydrase 1, CA1 MGTLGKAVYTVGFWIRETGQALDRLGCRLQGSYLFQEQISRHRTLMNIFDKAPVVDKDAFVAPSASVIGDVQVGRGSSIWYGSVLRGDVNSISVGSGTNIQDNSLVHVAKSNLSGKVLPTIIGDNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVVVEKHAMVAAGALVRQNTRIPTGEIWGGNPAKFLRKLTDEEIAFISQSATNYSNLAQVHAAENAKAFDEIEFEKVLRKKFAHRDEEYDSMLGVVRETPPELILPDNVLPDKAQKSSEK >EOY16122 pep chromosome:Theobroma_cacao_20110822:8:5866133:5867857:-1 gene:TCM_034991 transcript:EOY16122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 METKKGNIELMFNQGLLTSAWGTLLTLLASLVTLQAGQLLSPRFQDLIELLIATQGKNRESYLGGRECEAVDAEGDGDEDNDDGGYGEGEEEDPSDEEGGEADHGNSPNDANGDSKKGTEGGANGAGEENGEEEEEENGNDDDRNEDDDDAPEDDENDDEDNEGGDEEEKEIVEDEEPEDEEEAEDEEEPVQPPKKRKK >EOY16123 pep chromosome:Theobroma_cacao_20110822:8:5865995:5868057:-1 gene:TCM_034991 transcript:EOY16123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 METKKGNIELMFNQGLLTSAWGTLLTLLASLVTLQAGQLLSPRDLIELLIATQGKNRESYLGGRECEAVDAEGDGDEDNDDGGYGEGEEEDPSDEEGGEADHGNSPNDANGDSKKGTEGGANGAGEENGEEEEEENGNDDDRNEDDDDAPEDDENDDEDNEGGDEEEKEIVEDEEPEDEEEAEDEEEPVQPPKKRKK >EOY15888 pep chromosome:Theobroma_cacao_20110822:8:4951138:4955948:1 gene:TCM_034819 transcript:EOY15888 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MAYQPIPGPSSGSSSSSGFQYINSPFGDTTYTKVFVGGLAWETQSETMRRYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPEAARRACADPTPIIDGRRANCNLASLGRPRPPVPYGRLRPASPYIGGVQATRGAYVGSFGYQPPLSYSYQQGLMYPSYGWTTVERSLVRVQLGMQKVVVAEDMCPSSMFIEQARYPTYGHEYLYPQGVYNPYAAQQYLQIYGVPGSAGTALYPYGQLGQTVPSGHGYSAVQGYALPSHQIVQFGGPSANAITTSAMPTIQTPYPGGMATPVPAQPQFIVTTPSQFMQGSGSDQTTG >EOY15889 pep chromosome:Theobroma_cacao_20110822:8:4951308:4956228:1 gene:TCM_034819 transcript:EOY15889 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MAYQPIPGPSSGSSSSSGFQYINSPFGDTTYTKVFVGGLAWETQSETMRRYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPEAARRACADPTPIIDGRRANCNLASLGRPRPPVPYGRLRPASPYIGGVQATRGAYVGSFGYQPPLSYSYQQGLMYPSYGYPTYGHEYLYPQGVYNPYAAQQYLQIYGVPGSAGTALYPYGQLGQTVPSGHGYSAVQGYALPSHQIVQFGGPSANAITTSAMPTIQTPYPGGMATPVPAQPQFIVTTPSQFMQGSGSDQTTG >EOY14924 pep chromosome:Theobroma_cacao_20110822:8:1693094:1697865:1 gene:TCM_034160 transcript:EOY14924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein MEITAIFLLFLALASDVVLSTNAQRSAVTSAEIRALTSFKRGIFHDPLGVLDGWDASTPSAPCDWRGIVCYNDRVRELRLPRLQLGGRLSDQLSALRELRKLSLHSNNFNGSIPDALSQCALLRAVYLQYNSFSGNLPPSIFNLTNLQVLNVAHNYLSGKIAVDIPWSLRYLDLSSNDFSGEIPSNFSAQSQLQLINLSYNQFSSGVPVSIGKLQELEYLWLDSNQLDGTLPSAIANCSSLMHLSAEDNMLKGLVPGSIGAVPNLQVLALSRNGLSGAVPESIFCKPSGNASASSLRIVQLGFNEFTELVKPQNNGSCVPVLEVLDLHENHIRGVFPSWLTSLTTLRIFDISGNFFTGLLPVEIGNLLNLEELRAANNSLTGLVPTQLLKCGSLKVVDLEGNRLSGKMPVFLSQMRSLTSISLGRNLFSGSIPYGFGNISGLETLNLSGNNLTGSVPEDIMRLSNLTTLNLSYNKFSGGVPDGLGDLLSLAVLNLSACGFSGRIPGSIGSLMKLTSLDLSKQQISGQLPIELFGLPSLQVVALEENRLSGDVPEGFSSLVGLQYLNLSSNEFTGRIPVTYGFLQSLVVLSLSYNRVSGTIPVELGNCFDLEVLQLRSNRLRGNIPGDISRLSHLKELDLGLNNLDGEIPEEMSRSSSMTTLLLDGNHLSGNIPDSLSKLSYLTTLNLSSNRLSGAIPSSLSNMSSLKYLNLSRNNLEGEIPNALGSRFDDPSVFSMNSELCGKPLNRECANVRNGKRRKLIILIAIAAGGACLLALCCCGYVYSLLRWRKKVREWATGEKKRSPASASSGADRSRGSGENGGPKLVMFNNKITLAETLEATRQFDEENVLSRGRCGLVFKATYQDGMVLSIRRLVDGSIDEGTFRKEAELLGKVKHRNITVLRGYYAGPPDLRLLVHDYMPNGNLGTLLQEASHQDGHMLNWPMRHLIALGIARGLAFLHSLSIVHGDVKPQNVLFDADFEAHLSEFGLERLTIATPAEASSSSTPVGSLGYVAPEAALSGQPAKEADVYSFGIVLLEILTGRKPVMFTQDEDIVKWVKKQLQKGQISELLEPGLLELDPESSEWEEFLLGVKVGLLCTAPDPLDRPSMADIVFMLEGCRVGPDIPSSADPTSLPSPI >EOY14678 pep chromosome:Theobroma_cacao_20110822:8:957107:960489:1 gene:TCM_033983 transcript:EOY14678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysophosphatidyl acyltransferase 4 MEVCRPLKPDDKLKHRPLTPFRFLRGLICLVVFLLTAFMFLAYLGPGAVLLRFFSLHYCRKATSFFFGLWLALWPFLFEKINRTKVVFSGDNAPQKERVLLIVNHRTEVDWMYLWDLAMRKGCLGYIKYILKSSLMKLPVLGWGFHILEFISVDRKWETDENVLRQMLSTFKNPRDPLWLALFPEGTDFTEEKCRNSQKFAAEVGLPVLTNVLLPRTRGFCLCLETLRDSLDAVYDLSIAYKHQCPFFLDNVFGVDPSEVHIHVRRIPVKEIPTSNAEAAAWLIDTFKLKDQLLSDFKSQGHFPNQGTQQELSSLKSLLNLTVIISLTAIFTYLTFSSNLYMIYVSLACLYLAYITHYKIRPMPVLSSVKPLSYPKGKRDE >EOY15013 pep chromosome:Theobroma_cacao_20110822:8:1958770:1962397:-1 gene:TCM_046885 transcript:EOY15013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Winged-helix DNA-binding transcription factor family protein, putative MANSSEDPSRSYHPSQFQFQLLHSHSQSLSIESNQNQNQPLSYGKRMFPASLGRPPLLFSSFDQTNARLDHAPSAFPTPPTKERESDEAQTSGRSDVPGHGKVVNRPLLEPEHTKGGKHNSKAKAKKNAKSGTQKQNADSPNGLNAAGNCRYDSSLGLLTKKFVNLIMEAKDGTLDLNRTAEVLEVQKRRIYDITNVLEGIGLIEKTSKNHIRWKGSDDMGSKVLDDQVTRLKAEIQSLYAEEQKLDEYIREKQESLRSLDEDKNYQKYLFLTEEDIMSLPCFQNQTVFAIKAPENTYIEVPDPDEDIGFPQKPMQRQYKMIIRSHMGPIDLYLLSKYEGQVEDTTVKQAKSVDTSSSYGLLCGVECPELSSEEKASQNNSSKTVNLVCPEAYGIQKLIPTVSEADDDYWFLSDPGVSITDLWDT >EOY15224 pep chromosome:Theobroma_cacao_20110822:8:2627314:2630333:1 gene:TCM_034366 transcript:EOY15224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLIKLWNFVMKFLLCLNIALGFVVAFRLPCLIKIWLFTCFFNLDTGIANEKDWGISLLSENVNESGTNEDGSTWYRESGEDLGENGYRCRWTRMGGQSHDGSSEWKETWWEKSDWSGYKELGVEKSGRNAEGDSWWETWQEVLHQDEWSNLARIERSAQKQAKSGTENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGSVLKWTDKWAETELGTKWGDKWEEKFFSGIGSRQGETWHVSPSGERWSRTWGEEHFGNGKVHKYGKSTTGESWDIVVDEETYYEAEPHYGWADVVGDSSQLLSIQPRERPPGVYPSLDFGSSPPQVDEQPDMPPPSQ >EOY15223 pep chromosome:Theobroma_cacao_20110822:8:2626125:2630812:1 gene:TCM_034366 transcript:EOY15223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAVSSRGFATQVEFKLSKLHVSRGLAPSRRKRMGFQRDRLAVLSRISCCCSDPVVPIRGATGSGKSKEKAEEWRFDHKKSPHRVRVHASPTMPFPSAQSRFASKQEKFYPRCTPRNSGPQSRDTPPKRDTGIANEKDWGISLLSENVNESGTNEDGSTWYRESGEDLGENGYRCRWTRMGGQSHDGSSEWKETWWEKSDWSGYKELGVEKSGRNAEGDSWWETWQEVLHQDEWSNLARIERSAQKQAKSGTENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGSVLKWTDKWAETELGTKWGDKWEEKFFSGIGSRQGETWHVSPSGERWSRTWGEEHFGNGKVHKYGKSTTGESWDIVVDEETYYEAEPHYGWADVVGDSSQLLSIQPRERPPGVYPSLDFGSSPPQVDEQPDMPPPSQ >EOY15291 pep chromosome:Theobroma_cacao_20110822:8:2822148:2823616:-1 gene:TCM_034405 transcript:EOY15291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDVVIDVLLHQQSLGNRVDKVFTTMAYENMVNELHEKIGMPIEKGRGGFAWGLDTKMWTAKPELWKALAKSKPDSKKWMTTRISNYDKLLMLFANDREKEDGAKGGQWTSSIGGVLFDGIPLQDVVGLTMEDNDMNDTTERGRPRVYYSEQEVFAELVNIGVDTQLRHKAYTFLIANAARVRALFGCPAEERKEYLSQMMYSSEDSAY >EOY15219 pep chromosome:Theobroma_cacao_20110822:8:2612707:2613336:-1 gene:TCM_034362 transcript:EOY15219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGDVSVTPSAWTTELIMYLSGSGSVFFDKHSSINFQFLTFFQDINRLPFPVHFCCNRTKFYFQTNCCSTIWNRSPGPTRTIFRLIPDCLISWRKNKSRINDMGERRTLTK >EOY16461 pep chromosome:Theobroma_cacao_20110822:8:7174026:7181604:1 gene:TCM_035220 transcript:EOY16461 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sugar pyrophospharylase MALAAAEILSNLNINGGDWPPNLVKNLHLLSPDQIELAKMLLKMGQSHLFQHWAEPGAEDDQKKAFFAQVAKLNSSYPGGLASYIKTARELLADSKAGKNPYDGFTPSVPTGEILSFGDENFIKFEEVGIKEAQNAAFVLVAGGLGERLGYNGIKVALPAETTTGTCFLQLYIEHILALQEASSRLTKGACQKEIPFVIMTSDDTHASTLELLESNSYFGMKPTQVKLLKQEKVACVDDNDARLALDPHNKYKIQTKPHGHGDVHSLLYSSGLLNIWRDAGLRWVLFFQDTNGLLFKAIPASLGVSATKQYHVNSLAVQRKAKEAIGGITRLTHSDGRSMVINVEYNQLDPLLRATGHPDGDVNCETGYSPFPGNINQLILELGPYIEELSKTGGAIKEFVNPKYKDASKTSFKSSTRLECMMQDYPKTLPPTARVGFTVMDTWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILKKAGVQVVDAVQQVFNGQEVDVWPRVTWNPKWGLTFSDIKNKVSGSCSISQRSTMALKGRDIFLEDLSLDGALIVDSVDGAEVKVEGSIQNKGWLLESIDYKDTAIPEELRIRGFKINKIEQLKKTYGEPGKYSLKP >EOY14670 pep chromosome:Theobroma_cacao_20110822:8:926325:932440:1 gene:TCM_033975 transcript:EOY14670 gene_biotype:protein_coding transcript_biotype:protein_coding description:LIM and UIM domain-containing MDWIKKIFKGCAHKFSEGHHHGNYVEDPHPQFNAPSVSGDAWQELENEDVDRAIALSLLGESQKGRKVIDDEYQLEEDEQLARALQESLNFEPPPQYENANMYQPMPVHFPMGYRICAGCNTEIGHGRFLNCLNAFWHPECFRCHACNLPISDYEFSMSGNYRFHKSCYKERYHPKCDVCNDFIPTNPAGLIEYRAHPFWIQKYCPSHEHDSTPRCCSCERMEPQDTGYVALNDGRKLCLECLDSAVMDTKQCQPLYLDILEFYEGLNMKVEQQVPLLLVERQALNEAREGEKNGHYHMPETRGLCLSEEQTVSTILRQPRFGTGNRAMDMITEPCKLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWMRLQGFRTLSQDVEEGICQVLAHMWLLTQLEYASSSNVASASSSASSRLQKGKRPQFEGKLGEFFKHQIESDTSPVYGDGFRAGHQAVYKYGLRRTLEHIRMTGRFPY >EOY16865 pep chromosome:Theobroma_cacao_20110822:8:12493168:12508015:1 gene:TCM_035797 transcript:EOY16865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNSEKKGKDSRSIEEGSMESTTQSHYVQECESPKSKLTRIPIRWAPSGSEIIFKSWRSLERMAHTPINFKEKDKEKVEKVTVKTIIQGKYLLFEISLLVVGEELHPLVRKIM >EOY14397 pep chromosome:Theobroma_cacao_20110822:8:179201:183640:1 gene:TCM_033790 transcript:EOY14397 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein isoform 2 MNPLCNSLKSLHLNPAPLLSKPGLHFSHSLSPAFPTPFKTKSPSRQCHLTIKFIASNANAVEIDMVRNKQGVYAPKQKKVVILWDLDNKPPRGPPYEAVVALKKVAEKFGEIVDMSAYANRHAFIHLPQWVLKERRERKNLDILERKGIVTLSDPYICGVCGRKCKTNLDLRKHFKQLHERERQKKLNRMKSLKGKKRQRFKERYISGNHKYNEAARSLVTPKVGYGLASELRRAGVYVKTVEDKPQAADWALKRQMQHSMSRGIDWLFLVSDDKDFTEMLRRAREENLGTVVVGDRDRGLGRHADLWVSWIGVENGEVTEKDLVPKRWRREDDGSFSVSEFDSRSLGELDGVVNELVVGRNEFGGLRISVFSEGEEGDEDEWEIEKADDEDYLLEDSEDEVIFEEDGYFL >EOY14396 pep chromosome:Theobroma_cacao_20110822:8:178886:183930:1 gene:TCM_033790 transcript:EOY14396 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein isoform 2 MATALWLLIFRLDQCLSKCLQQNNQSPTSLHSTRKQNKRKGKQRADPTNEAMNPLCNSLKSLHLNPAPLLSKPGLHFSHSLSPAFPTPFKTKSPSRQCHLTIKFIASNANAVEIDMVRNKQGVYAPKQKKVVILWDLDNKPPRGPPYEAVVALKKVAEKFGEIVDMSAYANRHAFIHLPQWVLKERRERKNLDILERKGIVTLSDPYICGVCGRKCKTNLDLRKHFKQLHERERQKKLNRMKSLKGKKRQRFKERYISGNHKYNEAARSLVTPKVGYGLASELRRAGVYVKTVEDKPQAADWALKRQMQHSMSRGIDWLFLVSDDKDFTEMLRRAREENLGTVVVGDRDRGLGRHADLWVSWIGVENGEVTEKDLVPKRWRREDDGSFSVSEFDSRSLGELDGVVNELVVGRNEFGGLRISVFSEGEEGDEDEWEIEKADDEDYLLEDSEDEVIFEEDGVVLHCHQICKWSSCPLTGKGPFRLCGSLPISLLIDGIKVVCLVWDEQVTEPFIHKRVASALSFMAYRE >EOY14399 pep chromosome:Theobroma_cacao_20110822:8:179201:181858:1 gene:TCM_033790 transcript:EOY14399 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein isoform 2 MNPLCNSLKSLHLNPAPLLSKPGLHFSHSLSPAFPTPFKTKSPSRQCHLTIKFIASNANAVEIDMVRNKQGVYAPKQKKVVILWDLDNKPPRGPPYEAVVALKKVAEKFGEIVDMSAYANRHAFIHLPQWVLKERRERKNLDILERKGIVTLSDPYICGVCGRKCKTNLDLRKHFKQLHERERQKKLNRMKSLKGKKRQRFKERYISGNHKYNEAARSLVTPKVGYGLASELRRAGVYVKTVEDKPQAADWALKRQMQHSMSRGIDWLFLVSDDKDFTEMLRRAREENLGTVVVGDRDRGLGRHADLWVSWIGVENGEVTEKDLVPKRWRREDDGSFSVSEFDSRSLGELDGVVNELVVGRNEFGGLRISVFSEGEEGDEDEWEIEKADDEDYLLEDSEDEVIFEEDGYFL >EOY14398 pep chromosome:Theobroma_cacao_20110822:8:179201:182246:1 gene:TCM_033790 transcript:EOY14398 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein isoform 2 MNPLCNSLKSLHLNPAPLLSKPGLHFSHSLSPAFPTPFKTKSPSRQCHLTIKFIASNANAVEIDMVRNKQGVYAPKQKKVVILWDLDNKPPRGPPYEAVVALKKVAEKFGEIVDMSAYANRHAFIHLPQWVLKERRERKNLDILERKGIVTLSDPYICGVCGRKCKTNLDLRKHFKQLHERERQKKLNRMKSLKGKKRQRFKERYISGNHKYNEAARSLVTPKVGYGLASELRRAGVYVKTVEDKPQAADWALKRQMQHSMSRGIDWLFLVSDDKDFTEMLRRAREENLGTVVVGDRDRGLGRHADLWVSWIGVENGEVTEKDLVPKRWRREDDGSFSVSEFDSRSLGELDGVVNELVVGRNEFGGLRISVFSEGEEGDEDEWEIEKADDEDYLLEDSEDEVIFEEDGYFL >EOY16425 pep chromosome:Theobroma_cacao_20110822:8:7048820:7063675:-1 gene:TCM_035203 transcript:EOY16425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLRDEHAAMSHTAALEMDSAEKRLNDLGYKPELRREMTFFKTLAITFSSMAVLTGTPLYGPSLRYAGPASIIWGWREEFKGSTPKRRRLRESPKPQNGAFRREPSGPRKRAKRTKKAGQADQGSGPSEPRKRAKQTKEAGQADLRAAQTTWAILPAQARPLVRCTSGLPIWLDLDGGHLHHSAAFGSRLLVSFLDLAPRPIQGHRHCKLSFFYPLEPAKVEDTLLLELFSCVCIYASPSYGQFSTPSLYGSSNFLDSISVWWQVIGGLVVIIMLPLVAPPTQPASYVFTHFETSPDSTGISSKPYAVILSDPNHLYDVNNETAGALVPAQIIYDAYHGRFQNPAGPLVFLCIIWGSFFFCGLSVTTSAARAVYALSRDGGIPFSHIWSKVHPKHKVPTNAVWLCAAISIILGLPILKLDVVFTAIISISTIEWVGSYAVPILARLVMDEKNFRPGPFHLGRSRRPVCLVAFLGICYTCSAFLLPTLYPIRWTTFNYAPVALGIVLAMVMLWWILDARKWFKGPVRNIDTLMQNGGR >EOY14620 pep chromosome:Theobroma_cacao_20110822:8:737944:741353:1 gene:TCM_033935 transcript:EOY14620 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein, putative isoform 1 KIPVGFNRNLEGRTSGSVLLRGPSGYSWVVELVRKDDDLLFVEGWADFVRDHSVECGDFLVFRYDGDLVFDVKVFDQSSCEKEAAFHCKCSQGGSEYDRIVGQKRGREDGGVSLDQDCEGLVKRTRESSSEFDRDVADKEHCGYEPILAAKTRRGLASCDENNEGTILKTSGTEDLNLHGGGCTPMVAEFEEKKVAQSFNSSFPFFVRIMKRFNVSGSYTLNIPYQFAMEHLPKCKTEIVLRNLKGACWTVNSVPTTRVHTSHTLCGGWLGFVRSNEIKVGDICIFEFVRKFELRVHILRVGGEDPDRQSGKAVSNVLINRSDATLPIKFVKKSSKVHSKSMKKVQMCDNKGFKMLDKKKYGNAAKKSASVALCSLSRSGNEKQAIGGLRMMLALDEEKAAQSFASGFPSFVRIMRKFNVSGSYTLKIPYKFSKAYLPYCKTEVVLRNMQGKWWTVNSVPDSKGRAVHTFCGGWMAFVRDNDIKMGDICIFELVNKCEMYVHISGSGRKGLDHQHASTELLTLR >EOY14619 pep chromosome:Theobroma_cacao_20110822:8:737578:741562:1 gene:TCM_033935 transcript:EOY14619 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein, putative isoform 1 MLKLRRRPHFLNVFSSTSSANRLKIPVGFNRNLEGRTSGSVLLRGPSGYSWVVELVRKDDDLLFVEGWADFVRDHSVECGDFLVFRYDGDLVFDVKVFDQSSCEKEAAFHCKCSQGGSEYDRIVGQKRGREDGGVSLDQDCEGLVKRTRESSSEFDRDVADKEHCGYEPILAAKTRRGLASCDENNEGTILKTSGTEDLNLHGGGCTPMVAEFEEKKVAQSFNSSFPFFVRIMKRFNVSGSYTLNIPYQFAMEHLPKCKTEIVLRNLKGACWTVNSVPTTRVHTSHTLCGGWLGFVRSNEIKVGDICIFEFVRKFELRVHILRVGGEDPDRQSGKAVSNVLINRSDATLPIKFVKKSSKVHSKSMKKVQMCDNKGFKMLDKKKYGNAAKKSASVALCSLSRSGNEKQEAAIGGLRMMLALDEEKAAQSFASGFPSFVRIMRKFNVSGSYTLKIPYKFSKAYLPYCKTEVVLRNMQGKWWTVNSVPDSKGRAVHTFCGGWMAFVRDNDIKMGDICIFELVNKCEMYVHISGSGRKGLDHQHASTELLTLR >EOY16325 pep chromosome:Theobroma_cacao_20110822:8:6717660:6721088:1 gene:TCM_035143 transcript:EOY16325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator ARR9 isoform 1 MELKSDNIQDRPEQQQQQMQQQQQEQQEQQHFHVLAVDDSVIDRKLLEKLLKVSSYQVTCVDSGDKALEYLGLLNNLDCHSTTSSSSSSSSSSSSSCSQSSQQEGLKVNLIMTDFCMPGMSGYDLLKRVKGSSWKDVPVVVMSSENVPSRISMCLEGGAEEFLLKPLQLSDLDKIQAYLVKSLDHSCTNMDKDLIGDNNDNDDNSNNNYSEDSNNDNGNSNNSNNNFSKRKAISSEATERRPKMKGVAVIV >EOY16326 pep chromosome:Theobroma_cacao_20110822:8:6717737:6721112:1 gene:TCM_035143 transcript:EOY16326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator ARR9 isoform 1 MELKSDNIQDRPEQQQQQMQQQQQEQQEQQHFHVLAVDDSVIDRKLLEKLLKVSSYQVTCVDSGDKALEYLGLLNNLDCHSTSSSSSCSQSSQQEGLKVNLIMTDFCMPGMSGYDLLKRVKGSSWKDVPVVVMSSENVPSRISMCLEGGAEEFLLKPLQLSDLDKIQAYLVKSLDHSCTNMDKDLIGDNNDNDDNSNNNYSEDSNNDNGNSNNSNNNFSKRKAISSEATERRPKMKGVAVIV >EOY17257 pep chromosome:Theobroma_cacao_20110822:8:18719104:18721095:1 gene:TCM_036402 transcript:EOY17257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMGWIPINLSNLAKNVQIGTLSLSMILYQLFCLIHVMEVLYHEEQLTSKVGGFCITKWSYQEQQQ >EOY15911 pep chromosome:Theobroma_cacao_20110822:8:4989943:4992593:-1 gene:TCM_034834 transcript:EOY15911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylem cysteine peptidase 1 MAFSSISKIFLVSFSLCLFACSALAHDFSILGYSPDDLTSTDKLIDLFESWMSKHKKVYDSIEEKLHRFDIFKDNLMHIDETNKKISNYWLGLNEFADLSHEEFKKMYLGLKVDLSRISGRSESDEEFTYREVMDLPKSVDWRKKGAVTRVKNQGSCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELIDCDTTYNNGCNGGLMDNAFAYIIANGGLHREDDYPYIMEEGTCEMRKEQSNLVTISGYRDVPKNNEESLLKALANQPVSVAIQASGRDFQFYSGGVFDGHCGTQVDHGVAAVGYGSTSRGLDYIIVKNSWGPRWGEKGYIRMKRNTKKLEGLCGINKMASYPIKKK >EOY16113 pep chromosome:Theobroma_cacao_20110822:8:5833645:5836778:1 gene:TCM_034984 transcript:EOY16113 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MVFISLRAGRYIHRFWGKCTLSLDSFCVFVYPVTFSSMMEIKVKDGSSLCTLVPDGEPHIMQSVSVSEDHRCSSISVKSTPEIEKKYVHRVYDAIAPHFSSTRFAKWPKVAAFLESLPTGSLILDAGCGNGKYLGLTPSCYFIGCDISAPLIKICADKGHEVLVADAVNLPYRTNFGDAAISIAVLHHLSTEDRRKKAIEELVRMVKKGGLVLITVWAVEQEDKSLLTKWTPLTEKYVEEWIGPGSPRVRGPSPFTLESIPETEENGSQAHAKDSNDSLTENMQQSMCLTPQNEDDVLVSKHGMSQQEYFVPWHLPYHRAEVSGASAGALANGLARKDDKKGAVVYDRYYHVFGEGELERLVSGMDNAVIVNKFYDKSNWCIILEKTL >EOY16112 pep chromosome:Theobroma_cacao_20110822:8:5833645:5836929:1 gene:TCM_034984 transcript:EOY16112 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MVFISLRAGRYIHRFWGKCTLSLDSFCVFVYPVTFSSMMEIKVKDGSSLCTLVPDGEPHIMQSVSVSEDHRCSSISVKSTPEIEKKYVHRVYDAIAPHFSSTRFAKWPKVAAFLESLPTGSLILDAGCGNGKYLGLTPSCYFIGCDISAPLIKICADKGHEVLVADAVNLPYRTNFGDAAISIAVLHHLSTEDRRKKAIEELVRMVKKGGLVLITVWAVEQEDKSLLTKWTPLTEKYVEEWIGPGSPRVRGPSPFTLESIPETEENGSQAHAKDSNDSLTENMQQSMCLTPQNEDDVLVSKHGMSQQEYFVPWHLPYHRAEVSGASAGALANGLARKDDKKGAVVYDRYYHVFGEGELERLVSGMDNAVIVNKFYDKSNWCIILEKTL >EOY15289 pep chromosome:Theobroma_cacao_20110822:8:2818122:2819464:1 gene:TCM_034403 transcript:EOY15289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ser/Thr-rich protein T10 in DGCR region MCIAAFVWQAHPLYPLLLLHNRDEYHNRPTTPVAWWDDGSGILGGRDEVAGGTWLACSKQGRVAFLTNVLELHTLPEAKSRGDLPVLFLESTKSPMEFAEELVKEAHQYNGFNLILADIPSKSMVYASNRPKGEPVSIQQVSPGLHVLSNAKIDSPWHKAQRLGKNFKQMLNKLGKNEVIVKEMVEKLMKDKVKADKSKLPGICALDWEFNLSSIFVEVDTPLGLYGTRSTAALTIHAGGEVAFYEKYLEKDMWKERTINYHIQKVK >EOY15694 pep chromosome:Theobroma_cacao_20110822:8:4301934:4305326:1 gene:TCM_034681 transcript:EOY15694 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MAVCELISYAEAATDKRWVQTMEQQMLMVEKNGTWLLVDKPIDQHVIGTKWIFETKVNAAGTVNKYKARLVVKRLTKDDGTSRAGSSMYRSIIGSLLYLTASRPNIMFSASLLSRFMQSPSQTHFAAAKRVLRYIKGIIDLGLKFEKKKNSIIMGYCDTDWAGSLDDSKGTFGYCFSLGSAVFNWNSKKQEVVAQSSVEAEYITIATATNHYGRIKHIKVKFHVIREVVKDEEVDIQHCGTIL >EOY16250 pep chromosome:Theobroma_cacao_20110822:8:6415744:6419633:1 gene:TCM_035089 transcript:EOY16250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 2 MSDQISIRPLSAMFNKAKPYFLMVCLQFGLAGTYIISMATLIHGMNRYVLIVYRNAIAALVLAPFALLLERRRPKMTFPIFLQIMTLGFLEPILDQGFAYLGMKFTSASFTSAIMNAVPSVTFVVAVILRLEHLKIKEVRGQAKIIGTILSLSGALLMTLYKGPVIDLIWSRKASHQGSTGDSSDKHWIPGTLLILVGCVAWSCFYVLQSTTIKKYPAAISLSSLICLAGAIQSSAIALVVEHRPSGWAVGWDSRLFAPLYTGIVSSGITYYVQGLVMKTRGPVFVTAFNPLCMIIVAALGSAILGEQIHLGSIIGGIIIAIGLYSVVWGKSKDYTAASTPAKADDIQELPISAKNCTDGSKLVIATINSDKQDGK >EOY16251 pep chromosome:Theobroma_cacao_20110822:8:6415866:6419168:1 gene:TCM_035089 transcript:EOY16251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 2 GLAGTYIISMATLIHGMNRYVLIVYRNAIAALVLAPFALLLERFVLILKRKVSPLRTFMRRPKMTFPIFLQIMTLGFLEPILDQGFAYLGMKFTSASFTSAIMNAVPSVTFVVAVILRLEHLKIKEVRGQAKIIGTILSLSGALLMTLYKGPVIDLIWSRKASHQGSTGDSSDKHWIPGTLLILVGCVAWSCFYVLQSTTIKKYPAAISLSSLICLAGAIQSSAIALVVEHRPSGWAVGWDSRLFAPLYTGIVSSGITYYVQGLVMKTRGPVFVTAFNPLCMIIVAALGSAILGEQIHLGSIIGGIIIAIGLYSVVWGKSKDYTAASTPAKADDIQELPIS >EOY15613 pep chromosome:Theobroma_cacao_20110822:8:3945804:3948142:1 gene:TCM_034618 transcript:EOY15613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 18 MDPCPFVRITVGNLALKIPVASKPARSVVHPSSSPCFCKIKLKNFPLQTAVVPYIPPENQFPDNQLQTLAATFHLSKADIDKLAAKSIFSAKLCLKIAIYTGRRGTTCGVNSGRLLGKVSVPLDLAGTESRACVFHSGWISIGKEANKGSSAQFHLNVKAEPDPRFVFQFDGEPECSPQVFQIRGNIRQPVFTCKFSFRSTGDRNQRSRSLQSEPSSSRGWLSSFGSERERPGKERKGWSITVHDLSGSPVAAASMVTPFVASPGSDRVSRSNPGSWLILRPGDGTWKPWARLEAWRERGSSDGLGYRFELIPDMSAAAIVLAESTLSSGKGGKFVIDLGGGGTNGRATPGSATSPACSPRSSGDFGYGLWPFCVYRGFVMSARVEGEGKCSKPCVEVSVQHVNCTEDAAAFVALAAAVDLSMDACRLFSQRLRKELCNHQDLLG >EOY15312 pep chromosome:Theobroma_cacao_20110822:8:2875368:2878660:-1 gene:TCM_034417 transcript:EOY15312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein MFAENVSGEGSLRQDFEALSVSKRLVRSVSQKLRKKNHRGEGEEEDKVRGISLKCLTLYGRGGGCKVGADTGEEFGDPSSRRRSSASEEGKVYKTICGTEENNFDCFSYGVKEKFWKKNNRKDLELEESVRNSRMHIFLPDDILEMCLVRLPLTSLMNARLVCKKWRYLTTTPRFLQMRREGSYQNPWLFLFGAVKDGYCSGEIHALDVSQDQWHKIGADILIGRFMFSVASIEDDVYIVGGCSSLTNFGRVDRSSFKTHKGVLVFSPLTKSWRKAPSMRYARSMPILGISEVSSEFLVIQSHQNRHDRRFPRSRVGGVSDVYEDPHRLSLRRQYRNAFDENEHSLFPNRKSYKFIRQRSEQSNTKGCKRFVLIAVGGLGSWDEPLDSGEIYDSVSNKWTEIQKLPIDFGVVCAGVVCNGMFYVCSENDKLAGYDIDRGFWIGIQTSTFPPRVHEYSPKLVSCNGRLLMLSVSWCEGDGQIGRRNKAVRKLWELDQMYLTWTEVSIHPDAPMDWNPAFVADRNLVFGVEMFKIFGQVLDFFTVCDVSDMGMKWNHISRNHMAHDLDASSCMTKTMAVLHL >EOY17020 pep chromosome:Theobroma_cacao_20110822:8:17102893:17105600:1 gene:TCM_036186 transcript:EOY17020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative isoform 2 MANLWIFCLLVVSGLGMAFNVVSGQGEDPFGPDGGRRKEMVPAMFVFGDSLIDNGNNNDLPSFAKANYFPYGIDFNGGPTGRFSNGYTMVDEIAELLGLPLIPAYSEASGDQVLHGVNYASAAAGILDITGRNFVSRIPFDQQIRNFQSTLDQITDNLGAVDAADAIARCIFFVGMGSNDYLNNYLMPNYPTRNQYNAQQFADLLVQQYTRQLRTLYNLGARKFVLAGLGRMGCIPSILAQSTVGSCSEEVNQLVLPFNANVKTMMNNLNANLPGARFIYIDVAHLFEDIVANSGTYGFSVVNRGCCGIGRNRGQITCLPFQTPCPNRDQYVFWDAFHPTEKVNIIMARKAFNGDTSIVYPINIKQLASINIEPN >EOY17021 pep chromosome:Theobroma_cacao_20110822:8:17103242:17105098:1 gene:TCM_036186 transcript:EOY17021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative isoform 2 MVPAMFVFGDSLIDNGNNNDLPSFAKANYFPYGIDFNGGPTGRFSNGYTMVDEIAELLGLPLIPAYSEASGDQVLHGVNYASAAAGILDITGRNFVSRIPFDQQIRNFQSTLDQITDNLGAVDAADAIARCIFFVGMGSNDYLNNYLMPNYPTRNQYNAQQFADLLVQQYTRQLRTLYNLGARKFVLAGLGRMGCIPSILAQSTVGSCSEEVNQLVLPFNANVKTMMNNLNANLPGARFIYIDVAHLFEDIDLVL >EOY14452 pep chromosome:Theobroma_cacao_20110822:8:371759:372305:1 gene:TCM_033831 transcript:EOY14452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLHVAKATGTFLFTVNQCCIFTKAASVWFLRDEESAIIFFFLFHAQVQSKCECLVIKKQQSVYCNFGHVILIFGHLLNIS >EOY15949 pep chromosome:Theobroma_cacao_20110822:8:5140707:5142371:1 gene:TCM_034865 transcript:EOY15949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNCTMEKSKIQMLYDACNDVFSQKELPTFQQIQWLKSILNIFEAADVGIDEFSLDGSPSSSPKITNGLISGQGVSEITYIHIYECDNFSVSRSCSVVYSCTYMGIFCFPAGRTLPLHDHPGMTVLSKLLYGSVYVKAYDWVRGENNSPRTNGLAGTVINGVFNAPCEPSVLFPRSGGNIHSFTALTPCAILDVLSPPYSDDFERPSTYFFDFPIPSLRGYALLEERELKLPYDLVVNGAPYVGPPLDVGQDLC >EOY16492 pep chromosome:Theobroma_cacao_20110822:8:7722584:7733466:-1 gene:TCM_035278 transcript:EOY16492 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MALAKYSRVDGRKSSSYCSTATIVVFVAFCLVGIWMFMSSSVVPVQNSELSSQETTNEVKPTVPKSVSKQFDDTSGDLPEGATREDATGMPHKESENTDEDNMTENLVVERKNENTESQNSAEENQEENRANESSDEKTKSENELKMVDEDDGNGNGKDGETNAREGESNSETGEAENEGGEMNKNLQTELEESSGENRSESAEGEKNYEEEETADKTKSIDKEAISTEEKNDQNQDKHSEQSAVKNSVESQENSQASNEMLPAGAQSEILTESTTQNGAWSTQAVESQNEKKSQHSSISSEKNGHHWKVCKSTAGPDYIPCLDNWQVIRKLPSTKHYEHRERHCPAEAPTCLVPLPEGYKRSVKWPKSRDKIWYYNVPHTKLAEVKGHQNWVKVNGEYLTFPGGGTQFKNGALHYIDFIQDSVPEIAWGKRSHVILDVGCGVASFGGYLLERDVLAMSFAPKDEHEAQVQFALERGIPAILSVMGTKRLPFPSSVFDLVHCARCRVPWHIEGGKLLLELNRVLRPGGYFVWSATPVYQKLPEDVGIWQEMSRLTKSMCWDLVVIKKDRLNAVGAAIYRKPTSNECYNKRSRNIPPLCEESDDPNAAWNVPLQACMHKVPVDSERGSLWPAQWPERLEQPPYWLNSQVGVYGKAAQEDFTADYNHWKTVVSQSYLNGMGINWSSVRNVMDMKAVYGGFAAALKDLKVWVMNVVPIDSSDTLPIIYERGLFGIYHDWCESFNTYPRTYDVLHADHLFSTTKKRCKLVTVIAEVDRILRPEGKLIVRDNGETISEVESLAKSLQWEIRMIYSKDNEGLLCVRKTFWRPTEEETIKSSII >EOY15647 pep chromosome:Theobroma_cacao_20110822:8:4104884:4105766:-1 gene:TCM_034645 transcript:EOY15647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKPAEHQQRTTTQYGKCAGGEVKMTLFGQLSPGFISHFLIDKPYIPDLQHVRENRSLIPFLGDGRN >EOY14770 pep chromosome:Theobroma_cacao_20110822:8:1221761:1224480:1 gene:TCM_034045 transcript:EOY14770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKITCPNVTDIEMKNEQSYVSNLATRKMSAGLRLLSNDQRRLKASAVSSQCRRGTIGLAQKFCRSSHEDEEGHLDLILLISYSLAEFATLITQPTEMAHDLSISISIGSLLHVPVDQQVRIFLNRLLRFPEIFPQELLCLLDVSSVRPPTVET >EOY16211 pep chromosome:Theobroma_cacao_20110822:8:6222059:6226016:-1 gene:TCM_035051 transcript:EOY16211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ufm1 MASGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGAF >EOY14928 pep chromosome:Theobroma_cacao_20110822:8:1702671:1703999:-1 gene:TCM_034162 transcript:EOY14928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar H+-pumping ATPase 16 kDa proteolipid subunit 4 isoform 2 MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >EOY14929 pep chromosome:Theobroma_cacao_20110822:8:1703521:1704019:-1 gene:TCM_034162 transcript:EOY14929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar H+-pumping ATPase 16 kDa proteolipid subunit 4 isoform 2 VLSKYNLKKKLLHLQEQQIRSITIFGKMSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAG >EOY15407 pep chromosome:Theobroma_cacao_20110822:8:3172284:3175676:1 gene:TCM_034480 transcript:EOY15407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrogen regulatory PII-like isoform 2 MASTLRCRASSLVSSTTIRRRLPLVGAFCVLSLGFSNLCPTLSSSSNFKTGCAQSLPFVPLLRSKFSTQLPDKPVHAVKMEGSSNTVPSIVVYVTVPNREAGLESVYEWEGKINSDPEELLIIKTRQSLLEALTEHVKANHEYDVPEVIALPITGGSPQYLEWLKNSTRD >EOY15406 pep chromosome:Theobroma_cacao_20110822:8:3170770:3175729:1 gene:TCM_034480 transcript:EOY15406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrogen regulatory PII-like isoform 2 MASTLRCRASSLVSSTTIRRRLPLVGAFCVLSLGFSNLCPTLSSSSNFKTGCAQSLPFVPLLRSKFSTQLPDKPVHAVKMEGSSNTVPSIVVYVTVPNREAGRKLAESIVKEKLAACVNIVPGLESVYEWEGKINSDPEELLIIKTRQSLLEALTEHVKANHEYDVPEVIALPITGGSPQYLEWLKNSTRD >EOY16854 pep chromosome:Theobroma_cacao_20110822:8:12233179:12238833:-1 gene:TCM_035774 transcript:EOY16854 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MPPKTRAASRRAGEQDAPIEMADRPRASTQRGRGRRGRVTRPVGLDTPVSRQEEGQSSGDVDRHPARGITIEDLAASLQGVNRVVEMMATRMEDIQRVVEGRPTVQESPSSQGQADHQHHEEERGHLDISLPDFLKLKPPTFSGSDASEKPQVFLDKVEKICKALGCSSVRSVELTAFQLEDVAQEWYSSLCRGRPTNATPLAWSEFSVAFLDRFLPLSVRNARAREFETLVQTSSMTMSEYDIKFTQLARYAPYLVSTKEMKIQRFVDGLVEPLFRAVASRDFTTYSAAVDRAQRIEMRTSESRAARDRAKRGKTEGYQGRRDFSGGGSSSSRQGPQRDSRLPQQGSDAPGANIRVGQRTFSSRRQQDSRQSSQVIRSCDTYGRRHSGRCFLTTKTCYRCGQPGHIRRDCPMAHQSPDSARGSTQPASSAPSVTVSSGREVSGSRGRGAGTSSQGRPSGSGHQSSIGRGQARVFALTQQEAQTSNAVVSGILSVCNINARVLFDPGATHSFISPCFASRLGRGRVRREEQLVVSTPLKEIFVAEWEYESCVVRVKDKDTSVNLVVLDTIDFDVILGMNWLSPCHASVDCYHKLVRFDFPGEPSFSIQGDRSNAPTNLISVISARRLLRQGCIGYLVVVKDSQAKIGDVTQVSVVKEFVDVFPEELPGTDGVLRYGTRLYVPDGDGLRREILEEAHMAAYVIHPGATKMYQDLKEVYWWEGLKRDVAEFVSKCLVEK >EOY16855 pep chromosome:Theobroma_cacao_20110822:8:12218739:12271995:-1 gene:TCM_035774 transcript:EOY16855 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWLEVGERKLLGPELVQDATEKIHMIRQKMLTAQSRQKSYADNRRRDLEFQVGDHVFLKVSPTKGVMRFGKKGKLSPRYIRPFEILRSKDVASVKVLWRNHTSEEVTWEAEDEMRTKHPHLFDM >EOY15917 pep chromosome:Theobroma_cacao_20110822:8:5019682:5021738:1 gene:TCM_034840 transcript:EOY15917 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein, putative MALLRLPHQSSLVSPQPKLISQSNFNSIKNVSSTCLLPPFSPLTNTLPLIARRFSGNFILHFSATTQVPALKVNEQEQHEEEFSKTRLIAQNVPWTCTTEDIRSLFEKYGTVVDVELSMHNKARNRGLAFVSMASPEEALAALNNLESYEFEGRTLRLNYAKPKKKRPAPPEKPKPVPAFNLFVANLSYEARAKHLKEFFSSEGANVVSAEVIFHENPRKSSGYGFVSFKSKKEADAAMSAFQGKMFMGRPIRVARSRQFVKVQSDDSSQPDDKSNELNFDLEQVDTEES >EOY15193 pep chromosome:Theobroma_cacao_20110822:8:2535933:2539250:-1 gene:TCM_034342 transcript:EOY15193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein MGELVDVHSGPATRPGLETLVISAPPLQLNCPSMTRSPLLDSAPKTPKSPFVTRLMTPVASPMKKAIASMQGYLEEVGQFTKLDPQEAWLPITESRNGNAYYSAFHTLSSGIGFQALVLPLAFTTLGWVWGVICLSLAFIWQLYTLWLLIQLHESESGMRYSRYLRLSMAAFGETLGKLLALFPIMYLSGGTCVTLIMIGGGTMKIFFQIVCGNTCSVKHLSVVEWYVVFTCLAILLAQLPNLNSIAGVSLVGAITAISYCSLIWIVSITQGRPEGVSYDPPAANSEVARPFGIFNALGIIAFAFRGHNLVLEIQATMPSSGKHPSRIPMWRGVKLAYLIVATCLFPLAIAGFWAYGNLMSENGWILSALYNYHKLDTSKLLLGLASLLVVINSLSSFQIYAMPVFDNLEFRYTSKWRRPCPRWLRTGIRVFFGCLAFFIAVALPFLPSLAGLIGGIALPITLAYPCLMWLIIKKPQIFSPSWCLNWALGVLGMVLSVLVTTGAIWGIVTKGIQLRFFKPQ >EOY15709 pep chromosome:Theobroma_cacao_20110822:8:4347943:4349102:1 gene:TCM_034694 transcript:EOY15709 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZCF37, putative MFQPFICGGTVNHQEEDDDDQFWSSPNSTPRKSRRNGFCKINHKDNKNPYSNRGLDKFSALLAELEEKRQKIYSQTGSQDISFVRFTYKNSADCVPIVVKLKDKREEEKIMKPVDAKGQPGTNIDSEVLDKHPIETLDEGKEVIKKISRLQAVSEKTEKKKNNKKSSSWNMELQKWRRPSYYLPASVVLILLLLVFFGRSVTILFTCIGWYIVPTIQGESSSNVRRSLKKKGYGTKSSANKLVSEGFSPPKSNKSSPVRDHHRKS >EOY14402 pep chromosome:Theobroma_cacao_20110822:8:199663:201175:1 gene:TCM_033793 transcript:EOY14402 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 4 MGNMKVHQLARGFWEHEPSLSLGCKRLRPLAPKLATSTDNTVSSFDLKSFIRPESGPRKLGPLDEKRDSPQVETHPGGTRWNPTQEQIGILEMLYRGGMRTPNAQQIEQITAQLGKYGKIEGKNVFYWFQNHKARERQKQKRNSLGLNHCPRSSAPITTITLDTRVELMEREEDSPYKRKCRSWSFEYLEEESRSSCKEEENRTLELFPLHPEGR >EOY14850 pep chromosome:Theobroma_cacao_20110822:8:1466555:1469429:-1 gene:TCM_034107 transcript:EOY14850 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein, putative MILNGFSSPSRIVSVSSRLPKPMPCSSTFVCFHHLPVTAALQSSSRSSSRSYWDLKSWSVVDVPLLHLSAASTPLLSGDQGSLSHTLPSLPRRRRSSMGPRASKDVPYSFRYPQMTKKPRWWWRTLACLPYLMPLHETWMYAETAYHLHPFLEDLEFLTYPFLGAIGSLPSWFLMAYFFVAYLGVVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLAVYWGKLGMHFWTAVAFAYLFTVLECIRCALAGYLSKHLVPPSTPPSGPFPLHSLVNDDFVNSI >EOY16555 pep chromosome:Theobroma_cacao_20110822:8:8256286:8257291:-1 gene:TCM_035348 transcript:EOY16555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSVRTSDAKDNGVRAAQMTPLTTMMPIICHQAGYFNRVSRKRRDLTSMPLDIHLPKAKVYRQNDSVSCGMFMIGYMDNILQLD >EOY16610 pep chromosome:Theobroma_cacao_20110822:8:8950981:8951590:1 gene:TCM_035432 transcript:EOY16610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQKMHKEDKLFNFLMDDNYRTVHSQILNIEPLPCIGSAYAIVAQEEKQGAIATTQVEMPEVATLMTKNQTVSGIR >EOY16728 pep chromosome:Theobroma_cacao_20110822:8:10610603:10628897:-1 gene:TCM_035607 transcript:EOY16728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGHVKKVCNAKKSVAMNQADLLDSVCSHHLIGNRSLFTTLDTSFKSKVNIGNGECLKILDVGTVIVETVSGLKHIHSVHFVSEKNHNLLSVGQLAKNQYALLFKDEYYIVFDSCDDEMFTTGMKNNCYLMNLANSTNIAFYSELDMSETWHKRLSHLNYNSLNLVSSNELVEGLPQITKLDKLCSICQFRKHTRKSFPIVSSWKVIMKLEPVHTDISGPMKTPSLSGSKYYILFIDDITSYCWVYFMKQKSEALKNFRKLKALVENYSSTKIKSLRSDNGREYTLEEFEKTTESLRKIIVFDENLAQFNNDDDSNIEDESKVVRGARRLEEIYSRCNVAISKPTSFMEAVSDSKWKNAMDAEMSMIIKKGTWTSIDRPVEKNVIGVKWIYKTKLNLNGSINKHKARLVVQRYAQVSDQIKVKITLKNARQLQFCTRQYVDWRVPALEKNPVLGNVLMQYMAKNDAIIQSQVASLRNLETQMSQLINDIKNRPQKTLPNDTKPNPRREEYGKHLDEVIGKNVVDDGDKVDQENTSNKQSQDEKVKQKEEVSTPPQVKPYTPFIPFLNTVDELPKEVLLLYFLPCVHNSVTVLVLLVVGLRTMSASLKLENIYASLTVAISEFLKCSLALQRRVHGMAGSAHFSESVKRG >EOY15738 pep chromosome:Theobroma_cacao_20110822:8:4467705:4469705:-1 gene:TCM_034713 transcript:EOY15738 gene_biotype:protein_coding transcript_biotype:protein_coding description:D5-type cyclin, putative MDGDASLSGLLCLENETSLEEKEGLVDENYIAVYDEECVQMLFDREMSLGFKKNESLVLSNWLKYARLEAITWILKTRAVFGFRFQTAYLSVIYFDRFLSRRSIDSEKSWAMQLLSMACLSLAAKMEEIKVPALSEFQSEECNFKSKVIQRMELLVLNTLEWRMSSITPFAFLHFFITKMCKESPPRHLVSKTVQLILAIMREINLMEHRPSVVAAAATLRALDQRLTRKALECTMNSVSYCGFLEIEDVFTCYSLMQKLDIPKSVNSPDLSPTRLRAINVLDDSSSSAAVFTKRKRLTFNRSDNSGHDIPNEKRLC >EOY14553 pep chromosome:Theobroma_cacao_20110822:8:580311:582529:1 gene:TCM_033895 transcript:EOY14553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTDAGAMAGTRGVDILGSVFVCSEFSSKEGTRTIMICSIYAMAAHGASYPVSPVLIFLHLEKPNSLGQSNSLSNPFLSITQMAKTNLVVLAGALLLVLLFSYGITFTEERVLKTDKDVKPAGNSVTNVMTSSRKTNLNRDNLEDGTDDVPTASSGNDTAFDADDFRPTPPGHSPGAGHSTGPASSDKN >EOY16691 pep chromosome:Theobroma_cacao_20110822:8:9841296:9843641:1 gene:TCM_035532 transcript:EOY16691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, putative MAGRIALVKSVTSSMTAHLMQTMFFPENVIQELDRLNRNFIWGHTGETRKIHSAKASNSYIWRSILKGRDVLVRGLGKNICNGHSTKFWIDNWLSCGPLIKYVNAEISVLEAELPVASFCNEAGNWDFDMFTHLLPSEIVSMIAAVLIDPSSEEEDVDFWLETSNGVFSLRGNLSSFDFFNQNLQQWMLKNIQDNTVIDGLPWSYIFVYTIWLLWCWRSLLIFDSSFTWPHNPQQQIWTKTKKAFDILNKTQQRVKRDVSISWKKPNKTFVKLSADGSARGQPGGAATGGIIRDDKGEWMLGFIHKIGITFSLNAELWALLQGLNLCWRRGFRKVQVESDSFLAIQKLLMESNTLEPNVHLLRNIKELLLWDWDYRIFYIPHEANQCVD >EOY17417 pep chromosome:Theobroma_cacao_20110822:8:20189196:20193690:-1 gene:TCM_036582 transcript:EOY17417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingoid base hydroxylase 2 MVFWEGYVTDEAMGTFAPIVVYWLYAGFYQLLPPLDKYRLHTRKEEEEKNSVPLASVVKGVLLQQLVQATVAQLLFLLTSTADISGTIIQPSIPVQIVQIIVAMLVMDTWQYFVHRFMHQNQFLYRHVHSQHHRLVVPYAIGALYNHPLEGLLLDTFGGAISFLISGMTARTAVIFFCFAVIKTVDDHCGLWLPGNIFHIFFQNNSAYHDIHHQLQGTKYNYSQPFFPIWDKLLGTHMPYVLLKRPEGGFEARLKKD >EOY16078 pep chromosome:Theobroma_cacao_20110822:8:5694987:5706807:-1 gene:TCM_034959 transcript:EOY16078 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA modification GTPase MALLPSVRAIITHFYRPTKPPPRLPLSSLFFPKKLSFLFHLSPKPQALKPLKPLASARQETTFVSNNDDRLVGFGSVNQGDAIKTQSSTSTIAAIVTSLGGPPAAVGIVRLSGPTAVDIAGRVFFPAKKKKKQEKSFDSGSASWRPTSHVVEYGVVLDSRGNVVDEVLAVPMLAPKSYTREDVVELQCHGSEVCLRRVLKACLEAGARLAEPGEFTLRAFLNGRLDLSQAENVGKLISAKSVGAADAALAGIQGGFCSLVRLLRGQCIELLTEIEARLDFEDEMPPLDFNLVMDRIHAMSQDVEHALETANYDKLLQSGLQIAIVGRPNVGKSSLLNAWSKSERAIVTDIAGTTRDVVEASVTVSGVPVTLLDTAGIRETDDIVEKMGVERSVAVAMGADVIIMTVSALDGWTVEDTKLLERIQSNKRSTSIPMILLINKIDCASSACSDWVDREAKSFTKHVFTCAITGQGIRDLEKSISEIVGLNQIPAGGRRWTVNQRQCEQLMRAKEAFVRLKSSIKEELPLDFWTIDLKDAALALGQISGEDISEEILSNIFGKFCIGK >EOY17154 pep chromosome:Theobroma_cacao_20110822:8:18095212:18099095:-1 gene:TCM_036319 transcript:EOY17154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPQSKGKKKTGTKEEGSANLRELGFIVFDWKKEICSEWRGDPGIAQGNRRAKLQKKVSMLHLSMLWGMFFIHFSSHALRPRKMGYMSWYKGNWIRSNLSC >EOY15683 pep chromosome:Theobroma_cacao_20110822:8:4232905:4236746:-1 gene:TCM_034672 transcript:EOY15683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MASSIENKNIVEDSEDKALDVSGKAVEFSFFGNPEEKVGSSVEGLYLYNNDFNLIPEAVSQFGKLKFLKFFGNEINLLPSVVGNLAKLESLKMKISSPGLNGVSFRELSELKELELSRTPPQPSRLTALTEIAGIKGLTRLTLCYFSIRYLPSEIGCLTNLEFFDISFNNIKNLPAEISNLNELITLKVVHNKLMELPSSLSKLNKLENLDLSNNRLTSLRPLELEAMHRLKNLNVGYNKIMNCCQIHIPGWISCDLEGNKSNGKGLSVGVCESVEEKEVSVSSDCPRGNTSLEMLSQSGKSRFESQSLSSGKRWKRRQQDKLRNYKKLKSEGNGEDVLSSETGLQSELYVVDLNGDENDKGESENSFIGENAVVETLASEENCICLDHEAIKEGKKEYDEQDEISVSSQGKGSYSESFNSSCMSKRHSSCDLDDNPKTCKYQKPNDGNFSLSRKYNNTSFCSVKDRIPDGFYDAGRDRLFMSLESYERILHLDSREVILADREKDEQLDAITLSAQALISSWKKLNGLASNGEPTPMDNLQIASLLALFVSNRFGGSDRSFSIEKTRKAVSGSNYLKPFVCSCATGNNDCVNDSTEWNFGTIQDISIADLCDKSLCSTKSRLNSVVVPIGTMQFGVCRHRALLMKYLCDRMVPPVPCELVRGYLDFYPHAWNVVLVKKGDLLVRMVVDACHPDDIREEMDPEYFCRYIPLTRTKDFLSNECNLDPDCFPSLSTSEKIEKTPNVSLIKCSFGSVEAAAKVRTVNVLGTSMNEIRNFEYSCLGEIRMLGALKHSCIVELYGHQISSKWVPSAAERPEHRIIQSAILMEYVKGGSLKCQIEKLLKAGKKHFPLDFALCVAHDVACALAALHSKHIIHRDIKSHNILIDLDEKRADGTPIVKLCDFDRAVPLRSFLHKCCIAHTGIHPPNVCVGTPRWMAPEVLRTMQKPNLYGLEVDIWSYGCLIYELLTLQIPYFDFSDDQMHDFIQMGKRPPLTDELEALLLLDEFAISQELDRPKSEIESLRFLINVFHRCTVENPTDRPKAEELYEMFHAHASNLRSFEEV >EOY15959 pep chromosome:Theobroma_cacao_20110822:8:5174834:5176391:1 gene:TCM_034871 transcript:EOY15959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGPGLRLLTSRPIIPSSLKPSQAQRRPVQQKLANPIQNSAFSSLKRRRFGVVPSWLKRRRLVLFSPHLLSLAAGLSPFYLPNRRPASLISFLCQLPPKPDLPLLAFSCSWSALPCAGSFLSPYLTSLGLVSPLRR >EOY15550 pep chromosome:Theobroma_cacao_20110822:8:3709026:3713789:-1 gene:TCM_034570 transcript:EOY15550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein, putative isoform 1 MDKFAAAPSPYPFASYKVLHLVRHAQGVHNLESEKSRDPLTSFEYFDAELSSQGWQQVCDQRRYVCASGLLEKIEVVITSPMTRTLQTAVGIFRGEGQPADRFDVTPFEEENVKNAETSTFNRLPIISYELCRERMGKYECDKRRSISLYRSRFPDVDFSLIESEDDVLWKGEKESHEAVVARGMKFIKWLCGRKEKEIAVVSHGVFLQQAMTELIKSNKCCPLMEGDPLSRFKNCEIRSIAIFYESVTGLESDSLSTTTHCGRIPYGLELPRDSAKENISVEEVTN >EOY15552 pep chromosome:Theobroma_cacao_20110822:8:3709174:3712971:-1 gene:TCM_034570 transcript:EOY15552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein, putative isoform 1 MDKFAAAPSPYPFASYKVLHLVRHAQGVHNLESEKSRDPLTSFEYFDAELSSQGWQQVCDQRRYVCASGLLEKIEVVITSPMTRTLQTAVGIFRGEGQPADRFDVTPFEEENVKNAETSTFNRLPIISYELCRERMGKYECDKRRSISLYRSRFPDVDFSLIESEDDVLWKGEKESHEAVVARGMKFIKWLCGRKEKEIAVVSHGVFLQQAMTELIKSNKCCPLMEGDPLSRFKNCEIRSIAIFYESVTGLESDSLSTTTHCGRIPYGLELPRDSAKENISVEEVTN >EOY15551 pep chromosome:Theobroma_cacao_20110822:8:3709040:3713427:-1 gene:TCM_034570 transcript:EOY15551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein, putative isoform 1 MDKFAAAPSPYPFASYKVLHLVRHAQGVHNLESEKSRDPLTSFEYFDAELSSQGWQQVCDQRRYVCASGLLEKIEVVITSPMTRTLQTAVGIFRGEGQPADRFDVTPFEEENVKNAETSTFNRLPIISYELCRERMGKYECDKRRSISLYRSRFPDVDFSLIESEDDVLWKGEKESHEAVVARGMKFIKWLCGRKEKEIAVVSHGVFLQQAMTELIKSNKCCPLMEGDPLSRFKNCEIRSIAIFYESVTGLESDSLSTTTHCGRIPYGLELPRDSAKENISVEEVTN >EOY16897 pep chromosome:Theobroma_cacao_20110822:8:13104204:13119240:-1 gene:TCM_035855 transcript:EOY16897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 3-binding protein 3 MATTTAAMAAATVPMGSVAAGSGFMNVSLYVGDLEQNVVEGQLYDLFSQVGTVASLKVCRDQNKRSSLGYAYVNYSNHQEAAHAKEIFNFTLINGKPIRIMFSQRDPSIRKTGYANVFIKNLDPAIDNKALFDTFAAFGTVLSSKVATDNNGQSKGFGYVQFETEEAAQNAIKRLNGMLINDKQVYVGQHVRRQERVPPNASPKFNNVYVKNLSETITDEDLKKVFGACGTITSAVVMKDQNGKSRCFGFVNFQSPDAAAAAVEKFNGTKNDDKMWYVGRAQRKAEREAELKARFEQERISRYEKLQAANLYLKNLDDSIDIEKLKDLFSEFGTITSCKIMLNPQGVSQGSGFVAFSTPEEASKALIGMNGKMIGKKPLYVAVAQRKEERKARLQAYFAQLHTHGAMSPLGSGIPGFHPAAHRLGPQQLYYGQGGPGLIHPQSARYGFQQQLLPGIRPSVTQNYIMPYNLQGQGQSGQRMGVRRGGNSQQVQQKQMLQRNTNQGFRYMGNARDIVDPSTVTQSIVGPVMQLPLEVSRMPVNPIDLQQPNPVPISTLISDLASASPKKRDEMLGEQLYPLVQRFEPEHAGKVTGMLLEMDQTEVLHLLESPDALKEKVAKAMAVLQDSAAGESGVRKESGVIGAD >EOY15698 pep chromosome:Theobroma_cacao_20110822:8:4320280:4322232:-1 gene:TCM_034684 transcript:EOY15698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEESEKINGKKKLPSVPSNYVTLLQLQERWIKEQERKQKEKEEKDEPRQEQEEKEKQVEERVNDEVDGRESRKNGHFRRRNRENGKRVAEGKSKEAEIAVGFAVKESEDVEKEKKGEQLKVGKKKKGKSKKKDKKKQKAGAENMEEGVVGPAVNPPLPATVENVKREEEVIGEEVHAPRQASVEARTECKPRIITRTEDRTMEIGRKFRAMSMKGEIMTGDHSRYGSQNTNFNHRGGNRELNRSHYGKFTRSRERNQRNEGMVWVKKGEVSDGNVGGI >EOY15697 pep chromosome:Theobroma_cacao_20110822:8:4320121:4321898:-1 gene:TCM_034684 transcript:EOY15697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEESEKINGKKKLPSVPSNYVTLLQLQERWIKEQERKQKEKEEKDEPRQEQEEKEKQVEERVNDEVDGRESRKNGHFRRRNRENGKRVAEGKSKEAEIAVGFAVKESEDVEKEKKGEQLKVGKKKKGKSKKKDKKKQKAGAENMEEGVVGPAVNPPLPATVENVKREEEVIGEEVHAPRQASVEARTECKPRIITRTEDRTMEIGRKFRAMSMKGEIMTGDHSRYGSQNTNFNHRGGNRELNRSHYGKFTRSRERNQRNEGMVWVKKGEVSDGNVGGI >EOY14720 pep chromosome:Theobroma_cacao_20110822:8:1078185:1086622:-1 gene:TCM_034011 transcript:EOY14720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MANTRRNDNVLPSEVGIPSGLNRIKTPRVSLKEQPSSKLAELNESRTSKPPLKQKQKSVAQGQGKTYGFSKEVEQKGKKIAQWFSSYISRNSTQAFNTVTNIEAGSSDIKTHDKEELTRAKVGYMENRLNGKQSSAESAHSSILSKGLKSFSHELGPKGGIPSAHPRAHSYKDLKELLGSLHSRFDAAKEVVNAELATFAGDVMDLLDTIESSSPEGRKMAVDLLIVAQQCVEMTPSEFRVKCETIVQNLTEKRQQCQTVLVKWLCTRVLFILTRCTRLLQFQKEKEPIDEKSLNKFKKCLESIPAVEMSWVPTPAVADSHSANAVYQRAGGEHKLKGQNKVSSFPEPTWNSSMEPAGRSDITSENNSTIPEKISPTRKTRSDLISQEQHFCQADDSIVGNSVNTSCCSSLHEHNPNLDGSLIEPGRTLDGSDSVICRICEEAVPISHLESHSYICAYADKCALNCIDVDERLVKLAEILEQIIESWNLSSIGSPENSRMQNQSSVVASEGYSPKISEWRNKGVEGMFEDIHDMDTACIEDSHLTSIDFKGHLGLRLGNYGASSSTGSMTSVSSTNTPRASHFDSFWLERNNPSELEDVQQMVDLSDIARCVAGTDLSKEGSHEFLLACMQDLQDVLRHSKLKALVIDTFGGRIEKLLREKYILACEVTDIKSPMRCIEQRENSGLISDTASQSNTMLTPFNMSHKERTTIDDFEIIKPISRGAFGKVFLARKRTTGDLFAIKVLKKLDMIRKNDIERILAERNILIAVRNPFVVRFFYSFTCRDNLYLVMEYLNGGDLYSLLRKVGCLEEEVARTYIAELVLALEYLHSLGIVHRDLKPDNILIAHDGHIKLTDFGLSKIGLINNTIDLSGPETSGTTSLDACNLQTQQTDDRSRHSAVGTPDYLAPEILLGTEHGYAADWWSVGIILFEFITGIPPFTAECPEKNSMAVCA >EOY14718 pep chromosome:Theobroma_cacao_20110822:8:1075932:1086622:-1 gene:TCM_034011 transcript:EOY14718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MANTRRNDNVLPSEVGIPSGLNRIKTPRVSLKEQPSSKLAELNESRTSKPPLKQKQKSVAQGQGKTYGFSKEVEQKGKKIAQWFSSYISRNSTQAFNTVTNIEAGSSDIKTHDKEELTRAKVGYMENRLNGKQSSAESAHSSILSKGLKSFSHELGPKGGIPSAHPRAHSYKDLKELLGSLHSRFDAAKEVVNAELATFAGDVMDLLDTIESSSPEGRKMAVDLLIVAQQCVEMTPSEFRVKCETIVQNLTEKRQQCQTVLVKWLCTRVLFILTRCTRLLQFQKEKEPIDEKSLNKFKKCLESIPAVEMSWVPTPAVADSHSANAVYQRAGGEHKLKGQNKVSSFPEPTWNSSMEPAGRSDITSENNSTIPEKISPTRKTRSDLISQEQHFCQADDSIVGNSVNTSCCSSLHEHNPNLDGSLIEPGRTLDGSDSVICRICEEAVPISHLESHSYICAYADKCALNCIDVDERLVKLAEILEQIIESWNLSSIGSPENSRMQNQSSVVASEGYSPKISEWRNKGVEGMFEDIHDMDTACIEDSHLTSIDFKGHLGLRLGNYGASSSTGSMTSVSSTNTPRASHFDSFWLERNNPSELEDVQQMVDLSDIARCVAGTDLSKEGSHEFLLACMQDLQDVLRHSKLKALVIDTFGGRIEKLLREKYILACEVTDIKSPMRCIEQRENSGLISDTASQSNTMLTPFNMSHKERTTIDDFEIIKPISRGAFGKVFLARKRTTGDLFAIKVLKKLDMIRKNDIERILAERNILIAVRNPFVVRFFYSFTCRDNLYLVMEYLNGGDLYSLLRKVGCLEEEVARTYIAELVLALEYLHSLGIVHRDLKPDNILIAHDGHIKLTDFGLSKIGLINNTIDLSGPETSGTTSLDACNLQTQQTDDRSRHSAVGTPDYLAPEILLGTEHGYAADWWSVGIILFEFITGIPPFTAECPEIIFDNILNRKIPWPSVPSEMSYEAQDLINRFLIHDPNQRLGANGSTEVKAHAFFNGVNWDSLAMQKAAFVPHPDSADDTSYFVSRFTQISSGFPDENACSSSDTDPCDSDSNSGIEMDECGDLAEFASSPLNLSLINFSFKNLSQLASINHDVLLQSGKDSAKSSPSRGLGT >EOY14717 pep chromosome:Theobroma_cacao_20110822:8:1076918:1086622:-1 gene:TCM_034011 transcript:EOY14717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MANTRRNDNVLPSEVGIPSGLNRIKTPRVSLKEQPSSKLAELNESRTSKPPLKQKQKSVAQGQGKTYGFSKEVEQKGKKIAQWFSSYISRNSTQAFNTVTNIEAGSSDIKTHDKEELTRAKVGYMENRLNGKQSSAESAHSSILSKGLKSFSHELGPKGGIPSAHPRAHSYKDLKELLGSLHSRFDAAKEVVNAELATFAGDVMDLLDTIESSSPEGRKMAVDLLIVAQQCVEMTPSEFRVKCETIVQNLTEKRQQCQTVLVKWLCTRVLFILTRCTRLLQFQKEKEPIDEKSLNKFKKCLESIPAVEMSWVPTPAVADSHSANAVYQRAGGEHKLKGQNKVSSFPEPTWNSSMEPAGRSDITSENNSTIPEKISPTRKTRSDLISQEQHFCQADDSIVGNSVNTSCCSSLHEHNPNLDGSLIEPGRTLDGSDSVICRICEEAVPISHLESHSYICAYADKCALNCIDVDERLVKLAEILEQIIESWNLSSIGSPENSRMQNQSSVVASEGYSPKISEWRNKGVEGMFEDIHDMDTACIEDSHLTSIDFKGHLGLRLGNYGASSSTGSMTSVSSTNTPRASHFDSFWLERNNPSELEDVQQMVDLSDIARCVAGTDLSKEGSHEFLLACMQDLQDVLRHSKLKALVIDTFGGRIEKLLREKYILACEVTDIKSPMRCIEQRENSGLISDTASQSNTMLTPFNMSHKERTTIDDFEIIKPISRGAFGKVFLARKRTTGDLFAIKVLKKLDMIRKNDIERILAERNILIAVRNPFVVRFFYSFTCRDNLYLVMEYLNGGDLYSLLRKVGCLEEEVARTYIAELVLALEYLHSLGIVHRDLKPDNILIAHDGHIKLTDFGLSKIGLINNTIDLSGPETSGTTSLDACNLQTQQTDDRSRHSAVGTPDYLAPEILLGTEHGYAADWWSVGIILFEFITGIPPFTAECPEIIFDNILNRKIPWPSVPSEMSYEAQDLINRFLIHDPNQRLGANGSTEVKAHAFFNGVNWDSLAMQKAAFVPHPDSADDTSYFVSRFTQISSGFPDENACSSSDTDPCDSDSNSGIEMDECGDLAEFASSPLNLSLINFSFKNLSQLASINHDVLLQSGKDSAKSSPSRGLGT >EOY14719 pep chromosome:Theobroma_cacao_20110822:8:1077475:1086622:-1 gene:TCM_034011 transcript:EOY14719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MANTRRNDNVLPSEVGIPSGLNRIKTPRVSLKEQPSSKLAELNESRTSKPPLKQKQKSVAQGQGKTYGFSKEVEQKGKKIAQWFSSYISRNSTQAFNTVTNIEAGSSDIKTHDKEELTRAKVGYMENRLNGKQSSAESAHSSILSKGLKSFSHELGPKGGIPSAHPRAHSYKDLKELLGSLHSRFDAAKEVVNAELATFAGDVMDLLDTIESSSPEGRKMAVDLLIVAQQCVEMTPSEFRVKCETIVQNLTEKRQQCQTVLVKWLCTRVLFILTRCTRLLQFQKEKEPIDEKSLNKFKKCLESIPAVEMSWVPTPAVADSHSANAVYQRAGGEHKLKGQNKVSSFPEPTWNSSMEPAGRSDITSENNSTIPEKISPTRKTRSDLISQEQHFCQADDSIVGNSVNTSCCSSLHEHNPNLDGSLIEPGRTLDGSDSVICRICEEAVPISHLESHSYICAYADKCALNCIDVDERLVKLAEILEQIIESWNLSSIGSPENSRMQNQSSVVASEGYSPKISEWRNKGVEGMFEDIHDMDTACIEDSHLTSIDFKGHLGLRLGNYGASSSTGSMTSVSSTNTPRASHFDSFWLERNNPSELEDVQQMVDLSDIARCVAGTDLSKEGSHEFLLACMQDLQDVLRHSKLKALVIDTFGGRIEKLLREKYILACEVTDIKSPMRCIEQRENSGLISDTASQSNTMLTPFNMSHKERTTIDDFEIIKPISRGAFGKVFLARKRTTGDLFAIKVLKKLDMIRKNDIERILAERNILIAVRNPFVVRFFYSFTCRDNLYLVMEYLNGGDLYSLLRKVGCLEEEVARTYIAELVLALEYLHSLGIVHRDLKPDNILIAHDGHIKLTDFGLSKIGLINNTIDLSGPETSGTTSLDACNLQTQQTDDRSRHSAVGTPDYLAPEILLGTEHGYAADWWSVGIILFEFITGIPPFTAECPEKNSMAVCA >EOY16660 pep chromosome:Theobroma_cacao_20110822:8:9424134:9425316:1 gene:TCM_035487 transcript:EOY16660 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein, putative MDSSDFPTSKSPRKELQGPRPAPLKVRKDSYKIKKPPLAPQPVQQPPAQIRPPVIIYTVSPKVIHTNPSDFMDLVQRLTGSTSASSGSSSLPSSTSSHPFHDTRAAISPAARFATIEKTKSPEGKKQQISEENFGFVQGVEMNHGVERTSFFPGILSPGPTSLPPISPNFFSPPFDPNSSNFFQDLSPVLHGNKNFIEGSFMPSPSSFNILPYITSPSTPSIDLFNNFFDL >EOY16973 pep chromosome:Theobroma_cacao_20110822:8:15612836:15616417:1 gene:TCM_036059 transcript:EOY16973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyesterase 18, putative MLILPLKTRLMLAALSFLADVFCSSDATSVNRFLTKFLDLKASPSTSTKPDNGIVSSDIMVDRTRKLWFRLFTNTAIADVADGGGLPIPIIVYFHGGGFTFMAANSMLYDGLCKRLAREVPAIVVSVSYRLLPEHRYRSQYEDGFDVLKFIDNPKFEGFLASSANTKKQFFIAGGSACHDSALS >EOY15409 pep chromosome:Theobroma_cacao_20110822:8:3178767:3182167:-1 gene:TCM_034482 transcript:EOY15409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MKKLIDPKMAEPYKLALNEEWEGMKKFYKRNKDAVALPLTVVNDTALHLAVYSGSKYPLKDLHELSPQAYLIQNDKGNTVLHEAAAIGNVKAAKFLLKRCSTEILDVKNALGETPLFRAAAFGRFDVVKFLHSEVRKGHGDMAIHRTRNDKTSILYIAIQAQRFETSWWLLENDRELIELEEQSVTTCLQLLANMPTAFRSGCYMNKWQRLLYFCLPDCEDYDDNYGAQVPLSSSQGNDCEKGFKKDYLRPSRYPNIRTGWFEVDRIWKKKRNHVSAVKLVEQLIRKDTSWQLNRVEPDPGVISLGASGGNPGQSRDKKPPIASSPTRSFDIAVVGEGQAASSNTRSFEIAVEGEGGSMGLTPLLIASSTGVLEIVKRILEVHPQAIEHVSNMGQNILHKAVKHRQKKIFKLVKKKKVALNWLVAKVDNNSWNLLHHAAYSDYVGKCTHPNPALQLQDELRWFESVKTIVPTFYALHRNNEGETAQEVFEKTHLKLLNDAEGWLKQTAKSCSVITVLIATVAYAAAYTVPGGLDQKTGIPVLIHHHFFLLFAIMDIFALVTSLTSAIMFLSILTAPFKYENFQDSLPRKLTLGITFQYLSVALIMLAFAATILLIVQLHGPRAVLVYIVAFLPVTVFAILHFPLYLAGAETVRSFFRFIKNVFPFNFITRSSFGITASSGSKSQ >EOY15026 pep chromosome:Theobroma_cacao_20110822:8:1996629:1997433:-1 gene:TCM_034224 transcript:EOY15026 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAST-like gene product MAMSKALIASLLVSLLLIQLVEADQLVTDTSKETSPPEKIDCGGACAARCRLASRQHMCKRACGTCCARCNCVPPGTAGNQEMCPCYASLTTHGGRRKCP >EOY17354 pep chromosome:Theobroma_cacao_20110822:8:19605162:19609277:1 gene:TCM_036507 transcript:EOY17354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of Uncharacterized protein function MAEDKDLMPAKYDVNAKWDACLDLTVRRFVYSSMAGAFGGLLFFRSPVTRWAAVAFGAGIGIGSAYTDCSRIFDGSSATLAPPKLADAPAPQVICFFFSQAPIFCFLIWVIWDNWTEWSFVAKIWVDSTILKDVENLD >EOY17396 pep chromosome:Theobroma_cacao_20110822:8:20017279:20024421:1 gene:TCM_036558 transcript:EOY17396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHSFSFSMVENQVCMRIPLLVGHGGRSFELGFGGELSSKSKEIVPEDQESEYSKLDSHYTCCSGRVLWINLFEGHEIRVYFYLGEEARRVTPKDEETKESNGSSNMVR >EOY15454 pep chromosome:Theobroma_cacao_20110822:8:3371058:3375374:-1 gene:TCM_034513 transcript:EOY15454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase interacting family protein, putative MLQRAASNAYSWWWASHIRTKQSKWLEQNLQDMEEKVSSMLTIIDDDGDSFAQRAEMYYRRRPELVSIVEESYRAYRALAERYDHLSKDLQSANRTIASVFPEQVPFAMDEEDEENVSQTSTSSPCADKPSIPKPSVPKAPFLKKDFRSQSVLLLRKGQLKRASSSAKAAAFPSSGLSKNEALDEIDNLQKEVLELQTEREFVKSSYEHGYQKFYEIEHQITEKQKRVCNLQDEFGIGSVIDDNEARALMAARALKSCQETLAKLKEKHEQSTEEARVESRRIKKVNQKFEALRNKFNFPQTNQQEKHKYVSPTTEFDNMVYDIKSEEKERQDLEALRKEIEEQLEVSSNGSLTVSQLAEKIDDLVQRVVNLETAVFSENALVMRLRSETDELQAHVKSLEEDKEALIEGSDIMKNRMNELERELSRVKDLVKTVVAQNNSLKTHFTEASCNIGHLSMKLQGVKMDEEVENTGLSQEVKTGTDAIADRGMEDHEIELAPYDSSALKDTGIEMEGKERDFSAEGKNYADSESGSKFDVDSRKALEPMEEDKAEKKYFSETASSIPDTEIEELGTDEEEDQPNWRQLYLNGLDDREKILLDEYSSVLRNYKDVRKKLNNVEKKNRDGFFELALQIRELKNAVASRDGEIQSLRQKMSFPDENKDGNLVELEGPRLSASQESTLTESIQASPVAVGQGKVESDEKVVEATAHGRFEESPKTMGEILMQVKPVSQSRHVLTVEEKIRSDIDDLLEENLEFWLRFSTSFHQIQKYQTSVQDLKAELSTLRGKMKQDGSGKQQQSLKSEARPIYSHLREVKTELTLWLENNAVLKDEVQGRYSSLCNIQEEIARVTNASGHAGETELSGYQAAKFQGEVLNMKQENNKVADELKAGFDRVRQLKLEVEKVMANLERELGLSASTAQNPVSRTGKPRIPLRSFLFGIKLKNKRQLKGPSMFACGHPTLQKQLSFLSEPTEPSR >EOY16872 pep chromosome:Theobroma_cacao_20110822:8:12631321:12637552:1 gene:TCM_035807 transcript:EOY16872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily isoform 1 MQTLLQPCKSFPFLNSLTQYFSLNGAPKCQCSVKTTPSSYSFTTTGTPGALSFSKITFLRSTRIVFCSQNDTFDEFSSTQLPESLENDSRIRENEELELLNKPSPVPVNNGFAADVEKPDKDEALEPFLKFFRPGESLEIEEGGGELGVSEEKSNEFKKVGVEYYEPKPGDLVVGVVVSGNENKLDVNVGADMLGTMLTKEVLPLYDKEMEYLSCDLKTNAEEFMGYGKMGIVKDDDAMSGGPVPGRPVVETGTMLFAEVLGRTLSGRPLLSTRRLFRRIAWHRVRQIKQLNEPIEVKFTEWNTGGLLTRIEGLRAFLPKAELMKRVNNFSELKEYVGCRMYVKITRINEANNDLIMSEREAWEMLHLRDGTLLEGIVVKILPYGAQVRIGDSNRSGLLHISNMSKTRITSVAELLKEGEKIKVLVVKSLFPDKISLSTADLESEPGLFISNKERVFSEAEEMAKKYRQNLPAVSAPRNVEPLPTDALPFDNEESLYVNWKWFKFEREDESS >EOY16874 pep chromosome:Theobroma_cacao_20110822:8:12631921:12637511:1 gene:TCM_035807 transcript:EOY16874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily isoform 1 QTLLQPCKSFPFLNSLTQYFSLNGAPKCQCSVKTTPSSYSFTTTGTPGALSFSKITFLRSTRIVFCSQNDTFDEFSSTQLPESLENDSRIRENEELELLNKPSPVPVNNGFAADVEKPDKDEALEPFLKFFRPGESLEIEEGGGELGVSEEKSNEFKKVGVEYYEPKPGDLVVGVVVSGNENKLDVNVGADMLGTMLTKEVLPLYDKEMEYLSCDLKTNAEEFMGYGKMGIVKDDDAMSGGPVPGRPVVETGTMLFAEVLGRTLSGRPLLSTRRLFRRIAWHRVRQIKQLNEPIEVKFTEWNTGGLLTRIEGLRAFLPKAELMKRVNNFSELKEYVGCRMYVKITRINEANNDLIMSEREAWEMLHLRDGTLLEGIVVKILPYGAQVRIGDSNRSGLLHISNMSKTRITSVAELLKEGEKIKVLVVKSLFPDKISLSTADLESEPGLFISNKERVFSEAEEMAKKYRQNLPAVSAPRNVEPLPTDALPFDNEESLYVNWKWFKFEREDESS >EOY16873 pep chromosome:Theobroma_cacao_20110822:8:12631844:12637798:1 gene:TCM_035807 transcript:EOY16873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily isoform 1 MQTLLQPCKSFPFLNSLTQYFSLNGAPKCQCSVKTTPSSYSFTTTGTPGALSFSKITFLRSTRIVFCSQNDTFDEFSSTQLPESLENDSRIRENEELELLNKPSPVPVNNGFAADVEKPDKDEALEPFLKFFRPGESLEIEEGGGELGVSEEKSNEFKKVGVEYYEPKPGDLVVGVVVSGNENKLDVNVGADMLGTMLTKEVLPLYDKEMEYLSCDLKTNAEEFMGYGKMGIVKDDDAMSGGPVPGRPVVETGTMLFAEVLGRTLSGRPLLSTRRLFRRIAWHRVRQIKQLNEPIEVKFTEWNTGGLLTRIEGLRAFLPKAELMKRVNNFSELKEYTFFGSFMLQVGCRMYVKITRINEANNDLIMSEREAWEMLHLRDGTLLEGIVVKILPYGAQVRIGDSNRSGLLHISNMSKTRITSVAELLKEGEKIKVLVVKSLFPDKISLSTADLESEPGLFISNKERVFSEAEEMAKKYRQNLPAVSAPRNVEPLPTDALPFDNEESLYVNWKWFKFEREDESS >EOY16908 pep chromosome:Theobroma_cacao_20110822:8:13601328:13603184:1 gene:TCM_035897 transcript:EOY16908 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding 50-like protein MSNSNITTFLAAAAAAVNIPQQTSSPIYSHAVMDDNSTDQLDFDEDFLILAADAGEGTNFETNAPSSSCLKASTTLSQSPFLSSDEMTSSSVNGCWEVPRSSFVDYMQQNGEGYVKTMEMDDHVGFKIAFRTKSDLEIMDDGYKWRKYGKKRVKNNPNPRNYYRCSTAGCKVKKRVERDKEDPRFVITTYEGKHHHESPSADTDICHNAINPDQRTSHQLQFLHHHQQHQP >EOY17336 pep chromosome:Theobroma_cacao_20110822:8:19512122:19513175:1 gene:TCM_036496 transcript:EOY17336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQRIGTRMLLSATSMAIAALVEMQRLQAAQDYGLVEKPNVTVPISVSWLVPQYVLFGLADVVEM >EOY16479 pep chromosome:Theobroma_cacao_20110822:8:7441582:7446310:1 gene:TCM_035252 transcript:EOY16479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLSSVKANRLATEYFIGVGVATVIAMVEATNLTVMMRKAQRLKRKSLRRWCNGKATSKLKCCEAHVDGAYDQLMSFGFVFILHLMRELLEITNDLSKALQLKP >EOY16735 pep chromosome:Theobroma_cacao_20110822:8:10717015:10725684:1 gene:TCM_035617 transcript:EOY16735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGSALEARSLRKAVVPLTLLENPSPGNLQSTRLALHVNEDGSSCWVYIASGCNIYKLQIPLQDSWLSKGKEGLLIPERTKVIDSSLLKRCPHRSEIQGIVLAEIESTGYLVLGSVDAYGHLIVSKLDASGKDVDKITYSVLPRDFGVGEGSWSGLCFSPTQWSMAAVAQSFCKSIDVYDQDIHFRTLRTLWYPSSLSFVQNLGHGSETSVLAITEGCQLSIWDLRMKENGGCLHRICGSVGDVFYAVCSSSTGNIAVGGADRTVTIYDPRRWSALSRWVHCSKYEITGLAFSSLDSDYIYVQGVDYEVFCSKWQESSKVFKFRGDSNWLGFSKCSNRDILGGWCDSGSIFVADVVAKGE >EOY16736 pep chromosome:Theobroma_cacao_20110822:8:10717038:10725764:1 gene:TCM_035617 transcript:EOY16736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGSALEARSLRKAVVPLTLLENPSPGNLQSTRLALHVNEDGSSCWVYIASGCNIYKLQIPLQDSWLSKGKEGLLIPERTKVIDSSLLKRCPHRSEIQGIVLAEIESTGYLVLGSVDAYGHLIVSKLDASGKDVDKITYSVLPRDFGVGEGSWSGLCFSPTQWSMAAVAQSFCKSIDVYDQDIHFRTLRTLWYPSSLSFVQNLGHGSETSVLAITEGCQLSIWDLRMKENGGCLHRICGSVGDVFYAVCSSSTGNIAVGGADRTVTIYDPRRDGQHFQDGCTVQNMRSRDLRSHLLTRITSMYKGLTMRCLL >EOY15823 pep chromosome:Theobroma_cacao_20110822:8:4761009:4762758:-1 gene:TCM_034780 transcript:EOY15823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTIVPTPLKIYICNLNPSKVKEKKKEISRMATRHHSAPDKAIWKKSTSGTYTPSSFVIHCQSNIWASQPHWRQLWKGHAPPKIEVFTWQVLLGKVAVKHELFKRGLIDINTSFCTLCNAELETSSHLFFTCSVAWNIWMHNCSLWGLSWVHPGDATSFFVSWQNNKPPYGSPEIWHMLFFSTLWSIWLCRNEILFQGKHLDVNQLQDIILVRLAHWCKGKWPVNHIPASHFLFEPSRICINSRKCKTKVVCSWMRPPTGSFKLNVDGSALGKPGPTGIRGAIRDHESFIKGVFSTPIGMEDSNYAEFLAIKEGLSFFFSSPWASSTLHVESDSKNAITWASDHNSVPWRMKLLSNSIEAFKTSFKDLTFTHINREANALADGLAKAGAIRFNCFSSLF >EOY16607 pep chromosome:Theobroma_cacao_20110822:8:8943019:8943790:-1 gene:TCM_035430 transcript:EOY16607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFLYVYGTVQIIQEFTEGFGDGSMRGITRLAGGLPAWTIQHDSDPTAFFKADHSAFNNMSKKSETCMLGCFLFFFFFIFWPVEHV >EOY14891 pep chromosome:Theobroma_cacao_20110822:8:1579237:1584114:1 gene:TCM_034135 transcript:EOY14891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide transporter 5 MEIMEPGGDPFEKSIIQPSMEKFVEETKQNHEKEEIVSSTTLVGNGCVDIRGKVADKQTTGGWKAAPFIIVNEVAERLAFFAIAVNMVAYLVFEYHQSLPSAATHVTDWIGAAYVLTLLGAFLADAYLGRFLTIIIFSCIYAVITQSEVLHIGLDGLKPIVERPKIIGLKPKLAAGIFVFLSSIPFLFQSYFYQPNTVISHNSNSCSIPFTIPNKIITILFYSHFILFPQNSNFILFLPIPRTKRAVCPFFSQGMVLLTLSASIDSLRPPPCTARPCTKAADNQSAFLFVALALIALGTGGIKPCVSSFGADQFDEADEKEVQKKYSFFNWFFFAINIGALLGITLLVYMQDKKGWGWGFGVPTMAMVCSTVILGAGIPYYRYQKPMGSPFTRFLQVIVASVRNHFKGVRLGREAVLYEVNTLESDIFGARKLAQTKQYRFLDMAAVVTDPEGNIKNRWRLCTVTQVEEFKSFVRILPVWASTIALSISFAQLSTFFISQATIMDRKLGPNFTIPAGSVPVFSAINALLLVPIYEKWMVPILRKRTGHHRGITSLQRMGVGLFISIFALASAALVEKKRRDHPKPSSMSVFWLLPQFFLIGSAEVFTYVGQLEFFYDEATDGTRSISSAMFLSEIGIGSWLSTAFVKIIERATGGDQKGWLRNDLNKSRLDYFYWILTAINAVNFLDYLWVAWRYKGKNGLEGSARVKAMAEPAGYDKGEFQSVVL >EOY16690 pep chromosome:Theobroma_cacao_20110822:8:9807367:9815761:-1 gene:TCM_035528 transcript:EOY16690 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIRE2-interacting protein 1, putative MEQKLTVEIDQMPPRGAHHRRAHSDTTFRFDDLLLFDPSDLDLSSLDLPASSSNPAPPPVIPVPADSSDDSSSNGPPRSSHSNPRHISSHLRSLSVDSDFFDGLGLTGPAISGGAGDEKFGGKGGVGEKRVHHRHSNSMDGSTTASFEIESLMAVDGIKKAMAPDRLAELALIDPKRAKRILANRQSAARSKERKIRYTSELERKVQTLQTEATNLSAQVTMLQRDTTGLTAENKELKLRLQAMEQQAQLRDALNDALREEVQRLKIQAGQMSAMNGNPFNRGLPPQFLPHQAAPHHFSGHQPTQQQQQQMSQSSTNNQTLNGQPQPCFMDFNQRA >EOY15914 pep chromosome:Theobroma_cacao_20110822:8:5007356:5008979:1 gene:TCM_034837 transcript:EOY15914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTSGSILPCKAVCFRMASSKSQPAILCFSHLLVISKQKGKHQILRNHQKLQSLGTSLRVPNSDRYRNMVVCSGVGSGPPIPSDPSPGSWKPWILGMLFSIILPFWRGKWGPLLKLKDRVETVIDTVETVTDIIEEVAEQVEKVADKVGDQLPEGRLKDALEFVEDIAEETADDARLAGEFIDKVEDAVDDVEKQVESFFDKDEKAEETKEDDKGHD >EOY15966 pep chromosome:Theobroma_cacao_20110822:8:5190531:5196757:-1 gene:TCM_034876 transcript:EOY15966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-responsive 1 family protein MVSFAASNVVLFVVFLVSLLHINTDARRLSESDQTEQPLLFQYHNGPLLTGKISVNLIWYGKFKPSQRAIVSDFVASVTSSKPTVAEPSVSTWWKATEKYYQLSKKPSSLALSLGTQILDENYSLGKSLTNQQIIELASKGAQKNAINVVLTSADVAVEGFCSSRCGTHGSGLGTSNGQIKGKSSKFAYIWVGNSETQCPGQCAWPFHQPIYGPQNPPLVAPNNDVGLDGMVINLASLLAGTATNPFGNGYYQGPKLAPLEAASACPGIYGKGAYPGYAGDLPVDATTGASYNAHGVNGRKYLLPALFDPSTSTCSTLA >EOY14640 pep chromosome:Theobroma_cacao_20110822:8:820914:825318:1 gene:TCM_033954 transcript:EOY14640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative METLLPSPASWMAGVFAFLIFCYLLFTRLINRTGSGMKRKAPEVSGARPFLGHLHLLGGSKPAHVVLGDLADTNGPIFTIRLGMHPTLVVSNWEIAKECFTTNDKAFANRPRTIAAELLGYNYAMFGFSPYGPYWRQIRKIATLEVLSNHRLEKLKHIRESEVRTSIKELYELGVEGVSNSSSGKVLVEMKRWFWTLNINMVFKMVVGKRYSEAETSHGKDENDGHRKALRDFFELTGTFTVGDSLPFLRWLDLGGHEKAMKKTAKELDHILEEWLEEHKQKRNSGNAESEHDFMGMMLSLLNDAAELPSYNADTIIKATCLAIILGGTDTTTVTITWALSLLLNHHDVLEKAQHELDTYVGRERPVQESDVKNLVYLQAIIKETTRLYPAAPLSVMHESVEDCTTAGYFIPAGTRLLVNLSKLQRDPKAWSNPDEFRPERFLTTHKHFDVRGQNYELVPFGSGRRVCPGISFALQVLQFSLASLLQAFEITTPSDEPVDMGEGAGLTNLKATPLEVILTPRLPPHLYE >EOY17236 pep chromosome:Theobroma_cacao_20110822:8:18573780:18580080:-1 gene:TCM_036384 transcript:EOY17236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide adenylyltransferase family protein isoform 3 MRLSLNKAIRLKAKAPLLLHSLYLPAANTSLPFRNVSTHSKATTLTLTTKTRAFLPSPFLTCGAAMATAACVQVKEQIELTETEKKIFARLLNTLRYFNLQTQLRVAGGWVRDKLLGKECYDIDIALDNMLGSEFVDKVREYLSSTGEEAQGLAVIPSNPEQSKHLETARMRLFDLWIDFVNLRCEDYSENSRIPTMKFGTAEEDAYRRDLTINSLFYNINTNLVEDFTKRGIEDLKFGRIVTPLTPKETFLDDPLRVLRAIRFGARFGFALDEELKKAASCDDVKTALAAKISRERIGTEIDLMISGNQPVKAIDYICDLTLFWVVFNLPLQVEPAVSEGCYRLSAAYLDATWKLIQLIGCSSFNDEQRRLSLYSALFLPLRNATYKDRKAKKIPAVNYIFKDSLKRKASDAETVTKVHKSLDKFLSLIPCLLSNDDMQLVKVDWGQEFVDVPLSSKLRVLTGFLLKEIKDFWRVALLMSTLLYPTDIDCTQDDRDKHFQLDKRKDLFVSVENAIVKLGTTSFFFPFVKFLCFIFPMTPILSHINN >EOY17234 pep chromosome:Theobroma_cacao_20110822:8:18572603:18580080:-1 gene:TCM_036384 transcript:EOY17234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide adenylyltransferase family protein isoform 3 MRLSLNKAIRLKAKAPLLLHSLYLPAANTSLPFRNVSTHSKATTLTLTTKTRAFLPSPFLTCGAAMATAACVQVKEQIELTETEKKIFARLLNTLRYFNLQTQLRVAGGWVRDKLLGKECYDIDIALDNMLGSEFVDKVREYLSSTGEEAQGLAVIPSNPEQSKHLETARMRLFDLWIDFVNLRCEDYSENSRIPTMKFGTAEEDAYRRDLTINSLFYNINTNLVEDFTKRGIEDLKFGRIVTPLTPKETFLDDPLRVLRAIRFGARFGFALDEELKKAASCDDVKTALAAKISRERIGTEIDLMISGNQPVKAIDYICDLTLFWVVFNLPLQVEPAVSEGCYRLSAAYLDATWKLIQLIGCSSFNDEQRRLSLYSALFLPLRNATYKDRKAKKIPAVNYIFKDSLKRKASDAETVTKVHKSLDKFLSLIPCLLSNDDMQLVKVDWGQEFVDVPLSSKLRVLTGFLLKEIKDFWRVALLMSTLLYPTDIDCTQDDRDKHFQLDKRKDLFVSVENAIVKVLIKFGM >EOY17235 pep chromosome:Theobroma_cacao_20110822:8:18571882:18580161:-1 gene:TCM_036384 transcript:EOY17235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide adenylyltransferase family protein isoform 3 MRLSLNKAIRLKAKAPLLLHSLYLPAANTSLPFRNVSTHSKATTLTLTTKTRAFLPSPFLTCGAAMATAACVQVKEQIELTETEKKIFARLLNTLRYFNLQTQLRVAGGWVRDKLLGKECYDIDIALDNMLGSEFVDKVREYLSSTGEEAQGLAVIPSNPEQSKHLETARMRLFDLWIDFVNLRCEDYSENSRIPTMKFGTAEEDAYRRDLTINSLFYNINTNLVEDFTKRGIEDLKFGRIVTPLTPKETFLDDPLRVLRAIRFGARFGFALDEELKKAASCDDVKTALAAKISRERIGTEIDLMISGNQPVKAIDYICDLTLFWVVFNLPLQVEPAVSEGCYRLSAAYLDATWKLIQLIGCSSFNDEQRRLSLYSALFLPLRNATYKDRKAKKIPAVNYIFKDSLKRKASDAETVTKVHKSLDKFLSLIPCLLSNDDMQLVKVDWGQEFVDVPLSSKLRVLTGLDKVWDVKPLVNGKDIMNVLQLKAGGPLVSEWQQKVLTWQLAHPSGTAEECLDWMRETHSKRIKIE >EOY17233 pep chromosome:Theobroma_cacao_20110822:8:18572523:18580059:-1 gene:TCM_036384 transcript:EOY17233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide adenylyltransferase family protein isoform 3 MRLSLNKAIRLKAKAPLLLHSLYLPAANTSLPFRNVSTHSKATTLTLTTKTRAFLPSPFLTCGAAMATAACVQVKEQIELTETEKKIFARLLNTLRYFNLQTQLRVAGGWVRDKLLGKECYDIDIALDNMLGSEFVDKVREYLSSTGEEAQGLAVIPSNPEQSKHLETARMRLFDLWIDFVNLRCEDYSENSRIPTMKFGTAEEDAYRRDLTINSLFYNINTNLVEDFTKRGIEDLKFGRIVTPLTPKETFLDDPLRVLRAIRFGARFGFALDEELKKAASCDDVKTALAAKISRERIGTEIDLMISGNQPVKAIDYICDLTLFWVVFNLPLQVEPAVSEGCYRLSAAYLDATWKLIQLIGCSSFNDEQRRLSLYSALFLPLRNATYKDRKAKKIPAVNYIFKDSLKRKASDAETVTKVHKSLDKFLSLIPCLLSNDDMQLVKVDWGQEFVDVPLSSKLRVLTGFLLKEIKDFWRVALLMSTLLYPTDIDCTQDDRDKHFQLDKRKDLFVSVENAIVKLGLDKVWDVKPLVNGKDIMNVLQLKAGGPLVSEWQQKVLTWQLAHPSGTAEECLDWMRETHSKRIKIE >EOY16074 pep chromosome:Theobroma_cacao_20110822:8:5668172:5678526:1 gene:TCM_034956 transcript:EOY16074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein MVTVNLNYKISIKKGRTSGKDMAKFRWKGIIQAFNSSGTKQPQYLNAATSLMVESIDCGDGLAKTSQLATRRQKGRIHPRFQPNGSRGSTPQVNSQLGYAHQRKLGMVLVRGVRTPTTTPSFFLQIQENKMVRFAIAIGIFVACLAVAEPNTSPSSAPSPSAGCSTIIYDMVDCVSFLSVGSKDKKPSPSCCSGFETVLNTDPKCICEALKSSAELGIDVDLKRAATLPSACGVSAPPISNCNVSLSPGTAPGTAPGTAPGAAPGTAPDTAPVNPPPPPSDGAPSPSTPEAPADPGTSITPAPSTDDGDDVAKQAPAPALSGTYSLSASFFAVISMLMVSFSYVSV >EOY16569 pep chromosome:Theobroma_cacao_20110822:8:8422485:8425378:1 gene:TCM_035364 transcript:EOY16569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factors MSKTLVQPIGQKRLTNVAVVRLKKHGLRFEIACYKNKVLSWRSRVEKDLDEVLQSHTVYANVSKGVLAKTKDLVAAFGTDDQTNICLEILEKGELQIAGKERESQFSSQFRDIATIVMQKTINPETQRPYTISMIERLMHEIHFAVDPHNSSKKQALEVIRELQKNFPIKRSPMRLRITVPGQNLHSLSEKLNEWSATIVSKEESGSQLSIICEMEPGIFRECDALVRNSQGRLEILAVSVHAEGDTQVDLYDDEDIASHLPKESADSAPSNLPQKSTDSVLQLSEKMQKQTISSGNENAEGEVKQQKCSTCKAFVGDSKQYRDHFKSEWHKHNLKRKTRQLPPLTAEECLADMEMSDSKSDLQDYSF >EOY16360 pep chromosome:Theobroma_cacao_20110822:8:6855142:6858787:-1 gene:TCM_035163 transcript:EOY16360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome beta subunit C1 MSIFEYNGSALVAMVGKNCFAIASDRRLGVQLQTIATDFQRISKIHDRLFLGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFANLVSALLYEKRFGPYFCQPVIAGLGDEDKPFICTMDSIGAKELAKDFVVAGTASESLYGACESMFKEDMEPDELFETVSQALLSSVDRDCLSGWGGHVYVVTPTEVKERILKGRMD >EOY17286 pep chromosome:Theobroma_cacao_20110822:8:19007265:19084566:-1 gene:TCM_036436 transcript:EOY17286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPTLFACLNILMASPASPLLLPRCSPAMLNIGNKEPRPRVVTVFAAKFGALNSILKRCEKCGGKGAIECPGCKGTGKNKKNGNIFERWKCFDCQGFGLKSCPSCGQGGLTPEQRGER >EOY17288 pep chromosome:Theobroma_cacao_20110822:8:19082981:19084526:-1 gene:TCM_036436 transcript:EOY17288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPTLFACLNILMASPASPLLLPRCSPAMLNIGNKEPRPRVVTVFAAKFGALNSGTGKNKKNGNIFERWKCFDCQGFGLKSCPSCGQGGLTPEQRGER >EOY17287 pep chromosome:Theobroma_cacao_20110822:8:19082482:19084411:-1 gene:TCM_036436 transcript:EOY17287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPTLFACLNILMASPASPLLLPRCSPAMLNIGNKEPRPRVVTVFAAKFGALNSILKRCEKCGGKGAIECPGCKGTGKNKKNGNIFERWKCFDCQGFGLKSCPSCGQGGLTPEQRGER >EOY17036 pep chromosome:Theobroma_cacao_20110822:8:17154369:17156792:1 gene:TCM_036197 transcript:EOY17036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATDQLQLDHSFHQSTMTELLPSPTHDHLIHYLYFDHSTSTWVPPGYRFAPTDDQLILHYLSNKIKGEPLPSEAVTDCEIYGDQDKEPWRIFGMTSSRKFYVFTKLKNKGKGKRIERTAGRGTWKGQRTDPVKDSDGNQIGFKKLFVFEVKGGGANNVNGHWLMHEYSLLTQSDYVLCAIRNKNAAEPTAEEVGLDHVEGMEAMIEELEQCIGQDQTLMSEQVQATNTCINNQHTRRGLETEEDETQPKRMRFSNSVQGKQTCFTGAATPVSTLALDKASGDQEGMTAEKLEQEWVLKGFEDQNLDELLEATNAQECQQQEEMMRFSENYVTGDMYMQHSFTAAAEPALGFDSEFSYYNPQPYTLLDHCPPSLPDGSMGNSSA >EOY17410 pep chromosome:Theobroma_cacao_20110822:8:20132535:20132936:1 gene:TCM_036575 transcript:EOY17410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGEGKGGGGGAAGKGGGGGAAGKSGGGGASAAGKGGGGGGGGGGGSGMMVAPGSRGATYISRDSFESNTKGYFSGLHSSEKGNK >EOY17502 pep chromosome:Theobroma_cacao_20110822:8:21336352:21341171:1 gene:TCM_036718 transcript:EOY17502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain-containing protein, putative MTEAEERKIPVFTVIKNGAILKNIFVINKPREMEEGEEYPHNQEETEERLIVGRHPDCNIMLTHPSISRFHLQIHSKPSFQKLSVLDLSSVHGTWVSGKKIDPGVVVELNEGDTIKIGGSTRLYKLHWIPMTRAYDMESPYVSSLDVPMEEEKEEESAVQSHQGENTLSTQNECIEGKDSLLVEGKEEEASQSCVPAEDEELQLMDWMLEGIVSLFSDESSGVLMKKEIPSAPPMPENMNFSIYDEEESTSRNNLEERELLGLKTELSSNFSGELDLAVEGYISESQNQQLGKANERILLETVMDAISEGENSEMSLRKSELKPEHSENIDPSFVEKLENYSATEIVEESESKRLIRESQQKRDVSRLSSEPHLLESINSTFQDDVSLNIKSHQVHKENQTPKPLSPSKPMEERGNKENTAAYQQSILMVNLDSTCSDGCKDSLSGKVEDAENQNLSRKDYESDDARVSSEPYLLESINSTFQEDILLNIKSQQVYNKNQTPKPLSTSKPMEERGTKENTAANQQLMMVNLDSTCSDGCKDHLSGKVEDAENQNGSRKDYKRDNTNFYSAALPTESVKSSLPIGEVLSDVTDDKRNPTPQSLFSPAVLSEDENLDSSPSRLEKKSNLHSIWSRRGKLASVLHIQTGRSKEKAVEATNNAENKSITKSLFVSSEEEGEEEIFTPDKENFTPNTLLMKALKRKGKLEEIKHSSKVTFSPDLQPEDDIIASDEENQTQKLIKELKSVRKASRNHPKLQERIVINGKAERVPFQSLLPDSACKSASEASIPKTAARSSNSNTLNSKTIDKRIAHPSLNESVGAGRGIWTMVADTTSLMDKESRKSLQLLQGLKGTRLIIPRSVIRELDCLKRRGSLFRRTTEASLVLEWIEACLVKTKWWIHVQSTLEGVAIAPTPPATPQSQFSEGSVGNLFGTTWSAPLSSRGSLMDIASPTTEDHILDCALLFRKMKSDGQLILLSSDITLKIKAMAEGLICETVQEFRESLVNPFSERFMWADSSPRGQSWTVLDDVVLREKYNRCPLKKPSKGDAKGLKLILLHNSHYGHISSVR >EOY14879 pep chromosome:Theobroma_cacao_20110822:8:1551530:1552878:-1 gene:TCM_034126 transcript:EOY14879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin H-type 1, H1,TRX1 MAEEGQVISCHTVDSWNEQLQIANTSKKLVVVDFTASWCAPCRFITPVLVELAKKMPQVTFLKVDVDELKTVAQDWAIEAMPTFIFLKVGTIIDKVVGARKDELQQKITSHSSMLA >EOY15362 pep chromosome:Theobroma_cacao_20110822:8:3046008:3048376:1 gene:TCM_034454 transcript:EOY15362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MASRSIIPHLKRNGGDKLAGATWRRIINSGASPGYAVEKPRVKTAIASSRVSLKRSILRLKSPTESTTTVLQNWADSGHKVEISDLRYISNILLKTSCYNQALEMWTWMETQKGLQISAADHANKLELLIKVRGLMAAEEYFDRLPNTASQKAACLPLLNGYVKERNVGKAEAFMSKLTGLGLTLGPYLFIEMMKLYMATCQYDKVPLVIMQMTRNKIPKYAQSYNLWMDACAKASGVAEAEAVYREMLSDENAKVGWSTLSTLANIYVKAGLVEKAVAALKNAEAKLSTNNRFGYIFLMTQYTSLNSKDDVIRLWEASKAVGKRLSCANYMCILSCLVKLGDLVQAERVFMEWESNRQKYDIRVSNVLLGAYMRNGWVEKAESLYIRSLKKGGCPNYKTWEILMEGWVRSHKMVKAINAMKEGFAMLKDCHWRPSQSILVAIAEYFEKQGKLADANNFIRDIQDMGLASSPIYKSLLRIHLSAKRPAKDILEMMDKDKIEMDDEISSLVQASEIDSRNFNSCSGFLG >EOY17496 pep chromosome:Theobroma_cacao_20110822:8:21227726:21231165:-1 gene:TCM_036706 transcript:EOY17496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCHRLWLGGEPLYTDKGLYPRTKNRSISTPNTGKDKIKVRCISKVAKTYSQQGEGGIGFKDMNAFDNALLAK >EOY14761 pep chromosome:Theobroma_cacao_20110822:8:1198692:1200761:1 gene:TCM_034040 transcript:EOY14761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase MDNSKQENALPEDHELELKLPHLLFTKTVRELYGKIVNEWDSLKKSACQTAAGRALWKHVIHDPLADVLAGETYLRNLHEKITKDRHNNARETSGVILAVRTLWFDSKIEAALDSFGGAAQVVLLGAGMDARAYRLSCLKESDVFEVDFPEVLQVKAALLQAALESTEHLRMMAKSLTSVAADIRENDWLEKLQISGFVPEKNTVWVLEGIIYYLSHSQAMQVLKIVADKCTLTHTVLLADFMNKASTTLSSSIFRFYSDWPDHLLPSLGFSHVKLSQIGDPDAHFGLMHDPLNLFNKLRNLPRSAETNPDDGTPCCRLYLVQASGSPNQTISLSTIPM >EOY15746 pep chromosome:Theobroma_cacao_20110822:8:4495033:4497216:-1 gene:TCM_034717 transcript:EOY15746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair defective 6-like 2, putative MESLAAFPDGEWDSFNRMFSTEELDFTQQILHQFSFPMEHDEGLSFINSSTFCPIPEGTMSIAGVTESLSYSSNAIDSTFHYNSQESSQSSNSSGSVFVSPPNLETYCLSGSNHVAVTNDITMSLDMSMDIGGVGDKITGSFPPVFPNLAREDTVNVIEDLSTDSLGKLLDASHPSANTVLANELLLKRKFDVLELHAEGDKMIINSNSSENAKRRPRVSKDASKVYKNVQSKKNRKISLNGNEGESNIGSDGQSSSTCSSEDDIVSQDTNGVATSDSKASPALNLNGKTRASRGSATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDLWMYAPIAYNGIDIGLNEKISTLL >EOY16615 pep chromosome:Theobroma_cacao_20110822:8:8981010:8983686:1 gene:TCM_035437 transcript:EOY16615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase 1 isoform 2 MSTAGQVIRCKAAVAWEAGKPLSIEEVEVAPPQKQEVRIKILFTSLCHTDVYYWECKGYTPLFPRILGHEASGIVESVGEGVTDLQPGDHVLPIFTGECKKCRHCLSEETNNMCDLLRINCDRGVMLADGKTRFSINGKPIYHFVGTSTFSQYTVVHEGQVAKINPTAPLDKVCPISCGICTGFGATVNVAKPRKGQTVAIFGLGAVGLAAAEGARVCGASRIIAVDLNPRRFEEAKKFGITEFVNPKDYNKPVQEVIVEMTGGGVDRSVECTGSVQAMISAFECVHDGWGVCVLVGVPKIDDAFKTHPLNLLSERTLKGAFYGNYKPVSDIPNFVNKYMNKVMSFGICLDNSLPTIHHSVF >EOY16614 pep chromosome:Theobroma_cacao_20110822:8:8980685:8984136:1 gene:TCM_035437 transcript:EOY16614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase 1 isoform 2 MSTAGQVIRCKAAVAWEAGKPLSIEEVEVAPPQKQEVRIKILFTSLCHTDVYYWECKGYTPLFPRILGHEASGIVESVGEGVTDLQPGDHVLPIFTGECKKCRHCLSEETNNMCDLLRINCDRGVMLADGKTRFSINGKPIYHFVGTSTFSQYTVVHEGQVAKINPTAPLDKVCPISCGICTGFGATVNVAKPRKGQTVAIFGLGAVGLAAEGARVCGASRIIAVDLNPRRFEEAKKFGITEFVNPKDYNKPVQEVIVEMTGGGVDRSVECTGSVQAMISAFECVHDGWGVCVLVGVPKIDDAFKTHPLNLLSERTLKGAFYGNYKPVSDIPNFVNKYMNKELGIDMDKFITHSLPFADINKAFDYMLQGIGLRCLIHLDA >EOY16613 pep chromosome:Theobroma_cacao_20110822:8:8980685:8989866:1 gene:TCM_035437 transcript:EOY16613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase 1 isoform 2 MSTAGQVIRCKAAVAWEAGKPLSIEEVEVAPPQKQEVRIKILFTSLCHTDVYYWECKGYTPLFPRILGHEASGIVESVGEGVTDLQPGDHVLPIFTGECKKCRHCLSEETNNMCDLLRINCDRGVMLADGKTRFSINGKPIYHFVGTSTFSQYTVVHEGQVAKINPTAPLDKVCPISCGICTGFGATVNVAKPRKGQTVAIFGLGAVGLAAAEGARVCGASRIIAVDLNPRRFEEAKKFGITEFVNPKDYNKPVQEVIVEMTGGGVDRSVECTGSVQAMISAFECVHDGWGVCVLVGVPKIDDAFKTHPLNLLSERTLKGAFYGNYKPVSDIPNFVNKYMNKELGIDMDKFITHSLPFADINKAFDYMLQGIGLRCLIHLDA >EOY16616 pep chromosome:Theobroma_cacao_20110822:8:8981010:8983393:1 gene:TCM_035437 transcript:EOY16616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase 1 isoform 2 MSTAGQVIRCKAAVAWEAGKPLSIEEVEVAPPQKQEVRIKILFTSLCHTDVYYWECKGYTPLFPRILGHEASGIVESVGEGVTDLQPGDHVLPIFTGECKKCRHCLSEETNNMCDLLRINCDRGVMLADGKTRFSINGKPIYHFVGTSTFSQYTVVHEGQVAKINPTAPLDKVCPISCGICTGFGATVNVAKPRKGQTVAIFGLGAVGLAAAEGARVCGASRIIAVDLNPRRFEEAKKFGITEFVNPKDYNKPVQEVIVEMTGGGVDRSVECTGSVQAMISAFECVHDVIRLSKPPLC >EOY14528 pep chromosome:Theobroma_cacao_20110822:8:533704:536677:1 gene:TCM_033883 transcript:EOY14528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A 2A, IIA,PLA2A isoform 2 MESIPKHIIPKYNFFADSPRSPLQPPTYGNLITVLSIDGGGIRGLIPGTILAFLESQLQKLDGEQARLADYFDVIAGTSTGGLVTAMLTTPNPEVGNRPLFAAKDIIDFYLEHCPKIFPQDSSPFAPAANVVKSLMGPKYDGKYLHDIVREKLGETRLHQTLTNVVIPTFDIKQLQPKIFSSYEVKSNPSKDALLSDICIGTSAAPTYLPAHHFQSQDSTGKPIEFNLIDGGVAANNPALVAINEVSKEILRGNPEFFPIKPTDYARFLVVSLGTGSPKSEEKYHANLAAKWGILGWLTSEHSTPLVDIFMQASSDMVDFHIATLFQALQSEDSYLRIQDDTLSQQMSSVDVATKENLENLVKAGEELLKKPVSRVNLEDGKSEPAGTVTNEKALIRLAEALSREKRLRDMRSPSGNLATNQKKEECAQNNSTSKLN >EOY14529 pep chromosome:Theobroma_cacao_20110822:8:533643:536131:1 gene:TCM_033883 transcript:EOY14529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A 2A, IIA,PLA2A isoform 2 MESIPKHIIPKYNFFADSPRSPLQPPTYGNLITVLSIDGGGIRGLIPGTILAFLESQLQKLDGEQARLADYFDVIAGTSTGGLVTAMLTTPNPEVGNRPLFAAKDIIDFYLEHCPKIFPQDSSPFAPAANVVKSLMGPKYDGKYLHDIVREKLGETRLHQTLTNVVIPTFDIKQLQPKIFSSYEVKSNPSKDALLSDICIGTSAAPTYLPAHHFQSQDSTGKPIEFNLIDGGVAANNPALVAINEVSKEILRGNPEFFPIKPTDYARFLVVSLGTGSPKSEEKYHANLAAKWGILGWLTSEHSTPLVDIFMQASSDMVDFHIATLFQALQSEDSYLRIQDDTLSQQMSSVDVATKENLENLVKAGEELLKKPVSRVNLEDGKSEPAGTVTNEKALISRLAEALSREKRLRDMRSPSGNLATNQKKEECAQNNSTSKLN >EOY16843 pep chromosome:Theobroma_cacao_20110822:8:11816019:11818291:-1 gene:TCM_035737 transcript:EOY16843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein MAKITSFKYTIFLSCSSILNLLFFSHYLHRGMEQSYTKNAAAEAEAVAAISCSGHGRAFLDGSILHGKHVCECDACYGGPDCSDFLPDCIADADSGDPMFLEPFWRKHAASSTIVLPGWHRMSYEFNDGSLISKELETQIRKLHAVIGNAVTDGRFIIFGAGATQLLHAAVHALSSNDPSSPTIVVASTPYYPVYREQTEFFNSEDYKFKGDTSLYKNERDSQGNFIELVTSPNNPDGQLKKAVLQGPSVKTIHDLAYYWPHYTPIPAPADEDLMIFTLSKLTGHGGSRFGWAILKDETVYQRMLIYMSLSTYGVPRETQLRVLKLLKVALEREGKEMFDFGYKTMRNRWRKLSETMSMSKRFSIQELEPKRCSFHQKVREPSPAFAWLKCEREEDNDCNAVLNSVNVTGRDGSLFGDESRYVRLSLVKCEDDFDLLLKRMQILVSEENDSTKIMPQNKTTVNLSSPRLNACSNWDHVTSKFHLEELTTDIRDGATMPAYLQ >EOY17076 pep chromosome:Theobroma_cacao_20110822:8:17419511:17432138:-1 gene:TCM_036233 transcript:EOY17076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MSYISILAASFHGQRAAFNSADAQLKFPSLASIHRRPTIRIARPFFQNDTFSISRMAVGRSSRVGREGSVRMALVNERLGHGRNVSEPSSVLAYELVQGKLVKWSSMVVLDRSIPEPPTAVLLHGILGSRKNWGTFARRLAQEFPTWQFVLVDLRCHGDSASFKKRGPHTVASTALDVLKLIAQLRITPRVLIGHSFGGKVVLSMVEQVAKPLPRPVRVWVLDATPGKVRAGGDGDDHPAELISFLRKLPMEVSSKRAIVNALVQEGFSKDVAQWVVTNLRPTAAAGTPSSSLSWVFDLEGISEMYQSYEETNLWEVVENLPRGVHVNFLKAERSLHRWALEDLQRIHAAEESAADEGGGVEMHVLEDAGHWVHADNPDGLFRILSFSFKGVKA >EOY15571 pep chromosome:Theobroma_cacao_20110822:8:3790066:3794503:1 gene:TCM_034586 transcript:EOY15571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein, putative MAEIVLCPLLQVVFEKLASRFLKEIAGRCGFKDEIKKLQRALRAMQAVLQDAEERQATDKNLKLWLSELKEVAFDADDLLEEFGPEAMLQENDNSLTEQVSNIVPSLRPFMTYLTRFPELKQIRERLDVLLEERSNFKLKKRDADEKIKNLQKRETGSFVIESEVIGREEDKEKIVEMLLLTTERRANEVVSIIPLVGLGGLGKTTLAQLVYNDERVMRNFELRMWVCVNDDFDVRKILNLMIESATRRRCDDLVGMDVLQSQLRDLLVRRRYLLVLDDVWNEDVDEWEKLKILLKFGAEGSKVIVTTRSAKVATIMGTVSSHHLKGLSHEDCWALFKQRAFAHDQEDYPDLLPIGKQIVKKCGGVPLAAKTLGSLMRFKREPEEWLSVQENELRNVCEEETGILPALKLSYSHLPSHLKVCFMYCSIFPKNYVIKKEKLIHLWIAEGLIESCEYPMRAATTREERKSLENVGSNYFNDLMWTLFFEEVKKNSDGDVIECKMHDLVHDLAKSVAGEEFFIFERDCLPKNLSRVRYMSVVCHSESCTIPEALYEAKKLRTLIFLFPKGGSGEVPADLFSHFRNLRVLDLGYSGIKRLQSTVSCLKHLRYLGLSNTFVATLPETISSLYNLQVLNLSGCAELTRLPRDLARMCMLRHLIINNCERLPCLPDDIGALFLLQTLPIFIVSNESDDLRQLKRLRLRGNLTIRNLENVKEEVNAVISKMKFLHSLELSWGDDLDGLNLNVRNDFSWGLGEKVLDCLQPPENLKRLSIKGYAGIHFPRWISTLALPNLTKIVLINCKRCERLPAFGRLPVLEIIHMQGMEAVKNIGSEFYGEYINRSFASLKELSLIDFPNLEFWWSMSGGEEFPSLVKLTINKCPRLMNMPQLSSLRHLDLQNCHETILRSAVNVTSLSVLIISVFTGQLIVLDNLLQNNVHLMSLTISSCPKLHRIPPSLGNLVSLKSLTIRWCEELLSLPQQLQNLTCLQSLEISECHSLSTLPQSIDRLISLKYLSIENCSNLRSLPIELQHLGSLEHLTIMYCPRLASLPSDWHNLSMLRSLCLLSCPELSSLPESIKHVTALQNLEIHGCPGLNVLPEWVANLSLLRSLAISDCPNLTSLPEGLECLSTLQRLSIQECPRLEQHCKKNIGKDWPKIAHIAHVYIGSPELRKENVASSSLR >EOY16788 pep chromosome:Theobroma_cacao_20110822:8:11159781:11165049:1 gene:TCM_035668 transcript:EOY16788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleotide reductase 1 MYVIKRHGRQEPVHFDKLTATLKKLSYRLSKDHCDPVLVAQKVYTGIYQGVTTTQLAELAAETAASLTANHPDYALVSFFLFLFLVLASAFKWTWPFTWIGSDFVCVCSTDVEEQLAARIAVSDLHKSTKKSFSETIQIMYNYINKKSGKVVPLIADDVYEIIMKHLMLLGWTVRSYMTDFEYDYFGFKTLERSYPLKMDGKVVERPQHMLMRVAVGIHIVDIDSAIKTYHLTSQCWFTHATPTLFNAGTPQPQLSSCFLLCMKDDNIDGIYDTLKKCAVISKSAGGIGLSIHNIHATGSYVCEENGTSNGIIPMLRVFNDTAHYVDQGGGKRKGGFAIYLEPWHADIFEFLDLRKNHGKLLLNALWVPDLFMETVQGDGKWSLFCPSEAHGLADCWGEEFKRLYTQYEKEGKARMLIPARKLWFEILNSQIETGTPYMLFKDSCNRKSNQQNLGTIKSSNLCTEVIEFSSPTETALCNLASIALPRFVREKGVPLESHSSKLVGSRGWKKRYFDFEKLAEGLADAFILLGMPFDSPEAQQLNKDIFETIYYHALKASSQLAGKDGPYKTYSGSPVSKGILQVDMWGVTPSDHWDWDGLREMISKNGVRNSLLLAPMPTASTSQILGNNECFEPYTSNIYSRRVLRRSKPMVEGSINKGSSFYDTKLNLKTH >EOY15202 pep chromosome:Theobroma_cacao_20110822:8:2559031:2562155:1 gene:TCM_034348 transcript:EOY15202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLISPLPALSDNFILFPLSGLFTQANALFLASFSFTQLLFIITSFGLWQMLNRFYLFMYTTGLTKAAQQQKPNKWKKYISDSQLIM >EOY14382 pep chromosome:Theobroma_cacao_20110822:8:136877:138913:1 gene:TCM_033780 transcript:EOY14382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein MSSISNIIVVSTLHILLACLILHTTAQDSSREALEFTIGIGSGSKDSYLSKGCNDEHHTLPFKLNCPLSRTPITTLPALASEKEVLEFADQRLALVYPVIQKFKSIITSDPLGITETWLGSDVCSYKGFYCDNPPDNKSAIAVASIDFNGFQLAAPTLDGFLDKLPDTALFHANSNNFGGTLSPNIAKLRYLYELDISNNQFSGPFPAAVVDMSGLTFLDIRFNFFTGSVPAQIFTQNLDALFINNNNLMIQLPDSIGSTHILYLTLANNKFNGPLPRGIFKAFPSLAEVLLLNDQLTGCIPYEIGLLKEAVVFDAGNNQLTGPLPFSLACLENLEQLNLAGNLLFGTVPEVLCELGKLVNLSLSDNYFIHVGPLCRILIERRVLDVRNNCIPGLPFQRSIVECANFFAHPMFCPRMWSYTFIPCKPFTYSSSIPEMAPSP >EOY14851 pep chromosome:Theobroma_cacao_20110822:8:1471316:1475255:1 gene:TCM_034108 transcript:EOY14851 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MGSACCVAAKERTLPNRTRSETLHRNVTCSPSWSFRWDNRRRVAGETEDPSYQVSNGASRNISMEIKGTLGSDRGNLSDQGSVLEIENYGTPTSQKSPVHAPSDVSRASNYSIEVKNLAESPDIVDSSAPKLSFSIPSSFSPPITDTLSSHAHLLPPNSTPSRRARRSPGHRLLRQVSDSRILGLKSPNNYSMSEGRSSFVLSTCSNDLTAGSHGGSSDGWSMRTFSELVASSQRERWSFDSEHLGSGYGKISGCSSRFSSSPSIDLQTCGACSKLLAERSLWSSTEISVVAILVCGHVYHAECLEMMTPEADRYDPACPICMVGEKQVSKMSQKALKAEAELKAKQLKLFKNRVIDSFVDGGCDDFDHQQNAKWEGKAPKLEPSSSRKSSSAKPFLKRHFSIGSKWGRSLSENDSARKKGFWARYRKD >EOY15389 pep chromosome:Theobroma_cacao_20110822:8:3108782:3111363:-1 gene:TCM_034469 transcript:EOY15389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGASVSCKCYVIIAGLRNCFQINVEDPCHPDPNLMAVSLVHLSCKLYRCPTFQCCCFRRLLGQKQNERARIKDLGNGTKPSQKRKNVETQNSALVPHTT >EOY17009 pep chromosome:Theobroma_cacao_20110822:8:16761124:16780344:-1 gene:TCM_036157 transcript:EOY17009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFRRRWRQWIMKCVLIASILVLVKGPPTKIVKMQKGLQSLSPFLFNMVLKVFSYLMGKTMVENICSGFLIGD >EOY14490 pep chromosome:Theobroma_cacao_20110822:8:455033:455969:1 gene:TCM_033860 transcript:EOY14490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRKFGNTGASGDNKEELKRVFKLYGEKNGVTRLYKDDLKNAFEYLGALMPTYKAASALRYIDTDNSGYISGDELEALVEYARSSGFR >EOY17242 pep chromosome:Theobroma_cacao_20110822:8:18640308:18647431:1 gene:TCM_036391 transcript:EOY17242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic invertase 2 isoform 2 MDGTKEMGLRNVSSTCSISEMDDYDLSRLLNKPKLNIERQRSFDERSLSELSIGLTRGSYDNYETTHSPGGRSGFDTPASSARNSFEPHPMVAEAWEALRRSLVYFRGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLQLQGWEKRIDRFKLGEGAMPASFKVLHDPVRKTDTIIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGMRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALSMLKHDAEGKECIERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSMAIMDLIEARWDELVGEMPLKIAYPAIESHDWRIVTGCDPKNTRWSYHNGGSWPAVLLWLLTAACIKTGRPQIARRAIDLAETRLLKDSWPEYYDGTLGRFIGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPLIKRSSSWNC >EOY17241 pep chromosome:Theobroma_cacao_20110822:8:18640182:18650024:1 gene:TCM_036391 transcript:EOY17241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic invertase 2 isoform 2 MDGTKEMGLRNVSSTCSISEMDDYDLSRLLNKPKLNIERQRSFDERSLSELSIGLTRGSYDNYETTHSPGGRSGFDTPASSARNSFEPHPMVAEAWEALRRSLVYFRGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLQLQGWEKRIDRFKLGEGAMPASFKVLHDPVRKTDTIIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGMRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALSMLKHDAEGKECIERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSMAIMDLIEARWDELVGEMPLKIAYPAIESHDWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAETRLLKDSWPEYYDGTLGRFIGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPLIKRSSSWNC >EOY16386 pep chromosome:Theobroma_cacao_20110822:8:6967400:6968899:-1 gene:TCM_035185 transcript:EOY16386 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone/plastoquinone oxidoreductase, chain 6 MFMNGSEYYKDFNLWTIGNGVTSLVCTSILVSLITTILDTSWYGIIWTIRSNQIIEQDLISNSQQIGIHLATDFFLPFEFISIILLVALIGAIAVACQ >EOY16590 pep chromosome:Theobroma_cacao_20110822:8:8766018:8768718:-1 gene:TCM_035405 transcript:EOY16590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein MNHVLHFMFGIFGNATAAFLFLSPTITFWRVIKSKSTEQFSGIPYVMTLLNCLLSAWYGLPFVSENNILVVTINGAGSGLEFIYVLIFIYHAPKKEKIKVLALFGFVLAVFSAVALVSVLALHGTGRKVFCGVVAAVFSVAMYASPLSIMRLVIKTKSVEFMPFFLSLFVFLCGTSWFVYGILGRDAFIAVPNGFGCTLGTMQLILYSIYHKNKGSKETKKPTANGTVEMGPEKPPHQEKQENSQVPQDEQV >EOY16224 pep chromosome:Theobroma_cacao_20110822:8:6309804:6312644:1 gene:TCM_035066 transcript:EOY16224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTSWYGPLIDLSKASHHVGHFVQLLVFVHRSTPLQYKLSKGGEIIRTDIQVGDDTRPFFSVTLWKKEMRSMVVAGDVVLLQNVKITKFRDIFQARTVDWSSLHRLVHPYNSLVSKGSVELVAECRVGIAAKEKLRKVIEWVQRTGYSALNNAEAYNCQSRQLSRNWKLPEPNKFRDCPSLSEVLRLTSHCKAIFSASVGEIFLPITWRPIAESENENMFISGRLNTSRDNNLAEDLICTGCRLCGSPLDPEQGSTVGKNSVPLYCEKSSDRLHAVSLIYRPFICMG >EOY16223 pep chromosome:Theobroma_cacao_20110822:8:6309664:6313083:1 gene:TCM_035066 transcript:EOY16223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTSWYGPLIDLSKASHHVGHFVQLLVFVHRSTPLQYKLSKGGEIIRTDIQVGDDTRPFFSVTLWKKEMRSMVVAGDVVLLQNVKITKFRDIFQARTVDWSSLHRLVHPYNSLVSKGSVELVAECRVGIAAKEKLRKVIEWVQRTGYSALNNAEAYNCQSRQLSRNWKLPEPNKFRDCPSLSEVLRLTSHCKAIFSASVGEIFLPITWRPIAESENENMFISGRLNTSRDNNLAEDLICTGCRLCGSPLDPEQGSTVGKNSVPLYCEKSSDRLHAVSLIYRPCMLYVWDESEHMPLLVKNDAAEKLFGNIKAERVYLCYREYKCDKNPDPGCTERRVPRGTGTSNSPKAAGTSDADYCSSDARKKQESGQNQRCNKNINIFLIWLVILNMLLQQRKNSPLKFEVAVNASLDTENGRFEMISVSTPCFKNIWSLE >EOY16658 pep chromosome:Theobroma_cacao_20110822:8:9270278:9288961:-1 gene:TCM_035481 transcript:EOY16658 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown-complex ABC transporter family MGSVPVGHYLSRSPECSFSLQKRFVELMESFEGMVDDTKVARDGKDAIIVSASRKAPSFDQPGDECAGVSIEEGTHNSEAKLEPPCGKTTCKTRSSSIRSLVNIESSAVSLDDIDSVRMGASINDIGSSTLHPDVPLPSDDASDGLTLQINSGNYPTSQSQPAHEDTESRTRRKIQYEPTLPICLKFEDVKYKVPVKGEKISDAEKYILHGISGSVYPGEVLALMGPSGGGKTTLLNVLSGRVKFDSGTITYNDQPYSKSLKRRIGFVLQDDVTFPHLTVKETLTYAALLRLPNTLTMQQKKERAMSVISELGLERCQNTVIGGTFLRGISGGERKRVCIANEILLNPSLLFLDEPTSGLDSTTALQIVQMLHNIAQSGTVVVTTIHQPSSRLFSKFDKLILLGKGSSLYYGKASEAMLYFSSIGCSPLIAMNPAEFLIDLANGNIKDKSVPSDFEDRFLPRNRSLDMKYGGPSQVDVHEYLVEAYAERVEKLEKTKLLQPVLDAEPEMQTRLNSREWGATWWDQFSVLFKRGFKERRHEYFSCMRVTQVLSTAIIMGLLWWRSDASYPKGLEDQATICYMLRLVLVTQAGLLFFISVFWAFFPMFTAIFTFPQERVMLALERSVGMYRLSAFFLARMTSDLPLDLIFPVAFLVIVYLMAGLKPTITAFSLTMLTVFVSVVASQGLGLTIGAALMDVKKAATLASVIIMASMLSGGFFIQKVPAFMSWVRYISFTYHTYRLLLKIHYGCAGSDTGSGSCNSPFKGLRLDWDAREVGTMIAIIVGYRLLAYAFLRRMKLMTMT >EOY14795 pep chromosome:Theobroma_cacao_20110822:8:1288874:1290735:1 gene:TCM_034066 transcript:EOY14795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase 11 MALGYSSYACIFFMTLFMFMASHPRADAAETSTALLISVDQSGKGDYRKIQDAINAVPSNNTELIFILVKPGIYKEKVVVPADKPFITISGSKANATIITWNDSGDIFESPTFTVLASDFVGRYLTIQNTFGTGAGAKAVALRVSGDRAAFFGCSILSYQDTLLDDTGRHYYSHCYIEGAVDFICGNAASLFERCHLHSLSVGDAAITAQRRESPSEQTGFTFLGCKVTGVRTAVLGRPWGPYSRVVFALTYMSNVILPQGWDDWGDFAKQSTVFYGEYKCYGPGANTKKRVEWSQKLTSQEAELFLTKNMIGGKSWIRSTPTRFKKASSAISNNSTQHA >EOY17425 pep chromosome:Theobroma_cacao_20110822:8:20234843:20258246:-1 gene:TCM_036590 transcript:EOY17425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MPLLLPSSPPIHSFPATPQLDFRSKPPPPRLITRSTRRLDVDAFTQKSGYLFELTASEAESLTDYSPSRIAAIYRRKPLILLRRLIQIGTTFGTWFGVRYIDSLMERSDQMFKVRAAELRKILVELGPAYIKIAQAISSRPDLIPPSYLDELSLLQDQITPFSTEVAFDTIEKELGLPIDELFSEISPEPVAAASLGQVYQARLRHSGQVVAVKVQRPGVQAAISLDILILRVLAGVVKKAGKFNTDLQAVVDEWASSLVREMDYRKEANNGLKFRRLYGGIKDVFVPNMYMEHTTRRVLVMEWVEGQKLSEVEDLYLVEVGVYCSFNQLLENGFYHADPHPGNLFRTYDGKLAYIDFGMMGEFKQEFRDGFIEACLHLVNRDFDALSKDFVTLGLLPPTVEKEAVTKALTGVFRDAVAKGVQNISFGDLLGNLGTTMYKFKFRIPSYFSLVIRSLAVLEGIAISSDPNYKVLGSTYPWIAKKVLSDSSPQLKSSLQALLYKDGVFRIDRLESLLTESLRARTERALVKKQREEANSRVVFKEILSFTLTEKGTFVRDIIIEELAKGLDALGLATLDSLTSVATTSIPFTASSSFSLMTKEDMMNLRTLRRLMFLLSGFESDEKPFKEVNRVMSPNNNQRSYIEEEASLFSYQPASVSEILTVLSIIPELPPELQQRLLRLPADLAGRLISRASARTIQRIFL >EOY16232 pep chromosome:Theobroma_cacao_20110822:8:6351777:6354039:1 gene:TCM_035072 transcript:EOY16232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 1,7 MAKDKPLEVLNMLDSAKTQLYHFKAVIISGMGFFTDAYDLFCISLVTKLLGRIYYHVDGSPKPGTLPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKRVYGITLMLMMICSVASGLSFGSSPKTVMGTLCFFRFWLGFGIGGDYPLSATIMAEYSSKKTRGAFIAAVFAMQGFGILAGGSVAIVVTSIFRAIYKSPAYSVDPLGSTVPQADYVWRIILMFGALPAALTYYWRMKMPETPRYTALVAKDEEKALGDISKVLNVEVQAEKETAEHRVIQKGNSFGLFSKEFLHRHGLHLLGTASTWFLLDIAYYSQNLFQKDIFSAVGWLPAAKTMSALDELYKIARAQTLIALCGTVPGYWFTVLLIDYIGRFTIQLIGFFFMTVFMFALAIPYHHWTLKENNIGFVVMYGLTFFFANFGPNSTTFVVPAEIFPARFRSTCHGISAAAGKAGAIVGAFGFLYASQNQDKSKADPGFPAGIGMRNSLMVLGVINVFGLVLTFLVPEPKGRSLEEISGENEEGNQEQSRTGAQSV >EOY17437 pep chromosome:Theobroma_cacao_20110822:8:20400595:20402319:-1 gene:TCM_036605 transcript:EOY17437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISSLCIMSCKAIPSTYGSIPSCCQSKRQVQFLSLDSLRGLEESGKILYGLISAGSAIFN >EOY17117 pep chromosome:Theobroma_cacao_20110822:8:17929735:17931085:-1 gene:TCM_036285 transcript:EOY17117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPKKQRTEASGFPSPSREESTFGNFPYIDGFRKLGWLDYLSMNRGVYKNLVRVFYSNATNKFQDFVDESEIANSDRFTTYALGKQIVVVPYFLGIVLGLPTHGRDCTEENLTELELDNEAYRFPPILIVLSTVLLVCPSMIGFCTSLCLTPCQQGVVTTLPLIKKICGSSATLNMEIESI >EOY16745 pep chromosome:Theobroma_cacao_20110822:8:10767055:10770557:1 gene:TCM_035624 transcript:EOY16745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYKLSDSCFVVSFFVINEAIMSWANFRALKTKRGEKELRDARKSLNVNEEYLSALRTKSYVEFFSKAQLLANEPSSPSYFHHQFFQLLEPCQDTIPSILESAIFSKIPELKSLILNYFDISAEASKICGHLLTKINQFQDNYHFIQQTLDSFDDYTPKKVRRTISELNSFIVLNNPFSNPNKHDFKIIHDKYASVLHHLKSKRKKVARRMKLIKFVHKASGVCLTAACGLALIIAIVIAAHTLAALFMAPAVVSFPAKLFKKKLFSFPCLKRGFLRKIGEQLDVAAKGTYILNRDFDTMSRLVSRLHDEVEHNKAMIQICLERREDRFSVQVVKELKKSNVGVRKQVEELEEHVYLCLVTINRARALVIKEVTTSFTQESRD >EOY14748 pep chromosome:Theobroma_cacao_20110822:8:1154647:1159802:1 gene:TCM_034028 transcript:EOY14748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MFSRWSNSNHVQENDSLQHESKVKELRASLPPLSGRCLNYCTDACLRRYMEARNWNVDKSKKMLEETIKWRSIYKPEEIRWHEVAVEGETGKVYRANFHDRHGRTVLILRPGKQNTTSLDNQFRHLVYLIENAILNLPEGQEQMAWLIDFTGWTLSTSVPIKSARDTINVLQNHYPERLAIAFLYNPPRIFEAFWKIVKYFLDAKTFQKVKFVYPKNKDSVELMRSYFDEENLPTEFGGRAVLQYNHEEFSKQMIQDDIKSANLWGLDDKLQSVGNGHSLAEVAPEPVCLAPPVS >EOY14747 pep chromosome:Theobroma_cacao_20110822:8:1157400:1160405:1 gene:TCM_034028 transcript:EOY14747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MFSRWSNSNHVQENDSLQHESKVKELRASLPPLSGRCLNYCTDACLRRYMEARNWNVDKSKKMLEETIKWRSIYKPEEIRWHEVAVEGETGKVYRANFHDRHGRTVLILRPGKQNTTSLDNQFRHLVYLIENAILNLPEGQEQMAWLIDFTGWTLSTSVPIKSARDTINVLQNHYPERLAIAFLYNPPRIFEAFWKIVKYFLDAKTFQKVKFVYPKNKDSVELMRSYFDEENLPTEFGGRAVLQYNHEEFSKQMIQDDIKSANLWGLDDKLQSVGNGHSLAEVAPEPVCLAPPVS >EOY14745 pep chromosome:Theobroma_cacao_20110822:8:1155088:1160405:1 gene:TCM_034028 transcript:EOY14745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MFSRWSNSNHVQENDSLQHESKVKELRASLPPLSGRCLNYCTDACLRRYMEARNWNVDKSKKMLEETIKWRSIYKPEEIRWHEVAVEGETGKVYRANFHDRHGRTVLILRPGKQNTTSLDNQFRHLVYLIENAILNLPEGQEQMAWLIDFTGWTLSTSVPIKSARDTINVLQNHYPERLAIAFLYNPPRIFEAFWKIVKYFLDAKTFQKVKFVYPKNKDSVELMRSYFDEENLPTEFGGRAVLQYNHEEFSKQMIQDDIKSANLWGLDDKLQSVGNGHSLAEVAPEPVCLAPPVS >EOY14746 pep chromosome:Theobroma_cacao_20110822:8:1154250:1159828:1 gene:TCM_034028 transcript:EOY14746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MFSRWSNSNHVQENDSLQHESKVKELRASLPPLSGRCLNYCTDACLRRYMEARNWNVDKSKKMLEETIKWRSIYKPEEIRWHEVAVEGETGKVYRANFHDRHGRTVLILRPGKQNTTSLDNQFRHLVYLIENAILNLPEGQEQMAWLIDFTGWTLSTSVPIKSARDTINVLQNHYPERLAIAFLYNPPRIFEAFWKIVKYFLDAKTFQKVKFVYPKNKDSVELMRSYFDEENLPTEFGGRAVLQYNHEEFSKQMIQDDIKSANLWGLDDKLQSVGNGHSLAEVAPEPVCLAPPVS >EOY16877 pep chromosome:Theobroma_cacao_20110822:8:12648174:12653141:1 gene:TCM_035809 transcript:EOY16877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQVLEVGPVMQLKSRQPETGHASLPLSHMPKQTNRFVPPPSSNLSLHFGPSLFLNFQCFFGPKGRALLISDLIISSLQHPPKPPLCSSFLFYIPFLIKKQSGSTVLVLSGLSLTLRIQYSKREMEESEKIHPGFGPYHMRNQGLLSTANLSSMISSNRCLFPVFFSQFLVQDMSGFAAFGTRIKTCSYCQLTKGIIMYKLNMCTRGPSIFPPHGLSSPLISSKPTWFWNSIWYDFISSGRRSPFSRQDYVSTDYWPRIIASDVYKRSASVPEKKWNAQGMLLRLGSLYPCPSNMSDMGNSKENEG >EOY16957 pep chromosome:Theobroma_cacao_20110822:8:15427544:15432641:-1 gene:TCM_036037 transcript:EOY16957 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein MVVDIQGKLRLALGSVKDHASIGKAMINYNHDGKGFSDIEIAVLRATGHDNGPIEDKYMHEILFLVSNSPGSIPFLAERISRRLCKTRDRLVALKTLSLIHRLFRGGNRCFEQELRRAHVSGHLQMSSHCFRKNSSDPSSFSFLHSYAAYLEERVGWAINQAGKLEPVMFNGLEFRCYEQKSVDMVFRKLPKCQAFIDRVLDCSPRDILPADNLAQAAMSHTLKESFQVYIMFCEGIENLVNMFFDLTMAARSLACDILKRASRQSKELHDLYESCKRIIENKNLEYPSVQIITMDHVLALERCSSFMSTSSSSSIMCRTGASTPIGKESEKEENGDISWSSSTPFSCTLETKISKVWVVFEEDYGDSQVAMDAV >EOY14510 pep chromosome:Theobroma_cacao_20110822:8:484056:489878:-1 gene:TCM_033869 transcript:EOY14510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MSLRGEHQTVPLSVLLKRELANEKIEKPEIVHGQASQSKKGEDFTLLKTECQRAIGDGVTTFSVFGLFDGHNGSAAAIYTKENLLNNVLNAIPTDLNRDEWVAALPRALVAGFVKTDKDFQERAQTSGTTVTFVIIEGWVITVASVGDSRCILESAEGGIYYLSADHRLECNEEERDRITASGGEVGRLNTGGGAEIGPLRCWPGGLCLSRSIGDMDVGEYIVPVPYVKQVKLSTAGGRVIISSDGVWDALSAEVALDCCRGMPADAAAAQIVKEALQAKGLRDDTTCIVVDILPQEKPAVPLPPPKRPGKGMFKAMFRKKPSESSSQVDKEYMEPDVVEELFEEGSAMLSERLDTKYPLCNMFKLFMCAVCQLEMKPGEGISIHAGSSNAVKLRPWDGPFLCSSCQEKKEAMEGKRPTGDRHSSESD >EOY14511 pep chromosome:Theobroma_cacao_20110822:8:484230:489714:-1 gene:TCM_033869 transcript:EOY14511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MSLRGEHQTVPLSVLLKRELANEKIEKPEIVHGQASQSKKGEDFTLLKTECQRAIGDGVTTFSVFGLFDGHNGSAAAIYTKENLLNNVLNAIPTDLNRDEWVAALPRALVAGFVKTDKDFQERAQTSGTTVTFVIIEGWVITVASVGDSRCILESAEGGIYYLSADHRLECNEEERDRITASGGEVGRLNTGGGAEIGPLRCWPGGLCLSRSIGDMDVGEYIVPVPYVKQVKLSTAGGRVIISSDGVWDALSAEVALDCCRGMPADAAAAQIVKEALQAKGLRDDTTCIVVDILPQEKPAVPLPPPKRPGKGMFKAMFRKKPSESSSQVDKEYMEPDVVEELFEEGSAMLSERLDTKYPLCNMFKLFMCAVCQLEMKPGEGISIHAGSSNAVKLRPWDGPFLCSSCQEKKEAMEGKRPTGGNDKTPSLPLSFCFANLCY >EOY16180 pep chromosome:Theobroma_cacao_20110822:8:6070013:6071484:1 gene:TCM_035027 transcript:EOY16180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S13/S18 family MAQTLAMPVAPSLSFICNKTSSLSLSNSVSLPLSNPPKYRSLSIECARVGGVEIPNNKRVEFSLQYIHGIGRSRARKILCDLKMENKITKDLSEEELITIRDEVSKYMIEGDLRRFNALNIRRLKEIQCYRGVRHIQGLPCRGQRTKNNTRTLKGKRVTVAGKKKAPR >EOY15137 pep chromosome:Theobroma_cacao_20110822:8:2349756:2351293:1 gene:TCM_034301 transcript:EOY15137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKQAKPRNKILKFLPKAASAVSVTFQNPPFSPGRDKRYDNTGKHKAYAGRGFSGPIVSIIPDEARRKSKSETFETQEPTSPKVSCMGQIKQKKNIRKAKRVSRPKELKPVSGSSEEEVKKHASKLKRIFSMAKPARKSETSSKKTELPDRAPSLGQMKRFASGRDAFSNFDWMAQIAPAEADHRDYYSDEERRDSDVEDDDVIIPFSAPMRVGGEMPLQPRKEINLWKRRTMNPPRPLRLNSMVTAN >EOY17071 pep chromosome:Theobroma_cacao_20110822:8:17401231:17406115:-1 gene:TCM_036225 transcript:EOY17071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rieske domain-containing protein isoform 1 MSTAFHPNYHFIPTPTPALRGSHRPRNLPSPRPILLRPNNPLSLGYRFARKQCSSSSIITCKATEVSSVSEESAASGGGGGENWVPVVPLAALPKGERRVIIQDGETILLLWYKDEIFAIENRSPAEGAYTEGLLNAKLTQDGCIVCPTTDSTFDLRTGAIKEWYPKNPVLRVLTPALRTLYIYPVKTDEENILISMRGSAKADAAAEIVFSGRAQPGVTATDVNVDEVRMVVDENSEGFGFTGKNEIINGKAAIIGFLLLLDFELLTGKGLLKGTGFLDFIYAASNAFN >EOY17070 pep chromosome:Theobroma_cacao_20110822:8:17401441:17405929:-1 gene:TCM_036225 transcript:EOY17070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rieske domain-containing protein isoform 1 MSTAFHPNYHFIPTPTPALRGSHRPRNLPSPRPILLRPNNPLSLGYRFARKQCSSSSIITCKATEVSSVSEESAASGGGGGENWVPVVPLAALPKGERRVIIQDGETILLLWYKDEIFAIENRSPAEGAYTEGLLNAKLTQDGCIVCPTTDSTFDLRTGAIKEWYPKNPVLRVLTPALRTLYIYPVKTDEENILISMRGSAKADAAAEIVFSGRAQPGVTATDVNVDEVRMVVDENSEGFGFTGKNEIINGKAAIIGFLLLLDFELLTGKGLLKGTGFLDFIYAASNAFN >EOY15073 pep chromosome:Theobroma_cacao_20110822:8:2142955:2148908:-1 gene:TCM_034253 transcript:EOY15073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein isoform 2 MWPYLDTAICNTAKTIAKPIIAEQIPKYKIQSVEFETLTLGTLPPTFQGMKVYVTDEKELIMEPSFKWAGNPNIIVAVKAFGLRATIQVVDLQVFAAPRITLKPLLSVFPCFANIYVSLMDKPHVDFGLKVLGADVMAIPGLYRFVQELIKDQVANMYLWPKALEVKIMDPKQAMKKPVGILDVKVLRAMKLKKKDLLGKSDPYVKLKLTEEKLSTKKTTVKHSNLNPEWNEEFNFVVKDPSTQALEINVYDWEQLGTHEKMGMNVVPLKDLTPDEPKVLTLELLKNMDPNDPQNEKSRGQLVMEVLYKPFKEDEMPNDIEESNMVQKAPEGTPAGGGLLVIIVHEAEDVEGKYHTNPHARLLFRGEERKTKRVKKSRDPRWEDEFQFMVDEPPTNDKVHVEVFSTSSRIGLLHPKESLGYVTINLADVVSNRRINEKYNLIDSKNGRIQIEMQWRTSS >EOY15072 pep chromosome:Theobroma_cacao_20110822:8:2143470:2149386:-1 gene:TCM_034253 transcript:EOY15072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein isoform 2 MGVMSSILGVFGFGIGTSMGLVIGYYMFIYFLPTDVKDPKIRPLVEEDSKTLQRLLPEIPLWVKNPDFDRVDWINKIIENMWPYLDTAICNTAKTIAKPIIAEQIPKYKIQSVEFETLTLGTLPPTFQGMKVYVTDEKELIMEPSFKWAGNPNIIVAVKAFGLRATIQVVDLQVFAAPRITLKPLLSVFPCFANIYVSLMDKPHVDFGLKVLGADVMAIPGLYRFVQELIKDQVANMYLWPKALEVKIMDPKQAMKKPVGILDVKVLRAMKLKKKDLLGKSDPYVKLKLTEEKLSTKKTTVKHSNLNPEWNEEFNFVVKDPSTQALEINVYDWEQLGTHEKMGMNVVPLKDLTPDEPKVLTLELLKNMVQKAPEGTPAGGGLLVIIVHEAEDVEGKYHTNPHARLLFRGEERKTKRVKKSRDPRWEDEFQFMVDEPPTNDKVHVEVFSTSSRIGLLHPKESLGYVTINLADVVSNRRINEKYNLIDSKNGRIQIEMQWRTSS >EOY15071 pep chromosome:Theobroma_cacao_20110822:8:2143094:2149553:-1 gene:TCM_034253 transcript:EOY15071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein isoform 2 MGVMSSILGVFGFGIGTSMGLVIGYYMFIYFLPTDVKDPKIRPLVEEDSKTLQRLLPEIPLWVKNPDFDRVDWINKIIENMWPYLDTAICNTAKTIAKPIIAEQIPKYKIQSVEFETLTLGTLPPTFQGMKVYVTDEKELIMEPSFKWAGNPNIIVAVKAFGLRATIQVVDLQVFAAPRITLKPLLSVFPCFANIYVSLMDKPHVDFGLKVLGADVMAIPGLYRFVQELIKDQVANMYLWPKALEVKIMDPKQAMKKPVGILDVKVLRAMKLKKKDLLGKSDPYVKLKLTEEKLSTKKTTVKHSNLNPEWNEEFNFVVKDPSTQALEINVYDWEQLGTHEKMGMNVVPLKDLTPDEPKVLTLELLKNMDPNDPQNEKSRGQLVMEVLYKPFKEDEMPNDIEESNMVQKAPEGTPAGGGLLVIIVHEAEDVEGKYHTNPHARLLFRGEERKTKRVKKSRDPRWEDEFQFMVDEPPTNDKVHVEVFSTSSRIGLLHPKESLGYVTINLADVVSNRRINEKYNLIDSKNGRIQIEMQWRTSS >EOY17231 pep chromosome:Theobroma_cacao_20110822:8:18561881:18566660:-1 gene:TCM_046895 transcript:EOY17231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fucosyltransferase 13 MQLKPLNTLTVTLMLFFTFVILFFSGFLEFPSLANSIEPLSKPTESLNSEPDPFIDLLTAFKKWDSRMGCTQFKEKHKDLIHLLSNRSGSLQEAVADSGCNELKMEHVTVLVKGWTWIPDNLDNLYSCGCGMSCLWTKSSVLADKPDAFLFETTTPPLQRRSGDPLRVYMDLEAGRRRSGREDIFISYHAKDDVQSTYAGALFHNGRNYHVSSYKNNDTLLYWSSSRCLPQRNQLAKSLLRLLPHHSFGKCLNNVGGLDMALSFYPECANDANAPKWWDHLHCAMSHYKFVLAIENTATESYVTEKLFYALDSGAVPIYFGAPNVLDFVPPHSIIDGTKFSSMEELAAYVKALANDPIAYAEYHAWRRCGVLGNYAKTRAASLDTLPCRLCEAVSRRGGRNA >EOY15076 pep chromosome:Theobroma_cacao_20110822:8:2162166:2169339:1 gene:TCM_034256 transcript:EOY15076 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-glycoprotein 13 MEEVELSDQNSHPKTEQPSSSAKSRPVSFLGLFAAADKLDYALMFSGSLGACIHGAALPVFFILFGRMIDSLGHLSSNPQKLSARVSEHALYLVYLGLVVFASAWIGVAFWMQTGERQTARLRLKYLQSVLRKDISFFDTKARDSNIIFHISSDAILVQDAIGDKTGHAIRYLSQFVVGFAIGFTSVWQLTLLTLAVVPLIAIAGGAYTIIMSTLSEKGEAAYAEAGKVAEEVISQIRTVYAYVGEEGAVKAYSDSLKNALKMGKKSGLAKGVGVGFTYGLLFCAWALLLWYAGILVRHGKTNGGKAFTTIINVIFSGFALGQAAPNLAAIAKGRAAAANIFSMIETDSKPSRQSDGETILPEVAGKIEFCEVCFAYPSRPNMVFEDLSFSIDAGKTFAFVGHSGSGKSTIISMVQRFYDPISGKILLDGHDIKNLQLKWLREQMGLVSQEPALFDTTLAGNILLGKEDADMEQVIVAAKAANAHSFIEELPDSYNTQVGEGGTQLSGGQKQRIAIARAMLRNPKILLLDEATSALDAESELIVQQALDKIVSNRTTIIVAHRLSTVRDVDTIIVLKNGQVVESGNHMDLISKNGEYANLVSLQVSENIANSSSICSSDASGSSSFRQPPNSQNPGLDSRSISIKELGQSDQNSSQQNFAPTPSIGELLKLNAPEWPYALLGSLGAILAGMEAPLFAFGITHVLTAFYSPHDIQIKKEVERVALIFVGLAILTIPIYLLQHYFYTLMGEHLTARVRLSMFSAILSNEIGWFDMNENNTGSLTGALAADATLVRSALADRLSTIVQNVALTVTAFVIAFTLSWRIASVIIASFPLLIGASITEQLFLKGFGGNYSHAYSRATAVAREAIVNIRTVASFGVEDRISIQFASELNEPNKQAFLRGHISGVGYGVSQLFAFCSYALGLWYASVLIKQKESNFGDIMKSFMVLIITALAVAETLALTPDIVKGSQTLGSVFGILYRKTSIEPNDSTSTIVSEIGGDIEFRNVSFKYPMRPDVTIFEDLNLKTSAGKSLAVVGQSGSGKSTVIALIMRFYDPISGGVMVDGYDIKTLNLRSLRLKMSLVQQEPALFSTTIYENIKYGKEEASEIEILRAARAANAHRFISRMPEGYQTNVGDRGVQLSGGQKQRVAIARAILKNPSILLLDEATSALDTESEKLVQEALDNLMEGRTTVMVAHRLSTIRNADTIAVLQQGKVAEIGSHEQLARKPGGVYKQLVSLQQ >EOY15097 pep chromosome:Theobroma_cacao_20110822:8:2236438:2241079:-1 gene:TCM_034270 transcript:EOY15097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein, putative MWASPGKNNNNSSSSIGCGSSPSKSSSTCSSPTPCSSPSPNTLNLSAKKSMEEVWKDISLASLADHPAGTVLSSTTSNPTFPGMIFQDFLARPLNKEPPRRGSVSIDTSLTEETTLFGSLPPTPGTLLSLNSGCDFISMESSGDPVRPNPAVNGRTGVGTPSFGSSHNSTFHAFGSSAVFPSFCLKRAQENNENSNDRRHKRMMKNRESAARSRARKQVKYLFTAYTNELELEVAHLLEENAKLRRQQEKLLAAPAQLPKKNSLSRCLTAPF >EOY16822 pep chromosome:Theobroma_cacao_20110822:8:11590422:11591422:-1 gene:TCM_035710 transcript:EOY16822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQRPNGLNLILCFIFFSQIPNIRRLFRNGKEIGVPDHADTAAASKGDAARVSSSTGGADEDRVSCLLLQHLREENPDQQEHVLQFVGRCSERKIRTVTLVSTRRRS >EOY17404 pep chromosome:Theobroma_cacao_20110822:8:20057889:20071594:1 gene:TCM_036568 transcript:EOY17404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein A MARGRVQMKRIENPVHRQVTFCKRRAGLLKKARELSVLCDAEIGVVIFSAHGKLYELATKGTMQGLIERYTNYNGGTPPDEAIAEPIEAKKEIDMLKQEIEILQKGLRYMFGGGSEIMTLDELVVLEKHLEIWIYHIRSAKMDILFQEIQMLRNKNNAQRPFSAQQSLKSASSNGNLLPVSKHRYHNADFQTSATNHKHRVNP >EOY16901 pep chromosome:Theobroma_cacao_20110822:8:13156934:13160976:-1 gene:TCM_035859 transcript:EOY16901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLRHEKEIDEAIRGESKRKDIALKVVKHNDEKSLESDNEKEYDEEIALLTKKFNRSLKSKQGSIKPFRRKE >EOY16418 pep chromosome:Theobroma_cacao_20110822:8:7029414:7030477:-1 gene:TCM_035200 transcript:EOY16418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWGFVDVFSGTSRPGDESEEFDVLGKSRGALWRVLDEHSTRGAADCSRELLGEVYKAGFAHRVATREHPRDRTHAVVRLQAHRTLRFGRATESDRA >EOY15869 pep chromosome:Theobroma_cacao_20110822:8:4905208:4910944:-1 gene:TCM_034808 transcript:EOY15869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein, putative MHASVLSARWFIEDSDENMVLLDLFIAATIPVLKVLLITALGLYLALDHVNILGEDTRKHMNNVVFYVFNPALVASNLAETITYESMVKLWFMPFNVLLTFVIGSILGWIVIQFTRPPSHLRGLILGCCAAGNMGNMLLIIIPAVCKEKGSPFGSPEVCHSYGMGYVSLSMAIGAIYLWSYVFNIVRIYSITSINESTVNDSSTSKYFREASTSDQGSCTEPLLSSKDLLVSEENENGYILPCTSSKGKAEARFSSKIKQQLNMMIAKINMRSVFAPSTTGAIVGFVIGLVPQIRKSMIGDGAPLRAIQDSASLVGDGAIPALTLIVGGNLLKGLRGSGIRKSIIFGIIVARYIALPLIGIVIVKGASRIGFVQADALYQFVLLLQFAVPPAMNIGTITQLFGAGESECSVIMLWTYALASISLTLWSTFFMWLVA >EOY14758 pep chromosome:Theobroma_cacao_20110822:8:1181528:1185436:-1 gene:TCM_034037 transcript:EOY14758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavodoxin family protein / radical SAM domain-containing protein isoform 1 MSPSSLSARLTLLALLSATTFYFLYKSRRRLKPLRQLPINSNPRKGKLFFITQTGTSKALAQRLLNLLSSNNIPFDLVDPQNYEPEDLPKESLILIIASTWEDGNPPQGSKFFVNWLAEISTDFRAGNLLLSSCKFAVFGVGSRVYGETFNAVARNLGKRLRGLGATEMVAVGEGDVDGGALESVFKEWSEKVVRVLKGGLVVENENGIVYASDAESFESDDDDNGGGGGEEEIVDLEDIAGKGPSRKKSVNVAETNGKMDGKREMVTPVIRANLEKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWQWKMDDPLEIVNTAIDLHTKMIKQMKGVPGVTQERLTEGLTPRHCALSLVGEPIMYPEINMLVDELHRRRISTFLVTNAQFPDKIKMLKPITQLYVSVDAATKDSLKAIDRPLFGDFWERFIDSLKALRDKQQRTVYRLTLVKGWNTEDADAYSKLFSIGKPDFVEIKGVTYCGSSATSKLTMENVPWHSDVKAFSEALALKSEGEYEVACEHAHSCCVLLAKTDKFKVNGQWYTWIDYEKFHDLVSNSINLIICSVSGL >EOY14759 pep chromosome:Theobroma_cacao_20110822:8:1181649:1185436:-1 gene:TCM_034037 transcript:EOY14759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavodoxin family protein / radical SAM domain-containing protein isoform 1 MSPSSLSARLTLLALLSATTFYFLYKSRRRLKPLRQLPINSNPRKGKLFFITQTGTSKALAQRLLNLLSSNNIPFDLVDPQNYEPEDLPKESLILIIASTWEDGNPPQGSKFFVNWLAEISTDFRAGNLLLSSCKFAVFGVGSRVYGETFNAVARNLGKRLRGLGATEMVAVGEGDVDGGALESVFKEWSEKVVRVLKGGLVVENENGIVYASDAESFESDDDDNGGGGGEEEIVDLEDIAGKGPSRKKSVNVAETNGKMDGKREMVTPVIRANLEKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWQWKMDDPLEIVNTAIDLHTKMIKQMKGVPGVTQERLTEGLTPRHCALSLVGEPIMYPEINMLVDELHRRRISTFLVTNAQFPDKIKMLKPITQLYVSVDAATKDSLKAIDRPLFGDFWERFIDSLKALRDKQQRTVYRLTLVKGWNTEDADAYSKLFSIGKPDFVEIKGVTYCGS >EOY14757 pep chromosome:Theobroma_cacao_20110822:8:1181240:1185462:-1 gene:TCM_034037 transcript:EOY14757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavodoxin family protein / radical SAM domain-containing protein isoform 1 MSPSSLSARLTLLALLSATTFYFLYKSRRRLKPLRQLPINSNPRKGKLFFITQTGTSKALAQRLLNLLSSNNIPFDLVDPQNYEPEDLPKESLILIIASTWEDGNPPQGSKFFVNWLAEISTDFRAGNLLLSSCKFAVFGVGSRVYGETFNAVARNLGKRLRGLGATEMVAVGEGDVDGGALESVFKEWSEKVVRVLKGGLVVENENGIVYASDAESFESDDDDNGGGGGEEEIVDLEDIAGKGPSRKKSVNVAETNGKMDGKREMVTPVIRANLEKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWQWKMDDPLEIVNTAIDLHTKMIKQMKGVPGVTQERLTEGLTPRHCALSLVGEPIMYPEINMLVDELHRRRISTFLVTNAQFPDKIKMLKPITQLYVSVDAATKDSLKAIDRPLFGDFWERFIDSLKALRDKQQRTVYRLTLVKGWNTEDADAYSKLFSIGKPDFVEIKGVTYCGSSATSKLTMENVPWHSDVKAFSEALALKSEGEYEVACEHAHSCCVLLAKTDKFKVNGQWYTWIDYEKFHDLVASGEPFDSADYMALTPSWAVYGAEEGGFDPDQSRYRKERHHKSNR >EOY14840 pep chromosome:Theobroma_cacao_20110822:8:1429008:1433260:-1 gene:TCM_034099 transcript:EOY14840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein, putative isoform 1 MAKLLHMDSRCAANICLSEQPGSSTRKWYFSREEIENYSPSRKDGIDLGREEQLRKSYCSFIQELGMKLKVPQVAIACAMMLCHRFYMRQSHAKNDWQTIATVSTFLACKIEETPRLLRDVIVVAYEIIYKRDPSALGRIRQREVYDKQKELILTGERLLLVTIAFDLDIQLPYKPLVAAVKRLEIFPNLLKVAWNFVNDWLRTTLCLQYKPHYIAAGSMCLAAKFQKVKLPMEKGKVWWLEFDISPKQLQEVTQQMVRLLECDKERALSSRHERAGQSVALAGKAIISGTQSCTLAVSHAKDQSGAGAENSKLSKSLDSCVRDVSMKEVLPHQRSDSGVSSVVEDGNDKDQQRTQVSDLNSSFRIVSSHACGDKEVSAKENVPCQTSDSGASTVVDNGEGECELRTEESDLNPSFKIVSVHNTFSKSDADRIRENLKRRRCDRAANRKYVGGIEDEADSEATEESDPSFKIVSVHNTFSKSDANRIRETLKRRRCDRAANRKYVRGTDDEADSEAWIERELEDGIELEPTSSHKQRRA >EOY14841 pep chromosome:Theobroma_cacao_20110822:8:1429691:1432993:-1 gene:TCM_034099 transcript:EOY14841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein, putative isoform 1 MAKLLHMDSRCAANICLSEQPGSSTRKWYFSREEIENYSPSRKDGIDLGREEQLRKSYCSFIQELGMKLKVPQVAIACAMMLCHRFYMRQSHAKNDWQTIATVSTFLACKIEETPRLLRDVIVVAYEIIYKRDPSALGRIRQREVYDKQKELILTGERLLLVTIAFDLDIQLPYKPLVAAVKRLEIFPNLLKVAWNFVNDWLRTTLCLQYKPHYIAAGSMCLAAKFQKVKLPMEKGKVWWLEFDISPKQLQGNMLITKTLEVTQQMVRLLECDKERALSSRHERAGQSVALAGKAIISGTQSCTLAVSHAKDQSGAGAENSKLSKSLDSCVRDVSMKEVLPHQRSDSGVSSVVEDGNDKDQQRTQVSDLNSSFRIVSSHACGDKEVSAKENVPCQTSDSGASTVVDNGEGECELRTEESDLNPSFKIVSVH >EOY14842 pep chromosome:Theobroma_cacao_20110822:8:1429000:1432925:-1 gene:TCM_034099 transcript:EOY14842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein, putative isoform 1 MAKLLHMDSRCAANICLSEQPGSSTRKWYFSREEIENYSPSRKDGIDLGREEQLRKSYCSFIQELGMKLKVPQVAIACAMMLCHRFYMRQSHAKNDWQTIATVSTFLACKIEETPRLLRDVIVVAYEIIYKRDPSALGRIRQREVYDKQKELILTGERLLLVTIAFDLDIQLPYKPLVAAVKRLEIFPNLLKVAWNFVNDWLRTTLCLQYKPHYIAAGSMCLAAKFQKVKLPMEKGKVWWLEFDISPKQLQEVTQQMVRLLECDKERALSSRHERAGQSVALAGKAIISGTQSCTLAVSHAKDQSGAGAENSKLSKSLDSCVRDVSMKEVLPHQRSDSGVSSVVEDGNDKDQQRTQVSDLNSSFRIVSSHACGDKEVSAKENVPCQTSDSGASTVVDNGEGECELRTEESDLNPSFKIVSVHNTFSKSDADRIRENLKRRRCDRAANRKYVGGIEDEADSEATEESDPSFKIVSVHNTFSKSDANRIRETLKRRRCDRAANRKYVRGTDDEADSEAWIERELEDGIELEPTSSHKQRRA >EOY15885 pep chromosome:Theobroma_cacao_20110822:8:4946432:4949709:1 gene:TCM_034816 transcript:EOY15885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamoyl-CoA reductase, putative MMGGRERVCVTGAGGFLASWIIKFLLLKGYHVHGTVRDPGDEKNAHLKKLDKASENLQLFQTDLLNSDGLCAAIAGCTGVFHVASPVPPADAVINSEVELMEPAVTGTRNVLDACLKTQVKKVVVVSSIGAIALNPNWPKGQVMNEDCWSDLAFCKAIKQSYCFSKTAAESEAWEYAKRSGLNVVTICPSVVIGPLLQPTMNSSSLYLLKFLQDGWEAADNGSRAFVDVRDASEATLMLYEKPEAEGRYLCSSHEIRTKDLVEKLKSMYPVYNYPKSFKEEETEVIRLSSEKLQNLGWRYRPLEDTLTAAVNNYAENGHMGKN >EOY15800 pep chromosome:Theobroma_cacao_20110822:8:4683860:4689643:1 gene:TCM_034758 transcript:EOY15800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MNFFSHLHFALLAFLVYSKYICLCVESVPLSIVTDKEALISFKSQMSLEPPNPLSYWHQNSSPCNWTGVLCNKPGNRVVALNLSGFGLVGSISPQIGNLSFLRSLELQNNQLRGALPHQIGNLFRLRVLNLSFNSLEGAIPPNISKLTELRVLDLMTNKITGRVPEELIHLMKLQVLNLGRNLLSGAIPPSIANLSSLSTLNLGTNTLSGKIPGDLSRLSNLKYLDLTINNLTGTVPSSIYNMSSLVYLALASNNLWGKLPTDIGDTLPNLLGFNFCFNKFTGTIPGSLHNLTNIKIIRMAHNLLEGTVPPGLGNLPFLEMYNIGFNKIVSLDNDGLGFITSLTNSSRLKFLAFDGNLLEGAIPESIGNLSKVLSKLYMGGNRISGNIPSSIGHLSSLTLLNLSYNSICCEIPPEIGKLEELQMLGLAGNQISGSIPSSLGNLRKLNQIDLSGNQLVGEIPSTFKNFQNLLSLDLSNNMLNGSIAGEILNLPSLSTLLNLSGNFLRGTLPQEIGRLRSIVTIDLSNNRFSGNIPSSIRSCNSLEELFMAENMLSGPIPSALGEVKGLETLDLSSNQLSGSIPADLQKLQVLKSLNLSFNDLEGAIPTGGIFSNLSSVHLEGNPKLCLSSVCKKTQGHGRLLVKVYVSITIIITLAACFIVGLLLYKKKSKVNITEISELLKEQHQIISYDEIRRATESFNPENLIGSGSFGSVYKGCLRDGVRVAVKVLKKERTGSWKSFLAECEALRNVRHRNLVKLITSCSSLDFQNMEFLALVYEFLVNGSVEDWIEGKRRNTNGDGLNIMERLNVAIDVASALDYMHHDCEVPVVHCDLKPSNILLDEDMTAKIGDFGLARLLMESSEAQQSLGSTYDLKGSIGYIPPEYGLGKKPSKAGDVYSYGVMLLELFTGRRPTHESFVGELNLIKWVQSAFPSSMLQILDPELLPLMKNLQNDSQPINPEIQLDCLTTIFGVGLSCTTVSPDGRISMRDAHRKLKTVKDTLNNRSPAKNTEHG >EOY16642 pep chromosome:Theobroma_cacao_20110822:8:9156182:9161606:-1 gene:TCM_035464 transcript:EOY16642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MNNYPFGSNSPKSFNAYPRGDFDLESGTIKRIRRPRNSSFYPIRMIKSFANRLHYYYKLHPLLVFFISLSFGVTILIVLSLYEHHYRMLSNYRKLDDGFNINYPFAKLKNLVMVAGHSVYTSSSCGKVDKEDSWFLESYQKNPGQAATFLAHIKEGIEITARDGEALLLFSGGETRKDAGPRSEAQSYWTVAESKGWFGKEESVKWRSLTEEHARDSFENLLFSVCRFRELTGTYPHNITVVSYDFKEERFAHLHRSAIGFPESRFLYTGTPASTSSKEAALKGEALVRIQFQQDPYGCSGPLKRKKVGRDPFHRSIPYPNGCPEIEGLFRYCGAAPYPGSLPWAL >EOY16643 pep chromosome:Theobroma_cacao_20110822:8:9156801:9161387:-1 gene:TCM_035464 transcript:EOY16643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MNNYPFGSNSPKSFNAYPRGDFDLESGTIKRIRRPRNSSFYPIRMIKSFANRLHYYYKLHPLLVFFISLSFGVTILIVLSLYEHHYRMLSNYRKLDDGFNINYPFAKLKNLVMVAGHSVYTSSSCGKVDKEDSWFLESYQKNPGQAATFLAHIKEGIEITARDGEALLLFSGGETRKDAGPRSEAQSYWTVAESKGWFGKEESVKWRSLTEEHARDSFENLLFSVCRFRELTGTYPHNITELMMEVSYQLIPMCQRIILRNKEIIALWK >EOY14904 pep chromosome:Theobroma_cacao_20110822:8:1631958:1634052:1 gene:TCM_034146 transcript:EOY14904 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLAC1 MAIVTSQAELQFVVITPHTSSDATHQIQRSSVSTIVARRLKESSTAILTKFHAGFFRVSLSLGGQALLWKTLMGPTDDTSSLRITLHMFHPRAFTLLWSFAFLTLVLLSVLYLLRCLFYFKMVKEEFLHHVGVNYLFAPLISWLLLLQSAPFFTPNNHSYVVLWWVFAVPVVVLDVKIYGQWFTKGKKFLSAVANPTSQLSVIGNLVGAQAAANMGWKESAMCLFSLGMVHYLVLFVTLYQRLPGTDRLPTTLRPAFFLFFAAPSTASLAWQSISGAFDTASKMLFFLSLFLFMSLVCRPTLFKRSMRRFNVAWWAYSFPLSVLALASTEYAEEVKGGLARLLMLVLLAFSVLVAIGLILFTLLNTKMLLPHNDDPTASHLASHLPKVSRQSKSATATSTIQTNDKTSV >EOY14853 pep chromosome:Theobroma_cacao_20110822:8:1479275:1481058:1 gene:TCM_046879 transcript:EOY14853 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM, LAG1 and CLN8 (TLC) lipid-sensing domain containing protein MEDDIVNLIVLGVLSRTTVFLLIRKTFSKRSFDFCNRIVSTIHATLAVILASRSVEDWGCPVCPLASKPSLKQRQTLAVTIAYLLYDLICCLFDERVSLDNTVHHLVSIVGIGAGLAYQKCGSELVAALFISEISSPFLHARELLKELRYRDTDLNLAADITFAVIFSLARMVGGPYVTFVTLSVNNPILIKAMALGLQLVSAFWFYKIVKMVKYKLTRGKKKVVSSPLHSGKLN >EOY14825 pep chromosome:Theobroma_cacao_20110822:8:1384886:1388120:-1 gene:TCM_034091 transcript:EOY14825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonic acid carboxyl methyltransferase MEVMQVLHMNKGNGETSYAKNSTVQSKIISVGKPIIEEAVHEISCNNLLESMGIADLGCSSGPNTLSVISEIMDMVQTTSRRLGRPVPEFRVYLNDLYSNDFNYIFMSLPAFYHRLKEEKGIGCGSCYISGVAGSFYGRLFPSKSLHFVHSSSSLHWLSQVPPGLESKALAPLNKGKVYISKSSPQSVLNAYSLQFQNDFSMFIESRSQELVPGGRMVLSFMGRRSTDPTTEESCHHWELLAQAIMSLVREGLIEEAKVDSFNAPYYAPCAEEIKVEIQKVGSFVIDRLEGFEIDWDGGAVSDVQTAQGKLLIGQRVAKTIRAVVESMLESHFGIGQDIMDDLFSRYAEIVGNHLSKTRTKYINLVISLIKKG >EOY14843 pep chromosome:Theobroma_cacao_20110822:8:1437488:1440466:-1 gene:TCM_034101 transcript:EOY14843 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein MFKSPELPDCFYQNQPTLICPNTPTPKHSLYLSNLDDQKFLRFSIKYLYLFKKAVSLDILRYSLSKVLVDYYPLAGRLRTCTLDDQKLEIDCNGEGAVFADAFMDITSDEFLEISRKPNRSWRKLLYRVEAHTFLEIPPLVVQVTNLRCGGMILCTAINHCICDGIGTSQFLHAWSHVITKPTLVLPIIPFHSRHVLKPRHPPQITHTHLGYNKNTPKDDAHVDINQYLQSQPLVPTSSTFTASHVLRLKRQCVPSLKCTTFEALASHTWRSWVRSLNLSPSLNVKLLFSVNVRNKLNPEMPQGYYGNGFVLACAETAVKDLVTANLHHGVKQIQQAKSSLTDDHVRSMIDLLEDKDVKTDTSSSLVISQWAKLGLEDLDLGEGKPLHMGPLTSDIYCLFLPVVGNFDAVRVQVSVPECVVEKFEYYMKEGLDKEENGDINGFHNGEQNGLI >EOY15242 pep chromosome:Theobroma_cacao_20110822:8:2695973:2697097:1 gene:TCM_034382 transcript:EOY15242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKMKSDRKPPLAKSPIRLRPRRVLRSNSTSLQTPPGSLTKSQKPIRTWAVEESEIRPEYRSISCELRALAKMVRDELGNGEPEKAGFGETSLSANSTPLFERGRFYDEYSARRNERLKRKKGGETGDDSKAGHHLGVTVESSKRRDSKKLSSLRKSVSAAYSAEMNETQTPRYMLRSMSKENKKPPLAVNNNKSSVIGSERKTGARRGKRI >EOY16784 pep chromosome:Theobroma_cacao_20110822:8:11019945:11027639:-1 gene:TCM_035656 transcript:EOY16784 gene_biotype:protein_coding transcript_biotype:protein_coding description:like COV 2 [Source:Projected from Arabidopsis thaliana (AT1G43130) TAIR;Acc:AT1G43130] MGEEKEGTLSSLSEGLARRDPDDVPKSPPSSPSSSTRKACYAVLQSWVSKKFMTGCVVLFPVAVTFLVTWWFIQFVDGFFSPIYARLGVDIFGLGFITSLLFIFFVGIFASSWLGATVFWLGEWLIRRMPFVKHIYSASKQVSTAISPEIIVSVGMTMPQVISPVQRIPHQGNRIPLNRIM >EOY16782 pep chromosome:Theobroma_cacao_20110822:8:11020015:11027508:-1 gene:TCM_035656 transcript:EOY16782 gene_biotype:protein_coding transcript_biotype:protein_coding description:like COV 2 [Source:Projected from Arabidopsis thaliana (AT1G43130) TAIR;Acc:AT1G43130] MGEEKEGTLSSLSEGLARRDPDDVPKSPPSSPSSSTRKACYAVLQSWVSKKFMTGCVVLFPVAVTFLVTWWFIQFVDGFFSPIYARLGVDIFGLGFITSLLFIFFVGIFASSWLGATVFWLGEWLIRRMPFVKHIYSASKQVSTAISPDQNTSAFKEVAIIRHPRLGEYAFGFITSSVILQRDNGDEELCSVYVPTNHLYIGDIFLVNSEEILRPNLTIREGIEIIVSVGMTMPQVISPVQRIPHQGNRIPLNRIM >EOY16783 pep chromosome:Theobroma_cacao_20110822:8:11020738:11027500:-1 gene:TCM_035656 transcript:EOY16783 gene_biotype:protein_coding transcript_biotype:protein_coding description:like COV 2 [Source:Projected from Arabidopsis thaliana (AT1G43130) TAIR;Acc:AT1G43130] MGEEKEGTLSSLSEGLARRDPDDVPKSPPSSPSSSTRKACYAVLQSWVSKKFMTGCVVLFPVAVTFLVTWWFIQFVDGFFSPIYARLGVDIFGLGFITSLLFIFFVGIFASSWLGATVFWLGEWLIRRMPFVKHIYSASKQVSTAISPDQNTSAFKEVAIIRHPRLGEYAFGFITSSVILQRLLFQLA >EOY15106 pep chromosome:Theobroma_cacao_20110822:8:2262955:2264941:-1 gene:TCM_034279 transcript:EOY15106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin 11, ALPHA 1.14,EXPA11 MWVWKKGRSHARLLHSESLVPYLKSQFFLLGNANKCKYYIDSQYCSSSRSSSSFFSTIRCLLSYREMAKIIFASAILLGLCYLATSVNAFSASGWTKAHATFYGGSDASGTMGGACGYGNLYATGYGTRTAALSTALFNDGASCGQCYKIMCDYQTDPRWCIKGASVTITATNFCPPNVALPNNAGGWCNPPLQHFDMAQPAWEKIGIYRGGIVPVIFQRVPCKKHGGVRFTINGRDYFELVLISNVGGAGSIQSVSIKGSKTGWMAMSRNWGANWQSNAYLNGQSLSFKVTTTDGVTRLFPDVVPANWGFGQSFSSNLQF >EOY16630 pep chromosome:Theobroma_cacao_20110822:8:9080424:9081810:-1 gene:TCM_035453 transcript:EOY16630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSLGEEELAQMVRDFIESETSTPISLTTSTPLSRNHQTTCLILQEVLGKHTDEEAEIHEKILMYLKDIGTAGHQTNNLKKLVVMKLRNDGYEASLCKTSWVCTPSHHKVCQFPGGYEYIDVMVVENGRPKRVIADMEFRSQFELARPTVTYEEMINDLPLIFVGTEEKLNMIIPLLCSAAKRSLKENGLHVPPWRKPAYMQSKWLSKNCKKVSVSPEDIDLVEDHKTEENRSTTTCCPSIFSRGQLQFV >EOY17085 pep chromosome:Theobroma_cacao_20110822:8:17563745:17566472:1 gene:TCM_036248 transcript:EOY17085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein, putative MECSQSPSLSLCLHSSPPNPLFYRNNQFSKIKASARSPPKPQKDPTKFTHRRSPPPFFEKYAFPSPLPLHTKNPHAIYKDIQRFARQNKLKEALAILDYVNQQGIPVNPTTFSSLLAACVRSKSLADGKQIHVHIRTNGLKNNEFLRTKHAYIGTVISGKKRYLDVLSTYSEMRLLAVKLNVYTFSTVLKSFAGASAFRQGLKTHALLIKNGFINSSMLMTGLIDFYFKCGKIKLACRVFEEIPERDIVLWGAMIAGFAHNRIQKEALSYVRWMISAGIYPNSVIITTILPVIGEVWARKPGWEVHAYVVKSKQLVIQSGLVDMYCKCGDMDSCDLRVVKLGKEIHGQVLKKDFESIPFVAAEIVKIQAGFVDKACQLFKLMTCKYELKASGEHYSIIIELLNTFGRMIKLLNSCGCFEEAEGFVQMSSLS >EOY14618 pep chromosome:Theobroma_cacao_20110822:8:732348:736866:1 gene:TCM_033934 transcript:EOY14618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein MHFSLWKPISHCAALIADKKSNRRNGTGLREDAKRKTSILRQLEENKLREALEEASEDGSLAKSQDIDSASLNQEGNIGRSRSLARLRAQNEFLNATSLVADRTFCFEDSIPDLHDAFSKFLTVYPKFQATEKIDHLRLEEYGHLSESSAKVCLDYCGFGLFSYNQTQEYWNTSAFTLSEITANLSNHALYGGAESGTVEHDIKTRIMDHLNIPANEYGLVFTVSRGSAFKLLAEAYPFQTNKKLLTMFDHESQSVIWMAQSAKEKGAKVYNAWFKWPSLKLCSRELRKQISNKKKRKKGHAKGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRLFGYDPTGFGCLLIKKSVMASLQNKCGHTGSGMVKILPIYPQYLSDSVDGLDVLAGLEDETAKHNEESLLERNGGSQMPAFSGVFTSNQVRDVYETEVDHDNSSDRDEASTIFEEAENLSVGDLMKSPIFSEDESSDNSYWIDLGQSPFGSDDSGQLTRQKTDSALLPSWFSGKRNNKRLSPKLTSKIPMSPIYDDRNINMRLHEDHVLSFDAAVLSVSHESDQVEEIPEEQPAETNPASGDNGKYKDSKYFGEIQEESGIRDESKLANSMLSSKANGFKLKNGVLENTLASEIYQEKKESAIRRETEGEFRLLGRRERSRFGDGRFFGLEKEDQVASMGRKVSFSMEDNRTENPGCLEPGEISLTTLADDESGSDEEYDDDEQECSRKEPEIICQHLDHVNMLGLNKTTLRLRYLINWLVTSLLQLRLPSSDESREVHLVHIYGPKIKYERGAAVAFNVRDSKGGRLIDPDVVQHLAEKSGISLGIGILSHVRVVDNVKQQCRALELEDSTLCKPMANGCQDGKNLFFRVKVITASLGFLTNFEDVYKTWAFVAKFLNPSFVEENDLSTISEGSET >EOY15332 pep chromosome:Theobroma_cacao_20110822:8:2912800:2916970:1 gene:TCM_034427 transcript:EOY15332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein MAATKGRSLEETPTWAVAAVCAIFVIISILLEHGIHSLGKWFQGRQKKAMMEALEKIKAELMLMGFISLLLTVGTYYIPEICIPEKIGNSMLPCKPKSGYAGSKDKGSDDGNDGRRKLLSYDKDLIWRRVLAASKEKDSCDKGKVALISQSGMHDLHIFIFALAVFHVLYSVATILLAKAKMKKWKAWESETSTLEYQYANDPARFRFTHQTSFVKRHSGFSTTPGIKWIVAFFRQFFGSVTKVDYLTMRNGFINAHFAPNSKFDFHKYIKRSMEDDFKVVVGISTPLWVCAIIFLLLNVYKWQTLTWLSLMPLLILLLVGTKLELIIMEMAQEIQDRTAVVRGAPVVEPNNKFFWFNRPQWILFLIHYTLFQNAFQMAYFLWVVFKYTISSCFHEKLYFVLGRVMLGVGLQILCSYITFPLYALVTQMGSHMKKSIFEEQTARALKNWHRAAKKRNKKKTAGGGVDGFSGIASGETTPSQGTSPLHLLHHHGHGQRSSQADMDSVLNSPVSYSYPSDTDLSDIEGSAHARQAQETRKLEHQGKPTDHSVDFTFVKP >EOY16359 pep chromosome:Theobroma_cacao_20110822:8:6852276:6854178:-1 gene:TCM_035162 transcript:EOY16359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNVISSFFSGFTDAIAKLFGSPIDFLAGKSCSSVCGSTWDFICYIENFCVANLLKLIMVLVLSYIVLLFFYLLYKVGICQCIGHSLCRMVWACMACWFSFCEFCCTFFCFKLLKIKRINRGRRRVREYQFDTSDEHDDESFSYCISRPTEASSTSLSGRWRDYRGVHLRKSLRPRSHRIKVGIKRDALYERNHSKHDHHINTVHGIRVTHTSKFAQKGSNRRGRVHHRRR >EOY16906 pep chromosome:Theobroma_cacao_20110822:8:13547429:13549107:-1 gene:TCM_035893 transcript:EOY16906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMDSVATTHREGQSIVRPSLFVGENYFYWKNRIKLFVQANDYEAWRIIANTPYKPMKTIVGRQLIKEEKE >EOY16679 pep chromosome:Theobroma_cacao_20110822:8:9580068:9581362:1 gene:TCM_035509 transcript:EOY16679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVTILFLLLSSIYHKMAVTILFLLLSSIYQKMAVTILFLLLSSILFTASAQPRNTIIRPGSSFSPNNNSYWLSESGQFAFGFYPYGNGFSETAYWSTGTSNAGDNVTLNLDSNDGMFRLYSHSFNQSGNWSIEWSSSNNRCNPKGLCGPEGPGMSKNYSADACTRKSEHSFDVYELSSVTWEEDPYGTLQEL >EOY15022 pep chromosome:Theobroma_cacao_20110822:8:1991862:1992800:1 gene:TCM_034221 transcript:EOY15022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLAELMERKKTGDYLIGLALSRRWMTTDSNSILSSSAWLTPTQSHACPRAKTLVIKKKERRQREHGGNEQWPNVTRKLTKFDEISIQASSKNN >EOY16995 pep chromosome:Theobroma_cacao_20110822:8:15993180:16405341:1 gene:TCM_036100 transcript:EOY16995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFVLGLPRTQSGKDAIWVIVDRLTKSAHFLAIHSTFSIERLARLYIDEVVRLHGVPVSIVSDRDPRFTSRFWLKFQEALGTKLRFSTAFHPQTDGQSERTIQTLEDMLRACVIDFIGSWDRHLPLVEFAYNNSFQSILGWHHTRLCMEGNAELRSVGMK >EOY17412 pep chromosome:Theobroma_cacao_20110822:8:20152369:20155253:1 gene:TCM_036577 transcript:EOY17412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavone synthase II, putative MMLQLLSYSTLYIASFFLVKTILISINNRPKLPPGPIALPVIGHLHLLGPFIHQTFHKFSSRYGPLMYLRLGSIGCVVASNPELAKELLKTYELAFAARMHTAAITHLTYDSSFAFAPYGPYWKFIKKFSTYELLGNRTLSQFLPVRTKELHRFIKFLLDRSKAGESVNVTQELLKLTNNTISQMMLSMRCSGSGNPADGVRALVREVTEIFGEFNISDSIWFCKSWDLQGFRRRFEDIHRRYDALLERIIRDREEVRKSKKECDQRDNGNEVKDFLDMMLDVLENDNSEMQLTRNHIKALVLDFLTAGTDTTAIVLEWALAELINNPEVLKLAQKEIDQVVGTSRLVEESDSPRLQYIQAIIKETFRLHPPVPMISRKSIQSCKIKGYTIPADCLVFVNIWAIGRDPTVWADPLRFQPERFLKSYEGDHSSGPIDVRGLHYQLLPFGTGRRGCPGASLAMQELPTTLAAMIQCFDWKPAATSKTGDGVDMSERPGLTAPRAKDLECVPVARFTPTVFAT >EOY14947 pep chromosome:Theobroma_cacao_20110822:8:1745594:1748553:1 gene:TCM_034171 transcript:EOY14947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic pentacysteine 6 MDDSGHRENGRLKTDQYRTAQGQWLMHQPSMKQIMAIMAERDAAIQERNLALSEKKAAIAERDMAFLQRDAAIAERNSAIAERDNAIANLQYRENSLATGNMPSCPPGCQISRGVKHMQHPQQNVHHLPHINEAPYNSREMHSSDTLPVTPGTSESAKSKQGKRGKEAKVIASSKKAPKPLKKVKRENEDLNKIMFGKSHEWKGGQDAGGGGDDLNKQLVATKSDWKGQDLGLNQVVFDDSTMAPPVCSCTGVLRQCYKWGNGGWQSSCCTTTLSMYPLPAVPNKRHTRIGGRKMSGSAFNKLLSRLAAEGHDLSNPVDLKDHWAKHGTNRYITIK >EOY16979 pep chromosome:Theobroma_cacao_20110822:8:15658306:15666078:1 gene:TCM_036063 transcript:EOY16979 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein isoform 1 MQLRRCGWLNNPPLVCAHGGDSTNAFPNTMSAYQFALRSQVDCIEIDISRSSDGVLFALHDRDLQRITGNSTSKVGHLRSKEIKELDVSHQSGDSKIPTIEDALMLISRSVRQVILDAKVGPPSYEKGLAKDILFAVEKMQCKNCIIWAKSDSLTRDIIKLSSDVKVGYIVMVDPSTGARTNLLRMKGARVVGVYHPLIDERLVKILHGREKKVYAWTVDDADSMTRMLHEHADAIVTSNPSLLQHTMQDTRTQCLEEGFSLTR >EOY16978 pep chromosome:Theobroma_cacao_20110822:8:15640574:15666976:1 gene:TCM_036063 transcript:EOY16978 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein isoform 1 MYGKLGRRQHLQGHGRSNRASLFIFSSSYKSLVHFILILLAFIALLPPIFFHFRLRRFHQMQLRRCGWLNNPPLVCAHGGDSTNAFPNTMSAYQFALRSQVDCIEIDISRSSDGVLFALHDRTGRDLQRITGNSTSKVGHLRSKEIKELDVSHQSGDSKIPTIEDALMLISRSVRQVILDAKVGPPSYEKGLAKDILFAVEKMQCKNCIIWAKSDSLTRDIIKLSSDVKVGYIVMVDPSTGARTNLLRMKGARVVGVYHPLIDERLVKILHGREKKVYAWTVDDADSMTRMLHEHADAIVTSNPSLLQHTMQDTRTQCLEEGFSLTR >EOY15054 pep chromosome:Theobroma_cacao_20110822:8:2091832:2096760:-1 gene:TCM_034246 transcript:EOY15054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein htpG family protein isoform 1 MRKWAIPSALILLCLLSLLSDQGRKVHANADEGAVDPPKVEEKIGAVPNGLQTDSDVVKRESESISSRSLRSNAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDTAKLEIQIKLDKEKKILTLRDRGIGMTKEDLIKHLGTIAKSGTSAFVEKMQSSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAISEDTWNEPLGRGTEIRLHLRDEAQEYLEEGKLKELVKKYSEFINFPIYIWASKEVDVEVPAEEDESSDEEETSDSTSEEGEDEDAEKSEDEGTEKKPKTKKVKETSNEWELLNDVKAIWLRSPKEVTEEEYTKFYQSLAKDFGDEKPLAWSHFTAEGDVEFKAVLFVPPKAPHDLYESYYNTNKANLKLYVRRVFISDEFDELLPKYLNFLKGLVDSDTLPLNVSREMLQAHSSLKTIKKKLIRKALDMIRKIAEEDPDESSGKDEKEVEKSGTDDDEKKGQYAKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLTSLDQYISRMRAGQKDIFYITGNSKEQLEKSPFLERLKKKNYEVIFFTDPVDEYLMQYLMDYDGKQFQNVSKEGLKIGKDSKNKELKESFKELTKWWKGALTSENVDDVKITNRLDNTPCVVVTSKFGWSANMERIMQSQTLSDASKQAYMRGKRVLEINPRHPIIKELRERVVKDPEDEGVKQTAQLIYQTALMESGFSLPDPKDFASRIYSSVKSSLNISPDATIEDDDDVEETETETETETKEGAGSSKGEAEPANNDADTDLKDEL >EOY15053 pep chromosome:Theobroma_cacao_20110822:8:2090295:2097175:-1 gene:TCM_034246 transcript:EOY15053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein htpG family protein isoform 1 MRKWAIPSALILLCLLSLLSDQGRKVHANADEGAVDPPKVEEKIGAVPNGLQTDSDVVKRESESISSRSLRSNAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDTAKLEIQIKLDKEKKILTLRDRGIGMTKEDLIKHLGTIAKSGTSAFVEKMQSSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAISEDTWNEPLGRGTEIRLHLRDEAQEYLEEGKLKELVKKYSEFINFPIYIWASKEVDVEVPAEEDESSDEEETSDSTSEEGEDEDAEKSEDEGTEKKPKTKKVKETSNEWELLNDVKAIWLRSPKEVTEEEYTKFYQSLAKDFGDEKPLAWSHFTAEGDVEFKAVLFVPPKAPHDLYESYYNTNKANLKLYVRRVFISDEFDELLPKYLNFLKGLVDSDTLPLNVSREMLQAHSSLKTIKKKLIRKALDMIRKIAEEDPDESSGKDEKGIFSGIVLELNIDGDAEVEKSGTDDDEKKGQYAKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLTSLDQYISRMRAGQKDIFYITGNSKEQLEKSPFLERLKKKNYEVIFFTDPVDEYLMQYLMDYDGKQFQNVSKEGLKIGKDSKNKELKESFKELTKWWKGALTSENVDDVKITNRLDNTPCVVVTSKFGWSANMERIMQSQTLSDASKQAYMRGKRVLEINPRHPIIKELRERVVKDPEDEGVKQTAQLIYQTALMESGFSLPDPKDFASRIYSSVKSSLNISPDATIEDDDDVEETETETETETKEGAGSSKGEAEPANNDADTDLKDEL >EOY15055 pep chromosome:Theobroma_cacao_20110822:8:2092330:2096649:-1 gene:TCM_034246 transcript:EOY15055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein htpG family protein isoform 1 ALILLCLLSLLSDQGRKVHANADEGAVDPPKVEEKIGAVPNGLQTDSDVVKRESESISSRSLRSNAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDTAKLEIQIKLDKEKKILTLRDRGIGMTKEDLIKHLGTIAKSGTSAFVEKMQSSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAISEDTWNEPLGRGTEIRLHLRDEAQEYLEEGKLKELVKKYSEFINFPIYIWASKEVDVEVPAEEDESSDEEETSDSTSEEGEDEDAEKSEDEGTEKKPKTKKVKETSNEWELLNDVKAIWLRSPKEVTEEEYTKFYQSLAKEGLVDSDTLPLNVSREMLQAHSSLKTIKKKLIRKALDMIRKIAEEDPDESSGKDEKEVEKSGTDDDEKKGQYAKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLTSLDQYISRMRAGQKDIFYITGNSKEQLEKSPFLERLKKKNYEVIFFTDPVDEYLMQYLMDYDGKQFQNVSKEGLKIGKDSKNKELKESFKELTKWWKGALTSENVDDVKITNRLDNTPCVVVTSKFGWSANMERIMQSQTLSDASKQAYMRGKRVLEINPRHPIIKELRERVVKDPEDEGVKQTAQLIYQTALMESGFSLPDPKDFASRIYSSVKSSLNISPDATIEDDDDVEETE >EOY16166 pep chromosome:Theobroma_cacao_20110822:8:5991066:5992634:1 gene:TCM_035013 transcript:EOY16166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFLESLLMIRFAEFARKEAAKMVAASLITKTSVCQTTSGGSIRVKFESTFRWSFPSDSLLGIGRHQKVELTVKMGFLWNQLIFVTTNRANGKPNGFLVLYYNTSTSQTRKVIYCQELAIRPEANELSDD >EOY15355 pep chromosome:Theobroma_cacao_20110822:8:3002728:3004196:1 gene:TCM_034446 transcript:EOY15355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAYYYSSPPPPSPSPPPPPLPCNHITAPPPPKHHHHHHHMPSPPHHHHGHHKTPPPPPPPPPPYPVSPPTPTTLPVSPRKAAPNAPPPSHYRAPPKPTPSTPSSPAPVPLPPPATPGTPPSKGSPPKPSHPPSPGKGPTPPPKLPPCKVPPPAAAPGPYIGKTPPTPHKGTPPHSAPPAKPPKSSSPPYSPPTHYVPPPKPTPGGLSPAPSPALYETTPPFSSLPPSSNAAAPPTPGGNHTTIIVVCASLGGAFFLAFLLVGLICLAKKKKKPVMVPAAAPCSDEEHQQACETTPTNPYAEQSVAVPIGDDAHIHQAIGTAAVGSHVGASGSPHCEPGLDGCSSHHRANY >EOY14727 pep chromosome:Theobroma_cacao_20110822:8:1105125:1106646:-1 gene:TCM_034017 transcript:EOY14727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate family protein 8, putative MAKRFKHKLSRVIPSFQLCRSKKPSNLPETPVPVIHRISPVNPKALDIGYPNFPAPPPSTPDHSFIKRRLSPKIASVGCGCRARSCTQYLSPDVSFESSDYSWKKEATRLNIVAKAQKSKLQRENYEASFSDGRNGDISVKKKEKKMERVKAIASVSSRDSGCFSSEEAENEETETLISTSRSFLDDSSIDELDQSLESLTEGSHMETKKNKKKAYNTKKMKGLRSFGSKKDRGSNSIFSKTKTSSALSSEDLMLRRMMPREAAEGKVSESVAVVKKSEDPYEDFKRSMLEMILEKQMFEAKDLEQLLQCFLSLNSRQYHGIIVEAFTEIWEALFCDYPMNCL >EOY16688 pep chromosome:Theobroma_cacao_20110822:8:9745642:9749576:1 gene:TCM_035525 transcript:EOY16688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein MAGAVEIETASPNVISEVEILAVDFDPTAVVAEPLPPVVTAADGGPSESEEEEEGVGKPVKKSREIVLGRNVHTSCLAVTEPEANDEFTGDKDAYMAGVLARYRKTLMERTKHHLGYPYNLDFEYGALAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWEIEKNEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSIFKAARMYRMECVKVDTLVSGEIDCSDFRVKLLANKDKPAIINVNIGTTVKGAVDDLDLVIQTLEESGFSHDRFYIHCDGALFGLMMPFVKRAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRMEHINVLSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYKGFQKEVQKCLRNAHYLKDRLRGAGISAMLNELSSTVVFERPRDEEFVRRWQLACEGNIAHVVVMPNVTIEKLDLFLDELVEKRSTWYQEEHDQPPCIAADVGKENCACALHK >EOY16737 pep chromosome:Theobroma_cacao_20110822:8:10725506:10735871:-1 gene:TCM_035618 transcript:EOY16737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEANSTGDPLTRVDNVKENDPVRVGIKHGGLGMDNVPYDNSSNMFLASEELDDEDQEFDDLDSNDDFIKDVLMVFRVEFGFCGMQTLLKSKILDPFQRWQLYSMNGLLASNVKLFTKRRILAEGMVNYELMQVVVMILPRPLFMRSEIVGLIGINEMLDLISWGIIFVHIIRPRMGNGCPICFLNKLSSNLPSNAKCFVFIPRWMLLHQNRFTTANARSKAVGELLIVLMISINGMGWDPHRMISCQNQSLTLQGPQPGQTCAHLFASYLDLDPESFIKLKHSKKVRATSLATKL >EOY16835 pep chromosome:Theobroma_cacao_20110822:8:11692749:11694317:1 gene:TCM_035723 transcript:EOY16835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRMIDDLTRAVQALQRQESVEARMENSEGDHNPLEIHDLEDDDEFENENPFHEDGSANQAARVGLEGRLLHALDLNSGGIRIEVIDFHEKFHAEEYLDWEASLENYFEWKPMAENRKVLFVKLKLKGTALQWWKRVEEQRARQCKLKISTWEHMKSKLRKQFLPADYTMELYEKFHCLKQNNMTVEEYTSKFNNLSIRVGLAESNEQITSRYLAGLNHSIRDEMGVVRLYNIEDARQYALSAEKRVLRYGARKPLYGTHWQNNSEARRGYPTSQQNYQGAATINKTNRGATNVEKNDKGKSIMPYGGQNSKVCDLVIDGGSMENIISKEAVNKLKLPTNKHPYPYKIGWLKKGHEVPVTT >EOY16570 pep chromosome:Theobroma_cacao_20110822:8:8425231:8430484:-1 gene:TCM_035365 transcript:EOY16570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 3, putative MEDSSKKKASITRKNNKYNIEKPKGFHEAAPLTRKSPTNSYDNEAYPISFHGSSVFWDSDSDLGSRTIKKKAYPLPHPSASRRSSVESNQRVSSFGSERVSLSSSSSYESSDDDDDNADIHRALSSFSENGTLCAMKEVKLIIDDQSSKECLTQLNQEIALLSELSHPNIVQYCGSELGTEKLSVYLEYVSGGSIHKLLGEYGSFKEPVIRAYTRQILCGLAYLHRRNTAHRDIKGPNILVDSNGEIKLVDFGMAKHVIMNTSGYSLAVDIWSLGCTILEMATSKPPWSQYEGVAAIYKIANSKDFPEILITFQRMHRAASASDLYSDRTRISTQDRDHRIQPISRTRLLKSSWNEISITSIPESPCSNSVEQCKSACGSCLHASYQPTF >EOY16687 pep chromosome:Theobroma_cacao_20110822:8:9739898:9745552:-1 gene:TCM_035524 transcript:EOY16687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRKGDRCNKTSIWCTMWSDLATTALDRCFSTTGSGRGVPDPTVGSGREAPDPHLPRIIHKADGSGVRNPVSATPLAGRNVMAKYD >EOY16693 pep chromosome:Theobroma_cacao_20110822:8:9905670:9908317:-1 gene:TCM_035538 transcript:EOY16693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein MRYKRLYPISQSDVYDQTSGLIFQTLDKGLFLEKKRHTKLSAMISKRFFRRLGCCYFSLYSQPSLLYHFRNFSLWSMRKDLVLESALSRNRRWIVNNQIKNIILRYPNQVAPLKHLQKKFKTLDLQGKALNWLRKYPCCFEVYLENDEYHCRLTKRMMHLVEEEESVKDMQEPVFVQKLAKLLMMSMNQRLNVMKLNELKHSFGFPDDYVIRILPKYPDMFRLVNSSWRKSSMEIELLAWSPDLAVSAVEASAQKQGLEPCFSCSLPSTWVKSWQRFQEFNAIPYISPYSNSRGLEEGSKEMEKRIVCVVHELLSLTLWKKLSIVKLGHFKREFALPVKLNVLLLKHPGIFYVSNKYQIYTVLLRESYNGPELVHKDPLVIVKNKFGELMQEGLHEYNQSRYLVNLEKKRNGMNLVKAVRRKDGRAEMSDQDDSGDKLGGLFDPEERKRFYKVLFDEGAP >EOY16751 pep chromosome:Theobroma_cacao_20110822:8:10801416:10802441:1 gene:TCM_035630 transcript:EOY16751 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3 transcriptional factor family protein MQSVKRAAFHYDSLSGEYNFMFKGKSNAGGEGKQEGFKIGECSDQYQREEHDVNGRQEAEVGGLSLELSLGFNDISVCTSKERERMENPSSARRVASDRNKRNKVEREEARMLVSTSELRLGLDPWCIKKKLTGSDLGDMSRLMLASECVEYHVFPSWNADQLKKIKEGLPVSVWDCDTQTEHELVFKRWNKRANVFIKNWVKDFEKRRELKVGDEIGLGWDTCNSRFQFSVLSRVATN >EOY17157 pep chromosome:Theobroma_cacao_20110822:8:18119235:18119845:-1 gene:TCM_036322 transcript:EOY17157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLVAVATVTTTTISPPNMVEKWQSSPFQQDSIEIQPDLPTGSTRSGVSRPDPKVGSSTSRSDPATWCAKSTF >EOY14970 pep chromosome:Theobroma_cacao_20110822:8:1834612:1837968:-1 gene:TCM_034191 transcript:EOY14970 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein isoform 2 MGASASMEQTVSPEQRELESLAASTGALPLLQKSFSKLSDPQTNTISIQSLQQCFYLSYEDSVCESPQKLESFPRLMNHLGSSVVDLFFISEKGGINWLGFLRGYVKCCGRMSTSMSLNVLLRMFAMAMKKLGLSTKLEFESDESDCKINGSLLPTDVLLLLWMCWAMLWNVRTLKISRSKEKLFLPDINHLVLSAVVSCADVSSSLDVWDCDILGLDVQLPVGKFLAWALTTTPTLSDCLTQFVQGRLQSSVNAEDESGSSESISGDISSKASQTLLLTHGRAWAISLASRNTISEEILKTCFAGDIVGTNENLLYRSSAHGKGLNRFWSNVEGYHGPLLILISASSEDSHQDSSNARKWTIGVLTQQGFENRDSFYGSSGNLYAISPIFHVYSSSGKEKNFVYSHLHPTGRVYESHPKPVGIAFGGTTANERVFIDEDFAKITVRHHAMDKTYQPGSLIPNQGFLPVEASIAEVEVWGLGGRTAKEVQTSYKKREELFTEQRRKVDLKTFASWDDSPEKMMMDMMADPNRVEREDR >EOY14971 pep chromosome:Theobroma_cacao_20110822:8:1834489:1837693:-1 gene:TCM_034191 transcript:EOY14971 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein isoform 2 MGASASMEQTVSPEQRELESLAASTGALPLLQKSFSKLSDPQTNTISIQSLQQCFYLSYEDSVCESPQKLESFPRLMNHLGSSVVDLFFISEKGGINWLGFLRGYVKCCGRMSTSMSLNVLLRMFAMAMKKLGLSTKLEFESDESDCKINGSLLPTDVLLLLWMCWAMLWNVRTLKISRSKEKLFLPDINHLVLSAVVSCADVSSSLDVWDCDILGLDVQLPVGKFLAWALTTTPTLSDCLTQFVQGRLQSSVNAEDESGSSESISGDISSKASQTLLLTHGRAWAISLASRNTISEEILKTCFAGDIVGTNENLLYRSSAHGKGLNRFWSNVEGYHGPLLILISASSEDSHQDSSNARKWTIGVLTQQGFENRDSFYGSSGNLYARLT >EOY15331 pep chromosome:Theobroma_cacao_20110822:8:2909253:2911798:-1 gene:TCM_034426 transcript:EOY15331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Localized to the inner membrane of the chloroplast MTSLSNSLVLPKNRGIQLSSGSHLKAADQSFGSASPTNLSFNSNRVGKLQLSVSRRPLIVQASYSDGGRPSSASIFVGGFVLGGLVVGALGCVFAPQISKALAGADRKDLMKRLPKFIYDEEKALEKTRKVLTEKIAQLNSAIDDVSAQLRTEDAPNGVAVNSDEIEAAI >EOY17001 pep chromosome:Theobroma_cacao_20110822:8:16340478:16346770:1 gene:TCM_036125 transcript:EOY17001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase MCKLPCMSLDSNLDELSLGSNNLSGNIPDCISNASKLKILSLYQNSFSGLIPNTLGNLSFLEELRLWSNCLTTKPPNHEWSFLSSLTSCKNLSVLQISSNPLNGNLPTSISNLSTSLQDFRAMDCKIKGTIPIEIGSLSNFRVLNLGQNELKGSIPRSIGNLTRLKELYLDENSLEGWVGVVLVGGHLNHPWSKEFLFTDLKPNNG >EOY17497 pep chromosome:Theobroma_cacao_20110822:8:21238899:21245995:1 gene:TCM_036707 transcript:EOY17497 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor MEDTDEDELLNLSLSIVTDPGGERNRKRKTKDISKPFNPSYEGCEGKIFRLLQVREEMLKVDHKRKKMVEDGKGLHLIHLLLITATSVDENNVNSALENLTELYQSVSLIGDSVQRVVAYFADGLAARLLTQKSPFYDMVMKEPTNEEQFLAFTCLYRVSPYYQFAHFTANQAIIEAFEKEDEINNRALHVIDFDVCYGFQWPSLIQSLSEKASSGNRISLRLTGYGRSSEELQETETRLVSFAGGCRNLVFEFQGLLRGSKLVNLRKKKNETVVVNLVFHLNTLNNFLKISDTLKSVHSLRPSIVILVEQEGSRSPRSFLSRFMESLHYFAAMFDSLDDCLPLESAERLSIEKNHLGKEIKSIINCDKDEDNKFPRYAKMETWKGRMESHGFEGMKMSSKSLIQAKLLLKIRTHYCPLQCEGESGGFRVFERDDGKSLSLGWQDRCLLTASAWQCV >EOY14484 pep chromosome:Theobroma_cacao_20110822:8:438340:438932:1 gene:TCM_033852 transcript:EOY14484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative MRRAVDSPKNAHMNCSACKNRGPKTHRIKCAPVPLTKAQLKLILVSFDRNGDNRLSKQELKDAFNYIGSHFPSWRAGRALHRADDNEDGYISEGEMDDLVEYVSQCGYTIS >EOY16480 pep chromosome:Theobroma_cacao_20110822:8:7449450:7452883:1 gene:TCM_035253 transcript:EOY16480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLHQNLEEEPKGAKQGPATLYPGKKTLVKKKMFDQMVQCITSCRGGRGPCCCSGASQSSESGNCAASEKIQSSNSESEGELRSRILESYLTKPRCRSRSHASKGRLLLVVCFRKLGGCPLKSRLLCVVTQNYTVHLHQYQPTTATAAGSHQETINSLESVGHIEGSKPPIHLYPPPHHSSHSLSLSLSLSA >EOY16805 pep chromosome:Theobroma_cacao_20110822:8:11449195:11452325:1 gene:TCM_035691 transcript:EOY16805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase MAKARLFTAFLLISSLFLAPYFSISEADSSAPITSRLSWTFYKSSCPKVESIIRKQLKKVFKKDIGQAAGLLRLHFHDCFVQGCDGSVLLDGSASGPSEQDAPPNLSLRATAFEIIDNLRERVHKECGRVVSCSDILALAARDSVYLSGGPDYDVPLGRRDGLTFATRNVTLQNLPPPTDNADAILASLATKNFDPTDVVALSGGHTIGISHCSSFTNRLYPTQDPNMDKTFANNLKGICPTANSTNTTVLDIRSPDKFDNKYYVDLMNRQGLFTSDQDLYTDSRTRAIVTSFAVNETLFFEKFVLSVIKMGQLSVLTGKNGEVRANCSIRNPDNKSYLASVVEEEVEEEAWSEF >EOY15822 pep chromosome:Theobroma_cacao_20110822:8:4755990:4759116:1 gene:TCM_034779 transcript:EOY15822 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MKLHMSSLCFSVVLSLILLPPLSSATYQKLSGGSSLSVENPRDILVSPNGTFSAGFFPVGDNAYAFAIWFSKPTCVVHNCTVVWMANRDQPVNGRRSKLSLLGTGNVILTDAAQLNVWATDTASLSPVQLQVNDYGNLLLSNSQGTILWQSFDSPTDTLLPLQSLTRYTALVSKRRKANYSSGFYKLFFDDDNVLRLLFDGPDISSVYWPGSWLVSWEAGRSTYNSSRNAMIDSLGNFSSSDDLIFRSADYGASWIQRRLTIDVDGNLRLYSREERDETWVVSWQAISQPCTIHGLCGENSLCGYAPSTGRKCSCPEGYKVKNQTDWSYGCEPEFDVSVNASEFSFIHLRNAEFYGYDISSFNNKTLKECEAICLQTVNCKGFQYKFNGNGFYSCFPKGQLRNGQQTPGFDGDIYIKLPKAYISYNNKKPFKAISLDCPRNDTIMLERSYAKSLENGIVKFMLWFASALGGVEIISIILVWWLTSASQEKNIVAQQGYLLAAAGFKRFTYDELKEATQNFNEEIGRGGVGTVYKGMLPDGRVAAVKRLNEANQGEAEFLAEVNTIGKLNHMNLIEMWGFCAEKKHRLLVYEYMEHGSLAENLSSRELDWQKRYAIALGTAKGLAYLHDECLEWVLHCDVKPPNILLDSGYQPKVSDFGLSKLLDRGKLYNSSFSKIRGTRGYMAPEWVFNRPITSKVDVYSYGIVVLEMVTGLSPTKGIQFVHSIAEREMEYRSVNWVKEKKKESNSMESWITEIVDPMLEGNYDDQKMETLVEVALHCVQEDRDARPTMSQVGERLLHHRENEN >EOY16474 pep chromosome:Theobroma_cacao_20110822:8:7334608:7338601:-1 gene:TCM_035241 transcript:EOY16474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLREKWVFNVAINTHYKWSQLHYINKTLQEKEEYNAVKQAMEHKLWFVIGKTKGRISKLEFYLITILKFGPMLDELLDTFREGNFQRPSDATRMAFVLIAKNILFDQEYRRRVTPWLLSLVEDIDAWNAFPWGHYVWRLTEDYLLKGFEVPDSNSQKATRLRYNMYGFAWSDNVTFDSQFWAMEAISAFQKIVTPYAPKDVYPRMCRWQCNQKPKGFYKTVEQLESSEQLWVVKTLEPTPDEAIREYFMDIDVPLSEGHQYLDHTTTTLQPLTSPPQTHTTNEPSLTHLTRVNDGVVTKRQLRRIMHRHKKDMSELKASIQSLTLAMQTFEDRVVAHILEGLKSQLTDFPIYLVLYGGPSSHNVGDDNDDADDGQHDEATVHINDDVVGRDGDDAMAGDVTLQSDDAERVHVPQVDSVIDASAGGEGDLHSFVAEGVHDF >EOY16720 pep chromosome:Theobroma_cacao_20110822:8:10504809:10506286:1 gene:TCM_035591 transcript:EOY16720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLENLATNLQGVSQTMKLLTQDILNHRRQGRSNRPKPKRAKTGGRYIKIPQTIKAIKEVHKDPIKEKGIERDRVSRDHGVASP >EOY15443 pep chromosome:Theobroma_cacao_20110822:8:3283738:3286867:-1 gene:TCM_034500 transcript:EOY15443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dnajc14 protein, putative MENANRAEAVRLLGIAEKLLQNCDYNGSREFAILAQETEPLLDGSDQILAVTDVLLAADKRINNHHDWYSILQIDRRSEDNDLIKKQYRRLALLLHPDKNKFPYADQAFKLVADAWAVLSNTSKKSLYDKELSLFTRIDLSSAGDRSNHAGKLPVTRRGQNQERVQRHSPNSKTQNENQRLRLSTFWTACPYCYRLFEYPRVYEGCCLRCQNCQRAFHAILIPTLPPLVPGKEAYYCCWAFFPLGFVDGSQEGGGGKAATGFPNWMPPIFPEGQQASERNGGSVPAAATPAASAAAATTTAKKVVESRNNVVGNVSELAPRKRGRPRKNPL >EOY17075 pep chromosome:Theobroma_cacao_20110822:8:17417577:17419838:1 gene:TCM_036232 transcript:EOY17075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat structural protein ORF147 isoform 1 ESQEALLQEILERYVLTGSKLRQEICRIIEVARETDFCSCTKPSIDGCTHCLRKRVISLLCDRGLNASLCVSKWKHTKKHPGGTHEYIEVIASTQGKKKQVPFVIELEFRDQFEIAKACDEYSKLVEQLPKCYAGKADYLNAMVGVMCDAAKRSMEEKNLHMGPWRKRSFMQMKWSNSSEPRSTE >EOY17074 pep chromosome:Theobroma_cacao_20110822:8:17417104:17419803:1 gene:TCM_036232 transcript:EOY17074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat structural protein ORF147 isoform 1 MGKLIGEKALEQYHGHHHHNQHGCCYNYSLEEKVSEFLDSNNFAESDACLNQETIDGYGSDGNESNDPIERTLYWESQEALLQEILERYVLTGSKLRQEICRIIEVARETDFCSCTKPSIDGCTHCLRKRVISLLCDRGLNASLCVSKWKHTKKHPGGTHEYIEVIASTQGKKKQVPFVIELEFRDQFEIAKACDEYSKLVEQLPKCYAGKADYLNAMVGVMCDAAKRSMEEKNLHMGPWRKRSFMQMKWSNSSEPRSTE >EOY14787 pep chromosome:Theobroma_cacao_20110822:8:1260315:1266376:1 gene:TCM_034058 transcript:EOY14787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPCLPFYQIQISVEVKPRFSVNCLIGCLFRSQSTIFGVWNSKRTKEPVPFEQLEESTPLTYNSLSGHLKALRTTLGGESFNKEKYFGKHDFHTVGST >EOY17416 pep chromosome:Theobroma_cacao_20110822:8:20186541:20188735:1 gene:TCM_036581 transcript:EOY17416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MANLESLVQRCAAFSHIKQLQAYFITTGNFQSCRTRSKLLDLCAVAPFGSLSFAIVIFRQIRSPFTNDFNAIIRGLIQSPEPSTAFQWYRTMQRGSFRLDALTCSFTLKACARVLAATEALQLHANIVRFGFMADALLATTLLDVYAKVGDLGNARKVFGEMPRRDIASWNSLILGLAQGDQASEALDLFKRMEVDGLTPNEVTVLGALSACSRMGDFKEGEKIHGFIRNAKLELNVQVCNAVIDMYANCGFVDKAYGVFDDMGCNKSLVTWNTMVMAFAMDGDGHKALELFEQMDGAGLQPDAVTYLAVLCACNHAGLVEDGLRLFNTMGRHGVQPNVKHYGSVVDLLGRAGRLKEAYDIINSMPVMPDVVLWQSLLGACQIYKDVEMAEIASRNLVEMGSNNCGDFVLLSNVYAAHERWNDVGRVRDAMKNRDVKKVPGFSYIEVNGMRHKFFTDDKSNAKWKEIYSKLDEIRFKIKEIGYVAETSLVLHDIGEEEKENQLCYHSEKLAVAFGLISTNEGTPIQVIKNLRICGDCHVVIKHISKIYEREIIVRDRVRFHRFRDGSCSCRDYW >EOY15143 pep chromosome:Theobroma_cacao_20110822:8:2374081:2375215:-1 gene:TCM_034305 transcript:EOY15143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRVHHTLPIGRKHPWAMGGRSSEVGIQRSHDRMKGKELFNKVETEGKVSFLKKGTEIF >EOY15814 pep chromosome:Theobroma_cacao_20110822:8:4739852:4740545:1 gene:TCM_034775 transcript:EOY15814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNAQRIISFALNSIFIIAIVLSLVHLTEVEASRPLQRPLSPTSIKTFKVAQAYSGPSKGGAGHDTIC >EOY16617 pep chromosome:Theobroma_cacao_20110822:8:8994536:8997820:-1 gene:TCM_035438 transcript:EOY16617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant stearoyl-acyl-carrier-protein desaturase family protein MFLRANEIAIYFKALRANVYLQRSPDTQLKRRTMQFTNTHCLSTKNLSWTPRVHRYRLHPPTSAVRLSPISAVAAAPPKPPKTHSMPAEKQQVFKSLENWATENVLPLLKPVKECWQPQTFLPDPALPLEEFNDQVKALRERTGELPDEYFVVLVGDMITEDALPTYQTMINTLDGVRDETGASLSPWAKWTRAWTAEENRHGDLLRTYLYLSGRVDMLMVERTVQYLIGAGMDPATENNPYLGFVYTSFQERATFVCHGNTARLAKEGGDPVLARICGTIAADEKRHELAYSKIVEKLLEVDPTGAMLAISDMMKKRITMPAHLMFDGQDPRLFEHFSAVAQRMGVYTADDYADILEALIQRWRLEKLEGLTGEGRRAQDFVCGLPPRIRKLQERADERAKKMELHGVKFSWIFNKEVTL >EOY15699 pep chromosome:Theobroma_cacao_20110822:8:4322659:4323559:1 gene:TCM_034685 transcript:EOY15699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRSDSSSDDEHEYSQNQQPAPVNYAYASPPPPPQGYHSPQDQNTNSQALYYPPPAQAYCQPQPGHPPPIYVSPPASTPPSYPPVGYPQAAPQPQAQPQTIIYNQAPSGPPQQQQQLQQEEVVAVGCLEGCLAALCCCFILDRCFEE >EOY14794 pep chromosome:Theobroma_cacao_20110822:8:1284964:1285836:1 gene:TCM_034065 transcript:EOY14794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKDQRSETYERGSFKSLLEAACMQQPRSVESSYLFPPHEWIVARFSRFVEHAEGDEPEPPRLLTTESVSDEG >EOY16885 pep chromosome:Theobroma_cacao_20110822:8:12746760:12747957:1 gene:TCM_035823 transcript:EOY16885 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase subunit 7 MGDLIARFREHLCGVAQDLPLGLCPDIDSSTQQFASRIDELKEMSTGNYIWKQRLVDIGTVTAQQAKDWEFSGVMLRGHAT >EOY14465 pep chromosome:Theobroma_cacao_20110822:8:403724:405791:1 gene:TCM_033839 transcript:EOY14465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase-like isoform 2 MSSVTSLCFLSLFLYIFPALTSPTLLFQGFNWESCNKAGGWYNSLKNSIPDIANAGVTHVWLPPPSQSVGPQGYLPGRLYDLDSSKYGSQAELKSLIEAFHRKGIKCLADIVINHRTAERKDGRGIYSIFEGGTSDDRLDWGPSFICGNDKEYSDGTGNPDTGLDYQPAPDIDHLNPRVQNELSDWMNWLKTEIGFDGWRFDFVRGYAPSITKIYMERTSPDFAVGEKWEDLSLGQNGQDSHRGALKDWVQAAGGVVKAFDFTTKGVLQAAVRGELWRLKDSNGKPPGMIGLLPQNAVTFIDNHDTGSPQRLWPFPSDKVMQGYAYILTHPGTPSIFYDHFVGWGLKGEITKLAAVRSKNGISATSTVNILASDSDLYVAAIDEKIIMKIGPKLDLGNLVPSSYQLATSGKDYAVWAKK >EOY14466 pep chromosome:Theobroma_cacao_20110822:8:403833:405467:1 gene:TCM_033839 transcript:EOY14466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase-like isoform 2 MSSVTSLCFLSLFLYIFPALTSPTLLFQGFNWESCNKAGGWYNSLKNSIPDIANAGVTHVWLPPPSQSVGPQGYLPGRLYDLDSSKYGSQAELKSLIEAFHRKGIKCLADIVINHRTAERKDGRGIYSIFEGGTSDDRLDWGPSFICGNDKEYSDGTGNPDTGLDYQPAPDIDHLNPRVQNELSDWMNWLKTEIGFDGWRFDFVRGYAPSITKIYMERTSPDFAVGEKWEDLSLGQNGQDSHRGALKDWVQAAGGVVKAFDFTTKGVLQAAVRGELWRLKDSNGKPPGMIGLLPQNAVTFIDNHDTGSPQRLWPFPSDKVMQGYAYILTHPGTPSIVISYNLISYFMITLLVGA >EOY15778 pep chromosome:Theobroma_cacao_20110822:8:4615913:4619726:1 gene:TCM_034744 transcript:EOY15778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MFLQILLFVALYSITTHLLHKFQNHPPIPFPSLPIIGHLHLLKKPLHRSLAKISNKHGPILLLHFGSRPVLVISSPSAAEECLTKNDIVFANRPPLLFGKYLGNNYNSLSWAPYGDNWPNLRRISSLQLLSTNCLQLLSSTRLDEVRSLLRKLFESRDRTVEMKSALFEMMLNVMMRMIAGKGYYGDNVAEIDEASRFREMMRETFLLGGASNMGDFLPVLKWVGKSEKRMINLQQKRETFSQELVQEWRRRSRMSDGKSSLVTEKKRTMIEVLLSLQEEDPENYKDETIRSLMMVLLLAGTDTLAATLEWAMSFLLNHPEVLENAQTEMDAVVGQGRLMDESDLPNLPYLHCIIRETMRIKPVGPLLIPHESSKDCVVGGYHIPRGTMLMVNLWAIHNDSNNWEEPTKFKPERFEGLEGTKDGFKFMPFGSGRRSCPGEGLAMRMVGLTLGSLIQCFHWERIGKEMVDMTEGPGLTMPKAQPLQAKCRPRQPMVNLLSQI >EOY14992 pep chromosome:Theobroma_cacao_20110822:8:1901783:1902696:1 gene:TCM_034203 transcript:EOY14992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKETINVMFREMVYLHNCTIYRMGLFAFHSREQHSFGRGSKPGWMICCLGQGERGRPNHADLEVSRNLE >EOY16768 pep chromosome:Theobroma_cacao_20110822:8:10870652:10871195:-1 gene:TCM_035640 transcript:EOY16768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRPLDPKSRALVAWLWLDDESSTCWDSVILLRSRTRELIVFFLNGGTYLGHGCCQTVRAVSQQCRTTIIDARRFTPEKTDVLQDYCNHDPSSPSPLLLTVKPSNKCILKTNSICP >EOY17316 pep chromosome:Theobroma_cacao_20110822:8:19368704:19372409:1 gene:TCM_036479 transcript:EOY17316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYLGALLGANLRSFSTWDLVVNKFNQRFTFWHRAYMSFRVRWYEVLFFNGGASLDGEYKFNVDGSTRGKLDPIGCGGVLHNLEVYVAGVFFEPFGIQDSNFSKLMAILNALRLFSCSLFVGSKLIVEFSSRMALSWESMIILFYRIILF >EOY14406 pep chromosome:Theobroma_cacao_20110822:8:211978:213452:1 gene:TCM_033797 transcript:EOY14406 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MMKTRLPILSLLIATTALGRPINSCSSGHDNIRSRVDVVFIGCSVNHATGDGTTFWHFFNILSELFKAQGRNAQISRPPVLKRWFPEGHGPLINLPFTQPDEFISRFEAPQDLERIFHFSAESIAKLKARANSEYNTGKISSFQSLSALVWRSIKKAGRFPHEQVVLRKLAINKRS >EOY15965 pep chromosome:Theobroma_cacao_20110822:8:5188874:5190478:-1 gene:TCM_034875 transcript:EOY15965 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXORDIUM like 2 MASIPFFSLLLLLYSLALPSPSLATTRKLSALVQEQPLVLKYHHGPLLKGNITVNLIWYGKFSSTQRSIIVDFLNSLSSTKTTSPSVSSWWQTTGKYRRGSSRVVVGKQILDEKYSLGKALKTIQLVALASKPGYGGSNVVNVVLTSADVAVDGFCMSRCGTHGSGRVKKSRFAYAWVGNSVSQCPGYCAWPFHQPVYGPQTPPLIAPNGDVGVDGMVINLATVLAGTVTNPFNNGYFQGPAEAPLEAVSACTGIFGKGAYPGYPGEVLVDKTTGASYNAVGVNGRKYLLPAMWDPQTRTCKTLV >EOY15591 pep chromosome:Theobroma_cacao_20110822:8:3873263:3877540:1 gene:TCM_034604 transcript:EOY15591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase family protein MTPKAIFLLLVLLSFVFYSSEATRHDQSQFFTLMKASLSGKALSDWDVSGGKNYCNFTGVNCNDQGFVETLNLTDWSLSGNFPADVCSYLPELRVLDISRNNLHGNFLSGIVNCSLLEKFNMSSLFLRTTLPDFSRMASLRLLDLSYNLFTGDFPMSITNLTNLEVLYVNENGELNLWQLPENISKLTKLKVMVFTTCRLNGRIPESIGNMTSLVDLELSGNFLSGHIPKELGLLKNLQQLELYYNQHLSGTIPEELGNLTELIDLDMSVNQLSGSIPVSICRLPKLRVLQLYNNSLTGEIPGVIANSTTLTMLSLYANFLSGQLPQNLGQLSSMIVLDLSENNLTGPLPTEVCRGGKLLYFLVLDNKFSGKLPDSYANCNSLIRFRVSHNYLEGSIPEELLGLPHVSIIDLADNNFTGPFPNSIGNARNLSELFMQNNKVSGVLPPAISRAINLVKIDLSNNLLSGSIPSEIGNLKKLNLLLLQGNKFSFSIPSSLSLLKSLNVLDLSNNLLTGKIPQSLSKLLPNSINFSNNKLSGPIPLSLIEGGLVESFSGNPGLCAPVHVKNFPICSHPYNQKKLNSMWAIIISVIVITIGALLFLKRRFSKERAVMEHDETLSSSFFSYDVKSFHRICFDQHEIREAMVDKNIVGHGGSGTVYRIELRSGEVVAVKKLWSRTEKDSASEDQLIWDKGLKTEVQTLGSIRHKNIVKLYCYFSNLDCNLLVYEYMPNGNLWDALHKGRIHLDWPIRHQIALGIAQGLAYLHHDLLPPIIHRDIKSTNILLDVNYQPKVADFGIAKVLQARGGKDSTTTVIAGTYGYLAPEYAYSNKATTKCDVYSFGVVLMELITGKKPVEADFGENKNIVYWVSGRLDTKDGVMEVLDKRLSGSFKDEMIQVLRIAMRCTSRNPNHRPTMNEVVQLLIEADPCKFDSCKLSNKTKEASNVTKIKNQSEV >EOY15523 pep chromosome:Theobroma_cacao_20110822:8:3619107:3641100:1 gene:TCM_034549 transcript:EOY15523 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 16 MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVMIFSSTGKLYDFASTSMRSVIERYNKTKEEHQQLGNPTSEVKFWQREAAILRQQLQNLQENHRQMMGEELSGLSVKDLQNLESQLEMSLRGVRMKKDQILMDEIQELNRKGNLIHQENVELYKKVNLIRQENMELYKKV >EOY14813 pep chromosome:Theobroma_cacao_20110822:8:1333183:1335449:-1 gene:TCM_034080 transcript:EOY14813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASMPTPVIFDILVRLPVKSLARFKTLNKLCGSFIRDPRFIGTHFKYGADEGNDVCLILSYMQHGNLNSIVHILTVRDNDELALVEYSAPVSFDSYQILPSCYGLVCFYGLHGGVLVCNPSTKNIVRLPDIDAEGIRSLSCGFGFDRMSGKHKVIKFLEPLEAEYPFTDNLRIEIFTTGNNSWRTVRYHPCFRFLHHQPPVFAGGFFFWITADATDPGGPSSFSIVSFDIGNEIFEAISPPESVSEKNWFNLYLVELGGELWLVDLDYELDQDRKRMDIWIFKASIADKKEQWVQETIVHQSEPIDTTRPVAFDGEEILLHGFINGLGNLNWYNLRTGSFRQLKIKGVLSQYCHASHHVGSLYPVLH >EOY16507 pep chromosome:Theobroma_cacao_20110822:8:7953334:7959421:1 gene:TCM_035303 transcript:EOY16507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamin diphosphate-binding fold (THDP-binding) superfamily protein MAIWWTRSSRTIANHIRKCMNKGFMGGAVVKQSSWSSTVQSCSLSSLSSHRLVPQNCSYLHSLPCNRATLFSLQRFKSTVAEGQLDSFFSSDSDDEQLNVLARQSLDFPGGKVTYTSEMNFISESSHKRIPCYRVLDDDGEIINMNSDLQQVSKEVAVKMYSDMVTLQIMDNIFYEAQRQGRISFYMTSAGEEAINIASAAALGEEDIILPQYREPGVLLWRGFTLQEFANQCFGNKADHGKGRQMPIHYGSKEHNFFTISSPIGTQLPQAAGIAYSLKMDNKKACAVSYIGDGGTSEGDFHAGLNFAAVMEAPVIFFCRNNGWAISTHVSEQFRSDGIVVKGQGYGIRSIRVDGNDALAVYSAVSAAREMAINEQRPILVEALTYRIGHHSTSDDSTKYRALDEIDYWKLARNPVNRFRCWVQSNGWWSEQQETELRKSIRKQLLQAIQVAEKTEKPPLTELFSDVYDHLPSNLQEQEKQLRETISRHPKDYPSDVSV >EOY16242 pep chromosome:Theobroma_cacao_20110822:8:6395323:6397445:1 gene:TCM_035083 transcript:EOY16242 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MSNHIIPMDAVSSILSLLPVKSLLRFKSVSKEWCSFINEPYFIKLHLSQSMQTNKYNRNIIFKEVESGKLISVDFDSINFQNLKAINHPLKHLSGCGADDDYGDIQVFGSCNGLLYLINRRYRIIVLWNISTRDYKVLPDESLKVTSIRGEIWYFYGFGHDSINDDYKIVRVAQEVDSVNHTLIISEVKVYSLKTNTWRKGEEIPYYFRNRSVTGTFVCGALHWLAVEEREWECPSSIIAFDVATEKYRQIELVDNMERNAYSVVPRALQGCLCTITTCFNNEVNIWVMKDYGVKESWTILHSFQGSISSAPHVHPLRPLAYSRTGDRLLLHHDGLSFLWYDLKENQFEEVDLLQLNNVKTFLVEICVESLVQLGESIKA >EOY15104 pep chromosome:Theobroma_cacao_20110822:8:2256864:2259535:-1 gene:TCM_034277 transcript:EOY15104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single hybrid motif superfamily protein isoform 2 MSDDMVDRTRKLEETTVSNSLHEEEEEEEERPVREKAEYEEEELHKLLVPDVSQLPPTPPSAVETNFVSYFAPDFMKPGNDQYVYRHANGLCVVGLASTHLAFKDKGGITAVDFNVGKSDRSSMKVTGKRKRNAQHFESNTALCKVCTGDDSYVVRLIEKDT >EOY15103 pep chromosome:Theobroma_cacao_20110822:8:2256877:2259651:-1 gene:TCM_034277 transcript:EOY15103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single hybrid motif superfamily protein isoform 2 MSDDMVDRTRKLEETTVSNSLHEEEEEEEERPVREKAEYEEEELHKLLVPDVSQLPPTPPSAVETNFVSYFAPDFMKPGNDQYVYRHANGLCVVGLASTHLAFKDKGGITAVDFNVGKSDRSSMKVTGKRKRNAQHFESNTALCKVCTGDDSYVVRCCVKGSLLEVNDRLIKQPELLNSSADREGYIAIIMPKPADWLKVKTSLLSLEEFKILRELC >EOY15549 pep chromosome:Theobroma_cacao_20110822:8:3701174:3706239:-1 gene:TCM_034569 transcript:EOY15549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein, putative MDTTAAQFPYPWQRCKIIHLVRHGQAMHNVEGDINREALLSPHLFDAELSPLGLQQVDRLRKEVHASGMLKRIELVVTSPLYRTMQTAIGVFGSESLGEGGNDNFSAISAVSWPQIMAVELCRDKMGVRPCDMRRRVSDCQALFPSIDFSMMDGEEDSLWNPDVREPDEKVSVRMAQFMKWLWTRPEQEIVIVSHGIILQHILYVLGNDSHPTVRSALCQRFGNCELRSVVIVDKSHMGSDSPL >EOY16468 pep chromosome:Theobroma_cacao_20110822:8:7228784:7231200:1 gene:TCM_035227 transcript:EOY16468 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein MELLLPNPANSPPLTTLGFLERAATAYGDSPSIIYNNISYTWSQTHRRCLQVASSLTSLGIQRGQVVSVVAPNVPSMYELQFAVPMSGAVLNNINTRLDANTLSVLLQHSESKLVFVDHQSSSLVLEAISLFPSKTKAPHLVLIDDEKDSGSKNPSSSVGFLDHYEGILEDGDPKFKWVRPKSEWEPMVLNYTSGTTSSPKGVILSHRGTFIITMATLLDWSVPNQPVYLWTLPMFHANGWSLPWGMAAVGGTNICLRKIEPSVICRLIEQHGVTHMCGAPVVLNMLSNSSHLEPLNRPVQILTAGAPPPAPVLGRTESMGFVVSHGYGLTETGGVVVTCAWKKKWNKLPLTERARLKARQGVNSAVFTEVDVVDPETGESVKRDGKTLGEVVLRGGCVMLGYLKDPEGTSNCMRENGWFCSGDVGVIHPDGYLEIKDRSKDIIISGGENISSPEIESVLYSHPAVNEAAVVARPDKFWGETPCAFVSLKKNIDDELTQKPSEKEIMEYCREKLPHYMAPKTVVFEEELPKTSTGKVQKFILREIAKAMSGVDESSCALG >EOY16765 pep chromosome:Theobroma_cacao_20110822:8:10825135:10826055:1 gene:TCM_035634 transcript:EOY16765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic stress ripening protein MAEEKQHHHLFHHHKEDEKPVDTSVYSETAYSGDISAGYGAGLAETGVDYKKEMEVDYKKEEKHHKHLEHAGELGAAAAGAFALHEKHKAKKDPEHAHRHKIEEEMAAAAAVGAGGFAFHEHHEKKETKKEEEEAHGKRHHHLF >EOY15297 pep chromosome:Theobroma_cacao_20110822:8:2833807:2835472:1 gene:TCM_034409 transcript:EOY15297 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 13, putative MEWQNQEMQQQEEGYLQYQFQNGVCGKVMTDEQMEELRKQIVAYAVISEQLAEMHKAMSAHQDFTGIRLGNFYCDPIVASIGHNITARQRWTPTSLQLQILESIYDLGNGTPSKQKIKEITVELAQHGQISETNVYNWFQNRRARSKRKQQSSGSINAEPEADAEGLGTKEKRTKPESLKFIDIPAQGVESFYFQNPDTGIDQFTGKVESSGGYDPYNNLVEQFGLLG >EOY15288 pep chromosome:Theobroma_cacao_20110822:8:2803317:2811059:-1 gene:TCM_034402 transcript:EOY15288 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding bromodomain-containing protein, putative MRGEIAAETMTKKKKKKGRPSLLELQKRSLKQQQQQEQQQLQRQKNPNLINPNFSTNSNRRSTRRNPNLDGGSPVPEWIDGGDDDDDERQQKKHKLLLGLNSSRNRQHYPNSSAPNSASYGSDSNADGEDPEASLKRGKVASLRPGSDQMGEKVSKATDTLHGSPVESGPTTPLPDKKLLVFILDRLQKKDTYGAYSEPVDPEELPDYLDIIAHPMDFQTVRKKLDGGAYTTLEQFEKDVLLICSNAMKYNAPDTIYFRQARSMQELAKKDFENLRQDSDEGEPQPKVVRRGRPPGKSLKKSLESSSLDRVGSEFSSGATLATGPDASSLSNTYNLRKGPSSHKLRPADTSVRPSWVSHSNENHASWSSEWENEFPASVVKAVMKYGKKHFPVDENKRDTYNPSSTCRHEQPIFSTLDEELKQLIPVGLSAENGYATSLARFAADLGPVVWKIASKKIESVLPSGLKFGPGWVGENRTIEQPQLSFSEKQKSSNSLSDHHSSRLFSPPATSGSNSIAGSRVPSQGREDAETVRGLGSQNDFTSPYSKVGDIKSAPLHQFQQRPLLHSGINGSIGGFGIGYPPQMRLVTQPGNPLSEKTSVTSQTHGMVSGSSTAMCPMPASDFLSSEAKFADSSTTLHSGNTMAVGPDLASHAATNVGLLQKSYNQQDFLPFPPDLNVRFLAPGSPSSSVPIGSPQHPDLALQL >EOY14814 pep chromosome:Theobroma_cacao_20110822:8:1338645:1341330:1 gene:TCM_034082 transcript:EOY14814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein MKQWGLVLFVFLLFQEQGSFVRVEADDGFVKTKGMQLMLDGSPFYANGFNAYWLMYMAADPSQRSKVSTAFQEAKQHGLNIARTWAFSDGGDRPLQYSPGSYNEQMFQGLDFVVSEAKRYGIKLILSFVNNYDQFGGKKQYVNWARNQGQSLGSDEDFFTNSVVKEYYKNHIKTVLTRRNSLTGVAYKDEPTIMAWELMNEPRCYSDPSGRTMQSWITEMASHVKSVDGNHLLEAGLEGFYGPSSSQKQQYNPNFQVGTDFIANNQIPGIDFATVHSYPDQWLQSSSEESQIAFLNNWLNNHIQDAQNILQKPLLFAEFGKSLKISGPSQRDELFNTVYTAIYSSARGGGTAIGGLFWQLFAEGMDSFRDGYEVILSEGTSTVNIITQESQKLNRIRKMYVRLRNMEKWKKARDIRRAQWWAGNGGSNTGN >EOY16686 pep chromosome:Theobroma_cacao_20110822:8:9737022:9738626:1 gene:TCM_035523 transcript:EOY16686 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MEDSPINRLAEDSLHQIFSSLSLRQIMTCRSVCKLFNQILTSPSFMHLISTRSHLNLLALRPPHHHHNHSRYEPLSTSLHVYDPDQNKWLRVNLDFLPFRSPHPVASSLGLVYLWADSPDSSDSNKSLIVCNPLTRQYRVLPQLGSAWSRHGSVLVDSRNRVMVLTELAALYFSFSQKTQQWLKFSSNLPSKPRSPIVVSNSVYALCDVGSPWRSKWKLFSCAVNNMLNLNLNVMNNNWECLERHEWGDIFDIMKRPRLICGNGNKILMIGGLKSSYSLNQSCLTILILRLDLETMEWEEATRMPEDMHQWFQDSKFKVFGGGDRVCFSGKKVRRLALWDCCEWRWIDWVPGNGDELFRGFMFEARLTLLP >EOY16273 pep chromosome:Theobroma_cacao_20110822:8:6507557:6508518:-1 gene:TCM_035106 transcript:EOY16273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MELANKLVALAARAANSNVVIDACLVTSFAVLGIRSLNQQKDIEALEAEKDSLTKTNKAMKKAIWDWKQQLFAEADSDSPLVPLASLKAIYGEAPSPPIGDATKEDAKSPASKFVV >EOY16274 pep chromosome:Theobroma_cacao_20110822:8:6507664:6508436:-1 gene:TCM_035106 transcript:EOY16274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 ACLVTSFAVLGIRSLNQQKDIEALEAEKDSLTKTNKAMKKAIWDWKQQLFAEADSDSPLVPLASLKAIYGEAPSPPIGDATKEDAKSPASKFVV >EOY16090 pep chromosome:Theobroma_cacao_20110822:8:5743890:5745364:-1 gene:TCM_034967 transcript:EOY16090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKPLVNDDDKSRQGQPPNNRRWRPYILPELNLIILPPQYPTNRPDEDPLISTAHPDSELSSDDEVDDGCEDDGDSDYEDDEEVRERLAQLTMSRELAILMECRMEELRREILTIREELRVLQKKLGWNPKSFGP >EOY16279 pep chromosome:Theobroma_cacao_20110822:8:6520566:6523360:-1 gene:TCM_035109 transcript:EOY16279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEFCLINRRYRIINKWDNIHEYYSFGYDSINDDYKIIKVAQKIELISHALISKENRVKESWTKLCSFQERISLTRNLYTLRPLAYSRTCDQLLLHQEGLSLLGYDLKEKKFKDVDHPLRKNVKAFFVEICVESLIKLDEPIIK >EOY15510 pep chromosome:Theobroma_cacao_20110822:8:3560050:3572600:-1 gene:TCM_034541 transcript:EOY15510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan water dikinase, chloroplast, putative MATSSNVQVPRVLHFQLIDGMQLQINVSGRSSRCNARVELQLKNCTRTWFLHWGFVYHGNLNWYIPSEHSSGAKCYKQGAMQTPFVKNGETYVVNIELRDPKIHAIEFVLKDGSHDRWLKLNHGNFRVEIPEHDASASFPSIPKDLIDRKAYLIWESRGRPQSSTEQQKQDYADAITELQHQLSKGISLNELQYGYMNASTKTKAQGGVEPSRCETPSSYHRRHDVEKWLQKCSKGQKNRTGQPSLSLTNLVEKSIGGNNVVSQQNFCVGNNEIVVSLIAIIIFSLLDESKNGDGHRHGSSKVVTGDYHILVASNVKGTAVLHWGVSKSSAGEWLADGYRKGIVKWLLDEISAREKEAERSLMHRFNIATELTERCKVEGELALIGILVWMRFMMCRHLTWNKNYNVKPREISEAQDRFTNLLQRTFLDQPNDQEIVRLEMAFVGRGGQGDVGQRIRDELLMIQRNNDCKSGMMEEWHQKLHNNSSLDDVFICEALLNYLRCGLTLDVYWKTLNAHGLTKEKLASYDRPIVSEPHFRMEAKEGLIHDLTMYLKTLKAVHSGAELESAIESCLASPFKNQDFTRADRVNAFGGLSPKLQDCLNFVKTHIGDENIGPLMEKLLESRIEIRPLLLTPHRLAKELLFLDLALASSVRTTMERGLKNFNFKYPPEIMFFISLVLENLCLSTVNNEDLIYCTKDWYRVSESYKTSDVQWALQTKAILDRLQLVLADRALNFQNKIQPSAEYLGKLLGIGKTVINTFAEELVRAGSEAVLSMIINRFDPILRKVANLGSWQVISPVAVCGFVTSVNELITVQRKVYRKPTVIIATRVTGEEEIPDGVVAVLTSDMPDVLSHVSIRARNSKICFATCFDQNNFRNLKLKEGRAVSIQLKSSNLIISDISGSNLSLSSLVSSSIPRRVTLQRKTFCGRYALSLEEFTTEMVGAKSCNIKFLRGRVPSWIWIPMSVAMPFGAFETVLSKDINKDIANKITFFRKLVHGGDVSKLQEIKGAILQMSAPLSLTTELRSKMRSARMPWLGDEGEGRWNCAWEAIRKVWASKWNERAYFSCKKAKLNHEDLRMAVLVQAVICGDYAFVIHTKNPLSGDTSEIYAEIVKGLGETLVGAYPGRAMSFIAKKNNRPIVTGYPSKQIGLYSKPSIIFHSDSNGEDLEGYAGAGLDDSVLMDKEESMVLDCSIDPMIVDKSFQISLFSKVAEAGKIIETLYGRPQDIEGVIKDGIVYVVQTIPQV >EOY15215 pep chromosome:Theobroma_cacao_20110822:8:2595676:2605379:-1 gene:TCM_034359 transcript:EOY15215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630 and DUF632) [Source:Projected from Arabidopsis thaliana (AT1G20530) TAIR;Acc:AT1G20530] MGCATSKLEQLPAVSLCRDRCNFLEEALQQSYALADAHVAYMQSLKTLGPTLHRFFDQSIKSTSGDDDSSAVGTEKPPKKPSPQASPDHSLSSSNSDSHIQFDTDSEEEETGKELSRSFNQIHQSYLNHGILTSYSLPNHNYNTNTYQNSEFSGSGWKTPPPPAPRSAAWDYLNFFDEIYERYELPYCSTKAVKNKEGAPDLEAELVKQINGDAKSSANYTKAKKEENPCGKTVPVKNGDGENKKKVDSETEQVQKGTDLNEPKNQSGKQSVSKVMKELQVLFQKASESGYEVLKMLDTGKFRYHHKKSVYQGSTNIIHMITSNSLETESLLSKQKISSADNDEIVNSQNLSSTIRKLCMWEKKLYDEVKAEEKLRIIHAKKCRQIKSLDQKGADARRVDSTRTLIRALSTKMRVAVQVIDKIAITINRLMDEELWPQINELICRLFEMWKVMLECHSCQCRKVMEAKCLDVIPLNGKLINDAQLEVAIKVKLELQNWSLSFSSWIEAQRGYVKALNGWVRRCLQYEPEETADCIAPFSPGRCGATPVFVIVNQWSEAIDRLSEKEVVEAVHGLFMSINQVLEHHNADLQQRIIADKDMERKVKILEKEEQKIKKIMRARVRKMTLLAREETAALLPADTRRSDIRVATSLQVGLKQIFVGMEKLATQSRQTYQELHKCIELCRACQDKPDCRP >EOY15216 pep chromosome:Theobroma_cacao_20110822:8:2598049:2601906:-1 gene:TCM_034359 transcript:EOY15216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630 and DUF632) [Source:Projected from Arabidopsis thaliana (AT1G20530) TAIR;Acc:AT1G20530] MGCATSKLEQLPAVSLCRDRCNFLEEALQQSYALADAHVAYMQSLKTLGPTLHRFFDQSIKSTSGDDDSSAVGTEKPPKKPSPQASPDHSLSSSNSDSHIQFDTDSEEEETGKELSRSFNQIHQSYLNHGILTSYSLPNHNYNTNTYQNSEFSGSGWKTPPPPAPRSAAWDYLNFFDEIYERYELPYCSTKAVKNKEGAPDLEAELVKQINGDAKSSANYTKAKKEENPCGKTVPVKNGDGENKKKVDSETEQVQKGTDLNEPKNQSGKQSVSKVMKELQVLFQKASESGYEVLKMLDTGKFRYHHKKSVYQGSTNIIHMITSNSLETESLLSKQKISSADNDEIVNSQNLSSTIRKLCMWEKKLYDEVKAEEKLRIIHAKKCRQIKSLDQKGADARRVDSTRTLIRALSTKMRVAVQVIDKIAITINRLMDEELWPQINELICRLFEMWKVMLECHSCQCRKVMEAKCLDVIPLNGKLINDAQLEVAIKVKLELQNWSLSFSSWIEAQRGYVKALNGWVRRCLQYEPEETADCIAPFSPGRCGATPVFVIVNQWSEAIDRLSEKEVVEAVHGLFMSINQVLEHHNAD >EOY16463 pep chromosome:Theobroma_cacao_20110822:8:7183479:7184366:1 gene:TCM_035222 transcript:EOY16463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MLSSYAQETLNNKASIFHVHGDFLFANDMSPLSKNDLQRIFEKLDKNGDGLVSLEELNWLLVRIGVQFSLEELGSFVGKPCLDFNEFLFFYDSISNQNDDGKNMEPAGSGEEDGLIQADEDSDLVKAFKVFDLNGDGFISCEELQSVLVRLGLWDESSGKDCRNMICFYDTNLDGMVDFEEFKNMMLHTIS >EOY15801 pep chromosome:Theobroma_cacao_20110822:8:4691733:4694590:1 gene:TCM_034759 transcript:EOY15801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A3,1 MADQENCARVTRAAAKKRAAEAAGIMEERVTNKKRVVLGELSNLSNVLSVNKALGKENRKQQPKVKGKAKTRVAKPALKEKKEEAQDEKDVDIDAKSDNPQMCGHYVSDIYEYLHQMEVDPKRRPLPDYIEKVQKDVTANMRGILVDWLVEVAEEYKLVSDTLYMTISYIDRFLSLNTLNRQRLQLLGVSSMLIASKYEEINPPNVEDFCYITDNTYTKDEVVKMEADILKSLKFELGNPTIKTFLRRLTRVAQEDNKASSLQLEFLGYYLAELSLLDYGCVKFLPSMVAASVTFLTRFIIQPKRHPWSSAVQQYSGYKASDLKECVLIIHDLYLSRRGGALQAVREKYKQHKFKCVAMMPASPEIPASYFEDVQEVDISYAEDVQVVKV >EOY16665 pep chromosome:Theobroma_cacao_20110822:8:9503877:9506605:-1 gene:TCM_035497 transcript:EOY16665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase, putative MQVSCLFLFWVHLFFLLNVAFPSLSHPLLTPNNITLYGDAFFRNNGISLTQETTCLPSSSPANIGRALYAYPIRFLDLKNKTTASFSCRFSFSIIPNPLCPFGDGIVFLITSNADSFSFSNGYIGLPQRDLNSQDSFFAVEFDTSFNPSVGDINGNHIGVDVNTVVSFASVDVVSKGVDLKSGKKITAWVEYRDSAKLIQIWVSYSSTKPPSPVLVAQIDLSTQFKEYMHVGFSASNGQGSAMHTVDRWRFKTFRTYRPSVNPIDAIEEGYCFMCSPEDSSTNSPQIYRPHKRSFKMGNMAVALGCLTISVVFVIAIIAVICFFAVRKKRDVGRRGKRIQTRVQMNNVPTRLSLAEIKSATMGFHRNRIVGEGASAVVYKGSLPSAGAVAVKRFDQSNKECGRNPFTTEFATMMGCLKHKNLVQIQGWCCEGSELILVYEYLPNGSLDKLLHKNSDSATFLSWSLRLNIVLGVASALTYLHEECARQIIHRDVKTCNIMLDDEFNAKLGDFGLAEVYEHSCASREATIPAGTIGYLAPEYVYCGVPTVKTDVYSFGVVVLEVATGKRPVAEDGTVLVDWVWDLWVRRKLIEAGDCSLSGRYNVLEMERMLKVGLCCVHPNHEKRPTVKEAARILRGEASLPLLPSMRPTVTIRSNLFADSEDILNIGGDHSPSGDDAGWLTPKSHFSKA >EOY17244 pep chromosome:Theobroma_cacao_20110822:8:18653955:18656846:1 gene:TCM_036393 transcript:EOY17244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MNPTTIYNSNAAAAASTHPSSLFPQIQRCKTMRDLHQVHAIVLKTGQIHDPLAAAEILKFCSLGTHRDIDYARKVFRQMGEPNCFSWNTIIRALTESDESNETNEPLEALFLFTEMVADGNVLPNRFTFPSVLKACARTGKLPEGEQVHGLVVKFGFEKDEFVASNLVRVYVMCGAMEEAHILLNKMMVEFENGGKLVRDKRRIEGNIVLWNVMIDGYVRIGDLRTARELFDKMSLRSVISWNVMISGYAQNGYFKEAIEMFRLMQIGEVRPNYVTLVSVLPAISRLGALELGKWVHLYAEKNEIEIDDVLGSALIDMYSKCGSIDKAVQVFERISKPNTITWSAMIGGLAMHGRAEGALDYFSRMELEGVTPSDVVYIGVLSACSHAGFVEEGRLFFNHMVNVVGFEPRLEHYGCMVDLLGRAGLLKEAEEFILNMPIEPDDVIWKALLGACKMHGNIEMGDHVAGILMNMAPRDSGAYVALSNIYASSRDWESVARVRLKMKEMDVRKDPGCSFIELDGVVHEFLVEDDSHPRAKEIHSMLEEIAEQMRLVGYKPDTRPVLLNIDEEEKESTLYYHSERIAIAFGLISTSPGTTLRIVKNLRVCEDCHSSIKLISKIYKRKIIVRDQKRFHHFENGSCSCMDYW >EOY14431 pep chromosome:Theobroma_cacao_20110822:8:322738:330772:1 gene:TCM_033820 transcript:EOY14431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MATANQFCDDYLLLNPKEASFLDLFGLLFSSELEKRRFIDCPERQQQGNFRQRWLIFISVLVQKLLLSGTKPMALVGNALQMCLNLLSSNGGLFKLLLNLVTGKFVWPDKSSETFTSVLANVDSRFELDSSIEPDDRKYKASLSMMASKLSYENEAFIKTKITQHWKMRFLKFYNFWNDFVYPPPRIHLSLVTNLRLLCFTDYQERFSTQAFMLQDTQANPNLIVVAFRGTAPFDADAWRTDFDISWYQLENVGKAHSGFMKALGLQKNQGWPKEIQQGSDRQHQFAYYTIREKLKDVLQKNQEAKFILTGHSLGGALSILFAAVLVLHEEEWLLERLEGVYTFGQPRVGDEKFGEFMKERLREFDVKYFRYVYCNDMVPRIPYDDKALLFKHFGPCLYFNSCYRGQVLSEEPNKNYFSMLWVLPKNMNAIWELVRGFIMPYTKGPDYKEGWFLRMWRMIGLVVPGLSAHSPQDYVNSTRLGSLPSHLQPQDPIHQHQLKTD >EOY16888 pep chromosome:Theobroma_cacao_20110822:8:12784060:12800689:1 gene:TCM_035832 transcript:EOY16888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLSVGSRRSKAKQSSSHCRPLVYRGEDKGELACLKVKGSQGSKASYLLYLSSGGLKRSSLRPRGSFLPYSILFPHRNVLKKKRKIVPGEGESLESRLAKLGLHCKIFLDGK >EOY16032 pep chromosome:Theobroma_cacao_20110822:8:5510344:5512182:1 gene:TCM_034932 transcript:EOY16032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative MTPPIIRTLISLSLYRSSHFQKPISSYSISVVFFSSSTTTRESKPSFDFTDHFIKKHNFSPELALKAASSLNYLRKPDKCDNVLSFLKESGFSKSHIDEAVKRKPSLLSASLEKTIKPKFKTFRGLGFSTTDIADIVSADPWILTRSADDRLAPSISGLKSIIGSNAGVVKVLKTSAWFLKADLERTMMPNIEFMRSCGISLSQIVRYVFSFPRFFLHKPESIKHFVKRADEMGFNRKSNMFLAAIRTLSSMTEENWELKLKLFRRLGFSEDDIRSAFRRVPQAFAVSERKIKEVTELLLSRKNIDISFIINHPEVLICSVERRLKPRLLVFEILVSKDLLSRKPSLTTLFKIPEKKFRDKYVRPYLKELEKASVAFVGS >EOY14468 pep chromosome:Theobroma_cacao_20110822:8:408523:410926:1 gene:TCM_033841 transcript:EOY14468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MSFAKSVGLSFFHSLLKSQLETKTVNSPLLVFRQLLQFNLKPSDLTFSLVIKASASYSLKQRLEANQIHTHLLKSGIIHSVYITTALLSLYVNLGCIKNASFLLEDMPERDVVAWNALISGYSKNGYDLYAFYLFMEMLREGFSPQTTTLVGLFPSIGRLELVSQGKSIHGIGVKAGLDRDSKVKNALTSMYAKCRDLAAAEILFEEMVEKSVVAWNTMIGAYGQNGLFDEAMVLFKKMRENTLEANSVTVMSLLSANADHETIHCFAIKTGIVIDASVITSLVCVYARSGDNESSGLLHNSLPEENLVSLTAIISSYAERGNVDMVVECFARSQQLDMELDAVAMVSILHGVKNPAYTDIGVAFHGYGIKTGLCIHSLVANGLISMYSKFNDIEAVFSLFSEMQEKPLISWNSIISGCVRAGRADDAVELFCRMKMFGHHPDAITIASLLSGCSQLGYLQFGKKLHGFVLRNNLEVEDFIGTALIDMYIKCGSIDVAERVFKSIKEPCLATWNTTITGYGVCGFQNKALAHYAELRKRGLKPDRITFLGVLAACIHSGAVDEGRRYFQIMTEEFGMAPSLQHCACMVALLSRAGLFKEALLFISNMDFDPDSAVWGALLSACCTHQEVKLGEYIAKKLYLLDHRNGGLYVLMSNLYASKGMWDDVARVRQMMKDTGGDGCSGVSLLEVTSFKEMDDNSYLWEVDHGAQSCQQTFSD >EOY15292 pep chromosome:Theobroma_cacao_20110822:8:2825787:2827881:-1 gene:TCM_034406 transcript:EOY15292 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B2, BETA 1,NFD2,NFD02 isoform 1 MKGGKSKSDTKSAKLSVNKKPATKGGRKSGKAVKDPNKPKRPASAFFVFMEEFREQYKKDHPKNKSVAAVGKAGGDKWKSMSEAEKAPFVAKAEKRKVEYEKNMKAYNKRQAEGPKADDEEESEKSVSEVNDEEDDEEGSGEEDDDE >EOY15293 pep chromosome:Theobroma_cacao_20110822:8:2826116:2827846:-1 gene:TCM_034406 transcript:EOY15293 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B2, BETA 1,NFD2,NFD02 isoform 1 MKGGKSKSDTKSAKLSVNKKPATKGGRKSGKAVKDPNKPKRPASAFFVFMEEFREQYKKDHPKNKSVAAVGKAGGDKWKSMSEAEKAPFVAKAEKRKVEYEKNMKAYNKRQAEGPKADDEEESEKSVSEVNDEEDDEEGSGEEDDDE >EOY16810 pep chromosome:Theobroma_cacao_20110822:8:11540937:11545966:-1 gene:TCM_047079 transcript:EOY16810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase MGCVQGKNSMNSPPKGLEKLKMENGYIGTGGFVGHRRSTGQRYSASGRVYRPEPPKKLNGFGSADGVVAGGKEGNFNGKEKERSDKTVEKRNCLVVGDEIVDGWPKWLIDNIPKEVLAGLVPKSAESYVKLDKIGQGTYSNVYKARDRETGKIVALKKVRFDTSEPESVKFMAREIMILLKLDHPNVVKLEGLATSRMQYSLYLVFDFMQSDLARIISRPEGRLTEPQIKCYMHQLLSGLQHCHERGILHRDIKGSNLLIDKNGMLKIADFGLANYFSPNRNYALTSRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAEMFAGRPIMPGRTEVEQLHKIFKLCGTPSEEYWKKLRLSTTFRPPQSYKPSLVDAFRNFPKSSLGLLSTLLALDPAYRGSASAGLQNEFFYTSPLACDLSGLPVIYNKDDETDEQRKYRNPKMKQRSRTLRERRKQDEVAEQKEETMFPREDTKKTAEPNVQVQEPSSSTTSTSSGSKPTQVESPSLFLSPVASSGQKISPYTHVHSSASRCIRNRPPLPSSQTRAANYQKDNGNMYRLNQVSRSASTREFRTLNQRKHLEYAIDD >EOY16666 pep chromosome:Theobroma_cacao_20110822:8:9508750:9511884:1 gene:TCM_035498 transcript:EOY16666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein, putative MEARLCASLGLPSPDPNHHPAIATDFTSLFTHFATLTSSTQNPQKPLKPSQNYLSFASTSISADAQSKWLKPNSPKPTELEIPLPFASLTEPQQPEPPKFPKWLKPTPRNSPKVQTLMKSLSVFERALIGAAGGGIAGAFTYVCLHPLDTIKTKMQTRGASEIYANTFDAIVKTFQTKGILGFYRGVSAVIVGSTASSAVYFGTCEFGKSILSKLDYPALLIPPTAGAMGNIVSSAIMVPKELITQRMQAGAKGRSWEVLLRILEKDGILGLYAGYSATLLRNLPAGVLSYSSFEYLKAAVLSRTKQTNLEPIQSVFCGALAGAISASLTTPLDVVKTRLMTQVHGNKAVAAMYSGVNATLKQILKDEGWIGLTRGMGPRVVHSACFSALGYFAFETARLTILHQYLKHKEKELSKINVALA >EOY17135 pep chromosome:Theobroma_cacao_20110822:8:18042815:18043395:1 gene:TCM_036307 transcript:EOY17135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTISTMLADIRESSGRNRVIIWWPSHAYIDHRLWPPSRLTACRRPKGSLDRARSCMRVTLNRSDSRPTLESLNL >EOY16664 pep chromosome:Theobroma_cacao_20110822:8:9457081:9462628:-1 gene:TCM_035493 transcript:EOY16664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMVKQGRKRAKRTYKLTSVTATADKPAIVDNLSVVDGSTPCPPTMIPQEGSDPPILIANPAYNHWIRQDQLLLHGIIFSATENVVPFFAFAESSFDAWTKINKLYANKSRSRMMTLREKLTKPKGSKKVSKYFQNLRSVVDELELVNSPISEDDLVIHVLNGIGSDFKEIAVGVRAQDSSITFEELLDKFTDYEEVIKKQESSTDIIIHFANLATKAISSHSDRLKHSRPSHNTKFTSPSTSSKHSSSTNFKNLEIEALLSYWWNLDSDSKPREYEPEIATSRVPTDPAIIGILHL >EOY15857 pep chromosome:Theobroma_cacao_20110822:8:4869401:4874214:-1 gene:TCM_034801 transcript:EOY15857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly polymerase 1, putative MGTCFSLNSRTALSESAAPPTAQVVSLNGDLHKYNIPVLVSQVLQAEAAASSSSSSSLPSSAIFLCNSDRLYYDDYIPALDIDHQLQANQIYFVLPNSKLQQRLTSKDMAALAVKASVAIQNSSKNESHRRKKARISPVLLVAQSLPVVDKDDAPTAPKSFAEPRPRLSRSASIRKLHRYTSRRAKLAVRSFRLRLSTIYEGSVL >EOY15513 pep chromosome:Theobroma_cacao_20110822:8:3576437:3577125:1 gene:TCM_034544 transcript:EOY15513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEWHWAGSLYGTLIVERSPPLNTSTTTATAAANREQKTSVMSRTKKDCLAFALSLQEGCRYVKAILVGQAKKLKARNEKEVMAAELQAQKWQVEAADEAENAKERIYKSM >EOY16305 pep chromosome:Theobroma_cacao_20110822:8:6630846:6633540:-1 gene:TCM_035126 transcript:EOY16305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFFFVGGLEQQVRQVLQSGLGRCINCNSRADLVEYEKVLKLFFVPVWRWPGKDPLMHCNNCNLFFPRDLSYTPPKVDSSSAAVSEALRCRFCDRLVEPEFRFCPFCGSAV >EOY17493 pep chromosome:Theobroma_cacao_20110822:8:21137736:21141452:-1 gene:TCM_036696 transcript:EOY17493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSQQNRTCKWEAPKERKLNVDATIFEITGERWLGAGFVVRNVSGEVELAGTRRMLIGQTMEEVELAALVWSLCCCQRENIMIKEIDMDCKVVVDWIKGRHLSGILGHIVEDYLNLMVSINCDASLHCPREGNEVTHLLAKRAKDMSEEIMAWFDLSHMLDDIQLVIIREASSSFEGG >EOY17097 pep chromosome:Theobroma_cacao_20110822:8:17855368:17856932:1 gene:TCM_036275 transcript:EOY17097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-2 MASFEEAPPGNSKAGEKIFKTKCAQCHTVEKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNMAVNWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKESTAP >EOY16793 pep chromosome:Theobroma_cacao_20110822:8:11222707:11235948:-1 gene:TCM_035673 transcript:EOY16793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKGLFLPMARPIDGHFLASQPLNAPITLEEWTSKPIKISQSSSTARCLRCYLHDKTFNQKEFITLASHIISGDMKMADAIYASLYTNDLNKDVIRAFCESWCLTTNTLHTVFGKASLALWDLHKLGGLPIHGWFYDEVIPSTKEFIGIGANGRRFLPKSCEYLFVAFHYLHKRNDDKGAFDVD >EOY15435 pep chromosome:Theobroma_cacao_20110822:8:3260562:3263280:1 gene:TCM_034496 transcript:EOY15435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILQALPGTRSSKETQLLWVEIRLSSLSKRKCLVPGADLGLSGFDESSQFQPLERANLVKESRISGSRESSASKTRHLVRKRWNFLPRKIRRGCMQGNEASMPLQKHGKFVFVSNSRMLIPCNICSNCKNRVVQRWVNSSIPRS >EOY17209 pep chromosome:Theobroma_cacao_20110822:8:18410296:18413717:1 gene:TCM_036364 transcript:EOY17209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MELESCVPPGFRFHPTEEELVGYYLRRKINSLKIDLDVIIDIDLYKMEPWDIQGRCKLGYDEQSEWYFFSHKDRKYPTGTRTNRATAAGFWKATGRDKAVLSKNKIIGMRKTLVFYRGRAPNGRKTDWIMHEYRLQTFEHGPPQEEGWVVCRAFRKPSPNQRQGFEAWNHAYYIRDNSHVRPPMSISDIVSTSHHLHPNEGSSFHDQSYGSEQELVSNHNVLDSQQVVELPQLDSPTTVSPSLAAKEGFQRNFVTNYEDCEEEKSNNSSQYIDWKHLDNFLASQLTDTTSYPYQTAPLIPQNYELDAQEHVSHLLGCFPGS >EOY17403 pep chromosome:Theobroma_cacao_20110822:8:20045439:20046189:1 gene:TCM_036567 transcript:EOY17403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAWVCMNEFVTVKMGFRGIDVMELDTALSSNLTLISLGVHETRAGNSWTAISRHADSFKEFSVNDVLGQH >EOY15525 pep chromosome:Theobroma_cacao_20110822:8:3629364:3631622:-1 gene:TCM_034552 transcript:EOY15525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIYTVSVDKAGLVANSFRELLKVSNQGRLDKDHLHCYYCRKLWHAKETCWKLNGQPTRSRGGKRMGSTRPQANLFEVVEISKETSIGSLSNEEVQTLRCLLSQLDSQSTIVASSNFVKIDNDKVRITDRSLASISGTGLENRENN >EOY16587 pep chromosome:Theobroma_cacao_20110822:8:8711287:8712950:1 gene:TCM_035396 transcript:EOY16587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCGERLEGMPQATKGIPINILFYWIVLPAAWLQQLLCMNYLDAFKKTKQGKEENALIIQRLRSQDPRFLKRQNIPVPSDVPSKDRICPQILPINKQPFQTLNPVISFVQRFFLFPSLLQNPKHPFSFLVFSSCRCRSIQSLYTWKQRLKMQSELLNSRPCLYV >EOY15703 pep chromosome:Theobroma_cacao_20110822:8:4333177:4335942:1 gene:TCM_034689 transcript:EOY15703 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MANPEDPTDSSFSFSEFPEDIQLCILSFLSPSEVANFACTSKRFVSLFRNDAKLWFAMCQRRWGSKTQIKKWGGGNVTYKHLYRTLTEWENLIGFWRLCSRPDFSGQCPRLIIFEWGPSFLSGSRVCPSKNSTYHVTKAPFLWMGISPDGQIVNFLDLEGQTEIPYGNFDSWLEFVCLDQNLVPVNVNFMGNDHFIVEENSTFWHRSKNKDGFRRSSSSTNLIEDSEEVIVVGAESGTPGSLPDRLVSEMYTHFANRTSPVGDRASRRQRKKEKERQERRKWEPEHFLKVVDCLPTADKPLQGLWKGISGDMNLDFYLVKYDEIGGIICQRVGDLSSSYAPPVFWTSEPMFMESPFSLEEEHIYDDRIHLKPLAAEDRVHSQHAVTGIEMVSHILHINSSYNLTVPGLVGSTGLQRGEGRIWQYRNGTFGFGFLQDHFIIDLNHISHDGFLLDSMNLL >EOY16703 pep chromosome:Theobroma_cacao_20110822:8:10107305:10108569:-1 gene:TCM_035557 transcript:EOY16703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol-cytochrome C reductase hinge protein MADEEPTDQKKYLEDICKSQCAKPMHDYGECAKRVSGDESGSKHCTGQYFDYWTCVDKCVAPKLFAKLK >EOY15766 pep chromosome:Theobroma_cacao_20110822:8:4569148:4572681:-1 gene:TCM_034734 transcript:EOY15766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERRTAVLWGLVCLCLWFFSYVVEAKREGRFLSDVNRGMNKGLGHRHGEDFGYPVTAYSGYGGGSGGGFGSGGGPGGGFGSGGGIGNGGGGGYGSGGGFGGGSRQGGGYGSGGGFGGRGGGGYGSGNEGGDGGGWPGNGGGTGHGSSGGGNGRGGYGHGKDHLQK >EOY15641 pep chromosome:Theobroma_cacao_20110822:8:4085137:4088565:1 gene:TCM_034640 transcript:EOY15641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 1 MGGEDADGNKSKQWSSPNRTYKEKHEDPKLWGIFLFGLIGATATTFALARSQSSRRGAGSSFRTSFQEEAWRRYNRRMQEEYEEEMERVERIRRMQSVFNRERNKYKRSYESWKENGPGAYHQHFQRDDWYWKADTSYRDQSTNYRRPQRDSASYPLSHHYSILGLDRSRTKPYTEDEIKTAFRAKAKEFHPDQNQDNKEAAEAKFKEVMTSYEAIKQERKNMRL >EOY15640 pep chromosome:Theobroma_cacao_20110822:8:4085146:4088496:1 gene:TCM_034640 transcript:EOY15640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 1 MGGEDADGNKSKQWSSPNRTYKEKHEDPKLWGIFLFGLIGATATTFAVSQLRRSVDWIYTQLARSQSSRRGAGSSFRTSFQEEAWRRYNRRMQEEYEEEMERVERIRRMQSVFNRERNKYKRSYESWKENGPGAYHQHFQRDDWYWKADTSYRDQSTNYRRPQRDSASYPLSHHYSILGLDRSRTKPYTEDEIKTAFRAKAKEFHPDQNQDNKEAAEAKFKEVMTSYEAIKQERKNMRL >EOY15184 pep chromosome:Theobroma_cacao_20110822:8:2508048:2511225:-1 gene:TCM_047069 transcript:EOY15184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Repressor of lrx1 MEADAKPKKSGPRLCCICNQRRAVLKRPKTLEQICRECFYEVFEEEIHQVIVENQLFKPGDRIAIGASGGKDSTVLAYVLSELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNELQYGLPLKIVSYKDLYGWTMDEIVKMIGLKNNCTFCGVFRRQALDRGAALLKVDKVATGHNADDIAETVLLNILRGDIARLSRCTSITTGEDGPIPRCKPFKYTYEKEIVMYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERIRPRAILDIITSGENFRISTSTKMPEQGTCERCGYISSQKWCKACVLLEGLNRGLPKLGIGRSRGLNNDIKKDTKQGGGTKSIESKQCGSLDF >EOY15558 pep chromosome:Theobroma_cacao_20110822:8:3732118:3733862:1 gene:TCM_034574 transcript:EOY15558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta HLH protein 93 MEFNEQSFLEELLALRRDSWDTIPTEMNEIFSSGWNFDCFDENPASFLPNSFCQEFSVPFQQDFNYNFNEVHCPFGDEFSAPQVTDSSNNTFDTPPFPVQEDYSLNMVEEGESGFLADELHKLDVQAACKAEPIQSPEEPVFNMGTCIDRKNRAKKLAGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERIKSLQQEIEAGSNELNVAHIFKDVKPNEILVRNTPKFEVERRNVDTRIEICCTGKPGLMLSTVTTLESLGLEIQQCVISCFNDFAMQASCSEDLEQRTLLSSEDIKQALFRNAGYGGRCL >EOY15446 pep chromosome:Theobroma_cacao_20110822:8:3334609:3338407:1 gene:TCM_034508 transcript:EOY15446 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein MKQSYNYNHQIHQSHRSAFAALFLLLLPIFLPNLFAPLGRASPSLFSEWNAPKPRHLPLVEVALHRKSSFKQQSDLWSPLPNQGWKPCIDSANIPRKCLEIEMGIFFFLISLFSLLEVLPEKSQGYIQVFLDGGLNQQRMGICDAVAVAKVLNATLVIPHLEVNPVWQDSSSFTDIFDVDHFINVLRDEVSIVKELPREYSWSTREYYATGIRATRIKTAPVHASANWYLENVLPVLQSYGIAAISPFSHRLAFDKLPMKLQHLRCKVNFQALVFVPQIRSIGETLVHQLRYPSGKLQASAVEVLRGRIDDTEKEGAGKYVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVLWQGRVLNSQFTDKELRNQGRCPLTPEEIGLLLAALGFNNSTRLYLASHKVYGGEARISTLRKLFPLMEDKKSLASVKELAEIEGKASLLAAVDYYVSLQSDIFISASPGNMHNALVGHRAYLNLKTIRPNMLLLGPLFLNKSMEWSEFQHAVINRHKNRQGQIRLRKEEQSIYTYPAPDCMCRA >EOY16252 pep chromosome:Theobroma_cacao_20110822:8:6422823:6426332:1 gene:TCM_035090 transcript:EOY16252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein MGDQTPCAALSNTLNKVKPYLAMVSLQFGYAGMYIISMVSLKHGMSNFILATYRHVVATIVIAPFAFVLERKIRPKMTLPIFLRIVLLGFLEPVLDQNLYYLGMKYTTATYASAFVNMLPAVTFILAMIFRLEKINVKKIRSLAKVVGTAITVVGAMVMTLYKGPIIDFIKSGGATHSGTTTESADRHWVTGTIMLLGSIFGWSSFFILQSFTLKKYPAELSLTAWICFMGMVEGTGVSLIMVRDFSAWKVGWDSRLLAASYSGIVCSGIAYYVQGVVIRERGPVFVTSFSPLCMIITAALGVVVLAEKIHFGSILGAIIIVSGLYIVVWGKSKDAKNSETDEKSIEQELPITNNARSIRVDDGIDGPPKIVNIPAAKSPFSTQGT >EOY15529 pep chromosome:Theobroma_cacao_20110822:8:3657930:3663154:-1 gene:TCM_034556 transcript:EOY15529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 2 MSSLEIEYNADVVSAVDEDDVSPVEEVRLTVSNDDDTTLPVWTFRMWFLGLFSCCLLSFLNTFFSYRTEPLQVTMISIQVASLPAGKFLARVLPTTKFKIPGFGNREFTLNPGPFNVKEHVLISIFANAGAAFGGGTAYAIGIVNIIRAFYHKKISFLASWILVITTQVLGYGWAGIMRKFVVEPAQMWWPSSLVQVSLFRAMHEKDSKRMSRGKFFLIALICSFSWYVFPGYLFPTLSAISWVCWAFPKSVTAQQIGSGMDGIGIGSFAIDWSVIASFLGSPLVTPFFAIVNIFVGYALFMYIILPIAYWGVNVYNAKNFPIFSSHLFNHKGEPYNVSGIVNDKFEIELPAYEQQGRVNLSVFFSLTYGIGFAAIIATLSHVAVFNGKEIYAQLQASFKGKEDIHTKLMKKYKNIPNWWFHLMLVLTLLLSLVMCIFLKREIQMPWWGLIFAAGLALAFTLPISIITATTNQSPGLNVITEYIMGYILPGKPIANVCFKTYGYISMAQAVSFLNDFKLGHYMKIPPRSMFVVQAMGTIIAGTINLAVAWWLLTTVENICQDQLLPPNSPWTCPGDRVFYDASVIWGLVGPKRIFGPLGNYAALNWFFLGGALGPVVVWLFHKAFPNQKWISLINLPVLLGATAAMPPATSLNFNCWIIVGVIFNYYVFKYRKGWWQRYNYVLSAALDAGLAFMGVLLYFTLTMQGISISWWGAEGEHCDLASCPTAKGIVVDGCPVY >EOY14638 pep chromosome:Theobroma_cacao_20110822:8:810765:815088:1 gene:TCM_033952 transcript:EOY14638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDLFHPFPPIPFVIATVFAFPLFLFSLLWISKSVKNRDKRRAAPEAGSSWPVIGHLHLLGGPQPAHIVLGDMAEKYGPIFTIKMGVYRALVVNNWETAKECLTTNDKAFASRPKTLAMELLSYDHAMFGFAPYGPYWRQMRKVATLELLSSYRLDRLKHVRQSEIKTSLKELYQHWSENKDSSDKVLVEMKAWFRDVTLNVILRMIVGKRIPSSDSDAEGERWKDALRDFFDLSGKFVISDALPFLKWLDIGGDERFMKKVTKELDEVAEGWLQDHKRMKASGNQESDQDFMDVMFSILSDVGKHDADTINKATCLAMILAASDTTMVTLTWALSLLLNNRGALKKAQEELDIHVGRDKLVEESDIKKLVYLRAILKETLRLYPAGPLSLPHESMEDCIVSGYHIPAGTRLLINVYKIHRDPRVWSDPCEFQPERFLTTYKDFDVRGQNFELIPFGSGRRMCPGVSFALQVLELTLANLLQGFELGTPLDEPVDMSEAMGLTNLKASPLEVLITPRLPAVCY >EOY16708 pep chromosome:Theobroma_cacao_20110822:8:10168704:10172800:1 gene:TCM_035565 transcript:EOY16708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein isoform 1 MLGCGDTSVSVLGSSSGGGGGDVAAAAAVATTVSSSGALDGRSEAAANMLGSNGNNNNNNNNTNNNSGDDDRGRVDEGDRSFGGNRWPRQETLALLKIRSDMDVTFRDASVKGPLWEEVSRKLAELGYHRSAKKCKEKFENVYKYHKRTKDGRTGKSDGKAYRFFDQLEALENISSIQSPAAPPPPSPQLKPQHQTVMPAANPPSLSHITIPSTTLASLPQNIVPPNASFTVPSFPSTNPTIQPPPPTTNPTIPSFPNISADLMSNSTSSSTSSDLELEGRRKRKRKWKDFFERLMKEVIQKQEDMQKKFLEAIEKREHERLVREDAWRMQEMARINREREILAQERSIAAAKDAAVMAFLQKLSEQRNPGQAQNNPLPSQQPQPPPQAPPQPVPAVATAAPPAATAAPVPAPAPPLLPLPMVNLDVSKTDNGDQSYTPSSSSRWPKVEVEALIKLRTSLDAKYQENGPKGPLWEEISVDNSSNELKPENSVPLLVRPEQQWPPPPSEPDDHQHDHATEDMESEQNQDEDEKDGDDEEEDEGGDYEIVASKPVSMGTGE >EOY16707 pep chromosome:Theobroma_cacao_20110822:8:10168801:10172953:1 gene:TCM_035565 transcript:EOY16707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein isoform 1 MLGCGDTSVSVLGSSSGGGGGDVAAAAAVATTVSSSGALDGRSEAAANMLGSNGNNNNNNNNTNNNSGDDDRGRVDEGDRSFGGNRWPRQETLALLKIRSDMDVTFRDASVKGPLWEEVSRKLAELGYHRSAKKCKEKFENVYKYHKRTKDGRTGKSDGKAYRFFDQLEALENISSIQSPAAPPPPSPQLKPQHQTVMPAANPPSLSHITIPSTTLASLPQNIVPPNASFTVPSFPSTNPTIQPPPPTTNPTIPSFPNISADLMSNSTSSSTSSDLELEGRRKRKRKWKDFFERLMKEVIQKQEDMQKKFLEAIEKREHERLVREDAWRMQEMARINREREILAQERSIAAAKDAAVMAFLQKLSEQRNPGQAQNNPLPSQQPQPPPQAPPQPVPAVATAAPPAATAAPVPAPAPPLLPLPMVNLDVSKTDNGDQSYTPSSSSRWPKVEVEALIKLRTSLDAKYQENGPKGPLWEEISAAMKKLGYNRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYREKNKLDNSSNELKPENSVPLLVRPEQQWPPPPSEPDDHQHDHATEDMESEQNQDEDEKDGDDEEEDEGGDYEIVASKPVSMGTAAICPASGSGSGNGALEWRHLN >EOY14422 pep chromosome:Theobroma_cacao_20110822:8:283984:284663:-1 gene:TCM_033813 transcript:EOY14422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ralf-like 34, putative MASTYIRVLLIISMLLLTLSSAQADHTSFQLMTEETEWPWTMSVYDELGANEEEEGGIARRSLYWKRMHYYISYGALSANRIPCPPRSGRSYYTHNCFKAREPANPYTRGCSRITRCRR >EOY15750 pep chromosome:Theobroma_cacao_20110822:8:4509489:4510795:1 gene:TCM_034720 transcript:EOY15750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Salt tolerance zinc finger, putative MALEALNSPTAATAPFHFEDSNLNCLESFTKRKRSKRPRFDYVATEEEYLALCLIMLARGGGATSTSSIPIRHRSPSPVTAPPPAPAPTSATSEPKLSYKCSVCNKAFSSYQALGGHKASHRKLSGGNDDQSTSTTTSATAGGTTSAASNPSGRSHECSICHKSFPTGQALGGHKRCHYEGGAGNSASASASASASASASGVTSSEGVGSTNTLSHISNRGFDLNLPALPEFSPAFFVSGDDEVESPHPAKKPRLLMPHKIEVN >EOY15808 pep chromosome:Theobroma_cacao_20110822:8:4713728:4714955:-1 gene:TCM_034766 transcript:EOY15808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVEWTNPMEGFMKFNVDGAASGCPGEAGIGGILRNSVGETKMMFSKAIGRGDANLAEVLAIRQAFMMFAASNWNESHFLVIESDSFNAVSWIKSPSQAPWRMRKWILQIETLKRKVKKWEIIHVRREANQQADSLAKAGIGRATDLVNFWTEVRDSASAGNGNLR >EOY16221 pep chromosome:Theobroma_cacao_20110822:8:6290544:6300868:-1 gene:TCM_035064 transcript:EOY16221 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein MAAAAATYSSIILGKTPVFHFKNPQKLFSKTLIFYSHKSLAPSNSLHSQRVNSLHPKSSTQTRHPIQELLLLLAFSLTLMCFRLFSNALLPDFPLRWQSLIAFSHEAEAQTKAYPKHLWEAIVAYEDRRFFRHFGLDPVGIGRAVLSFSALGGGSTITQQVGKVVSMHGNTYPIRIDEQFLSASYFHFIDKGGAFLFSRNGTFKAAIHNPEAQTNFYLCVIHVESNTIIWSANRDSPISNSGKINLTITGISIADPDGNLKWSTPQLQATVYALLLTEMGNLVLLDQFNGSLWESFHYPTDTIVIGQQLPVGANLSSAVSENNLSAGDHRFMISASDAILQWHGQAYWKLSMDTKAYMNSNYVVEYMAINKTGFYLFGQNGSAVVIQVKLLLTSFRIAKLDVSGQFTVSSFSGGKWVQEFVGPIDVCRIPTICGKMGLCLGDSTSNASTCSCPSDFHSASQNIGGCLPSDRSYSLPTACDSTKNFSETNSSAVSYLSLGSGMNYFSLVFSQPIRYGVNLSVCQDLCFGDCACLGIFYDNSSGSCYVLENDLGSIIWSDTVENDLWGYVKVLFGPTSTESGGNNGFSNQRKEFPIAAIVLLPFTGFFLLAALGFLWWKRLILNRTGEIKLGHLNSVSSGDLDAFYIPGLPQKFDYEELEVATDNFKTKIGSGGFGTVYKGTLPDKTVVAVKKITNPGIQGKKEFCTEIAVIGNIHHVNLVKLRGFCAQGGQRFLVYEYMNRGSLDRTLFGSGPVLEWQERFDIALGTARGLTYLHSGCEHKIIHCDVKPENILLHDHFQAKISDFGLSKLLSPEQSSLFTTMRGTRGYLAPEWLTNSAISEKTDVYSFGMVLLELVSGRKNCSSKSQSHSIDVTNSGGGNSSSSSVTGLVYFPLFALEMHEQGRYLDLADPRLEGRVTNKEVEKLVRVALCCVHEEPALRPGMATVVGMLEGGIRLGQPRVESLNFLRFYGRRFTEASMIEEENGNSDFMLYQQANASQSSTTGSNTCFSYLVKNTFLKNERTFLRKILEMVLALALERTMSKQGILSSYVCKIYWGHGINGIESASNLYFGKHPSLLSLAESAMLAGLIPAPELRSPLRDKSSGKTFQARVLKRMVEVGSLDIETALSIVRQPHYLHLNRPENTDGLSYLFSFFGLGLGENNKLNQAGKESTCEGTWDWERESKIWEVREEMERWAAKVQVPCRTSVKASRLK >EOY16496 pep chromosome:Theobroma_cacao_20110822:8:7755902:7764277:-1 gene:TCM_035282 transcript:EOY16496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target of Myb protein 1 isoform 1 MANNAAACAERATSDMLIGPDWAVTMELCDVINMDPGQAKDALKVLKKRLGSKNPKIQLLSLFVLDSLSKNCGEHVFQQIVERDILHEMVKIVKKKPDLNVREKILILIDTWQEAFGGSRGRYPQYFAAYNELRAAGVEFPPREENSVPLFTPPQTHPVTHHPASAYEDAAIEASLQSDASGLSLPEIQNARGLADVLMEMLSALDPKTPEAVKQEVIVDLVDQCRSYQKRVMLLVNNTTDEELLFQGLALNDNLQRVLCRHDDIMKGNTVTTGAVVETPVVPLVNVNHEDDESEDEFVQLAHRSSRDNSQGQGRRAPNNEPGRVGPLLPPPPSSKRPVARNASMVDYLSGDAYKSEDSPERSEPTPYSVPTHTYANPSPQLISTVAPSSPPSRSVDSGSLPVFSGQPIYDKPGSLSKSADAEQLPPAPWDSPTVNLPPPPSKYNQRQQFFEQQHSYTGGASHSNNGSGSSNDSLVGQTQNLSLNSSTPSKQVKPEDALFKDLVDFAKAKSSSSSKPNRSF >EOY16497 pep chromosome:Theobroma_cacao_20110822:8:7756193:7763391:-1 gene:TCM_035282 transcript:EOY16497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target of Myb protein 1 isoform 1 MANNAAACAERATSDMLIGPDWAVTMELCDVINMDPGQAKDALKVLKKRLGSKNPKIQLLSLFVLDSLSKNCGEHVFQQIVERDILHEMVKIVKKKPDLNVREKILILIDTWQEAFGGSRGRYPQYFAAYNELRAAGVEFPPREENSVPLFTPPQTHPVTHHPASAYEDAAIEASLQSDASGLSLPEIQNARGLADVLMEMLSALDPKTPEAVKQEVIVDLVDQCRSYQKRVMLLVNNTTDEELLFQGLALNDNLQRVLCRHDDIMKGNTVTTGAVVETPVVPLVNVNHEDDESEDEFVQLAHRSSRDNSQGQGRRAPNNEPGRVGPLLPPPPSSKRPVARNASMVDYLSGDAYKSEDSPERSEPTPYSVPTHTYANPSPQLISTVAPSSPPSRSVDSGSLPVFSGQPIYDKPGSLSKSADAEQLPPAPWDSPTVNLPPPPSKYNQRQQFFEQQHSYTGGASHSNNGSGSSNDSLVGQTQNLSLNSSTPSKQVKPEDALFKDLVDFAKAKSSSSSKPNRSF >EOY17150 pep chromosome:Theobroma_cacao_20110822:8:18081770:18088619:1 gene:TCM_036316 transcript:EOY17150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRAATKFAEFINEMKLVDLPLIDSKFTWSNNREELTFRKLDCFLVTTNLLAAKENLIQKCLRISISNYNPICLRAGMVD >EOY17145 pep chromosome:Theobroma_cacao_20110822:8:18058399:18059843:-1 gene:TCM_036311 transcript:EOY17145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLKLGGCWCLNVAGDLRFESAKLTSKAEMMIGPLKRRAALFLSHHTKRGI >EOY15463 pep chromosome:Theobroma_cacao_20110822:8:3398232:3403275:-1 gene:TCM_034518 transcript:EOY15463 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MVSAALESPVSGRRLSSVVPAEVTGDNKDFELSSMDLAMKLHYIKGVYFFQSEAVQGVSGSDLKEPMFRWLEFYFTTSGRIRRSSGTGRPFIKCNDAGVRIVEAHCDSKTIDEWLATSDHSLDHLLAHDQVLGPDLGFSPLVFLQFTWFRSGGVSIGLSWAHVLGDAFSASAFINLWGQIMAGQVPPKSLQVHNPENSELPTSICRKPFSLKKVDPVGDCWLTANNHNMQTYSFHVTEKQLHHIVSTTRDPGQTDSISHFEILSSIIWHSLSKLREDMGPRIVTICSNNSLIRENEMPTNGMIFSTVEADFCVSKGEITELAKLIAEKRMPENGLVEELLRDEARSDFIVYGANLTFVDLEEANLYGLELKGKRPMFVNYTINGVGDEGTVLILPGPENEGGSGKIVTMTLPEDQLAKLKNILGHDWNIAKPT >EOY16226 pep chromosome:Theobroma_cacao_20110822:8:6314865:6316455:1 gene:TCM_035068 transcript:EOY16226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUV420H14-20, putative MRGVGGPLLTIGDLLSDVGDESGAAPDHLHHHDTTLPSPSSSIFDANDVPQSLDLTKLFQENYEKLNEALSGSDHSWTALTLKLCTALETANKLVQSTDTNIRLLSEKVAELEKIVKRGDSAITAARAISIALNQKGGSSVGSQEKEQSRSHQEHVKS >EOY15612 pep chromosome:Theobroma_cacao_20110822:8:3942554:3943441:-1 gene:TCM_047070 transcript:EOY15612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-specific 50S ribosomal protein 6 MPIISVTSAPKVTFIPNSISSTPSFRTPSLPSWTISNGGLVIECSSRPQKKATKHHMKTRPRKTQAWDIRRKPTVYAPLPPLPPDWTLVSSAGDNDGRGDVGAVAEVGLAGSALQAPLSSG >EOY15799 pep chromosome:Theobroma_cacao_20110822:8:4680617:4683905:1 gene:TCM_034757 transcript:EOY15799 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 104 MGRVKLQIKRIENTTNRQVTFSKRRNGLIKKAYELSVLCDVDVAVMMFSPSGRVSLFSGNKSIEEILGRYVNLPEHERGRLRHKEFLLKALGKLRDEADQTCQAASPVSTDSQLEEFQQEILKCKSRIVDMEKRLRVFEGDPFEITTLCQAEFHEQILEETLKQVRLRKQVLQEKYSSPGPPPTTQVHLPPETADVNGFVTGSSSSILEWIPQRDPQIQILNFLGSNGLLRPRDQSQPGAENILPPPQSTLLRGEEINVDDQLSPRSGLENDNIVQRPEFGQVVDVNLSPWTELYPTGDDSFPDAQPGGRALLELYLSQFTPSSISTMNQHQT >EOY14897 pep chromosome:Theobroma_cacao_20110822:8:1600306:1602532:1 gene:TCM_034140 transcript:EOY14897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Papain family cysteine protease MGATAIISPYGPSFKPHFAKLLPLALSKMDRLSLLPVLLLFLLSPVVASAVVSDVVSEDDPLIRQVVSNGAGEDSDDHLLNAEHHFTLFKSKYGKTYATQEEHDYRLGVFKANLRRAKRHQLLDPTAVHGVTKFSDLTPSEFRRQFLGLRPLKLPADAQKAPILPTNDLPTDFDWRDHGAVTGVKDQGSCGSCWSFSTTGALEGAHYLSTGELVSLSEQQLVDCDHECDPQEYGACDSGCNGGLMTTAFEYTLKAGGLEREDDYPYTGNDRGACKFDKSKIAASVSNFSVISVNEDQIAANLVKHGPLAVGINAVFMQTYMKGVSCPYICGRHLDHGVLLVGYGSAGYAPIRFKEKPYWIIKNSWGENWGEEGYYKICRGRNVCGVDSMVSSVAALQTKSQ >EOY17095 pep chromosome:Theobroma_cacao_20110822:8:17846694:17850033:-1 gene:TCM_036273 transcript:EOY17095 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-like carbohydrate kinase family protein MANSFASLNPQLIVSFGEMLIDFVPDVAGASLAESSAFLKAPGGAPANVACAIKKLGGNSAFIGKFGDDEFGHMLVDVLKKHGVNTDGICFDPHARTALAFVTLKKNGEREFTFYRNPSADMLLKESDLKISMIKQAKIFHYGLPLWPSPQAARDGILSIWNYADFIKVSDDEVAFLTQGDPGREDVVLSLWHQNLKLLIVTDGEKGCRYFTKHFKGKVDGFSVKTVDTTGAGDAFVGSILVSLAMDTKFFHDEGRLREALLFANACGAICVTRKGAIPALPTRSDALGLIKSKGMPLLTKTCMLFSSLAALVSILVFLLYKAK >EOY16807 pep chromosome:Theobroma_cacao_20110822:8:11496961:11497562:1 gene:TCM_035696 transcript:EOY16807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRLGRTLNQEIAHIVGWPTYDARFVWVGYGTGLRALAASFVVVVRVVAGCGRHGSTWRGFLGVGVFTSYLLAAIEILNVKFQSNYHTYQY >EOY15195 pep chromosome:Theobroma_cacao_20110822:8:2544228:2549697:1 gene:TCM_034344 transcript:EOY15195 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MVNLKLKAVVSLDTEVQETLRHVNSPPELHELQKDTKNNRKDRKKAVRKKMKGSSMKLSEIIERWKRRKKGHFAIYTREGKRFVVPLYYLNHPIFRVLLEMAEEEFGTANHGPLQVPCEEELMDYILSLLRKNPSVNVDKALASITTCRGASISSFLSQGEHQSMVS >EOY14742 pep chromosome:Theobroma_cacao_20110822:8:1142799:1148726:-1 gene:TCM_034025 transcript:EOY14742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKRSDFAQKLLDDLRLRKERMAASQNSKGTNPMVADAHAYSKRSYKSSREPKPLKTTGFRAGSAQNRSSGGSKLVTTGQVSNQIVPFGSGQKSQQIGGLSMALAFALENGGKLSRTDSSGNSSVFSFLHNIGRRQMDYGKMERRNSVVSRHQPSSSQLPTLSHLHIEEISRGAQKLNQILRACSNGLNFDRYSIEIGQELLKGAMDLEESLRMLVNMQEASEYLTTPQRKSRITLLEEEEEEDENTVRIADQKQLDRPKFSFDRPSRTYNDIQEVARTDLKLRLAALTYSPEVTNSKHEKKVLAVSNSHSHKRSVSYGPDIKNLTAFSEQNHSSSLPSKQEKSRIPNVIAKLMGLNELPGNVDSKVTSQKESGKQKVEGVITKKPARETTKKAGQRTNDTANPVLPPVKQKVILASKIPLIQDTVTSPAGKTLTTRNGGTRVAVHDKLPPRKDLEDMKSVTSSRKANITIDKQQSDHAQLNYNYGSRKENQEKDRKQDNIKHREQRGAERSESKEPVFKDEMQQMIPYMHKRSESALTLKEKTEFSESMHHMENRYSNKLLLGNQQKLQNNHGFQHVHMLQKSELQEKKRRTEEKEQHSTKQKLQGKKQKGNEPVSGNFSKPMSGATNLQNKQPQMSHAATTRTGSTEYTDATQFNGFPDGRHQENPARDRSSINLNFKIKDSINRKSSQHYSRGDIESESAKARILFAVDEKPPQVQTTMKAKSAKGHKLEVPRKIDEVMTKKGGSVTNLPRMLKHQSSILQEGKQTRQEKLAISREADQMKASRFEEVETEITRSKRSVASLQPSSVAQELQKAAQKNSILCSPVEDDSQSLNESQALAPKDRCQNTVPMATNEQQDQEPDLGRAKEHTVRNSATDLLQRTQEESTEISYTPQSQAQRTYTSEMPEPLTESENQLKQILMKSQLFMNTAEALFKLNIPISILHANGLDYHDQESKLVLDCGYEVMKRKGRRQELSVHPFLKVSITSKKAKSLDELVKQMCKDFDKLKLYGRDGREDSSFEDYLPKMLEADVYSKEPDVNCMWDLGWNCVIFAFLEKDDVIRDVEKYVLNGLLDEITRDLFKGISASVK >EOY16094 pep chromosome:Theobroma_cacao_20110822:8:5770455:5771343:-1 gene:TCM_034972 transcript:EOY16094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein MGVEGTLEYISDLLSSVKKKKKKHTQTVALKIRMDCEGCARKVKKVLSGVKGAKSVDVDLKQQKATVTGYVEAKKVLAAAQSTKKKVELWPYVPYTLVANPYVAQAYDKKAPLNHVRAVPLTANITETTMDDGYTNMFSDENPNACSIM >EOY15098 pep chromosome:Theobroma_cacao_20110822:8:2238336:2240015:1 gene:TCM_034271 transcript:EOY15098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFRNAALIDLTEYLLDHGGHWADYITRHFTRPQKCEKDTKNGSWRGLKAMSDEAGQLSTCEKGLAELSKWISPESPTDRRSSVLVSQSLAVCKHVFVALNVQGRTTGSKKMIL >EOY15695 pep chromosome:Theobroma_cacao_20110822:8:4308803:4312965:1 gene:TCM_034682 transcript:EOY15695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKQGKGSENIFLALLFHVFSVVSGRIWLTILQLEMDAKHPKENQSKILMLLASNLMVGIVIGLFLPLIIATGLVSLSFFFNYPMPPESELNSSDNAECKETRFPTWFTASACILCWILVPIPWKLLNDYCGKLLKHPEENAFLAFVQLALYTLGFTYSLVLVFYFGSCTVFDAQKNEIRKKSLDTTKISPISISENGKKSLEAVKISPISNIEIGKKSVNTAKIFPISDIENVQPKS >EOY15589 pep chromosome:Theobroma_cacao_20110822:8:3863826:3868170:1 gene:TCM_034601 transcript:EOY15589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein, XI-23,RLK7 MSTAFSYRQIFLSFCLLFCFCLPFCVKSDELQALLNLKSALNRSSTPNVLDSWEAANHVCSFHGITCNAEGSVKEIELSSQKLTGVLPLDSICQLPSLDKLSLGHNLLYGAITKDMSNCVKLQYLDLGNNLFTGSFPDISALSELQYLYLNGSGFSGTYPWKSLENMTNLVVLSLGDNPFDRTPFPDDILKLKKLNSLYLANCSIEGTIPPAIGDLTELKDLELQYNYLSGEIPVEIGKLHKLWQLELYSNELTGKLPVGFRNLTNLEYFDASTNHLEGDISEVRYLTNLISLQLFENNFTGEVPPELGEFKKLVNLSLYTNMLTGPLPQKIGSWAEFVYIDVSENFLTGPIPPDMCKKGTMRAVLMLQNNFTGGIPATYASCTTLKRFRVSYNSLSGRVPAGIWGLPKVDIIDISFNQFEGSITSDIKNAKAIGILSAEHNLLSGELPEEILEATSLVRIDLNNNQISGKLPHGIGELKSLSSLKLQNNRLSGSIPESLGSCASISNINMASNSLSGKIPSSLGSLPTLNSMNLSRNELSGKIPESLSSLKLNVFDLSYNRLTGPIPESLSIEAHHGSLAGNPGLCSPTITSFKRCPPDSGMSKDVRTLTVCLALGATILLASLGCFLYLRRTEKDHDRSLKEESWDFKSFHVLTFTEDEILDSIKQENLIGKGGSGDVYKVMLSNGVELAVKHIWNTDSNGRRKSQSTAPILSKRAGKAKEFDAEVQTLSSIRHVNVVKLYCSITSEDSSLLVYEYMPNGSLWDRLHTSRKMELDWDTRYEIAVGAAKGLEYLHHGCERPVIHRDVKSSNILLDEVLKPRIADFGLAKIVQANGGKDSTHVIAGTHGYIAPEYGYTYKVNEKSDVYSFGVVLMELVSGKRPIEPEYGDNKDIVSWVCSKLKNKESVLSTVDPRIPDALKEEAVKVLRIAILCTTALPALRPTMRNVVQMLEEAEPCKLVGFVISKDGDHKKQEAMVYAEKFKQQL >EOY14819 pep chromosome:Theobroma_cacao_20110822:8:1353624:1354652:-1 gene:TCM_034086 transcript:EOY14819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis defensin-like protein [Source:Projected from Arabidopsis thaliana (AT1G19610) TAIR;Acc:AT1G19610] MLLVEAQICQRRSLTWSGFCGNSGNCDLRCRNSEGALQGACHRQFLGFACFCYFRC >EOY16297 pep chromosome:Theobroma_cacao_20110822:8:6584065:6586269:-1 gene:TCM_035118 transcript:EOY16297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKSVFVKDVQQLNGNLHHSFSSASQGHVTYSCGSCGYELNLSSSSRNTATIGSKYGKSIKRGIISFFTIDESRFTQVDEFQCIPYFLKNSWGLFRQRTKLLCRKCGNHIGNAYDDKTSGYPVVLDGSDSSSGNEVSGRRKYDVRIRALQPSSAEELSTPLFV >EOY14363 pep chromosome:Theobroma_cacao_20110822:8:65087:67411:-1 gene:TCM_033762 transcript:EOY14363 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein MGAWAIAVHGGAGVDPNLPKERQEEAKRLLTRCLNIGISALRSNLPAIDVVELVVRELETDPLFNSGRGSALTENGTVEMEASIMDGPKRRCGAVSGLTTVKNPVSLARLVMDKSPHSYLAFSGAEEFARNQGVELVDNEYFITEDNVGMLKLAKEANSILFDYRIPTIGTCGAGAAMDSPLQMNGLPISVYAPETVGCVVVDKEGRCAAATSTGGLMNKMTGRIGDSPLIGSGTYACDLCGVSCTGEGEAIIRSTLAREVAAVMEYKGLNLHEAVDYVIKNRLDEGKAGLIAVSKNGEVACGFNTTGMFRGCATEDGFMEVGVW >EOY17385 pep chromosome:Theobroma_cacao_20110822:8:19855327:19856605:1 gene:TCM_036536 transcript:EOY17385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNIEVGTADALAFFLPGVVSQFCKVLHISKTIISRAAGRVEAIDQAIRGLAEYLMIVLQDDTDLSGLDTYIDTSVGHK >EOY17398 pep chromosome:Theobroma_cacao_20110822:8:20026417:20030793:1 gene:TCM_036560 transcript:EOY17398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIPNPKAEWTEAETKKVQTNFKAINTLHYALTPTKFNKVSSCTTAKQVWDKLRIIHEGTSQVKESKIAFLTHNYEMFKMEPGEDITSMLDRFTNITNKLSQLGKPIPEHEIIKRLLRSLRKIWKPKLTAIREAKDLNVITLDDICGFLLTHELELKEEEEKDKREAKEKKKNIALKVSILEEELDNLSCDVDEELAMVARKFKKLMG >EOY17368 pep chromosome:Theobroma_cacao_20110822:8:19675778:19678379:-1 gene:TCM_036516 transcript:EOY17368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDIAFLMPYLVHFVVLYVSVGVIYLVYKYKSRAATPKLPPGRKGLPYIGETLDYVLASRRGTPEKFVTDRNTKYSSDVFRTSLLGEDMAVFCGAAGNKFLFSGQNKYVTSWWPRPMKKAFLDPSSVDNSSAEESTKLRAYLPSLLKPESLQHYVPVMDRMAKEHLDQHWSPYNQVQVFPLSKKYTFSLACRLFMSVRDREEIEKFAKPFSIATAGLVSVPIDLPGTTFNRAVKAGRVIRQELFALITKKKNELLEKRRTVASDLVDNMLLDGMTEIEIGNKIVGFFIASHDTTSTAITFTVSYLSDYPDVYNKVLEEQMDILRSKGPEEPLRWEDIQKMKYTWCVACEAMRLAPPANGAFREAITDFTYAGYTIPKGWKAFWTVHSTHKNPNYFPDPEKFDPSRFEGNGPAPYTFVPFGGGPRMCPGKEYARLEILTFIHNLVTKFKWEKLNPNEKISYIPSPIPEEGLPIKLQAA >EOY14959 pep chromosome:Theobroma_cacao_20110822:8:1785274:1788328:1 gene:TCM_034181 transcript:EOY14959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMNSILKNGDNIVNSSTLLFVKVVRRPKKAKELRLRTISQSSPGIQIFDTYQVTVGP >EOY16740 pep chromosome:Theobroma_cacao_20110822:8:10751425:10755491:-1 gene:TCM_035621 transcript:EOY16740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELELGLKITHTRDDITSTADLRISKDPFGPVFLSRETDHMFILIAHLKGFRRDNIFIEINKDGNRIAIRGEKPVQEMVMLGWIMCKKEVEIKAFRKVFCIPDVVDLERIKAKYNEEESTLRIIMKKKVKGICGTGIEEEEEPMIIKEEVPREEVVERKETKEVPMEEVAERKEVEELPMEEEAEEVPMEEVVQKKEVEEVLKEEMAQRKETEEEVRKEEVVQRKKAKEVLKEEMVERKEAKEEVQKEEVVERKEAEEGPKEEVVELQEVAEKGPREEVVEKKEAEKVPSVELVDKKKPQEVPKVELVEKKKTGEITKVELVEKKEPEKVQRAKLVEKKKPKEVPRVGLVEKKKPEEVKKVELVKENEPEEVPRVELVEKKKPQEVPRVKLVEKKKPQEVPRVELVEKKKPQELPKLELVEKKQPEEEPKVELVEKKEPKKVPMVELVEKKKPEEVPREELAEKKEPEKVPKVEFVVKKKLEEVPKVELDENKEPKKIAKVDIIEKKIQTTEEFNQRQVEAQEEVEKENSIESEPKDPKLEEKEEIEEKLEKEPETVENAIFEELEKVEEIIQEEPQIETVEETNQVLDTPQGILEEKVATPTTPPTFQEPEAMELEVVEPQMIEMPSIKEPQEKRPPNERQKVPQLEEETGEEKTEDAAGQVTQQLELPSLPPSQAEDRKTANEDEQAKDLTSKQSEELEIEVNIWELKMEPEPDEEPKEAETPTKKQLEDESDRENETESMEGEIGEETDQKGHRKENEGAIDISPEIDADKGPIEGAAMEEKRKKTRLRKPLMFAGSAFLVSLIVLVINLLRARKR >EOY15650 pep chromosome:Theobroma_cacao_20110822:8:4118454:4123637:-1 gene:TCM_034649 transcript:EOY15650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MFSGNKRLHCSKRMLQSEVSFTLTSSQFYKAAKVEFLRIWVFSLGIYSMASGDNDDVGFKNRHESLMNCPSSQINTNPFSDKVDGMAMSSISMYNKPSNASDPFFGSSWDPIVSLSQSESLVGSSMVSHSEFANSHYPLLMENQGITSTAHFSQYQSDPSFVELVPKLPGFGSGNWSEMVGPFSLPQCGQIANGKCPQNYALNTEVGNERACTNSTQSRDEHQLSDEGVVGASPNGKRRKRVPESNSPLRSYQNADEEPQKDPSGESSDVPKGQDEKIQKTEQITGVNSRGKQIAKQAKDSSQTGEAPKENYIHVRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNIDLERVLSKDILHSRGGSAGILGFGPGLNSSHPFPPRIFPGTISGVPSTNPQFPPLPQTVLDNELQNLFQMGYDSSSAMDSLGPNGRLKSEL >EOY15651 pep chromosome:Theobroma_cacao_20110822:8:4118742:4121103:-1 gene:TCM_034649 transcript:EOY15651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MAMSSISMYNKPSNASDPFFGSSWDPIVSLSQSESLVGSSMVSHSEFANSHYPLLMENQGITSTAHFSQYQSDPSFVELVPKLPGFGSGNWSEMVGPFSLPQCGQIANGKCPQNYALNTEVGNERACTNSTQSRDEHQLSDEGVVGASPNGKRRKRVPESNSPLRSYQNADEEPQKDPSGESSDVPKGQDEKIQKTEQITGVNSRGKQIAKQAKDSSQTGEAPKENYIHVRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNIDLERVLSKDILHSRGGSAGILGFGPGLNSSHPFPPRIFPGTISGVPSTNPQFPPLPQTVLDNELQNLFQMGYDSSSAMDSLGPNAGRLKSEL >EOY14481 pep chromosome:Theobroma_cacao_20110822:8:433620:434190:1 gene:TCM_033849 transcript:EOY14481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative MVHFLGSMTSKDASVPKSIDKSCPRAPWQPVSTDVKRVGVVVTEDQVRGIFNRFDANKDGRLSKQELKDAFASLGSRMPGFRAWLALQHADANGDGYISEDEFEKLVKYTLKRGYIFK >EOY16140 pep chromosome:Theobroma_cacao_20110822:8:5919490:5921914:-1 gene:TCM_035001 transcript:EOY16140 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein, putative MAVSFSNHSLLASHFAASFSSSSLKPSKDNKLPLLTLQSLHRKPRFSKPISGFSPSNLSFPLNRYKNPKRLTFKASLSAQESAPTDDVKTLIGENLPSLKAMIKVYKEALLNGDDRIVSEIESRISILQIEKNGLEKKVLELSAEITTGKEKYIRLQADFDNFRKRSEKERLTTRSDAKGDVIENLLPMVDSFERAKQQIKPETEKEKKIDMSYQGIYKQFVEILRSLQVAVVPTVGKPFDPSLHEAIAREESQEFKEGIIIQEFRRGFLLGDRLVRPAMVKVSSGLGSQKASVAAEKSSGQPATTGVDDR >EOY14854 pep chromosome:Theobroma_cacao_20110822:8:1481117:1481731:-1 gene:TCM_034112 transcript:EOY14854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMDTSWIIMRMSFLGSSAIAKAQNIHENGQDAEPISGFQPKILSNFGLAVPKRMNQTENLGIGCSF >EOY15752 pep chromosome:Theobroma_cacao_20110822:8:4517554:4518752:1 gene:TCM_034722 transcript:EOY15752 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 38, putative MSCNGCRVLRKGCSETCVLRSSLRWIESPEAQGNATLFLAKFFGRSDLLSLISSVPETQRPALFQSLLFEACGRTVNPVNGAVGLLSSGNWHLCQAAADTVLQGGALRPIPGILAGVRTPSCDESSDRFCFNSCDFQSRYAQSKPLMTMMMMENQSASAASDLSLSLTTKLGGGRRGSGRRYEEERKRPRAAAAASLYSEESEITTFKSKENEGSQERKILNLFV >EOY15653 pep chromosome:Theobroma_cacao_20110822:8:4131886:4136260:-1 gene:TCM_034652 transcript:EOY15653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MKTMNDSSNGSNNNWLAFSLSPHMKMEVASDPQHRHHQYHHHSQASAAASVSNAVPTSFYLSSHLSGSGICYGVGESGGFHAPLSVMPLKSDGSLCIMEALSRSQTEGIVTTSSPKLEDFLGGATMETHQYGSHERETMALSLDSIYYHQNADQETNRQHSLDLLQEPFRHQDQEFAVQTHPYYSGLACQGMYQSPLEEETKDAQLADCDSQIPQMGEDAMPCFRNWVARHYSTHSSLEQQMTSSMVDDGPASGSVGTMGCGDLQSLSLSMSPGSQSSCVTAPRQISPTATECVAMETKKRGPGKVGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYREELEEMKNMSRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDITRYDVERIMASNTLLAGELARRNKAIDSNNEGGDYNTPALTNGETIQPEVNNGNGSDRKMVLYQSPQQQPSVGAVESLEQKSMSNGSYRDPTFSMALQDLVGVDSVNSSQPMVDESTKLGIHYSNPSSLVTSVSNSREGSPDKTGSSMLFAKPPLASKFISPANGVSWFPSAQLRPAAISMAHLPLFAAWNDT >EOY14629 pep chromosome:Theobroma_cacao_20110822:8:765505:768308:1 gene:TCM_033943 transcript:EOY14629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 82A3, putative MDIDSLLPYLTSNIAGVFAVLLLSCFLIRWSGKSLKGQKPPEAAGRWPLIGHLHVLAGSQLPYLALGDLANKYGPIFTIRIGLHPAVVVSSSELAKEIFTTYDVAVSSRPKLTVGNYLGYNCANFGFSPYGAYWREMRKITASELLSNRRLELLKHIKASEVESSVKELYKLWTKTKDESGHVLVEMKQWFGELNLNVILRMIAGKRFFGAGVASDENEARRCRKAMREFFRLAGLFVVRDAVPFLGWLDLGGHEKAMKKNAKELDSIMEEWLEEHLRKKDTVGEIAKGEQDFIDVLLSVLDGVDLEGYDVNTVTKATAMTLLVGGTDTTTVTITWALALLLNHRHALEKAQEELDIQIGRERLVNEADIDKLVYLQAIVKESLRLYPAGPLSGAREFTEDCTIGGYHVPKGTRLIVNLWKIQTDPQFWFDPLEFKPERFITSHLDVDVKGQHFELIPFGAGRRLCPGINFGLQMTHLVLARLLHAFDIWTPSNEPVDMTGSPGLTNIKATPLEVLVKPRLSPNLCQ >EOY17203 pep chromosome:Theobroma_cacao_20110822:8:18323898:18334423:1 gene:TCM_036355 transcript:EOY17203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRPGTPHQVRDNRSRSLDRHSSPRMPIETSLTEVLDAIGYSELEMWGIEGGEIIKSYRSLALAESHSHVVVNVKCTQKAHLRRYPTCLYDQVVGILKGDMKKIERLEKMDQSKVILPHRDEAFPFQIDNLASNLSTIGFQILHDCWMAPNESLRYSVRSSPPSSP >EOY14550 pep chromosome:Theobroma_cacao_20110822:8:575191:578095:1 gene:TCM_033893 transcript:EOY14550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEGTMIRKELHIRPVLAQRKLVGAGFSWPTLVLYPVIASITISKFTWIEDFPVGSRSPTKDPSFDSFHNNRHIAQELPALAEWKSPAPIRIPIGTPFSFHAKCIGLFFTVVRLFAFAAAFYPLLLLSLAILPLKKFNESLCLMSFFLYRKGSRAFLPATSEITNDPVVLQLIMVGE >EOY14868 pep chromosome:Theobroma_cacao_20110822:8:1511536:1514656:1 gene:TCM_034118 transcript:EOY14868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme family protein isoform 1 MTSSSAHSRKALSKIACNRLQKELVEWQVNPPAGFKHKVTDNLQRWIIEVNGAPGTLYANETYQLQVDFPEHYPMEAPQQVIFVPPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSTVKQRPADNDRYVKNCRNGRSPKETRWWFHDDKV >EOY14866 pep chromosome:Theobroma_cacao_20110822:8:1511536:1514361:1 gene:TCM_034118 transcript:EOY14866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme family protein isoform 1 MTSSSAHSRKALSKIACNRLQKELVEWQVNPPAGFKHKVTDNLQRWIIEVNGAPGTLYANETYQLQVDFPEHYPMEAPQVIFVPPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSTVKQRPADNDRYVKNCRNGRSPKETRWWFHDDKV >EOY14867 pep chromosome:Theobroma_cacao_20110822:8:1511694:1514668:1 gene:TCM_034118 transcript:EOY14867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme family protein isoform 1 MTSSSAHSRKALSKIACNRLQKELVEWQVNPPAGFKHKVTDNLQRWIIEVNGAPGTLYANETYQLQVDFPEHYPMEAPQVIFVPPAPLHPHIYSNGHICLVCSYFADILYDSWSPAMTVSSICISILSMLSSSTVKQRPADNDRYVKNCRNGRSPKETRWWFHDDKV >EOY17005 pep chromosome:Theobroma_cacao_20110822:8:16402768:16499114:1 gene:TCM_036130 transcript:EOY17005 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCHC-type integrase MGATETSAPVLTLPVSGKEFVVYSDASKLGLGCVLMQDEKVIAYASRQLKKHETNYPTHDLELAAVVFALKIWRHYLYGERCRIFSDHKSLKYLLTQKELNLRQRRWLELIKDYDLVIDYHPGKANVVADALSRKSSSSLATLRSSYFPMLLEMKSLGIQLNNGEDGTLLAISS >EOY15902 pep chromosome:Theobroma_cacao_20110822:8:4964169:4968632:1 gene:TCM_034823 transcript:EOY15902 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif DNA-binding family protein isoform 4 MSGSETGVMTSREPYSVGMQQKSPVASQPVIQNMRLAFSADGTAVYKPITASSPTYQPASSAGAGAEGSTAGPQVTQGQALNMNMGSEPLKRKRGRPRKYGPDGTIPLALISASSSVSVTQSNSGGFSSPSAAGGGGAPPPSGGSASSPTSTKKARGRPPGSGKKHQLEALGSAGVGFTPHVITVKAGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLRQPATSGGTVTYEGRFEILSLSGSFLLSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASSVQVYFLLPFFNFNCCIILL >EOY15898 pep chromosome:Theobroma_cacao_20110822:8:4964234:4969478:1 gene:TCM_034823 transcript:EOY15898 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif DNA-binding family protein isoform 4 MSGSETGVMTSREPYSVGMQQKSPVASQPVIQNMRLAFSADGTAVYKPITASSPTYQPASSAGAGAEGSTAGPQVTQGQALNMNMGSEPLKRKRGRPRKYGPDGTIPLALISASSSVSVTQSNSGGFSSPSAAGGGGAPPPSGGSASSPTSTKKARGRPPGSGKKHQLEALGSAGVGFTPHVITVKAGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLRQPATSGGTVTYEGRFEILSLSGSFLLSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASSVQVVVGSFIAEGRKEPKSACQMEPQPAPAKLAPGGLPTGATSPPSRGTLSESSGGPGSPLNQSTGACNNNNPQGMSNLPWK >EOY15899 pep chromosome:Theobroma_cacao_20110822:8:4964993:4969806:1 gene:TCM_034823 transcript:EOY15899 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif DNA-binding family protein isoform 4 MSGSETGVMTSREPYSVGMQQKSPVASQPVIQNMRLAFSADGTAVYKPITASSPTYQPASSAGAGAEGSTAGPQVTQGQALNMNMGSEPLKRKRGRPRKYGPDGTIPLALISASSSVSVTQSNSGGFSSPSAAGGGGAPPPSGGSASSPTSTKKARGRPPGSGKKHQLEALGSAGVGFTPHVITVKAGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLRQPATSGGTVTYEGRFEILSLSGSFLLSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASSVQVVVGSFIAEGRKEPKSACQMEPQPAPAKLAPGGLPTGATSPPSRGTLSESSGGPGSPLNQSTGACNNNNPQGMSNLPWK >EOY15900 pep chromosome:Theobroma_cacao_20110822:8:4964811:4969502:1 gene:TCM_034823 transcript:EOY15900 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif DNA-binding family protein isoform 4 MSGSETGVMTSREPYSVGMQQKSPVASQPVIQNMRLAFSADGTAVYKPITASSPTYQPASSAGAGAEGSTAGPQVTQGQALNMNMGSEPLKRKRGRPRKYGPDGTIPLALISASSSVSVTQSNSGGFSSPSAAGGGGAPPPSGGSASSPTSTKKARGRPPGSGKKHQLEALGSAGVGFTPHVITVKAGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLRQPATSGGTVTYEGRFEILSLSGSFLLSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASSVQVVVGSFIAEGRKEPKSACQMEPQPAPAKLAPGGLPTGATSPPSRGTLSESSGGPGSPLNQSTGACNNNNPQGMSNLPWK >EOY15901 pep chromosome:Theobroma_cacao_20110822:8:4964377:4968632:1 gene:TCM_034823 transcript:EOY15901 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif DNA-binding family protein isoform 4 MSGSETGVMTSREPYSVGMQQKSPVASQPVIQNMRLAFSADGTAVYKPITASSPTYQPASSAGAGAEGSTAGPQVTQGQALNMNMGSEPLKRKRGRPRKYGPDGTIPLALISASSSVSVTQSNSGGFSSPSAAGGGGAPPPSGGSASSPTSTKKARGRPPGSGKKHQLEALGSAGVGFTPHVITVKAGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLRQPATSGGTVTYEGRFEILSLSGSFLLSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASSVQVYFLLPFFNFNCCIILL >EOY16932 pep chromosome:Theobroma_cacao_20110822:8:15261348:15279402:1 gene:TCM_036019 transcript:EOY16932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MKFKGDSMSGVMELSVDWRGRPCKANKHGGMTAAVFVLGLQAFEMMAIAAVGNNLITYVFNEMHFPLSKSANIVTNFIGTVFLLSLVGGFLSDSYLGSFWTMLIFGFVELSGFILLCIQAHLPQLRPPHCDMVSDGENCLEAKGSKALIFFLALYVVALGSGCLKPNIVSLGADQFRRGDTEQSRRLSTYFNIAYFAFCMGELIALTLLVWVQTHSGMDVGFGVSAAAMAMGMISLISGTLLYRNKPPRGSIFTPIAQVFVAAITKRKQICPSNVQMLHGSQNQVPATSANVSNLLHTEKFRFLDKACIKIQDGEKESPWRLCTVTQVEQVKIIISVVPIFACTIIFNTILAQLQTFSVQQGSAMNTQIAKGFKIPPASLQSIPYIMLIFVVPLYETVFVPVARKVTGRSSGITPLQRVGIGLFIATFSMVAAALVEKKRRISDETVSIFWIAPQFLIFGLSEMFTAVGLIEFFYKQSLEGMQSFLTAMTYCSYSFGFYLSSILVSLVNRITSASSHSGWLSDNDLNKDRLDLFYWMLAGLSLVNFFNYLFWSSYYSDNPSLSPPRQNDESPEQDLENRGRETLKHMNGCSWK >EOY17304 pep chromosome:Theobroma_cacao_20110822:8:19185459:19199552:1 gene:TCM_036457 transcript:EOY17304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNAAIKLERPHRQEATSGGVATQNIENREQGTVGTDQYQLSTVGQVMDNSDVEVETLASIQNNGEHDYAQWAVENAKNVTVGNNNSTASLQGVPTTRLEEMGLCEFDKKNNEVPSHADHADLEVHLRISNRRKSNNALSRDHSIMSASNEYTDHEEKDKIDELDSISTSKRIPLWDCSKSLSVDIHALWLVGGDFNIILKREERLYGAMPHSGSMEDFVATLVDYGLVDGGFEGNPYTWTNSWMFKRLDQSEQCDMTRFNYSLIPTIVFTSDNAGLCALPSMQELKQAVFGMDKNSVVGLDGFSSYFYQQCWDILADDLLAAVLNFFKGAKLPCGITSTTLVLLPKKKYGRWRDSARPHRCPSGDTNAYKEISRIT >EOY14958 pep chromosome:Theobroma_cacao_20110822:8:1780447:1785179:-1 gene:TCM_034180 transcript:EOY14958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MDSKQDLELQITILRSHWVQLYRPIREDEVSRLIAKISKLSVDSKPVNLSEAMMCLTSTIICRVGFGKRYEDEGTERSRFHEMLNEAQALFVEFFISDYFPFMNWVDRISGLLKRVEKNFKEFDTFYQKLIDEHLDPNRSKLEQEDIIDVLLQIWKDREFAVDLTIDHIKAVLMNVFVAGTDTSAASVIWVMTFLMKNPRCLKKAQEEVRSLMGKKDFVNEDDTQALTYLKAVIKETFRLQAIVPLLVPRETMRKSNISGYEIPAKTLVYVNAWAIGRDPEAWENPEEFYPERFIGSSIDYKGLDFELIPFGAGRRGCLGIHMGVVTVELALANLLYKFDWEMPTGMNKEDLDFDVIPGPPGLPLIGHLHMGVSTLELALANLLYKFDWEMPAGMNKEDFDVIPDG >EOY16674 pep chromosome:Theobroma_cacao_20110822:8:9543169:9548409:-1 gene:TCM_035505 transcript:EOY16674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSLKTGKDRNIEIEFNDERFGNENKSGEKGKNTVDQKGDNGVKVGFQQDIKSLGGGSSRKRYWKKEARGFQGVRGKHVEEMIENRASDGYNKYDEIREVGLNHGCKVKDLMNDRDVGIRDDSNRDTSSFSGNIRGLGKGEKKRTLSRLLSTEKPSMILSNRPRYKMLRKTSMKEYGEEKRLRGEQLELKEVGIVRGVVSRCDFINMYTPNEETSRRALWEELIEQMSSFDAWWVGLVDMPLIGAKFTWCNNWENVAFNREWQKMKFGNVTEKIEQLERDIKNLSQGPLNDENVKDFKKNLVSKKAELWNLYKVQEKEWKQKLRVKWIKEGDRNTKYFHDIALVRRMSNHIAKVKVKGKTVEGLESVKKAIASHFKNLYKAKNVLEVTELKCDIRTLDQNLATDLEKSFTEQEVWATICDYDGNKAPRPDGVGVNNSFLTLISKVCNLEELKDYRPIGRQLIDSVLVANEIIYLLKKEKRKGILMKVDFEKAYDSLDWGFLDFLMGKIGFGDSCFIKKAEEISVCKGIKVGSNGLNISHLQYANDTLIFNQAEIDYLKNIRRILRTFQAMSRLRINFAKSSIIGVGIEKDIVEPWAGTLECKVESLPCTYLRLPLEGQTPLSSSLETSHTKIQRRFLWGGDDRRTKIHYVQWNTTCRPKEEGGIEMVDLQTKNKAMLNKWIWRYSREEGSLWRRIVDAKIGEDEGNLMPLVLNGRMVSPVWREITQPFSSTNPLFVQEKFPHIYALARNKSGELVKRGLLGVGDAMCPVCKNTVETIEHLFRECIKGGYVGCARWPNDYGSVLDIYRQPNGTSKRKKKIQQRPDISWDNPDDGAVKFNVDGATNGSSGEAGIGGLLRNEKEPNSVPWRFKKWMLQIEAFKRKVSGWQIKHVLREGNKDTDTLAKEGVNMEVDPVEFNNDVDA >EOY15547 pep chromosome:Theobroma_cacao_20110822:8:3692285:3694699:-1 gene:TCM_034567 transcript:EOY15547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xin actin-binding repeat-containing protein 1, putative MENYSYSSYPGSGDSSPRSREIDCENQSWDEPPSTNSNNNSTANCKVKFMCSYGGKIQPRSHDNQLAYIGGDTKILAVDRNIKFSAIMAKLSSQYGGDSDVCFKYQLPGEDLDALISVTNDEDLEHMMLEYDRLHRASAKPARLRLFLFPLNPSLVASGFGGSEPKSERQWFVDALNSVQVQNMDGSSPPSAALPAANPDFLFGLDKVKLPDSVPPPVAAVVQEVVTKDVTAGSDCGSEDRHVIGEPVLSPAEIQRQIQELQRLHIAATLEQGVVQRKIDESNPRAYNNTQDYHKVSDKIATSPAPVSVPLQMPIPTAYFPERHLTTAAYPVPAAAAAAPGTDQPVYLFQAPPAGVYQPPPTLRQVPGPAPQAYYGVQRVVQDVYREQPVYNAVPTTKVGAYPEGISVMQPKGGVPESGYVQVAYDGAGRQVYYTAAPYQAMAPVAIAGGVAALNQDGKVAVNAQAPPQTSSV >EOY17239 pep chromosome:Theobroma_cacao_20110822:8:18592323:18595080:-1 gene:TCM_036387 transcript:EOY17239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMSRQVNTLFLKQWLSSSTTIQVYHLNALCQSYLQCSISLETRNSVLCSISGVPESFYACPLALVWSFACGSTIYAMNISLLQVAKIMSALAPLELMVGDGVNT >EOY15916 pep chromosome:Theobroma_cacao_20110822:8:5016142:5018758:1 gene:TCM_034839 transcript:EOY15916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLPQETDGYIKETIEDSLGLQISTQSLQLKLRSSEEAQRRLRDQCLFLLSKLKEKDQIIERSKAEANMNAVALKRFVEENQKLAAECANLLTQCNKWERECSLYDHDREALMDFGNEADERAKEAEIRVHELEEELSKLTEELRFYKHHYDSQRIDSSSEGMSVEENLLESMLATLICKDEVMSGRAFLEANNSLESCQKLITMWHRLRPSTKKVLSLAAELKALEKDKEHLRINLNKAEEEVKVLFEENNILDEENKRLLMQYHKEKNLHGSGGKHSGSASAKTNKRKSSPKTCSPIEKKIDFTDLDSARKPLSPLRYNSPNSRMHKK >EOY17430 pep chromosome:Theobroma_cacao_20110822:8:20286761:20292326:1 gene:TCM_036595 transcript:EOY17430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVEPLFRAVASRDFTTYSAAVDRAQRIEMRTNESRAARDRAKKGKTEGYQGRRDFSSGGSSSSRQGPQRDSRLPQQGSDAPGANIRVGQRTFNSRRQQDSRQSSQVIRSCDTCGRRHSGRCFLTTKTCYGCGQPGHIRRDCPMAHQSPDSARGSTQPASSAPSVAVSSGREVSGSRGRGAGTSSQGRPSGSGHQSSIGRGQARVFALTQQEAQTSNAVVSGILSVCNMNARVLFDPGATHSFISPCFASRLGRGRVRREEQLVHLHPFLGGLEHFHELLDISGDDYFLVA >EOY16314 pep chromosome:Theobroma_cacao_20110822:8:6673005:6674310:1 gene:TCM_035136 transcript:EOY16314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENNQSSIDLGSESKQGVGHSTIIQAAIGSLVVILLTTIQVLYQNDDKSPFKAHALIMKIFIVFAFTYTSLTVAVIALQSHCTSYLPLLNHIGFVLGALVCDFLLLILLPPFGYFVLLLCILMLLIILLKSYQQIFKLLRSVATLASNRAGDLLHNIYGCTCAMCLRIQLSLPRSTIGSGDQGHLDYDLELNEATN >EOY17272 pep chromosome:Theobroma_cacao_20110822:8:18895528:18898222:-1 gene:TCM_036420 transcript:EOY17272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMKLISSITDLGTATTLHLAKKPYPQASKNRAKNKLELIHSDVDGPMSVESLFGSKYYLIFIDDMSKFCWIYIMKAKSQMFEFFLTFKAKVELEISCKIKTLRSDNEGEYTSREFTDYLNRIGILYQLTAPYSPQQNGAYRVYLPGTDKVIVSRNVTFEENCGWNWNMQSTITENVLAPIIADVNLPIDELNTDDNFDDEPVRGTRSIYDVYHRSLVAINEPCNFTEASQFPEWKLNPDGSVNKPKARLVAKAFGWTAYHLDIKSAFLNEVLDEEIYVEQPDGFELLLSGDDVLIQNFKKKLESEFDMTNLGPMSYFLGLEINQTSDGIRLSQKSYIAEVLMRFHMDQCKPSLTPLPVNEKSPPAKEVSLKTLQFTEA >EOY15676 pep chromosome:Theobroma_cacao_20110822:8:4204959:4210455:-1 gene:TCM_034665 transcript:EOY15676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cw7 protein isoform 2 MSLSLSARNWHLAYCYAAASDQPRASFNSRTTTTPPPLQKKKKPQAMLHIGEETPSRFELLSMVKKHSNLLGKTTVDEHDASDVQMDGQFWHDVFNLYFVRGRESRGRQDDDLIFFVRKWRGHDFNDKDEGFAPYFVRRWAPELDNLVGASLSDVDWRRSFYLNMIAHTSYSVTVAICSHHVLRNHQAGQDTPLSPIYKVVKTVYASPSRVNFHLDSKKEVETTPAYPDICFAIDDFDSTFDAMVLTDTDHCYCVLLNALDGAAFPSEKETNDSSSSDKLPLRVDTNSMKTKTSKLTLFSGFVSYQMVRDAYDAGSSRFGSLLSLGHSSGKTDRLYMKGPGGCGEVEVAVSGVAAKHAYAAAAATSTSDEEMIPLKCCLMSITLPWEHIAYDLLFKGSPPVNL >EOY15675 pep chromosome:Theobroma_cacao_20110822:8:4204987:4210428:-1 gene:TCM_034665 transcript:EOY15675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cw7 protein isoform 2 MSLSLSARNWHLAYCYAAASDQPRASFNSRTTTTPPPLQKKKKPQAMLHIGEETPSRFELLSMVKKHSNLLGKTTVDEHDASDVQMDGQFWHDVFNLYFVRGRESRGRQDDDLIFFVRKWRGHDFNDKDEGFAPYFVRRWAPELDNLVGASLSDVDWRRSFYLNMIAHTSYSVTVAICSHHVLRNHQAGQDTPLSPIYKVVKTVYASPSRVNFHLDSKKEVETTPAYPDICFAIDDFDSTFDAMVLTDTDHCYCVLLNALDGAAFPSEKETNDSSSSDKLPLRVDTNSMKTKTTYTFFWICQLSNGSGCI >EOY15674 pep chromosome:Theobroma_cacao_20110822:8:4204687:4210486:-1 gene:TCM_034665 transcript:EOY15674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cw7 protein isoform 2 MSLSLSARNWHLAYCYAAASDQPRASFNSRTTTTPPPLQKKKKPQAMLHIGEETPSRFELLSMVKKHSNLLGKTTVDEHDASDVQMDGQFWHDVFNLYFVRGRESRGRQDDDLIFFVRKWRGHDFNDKDEGFAPYFVRRWAPELDNLVGASLSDVDWRRSFYLNMIAHTSYSVTVAICSHHVLRNHQAGQDTPLSPIYKVVKTVYASPSRVNFHLDSKKEVETTPAYPDICFAIDDFDSTFDAMVLTDTDHCYCVLLNALDGAAFPSEKETNDSSSSDKLPLRVDTNSMKTKTSKLTLFSGFVSYQMVRDAYDAGSSRFGSLLSLGHSSGKTDRLYMKGPGGCGEVEVAVSGVADQSKQDSGPFSPVISKRGFGLGSIVRKAASVASVAAKHAYAAAAATSTSDEEMIPLKCCLMSITLPWEHIAYDLLFKGSPPVNL >EOY15880 pep chromosome:Theobroma_cacao_20110822:8:4933009:4934897:-1 gene:TCM_034812 transcript:EOY15880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSSWRRTVGNVRSFVGNSMGGLRGASNLASWVVAGTLAYFLWVKPSQDLKKQQQERAALAASDPYRYVEKRKPLPDPQEMGLIYGNKKKTDSKKEE >EOY17228 pep chromosome:Theobroma_cacao_20110822:8:18553204:18571376:-1 gene:TCM_036381 transcript:EOY17228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disulfide isomerase-like protein isoform 1 LVLCLLKPGISATAPQFKVDGKVLELEESNFDSAISSFDYILVDFYAPWCGHCKRLSPQLDEAAPVLAGLKEPIVIAKVNADKYTRLARKHDVDAYPTLKLFMHGVSMEYFGPRKAELLVQYLKKFVAPDVSVLSSDSAISDFVEAAGSFFPIYIGFGLNETVTSNLAVKYKKRAWFSVAKDFSDDAMVLYDFDKVPALVALHPSYKQQSIFYGPFEDEFLGDFIKQNLLPLVVPLNHETLKLLKDEERKIVLTITADENEDQSQNLIKVLKAAASANRDLVFGYVGVQQWEDFADKFGVDKKTKLPKMIVWNGDEEYFSVIGIESLDKEDQGSQISRFLEGYREGRTERKTVKGPSFMGFINSLIGIRTVYIVVFIVAVIMLIQSIGKDDEPLGVGRRDEVDHAESSEAESSQYGPEKKED >EOY17229 pep chromosome:Theobroma_cacao_20110822:8:18553240:18571521:-1 gene:TCM_036381 transcript:EOY17229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disulfide isomerase-like protein isoform 1 MRLLTILCSLVLCLLKPGISATAPQFKVDGKVLELEESNFDSAISSFDYILVDFYAPWCGHCKRLSPQLDEAAPVLAGLKEPIVIAKVNADKYTRLARKHDVDAYPTLKLFMHGVSMEYFGPRKAELLVQYLKKFVAPDVSVLSSDSAISDFVEAAGSFFPIYIGFGLNETVTSNLAVKYKKRAWFSVAKDFSDDAMVLYDFDKVPALVALHPSYKQQSIFYGPFEDEFLGDFIKQNLLPLVVPLNHETLKLLKDEERKIVLTITADENEDQSQNLIKVLKAAASANRDLVFGYVGVQQWEDFADKFGVDKKTKLPKMIVWNGDEEYFSVIGIESLDKEDQGSQISRFLEGYREGRTERKTVKGPSFMGFINSLIGIRTVYIVVFIVAVIMLIQSIGKDDEPLGVGRRDEVDHAESSEAESSQYGPEKKED >EOY17227 pep chromosome:Theobroma_cacao_20110822:8:18553111:18560221:-1 gene:TCM_036381 transcript:EOY17227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disulfide isomerase-like protein isoform 1 MRLLMILLLLLCIFRSGICSTADQFKVDGKVLELDESNFDSAISSFDYILVDFYAPWCGHCKRLSPQLDEAAPVLAGLKEPIVIAKVNADKYTRLARKHDVDAYPTLKLFMHGVSMEYFGPRKAELLVQYLKKFVAPDVSVLSSDSAISDFVEAAGSFFPIYIGFGLNETVTSNLAVKYKKRAWFSVAKDFSDDAMVLYDFDKVPALVALHPSYKQQSIFYGPFEDEFLGDFIKQNLLPLVVPLNHETLKLLKDEERKIVLTITADENEDQSQNLIKVLKAAASANRDLVFGYVGVQQWEDFADKFGVDKKTKLPKMIVWNGDEEYFSVIGIESLDKEDQGSQISRFLEGYREGRTERKTVKGPSFMGFINSLIGIRTVYIVVFIVAVIMLIQSIGKDDEPLGVGRRDEVDHAESSEAESSQYGPEKKED >EOY14522 pep chromosome:Theobroma_cacao_20110822:8:514722:517396:-1 gene:TCM_033877 transcript:EOY14522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase 5 MEKMMMLRSIYRAACIRSSRFAAVAATAHNHHLGSRSFFSVSSPSAANQPRNLPSGGRSSLAVRLGSMRYFSEDVTHMPAIKDPEIQNVFKDLMAASWDEVPYSVVQDAKKALSKNTDDKAGQEALKNIFRAAEAVEEFGGVLMSMKMELDDSIGLSGENVKPLSDEFVNALRTVYQRYTTYLDAFGPDETYLRKKVETELGSKMIYLKMRCSGLGSEWGKVTVLGTSGLSGSYVEQRA >EOY14413 pep chromosome:Theobroma_cacao_20110822:8:232465:236267:1 gene:TCM_033802 transcript:EOY14413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MADLYGTPASSNPEPEEISTFLSQLLHNSSASSSSSSSCMQFKTKSIHTFPSHAPEFSTPAANSRAGMAIPVEDQYRLGGSAVRAESEPRVNFSDPETYFGANVKDSADIALSSAGEFSYDSEKVQEPSKAPSNQERPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGLSLYPMCLPGVLQPTQLPPTGMGYDEGNRFFSPNTEAGTFSSNEESLMNTPFNLSNPCTISNQPIVAPSVANISNLEASFGFKSSAEAHCGSFSHSTSSKEICKEGRSQLQLEVNHAGNNSSSGVS >EOY14412 pep chromosome:Theobroma_cacao_20110822:8:232554:236267:1 gene:TCM_033802 transcript:EOY14412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MADLYGTPASSNPEPEEISTFLSQLLHNSSASSSSSSSCMQFKTKSIHTFPSHAPEFSTPAANSRAGMAIPVEDQYRLGGSAVRAESEPRVNFSDPETYFGANVKDSADIALSSAGEFSYDSEVQEPSKAPSNQERPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGLSLYPMCLPGVLQPTQLPPTGMGYDEGNRFFSPNTEAGTFSSNEESLMNTPFNLSNPCTISNQPIVAPSVANISNLEASFGFKSSAEAHCGSFSHSTSSKEICKEGRSQLQLEVNHAGNNSSSGVS >EOY16483 pep chromosome:Theobroma_cacao_20110822:8:7514822:7517776:1 gene:TCM_035257 transcript:EOY16483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 20-oxidase, putative MDSTSSASTCLLSSPLEDQRLNQDSFIDSSFLQNQTNVPKEFLWPKVDLVNAHQELLDPLVDLEGFFKGDELAIQQAARVIRAACLSHGCFQVINHGVDSHLIKAAYEHLNRFFQLPVSQKVRARKMTTTGLNTLSYSGAHADRFSSNLPWKETLTFVFEENPKEAGIEDLCNACLGDDFKQMGMIYQKYCEGMKGLALVVMEILAISLGVDRLHYKKFFQDGRSIMRCNYYPRCPEPGLTFGTGPHCDSTSLTILHQDEVGGLEIFANNKWQIVRPRHDALVINIGETFTALSNGNYKSCLHRAVVNRQRARRSLAFFLCPREDKVVRPPEDLVHSEVTRAYPDFTWSDFHLFTQKYYRADAHTLHNFTKWLLFSKPFDNN >EOY16896 pep chromosome:Theobroma_cacao_20110822:8:13097265:13104201:1 gene:TCM_035854 transcript:EOY16896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHERVAVKQELVKRNLMDQAGAVCGSARVNLNRNDTVFKGVTWNADRVFDLVKIRVAIWAQAKWPLEYSVVLDTYIFLAEGAVVKKKERTRTAKEWSKPHKREMKYNVDEVAQGCRGEVGIGGIMRDDEGNIKIVFSKAIGVDNASAAEVRAIKKAFLTFARFASGNGKDRVTLMLMLFVTGGSAGLCVCDLDLSIWASLASPVLALVG >EOY15455 pep chromosome:Theobroma_cacao_20110822:8:3376331:3379870:-1 gene:TCM_034514 transcript:EOY15455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAVAFTHLSWWLWSGKHQEPRIANGSSLSSSPDSGLWESDNLKFPLVKRANMASSSRRVKRKWHSREERKIDREYDVVLVPSDGGCVSGSESDGSDYSIGWLEPHGPGFQSDDDSDNSFAVLVPCYGHSQDKLVEDSKHKILGAIVNIPDNYSAESKKYVEQWLSSLQTS >EOY15456 pep chromosome:Theobroma_cacao_20110822:8:3376781:3379643:-1 gene:TCM_034514 transcript:EOY15456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAVAFTHLSWWLWSGKHQEPRIANGSSLSSSPDSGLWESDNLKFPLVKRANMASSSRRVKRKWHSREERKIDREYDVVLVPSDGGCVSGSESDGSDYSIGWLEPHGPGFQSDDDSDNSFAVLVPCYGHSQDKLVEDSKHKILGAIVNIPDNYSAESKKYVEQWLSSLQTS >EOY14944 pep chromosome:Theobroma_cacao_20110822:8:1742784:1744061:1 gene:TCM_034169 transcript:EOY14944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell growth defect factor-2 isoform 3 MDGSRRKGYAWAISAGLNAAFAAISAKFFLSPLVRYGLVIIFNVIMWGCYVNSLKALSSLQATVTNFATNFLTSGFAGSFLFEEPLSFRWFAGALFIVIGVLILSKSNVESKANID >EOY14946 pep chromosome:Theobroma_cacao_20110822:8:1742700:1744377:1 gene:TCM_034169 transcript:EOY14946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell growth defect factor-2 isoform 3 NNFCSLNRRSGEPATFPGGNHPFGARPDMDGSRRKGYAWAISAGLNAAFAAISAKFFLSPLVRYGLVIIFNVIMWGCYVNSLKALSSLQATVTNFATNFLTSGFAGSFLFEEPLSFRVPCSL >EOY14945 pep chromosome:Theobroma_cacao_20110822:8:1742719:1744199:1 gene:TCM_034169 transcript:EOY14945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell growth defect factor-2 isoform 3 RSGEPATFPGGNHPFGARPDMDGSRRKGYAWAISAGLNAAFAAISLVRYGLVIIFNVIMWGCYVNSLKALSSLQATVTNFATNFLTSGFAGSFLFEEPLSFRWFAGALFIVIGVLILSKSNVESKANID >EOY16909 pep chromosome:Theobroma_cacao_20110822:8:13608841:13612527:-1 gene:TCM_035898 transcript:EOY16909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATACKTTGRLLTKMETENPKETGEIEASTQDPRNEPKSDPNPRTVKTKMPEVEIRLYRRGKGPIDVFKSSLGGWDQDQLEVREILEKYGFKSIYAFNTQSGRGVPIRFHPRNGRSIIGYKDGSVVHIDGEPKDSLIKPITKILVGIAVTTLLIALAVKDTPEWIKKLNIFGGDFPPWVLACIVIVFTRMRKRTRDFLKKLGW >EOY17500 pep chromosome:Theobroma_cacao_20110822:8:21318788:21319813:1 gene:TCM_036715 transcript:EOY17500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein, putative MGFDQKKKGEISLAFVLMIVLLETNTCRAALIVKRNPSYQRNGRLDHYRIAEDLELELDLLVSSNFIRILADDGKPVDIYATLDSGHQAQKNCPSGSYEQCSAKGGGNPDCKSIYNRDCH >EOY16020 pep chromosome:Theobroma_cacao_20110822:8:5449406:5455440:-1 gene:TCM_034919 transcript:EOY16020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio 7, putative MFKLGEQKEKMMMIRDMKRNSELETLLNEIPQAPAFLNLQHHSQMSRVSPSPSSSFSNGFSSPEESSPFEEQQHQQQHKFHLSGLWLDSKSSPDSHYRNKNVNEKKLVDDGFGLCENLYQMHIRDEERDRDISGQMRRFERDPDDFGFSFGDVSSYNVEKYGSHEGFNKEFQSSPQQVSLCFDGDDLRSTLLGLQGGYEKGDSLGSYTSSYNQSNGLSSKSSWQNNPRNYLLEQRVDQVTSMDNRGILLQNAFSPRPCLDNPFVCSQQCRMDGNVGRAAIDSLSSPRFLHSKIPVEENVEDSVIIQGKDLKYGITNKVHDSFKCHKKKSLKEIAMQNLQEKSSKLGKILGENVTLMPSPYSLAEFQGCIFYMAKDQNGCRFLQRIFDEGSCLDVQIIFNEINDNIVELMMDPFGNYLVQKLLDVCTEEQRLQIVLMVTKEAGQLVRISLNTYGTRVVQKLIETLKSRQQISLVKSALKPGILDLIKDLNGNHVLQRCLQCLDNEDNKIIFDAAAKFCVDIATHRHGCCVLQRCIAHSNGQHRDKLITQISRNGLLLAQDPFGNYVVQYIIELKVPSAIGNLLSQFKGHYVQLSMQKFSSHVVEKCLKHFSESRSQIIRELISVGHFEHLLQDPFANYVIQSALAVTKGPLHASLVEAVRPHTILRTSPYCKRIFSRNLLKK >EOY15074 pep chromosome:Theobroma_cacao_20110822:8:2157679:2159139:1 gene:TCM_034254 transcript:EOY15074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDAIFMSSSAEKSFSPYKQLKNIPKRNSGAHVVSKPSENIPPANIIHGAGLLFAPPPSLSFSCPPSASLFNPLFYQNHHQLQQQQPPLLPLPTPNKPLHSSLPSRTRSLSSSPSNRKNNRSRDQSLTPKRSKSKQLTGKVEEPKKDSKPADQATKTQAISKSFVMASANNPIGPDPNDLPKVLASSYLATGNVAKDLEKFSGSVFTLSPPPSSLPLPKFSLRPKLSCNAEAAGVDAGATDNLRRLLRLR >EOY15947 pep chromosome:Theobroma_cacao_20110822:8:5133076:5135825:-1 gene:TCM_034863 transcript:EOY15947 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein, putative MDTSLRSQVLVLGSLFLVLLSPSCFAGILKTCQFDAIYQLGDSISDTGNLIREDPLSPFARLPYGQTFFKNATGRCSNGLLMIDYIALSAGIPFLEPYLNSDALFTRGRGVNFAVAGSTALPVETLAENNVLAPVTNSSLSRQLDWMFSYFNGICRDEEDCVKKLKTALFMVGEIGGNDYNYALFQGKTFDEVRSMIPKVIQAIKDAVARVVGYGATRVIVPGNFPIGCLPIYLSGFQSSDSTAYDRFHCLKGLNNLAIHHNKLLKHAIKELRKDLPNAIIVYGDYYNAYQKLLRKVELLGFDTKSTQKACCGIGGDYDFSLTRMCGAPGVPVCSNPDQYVSWDGVHLTQKAYKFMAGWLIHHIYPQLQCRA >EOY15010 pep chromosome:Theobroma_cacao_20110822:8:1946647:1948998:-1 gene:TCM_034213 transcript:EOY15010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDTEAGQPKQERSRTRWTASLDRIFADLVVKQIQLGNRPNNVFDKKTWNNIRDEFNQQTDLNFNNNQLRKHLDVLRTRFYNLKSAYDQNDFAGMEDSCCIGFDLWEDIGAQPRPEPVKIKDCPIYEQLCTIFTDSSADGKYAQSSHFEGLDKAVANDTGGLTSCPEGGSTQPDYPSTSKLAQNNPLPEKLTKSIAERKRKRPSETLSSLDQSRKDEEMSEAMAGAMLDMVAAWRSRRTTATKRSDDKFSITNCIKALDEIEDIEDWLYFAALDLFEDSSLRETFISLKAGKIRLTWLQGKCGKPGTSPV >EOY14762 pep chromosome:Theobroma_cacao_20110822:8:1201225:1205857:-1 gene:TCM_034041 transcript:EOY14762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast thylakoid membrane MPPSTLRLTSSSPLSTVAGLPPTPPKQLISNQNLSVRGNQENRREDQRHLVNQLQISRRDAAFLFLISLFPSLCQPSHASAFSIGISGPKEWLKEQKRKSSKFLVAPIDASRQSLRSAYLLLMDKESTKDLEEVQKLLKSAARDCVVQERNSFVAFQASTGVEVCTFRLIVKNASSLLEDKNPVKLEAEAMLDDLISSFTSLNSLANETDIQVSSSRQKVADALMDTISSLDKFEQGVKDCLEV >EOY16579 pep chromosome:Theobroma_cacao_20110822:8:8602259:8607832:-1 gene:TCM_035385 transcript:EOY16579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRKGEYCTTMTYEDQPRNRTQGKKWKKKARNVRGVKFDDTIDDMKQLDEVEAKKDKGKRPMYYGDVGSNFIHNERFVGECSNGLRYNLKEIAKMSEEVRVCLNRSKGNSRASRYTLGEQRKMIKEEIREILGNKAEEVKSREKGEDEVGSGSVSWVPQTMEEAKVTWNLSNELGVQYRVTKFETIKFLYEMERGKTNGERGLGRGEKKRALRKLLRIEKPSMVFIQETKIETVSRNLFSRLWNGEEIVGKVVEADGRSGGIISLWQKNFFELEVCKMERNFLMIIGRVKGIDAKCGFINIYAPNDEGKRRDLWIELSELMNNTKVWWILRGDFNTVRFEEERIGTGDVGRAAGHFDEFINITGVVDLSLTGAKFTWQVFGWEHKQYDSFNDTINEFFLCENMKDELVWKKTANGEFTVKSFCLNYVELRRENQREWKWVWGGYAPHKTETLVWQLMHEKAAVKGELVKRGIISATEVLCPLCKDSIETVDHLFVGCISVKSLWYAWCKEWGFAWVMPTRFKELMTMWNAINVKASCDKIWRMAVFAITWTIWIGRNEVVFHNKVWDKELIWELIKLRVATWADARWKSNSRSILDLYRYPVESYNQQKDRGQRPQTVWERPEEGMIKFNVDGAAIGCPGDAGIGGLLKNEKGETLIKFSKAISRGDSNLAEYLGIKEAFILFSNSIWANNYFLVIESDSRNAIKWINDPQKTPWRLRKWMLHIEVLKKRVKGWKARHTLREGNCEADQLAKERVGREIDLLEFFHPM >EOY16668 pep chromosome:Theobroma_cacao_20110822:8:9520481:9521179:1 gene:TCM_035501 transcript:EOY16668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inflorescence deficient in abscission-like 2, putative MGRKRLVIVLWLLILVFIFLFGQAHGSSRNSHMFKVNPKSHRNSPRSFFGALPKAMPIPPSGPSKKHNDIGLQSSRRSP >EOY14788 pep chromosome:Theobroma_cacao_20110822:8:1260957:1262837:-1 gene:TCM_034059 transcript:EOY14788 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD-like 6 MASSSMTSSRDSNSYWTPKQNKLFEKALAVYDKDTPDRWQKVAAAVGEKSAEEVRRHYEILVADLMYIESGQVPIPNYRSTGSNRR >EOY16214 pep chromosome:Theobroma_cacao_20110822:8:6244224:6245958:-1 gene:TCM_035057 transcript:EOY16214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold shock domain protein 1 MAETTSTERSTGTVKWFSAQKGFGFIAPDDGEDDLFVHQTSILSQGFRTLTDNQPVEFAVDFGEDGRAKAVDVIPVPRSRRPSRGGRGGGRGRGAVGGYRRGGYGGSIGGGGGGACYNCGRMGHLARDCYQGGGSTRYSGGRGAGGGDGGVGRRHGGCGVGGGGGGGGGGGGTGWIYQQKKKKSESSLFFRLDFSVPTCF >EOY17312 pep chromosome:Theobroma_cacao_20110822:8:19330312:19332059:-1 gene:TCM_036473 transcript:EOY17312 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 87 MARKRVTLELISNESARKVTLKKRKAGLWKKLNELTTLCGVAACAIIFSTYDAQPDIWPSPIEAYNVLEKFKNLPAEIQGKYMMDQNSLLRRSIMQLNVRLAKQRTKNRELEMDLVMAESMAGENNCDLNNLEYLKELVHLLKEKIKFVTQKIEKISFALHE >EOY16031 pep chromosome:Theobroma_cacao_20110822:8:5503015:5506563:-1 gene:TCM_034930 transcript:EOY16031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRETRQSAMKGRETKGAPSADLLVCFPSRAHLTLMPKPICSPARPSEPNKRHHHHHHQHHHRLPKKSSIRNGGGAGGQASPLLWAKNKQMGTEIAEPTSPKVTCAGQIKVRSKTSSCKSWQSVMEEIERIHNSRQHKKRPSWLESLGFKKEVMQFLTCLRSIRFDFRCFGSFPQSDITTDDEDEEHEVYQENLNHAESNETSRTIFSKWFMVLQENQNKGFRKDEKKEKERSHDVDDQAAVPPPNALLLMRCRSAPAKSWLEENRVVEENEESTENEEEEEEYNKRDEKKTKNLRSLMEEENRKKKESLVVMRYDPDFYKISSDIAKETWLVGGMKDPLSRSRSWKR >EOY16936 pep chromosome:Theobroma_cacao_20110822:8:15303658:15314907:1 gene:TCM_036023 transcript:EOY16936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQVMIGGLDASHFVPILKEGGLYLIDTFRVIKLKNNFNVLFGELMIVFSRITEVKEIHEDKIVYPSHHFLFGDYGALHDKIYQKKVLTDVIEVLTTMTPITNVYLNNKNTLVQEHTCPRTHLNNIYIQDSKQEK >EOY14808 pep chromosome:Theobroma_cacao_20110822:8:1317199:1323046:1 gene:TCM_034076 transcript:EOY14808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-like 1 protein MEYQRASAATAFSKKLSHGHSFNGKNMYDGVFSGQSKVGSSVEDYAEIFGGGSGSSIPVLDVPELNERKFSVDVSSSKLEYSNIFGGFGDFEYAVSHEEFIAKPPRAKQTPAKTRSYSEGSFSYPLNIQVGSNDQTSHESGNGVKQFKMSYNKISPGSKNGANGTTHVAQLHAVPGYTRLVDEKITSSRDKPDSSVVNETYKSSNSSEGTVEGMHKKPVSSSKQTSTVVEELRNKSGSNGSDFNDVLFGSYDVGHRTPPKVPRASSMLNNMGGNKRGSMKLGVFRSYSLDGDVGVSSPPYLDDEVDANSVAATSAAAVKKAIEEAQARLKIAKELMERRKGGHVNRTKPSFNGVLKAEERKKSKDTVKQNDIMPDMAQETCEKIDASVQTPAEVRKQNVIKVGQVAAESDDSEKIFTAREAAGGTCAKNFTSPQANCQHEEAEKREAAKQGEREKEVMQALNEYEGEEKKIIENLEKYGEKSEAVEEVPKQEVERKLDASKELCDKDECLNKKKLDVEFHDRKEDETKLGFSEPWEETENKMSSELEACESNLKEPEKPTEDERKVEMQELKVIDNMETLEIAQDIDHVEKRNHVLKQEENGCGLEDVFEKEENEMLLPDVSMQKELGKRSEEAFEISELKAECKEACGAEENKEEEEDDCNIEDNEQMSDEMEEQEIIDLRHNNFDDEEEREGSLEEDDDLLEDEEFMEAEENSDMFEDAYQMEAVEEGQKEAPDSVGTEEMQKLTDQKADEMTELTEAALECCEEDLEAANDAYKENEINNLDETLESSINEDSCEMTPDLLVNEENGGIAEGNEDSCEETRIDSEAVEVAGNLEENLAFDNTGLAESNFNLNEIEQQSENKTEAIDFDRNGIDIDTAEISFEQKQYEQHSKESEIICTLEKHVEELACESEEDVRDAEVVLKQEENKNNFEFPDEGRLVDSLLHGKFGEKHETTEIAHDVETSQSTENNEENHHETLTKEERETKNNSQEDVELEKEQQRRVDEAKERKREREKERIAVERAIREARERAFAEARERAAAGRTNVEGRRKVKADAQGESAKPSAEVNDKAFMEAKLKAERAAVERATAEARQRALEKALSEKAAFGARNQAEKFSDAKQSFQSYDSHYKGSCPPATGRYPNSSNQSASNSSEGLGGATGESAQRCKARLERHQRTAERAAKALAEKNKRDLLAQKEQAERNRLAETLDAEVKRWSSGKQGNLRALLSTLQYILGPDCGWQPIPLTDIIATAAVKKAYRKATLCVHPDKLQQRGASIQQKYTCEKVFDLLKEGWNKFSAEER >EOY15930 pep chromosome:Theobroma_cacao_20110822:8:5084853:5085544:-1 gene:TCM_034852 transcript:EOY15930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISSFFINFTLNFCNAHRLCPNGMILSFHLFFFALAAWGEDGFCFPTLYYNILISPHFQVELWAAKKLFFV >EOY16714 pep chromosome:Theobroma_cacao_20110822:8:10358100:10361064:1 gene:TCM_035580 transcript:EOY16714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MEKLVRKPCEVSKGIRGKNVCRGFAAVITGLMGVPGRDNSIEIPPPPTGIPAVSSEVIQAMAAFFTAMASQAQTSQVPPVVPPVTPSVPLAHDVSISKKLKEARQLGCVSFVGELDATAAKDWINQVSETLSDMRLEDEMKLIVATRLLEKRARTWWNSVKSRSTILLTWSDFLREFDSQYYTHFHKKEKKREFLSLKQGNLTVEEYETQFNELLSYVPDLVRTEQDQANYFEEGLRNEIRERMTVTGREPHKEVVQMALRAEKLANENRRMRAELAKRKNLNMSFSQPLKRSKGSFDSRSAPSVSVTSSRPSFSQMQQRLPRFSGSAVTTSEKSFGGFDRCRECGRFHGGVCWGPLRCFHCGQMSHFRTNCPQLGQATVAALSSSTRTDLQMRDSSGAQPRQGVAIRPDVESNTLVYPPSRPLTHASTKVFTVMEDEARVQPRESE >EOY15747 pep chromosome:Theobroma_cacao_20110822:8:4498961:4505052:-1 gene:TCM_034718 transcript:EOY15747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyl transferase 1 isoform 1 MMQRAFVFSSSNSISLQLSTPITPPVNAVFPERNRGWIAPYSPLQPPELRLRNYQRALPPVLCSGSDSVTNQQQMASQDERDPRISKISSVIRVIPDFPKPGILFQDITTLLLDIKAFRDTIDLFVERYRGKDISVVAGIEARGFIFGPPIALAIGAKFVPMRKPNKLPGEVISEEYSLEYGTDKMEMHVGAVQAGDRALIIDDLVATGGTLSAAIRLLERVGVHVVECACVIELPELKGRERLGDTPLFVLVSSA >EOY15748 pep chromosome:Theobroma_cacao_20110822:8:4501369:4505113:-1 gene:TCM_034718 transcript:EOY15748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyl transferase 1 isoform 1 MMQRAFVFSSSNSISLQLSTPITPPVNAVFPERNRGWIAPYSPLQPPELRLRNYQRALPPVLCSGSDSVTNQQQMASQDERDPRISKISSVIRVIPDFPKPGILFQDITTLLLDIKAFRDTIDLFVERYRGKDISVVAGIEARGFIFGPPIALAIGAKFVPMRKPNKLPGEVISEEYSLEYGTDKMEMHVGAVQAGDRALIIDDLVATGGTLSAAIRLLERVGVHVVECACVIELPELKGRERLGDTPLFVLVSSA >EOY14785 pep chromosome:Theobroma_cacao_20110822:8:1256020:1256680:-1 gene:TCM_034056 transcript:EOY14785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPQIQAGKMCWVGSQSQREDIVQFHIALFLFWDGIDSGWLELIYIPAGSKGTIAQQQPACNELIHHFNQALNMKK >EOY15984 pep chromosome:Theobroma_cacao_20110822:8:5308938:5311712:-1 gene:TCM_034891 transcript:EOY15984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Melanoma-associated antigen G1 MANSGEDFSQFEISKEEKDKLVAEVIRYILFKTHQNSGCAIKREELSQLVTKNYRHRSLPAFVINEAKDKLSTIFGYELRELQRSRPSSTNHARLSQQSGADAKSYVIISQLPAEVYRKYVEDVNTSHLTGFTFVVISIVHLAGGKIAEENLWHHLKRMGLHATDENHPVLGNVKQVVETLVQQRYLQKDKVIGPEGNTLFYELAERALDGPVCERIKQYISQIVKKDIATMDT >EOY14631 pep chromosome:Theobroma_cacao_20110822:8:772190:781762:1 gene:TCM_033945 transcript:EOY14631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 82A3, putative MIAAEHLGFNYAMAGVAPYGSYWREMRKIINFALLSNRRLEILKQVRVSEAQVSVKELYKTWSKRNNGSGHVLVEMKQWFGDLTLNVIVRMVAGKRYFGSGAKGDDKEARRCQRAMREWFHLLGVFALKDAVPFLGFLDLGGHEKAVKETAKELESIASEWLKEHKQKRASGGAKDQDFMDVLLSLLEGTNLASEFDVDTINKANCLSMITGGSDTPKVTLTWILSLLLNNLHWLRKVQEELDIHVGKERLVNESDLSKLECLQAVVKETLRLHPPVLLFPRFCTDEIIVSGYHVPRDSWIFLNLWKIQTDPRVWSDPLEFMPERFLTTNKDFDVGGDQYFELIPFGFGRRVCPGMSFGLQMVHVTLASLLQAFDISTPSNAMVDMTEGAGLSNMKATALDESLRLYPAGPLSGAREFTEDCTIGGYHVPKGTRLIVNLWKIQTAPQFWFDPLEFKPERFVTGHLDVDVKGQHFELIPFGACRRLCPGINFGPQTTHLVLALLLHAFDIWTPSNEPVDMTGSPGLTNIKATPLEVLVKPRSSPNLYPSRIAKSKNYAPIARGAWPIIGHLPLLRGPKLPHLTLGDMAEKYGPIYAIRLGVHPALVVSSWEILKEIFTNHDVAVASRLKVTSAKHMSYDYAMFGFSPYGPYWREMRKIVNLELLSNHKLELLKHVRVSEVETSLKELYKLWAEKKDSSNRALVEMKQWFGDLALNVIFRMVAGKRYFGTGLKGHDKEARRCQRALREWFHLLGVFVLKDAVPLLGFLDLGGQEKAMKETAKEMDSIASEWLKEHKQKKTLGEVKEKDFMDVLLSLVEAESTNPNLSGWNVDTITKTTCLNLIGGGSDTTKVTLIWALSLLLNNRHCLKKVQEELDIHVGKERLVNDSDLSNLIYLQAVVKETLRLYPPALLFPRLCTQDITLNGYHVSKGTWIFLNLWKIQTDPRVWSDPYEFKPERFLSSHKEVDVRGRHFEMIPFGCGRRVCPGISFGLQVLNLTLAGLLHGFDISTPSNARVDMTESPGLTSIKATPLEVLITPRLSPNSYG >EOY16015 pep chromosome:Theobroma_cacao_20110822:8:5426164:5427727:1 gene:TCM_034914 transcript:EOY16015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNRAQMSTTFCSLYGGIRLRYGEIFIPLVLMKSLLMTFKKKKRKSTKREDTEKRSQRTIKSIQRQNPISQKTKGFADSQKTNTPPKLSPQKPPSQRSSADGIVAHQHQRNALQTTTEKTAIKK >EOY15632 pep chromosome:Theobroma_cacao_20110822:8:4045196:4049565:1 gene:TCM_034633 transcript:EOY15632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase MVQRSSCIRNLVQSLRAASFSSKLADYRGYTSQAASSLRAASQPSVYSDDEYADVDWDRLGFGLTPADYMYVMRCFKDENFKGGQLSRYGNIELSPSAGVLNYGQGIYEGTKANRTEDGRILLFRPEQNATRMRRGAERMCMPSPSIEQFVDAVKETVLANRRWVPPAGKGSLYVRPLLLGSGPILGLAPAPEYTFLIYASPVRNYFKEGTAPLNLYIDEEFVRASPGGAGGVKTITNYAPVLKAIARAKNRGFSDVLYLDAVNRKYLEEVSSCNIFIVKGKLISTPASNGTILGGVTRKSIIEIARDHGYQVEERAIPVDELVDADEVFCTGTAVGVAPVGSITHENRRIEFKTEGRLVSQELYSTLVGLQTGRIEDKKGWTVEVH >EOY14379 pep chromosome:Theobroma_cacao_20110822:8:122216:123510:1 gene:TCM_033776 transcript:EOY14379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQGGPTDEPIPYPESSTKLIMGMEEIQRRRYFYHDRQFTAHKEAHDTGTYGPIINKLEKSEKKKKTGAQLGCCRL >EOY16174 pep chromosome:Theobroma_cacao_20110822:8:6019493:6020487:-1 gene:TCM_035018 transcript:EOY16174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKQQGNTDQKKKKSAKEMPNTNGVVITTYVESPSIIRPLSESNPNKKIQPNPDSRNPKRSQGYDRRAQLLAYTQELRAADNGDQLLRWGEKSCRHKSKNWKCPTTPRKLGISFLRIFGKAKRRCKYQRMAYEEDKPRNTSGKKKKHGRRKFWRKLKRMFKGLSFVWHRKKG >EOY15203 pep chromosome:Theobroma_cacao_20110822:8:2563001:2564834:1 gene:TCM_034349 transcript:EOY15203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGGHEGSTTYKGVTLHHPKRWHTVTGKGLCAIMWFWVLYRAKQDGPVVLGWRHPWEGHDDHSHGHGDKH >EOY17044 pep chromosome:Theobroma_cacao_20110822:8:17176433:17190657:1 gene:TCM_036201 transcript:EOY17044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MKFAVKAWSNGSARAGVLHLSCCPTPIETPSLLLSTRKGLPLFISPDLLPSLPSPDSRLLYVSPLHFLEGLSIKTISKIGGLHQLLGLHEYGFVAVPRDSIQCLPEANGTNKLGASFETPCGRLLIKPVEYMEMISSMKPELWATLADEVPAWVSDKRNKTSVDRTIKWLDECIVSSPVGGAVFGAIVGGSSLEERQRCAQEVARRNVSGYWVGGFGLGESMNERPALLNAVIETLPEEKPRFICGLGLPEEILQGVAAGVDLFESTYIYHLTLGGFALTFPLDSMEINASNLASSDVGSDLRKINLRATVFRKDTTPIIEGCTCYTCQNHTRAYINHLLNVHEMLAQILLEIHNTHHFLGFFRSIREAIKAGRFEEFRKKFVQSRCDHLAVASLDVGFSQTRLV >EOY17045 pep chromosome:Theobroma_cacao_20110822:8:17176819:17182711:1 gene:TCM_036201 transcript:EOY17045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 LEGLSIKTISKIGGLHQLLGLHEYGFVAVPRDSIQCLPEANGTNKLGASFETPCGRLLIKPVEYMEMISSMKPELWATLADEVPAWVSDKRNKTSVDRTIKWLDECIVSSPVGGAVFGAIVGGSSLEERQRCAQEVARRNVSAGYWVGGFGLGESMNERPALLNAVIETLPEEKPRFICGLGLPAEEILQGVAAGVDLFESTYIYHLTLGGFALTFPLDSMEINASNLASSDVGSDLRKINLRATVFRKDTTPIIEGCTCYTCQNHTRAYINHLLNVHEMLAQILLEIHNTHHFLGFFRSIREAIKAGRFEEFRKKFVQSRCDHLAVASLDVGFSQTRLV >EOY15669 pep chromosome:Theobroma_cacao_20110822:8:4187650:4189417:-1 gene:TCM_034661 transcript:EOY15669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATRTTASGKPARQLGELLQEQQEPFILKVYLTERGCMRKNLNSGAKFIGCHGNSCKFLKKSGCQDKSKKCIPQFPKVLKVVCNKLFTIEGFRTKNSADEDGKLNVTEMDRNNKDTAEPDRFSSASSTTVYNSCSDIDIDEPSMFDDNSKSFKPYDQSEKKATADTKFQWSCVEDGKQHSPVSVFEDISTSRGSQLDNTRPISSSRQKSLFLPKLITEDSILSASLWNLLIQTTPGKSSCAGLTELQEPDLSNSSRFSISKKVLQQTKQLLFDCVRELVDSHDREEKGKEFSGSEEIGKVTCGKIKGWGKRCGDESIIKQWLKSDLTDSTQEWRDLETQKRDIGLVIGNAILEEITSQVAMDMVN >EOY16266 pep chromosome:Theobroma_cacao_20110822:8:6488793:6490959:1 gene:TCM_035101 transcript:EOY16266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein MPTSASFLRQLSGKEAWKSTSWRWGGNSRYNNVGGNSSGRFETSLTQMEGLNMYGNGVDNGLVIRKRVMVVVDQSSHCKHAMMWALTHVANKGDLLTLLHVISPSQKSSESSSCSPYLANSLGSLCKACKPEVEVEALVIQGPKLATVMSQVKKLEVSVLVLGQKRPSPFLNCLCGTSSSEEFVEQCIKGADCLTIGVRKQSKGVGGYLISTRWQKNFWLLA >EOY14799 pep chromosome:Theobroma_cacao_20110822:8:1298377:1299499:-1 gene:TCM_034070 transcript:EOY14799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGRTFVVIFFFWAALTVITPTLVLWSESSKPELEFNGQKSEGIKDRKMIGYAVKQVSNATKSSTQLEAAATTGTQNWSWLQELESCVSKVFRKAMGLLIRVS >EOY15105 pep chromosome:Theobroma_cacao_20110822:8:2260133:2262131:-1 gene:TCM_034278 transcript:EOY15105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MAAVVALAFVILMFSVSSPVRALSSNYYDHTCPQLESIVTSVVKKAMSNDRTVPAALLRMHFHDCFIRGCDASVLLNSKGNNKAEKDGPPNISVHAFYVIDNAKKELESSCPGVVSCADILALAARDAVALSGGPTWEVPKGRKDGRISLATETRQLPASTFNISQLQQNFAQRGLSIEDLVALSGGHTLGFSHCSSFQNRIHSFNATLDVDPTMKPSFAAKLRSVCPAHNKVQNAGSPLDSSTFVFDNAYYKLILQGKSIFSSDQALLTTPKTEALVSKFANSQKAFEKAFVNSMIKMSSLTGGKEIRLDCRVVR >EOY15792 pep chromosome:Theobroma_cacao_20110822:8:4660752:4665335:-1 gene:TCM_034752 transcript:EOY15792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MATWFLYLPLFLALYVFTKHFLNKIRNFPPSPLPCLPIIGHLYLLKNPLHRTLTQISNRNGPIVLLQFGTRPVLVVSSPSAAEECFTRNDIIFANRPGLLIGKHLGYNHTSLAWAPYGENWRNLRRIASIEILSATRLQMLSSIRNDEVKSLIRKLLDHQNQPVELRTAFFELTLNMMMGMIAGKRYYGENLEDVEEARRFREVHVETFKLSAKINIGDFLPWIKSRELERKLIECQSKRDKFMQDLIEQHKRRMRNNCDRMRKKTLIEVLVSLQESEPEYYTDQMIRALVLVLLLAGTDTTVNTMEWAFSLLLNHPEVLEKAQAEIANRVGHCRLIDESDLAQLPYLHCIINETLRMYSPAPLLLPHESSEECVLGGFRIPRGTTLLVNVWAIQNDPKLWTNPTRFMPERFEGLEGTRDGFRLMPFGSGRRGCPGESLGLRMVGLTLGSLIQCFEWSRISDDLVDMTDATGFTMRKAQLLQAKCKPCPAMLKLVSRI >EOY15734 pep chromosome:Theobroma_cacao_20110822:8:4450189:4451315:-1 gene:TCM_034711 transcript:EOY15734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MGNFLLEALNVRIDGSGEKFLVLAHGFGTDQSAWQRIVPLFTPYYRVILYDLVCAGSVNPDYFDFRRYTTLDAYVDDLLNILDALGVDRCAYVGHSVSAMIGILASIRRPELFSKLILIGASPRFLNDNDYHGGFEQGEIEKVFSAMEANYEAWVNGFAPLAVGADVPTAVREFSRTLFNMRPDISLFVCRTVFNSDLRGVLGLVRVPCCVVQTARDVSVPASVAEYLRTHLGGRTTIEILKTEGHLPHLSAPALLAQVLRRALAR >EOY16509 pep chromosome:Theobroma_cacao_20110822:8:7983636:7993461:1 gene:TCM_035306 transcript:EOY16509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGTSTQGSQKANNGTFKLGYLQQLEKWMAEKITNCQIRGIPHIQFRMRLLKSQYREVAEMLGHSASGFRWTDVNKCVTCKTNIWNGWVKFDTELQSHPITVRLKNKPFLHLNKLAIIFGRDRATGEGVEAPADAMKNIESEETATRATLDAFNGFGCEHEDDDMNFVNIPETLSVMTRNLPQAHDNRRDVPIDTHYSECQPEPRKAYISVSFLSWSGFTLSSSQLDNANTEISTTTKEGIQCCLSDLKDVCQAATTEIGNLAKCFKYMALENDKTMEVFEI >EOY14999 pep chromosome:Theobroma_cacao_20110822:8:1927270:1930097:1 gene:TCM_034207 transcript:EOY14999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase family protein MENQQLHLHHHLQQQQQQQQQLQRQPNSMKSRFRRVCVFCGSSPGKNPSYQLSAIQLGQQLVERNIDLVYGGGSIGLMGLVSQAVFDGGRHVLGVIPKTLMPREITGEPVGEVRAVSGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVDEGFIAPAARDIIVSAQTAQELMCKLEEYEPKHSEVASKLSWEMEQQLGFTAKSDIAR >EOY15009 pep chromosome:Theobroma_cacao_20110822:8:1944916:1946163:1 gene:TCM_034212 transcript:EOY15009 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein MSMATSLPRLHSPFLYCPLKSSTASLSFKCGRNQRSPASYPCIRAVDLDQNTIVAVSVGLVSVAVGIGIPIFYESQIDSAAKRENTQPCFPCNGTGAQRCRFCMGSGTMSVELGGDEKEVSKCINCEGVGSLTCTTCQGSGIQPRYLDRREFKDDD >EOY16964 pep chromosome:Theobroma_cacao_20110822:8:15462791:15465022:1 gene:TCM_036046 transcript:EOY16964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein MNSNGNGRRRRREAATVQDGERGLIWKLPRLKLQDVGKVGPAFGLGAGCGLGLGLGLIGGAGFGPGIPGLQVGFGFGAGCGIGLGFGYGVGRGIAYGEHHTYSNVGRLFGHRHLPVQDEIGALIDELVINTKKLAVAASREMDKWRR >EOY14789 pep chromosome:Theobroma_cacao_20110822:8:1268094:1273509:1 gene:TCM_034060 transcript:EOY14789 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative MEFRAAESVELPYYSTIKVHRLMCSELKKIINSISHMFSDLESARPCTSGMQALCLLQSAVDKAKLLIQHCSESSKLYLAITAKAILLRCDKIRKTLAISMNQVQDMVPPLLAEKISRIIDELRSASFPVEPSEYEAGAVILALLQQDTSASGSKLQSEIEALQLAALRLNILSPFARLIEERSIKILLGKVHDRETMKWKILNYLLYLLKKHGKLIWQLQSKITSPPEEDNYSSSMEQKQGVGKEEDETPPNDFFIPKPPEEFQCPLSMRLLYDPVIIASGQTFERVWIEKRFNEGNQICPVTKTRLEQLSITPNLAMKGLISKWCLRHGINIPEHAKPIPSLPSSWETSSRSIASFGSSVLGLQLQIGNVSIGSMSTGSTLDSSDRTRNDEVAADSHCQRCHLSSMTSSSHDINAAFLSELDKYSWKSKCKAVEKVKDLLEDNDKARRLTFSGSHVNPVITFLKDANEMCDIEMQKDGAEVLLAILSSDRIELPPCHEDVIYLLASLLDSEPARESLAIMEVLSWQQYYKSRIVASGVLPSILKVLDTTATECYTLAMKILRNLSDCSDVGYHIAYLGYIPKLVSFLEDSNVAGYCIEIINNICNIDQVRFEVTEANLFTSIARLLEDGKKEEQELAVDVLLSLCYEDTRYCQMIMTGSIIQSLFSIHRNGSSRAEDNAFHLIELVEHMTRSDASQCSIPSFILSQDNSYISSSNEGKTSSSKAFGFLIKKVSRFLRGKW >EOY16394 pep chromosome:Theobroma_cacao_20110822:8:7004320:7006399:-1 gene:TCM_035194 transcript:EOY16394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPQQEADNICQGNNVEKMQFGGIGQGGFAKEDEMVGWDMMIVMGLGRITWDASILIEFVGTPNPTLVPGNQSCNPLEM >EOY15039 pep chromosome:Theobroma_cacao_20110822:8:2039365:2049894:-1 gene:TCM_034236 transcript:EOY15039 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 18 MKILFLFANDYLNDGIYLLNLRRSLTSSKLCLCISLLVALADGGSSELFYDWTVSYSQRAPLGVDKQVIVINDMFPGPVLNATTNDVVNINIHNELTDPFLMTWNGIQMRRNSWQDGVQETNCPILPGQNWTYSFQLKDQIGSFFYFPSLLLQKAAGGYGAIRVNNRTVIPIPFPKPYKEYDVLIGDWYNANYGVLRASVEDGNSLPLPDGILINGQGPNQASFEFEPGVTYRLRISNVGLKTSLNFRIQEHLMLLVETEGSYTVQQLYDSLDIHVGQSYSVLVAAKNQTNAKSYYMVASSRFTSSEQFGIGIIRYPGSVGDPGVPLPSGPSQSDYEFSIQQARSIRWNLAAGAARPNPQGSFHYGSINVSRTLILENNVTLIGSKRRFTVNGISFLHPDTPLKLVDNFKFKDVFLPGIIRDRPSTTPPSLGTSVIDAHYHDFYHIVFQNPLSELQTWHIDGYNFFVVGMDWGTWDESKRAGYNMIDAVSRSTIQVHPSSWTAILVQVDNLGMWNLRSQNAENWYLGQELYLRVKSLGQDDSATISARDEAPMPENVIKCGRAAKL >EOY16347 pep chromosome:Theobroma_cacao_20110822:8:6790602:6791818:-1 gene:TCM_035155 transcript:EOY16347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGENNGIEVFIRKSEIETDGIELRKPMGKETVVDGSFCSILCEGDTCLFQLSNYHWPYEKFGFKEYTYVKIHY >EOY16486 pep chromosome:Theobroma_cacao_20110822:8:7644626:7647937:-1 gene:TCM_035268 transcript:EOY16486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCVDYRDLNRASFKDDFPLSHIDVLVDNIAGNAMFSFIDGFSRGECTIYYLSKKFDNFESRYSGFERTYCTIARAAHRLRLYMLYYTIWLISKMDTWKYIFEKLSLSNRVA >EOY17328 pep chromosome:Theobroma_cacao_20110822:8:19432064:19432761:1 gene:TCM_036489 transcript:EOY17328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVRWQGSMQPDWLLSCILPSLLFIKWVVQFSLAINSSTITSTTLRIHKPHPRSSTTNRSFYIYKIDKNYTESGQRTLLLFASFTHPRQPTGALRY >EOY17390 pep chromosome:Theobroma_cacao_20110822:8:19917621:19921001:-1 gene:TCM_036545 transcript:EOY17390 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRINKLY4 related 4, putative MGLFFGESHRVSLLIFSFLCSVSICSSLSSVSISETSGLTLVCALEPQRSSLNCSSFPPGIQTPFNSNYSFSGIVSGDGFLCGLRPSFSSSNVSVMHCWRFSSNGTTIEFKRIYEGPGLKQLDAGNSHICGLNETNALECWQWPGFNPTGDRNFSTIAVGEGFLCGLSEAGKIKCLGNVTGISGQELRGSYSVIAAGFTHACAISFDNDLECWGNTVGNKPQGKFKALALGQNRSCALRINETVVCWGENNFSLPEELGGYGFLSIEAKRNVFCGVLTFNYSLFCWGDTDFASNFMVFSRVLPGPCRDSCQCGSLQGSGFLCNNGRSICQTCNTPVVPITPPPPSAPSPSSDLNGKMVAFLVVGCVGSFCWFLVVGFFVFRYCKGRGCRVHDSGPLDETGGPDNAVPNQPQSSQAPTAPAVLEKRLSQLTSMGNTGYLEEFSLQALIQATNNFSEDHKVGTGSFGSVYHATLDDGREVAIKRAEITFTSSYAIGTKRQEDKDTAFVNELENLSRLHHKNLVRLLGFCEDSNERVLVYEYMDNGTLHDHLHKFQNPPFMSWAVRLKIAADAARGIEYLHEYAVPPIIHRDIKSSNILLDGNWTAKVSDFGLSLLGPGDDESHLSLRAAGTFGYMDPEYYRLHQLTTKSDVYSFGVVLLELLSGCRAIHRNENGVPRNVVDFVVPYILQDEIHRVLDPRVPPPTPFEIEAVAYVGYLAADCVRPEGRDRPSMTEIVSSLDKAFAACLLPPALSRSTTDSST >EOY15689 pep chromosome:Theobroma_cacao_20110822:8:4265389:4269713:1 gene:TCM_034676 transcript:EOY15689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 2, putative MARSDKIAVLFFFFLLKVCSHLAMSHSLVKFLPGFEGPLPFELETGYVGVGESDDVQLFYYFIKSERNPGEDPLLLWLTGGPGCSGLSGLVYEIGPLNFKVVEYNGSLPTLVLNPYSWTKISSIIFVDSSVGTGFFYATNQHAAQSSDFKQVHHLHQLLQKCLMDHPEFLSNPVYIAGDSDAGIILPVIFQEILYGNEEGIRPLIDLQGYILGNPRTVPTLEDNSKISFAHRMALISDELYDSLKRSCRGEYQNIDPRNVECQKDLQYYTMTYSYLLSSYWSNDGEAQKAIHIRKGSIGQWKRCNFGLPYTADVPSSFPFHVNLSSRGYRALVYSGDQDMAIPFLGTEAWIKSLNYPIVDDWRPWMVKDQVAGYERTYSNQMTYATIKDGGHTAPRVQAHRRFCYV >EOY15464 pep chromosome:Theobroma_cacao_20110822:8:3410606:3415764:1 gene:TCM_034519 transcript:EOY15464 gene_biotype:protein_coding transcript_biotype:protein_coding description:VERNALIZATION-INSENSITIVE, putative isoform 1 MEAEKRFRGFLLDPNKFSQLSLGEKRALVHDIAQRSDDAPQILSSFTRRELLEIICSEMGKERKYSGYNKLKMIEHLLKLVSLKSRRTDTDQFCSLYGAKPEMGYKRKRQDESPDQLLTDLNDIPLGQAKEENVKILVCHNAACRASLDPADAFCKRCSCCICHHFDDNKDPTLWLTCESDCDENESCGMSCHLKCALEDERAGIVKSSCCTKLDGSFYCLSCGKINGLMSTWRKQLVVAKEARRVDVLCLRISLAHKILFGTEKYKEVQKTVESAFKLLNNEVGPVNLLCTKLARGIVNRLSCGTEVQKLCASSVEAFDSMVTEPCSDHVKKKVPASCQICFEELSPNSVIIVLDYAVHLLEDFLGCRVWHRKSTERDYPDNPTFIVLRPEKRFRITDLNPSTEYFCKVSLFTSRRTLGVWEAKWVSPASSENYAAALERGKKNTPLTAQTYSQVKPTNSSKINLGSGGYAAKLPSLEGINKSNNERLCSSFMETDSALSLASISPSTPCKSNNTREVDGSGFKRMVGESDYEYSVRVVKLLDYEGHIDEEFRVKFLIWFSRKATVQERRVVTVFVDVLIDDPPGLAEQLRHAFMDEICCEQKSVSWQAYCTMY >EOY15465 pep chromosome:Theobroma_cacao_20110822:8:3410651:3416068:1 gene:TCM_034519 transcript:EOY15465 gene_biotype:protein_coding transcript_biotype:protein_coding description:VERNALIZATION-INSENSITIVE, putative isoform 1 MEAEKRFRGFLLDPNKFSQLSLGEKRALVHDIAQRSDDAPQILSSFTRRELLEIICSEMGKERKYSGYNKLKMIEHLLKLVSLKSRRTDTDQFCSLYGAKPEMGYKRKRQDESPDQLLTDLNDIPLGQAKEENVKILVCHNAACRASLDPADAFCKRCSCCICHHFDDNKDPTLWLTCESDCDENESCGMSCHLKCALEDERAGIVKSSCCTKLDGSFYCLSCGKINGLMSTWRKQLVVAKEARRVDVLCLRISLAHKILFGTEKYKEVQKTVESAFKLLNNEVGPVNLLCTKLARGIVNRLSCGTEVQKLCASSVEAFDSMVTEPCSDHVKKKVPASCQICFEELSPNSVIIVLDYAVHLLEDFLGCRVWHRKSTERDYPDNPTFIVLRPEKRFRITDLNPSTEYFCKVSLFTSRRTLGVWEAKWVSPASSENYAAALERGKKNTPLTAQTYSQVKPTNSSKINLGSGGYAAKLPSLEGINKSNNERLCSSFMETDSALSLASISPSTPCKSNNTREVDGSGFKRMVGESDYEYSVRVVKLLDYEGHIDEEFRVKFLIWFSRKATVQERRVVTVFVDVLIDDPPGLAEQLRHAFMDEICCEQKSVSWQAYCTMY >EOY17441 pep chromosome:Theobroma_cacao_20110822:8:20474296:20479876:1 gene:TCM_036609 transcript:EOY17441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDEQGLLAFAMAIYGLVVFSKVLGHVEVSVIDFFDQVTRSINPAPSILAETLRSLNFCRCKREGRFIGCAQLLTIWIKSHFKCKESKFRKPYLSSLMGPWGAINYAPIMVRKQFGSEQFMPMTHQLNQLEFTYEELETLNKIEKIAQDWKKTCQVDQGRVTDEVTTRYDTWHDQRVKNVVCPPRNPSKHPINPEPQNVLLESELTRKRLENEMMNMKRRHEDELEEVKKETTRKHEVRRQEQTIQELRNDCGLMETAMEGYKAQYELVRQEYFQMRERNDSCTQSLQRKGAEMRWILRHMREVAFRARVMVDKIEELK >EOY16618 pep chromosome:Theobroma_cacao_20110822:8:9005124:9006962:-1 gene:TCM_035439 transcript:EOY16618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSNASNMQQLSLQIGSQSYHLLHQNLTINFLYSISSDITMASPNNFNFPYFPPPPLRPFQPPPPPHHPITPPPPHIHPPPPPHLHPPPAPLPPAPSPSNHTVIIVVLISCGGLFLLAFLAVALFCFLKKKKKRTVQETDIVHVDEHLKVKEAIVPGPHGPHAVVLEIVDDVHIDEEIAKTEKIEQGSHVHSAEKNAKALQAGGASSSSDHHQLEHKA >EOY15028 pep chromosome:Theobroma_cacao_20110822:8:2003610:2006781:-1 gene:TCM_034226 transcript:EOY15028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant neutral invertase family protein MCPLGIDVSQTGNVKTLESAGTIFEIEDSDILRISERPRAINVERNRSFEERPSSELSITSPRHSLKILTDHLEGLSPHGRRSGFNTPRSYTYFEAHAMVAEAWENLRRSIVFYRRQPVGTIAAIDHSVEELNYDQVFVRDFVPSALAFLMNGEEEIVKNFLLKTLHLQSWEKRIDRFKLGEGVMPASFKVIHNPVRNSEILMADFGESAIGRVAPVDSGFWWIILLRAYTKHTGDSSLAEMPDCQRGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKPDQEGKEFMERIVKRLHALSYHMRSYFWLDLKQLNDIYRYKTEEYSHTAVNKFNVMPDSLPDWVFDFMPIRGGYFIGNVSPAKMDFRWFCLGNCVAILSSLATPEQAAAIMDLIESRWEELVGEMPLKICYPALESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAETRLSKDHWPEYYDGKLGRYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPLMKRSTSWTC >EOY14535 pep chromosome:Theobroma_cacao_20110822:8:552172:554443:-1 gene:TCM_033888 transcript:EOY14535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A 2A, IIA,PLA2A MEKIRKLLHPPTHGELITVLSIDGGGIRGIIPGVILSFLESELQKLDGEQARIADYFDVVAGTSTGGLLTTMLTAPNEKNRPLFAAQEIKAFYFEHGPRIFPQNRFPFSGVTNMIKRVLGPKYDGKYLHGVLKERLGYTRLHQTLTNVVIPTFDIKKLQPVIFSSYEVKKSPSLNALLSDICIGTSAAPTYLPAHYFETKDSEGEVKKFNLIDGGMAANNPALVAISEVTKQINRGHCDFSSIKGANHYSRFLVLSIGTGSEKLAEKYNASQAARWGLIGWLTSGHSTPLVNAFTEGSADMVDFHISVIFKALGSEKNYLRIQNDKLVGEVSSIDVSTEDNLKNLVQVAENLLNKPVSRVNFETGNYEPSGELETNAQALKRYAKLLSQEKACRERSLLQKLNA >EOY17469 pep chromosome:Theobroma_cacao_20110822:8:20723893:20725993:1 gene:TCM_036650 transcript:EOY17469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin protein kinase family protein, putative MGIAIDLHWYMTIQFGLVMVQLLCLVGGEGREGLFPQNRAEANPPSFRERPAGNRSGINKPKRHETNDYGHPNVYTASITYSGGVPIWTAGSNVDSGGALHLLPNGALRLTDGTGATLWDSDTANRGVSYASLEDSGELRLLTNASIVVWSSFDNPTDTILPSQNFTVGKVLRSGLYSFSFLRSGNLALEWNESVVYWNKGLNFTLRANLTTASLVLQAIGILSVFDPTSTNGEIVAYSSDYAEGSDILRFLRLDSDGNLRIYSSARGSGTTTIRWAAVSDQCEVFGYCGNLGICGYNGSYPICECPSQDFEHVDANDGRKGCRRKVEIEDCPGNATMLQLDHAVFLTYPREVFSLTFFVGISGCRLNCLVSPPCVAATSLADGSGLCYLKTSGFVSGYQGPVIPSTSYVKVCGSQA >EOY14893 pep chromosome:Theobroma_cacao_20110822:8:1589593:1592179:-1 gene:TCM_034137 transcript:EOY14893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRAIANKMVADQKERDASVLVLLEVRLNANFQALMIPSYTPTERLLTYYVLDVCFGINGKYSQATKLVNMSSLMSHDS >EOY16730 pep chromosome:Theobroma_cacao_20110822:8:10644649:10647833:-1 gene:TCM_035609 transcript:EOY16730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCTIGALFFSKALSDLRVSINLMLLSIYNKLGLGEIKPATVFLQLADKFLTYLRGIIEDLLMKVDKFIFLLNFIVLDMEEDRKVPIILGRPFLHTTKALIDVDKGKLTLRVEDQEVTFKIFKALKYTNDCDKCFAMSVVDKVISEVFRESHSIDPFEATLIVDFETSIDYVSKWVEASTLPTNDVKVVLKFLRKNIFTKYNTVVSPSRKNWAKKLDDTLWAYRTPYKTPINMSPYRLVFGKACHFPTKLEHKAFWAINKLNFDLKVASEKHLLQLNKLNEIRLDA >EOY16167 pep chromosome:Theobroma_cacao_20110822:8:5995162:6001781:-1 gene:TCM_035014 transcript:EOY16167 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-jun-amino-terminal kinase-interacting protein 3, putative MGVNVSLMERSEPALAPEWLRSTGTVTGGGNSAHHFASSSSHSDVSSVAHHGRNRNSRNLIDFDSPHSAFLDRASSLNSRRSSSNGSAKHAYSSFSRNHRDKDRDRDKERSSFGDHWDRDSSDPLESILTSRVEKLGGISISRVERETLRRSYSMVSRKQGEPLSRRIAVDSRDSGNGNHNNGNGLLSGGTIGSSIHKAVFEKDFPSLGNEEKQGVPEIARVSSPGLSSASQSLPVGNSALIGGEGWTSALAEVPSVVGSSSTGSLPAPVTVSTSGSGAPSVTAGLNMAEALVQAPSRIRTAPQLSVKTQRREELAIKQSRQLIPVTPSMPKGSVLNSSDKSKAKPAVRTSEMNIAVKSGQQQSPHGGHAKSDMPKTSGKLLVLKPGWENGVSSPTQKDVASPTTNSNSRAATNQHAVAPVTSSPARNSNNTKLSAGERKPAALNPIAGFTVEKRPSLAQTQSRNDFFNLLKKKTSTNTSAGLSDSDLHNSSCTTEKSEVTKEVVCASATAHANENGTASNSNGDACQEAQRFSDDGEKNMSSTAMVYPDEEEAAFLRSLGWEENSGEDEGLTEEEINAFYQEYMKLRPSLKLCRGVQPKQAESFATNLGGASSELSSLDSGSEA >EOY17004 pep chromosome:Theobroma_cacao_20110822:8:16372758:16408170:1 gene:TCM_036129 transcript:EOY17004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MSPSNIGEYNNLQNFTSYYENLTTFKKIDLSYIDLECEIPYEIGNLIVLEKFYATDMHLSGQIPPSIFNISSLKEIVLFNNSLSGKLPCVSLDSNLEVLLLWNNYLSGNISDCISNALKLKILELSQNSFTGLIPNTLGNLSFLEVLRLWSNHLTIETPNHEWSFLSSLANYKNLRVLDISSNPLNGILPTSILNLSASLQQFIANDCKIKGVIPMEIGRLSNIIVLSLSQNELSGSIPATIGRLQNVQGLYLNANKLQGPIPYSVCHLEKLSDLSLSANMLQGPIPPCLGDLTSLRNLYLDSNKLHSTIPFTFWSLKDMLKVDLSSNYLNGSLPLDIGNLKVLTYLNLSRNLFSSDIPITIGGLNSLQILSLSSNRLQGPIPLSLGDMISLETLDLSDNNLSGIIPKSLERLSYLRYFNVAFNKLEGEIPTEGCFRNFTAKSFMNNYALCGSRQLQVPHCKNNTHRPLKTISMHILRYALPIIASIMVVLTFIIVLKKFQNRSTNLSMNEGLTLDVHSRNLYNRLLEATDRFNEGNLLGSGSFGSVYIGTMSNGRNVAIKVFNLQLEGGFRSFDVESEVMQNILHRNLVKVISFCSCINFKALVLEFMPNGSLEKWLYSNHYFLDIFQRINIMIDVASALEYLHLGHPNPIIHCDLKPSNVLLDGDMVAHVGDFGIAKLLGEAESMKQTITLATIGYMAPEYGSTGIVSVKSDVYSYGILLMETFTRRKPTDEIFVGEMSMKDWVKESLSNETIGVADSSLLRSEERHFMAKANCISSIMELALDCSVELPEKRKDMKDVVFILQKIKIKYLNNIRQV >EOY14487 pep chromosome:Theobroma_cacao_20110822:8:444593:445181:1 gene:TCM_033855 transcript:EOY14487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRKNEKQILQVFQGSNLNEDHLLNAGELKRAFSQLDKPQLSSFRVNQALRKADRNGDKSIDSKELPDLAKFTSSILR >EOY15500 pep chromosome:Theobroma_cacao_20110822:8:3518620:3523369:-1 gene:TCM_034535 transcript:EOY15500 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRT-like transporter 2 MSFPATSSFRSVPSHCPTYSLLNSNSAKLHYLISMSLPQNRSPFPRNLNLNLTHSITKVPRNNSPIIRPNLIVRASSKTPNPASDAKLITISSAVTVALAVANRVLYKLALVPMKEYPFFLAQLTTFGYVVIYFSILFVRYRAGIVTNEMLGLPKSRFIAIGVLEALGVASGMASAAMLPGPAIPILNQTFLVWQLAFSMILLGRRYLIYQIAGCLLVAIGVVVAVASGSDTDQMLSGVELMWPGVMIASAAFQAGASIIKEFVFIDAAKRLKGKSLDIFVVNSFGSGFQALFVLLLLPFLSNLKGIPFSELPSYLKSGAACFLKTGAGTSGCEGAPLLPLLYIVTNMVFNISVLNLLKISSAVVSSLAVTLSVPISIYILSLPLPYLPEGASLSPFFLFGTLILVSGLVLYNIAQPVMEDSKRA >EOY17247 pep chromosome:Theobroma_cacao_20110822:8:18677152:18677687:1 gene:TCM_036396 transcript:EOY17247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQPSLGNAVGPLLFVNDIVMVVSNDDPFDQIDADCEKDDIAYWNDEMDDDCEDDNVGRHDDYSEQNMGEDNDILNCNHANSSTKHAITVVLKNVLCNNHTTTVVLEDVEYDDPVYDNLITGDNVLEDVEYDVFMTTSSLVTMGFVRPITMIRKR >EOY15915 pep chromosome:Theobroma_cacao_20110822:8:5013231:5015689:1 gene:TCM_034838 transcript:EOY15915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTTGSSTVLPCKVKCLHQEYSLCRSQPAILKTGLFLAISSHKNEQLSLRNQTSPSPLGTGDLGIQTNGNGRKMNNMVVCCSLEPGPAPPFPFNLIPAGPNWLWILGAIVSVLLSFTTSKWGPLLKLKNEADNMMEAAEHITDVVEEVAEKVEKIADEVGDQLPDGGKLQATLQLVEDLAEETAKNARRAGDLIDKVQEMEDKMESYMESVEAKSADGKGNEKNEKTKEA >EOY16557 pep chromosome:Theobroma_cacao_20110822:8:8259132:8260721:-1 gene:TCM_035350 transcript:EOY16557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSAMSEEIQRRQYEDLDSLLIVLREKWAFNVAINTHSSCFARSLRASQWTTSYGLPLARARHDYQSSNSASSPRLKFCPMPDVFRRSYEVAVDEIHARY >EOY17022 pep chromosome:Theobroma_cacao_20110822:8:17105801:17108323:-1 gene:TCM_036187 transcript:EOY17022 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein METLGELVLLVSLIIVCFSARGCSQAQPQGPQVPCFFIFGDSLVDNGNNNGMVTLSRANYRPYGIDFSQGTTGRFTNGRTFVDALAQLMGFPQYIPPYSRARGPELLRGVNYASGASGIRDETGDNLGDHTSMNGQVANFANTVVQMRRLFRGDTNALTSYLSKCIFYCGLGSNDYLNNYFMPNFYTTSSDFTAKAYAAALIQDYTRQLTQLHSLGGRKVIVTAVGPIGCIPYQLARYHSNSTGCNETINKAIVLFNTELRKVVDRFNGGQLQGAKFVYLDSYKSSTDLYKNGTANGFEVIDKGCCGVGRNNGQITCLPLQQPCTDRRKYLFWDAFHPTDQANIMFAQASYGSQSYTYPINIQKLASL >EOY14902 pep chromosome:Theobroma_cacao_20110822:8:1614107:1616163:-1 gene:TCM_034142 transcript:EOY14902 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHI-related sequence 7, putative MAGFFYLGGREGAAASKQEGEDKEENLYLYRNEEIYNKGFELWPQYYYQQQNVNNYSFGAGPSRRTSGFNLSDESSSRSAGFTVMRQGGMNCQDCGNQAKKDCTHLRCRTCCKSRGFQCQTHVKSTWVPASKRRERQQQLAALQQQQQQQQNQQQEQQQFRGENPKRQRENQGAPSLACTRLSPTTSGLELGQYPPEVNSPAVFRCVKVSAMDDADEEFAYQTAVNIAGHVFKGILYDQGPESRYTSGGESSQPLDLITAATTAAATTTTATTSSNPGTSMLDPSLYPAPLNAFIAGTQFFPPPRS >EOY17072 pep chromosome:Theobroma_cacao_20110822:8:17408770:17413434:1 gene:TCM_036228 transcript:EOY17072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGGLLSLLLVISRSIPPVNPNRILLHADERGLSFTVAFSSSTVPFRATLFLATIADSMKQAAVAGTMHLRTYTRHTSMRNIMGNFM >EOY14804 pep chromosome:Theobroma_cacao_20110822:8:1307942:1311723:1 gene:TCM_034073 transcript:EOY14804 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-like carbohydrate kinase family protein isoform 3 MGAETLLTNGEARVQLEAKVPVILGLQPAALIDHVARVDLSLLDQIPGERGGSIPVAIEELEHILSELKKHILASADDLSPMKTMAGGSVANTIRGLSSGLGVNCGMIGAFGDDEQGQLFVSNMSISGVNISRLRKKNGPTAQCVCLVDALGNRTMRPCLSTAVKVQADELTQEDFSGSKWLVLRYGIFNLEVIQAAIQFAKQEGVSVSLDLASFEMVRNFREPLLQLLESGDIDLCFANEDEARELLRGRQNADPEAALEYLSKYCRWAVVTLGPNGCIAKHGKELMTGQLNFLCQIVRVPAIGEAKAVDATGAGDLFAGGFLYGLVKGLSLEECCKVGSCSGGSVIRSLGGEVTPENWQWMYKQMQVKGLSLPDIRN >EOY14803 pep chromosome:Theobroma_cacao_20110822:8:1308145:1311688:1 gene:TCM_034073 transcript:EOY14803 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-like carbohydrate kinase family protein isoform 3 MGAETLLTNGEARVQLEAKVPVILGLQPAALIDHVARVDLSLLDQIPGERGGSIPVAIEELEHILSELKKHILASADDLSPMKTMAGGSVANTIRGLSSGLGVNCGMIGAFGDDEQGQLFVSNMSISGVNISRLRKKNGPTAQCVCLVDALGNRTMRPCLSTAVKVQADELTQEDFSGSKWLVLRYGIFNLEVIQAAIQFAKQEGVSVSLDLASFEMVRNFREPLLQLLESGDIDLCFANEDEARELLRGRQNADPEAALEYLSKYCRWAVVTLGPNGCIAKHGKEIVRVPAIGEAKAVDATGAGDLFAGGFLYGLVKGLSLEECCKVGSCSGGSVIRSLGGEVTPENWQWMYKQMQVKGLSLPDIRN >EOY14805 pep chromosome:Theobroma_cacao_20110822:8:1308069:1311642:1 gene:TCM_034073 transcript:EOY14805 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-like carbohydrate kinase family protein isoform 3 MGAETLLTNGEARVQLEAKVPVILGLQPAALIDHVARVDLSLLDQIPGERGGSIPVAIEELEHILSELKKHILASADDLSPMKTMAGGSVANTIRGLSSGLGVNCGMIGAFGDDEQGQLFVSNMSISGVNISRLRKKNGPTAQCVCLVDALGNRTMRPCLSTAVKVQADELTQEDFSGSKWLVLRYGIFNLEVIQAAIQFAKQEGVSVSLDLASFEIVRVPAIGEAKAVDATGAGDLFAGGFLYGLVKGLSLEECCKVGSCSGGSVIRSLGGEVTPENWQWMYKQMQVKGLSLPDIRN >EOY15765 pep chromosome:Theobroma_cacao_20110822:8:4564749:4568387:1 gene:TCM_034733 transcript:EOY15765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding domain 3, putative MEIILELFLTAFIAVIFSFLIAKIVSLAMGGGDSVSGVEEKSLTDVDDDIIMEELEFAEKLKVQGLECEKKVEFAKESAEKVDVFEAEEAGVDQGFESEKTVECVQEAAGEVDEFEAEVVERLNVQGFESDKEVELLQETVNKVDDFEAEKSEVGEAEAEVNLDDIVVEQKMEKKEVEEIGEELKADNETKVQSEEINVEESQMKGLGAEEKEVKLDDDDDDDDWEGIERSELEKVFGAAAKFVGRQGDLAGVGNDDQMELYGLHKIATEGPCRESQPMAFMVSARSKWNAWQRLGNMNPEAAMEQYVALLSDRVPGWMEDNSDGKHKFESAEPGAPGGMAPDISSFPDQQTNFTQERNEVLKSATGGGDITESTSTEKQAKD >EOY14989 pep chromosome:Theobroma_cacao_20110822:8:1894925:1896071:-1 gene:TCM_034200 transcript:EOY14989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQNLGSQLYYLYPCYTSKSCPLGTDQVFPESMADFITYIFLPLPFVFYSNPKRCFVYT >EOY15659 pep chromosome:Theobroma_cacao_20110822:8:4173321:4174163:1 gene:TCM_034657 transcript:EOY15659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSHNEVYSHVNVPFSWELKPGVSKVTHEEGSIDLRHISVNLPPPPRLSKSAWFCVDDLQGVLPPCQLQPLPRSAAKKGNFNKQEDPFVAAYRKCAEYSINGQLGTDSKNDACRTRTMRNMCTLLCKYSCTVSSDNEVRVSQCSKEKPKEEPKEKDRGDAKFIGQSDVKRNQEKLN >EOY15700 pep chromosome:Theobroma_cacao_20110822:8:4325703:4327237:1 gene:TCM_034686 transcript:EOY15700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKTLNPYSTTAKTAEIMSRYRPIAPKPEVPANSLNENSAMSQKIRQSPYLRNLWPQLQARPTRTRKRGRAALSPPTLKRARTHVLGLSSPSPVTSPAKNLSLQGFSHGIPQLSVPNFVNTGGGLEISCAPPASLVTLPLLPCPPSGPIAANKATLPALNCMEPCGGEKVIDLNTVAEIPEEKDLLKQLQGPVTSGVIAPQPIRPVGSSISVGCISEDPSLTPPMQVPKKSEEVEEEVESEALPAVISDSNNKVRLANSAYKEMVGQPECPWLDSMVTVEGRAGGNSCKRICGEVMLHLSDSRVPVTSNRFSCWVRIDWGSDGKKSSINAFCDVIRLSCQSKDYLFTWRFHTHNREATHSSCNV >EOY17054 pep chromosome:Theobroma_cacao_20110822:8:17205823:17212632:1 gene:TCM_046892 transcript:EOY17054 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MLIVRQIVCERRRMRSSLFYHTTCSLWRRRGLTAKPYRCFLSSASFSTADYDNGDAFQSNSSKVKIFDRHLKRKQRDRAAWLLRPNDSFVDAVAENLLDRLEDCKKTFPTALCLGGSLQAVRRLLRGRGEIDKLIMMDTSYDMVKRCESSRPDSYNENIETSYVVGDEEFLPIKESSVELVISCLGLHWTNDLPGSMIQCKLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPRISPLAQVRDAGNLLTRAGFALPGVDVDEYVVRYKSALDLIEHLREMGETNALLERSNILKRETAVATAAIYDALFAAEDGTIPATFQVIYMTGWREHPSQQKAKRRGSATVSFKDIQKQFGSGG >EOY15472 pep chromosome:Theobroma_cacao_20110822:8:3424925:3425666:-1 gene:TCM_034523 transcript:EOY15472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFKLNNMNKATVFVTLLGLLLGVLARSCVQGLQKNEGEENGSYFGQQFDELCNNVCTSIQNGLVTLTVKFLHFFISSCLQFRYLPALFPAPWTMSMSFWVAFTRSISSMPNNPLQ >EOY15093 pep chromosome:Theobroma_cacao_20110822:8:2210231:2217917:1 gene:TCM_034267 transcript:EOY15093 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL20J20.8 protein MELIFSSSSSSLTYLVSHLLVLALLICSTKALVKLPPNETVPAVIVFGDSIVDAGNNNDLSTLIKCNFLPYGQDFDGGVPTGRFCNGKIPSDIIAKEMGIKDTVPAYLDPTLTPQDLLTGVTFASGGTGYDPMTPKLASVISLSDQLDHFKEYIAKLKALVGEERTNFIIAKSLYLIVAGSDDIANTYFVLRVRKLQYDIPAYTDLMANSAQTFFKELYALGARRMAVFSAPPIGCVPSQRTLAGGIERECAEDYNQAARLFNKKLYAVLNSLQTSLPDGKFVYTDVYNPLLQLIENPQKYGFEVANKGCCGTGNIEVAILCNKLSPSTCTDDSKYIFWDSYHPTEKAYRVLVPLILDQDFVNNFF >EOY16830 pep chromosome:Theobroma_cacao_20110822:8:11647173:11649117:-1 gene:TCM_035716 transcript:EOY16830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLYWQKPVLGEFKLNVDGSSKCNFQNATSGGILRDYTGSLVFGFYENFGVKNSIQAELLALYKGLILCRDYGISHLWIEMDALVVIQMLTGRYRGSHDSRYLLANIQNLHNYFSYKLSHIFQEGNQAADLLVNLGYEYHSLQVFTVPFGKLQGILRLDKIDLGYV >EOY14778 pep chromosome:Theobroma_cacao_20110822:8:1244109:1248089:1 gene:TCM_034050 transcript:EOY14778 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein MGEQTRAQLQPQAQSQPQNDSSSSTPTQEQSQGQTQTQNPNNTSNAAVSTTVTSAVVTSAPTELTNVPPQTSSPPSKIPFRPRKIRKLSPDPNSDTNASQQATTSATSATEPPKTVAKTPKTKLTQHRALAVVPRIMARSLSCEGEVETAIRHLRNADPLLASLIDIHPPPTFDTFHTPFLALTRSILYQQLAFKAGTSIYNRFIALCGGENGVVPETVLSLTAQQLRQIGVSGRKASYLHDLARKYQTGILSDSAIVNMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPINDLGVRKGVQLLYNLEELPRPSQMDQLCEKWRPYRSVASWYLWRFVEAKGAPSSAAAVAAGASLPPPQQEEQQQHQQHQQQPQLLDPINSILNLGACAWGQ >EOY14578 pep chromosome:Theobroma_cacao_20110822:8:631949:633460:1 gene:TCM_033904 transcript:EOY14578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF617 [Source:Projected from Arabidopsis thaliana (AT5G42680) TAIR;Acc:AT5G42680] MPPVHSSPYFQMDNPAILSLLRPTPGEKHRKSSSGGLLRMFKLFPMLTSGCKMVALLGRPRKPMLKDSATTGTIFGYRKGRVCLAIQDDPHCVPMFVIELPMLTSVLQKEMASDIVRIALESETKTHKKKVLEEFVWAVYCNGRKMGYSIRRKQLSEDELHVMQLLRGVSMGAGVLPSPNEKETAADGELTYMRARFERVVGSKDSEALYMINPDGAPGPELSIFFVRAH >EOY15217 pep chromosome:Theobroma_cacao_20110822:8:2605524:2609646:-1 gene:TCM_034360 transcript:EOY15217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis protein of unknown function (DUF241) [Source:Projected from Arabidopsis thaliana (AT1G76240) TAIR;Acc:AT1G76240] MLVGGLQGQVVQRLSVMSACQTMRVIEFHYVATVSLEWWISSISTPIQLVFQSLTEGSLAELALSSRAASVSKPRGVSSPQEKKPISASSTQPLRESTTIRSNTSKPKKMVGVFRRSLSFPNKTPNRPSKPPISHHIRSISLPCGSHPLISQLKDEITELKTWSCRPDNRTSAWLCDGLSRLKDVHDALHDILQLPQTRELLSHKREWVEKLLEDFLRFVDVYGIFQTSSLALKEEQLAARVALRRKDDSKIAMYLKGRKKMAKEIAKLVSSIRCIGRYSFPVSAFVSIADTELAGVISDVIEVTVSVSLALFNGISISFVSRKPSWMGLKLSKKAKKVKIEESIKEFQQMGEANMMGLRKKGDEEVRMVLKGMQDLERCIADVESGSEKAFRSLINIRVSLLNTLTK >EOY17468 pep chromosome:Theobroma_cacao_20110822:8:20720433:20723843:1 gene:TCM_036649 transcript:EOY17468 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-type lectin S-receptor serine/threonine-protein kinase MAKLSLFLLLLLHLLFTVSSSSTIIPLGSTLHASDANQYWSSPSSTFSLSFIPVAPFSYVAAITYSAGVTVWSAGDGSHSAGAVVDSGGTLHLLLTGALRLINGSGTIVWDSGTADRGVSHASLDDSGNFQLLNNDSSPTWSSFENPTDTLVPSQNFTVGKILGSGSYSLSLNKIGNLTLKWNNSIEYWNLGFNSSIKGNLTSTRYVLESTGILRGFDPSLSSGMIMAYSTDYGEGNGAFRFLRMDSDGNLRIYSTSKGSGNITSTWAAVTDQCQVFGYCGNMGICSYKDMNPICGCPSQNFELIDVNDSRKGCKRKVEIEDCPGNFTMIELGHAKFLTYPPEVSPQTFYEGILACRVNCFGSGSCIASTSVADGSGSCYMKTPDFVSGYQNAILPSTSFVKVCWPAVPNPSPYQDNAGKDNNSRSPVLIVAVVVLASLLVLVALLIGFWCCCYRSSPKFGHISAQYVLVDYASGAPVKFSYKELQQYTKGFSERLGIGGFGAVYKGTLTNSMVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLMGFCTDGHHRLLVYEFMKNGSLDNFLFMSKDKKGKSLNWKNRFNIALGTAKGITYLHEECRDCIIHCDIKPENILLDESYTAKVSDFGLAKLMNPKNNRYLSLASIRGTRGYLAPEWLANLPITSKCDVYSYGMVLLEIVSGTRNFELSTETDGKRFSLWAYEEFQKGNVEGIVDKRLEEVDIEQVVRAIMVSFWCIQEQPSQRPMMGKVVQMLEGVIDVERPGAPKVVAEGSTRGTSTTVNSNISAFSTYASSSSQSIGVLPFRLEGDMGRESSSLLGLERSETDPHS >EOY17126 pep chromosome:Theobroma_cacao_20110822:8:17969650:17972846:-1 gene:TCM_036298 transcript:EOY17126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MSFNGDFLFKHHERLLQLLKSWSAVPSLKTTKPLHALAITLGPYTCQPIFVYNNIISQYAFLRHLSAARKVFDIMTERNPVSFNSMISAYGKCGDVWGAWDLFSMMRGCGFSPTPFALAGLLSCQALDLCGGSQLQALVVKNGLFDADAFVGTALLGLYARSGCVSEAVQAFEDMPRKSLVTWNSIISLYAHYGLVEDCMLSFRELLRLEASLSDCSFVGVLSGLEGELDSEFGEQIHGLVIKSGFDYEVTVVNSLINMYVKCVRLCLAEKVFQGMHIKDVVSWNTIIGALERDGSPLKALDFFFQMSMDGVMPNQTTLVIIIASCSSLQMPMLGAYIHAKTIKKGFESDVFVGSALVDFYAKCDKLVDSHQCFDGIYEKNVVSWNALILGYASKFCTTCSFLLLDMLQLGYRPNEFTFSAILKSSVTIELQQLHCFIIRMGYEHNVYVLSSLMTSYAKNGLLSDALPFVTDCERPLAIVPSNIVAGIYNRVGQYQETLKLLSVLEEPDVVSWNIMIAASAHSGDYKEVFELFRHMQMTQIYPDNYTFVSLLSVSSKLSNLALGSSVHGLIIKTDFSLCDTFVCNVLVNMYGECGCIKSSVKIFDGMADRNLITWTSLISALGVNGYSHEALENFQEMEFLGFKPDGVAFIAILTVCRHAGLVKEGMELFRRMKCDYGLEPKMDHYHCMVDLLARHGKLKEAEQIIAGMAFPPDALIWRSFLEGCKRHITTEGLSTGHGVVKAV >EOY15498 pep chromosome:Theobroma_cacao_20110822:8:3516114:3518576:1 gene:TCM_034534 transcript:EOY15498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/Utp3/C1D family isoform 1 MEETNNTKGSERLKKEANQLAAVLKEMKAGLDVVTTKVRALTAKVKANNLPTADGISYLEAKHLLLLNYCQSLVYYLLRKAKGYSIEGHPVVRSLVEIRLFLEKIRPIDKKLQYQIQKLTRVSGSATQQELSNEKSDEPQRTEDPLNYRPNPDMLISKTDMMSEDGAGVYKPPKFAPAAVEEDHKMSREERNALRREKEALRKASQSAYIREMMDDLEGRPEEVREIIGTESRELSRYMAKMERRAQQEEELFTRAPVARKDKKIEKHLKKSRNGLLGLTDSFYDEIKTLPLGDVAGDQPTSFSNSNGGMWKLKKRKRKH >EOY15499 pep chromosome:Theobroma_cacao_20110822:8:3516114:3518771:1 gene:TCM_034534 transcript:EOY15499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/Utp3/C1D family isoform 1 MKAGLDVVTTKVRALTAKVKANNLPTADGISYLEAKHLLLLNYCQSLVYYLLRKAKGYSIEGHPVVRSLVEIRLFLEKIRPIDKKLQYQIQKLTRVSGSATQQELSNEKSDEPQRTEDPLNYRPNPDMLISKTDMMSEDGAGVYKPPKFAPAAVEEDHKMSREERNALRREKEALRKASQSAYIREMMDDLEGRPEEVREIIGTESRELSRYMAKMERRAQQEEELFTRAPVARKDKKIEKHLKKSRNGLLGLTDSFYDEIKTLPLGDVAGDQPTSFSNSNGGMWKLKKRKRKH >EOY15768 pep chromosome:Theobroma_cacao_20110822:8:4575941:4579741:1 gene:TCM_034736 transcript:EOY15768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylem serine proteinase 1, putative isoform 1 MKPLKFNLLEVFLFLTLYYACAVAEEKIQQTERTYIVHMDKSHMPESFTDHSLWYDSSLKSVSDSASMLYAYEYVIHGYSTRLTAEEAETLRKQPGILSVLPEVRYELHTTRTPEFLGLGRSSDLFPTSNSMGEVIVGILDTGVWPELKSFDDSELGPIPAGWKGECQVGKNFNSSSCNRKLIGARFFSKGYEAAFGPIDETMESKSPRDDDGHGTHTATTAAGSVVPNAELLGYASGTARGMASHARVAVYKACWLGGCFGTDILAAMDAAVADGVDVLSMSIGGGMSEYYGDTVAIGAFTAAAHGIFVSCSAGNSGPMPSSLSNVAPWITTVGAGTLDRDFPASLTLGNGDKYSGVTLYNGKQLPDSLVPLVYGGSVSHSSSGSFCMAGSLIPEKISGKIVVCDRGGSARVQKGVVVKAGGGVGMILSNTDSYGEELVADAHLLPSAAVGQKAGGAIKKYISSNPNPTATIGPGTTKLGVQPSPVVAAFSSRGPNPVTPAILKPDIIAPGVNILAGWTGAVGPSGLDSDKRHVNFSIISGTSMSCPHVSGLAALLKAAHPEWSPAAIKSALMTTAYTTYKSGEKIKDVATGGPATPFDYGAGHADPVAALDPGLVYDANVDDYLGFLCALNYTPEQIKSTTHRDFTCHTSSKYTLGDFNYPSFAVPLETALGGEGGAGVSSTIKYTRTLTNVGDPATYKVYLHSQTQAVKISVEPATLSFSAQYEKKSYTVTFTATSKPSGTTSFARLEWSDGKHIVGSPIAFSWT >EOY15769 pep chromosome:Theobroma_cacao_20110822:8:4576233:4579008:1 gene:TCM_034736 transcript:EOY15769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylem serine proteinase 1, putative isoform 1 MKPLKFNLLEVFLFLTLYYACAVAEEKIQQTERTYIVHMDKSHMPESFTDHSLWYDSSLKSVSDSASMLYAYEYVIHGYSTRLTAEEAETLRKQPGILSVLPEVRYELHTTRTPEFLGLGRSSDLFPTSNSMGEVIVGILDTGVWPELKSFDDSELGPIPAGWKGECQVGKNFNSSSCNRKLIGARFFSKGYEAAFGPIDETMESKSPRDDDGHGTHTATTAAGSVVPNAELLGYASGTARGMASHARVAVYKACWLGGCFGTDILAAMDAAVADGVDVLSMSIGGGMSEYYGDTVAIGAFTAAAHGIFVSCSAGNSGPMPSSLSNVAPWITTVGAGTLDRDFPASLTLGNGDKYSGVTLYNGKQLPDSLVPLVYGGSVSHSSSGSFCMAGSLIPEKISGKIVVCDRGGSARVQKGVVVKAGGGVGMILSNTDSYGEELVADAHLLPSAAVGQKAGGAIKKYISSNPNPTATIGPGTTKLGVQPSPVVAAFSSRGPNPVTPAILKPDIIAPGVNILAGWTGAVGPSGLDSDKRHVNFSIISGTSMSCPHVSGLAALLKAAHPEWSPAAIKSALMTTAYTTYKSGEKIKDVATGGPATPFDYGAGHADPVAALDPGLVYDANVDDYLGFLCALNYTPEQIKSTTHRDFTCHTSSKYTLGDFNYPSFAVPLETALGGEGGAGVSSTIKYTRTLTNVGDPATYKVYLHSE >EOY16343 pep chromosome:Theobroma_cacao_20110822:8:6781423:6783389:-1 gene:TCM_035152 transcript:EOY16343 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP40/DnaJ peptide-binding protein, putative MSSLFFFFFFFFSLRFCPFFLMVDHLRECASISNQKKKGFSLRDLTKLYRSLFALRSWRHHKKSFSKEPHSKRPQTIEEPYQGPGNENRDKGNMYEVGSYRYNGDGLRGDSPTNSNKACFKHRSMDTFFSSIPPPISRSASKRSPGPSPRPSFARWNGNPIMFSNSTGMMKPPPIERQLECTLEELCYGCMKKIKITRDVLTEPGQIVQEDEILSVKVKPGWKKGTKITFEGMGNERPGAYAADITFVIAEKRHNLFTREGDDLELAIEIPLAKALTGCTIPIPLLGGEKMNLRVDEIIHPGYQRIITGQGMPSTKEQGSRGNLKVVFLINFPTELTDEQRATVVSILGDSC >EOY15517 pep chromosome:Theobroma_cacao_20110822:8:3592131:3604254:-1 gene:TCM_034547 transcript:EOY15517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhamnogalacturonate lyase family protein MTSTLQRIIDFFSGCGGCNKRNRNERQDILNMNQDTACAPMPPQGVRLHIQDRYVVMDNGICQVTLSKPGGIVTGIRYNGIDNLLEVRNKETNRGYWDLHWNEIGGKGIFDVIQGTSFRVIVENEEQVELSFTRAWDPSLEGKYIPLNIDKRFIMLRGSSGFYSYAIYEHFKEWPGFELGETRITFKLRKEKFQYMAIADNRQRYMPFPDDRSTGRCQPLAYPEAVLFVNPMDQRLTGEVDDKYQYSCENKDLRVHGWICFDPPVGFWQITPSDEFRSGGPLKQNLTSHVGPTTLAMFLSAHYAGKYMVPRFEAGEPWKKVFGPVFIYLNSAAHGYDPLWLWEDAKIKMMVEVQSWPYSFPASEDFLKTEQRGNVNGRLLVQDRYISNDYLIASGAYIGLAPRGNAGSWQMESKNYQFWTKADQNGFFSIRNIRPGDYNLYAWVPGFIGDYRNEVVITISSGCNIEMGDIIYEPPRDGPTLWEIGIPDRSAAEFHVPDPDPKYINRLFVNHPDRFRQYGLWERYAELYPDGDLVYTIGASDYRKDWFFAQVVRKTSDNSYQGTTWQIKFKLDNVDQSGTYKLRVALASATLAELQVRVNDPNTNRPLFTTGLIGRDNAIARHGIHGIYMLYNVDIPGTRLVKGDNTIFLKQPRCNGPFQGFMYDYIRLEDCRGFSKSSWTSDKNLPANKNVRSSKTMSPIGVQLHIQDTHVMIDNGVLQLTLSNPDGIVTGIRYNDIDNLLEVLNEESNRGYWDLVWSSPGTAGTAGLFDVIKGTIFKVIVENVDQVEVSFTRTWDSSQEGKVVPLNIDKRFIVLRGCSGFYSYAIYERLKDWPGFNLAETRIAFKLRQDKFHYMAMADNRQRYMPLPDDRLPGRGQALAYPEAVLLVDPVEHELKGEVDDKYQYSCDNKDSQVHGWIRTDPPAVGFWMITPSNEFRSGGPVKQNLTSHVGPTTLAVFLSAHYSGEDLVPKFSAGEAWKKVFGPVFIYLNCAMEGDDPLSLWEDAKEQMITEFQSWPYTFPASEDFPKSDQRGSVSGRLLVHDRYVSDDNIPANGAYIGLAPPGTAGSWQRECKDYQFWTQTDVNGYFLINDIRTADYNLYAWVPGFIGDYRSDVAITITPGSYIEVGDLIYEPPRNGPTLWEIGIPDRSAAEFYVPDPNPKYINKLYVNHPDRFRQYGLWERYAELYPVGDLVYTVGSSDYKKDWFFAQVTRKTDNNKYQGTTWQIKFKLDNVDQSNSYKLRLAIASATLSELQIRINDPKGNPLFSSGLFGRDNSIARHGIHGLYWLFNVDIPGKLLVQGDNTIFLTQPRSSGPFQGIMYDYIRLEGPPTSSSKKEHMSALS >EOY16928 pep chromosome:Theobroma_cacao_20110822:8:15207315:15210649:-1 gene:TCM_036009 transcript:EOY16928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cobalt ion binding MASSMAIKTLARSPMSCSPFRKSLSSLLRVVSYSKESYDDAIPTAGISRPLSEILKELNKKVPDSLVKLRIEDGFSIKYIPWHIVNRIMNLHAPEWSGEVRNITYSADGKSVSVVYRVTLYGTDAEIYRESTGTASVDDPGYGDPVQKAEAMAFRRACARFGLGLHLYHEDML >EOY17308 pep chromosome:Theobroma_cacao_20110822:8:19268602:19283502:1 gene:TCM_036466 transcript:EOY17308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthiotransferase MEDIEDLLVGSGGAPPGFRLPLNAVGVNPRKKHRNKPNKISQTNDPLTPLLPPKIPGTQTIYIKTFGCSHNQSDSEYMAGQLSAFGYAISDNPEEGDLWLINTCTVKSPSQSAMNTLITKCKSAKKPLVVAGCVPQGSRDLKELEGVSIVGVQQIDRVVEVVEETLKGHEVRLMNRKTLPGLDLPKVRKNKFVEILPINVGCLGACTYCKTKHARGHLGSYTIDSLVERVKTVIADGVKEIWLSSEDTGAYGRDIGVNLPILLNALVAELPPDGNTMLRIGMTNPPFILEHLKEIADVLRHPCVYSFLHVPVQSGSDAILTAMNREYTVSEFRTVVDTLTELVPGMQIATDIICGFPGETDEDFAQTVNLIKEYKFPQVHISQFYPRPGTPAARMKKVPSTIVKNRSRELTSVFEAFTPYIGMEGRVERIWITEVAADGIHLVGHTKGYVQVLVIGPESMLGTSAIVKITSVGRWSVFGEVIETLTQVNLKMAPEKVCNQEKCSTRFNQFDSGACSKEPEPCSCGPNSCGGQTILEECTVSSNDSWMEDRSSKNLIGWLLRKRRNHVHKKVENGLALGLRKKQDWALGGWGIVDRALLVGILVSFFIIVAVIIHLEFRTMLSK >EOY14828 pep chromosome:Theobroma_cacao_20110822:8:1399599:1402490:1 gene:TCM_034094 transcript:EOY14828 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative MISSQIPSRITSFLVFERSPPLSPLTSRLTLKSLMGSLLTKIFHAANLYLGEKLSSSSQRVKANKAEKETGLQVTTDKNQELLSFHKKHREMVLGSYLPYILHKAKEIREEKKSLKLHTVDYNGTDYWGTINLDHPATFDMAMDPEVKKALIEDLDRFIRRKEFYRRVGKAWTHGYLLYGPPGTGKSSLVAAMANHLKFDVYNLDLKEVQCNSDLRRLLIGTGYSLGSTELLDGLWSSCGDERIIVFTTNHKDSLDPVLLRPGRMDMHLHMTYCTFSGFRALASNYLQIQHHPLFEEIKGLLEKVQATPAAVAGELMKSEDQDVSLQGLIKFLHDKAKAEMLQES >EOY15016 pep chromosome:Theobroma_cacao_20110822:8:1975299:1976097:1 gene:TCM_034216 transcript:EOY15016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIAVHFPEGIWQDKPERETNPVTTNLTMYRPQRESFAIEVRGCATLDRHHTPQAQASTLSPKLNFSAMKLFNRFRKILMRLIFSLPSGGSSGTSSMAPKQTNCDRFDPPKTSCSSYYSSHSHYTEAIADCIEFFNKSSQEGIMDGRKSDVLV >EOY16127 pep chromosome:Theobroma_cacao_20110822:8:5886460:5888593:1 gene:TCM_034994 transcript:EOY16127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 2 MSNLRTICRPHTVFSSFMCCSRHHHHHHHQHQARSRIRVSFRNPNIRPSLFSPWLDLSRDLSRKEPWFRVNQRRTLVRASNWTDQKSPYETLELERDADEEQIKTAYRRLAKFYHPDVYDGKGALEKGETAEARFIKIQAAYELLIDVERRRQYDMDNRVNPMKASQAWMEWLIKKRKAWDQRGDMAVAAWAEQQQRELNLRVRRLSRSKVVSQSLR >EOY16126 pep chromosome:Theobroma_cacao_20110822:8:5886081:5889144:1 gene:TCM_034994 transcript:EOY16126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 2 MSNLRTICRPHTVFSSFMCCSRHHHHHHHQHQARSRIRVSFRNPNIRPSLFSPWLDLSRDLSRKEPWFRVNQRRTLVRASNWTDQKSPYETLELERDADEEQIKTAYRRLAKFYHPDVYDGKGALEKGETAEARFIKIQAAYELLIDVERRRQYDMDNRVNPMKASQAWMEWLIKKRKAWDQRGDMAVAAWAEQQQRELNLRVRRLSRSKIDPEEERKILAKEKKASMEQFSNTLKRHTLVLKKRDLMRKKAEEEKKKVINQLLAAEGLELDTDDESQ >EOY15864 pep chromosome:Theobroma_cacao_20110822:8:4885022:4891166:1 gene:TCM_034804 transcript:EOY15864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic region/leucine zipper motif 60, putative MARVDGGGGGRVGGGGVVWCYRPDTGTFDSDEMMITEGENKYVVIASTGSTWQVLTCNACRRIMAVKDNEEPAVAVRKRVEKAFDFSFIEARPLLPRPFMEGDLETIGEINWDTLFLELDSSDFANIFENSSIEPEPVTQPLQPLSTPSPDGDAVVSSCIGEIERVLMEDDHFDKEVQTQSVSDDFLAEVLGDSPLSGGGEVIDGAAADVPDAADVPDASDVADASFFKQNDSDNNIHNDDHIAKKRRRQLRNRDAAVRSRERKKMHVKDLEMKSRYLEGECRRLSRMLQCFIAENQALRLTLHKGCAFDASSAKQESAVLLLESLLLGSLLWFLGIMCLFTLPTLPKSLPEAVPLENEEKRGPERVAPRGAGSNLVGQSFVKSRRCKASKTRIKEFHVSGILARACGSHLSLVFPF >EOY14756 pep chromosome:Theobroma_cacao_20110822:8:1179483:1181057:-1 gene:TCM_034036 transcript:EOY14756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTSFHFSAAKVILSKGFSSSRIICSLKPSTPRATIALGNYLPLKFRGKARTHQNQRLPIGLLRRLEAGVVDCLGVAITSKWKWK >EOY15729 pep chromosome:Theobroma_cacao_20110822:8:4415282:4420489:-1 gene:TCM_034707 transcript:EOY15729 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-dependent malic enzyme MESTMKEMRGGASVLDMDPKSTVGGGVEDVYGEDCATEDQLVTPWTYSVASGYSLLRDPHHNKGLAFTEAERDSHYLRGLLPPAVVTQQLQEKKLMSSIRKYQVPLQKYMAMMELQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILDVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPVTIDVGTNNEELLKNEFYIGLRQRRATGQEYADLLHEFMTAVKQNYGEKVLIQFEDFANHNAFTLLAKYGTTHLVFNDDIQGTASVVLAGVVAALKLIGGSLADHTFLFLGAGEAGTGIAELIALEMSKQTKAPVEETRKKIWLVDSKGLIVSSRKESLQHFKKPWAHEHEPVKDLLGAVKAIKPTVLIGSSGVGRTFTKEIIETLSSFNEKPLIMALSNPTSQSECTAEEAYTWSRGRAIFASGSPFDPFEYNGKVFVPGQANNAYIFPGFGLGLVISGAIRVHDDMLLAASEALAKQVSEENYDKGLIYPPFSNIRKISANIAANVAAKAYELGVATRLPRPANLVKYAESCMYSPLYRTYR >EOY14711 pep chromosome:Theobroma_cacao_20110822:8:1051162:1054265:-1 gene:TCM_034003 transcript:EOY14711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILFDGKMTVHGIMISELSNSHLMRKQGERGPSCIYRPIFGSSVKLMSVGQHDILDRVASSYNKWSRTFISSDWLKPGLAMTDPDMIVEVTLKHVLHSNQNDQEERLMEEEIIGECGTFTFAGKETTANLLNCSLTLLALHQDFKLSKQVTLIVNETLRPYPPGLIVNETLRPYPPC >EOY16786 pep chromosome:Theobroma_cacao_20110822:8:11075140:11086849:1 gene:TCM_035660 transcript:EOY16786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRFRGQARVFALTQQEAQTSNAVVSGILSVCNMNARVLFDPGATHSFISPCFASRLGKGRVRREEQLVVSTPLKEIFVAEWEYESCVVRVKDKDTSVNLVVLDTLDFDVILGMNWLSLCHASVDCYHKLVRFDFPGEPSFSIQGDRSNAPTNLISVISARRLL >EOY15325 pep chromosome:Theobroma_cacao_20110822:8:2897102:2899238:-1 gene:TCM_034422 transcript:EOY15325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase, putative MAPLYLIHVLPLSLLLFLILSPLSCVSSVGINYGTLGNNLPSPKKVAQLLQSTLIDKVKIYDTNPEILEAFSNTGIDLIVAVENYHVTNISKDVAATDEWFASRVVPFIPATSIVAICVGNEYLTTDEHLDPDALVQAMQNLHAVLLKRGLDRKIKVSTPHSMAVLASSFPPSASTFATKLLPTMSSIIGFLADTGAPFMVNAYPYFAYRDNPSTVDIEYALLGNSTGVHDPKGYLYHNMLDAQIDAVRSAINAVGFGNMSIEITVSESGWPSKGDPGDTAATPDHAKTYNTRLIERAQSNKGTPMKPKDKIEIFVFALFNENKKQGGASERNFGIFNGDGSKVYDVDLSCQFCSNGGTFEKMSTSGARGPSVWCVAKPHADEKVLQAVLDFCCGPGGVDCREVYESGNCFQPDKLHAHASHAMNAYYQMHGRNYWNCDFKGTGLVTFSDPSYGTCRYPQQ >EOY15153 pep chromosome:Theobroma_cacao_20110822:8:2418989:2423193:1 gene:TCM_034315 transcript:EOY15153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel domain-containing protein, putative MEPLRKSLKSYCSNAKQLQNPEEQPLLFHSNDSQMAQSSEKQREGEIVVNIDNTDAAVKENGNTSKITGDNSRGKPSGSSKKPKVSFHEVLTEAVRQKSKDSSGLKETRQWSFGSDGGQVSRCNSNDYIRQNSWRQLVSKTKSRLLDPLEDPYGRSDRMNSGEEFKENNHDEEDMEDIPDEYKLLKFSALTILQWLSLVLIIAALVCSVAIPGIKRQTLWDLQLWKWEIMVLALICGRLVSGWAIRVVVIFIERNFLLRKRVLYFVYGLRRAVQNCLWLGLVLLVWHSIFDDTVQEETNSEVLPYVTKILVCFLVATLIWLVKTLLVKVLASSFHVNTFFDRIQEALFNQYVIEMLSGPPLFEINNAVGEEKDDTSEVEESRSDGTTTADNLRATLPPKQQNTTKVGNGPRISRTMSKMKDKDIQLDHLRKLTQKNISAWNMRRMINMVSHGHLATLDEQILNSDIDDESSVQIKSECQAKEAAKKIFQNVARPESQCIYLADIMRFMGRDEALKALHLFGAGSEEEGISKASLNNWLVNAFRDRKALALSLNDTKTAVDELHNMLNILVAIVIAVIWLIILGIPVTHFLVFISSQLLLVVFIFGNTCKTVFEAIIFLFIMHPFDVGDRCEVDGVQMIVEEMNILTTVFLRFDGQKIVFPNSLLSTKPIGNFYRSPDMIETIEFCIHVSTPSEKIAIMKERIIRYIESREHHWHKNPLVVVTDVEDMNKLKFSVSSKHKMNYQNMAERWGRRGHLLEETIKILKDLDIEYRLLPLDVNVRNMPTLVSHRLPSNWIACTS >EOY15660 pep chromosome:Theobroma_cacao_20110822:8:4174815:4175435:1 gene:TCM_034658 transcript:EOY15660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQSMVHSQGNVPFSWENKPGVCKLTSQEGSEEYYFLQKLPSPPYPPESARISIHDIKIPPPPCAFQHPFKTSSRRGLRKSDDPFLAAYKECTKNTSKGKLAKRDGGSGLKKGMFNWSCKQSCSVRNDNLVRISQLPLSRDSSGTKR >EOY15827 pep chromosome:Theobroma_cacao_20110822:8:4772198:4778035:1 gene:TCM_034782 transcript:EOY15827 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein isoform 1 MLFGSELFEEYIGQLAMASMASLDIFTEGEQFDAKKLQEKMRIVQKEREEKLAEILIDRLNQYVQGNKEEFVNHAKAEVSRLSNAAYGVDMLNTIGYIYVRQAAKELGKKAIYLGVPFIAEWFRNKGHFIKSQVTAVTGALALLQLQEDMKKQLSAEGNYTEEELEEYMQSHKKLMIDSLWKLNVADIEATLSRVCQMVLQDNNSKKEELRARAKGLKTLGKIFQRAKSANGSESESVLTDTVHSTVYTLNGSEPSYDGSSPNASPKSLNQEDSSCSTFAAQGWEVVKMNSRESDTHLTFLF >EOY15826 pep chromosome:Theobroma_cacao_20110822:8:4772324:4778549:1 gene:TCM_034782 transcript:EOY15826 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein isoform 1 MVKETEYYDVLGVSPSATEAEIKKAYYIKARQVHPDKNPNDPLAAQNFQVLGEAYQVLSDPAQRQAYDAYGKSGISTEAIIDPAAVFAMLFGSELFEEYIGQLAMASMASLDIFTEGEQFDAKKLQEKMRIVQKEREEKLAEILIDRLNQYVQGNKEEFVNHAKAEVSRLSNAAYGVDMLNTIGYIYVRQAAKELGKKAIYLGVPFIAEWFRNKGHFIKSQVTAVTGALALLQLQEDMKKQLSAEGNYTEEELEEYMQSHKKLMIDSLWKLNVADIEATLSRVCQMVLQDNNSKKEELRARAKGLKTLGKIFQRAKSANGSESESVLTDTVHSTVYTLNGSEPSYDGSSPNASPKSLNQEDSSCSTFAAQSPYVEAPNFADAQFTYNFPRPTAPPGAQRNP >EOY17024 pep chromosome:Theobroma_cacao_20110822:8:17110315:17112964:1 gene:TCM_036188 transcript:EOY17024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 NGDCRTIARTISALTWGETLSSISKKYGVSVYSIAAANKDIVDIHLVFKGQLLNIPASSLKETLLAKKSRLWHSIRAFRTPSHKIIYSMVTSHGLSNQAKATGYFLVLVPLIAFCIRCIISTFRIRVARDMRHQAVDKSKGHHPGAKSMRWKSALSDTEESDAFDSESGLDSNSPSEDEAYISYDEASHAYSRLQHDYEKFLSECGMSKWGYWRGGSPGT >EOY17023 pep chromosome:Theobroma_cacao_20110822:8:17109807:17112996:1 gene:TCM_036188 transcript:EOY17023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEVKLSHRQHFSLPKSLLPNFKETSFPPPHTFSLRSWAATERHRFQGLIKKWRLQNNSKDYICAHLVKEGETLSSISKKYGVSVYSIAAANKDIVDIHLVFKGQLLNIPASSLKETLLAKKSRLWHSIRAFRTPSHKIIYSMVTSHGLSNQAKATGYFLVLVPLIAFCIRCIISTFRIRVARDMRHQAVDKSKGHHPGAKSMRWKSALSDTEESDAFDSESGLDSNSPSEDEAYISYDEASHAYSRLQHDYEKFLSECGMSKWGYWRGGSPGT >EOY16016 pep chromosome:Theobroma_cacao_20110822:8:5429415:5433617:1 gene:TCM_034915 transcript:EOY16016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase, putative MGERLRLVSYDVRKTAIVYIYTSRQAEALALLKWKASIQNQNESVLPSWNISTATAPNTSTHLKTKTNPCAWFGIHCRNADTIMRINLTGYGVKGTLHTFPFSSLPNLAELDLSFNELYGTIPPQISQLSKITYLDLSSNKLSGHIPPEIGHLIHLETFHLAENRLNGSIPQEIGQLKSVTELTLSTNNLIGSVPASLGNLSQLVTLCLDDNFLSGPIPPEMGNITNLFEVHMDTNHLTGPIPSTFGNLKKLSVLYIFHNHLSGSIPSELGYMKSLTEICLYQNNLSGLIPTSLGDLRLLTRLQLYDNQLSGPIPEEIGNLKALVYLELSQNQLNGSIPASFGNLGNLETLFLRDNKLSGSIPQEIGNLMKLTMLELDHNQLTGNLPQNICRGGTLRYFTANDNHLVGPIPEGLKNCTSLLRVYLEGNRLTGNISEDFGVYPSLKFIDLSDNEFYGEVSSNWGLCKSLQALSIARNNITGRIPPEIGSSSQVHLLDLSSNDIVGEIPMEIAKLTSLTALCLNGNQLSGGIPLELGLLSNLLYLDLSANQLSKSIPENIENLSKLYYLNLSINKFNQRIPIQVGKLTHLNLLDLSHNMLSGEIPVEFHSLQSLSVLNLSYNNLSGEIPASFELLHGLSSVDIAYNELQGPIPNNQAFQNASIEALRGNKGLCGNVSGLPPCTPFSRKGQNHKTLFTALFPLLSLAGLSISSVALFSIFKKRKKNADEERQISVSDETFFSISSFNGKVLYEEIIRATKDFDAQYCVGKGGNGNVYKAELSSGDTVAVKKFHPLRSGEMADQKQFLNEVRALIEIRHRNIVKFYGFCSFGKHSFLVYKYLERGSLASVLRNDEEAKKLDWDKRVNIVKGVVNALSYLHHDCSPPIVHRDITSSNVLLDSEFEAHVSDFGTAKLLNPDSSNWTNVAGTYGYIAPELSYTMKVTEKCDAYSFGVLALEVMMGAYPGDFLSNLSLLSAEVHLPLNNVLDQRLSPPLPEVENKLVSIMKVAFSCLDNNPESRPTMYTVSQLFADRI >EOY16721 pep chromosome:Theobroma_cacao_20110822:8:10521142:10524375:1 gene:TCM_035594 transcript:EOY16721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLREHQLYAKFSKCEFWLDSVSFLGHIVSKNGMMVDPKKIEWKDLKRDVAKFVANFLVCQQVKAEHPRFAKLLQLLHVPDWKWEHTSMDFVTRLPQTSKGYDSI >EOY15912 pep chromosome:Theobroma_cacao_20110822:8:4996072:5000514:1 gene:TCM_034835 transcript:EOY15912 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain transcriptional regulator superfamily protein MDSRMLHLILSTENKIIILFTLPLLFPLRDISCVCEKMLSVEELLSELAGEELNEQGLPPGFRFHPTDEELITFYLASKVFNGSFCGVEIAEVDLNRCEPWELPDVAKMGEREWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVYSASSGALLGMKKTLVFYRGRAPRGEKTKWVMHEYRLDGDFSYRHACKEEWVICRIFHKTGEKKTALAQGQSFILEVSSSPASSLPPLLETPTPFLESQSQTPMQAQNSFVIHRQENDLKSLINPVVSQTPLFPADGLQVQPSFSANPTTITSSTTNTTDKNAATDTSNPSASMLFKSLLSHQNCILKEQATIPKQCKTEANFSHFHLPDASLNWMDKIHPNPCQNPLFFEMDCSVLGFSEGVTAGDATVHDMSTSIAFNRAGVQMMLDPPIRAPGESWQLDC >EOY16905 pep chromosome:Theobroma_cacao_20110822:8:13485959:13489184:-1 gene:TCM_035887 transcript:EOY16905 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MFSALSKMSLSVCLRTNEWTLDFILCGNNLFENATQMLEEVWRVLKDKEVYIMIIYGALVYHLGSVKRVMHLEHKTACDSFQSIYFVIMLLLWGLRVKFGLEGSSEQPIRELTNHVPLEEGGSSMEDVLGKNLDVHYIYVCPKEAKAKE >EOY15018 pep chromosome:Theobroma_cacao_20110822:8:1979329:1982495:1 gene:TCM_034218 transcript:EOY15018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexokinase 3 MSFAATTTATPLFRIKRSTRGVPRTRMAVRSSTISVAPMLTKLQSDCATPLPVLLHVADSMSTDMHAGLAVDGGSDLKMILSYVDSLPTGNEKGLFYALDLGGTNFRVLRVQLGGKEERVIATEFEQVTIPEKLMFGTSEELFDFIASVLANFAQQEGGKFHLPPGRKREIGFTFSFPVKQTSIDSGILMKWTKGFAVSGTAGKDVVACLNEAMDRKGLDMRVSALVNDAVGTLAGARYWDDDVVVAVILGTGTNACYVERMDAIPKMQGKFSPSGRTIVNLEWGAFSKGLPLTVFDRDMDAASINPGEQIFEKTISGMYLGEIARRALLNMAEEGALFGESVPEKLSMPFILVTPHLSAMQQDNADDLQTVGSILYDVVGVESNLNSRKIVIEVCDTIVKRAGRLAGAGIVGILQKLGKDSKSAIFGKRTVVAMDGGLYERYPQCRRYIKEAVAELLGPETSQNIVIEHSKDGSGIGAALLAATNSQYDHDY >EOY17400 pep chromosome:Theobroma_cacao_20110822:8:20030036:20031172:1 gene:TCM_036562 transcript:EOY17400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MQDEFEMSMMGELKFFLGLQIKQSEEGIFINQKRYVLDVLKKFDMLKLKSISTPMSPSTKLDADERGKSVDPKLYRGMIGSLLYLTVSRPDIQFSIRLCVRFQSQPKKSHLIVVKRIIKYLLNTQSLGLWYLRGSSFDLIGYSDVDFAGSKTNRKALVALFSF >EOY16729 pep chromosome:Theobroma_cacao_20110822:8:10642745:10649515:-1 gene:TCM_035608 transcript:EOY16729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLVKHLSNVTKDRAVLLYAILSGKSINIGQLIFNNTIYIARLPKDGLWFPSLITALCKQASVLWTSNKELLHPQVPLDASIIHMFEAQQHSTISGSSSFAPRPSTC >EOY15353 pep chromosome:Theobroma_cacao_20110822:8:2991130:2994418:-1 gene:TCM_034444 transcript:EOY15353 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 1 MDSIHRNGDEVHQPLLTESRPEDESKEEVVIKDLVLKKDLTTRLWIETQKLWIIVGPSIISRVAAYSMNIITQAFAGHLGDVELAAISIANTVIVGFNFGLLLGMASALETLCGQAFGAKQYHMLGVYMQRSWIVLFLCCFLLLPFYVFATPLLKLLGQPDDVAEMSGIVAAWMIPLHFSFAFQFPLQRFLQSQLKTSVLAYVSFVALGVNVLTSWLFVNVLDWGVIGASVALDISWWVGVLGLYGYTVLGGCPLSWTGFSIQAFNGLWEFLKLSAASGVMLCINGWEMMIPMAFLAATGVRVANELGAGNGQGAKFATKVSVVQSTIIGLFFCVLIFIFRDKVALIFTSSSDVLKEVDKLSYLLGVTILLNSVQPVLSGVAVGSGWQATVAYVNLGCYYIIGLPLGFLMGWVFHLGVRGIWGGMIFGGTAVQTVILAILTMRSDWEKEAETAKQRVQRWGE >EOY15352 pep chromosome:Theobroma_cacao_20110822:8:2990575:2994115:-1 gene:TCM_034444 transcript:EOY15352 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 1 MDSIHRNGDEVHQPLLTESRPEDESKEEVVIKDLVLKKDLTTRLWIETQKLWIIVGPSIISRVAAYSMNIITQAFAGHLGDVELAAISIANTVIVGFNFGLLLGMASALETLCGQAFGAKQYHMLGVYMQRSWIVLFLCCFLLLPFYVFATPLLKLLGQPDDVAEMSGIVAAWMIPLHFSFAFQFPLQRFLQSQLKTSVLAYVSFVALGVNVLTSWLFVNVLDWGVIGASVALDISWWVGVLGLYGYTVLGGCPLSWTGFSIQAFNGLWEFLKLSAASGVMLCLENWYYRILILMTGYLQNATLAVDALSVCMSINGWEMMIPMAFLAATGVRVANELGAGNGQGAKFATKVSVVQSTIIGLFFCVLIFIFRDKVALIFTSSSDVLKEVDKLSYLLGVTILLNSVQPVLSGVAVGSGWQATVAYVNLGCYYIIGLPLGFLMGWVFHLGVRGIWGGMIFGGTAVQTVILAILTMRSDWEKEAETAKQRVQRWGE >EOY15011 pep chromosome:Theobroma_cacao_20110822:8:1949303:1952096:-1 gene:TCM_046883 transcript:EOY15011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Winged-helix DNA-binding transcription factor family protein, putative MGSKVLDDQVTRLKAEIQSLYAEEQKLDEYIREKQESLRSLDEGANYQKYLFLTEEDIMSLPCFQNQTVFAIKAPENTYIEVPDPDEDIGFPQKPMQRQYKMIIRSHMGPIDLYLLSKYEGQVEDTTVKQAKSVDACSSYGLLCGVERPELSSAEKASQNNSSKTVNLVFPKAYGIQKLIPTVSEKRRLDCSRRSITLKMNAPTTVLH >EOY14491 pep chromosome:Theobroma_cacao_20110822:8:457186:457818:1 gene:TCM_033861 transcript:EOY14491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative MVYKYSNLKGGDPSPRASKQQLRKVFLDCDVDDNNVLTKEEIKNAFDRFGALFPSFRTWKALKRVDKNRDGCVSLEELDSLIEYAHQQGYINVN >EOY14755 pep chromosome:Theobroma_cacao_20110822:8:1178077:1179340:1 gene:TCM_034035 transcript:EOY14755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKKPQKTKELSVAIAEASTGGGGEEVQQQTQPQAQPRRKRGRPRKHVEKSETETETEERKEEEAAEVSENQSKKVKVSVEEQQQKEEEEEEEEEEEEGQHEEKPEAKAEASSTPVGQSMTSEPPRRRSRRKSQPRKSS >EOY16808 pep chromosome:Theobroma_cacao_20110822:8:11538401:11540292:1 gene:TCM_047078 transcript:EOY16808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 8 MEKSKSFPEYSSSFSGEFDFENRSNSYNFNGPCSKSNGFAASNDPELKRKKRIASYNVFTMEGKVKSSVRSSFKWIKSKFSDVRYGM >EOY16842 pep chromosome:Theobroma_cacao_20110822:8:11779898:11792346:1 gene:TCM_035735 transcript:EOY16842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTFFFFSHCMYVMTCVYRVGFYTTYERTNQFKESKIVLPTHDYELFRMKEDKSINMMFERFTSIVGGLKALGKDFPNALVVKKILFSLPKFWRLKVTTIEEARNLNNFKLEELICFLLTYEMIFKYDSERKNQRRKTSNKKE >EOY16654 pep chromosome:Theobroma_cacao_20110822:8:9213519:9218440:1 gene:TCM_035474 transcript:EOY16654 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-zeta-carotene isomerase MATCLVVANSLLSSPHPLFKSAQKRPLQPISSISHLHSSKPKKPTNPAFLKAPSFHSDYCRIRVLARTSLGETDASADEPLPLVGEDSAAFNLGTQSIVSWVYFTVILGVVLYVLDVAWIDNSTGFGKAFIDAVSSLSDSPEIVMLTLILIFATIHSGLACFRNMGEKLLGKRAYRVLFAGVSLPLAVSTVVYFINHRYDGVQLWQLQNAPGVHQLVWLSNFISFFFLYPSTFNLLEVAAVDKPKMHLWETGIMRITRHPQMVGQVIWCLAHTIWIGNSVALAASIGLIGHHLFGVWNGDRRLAMQHGEAFEVVKKRTSIIPFAAILDGRQKLPNDYYREFIRLPYLTITALTLGAYFLHPVMQAASFRLHW >EOY16449 pep chromosome:Theobroma_cacao_20110822:8:7133453:7138099:-1 gene:TCM_035215 transcript:EOY16449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein MQLYHHPFSLDSQKVRLALEEKGIDYTSFHVNPIVGKNMDSSFFRMNSSAKLPVFKNGSHTIFDTIEIILYIERIAVVSVGNNGIAFSSEEVIEWMRKIQEWNPKYFTLSHIPDKYRTFVSKFIRKVVIARMAESPDLASAYHCKLREAYETEEKLKNADVLRRSKEHLVQLLDEVETKLNETTYLVGDEFTMADVMLIPVLARLVLLDLEDEYIFSRPNIAGYWGLVQQRPSYKKVIGKYFNGWRKRKTLVKAWCILHIRNLLKRY >EOY17011 pep chromosome:Theobroma_cacao_20110822:8:16780439:16797812:1 gene:TCM_036160 transcript:EOY17011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METFIRKKPMDEIFVGETSMKQWVKESLYTGTIGAIDSNLLQNEEEHLMAKANCISSIMKLALDCSVELPEEWKDMKDVVSILKKIKIKYLNNVRRAYYGENGRLADGRKFLVKAAKGRKENKVKKGEVLSQKNDEKKIRAIISTLKSYKDVLVNKEEHEKCEALTCSQNPLKVADLENFVEIEWVGELPWDYMEWVERNAIRTLIKEFVPRGVESTLFMENI >EOY15342 pep chromosome:Theobroma_cacao_20110822:8:2941980:2942934:1 gene:TCM_034434 transcript:EOY15342 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MTRPFRLLGAANSSATSEPPPPPPEQGATVDSDFVVILAALLCALICVLGLIAVARCAWLRRLSGSSASNPADTPRPTPPANKGLKKKILKSLPKATFSAESSAKFSDCAICLAEFEVGDEIRVLPQCGHGFHVACIDMWLGSHSSCPSCRQILVVARCHKCGGLPGPGASTSGTDTEARLKEREDEVNRFLP >EOY15416 pep chromosome:Theobroma_cacao_20110822:8:3207076:3210429:-1 gene:TCM_034486 transcript:EOY15416 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING finger protein 214 isoform 2 MEVAVTGSSSESLAMEVGEMKGKVVEEKDQVRVKRKTLQAVLEQCQRTLELLSNNEGGIDDDDDDEDDDDKDDMDPQGEVSGVGLRRDQEADELCGLLKSRVQCSDFLEKLECAQVPVPENIAEEGSSWDMINENDLWEGEDVDSDQEDYVLVRQEDIVEGIACFMAAYLLSLKQTKDLTPNQLQEALSKTFSVKKKKGKLRKAWDGSKVIYNVASWGATAIGIYQNPLLLRAASKAFWTSCHVISKLL >EOY15417 pep chromosome:Theobroma_cacao_20110822:8:3206951:3210715:-1 gene:TCM_034486 transcript:EOY15417 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING finger protein 214 isoform 2 MEVAVTGSSSESLAMEVGEMKGKVVEEKDQVRVKRKTLQAVLEQCQRTLELLSNNEGGIDDDDDDEDDDDKDDMDPQGEVSGVGLRRDQEADELCGLLKSRVQCSDFLEKLECAQVPVPENIAEGSSWDMINENDLWEGEDVDSDQEDYVLVRQEDIVEGIACFMAAYLLSLKQTKDLTPNQLQEALSKTFSVKKKKGKLRKAWDGSKVIYNVASWGATAIGIYQNPLLLRAASKAFWTSCHVISKLL >EOY15922 pep chromosome:Theobroma_cacao_20110822:8:5034740:5048053:-1 gene:TCM_034845 transcript:EOY15922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoamylase 3 MLHSSFLSESSSTKFALFTSVVSTSASPSSTGNSSLLQMGLKWSRNASSSSGGQGRSSKARGRVKRRTTKAYGRQARERVLEEEAPEMSETIPSFKIFPGQAFPLGVSEVDNGINFAIFSQHANAVTLCLSLPQRGELDRLAGSMIEFSLDPRVNKTGDIWHICIEDLPRSNVLYGYRMDGPKDWDKGHRFDSSNVLIDPYAKLVEGRRHFGDPKHKLSKFLGTYDFDSLPFDWGDNYTLPNIPEKDLVMFEMNVRAFTADESSRLDPNLRGTYAGLIEKIPHLLELGINAVELLPVFEFDEFEFQRRPNPRDHMINTWGYSTINFFAPMSRYTSGGGGAVSASWEFKEMVKAFHGAGIEVILDVVYNHTNEADDKHPYTTSFRGIDNKVYYMVDLNNKGQLLNFSGCGNTLNCNHPVVMELILDSLKHWVVEYHIDGFRFDLASVLCRGTDGSPLNAPPLIRAIAKDAILSRCKIIAEPWDCGGLYLVGSFPNWDRWAEWNGKYRDDLRRFIKGDPGMKGAFATRVAGSADLYRINKRKPYHSINFVIAHDGFTLYDLVSYNLKHNEANGEGGNDGSNDNFSWNCGFEGETDNADIKALRFRQMKNFHLALMISQGTPLMLMGDEYGHTRYGNNNSYGHDTAINNFQWQQLDARKSDHFRFFSEVIKFRRTHQVFRHENFLSKGDVTWHEDNWDNPDSKFLAFTLHDNSGGDTYLAFNAHDYCVKVSIPPPPQRRQWCRVVDTNLASPNDFVCEGVPGIGSTYDVAPYSSILLEAKL >EOY16304 pep chromosome:Theobroma_cacao_20110822:8:6614004:6615465:-1 gene:TCM_035123 transcript:EOY16304 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 23 MNSGRCAACRYLRRRCPPDCIFSPYFPSNNPQRFVSIHRIYGASNVAKLLQQLPTHLRAEAADSLYFEAQCRVEDPVYGCVGLIFLLQQQIHNAVSQLAKTQAEIAVLKSQAQHPQAQQVEVEPEFNNFLQQQLDYVADLNFSNQGSSGFM >EOY15089 pep chromosome:Theobroma_cacao_20110822:8:2198846:2202469:1 gene:TCM_034264 transcript:EOY15089 gene_biotype:protein_coding transcript_biotype:protein_coding description:SBP family protein, putative MTEGGRRPPSVNQPGSGGKRRMASNRKLLALFTKYVNSYKGQGGRFLYVALLRSQYLAIVIMAVQAQLFPENMGLPLPMCGLQDWMVNPAPAVPSFEADFCFSLQDPHQQNFPFHLQQNAQNLASSSSTCDSFLPMALAQSLDAQLEMQRQELDCVLQLQNERLRSALREQRKRQLAILLKSMESKALYLMRRKEEDLARATKRTMELEACLRKAEMESESWQRLAKANESMVVDLSNTLEQVREGLVWVSNRAEDAESLFCGSCDREQGAEVKEEGKKMACKHCNSRSSCVLFLPCRHLCSCKSCEAFLDSCPVCKSVKEASMKVFWV >EOY15796 pep chromosome:Theobroma_cacao_20110822:8:4674830:4677743:-1 gene:TCM_034755 transcript:EOY15796 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MYRPGLLPASSNAQHQRWRETWARLLAPLTLWICVSVSLRYGYYADCRMVLGPSSSRLMKATSLFVEQVEVRDDNGKGALLFGFSEKPELNGETNWSSSNYLIVGSYGRKGYYLWLNKGSRICIRWATQTSRLDKIEVLMMKGERKRETLLPNLSTSFDALFLNESMNGKEAEYTIEEDDKYYVSVVSSNPRSIIMTFSLNVTSKVYDLTKASNMCSTLNGSCRLKLLFPDTQYVIVSTPDNGDLAGSYIELSFVARVITYIAILGFFIIIIFLVLKYLGACDTETTVVDTAVREISWRTETEPILPEKTVHLTYGTTAEEDNDDAETGSSSSSSEDLYDAKLCVICYDDQRNCFFVPCGHCATCYDCAQRIMEEDNKMCPICRRLIHKARRLFSP >EOY15795 pep chromosome:Theobroma_cacao_20110822:8:4674069:4678745:-1 gene:TCM_034755 transcript:EOY15795 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MYRPGLLPASSNAQHQRWRETWARLLAPLTLWILSVSLRYGYYADCRMVLGPSSSRLMKATSLFVEQVEVRDDNGKGALLFGFSEKPELNGETNWSSSNYLIVGSYGRKGYYLWLNKGSRICIRWATQTSRLDKIEVLMMKGERKRETLLPNLSTSFDALFLNESMNGKEAEYTIEEDDKYYVSVVSSNPRSIIMTFSLNVTSKVYDLTKASNMCSTLNGSCRLKLLFPDTQYVIVSTPDNGDLAGSYIELSFVARVITYIAILGFFIIIIFLVLKYLGACDTETTVVDTAVREISWRTETEPILPEKTVHLTYGTTAEEDNDDAETGSSSSSSEDLYDAKLCVICYDDQRNCFFVPCGHCATCYDCAQRKDCEADRTISISRLDSVTYIGLCKQLQLPVLLFMGNERSQKVFAPMVKMLVCFAAPGFSTLNSTEDVPKAGEASSNVL >EOY15797 pep chromosome:Theobroma_cacao_20110822:8:4675023:4677743:-1 gene:TCM_034755 transcript:EOY15797 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MVLGPSSSRLMKATSLFVEQVEVRDDNGKGALLFGFSEKPELNGETNWSSSNYLIVGSYGRKGYYLWLNKGSRICIRWATQTSRLDKIEVLMMKGERKRETLLPNLSTSFDALFLNESMNGKEAEYTIEEDDKYYVSVVSSNPRSIIMTFSLNVTSKVYDLTKASNMCSTLNGSCRLKLLFPDTQYVIVSTPDNGDLAGSYIELSFVARVITYIAILGFFIIIIFLVLKYLGACDTETTVVDTAVREISWRTETEPILPEKTVHLTYGTTAEEDNDDAETGSSSSSSEDLYDAKLCVICYDDQRNCFFVPCGHCATCYDCAQRIMEEDNKMCPICRRLIHKARRLFSP >EOY14681 pep chromosome:Theobroma_cacao_20110822:8:964281:971563:-1 gene:TCM_033985 transcript:EOY14681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloendopeptidase / zinc ion binding protein isoform 3 MEVIIRFGSSTVTRFDFKLRFAAVIFEILLILLWFEASAEKFREHHLQWRGQERGSSENIVSHSCIHDQIIEQRRRPGRKVYSVTPQVYEHSGISNHVHHKGRSLLGIPELLGHPKDAKQPIRIYLNYDAVGHSQDRDCRKVGEIVKLGEPPVSSPPGTPSCNPHGDPPIYGDCWYNCTLDDISGDDKRRRLRKALGQTADWFKRALAVEPVKGNLRLSGYSACGQDGGVQLPREYVEEGVADADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRSQHGCPCLQVTEQIMDDKLGRMVTRVVLPRVVMHSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADRLDWGHNQGTDFVTSPCNLWKGAYHCNTTNLSGCTYNREAEGYCPIVSYSGDLPQWARYFPQANKGGQSSLADYCTYFVAYSDGSCTDTNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSMIQGNGCYQHRCVNNSLEVAVDGIWKVCPEAGGPVQFPGFNGELICPAYQELCSTSPVPVAGQCANSCNFNGDCVNGKCHCFLGFHGHDCSKRSCHSNCSGHGKCLSNGVCECANGHTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSGLLSSLSVCKNVLERELYGQHCAPSEASILQQLEEVVVMPNYHRLFPGGARKLFNNLFGSSYCDAAAKQLACWISIQKCDNDGDNRLRVCHSACQSYNLACGASLDCADQTLFSSEEEGEGQCTGSGELKLSWFNRLRSSLFSSNTSLKGTSVKYRQY >EOY14680 pep chromosome:Theobroma_cacao_20110822:8:964813:974340:-1 gene:TCM_033985 transcript:EOY14680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloendopeptidase / zinc ion binding protein isoform 3 MEVIIRFGSSTVTRFDFKLRFAAVIFEILLILLWFEASAEKFREHHLQWRGQERGSSENIVSHSCIHDQIIEQRRRPGRKVYSVTPQVYEHSGISNHVHHKGRSLLGIPELLGHPKDAKQPIRIYLNYDAVGHSQDRDCRKVGEIVKLGEPPVSSPPGTPSCNPHGDPPIYGDCWYNCTLDDISGDDKRRRLRKALGQTADWFKRALAVEPVKGNLRLSGYSACGQDGGVQLPREYVEEGVADADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRSQVTEQIMDDKLGRMVTRVVLPRVVMHSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADRLDWGHNQGTDFVTSPCNLWKGAYHCNTTNLSGCTYNREAEGYCPIVSYSGDLPQWARYFPQANKGGQSSLADYCTYFVAYSDGSCTDTNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSMIQGNGCYQHRCVNNSLEVAVDGIWKVCPEAGGPVQFPGFNGELICPAYQELCSTSPVPVAGQCANSCNFNGDCVNGKCHCFLGFHGHDCSKRSCHSNCSGHGKCLSNGVCECANGHTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSGLLSSLSVCKNVLERELYGQHCAPSEASILQQLEEVVVMPNYHRLFPGGARKLFNNLFGSSYCDAAAKQLACWISIQKCDNDGDNRLRVCHSACQSYNLACGASLDCADQTLFSSEEEGEGQCTGSGELKLSWFNRLRSSLFSSNTSLKGTSVKYRQY >EOY14682 pep chromosome:Theobroma_cacao_20110822:8:965937:971725:-1 gene:TCM_033985 transcript:EOY14682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloendopeptidase / zinc ion binding protein isoform 3 MEVIIRFGSSTVTRFDFKLRFAAVIFEILLILLWFEASAEKFREHHLQWRGQERGSSENIVSHSCIHDQIIEQRRRPGRKVYSVTPQVYEHSGISNHVHHKGRSLLGIPELLGHPKDAKQPIRIYLNYDAVGHSQDRDCRKVGEIVKLGEPPVSSPPGTPSCNPHGDPPIYGDCWYNCTLDDISGDDKRRRLRKALGQTADWFKRALAVEPVKGNLRLSGYSACGQDGGVQLPREYVEEGVADADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRSQVTEQIMDDKLGRMVTRVVLPRVVMHSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADRLDWGHNQGTDFVTSPCNLWKGAYHCNTTNLSGCTYNREAEGYCPIVSYSGDLPQWARYFPQANKGGQSSLADYCTYFVAYSDGSCTDTNSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSMIQGNGCYQHRCVNNSLEVAVDGIWKVCPEAGGPVQFPGFNGNIDLLTFQSTGSIYALMICFDVLSAGELICPAYQELCSTSPVPVAGQCANSCNFNGDCVNGKCHCFLGFHGHDCSKRSCHSNCSGHGKCLSNGVCECANGHTGIDCSTAVCDEQCS >EOY16816 pep chromosome:Theobroma_cacao_20110822:8:11561717:11563701:1 gene:TCM_035704 transcript:EOY16816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRGIIDAFFTRKRASIIFPGRKQGSTSCMGFPNAGILIVRGLLVGELHSHFLSNPMKERAALLHVSLEWFLDPILHCLLLPMVPTPPHDTIKNVHLDYQFSTCLFS >EOY17219 pep chromosome:Theobroma_cacao_20110822:8:18477789:18478731:-1 gene:TCM_036372 transcript:EOY17219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEALVGGAFLSASLQVLFDRMASQHVMDFIRGKKLKKGLFKKLEVAMLSVNAVLKTLKRSKLPIQHVENVEKKNEQEVKN >EOY17267 pep chromosome:Theobroma_cacao_20110822:8:18770153:18772130:1 gene:TCM_036409 transcript:EOY17267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIIA isoform 2 MLVASNTFFFLLQRPFVCSVDDCHANYRRKDHLNRHLLRHKGKLFKCPIENCNREFAFQGNMKRHVKEFHDDEDSSSPGLGSQKQHVCQEVGCGKVFKFASKLRKHEDAHVKLDSVEAFCSEPSCMKYFTNEQCLRAHVQSCHQYISCEICGTKQLKKNIKRHLRSHEPGDVSERIKCDFEGCCHTFSTKSNLRQHVKAVHEELKPFACSFSGCGMRFSYKHVRDNHEKSGCHIYVPGDFVESDEHFLSRPRGGRKRTFPSVEMLIRKRVSPPQMDTMTDLGPNLGCS >EOY17266 pep chromosome:Theobroma_cacao_20110822:8:18769632:18772130:1 gene:TCM_036409 transcript:EOY17266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIIA isoform 2 MEEEGEGVEGAIFRDIRRYFCEYCGICRSKKSLIASHILIHHPEERSNGGKEEEGVSLSNNTCEECGTTFKKPAYLKQHLQSHSLERPFVCSVDDCHANYRRKDHLNRHLLRHKGKLFKCPIENCNREFAFQGNMKRHVKEFHDDEDSSSPGLGSQKQHVCQEVGCGKVFKFASKLRKHEDAHVKLDSVEAFCSEPSCMKYFTNEQCLRAHVQSCHQYISCEICGTKQLKKNIKRHLRSHEPGDVSERIKCDFEGCCHTFSTKSNLRQHVKAVHEELKPFACSFSGCGMRFSYKHVRDNHEKSGCHIYVPGDFVESDEHFLSRPRGGRKRTFPSVEMLIRKRVSPPQMDTMTDLGPNLGCS >EOY16115 pep chromosome:Theobroma_cacao_20110822:8:5841825:5844443:1 gene:TCM_034986 transcript:EOY16115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 2 MANRQSVLWGLKTVCLVHQHLNSTIYGNLCQQAIKVIKNDDVASGINEPLSILNQRKLPYLRFKVSNGPATQFKFLKHSTLVHRTDELANQTLAFHLEGALLKSCSLFPYFMLMAFEAGGLFRALILLLLYPLVLLIGKELGLRILVFVSFVGIRKEKFRAGTAILPKFFLEDVGCEGFDIVMSYKKRVAVTGMPKFMVEGFLRDYLGIDAVVARELKEFRGYFLGLMEEKMDAGLVISECTHHIGLGCFRKSHDQKIFSHCKEIYLVTEAEKKNWQVLPRKRYPKPLIFHDGRLAFRPTPLAALIMFIWLPFGFLLNITRTIVFISLPFKLSIPLLALSGCIITVSKPEPTTASINGENKPGGMLYVCNHRTLLDPLFLSAVLMKSVCAVTYSISRFSEVISPIKTVRLSRDREKDGKIMKKLLSQGDLVVCPEGTTCREPYLLRFSPLFAEMTDEIVPVAIKLQVSLFYGSTASGLKCLDSTFHLMNPNPMCSVKILNKLPSCQTHNTGGKSKFEVTNHVQNQIAAALGFECTNLTRKDKYAILAGNEGIV >EOY17226 pep chromosome:Theobroma_cacao_20110822:8:18547911:18552747:1 gene:TCM_036380 transcript:EOY17226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease-associated RING/U-box zinc finger family protein MYLFPSKPTPQNQTSFFPNRELGFFFSLGSTFFFGFNFWMKMNRVVVLLLLWFLCLLSASWMTSASVVLIGKNVTLSFDDIEANFAPAIEGSGECGVLYLAEPLDACSDLSNKVEEVSNVTSPFALVIRGRCSFDEKVRRVQKAGFKAAIVYDNDDDGILVAMAGTAAGIKINAVFVSKASGEILKKYAGCTDTELWLIPSFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRERPQSSRVREFHGMSRRLVKAMPSLVFTAVLEDNCTSRTCAICLEDYTMGEKLRILPCRHKFHAFCVDSWLTTWRTFCPVCKRDARTSTGDPPASESTPLLSSTPSSVSSSVLSSARSSLASSSAIQIAPASSQCPSVSHIHPLTSTPYVQQSLRSCHQSPSISLSRSSVDLRNTSSQRSHASHLVSPASLNYPSISPLNARYMSPYFPSPSNASPSLVSSSGHQLHPLRCSESAASFSPFASAQSLPEC >EOY17447 pep chromosome:Theobroma_cacao_20110822:8:20595964:20600415:-1 gene:TCM_036628 transcript:EOY17447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein MSVLDSFFSKGFKAAKCKTLLKLTIPRIKLLRNRREIQIKQMRRDIAKLLETGQEATARIRVEHIIREENMMAAQEILELFCELIAVRLPIIETQRECPLDLKEAISSVCFAAPRCADLPELLQVQMLFASKYGKEFISAATELRPDCGVNRQLIELLSVRAPSPEVKLKLLKEIAEEHELDWDPASTETEFFKPHEDLLNGPTQFVSGSKLPLPAEKHDETLNSATDHAQNEQPDSDSDFEPLDFPDVPKVSLRPSANAASAPAISPPSAAAPDPEIDHGSSRHFGAPGYVLPMSPLEHETVMEESSVTKENEMPNDPAGAKENKQFLPFISPPSVSSSISARPSNPPSAISKIKIEANVDLQDVLAAAQAAAETAERAAAAARSAASLAQVRIAELTQKKDQVTESSSSENPFHTDVPHQPPSTEKPNFDHQNSFGDPAEVLYSPDSHHDQERQGSEVANLPSLDKLKLGFDSPVSSDHALEGDHPQKQPQRLPSMDDEYFSYPNLFSSQKSDLGSGGSFKDNSHTHY >EOY16998 pep chromosome:Theobroma_cacao_20110822:8:16240501:16246413:-1 gene:TCM_036116 transcript:EOY16998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQWQTMLILRANLSDSEKQGKLKVNSFESRNKLKMQQKHDLLDELLEAIERMNIYGFLDATNLCLVPYVIILPKFKVPDFEKYDGTKWPKTYITMYCPRWLHMHKMINS >EOY15511 pep chromosome:Theobroma_cacao_20110822:8:3573724:3575949:-1 gene:TCM_034542 transcript:EOY15511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIA gamma chain / TFIIA-gamma (TFIIA-S) isoform 1 MATFELYRRSTIGMCLTETLDEMVSNGTLSPELAIQVLVQFDKSMTEALESQVKSKVAIKGHLHTYRFCDNVWTFILQDAVFKYEDASETVGRVKIVACDSKLLSQ >EOY15512 pep chromosome:Theobroma_cacao_20110822:8:3573613:3575949:-1 gene:TCM_034542 transcript:EOY15512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIA gamma chain / TFIIA-gamma (TFIIA-S) isoform 1 MATFELYRRSTIGMCLTETLDEMVSNGTLSPELAIQVLVQFDKSMTEALESQVKSKVAIKGHLHTYRFCDNVWTFILQDAVFKYEDASETVGRVKIVACDSKLLSQ >EOY15383 pep chromosome:Theobroma_cacao_20110822:8:3093876:3096600:-1 gene:TCM_034463 transcript:EOY15383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKANLSSKWDLTVQLNKCDGKQSFGFLSCLSICRSSKWTKPQMFFFCPLLQCQMVNGIGRPFLLFLMTHACLLDLPHPPPGLPLRLIRAMFETIELHVLNRIKTRLL >EOY14471 pep chromosome:Theobroma_cacao_20110822:8:414300:415613:1 gene:TCM_033843 transcript:EOY14471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-harvesting complex I protein Lhca5 isoform 1 MAVPVGRGFLVQPCSSSSSIFHNKIYKPSLGAASTLWSTSAPRRYGQIAVQAQQRPTWLPGLDPPPYLDGTLAGDYGFDPLGLGEDPESLKWYVQAELVHARFAMAGVAGILFTDLLRVTGISNLPVWYEAGAVKFDFASTGTLIVVQLLLMGFVETKRYMDFISPGSQAKEGSFFGLEAALEGLEPGYPGGPLLNPLGLAKDIKNAHEWKLKEIKNGLFCLVLPSMIIISFFFRFLLQVLRPSISC >EOY14470 pep chromosome:Theobroma_cacao_20110822:8:414161:415905:1 gene:TCM_033843 transcript:EOY14470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-harvesting complex I protein Lhca5 isoform 1 MAVPVGRGFLVQPCSSSSSIFHNKIYKPSLGAASTLWSTSAPRRYGQIAVQAQQRPTWLPGLDPPPYLDGTLAGDYGFDPLGLGEDPESLKWYVQAELVHARFAMAGVAGILFTDLLRVTGISNLPVWYEAGAVKFDFASTGTLIVVQLLLMGFVETKRYMDFISPGSQAKEGSFFGLEAALEGLEPGYPGGPLLNPLGLAKDIKNAHEWKLKEIKNGRLAMIAMLGIFVQAYVTHAGPIDNLVEHLSNPWHKTIIQTLANSSS >EOY16209 pep chromosome:Theobroma_cacao_20110822:8:6209467:6221756:-1 gene:TCM_035049 transcript:EOY16209 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MKPKSNSNNNNNNSGSNGYSSESSSGTNSNSPSPPASPPKPRLTPSLSQCRRRLRSKTHSFVRRENLGSGLNFRRNLRYLLVLPLLYISGLFMCVGPFSGLLGYASVPGSVYRSHENFRRLWDDIRFDNASALELSSVWKYKRRLKVQKPCPNLMARNHFSLYRTSMIESPGSSGYLIVDANGGLNQQRSAICNAVAVAGLLNAILVIPQFELNSVWNDPSQFGDIYDEDHFIDNLKGYVNVVRELPEALMDKYDHNMSNIPNLRVQAWAPVNYYLEVVHPILKDQGVIRIAPFANRLAMHVPPHIQLLRCVANYKALKFSLPISTLAEKLVNRMIEKSSRSGGKYVSVHLRFEQDMVAFSCCVYDGGQAEKFEMDSFREKGWKGKFKRRGLVILPALNRVEGKCPLTPVEVGLMLRGMGFDNNTSIYLASGKIYQAEKHLAPLLKMFPLLYTKESLAAPDELAPFEGYSSRLAALDYTVSLFSEVFVTTQGGNFPHFLMGHRRFLFYGHAKTIKPDKRKLVVLLQDMGISWKAFKDEMRVMLAENDRKGMMVPRVKKFNRKTSIYTYPLPEYRDCSLVGQCVGSPVLITPEVPCSTMGFWFYPKMQMLFCLQCGFSFNIIAGSRTDFTLALLRGKFCRISSTVS >EOY16210 pep chromosome:Theobroma_cacao_20110822:8:6209519:6218070:-1 gene:TCM_035049 transcript:EOY16210 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MAAHIGTSMKPKSNSNNNNNNSGSNGYSSESSSGTNSNSPSPPASPPKPRLTPSLSQCRRRLRSKTHSFVRRENLGSGLNFRRNLRYLLVLPLLYISGLFMCVGPFSGLLGYASVPGSVYRSHENFRRLWDDIRFDNASALELSSVWKYKRRLKVQKPCPNLMARNHFSLYRTSMIESPGSSGYLIVDANGGLNQQRSAICNAVAVAGLLNAILVIPQFELNSVWNDPSQFGDIYDEDHFIDNLKGYVNVVRELPEALMDKYDHNMSNIPNLRVQAWAPVNYYLEVVHPILKDQGVIRIAPFANRLAMHVPPHIQLLRCVANYKALKFSLPISTLAEKLVNRMIEKSSRSGGKYVSVHLRFEQDMVAFSCCVYDGGQAEKFEMDSFREKGWKGKFKRRGLVILPALNRVEGKCPLTPVEVGLMLRGMGFDNNTSIYLASGKIYQAEKHLAPLLKMFPLLYTKESLAAPDELAPFEGYSSRLAALDYTVSLFSEVFVTTQGGNFPHFLMGHRRFLFYGHAKTIKPDKRKLVVLLQDMGISWKAFKDEMRVMLAENDRKGMMVPRVKKFNRKTSIYTYPLPECACLQHSHNSTL >EOY14381 pep chromosome:Theobroma_cacao_20110822:8:132366:134361:1 gene:TCM_033778 transcript:EOY14381 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR-family protein MLPQMLFSTFLLLNTYFFQFAIAQTYPPPPTCPPISPPPRPTRTVRYVSPRPPLYNPSLRLLPRQPNNNPGPLANRARILFITQELKRNITFDPQNYTGTWVGNNYCLFKGYICDTVPDRNITGLAGIDFNGARFGGNLNFYRFITNLPDIAIFHANSNNFSGVINQGLNGLRYFYEIDLSNNKFMGGFPSNVLGATNLTFVDLRFNKYLGPVPRSLFNFDTDVLFINNNVFSRTIPPNFGNTPALYLTLANNNFTGTIPRSIGAAWETMTEVLFLGNKLSGCLPFEIGYLNRTTVFDVGSNRLTGPIPQSFGCMARLQLLNMAHNKFYGPIPEVLCRLPRAFNFTLSNNYFTQVGPQCRRLIRLRRLNVNRNCIMGLPNQRSAADCGRFFARPRTCARESTFSIIPCRLPAASLRTRIVSQEDEVPPAPRSYNALVKPPH >EOY14714 pep chromosome:Theobroma_cacao_20110822:8:1061423:1068567:-1 gene:TCM_034006 transcript:EOY14714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,6-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase MDNPGNPQDMVVPPVEGVAGGGTAYGWNDSGLHVSNPLKGSIDPSEVPTADLIHVWCLPSTANIGPQEVPRNLEPISLLAARNERESVQIAIRPKVSWSCSGVAGVVQVQCGDLCSASGDCLIVGQSLTLRRVVPILGVPDALVPLDLPISQISLQPGETSTIWVSIDVPNTQPPGLYEGEIIINATKADSESSSQCLGKAEKHQLFMELRNCLDAVEPIDGKPSDEVVERVKSATTSLRRVLLSPSFSEFFSDNGPVDMMDEDAISNLSVRVKLSLTVWDFIIPTTPSLPAVFGISDTVIEDRFGVEHGSNEWYDALEQHFKWLLQYRISPYFCRWGDSMRVLTYTSPWPADHPKSDEYFSDPRLAAYAVPYSPVVSRNDAAKDYLQKEVEILRTKTHWKKAYFYLWDEPLNMEQYESVRNMASEIHAYAPDARVLTTYYCGPSDAPLAPTPFEAFVKVPKFLRPHTQIYCTSEWVFGNREDLVKDVISELQPESGEEWWTYVCMGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVPSAEIRFRQGLPPGDGVLFYPGEVFSSSSQPVASLRLERILSGLQDFEYLKLYASRYGREEGLALLEKTGVYLGPERYTVEHVPIDIMRG >EOY17302 pep chromosome:Theobroma_cacao_20110822:8:19171408:19176475:1 gene:TCM_036455 transcript:EOY17302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monooxygenase, putative MQEKEMDFEERDAGMKVAEEQDTVIVGGGICGLATALALHRKGIKSVVLEKSETLRTTGVGIIMQPNGWRALDQLGVASKLRETAMDISSRQLIMVDDGKRLELPLGKGELRCLKRLDLVEVLAEPLPVNTVHFGCKVLSIVLDPVTSYPVLQLHDGSIIRAKIVIGCDGVNSVISKFLGMNPPKLFSRCATRGFTWYERGHDFSGVFRIHKTDNVQLGQLPVTDKLVYWFLTRSLTPQDSNASKKDPAYTKEASMEAMKGFPHETVEMIKNSEDKSLYLTELRYLPPWELLRAKFRLGTVVVAGDAMHAMCPFISQGGGASLEDAVVLARCLSEKIKIKMQTSRQEQKMMLEKALDLYVRERRMRLFWLSLQTYLIGMTLDNTSKVKKVLGIVSLILIFRDQRSHTDYDCGRL >EOY16785 pep chromosome:Theobroma_cacao_20110822:8:11063847:11075045:-1 gene:TCM_035658 transcript:EOY16785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLSYSRLKHRGSQGHSVKENKAVALVKGSAPYIFLRLECRGAKKSWLLHYFSKSAMPRCQENHGSGVGRFSKIKNLTGF >EOY15753 pep chromosome:Theobroma_cacao_20110822:8:4520914:4522719:1 gene:TCM_034723 transcript:EOY15753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pol polyprotein-like protein MQMQSYLKAFNLWEVVETGIEPIQRHANLTLAQIRQFEEDKAKRYKALSCVQSVIFYEIFARIMHLDNPKEVWDHSKEEFHGSDKTRKIQALNLFRQFEMLTMDDNETIKEFFGKMMGMVNQLRGFRAEQRRAFRQKRLTENALVVKTKNKKLGSSIFKRNEANRKDKGKKVDEKRHGDHKKTFPPYSHGKKTNHIEKFCWFKPNVECKKCHQQGHVEKVCKNKVKNVMRKLLWLKSLKLKMRFFFMAKNVDSEEEKNTWLLDSGCSNHLTGCKDNFINLDSSFRTKIEVGNGDFLLILGIGTVGVHTPASRRLIYHVYFAFDVSQNLLIIGQLIDDNYMLVFKDRACTVSDPTGIELFTIGGKVISTILT >EOY16319 pep chromosome:Theobroma_cacao_20110822:8:6680968:6681627:1 gene:TCM_035140 transcript:EOY16319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNLYVPVTISIGIYKGGLKFNILVIISIGIIYYVFEIILARGLVEIQHCNTPFKFGVLFVVSEYSRESVESSF >EOY16876 pep chromosome:Theobroma_cacao_20110822:8:12643290:12647724:1 gene:TCM_035808 transcript:EOY16876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein isoform 2 MARPNHFARTYFLFFLFLLSIAMSRTLFVTALSPDGEAVLSLLAAADPSAKASSSILFSWNPKSQTPCSWQGITCSPQDRVISLSLPNTFLNLSSLPPQLSSLSSLQLLNLSSTNISGTIPPSFGQLTHLRLLDLSSNSLSGPIPQELGQLSLLQFLFLNSNKLIGRIPQQLANLTLLQVLCLQDNLLNGSIPYQLGSLVSLQQFRVGGNPYLTGEIPSQLGLLTNLTTFGAAATGLSGVIPPTFGNLINLQTIALYDTEVFGSIPPELGLCSELRNLYLHMNNLSGNIPPQLGKLQKLTSLLLWGNALSGSIPAELSNCSSLVVLDASANDLTGEIPGDIGKLVVLEQLHLSDNSLTGLIPWQLSNCTILTALQLDKNQLSGAIPWQVGNLKYLQSFFLWGNSVSGTIPSSFGNCTELYALDLSRNKLTGSIPEEIFSLKKLSKLLLLGNSLSGGLPRSVANCQSLVRLRLGENQLSGQIPKEIGQLQNLVFLDLYMNHFSGGLPLEIANITVLELLDVHNNYITGEIPSQLGELVNLEQLDLSRNSFTGEIPPSFGNFSYLNKLILNNNLLTGSIPNSFRNLQKLTLLDLSYNSLSGEIPPEIGYVTSLTISLDLSSNLFAGEIPESMSRLTQLQSLDLSHNMLHGRIKVLSSLTSLTYLNISFNNFSGPIPVTPFFSTLSSNSYLQNPNLCESIDGSTCSSRLVRKSGLRSTKTVALISVILASVTIVVLASWFLVARNHRYMVEKSAGASSSSPGAEDFSYPWTFIPFQKLNFTIDNILDCLKDENVIGKGCSGVVYKAEMPSGELIAVKKLWKTKRDEEPAVDSFAAEIQILGHIRHRNIVKLLGYCSNKSVKLLLYNYIPNGNLQQLLRGNRNLDWETRYKIAVGSAQGLAYLHHDCVPAILHRDVKCNNILLDSKFDAYLADFGLAKLMNSPNYHHAMSRVAGSYGYIAPEYGYTMNITEKSDVYSYGVVLLEILSGRSAVESQVGDGMHIVEWVKKKMGSFEPAASILDTKLQGLPDQMVQEMLQTLGIAMFCVNSSPAERPTMKEVVALLMEVKSPPEEWGKTSQPLIKQSSNQS >EOY16875 pep chromosome:Theobroma_cacao_20110822:8:12643278:12647764:1 gene:TCM_035808 transcript:EOY16875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein isoform 2 MARPNHFARTYFLFFLFLLSIAMSRTLFVTALSPDGEAVLSLLAAADPSAKASSSILFSWNPKSQTPCSWQGITCSPQDRVISLSLPNTFLNLSSLPPQLSSLSSLQLLNLSSTNISGTIPPSFGQLTHLRLLDLSSNSLSGPIPQELGQLSLLQFLFLNSNKLIGRIPQQLANLTLLQVLCLQDNLLNGSIPYQLGSLVSLQQFRVGGNPYLTGEIPSQLGLLTNLTTFGAAATGLSGVIPPTFGNLINLQTIALYDTEVFGSIPPELGLCSELRNLYLHMNNLSGNIPPQLGKLQKLTSLLLWGNALSGSIPAELSNCSSLVVLDASANDLTGEIPGDIGKLVVLEQLHLSDNSLTGLIPWQLSNCTILTALQLDKNQLSGAIPWQVGNLKYLQSFFLWGNSVSGTIPSSFGNCTELYALDLSRNKLTGSIPEEIFSLKKLSKLLLLGNSLSGGLPRSVANCQSLVRLRLGENQLSGQIPKEIGQLQNLVFLDLYMNHFSGGLPLEIANITVLELLDVHNNYITGEIPSQLGELVNLEQLDLSRNSFTGEIPPSFGNFSYLNKLILNNNLLTGSIPNSFRNLQKLTLLDLSYNSLSGEIPPEIGYVTSLTISLDLSSNLFAGEIPESMSRLTQLQSLDLSHNMLHGRIKVLSSLTSLTYLNISFNNFSGPIPVTPFFSTLSSNSYLQNPNLCESIDGSTCSSRLVRKSGLRSTKTVALISVILASVTIVVLASWFLVARNHRYMVEKSAGASSSSPGAEDFSYPWTFIPFQKLNFTIDNILDCLKDENVIGKGCSGVVYKAEMPSGELIAVKKLWKTKRDEEPAVDSFAAEIQILGHIRHRNIVKLLGYCSNKSVKLLLYNYIPNGNLQQLLRGNRNLDWETRYKIAVGSAQGLAYLHHDCVPAILHRDVKCNNILLDSKFDAYLADFGLAKLMNSPNYHHAMSRVAGSYEYGYTMNITEKSDVYSYGVVLLEILSGRSAVESQVGDGMHIVEWVKKKMGSFEPAASILDTKLQGLPDQMVQEMLQTLGIAMFCVNSSPAERPTMKEVVALLMEVKSPPEEWGKTSQPLIKQSSNQS >EOY17014 pep chromosome:Theobroma_cacao_20110822:8:16926832:16928695:-1 gene:TCM_036170 transcript:EOY17014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYESARRISGKADVLPTDYLGGAMTMLNLLWPPFPSTLCRCFKCQLGLRRSLIVFRDGSYGAALLQIAIFIMFYALALRREGKLADFGKWVDEIWSWDVKLKRRLFDWKVEQWVMFCNAFNDNPLIWGGGLRLEESCKRCPVESGIGGAVRNEGKHVKILFSKSIGVGDSNRAEILAIKEAFALFAASW >EOY14654 pep chromosome:Theobroma_cacao_20110822:8:885117:886829:1 gene:TCM_033965 transcript:EOY14654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATTRLLRSSNKPLGRIFAPSSSWPSSSVKNVRCVSKAGLDGEKKVCGSSERKPTVAVKAASVAAAENVVISEPRGDWGKELASSLAVAINAMRQVLVLVVKPRPWRLQVQMLIEKVIIDSRFFTLFAVAGSLLGSVLCFMEGCFLILESYLQYFHNLSQKSDQEHIIHLLIEAIDMFLVGTAMLIFGMGLYIMFVGSKTMKGGAPSLPRSNLFGLFPLKTLPAWVEMKSVSQAKSKIGHAVMMILQVGVLEKFKSIPTVTSLDLACFAGAVFVSSACIFLLSRLSAGGS >EOY14800 pep chromosome:Theobroma_cacao_20110822:8:1300127:1301931:-1 gene:TCM_034071 transcript:EOY14800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmatic reticulum retrieval protein 1B isoform 1 MESLGDDAATAVAPIAKWKNDFSRTFQYYLDRSTPHLAERWLGTLAVAAIYVLRVYVLRGFYIVSYGLGIYILNLLIGFLSPKVDPELEVLDGASLPTKDSDEFKPFIRRLPEFKFWYSITKALCMAFLMTFFSVFDVPVFWPILLCYWIVLFVLTMKRQLMHMIKYKYVPFSMGKQRYTGKKTTARGLGSTMD >EOY14801 pep chromosome:Theobroma_cacao_20110822:8:1300124:1301883:-1 gene:TCM_034071 transcript:EOY14801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmatic reticulum retrieval protein 1B isoform 1 MESLGDDAATAVAPIAKWKNDFSRTFQYYLDRSTPHLAERWLGTLAVAAIYVLRVYVLRGFYIVSYGLGIYILNLLIGFLSPKVDPELEVLDGASLPTKDSDEFKPFIRRLPEFKFWYSITKALCMAFLMTFFSVFDVPVFWPILLCYWIVLFVLTMKRQLMHMIKYKYVPFSMGKQRYTGKKTTARGLGSTMD >EOY16553 pep chromosome:Theobroma_cacao_20110822:8:8214338:8237453:-1 gene:TCM_035341 transcript:EOY16553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAALPPIPGIGTAASGSGAAQGNQGFNPAMFTAASLHNAGFTTAQYVKLAKQFTMHEERIGDNLLTQGIRNGVLKLPNSSTFKDVVNFLIYLKSPAGQLAVHAEQQRSPLKRRAGEGQDISEIASAERARDEALASLRDDFRPVSLFQEPTKGELKLSCYGMYQAEGLRTCKTVLPRGDAGYAMARTMFGEIVRNARMASFATDSRNKEQLLEYMSSKTLRLEGEGQYKTAQKLRNYLVIASGAPDALGEASSAGGIRCVCKTWRDIVSDPEFAKLRYQKSQVQLMLRTNDSKHISRTLFLVEVQLHDLSLRCCNCDISDFAFQNHVHINLDTKFNIPLRAAKMDLDDMLTNNVKVNSKRRRSINARPKFDKFDIVNSCNGLLCLSEPVKYNPVIVCNLITGEFIKIPEAPEIAKPSLQVHSGFGFSPNTNQYKVMADLLFAMAIFTAWEFEFKLKILKWMHVSPKSEVK >EOY16851 pep chromosome:Theobroma_cacao_20110822:8:11963023:11967107:1 gene:TCM_035751 transcript:EOY16851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRFRVHRGTHGYRSRGVTHLVLILGWDREPSVLGGSKILDRVVKSFALVILVGDDIVDGASLLQKLVTGAVNLGILGGTVRWHINHQILLVVPLSQLHLLRQLLSHLAGRLVDREVEVRVLPLKADHLGPDIKVLLVEAKRGCLL >EOY14494 pep chromosome:Theobroma_cacao_20110822:8:464098:464641:1 gene:TCM_033864 transcript:EOY14494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative MRVITLFHHISKTKCLSYSYPKLQTSSVPFATMPLYVPKSLNLTLNEGQLKAIFKEHDTDGDGCLSKEELSKAFQKLGSRYPGWRVRRALHHADTNGDGSIGIDELDELVKYAVKLGYGVN >EOY14768 pep chromosome:Theobroma_cacao_20110822:8:1214173:1227658:-1 gene:TCM_034044 transcript:EOY14768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MNSCFTLPMEAQRRVLPIFLLALILFLIQCGLAQKVSISSPIERRALLDLRSSLGLRAREWPIKADPCTSWLGVHCQNGTVLNITVSGLRRTRLGRLDPQFNVDSLVNLTRLVSFNASGLPLPGSIPEWFGNQLVNLEVLDLRSCNVSGSIPGSLGNLSRLTSLYLSNNDHAGSIPAALGQLRNLKILDLSSNSLTGSILPSFGFLIERLELASNYLSGSIPPGLSSLQRLQVFNVSDNNLSGSIPVQFGNLSRLVELDLSKNSFYGSLPKEFKRLRSLQKMVIGDNELEGQLPVDLFSSLVNLQFVDLSGNKLDGTLSATFWSMPNLRFLDVSGNNFTGPLQVLNSNGSDAAAAVFNLSNNLLYGTLNFSLAMFKFIDLSGNYFQGKVVDYRERNATVDKNCLQGMLKQRTLDDCRLFYTERWLSFGNFGEPDTIQPPPLSESGSESRKRWIFILAGLFGGLGFIVILVLVLVLFLRRCDKGITNQRGSADTGPVPEADSPQLPKDPTNIVGSGDPYTYEQLLQATGDFSETNLIKHGHSGDLFRGILEGGIPVVIKKVNLTSFKKESYIMELDLFRKLSHTRFVPLLGYCLEHETDKLLVYKYMPNGDLANSFYRATNSEDDSLQSLDWITRLKVATGAAEGLYFLHHECNPPLIHRDIQASSILLDDKFEVRLGSLSEVHSQEGDTQQNMLTRLLWKPQTSEPGPSGSGSGPLDWHVKQFLWKWPGSSSTSCAYDVYCFGKVLLELITGKLGIAKAEDASTKEWLEHILPCISIYEKEMVTKVMDPSLIVDEDLLEEVWAMAIVARSCLNPKPSKRPSMKHILKALENPLKVVREESFSSARLRTTSSRRSWSAAFFGSWRQSSSESATIAGHPNREGFSGFRQSSRVGSHGSGGIEHSSSNKRLSNEIFPEPVEMQDMERLDEN >EOY14769 pep chromosome:Theobroma_cacao_20110822:8:1215785:1220279:-1 gene:TCM_034044 transcript:EOY14769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MNSCFTLPMEAQRRVLPIFLLALILFLIQCGLAQKVSISSPIERRALLDLRSSLGLRAREWPIKADPCTSWLGVHCQNGTVLNITVSGLRRTRLGRLDPQFNVDSLVNLTRLVSFNASGLPLPGSIPEWFGNQLVNLEVLDLRSCNVSGSIPGSLGNLSRLTSLYLSNNDHAGSIPAALGQLRNLKILDLSSNSLTGSILPSFGFLIERLELASNYLSGSIPPGLSSLQRLQVFNVSDNNLSGSIPVQFGNLSRLVELDLSKNSFYGSLPKEFKRLRSLQKMVIGDNELEGQLPVDLFSSLVNLQFVDLSGNKLDGTLSATFWSMPNLRFLDVSGNNFTGPLQVLNSNGSDAAAAVFNLSNNLLYGTLNFSLAMFKFIDLSGNYFQGKVVDYRERNATVDKNCLQGMLKQRTLDDCRLFYTERWLSFGNFGEPDTIQPPPLSESGSESRKRWIFILAGLFGGLGFIVILVLVLVLFLRRCDKGITNQRGSADTGPVPEADSPQLPKDPTNIVGSGDPYTYEQLLQATGDFSETNLIKHGHSGDLFRGILEGGIPVVIKKVNLTSFKKESYIMELDLFRKLSHTRFVPLLGYCLEHETDKLLVYKYMPNGDLANSFYRATNSEDDSLQSLDWITRLKVATGAAEGLYFLHHECNPPLIHRDIQASSILLDDKFEVRLGSLSEVHSQEGDTQQNMLTRLLWKPQQPTFQLRLCLLQANTLEAIAGPAIGSNFVYSVDKIYLLLLLR >EOY17483 pep chromosome:Theobroma_cacao_20110822:8:21018998:21023372:1 gene:TCM_036682 transcript:EOY17483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNENSEKPKPYKSKSRRSKIQDEDYDKKEDRKIMKTRKIQKSKVSSTPTSSRRKIRITKDSSKFQPISPKREI >EOY14492 pep chromosome:Theobroma_cacao_20110822:8:458588:459182:1 gene:TCM_033862 transcript:EOY14492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative MTITSKSGSGYPQAISKLQLRKLFLDCDANNDGILTKEEIKKAFHNLGALLPRYRAWEGLKHADANKDGCVSPEELDGLIDYAYKLQYTAIANY >EOY14849 pep chromosome:Theobroma_cacao_20110822:8:1461390:1462234:1 gene:TCM_034106 transcript:EOY14849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine-zipper 44 MASSSGNSNSSGSTLLQNSGSEEDLQHLMDQRKRKRMESNRESARRSRMRKQKHLDDLVSQVTQLRKDNNQILTSINFTTQHYLNIEAENSVLRAQMMELSQRLESLSEILDYLNSTTTNGGLYETHQGFETSSADSFTNPFNLPYLNQPIMASADIFQY >EOY14579 pep chromosome:Theobroma_cacao_20110822:8:633189:636243:-1 gene:TCM_033905 transcript:EOY14579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSLLIRGNQGGPSVVSFQPSCRSVLVLIRSSEGLARLRVDMGLFVLFVQVVVGWALFLLLAVIVVGPIRLWTEKSCGIENLDRTVAQILPDVGHRWVCFVFLLVDLFLKFSAPIKLSGALYFFVMGTPTPPLI >EOY17182 pep chromosome:Theobroma_cacao_20110822:8:18202055:18205415:-1 gene:TCM_036335 transcript:EOY17182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein with leucine-rich repeat domain, putative MRSCIYRLCLLIYFFFCLYPLVFCQLASNQKQLMINISQQLGISNSPWNSTKEPNPCRWEGVTCNIPLNNSIVSLSLSGFGLSTSNFLPMFCQIDSLQSLNLSNNILVSIPVEFFNSCGRIDGLKSLDFSNNKLVGSLPTFHKFVGLESLDFSFNSLSGSINSQLNDLSALKILHLVLSKNNFTGPIPTEIGIYQNLVRIDLSFNSLSGQIPASIGNLTKLQVLILSSNKLTGLIPATLSSITTLRRFSANQNKFVGSIPSNITKFLSILDLSYNNLIGGIPWDFLSPSNLQTVDLSYNRLEGPIPGRMSSSLIRLRLGSNSLSGPISSIDFASLKNLMYLELETNSFTGMIPPEIGSCSKLALLNLAQNQLNGTLPVELFNLTNLQVLKLQLNKVGGEIPSQIGQLRMLSVLNISWNSLNGIIPSSISNFRNLVNLNLQGNNLTGPIPDQISNLNSLLEIQLGENKLSSKIPSMPLNLQISLNLSWNLFEGPIPKTLSGLFSLEVLDLSNNKFSGEIPDFLLGLSSLKQLILSNNLLSGVIPKFSQHVSVNTSGNPGLQNATTNYPVSSNKRTRIAVTILSTIAAAVLAIGLVAITDLLIRKYIHKTERILR >EOY16606 pep chromosome:Theobroma_cacao_20110822:8:8880987:8883520:-1 gene:TCM_035427 transcript:EOY16606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase 1 isoform 2 MSSTASQVIRCKAAVAWESGKPLVIQEVEVAPPQASEVRLKILFTSLCHTDVYFWEAKGQNPLFPRIYGHEAGGIVESVGECVTELKPGDHVLPVFTGECMDCAHCKSEESNMCDLLRVNTDRGVMLNDEKTRFSINGKPIYHFVGTSTFSEYTVVHAGCVAKINPLASLDKVCVLSCGISTGLGATLNVAKPPKGSTVAVFGLGAVGLAAAEGARIAGASRIIGVDINSNRFEGAKKFGVTEFVNPKDYKKPVQEVIAEITDGGVDRSIECTGNVDAMISAFECVHDVGVLLFLWGCHTKMLCSRLTP >EOY16604 pep chromosome:Theobroma_cacao_20110822:8:8881194:8883344:-1 gene:TCM_035427 transcript:EOY16604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase 1 isoform 2 MSSTASQVIRCKAAVAWESGKPLVIQEVEVAPPQASEVRLKILFTSLCHTDVYFWEAKGQNPLFPRIYGHEAGGIVESVGECVTELKPGDHVLPVFTGECMDCAHCKSEESNMCDLLRVNTDRGVMLNDEKTRFSINGKPIYHFVGTSTFSEYTVVHAGCVAKINPLASLDKVCVLSCGISTGLGATLNVAKPPKGSTVAVFGLGAVGLAAAEGARIAGASRIIGVDINSNRFEGAKKFGVTEFVNPKDYKKPVQEVIAEITDGGVDRSIECTGNVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPINLLNERTLKGTFFGNYKPRSDLPSVVEKYMSKELELEKFITHSVPFSEINKAFEYMLKGEGLRCIIRME >EOY16605 pep chromosome:Theobroma_cacao_20110822:8:8880948:8883630:-1 gene:TCM_035427 transcript:EOY16605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase 1 isoform 2 MSSTASQVIRCKAAVAWESGKPLVIQEVEVAPPQGQNPLFPRIYGHEAGGIVESVGECVTELKPGDHVLPVFTGECMDCAHCKSEESNMCDLLRVNTDRGVMLNDEKTRFSINGKPIYHFVGTSTFSEYTVVHAGCVAKINPLASLDKVCVLSCGISTGLGATLNVAKPPKGSTVAVFGLGAVGLAAAEGARIAGASRIIGVDINSNRFEGAKKFGVTEFVNPKDYKKPVQEVIAEITDGGVDRSIECTGNVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPINLLNERTLKGTFFGNYKPRSDLPSVVEKYMSKELELEKFITHSVPFSEINKAFEYMLKGEGLRCIIRME >EOY15584 pep chromosome:Theobroma_cacao_20110822:8:3837453:3839665:-1 gene:TCM_034596 transcript:EOY15584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug and toxin extrusion protein 1 MRNPKPSSPTSSFLSSNKTPLSKTVDLPKDDEEQLHRLPTLSEAMEEIKAIGKISGPTAMSSLLLYSRAMISMLFLGYLGELELAGGSLSIGVANITGYSVISGLAMGMEPICGQAYGAKQWKLLGLTLQRTVLLLLSASIPISFMWVNMKGILLWCGQDQEISSVARTFIIFSIPDLFFLSLLHPLRIYLRTQSITLPVTYCSAISVLLHVPLNFLLVVHFKLGVAGVAIAMVWTNLNLFLLLSSFVYFSGVYKDSWVTPSTDCLRGWSSLLGLAVPTCASVCLEWWWYEIMILLCGLLANPKATIASMGILIQTTSLVYCFPSALSVGVSTRVGNELGAKRPGQARISMIVSLFCAVAIGVAAMLFATLMRHQWGKLFTNDAEILELTAVALPIAGLCELGNCPQTTGCGVLRGSARPTIGANINLGSFYLVGMPVSLLMGFMTKMGFAGLWLGLLAAQVSCAFFMLVVLCRTDWMLQAERASVLTQTSNKLPSLPISTKQEEYSNSKETNKKADLEGILCINDELVKPASSLETDPLLSNSHTDEH >EOY14892 pep chromosome:Theobroma_cacao_20110822:8:1584440:1588613:-1 gene:TCM_034136 transcript:EOY14892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Walls Are Thin 1 MADAGGSASGRMWCSIPERLQLHMAMLALQFGYAGFHVVSRAALNMGISKLVFPVYRNIIALLLLLPFAYFLEKKERPAITLNFLLQFFLLALVGITANQGFYLLGLDNTSPTFASAIQNSVPAITFLMAAILRIEKVRLNRKDGISKVIGTALCVAGASVITLYKGPTIYSPAPSLNRPTPMFVSLGDAKGKNWTLGCVYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGLIQFLIIAAFLERDPQAWMFHSGGELFTILYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASIALGEEFYLGGIIGAVLIIAGLYLVLYGKSEERKFAAQEKAAIQSTPEHSNNRTPSHIKTSLTQPLLPPSTENV >EOY15084 pep chromosome:Theobroma_cacao_20110822:8:2189376:2193291:1 gene:TCM_034261 transcript:EOY15084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative MVKKEITASRQVAAHHCELVKSAQLGKDLVLIHVLSDSDNGFVNLPWQTTWSRKDHRNIGRDFALVKQGNLGSPPRSSIRKVLKKLAKCRVAMAVHTERNPEEAIWSWISYASYCANQLPTASSLLAVHKGKIILEIPPIQHLTGFKLNSRPDLYLIKDSSRLRDAEKPESEEAESHAVLVSTDVAREDIGGPKDGNSSYKAILLNSISLIRRELPEPSLGWPLLRRKSSPNQENFKKPEARDMSVVEWVMSLPNRVTTVVNHIALHSNQTSITSKTYTTKDSTISDCKTEEAIHSTEDEESVTNDSRNSKEENYGLGYDAELSVPLITQTLEPDSKPGWPLLRITAPTTSDSFTEYEAAINAPVQLTVEPPPSRNALLSTEVENPVEKENYFPAPRKMLNNLELHCKRFSLRELKQATSEFSPENLIGEGGCSNVYKGRLPGGKAVAVKILKSYKEAWSDFSLEVDIVSSLKHEHITPLIGVCVEDDHLISVYDFFPKGSLEEILHGQSKKSALPWGVRFKVATAIAEALNYLHSECPRPVIHRDVKSSNILLSDDFQPQLSDFGLAIWGPTDSNYTVDGNVVGTFGYIAPEYFMQGKVSDKIDVYSFGVMLLELLSGRRPISSKALEGKESLIQWARPLLERRDLQGLSDPALNEDFDVAQMHRMVAAASLCLNQLDGHRPKVSQVLEILRGVKEPTEWYDLRATESKLPNDQEDDDLSPGFVHKLYTYLSVSFIDDDSTPECSNYTTSRYRGAKKGRLMLKDYLKKGQDQSLSSPL >EOY17379 pep chromosome:Theobroma_cacao_20110822:8:19806279:19808641:1 gene:TCM_036529 transcript:EOY17379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein, XI-23,RLK7, putative MSTSLSYLQIVLSLCLLFCFSLPFCVKSDELQILLNLKSALNKSSTPNVLDSWEAANSVCSFNGITCNAEGSVKKIELSSQKLRGVLPLDSICQLQSLDKLSLGYNLLYGAITKDLSNCVKLQYLDLGNNLFTGSFPDISALSELQYLHLNGSGFSGTFPWKSLENMTNLVVLSLGDNPFDRTPFPDEILKLKKLNWLYLANCSIEGKIPPAIGDLTDLIDLELQDNYLSGEIPVEIGKLHKLWQLELYRNLLTGKLPVGFRNLTNLEYFDASENDLEGDISEVGYLSNLVSLQLFENQFTGEVPAELGEFKKLVNLSLYTNMLTGPLPQKIGSWAEFDFIDVSQNFLTGPIPPDMCKKGTMRGLFMLQNRFTGEIPATYASCTTLKWFSVSNNSLSGTVPTGIWGLPKVTMIDISLNQFEGPITSDIKNAKAIDKLLADHNMLSGKIPQEIWELKSLSILNLGHNGLSGSIF >EOY15165 pep chromosome:Theobroma_cacao_20110822:8:2445870:2447885:-1 gene:TCM_034324 transcript:EOY15165 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein with a domain of Uncharacterized protein function, putative MGVGWTQLPPELLQSISENLKIYADYIRFRAVCRSWRSSIPKTPFHLPPQLPWLMLPPSQSHQSHRAFYDLFTKRLLFLSLPESSNPNKRHCGSSHGWLIILDESPTILLLNPLSRAKLHLPPLSTFPNVDSFNYSDIGKEYSLRSPSGGRYTRNLRQMRDWFIKKIVLSANPSKDPRFVAIAILNQTGELAYCKNGDKSWRFIEGGHPYSEDVIYCRGLCFAVDKHGGIVICDVRNDSPRISFIETPRQLGGDMQYLVNSGDELLLVTRHLDLDFDFEPDPSHLVFRTKRFEVSRLIWNGPYWERVNSLNDKMVFVGENSSLSLSASYFTGCMGNCVYYTDDYSETNYGGAFGEHDIGIYNLWDGSIEPLPCYPRNSFFRLGWPTPLWVTPSPC >EOY16967 pep chromosome:Theobroma_cacao_20110822:8:15468030:15469457:1 gene:TCM_036048 transcript:EOY16967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyesterase 18, putative MSKNTSSILPDVSWKERFYRSMIGFGVKFSRRSDGTINRCIMNFFDFKAPPSKQPLDGVKSFDTMVDPTRNLWFRLYVPSASTSTTNVPVIVYFHGGGFAYMSAGSVGCDNLCRRLSKQTGAVIISVNYRLSPEHRYPCQYEDGFDVLKFIDINNTNLEGFPLYANLNQCFIAGDSAGGNLAHHVTVKACEYELRNMKFIGLIAIQPFFGGEERTESEIRLVDGPVLSVKGTDWLWNAFLPQGSDRDHPASNIFGPKSVDISRLKFPATIVFVGGLDPLHDWQIRYYEGLKKCEKEAYLIEYPNAIHSFYGDPGLPETGLFMEDVKDFIQKQSANK >EOY14637 pep chromosome:Theobroma_cacao_20110822:8:805475:810718:1 gene:TCM_033951 transcript:EOY14637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MDIFHSFPATSTVAILAVSSILFLFSLLWFSNTASSNTNKKRTAPEASGAWPIIGHLRLLGGPRPPYITLANMADKFGTFFAIKLGVHRALVVSNSEIAKQCLTTNDKAFATRPKLTAMEILGYNNSIIAFAPYGPYWRQVRKFVNIELLSNHRLDMLKHVLDSEVKSSLQQLYQLCGKKRTNSDEVLVDMQRWFKDVSLNLILRIIVGKRIPNSSEGGETVKWKKSLDDFIQPSGNIVVSDALPFLRWLDIGGEKKLMKKIAKELDQVVEGWLQEHKHKSAANEANKSEEDFMGVMLSFPCDTEGKDPDTINKATCLTLILAAEETITIAMTWALSLLLNNRDTLKKVQQELDTQVGKDRLLVTESDTKNLVYLQSVIKETFRLYPPLPLSVIHEAIEDCTVNGYHVSAGPWLILNLHKIHRDPTVCGRRMCSGVPFGLHILQLALANVLHWFDFATPFDEPVDMRVGAVLASPKATPLEVHITPRLPVSLYESTS >EOY15940 pep chromosome:Theobroma_cacao_20110822:8:5112315:5116099:-1 gene:TCM_034860 transcript:EOY15940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome C oxidase polypeptide vib, putative MAEAQTDKPLSLSEQYLLKEKEEKSDVATKPAEVKEVESPVNAASEEVVIEKVEETPASPVEETTVAPPAAAEESTGANPATENSGEDAPAAADESSEPTAEENSGDAEETPEIKLETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGEGAPECDKFAKYYRSLCPGEWIDRWNEQRENGTFPGPL >EOY16653 pep chromosome:Theobroma_cacao_20110822:8:9207877:9209412:-1 gene:TCM_035473 transcript:EOY16653 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MFTVCDQMLQCPPRPLPIDRKWKSNVELAPNCPRCASANTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKSRRGKFSRAEKSGHLSMNYRKNLSSFGSHQDEMIGVSSSPDGDSGNRPEPASGSDIDLAVVFAKFLNQSTNFDHPEVVTQELPNEANERIDVWSYLEQDINSQNGSSMESQKPVVPSIPESFMLQETPQVNQQQEENKSIEELLETNHMNAFGLPNLLADETVQDALWSDDAASTTPNFDWQPVLQLQQFESFPVDDQLRISANLVIENWNSFDLSGFGLFSKP >EOY17411 pep chromosome:Theobroma_cacao_20110822:8:20134209:20137020:-1 gene:TCM_036576 transcript:EOY17411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIIEMEAEDTNRQDELFIVKYRSSLVDPILESFYFGIRAQRVNHSFVSVDYGFISSRFFISVRNLVVEESAEIKSELFNHFFNL >EOY15212 pep chromosome:Theobroma_cacao_20110822:8:2586271:2590185:1 gene:TCM_034357 transcript:EOY15212 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 1 isoform 2 MPPMANSLLSSNLFGTQLLLSPPTPKTTRKSQITQSILNKKPNSQRSLKTLQSHATLAALLFSSVTPQALAVDNAPPTPPAVIEAQPTKPSPSNQSPFAQNLLLTAPKPQSQSSDLPEGSQWRYSEFLNAVKKGKVERVRFSKDGSALQLTAVDGRRATVIVPNDPDLIDILAMNGVDISVAEGDSGNGLFNFIGNLLFPFLAFAGLFFLFRRAQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDAALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGDENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQMSSQKDYSMATADVVDSEVRELVETAYTRAKQIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGKTELYVS >EOY15213 pep chromosome:Theobroma_cacao_20110822:8:2586460:2589467:1 gene:TCM_034357 transcript:EOY15213 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 1 isoform 2 MPPMANSLLSSNLFGTQLLLSPPTPKTTRKSQITQSILNKKPNSQRSLKTLQSHATLAALLFSSVTPQALAVDNAPPTPPAVIEAQPTKPSPSNQSPFAQNLLLTAPKPQSQSSDLPEGSQWRYSEFLNAVKKGKVERVRFSKDGSALQLTAVDGRRATVIVPNDPDLIDILAMNGVDISVAEGDSGNGLFNFIGNLLFPFLAFAGLFFLFRRAQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDAALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRLVTQS >EOY14610 pep chromosome:Theobroma_cacao_20110822:8:710295:710909:1 gene:TCM_033926 transcript:EOY14610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKIRHKHAFSSSFQFHASLTPLGIITKEKSKAISCNHGRKAAWQLAIILRNLIQNIYPFIFWFIQMLLNCIFNSKNIFYDILLCSAFDCVIELKVKNRFKDRSGYVIIAEKDSETRDKGIKLISQNSLCIALWS >EOY17342 pep chromosome:Theobroma_cacao_20110822:8:19573956:19574841:-1 gene:TCM_036502 transcript:EOY17342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEKGEDKERSIYIYVHRNLDSGIPTVRLLVPPCGSSVAGIPWTTPTPMLSVVWTPVTQAKVGKLALPLPSVAVELCLR >EOY16073 pep chromosome:Theobroma_cacao_20110822:8:5665938:5667376:1 gene:TCM_034955 transcript:EOY16073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLGKRPRPPMKRTTSMKEITFDLNTSNAEAPPSDRHNPLNNYPKQAAGPFGGVWGPQIQANGGGGAAGGGSGLEGFDQRLLATVSPRAHRRHSADFIETAHFLRACGLCRRRLVPGRDIYMYRGDTAFCSLECRQQQMNQDEKKEKCSIASKKQAAAASSAARSGVSAKGETVAAV >EOY16270 pep chromosome:Theobroma_cacao_20110822:8:6505446:6509160:-1 gene:TCM_035104 transcript:EOY16270 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MSSLLRFKSVSKEWCSFITEPYFIKLHLSQSMETNRNNRNIIFKDVESGKLLSVDFDSIDFQNLKAINNPLKHLSGCGADDDYGDIQVFDSCNGLLCLINKRYRIIELWNISTGDYKVLPDELLKVTGASGEIWYFYGFGYDSINDDYKIVRVAQEIDSRNHTLISEVKVYSLKALQGCLCIITTCFNDEVNIWMMKDYGVKESWTILYSFQGVDTRFWLILLL >EOY15178 pep chromosome:Theobroma_cacao_20110822:8:2491770:2496759:-1 gene:TCM_034333 transcript:EOY15178 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein isoform 2 MAIEKILKDDASEEKGERARMASFVGAIAITDLVKTTLGPKGMDKILQSTGRGHEVTVTNDGATILKSLHIDNPAAKVLIDISKVQDDEVGDGTTSVAVLAGELLREAEKLVATKIHPMTIISGYRMAAECARDALLQRVVDNKENAEKFKTDLMKIAMTTLSSKILSQDKEHFAQLAVDAVMRLKGSTNLEAIQIIKKPGGSLKDSFLDEGFILDKKIGVGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSKVAEIEGAEKEKMREKVQKIIAHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLALVTGGDIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVEMGQACTIVLRGASHHVLDEAERSLHDALCVLSQTVNDTRVLLGGGWPEMIMAKEVDELARKTPGKKSHAIEAFSRALVAIPTIIADNAGLDSAELIAQLRAEHHKEGCNAGIDVITGSVGDMAELGISESFKVKQAVLLSATEAAEMILRVDEIITCAPRRREDRM >EOY15179 pep chromosome:Theobroma_cacao_20110822:8:2491855:2496158:-1 gene:TCM_034333 transcript:EOY15179 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein isoform 2 MCFVLCCWIRDIYCFFLIQGFICAPRLKLVFSMAIEKILKDDASEEKGERARMASFVGAIAITDLVKTTLGPKGMDKILQSTGRGHEVTVTNDGATILKSLHIDNPAAKVLIDISKVQDDEVGDGTTSVAVLAGELLREAEKLVATKIHPMTIISGYRMAAECARDALLQRVVDNKENAEKFKTDLMKIAMTTLSSKILSQDKEHFAQLAVDAVMRLKGSTNLEAIQIIKKPGGSLKDSFLDEGFILDKKIGVGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSKVAEIEGAEKEKMREKVQKIIAHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLALVTGGDIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVEMGQACTIVLRAIMCLMKLKGLCMMPCVCCLRQSMILGYCLEVDGLR >EOY17495 pep chromosome:Theobroma_cacao_20110822:8:21220815:21238851:1 gene:TCM_036705 transcript:EOY17495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MDWLISLDESSLNAYKQHFNVLSLIPTSHYLLAFTVIWAAYLYNFLDIHFFRDLFSGFRGAPVSYTSHPSSPIYNHVVSKCRILHDRFLATPWLSSPHFQTVFLNFFGNLPVFSYNKGFAKECPNVTLTCLCFLKQLFHASDGGTIALDWLTSFDGTSEMNNAISNDDTTPVVVVILGLTSDSASAYIKHLAFGMAKHGWNVVVSNHRGLGGVSITSDCFYNVGWTEDLFSSMFDDGASLQSLDCLVKYLGEDREKVPLAGAVAICSPWDLLGVGDHEASPPFTRQEVHFTPGHEATEQSLPSSSKLLGRKSYFATNHTYQSTHRVEDHEASNPISYGGNNGLCALPLTHSRIGDRFICRRLVQKLYDRALTIGLQGYAKLHEPRYSRLANWEGIKKSPLPFNLCCFLVILLAFKSHVLFEILTTSLNALWENLRHCSSSSYVQNVSVPLLCISALDDPVCTREAIPWDECRANKNIVLATPQHGGHLAFFEGITASSLWWVRATNEFLSLLHSSPHLHLQKTENSDLQLSLGSSIDEGPYVNVTENGMVAAVGNEHIRNNIVEDLAGLRKIHNQECDKMISASEQNKHLTETMSDVVPSADKMVSASEENEHLAEAMSDFVPGAAQVSGQSSNLQGVNSFDVIAHVKRCLNHLSRQNRNSMWLLAYIAIITSWPLVGSAFRIFSRKKLRNVSPAALRRR >EOY15027 pep chromosome:Theobroma_cacao_20110822:8:2000523:2003515:-1 gene:TCM_034225 transcript:EOY15027 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor family protein MKACKNPIHAVSRWIRRQPPKMKVFLAVLSVIAALVFLKMVVEDNDNLFVAAETVHALGTCVLIYKLSKEKTCAGLSLKSQELTALFLAVRLYCSFVMEYDIHTLLDSATLGTTLWVIYMIRFNLRSSYMSDKDNFGIYYVVIPCAVLSMFIHPSTSHHWFNRICWAFCVYLEAISVLPQLRVMQNIEIVEPFTAHYVFALGVARFLSCAHWVIQVFDTQGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSLIGGQLVVRLPSGVV >EOY16489 pep chromosome:Theobroma_cacao_20110822:8:7674533:7677268:-1 gene:TCM_035273 transcript:EOY16489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVELKSGDDFEELKSKVSFMDSKLKEAERSIMKLTEERSIATREKDKLKGELELLKRKSDVRTIQVGFPFLYCCMVAVISLAVGYFSHLYEGISSHETGFLKEREQMSYIVIIVYRGSCYLV >EOY16839 pep chromosome:Theobroma_cacao_20110822:8:11748219:11749305:1 gene:TCM_035732 transcript:EOY16839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSSFEDSDYQSYEEINWGNVMVTLGEFMKLKPPSFLGAKSTEDPQVFLDEMDKICTALGCSSHQVVELTGFRMMEVVQIWFATLKHCRLPGSAPFSWEKFTQAFMDRFLLESVRDAKAQEFETLMQALGMTVSDYDIQFTQLSRYTPYLVQTERERIKRFIKGLHRTIYIILVF >EOY15077 pep chromosome:Theobroma_cacao_20110822:8:2170861:2172856:1 gene:TCM_034257 transcript:EOY15077 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAC-like 3 MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVAKKWIPELKHYAPGVPIILVGTKLDLRDDQQFFVDHPGAVPISAAQGEELRKQIGSPAYIECSSKTQQNVKAVFDAAIKVVLQPPKKNKKKKKAHGGCSIL >EOY14807 pep chromosome:Theobroma_cacao_20110822:8:1316404:1317042:1 gene:TCM_034075 transcript:EOY14807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWKLEIIIKKEKDVPNMVLLPGILSLPKCHDKELLNVCFIQKYQDHVQNQLLPRMENSPTRYSFNPGGGLGLRPG >EOY17482 pep chromosome:Theobroma_cacao_20110822:8:21017940:21027582:-1 gene:TCM_036681 transcript:EOY17482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus protein 5 subunit 22 of Mediator complex MNKGTGVGTGPTAAAAAAAAQKQKTMMQRVETDIGNIVENFTQLVNVARVNDPPVGNSQEAFMMEMRAARMVQAADSLLKLVSELKQTAIFSGFASLNDHVEQRSVEFDQQAKKTDRMLARIGEEAAASLKELESHYYSSARRTAESA >EOY14464 pep chromosome:Theobroma_cacao_20110822:8:399760:402113:1 gene:TCM_033838 transcript:EOY14464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase-like MLTSLCFISLFLSVFPSLVSPALLFQGFNWESCNKAGGWYNFLKNSVSDIANAGVTHVWLPPPSQSVGPQELRPCILFENCPVLAIFDCIDDYVHILKELIFHPGYLPGRLYDLDASKYGSQAELKSLIDAFHQKGVKCVADIVINHRTAERKDGRGIYCIFEGGTPDDRLDWGPSFICGDDKEYSDGTGNPDTGLCYQPAPDIDHLNPRVQKELSDWMNWLKTEIGFDGWRFDFVRGYAPSITKIYMDQTSPDFAVGEKWEDFSLGQEDSHRGALKDWVEAAGGVVTAFDFTTKGVLNAAVQGELWRLKDSNGKPPGMIGLLPQNAATFVDNHDTGSTQNQWPFPSDKVMQGYAYILTHPGVPSIFYDHFFDWGLKDEISKLVAIRNRNGISTSSTVNILASDSDLYMASIDEKIIMKIGPKMDLGNLVPSNYQLATSGNDYAVWEKK >EOY15646 pep chromosome:Theobroma_cacao_20110822:8:4100844:4104446:1 gene:TCM_034644 transcript:EOY15646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter MTILPSSDRKYRRSPRIPLLPQKLGNQLFLAHESGFDGASISGAVFNLSTTVVGAGIMALPATVNQLGLIPGLITIIFVSMLTESSIDMILKFSRASKSATYSGVAADAFGGPGRTLLQACIVINNLGMLVVYMIIIGDVLSGTWADGVHHKGVMEEWFGENWWTTRSSLLLFTTVFVFAPLISFKRVDSLRYTSALSVGLAVVFVAITAGVAIVKMMEGKIGIPRLMPKLVNQASFWKLFTTVPVLVTAYICHHNILPIGNELKDPTQMKSIVRKSLTLCSSVYIATSFFGVLLFGDHTLDDVLANFDGDLGIPYSSLLDDIIRVSYGLHLMLVFPIVFFSLRLNVDGLLFPYAVPIAFDNRRFFSVTLALMGFIFMGANFVPSIWDAFQFTGATAAVCVGYIFPAAITLKDTHGIATKNDRLISWIMIFLAVSTSTVAVTSDIYSFFCVDEGVVT >EOY16694 pep chromosome:Theobroma_cacao_20110822:8:9908583:9909498:1 gene:TCM_035539 transcript:EOY16694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATVVYLSIVTLSFLSLVPILLVPLCVEASPPLTSLISNPHEAAIPAKPLQPPFLLHGLHFIFTPLFIVDGDLSTQRKIKRIKTICIHC >EOY14592 pep chromosome:Theobroma_cacao_20110822:8:667140:669017:1 gene:TCM_033915 transcript:EOY14592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primosome PriB/single-strand DNA-binding, putative MIARRIRALILGSTSRFPLQNCTPFHSSAASNPRFSNSFVDDEEGGSAVYRLALKFQRPTTVVVQPWLRNHVSFIGTVDRPLRVMNTKTDNFGVHTLLNVKNPHDSDRKFKILLFMWNDMGKMCVKHVKPGDFIYVSGHLVSFSKVNEDGQLVICYQVNVKELNFVAQHGQRSTSQKYKELQSEQVKDVGEAGMERYERQLYLWQVFFTNPFEWWDNRKSKKNPRQPDFKHKDTGEALWLSPNDPPWIKKQLQLLDSNLAEGIGPEEKGEQLIVVEVHYRTATNFYHQLNAKKDF >EOY15125 pep chromosome:Theobroma_cacao_20110822:8:2321379:2324055:1 gene:TCM_034292 transcript:EOY15125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 2 MVSSYSCCPFPSTKAFQISPSFVFLSPRYPKLLPFTLSGILRARARPSSGCAAKTQEPIRTSYTGVQLEETVDGTKQGKLRLDSWISSRIQGISRARVQSSIKSGLVTVNGRVVDKVSHGLRHGDKVNCVISELQPLRAEPEDIPLDIVYEDDHVLVVNKPPHMVVHPAPGNATGTLVNGILHHCSLPTVALSEKEVLSDTEDVSDDDEGFCYGASAAASVRPGIVHRLDKGTSGLLVVAKDEHSHSHLSEQFKQHTIQRVYISLTCGVPSPSAGRVDISIGRDSNNRVRMIAVPGLNHHGRARHAASRYKVIEVLAGGGSALIQWRLETGRTHQIRAHAKYMGIPLLGDEVYGGTKSMALSLLRPRTPPNYNDQLSRLVSRLERPYLHALVLGFEHPHSREMMHFSCQPPPDFAEILSDLRKIGTEKPTFKE >EOY15126 pep chromosome:Theobroma_cacao_20110822:8:2321475:2324066:1 gene:TCM_034292 transcript:EOY15126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 2 KAFQISPSFVFLSPRYPKLLPFTLSGILRARARPSSGCAAKTQEPIRTSYTGVQLEETVDGTKQGKLRLDSWISSRIQGISRARVQSSIKSGLVTVNGRVVDKVSHGLRHGDKVNCVISELQPLRAEPEDIPLDIVYEDDHVLVVNKPPHMVVHPAPGNATGTLVNGILHHCSLPTVALSEKEVLSDTEDVSDDDEGFCYGASAAASVRPGIVHRLDKGTSGLLVVAKFKQHTIQRVYISLTCGVPSPSAGRVDISIGRDSNNRVRMIAVPGLNHHGRARHAASRYKVIEVLAGGGSALIQWRLETGRTHQIRAHAKYMGIPLLGDEVYGGTKSMALSLLRPRTPPNYNDQLSRLVSRLERPYLHALVLGFEHPHSREMMHFSCQPPPDFAEILSDLRKIGTEKPTFKE >EOY16004 pep chromosome:Theobroma_cacao_20110822:8:5378161:5381756:-1 gene:TCM_034906 transcript:EOY16004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein MPKFHLDFRNSLPDHQHILPFPSNSNFLVPGGLFIEPSVPSCFLDFISPFKDPSGSKRTVSFARRQKREAVTVKDLFFLSISLSNDGLVSEPKEFLLENGVQKKSNGEVAVAKPKVRVTRRGAVNTTKHLWAGAIAAMVSRTFVAPLERLKLEYIVRGEQRNIFELVKKIAVTQGLLGFWKGNLINILRTAPFKAVNFCAYDTYRKQLLRLSGNEETTNSERFVAGAAAGITATVLCLPLDTIRTKIVAPGGEALGGVIGAFRHMIQTEGFFSLYRGLVPSIVSMAPSAAVFYGVYDMLKSAYLHSTEGRKRIQNMSQLGQELNALDQLELGPVRTLLYGAIAGACAEVATYPFEVVRRQLQLQVQASRMGALATGVRIIEQGGVPALYAGLIPSLLQVLPSAAISYFVYEFMKIVLKVN >EOY15391 pep chromosome:Theobroma_cacao_20110822:8:3112950:3127119:1 gene:TCM_034471 transcript:EOY15391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNTFYPNWNYSPSLMMNDDDHDHMMLTYKTLISSSAFFFFLDLLATVEQIQC >EOY16554 pep chromosome:Theobroma_cacao_20110822:8:8239069:8244218:-1 gene:TCM_035344 transcript:EOY16554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolases, acting on acid anhydrides, in phosphorus-containing anhydrides,ATP-dependent helicases,nucleic acid binding,ATP binding,RNA binding,helicases, putative MEAGLSVRSNEGFGSNRLDSAVLDLVADDSEVIRTFYVHSKKYVKLNNSERVTASGKVKTESGAKVKAQKTGIYKKWKERSHRKVSLKGTSNGENAETANSAGDYRLGGNARKFRGNKKSQHSVPNAHVRSEIKDLEQVRKERQKKASKISLMKGKGNKNKGKKSGRSGKRGKSK >EOY14716 pep chromosome:Theobroma_cacao_20110822:8:1073696:1077375:1 gene:TCM_034010 transcript:EOY14716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain protein MESALANASAIVDQRQKIEQYKHILSTVFSSNDIVQAKKFIDHMLSDDVPLVVSRQLLQTFAQELGRLEPEAQKEIAHYTLAQIQPRVVSFEEQVLIIREKLAELYESEQQWSKAAQMLSGIDLDSGMRVVDDTFRLSKCVQIARLYLEDDDAVNAEAFINKASFLVSNSQHEVLILQYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDETIDEDALEQALSAAVTCTILAAAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFSEELKPHQKALLPDNFTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIPPHKAEKIASRMIYEDRMRGSIDQVEAVIHFEDDTEELQQWDQQIVGVCQALNDILDTMAKKGMAVPV >EOY17137 pep chromosome:Theobroma_cacao_20110822:8:18050282:18053112:-1 gene:TCM_036308 transcript:EOY17137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell wall / vacuolar inhibitor of fructosidase 1, putative isoform 1 MMELETKKDSLGGTPVVDEGSSVQIKVLFFAKARDITGLTDLPLEVSSGSTTQDCLNKLVAKFPNLEDIRGCIVLALNEEYTTESAVVKDKDELAIIPPISGG >EOY17136 pep chromosome:Theobroma_cacao_20110822:8:18047792:18053020:-1 gene:TCM_036308 transcript:EOY17136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell wall / vacuolar inhibitor of fructosidase 1, putative isoform 1 MMELETKKDSLGGTPVVDEGSSVQIKVLFFAKARDITGLTDLPLEVSSGSTTQDCLNKLVAKFPNLEDIRGCIVLALNEEYTTESAVVKDKDELAIIPPISAEAFRPTLLLGNLIERTCRQTPFYDLCVWSLKTNPESRNADVKKLARIMVDSVLKNKASDTLDLIDELLQDGFTLDPALHKALSSCAERYSVIIGGDVPEIIEALETGDYKFAERGTNDAAMEANSCEVEFPGKSPLTHMNKVVHDVSVVAASIVKIILHSQ >EOY14669 pep chromosome:Theobroma_cacao_20110822:8:921614:924097:1 gene:TCM_033974 transcript:EOY14669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate 5-phosphatase 11 MGNFYTIFRAGKGRRSKCKRKPVDSFNNPAGTHEGIKTVGADNLCDFSTDSNLCICIVTWNMNGKVSYEDLVELVGSNRRFDLLVVGLQEVPRNNLARLLQDALVESHELLGKAIMQSLQLYVFGPKNSDLFTKELKVDKHSVGGCGGIIRRKKGAVAIRINYKGFRMVFITCHLSAHARNVEERNSQCRHISHSLFSKYWNPYARPAQITVWLGDLNYRLQGINTHPARNLIQRNLHGLLKSKDQLLQEAERGQIFNGYCEGTLTFKPTYKYNIGSSNYDTSYKVRVPSWTDRILFKIEDPDKISASLHCYESIDDIYSSDHKPVRAQLCLKVCKY >EOY17333 pep chromosome:Theobroma_cacao_20110822:8:19473164:19480707:1 gene:TCM_036494 transcript:EOY17333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative isoform 2 MPLSAAEQTQTQTPFLESETLNSTVDYKGRPAQKATSGGWRSASFLIAAEVAERFAYYGISSNLITYLTGPLGLSTSSAAENVNAWLGTDLLLPFIGAYVADSFLGHYRTIFISSIIYVLGLGLLTVSATLPSSNACSYPNANTVKSCSPSQLQVTVFFFSLYLIAIGLGGQKPCIEAFGADQFDGEDPEERNAKSSFFNWWFFGACAGVLVSLLILVYIQDNLSWGLGFGIPCICMILSLLLFLLGIPTYRYKIKRDEKKPFARIGRAFVAAIRNWRSTTSVVAIEEEACETLPALTTSQQFSADDIEEAKAALRLIPIWTSSLVFAIAIAQCPTFFTMQGKTMDRTVVPGFEIPAASLQALTSITILVFIPIYDRIFVPIARTFTGEPTGIKMLQRIGTGIFLSAICMIVAAVIETERLEIAKINGLVDEPNATVPMSMWWLVPQYMLLGAADVFTRVGLQEFFYDQISNELKSVGVALYLSVFGVGNFLSSILVSIVEKATEAKNGEFLSSWCPIASRRRNPTFTTQQQQRCTGCVDYNGSPVFRSNSGGWKSASFIIAVEVAERFAYYGIANNLITYLTGPLGLSMAVAAENVNAWSGVGSLLPLLGAFVADSFLGRYRTILLSSAIYILGLGLLTLAAMLPSLSTSGCLNTNSNTLCSTQNQVILFFFALYLVAVGQGGHKPCVQAFGADQFDKQDPKESKARSSFFNWCGKRDEKSPFMRIGRVFVLAAKNWRATSSAMGVEEEEASGTLLHQSSKQFKFLNKALIVTDGSKDYGNICSIGDVEEAKAVLRLVPIWAASLVYAIVFAQSPTFFTKQGETMDRTITPNFSVPAASLQCFSSLGIVLSVPIYDRIFVPLARALTRNPAGITMLQRIGTGILLSALSMVISALVEMQRLKTAREYGLVDKPKAMVPMSVWWLVPQYALLGVSDVFTMVGLQEFFYDQVPDELRSVGLSLYLGIFGVGSFLSSLLVSTIEKATGGNGRDSWFADNLNRAHLDYFYWLLAGLSAVQLGAYLYFAKCYIYNRTRTL >EOY17334 pep chromosome:Theobroma_cacao_20110822:8:19475019:19477417:1 gene:TCM_036494 transcript:EOY17334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative isoform 2 MPLSAAEQTQTQTPFLESETLNSTVDYKGRPAQKATSGGWRSASFLIAAEVAERFAYYGISSNLITYLTGPLGLSTSSAAENVNAWLGTDLLLPFIGAYVADSFLGHYRTIFISSIIYVLGLGLLTVSATLPSSNACSYPNANTVKSCSPSQLQVTVFFFSLYLIAIGLGGQKPCIEAFGADQFDGEDPEERNAKSSFFNWWFFGACAGVLVSLLILVYIQDNLSWGLGFGIPCICMILSLLLFLLGIPTYRYKIKRDEKKPFARIGRAFVAAIRNWRSTTSVVAIEEEACETLPALTTSQQFSADDIEEAKAALRLIPIWTSSLVFAIAIAQCPTFFTMQGKTMDRTVVPGFEIPAASLQALTSITILVFIPIYDRIFVPIARTFTGEPTGIKMLQRIGTGIFLSAICMIVAAVIETERLEIAKINGLVDEPNATVPMSMWWLVPQYMLLGAADVFTRVGLQEFFYDQISNELKSVGVALYLSVFGVGNFLSSILVSIVEKATEGGWLSDNLNQAHLNYFYCLLVGVNVVGLGAFLSIAKSYVYSSSRNTT >EOY16263 pep chromosome:Theobroma_cacao_20110822:8:6462818:6466148:-1 gene:TCM_035099 transcript:EOY16263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysm domain GPI-anchored protein 1 precursor isoform 2 MPNQKPVFISFYKALLFIIFTNVALVTSKSTIEPCSNSDSCNSLLGYTLYTDLKVAEVASLFQVDPISILTANAIDISYPDVENHILPAQLFIKIPILCSCVDGIRKSVSTNYKTRPQDTLSSIADSIYAGLVSADQIREANSIADPTVLDVGENLLVPLPCTCFNNTDNGLPAIYLSYVVKPVDTLAGIAASYSTTITDLMNVNAMGSSSVKAGDILAVPLSACASNFPKYASDRGLIVPNGSYAITASHCVQCSCGPGSLNLYCTPASLAQSSAGCNVTSCTYGGYSNGTIITWLSSSLQPRCPGPRQFPPLVAPPSYVTRDSVFAPAPAPQSDGAITTVPKTSTVPSTGSLPGLPPALAPFGSLSDASSLVNSLATLPTALMIYFLIKLISPFSL >EOY16264 pep chromosome:Theobroma_cacao_20110822:8:6463167:6466140:-1 gene:TCM_035099 transcript:EOY16264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysm domain GPI-anchored protein 1 precursor isoform 2 MPNQKPVFISFYKALLFIIFTNVALVTSKSTIEPCSNSDSCNSLLGYTLYTDLKVAEVASLFQVDPISILTANAIDISYPDVENHILPAQLFIKIPILCSCVDGIRKSVSTNYKTRPQDTLSSIADSIYAGLVSADQIREANSIADPTVLDVGENLLVPLPCTCFNNTDNGLPAIYLSYVVKPVDTLAGIAASYSTTITDLMNVNAMGSSSVKAGDILAVPLSACASNFPKYASDRGLIVPNGSYAITASHCVQCSCGPGSLNLYCTPASLAVLFKHAM >EOY16176 pep chromosome:Theobroma_cacao_20110822:8:6054339:6055227:1 gene:TCM_035023 transcript:EOY16176 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF hand calcium-binding family protein MAQPGSLSAETETLSHVLGLVEAFRAFDADNDGAINAAELGGILGSLGYNASEQDVTAMMQQGDTNKDGLLSIEEFLEMNTKDMELGDLASFLRSAFQAFDVDGEEAVTATELYQILGNLGVELSLEECQGVIASMDADGDGAVSFEDFKLIVNSLL >EOY16204 pep chromosome:Theobroma_cacao_20110822:8:6189489:6191513:1 gene:TCM_035044 transcript:EOY16204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ASHR1 isoform 1 MESEKLAEYQIMAQIVNHMLQQPELDLDEAVNIFCKLSCNAHAIVDIAMEPLGIGLYPVMSVINHSCLPNAILVFEGKLAVLRALAPVEKGDEVIHVLISYIDLSRTTRHRQDDLNGKYHFTCTCPRCSKFAEIDDQILDALRCKEGECNGFFVTKDRSYSRDQKLECNKCGLVKTSEAERSAKGIIDRLCDKGKSDFFSDNFQEAVSTFKRAEEAALDLFHPSSFSVMMVRNLLTKLYTTIGDTRAALESCRLMTPVHERLLQTLNPVRGMHYLTRGMLEWVEGNRGDGRNYLVRAGDILLLTHGTNFPLVKELLINLAKDHAELAKKQEEGPSGS >EOY16203 pep chromosome:Theobroma_cacao_20110822:8:6188917:6191945:1 gene:TCM_035044 transcript:EOY16203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ASHR1 isoform 1 MLHLTYFWEDQSNMESEKLAEYQIMAQIVNHMLQQPELDLDEAVNIFCKLSCNAHAIVDIAMEPLGIGLYPVMSVINHSCLPNAILVFEGKLAVLRALAPVEKGDEVLISYIDLSRTTRHRQDDLNGKYHFTCTCPRCSKFAEIDDQILDALRCKEGECNGFFVTKDRSYSRDQKLECNKCGLVKTSEAERSAKGIIDRLCDKGKSDFFSDNFQEAVSTFKRAEEAALDLFHPSSFSVMMVRNLLTKLYTTIGDTRAALESCRLMTPVHERLLQTLNPVRGMHYLTRGMLEWVEGNRGDGRNYLVRAGDILLLTHGTNFPLVKELLINLAKDHAELAKKQEEGPSGS >EOY16202 pep chromosome:Theobroma_cacao_20110822:8:6187871:6191945:1 gene:TCM_035044 transcript:EOY16202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ASHR1 isoform 1 MSMEYYLELLRFLIPEGLNIYTVLPKRRCLFATRDFFPGEVIMREEPYVCIPTSSDPLCQRCFKSGDALLKCASCNIAWYCGAECQRLDWKLHKLECRAISRLEKKWQELVTRTPEIRLMVKLFIRRKLQRDKVIPTTPIDNFDLVEAMIDHQSNMESEKLAEYQIMAQIVNHMLQQPELDLDEAVNIFCKLSCNAHAIVDIAMEPLGIGLYPVMSVINHSCLPNAILVFEGKLAVLRALAPVEKGDEVLISYIDLSRTTRHRQDDLNGKYHFTCTCPRCSKFAEIDDQILDALRCKEGECNGFFVTKDRSYSRDQKLECNKCGLVKTSEAERSAKGIIDRLCDKGKSDFFSDNFQEAVSTFKRAEEAALDLFHPSSFSVMMVRNLLTKLYTTIGDTRAALESCRLMTPVHERLLQTLNPVRGMHYLTRGMLEWVEGNRGDGRNYLVRAGDILLLTHGTNFPLVKELLINLAKDHAELAKKQEEGPSGS >EOY16003 pep chromosome:Theobroma_cacao_20110822:8:5370316:5376511:-1 gene:TCM_034905 transcript:EOY16003 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein (TAIR:AT5G51150.1); Has 323 Blast hits to 315 proteins in 124 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi /.../; Plants - 73; Viruses - 0; Other Eukaryotes - 45 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G34630) TAIR;Acc:AT1G34630] MSPSGDGPINGGCRCSCLSGENGGFHDGAEDYCIPRSHYETCTHCARCKPSLCSSSALGFDSILLTDSEKLRRIVVASAKGFSIGAGIKGGLALFSILARLRRKKSRKVEAFTDKEAVSMAIKETIRYGLFLGTFAGTFVSVDEIIGALGGHNRTAKWRALVAGLVAGPSMLLTGPNTQHTSLAIYILMRAAVLASRCGIKSKRFGKLCKPLTWKHGDIFLMCLSSSQILSSYILKQDSLPPSYRSFLNKHGGKDIVILQGVKEIASGLPFANLEAIEKLYKATGVDIKLDPNMKIPCSMIHGNQSCGEHVVSFLIEAYKRALPVYLPVYLIPALIVHRQGLLKRPYTILGKGLVGTARSSLFLSVYCTSAWMWTCMLFRIFRKCNIPMVAMGTFPTGLALAIEKKSRRIEISLYCLARAIESFFTCMADVGYLPRSKNLKRADVVIFSLSTAIIMHCYAQERDVFRSKYLNVLDWVFGVPPPPCETPRCKNS >EOY15638 pep chromosome:Theobroma_cacao_20110822:8:4070665:4073092:-1 gene:TCM_034637 transcript:EOY15638 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-amino-cyclopropane-1-carboxylate synthase 8 MLSTKASCSSHGQDSSYFLGWQVYEKNPFDEVVNPTGIIQMGLAENQLSFDLVESWLRKNPDAMGLKKDGESVFRELALFQDYHGLPAFKNELVEYMAKIRGNKVKFEPKNLVLAAGATSANETLIFCLANSGDALLLPTPYYPAFDRDLKWRTGVEIVPVHCSSSNGFRVTRLALEEAYQRAQKINLKVKGVLITNPSNPLGTTMTRDELNQLITFAIAKNIHVISDEVFSGTVFDFPGFISIIEAARDRNLESTDVWSRIHIVYSLSKDLGLPGFRVGMIYSNNETVISAATKMSSFGLVSSQTQYLLSNMLANKKFTSKYMKENQKRLKKRKEMLVSGLKKAGIECLKGNAGLFCWVDMRHLLSSNTFEAETELWKKILYEVGLNISPGASCHCTEPGWFRVCFANMSHETLQVAMQRIKDFAERSSCSGIGKSYQQNMSSFRRKLLTSNWVRKLSSSDRDEQER >EOY15935 pep chromosome:Theobroma_cacao_20110822:8:5101437:5104043:1 gene:TCM_034857 transcript:EOY15935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate peroxidase 4 isoform 1 SLSLSLSLHAVKLKVVKEKRKRKKDLLLVEGKLETWRQWGSLSFQQHPLWFLSSLSRLSTPTLLLLIDILLLGQELLASSGPWVEMATAADLIQRRQRSEFLSNIKDTLFKALKGNPDLISPILTLALNDAVTYDKATKSGGPNGSIRFSSELSRPENKGLSAAMSLLDEAKKEIDSYSKGGPISYADLIQYAAQTATKATFLASAIRKSGGNEEKGSLLYSVYGSNGQWGLFDRQFGRSDTEEPDPEGRVPLWGKATVQEMKDKFKAVGFGPRQ >EOY15934 pep chromosome:Theobroma_cacao_20110822:8:5101334:5105268:1 gene:TCM_034857 transcript:EOY15934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate peroxidase 4 isoform 1 MEAMGVSFLSTTPSLVSLVPVPSLNSNSVAANRYLITRAGAIRCSKTETDVCGEYGFHRRDVLKCIGATVGVELLASSGPWVEMATAADLIQRRQRSEFLSNIKDTLFKALKGNPDLISPILTLALNDAVTYDKATKSGGPNGSIRFSSELSRPENKGLSAAMSLLDEAKKEIDSYSKGGPISYADLIQYAAQTATKATFLASAIRKSGGNEEKGSLLYSVYGSNGQWGLFDRQFGRSDTEEPDPEGRVPLWGKATVQEMKDKFKAVGFGPRQLAVMSAFLGPDQNATEALLATDPDVTPWVQKYQRSRETVSQTDYEVDLITTLTKLSCLGQQINYEAYTYPVKKIELSKLKL >EOY17232 pep chromosome:Theobroma_cacao_20110822:8:18567752:18571443:-1 gene:TCM_046896 transcript:EOY17232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disulfide isomerase-like protein MRLLTILCSLVLCLLKPGISATAPQFKVDGKVLELEESNFDSAISSFDYILVDFYAPWCGHCKRLSPQLDEAAPVLAGLKEPIVIAKLNADKFTSLARKYEIGGYPTLKLFMHGVPVDYYGPRKADLLVRFLKKFVAPDVSILGSDSAINDFVEAAGTYFPIYIGFGLNETVISNLAVKYKKKAWFSVAKDFSEDVMVLYDFDKVPALVVLHPSYNQQTVFYGPFEDEFLGDFIKQNFLPLVVPMKHETLKLLKDDKRKIVLTIMEDENEEKSQKLIKLLKAAASANRDLVFGYVGVKQWEEFADTFDGAEKTNFPKMIIWNGDEEYFSVIGSESLDDEDQGSQISRFLEGYREGRLEKKRIKGPSFMDFINSLIGIRTVYIIVFLVAMLILIRSLGKDDEPLRVGTRDEVDHAESSTAESSGYRPGEKED >EOY15166 pep chromosome:Theobroma_cacao_20110822:8:2448830:2454826:1 gene:TCM_034325 transcript:EOY15166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase-like 2 isoform 2 MNKFSPIPKADSTTAFSFLPNSAEKARTNPRCDRNLSFPWVSLCSIFDDPASNLSMGNYNNTVEESTDPGAVLRNGREILLQGFNWESHKYDWWRNLEKKVPDIAKSGFTSVWLPPASNSFSPEGYLPQNLYSLNSSYGSQQLLKALLQKLKQCKVRAMADIVINHRIGTTQGHGGLYNRYDGIPLAWDEHAVTSCTGGLGNRSTGDNFHGVPNIDHSQHFVRKDIIGWLQWLRSVGFQDFRFDFARGYLAKYVKEYIEGAKPLFSVGEYWDSCNYNGYGLDYNQDSHRQRIINWIDATGQLSTAFDFTTKGILQEAVKGQFWRLRDPQGKPPGVMGWWPSRAVTFIDNHDTGSTQAHWPFPSNHIMEGYAYILTHPGIPTVFYDHFYDWGDSIHEQIVKLMDVRRRQGIHSRSSVRILEAQNNLYSAIIGENVSMKIGDGSWCPTGREWTLATCGQRYAVWQKQ >EOY15167 pep chromosome:Theobroma_cacao_20110822:8:2449885:2454313:1 gene:TCM_034325 transcript:EOY15167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase-like 2 isoform 2 MGNYNNTVEESTDPGAVLRNGREILLQGFNWESHKYDWWRNLEKKVPDIAKSGFTSVWLPPASNSFSPEGYLPQNLYSLNSSYGSQQLLKALLQKLKQCKVRAMADIVINHRIGTTQGHGGLYNRYDGIPLAWDEHAVTSCTGGLGNRSTGDNFHGVPNIDHSQHFVRKDIIGWLQWLRSVGFQDFRFDFARGYLAKYVKEYIEGAKPLFSVGEYWDSCNYNGYGLDYNQDSHRQRIINWIDATGQLSTAFDFTTKGILQEAVKGQFWRLRDPQGKPPGVMGWWPSRAVTFIDNHDTGSTQGYAYILTHPGIPTVFYDHFYDWGDSIHEQIVKLMDVRRRQGIHSRSSVRILEAQNNLYSAIIGENVSMKIGDGSWCPTGREWTLATCGQRYAVWQKQ >EOY15569 pep chromosome:Theobroma_cacao_20110822:8:3782156:3787542:1 gene:TCM_034584 transcript:EOY15569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein, putative MEVASLIVSPLLQVIYEKLASYPNTVETPKDQRKKIEKLRDKLQIIQAVIEDAEERQLKDKKVKIWLSKLRAVAYAADDLFDEITTQVLQRQLVKEKVPVEYSSSNDTVKYKLRRIPVSIYEETRRQVRITSFALQSILSSFEMSRKLTEIVERLDDIAREMSTFQFREVKAYKRLDTMEKRETGPYMDESEVYGRSEDVKKIVDLLLSSDGESWTIPIVGIGGIGKTTLAQFVFNDQSVGGHFDMKIWVSLYGKFRSKELLSEILEYVTKRRCESLQMGVLQSQLQESLCGKRYLLVLDDVWIDDQEEWDKIKNLFRCGAEGSKIIVTTRSQKVASVFSSSPPYLLEALTKDDCWTLLKRQAFLHGEEDAFSSLLPVGQQIADKCQGVPLAAKVLGGLLRSKREKDEWLRVQESELWNLDAGENRILSVLRLSFNHLPSHLKRCFAYCAIFPRNYHLNKEKLIQQWIAGGLVQLSAGYDPKMLEQIGNDCFDDLLKMSFFQPASSSNVVEFKVPNLIYDLAKDVAGNEFLTIENRSNSDQADDLAETRHALVDIDYRSNVLPEALYEAHKLCTLYLLASGDISMKALRKLASGFRHLKILNLSGSGIKRMHRSIGDLIYLRYLDLSNTPLETLPETIGHLCNLQTLDLSGCNDLVELPEEIIMLVQLNHLNIKDCARLACLPARIHRLRMLQTLPICFAGEGISVLQSLPYLRGELKIKHLDNVHGNFFFRTHWAEGALVKHLQLQSLGLFWGDGDEGKLTQNTSRQTTQSKDASEALIEDLKPNHNIRSLSINGYPGCKFPHWMNQCAISNLTELELINCKSCETLPKLGQLPVLKCLSIQGMDNVVKIDNEFSGGGMRPFPSLLELTLRDFPELRTWESIGSTEAFPCLKRLSIMKCPLLITMPRFPTLQHLTVQNCDPVLLRSAAELRTLLTLVIDSFLKSTFIPKVLLENCLLLMSLKIISCPKLPTLPANLGKLTALKSLKIGWCEMLHSLPHELGNLIALEILDIVECPSLITLPEQSLERLSSLRSLSIENCNGLTTLPKGMQHATALEPLTIMYCSNLASLPEGLHNLLALRRLTILSCLELAFLPEGVQQMKMLQNLEIHICPKLMALPNLENLVSLRSLAISECRNIKSLPEGIQQLSLQHFSIQHCPELEKRCKEGEGEDWPKISRIPYKYIGKDTAASSSSS >EOY16673 pep chromosome:Theobroma_cacao_20110822:8:9539010:9540605:-1 gene:TCM_035504 transcript:EOY16673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIQGGFQASYYAVIIIIIASLVPPITHSHIFLFLRRRKKKKKGVVHPVPFGMYNKAAPRNPSFFFFGSIALVTLTYFTLEWRSWKNI >EOY14822 pep chromosome:Theobroma_cacao_20110822:8:1371592:1375509:-1 gene:TCM_034089 transcript:EOY14822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonic acid carboxyl methyltransferase MEVMQVLHMNRGNGENSYAKNSTVQSKIISIGKPIIEEAVHEISCNNVLESMGIADLGCSSGPNTLCVISEIMDMVQATSHRLGHPVPEFRLYLNDLYSNDFNSIFMSLPAFYHRLKEEKGIGCGSCFISGVAGSFYGRLFPSKSLHYVHSSSSLHWLSQVPPGLESNAVTPLNKGKVYISKSSPHSVLHAYSLQFQNDFPMFIESRSQELVSGGRMVLSLFGRRSTDPTTEESCYQWELLAQAIMSLVREGLIEEEKVDSFNTPFYAPCAEEIKVEIQKEGSFIIDRLEGFEIDWDGGAVSDVHTAQGKLLIGQRAAKALRAVVESMLESHFGIGQDIMDDLFSRYAEIVGNHLSKTRTKYFNLVISLTRKG >EOY16011 pep chromosome:Theobroma_cacao_20110822:8:5407065:5408049:-1 gene:TCM_034911 transcript:EOY16011 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase HUWE1, putative MVSIQAPLSPDMRKTTPDQLENSSKKRKLDEPQGDEETFEKRSKAASTKSVFDMELHLETPLPLEWQRCLDIQSGQIHFYNTRTHTRTCKDPRRSPEPPSPRHMSLDLELNLPCDSVRKTNATDHHQFDKHNSVSPRSDSVDKKINSTGGLTTQSLSWLAVEEDDQKQQEMVATVCMRCHMLVMLCKSAPACPNCKFMHPPDQGPPKLFKQRLSLLC >EOY15634 pep chromosome:Theobroma_cacao_20110822:8:4059963:4062124:1 gene:TCM_034635 transcript:EOY15634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1273, putative MAILASAVLPSTLNIKVKQLEHQPSQLHGFLQLNGHRRVSPRRRMKVTMAQFCEPNKIREQLNIIKERLWETTPETVKDFPWKKAENLLLERLLFVGHKALKLSLVTVFVISSLSDFLYSISRNQELMVPFGLIVGCLMTDFLKETSQEAFRSPEEKGLKWHLLAIGCFFVVVKFVSALSAIRTRVFLLHVANGGLMQALWLWRSLLEEHESNGSSAADAQS >EOY15618 pep chromosome:Theobroma_cacao_20110822:8:3957921:3962541:-1 gene:TCM_034622 transcript:EOY15618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEGRPLSRLLLEVAQKGIEEEKALVMRSLIGSRPPRCERRCSSCPHCEAIQVPVTIQSENRRTRQFSAAIFSIAYSRGDDISNYKPMSWKCKCGNMIFNP >EOY14818 pep chromosome:Theobroma_cacao_20110822:8:1352621:1353442:1 gene:TCM_034085 transcript:EOY14818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTAYSYNKQQKLEKKYSVPLCRVVLALVLLFHLSTHVDIARAFYQVVVTFSSKHWLAFIFMNFIIFVLSFLSSQKQMEPPYVIYHDNVGSHMNVRPVDVGDDSPPGEEHKEEILVDKHIVSVENAAGEILSVCSDDSSESFEWSHHGLELRRLERRMSKEVVITMREPPWRSMDDMRNEEFTFSMEAFIAERNEVMMEENIHDEEGLQFF >EOY17083 pep chromosome:Theobroma_cacao_20110822:8:17559930:17560872:1 gene:TCM_036246 transcript:EOY17083 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S8 MHKRRATGGKKKAWRKKRKENVKWRALRLDSWGSEAVTRKTRILDAVYNASNNELVRTQTLEKSAIVQVHAAAPFKQWYLLHYGIDIGRKKKTAATKEATEEGESVAEETKKSNHVGYGYMLEGKELKFYMKKIQRKKGKGAGAA >EOY15075 pep chromosome:Theobroma_cacao_20110822:8:2159163:2162999:-1 gene:TCM_034255 transcript:EOY15075 gene_biotype:protein_coding transcript_biotype:protein_coding description:TFIIB zinc-binding protein, putative MAYSQARDFMFCSFCGSMLSLVSPKYAECPLCKFKPSAKGILGREIGYTITAEDIRRDLGISHVSEGMKTKESAALIKQTCKKCGHPELEYTTRQMRSADEGQTVFLVCPNCKFKDTEN >EOY16377 pep chromosome:Theobroma_cacao_20110822:8:6937165:6940712:-1 gene:TCM_035179 transcript:EOY16377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLNLYHKSVLRRIASLLGKLLKINYNTDSEKCGKFARIAVKLNLSKLLIPRFLLNGKMKTIEYEGLSKVCFLSSLYGHLKGECCGLPSNNPGSRFLVLEGLAVNETLVTKVTRMRKPSVRDACRETNHEGFANENSKEILVLQTSHDQSLTSFNDVEWPTLSLKKNGKEAMSAMDCAAECANMAVENLETISSPSIGARHGGITLHDKGENRLISDMKSNTVEYLNRISKNQSGGLSQKLESLDEHQMVQDNCLINFGRSHRIEATGFS >EOY14918 pep chromosome:Theobroma_cacao_20110822:8:1675703:1679994:-1 gene:TCM_034157 transcript:EOY14918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding tetratricopeptide family protein MATRGSRSEKVKRIFQQFDANRDGGLNRDEMAALVVAVNPRVKFSDEQINAILDEVFRTYGEFIDGEKGLTYEGLLRTYDDGAGDVDRDFDALGLELNLDENKGASIVSEASSSSIVDERVMESQKKQRTAAWAVSPHHGIVFDDTWKLVDDLEILVKRLKAQQAKDGKFKNDNFDAYSDAGWSRELGPSAELSEKRVYWEESGHDYAVFVKELGALRSRADGARSREEAFDGHMAIGRVLYEHQLFKEALISFKRSCELQPMDVRPHFRAGNCLYVLGKYKEAKDEFLLALESAEAGGHQWGYLLPQIDVNLGIALEGEGMVLSACEYYREAAILCPTHFRALKLLGSALFGVGEYRAAVKALEEAIFMKPDYADAHCDLASALHAMGEDERAIEVFQKAIDLKPGHVDALYNLGGLYMDLGRFQRASEMYTRVLAVWPNHWRAQLNKAVSLLGAGETEEAKKALKEALKMTNRVELHDAIYHLKQLQKKKVKTNGGANGEGAFVIVEPSKFKTVGEKTTLRQDLGSALEIRAFQRITRLSRCEVDLLKKEMSDTDVPVSYSGGGGPQKSIRKPNLEEILRRLLNFLKPETFQGAVKAINEKILSVLDETGSGRVDLGMFYAVLAPICSGPLDKRKRIAFDALLWRPVNEGGSQIRKVDALQYIKLLRAIYVPSHGISEILEIHGETDSSMVSFNEFLVMFDDPDWGFGIMSTLMKLETGDRNRHGRQVCSVCRYPIIGSRFKEVKSHFSLCNQCYSEGKVPTNYKQDEYKFKEYGSEAEAMKDKCMCFNLQSHKDP >EOY14676 pep chromosome:Theobroma_cacao_20110822:8:947970:951740:-1 gene:TCM_033981 transcript:EOY14676 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MAGGFGESASRSPQSPSCSSNNANGDAGNFECNICFDLAQDPIVTLCGHLFCWPCLYKWLNIHSRSHECPVCKALIEEEKLVPLYGRGKSSSDPRSKSIPGVNIPNRPAGQRPETAPPPEPNQFPQNGLGFMGGLGGFAPMATARFGNFTLSAAFGGLIPSLFNLQVHGFPDAAMFGAAAGQPSHDFAVRHRHTWVACPAWSVGTADRADLKALVSCQIRHVLAISSILQKMLISLKVHMARKGPVMLCVTSCPWRQAGLVWVWFSLFSCINDHITFFYIRVSGSVT >EOY16469 pep chromosome:Theobroma_cacao_20110822:8:7238964:7239595:-1 gene:TCM_035229 transcript:EOY16469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASQSIEAKWWSLVWANMASRSVKVKVWSLIWANMAPQSVEAFVWQLTQGRVAVKIELVRRNMMTYDPTKCSLCNCGDESVDHLFVGRFKVWRV >EOY15457 pep chromosome:Theobroma_cacao_20110822:8:3382875:3387057:-1 gene:TCM_034516 transcript:EOY15457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 2 MIEKTKKQKKGSVSEEDISSLLQRYTATTVLALLQEVAQFPGVKLNWNALVKKTSTGISNAREYQMLWRHLAYRDVLLEKLEDGAEPLDDESDLEYELEPCPSVSSEASAEAAACVKVLIASGLPSDSSLPNSSTVEAPLTINIPNGQSFRASSENSQPTCSMRGMNITVPVSVQKQILPAVTSAETSLEGNGLSGANLPARRKRKPWSEAEDRELIAAVQKCGVGNWANILRGDFKGDRSASQLAQRWTIIKKRLGNLNVEGNSTIPQLSEAQLATRSALSLALDMPDKNLTSACPSNPALKTTSSNSALPSTSGEASVPAQSQFQQGNIASVQAQNLPQQGHIASVQGQNQSQQGPITSVSAHNQPQKGPITSVPAQNLSQQGPVASLQVSNQSQQGPMITKTSPGSSGSTLKSRVGLKKPPAKSFSSTGSILDATAVAAGARIGGPKAAASLLKAAQSKNAIHIMTSSGSSAKPLMPSGKEVHSNVQYVCTGLTTEPLSCPVTSSTLNPGSVKSPIQRVEHTPSASSSSLNVSIQQCNTVTSSPTVDGTLKEELDAAGENKSFMSDGLPKELVKENGACVSKNEQGEGVREDKPAVSNLESESKNLEVVAAHSNEKSMVEGNQLDAITNPVEESQNAIDCSLIKKSDSQPEASINDGCTKNLEVLSTDPAT >EOY15458 pep chromosome:Theobroma_cacao_20110822:8:3382421:3387491:-1 gene:TCM_034516 transcript:EOY15458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 2 MIEKTKKQKKGSVSEEDISSLLQRYTATTVLALLQEVAQFPGVKLNWNALVKKTSTGISNAREYQMLWRHLAYRDVLLEKLEDGAEPLDDESDLEYELEPCPSVSSEASAEAAACVKVLIASGLPSDSSLPNSSTVEAPLTINIPNGQSFRASSENSQPTCSMRGMNITVPVSVQKQILPAVTSAETSLEGNGLSGANLPARRKRKPWSEAEDRELIAAVQKCGVGNWANILRGDFKGDRSASQLAQRWTIIKKRLGNLNVEGNSTIPQLSEAQLATRSALSLALDMPDKNLTSACPSNPALKTTSSNSALPSTSGEASVPAQSQFQQAHNQPQKGPITSVPAQNLSQQGPVASLQVSNQSQQGPMITKTSPGSSGSTLKSRVGLKKPPAKSFSSTGSILDATAVAAGARIGGPKAAASLLKAAQSKNAIHIMTSSGSSAKPLMPSVKSPIQRVEHTPSASSSSLNVSIQQCNTVTSSPTVDGTLKEELDAAGENKSFMSDGLPKELVKENGACVSKNEQGEGVREDKPAVSNLESESKNLEVVAAHSNEKSMVEGNQLDAITNPVEESQNAIDCSLIKKSDSQPEASINDGCTKNLEVLSTDPAT >EOY15259 pep chromosome:Theobroma_cacao_20110822:8:2731601:2736055:1 gene:TCM_034390 transcript:EOY15259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase 2 MDPYKYRPSSAFNSPFWTTNSGAPVWNNNSSLTVGPRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFVRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFTFLFDDLGVPQDYRHMEGSGVNTYTLINKAGKAQYVKFHWKPTCGVKCLLEEEAIKVGGANHSHATQDLYDSIAAGNYPEWKLFIQTMDPDHEDRFDFDPLDVTKTWPEDILPLQPVGRLVLNKNIDNFFAENEQLAFCPAIVVPGIYYSDDKLLQTRIFSYADTQRHRLGPNYLQLPPNAPKCAHHNNHHEGFMNFMHRDEEINYFPSRYDPVRHAERFPIPPAVCSGRREKCIIEKENNFKQPGERYRSWAPDRQERFICRWVDALSDPRVTHEIRSIWITYWSQACKSLGQKLASRLNVKPNI >EOY17015 pep chromosome:Theobroma_cacao_20110822:8:16931642:16934235:1 gene:TCM_036171 transcript:EOY17015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MSLDSNLEELFLWGNYLSGNISDCISNASKLKILNLNQNSFIGLIPNTLGNLCFLEVLRLWSNHLTTKTPNHEWSFLSSLANCKNLRVLEISFNPLNGILPTSILNLSASLQRFVADDCKIKGFIPMEIGSLSNIMVLSLSQNELSGSIPATIGRLQNVQGLYLNGNKLQGPIPDSVCHLEKLSYLSLSANMLQGPIPHCLGDLTFLRNLYLDSNKLHSTIPFTFWSLKDILKVDLSSNYLNGSLPLDIGNLKVLTYLNLSRNLFSSDIPITIGGLNGLQILSLSSNRLQGPIPQSLGDMFSLETLDLSNNNLSGIIPKSLERLSYLRYFNVAFNRLEGKIPNEGCFQNFTTKSFMHNYALCGSPQLQVPPCKTITHRLLKTTLMHILRYVLPIIASIMVILTFIIVLKKFQNRSTSLPMNEGLTLEIRGRNLYNRLLQATDRFSEGNLLGSGSFGSVYKGTISNGRNVAIKVFNLQLEGAFKSFDVECEVMQNILHRNLVKVISFCSCIDFKALVLEFMPNGSLEKWLYSNHCFLDILQRINIMIDVASALEYLHLGHRNPIIHCDLKPSNVLLDRDMVAHVGDFGIAKMLGEVETMKQTMTLATIGYMAPEYGSAGIVSVKSDVYSYGILLMETFTRRKPTNEIFVGEMSMKHWVKESLSNGIIGVTDSSLLRNEENHFMVKANCIASIMGLALDCSAELPEERKDMKDVVCILKKIKIMYLNNIRKV >EOY14887 pep chromosome:Theobroma_cacao_20110822:8:1570635:1573656:-1 gene:TCM_034133 transcript:EOY14887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 10 isoform 1 MALLKAFDPFVICLLCLILSASDFCNGGITSSFVRKEYSPDMPLDSDVFQVPPGYNEPQQVHITQGDADGRGVIISWITPDEPGSNTVLYWSENSKHKNRADGTFVRYKFFNYTSGYIHHCTINKLEYNTKYFYEVGIGNTTRQFWFITPPRAGPDVPYTFGLIGDLGQTHDSNVTLTHYESNPKKGQTVLFLGDLSYSNDYPYHDNVRWDTWGRFIERNAAYQPWIWTAGNHELDFAPELGETTPFKPYTHRYYVPYESSHSTSPLWYSIKRASAYVIVLSSYSAYGKSTPQYKWLSDELPKVDRSETPWLILLMHCPIYNSNSHHYMEGESMRVVYESWFVKYKVDVVFAGHVHAYERSKRVSNIAYNIVNGMCTPVNDQSAPVYITIGDGGNHDGPAIGMVEPQPSFSAYREASFGHGIFDVKNRTHACFSWHRNQDGRATEADSLWFHNRYWNPLGKSFVASY >EOY14888 pep chromosome:Theobroma_cacao_20110822:8:1569692:1573634:-1 gene:TCM_034133 transcript:EOY14888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 10 isoform 1 MALLKAFDPFVICLLCLILSASDFCNGGITSSFVRKEYSPDMPLDSDVFQVPPGYNEPQQVHITQGDADGRGVIISWITPDEPGSNTVLYWSENSKHKNRADGTFVRYKFFNYTSGYIHHCTINKLEGETTPFKPYTHRYYVPYESSHSTSPLWYSIKRASAYVIVLSSYSAYGKSTPQYKWLSDELPKVDRSETPWLILLMHCPIYNSNSHHYMEGESMRVVYESWFVKYKVDVVFAGHVHAYERSKRVSNIAYNIVNGMCTPVNDQSAPVYITIGDGGNHDGPAIGMVEPQPSFSAYREASFGHGIFDVKNRTHACFSWHRNQDGRATEADSLWFHNRYWNPLGKSFVASY >EOY15033 pep chromosome:Theobroma_cacao_20110822:8:2015316:2019348:1 gene:TCM_034230 transcript:EOY15033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRQTMEQSCNSPVTAEEIEVVEILRELPRLILESESRPRFTFKWGAKRRRSAASKEEFASASLPAVELSRSPSPPLPSKVVGPTYETERPTEKPLTSSPATPLSFSPSESDEKPLPSKKKASVNSLKRKKEQLLEMVEDLTQRNQLLKKDIESKRLFLDQQKAKNLELKLKKQELSLSLCNAKESHSDSSKSLNLATQSTQISMETAKSQDHQQLRISTMVYQQPFTMDQTVSISETKKNSQYPYGRMISWLPSNNGLSKVHEHDSMVPLGLLDLNVSAEEAFGFCSSKSFDMNSTTKARAAQARFKRMQICRSKNQTAGWKARYPFR >EOY15616 pep chromosome:Theobroma_cacao_20110822:8:3955162:3956287:-1 gene:TCM_034620 transcript:EOY15616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKQSANRNQRYKGFKVKHVLQICALLAFCIWLLNQVRDTYDKKGPGIFRKVTSEHGAINLGRKDLDPQVQGSSTDQNSGSGEVEAEEEVEESDDGRGGGDDEIDGRDQEKGDEEETEEVEDLIDEEDREKENQIEDLSSLEDQAINEGEENLQDPREEMYKSDDASSSIVQNNLDTGTAAQIRRLRSVEVHDEQRGEEVSSFSVAQTREHNGNLSIINNK >EOY17335 pep chromosome:Theobroma_cacao_20110822:8:19490728:19512061:1 gene:TCM_036495 transcript:EOY17335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MSISVLSGAETPLLEQDTIDGYVGCNGRPVARAKSGGWRSASFIIGIEVAERFAYFGIESNLISYLTGPLGQSTAAAAEQVNAWSGTAMLLPLLGGFVADSFLGRYRTIIVASLLYVLGLSLLTVTATLPSVNPSGCQNTDNFALCSSQFQEILFFFALFLVALGQGGQKPCVQAFGADQFDTQDPEECKAKSSFFNWWYFCLCTAMFITHWVLNYIQDNLSWVLGFGIPCVMMVVALVVFLCGTTTYRFSVKGDKKNPFVRIGEVYILAARNWNKASSAIAVEEELHGNLPTESSNQFKFLNKALLASDGLKEHGQAFSIREVEEAKAVLRLVPIWFASLVYAVVFAQSSTFFVKQGATMNRSITAEFKISSASLQSFASLASILFIPIYDRIFVPLTRGFTRKPAGITMLQRIGIGMLLSAVSIVIAALVEMQRLMTAQKHGLVDKPDAMVPMSVWWLVPSYVLFGLSDVFTMVGLQEFFYDQVPNELRSVGLSLYLSIFGVGSFLSSFLISAIENATGGNGQDSWFADNLNRAHLDYFYWLLAALSAIQLALYLYFTKSYIYNKGQR >EOY15887 pep chromosome:Theobroma_cacao_20110822:8:4950296:4951013:-1 gene:TCM_034818 transcript:EOY15887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRGIMICHEQQPKRVGEKRGKRKSKRPFCLREFSNKARQLGRVSTWDLPPCTLSRSNPYLFALYSSPPQSSPNQTSTDASRQ >EOY17243 pep chromosome:Theobroma_cacao_20110822:8:18651915:18653055:1 gene:TCM_036392 transcript:EOY17243 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDR1/HIN1-like 25, putative MADQQKIHPVPDVEAPPQTSPTAPLVPEGTLKSDKGKPVEEYPPFKRTLPSMHSKPPKKRSCFCKCLCWTLSLLLLLIVILGIIVGIIFLVFQPKLPKYSIDTLQITQFDLSSVDSSLSATFDVTITARNPNKRIGIYYEGGSRISVWYTETKLCEGSMPKFYQGHRNTTVLLLPLSGQIQNGTGLLTTLQEQQQQTGNIPLRLRVNQPVRVKLGKLKLMKVKFSVRCGLVVDALSANNAIRIQSSSCKFRLRL >EOY15810 pep chromosome:Theobroma_cacao_20110822:8:4718350:4721365:1 gene:TCM_034768 transcript:EOY15810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MEVASLYNITLSFFLLLFVLVFKSIIQKRNSPRNFPPSPPALPIIGHLHLLKEPLHRTLHELSENYGPILYLQFGTRKVLIVSSASAAEECFTKNDIIFANRPQLLAGKHLNYNNATIGLAPYGDYWRNLRRLTTLELFSTSRLAMFAGVRQEEVQLLLKELFLASSRKPAMVEVTSKLIELAFNIMLRMIAGKRYYGKDAVDEEAKQFRDLMREFVEIHGSANLNDFLPALQWVDFLGVEKRMKRIMKKLDKFLQSLLEEHRRMREDSTESLDASDASKRGRKATLIDVMLSLQQTEPEFYTDETIKGVILAMLIAGTETSATTIEWAMSLLLNHPEVMHKAWTEIGAKVGQDKFLDETDLTKLNYLQSIISETLRLFPPGPLLLPHESSEDCIVCGYTVPRATMLFINAWTIQRDPKLWVDATRFMPERFEGGEGEGCKLLPFGVGRRACPGAVLAKRVVALALGTLIQSFEWNRIGTEEINMREGTGLTMPKAEPLVALCNPRADMINLLSTL >EOY14480 pep chromosome:Theobroma_cacao_20110822:8:432148:432681:1 gene:TCM_033848 transcript:EOY14480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative MPAWSPRSSPSLPLSEEQLRGLLKRYDSNGDGRLSKNELKTAFRSLGLRFSSWRAGRALRHADANGDGFISDEEINELVRYATTRWGFYIS >EOY17131 pep chromosome:Theobroma_cacao_20110822:8:18012151:18014037:-1 gene:TCM_036303 transcript:EOY17131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAKLKEKYMLPKYLDKMHDKALTLKQCCMSVGDYMQNFDELMIRSEFEEDVNQTAAQKAGLADEIQRPLLQQPIYNVNNAFQAELDTESYNQSNRSKPSSSYDVSNNSNQEKEETIEEHVVEENSFDNGTYVADDLEDDCYVDISLLFVVRCILRAPKEAGTENNAADALSRVMLIFTSMAIEVVGFQLLKSD >EOY14824 pep chromosome:Theobroma_cacao_20110822:8:1378308:1381160:-1 gene:TCM_034090 transcript:EOY14824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid 8'-hydroxylase 1 isoform 2 MFQLLLDVGPNCHCLYAVLVLAALVFLASQLWKMLQELNQESRADIPPGSLGLPFIGETIQFMAAINSGKGFYDFVRVRSLSRYGNCFKTSIFGETHVFVSSTSSAKAILNNDWGRFTKGYIKSIAELVGNQSLLCASQQHHKLLRGRLVNLFSTNSISRLVKQFDELIVHTLSGWEDGGTVIVLDEALQITLKAMCKMLLSLESGLELELLQEDVGHVCKAMLAFPLRLPWTRFYKGLQARKRIMGTLEKIISRRRRGLDAAADDFLQRLLLEDDNSCSDGLHRLSDAEIQDNILTMIIAGQDTTASAITWMVKYLGENEDVLDAIKAEQLHLLDKTSKKLFLTLGDLNEMPYASKVVKESLRMASVVPWFPRLVLQDCEIEGYKMKKGWTVNIDVRSIHLDPMVYSEPNNFNPSRFDDESKPYSFLAFGMGARTCLGMNMAKAMMLVFLHRLLTTYKCGRC >EOY14823 pep chromosome:Theobroma_cacao_20110822:8:1377767:1381260:-1 gene:TCM_034090 transcript:EOY14823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid 8'-hydroxylase 1 isoform 2 MFQLLLDVGPNCHCLYAVLVLAALVFLASQLWKMLQELNQESRADIPPGSLGLPFIGETIQFMAAINSGKGFYDFVRVRSLRYGNCFKTSIFGETHVFVSSTSSAKAILNNDWGRFTKGYIKSIAELVGNQSLLCASQQHHKLLRGRLVNLFSTNSISRLVKQFDELIVHTLSGWEDGGTVIVLDEALQITLKAMCKMLLSLESGLELELLQEDVGHVCKAMLAFPLRLPWTRFYKGLQARKRIMGTLEKIISRRRRGLDAAADDFLQRLLLEDDNSCSDGLHRLSDAEIQDNILTMIIAGQDTTASAITWMVKYLGENEDVLDAIKAEQLHLLDKTSKKLFLTLGDLNEMPYASKVVKESLRMASVVPWFPRLVLQDCEIEGYKMKKGWTVNIDVRSIHLDPMVYSEPNNFNPSRFDDESKPYSFLAFGMGARTCLGMNMAKAMMLVFLHRLLTTYKWKVLDSDSSIDKWALFSRLSSGCPVHVTRL >EOY16070 pep chromosome:Theobroma_cacao_20110822:8:5645951:5648585:-1 gene:TCM_034952 transcript:EOY16070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen-specific protein C13, putative MAKVLLFIALCVLPALVSATRMVKNPLVVQGQVYCDRCRAGFETPKTRNMAGAKVKVECSDRKTGQVVYKKEGYTDSTGHYKVVVSEDHLDEICDAILVKSSQPDCAKMSPGRERARVILTNFNGIASNTRFANAMGFMADEADAGCAEIMKLYQEEDV >EOY15755 pep chromosome:Theobroma_cacao_20110822:8:4527357:4532837:-1 gene:TCM_034725 transcript:EOY15755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function, putative isoform 2 MVAAVSATVNPKQHGGGPHQHPSRPPLLPSDPDNAIAPRRQKFREVTSRYLSTSSSSSNSSTSSSSSSAASTKRCPSPFVARTSHSTAVMTPTHATPSAIKRSQSVERRQAVTPRHNNSLDFRTSNGNNNNGELSAVQKLLFTSTRSLSVSFQGESFSYQFSKAKPAPSPSAARKGTPERRKPTAATTTPGRATDQMENSKAERWPARLRQPNSMSRSMDCTDERKRLKGSGNGNVVRALQDSMIDNRDLTVVPAVGSEAQCDPAVSDTESVSSGSTSGALESSCNGNGDIKRGPRGIVVPARFWQETNNRLRRSDPGSPVSKKNTAQSKLIAPEKFGIDSPLSSPKSVVNSRGQSSPIRGPVRPASPSKLGVSSTSSPLRGMSPSRVRNGLGSNLVNTPSILSFAGDVVKMGKIGENKVSDAHFLRLLHNRLLQWRFVNAREDAALSSQRSNAEKSLYNAWITTSKLRESVRTKRTELQLLRQNLKLMSILKGQMIVLDEWAILDHDYCSSLSGATEALMASTLRLPVVGGARADIPKLNDAICSAVDVMQAMASSICSLLSKVAKVNSFVAELRNLSANEFALLDQCKELLSAIAAMQVKECSLRTHLVQLNR >EOY15756 pep chromosome:Theobroma_cacao_20110822:8:4527935:4532510:-1 gene:TCM_034725 transcript:EOY15756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function, putative isoform 2 MVAAVSATVNPKQHGGGPHQHPSRPPLLPSDPDNAIAPRRQKFREVTSRYLSTSSSSSNSSTSSSSSSAASTKRCPSPFVARTSHSTAVMTPTHATPSAIKRSQSVERRQAVTPRHNNSLDFRTSNGNNNNGELSAVQKLLFTSTRSLSVSFQGESFSYQFSKAKPAPSPSAARKGTPERRKPTAATTTPGRATDQMENSKAERWPARLRQPNSMSRSMDCTDERKRLKGSGNGNVVRALQDSMIDNRDLTVVPAVGSEAQCDPAVSDTESVSSGSTSGALESSCNGNGDIKRGPRGIVVPARFWQETNNRLRRSDPGSPVSKKNTAQSKLIAPEKFGIDSPLSSPKSVVNSRGQSSPIRGPVRPASPSKLGVSSTSSPLRGMSPSRVRNGLGSNLVNTPSILSFAGDVVKMGKIGENKVSDAHFLRLLHNRLLQWRFVNAREDAALSSQRSNAEKSLYNAWITTSKLRESVRTKRTELQLLRQNLKLMSILKGQMIVLDEWAILDHDYCSSLSGATEALMASTLRLPVVGGARADIPKLNDAICSAVDVMQAMASSICSLLSKVAKVNSFVAELRNLSANEFALLDQCKELLSAIAAMQVNILFVLLY >EOY17442 pep chromosome:Theobroma_cacao_20110822:8:20501374:20502927:-1 gene:TCM_036615 transcript:EOY17442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTEAKKVLSRRRPEGTVCKKSKYDHPTTYSSPSEQQNQQALAHQKCPTKTGDIKQERSRMCPPQSEFNPLTLHQ >EOY16832 pep chromosome:Theobroma_cacao_20110822:8:11671387:11672509:1 gene:TCM_035719 transcript:EOY16832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEGEIINQMYERFTNIVRGLKALRKDFLNAQSVKKILYSFPKSWKLKVTAIEEARDLNYINLKELVGSLLIYEMTLKHESALHDEKKKDIKKKEIALKSIIKENERNIRDKSEVDKDVAMLAKRISKFMKRNYKGRRPHRKDMLKGEHKKDHLICYECRKLRYTKYECPNKKSTSKNFKKKAMVATWSDSDDSQNEEEKVANLYFMALEDSKVCLLSMTLAHVL >EOY15211 pep chromosome:Theobroma_cacao_20110822:8:2583112:2586176:-1 gene:TCM_034356 transcript:EOY15211 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1-like 1 MEPPQDTSENPNDVVSDDFSSPENTNPEDQENPGATLEPPISDSQDESSDPVPDEQPQNTNSNPAEPGPPVRRRRRRKRFFTELNGNPSFSKNRRPRISGLAKEMDTEALIAISVGFPVDSLTEEEIEANVVSRIGGQEQSNYIVVRNHILARWRSNVSVWLTRDHALESIRAEHKNLVDSAYNFLLEHGYINFGLAPAVKEAKLKSLDGVERANVVIVGAGLAGLVAARQLVSMGFKVVVLEGRLRPGGRVKTRKIKGDGVVAAADLGGSVLTGINGNPLGVLARQMGLPLHKVRDICPLYLPDGKAVDADVDSRIEVSFNKLLDRVCKLRHAMIEEVKSVDVPLGTALEAFRSVYKVAEDSQERMLLNWHLANLEYANASLMSNLSMAYWDQDDPYEMGGDHCFIPGGNERFVRALAGDLPIFYGRTVQSIRYGIDGVRVYAGGQEFRGDMALCTVPLGVLKKGSIEFVPELPQRKKDAIQRLGYGLLNKVAMLFPYNFWGGEIDTFGHLTEDSSMRGEFFLFYSYSSVSGGPLLVALVAGDAAIKFEMMSPVESVKRVLNILRGIFHPKGIVIPDPVQAVCTRWGKDCFTYGSYSYVAIGSSGDDYDILAESVGDGRVFFAGEATNKQYPATMHGAFLSGMREAAHILRVARRRSLALSDKVNSDDEESDGLNILFENPDLTFGSFSAVFDPISNDLGSHALIRVKFHGDKLDSSFLCLYGLITRKQTIQLSELNGDGNRMNLLHRNFGVKLVGRKGLSNMGEALVSRIKAAKFNHNVGD >EOY17337 pep chromosome:Theobroma_cacao_20110822:8:19514145:19517667:1 gene:TCM_036497 transcript:EOY17337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MAASTLSAAQTPLLDDTVDGSLDYKGRPLRRSNSGGWRSASFIIAVEITERFAYYGISSNLITYLTGPLGESTATAAAQVNALSGAASLLPLLGAFIADSFLGRYRTIILASLLYILGLGLLTLSATLPSVSTSVGQNADNVTLSSSLQFQVILFFFSLYLVAFAQGGHKPCVQAFGADQFDTQDPEECKAKSSFFNWWYFGMCAGTLITLWVLNYIQDNLSWVLGFGIPCIMMSVGLVVFLLGSTTYRFSVKGDEESPFMRIGRVFIMAVRNWKTASSAIAAEEEARGTLPTESSKQFKFLNKALLAPDGSKEQGKVCSIREVEEAKALIRLAPVWATSLFYAVVFAQSSTFFTKQGATMDRSITAGLEIPAATLQSFISLSIVLFIPIYDRIFVPLARAVTGKPAGITMLQRIGMGMLLSATSMVVAALVEIQRLKTAQDYGLVDKPNVTVPMSVWWLIPQYVLYGLADVFAMVGLQEFFYDQVPNELRSVGLALYLSIFGVGSFLSSFLISVIENVTGGDGRDSWFANNLNRAHLDYFYWLLAAFSAVGLALYFYSAKFYIYARGSTL >EOY17377 pep chromosome:Theobroma_cacao_20110822:8:19744104:19745558:-1 gene:TCM_036523 transcript:EOY17377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVVMGKIRDILEEFEANMEDLGSKDNKLHGKLHETMKMLNHRDTALKELVESLRQEVCRLKDKLVALEAAAGGGALVTQLGTRLKPKKNDNGGEKKDTGLEEEVDKKSPRHQTKAITKWKGKKKKLLKFFLCKESHLTKDCPMHKKLAAIMMDEEDPGNKSDN >EOY15311 pep chromosome:Theobroma_cacao_20110822:8:2870248:2875103:1 gene:TCM_034416 transcript:EOY15311 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MAGSWDRSYDPGSQSDDSHHFERLHIEPIYDAFVCPLTKQVMRDPVTLENGQTFEREAIEKWFNECKENGRKLICPVTLKELRSIDLKPSIALRNTIEEWTTRNEAAQLDMARRSLNMGSSENDVLLSLKFIQHICQKNRSNKHVVRNVDLIPMIVDMLKSSSRKVRCRALETLQVVVEEDAENKAILAEGDTVRTIVKFLSHEQSKEREEAVSLLYELSKSEALCEKIGSINGAILILVGMTSSKSENVLTVEKAEKTLENLEKCENNVRQMAENGRLQPLLTQILEGPPETKLSMAAYLGESVLNNDVKVHVARTVGASLIDIMKSGSMQSREAALKALNQISSYEASSKVLIEAGILPPLVKDLFTVGANQLPMRLKEVSATILANVVNSGYDVDSIPIGPDNETLVSEDNVHNLLHLISNTGPPIECKLLQVLVGLTSSPTTVLNVVAAIKSSGATISLVQFIEIPQKDLRMAAIKLLQNLSPHMGQELADALRGTVGQLSSLIRVISENTGISEEQAAAAGLLAELPERDLGLTRQMLDEGAFQLIISRVIKIRQGEIRGTRFVTPFLEGLVRVLARVTFVLADELDAVVLCREHGLAALFIDLLQANGLDNVQMVSATALENLSQESKNLTKLPEFPAPAFCASVFPCFSKQAVITGMCRVHRGTCSLRESFCLLEGQAVHKLVALLDHTNEKVVEAALAAISTLLDDGVDIEQGVTVLCEAEGIKPILDVLLEKRTENLRRRAVWVVERLLRTDEIAYEISGDQNVSTALVDAFHHADYRTRQIAERALKHVDKIPNFSGIFPNMG >EOY17148 pep chromosome:Theobroma_cacao_20110822:8:18062231:18064991:1 gene:TCM_036313 transcript:EOY17148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRRRFAGRGGLKMERDTMKERRRLWRFSWNCGLWGLPEVLLVVTVDWLLHLDGLAVGLQRGRVWVGVDGLGALRKLLKNIFFRKNVHFKN >EOY15359 pep chromosome:Theobroma_cacao_20110822:8:3036918:3039041:1 gene:TCM_034452 transcript:EOY15359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease 3 MEVKEAEPNSSMRRALLVINCFVLAIGNCGGPLMMRLYFIHGGKRVWFSSWLETGGWPIILFPIAWAYLHRRRTQPTSRNKFFFMKPPLFIASAVIGILTGFDDYLYAYGVARLPVSTSSLIIAAQLAFTAGFAFLLVKQKFTSYSINAVFLLTIGAGVLALNTSSDRPENESNKEYVMGFLMTIAAAVLYGFILPLVELMYKKAKQEISYALVMEIQMVMCLFATVLCTVGMLVNNDFKVISREAREFGLGETKYYVVAISSAIIWQGFFLGAIGVIFCASSLVSGIMIAVLLPVTEILAVIFLKEKFQAEKGVALALSLWGFVSYFYGEIKQTKKKKRALETEMPPVPTSPETV >EOY16838 pep chromosome:Theobroma_cacao_20110822:8:11700178:11703842:-1 gene:TCM_035726 transcript:EOY16838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein, putative MANIYSSKNIVLFLVASPILNLLFIINLYASGNWNLSWTFQAATEAEAVAAISCSGHGRAYLDGLVLDGKEPLCECNSCYAGSDCSVFIPGCSANADGGNPLFLEPFWVQHAASSALLIAGWHRMSYTFNDHTFISQELERLIRKLHAVVGNAVTDKRFIVFGAGSTQVISAAVHALSPDNASSPARVLASIPYYPLYKIQTELFESVNFKFQGDTSQWKNSSDTGANMIEFVTSPNNPDGQLNKAVLHGPHAKAIYDRAYYWPHFTPIPAPADEDVMIFTLSKLTGHAGSRFGWAVIKDESVFDRMTKHIGVNSIGVSRDSQLRALKLLKVVLEGEGREIFEFGHQTMKIRWENLVKGLSSSNRFSLQKIEPQYCTFFHEVRESSPAYAWLKCEREEEKDCYAVLKAANVIGHEGGVFGAEDRYLRLSMIGSQDDFDLLIDRLTKLVSVEAGARIM >EOY17043 pep chromosome:Theobroma_cacao_20110822:8:17169771:17172542:-1 gene:TCM_036200 transcript:EOY17043 gene_biotype:protein_coding transcript_biotype:protein_coding description:UvrABC system protein C isoform 1 MRSKPQSALNTKLILICAFFLLFLLLVIITSSTFSKQQPSSSPISEETHISNSTTDPIQDPKPSESKPEPCPFTPLTPTCSKAPPSLANALVHYATTNITPQQTFKEISVSARVLEKKSPCNFLVFGLGHDSLMWTALNYGGRTVFLEEDKAWIEQIKQKLPTLESYHVEYDTKVHQADDLMETGMKEECKVVSDPRFSKCQLALKGFPSDIYDIEWDLIMVDAPTGFHDEAPGRMNAIYTAGLMARNREEGETDVFVHDVDRVVEDKFSKAFLCEGYLREQQGRLRHFTIPSHRARSDVQVTNSNHWMK >EOY17042 pep chromosome:Theobroma_cacao_20110822:8:17169969:17172415:-1 gene:TCM_036200 transcript:EOY17042 gene_biotype:protein_coding transcript_biotype:protein_coding description:UvrABC system protein C isoform 1 MRSKPQSALNTKLILICAFFLLFLLLVIITSSTFSKQQPSSSPISEETHISNSTTDPIQDPKPSESKPEPCPFTPLTPTCSKAPPSLANALVHYATTNITPQQTFKEISVSARVLEKKSPCNFLVFGLGHDSLMWTALNYGGRTVFLEEDKAWIEQIKQKLPTLESYHVEYDTKVHQADDLMETGMKEECKVVSDPRFSKCQLALKGFPSDIYDIEWDLIMVDAPTGFHDEAPGRMNAIYTAGLMARNREEGETDVFVHDVDRVVEDKFSKAFLCEGYLREQQGRLRHFTIPSHRARSGRPFCP >EOY14856 pep chromosome:Theobroma_cacao_20110822:8:1485655:1489450:-1 gene:TCM_046881 transcript:EOY14856 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein MSDGEDAVRRRNAMAEYRKKLLQHKEYESRVRAGRENLRAAKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGDIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYSADFGKE >EOY14423 pep chromosome:Theobroma_cacao_20110822:8:285748:289049:1 gene:TCM_033814 transcript:EOY14423 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-ribonuclease binding protein 1 MAFPHHHFQQHYQPHQQHQQQSKSFRNLYTTDDQISPALAYYSAPNLQDQSQHPPYIPPFHVVGFAPGPVPVTDGSDGGAELQWNNGVEPKRKKLKEQDFLENNSQISSVDFFQARSVSTGLGLSLDNNNNNRMASSGDSALLSLIGDDIDHELQRQDAEIDRFLKVQGDRLRQSVLEKVQASQLQTISLVEEKILQKLREKEEELENINKKNMELEERMEQWTMEAGAWQQRARYNENMITALKFNLQQVYAQSRDSKEGCGDSEVDDTASCCNGRALNFHLLCKENSDMKELMTCKVCRVNEACMLLLPCKHLCLCKGCESKLSFCPLCQSSKFIGMEVFM >EOY15907 pep chromosome:Theobroma_cacao_20110822:8:4977087:4981533:1 gene:TCM_034829 transcript:EOY15907 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein, putative MALVLGEVKLGSMNFHGKHNHPKVLTGMPSSDQHFLLNFIMSTYLGPDVYSDNPRHSALQRLAELESLYYYVLRHAHPGLVLEPNMPHSYLQGNLHLSSSELLEDLRQFTSFFPLDIHEHKRYSVNDQIIKGIVLIDDPVTSHMREDVERFKYLSGVADLKIDKIKSLSYEHGYQKSKDDQNSMINSEERIFGYITNGTGNASEKFQENNMRTYQLNSLPMSAFPPVMSMLKHLGEGAFKRTYASIILNGTAKMGIAGPPIGVLDIGVSKVAYFFGVALPGVRKDYCELQPSEFPQIPSKLTMGKHILIREFSCEIESNGKVHLQGSASGGGTIKKRSRVFHMTFKQLCPAGPFTLSFSLPGPVDPRLFSPNFRPNGIFEAVVIKHES >EOY16177 pep chromosome:Theobroma_cacao_20110822:8:6056501:6060081:1 gene:TCM_035024 transcript:EOY16177 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconolactonase MEKIEPELRLFDSSEELSSGLADYVLQVAESAVKDIGSFSLVLSGGDIPKRLGKLTKAPFLRLVDWPKWHVFWAEENVVAKLHPDSLYWQAKECFISKVPLLPAHIISVSHGVEGESAASSYEFSIRQQVKKRTVQASPSSDCPRFDLVLLSLGPNGHVASLYPNDPVLQEESQWVACVSKDGTRESVTLTLPVINAAANVAIVAAGMDLARPFMDVMVSRKPIGSHPAQMVSPQDGKLVWFVDASAASLFLRGNEHR >EOY16831 pep chromosome:Theobroma_cacao_20110822:8:11663799:11668147:-1 gene:TCM_035718 transcript:EOY16831 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain MESDNINVSFESNVYFLEEFDDCLQIEQLGATDEKKPCQPKKRKLTSKLWTFFERLPEKNSSDGKSKVKCKLCGYILNYESKYGIGNLKRHNDNCVRKDTRDIGQMIFSKEHNSMLMRSSKFDLEKFRELVVAAIVMHNLPLSFVEYTGIKSMLPYLREDVVLISRNTVKADIIKYLCLTAHFVNKNWVLQKRILNFSFMPPPHNGVALSEKIYALLVEWGIESKLFSITLDNASANDTFVDLLKVQLIMRKQLLGRGKFFHIRCCAHILNLIVQDGLKEIDSAIQKVRESIKYVAGSQGRKQKFLECVSLVNLNAKRGLKQDVPTRWNSTLLMLESALYFRLTFSHLEISDSNFKHSPSRDEWDRIEKLSKFLSVFYEITSVMFDPWYKIQFVEWSYTKLYGSDSAEFKKVKDHLFALYDEYAVEVPNTPSALNDTPFDEKNVHKGKNEFLKEFDNFQPYVETDMNSLTADVINLEISKEEMSSVECSNTVDVN >EOY16023 pep chromosome:Theobroma_cacao_20110822:8:5466123:5470051:-1 gene:TCM_034923 transcript:EOY16023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIYGQKVLEVAARCYWDWCECSVFIPFGIVERSSSTPVLREGSLVKLELFWHAGFCLVKGKRSLLRKQELSFLVPWISFEFIAVPLSTSTRCRHPSEPAERAINTSDPTRNDPGPWVWWVAELAGRSKNNE >EOY14956 pep chromosome:Theobroma_cacao_20110822:8:1768489:1773064:-1 gene:TCM_034177 transcript:EOY14956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MVVLLFFVLAVPSLFLFFLLKHRNDGNILLPPGPPGLPLIGHLHLQMLDNSAHHIFLWKLSQKYGPLMSLRLGFRPTLVVSSAKMAKEVMKTHDLDFCSRPTLRGTQKLSYNNLDFAFTPYNGYWREIRKICVVHLLNSNRVQLYRPIQEDEVARLITKISKLSIDSKLVNLSEAMICLTSAIICRVGFGKRFEEEGTKRSRFHGLLNESQAMIATYFFSDYFPFMSLVDRFSGLLDRLEKNFKKFDIFYQELIDEHLDPNKSKLEQNDIIDVLLQISKDRDSGIDLTMDHIKAVLMNVFIAGTDTSAATVIWVMTFLIRNPRCLKKVQEEIRSLTGKTSFVNEDDVQGLIYLKAVIKETFRLQPVTPLLLPRETKRKCNIGEYEIPSKTLVHVNAWAIGRDPEAWENPEEFYPERFIGSSIDYKGLDFELIPFGAGRRGCPGIHMAVMTVELALANLLYKFNWEMPAGMNKEDLDFDALPGIVVHKKNALCLVASTINT >EOY17086 pep chromosome:Theobroma_cacao_20110822:8:17567427:17569950:-1 gene:TCM_036249 transcript:EOY17086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MEKEKEKEGERIETINHLSHPHPLLFNDEEQSDKSKEARCSACLESLLGSSFSCGECDFHLHKKCAEAPLEIHSPFHCKHATLTLEPNPQWESSIFFCDLCKEKRKMFVYHCTSCHAYFDIKCAFLLHNIDENFCELKYITHEHPLTFIENPNDELKRAHCHWCQKPLVDSLYVCLDCRFYLHKKCAQLPTQLYHPCHRKHPLYLEDGYLDCKTCQKKHWSLFYRCLPCKFDIDIECALPRLKFVVECSEHSFIQLFRDDPFVCDACGIEGNHISYICSTCHIMIHKKCISLPRIIKTTWHHHEIIHNYFFQKQELEKHGCGICFGELLMKYGSYDCLKQDCNFVAHVDCAMEKYLATGQINDQDEESSENLASITCVIEMNQHGEATKIKHFSHEHDLTLDNKIKEDNDKRCDACMLSISTSFYYCSQCEFLLHKTCVELPRKKHHWFHESLFTLHVENTFQCGLCYHYCSGFAYHGNNTYKFCLRCVGISRIIPRQRHKHTLFFDFDLNKGQCNACGDYIHRGYKCKDCTFVLHVKCMALPQRARHKCDKHFLELTFHDENADLEEYYCDICEGQRDPNHWFYHCAICDNSAHPKCVFGKYPFLKKKIGETYKVWNHHHPLICVKKSYDICLRCGLPCQDIAPECKSCNFTVHFDCLDLEDWVAF >EOY16578 pep chromosome:Theobroma_cacao_20110822:8:8589232:8601970:1 gene:TCM_035383 transcript:EOY16578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone and stilbene synthase family protein MASEKNLETQQAEEQVTILAIGTANPPHCFYQADYPDFYFRVTKSEHKIELKDKFKRICERSSIKKRYMHLTEDIIKENPNLIIYKAPSFDARQDILVTEVPKLGQEAALKAIKEWGQPISKITHLIFCTSSGINMPSADHQLARLIGLEPSVQKFMIYQQGCFAAGTALRLSKDLAKNNAGARVLIVCSELMVECFQPPSETHLDILVGSALFSDGAAAAIVGANPDATINERPSFQIVSAHQTIIPDSADIIVAKIREMGMEYYLSRDLPKCIANNIEQCLIEALIPFGIGDWKTLFYIVHPGGPAVLRGVEEKLGLGREKLKASWHVLSEYGNMWSPSVLFILDEMRKMSILEGKASSGDGLEWDVLFGFGPGLTVETVVLRSFALNSCR >EOY15732 pep chromosome:Theobroma_cacao_20110822:8:4441227:4443287:1 gene:TCM_034709 transcript:EOY15732 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MDTENAVNYTYMGRSFNDLSINDNSSAFSDCNSDRSGEFPTASSQSRRLLLACANSENSDELIRQLVSDLESCSIDEQKQAALELRLLAKNKPENRINIAKAGAIKPLISLISSSDPYLQENGVTAILNLSLCDENKELIASSGAIKPLVRALRTGTSTTKENAACALLRLSQIEENKVAIGRSGAIPLLVNLLENGGFRGKKDASTALYSLCTVRDNKIKAVEAGIMKPLVELMADFASNMVDKSAFVLSVLVSVPEARTALVEEGGIPVLVEIIEVGSQRQKEIAAAILLQICEDSLVYRTMVAREGAIPPLVALSQSGTNRAKQKAETLIELLRQPRSGNAAARPSNVSV >EOY17488 pep chromosome:Theobroma_cacao_20110822:8:21110965:21116117:1 gene:TCM_036692 transcript:EOY17488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-proton symporter 2 isoform 2 MENGDIIQSPSPLRKIVTIAAIAAGIQFGWALQLSLLTPYVQTLGVPHTWAAFIWLCGPISGLLVQPIVGYNSDRCTSRFGRRRPFIAAGATFVSIAVFLIGFAKDMGHHAGDSLESPTKPRAVAIFVVGFWILDVANNMLQGPCRALLADLSANDHKKMRTANGWFSFFMAVGNVLGYAAGSYSHLYRIFPFTRTKACDVYCANLKSCFTIDIMILLLVTVTAVTTVKEDPLTKQVQEEETKTQAAFVGEIVTAFKTLKKPMWILLLVTCLNWIAWFPFLLFDTDWMGTEVYGGKVKGNANQVKMYSDGVRAGALGLMINSIVLGLTSLALEPVGRLIGGVKNLWAIVNFILCACLASTVLITKIAEAWRKHNGADLVHPPLNIKGSALAVFGLLGIPLAVTFSIPFALASIYCSNAGGGQGLSLGVLNMSIVIPQMFVSVVSGPLDAAFGGGNLPAFVLGSMAAAVSALLAILALPKPPKQICLSPGMGGGH >EOY17489 pep chromosome:Theobroma_cacao_20110822:8:21113731:21115330:1 gene:TCM_036692 transcript:EOY17489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-proton symporter 2 isoform 2 MENGDIIQSPSPLRKIVTIAAIAAGIQFGWALQLSLLTPYVQTLGVPHTWAAFIWLCGPISGLLVQPIVGYNSDRCTSRFGRRRPFIAAGATFVSIAVFLIGFAKDMGHHAGDSLESPTKPRAVAIFVVGFWILDVANNMLQGPCRALLADLSANDHKKMRTANGWFSFFMAVGNVLGYAAGSYSHLYRIFPFTRTKACDVYCANLKSCFTIDIMILLLVTVTAVTTVKEDPLTKQVQEEETKTQAAFVGEIVTAFKTLKKPMWILLLVTCLNWIAWFPFLLFDTDWMGTEVYGGKVKGNANQVKMYSDGVRAGALGLMINSIVLGLTSLALEPVGRLIGGVKNLWAIVNFILCACLASTVLITKIAEAWRKHNGADLVHPPLNIKGSALAVFGLLGIPLAVTFSIPFALASIYCSNAGGGQGKV >EOY15014 pep chromosome:Theobroma_cacao_20110822:8:1962652:1966277:-1 gene:TCM_046886 transcript:EOY15014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic initiation factor 3 gamma subunit family protein MSEYKPQSDSIQNPRVTWEGCSVLLDINDGDRLVFARLSAGSTLKIGNKTCSLQPLIGCPFGSLFQVESGKEKPYLSRYIPSTEDSNDQDEGGCQLKEESRDNRAIIDNNQAQSLTGATGNEIVEALIANSATFEKKTQFSQEKYRLKKQKKYAPRVLLRRPFSRRFLRVDALSQLLSMANVTANSDVLVVDMVGGLLTGAVAERLGGTGSLCNTYPVGRPYPMEIIRIILRCSPKDLCSAQNGTDEQVGQQKDVSTVESQSNEQTSLSVSTEEVHLSSENNVADLVPENELSTVSKTCKAPKAGDKAPKEAIQLWKENGFSSLIIAAPEQDAWSLVKDLLPLLSYSAPFAIYHQYLQPLATCMHNLQLEKMAIGLQISEPWLREYQVLPSRTHPCMQMSGSGGYILSGTRTFTSQS >EOY15290 pep chromosome:Theobroma_cacao_20110822:8:2819927:2821761:-1 gene:TCM_034404 transcript:EOY15290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MTIPSCCASSIPERLKQKMDCMVSSFSLHPVIPLKRKVVKSISVTSMAHPNTRICYRKLPKKNHRYPRRTKLPPDFGVNLFLQEPRTGTDTAPDTQQMDSIPSRSNEEEEESEGKEEEVEDQGNYVVWESDEVEAISSLFQGRIPQKPGKLGRKRPLPLPLPYKLRPLGSPTPKKHVKLTSPGVISSRASVSKRLYKNPSFLIGLAREIKDLDSDEDVSAVLDKWARFLRKGSLSMTIRELGHMGLPQRALQTFCWAQKQPQLFPDDRVLTSTVEVLARNRELKLPVNLEKFASLSNRSLIEAMVKGFIKGGNLNLAWKLLSVAKQSKRMLHPSIYAKLILELGKNPDKHTLVEDLLDDLGERENLNLSQQDCTAIMKICIRLGKFETVESLFNWFKQSGRDTTVVMYTTLLHSRYSEKKYREALALVWEMEASECLLDLPAYRVAIKVFVALNDLSRAVRYFSKLKEAGFSPTYDIYRDLISIYMVSGRLAKCKEVCKEAEMAGFSLDKHTLAQLSRLEKEMSDLNPMKLKT >EOY14914 pep chromosome:Theobroma_cacao_20110822:8:1660454:1669120:-1 gene:TCM_034153 transcript:EOY14914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane and coiled-coil domain-containing protein 4 isoform 2 METSILSPTQKYAASALFALALHHSQVRQKRPTNALVSMKDEPIGEGASTHKTLSVSDHPQLWIHENSGLLLPVFRLMGVEDQAWDGLKETAGVSTQARHHVGSFLTLLSEETDEASEERREKELALLKAVDATMLSIESSLAVSEDIDRSRDYEIKCRERYGFHNTELTGEDTDPNGRIQRTTSNVSDEEEEVDGLGSRVSEKPMEEGELLTEERKVTVLYELLSACVAEASDNGSKSSSQTKGYDARHRVALRLLATWLNVKWVKMEATEIMVACSLMVPLIKEDAEEVEKKKIADWKREGMIGAAALTGGTLLAVTGGLAAPAIAQGLGALAPTLGSIVPAVGGGFAAAASATGSVAGSVTVAASFGAAGAGLAGSKMAKRTGDLDEFEFKQIGKNHDQGRLAVGILVSGIVFEEEDFLRPWEDHNDNLERYVLKWESEKLIALNISVQKWLTSRIALDVMQAGAMLTVLSTLVAALAVPVALVTASNLIDNVWAVAVDRSDKAGKLLAEVLLKGLQGNRPVTLFGFALGARLDSLKGLSSLEHQSQYTMKIGKMPERWWQEDLSMFTVLTIGHLVSYFVPGNILSKGLAGIQPVDLHGIENVDATEFIEGHSSYLWMAKKILRQLDLDNYYPIFRTTHTKPQEEKSKHT >EOY14915 pep chromosome:Theobroma_cacao_20110822:8:1664115:1668929:-1 gene:TCM_034153 transcript:EOY14915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane and coiled-coil domain-containing protein 4 isoform 2 METSILSPTQKYAASALFALALHHSQVRQKRPTNALVSMKDEPIGEGASTHKTLSVSDHPQLWIHENSGLLLPVFRLMGVEDQAWDGLKETAGVSTQARHHVGSFLTLLSEETDEASEERREKELALLKAVDATMLSIESSLAVSEDIDRSRDYEIKCRERYGFHNTELTGEDTDPNGRIQRTTSNVSDEEEEVDGLGSRVSEKPMEEGELLTEERKVTVLYELLSACVAEASDNGSKSSSQTKGYDARHRVALRLLATWLNVKWVKMEATEIMVACSLMVPLIKEDAEEVEKKKIADWKREGMIGAAALTGGTLLAVTGGLAAPAIAQGLGALAPTLGSIVPAVGGGFAAAASATGSVAGSVTVAASFGAAGAGLAGSKMAKRTGDLDEFEFKQIGKNHDQGRLAVGILVSGIVFEEEDFLRPWEDHNDNLERYVLKWESEKLIALNISVQKWLTSRIALDVMQAGAMLTVLSTLVAALAVPVALVTASNLIDNVWAVAVDRSDKAGKLLAEVLLKGLQGNRPVTLFGFALGARVIFKCLQCLAETEGDNAGLVERVVLLGAPISIHDENWEDARKMVAGRFVNVYSTNDWTLGIIFRASILSKGLAGIQPVDLHGIENVDATEFIEGHSSYLWMAKKILRQLDLDNYYPIFRTTHTKPQEEKSKHT >EOY17296 pep chromosome:Theobroma_cacao_20110822:8:19099584:19100761:-1 gene:TCM_036444 transcript:EOY17296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGHDRSLSSYGIVSPTSYPLLHVCGINAGNDVSGGGDGSGSGCDDDFMTEYGWTRFKQRFSQIWQREAPNLVLPDQIPLLDLALHDQIQPQGSADLAL >EOY16934 pep chromosome:Theobroma_cacao_20110822:8:15298158:15302745:-1 gene:TCM_036022 transcript:EOY16934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEEEKKRWSVTYTKHIKQKRKVYQDGFLDLYISTSKLMLYDDSEKLLECRMLRKDEVVSPAQTLSFSAYHVDVGLLHGSHFSSDAGINSNKARPIDLSPSQKIIREFKKTELRKYGAPQTSPTTMKTSVTEWQVLYTTQLTQKSKRYHDGFLRVSVCGSLGRQVVLFDEHRKLIESSFLKRDVVIRSGESIPLDAHLVEIGECKGNDSSNDGKLGIRHEQKHQSESDGAFGKEWQVMYTTQVTQKAKKYHDGFLQLTNSGTLQRQIMLYDGSKKLINSRFLKKDEVIQSGESIAFDAHLVEIGEPEGNYQGLMDSDVRVSNSNIAGKTGLMHRVQNCLKTNKSFLKGQPQKSACARGYADPSYSISNIDETKLSENVSANKPLRDAIQILSILQKPIMQDSIATVSMDRNIMNPVPKEPQSSDSTRNIPECSQPQRVPIANHGSSEKLDKRESTECINIQKFPHLYPKAKSSCSGSKSPKNSEVGNTHETDSDNLESAVGCSSPIIHTCQETVDITKNSVEVKISREAGKCPSFKLGFD >EOY16935 pep chromosome:Theobroma_cacao_20110822:8:15294993:15302522:-1 gene:TCM_036022 transcript:EOY16935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLYDDSEKLLECRMLRKDEVVSPAQTLSFSAYHVDVGLLHGSHFSSDAGINSNKARPIDLSPSQKIIREFKKTELRKYGAPQTSPTTMKTSVTEWQVLYTTQLTQKSKRYHDGFLRVSVCGSLGRQVVLFDEHRKLIESSFLKRDVVIRSGESIPLDAHLVEIGECKGNDSSNDGKLGIRHEQKHQSESDGAFGKEWQVMYTTQVTQKAKKYHDGFLQLTNSGTLQRQIMLYDGSKKLINSRFLKKDEVIQSGESIAFDAHLVEIGEPEGNYQGLMDSDVRVSNSNIAGKTGLMHRVQNCLKTNKSFLKGQPQKSACARGYADPSYSISNIDETKLSENVSANKPLRDAIQILSILQKPIMQDSIATVSMDRNIMNPVPKEPQSSDSTRNIPECSQPQRVPIANHGSSEKLDKRESTECINIQKFPHLYPKAKSSCSGSKSPKNSEVGNTHEVHLYAAATNKRIENKSEMEVVIFSH >EOY16051 pep chromosome:Theobroma_cacao_20110822:8:5609890:5615253:1 gene:TCM_034946 transcript:EOY16051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein MHHLESHKMKLQKLPLLTLFHISSLLLVSAQSTDQPPFSCDSSDPLTKSYPFCKTTLPINQRVQDLISRLTLDEKISQLVNSAPPISRLGIPGYEWWSEALHGVAFVANISQGIRFNGTIQSATSFPQVILTAASFDPYLWYRIGQASPITNILSIYFFSITSIFLIRRLAIGIEARGIYNAGQARGMTFWTPNINIYRDPRWGRGQETPGEDPLVTGKYAVSFVRGIQGDSFEGGKLGENLQVSACCKHFTAYDLDNWKGINRFVFDANVTLQDLADTYQPPFQSCIQKGKASGVMCAYNRINGVPNCADYNLLSKTARGQWGFDGYITADCDAVSIIYDEQGYAKEPEDAVADVLKAGMDIDCGEYLKNYTESAVKKKKVSVTEIDRALHNLFSIRMRLGLFNGNPTKQPFGNVGSDQVCSQEHLNLALEAARNGIVLLKNTDNLLPLSKTKTNSLAVIGPNANSTETLVGNYAGPPCEPITPLQGLQSYIKNTNYHPGCSTVNCSSDLTDQAVKIAAGADRVVLVMGLDQTQEREAHDRVDLVLPGNQQKLISSIVRAANKPVILVLLCGGPVDISFAKNDQNIGSIIWAGYPGEAGGQALAEIIFGDHNPGGRLPMTWYPQSFIKIPMTDMRMRPEPSSGYPGRTYRFYQGPKVFEFGYGLSYSNYSYEILPVTQNKVYLNNQSSDKMAVAYKSVSEMGPELCEKSKFPVTVGVQNNGEMSGKHAVLLFVRQAKPGNGRPMKQLVGFNSVDLKAGERAEIKFELSPCEHLSSANEGGLMVIDEGSHFLSIGDKESEITVAFSSDTSGGAASRQEGAIVLPRILKFFYIYTLLCILF >EOY14507 pep chromosome:Theobroma_cacao_20110822:8:466993:467559:1 gene:TCM_033866 transcript:EOY14507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTIIVTRSILMDGKRIMTMTEFKQWLKKFDADKHGRISKELRNAIYETMTIWPMEEQARDTINRCRWQWLY >EOY17122 pep chromosome:Theobroma_cacao_20110822:8:17939835:17941809:1 gene:TCM_036290 transcript:EOY17122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METTNSKPSSKRLLFDRRYGWVFDEWKDPSEEALAGGRGMFCIVPLAKALLKTASNSINVAASSVVKVLEKPDLLSTQELQANLRDQLQRVTSSIQKP >EOY14870 pep chromosome:Theobroma_cacao_20110822:8:1519754:1525032:-1 gene:TCM_034120 transcript:EOY14870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin oxidase 5 MATKLLLTFAICRLIVTVGLTLDPAELLRLGVDGQLSVDPSDVETASLDFGLLIRTEPLAVLHPASARDVAKLVKAAYGSNHGFTVSARGHGHSINGQAQTTNGVVIQMSGSRGSGRMASGKPPQPRVWARESYVDVWGGELWIDVLRSTLGYGLAPKSWTDYLYLSVGGTLSNAGISGQAFNHGPQISNVYELDVVTGKGELLTCSEEQNPELFQAVLGGLGQFGIITRARISLEPAPQRVRWIRVLYSNFSAFTTDQEYLISLQGKPTSQKFDYIEGFVIVDEGLINNWRSSFFSPRNPVKISSLGANGGVLYCLEITKNYHESTADTIDQEVESLLKKLNFIPTSVFTTDLLYVDFLDRVHKAELKLRSKGLWEVPHPWLNLFVPKSKIADFDKGVFKGILGNKTSGPILIYPMNKNKWDPRSSVVTPDEDVFYLVALLRSALDNGEETRSLECLTDQNRRILRFCDDAGIKVKQYLPHYTTQEEWMDHFGDKWDRFHQMKMEFDPRHILATGQQIFRPSFPSSSSNMASW >EOY16974 pep chromosome:Theobroma_cacao_20110822:8:15621610:15624841:-1 gene:TCM_036060 transcript:EOY16974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLDGSKRMDIGVVKVTSETQLDGNEEDQVGSTCYLAASSNMYGADRQNSKRNMLFYFLGYFKEYPISLGTSVFLSNTSPMAPRLLKV >EOY16518 pep chromosome:Theobroma_cacao_20110822:8:8075126:8092196:1 gene:TCM_035320 transcript:EOY16518 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative MSIPIIETCRKRKRRPKLFGLRSFAEPGCPINPTGPFRDNIRFFLKQCAEPEDYCVNGMPIWCTLLVHENKSSVVPLYTIKEDVKLSSNPFCDHCRCTGWSNHFVSKRKYHVVIPNDRDWNKPLEDGVLNIHSHLLHGLIHCNGFGHLLCINGIEGGSKYLCGREIMDLWDRICEILRARKISVEDVSKKHGMDLRLLHGVAYGHTWFGRWGYKFCRGSYGVSEKNYGRAIEILSSSELDKINQDFSDREQCRQIKCIIQHYRVLSESQLVTIRDLFKFMLTIKSRSAVQKKSVTAITAPSASSQRNFIRISLPKKATSKEKSSKCKRFTSVIAHMDSRWPAKRLEYAAEVIVDALKEHKSEFCHGGMTRQDLRDAARMHIGDTGLLDYVLKSMNNVIVGCHIVRRAINTSRILEYTIDDIDNGFKAPEAELEIHHKPLPDALPALVPGTDVYDDVVYLYNNVLLDYPESEFLELATQAVLDSKHFVKERPFRDEDDQLLRFFCQVMPNLFGAENILTKKSPAGELVTVPLHATVLDLKQAVEKALRDTYCIMDKLVVTDIVDLGEMDDRDVLFGALESGAKILVSGSGIDLDSNLRHEGGADNWIVRCECGAQDDDGERMVSCDICEVWQHTRCCGIEDSEAVPPLFVCPGCCASLGPPMSESPLTYQSSDDLLLDSETVYGMDLEYDNCIRILP >EOY15673 pep chromosome:Theobroma_cacao_20110822:8:4201156:4202416:-1 gene:TCM_034664 transcript:EOY15673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine-zipper 4, putative MFVTEEAVQFQLPVHETVFTPEEVEELLSYLETDEPISPNSGSEGSSRAIYSADERKQRRMISNRESARRSRWRKKRHLENVTEEVNRLNRENQQLKNRLSLVINHCHVVWRENEQLRSESEALWAKLLDLYWTLAAMQSQ >EOY16470 pep chromosome:Theobroma_cacao_20110822:8:7305542:7306636:1 gene:TCM_035232 transcript:EOY16470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPLRRGRPPLTRSVGRGKGRSQRRQLDAVGEESAASTIRAAPTAEQADSPPHPPPPQPPTGIPAMPTEAAQALAAFFATMAGQAQTGQVPPVVPPTTPLVPPPVQDVSETLSDMRLDDDMKLMVATRLLEKRARTWWNSVKSRSATPQTWSDFLREFDGQYFTYFHQKEKKREFLSLKQGNLTVEEYETRFNELMLYVPDLVKSE >EOY16972 pep chromosome:Theobroma_cacao_20110822:8:15595136:15596820:1 gene:TCM_036057 transcript:EOY16972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyesterase 18 MLILPLKIRLMLAALSFSTDAFCSSDATAINRFFTKFFDPKAPPSTSTKPDNGIVSSDIMVDSTRKLWFRLYTSTTVADGADGGGLPIPIIVYFHGGGFAFMAANSMLYDDLCKRLAREVPAIVVSVNYRLSPEHRYPSQYEDGFDVLNFIDNPKFEGFPASSANIKKQVFIAGDSAGGNLAHHVALKACKHEFSRLNLVGVIELQPFFGGEERTESEMKLVGAPLISVKRTDWMWKAFLPQGCNRDHQAVNVFGPNSVDISHLPFPPTLVFIGGFDPLQDWQRKYVEGLKKCGKTVYTIEYPNAFHGFYSFAELPESSLLIAEVKSFVQNRLAG >EOY15038 pep chromosome:Theobroma_cacao_20110822:8:2038196:2039055:1 gene:TCM_034235 transcript:EOY15038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEILKTQKSCLLFFLVVLSFSICPGQSIPPAGTTETTTNQQQAPSGVPQTEGNNAPLLPSPPNDAPINKIPFAKPVGNGNQGQQSQTGYQRQQEPNYPQQSINQPLSGYNQPYSTVNQPYSGLNQPFSNYQPFGATNQQGSVENAAFDNGVSKENACIQGVVLVSLVTSMVSFGFLV >EOY15453 pep chromosome:Theobroma_cacao_20110822:8:3367392:3371190:-1 gene:TCM_034512 transcript:EOY15453 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-Aspartase-like family protein MESLLSSPTPFFSSPSTFVSSQSVSNPRPILPLLHRSKPRFEPLWCTMGQSSETETKPKETKLWGGRFEESVTDIVEKFTESISFDRQLYKHDIMGSKAHASMLAEQGLISVSDRDSILQGLDEIERRIEAGEFVWRTDREDVHMNIEAALTDMVGEPAKKLHTARSRNDQVLTDFRLWCRDAIDRIIASIRHLQVALVKLALNNEGLIIPGYTHLQRAQPVLLQHLLLAYVEQLDRDAGRLLDCRARLNFCPLGACALAGTGLPIDRFWTSDALGFSAPMRNSIDAVSDRDFVLEFLSANSIIAIHLSRLGEEWVLWASEEFGFITPSDSVSTGSSIMPQKKNPDPMELVRGKSARVIGDLVTLLTLCKGLPLAYNRDLQEDKEPMFDSVKTIIGMLEVSAEFAQNIAFNEERIQKALPAGYLDATTLADYLVKKGIPFRTSHDIVGRSVALCVAKNCQLQDLSLDELRSLNPVFDHDVYEFLGVENAVKKFSSYGSTGSACVADQLDYWVTKLEINRTRPQ >EOY15684 pep chromosome:Theobroma_cacao_20110822:8:4239226:4240025:1 gene:TCM_034673 transcript:EOY15684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSKGHSSNRFVRFITVPFKMLGKARDLYVRSLSSCASTVRYGQSSGGYTGQYSGLPRSFSASSAASSNGNEDFRELIRAASVRSLGHSNEMEMFLQQQLRQMGSKGLPKSCSVGMGRIDEDKPCEFEEEDDVVVDKKQDFLYPRSKSYAVNKRSVLL >EOY14883 pep chromosome:Theobroma_cacao_20110822:8:1560914:1563878:1 gene:TCM_034130 transcript:EOY14883 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH and RanBPM domains containing protein isoform 2 DIDPRQFEHVLCPSSGLICLHFGASISICLLQAVNDNDIHNIVMSYLVHNCFKETVESFIACTGMKQPSDYLEDMEKRKKIFQFALEGNALMAIELTEQLATNLLEKNKDLHFDLLSLHFVELVCSRKCTEALEFAQTKLAPFDKEQKYVEKLEDFMALLAYEEPEKSPMFHLLSLEYRQHVAESLNRAILAHANHPSYTAMERLIQQTTVVRQCLNQEHVKDGPPPFSLKDFLKS >EOY14882 pep chromosome:Theobroma_cacao_20110822:8:1559964:1563938:1 gene:TCM_034130 transcript:EOY14882 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH and RanBPM domains containing protein isoform 2 MSICHKKRERGLQSATITFYVRTEITPRKHPSHPPPRLFVFFFSRTPKPKASVPVICPIMDIDPRQFEHVAVNDNDIHNIVMSYLVHNCFKETVESFIACTGMKQPSDYLEDMEKRKKIFQFALEGNALMAIELTEQLATNLLEKNKDLHFDLLSLHFVELVCSRKCTEALEFAQTKLAPFDKEQKYVEKLEDFMALLAYEEPEKSPMFHLLSLEYRQHVAESLNRAILAHANHPSYTAMERLIQQTTVVRQCLNQEHVKDGPPPFSLKDFLKS >EOY16233 pep chromosome:Theobroma_cacao_20110822:8:6359173:6362206:1 gene:TCM_035073 transcript:EOY16233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 K4-specific methyltransferase SET7/9 family protein MEGQKSQAKLTRTQSSLLRSSPTVRSSIQSLSSISEGDFIKDQEEKDDHHHHRESFLKDEKKKKPPPKKSSSMTPRNIPVRFNPVFAMASISFFTFFSFIFFFCFYLKREEIPTSENLLLALIFVAITLFFASKNKALINQGIACFKERLHLSRANSKPVQWFIGENQCNENYNKSKEKERLLPVVREGVEFYSNGDFYEGEFHKGKCNGSGVYNYFVKGRYEGDWVEGRYDGYGVESWSRGSRYRGQYRQGLRHGFGVYRFYTGDSYAGEWCNGQSHGVGVQTCADGSCYIGEFKSGVKHRLGCYHFRNGDKYGGEYFGDKMHGFGVYHFANGHCYEGSWHEGRKQGYGMYTFRSGDIRCGEWDAGTLKTPLPPLTDAVLRAVQAARKTAANAINLRRVDEPVNKAVLAANRAAAAARVAAVRAVQNQMDGKFCDTDV >EOY15740 pep chromosome:Theobroma_cacao_20110822:8:4476956:4480931:1 gene:TCM_034714 transcript:EOY15740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Interferon-related developmental regulator family protein / IFRD protein family isoform 2 MGKRKTQRKNAAMLDSDDDNSSVSSSSTMRSDRMSVSGTEEVEFNKDSLLDEAVDALYEKRGTTRVKALELIIDAFNSNLQHQFVEKKFATLLHQCLNCIKKGSSKEISLASHTIGLLALTVGSGDNAREILEESITPLSQAFKSGSESSKIASLLECLAIITFIGTNDPEETEKSMQIMWQLVHPKQGSNVITVKPSAAVITAVVSAWSFLLTTMDGWRLSSKLWQESITFLSSLLDKDDRSVRIAAGEAVAVIFEMGSLEKFAAEAKGSSDGSVSEGNKSKEGFSHIQGLKGKILNQVRDLSVEAGGKGSAKKDLNNQRNLFKDVLEFLEEGYCPETSVKIGGDSLQTSTWSQFIQLNFLRRFLGGGFTKHMQENEFLQDVVGFTPKRRNLLGSEHISNTEKITKFSPQQSKNPAIEQAADAI >EOY15739 pep chromosome:Theobroma_cacao_20110822:8:4476377:4480659:1 gene:TCM_034714 transcript:EOY15739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Interferon-related developmental regulator family protein / IFRD protein family isoform 2 MGKRKTQRKNAAMLDSDDDNSSVSSSSTMRSDRMSVSGTEEVEFNKDSLLDEAVDALYEKRGTTRVKALELIIDAFNSNLQHQFVEKKFATLLHQCLNCIKKGSSKEISLASHTIGLLALTVGSGDNAREILEESITPLSQAFKSGSESSKIASLLECLAIITFIGTNDPEETEKSMQIMWQLVHPKQGSNVITVKPSAAVITAVVSAWSFLLTTMDGWRLSSKLWQESITFLSSLLDKDDRSVRIAAGEAVAVIFEMGSLEKFAAEAKGSSDGSVSEGNKSKEGFSHIQGLKGKILNQVRDLSVEAGGKGSAKKDLNNQRNLFKDVLEFLEEGYCPETSVKIGGDSLQTSTWSQFIQLNFLRRFLGGGFTKHMQENEFLQDVVGFTPKRRNLLGSEHISNTEKRMYRSPNSVLNKARTQLLNKQRMLSEGRNIGHFAVNVGDEDF >EOY14969 pep chromosome:Theobroma_cacao_20110822:8:1833266:1834671:1 gene:TCM_034190 transcript:EOY14969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial MLKFLSKVRIEFNALDPRIASCMEFLAQCNARKAKESNPACQVQVKRRTDDHPPQIAVTFVNGVEEVFNATSTPAQTIRNMILEKGQLLETEQMFREAGEKWPVIIPEEELHQSFPGTKVWCIFSNLMISGLVLRVCQGKQKRRSSDSNLSELPNILGVTLEDYQNVPA >EOY16756 pep chromosome:Theobroma_cacao_20110822:8:10815519:10817449:1 gene:TCM_035632 transcript:EOY16756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin Y1 isoform 1 MAISVSAPTLPALNPQNSTKLSCYDYSSKLSFSSSLQFPLRSHPIRIGNKAISSSSLPRHRPLVVEAKKQTFNSFDDLLASSDKPVLVDFYATWCGPCQFMVSILNEVSTALKDKIQVVKIDTEKYPSIAGKYRIEALPTFIIFKDGKPFDRFEGALTADLLIQRIENSLSVKQ >EOY16757 pep chromosome:Theobroma_cacao_20110822:8:10815519:10817376:1 gene:TCM_035632 transcript:EOY16757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin Y1 isoform 1 MAISVSAPTLPALNPQNSTKLSCYDYSSKLSFSSSLQFPLRSHPIRIGNKAISSSSLPRHRPLVVEAKKQTFNSFDDLLASSDKPVLVDFYATWCGPCQFMVSILNEVSTALKDKIQVVKIDTEKYPSIAGKYRIEALPTFIIFKDGKPFDRFEGALTADLLIQRIENSLSVKQ >EOY17456 pep chromosome:Theobroma_cacao_20110822:8:20648207:20649612:1 gene:TCM_036636 transcript:EOY17456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane lipoprotein MNSLSLRVAGQMLVLTFLMVSLATDSLRVPPHDHHASIHGKATKFGDKGQMHRQEGEKEELGMELYPTGSSLPDCSHACGPCFPCKRVMVSFKCSIAESCPIVYRCMCKGKYYHVPSN >EOY15330 pep chromosome:Theobroma_cacao_20110822:8:2904876:2908409:-1 gene:TCM_034424 transcript:EOY15330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase B subunit MATHAALAPSRIPASTTLPSKSTHSFPTQCSTKRLEVAEFSGLRSSSRVTFARNVGEASFFDVVAAQLTPKTGGSTPVRGETVAKLKVAINGFGRIGRNFLRCWHGRENSPLDVIVVNDSGGVKNASHLLKYDSMLGTFKADVKIVNNETISVDGKPIKVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEGDYDHEVSNIVSNASCTTNCLAPFVKVMDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNVEKKGITAEDVNAAFRKAAEGPLKGVLDVCDVPLVSVDFRCSDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGVPAAGSADPLEDFCKTNPADEECKVYEA >EOY16609 pep chromosome:Theobroma_cacao_20110822:8:8944499:8950255:1 gene:TCM_035431 transcript:EOY16609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component isoform 1 MCAMVPLYFAMLVAYGSVKWWRIFSPEQCSGINRFVAVFAVPVLSFHFIAQNNPYQMDTKFILADTLSKVLVLVLLSGWAIFFPGGSLDWLITLFSVATLPNTLVMGIPLLNAMYGDFTQSLMVQLVVLQCIIWYTLLLFLFEYRAATLLIKIQFPGPTAATISKFELDNDVISLDGRDPLRTESETDINGRIRVRIRRSTSSAPDSALSSSICLTPRASNLSNAEIFSVNTPGGPNNNEIVFCNGDLGFGYRAVSPRLSGYASSDAYSLQPTPRASNFNEMDVITTTTTTGNTPIWMRSPVAGGKVSRQQSPVVPAMKMVWECQGGGGGSDNRQGFKDVGEKEISFRDNTKITVAEVADGKEAVSKQEMPNALVMLRLILIVVGRKLSRNPNTYSSILGLLWSLISFKWNVGMPSLVKYSIKIISDAGLGMAMFSLGLFMALQPRIIACGTKRATMGMVIRFLSGPVIMSTASIAMGLRGPKLHAAIVQAALPQGIVPFVFAREYGLHPDILSTGHMKKGRTLSIKKMENPKHHVPSSL >EOY16608 pep chromosome:Theobroma_cacao_20110822:8:8944415:8949814:1 gene:TCM_035431 transcript:EOY16608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component isoform 1 MITAGDFYKVMCAMVPLYFAMLVAYGSVKWWRIFSPEQCSGINRFVAVFAVPVLSFHFIAQNNPYQMDTKFILADTLSKVLVLVLLSGWAIFFPGGSLDWLITLFSVATLPNTLVMGIPLLNAMYGDFTQSLMVQLVVLQCIIWYTLLLFLFEYRAATLLIKIQFPGPTAATISKFELDNDVISLDGRDPLRTESETDINGRIRVRIRRSTSSAPDSALSSSICLTPRASNLSNAEIFSVNTPGGPNNNEIVFCNGDLGFGYRAVSPRLSGYASSDAYSLQPTPRASNFNEMDVITTTTTTGNTPIWMRSPVAGGKVSRQQSPVVPAMKMVWECQGGGGGSDNRQGFKDVGEKEISFRDNTKITVAEVADGKEAVSKQEMPNALVMLRLILIVVGRKLSRNPNTYSSILGLLWSLISFKWNVGMPSLVKYSIKIISDAGLGMAMFSLGLFMALQPRIIACGTKRATMGMVIRFLSGPVIMSTASIAMGLRGPKLHAAIVQAALPQGIVPFVFAREYGLHPDILSTGVIFGMLVSLPVTLVYYILLGI >EOY16295 pep chromosome:Theobroma_cacao_20110822:8:6577285:6580585:-1 gene:TCM_035115 transcript:EOY16295 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein MKFPTKPKQAVSLLLIFMLVLPHASATILSPVVHAQKNCDFPAIFNLGDSNSDTGGYAACFDPPTSPYGDTYFHMPARRFSDGRLMIDFIAETFGLPFLHAYLDSLGTNFSRGINFATAASTIRLPDRIIPNGGFSPFYLDLQYSQFVQFKSRSQLIRERGGLFASLMPKEEYFPKALYTFDIGQNDLGEGFFANLTIEEVNASVPDIVNKFSANIKNIYRLGARSFWIHNTGPIGCLPYILTAFSSAEKDSVGCLKPHNEVAQYFNLKLKEAVTQLRNEFPSAAFTYVDIYSVKYSLFAEPQKHGFELPLVTCCGYGGQYNFSTEVICGGTITVNGTKIFVGSCERPSVRVVWDGIHYTEAANKFVFDQISTGSFSDPPIPLKRACHRTSN >EOY15828 pep chromosome:Theobroma_cacao_20110822:8:4779312:4783410:1 gene:TCM_034783 transcript:EOY15828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein MESQPEKKSSAVSDVGAWAMNVVSSVGIIMANKQLMSSSGYAFGFATTLTGFHFAVTALVGLVSNATGLSASKHVPLWELLWFSIVANMSITGMNLSLMLNSVGFYQISKLSMIPVVCMMEWILHSKQYSREVKMSVLVVVIGVGVCTVTDVKVNAKGFICACVAVLSTSLQQITIGSLQKKYSIGSFELLSKTAPIQALSLLILGPFVDFYLSGKFITNYKMTSGAILFILLSCSLAVFCNVSQYLCIGRFSATSFQVLGHMKTVCVLTLGWLLFDSALTFKNIMGMILAVVGMVIYSWAVEVEKQSNTKPLHPMKNSLTEEEIRLLKEGLENIPVKSVELGDSKV >EOY16093 pep chromosome:Theobroma_cacao_20110822:8:5767405:5768135:-1 gene:TCM_034971 transcript:EOY16093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFRPSESGCLYVLASGYCAGSLSTDPWRLGNLVGSAQMAWLAVKGSLVFSSLLRFGIVQGSFCSVPLFGCSSVL >EOY14692 pep chromosome:Theobroma_cacao_20110822:8:1015794:1019141:-1 gene:TCM_033995 transcript:EOY14692 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase, putative MSVATKLKSSPTPVTEPRAILGPTGNRVRVSDESKRKPEAQKKPQRPKFRVSKSPQSVVQSNVSVDSSCSSDSSSSNSSVKTVSSKKTVKRIGVKPVKAKVAPTADEVVAEPSPVLPEPLKRCDWITPFSDPLYTSLHDKEWGVPVHDDRKLFELLVFSQALAELSWPTILNKRDIFRKLFDNFDPSSIAQFTEKKLLSLKVNGSLLLSEPKLRAVVENAKQMLKVQQEFGSFSSYCWGFVNHKPIRNGFRYVRQVPVKTPKAELISKDMMQRGFRCVGPTVVYSFMQVAGIVNDHLVTCFRYQECNANVKKDIKPEIERLTKDVENTRLSC >EOY17164 pep chromosome:Theobroma_cacao_20110822:8:18153780:18155265:-1 gene:TCM_036326 transcript:EOY17164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRETRESSTSVGRRVMGESLNRRSRKNDNDLLKSKRQLRHDVEVNVVSNEDSRQRNQTTRQEAESTWETSRALDLEFQPRKEAVVEVFSRLEEEDELAS >EOY15470 pep chromosome:Theobroma_cacao_20110822:8:3420583:3425146:1 gene:TCM_034522 transcript:EOY15470 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB geranylgeranyl transferase alpha subunit 1, putative isoform 1 MHGRPRKAPKPEDEAASAAKAAKLRSLQSQFFSFHHSKIYTKEAVELSAKLLEINPESYTAWNYRKLAVEHHLSQPESNPDSVKSILDDELRVVESALRQNFKSYGAWHHRKWILSKGHSSIDHELRLLDKFQKADSRNFHAWNYRRFVAELMNRSEQDELKYTEDMIYRNFSNYSAWHNRSVLLSNLLEKNAEGFLSKEKVLPEEYEFIHQAIFTDPDDQSGWFYHLWLLDQTVKDDSPLLVSSWPAHGSDLFLLGDRCHNGSAFSPFTTLHSDSGSFPVLLYFNQSVEGVSSSTVTVESGFNKNEDLVWKPLSASNSQTAQVWVAHLKFPTSEFHSSVEVSVGHSKGIISSKGFQYSYPSTFSFKVCVQPVNRDSSQASAAENISWREEDFKVHGRQSQESIPIVSFDQLSIRNDHEPTASSWRAEAIAKEIECFRELLSLMDCKIGKLTLARLLMAYDVMALPCANKLVHSEEVLELYNDLMKLDPSHYQYYKDEHSLVLLQQVTSSRESLLKHCFQYKDSVSSSICNPICLRLNNLSLSQMGAFEKLLWVQMLDLSQNELQSIEGLEAMQLLSCLSLRNNKLRSFTALEPLRKLKSLRVLDISYNEIGDHSIDTTRYLCSSPLSHSVGSEWNKDETVISDVALINYWEAFFIFKELSLKQLDIVGNAIADEKFKSILVKVLPTLKWLDGELLD >EOY15471 pep chromosome:Theobroma_cacao_20110822:8:3420583:3425693:1 gene:TCM_034522 transcript:EOY15471 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB geranylgeranyl transferase alpha subunit 1, putative isoform 1 MHGRPRKAPKPEDEAASAAKAAKLRSLQSQFFSFHHSKIYTKEAVELSAKLLEINPESYTAWNYRKLAVEHHLSQPESNPDSVKSILDDELRVVESALRQNFKSYGAWHHRKWILSKGHSSIDHELRLLDKFQKADSRNFHAWNYRRFVAELMNRSEQDELKYTEDMIYRNFSNYSAWHNRSVLLSNLLEKNAEGFLSKEKVLPEEYEFIHQAIFTDPDDQSGWFYHLWLLDQTVKDDSPLLVSSWPAHGSDLFLLGDRCHNGSAFSPFTTLHSDSGSFPVLLYFNQSVEGVSSSTVTVESGFNKNEDLVWKPLSASNSQTAQVWVAHLKFPTSEFHSSVEVSVGHSKGIISSKGFQYSYPSTFSFKVCVQPVNRDSSQASAAENISWREEDFKVHGRQSQESIPIVSFDQLSIRNDHEPTASSWRAEAIAKEIECFRELLSLMDCKIGKLTLARLLMAYDVMALPCANKLVHSEEVLELYNDLMKLDPSHYQYYKDEHSLVLLQQVTSSRESLLKHCFQYKDSVSSSICNPICLRLNNLSLSQMGAFEKLLWVQMLDLSQNELQSIEGLEAMQLLSCLSLRNNKLRSFTALEPLRKLKSLRVLDISYNEIGDHSIDTTRYLCSSPLSHSVGSEWNKDETVISDVALINYWEAFFIFKELSLKQLDIVGNAIADEKFKSILVKVLPTLKWLDGELLD >EOY15234 pep chromosome:Theobroma_cacao_20110822:8:2660333:2663645:1 gene:TCM_034373 transcript:EOY15234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein, putative MAMFTAFMIFSFALGIANCQSLNVTDFGAVGDGKTDDSLAFLKAWKALCAATNTPNPTLEIPSNKTFLLKQVQFSGPCKSKSIHIHVLGNIVAPNNNTWTKCDNGCWLCFSNVAGLTVDGPGQIDGKGAAWWNKTPKECGPAVISFHKCDNLKVHGITSLNSPGNHVSLNGSKDVNISHIQLIAPKDSPNTDGIDISASSSLQISDSFIGTGDDCIAINGSTSNLNITSIACGPGHGISVGSLGKNGKFETVEEVHVRNSTFNGTQNGARIKTWTGGSGFARNISFDGIQLVNVQNPIIIDQHYCPHKTCPREGKSAVKISNVTFSGFQGTSATDVAIKLDCSSIVPCTDITLNNNTITSSTPGKNVTSECNNVRGSSTLTKPIVPCLPPKSRENYYGKWKGRRI >EOY16962 pep chromosome:Theobroma_cacao_20110822:8:15435989:15438493:1 gene:TCM_036040 transcript:EOY16962 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 14 isoform 1 MKRFFLSPFYHVGESHLVFNMMSLLWKGIQLETSMGSSEFASMVIALVGMSQGITLLLAKSLLLFLDYERPYYAQYAVGFSGVLFALKVVLNSQSENYTNVYGLIVPARYGAWAELILIQMFVPGVSFLGHLGGILAGLLYLKMKRSYSGSDPLTAIIRGVCGVLRWPLRFISDMFRLRRGRISGRGTVGGRQTGNVSGIWRCQACTYDNSGWLRTCEMCGTTRTSNTGSSGQASRGSRDLTLEDLRRRRVERFG >EOY16961 pep chromosome:Theobroma_cacao_20110822:8:15435646:15438442:1 gene:TCM_036040 transcript:EOY16961 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 14 isoform 1 MDSGRWGRGRGVSWGMLPLLGLHAVNEYYRLPWKPPVTAGLLAANTLVYLRPSLLDALLPTIDEVWFNPHLILKYKDMKRFFLSPFYHVGESHLVFNMMSLLWKGIQLETSMGSSEFASMVIALVGMSQGITLLLAKSLLLFLDYERPYYAQYAVGFSGVLFALKVVLNSQSENYTNVYGLIVPARYGAWAELILIQMFVPGVSFLGHLGGILAGLLYLKMKRSYSGSDPLTAIIRGVCGVLRWPLRFISDMFRLRRGRISGRGTVGGRQTGNVSGIWRCQACTYDNSGWLRTCEMCGTTRTSNTGSSGQASRGSRDLTLEDLRRRRVERFG >EOY15102 pep chromosome:Theobroma_cacao_20110822:8:2254926:2256875:1 gene:TCM_034276 transcript:EOY15102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF677) [Source:Projected from Arabidopsis thaliana (AT1G20180) TAIR;Acc:AT1G20180] MQSDDDLIHRNFFKTGSVVFKNMSRGRKMIRPELKSGRLQMEGGGNLSGKTNVNEEYEEAFRTKSYVEMWSQVHGQLEKPSFDKLPSSSSIPYQINLSEYLLKPREETLDKIESLNCHHLLLDYFGAGLEACNLCELLLRSIQQTRVYYRKIRRVIKISGGMQGFSDEQCSVILKELAGFASLKNPLSIISTAQFREIHETNVDLFHKLTSKREKIKRQVKSRRISKQIEGLCLVISQTSLVTALLVLAFHSMVGIIAAPGLAACLFGIKKKRRSKSSDQQGLKTSLLESLGAQLDISAKGIYILINDFDTMSRLVWRLHDEIEHRKAIASMCIRNGKVEVLKEVIREFSMHDSSFLEQLQELEQHTKLCFHTINRSRRLVIQEIIDAQP >EOY14743 pep chromosome:Theobroma_cacao_20110822:8:1150507:1152929:1 gene:TCM_034026 transcript:EOY14743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSYSSDPGKKYRTNPTVDLINHKTSEAVCKPNSVPEISRTSIYNTCGATFSRSPSPVVASGNSFQIFKSLRIFVFCHGFTCLDQIRDFFPSFFLVIFPSI >EOY15012 pep chromosome:Theobroma_cacao_20110822:8:1953320:1957055:-1 gene:TCM_046884 transcript:EOY15012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic initiation factor 3 gamma subunit family protein MSENKPQSDSIQNPRVTWEGCSVLLDINDGDRLVFARLSAGSTLKFGNKTCSLQPLIGCPFGSLFQVESGKEKPYLSRYIPSTEEGNAQDEGGCQLKEESRDNRAIIDNNQAQCLTGEDIDAMKRQGATGNEIVEALIANSATFEKKTQFSQEKYRLKKQKKYAPKVLLRRPFSRSICEAYFKKYPARIGFLRVDALSLLLSMANVTANSDVLVVDMVGGLLTGAVAERLGGTGSLCNTYPGGTPYPMEIIRMFNFNSEICKRILRCSPKDLCSAQNGTDEQVGQQKDVSTVESQSNEQTSLSVSTEEVHLSSENNVADLVPENELSTVSKTCKAPKAGDKAPKEAIQLWKENGFSSLIIAAPEQDAWSLVKDLLPLLSYSAPFAIYHQYLQPLATCMHNLQLEKMAIGLQISEPWLREYQVLPSRTHPCMQMSGSGGYILSGTRTFTSQS >EOY14383 pep chromosome:Theobroma_cacao_20110822:8:139015:142877:1 gene:TCM_033781 transcript:EOY14383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKRTLGAFEKSMVPDFVHSGLPLPLFFRSANKLMLFDRPLFCERLLVIVIQIIAHLHPLLLAFHRIYIIFTECLPKGINPRIMKHNGPLKFAVKRTVEVTLNCNADHVDGPRDQIEQKGITNNKKNKYYFGDFVFNRNVKSFCFFASSDVDTTTEAIIIKDGWNLNQYKGSIQSHNLYASPTFSTQYSDKEVSYTISGNLKNRFPFIIGTLECVRRKRTRGNMGLGPFPAIFWAMHAASTKRP >EOY15296 pep chromosome:Theobroma_cacao_20110822:8:2832353:2833205:-1 gene:TCM_034408 transcript:EOY15296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFRWNEIFHDLVLQFHCIHGYDWVKMYGLSFSARIGCLLVSVTNDMIRRGLAFCLEYYLEVMCFYNASFERKQRPLISDMQCSNELLTSTAEAARSKAQEQQLKQQQLTSITEAKTSTRCAANSNELLNLQLAKSPESCSDNRSRQAMHSS >EOY14858 pep chromosome:Theobroma_cacao_20110822:8:1493708:1495530:-1 gene:TCM_034114 transcript:EOY14858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MAFSRVAFGFLVTAFLSATLSLANPGLGFGWGGGVPGGQFGAPSYGLFPQFYQFSCPQADDIVMSVLEKAIAEEPRMAASLLRLHFHDCFVQGCDASVLLDDSATIVSEKNSLPNKNSIRGFEVIDEIKAKLEEACPQTVSCADVLAMAARGSTVLSGGPSWELPLGRRDSKTASLSTSNNNIPPPNSTLQNLITLFQRQGLDEVDLVALSGGHTIGVARCVTFKQRLYNQNGNNLPDETLEKTYYYGLKSVCPKSGGDNNISPLDFGSPVKFDNLYFKLILWGKGLLNSDEVLLTGNGGNTMELVKAYAEDENLFFEQFAKSMIKMGNISPLTGFNGEVRKNCRFVN >EOY17395 pep chromosome:Theobroma_cacao_20110822:8:20010556:20011814:-1 gene:TCM_036556 transcript:EOY17395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCEHDFCSDYIAKYIEAKVLEYNITNINCPALSYDFSLDLISYRLVILNHLFDKWYDLLYSETVLEYYSDRWVVILQVSCIMELICSCLRNHLMGFYYWFCAKTR >EOY14690 pep chromosome:Theobroma_cacao_20110822:8:1011722:1012645:1 gene:TCM_033993 transcript:EOY14690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQPNHGDPLNNDAAALLKANPEKFEANVKKGIRWWVCWRNLFPSMCINIQSVSSRNRLKKVTW >EOY16327 pep chromosome:Theobroma_cacao_20110822:8:6725760:6727276:1 gene:TCM_035145 transcript:EOY16327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFWSFFHIPASKIFFLSFFQVDFFVLCFANSFLSVSCFFFFVLTSRAIEQRCEQLFRGRSFWVSIDAYTEVQVCTLTNPF >EOY15035 pep chromosome:Theobroma_cacao_20110822:8:2023182:2025114:1 gene:TCM_034232 transcript:EOY15035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRVQSKNKGRKATEKSNAAEGSLNLEACSLPNDVQEDILTGLPVKSLFECQPVCEQRESIVEDPSFVDKHFACSLTRPGGSCMLVSFSNVDGKEDEKPEGCHFSWQKIAHVPPFPYPFKSQGVYLNGFIYWLGMSAPGVESLVRFDVASETFQMISLPTGISSILESWRLDDDDNGSSWIKQRFEVPRSHKRLKLPVPLGNVCSGDMLLVADTPSQDYLLVSFPSNRATFRKRKIKITGLPSYIEVNGVKHINFKITSHVESFVNVETRLLCIGYFSILLVV >EOY14679 pep chromosome:Theobroma_cacao_20110822:8:962742:964086:1 gene:TCM_033984 transcript:EOY14679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin-like protein 3 MAIFSRVYLVLFLFNLLSFGTIFASATLFTLQNHCSFTVWPGSLSGNSAALGDGGFALPPGSSAKYQAPPGWSGRFWGRTGCTFDNSGSGKCITGDCDGVLKCTGGGAPPVTLVEFTIAGDSSDKDFYDVSLVDGYNVALGVKAVGGTGDCQYAGCVTDLNTNCPTELRVMDSGSIVACKSACAAFNAPEFCCTGDHATPQTCSPTQYSEMFKNACPTAYSYAYDDASSTRTCSGSDYLITFCPTES >EOY15239 pep chromosome:Theobroma_cacao_20110822:8:2680302:2685118:-1 gene:TCM_034377 transcript:EOY15239 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MDYVAMDNDVAAELIKEKRVTVVVFQKSAVDIAAEVASKNGASHPCTLLFKTVHWTVPEHLLSLAFRGLNRFTELMVHKQGEGFFLWLLALLLSPLSQDGSLFLKKSQSFSFCENGLMVEGEFRRPLLSDIVIFATGYKVDEKLKSIFKSTFYQKCIARSSAPFYGLPRISKMEEEVMEWEKCKRSDAKEIYRRSCVKYCEMEVEIISTQHIKPSSLTPQHLKIFKLSLLDQFIPSPYAPIILFYPTDESTSHLEIPRRLELLKKSLSNTLTSFYPLAGKIRNDLSIECSDQGAYYVEAGVNCRLNEFLNQPDLLFLPRFLPREFILDEPTAGTYVTNIQVNMFECGGIAIGICISHKILDGAALSTFLKAWTATARGCKEAIYPNFIATSLFPTDDLWLRDSSLVMWGSLFRKGKSITRRMIFGTSSIAALKAQATIPGRQCPTRVEAVSAFLWKCTMDASKGKNGFQRPSLLTHLVNLRRRMVQPTENSTGNLLWIASAKSKVENKSDLPDLVGRVREAISRVDGDFLKKLGDDKGKSLMCETLREIGDLVSKEGLDHFGFSSWCKFGFYEADFGWGKPAWVSSFGMENSVYMNLIILVDTRFGDGIEAWVTLDEQDMAILERDEELLRLAMFDPSPLMISKSALN >EOY15426 pep chromosome:Theobroma_cacao_20110822:8:3226693:3228407:-1 gene:TCM_034489 transcript:EOY15426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicarboxylate carrier 2 MGLKGFVEGGVASVVAGCSTHPLDLIKVRMQLQGETSTFSHQLRPAFAFSSSTAAATSIHLPPPPPPRLGPISLAFRIVHSEGLPALFSGVSATVLRQTLYSTTRMGLYDLFKQRWTDPETKTMHLTKKIAAGLIAGGIGAAIGNPADVAMVRMQADGRLPQAQRRNYKSVFDAITRISKQEGAASLWRGSSLTVNRAMIVTASQLATYDQIKEGILERALMEDGMGTHVAASFAAGVVAAVASNPVDVIKTRVMNMKVEPGKALPYNGAIDCLMKTVRREGFRALYKGFLPTVSRQGPFTVVLFVTLEQVRKVLKEF >EOY14581 pep chromosome:Theobroma_cacao_20110822:8:640458:641952:1 gene:TCM_033908 transcript:EOY14581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIALGPKNPIAQSSSLQTGTWIWDYVTESSIRLSIRFTEPLCMLLVPHLEGNQISSYLNVFVNLITNQFPGYTPEPPTTFAKFHYRVPSTVAGFKFAQKVSVPLILGSMSISWHTSLAQRAADGPCCCQWNHHCQRDVGLVNFLLRVDKK >EOY14812 pep chromosome:Theobroma_cacao_20110822:8:1330176:1332528:-1 gene:TCM_034079 transcript:EOY14812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroflavonol-4-reductase MGSDGEMVCMTGASLDHGSSCCSWNAVMSQLEEGEEGSFDDAIQGCTVVFHVATPMDFESKDPENDVIKPTINGVLSIMKACAKAETVRRLVFTSSAGTIDVAEHQKPFYDETCWSGLAFIQAKKMTGWMYVVSKTMAEQAAWKFAKENNIDFVSIIPPLVVGPFIMQSMPPSLITALSPITGTGFSVIFHKGRYICASHHATILDIAKMLREKYPEYNVPTEFKDVDESLKSVEFSSKKLTDLGFEFKCSLEDMFTGAVETCREKGLLPLSNEKIDIK >EOY15090 pep chromosome:Theobroma_cacao_20110822:8:2203136:2203829:1 gene:TCM_034265 transcript:EOY15090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVLSEMSWVSVWGIGMRDLVLIQDFKQSKTTKVDSQVLSFPFCSNLFSGPFAWPYFPPLINPGNGPVSRTCRSVRGRLGCFRIGGRSSNQLAAYDVWIPLGLLTRSGGPRLVKQLSIFGSMASAFRPSIG >EOY15658 pep chromosome:Theobroma_cacao_20110822:8:4167673:4170816:-1 gene:TCM_034656 transcript:EOY15658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA processing protein 1 B, putative MAGSGAGEGPTLIKQLAACDKSTRDRAVRSLLHTWLPSQAEVSDEEMKRLWKGLFYCVWHADKLPAQSDLIEKLSSVLPKLETGLSLQYFSVFLLTMRREWTGIDKLRLDKFYLLIRRFLNCFFVMLKKWSWDLDFTRRSIRVLVDGTFLADDKFQGNGVNYHIASIFLEEIRPFLPVKKEVVEVLLEPFVGIMGKVGDKVLVGKIRSNVFDVFVKMGRRFLELKRSGDEVDEGEDLVVLGTISLLMGFSAKFYELGSSADCCQGNRKVVLGLHEEFLKLEKDLTLLGIDVSIPEPNEGGEEDEVPELIPIASEMEVDDSDGVSEPAEVNANGSAKKALKKSKKAKKATRGSGKKTKKCKNAECSPADQENDVMVPAESANSSIEQNGDGHSITFTESVISNLQLQFEKVAAEVGLDGDVASACDLPKVNGAASKKRKRVKSVDGQKSQNGELTNQGDGEGGGTAKTGEKSTKRVRFSMKNNLVWKPHSPLPPQSLRLPPSVTPRGSALKKGIPPGPITEVPPLTKKVKKAKSVKKARKVIKSMYPLVKRTKKLKSVSS >EOY14684 pep chromosome:Theobroma_cacao_20110822:8:981096:985772:-1 gene:TCM_033987 transcript:EOY14684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKEKKKGSFSIWIYGLKEFYSEKICAMSTRKHHQSPGKNPSHGVNHGLETMDTKKFFSFASCKLLTKNPKAKMLVLFVRDMLPGTLADVFLL >EOY17259 pep chromosome:Theobroma_cacao_20110822:8:18740802:18741978:-1 gene:TCM_036405 transcript:EOY17259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Breast basic conserved 1, putative MALWLGNSRFCFRNGFGQNNQQKQKIGPTEDCMAIRYGVAIRASKGLTLEELKAAGISKKLAPTIGIAVDHRCMNRSLEGLQAKVQRLKTYKAKLVVFPRRARKFKAGDSTAEALANAKVQEAFC >EOY14475 pep chromosome:Theobroma_cacao_20110822:8:419367:419986:1 gene:TCM_033845 transcript:EOY14475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSLVIPSLISYRCLNLDSTSKHFNNDKSHQGKNQRLQITLMEADIEQLIKFHFIQIKAHFWGSLH >EOY14644 pep chromosome:Theobroma_cacao_20110822:8:851425:853749:1 gene:TCM_033957 transcript:EOY14644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MHIMLSLLKDAAELHSHNADMINKATCLAIILGSIEATAATTVWAFSLLLNNRNALKKAQHELDTHIGRERHMQESDIKNLVYLRAIIKETMRLYPGAPLSIPRESIEECTTGGYSIPAGTRLIINLAKLQRDLNVWSKADEFQPERFLTTHKHVEVKGQNYELIPFGSGRRICSGVSLALQIMHFTVGNLLHAFEITTPSDEPMT >EOY15687 pep chromosome:Theobroma_cacao_20110822:8:4251699:4261642:1 gene:TCM_047073 transcript:EOY15687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 19, putative MFRIEIAKVFTESQYAFAASRSLTKFLPGFEGPLPFELETGYVGVGESEDVQLFYYFIQSERNPEEDPLLLWWTGGPGCSSFSALFYGNCIGLNNAGPLNFKVDVEYNGSLPTVLLNPYSWTKTSSIIFIDSPVGTGFSYARNNLAKQTGDFKQVHQLHQFLRKWLIDYPNFFSRPVYIGGDSFSGLILPILVQEISYGNEEGIKPLIDLQSLKRTCEGEYHNVDPSNEDCQKDLQYYDKCVSRIQIAQILEPRCAEASPMPLEMLARRRYLDEHHQELLDPFPPPTVGCRTYSYLLAQYWVNDDDVQKALHIRKVEIDWKHRTMAEVQLFYTLYKRGSKQFSVSRKPQCQSLPFFSIQDSGDHDMIVPFLATQAWIRALNYPIVDDWRPWMLQGQVAGVSDDSDYTRTHSNRMTFATIKGGGHTAEYTPKECFAMFKRWINGEPL >EOY16299 pep chromosome:Theobroma_cacao_20110822:8:6595076:6601653:1 gene:TCM_035120 transcript:EOY16299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein, putative isoform 2 MEKRKWLWKRKSSERSPGETESSGSLSSHSERYSDDQEAFKASPNNNAQSPEVSSKASANCEDVNDSIKRLTEKLSAALVNVSAKEDLVKQHAKVAEEAIAGWEKAENEVVLLKQKLEAAVQQNSALEDRVSHLDGALKECVRQLRQAREEQEQKINEAVAKTTRDWETTKFELESQFLELQDKAEAVKSEPPPHFSPDLWHKIEALEKENSALKLELSSQSEEFEIRTIERDLSTQAAETASKQHLESIKKVAKLEAECRRLKAIACKSSLVNDHKSPAASSIYVESVTDSQSDSGERLNVVEIDTHKMSGLEANKGEPSCSDSWASALIAELDQFKNEKVISRNLPSSSIEIDLMDDFLEMERLAALPEIKSENQFLESKATARQSNDGDSSLKAELEAMIHRTAELEQKLEKIELEKAELEIALAKSQESLEASALQLRDTETKLEELEREFHMANEAKQHLESQLSSMETDAETMSSKIDSLKAEIEKEMALSAEISVNATESKQLLESQLISIEAEARTMSAKIDSLETEVEKERALSAQITVKCQELEEELLRKRQEAELQQTANSNVEVKIKQEDLAVAAGKLAECQKTIASLGQQLKSLATLEDFLIDTTSIPEFSRGGSLVSKAGGEPWKLHSNETYSPKRDPDSPRVNADHSGPSVNKNDGNTPPSSSSSSSIVSSNHASSEKNRNGFAKFFTRSKNGIQLEI >EOY16300 pep chromosome:Theobroma_cacao_20110822:8:6595239:6600441:1 gene:TCM_035120 transcript:EOY16300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein, putative isoform 2 MEKRKWLWKRKSSERSPGETESSGSLSSHSERYSDDQEAFKASPNNNAQSPEVSSKASANCEDVNDSIKRLTEKLSAALVNVSAKEDLVKQHAKVAEEAIAGWEKAENEVVLLKQKLEAAVQQNSALEDRVSHLDGALKECVRQLRQAREEQEQKINEAVAKTTRDWETTKFELESQFLELQDKAEAVKSEPPPHFSPDLWHKIEALEKENSALKLELSSQSEEFEIRTIERDLSTQAAETASKQHLESIKKVAKLEAECRRLKAIACKSSLVNDHKSPAASSIYVESVTDSQSDSGERLNVVEIDTHKMSGLEANKGEPSCSDSWASALIAELDQFKNEKVISRNLPSSSIEIDLMDDFLEMERLAALPEIKSENQFLESKATARQSNDGDSSLKAELEAMIHRTAELEQKLEKIELEKAELEIALAKSQESLEASALQLRDTETKLEELEREFHMANEAKQHLESQLSSMETDAETMSSKIDSLKAEIEKEMALSAEISVNATESKQLLESQLISIEAEARTMSAKIDSLETEVEKERALSAQITVKCQELEEELLRKRQEAELQQTANSNVEVKIKQ >EOY14601 pep chromosome:Theobroma_cacao_20110822:8:696038:700672:1 gene:TCM_033923 transcript:EOY14601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter 1:2, putative MAFIANAVSLVTYFMGYMNFSLTKSANAVTNFMGTSFILALLGGLIADTYLTRFTTCVLFGFLELLGYALLTIQAHFDQLRPTPCTDVSKQCEAAKSGQAAILYTGLYLVALGTSGVKAALPLLGADQFDRNDPKEAAQLSSFFNWFVFSLTGGSIAGVTFVVWISSNKGWDWAFGVCGLAVLLAIMSVCIGKPFYRDNAPRGSPIIRILQVFVAAIRNQDLPKPKKEDELHEIYDKGTVVMPTEILQRTNEFRFLDRAAVSRIPWDESAVTPGPWRLSTVTQVEETKILLRMLPIVLSTVFINTSLAQLQTFSIQQSMTMDTHILGFKIPAPSLPVIPLGFNFIFIAIYDRIFVPIARRITGIPTGIRYLQRIGVGLVLSTISMAIAGVMETRRKSVAVRHNMVDSTEPLPMSVFWLGFQYAVFGLADMFTLVGLLEFFHAESSDGMKGTSTAISWFSLAFGYYISSVVVEVVNKASGGWLASNNLNRDKLNYFYWLLSALSAVNFVIYLVCASWYKYKNVEMKREENPSAGNTMD >EOY14941 pep chromosome:Theobroma_cacao_20110822:8:1725517:1728553:1 gene:TCM_034166 transcript:EOY14941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxal oxidase-related protein MTPTFIFSILLFQLLFASQPYHLILISAADGRWQLLQKSIGVSAMHMQLLRNDRVVMFDRTDFGKSNLPLPNGKCRTDPNDTALKVDCTAHSVEYDVLTNKFRALMVQTDVWCSSGAIMPDGNLVQTGGFNDGERRVRVFSPCSTCDWQEIPNGLAAKRWYATNHILPDGRQIVVGGRRQFNYEFVPKNVAANTFNLPFLSETNDRGVENNLYPFVFLNVDGNLFIFANNRAILLDYVNNKVVKTYPTIPGGDPRSYPSTGSAVLLPLKNLKAPAIQAEVLVCGGAPKGSYLEALRGKFIAALKTCARMTITDPNPQWVMETMPMARVMSDMILLPNGKVLIINGAGSGSAGWELGRNPVLSPALYLPDNKIGSRFQAQTPTTIPRMYHSTAVLLRDGRVLVGGSNPHTFYNFTGVLFPTELSLEAFSPAYLDAKFNNLRPTIVAPKSSSEIQYGKKLTVQVLITGKVAPNLVSVTMVAPSFTTHSFSMNQRVLVLGNDKVTALEKSTYNIDVTTPRSANLAPAGFYLLFVVHQDIPSQGIWVKLR >EOY16485 pep chromosome:Theobroma_cacao_20110822:8:7553583:7631941:1 gene:TCM_035261 transcript:EOY16485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated kinase 2, putative MDTHKRLAVGTLVWLVLASTATTTAIAQVLAKPGCPAYCGNLSIPYPFGTREGCFLNENFRITCNDSANSSTAFLGYTNFVVTNISMEGRLQILATVARDCYKASGVLVIPRVQSRFPLSIFNVSNTRNKFTAVGCDTYAYLHGFVGNKSYSAGCMSLCDRIEDVVDGSCAGFGCCQIQIPGGLKNIDVIAYSFKNHTKVSDFNLCSYAFVVEESQFEFSSDHVRSIPEDYKFPVSLDWVVGNETCEEAENTLNFTCNRSECYEAGIGLGYLCKCLDGYEGNPYLPEGCQDIDECKILHPCHQSAECYNSPGSFKCICSGGFEGDGKRNGTGCSFVHKTKEIPFVNIALGVSISILVLVLSLSWIYWGLWQRKLIRQREKFFQRNGGIILQQELSKHKGPVAAKIFTAEELKKATNNYHESRILGQGGHGTVYKGLLQDNRVVAIKKSMIADHSQVDQFINEVVVLSLVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYHHLHNSGLASFVPWETRLRIAAETAGALSYLHSAAYPPIIHRDVKSTNILLDEHYAAKVSDFGASRLVPLDQTQLTTLVQGTLGYLDPEYFQSSQLTEKSDVYSFGVVLVELLTGRKALCFQMPEEERNLAMHFVSALKKDRLFKIIDHHVLLEENTEQLKEVAMLAKRCLRVRGEERPSMKEVAIELEGLRATAKHPWVKHDVNLKETDYLLGELSGANAQGTGTNLSGHDSIRQQIILHGRHELGELNLRIRPLHQFGWWAGAARPRGHCPLCFYLEPKR >EOY17084 pep chromosome:Theobroma_cacao_20110822:8:17560940:17562358:-1 gene:TCM_036247 transcript:EOY17084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATHLQLQPDHSLHQSTMTELLLSPTHDDLDHEYLYFDHSTSTWVPPGYRFAPTDDQLILHFLSNKVKEEPLPSEVFTDCEIYGHQDKEPWKIFSMTSPRKFYVFTNLKNKVKGDGANNVNGHWLMDEYSLLTQSDYAFCAIRNKNATKSIAEEVGYDHVEGIEAMLEELEDCIGQVQTLMSEQVQATNTCINSQHTKRGLETEQDETQQKRMRFNNSAQDKQTCFTGAAIPVSTLASDKSLGEEGMTAEKFEQEWVLEGFEDQNLDELLEATNAQE >EOY17156 pep chromosome:Theobroma_cacao_20110822:8:18105831:18107013:-1 gene:TCM_036321 transcript:EOY17156 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein, putative MVDLYIRQDKKTDKPKGFAFVEYDIEEIAEYAISGQDKASQNHPNAAMPTSNSSHKLRHYPGTLNHMEISQQPMRLSTPCRIPDNPLHYSQVLPPPGVSHHSNEYGSHFNGTNYEYSRRFFGATLDSIGHLRSRRYDTSDLVSFPYY >EOY15567 pep chromosome:Theobroma_cacao_20110822:8:3767441:3771336:-1 gene:TCM_034582 transcript:EOY15567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA reductase family protein MGVWIKRVFHRPIRIQKTKSQILSPSASPNPFAQSPVSKFYKAMAVSSTFSGAKLESLLVNSCSSSSASSLRAYFPCQQQMKAFYKPNRGRKGTVQRGGGVRCEVAQSQAALDGEKIDPSKASALSALEQLKTSAADRYTKERSSIVVIGLSVHTAPVEMREKLAIPEAEWPRAIGELCGLNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKTSGIPVSEICEHRFLLYNKDATQHLFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVVGFGRNISGLFKHAITVGKRVRTETNIAAGAVSVSSAAVELALMKLPEPSHATARMLVIGAGKMGKLVIKHLVAKGCTKMLVVNRSEEKVAAMREEMKGVEIIYRPLTDMLACAAEADVVFTSTASETPLFLKEHVKDLPPVSSEVGGLRLFIDISVPRNVGSCVTDVEGARVYNVDDLKEVVAANKEDRLRKAMEAQAIITEESKQFEAWRDSLETVPTIKKLRAYAERIRAAELEKCLSKMGEDIPKKTRRVVDDLSRGIVNKLLHGPMQHLRCDGSDSRTLNETLENMHALNRMFDLESDISIFEQKIRAKVEQSQK >EOY17006 pep chromosome:Theobroma_cacao_20110822:8:16476407:16489060:1 gene:TCM_036134 transcript:EOY17006 gene_biotype:protein_coding transcript_biotype:protein_coding description:YUP8H12.11 protein, putative MWGIEGGEIIKSHFMPRQMRGCVFLKSMPPNATSRDDNSTLPPICISEDTSRFRYTYRGGLRSAIRVARLILETVVLNHSNVRWYVFGDDDTVFFPENLAKTLSKYDYRLCYYVGAGSEIYEQKKVFGFGMAFGGAGFAISYPLAKVLAKVLDFCIDRYPHLYGSDSRVYSA >EOY17225 pep chromosome:Theobroma_cacao_20110822:8:18535430:18536389:1 gene:TCM_036379 transcript:EOY17225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNPSATKSGRNQMDPKECDTIVPAGTKYPSSRQTPSFSSSSSLSSSSSSLGSSYFPDDWPRSPATPLRFKGVPFSWEHLPGIPKKLQSHKKKESIKLLPLPPPATSPTSKKFNFEDMLTRKKASSAASESFRKDPFFAALVECSKDDHDHDQESASSFWTGAKVTRSISDRFGFINLYASCKKTCAVSESIVYLPRSSRTANYGLINRRSRLN >EOY15023 pep chromosome:Theobroma_cacao_20110822:8:1992671:1994121:-1 gene:TCM_034222 transcript:EOY15023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESVGSRSVFKVVVLSFLLVLVVAKDDLLGHSILSDQNPSAPESLSHPEIIRYPPGTLMPPLTMHFKDRCTLLCADLYLSPLYKPCYNVCEIRACIRLY >EOY15685 pep chromosome:Theobroma_cacao_20110822:8:4240893:4245422:1 gene:TCM_047071 transcript:EOY15685 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-type peptidyl-prolyl cis-trans isomerase family protein MGAEKWKGTNVSDIEGEDDLDEEPGEVIESAPPLKVGEERELGSSGIKKKLLKNGISWETPEFGDEVTVHYVGTLLDGTKFCSTRDKDEPLTFKLGEGLVAKGLDHGIVTMKKGECALFTLPPDFGYGAEGRDGVPPDSVIQYEMELLSWITVVDISKDGGIIKKIMKKGERNEWPSDLDEVLVKYQMALVDGTIVAKTPAEGNEFYVKDGHLCSALTKAILTMKRGEKVTLIVQPKYAFGEKGRDATDGFPAVPPNSVLNIELELVSFKSVIDVTGDSKVFKKILKEGEGAVVANEGAAVTISYTARLEDNTVFEKKGVDGEQPLEFITDEEQVIPGLDRAAATMKKGERALLTISPEYGFGSVVAECDLAVVPPCANLFYEVEMLDFVKEKAPWELNSQEKIEAAGKKKEEGNMLFKSGKYQRAGKKYDKAVDYVSEDGPFGDDEQKLVKALRISCWLNGAACSLKLSDFQGAIKLCSKVLDFEFHNVKALYRRAQAYMETSDLVLAELDIKKALEADPQNREVKLLQKTLRQLQVESNMRDAKLFTNMFARMSKDSSVPMKKLKVQKAVHEKSEEVLAMEMECAGDSSAPSEDRMAVDSS >EOY16685 pep chromosome:Theobroma_cacao_20110822:8:9733625:9736927:-1 gene:TCM_035522 transcript:EOY16685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMKILCYWTILSTVKDLGYDLGKAMKVCYFKDSKSLNTGLKLLGDYSNDAKLVDQLRKRRTLDIYVEQLDCVNDMNLPIALLDSIEVGESINELDEDVVVTGTKSNDDNGSPTPSLEDFNSVTIQNSHETRDNCR >EOY17165 pep chromosome:Theobroma_cacao_20110822:8:18156732:18157937:-1 gene:TCM_036327 transcript:EOY17165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKEKKNVVTGGDKAKERYKAVGKDKAKKEKECEVITIAAKDLDLLNCLSILITFGNKEEMEVFIQDYFDLFERRFLSVVPYKEQMNQRKYRVWVKLEEFQFMLGMMKSLNSLGKDGETVEGLEEEITTEDSGRDDGGEIPELRPTKVDELDSKKFGTDGHGNTNHALQEKHENGQIAASSSHAW >EOY16993 pep chromosome:Theobroma_cacao_20110822:8:15936212:15936872:1 gene:TCM_036093 transcript:EOY16993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVLLFHGGRRNGVRLDVITKGIREGEKARAKRNYYELLGVSADSNQCFPPFDWKSWIKGHEYTLMLNEVYQVLIKDDMRRDYDASIGPIKVQFGKTVSGYSSWKGLLRPQAIFVKPDFKKYLPCPSCD >EOY15996 pep chromosome:Theobroma_cacao_20110822:8:5334473:5336261:1 gene:TCM_034898 transcript:EOY15996 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOD26-like intrinsic protein 1,2 isoform 2 MEVVSPKLSPTKHTIMEVVSPKLPLPTKYSIMEEAKASRSREFHINHDIPPSNLQKTVAELVGTYFLIFAGCAAALVNEVQTLTIVGIAIVWGLVLMAAIYALGHISGAHFNPAVTLALAAARKFSWKLVVPMYLLAQLLGAALASLTLRVLFHDQGGIQATMTQYKDSTSDLEAITWEFIITFILMFNICAVATDHRASRDLAGVAIGATLLFNVIIAGANHRSFDEPCKKFRPCCCLWCLQKPLGLYCSSYSWSNGCNFGVQYTSSTETRKT >EOY15995 pep chromosome:Theobroma_cacao_20110822:8:5334435:5336558:1 gene:TCM_034898 transcript:EOY15995 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOD26-like intrinsic protein 1,2 isoform 2 MANTPSISEEFSPKLSPTKHTIMEVVSPKLSPTKHTIMEVVSPKLPLPTKYSIMEEAKASRSREFHINHDIPPSNLQKTVAELVGTYFLIFAGCAAALVNEVQTLTIVGIAIVWGLVLMAAIYALGHISGAHFNPAVTLALAAARKFSWKLVPMYLLAQLLGAALASLTLRVLFHDQGGIQATMTQYKDSTSDLEAITWEFIITFILMFNICAVATDHRASRDLAGVAIGATLLFNVIIAGPITGASMNPARSLGPAVVSGVYKNLWVFIVAPILGAMAATLVYSILRVPKPEKPDESTKSMYNELYIHPEV >EOY16198 pep chromosome:Theobroma_cacao_20110822:8:6163142:6165200:1 gene:TCM_035039 transcript:EOY16198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ASHR1 METEKVVAFEMKAEIVKQMLQQPRFNLDEAVNTFCKISCNAHAIVDIDMEPLGTGLYPVLSIINHSCLPNAVLVFEGKLAVLRALLPVGKGDEVLISYIDLCQTTRDRWDDLNAKYHFICSCRRCCKFDKIDDQILDALRCKHGKCDGFLVDKQGFYSRDLKLECSKCGHVRTSEAEKRAKKKLEPLLDRAGSYLDNLNYEGALSTYKKIEAATLDLFHPSSLSVMLVRKLLTKLHMETGDIQAALECCRLITPVRERLLQRNDPVLGRHYYLRGKLEGVKGDKEDGRDYLIRARDILEITHGTNFPLVTELLHCERILGHLGQGTSGRP >EOY16724 pep chromosome:Theobroma_cacao_20110822:8:10574579:10575345:-1 gene:TCM_035601 transcript:EOY16724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMEMYNSVLSQKYGEDSSSQPEFDSNAWTEAIGGIKTARTHVYGFGTRVPATALLTGTHSIVATSEFACGPINSNATSPVIALEEKVKNLLENLG >EOY16723 pep chromosome:Theobroma_cacao_20110822:8:10557355:10574574:1 gene:TCM_035599 transcript:EOY16723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFVSPLRLFTGSMMIIESMRLTPFLFSLLQTGIGLACNHWYVRSTADSDLTDISSKACSGIGESSPEVLKAGSDRERVVTLTN >EOY15905 pep chromosome:Theobroma_cacao_20110822:8:4973997:4975274:-1 gene:TCM_034826 transcript:EOY15905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MQQLQLLLGYLLLFQFLLFFFFFSLFPFLSLRGITSNYITYQGCGLCFRFSSTSSPFSFFYRGRLTCSVMIVLDILHDRVKLETPKFCTFANLSSEVLHFTARLDSVPHITLICKE >EOY15904 pep chromosome:Theobroma_cacao_20110822:8:4973914:4975633:-1 gene:TCM_034826 transcript:EOY15904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MQQLQLLLGYLLLFQFLLFFFFFSLFPFLSLRGITSNYITYQGCGLCFRFSSTSSPFSFFYRGRLTCSVMIVLDILHDRVKLETPKFCTFANLSSEVLHFTARLDSVPHITLICKE >EOY15228 pep chromosome:Theobroma_cacao_20110822:8:2644712:2649552:-1 gene:TCM_034369 transcript:EOY15228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MRRVRIPLRSVADTLCKSKSREHGRRNVNGRLELCRTVATINGHVFLGYGEESRTKTLQLQIVDALRLGERSRASRLLSDLGDGNQPLKADDIVYILNYCAKSPDPLFFMETWRLIEEKEIGLNNKCYLLMVQALCRGGYLEEACNLIKFLGENRGIYPFLSIYNSFLGACAKMQTAVHANQCLDLMERQRVGKNEITYSELLKLAVWQQNLSAVHEIWKDYIKHYSLNIISLRKFIWSFTRLKDLKSAYETLQHMVALAISGKIFVSRTGEGRLYSSRLDIPIPSKGELGSQKVELGENEQDFALKFDTDASNVEICKSVSATVGMLNNYKRMPVMKVLRWSFSDVIHACAQARDYKLAEHLMVQMQNLGLQPSSHTYDGFMRAVIPTRGFSAGMEMLKVMEERNMKPYASTFAALSVQCSKALELDLAEALLDQVCECPHPYPYNAFLGACDTMDQPERAIRLLAKMRQRKLQPDIRTYELLFSLFGNVNAPYEEGDMLSQVDSSKRIKAIEMDMAKNGVQHSHLSMKNLLKALGAEGMTRELLHYLHIAEKLFCHTNTYMGAPIYNTVLHSLIEAEESEMAIEIFKNMKSCGLQPCAATYNIMIDCCSAMRCFKSACALVSMMVRDGFYPETMTYTSLIKILLEYENFDEAINLLDQASLE >EOY15227 pep chromosome:Theobroma_cacao_20110822:8:2641836:2649643:-1 gene:TCM_034369 transcript:EOY15227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MRRVRIPLRSVADTLCKSKSREHGRRNVNGRLELCRTVATINGHVFLGYGEESRTKTLQLQIVDALRLGERSRASRLLSDLGDGNQPLKADDIVYILNYCAKSPDPLFFMETWRLIEEKEIGLNNKCYLLMVQALCRGGYLEEACNLIKFLGENRGIYPFLSIYNSFLGACAKMQTAVHANQCLDLMERQRVGKNEITYSELLKLAVWQQNLSAVHEIWKDYIKHYSLNIISLRKFIWSFTRLKDLKSAYETLQHMVALAISGKIFVSRTGEGRLYSSRLDIPIPSKGELGSQKVELGENEQDFALKFDTDASNVEICKSVSATVGMLNNYKRMPVMKVLRWSFSDVIHACAQARDYKLAEHLMVQMQNLGLQPSSHTYDGFMRAVIPTRGFSAGMEMLKVMEERNMKPYASTFAALSVQCSKALELDLAEALLDQVCECPHPYPYNAFLGACDTMDQPERAIRLLAKMRQRKLQPDIRTYELLFSLFGNVNAPYEEGDMLSQVDSSKRIKAIEMDMAKNGVQHSHLSMKNLLKALGAEGMTRELLHYLHIAEKLFCHTNTYMGAPIYNTVLHSLIEAEESEMAIEIFKNMKSCGLQPCAATYNIMIDCCSAMRCFKSACALVSMMVRDGFYPETMTYTSLIKDIVYAYHASISRVFNNLYLVLLIDIGFGFFVDECQGRIDIMEFILEQMHQDKVQPDPSTCYYVFSAYVDRGFHNTAMEALQVLSMWMISNEDSTLEEKKRQFEDDVVLSEDLEAESRILQFFKDSEEHLAVALLNLRWCAMLGFPISWSPNQSGWVRRLKTNYDTARSTVL >EOY15122 pep chromosome:Theobroma_cacao_20110822:8:2306516:2311310:1 gene:TCM_034289 transcript:EOY15122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 29 MGLCQSQGFCLRKSGTHEIPISSSSDSSPRPYQPLPKAAREEFNPPRQKPASENQNPSSSQIGSILLKPYVDITTFYDLDKELGRGRFGITYLCTEKATGRKYACKSISRRKLTSDKYIKVVRREILILQHLTGQPNIVEFKGAYEDRHNLHLVMELCSGGELFDRIIAKGTYSERQAASIGRQIVKVVHDCHFMGVMHRDLKPENFLLVSKDENSPIKATGFGLSVFIEEGKWYRDLVGSAYYVAPEVFNRKYGKEIDVWSAGVILYILLSGVPPFWGETEEKILKAVLEGNLDLKSQPWPSISDAAKDLLRKMLARDPQRRITASQALEHPWMKEGGDTSDKPVGSAVLSRLKQFRVMNKLKKLALKVIAENLSSEEEVKGLKYMFNNFDTDGSGTITLEELRVGLARLGSKLTEAETQQLMDAADVDKNGIVDYIEFITATMHRHWLEREDNIYKAFQFFDKDNSGFITRDELRHAMTEYGMGDEATIDEVIEDVDIDKDGRINYEEFVAMMRRGTQDGDGKDR >EOY16531 pep chromosome:Theobroma_cacao_20110822:8:8120698:8124307:1 gene:TCM_035329 transcript:EOY16531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein with leucine-rich repeat domain, putative MLRSLSNLKLSYNNFIGPIPTSIGNLINLSIPYLNNNKLSGSISKETGLLRSLFDLELSENNFIGPIPASIGNFLNLSFLSLYNNKLSNSIPKEIGLLRSLSDLELSNNNFTGPIPTSMGNLTNLSVFYLYNNKLSSSIPQEIGLLRSLSYLDLSENKFTGPIPTSIGNLVNLIPLHLHINILSGSILEEIGMLRFLSNLKLSYNNLTSLIPTSIGNLINLLS >EOY16612 pep chromosome:Theobroma_cacao_20110822:8:8975305:8978804:-1 gene:TCM_035436 transcript:EOY16612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSEIYFFYFLKLKQCLYLFIFHFLLPLSLHPIPFSLLFLSNSEFGGLLPKFRSRVVVIINFADGRVPLADAKNVRNSFSRPFFSLVPLKPRIR >EOY16968 pep chromosome:Theobroma_cacao_20110822:8:15469712:15474023:-1 gene:TCM_036049 transcript:EOY16968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MSLIIPAAHLNRMLRSFFPLSSKSRPSGSLTISLFSTTTSTAALLQKCKSLVQAKLIHQQLLIQGLSHHFATHLISAYLTHHASSHSISLLQRFTPSPSAVFFWNSLIRRSLHLGFSHDVLTLFRRMLSLGCSPDHYTFPFVLKACGQLPSFRRGAAVHAVVCTTGFESNVFVCNALVGMYARCGGLDDARQVFDEMCDRGICDVVSWNSIVAAYMQSRDARNAVELFRRMTCYWEIHPDVVSLVNVLPACASLAASLHGKQLHGFALRVGLFEDVFVGNALVDMYAKCGMMDDANKVFERMKVKDVVSWNAMVTGYSQIGRFEEALGLFEKMREEKVELDVVTWSAVIAGYAQRDHGNEALDVFRQMQLCGCKPNVVTLVSLLSACALIEALVQGKETHCYAIKCVLNYDWNDPGEDLMVINGLIDMYAKCKSTNVAHSMFDIVAPSNRNVVTWTVMIGGYAQHGEANDALKLFSEMFQEDKSAKPNTFTICCALMACAHLAALRFGTQIHAYILRNQYESVLLFMENCLIDMYVKSGDIHAARVVFDNMQQRNSVSWTSLLTGYGMHGYGKEAIKVFDEMRAEGLVPDGITFLVVLYACSHSGMVDQGIRFFNNMHSEFGVIPGLEHYACMVDLLGRAGRLGEALKLIQSMPMEPTAIIWVALLSGCRIHGNVELGEYAANQLQELDSVNDGSYTLLSNIYANARRWRDVARIRTLMKHSGVKKRPGWSWVQGKKGTATFYVGDRCHPQFEQIYELLADLIQRIKAIGYVPETNFALHDVDDEEKGDLLFEHSEKLALAYGILTSSPGVPIRITKNLRICGDCHNAITYISLIIDHEIIIRDSSRFHHFKNGSCSCGGYW >EOY16969 pep chromosome:Theobroma_cacao_20110822:8:15469258:15473694:-1 gene:TCM_036049 transcript:EOY16969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MLRSFFPLSSKSRPSGSLTISLFSTTTSTAALLQKCKSLVQAKLIHQQLLIQGLSHHFATHLISAYLTHHASSHSISLLQRFTPSPSAVFFWNSLIRRSLHLGFSHDVLTLFRRMLSLGCSPDHYTFPFVLKACGQLPSFRRGAAVHAVVCTTGFESNVFVCNALVGMYARCGGLDDARQVFDEMCDRGICDVVSWNSIVAAYMQSRDARNAVELFRRMTCYWEIHPDVVSLVNVLPACASLAASLHGKQLHGFALRVGLFEDVFVGNALVDMYAKCGMMDDANKVFERMKVKDVVSWNAMVTGYSQIGRFEEALGLFEKMREEKVELDVVTWSAVIAGYAQRDHGNEALDVFRQMQLCGCKPNVVTLVSLLSACALIEALVQGKETHCYAIKCVLNYDWNDPGEDLMVINGLIDMYAKCKSTNVAHSMFDIVAPSNRNVVTWTVMIGGYAQHGEANDALKLFSEMFQEDKSAKPNTFTICCALMACAHLAALRFGTQIHAYILRNQYESVLLFMENCLIDMYVKSGDIHAARVVFDNMQQRNSVSWTSLLTGYGMHGYGKEAIKVFDEMRAEGLVPDGITFLVVLYACSHSGMVDQGIRFFNNMHSEFGVIPGLEHYACMVDLLGRAGRLGEALKLIQSMPMEPTAIIWVALLSGCRIHGNVELGEYAANQLQELDSVNDGSYTLLSNIYANARRWRDVARIRTLMKHSGVKKRPGWSWVQGKKGTATFYVGDRCHPQFEQIYELLADLIQRIKAIGYVPETNFALHDVDDEEKGDLLFEHSEKLALAYGILTSSPGVPIRITKNLRICGDCHNAITYISLIIDHEIIIRDSSRFHHFKNGSCSCGGYW >EOY17340 pep chromosome:Theobroma_cacao_20110822:8:19532131:19535450:1 gene:TCM_036500 transcript:EOY17340 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein isoform 2 MAEETQKPEAAAAAAPADEVVVEKPEVTEKEPPPPAPEPEPEPEAPEKPAAAVVEEKEAEVEAVEVKKPKVVKKETKITQSVSFKEETNIVGELPESQKKALEELKQLIQEALNKHEFTAPPPSPTPPPKQEEKPVAEEKKEEEKKEEKEEEKPAAETSEEPKVETEGKSEASEKVETEEKSEASEKVETETPAPVEVKEEEKTPPPAEAPTETVVVTEVVEKVTAVDDDGAKTVEAIEESVVAVSAPPPAEKQEEEASASKEAETAPPSEEPKEAEVPPPPPEEVSIWGIPLLADERSDVILLKFLRARDFKVKDAFTMIKNTARWRKEFGIEGLLDEELGHELEKVVFMHGFDKEGHPVCYNVYGEFQNKELYQNAFADEEKRSKFLRWRIQFLEKSIRKLDFSPSGICTIVQVNDLKNSPGPGKKELRQATNQALNLLQDNYPEFVAKQVFINVPWWYRAFNMMISPFLTQRTKSKFVFAGPAKSAETLFRYIAPEQVPVQYGGLSREGEQEFTVADAVTEVTIKPSTKHTVEFPITEQKCNLVWELRVVGWDVNYGAEFVPSAEDGYTVIVSKTRKVTTADEAVISDSFKTGDPGKVVLTVDNQTSKKKKLLYRSKTKPYSD >EOY17339 pep chromosome:Theobroma_cacao_20110822:8:19532330:19535450:1 gene:TCM_036500 transcript:EOY17339 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein isoform 2 MAEETQKPEAAAAAAPADEVVVEKPEVTEKEPPPPAPEPEPEPEAPEKPAAAVVEEKEAEVEAVEVKKPKVVKKETKITQSVSFKEETNIVGELPESQKKALEELKQLIQEALNKHEFTAPPPSPTPPPKQEEKPVAEEKKEEEKKEEKEEEKPAAETSEEPKVETEGKSEASEKVETEEKSEASEKVETETPAPVEVKEEEKTPPPAEAPTETVVVTEVVEKVTAVDDDGAKTVEAIEESVVAVSAPPPAEKQEEEASASKEAETAPPSEEPKEAEVPPPPPEEVSIWGIPLLADERSDVILLKFLRARDFKVKDAFTMIKNTARWRKEFGIEGLLDEELGHELEKVVFMHGFDKEGHPVCYNVYGEFQNKELYQNAFADEEKRSKFLRWRIQFLEKSIRKLDFSPSGICTIVQVNDLKNSPGPGKKELRQATNQALNLLQDNYPEFVAKQVFINVPWWYRAFNMMISPFLTQRTKSKFVFAGPAKSAETLFRYIAPEQVPVQYGGLSREGEQEFTVADAVTEVTIKPSTKHTVEFPITEKCNLVWELRVVGWDVNYGAEFVPSAEDGYTVIVSKTRKVTTADEAVISDSFKTGDPGKVVLTVDNQTSKKKKLLYRSKTKPYSD >EOY17273 pep chromosome:Theobroma_cacao_20110822:8:18898680:18903627:-1 gene:TCM_036421 transcript:EOY17273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTPNITQQPPPIFDGSNYGVWVVRMKAYLEGYNLWNAVEQDVEPQIPRENAPAPQGKQYEEKIAKKCKALSFIQSAVPKDIFSRIMECETTKAVWTKLHEEFVETSRTRHMQAQNLRRHFDLMRMKENQLVKEYIDQLLKLVNQIKMFGEELKEARVAKKILNSAPRKFEATIVSLLQSKDLPDISITEIVNALQAAELRISTRDETFVEKALLAKN >EOY17505 pep chromosome:Theobroma_cacao_20110822:8:21453362:21457696:1 gene:TCM_036726 transcript:EOY17505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein MAEGGTTLEYTPTWVVAVVCSVIVFISLAVERILHFIGKYLKKKNQKPLFEALQKIKAELMLLGFISLLLTVFQDRIAKICIPKDLANQWLPCQEKKEQTVTHIQTLFFSFLPHATGRHLLAEASGTASYCTAKGKVPLLSTTALHHLHIFIFVLAVVHVTFCALTILFGSTKIHQWKSWEDYAKNMEYDPEGVVRTKITQVQEHDFIRNRFLGIGKNSELLGWVYSFFKQFYGSVTKSDYITLRLGFIMTHCRGNPKFNFHNYMMRALEADFKKVVGISWYLWAFVVIFLLLNFVGWHTYFWIAFIPFILLLAVGTKLEHIITQLAQEVAERHIAVEGELVVQPSDNHFWFNRPRLILRLIHITLFQNSFELAFFAWIWFQYGFKSCMMGQVRFIIPRLVIGVFVQFVCSYSTLPLYAFVTQMGSSYKKAIFEEHIREGLVGWARKAKKNTKGNAFKRSANGSSQVGPKEESPLVLEMVEAYGKESAA >EOY14446 pep chromosome:Theobroma_cacao_20110822:8:359123:362487:1 gene:TCM_033827 transcript:EOY14446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate-gated kainate-type ion channel receptor subunit GluR5, putative MSRVAPFFLLLLLTTGIPAEAKEKEDSCVTKRGITRGIGGVVDYSSRVWKEQRIAMEMAIRDFHRSTCSKQLILRFKDSQGTSARAASSALEFIGSEKVQAIIGAIRPQEATLVSEIQKVTRVLPIISLTSPATAPTSLRNPLPFFFQMANDINVNMQCIAAIVNNFRWRKVTAIYEDNNDFSSDSGLITLLSYSLRVVGSEIEHHVAFPPLSSLSDQNGAIEEELRKLRSKSNRVFIVVQSSLRFAVLLFEKAKQMAMMEKGYVWIVTDEIASLLDSVDSSALYNMQGVLGFRTNFIDSSKSFRQFKTKFRKNYGVRYSEEEEYSNPSIFALQAYDAARTIAQAMEKLQANFTSREFFKQILSSNFEGLSGRMRFENSITLEHRTFQIINVVGKSYRELAVWSPKFGFTENSDKHEGENSRFGNSSVKELGPIYWPGGLQTTPKGWSSGEEDKQLKIGVPARGAFNQFVKVSYDQDKNGSYVTGFSIEVFAAVVKRLPYQLPFVFVPFYGSYDDMVEEVYCKGLDAAIGDIEIMAYRYQYAEFSQPYVESGLTMVVTVKPDKSKELWMFMKTFTRRMWLITLAMHIFIGFVIWLIEHGENPDLKRFGAVLWFSVTIIFFAQREPLRSNLSRFVLAPWLFAILIITASFTASLTSMLTISRLQPSVSDIETLLRTNAPVGCNGNSFILRYLTNVVGFKAENIKKIDSISDYPDAFKNGDIEAAFFVVPHAQVFLAKYCKGYTMAGPTFKLGGFGFVFPKGSPLAFDFSEAILKVTENGEMPQLEQHLLSSYNCSSTTGISEGSSLGPRPFAGLFLLSGGVSAFAFLVTAVRLGRRHNHFIQAKLKKYRLNFDDIELRSMSLKNGNGRESRLPKHIVVHISHLYVIVSM >EOY15260 pep chromosome:Theobroma_cacao_20110822:8:2738378:2744319:1 gene:TCM_034391 transcript:EOY15260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant regulator RWP-RK family protein, putative MRSVFVAHVLVPASDYLLKTGIHPRSAILGGPSYSAMDFDYMDELFLDGCWLETAEGSEFLTLSPSSSNAFFDPAFMWPTSESNTGDLGAGLSQIHNQGENQRSLLPGNSHMNGTQAESLVSPQFSHMADVDKSHSPHGYCITEGSELSKRWWIGPRTSPGPATSVMQRLIQALDYIKDFAKEKDVLVQLWVPVNRGGRRVLTTSEQPFSLDPNSQRLASYRNISVKYQFPAEEDSKDAAGLPGRVFLSKVPEWTPDVRFFRSDEYPRLGHAQQHDVRGTFALPVFEQGSRTCLGVIEVVMTTEKIKIRPELESVCKALEAVNLRSSIASSTQNVKACNKSYQAGLHEIKEVLRCACDTHGLPLAQTWVSCIEQGKEGCRHSTDNYVHCVSTVDDACHIGDPNILGFHEACSEHHLLKGQGVAGRAFMTNQPCFSADITSFKRTEYPLAHHAMMFNLHAAVSIRLRCIHTGNADFVLEFFLPTDCRDPEGQKKMLNSLSIIIQQVCCSLRVVTDKELDEETDLALSEVIAPSDGIPSRDQLSKEQCTHRSQKRSSENSSWTASLTEVQQSTNAALGLGKEKPRAMLDEELSELKQHHEQVGLRESVECGDSTFNEISFTSLAMGKTGEKRRTKAEKTITLQVLRQHFAGSLKDAAKSIGVCPTTLKRICRQHGIKRWPSRKIKKVGHSLQKLQNVIDSVQGASGAFHISSFYSNFPELASPKLSGTSTLSTTRLNDQPKQTSIQPEGDNFLPQAATSNSPSSSCSQSSSSSQCYSSGTHQPSKISGNEDLTIGESSGDCELKRVRSDAELHAVSKEGPKLFPRSQSLRSLNEQLISDSLQPISKNTSQIAQDLDAQRIKVTYGDEKIRLRMKNKWLFKDLLHEITRRFNIDDISRFDLKYLDDDSEWVLLTCDADLKECIDVCQSSQGNTIKLSLQVSHHHLDRSSGSTGPL >EOY16621 pep chromosome:Theobroma_cacao_20110822:8:9032639:9036941:-1 gene:TCM_035445 transcript:EOY16621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MRLRYLSRTLPTSESNSFLHHSFFSTILRQSTHPSPTPLLSPSPHPQIYTQLLRLCLQQCREVKTHYTFDEMSPKREQALKAGKMIHARGLKLGFWSKGLLGNAILDLYAKCGDVDSAEKAFHGLEKRDVLAWNSVILMYSNRGLVEEVVKGTVSLLNCGVLPNEFTLATLLSACARLRDVEVGRIVHCYIVKMGLEVSSFCEGALIDMYSKCYYVTDARRVFDGSVDLDTVSWTSMIAGYVQFGLPEEALKVFESMQKVGHVPDQVAFVTIINAFVGLGRLDDACALFSQMPNPNVVAWNVMISGHTKRGYEVEAIAFFQNMRASGVKSTRSTLGSVLSAIASLAALHFGLLVHAEAIKQGLNSNVYVGSSLISMYAKCEQIDAAKKVFDGLDEKNVVLWNAMLGGYAQNGYADEVIELFSQMKGSGFHPDEFTYTSILSACACLECLETGCQFHAFIIKNKFASNLFVANALVDMYAKSGALKEARQQFEIIKNQDNVSWNAIIVGYVQEEDELEAFNMFQRMISCGIVPDEVSLASTLSACANVQSLEQGKQFHCLAVKSCLETSLYAGSALIDMYAKCGAIGDARKVLCCMPEWSVVSMNSMIAGYAPEDLDEAMILFREMQVNGLKPSEVTFASLLEACNEPHKLNVGRQIHCLIVKRGLLYDEEFLGVSLLGMYMNSLRNTDARNLFAEFQDRKSAVLWTALVSGHTQNDCNEEALHFFLEMRSYNVLPDQATFVSVLRACAVLSSLREGRQIHTLIYHTGYGLDELTTSALVDMYAKCGEVDCSAQVFVEMNSKNDVICWNSMIVGFAKNGYAEGALRIFVEMKQTHVMPDDVTFLGVLTACSHAGKVSEGRQIFDMMVNYGIQPRVDHCACIVDLLGRWGFLKEAEDFIDSLKFEPDAMIWAALLGACRIHGDEIRGRRAAEKLIELEPQNSSPYVLLSNIYAASGNWDEVNALRRAMREKGVQKFPGCSWIVVGQKTNLFIAGDKSHPKADEIETTLKDLVALMREDEYAPEVDSFLHEEE >EOY16371 pep chromosome:Theobroma_cacao_20110822:8:6912534:6914292:-1 gene:TCM_035173 transcript:EOY16371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A1,1, putative MQFECLANYIVELSLLEYTMLHYAPSLIAASAAFLAKFTLSPTKKPWVIFVYFFYLLHRTLFWNITHCTSPLICVTVKSLHHLCRNGGRANLPAIREKYSQHKYKFVAKKYCPASIPQEFFQDLSK >EOY14846 pep chromosome:Theobroma_cacao_20110822:8:1449491:1452634:1 gene:TCM_034103 transcript:EOY14846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 1 MATPVEPPNGIHYHGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSVNRETNEKVAIKKIHNAFENRVDALRTLRELKLLRHLRDDNVIVLKDVMMPIHRRSFKDVYLVYELMDTDLHQIIKSSQALTNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTSNAKGQFMTEYVVTRWYRAPELLLCCDNYGIAIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIINILGSQKEEDLEFIDNPKARKYIKSLSYSPGTPFSRLYPNAHPLAIDLLQKMLLFDPSKRIGVTEALQHPYMAPLYDPNGNPPAQVPVDLDIDEDLGEEMIREMMWKEMLHYHPEAATSNGEVCA >EOY17197 pep chromosome:Theobroma_cacao_20110822:8:18270103:18270717:1 gene:TCM_036346 transcript:EOY17197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSTKTTTLSLALLCLLLLSEVGMLMAEVQTAPAPGPQVPDECPGKCAKRCSKSWKPKMCNKTCVACCHRCPDHCVPDGPLASRDSCHCYSQIKTHGKFKCP >EOY16886 pep chromosome:Theobroma_cacao_20110822:8:12750953:12757325:-1 gene:TCM_035824 transcript:EOY16886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCYPFSIIIKCIRFEWLLGSVQVRKMCCGGVPEEVNEDLPDYYYVEAGPRVIYYDYLLESDVSLGF >EOY16883 pep chromosome:Theobroma_cacao_20110822:8:12715740:12720174:1 gene:TCM_035820 transcript:EOY16883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRFKYQISMVSIKFIHECTSHKQIRNKGWHRSLLHARRCCCWMPWFWGAMILLPGTIEGIAFLQLLEVGATSIIIQ >EOY16499 pep chromosome:Theobroma_cacao_20110822:8:7826029:7829004:1 gene:TCM_035287 transcript:EOY16499 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein MATRVGVLSKKSYGLKDEAISHSHDSMNSVDLVSATDHHDEIPTVDYSLLFSDDHVERANALEHLGKACQEFGFFYLVNGVAEGVVEGALKGISDFFDLTKQEERSEYLKKSSKDRIRWGLRSHAGENREFLKVVVHPQYHCPSKPANFRDAIGEYYKRFREVELGLAKAISKILGYEEPYIAKEFELEAGFDVSAMNLYPPSFHSKGSIGVPDHTDPGLFVSLIQDVNGGLQVLSHNGKWISVNIPRNAIFINLGDHLEILTNGKYKSHIHRVILDNNEVKRISMATLHGPSLDAFVAPAPGFVDESHPPAYRGVTYKESLEFNGYDEIDVQSSIGQLRISI >EOY16515 pep chromosome:Theobroma_cacao_20110822:8:8056253:8061077:1 gene:TCM_035316 transcript:EOY16515 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 1 MIAEAKVGSEDLNLCFEKLMMVAAGNSGEGVKMEGMVITEWKDIPMELLLRIVSLVDDRTAIVASGVCSGWRDAICLGLTQLCLSWCRKNMNNLVLSLAPKFTKLQTLILRQENPQLEDNAVETIANFCHDLQDLDLSKSFKLSDRSLYALAHGCPNLTKLNISGCLSFSDEAVEYLTNFCRKLKILNLCGCVKAATDRALQAIGRNCNMLQSLNLGWCENVGDLGVMSLAYGCPDLRCLDLCGCVLITDDSVIALANECLHLRSLGLYYCRNITDRAMYSLAHSRVKNKPSMWQSMKGRYDEEGLRSLNISQCTALTPSAVQALCDTFPALHTCSGRHSLVMSGCLNLTSVHCVCAVQAHCTMNSIPHTAH >EOY16514 pep chromosome:Theobroma_cacao_20110822:8:8056174:8061077:1 gene:TCM_035316 transcript:EOY16514 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 1 MIAEAKVGSEDLNLCFEKLMMVAAGNSGEGVKMEGMVITEWKDIPMELLLRIVSLVDDRTAIVASGVCSGWRDAICLGLTQLCLSWCRKNMNNLVLSLAPKFTKLQTLILRQENPQLEDNAVETIANFCHDLQDLDLSKSFKLSDRSLYALAHGCPNLTKLNISGCLSFSDEAVEYLTNFCRKLKILNLCGCVKAATDRALQAIGRNCNMLQSLNLGWCENVGDLGVMSLAYGCPDLRCLDLCGCVLITDDSVIALANECLHLRSLGLYYCRNITDRAMYSLAHSRVKNKPSMWQSMKGRYDEEGLRSLNISQCTALTPSAVQALCDTFPALHTCSGRHSLVMSGCLNLTSVHCVCAVQAHCTMNSIPHTAH >EOY17183 pep chromosome:Theobroma_cacao_20110822:8:18208834:18209669:1 gene:TCM_036336 transcript:EOY17183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASTEEFSFPTTAALYPCGIDSPPLWRLSPAASPDVFLDRKAKECSTREEEDCFPDRPEKKHQERKSFSYVEKGSKVSSKKVAAEDEEEKMDLLWEDFNEELPTSRSSRSSGDMVELGCAQALKLSKNNAAMFPPRRPGMLVFMRVLRKLFLVHNSQSWFWSSFQGLNKVLLLLEL >EOY16996 pep chromosome:Theobroma_cacao_20110822:8:16065016:16069254:1 gene:TCM_036105 transcript:EOY16996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRREAREDTRKKGQMATLETTSTKTITATIAVVMTMSIPSLLPTIQDRLLDSRSLLTAMGGLPVDQPIRGAQGDIKLMQEPWEVSLTFRARPAATLVRGTAANIPQPVSELNGSALVQLALQTLARHELLEFARESVVVYLDDEHGAT >EOY16702 pep chromosome:Theobroma_cacao_20110822:8:10101518:10104495:1 gene:TCM_035556 transcript:EOY16702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTKLEDCDGSVKPSISSPKEWGVRLTTLQGSLSYLLVFVEADLDIDKAMHKLQKVRVISTAILDKDVLMLPEYLKNQAECSLEGPIEWTKMVEIKREENIKNVQGITDSDIASRNAVMLREAKMSLEVHKDLGMEFEMEDNAMVDVFVVVKEENSNRGGKGLWRSDHLLKENCFTIDHVIVENSYILLIGRIKGVNDNYRGNFNVVRSLDEKYGCTQVDKSMVDFREFIDALGLMDLPMSGRNFTWLVNETWWMGDHKATVWHWLKGTDVAPMEWTEPRSHLFDSKQLSIIGVFNTNKGEKDTFDEALMISGGAFNSNRPKLAKGITVNIQLKGSKGKFFPFLIKPIIALSIIEGDQ >EOY15351 pep chromosome:Theobroma_cacao_20110822:8:2987564:2989038:1 gene:TCM_034443 transcript:EOY15351 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein, putative MGCQKKLRIVLGILKDKASLIKTTLSTKGHKSSVRRVILRATTHGPYALPSDNRIAAVIALGHGSRATACACIQALMDRLHRTSNAYVALKCLYTIHNIIGRGSFILKDQLSVYPCSGGRNFLNLSMFRDDSDPEMWEMSTWVRWYAGVLELNLMISGVLGYHLYSSSPKNDGNKKDKLMALWNSDLLNELDVLVDFAEHVGNAPHSLYLQRKSLVYEVVRSVSENYRLVQHEISLRVAELGLRMMSLSFGECTRFLNLFNRFEDCKDRISVLLVNRNRNDDLRDLVQETKAKLVVIMEEKKEWKMLSITMGDGDGSSNLTLLAPRGRWVVGF >EOY15692 pep chromosome:Theobroma_cacao_20110822:8:4289440:4290753:-1 gene:TCM_034679 transcript:EOY15692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNVSASQCGSGCESGWTLYLDQSSYSQTRCQNFGANFDEDYGGKGTRFVVEDEEEDLSMVSDASSGPRHYCEDYEECLDKNGSFSSAPSAPELAKKSSKNKKKINEHGSNQQHSYLDDTASSPVISFPKNCKKDSSMDVLDFSQGFSGTHFKGKSAFQKKFGFLKSGKAGSKDAGGFQERNWE >EOY17248 pep chromosome:Theobroma_cacao_20110822:8:18688821:18691117:1 gene:TCM_036397 transcript:EOY17248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 71A9, putative MSFHYYSMLLLVAVTTLVVLLLIKQRKKTAEASRLPPGPRKLPILGNLHQLGRFPHLSIQFLSQEYGPLMFLQLGSVPTIVISSADMARAICKSHDIIFSGRPPLYVAEKISYNFNDIVFGPYGETWRKIRKIAVLELLSSKRVQSLQAVRDEEVSSLLDHIACSSSGPVNLSRLSFLLTINFVCRVAFGKRYGNGENGSASRIEEVMHETLSLLGEFVISDYFPWMRWLKKFDGLEARVEKNFRELDKLYDEVIKDHLDQTRPIHDDDHEDIVDALLRLRKDPGQRIALTNQHIKGVLMDMFLAGTDTGASTIVWTMAELMKNPSAMKRAQDEVREVVKGKMKVEESDLDRLTYLKAVIKEALRLHPPVPLIPRETMEDCTIGDYTIPAKTRVFIDLRSISTDPKCWENPCEFQPDRFLNSPIDFKGQHFELIPFGIGRRGCPGLNFAIPLIELALAHLLHRFEWKLPDGVEDLDMEAEFGLTVYKKTPLCLVATTVDV >EOY16700 pep chromosome:Theobroma_cacao_20110822:8:10017680:10033288:1 gene:TCM_035551 transcript:EOY16700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEQEVQLAQPGIEQAAGEAYQGNGKTVDTTPIEKKTVRRGRKRMATKTKVFIRRKSIRIAKSTTKLVPKSGSPQVISFKPSSPFELELKPLHVHLGTDKSSLNESSFDFD >EOY17433 pep chromosome:Theobroma_cacao_20110822:8:20351582:20354882:-1 gene:TCM_036602 transcript:EOY17433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory laccase MGLLKQDLVLWLLGVLFLSNLLLCRADVHYYDFFVRETNFTSLCNTTKSMLVVNDSYPGPEIRVHRQDTVYVNVHNQGNYGLTIHWHGVKQPRNPWSDGPEFVTQCPIQPGTNFTYEVILSDEIGTLWWHAHSDWTRGSVHGAFVILPAENETYPFPTPDADQTIILQSWYNRDYKELIDEATSNGTAVAPADAYAINGHLGDTYACANETLFRMQVDYQKTYLLRIINAAMNEQKFFAIANHRLTVVAQDASYVQIFTNDYIMISPGQTMDVLVCANQNMGQYYMATRPFSDSAAPPRNNITRGVLQYTNSVGGLNASLVTLPAMTDLDAAANFTRRIKNSNVTQNPPMKVPMDIDRRVYVAIATNTLPCDNCPIQPTRLAASLNNVSFDFPQIDVLQAYYNRSISGVFTEDFPLQPPVFYNFTGDDLTGLNRNADLGTKAVVLNYGEAVEIVLQTTQLGAGGSHPMHLHGFSFYWVGTGSGNFNNVTDPSSYNLVDPPLINTVHVPARGWVAVRFFATNPGVWFMHCHFERHSSWGMDTVFIVKNGTTVDTSILPPPASGMPRCSGT >EOY14583 pep chromosome:Theobroma_cacao_20110822:8:644314:646498:1 gene:TCM_033910 transcript:EOY14583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein, putative MSSVPKENLFCLKWPWDVSKQPKSPNLCASEGPWLFKSMQNLGSLVLNSFNSVSKSSGSWFNNFNPAQLAAGSSLNGNLKLKRKILSPEEQGEAEHRALASALASGKEATVIEFYSPKCSLCNSLLKLVMELENRNSDWLNIVMADAENEKWLPELLHYDIKYVPCFVLLDKNGWALAKTGIPSSRLHVVAGLSHLLKIKRPTCGGSSCSSPQQ >EOY14368 pep chromosome:Theobroma_cacao_20110822:8:83932:85381:-1 gene:TCM_033765 transcript:EOY14368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTMRKLDNPFAQFHGFSFVGWAGDQHNHHHSYHFLSNPPLISVSLLPAGTNPPTPAPPLPPLFFSLLFKSSQELHFSGIEPVKKQVVGVGVKEKQRKKATYQGGNPYTRL >EOY16594 pep chromosome:Theobroma_cacao_20110822:8:8832522:8834674:-1 gene:TCM_035418 transcript:EOY16594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein MGTTLFTGCSSFSWKCHQQVAPSCSLAQSSPDNGVSSVKAVPGSRGIALADKEKEESWEILSRRRSILVSGISLASSSVLGFPGEGLAVVKQGLLAGRIPGLSEPDDQGWRTYRRPDDKSGGHGVGWSPIIPYAFSVPQEWEEVPVSIADLGGTEIDLRFASSKEGRLFVIVAPVLRFADNLGDNATIEKIGPPEIVINAFGPEVIGENVEGKVLSINTAEHDGRMYYQYELEPPHALITATAAGNRLYLFSVTGSGLQWKRHYKDLKRIAESFRVV >EOY16309 pep chromosome:Theobroma_cacao_20110822:8:6655485:6658588:-1 gene:TCM_035131 transcript:EOY16309 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein MGDSKVETISRLAQWRIDNFGPCSHKKSDPFKVGLWNWHLSIEKNRFFYIRLFPEPSRVSKEQPPFAKFFFRVSNAGANRKLHISPLFERPLRTCDDFVWPVDSTFHGRFIIDVEFLDLRIYPMNGGESISIWPIEGAMQSVSTQSTLHCLARMLDEGIHADVTINTADGTLRAHKAILSASSPVFESMFHHNLKEKESSTILIEDMSLESCMAFLSYLYGTIKQEVFWKHRLALLGAANKYDIVDLKDACEESLLEDINSQNVLERLQESWLYQLNKLKKGCMMYLFDFGKIYDVRDEINNLFRQADRELMLEMFQEVLTVWKPI >EOY15586 pep chromosome:Theobroma_cacao_20110822:8:3842044:3842931:-1 gene:TCM_034598 transcript:EOY15586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPPHSTFYRIPVEKHLKDLTLLEYSLIQHVTIMQKKLVVLWLINTDRKELSTYYVGKKKNPNVPSTMH >EOY15679 pep chromosome:Theobroma_cacao_20110822:8:4218028:4219789:-1 gene:TCM_034668 transcript:EOY15679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding protein, putative MDVQLVNKALTFSRRKKKWLILLAMCGVSGYGVYKVYNLPSVVKKRKRLLKIFGALISLAELVSDSAETINVVSKDLREFLQSDSDQVPNSLKQISKIVRSEDFSQSLIRVTEALTVGVLRGYKSESGDENELATGSVNSSFTDRVMDRVFSNAGTGFVSVVVGSFARNLVLGFYSNGGETDGLSGNGGSSEVPKWVNVLYDDKCKELMAECIQRFVSTAVAVYLDKTMDINTYDEIFAGLTNPKHQNDVRDILVSVCNGAVATLVRTSHQVLTSSNSNSQSSLDSTCSNIDQSEAAGGMRDGCFKKEAPLKGVQEGSSLDGIQNGGWVEKVSSTLAVPSNRKFVLDVTGRVTFETIRSIVEFLLWKLSEGVKRSIHVVHEEVVERGLDVIRYIGAKSSVIVTICLALYLHILGGSRVLLPA >EOY14821 pep chromosome:Theobroma_cacao_20110822:8:1367136:1370544:-1 gene:TCM_034088 transcript:EOY14821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 722, subfamily A, polypeptide 1 MFQLLLDVGPYCVCFYAVLVLAALVFLASQLWKMLQQLNQECRADIPPGCLGLPFIGETIQFMAAINSGKGFYDFVRVRSLRYGNCFKTNIFGQTQVFLSSTESAKIILNNDLGRFTKRYIKSIAELVGNQSLLCASQQHHKLLRGRLVNLFSTNSISLLVKQFDELIVHTLNGWGDGGTVIVLDEALQITFKAMCKMLLSLERGLELELLQEDVGHVCKAMLAFPLRLPWTRFYKGLQARKRIMSTLEKIISRRRRGLDAATDDFLQRLLVEEDNYCSDGLHRLTDAEIQDNILTMIIAGQDTTASAITWMVKYLGENEDVLDAIKAEQLHLLEKTSKKLFLTLDDLNEMPYASKVVKESLRMASVVPWFPRLVLQDCEIEGYKMKKGWTVNIDVRSIHLDPMVYSEPNNFNPSRFDDESKPYSFLAFGMGARTCLGMNMAKAMMLVFLHRLLTTYKWKVLDSDSSIDKWALFSRLSSGCPVHVTRL >EOY16488 pep chromosome:Theobroma_cacao_20110822:8:7660742:7668096:-1 gene:TCM_035271 transcript:EOY16488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISTRLLTSKMMGYRQYVKEHQDWNEIILVLCEEDAQWKMSKDESSSNRRRKTTIGIKTNKWAPWPWMIGLYSAMKKRSAKPRQNIGRCSARRSGAATLEEEH >EOY15444 pep chromosome:Theobroma_cacao_20110822:8:3301069:3308135:1 gene:TCM_034501 transcript:EOY15444 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein MAREKIKIRKIDNVTARQVTFSKRRRGLFKKAEELSVLCDAEVALIIFSATGKLFEYASSSMRDILGRYNVHSNNLNKLDQPSLELQLENSNHIRLSKEFSVKSHQLRQMRGEDLQGLNIDELQQLEKMLEAGLTRVLETKGERISSEISALERKGVQLLEENKQLKQKMVTLCKGKRPILAESDAAVQEEGMSSESVTNVYSCSSGPPLEDDSSDTSLKLGLPFSC >EOY16487 pep chromosome:Theobroma_cacao_20110822:8:7654683:7660617:1 gene:TCM_035270 transcript:EOY16487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated kinase 2, putative MGSYAMLLQFMLAGVLLASIAAPLRVQPGPDCPDQCGNVSIPFPFGIGKGCYFDASFSISCNQSGVHAQPFYGNIPVRNISLNGEIRLLCLIAYDCYNKSGDSVRRNRPSLTLGQFTISDTQNNFVAIGCDTYATIQGYQGNDRYTTGCMSICDSQKVVDDSCSGVGCCEIPIPKGLENSTLTASSYFQHKNVTEFNSCSYAFVVEKEFTFSPKYLQGFEGETRLPMVVDWAVGDESCELAAQNNSTFLCKGNSTCDGSYNGRGYRCKCVDGYQGNPYLDGCYDIDECNTTTNPDLHKCEKPGYCVNELGNYTCKCPKGYHGDGRKGGKGCIPNQIQLVQIALGVSICSVAVVAGSAWLYMLHKKRKLIKLKEKFFKQNGGLMLQQQLTGRDASSETAKIFTAEELKRATSNYDESMIVGRGGYGTVYKGILESNNMVAIKKSKIVDQSQIEQFINEVVVLSQINHRNVVKLLGCCLEEEVPLLVYEFVANGTLFDHIHDKGRAATMPWGTRLRIAAETAGVLSYLHSAASIPIIHRDVKTTNILLDDNYTAKVSDFGASRLVPVDQTQLSTMVQGTLGYLDPEYLHTNQLTEKSDVYSFGVVLLELLTGRKAIAFDRPEEERSLAKYFLSSLRKDRLFDILETHLVDEENRNQIMEVAKLAMRCLEIKGEERPSMKEVAMELEGLRLTEKHPWVNLESNSEETEYLLDGKPSDRYSYGGSRSNTSSGYDSIQSHVVILPLDNGR >EOY15505 pep chromosome:Theobroma_cacao_20110822:8:3530604:3537531:1 gene:TCM_034539 transcript:EOY15505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Kinesin motor domain MAESQFPNSYLEHSKFLGPNSWPCSFSNYEENPKSNMNTEYENVHEDEFDSALCLSGSRLIKSGYISSQNTDNAVMFLNAGGGTVKKEGTCTIDVCGDSFFEGGDVLRTGESINDGGDMPSIYQSARFGNFTYRFNDFSPGDYLVDLHFAEIVNTNGPKGMRVFDVFIQGDKVLSELDIYSIVGANKPLQVVDIRVSVGEDRVIVIKFERVCGSPIVNGICIKRATELPDKASQVKCDNLVCNNCAAEMEISSPQKKHMRMKFTAKYEKKIQELKNLCQLKTDECYEAWMSLTATNEQLEKVRMQLDNKFFQNTYLDQTLERQTAKLKDVSTKYKCDKRFWIASINELERKIKTMKEEHSHLSNKAHECADSIPQLNKMIFAVQALVAQCEDLKLKFNEEQAVRRKLHNQLEEAKGNIRVFCRCRPLSKGEASAGYATVVDFTAAKDGDIGILTGSSTRKNFKFDRVYTPKDDQVDVFADASPMVTSVLDGYNVCIFAYGQTGTGKTFTMEGTEQNRGVNYRTLEQLFEIVDERKETYTYGIFVSVLEVYNEQIRDLLATAPTLKKLEIRQASEGFHHVPGIVEAKVENIKEVWNVLQAGNSARAVGSNNVNEHSSRSHCMLCILVRAKNLISGECTKSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKSIHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSEQDLGETLSSLNFASRVRGVELGPVKKQVDTAELQKLKQMLDKAKQELRLKDEALRKSEENYHNLEGKAKGRDQLCKIQEEKLNELKDQLASKTELCRQLERQLLQLSERMMEKEEICSNFQLKVEVAENKLKEREQTVFLTLQRKVKELEGILKERTREFELHSGMLQQKVKELENKLKMEGESGGSLLHQQKVNELEQKLRQHQEEPMRPVHSAEKLLGTPIATPRTETISGMDPPSLRSLNCNSRTTNMGSDLLKGTDSLRELRRKKQVQSKGTENNLLLSSSLLDKKTLSAESNKTRQIDTSRALARITRSTKSVNTVGKALSSYKINRDQVTGLRESSSKLKMWLR >EOY15138 pep chromosome:Theobroma_cacao_20110822:8:2353402:2355233:-1 gene:TCM_034302 transcript:EOY15138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic drought-induced stress protein of 32 kD MNQTNQNEWLQFWVNEIFRFHPNTIALVHLFLLVPQEPLAGNFRRKAITPILFAIISPLMATITNFLTKPPSSDRYSIPKISSLRSHASFLPSFVTRPGSFSGRLEASKTRFVTKATATPGTKKASSDERVQQVHSIEEFDEALRMAKNKLVVVEFAASHSFHSSKIYPFMVDLSRTCNDVEFILVMGDESEKTRELCKREKIQKVPHFSFYKSMEKIHEEEGIGPDQLMGDVLYYGDSHSSVVQLHCRKDVEKLIEDHKLDHKLIVMDVGLKHCGPCVKVYPTVIKLSRQMDSVVFARMNGDENDSCMQFLKDMDVIEVPTFLFIRDGEICGRYVGSGKGELIGEILRYQGVRVT >EOY16125 pep chromosome:Theobroma_cacao_20110822:8:5879200:5885441:1 gene:TCM_034993 transcript:EOY16125 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein MSSPSTELTKRMAIYEQFHQISRWGDVFNSDNSPNTGSSTIVQVDVRLDNKAEYISCEQVEPSRSDQETNKPTDKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLAQLEQELERARQQGIYISSASDTGYFGLSGTVNSGITAFEMEYGHWVEEQNKQICELRSALQAHITDIELRILVESGLNHYCNLFRMKADAAKADIFYLISGIWRTSAERFFHWIGGFRPSELLNAVMSQIEPLTDQQHLEVCNLRQSSQQAEDALSQGIDKLQQNLAQSVAADLSSGNYRVQMAAAIDKLEALEGFVNQADHLRQQTLQQMARILTTRQAARGLLALGEYFHRLRALSSLWAARPREPA >EOY17467 pep chromosome:Theobroma_cacao_20110822:8:20719445:20719940:1 gene:TCM_036648 transcript:EOY17467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLQFLRFFLFLATFFSVPSSATIPSGATVYASTPNQTWSSPNSTFSISFISTSPNVYTASITYSGGVPMWTEGSNVDSGGALQFLHSGALRQDDDLITKCKSL >EOY16629 pep chromosome:Theobroma_cacao_20110822:8:9071612:9074342:-1 gene:TCM_035452 transcript:EOY16629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEYNLILYQKKNMWQQKAKFVRVKYGDANTKFYHAMVKGRHRRNKISTLKKDEVSWSYDQAEMEKMALQYYQELFKDDGIHVSFPRNSQWKLKENKIAEISNPISYEEVLVDLLRPLLSDIIGKTQCSFIPGRQAIDNIIIVREAIHIMRMVRKQEEALAIKIDLEKAYDTLKRNFLQEVLKEIGLPFSWVNLIIHEDFMSVTNKSINSHVWENILKCKPVIEKGLGISIANGHKAKFWTKNWLYCGPLFNHATRALSDMELQLPVASFYNKFGSWALQQMEQDLPQHIILRIAAIMIDLTSVEEDTVFLMPSGNVEFSAKSAYEIQLNSHLPTTSHWNQI >EOY16871 pep chromosome:Theobroma_cacao_20110822:8:12620909:12621891:-1 gene:TCM_035806 transcript:EOY16871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASLKPSKSPKSSKLRRENSGWNITKLRIIIGKIKAQHFRSLFSPCLHISKPQFTNLEAQNPRGVTCIQLKEKNFKTSFKANEMSGITNVTG >EOY16442 pep chromosome:Theobroma_cacao_20110822:8:7100577:7103017:-1 gene:TCM_035210 transcript:EOY16442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCVIFKTYSIIPTSIFIRFFLSSMVLKSLPASAVRCEVSSHVRMPSAIMCFCNGKCCQCLRSVSNSNSNHIRLGNLEDVAFVCRKPLPWEENPGLLLPSYIVGMSSAFCVELVSSAFCVERESSVDCCAAGS >EOY17066 pep chromosome:Theobroma_cacao_20110822:8:17381932:17389949:-1 gene:TCM_036222 transcript:EOY17066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MGCICSKGASEENIDEINDNEKDFNSNSNKASMQLFAPAPSKKEELSVGRGGNDGSVRRLASKTFIVERPQNGHQRLSSVDMGAGVFPQMVRIVSTPRGAEGELVAAGWPSWLASVAGEAVKGWLPRRVESFEKLDKVGQGTYSSVYKAHDLETGKFVAMKKVRFVNMDAESVRFMAREIIILRKLDHPNVMKLEGLVTSRMSGSLYLVFEYMEHDLAGLAATPGIKFTEPQIKCYMQQLLRGLEHCHSRGVLHRDIKGSNLLIDNSGVLKIADFGLATFFQPDQKQPLTSRVVTLWYRAPELLFGATEYGVAIDLWSAGCILAELFAGKPIMPGRTEVEQMHKIFKLCGSPSEEYWQKIKLPQATSFKPQQPYKRCVADTFRNFPCLALSLVDKLLAMEPQDRGSAASALRSEFFGTEPFPCDPSNLPKYPPSKELDARRREEEARRYAIWGLLRCYSSIDLTLYETHACTNTCYARKRAEAVKGRGPESVRRGSREFKGEPTPEFIAQGQSRTSTSPIYNHVEDGASGFRIDSHKVTSQNGLSHSSSMVHPSAVRSLNKAGSTQTSGELRTRNSLRPQADKTSHTKDDSASNKETGLGHVARKKRIHCSGPLMPPGGNIEDILKEHERQVQQAVRKARLEKSGTDKNCDVYTRLHHNGIYGR >EOY15798 pep chromosome:Theobroma_cacao_20110822:8:4679073:4679959:1 gene:TCM_034756 transcript:EOY15798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLAFTQQMSMKIWCRIPAIRGKRLIAVSGQICQAWACWNVLVSFMENILGKPGKRALLNVDIQWSLSSEQDKDCMLEVCSRWQKGGHTCGELLAGEVESRVRGSKKTRNGRHVANLFGFSVSGQKGGGGIVFVKLAKETTTESATPCAAWDPFRNTCLYFTSIRSKSKNIKMLSSSFYARNICNSSSC >EOY16472 pep chromosome:Theobroma_cacao_20110822:8:7323326:7325480:1 gene:TCM_035237 transcript:EOY16472 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein, putative MEQPRQRAAANSNPLTTVAFLERAPTAYADCPSIVYKQTTYTWSQTHRRSLQVASSLSSIITRGHVVTVVAPNIPATYELHIAVPMCGAILNTINTRLDARTVSVLLCHSEPKLVFVDHQFSSLVLEAITLFPSNAKVPLLVPITDDEPSPSSTLGFIDTYENMVAAAHHHRKELSIATGIFINTLSSLLDWSVPHHPVFLWTLPMFHANGWSYTWGMATMGGTNVCLRNFNASIIYNLIKRHNVTHMCGAPVVLKMVVSNSPTNEHLEKPVQFLASGAPVPAPLLLQAESLGFRVSHGYGLTETAGFVMSCAWKPQWNTLAASERARLRARQGVRTIGLMQMDVVDPERGVSVKKDGLSLGEIVFKGGPMMLGYLKDPTAESSCMREDGWFYTGDIGVIHPDGYLEVKDRSKDVIISGGENISSTEVESVLYSNPAISEAAVVARPDEFWGETPCAFVCLKDELSETPTEEEIIEFCRTKLPHYMAPKTVVFKEELPKTSTGKVNKFMLRDIAKAI >EOY15041 pep chromosome:Theobroma_cacao_20110822:8:2054243:2063021:-1 gene:TCM_034239 transcript:EOY15041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein htpG family protein, putative MYEIFLHVEDVRALTANLCISVALTSVHILLDYHYDDEIDQIFVNLFGNGLADAHDFIRGEEAVHNPDDAILDVGDHKTVDGVAPNVHDPLAIGDTTDNAADDGAVLNVRDPLVVGDHIDNATDDGAILNVRDPLAVDDQGGVVTVDMVVQGGHNPNAGVVQDGAPTNVAVPHARDVVVQAGAEDAIASVAHHSIVQVGDATPFKGTDVISTPGEKGAINALKVEEKIKGLLIVKRGIGMTKEDLIKNLGTIAKFGPFAFVEKIQSSGDLNLIGQFGIGFYSVYLVADCVEYARESKADWAFAIYDDTWNEPLGRGIEIRLHLRDKAQEYLEEGKLKELVKKYCEFINFPIYIWASKEVGVEVPINEDEWSDEEETTDSTSEEGKDENAEKSEHEDTEKKPKTKKVKETSYEWELLNDVKAIWLCSPKDVKEEEYTKFYHSLATFGNEKPLAWSHFSAKGDVEFKAVLFVPPKAPHELHEIYYNSNKANLKLYVRRVFISDEFDELLPKFFNEYSIEQGLVDSDTLPLHVSREMLQARSNLKTIKKKLIRKALDMIFQVFSGIVLTLNIDGDAEVEKSGADDDKKKGQYAKFWNEFGKSIKLGIIEDATNRKRLAKLLRFERYLT >EOY16234 pep chromosome:Theobroma_cacao_20110822:8:6370513:6375063:1 gene:TCM_035074 transcript:EOY16234 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MSLSPPRARVNGTNGAAPERNLQLYWCYNCHQAVRIASTNPSEIICPRCFGQFVCEMEINRPRMVVDFTAFDPSPEARLLEALSLILDPPPIRLFNRNNSENQEPRGWPWLMRRSNLLDSEPGNRPPERLHRRRSRSSDGSDNREAEHDALPRRPRTWIIVRPMGPFRPGTAEPTLPQENPIRPGLDPRNFFFGQGLNELIEQITQNDQPGAPPAPESTIDAIPTVRITDTHLSNDSQCPVCKEEFKVGGEARELPCNHIYHSDCIVPWLRLHNSCPVCRQELPISGDEPSSSEYLSEPESLPEVSSRDGRRCWRLRQMASNLWPFRRRYQRINPRSDRIGASPAVESGSHRCCIL >EOY17127 pep chromosome:Theobroma_cacao_20110822:8:17973382:17976118:1 gene:TCM_036299 transcript:EOY17127 gene_biotype:protein_coding transcript_biotype:protein_coding description:F13K23.4 protein MANMKAVYMDPTVENPSSKVTKSSVSAGVCMMNNSWRDKQHPSFINFISSFLTANSFRLNFVPVAPDFIFNCGGLSVAFIFVTHWDCCNVETIFSRAKKLKVQFAHLYVVVNLPSREQNDSFVRSYFKYEMQLGRPTFVLVQDIEMGFEKIVKIAHYCGVCKQQEAKSKLKAERNQSVQGMENFLRVVTAIPGIDNHDANSLYQAIGSIEGIAKASKEYIVESTDLSAEKAETIVRFFRDSKFYLCPKIN >EOY14380 pep chromosome:Theobroma_cacao_20110822:8:126632:128568:1 gene:TCM_033777 transcript:EOY14380 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 7 MAVELMMGYGRGDSFAGKMEENALREAATAGIQGVEELIRLMSNSQQLYNQDASFKTSSPSGPEPAMEIQAVTDKTVNSFKKVISLLGRPRTGHARFRRAPLTSLQQEEKQQQQDLQQPRQKIQESGTCSVQVNKDQVSAFKPFCPTPGHRLPPLPHNHHQSKSSPLLVARSGLLERNEAPTTINFTSSPPLSAGNSFISSLTGDTDSMQPSFSSGFQFTSPSHVPSSGKPPLSSSLKRKCNSVDDAALKCGSASGRCHCSKKRKSRVKRVIRVPAISNKMADIPPDDFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERAVDDPRMLIVTYEGDHNHSHNITDAPAAVVLESS >EOY15349 pep chromosome:Theobroma_cacao_20110822:8:2977293:2979660:1 gene:TCM_034441 transcript:EOY15349 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MNRGDCSRSFLRLRRQNHGLPCMARANSILFFLCFFSLSTSQSQTIPAPPPPSNSYEPVGPKFNPSMAIVMVVLVTAFFFMGFFSVYIRQCAQRRMRGGNWDASVNFGRPSRRLTRGLDASVIESFPTFLYSTVKGLKIGNDTLECAVCLNEFEDDETLRLIPKCSHVFHPDCIDAWLLSHSTCPVCRANLAPKPGEDISCPTVPVYGSELELENRPDNNNASNEMSRTEAVNQRRDVEPLDVNLVNSNTPIIQNRPPRSRSTGWMLTRLFPRSHSTGHLLVQPGENCERFTLRLPEDVRSELMNSNFSRSNSCLAFPRARSSRRGYRSRSLGRNYFNYERSDRPDRWGFTMTPPFFSRTGSLRSPKLVAGTGGDSDEALANPAKGLFKSIKSPFDRLFVGGEANLGEQSSDRLRPESQV >EOY14697 pep chromosome:Theobroma_cacao_20110822:8:1028163:1031027:-1 gene:TCM_033998 transcript:EOY14697 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPPDE thiol peptidase family protein, putative MDFQSKKRWKSVVPRLLKNKSASRCLFPKVKPASFDPGSAPVYLNVYDLTPMNGYFYWAGLGIFHSGVEVYGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFIGTTSLDPIQVREFMERNSARYNGDTYHLIVKNCNHFCKDICHKLTGKHIPKWVNRLAKIGSMCNCILPEALKTSVVRHDPNYQPYDSERRRLRCTFSCLSSVSMRQKSSLLLQSPLRGCLPPWESKRSNNVLLKER >EOY14942 pep chromosome:Theobroma_cacao_20110822:8:1733174:1735084:1 gene:TCM_034167 transcript:EOY14942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxal oxidase-related protein MSPTFIFSILLFQLLFASQPCHRVLTTAADGRWQLLQKSIGVSAMHMQLLRNDRVVMFDRTDFGKSNLSLPNGKCLTNSSNTALPADCTAHSVEYNVLTNEFRALMVQTDVWCSSGAIMPDGNLVQTGGFNNGERRVRVFSPCSTCDWQEIPNRLAAKRWYASNHILPDGRQIIVGGRGQFNYEFIPKTFAANTFNLPFLSETNDRGVENNLYPFVFLNVDGNLFIFANNRAILLDYVNNKVVKTYPTIPGGNPRSYPSTGSAVLLPLKNLKASAIQAEVFVCGGAPKGSYVQALKGNFIGALNTCARMTITDPNPQWVMETMPMARVMSDMILLPNGKVLIINGAGSGSAGWELGRDPVLSPVMYLPDNEIGSRFETQTPTTIPRMYHSAVVLLRDGRVLVGGSNPHAFYNFTGVLFPTELSLEAFSPAYMDSEFNDLRPTIVAPKSMFGIQIRKKLSVQVQITGKVDPNLVSVTMVAPSFTTHSFSMNQRVLDLGNDNVTASGNSTYNIEYTTPRSYNLAPAGFYLLFVVHQDIPSQAIWVKLR >EOY15515 pep chromosome:Theobroma_cacao_20110822:8:3581952:3586736:-1 gene:TCM_046890 transcript:EOY15515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhamnogalacturonate lyase family protein MSWPGVQLLIRDHYVVMDNGILQVTISSPDGIVTGIRYNGIDNVLEVQDEEVERGYWDLVWSKTGSTGTTGTFDVFKGTSFKVVVENEDQVEISFTRTWDFSLEGNVVPLNLDKRFIMLRNSSGFYSYAIFEHLGEWPPFNLPQVRIVFKLRKDKFHYMAVADNRQRFMPLPDDRLPDRGQPLATPEAVLLVNPVEPEFRGEVDDKYQYSSENKDLKVHGWISLNPPIGFWQITPSSEFRSGGPVKQNLTSHVGPYALAMFLSAHYAGEDLVLKLNPGEPWKKVFGPVFLYLNSLWDKDNVYSLWEDAKDQMQMEVQNWPYSFPAAEDFPKSDQRGKACGRLKVQDRYVSYDCIPANGAYVGLAPPGEVGSWQRECKGYQFWTRSDEDGNFAVENIRAGEYNIYAWVPGFIGDYKYDAVINITEGCTTDVGDLIFEPPRDGPTLWEIGIPDRSAAEFYIPDPDPMYINRLYVNHPDRYRQYGLWERYADLYPDGDLVFTVGDSDYEKDWFFAQVNRKKEDGTYQGTTWQIKFMLNIVDHTGTYILRLALATAHLAELQVRINDPKADPPLFTTGQIGHDNTIARHGIHGLYRLYNVDVPGVQLVEGENIVFLTQAINTDPLQGIMYDYIRLECPPSSSSRKL >EOY17091 pep chromosome:Theobroma_cacao_20110822:8:17812011:17813119:1 gene:TCM_036269 transcript:EOY17091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MKQSISSRKKQGINTYMDGKNSSSATRGGVSSTYRGVRKRKWGKWVSEIREPGKKTRIWLGSFETPEMAAAAYDVAALHLRGREARLNFPELIDCLPRPPSSNAEDIRMVAQEAALRVRTNAAASSSEAGGSCSSAGPGVGPVTVRLSPTQIQAINESPLDSPKMWMQMSEPLMMDEAMMFCDENGENEWDDMQNDSLWDP >EOY14489 pep chromosome:Theobroma_cacao_20110822:8:452910:454166:-1 gene:TCM_033859 transcript:EOY14489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKDQQKSLSCNIIRHVRSWERVAKISCGIFIFESLELSSSFHHIFSYQFKNNCLPGKRILPLSKDLVYLTATIIGYLLFYICGIIMLLLICHSLLYNLPDRQTVHFLYRRRQSRFYHCHC >EOY16197 pep chromosome:Theobroma_cacao_20110822:8:6154543:6159903:1 gene:TCM_035038 transcript:EOY16197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ASHR1 MEDDVELQRFLEAEGLTIKTILPKRRCLFATRDFIPGEVIMREEPYVCIPTSSNPLCQQCFKSDGALSKCASCNIVWYCGVECQRLDWKLHKLECRAISRLEKKWQELVTPEIRLLVKLFIRRKLQRDKVIPTTPIDNFNLVEAMIDHQYKMEMKKLVAYAMKAEIVTRMLQQPDLDLDKAVNNFCKVSCNANAIVDIAMEPLGIGLYPVMSIINHSCLPNAILVFEGKLAVLRALVPVEKGDEVLISYIDLGRTTRHRQHDLNAKYHFTCTCPHCCKFAEINDQILDALRCKRGKCDGFFVNKDRFYSHDQKLECNKCGLVKTLEAERRAKGKIDPLCDKGKSEFFSGSILLHFVL >EOY14519 pep chromosome:Theobroma_cacao_20110822:8:510404:512171:1 gene:TCM_033875 transcript:EOY14519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSGEGNPRFSVFDGVKTFPLTPEALMAEINTAITNLEYARATALFDSPSSSMSRNKGHDSPRYDTRMADEAYKAGCAALAAGKLDEAFHSLNVSLSKCPPEKTSAVAKLQSLISLTSQQLHKSSVSN >EOY16911 pep chromosome:Theobroma_cacao_20110822:8:13991617:14001641:1 gene:TCM_035927 transcript:EOY16911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSYSDTVMLEVCTKVSNSLALALRTLSGKNRSKKATLNSLQANGVALVGLPLHKELYHSCATSSNWKAASSTDRTLEHPKALQIFSILSKKTWGFSDASDP >EOY17181 pep chromosome:Theobroma_cacao_20110822:8:18189345:18193235:-1 gene:TCM_036334 transcript:EOY17181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein with leucine-rich repeat domain MRSCTIYSLYLLINFFFCLYPLVFCQLTSNQTNIMINISQQLDIPSSPWNSTKEPNPCRWKGVTCNIPLNNSIVSLSLSGFGLSTSKFLPMFCQIDSLQYLNLSNNFLVSIPDEFFNSCGRIDGLKSLDFSNNKLVGSLPTFHKFVGLESLDFSFNSLSGSINSQLNDLSALKILGLVLSKNNFSGPIPTEIGIYQNLVRIDLSFNSLSGQIPASIGNLTKLQVLILSSNKLTGLIPATLSSITTLRRFSANQNKFVGSIPSNITKFLSILDLSYNNLIGGIPWDFLSPSNLQTVDLSYNRLEGPIPGRMSSSLIRLRLGSNSLSGPISSIDFASLKNLMYLELETNSFTGMIPPEIGSCSKLALLNLAQNQLNGTLPVELFNLTNLQVLKLQLNKVGGEIPSQIGQLRMLSVLNISWNSLNGIIPSSISNFRNLVNLNLQGNNLTGPIPDQISNLNSLLEIQLGENKLSGKIPSMPLNLQISLNLSSNLFEGPIPKALSGLDSLEILDLSNNKFSGEIPDFLLGLSSLTELILSNNMLYGVIPKFSQHVSVNTSGNPGLKNATTNYPVSSSKRKQIAVTIVITLAAAALAVGVVAVIFLLISRHLYKVKDAQTQSWQELSPPRIMQGNFLTANGIHRSNIDFAKAMEVVANPAKVVLKTRFSTYYKAIMPSGASYYVKKLNWSDKIFQLGSHDKFEQELEVLGKLSNSNVMIPLAYVLTVDSAYLFYEFAPKGTLYDILHGSLKNSLDWASRYSIAVGVAQGLAFLHGCASSPILLLDLSSRSIVLKSLKEPQVGDIELCKVIDPSKSSGSLSTVAGSVGYIPPEYAYTMRVTMAGNIYSFGVILLELLTGKPAVSEGTELAKWVLSNSVRPDKRDHILDFSISRASLVIRNQMLAVLKVALACVCVSPETRPKMKSVLRMLLNAR >EOY16385 pep chromosome:Theobroma_cacao_20110822:8:6964751:6966184:-1 gene:TCM_035184 transcript:EOY16385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLKEPLLPSSSLAQGKMSRNSSKQKEASKLDLELPSWSYGIVIVSIYVIPLVCAIVWLDFIPLNFKTSDQPEGDKVRRLVNMGFCLGLLHVSGIGFSFLVADMAISLFLPEILKLVLGGDGEKKKIATPAWFPSISPAAAGRCLFYLLGAVLFWQINIYLRKTLYESDCPFDHILWPYVSPSCFGIIMFTLMALTFLLVRIISYAKTRATSRNTYVKIPRSP >EOY14677 pep chromosome:Theobroma_cacao_20110822:8:954042:955254:1 gene:TCM_033982 transcript:EOY14677 gene_biotype:protein_coding transcript_biotype:protein_coding description:GNS1/SUR4 membrane protein family MMQSVKHWLSEHPSIVNFRWSHTQSFGSTWSFLFSSIAVYVVAATTLHTFLSLVLPKLRRVPLGPIPAIHSLCVSLISAVIFVGILLSAAAEIRDTRWFWHRTKTITTPFQWLLCFPLGTRPSGRVFFWSYVFYLTRFLHLFRTFFTILRHRKLTFFHLFNQSILLCMSFLWLEFSQSFQVLAILLATLLYSVVYGYRFWTAIGLPSACFPFVVNCQVVLLGCNLLCHFGVIFLHFLKGGCNGMGAWGFNSVLNGVILWLFLNFYVKRHLRKRNVDDVSGHGGSSSGRSCGSSEIKSE >EOY15070 pep chromosome:Theobroma_cacao_20110822:8:2136708:2142001:-1 gene:TCM_034252 transcript:EOY15070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein MRSVCSHLLLDISLILLLFSASSNGYSDLEVLLKLKSSMIGPKGSGLEDWEFSSSPSAHCHFSGVQCDEEFHVVSLNASFAPLSGTIPPEIGLLNKLVNLTIAAANLTGKIPVEMGNLTSLKLFNISNNVFKGSFPGEILTGMTELEILDAYNNNFTGLLPIEVANLTNIKHLCLGGNFFTGEIPEKYSDIQSLEYLGLNGIGLTGKSPAFLARLKNLKEMYIGYFNAYVGEIPPEFGTLSQLQVLDMASCNLTGEIPVSLSNLKHLHTLFLQLNRLTGRIPSELSGLISLKSLDLSINELTGEIPESFSALQNITLIHLFKNNLYGPIPSFVGDFPHLEVLQVWGNNFTRELPENLGRNGKLFKLDVTSNHLTGLIPRHLCEGGRLETLILMDNFFFGPLPRELGNCTSLTKIRIMKNLLNGTIPAGIFNLPLLSIVELNDNFFSGELPTQMSGASLGQLKVSNNWITGKIPPAISNLRNLQVLSLEMNKFSGEIPEEIFNIKLLSKINISDNSITGEIPPSISRCTSLTSIDFSQNSLTGEIPKGIEKLKDLSILNFSRNQLTGEIPGEIRYMISLTTLDLSYNNFVGRIPSGGQFSVFNDTSFTGNPNLCPPRHVTCPALMNQAKGSGHGQAASFTASKLIITIITSITALSLIVVTVYRMRKRRLQKSRAWKLTAFQRLDFKAEDVLECLKEENIIGKGGAGIVYRGSMPDGLDVAIKRLVGRGTGRSDHGFSAEIQTLGRIRHRNIVRLLGYVSNKDTNLLLYEYMPNGSLGEMLHGSKGAHLQWERRYRIAVEAAKGLCYLHHDCSPLIIHRDVKSNNILLDEDYESHVADFGLAKFLQDAGASECMSSIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIAGRKPVGEFGDGVDIVRWVRKTTSELPQPSDPASVLAVVDPRLSEYPLTGVIYLFKVAMMCVEDESSARPTMREVVHMLTNPPQSAPSLLNF >EOY17508 pep chromosome:Theobroma_cacao_20110822:8:21460260:21461873:1 gene:TCM_036728 transcript:EOY17508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTSWLLNVVSKDIAASIFYTSSANEIWLDLHDWFSPKILPPIFEICCNISLYNQKNNSVVAYYTVLKGLWNELATYRSLPTSTCGTHKKFIKLQEADHLMQLLMGLNDSNT >EOY14530 pep chromosome:Theobroma_cacao_20110822:8:537797:540264:1 gene:TCM_033884 transcript:EOY14530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A 2A, IIA,PLA2A MGSIPQANVLATESPKSFLQAPIYGDLITVLSIDGGGIRGIIPGTILAFLEAELQKLDGEEVRLADYFDVISGTSTGGIVTAMLTTPNQNEGNRPLFAAKDINKFYLEHCPKIFPQDCSPFAPAANLVKSFTGPKYDGRYLQNIVREKLGGTRLHQTLTNVVIPTFDIKQLQPKVFSSLEVKNNPSINALLSDVCIGTSAAPTYLPAHQFETKDSTGNVKEFHLIDGGVAANNPTLVAMNEVTKEITRKNSDFFPIEANDFSHFLVLSLGTGSQKCEEKYPAHMAAKWGLLGWLTSEHSTPLMDVFMQASSDMVDFHIATVFQALQSEDRYLRIQDDTLCGTISSVDIATKENLENLVQVGEELLKKPVSRVNLDNGKFEPIKNQDTNEDALIRLAKVLSQEKRLRDMKSPAGNLAAKKN >EOY14652 pep chromosome:Theobroma_cacao_20110822:8:879103:881185:1 gene:TCM_033963 transcript:EOY14652 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA-processing ribonuclease BN MELQPVCLGFPVPKFKLSNRRRFSHQSAPANDLVLRNGDVRLGDVSKWCSMPKVKSFHRYYTLWSLNRGGIVCAFGSDQNSSLKLTGRENSGVAISRFGGVEPFRGKSGSVSFHGITHQLVEESKLISAPFQEEKGSFLWVLAPIALIGSLILPQFFFSNAIETFFRDETLVEIVSSLSFEVMFYIGLGMFLLVTDHVQRPYLQFSAKRWGLITGLRGYLTSSFFTMGFKVVAPLFAVYVTWPVLGFPALVAVAPLLVGCAAQLAFERILDKRQSSCWPLVPIVFEVYRLYQLTKATQFIQNFMFLMKDSPRTPEMMERGSALVGMVVTFQVLAVVCLWSFMTFLLRLFPSRPVAENY >EOY16100 pep chromosome:Theobroma_cacao_20110822:8:5790842:5791513:1 gene:TCM_034975 transcript:EOY16100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYYGDDQEGTRRRVQIFFFSKHERENLVGFHDIHAPQKENFTEGRGTGVGRKNRQSKVPARAGGNFQWGPKY >EOY16183 pep chromosome:Theobroma_cacao_20110822:8:6084225:6084876:-1 gene:TCM_035030 transcript:EOY16183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMLVGDLITNSKPYMISAICQSHHFVSCLCKSELGQERPKGQGLPHSQQLWSRVPAKVMITDLNPYLTSTASPPCFAFPHASLS >EOY15133 pep chromosome:Theobroma_cacao_20110822:8:2342152:2342853:-1 gene:TCM_034296 transcript:EOY15133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exopolysaccharide phosphotransferase SCO6021, putative MTTVPNCEAPTLTSLNDLVPLYPWKTPTPPFSRSRSMAYSKIKEPLLVKDGVRVYWQAVPGLRKDDHGDMDFEVPCGGLFEFIDKYVFKAIRRWIDRLFGELLGLPKFEEYM >EOY17301 pep chromosome:Theobroma_cacao_20110822:8:19169280:19171313:-1 gene:TCM_036454 transcript:EOY17301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWYRYLANSFDFDFELLLIFCFLSSEIVSSVLKLFLIYQKRGEILVKFPCFLVILLDNAFRKNFQIF >EOY14977 pep chromosome:Theobroma_cacao_20110822:8:1858207:1861186:1 gene:TCM_034195 transcript:EOY14977 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerases, putative isoform 2 MLKSSSFSLGHQSQLKMKGLSVADADLVVYVHPSKSRKVSQAILRELSSLLFKFNEAFDGVLLAYDVNIQDKQAKILSGVHPYFGLRLKANLLLFSPKSDMLLEGKVVKLSQESIHVIILGFSSAIITAQNIRGEFKYKTKDEEELFASRSHKRHVIKVGTMIRFLVKSFDEEILHIIGSLMPAHTGSICWLDWNLEEISEFDRSATKSRGREWQEDKTFEGTTPLSYNNHIKKSKKHRITED >EOY14978 pep chromosome:Theobroma_cacao_20110822:8:1859033:1861026:1 gene:TCM_034195 transcript:EOY14978 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerases, putative isoform 2 FNEAFDGVLLAYDVNIQDKQAKILSGVHPYFGLRLKANLLLFSPKSDMLLEGKVVKLSQESIHVIILGFSSAIITAQNIRGEFKYKTKDEEELFASRSHKRHVIKVGTMIRFLVKSFDEEILHIIGSLMPAHTGSICWSATKSRGREWQEDKTFEGTTPLSYNNHIKKSKKHRITED >EOY15781 pep chromosome:Theobroma_cacao_20110822:8:4626237:4628878:-1 gene:TCM_034747 transcript:EOY15781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF620) [Source:Projected from Arabidopsis thaliana (AT1G27690) TAIR;Acc:AT1G27690] MERKQGFFSVVRGLSPSRSRPKSPARSASPMSSLLRRRRSNNHHVSQPEPLIFRSGSFRPAEALSPLKEGPDPDGTDGGDSRMEGRWAQWMKGQLTRAPSVSTSTCKRSDLRLLLGVLGAPLAPVHVSTLEPLPHLSIKDTPIESSSAQYILQQYTAASGGQKLQNSIHNAYAMGRVRMIASEFETANKVTRNRNSSKAAESGGFVLWQMNPDMWYVELALGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPRTTASMFTNARCIGEKKINGEDCFILKLCADPATLKARSEGPAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQNNGGDAVYWETTINSFLEDYRPVEGIMIAHSGRSVVTLFRFGDTAMSHTRTRMEEAWAIEEVAFNVPGLSMDCFIPPAEIRLASISEACEFSQGPRVKTTVATAPYRAKVNTLDKSS >EOY16501 pep chromosome:Theobroma_cacao_20110822:8:7877326:7889999:1 gene:TCM_035291 transcript:EOY16501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucoanthocyanidin dioxygenase MADTNIASVLTQSPAPKSGTTSVPPKACGVISNDSLLGVDDAIPIIDYSMLTSDDPDQRSQAVKNLGKTCVEYGFFAVMNHAIPDSLINGTMGALMRFFDLAEEKKRKYQTNNYTDKIRWGRGDVHHVSREYFKIAAHPQFHCPTDPYGLREILQEYSKRLREVGINLLRGISKSLGVEEYYIQNAMNLQSGYDFFTANDYPPRVSAPNIIGQFPHNDPGLLILLMQNESGGLQLEHKGKWLNANLNPNWIIVNLADHLEVLTNGKYKSTIHRVIVNNEIRRVTFPLFMGPSLEALVSPAPEFVDDDHRPAYRGMSYKDYLEANQHHIIEGKSCLKQIRL >EOY16080 pep chromosome:Theobroma_cacao_20110822:8:5697363:5698715:-1 gene:TCM_034961 transcript:EOY16080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVIFQPKLSIRVRIEVKKQEQKEKEKAKEEKKKNVRGGKWKRGREEKKRKGRERKRKRGEGEEKEKEMKKKQAMEEQKKKQGRKMR >EOY14526 pep chromosome:Theobroma_cacao_20110822:8:522458:525798:-1 gene:TCM_033881 transcript:EOY14526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mov34/MPN/PAD-1 family protein MMSPLYNNLFTVQEDREVFFFCLAAKEKLPELRSTMSGMERLQRMFAGAGGALGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >EOY17446 pep chromosome:Theobroma_cacao_20110822:8:20588484:20596102:1 gene:TCM_036627 transcript:EOY17446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Somatic embryogenesis receptor-like kinase 2 isoform 1 MSLTNITSLQVLDLSNNRLSGEVPDNGSFSLFTPISFANNLDLCGPVTGRPCPGSPPFSPPPPFVPPPPISSPSGNSVTGAIAGGVAAGAALLFAAPAIAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSQPPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQNNYVESEVEQLIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWDEWQKVEVLRQEVELAPHPNSDWIVDSTDNLHAVELSGPR >EOY17445 pep chromosome:Theobroma_cacao_20110822:8:20588405:20596239:1 gene:TCM_036627 transcript:EOY17445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Somatic embryogenesis receptor-like kinase 2 isoform 1 MEGGSKKVKSLALVWLIFALLHPLWLISANVEGDALHSLRTNLNDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGQLVPQLGLLKNLQYLELYSNNISGQIPSDLGNLTSLVSLDLYLNSFSGPIPESLGRLSKLRFLRLNNNSLSGPIPMSLTNITSLQVLDLSNNRLSGEVPDNGSFSLFTPISFANNLDLCGPVTGRPCPGSPPFSPPPPFVPPPPISSPSGNSVTGAIAGGVAAGAALLFAAPAIAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSQPPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQNNYVESEVEQLIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWDEWQKVEVLRQEVELAPHPNSDWIVDSTDNLHAVELSGPR >EOY16902 pep chromosome:Theobroma_cacao_20110822:8:13460686:13462447:-1 gene:TCM_035884 transcript:EOY16902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCCEMELKPSKPKFNLKKVVIPYVLVDYVAVNINLVNCVITLEDSSYGHLDAIKSLLHEDQMCPLITLIPIICHKSSYFNIMHYRRTQRWM >EOY17344 pep chromosome:Theobroma_cacao_20110822:8:19580436:19581119:1 gene:TCM_036505 transcript:EOY17344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKTKRRSTRNGQSNEFEELTDSTNQYNRGHSEAIASADCINVTAKQICSE >EOY15830 pep chromosome:Theobroma_cacao_20110822:8:4786382:4792023:-1 gene:TCM_034785 transcript:EOY15830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase 6 MQASAGLVAGSHNRNELVVILRDEDSSRKAAEHLSGQTCHICGDDVGLTIEGELFVACNECAFPICRACYEYERREGSQVCPQCKTRFKRLKGCPRVDGDEEEDDIDDLENEFNLEGRSNGQDMNHGFAADAITCVSDLPRVNVHPDYQLPLLTNGQMVDDTPPDQHALGPSFMGGGGKRIHPLPFPDPSLPVQPRAMDPSKDLAAYGYGSVAWKERIEIWKQKQEKLHMMKSDDGSKDGYFDDLDLPLMDEARQPLSRKLRIPSSQINPYRMIILIRLVVLGFFFHYRVMHPVNDAYALWLVSVICEIWFALSWIFDQFPKWFPIDRETYLDRLSLRYEKEGQPSQLSHVDVFVSTVDPVKEPPLVTVNTVLSILAVDYPVEKVSCYVSDDAAAMITFEALSEASEFARKWVPFCKKFNIEPRAPEWYFAQKIDYLNDKVLPSFVRERRAMKREYEKFKVRINALVAKARKVPEEGWTMQDGTPWPGNNIRDHPGMIQVFLGQRHDIDGNDLPRLVYVSREKRPRFSHHKNAGAMNALVRVSAVLTNAPYVLNLGCNHYINNSKALREAMCFMMDPLLGKRVCYVQFPQRFDGIDSHDRYGNTNTVFFDINMKGLDGIQGPMYVGTGCVFRRIALYSYDAPKPKKPPTRTCNCWPKWCCGCFCSGRRKKKQPNKQRKARNSKQRDEEASPLVCTATDGIEEGVSLNTGKAGNNFAMSEQKLEKKFGQSPTFIASTLLEDGGTLNGAIPASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVAEDILTGFKMHCHGWRSIYCVPARPAFMGSALINVSDRLNQVLRWALGSIEIFLSRHCPLWYGYGGGLKWLERLSYVNATVYPWTSIPLVAYCTLPAVCLLTGNFIIPELSNVASLWFVSLFICIFATSILEMRWSGVGVDEWWRNQQFWVIGGVSAHLFAVFQGLLKVIAGVNTNFSTMTSKAGDDGEFSELYELKWTTLLIPPTTLLIVNLIGVTAGISNAINNGYDSWGPLLGKLFFAFWVLAHLYPFLKGLLGRGNRTPTIIIIWSTLLASAFSLLWVHVNPFVAKSDGPPLEECGLDCD >EOY14648 pep chromosome:Theobroma_cacao_20110822:8:859444:861572:-1 gene:TCM_033959 transcript:EOY14648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MRDAGGGTMFAEADSIPRAKFGNIMHSDPNLSVTAPASDDDLCLRYSSASAASPAFYEPNRMSGDGSPLTMSPWNQTSPFSKSPWTPFEDDNVPANSLIGSLIREEGHIYSLAATKDLLYTGSDSKNIRVWKNLKEFTGFKSNSGLVKAIVISGEKIFTGHQDGKIRVWKVSPRNPSVHKRAGTLPTLKDILKSSIKPSNYVEVKRKRSLWIKHSDAVSCLSLNVDQGLLYSASWDRTLKVWRISDSKCLESIHAHDDAVNSVVSSKAGMVFTGSADGSVKVWQMELHRKGTKHTLSQTLLQQECAVTALAINTPGSVVYCGSSDGLVNFWELEKQLSHGGVLKGHKLAVLCLSAAGNLVFSGSADKTICVWRRDGNIHTCLSVLTGHTGPVKCLAVEKDQESGNEQRWIVYSGSLDKSVKVWSVSEFAQIGAVQQNQQTESYDVGSFPSDGSLSSASRASQNRRN >EOY16231 pep chromosome:Theobroma_cacao_20110822:8:6350186:6351158:-1 gene:TCM_035071 transcript:EOY16231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2 subunit 3, putative MKNVPNLKTVEHLVELEIMGLNDIIILQNKVDCVKENKAVKQYEAIRQFIKGTRAEAAPIVPISAQLNCNIDCCDYIVNSYSVKGFYLRTKDTTVRSFDVNKPAAGIDELKGGVTGRSLLKGVLKLNQIIEIRPGILMEDDDGNLGCRPIILRVVSLYDEQNKLELAVPVTNWCVRFLLCLERCLMYMEK >EOY16882 pep chromosome:Theobroma_cacao_20110822:8:12655521:12656141:1 gene:TCM_035813 transcript:EOY16882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar protein 16, putative MARQLLFTAAPPLSASQAGLAAVTLLLCAFAFIKCASHSRKLRRQWRACYEFFDEDPVIEINHEVMTTGVHGYRPDQPEDVDRSMFSGGQPVWQKNILMGEKCQLPDFSGVIIYDSEGNIITPSKPPLPLLTWK >EOY17465 pep chromosome:Theobroma_cacao_20110822:8:20692394:20697666:-1 gene:TCM_036644 transcript:EOY17465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFHNMNIQFTQLINMTYISHKTWLLPESFLGMPWPRHLTETTKAVKCLYIRNSSHALALAESHSHAVVHLKCTQIFTSRDTLHTALRLR >EOY15806 pep chromosome:Theobroma_cacao_20110822:8:4710810:4711778:1 gene:TCM_034764 transcript:EOY15806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 81, subfamily D, polypeptide 2, putative MRYLHQTMFNAGTETTAAIMEWAMSLLLNHPESMQKVRAEIDAHVGHGRLLHDSDLANLPYLRCVANETLGLYPPSPLLLPHFSSEDCVVGGYEIPRGTMLMVNVWAIHRDPSLWEGPSKFKPERFEASFGEKEGFRYLPFGLGRRDCPGAAMGMRLIFLALGAAIQCFVWENVGQGKVDMSSGSGITLLKARPLEARCCPRRNSIKLLS >EOY15157 pep chromosome:Theobroma_cacao_20110822:8:2427322:2429641:-1 gene:TCM_034317 transcript:EOY15157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein, putative MDPSAPSSRLARSMLPIFLRPQCLSIRCSSSLLTTPKFQVEHGPSARNRVLRFGSVANTEEYKGKIDAKPKSVSVRVAYELLQAGHRYLDVRTCDEFDAGHPPAAINIPYFHILNGEGMSLKNSKFLEEVSSEFGKEEKIIVGCKTGRRSLMAATDLHASGFTHVTDVAGGYTAWKENGLPTTD >EOY14793 pep chromosome:Theobroma_cacao_20110822:8:1281863:1284103:-1 gene:TCM_034064 transcript:EOY14793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby like protein 6 MPIKSFVREIGNISKKSSERKHKLRRGRAHIAPEASSSSSSSGFSTALIEQGQWANLPPELLLDIIQRVEARETCWPGRRDVVACASVCRSWREITKELVKTPEQCGFLTFPISLKQPGPRDTPIQCYIRRERATSTYRLYVGLSPALSGDLSKLLLAAKKFRRATSTDFVISLVGDDFSRSSNAYIGKLRSNFLGTKFNIFDSQPPDDSTVQSSCQSYKKIHSSQVPHRVPLSNYNTANISYELNVLRTRGPRRMQCTMHSIPISAIEEGGTAPTPMAFTNCLDQHSSPLLGSKGNRLRVGCNSTGSGKPLKSVQSAPLVLRNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPSQNVTLVEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >EOY16019 pep chromosome:Theobroma_cacao_20110822:8:5445488:5449256:1 gene:TCM_034918 transcript:EOY16019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin 1 MATLTVPATVPSVNEDCEQLRKAFSGWGTNEGLIIAILGHRNAEQRKLIRQTYAETYGEDLLKALDKELSDDFERVVLVWTLDPAERDAFLANEATKRWTSSNQVLMEIACTRSANQLLHARQAYHARYKKSLEEDVAHHTTGDFRKLLLPLVCSYRYEGDEVNMTLAKSEAKLLHEKISNKAYNDDDLIRVLATRSKAQINATLNHYKNEYGNDINKDLKADPKDEFLALLRATVKCLVRPESYFEKVLRLAINRRGTDEGALTRVVTTRAEVDLKIIADEYQRRNSVPLDRAIAKDTHGDYEKMLLALAGHVEA >EOY14857 pep chromosome:Theobroma_cacao_20110822:8:1490194:1493213:-1 gene:TCM_046882 transcript:EOY14857 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MGKQSSAWIAIKQKRRWPLMILILLSLSTAMAFLIRSTFDSCTISSSNNVSHFAREKKDGEGGGGSTDDRLNRNARAPSPNPLDFMKSRLVLLVSHELTLSGGPLLLMELAFLLRGVGAEVVWVTNQKPIEADNVIHSLEHKMLDRGVQVYSAKGQEALNTALKADLVILNTAVAGKWLDAVLKENVAQVLPKVLWWIHEMRGHYFKLEYVKHLPFVAGAMIDSHTTANYWKNRTHERLGIKMPETYVVHLGNSEELMEVAENRVAKRVLREHVRESLGVRNEDLVFAFINSVSRGKGQDLFLRSFNEGLQLIRERKLQVPSIHAVIVGSDMNAQTKFETELRNFVSQKNIQDRVHFVNKTLTVAPYLASIDVLVQNSQARGECFGRITIEAMAFRLPVLGTAAGGTMEIVVNGTTGLLHPVGKEGVSPLANNIVQLARNVERRLTMGKKGYERVKERFLEHHMSERIALVLKEVLHKAKRHSHS >EOY16937 pep chromosome:Theobroma_cacao_20110822:8:15309862:15312821:1 gene:TCM_036025 transcript:EOY16937 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif-containing protein, putative MYANFPIEWVWSKSETIWKKQKRGKSIGMITYIHLAIGELYFMRMLLHVVKGLKSFKELRIIEGVVYPTFQAECEALGLLGDNREWIDAIEQASKWATSEQTQELFVTIIIYRQVRDPLKLWEQSWKLLNEDIEYKLKQAFRADRYEIPHRDHKNYTLVAFKQIFNRNCFRF >EOY14886 pep chromosome:Theobroma_cacao_20110822:8:1569274:1570681:1 gene:TCM_034132 transcript:EOY14886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive element-binding protein 2G, putative MSTSLMGGFGERKQIKKPAQASSRKGCMRGKGGPENALCTYKGVRQRTWGKWVAEIREPNRGARLWLGTFDTSHEAATAYDAAARKLYGLDAKLNLPELCVNPQYPPSSANTPIAPIGNQTQNVNNSGTSSSNSQIIMANDVKPVYNNDSVMSFPNENIGSQGNLAENNAKFGQNEDGIDGFWENMSVNLPVLDDSIWAEAAMSLDFPVMDNPGSFTGTFVDATGWDALQSPWCM >EOY14364 pep chromosome:Theobroma_cacao_20110822:8:70726:72022:1 gene:TCM_033763 transcript:EOY14364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMAKQRRANPSKRDMVAVTSCHKLLESSRFFLSLSLSNGKDTALCAPKDSHHGLVSVGISTSPAWDIACKITQTS >EOY14791 pep chromosome:Theobroma_cacao_20110822:8:1277558:1279241:-1 gene:TCM_034062 transcript:EOY14791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase family protein MQGLIRGLRSCQETVKLSILKNSLGRISIEGKNMFSRFGRVTSSPSPLGTQEKGLENMTVADVLMTKGEEKVGSWLWCRINDNVDDAMKNMAEHNIGSLLVLKPGEQQHIAGIITERDYLRKIIGQGRSPKYTRVGEIMTKENKLITVTSDTSILQAMQLMTDNHIRHVPVIDGKIVGMVSIVDVVRAVVEQQEGELKRLNEFIRGEYY >EOY16704 pep chromosome:Theobroma_cacao_20110822:8:10109409:10111819:-1 gene:TCM_035558 transcript:EOY16704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein MASEDESAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRTTRRTVPFLFVRGDGVILVSPPLRTA >EOY16864 pep chromosome:Theobroma_cacao_20110822:8:12449959:12451115:1 gene:TCM_035794 transcript:EOY16864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRLETKIVFKMMMVCHCVGQFRSFYLLILHIVLSYGVFLPEFCHLQMDGQREPRILDVIIKNGAQISILQVLKTKGLVDVKQYTTFDDILCKLLNQTKLAKLTGSSTTGGSIS >EOY14653 pep chromosome:Theobroma_cacao_20110822:8:881162:883402:-1 gene:TCM_033964 transcript:EOY14653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoate A/B protein ligase family MILAPNLCFRTIPTTCTAVKHQKEPHRFNPVTQTKTKACECYDLHKELLPYAKAWSWQKQIVKEKKALLQRNEDCPDTLIILQHNPVYTMGTGSSEKYLNFDLAEAPFDVYRTERGGEVTYHGPGQLVMYPIINLRNHKMDLHWYLRALEEVVIRVLSSTFSIKASRIEGLTGVWVGNQKLAAIGVRVSQWITYHGLALNVTTDLTPFSWIVPCGLQDRQVGSIQGLLQEFQSSTRADTQNPDDCQLIDISYKSLIKEFCEVFQLEIHQKAIPGSEFWREKQ >EOY17504 pep chromosome:Theobroma_cacao_20110822:8:21410455:21428532:-1 gene:TCM_036723 transcript:EOY17504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAADKPPDPTSNVQPVTASPPIRSGAHLYEKSALMLIAKMVRKPLYVDEATTNGTRLCGTDKLLGVRNTKFVVPIGSVGIVEPAIGLLVHKSMQTRVGEKIKGVPIELGEHCPINEQGVNEMRVSTEHIRVDSTVARSQEKIKGYVDDPPNLESTLMLLNHNQCLDVSISFMLLEVPILVTIVYATCTRSERILLWDCMRELSTGIQGPWLVRGDFNVILKKEDRLYGVDPHGGAMEDFATTLLDCGFVDGGFEGNLYTWTNNHMFQRLDRVVYNHHWLNLLPITRV >EOY16151 pep chromosome:Theobroma_cacao_20110822:8:5956577:5962605:-1 gene:TCM_035007 transcript:EOY16151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase of the MYST family 1 isoform 2 MGSIDTPTITENGTTQSHTAAAAADGDQKPLASSNGAPAEMTLELEATKKRRASMLPLEVGTRVMCRWRDGKYHPVKVIERRKVHYGGPNDYEYYVHYTEFNRRLDEWVKLEQLDLDSVETVVDEKVEDKVTSLKMTRHQKRKIDETHVEVGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDSLKLYFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILTTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQS >EOY16150 pep chromosome:Theobroma_cacao_20110822:8:5955977:5962611:-1 gene:TCM_035007 transcript:EOY16150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase of the MYST family 1 isoform 2 MGSIDTPTITENGTTQSHTAAAAADGDQKPLASSNGAPAEMTLELEATKKRRASMLPLEVGTRVMCRWRDGKYHPVKVIERRKVHYGGPNDYEYYVHYTEFNRRLDEWVKLEQLDLDSVETVVDEKVEDKVTSLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDSLKLYFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILTTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQS >EOY14811 pep chromosome:Theobroma_cacao_20110822:8:1326322:1328634:-1 gene:TCM_034078 transcript:EOY14811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroflavonol-4-reductase MGSEPQSVCVTGASGFIGSWLVMRLLENGYAVRATVRDPDNVKKVKHLLELPKADSHLTLWKADLSEEGSFDAAIQGCTGVFHVATPMDFESKDPENEVIKPTINGVLDIMKACVKAKTVKKLVFTSSAGTVNVEERQKPVYDESNWSDLEFVYAKKMTGWMYFVSKTLAEQAAWKFAKENNLDFITIIPTLVIGPFLMSSMPPSLITGLSPITGNEAHYTIIRQGQFVHLDDLCMAHIFLFENPKAEGRYICSSHEATIVELAKMLREKYPDYNIPTKFKDADENLANVVFSSKKLLDLGFQFKYSLEDMFTEAVETCREKGLIPAPIKKDAVDVKGCTTPVPV >EOY15688 pep chromosome:Theobroma_cacao_20110822:8:4255569:4265341:1 gene:TCM_034675 transcript:EOY15688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 7, putative MIGGHGCYKAKLQGYTRTHSNRMTFATIKGGGHTAEYTPKECFAMFKSQSHFQYVVNLSIKIIQANPRQNLAEANSPVCSQPRTSHSLVKFLPGFEGPLPFELETGYMDVEESDDVQLFYYFVESERNPGEDPLLLWLTGGPGCSGLSGLVYEIGNGADLYELYDVIYLSSFKSLLQVSRVSSFSNWAKLPCHQKEKALKRFSIKQSVDFVFQSLKRSCRGEYQNINPSNVECQKDLQYYTKTYSVLLSSYWANDGNVQKAIHIRKGSIGQWKRCDFGLPYTADLPSSFLYHVNLSTRGYRALVYSGDHDMLVPFLGTEAWIKSLNYPIVDDWRPWMVQDQVAGYTRTYSNQMTYASIKRGGHTAPEYKPAECFAMFKRWISREPL >EOY17080 pep chromosome:Theobroma_cacao_20110822:8:17445819:17461948:-1 gene:TCM_036238 transcript:EOY17080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MGGKESESLLLCLSLPSQIDYSLMEKEKEGERIDKINHFSHPHPLLFNDEEQSDKSKEARCSACWESLLGSSFSCGECDFHLHKKCAEAPLEIHSPFHCKGGTLTLVPNPPWEPPLSICDLCKENRKMFVYYCSSCFASLDIKCAFLLHNMDENFRELKYVTHEHPLTFIENPNDELKRAHCHWCQKPLVDSLYVCLDCRFYLHKKCAQLPAQLHHPCHRKHPLYLEHGNLGCKACQMKHWSLFYRCLPCKFDIDIECALPRLKFVVECNKHSFTQLFRDVLFVCDACGTEGNYVSYICSTCHSMIHKNCISLPRIIKTTWHQHEIIHNYFFQKRELEKHDCGICLGEVQMQYGSYDCLKQDCNFVAHVDCAMEKYLATGQINDQDEESSENLASITCVVETNQHGEAIKIKHFSHEHDLTLDNEIKEDDDKRCDACMLSISTSFYYCSQCEFLLHKTCVELLRKKHHWFLESLFTLHLENIFQCGLCQHYCSGFAYHGDYYKFCLRCAGISRILPRQRHKHTLFFDFDLNKGQCNACGDYIHRGYKCKDCTFVLHVKCMSLPQRARHKCDKHFLELTFHDENADLEEYYCDICERQRNPNHWFYHCVICDNSAHSKCVFERYPFLNKKIGKTYKDCFHGHPLICVKKSYDICLRCGLPCQDIALECKSCNFTVHFDCLYFEDLVAFL >EOY15404 pep chromosome:Theobroma_cacao_20110822:8:3158676:3160133:1 gene:TCM_034478 transcript:EOY15404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGHRESTCPHYQVPKVPLSPYQDWHTPTSQADRNHAFCTGQFFTPPQPPKRRRYGRWITLNQKYRSM >EOY16792 pep chromosome:Theobroma_cacao_20110822:8:11210127:11211046:-1 gene:TCM_035672 transcript:EOY16792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPRNHLCLVLTIFLSVFALSPMPTIAVISRSSQVKVPLPAPSSKLVAQLCNGKSILNRRFCLKALSNPEAAAAKDLNQLANVLMKLAASNAQATLNVINYMVKQPGSPQSLKALQTCVDVYKYAIQSFGMIFAEFSEDPMTANYDVSVVGPEADNCENAMAAAKIRAPRISAGNRFLRYYSSMGSEITASVEVGTMGRR >EOY17035 pep chromosome:Theobroma_cacao_20110822:8:17153791:17155041:-1 gene:TCM_036196 transcript:EOY17035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQYGKHAQGQGSINTIYFSICLIGTRIGLYALIIYLLRWVLDAAKYAISKGDHEEKLLLSQICGSHLEKLPITKSLRNPFISNLYFLIVRSHEKKFGATTNC >EOY15805 pep chromosome:Theobroma_cacao_20110822:8:4709209:4710993:1 gene:TCM_034763 transcript:EOY15805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 LYAALETLLSQHGPVLYLQFGSRRVLVVSSPSAIEECFTKNDIIFANRPQTMAGDILTDKYVTIAWAPYGDLWRNLRRLSVVEIFSANSLQRVRSIREEEVRNCVQQLSKL >EOY14880 pep chromosome:Theobroma_cacao_20110822:8:1554204:1555745:1 gene:TCM_034128 transcript:EOY14880 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent protease La domain protein MALPQLIPSHAASSSLTHQPSINTNALNPSLLNPNFKPFSKSSSLSQSRRFHRLSSLRCSASSFPEKHHTNPPKSDDVVELPLFPLPLVLFPGAILPLQIFEFRYRIMMHTLLHTDLRFGVIYSDAVSGTADVGCVGEIVKHERLVDDRFFLICKGQERFRVTNIVRTKPYLVAEVNWLEDRPSGDEDFEGLANEVEIYMKDVIRLSNRLNGKPEKEAQDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTAARLKREKETLRNTLNYLSAASAVKDVFPSS >EOY15354 pep chromosome:Theobroma_cacao_20110822:8:2996191:2999784:-1 gene:TCM_034445 transcript:EOY15354 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MGTTQQEQEEPLILSTTDQSRHFHRQQQEPEETNLISKIWFETKKLWLVAGPSIFSRLAMFSMTVITQAFAGHLGDLNLAAISIATTVIIAITFGFLLGMASALETLCGQAYGAKQHQMLGIYMQRSWIVLFICSILLLPLFIYAAPILKLIGQPTNVADQTGLVAVWLIPFHLSFPFQFTLQRFLQSQLKTAVIAWVSAIALAVHVLVSWAFVYKLRVGIVGTAITLDISWWLSVLGLFLYAVCGGCPLSWNGFSSQAFVGLWDFFKLSLASGVMLLLENFYYRILIIVSGYLHNTEIAIDALSICISIFGWESMIPLGFLAATGVRVANELGAGNAKGVKFATIVSVITSLAVGFFFWSIIMGFHEKLAMIFTSSSPVITMVNKFSTLLAFTVLLNCIQPVLSGVAVGSGWQAVVAFVNIGSYYIVGVPLGIFLGLLQFGITGIWAGMICGTVVQTLILTIITMKCKWEIEAQKAQMHISNDTASYL >EOY15483 pep chromosome:Theobroma_cacao_20110822:8:3478749:3480646:-1 gene:TCM_034529 transcript:EOY15483 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MENSKVLHIVMFPWLAMGHFIPFFRLSKLLAQRGHRISFVSTPRNLSKLPKIPSNLSSQITLVSFPLPEVPNLPSLAESSMDITHNQQQPLKHAFDLLQPLLTSFLESSKPDWIIYDYASHWLPSVAAQLGVSRAFFAVFTAACLSFLGPPSALINGGDGARSTADDFTKVPKWVPFESNLAYRLHEITKYVERTDEDTFGPPDTVRFGVTIQESDVVVIRSSDEFEPDWFNLLRQLFEKPVTPVGFLPPILEEDEIQKDEKWVVVKEWLDKQRVNSVVYVALGTEVHLSKEELSDLAMGLEKSGLPFFWVLKKSPGSSQSELDMLPDGLEERVKGRGFVHLGWVPQVKILSHESIGGFLTHCGWNSVIEALGLGRVLIMFPVLNDQGLNARLLHERKVGVEIPRNEIDGSFTSDEVAESVRLAVVEESGQSLRETVQAIKSYFGDKGRNDGYVDKFVRQLEENRK >EOY16498 pep chromosome:Theobroma_cacao_20110822:8:7764290:7780110:1 gene:TCM_035283 transcript:EOY16498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIMEKNYTVFVHILGMCWTVIEDSRMGRRKKKEWKSKMYIKRLREPFGLVVSKEFFRQNTHRFPHACAWKGSLLLRLPLRFLCICFDLFSKLNLQVRFNFATLLIVRGNIYNELRLVLKMDKIKCQKKKLKKEKIGVICVIFT >EOY14357 pep chromosome:Theobroma_cacao_20110822:8:49221:51213:1 gene:TCM_033754 transcript:EOY14357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF303) [Source:Projected from Arabidopsis thaliana (AT3G53010) TAIR;Acc:AT3G53010] MLSFILLALLAHALPVKCQNLLQQKLNIIILAGQSNMAGRGGVANDTATGIPTWDGVVPPQCQPNPSIIRLSADLAWVQAREPIHADIDARKTNGIGPGMPFANAVLTKDSNFGVVGLVPCAVGGTAISEWQKGQFLYEQLVKRAQMALQSGGVYRAMLWYQGETDTFSQEDVELYKGRLKRFFNDLRSDLQAPMLPIFQVALASGEGPYIEEVREAQLGIGLPNVKCVDAKGLPLGPDGLHLTTLSQMSLDDP >EOY17008 pep chromosome:Theobroma_cacao_20110822:8:16746554:16753497:1 gene:TCM_036156 transcript:EOY17008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MIDIRPTLMGNIKFHFLWMLLIQCFIIGFTRRMIVSNLNTDQFTLLEFKNQILNHQDVLASNWSSTSSVCHWVGISCSASHGRVSVVDLSNMGLKGTIAPHLGNLSFLVSLHLSGNNFHGYLPKELAKLRHLKLIDLSYNIFNGGIPLWFGASHKVKYLVLSNNNFTGTIPPTLADMSNLETLDLRDNLIQGKIPMRLAIFKSQRCFVYHSTHFLAPYLQASLICPHRNRFLYHIINYQETSRIILDYNSLEAQTSYIYSKPMPPVPLKLSPRGFLQSEIPSEIGNLNRFEIFAASEMHLSGLVPPSILNISSLKEIYLHNNSLSGNLLNNFNCIS >EOY17033 pep chromosome:Theobroma_cacao_20110822:8:17148094:17150823:1 gene:TCM_036194 transcript:EOY17033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MEKEGERIETINHFSHPHPLLFNDEEQSDKSKEARCSACLESLLGSSFSCAECDFHLHKKCAEAPSEIHSPFHCKHAALTLFPNPTWGSPYYICDLCKENRKMFAYYCTSCYASLDIKCAFLLHNMDENFRELKYVTHEHPLTFIENPTDELKRAHCCWCQKPLVDSLYVCLDCRFYLHKKCAQLPAQLHHPCHRKHPLYLEDARLDCKTCQKKHWSLFYRCLPCEFAIDIECVLSRLRPVIEHDHSFTQFLTDEFICDACGTEGNYVSYICSTCHSMIHKNCISLPRIIKTTWHQHEIIHNYFFQKRELEKHDCGICLGEVQMQYGSYDCLKQDCNFVAHVDCAMEKYLATGQINDQDEESSENLASITCVVETNQHGEAIKIKHFSHEHVLTLDNEIKEDDDKRCDACMLSISISFYYCSQCEFLLHKTCVELPRKKHHWFHESLFTLHLENIFQCGLCYHYCSGFAYHGGNNYKFCLRCVGISRILPRQRHKHTLFFDFDLNEGQCNACGDHISDEGAYKCKDCTFSLNFDCLTLPQRARHKCDKHFLELTFHDEKDDLEEYYCDICEEKRDPNHWFYHCAICDNSTHPNCVFERYPFINKKIGKTYKTWYHSHPLIYVKKSYDICDWCGLPCQDIALECKSCNFTLHFDCLDLEDLVAF >EOY16925 pep chromosome:Theobroma_cacao_20110822:8:15124196:15128030:-1 gene:TCM_035998 transcript:EOY16925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter, putative MEMEKPSDHQMKSSENQHAQQQKQITSQKGGLKTMPFVISNETFEKVAGIGLQANMILYLKNKYHMSTATGTNVLFLWSAISNFMPILGAFLSDSFLGRFRVIALGTVISLLGMSLLWLTAVLPQARPPDCSSQNLESCASPKAAQLALLFSSFALMSIGAGGIRPCSLAFGADQFNNPSNPKNERVLQSFFNWYYASVGISIMISITVIVYIQDKAGWAVGFGVPAGLMLFSTVMFLLGSPLYIKMKANKSLFTSFAQVIAAAWKNRHSALPPMDSDGWYYHKGSKLIAPTEKLRFLNKACVIRNPEKDLDLDGWAIDPWNLCTVREVEELKSLIKVLPIWSTGIMIATTISQHSFPVLQATVMDRHLFAAGFQIPAGSFGVFAMITLTIWVAVYDRIIVPLLAKFTKRSRGLTSKERMGVGLAISCMATAVAATVESKRRAAAIRKGLADNYYMPVDMSAMWLVPQYSLTGLAEGFNAIGQIEFYYSQFPKSMASIGVALFALGMGVGNLVGSLLVAILNSVTQKKGEVSWVSTNLNKGHYDYYYWLLTILSVINVLYYLVCSWAYGSCENKMVLDEAEELGIKELEEVEGGGKGKGSPIL >EOY14633 pep chromosome:Theobroma_cacao_20110822:8:785020:788793:1 gene:TCM_033947 transcript:EOY14633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein, putative MLPRRGRPPVTRSVRQGRGRLRQNRPDPMEKESVASIIRAAPAAEQPGSPPHPPPPTDIPAMPPEVAQLLAAFFIAMAVEEYEARFSELMLYVPDLVKSEQDQASYFEEGLRNEIREQMTVIGREPYKEVVQMTLRAKKLANENRRMRAEIAKRRNASGSSSQQSKRGKDSMASGSHYKSDCPQLGRATVAVPSPSTRTNIQRKYSTKVQPRQGVTIRSDVESNTPAYPPPRP >EOY16705 pep chromosome:Theobroma_cacao_20110822:8:10154343:10159184:1 gene:TCM_035562 transcript:EOY16705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factors MEGNLSQGGMISGGGSFGGLDVQGSMRVHHHAQHPHNIHQHHHSNPRQGASIHPSIHEGFPLTMGTMQNCDQTIAMTDYNKGERRKSSVSDEDEPSFTEEGVDGHNDGTKGKKGSPWQRVKWTDKMVRLLITAVSYIGEDAAGDCGGGMRRKFAVLQKKGKWKSVSKVMAERGYHVSPQQCEDKFNDLNKRYKKLNDMLGRGTSCQVVENPALLDVIDYLTEKEKDDVRKILSSKHLFYEEMCSYHNGNRLHLPHDPQLQRSLQLALRSRDDHENDDARRHQHDDLDDDDHDMETDDHDEFEENHALHGDSRGMYGVLGGSAKRSRQGQVHEDACFQNSLNSQDCNKSSFSYSPINQADMNQVLPDNTRAAWLQKQWIESRSLQLEEQKLQIQVEMLELEKQRFKWQRFSKKRDRELEKMRMENERMKLENERMALELKRKEFAAD >EOY15147 pep chromosome:Theobroma_cacao_20110822:8:2383510:2387494:-1 gene:TCM_034308 transcript:EOY15147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zim17-type zinc finger protein METLGSPAAAMVSSSPSSLSIFSPKRKDSPARIIRFPTSSKKDGNDSDLQSDANNTSIVPLFGNQTFSKDEAMGLVLSAASVRGWTTGSGMEGPSVPARTDDQSNTEKVSTFPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHEMKCYVSPSFNYKGDRQWDVGFKLFGEEEDDDSGNVFPNMM >EOY14597 pep chromosome:Theobroma_cacao_20110822:8:678151:683317:-1 gene:TCM_033917 transcript:EOY14597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein isoform 2 MGETENHHHPPPPPQVVPPPSAPPNLGLSRGPTWTPAEQLHQLQYCIHSNPSWPQALLLAFQHYIVNLGTTVLIASTIVPRMGGDHGDKARVIQALLFMSGINTLLQTLIGSRLPTVMGASFAYTLPLLSIINDYTDEAFTSEHDRFVRGMRTIQGSLIVSSFVNIILGYSRAWGEMTRLFSPIVVVPVVCLVGLGLFARGFPLLGNCVEIGLPMLILLVISQQYLKRIHSRAHLILERFALLLCIGIIWAFAAILTVSGAYNNVKPATKQSCRTDRSYLMSSAPWIKIPYPFQWGTPIFRATHVFGMIGAALVSSAESTGTFFAAARLSGATAPPAHVLSRSIGLQQGVGMLIEGLFGSLVGTTASVENVGLLGLTHIGSRRVVQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCVLLGIVAATGITFIQFANNNSMRNIYVLGVSLFLGLSIPQYFAMNTPLDGHGPVRTDAGWFNNILNTIFSSPATVAIIVGTVLDNTLEAKHVDDRGVPWWKPFQHSKGDVRTEEFYSYPLRLNEYMPTRFL >EOY14596 pep chromosome:Theobroma_cacao_20110822:8:677994:683112:-1 gene:TCM_033917 transcript:EOY14596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein isoform 2 MGETENHHHPPPPPQVVPPPSAPPNLGLSRGPTWTPAEQLHQLQYCIHSNPSWPQALLLAFQHYIVNLGTTVLIASTIVPRMGGDHGDKARVIQALLFMSGINTLLQTLIGSRLPTVMGASFAYTLPLLSIINDYTDEAFTSEHDRFVRGMRTIQGSLIVSSFVNIILGYSRAWGEMTRLFSPIVVVPVVCLVGLGLFARGFPLLGNCVEIGLPMLILLVISQQYLKRIHSRAHLILERFALLLCIGIIWAFAAILTVSGAYNNVKPATKQSCRTDRSYLMSSAPWIKIPYPFQWGTPIFRATHVFGMIGAALVSSAESTGTFFAAARLSGATAPPAHVLSRSIGLQGVGMLIEGLFGSLVGTTASVENVGLLGLTHIGSRRVVQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCVLLGIVAATGITFIQFANNNSMRNIYVLGVSLFLGLSIPQYFAMNTPLDGHGPVRTDAGWFNNILNTIFSSPATVAIIVGTVLDNTLEAKHVDDRGVPWWKPFQHSKGDVRTEEFYSYPLRLNEYMPTRFL >EOY16692 pep chromosome:Theobroma_cacao_20110822:8:9862280:9863260:1 gene:TCM_035533 transcript:EOY16692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar iron transporter family protein METTNGTSFNNQKFTIPVVNDVEQQTSMELEAKDDFNYSKRSQWLRAAVLGANDGLVSTASLMMGVGAVKQDIKAMILTGFAGLVAGACSMAIGEFVSVYSQLDIELAQMKREKQRGENRETEAEEDGKEGEKESLPNPLQAAAASALSFSVGAMVPLLGASFIREYKVRLGVVIGAVTLALLVFGWLGAVLGKAPTVRSAVRVLVGGWLAMAITFGLTKLIGSSGL >EOY14964 pep chromosome:Theobroma_cacao_20110822:8:1816128:1820584:-1 gene:TCM_034187 transcript:EOY14964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MHCLTKRRPSKTLVCVNACAVGRDSEAWENPNEFHPEMFIGSSIDYEELEFELIPFGGGKRGCPGIYIGAATVELALANLHTNLIEQLGLG >EOY15343 pep chromosome:Theobroma_cacao_20110822:8:2945126:2948222:1 gene:TCM_034435 transcript:EOY15343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple chloroplast division site 1, putative MASVWSLHFHSLPFQPSIRGWKDRVSSDGTPFLLHRSLSQQLSWIQLTTNRRFLLRAINDSVSSDEDQRAQNEVADTAKQTLVVDSKDPLSTFQESIASFPPVVFLMKKCPGNNFAIGLCTAIAILVVAVRAYMARKSRQSHPGSVADLVRRGQLRSDRRGISRPLKYEDPFNNPFVKVGKSNSTVEMCGKLYRLAPVTLTNEQQAIHQKRRSRAYPWKRPTIFLKEGDSIPPDVDPDTVRWIPANHPFATTANDIDEDLAQNNVYQKHGVPFRIQAEHEALQRKLEALQNEEKLNNLFIDSRNAKDFQRPFKLNARPDELAEEGPTNNHAVDNKPPEPERASNSIESNLSSEETQKP >EOY14626 pep chromosome:Theobroma_cacao_20110822:8:755698:759234:1 gene:TCM_033940 transcript:EOY14626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative MFTSSNNIMRTTPLPDLSLQISPPSVSDCKAKEMAYDGLPRKSIYSDRSSTTDSGSSGSDLSHENGYSNPGPGEPTLSLGFEMADLGPPHLQLPRNLNHHQHQYQPQIYSRDFKRNARMINGVKRSIRAPRMRWTTTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDKGSGQGQTEMSLNQRTGIVDLDGRLSCGKADTNPSYSLKPSSPSSQPTPQRTQSGSWLSSTKTNNLSISSHGNGLTFKPNHVKVDGDKAVLHVSDRMKERLDSSSLSPSDMFLNLEFTLGRPSWQMDYAESSNELTLLKC >EOY16781 pep chromosome:Theobroma_cacao_20110822:8:11016836:11019197:1 gene:TCM_035655 transcript:EOY16781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MSEQTYTIKMAIFAYLEFLILPVIILFFIVLRCYSRKNKDLPWNWPIIGMLPMILTNWHRLADRCTEILESSGGTFTLKGFWFVNMDLIITSDPANVHHILSSNFSMYPKGVEWKKRFDVFGSGLINSDFEEWKNRKVFFRGYLSHQRFHELLPKIFEDSMKNKLIPSLEHVSKQDIPVDFASLLKGHIFYISCRFATGCDACSSFPVSFHENIFPNAIANACEAIFARHLFPESIWKLQKWLGIGKEGKLRDARITIDNVLAEQISLKRKEMSDRMAEEDIDFNALELHLIGHRLLGPLSVSNSLIRDNMLALMFGTQDTTSTALSWFFWLLSRHPAVENRIREEIQEYFPENGETKWLAYGAKELDKLVYLHAALCETLRLFPPVPFQTRTPLQNDTLPSGYRVNQGQNILICTYAMGRMTSVWGEDCREFNPERWITHDGGIKHEPAHKFFAFNAGPRICPGKDLGFTLMKAIASTIIHNYHVQVVENHVVTPKSSIILHMKHGLMVTVKKRWP >EOY15135 pep chromosome:Theobroma_cacao_20110822:8:2344190:2346685:1 gene:TCM_034298 transcript:EOY15135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MALTKSKLRFPSSISPLSQRFFKLYSSTPTSLRGDESEETNMSEKPKIAALSPEEAEVAEKFHSLIKDHHRKNPNPDLNSAPPTPDFTIPSLSLDFSKISAVHSISPSLVRHVIDKCGGVRHGIPFLQTLSFFNWATTRPDFASSPDPYNEMIDLAGKLRHFDLAWHLIDLMKAKNVDVSIETFSILIRRYVRAGLAAEAVHAFNRMEDYGCVPDKIAFSIVISSLCKKRRAEEAQTFFDKLKDNFEPDVILYTSLINGWCRARNISEAERVFKEMKMAGIKPNVYSYTIVIDALCRCGQITRAHDVFAEMIDVGCEPNSITFNNLMRVHVKAGRTEKVLQVYNQMKRCGCPADTITYNFLIESHCRDDNLDEAIKVLNLMIKKECIPNPSTFNTIFKCIEKLQDVNAAHRMYAKMKDLNCRPNTVTYNILMRMFAGAKSTDMVLKLKKEMDENEVEPNVNTYRILITMYCGKGHWNNAYKFFNEMIEEKGLKPSMSLYQMVLEQLRKAEQLKKHEELVEKMVDRGFVTRPL >EOY16682 pep chromosome:Theobroma_cacao_20110822:8:9596480:9598197:1 gene:TCM_035512 transcript:EOY16682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKMGSSMTSLFVTLLVLAVSLSLPSETTANYPYASPPPPPHYYYKSPPPPPPVYSPPPPKIPYKYPSPPPPVHYPPPPYEYKSPPPPPPLYKYKSPPPPPKHPYKYKSPPPPPPVYKYKSPPPPPPSPPKHPYKYKSPPPPPPVYKYKSPPPPPPSPPKHPYKYKSPPPPPPVYKYKSPPPPPPSPPKHPYKYKSPPPPPPVYKYKSPPPPPPVYKYKSPPPPPPSPPKHPYKYKSPPPPPPVYKYKSPPPPVHYPPPPYKYKSPPPPPPVYKYKSPPPPPPSPPKPHPYKYKSPPPPPPVYKYKSPPPPPKEPYKYKSPPPPPPVYKYKSPPPPPPPPVYKYKSPPPPPPHYVYSSPPPPHHY >EOY17397 pep chromosome:Theobroma_cacao_20110822:8:20020039:20025494:1 gene:TCM_036559 transcript:EOY17397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAREEEFEVEQEQKDKRLETPLNERQLWFLDNGYSRHMTTNKNLFARLDRNWSGSVSFGDDSKGVIQGSETIGNTSQTQIRHVLYVKGLKHNLLSISQLCDRGFRVCFDSHGCQVINVNINKVAFIGKKIKNMYVIFLDDKASESCLLPMMYVDSWL >EOY16079 pep chromosome:Theobroma_cacao_20110822:8:5696465:5697222:-1 gene:TCM_034960 transcript:EOY16079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLCLQPTLSINFGIYFQKREQKVEEKEKKMKAKELERRNKEEGERRKMEEKRRKREEKEKEKKKKRQEEVERKRAQEETKKRKMEERRKEKEKKKRQEEVEKKRAQEQMMKKKEDAERRKREETKKKQAMAEQKKKQGRKKK >EOY17472 pep chromosome:Theobroma_cacao_20110822:8:20809460:20810284:-1 gene:TCM_036660 transcript:EOY17472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRPSPTEKTFKPSEAVMPDELLEYARGGKPPWGLPWHEMSPLTSLFPIICHQAGYLVSSHHQKQPLSQMKYKLNEKTQIQKDSYYCGDWVIAALQSLISSDDQTLKANAIEGIRTKFTLEIFANSLSC >EOY16806 pep chromosome:Theobroma_cacao_20110822:8:11493681:11496438:-1 gene:TCM_035695 transcript:EOY16806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVLTGCFSTLWNWASYYICVSKAAILISGLAFGHGLLDNAFTNFLISYLKDRWERPYSLRKAAAIVNAYEGGTMFVAIFLDYIAYNQHVGHYKVIICTTSALIMGLVVLWLSTWLLTVSMSSRLFYLALGLLILGIAGKLAAFKPFLYNQFSQKMKMDINEKKVEARGDFWLYIAAFTGAVVSTTLLSSLPWKETFMISAIVMVLVYVFFLYGKSCYDLASPKKNSTLTSRVKQVTQLLKLLPVWTTFLVYSLVEATGSTFFIEQSSNLNDRIGNDFRIPINSFDRIPINSFDLLQSIISFVISQLSDFLIQKLGNEDKQRRARLVRIGLGMFISFLSCIAAWHVEVSRLNLINQSEISDNQNKSTSMSILWLVPQFVFLGLTKGLVGEGLKHFFYDHVEVSMKQLEGPFNLSVRGVGRFLSVIFILVFRHWIGDTINTSRLDEYMRMLAMLNLWTLIVYIFLLNTYEWKIVPPQEESSCVHMEEGGPASFMETKSASIEPSAPLVFVAEYSPQHYLIESHSASWETKLPTDQIPESPTRRLVTKFKSEGLEPETSSFHVRAKSQLLSPRRLIVLKKSSNSLFFHRDAKVAYQRSQSCPTSVPTKLSIRSSIHV >EOY17503 pep chromosome:Theobroma_cacao_20110822:8:21341869:21344999:-1 gene:TCM_036719 transcript:EOY17503 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein MDLKERLSGELQKKVPEHPSFTNFNTQHQQKWEDPSILDYSTRIEPPFHVFNQTSQTQSSLPRNPNNQIKVLGQDGPVNETLLANKVQDWDPSAMLNNLSFLEQKIHQLQELVHLIVGRRGQVLGRPDELVAQQQQLITADLTSIIVQLISTAGSLLPSVKHTLSAASPSIGQFGQFGGVVFPSGQGLNGGVQPQSAGGSRISEQPNSVDVSSNSGNEQNHIIEEHELKDEEDVEEGENLLPGTYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPNKESSSEPTLIKRYSCPYAGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYTCSRCNTKKFSVIADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHITLFQGHTPAIPLDENKGSAGPSDHRGDGNQATNKVGSMNFNFSSNVSSEGGVQSSVDVKGSIDDPAGYFSPLNFDTCNFGGFHEFPRPPFDDSENSFAFLLSGSCNYSQKSGED >EOY17218 pep chromosome:Theobroma_cacao_20110822:8:18455793:18466391:-1 gene:TCM_036370 transcript:EOY17218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erythroid differentiation-related factor 1 MEKSGGGGAAAATPSIEGSGELQCVGKMEIVKPKPVGFLCGSIPVPTDKSFHAFNSALVPSSRQTVCAPRYRMLPTETDLNRPPLVTNLPEKVLPIGAVQSKATGDIIWEDGAVASNLSRKCEALAVSGLVEYGDEIDVIAPADILKQIFKIPYSKARLSIAVHRVGQTLVLNTGPDVEEGEKLVRRHSNQPKCTDQSLFLNFAMHSVRVEACDCPPTHQVSQERQSDSSVLPGGGTSHFVAETDDIARKEGFDHCSEYSQVKQDGFFWRSKKGKRNKSHDPIKKATHVGEKPRCSVQESEKHRRVGNNGFLRVLYWQFHNFRMLLGSDLLLFSNEKYAAVSLHLWDVTRQVTPLTWLEAWLDNVMASVPELAICYHQNGVVQGYELLKTDDIFLLKGVAEDGTPAFHPHVVQQNGLSVLRFLQENCKQDPGAYWLYKSAGEDVIQLFDLSVVSKNHSSSDCDDSSSSLPSLVHRGRSDSLFSLGTLLYRIAHRLSLSMATNNRAKCAKFFKKCLDFLDEPDHLVVRAFAHEQFARLILNYDEELDLMPEYLPIECEVTVTDGGEESAEPFNGFSESAVHDFSLVADNKLTEGGTDFHDLASEASAKMTLETNLSAPRKLITLTDTELGDEESVVPRFHGDENFMVYNMSSTSDDVVQPVTDPISSKLAAVHHVSQAIKSLRWMRQLQTSEPQLVNHDQLPSSMNFSVCACGDADCIEVCDIREWLPTSKLDHKLWKLVLLLGESYLALGQAYKEDGQLHQALKIVELACSVYGSMPRQLEDSRFISSIVKCSPSHTKFSDQDEKKNSFTGDVKEVKSNSADNCYIVEQFSSTYLFWANAWTLVGDVYVEFHIIKGKEISTQAERKTSTRELKMSSEVVKEVQRLKRKLGQYNQNCTSCSLVNCSCQSDRASSGNSASSSGGDTHAVTYSRKHGKRYVKNRQSPDSGQFWHNGDGDNIIRVSNTIKDEPGVNSLATTNSEPAEASFEVHGKKSKVAIETEISLKETPKLKDGGIFKYLRNTLVADAEHNLLSALSCYEEAIKALGELPSGSADLQSVLKKKGWVCNELGRNRLELKELNKAELAFADAINAFREALDYTNIILIYCNLGHGRRALAEEMVTKMEGLKLHEVFLNAYKQALETAKLEYSESLRYYGAAKSEMNAIMEEVYSVSNSLKNEVCTQFAHTYLRLGMLLAREDITAEVYENGALEDISYPRDRRARKKLRKHEITANEAIMEASSVYELLGELRKQEAAYTYFQLACYQRDCCLKFFVPDHKKSGLPKGEKSVHQRVKQFASLADRNWQKAIDFYGPQTHPSMYLTILIEKSSLSLSLSSSFQSNMMLESALSRLLEGRHVSEAFLNLFTTDYPELHARFWSQLQTVLKKMLAVNVSVNSNKTCSSSETGNKSGDGGKLRELYKMALKSNHLGQLHAMYTLWSSS >EOY14516 pep chromosome:Theobroma_cacao_20110822:8:503043:507479:1 gene:TCM_033873 transcript:EOY14516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAREGRAKWGGFGSGSGQGQCSYKRITLIVCSVNIVIALYVLRHLYASLYIYSNKDNVVKYTPDQIRKMEESMKIRRASEPVELVKLVKQLKHEFSGEESVAELPQAVKHKITDEILQRLRSLRPNANASEQQEVVETWRKEKLKEAKMLALGGEGLKSTLSQEEAGMLVKTLESSWAMLLEDIGLWIPTEINNKEHDDKPEGVEDTDEDQILAGRPLPPECRAELHTDYDGAAVRWGLTHRKESAADCCQACLDQAKHAKPGEKKCNIWVYCPLEGGCYSPDIYEHKHMECWLKASEKPRLNFKDSYSEAYRNSHPTAPVIVPWVSGVVSV >EOY16372 pep chromosome:Theobroma_cacao_20110822:8:6914464:6919766:-1 gene:TCM_035174 transcript:EOY16372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nramp transporter MGSLQQQATDLALPKSWGGGSNRIAAVNVEGSTPESFPSNDNKSSDHDHDPDHEKPGWRKFLSFVGPGFLVSLAYLDPGNLETDMQAGANHGYELLWVVLIGLVFALIIQSLAANLGVSTGKHLSELCKAEYPVLIKYCLWLLAELAVIAADIPEVIGTAFALNILFHVPVWAGVLCTGLSTLLLLSLQRYGIRKLEMLIAVMVFVMAACFFGEMSYVKPPATGVLKGMFVPKLSGQGATGDAIALLGALVMPHNLFLHSALVLSRKVPNSVRGINAACRYFLMESGFALFVAFLINVAVVSVTGTVCLADNLSSEDQDRCSNLTLDSASFMLQHVLGKSSSTLYAVALLASGQSSTITGTYAGQFIMQGFLDLKMKKWVRNLMTRSIAITPSLIVSIIGGSQGAGRLIIIASMILSFELPFALIPLLKFSSSSTKMGPYKNSIYIIVISWILGLGIIGINVYYLSTAFVGWLIHNDLPKVGNVFIGIIVFPLMAIYILSVIYLTFRKDTVVTYIEPDKNDPTAQARMESGLSNLDGSFRADNVPYRQDLADIPLPE >EOY16631 pep chromosome:Theobroma_cacao_20110822:8:9084267:9084888:-1 gene:TCM_035454 transcript:EOY16631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENKISMLLMVALVFLGYVSMSGNARAVPEASQLNFGVFPKGVPIPPSGPSRRTSASPPPPPPIRLLNFGMFPKGVPIPPSGPSRRTSASPPPPPPTGLLNFGTFPKGVPIPPSGPSRGTSASPPPPQKW >EOY14370 pep chromosome:Theobroma_cacao_20110822:8:94186:96630:1 gene:TCM_033767 transcript:EOY14370 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIER F-box protein 1 MGQSASTAKLTSRRDCYHSQRSKSKSTALICPMQAEEAEDLDRRSIDGLSDFISDLPDECLACIFQSLSPGDRKRCSLVCWRWLRIEGQSRHRLSLNAQSDLHPLIPSIFSRFDAVTKLALKCDRRSVSIGDDALVLISERCRNLTRLKLRTCRNLTDEGMAAFAKNCRGLKKLSCGSCTFGAKGMNAVLDNCPALEELSVKRLRGIVEGAAAEPIGPGVSAAALKTICLKELYNGQCFGPLIIGAKNLKSLKLFRCSGDWDKLFPLIVDHVTGMVEIHMERIQVSDVGLVAISNCLNLEILHLVKTPECTNVGLSAVADKCKLLRKLHIDGCKANRIGDEGLNAVAKSCPNLQELVLIGVNPTKLSLEMLATNCQNLERLALCGSDTVGDAEISCIAVKCIALKKLCIKSCPVSDHGMEALASGCPNLVKVKVKKCRGVTSEGADWLRANRGSLAVNLDTGEHLDASASDGGAQDNGVEFPPVMSAQMGAPSIASSSTGRSTSFKLLGLLSGRSLVACTLRRLASSNGSSRS >EOY14374 pep chromosome:Theobroma_cacao_20110822:8:105636:107893:1 gene:TCM_033771 transcript:EOY14374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATLTLRLWIQLQERRGLARRLSSPNVDDEAFRLSSKLIRRGKSQWAHCQHANSVLFADSGMVMVQQPVNGPTSPIASLVWAKSWPFDPSLIRCIECGTCLNMGHSGFWSFLCFELYTGEEVALTYEPNRRLQKKPPHGLPLSKIRGPRGQLFLRKLLYAEEGKHSSLSS >EOY15773 pep chromosome:Theobroma_cacao_20110822:8:4594174:4594888:-1 gene:TCM_034739 transcript:EOY15773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B5, n3,ATCB5-D,CB5-D MAEHTVYTLSEVAQHKSKKDCWLVIDGRVLNLTKFLEEHPGGEEVLLESAGKDATKEFKDIGHSKAAQNLLLKYQVGVLQGYTLKKDADIQVASTDEPKNMKEMSAFVIKDDSMPKYAALVEFFVPLLVAGSYLSYRYLTTGSSMV >EOY15546 pep chromosome:Theobroma_cacao_20110822:8:3688544:3690050:1 gene:TCM_034566 transcript:EOY15546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQCALCNVVRETCDHLFITCRKSWKVWMGWCRMWGMGWVLPGNVKDLFAVWNEWQLGGLDGRIWRMGFFAIAWSVWKSKNKTVFQGKEWSTDQVLELARIRVATWVNAKWPREYPSALDVYRQPTIQCQLPKKGQERKGIQWDKPRYGQMKFNVDGAARGCPGPAGIGGILRDHRGEVKIIFSKLIGETDSKFAEMMAIKEAFLIFQFQDGRTITSFSSKAIPAML >EOY14415 pep chromosome:Theobroma_cacao_20110822:8:241768:245290:1 gene:TCM_033804 transcript:EOY14415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase MSDYSFLNDRLSKRTSIFGLRLWVVLGICVGAAIVIVLFLISLWFTSKRQNKATTSSNNNVKSTHNSTIPNVSKEIQEIRVDPSRHSNPDSKLHQTPNPDPLPESEPVLVLHAEEDSSPVSGRNRIHIEIGKDRRIAYPEGGAGSEHGSGEVRSGDQRMAVMEVPHLGWGHWYTLRELEESTNGFAAENVIGEGGYGIVYRGVLEDNTKVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAQRMLVYEYVDNGNLEQWLHGDVGPCSPLTWEIRMNIVLGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNPKVSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILLMEIISGRNPVDYSRPQGEVNLVEWLKTMVTNRNAEGVLDPRLPVKPSSRALKRALLVALRCVDPNAQKRPKMGHVIHMLEADEFPFRDDRRAGRENIRMPQDGARDRLTDKRVNESGDSSGYESGAQTNRSRWRKQESEEQ >EOY14903 pep chromosome:Theobroma_cacao_20110822:8:1622591:1628522:-1 gene:TCM_034144 transcript:EOY14903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, alpha subunit MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCIAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALKKKTVAPADDILRLGQLSQMNTDFFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTMRGHMNNVSCVLFHSRQDIIVSNSEDKSIRVWDATKRTGLQTFRREHDRFWILACHPEMNLMAAGHDSGMIVFKLERERPAFSVSGDSMYYVKDRFLRFYEFSTQKDTQVIPIRRPGSTSLNQGARTLSYSPTENAILVCSELDGGSYELYIIPKDSFGRGESVQDAKKGIGGSAVFVARNRFAVLDKSSNQVLVKNLKNEIVKKVAIPIIVDSIFYAGTGNLLCKAEDRVIIFDLQQRMILAELQTSFVRYVVWSNDMESVALLSKHSIIIANKKLVNQCTLHETIRVKSGAWDDNGVFIYTTLTHIKYCLPNGDNGVIRTLDVPVYITKVSGNTMCCLDRDGKNRAIVFDATEYVFKLSLLKKRYDHVMSMIRSSELCGQAMIAYLQQKGFPEVALHFVRDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFDRLSFLYLITGNMDKLSKMLKIAEVKNDVMGEFHNALYLGDIKERVKILENAGHLPLAYITAAVHGLHDIAERLAADLGDDIPSLPEGRSPSLLTPPSPVLCGGDWPLLRVMRGVFEGGLDNVGRNAQEEDEEAADADWGEDLDIVDVENMPNGDVSMALVEEAHEENDEGGWDLEDLELPPEMGTPKTAGNAHSSVFVAPTPGMPVSQIWIQKSSLAAEHAAAGNFDTAMRLLSRQLGIRNFAPLKQLFLDLQVGSHSYLPTFSSAPVTLVAVERGWTESASPNVRSPPALVFKFYQLEEKLKAGYKATTSGKFTEALRLFLSILHTIPLIVVDSRREVDDVKELIIIVKEYVLGLQMELKRRELKDNPVRQQELAAYFTHCNLQPPHMRLALLNAMTVCYKNGNLMTAANFARRLLETNPTNENQAKTARQVLQAAERNTNDKVQLNYDFRNPFVVCGATYVPIYRGQKDVCCPYCSSRFVPSQEGQLCTVCDLAVVGSDASGLLCSPSQMR >EOY15445 pep chromosome:Theobroma_cacao_20110822:8:3324989:3334645:1 gene:TCM_034507 transcript:EOY15445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein, putative MPMKNGFEVFDFKEEDEISELAAEKYLNKLKNPNLDDPATLKYQFLECVAHGAAVQRKEMDNVSCVDVDAIDGDCSCNGATPAAPLGAGEKDFVTKEGNHEPDVSPESKSMHSEQQAGLEKDSHEPRSICPELELRDSCAEAPSPGKSQLNCALSNSPLSNEPVDLASDANESMSERSPATPASDVAEDDVSLNDNVSDHCFGNILVDNINKTVVLCSDYVLYQDNYYTEASVIFSPGGIKINGTIVSERQGTFSFERGIDDIININCQLFQRVGSVTVTLKVLSKVALEAENACGTSVIEELEFAVIDPRWSEKQEEITSLNVKFLAIWDIVLDPLTGMDGDDSFVQKSYFPNFDEPFEEVVYPKGDIDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIQPEERQRFHFFNSFFFRKLADLDKDPSSISDGRAAFLRVHKWTRKLDMFGKDYIFIPVNFNLHWSLIVICHPGEVAGFEDEDLNKSSKVPCILHMDSIKGSHAGLKNLVQSYLWEEWKERHKETSEDLSSKFLNLRFVSLELPQQENSFDCGLFLLHYLELFLAEAPPNFNPFKITKFSNFLNLGWFPPIEASLKRTLIQKLVFELLENHSQEINSSDCSDEHHSSKFPEKIENETGVEFITESFRPEVACDANLDSQAGQGIEITLLSSSSLRNMESVNDSGLVLQELFEPGVTTGSLLGQFRSFDQQPSYYNLNGAISPREQEDVQTGQQFVYIASGENSFPQFAGITPQACEVPYSSRGFVMGSSWNPGITMQGDHEVDTSLETSCASDADDDDDVGIIENNTIEDNVYPSKKQETDQQRSQLVENVEHLKIGFTPASREVLETSTSEVSEASEDTDKIYHSAEDADLPSKDNLPVSMHQNPGVAVNQLDQDSELVEKNAEMVEPKEAGGDDVQIIGDAIGDDLPSEDNLTVLSHQSPGMVTNQLDQDSDMVEKNTETVENKEARGDDVQRNGDDLLSEDNSTVLLNQNSGMAVNHLDQDSELVENKDAVGDDLSAELAEQPAAKRMRLMTSLKEKVDS >EOY14628 pep chromosome:Theobroma_cacao_20110822:8:762897:765458:1 gene:TCM_033942 transcript:EOY14628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 82, subfamily C, polypeptide 4 MDSFLQLSAIAVFLTLIFCFKLLLGKQKTNADDKTRTAPQPKGAWPILGHLHLLGGSDQLLYRTLGAMADEYGPAFTIRLGSRCAFVVSSWELAKECFTINDKALASRPTTVAAKHMGYNYAVFGFAPYSPFWREMRKIATLELLSNRRLEMLKHVRISEVDMGIRELYSLRHDNGSIPVLVELKQWLEDLTLNVVVRMVAGKRYFGASAVCVDGEARRCQKAIKEFFRLIGMFVVSDALPLLWWLDVHGHEKAMKMTAKELDDLLEAWLKEHRQRRISGGIKAEGEQDFIDVMLSLQEEGHLANFQYDADISIKSTCLALILGGTDTTAGTLTWAISLLLNNPELLKKAQEELDLQVGRERLVDESDIQNLVYLQAIIKETLRLYPAGPLLGPREAMNDCTVAGYHVPAGTRLIVNVWKIQRDPRVWSNPSAFVPERFLTSHANVDVRGQQFELIPFGSGRRSCPGASFALQLLHLTLARFLHAFELSTPLDQPVDMTESPGLTIPKATPLEVLLKPRVPANVYAL >EOY17399 pep chromosome:Theobroma_cacao_20110822:8:20028424:20029600:1 gene:TCM_036561 transcript:EOY17399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFVIVDDYSRYTWVYFLAHKNDALPTFVNHCKKVQNEKGLVIVSIRSDHGGEFEGGEFEGDEFKDFCNEKGLDHNFLTPRTPQQNGVVERKNYTLKEMARTMLCKNNLPKNF >EOY15701 pep chromosome:Theobroma_cacao_20110822:8:4327246:4329680:-1 gene:TCM_034687 transcript:EOY15701 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase-related-like protein MKGRTKTRKNLAGLVQQVGRNLIGAHCPALVSRKRCWSSVALMELGVKPGEVGMVSGIPEQHLKRRVLIYSPARTASQQGSGKVGKWKINSLSTQKNFQSIVVVRTKKKYYNMRVGKSVEWVGHQQEIRMPMLVRLDLNLIVKKLQKHSLRNMAGNMKYVKKRHTPLLKVKSYADNFKWKGPPNGEDDYP >EOY16397 pep chromosome:Theobroma_cacao_20110822:8:7017351:7019961:1 gene:TCM_035197 transcript:EOY16397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MGNSLFFSSLLFLFFSTGFCIDSNISSCPIDLSYVETFPWDKSTCLDPNGTQCCQALISLFGIGIAQHLKETSLFQLPNAVAAASCVSDFQNKLAALSIQLSLVTSCIRNSTQFVSNASSCAGIITTQDWIEKVGPVTVLDTACRGDVTGFKCRSCIEAGNTVLTTLLSLDQNGKKCFDFMVVYAIGVINEFGPKDPGAVDCILGLPLDSSATEKSKKKSSSETWLKLVFGFLGAFFGVLLAFTLIILYRKWDKKNKRNAPHRRFVNTFRANVLPNSGAKWFSLSELERATNGFSQRNLIGGGTYGVVYKGTLADGTLVAVKQILDLDSKGDEEFSNEVEIISKIRHRNLLSLRGCCVTSDMVKGRRRYLVYDFMSNGNLGDHLFNDFSGQQLSWPQRKNIILDVARGLAYLHYGIKPAIYHRDIKATNILLDSEMKAKVADFGLAKQSLEGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGIVILEIMSGRKVLDTSNSSYLLITDWAWKLAKSGNVQEIFDESIREQGPKGVMERFVRVGILCAHVMVAFRPKIAEALKMLEGDIDIPKLPDRPLPLSHESFKSSLGSGASTSTTSRTSSNMSLV >EOY16667 pep chromosome:Theobroma_cacao_20110822:8:9512532:9514813:-1 gene:TCM_035500 transcript:EOY16667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant U-box 29, putative MVKEELYITVPSVFRCPISLDVMKSPVSLCTGVTYDRSSIQHWLESGHDTCPATMQVLPSKDFVPNLTLHRLINLWVQSSTLRPGSDSPRLLVAKSPAISEVRAKLLMEKIESESCVDSLTKVAEFVSCSEENRRFIVRFGDSSEIIASVLRRKCVEIKALEMAVRILDLILSENGVKERLNKLILESNQENNFLSSIVLILQNGSLNSKTESIRVLDSIALDSESKRRIADSQNLISVLVHLLKSNDNEPLNDAVVSFLTNVSITRSIKSQLIQNGAVEILSNSLTEKSLKMLAILCACPEGRSAISSDPKCAAGIVERLLKVSKTATEDAVLVLWSVCCLRKDEKVKEEVVKGNGVTKILVVMQREGEGNVRMMCRDLVKALRAGCKDWTLGSYETRTTHIRP >EOY16571 pep chromosome:Theobroma_cacao_20110822:8:8440606:8442636:1 gene:TCM_035367 transcript:EOY16571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNVKWKVFYKVGLDRKRIGAEDSLAKKTTPFLLDDRSRKKVPLREEDAADLGKKLVITMHNNPSFRDALMNSARDSLLSKGDLFFDEDMVLERIDLFENEVKNEEDLEDDKGDLWEETVDGFPALEISDKKYDELVKRWSRVIQGSYLLVQPWAPNYVKGTNNLTAVAAWVRFPGMLLHLYHKSALRRLASLLGCLLKIDYNTYSKNREKFARITVELDLTKPLVPLVYVKGRRQKVEYEGLLRICYTCGMYGHVKEECHRKEATRSANTNEKGQTDEQQQVASLYGPWMLVSRKKPRGYDERNRMASMANNHVKDGSRFTILQHEPNDESTIRKADMEVHKKTMTGTVMEQPNEVLLEGKGVYDSVVEDGKTQIVSNEVSGEQKQVDREVHEGVLDPLKHSVVILSGRGMDSSTTTRLIKPLTGEKSAVERVRGIRIEKKEGKPPDKMGSVRPQIISLKKKARAKGVITSTSTNMLSKLVTEEAFGSTRGNWAVQIMMNGKMGEGNNETSLNS >EOY16817 pep chromosome:Theobroma_cacao_20110822:8:11563732:11569376:-1 gene:TCM_035706 transcript:EOY16817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised conserved protein UCP009193 [Source:Projected from Arabidopsis thaliana (AT4G09830) TAIR;Acc:AT4G09830] MGKKRKSIATSLDEVDRTMYASFCSAANSLSQLYTQAMNQQKLSFQAGKRHSLEKLHQWIWRQQEGGSRVTTMDILSYLQNELDYCGEEPPMSPRAPSQHQHSQPTMHFMNTNFMVSSGSSGQTAGLGTRPDQCDQQPKNLVFSNALSSPVRQSLQHYHISQGGYCPNGGLPSGNGARNHESNFLQHQTRDSNPLSSNDSSMDMHADSPSHESTY >EOY16310 pep chromosome:Theobroma_cacao_20110822:8:6660924:6664258:1 gene:TCM_035132 transcript:EOY16310 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0/V0 complex, subunit C protein MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >EOY14447 pep chromosome:Theobroma_cacao_20110822:8:365183:365597:1 gene:TCM_033828 transcript:EOY14447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKELGSIQWPGGVQTVPKGWTSGDEEKPLKIGVPARGAFKQFVKVSYDQGKNGWYVTGFSIDVFKAVVKHLRYQLPYELVPFIGTYDEMVEEVYRKVRFLLPPVANSNI >EOY17457 pep chromosome:Theobroma_cacao_20110822:8:20660922:20671805:1 gene:TCM_036637 transcript:EOY17457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forms aploid and binucleate cells 1a, putative MCSMCHHCGAEVTKSNEDKRKQENSNALISSNGDTIWSCKLCWERQGREFVKRDGVSPSATPMISPTTSLSSSDRSYSSCSDFSVDINSYDRGDQEEGSRNSHGELNCLPNGRLQHLNSGGPRNRVDSLNMMAESNLRDKKNSNDLDIVRDVEITEASNELEAKENVVESSSRSFDKESGVSQSINGEMDTQIWEPPEPEDPEDDLEGTVAYDDDDDDECADGTKWGKPSSLCHIEDEGNGSFRFKEEKQRAMEEVINGKLKPIVSQLLKSVGVASSVNDGDSWVDIVTSLSWEAALFLKPDAIDGKAMGPDGYVKVKCIATGSRGQSQLIKGLVFKKHAAHKHMQTKYKNPKLLLIQGVLGQSSSGLSSFSSLDEEKGHLKSLIEMIDMCHPNVILVEKTVSRDVQECILAKGITLVFDMKLHRLERVARCTGSPIIPSDTLMNQKLKQSDSFKQCDSFHIEKFVEEHACFGEGGKRPTKTLMFLEGCPKHLGCTILLKGSHSEELKRIKCVVQYAVVMAYHLILETSFLIDQKAMFSTIPFTGIADVLPIDRDSCPTETGNLSVPCLHESTTETGSHANDIPYLNGFCEESNHTNGEMDGDQIAKSGLDYSSALSLEPYNPAILSGLSSISASLKKVIGNSFPLASTAPYRSLSAYFGLNGRESKLTEAVPAMNSFEASEQFDAESKSSPDGEKSVDDGESQSFLASSEAPLNLKVNGDDNEEKMQNKEDINTMLDSQSILVLMSSRNALRGTVCEQSHFSHIMFYRNFDVPLGKFLQDNLLNQRSQCAVCGELPEAHFYYYAHHNKQLTIQVKQLSKHLPGEAEGKLWMWCRCGKCKTGNGISKSTKRVLISTTARGLSFGKFLELSFSDCSSSSGLSSCSHSMQRDFLYFFGLGSMVAMFSYSSVTTYTVSMPPQQLEFSKSIRPDWLKEEYENVYTKGMLMFREVASFLVQIRSQFVGSTLNLKGSLKEFSDIEEMLKLEASEFEVNIQNVVVKIGDSNLGSHKLLSLNRLRWDLLLESCIWDRRLHSLLLPDPTVVVTGASNKAVPDQLKSDMGSADEEDSGTETNSGNGDQGSDNTGNLKVEPGSFVEGNEFSGDEFSLDIPVQKSVGCDSMHGNSTVLENIEKPTVDGVCPVKSSNHESIATSNISVHPHFGDENYQAEDAPMSDHLQMDRTISISSNLADNDFIVDSNGSGRGGSPRSFLSSLENLNGWFWMPFSEIRQIYMRDLLRGNVPKFECVSGHTPAQVPTGYQLIREEGSRLHIPLGTNDFIVSDYEGELSSIIACALTMLKDLPALIEASNEDGRRDKMIESLRSLIRVPTITSSHWSSSGSSDSDSVSSLSISSEESRLSSFDGLNLLDSLLPLDALNIEVSLGVSKSLGKGKYSVICLYANRFRDLRDRCCPSELDYIASLSRCRNWDAKGGKSKSFFAKTLDDRFIIKEIKKTEYDSFEKFALHYFKYMNQSFDSGSQTCLAKVLGIYQVIVRQTKTGKESRHELMVMENLTFGRNITRQYDLKGALHARFNSAADGSGDVLLDQNFVNDMNSSPLYVSNKAKCLLQRAVWNDTTFLNSINVMDYSLLVGVDTQRRELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNVLPTVISPKEYKKRFRKFMSTYFLSVPDHWCSQESSDPCELCGTGDDDLSQSKSLKQAYLNGIST >EOY15196 pep chromosome:Theobroma_cacao_20110822:8:2549699:2553513:-1 gene:TCM_034346 transcript:EOY15196 gene_biotype:protein_coding transcript_biotype:protein_coding description:OPC-8:0 CoA ligase1 MAQITNLRIDPRNGFCSSNSTFYSKRKPFPLPSNRCLDITTFISSYPHHGKTAFIEAATGRDLSFSELWRGVDLVATCLSDLGIRKGHVVLIIAPNSIFFPMVCLSVMSLGAIITTSNPLNTPREIALQMADSKPALIFTTSQLVPKLAGSSLPIVLLDEQEIITTSEAKIVATMDQMMKKEPTGSRVRDRVYQDDPASLLYSSGTTGVSKGVISSHRNLMALMQSFSCMANPDEVLQTHICTVPMFHIYGFGAFAIGKLTAGSTVVILSKFDTNEMLSAIEKYRATCLPLVPPILLGLVKGADQIRRKYDLSSLQSVLCGGAPLSKALINGFLEKFPTVNIRQGYALTETTGFGASMYSPEESRKYGTVGLLMARLEARIVDPATGSALQVNQTGELWLRGPSIMKGYLNNVEATASTLDSDGWLKTGDLCYIDGDGFLHVVDRLKEVIKYKGYQVPPAELEALLLSHPEISDAAVIPFPDEEVGQYPMAYVVRKTGSNVSETEVIDFVARQVAPYKKIRKLAFVTSIPKNPSGKILRRALVKLATSKI >EOY16477 pep chromosome:Theobroma_cacao_20110822:8:7432415:7436785:-1 gene:TCM_035250 transcript:EOY16477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNPNNVTSTSPTGTFTKRVMEFSTKDQETQAYNYIMLFSTVEDAGLSSLPRARKPKRAAASFVFGTDSN >EOY14482 pep chromosome:Theobroma_cacao_20110822:8:435760:436316:1 gene:TCM_033850 transcript:EOY14482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative MHIVQNSPKSVDKKCARIHAGQELPVPFIEEHLKKMFKGFDSNKDGRLSRKELQDAFNSLGSYAPSWRAGRAFRHADGNRDGHITEDELDYLVKYAVRHGYTVQ >EOY17485 pep chromosome:Theobroma_cacao_20110822:8:21061670:21064950:1 gene:TCM_036686 transcript:EOY17485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-proton symporter 2 isoform 1 MSHAFHLLSSPISHFRTIGENQVSSTTNLQSNCTLFSRAMESTKANKKSSFQLQQPLPSSSPRPSPLKKIILVASIAAGVQFGWALQLSLLTPYVQLLGIPHKWASLIWLCGPISGMLVQPIVGYHSDRCTSRFGRRRPFIAAGAALVATAVVLIGFAADLGHLFGDSMANDIKPRAIVVFVIGFWILDVANNMLQGPCRALLADLSGNNQKKTRTANTLFAFFMAVGNVLGFAAGSYPHLHNIFPFTTTKACDVYCANLKSCFFFSILLLLTLTSIALSYVHEKPWSPEPGSAGNQGDEIEDEEELAEATPVPLFGEVFAALKDLKRPMWVLLLVTCLNWIAWFPFLLFDTDWMGREVYGGDSQGNNLVLRLYNRGVRAGALGLMLNSVVLGFTSLGVELLARGVGGVKRLWGIINFLHAFCLAMTVLVTKLAKSNRRFATMDGVTVPLPPGAGVKAGALSLFAALGIPLAVTYSIPFALASIFSSSSGAGQGLSLGVLNLGIVMPQILVSLGSGPFDAIFGGGNLPAFVLGAFAAAASGIFALTMLPSPPPDISAAKAATAGFH >EOY17484 pep chromosome:Theobroma_cacao_20110822:8:21061671:21064950:1 gene:TCM_036686 transcript:EOY17484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-proton symporter 2 isoform 1 MSHAFHLLSSPISHFRTIGENQVSSTTNLQSNCTLFSRAMESTKANKKSSFQLQQPLPSSSPRPSPLKKIILVASIAAGVQFGWALQLSLLTPYVQLLGIPHKWASLIWLCGPISGMLVQPIVGYHSDRCTSRFGRRRPFIAAGAALVATAVVLIGFAADLGHLFGDSMANDIKPRAIVVFVIGFWILDVANNMLQGPCRALLADLSGNNQKKTRTANTLFAFFMAVGNVLGFAAGSYPHLHNIFPFTTTKACDVYCANLKSCFFFSILLLLTLTSIALSYVHEKPWSPEPGSAGNQGDEIEDEEELAEATPVPLFGEVFAALKDLKRPMWVLLLVTCLNWIAWFPFLLFDTDWMGREVYGGDSQGNNLVLRLYNRGVRAGALGLMLNSVVLGFTSLGVELLARGVGGVKRLWGIINFLHAFCLAMTVLVTKLAKSNRRFATMDGVTVPLPPGAGVKAGALSLFAALGIPLAGNPIQKIEKVTYSIPFALASIFSSSSGAGQGLSLGVLNLGIVMPQILVSLGSGPFDAIFGGGNLPAFVLGAFAAAASGIFALTMLPSPPPDISAAKAATAGFH >EOY15559 pep chromosome:Theobroma_cacao_20110822:8:3738424:3739057:1 gene:TCM_034575 transcript:EOY15559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEEERRMENEESSSRPSIGFPLGLALLLILLLCISGFLICYLKWHKLRALILRSSEDDNDDIQSDINHSPAVQAASPVLKPKPSTGGQSLAVLMPGDQVPRFIAMASPCAPAMMEKITITVQKPPALPAPFYYS >EOY16770 pep chromosome:Theobroma_cacao_20110822:8:10874618:10894302:1 gene:TCM_035642 transcript:EOY16770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase 6 MDTKGRLVAGSHNRNEFVLINADEIARVTSVKELSGQICQICGDEIEISVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGCPRVEGDEEEDGADDLENEFDIANHDRRDPHHIAAAMLSARLNISRGSQPHVSGISTPAELDAASVASEIPLLTYGQEDVGISSDKHALIIPPFMSRGKRVHPMPIPDPSMTLPPRPMDPKKDLAVYGYGTVAWKERMEEWKKKQNEKLQVVKHEGINGDEFEDPDLPMMDEGRQPLSRKLPIPSSKINPYRLIILLRLAILGLFLHYRILHPVNDAYVLWLISVICEIWFAVSWILDQFPKWYPIERETYLDRLSLRYEKEGKPSELASVDIFVSTVDPMKEPPLITANTVLSILSVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFTIEPRAPEWYFAQKVDYLRDKVDPTFIRERRAMKREYEEFKVRINGLVAMAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGHDGVRDIEGNELPRLIYVSREKRPGFDHHKKAGAMNALVRVSAIISNAPFLLNVDCDHYINNSKALREAMCFMMDPISGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPVKKKPPRKTCNCLPKWCCCCCCRSKKRNRKAKSNDKKKNNKEVSKQIHALENIEEGIEGIDNEKSSLMPQIKFEKKFGQSPVFIASTLMEDGGVPKGATTASLLKEAIHVISCGYEDKSDWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGCGLKSLERFSYIASVVYPLTSIPLLIYCTLPAVCLLTGKFIVPEISNYASILFMSLFVVIAVTSILEMQWGGVGIHDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVNTNFMVTSKGGDDGEFSELYIFKWTSLLIPPMTLLLINIIGVIVGISDAISNGYDSWGPLFGRLFFAFWVIVHLYPFLKGLMGKQDRLPTIIVVWSILLASIFSLLWARVNPFISKGGIVLEVCGLNCD >EOY15118 pep chromosome:Theobroma_cacao_20110822:8:2290686:2291639:1 gene:TCM_034286 transcript:EOY15118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSVTISANVIGLSLAYKDEARRKELSPRANILQPGCGLFFSAFLSLSLSETPNLLASLSGKENGTACAIGRAQISHICSSLHFFYLFFFLFQFYHFYINRV >EOY16652 pep chromosome:Theobroma_cacao_20110822:8:9204030:9206410:1 gene:TCM_035472 transcript:EOY16652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein, putative MSSSWSLHGKPLPLPRTKETSILCLTSNLRIPQIGILYKISQLQLKFTLSSLCLSLMASVQYSLGSYLGQNRNFKPLSIVQPGNARHSINLVTKQPISKALFTQLPIEGDKKHSNYGSKIKEGEWRVCCSDDGSCGIAPQWSTPSVLDLIQDFYSAINVKDTQKLDQLLSHDCVFQELIFYMPFNGKQNVINFLQGLMEAMGQNVHFVLDTLMEGEKLTASVTWHLEWKGKEIPFTNGCSLFECQQVDGKLIIRKITGVEEFPVKPGDLVLKLLKAVSSVFDSFPFAAEQLLTRSHGTHEGLIKLLEMLGAYKKN >EOY14671 pep chromosome:Theobroma_cacao_20110822:8:932466:934920:-1 gene:TCM_033976 transcript:EOY14671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein / peptidoglycan-binding LysM domain-containing protein, putative MNQFLFCFLLLNVFTLYITAQQNYSGNSALGCSNNDESGPSSAFLYTCNGQVPYCQAFLIYKSQPPFNTVPSISAFTSSNPAELATINNVTRHAEFPTGKEVIIPVTCSCLGRYYQANTKFHISSIYGTYHTIGTKAYQGLSTCSSLKRANPHSEYKLVPGNELKVPLRCACPTRYQIKGGTKYLLTYSISLGDNIPDISDRFNVSRKSIDDANGLEEKQTIFPSTTILIPLPTKPSSSQTIIHKDQPHDSPPIVKYPERHRSKRKLYEGLIIAAACSMLVLIIILFTIFMFNKRKDGVPRSGHERTKINHVLSEDLRVEIASFDQGLRVFALEEIKKATQNFSSKNRISGSVYRGGFGGKILAVKRMRLDVSKEVQLLKNINHFNLIKLQGVCENGGSFYLVFEYMKEGSLRDWLCNQSPDETGSWTKRIQIALDVAYGLHYLHSFTKPAYVHGDIKSSNVLLNGGLRAKITNFSLARAVVHETSSVAQTTRVVGTRGYMAPEHVQTGQVTSKIDVYAFGVLLLELITGKDAIITQDRREILLSATVVSMMEKDNAEAELDSLIDPRLKSDNRTEFALRMAQLSVACLTEEPTRRPSMEDVVSVLSKIRADLFS >EOY16189 pep chromosome:Theobroma_cacao_20110822:8:6127127:6128021:1 gene:TCM_035034 transcript:EOY16189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKMAVPVRVGCPKRRLYKAQNFCYFFKFLFFSVCFESSDLPVLFFVSFCFSLRKFSLSIFFYFFII >EOY16767 pep chromosome:Theobroma_cacao_20110822:8:10869517:10870215:1 gene:TCM_035639 transcript:EOY16767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIMEGSTVKFVALILILCCARSSLAAEYTVGDQLGWRPLVNYYSWVRSKSIKLGDTLVFNYDASQGYSVAEVTQFKFIACNAANAKYFDNDGHSSVTLTEPGQHYFMAAGHCADQMVLSVLV >EOY16239 pep chromosome:Theobroma_cacao_20110822:8:6386277:6389838:-1 gene:TCM_035080 transcript:EOY16239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPVNALIIHDFLKEVSLSSYQKKRKDSILSKALTHMDPASLSSNILQPLKTFLALSPILPLIPFTPISIFIESDFDLLLLPIWSYFQLAKAQVLFNADFIISLSPSSMHPVRKGYGVRQRQCQLSNQRE >EOY14630 pep chromosome:Theobroma_cacao_20110822:8:768355:771078:1 gene:TCM_033944 transcript:EOY14630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MIFSSKTGQWTSHKMQRPQITRRPDTGFDKLSEVCQGHLRCISAGWYNYAPLDFLPEDFLLADRSTSVFGLVATLFFSYYLFLRSRLAKRKNYAPLARGAWPIIGHLHLLRGPKLPHLTLGDMAEKYGPIYAIRLGVHPALVVSSWEILKEIFTNHDVAVASRPKMTAAKHLAYDYAMFGFSPNGPYWCEMRKIVNLELLSNHRLELLKHVRVSEVETSLKELYKLWTEKRDSSNHALVEMKQWFGDLALNVIFRMVAGKRYFGTGLKGHDKEARRCQRALREWFHLFGVFVFKDAVPLLGFLDLGGQEKAMKETAKEMDSIASEWLREHKQKRALGEAKEQDFMDVLLSLVEAESTNPNLSGWDADTINKTTCLSLIGGGSDTTKVTLIWALSLLLNNRHCLKKVQEELDIHDIALNGYDVSKGTWIFLNLWKIQTDPRVWSDPYEFNSERFLTSHKDVDVRGRHFEMIPFGCGRRVCPGISFGLQVLNLTLAGLLHGFDISTPSNARVDMTESPGLTSIKAAPFEVLVTPRLSANIYG >EOY16879 pep chromosome:Theobroma_cacao_20110822:8:12653695:12655656:-1 gene:TCM_035811 transcript:EOY16879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSRSKISHYKFLLRHPFQSSPLTINSLSPVPTKLVPYSVPFPKPIFFHSKPNLFQYPIFQTSQTQHPTHQNLRKYSNESASGLDVNKEVDSINLKFAEAREEIEMAMESKETVYFNEEAECARAAVQEVLNMFEGLLGKLQESEKSALQRSMGLKIEQLKAELQQLDD >EOY15775 pep chromosome:Theobroma_cacao_20110822:8:4596328:4597087:-1 gene:TCM_034741 transcript:EOY15775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFYVVSLSITWNYDDEGLVLEPLTLVGLRDSSTNLKLSLLLCCFLNLIKLFLLFLPRQVWDT >EOY17224 pep chromosome:Theobroma_cacao_20110822:8:18522127:18526076:-1 gene:TCM_036378 transcript:EOY17224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGISLGRRKDKTWVTGTWLRPPLSLPWVSFVVGHVTIERVQPPPRLYLRASFGQFWALSSFHRAPNSPV >EOY14790 pep chromosome:Theobroma_cacao_20110822:8:1274308:1277927:1 gene:TCM_034061 transcript:EOY14790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MNLKHLISGKTINNLSKINGKSISYNPKKLSTIAAARSPPLYSLSSGQSSAFLRNRKPIFPFIRYIHSTQETKLSYTGVEAKLVSSDSEEEEDGTVNEFLSRFVWIMRGKLSDAYRDCNKETIDGMLLIIVGKVVEEMEKGGIQQMLDSAVAMPSQDFSEDLWRTVWEVSNMVLEDMEKARKKEKVKQFLQSEEVKEMCRFASEVGIHGDLLRELRFKWAIEKMEENDFYRSLERLRDEEQPKDGKTFDEQAVVAEDEQRVVSLPKRKGKLKYKIYGLDLSDPKWAEVADKIHEREAMVWPQEPKSLSGKCKLVMEKILSLKQEDDPSQILAEWIELLQPSRVDWISLLDRLEQQNPDIHLKVAELVLSEKSFQADIPDYRKLIDAYAKENRIEDAERILKMMVENGVMPDILTTTVLVHMYSKVGNLDRAKEAFESLRSYGFQPDMKLYNSMIMGYVNAGQPKLAESLMREMETRDIKPSEEIYMALLRSYAHSCDVIGAGRIAITMQFAGFQPTMESCSLLVEAYGQAGDSDQARSNFNNMIKLGHKPDDKCTASMIAAYEKKNLLDKALDLLLQLEKDGFEPGIATYTVLVDWLGKLQLVDEAEQLLGKIADLGEVPPLKVHVSLCDMYSRAGFERKALQALGVLEAKKDELGPHEFERTIHALIAGGFVKDARRIHGLMEARGFTASQQLNFALSVSQTHGPRRPTMG >EOY15970 pep chromosome:Theobroma_cacao_20110822:8:5220913:5241345:-1 gene:TCM_034880 transcript:EOY15970 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase, putative MKQRDTEQGQLVMALAIYGLVIFPKVLGHIEVGIIDFFEQVVNKANPSPSILAETLRSLNYCRRKGEGRFVGCAQLLSIWIVSHFECKVDKFRKPFHPQTAPIREFCSKIARPPKPSDQRVHNTRRRAKIMEEEQSERMDRMERAREEIREQLAKMMELMMSLSKGKRAIEEPTPLENPPAQDSENQKDDPSYPPGFTPPHAQTSQRVHPQVMPSIYYNAPPPLGHQPTQGQFGPYLGINPAEPIHVPDLDDPKEQEKLRKDSSQTGENEKDQKKYDLLEERLRAIEGVDSSSVDGNPLPNHGRPTVNAIHEGMIRRVKKGIDEIQTPMDKVFEALSKINVITPEPIDTKELGHDLAYSCKFHMGAIGHSIQNCDGFRQNLVGTINGDTPAEVASSSFGANKPKPLTIFYEENRSPMNDTSPTMIRNGITIEVPSPFPYKSDKAVPWNYECNILGTASSAPQASFEDLTGVGGITRSGRCYSPEPINTCPYTRPTLPVYRSLNNEHEDNLDNDLNIDFEIIPNIDELKNEEEVDDYSLPPDLSRMLEQEEKEILPHQELTEMIDLGSGEEKKEVKIGTSLSSDERQKLEELLHEYVDVFAWSYQDMPGLNTDMVVHKLPLEPDCKPIKQKLRRMKPEMLLKIKEEVKRQFDAGFLEVAKYPEWVANIVPVPKKDGKVRMCVDYRDLNRASPKDSFPLPHIDTLVDNTAKHALFSFMDGFSGYNQIKMAPEDMEKTTFVTMWGTFCYKVMPFGLKNAGATYQRAMVALFHDMMHKEIEVYVDDMIAKSHTERDHTVNLKKLFERLRKFQLKLNPAKCTFGVTSGKLLGFIVSEKGIEVDPDKIRAIQELPPPKTQKEVRGFLERLNYIARFISQLTCKCDPIFKLLRKRDPGEWNEECQIAFDKIKEYLTNPPVLMPPTVEKPLILYLTVNRNSMGCVLGQHDETGMKERAVYYLSKKFMEYESKYSALEKMCCALAWTAQRLRQYMLYHTTWLVAKLDPIKYIFEKPCLSGRIARWQVLLSEYDIVYVSQKSIKGSAIADFLADRANEDYESVSFDFPDEDLMAFLHIEEVSPNELNPWKVYFDGASNALGHEIGAVLISPNGKYYPATTRLNFNCTNNMAEYEALVMGLQAAIEMKVDAIDVYGDSALVICQIKGEWETRDPKLVPYKKLVTELSKQFKEISFNHLPREENQIADALATLAAMFKIKEAADVRPFDLEVREVSAHCLNVEQEVDGKPWYHDIMQYIKHQTYPENVTDNDKRTLRRLAMGFFLSGEVLYKRSRDQVLLRCVDVAEANKIMKEVHEGTCGAHANGHMLARQIMRAGYYWLTLESDCINFARKCHKCQVYADRIHAPPAPLHVFTAPWPFSMWGMDVIGLITPKASNGHRFILVAIDYFTKWVEAASYANVTQKVVCRFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYRPKMNGAVKAANKNIKKIVEKMTEVYKDWHEKLPFALHAYRTSVRTSTGATPYSLVYGAEAVLPVEVEIPSLRVLMETKLGDAEWVRSRYEQLNLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQMYQRRMMRAYEKKVHPRQFREGELVLKRILPNQTDFRGKWMPNWEGPYVVKKAFSGGALILTDMDGGDLPNPINADALCLTQKKKKKNGRGSC >EOY14651 pep chromosome:Theobroma_cacao_20110822:8:874312:876532:-1 gene:TCM_033962 transcript:EOY14651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide synthase MASSSLPFTSVPLQFNSPSKSLSSSTRTKLRTRRVIVCPIRASVSEKPSVPAPSVKVSEPGEAKLPLKKVPGNYGVPFVGPIKDRLDYFYNQGRDEFFKSRIQKYQSTVFRTNMPPGPFIAPNPKVVALLDGKSFPVLFDVNKVEKKDLFTGTYMPSTDLTGGYRILSYLDPAEPEHAKLKQLLFFLLKSRRDKVIPEFEACYTELFETLEKELAEKGKSSFQTANDQAGFNFLARALFGSNPPDTKLGADGPSLIRKWVLFQVGPVLSLGLPKYVEELLIHSFRLPPSLVKKDYQRLYEFFYESSGFVLDEAEKLGISREEACHNLLFATCFNSLGGMNIFFPNMLKWIARAGVKLHTELAQEIRSAIRSNGGKLTMAAMEQMPLMKSVVYEGLRIEPPVPLQFGKAKKDLLIESHDAVFEVKKGEMLFGFQPFATKDPKIFERSEEFVPDRFVGDDGEKLLKHVLWSNGPETENPTLGNKQCAGKDFVVLVSRLLVVNLFRRYDSFEIVVGKSPLGAAVTVTSLKRASF >EOY17007 pep chromosome:Theobroma_cacao_20110822:8:16608645:16608978:1 gene:TCM_036143 transcript:EOY17007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNEVHHVLIKDDRRRDYDASIGPIKVQFGKTISGYSPWKGLLRPQAIFVDANPFIVYSFTPFQDTYIAHYAINSSLLFHSHTLSCNGL >EOY14417 pep chromosome:Theobroma_cacao_20110822:8:250441:269283:-1 gene:TCM_033806 transcript:EOY14417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine protease 2, putative MPPIPQRWKGKCKNSTSSPLPCNKKLIGARTFIKAFQANNSKEADNSPRDFLGHGRHTASIAAGNHVPGASQFGYAKGIARGMAPRAHLAIYKVANSEDIAESDVLAAMGQAIADGVDIMSLSLGFEQTPYFKDVIAIASLSASKKGIVVVCSSGNQEAYNSTRNAAPWITTVGAGTLDRSFSATVTLGNGLTFEGESTFPESVLISNASLYYGKGIIVADQIPFGALDDLSIPTLVLPTSSGALVREYAMGARIKAVVKTMRFVLTSLGTKPVPQVAVFSSRGPDPINPAILKPDVFAPGVDVLAAVPPFPKSRIGDYALVTDYAFKSGISMAAPHVAGVAAPLKAVHLEWSPAAIRSALMTTAYTINNNETTLTNQFYVSPATPLDYGAGHINRNKALDPGLIYNMVIQDYIHFLRGLGYNDTEMRAVLRQKPEKYKTYIVHMDHSRKPSSFLTHESWHRATLRSLSKPVDDKDMLLYSYNHVMHGFSARLTPSQVSELKKSPAHVATREEAFGKLFTTHSPEFLGLRHSFGLWNASSYGEGVIIALIDSGVWPESESFNEKGMPPIPTRWKGKCQNSTRNPFPCNRKLIGAQTFIKGSQAAGITDSPDDSPRDFLGHGTHTSSTAAGNHVPGASQFGYAKGIARGIAPRAHVAMYKVSSGPFIVESDVLAAMDQAISDGVDIMSLSLGFQQTPYFQDIIAIASLSAIQKGIVVVCAAGNDGAQNSTHNAAPWITTVGAGTLDRSFTATVTLGNNLTFEGKSEFPERVLILDTPLYYGKGDFNKRICNIGALNKSEVFGKVVICDNSNRVSIFDQATELANVGAVAGILMADWTPFGVDGLSIPSLVLPTSSGALIKKYAIGAAGEAAVKIMRFVLTSFGTKPAPQVAEFSSKGPDPVNPNILKPDIIAPGVQVLAAFPPLIPVGEIGNYPVASDYALLSGTSMSAPHVAGVTALLKAVHPEWSPAAIRSALMTTADTIDNNGTTLTNELTNLPGTPLEFGAGHINPNKAMSPGLIYDIDWQGYVDFLCGLGYNDAEMRAILRQSQWNCSQEGTDLNYPSFVAIFGNNGSSPNVKNFTRVVTNVEDDQSVYQAVAETPNGMSIRVEPGTLTFTKKYQKQTYVVSVEMDSKAPPVAYGYLKWIDQNNHVVASPVVVLNF >EOY14582 pep chromosome:Theobroma_cacao_20110822:8:642764:643513:-1 gene:TCM_033909 transcript:EOY14582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lamin-like protein, putative MASRVSAQGNIILLVAVAASLLAVTQAATVVVGGSENWRYGYNYTEWAANNAPFYFEDTLVFKYKATPAHSVYLLPNLWSYLKCDFSKAKLLANPRQGQGDGFKFVVNQWRVFYFASAEGNDCKDGMMKLIIVPWPRA >EOY15702 pep chromosome:Theobroma_cacao_20110822:8:4329593:4332831:1 gene:TCM_034688 transcript:EOY15702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit exo70 family protein G1 MAANEEDSTLFKLEFACSDLRTLLQSSVQMEKSLEEMERNFDFIDESLSTAAKRVAPLQSLAMAAKALETRINRAVSPALALLHSFKLSESLQNKLIELSNKLSTEKNPRKRLKKLLKYVECVDQLNAAINLISRDGEPVIQKLQEVVEFLSRTKAADQYRTQRLKETLITVKALYESEVDDMRFDGLLDEALLNLQDEFEIMLQKIKHQNIGEQQADKEADQTVVSDLGTDMEIQVVRRIAETLAANDCMDICIDIFVKVRYRRAAKALMRLNPDYLRTYTPEEIDEMEWESLETAISLWIQHFELALKTVFVSEKKLCKQVLGGLPEVLVWLECFVKIADKIMAVFFRFGEGVARSNKEPQKLFKLLEMFDSLEKLKVEFSDIFEGESGADICIRFRELEKLLVHASSKVFWEFGLQIEGSSDGFPPPQDGSVPKLVRYALNYLKHLTTETYSAYMAKVFRTEQVWKAGILSKSETDENLLQDAISNIMEALQRNIESKSSRYKDKILTHIFVMNTYWYIYMRSRNTELGKLLGDQYMKQKYKTVAEESAYMYQRQAWDPLVRLLEKEDLIEQDNDSRGTGALARGKMEAFFTCFDEISRRHRGGYNIPDADLRAQIREATVKLVVPVYSEFLNAHSSLLPVKSYVSPESLERLLAQVFNGTDPTGNGRLKRRDSNNRVPGRNSVSVEGEFRNPRRSRSNSIDV >EOY15590 pep chromosome:Theobroma_cacao_20110822:8:3868175:3873231:-1 gene:TCM_034602 transcript:EOY15590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSRSGPLDVISPAFSWMATAQSSTRLQVDTMIRNADDKRLQIFGIQVGCLTSLSIILEMCSMMKSKAKIPTPQKVFGVDLAAANLLQFGHGNSL >EOY14517 pep chromosome:Theobroma_cacao_20110822:8:509097:509624:1 gene:TCM_033874 transcript:EOY14517 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein, putative isoform 2 MILGSNSIQETYYDILSVKEDASYEEIRTSYRSAILNSHPDKLHSDHESGDRFLRVQKAWEILGDAKSRAVYDSELRISRQDVVASEDISLEDMMIEDAGEVIELFYQCRCGDHFSVDSSELGKMGYTLLRDGTEISLRTPDALQASVVLPCGSCSLLVRLMINPDIKVPSDGCL >EOY14518 pep chromosome:Theobroma_cacao_20110822:8:508141:509969:1 gene:TCM_033874 transcript:EOY14518 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein, putative isoform 2 CVRSGFIKPDYSLTSTDFDASYEEIRTSYRSAILNSHPDKLHSDHESGDRFLRVQKAWEILGDAKSRAVYDSELRISRQDVVASEDISLEDMMIEDAGEVIELFYQCRCGDHFSVDSSELGKMGYTLLRDGTEISLRTPDALQASVVLPCGSCSLLVRLMINPDIKVPSDGCL >EOY15113 pep chromosome:Theobroma_cacao_20110822:8:2280430:2283764:1 gene:TCM_034283 transcript:EOY15113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MTVQALPFFEILNRSILPCLNSAVASLSQTSQAHAYILKSGVCIDTLISTKLISQYANRHCFAEAELVLNSISEPLVSSFSALIYALNKYNLFTQSLYVFSRMLSRGILPDNRVLPNVVKACGKLSAFKLGKEVHGIVVKYGFDSDSVVQASLVHLYLKGDRIQDAKNVFERLPERDVVTCGALLSAYARKGCVNEAKEIFYGMQSFGVGPNLVSWNGMITGFNQSEQYNEAVVMFKEMHSEGFLPDDITISSVFSAVGDLERLNIGIQVLCYVIKLGLLHCKFVISALMDMFGKCACAGELMKAFEEVDEEIMDTGALNALITGLSRNGLVDVALETFQRFRVQGRELNVVSWTSIIAGCSQNGKDIEALELFREMQSARLKPNSVTIPCLLPACGNIAALIHGKAAHGFAIRTGIANDVHVGSALVDMYAKCGRIHLSRLCFDRIPSKNSVCWNAIMGGYAMHGKAKEAIDIFHMMQRRGQKPDFISFSCVLSACSQGGLTEEGWHFFNSMSRDHGVKAKMEHYSCMVNLLGRSGKLEQAYALIQQMPFEPDACVWGALLSSCRLHNNISLGEIAAQNLFKLEPSNPGNYILLSNIYASKGMWDEVDAVRDVMRSRGMKKNPGCSWIEIKNQVHMLLAGDKSHPQMTEIIEKIYKLSMDMKKAGYLPNTDFVLQDVDEQDKEQILCGHSEKLAVAFGLLNTPPGSPLQIIKNLRICGDCHAVIKFISGFEGREIYVRDTNRFHHFKDGVCSCRDYW >EOY16536 pep chromosome:Theobroma_cacao_20110822:8:8145951:8147033:1 gene:TCM_035332 transcript:EOY16536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEYPYPVGKPLAYCQVCGLSAEYCEFSSEFNKCKPWLFQNTPDLYLDLLQTANAKEANKVVDQLQSTGISSATAIYRSELNG >EOY16988 pep chromosome:Theobroma_cacao_20110822:8:15730776:15748761:1 gene:TCM_036073 transcript:EOY16988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor protein kinase family protein, putative MGLEGTIAPHLGNLSFLVSLNLSGNNFHGYLPKELAKLRRLKLLDLSYNAFDGDIPLWFGALHKIKYLILSNNNFTGKLPCMNLDSNLEMLYLEGNYLSGNISDCISNASKLKILRLNQNLFSGLIPNTLGNLSFLKENYHASLQEFRAMVCKIKGTIPTEIGSLSNIRILQLAQNELRGSIPRSIGNLTTLKELCLEENSLEGKILHLIFKLIFWLTEVLDAIDYLQAIPNLKCGELRIGNLITLEEFYAWDMHLSGQIPPSFFNISSLKEIYLTNNSLSDSNRNSLSGFIPNTLGSLSFLDKLHLWSNHLTTKLPNHEWSFLSSLANCKNLRYLLLSSNPLNGILPTSISNLSISLQHFYANGCKIKGAIPMEISSLSNIISLNLGQNELSGSIPETIGRLQNVQGLYLGDNKLQGSIPYNVCRLEKLSELSMSGNMLQGLIPSCLEPKIYVGSRLVLNYLNGSLPLDIGNLKVVTYLNLSRNLLSSDIPITIGGLNGLQILSLSSNKLQGSIPQSLSDMISLETLDLSDNNLSGIIPKSLERLFISNTLMWLSIDWKEKSPPKDAFGTSQLNHS >EOY16592 pep chromosome:Theobroma_cacao_20110822:8:8792319:8795395:-1 gene:TCM_035408 transcript:EOY16592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat superfamily protein MEQKLLKILQNCKTIRHLNQSHLQFLIHGLQNNSFVLPKLITVFSQLDSLDYTLKVFKNSQNPNLISYNTMIKCFIGKSHKATLHLYKQMKALKITPNGYTFSFLLRCFESFEALKDGMIIHGDILKMGLGSSVFVQNILLDFYAKCGNLGFALRVFEEMPDRDVVSWNSMIGAYMARREIESAIGLFELMPERNAVTWNSLLSGLSRAENMSLASSVFERMPERNEIAWNSMISGYLKMGNLDAARSIFDQMPQKTVVSWTALISGYVTIGDIESARSVFNQMPVKNVVSWNAMIAGYVHRHMFDEALSVFQEMLVDGKYKPDQTTLISVLSACSHLGSLEHGKWIDSYIKKNKLDLSVPLGNALMDMFAKCGDVENANAIFNKMANKCIITWTSMVSGLAVNGQCREALDLFDRMCLEKIKPDDVIFVTVLSACTHGGLVEEGKRVFDQMALQFDIKPRIEHYGCMVDLLGRAGRLEEAVRFIESMHLKPNDIIWASLLSSCQIHGKGDMLESITRKILDQQPSNPGYLMLLSNLSASMRRWADFSSFQVAMRQQGIEKVPGCSSIQVGNIVHEFLAKDTRHEQRKEIYGILYSLNGHLKAVFDSPMSCENFRNLITAF >EOY15985 pep chromosome:Theobroma_cacao_20110822:8:5311901:5314959:1 gene:TCM_034892 transcript:EOY15985 gene_biotype:protein_coding transcript_biotype:protein_coding description:General regulatory factor 11, OMICRON,RHS5 MEKEREQQVYLARLAEQAERYDEMVEAMKRVAMLDVELTVEERNLVSVGYKNVIGARRASWRILSSIEQKEEAKGNEQNVKRIKEYRQRVEDELSKICNDILSVIDKHLIPSSSTGESTVFYYKMKGDYFRYLAEFKATDDRKDAADQSLKAYEAATSTASSDLPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEEGEVTKPKEMNLRQRVNWMEEYSFKTGHVEVEWACC >EOY15162 pep chromosome:Theobroma_cacao_20110822:8:2435991:2437403:-1 gene:TCM_034321 transcript:EOY15162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein-related / LEA protein-related, putative MKLEVALLLFGMLCFDIVPLKKVGARKAACHDPLFGNCFGILHNCPPGCPNLCEVDCRICKPFCACDRPGAVCQDPWFIGGDGIMFYFHGKKDKEFCLVSDFNVHINAHFIGKRSRKGRDFTWVQSIGILFGSHQLYIGAERVAKWRASVDNMLIKLDGKDVLVPAGEGQTWVAPEAGLKIQRQAETKKVRLRVEGLLEITARVVPITSEESRVHGYDVTEDDCFAHLELNFKFDCLSTMVNGVLGQTYRSNYQSRVKLSVAMPIMGRADKFATSHLFATDCAVSNFGLKAKTLGSGEPLSFECGGGSGGKGIACRR >EOY15811 pep chromosome:Theobroma_cacao_20110822:8:4727280:4727968:1 gene:TCM_034771 transcript:EOY15811 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain protein 2, putative MADPESTTTQDNAPAAESNQAQAPAQVDDTTAKLNNLTLRIWPPTQRTRDAVINRLIETLSTQSVLSKRYGTIPEEEASAVAKSIEEEAFSVAGASFSADDDGIEILQVYSREISKRMLETVKARAAATAAAPSGPADADSSNAGAAGGEDISSSSVKAEA >EOY14649 pep chromosome:Theobroma_cacao_20110822:8:864168:864952:1 gene:TCM_033960 transcript:EOY14649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLSEPEKNIIIKDDDDNNNCAEDQEEQENMDYVTSHLHMKPSHSKQTLDKEVVLRRIRHRKRMNNVKSALQSFLGSSLQAKTENKVSVHGLKWIDDAFAAL >EOY16656 pep chromosome:Theobroma_cacao_20110822:8:9224743:9227846:-1 gene:TCM_035475 transcript:EOY16656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVAFYIQQCVDRLKEYFQIVTGIELPKGCWQQVIQLVWSKILDAGKLEKQVKVSMTLEEYAAKAGQIVKSMRTAVEKEKVKWDALESSMLPLEQQILKLHYPQQGVCSEVTHGQGSSSCQADPNDGPQEIKPKTLNGQHLMRKKHGQIALKVFFYMYTGIVLPKKWNKAKELVEIKKNDAKREGKKVKAMIRPPESAEVERTVQSSQAAFGVEKMQMNDCKNLLQLVKPMFRSQVYLDYHKQHGVSSEVVIPDKEDQALEFPYGSIQHGQSSMIYNWNDMVLGDLQDLPESGQMLESSKAIGCSNGTNSLVAPEAAVNLSSMGNFQGCRDLGDNESLVMEIKELFPQIADGGFIEVLLEMAKSIPQRLELKDSNQELESMDMRVLQLEEEVGKTCQEVGPSWQNKSKFNQHKKVQTKGKRKRSG >EOY16655 pep chromosome:Theobroma_cacao_20110822:8:9224323:9228438:-1 gene:TCM_035475 transcript:EOY16655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEDSTGEIKISKKDRKHRREKNQCVDRLKEYFQIVTGIELPKGCWQQVIQLVWSKILDAGKLEKQVKVSMTLEEYAAKAGQIVKSMRTAVEKEKVKWDALESSMLPLEQQILKLHYPQQGVCSEVTHGQGSSSCQADPNDGPQEIKPKTLNGQHLMRKKHGQIALKVFFYMYTGIVLPKKWNKAKELVEIKKNDAKREGKKVKAMIRPPESAEVERTVQSSQAAFGVEKMQMNDCKNLLQLVKPMFRSQVYLDYHKQHGVSSEVVIPDKEDQALEFPYGSIQHGQSSMIYNWNDMVLGDLQDLPESGQMLESSKAIGCSNGTNSLVAPEAAVNLSSMGNFQGCRDLGDNESLVMEIKELFPQIADGGFIEVLLEMAKSIPQRLELKDSNQELESMDMRVLQLEEEVGKTCQEVGPSWQNKSKFNQHKKVQTKGKRKRSG >EOY14725 pep chromosome:Theobroma_cacao_20110822:8:1095339:1098101:-1 gene:TCM_034014 transcript:EOY14725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKASLKGRYTNDKSTAAATIAVGAGDVKLRASMTDATVVNGPSLNGLTLSVEKPGFFIVDYDVPRKDFRFQFMNTVRVVEKPLKLTYIHSRGDNRTVVDGALAFDSANKLSANYMLGTRNCKLKYSYVHGGVTTFEPCYDLGTNAWDFGISKRVYDDVFKATYQTWSRDLALEWSRNSKFNGTFKISASINLAEESKIPKIIAESTWDLEM >EOY16849 pep chromosome:Theobroma_cacao_20110822:8:11919655:11923539:-1 gene:TCM_035747 transcript:EOY16849 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MKNATLHIEIHLSIRALTMFFLKTWRASVFGVYGYLNFTRNGFLEHSKKFQPEDMQMRIEGKNCIVTGANSGIGFATAEGLASRGGTVYLVCRNKERGEAALSKIQSSTGNQNVHLELIKFRLQICDLSSISEIKSFASRFSSKDVPVHVLVNNAGVLEQKRVTTSEGFELNFAVNVLGNYATTELMLPLLEKAAPDARVITVSSGGMYTAPLTSDLQMSSDGNFDGLEQYARNKRVQVALTEKWPDMYKNKGIGFYSMHPGWAETPGVAKSLPGFNKRFSGNFRTSEEGADTVIWLALQPKEKLVSGGFYFDRAEAPKHLKLAATSKSHVIIDSITDTLRSMLASSPE >EOY16850 pep chromosome:Theobroma_cacao_20110822:8:11919622:11923529:-1 gene:TCM_035747 transcript:EOY16850 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MKNATLHIEIHLSIRALTMFFLKTWRASVFGVYGYLNFTRNGFLEHSKKFQPEDMQMRIEGKNCIVTGANSGIGFATAEGLASRGGTVYLVCRNKERGEAALSKIQSSTGNQNVHLEICDLSSISEIKSFASRFSSKDVPVHVLVNNAGVLEQKRVTTSEGFELNFAVNVLGNYATTELMLPLLEKAAPDARVITVSSGGMYTAPLTSDLQMSSDGNFDGLEQYARNKRVQVALTEKWPDMYKNKGIGFYSMHPGWAETPGVAKSLPGFNKRFSGNFRTSEEGADTVIWLALQPKEKLVSGGFYFDRAEAPKHLKLAATSKSHVIIDSITDTLRSMLASSPE >EOY15794 pep chromosome:Theobroma_cacao_20110822:8:4669945:4674708:-1 gene:TCM_034754 transcript:EOY15794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MFAFATSAVKRFMAVANRIQGRQHPSIFFMQFSNSFGEARLLVGRINWNGSPPTTKLFLLSRQHLILSMEASIFYYVVFFLFLYFLTKRLLQDKGLPPSPALSLPIIGHLHLIKRPLHRTLAKLSQQHGPVLFFHFGSRPVVVVSSPSAAEECFTKNDVIFANRPRLLAGKHLGYDYTTLLWAPYGDHWRNLRRMASLEILSSNRVQKNLGIRMDEVRSLILRLYRSSNGGGFQVVEMKSILFELALNVMMRMIAGKRYFREGDEELEEEGKFKEIVTEAIQLSGATNIGDFLPVLQWIGLNKIEKKLSILQMKRDGFMQNLIEERRKSVTDSYSEKRSKTLVDVVLSLQETDPEYYTDNMIRGLIQVMLAAGTDTSAGTLEWALSLLLNNPKTLMKAREEIDIEVGQSRLIEESDLGKLPYLHGIINEILRMCPVTPLLVPHESSEECTVGGFRVPRGTMLLVNVWAMQSDPVLWEKPTEFKPERFHGLEAEKNGFRFWPFGTGRRRCPGEGLAMRMVPFALGSLIQCFEWERIGEEMVDMTEGNGLTMPKAQPLVARCRPRPAMMNLLSQL >EOY16227 pep chromosome:Theobroma_cacao_20110822:8:6324738:6326820:1 gene:TCM_035069 transcript:EOY16227 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor MAMAIDNAFEVDFSSYSTTTTTTTTDDDLACTWNDWGSPVVDWDSLSSERDEFQDLIESMMDDGTGIELARVDHETSNSVSIDTMVADEESNREDFKGLRLVHLLIAAAEALTGANKSRELARVILVRLKELVSPNDGTNMERLAAYFTEALQGLLEGSGGGHGKHFITNGPHYHRDEHHQTDVLAAFQLLQDMSPYVKFGHFTANQAILEAVAHDRRIHIVDYDIMEGIQWASLMQALVSRKDGPPAPHLRITALSRSGSGRRSIGTIQETGRRLVAFAASIGQPFSFHQYRLDSDETFRPSAVKLVRGEALVINCMLHLPHFSYRAPDSVASFLTGAKTLDPRLVTLVEEEVGPIGDGGFVGRFMDSLHHYSAVYDSLEAGFPMQGRARALVERVFLGPRIAGSLTRIYRTRGEEESCPWSEWLAAVGFKPVNISFANHCQAKLLLGLFNDGYSVEELANNRLVLGWKSRRLLSASIWTSPDSDF >EOY14852 pep chromosome:Theobroma_cacao_20110822:8:1475298:1478426:-1 gene:TCM_034109 transcript:EOY14852 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MEVCQLSGRFFSASPLQFNHRFRKVATTPKFLIYANGSSCSQTDEPDRESRNRMFILGMGFVGQFFAQELLNEGWIVSGTCTSIKKRTELEKKGLDVHLFDANQPQMSTMNTLKCYTHLLVSIPPVVGIGDPMLQHGEILRSVLMEGNLQWLCYLSSTSVYGDCGGACVDEDYPTNPVNELAKLRLAAEQGWLNLAHDIGIAAHIFRLGGIYGPGRSAVDTIIKQEPLSESQKLRVTKQFTSRVHVADIYQALKASIHMPSSRRIYNVVDDDPAPRKEVFAYALDLVEKKWPALIKDIASPPWGADPFIHKATSRGEKRVSNARMKKELAIKLLHPSYESGLQSIIDQM >EOY17019 pep chromosome:Theobroma_cacao_20110822:8:17098303:17102803:-1 gene:TCM_036185 transcript:EOY17019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELSLRVESLEMEIEKEMEQRIERWKEREETTPLVWAAKMAKCIVSHGLGLPNAELRQVLVSHLCFSTYLASL >EOY15851 pep chromosome:Theobroma_cacao_20110822:8:4841838:4842681:1 gene:TCM_034797 transcript:EOY15851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYAMVWSLWLARNDVVFEGKPWDHDQLYELIKLHVATWAKAKWPRQYGRILDTFVEPSIGAVIKNVKKARPVTEWEKPPDRTMKFNVDGAASGSTNEAGIGGLLRNSKGEVRMMFSKSIGVGDSNLAEVLTIQEAFAMFLASKW >EOY14400 pep chromosome:Theobroma_cacao_20110822:8:186754:188296:1 gene:TCM_033791 transcript:EOY14400 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor MEGDCCSTSSSTSGEKRKQRKQQKEKPFRGIRMRKWGKWVAEIREPNKRSRIWLGSYTTPIAAARAYDTAVFYLRGPSARLNFPDLILQEDELRDISAASIRKKATEVGAKVDALQTSLHAASSSESNPSRGFQKPDLNKYPESSDED >EOY17455 pep chromosome:Theobroma_cacao_20110822:8:20645102:20646074:1 gene:TCM_036635 transcript:EOY17455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRDSGVRPNQVTMVTMLSLCAEVGDLDMGQSGQNLTGVGVDYDMKCGDIDGAWRVFKVFKDQEIGMWNTIVAGWPNIDTNVFTNGRTNFNSTRIAILDDMGRFFSSGWMQFNASDSRSGINRSLTMD >EOY16071 pep chromosome:Theobroma_cacao_20110822:8:5648347:5653210:1 gene:TCM_034953 transcript:EOY16071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulase containing protein, expressed, putative MTRAVIDCSWHVSVGGGHMGPLRRMPRQAKLGAGSGMAVALLLGNCFRLFLHSPPPITLVKPVHLCSSMRSLDNGPERDCDLSLSGTSLLVNNSEGRNFSRRTGRRTRDFRIKAVNLGGWLVTEGWIKPSLFDGIPNSDFLDGNSLQFKSVTNGKYLSAKSGGGTSIAADQTIVSVWELFRLWRVNESTFQFRVFNNRFVGLENAGNGTHVVSVSKTPARLETFEIVRKPDDSSLVRIKAANGFFIQVNKENIVTADYAGNTVWGNDDPSVFIVTVNGTAHGEYQVTNGYGPEKAAPIMREHWNTFIVEDDFKFIVENGLDAVRIPVGWWIASDPTPPLPYVGGSLNALDNAFSWAQKYWLKVIIDLHAAPGSQNGYEHSSSRDGSLEWGKTDDTLEKTIAVIEFLTARYVENPSLYAVEFINEPRAPMVPIERLIKYYKAGYKTVRKHSSTVYVVLSNRLGTSESTELVQLASGLKGSVIDIHYYSLFNDNFRNMTVQQNIDFINTNRSTELNHVTKSNGPLTFVGEWTAEWEFKGGTKMDYQRFAKAQKRVYKRATFGWSYWTLKNVNNHWCLEWMIKNGYIKL >EOY15121 pep chromosome:Theobroma_cacao_20110822:8:2303081:2306345:-1 gene:TCM_034288 transcript:EOY15121 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MDLSLCPSPSFIMSCFPAEEARELCCSATSALISKLFYAIFISVFAVVGATLGALTGAYVGAKTKIDCLRGATVGAIKGSFFSIKFFKILLIVCSSDDVATRDLLQSVRLPVSVALLSFLLSSAHALRFFGPREIHINALDSTFNQVPWNIPEWLSKESIQNIPKIRITEENVWDSSRNRISCSICLQDFLQGEVVHSLPHCHHMFHVSCIQRWLVEHKSCPLCRRSF >EOY16215 pep chromosome:Theobroma_cacao_20110822:8:6246989:6247418:-1 gene:TCM_035058 transcript:EOY16215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGYYICSLFMVVVTKSKEAWDIYSRKQSKLKHEVLIASKKPEHSYVKLNVDGSAKGQLGTATAGGIIRDGHSNWILGFTYKIGISFFLTCFILE >EOY15722 pep chromosome:Theobroma_cacao_20110822:8:4372516:4379129:-1 gene:TCM_034700 transcript:EOY15722 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger transcription factor MGEKKRSKEVFNAFIHVGSAMIPFEAEFCPEAVVRWYHEGSTPGMKSSRQLSLKAKKHLSAMGWSFWYAPKNGRHELRYKSPNGKVYYSLKTACKSCIDGDGRKEEIRVRVRARAQDLEPKKPRKRKSLSQEYQLSGELVQPNPPKRGKKLKKQEKSRENQTNPRVQRSSKRVREGPLPHSSHHQPRTILSWLIDNNGVSPLAKVYYRNKAGDPLMKGRITRDGIQCDCCFRVFGLTAFEAHAGSNNHRPAANIMLDDGSGRSLSNCQRQVRDSMIKGSKAQSPQTVKGNSYEHENDGLCSVCCYGGELICCDRCPSAFHVNCLGLKEVPDGDWFCPSCCCGICGIGHLSDDSFLTCQQCERKFHVGCPRKKQSSDLKNDQTGKNQFCSHSCGQVFSGLQKLTGKPIPVGNNLTWTLLKSVGCFDSDTDHALGVEASAENHSKLSVALDVMHECFEPSKDVYTGRDLVEDVIFSRGSKLKRVNFKGFYTVILEENDELVTVATVRVYGDRVAEMPLVATRFSHRRRGMCRVLVDELEKNLMKFGVEKLVLPAVPAMVDTWTKNFGFSQMTDEERSKLLQYTFLDFQGTIMCQKLLKTLKDFLASFSGSFHISAALLEKNSNLSRRIWNQTVTSIPPQWQQNYHQRKPYLKH >EOY14414 pep chromosome:Theobroma_cacao_20110822:8:237043:239924:1 gene:TCM_033803 transcript:EOY14414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MKPYNSSSNSPYIDMEMGTVAVAQGGQKRSRKGGFKNGKAKVCGDAGDQSVHEMHIWTERERRKKMRNMFSSLHALLPQLPAKADKSTIVDEAVTYIKNLQQTLQTLQKQKLEKLRSATMVDYDQSSIITSQMQVPESREAFLADQGPSNNYPMTTDVSQTFPAPISPACFQTWFSPNVVINMCGDDAQVSVCSPRKPGVLTTIMYILEKHQLEVVSAHISSDQYRSMYMIHVHADGASDQFSEAVSVEETFKLAAGEMNLWLLSC >EOY16181 pep chromosome:Theobroma_cacao_20110822:8:6072072:6073836:-1 gene:TCM_035028 transcript:EOY16181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGMDILKGVRGHYCFSSLLCLSSGLRFGSDDFEFWMIDLEDLPHVRFCPREWEGSFGLNLLVTTPYTIWALFDGSAHGVALRLKPTRRTDNLRILKNCKIMVVKVVT >EOY15754 pep chromosome:Theobroma_cacao_20110822:8:4523252:4525826:-1 gene:TCM_034724 transcript:EOY15754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMNSILKNGYGRGIVVVRHPKKVKELRLKTISPSAPGIRIFDIDQCEYVYAM >EOY14786 pep chromosome:Theobroma_cacao_20110822:8:1257035:1257989:-1 gene:TCM_034057 transcript:EOY14786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTQSLSHGAGNAAESMKETASSLTDWAKDKLRDYGWLSPKGAPASAPVIAPAPALARAFGLGPGPAAAPIV >EOY14459 pep chromosome:Theobroma_cacao_20110822:8:381148:384018:1 gene:TCM_033834 transcript:EOY14459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDRPKLMKTTGEEGSNYHSEHKRHLAAKIMKQCLNSFRGVGGMKCCHIMDYHSFIMMLRGFIRLRLRLLQMARIIKAKQSSSFFGVIGHGKRVVVVVLWIKERMMRLRAHILTIQCTKYLMGKAKWGL >EOY16775 pep chromosome:Theobroma_cacao_20110822:8:10931801:10957438:1 gene:TCM_035648 transcript:EOY16775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding protein 3 MRIDGKLTDQGARIQKIEERLTEMENKMKEKEKMPSEPVAADSSATPSLASVEQEAEVQAKGHELEEEQLRKSPSPEPQDKEESVQGTEMLGSLNENPPTEPQPQPSPPHSEEVSIMGLFHQMVQEEQAEKEVGKAKTQQAASTSAPTAEEKLGKGKAKTTTTPQVKSKPLGKGKKTMATKTKFIRRRKSSRLVEKARPATVSSPYNPIEGSERSGGYFRAKEIGQNKHAKKHFYSSSVIPLKSPSCLSLSLSFSGKFSLSDLTMSLQMAEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDIPSAINALQFYTNVQPTIRGRNVYVQFSSHQELTTMDQTAQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLRQSAVSARTSLQGRNIYDGCCQLDIQFSNLDELQVHYNNDRSRDFTNPNLPTEQKGRSSQPTGYGDVGGMYALEAHGARAVGFPQMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYVNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDVSEEEIVNHLAEHGTIVNTKLFEMNGKKQALVMFETEEQATEALVSKHASSLGGSIIRISFSQLQTIRENSQ >EOY16012 pep chromosome:Theobroma_cacao_20110822:8:5411868:5415351:-1 gene:TCM_034912 transcript:EOY16012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCFQSWFDMSRSLENGKVMRMAFYIISWSNWLTRNEVVFERKEWSELNVFNLIKLRLAWWVKTKWPNLNPSLLDIIRYPNEGLPPQRTQPGMKMVSWVVPSLGFLKLNLDVAVNGCQGEVGNGGVLRHGKGSIPLIFSLPMGVTDSNTAELLAIWKGFQIVAPRPQGGLTLTTLFLGVTLRMLSWAPNPHKAPWKLRRTLMRIEELKSKIAC >EOY17184 pep chromosome:Theobroma_cacao_20110822:8:18210710:18220613:1 gene:TCM_036337 transcript:EOY17184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidinol phosphate aminotransferase 1 MGVTNISNAASLCLVKPRAKSHQIVNPQRPICSFEGNHKRVIMATISSSSSASSTAPFEHVNQKLGGDSFIRPHLRKLSPYQPILPFEVLSAKLGRKPEDIIKLDANENPYGPPPEVLEVLGSLKFPYIYPDPESRQLRAALAEDSGLESDYILVGCGCDELIDLIMRCVLDPGDKIVDCPPTFTMYEFDAGVNAAEVIKVPRKPDFSLNVEHIAEAVEREKPKCIFLTSPNNPDGSIISDEVLLKILDMPILVVLDEAYIEFSGIESRMQWVKKHDNLIVLRTFSKRAGLAGIRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVAACAALQNPKYLEMVKEALVQERDRLFKLLKEVPFLNPYPSYSNFILCEVTSGKDAKKLKDDLSKMGVMVRHYNKKELKGYIRVTAGKPEHTNVLMECLRLVS >EOY15350 pep chromosome:Theobroma_cacao_20110822:8:2984526:2987232:1 gene:TCM_034442 transcript:EOY15350 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative MFSVKQLVESGCLTSVPPKYVFKNTESDDCMVTELEAIPTIDFSLLTSGNPDERSEVINELRNACQEWGFFMVINHGVPETLRKEMIRATKDFFDLAGEEKQQYTGKKLFDPIRCGTSFNPKVDKVLLWRDYLKAHVHPHFSAPNKPSGFGKVLKEYCKRTREMASELLKGISESLGLEESYINEKMAVESADSHQLLVANMYPPCPQPELSMGLPPHSDHGLLTILMQNELAGLQVMHNAKWVPINPLPNSFLVNTGDHMEILTNGKYKSVVHRAVVNDKATRISIGTAHGPPLDTIVSPAAELVTEFVGQFSPAYRGIKYKEYLELQQSKSLNGKSCLDHLRL >EOY15595 pep chromosome:Theobroma_cacao_20110822:8:3889674:3894821:-1 gene:TCM_034608 transcript:EOY15595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose kinase, putative MADLSLPHGALFLGLDSSTQSLKATVLDSNLVIVASELIHFDSDLPHYKTKDGVYRDPSDNGRIVSPTLMWVEALDLILQKLSKSNLDFGKIAAISGSGQQHGSVYWKNGSSVLLSSLDPKKPLVDQLGNAFSINESPIWMDCSTTVQCREIEKAVGGALELSRITGSRAYERYTGPQIRKIFQTQPEVYKNTERISLVSSFMACLFIGAYACIDETDGAGMNLMDIKQRAWSKVALEATAPGLEEKLGKLAPAHAVAGSIASYFVDRFKFNKNCLVVHWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITKDPQPRLEGHVFPNPVDTEGYMVMLVYKNGSLTREDVRNRYAEKSWDVFNKFLEQTPPLNGGKIGFYYKEHEILPPLPVGFHHYLLENFTGETVDGLNEQEVLEFDPPSEVRALIEGQFLSMRAHAERFGMPSPPKRIIATGGASANQSILSSIASIFGCDIYTVQRPDSASLGAALRAAHGWLCSKKGSFVPISSMYKALDKTSLSCKLSMTAGDQNLVSKYAILMKKRTEIENRLVKKLGRC >EOY16954 pep chromosome:Theobroma_cacao_20110822:8:15400133:15416957:-1 gene:TCM_036034 transcript:EOY16954 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441 MRDSLMHRSLISKFDKLIPKTQGEECNAIKVKPLKSYPHVQSGAVATASPVVVMGSSNGGVPPGFRFHPTDEELLHYYLKKKVSFQKFDMEVIREVDLNKMEPWDLQERCRIGSTPQNEWYFFSHKDRKYPTGSRTNRATSAGFWKATGRDKCIRNTYRKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDGDDPQGNTSVSIQINIEDGWVVCRVFKKKNLFKVGNNGGSSINSSDQQLNTSSSSQPRSFMHRSSDQYLVRHQHHNFELNKAELARHYPHMQTPQYSLFQPQTLVQPHKPLNYEFAAAPAALPPDPPVMIKQLMSRDCESGSESLRYQACEPGLEVGTCEPSQPMGVPGRDEGMNEWSMLDRLVSASHMGHEDSSKGVRFEETNATAVHPMNQLSLRGEMDFWGYGK >EOY14483 pep chromosome:Theobroma_cacao_20110822:8:436273:437421:1 gene:TCM_033851 transcript:EOY14483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSNNHFRIVSGHVYLPHILQSREMRMGCLHGNENCYTVFRWDLFSSKRDSNCSMLISILLDLEVVKGIFSL >EOY16563 pep chromosome:Theobroma_cacao_20110822:8:8375110:8381781:-1 gene:TCM_035360 transcript:EOY16563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNVKLMITYGGHWVNDTYKGGETCIAQSCRRECASNKILHSRQQMQPSPKNVVGPLPFANKIVMVMNDDDTFDQMDDDCEEDDIVNWNHEIDDDCESDYVGGHDDCLEEDKGDDNDIPNCNHAYGDVQSNDLIYNNLIADDNGDSFAG >EOY17155 pep chromosome:Theobroma_cacao_20110822:8:18097038:18102192:1 gene:TCM_036320 transcript:EOY17155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulase 3 MHMLKQGTKTNQSKVGNCFHLSKSPMATSSSVSFLCLLLFLSPLLLNTVHGNPNYKEALLKSILFFQGQRSGRLPANQQITWRSNSGLSDGLLEHVDLTGGYYDAGDNVKFNFPMAFTTTMLSWSTLEYGKRMGPQLQEARAAIRWATDYLLKCANAKPGKLYVGVGDPNADHKCWERPEDMDTVRTSYSVSPSNPGSDVAAETAAALAAASMVFRKIDPKYSSLLRETARKVMAFAIQYRGAYSDSLGSAVCPFYCSYSGYKDELLWGASWLLRATNDAYYYNFLKTLGADDQPDLFSWDNKYAGAHVLLARRALVENDKNFEQYKQEAESFMCRILPNSPYSTTQYTQGGLMYKLPQSNLQYVTSITFLLTTYGKYMKARRQTFNCGNLMVSPNSLIGLAKRQVDYILGENPIKMSYMVGFGPNFPKRIHHRGSSLPSLASHPQSIGCDGGFQPFFYSSNPNPNILVGAIVGGPNQNDGYPDDRSDYSHSEPATYINAAMVGPLAYFAGLKAH >EOY15588 pep chromosome:Theobroma_cacao_20110822:8:3851786:3858036:1 gene:TCM_034600 transcript:EOY15588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADRNGSNVRESFESFFNGWLVRQENFLDQPVQALTSQDGHEIDQRGSLVQEVLSHYEQYLEEKSKAAKDQVFLFYSPPCSVTELTPEQEETIERVKYETRREERELTEAMETVKKVIEILSPVQTVKFLAASAEFQLRSYRPMGSVLVSVALCRLVGLPGANSCLPKGLRLIEECIPQSMARSGTVLFVFFLFPFAADRRGVMEIGSRFYSDLMDWGTGESVGKV >EOY14672 pep chromosome:Theobroma_cacao_20110822:8:934975:936211:-1 gene:TCM_033977 transcript:EOY14672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-like 41, putative MEIASISKPIKWFSNKSLRLSFPRLRSKSKSSSSLPSPTVIRPPTPNISTKEEDLQVVFRRFDSDGDGKISSEELSAYFASIGDYVSRQEAQRVIKDFDNNGDNRLEFNDFVKLMEGGNEGDDDIKRAFEMYEVDKGCGCITPVGLQQMLNRLGDVKSYEECVAMIRVFDLDGNGVLDFHEFQQMMRGEP >EOY17282 pep chromosome:Theobroma_cacao_20110822:8:18986973:18987877:-1 gene:TCM_036433 transcript:EOY17282 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein MRQQLNFLRLQLLLLMCYAALSNGSLLPFMDHQGSGSLLLPDPFRVLEQIPLGLERDESLALSPARVDWKETPEGHVIMLDVPGLKKEELKIEVEENRVLRVSGERKREEEKKCDHWHRVERSYGKFWRQFRLPDNVDLDTVKAKLEDGVLILSLAKLSPDKIKGPRVVSIAGGDANEPAKLKSSEAKQEL >EOY14817 pep chromosome:Theobroma_cacao_20110822:8:1349264:1349933:1 gene:TCM_034084 transcript:EOY14817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L31 MVLTLHNTFLQIKPSFPSSLAPRKAVTAAAGNCRPQVTCRKKDIHPEFYEDAKVYCNGELVMTTGGTQKEYVVDVWSGNHPFYLGNRSAVLVDADQVEKFRKKFGQLSEIMEIPVLKGEIVLPTKRKAAKGGKKK >EOY16504 pep chromosome:Theobroma_cacao_20110822:8:7911576:7914471:1 gene:TCM_035295 transcript:EOY16504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MELDLQNYARILQSCNTHNFILLGKQLHSFFLKKGILSSTITIGNRLLQLYSRCSTTTETWKLFDEMPHRNCFSWNTVIEGYMKSGNKEKSLELFKLIPHKNDFSWNLVISGLAKAGELEVARDLFDNMPRKNGVAWNSMIHGYARHGNARKAVELFKDLGSLGDSFVLATVIGACVDLGAIEYGKQIHAHMVVEGLEFDPVLWSALINLYGKCGDLDSASRVLNLMKEPDDFSLSALISGYASCGRMTYARRLFDKISDPSVVLWNSLISGHALNNEEIEALALFNKMREKKVQEDFSSIAVVLSACSSLCISEHVKQMHGHAHKIGVIHDVIIASTLIDAYSKCGRPNDACKFFSELQAYDTVLLNSMITVYSSCGRIEDAKYLFKTMPTKSLISWNSMIVGLSQNGCPIEALDTFCKMNKLDLMMDKFSLASVISACASISCIELGEQVFAKATLIGLESDQVISTSLVDFYCKCGLVEYGKKIFDTMTKSDEISWNSMLMGYATNGHGFEALALFNEMRNAGARPTDITFTGVLSACDHCGLLEEGRKWFDSMKWDYHIDPGIEHYSCMVDLYARAGCLEEAMNLIEQMPFKEDVSLWSSVLRGCVAHGDKSLGKKVAERIIELDPENSGAYVQLSSLFATSGEWETSAAVRSIMREKQIKKNPGCSWAES >EOY16731 pep chromosome:Theobroma_cacao_20110822:8:10657395:10660660:1 gene:TCM_035611 transcript:EOY16731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWLEVGERKLLGPELVQDATEKIHMIRQRMLTAQSRQKSYADNRRRDLEFQVGDHVFLKVSPTKGIMRFGKKGKLSPRYIGPFEILEKVGAVAYRLALPPDLSNIHPVFHFSRMAIVE >EOY14386 pep chromosome:Theobroma_cacao_20110822:8:147032:149561:1 gene:TCM_033785 transcript:EOY14386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRRDDDMMETEATAAAPPQQQGSNDVGKELIAMARKLIDQGKPSEALQVVVMAIGTRGGDSAVLQSLSRARDVYRARMQENTAVDQLVSLFAECAIDEAEPSEDGSLPCNPSGPLVASDAHGTSILAETGRMQIVLDAFADGSSFICLQCGGLVSKLRKEEHYAHWCGNM >EOY14815 pep chromosome:Theobroma_cacao_20110822:8:1340886:1345903:-1 gene:TCM_034083 transcript:EOY14815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase large subunit isoform 2 MESCCMALKANANPINVSKGRSHGGSVFWGESIRGGLKSRDLGAQLWKSLRAAKGVKNAKPGVAYSVLTPDIDKKTLFQAPVFETPQADPKNVASIILGGGAGTRLFPLTSKRAKPAVPIGGCYRLIDVPMSNCINSGIKKIFILTQFNSFSLNRHLARTYNFGNGVNFGDGFVEVLAATQTPGEAGKRWFQGTADAVRQFVWVFEDAKAKDVEHVLILSGDHLYRADYMDFVQKHIDSNADITVSCLPMDDSRASDYGLMKIDETGRIIQFSEKPKGPNLKAMQVDTSILGLSAQDAARYPYIASMGVYVFKTDVLLKLLTRSYPSCNDFGSEIIPSAVKEHNLQAYLFNDYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFYTSPRFLPPTKVDKCRIVDAIVSHGCFLRECSVQHSIVGVRSRLESGVELEDTMMMGADYYQTEYEVASLLAEGKVPIGVGHNTKIRNCIIDKNAKIGKDVIISNSGAVQEADRPDDGFYIRSGITVILKNATIKDGTVI >EOY14816 pep chromosome:Theobroma_cacao_20110822:8:1341061:1345903:-1 gene:TCM_034083 transcript:EOY14816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase large subunit isoform 2 MESCCMALKANANPINVSKGRSHGGSVFWGESIRGGLKSRDLGAQLWKSLRAAKGVKNAKPGVAYSVLTPDIDKKTLFQAPVFETPQADPKNVASIILGGGAGTRLFPLTSKRAKPAVPIGGCYRLIDVPMSNCINSGIKKIFILTQFNSFSLNRHLARTYNFGNGVNFGDGFVEVLAATQTPGEAGKRWFQGTADAVRQFVWVFEDAKAKDVEHVLILSGDHLYRADYMDFVQKHIDSNADITVSCLPMDDSRASDYGLMKIDETGRIIQFSEKPKGPNLKAMQVDTSILGLSAQDAARYPYIASMGVYVFKTDVLLKLLTRSYPSCNDFGSEIIPSAVKEHNLQARNCIIDKNAKIGKDVIISNSGAVQEADRPDDGFYIRSGITVILKNATIKDGTVI >EOY16689 pep chromosome:Theobroma_cacao_20110822:8:9797922:9807901:-1 gene:TCM_035527 transcript:EOY16689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MELSILLIMFLLFLFVCSAFSLLYIWSSPKEMDGIPGSLGWPIIGESLSFLSDFSSPSGIFSFMNKRQQRYGKVFKTFVLGRFTVFMTGREASKILLTGKDGMVSLNLFYTGQQVLGPTSLLQANGEAHKRLRRLIAEPLSIDGLKKFFHFINSQAIETLDQWSGRRVLVLEEASSFTLKVIGNMIMSLEPCGEEQEMFRANFKLISSSFASLPFKIPGTAFHCGIKARDRMYAMIDSIIARRRNGEVIQQDFLESLIMKHSRGTETGDDGNKLTDQQMKDNILTLLVAGHDTTTAALTWLIKFLGENPAVLEQLRDEHWEIQANRKDGTDLTWLEVNKMPYTAKVISETLRRATILPWFSRKAAQDFEIDGYNIKKGWSVNLDVVSIHHDPESFPDPQNFDPSRFDAPVKPFSFLGFGSGPRMCPGMNLAKLEISIFIHHLICRYKWRPLERDDSVQPTLVRMPKNKYPIMVEPL >EOY15631 pep chromosome:Theobroma_cacao_20110822:8:4027822:4030838:1 gene:TCM_034632 transcript:EOY15631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase isoform 1 MAFRSVCFPQFLRSLVGPGCSKAGACRYFAPRAASASKQACDPPSYRDDENADVDWENLGFSAVQTDFMYIMKCSKDENFVQGQLSRYRNIEFNPSAGVLNYGQGIFEGLKANRKEDGSLLLFRPDQNAIRMKIGAERMCMPSPSIDQFIEAVKQTALANKRWVPPPRKGSLYIRPLLIGSGPVLGIAPAPEYMFLTYASPVGNYFKVVKALIRARSQGFSDVLYLDSVNKKYLEELSASNIFIIKGNVIATPPTSGTILPGITRKSIIDIAYDLGYQVEERAISVDELIDADEVFCTGTAVGVGSVGSVTYRGRR >EOY15630 pep chromosome:Theobroma_cacao_20110822:8:4026820:4031205:1 gene:TCM_034632 transcript:EOY15630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase isoform 1 MAFRSVCFPQFLRSLVGPGCSKAGACRYFAPRAASASKQACDPPSYRDDENADVDWENLGFSAVQTDFMYIMKCSKDENFVQGQLSRYRNIEFNPSAGVLNYGQGIFEGLKANRKEDGSLLLFRPDQNAIRMKIGAERMCMPSPSIDQFIEAVKQTALANKRWVPPPRKGSLYIRPLLIGSGPVLGIAPAPEYMFLTYASPVGNYFKQGNALLNLYVEEELIRASPGGAGGVKSISNYAPVVKALIRARSQGFSDVLYLDSVNKKYLEELSASNIFIIKGNVIATPPTSGTILPGITRKSIIDIAYDLGYQVEERAISVDELIDADEVFCTGTAVGVGSVGSVTYRGRRIQFKVGECSVCQELGSTLVGIQTGQIEDKKDWIIEIP >EOY16308 pep chromosome:Theobroma_cacao_20110822:8:6638791:6654700:-1 gene:TCM_035130 transcript:EOY16308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein MAAPVPPGAPRPSANAQQPPPPNFNPNYQTNPNSLADNLHNMNLNRPPSMPNSGPRPSPFGQQPPFPQSAVSAGFPIASPPMSRPGPPPGVMGRPAVPTTGPQQSTLPANVPPGRPIGPPVSHPSPFVSRPPPSSLSSSIGGAVLPSSGFPSSGVPNAAVAPPPPGARPSPFASLSPLTGGPAVPPSSASGGPLSNGPPVIGSGALPGAPRFSPAASISQPPVGPPPTMMSARAPAQAPTMRSVLGSPAVSAPPAPPVASASPFPAVPQARPPPPGSPYGPQTWPMQPQQGIQPPLIPGSTQAQPPRMFGMPQQLPNQAMTTIPPAMGQPGAPLSGPSKIDPNQIPRPIPSSSPIVYETRQGNSANPPPPATSDYIVRDTGNCSPRYMRCTINQIPCTADLLTTSAMQLALLVQPMALPHPSEDPIQVVDFGESGPVRCSRCKGYINPFMKFIDQGRKFICNLCGFTDDTPRDYHCNLGPDGRRRDADERPELCRGTVEFVASKEYMVRDPMPAVYFFLIDVSMNAVQTGATAAACSAINQVISDLPEGPRTLVGMATFDSTIHFYNLKRALQQPLMLIVPDIQDVYTPLQTDVIVQLSECRQHLELLLENIPTMFQSSKTAESCFGAAIKAAFLAMKSTGGKLLVFQSVLPSVGIGALSSREAEGRTNISAGEKEAHKLLQPADKILKTMAIEFAEYQVCVDVFVTTQTYVDIASISVIPRTTGGQVYYYYPFSAVSDPAKLYNDLRWNITRPQGFEAVMRVRCSQGIQVQDYSGNFCKRIPTDIDLPGIDCDKCILVTLKHDDKLQDGSECAFQCALLYTTVYGQRRIRVTNLSLPCTNMLSNLFRAADLDTQFACFLKQAATEIPTSPLVQVREQVTNLCINILLSYRKFCATVSSSGQLILPEALKLLPLYTLALIKSTGLRNDGRIDDRSFWFNYVSSLSTPLAVPLVYPRMFAIHNLNSKEGDESVLPPIIPLSSEHISDDGIYLLENGEDALIYFGSSVDSSILQQLFGFTSVDEVPTQFVMQQYDNPLSKKFNDVVNAIRQQRCSYLRLKLCRKGDPSGMLFFSCMVEDKNAIGPSYVEFLVHIHRQIQMKMS >EOY15029 pep chromosome:Theobroma_cacao_20110822:8:2007436:2009906:1 gene:TCM_034227 transcript:EOY15029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytomatrix protein-related, putative MRAKTRSQVSSERQNWDKIFEGLVEMLKTQQEQLETLAKERKILEDRIKMQYERWVSDVRLYEDHISQVKSDMESKEMARALEAAKADLMVGLKHRETYLCKLKLEETEDELTDFRIWFDILSKNSKDISQRDPEETKRGMSGCKDSGSKSVTVRTLEGDVRRLKLKYENLASEKNSQITALLAENKFAWNQFNVLETQYTDKLNSKDSELEKANRKIEALISNMEELNSSNAEKDAIIERLKAEVSQKEANASKFHEVSKKSREVELLRKSRNASCTPVIKRCSAGGRTSVLGGKHGGRDGGNVIVKKETSARNDPDLLKDSGKGSRSSKRKKDDVTPISETPKLFTSTFKVPRLKASSPKTS >EOY15953 pep chromosome:Theobroma_cacao_20110822:8:5147204:5149611:1 gene:TCM_034867 transcript:EOY15953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S6e MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDIEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRSFTTKTGKKASKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKADAAEYQKLLAQRLKEQRERRSESLAKKRSRLSAASKPSIAA >EOY16128 pep chromosome:Theobroma_cacao_20110822:8:5889539:5894909:-1 gene:TCM_034995 transcript:EOY16128 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-phospho-panto-thenoylcysteine synthetase MDSAALHEEIDSFFESAPPLKDSSKVTDKLKQFIEFNSPSSGEGRGRRVVCVTSGGTTVPLEQRCVRYIDNFSSGNRGAASTEYFIKAGYAVIFLYRRGTCQPYCRSLPEDPLLECFEIADDVDIQVRQPHSEAVKGAIRDHHAAVTGGLLLKLPFTTIFEYLQMLRIIALSMRSLGPHAMFYLAAAVSDFYVPWKSMAEHKIQSASGPLDMRLMQVPKMLLVLRTEWTPMAFCISFKLETDSKILLEKASTALKKYKMHSVVANELLTRKEEVIVVTSGGNILVHRDRTRTGSDVEDPLVELLVDRHSTYIKTTSTGSLSKQEVLDIH >EOY16795 pep chromosome:Theobroma_cacao_20110822:8:11263571:11267155:-1 gene:TCM_035676 transcript:EOY16795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITLTLCLLCRGRSEPSRSPLIPTAMEAIPIFQKLIAPSDPKDDIYPRMYRWQCNQKPKDFYKVDEKLKSSQQLSKMRKVNDGVITVHQLRWVMRKHRRDMLELKGSIQSLKDAIQTLEDRIIGQILDGGPSSHHDGSQHDYANDRQDDELGVDDQEIDHDMVGIEGDNVTHTDEGLDENVEGDGNL >EOY16575 pep chromosome:Theobroma_cacao_20110822:8:8488249:8497208:1 gene:TCM_035373 transcript:EOY16575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRYKLKNKGEPFVRRRMLRVLLQQGQRILDLATIVLKNMTTLGEIKKRRMVMASKTNKEINFLPVSIVKNRNHTPKYCLYRPNVKCRSCNQFGHVEKVCKAKNGHTDEKAAIAEQVDAIEEQLFMAQIADDEERNTWLIDSGCSNHMTRNDQLFTKLDINFKARVRIGNGIYLKIMGIRTIVVETMNCTKYISEVHYVPEVMQNLLSVGQLAENHYTLLFKEQFCTIFDPFGLPCMSILKQIYSTWMHGKQSWMPFPLSSSWRAGDKLQLVHSDVGGPVNTASLNGSIYYVIFIDDFSRYYWIYFLKNKSEVFEKFKIFKALVENEFETVSPKDIRFTKFKARKYLSVEMFGSMRKRQAMETEMEMIKKNGTWVLVNRPLDQNIIGVKWIFKTKLNAEGTVNKYKARLVVKGYSQVYGVDYWETFALVVRHDTIRLLTAVAAREGWKIWHMDVKSAFLNGTLSEDILIEQPEGFVELGKERKVCKLVKALYGLKQAPRACGSILDDFKRRMKQEFEMSNLGETTYFLGLQFHQASDFIFVHQRKYACEMLKRYRMEAYKMVETPLVTGAKFNKDDGAPAAIYASSFRDSFSAKKRVLRYIKGTVDYGLKFEKRKSKELKGYCDSNWARCLDDSKSTSGFCFSFGSAIFCWKSRKQEVVAQSSAEAEYISAASATNHTLWLRKMLGDLGFVQIEGTTLLIDNKSAISIAKNPVQYGHTKHIRVKFHSIREAGKNGEIKVEHCSTENQLADIPTQGLSKEKFIYLRARLGVYKLGTKEVKMIFVVTRGFENPTARREKTLRESPPTVFSRCDWPPIDLILIDEVLN >EOY16294 pep chromosome:Theobroma_cacao_20110822:8:6573459:6577235:-1 gene:TCM_035114 transcript:EOY16294 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein MPAGRNSDGRLTIDFIGGVFMDLMPKEEYFSKALYTLDIGQNDLGAGFSAEVAVASATATATTVATTSSPQWLCNPSFTNDPSLVNDAISSLPCALNVEEEDEDEGEEEKQQQQQKNYHSYELLEEEEEDEEDSNSDGEKSFWIHNTGPIGYLPYILVNLPSNAAAQMDNAGCAKSHNNVAHYFNQKLKQAVVQLRNNLPLAAITCVDVYSVKYSPFSHPKKHGFEHPLVACCGYGGKYNNSSSVSCGGFAVNGTQLPVGSCDRPSVRVNWDGIHYTEAANKFVFDQISTGAFSNPQLPLKMACHQASKE >EOY17315 pep chromosome:Theobroma_cacao_20110822:8:19348680:19350922:1 gene:TCM_036475 transcript:EOY17315 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 88A1 MVELGKLILQQRNHQFSITILLTTGFWDTPRIISYINSVSQAYPSICFRRFTSVSVSMDKKCSVAAIAFQFIRLHAPNALHSLQEISKDHKISAFVIDLFCTSTLSMGKDLKIPTFYFYTSGASSLAAFLQFPKLDEQTTESFRDLPDTVFHFHGLPPLKAIHMPEPALDREDPAYWDFIYFCSGLAQSDGIIVNTFQELEPISIKAIADGLCLVDAPTPPTYYIGPLIAAGSKAEHECLSWLEKQPSKSVVFLCFGSRGSFSRVQIMEIAKGLERSGQRFLWVVKNPPQDEKAKQTEESPNVDLDSLLPDGFMERTKDRGLVVKSFAPQVAVLNKDSVGGFVTHCGWNSILEAAVTGVPMIAWPLHAEQHLNRNILVQDMKMAIPVEQRQEDGFVSGTELEKRVTELMDSDIGMELRERSWKMREKALAAWGPSGSSTKALTKLIDLWKHG >EOY14547 pep chromosome:Theobroma_cacao_20110822:8:563831:567002:1 gene:TCM_033891 transcript:EOY14547 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MPYSSSSLLSSFKGMAEDICHHFNKDTKFIKAPRKSPLILTMVVLFLLMVSGVYIFSIWLRQISPRTNAKFLNIRVLDHLQQQCQAPNIEQWEAPFIHFPNPETFSREECVCNPVRYFAILSMQRSRSGWFETLLNSHTNVSSNGEIFSVRDRRSNVSSILKTMDKVYNLDWFTSASKNECSAAVGFKWMLNQGLMEHHDDIVKYFMNNGVSAIFLFRRNLLRRMISVLANSYDKDVKLLNGTHKSHVHSSAEAQILAKYKPTINTTQLIPELKRVEQTTAKAVEYFSSTRHIVLYYEDLVKNRTKLREVQEFLGLPYRELSSRQIKIHTAPLSEQVANWDDVQKAVEGASSYESFLHSDYKI >EOY16916 pep chromosome:Theobroma_cacao_20110822:8:14981926:15148727:1 gene:TCM_035988 transcript:EOY16916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPLRWQIDHGHPPREAEVDVAGSLGRGRPTNATPLAWSEFSVAFLDRFLPLSVRNARAREFETLVQTSSMTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVEPLFRAVASRDFTTYSAAVDRAQRIEMRTSESRAARDRAKRGKIEGYQGCRDFSSGGWDREPSVLGGSKILDRVVKSFALVILVGDDIVDGASLLQKLVTGAVNLGILGGIVRWHINHQILLVVPPSQLHLLRQLLSHLAGRLVDREVEVRVLPLKADHLGPDIKVLLVEAKRGCLL >EOY15733 pep chromosome:Theobroma_cacao_20110822:8:4443549:4449386:-1 gene:TCM_034710 transcript:EOY15733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILPRNQDSVPKRSNMQGLKMLHEQSFVSCQHLRRPLTLLGADWDCIHGPCVTQTDLVYSLSQVADDKILRRR >EOY14627 pep chromosome:Theobroma_cacao_20110822:8:760155:761042:1 gene:TCM_033941 transcript:EOY14627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEAQAHKSHLQKGKHNLAIVSCRVSAHASIFITGGKKSENEILYFFIWIYGAQSLSVFYYPRLHSCARLRRKKKEARQIQSPFLVLITRWGSDTANRNYYGPVNLCIRH >EOY16391 pep chromosome:Theobroma_cacao_20110822:8:6986512:6987268:-1 gene:TCM_035190 transcript:EOY16391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRAAHRKMPSMDGEETVNHVGCRSHAKRPNRRQWFIGAPVNNGCLPSSFCFSPLVLNFVKFFVLRKHLLYRYGHHKVVAKATHSH >EOY14744 pep chromosome:Theobroma_cacao_20110822:8:1151562:1154028:1 gene:TCM_034027 transcript:EOY14744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSNPLWKVYVLTLLEFTPWRALVSHIKHRMRDFSMKLQKKIYFRIPAERNSFWPCVTPMILPEFIPIALHTFSLLFDALILFLLNESLRTMISF >EOY14760 pep chromosome:Theobroma_cacao_20110822:8:1185198:1198937:-1 gene:TCM_034038 transcript:EOY14760 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MASDVPCCGAEFSLYLLIIVGLVCFAGLMAGLTLGLMSLGLVDLEVLIKSGRPQDRIHAAKIFPVVKNQHLLLCTLLIGNSLAMEALPIFLDKLVPPWAAILISVTLILMFGEILPQAVCTRYGLTVGAAMAPLVRVLLFLFLPISYPISKVLDWMLGKGHAVLLRRAELKTFVDFHGNEAGKGGDLTHDETTIIAGALELTEKTAKVAMTPISNAFSLDLDTTLDLETLNKVMTMGHSRVPVYHGNPTNIIGLVLVKNLLTIDSVEAVPLRKMIIRKIPRVSEDMPLYDILNEFQKGHSHIAVVYKDLNENKESVKKGKEGERLEFKDSCKKRKGQPETVTSRKDDREAGFSTQKPGLTLDSQDVQTPVTNSDGGQQTKKSPPATPAFKKRHRGCSYCILDIENAPIPQFPSNEEVVGVITMEDVIEELLQEEILDETDEYVNIHNRIKINMHASQEDASNSNSTQPSPTGTLTPASPTPAGHLPQRSPVSPNLAAPGPTPTLSISTSTSNAGSPATTHQTSGEDSLKDRSCLLQRTYFERETERKTWLWLGLFAKVSAHMGESFDDIAEPVASGTRVSKSKGKELENHSSSGQRKKVESGSKKKDEKKVNGSLPLPAHEHKSGKKNEKHESNRKKEKDKSKLPSGASKEHREQEESQGEEAEKENENEEEEVVVDGKEEGLDSEEEGIGEIEGDGDLDESVDQETQEKVENENEGLKSEGKKRKIKGPVFDPKAHYSWKLCSTRSKHNYMPCIDVESGFTRLQSYRHRERSCPKAPPMCLVPLPHDGYDSSVRWPESKFKILYKNVAHPKLAAYLKNHNWLIESGEYMMFPQNQSEFKGGVVHYLESIEEMVPDIEWGKNIRVVLDVGCGDSSFVASLLDKDVLTLSLGLKDDLVDLAQVSLERGFATVVGPFARRRLPFPSGVFDAIHCGGCTMPWHSNGGKLLLEINRILRPGGYFILSTKHDSIEAEEAMNTLTSSICWNILAHKTDEVSEVGVKIYQKPESNDIYELRSKKNPPLCKEHENPDAAWYVPMKTCLHAIPSAIEQHGTEWPEEWPKRLETYPDWLNDKQKAIDDTKHWKDIVNKSYFTGMGIDWSTIRNVMDMKAIYGGFAAALLQQKIWVMNVVPVHAPDTLPLIFERGLIGIYHDWCESFGTYPRSYDLLHADHFFSRLKSRCKQPVSIVVEMDRILRPGGWVIVRDKVEILDPLEGILRSLHWEIRMTYSQNKEGIICAQKTTWRP >EOY15161 pep chromosome:Theobroma_cacao_20110822:8:2434038:2436712:1 gene:TCM_034320 transcript:EOY15161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MRKVASCSAVSFSNFYTNYAQRKPFLLSRKGLSNVIPSRSSLQLHSHLYSESLVSALIVGVNSCSCVSYCQAFHARVIKAVNYRHGFVGDQLVSSYARLGYPEYAQNLFDEMPNKDLVSWNSLISGLCRSGFTTKCLSAFCKMRFEMDMQPNYVTFLSIFSACSDEGALSEGKCIHGFAMKLGILNEVKIVNALINMYGKSGYLREACWLFEAMPLQNLVSWNSIITVYTQNGLAEESMGIFIMMRRAGVEFDQATMLTVLQACENLGVRNLAGSIHGLILRFGITVNVTIATALLNLYSKLGCLQASSKVFGEIIDLDSVAWTAMLACYAVHGYGKDAIKLFQVMVQKGVQPDHVTFTHLLSACSHSGLVNEGKHYFKIMSEVYGVEQKLDHYSCMVDLLGRSGRLNDAYDLIRCMPMEPTSGVWGALLNACRVYGNTELGKEVAERLFSLDPLDARNYIMLSNIYSSAGLWREASEVRALLKERSPYRTPGCSFVEHGNKIYRFVVGDRSHPQAERIYNKLEELIGKIRNSGFMSKTEFVLHDVDEEVKENMINQHSEKLAVAFGLLVTDAAMPLIITKNLRICGDCHSMAKAVSLIERRTLIIRDPKRFHHFCNGLCSCGDYW >EOY15564 pep chromosome:Theobroma_cacao_20110822:8:3760128:3761106:-1 gene:TCM_034579 transcript:EOY15564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHDSYTVESFRDDDDDGDPNNFHVIIEPKRGSDTHLLGPVVGGKGVGVSGSETTTLKVHLGPWGGGEEGFESRSRSRTMFCGVKLDVSPTTTVLGGQCFR >EOY15927 pep chromosome:Theobroma_cacao_20110822:8:5076212:5077908:1 gene:TCM_034849 transcript:EOY15927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein 6, putative MKRLSSSGSLDALISICPPKEEKNSKNKQVYSREFQAMLDSLDEDDNLEEAGQATEKKRRLSIDQVKALEKNFEVENKLEPERKVKLAEELGLQPRQVAIWFQNRRARWKTKQLEKDYAILKARYDALKLDYSNLEKEKEALTVKLKELKAKLKEENSESSHSVKEDSPNFSQQAKDHDLCDNNDDSNRIVKEESNVNAQLLISPASSSSFQFNGSSSSSDSSNHQSRFQPFDSRVILGNMYHPQLVKVEEQCMFTAEEFCNFFSVDQAPTLQWYFTGQ >EOY17129 pep chromosome:Theobroma_cacao_20110822:8:18001196:18009227:1 gene:TCM_036301 transcript:EOY17129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling 31, putative MLNRSMPVASRTRSREDAKLYREYKKRKEEKNMATGEGSSRSVRESISVASQKETDEGQILIEDSDSEVVEYRQQGQQDEEADLVHLESSSDDDDDDVIVSVDGSDGLASGEDNDDSDDLDYGGEDQSDDETVGIETASRVKRRRGEVEFVSEKNGVESCQIYNSQGTPVGRKRIKPVLVDSDDSGVNEDVTRTKSSCRKGRASSSKRVDLLKILTDSILGEEDSGSTLEEPFQQETSSDPLPLKFTFGVEETIPPEKTESEEEMDALWAEFQFCLASSEIGSTDAPIYGNFCCASKPRRQGCSEIKVGKEDADVSEVKHDTATLCRQGHHHIILDEEIGLKCKFCSFVQLEIKYIVPPFMTDPYGKFERRDFGMVDSAIFDGLQYHDSDCDMPGCDPWADIQGTVWEIIPKVKGQLYPHQREGFEFIWNNIAGGIYRDKSKNSSNGGGGCIISHAPGTGKTLLTIVFLYTYLKEYPGCRPVIVAPRSMLLTWAAEFRKWKVDIPFHNLNSLDFTGKEKAKGIGLYEKFKQNVPDGPLARRLVKLLSWKSDGGILGVSYRLFEQLAGTENKGKRKCTAIDKHVSRILLELPGLFVLDEGHTPRNEGTLMWKALSMIKTERRIILSGTPFQNNFDELFNTLCLVRPKFAEGIQSRNQERVGKNCRFKRNEAKEKWASLTGSIGKVADRDEAGKLKELRAVIKPFVHVHKGTILQTTLPGLRHSVVVLQPSDLQKKILERLKETKNALFLDYYVSLISIHPSLLQQLSDQKDVMESVSSIARMDELERIRLKPDKGVKTKFLKELLKFSEALDEKVIVFSQYLEPLNLIMDQLKDFFKWKEGEEILYMHGKCDIKQRQSSINVFNDPTSKARVLLASTKACSEGINLVGGSRVVLLDVTWNPSVERQAISRAYRLGQKKIVYTYHLISSGTMEGLKCYRQAGKDRLSELVFSSSEKGDDHQKKVYDVLEDKILEEMFQHEKLKSMFEKIINQPKDLDLVVNFGDL >EOY16750 pep chromosome:Theobroma_cacao_20110822:8:10799643:10800414:-1 gene:TCM_035629 transcript:EOY16750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate-binding protein MLLFLVLVCLLKSFNLGEADTTDGFTPVPLTQANFELQRPYNVPLEERYSYEHGIHKLWVYANDKPHDPNSHTQPRTEIRIEGLDYSSGVQQFEGYGFVPNGTSGVTISEIHGASSGATTLILRIYDGNMRYYSGDLVDTGLYDNGLD >EOY15971 pep chromosome:Theobroma_cacao_20110822:8:5247917:5249198:-1 gene:TCM_034881 transcript:EOY15971 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein MEPSDISYDSDLVSSTNNHTDVEPLDLFPGAMQNSLEPMPLLSCFLGSSDTTLDGNPKAECNEDKEDVTVALHIGLPDPSNTPFSPNGKDTANGAAKQYWIPTPEQILIGFTNFSCHVCFKTFNRYNNLQMHMWGHGSQYRKGPESLKGTQPRAMLGIPCYCCAEGCKNNIEHARAKPLKDFRTLQTHYKRKHGLKPFMCRKCGKFLAVKGDWRTHEKNCGKRWLCVCGSDFKHKRSLKDHIKAFGSGHGPFPPSFDGVEVLEDTASSLHV >EOY17394 pep chromosome:Theobroma_cacao_20110822:8:19975681:19986411:-1 gene:TCM_036552 transcript:EOY17394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase MCFGSGKVCEVMERLFWLLILTVVAVVAAVEGGEVTYDGRSLIIQGQRKLLFSGSIHYPRSTPEMWPSLITKAKEGGLDVIQTYVFWNLHEPQKSQYNFNGRADLVRFIKEIQAQGLYASLRIGPFIEGEWNYGGLPFWLHDVPGIVYRSDNEPFKVHMQNFTTTIVNMMKSENLYASQEGPIILSQIENEYQLVEPAYHEKGPPYVKWAAEMAVGLQTGVPWMMCKQNDAPDPVINTCNGMKCGESFPGPNSSKKPWLWTENWTSRYQAYGEEPYTRSPQDIAFQVALFVARNGTFVNYYMYHGGTNFGRTTSSYVTTSYYDEGPLDEYGLIRQPKWGHLKELHAAIKSCSNPILFGTQFMLSLGERQTGYIYRQKSGECAAFLVNNDDTKTVVVPFQNSSYELSPNSISILPDCKNVAFNTAKASLNLILEKYEVEINVENNTRSITTGKKFNGSEMWEEFRDIIPTFAQTSKRSNTLLEHMNTTKDMSDYLWYTSMYRHESSNSKAVLKVDSAGHALHAFVNGVLIGSTHGSHKNTRFTLENTIPLNEGNNNISLLSVMVGLPDSGAYLESRVAGLRRVRIHDIQNSKDLTNYSWGYQVGLLGEKLQIYLEQTSSKVQWRPFTSPNPLTWYKTSFDAPAGNESLGLNLGSMGKGEAWVNGQSIGRYWVSFVTSQGSPYQTW >EOY15593 pep chromosome:Theobroma_cacao_20110822:8:3885513:3886741:-1 gene:TCM_034606 transcript:EOY15593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLNSFGLDPFGFYCQDVSCLSFELDLRFGLFRTDYSWVTMKKIPLIGSAKPQLSSTLANNQYGFEWLILHY >EOY16024 pep chromosome:Theobroma_cacao_20110822:8:5471156:5475135:1 gene:TCM_034924 transcript:EOY16024 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine-dependent methyltransferase, putative MTTVITGNFRPAIFPSQLNGNSARFLFRPFTTPVFKRSLTAKVRAFSTAFVETKPTEPVVEEKEDTGGGSNGLACPICYDPLIRIGESTSYVGSTAGSNLQCNTCKKTYRGNETHLDLVASSGSKQYDESMPLATEVFSTTWIIYNVALTANVLKTPVVSFLYERGWRQNFLFGGFPGPEKEFDMAKNYLKPVLGGNIIDASCGSGLFSRLFAKSGLFSLVFALDYSENMLRQCYEFIEKEENFPKEKVTLVRADISRLPFKSSSVDAVHAGAALHCWPSPSTAVAEISRVLRPGGVFVATTYILDGPFGFVPFLRTFRQNIMGIAGSHIFLSERELKDLCRTCGLVGFTCVRNGLFVMISARKPS >EOY17217 pep chromosome:Theobroma_cacao_20110822:8:18440347:18443171:-1 gene:TCM_036368 transcript:EOY17217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylem serine proteinase 1 MRNKVPLVGFWEQDGEKMDNREKTRIGLWGQRYTNATRNHENSSIPENFFLDTNKDSSKWSSLSSSSAAAAVHIVYTEKPQDEQPEAYHIRTLSSVLGSEEAAKEALIYSYKTAASGFSAKLTPEQVAEISKQPGVLQVVPSRTLQLHSTPGQLH >EOY15528 pep chromosome:Theobroma_cacao_20110822:8:3657924:3658829:1 gene:TCM_034555 transcript:EOY15528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERSSPPRNTDEEGLLSCWGRLKLKLPWTKRRIRSLGNSITAAFRAKQPRPVGGFRYDPLSYAQNFDEGCGDDDIEGSLYRGFSSRYAAPSSRSVADQ >EOY14616 pep chromosome:Theobroma_cacao_20110822:8:725118:725622:1 gene:TCM_033932 transcript:EOY14616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTSEPQTNRRKRSQNVIVIPLACGDNFNMSTACFNLLGNKGTQQAEMIEETTLFPSLSL >EOY16556 pep chromosome:Theobroma_cacao_20110822:8:8257350:8259021:-1 gene:TCM_035349 transcript:EOY16556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKQEEKMLELKASIQSLTLAMQTLEDSIIARILDDLKSQLVIGVSALMCDNILLVMHGGRSSHSAGLKHNDADDMQHHEPGVHIHDDILGVDGEHVTHVDDVIDEAMVGDVTLQSNDAEGEHVLLPESIIDASVEGEEDPDSVVAEKEHLPRADAFVEAAARAMVLYQSTFDAVETRSSSP >EOY14378 pep chromosome:Theobroma_cacao_20110822:8:116071:119921:-1 gene:TCM_033775 transcript:EOY14378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Granulin repeat cysteine protease family protein MGSQRSTMAMLLLVMFTLSSALDMSIISYDEGHPDKSKSIWRTDDEVMAMYEEWLVKHGKAYNGLGEKERRFEIFKDNLRFIDEHNADDSHSFKVGLNRFADLTNEEYRAMYLGTKKPERKVSKRSDRYAPSLGEELPDSIDWREKGAVAAVKDQGGCGSCWAFSAIAAVEGINKIVTGDLIVLSEQELVDCDTTYNEGCNGGLMDYAFEFIINNGGIDTEEDYPYTGRDGTCDPYRKNARVVSIDAYEDVPVNDETALKKAVANQPVSVAIEAGGRAFQLYQSGIFDGKCGTQLDHGVTAVGYGTEKGKDYWIVKNSWGSSWGEEGYIRMARNEANSVTGKCGIAIEASYPIKKGQNPPNPGPSPPSPIKPPTVCDSYYTCPESNTCCCVYEYYGYCFAWGCCPLEAATCCDDHYSCCPHEYPICNINEGTCLMSKGNPLGVKALRRTPAKPFWAHGSVGKKSNA >EOY16588 pep chromosome:Theobroma_cacao_20110822:8:8757916:8758843:1 gene:TCM_035403 transcript:EOY16588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENPSSSSSSAELERQLCIQREPKALFEKEMKLAREAALQVINNNSKEEALKIFLEGLEPVMTSVKPTWDDSLTSDSDEEY >EOY14881 pep chromosome:Theobroma_cacao_20110822:8:1556865:1559899:-1 gene:TCM_034129 transcript:EOY14881 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL18I08.3 protein MEPLCASFLLSFLLFFDPSFASPSHISHLFETWCDQHGKRYSSEEEKSYRLKVFEENYAFVTQHNGVGNSSYSLALNAFADLTHHEFKASRLGLSAAAIEGSRPNLQLPGLVRDIPASMDWRTKGAVTKVKDQGSCGACWSFSATGAIEGINKIVTGTLVSLSEQELVDCDRSYNSGCEGGLMDYAYQFVIDNHGIDNEEDYPYLGREKTCNKEKRKRRVVTIDGYAGVPANNEDLLLQAVAKQPVSVGICGSERAFQLYSKGIFTGPCSSSLDHAVLIVGYGSENGVDYWIVKNSWGTRWGMNGYIHMLRNSGDSKGLCGINMLASYPTKTSPNPPSPPPPGPTKCDLFTYCSAGETCCCTHRIFGICFSWKCCELDSAVCCKDNRHCCPYDYPVCDTKKSQCLKRVGNATRMEAFEKRHSTRKFSSWRPFVENWVL >EOY17310 pep chromosome:Theobroma_cacao_20110822:8:19294981:19296867:-1 gene:TCM_036468 transcript:EOY17310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATQQEGEASQQTTSTPATSLTELVRERYQKMKEHAETYPYVWASYTLVYGGLALWTAYRWRKLRKTEDRVRVLQERLRKLIENEEAANSAKSVSKAPTSVDKTPR >EOY15548 pep chromosome:Theobroma_cacao_20110822:8:3696614:3701116:1 gene:TCM_034568 transcript:EOY15548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, zinc-binding dehydrogenase family protein, putative MVKAVVGDETQLQLAEDRLSQFSLPAQVGLVIGKLSSYLERGFVFDLVPTPQNDAGQPVCSVLEASKFDSKKGSKPKSRTSDSSSSLVIDKDWVAEHARQVSRMMVGGMKVVGIYVWASEAAFKNSTMVLCQTVKGVAEAISFCDDDMDERLLIHICYSPRRWTCRNCTLSSNITSSSLQPCDFKMGRVLTSLQAFKCLYNFDLRLPIYQGKASNSQTLSDVLRNGISIYAKELRGAKAMIDGHLVVNDETCKTDGLHEVELLLPFMKDMYIEASSQKDVIGVVSFTGSVCSFSFLNSKEPISQAIADIKDDIIRSLQSRLDIICDEADGDLGLTDDGIMEESNDLSSEKPVSQLVLRSLRKNCNLSLPRRVFVPWLAGTFISDYLQSSETLEVLKDHCVELMSMETPNDASTILEPEEEALRVITRSFWDVVVPYSSAPSSFEEKSREITSAENSQKPTKSPNVNFIAAVFFLLLSVIVGYMLVRKS >EOY15514 pep chromosome:Theobroma_cacao_20110822:8:3577236:3581232:-1 gene:TCM_034545 transcript:EOY15514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhamnogalacturonate lyase family protein MVQSMPGCRNHDVSVNCSIMHVAALARLYLLHRRRETGGPHTNITMSIQGVQLYIQDDHVVINNGNLQVTISKPEGIVTGVSYQGIDNLLEVRNEESDRGYWDLVWSDEGTAGTAGTSYVFIVLRGSSGFYSYAIFGHLKEWPGFNLPQTRIVFKLRKDKFRYMAVADNRQRRMPLPEDRSPRRSRPLAYPEAALLVHPVEPEFKGEVDDKYQYSCENKDLYVHGWICSNPPVGWWQITPSNEFRSGGPMKQNLTSHVGPTNLAMFLSAHYVGEDMVLKFQRGEPWKKVFGPVFIYLNTLIDNDDPLWLWEDAKEQMLTEVQCWPYNFPASEDFPKSEQRGCVSGRLQVSDRHVSDDYISANGAYVGLAPPGDAGSWQTESKGYQFWTRADADGYFLISDVRTGDYNLYAWVPGFIGDYKKNAIVTITPGCDLDMGDLVYEPPRDGRTLWEIGIPDRTAAEFYVPDPDPKYSNKLYVNHPDRFRQYGLWERYADLYPNGDVVYTVGVSDYRTDWFFAQVTRKKDDDKYKGTAWQIKFKLDNVDQTGTYKLRLALATAHVAELQVRINNPDANPPVFTTGVIGHDNTIARHGIHGLYRLYSIDVKGALLIEGENTIFLTQPMCSSALQGLMYDYIRLEAPPSNNSTNKL >EOY16491 pep chromosome:Theobroma_cacao_20110822:8:7711401:7722582:1 gene:TCM_035277 transcript:EOY16491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated kinase 2, putative MYSRRKMGTSLAVVLVKLILLTALLEAAARIAAAQSKPGCLSQCGDISIPYPFGTGNDCNITEEFFITCNTTSIPNKAFLTDSNIEVVNISLDGQLRVLSLASYDCYNSSGRSYYFNYWLQLSKFPVNNTRNKFTAIGCDTFAVVEFPSGEYATGCLSLCNDVGDVINGSCSGIGCCQTSIPKGVSGYNINLYSPDNHTQVLADNPCSYAFVAEDTAHNFSNSDLGGYDLLEKQFPVILDWTIGHDTSCSEAKKDIDNFACTENSNCVDSEISSGSYICKCFEGFEGNPYLSNGCQEIDECETLEPKPCNMTCHNFPGSYNCSCPEGFQGDGWNNGTGCSRPISPIKKRSLTNIVLGSGIGFFALLLGITLLYLILKQRQIAKLREKYFQQNGGTLLQEKLSQREGYREKVKVFAAEELKKATNNYHESRILGQGGQGTVFKGILPDNQMVAIKKSRIGDHSQVEPFINEISVLYQINHRNVVKLLGCCLETPVPLLVYEYVTNGTLFDHMHNVAGASFLPWEARLRIATETAEALSYLHSAASIPIIHRDIKLANILLDEHFTAKVSDFGASRLIPSDQAQVTTIIQGTFGYLDPEYMQTGQLTEKSDVYSFGVVLIELLTGQKAVCFARSEDKKILAMYFVSLMKEDRLLEIVDPRVMNDGNLEHLKEVAALAWKCVRMKGEERPSMKEVAHELAGLQAMEKHPWGKSNLHAEEAEYLLGELCNSCDNGASSSSVGYDSINNQVTFELEGAR >EOY15608 pep chromosome:Theobroma_cacao_20110822:8:3931306:3932461:1 gene:TCM_034614 transcript:EOY15608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MINEKTCCNILTLFMNGRMNNNDRFQYLQVNNVAWIAHSPLHFNSPFIYGIVFFTRLWKASCAIYLYYFLLIWKGRGELPK >EOY16699 pep chromosome:Theobroma_cacao_20110822:8:10000727:10005781:-1 gene:TCM_035549 transcript:EOY16699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGRARGRVRGNQPQQAELAEMRRMIDDLTRAVQALQRQEPVEARMENPEGDHNPLEIHDLEDDDEFENENPFHEDGPANQAARVGLEGRLLHALDLNGGGIRIEVTDFHEKFHAEEYLDWEASLENYFEWKPMAENRKVLFVKLKLKGTALQWRKRVEEQRARQGKLKISTWEHMKSKLRKQFLPADYTMELYEKFHCLKQNNMTVEEYTSEFNNLSIRVGLVESNEQNTSRYLAGLNHSIRDEMGVVRLYNIEDARQYALSAEKRVLRYGARKPLYGTHWQNNSEARRGYPTSQQNYQGAATINKTNRGATNFEKNDKGKGIMPYGGQNSSGSSTNKGGSNSHIRCFTCGEKGHTSFACPQRRVNLAKLAEELEPVYDEYEEEVEEIDVYPAQRDSLVVRRVMTTTVNEEAEDWKRRMNKLKLPTNRHPYPYKIGWLKKEHEVPVTTQCLVKFTMGDNLDDEALCDVVPMDVGHILVGRPWLYDHDMVHKTKPNTYSFYKNNKRYTLYPLREETKKSANNKISKITGYLSAENFEAEGSEMGIMYALVTKHLKSDQMSKSPQYPTEIQQLLKEFGELFNEDLPKSLPHLRSIQHAIDLVPGAALPNLPAYKMPPMQRTEVQRQVEELLEKGLVRESKSPCACPALLAPKKDGSWRMCVDSRAINKITIKSRFPIPRLDEMLDQLVGSRVFSKIDLKSGYHQIRMRDGDERKTAFKTPDGLFEWLVMPFGLSNAPSTFMSHGRKGLKPDPEKIRAISEWPAPTSIKEVRSFHGLASFYRRFIRNFSSIMSHITESLKKDGFEWSHSAQKAFEIVKALMTEAPVLALPDFEKLFVVECDASHVGIGAVLSQDGRPIEFFSEKLTDSRRHYSTYDLEFYALSNTVADALSRRCKMLSVMSTQVTGFEELKNQYSSDSYFSKIIADLQGSLQAENLPYRLHEDYLFKGNQLCIPEGSLREQIIRELHGNGLGGHFGRDKTLAMVADRYYWPKMRQDVERLVKRCPTCLFGKGSAQNTGLYVPLPEPDAPWIHLSMDFVLGLPKTAKRFDSIFVVVDRFSKMAHFIPCFRTSDATHIAELFFREIVRLHRIPTSIVSDRDVKFMGHFWRTLWRKFGTELKYSSTCHPQTDGQTEVVNRSLGNMLRCLIQNNPKTWDLVIPQAEFAYNNSVNRSIKKTPFEAAYGLKPQHVLDLVPLPQEPRVSNEGELFADHIRKIHEEVKTALKASNAQYSFTANQHRRKQEFEEGDQVLVHLRQERFPKGTYHKLKSRKFGPCKVLKKISSNAYLIELPPELQISPIFNVLDLYPFDGCDGTASTIDAQIQHLPIAKVEVIEDVLDVKEVRSRRGNPYRRFLVKWLGKPANESTWIAEEELKRVDPDIYKEYVKAYSSESSLF >EOY16450 pep chromosome:Theobroma_cacao_20110822:8:7142356:7150968:1 gene:TCM_035216 transcript:EOY16450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain MKMVQNGFVGEEETESSGGGTVVVGVKLDSPSRELLTWALVKVAQPGDSVIALHVLGNNEIVDRDGKSSLLSLVKAFDSVLAVYEGFCNLKQVDLKLKICRGSSIRKILVREAKSYSATKLIVGTAAKLHKIRSSTSVAKYCAKKLTKNCSVLAVHNGKVLFQREGSPAGTFGSQGSEDHKRNSLLNAIQRTMTLNKNSRVLSEGNANAETNLNSDETNDKNLEQALSKARSGSLESDPKKNCSICGSGNKLLLHNSCHQSAKESSADDANDGNQSLAIVPVQKAEATSSSISMLIKQLPEIRPGWPLLRRAVLSDLQQEVPDRSSLRQISVVQWVMRLPSRRTLFLANSDQKQEGCTQSEYKSSSFDGESGAIVPVGTENVIAPPSPDQNSRNLPKELEGLHEKYSATCRLFKYQELVSATSNFLAENFIGKGGSSQVYRGCLRDGKELAVKILKPSEDVLKEFVLEIEILTTLHHKNIISLLGFCYEDDNLLLVYDLLSRGSLEENLHGNKKDPGAFGWSERYNVALGVAEALDYLHTNSEHPVIHRDIKSSNILLSDDFEPQLSDFGLAKWVSASSSHITCTDVAGTFGYLAPEYFMYGKVNDKIDVYAFGVVLLELLSGRKPISNDYPKGQESLVMWAKPILSGGKVSQLLDPSLGDGYDRDQMERMVLAATLCIRRAPRARPQMSVVWKLLQGDADVTKWARLQVNASEGSDALDGEACPRSNLQSHLSLALLDVEDDSLSVSSIEQSVSLEDYLNGRWSRSSSFD >EOY15030 pep chromosome:Theobroma_cacao_20110822:8:2009783:2010631:-1 gene:TCM_034228 transcript:EOY15030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWFFKERGPGWKQGWTEQVITSISPPPLPLLSIFGIICLLLLVSSYVSFKKEIHHSMLNLKLFLLFLPLVLIFAAQFVSKCERFVIPYAKTKRELEYRTRNLPWGMVMLLVVLLVMVSYQSYFHSMWSPNIWRSYY >EOY16713 pep chromosome:Theobroma_cacao_20110822:8:10308185:10310838:-1 gene:TCM_035576 transcript:EOY16713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTAKEACEVLKSSSSMTLGAVLGHIGDNFLTLSTYPKNKTGDGFHNLKEIKTVELVKPTGWVHLSLSANDPW >EOY16506 pep chromosome:Theobroma_cacao_20110822:8:7940216:7946422:1 gene:TCM_035302 transcript:EOY16506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamin diphosphate-binding fold superfamily protein MDIYLPNLREGVRIKTKMIRVRQQVLHPKFSLCWWRRSSVVAHHIRAKVGLRGTAAHINQASSWSHPFQSDSSTLILPSFPCNIIATLFSVRRLKSTVTGKQLDSLHATDNDDDDQALDFPGGKVSYTSEMKFILGCSDKRIPCYRVLDDDGEQIRGSDFQQVNKELAVKMYSDMVTLQMMDTLFHEAQRQGRISFYITSFGEEAINIASAAALSKDDIVLPQYREPGVLLWRGFTLQEFANQCFGNKADDGKGRQMPIHYGSSKHNFVTVSSPIATQLPQAAGMAYSLKIDKKDACAVAFIGDGGTSEGDFHAGLNFAAVLEAPVIFVCRNNGWAISTPISEQFRSDGIVVKGKAYGIPSIRVDGTDALAVYSAIHAARDKAINVQGPVLVETLAYRVGHHSTSDDSTKYRPLDEIEYWKTVRNPVHKFRKWVEISGWWTERDEAELRRSIKKQLLQAIQVAEKTEKPPLAELFADVYDLPPSNLLEQEKQLRETINKYPQDFPSDVSLCI >EOY17133 pep chromosome:Theobroma_cacao_20110822:8:18029687:18031940:-1 gene:TCM_036305 transcript:EOY17133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAKLKEKYTLPKYLDKMHDKALTLKQHCMSVAEYMQKFDELMIRSEFEEDVDQTAAQKAGMADEIKRQLLQQPIYNVNSALQAELDAESYNQSNRSKPSSSYDVSKIQVRVVGVLLDLNVGKLAIKLYRCPRKHNLHIGIDQEKEETIEERVVEENSFDNGTYVADDLEYDCNVDTSLLSLVRRILRAPKVEKEVCGTKEELSLFPLPCPAAALPFEG >EOY15939 pep chromosome:Theobroma_cacao_20110822:8:5109990:5111073:1 gene:TCM_034859 transcript:EOY15939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDYKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >EOY14643 pep chromosome:Theobroma_cacao_20110822:8:844561:850295:-1 gene:TCM_033956 transcript:EOY14643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFSCPPKSNHLRKGSESAIVNFLVKPNDGAITTFAFIRYREPWEMNNAIQNGNGRRPDGFAITVRKATFGSLRDGRTYKEVLSSKPTPNLSRDNETEVPEICLNVEISQEENEWLKRSAVDSLISFVDHRILEEIFASEGFQSTVKPMGGSMVLITFYLQEDMDSCTEFFRELGNAWGSFISLDESTRCKKRLDVARVMVTVNKETIIPSKVIVKVNGKAYHLSISIDSVTELPQLAYGSISSPSPASVMAFRQAFSPSPVTAIANPHLHDKNPTQSKGWDSSSQPNSSSALPKPAVKVYSPITPTKSPNQDPTPLTRVRLTSLVLSPSGVKFQQLNSSLDGSPKTQHKENQSRGNYDSQAADAFASFINAMELVDLPLTSSSFTWTDNRDYPIMCRLDRFLITSEFLQICPSVIQKSHPRSLSDHNPISLESHNSSWGPKPFRLFSHWLDYKEFTSFVNNSWKKISTDKNITSGLFDQLKSLKIEMRLN >EOY17018 pep chromosome:Theobroma_cacao_20110822:8:17093272:17097525:1 gene:TCM_036184 transcript:EOY17018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASVTTRNSHRARDNRRDVPIEQGLTARAMVYDYTLQGHGLLYVARPQVDIRGCDRLISSMSANIVETAMAMGIRWNGAQRLLLRGSGSTSDYYAYLFEGLESFRLVLFCMVES >EOY14636 pep chromosome:Theobroma_cacao_20110822:8:803195:805318:1 gene:TCM_033950 transcript:EOY14636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MDSVPAKSTVAVLAFTVILFLFCLLWFSRTASSSRNKRRTATEASGAWPIIGHIPLLGGPRPPHLSLANMADKFGTIFTIKLGVHRALVVSNWEIAKECLTTNDKAFATRPKLAGMEILGYNYAMIAFAPYGPYWRQVRRFATVKLLSNHRLDMLKRVRESEVETSLQQLYQLWDKKRSNSAKVLVDMKRWFRDVVLNVILMIIVGKRIPNSSEGDETVKWKKSLDDFSELSGKFVVSDALPFLRWLDIGGEEKFMKKIAKELDQVVEGWLQEHKHKRAANEANSEEDFMGVMLSILRDAEEHDADTVNKATSLALILAAEDTTSTTMTWALSLLLNNRNRLKKVQQELDTQVGMDRLLVTESDTKNLVYLQSVVKETLRLYPAAPLSVIHEAIEDCTVNDYHVSAGTWLILNLHKIHRDPGVWSDPCEFRPERFLTTHKDVDVRGQNFELIPFGSGRRMCPGVSFALQILHLTLANVLHWFDFATPLDEPVAMREGAGLTSPRATPLEVHITPRLPASLYESTS >EOY14863 pep chromosome:Theobroma_cacao_20110822:8:1500830:1506110:1 gene:TCM_034116 transcript:EOY14863 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain protein 3, putative MATYYPTLSYQRDNLSTTYLGDQKPDSYLGSSSLPGNSIYLNQASSAGPFSEILSGSSLSLQNCVQIPSIGGRNEMSFIPPSSDTVSLQAVDGQLNITTSNSLCNPVAGDPPVLPRSVLDGEQSSQSQGLSLSLGSHISSGVSIPPFQYQYQGYSSFFSGHLPFSGKGTIPCTGDESKRSKELKISDGVQFGFPGGNNDAFETDALSNPQGSISNKQMHSDIYQFESGFANTISNSKYLRVAQELLDEVMNIRKALKQPDLDKNISSQPKSSNGMSSEPSESVNNSSSEISPAERQDLQNKKTKLLSMLDEVDRRYRQYYHQMKIVVSSFDFVAGSGAAKPYTALALQTISRHFRCLHDAISGQIQLIQRSLGEQENSSNNQGGAIPRLRYVDHQLRQQRALQQLGVMRNAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSEKIMLAKQTGLTRNQVANWFINARVRLWKPMIEEMYKEEFGEMDSNFKSSLENAAKATRENSSASEDRGEELQESMTSKVADADNVQPGQIQHQKPDHIPDVELNRPIARSMFQNNAIGDTGSPAGMKLQVDNRSNMESHNPYPDTVIPSSQHGRGTFVAGDTMYDLTKLSGFTVGGQVSLALGLQHHENNNVFPISGETNMRGNSKVASSVGPETVDFHCMDPGNQQDRFGNPHILHDFVV >EOY15941 pep chromosome:Theobroma_cacao_20110822:8:5118475:5121469:-1 gene:TCM_034861 transcript:EOY15941 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein, putative MDKSCHSSGDSTTTTTSSTSSSNANNINNRDHYLKHLNKLSHKISKPSSTATTNVPPLIKKPSFDQTQNLTQALPPQQPQPSQPHNPNQSSLQAQQHQPPVYNINKNDFRDVVQKLTGSPAHERFSTPPPIHQPKPQSSRLQRIRPPPLAHVSNRPPPMMNCAVPNMNPPACSQPNPPMCGPTANCFIQRPTVAAPLSPLPPFPAVHAAAESPVSAYMRYLQTSMSATVDSNPKQFSGFLPLAPLASPRWNNLTVPQQQQQQPPPLLPAPQQQQQQQQPPQVSSTGMIQSQLPSSPLPFGCLNSPRSPYALLSPSLLFSPNSGQLGFPLSPTVPVPSPKWRGL >EOY16641 pep chromosome:Theobroma_cacao_20110822:8:9154114:9155232:1 gene:TCM_035463 transcript:EOY16641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogen-related protein MELSNVEGDKYRSYLHEEEEKNTKWRYGAPPNYDVVNKLFEEERTKVWPPGSLEEKVQNLVKTWEMEIFHKERLEDFKTIDTKKYVFSLNGKEALTMEGIQKLGGGYNPQLQTSLPEEFRCYDPDKETSESSHRAFTTTFPRGFALEVLKVYTGPPEIVYKFRHWGYNEGPFKGHAPTGELVEFYGMAIFEVDEEMKIVRVEFFYDRGELLGGLLKGAAMDSSALEAASSCPFLRNTG >EOY16776 pep chromosome:Theobroma_cacao_20110822:8:10932098:10933128:1 gene:TCM_035649 transcript:EOY16776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKWSLVSTSCRQSIHFPAIEIPQESNLSLVGSLRNSHSSYQVMLRNIKLEPDKSMQFSLQLLMPHFIPDIGSRVASIKSNPDSRPLFYPSIVRINKQPLLAEAVLSSSNDTLEE >EOY17208 pep chromosome:Theobroma_cacao_20110822:8:18388953:18399975:-1 gene:TCM_036363 transcript:EOY17208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVKFQMEKCNPVCTPVDPNIKLDKDSKGKDVDGTYYKQIVGNLMYLTTTKFDIMYAISLIDKYMDKLKQSHLVAAKRILRKLLEEIQCMQTEATAIYCDNNSTIKLSKNLVFSKKGKHRDVRYHFLRDLIHDGIIDVVYYRSENQVADIFTQALKKKSFLKFRDMLGVYLLEEFEAL >EOY15648 pep chromosome:Theobroma_cacao_20110822:8:4111420:4115130:1 gene:TCM_034646 transcript:EOY15648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose-synthase-like C12 MAPSFDWWAKGSHKGTPVVVKMENPNWSMVELEGPSEDDFLMGNSPAGLREKARGKNAKQLTWVLLLKAHRAAGCLTSIASTLVNLGSAIRRRVASGRTDADNDHDRETDSTSSKENKTVKTRFYNCIKVFLWLSLLLLGFEFAAYFKGWHFGAPKLQLQYIFTAPFGVRDLFDSLYSRWVLIRVEYLAPPLQFLANVCIILFLIQSMDRLVLCLGCFWIRFKKIKPIPKQDAVADLESGEDGFLPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSKILIQVLDDSDDPTTQLLIKEEVQKWQQEGAHIVYRHRVIRDGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPTPDFLKRTVPHFKDNEDLGLVQARWSFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDAGGWLERTTVEDMDIAVRAHLQGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRAKISVWKKFNMIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPAWVVCYIPATMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLVEKEPKHQRAGSETNLDEMKADIQQEQKARKKKHNRIYTKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVL >EOY15804 pep chromosome:Theobroma_cacao_20110822:8:4703233:4706224:1 gene:TCM_034762 transcript:EOY15804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 81, subfamily K, polypeptide 2, putative MENLYHFLGIIFFIFLTIKLLTQRKQNLPPSPFTLPIVGHLHLIKNPLYQSLETLSSKYGPVLFLRFGCRRVLVISSPSAVEECFNKNDIIFANRPRTMAGDLLTYNYVAFVWAPYGPLWRNLRRLSVVEIFSSKSVQRFSSIREEEVANFIRHLFKVSAANGTQKVDLKYLSCLLTTNVMLRVVAGRRGVDDATDMEAEEKVFLREFKNIFFPNLATNICDFFPILRRVGFKGIEKNMRELHRRREEYIRNLVDEIRLRKTSSSADVPEIEEEGKNPSLIEKLLSIQEEDPDFCSNKVIKSMALMMFVAGTETTAVTMEWAMSLLLNHPEALQKVRAEIVSHVGHERLLNESDLAKLPYLRCVVSETLRLYPPAPVLLPHCSSEDCMVGGYEIPKGTQLLVNAWAIHRDPSIWEEPTKFKPERFEGAFVEKEGLKYLPFGLGRRACPGATMGMRLVLLALGAAVQCFEWEKEGPEKVDMSPGTGTVMSRARPLEALCCPRPDLIKLLSQF >EOY14943 pep chromosome:Theobroma_cacao_20110822:8:1739039:1741556:1 gene:TCM_034168 transcript:EOY14943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxal oxidase-related protein MSPTFIFSILVFQLLFASQPCHRILTSAADGRWQLLQKSIGVSAMHMQLLRNDRVVMFDRTDFGKSNLSLPNGKCLSNPTNTALPVDCTAHSVEYNVLTNEFRALTVQTDVWCSSGAIMPDGNLVQTGGFNNGTRRVRVFSPCSTCDWQEIPNGLAAKRWYATNHILPDGRQIIVGGRRQFNYEFIPKTVAANTFNLPFLSETNDRGVENNLYPFVFLNVDGNLFIFANNRAILLDYVNNKVVKTYPTIPGGDPRSYPSTGSAVLLPLKNLKAPAIQAEVLVCGGAPKGSYLQALKGNFIGALNTCARMTITDPNPQWVMETMPMARVMSDMILLPNGKVLIINGAGSGSAGWELGRDPVLSPVMYLPDHEIGSRFETQTPTTIPRMYHSAVVLLRDGRVLVGGSNPHAFYNFTGVLFPTELSLEAFSPVYMDAEFNDLRPTIVAPKSMSGIQIRKKLSVQVVITGKVDPNLVSVTMVAPSFTTHSFSMNQRVLDLGNNNVTALGNSTYNIEYTTPRSYNLAPAGFYLLFVVHQDIPSQGIWVKLR >EOY16017 pep chromosome:Theobroma_cacao_20110822:8:5436038:5440632:1 gene:TCM_034916 transcript:EOY16017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein MPSYIGDLSKLSFLDLAYNGLSGSIPSEQSLFSSLGHISLDHNNISGTIPQEIGKLRSLSQLILHENKLTGNIPSSMGNLSNLVNLYLGDNELFDSIPEELGMLRSLDLFDLVRNHLTGTIPSSICNKTKLSFLYLFSNELSGPIPAELGMLRSVHQLQLWNNSLTGKIPDSIGNLSNMDRLHLFMNELSGPIPSGINITHFKSFQLAENELTGNLPENICLGGLLEILTVENNHFSGPIPKTLKNYTSLIRIRLEQNQLSGNIAEGTFQKKKNIAEGFGTYPNLNYIDLSYNQLFGELLDNWGQCHNLTSSKSSNNNISGQIPPNLGEARLAANGMSASIPSQLGQCSNLIYLNLSRNKFNEDIPSEIGKLQFLQILDLTHNILAGKLPSQLAQLQRLETLNLSRNRIFGSIPSTFDNMLSLTSVDISYNQFEGRLQNSKAFNKAPFESLRNSKDLCQVLAIKKLHTPPEAGMTILKAFTSEVHVLTEIRHRNIVKLYGICWHPQYCLLACEFFEDGSLAMLLSSEEKAIKFDWIKRMNVIKCVSYALSYMHHDCSVPVVHRDISSKNGLLDLEFEAHISDFGTARLLKPDSSNWTSFAGTLGYSAPEEEKITNASLTAKISARLASTDPIDFENVPFTTPLESLRMPPIPAGPGFLSLLHPR >EOY16917 pep chromosome:Theobroma_cacao_20110822:8:15007341:15011721:-1 gene:TCM_035989 transcript:EOY16917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPIVVLIEFIKDMFQRWFHNQYEEAVKVNMLFNPWATRQLSKRFNDAHHIVVKLINRVEFEVKNERKDRHVNLSRKMCSCCEFQIDLLPYSHAIAATSKCKCEAVEFCAVYYKTTYLLEGCVKLEDLGGKGFHQLGKVVDNGEVHNAKGTRHNRQNCHLRLQFLPQIRHHIQGSMENKVRYWSSEMVCPSKVE >EOY14905 pep chromosome:Theobroma_cacao_20110822:8:1635578:1638208:1 gene:TCM_034147 transcript:EOY14905 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLAC1, putative MPHFTSVTNVAVRLYCEPVMSCSSTGLRLVPIYAMQLLADQSIKRNHTVNKKSAQIHELIFLTLTVRSMASSRSEPEMEFVVDSYFRISLSLGGQALLWKTLIGPTDDRGTLRDVLHRLHPTAFLALWSFALFTLVLLFLLYILRCLFYFKMVKAEFLHHVGVNYLFAPWISWLLLLQSAPFVSPKTTSYLVLWWFFAVPVVALDVKLYGQWFTKGKKYLSTVANPTSQISVIGNLVGAQAAANMGWKESAVCLFSLGMVHYLVLLVTLYQRFSGSDRLPAMLWPVFFLFFAAPSVASLAWESITGAFDSASKMLFFLSLFLFTSLVCRPALFSRSMRRFNVAWWAYSFPLTVLALASTEYAEEVKGSIAHLLMLLLLALSVLVSQYSPCSTLKSYYPTTIQLKVSTIYQQFLHNIKAARSKGQENKFTCDYNADFCNISLLCHQVVYAIKFSL >EOY17413 pep chromosome:Theobroma_cacao_20110822:8:20162869:20164548:1 gene:TCM_036578 transcript:EOY17413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDALLDTKKTRRKPQNAMALKMPLVLQSCEFEDARLDIYQLQPATFHVAVLSSLPLANGAETKFQPDYFYQSFSSVCLLIFIAENGFEFSDQSLLNEGMIKKVASYVPPLGAIIIVLQPIGPPEP >EOY14534 pep chromosome:Theobroma_cacao_20110822:8:547459:552395:1 gene:TCM_033887 transcript:EOY14534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLSKGHCGDLLVRLKLPENRTEVGAAEAALHMSESLIVKVCTASLHFVHDVKVSYVLFKRTNSGPSLSFCSSWILPDSPFWDD >EOY16833 pep chromosome:Theobroma_cacao_20110822:8:11679192:11680399:1 gene:TCM_035721 transcript:EOY16833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKIINKTCKTLLYGMAISAIIDSMGIPTRCDPLKPHAIHIMINEHAINKLNFMYVNKTLVHKETVNELDIVGDEGNDETHVKPNAALSAGPSVNTNVAPNYPLMSTAFDSKQAFALLLQYMESMDARVVSKLEALEA >EOY15677 pep chromosome:Theobroma_cacao_20110822:8:4212224:4213036:-1 gene:TCM_034666 transcript:EOY15677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAATTSSTSCSSFFNLRSNNVEPRVRPSSSTHGSPAGCGKLDGVAMWFINGVATAFFASLERCSCIRIATEDDGEEANDVPLIHSDGNMRHDAGTASRRRTGKGKKGGGGALLEH >EOY16910 pep chromosome:Theobroma_cacao_20110822:8:13779343:13795821:-1 gene:TCM_035906 transcript:EOY16910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCGAVVVSVGMTLSTNLVKFSILYCVKVVSLPIKARKLHSRTSRQSASKVCILDIGVSVDQPLEGHGKPHYILTSNGEARRVSPEDASAKVSPRMDL >EOY17414 pep chromosome:Theobroma_cacao_20110822:8:20166283:20171313:1 gene:TCM_036579 transcript:EOY17414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subunits of heterodimeric actin filament capping protein Capz superfamily MEAAMGLMRRMPPRHSETALSALLSLLPQHSSDLLSQVDLPLQVLCDVDNGKEFILCEYNRDADSYRSPWSNKYHPPLEDGPYPSSELRKLEIEANDIFAIYRDQYYEGGISSVYMWEDDNEGFVACFLIKKDGSKTGHGRRGCLEEGAWDAIHVIEVGPEEEGTTRYCLTSTVMLSLTTDDESSGTFSLSGSLRRQMNMNLSVADGHLCNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPAEVAQMRLPDS >EOY15124 pep chromosome:Theobroma_cacao_20110822:8:2315034:2321279:1 gene:TCM_034291 transcript:EOY15124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 29 MGLCQSLGFCLRKSGTHEIPISSSSESSPRPGEEFIPPWQQPALENQNPSSSQIGSILRKPYVDITTFYDLDKELGRGQFGITYLCTEKATGRKYACKSISRGKLKSDKDREDVRREILILQHLTGQPNIVKFKGAYEDRHNLHLVMELCSGGELFDRIIAKGTYSERQAASIGRQIVNVVHVCHFMGVMHRDLKPENFLLVSKDENSPIKATDFGLSVFIEEDRWYKDLVGSAYYVAPEVLNRKYGKEIDVWSAGVILYILLSGVPPFWGETEQEIFKAVIEGNLDLKSQPWPSISDGAKDLLSKMLARDPKKRITAAQALEHPWIKEGGDASDKPMDSAVLSRLRQFRVMNKLKKLALKVIAETLSSEEEVKGLKQMFNNIDTDGSGTITIEELRVGLARLGSKLTEAEIQQLMDAADVDRSGSIDYIEFITATMPRHRLEREDNIYKAFQFFDKDNSGFITRDELRQAMTEYGMGDEATIDEVIEDVDTDKDGRINYEEFVAMMKRGTQDGDR >EOY16572 pep chromosome:Theobroma_cacao_20110822:8:8450288:8479765:1 gene:TCM_035369 transcript:EOY16572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCYWDELSSYNFFPSCTCGAILKDQNGSLHVDRLMDFLMGLNDSYGTIRSQILLMDPLPQVNKAYALLLQEKHKSEPSRRRKQKGILPKRRNSKNTTINHVPHIVTSSLEKFIVITSFSEKSTDAFSFTLEKFHHLLALILSDIYVSYTPISPSVSKTLLSPSSTLDNSSSTILIVQNNMYESQSLIAQSHVNAPIPHRSTRSHHPPPYLSDYHCSYAQTNFYSSHSGTKSAHLPPHGRPNQQEERENKNKTLERENQRKMATIKQWPLYQLDINNAFLHGDLVEEGIIYAKAECRAMAMTTCEVTWPSYLLCDFGILVASPILLFCDNQETLHIAANPVFHECTKHIEINCHLVRDKIQDDLISTSHVFLSINWLIFSLKP >EOY16868 pep chromosome:Theobroma_cacao_20110822:8:12581040:12583954:1 gene:TCM_035802 transcript:EOY16868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 family protein MATQAAATTFNGNLKKALAGLRRINLEGLRWRVFDAKGQVLGRLASQISTVIQGKDKPIYAPNRDDGDMCIVINAKDVCVTGRKLTDKFYYWHTGYVGNLKERSLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDRKLRIFANSEHPFGDRPLKPYVMPPRTVREMRPRARRALIRAQKKAEEQEQGGNQSRKGKRKEAKMEVTA >EOY14486 pep chromosome:Theobroma_cacao_20110822:8:442881:443555:1 gene:TCM_033854 transcript:EOY14486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative MLGSSNISKGIPPKCRRKTTPMLLTGTELRSIFKSFDYNQDGRLSKQELRKAFASLGSHLPGWRAGRGLHHADANRDGYVSDDELDDLVEYALECGYTFKSTSI >EOY15657 pep chromosome:Theobroma_cacao_20110822:8:4161294:4165957:1 gene:TCM_034655 transcript:EOY15657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 1 MDFGVVGLEGFVGSETTTTGLASLASDPETKQKWYGSGFLKQERSGNNEDDWRSSKLAKIDDFSASKAMLLQQRNTLLRSNTSIFSDGQQQQQMLSFSAPKSEALSVDRSSQNVTFPYFHLTSPAYTRNTGYNTGGFNGANMQGVLAGARGPFTPSQWMELEHQALIYKYITANVPIPSNLLIPIRKALDSADFSSFSGGLLRPNTLGWGTFHLGFSNNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVEGQSGHSAAATTVTTTKLMPTVSSSSASVVGPASGGGESNSLAIAQQQFKNLQPGGASNLSAAAPLNRLLLNKDTVGERMQDTAPGLSMISPTGELKSKENPFLIPKQQISYEDNSRNEFGIVSSDSLLNPSHKSSSLIKCRNFGSSHDLTSQDTESQHSLRQFMDDWPKSQSDRSAISWPEVDVQSDRTQLSISIPMAASDFMSSTSSPNNEKVTLSPLRLSREFDPIHMGLGVGSVINEPNQRQANWIPISWETSMGGPLGEVLHSTNSSTADCKNSSALNLMTEGWDNSPRLGSSPTGVLQKTTFGSLSNSSAGSSPRAENNKTHEGASLCNDLLGSTLVHSSSLPAL >EOY17202 pep chromosome:Theobroma_cacao_20110822:8:18285971:18289883:-1 gene:TCM_036352 transcript:EOY17202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carrier protein MRKLMLSLAGFRSVFGVMSAYRDVATVVTGPMGVLGRDKSSYMALIGKTILEQDKILNKSSMASKRITKELKDLQKDPPVSCSAGPIGDGMFHWQATIMGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFKTKVYHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHTYKTDRAKYESTARSWSQKYAMG >EOY15241 pep chromosome:Theobroma_cacao_20110822:8:2692142:2694316:-1 gene:TCM_034381 transcript:EOY15241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMEMMWSVWLCAISLLAIGVTKFIYRWWNPECKGILPPDGKFVELWYLDSFAELFRTETTAAKGYGLRAQNNGSNVTAIAFGGGNRTCAGAEFSKVMMAVFLHVLVTKYRTLARWSKIKGGDVVRAPVLGFTNGFYIKVSEKQA >EOY17311 pep chromosome:Theobroma_cacao_20110822:8:19318256:19319956:1 gene:TCM_036472 transcript:EOY17311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMANVTPRSQSQPYCHSSNSSKKQGNILIRKLSKKLPPRCQTLAKIATAFGKAKDKVSETAREAEEKVSEIAHGAKEKLATPKKWMSHEAKDKVARTAYQTKKAVEQAEKVRQKAMAEARKENKVKTSANKFFDGLKYMTLMEVLNSVMGMANLLGLVTAYGMNVWVTFISSSILGKGKYCSQVMFEKMKLEKEKSSGRDHDFVAKGSRATKPPPSVIEPTATKTRRLSFQQHPPVQNRKWLSQEWLG >EOY16445 pep chromosome:Theobroma_cacao_20110822:8:7112239:7115042:1 gene:TCM_035212 transcript:EOY16445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mads box protein, putative MGRGKIEIKRIENSSNRQVTYSKRRNGIMKKAKEITVLCDARVSLIIFASSGKMHEYCSPSTNLIDILDQYHKTSGKRLWDAKHENLSNEIDRIKKENDSMHIELRHLKGEDITSLQYKELMAIEDALENGLACVRAKQMDVLDVTRKNTKMLEEDNKQLNFIVNQQHIAYENAREQMDNGYQRARDYNSQIPFAFRVQPMQPNLQERM >EOY16500 pep chromosome:Theobroma_cacao_20110822:8:7856137:7858077:1 gene:TCM_035290 transcript:EOY16500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSSPTTVVGALSFSNDIVMVMSDDDAFNQMYDDCAEDDTSDWNDDDYIGGHDDCLREDRGLDNHISNCNYADGSTEHATTVVLEDVECNDLIYNNPIAGDNGIRSPDDNDQERNAVEKVYLDVHNGLCKYHLGKKVKNRFKHEDIVAIFTLVANSYREGDFNEHMNQLNQICKDGYDHLMKLFPKRWARAWSPVRCYKLMTLNIVKCINLCLRWARKMPITVLIECIRGMFQY >EOY17166 pep chromosome:Theobroma_cacao_20110822:8:18158666:18161610:-1 gene:TCM_036328 transcript:EOY17166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKGGVVMERSWKGSSNGGRSGRWDVKHWRRKLFSVFVRNLSPILTWAYLKEIFSRFGGVVVDIYIPRFQRKQEVRFTFIRYRYEMEQKICYAAANAIKGAFEEYGNVVDVFIPRKVQQDPKREEFERRSYKEVMVGKGVMEKKQWKAKLNEKENLEKSLQGMVDQKMAPKLRKITVEQEQRNGYQNGRINVEKMNAHERANLVKLGTEHRLKSKDNILVFKDKIPVADMEWMQRSIMGKLHRHVFPKIVQEELQHEGIRVQVRPVGGLRVVLVFTDEEDKEKILKDHKEGLNEWFDYLYPCSKKNELCSSKVWVDRQTELKLRFDQALIQVETTNKANIPPVTKIWSCEKLWELPVHIVEAPDIEKMVLTKVEKTKKGEDSGAVLVEREMMGMDLDMAGTKGPRGEEIEKVKGSSYSIGSLVCKMGQKDSNWLEYESWEGRSNSEGGLWTDSGLDPKRRVGESSTNLSSGDITQKIKVDIGPKRVNKGDENSSSLEAISRQGTKGIGRRIRE >EOY17405 pep chromosome:Theobroma_cacao_20110822:8:20069121:20070503:-1 gene:TCM_036569 transcript:EOY17405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESKSAFKGTMFYVVSLLYPWCKEVEEDCNHVILCCKWRCQFCGKIVSWWGIVRCFPNVVHLSWRGKDERNANVRGSTMVLVGVLEMKWCLRERNGK >EOY17424 pep chromosome:Theobroma_cacao_20110822:8:20225436:20233597:1 gene:TCM_036589 transcript:EOY17424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor 64 kDa subunit, putative MASSQHRCVFVGNIPYDATEEQLIEICREVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGSDRNREQGRGGPGLATNVDPQKQVGGPAVRGESVHQQPIGLHLAITASALMAGALGGAQAGPQPNQNALPSQPAPASDPLTLHLAKMSRSQLNEIMSALKKMATQNKELARELLLAKPQLLKAIFQAQIMLGMVTPQVLQMPNIQQPPGQLTQTSLQDGQHSQQPTAQTLPQKVQTGLIPKVQGQMSAAPHNSLAHNQFSATLQSTLQPRMQLPQHSSNHVLPPAAGHSVVPTLPSINPSVRPQIQVANSSSLNQQVQPTLPNSGQLATANLSHSTRLVLPNAAMQSAPLPHPPLPDAGFQPGPSITPGCAEKLNMVRYSSEAISRPSKMVKLKDGRSTSSSTGGLNMSNVIASRTSQSFGVDSMPVNQVPRAEEVQYAENQTQPQIAPDVESALLQQVLSLTPEQLSSLPPEQRQQVIQLQQALRQDQIQPS >EOY15183 pep chromosome:Theobroma_cacao_20110822:8:2506896:2508804:-1 gene:TCM_047068 transcript:EOY15183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein DVVIFPARRLLATGRGQTFPRCRFGVASGSVSLMASPDSATTGRNAGKSLGFISNAMKHRHNFIQFFAMTGILLLSVRSLGQKYRIHDLQEDTAALKQEQESLTDRMKNIKRGLLHEASLEPTGLFASRLRLLFGDDN >EOY16370 pep chromosome:Theobroma_cacao_20110822:8:6898206:6911484:-1 gene:TCM_035170 transcript:EOY16370 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) MVRVLTNTLGTANRPHMLFYGPLGTGKTITALAIALQLFGPELYKSRVLELIASDERGINVVRTKIKDFAAVAVGSGQRQGGYPCPPFKIIILDEADSLTEDAQAYLVFCSHPEPLASRCAKFRFKPLSKEIMSSRVLHICNEEGLHLDSEALSTLSSFSQGDLRWAITYLQGAARLFGSTISSKDLLSVSGVIPQEVVEALYAACKSGNFDLANKEVNNVIAEGFPVSQMLSQLFDVVVEADDIPDEQKARICKSLAEADKWMVQMSTCSCWMWSATQCELCVACHKNPVLKVRGQPRLGCRGF >EOY15150 pep chromosome:Theobroma_cacao_20110822:8:2406808:2407918:1 gene:TCM_034312 transcript:EOY15150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRRGELQWCIKNLDYQNSHRGPEHLIPTFTTTPSPYILFLSLSLSLSNTSTNRTCWLLCSIALWNWAVIFSLSLSLSLSLVNLD >EOY17245 pep chromosome:Theobroma_cacao_20110822:8:18660503:18662365:1 gene:TCM_036394 transcript:EOY17245 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP family transcription factor, putative MEIMESQSNKSSSSSDHHHHHHGQKQEASLQLVSRDSQPQAAAGGAHGTSSQPQAPAHVPFTGMGSIPNQIGVHSSSPTSTSSLAKPAKRPTKDRHTKVDGRGRRIRMPAVCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSTLNVSLRSSGSTISAPLSKSAPLSFHSALGLYSSTNGDESRRFSNTSAGAGMLGFHHQLYPQILNPDGSMRSSNENYSTKPFREDLFKETSQHNAETGGVGANSPKPERTGMQDQEPGSFRAANIVPAPAMWAVAPATTNGGNAFWMLPVGGGATASATVPEAQMWTFPAHCSGGGRVSPVQLGSMILQQQQPVGQQLGLGVTETNMGLFGSAMNLYSNNRVGLGMNLEQQHQENQTQGSDSGDENPATDSQ >EOY15639 pep chromosome:Theobroma_cacao_20110822:8:4074241:4084776:-1 gene:TCM_034638 transcript:EOY15639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRRPVNPSRRYGDAGAGAALFSQSKSRSPPFLFIVLIVLGALLIVAYFHRGSGGFGGRREPVTRVEGDFSCTYEVQRAIPVLKKAYGDSMHKVLHVGPDTCSVVSNLLKEDETEAWGVEPYDIEDADTNCKGLVRNGIVRVSDIKFPLPYRPKSFPLVIVSDTLDYLSPRYLNKTLPDLARVSADGVVLFTGFPGQRKAKNADVSKYGRAAKLRSSSWWARFFVQTSLEENEVVTKKFRQAAEKNSYNPSCQIFHLRSYN >EOY16719 pep chromosome:Theobroma_cacao_20110822:8:10486930:10500404:1 gene:TCM_035590 transcript:EOY16719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARTMLCKNNLPKYFWAEVVNIAAYILNRVSIRAMISKIAYELYKGRKPNISHLKSFGCKCFVLNNKKHILGKLDVRNDEAIFLGYALNSKAYKVFDKRTLAIKESIHIVFYKANVAQRMVLLDDDNANDIKKKMENVSLDNKENDGERSKEKEDNEPPLKDLQRTEEQHNDLPRSLRFVRNHPQELISGDPSEGIKNRSGLREAYEFLAFISQVEQKNFKEAKKEKSLMIAMQEEVGQFERNKVWTLVPRPTNHPIVGTKWAFKNKMDELGNVVVVVHKFYGLGSN >EOY14625 pep chromosome:Theobroma_cacao_20110822:8:745857:748492:-1 gene:TCM_033938 transcript:EOY14625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVFHFFNCAILTFGPHAVYYSATPLSEYDTLGTSVKAALVYLGTALVKLVCLATFLKVSENDGFDPYQELLKALIGFIDVAGLYFALTQLYHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWDYILQGLEANANLVLSISLAALGSLMWLRKNKPKTLIPIIYACAGIVATMPSITSYLRRGLGWHFPKVVGFELFTSLVMAFISWQLFSACQRPSA >EOY17380 pep chromosome:Theobroma_cacao_20110822:8:19821119:19821798:1 gene:TCM_036532 transcript:EOY17380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRNFIRFYFSLYFHMYFHMYLMHDQPSPNSGIKESFMPFECLFPSDGCLINK >EOY15240 pep chromosome:Theobroma_cacao_20110822:8:2685005:2688539:-1 gene:TCM_034378 transcript:EOY15240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl activating enzyme 1 MEGMIKCSANYVPLTPISFLERSAVVYSDGLSVVHGDVKHTWKQTRERCVRLASALAHLGISRRDVVAVLAPNIPAMYELHFGVPMAGAVLCTLNIRHDSAMVAVLLKHSEAKVLFVDYQFLHIAQGAMKILSNTRSKLPHLVLIQESNQQIPTTSNSNPISANLDYDGLLAMGKLDFEIRRPEDEWEPISINYTSGTTSSPKGVIYSHRGAYLNSLATVLLNEMTSMPVYLWAVPMFHCNGWCLTWGVAAQGGTNVCLRNVSAGGIFHNIAEHKVTHMGGAPTVLNMIINAPASDQRCLPGKVVVMTGAAPPPAPVLYKMEELGFRVVHSYGLTETYGPGTIGSWKPEWNSLPRDVQAKMKARQGLNHLGMEEVDVKDPVTMKSVPHDAKSVGEVVFRGNTIMNGYLKNVKATEEAFHGGWFRSGDLGVRHPDGYIELKDRSKDIIISGGENISSIEVESTLFSHPAVLEAAVVARPDDYWGETPCAFVKLKDGCNASAAEIINYCRSHLPHYMAPRTVIFQDLPKTSTGKTQKYLLREKAKAMGSISKSKSKL >EOY16495 pep chromosome:Theobroma_cacao_20110822:8:7753768:7754261:1 gene:TCM_035281 transcript:EOY16495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLHFCGILMSRYYIFFSCNHFSLFSLCLSARMDKKIIEIKESAMESERPVECGIKKKKKEKRCRVPPIRGRVKRKIFALLLKKLKLASLYFIRHHLISNSNNS >EOY17490 pep chromosome:Theobroma_cacao_20110822:8:21133931:21137456:1 gene:TCM_036695 transcript:EOY17490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC2 MEDYSGCSPCCVAGAVFLIGFAKDIGHKAGDSLDKSTKPRAVAVFVTGFWILDVANNMLQGPCRALLADLSANNHKRMRVANGWFSFFMAVGNVLGYAAGSYSNLHKIFPFTVTTACDVYCANLKTCFIIDILFLLLVTITAISCVKETPLSKEVMKQEEEKASTPLVGELLTAFKTLKKPMWILLLVTCLNWIAWFPFLLYDTDWMGREIYGGHVDGNDNQQKLYDNGVRAGALGLMINSIVLGFASLGLENIGRLVGGVKNLWGGVNFILAACLASTVWITKVVEAWRDTHGLLAPPSNIKGSALAVFGLLGIPLSVTFSIPFALASIYCSASGGGQGLALGVLNMAIVIPQMFISVVSGPLDEAFGGGNLPAFVLGSIVAAISAVLAIVALPNPPKQVSLNPAMAGGH >EOY16092 pep chromosome:Theobroma_cacao_20110822:8:5762304:5766587:-1 gene:TCM_034970 transcript:EOY16092 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 104, putative MGRVKLEIKRIENNTNRQVTFSKRRNGLIKKAYELSILCDIDIALIMFSPSGRLSHFSGRRRIEDVFTRYIDLPDQEREHALIFPDQIRHPDIQNKEYLLRILQQLRSENDIALQLAKKVFVSFFNGFVPQNSPASFSSDFEGIQEEIVRLQQQLQMAEEQLRAYEPDLLRLTSMGELESCEKHLVDTLANIVQRKEYLLSNHLSSYHPSPIQQGLPPSFDNEVVNWLPDGGQNQSQIFDSSSSLNQLRDLSSTVYDPLLQGSISNPSGEDFQPWPQPFVSTPTLYSHLQHGMVGPEMTEMMANEQMEIPGNNSSHGQAAENGGSNYENRVRQLNGQ >EOY14600 pep chromosome:Theobroma_cacao_20110822:8:691508:694512:1 gene:TCM_033922 transcript:EOY14600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MGIFCDFKAQATQEIRLGGQRATIFVYAMEGLENMAFIANAISLVTYFYGYMNFSLTKSATTLTNFMGISFLLALFGGFISDTYLSRFKTCVLFGCIELLGYALLAVQAHFHQLRPTPCQGLAASQTNQCQAADSGQAAILFTGLYLVALGTSGVKAALPSLGADQFDEKDPKESAQLSSYFNWFLLSLTVGAIVGVTFVVWISSNQGWDWAFGVCTVAVLFALVCVSMGKSLYRNNVPKGSPLLRIIQVLVVAIRNRKLPLPEMADELHEIHDKEAGVQNETLPRTDQFRFLDRAAIGPTPGDASTSISAGPWRLCTITQVEETKILIRMLPIVLSTVFMNTCLAQLQTFTIQQSTTMDTRILGFKMPGPSIPVIPLAFMFVFIPIYDRIFVPIARKVTGIPTGIRYLQRIGVGLVLSAISMAVAGIVETRRKSVAIQHNMVDSLQPLPMSVFWLGYQYAIFGLADMFTLVGLLEFFYAESSARMKSLSTAISWCSLAFGYFLSSVVVEVVNKVSGGWLANNNLNRDKLNYFYWLLAAVSVVNFGIYLVCASWYRYKKVEVVNQEENSKSSKGKQLEMVTI >EOY15563 pep chromosome:Theobroma_cacao_20110822:8:3751926:3761109:-1 gene:TCM_034578 transcript:EOY15563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGALLKLCSKTLRLKLCRSPLALEQPSQTDVTLSLTGEDHDLCEVGVFTLKRSEAWLPSNPMFLCMYTRNILGVSLFSDLCLAIFMTSPVPLQFYKMSRLKGCIPKVRMGLKLKRVEHYQIHTIS >EOY16733 pep chromosome:Theobroma_cacao_20110822:8:10697905:10699482:1 gene:TCM_035614 transcript:EOY16733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSEGFPCIFITFTKCPFFLFGLLIISFFLLLISGSMKKDDTSALSSVLRKKKKAVILMHMQPRTLFLIHPSFLFFGNFVVMLILSIFINKSC >EOY16475 pep chromosome:Theobroma_cacao_20110822:8:7381682:7382897:1 gene:TCM_035243 transcript:EOY16475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMKMEQSLVEELGGGAEKQPRFKVKKGGVIPPKRRSVKIMMFDKLVKSVSSVFHACCHSASFGAASTSKPKNCGSLEGEELKLVPYGKAKNMRIFRKKDHLSAIASQNDLPAN >EOY20405 pep supercontig:Theobroma_cacao_20110822:scaffold_250:5496:7054:1 gene:TCM_046309 transcript:EOY20405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRFWGVDFSWRRVAGVFDIDSLERCFLCFWSCGTRWARKADERKLGLLMILLGTEAGILSEVAHSFSVDRACSLAAVNPKAGCSSFCFVQGQSSFLSLLSVP >EOY20467 pep supercontig:Theobroma_cacao_20110822:scaffold_324:1:4158:1 gene:TCM_046331 transcript:EOY20467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor FNYEQTYDAVVGDTTIVANRSQYVDFTLPYTESGVSMIVPIRDNRRKNAWVFLKPLTWDLWVASACFFFFIGFVVWVLEHRINEDFMGPPSYQAGTSFWFSFSTVVFAHRERVVSNLARFVVIIWCFVVLILTQSYTASLTSLLTVQQLQPTVTDIQELLKKGEKVGFKKGSFVEGILKGLTFPESQLIEYETLEELHDLFTKGSANGGISATLDEIPYMKLLFAKYCNQYTAVEPKFRTDGFGFVFPRGSPLVADVSRAILNVTQGEKMNQIEQAWFKKESSCFDTNTLVSHNSLGVESFWGLFLIAGVTSISALIIFAAMFFIGVAGDSPNTNCPQSPSSYSNQTELGFVFLADQGRATENGDLTPSAATSPEIFPSSGRHSIELTNTKATAVTSPLLHFFCLVIISCRILLMHVVAAPNSSSIPVNVGVVLDLDSKFGKIGLSCINMALSDFYATHASYRTRLVLNPRDSKDVVGAAAAGTCFLFHLSPQLLSF >EOY20442 pep supercontig:Theobroma_cacao_20110822:scaffold_299:1733:3541:1 gene:TCM_046323 transcript:EOY20442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPLEVAQALAAFFIAMAGQAQTSQVPPIVPPVPPTVPPMSDISISKKLKEARQLGCISFTGKLDPTVAKDWINQVSKTLSDMRLEDDMKLMVATRLLEKRARTWWNSGNLTIEEYEARFNELMLYVPDLVKSEQDQASYFEEGLRNEIRERMTMIGREPHKEVVQMALRAEKLSNENRRMRAEIAKKMNPSGSSSQQPKRGKDSMASGSTTSAPITSSLPLVSQTQQRPLRFSRSKMTTSEKSSGSSNKCRHCGKYHVGLCRKLVRCFHCDQLGHYRSDCPQLGRATVVVPSPSARTNIQRKDSTKVQLRQGVTIWSDVESNTPVYPPHRLQTRTSTRVFAVAEDEA >EOY20466 pep supercontig:Theobroma_cacao_20110822:scaffold_32:40754:45441:-1 gene:TCM_046070 transcript:EOY20466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Matrix metalloproteinase, putative MNLQFLDVASPTVVLEDISYLQSILSLKWVKMRVVKDIHKLKKYLEQFDYLSYSKNKTHANDDDFDDLLESAIKTYQLNFHLNSNRALDTETVSKMMMPRYGVADIINGTRVNVVSPVAGAFQTWAANTYFRFSRIYNYRDTNITIGFQRRDHGDGNPFEDPGGTIAHAFAPTIGRFNYDADETWSVSARPGNMHLETIALHEIRHLLGLSHNSIENAIMYPSIAERTSKRLDRDDIE >EOY20464 pep supercontig:Theobroma_cacao_20110822:scaffold_32:8977:10391:-1 gene:TCM_046068 transcript:EOY20464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Matrixin family protein MAYNAISFLSFCTLLVLPLLFQATLADSKDKKPYPFDFLKHLQGCHKGDKVKDIRKLKKYLEQFGYLSYSKNKTHANDDDFDDLLESAIKTYQLNFHLNSNGALDTETVSKMMMPRCGVADIINGTSGMRSGKKKPHRAAGSKSIHEVSHYAFFPRSPRWPPSKSHLTYAFLPGTRADAVNPVAGAFQTWAANTHFRFSRIDNYRDADITIGFQRRDHGDGNPFDGPGGTLAHAFAPTLGRFHYDADETWSVSARPGTMHLETVALHEIGHLLGLGHSSIENAIMYPSITAGTSKGLARDDIEGIKALYNR >EOY20465 pep supercontig:Theobroma_cacao_20110822:scaffold_32:16456:27907:1 gene:TCM_046069 transcript:EOY20465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTPSNPAMAKPQCCFSRPLDDFLSLSSSLSLVMTPLDGLILTSTVEPSTFSHVMYPMWITHLRRLTGTTLPSVLRRSHEELGPQRPSGPGLGPRMTVVHL >EOY20428 pep supercontig:Theobroma_cacao_20110822:scaffold_264:2516:5143:1 gene:TCM_046317 transcript:EOY20428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MEKENTDAKKMERKLYEAAVEGSVISLLSLLQEDALLLDRFITGRYPETPLHVASMLGHLEFVDEIISRKPELAKEVDSRKSSPLHLAVAKGYLDIAKRLLQVNPDMCHVCDFDGRNPLHIAAIKGHINVLRELIRARPWAARSLMDEGETILHACVRYNQLEAMKLLMEIADHEFVNCKNYDGNTILHLAIADKQTETIHYLISGTTIEVNCENADGFTPLDLLSQNERNLKEKEIVESLRRIGAVHAKDKPLSDRQLKAIRIKILSSPSISNQTNASKPKKSKVRKRFKINSYADWLEKKRGTLMLVASLLATMAFQVGVNPPSGVWQDTSPSDSSSMATSPSDSSSMATSPSDSSSMASNKPDHKAGFSVMADTDSDTYTLFLIANTTGFMASLSIILLLVSGLPLRHRFFMWILMVVMWVAITAMALTYTMSVMAVTPDHADSALINIRYAVYGWIGIMGLLLLAHTIRLIIRLIKYLLKLVVRLINLISTL >EOY20429 pep supercontig:Theobroma_cacao_20110822:scaffold_264:5762:6618:-1 gene:TCM_046318 transcript:EOY20429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 713 MNDEFSRVLSQQMDHFSNDQNADRLNRLKGEMSQVRSVMIDNIEKVLERGDRLALLVEKTSAMQGNTLRFKRQARRYKNALWWRDCKFTATLILLVLLVILYVLLTFFCHGLFLSSCLK >EOY20315 pep supercontig:Theobroma_cacao_20110822:scaffold_117:12871:13675:1 gene:TCM_046215 transcript:EOY20315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMRTTYVGLLSKGYQHKKQLMDSLLKVAEEDNENFLRRLRHKTDRVGIEIPTIEVRSEHLVVEGDVYVGSRALNSYST >EOY20314 pep supercontig:Theobroma_cacao_20110822:scaffold_117:324:809:-1 gene:TCM_046213 transcript:EOY20314 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ / Sec63 Brl domains-containing protein, putative ARAKPGPGAEEACSLDMRQLYLQTHPKKKNKKIKTSFFLIYQILTNFSCAQLICSLQRNSLGSGGILLLGIVGVCILLPLVLTVIYLSRSAKYTGNYVMHQTLSAYYYFMKPSLAPR >EOY20316 pep supercontig:Theobroma_cacao_20110822:scaffold_117:15411:16164:1 gene:TCM_046216 transcript:EOY20316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein MTDPAYKPRTVICIGDIHGYITKLVNLWSNLQSKIDPESFNTATIIFLGDYCDRGPDTRKVIDFLVYLPKQYPNQKHVFLSGNHDFAFAGFVGVLPGEFEAKDTWEEYADNEEREGWYKGEGYEKMHLQGRRWSGWIKAKFNAAKGLEYKGSIYDAAPTFESYGVSHGSAGNAWFFLLLLFWMVGFFHIWLCL >EOY20482 pep supercontig:Theobroma_cacao_20110822:scaffold_377:88:1878:1 gene:TCM_046345 transcript:EOY20482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor TYDAVVGDTTIVENRSQYVDFTLPYTESGVSMFVPIRDNRRKNAWVFLKPLTWDLWVTSACFFLFIGFVVWVLEHRINEDFRGPPSHQAGTSFWFSFSTMVFAHRERVVSNLARFVVIIWCFVVLILTQSYTASLTSLLTVQQLQPTVTDIQELLKKGEKVGFLKDSFIEGILKGQFRFPESQLIEYQSPEELHDLFTKGSANGGVVATLDEIPYMKLFLAKYCNEYTAVEPKLRTDGFGFVFPRGSPLVADVSRAILNVTEGEKMNQIEQAWFNKGSSCPDLNTLVSSNSLGVESFGGLFLIAGVASISALIIFAAIFFYEQRRVLLRFDSETPFWRRIQIMSRIFDQRDLSSHTFRKSELEDKSGNDSVHSINVAGDSPNTNYPPSPSSYSNQTEPDFVFSTDQRRVAENGDITPSVTASPEIFPSPGRQSIELGNTTNGPRE >EOY20505 pep supercontig:Theobroma_cacao_20110822:scaffold_534:1:2074:1 gene:TCM_046359 transcript:EOY20505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein LIGGQLNEALSEVEKFCNNSRLPFPFRLRASLLECFYSNDSVMLSTCFEKTLKQDPTCCHSLARLVSMHQNGDYSLESLVEMIALHLEATNPESNTWREFASCFLKLYQHEEDQLSVCLNGNEGEQIPKLSVNYNKMPKFFTEGKSTKVWRLRCKCWLKHHFAKKMLASEIASGFSELLTYKAACASHLYGQEFDYVVKVYSHLEEQNDRDLLRFLKRHIENSIRLNANIQEKLNKI >EOY20363 pep supercontig:Theobroma_cacao_20110822:scaffold_138:1218:2971:-1 gene:TCM_046230 transcript:EOY20363 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative METLPQLPQDIIVNILSRLPVKSLLQFKCVSKPWRSLISDPHFAKLQLTQSQRNGNFSSQRVLLITEPPESAACEASGDDDDSKLILKLEYPTAMKRTPDSDELMDGQVDLGGSCNGLVCLVFENDRVFLLNPTIRETKELAKLNAFDRMGTFSYGFGFDFSTNDYKVVRAARPSSEDDASSETEVEILELKSNIWRRIEGFKSGIEIEGPGIFLHGALHWLGEKESDGLEIVNVIVSFQLAEEKFQLMPLPDQIEESNDSRVLGVSGDCLCLFNGCGERYFEAWLLKDSNKSSWTRLFGVQRDLVPRHRYWEKALCYTKSGKVVIDYGGRCLVWYDPKEKTSKTYTSRSNWGWFDPAIYIESLISPNCYDA >EOY20365 pep supercontig:Theobroma_cacao_20110822:scaffold_138:11677:13131:-1 gene:TCM_046232 transcript:EOY20365 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MRYVRYAKQAKVSIYADNFWETVEKEDGFGPIKRGGHSSNPLAGIKIRDIKGKSVVTSD >EOY20364 pep supercontig:Theobroma_cacao_20110822:scaffold_138:3019:11531:-1 gene:TCM_046231 transcript:EOY20364 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein METLPQLPQDIIVNILSRLPVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNFSSQRVLLITEPPESAACEASGDDDDSKLILKLEYPTAMKRTPDSDELMDGQVDLGGSCNGLVCLVFENDRVFLLNPTIRETKELAKLNAFDRMGTFSYGFGFDFSTNDYKVVRAARPSSEDDASSETEVEILELKSNIWRRIEGFKSGIEIEGPGIFLHGALHWLGEKESDGLEIVNVIVSFQLAEEKFQLMPLPDQIEESNDSRVLGVSGDCLCLFNGCGERYFEAWLLKDSNNSVLVKFRPYRTERVLLGFSLLQEMAAQEDQKDIKLPSDSIPEGWVLDTMVQDDGTEVQCYLCPPTDQRFYTYEDLMRYVRYAKRAKVSIYADTVEKEDGFGPIKREGHSSNPLAGIKIRDIKGKSVVTSD >EOY20524 pep supercontig:Theobroma_cacao_20110822:scaffold_91:15708:21051:-1 gene:TCM_046173 transcript:EOY20524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon, unclassified-like protein MGNKPVKLGNNNAPPFGQSKLANKGTRVQIRELNTVLNDQNPSNKEPMSKIDKRKSIVLEEPPKQGKEWQVVRQSGKSGTKNSKGVKITLEESKDVVDQMKDWGRVSSSDCPALKCTRLERKDLWTYLRSLSSDMEGPWLAGGDFNSIFSRYERLYGATLHHVSIEDFANTLLDCGLLDAGFEGNSFTWTNDHMLQRLDRVLYNREWAELFSSTKVQHLARDRSDHYPLLINYSMTSQRGPLAFYFLYAWTKHHTFMSFVERLWKFPIQTKGLKAFWYK >EOY20398 pep supercontig:Theobroma_cacao_20110822:scaffold_219:6318:7751:-1 gene:TCM_046292 transcript:EOY20398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKESLRNYEVALLEKALKRSKLMEKKKEMLAEIEIQEKLIDDFMVFIEAVENNDVEIAQNFDEKAMMDAIVPMLNGDGNSGWNGEGFGGVYGNNDLEVAIEGTGRDCGEGSNAGGEGGSRNGDCRE >EOY20516 pep supercontig:Theobroma_cacao_20110822:scaffold_73:19336:20659:1 gene:TCM_046155 transcript:EOY20516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPVRRSKSWFVCRCGGRVKAGCLPSRKEGKQGEKNRRRKIRDPVGQSNKATCKLKTTRSGGEFDELISKRTSRSTPIELNFLDALFFGFTGAATSATTSAMARDEAVNSTKIPKPQA >EOY20515 pep supercontig:Theobroma_cacao_20110822:scaffold_73:5120:8030:-1 gene:TCM_046152 transcript:EOY20515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQIPSMACHDSERWSLTDSLNGVSPTLNANVSQIPSMSHPNIRDLAQEVAKECGGLPLALVTIGCAMACKTTCEEWKYAIEVLRRSSATSIFQGMGEEIACTCEAEKWKFFVQAGYQLTKVPNVGKWRGIKRMSLMDNKIGNLREAPNCPDLQTLFLRGNKPLEVIDNDFFQFMCVLKVLDLSENIGITEFPMGISKLVSLEYLDLSGTTIRELPTELRALKKLKCLGLQHIDNRIKIPRGLMPGFSKLEILRMYGSYPFDEAMEDDNECLAEELQCLNHLNVLTLSVTSVFALDRFLSAERLHNFIETIGLQYFKDSKQLNILSLANFKSLNTLLLEECESLKEVKTVWEGEGRIIKAAIEIQTSMIASVPCFQSLLHVRIDKS >EOY20498 pep supercontig:Theobroma_cacao_20110822:scaffold_455:1:1213:1 gene:TCM_046355 transcript:EOY20498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein PSQGTGKSLQQFSGCPNIVRCFGGFTSVECGRKIFNLFLEYASGGCLADLMKEYGGKIPERHAKFYARMILEGLVDIHRKGYIHSDLKPRNILVFPSQDGISLDTLKIADFGLVKKYGVEDTNAWEYGFRGTAPYMSPESIIGYITGALDIWSLGCIMVEMLTGKLPLAFRNLKDLRDKLLRGESPNIPANMSSMGKNFLMKCFARDPNERWTASMLLSHPYLLPEHTFSLPVTGFLQCNPSLASINFQVAEAFHSPKGYELFPSGTRKILDDLFRMQLIKQVTDMKLGVWCMQKNQHETSKLRIQ >EOY20527 pep supercontig:Theobroma_cacao_20110822:scaffold_94:10131:12707:1 gene:TCM_046184 transcript:EOY20527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pro-like protein MTHQLDQLEFTYGEPETLKRIEEIAQDWKKTCRVDQGRVTDEVTTGYHTWHDQRVKNVIHPPKNPSKHPVNPEPQDVLLESELTRKRLEKEMMNMKRRHEDELEEVKKETARKVRVALKERDEWQSKFEEVSVANSSLLARIQELQSANNALQHEVRSQGQTIQELKNDCDMLETAMEGYKAQYEAIRQEYFQMRERNNSCTQSLQRKEAEMQWILKQMREVAFRARVMADKTEELRGEILPKDELSERLINHLKMVRDQYDKIKGKKAAGSSGTPEDIQQTETNTDPMYPPGFTPPPARNASIPMPSVGQYPFFGMPIGPPPTYAQQRPIGGASPSDPIFVPDLDDPKEQEKLKCGSVESKDNPDTHKKFDLFEERLRMVEGMGMYCSMDAIELCLVPDVVIPPKFKVSDFEKYDGTKCPVTHITMYCRRMAANAHDDKLLIHCFQDSLTGAAAKWYVQLDRNRIHTWKDLARAFVAQYKHVTDMAPDRLSLQNMEKKTTESFKEYAQRWRNVASQVQPPLTEKETTVMFVNTLRAPYYERLVGSATKNFADMVISGEMIETAIKQGKIE >EOY20503 pep supercontig:Theobroma_cacao_20110822:scaffold_514:1:1245:1 gene:TCM_046357 transcript:EOY20503 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR protein KLDIKNCPKLVSLSTDNLPPTLRTLEIWSCENLECLLDDKENINFSSTSLLQSLFIWDCKALKSLSWSGKLPVQLKKLDIYECPELECLAREIGDNTCLESISLCSCRNITYLPQGLDKLSRLQEISLRECPNLVRLPEALPNLHHLQHLSIGGCPRVQNSIGERGFPTNLTSLWIIEPNISKAVMEWGLHRLTSLTYLNIDGSNCTDATSFPQEEIGMKLPPSLTILSIENFKNLRKLSSNGFQNLTSLQSLWIYHCPKLKSIPRKEMLPSLSQLYIGDCPVLKKRCKRDKGKQWSNIAHIPFVTIDGRFIYE >EOY20519 pep supercontig:Theobroma_cacao_20110822:scaffold_82:5737:14121:-1 gene:TCM_046159 transcript:EOY20519 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MLTTLVFHFALFILLSSTYTMILHFSNKIRNCSSWIPKLTAMVKFYSLLLILLIISFVIPALSVSAPTEIITSCLNRHNINNYTTFSNLENNESSLYYNLLNFSIQNLRFAEATNPKPIAIILPESKEQLINSVLCCIAGPWEIRVRCGGHNYEGTSSVASDGAPFVIIDMMNLNLVSVDLESETAWVEGGATLGETYHAIAESSRIHAFSAGSCPTVGAGGHIGGGGFGFLSRKYGLAADNVIDALLLNADGEILDRQAMGEDVFWAIRGGGGGFWGIVYAWKVNLLKVPQTVTSFIVSRPGTKAQVAKLVNKWQHVAPNFEGDLYLSCFVGAGLPETKAMGLSATFKGFFLGPRREALSILRRSFPQLGVAEEDCKETSWIDSVLFFSGLADGATVSDLKNRYLQDKHYFKAKSDYVRNPISLTGIRAALDILDKEPKGYVVLDPYGGIMNNISSDSIAFPHRQGNLYTIQYLVEWHEVDGNKGNEYIEWIRGFYTAMAGYVSWGPRAAYINYMDFDIGVMELINTSVPSYDTVEIARVWGEKYFLNNYDRLVKAKTLIDPNNIFKNQQGIPPATSVVSPPIKARKLHPRTNRQSASKVCILDIIIIPPRRRRPPLTRSVGRGRGRSQRHQPNTIEEESAASTIRAAPAAEQAEIPPHPPPPQPPTVPSATPLVPPPLQDVSISNKLKEARQLDCVSFAGELDAIVVKGWINQVSETLSDMGLDDDMKLMEFDGQYFTYFHQKEKNREFLSLKQENLTIKEYKTCFNELMLYVSDLVKSEQDQASYFEEGLRNEIRERMTVTGREPHKEVVQLALRAEKLTTENRRIRTEFAKKRNPGMSFSQPVKRGKDSATSRSTTSVSVTSPRPPFPPSQ >EOY10880 pep chromosome:Theobroma_cacao_20110822:5:36916754:36919214:-1 gene:TCM_026173 transcript:EOY10880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGCFFACFSASKNKKHQHLVDGTFSNDHQKGEAIEAIQSTKEEDFKIPFDPIAVKKEKLGELLNYSGKKKVTFDLNVRTHDEELPAVSSDKLLKNHEDEESKETAEGSRSPVLYLTTSNEICKSQNDRYQNSAESEDELEDVDLEVSDLDDDNEDGKAENEPQLVIEESSESLFSLSIESRKQVCEVESEEKEVTSPMPVDKSPIEEVKPTGLNRNGKDKGAQYVQSVLNPVENLAQWKEVKSKAGMPLKQHEKENFTLEQEFHIPVSPEPSFKFTTFSSKLCSKDNKPVGQETAVDTSLSSWLVEPENTPNSKASTNSVGNSASKKTNSPRSHEDRPILGALTMEELKQHSASTSPRKRRSQSPDETPIIGTVGSYWSHTGQTINSDSSFPSKGTPRTRSRNMLDERLKWNAIPFEARLERDLKREIKGV >EOY10881 pep chromosome:Theobroma_cacao_20110822:5:36917490:36918763:-1 gene:TCM_026173 transcript:EOY10881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MHLFACLCCMRVLICLFHINLCREKLGELLNYSGKKKVTFDLNVRTHDEELPAVSSDKLLKNHEDEESKETAEGSRSPVLYLTTSNEICKSQNDRYQNSAESEDELEDVDLEVSDLDDDNEDGKAENEPQLVIEESSESLFSLSIESRKQVCEVESEEKEVTSPMPVDKSPIEEVKPTGLNRNGKDKGAQYVQSVLNPVENLAQWKEVKSKAGMPLKQHEKENFTLEQEFHIPVSPEPSFKFTTFSSKLCSKDNKPVGQETAVDTSLSSWLVEPENTPNSKASTNSVGNSASKKTNSPRSHEDRPILGALTMEELKQHSASTSPRKRRSQSPDETPIIGTVGSYWSHTGQTINSDSSFPSKGTPRTRSRNMLDERLKWNAIPFEARLERDLKREIKGV >EOY06981 pep chromosome:Theobroma_cacao_20110822:5:92444:94479:-1 gene:TCM_021531 transcript:EOY06981 gene_biotype:protein_coding transcript_biotype:protein_coding description:6,7-dimethyl-8-ribityllumazine synthase / DMRL synthase / lumazine synthase / riboflavin synthase isoform 1 MASPGCCWMQERLLSGVFPIPRARGLPTPKSTALSFSSSLLQLQPQGHLISGFAPDRKQRSSFVQAPAVRRLVGSLTKTQGLRFAVVIARFNEIVTKQLLEGALDTFSKYSVNEEDIDVVWVPGCFEIGIVAEMLGKSRKYHAILCIGAIRGDTSHYDAVANSVASGVLSAGLNSGQLCSLHIWCFDM >EOY06980 pep chromosome:Theobroma_cacao_20110822:5:90895:94479:-1 gene:TCM_021531 transcript:EOY06980 gene_biotype:protein_coding transcript_biotype:protein_coding description:6,7-dimethyl-8-ribityllumazine synthase / DMRL synthase / lumazine synthase / riboflavin synthase isoform 1 MASPGCCWMQERLLSGVFPIPRARGLPTPKSTALSFSSSLLQLSGFAPDRKQRSSFVQAPAVRRLVGSLTKTQGLRFAVVIARFNEIVTKQLLEGALDTFSKYSVNEEDIDVVWVPGCFEIGIVAEMLGKSRKYHAILCIGAVFLAYLVF >EOY06978 pep chromosome:Theobroma_cacao_20110822:5:90626:94498:-1 gene:TCM_021531 transcript:EOY06978 gene_biotype:protein_coding transcript_biotype:protein_coding description:6,7-dimethyl-8-ribityllumazine synthase / DMRL synthase / lumazine synthase / riboflavin synthase isoform 1 MASPGCCWMQERLLSGVFPIPRARGLPTPKSTALSFSSSLLQLQPQGFAPDRKQRSSFVQAPAVRRLVGSLTKTQGLRFAVVIARFNEIVTKQLLEGALDTFSKYSVNEEDIDVVWVPGCFEIGIVAEMLGKSRKYHAILCIGAVIRGDTSHYDAVANSVASGVLSAGLNSGVPCIFGVLTCDDMEQALNRAGGKAGNKGAECALTAIEMASLFEHQLK >EOY06979 pep chromosome:Theobroma_cacao_20110822:5:92458:94477:-1 gene:TCM_021531 transcript:EOY06979 gene_biotype:protein_coding transcript_biotype:protein_coding description:6,7-dimethyl-8-ribityllumazine synthase / DMRL synthase / lumazine synthase / riboflavin synthase isoform 1 MASPGCCWMQERLLSGVFPIPRARGLPTPKSTALSFSSSLLQLQPQGHLISGFAPDRKQRSSFVQAPAVRRLVGSLTKTQGLRFAVVIARFNEIVTKQLLEGALDTFSKYSVNEEDIDVVWVPGCFEIGIVAEMLGKSRKYHAILCIGAIRGDTSHYDAVANSVASGVLSAGLNSGQLSCLMYFVFLAYLVF >EOY07646 pep chromosome:Theobroma_cacao_20110822:5:2315924:2317692:-1 gene:TCM_022031 transcript:EOY07646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPGNSSKIHMTIKFVSQKDIHFYIKLLGIIDDEVESCSVDEAKAILKTSFYDESRNILQAPITQEEVKSTTFSLNGSKAHGPGGYTAQFFRAAWTSCSQYQRLWVPIELYAVDKGLCLHKDAEHCCCARKNDISSPMQEVCFADDLIPKHDLTHMQKHHRFSRTAAVRYLGVPLTELTSSFANKRFRVKWLVSLYLVDIGLGWAGIDSMVSVQHPQACHDCEDG >EOY08764 pep chromosome:Theobroma_cacao_20110822:5:19584046:19589195:1 gene:TCM_023890 transcript:EOY08764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded nucleic acid binding R3H protein isoform 2 MDLTPTTTITAAAMAVNDIESAVDPFLVEALQNPRHRLTILRMELDIQRFLQQSDQHQFEFQHFPTSYLRLAAHRVAQHYGLITMVQDNGLDGLGSRIMVTRTGEIRCPAVRLSEVPAKHSENDKPEQIKIAIRPRPNSGSLNEANQIGIKRSPVRSVEERKEEYDRARARIFSSPSSSDSDDSSPQISVDGKNESLIRDENENCRNSVVDPEKNITVRDGTCRVAIFRDREKDRTDPDYDRSYQRYVRSIPCNQSFGLAPYNMQKIQLPFLQYDSAFPQLGQIPSTQASLSYGIPASPAVSPFSAVGLNQTSRDGAYMQWPSATMMYSNSYDQFRHAVFQAPFCHQPLSFDYSQNR >EOY08766 pep chromosome:Theobroma_cacao_20110822:5:19584140:19588479:1 gene:TCM_023890 transcript:EOY08766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded nucleic acid binding R3H protein isoform 2 MDLTPTTTITAAAMAVNDIESAVDPFLVEALQNPRHRLTILRMELDIQRFLQQSDQHQFEFQHFPTSYLRLAAHRVAQHYGLITMVQDNGLDGLGSRIMVTRTGEIRCPAVRLSEVPAKHSENDKPEQIKIAIRPRPNSGSLNEANQIGIKRSPVRSVEERKEEYDRARARIFSSPSSSDSDDSSPQISVDGKNESLIRDENENCRNSVVDPEKNITVRDGTCRVAIFRDREKDRTDPDYDRSYQR >EOY08765 pep chromosome:Theobroma_cacao_20110822:5:19584504:19589152:1 gene:TCM_023890 transcript:EOY08765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded nucleic acid binding R3H protein isoform 2 MELDIQRFLQQSDQHQFEFQHFPTSYLRLAAHRVAQHYGLITMVQDNGLDGLGSRIMVTRTGEIRCPAVRLSEVPAKHSENDKPEQIKIAIRPRPNSGSLNEANQIGIKRSPVRSVEERKEEYDRARARIFSSPSSSDSDDSSPQISVDGKNESLIRDENENCRNSVVDPEKNITVRDGTCRVAIFRDREKDRTDPDYDRSYQRYVRSIPCNQSFGLAPYNMQKIQLPFLQYDSAFPQLGQIPSTQASLSYGIPASPAVSPFSAVGLNQTSRDGAYMQWPSATMMYSNSYDQFRHAVFQAPFCHQPLSFDYSQNR >EOY08832 pep chromosome:Theobroma_cacao_20110822:5:21932907:21951332:-1 gene:TCM_024070 transcript:EOY08832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated (UBA) protein isoform 2 MVSFFFEVMALAILKDPTANLLTSGPYGLIFASFVPFYFDIPVSTWFRIFGVRFSDKSFIYLAGLQLLLSSWKRSLLPGICGILAGSLYRLNVFHIRRAKFPEFVTSFFSRLSWPSTGNPPTASARNLAGNVPSYTTRQAERTYPSTAAPSAIEPPEDCIATLVSMGFDRNSARQALVHARNDVNAATNILLEAQAH >EOY08828 pep chromosome:Theobroma_cacao_20110822:5:21937927:21953200:-1 gene:TCM_024070 transcript:EOY08828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated (UBA) protein isoform 2 MNGGPSGFNNAPVTRIFLIACALFTVFFGIQGRSFKLGLSYQDIFRKLSIWKLIVSVFAFSSTPELMFGLYLLYYFRVFERQIGSNKYSVFILFSVMVSFFFEVMALAILKDPTANLLTSGPYGLIFASFVPFYFDIPVSTWFRIFGVRFSDKSFIYLAGLQLLLSSWKRSLLPGICGILAGSLYRLNVFHIRRAKFPEFVTSFFSRLSWPSTGNPPTASARNLAGNVPSYTTRQAERTYPSTAAPSAIEPPEDCIATLVSMGFDRNSARQALVHARNDVNAATNILLEAQAH >EOY08829 pep chromosome:Theobroma_cacao_20110822:5:21937927:21953171:-1 gene:TCM_024070 transcript:EOY08829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated (UBA) protein isoform 2 MFGLYLLYYFRVFERQIGSNKYSVFILFSVMVSFFFEVMALAILKDPTANLLTSGPYGLIFASFVPFYFDIPVSTWFRIFGVRFSDKSFIYLAGLQLLLSSWKRSLLPGICGILAGSLYRLNVFHIRRAKFPEFVTSFFSRLSWPSTGNPPTASARNLAGNVPSYTTRQAERTYPSTAAPSAIEPPEDCIATLVSMGFDRNSARQALVHARNDVNAATNILLEAQAH >EOY08831 pep chromosome:Theobroma_cacao_20110822:5:21937927:21952997:-1 gene:TCM_024070 transcript:EOY08831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated (UBA) protein isoform 2 MNGGPSGFNNAPVTRIFLIACALFTVFFGIQGRSFKLGLSYQDIFRKLSIWKLIVSVFAFSSTPELMFGLYLLYYFRVFERQIGSNKYSVFILFSVMVSFFFEVMALAILKDPTANLLTSGPYGLIFASFVPFYFDIPVSTWFRIFGVRFSDKSFIYLAGLQLLLSSWKRSLLPGICGILAGSLYRLNVFHIRRAKFPEFVTSFFSRLSWPSTGNPPTASARNLAGNVPSYTTRQAERTYPSTAAPSAIEPPEDCIATLVSMGFDRNSARQALVHARNDVNAATNILLEAQAH >EOY08830 pep chromosome:Theobroma_cacao_20110822:5:21937987:21953200:-1 gene:TCM_024070 transcript:EOY08830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated (UBA) protein isoform 2 MNGGPSGFNNAPVTRIFLIACALFTVFFGIQGRSFKLGLSYQDIFRKLSIWKLIVSVFAFSSTPELMFGLYLLYYFRVFERQIGSNKYSVFILFSVMVSFFFEVMALAILKDPTANLLTSGPYGLIFASFVPFYFDIPVSTWFRIFGVRFSDKSFIYLAGLQLLLSSWKRSLLPGICGILAGSLYRLNVFHIRRAKSSLSLLRPSSHGFLGLLRGTHLQLQQGILQEMYLPTQPAKRSFYFVCMRIKWERHEMKLQVERRR >EOY10471 pep chromosome:Theobroma_cacao_20110822:5:35019465:35024497:1 gene:TCM_025833 transcript:EOY10471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein isoform 4 MSTTAGSLALLGSVVPRDAGVVTKLRKAGAIILGKASLSEWAHFRDNRAPSGWCARSGQGKNPYNLSADPCGSSSGSAISVAANMAAVSLGTETDGSILCPSSNNAIVGIKPTVGLTSRAGVIPITPRQDTVGPMCRTVADAVHVLDAIAGLDYNDKATIEASKYIPGGGYKQFLKPYGLKGKRLGLLRNIFFHFDNGSIFAEAFERHFSTLRQGGAVLVENLDESKFLAAYSSNTDFESVAMNAEFKLALNSYLKELVVSPVRSLKDIIAFNKKFSDLENTKEYGQQLFLDAEATNGIGKKEKEALLHLAKMSRDGLEKLMSENKLDVLLSPFSSASSVLAKGGYPGIIVPAGHDTEGVPFGICFAGLKGSEPTLIEIAYAFEQATRIRRPPPFTP >EOY10472 pep chromosome:Theobroma_cacao_20110822:5:35019360:35026108:1 gene:TCM_025833 transcript:EOY10472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein isoform 4 MGIFLSTPKTQKFSEDGENDRVRYGLSSTQGWPATMEDAAKRVERGNQSSSGSHSKPNHAFSIKEATVHDLQLAFERCQLTSRQLVEFYLGEIHRLNPLLRGVIEVNPDALYQADNADRERKAKAPGSLGGLHGIPVLLKDNIATKDKMSTTAGSLALLGSVVPRDAGVVTKLRKAGAIILGKASLSEWAHFRDNRAPSGWCARSGQGKNPYNLSADPCGSSSGSAISVAANMAAVSLGTETDGSILCPSSNNAIVGIKPTVGLTSRAGVIPITPRQDTVGPMCRTVADAVHVLDAIAGLDYNDKATIEASKYIPGGGYKQFLKPYGLKGKRLGLLRNIFFHFDNGSIFAEAFERHFSTLRQGGAVLVENLDESKFLAAYSSNTDFESVAMNAEFKLALNSYLKELVVSPVRSLKDIIAFNKKFSDLENTKEYGQQLFLDAEATNGIGKKEKEALLHLAKMSRDGLEKLMSENKLDVLLSPFSSASSVLAKGGYPGIIVPAGHDTEGVPFGICFAGLKGSEPTLIEIAYAFEQATRIRRPPPFTP >EOY10474 pep chromosome:Theobroma_cacao_20110822:5:35019465:35024497:1 gene:TCM_025833 transcript:EOY10474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein isoform 4 MSTTAGSLALLGSVVPRDAGVVTKLRKAGAIILGKASLSEWAHFRDNRAPSGWCARSGQGKNPYNLSADPCGSSSGSAISVAANMAAVSLGTETDGSILCPSSNNAIVGIKPTVGLTSRAGVIPITPRQDTVGPMCRTVADAVHVLDAIAGLDYNDKATIEASKYIPGGGYKQFLKPYGLKGKRLGLLRNIFFHFDNGSIFAEAFERHFSTLRQGGAVLVENLDESKFLAAYSSNTDFESVAMNAEFKLALNSYLKELVVSPVRSLKDIIAFNKKFSDLENTKEYGQQLFLDAEATNGIGKKEKEALLHLAKMSRDGLEKLMSENKLDVLLSPFSSASSVLAKGGYPGIIVPAGHDTEGVPFGICFAGLKGSEPTLIEIAYAFEQATRIRRPPPFTP >EOY10470 pep chromosome:Theobroma_cacao_20110822:5:35019465:35024497:1 gene:TCM_025833 transcript:EOY10470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein isoform 4 MACNYGRCYVAPVFGIGGLSIFLMLLFSHCQSFVFCVGCQRRQDGLSTQAKRVERGNQSSSGSHSKPNHAFSIKEATVHDLQLAFERCQLTSRQLVEFYLGEIHRLNPLLRGVIEVNPDALYQADNADRERKAKAPGSLGGLHGIPVLLKDNIATKDKMSTTAGSLALLGSVVPRDAGVVTKLRKAGAIILGKASLSEWAHFRDNRAPSGWCARSGQGKNPYNLSADPCGSSSGSAISVAANMAAVSLGTETDGSILCPSSNNAIVGIKPTVGLTSRAGVIPITPRQDTVGPMCRTVADAVHVLDAIAGLDYNDKATIEASKYIPGGGYKQFLKPYGLKGKRLGLLRNIFFHFDNGSIFAEAFERHFSTLRQGGAVLVENLDESKFLAAYSSNTDFESVAMNAEFKLALNSYLKELVVSPVRSLKDIIAFNKKFSDLENTKEYGQQLFLDAEATNGIGKKEKEALLHLAKMSRDGLEKLMSENKLDVLLSPFSSASSVLAKGGYPGIIVPAGHDTEGVPFGICFAGLKGSEPTLIEIAYAFEQATRIRRPPPFTP >EOY10469 pep chromosome:Theobroma_cacao_20110822:5:35021926:35024285:1 gene:TCM_025833 transcript:EOY10469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein isoform 4 MASTKYSPLSFPLFLTLTFLFLQAKSSSGSHSKPNHAFSIKEATVHDLQLAFERCQLTSRQLVEFYLGEIHRLNPLLRGVIEVNPDALYQADNADRERKAKAPGSLGGLHGIPVLLKDNIATKDKMSTTAGSLALLGSVVPRDAGVVTKLRKAGAIILGKASLSEWAHFRDNRAPSGWCARSGQGKNPYNLSADPCGSSSGSAISVAANMAAVSLGTETDGSILCPSSNNAIVGIKPTVGLTSRAGVIPITPRQDTVGPMCRTVADAVHVLDAIAGLDYNDKATIEASKYIPGGGYKQFLKPYGLKGKRLGLLRNIFFHFDNGSIFAEAFERHFSTLRQGGAVLVENLDESKFLAAYSSNTDFESVAMNAEFKLALNSYLKELVVSPVRSLKDIIAFNKKFSDLENTKEYGQQLFLDAEATNGIGKKEKEALLHLAKMSRDGLEKLMSENKLDVLLSPFSSASSVLAKGGYPGIIVPAGHDTEGVPFGICFAGLKGSEPTLIEIAYAFEQATRIRRPPPFTP >EOY10475 pep chromosome:Theobroma_cacao_20110822:5:35020165:35024496:1 gene:TCM_025833 transcript:EOY10475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein isoform 4 MSTTAGSLALLGSVVPRDAGVVTKLRKAGAIILGKASLSEWAHFRDNRAPSGWCARSGQGKNPYNLSADPCGSSSGSAISVAANMAAVSLGTETDGSILCPSSNNAIVGIKPTVGLTSRAGVIPITPRQDTVGTVADAVHVLDAIAGLDYNDKATIEASKYIPGGGYKQFLKPYGLKGKRLGLLRNIFFHFDNGSIFAEAFERHFSTLRQGGAVLVENLDESKFLAAYSSNTDFESVAMNAEFKLALNSYLKELVVSPVRSLKDIIAFNKKFSDLENTKEYGQQLFLDAEATNGIGKKEKEALLHLAKMSRDGLEKLMSENKLDVLLSPFSSASSVLAKGGYPGIIVPAGHDTEGVPFGICFAGLKGSEPTLIEIAYAFEQATRIRRPPPFTP >EOY10473 pep chromosome:Theobroma_cacao_20110822:5:35019465:35024497:1 gene:TCM_025833 transcript:EOY10473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein isoform 4 MACNYGRCYVAPVFGIGGLSIFLMLLFSHCQSFVFCVGCQRRQDGLSTQAKRVERGNQSSSGSHSKPNHAFSIKEATVHDLQLAFERCQLTSRQLVEFYLGEIHRLNPLLRGVIEVNPDALYQADNADRERKAKAPGSLGGLHGIPVLLKDNIATKDKMSTTAGSLALLGSVVPRDAGVVTKLRKAGAIILGKASLSEWAHFRDNRAPSGWCARSGQGKNPYNLSADPCGSSSGSAISVAANMAAVSLGTETDGSILCPSSNNAIVGIKPTVGLTSRAGVIPITPRQDTVGTVADAVHVLDAIAGLDYNDKATIEASKYIPGGGYKQFLKPYGLKGKRLGLLRNIFFHFDNGSIFAEAFERHFSTLRQGGAVLVENLDESKFLAAYSSNTDFESVAMNAEFKLALNSYLKELVVSPVRSLKDIIAFNKKFSDLENTKEYGQQLFLDAEATNGIGKKEKEALLHLAKMSRDGLEKLMSENKLDVLLSPFSSASSVLAKGGYPGIIVPAGHDTEGVPFGICFAGLKGSEPTLIEIAYAFEQATRIRRPPPFTP >EOY10003 pep chromosome:Theobroma_cacao_20110822:5:32183097:32184291:1 gene:TCM_025374 transcript:EOY10003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLWREMEDQLRVLKKKGTKMVSEILGKKKRWLSEIECTLPSSRSRLLVSLGPVAWIVGFILA >EOY11015 pep chromosome:Theobroma_cacao_20110822:5:37463605:37474254:1 gene:TCM_026278 transcript:EOY11015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAF32477.1, putative isoform 1 MEKIKEKIEKGKRLFTHRIDSCRFKPGDHIYGYRGFGSYSHHGIYVGEDCAIHFIPTEGDGLSKQDPPCPKCGYQHNVHLGVVKTCLDCFLSNGALSSDSLCLYQYEESELVKMLKRSGSCSSSKCLPPQTVVNIANELHKENCFGRYDLVGNNCEDFSTFCKTGIRRSEQVLSVMNLPMMPFLAKVLESLKLSLPK >EOY11016 pep chromosome:Theobroma_cacao_20110822:5:37473264:37474254:1 gene:TCM_026278 transcript:EOY11016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAF32477.1, putative isoform 1 MEKIKEKIEKGKRLFTHRIDSCRFKPGDHIYGYRGFGSYSHHGIYVGEDCAIHFIPTEGDGLSKQDPPCPKCGYQHNVHLGVVKTCLDCFLSNGALSSDSLCLYQYEESELVKMLKRSGSCSSSKCLPPQTVVNIANELHKENCFGRYDLVGNNCEDFSTFCKTGIRRSEQVLSVMNLPMMPFLAKVLESLKLSLPK >EOY10402 pep chromosome:Theobroma_cacao_20110822:5:34507317:34511496:1 gene:TCM_025763 transcript:EOY10402 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELMO/CED-12 family protein isoform 1 MRSGALRRRLHHGDVDGRRNENYETSGLDGLDEPLLGNQDYNERHSEGQKLEEIWDEEQRKEHLHWAFLFSNLIAQWAQWIANVVLGSGSFIAQLLHLPSNIQNGPSQKLLLPALSPLQEERLRNLQQRLGVPFDGSRLEHQDALKQLWRLAYPNRELPSLKSELWKDMGWQGPDPSTDFRGGGFISLENLIFFAKQYPESFQRLLHKQDGARADWEYPFAVAGINISFMLVQMLELQSGKPSSVAGIRFLELLGEDEMAFDNLYCVAFQMMDAQWLVKRASYMQFNVNYSNK >EOY10401 pep chromosome:Theobroma_cacao_20110822:5:34507129:34511668:1 gene:TCM_025763 transcript:EOY10401 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELMO/CED-12 family protein isoform 1 MRSGALRRRLHHGDVDGRRNENYETSGLDGLDEPLLGNQDYNERHSEGQKLEEIWDEEQRKEHLHWAFLFSNLIAQWAQWIANVVLGSGSFIAQLLHLPSNIQNGPSQKLLLPALSPLQEERLRNLQQRLGVPFDGSRLEHQDALKQLWRLAYPNRELPSLKSELWKDMGWQGPDPSTDFRGGGFISLENLIFFAKQYPESFQRLLHKQDGARADWEYPFAVAGINISFMLVQMLELQSGKPSSVAGIRFLELLGEDEMAFDNLYCVAFQMMDAQWLVKRASYMQFNDVLKSTRTQLERELALEDVSSVKDLPAYNLLRR >EOY10400 pep chromosome:Theobroma_cacao_20110822:5:34507829:34513303:1 gene:TCM_025763 transcript:EOY10400 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELMO/CED-12 family protein isoform 1 MRSGALRRRLHHGDVDGRRNENYETSGLDGLDEPLLGNQDYNERHSEGQKLEEIWDEEQRKEHLHWAFLFSNLIAQWAQWIANVVLGSGSFIAQLLHLPSNIQNGPSQKLLLPALSPLQEERLRNLQQRLGVPFDGSRLEHQDALKQLWRLAYPNRELPSLKSELWKDMGWQGPDPSTDFRGGGFISLENLIFFAKQYPESFQRLLHKQDGARADWEYPFAVAGINISFMLVQMLELQSGKPSSVAGIRFLELLGEDEMAFDNLYCVAFQMMDAQWLVKRASYMQFNDVLKSTRTQLERELALEDVSSVKDLPAYNLLRR >EOY10685 pep chromosome:Theobroma_cacao_20110822:5:35879538:35880662:1 gene:TCM_025987 transcript:EOY10685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALNTKQSPLTTVQAQSSQIKQRKRRRLQVCCQVEVIRDEQECQQTQRLEKNAKPLQSHQLFQQLRFL >EOY10320 pep chromosome:Theobroma_cacao_20110822:5:34164587:34172427:1 gene:TCM_025691 transcript:EOY10320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3550/UPF0682) [Source:Projected from Arabidopsis thaliana (AT3G03570) TAIR;Acc:AT3G03570] MAQQQINNNSGNSSNIPVSERYWTLVDKADKKFSKIRDLPYYERNRYDTYFYKVFKVYTQLWKFQQENRQKLVEAGLKRWEIGEIASRIAQLYYGQYMRTSEASYLSEAYIFYEAILTREYFKEGLCQDTNLANKQLRFLARFLMVCLVLNRREMVHQLVNQLKMLVDECKRTFQDTDFKEWKLVVQEIVRFLKADTAFMNIRPLRYSLVLDPHPDVLPHVAAPVARKNLRLRDAILSSYHHNEVKFSELTLDTFRMLQCLEWEPSGSFYQSTGGKSGQNGALGPSRVNHSQDIADPTLPPNPRKAVLYRPSLTHFVAVLATICEELPPDGVLLIFLSASGRTGHALSPSGSGTGIKATENIIRDFQSHTIHSDGTSTSSFSSPSDSPNPSASQSKGDCINYDTGCLQFGNRGIGGLNCIYPSDLIPFTRRPLFVIIESDASEVFKAISGAEKGERAALLLSPSCSFPIGAVDSSRQSIGSLFTIFLTAPLQAFCLLLGISGSDVEMDTYKHAESLLSSSLNDWGLTLATSDNLDPVWAQVLRDPFLRRFLLRFIFCRVVLALFAQTFNKKEFHPECMPALPQSVSPMTTASQTVVMQLAKVFRATKQFIFTEGISLGDHGNIDMES >EOY10319 pep chromosome:Theobroma_cacao_20110822:5:34164367:34172440:1 gene:TCM_025691 transcript:EOY10319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3550/UPF0682) [Source:Projected from Arabidopsis thaliana (AT3G03570) TAIR;Acc:AT3G03570] MAQQQINNNSGNSSNIPVSERYWTLVDKADKKFSKIRDLPYYERNRYDTYFYKVFKVYTQLWKFQQENRQKLVEAGLKRWEIGEIASRIAQLYYGQYMRTSEASYLSEAYIFYEAILTREYFKEGLCQDTNLANKQLRFLARFLMVCLVLNRREMVHQLVNQLKMLVDECKRTFQDTDFKEWKLVVQEIVRFLKADTAFMNIRPLRYSLVLDPHPDVLPHVAAPVARKNLRLRDAILSSYHHNEVKFSELTLDTFRMLQCLEWEPSGSFYQSTGGKSGQNGALGPSRVNHSQDIADPTLPPNPRKAVLYRPSLTHFVAVLATICEELPPDGVLLIFLSASGRTGHALSPSGSGTGIKATENIIRDFQSHTIHSDGTSTSSFSSPSDSPNPSASQSKGDCINYDTGCLQFGNRGIGGLNCIYPSDLIPFTRRPLFVIIESDASEVFKAISGAEKGERAALLLSPSCSFPIGAVDSSRQSIGSLFTIFLTAPLQAFCLLLGISGSDVEMDTYKHAESLLSSSLNDWGLTLATSDNLDPVWAQVLRDPFLRRFLLRFIFCRVVLALFAQTFNKKEFHPECMPALPQSVSPMTTASQTVVMQLAKVFRATKQFIFTEGISLGDHGNIDMES >EOY11285 pep chromosome:Theobroma_cacao_20110822:5:38818681:38823365:-1 gene:TCM_026519 transcript:EOY11285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MATSSSLKEYLKRYESKNEEEEQKKKRKKKKAKAKPESSGVFVVDEDPVWQKPVNLEEDNDGNDSPDEKPLVDEDIEVKRMKKLEQIRLTRGLNSIAEDGSGWVSLSPKRTNTLDPNSDVSPPRRQRARNDTPSPEPGLRHSNSEREIEDLSPLRQPRSPGLKSDLSPPRRSRVQNDTPSAREDADLSPPRRRPSRTDLPEPLVKAAKEGSDLSPPRKRRVRNDTPSPEPVLNQSDSDLSPPRKRRARNDSPSPERRMKPTRKDTDLLPLRKRSRRHHTPSPEPDMSPPRRSRPQTSEVVNDSDLSPPRKHRKESTGPASLKEQPKTGLISGSEIREEISKTKKDDWLRFKEMDPSISGRGAEPVYRDKTKGERISKEEFLKSKRKGEDKPKEKELEWGKGLAQKRETEARLQELEREKDKPFARNRDDPELDNMLKDRIRWGDPMAHLVKKKHSVPVLRDLGDAEKMKESGFIIPQDIPAHSWIVRKLDAAPNRYGIRPGRHWDGVDRSNGFEKKMFTKLNEKRATEREAYLWSVSDM >EOY11286 pep chromosome:Theobroma_cacao_20110822:5:38818786:38823230:-1 gene:TCM_026519 transcript:EOY11286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MATSSSLKEYLKRYESKNEEEEQKKKRKKKKAKAKPESSGVFVVDEDPVWQKPVNLEEDNDGNDSPDEKPLVDEDIEVKRMKKLEQIRLTRGLNSIAEDGSGWVSLSPKRTNTLDPNSDVSPPRRQRARNDTPSPEPGLRHSNSEREIEDLSPLRQPRSPGLKSDLSPPRRSRVQNDTPSAREDADLSPPRRRPSRTDLPEPLVKAAKEGSDLSPPRKRRVRNDTPSPEPVLNQSDSDLSPPRKRRARNDSPSPERRMKPTRKDTDLLPLRKRSRRHHTPSPEPDMSPPRRSRPQTSEVVNDSDLSPPRKHRKESTGPASLKEQPKTGLISGSEIREEISKTKKDDWLRFKEMDPSISGRGAEPVYRDKTKGERISKEEFLKSKRKGEDKPKEKELEWGKGLAQKRETEARLQELEREKDKPFARNRDDPELDNMLKDRIRWGDPMAHLVKKKHSVPVLRDLGDAEKMKESGFIIPQDIPAHSWIVRKLDAAPNRYGIRPGRHWDGVDRSNGFEKKMFTKLNEKRATEREAYLWSVSDM >EOY10157 pep chromosome:Theobroma_cacao_20110822:5:33249426:33250743:-1 gene:TCM_025531 transcript:EOY10157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIIGGLPKLEVLPQWLLGGSANTLQLLALVECENLTTFPDRQNLTSLERLVIRDCPNLSSLPERMQCLKELNIGRCPILSEGYKPENGEDWAKISHGTSAFNGVGLAVVFM >EOY07685 pep chromosome:Theobroma_cacao_20110822:5:2414150:2418229:1 gene:TCM_022058 transcript:EOY07685 gene_biotype:protein_coding transcript_biotype:protein_coding description:TerC integral membrane domain-containing protein isoform 2 MGLASAVHHGGGIRIALNFDRRVAPISPPVQAPRWTKLRFAHPRLSTIQSVINIRRTQYFPVVRSRGMEQEDLSTEGERIASYSYADSEEKLQENETYASSVKTVALWVCAAVAFGVGLGLKEGVGPASEYFAGYILEQSLSVDNLFVFVLIFKYFKVPHMYQNRVLSYGIAGAVIFRLSLILLGTATLQRFEIVNLLLAAVLLFSSFKLFSDDDEDDSDLSNNFIVKTCRRFIPVTTNYDGNRFITKQDGNWIATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFSSNLFAILGLRSLYTLIAEGMADLEYLQPSIGVVLGFIGCKMILDFFGFHISTEASLGFVAASLGAGVLLSLAKKADLL >EOY07687 pep chromosome:Theobroma_cacao_20110822:5:2414289:2417099:1 gene:TCM_022058 transcript:EOY07687 gene_biotype:protein_coding transcript_biotype:protein_coding description:TerC integral membrane domain-containing protein isoform 2 MGLASAVHHGGGIRIALNFDRRVAPISPPVQAPRWTKLRFAHPRLSTIQSVINIRRTQYFPVVRSRGMEQEDLSTEGERIASYSYADSEEKLQENETYASSVKTVALWVCAAVAFGVGLGLKEGVGPASEYFAGYILEQSLSVDNLFVFVLIFKYFKVPHMYQNRVLSYGIAGAVIFRLSLILLGTATLQRFEIVNLLLAAVLLFSSFKLFSDDDEDDSDLSNNFIVKTCRRFIPVTTNYDGNRFITKQDGNWIATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFSSNLFAILGLRSLYTLIAEGMADLEYLQPSIGVVLGFIGCKMILDFFGLYLGSVSINIKSL >EOY07684 pep chromosome:Theobroma_cacao_20110822:5:2413865:2419194:1 gene:TCM_022058 transcript:EOY07684 gene_biotype:protein_coding transcript_biotype:protein_coding description:TerC integral membrane domain-containing protein isoform 2 MGLASAVHHGGGIRIALNFDRRVAPISPPVQAPRWTKLRFAHPRLSTIQSVINIRRTQYFPVVRSRGMEQEDLSTAEGERIASYSYADSEEKLQENETYASSVKTVALWVCAAVAFGVGLGLKEGVGPASEYFAGYILEQSLSVDNLFVFVLIFKYFKVPHMYQNRVLSYGIAGAVIFRLSLILLGTATLQRFEIVNLLLAAVLLFSSFKLFSDDDEDDSDLSNNFIVKTCRRFIPVTTNYDGNRFITKQDGNWIATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFSSNLFAILGLRSLYTLIAEGMADLEYLQPSIGVVLGFIGCKMILDFFGFHISTEASLGFVAASLGAGVLLSLAKKADLLDRSGNIIHAPATVVSCSCCLISKAGALLKGNKLAIFLLFKEAVFESDSAVLISSVNDPFRPLHWEVDAVIQSIRWLRNLFFQVLSCLL >EOY07686 pep chromosome:Theobroma_cacao_20110822:5:2414150:2418229:1 gene:TCM_022058 transcript:EOY07686 gene_biotype:protein_coding transcript_biotype:protein_coding description:TerC integral membrane domain-containing protein isoform 2 MGLASAVHHGGGIRIALNFDRRVAPISPPVQAPRWTKLRFAHPRLSTIQSVINIRRTQYFPVVRSRGMEQEDLSTEGERIASYSYADSEEKLQENETYASSVKTVALWVCAAVAFGVGLGLKEGVGPASEYFAGYILEQSLSVDNLFVFVLIFKYFKVPHMYQNRVLSYGIAGAVIFRLSLILLGTATLQRFEIVNLLLAAVLLFSSFKLFSDDDEDDSDLSNNFIVKTCRRFIPVTTNYDGNRFITKQDGNWIATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFSSNLFAILGLRSLYTLIAEGMADLEYLQPSIGVVLGFIGCKMILDFFGFHISTEASLGFVAASLGAGVLLSLAKKADLL >EOY10459 pep chromosome:Theobroma_cacao_20110822:5:34907193:34913777:-1 gene:TCM_025818 transcript:EOY10459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/potassium/calcium exchanger 6 precursor, putative MATPTSLFLPKRLPLFLNISFLFLLFFFIETYDSLGESNNGQIPIITNHSLIPQGSNSDGCAGLHDYTDYKTRCLYVKSEIGCRPKGYINYLQIFYCTCGRFPFLGHLVLLIWLFVLFYLLGDTAANYFCTSLESLSKMLKLSPAIAGVTLLSLGNGASDVFASIVSFTGSGNGGVGLNSVLGGAFFVSSAVVGVISILINRHRISVDEPSFIRDILFFLFSLSSLMFIIFIGQITLWGALSFVSIYFLYVGAVSASHFFNKKKDRKLNLIPVSSVSNSHDNFGEVGIPLLGYASDEKSVMRDKETLENQEETPKFFNFDSPSFYYFGKFLYLLELPLYLPRRLTIPVINEERWSKPYAVISVTLAPLMLAELCNSQSEKKMGSKSSLVTYMIAGLVGMVFGNLAFVTTKKSSPPKRCQLPWLIGGFLMSVTWTYFTAEELVSLLVSFGNILGISPSVLGLTVLAWGNSLGDLISNSAMAINGGADGAQTAISGCYAGPMFNTLVGLGVSFVWSSWSEYPSSFEIPRDPSLYETLGFLMVGLLWALVILPRKNMRLDRFLGGGNNSSMDQSVTIPYRRSVGMVPFQWESRPGTPRHHLVPRKEIVAPVRPPPASSTWNSQEFDKHCINMSRETRAGFWKKSKKNYQGKKKAKGNIDEFGCYMTSSSTNSRSSSSTSSKRTSSRLRSLAKVLVKWANF >EOY09904 pep chromosome:Theobroma_cacao_20110822:5:31608265:31619593:-1 gene:TCM_025271 transcript:EOY09904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase family protein isoform 1 MASVFLYHVVGDLTVGKPELVEFSETETVESAIRAIGESTECGIPVWKRRSHVGMIEKNEMRQQRFVGILTSLDIVSFLARTQCLEDQDKAMKAQVSDVVVPNNALLKIVDPGTRLIDALEMMKQGVRRLLVPKSKVWKGMSKRFSILYNGKWLKNIENGSSNNLITNANPPSSSSTPTYMRDKFCCLSREDIIRFLIGCLGALAPVPLSSISSLGAINLNYSSIEASLPALEATQKHPGDPSAVAVVEVTPDGHHKILGEISASKLWKCDYLAAAWALANLSAGQFVMGVEDNVSSRLLPDFSVNSAVQDNKIVNGVGSTRPRKFSSRSIGFNPVSPSFGVGRSMYRGRSAPLTCKTTSSLAAVMAQMLSHRATHVWVTEDENDDILVGVVGYADILVAVTKQPAAMIPTTRSINELGTEIQS >EOY09905 pep chromosome:Theobroma_cacao_20110822:5:31613287:31616158:-1 gene:TCM_025271 transcript:EOY09905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase family protein isoform 1 MMKQGVRRLLVPKSKVWKGMSKRFSILYNGKWLKNIENGSSNNLITNANPPSSSSTPTYMRDKFCCLSREDIIRFLIGCLGALAPVPLSSISSLGAINLNYSSIEASLPALEATQKHPGDPSAVAVVEVTPDGHHKILGEISASKLWKCDYLAAAWALANLSAGQFVMGVEDNVSSRLLPDFSVNSAVQDNKIVNGVGSTRPRKFSSRSIGFNPVSPSFGVGRSMYRGRSAPLTCKTTSSLAAVMAQMLSHRATHVWVTEDENDDILVGVVGYADILVAVTKQPAAMIPTTRSINELGTEIQS >EOY09291 pep chromosome:Theobroma_cacao_20110822:5:28047985:28054348:1 gene:TCM_024716 transcript:EOY09291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMNGLRISTKEVVDVEESSVKCSTRYRSEPGQDKGLAINVQSPIHSYATSGNSLKPHPLVSRRRKSYSALYSPITLNSLHDDDDLELICRHHGWWVEILTPLSVVRNGCMVQLPMMDPWKTLLPPYLIAAFWMRALKAVTILGLTTIPIDSSQDDVAYWTLTPNGEFSTRSAWESYKLCLRACYCAKSAILIIYG >EOY10794 pep chromosome:Theobroma_cacao_20110822:5:36550816:36556922:-1 gene:TCM_026107 transcript:EOY10794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MDSGDLNRALQYYKEAVKLKPTFPDAYLNLGNIYKALGMPQEAIVCYQRAVQTRPNNPIALGNLASMYYERGQLDMAILNYKQAIACDQRFLEAYNNLGNALKDVGRVDEAIQCYNQCLTLQPNHPQALTNLGNIYMEWNMVAAAASYYKATLVVTTGLSAPFNNLAVIYKQQGNYAEAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVSEAIQDYIRAINIRPNMAEAHANLASAYKDSGHAEAAVKSYKQALLLRPDFPEATCNLLHTLQCVCSWEDRDKLFAEVESIIRRQINMSVLPSVQPFHAIAYPIDPMLALDISRKYAAHCSLIASRFALPPFNHPAPIPIKSNGGNERLKVGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFCYALSQNDGTEWRQRVQSEAEHFIDVSAMSSDVIAKLINKDGIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGANYIDYLVTDEFVSPLRYSHIYSEKLVHLPHCYFVNDYKQKNRDVLEPACPHKRSDYGLPEDKFIFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLRFPAAGEMRLRAYAVAQGLQPEQIIFTDVAMKHEHIRRSALADLFLDTPLCNAHTTGTDILWAGLPMVTLPLEKMATRVAGSLCLATGFGEEMIVSSMKEYEERAVSLALNRPKLQALTNKLKAARLTCPLFDTARWVRNLERSYFKMWNLYCSGQQPQHFKVTENDYDFPYDR >EOY10793 pep chromosome:Theobroma_cacao_20110822:5:36549463:36558682:-1 gene:TCM_026107 transcript:EOY10793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MLSLQNGVGISRAAPYGFGVIDRADETTASAAAAAAAGKASAYAVKPEPASSFGIVPHKGHDSHEVDEDMHLALAHQMYKSGNYKQALDHSNSVYEQNPLRTDNLLLLGAIYYQLHDYDMCIAKNEEALRIEPRFAECYGNMANAWKEKGDIDVAIRYYMIAIELRPNFADAWSNLASAYMRKGRFNEAAQCCRQALQLNPLLVDAHSNLGNLMKAQGLVQEAYSCYLEALRIQPTFAIAWSNLAGLFMDSGDLNRALQYYKEAVKLKPTFPDAYLNLGNIYKALGMPQEAIVCYQRAVQTRPNNPIALGNLASMYYERGQLDMAILNYKQAIACDQRFLEAYNNLGNALKDVGRVDEAIQCYNQCLTLQPNHPQALTNLGNIYMEWNMVAAAASYYKATLVVTTGLSAPFNNLAVIYKQQGNYAEAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVSEAIQDYIRAINIRPNMAEAHANLASAYKDSGHAEAAVKSYKQALLLRPDFPEATCNLLHTLQCVCSWEDRDKLFAEVESIIRRQINMSVLPSVQPFHAIAYPIDPMLALDISRKYAAHCSLIASRFALPPFNHPAPIPIKSNGGNERLKVGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFCYALSQNDGTEWRQRVQSEAEHFIDVSAMSSDVIAKLINKDGIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGANYIDYLVTDEFVSPLRYSHIYSEKLVHLPHCYFVNDYKQKNRDVLEPACPHKRSDYGLPEDKFIFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLRFPAAGEMRLRAYAVAQGLQPEQIIFTDVAMKHEHIRRSALADLFLDTPLCNAHTTGTDILWAGLPMVTLPLEKMATRVAGSLCLATGFGEEMIVSSMKEYEERAVSLALNRPKLQALTNKLKAARLTCPLFDTARWVRNLERSYFKMWNLYCSGQQPQHFKAYNVLDKSRKQSSGQI >EOY07949 pep chromosome:Theobroma_cacao_20110822:5:3568799:3573613:1 gene:TCM_022280 transcript:EOY07949 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like superfamily protein isoform 1 MGILHDDVVIIRQSEKEGEPNVITVNCPDKTGLGCDLCRILLFFGLSIVRGDVSTDGKWCYIVFWVVCKPATRWGSSRWELLKKRLIGACPSCSSASGISYYRSELQPPKPPDVFLLKLCCYDRKGLLHDVTEVLCCLELNIKKVKVSTTPDGTVMDLFFVTDTRELLHTTKRQEETCKALEDVMQEAVISCNIQKVGPQITACSQASPFLPSQITEDIFHLEMSNKLPSVSLTSNSVSVTMDNSLSPAHTLVQIICQDYKGLLYDIMRTLKDYNIQAEIGRHMIGDREWEVYRVLLDEGASLSVPRRKVEEGVWKLLMGWE >EOY07948 pep chromosome:Theobroma_cacao_20110822:5:3568799:3572903:1 gene:TCM_022280 transcript:EOY07948 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like superfamily protein isoform 1 MGILHDDVVIIRQSEKEGEPNVITVNCPDKTGLGCDLCRILLFFGLSIVRGDVSTDGKWCYIVFWVVCKPATRWGSSRWELLKKRLIGACPSCSSASGISYYRSELQPPKPPDVFLLKLCCYDRKGLLHDVTEVLCCLELNIKKVKVSTTPDGTVMDLFFVTDTRELLHTTKRQEETCKALEDVMQEAVISCNIQKVGPQITACSQASPFLPSQITEDIFHLEMSNKLPSVSLTSNSVSVTMDNSLSPAHTLVQIICQDYKGLLYDIMRTLKDYNIQISYGRFYIKQGRKCEIDLFIMQADGKKIVDPSKQNALSSRLQMELLQPLRVAVMSRGPDTELLVANPVELSSKGRPLVFYDITLALKMLDTCIFSAEIGRHMIGDREWEVYRVLLDEGASLSVPRRKVEEGVWKLLMGWE >EOY08496 pep chromosome:Theobroma_cacao_20110822:5:9383539:9384068:1 gene:TCM_022974 transcript:EOY08496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase, putative MRRNVGKFIGWCLRENEFFLVYEYIPNNNLESHLHGNETSLPWYIWYKVAKNLVSAIQYLHEGAEKCAIHRDIKSENILLDKYFIAKLGDFGIAKLKGLRTSQTSVGP >EOY08226 pep chromosome:Theobroma_cacao_20110822:5:5804298:5806398:1 gene:TCM_022574 transcript:EOY08226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein, putative isoform 4 MVITESEIGEVESSLQVDQQQKNHPFSSLGRQSSIYSLTLDEFQHTVCEDGKNFGSMNMDEFITSIWNAEENQAINSNIYNQQSNCANKQVSSYVHLSLNETTSNNGIAKQPSLPRQGSLTLPAPLCRKTVDEVWSEMHKGQQGQGQSNNSNVENAENGTRQPTFGEMTLEDFLIKAGVREQCMLPAPPPQHQPQYGLYQSGNNAAGGPGFVSRPIMGVDSKGGFGGSAYQTMPPGGVIGDSSGYVNDCKRGSGYQPTAPPPTTVCYSGKVAAAGAYVRGQAMGVVSPMSPVSSEGMCNSQVDNAANHFGMDMGGLRGRKRIIEGPIEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELDQLKQENAHLKQALEELDRKRKQQVNYLTDSKCTEAGKKEDKEDAFA >EOY08229 pep chromosome:Theobroma_cacao_20110822:5:5802173:5806805:1 gene:TCM_022574 transcript:EOY08229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein, putative isoform 4 MVITESEIGEVESSLQVDQQQKNHPFSSLGRQSSIYSLTLDEFQHTVCEDGKNFGSMNMDEFITSIWNAEENQAINSNIYNQQSNCANKQVSSYVHLSLNETTSNNGIAKQPSLPRQGSLTLPAPLCRKTVDEVWSEMHKGQQGQGQSNNSNVENAENGTRQPTFGEMTLEDFLIKAGVREQCMLPAPPPQHQPQYGLYQSGNNAAGGPGFVSRPIMGVDSKGGFGGSAYQTMPPGGVIGDSSGYVNDCKRGSGYQPTAPPPTTVCYSGKVAAAGAYVRGQAMGVVSPMSPVSSEGMCNSQVDNAANHFGMDMGGLRGRKRIIEGPIEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELDQLKQENAHLKQALVPPLLL >EOY08224 pep chromosome:Theobroma_cacao_20110822:5:5801949:5807603:1 gene:TCM_022574 transcript:EOY08224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein, putative isoform 4 MVITESEIGEVESSLQVDQQQKNHPFSSLGRQSSIYSLTLDEFQHTVCEDGKNFGSMNMDEFITSIWNAEENQAINSNIYNQQSNCANKQVSSYVHLSLNETTSNNGIAKQPSLPRQGSLTLPAPLCRKTVDEVWSEMHKGQQGQGQSNNSNVENAENGTRQPTFGEMTLEDFLIKAGVREQCMLPAPPPQHQPQYGLYQSGNNAAGGPGFVSRPIMGVDSKGGFGGSAYQTMPPGGVIGDSSGYVNDCKRGSGYQPTAPPPTTVCYSGKVAAAGAYVRGQAMGVVSPMSPVSSEGMCNSQVDNAANHFGMDMGGLRGRKRIIEGPIEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELDQLKQENAHLKQALEELDRKRKQQYFEERKMITQTEAQKAKEKLRIIRRNLSCPL >EOY08225 pep chromosome:Theobroma_cacao_20110822:5:5801949:5807607:1 gene:TCM_022574 transcript:EOY08225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein, putative isoform 4 MVITESEIGEVESSLQVDQQQKNHPFSSLGRQSSIYSLTLDEFQHTVCEDGKNFGSMNMDEFITSIWNAEENQAINSNIYNQQSNCANKQVSSYVHLSLNETTSNNGIAKQPSLPRQGSLTLPAPLCRKTVDEVWSEMHKGQQGQGQSNNSNVENAENGTRQPTFGEMTLEDFLIKAGVREQCMLPAPPPQHQPQYGLYQSGNNAAGGPGFVSRPIMGVDSKGGFGGSAYQTMPPGGVIGDSSGYVNDCKRGSGYQPTAPPPTTVCYSGKVAAAGAYVRGQAMGVVSPMSPVSSEGMCNSQVDNAANHFGMDMGGLRGRKRIIEGPIEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELDQLKQENAHLKQALEELDRKRKQQYFEERKMITQTEAQKAKEKLRIIRRNLSCPL >EOY08227 pep chromosome:Theobroma_cacao_20110822:5:5803719:5806673:1 gene:TCM_022574 transcript:EOY08227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein, putative isoform 4 MVITESEIGEVESSLQVDQQQKNHPFSSLGRQSSIYSLTLDEFQHTVCEDGKNFGSMNMDEFITSIWNAEENQAINSNIYNQQSNCANKQVSSYVHLSLNETTSNNGIAKQPSLPRQGSLTLPAPLCRKTVDEVWSEMHKGQQGQGQSNNSNVENAENGTRQPTFGEMTLEDFLIKAGVREQCMLPAPPPQHQPQYGLYQSGNNAAGGPGFVSRPIMGVDSKGGFGGSAYQTMPPGGVIGDSSGYVNDCKRGSGYQPTAPPPTTVCYSGKVAAAGAYVRGQAMGVVSPMSPVSSEGMCNSQVDNAANHFGMDMGGLRGRKRIIEGPIEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELDQLKQENAHLKQALVPPLLL >EOY08228 pep chromosome:Theobroma_cacao_20110822:5:5802319:5807607:1 gene:TCM_022574 transcript:EOY08228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein, putative isoform 4 MVITESEIGEVESSLQVDQQQKNHPFSSLGRQSSIYSLTLDEFQHTVCEDGKNFGSMNMDEFITSIWNAEENQAINSNIYNQQSNCANKQVSSYVHLSLNETTSNNGIAKQPSLPRQGSLTLPAPLCRKTVDEVWSEMHKGQQGQGQSNNSNVENAENGTRQPTFGEMTLEDFLIKAGVREQCMLPAPPPQHQPQYGLYQSGNNAAGGPGFVSRPIMGVDSKGGFGGSAYQTMPPGGVIGDSSGYVNDCKRGSGYQPTAPPPTTVCYSGKVAAAGAYVRGQAMGVVSPMSPVSSEGMCNSQVDNAANHFGMDMGGLRGRKRIIEGPIEKVVERRQRRMIKNRESAARSRARKQTGIYSRARSRIGPIETRECPS >EOY10397 pep chromosome:Theobroma_cacao_20110822:5:34477869:34485453:1 gene:TCM_025760 transcript:EOY10397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLERLEFGVIVRKLMVKSVKTCYRSVWNHPFLVGLVCFLIFLYRSFPLLFSVLVTASPVLVCTAVLLGTLLSFGSPNIPEIDEKEEEEKVSHEVSSLKTKVTEDDTVVERDVGDDHFVVERHVGKRWDIVENADEKVSLVDNEVSEVEEDDGSVRYKPLVDEDLDSRDIHCENGVVDEVEGTMNDTLVKKKREIQEEILGSEGVLSAGKAAEDGHLLADEVGDRNLNVANGKLAADFSDILRGDELDASLVSSWKRVGDDEDGDDRDDDDDESMDSGSDGAESSSPDASMADIIPMLDELHPLLGSEAPQPAQMSHDGSDAASESSHGSSNDESVESDESENQGEEDNDDEEEEEEDEDEEEGAKGDKEDESKSAIKWTEDDQKNLMDLGTSELERNQRLENLIARRKARKNMRLMAEKNLIDLDSADIPLNIAPISTTRRNPFDLPYDAHDDLGLPPIPGSAPSILQPRRNPFDLPYDSSEEKPDLKGDSFQEEFSGFNQRETVSQREAFFRRHESFNVGPSSLGVPRQELKWKPYFVPERLVTEGASPSSFQRQSSEVSESKLSSVPDTESVSSIVDEEDNKPNEQDVSQETELILNGDHASVRDEQESQSSADVDEAEDRDVHHDVVEITLGDGESQLEMESSLSEAGATTNVELNANEIYPRTEPVEEDHSSRASLSSLSEIDEKISDVKREGSAGFELTDHDIKESGISTQPSFEESELHFTSRVVDDIQHREPVYDSSPSSVEKLLSFVSVSSDTQAEISEIGSPSMLVEPTDKELEGHGETTERGASSFEEMHAASSNLLIENEPRSRDLPEISEHDVTHAGSSGVSSASADHNVSMVAEPVVEVEHVSTGAGSSSLDEGLLEDVLVKEESFNQNQVELSSLGAETTLGVDQGINEVLDSSPEEQLHPMHPYESSEAEPVDHHAVDKEDTQLEQDEIHSSSSSEDNLVEGTVMPKEEINQTECDQMYSSNADASLDVDGDHDKGEELSSSALSCQHMPSNDVSSSTPEESSGHEVVAPVVHSSEADVIEEDKKDPEMDQVQSLCSGSKIDTGLDLDMDVEEIPSGSSYQDVPSRENSSPEAEKQLSWSDKSSDEPPIDVHDKLEEQSIFATESRGGVDIVNDDVNVHEVHDSKDILSTNFSSITSEPTSFPVESPEHTLPINREDLKYKILNEIESEGPKEASEHFNYAAEVYATHVDDENISEEVDEIKEIDEGILSELDTVGDFNVKEIGLPELSHVGYGESAMLPEDIKTETNVELPVLEARSVEDIDLAFKQLHDGVDVVKVILPSMIDNQQDPADTNSKLPVVDARSLEDIHSALQQVPESNPTELPHSLDLGNGSSEVEGHDVVSTKEIEFSNVVSGIQESSDSAAGEAKNEYEEASEKSSLSISDHKGKKAKSHDSSSSSSSSSSDSE >EOY08777 pep chromosome:Theobroma_cacao_20110822:5:19907987:19916446:1 gene:TCM_023913 transcript:EOY08777 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein isoform 2 MLRQGVGRLISKSKRRINLPAKSWNAPKEKAECVVIGAGIVGVAVARELSLKGKEVLVLDSAPTFGTATSSRNSEVIHAGIYYPSNSLKARFCVRGRNLLYQYCSQHGIPHKQIGKLIVATGASDIPKLNHLLNRGIQNGVEGLRMLDASEAITMEPELQCVKALLSPSSGIVDTHSLMLSLVAEAETKGTTFSYNTTVVGGHLEENQMALHVSESKSLGNWDGSTPLQPDLILSPKFVVNSSGLSASALAKRFHGLNTATIPPAYYARGSYFTLSNSTRLAPFKHLIYPIPEEGGLGVHVTLDLDGQLKFGPDVEWIRHIDDTSSFLNRHCILFSIVLEAKI >EOY08780 pep chromosome:Theobroma_cacao_20110822:5:19907974:19910300:1 gene:TCM_023913 transcript:EOY08780 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein isoform 2 MLRQGVGRLISKSKRRINLPAKSWNAPKEKAECVVIGAGIVGVAVARELSLKGKEVLVLDSAPTFGTATSSRNSEVIHAGIYYPSNSLKARFCVRGRNLLYQYCSQHGIPHKQIGKLIVATGASDIPKLNHLLNRGIQNGVEGLRMLDASEAITMEPELQCVKALLSPSSGIVDTHSLMLSLVAEAETKGTTFSYNTTVVGGHLEENQMALHVSESKSLGNWDGSTPLQPDLILSPKFVVNSSGLSASALAKRFHGLNTATIPPAYYARGSYFTLSNSTRLAPFKHLIYPIPEEGGLGVHVTLDLDGQLKFGPDVEWIRHIDDTSSFLNRSTIGRYVFLQLTRLWSHVEE >EOY08776 pep chromosome:Theobroma_cacao_20110822:5:19907974:19916845:1 gene:TCM_023913 transcript:EOY08776 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein isoform 2 MLRQGVGRLISKSKRRINLPAKSWNAPKEKAECVVIGAGIVGVAVARELSLKGKEVLVLDSAPTFGTATSSRNSEVIHAGIYYPSNSLKARFCVRGRNLLYQYCSQHGIPHKQIGKLIVATGASDIPKLNHLLNRGIQNGVEGLRMLDASEAITMEPELQCVKALLSPSSGIVDTHSLMLSLVAEAETKGTTFSYNTTVVGGHLEENQMALHVSESKSLGNWDGSTPLQPDLILSPKFVVNSSGLSASALAKRFHGLNTATIPPAYYARGSYFTLSNSTRLAPFKHLIYPIPEEGGLGVHVTLDLDGQLKFGPDVEWIRHIDDTSSFLNRFNYSVSADRVERFYPEIRKYYPNLKDGSLLPGYAGIRPKLSGPGQSACDFVIQEGEIHGVTGLVNLFGIESPGLTSSMAIAEYVAARLLG >EOY08779 pep chromosome:Theobroma_cacao_20110822:5:19907974:19916845:1 gene:TCM_023913 transcript:EOY08779 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein isoform 2 MLRQGVGRLISKSKRRINLPAKSWNAPKEKAECVVIGAGIVGVAVARELSLKGKEVLVLDSAPTFGTATSSRNSEVIHAGIYYPSNSLKARFCVRGRNLLYQYCSQHGIPHKQIGKLIVATGASDIPKLNHLLNRGIQNGVEGLRMLDASEAITMEPELQCVKALLSPSSGIVDTHSLMLSLVAEAETKGTTFSYNTTVVGGHLEENQMALHVSESKSLGNWDGSTPLQPDLILSPKFVVNSSGLSASALAKRFHGLNTATIPPAYYARGSYFTLSNSTRLAPFKHLIYPIPEEGGLGVHVTLDLDGQLKFGPDVEWIRHIDDTSSFLNRFNYSVSADRVERFYPEIRKYYPNLKDGSLLPGYAGIRPKLSGPGQSACDFEGEIHGVTGLVNLFGIESPGLTSSMAIAEYVAARLLG >EOY08778 pep chromosome:Theobroma_cacao_20110822:5:19908132:19910317:1 gene:TCM_023913 transcript:EOY08778 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein isoform 2 MLRQGVGRLISKSKRRINLPAKSWNAPKEKAECVVIGAGIVGVAVARELSLKGKEVLVLDSAPTFGTATSSRNSEVIHAGIYYPSNSLKARFCVRGRNLLYQYCSQHGIPHKQIGKLIVATGASDIPKLNHLLNRGIQNGVEGLRMLDASEAITMEPELQCVKALLSPSSGIVDTHSLMLSLVAEAETKGTTFSYNTTVVGGHLEENQMALHVSESKSLGNWDGSTPLQPDLILSPKFVVNSSGLSASALAKRFHGLNTATIPPAYYARGSYFTLSNSTRLAPFKHLIYPIPEEGGLGVHVTLDLDGQLKFGPDVEWIRHIDDTSSFLNRHCILFSIVLEAKI >EOY08929 pep chromosome:Theobroma_cacao_20110822:5:23274351:23285598:1 gene:TCM_024214 transcript:EOY08929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein isoform 4 MDANDDIPSPSPRIFDRYASSSDDDSQPSYPSLHSTNRRLDYMIQFLDRNLLPQHSSSPNAFLPEFVAKGGGQGIFTLPDRRALHPNRPPHLELRPHPLRETQFGRFLKTIATTDRQLWAGSESGAVRVWEFKDLYEEGEEEDAAPFRESSALSSNGNAAVTCMVGDEGNGLVWSGHRDGRIRGWKMDCESGGFKEWLSGQAHRGPVLSIIFTCYGDVWSGSEGGNIRIWPWEAIDNALSLTMEERHMASLLMERSFVDLRSQVAVNGFSSILNSDIKCLLSDNIRAKVWSAGYLSFALWDARTRELLKVVNIDGQIENRVELSLVPDFAMEDEIKMKIVTSSKKEKTQSSFGFFQQSRNAIMGAADAVRRVAAKGGFVDDSRRIEALTIAIDGMIWVGCANGLLIQWDGNGNRIQDFQHHPSAVLCLCSFGSQLWAGYASGTVQVLDLEGNRLGRWVAHSNSVLQMAIGAGYIYTLAKHGGIRGWNITSPGPLDSILRSELTAKGFLYTRIENLTILTGTWNVGQGRASMGSLETWLHSAVSDVGIIVIGLQEVEMGAGFLAMSAVRETVGRDGSAVGQWWLDLIDETLRDMMERKSQDMMGKKLHERKMYERVGSRQLASMLIAVWVEGNLKPHVGDIDAAAVPCGFGRAIGNKGAVGLRLRVYDRIFCFVNCHFAAHLEAVGRRNADFDHVYRTMTFSRPSNVFNTAAAGASSAVQMLRGANAMGAHSVEAMPELSEADMVVFLGDFNYRLDGVSYDEARDFISQRSFDWLRERDQLRAEMEAGNVFQGMREAVITFAPTYKFDKHIAGLSGYDSGEKKRIPAWCDRILYRDSRRTLGSECSLDSPVVSSVSQYESCMDVTDSDHKPVICIFSVEIARIDESVRRQEFGDVMRSNEEIRCKIEELYKIPETIVSTNNIILQNQDTSILRITNKCVESNALFEIVCQGESTIKDDGQASDHHPRGSFGFPLWLQVTPAAGIIKPDHVAEVSVHIEAFHTQEEFVDGFPQNWWCEDNRDKEAILVVKVHGRYATETRNHRIRVRHCSSAKMKKKDPKPNDSPQIQGNLLHRADYQRLSVSYDVVDHLRNLHSP >EOY08931 pep chromosome:Theobroma_cacao_20110822:5:23274581:23285572:1 gene:TCM_024214 transcript:EOY08931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein isoform 4 MDANDDIPSPSPRIFDRYASSSDDDSQPSYPSLHSTNRRLDYMIQFLDRNLLPQHSSSPNAFLPEFVAKGGGQGIFTLPDRRALHPNRPPHLELRPHPLRETQFGRFLKTIATTDRQLWAGSESGAVRVWEFKDLYEEGEEEDAAPFRESSALSSNGNAAVTCMVGDEGNGLVWSGHRDGRIRGWKMDCESGDVWSGSEGGNIRIWPWEAIDNALSLTMEERHMASLLMERSFVDLRSQVAVNGFSSILNSDIKCLLSDNIRAKVWSAGYLSFALWDARTRELLKVVNIDGQIENRVELSLVPDFAMEDEIKMKIVTSSKKEKTQSSFGFFQQSRNAIMGAADAVRRVAAKGGFVDDSRRIEALTIAIDGMIWVGCANGLLIQWDGNGNRIQDFQHHPSAVLCLCSFGSQLWAGYASGTVQVLDLEGNRLGRWVAHSNSVLQMAIGAGYIYTLAKHGGIRGWNITSPGPLDSILRSELTAKGFLYTRIENLTILTGTWNVGQGRASMGSLETWLHSAVSDVGIIVIGLQEVEMGAGFLAMSAVRETVGRDGSAVGQWWLDLIDETLRDMMERKSQDMMGKKLHERKMYERVGSRQLASMLIAVWVEGNLKPHVGDIDAAAVPCGFGRAIGNKGAVGLRLRVYDRIFCFVNCHFAAHLEAVGRRNADFDHVYRTMTFSRPSNVFNTAAAGASSAVQMLRGANAMGAHSVEAMPELSEADMVVFLGDFNYRLDGVSYDEARDFISQRSFDWLRERDQLRAEMEAGNVFQGMREAVITFAPTYKFDKHIAGLSGYDSGEKKRIPAWCDRILYRDSRRTLGSECSLDSPVVSSVSQYESCMDVTDSDHKPVICIFSVEIARIDESVRRQEFGDVMRSNEEIRCKIEELYKIPETIVSTNNIILQNQDTSILRITNKCVESNALFEIVCQGESTIKDDGQASDHHPRGSFGFPLWLQVTPAAGIIKPDHVAEVSVHIEAFHTQEEFVDGFPQNWWCEDNRDKEAILVVKVHGRYATETRNHRIRVRHCSSAKMKKKDPKPNDSPQIQGNLLHRADYQRLSVSYDVVDHLRNLHSP >EOY08930 pep chromosome:Theobroma_cacao_20110822:5:23274585:23285585:1 gene:TCM_024214 transcript:EOY08930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein isoform 4 MDANDDIPSPSPRIFDRYASSSDDDSQPSYPSLHSTNRRLDYMIQFLDRNLLPQHSSSPNAFLPEFVAKGGGQGIFTLPDRRALHPNRPPHLELRPHPLRETQFGRFLKTIATTDRQLWAGSESGAVRVWEFKDLYEEGEEEDAAPFRESSALSSNGNAAVTCMVGDEGNGLVWSGHRDGRIRGWKMDCESGGFKEWLSGQAHRGPVLSIIFTWDVWSGSEGGNIRIWPWEAIDNALSLTMEERHMASLLMERSFVDLRSQVAVNGFSSILNSDIKCLLSDNIRAKVWSAGYLSFALWDARTRELLKVVNIDGQIENRVELSLVPDFAMEDEIKMKIVTSSKKEKTQSSFGFFQQSRNAIMGAADAVRRVAAKGGFVDDSRRIEALTIAIDGMIWVGCANGLLIQWDGNGNRIQDFQHHPSAVLCLCSFGSQLWAGYASGTVQVLDLEGNRLGRWVAHSNSVLQMAIGAGYIYTLAKHGGIRGWNITSPGPLDSILRSELTAKGFLYTRIENLTILTGTWNVGQGRASMGSLETWLHSAVSDVGIIVIGLQEVEMGAGFLAMSAVRETVGRDGSAVGQWWLDLIDETLRDMMERKSQDMMGKKLHERKMYERVGSRQLASMLIAVWVEGNLKPHVGDIDAAAVPCGFGRAIGNKGAVGLRLRVYDRIFCFVNCHFAAHLEAVGRRNADFDHVYRTMTFSRPSNVFNTAAAGASSAVQMLRGANAMGAHSVEAMPELSEADMVVFLGDFNYRLDGVSYDEARDFISQRSFDWLRERDQLRAEMEAGNVFQGMREAVITFAPTYKFDKHIAGLSGYDSGEKKRIPAWCDRILYRDSRRTLGSECSLDSPVVSSVSQYESCMDVTDSDHKPVICIFSVEIARIDESVRRQEFGDVMRSNEEIRCKIEELYKIPETIVSTNNIILQNQDTSILRITNKCVESNALFEIVCQGESTIKDDGQASDHHPRGSFGFPLWLQVLLQPLV >EOY08932 pep chromosome:Theobroma_cacao_20110822:5:23274581:23285572:1 gene:TCM_024214 transcript:EOY08932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein isoform 4 MDANDDIPSPSPRIFDRYASSSDDDSQPSYPSLHSTNRRLDYMIQFLDRNLLPQHSSSPNAFLPEFVAKGGGQGIFTLPDRRALHPNRPPHLELRPHPLRETQFGRFLKTIATTDRQLWAGSESGAVRVWEFKDLYEEGEEEDAAPFRESSALSSNGNAAVTCMVGDEGNGLVWSGHRDGRIRGWKMDCESGDVWSGSEGGNIRIWPWEAIDNALSLTMEERHMASLLMERSFVDLRSQVAVNGFSSILNSDIKCLLSDNIRAKVWSAGYLSFALWDARTRELLKVVNIDGQIENRVELSLVPDFAMEDEIKMKIVTSSKKEKTQSSFGFFQQSRNAIMGAADAVRRVAAKGGFVDDSRRIEALTIAIDGMIWVGCANGLLIQWDGNGNRIQDFQHHPSAVLCLCSFGSQLWAGYASGTVQVLDLEGNRLGRWVAHSNSVLQMAIGAGYIYTLAKHGGIRGWNITSPGPLDSILRSELTAKGFLYTRIENLTILTGTWNVGQGRASMGSLETWLHSAVSDVGIIVIGLQEVEMGAGFLAMSAVRETVGRDGSAVGQWWLDLIDETLRDMMERKSQDMMGKKLHERKMYERVGSRQLASMLIAVWVEGNLKPHVGDIDAAAVPCGFGRAIGNKGAVGLRLRVYDRIFCFVNCHFAAHLEAVGRRNADFDHVYRTMTFSRPSNVFNTAAGMALYLFLPCILACSMYLFWLVYRSSLPLVLSIAAGASSAVQMLRGANAMGAHSVEAMPELSEADMVVFLGDFNYRLDGVSYDEARDFISQRSFDWLRERDQLRAEMEAGNVFQGMREAVITFAPTYKFDKHIAGLSGYDSGEKKRIPAWCDRILYRDSRRTLGSECSLDSPVVSSVSQYESCMDVTDSDHKPVICIFSVEIARIDESVRRQEFGDVMRSNEEIRCKIEELYKIPETIVSTNNIILQNQDTSILRITNKCVESNALFEIVCQGESTIKDDGQASDHHPRGSFGFPLWLQVTPAAGIIKPDHVAEVSVHIEAFHTQEEFVDGFPQNWWCEDNRDKEAILVVKVHGRYATETRNHRIRVRHCSSAKMKKKDPKPNDSPQIQGNLLHRADYQRLSVSYDVVDHLRNLHSP >EOY10611 pep chromosome:Theobroma_cacao_20110822:5:35577210:35583322:-1 gene:TCM_025932 transcript:EOY10611 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein, putative MECNKEEAVRAKGIAEQKMQNGDFEGAKKFALKAQKLFPELENISQFLTVCNVHCCAKCKLYGSEMDWYGILQIEQSADEISIKKQYRKLALLLHPDKNKFAGAEAAFKLIGEANRILTDQMRRSQYDMKCRISARTAPKPTTHQSNRASSFNSQYGSTNNYQNGSSKFTASYSYQQAQHLTFWTFCSACGIKYQYHKDFVNRLLHCPTCGTSFIARDLGPQGLSRGYPGNQFSNQKEVPNQGPCKVSSQCNGGKPSGVHFPHGYAGSDPISKARSSVPVGDSKKQEKVGVQMHQPHKGFSAEQKVDGFSNVRDGKKGVEIPKPNAAKTKGSGASRNAKKKRGRKSIEESDESCETSHGNEVEDMVSHEDCGNNTRMNSKVNGGHRPRRSSREKRHVSYEEKLSDDDDFVSSPYKRSKVTTTPNANDEKVDDSVSKKDNSVGPTAAVDVCQKEVKQKASAPPEGTIPNKKRKTGESEGKEEEPVVFDNNNEVSQVDGGSGTSENVNPCPQVLEYPDPDFSDFEKHRAENCFAVNQVWAIYDTLDGMPRFYARVKKVFTPGFKLRITWLEPNPDEENQQNWVDLDLPVSCGKYCNGSSEGCVDRLMFSHRIDPIKSFGKCSFLVYPQKGETWALYRDWDVKWASEPEKHKPPYQYDFVEVLTDFDEEIGIGVAHLGKVKGFVSIFRQTERDGVISFQVSPRELYRFSHRIPSCRMTGKEREGVPLGSFELDPASLPTNLDELVDPGDMKLGNHYQDNEAKFSCPKFPQNQAKATIDSEENLTPMKNDKSDIEREASPFRRSTRASSRMRKDHGKEDDSIKDIHECNITQHKESCTSGDTGDQKINTPNKCKKNDLTTDCLKPRTSSRDLSRKGSQVSNTQGSASFSPPLGDVHLSERDGSTIGVTKSSSVSTRVSSAHRTSKSECYNFKREKFEDKFEVDQIWALYNRDGMPEDYAQVKKIESTPDFRLHVTLLEMCSRPKDLELSPSCGIFKVKGSQTKVVSCDVVSHRLRAEPIGKNRYKIYTTQEEV >EOY11125 pep chromosome:Theobroma_cacao_20110822:5:38051782:38053538:-1 gene:TCM_026394 transcript:EOY11125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRDFPSCFGENGVQVADSSSSNTSKNAQNLVTCVYQCRIRGRSCLITVTWSKNLMGQGLSVGIDDSANQCLCKVDIKPWLFSKRKGSKSLEAYSCKIDIYWDLSSAKFGSGPEPLEGFYVGVVADKQMVLLLGDMRKEAYKKTGATPVPSTAVYVAKKEHVFGKKVFGTKAQFCDNGQIHDLIIECDTIGVNDPCLIIRLDGKTLMQVKRLRWKFRGNHTILVDGMAVEVYWDVHNWLFGASLGNAVFMFKTFLAAEEKLWVSQPIPNPSTLQWSFSQRFQDSKSQNLGFSLILYAWKNE >EOY11055 pep chromosome:Theobroma_cacao_20110822:5:37696481:37697365:-1 gene:TCM_026324 transcript:EOY11055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related protein P2 MKMERFCILLLACLVASAAAQSASNVRATYHLYNPEQNNWDLTAVSAFCATWDANKPLEWRRKYGWTAFCGPAGPRGQAACGRCLRVTNTGTGAQATVRIVDQCSNGGLDLDVNVFRQLDTNGNGIAQGHLIVNYDFVDCGD >EOY09259 pep chromosome:Theobroma_cacao_20110822:5:27763508:27765713:1 gene:TCM_024676 transcript:EOY09259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEHKENRVVRRGVKRSHTTDEEVDTHLTIKAHSVAEAHAASVAVVDDQVRNPPLHPQMHTSFKRKMKRSRSMGEQDGASTEEGIGNGVYLDEPIGINDPIASVQSSPPIALYNVPFKSLTITEATYLAKGPTTPAPHPHRIPTSPKAAQHAQSTPSLEASPPSPVEDAQIILLGLNRELRPLPTDKIFKQRNVVLPKHLIEYVKGVSPSRGLPWHEVD >EOY09480 pep chromosome:Theobroma_cacao_20110822:5:29419968:29425591:-1 gene:TCM_024898 transcript:EOY09480 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MMGTAAAPGTYMATIKPCISSSRKMLVSSAVNISTENKEASWTRLASSSHISLRQPFLRKITPGPVKFEKFVTRAISETNDNKPLPGLPIDLRGKRAFIAGVADDNGYGWAIAKSLAAAGAEIIVGTWVPALNIFESSLRRGKFDESRVLPDGSLMEITKVYPMDAVYDCPEDVPEDVKTNKRYAGSSNWTVQEVVECVKKDFGSIDILVHSLANGPEVSKPLLETSRNGYLAALSASSYSFVSLLRNFLPIMNPGGASISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHKVRVNTISAGPLRSRAAKAIGFIDMMIDYSLANAPLQKELSAEEVGNAAAFLASPMSSAITGAVVYVDNGLNAMGVGVDSPVFADLDIPKDN >EOY09482 pep chromosome:Theobroma_cacao_20110822:5:29420122:29425662:-1 gene:TCM_024898 transcript:EOY09482 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MMGTAAAPGTYMATIKPCISSSRKMLVSSAVNISTENKEASWTRLASSSHISLRQPFLRKITPGPVKFEKFVTRAISETNDNKPLPGLPIDLRGKRAFIAGVADDNGYGWAIAKSLAAAGAEIIVGTWVPALNIFESSLRRGKFDESRVLPDGSLMEITKVYPMDAVYDCPEDVPEDVKTNKRYAGSSNWTVQEVVECVKKDFGSIDILVHSLANGPEVSKPLLETSRNGYLAALSASSYSFVSLLRNFLPIMNPGGASISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHKVRVNTISAGDVMGVCALQLDKPIWEF >EOY09481 pep chromosome:Theobroma_cacao_20110822:5:29420122:29425843:-1 gene:TCM_024898 transcript:EOY09481 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MMGTAAAPGTYMATIKPCISSSRKMLVSSAVNISTENKEASWTRLASSSHISLRQPFLRKITPGPVKFEKFVTRAISETNDNKPLPGLPIDLRGKRAFIAGVADDNGYGWAIAKSLAAAGAEIIVGTWVPALNIFESSLRRGKFDESRVLPDGSLMEITKVYPMDAVYDCPEDVPEDVKTNKRYAGSSNWTVQEVVECVKKDFGSIDILVHSLANGPEVSKPLLETSRNGYLAALSASSYSFVSLLRNFLPIMNPGGASISLTYIASERIIPGYGGGMSSAKAALESDTRVVLAFEAGRKHKVRVNTISAGPLRSRAAKAIGFIDMMIDYSLANAPLQKELSAEEVGNAAAFLASPMSSAITGAVVYVDNGLNAMGVGVDSPVFADLDIPKDN >EOY09987 pep chromosome:Theobroma_cacao_20110822:5:32095967:32097529:-1 gene:TCM_025356 transcript:EOY09987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLVSTYENERIKEIMELKVKGRVYKLLRNKIQLIEKDAQVEEKNSIKNTENSPWEISSSKKLKGSGNEEEKRVDMSSMNEVEMIELVKLDCARK >EOY08468 pep chromosome:Theobroma_cacao_20110822:5:8872005:8880030:-1 gene:TCM_022926 transcript:EOY08468 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 5 MVRSKAPSKKQQKKGIDFKKIKRKLGRKLPPPTNATNTEIKSKAIVLPEQSVATNKEGLAVSKKGLTLKELLQQTSHHNAKVRRDALMGIKDLVLKHPAELRLHRYAVIEKLRERISDDDKVVREALYQLFKSEIFPGCAEDNQGLFISLVMTYIFNAMTNLSIDIRLMAFRFFDLVVQYHPPCFSLYAEKILQSYEDILRKNQFYLEDKGKLKSTLYGLVRCLSLLPSKKPGCQKNILGERKIHAFEPDLPTENTGFSVIIKKLKELVLVLINCFQDFIPLLNSMPQLDAQSFDCILSILQSIDIAVRFFIYGNHEESPEANPLQVTWDQTLLSGLSKKLLGVFPLYPKHHLSVKEDDRYFILNIVITEIFLHLREWICPSANVFAKFLEFMENALLGKTCSSTRSGKATWEKHVPSLLPFIPKLVSEVTTDWQSHLLEAFTKTFRDCNPESSLKLACLSMIEEMLIPRGDMHYTEASDPVALDYQTIWIRELPLLLILLGDKWPSSSQVVLHLLLRLGQFACWNSSLIWEYENTQFALCEFYSTCREGNIYYGPFMRLPRDSQELSICCLYYFSNFSTLLLKAITSCCLCPELEPYVLFRIIEVVHAAYKAGHIQFADHISFFITLLSRFKVYPENICPVKESDVQISNCGTFKSLTCMVCSCLSQMGDSSVVFRILEKAILDLISLKPQLDNACAMLRVLIMLDSKPTRLSEQSIIALSNFLPGYLMDVVHCIPEVDGNEVAVSNHVQTCRYYLLPCFFLFDRSNQLVKLFLNVMGSSLTDSSLSLESHNSTQYVTDSLSRMNITVSLLLLIYKDVKVQKIMSLFRTEIGSIMQSIASLQVWFCSVNFSSMKSPYLCKQNLKYV >EOY08469 pep chromosome:Theobroma_cacao_20110822:5:8871371:8880036:-1 gene:TCM_022926 transcript:EOY08469 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 5 STMVRSKAPSKKQQKKGIDFKKIKRKLGRKLPPPTNATNTEIKSKAIVLPEQSVATNKEGLAVSKKGLTLKELLQQTSHHNAKVRRDALMGIKDLVLKHPAELRLHRYAVIEKLRERISDDDKVVREALYQLFKSEIFPGCAEDNQGLFISLVMTYIFNAMTNLSIDIRLMAFRFFDLVVQYHPPCFSLYAEKILQSYEDILRKNQFYLEDKGKLKSTLYGLVRCLSLLPSKKPGCQKNILGERKIHAFEPDLPTENTGFSVIIKKLKELVLVLINCFQDFIPLLNSMPQLDAQSFDCILSILQSIDIAVRFFIYGNHEESPEANPLQVTWDQTLLSGLSKKLLGVFPLYPKHHLSVKEDDRYFILNIVITEIFLHLREWICPSANVFAKFLEFMENALLGKTCSSTRSGKATWEKHVPSLLPFIPKLVSEVTTDWQSHLLEAFTKTFRDCNPESSLKLACLSMIEEMLIPRGDMHYTEASDPVALDYQTIWIRELPLLLILLGDKWPSSSQVVLHLLLRLGQFACWNSSLIWEYENTQFALCEFYSTCREGNIYYGPFMRLPRDSQELSICCLYYFSNFSTLLLKAITSCCLCPELEPYVLFRIIEVVHAAYKAGHIQFADHISFFITLLSRFKVYPENICPVKESDVQISNCGTFKSLTCMVCSCLSQMGDSSVVFRILEKAILDLISLKPQLDNACAMLRVLIMLDSKPTRLSEQSIIALSNFLPGYLMDVVHCIPEVDGNEVAVSNHVQTCRYYLLPCFFLFDRSNQLVKLFLNSSEVNMTIEE >EOY08465 pep chromosome:Theobroma_cacao_20110822:5:8870060:8880796:-1 gene:TCM_022926 transcript:EOY08465 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 5 MWVGSYSDPSPQTLFKLPRPKTENPFKGGPKAPTRLHSLNPCTRWQVGTRVFILQRKLYSIIFLGSQTVIFSTMVRSKAPSKKQQKKGIDFKKIKRKLGRKLPPPTNATNTEIKSKAIVLPEQSVATNKEGLAVSKKGLTLKELLQQTSHHNAKVRRDALMGIKDLVLKHPAELRLHRYAVIEKLRERISDDDKVVREALYQLFKSEIFPGCAEDNQGLFISLVMTYIFNAMTNLSIDIRLMAFRFFDLVVQYHPPCFSLYAEKILQSYEDILRKNQFYLEDKGKLKSTLYGLVRCLSLLPSKKPGCQKNILGERKIHAFEPDLPTENTGFSVIIKKLKELVLVLINCFQDFIPLLNSMPQLDAQSFDCILSILQSIDIAVRFFIYGNHEESPEANPLQVTWDQTLLSGLSKKLLGVFPLYPKHHLSVKEDDRYFILNIVITEIFLHLREWICPSANVFAKFLEFMENALLGKTCSSTRSGKATWEKHVPSLLPFIPKLVSEVTTDWQSHLLEAFTKTFRDCNPESSLKLACLSMIEEMLIPRGDMHYTEASDPVALDYQTIWIRELPLLLILLGDKWPSSSQVVLHLLLRLGQFACWNSSLIWEYENTQFALCEFYSTCREGNIYYGPFMRLPRDSQELSICCLYYFSNFSTLLLKAITSCCLCPELEPYVLFRIIEVVHAAYKAGHIQFADHISFFITLLSRFKVYPENICPVKESDVQISNCGTFKSLTCMVCSCLSQMGDSSVVFRILEKAILDLISLKPQLDNACAMLRVLIMLDSKPTRLSEQSIIALSNFLPGYLMDVVHCIPEVDGNEVAVSNHVQTCRYYLLPCFFLFDRSNQLVKLFLNVMGSSLTDSSLSLESHNSTQYVTDSLSRMNITVSLLLLIYKDVKVQKIMSLFRTEIGSIMQSIASLQSSEVNMTIEERHKFQCSFERLKIVASSSPVVYIDDASISLSGA >EOY08466 pep chromosome:Theobroma_cacao_20110822:5:8870057:8880036:-1 gene:TCM_022926 transcript:EOY08466 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 5 MVRSKAPSKKQQKKGIDFKKIKRKLGRKLPPPTNATNTEIKSKAIVLPEQSVATNKEGLAVSKKGLTLKELLQQTSHHNAKVRRDALMGIKDLVLKHPAELRLHRYAVIEKLRERISDDDKVVREALYQLFKSEIFPGCAEDNQGLFISLVMTYIFNAMTNLSIDIRLMAFRFFDLVVQYHPPCFSLYAEKILQSYEDILRKNQFYLEDKGKLKSTLYGLVRCLSLLPSKKPGCQKNILGERKIHAFEPDLPTENTGFSVIIKKLKELVLVLINCFQDFIPLLNSMPQLDAQSFDCILSILQSIDIAVRFFIYGNHEESPEANPLQVTWDQTLLSGLSKKLLGVFPLYPKHHLSVKEDDRYFILNIVITEIFLHLREWICPSANVFAKFLEFMENALLGKTCSSTRSGKATWEKHVPSLLPFIPKLVSEVTTDWQSHLLEAFTKTFRDCNPESSLKLACLSMIEEMLIPRGDMHYTEASDPVALDYQTIWIRELPLLLILLGDKWPSSSQVVLHLLLRLGQFACWNSSLIWEYENTQFALCEFYSTCREGNIYYGPFMRLPRDSQELSICCLYYFSNFSTLLLKAITSCCLCPELEPYVLFRIIEVVHAAYKAGHIQFADHISFFITLLSRFKVYPENICPVKESDVQISNCGTFKSLTCMVCSCLSQMGDSSVVFRILEKAILDLISLKPQLDNACAMLRVLIMLDSKPTRLSEQSIIALSNFLPGYLMDVVHCIPEVDGNEVAVSNHVQTCRYYLLPCFFLFDRSNQLVKLFLNVMGSSLTDSSLSLESHNSTQYVTDSLSRMNITVSLLLLIYKDVKVQKIMSLFRTEIGSIMQSIASLQSSEVNMTIEERHKFQCSFERLKIVASSSPVG >EOY08467 pep chromosome:Theobroma_cacao_20110822:5:8870057:8880036:-1 gene:TCM_022926 transcript:EOY08467 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 5 MVRSKAPSKKQQKKGIDFKKIKRKLGRKLPPPTNATNTEIKSKAIVLPEQSVATNKEGLAVSKKGLTLKELLQQTSHHNAKVRRDALMGIKDLVLKHPAELRLHRYAVIEKLRERISDDDKVVREALYQLFKSEIFPGCAEDNQGLFISLVMTYIFNAMTNLSIDIRLMAFRFFDLVVQYHPPCFSLYAEKILQSYEDILRKNQFYLEDKGKLKSTLYGLVRCLSLLPSKKPGCQKNILGERKIHAFEPDLPTENTGFSVIIKKLKELVLVLINCFQDFIPLLNSMPQLDAQSFDCILSILQSIDIAVRFFIYGNHEESPEANPLQVTWDQTLLSGLSKKLLGVFPLYPKHHLSVKEDDRYFILNIVITEIFLHLREWICPSANVFAKFLEFMENALLGKTCSSTRSGKATWEKHVPSLLPFIPKLVSEVTTDWQSHLLEAFTKTFRDCNPESSLKLACLSMIEEMLIPRGDMHYTEASDPVALDYQTIWIRELPLLLILLGDKWPSSSQVVLHLLLRLGQFACWNSSLIWEYENTQFALCEFYSTCREGNIYYGPFMRLPRDSQELSICCLYYFSNFSTLLLKAITSCCLCPELEPYVLFRIIEVVHAAYKAGHIQFADHISFFITLLSRFKVYPENICPVKESDVQISNCGTFKSLTCMVCSCLSQMGDSSVVFRILEKAILDLISLKPQLDNACAMLRVLIMLDSKPTRLSEQSIIALSNFLPGYLMDVVHCIPEVDGNEVAVSNHVQTCRYYLLPCFFLFDRSNQLVKLFLNVMGSSLTDSSLSLESHNSTQYVTDSLSRMNITVSLLLLIYKDVKVQKIMSLFRTEIGSIMQSIASLQSSEVNMTIEERHKFQCSFERLKIVASSSPVG >EOY08325 pep chromosome:Theobroma_cacao_20110822:5:6358744:6362148:-1 gene:TCM_022664 transcript:EOY08325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sorting nexin 1 isoform 2 MEQQRSLSGSSQSPRSPSSQPYLSVSVTDPVKLGNGVQAYISYRVITKTNFPEYQGPEKIVIRRYNDFVWLRDRLFEKYKGIFIPPLPEKSAVEKFRFSAEFIEMRRQALDVFVNRIAAHNDLQQSEDLRTFLQADEETMERLRSQETGIFKKKPADFMQILKDVQSKVSDVVLGKEKPVEESNPEYEKLKHYVFELENHLTEAQKHAYRLVKRHKELGQSLSDFGKAVKLLGACEGQALGKAFSELGAKSETLSVKLQKEAHQLLMNFEEPLKDYVRAVQSIKVSGAAVLCNI >EOY08324 pep chromosome:Theobroma_cacao_20110822:5:6357980:6362144:-1 gene:TCM_022664 transcript:EOY08324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sorting nexin 1 isoform 2 MITAQRSLSGSSQSPRSPSSQPYLSVSVTDPVKLGNGVQAYISYRVITKTNFPEYQGPEKIVIRRYNDFVWLRDRLFEKYKGIFIPPLPEKSAVEKFRFSAEFIEMRRQALDVFVNRIAAHNDLQQSEDLRTFLQADEETMERLRSQETGIFKKKPADFMQILKDVQSKVSDVVLGKEKPVEESNPEYEKLKHYVFELENHLTEAQKHAYRLVKRHKELGQSLSDFGKAVKLLGACEGQALGKAFSELGAKSETLSVKLQKEAHQLLMNFEEPLKDYVRAVQSIKATIGERANAFRQQCELAETMKLKEINLDKLMLTRSDRVGEAEHEYRELKAESEEATRRFETIVRLMNEEIVRFQEQKTQDMGIAFHEFAKGQARLANSIADAWRSLLPKLEACSST >EOY07152 pep chromosome:Theobroma_cacao_20110822:5:640093:644351:-1 gene:TCM_021660 transcript:EOY07152 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family protein isoform 1 MSSVLNTVSSLRLSRIYRNYSNNNHLRVPTQSVSTPASVSFTRRKLTVRAADTDTNEVKSKAPDKAPAGGGSSFNQLLGIKGAAQETNKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWNLEDVAKSILCMIMSGPCLTGYTQTLNDWYDREIDAINEPYRPIPSGAISENEVVTQIWLLLLGGIGIAGLLDVWAGHDIPIVFYLALGGSLLSYIYSAPPLKLKQNGWFGNFALGASYISLPWWAGQALFGTLTPDIIVLTLLYSIAGFGIAIVNDFKSVEGDKALGLQSIPVAFGAETAKWICVGAIDITQISVAGYLLGAGKPYYALALLALIVPQVVFQQFQYFLKDPVKYDVKYQASAQPFLVLGLLVTALAMSH >EOY07151 pep chromosome:Theobroma_cacao_20110822:5:640148:644305:-1 gene:TCM_021660 transcript:EOY07151 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family protein isoform 1 MSSVLNTVSSLRLSRIYRNYSNNNHLRVPTQSVSTPASVSFTRRKLTVRAADTDTNEVKSKAPDKAPAGGGSSFNQLLGIKGAAQETNKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWNLEDVAKSILCMIMSGPCLTGYTQTLNDWYDREIDAINEPYRPIPSGAISENEVVTQIWLLLLGGIGIAGLLDVWAGHDIPIVFYLALGGSLLSYIYSAPPLKLKQNGWFGNFALGASYISLPCLFTLFGYVLKYVTYILDFHFVPNLANRWAGQALFGTLTPDIIVLTLLYSIAGFGIAIVNDFKSVEGDKALGLQSIPVAFGAETAKWICVGAIDITQISVAGYLLGAGKPYYALALLALIVPQVVFQFQYFLKDPVKYDVKYQASAQPFLVLGLLVTALAMSH >EOY09240 pep chromosome:Theobroma_cacao_20110822:5:27405076:27405867:1 gene:TCM_024641 transcript:EOY09240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKYMELLDAGVRIAARFHSHCPQTARLYYHPPSNPEGHHDYHHEARAGSGSTGQVQDPTLVLSCGAKAAKGLESTELIFYSVVIGDALIQEGVRLYILFKNLVEGGSSYVKQ >EOY10130 pep chromosome:Theobroma_cacao_20110822:5:33113160:33118012:-1 gene:TCM_046801 transcript:EOY10130 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MSETGKVVCVTGASGYIASWLVKFLLQRGYTVKATVRDPSDPKKTEHLLALDGAKERLHLFKAELLDEGAFDSVVDGCVGVFHTASPFYHNIKDPQAEMIDPAVKGTLNVLRSCAKVPSIKRVVITSSVAAVVYNGRPLGPDVKVDETWFSDPTFCEKSKLWYMLSKTLAEEAAWKYAKDNGIDMVTVNPGLVIGPLLQPTINASVEPILKLINGAETFPNTNYRLIDVRDVANAHILAYENSSACGRYLLVERPMPCSEIVQALRELYPALRLPEKCADEKLAIPAFQVSKDRAKSLGVKFTPIEVSIKDTVESLKEKNFFSG >EOY08020 pep chromosome:Theobroma_cacao_20110822:5:4008537:4017971:-1 gene:TCM_022344 transcript:EOY08020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase with RING/FYVE/PHD-type zinc finger domain isoform 2 MKRELEFGLEGSLGPTRESLTQSQTQARSSTSCKRVKVTQVNGYIVYTRVKKSRINCRDEFSENLENKKFENCNEQINGVKECLVGEDQKNKTLIEVSHGNNNVIEDVIGGRPGNQNVAGENVLVKNVVDESLVVRDIGEGGPFVEASIEESRVIGENAIVGNLVVEEIGRDGRLVLQARLGEGSSYNLAMVMSKDERSNELGTCLVEEGGLGEASMQIVDSSEGKTDLLLKTLRRSKRSLLRPKVEPLDSLECEQHTVVNVSVSSFGGEEAAEGSDLTTPRKKLELKMSKKIALNKCPMTVKELFDTGLLDGVPVVYMGTISSKTAGLRGIITDGGILCSCSLCKGRRVVPPSQFEIHACKQYKRAAQYICFENGKSLLEVLRACRRRPLHTLEATIQNILSALPEQKCFTCRRCKGSFPVIHVGQIGPLCNSCVESKKSQCSTMSAPSGVARSQEPVLISHSSGSSSVGILPQSTSQWKMTRKSQEPVLMSQSFGSASSSVSPQNKSQWKKARKSSELDVTANSPHCASLCISSQNRSPWKTTRKLTKPGLFTKSLKSASVHISSQDKAQWRIKKKPIKPVLMSKTLKGVSSPMYSPNGSQWKMTTKDQRLHKLVFEEDGLPDGTEVAYYARGQVSPSQFEAHAGWASRRKPYAYIYTSNGVSLHELAISLSKGRRYSAKDNDDACIICADGGNLLLCDGCPRAFHKECASLPTIPRGRWYCKYCQNMFMREKFVEHNANAVAAGRILGVDAIEQITSRCIRIVKNIEAELSGCALCRACDFSKSGFGPRTILLCDQCEKEYHIGCLRTHKMADLREIPRGKWFCCSDCSRIHSILQKLLIREAEKLPDSLLDVIRKKYVEKGLDADINIDVRWRLLSGKFASPETRLLLSQAVGIFHECFDPIVDATTGRDLIPCMVYGRNLKGQEYGGMYCAVLTINSFVVSAGIIRVFGQEIAELPLVATSIANHGKGYFQLLFSCIERLLAFLNVKNLVLPAAEEAESIWTDKFGFKKLRPDQLGEYKKSCCQMVIFKGTSMLQKEVPPCRVVNSTEPTVSYNEVE >EOY08021 pep chromosome:Theobroma_cacao_20110822:5:4008824:4017844:-1 gene:TCM_022344 transcript:EOY08021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase with RING/FYVE/PHD-type zinc finger domain isoform 2 MKRELEFGLEGSLGPTRESLTQSQTQARSSTSCKRVKVTQVNGYIVYTRVKKSRINCRDEFSENLENKKFENCNEQINGVKECLVGEDQKNKTLIEVSHGNNNVIEDVIGGRPGNQNVAGENVLVKNVVDESLVVRDIGEGGPFVEASIEESRVIGENAIVGNLVVEEIGRDGRLVLQARLGEGSSYNLAMVMSKDERSNELGTCLVEEGGLGEASMQIVDSSEGKTDLLLKTLRRSKRSLLRPKVEPLDSLECEQHTVVNVSVSSFGGEEAAEGSDLTTPRKKLELKMSKKIALNKCPMTVKELFDTGLLDGVPVVYMGTISSKTAGLRGIITDGGILCSCSLCKGRRVVPPSQFEIHACKQYKRAAQYICFENGKSLLEVLRACRRRPLHTLEATIQNILSALPEQKCFTCRRCKGSFPVIHVGQIGPLCNSCVESKKSQCSTMSAPSGVARSQEPVLISHSSGSSSVGILPQSTSQWKMTRKSQEPVLMSQSFGSASSSVSPQNKSQWKKARKSSELDVTANSPHCASLCISSQNRSPWKTTRKLTKPGLFTKSLKSASVHISSQDKAQWRIKKKPIKPVLMSKTLKGVSSPMYSPNGSQWKMTTKDQRLHKLVFEEDGLPDGTEVAYYARGQQVSPSQFEAHAGWASRRKPYAYIYTSNGVSLHELAISLSKGRRYSAKDNDDACIICADGGNLLLCDGCPRAFHKECASLPTIPRGRWYCKYCQNMFMREKFVEHNANAVAAGRILGVDAIEQITSRCIRIVKNIEAELSGCALCRACDFSKSGFGPRTILLCDQCEKEYHIGCLRTHKMADLREIPRGKWFCCSDCSRIHSILQKLLIREAEKLPDSLLDVIRKKYVEKGLDADINIDVRWRLLSGKFASPETRLLLSQAVGIFHECFDPIVDATTGRDLIPCMVYGRNLKGQEYGGMYCAVLTINSFVVSAGIIRVFGQEIAELPLVATSIANHGKRATSSCCSPVLRGCWHS >EOY08018 pep chromosome:Theobroma_cacao_20110822:5:4009359:4017494:-1 gene:TCM_022344 transcript:EOY08018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase with RING/FYVE/PHD-type zinc finger domain isoform 2 MKRELEFGLEGSLGPTRESLTQSQTQARSSTSCKRVKVTQVNGYIVYTRVKKSRINCRDEFSENLENKKFENCNEQINGVKECLVGEDQKNKTLIEVSHGNNNVIEDVIGGRPGNQNVAGENVLVKNVVDESLVVRDIGEGGPFVEASIEESRVIGENAIVGNLVVEEIGRDGRLVLQARLGEGSSYNLAMVMSKDERSNELGTCLVEEGGLGEASMQIVDSSEGKTDLLLKTLRRSKRSLLRPKVEPLDSLECEQHTVVNVSVSSFGGEEAAEGSDLTTPRKKLELKMSKKIALNKCPMTVKELFDTGLLDGVPVVYMGTISSKTAGLRGIITDGGILCSCSLCKGRRVVPPSQFEIHACKQYKRAAQYICFENGKSLLEVLRACRRRPLHTLEATIQNILSALPEQKCFTCRRCKGSFPVIHVGQIGPLCNSCVESKKSQCSTMSAPSGVARSQEPVLISHSSGSSSVGILPQSTSQWKMTRKSQEPVLMSQSFGSASSSVSPQNKSQWKKARKSSELDVTANSPHCASLCISSQNRSPWKTTRKLTKPGLFTKSLKSASVHISSQDKAQWRIKKKPIKPVLMSKTLKGVSSPMYSPNGSQWKMTTKDQRLHKLVFEEDGLPDGTEVAYYARGQRLLEGYKKGFGIFCRCCNCEVSPSQFEAHAGWASRRKPYAYIYTSNGVSLHELAISLSKGRRYSAKDNDDACIICADGGNLLLCDGCPRAFHKECASLPTIPRGRWYCKYCQNMFMREKFVEHNANAVAAGRILGVDAIEQITSRCIRIVKNIEAELSGCALCRACDFSKSGFGPRTILLCDQCEKEYHIGCLRTHKMADLREIPRGKWFCCSDCSRIHSILQKLLIREAEKLPDSLLDVIRKKYVEKGLDADINIDVRWRLLSGKFASPETRLLLSQAVGIFHECFDPIVDATTGRDLIPCMVYGRNLKGQEYGGMYCAVLTINSFVVSAGIIRVFGQEIAELPLVATSIANHGKGYFQLLFSCIERLLAFLNVKNLVLPAAEEAESIWTDKFGFKKLRPDQLGEYKKSCCQMVIFKGTSMLQKEVPPCRVVNSTEPTVSYNEVE >EOY08019 pep chromosome:Theobroma_cacao_20110822:5:4009723:4017885:-1 gene:TCM_022344 transcript:EOY08019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase with RING/FYVE/PHD-type zinc finger domain isoform 2 MKRELEFGLEGSLGPTRESLTQSQTQARSSTSCKRVKVTQVNGYIVYTRVKKSRINCRDEFSENLENKKFENCNEQINGVKECLVGEDQKNKTLIEVSHGNNNVIEDVIGGRPGNQNVAGENVLVKNVVDESLVVRDIGEGGPFVEASIEESRVIGENAIVGNLVVEEIGRDGRLVLQARLGEGSSYNLAMVMSKDERSNELGTCLVEEGGLGEASMQIVDSSEGKTDLLLKTLRRSKRSLLRPKVEPLDSLECEQHTVVNVSVSSFGGEEAAEGSDLTTPRKKLELKMSKKIALNKCPMTVKELFDTGLLDGVPVVYMGTISSKTAGLRGIITDGGILCSCSLCKGRRVVPPSQFEIHACKQYKRAAQYICFENGKSLLEVLRACRRRPLHTLEATIQNILSALPEQKCFTCRRCKGSFPVIHVGQIGPLCNSCVESKKSQCSTMSAPSGVARSQEPVLISHSSGSSSVGILPQSTSQWKMTRKSQEPVLMSQSFGSASSSVSPQNKSQWKKARKSSELDVTANSPHCASLCISSQNRSPWKTTRKLTKPGLFTKSLKSASVHISSQDKAQWRIKKKPIKPVLMSKTLKGVSSPMYSPNGSQWKMTTKDQRLHKLVFEEDGLPDGTEVAYYARGQRLLEGYKKGFGIFCRCCNCEVSPSQFEAHAGWASRRKPYAYIYTSNGVSLHELAISLSKGRRYSAKDNDDACIICADGGNLLLCDGCPRAFHKECASLPTIPRGRWYCKYCQNMFMREKFVEHNANAVAAGRILGVDAIEQITSRCIRIVKNIEAELSGCALCR >EOY10671 pep chromosome:Theobroma_cacao_20110822:5:35804616:35810293:1 gene:TCM_025974 transcript:EOY10671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucosidase II beta subunit, putative isoform 3 LSFISLSLTISSLIIPSHASSSSLPSNSFLGIAPQDEDYFKGEIIKCKNGSKTFTKTQLNDDFCDCSDGTDEPGTSACPQGKFYCRNAGHSSSLLFSSRVNDGICDCCDGSDEYDGKVKCPNTCWEAGKVARDKLKKKIEMYHKGVALRREENKQAKQAIARDREELLALENEKDVLENLVKQLEGQIQKLEQEEHLEKEEKMKEASNEKVEKEKSETEEKVDSQKEPLKTSDEEKMGLSWKSPSNQNEKDEFQSTEGLSREELGRLVASRWTGKKTEDQVEEIDTAKRDYVGNREKEESAHDEHSINNALQFNENTQKHHEKGRNDAGDEESVADDVGSSSTHYNIRLEDHENSSDIATPSHQSWLVKIQETAQNILQSVNFYPTPVPNLDANQVRNEYNNYTTRLHDIESRISSLTEKVKYDFGIDNEFYLFYDRCFESKQDKYVYKVCPFKHAIQEEGRSETRLGNWEKFGSSYRMMLFSNGDGCWNGPDRSLEVKLRCGLKTELTSVDEPSRCEYVALMYTPALCLEEKLKKLESMNREQPWSHDEL >EOY10670 pep chromosome:Theobroma_cacao_20110822:5:35804277:35810175:1 gene:TCM_025974 transcript:EOY10670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucosidase II beta subunit, putative isoform 3 MKLTLSFISLSLTISSLIIPSHASSSSLPSNSFLGIAPQDEDYFKGEIIKCKNGSKTFTKTQLNDDFCDCSDGTDEPGTSACPQGKFYCRNAGHSSSLLFSSRVNDGICDCCDGSDEYDGKVKCPNTCWEAGKVARDKLKKKIEMYHKGVALRREENKQAKQAIARDREELLALENEKDVLENLVKQLEGQIQKLEQEEHLEKEEKMKEASNEKVEKEKSETEEKVDSQKEPLKTSDEEKMGLSWKSPSNQNEKDEFQSTEGLSREELGRLVASRWTGKKTEDQVEEIDTAKRDYVGNREKEESAHDEHSINNALQFNENTQKHHEKGRNDAGDEESVADDVGSSSTHYNIRLEDHENSSDIATPSHQSWLVKIQETAQNILQSVNFYPTPVPNLDANQVRNEYNNYTTRLHDIESRISSLTEKVKYDFGIDNEFYLFYDRCFESKQDKYVYKVCPFKHAIQEEGRSETRLGNWEKFGSSYRMMLFSNGDGCWNGPDRSLEVKLRCGLKTELTSVDEPSRCEYVALMYTPALCLEEKLKELKQKLESMNREQPWSHDEL >EOY10673 pep chromosome:Theobroma_cacao_20110822:5:35805567:35810281:1 gene:TCM_025974 transcript:EOY10673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucosidase II beta subunit, putative isoform 3 MYHKGVALRREENKQAKQAIARDREELLALENEKDVLENLVKQLEGQIQKLEQEEHLEKEEKMKEASNEKVEKEKSETEEKVDSQKEPLKTSDEEKMGLSWKSPSNQNEKDEFQSTEGLSREELGRLVASRWTGKKTEDQVEEIDTAKRDYVGNREKEESAHDEHSINNALQFNENTQKHHEKGRNDAGDEESVADDVGSSSTHYNIRLEDHENSSDIATPSHQSWLVKIQETAQNILQSVNFYPTPVPNLDANQVRNEYNNYTTRLHDIESRISSLTEKVKYDFGIDNEFYLFYDRCFESKQDKYVYKVCPFKHAIQEEGRSETRLGNWEKFGSSYRMMLFSNGDGCWNGPDRSLEVKLRCGLKTELTSVDEPSRCEYVALMYTPALCLEEKLKELKQKLESMNREQPWSHDEL >EOY10672 pep chromosome:Theobroma_cacao_20110822:5:35804616:35810293:1 gene:TCM_025974 transcript:EOY10672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucosidase II beta subunit, putative isoform 3 LSFISLSLTISSLIIPSHASSSSLPSNSFLGIAPQDEDYFKGEIIKCKNGSKTFTKTQLNDDFCDCSDGTDEPGTSACPQGKFYCRNAGHSSSLLFSSRVNDGICDCCDGSDEYDGKVKCPNTCWEAGKVARDKLKKKIEMYHKGVALRREENKQAKQAIARDREELLALENEKDVLENLVKQLEGQIQKLEQEEHLEKEEKMKEASNEKVEKEKSETEEKVDSQKEPLKTSDEEKMGLSWKSPSNQNEKDEFQSTEGLSREELGRLVASRWTGKKTEDQVEEIDTAKRDYVGNREKEESAHDEHSINNALQFNENTQKHHEKGRNDAGDEESVADDVGSSSTHYNIRLEDHENSSDIATPSHQSWLVKIQETAQNILQSVNFYPTPVPNLDANQVRNEYNNYTTRLHDIESRISSLTEKVKYDFGMCIKFVHLNMQYKRKAGVKPDWATGRSLGVHIE >EOY10674 pep chromosome:Theobroma_cacao_20110822:5:35805567:35810281:1 gene:TCM_025974 transcript:EOY10674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucosidase II beta subunit, putative isoform 3 MYHKGVALRREENKQAKQAIARDREELLALENEKDVLENLVKQLEGQIQKLEQEEHLEKEEKMKEASNEKVEKEKSETEEKVDSQKEPLKTSDEEKMGLSWKSPSNQNEKDEFQSTEGLSREELGRLVASRWTGKKTEDQVEEIDTAKRDYVGNREKEESAHDEHSINNALQFNENTQKHHEKGRNDAGDEESVADDVGSSSTHYNIRLEDHENSSDIATPSHQSWLVKIQETAQNILQSVNFYPTPVPNLDANQVRNEYNNYTTRLHDIESRISSLTEKVKYDFGIDNEFYLFYDRCFESKQDKYVYKVCPFKHAIQEEGRSETRLGNWEKFGSSYRMMLFSNGDGCWNGPDRSLEVKLRCGLKTELTSVDEPSRCEYVALMYTPALCLEEKLKKLESMNREQPWSHDEL >EOY08119 pep chromosome:Theobroma_cacao_20110822:5:4669479:4675147:1 gene:TCM_022441 transcript:EOY08119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Map3k delta-1 protein kinase isoform 3 MEMPGRRSNYSLLSQYPDDQYSVSISGAPSPYYDSLSSDATSNKNNKVKSERGLIDWDQNQSQNQQQANRVGGGGGGGGGNIYASSIGLQRQSSGSSFGESSLSGDYYVPTLSTTAANEIDAFVYGHDESFRHGDLRAKVGGSSSGKSWAQQTEESYQLQLALALRLSSEATCADDPNFLDPVPDDSTIRTASSSSAETVSHRFWVNGCLSYFDKVPDGFYLIHGVNPYVWTVCTDLHEHGRIPSIESLRSVDPTVDSPLEVILVDRRSDPSLKELQNRVHNISCSCITTKEVVDQLAKLVCSRMGGSSTTGEDDFVSYWRECSDDLKDCLGSVVVPIGSLSVGLCRHRALLFKVLADTIDLPCRVAKGCKYCKRDDASSCLVRFGLDREYLVDLIGNPGYLCEPDSLLNGPSSISISSPLRFPCLKPAVPAIDFRSLAKQYFSDCESLNLVFDDAPAGATEENPGFSLYPKKVDKIVTGRNNLVQISSNMDDISQLPLHPNIARPTAHDRDSQYSQSIIHSKNIIKDPLKRISPIGHRDVPILLLSDPMGDSDKDSRYAEGSQLVPSKPSRELALEVDDLDIPWNDLAL >EOY08117 pep chromosome:Theobroma_cacao_20110822:5:4668857:4685557:1 gene:TCM_022441 transcript:EOY08117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Map3k delta-1 protein kinase isoform 3 MEMPGRRSNYSLLSQYPDDQYSVSISGAPSPYYDSLSSDATSNKNNKVKSERGLIDWDQNQSQNQQQANRVGGGGGGGGGNIYASSIGLQRQSSGSSFGESSLSGDYYVPTLSTTAANEIDAFVYGHDESFRHGDLRAKVGGSSSGKSWAQQTEESYQLQLALALRLSSEATCADDPNFLDPVPDDSTIRTASSSSAETVSHRFWVNGCLSYFDKVPDGFYLIHGVNPYVWTVCTDLHEHGRIPSIESLRSVDPTVDSPLEVILVDRRSDPSLKELQNRVHNISCSCITTKEVVDQLAKLVCSRMGGSSTTGEDDFVSYWRECSDDLKDCLGSVVVPIGSLSVGLCRHRALLFKVLADTIDLPCRVAKGCKYCKRDDASSCLVRFGLDREYLVDLIGNPGYLCEPDSLLNGPSSISISSPLRFPCLKPAVPAIDFRSLAKQYFSDCESLNLVFDDAPAGATEENPGFSLYPKKVDKIVTGRNNLVQISSNMDDISQLPLHPNIARPTAHDRDSQYSQSIIHSKNIIKDPLKRISPIGHRDVPILLLSDPMGDSDKDSRYAEGSQLVPSKPSRELALEVDDLDIPWNDLVLKERIGAGSFGTVHRAEWNGSDVAVKILMEQDLHAERFKEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSMYRLLHKPGLREVLDERRRLSMAYDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWGNLNPAQVVAAVGFKGKRLDIPHDLNPQLAAIIEDCWANEPWKRPSFSNIMDRLKSLIKPSTPQPGRVDMPMLT >EOY08118 pep chromosome:Theobroma_cacao_20110822:5:4668857:4680147:1 gene:TCM_022441 transcript:EOY08118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Map3k delta-1 protein kinase isoform 3 MEMPGRRSNYSLLSQYPDDQYSVSISGAPSPYYDSLSSDATSNKNNKVKSERGLIDWDQNQSQNQQQANRVGGGGGGGGGNIYASSIGLQRQSSGSSFGESSLSGDYYVPTLSTTAANEIDAFVYGHDESFRHGDLRAKVGGSSSGKSWAQQTEESYQLQLALALRLSSEATCADDPNFLDPVPDDSTIRTASSSSAETVSHRFWVNGCLSYFDKVPDGFYLIHGVNPYVWTVCTDLHEHGRIPSIESLRSVDPTVDSPLEVILVDRRSDPSLKELQNRVHNISCSCITTKEVVDQLAKLVCSRMGGSSTTGEDDFVSYWRECSDDLKDCLGSVVVPIGSLSVGLCRHRALLFKVLADTIDLPCRVAKGCKYCKRDDASSCLVRFGLDREYLVDLIGNPGYLCEPDSLLNGPSSISISSPLRFPCLKPAVPAIDFRSLAKQYFSDCESLNLVFDDAPAGATEENPGFSLYPKKVDKIVTGRNNLVQISSNMDDISQLPLHPNIARPTAHDRDSQYSQSIIHSKNIIKDPLKRISPIGHRDVPILLLSDPMGDSDKDSRYAEGSQLVPSKPSRELALEVDDLDIPWNDLVLKERIGAGSFGTVHRAEWNGSDVAVKILMEQDLHAERFKEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSMYRLLHKPGLREVLDERRRLSMAYDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWGNLNPAQPM >EOY11445 pep chromosome:Theobroma_cacao_20110822:5:39290939:39292042:1 gene:TCM_026618 transcript:EOY11445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MRATNDYDARQIFVEDVGYKLYKGFLKDRPSLVKKYNSEWSFLRRNPYTDIAIGSQMSVHKNVLKVIGCCLETELPIIVYEFAGSKILCTCISATNDEPLPRKCRLKIAIGIASAVAYLHTAFSRPVIHRDIICSNILLDQNNVPKLIDFGLCISIPEGQTHVIDDLTVGRTLNLAPEYKDRGYLTEKVDVYQFGLLLIELSSGQDIVSLCCEHNISLGIEIHIDAVHNRIRNEGIDQFQDFKTLIRKCTSFEEEDRPTMIEVAKELRRIDQSFTSPS >EOY11279 pep chromosome:Theobroma_cacao_20110822:5:38791266:38793925:1 gene:TCM_046813 transcript:EOY11279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein FSSFQSPFFSTSSSCFKKSRYGFHRIDDALDLFNHMLRTRPIPSIAEFTQLVSAIVRMKHYETAISLFGQMELIGIRHDVYSFSILLNCFCRLHRTDFGFSLLGKMLKLGIQPTTVTFTTLANGLCIEGYTGAASRLLRKMEQNNCLIDIVIYNTIIDSLCKDKLVPEALNLFSEMTDISCKYKGLSWKPLQPGELVLFLGCFLHFQLLTPTELCW >EOY09943 pep chromosome:Theobroma_cacao_20110822:5:31888606:31889943:-1 gene:TCM_025316 transcript:EOY09943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQGQGNQGKNSLGNQDRNSSDNQDPYTLHNPDPKSPENQVPNNPGNQSPKSFENQGLYTPYNHDPKNLENQGRNTLGNQAQKSPGNQGRNTLGNEGQNNSVSTASCSRSKAIPNSVYDPSYEAMGLPIDPHLRCFLLRCENAENKDSDKKDDGGSKTMARKT >EOY07173 pep chromosome:Theobroma_cacao_20110822:5:724049:730691:1 gene:TCM_021676 transcript:EOY07173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein, putative isoform 1 MFAKRLLQKAVHHSQHENLKSEDLDLRVAIHYGIPSTASLLTFDPIQRLLAIGTLDGRIKVIGGDGIEALFISPKQLPFKYLEFIQNQGFLISISNDNDIQVWNLESRCLACCLQWESNVTAFSFISGSQFMYIGDEYGLMSVIKYDAENGKLLQLPYYISANSLSEAAGFSFPDDQPVVGILPQPHSSGNRVIIAYANGLIILWDVSEAQILFIGGGKDLQLKDAVESDVQDDTFEHHLQEKEISAICWASSDGTILAVGYIDGDILFWNTSSIASSKGERNGQNKNVVKLQLSSAERRLPVIVLQWSSNNRSRNDCNGQLFIYGGDEIGSEEVLTVLSLEWSSGMETVRCVGRVDLTLTGSFADMILLPTAGATGGNHKADLFVLTNPGQLHLYDDTILSTLLSEHERKQFSCPVEFPMVIPTADPSMTVAKFSVLPKGGNSPKGLSELASMMKPGSTPTPAGGIKWPLTGGVPTQLSVAKDKSINQVYIAGYQDGSVRIWDASYPVLTLISVLEGEVQGTNVAGLSAPVTTLNFCWLTLSLAVGNECGVVRIYNLNGSSGKTSFHYVTETKCEVQSLPQGKGPQCIAVFSLLNSPVRAMQFVNCGAKLAVGFEFSHVAVLDVSSSSVLFVTDCVSSSSSPIISVSWLEFKNAHSLVKSSKHSETEAAVKSEEEIIFILTKDGKIISVDGGNGAMIRPHPWHLKKEETALSMYIIESSFSVSELNCEKQLEESSKDTTDKGEPRLNASSTGTEHLPSSETASSQEHSLDALLLLCCENSLRLYSMKSVIQGKDKTILKVKHAKPCCWTTTFKKDGRVCGLVLLFQTGDMEIRSLPDLELVKESSIMSILRWNYKANMDKMMTSDNAQVTLASGCEVAFVSLLNGENDFRVPESLPCLHDKVLAAAADAAFSFSSNQNKKQGAAPGILRGIAKGFKGGKVNTSPTPESDFSHLERKFLMSPFLDTAQNAINTQEDVELDIDDIEIDEMPPVTSSSSHEVVKTKGEKETDREKLLGASDDTTPRLRTPQEIIAKYRKTGVTVLDTFWFYQFIFFAVAAS >EOY07171 pep chromosome:Theobroma_cacao_20110822:5:723516:731748:1 gene:TCM_021676 transcript:EOY07171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein, putative isoform 1 MFAKRLLQKAVHHSQHENLKSEDLDLRVAIHYGIPSTASLLTFDPIQRLLAIGTLDGRIKVIGGDGIEALFISPKQLPFKYLEFIQNQGFLISISNDNDIQVWNLESRCLACCLQWESNVTAFSFISGSQFMYIGDEYGLMSVIKYDAENGKLLQLPYYISANSLSEAAGFSFPDDQPVVGILPQPHSSGNRVIIAYANGLIILWDVSEAQILFIGGGKDLQLKDAVESDVQDDTFEHHLQEKEISAICWASSDGTILAVGYIDGDILFWNTSSIASSKGERNGQNKNVVKLQLSSAERRLPVIVLQWSSNNRSRNDCNGQLFIYGGDEIGSEEVLTVLSLEWSSGMETVRCVGRVDLTLTGSFADMILLPTAGATGGNHKADLFVLTNPGQLHLYDDTILSTLLSEHERKQFSCPVEFPMVIPTADPSMTVAKFSVLPKGGNSPKGLSELASMMKPGSTPTPAGGIKWPLTGGVPTQLSVAKDKSINQVYIAGYQDGSVRIWDASYPVLTLISVLEGEVQGTNVAGLSAPVTTLNFCWLTLSLAVGNECGVVRIYNLNGSSGKTSFHYVTETKCEVQSLPQGKGPQCIAVFSLLNSPVRAMQFVNCGAKLAVGFEFSHVAVLDVSSSSVLFVTDCVSSSSSPIISVSWLEFKNAHSLVKSSKHSETEAAVKSEEEIIFILTKDGKIISVDGGNGAMIRPHPWHLKKEETALSMYIIESSFSVSELNCEKQLEESSKDTTDKGEPRLNASSTGTEHLPSSETASSQEHSLDALLLLCCENSLRLYSMKSVIQGKDKTILKVKHAKPCCWTTTFKKDGRVCGLVLLFQTGDMEIRSLPDLELVKESSIMSILRWNYKANMDKMMTSDNAQVTLASGCEVAFVSLLNGENDFRVPESLPCLHDKVLAAAADAAFSFSSNQNKKQGAAPGILRGIAKGFKGGKVNTSPTPESDFSHLERKFLMSPFLDTAQNAINTQEDVELDIDDIEIDEMPPVTSSSSHEVVKTKGEKETDREKLLGASDDTTPRLRTPQEIIAKYRKTGDASSAAAHARNKLVERQEKLERISRRTEELQSGAENFASLADELVKAMENRKWWQI >EOY07174 pep chromosome:Theobroma_cacao_20110822:5:725696:731645:1 gene:TCM_021676 transcript:EOY07174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein, putative isoform 1 EAAGFSFPDDQPVVGILPQPHSSGNRVIIAYANGLIILWDVSEAQILFIGGGKDLQLKDAVESDVQDDTFEHHLQEKEISAICWASSDGTILAVGYIDGDILFWNTSSIASSKGERNGQNKNVVKLQLSSAERRLPVIVLQWSSNNRSRNDCNGQLFIYGGDEIGSEEVLTVLSLEWSSGMETVRCVGRVDLTLTGSFADMILLPTAGATGGNHKADLFVLTNPGQLHLYDDTILSTLLSEHERKQFSCPVEFPMVIPTADPSMTVAKFSVLPKGGNSPKGLSELASMMKPGSTPTPAGGIKWPLTGGVPTQLSVAKDKSINQVYIAGYQDGSVRIWDASYPVLTLISVLEGEVQGTNVAGLSAPVTTLNFCWLTLSLAVGNECGVVRIYNLNGSSGKTSFHYVTETKCEVQSLPQGKGPQCIAVFSLLNSPVRAMQFVNCGAKLAVGFEFSHVAVLDVSSSSVLFVTDCVSSSSSPIISVSWLEFKNAHSLVKSSKHSETEAAVKSEEEIIFILTKDGKIISVDGGNGAMIRPHPWHLKKEETALSMYIIESSFSVSELNCEKQLEESSKDTTDKGEPRLNASSTGTEHLPSSETASSQEHSLDALLLLCCENSLRLYSMKSVIQGKDKTILKVKHAKPCCWTTTFKKDGRVCGLVLLFQTGDMEIRSLPDLELVKESSIMSILRWNYKANMDKMMTSDNAQVTLASGCEVAFVSLLNGENDFRYYCHFFNLMHGESLKMSIKIINEEKESENLLCRSRVPESLPCLHDKVLAAAADAAFSFSSNQNKKQGAAPGILRGIAKGFKGGKVNTSPTPESDFSHLERKFLMSPFLDTAQNAINTQEDVELDIDDIEIDEMPPVTSSSSHEVVKTKGEKETDREKLLGASDDTTPRLRTPQEIIAKYRKTGDASSAAAHARNKLVERQEKLERISRRTEELQSGAENFASLADELVKAMENRKWWQI >EOY07175 pep chromosome:Theobroma_cacao_20110822:5:725696:731645:1 gene:TCM_021676 transcript:EOY07175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein, putative isoform 1 EAAGFSFPDDQPVVGILPQPHSSGNRVIIAYANGLIILWDVSEAQILFIGGGKDLQLKDAVESDVQDDTFEHHLQEKEISAICWASSDGTILAVGYIDGDILFWNTSSIASSKGERNGQNKNVVKLQLSSAERRLPVIVLQWSSNNRSRNDCNGQLFIYGGDEIGSEEVLTVLSLEWSSGMETVRCVGRVDLTLTGSFADMILLPTAGATGGNHKADLFVLTNPGQLHLYDDTILSTLLSEHERKQFSCPVEFPMVIPTADPSMTVAKFSVLPKGGNSPKGLSELASMMKPGSTPTPAGGIKWPLTGGVPTQLSVAKDKSINQVYIAGYQDGSVRIWDASYPVLTLISVLEGEVQGTNVAGLSAPVTTLNFCWLTLSLAVGNECGVVRIYNLNGSSGKTSFHYVTETKCEVQSLPQGKGPQCIAVFSLLNSPVRAMQFVNCGAKLAVGFEFSHVAVLDVSSSSVLFVTDCVSSSSSPIISVSWLEFKNAHSLVKSSKHSETEAAVKSEEEIIFILTKDGKIISVDGGNGAMIRPHPWHLKKEETALSMYIIESSFSVSELNCEKQLEESSKDTTDKGEPRLNASSTGTEHLPSSETASSQEHSLDALLLLCCENSLRLYSMKSVIQGKDKTILKVKHAKPCCWTTTFKKDGRVCGLVLLFQTGDMEIRSLPDLELVKESSIMSILRWNYKANMDKMMTSDNAQVTLASGCEVAFVSLLNGENDFRVPESLPCLHDKVLAAAADAAFSFSSNQNKKQVHGKISFLVFISEETNLLIQFIKLLQGAAPGILRGIAKGFKGGKVNTSPTPESDFSHLERKFLMSPFLDTAQNAINTQEDVELDIDDIEIDEMPPVTSSSSHEVVKTKGEKETDREKLLGASDDTTPRLRTPQEIIAKYRKTGDASSAAAHARNKLVERQEKLERISRRTEELQSGAENFASLADELVKAMENRKWWQI >EOY07172 pep chromosome:Theobroma_cacao_20110822:5:723516:731748:1 gene:TCM_021676 transcript:EOY07172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein, putative isoform 1 MTNLLLGFFLNPILLGIGGKDLQLKDAVESDVQDDTFEHHLQEKEISAICWASSDGTILAVGYIDGDILFWNTSSIASSKGERNGQNKNVVKLQLSSAERRLPVIVLQWSSNNRSRNDCNGQLFIYGGDEIGSEEVLTVLSLEWSSGMETVRCVGRVDLTLTGSFADMILLPTAGATGGNHKADLFVLTNPGQLHLYDDTILSTLLSEHERKQFSCPVEFPMVIPTADPSMTVAKFSVLPKGGNSPKGLSELASMMKPGSTPTPAGGIKWPLTGGVPTQLSVAKDKSINQVYIAGYQDGSVRIWDASYPVLTLISVLEGEVQGTNVAGLSAPVTTLNFCWLTLSLAVGNECGVVRIYNLNGSSGKTSFHYVTETKCEVQSLPQGKGPQCIAVFSLLNSPVRAMQFVNCGAKLAVGFEFSHVAVLDVSSSSVLFVTDCVSSSSSPIISVSWLEFKNAHSLVKSSKHSETEAAVKSEEEIIFILTKDGKIISVDGGNGAMIRPHPWHLKKEETALSMYIIESSFSVSELNCEKQLEESSKDTTDKGEPRLNASSTGTEHLPSSETASSQEHSLDALLLLCCENSLRLYSMKSVIQGKDKTILKVKHAKPCCWTTTFKKDGRVCGLVLLFQTGDMEIRSLPDLELVKESSIMSILRWNYKANMDKMMTSDNAQVTLASGCEVAFVSLLNGENDFRVPESLPCLHDKVLAAAADAAFSFSSNQNKKQGAAPGILRGIAKGFKGGKVNTSPTPESDFSHLERKFLMSPFLDTAQNAINTQEDVELDIDDIEIDEMPPVTSSSSHEVVKTKGEKETDREKLLGASDDTTPRLRTPQEIIAKYRKTGDASSAAAHARNKLVERQEKLERISRRTEELQSGAENFASLADELVKAMENRKWWQI >EOY09551 pep chromosome:Theobroma_cacao_20110822:5:29772572:29788477:-1 gene:TCM_024960 transcript:EOY09551 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAVNLSQLNLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLTDGRATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNTEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRCLKEELEQLKRGIVTIPQLKDIGEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASQSSRFPQRPGLRRRHSFGEEELAYLPHRRRDLILDEENVELYVSLEGNAETGDDTLKEEKKTRKHGLLNWLKLRKRDSGVGTLTSASDKSSGIKSNSTPSTPQAGRNNFRAESRLSQSLLTASSPPMDLLSDGRQDREVPEDNYIGQETPLTSIKTIDQIDLLREQQKILSGEVALHSSALKRLSEEAARNPQNEQIQVEMKKLSDEIRGKSEQIALLEKQIADSIMVSHNKMDKSEISQSIAELVAQLNEKSFELEVKAADNRIIQEQLNQKICECEGLQETVASLKQQLSDALESLNSCLQMDQEAVASKDKSEDLLIKAQVTEIEELKQKVVELTESKEHLELRNQKLSEESSYAKGLASAAAVELKALSEEVAKLMNHNERLAAELTAAKNSPTQRRTSTLRNGRRESLTKRNDQVGSP >EOY09552 pep chromosome:Theobroma_cacao_20110822:5:29771539:29786773:-1 gene:TCM_024960 transcript:EOY09552 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 EIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAVNLSQLNLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLTDGRATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNTEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRCLKEELEQLKRGIVTIPQLKDIGEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASQSSRFPQRPGLRRRHSFGEEELAYLPHRRRDLILDEENVELYVSLEGNAETGDDTLKEEKKTRKHGLLNWLKLRKRDSGVGTLTSASDKSSGIKSNSTPSTPQAGRNNFRAESRLSQSLLTASSPPMDLLSDGRQDREVPEDNYIGQETPLTSIKTIDQIDLLREQQKILSGEVALHSSALKRLSEEAARNPQNEQIQVEMKKLSDEIRGKSEQIALLEKQIADSIMVSHNKMDKSEISQSIAELVAQLNEKSFELEVKAADNRIIQEQLNQKICECEGLQETVASLKQQLSDALESLNSCLQMDQEAVASKDKSEDLLIKAQVVTEIEELKQKVVELTESKEHLELRNQKLSEESSYAKGLASAAAVELKALSEEVAKLMNHNERLAAELTAAKNSPTQRRTSTLRNGRRESLTKRNDQVGSPSDLKRELAISKERELSYEAALLEKDHREVELQRKVEESKQREAYLENELANMWVLVAKLKKSNGVDTVVSEST >EOY09550 pep chromosome:Theobroma_cacao_20110822:5:29771621:29790852:-1 gene:TCM_024960 transcript:EOY09550 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MSSKRGLKSKKLGSSNLKAANSPSSSTTSSSKQFLETSIDGQSSPASSSARSKPQYFYSENLHLDADRSKENVTVTVRFRPLSPREIRHGEEIAWYADGETIVRNEHNPSIAYAYDRVFGPTTTTRHVYDVAAQHVVNGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAVNLSQLNLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLTDGRATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNTEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRCLKEELEQLKRGIVTIPQLKDIGEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASQSSRFPQRPGLRRRHSFGEEELAYLPHRRRDLILDEENVELYVSLEGNAETGDDTLKEEKKTRKHGLLNWLKLRKRDSGVGTLTSASDKSSGIKSNSTPSTPQAGRNNFRAESRLSQSLLTASSPPMDLLSDGRQDREVPEDNYIGQETPLTSIKTIDQIDLLREQQKILSGEVALHSSALKRLSEEAARNPQNEQIQVEMKKLSDEIRGKSEQIALLEKQIADSIMVSHNKMDKSEISQSIAELVAQLNEKSFELEVKAADNRIIQEQLNQKICECEGLQETVASLKQQLSDALESLNSCLQMDQEAVASKDKSEDLLIKAQVTEIEELKQKVVELTESKEHLELRNQKLSEESSYAKGLASAAAVELKALSEEVAKLMNHNERLAAELTAAKNSPTQRRTSTLRNGRRESLTKRNDQVGSPSDLKRELAISKERELSYEAALLEKDHREVELQRKVEESKQREAYLENELANMWVLVAKLKKSNGVDTVVSEST >EOY10633 pep chromosome:Theobroma_cacao_20110822:5:35638090:35644930:1 gene:TCM_025946 transcript:EOY10633 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-N-debenzoyl-2'-deoxytaxol N-benzoyltransferase, putative MEVQIISRETIKPFSPTPDHLRTHKLSLLDQLVPPIYLPMILFYSATDENDPRNYNISDYLKKSLSKALTHFYPFAGRIKDDLTVDCNDGGVTFVEAQVACDMSFVLEEPEIEVRQLLPCAPFEHSPQTQSSTDQVIPLAVQVNYFACGGMAISVCISHVIGDASAAAHFLKGWAALARGADNIEGVIYDSSSLFPPRDLSIFRKYVGGLVDANGKVESPEDKFVTKRLMFNGSKITALRNEIGDGLNLYRPTRVEAVTAVVWEALINATAENDGSGTSPILAVSNAMNLRKRMNPPLPQQCIGNVSFSKMVSSLNVKIKNRSNLAKIINESIKETDDEYIRKTFTSGECLNLMEKLCEKFEKNSKLGMFIFSCWCRFPFYEVDFGWGKPVWFECPLRANRVGFFVDTSDGEGIEAWITLRKEEMAKLEKEPGILAYATFKPSI >EOY07280 pep chromosome:Theobroma_cacao_20110822:5:1043130:1046255:-1 gene:TCM_021748 transcript:EOY07280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANGRKLRTEVMLSTAGGTVSHEFASQGSGHFGNNQMGTISLAAGPPLAFGPRKPAFRGDGPICLLGNDVANVIIRDVAKPRTKENSTSLSRRSSPVYILPINTRYTPAKGNPRAGRVHRQVMEGTRKALTKRFSTRTNWLICSKYIGRGETARGDAINDGNCIKFRRTPFSNGWLNKPTDKLGSSGFNSGSLSVTLGGQDLSP >EOY08381 pep chromosome:Theobroma_cacao_20110822:5:7065525:7068228:1 gene:TCM_022743 transcript:EOY08381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bric-a-brac 1 MVLWSYPPTVKQLAVTIGFCLTGTSLMAVGAYLSLVNIAPQQERAQARSQYVKDRLRKMLDD >EOY11356 pep chromosome:Theobroma_cacao_20110822:5:39064052:39070931:-1 gene:TCM_026568 transcript:EOY11356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase isoform 2 MAKSLNPEGKTGVRIVVAGDRGTGKSSLIVTAAADTFAANVPRLLPPTRLPEDFYPDRVPITIIDTSSNPEDRGKLAAELKRADAVVLTYACDQPETLNRLSTFWLPELRQLEVKVPVIVVGCRLDLRDELQQVSLEQVMSPIMQQFREIETCIECSAYKHIQIPEVFYYAQKAVLHPTGPLFDQESQTLKPRCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVQDKLVEGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLSDDLIPHSSFKRAPDQSVELTNEAIEFLKGVYELFDSDLDNNLRPIEVEDVFSTAPESPWNDAPYKDAAEKTALGGLSLDAFLSEWALMTLLDPARSVENLIYIGYPGDPSSAIRVTRRRRLDRKKQQSERNVFQCFLLGPTNAGKSALMNSFLGRPYSDSYSPTTDERYAVNVVELPGGIKKTLVLREIPEDGVRKLLSTKDSLAACDIAVFVHDSSDESSWKRATELLVDVAGHGEDTGYEVPCLIVAAKDDLDSFPMAIQDSTRVSQDMGIDAPIPISSKLGDFNNIFRKIVNAAEYPHLSIPETEAGRSRKQYHRLINRSLMFVSVGAAVAIVGLAAYRVYAARKNASS >EOY11358 pep chromosome:Theobroma_cacao_20110822:5:39065327:39070935:-1 gene:TCM_026568 transcript:EOY11358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase isoform 2 MAKSLNPEGKTGVRIVVAGDRGTGKSSLIVTAAADTFAANVPRLLPPTRLPEDFYPDRVPITIIDTSSNPEDRGKLAAELKRADAVVLTYACDQPETLNRLSTFWLPELRQLEVKVPVIVVGCRLDLRDELQQVSLEQVMSPIMQQFREIETCIECSAYKHIQIPEVFYYAQKAVLHPTGPLFDQESQTLKPRCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVQDKLVEGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLSDDLIPHSSFKRAPDQSVELTNEAIEFLKGVYELFDSDLDNNLRPIEVEDVFSTAPESPWNDAPYKDAAEKTALGGLSLDAFLSEWALMTLLDPARSVENLIYIGYPGDPSSAIRVTRRRRLDRKKQQSERNVFQCFLLGPTNAGKSALMNSFLGRHSSICP >EOY11357 pep chromosome:Theobroma_cacao_20110822:5:39063950:39070522:-1 gene:TCM_026568 transcript:EOY11357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase isoform 2 KSLNPEGKTGVRIVVAGDRGTGKSSLIVTAAADTFAANVPRLLPPTRLPEDFYPDRVPITIIDTSSNPEDRGKLAAELKRADAVVLTYACDQPETLNRLSTFWLPELRQLEVKVPVIVVGCRLDLRDELQQVSLEQVMSPIMQQFREIETCIECSAYKHIQIPEVFYYAQKAVLHPTGPLFDQESQTLKPRCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVQDKLVEGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLSDDLIPHSSFKRAPDQSVELTNEAIEFLKGVYELFDSDLDNNLRPIEVEDVFSTAPESPWNDAPYKDAAEKTALGGLSLDAFLSEWALMTLLDPARSVENLIYIGYPGDPSSAIRVTRRRRLDRKKQQSERNVFQCFLLGPTNAGKSALMNSFLGRPYSDSYSPTTDERYAVNVVELPGGIKKTLVLREIPEDGVRKLLSTKDSLAACDIAVFVHDSSDESSWKRATELLVDVAGHGEDTGYEVPCLIVAAKDDLDSFPMAIQDSTRVSQDMGIDAPIPISSKLGDFNNIFRKIVNAAEYPHLSIPETEAGRSRKQYHRLINRSLMFVSVGAAVAIVGLAAYRVYAARKNASS >EOY10968 pep chromosome:Theobroma_cacao_20110822:5:37269799:37283212:-1 gene:TCM_026239 transcript:EOY10968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein, putative MDTNSNWCLDSASTTHICYQKDCFDLLQEWVVGNLTLGNKSIVKVMGLGVVKIKMFDGVVCSLGGVAYVPKMQCEASKGWEQCTGDGSYQSEILFVEEVMKGSHGVDDGEMTKNLACNELEGSSRIECWTMLDSVCAIVVIIKANDLESLILGELFKVSLKKAGLSKNLDNPAMKFWHQGVGGQSPIMQGATSISFCDGKSNVLCIESERQALLKFKHDLIDHSNSLSSWVEGDQEDCCRWVGVLCDNRTGHVYELQLGLLSSSPHEPKPAPDHPKWIVAYDRPKLGGKINPSLLDLKHLSFLDLSNNDFGGIQIPEFIGSLKSLTYLNLSGANFGGAIPHQLGNLSKLHYLDLGHNSLSEAKTLQWVSGLPSLQYLDLSQVDLSKATDWLQVTNKLPYLVELHLSDCNLNNDPSPVSVNYSSLTVLDLSMNMLSSVPMSMFSLRSLVSLDLSDNSFEGPIPGGFQNMSSLKVLDLSQNSFNSSIPIGNLSSLTYLDLSENRVEGIVPKFLESLCNLRVIDLSSNEIRHEVSEIIQSLTKCNLNRFESLNLASNKLSGHLTDQLRQLKSLVYLSLRGNSISGLIPFSIGKLSSLKFLDVSENQLNGSLPQSLGQLGDLESLDVGVNMLEGNVSEMHFSNLTRLRLLRASNNMLTFKPNSSWIPPFFSEGIELGNWHLGPQFPQWLQFQKNLLVLDISDARISGVIPTWFWDLSTQFVHLNLSHNQLVGGISYLPGSFLVDLSSNQFNGRLPRVSSYLRFLFLSKNLFSGPLYDFVCNFSTKLQPLTILDIGSNLLFGEIPHCWENLPYLELLNLENNNLTGKIPRSLGFLGHIRSLNFRNNSLFGELPSTLQHSVHLSILDLSANQFTGSIPAWMGDKLSQLVVLNLRSNNFRGNIPHKICALHSVQILDLASNNISGAIPKCLSNLSAMATKSKTQIVGMNGYILDARVVTKGREDDYSTILGLVTSIDLSANNLTGEISKDLGNLIGLRSLNLSGNLLTGKIPENIGNMESLESLDLSMNRLYGEIPSSFSGLNFLNHLNLSYNNLTGQIPSSTQLQSFEMFSYIGNHLCGPPVTKNCSANGVTPNVTNGGSSEGSDHGPKVNGLYVSIGLGFVMGFWGVVAPLFFIRSWRLAYYQKLDDVGRKLYVFWATTGRSSFGCVG >EOY07758 pep chromosome:Theobroma_cacao_20110822:5:2597014:2598318:1 gene:TCM_022093 transcript:EOY07758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein-related, putative MVFLEGKKEMVERCLMAASMAALVCHPNATIKCFRPKSKTTSSQRKKSRRENKQPSKSTNQNDLGANSSLSRTSVAFNMNMGSSLSTLPTSRSGGASYVVSNLVEKESSYGVVETIFRSGWDNKIGLKIEKVLKINHSVDALNKFEEYREIVKSKSTNVSASETRMMERLAVDGNELLRFHGAIVTCSLGNNDFSSICHRECCGVCKMVGSSLSEGEESVVLSNNSRQAHRKVVTDCVVDKICARKAIVVCRVIAGRVARYRGHGLVDGQEGGFDSVVSLSRDQLEGSEELIVLNARAVLPCFVVVYKAKGHKM >EOY09630 pep chromosome:Theobroma_cacao_20110822:5:30292714:30298762:-1 gene:TCM_025035 transcript:EOY09630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate prenyltransferase isoform 3 MLEVFEAPYNTWNSSRISFVVNSIDSALVARALIENSNLIKWSLLLKAFLGIVALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWFLVIGFAMTGLLIVGSNFGPFITSLYSLGLFLGTIYSVPPFRMKRFPVAAFLIIAMVRGFLLNFGVYYATRAALGLPFQWSAPVAFITTFVTLFALVIAITKDLPDVEGDRKFQISTLATKLGVRNIAFLGSGLLLVNYVAAVLAAIYMPQVFRRSLMIPAHIFLALCLIFQAWVLEQANYTKEAISGFYRFIWNLFYAEYAIFPFI >EOY09632 pep chromosome:Theobroma_cacao_20110822:5:30292769:30298911:-1 gene:TCM_025035 transcript:EOY09632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate prenyltransferase isoform 3 MEFSLSLSSSIGISAVNPHCKASSCQAKTIPAKLNGKISYLSLRCAGNVVSVGLYSHTSCSKHVPTRQFKRNPIWACGQVGAAGSDPMLNKISTFKDACWRFLRPHTIRGTALGSVALVARALIENSNLIKWSLLLKAFLGIVALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWFLVIGFAMTGLLIVGSNFGPFITSLYSLGLFLGTIYSVPPFRMKRFPVAAFLIIAMVRGFLLNFGVYYATRAALGLPFQWSAPVAFITTFVTLFALVIAITKDLPDVEGDRKFQISTLATKLGVRNIAFLGSGLLLVNYVAAVLAAIYMPQVFRRSLMIPAHIFLALCLIFQQAWVLEQANYTKEAISGFYRFIWNLFYAEYAIFPFI >EOY09631 pep chromosome:Theobroma_cacao_20110822:5:30292769:30298911:-1 gene:TCM_025035 transcript:EOY09631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate prenyltransferase isoform 3 MLEVFEAPYNTWNSSRISFVVNSIDSALVARALIENSNLIKWSLLLKAFLGIVALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWFLVIGFAMTGLLIVGSNFGPFITSLYSLGLFLGTIYSVPPFRMKRFPVAAFLIIAMVRGFLLNFGVYYATRAALGLPFQWSAPVAFITTFVTLFALVIAITKDLPDVEGDRKFQISTLATKLGVRNIAFLGSGLLLVNYVAAVLAAIYMPQQVFRRSLMIPAHIFLALCLIFQAWVLEQANYTKGSNLRVLSLHMESVLC >EOY09634 pep chromosome:Theobroma_cacao_20110822:5:30292747:30298923:-1 gene:TCM_025035 transcript:EOY09634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate prenyltransferase isoform 3 MEFSLSLSSSIGISAVNPHCKASSCQAKTIPAKLNGKISYLSLRCAGNVVSVGLYSHTSCSKHVPTRQFKRNPIWACGQVGAAGSDPMLNKISTFKDACWRFLRPHTIRGTALGSVVNKPYLPIAAGDLSVQSAWFLVIGFAMTGLLIVGSNFGPFITSLYSLGLFLGTIYSVPPFRMKRFPVAAFLIIAMVRGFLLNFGVYYATRAALGLPFQWSAPVAFITTFVTLFALVIAITKDLPDVEGDRKFQISTLATKLGVRNIAFLGSGLLLVNYVAAVLAAIYMPQVFRRSLMIPAHIFLALCLIFQAWVLEQANYTKEAISGFYRFIWNLFYAEYAIFPFI >EOY09629 pep chromosome:Theobroma_cacao_20110822:5:30292051:30298923:-1 gene:TCM_025035 transcript:EOY09629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate prenyltransferase isoform 3 MEFSLSLSSSIGISAVNPHCKASSCQAKTIPAKLNGKISYLSLRCAGNVVSVGLYSHTSCSKHVPTRQFKRNPIWACGQVGAAGSDPMLNKISTFKDACWRFLRPHTIRGTALGSVALVARALIENSNLIKWSLLLKAFLGIVALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWFLVIGFAMTGLLIVGSNFGPFITSLYSLGLFLGTIYSVPPFRMKRFPVAAFLIIAMVRGFLLNFGVYYATRAALGLPFQWSAPVAFITTFVTLFALVIAITKDLPDVEGDRKFQISTLATKLGVRNIAFLGSGLLLVNYVAAVLAAIYMPQVFRRSLMIPAHIFLALCLIFQAWVLEQANYTKEAISGFYRFIWNLFYAE >EOY09635 pep chromosome:Theobroma_cacao_20110822:5:30292714:30298762:-1 gene:TCM_025035 transcript:EOY09635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate prenyltransferase isoform 3 KISYLSLRCAGNVVSVGLYSHTSCSKHVPTRQFKRNPIWACGQVGAAGSDPMLNKISTFKDACWRFLRPHTIRGTALGSVALVARALIENSNLIKWSLLLKAFLGIVALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWFLVIGFAMTGLLIVGSNFGPFITSLYSLGLFLGTIYSVPPFRMKRFPVAAFLIIAMVRGFLLNFGVYYATRAALGLPFQWSAPVAFITTFVTLFALVIAITKDLPDVEGDRKFQISTLATKLGVRNIAFLGSGLLLVNYVAAVLAAIYMPQVFRRSLMIPAHIFLALCLIFQEAISGFYR >EOY09633 pep chromosome:Theobroma_cacao_20110822:5:30295083:30298761:-1 gene:TCM_025035 transcript:EOY09633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate prenyltransferase isoform 3 KISYLSLRCAGNVVSVGLYSHTSCSKHVPTRQFKRNPIWACGQVGAAGSDPMLNKISTFKDACWRFLRPHTIRGTALGSVALVARALIENSNLIKWSLLLKAFLGIVALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWFLVIGFAMTGLLIVGSNFGPFITSLYSLGLFLGTIYSVPPFRMKRFPVAAFLIIAMVRGFLLNFGVYYATRAALGLPFQWSAPVAFITTFVTLFALVIAITKDLPDVEGDRKFQISTLATKLGVRNIAFLGSGLLLVNYVAAVLAAIYMPQVNKLYYLSLSSHSL >EOY07563 pep chromosome:Theobroma_cacao_20110822:5:1978454:1981288:1 gene:TCM_021965 transcript:EOY07563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRISRFSDLKEGKKVHTVASLVAKLVANIMPVQVRKTCRSYAENASNRLNLLVEAFCARDNVAALDLIAEARLFSKTGAKLLRSIKGKHEGMLWEKPRFRFLKPKRSDPGEKLQEMEMPIRGMEVALSTCISFPVRMLDEELQGVLQISKKQIALKLEQAKCSVPFDAATAPETKGEYTDRSSWTQKAISTSHEDLSPFFFLYCMELLQDDPECIVENEEGKSKKQESSQPKKQGKSRVELIWCSLLSFSRRLSSERLVFAIKCSFSLGLAVLLGLIYNKENGYWSGLTIAISFATGRQATFTMANARAQGTAMGSVYGILCCFIFQKLADLRFFLLLPWIIFTSFLRHSRMYGQAGGISAVIGALLILGRKNYGTPSEFAIARITEATIGLICFITVEILLHPARSATLAKTELSRTIGALQDCFEVIGLYNRQKEISTEQREKLQKLKYHVSKLENFIAEAELEPNFWFLPFHCSCYKKLLSSLSKMADLLPFAIHQIEFLSQASQRLGIHWEEIQEQINNDLEHLRDKIGSLVKCLDEVLLIKSLEELENELQKENASHDLELGKSANGDFSIRLGHERNSIAEIVWPSLQHMMEVANETEGNEVEAKLKSQVLLCLCSLGFCINNMNREAIETEGTIGELLKWVYPARHVNLHELLPKLKKLGSGFAAT >EOY07668 pep chromosome:Theobroma_cacao_20110822:5:2376732:2377236:1 gene:TCM_022047 transcript:EOY07668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTLCGNKHLDHQFTANFAIRIALMIQIDHNCSKPDQEYGHFHPRSIHHVVGFTKMSLNVSMHFEEACLSILIRALYPMQHIA >EOY10099 pep chromosome:Theobroma_cacao_20110822:5:32974672:32978065:-1 gene:TCM_025479 transcript:EOY10099 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MKEFFSFSGEIEHVEMQSGNERSQIAYVTFKDSQGAETAVLLSGATIVDQSVIIDLAPDYKPPATASAPPATMNKSAGQAESAVQKAEDVVSSMLARGFILGKDAVTKAKAFDEKHQFTSTATAKVASLDQKIGLTEKISAGTTMVNDKVREMDQKFQVSEKTKSAFAAAEQTVSSAGSAIMKNRYVLTGASWVTGAFNRVAKAAGDVGQKTKEKVMAEEEQAHKSEGYAQINESEPPKAATSEQPSKPSSAQGLIL >EOY10098 pep chromosome:Theobroma_cacao_20110822:5:32974672:32978331:-1 gene:TCM_025479 transcript:EOY10098 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MFIAHPVTSFTPQLLSLWKKVAFPLNFVKEKGIFFFFSGEERFDLFCHKRNFMAVRSVKVSNVSLGASEQDMKEFFSFSGEIEHVEMQSGNERSQIAYVTFKDSQGAETAVLLSGATIVDQSVIIDLAPDYKPPATASAPPATMNKSAGQAESAVQKAEDVVSSMLARGFILGKDAVTKAKAFDEKHQFTSTATAKVASLDQKIGLTEKISAGTTMVNDKVREMDQKFQVSEKTKSAFAAAEQTVSSAGSAIMKNRYVLTGASWVTGAFNRVAKAAGDVGQKTKEKVMAEEEQAHKSEGYAQINESEPPKAATSEQPSKPSSAQGLIL >EOY11402 pep chromosome:Theobroma_cacao_20110822:5:39159714:39161271:-1 gene:TCM_026589 transcript:EOY11402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MASSSLYVILIFLSFSPFILISESQKPSKSNRFVLPVHQDKKTNLYVADIYKRTPPLQVPFVVDLNGRVLWVTCNQNYLSSTYRAPRCHSTQCARARTQYCHTCSSKARPGCHNNTCALKSVNPVTRLTAISELAQDVLSIQSTQGSNTGPLIRIPQFLFACESSSLLQRGLPGNVQGVAGLGNSPISLPIQLASHFGFAGFAPTFALCLAPKGVVFFGDSPYHMLPDVDISRPLRYTPLIISPQGEYYIEVRSIKINNKDVPINKTVLSINKRGFGGTKLSTINPYTVLEHSIFKAVTHFFTNELSGIPQVKPVKPFGVCFGSKSFKSTRFGPGVPNIDLVLHNQHVTWRIFGANSMVQAAPGVSCLAFVDGGVNTRASIVIGAYQMENNLVQFDLARSRLGFSSSLLHFKTSCNNFNFTAIP >EOY08801 pep chromosome:Theobroma_cacao_20110822:5:20842416:20847851:1 gene:TCM_023986 transcript:EOY08801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRLPWLWESGGAELLDCYYMEADRRVITMLTYSRDLRVLHSYSFAWWRVNNLLSTSIACKLSVLYKLILS >EOY08098 pep chromosome:Theobroma_cacao_20110822:5:4497765:4500782:1 gene:TCM_022420 transcript:EOY08098 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 2 MEEKESTISGSLGNSDTDSPPAPVSSLVLPQVMNMDMNMSINMTLERSLDSAATATATVGGVGAGATITAATAIPGSGGGTLGSPGSGGLDSLAKKRRGRPRKYDADGNLRLPYPVVTTSPPGFTLSPCSPSEFSSSKRGRGRPPGSGNWQLLASLGKVQERDFTPHVVTVNTGEDVAGKILSFSQKGPRGICILSANGAVSNVTIRQPGSSGGILTYEGRFEILSLTGSFTVSDTGGVKCRTGGLSVSLAGPDGRVIGGGLA >EOY08097 pep chromosome:Theobroma_cacao_20110822:5:4497498:4501782:1 gene:TCM_022420 transcript:EOY08097 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 2 MEEKESTISGSLGNSDTDSPPAPVSSLVLPQVMNMDMNMSINMTLERSLDSAATATATVGGVGAGATITAATAIPGSGGGTLGSPGSGGLDSLAKKRRGRPRKYDADGNLRLPYPVVTTSPPGFTLSPCSPSEFSSSKRGRGRPPGSGNWQLLASLGELFANTAGGDFTPHVVTVNTGEDVAGKILSFSQKGPRGICILSANGAVSNVTIRQPGSSGGILTYEGRFEILSLTGSFTVSDTGGVKCRTGGLSVSLAGPDGRVIGGGLAGILVAASPIQIVVGSFMPNGYKVHKRKHYREHAVASTVAAAPSAAVTAARPISQAKPEGDNCMTPTSPFPGESLGEGDNSTIDKHVPNATSSHAAAGWNGSEPTSIHRPSPDINVSVPSE >EOY09429 pep chromosome:Theobroma_cacao_20110822:5:29076931:29079522:1 gene:TCM_024847 transcript:EOY09429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MAPTVMNYFYHFLILIISTLLLCFLVKSFRNFKSKAKYPPSPPALPIIGHIHLLKSGLPTSFEALANRYGPLMQIRVGATNFVVASDAKSAQEILRTFDTDFASKFQPGPTTYHIYKDSSFTNAPYGAYWRFVKKLCMTKLFTGSQLDRFIHIREQETSTLLKSLLKRSKEGEPCDLAEELTALTNNMIYRMAMGRRCSKNPNQAAEIRKFITDSMKYAAKFHFGEVFGPLKKLDLFGNGKRLKMSLKGYDQLMEQIMKDYHDNELKNCENDQEKDVMDILLETYKDTSAEVKLTRDQIKNFFMELFMAGVDTTAAAIRWAMAELIDHPHIFKKLREEIDSVVGKNRLIKESDAPKLPYLQAVVKEILRLHPPGPLLRRLSNKDSKITGFDLREGTRVFINVYQIMRDPNIYKEPEKFLPERFLGNSTEIKGQDFHYLPFGSGRRACPGASHAMFVMHATIGALIQCFDWKEKDLEKTDTELGAGYSGAFALPLVCYPITRFDPFEA >EOY09999 pep chromosome:Theobroma_cacao_20110822:5:32167964:32172147:-1 gene:TCM_025371 transcript:EOY09999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 4 MKFTWQCKMHLTSSVPLLTHGSCFRLGLNHSHLKFICCSRMDNYKRLKQGALTEFNKKNPIFKYPFGSNSGEELTSELHNQAIQGYCKIGDVDNAMKLVAHMEAMGFHPNSISYGFLIESLGSVGRTLEADALFQEMICLGLKPRIRLFNVLLKGFLRKGLLRLAVKVLVVMDERGVCKNQETYEILLDYYVNAGRLEDTWMVVNEMKEKGIHLNSFVYSKIICLYRDNGMWRKAIGIVEEIREKGISLDRQIYNSIIDTFGKYGELSEALEVFEKMKQESIRPDITTWNSLIQWHCKAGDLTKALELFTEMQEQGLYPDPKIFMSLISRLGELGKWDIIKKNFENMKSRGHQDVGAIYAILVDIYGQYGRFQDAEVCISALKSEGLLPSASMFCVLANAYAQQGFCEQTVKVLQIMEAEGIEPNIVMLNVLINAFGIAGRHEEALSIYHHIRDSVPEIYREMESSGCTPDRKARQMLQTALMVLEQRH >EOY10000 pep chromosome:Theobroma_cacao_20110822:5:32169881:32171939:-1 gene:TCM_025371 transcript:EOY10000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 4 MKFTWQCKMHLTSSVPLLTHGSCFRLGLNHSHLKFICCSRMDNYKRLKQGALTEFNKKNPIFKYPFGSNSGEELTSELHNQAIQGYCKIGDVDNAMKLVAHMEAMGFHPNSISYGFLIESLGSVGRTLEADALFQEMICLGLKPRIRLFNVLLKGFLRKGLLRLAVKVLVVMDERGVCKNQETYEILLDYYVNAGRLEDTWMVVNEMKEKGIHLNSFVYSKIICLYRDNGMWRKAIGIVEEIREKGISLDRQIYNSIIDTFGKYGELSEALEVFEKMKQESIRPDITTWNSLIQWHCKAGDLTKALELFTEMQEQGLYPDPKIFMSLISRLGELGKWDIIKKNFENMKSRGHQDVGAIYAILVDIYGQYGRFQDAEVCISALKSEGLLPSASMFCVLANAYAQQGFCEQTVKVLQIMEAEGIEPNIVMLNVLINAFGIAGRHEEALSIYHHIRDSVSPLCYAWIWVNLINFVCVFLG >EOY09998 pep chromosome:Theobroma_cacao_20110822:5:32169704:32171939:-1 gene:TCM_025371 transcript:EOY09998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 4 MKFTWQCKMHLTSSVPLLTHGSCFRLGLNHSHLKFICCSRMDNYKRLKQGALTEFNKKNPIFKYPFGSNSGEELTSELHNQAIQGYCKIGDVDNAMKLVAHMEAMGFHPNSISYGFLIESLGSVGRTLEADALFQEMICLGLKPRIRLFNVLLKGFLRKGLLRLAVKVLVVMDERGVCKNQETYEILLDYYVNAGRLEDTWMVVNEMKEKGIHLNSFVYSKIICLYRDNGMWRKAIGIVEEIREKGISLDRQIYNSIIDTFGKYGELSEALEVFEKMKQESIRPDITTWNSLIQWHCKAGDLTKALELFTEMQEQGLYPDPKIFMSLISRLGELGKWDIIKKNFENMKSRGHQDVGAIYAILVDIYGQYGRFQDAEVCISALKSEGLLPSASMFCVLANAYAQQGFCEQTVKVLQIMEAEGIEPNIVMLNVLINAFGIAGRHEEALSIYHHIRDSGISPDVITYSTLMKAFIRAKKFDRVVTVIEFS >EOY09997 pep chromosome:Theobroma_cacao_20110822:5:32168052:32172144:-1 gene:TCM_025371 transcript:EOY09997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 4 MKFTWQCKMHLTSSVPLLTHGSCFRLGLNHSHLKFICCSRMDNYKRLKQGALTEFNKKNPIFKYPFGSNSGEELTSELHNQAIQGYCKIGDVDNAMKLVAHMEAMGFHPNSISYGFLIESLGSVGRTLEADALFQEMICLGLKPRIRLFNVLLKGFLRKGLLRLAVKVLVVMDERGVCKNQETYEILLDYYVNAGRLEDTWMVVNEMKEKGIHLNSFVYSKIICLYRDNGMWRKAIGIVEEIREKGISLDRQIYNSIIDTFGKYGELSEALEVFEKMKQESIRPDITTWNSLIQWHCKAGDLTKALELFTEMQEQGLYPDPKIFMSLISRLGELGKWDIIKKNFENMKSRGHQDVGAIYAILVDIYGQYGRFQDAEVCISALKSEGLLPSASMFCVLANAYAQQGFCEQTVKVLQIMEAEGIEPNIVMLNVLINAFGIAGRHEEALSIYHHIRDSGISPDVITYSTLMKAFIRAKKFDRVPEIYREMESSGCTPDRKARQMLQTALMVLEQRH >EOY10045 pep chromosome:Theobroma_cacao_20110822:5:32685675:32688229:-1 gene:TCM_025434 transcript:EOY10045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance-like protein MLLKKSEALYLNLDELEGVKSLLYELDHEGFPNLKYLHVKSGSNIEYIIHSKQWIISKAFPVLESLFLQNLTNLKKICHGQLPPESFSRLKNVKVASCKKLKNLFSFSEARVLLQLEEIEVNDCENVTEIISEEKAIDDSEAMDKLVFRQLRSLRLQSLPQLICFCSREVHPKTSSTRSTSDKSSCCSEILDDNEATNKSVSSLSRSLRLQSLSKLCFCCREVSNIETTSTSSRDMTLLNQKILFPSKDFTSFHCIQNLTSLVIRDCNSPQHLFSVSVATTLMQLKFLEINKCEMMRAILVTEETGQVIYKVSFSQLNSLKLINLCRLKGFCSGNSILIEFPSLKRLEIDQCSELKEFVFKSISIDRKPQDTDSDSSLKTPLFNEKVLRNLFIFLYFPLYFHYLSRYTLTRSKGFIP >EOY06996 pep chromosome:Theobroma_cacao_20110822:5:133366:141231:1 gene:TCM_021544 transcript:EOY06996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein isoform 1 MDEHTIQDDDDDRDALAGLSSAPTPQRNIHSYSQQLRAPSAQKRYHQVRNHSLDDIPKPLDHNYYNNDSSDDEFFPHSSSLSNNAPSAEEYIITSHSQRLDQNLSLDGGPDDPRQCHTLPEFTGAGGGTGIFKVPMRATVHPGRPPFLELRPHPLRETQVGKFLRNIACTDTQLWAGQECGVRFWRFQDAYEPGLGAKVRRGDEDAVPFQESTNTSPTMCLLVDSGNRLVWSGHKDGKIRTWKMDQPADDTSPFKEGLSWQAHRGPVLSLIMSSYGDLWSGGEGGAIKIWPWESIEKSLSLRPEEKHMAALLVERSFIDLKSQVTVNGNCSISSSDIKCLISDHVRAKVWCSQPLSFSLWDARTKELLKVFNIDGQIENRVDMPSGQDQPVEDEMKVKFVSSSKKEKSGGFLQRSRNAIMGAADAVRRVATRGAGAFVEDNKRTEALVLSADGMIWSGCTNGLLVQWDGNGSRLQEVNHHPCAVQCFCAFGARIYVGYVSGTVQVMDLEGNLIAGWVAHNGPVIKLAAGDGFIFSLASHGGLRGWSISSPGPIDSLLRSHLAEKESNYSIQDNVRIVVGTWNVGQGRASQESLMSWLGSVVSDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSSIGHWWLDTIGKALDENTTFERMGSRQLAGLLISLWVRKNLRMHVGDIDAAAVPCGFGRAIGNKGGVGLRIRVFDRIMCFVNCHLAAHLEAVNRRNADFDHIYRNMVFTRSSNLLNNAAAGVSTAVQTLRATNAAGVNAEETKLDLAEADMVVFCGDFNYRLFGISYDEARDFVSQRCFDWLREKDQLRAEMKAGKVFQGMREALIRFPPTYKFERHRPGLAGYDSGEKKRIPAWCDRVIYRDNKSGPVSECSLECPIVSSILLYEACMDVTESDHKPVRCKFHSTIAHVDRSVRRQAFGEIIQSNEKVRSLLDELRYVPETVVSTNNIVLQNQDTSILRITNKCEKEKAIFKIICEGQSTVKDDEEVADYHPRGSFGLPRWLEVTPAAGIIKPEQFVEVSVHHEEFHTLEDLVDGIPQNWWCEDTRDKEVILTVFVQGSCSTETTSHQIHVRHCFSAKTVRIDSKSNTHRKGQGG >EOY06997 pep chromosome:Theobroma_cacao_20110822:5:132169:137367:1 gene:TCM_021544 transcript:EOY06997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein isoform 1 MDEHTIQDDDDDRDALAGLSSAPTPQRNIHSYSQQLRAPSAQKRYHQVRNHSLDDIPKPLDHNYYNNDSSDDEFFPHSSSLSNNAPSAEEYIITSHSQRLDQNLSLDGGPDDPRQCHTLPEFTGAGGGTGIFKVPMRATVHPGRPPFLELRPHPLRETQVGKFLRNIACTDTQLWAGQECGVRFWRFQDAYEPGLGAKVRRGDEDAVPFQESTNTSPTMCLLVDSGNRLVWSGHKDGKIRTWKMDQPADDTSPFKEGLSWQAHRGPVLSLIMSSYGDLWSGGEGGAIKIWPWESIEKSLSLRPEEKHMAALLVERSFIDLKSQVTVNGNCSISSSDIKCLISDHVRAKVWCSQPLSFSLWDARTKELLKVFNIDGQIENRVDMPSGQDQPVEDEMKVKFVSSSKKEKSGGFLQRSRNAIMGAADAVRRVATRGAGAFVEDNKRTEALVLSADGMIWSGCTNGLLVQWDGNGSRLQEVNHHPCAVQCFCAFGARIYVGYVSGTVQVMDLEGNLIAGWVAHNGPVIKLAAGDGFIFSLASHGGLRGWSISSPGPIDSLLRSHLAEKESNYSIQDNVRIVVGTWNVGQGRASQESLMSWLGSVVSDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSSIGHWWLDTIGKALDENTTFERMGSRQLAGLLISLWVRKNLRMHVGDIDAAAVPCGFGRAIGNKGGVGLRIRVFDRIMCFVNCHLAAHLEAVNRRNADFDHIYRNMVFTRSSNLLNNAAAGVSTAVQTL >EOY07001 pep chromosome:Theobroma_cacao_20110822:5:132169:137367:1 gene:TCM_021544 transcript:EOY07001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein isoform 1 MDEHTIQDDDDDRDALAGLSSAPTPQRNIHSYSQQLRAPSAQKRYHQVRNHSLDDIPKPLDHNYYNNDSSDDEFFPHSSSLSNNAPSAEEYIITSHSQRLDQNLSLDGGPDDPRQCHTLPEFTGAGGGTGIFKVPMRATVHPGRPPFLELRPHPLRETQVGKFLRNIACTDTQLWAGQECGVRFWRFQDAYEPGLGAKVRRGDEDAVPFQESTNTSPTMCLLVDSGNRLVWSGHKDGKIRTWKMDQPADDTSPFKEGLSWQAHRGPVLSLIMSSYGDLWSGGEGGAIKIWPWESIEKSLSLRPEEKHMAALLVERSFIDLKSQVTVNGNCSISSSDIKCLISDHVRAKVWCSQPLSFSLWDARTKELLKVFNIDGQIENRVDMPSGQDQPVEDEMKVKFVSSSKKEKSGGFLQRSRNAIMGAADAVRRVATRGAGAFVEDNKRTEALVLSADGMIWSGCTNGLLVQWDGNGSRLQEVNHHPCAVQCFCAFGARIYVGYVSGTVQVMDLEGNLIAGWVAHNGPVIKLAAGDGFIFSLASHGGLRGWSISSPGPIDSLLRSHLAEKESNYSIQDNVRIVVGTWNVGQGRASQESLMSWLGSVVSDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSSIGHWWLDTIGKALDENTTFERMGSRQLAGLLISLWVRKNLRMHVGDIDAAAVPCGFGRAIGNKGGVGLRIRVFDRIMCFVNCHLAAHLEAVNRRNADFDHIYRNMVFTRSSNLLNNAAAGVSTAVQTL >EOY07002 pep chromosome:Theobroma_cacao_20110822:5:133193:140193:1 gene:TCM_021544 transcript:EOY07002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein isoform 1 MDEHTIQDDDDDRDALAGLSSAPTPQRNIHSYSQQLRAPSAQKRYHQVRNHSLDDIPKPLDHNYYNNDSSDDEFFPHSSSLSNNAPSAEEYIITSHSQRLDQNLSLDGGPDDPRQCHTLPEFTGAGGGTGIFKVPMRATVHPGRPPFLELRPHPLRETQVGKFLRNIACTDTQLWAGQECGVRFWRFQDAYEPGLGAKVRRGDEDAVPFQESTNTSPTMCLLVDSGNRLVWSGHKDGKIRTWKMDQPADDTSPFKEGLSWQAHRGPVLSLIMSSYGDLWSGGEGGAIKIWPWESIEKSLSLRPEEKHMAALLVERSFIDLKSQVTVNGNCSISSSDIKCLISDHVRAKVWCSQPLSFSLWDARTKELLKVFNIDGQIENRVDMPSGQDQPVEDEMKVKFVSSSKKEKSGGFLQRSRNAIMGAADAVRRVATRGAGAFVEDNKRTEALVLSADGMIWSGCTNGLLVQWDGNGSRLQEVNHHPCAVQCFCAFGARIYVGYVSGTVQVMDLEGNLIAGWVAHNGPVIKLAAGDGFIFSLASHGGLRGWSISSPGPIDSLLRSHLAEKESNYSIQDNVRIVVGTWNVGQGRASQESLMSWLGSVVSDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSSIGHWWLDTIGKALDENTTFERMGSRQLAGLLISLWVRKNLRMHVGDIDAAAVPCGFGRAIGNKGGVGLRIRVFDRIMCFVNCHLAAHLEAVNRRNADFDHIYRNMVFTRSSNLLNNAAAGVSTAVQTLRATNAAGVNAEETKLDLAEADMVVFCGDFNYRLFGISYDEARDFVSQRCFDWLREKDQLRAEMKAGKVFQGMREALIRFPPTYKFERHRPGLAGYDSGEKKRIPAWCDRVIYRDNKSGPVSECSLECPIVSSILLYEACMDVTESDHKPVRCKFHSTIAHVDRSVRRQAFGEIIQSNEKVRSLLDELRYVPETVVSTNNIVLQNQDTSILRITNKCEKEKAIFKIICEGQSTVKDDEEVADYHPRGSFGLPRWLEVTPAAGIIKPEQFVEVSVHHEEFHTLEDLVDGIPQNWWCEDTRDKEVILTVFVQGSCSTETTSHQIHVRHCFSAKTVRIDSKSNTHRKGQGGSLHRSELRQLSSSSDATDDSTRN >EOY06998 pep chromosome:Theobroma_cacao_20110822:5:132787:139918:1 gene:TCM_021544 transcript:EOY06998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein isoform 1 MDEHTIQDDDDDRDALAGLSSAPTPQRNIHSYSQQLRAPSAQKRYHQVRNHSLDDIPKPLDHNYYNNDSSDDEFFPHSSSLSNNAPSAEEYIITSHSQRLDQNLSLDGGPDDPRQCHTLPEFTGAGGGTGIFKVPMRATVHPGRPPFLELRPHPLRETQVGKFLRNIACTDTQLWAGQECGVRFWRFQDAYEPGLGAKVRRGDEDAVPFQESTNTSPTMCLLVDSGNRLVWSGHKDGKIRTWKMDQPADDTSPFKEGLSWQAHRGPVLSLIMSSYGDLWSGGEGGAIKIWPWESIEKSLSLRPEEKHMAALLVERSFIDLKSQVTVNGNCSISSSDIKCLISDHVRAKVWCSQPLSFSLWDARTKELLKVFNIDGQIENRVDMPSGQDQPVEDEMKVKFVSSSKKEKSGGFLQRSRNAIMGAADAVRRVATRGAGAFVEDNKRTEALVLSADGMIWSGCTNGLLVQWDGNGSRLQEVNHHPCAVQCFCAFGARIYVGYVSGTVQVMDLEGNLIAGWVAHNGPVIKLAAGDGFIFSLASHGGLRGWSISSPGPIDSLLRSHLAEKESNYSIQDNVRIVVGTWNVGQGRASQESLMSWLGSVVSDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSSIGHWWLDTIGKALDENTTFERMGSRQLAGLLISLWVRKNLRMHVGDIDAAAVPCGFGRAIGNKGGVGLRIRVFDRIMCFVNCHLAAHLEAVNRRNADFDHIYRNMVFTRSSNLLNNAAGMVRLLFFCCSLAFSSYLFRLLYSSGLPLVLCVAAGVSTAVQTLRATNAAGVNAEETKLDLAEADMVVFCGDFNYRLFGISYDEARDFVSQRCFDWLREKDQLRAEMKAGKVFQGMREALIRFPPTYKFERHRPGLAGYDSGEKKRIPAWCDRVIYRDNKSGPVSECSLECPIVSSILLYEACMDVTESDHKPVRCKFHSTIAHVDRSVRRQAFGEIIQSNEKVRSLLDELRYVPETVVSTNNIVLQNQDTSILRITNKCEKEKAIFKIICEGQSTVKDDEEVADYHPRGSFGLPRWLEVTPAAGIIKPEQFVEVSVHHEEFHTLEDLVDGIPQNWWCEDTRDKEVILTVFVQGSCSTETTSHQIHVRHCFSAKTVRIDSKSNTHRKGQGGSLHRSELRQLSSSSDATDDSTRN >EOY06999 pep chromosome:Theobroma_cacao_20110822:5:132169:137367:1 gene:TCM_021544 transcript:EOY06999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein isoform 1 MDEHTIQDDDDDRDALAGLSSAPTPQRNIHSYSQQLRAPSAQKRYHQVRNHSLDDIPKPLDHNYYNNDSSDDEFFPHSSSLSNNAPSAEEYIITSHSQRLDQNLSLDGGPDDPRQCHTLPEFTGAGGGTGIFKVPMRATVHPGRPPFLELRPHPLRETQVGKFLRNIACTDTQLWAGQECGVRFWRFQDAYEPGLGAKVRRGDEDAVPFQESTNTSPTMCLLVDSGNRLVWSGHKDGKIRTWKMDQPADDTSPFKEGLSWQAHRGPVLSLIMSSYGDLWSGGEGGAIKIWPWESIEKSLSLRPEEKHMAALLVERSFIDLKSQVTVNGNCSISSSDIKCLISDHVRAKVWCSQPLSFSLWDARTKELLKVFNIDGQIENRVDMPSGQDQPVEDEMKVKFVSSSKKEKSGGFLQRSRNAIMGAADAVRRVATRGAGAFVEDNKRTEALVLSADGMIWSGCTNGLLVQWDGNGSRLQEVNHHPCAVQCFCAFGARIYVGYVSGTVQVMDLEGNLIAGWVAHNGPVIKLAAGDGFIFSLASHGGLRGWSISSPGPIDSLLRSHLAEKESNYSIQDNVRIVVGTWNVGQGRASQESLMSWLGSVVSDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSSIGHWWLDTIGKALDENTTFERMGSRQLAGLLISLWVRKNLRMHVGDIDAAAVPCGFGRAIGNKGGVGLRIRVFDRIMCFVNCHLAAHLEAVNRRNADFDHIYRNMVFTRSSNLLNNAAAGVSTAVQTL >EOY07000 pep chromosome:Theobroma_cacao_20110822:5:132169:137367:1 gene:TCM_021544 transcript:EOY07000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein isoform 1 MDEHTIQDDDDDRDALAGLSSAPTPQRNIHSYSQQLRAPSAQKRYHQVRNHSLDDIPKPLDHNYYNNDSSDDEFFPHSSSLSNNAPSAEEYIITSHSQRLDQNLSLDGGPDDPRQCHTLPEFTGAGGGTGIFKVPMRATVHPGRPPFLELRPHPLRETQVGKFLRNIACTDTQLWAGQECGVRFWRFQDAYEPGLGAKVRRGDEDAVPFQESTNTSPTMCLLVDSGNRLVWSGHKDGKIRTWKMDQPADDTSPFKEGLSWQAHRGPVLSLIMSSYGDLWSGGEGGAIKIWPWESIEKSLSLRPEEKHMAALLVERSFIDLKSQVTVNGNCSISSSDIKCLISDHVRAKVWCSQPLSFSLWDARTKELLKVFNIDGQIENRVDMPSGQDQPVEDEMKVKFVSSSKKEKSGGFLQRSRNAIMGAADAVRRVATRGAGAFVEDNKRTEALVLSADGMIWSGCTNGLLVQWDGNGSRLQEVNHHPCAVQCFCAFGARIYVGYVSGTVQVMDLEGNLIAGWVAHNGPVIKLAAGDGFIFSLASHGGLRGWSISSPGPIDSLLRSHLAEKESNYSIQDNVRIVVGTWNVGQGRASQESLMSWLGSVVSDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSSIGHWWLDTIGKALDENTTFERMGSRQLAGLLISLWVRKNLRMHVGDIDAAAVPCGFGRAIGNKGGVGLRIRVFDRIMCFVNCHLAAHLEAVNRRNADFDHIYRNMVFTRSSNLLNNAAAGVSTAVQTL >EOY09864 pep chromosome:Theobroma_cacao_20110822:5:31384307:31387312:-1 gene:TCM_025235 transcript:EOY09864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 3 MKHKKLLLSLLEKCPNLKNLKKIHAHATTLGLLQNHNQALSCKILTTYANLNNPDDANRTFNQIQRPDIVSWTCLIKLFVQYEDPFKSVLAFSQLIRNGLRPDTYSVVAALSACGKNKDLDNGKLIHGVVSKYELGFENPIVGNALIDMYSRNGDILASELVFEWMFVKDIASWNSLLNGFLLCNDLEASRRVFDKMPSRNAVSWTAMISGYVKGKEPLVGLKLFKEMKSEGKVDPTMVTIVAVLAGCADSGGLYFGVSVHGYVKKVNLNEKNVVLSNALMDMYSKCGYLDVTAKIFNDMVVRDVFSWTTMITGYAFHGKGKQALELFFDMLESRVAPNEVTFLSALSACSHEGLLVQGQRLFRIMVQRYGFKPKIEHYGCVLDLLGRAGLLEEAKMFIEEMPILPDAVVWRSLLCACLVHGKLDLAEVAGKKVIELEPDDDGAYLLLWHMYSSTNRPEGAVKIRKLMRNQKVRKRPGCSWLEVNGIVREFLAEYRPHYAGSDSCCILEGISEQSKLNEEFLWGRGGEEGFAKDFHKQTCSLSVRSPYIFCFCSEELAQRIMRKTCPLPVYCLSISRRRTITGQFKAKANRWQRQLNFQAIDCSKKKEKGSPAAQEEKADMASLGKPFFEHRISQGASLILLISARLLL >EOY09866 pep chromosome:Theobroma_cacao_20110822:5:31384998:31387411:-1 gene:TCM_025235 transcript:EOY09866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 3 MKHKKLLLSLLEKCPNLKNLKKIHAHATTLGLLQNHNQALSCKILTTYANLNNPDDANRTFNQIQRPDIVSWTCLIKLFVQYEDPFKSVLAFSQLIRNGLRPDTYSVVAALSACGKNKDLDNGKLIHGVVSKYELGFENPIVGNALIDMYSRNGDILASELVFEWMFVKDIASWNSLLNGFLLCNDLEASRRVFDKMPSRNAVSWTAMISGYVKGKEPLVGLKLFKEMKSEGKVDPTMVTIVAVLAGCADSGGLYFGVSVHGYVKKVNLNEKNVVLSNALMDMYSKCGYLDVTAKIFNDMVVRDVFSWTTMITGYAFHGKGKQALELFFDMLESRVAPNEVTFLSALSACSHEGLLVQGQRLFRIMVQRYGFKPKIEHYGCVLDLLGRAGLLEEAKMFIEEMPILPDAVVWRSLLCACLVHGKLDLAEVAGKKVIELEPDDDGAYLLLWHMYSSTNRPEGAVKIRKLMRNQKVRKRPGCSWLEVNGIVREFLAEYRPHYAGSDSCCILEGISEQSKLNEEFLWGRGGEEGFAKDFHKQTCSLSVRSPYIFCFCSEELAQRIMRKTCPLPAADEQSLVNSRLRLIDGRDN >EOY09865 pep chromosome:Theobroma_cacao_20110822:5:31383316:31387411:-1 gene:TCM_025235 transcript:EOY09865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 3 MKHKKLLLSLLEKCPNLKNLKKIHAHATTLGLLQNHNQALSCKILTTYANLNNPDDANRTFNQIQRPDIVSWTCLIKLFVQYEDPFKSVLAFSQLIRNGLRPDTYSVVAALSACGKNKDLDNGKLIHGVVSKYELGFENPIVGNALIDMYSRNGDILASELVFEWMFVKDIASWNSLLNGFLLCNDLEASRRVFDKMPSRNAVSWTAMISGYVKGKEPLVGLKLFKEMKSEGKVDPTMVTIVAVLAGCADSGGLYFGVSVHGYVKKVNLNEKNVVLSNALMDMYSKCGYLDVTAKIFNDMVVRDVFSWTTMITGYAFHGKGKQALELFFDMLESRVAPNEVTFLSALSACSHEGLLVQGQRLFRIMVQRYGFKPKIEHYGCVLDLLGRAGLLEEAKMFIEEMPILPDAVVWRSLLCACLVHGKLDLAEVAGKKVIELEPDDDGAYLLLWHMYSSTNRPEGAVKIRKLMRNQKVRKRPGCSWLEVNGIVREFLAEYRPHYAGSDSCCILEGISEQSKLNEEFLWGRGGEEGFAKDFHKQTCSLSVRSPYIFCFCSEELAQRIMRKTCPLPDEQSLVNSRLRLIDGRDN >EOY11453 pep chromosome:Theobroma_cacao_20110822:5:39313448:39322274:-1 gene:TCM_026624 transcript:EOY11453 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIRP,Myb-like DNA-binding domain, putative isoform 2 MAPTRKSKSVNKRYSSVYEVSPDKDAGNSSKNKPKKKLADKLGSQWSKEEIERFYKAYREYGKDWKKVAAAVHNRSTEMVEALYLMNRAYLSLPDGTASVIGLIAMMTDHYSVLRGSDCERESNEPSEIPQKAQKRKRAKVHLGTSKEGVVQPQSIASSQGCLSLLKRAGLNGIHPHAVRKRTPRVPVSYSYRRNDTESYIPPNKRVKKSDADDNDAEHVAALTLTGALQRGGSPQVSQTPYKRAECRRSSPVQSYDRTSPQPETTKAKLDDSSYECWMEGRPRGTEPVIGTHARDADPLMDMEVVGTIEGHRKGKKFYRKKMKVEETKNNLSDDGGEACSGTEERIRGSTLKGKVDMEITSAKSEQLSPWSQRKRSNKKLVFGDESSSIDALLTLANLSTSMLPTSIMESESSVKLKENRITLESVDKSSAPEAASTSHHRDNIKHLRPNEKVLDSITGAEEATTRKLKVGRNSAMDDNVVSEAKQKPEPTNNSWKRKRKSFSSKISNAEASMDSHLQQSFDNEDMGEEDNKYLTKGKCGAQSSVQSRQWKSFRVSEDSSTNDDPKMAGIDSVVLTSQVPAPNPVSVPPKHQSRRKMNLRRAFLSTDRSSSKCTLKNQPIKQSVTQDRLKEQLSSCLSSNLARRWCSFEWFYSAIDYAWFAKREFVEYLNHVGLGHVPRLTRVEWGVIRSSLGKPRRFSERFLHEEREKLKHYRESVRQHYSQLRVGAREGLPTDLAYPLSVGQQVIAIHPKTREAHDGKVLTVDHDRCRVQFDSPELGVEFVMDIDCMPLNPLENMPEALRRQNLAFDKFSVTPKPSQVNSHSDFGGSTVFTSSGHLENGTSPVNMSANQIKVDANRNILHAEAAVPYVVSAHQAAYGQPLTMAHIKGRETDTRAMSELNGALDKKEALLMELRNTNNDISENQNGESCLKDSEPFKKHIATASSALVNLRQRNAYPANPLSPWQKPPTNSNFFGGLKSYVDSSLVSPESGSGVGEIVQGSRLKAHAMVDAAMKAMSSMKEGEDAFMRIGEALDSLDKRQFTYDIRMPVIKSREQENGSMDYRNHLVSCTSKPVAAGWATNPKSQEASDKNEEQGPSELIASCVATLLMIQTCTERQYPPADVAQIIDSAVTSLHPCFPQNLPIYREIQMCMGRIKTQILALIPT >EOY11452 pep chromosome:Theobroma_cacao_20110822:5:39313640:39321412:-1 gene:TCM_026624 transcript:EOY11452 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIRP,Myb-like DNA-binding domain, putative isoform 2 MAPTRKSKSVNKRYSSVYEVSPDKDAGNSSKNKPKKKLADKLGSQWSKEEIERFYKAYREYGKDWKKVAAAVHNRSTEMVEALYLMNRAYLSLPDGTASVIGLIAMMTDHYSVLRGSDCERESNEPSEIPQKAQKRKRAKVHLGTSKEGVVQPQSIASSQGCLSLLKRAGLNGIHPHAVRKRTPRVPVSYSYRRNDTESYIPPNKRVKKSDADDNDAEHVAALTLTGALQRGGSPQVSQTPYKRAECRRSSPVQSYDRTSPQPETTKAKLDDSSYECWMEGRPRGTEPVIGTHARDADPLMDMEVVGTIEGHRKGKKFYRKKMKVEETKNNLSDDGGEACSGTEERIRGSTLKGKVDMEITSAKSEQLSPWSQRKRSNKKLVFGDESSSIDALLTLANLSTSMLPTSIMESESSVKLKENRITLESVDKSSAPEAASTSHHRDNIKHLRPNEKVLDSITGAEEATTRKLKVGRNSAMDDNVVSEAKQKPEPTNNSWKRKRKSFSSKLQISNAEASMDSHLQQSFDNEDMGEEDNKYLTKGKCGAQSSVQSRQWKSFRVSEDSSTNDDPKMAGIDSVVLTSQVPAPNPVSVPPKHQSRRKMNLRRAFLSTDRSSSKCTLKNQPIKQSVTQDRLKEQLSSCLSSNLARRWCSFEWFYSAIDYAWFAKREFVEYLNHVGLGHVPRLTRVEWGVIRSSLGKPRRFSERFLHEEREKLKHYRESVRQHYSQLRVGAREGLPTDLAYPLSVGQQVIAIHPKTREAHDGKVLTVDHDRCRVQFDSPELGVEFVMDIDCMPLNPLENMPEALRRQNLAFDKFSVTPKPSQVNSHSDFGGSTVFTSSGHLENGTSPVNMSANQIKVDANRNILHAEAAVPYVVSAHQAAYGQPLTMAHIKGRETDTRAMSELNGALDKKEALLMELRNTNNDISENQNGESCLKDSEPFKKHIATASSALVNLRQRNAYPANPLSPWQKPPTNSNFFGGLKSYVDSSLVSPESGSGVGEIVQGSRLKAHAMVDAAMKAMSSMKEGEDAFMRIGEALDSLDKRQFTYDIRMPVIKSREQENGSMDYRNHLVSCTSKPVAAGWATNPKSQEASDKNEEQGPSELIASCVATLLMIQTCTERQYPPADVAQIIDSAVTSLHPCFPQNLPIYREIQMCMGRIKTQILALIPT >EOY11451 pep chromosome:Theobroma_cacao_20110822:5:39313365:39322573:-1 gene:TCM_026624 transcript:EOY11451 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIRP,Myb-like DNA-binding domain, putative isoform 2 MDCCIYAMKKKLADKLGSQWSKEEIERFYKAYREYGKDWKKVAAAVHNRSTEMVEALYLMNRAYLSLPDGTASVIGLIAMMTDHYSVLRGSDCERESNEPSEIPQKAQKRKRAKVHLGTSKEGVVQPQSIASSQGCLSLLKRAGLNGIHPHAVRKRTPRVPVSYSYRRNDTESYIPPNKRVKKSDADDNDAEHVAALTLTGALQRGGSPQVSQTPYKRAECRRSSPVQSYDRTSPQPETTKAKLDDSSYECWMEGRPRGTEPVIGTHARDADPLMDMEVVGTIEGHRKGKKFYRKKMKVEETKNNLSDDGGEACSGTEERIRGSTLKGKVDMEITSAKSEQLSPWSQRKRSNKKLVFGGLNLRSSIEFDSAYKLNIVFLFFFRYVDESSSIDALLTLANLSTSMLPTSIMESESSVKLKENRITLESVDKSSAPEAASTSHHRDNIKHLRPNEKVLDSITGAEEATTRKLKVGRNSAMDDNVVSEAKQKPEPTNNSWKRKRKSFSSKISNAEASMDSHLQQSFDNEDMGEEDNKYLTKGKCGAQSSVQSRQWKSFRVSEDSSTNDDPKMAGIDSVVLTSQVPAPNPVSVPPKHQSRRKMNLRRAFLSTDRSSSKCTLKNQPIKQSVTQDRLKEQLSSCLSSNLARRWCSFEWFYSAIDYAWFAKREFVEYLNHVGLGHVPRLTRVEWGVIRSSLGKPRRFSERFLHEEREKLKHYRESVRQHYSQLRVGAREGLPTDLAYPLSVGQQVIAIHPKTREAHDGKVLTVDHDRCRVQFDSPELGVEFVMDIDCMPLNPLENMPEALRRQNLAFDKFSVTPKPSQVNSHSDFGGSTVFTSSGHLENGTSPVNMSANQIKVDANRNILHAEAAVPYVVSAHQAAYGQPLTMAHIKGRETDTRAMSELNGALDKKEALLMELRNTNNDISENQNGESCLKDSEPFKKHIATASSALVNLRQRNAYPANPLSPWQKPPTNSNFFGGLKSYVDSSLVSPESGSGVGEIVQGSRLKAHAMVDAAMKAMSSMKEGEDAFMRIGEALDSLDKRQFTYDIRMPVIKSREQENGSMDYRNHLVSCTSKPVAAGWATNPKSQEASDKNEEQGPSELIASCVATLLMIQTCTERQYPPADVAQIIDSAVTSLHPCFPQNLPIYREIQMCMGRIKTQILALIPT >EOY11454 pep chromosome:Theobroma_cacao_20110822:5:39312890:39322292:-1 gene:TCM_026624 transcript:EOY11454 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIRP,Myb-like DNA-binding domain, putative isoform 2 MAPTRKSKSVNKRYSSVYEVSPDKDAGNSSKNKPKKKLADKLGSQWSKEEIERFYKAYREYGKDWKKVAAAVHNRSTEMVEALYLMNRAYLSLPDGTASVIGLIAMMTDHYSVLRGSDCERESNEPSEIPQKAQKRKRAKVHLGTSKEGVVQPQSIASSQGCLSLLKRAGLNGIHPHAVRKRTPRVPVSYSYRRNDTESYIPPNKRVKKSDADDNDAEHVAALTLTGALQRGGSPQVSQTPYKRAECRRSSPVQSYDRTSPQPETTKAKLDDSSYECWMEGRPRGTEPVIGTHARDADPLMDMEVVGTIEGHRKGKKFYRKKMKVEETKNNLSDDGGEACSGTEERIRGSTLKGKVDMEITSAKSEQLSPWSQRKRSNKKLVFGDESSSIDALLTLANLSTSMLPTSIMESESSVKLKENRITLESVDKSSAPEAASTSHHRDNIKHLRPNEKVLDSITGAEEATTRKLKVGRNSAMDDNVVSEAKQKPEPTNNSWKRKRKSFSSKISNAEASMDSHLQQSFDNEDMGEEDNKYLTKGKCGAQSSVQSRQWKSFRVSEDSSTNDDPKMAGIDSVVLTSQVPAPNPVSVPPKHQSRRKMNLRRAFLSTDRSSSKCTLKNQPIKQSVTQDRLKEQLSSCLSSNLARRWCSFEWFYSAIDYAWFAKREFVEYLNHVGLGHVPRLTRVEWGVIRSSLGKPRRFSERFLHEEREKLKHYRESVRQHYSQLRVGAREGLPTDLAYPLSVGQQVIAIHPKTREAHDGKVLTVDHDRCRVQFDSPELGVEFVMDIDCMPLNPLENMPEALRRQNLAFDKFSVTPKPSQVNSHSDFGGSTVFTSSGHLENGTSPVNMSANQIKVDANRNILHAEAAVPYVVSAHQAAYGQPLTMAHIKGRETDTRAMSELNGASDKNEEQGPSELIASCVATLLMIQTCTERQYPPADVAQIIDSAVTSLHPCFPQNLPIYREIQMCMGRIKTQILALIPT >EOY08493 pep chromosome:Theobroma_cacao_20110822:5:9285273:9286527:-1 gene:TCM_022967 transcript:EOY08493 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MVMERGWKPSVEISPNCPRCGSSNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKSLRLPTDGVPSKGLSCGVLPPESIGHSYGNKVNASAESNSSSAMSDGSQIDLALVYANFLNQHAENKSGFEVSDQLPSEFDPSLEFSSLSNTNMDSSIQLTEENGLIGCFTRSDLSTENHLSNNDRAYYSGFESVHKHQDRVQQCTCQETSNYALPPLPGDDLSSQETPWTNSNSSMSQTLLATQEPVLGPEVHDPNLLFGNWSLFDLSGDDAFFRIH >EOY08509 pep chromosome:Theobroma_cacao_20110822:5:9549961:9577110:1 gene:TCM_022993 transcript:EOY08509 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 48, putative MTRKKVKLAWITNDSTRRASLKKRRLGLLKKVSELTTLCDVNACVIIYSPDEIEPKVWPSHNVVKQQLIWFRSIPELNRQKKMMNQETYLKGKVTKVQEQLTKLERRNKDVEMAHLTHQINQGKRLDELNLSELRGLTWLVEENMKEIKKRIEFLERALFAPTYAPHHPHLPFPPQSHTMNETARIGSGSVDHGRDERTPIEPLLWDQRFIDMMNNNELKSAGCISIRSDMVILYRSFAKSATDDLKLPRHSFGGSSSAAVDMGLPLTSFRPHGAGAGADDMWLPHECRIGGSKFGPFGNEIELGPYLFRGHIRSSFFETELRLPPFKRYGGSSSDAGSDFRLPFNGKTWANNLSP >EOY11748 pep chromosome:Theobroma_cacao_20110822:5:40214540:40219422:1 gene:TCM_026829 transcript:EOY11748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MICFLGKCGGESKLEWSYLVPEMRLRVKAKAKAKAKPEMTRKGPKLREVDGDGMVNGAKGGEDNKRREEGMELSVVGDEFRCLTPHYMFTNTYSKTQACILQNNCLTPSSSL >EOY10772 pep chromosome:Theobroma_cacao_20110822:5:36380524:36386728:-1 gene:TCM_026071 transcript:EOY10772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 1 member 18 MLAAGQECNVWTYTLLSLTVQAPSSYFLILTVQGRLNSMRINGEEYLQTWHALKPDFSSLTEQYNLEQYNQETCLTTMKGVQILVAFVLLALVSSLASASDPSPLQDFCVAINDAKNGVFVNGKFCKDPKLANADDFFFSGLNKQGNTSNQLGSKVTPVNVEQIPGLNTLGISFARVDYAPYGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPDNRLITKVLYPGDVFVFPVGLIHFQFNKGNTNAVAFAGLSSQNPGVITIANAVFGSNPAINPEVLAKAFQLEKNIERMKQMSQCCDEATREDGDVCTTEAIKMLESDLQSGVICC >EOY07540 pep chromosome:Theobroma_cacao_20110822:5:1907971:1913404:1 gene:TCM_021948 transcript:EOY07540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative MDSRKMDRRKTMTMNWDGLRDDDDEFFESYDRMSSVVPLDLASSGSEDEDEDFDDCRISFASAVSSVHTPQFRAFATKATPMSPDYDIWMASPGSVKERRQRLFQGMGLSPDKELLSFKRVVSNKVPNGQVQTTTKVPVAVTSVSTTDNQDNNEDSSKPELPHSPLPILFVRSRSEGEIESLSIEKKRKEELLGSISKQRLTRTSSLISTPHAKSYPYQESIRASPKGAGNSRTIKQNGGLTSMFSKNRFGAFFLIKNLDTGKEFIVNEYDQDGMWNKLSDLQTGKQLTMEEFEKCVGYSPVVKELMRRENVNRMIGDSGIDRKFNSYLSKSLRMSKRRGAAVLRSIKGVANSMTLRGEKERESVLAPEPKNGGKNGNNQWVKVRQTGKSYKELSALHLCQEIQAHEGSIWTIRFSTDTRFLASAGEDKIIHVWEVQECEVMSMSEGSLTPGNLTPLHPSLSSSPLHPSLSMSQDQSGLLEAVGNLHEKKKKGKGSSSKKGNQIPDYVHVPETVFSLSDKPVCSFKGHLDDVLDLSWSRSQQLLSSSMDKTVRLWDLESKSCLKLFAHNDYVTCIHFNPMDDDYFISGSLDAKVRIWNIPDRQVVDWTDLHEMATSACYTPDGQGAIIGSHKGTCRMYSTKDYKLTQLEQITIQTKKKAHAKKITGFQFCPINPSEVLITSADSRIRILDGSEVIYKFKGFRNTSSQISASFTSDGKYIVSASEDSQVFVWRYDESRNTGAGKRSVITARGYEYFPCKDVSVAIPWPGTIRGEAPSMPIAHSKRHSKRSPPQQTNTNCESPTKEDSPRANNNKKGLPPLPKKNNNNNNVERSVTPPEEELPQISRTDTGIGESPSISKSSSLRYGDSPSVSAASNLNASSSIRAGDSPSISSASNPSSASIRYGDSPSISSATPSSSWSASWSWFDVGGHGNHHTQATAWGLVIVTATVGVRICGAKSIAEKIRMEDSAPLATVEDIQKRLLRPPSLHTSPEGLNSSQLKVSLKQSGTVKKKLEDYLHPVLLAAVSSKIGHSKKAKAETGLKREVRGFEWPVDELKVFVEDDSRIANRASWRNREAVDLNNDFDAIGDGGDENEEIASPFQRFEKTALKNFKS >EOY11623 pep chromosome:Theobroma_cacao_20110822:5:39869567:39874733:1 gene:TCM_026745 transcript:EOY11623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEVERKRTKGGFFQLFDWNGKSRKKLFSNNSELSESRRGTPVENLAKSLPHTTEGDEYNATSSSRRSCDFSSASSVTSDEGYGSRAPGVVARLMGLDSLPTLNVPEPSSTQYSGSCSLRASHYERSTPNLWNECQPTDYTNISNKLDRLSSNPIEPRFHKVQNRPIERFQTEILPPKSAKPIPITHHKLLSPIRSPGFIPTKNAAYIMEAAAKIIEASPQTTSKGKGPSLGSSSVPLRIRDLKGKIEAAHKASRPQRPDEPSVSAMKPLKGQHKNKSHNKSDYTPTLRISRDSEKVSSNSLRNKGKSVSLAEQARVNVQRRDGSFSSSNGSSASQKERNDAKRKQFSRSQADMQRTVEKGTSANRTNNVLRPNNQKQNCISTRDYSTSKTSTLDQHARKARSMNGTIGRNRTLNKVTINSEPQSRKTGSVANDAAKELPMSRRKNLPKKKRPVNEDLASGETSSDTSSINYSEKSIKCNVATNGHLNRDAEKMKKSMDVVSFTFTSPISRVAEKSSSFDSDPSGDNYLLYLKSSAFSSPGFNIIGGDSLSVLLEKKLQELTCGVESSNCNIIVDGTSASPASSLQNSVSSSGMVPTTLGGHHKRLQVDLDKDISYSSGDFDHSSMDTMGLDWRKKWQLSEETEEQNACSSSSSSEIGVGLDYRHPIPLSIFEPDVMSRSCSDSRNGTEGMKQFMLAQDQGASSWTPGSESLTEFGTELSDSASSTSVGEMGKKLLTSTSSSRDLKESTNWELDYLKMVLKDSELMFTEYALGRTEKVMTLNAFNQLEHRNETERIGEDHDKLYQKLLLDCVSDCLESRCKQLFVGTCKGWVKWEKLIQKREWLAQELYKEIWGWESMGDTMVDDLVDKDMSTQHGRWLGFDLEAFEEGVEIEKSIFSSLVDDLVSDFLL >EOY11626 pep chromosome:Theobroma_cacao_20110822:5:39869541:39874097:1 gene:TCM_026745 transcript:EOY11626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEVERKRTKGGFFQLFDWNGKSRKKLFSNNSELSESRRGTPVENLAKSLPHTTEGDEYNATSSSRRSCDFSSASSVTSDEGYGSRAPGVVARLMGLDSLPTLNVPEPSSTQYSGSCSLRASHYERSTPNLWNECQPTDYTNISNKLDRLSSNPIEPRFHKVQNRPIERFQTEILPPKSAKPIPITHHKLLSPIRSPGFIPTKNAAYIMEAAAKIIEASPQTTSKGKGPSLGSSSVPLRIRDLKGKIEAAHKASRPQRPDEPSVSAMKPLKGQHKNKSHNKSDYTPTLRISRDSEKVSSNSLRNKGKSVSLAEQARVNVQRRDGSFSSSNGSSASQKERNDAKRKQFSRSQADMQRTVEKGTSANRTNNVLRPNNQKQNCISTRDYSTSKTSTLDQHARKARSMNGTIGRNRTLNKVTINSEPQSRKTGSVANDAAKELPMSRRKNLPKKKRPVNEDLASGETSSDTSSINYSEKSIKCNVATNGHLNRDAEKMKKSMDVVSFTFTSPISRVAEKSSSFDSDPSGDNYLLYLKSSAFSSPGFNIIGGDSLSVLLEKKLQELTCGVESSNCNIIVDGTSASPASSLQNSVSSSGMVPTTLGGHHKRLQVDLDKDISYSSGDFDHSSMDTMGLDWRKKWQLSEETEEQNACSSSSSSEIGVGLDYRHPIPLSIFEPDVMSRSCSDSRNGTEGMKQFMLAQDQGASSWTPGSESLTEFGTELSDSASSTSVGEMGKKLLTSTSSSRDLKESTNWELDYLKMVLKDSELMFTEYALGRTEKVMTLNAFNQLE >EOY11622 pep chromosome:Theobroma_cacao_20110822:5:39869541:39874097:1 gene:TCM_026745 transcript:EOY11622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEVERKRTKGGFFQLFDWNGKSRKKLFSNNSELSESRRGTPVENLAKSLPHTTEGDEYNATSSSRRSCDFSSASSVTSDEGYGSRAPGVVARLMGLDSLPTLNVPEPSSTQYSGSCSLRASHYERSTPNLWNECQPTDYTNISNKLDRLSSNPIEPRFHKVQNRPIERFQTEILPPKSAKPIPITHHKLLSPIRSPGFIPTKNAAYIMEAAAKIIEASPQTTSKGKGPSLGSSSVPLRIRDLKGKIEAAHKASRPQRPDEPSVSAMKPLKGQHKNKSHNKSDYTPTLRISRDSEKVSSNSLRNKGKSVSLAEQARVNVQRRDGSFSSSNGSSASQKERNDAKRKQFSRSQADMQRTVEKGTSANRTNNVLRPNNQKQNCISTRDYSTSKTSTLDQHARKARSMNGTIGRNRTLNKVTINSEPQSRKTGSVANDAAKELPMSRRKNLPKKKRPVNEDLASGETSSDTSSINYSEKSIKCNVATNGHLNRDAEKMKKSMDVVSFTFTSPISRVAEKSSSFDSDPSGDNYLLYLKSSAFSSPGFNIIGGDSLSVLLEKKLQELTCGVESSNCNIIVDGTSASPASSLQNSVSSSGMVPTTLGGHHKRLQVDLDKDISYSSGDFDHSSMDTMGLDWRKKWQLSEETEEQNACSSSSSSEIGVGLDYRHPIPLSIFEPDVMSRSCSDSRNGTEGMKQFMLAQDQGASSWTPGSESLTEFGTELSDSASSTSVGEMGKKLLTSTSSSRDLKESTNWELDYLKMVLKDSELMFTEYALGRTEKVMTLNAFNQLE >EOY11621 pep chromosome:Theobroma_cacao_20110822:5:39869521:39874251:1 gene:TCM_026745 transcript:EOY11621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEVERKRTKGGFFQLFDWNGKSRKKLFSNNSELSEESRRGTPVENLAKSLPHTTEGDEYNATSSSRRSCDFSSASSVTSDEGYGSRAPGVVARLMGLDSLPTLNVPEPSSTQYSGSCSLRASHYERSTPNLWNECQPTDYTNISNKLDRLSSNPIEPRFHKVQNRPIERFQTEILPPKSAKPIPITHHKLLSPIRSPGFIPTKNAAYIMEAAAKIIEASPQTTSKGKGPSLGSSSVPLRIRDLKGKIEAAHKASRPQRPDEPSVSAMKPLKGQHKNKSHNKSDYTPTLRISRDSEKVSSNSLRNKGKSVSLAEQARVNVQRRDGSFSSSNGSSASQKERNDAKRKQFSRSQADMQRTVEKGTSANRTNNVLRPNNQKQNCISTRDYSTSKTSTLDQHARKARSMNGTIGRNRTLNKVTINSEPQSRKTGSVANDAAKELPMSRRKNLPKKKRPVNEDLASGETSSDTSSINYSEKSIKCNVATNGHLNRDAEKMKKSMDVVSFTFTSPISRVAEKSSSFDSDPSGDNYLLYLKSSAFSSPGFNIIGGDSLSVLLEKKLQELTCGVESSNCNIIVDGTSASPASSLQNSVSSSGMVPTTLGGHHKRLQVDLDKDISYSSGDFDHSSMDTMGLDWRKKWQLSEETEEQNACSSSSSSEIGVGLDYRHPIPLSIFEPDVMSRSCSDSRNGTEGMKQFMLAQDQGASSWTPGSESLTEFGTELSDSASSTSVGEMGKKLLTSTSSSRDLKESTNWELDYLKMVLKDSELMFTEYALGRTEKVMTLNAFNQLEHRNETERIGEDHDKLYQKLLLDCVSDCLESRCKQLFVGTCKGWVKWEKLIQK >EOY11620 pep chromosome:Theobroma_cacao_20110822:5:39869567:39874658:1 gene:TCM_026745 transcript:EOY11620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEVERKRTKGGFFQLFDWNGKSRKKLFSNNSELSEESRRGTPVENLAKSLPHTTEGDEYNATSSSRRSCDFSSASSVTSDEGYGSRAPGVVARLMGLDSLPTLNVPEPSSTQYSGSCSLRASHYERSTPNLWNECQPTDYTNISNKLDRLSSNPIEPRFHKVQNRPIERFQTEILPPKSAKPIPITHHKLLSPIRSPGFIPTKNAAYIMEAAAKIIEASPQTTSKGKGPSLGSSSVPLRIRDLKGKIEAAHKASRPQRPDEPSVSAMKPLKGQHKNKSHNKSDYTPTLRISRDSEKVSSNSLRNKGKSVSLAEQARVNVQRRDGSFSSSNGSSASQKERNDAKRKQFSRSQADMQRTVEKGTSANRTNNVLRPNNQKQNCISTRDYSTSKTSTLDQHARKARSMNGTIGRNRTLNKVTINSEPQSRKTGSVANDAAKELPMSRRKNLPKKKRPVNEDLASGETSSDTSSINYSEKSIKCNVATNGHLNRDAEKMKKSMDVVSFTFTSPISRVAEKSSSFDSDPSGDNYLLYLKSSAFSSPGFNIIGGDSLSVLLEKKLQELTCGVESSNCNIIVDGTSASPASSLQNSVSSSGMVPTTLGGHHKRLQVDLDKDISYSSGDFDHSSMDTMGLDWRKKWQLSEETEEQNACSSSSSSEIGVGLDYRHPIPLSIFEPDVMSRSCSDSRNGTEGMKQFMLAQDQGASSWTPGSESLTEFGTELSDSASSTSVGEMGKKLLTSTSSSRDLKESTNWELDYLKMVLKDSELMFTEYALGRTEKVMTLNAFNQLEHRNETERIGEDHDKLYQKLLLDCVSDCLESRCKQLFVGTCKGWVKWEKLIQKREWLAQELYKEIWGWESMGDTMVDDLVDKDMSTQHGRWLGFDLEAFEEGVEIEKSIFSSLVDDLVSDFLL >EOY11624 pep chromosome:Theobroma_cacao_20110822:5:39869541:39874097:1 gene:TCM_026745 transcript:EOY11624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEVERKRTKGGFFQLFDWNGKSRKKLFSNNSELSESRRGTPVENLAKSLPHTTEGDEYNATSSSRRSCDFSSASSVTSDEGYGSRAPGVVARLMGLDSLPTLNVPEPSSTQYSGSCSLRASHYERSTPNLWNECQPTDYTNISNKLDRLSSNPIEPRFHKVQNRPIERFQTEILPPKSAKPIPITHHKLLSPIRSPGFIPTKNAAYIMEAAAKIIEASPQTTSKGKGPSLGSSSVPLRIRDLKGKIEAAHKASRPQRPDEPSVSAMKPLKGQHKNKSHNKSDYTPTLRISRDSEKVSSNSLRNKGKSVSLAEQARVNVQRRDGSFSSSNGSSASQKERNDAKRKQFSRSQADMQRTVEKGTSANRTNNVLRPNNQKQNCISTRDYSTSKTSTLDQHARKARSMNGTIGRNRTLNKVTINSEPQSRKTGSVANDAAKELPMSRRKNLPKKKRPVNEDLASGETSSDTSSINYSEKSIKCNVATNGHLNRDAEKMKKSMDVVSFTFTSPISRVAEKSSSFDSDPSGDNYLLYLKSSAFSSPGFNIIGGDSLSVLLEKKLQELTCGVESSNCNIIVDGTSASPASSLQNSVSSSGMVPTTLGGHHKRLQVDLDKDISYSSGDFDHSSMDTMGLDWRKKWQLSEETEEQNACSSSSSSEIGVGLDYRHPIPLSIFEPDVMSRSCSDSRNGTEGSRSIKLDPWK >EOY11625 pep chromosome:Theobroma_cacao_20110822:5:39869521:39873849:1 gene:TCM_026745 transcript:EOY11625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEVERKRTKGGFFQLFDWNGKSRKKLFSNNSELSEESRRGTPVENLAKSLPHTTEGDEYNATSSSRRSCDFSSASSVTSDEGYGSRAPGVVARLMGLDSLPTLNVPEPSSTQYSGSCSLRASHYERSTPNLWNECQPTDYTNISNKLDRLSSNPIEPRFHKVQNRPIERFQTEILPPKSAKPIPITHHKLLSPIRSPGFIPTKNAAYIMEAAAKIIEASPQTTSKGKGPSLGSSSVPLRIRDLKGKIEAAHKASRPQRPDEPSVSAMKPLKGQHKNKSHNKSDYTPTLRISRDSEKVSSNSLRNKGKSVSLAEQARVNVQRRDGSFSSSNGSSASQKERNDAKRKQFSRSQADMQRTVEKGTSANRTNNVLRPNNQKQNCISTRDYSTSKTSTLDQHARKARSMNGTIGRNRTLNKVTINSEPQSRKTGSVANDAAKELPMSRRKNLPKKKRPVNEDLASGETSSDTSSINYSEKSIKCNVATNGHLNRDAEKMKKSMDVVSFTFTSPISRVAEKSSSFDSDPSGDNYLLYLKSSAFSSPGFNIIGGDSLSVLLEKKLQELTCGVESSNCNIIVDGTSASPASSLQNSVSSSGMVPTTLGGHHKRLQVDLDKDISYSSGDFDHSSMDTMGLDWRKKWQLSEETEEQNACSSSSSSEIGVGLDYRHPIPLSIFEPDVMSRSCSDSRNGTEGSRSIKLD >EOY09611 pep chromosome:Theobroma_cacao_20110822:5:30223754:30226106:-1 gene:TCM_025025 transcript:EOY09611 gene_biotype:protein_coding transcript_biotype:protein_coding description:SC35-like splicing factor 33 isoform 3 MRGRSYSYSPSPPRDYGRRRRSPSPRGRYGGHGRDLPTSLLVRNLRHDCRPEDLRGPFGQFGRLKDIYLPRDYYTGEPRGFGFVQYLDSADAADAKYHMDGYVLLGRELTVVFAEENRKKPSEMRARDRVRGLAYRRSPLRYSRSPRYARSYSRSPDYYSPSPRRRRFSRSISPRDR >EOY09608 pep chromosome:Theobroma_cacao_20110822:5:30219746:30226316:-1 gene:TCM_025025 transcript:EOY09608 gene_biotype:protein_coding transcript_biotype:protein_coding description:SC35-like splicing factor 33 isoform 3 MRGRSYSYSPSPPRDYGRRRRSPSPRGRYGGHGRDLPTSLLVRNLRHDCRPEDLRGPFGQFGRLKDIYLPRDYYTGVEDTNEVIKSASLEDYQLNLNFAPTREPRGFGFVQYLDSADAADAKYHMDGYVLLGRELTVVFAEENRKKPSEMRARDRVRGLAYRRSPLRYSRSPRYARSYSRSPDYYSPSPRRRRFSRSISPRDRRYRGRSCSRSPYGSRSPGRSFNRSRSQSLDYST >EOY09609 pep chromosome:Theobroma_cacao_20110822:5:30223427:30226222:-1 gene:TCM_025025 transcript:EOY09609 gene_biotype:protein_coding transcript_biotype:protein_coding description:SC35-like splicing factor 33 isoform 3 MRGRSYSYSPSPPRDYGRRRRSPSPRGRYGGHGRDLPTSLLVRNLRHDCRPEDLRGPFGQFGRLKDIYLPRDYYTGEPRGFGFVQYLDSADAADAKYHMDGYVLLGRELTVVFAEENRKKPSEMRARDRVRGLAYRRSPLRYSRSPRYARSYSRSPDYYSPSPRRRRFSRSISPRDRRYRGRSCSRSPYGSRSPGRSFNRSRSQSLDYST >EOY09612 pep chromosome:Theobroma_cacao_20110822:5:30223754:30226223:-1 gene:TCM_025025 transcript:EOY09612 gene_biotype:protein_coding transcript_biotype:protein_coding description:SC35-like splicing factor 33 isoform 3 MRGRSYSYSPSPPRDYGRRRRSPSPRGRYGGHGRDLPTSLLVRNLRHDCRPEDLRGPFGQFGRLKDIYLPRDYYTGEPRGFGFVQYLDSADAADAKYHMDGYVLLGRELTVVFAEENRKKPSEMRARDRVRGLAYRRSPLRYSRSPRYARSYSRSPDYYSPSPRRRRFSRSISPRDR >EOY09610 pep chromosome:Theobroma_cacao_20110822:5:30223579:30226203:-1 gene:TCM_025025 transcript:EOY09610 gene_biotype:protein_coding transcript_biotype:protein_coding description:SC35-like splicing factor 33 isoform 3 MRGRSYSYSPSPPRDYGRRRRSPSPRGRYGGHGRDLPTSLLVRNLRHDCRPEDLRGPFGQFGRLKDIYLPRDYYTGEPRGFGFVQYLDSADAADAKYHMDGYVLLGRELTVVFAEENRKKPSEMRARDRVRGLAYRRSPLRYSRSPRYARSYSRSPDYYSPSPRLFLSISPRDRRYRGRSCSRSPYGSRSPGRSFNRSRSQSLDYST >EOY09824 pep chromosome:Theobroma_cacao_20110822:5:31208682:31213719:1 gene:TCM_025200 transcript:EOY09824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase family protein, putative MQSTPYYKRACSFLYLDGFVVPRALCSTSYRKTMGFKYSWSSWRFLALVFAVEFAFHGFSYGEEAPKFGFIQDATFAPAVSYYDYIIIGGGTAGCPLAATLSQNANVLVLERGGSQYVNITKIRIENFLSTLTDNSPDSFSEAFISKDGVPNNRARVLGGGTVINGGFYSRAETCFLKQNGMDEALANDSYEWVEKKLVHKPVVLQWQSAVRDGLLEAGVLPYNGFTYDHINGTKTGGSILDGNGNRHTAADLLEYADPKRIKVYLHAVVHKIMFTTKGAIGSPQLLMLSGIGPAPQLEALGIKVVLNQTLVGQGMADNPLNGLIIPSPVPVELSFPEIVGITELSNYIESTSGFDFSALSVAQSPANFNQVEKSPMASQEAMDNSPTNLGFIVEKFNGPISEGYLELQSTNVSDNPKVRFNYFQAPEDLRKCVQGVKTIINVVNSKSYSRFRYSNTTTQDLLNMMASMPVNLRPRHPNSTVSLEQYCIDTVMTFWHYHGGCQVGKVVDRDYKVFGVDRLRVLDGSTFNFSPGTNPQATLMMLGRYMGRRILQSRGK >EOY09876 pep chromosome:Theobroma_cacao_20110822:5:31456839:31459509:1 gene:TCM_025246 transcript:EOY09876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MEKEMKLQHFSHIHPLIFTKRQVNEGEDINCSGCEKAVLGPSYNCCKCKFSLHEICAKLPFKINHPFHRNHPLILLSKPPTQYTECLCDFCDKTCNSFVYHCFTCRFDLDIPCALLQPQVTGDFLELERFSHKHQLIFIENHVNQGKEVSCSGCKDMVSGPSYSCSNCEFFLHRKCYMLAPEINHPYHREHSLILLTNLPPSYSSCVCDFCLKTCQGFVYHCPSCKFDLDIECAFLPLCITEPESHRHQFIHLMKALSFICDACGVKGDHHPYLCTVCQVIVHEECRFLPHTIKIIGHRHPVTQFYFLQGSKYSKQHCGICHNEVSSNYGGYGCLGCNFVAHVNCATVNSVSMDATLLKSQGQDENIIFRSDDSSNLITDIIKEINLEGDMVAMVVKHFSHPHKLTLNEKVNDDKLCDCCITPILEPFYSCLECDLFLHKTCLQLPKRKQHPLHPHPLTLLSNHPNFEGLFFCDACHQSCHGFTYNCDPCNFNLDVRCGSSSNTLKHEAHEHPLIFSKGTECIDCSACGYGNDYLYSCFDCSFALDFNCASLPHTVRHRHHGHPLDLTYQDSADQNYCNICEEEERNPKHWFYCCKTCNFYAHPKCATGQYPYIKLGKTYPYEAHPHRVAFVHKTRSHPPCSRSGNPCKGLALECTKPQCNFIIEWCHVEILHF >EOY09034 pep chromosome:Theobroma_cacao_20110822:5:24983676:24993175:-1 gene:TCM_024372 transcript:EOY09034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding isoform 3 MAPHFVFPPNLRALEEQEEDEHQHNRLSVQNPIDLDPLRPAELEEFVKGVSFDLSDKELFCIEEQDVFDRVYTLVRSFSSLTPSCKFILVESLRSNLSVLLPNVDSLVRVSQGQDDNETPMLDRVTSHRNAFKIYTFFLLHIILAEEFNNGSSNNPKVTASSRKKQPVNLWNWELQRGRMLNLIANSLEINLALLFGSADPDENYLSFIVKNSFSMFENTMLLKDSETKDALCRIIGACATKYHYTEQSSASIMHLIHKYDFVVIHMADAVALAEKKYGDGTLAISLIREIGRTNPKAYVKDTAGAENVGRFLVELADRLPKLMSTNIGLLVPHFGGESYKIRNALVGVLGKLVAKAFKDVEGEVSSKSVRLRTKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGLWNEVATVAAGRLEDKSAIVRKSTLNLLIMMLQHNPFGPQLRIASFEATLEQYKKKLNELEPDKLSEGMKDGVHSDNDSCNDEGEVDNANAEEVANHQSESLTDSLPHMEQEIAHKDSSVPDVGNLEQTRALVASLEAGLKFSKCISATMPTLVQLMASSSATDVENTILLLMRCRQFQIDGAEACLRKMLPLVFSQDKSIYEAVENAFVTIYIRKNLVETAKNLLNLAIDSNVGDLAALEFIVGALVSKGDISSGVISALWDLFCFNVNGTTAEQSRGALAILCMAAKSSTEILGSHLQDIIDIGFGRWAKVEPLLARTACIAIQRLSEEDKKKLLLSNGSRIFGILESLITGFGLPDNIWYAAADKAIGAVYMIHPTPENLAADLVKKSLSSVIDGSTVDALHNDINSGTCSVLTTVQVAKLSRYLFVTSHVAMNQLVYVESCVRKIQKQKSNKEKVDAEGTANAETQKDSSINAELGLAASEDAVLDTLAERAEKEIVSSGSSEKNLIGECAPFLSKLCRNFSLMQKYPVLQASAMLALCRFMIIDANYCDANLQLLFTVVENAPSEIVRSNCTIALGDLAVRFPNLLEPWTENMYARLRDPSVSVRKNAVLVLSHLILNDMMKVKGYINEMAVRVEDHDGRISNLAKLFFHELSKKGSNPIYNLLPDILGKLFTQDLQKESFCNIMQFLIGSIKKDKQMESLVEKLCNRFSGVTDARQWEHISYCLSQLSFTEKGMKKLIELFKTYEHALSKDSVMDHFRNIINKGKKFAKPELKVCIEEFEEKLNKFHMEKKEQEVTARNAEIHRQKVGNIEGFPMARNDGEESAESEIAEGSTGSRSNKSARYGQ >EOY09035 pep chromosome:Theobroma_cacao_20110822:5:24984902:24993074:-1 gene:TCM_024372 transcript:EOY09035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding isoform 3 MAPHFVFPPNLRALEEQEEDEHQHNRLSVQNPIDLDPLRPAELEEFVKGVSFDLSDKELFCIEEQDVFDRVYTLVRSFSSLTPSCKFILVESLRSNLSVLLPNVDSLVRVSQGQDDNETPMLDRVTSHRNAFKIYTFFLLHIILAEEFNNGSSNNPKVTASSRKKQPVNLWNWELQRGRMLNLIANSLEINLALLFGSADPDENYLSFIVKNSFSMFENTMLLKDSETKDALCRIIGACATKYHYTEQSSASIMHLIHKYDFVVIHMADAVALAEKKYGDGTLAISLIREIGRTNPKAYVKDTAGAENVGRFLVELADRLPKLMSTNIGLLVPHFGGESYKIRNALVGVLGKLVAKAFKDVEGEVSSKSVRLRTKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGLWNEVATVAAGRLEDKSAIVRKSTLNLLIMMLQHNPFGPQLRIASFEATLEQYKKKLNELEPDKLSEGMKDGVHSDNDSCNDEGEVDNANAEEVANHQSESLTDSLPHMEQEIAHKDSSVPDVGNLEQTRALVASLEAGLKFSKCISATMPTLVQLMASSSATDVENTILLLMRCRQFQIDGAEACLRKMLPLVFSQDKSIYEAVENAFVTIYIRKNLVETAKNLLNLAIDSNVGDLAALEFIVGALVSKGDISSGVISALWDLFCFNVNGTTAEQSRGALAILCMAAKSSTEILGSHLQDIIDIGFGRWAKVEPLLARTACIAIQRLSEEDKKKLLLSNGSRIFGILESLITGFGLPDNIWYAAADKAIGAVYMIHPTPENLAADLVKKSLSSVIDGSTVDALHNDINSGTCSVLTTVQVAKLSRYLFVTSHVAMNQLVYVESCVRKIQKQKSNKEKVDAEGTANAETQKDSSINAELGLAASEDAVLDTLAERAEKEIVSSGSSEKNLIGECAPFLSKLCRNFSLMQKYPVLQASAMLALCRFMIIDANYCDANLQLLFTVVENAPSEIVRSNCTIALGDLAVRFPNLLEPWTENMYARLRDPSVSVRKNAVLVLSHLILNDMMKVKGYINEMAVRVEDHDGRISNLAKLFFHELSKKGSNPIYNLLPDILGKLFTQDLQKESFCNIMQFLIGSIKKDKQMESLVEKLCNRFSGVTDARQWEHISYCLSQLSFTEKGMKKLIELFKTYEHALSKDSVMDHFRNIINKGKKFAKPELKVCIEEFEEKLNKFHMEKKEQEVTARNAEIHRQKVGNIEGFPMARNDGEESAESEIAEGVQDGEVINASIEGETESLHDESASKIVESEESSGASSEVTEQEEGETEIQSLRVNRKGISQSQDKEGHVKDLKGVSATTRRSTGSRSNKR >EOY09041 pep chromosome:Theobroma_cacao_20110822:5:24987842:24993175:-1 gene:TCM_024372 transcript:EOY09041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding isoform 3 MAPHFVFPPNLRALEEQEEDEHQHNRLSVQNPIDLDPLRPAELEEFVKGVSFDLSDKELFCIEEQDVFDRVYTLVRSFSSLTPSCKFILVESLRSNLSVLLPNVDSLVRVSQGQDDNETPMLDRVTSHRNAFKIYTFFLLHIILAEEFNNGSSNNPKVTASSRKKQPVNLWNWELQRGRMLNLIANSLEINLALLFGSADPDENYLSFIVKNSFSMFENTMLLKDSETKDALCRIIGACATKYHYTEQSSASIMHLIHKYDFVVIHMADAVALAEKKYGDGTLAISLIREIGRTNPKAYVKDTAGAENVGRFLVELADRLPKLMSTNIGLLVPHFGGESYKIRNALVGVLGKLVAKAFKDVEGEVSSKSVRLRTKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGLWNEVATVAAGRLEDKSAIVRKSTLNLLIMMLQHNPFGPQLRIASFEATLEQYKKKLNELEPDKLSEGMKDGVHSDNDSCNDEGEVDNANAEEVANHQSESLTDSLPHMEQEIAHKDSSVPDVGNLEQTRALVASLEAGLKFSKCISATMPTLVQLMASSSATDVENTILLLMRCRQFQIDGAEACLRKMLPLVFSQDKSIYEAVENAFVTIYIRKNLVETAKNLLNLAIDSNVGDLAALEFIVGALVSKGDISSGVISALWDLFCFNVNGTTAEQSRGALAILCMAAKSSTEILGSHLQDIIDIGFGRWAKVEPLLARTACIAIQRLSEEDKKKLLLSNGSRIFGILESLITGFGLPDNIWYAAADKAIGAVYMIHPTPENLAADLVKKSLSSVIDGSTVDALHNDINSGTCSVLTTVQVAKLSRYLFVTSHVAMNQLVYVESCVRKIQKQKSNKEKVDAEGTANAETQKDSSINAELGLAASEDAVLDTLAERAEKEIVSSGSSEKNLIGECAPFLSKLCRNFSLMQKYPVLQASAMLALCRFMIIDANYCDANLQLLFTVVENAPSEIVRSNCTIALGDLAVRFPNLLEPWTENMYARLRDPSVSVRKNAVLVLSHLILNDMMKV >EOY09039 pep chromosome:Theobroma_cacao_20110822:5:24984074:24993175:-1 gene:TCM_024372 transcript:EOY09039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding isoform 3 MAPHFVFPPNLRALEEQEEDEHQHNRLSVQNPIDLDPLRPAELEEFVKGVSFDLSDKELFCIEEQDVFDRVYTLVRSFSSLTPSCKFILVESLRSNLSVLLPNVDSLVRVSQGQDDNETPMLDRVTSHRNAFKIYTFFLLHIILAEEFNNGSSNNPKVTASSRKKQPVNLWNWELQRGRMLNLIANSLEINLALLFGSADPDENYLSFIVKNSFSMFENTMLLKDSETKDALCRIIGACATKYHYTEQSSASIMHLIHKYDFVVIHMADAVALAEKKYGDGTLAISLIREIGRTNPKAYVKDTAGAENVGRFLVELADRLPKLMSTNIGLLVPHFGGESYKIRNALVGVLGKLVAKAFKDVEGEVSSKSVRLRTKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGLWNEVATVAAGRLEDKSAIVRKSTLNLLIMMLQHNPFGPQLRIASFEATLEQYKKKLNELEPDKLSEGMKDGVHSDNDSCNDEGEVDNANAEEVANHQSESLTDSLPHMEQEIAHKDSSVPDVGNLEQTRALVASLEAGLKFSKCISATMPTLVQLMASSSATDVENTILLLMRCRQFQIDGAEACLRKMLPLVFSQDKSIYEAVENAFVTIYIRKNLVETAKNLLNLAIDSNVGDLAALEFIVGALVSKGDISSGVISALWDLFCFNVNGTTAEQSRGALAILCMAAKSSTEILGSHLQDIIDIGFGRWAKVEPLLARTACIAIQRLSEEDKKKLLLSNGSRIFGILESLITGFGLPDNIWYAAADKAIGAVYMIHPTPENLAADLVKKSLSSVIDGSTVDALHNDINSGTCSVLTTVQVAKLSRYLFVTSHVAMNQLVYVESCVRKIQKQKSNKEKVDAEGTANAETQKDSSINAELGLAASEDAVLDTLAERAEKEIVSSGSSEKNLIGECAPFLSKLCRNFSLMQKYPVLQASAMLALCRFMIIDANYCDANLQLLFTVVENAPSEIVRSNCTIALGDLAVRFPNLLEPWTENMYARLRDPSVSVRKNAVLVLSHLILNDMMKVKGYINEMAVRVEDHDGRISNLAKLFFHELSKKGSNPIYNLLPDILGKLFTQDLQKESFCNIMQFLIGSIKKDKQMESLVEKLCNRFSGVTGVQDGEVINASIEGETESLHDESASKIVESEESSGASSEVTEQEEGETEIQSLRVNRKGISQSQDKE >EOY09037 pep chromosome:Theobroma_cacao_20110822:5:24986411:24993074:-1 gene:TCM_024372 transcript:EOY09037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding isoform 3 MAPHFVFPPNLRALEEQEEDEHQHNRLSVQNPIDLDPLRPAELEEFVKGVSFDLSDKELFCIEEQDVFDRVYTLVRSFSSLTPSCKFILVESLRSNLSVLLPNVDSLVRVSQGQDDNETPMLDRVTSHRNAFKIYTFFLLHIILAEEFNNGSSNNPKVTASSRKKQPVNLWNWELQRGRMLNLIANSLEINLALLFGSADPDENYLSFIVKNSFSMFENTMLLKDSETKDALCRIIGACATKYHYTEQSSASIMHLIHKYDFVVIHMADAVALAEKKYGDGTLAISLIREIGRTNPKAYVKDTAGAENVGRFLVELADRLPKLMSTNIGLLVPHFGGESYKIRNALVGVLGKLVAKAFKDVEGEVSSKSVRLRTKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGLWNEVATVAAGRLEDKSAIVRKSTLNLLIMMLQHNPFGPQLRIASFEATLEQYKKKLNELEPDKLSEGMKDGVHSDNDSCNDEGEVDNANAEEVANHQSESLTDSLPHMEQEIAHKDSSVPDVGNLEQTRALVASLEAGLKFSKCISATMPTLVQLMASSSATDVENTILLLMRCRQFQIDGAEACLRKMLPLVFSQDKSIYEAVENAFVTIYIRKNLVETAKNLLNLAIDSNVGDLAALEFIVGALVSKGDISSGVISALWDLFCFNVNGTTAEQSRGALAILCMAAKSSTEILGSHLQDIIDIGFGRWAKVEPLLARTACIAIQRLSEEDKKKLLLSNGSRIFGILESLITGFGLPDNIWYAAADKAIGAVYMIHPTPENLAADLVKKSLSSVIDGSTVDALHNDINSGTCSVLTTVQVAKLSRYLFVTSHVAMNQLVYVESCVRKIQKQKSNKEKVDAEGTANAETQKDSSINAELGLAASEDAVLDTLAERAEKEIVSSGSSEKNLIGECAPFLSKLCRNFSLMQKYPVLQASAMLALCRFMIIDANYCDANLQLLFTVVENAPSEIVRSNCTIALGDLAVRFPNLLEPWTENMYARLRDPSVSVRKNAVLVLSHLILNDMMKVKGYINEMAVRVEDHDGRISNLAKLFFHELSKKGSNPIYNLLPDILGKLFTQDLQKESFCNIMQFLIGSIKKDKQMESLVEKLCNRFSGVTG >EOY09040 pep chromosome:Theobroma_cacao_20110822:5:24984975:24993175:-1 gene:TCM_024372 transcript:EOY09040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding isoform 3 MAPHFVFPPNLRALEEQEEDEHQHNRLSVQNPIDLDPLRPAELEEFVKGVSFDLSDKELFCIEEQDVFDRVYTLVRSFSSLTPSCKFILVESLRSNLSVLLPNVDSLVRVSQGQDDNETPMLDRVTSHRNAFKIYTFFLLHIILAEEFNNGSSNNPKVTASSRKKQPVNLWNWELQRGRMLNLIANSLEINLALLFGSADPDENYLSFIVKNSFSMFENTMLLKDSETKDALCRIIGACATKYHYTEQSSASIMHLIHKYDFVVIHMADAVALAEKKYGDGTLAISLIREIGRTNPKAYVKDTAGAENVGRFLVELADRLPKLMSTNIGLLVPHFGGESYKIRNALVGVLGKLVAKAFKDVEGEVSSKSVRLRTKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGLWNEVATVAAGRLEDKSAIVRKSTLNLLIMMLQHNPFGPQLRIASFEATLEQYKKKLNELEPDKLSEGMKDGVHSDNDSCNDEGEVDNANAEEVANHQSESLTDSLPHMEQEIAHKDSSVPDVGNLEQTRALVASLEAGLKFSKCISATMPTLVQLMASSSATDVENTILLLMRCRQFQIDGAEACLRKMLPLVFSQDKSIYEAVENAFVTIYIRKNLVETAKNLLNLAIDSNVGDLAALEFIVGALVSKGDISSGVISALWDLFCFNVNGTTAEQSRGALAILCMAAKSSTEILGSHLQDIIDIGFGRWAKVEPLLARTACIAIQRLSEEDKKKLLLSNGSRIFGILESLITGFGLPDNIWYAAADKAIGAVYMIHPTPENLAADLVKKSLSSVIDGSTVDALHNDINSGTCSVLTTVQVAKLSRYLFVTSHVAMNQLVYVESCVRKIQKQKSNKEKVDAEGTANAETQKDSSINAELGLAASEDAVLDTLAERAEKEIVSSGSSEKNLIGECAPFLSKLCRNFSLMQKYPVLQASAMLALCRFMIIDANYW >EOY09038 pep chromosome:Theobroma_cacao_20110822:5:24984975:24993175:-1 gene:TCM_024372 transcript:EOY09038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding isoform 3 MAPHFVFPPNLRALEEQEEDEHQHNRLSVQNPIDLDPLRPAELEEFVKGVSFDLSDKELFCIEEQDVFDRVYTLVRSFSSLTPSCKFILVESLRSNLSVLLPNVDSLVRVSQGQDDNETPMLDRVTSHRNAFKIYTFFLLHIILAEEFNNGSSNNPKVTASSRKKQPVNLWNWELQRGRMLNLIANSLEINLALLFGSADPDENYLSFIVKNSFSMFENTMLLKDSETKDALCRIIGACATKYHYTEQSSASIMHLIHKYDFVVIHMADAVALAEKKYGDGTLAISLIREIGRTNPKAYVKDTAGAENVGRFLVELADRLPKLMSTNIGLLVPHFGGESYKIRNALVGVLGKLVAKAFKDVEGEVSSKSVRLRTKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGLWNEVATVAAGRLEDKSAIVRKSTLNLLIMMLQHNPFGPQLRIASFEATLEQYKKKLNELEPDKLSEGMKDGVHSDNDSCNDEGEVDNANAEEVANHQSESLTDSLPHMEQEIAHKDSSVPDVGNLEQTRALVASLEAGLKFSKCISATMPTLVQLMASSSATDVENTILLLMRCRQFQIDGAEACLRKMLPLVFSQDKSIYEAVENAFVTIYIRKNLVETAKNLLNLAIDSNVGDLAALEFIVGALVSKGDISSGVISALWDLFCFNVNGTTAEQSRGALAILCMAAKSSTEILGSHLQDIIDIGFGRWAKVEPLLARTACIAIQRLSEEDKKKLLLSNGSRIFGILESLITGFGLPDNIWYAAADKAIGAVYMIHPTPENLAADLVKKSLSSVIDGSTVDALHNDINSGTCSVLTTVQVAKLSRYLFVTSHVAMNQLVYVESCVRKIQKQKSNKEKVDAEGTANAETQKDSSINAELGLAASEDAVLDTLAERAEKEIVSSGSSEKNLIGECAPFLSKLCRNFSLMQKYPVLQASAMLALCRFMIIDANYW >EOY09036 pep chromosome:Theobroma_cacao_20110822:5:24984074:24993175:-1 gene:TCM_024372 transcript:EOY09036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding isoform 3 MAPHFVFPPNLRALEEQEEDEHQHNRLSVQNPIDLDPLRPAELEEFVKGVSFDLSDKELFCIEEQDVFDRVYTLVRSFSSLTPSCKFILVESLRSNLSVLLPNVDSLVRVSQGQDDNETPMLDRVTSHRNAFKIYTFFLLHIILAEEFNNGSSNNPKVTASSRKKQPVNLWNWELQRGRMLNLIANSLEINLALLFGSADPDENYLSFIVKNSFSMFENTMLLKDSETKDALCRIIGACATKYHYTEQSSASIMHLIHKYDFVVIHMADAVALAEKKYGDGTLAISLIREIGRTNPKAYVKDTAGAENVGRFLVELADRLPKLMSTNIGLLVPHFGGESYKIRNALVGVLGKLVAKAFKDVEGEVSSKSVRLRTKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGLWNEVATVAAGRLEDKSAIVRKSTLNLLIMMLQHNPFGPQLRIASFEATLEQYKKKLNELEPDKLSEGMKDGVHSDNDSCNDEGEVDNANAEEVANHQSESLTDSLPHMEQEIAHKDSSVPDVGNLEQTRALVASLEAGLKFSKCISATMPTLVQLMASSSATDVENTILLLMRCRQFQIDGAEACLRKMLPLVFSQDKSIYEAVENAFVTIYIRKNLVETAKNLLNLAIDSNVGDLAALEFIVGALVSKGDISSGVISALWDLFCFNVNGTTAEQSRGALAILCMAAKSSTEILGSHLQDIIDIGFGRWAKVEPLLARTACIAIQRLSEEDKKKLLLSNGSRIFGILESLITGFGLPDNIWYAAADKAIGAVYMIHPTPENLAADLVKKSLSSVIDGSTVDALHNDINSGTCSVLTTVQVAKLSRYLFVTSHVAMNQLVYVESCVRKIQKQKSNKEKVDAEGTANAETQKDSSINAELGLAASEDAVLDTLAERAEKEIVSSGSSEKNLIGECAPFLSKLCRNFSLMQKYPVLQASAMLALCRFMIIDANYCDANLQLLFTVVENAPSEIVRSNCTIALGDLAVRFPNLLEPWTENMYARLRDPSVSVRKNAVLVLSHLILNDMMKVKGYINEMAVRVEDHDGRISNLAKLFFHELSKKGSNPIYNLLPDILGKLFTQDLQKESFCNIMQFLIGSIKKDKQMESLVEKLCNRFSGVTDARQWEHISYCLSQLSFTEKGMKKLIELFKTYEHALSKDSVMDHFRNIINKGKKFAKPELKVCIEEFEEKLNKFHMEKKEQEVTARNAEIHRQKVGNIEGFPMARNDGEESAESEIAEDGEVINASIEGETESLHDESASKIVESEESSGASSEVTEQEEGETEIQSLRVNRKGISQSQDKEGHVKDLKGVSATTRRSTGSRSNKR >EOY09950 pep chromosome:Theobroma_cacao_20110822:5:31927201:31930661:-1 gene:TCM_025324 transcript:EOY09950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4-glucan-protein synthase family protein isoform 3 MIRQRTLRAGKLTERVLKLFIATGKDEVHSLIQALNIQDVLRNSKIGLICGTHEIKDDEIDIVIGAVSSDMTSFLNEWRPIFSRFHLIIVKDPDLKEELKIPEGFNLDVYTKSDIDRVVGSSTSILFSGYSCRYFGYLVSLKKYIISVDNDCLPARDNKGFLVDAVAQHITNLTTPATPFFFNTLYDPFSEGADFVRGYPFSLRSGVKCALSCGLWLNLADHDAPTQALKTRQRNSRYVDAVITVPARALAPISGINIAFDREVVGPALVPALRLAGEGKFRWETMEDIWSGMCVKVVCDHLGLGVKSGLPYVWRTDRGDAISSLKKEWEGVKLMEEVVPFFQSVRLPRTATTAEDCVVEVAKAVKEQLGSTDPVFARAAGAMLDWVKLWKSVGSSSSPPGV >EOY09952 pep chromosome:Theobroma_cacao_20110822:5:31927530:31930637:-1 gene:TCM_025324 transcript:EOY09952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4-glucan-protein synthase family protein isoform 3 MSLEIKDDEIDIVIGAVSSDMTSFLNEWRPIFSRFHLIIVKDPDLKEELKIPEGFNLDVYTKSDIDRVVGSSTSILFSGYSCRYFGYLVSLKKYIISVDNDCLPARDNKGFLVDAVAQHITNLTTPATPFFFNTLYDPFSEGADFVRGYPFSLRSGVKCALSCGLWLNLADHDAPTQALKTRQRNSRYVDAVITVPARALAPISGINIAFDREVVGPALVPALRLAGEGKFRWETMEDIWSGMCVKVVCDHLGLGVKSGLPYVWRTDRGDAISSLKKEWEGVKLMEEVVPFFQSVRLPRTATTAEDCVVEVAKAVKEQLGSTDPVFARAAGAMLDWVKLWKSVGSSSSPPGV >EOY09951 pep chromosome:Theobroma_cacao_20110822:5:31927527:31928585:-1 gene:TCM_025324 transcript:EOY09951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4-glucan-protein synthase family protein isoform 3 MSLEIKDDEIDIVIGAVSSDMTSFLNEWRPIFSRFHLIIVKDPDLKEELKIPEGFNLDVYTKSDIDRVVGSSTSILFSGYSCRYFGYLVSLKKYIISVDNDCLPARDNKGFLVDAVAQHITNLTTPATPFFFNTLYDPFSEGADFVRGYPFSLRSGVKCALSCGLWLNLADHDAPTQALKTRQRNSRYVDAVITVPARALAPISGINIAFDREVVGPALVPALRLAGEGKFRWETMEDIWSGMCVKVVCDHLGLGVKSGLPYVWRTDRGDAISSLKKEWEGVKLMEEVVPFFQSVRLPRTATTAEDCVVEVAKAVKEQLGSTDPVFARAAGAMLDWVKLWKSVGSSSSPPGV >EOY09953 pep chromosome:Theobroma_cacao_20110822:5:31927006:31930951:-1 gene:TCM_025324 transcript:EOY09953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4-glucan-protein synthase family protein isoform 3 MSLEIKDDEIDIVIGAVSSDMTSFLNEWRPIFSRFHLIIVKDPDLKEELKIPEGFNLDVYTKSDIDRVVGSSTSILFSGYSCRYFGYLVSLKKYIISVDNDCLPARDNKGFLVDAVAQHITNLTTPATPFFFNTLYDPFSEGADFVRGYPFSLRSGVKCALSCGLWLNLADHDAPTQALKTRQRNSRYVDAVITVPARALAPISGINIAFDREVVGPALVPALRLAGEGKFRWETMEDIWSGMCVKVVCDHLGLGVKSGLPYVWRTDRGDAISSLKKEWEGVKLMEEVVPFFQSVRLPRTATTAEDCVVEVAKAVKEQLGSTDPVFARAAGAMLDWVKLWKSVGSSSSPPGV >EOY10579 pep chromosome:Theobroma_cacao_20110822:5:35463531:35464736:1 gene:TCM_025913 transcript:EOY10579 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor, putative MEIQFQQQEQQQQLHRKSSNIPVSKGGKFKGRNKNNGKVNKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFITQVSLDSPLASRIRNLLNSKKGAKEQSVLVSAPTTTTSASTNPSPSSSTSSSSCNSSRGLSNDNSSPTGMVQDTQLFEDAYKPDMSHCARGFEFASSQSDFSHTSEPGFDRFLYTQEIMNFPKNVLLPEETGSELTEFQRMKVERQISASLYAMNGVQEYLETVHDSAEALWDLPPLCSLFC >EOY08761 pep chromosome:Theobroma_cacao_20110822:5:19560173:19562491:-1 gene:TCM_023887 transcript:EOY08761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHRSWPFGLGCDVWEELLGIMGSKDALWCLSGDFNNIRYEHEKTSKGEIGRFVIAFKEFIDELALVDLPLTRAKFIWCGNCGRWVFSHLDRFLLKMD >EOY09325 pep chromosome:Theobroma_cacao_20110822:5:28296166:28301808:-1 gene:TCM_024749 transcript:EOY09325 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 2 MFPLVSITTSFVAREDATSRSSAEAEQDEILERGPVVDHEMEELMPLVACSNTKIAESKNERRHIPSASSALVIGSMLGIIQTFFLIFAAKPLLSYMGVNSESPMLKPAQQYLTIRSTGAPALLLSLAVQGVFRGLKDTRTPLYAIIVGDSANVLMDPIFIFTFGLGVKGAAIAHVLSQYLIVLILFWRLVDKVDLLPPSFKELQFGQFLKNGFLLLVKVIAVTLCVTVAASLSARQGPTTMAAFQICLQIWLAASLLADGLAVAGQAILASAFAKKDYEKIIATASRVLQIGILLGLLLSFILVVGLQFASRLFTKDIAVLKLISLGIPFIAATQPINALAFVFDGVNYGASDFAYSAYSMPFCRFWLQL >EOY09324 pep chromosome:Theobroma_cacao_20110822:5:28295370:28302081:-1 gene:TCM_024749 transcript:EOY09324 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 2 MAVDAILRTWKNIGKLLLFVFFKDTRNVLKKDELGIEIAHIALPATLALMADPIASLIDTAFIGRIGSVELAAVGVSVALFNQVSRITMFPLVSITTSFVAREDATSRSSAEAEQDEILERGPVVDHEMEELMPLVESLSSFVACSNTKIAESKNERRHIPSASSALVIGSMLGIIQTFFLIFAAKPLLSYMGVNSESPMLKPAQQYLTIRSTGAPALLLSLAVQGVFRGLKDTRTPLYAIIVGDSANVLMDPIFIFTFGLGVKGAAIAHVLSQYLIVLILFWRLVDKVDLLPPSFKELQFGQFLKNGFLLLVKVIAVTLCVTVAASLSARQGPTTMAAFQICLQIWLAASLLADGLAVAGQAILASAFAKKDYEKIIATASRVLQIGILLGLLLSFILVVGLQFASRLFTKDIAVLKLISLGIPFIAATQPINALAFVFDGVNYGASDFAYSAYSMVLVAVMSIFCLFILSSSHGYVGIWVALTIFMSLRVIAGLLRIGTGMGPWSFLSG >EOY09730 pep chromosome:Theobroma_cacao_20110822:5:30784516:30797379:1 gene:TCM_025120 transcript:EOY09730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component, putative isoform 4 MESSCPSTPRWNLDRPFLTGRFHQQEIKGTSRFAADAKGFSLDSCSSGLENPIGCYDAAVQELIVTDDLLFALVGIEGRYISIKRVHGKDDAVTFQVDASMDLALQEFARRIFPLCESFLLIDQFVESRSQFKNGLVNHAFAAALRALLLDYQAMVAQLEHQFRLGRLSIQGLWFYCQPMMGSMQALSTVIQKASANNYAGSAVLNLLQSQAKAMAGDNAVRSLLEKMTQSASNAYLSILERWIYEGVIDDPYGEFFIAENKSLQKESLTQDYEAKYWRERYSLKEDIPSFLANIAGIILTTGKYLNVMRECGHNVQVPVSENSKLMTFGSNHHYLECVKAAYDFASGELLNLIKEKYDLIGKLRSIKHYLLLDQGDFLVHFMDIAREVLLKKHDEISVEKLQSLLDLALRTTAAAADPCHEDLTCCVERSSVLKGLSTLKDLDIRNVSDSNDLEESISITGLETFSLSYKIRWPLSIVISRKALTKYQLIFRFLFHCKHVERQLCGAWQLHQGVRALNTRGTAISRSSLLCRSMLRFINSLLHYLTFEVLEPNWHVMHGRLQTAKSIDEVIQHHDFFLDKCLRECLLLLPELLKKVGKLKSLCLQYAAATQWLISSSVDIPKLEEQSDGSLGSERSKPLKSRNPSQAQKVMTRNSAVTDSILKFEREFNAELQSLRPILSSSSQAEPYLTHLAQWILGVGNDQ >EOY09731 pep chromosome:Theobroma_cacao_20110822:5:30785072:30797372:1 gene:TCM_025120 transcript:EOY09731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component, putative isoform 4 MDLALQEFARRIFPLCESFLLIDQFVESRSQFKNGLVNHAFAAALRALLLDYQAMVAQLEHQFRLGRLSIQGLWFYCQSFSPMCWQPMMGSMQALSTVIQKASANNYAGSAVLNLLQSQAKAMAGDNAVRSLLEKMTQSASNAYLSILERWIYEGVIDDPYGEFFIAENKSLQKESLTQDYEAKYWRERYSLKEDIPSFLANIAGIILTTGKYLNVMRECGHNVQVPVSENSKLMTFGSNHHYLECVKAAYDFASGELLNLIKEKYDLIGKLRSIKHYLLLDQGDFLVHFMDIAREVLLKKHDEISVEKLQSLLDLALRTTAAAADPCHEDLTCCVERSSVLKGLSTLKDLDIRNVSDSNDLEESISITGLETFSLSYKIRWPLSIVISRKALTKYQLIFRFLFHCKHVERQLCGAWQLHQGVRALNTRGTAISRSSLLCRSMLRFINSLLHYLTFEVLEPNWHVMHGRLQTAKSIDEVIQHHDFFLDKCLRECLLLLPELLKKVGKLKSLCLQYAAATQWLISSSVDIPKLEEQSDGSLGSERSKPLKSRNPSQAQKVMTRNSAVTDSILKFEREFNAELQSLRPILSSSSQAEPYLTHLAQWILGVGNDQ >EOY09732 pep chromosome:Theobroma_cacao_20110822:5:30785072:30797372:1 gene:TCM_025120 transcript:EOY09732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component, putative isoform 4 MDLALQEFARRIFPLCESFLLIDQFVESRSQFKNGLVNHAFAAALRALLLDYQAMVAQLEHQFRLGRLSIQGLWFYCQPMMGSMQALSTVIQKASANNYAGSAVLNLLQSQAKAMAGDNAVRSLLEKMTQSASNAYLSILERWIYEGVIDDPYGEFFIAENKSLQKESLTQDYEAKYWRERYSLKEDIPSFLANIAGIILTTGKYLNVMRECGHNVQVPVSENSKLMTFGSNHHYLECVKAAYDFASGELLNLIKEKYDLIGKLRSIKHYLLLDQGDFLVHFMDIAREVLLKKHDEISVEKLQSLLDLALRTTAAAADPCHEDLTCCVERSSVLKGLSTLKDLDIRNVSDSNDLEESISITGLETFSLSYKIRWPLSIVISRKALTKYQLIFRFLFHCKHVERQLCGAWQLHQGVRALNTRGTAISRSSLLCRSMLRFINSLLHYLTFEVLEPNWHVMHGRLQTAKSIDEVIQHHDFFLDKCLRECLLLLPELLKKVGKLKSLCLQYAAATQWLISSSVDIPKLEEQSDGSLGSERSKPLKSRNPSQAQKVMTRNSAVTDSILKFEREFNAELQSLRPILSSSSQAEPYLTHLAQWILGVGNDQ >EOY09728 pep chromosome:Theobroma_cacao_20110822:5:30784185:30797481:1 gene:TCM_025120 transcript:EOY09728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component, putative isoform 4 MESSCPSTPRWNLDRPFLTGRFHQEIKGTSRFAADAKGFSLDSCSSGLENPIGCYDAAVQELIVTDDLLFALVGIEGRYISIKRVHGKDDAVTFQVDASMDLALQEFARRIFPLCESFLLIDQFVESRSQFKNGLVNHAFAAALRALLLDYQAMVAQLEHQFRLGRLSIQGLWFYCQPMMGSMQALSTVIQKASANNYAGSAVLNLLQSQAKAMAGDNAVRSLLEKMTQSASNAYLSILERWIYEGVIDDPYGEFFIAENKSLQKESLTQDYEAKYWRERYSLKEDIPSFLANIAGIILTTGKYLNVMRECGHNVQVPVSENSKLMTFGSNHHYLECVKAAYDFASGELLNLIKEKYDLIGKLRSIKHYLLLDQGDFLVHFMDIAREVLLKKHDEISVEKLQSLLDLALRTTAAAADPCHEDLTCCVERSSVLKGLSTLKDLDIRNVSDSNDLEESISITGLETFSLSYKIRWPLSIVISRKALTKYQLIFRFLFHCKHVERQLCGAWQLHQGVRALNTRGTAISRSSLLCRSMLRFINSLLHYLTFEVLEPNWHVMHGRLQTAKSIDEVIQHHDFFLDKCLRECLLLLPELLKKVGKLKSLCLQYAAATQWLISSSVDIPKLEEQSDGSLGSERSKPLKSRNPSQAQKVMTRNSAVTDSILKFEREFNAELQSLRPILSSSSQAEPYLTHLAQWILGVGNDQ >EOY09729 pep chromosome:Theobroma_cacao_20110822:5:30784185:30797481:1 gene:TCM_025120 transcript:EOY09729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component, putative isoform 4 MESSCPSTPRWNLDRPFLTGRFHQEIKGTSRFAADAKGFSLDSCSSGLENPIGCYDAAVQELIVTDDLLFALVGIEGRYISIKRVHGKDDAVTFQVDASMDLALQEFARRIFPLCESFLLIDQFVESRSQFKNGLVNHAFAAALRALLLDYQAMVAQLEHQFRLGRLSIQGLWFYCQSFSPMCWQPMMGSMQALSTVIQKASANNYAGSAVLNLLQSQAKAMAGDNAVRSLLEKMTQSASNAYLSILERWIYEGVIDDPYGEFFIAENKSLQKESLTQDYEAKYWRERYSLKEDIPSFLANIAGIILTTGKYLNVMRECGHNVQVPVSENSKLMTFGSNHHYLECVKAAYDFASGELLNLIKEKYDLIGKLRSIKHYLLLDQGDFLVHFMDIAREVLLKKHDEISVEKLQSLLDLALRTTAAAADPCHEDLTCCVERSSVLKGLSTLKDLDIRNVSDSNDLEESISITGLETFSLSYKIRWPLSIVISRKALTKYQLIFRFLFHCKHVERQLCGAWQLHQGVRALNTRGTAISRSSLLCRSMLRFINSLLHYLTFEVLEPNWHVMHGRLQTAKSIDEVIQHHDFFLDKCLRECLLLLPELLKKVGKLKSLCLQYAAATQWLISSSVDIPKLEEQSDGSLGSERSKPLKSRNPSQAQKVMTRNSAVTDSILKFEREFNAELQSLRPILSSSSQAEPYLTHLAQWILGVGNDQ >EOY09859 pep chromosome:Theobroma_cacao_20110822:5:31357163:31365301:-1 gene:TCM_025229 transcript:EOY09859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase, putative MMMLRDKAVVTVEQIIGCKGLWDFLHSPTKLILLELVSAELDPSKEKVEETGLEKDTIKAYAHGVIEGGNVKYEAEFKGGKILGRLVRLLLRMSTTKKYFWRKYSLLVSQRVRLLSSVILGFTQSTTILGKESSSLAREITDLLRSICLEQSYLPSQTPSGLRALRKEELKVLRGNGKGERKWFERVYDYDVCNDIGDPDCDPSKTRPVLGGKGLPHLRRCRTGPPPCPRDHESETKSNNVYVPRDECFSEVKQRKLGEETASSLLHALNPSLWRRIIGFPNLAAIDQLFDEGMESPPRGYISVEQFLIGKLHGIFITVWRFIGYHFGYNLCLWPFKAPQPMQRDRFFWLRDEEFVRQTLAGINPYAIKLVTEWPLQSKLDPDTYGPPESTITKEMIECEIKGYMTLDEKVRELKSTTLYGSPTLFLLNPNETLRPLAIELSRPPLDGKPQFWKKAYEPCGHSTGAWLWRLAKTHVLAHDSLYHQLVTHCLRTHGATEPYIIATDQQLSVLHPIYKLLHPHFRFTMKINARARAHLINADGIIESSFSLANYSMEFSSVAYDLEWRFDHQAFPADLISRGMAMEDPTAPHGLRLKSRITLSLMTGFFPGTSLKNWSLTMSTTTTQMQVSWSHEELQAWWTEIREVGHGDKKDESWWAVLRTPKDLIQTVTTIAWVSSGHHTAVNFGQYEYAGYFPNRPTIARRNMPNEDPSEEDWKSFIEKPETILLCRVKFEPKISGLSSPDASLAHRPRGEFTSRVKGMRKYICRALNINRTSK >EOY09802 pep chromosome:Theobroma_cacao_20110822:5:31119647:31123710:1 gene:TCM_025178 transcript:EOY09802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METPSAFLSSKTSVQLLDEIRATHANDDQRDVDVKPILLSMTKILDDVEGDIKGTARGRRVTSKGSTSLPPAFDHSMLESILADIRNVSGEFSCNCSEGENAHATTMKLLETLKTYSWNTKVVLALAAFTANLGESWLLLQRGNTNSLATSVALLRQVPEIDRLDLLGSEVGKLIQAIRNLASCNAKFMMKVHPWYFSKDTSPISEAKLEIIRAAYWTIHSVVQIASLIGRRNKSTALSMEKGKTLVAHLETEVSEISKILMYHLKRCKEYIGKEMEDAYQSLLELMQRSGRDIVEILNRFLCHGNMDKVDIEKLRSKHVLFLISDLDISLGEITVLNELYLKGEGYEVVWLPVVDGLYDKKKFVELKSSMKWYTDVPAILDPAVIKYIKEVWHFIKNQIAVVLTPEGKVTCQSALPMLWTWGNEAVPFTAEKIVERKLICLYGGGSIDWIREFNTGLKFVLARIGESVEMVYVGKNNDKDWTEKVIRDLRVIKSERHFWARLQSMLYLYTKMRQGKTLTKDDPFMQEVMKILSYDGGDRGWALFCKGPDVKVRMDGETARAIISKHGDLETYAKRHGFLEGLNYYMEEQDIPHSCVLQLPLIDSEVPGKMCCDQCGREMEMHYTYRCRAL >EOY08375 pep chromosome:Theobroma_cacao_20110822:5:7045708:7048471:1 gene:TCM_022737 transcript:EOY08375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MIGPDQYGLLKHGLIILTRSDPAHRSIQFREKKGKERKGKAYVKILFPLMPVPHPHLLNTLPSLPTLLRSCKTQNQLHQIHAHIIKKGLQQDHILITLFLSLSTSLSYSTSVFNYLFHPSTCVWNSLLKGYSRNSSFLQTLSLFIRMKRDGGLPDKYTYSLVIKACSSQFKAWEGKMLHGLALKGGGKEDVFVGTSLVDFYGKIMEIFNARKVFDEMSERNVVSWTALVAGCINVGDFVEAKRVFNLMPERNVATWNALIGGLVKTGDLRNARKVFDEMPERNVVSYTTMIDGYAKAGDMASARFLFEQAPERDIVAWSALISGYEQNGQPNEAVKIFIRLGQINVKPDEFILVSLMSACSQVGSLELAKWVDCYLSRSEIDIRQAHVMTALIDMNAKCGNMDRAAKLFEEIPKRDVIQYCSVIQGLTIHGQEAQAVELFNRMISEGLIPDEIAFTIILNACSSAGLVEEGWHYFETMKNEYSIVPTPDHYACMVDLLSRKGRLKAAYELIKSMPVEPHAGAWGALLGACKLHCDVELGELVAARLFDLEPLNAGNYVLLSNIYAAAEQWLNVSLVRNKMKERGVRKIPGCSWIYFNK >EOY07690 pep chromosome:Theobroma_cacao_20110822:5:2425534:2427946:1 gene:TCM_022060 transcript:EOY07690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein isoform 2 MATDTVEVLPPPLDATAEQPPLFDGTTRLYTCYTCPFAQRVWITRNYKGLQDKIKLVPLILQNRPAWYKEKVYPENKVPALEHNGKIIGESLDLIKYVDSNFEGPSLLPDDPEKKKFFEELLSYLDTFVRTVFTSFKGDPAKEVGAVFDYLENALQKFDGPFFLGQISLADIAYIPFVERFQIFLLEAFQYDIIAGRPKLAAWIEEMNNIDAYKQTKTDPKELVAFYKQRFM >EOY07689 pep chromosome:Theobroma_cacao_20110822:5:2425358:2428263:1 gene:TCM_022060 transcript:EOY07689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein isoform 2 MATDTVEVLPPPLDATAEQPPLFDGTTRLYTCYTCPFAQRVWITRNYKGLQDKIKLVPLILQNRPAWYKEKVYPENKVPALEHNGKIIGESLDLIKYVDSNFEGPSLLPDDPEKKKFFEELLSYLDTFVRTVFTSFKGDPAKEVGAVFDYLENALQKFDGPFFLGQISLADIAYIPFVERFQIFLLEAFQYDIIAGRPKLAAWIEEMNNIDAYKQTKTDPKELVAFYKQRFMGQK >EOY08747 pep chromosome:Theobroma_cacao_20110822:5:19466515:19478868:1 gene:TCM_023871 transcript:EOY08747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MTKISPEIEESMLKESILAVSADVSFASDHFPKYKLGPENQILEEPKGDNNGPSLKEVVERETTQLSEQHKRLSVRDLASKFDKNLAAAAKLADEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRFGEALEEQEKNSEISQKTQEVEELIEEVQVARRIKLMHQPSKVMGMEHELRALRTQIREKSIFSVKLQKELALNKKAEENKSRVYILHGSETLGSCLRLQPCSDNAPVLSKSSIQWYRMSSDGNQQEIISGANKIMYAPEPLDVGRILLVDILSNGQKVTVTTSVPIGSAAGLGGYVETLLRKSSSEFNVVISQINGQDHPSHSTHAFNVGKMRIKLCRGWITKSREIYSTSMLLCGVRGDGNAAAKSLFWQPRKGLSYVLTCESERERNAAIMVARKHALDCSVMLGGADDLGSLFCFA >EOY08752 pep chromosome:Theobroma_cacao_20110822:5:19468406:19478390:1 gene:TCM_023871 transcript:EOY08752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRFGEALEEQEKNSEISQKTQEVEELIEEVQVARRIKLMHQPSKVMGMEHELRALRTQIREKSIFSVKLQKELALNKKAEENKSRVYILHGSETLGSCLRLQPCSDNAPVLSKSSIQWYRMSSDGNQQEIISGANKIMYAPEPLDVGRILLVDILSNGQKVTVTTSVPIGSAAGLGGYVETLLRKSSSEFNVVISQINGQDHPSHSTHAFNVGKMRIKLCRGWITKSREIYSTSMLLCGVRGDGNAAAKSLFWQPRKGLSYVLTCESERERNAAIMVARKHALDCSVMLGGADDQM >EOY08750 pep chromosome:Theobroma_cacao_20110822:5:19466631:19478630:1 gene:TCM_023871 transcript:EOY08750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MTKISPEIEESMLKESILAVSADVSFASDHFPKYKLGPENQILEEPKGDNNGPSLKEVVERETTQLSEQHKRLSVRDLASKFDKNLAAAAKLADEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRFGEALEEQEKNSEISQKTQEVEELIEEVQVARRIKLMHQPSKVMGMEHELRALRTQIREKSIFSVKLQKELALNKKAEENKSRVYILHGSETLGSCLRLQPCSDNAPVLSKSSIQWYRMSSDGNQQEIISGANKIMYAPEPLDVGRILLVDILSNGQKVTVTTSVPIGSAAGLGGYVETLLRKSSSEFNVVISQINGQDHPSHSTHAFNVGKMRIKLCRGWITKSREIYSTSMLLCGVRGDGNAAAKSLFWQPRKGLSYVLTCESERERNAAIMVARKHALDCSVMLGGADDQM >EOY08749 pep chromosome:Theobroma_cacao_20110822:5:19466321:19478708:1 gene:TCM_023871 transcript:EOY08749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MTKISPEIEESMLKESILAVSADVSFASDHFPKYKLGPENQILEEPKGDNNGPSLKEVVERETTQLSEQHKRLSVRDLASKFDKNLAAAAKLADEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRFGEALEEQEKNSEISQKTQEVEELIEEVQVARRIKLMHQPSKVMGMEHELRALRTQIREKSIFSVKLQKELALNKKAEENKSRVYILHGSETLGSCLRLQPCSDNAPVLSKSSIQWYRMSSDGNQQEIISGANKIMYAPEPLDVGRILLVDILSNGQKVTVTTSVPIGSAAGLGGYVETLLRKSSSEFNVVISQINGQDHPSHSTHAFNVGKMRIKLCRGWITKSREIYSTSMLLCGVRGDGNAAAKSLFWQPRKGLSYVLTCESERERNAAIMVARKHALDCSVMLGGADDLGSLFCFA >EOY08748 pep chromosome:Theobroma_cacao_20110822:5:19466515:19478630:1 gene:TCM_023871 transcript:EOY08748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MTKISPEIEESMLKESILAVSADVSFASDHFPKYKLGPENQILEEPKGDNNGPSLKEVVERETTQLSEQHKRLSVRDLASKFDKNLAAAAKLADEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRFGEALEEQEKNSEISQKTQEVEELIEEVQVARRIKLMHQPSKVMGMEHELRALRTQIREKSIFSVKLQKELALNKKAEENKSRVYILHGSETLGSCLRLQPCSDNAPVLSKSSIQWYRMSSDGNQQEIISGANKIMYAPEPLDVGRILLVDILSNGQKVTVTTSVPIGSAAGLGGYVETLLRKSSSEFNVVISQINGQDHPSHSTHAFNVGKMRIKLCRGWITKSREIYSTSMLLCGVRGDGNAAAKSLFWQPRKGLSYVLTCESERERNAAIMVARKHALDCSVMLGGADDLGSLFCFA >EOY08746 pep chromosome:Theobroma_cacao_20110822:5:19466252:19478957:1 gene:TCM_023871 transcript:EOY08746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MTKISPEIEESMLKESILAVSADVSFASDHFPKYKLGPENQILEEPKGDNNGPSLKEVVERETTQLSEQHKRLSVRDLASKFDKNLAAAAKLADEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRFGEALEEQEKNSEISQKTQEVEELIEEVQVARRIKLMHQPSKVMGMEHELRALRTQIREKSIFSVKLQKELALNKKAEENKSRVYILHGSETLGSCLRLQPCSDNAPVLSKSSIQWYRMSSDGNQQEIISGANKIMYAPEPLDVGRILLVDILSNGQKVTVTTSVPIGSAAGLGGYVETLLRKSSSEFNVVISQINGQDHPSHSTHAFNVGKMRIKLCRGWITKSREIYSTSMLLCGVRGDGNAAAKSLFWQPRKGLSYVLTCESERERNAAIMVARKHALDCSVMLGGADDQIPKTQRETLGLSKQGTKGMS >EOY08751 pep chromosome:Theobroma_cacao_20110822:5:19466531:19478868:1 gene:TCM_023871 transcript:EOY08751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MTKISPEIEESMLKESILAVSADVSFASDHFPKYKLGPENQILEEPKGDNNGPSLKEVVERETTQLSEQHKRLSVRDLASKFDKNLAAAAKLADEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRFGEALEEQEKNSEISQKTQEVEELIEEVQVARRIKLMHQPSKVMGMEHELRALRTQIREKSIFSVKLQKELALNKKAEENKSRVYILHGSETLGSCLRLQPCSDNAPVLSKSSIQWYRMSSDGNQQEIISGANKIMYAPEPLDVGRILLVDILSNGQKVTVTTSVPIGSAAGLGGYVETLLRKSSSEFNVVVISQINGQDHPSHSTHAFNVGKMRIKLCRGWITKSREIYSTSMLLCGVRGDGNAAAKSLFWQPRKGLSYVLTCESERERNAAIMVARKHALDCSVMLGGADDLGSLFCFA >EOY08753 pep chromosome:Theobroma_cacao_20110822:5:19466296:19477456:1 gene:TCM_023871 transcript:EOY08753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MTKISPEIEESMLKESILAVSADVSFASDHFPKYKLGPENQILEEPKGDNNGPSLKEVVERETTQLSEQHKRLSVRDLASKFDKNLAAAAKLADEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRFGEALEEQEKNSEISQKTQEVEELIEEVQVARRIKLMHQPSKVMGMEHELRALRTQIREKSIFSVKLQKELALNKKAEENKSRVYILHGSETLGSCLRLQPCSDNAPVLSKSSIQWYRMSSDGNQQEIISGANKIMYAPEPLDVGRILLVDILSNGQKVTVTTSVPIGSAAGLGGYVETLLRKSSSEFNWCLTTLF >EOY11426 pep chromosome:Theobroma_cacao_20110822:5:39246807:39252080:1 gene:TCM_026604 transcript:EOY11426 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein isoform 1 MSNVTVCVRFRPLSSKEKRDHGDDICIESLDSETFTIKDEKEEGFTFSFDKVFYEKSKQADVYEFLVLPIVRGAVDATNGTIIVYGQTGAGKTYSMEGPNILESDEQKKGILPRVVDGLFACIRSSDESVKYTIKLSVVEIYMEKVRDLFDLSKDNIQIKESRTQGILLSGATEISLLDPAEALQSLSSGIANRAIGETQMNMASSRSHCVYLFTLNQESTTEKRVKSGKLILVDLAGSEKVEKTGAEGRVLEEAKTINKSLSALGNVINALTCGSPAKANHIPYRDSKLTRILQDALGGSSRTALLCCCSPSTFNASESLSTLRFGARAKHIKASAFVKGNEEKYLKKNGACSATRDESFDIILKKMSERLDAEDVELLEELFIQAGLFIDFGSTEYLESAYQDVAQQTISSLIQAVQELKFTVEMNNTFLHSSLWLRWNSWQELR >EOY11427 pep chromosome:Theobroma_cacao_20110822:5:39247406:39251422:1 gene:TCM_026604 transcript:EOY11427 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein isoform 1 SKEKRDHGDDICIESLDSETFTIKDEKEEGFTFSFDKVFYEKSKQADVYEFLVLPIVRGAVDATNGTIIVYGQTGAGKTYSMEGPNILESDEQKKGILPRVVDGLFACIRSSDESVKYTIKLSVVEIYMEKVRDLFDLSKDNIQIKESRTQGILLSGATEISLLDPAEALQSLSSGIANRAIGETQMNMASSRSHCVYLFTLNQESTTEKRVKSGKLILVDLAGSEKVEKTGAEGRVLEEAKTINKSLSALGNVINALTCGSPAKANHIPYRDSKLTRILQDALGGSSRTALLCCCSPSTFNASESLSTLRFGARAKHIKASAFVKGNEEKYLKKNGACSATRDESFDIILKKMSERLDAEDVELLEELFIQAGLFIDFGSTEYLESAYQDVAQQTISSLIQAVQELKFTVEMASEREQGSQGKTCSC >EOY07830 pep chromosome:Theobroma_cacao_20110822:5:2889612:2891604:-1 gene:TCM_022154 transcript:EOY07830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane intrinsic protein 2 MAKDIEVGGQFQAKDYHDPPPAPLVDAEELTKWSFYRAIIAEFIATLLFLYITVLTVIGYKSQIDKGGDECGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAILYMAAQCLGAICGCGLVKAFQKSYYNRYGGGANSLADGYSTGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVMYNQDKPWDDQWIFWVGPFIGAAIAAIYHQYILRAAAAKALGSFRSSSAM >EOY07447 pep chromosome:Theobroma_cacao_20110822:5:1601567:1603959:1 gene:TCM_021878 transcript:EOY07447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Avr9/Cf-9 rapidly elicited protein 137, putative MIAASMAWLSAGVDKASSGSKKCSIFRQENSSSSSPTLEILAFETAKTMSRLVALYRSLSDDEVCKLRTGTMKSPGVAYLNSTDESYLLGLACKEKLEDLNQAAAIISRLAKKCIDQELNRFEIAYHNIKQGIIDVSKIDYNSRNVGKIIDKMEKCANATSVLYASLIGLNELELSEKKMQKWKINACPKKSSEKTNIDYFNEKISFQRKQVRHFRQISLWNQTFDKSVGLMARIVCVVYTRICTIFEPFVPSLPRTTRNRHFQTRLYVSNPKPLHMKVYPEANYCLLLNKDKYMMKQASKSGPIMKTPRIKPGTTRFHSGELSPKEKGLGFRISAVTITDRVTTKINNEENNRRNQRLIQLPPPNTVGAAGLAVRYANVIIMAESYFYSATTISDEAREQMFEMLPMSLKHTLRGKLKSHWCRDAEERDGQHGLAEGWKDALEDIIGWLAPMAHDTLRWQQERNLEQQSLDAKPTVLLLQTLHFSDLEKTEAAIVEVLVGLSCIYRYENRRERGANGGR >EOY10275 pep chromosome:Theobroma_cacao_20110822:5:33875704:33883533:-1 gene:TCM_025645 transcript:EOY10275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein nuf2 isoform 3 MSKFEYPILSRADIISILAESQIAAVTDNDFKNVKPDFVSDLYTRLLIYLDALHEEDQGQVEFSALEQFENPDLLIGSIQVMNLYCRLREVVASLNCPMQFNLRDLVKPDSARAEFFISSILNFCLYKDTKMNLLRPIAEELTLLDEQRKEWEAKISQLNAEIAGYSEARERELPLVQEVDSKVKELREMIAGLNSNQMSLRTSFRNLKDKTGQMDEKISKAEFDLVQSVQENANLRSKIVQSPDKLQRALEERKLARDEAKTAERLAMQSFQEKTTIVEVYSKALKKMSKHFALMQAIHEQVNSAKSVEKEWKGLKAKLSDDAVLDKSLEAKLIERQGKVEQLDELQRQLQKERDLKFEESTKHLNDVKLEVESRRRDLEARQRKVEDVVAEVDSITLKTSMAKESGAAKVHDLVSKCEEIVKQFQHYSSSIGVLLPVLGTKTT >EOY10277 pep chromosome:Theobroma_cacao_20110822:5:33877547:33883595:-1 gene:TCM_025645 transcript:EOY10277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein nuf2 isoform 3 MSKFEYPILSRADIISILAESQIAAVTDNDFKNVKPDFVSDLYTRLLIYLDALHEEDQGQVEFSALEQFENPDLLIGSIQVMNLYCRLREVVASLNCPMQFNLRDLVKPDSARAEFFISSILNFCLYKDTKMNLLRPIAEELTLLDEQRKEWEAKISQLNAEIAGYSEARERELPLVQEVDSKVKELREMIAGLNSNQMSLRTSFRNLKDKTGQMDEKISKAEFDLVQSVQENANLRSKIVQSPDKLQRALEERKLARDEAKTAERLAMQSFQEKTTIVEVYSKALKKMSKHFALMQAIHEQVNSAKSVEKEWKGLKAKLSDDAVLDKSLEAKLIERQGKGS >EOY10276 pep chromosome:Theobroma_cacao_20110822:5:33876633:33883613:-1 gene:TCM_025645 transcript:EOY10276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein nuf2 isoform 3 MSKFEYPILSRADIISILAESQIAAVTDNDFKNVKPDFVSDLYTRLLIYLDALHEEDQGQVEFSALEQFENPDLLIGSIQVMNLYCRLREVVASLNCPMQFNLRDLVKPDSARAEFFISSILNFCLYKDTKMNLLRPIAEELTLLDEQRKEWEAKISQLNAEIAGYSEARERELPLVQEVDSKVKELREMIAGLNSNQMSLRTSFRNLKDKTGQMDEKISKAEFDLVQSVQENANLRSKIVQSPDKLQRALEERKLARDEAKTAERLAMQSFQEKTTIVEVYSKALKKMSKHFALMQAIHEQVNSAKSVEKEWKGLKAKLSDDAVLDKSLEAKLIERQGKVEQLDELQRQLQKERDLKFEESTKHLNDVKLEVESRRRDLEARQRKVEDVVAEVDSITLKTSMAKESGAAKVHDLVSKCEEIVKQFQHYSSSIGVLLPVLGTKTT >EOY07022 pep chromosome:Theobroma_cacao_20110822:5:211637:213057:-1 gene:TCM_021559 transcript:EOY07022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal transducer and transcription activator isoform 1 MVGSSSQTESWVSIRNSIYQAQEEETTTAAAESFVDQERREWTKIDQDDDDDDSSNNEDKIGKKKKKNQVLLEGYVEALDKEDDLTRTKSLTDEDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKYLDEHQKSPDPSPDTTAETVSSPIANWKISSPGDHPEDVKARLKFWAQAVACTVKLCS >EOY07023 pep chromosome:Theobroma_cacao_20110822:5:211214:213002:-1 gene:TCM_021559 transcript:EOY07023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal transducer and transcription activator isoform 1 MVGSSSQTESWVSIRNSIYQAQEEETTTAAAESFVDQERREWTKIDQDDDDDDSSNNEDKIGKKKKKNQVLLEGYVEALDKEDDLTRTKSLTDEDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKYLDEHQKSPDPSPDTTAETVSSPIANWKISSPGDHPEDVKARLKFWAQAVACTVKLCS >EOY07303 pep chromosome:Theobroma_cacao_20110822:5:1135115:1138511:1 gene:TCM_021769 transcript:EOY07303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKANQLNNGNLPELPEHIIVDILAKVPSEVLYNTFRFVCKAWYRLISSSEFTHENAVHQKPGLLIQVPRRHCDIEGTWWKTTLLQIDENEFDFTLTNFSTHSMKLIRSSCSGLVLGKTEEGTSMLCVKNILTGSVLTLPSCPSGCKHLNPDCGLALGFNPGTKEYKVVHVYADSYGFELFTIGSDHKWRRIPGPFEDLNERPFDVERFRWSDPESIGGQVLHWFVESDTYIISMDLRDEKFRRTNLPDVGRSVMKYEYDLVEMNGELCFVYKLSGFQIDLWVLKDFDRQVWSKEHSIIAMSINYTPSGASSGLTKKDKDQSHGGKQKKVDDASVYRKEKKAKLPNFLKLEALATLRNGEVIVLMDKQNSIHANIMYLYDLKHEEMRQFRIKMKKGTSFQPHRSGLVWSKEHSIIAMSTNYTPFRASSGPTKKVKDHSDGGEEKKVDDASLSRKEKKTKLPNFLKLAAVATLRNGEHEMRQFRIKMTQGTKFIPHRSSLSRRLRTDQMELSAELETSDI >EOY07419 pep chromosome:Theobroma_cacao_20110822:5:1491002:1493737:-1 gene:TCM_021861 transcript:EOY07419 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein MDKENGHGPLYFELNTGAKMPSVGLGTWKAPPGVVGDAVIAAVKAGYRHIDCAHVYDNEKEVGIALKELLSTGVVKRSEMFITSKLWCSDLAPEDVSKALTRTLEALQLDYIDLYLIHWPFRTKPGMRGWDPEIMAPLCLPETWSAMEGLYASGQARAIGVSNFSTKKLQDLLQSAKVPPAVNQVECHPVWQQPGLHNLCKSTGVHLSAYSPLGSPGTWIKGEIMKEPVLIEIAEKLNKSPAQVALRWGIQSGHSVLPKSVNESRIKENFSLFDWSIPPELFSKFSGIHQQRLLRGEFAVHGTRSPYKSLEELWDGEI >EOY11640 pep chromosome:Theobroma_cacao_20110822:5:39903766:39911718:-1 gene:TCM_026755 transcript:EOY11640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic H pyrophosphatase family protein isoform 1 MINGSEAKKSKVLKLLREFVEMSAMGEGLTQILIPVAALVGIGFALFQWFLVSRVKVSSNDSSNEYKEKLIEADEEEEGIDNLEVSIKCAEIQHAISVGATSFLFTEYKYLGIFMGVFGAIIFLFLGSVRGFSTKSEPCTYNQGNTCKPALANALFSTIAFLLGALTSVLSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLFVLYVSINLFKLYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGIGHDFTAMSYPLIISSMGIVVCLITTLFATDLFEIKNVSEIEPSLKRQLLISTVLMTAGIAVVSFFALPSEFTLYNFGTEKEVKNWHLFFCVAIGLWAGLVIGYTTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAIAIYVSFSLAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHKIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAYVSRAGIKSVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGRTKPDYANCVKISTDASLKEMIPPGALVMLTPLIAGTFFGVETLAGVLAGSLVSGVQVAISASNTGGAWDNAKKYIEAGASEHAKSLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLLFKLL >EOY11641 pep chromosome:Theobroma_cacao_20110822:5:39905773:39909147:-1 gene:TCM_026755 transcript:EOY11641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic H pyrophosphatase family protein isoform 1 MSAMGEGLTQILIPVAALVGIGFALFQWFLVSRVKVSSNDSSNEYKEKLIEADEEEEGIDNLEVSIKCAEIQHAISVGATSFLFTEYKYLGIFMGVFGAIIFLFLGSVRGFSTKSEPCTYNQGNTCKPALANALFSTIAFLLGALTSVLSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLFVLYVSINLFKLYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGIGHDFTAMSYPLIISSMGIVVCLITTLFATDLFEIKNVSEIEPSLKRQLLISTVLMTAGIAVVSFFALPSEFTLYNFGTEKEVKNWHLFFCVAIGLWAGLVIGYTTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAIAIYVSFSLAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHKIRERTDALDAAGNTTAAIGKHSFLLLYS >EOY10377 pep chromosome:Theobroma_cacao_20110822:5:34414429:34418748:-1 gene:TCM_025746 transcript:EOY10377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein, putative isoform 1 MADPVSIIVGPIVNNIIDTAASLIKGEFLAILNVEKEVKNLSSNLTAISAVLKDAEQRQLDAACGESLRDWLRKLKDVACDAEDILDTFATETFLWKRKKQVRKILAPTNLINKSSVAHKIKEISARLDVIAKQKNDFHLTESSDGGKTPNLPHTPFFVDITADVFGRESDRDELINRMLSNESDTEGDVSVIPIVGMGGLGKTTLAQLIFKDERVKNHFEFKMWVHVTVDFNFGRILKEMIEFHTEMKYSSDVPTRTLESRFLEFLAGKSFLLVLDDVWTDDYQEWEPLQNLLKQGGKGSRVLVTTRNTRVSDIMGTQPPYRLECLPEDECWSLFKKIAFKDCNSLVDTHRKELEVFGRQIVEKCNGLPLAVKAMGGVLRGNIDVNKWKQILRDSVWELEKDQNRTRPKILPALKLSYDHLPSYLKQCYAYCSIFPKAYVFDRKELVKLWMAEAFIQSSGQNSVEETGIEYFNELLMRSFFQILNIDDKVRYRMHDLIHDLAVSVSSPHCCQVKDNNSGIFSEETRHVSLLGQDVENPTLQIIERSTKLRTLLLPGESLKNLGQALDKMFHSLKYIRVLNLSSSSFSELPSSIENLKLLRYLDLSRTEIKVLPNSICNLCNLQTLKLLGCFWLFELPKDLGNLVNLRHLELDEMFWFKCEMLPPRMGNLTSLQNLHAFPVSGTSGHGIEELKNMAKLTKTLHILKLENAVNAAEAKLKEKESLQKLVLEWSGQDVNQEDEIRAERDLEDLQPHSNLKELALHHFKGSNFPLWMTDGLLQNLVRLTLSHCTKCTTLSVGQLPCLRALYIKGMLELEEWPGVQCLSLDRLHIKNCPKLRKVPDLMPNLTVLKIKKCDSLKALPMAPLMFLVLIDNLVLEDWKEGMFIAQDDQGNQVGQPKPTLISLLELKMANCPNVQALPKIFAPQKLEISGCGLITALPVPQFAQRLQHLALDSCSNGTLVRAIPGTNTLYSLVISNISNLTSFPKLPHLPGLKTLYISDCKDLTSLSEDEESLKSLSSLKLLSIQGCSKLESLPDEGLPTGLECLMIVSCPILKSLGTKDTLKSLLSLKDLYLDDCPLIQSFPEDGLPTSLLHLVIHECPLLIEQCQKEDAGSTEWPKIMHVTDQEIDSIRLPSAPDLPKKNKWTPLFGFSKGP >EOY10379 pep chromosome:Theobroma_cacao_20110822:5:34413988:34418894:-1 gene:TCM_025746 transcript:EOY10379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein, putative isoform 1 MADPVSIIVGPIVNNIIDTAASLIKGEFLAILNVEKEVKNLSSNLTAISAVLKDAEQRQLDAACGESLRDWLRKLKDVACDAEDILDTFATETFLWKRKKQVRKILAPTNLINKSSVAHKIKEISARLDVIAKQKNDFHLTESSDGGKTPNLPHTPFFVDITADVFGRESDRDELINRMLSNESDTEGDVSVIPIVGMGGLGKTTLAQLIFKDERVKNHFEFKMWVHVTVDFNFGRILKEMIEFHTEMKYSSDVPTRTLESRFLEFLAGKSFLLVLDDVWTDDYQEWEPLQNLLKQGGKGSRVLVTTRNTRVSDIMGTQPPYRLECLPEDECWSLFKKIAFKDCNSLVDTHRKELEVFGRQIVEKCNGLPLAVKAMGGVLRGNIDVNKWKQILRDSVWELEKDQNRTRPKILPALKLSYDHLPSYLKQCYAYCSIFPKAYVFDRKELVKLWMAEAFIQSSGQNSVEETGIEYFNELLMRSFFQILNIDDKVRYRMHDLIHDLAVSVSSPHCCQVKDNNSGIFSEETRHVSLLGQDVENPTLQIIERSTKLRTLLLPGESLKNLGQALDKMFHSLKYIRVLNLSSSSFSELPSSIENLKLLRYLDLSRTEIKVLPNSICNLCNLQTLKLLGCFWLFELPKDLGNLVNLRHLELDEMFWFKCEMLPPRMGNLTSLQNLHAFPVSGTSGHGIEELKNMAKLTKTLHILKLENAVNAAEAKLKEKESLQKLVLEWSGQDVNQEDEIRAERDLEDLQPHSNLKELALHHFKGSNFPLWMTDGLLQNLVRLTLSHCTKCTTLSVGQLPCLRALYIKGMLELEEWPGVQCLSLDRLHIKNCPKLRKVPDLMPNLTVLKIKKCDSLKALPMAPLMFLVLIDNLVLEDWKEGMFIAQDDQGNQVGQPKPTLISLLELKMANCPNVQALPKIFAPQKLEISGCGLITALPVPQFAQRLQHLALDSCSNGTLVRAIPGTNTLYSLVISNISNLTSFPKLPHLPGLKTLYISDCKDLTSLSEDEESLKSLSSLKLLSIQGCSKLESLPDEGLPTGLECLMIVSCPILKSLGTKDTLKSLLSLKDLYLDDCPLIQSFPEDGLPTSLLHLVIHECPLLIEQCQKEDAGSTEWPKIMHVTDQEIDSIRLPSAPDLPKKNKWTPLFGFSKGP >EOY10378 pep chromosome:Theobroma_cacao_20110822:5:34414429:34418458:-1 gene:TCM_025746 transcript:EOY10378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein, putative isoform 1 MADPVSIIVGPIVNNIIDTAASLIKGEFLAILNVEKEVKNLSSNLTAISAVLKDAEQRQLDAACGESLRDWLRKLKDVACDAEDILDTFATETFLWKRKKQVRKILAPTNLINKSSVAHKIKEISARLDVIAKQKNDFHLTESSDGGKTPNLPHTPFFVDITADVFGRESDRDELINRMLSNESDTEGDVSVIPIVGMGGLGKTTLAQLIFKDERVKNHFEFKMWVHVTVDFNFGRILKEMIEFHTEMKYSSDVPTRTLESRFLEFLAGKSFLLVLDDVWTDDYQEWEPLQNLLKQGGKGSRVLVTTRNTRVSDIMGTQPPYRLECLPEDECWSLFKKIAFKDCNSLVDTHRKELEVFGRQIVEKCNGLPLAVKAMGGVLRGNIDVNKWKQILRDSVWELEKDQNRTRPKILPALKLSYDHLPSYLKQCYAYCSIFPKAYVFDRKELVKLWMAEAFIQSSGQNSVEETGIEYFNELLMRSFFQILNIDDKVRYRMHDLIHDLAVSVSSPHCCQVKDNNSGIFSEETRHVSLLGQDVENPTLQIIERSTKLRTLLLPGESLKNLGQALDKMFHSLKYIRVLNLSSSSFSELPSSIENLKLLRYLDLSRTEIKVLPNSICNLCNLQTLKLLGCFWLFELPKDLGNLVNLRHLELDEMFWFKCEMLPPRMGNLTSLQNLHAFPVSGTSGHGIEELKNMAKLTKTLHILKLENAVNAAEAKLKEKESLQKLVLEWSGQDVNQEDEIRAERDLEDLQPHSNLKELALHHFKGSNFPLWMTDGLLQNLVRLTLSHCTKCTTLSVGQLPCLRALYIKGMLELEEWPGVQCLSLDRLHIKNCPKLRKVPDLMPNLTVLKIKKCDSLKALPMAPLMFLVLIDNLVLEDWKEGMFIAQDDQGNQVGQPKPTLISLLELKMANCPNVQALPKIFAPQKLEISGCGLITALPVPQFAQRLQHLALDSCSNGTLVRAIPGTNTLYSLVISNISNLTSFPKLPHLPGLKTLYISDCKDLTSLSEDEESLKSLSSLKLLSIQGCSKLESLPDEGLPTGLECLMIVSCPILKSLGTKDTLKSLLSLKDLYLDDCPLIQSFPEDGLPTSLLHLVIHECPLLIEQCQKEDAGSTEWPKIMHVTDQEIDSIRLPSAPDLPKKNKWTPLFGFSKGP >EOY11227 pep chromosome:Theobroma_cacao_20110822:5:38405033:38405547:1 gene:TCM_026468 transcript:EOY11227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase-related protein, putative MCPMRFLLVFFSAVLAGYVAWRTVRSSSDIDDVVSEDSDKMVAKDKQEFSFRRMVQNGFWVFVDMASGRYLWRNLKEMKTDEKVKNIRQF >EOY07185 pep chromosome:Theobroma_cacao_20110822:5:775692:780946:1 gene:TCM_021684 transcript:EOY07185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 13 isoform 1 MGNCCRSPAAVAREDVKSNFSAHDHARKDSVSKQKPPITVLNGVPKENIEEKYLVDRELGRGEFGVTYLCIDRGTRELLACKSISKRKLRTAVDIEDVRREVAIMKHLPKNSSIVSLKEACEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGLIDFKRDPWPNISESAKSLVRQMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDVVKSRLKQFSMMNRFKRKALRVIAEFLSIEEVEDIKEMFKKMDTDNDGIVSIEELKAGFRNFGSHLAESEVQMLIEAVDANGKGTLDYGEFLAVSLHLQRIANDEHLRKAFSYFDKDGNGYIEPDELRDSLMEDGADDCTNVANDIFQEVDTDKDGRISYDEFTAMMKTGTDWRKASRHYSRGRFNSLSMKLMKDGSLNLGNE >EOY07184 pep chromosome:Theobroma_cacao_20110822:5:775525:781379:1 gene:TCM_021684 transcript:EOY07184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 13 isoform 1 MGNCCRSPAAVAREDVKSNFSAHDHARKDSVSKQKPPITVLNGVPKENIEEKYLVDRELGRGEFGVTYLCIDRGTRELLACKSISKRKLRTAVDIEDVRREVAIMKHLPKNSSIVSLKEACEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGLIDFKRDPWPNISESAKSLVRQMLEPDPKLRLTAKQVLGSKISSVLCLGELVYVSWEGAGWGLGVQHPWLQNAKKAPNVPLGDVVKSRLKQFSMMNRFKRKALRVIAEFLSIEEVEDIKEMFKKMDTDNDGIVSIEELKAGFRNFGSHLAESEVQMLIEAVDANGKGTLDYGEFLAVSLHLQRIANDEHLRKAFSYFDKDGNGYIEPDELRDSLMEDGADDCTNVANDIFQEVDTDKDGRISYDEFTAMMKTGTDWRKASRHYSRGRFNSLSMKLMKDGSLNLGNE >EOY08451 pep chromosome:Theobroma_cacao_20110822:5:8576960:8590283:-1 gene:TCM_022897 transcript:EOY08451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPLPRKNRSRVLLHRIPIAMAISTILVDIGESSGRNRSHLRVSLHRTTCGLATYTYHRSWPSPCLTARR >EOY10895 pep chromosome:Theobroma_cacao_20110822:5:36937031:36941702:-1 gene:TCM_026179 transcript:EOY10895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotically up-regulated gene 71 protein isoform 2 MKVVALVSGGKDSCYAMMKCIQYGHQIVAVANLLPDDDSVDELDSYMYQTVGHQIIVSYAECMGVPLFRRRIQGSTRHQKLSYRTTPGDEVEDMFILLNEVKKQIPSITAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSLLLQEMITNGIVAITVKVAAMGLDPAKHLGKEIAFLKPYLHKLKDLYGINVCGEGGEYETLTFDCPLFHNARIMLDESQVVLHSSDSIAPVGVLHPLKFHLERKAKSNSISGNDKSNDLYRENISSVFEVQGENPLECKAPCESVPEASDLVEVSSHRLHLSKTEKADTFSICCWLQDQSEPSAGLQEDLKLILRQIELQLQGYGFGWEHVLYIHLYISDMNQFNLANETYVKFITQDKCPNGVPSRSTIELPLIQAGLGGAYIEVLVANDQSKRVLHVQSISCWAPSCIGPYSQGALVEVKPILYVPETSETTEETLNDLSGVMTPSYFGFQPADWHDSCIQKFVIHGKICAVVLSITGAVALKICSDSMNADWSNGNHRNYLTEGQMKRISRFCIYVLDKFIMENGFSWKDTMSLRFYYPPNLHVPLETLSLLFTDAFKELDQMSGSAKVGGKSIFNLVPVLGAGKSAACTEDIITCELFARKS >EOY10894 pep chromosome:Theobroma_cacao_20110822:5:36937300:36940711:-1 gene:TCM_026179 transcript:EOY10894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotically up-regulated gene 71 protein isoform 2 MRILWHQKLSYRTTPGDEVEDMFILLNEVKKQIPSITAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSLLLQEMITNGIVAITVKVAAMGLDPAKHLGKEIAFLKPYLHKLKDLYGINVCGEGGEYETLTFDCPLFHNARIMLDESQVVLHSSDSIAPVGVLHPLKFHLERKAKSNSISGNDKSNDLYRENISSVFEVQGENPLECKAPCESVPEASDLVEVSSHRLHLSKTEKADTFSICCWLQDQSEPSAGLQEDLKLILRQIELQLQGYGFGWEHVLYIHLYISDMNQFNLANETYVKFITQDKCPNGVPSRSTIELPLIQAGLGGAYIEVLVANDQSKRVLHVQSISCWAPSCIGPYSQGALVEVKPILYVPETSETTEETLNDLSGVMTPSYFGFQPADWHDSCIQKFVIHGKICAVVLSITGAVALKICSDSMNADWSNGNHRNYLTEGQMKRISRFCIYVLDKFIMENGFSWKDTMSLRFYYPPNLHVPLETLSLLFTDAFKELDQMSGSAKVGGKSIFNLVPVLGAGKSAACTEDIITCELFARKS >EOY10892 pep chromosome:Theobroma_cacao_20110822:5:36936758:36940570:-1 gene:TCM_026179 transcript:EOY10892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotically up-regulated gene 71 protein isoform 2 MFGKSDYCRHQKLSYRTTPGDEVEDMFILLNEVKKQIPSITAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSLLLQEMITNGIVAITVKVAAMGLDPAKHLGKEIAFLKPYLHKLKDLYGINVCGEGGEYETLTFDCPLFHNARIMLDESQVVLHSSDSIAPVGVLHPLKFHLERKAKSNSISGNDKSNDLYRENISSVFEVQGENPLECKAPCESVPEASDLVEVSSHRLHLSKTEKADTFSICCWLQDQSEPSAGLQEDLKLILRQIELQLQGYGFGWEHVLYIHLYISDMNQFNLANETYVKFITQDKCPNGVPSRSTIELPLIQAGLGGAYIEVLVANDQSKRVLHVQSISCWAPSCIGPYSQGALVEVKPILYVPETSETTEETLNDLSGVMTPSYFGFQPADWHDSCIQKFVIHGKICAVVLSITGAVALKICSDSMNADWSNGNHRNYLTEGQMKRISRFCIYVLDKFIMENGFSWKDTMSLRFYYPPNLHVPLETLSLLFTDAFKELDQMSGSAKVGGKSIFNLVPVLGAGKSAACTEDIITCELFARKS >EOY10897 pep chromosome:Theobroma_cacao_20110822:5:36937031:36939788:-1 gene:TCM_026179 transcript:EOY10897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotically up-regulated gene 71 protein isoform 2 MLDESQVVLHSSDSIAPVGVLHPLKFHLERKAKSNSISGNDKSNDLYRENISSVFEVQGENPLECKAPCESVPEASDLVEVSSHRLHLSKTEKADTFSICCWLQDQSEPSAGLQEDLKLILRQIELQLQGYGFGWEHVLYIHLYISDMNQFNLANETYVKFITQDKCPNGVPSRSTIELPLIQAGLGGAYIEVLVANDQSKRVLHVQSISCWAPSCIGPYSQGALVEVKPILYVPETSETTEETLNDLSGVMTPSYFGFQPADWHDSCIQKFVIHGKICAVVLSITGAVALKICSDSMNADWSNGNHRNYLTEGQMKRISRFCIYVLDKFIMENGFSWKDTMSLRFYYPPNLHVPLETLSLLFTDAFKELDQMSGSAKVGGKSIFNLVPVLGAGKSAACTEDIITCELFARKS >EOY10896 pep chromosome:Theobroma_cacao_20110822:5:36937031:36941702:-1 gene:TCM_026179 transcript:EOY10896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotically up-regulated gene 71 protein isoform 2 MYQTVGHQIIVSYAECMGVPLFRRRIQGSTRHQKLSYRTTPGDEVEDMFILLNEVKKQIPSITAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSLLLQEMITNGIVAITVKVAAMGLDPAKHLGKEIAFLKPYLHKLKDLYGINVCGEGGEYETLTFDCPLFHNARIMLDESQVVLHSSDSIAPVGVLHPLKFHLERKAKSNSISGNDKSNDLYRENISSVFEVQGENPLECKAPCESVPEASDLVEVSSHRLHLSKTEKADTFSICCWLQDQSEPSAGLQEDLKLILRQIELQLQGYGFGWEHVLYIHLYISDMNQFNLANETYVKFITQDKCPNGVPSRSTIELPLIQAGLGGAYIEVLVANDQSKRVLHVQSISCWAPSCIGPYSQGALVEVKPILYVPETSETTEETLNDLSGVMTPSYFGFQPADWHDSCIQKFVIHGKICAVVLSITGAVALKICSDSMNADWSNGNHRNYLTEGQMKRISRFCIYVLDKFIMENGFSWKDTMSLRFYYPPNLHVPLETLSLLFTDAFKELDQMSGSAKVGGKSIFNLVPVLGAGKSAACTEDIITCELFARKS >EOY10893 pep chromosome:Theobroma_cacao_20110822:5:36937300:36940711:-1 gene:TCM_026179 transcript:EOY10893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotically up-regulated gene 71 protein isoform 2 MRILWHQKLSYRTTPGDEVEDMFILLNEVKKQIPSITAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSLLLQEMITNGIVAITVKVAAMGLDPAKHLGKEIAFLKPYLHKLKDLYGINVCGEGGEYETLTFDCPLFHNARIMLDESQVVLHSSDSIAPVGVLHPLKFHLERKAKSNSISGNDKSNDLYRENISSVFEVQGENPLECKAPCESVPEASDLVEVSSHRLHLSKTEKADTFSICCWLQDQSEPSAGLQEDLKLILRQIELQLQGYGFGWEHVLYIHLYISDMNQFNLANETYVKFITQDKCPNGVPSRSTIELPLIQAGLGGAYIEVLVANDQSKRVLHVQSISCWAPSCIGPYSQGALVEVKPILYVPETSETTEETLNDLSGVMTPSYFGFQPADWHDSCIQKFVIHGKICAVVLSITGAVALKICSDSMNADWSNGNHRNYLTEGQMKRISRFCIYVLDKFIMENGFSWKDTMSLRFYYPPNLHVPLETLSLLFTDAFKELDQMSGSAKVGGKSIFNLVPVLGAGKSAACTEDIITCELFARKS >EOY10552 pep chromosome:Theobroma_cacao_20110822:5:35306270:35307357:-1 gene:TCM_025887 transcript:EOY10552 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein, putative MVRSYQDFQPDCEYRQGETQDIIELKLKDFRKEQLKVTFGTNRTLTICGERPLEGTRWIRFRKEFTPPKDCNANEIRARLSSGILYVTIPKKIVQQVPQQDQARPVQQASAIQHEGKLEQESSRSTEAAETFTAKESGPGDVTTPTENATSHRAGPKSFIPRLKMGRKTVMKVAASVTVLSLLFIILLFMFKYYAPMVNIVN >EOY08735 pep chromosome:Theobroma_cacao_20110822:5:19189050:19193264:1 gene:TCM_023846 transcript:EOY08735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor family protein, putative isoform 1 MMVGEVYRIKEILHNSQDESDSVLFDSLRRLQLMALTVDILKATGIGKAVNRVRKHSSKQIRHLAQTLIDGWKELVDEWVNAAKAIAEGTPESVNPSVLDNEEEKEEEEEEEEEEGLPSPPLDVGAFFATQPTSMELSQFFDGMDDDGNPRNSGEFIKNLDNRRKPSQENQNVSKRKQQTSSEAVLLTKDDKSRQMKRQEPVDNPNNKPQRKNMGSGRPPKHDMEQKANFESKLLLKSDKMAVPKKPLSSQQDKFKTSDDIAVQRKLEATKRKLQERYQQAENAKRKRTIQVMELHDLPKQGSVPKNAYMKPGNHNRQWVNGRR >EOY08734 pep chromosome:Theobroma_cacao_20110822:5:19189050:19193264:1 gene:TCM_023846 transcript:EOY08734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor family protein, putative isoform 1 MAMKGVPLEQWRDYFRTANSDIFDIIDHAITVAALDCPKEFRLRRDQIAEKLFTCKFTRCSGCDRVKLVVPEYGADNGRGCRARFKGEADEEDGCEFFEAGGSKESKANSSRDDPLINQIASNYSYGEAEALTDEIEEESMMVGEVYRIKEILHNSQDESDSVLFDSLRRLQLMALTVDILKATGIGKAVNRVRKHSSKQIRHLAQTLIDGWKELVDEWVNAAKAIAEGTPESVNPSVLDNEEEKEEEEEEEEEEGLPSPPLDVGAFFATQPTSMELSQFFDGMDDDGNPRNSGEFIKNLDNRRKPSQENQNVSKRKQQTSSEAVLLTKDDKSRQMKRQEPVDNPNNKPQRKNMGSGRPPKHDMEQKANFESKLLLKSDKMAVPKKPLSSQQDKFKTSDDIAVQRKLEATKRKLQERYQQAENAKRKRTIQVMELHDLPKQGSVPKNAYMKPGNHNRQWVNGRR >EOY07464 pep chromosome:Theobroma_cacao_20110822:5:1664417:1665403:1 gene:TCM_021895 transcript:EOY07464 gene_biotype:protein_coding transcript_biotype:protein_coding description:HLIP/One helix protein MNCLLGTVCNKSPTTTATNSYILKTMATSSLISSSFLLTTKPLTIQRQNQLHDTTTFRNRAPCKVQAAKLPPGVTVPKVQPKFTAPFLGFTRTAEVWNSRACMIGLIGIFLVELILNKGILQMIGVDVGKGLDIPL >EOY11240 pep chromosome:Theobroma_cacao_20110822:5:38519025:38537568:-1 gene:TCM_026480 transcript:EOY11240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pro-like protein MDATELCLVPDVLIPAKFKVPEFEKYDGTKCPMAHITMYCRKMAAQSHDDKLLIHFFQDSLTGSAARWYVQLDRNRIKTWKDLARAFIAQYKHVAELAPDRLSLQTMEKKQSENFKEYAQRWRDTAAQVQPPLTDKEMTVLFINTLRAPFYERLIGNATKNFADLVLSGEIIEGAIKSGKIEGHEVASSKKGSTPKKKEGDVQAVAHDSQQAHNFNPYYPYPPYQPFYPHIGNVTQNPYVYQPVPQPTFQTNVLPQTPPPRPVASTNNPGNGQRGPRTTLEKPKFDPIPVPYTTLLPQLIENRLLARTPLEPLRPPFPKWERHRRFPCRSSS >EOY07254 pep chromosome:Theobroma_cacao_20110822:5:932186:939979:-1 gene:TCM_021719 transcript:EOY07254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MFGICNDTYFANESDRLALLDFKNRVTQDPLHVMASWNNFVHFCSWVGITCSPSNGRVVILNLEGQKLVGSIPPSIGNLTFLTGINLVNNTFRGEIPQEIGRLLRLQHLNLTYNSFGGKTPSNLTHCVELATIRLGYNGLIGRIPDQLASLSKLKILELGANNLTGTIRTWIAQNNLQGTIPDELGQLSGLGGFHLYGNYLSGIIPPSIYNISSIYYFSVTQNQLQGHLPPDVGLTLPNLEIFAGGVNNFTGTIPVSLSNASRLQIIDFAENGLTGTIPGNLGNLEDLIRLNFDDNKLGTGKIGDLSFFGSLTNISALEVLGLSGNRFGGELPSSIANLSDKLKIFTIGRNLIHGSIPVGIGNLVNLNSLGMEGNQLEGTLPDVLGKLQNLEGLQLNYNRFSGSMPFSLGNLTALTRLFMDENRFEGSIPPSLGNCQNLLELNFSSNNLSGTIPREILALSSLSISLSMSHNSLSGSIPVEVGNLNILAELDLAENRLSGEIPSSLASCISLERLYLEGNAFEGKIPLSLKSLRGLEEIDLSRNNLSGQIPEFLSKILFLKHLNLSHNDFDGEVSQAGIFGNASAFSVVENNKLCGGVQDLHLPTCTRKSPGRRLAPKVVIPVTGAVIFVVLLLCSYASYHRVRNSGSQSNASFSKEWQLCMSYSDIVKATDGFSEENLIGSGSFGSVYKGTISRDETVVAIKVLNLQQQGASRSFIDECNALRSVRHRNLLKIITACSTVDHQGNDFKALVFEFMPNGNLDQWLHPGGNDQYQSMRLSLIQRLNIAIDIASALDYLHHHCVTPIVHCDLKPSNVLLDENIAAHVGDFGLARFIFDSSSNASRSQTMSVRLKGSMGYIPPEYGMGGQVSIHGDTYSYGILLLEMLTGKRPTDDSFEDDLGICEFVDRALPGHVMDIVDRSMLFEEENVHKKVRGNREDYVEERALIKNQNSHVSSVRRREECLISMMKIGLSCAATLPSERLTMTVVVNNLLDIKAMLVAISGF >EOY09715 pep chromosome:Theobroma_cacao_20110822:5:30689715:30697125:-1 gene:TCM_025106 transcript:EOY09715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein isoform 1 MSKIHSALVHCLDELGVWLALKAAEYLSCYESDFLLGGKLGVVGEKIVKNYSLVACQLFETRIPSGPDWTIANNVKASVDAGLLTTKVFCLIESLFEYRELKDIRCIIFVERVMTAIVLQSLLSELLRKHNSWKTKYIAGNNSGLHSQTRKKQNEIVEEFRKGMVNIIVATSILEEGLDVQSCNLVIRFDPSATVCSFIQSRGRARMQNSDYLLLVKSGDFFTHSRLKNYLASGDIMRKESLCHASHACSPLRNHLYDEEVYRFASTGACVTLSSSVGLIHFYCSRLPADGYFKPTPRCVIDKEKGVCTLYLPKSCPIQTVCVQGNIKTLKQKACFEACKQLHEIGALTDNLVPDIVAEEADAGEIGREPYNDDQPIFFPPELVNQCEHEDMKKYYCYLIELKQNFDYEFPVHNIMLLVRSQLEIDNKSMGFELEVDRGFLTVNLKYVGLIRLDPSQVILSKRFQIAVFRVLMDHKVEKLTEVLGDPRSGNNSDIDYLLLPSTYLGQNPVIDWPSVCSVLFSYENVWKDHVCNAGMIQTKSGLLCACMIENSLVCTPHNGHAYIVKGFLKNLTANSLLKLRNGSVMTYMEYYELRHGIQLRFSQVSFLDARHVFPVHNYLHRCKRQKEKESSNAFVELPPELCDVIMSPISISTFYSFTFIPSIMYRLESLLLATNLKKMQQDHCVQNVTIPTMKVLEAITTKKCQENFHLESLETLGDSFLKYAVCQQLFKTHQNQHEGLLSIRKEKIISNTALCMLGCDKKLPGFIRNEPFDVKSWIIPGYNSGSYALNEETLCSTRKIYVSGRRKLKNKKVADVVEALIGAYLSVGGEAAGVLFLNWIGINVDFTNIPYQRQFKVHAEKLVNVRVLESLLNYSFQDPSLLVEALTHGSYMLAEIPECYQRMEFLGDSVLDYLITVHLYSKYPRMSPGLLTDLRSASVNNDCYALSAVKAGLHKYILHASQKLHKEIAETVESFKELSMKYTFGWECDKSFPKVLADIIESLAGAIFVDSGYDKHAVFRSIRPLLEPLITPETIKFHPVKELNELCQKEHFEQRPATVSHDNGVTSITTEVEANGMVFKHTSSASNKKMARKLACKEVLKSLKASLGGPKFV >EOY09713 pep chromosome:Theobroma_cacao_20110822:5:30692181:30702979:-1 gene:TCM_025106 transcript:EOY09713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein isoform 1 ADSLPFARSYQLEALEKAIKQNTITYLETGSGKTLIAIMLLRSYGHLLRKPSPFCAVFLVPQVVLVKQQADAVEMHTDLNVGKYWGEMDVDFWDAAKWKQEIEKYEVLVMTPQILLNGLRHSFFKINMIKVLIIDECHHARGKHPYACIMTEFYHHKLEAGVSDLPRIFGMTASPIKSKAASPVDSYWQKIHELETIMNSKVYTCISESVLAQFVPFSTPKFKFYEHMEIPYVLYARLVEELNVLKHECSLEILDLEASATESTRKKMSKIHSALVHCLDELGVWLALKAAEYLSCYESDFLLGGKLGVVGEKIVKNYSLVACQLFETRIPSGPDWTIANNVKASVDAGLLTTKVFCLIESLFEYRELKDIRCIIFVERVMTAIVLQSLLSELLRKHNSWKTKYIAGNNSGLHSQTRKKQNEIVEEFRKGMVNIIVATSILEEGLDVQSCNLVIRFDPSATVCSFIQSRGRARMQNSDYLLLVKSGDFFTHSRLKNYLASGDIMRKESLCHASHACSPLRNHLYDEEVYRFASTGACVTLSSSVGLIHFYCSRLPADGYFKPTPRCVIDKEKGVCTLYLPKSCPIQTVCVQGNIKTLKQKACFEACKQLHEIGALTDNLVPDIVAEEADAGEIGREPYNDDQPIFFPPELVNQCEHEDMKKYYCYLIELKQNFDYEFPVHNIMLLVRSQLEIDNKSMGFELEVDRGFLTVNLKYVGLIRLDPSQVILSKRFQIAVFRVLMDHKVEKLTEVLGDPRSGNNSDIDYLLLPSTYLGQNPVIDWPSVCSVLFSYENVWKDHVCNAGMIQTKSGLLCACMIENSLVCTPHNGHAYIVKGFLKNLTANSLLKLRNGSVMTYMEYYELRHGIQLRFSQVSFLDARHVFPVHNYLHRCKRQKEKESSNAFVELPPELCDVIMSPISISTFYSFTFIPSIMYRLESLLLATNLKKMQQDHCVQNVTIPTMKVLEAITTK >EOY09714 pep chromosome:Theobroma_cacao_20110822:5:30689680:30699942:-1 gene:TCM_025106 transcript:EOY09714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein isoform 1 MNSKVYTCISESVLAQFVPFSTPKFKFYEHMEIPYVLYARLVEELNVLKHECSLEILDLEASATESTRKKMSKIHSALVHCLDELGVWLALKAAEYLSCYESDFLLGGKLGVVGEKIVKNYSLVACQLFETRIPSGPDWTIANNVKASVDAGLLTTKVFCLIESLFEYRELKDIRCIIFVERVMTAIVLQSLLSELLRKHNSWKTKYIAGNNSGLHSQTRKKQNEIVEEFRKGMVNIIVATSILEEGLDVQSCNLVIRFDPSATVCSFIQSRGRARMQNSDYLLLVKSGDFFTHSRLKNYLASGDIMRKESLCHASHACSPLRNHLYDEEVYRFASTGACVTLSSSVGLIHFYCSRLPADGYFKPTPRCVIDKEKGVCTLYLPKSCPIQTVCVQGNIKTLKQKACFEACKQLHEIGALTDNLVPDIVAEEADAGEIGREPYNDDQPIFFPPELVNQCEHEDMKKYYCYLIELKQNFDYEFPVHNIMLLVRSQLEIDNKSMGFELEVDRGFLTVNLKYVGLIRLDPSQVILSKRFQIAVFRVLMDHKVEKLTEVLGDPSHGIQLRFSQVSFLDARHVFPVHNYLHRCKRQKEKESSNAFVELPPELCDVIMSPISISTFYSFTFIPSIMYRLESLLLATNLKKMQQDHCVQNVTIPTMKVLEAITTKKCQENFHLESLETLGDSFLKYAVCQQLFKTHQNQHEGLLSIRKEKIISNTALCMLGCDKKLPGFIRNEPFDVKSWIIPGYNSGSYALNEETLCSTRKIYVSGRRKLKNKKVADVVEALIGAYLSVGGEAAGVLFLNWIGINVDFTNIPYQRQFKVHAEKLVNVRVLESLLNYSFQDPSLLVEALTHGSYMLAEIPECYQRMEFLGDSVLDYLITVHLYSKYPRMSPGLLTDLRSASVNNDCYALSAVKAGLHKYILHASQKLHKEIAETVESFKELSMKYTFGWECDKSFPKVLADIIESLAGAIFVDSGYDKHAVFRSIRPLLEPLITPETIKFHPVKELNELCQKEHFEQRPATVSHDNGVTSITTEVEANGMVFKHTSSASNKKMARKLACKEVLKSLKASLGGPKFV >EOY09710 pep chromosome:Theobroma_cacao_20110822:5:30689826:30703960:-1 gene:TCM_025106 transcript:EOY09710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein isoform 1 MEPVDMEMDITQELSADSLPFARSYQLEALEKAIKQNTITYLETGSGKTLIAIMLLRSYGHLLRKPSPFCAVFLVPQVVLVKQQADAVEMHTDLNVGKYWGEMDVDFWDAAKWKQEIEKYEVLVMTPQILLNGLRHSFFKINMIKVLIIDECHHARGKHPYACIMTEFYHHKLEAGVSDLPRIFGMTASPIKSKAASPVDSYWQKIHELETIMNSKCLHSMFSPLKVYTCISESVLAQFVPFSTPKFKFYEHMEIPYVLYARLVEELNVLKVKDTDSRCTAFQHECSLEILDLEASATESTRKKMSKIHSALVHCLDELGVWLALKAAEYLSCYESDFLLGGKLGVVGEKIVKNYSLVACQLFETRIPSGPDWTIANNVKASVDAGLLTTKVFCLIESLFEYRELKDIRCIIFVERVMTAIVLQSLLSELLRKHNSWKTKYIAGNNSGLHSQTRKKQNEIVEEFRKGMVNIIVATSILEEGLDVQSCNLVIRFDPSATVCSFIQSRGRARMQNSDYLLLVKSGDFFTHSRLKNYLASGDIMRKESLCHASHACSPLRNHLYDEEVYRFASTGACVTLSSSVGLIHFYCSRLPADGYFKPTPRCVIDKEKGVCTLYLPKSCPIQTVCVQGNIKTLKQKACFEACKQLHEIGALTDNLVPDIVAEEADAGEIGREPYNDDQPIFFPPELVNQCEHEDMKKYYCYLIELKQNFDYEFPVHNIMLLVRSQLEIDNKSMGFELEVDRGFLTVNLKYVGLIRLDPSQVILSKRFQIAVFRVLMDHKVEKLTEVLGDPRSGNNSDIDYLLLPSTYLGQNPVIDWPSVCSVLFSYENVWKDHVCNAGMIQTKSGLLCACMIENSLVCTPHNGHAYIVKGFLKNLTANSLLKLRNGSVMTYMEYYELRHGIQLRFSQVSFLDARHVFPVHNYLHRCKRQKEKESSNAFVELPPELCDVIMSPISISTFYSFTFIPSIMYRLESLLLATNLKKMQQDHCVQNVTIPTMKVLEAITTKKCQENFHLESLETLGDSFLKYAVCQQLFKTHQNQHEGLLSIRKEKIISNTALCMLGCDKKLPGFIRNEPFDVKSWIIPGYNSGSYALNEETLCSTRKIYVSGRRKLKNKKVADVVEALIGAYLSVGGEAAGVLFLNWIGINVDFTNIPYQRQFKVHAEKLVNVRVLESLLNYSFQDPSLLVEALTHGSYMLAEIPECYQRMEFLGDSVLDYLITVHLYSKYPRMSPGLLTDLRSASVNNDCYALSAVKAGLHKYILHASQKLHKEIAETVESFKELSMKYTFGWECDKSFPKVLADIIESLAGAIFVDSGYDKHAVFRSIRPLLEPLITPETIKFHPVKELNELCQKEHFEQRPATVSHDNGVTSITTEVEANGMVFKHTSSASNKKMARKLACKEVLKSLKASLGGPKFV >EOY09711 pep chromosome:Theobroma_cacao_20110822:5:30689680:30702656:-1 gene:TCM_025106 transcript:EOY09711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein isoform 1 MHTDLNVGKYWGEMDVDFWDAAKWKQEIEKYEVLVMTPQILLNGLRHSFFKINMIKVLIIDECHHARGKHPYACIMTEFYHHKLEAGVSDLPRIFGMTASPIKSKAASPVDSYWQKIHELETIMNSKVYTCISESVLAQFVPFSTPKFKFYEHMEIPYVLYARLVEELNVLKHECSLEILDLEASATESTRKKMSKIHSALVHCLDELGVWLALKAAEYLSCYESDFLLGGKLGVVGEKIVKNYSLVACQLFETRIPSGPDWTIANNVKASVDAGLLTTKVFCLIESLFEYRELKDIRCIIFVERVMTAIVLQSLLSELLRKHNSWKTKYIAGNNSGLHSQTRKKQNEIVEEFRKGMVNIIVATSILEEGLDVQSCNLVIRFDPSATVCSFIQSRGRARMQNSDYLLLVKSGDFFTHSRLKNYLASGDIMRKESLCHASHACSPLRNHLYDEEVYRFASTGACVTLSSSVGLIHFYCSRLPADGYFKPTPRCVIDKEKGVCTLYLPKSCPIQTVCVQGNIKTLKQKACFEACKQLHEIGALTDNLVPDIVAEEADAGEIGREPYNDDQPIFFPPELVNQCEHEDMKKYYCYLIELKQNFDYEFPVHNIMLLVRSQLEIDNKSMGFELEVDRGFLTVNLKYVGLIRLDPSQVILSKRFQIAVFRVLMDHKVEKLTEVLGDPRSGNNSDIDYLLLPSTYLGQNPVIDWPSVCSVLFSYENVWKDHVCNAGMIQTKSGLLCACMIENSLVCTPHNGHAYIVKGFLKNLTANSLLKLRNGSVMTYMEYYELRHGIQLRFSQVSFLDARHVFPVHNYLHRCKRQKEKESSNAFVELPPELCDVIMSPISISTFYSFTFIPSIMYRLESLLLATNLKKMQQDHCVQNVTIPTMKVLEAITTKKCQENFHLESLETLGDSFLKYAVCQQLFKTHQNQHEGLLSIRKEKIISNTALCMLGCDKKLPGFIRNEPFDVKSWIIPGYNSGSYALNEETLCSTRKIYVSGRRKLKNKKVADVVEALIGAYLSVGGEAAGVLFLNWIGINVDFTNIPYQRQFKVHAEKLVNVRVLESLLNYSFQDPSLLVEALTHGSYMLAEIPECYQRMEFLGDSVLDYLITVHLYSKYPRMSPGLLTDLRSASVNNDCYALSAVKAGLHKYILHASQKLHKEIAETVESFKELSMKYTFGWECDKSFPKVLADIIESLAGAIFVDSGYDKHAVFRSIRPLLEPLITPETIKFHPVKELNELCQKEHFEQRPATVSHDNGVTSITTEVEANGMVFKHTSSASNKKMARKLACKEVLKSLKASLGGPKFV >EOY09716 pep chromosome:Theobroma_cacao_20110822:5:30690098:30697434:-1 gene:TCM_025106 transcript:EOY09716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein isoform 1 MSKIHSALVHCLDELGVWLALKAAEYLSCYESDFLLGGKLGVVGEKIVKNYSLVACQLFETRIPSGPDWTIANNVKASVDAGLLTTKVFCLIESLFEYRELKDIRCIIFVERVMTAIVLQSLLSELLRKHNSWKTKYIAGNNSGLHSQTRKKQNEIVEEFRKGMVNIIVATSILEEGLDVQSCNLVIRFDPSATVCSFIQSRGRARMQNSDYLLLVKSGDFFTHSRLKNYLASGDIMRKESLCHASHACSPLRNHLYDEEVYRFASTGACVTLSSSVGLIHFYCSRLPADGYFKPTPRCVIDKEKGVCTLYLPKSCPIQTVCVQGNIKTLKQKACFEACKQLHEIGALTDNLVPDIVAEEADAGEIGREPYNDDQPIFFPPELVNQCEHEDMKKYYCYLIELKQNFDYEFPVHNIMLLVRSQLEIDNKSMGFELEVDRGFLTVNLKYVGLIRLDPSQVILSKRFQIAVFRVLMDHKVEKLTEVLGDPSHGIQLRFSQVSFLDARHVFPVHNYLHRCKRQKEKESSNAFVELPPELCDVIMSPISISTFYSFTFIPSIMYRLESLLLATNLKKMQQDHCVQNVTIPTMKVLEAITTKKCQENFHLESLETLGDSFLKYAVCQQLFKTHQNQHEGLLSIRKEKIISNTALCMLGCDKKLPGFIRNEPFDVKSWIIPGYNSGSYALNEETLCSTRKIYVSGRRKLKNKKVADVVEALIGAYLSVGGEAAGVLFLNWIGINVDFTNIPYQRQFKVHAEKLVNVRVLESLLNYSFQDPSLLVEALTHGSYMLAEIPECYQRMEFLGDSVLDYLITVHLYSKYPRMSPGLLTDLRSASVNNDCYALSAVKAGLHKYILHASQKLHKEIAETVESFKELSMKYTFGWECDKSFPKVLADIIESLAGAIFVDSGYDKHAVFRSIRPLLEPLITPETIKFHPVKELNELCQKEHFE >EOY09712 pep chromosome:Theobroma_cacao_20110822:5:30689680:30702656:-1 gene:TCM_025106 transcript:EOY09712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein isoform 1 MHTDLNVGKYWGEMDVDFWDAAKWKQEIEKYEVLVMTPQILLNGLRHSFFKINMIKVLIIDECHHARGKHPYACIMTEFYHHKLEAGVSDLPRIFGMTASPIKSKAASPVDSYWQKIHELETIMNSKVYTCISESVLAQFVPFSTPKFKFYEHMEIPYVLYARLVEELNVLKVKHECSLEILDLEASATESTRKKMSKIHSALVHCLDELGVWLALKAAEYLSCYESDFLLGGKLGVVGEKIVKNYSLVACQLFETRIPSGPDWTIANNVKASVDAGLLTTKVFCLIESLFEYRELKDIRCIIFVERVMTAIVLQSLLSELLRKHNSWKTKYIAGNNSGLHSQTRKKQNEIVEEFRKGMVNIIVATSILEEGLDVQSCNLVIRFDPSATVCSFIQSRGRARMQNSDYLLLVKSGDFFTHSRLKNYLASGDIMRKESLCHASHACSPLRNHLYDEEVYRFASTGACVTLSSSVGLIHFYCSRLPADGYFKPTPRCVIDKEKGVCTLYLPKSCPIQTVCVQGNIKTLKQKACFEACKQLHEIGALTDNLVPDIVAEEADAGEIGREPYNDDQPIFFPPELVNQCEHEDMKKYYCYLIELKQNFDYEFPVHNIMLLVRSQLEIDNKSMGFELEVDRGFLTVNLKYVGLIRLDPSQVILSKRFQIAVFRVLMDHKVEKLTEVLGDPSHGIQLRFSQVSFLDARHVFPVHNYLHRCKRQKEKESSNAFVELPPELCDVIMSPISISTFYSFTFIPSIMYRLESLLLATNLKKMQQDHCVQNVTIPTMKVLEAITTKKCQENFHLESLETLGDSFLKYAVCQQLFKTHQNQHEGLLSIRKEKIISNTALCMLGCDKKLPGFIRNEPFDVKSWIIPGYNSGSYALNEETLCSTRKIYVSGRRKLKNKKVADVVEALIGAYLSVGGEAAGVLFLNWIGINVDFTNIPYQRQFKVHAEKLVNVRVLESLLNYSFQDPSLLVEALTHGSYMLAEIPECYQRMEFLGDSVLDYLITVHLYSKYPRMSPGLLTDLRSASVNNDCYALSAVKAGLHKYILHASQKLHKEIAETVESFKELSMKYTFGWECDKSFPKVLADIIESLAGAIFVDSGYDKHAVFRSIRPLLEPLITPETIKFHPVKELNELCQKEHFEQRPATVSHDNGVTSITTEVEANGMVFKHTSSASNKKMARKLACKEVLKSLKASLGGPKFV >EOY11711 pep chromosome:Theobroma_cacao_20110822:5:40104619:40108413:1 gene:TCM_026797 transcript:EOY11711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast RNA binding MSKLVVFQPTKQPSISLLPSSLSDFSGTKLCQFQYKRKVWQPKGALHVAAASTKKILVMGGTRFIGIFLSRLLVKEGHQVTLFTRGKAPITQQLPGEPDSEYSDFKSKILHLKGDRKDFEFVKSSLSAEGFDVVYDINGREADEVEPILDALPNLEQYIYCSSAGVYLKSDLLPHCETDAVDPKSRHKGKLNTENLLASRGVNWTSLRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPNSGVQITQLGHVKDLAKAFIQVLGNEKASKQVFNISGEKYVTFDGLARACAKAAGFPEPEIVHYNPKEFDFGKKKAFPFRDQHFFASIEKAKHVLGWEPEFDLVEGLADSYNLDFGRGTYRKEADFSTDDMILGKTLVLQS >EOY11729 pep chromosome:Theobroma_cacao_20110822:5:40148246:40149190:-1 gene:TCM_026813 transcript:EOY11729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein, putative MPGHQVFLLLFSISSSFLLISIQSRYHRHTDKKQFRIVSHISIPPLPAPEAAAASPGYNAKSPNNPSSVFNVLDFGAVGDGITDDTQAFKLAWDMACQAESAVLLVPDGHSFMLQSTIFTGPCKTDDFVFQIDGTRMPPDGPDSWPRNISKPQLLAHNYVDRC >EOY10427 pep chromosome:Theobroma_cacao_20110822:5:34727200:34731240:1 gene:TCM_025794 transcript:EOY10427 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MSSKNELPDELWRKILEIGIKSSNFIFKDLCSISISCRRLHRLSNEDSLWSHLLSVDFPNQISSPPSSSSPKSLYKIRFERERERKLLVYKRAVLRKESQVSEHLRKLREIEVRLSEEREKLKSAVSELSNLHKVSQASVALNVWQPEVVRGRQKQTVEQCVVPVESRIHALEMEVKLCNQQLQVFDKAYRDENRRLDVAKEELQSMKYHPLRDYKLTSNESHENKMKRKKLKTCINSPDKQGKTT >EOY10428 pep chromosome:Theobroma_cacao_20110822:5:34727158:34730775:1 gene:TCM_025794 transcript:EOY10428 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MSSKNELPDELWRKILEIGIKSSNFIFKDLCSISISCRRLHRLSNEDSLWSHLLSVDFPNQISSPPSSSSPKSLYKISQASVALNVWQPEVVRGRQKQTVEQCVVPVESRIHALEMEVKLCNQQLQVFDKAYRDENRRLDVAKEELQSMKYHPLRDYKLTSNESHENKMKRKKLKTCINFNVLTAPDKQGKTT >EOY07889 pep chromosome:Theobroma_cacao_20110822:5:3149717:3155799:-1 gene:TCM_022207 transcript:EOY07889 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSL-kinase interacting protein 1, putative isoform 1 MQTESQVSLASEANCHQEHIPIQIGDPCVASSTPNNAVPEQPAKKPTRQWAAWTRQEEESFFTALRQVGKNFEKITCRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCRASKLHLKPRRFKIFVEALEHQLLKDRKKNVRKRPSQGENSSPTSPSTVTNQSRASGHDARTVKLVLVDSQNIQKLGPGKGSFKRNTNVGVNRSNSKGESNTMKPARQRRKPGSSSAAYKKWEKAAIAGVSLVADAAEHLERTTTDKEAEHDQDSSVESNIHSAGKLKLQLFPIDDSTRRALEMDKHNPHLELTLSTRKKISSVLEHLTRKWGNSSVASGELMLFPYGVQRENLMGYQRWTQASIVSAADVYAMIGSPQVFRLRYGWFSDAEVASLTWQAPVSSYIPSEHNVNVEGRNGCIAEEVQVSALSTSDQSKKLDDPCNNQPTLRKENHALQPPLTNFPSEISRFICAGPKDNLGDSPIPVRHASADRSETCNVAILRQLEDVDDQRLNNNTAALSAGEWADSLTNISVGDLLAEVPHDLDDNCVDHPVAESSQCLQQIPFSCDSFDAAIAAHISRHQNKMEVPSLASHPSSIWDAEETCDAFSFSKNHIPCADVPRLSSAVAAACKQITRSNSAGSGTLNKLLPQESPDLEEPMENSACEDLVDESPSDPHIMDTTEKDFNGLTDIYWVIALGV >EOY07887 pep chromosome:Theobroma_cacao_20110822:5:3149587:3154255:-1 gene:TCM_022207 transcript:EOY07887 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSL-kinase interacting protein 1, putative isoform 1 MQTESQVSLASEANCHQEHIPIQIGDPCVASSTPNNAVPEQPAKKPTRQWAAWTRQEEESFFTALRQVGKNFEKITCRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCRASKLHLKPRRFKIFVEALEHQLLKDRKKNVRKRPSQGENSSPTSPSTVTNQSRASGHDARTVKLVLVDSQNIQKLGPGKGSFKRNTNVGVNRSNSKGESNTMKPARQRRKPGSSSAAYKKWEKAAIAGVSLVADAAEHLERTTTDKEAEHDQDSSVPGHKILDPVETNQPPLPVFSQNPLVESNIHSAGKLKLQLFPIDDSTRRALEMDKHNPHLELTLSTRKKISSVLEHLTRKWGNSSVASGELMLFPYGVQRENLMGYQRWTQASIVSAADVYAMIGSPQVFRLRYGWFSDAEVASLTWQAPVSSYIPSEHNVNVEGRNGCIAEEVQVSALSTSDQSKKLDDPCNNQPTLRKENHALQPPLTNFPSEISRFICAGPKDNLGDSPIPVRHASADRSETCNVAILRQLEDVDDQRLNNNTAALSAGEWADSLTNISVGDLLAEVPHDLDDNCVDHPVAESSQCLQQIPFSCDSFDAAIAAHISRHQNKMEVPSLASHPSSIWDAEETCDAFSFSKNHIPCADVPRLSSAVAAACKQITRSNSAGSGTLNKLLPQESPDLEEPMENSACEDLVDESPSDPHIMDTTEKDFNGLTDIYWPDSLGPLDLDVPSCKYHNEDLILGDSLGGLNRLIASSLDAFQNCSFFGLDKKESTSTVGARDTASSAFKIGSEG >EOY07888 pep chromosome:Theobroma_cacao_20110822:5:3148770:3155752:-1 gene:TCM_022207 transcript:EOY07888 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSL-kinase interacting protein 1, putative isoform 1 MQTESQVSLASEANCHQEHIPIQIGDPCVASSTPNNAVPEQPAKKPTRQWAAWTRQEEESFFTALRQVGKNFEKITCRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCRASKLHLKPRRFKIFVEALEHQLLKDRKKNVRKRPSQGENSSPTSPSTVTNQSRASGHDARTVKLVLVDSQNIQKLGPGKGSFKRNTNVGVNRSNSKGESNTMKPARQRRKPGSSSAAYKKWEKAAIAGVSLVADAAEHLERTTTDKEAEHDQDSSVESNIHSAGKLKLQLFPIDDSTRRALEMDKHNPHLELTLSTRKKISSVLEHLTRKWGNSSVASGELMLFPYGVQRENLMGYQRWTQASIVSAADVYAMIGSPQVFRLRYGWFSDAEVASLTWQAPVSSYIPSEHNVNVEGRNGCIAEEVQVSALSTSDQSKKLDDPCNNQPTLRKENHALQPPLTNFPSEISRFICAGPKDNLGDSPIPVRHASADRSETCNVAILRQLEDVDDQRLNNNTAALSAGEWADSLTNISVGDLLAEVPHDLDDNCVDHPVAESSQCLQQIPFSCDSFDAAIAAHISRHQNKMEVPSLASHPSSIWDAEETCDAFSFSKNHIPCADVPRLSSAVAAACKQITRSNSAGSGTLNKLLPQESPDLEEPMENSACEDLVDESPSDPHIMDTTEKDFNGLTDIYWPDSLGPLDLDVPSCKYHNEDLILGDSLGGLNRLIASSLDAFQNCSFFGLDKKESTSTVGARDTASSAFKIGSEG >EOY11087 pep chromosome:Theobroma_cacao_20110822:5:37869632:37870636:1 gene:TCM_026360 transcript:EOY11087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-like 11 MGEVLTEEQIAEFQEAFFLFDKDGDGCITMEELAIAIKSLDENPTEEELQNMINEVDTDGNGTIEFGEFLNLMARKMKEAEAEEELKEAFRVFDKDQDGYISPFELRQVMINLGEKLTDEEIEQMIREADLDGDGQVNYEEFVRMMLAA >EOY07974 pep chromosome:Theobroma_cacao_20110822:5:3687466:3691616:1 gene:TCM_022300 transcript:EOY07974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 3 MAVPGRRNGLMEEDEHDDENALFEENGLEMDLEADTPPHLRDLAAAAQLGDLDALRLALDNLNGSIDEPVEDGDTALHLACLYGYLPCVQLLLERGANFEAKDEDGAVPLHDACAGGFIEIVQLLLGTATNAGCLKRMLDTVDAEASSSCSTR >EOY07972 pep chromosome:Theobroma_cacao_20110822:5:3689796:3692116:1 gene:TCM_022300 transcript:EOY07972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 3 MAVPGRRNGLMEEDEHDDENALFEENGLEMDLEADTPPHLRDLAAAAQLGDLDALRLALDNLNGSIDEPVEDGDTALHLACLYGYLPCVQLLLERGANFEAKDEDGAVPLHDACAGGFIEIVQLLLGTATNAGCLKRMLDTVDAEGDTPLHHAVRGEHVDVIRLLLANGASPTKANIYGKIPRELADPETEAWRVFDAAAGAETSQ >EOY07973 pep chromosome:Theobroma_cacao_20110822:5:3689731:3692115:1 gene:TCM_022300 transcript:EOY07973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 3 MAVPGRRNGLMEEDEHDDENALFEENGLEMDLEADTPPHLRDLAAAAQLGDLDALRLALDNLNGSIDEPVEDGDTALHLACLYGYLPCVQLLLERGANFEAKDEDGAVPLHDACAGGFIEIVQLLLGTATNAGCLKRMLDTVDAEGDTPLHHAVRGEHVDVIRLLLANGASPTKANIYGKIPRELADPETEAWRVFDAAAGAETSQ >EOY10310 pep chromosome:Theobroma_cacao_20110822:5:34085091:34101589:-1 gene:TCM_025682 transcript:EOY10310 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein, putative isoform 2 MQEVGRHTELENTYIPKIVGLFMPPSVEYVISVLSVLKCGEAFLPLDPSWPRDRILSIVDSSNAALVIACGSSFGKSGCEPLDQSHWLLECSSCPVLCFSMEESSEKNNIESSFGWPCENERKRLFCYLMYTSGSTGNPKGVCGTEQGLLNRFLWMQELYPMHGEELLLFKTSISFVDHLQEFLAASLTACTLVVPPLTELRQNVFSIIEFLEAYSINRLTAVPSLMRVILPAMQSQHDNLISSSLRLLVLSGEVLPLALWNMLSSLLPKTSVLNLYGSTEVSGDCMYFDCKRLPSILEMQTLTTVPIGLPISKCSIVLNGENSNPNEGEIYVRGLCVSIGYFSENAIIPLNNAKLHQNSLCKCSMEACGSQVYFRTGDFAHQLPSGDLVFLGRKDRTVKVNGQRIALEEVENTLRGHNDVIDAAVISHKDQGEDALIVAFILLREKEESGEMFKTSIRNWMISKLPTAMVPTHFVFVKSLPMSASGKVDYTVLVESILSKSHVQDEISNIGPSNLMQVIKKAFCEALMVEDVSDDDDFFMIGGNSIAAAHVSHNLGIDMRLLYTFSTPAKLLITLVEKKGSKNTNFRIKDNAELIIQPDKGSAYSSVESETPDPLGSKLQRTLSWTLYERNDDQAVRSKRLKVDSNKYYILDPVHLFNGYPWNSASILKSCSFSRCNKVMRAGENEVNDTWQVAQSVEVPRTRTGYMQELWKVHMESCVDASPLIVFKDSDIYLFVGSHSHKFLCVNAQSGKPDYKDELKGLQQLLVTSLRWLLDATMGTYIFLNF >EOY10309 pep chromosome:Theobroma_cacao_20110822:5:34083875:34102222:-1 gene:TCM_025682 transcript:EOY10309 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein, putative isoform 2 MVRPEDEHEKQRQHCCISHEFYRAASKNPEKIAVIHASSSSKPSAGGVQIDRELIGGGNPPVYKGDQCFTFATLLASVDCLSFRLRSILEGADDPYLIKSQPPGDNGKHTVPVQTSEASLTFMQEVGRHTELENTYIPKIVGLFMPPSVEYVISVLSVLKCGEAFLPLDPSWPRDRILSIVDSSNAALVIACGSSFGKSGCEPLDQSHWLLECSSCPVLCFSMEESSEKNNIESSFGWPCENERKRLFCYLMYTSGSTGNPKGVCGTEQGLLNRFLWMQELYPMHGEELLLFKTSISFVDHLQEFLAASLTACTLVVPPLTELRQNVFSIIEFLEAYSINRLTAVPSLMRVILPAMQSQHDNLISSSLRLLVLSGEVLPLALWNMLSSLLPKTSVLNLYGSTEVSGDCMYFDCKRLPSILEMQTLTTVPIGLPISKCSIVLNGENSNPNEGEIYVRGLCVSIGYFSENAIIPLNNAKLHQNSLCKCSMEACGSQVYFRTGDFAHQLPSGDLVFLGRKDRTVKVNGQRIALEEVENTLRGHNDVIDAAVISHKDQGEDALIVAFILLREKEESGEMFKTSIRNWMISKLPTAMVPTHFVFVKSLPMSASGKVDYTVLVESILSKSHVQDEISNIGPSNLMQVIKKAFCEALMVEDVSDDDDFFMIGGNSIAAAHVSHNLGIDMRLLYTFSTPAKLLITLVEKKGSKNTNFRIKDNAELIIQPDKGSAYSSVESETPDPLGSKLQRTLSWTLYERNDDQAVRSKRLKVDSNKYYILDPVHLFNGYPWNSASILKSCSFSRCNKVMRAGENEVNDTWQVAQSVEVPRTRTGYMQELWKVHMESCVDASPLIVFKDSDIYLFVGSHSHKFLCVNAQSGSIQWETRLQGRVEGSAAIVGDFSQVVVGCYDGNLYFLEFLNGNICWTFHTSGEVKCQPIMDNHRGLIWCGSHDRNLYALDYRNRCCVCKLPCGGSIFGSPAIDEVHHALYMASTSGRVTAISIKELPFCTLWSYELEVPVFGSLSISPRHGYVICCLVDGHVVALDSSGSIVWKRRTGGPIFAGACISYALPSQVLICSRNGSVYSFEMEKGELLWEINVGDPITASAYVDENLQLISNPTISVDRLVCVCTSSGSILLLRISLDEGKGSHQRKYMVQEFVRLKLEGDLFSSPVMIGGRIFVGCRDDYLHCIAVETQESVG >EOY07067 pep chromosome:Theobroma_cacao_20110822:5:369463:375728:1 gene:TCM_021594 transcript:EOY07067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inflorescence meristem receptor-like kinase 2 isoform 1 MENTCHLLNESNPLLNENVSGSEDKWNIKPRKKHVSPFVLRNKFFLFAHLFLFLLPFSALLASGQHWDGVIVTQADYQALRAIKHELVDFRGFLRSWNDSGYGACSGRWAGIKCVKGQVIAIQLPWRGLGGRISEKIGQLQALRKLSLHDNVLGGPVPWSLGFLPSLRGVYLFNNRLSGSIPPSVGNCPALQTLDLSNNSLSGTIPPSLANSTRLYRLNLSYNSLLGSIPVRLTRSPSLTILALQHNNLSGSVPDTWVGTGNSSYQLQILTLDHNFLTGAIPVTLRKLSLLEQISLGHNQISGTIPDELGTLSKLQMLDLSSNAISGSFPSSFSSLSSLVSLNLEGNRLDNQIPEGLDKLQNLTVLNLKNNRLSGQIPATIGNISGINQFDLSENNFTGEIPDSLASLTNLSHFNVSYNNLSGAVPSLLAKNFNSSSFMGNLQLCGYSTSTLCPSPAPFNPSPAPAEAPKHHHRKLSVKDIILIAVGGLLAILLILCCILLFCLLKKKATLKQKSGKMGAVIGKTEKEVPVAGTEVESGGEMGGKLVHFDGPFVFTADDLLCATAEIMGKSNYGTAYKATLEDGNQVAVKRLREKTTKGQREFESEAAALGKIRHPNLLALRAYYLGPKGEKLLVFDYMPRGSLASFLHARGPETTIDWPTRMTIALGITRGLNYLHTQENIIHGNLTSSNILLDEQTNAHIADFGLSRLMTAAASTNVIATAGTLGYRAPELSKLKNASTKTDVYSLGVIILELLTGKSPGEPMNGMDLPQWVASIVKEEWTNEVFDLELMRDTPTINDELLNTLKLALHCVDPSPAARPEVQQVLQQLEEIKPEVAAGSGDDGDKVPPTTE >EOY07072 pep chromosome:Theobroma_cacao_20110822:5:369521:375865:1 gene:TCM_021594 transcript:EOY07072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inflorescence meristem receptor-like kinase 2 isoform 1 MENTCHLLNESNPLLNENVSGSEDKWNIKPRKKHVSPFVLRNKFFLFAHLFLFLLPFSALLASGQHWDGVIVTQADYQALRAIKHELVDFRGFLRSWNDSGYGACSGRWAGIKCVKGQVIAIQLPWRGLGGRISEKIGQLQALRKLSLHDNVLGGPVPWSLGFLPSLRGVYLFNNRLSGSIPPSVGNCPALQTLDLSNNSLSGTIPPSLANSTRLYRLNLSYNSLLGSIPVRLTRSPSLTILALQHNNLSGSVPDTWVGTGNSSYQLQILTLDHNFLTGAIPVTLRKLSLLEQISLGHNQISGTIPDELGTLSKLQMLDLSSNAISGSFPSSFSSLSSLVSLNLEGNRLDNQIPEGLDKLQNLTVLNLKNNRLSGQIPATIGNISGINQFDLSENNFTGEIPDSLASLTNLSHFNVSYNNLSGAVPSLLAKNFNSSSFMGNLQLCGYSTSTLCPSPAPFNPSPAPAEAPKHHHRKLSVKDIILIAVGGLLAILLILCCILLFCLLKKKATLKQKSGKMGAVIGKTEKEVPVAGTEVESGGEMGGKLVHFDGPFVFTADDLLCATAEIMGKSNYGTAYKATLEDGNQVAVKRLREKTTKGQREFESEAAALGKIRHPNLLALRAYYLGPKGEKLLVFDYMPRGSLASFLHARGPETTIDWPTRMTIALGITRGLNYLHTQENIIHGNLTSSNILLDEQTNAHIADFGLSRLMTAAASTNVIATAGTLGYRAPELSKLKNASTKTDVYSLGVIILELLTGKSPGEPMNGMDLPQWVASIVKEEWTNEVFDLELMRDTPTINDELLNTLKLALHCVDPSPAARPEVQQVLQQLEEIKPEVAAGSGDDGDKVPPTTE >EOY07065 pep chromosome:Theobroma_cacao_20110822:5:369521:375865:1 gene:TCM_021594 transcript:EOY07065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inflorescence meristem receptor-like kinase 2 isoform 1 MENTCHLLNESNPLLNENVSGSEDKWNIKPRKKHVSPFVLRNKFFLFAHLFLFLLPFSALLASGQHWDGVIVTQADYQALRAIKHELVDFRGFLRSWNDSGYGACSGRWAGIKCVKGQVIAIQLPWRGLGGRISEKIGQLQALRKLSLHDNVLGGPVPWSLGFLPSLRGVYLFNNRLSGSIPPSVGNCPALQTLDLSNNSLSGTIPPSLANSTRLYRLNLSYNSLLGSIPVRLTRSPSLTILALQHNNLSGSVPDTWVGTGNSSYQLQILTLDHNFLTGAIPVTLRKLSLLEQISLGHNQISGTIPDELGTLSKLQMLDLSSNAISGSFPSSFSSLSSLVSLNLEGNRLDNQIPEGLDKLQNLTVLNLKNNRLSGQIPATIGNISGINQFDLSENNFTGEIPDSLASLTNLSHFNVSYNNLSGAVPSLLAKNFNSSSFMGNLQLCGYSTSTLCPSPAPFNPSPAPAEAPKHHHRKLSVKDIILIAVGGLLAILLILCCILLFCLLKKKATLKQKSGKMGAVIGKTEKEVPVAGTEVESGGEMGGKLVHFDGPFVFTADDLLCATAEIMGKSNYGTAYKATLEDGNQVAVKRLREKTTKGQREFESEAAALGKIRHPNLLALRAYYLGPKGEKLLVFDYMPRGSLASFLHARGPETTIDWPTRMTIALGITRGLNYLHTQENIIHGNLTSSNILLDEQTNAHIADFGLSRLMTAAASTNVIATAGTLGYRAPELSKLKNASTKTDVYSLGVIILELLTGKSPGEPMNGMDLPQWVASIVKEEWTNEVFDLELMRDTPTINDELLNTLKLALHCVDPSPAARPEVQQVLQQLEEIKPEVAAGSGDDGDKVPPTTE >EOY07069 pep chromosome:Theobroma_cacao_20110822:5:369463:375550:1 gene:TCM_021594 transcript:EOY07069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inflorescence meristem receptor-like kinase 2 isoform 1 MENTCHLLNESNPLLNENVSGSEDKWNIKPRKKHVSPFVLRNKFFLFAHLFLFLLPFSALLASGQHWDGVIVTQADYQALRAIKHELVDFRGFLRSWNDSGYGACSGRWAGIKCVKGQVIAIQLPWRGLGGRISEKIGQLQALRKLSLHDNVLGGPVPWSLGFLPSLRGVYLFNNRLSGSIPPSVGNCPALQTLDLSNNSLSGTIPPSLANSTRLYRLNLSYNSLLGSIPVRLTRSPSLTILALQHNNLSGSVPDTWVGTGNSSYQLQILTLDHNFLTGAIPVTLRKLSLLEQISLGHNQISGTIPDELGTLSKLQMLDLSSNAISGSFPSSFSSLSSLVSLNLEGNRLDNQIPEGLDKLQNLTVLNLKNNRLSGQIPATIGNISGINQFDLSENNFTGEIPDSLASLTNLSHFNVSYNNLSGAVPSLLAKNFNSSSFMGNLQLCGYSTSTLCPSPAPFNPSPAPAEAPKHHHRKLSVKDIILIAVGGLLAILLILCCILLFCLLKKKATLKQKSGKMGAVIGKTEKEVPVAGTEVESGGEMGGKLVHFDGPFVFTADDLLCATAEIMGKSNYGTAYKATLEDGNQVAVKRLREKTTKGQREFESEAAALGKIRHPNLLALRAYYLGPKGEKLLVFDYMPRGSLASFLHARGPETTIDWPTRMTIALGITRGLNYLHTQENIIHGNLTSSNILLDEQTNAHIADFGLSRLMTAAASTNVIATAGTLGYRAPELSKLKNASTKTDVYSLGVIILELLTGKSPGEPMNGMDLPQWVASIVKEEWTNEVFDLELMRDTPTINDELLNTLKLALHCVDPSPAARPEVQQVLQQLEEIKPEVAAGSGDDGDKVPPTTE >EOY07066 pep chromosome:Theobroma_cacao_20110822:5:369463:375550:1 gene:TCM_021594 transcript:EOY07066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inflorescence meristem receptor-like kinase 2 isoform 1 MENTCHLLNESNPLLNENVSGSEDKWNIKPRKKHVSPFVLRNKFFLFAHLFLFLLPFSALLASGQHWDGVIVTQADYQALRAIKHELVDFRGFLRSWNDSGYGACSGRWAGIKCVKGQVIAIQLPWRGLGGRISEKIGQLQALRKLSLHDNVLGGPVPWSLGFLPSLRGVYLFNNRLSGSIPPSVGNCPALQTLDLSNNSLSGTIPPSLANSTRLYRLNLSYNSLLGSIPVRLTRSPSLTILALQHNNLSGSVPDTWVGTGNSSYQLQILTLDHNFLTGAIPVTLRKLSLLEQISLGHNQISGTIPDELGTLSKLQMLDLSSNAISGSFPSSFSSLSSLVSLNLEGNRLDNQIPEGLDKLQNLTVLNLKNNRLSGQIPATIGNISGINQFDLSENNFTGEIPDSLASLTNLSHFNVSYNNLSGAVPSLLAKNFNSSSFMGNLQLCGYSTSTLCPSPAPFNPSPAPAEAPKHHHRKLSVKDIILIAVGGLLAILLILCCILLFCLLKKKATLKQKSGKMGAVIGKTEKEVPVAGTEVESGGEMGGKLVHFDGPFVFTADDLLCATAEIMGKSNYGTAYKATLEDGNQVAVKRLREKTTKGQREFESEAAALGKIRHPNLLALRAYYLGPKGEKLLVFDYMPRGSLASFLHARGPETTIDWPTRMTIALGITRGLNYLHTQENIIHGNLTSSNILLDEQTNAHIADFGLSRLMTAAASTNVIATAGTLGYRAPELSKLKNASTKTDVYSLGVIILELLTGKSPARPEVQQVLQQLEEIKPEVAAGSGDDGDKVPPTTE >EOY07070 pep chromosome:Theobroma_cacao_20110822:5:369463:375550:1 gene:TCM_021594 transcript:EOY07070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inflorescence meristem receptor-like kinase 2 isoform 1 MENTCHLLNESNPLLNENVSGSEDKWNIKPRKKHVSPFVLRNKFFLFAHLFLFLLPFSALLASGQHWDGVIVTQADYQALRAIKHELVDFRGFLRSWNDSGYGACSGRWAGIKCVKGQVIAIQLPWRGLGGRISEKIGQLQALRKLSLHDNVLGGPVPWSLGFLPSLRGVYLFNNRLSGSIPPSVGNCPALQTLDLSNNSLSGTIPPSLANSTRLYRLNLSYNSLLGSIPVRLTRSPSLTILALQHNNLSGSVPDTWVGTGNSSYQLQILTLDHNFLTGAIPVTLRKLSLLEQISLGHNQISGTIPDELGTLSKLQMLDLSSNAISGSFPSSFSSLSSLVSLNLEGNRLDNQIPEGLDKLQNLTVLNLKNNRLSGQIPATIGNISGINQFDLSENNFTGEIPDSLASLTNLSHFNVSYNNLSGAVPSLLAKNFNSSSFMGNLQLCGYSTSTLCPSPAPFNPSPAPAEAPKHHHRKLSVKDIILIAVGGLLAILLILCCILLFCLLKKKATLKQKSGKMGAVIGKTEKEVPVAGTEVESGGEMGGKLVHFDGPFVFTADDLLCATAEIMGKSNYGTAYKATLEDGNQVAVKRLREKTTKGQREFESEAAALGKIRHPNLLALRAYYLGPKGEKLLVFDYMPRGSLASFLHARGPETTIDWPTRMTIALGITRGLNYLHTQENIIHGNLTSSNILLDEQTNAHIADFGLSRLMTAAASTNVIATAGTLGYRAPELSKLKNASTKTDVYSLGVIILELLTGKSPGEPMNGMDLPQWVASIVKEEWTNEVFDLELMRDTPTINDELLNTLKLALHCVDPSPAARPEVQQVLQQLEEIKPEVAAGSGDDGDKVPPTTE >EOY07071 pep chromosome:Theobroma_cacao_20110822:5:369463:375550:1 gene:TCM_021594 transcript:EOY07071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inflorescence meristem receptor-like kinase 2 isoform 1 MENTCHLLNESNPLLNENVSGSEDKWNIKPRKKHVSPFVLRNKFFLFAHLFLFLLPFSALLASGQHWDGVIVTQADYQALRAIKHELVDFRGFLRSWNDSGYGACSGRWAGIKCVKGQVIAIQLPWRGLGGRISEKIGQLQALRKLSLHDNVLGGPVPWSLGFLPSLRGVYLFNNRLSGSIPPSVGNCPALQTLDLSNNSLSGTIPPSLANSTRLYRLNLSYNSLLGSIPVRLTRSPSLTILALQHNNLSGSVPDTWVGTGNSSYQLQILTLDHNFLTGAIPVTLRKLSLLEQISLGHNQISGTIPDELGTLSKLQMLDLSSNAISGSFPSSFSSLSSLVSLNLEGNRLDNQIPEGLDKLQNLTVLNLKNNRLSGQIPATIGNISGINQFDLSENNFTGEIPDSLASLTNLSHFNVSYNNLSGAVPSLLAKNFNSSSFMGNLQLCGYSTSTLCPSPAPFNPSPAPAEAPKHHHRKLSVKDIILIAVGGLLAILLILCCILLFCLLKKKATLKQKSGKMGAVIGKTEKEVPVAGTEVESGGEMGGKLVHFDGPFVFTADDLLCATAEIMGKSNYGTAYKATLEDGNQVAVKRLREKTTKGQREFESEAAALGKIRHPNLLALRAYYLGPKGEKLLVFDYMPRGSLASFLHARGPETTIDWPTRMTIALGITRGLNYLHTQENIIHGNLTSSNILLDEQTNAHIADFGLSRLMTAAASTNVIATAGTLGYRAPELSKLKNASTKTDVYSLGVIILELLTGKSPGEPMNGMDLPQWVASIVKEEWTNEVFDLELMRDTPTINDELLNTLKLALHCVDPSPAARPEVQQVLQQLEEIKPEVAAGSGDDGDKVPPTTE >EOY07068 pep chromosome:Theobroma_cacao_20110822:5:369521:375865:1 gene:TCM_021594 transcript:EOY07068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inflorescence meristem receptor-like kinase 2 isoform 1 MENTCHLLNESNPLLNENVSGSEDKWNIKPRKKHVSPFVLRNKFFLFAHLFLFLLPFSALLASGQHWDGVIVTQADYQALRAIKHELVDFRGFLRSWNDSGYGACSGRWAGIKCVKGQVIAIQLPWRGLGGRISEKIGQLQALRKLSLHDNVLGGPVPWSLGFLPSLRGVYLFNNRLSGSIPPSVGNCPALQTLDLSNNSLSGTIPPSLANSTRLYRLNLSYNSLLGSIPVRLTRSPSLTILALQHNNLSGSVPDTWVGTGNSSYQLQILTLDHNFLTGAIPVTLRKLSLLEQISLGHNQISGTIPDELGTLSKLQMLDLSSNAISGSFPSSFSSLSSLVSLNLEGNRLDNQIPEGLDKLQNLTVLNLKNNRLSGQIPATIGNISGINQFDLSENNFTGEIPDSLASLTNLSHFNVSYNNLSGAVPSLLAKNFNSSSFMGNLQLCGYSTSTLCPSPAPFNPSPAPAEAPKHHHRKLSVKDIILIAVGGLLAILLILCCILLFCLLKKKATLKQKSGKMGAVIGKTEKEVPVAGTEVESGGEMGGKLVHFDGPFVFTADDLLCATAEIMGKSNYGTAYKATLEDGNQVAVKRLREKTTKGQREFESEAAALGKIRHPNLLALRAYYLGPKGEKLLVFDYMPRGSLASFLHARGPETTIDWPTRMTIALGITRGLNYLHTQENIIHGNLTSSNILLDEQTNAHIADFGLSRLMTAAASTNVIATAGTLGYRAPELSKLKNASTKTDVYSLGVIILELLTGKSPGEPMNGMDLPQWVASIVKEEWTNEVFDLELMRDTPTINDELLNTLKLALHCVDPSPAARPEVQQVLQQLEEIKPEVAAGSGDDGDKVPPTTE >EOY10508 pep chromosome:Theobroma_cacao_20110822:5:35114305:35118302:1 gene:TCM_025850 transcript:EOY10508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquiting-conjugating enzyme 2 MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFISRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >EOY09163 pep chromosome:Theobroma_cacao_20110822:5:26774731:26778516:1 gene:TCM_024564 transcript:EOY09163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPLGSIGAPLWSLKSDFRGEHRIRCSFGSPSRALYGSSGRISLPSCSVPPTPTLLGSLEEREIHALTPQGSTRSSNMRVKLILVWSTCLTVLVVEHNEEEDETEGEYEKIEEELDEEDENDIDDDAYENEENEFACSDDD >EOY11437 pep chromosome:Theobroma_cacao_20110822:5:39269085:39272618:1 gene:TCM_026611 transcript:EOY11437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHSSLLSVDKRIRLKAESYKRKAPLLFSSDHYEDIEYEFEGIKVTWYKGKHSPEKEIIYSRALDDKNYYTLVFHPKDRDIIMERYLNHVLQEAFATQQSGKDPTACLESLIEALAAAKEKKIEEEDAKTLEIAEEEEIEEEIAKKEEIEEKDAKTLETVEEKEIEEKTVEEEETEEEDAKILETAEEKNKKEE >EOY09093 pep chromosome:Theobroma_cacao_20110822:5:25961635:25971640:1 gene:TCM_024474 transcript:EOY09093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase/cyanide hydratase and apolipoprotein N-acyltransferase family protein, putative MLPSATLIKTLFSKNILHSNYSQKHQKSVRSTVPKAPNQDQLNIVICFGWLLKFKIGLFQLLVTPDKERNIEHVRKAIEEAVQKGAQLVPCLKYGTAHIRMTASQFMLKILTQLYNTCCVFGTDGKLKAKHRKIHLFDIDIPGEITFMESKTRNARETPTILDTNVGRIGVGICSDIHFQELAMIYAARGAHLLCYPGAFNMTTGPLNWELLWRARCQLFPCFNLLVNSLNFTFGLICLYMNVDMNLDSNSDPTVGSGTPWPDPTIGKRQSRAVVARFSHTVRQIGVLLHQSPFRRSAHEEEKRKKRK >EOY08550 pep chromosome:Theobroma_cacao_20110822:5:10527817:10533711:-1 gene:TCM_023110 transcript:EOY08550 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 group F2A isoform 5 MEEIQKPDSHMTSAAAFVEGGIQDACDDACSICLEEFSKSDPSTVTNCKHDFHLQCILDWCQRSSQCPMCWQAISLKDSASQELLEVVERERSFRVTPSRNTTIFHHPTLGDFELQHLPVGANDPELEERIIQHLAAAAAMGRARHSGWRDGQRRSSAHSRPHFLVFSTHPGAQHSGSVSTPLTQIGGETEAAAITVASPATPLSSGGEELSQQMSPFPSGQNTPASGSTVSPLNRRGFSLNNRTSSNSSLPNQERAGPSEFQSFSESLKSRFNAVSLRYKESISKSTRGWKERWFSRNSSMSDLGNEVRREVSAGIASVSRMMEHLETRENNSPNEASVSNHLAESSITEQDNQHNAGNHGDNPLSISPTPASHAASSLSN >EOY08548 pep chromosome:Theobroma_cacao_20110822:5:10527928:10533467:-1 gene:TCM_023110 transcript:EOY08548 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 group F2A isoform 5 MEEIQKPDSHMTSAAAFVEGGIQDACDDACSICLEEFSKSDPSTVTNCKHDFHLQCILDWCQRSSQCPMCWQAISLKDSASQELLEVVERERSFRVTPSRNTTIFHHPTLGDFELQHLPVGANDPELEERIIQHLAAAAAMGRARHSGWRDGQRRSSAHSRPHFLVFSTHPGAQHSGSVSTPLTQIGGETEAAAITVASPATPLSSGGEELSQQMSPFPSGQNTPASGSTVSPLNRRGFSLNNRSTSSNSSLPNQERAGPSEFQSFSESLKSRFNAVSLRYKESISKSTRGWKERWFSRNSSMSDLGNEVRREVSAGIASVSRMMEHLETRENNSPNEASVSNHLAESSITEQDNQHNAGNHGDNPLSISPTPASHAASSLSN >EOY08551 pep chromosome:Theobroma_cacao_20110822:5:10529475:10532298:-1 gene:TCM_023110 transcript:EOY08551 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 group F2A isoform 5 MEEIQKPDSHMTSAAAFVEGGIQDACDDACSICLEEFSKSDPSTVTNCKHDFHLQCILDWCQRSSQCPMCWQAISLKDSASQELLEVVERERSFRVTPSRNTTIFHHPTLGDFELQHLPVGANDPELEERIIQHLAAAAAMGRARHSGWRDGQRRSSAHSRPHFLVFSTHPGAQHSGSVSTPLTQIGGETEAAAITVASPATPLSSGGEELSQQMSPFPSGQNTPASGSTVSPLNRRGFSLNNRSTSSNSSLPNQERAGPSEFQSFSESLKSRFNAVSLRYKESISKSTRGWKERWFSRNSSMSDLGNEVRREVSAGIASVSRMMEHLETRENNSPNEASVSNHLAESSITEQDNQHNAGNHGDNPLSISPTPASHAASSLSN >EOY08549 pep chromosome:Theobroma_cacao_20110822:5:10529475:10532298:-1 gene:TCM_023110 transcript:EOY08549 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 group F2A isoform 5 MEEIQKPDSHMTSAAAFVEGGIQDACDDACSICLEEFSKSDPSTVTNCKHDFHLQCILDWCQRSSQCPMCWQAISLKDSASQELLEVVERERSFRVTPSRNTTIFHHPTLGDFELQHLPVGANDPELEERIIQHLAAAAAMGRARHSGWRDGQRRSSAHSRPHFLVFSTHPGAQHSGSVSTPLTQIGGETEAAAITVASPATPLSSGGEELSQQMSPFPSGQNTPASGSTVSPLNRRGFSLNNRSTSSNSSLPNQERAGPSEFQSFSESLKSRFNAVSLRYKESISKSTRGWKERWFSRNSSMSDLGNEVRREVSAGIASVSRMMEHLETRENNSPNEASVSNHLAESSITEQDNQHNAGNHGDNPLSISPTPASHAASSLSN >EOY08546 pep chromosome:Theobroma_cacao_20110822:5:10527051:10533870:-1 gene:TCM_023110 transcript:EOY08546 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 group F2A isoform 5 MEEIQKPDSHMTSAAAFVEGGIQDACDDACSICLEEFSKSDPSTVTNCKHDFHLQCILDWCQRSSQCPMCWQAISLKDSASQELLEVVERERSFRVTPSRNTTIFHHPTLGDFELQHLPVGANDPELEERIIQHLAAAAAMGRARHSGWRDGQRRSSAHSRPHFLVFSTHPGAQHSGSVSTPLTQIGGETEAAAITVASPATPLSSGGEELSQQMSPFPSGQNTPASGSTVSPLNRRGFSLNNRSTSSNSSLPNQERAGPSEFQSFSESLKSRFNAVSLRYKESISKSTRGWKERWFSRNSSMSDLGNEVRREVSAGIASVSRMMEHLETRENNSPNEASVSNHLAESSITEQDNQHNAGNHGDNPLTNCIYPQHFIFVGCQSCFSRNDMLRKRCFAFQASARYLYLTVWMADLAMAEC >EOY08552 pep chromosome:Theobroma_cacao_20110822:5:10527817:10533711:-1 gene:TCM_023110 transcript:EOY08552 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 group F2A isoform 5 MEEIQKPDSHMTSAAAFVEGGIQDACDDACSICLEEFSKSDPSTVTNCKHDFHLQCILDWCQRSSQCPMCWQAISLKDSASQELLEVVERERSFRVTPSRNTTIFHHPTLGDFELQHLPVGANDPELEERIIQHLAAAAAMGRARHSGWRDGQRRSSAHSRPHFLVFSTHPGAQHSGSVSTPLTQIGGETEAAAITVASPATPLSSGGEELSQQMSPFPSGQNTPASGSTVSPLNRRGFSLNNRSTSSNSSLPNQERAGPSEFQSFSESLKSRFNAVSLRLPILFLQK >EOY08547 pep chromosome:Theobroma_cacao_20110822:5:10527927:10533540:-1 gene:TCM_023110 transcript:EOY08547 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 group F2A isoform 5 MEEIQKPDSHMTSAAAFVEGGIQDACDDACSICLEEFSKSDPSTVTNCKHDFHLQCILDWCQRSSQCPMCWQAISLKDSASQELLEVVERERSFRVTPSRNTTIFHHPTLGDFELQHLPVGANDPELEERIIQHLAAAAAMGRARHSGWRDGQRRSSAHSRPHFLVFSTHPGAQHSGSVSTPLTQIGGETEAAAITVASPATPLSSGGEELSQQMSPFPSGQNTPASGSTVSPLNRRGFSLNNRSTSSNSSLPNQERAGPSEFQSFSESLKSRFNAVSLRYKESISKSTRGWKERWFSRNSSMSDLGNEVRREVSAGIASVSRMMEHLETRENNSPNEASVSNHLAESSITEQDNQHNAGNHGDNPLSISPTPASHAASSLSN >EOY11023 pep chromosome:Theobroma_cacao_20110822:5:37526719:37530430:-1 gene:TCM_026289 transcript:EOY11023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MECFHRQDKQESEEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >EOY10714 pep chromosome:Theobroma_cacao_20110822:5:36104548:36106891:-1 gene:TCM_026020 transcript:EOY10714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-expansin 1 precursor MGPICERILFPHLPNTFLCDPSPSPSISLSSVTHIINRTSPPSSSYLISQFSLTLFPKSKFSKLTLAFKMDFLGIFLVSSLATLKTIHGYGGGWSNAHATFYGGGDASGTMGGACGYGNLYSQGYGTSTAALSTALFNKGLTCGACFEIKCINDNRWCLPGSIIVTATNFCPPNNALPNNAGGWCNPPLRHFDLSQPVFQHIAQYKAGIVPVQYRRVACRKSGALRFTINGHSYFNLVLITNVGGAGDVVSVSIKGSRTGWQVMSRNWGQNWQSNSYLNGQALSFKVTTSDGSSVISNNAAPPNWSFGQTFTGGQF >EOY09738 pep chromosome:Theobroma_cacao_20110822:5:30809234:30814070:-1 gene:TCM_025126 transcript:EOY09738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar GTP-binding protein MVQYNFKKITVVPNGKDFIDIILSRTQRQTPTVVHKGYAISRLRQFYMRKVKYTQQNFHEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNLISKIAKDYVKLLKYGDSLYRCKSLKVAALGRMCTVIKRIGPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFMNKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVMFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLIIVCNKTDLQPLDGISEEDRKLVMEMKAEAMKTVIGQGGEPANEEGVLLTMSTLTEDGVISVKNAACERLLNQRVELKMKSKKINDCLNRFHVAIPKPRDQKERPPCIPQAVLEAKAKQAAEKEKRKTEKDLEDENGGAGVYSASLRKNYILANDEWKEDIMPEILDGHNVYDFIDPDILLRLEELEREEGLRQAEEEADDFEMDGQELSPEEQEALAEIRKKKSLLIQQHRMKKSTAESRPIVPRKHDTDRKFTTERMGRQLSALGLDPSLAINRARSKSRGRKRERSVDRREGDGGDAMDIDGNEPNKKLRLRSTSRSRSRSRPPTEVVPGEGLKDSAQKVKAIKLAKKSVKKRNKNARRGEADRVIPTLKPKHLFSGKRSIGKTQRR >EOY07941 pep chromosome:Theobroma_cacao_20110822:5:3525302:3528606:-1 gene:TCM_022272 transcript:EOY07941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METNSGNRCSHENQPPTSSNNANKDQSTENEVFVNCAEISWHEIRRQWVGDRSQKSKRMPREPIMSWTTTYEDLLCSTEPFQQSIPLAEMVDFLVDIWHEEGLYD >EOY10659 pep chromosome:Theobroma_cacao_20110822:5:35786596:35798744:1 gene:TCM_025971 transcript:EOY10659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trimeric LpxA-like enzyme isoform 1 MGAQKKGATARVSEDPEELARHPLQAILLADSFTTKFRPITLERPKVLLPLANIPMIDYTLAWLESAGVEEVFVFCCAHSKQVIDYLESSDWSSQPNFLVATIESHNSISAGDALRLIYERHVIHGDFVLISGDTVSNMSLTQALQEHKERRKKDANAIMTMVVKQSKPSPITQQSRLGTDELFMAINPDTKQLLCYEDKAEYSKGFISLDKTLIADNPSTVLHNDKQDCYIDICSEEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIFTHEIHSSYAARIDNFRSYDTISKDIIQRWTYPFVPDVLCGNSAIRVERRGTYRASEITLSRSAQIGPFIVIGQGTEIGNDTKISHSVIGEGCTIGSNVTIEGSYIWNNVTIEDGCELRHAIVCDGVTMKSGAVLEPGVVLSFKVVVGQQFVVPAYSMVSLLQQPTQQDSDEELEYADSSSGNVELPSAKLNGDITSDLSETRHGPTSELGTGGVGYIWSIFEGGHDEEWRHSVAPIPTDKLAKIMLDKDEDEELLTQDGNVLSPSGELKSDSDANDSEDGDNEGSRDDYVSFEKEVEATFLRAVHENVKVDHVILEVNALRLSYNMASVDCAGAIFYSMMKLAVESPHSSSSELHRNAADVITTWQNLLKSFLHDIDEEIEVILKFEEICLESAKEFSPLFAQILHLLYDKDILEEDAILRWADEKEGADESDKVFVQRAEKFLQWLREAEEEED >EOY10664 pep chromosome:Theobroma_cacao_20110822:5:35786972:35798940:1 gene:TCM_025971 transcript:EOY10664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trimeric LpxA-like enzyme isoform 1 MGAQKKGATARVSEDPEELARHPLQAILLADSFTTKFRPITLERPKVLLPLANIPMIDYTLAWLESAGVEEVFVFCCAHSKQVIDYLESSDWSSQPNFLVATIESHNSISAGDALRLIYERHVIHGDFVLISGDTVSNMSLTQALQEHKERRKKDANAIMTMVVKQSKPSPITQQSRLGTDELFMAINPDTKQLLCYEDKAEYSKGFISLDKTLIADNPSTVLHNDKQDCYIDICSEEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIFTHEIHSSYAARIDNFRSYDTISKDIIQRWTYPFVPDVLCGNSAIRVERRGTYRASEITLSRSAQIGPFIVIGQGTEIGNDTKISHSVIGEGCTIGSNVTIEGSYIWNNVTIEDGCELRHAIVCDGVTMKSGAVLEPGVVLSFKVVVGQQFVVPAYSMVSLLQQPTQQDSDEELEYADSSSGNVELPSAKLNGDITSDLSETRHGPTSELGTGGVGYIWSIFEGGHDEEWRHSVAPIPTDKLAKIMLDKDEDEELLTQDGNVLSPSGELKSDSDANDSEDGDNEGSRDDYVSFEKEVEATFLRAVHENVKVDHVILEVNALRLSYNMASVDCAGAIFYSMMKLAVESPHSSSSELHRNAADVITTWQNLLKSFLHDIDEEIEVILKFEEICLESAKEFSPLFAQTF >EOY10665 pep chromosome:Theobroma_cacao_20110822:5:35786972:35798572:1 gene:TCM_025971 transcript:EOY10665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trimeric LpxA-like enzyme isoform 1 MGAQKKGATARVSEDPEELARHPLQAILLADSFTTKFRPITLERPKVLLPLANIPMIDYTLAWLESAGVEEVFVFCCAHSKQVIDYLESSDWSSQPNFLVATIESHNSISAGDALRLIYERHVIHGDFVLISGDTVSNMSLTQALQEHKERRKKDANAIMTMVVKQSKPSPITQQSRLGTDELFMAINPDTKQLLCYEDKAEYSKGFISLDKTLIADNPSTVLHNDKQDCYIDICSEEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIFTHEIHSSYAARIDNFRSYDTISKDIIQRWTYPFVPDVLCGNSAIRVERRGTYRASEITLSRSAQIGPFIVIGQGTEIGNDTKISHSVIGEGCTIGSNVTIEGSYIWNNVTIEDGCELRHAIVCDGVTMKSGAVLEPGVVLSFKVVVGQQFVVPAYSMVSLLQQPTQQDSDEELEYADSSSGNVELPSAKLNGDITSDLSETRHGPTSELGTGGVGYIWSIFEGGHDEEWRHSVAPIPTDKLAKIMLDKDEDEELLTQDGNVLSPSGELKSDSDANDSEDGDNEGSRDDYVSFEKEVEATFLRAVHENVKVDHVILEIVLQHGICGLCWSNILLNDEIGSRIST >EOY10662 pep chromosome:Theobroma_cacao_20110822:5:35787025:35799112:1 gene:TCM_025971 transcript:EOY10662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trimeric LpxA-like enzyme isoform 1 MGAQKKGATARVSEDPEELARHPLQAILLADSFTTKFRPITLERPKVLLPLANIPMIDYTLAWLESAGVEEVFVFCCAHSKQVIDYLESSDWSSQPNFLVATIESHNSISAGDALRLIYERHVIHGDFVLISGDTVSNMSLTQALQEHKERRKKDANAIMTMVVKQSKPSPITQQSRLGTDELFMAINPDTKQLLCYEDKAEYSKGFISLDKTLIADNPSTVLHNDKQDCYIDICSEEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIFTHEIHSSYAARIDNFRSYDTISKDIIQRWTYPFVPDVLCGNSAIRVERRGTYRASEITLSRSAQIGPFIVIGQGTEIGNDTKISHSVIGEGCTIGSNVTIEGSYIWNNVTIEDGCELRHAIVCDGVTMKSGAVLEPGVVLSFKVVVGQQFVVPAYSMVSLLQQPTQQDSDEELEYADSSSGNVELPSAKLNGDITSDLSETRHGPTSELGTGGVGYIWSIFEGGHDEEWRHSVAPIPTDKLAKIMLDKDEDEELLTQDGNVLSPSGELKSDSDANDSEDGDNEGSRDDYVSFEKEVEATFLRAVHENVKVDHVILEVNALRLSYNMASVDCAGAIFYSMMKLAVESPHSSSSELHRNAADVITTWQNLLKSFLHDIDEEIEVILKFEEICLESAKEFSPLFAQILHLLYDKDILEEDAILRWADEKEGADESDKVFVQRAEKFLQWLREAEEEED >EOY10663 pep chromosome:Theobroma_cacao_20110822:5:35786914:35800130:1 gene:TCM_025971 transcript:EOY10663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trimeric LpxA-like enzyme isoform 1 MGAQKKGATARVSEDPEELARHPLQAILLADSFTTKFRPITLERPKVLLPLANIPMIDYTLAWLESAGVEEVFVFCCAHSKQVIDYLESSDWSSQPNFLVATIESHNSISAGDALRLIYERHVIHGDFVLISGDTVSNMSLTQALQEHKERRKKDANAIMTMVVKQSKPSPITQQSRLGTDELFMAINPDTKQLLCYEDKAEYSKGFISLDKTLIADNPSTVLHNDKQDCYIDICSEEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIFTHEIHSSYAARIDNFRSYDTISKDIIQRWTYPFVPDVLCGNSAIRVERRGTYRASEITLSRSAQIGPFIVIGQGTEIGNDTKISHSVIGEGCTIGSNVTIEGSYIWNNVTIEDGCELRHAIVCDGVTMKSGAVLEPGVVLSFKVVVGQQFVVPAYSMVSLLQQPTQQDSDEELEYADSSSGNVELPSAKLNGDITSDLSETRHGPTSELGTGGVGYIWSIFEGGHDEEWRHSVAPIPTDKLAKIMLDKDEDEELLTQDGNVLSPSGELKSDSDANDSEDGDNEGSRDDYVSFEKEVEATFLRAVHENVKVDHVILEVNALRLSYNMASVDCAGAIFYSMMKLAVESPHSSSSELHRNAADVITTWQNLLKSFLHDIDEEIEVILKFEEICLESAKEFSPLFAQILHLLYDKDILEEDAILRWADEKEGADESDKVFVQRAEKFLQVRNELIMSIRVCIWLL >EOY10666 pep chromosome:Theobroma_cacao_20110822:5:35786972:35798514:1 gene:TCM_025971 transcript:EOY10666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trimeric LpxA-like enzyme isoform 1 MGAQKKGATARVSEDPEELARHPLQAILLADSFTTKFRPITLERPKVLLPLANIPMIDYTLAWLESAGVEEVFVFCCAHSKQVIDYLESSDWSSQPNFLVATIESHNSISAGDALRLIYERHVIHGDFVLISGDTVSNMSLTQALQEHKERRKKDANAIMTMVVKQSKPSPITQQSRLGTDELFMAINPDTKQLLCYEDKAEYSKGFISLDKTLIADNPSTVLHNDKQDCYIDICSEEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIFTHEIHSSYAARIDNFRSYDTISKDIIQRWTYPFVPDVLCGNSAIRVERRGTYRASEITLSRSAQIGPFIVIGQGTEIGNDTKISHSVIGEGCTIGSNVTIEGSYIWNNVTIEDGCELRHAIVCDGVTMKSGAVLEPGVVLSFKVVVGQQFVVPAYSMVSLLQQPTQQDSDEELEYADSSSGNVELPSAKLNGDITSDLSETRHGPTSELGTGGVGYIWSIFEGGHDEEWRHSVAPIPTDKLAKIMLDKDEDEELLTQDGNVLSPSGELKSDSDANDSEDGDNEGSRDDYVSFEKEVEATFLRAVHENVKVDHVILEIVLQHGICGLCWSNILLNDEIGSRIST >EOY10660 pep chromosome:Theobroma_cacao_20110822:5:35786914:35799728:1 gene:TCM_025971 transcript:EOY10660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trimeric LpxA-like enzyme isoform 1 MGAQKKGATARVSEDPEELARHPLQAILLADSFTTKFRPITLERPKVLLPLANIPMIDYTLAWLESAGVEEVFVFCCAHSKQVIDYLESSDWSSQPNFLVATIESHNSISAGDALRLIYERHVIHGDFVLISGDTVSNMSLTQALQEHKERRKKDANAIMTMVVKQSKPSPITQQSRLGTDELFMAINPDTKQLLCYEDKAEYSKGFISLDKTLIADNPSTVLHNDKQDCYIDICSEEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIFTHEIHSSYAARIDNFRSYDTISKDIIQRWTYPFVPDVLCGNSAIRVERRGTYRASEITLSRSAQIGPFIVIGQGTEIGNDTKISHSVIGEGCTIGSNVTIEGSYIWNNVTIEDGCELRHAIVCDGVTMKSGAVLEPGVVLSFKVVVGQQFVVPAYSMVSLLQQPTQQDSDEELEYADSSSGNVELPSAKLNGDITSDLSETRHGPTSELGTGGVGYIWSIFEGGHDEEWRHSVAPIPTDKLAKIMLDKDEDEELLTQDGNVLSPSGELKSDSDANDSEDGDNEGSRDDYVSFEKEVEATFLRAVHENVKVDHVILEVNALRLSYNMASVDCAGAIFYSMMKLAVESPHSSSSELHRNAADVITTWQNLLKSFLHDIDEEIEVILKFEEICLESAKEFSPLFAQILHLLYDKDILEEDAILRWADEKEGADESDKVFVQRAEKFLQWLREAEEEED >EOY10661 pep chromosome:Theobroma_cacao_20110822:5:35786596:35799112:1 gene:TCM_025971 transcript:EOY10661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trimeric LpxA-like enzyme isoform 1 MGAQKKGATARVSEDPEELARHPLQAILLADSFTTKFRPITLERPKVLLPLANIPMIDYTLAWLESAGVEEVFVFCCAHSKQVIDYLESSDWSSQPNFLVATIESHNSISAGDALRLIYERHVIHGDFVLISGDTVSNMSLTQALQEHKERRKKDANAIMTMVVKQSKPSPITQQSRLGTDELFMAINPDTKQLLCYEDKAEYSKGFISLDKTLIADNPSTVLHNDKQDCYIDICSEEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIFTHEIHSSYAARIDNFRSYDTISKDIIQRWTYPFVPDVLCGNSAIRVERRGTYRASEITLSRSAQIGPFIVIGQGTEIGNDTKISHSVIGEGCTIGSNVTIEGSYIWNNVTIEDGCELRHAIVCDGVTMKSGAVLEPGVVLSFKVVVGQQFVVPAYSMVSLLQQPTQQDSDEELEYADSSSGNVELPSKLNGDITSDLSETRHGPTSELGTGGVGYIWSIFEGGHDEEWRHSVAPIPTDKLAKIMLDKDEDEELLTQDGNVLSPSGELKSDSDANDSEDGDNEGSRDDYVSFEKEVEATFLRAVHENVKVDHVILEVNALRLSYNMASVDCAGAIFYSMMKLAVESPHSSSSELHRNAADVITTWQNLLKSFLHDIDEEIEVILKFEEICLESAKEFSPLFAQILHLLYDKDILEEDAILRWADEKEGADESDKVFVQRAEKFLQWLREAEEEED >EOY07934 pep chromosome:Theobroma_cacao_20110822:5:3479562:3483132:-1 gene:TCM_022263 transcript:EOY07934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDEVNDAIFNCDRNKALRLDGFNFNFFKAQLVSIKEEVMAFMKKFYDTSTFDERINSSIITLIPKCYNPMVLNEYKSISLVRSVYKVVTNVFANRFRVVIGEVVGLNQFTFIKGRQIVNCALIANELVDSLQKSRDGGVFFKVKEVDHFMYHFGCRFGSEAFSALVHKAVVIAGHYSPSSFCRAGLGNNGTEVELWKNVWDGLPPSKVKSKWPSENGSITDVVNASFLACESIMRKYFVGKTTSGMAQVQHRWGYQRVSWTSREQWVLAIREVVVIFAASSWASDTGIIIESDSKNAVAWITNPEASSWTLRQLILKIIALKNDLDKRFDSNVSLDNSCWGVGGPGLFMALPD >EOY07373 pep chromosome:Theobroma_cacao_20110822:5:1361664:1373648:-1 gene:TCM_021825 transcript:EOY07373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome inhibitor-related MSNEKSVMAVIRAARPSFRNDHDKIAFAVHASFLSSGFVLTATGPPALADNALSSPSPDEVGIDNWNEFDDHYAFVYTNPEKGSKKVLVKCLVMNGKLLVDAFADGGSEPVHLEIDIDNYVGENGSGNFSAQYKNLEKMVSSLDKEVISKLYGKSSKSSSSSDPPSLETGEGSRRDVNDPGVRINEPAGPQPHPSGVVVPPIYPMGGSDLFPGPGAGMYPTRGDFGGGGMLLGPNDPRWFGGVGGEPGFPGAQPGVPPGARFDPYGPPGVPGFEPNRFVRNPRRPGGGTHPDLEHFGGGSVSASQVPLASEARPLSILPDQQSYSKIFATLGVVCKCCDGPGELFGSKESPSSSTGIFRSIFAPPSKVLGRESLRPDLMAKRQDSPNEAGNTKPGAPGNFSKELEAENQSMANRDMSSIYQEQRVQPCHLCSSIYYGGQDVYSHPQSNQGSGLNSVSKKDGGEDDSGSASRGNWWQGSLYY >EOY11806 pep chromosome:Theobroma_cacao_20110822:5:40422574:40423731:-1 gene:TCM_026869 transcript:EOY11806 gene_biotype:protein_coding transcript_biotype:protein_coding description:UvrABC system protein C MLYGYMEHNYMIMEKRQLFLRSYQFCRKRSLAERIKRSLVRVKRVMWFRLRSAHKLRRSVLSRLRFALYRRRRFIRLRNNHHRHSGNSGCFW >EOY07451 pep chromosome:Theobroma_cacao_20110822:5:1617403:1620355:1 gene:TCM_021881 transcript:EOY07451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein MAYFLPLLSTLFFLLISSSSSQPTELYFPGFKDINPNNLTLTGIAQIEKNGILCVTNDTSRLIGHAFYSSPFRFKNSSNGQAFSFSTSFALAIVPEYPKLGGHGLAFTITASKDLKALPSQYLGILNATDMGNFSNHLVAVEFDTVQDFEFQDINDNHIGIDLNSLDSNASAPASYYTDGSTKQNLTLKSGKPIQAWIDYDSVEHVINVTIAPNSTRPRLPILSFHVDLSPYLQEFMYVGFSASTGLLASSHYILGWSFKINGQAQALDLSSLPLLPGPPKKDTALTVGVSVSSVIFVIIALSIAIYFIIKIKNADVIEDWELEIGPQRYPYQELKQATNGFSDKTLLGHGGFGRVYKGTLPNAKTEVAVKRISHESKQGLREFLSEIASIGRLRHRNLVQLLGWCRRRGDLLLVYDFMANGSLDKFLFDEPQTILSWEQRFRIIKGVASGLLYLHEGYEQIVVHRDVKASNVLLDDEMNGRLGDFGLARLYEHGSNPGTTRVVGTLGYLAPELPKTGKATTSSDVYAFGALLLEVACGRRPLEPKALPEELVLVDWVWEKFRQGMVLEMVDTRLNGQYDQGEMLMVLKLGLICSNDVPVARPSMRQVLRFLDGEAELPENLRPPGAFDGGKAFAEGFEAFVHSLASSSFDNMSSCSFIENGNGGTSFASLSTSPLSLLRETR >EOY09847 pep chromosome:Theobroma_cacao_20110822:5:31306174:31309182:1 gene:TCM_025219 transcript:EOY09847 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding dehydrogenase family protein isoform 3 MSSSTKQPDVITCKAMVAWEAGKELVMEEVQVSPPQPHEIRVKVVCTSLCRTDITAWETQAIFPRIFGHEASGIVESVGKGVTEFVEGDHVLTVFTGECKTCIHCASGKSNMCQVLGLERRGVMHSDQRTRFSVKGEPVYHYCAVSSFSEYTVVHSGCAVKVSPHAPLEKICLLSCGVAAGLGAAWNVADISKGSTVVIFGLGTVGLAVAQGAKLRGASQIIGVETNPEKYEKAKAFGVTEFLNPKDCKEPIQQVIKRLSGGGTDYSFECIGDTGTVATALQSCCDSSVVS >EOY09845 pep chromosome:Theobroma_cacao_20110822:5:31306117:31311319:1 gene:TCM_025219 transcript:EOY09845 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding dehydrogenase family protein isoform 3 MSSSTKQPDVITCKAMVAWEAGKELVMEEVQVSPPQPHEIRVKVVCTSLCRTDITAWETQFNSDCVPFLSILPNFPLHKIGVPLLENHADLQAIFPRIFGHEASGIVESVGKGVTEFVEGDHVLTVFTGECKTCIHCASGKSNMCQVLGLERRGVMHSDQRTRFSVKGEPVYHYCAVSSFSEYTVVHSGCAVKVSPHAPLEKICLLSCGVAAGLGAAWNVADISKGSTVVIFGLGTVGLAVAQGAKLRGASQIIGVETNPEKYEKAKAFGVTEFLNPKDCKEPIQQVIKRLSGGGTDYSFECIGDTGTVATALQSCCDGWGLTVTLGVPKMKPEIAAHYSAFLSGKTLKGSLFGGWKPKSDLPSLVEKYMNKEIQIDEFITHNLPFEDINKAFSLMREGKCLRCVIHMPK >EOY09846 pep chromosome:Theobroma_cacao_20110822:5:31306156:31311314:1 gene:TCM_025219 transcript:EOY09846 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding dehydrogenase family protein isoform 3 MSSSTKQPDVITCKAMVAWEAGKELVMEEVQVSPPQPHEIRVKVVCTSLCRTDITAWETQAIFPRIFGHEASGIVESVGKGVTEFVEGDHVLTVFTGECKTCIHCASGKSNMCQVLGLERRGVMHSDQRTRFSVKGEPVYHYCAVSSFSEYTVVHSGCAVKVSPHAPLEKICLLSCGVAAGLGAAWNVADISKGSTVVIFGLGTVGLAVAQGAKLRGASQIIGVETNPEKYEKAKAFGVTEFLNPKDCKEPIQQVIKRLSGGGTDYSFECIGDTGTVATALQSCCDGWGLTVTLGVPKMKPEIAAHYSAFLSGKTLKGSLFGGWKPKSDLPSLVEKYMNKEIQIDEFITHNLPFEDINKAFSLMREGKCLRCVIHMPK >EOY08895 pep chromosome:Theobroma_cacao_20110822:5:22810296:22822498:-1 gene:TCM_024170 transcript:EOY08895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MPSFPQPGSVTICEINRDLVAAEALSDDGAKDTYGKLLGMVFSPVPFQSVEDPTQPQPTTNAANSQPKGLGMIASSLKHIFQPNDIDLLPEVALQGVSWHQHKHILAFISASNQVTVRDYEDSGKEPCILTSDSQRDVKVLEWRPNGGKLLSVACKGGICIWAASYPGNAASVRSGSASFLGALSRGSGTRWTLVDFFRSPHDEQISALSWSPDGRYLASASYESSSFTIWDVAQGIGTPIRRGLGGTSVLKWSPTGDYFFAGKFDGTFYLWETNTWTSEPWSSSSGFVTGATWDPDGRMVLLAFSRSSTLGSIHFASKPPSLDAHLLPVDLPEIISLTGSQGIEKIAWDASGERLAVSYKGGDDIYKGLIALYDTRRNPLISASLIGFIRGPGSNPKPIAFSFHDKFKQGPLLSVCWSSGFCCTYPLIFRS >EOY08896 pep chromosome:Theobroma_cacao_20110822:5:22817367:22822577:-1 gene:TCM_024170 transcript:EOY08896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MPSFPQPGSVTICEINRDLVAAEALSDDGAKDTYGKLLGMVFSPVPFQSVEDPTQPQPTTNAANSQPKGLGMIASSLKHIFQPNDIDLLPEVALQGVSWHQHKHILAFISASNQVTVRDYEDSAGKEPCILTSDSQRDVKVLEWRPNGGKLLSVACKGGICIWAASYPGNAASVRSGSASFLGALSRGSGTRWTLVDFFRSPHDEQISALSWSPDGRYLASASYESSSFTIWDVAQGIGTPIRRGLGGTSVLKWSPTGDYFFAGKFDGTFYLWETNTWTSEPWSSSSGFVTGATWDPDGRMVLLAFSRSSTLGSIHFASKPPSLGM >EOY08894 pep chromosome:Theobroma_cacao_20110822:5:22810352:22822513:-1 gene:TCM_024170 transcript:EOY08894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MPSFPQPGSVTICEINRDLVAAEALSDDGAKDTYGKLLGMVFSPVPFQSVEDPTQPQPTTNAANSQPKGLGMIASSLKHIFQPNDIDLLPEVALQGVSWHQHKHILAFISASNQVTVRDYEDSAGKEPCILTSDSQRDVKVLEWRPNGGKLLSVACKGGICIWAASYPGNAASVRSGSASFLGALSRGSGTRWTLVDFFRSPHDEQISALSWSPDGRYLASASYESSSFTIWDVAQGIGTPIRRGLGGTSVLKWSPTGDYFFAGKFDGTFYLWETNTWTSEPWSSSSGFVTGATWDPDGRMVLLAFSRSSTLGSIHFASKPPSLDAHLLPVDLPEIISLTGSQGIEKIAWDASGERLAVSYKGGDDIYKGLIALYDTRRNPLISASLIGFIRGPGSNPKPIAFSFHDKFKQGPLLSVCWSSGFCCTYPLIFRS >EOY07869 pep chromosome:Theobroma_cacao_20110822:5:3071228:3077901:-1 gene:TCM_022189 transcript:EOY07869 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRF-like 8, putative isoform 2 MKTDRKPHLIKKLSDMKRQRVDESIVPGLEDEATEVEHLLAEPKSEHVSVDGVLCFGKENLEKHLKMEDFSCAFDYGWKINCGGLDSIHGQGGDDLKLEVLDGLLDEVDEVDDIHAAHDLSGACEDFLLDIEFPEKFSELEPCEGSNLHNSSSESHSPGFSGSSNSAGGISESSIAAVQESNGRNGVLGKMVNCDVHQTFRSKCGCQAPVMDTIHPTIENMQDLDQSDDDEKPLVSFILSNKKVKSSVKVTKGGTLLRQKRVRKPTRRYIEEFSRNSTASGKNKRLKVRSQELPQVPSESRPRRGRPRKIVPKLELESDYELSASESEDEHKRSKRSKTACDRRKHQRMWTLAEVIKLVDGIAQYGVGRWTDIKRLLFASSAYRTPVDLRDKWRNLLRSSSALKHNRREVVENDLKHAVRPLPKPVVRRIRELATIHPYPRVRSPKISPVDAPSSKHPTTTKGAPVYPHARNLRRKKCS >EOY07868 pep chromosome:Theobroma_cacao_20110822:5:3071347:3078027:-1 gene:TCM_022189 transcript:EOY07868 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRF-like 8, putative isoform 2 MKTDRKPHLIKKLSDMKRQRVDESIVPGLEDEATEVEHLLAEPKSEHVSVDGVLCFGKENLEKHLKMEDFSCAFDYGWKINCGGLDSIHGQGGDDLKLEVLDGLLDEVDEVDDIHAAHDLSGACEDFLLDIEFPEKFSELEPCEGSNLHNSSSESHSPGFSGSSNSAGGISESSIAAVQESNGRNGVLGKMVNCDVHQTFRSKCGCQAPVMDTIHPTIENMQDLDQSDDDEKPLVSFILSNKKVKSSVKVTKGGTLLRQKRVRKPTRRYIEEFSRNSTASGKNKRLKVRSQELPQVPSESRPRRGRPRKIVPKLELESDYELSASESEDEHKRSKRSKTACDRRKHQRMWTLAEVIKLVDGIAQYGVGRWTDIKRLLFASSAYRTPVDLRDKWRNLLRSSSALKHNRREVENDLKHAVRPLPKPVVRRIRELATIHPYPRVRSPKISPVDAPSSKHPTTTKGAPVYPHARNLRRKKCS >EOY07994 pep chromosome:Theobroma_cacao_20110822:5:3776031:3789584:1 gene:TCM_022312 transcript:EOY07994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSSASPLNIYRNDYEIELQMRQIQQEKGDCLTQGHISILPERVHLDLQQNDFTEMVGIWEQWRRAHRDNFQNKYGHIAWLLYVPVDDQMLRAIVQFWDPSYRCFVFNKVDMTPTIEEYSSLLRIDHMQPDKIYWRAQKTGHRRKLAKLLGMTTVEVDKHLKKKGDTECLPWSFLNGYIKKHMEDEQGLLAFAMAIYDLVVFPKVLGHVEVEWPDYKRKEEWVARLRRLMSIEVTWRAPWMPRMQFGSEQFVPMTHQLDQLEFTYGEPETLKRIEEIAQDWKKTCRVDQGRVTDEVTTRYHTWHDQRVKNVIHPPKDPSKHPVNPEPQDVLLESELTRKRLEKEMMNMKRRHEDELEEVKKETARKVRVALKERDEWQSKFEEVSVANSSLLARIQELQSANNALQHEVQRKGQTIQELKNDCDMLETAMEGYKAQYEAIRQEYFQMRERNNSCTQSLQRKEAEMQWILRQMREVAFRARVMADKTEELRREILPKDELSERLISHLKMVRDQYDKNKISEKQILHRYNTRARSKIMGDQHSERMDKIEKKQEEIMGQLSKILELISTDKGKKAAGSSGTPEDVQQTETNTDPVYPPGFTPPPARNASIPMPSVGQYPFFGMPIGPPPTYAQQRPIGGASPSDPISGKIEGGDMTNTRKGGTFKRKEGEAQAITSGQHQGGTYNPYQPYLPYPYYPAVNNTSQSPYPYPPMPNAFPNLYPYNPIQRTLYPTNIHPPTSTTVTASTTQQTTPSNNHTTGESRGWRNKQEKVQFDPIPIPYAELFTQEVYVKRNIREVETSMEKVFEALVKADMLEDCSSFRKEVQRMMDESKIEFYTEASESAVNMISKESTHPMKIKPLTIFYEPKGEFVEDKNRAKMIIEVPKPFPYKDNKAVPWNYNCKVQVSEAKKWIAESQDDAANITGVGGITRSGRCYSPEAFENLKNEKGKEKEQSPRKEKKRRKKCLNVPFDPSSSLTPRYRAGAGLGKELQGIRSPIRTTKNEERFGLGYKPAKKEREEMIAERRKERLARFKGHELEIRGMTYPHLYKTFRSGGCIFPESLTVGNRESVLALGGTFSDLSICATEEGEEQPGNVDEIPTTYLGPPNLKLSSWTTMSLPVTCDSISK >EOY11255 pep chromosome:Theobroma_cacao_20110822:5:38662548:38683664:1 gene:TCM_026500 transcript:EOY11255 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative isoform 2 MEDVGTGAAANISSQIAKGIFQEIKRHIKYVIIYKKNVDKFDHKLQMLKAERTSVQQEVGAADRNGEKIKADVQHWNKTVDKVIDEEVRKVKDLQDKAKNKCFIGMCPNIKSRYQLSRKAEEVVVTVDDLIQRKGQFNGVGYRDVPEVILDASPKEFETFKSREKVFNDIMEAVKDAAVSMIGVHGMAGVGKTSLVNEVARQVQEVKLFDSVVTVNVTQTPDIQKIQDQIAELLGLRLEDKSTVVRARRLCERLKKEKQVLVVLDNVWKKLDLEEVGIPFENQHKGCKILLTSRDQNVFSNEMDVKETFPIDVLDDEEAWDLFKQRAGDNVESVELRSTAIEVAKRCARLPLAIATVARALRNKSLFAWKDALRQLQRPSPNNFNGIAAAAYSAIELSYNHLESDELKQAFLLCTLLRRDSSIDYLLQCAIGLGLINGVSTVEEARNRLLTIVSNLKASCLLLDSNLNDRSFDMHDLVYDVVMSIASKDNHVFALNEKDVLKDWPDGEIMKKWNKIYLKYPSIIGELPDELNCPEVVLFILLSKDLSLKMPPNFFNETKNLKVLDLSDMQFSSLPLSTCLFASLRALFLNQCELRDITIIGELKNLEILNLSYSDIKILPKEIGRLVKLKRLDLGHCTKLKIILPGVLSSLSKLEELYMGGTFIQWEVGGHANQRGNIASLAELNTLSCLTTLEVHIPDPEAMSGGLLFKDLQKLERYKIFIGKEWEWFGEYEYSRTLKLKLSTSIDHLGHEFKLLLKRIEGLYLDELEGVKIAMQEFIDEESLPYLKNLHIQNGSMIQYIINDDGAANKNEFLQLQSLTLQNLPQLISFCSEDENGSTSRVQHELPLFSEKSLFTRLENLRLSSISVERIWHDSFCNHENLTRLIIEGCGNLKRLLSFSMSRKLVHLKCFEIIGCKCLREIIFAEDIEEESKDKILFPQLNSLKLQDLQHLIGFWLGHQNIEFPSLKSLKIEKCPELKGFIYESTMEGSQSVSSQVLFDEKVAFPSLEELFISKLRNMKMIWQNPLAVNSFHKLQEMEVEECDQLLTIFPSNMLRAFQGLQTLKVQKCVSVEEVFEVGRSNMEETGAVTTQLRQLYIWYLPNLKNIWKNDPKGIFTFENLRVISVWDCCSLKNVFPASVAKVLPQLRDLLIADCRVEEIVSKEEGLETVVTFVFDQVCYLFLWRLPDLKCFYPGAHTTKWPMLKKLKVSGCDKMKILGTERLSISDTAKVDGQLESTLIQPPLLLAEKVIPKLEKLSLNSDDIAMISESQFSRSLFREIKILRVSNSGDESVVFPITFLERFDNLEKLVVIYYEFKELFCNERDSGMETYAGTLPTIRSLKLIRLHNLKHLWKQDVQVDRILPNLETLKVHLCDKLISLGSSSASFQNLLTLDVWSCGAMICLVTSLAVQSLAQLKKLRIRECIFMKEIVGNVGDEATYDIVFSKLKSLELCDLPQIESFCSSNHTFGFPSLEEVIVSRCPQLEIFCKGVLNAPMLQRVQVTDKDGKGYWGGDLNSTVQQLYAEKVGYKGVEYVVLSEFSKSIEIWKDNLQGVLDFVNLKHLEVCDCNNLKYILTVSMALDLQQLRVIKVNNCPMMEHIITNEEAEEAAMNSIVLPRLQQITLESCSNLRSFCLGSIIMECPSLQLIHVDDCPEMFAMASTFAAEKDAETVAAFFNDKQVAFPQLEKLTLKGMTNCRKIWPDQLVGDSFCKLNDLWVLECNRLLNIFPLSMRARLQNLEDFRIRGCDSLEEIFEHEALNTNDLHSVTATQSIAEETTTNFVFPTLTYLKLYMLPRLRSFCSMVHTTEWPSLKKMWIYGCHKMEIFASENIRSFGESTNQQPLFWVNEVTFPNLEELKLEWNDIMKEIWHGQLRANFFYKLKVLELIHFPDKSAVFPHCFIQSLPNLEKLVVSEASFSHIFHFEGFDGEKNRASAITSLNELVLSELPELTHLWKEEYHPIAAFCELRTLQVRDCGKLKILAPSAVSFENLTTLEVSRCHGYVNLIACSTAKSLVQLTRMTITDCEMIEKIIACESEEVKGDIVFTELKYLQLSCLPNMASFCLGDHNLEFPILEKMIVRECPKMKIFCQGDLSTPQLQKVILTEDGDEENGQWEGDLKTTIKRMFEEEEA >EOY11254 pep chromosome:Theobroma_cacao_20110822:5:38662548:38683989:1 gene:TCM_026500 transcript:EOY11254 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative isoform 2 MEDVGTGAAANISSQIAKGIFQEIKRHIKYVIIYKKNVDKFDHKLQMLKAERTSVQQEVGAADRNGEKIKADVQHWNKTVDKVIDEEVRKVKDLQDKAKNKCFIGMCPNIKSRYQLSRKAEEVVVTVDDLIQRKGQFNGVGYRDVPEVILDASPKEFETFKSREKVFNDIMEAVKDAAVSMIGVHGMAGVGKTSLVNEVARQVQEVKLFDSVVTVNVTQTPDIQKIQDQIAELLGLRLEDKSTVVRARRLCERLKKEKQVLVVLDNVWKKLDLEEVGIPFENQHKGCKILLTSRDQNVFSNEMDVKETFPIDVLDDEEAWDLFKQRAGDNVESVELRSTAIEVAKRCARLPLAIATVARALRNKSLFAWKDALRQLQRPSPNNFNGIAAAAYSAIELSYNHLESDELKQAFLLCTLLRRDSSIDYLLQCAIGLGLINGVSTVEEARNRLLTIVSNLKASCLLLDSNLNDRSFDMHDLVYDVVMSIASKDNHVFALNEKDVLKDWPDGEIMKKWNKIYLKYPSIIGELPDELNCPEVVLFILLSKDLSLKMPPNFFNETKNLKVLDLSDMQFSSLPLSTCLFASLRALFLNQCELRDITIIGELKNLEILNLSYSDIKILPKEIGRLVKLKRLDLGHCTKLKIILPGVLSSLSKLEELYMGGTFIQWEVGGHANQRGNIASLAELNTLSCLTTLEVHIPDPEAMSGGLLFKDLQKLERYKIFIGKEWEWFGEYEYSRTLKLKLSTSIDHLGHEFKLLLKRIEGLYLDELEGVKIAMQEFIDEESLPYLKNLHIQNGSMIQYIINDDGAANKNEFLQLQSLTLQNLPQLISFCSEDENGSTSRVQHELPLFSEKSLFTRLENLRLSSISVERIWHDSFCNHENLTRLIIEGCGNLKRLLSFSMSRKLVHLKCFEIIGCKCLREIIFAEDIEEESKDKILFPQLNSLKLQDLQHLIGFWLGHQNIEFPSLKSLKIEKCPELKGFIYESTMEGSQSVSSQVLFDEKVAFPSLEELFISKLRNMKMIWQNPLAVNSFHKLQEMEVEECDQLLTIFPSNMLRAFQGLQTLKVQKCVSVEEVFEVGRSNMEETGAVTTQLRQLYIWYLPNLKNIWKNDPKGIFTFENLRVISVWDCCSLKNVFPASVAKVLPQLRDLLIADCRVEEIVSKEEGLETVVTFVFDQVCYLFLWRLPDLKCFYPGAHTTKWPMLKKLKVSGCDKMKILGTERLSISDTAKVDGQLESTLIQPPLLLAEKVIPKLEKLSLNSDDIAMISESQFSRSLFREIKILRVSNSGDESVVFPITFLERFDNLEKLVVIYYEFKELFCNERDSGMETYAGTLPTIRSLKLIRLHNLKHLWKQDVQVDRILPNLETLKVHLCDKLISLGSSSASFQNLLTLDVWSCGAMICLVTSLAVQSLAQLKKLRIRECIFMKEIVGNVGDEATYDIVFSKLKSLELCDLPQIESFCSSNHTFGFPSLEEVIVSRCPQLEIFCKGVLNAPMLQRVQVTDKDGKGYWGGDLNSTVQQLYAEKVGYKGVEYVVLSEFSKSIEIWKDNLQGVLDFVNLKHLEVCDCNNLKYILTVSMALDLQQLRVIKVNNCPMMEHIITNEEAEEAAMNSIVLPRLQQITLESCSNLRSFCLGSIIMECPSLQLIHVDDCPEMFAMASTFAAEKDAETVAAFFNDKVAFPQLEKLTLKGMTNCRKIWPDQLVGDSFCKLNDLWVLECNRLLNIFPLSMRARLQNLEDFRIRGCDSLEEIFEHEALNTNDLHSVTATQSIAEETTTNFVFPTLTYLKLYMLPRLRSFCSMVHTTEWPSLKKMWIYGCHKMEIFASENIRSFGESTNQQPLFWVNEVTFPNLEELKLEWNDIMKEIWHGQLRANFFYKLKVLELIHFPDKSAVFPHCFIQSLPNLEKLVVSEASFSHIFHFEGFDGEKNRASAITSLNELVLSELPELTHLWKEEYHPIAAFCELRTLQVRDCGKLKILAPSAVSFENLTTLEVSRCHGYVNLIACSTAKSLVQLTRMTITDCEMIEKIIACESEEVKGDIVFTELKYLQLSCLPNMASFCLGDHNLEFPILEKMIVRECPKMKIFCQGDLSTPQLQKVILTEDGDEENGQWEGDLKTTIKRMFEEEVRSLVAEHLQFGCIEGLHDDVDKLDKVLSWLGYTAIKLDGLHRIPLQ >EOY08105 pep chromosome:Theobroma_cacao_20110822:5:4528526:4531515:1 gene:TCM_022424 transcript:EOY08105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide (PPR) repeat-containing protein, putative isoform 2 MAAKRVTLLSKFSCNSQKKLRTPLSLCTLSSPDKTQTNEAPTANTNNENESFMHNHECHQHIQNLSAFLQQGQTQAAQNLTKSLLFSKSPFASPSDLFTLFSLTSPSLKLIFSNILFSLLAESKMHSEALELYKAMRKEGMQPSITSLNLLLESLVSLNKFDKTINLFEEIIESGFRPNKFMYGKAVQAAVKLGDLKRANEYVHSMKKKGVSPSLFIYNALIGGVCKEKRIRDAEKLFHEMLERKLVASVVTYNTLIDGYCKVGELEKAFDLKERMVRENVEPNLVTFNILVGGLCRAHRMEDAKQVLKEMEAQGFAPDGFTCSIIFDGFLRSGNVKSALALYEEVSGKGVGINRYMLSNWLNYLCKEGKVEKAEEFLQKEIEKGFVPNEVVYNAIVNGYCRISNMNKAISMVEHMEKLGLRPDCVTFNSLIDKFCEMKEVEYAEEWVKMMREKGVLPNVETYNILINGYGQLCLLDRCFAIIEEMENRGIKPNVVSYGSIINYLCKDGKLLEAEITFRDMVSRGVLPNVLIYNMLIAGNCTAGKLKDAFRYFDEMVKGETRPTIVTYNTLINGLCKKGRVTETEDLLSQITSSGCTPDVITYNTLISGYSNEGNAHKCLELYENMKNLGIKPTLNTYCPLISVCCKEGIELVQRLVCEMSEMHLTPDRLIYNILIHLYAEHGDVQAFALHHEMVERGICSDKMTYNSLILGHFRRGNLSEIKNLVSDMKVKGLVPKADTYDLLIRGYCEQKDFIGAYLWYREMLENHFLPRFTTCNKLLTGLTEQGRLQEAQIICSEMKVKGMDDWSFGEDLSAVVKM >EOY08107 pep chromosome:Theobroma_cacao_20110822:5:4528008:4532352:1 gene:TCM_022424 transcript:EOY08107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide (PPR) repeat-containing protein, putative isoform 2 MAAKRVTLLSKFSCNSQKKLRTPLSLCTLSSPDKTQTNEAPTANTNNENESFMHNHECHQHIQNLSAFLQQGQTQAAQNLTKSLLFSKSPFASPSDLFTLFSLTSPSLKLIFSNILFSLLAESKMHSEALELYKAMRKEGMQPSITSLNLLLESLVSLNKFDKTINLFEEIIESGFRPNKFMYGKAVQAAVKLGDLKRANEYVHSMKKKGVSPSLFIYNALIGGVCKEKRIRDAEKLFHEMLERKLVASVVTYNTLIDGYCKVGELEKAFDLKERMVRENVEPNLVTFNILVGGLCRAHRMEDAKQVLKEMEAQGFAPDGFTCSIIFDGFLRSGNVKSALALYEEVSGKGVGINRYMLSNWLNYLCKEGKVEKAEEFLQKEIEKGFVPNEVVYNAIVNGYCRISNMNKAISMVEHMEKLGLRPDCVTFNSLIDKFCEMKEVEYAEEWVKMMREKGVLPNVETYNILINGYGQLCLLDRCFAIIEEMENRGIKPNVVSYGSIINYLCKDGKLLEAEITFRDMVSRGVLPNVLIYNMLIAGNCTAGKLKDAFRYFDEMVKGETRPTIVTYNTLINGLCKKGRVTETEDLLSQITSSGCTPDVITYNTLISGYSNEGNAHKCLELYENMKNLGIKPTLNTYCPLISVCCKEGIELVQRLVCEMSEMHLTPDRLIYNILIHLYAEHGDVQAFALHHEMVERGICSDKMTYNSLILGHFRRGNLSEIKNLVSDMKVKGLVPKADTYDLLIRGYCEQKDFIGAYLWYREMLENHFLPRFTTCNKLLTGLTEQGRLQEAQIICSEMKVKGMDDWSFGEDLSAVVKM >EOY08106 pep chromosome:Theobroma_cacao_20110822:5:4528944:4531326:1 gene:TCM_022424 transcript:EOY08106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide (PPR) repeat-containing protein, putative isoform 2 MRKEGMQPSITSLNLLLESLVSLNKFDKTINLFEEIIESGFRPNKFMYGKAVQAAVKLGDLKRANEYVHSMKKKGVSPSLFIYNALIGGVCKEKRIRDAEKLFHEMLERKLVASVVTYNTLIDGYCKVGELEKAFDLKERMVRENVEPNLVTFNILVGGLCRAHRMEDAKQVLKEMEAQGFAPDGFTCSIIFDGFLRSGNVKSALALYEEVSGKGVGINRYMLSNWLNYLCKEGKVEKAEEFLQKEIEKGFVPNEVVYNAIVNGYCRISNMNKAISMVEHMEKLGLRPDCVTFNSLIDKFCEMKEVEYAEEWVKMMREKGVLPNVETYNILINGYGQLCLLDRCFAIIEEMENRGIKPNVVSYGSIINYLCKDGKLLEAEITFRDMVSRGVLPNVLIYNMLIAGNCTAGKLKDAFRYFDEMVKGETRPTIVTYNTLINGLCKKGRVTETEDLLSQITSSGCTPDVITYNTLISGYSNEGNAHKCLELYENMKNLGIKPTLNTYCPLISVCCKEGIELVQRLVCEMSEMHLTPDRLIYNILIHLYAEHGDVQAFALHHEMVERGICSDKMTYNSLILGHFRRGNLSEIKNLVSDMKVKGLVPKADTYDLLIRGYCEQKDFIGAYLWYREMLENHFLPRFTTCNKLLTGLTEQGRLQEAQIICSEMKVKGMDDWSFGEDLSAVVKM >EOY09989 pep chromosome:Theobroma_cacao_20110822:5:32103102:32104002:1 gene:TCM_025358 transcript:EOY09989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGIFTYESEVVAAIPPAKMFKACILDGDNLIPKIVPQAFKNVEYIEGNGEPGSIKKVTFGEGSQFNYMIEKVEVLDKDNFVYSYSVIEGDALMNTLEKITYETKLEPSPAGGSICKTTSKYYTIGDFEIKEEGIKAGKEKALGIFKAVEAYLLANPDAY >EOY09289 pep chromosome:Theobroma_cacao_20110822:5:28036720:28039580:-1 gene:TCM_024714 transcript:EOY09289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase abscission zone A, putative MTLQRHLLLFSIIFFCLSSTYHLGEASSYNAQASPSYFSSIWNGKFKGFFKQTTQDLTLHRFDDDGDENYPSLPAGPGRPASKTVSVDDFGAKGDGSDDTEAFKKAWEQACSSADGVALVVPKEKSYRVKPIRFTGPCKSNLRVEIYGTIEASDDQSDYQEDARHWLVFDGVRNLIVGGGGTINGNGKIWWENSCKRNKDKPCTGAPTALTFYENQHLMVNNLKIQDAQKMHVSFEKCVDVEASNLVVTAPEDSPNTDGIHVTNTQNILIASSTIGTGDDCISIVSGSQKVEAQDIICGPGHGISIGSLGKGNSRDYVSGVIVNGAKISGTSNGVRIKTWQGGSGSANNIRFQNVEMSNVTNPIIIDQNYCDQDKPCKEQPSAVQVQNVVYQNIEGTSASDVAIVFNCSKSHPCQGITLQDVNLIGEGGEATTATCNNVQITMIGNVSPGCSLEERSMIA >EOY09655 pep chromosome:Theobroma_cacao_20110822:5:30368337:30371333:-1 gene:TCM_025050 transcript:EOY09655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein, putative isoform 1 MVKSKQRQLATKHANKKNAQGGNLDSSNPNIELDLLGEDGWVVVKKQRVTILIPPLPVATKSKITNQGPGQLEAMPRKEVEDQSRLSVQTCSKLPSGNGQEKSTSSAHRKGIEITRSPAQHILTLARSPAQGVRLEPEISSQLVTLKSHKIYRVPEASKTIRRPRSMHCRNVPIAGSMLLNRRLRVSNLERKLQQAGGLSRWLTSIGLGQFVRIFQAKSVNKFQLVNLNMQKLKDMGADAVGPRRKLIHAIDCVCQPFCFEAI >EOY09656 pep chromosome:Theobroma_cacao_20110822:5:30368222:30371256:-1 gene:TCM_025050 transcript:EOY09656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein, putative isoform 1 MVKSKQRQLATKHANKKNAQGGNLDSSNPNIELDLLGEDGWVVVKKQRVTILIPPLPVATKSKITNQGPGQLEAMPRKEVEDQSRLSVQTCSKLPSGNGQEKSTSSAHRKGIEITRSPAQHILTLARSPAQGVRLEPEISSQLVTLKSHKIYRVPEASKTIRRPRSMHCRNVPIAGSMLLNRRLRVSNLERKLQQAGGLSRWLTSIGLGQFVRIFQAKSVNKFQLVNLNMQKLKDMGADAVGPRRKLIHAIDCVCQPFCFEAI >EOY08241 pep chromosome:Theobroma_cacao_20110822:5:5898821:5900870:1 gene:TCM_022589 transcript:EOY08241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein MSKLQSDAVREAISTIVGDSKEKQRKFVETIELQIGLKNYDPQKDKRFSGAVKLPHIPRPKMKICMLGDALHVEEAEKIGLDWMDVEALKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNCSMEEKQIFQNVQLSVNFLVSLLKKNWQNVRCLHLKSTMGRPQRIY >EOY09507 pep chromosome:Theobroma_cacao_20110822:5:29573992:29576139:1 gene:TCM_024923 transcript:EOY09507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L6 family protein MAAKRKTPLKTRNPDLIRGVGKYSRSKMYHKRGLWAIKAKNGGVLPRHDPKPKAAAAPEKLPKFYPADDVKKPLLNKRKPKPTKLRDSVDFVGWRFMGKRVVFLKQLTSGLLLVTGPFKINGVPLRRVNQSYVIATSTKVDISGVNEEKFDDKYFAKEVEKKKKKGEGEFFEAEKKDKRKLPDDKKEDQKAVDASLIKSIEGVPDLKAYLAARFSLKSGMKPHELVF >EOY07346 pep chromosome:Theobroma_cacao_20110822:5:1278234:1283269:-1 gene:TCM_021804 transcript:EOY07346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPFDRRKANEYVEPLRDMGFGSESKRSSNLQQNSKIVKEKTQLPQANLRLKSQGKLKGKNGIALPYGNLPGERGQNQIHSTLVETKSLGDCHGQPRKGKPTKEDELVKYMSNLPGYLQRVDIGENFQENALNVGVLDWARLEKWEHHQKRIPKITGNDVSSTSTISLMKTNTKSSALSSAVPKDTAANKSKQHQQTCSSLNSSYKEGLPRGAKPSTLKVRHFQDIETASKSTLDQQKKTSKTYKSSGTTYSDAILDKGKKKELNQKITLEMGNMSSNMRNQGVSPLPKETVNVCDGGAKNRVEQRQEIDVNKKDLDLKNTSDVEASSSKFRHYGVSLGSRKKLDAEGDKTKETQGSEIDLAHQVSPGEHKNIVLLRPRSARNSFFEEPRERFDGTLNEANRNSFPCDFLQKVRSGELCSEVPHSCPLPSGVEMNPATDIMAQGLEPSSNASHGSAFSNNSGNLRSEGKHSAENKIKSLDAHVETLKILEEEMAELATRKSRSSSPNRRFSFSLSRMSRSFSFKEGSTAPQLSSTYVSVKSGPVRSDSSGFLDDTIREKVNGHNRARSSPLRRMLDPLLKSRGLHSFRFTDTVQPSKGSLNSSSARPVNTNESPQEEKFESSMIQALLQLTIKNGLPMFRFVVDNGSNMLATTMKSLASSAKGGSDQSYIFSSVSEIKKKSGSWISQGNKEKNCGYIYNIIGQMRISNSLISDLTAEDSCNQYPVVRESVLFSVEQRPADQASAKFTPNAELAAVVIKMPGESTDVQHSDKDITKKGFTDCLATDGCSCNPVENASFNSTTVILPGGVHSLPNKGIPSPLIDRWKSGGLCDCGGWDVGCKLRILSNQKRRCCKTSRTCQACLNPNRLDLYAQGEAQQNRPILNLVPHKNGIYAIEFSSSITALQAFFISVTAISCQKSSDLPEFGNLPEGKVIKETILNGSHGMENKPINVLRNMPAKYAPNPPHSPVGRA >EOY07824 pep chromosome:Theobroma_cacao_20110822:5:2857088:2858335:-1 gene:TCM_022146 transcript:EOY07824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATQAPNSTPTADGTKTPNPTLLLKRYINSLFSLLLVFRFPPSITTVPPPLYTRFLGFGVGMLHYPARREFFASSRVREVPGKVSCFSVSFGTYLQCAIKVKKNGKETGRALHRGKNQRGHLEGNDAGNDAEETSETEKPEETSAVDVKERLKK >EOY10186 pep chromosome:Theobroma_cacao_20110822:5:33389455:33393688:1 gene:TCM_025552 transcript:EOY10186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine kinases,ATP binding,catalytics isoform 1 MKLDVDVLRYLSKDDFRVLTAVEMGMRNHEIVPSDLIDRIASLKHGGTYKVLKNLLKHKLLHHDSSKYDGFRLTYLGYDFLAIKTMVNRGVFTAVGRQIGVGKESDIFEVACEDGTVMAMKLHRLGRTSFRAVKSKRDYLRHRSSYNWLYLSRLAALKEFAFMKALEEHGFPVPNAVDCNRHCVVMSLIQGYPLVQVKQLQNPETVFDTIIGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVSHRNAQMYFDRDIECIFKFFGKRFNMSFEEQSDDIDGSEVDEDESGRPSFSSITKTAGFLDKELAASGFTRKDQDDIEKKEPTSSCNE >EOY10184 pep chromosome:Theobroma_cacao_20110822:5:33389570:33395137:1 gene:TCM_025552 transcript:EOY10184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine kinases,ATP binding,catalytics isoform 1 MKLDVDVLRYLSKDDFRVLTAVEMGMRNHEIVPSDLIDRIASLKHGGTYKVLKNLLKHKLLHHDSSKYDGFRLTYLGYDFLAIKTMVNRGVFTAVGRQIGVGKESDIFEVACEDGTVMAMKLHRLGRTSFRAVKSKRDYLRHRSSYNWLYLSRLAALKEFAFMKALEEHGFPVPNAVDCNRHCVVMSLIQGYPLVQVKQLQNPETVFDTIIGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVSHRNAQMYFDRDIECIFKFFGKRFNMSFEEQSDDIDGSEVDEDESGRPSFSSITKTAGFLDKELAASGFTRKDQDDIEKFIEGNAGDDVSDDEHESGLCQTNIKGFDSLHLDQKEPTSSCNEEEQGYENQHSLEAGLTGNPEGQNSSNEEEDNQNADENDAELMKSLAKQRRRAMAAARRGRKSVASRNSYKDKGGKSSNNSKIQKQLSSW >EOY10187 pep chromosome:Theobroma_cacao_20110822:5:33389455:33393688:1 gene:TCM_025552 transcript:EOY10187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine kinases,ATP binding,catalytics isoform 1 MKLDVDVLRYLSKDDFRVLTAVEMGMRNHEIVPSDLIDRIASLKHGGTYKVLKNLLKHKLLHHDSSKYDGFRLTYLGYDFLAIKTMVNRGVFTAVGRQIGVGKESDIFEVACEDGTVMAMKLHRLGRTSFRAVKSKRDYLRHRSSYNWLYLSRLAALKEFAFMKALEEHGFPVPNAVDCNRHCVVMSLIQGYPLVQVKQLQNPETVFDTIIGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVSHRNAQMYFDRDIECIFKFFGKRFNMSFEEQSDDIDGSEVDEDESGRPSFSSITKTAGFLDKELAASGFTRKDQDDIEKKEPTSSCNE >EOY10185 pep chromosome:Theobroma_cacao_20110822:5:33389523:33395137:1 gene:TCM_025552 transcript:EOY10185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine kinases,ATP binding,catalytics isoform 1 MKLDVDVLRYLSKDDFRVLTAVEMGMRNHEIVPSDLIDRIASLKHGGTYKVLKNLLKHKLLHHDSSKYDGFRLTYLGYDFLAIKTMVNRGVFTAVGRQIGVGKESDIFEVACEDGTVMAMKLHRLGRTSFRAVKSKRDYLRHRSSYNWLYLSRLAALKEFAFMKALEEHGFPVPNAVDCNRHCVVMSLIQGYPLVQVKQLQNPETVFDTIIGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVSHRNAQMYFDRDIECIFKFFGKRFNMSFEEQSDDIDGSEVDEDESGRPSFSSITKTAGFLDKELAASGFTRKDQDDIEKFIEGNAGDDVSDDEHESGLCQTNIKGFDSLHLDQKEPTSSCNEEEQGYENQHSLEAGLTGNPEGQNSSNEEEDNQNADENDAELMKSLAKQRRRAMAAARRGRKSVASRNSYKDKGGKSSNNSKIQKQLSSW >EOY09627 pep chromosome:Theobroma_cacao_20110822:5:30277764:30282150:-1 gene:TCM_025033 transcript:EOY09627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit C2 MASRFWTQGGSDTEEEESDFEDEIENGGAGDIVVTESGSRYLQGNASDSDDSDGQKRVVRSAKDKRFEEMTVTVDQMKNAMKINDWVSLQESFDKINKQLEKVMRVTESDRVPNLYIKCLVMLEDFLAQALANKEAKKKMSSSNAKALNSMKQKLKKNNKQYEELINKHRENPESEEEKDEDEESDESGSEFEDPLQIAESTDEEDEGEEPEDDAADGAWEKKLSRKDKLMDREFKKDPSEITWDTVNKKFKEVVAARGRKGTGKFEQVEQLTFLTKVAKTPAQKLEILFSVISAQFDVNPGLSGHMPINVWKKCVQNMLVILDILVQYPNIVVDDMVEPDENETQKGADYNGTIRVWGNLVAFLERIDNEFFKSLQCIDPHTREYVERLRDEPMFLVLAQNVQEYFERSGDLKSAAKVALRRVELVYYKPQEVYDAMRKLAELSEDADGEKDGDEPKVEESRGPSAFVVTPELVSRKPSFPENSRALMDILVSLIYKSGDDRTKARAMLCDIYHHALFDEFSVARDLLLMSHLQDKIQHMDVSTQILFNRAMAQVGLCAVRVGLIAEGHGCLSELYSGGRVKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINLELLEAVHLICAMLLEVPNMAANTLDAKRKVISKTFRRLLEMSERQTFTGPPENVRDHVMAATRALCRGDFQKAFDVINSLDVWKLLRNRENVLDMLKAKIKEEALRTYLFTYCSSYDSLSLDQLTKMFDLSDSQTHSIVSKMMINEELHASWDQPTRCIVFYDVEHSRLQALAFQLTEKLSVLAESNERAVEARIGGGGLDLPLRRRDNQEFAAGTAAVGRWQDLPFTQGRQGSSGRSGYSAGGRPLALGQTAGGGYSRDRSGQSRGLGGYSGRTGLGMRGSQMDASARMVNLHRGVRG >EOY09889 pep chromosome:Theobroma_cacao_20110822:5:31513138:31518078:-1 gene:TCM_025257 transcript:EOY09889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family (UPF0016) [Source:Projected from Arabidopsis thaliana (AT5G36290) TAIR;Acc:AT5G36290] MGSTSIRFLFIATFFFFALSSISLAQESGLEAEKEESGGSIKDLGRRGMIVAKNFQNGALDENADLDSMGLNFGFDSAGLGIFDAFLTSLSMILISEIGDETFIIAALMAMRHPKSTVLSGALTALIVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEEVEEKLETGQGKTSYRRIFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNALGVAVGATLGHTICTSLAVLGGSMLAAKISQRTVATIGGVLFLGFSLSSYFYPPL >EOY09890 pep chromosome:Theobroma_cacao_20110822:5:31513285:31517500:-1 gene:TCM_025257 transcript:EOY09890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family (UPF0016) [Source:Projected from Arabidopsis thaliana (AT5G36290) TAIR;Acc:AT5G36290] MWFCNSYLEEKQSLTSFQLIVAKNFQNGALDENADLDSMGLNFGFDSAGLGIFDAFLTSLSMILISEIGDETFIIAALMAMRHPKSTVLSGALTALIVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEEVEEKLETGQGKTSYRRIFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNALGVAVGATLGHTICTSLAVLGGS >EOY07602 pep chromosome:Theobroma_cacao_20110822:5:2111281:2113509:-1 gene:TCM_021992 transcript:EOY07602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSFTNTTNLDNLLLQTLMGRLQIRPPNNNPFLTQSLEDLLLDAANVSDSDSEDNSKTQLAKEESKLEKEIIRIILLGKTDPLKPNSGQAVTINEHHICITFHEEKESDYRVWEWHGHIMLFDEENGYTPEYIYGNYFERLQGKPRVARDEKEKEEEEEEKVGNLGLRELIDGGGESGGGRILHRNISAGSPRF >EOY07957 pep chromosome:Theobroma_cacao_20110822:5:3624773:3628630:1 gene:TCM_022290 transcript:EOY07957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A regulatory B subunit family protein, ALPHA MLKKIMKGGHRKPSKSDSTDPSLYGFGPPGSRNPGSAPTPNVVVNHASRAGPYTPGPNSAVPVVPPPMGTVEPLPLFRDVPVSERQNLFMRKLQICCFQFDFSDTLKSVREKEIKRQTLLELVDFIQSGSGKITEMCQEEMIKMVAINIFRCLPPASHENTGQEATDPEEEEPYLEPSWPHLQLVYELLLRYVVSSDTDTKVAKRYIDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIYETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKPIAVYHQQLSYCITQFVEKDYKLADTVIRGLLKYWPLTNCQKEVLFLGELEEVLEATQSAEFQRCMVPLFRQIARCLNSSHFQVAERALFLWNNEHIVSLIAQNRQVVLPIIFEAMERNIQSHWNQAVHGLTVNVRKMFMEMDAELFDECQRQFAEKEARAREVEEQREMTWKKLADVAAQRGGDDMVTA >EOY09022 pep chromosome:Theobroma_cacao_20110822:5:24923855:24932099:-1 gene:TCM_024360 transcript:EOY09022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-lyase isoform 3 MASSLPLRPFLSSIYSVPNHKNGPLLENFPRSSEVNKELYLERRQLLSGKRFRLNCLGHRDMDVSASVLVESVTECSAELNVEHQEPSISTLLMNIESNFDPYGAMSTPLYQTATFKQPSAIDNGPYDYTRSGNPTRDVLERLLAKLEKADRAFCFTSGMAALSAVAQLVGTGEEIVAGDDIYGGSDRLLSQVTPKYGVVVKRVNTSDLDEVRAVIGPKTKLVWLESPTNPRQQIADIRRIAEMAHAYGALMLVDNSIMSPVLSQPLKLGADIVMHSATKFIAGHSDVMAGVLAVKGESLAEQLYFLQNAVGSGLAPFDCWICLRGIKTMALRIEKQQENAQKIAEFLSSHPLVKKVNYAGLPDHPGRDLHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFSITVSFGSVKSLISMPCFMSHASIPSAVREARGLTEDLVRISVGIEDVNDLIADLDNALRTGPL >EOY09021 pep chromosome:Theobroma_cacao_20110822:5:24923816:24932023:-1 gene:TCM_024360 transcript:EOY09021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-lyase isoform 3 MASSLPLRPFLSSIYSVPNHKNGPLLENFPRSSEVNKELYLERRQLLSGKRFRLNCLGHRDMDVSASVLVESVTECSAELNVEHQEPSISTLLMNIESNFDPYGAMSTPLYQTATFKQPSAIDNGPYDYTRSGNPTRDVLERLLAKLEKADRAFCFTSGMAALSAVAQLVGTGEEIVAGDDIYGGSDRLLSQVTPKYGVVVKRVNTSDLDEVRAVIGPKTKLVWLESPTNPRQQIADIRRIAEMAHAYGALMLVDNSIMSPVLSQPLKLGADIVMHSATKFIAGHSDVMAGVLAVKGESLAEQLYFLQNAVGSGLAPFDCWICLRGIKTMALRIEKQQENAQKIAEFLSSHSLVKKENAQKIAEFLSSHPLVKKVNYAGLPDHPGRDLHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFSITVSFGSVKSLISMPCFMSHASIPSAVREARGLTEDLVRISVGIEDVNDLIADLDNALRTGPL >EOY09023 pep chromosome:Theobroma_cacao_20110822:5:24923993:24931195:-1 gene:TCM_024360 transcript:EOY09023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-lyase isoform 3 MNIESNFDPYGAMSTPLYQTATFKQPSAIDNGPYDYTRSGNPTRDVLERLLAKLEKADRAFCFTSGMAALSAVAQLVGTGEEIVAGDDIYGGSDRLLSQVTPKYGVVVKRVNTSDLDEVRAVIGPKTKLVWLESPTNPRQQIADIRRIAEMAHAYGALMLVDNSIMSPVLSQPLKLGADIVMHSATKFIAGHSDVMAGVLAVKGESLAEQLYFLQNAVGSGLAPFDCWICLRGIKTMALRIEKQQENAQKIAEFLSSHPLVKKVNYAGLPDHPGRDLHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFSITVSFGSVKSLISMPCFMSHASIPSAVREARGLTEDLVRISVGIEDVNDLIADLDNALRTGPL >EOY09024 pep chromosome:Theobroma_cacao_20110822:5:24923816:24932099:-1 gene:TCM_024360 transcript:EOY09024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-lyase isoform 3 MNIESNFDPYGAMSTPLYQTATFKQPSAIDNGPYDYTRSGNPTRDVLERLLAKLEKADRAFCFTSGMAALSAVAQLVGTGEEIVAGDDIYGGSDRLLSQVTPKYGVVVKRVNTSDLDEVRAVIGPKTKLVWLESPTNPRQQIADIRRIAEMAHAYGALMLVDNSIMSPVLSQPLKLGADIVMHSATKFIAGHSDVMAGVLAVKGESLAEQLYFLQNAVGSGLAPFDCWICLRGIKTMALRIEKQQENAQKIAEFLSSHPLVKKVNYAGLPDHPGRDLHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFSITVSFGSVKSLISMPCFMSHASIPSAVREARGLTEDLVRISVGIEDVNDLIADLDNALRTGPL >EOY07852 pep chromosome:Theobroma_cacao_20110822:5:3000560:3010163:1 gene:TCM_022174 transcript:EOY07852 gene_biotype:protein_coding transcript_biotype:protein_coding description:EIN2-like protein, nramp transporter isoform 1 MEAEMGNANHKPAALHRLLPAVLPVLLISIGYVDPGKWVATVDGGARFGFDLVGPMLLFNFAAILCQYLSARIGVVTGKDLAQICNDEYDKATCIFLGVQAELSVLLLDLTMVLGVGHGINLLFGVDLSTGVFLAALDALLFPVFATLLDHCRASFLCMYAVGFILLSYISGVLISQPEISLSMTGMLTKLSGESAFALMSLLGASIMPHNFYLHSSFVQRHQGPPNISKSALCHDQLFAILCIFSGIYLVNYVLMNSAANVFYSAGLVLVTFQDAMSLMEQVFRSGVLPLVFLLIMFLSNQITASTWNLGGHVVLHDFLGLDIPGWLHCATIRIIAMVPALYCVWTSGAEGIYQLLIFTQVMVALLLPSSVIPLFRIGSSRPIMGVYKISPIVEFLALLTFMGMLGLKIIFVVEMIFGNSDWVGNLRLNAGISMSVPFVVLLVTACASFSLMLWLAATPLKSATARIDAPAWKWDLNRTVPEAAIEGEESGLSETRYHGEEPVHRQESSSTPGKSIESHSDLSFTNYDLDLPETIMESDQDIPLTTVIENSSNSLYPSPAVRNPEESASIIESAATLVNEVADDELPGTKTVTIESMNPVEKTVSLEGDLQIEKDDDDGDTWEPEEPSKPPSGSISSLTPDGPPSLRSLSGKSDDGGNGTGSLSRLAGLGRAARRQLAAILDEFWGQLYDFHGQPTQEAKIRKLDVLLGVDTKPMKVDTAGKECGGYFPSVGGRGSDLLISSSLYDSPKQLKVRNSIDLPYGYSRGSSSSWSNNRQLLDAYVQTSSRNVDSGEKRYSSLRAAPSTDAWDYQPATVHGYQIASYLSRIAKNRSSDCLNGQMELPASKSPALGPINYRDPLAFTLGQKLQNGITPVQAPGFQNVAVSRNSPLQSERSYYDISSLGPNDNSVISVNSKKYHSLPDISGLSVPHRDSYMSDRSAQWDSSIGYGSSVGRTNYDTPMYPNTGSRAGVPLAFDELSQSKGYKDAFSFQLSSSPDTGSLWSRQPFEQFGVAEKRRTAGSEAFGSGLNSEARDTASGEDLESKLLQSFRDCIVKLLKLDGFDWLFRQNDGADEDLIDRVAARERFVYDAEAREINQVAHLGEPQYLSSERRYGSTPIRDKANLVNFSISSFPHCGEGCIYKADLVISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGVIDLAFSKPRTPMTPCFCLQIPVEYQQRSSPPISNGMLPPAAKPGRGKCTTAATLLEKIKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNKPFGTHEGSGSRKVPTSTPVLASYSS >EOY07851 pep chromosome:Theobroma_cacao_20110822:5:3000304:3009405:1 gene:TCM_022174 transcript:EOY07851 gene_biotype:protein_coding transcript_biotype:protein_coding description:EIN2-like protein, nramp transporter isoform 1 MEAEMGNANHKPAALHRLLPAVLPVLLISIGYVDPGKWVATVDGGARFGFDLVGPMLLFNFAAILCQYLSARIGVVTGKDLAQICNDEYDKATCIFLGVQAELSVLLLDLTMVLGVGHGINLLFGVDLSTGVFLAALDALLFPVFATLLDHCRASFLCMYAVGFILLSYISGVLISQPEISLSMTGMLTKLSGESAFALMSLLGASIMPHNFYLHSSFVQRHQGPPNISKSALCHDQLFAILCIFSGIYLVNYVLMNSAANVFYSAGLVLVTFQDAMSLMEQVFRSGVLPLVFLLIMFLSNQITASTWNLGGHVVLHDFLGLDIPGWLHCATIRIIAMVPALYCVWTSGAEGIYQLLIFTQVMVALLLPSSVIPLFRIGSSRPIMGVYKISPIVEFLALLTFMGMLGLKIIFVVEMIFGNSDWVGNLRLNAGISMSVPFVVLLVTACASFSLMLWLAATPLKSATARIDAPAWKWDLNRTVPEAAIEGEESGLSETRYHGEEPVHRQESSSTPGKSIESHSDLSFTNYDLDLPETIMESDQDIPLTTVIENSSNSLYPSPAVRNPEESASIIESAATLVNEVADDELPGTKTVTIESMNPVEKTVSLEGDLQIEKDDDDGDTWEPEEPSKPPSGSISSLTPDGPPSLRSLSGKSDDGGNGTGSLSRLAGLGRAARRQLAAILDEFWGQLYDFHGQPTQEAKIRKLDVLLGVDTKPMKVDTAGKECGGYFPSVGGRGSDLLISSSLYDSPKQLKVRNSIDLPYGYSRGSSSSWSNNRQLLDAYVQTSSRNVDSGEKRYSSLRAAPSTDAWDYQPATVHGYQIASYLSRIAKNRSSDCLNGQMELPASKSPALGPINYRDPLAFTLGQKLQNGITPVQAPGFQNVAVSRNSPLQSERSYYDISSLGPNDNSVISVNSKKYHSLPDISGLSVPHRDSYMSDRSAQWDSSIGYGSSVGRTNYDTPMYPNTGSRAGVPLAFDELSQSKGYKDAFSFQLSSSPDTGSLWSRQPFEQFGVAEKRRTAGSEAFGSGLNSEARDTASGEDLESKLLQSFRDCIVKLLKLDGFDWLFRQNDGADEDLIDRVAARERFVYDAEAREINQVAHLGEPQYLSSERRYGSTPIRDKANLVNFSISSFPHCGEGCIYKADLVISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGVIDLAFSKPRTPMTPCFCLQIPVEYQQRSSPPISNGMLPPAAKPGRGKCTTAATLLEKIKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNKPFGTHEGSGSRKVPTSTPVLASYSS >EOY08874 pep chromosome:Theobroma_cacao_20110822:5:22685187:22691063:-1 gene:TCM_024152 transcript:EOY08874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicarboxylate diiron protein isoform 3 MAMAMGSEETLSETNREIKKHLYKNHTCQVQFRDRFHWMRFNQLSTNYKSDSLSHSLHSLFTKFVQENHIKMAAEMALVKPISKFSSSSPKFSNPRYAKFSSVKMSATSQPTTKPSKKAAKTAIKETLLTPRFYTTDFDEMETLFNTEINKNLNQSEFEALLQEFKTDYNQTHFVRNKEFKEAADKMQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKANPEYQCYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLDTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRRLDRMVEINQNLIAAGESQDIPLVKNLKRIPLIAALASELLATYLMPPIESGSVDFAEFEPQVVY >EOY08876 pep chromosome:Theobroma_cacao_20110822:5:22688561:22690947:-1 gene:TCM_024152 transcript:EOY08876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicarboxylate diiron protein isoform 3 MAMAMGSEETLSETNREIKKHLYKNHTCQVQFRDRFHWMRFNQLSTNYKSDSLSHSLHSLFTKFVQENHIKMAAEMALVKPISKFSSSSPKFSNPRYAKFSSVKMSATSQPTTKPSKKAAKTAIKETLLTPRFYTTDFDEMETLFNTEINKNLNQSEFEALLQEFKTDYNQTHFVRNKEFKEAADKMQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKANPEYQCYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCVCYNVPERLSAHCFLRGHWT >EOY08877 pep chromosome:Theobroma_cacao_20110822:5:22688184:22690947:-1 gene:TCM_024152 transcript:EOY08877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicarboxylate diiron protein isoform 3 MAMAMGSEETLSETNREIKKHLYKNHTCQVQFRDRFHWMRFNQLSTNYKSDSLSHSLHSLFTKFVQENHIKMAAEMALVKPISKFSSSSPKFSNPRYAKFSSVKMSATSQPTTKPSKKAAKTAIKETLLTPRFYTTDFDEMETLFNTEINKNLNQSEFEALLQEFKTDYNQTHFVRNKEFKEAADKMQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKANPEYQCYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCVCYNVPERLSAHCFLRGHWT >EOY08875 pep chromosome:Theobroma_cacao_20110822:5:22689410:22690897:-1 gene:TCM_024152 transcript:EOY08875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicarboxylate diiron protein isoform 3 MAMAMGSEETLSETNREIKKHLYKNHTCQVQFRDRFHWMRFNQLSTNYKSDSLSHSLHSLFTKFVQENHIKMAAEMALVKPISKFSSSSPKFSNPRYAKFSSVKMSATSQPTTKPSKKAAKTAIKETLLTPRFYTTDFDEMETLFNTEINKNLNQSEFEALLQEFKTDYNQTHFVRNKEFKEAADKMQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKANPEYQCYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVIFH >EOY10728 pep chromosome:Theobroma_cacao_20110822:5:36151305:36154305:-1 gene:TCM_026029 transcript:EOY10728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 2 MEYPFGHNHRHTHHNRNDDRENEERQPPCHHHNEFVPPSQPPHHQHNEFAPPPHYQPTSFDGPSPPPPSPYFQQPGFAAPPPAQPPSYHQQTVYPPPPSHVTHLHHSGGTQQPDYSSSNYSPPSAHVTHVAHESSHQRVDHQIEGHHSFRPHLPSIPHDHSHSASLSELSKKPTVKVYCKANPGFHLTIRDGKVILAPSDPSDEFQHWYKDEKYSTRAKDEVGFPSFALVNKVTGQAIKHSVGATHPVQLTPYKSDQLDESILWSESTDLGDGYRAVRMINNIRLNVDAFNGDKKSGGVHDGTTIVLWQWNKGDNQRWKIVPY >EOY10730 pep chromosome:Theobroma_cacao_20110822:5:36151141:36154371:-1 gene:TCM_026029 transcript:EOY10730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 2 MEYPFGHNHRHTHHNRNDDRENEERQPPCHHHNEFVPPSQPPHHQHNEFAPPPHYQPTSFDGPSPPPPSPYFQQPGFAAPPPAQPPSYHQQTVYPPPPSHVTHLHHSGGTQQPDYSSSNYSPPSAHVTHVAHESSHQRVDHQIEGHHSFRPHLPSIPHDHSHSASLSELSKKPTVKVYCKANPGFHLTIRDGKVILAPSDPSDEFQHWYKDEKYSTRAKDEVGFPSFALVNKVTGQAIKHSVGATHPVQLTPYKSDQLDESILWSESTDLGDGYRAVRMINNIRLNVDAFNGDKKSGGVHDGTTIVLWQWNKGDNQRWKIVPY >EOY10727 pep chromosome:Theobroma_cacao_20110822:5:36151604:36154257:-1 gene:TCM_026029 transcript:EOY10727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 2 MEYPFGHNHRHTHHNRNDDRENEERQPPCHHHNEFVPPSQPPHHQHNEFAPPPHYQPTSFDGPSPPPPSPYFQQPGFAAPPPAQPPSYHQQTVYPPPPSHVTHLHHSGGTQQPDYSSSNYSPPSAHVTHVAHESSHQRVDHQIEGHHSFRPHLPSIPHDHSHSASLSELSKKPTVKVYCKANPGFHLTIRDGKVILAPSDPSDEFQHWYKDEKYSTRAKDEVGFPSFALVNKVTGQAIKHSVGATHPNILSILFSGAFAIQHLPMMNKEFIPLAILTAKMLSLFSCKMRSMVFSPSHVLRLGILEASLAVALMTSPTSSLLIPTVQLTPYKSDQLDESILWSESTDLGDGYRAVRMINNIRLNVDAFNGDKKSGGVHDGTTIVLWQWNKGDNQRWKIVPY >EOY10729 pep chromosome:Theobroma_cacao_20110822:5:36151219:36154334:-1 gene:TCM_026029 transcript:EOY10729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 2 MEYPFGHNHRHTHHNRNDDRENEERQPPCHHHNEFVPPSQPPHHQHNEFAPPPHYQPTSFDGPSPPPPSPYFQQPGFAAPPPAQPPSYHQQTVYPPPPSHVTHLHHSGGTQQPDYSSSNYSPPSAHVTHVAHESSHQRVDHQIEGHHSFRPHLPSIPHDHSHSASLSELSKKPTVKVYCKANPGFHLTIRDGKVILAPSDPSDEFQHWYKDEKYSTRAKDEVGFPSFALVNKVTGQAIKHSVGATHPVQLTPYKSDQLDESILWSESTDLGDGYRAVRMINNIRLNVDAFNGDKKSGGVHDGTTIVLWQWNKGDNQRWKIVPY >EOY08252 pep chromosome:Theobroma_cacao_20110822:5:5939206:5942174:1 gene:TCM_022599 transcript:EOY08252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soul heme-binding family protein isoform 2 MLLFKPSMAAQSLPKTLIFSSTQSPNAHRASLDIAKSMVMTERTATTTTLAPPQRRTVSASEARISLVFALASQASSVSQRLLMDLASETAKYVFPKRFESRNLEEALMAVPDLETVRFKVLSRTDQYEIRVVEPYFIAETTMPGKTGFDFNGASQSFNVLAEYLFGKNTSKETMEMTTPVFTSRTQSDGERMEMTTPVITKKVEKQGKWQMSFVMPSKYGSNVPLPKDPSVRIKEVPRKVVAVVAFSGFVTDEEVKRRELKLRDALKNDRQFQVKEGASVEVAQYNPPFTLPFTRRNEIALEVEKKEG >EOY08253 pep chromosome:Theobroma_cacao_20110822:5:5939352:5942050:1 gene:TCM_022599 transcript:EOY08253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soul heme-binding family protein isoform 2 MLLFKPSMAAQSLPKTLIFSSTQSPNAHRASLDIAKSMVMTERTATTTTLAPPQRRTVSASEARISLVFALASQASSVSQRLLMDLASETAKYVFPKRFESRNLEEALMAVPDLETVRFKVLSRTDQYEIRVVEPYFIAETTMPGKTGFDFNGASQSFNVLAEYLFGKNTSKETMEMTTPVFTSRTQSDGERMEMTTPVITKKVEKQGKWQMSFVMPSKYGSNVPLPKDPSVRIKEVPRKVVAVVAFSGFVTDEEVKRRELKLRDALKNDRQFQVKEGASVEVGSTIHRLHFHSLAVMRLH >EOY08254 pep chromosome:Theobroma_cacao_20110822:5:5939491:5941941:1 gene:TCM_022599 transcript:EOY08254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soul heme-binding family protein isoform 2 MLLFKPSMAAQSLPKTLIFSSTQSPNAHRASLDIAKSMVMTERTATTTTLAPPQRRTVSASEARISLVFALASQASSVSQRLLMDLASETAKYVFPKRFESRNLEEALMAVPDLETVRFKVLSRTDQYEIRVVEPYFIAETTMPGKTGFDFNGASQSFNVLAEYLFGKGFVTDEEVKRRELKLRDALKNDRQFQVKEGASVEVGSTIHRLHFHSLAVMRLH >EOY08869 pep chromosome:Theobroma_cacao_20110822:5:22604073:22609195:1 gene:TCM_024143 transcript:EOY08869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated proteins 70-2 isoform 1 MRNGDVDREPFKPAVLSSSASFKARKAKPNAASPRADVDDIITLLHGSDPVRVELNRLENEVRDKDRELADANAEIKSLRNSERLKEKAVEELTDELKKVDEKLKVTEALLESKNLEIKKINDEKKAALAAQFAAEATLRRVHAAQKDDEMPPIEAIITPLEAELKLTRLEAAKLQEDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVREYQRKVQEMNEEKKTLEREVARAKVSANRVATVVANEWKDGNDKVMPVKQWLEERRFLQGEMQQLRDKLAVAERAAKAEAHLKEKYQLRFKVLEERLKASTGNSRTASEGRGMSNGQSRRQSIGGAEIFLKSSSNIYLSRRSLNPQSGSLRSNSASALLRNAKMSSRSFDGGSRSLDRGKMIPDAAEKNRMLPSNGDQILGSDIVRCEESSNGITEKSKAEHEDYVSGMLYDMLQKEVITLRKACHEKDQSLKDKDDAIERNARS >EOY08868 pep chromosome:Theobroma_cacao_20110822:5:22603779:22609236:1 gene:TCM_024143 transcript:EOY08868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated proteins 70-2 isoform 1 MGSNGNGNGSYHVMRNGDVDREPFKPAVLSSSASFKARKAKPNAASPRADVDDIITLLHGSDPVRVELNRLENEVRDKDRELADANAEIKSLRNSERLKEKAVEELTDELKKVDEKLKVTEALLESKNLEIKKINDEKKAALAAQFAAEATLRRVHAAQKDDEMPPIEAIITPLEAELKLTRLEAAKLQEDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVREYQRKVQEMNEEKKTLEREVARAKVSANRVATVVANEWKDGNDKVMPVKQWLEERRFLQGEMQQLRDKLAVAERAAKAEAHLKEKYQLRFKVLEERLKASTGNSRTASEGRGMSNGQSRRQSIGGAEIFLKSSSNIYLSRRSLNPQSGSLRSNSASALLRNAKMSSRSFDGGSRSLDRGKMIPDAAEKNRMLPSNGDQILGSDIVRCEESSNGITEKSKAEHEDYVSGMLYDMLQKEVITLRKACHEKDQSLKDKDDAIEMLAKKVETLNKAMDVEAKKMRREVAAMEKEVAAMKVGKEHDQRTRRVSAPRGLVNGSQLLSTRNARS >EOY09130 pep chromosome:Theobroma_cacao_20110822:5:26320587:26324900:-1 gene:TCM_024522 transcript:EOY09130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 23 MEHKSIEVSHEKDKDRTRNEATEFTSEVSVEDASKALPSSPINDVQVHTVAWKGGGIDEETLQNVETPGEVNMEVSVTAEDVIQAGGFGARDDISSFLPVASDWTDFEASIRDARDYEEPQGEVHRPGLGWREASERE >EOY11204 pep chromosome:Theobroma_cacao_20110822:5:38337840:38342147:-1 gene:TCM_026452 transcript:EOY11204 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease MAQFTPQGRLKLLFHGDGVEPKDPFHYKLVSNVFGGSGGLKSLQAQRRLRFLGSTATRQYFSSSLKKGSKFNAKVRPNVSDEGFYDEEDYDSDFETGNLACFRGLVLDISYRPVNVVCWKRAICLEFMEKADVLEYYDQTVNSPSGSFYIPAVLRVPHLLQVVKRRRIRTNLSRKNVLFRDNFTCQYCSAHENLTIDHVLPVARGGEWKWENLVAACAKCNSKKGQKTLEEANMKLIKVPKVPKDYDILAIPLTSAAIRMLRKRNGTPEEWRQYLSPSTEP >EOY07797 pep chromosome:Theobroma_cacao_20110822:5:2737754:2742354:1 gene:TCM_022125 transcript:EOY07797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 71 MSVIDILTRVDVICKRYDKYDVEKQRDQNVSGDDAFARLYAAVEADIESALQKGELASKEKSKASAVAVNAEIRRTKARLLEEVPKLQRLAVKKVKGISTEELAARNDLVLALPDRIQAIPDGTSAPKQTGGWMSSAPSASRNEIKFDSDGRFDNEYFQESEQSSQFRQEYEMRRMKQDQGLDMISEGLDTLKNMAHDMNEELDRQVPLMDEIDTKVDKAAADLKNTNVRLKDTVNQLRSSRNFCIDIVLLCIVLGIAAYLYNVLKK >EOY07935 pep chromosome:Theobroma_cacao_20110822:5:3488094:3492092:1 gene:TCM_022266 transcript:EOY07935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pro-like protein MEEEQRERMDRMERAQEEMREQLAKMMELMMSLSKGKRAIEEPAPSENPPAQDSGNQREDPPYPPGFTPPHAQTSQRVHPQVIPPVYYNAPPPLGHQPIHGQFGPYSGINPAEPINVPDLDDPIEQEKLRKDLSQTGENEKDQKKYDLLEERLRAIEGVDRFGTMDATELCLVPDVLIPAKFKVPEFEKYDGTKCPMAHITMYCRKMAAQSHDDKLLIHFFQDSLTGSAARWYVQLDRNRIKTWKDLARAFITQYKHVAELAPDRLSLQTMEKKQSENFKEYAQRWRDTAAQVQPPLTDKEMTVLFINTLRAPFYERLIGNATKNFADLINAITPEPIDTKEVGHDLTYSCKFHMGAIGHSIQNCDGFRRKLQELMDSSIIEFYEGAEENLVGTISGDTPAEVASSSFGANKPKPLTIFYEENRSPMNDTSPTMIRSGITIEVPNPFPYKSDKAVPWNYQCNISGTASSAPQASFEDLTGVGGITRSGRCYSPEVAEKVGKEKLTQGEGGLKKADTFSKDQVDESVVAPNNEVKNPVTEKEAGEFLKFIKHSEYSVVEQLTKMPARISLLSLLLNSEAHRNALLKVLNQAYVAQDISVEKLDHIVGNITVGNFIAFNDEEIPSGGRGSNKALHITIKCKDHAVPRVLVDNGSALNVMPRSTLTKLPVDVSYMRTSRMVVRAFDGTTREVVGDIELPIKIGPCIFEVQFQVMDIAPSYNCLLGRPWIHMAGAVPSSLHQKVKFIAKGQLISVCAEEDILAIQPSSAPYVEATEEVPECSFRSFEFVNATYIGEKKVIPTPRLSVATKMGVKQTVGKGCRAGLGLGKNLQGINRPLTPMKNEERFGLGYKPTKEERRKLTAQKKIKRMAQLEGKEEEFGERTIPHLYETFRSAGFIHPEAPPKVNQVLRMFDELSIHMIRDEEPDGKIPVVYPVLPGEELSNWTATELPIVFKSPKM >EOY10483 pep chromosome:Theobroma_cacao_20110822:5:35052357:35057283:1 gene:TCM_025837 transcript:EOY10483 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) isoform 2 MLWVDKYRPKTLDQVMVHQDIAQNLKKLVTEQDCPHLLFYGPSGSGKKTLIMALLRQIFGSSAEKVKVENRNWKIDIGSRTIDLELTTLSSTNHVELSPSDVGFQDRYVVQEIIKEMAKNRPIDTKGRKGYKVLVLNEVDKLSRQAQHSLRRTMEKYSASCRLILCCNSSSKVTEAIRSRCLNIRVNAPSEEQIIKVLEFVGKKEGLQLPSGFAARIAEKTNRSLRRAILSFETCRVQQYPFTSSQAIPPMDWEEYISEIATDIMKEQSPKRLFQVRGKVYELLINCIPPEIILKRLLHELLKKLDAELKHEICHWAAYYEHRMRLGQKAIFHIEAFVAKFMSIYKAFLIATFG >EOY10484 pep chromosome:Theobroma_cacao_20110822:5:35052408:35056724:1 gene:TCM_025837 transcript:EOY10484 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) isoform 2 MLWVDKYRPKTLDQVMVHQDIAQNLKKLVTEQDCPHLLFYGPSGSGKKTLIMALLRQIFGSSAEKVKVENRNWKIDIGSRTIDLELTTLSSTNHVELSPSDVGFQDRYVVQEIIKEMAKNRPIDTKGRKGYKVLVLNEVDKLSRQAQHSLRRTMEKYSASCRLILCCNSSSKVTEAIRSRCLNIRVNAPSEEQIIKVLEFVGKKEGLQLPSGFAARIAEKTNRSLRRAILSFETCRVQQYPFTSSQAIPPMDWEEYISEIATDIMKEQSPKRLFQVRGKVYELLINCIPPEIILKRLLHELEHRMRLGQKAIFHIEAFVAK >EOY10382 pep chromosome:Theobroma_cacao_20110822:5:34423815:34425762:-1 gene:TCM_025751 transcript:EOY10382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSCQLYLIQLLLWIRSQLWKFKKQTWREGSEDEEGRGRKGVSSTVTFFTSTADTPRLDVVLLKLVYCLCNTALAYVVFHVTLLKHYLSVSF >EOY08826 pep chromosome:Theobroma_cacao_20110822:5:21891892:21899595:1 gene:TCM_024066 transcript:EOY08826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase-related isoform 1 MHLVRENLFIGNIGDAAQVLQNDSAEITHILSVLSSASISFFSEWRSGLTIPTKEIKKVFVGGSGGAAASGDHVDDASKSSLSPQKLLYLLEYAGKDLKLVRMAVPIRDMESENLLDYLDACFDFIDQSRKEGSVLVHCFAGVSRSAAIITAYLMRTEQLSQEDALESLRQTCEFVCPNDGFLEQLKMFEEMGHKVDHSSPVYKRFRLKVLGDCYNRGEKIDSSKLGADPGLPTESSSVMEAPPKEGTNRTAYRCKKCRRVVALQENVVDHIPGEGETSFEWNKRRSGNPFNKSVQTECSSIFVEPLRWMTAVEEGAIEGKLSCAHCEARLGYFNWSGIQCSCGSWITPAFQLHKSRVDISTV >EOY08827 pep chromosome:Theobroma_cacao_20110822:5:21891892:21899719:1 gene:TCM_024066 transcript:EOY08827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase-related isoform 1 MHLVRENLFIGNIGDAAQVLQNDSAEITHILSVLSSASISFFSEWRSGLTIPTKEIKKVFVGGSGGAAASGDHVDDASKSSLSPQKLLYLLEYAGKDLKLVRMAVPIRDMESENLLDYLDACFDFIDQSRKEGSVLVHCFAGVSRSAAIITAYLMRTEQLSQEDALESLRQTCEFVCPNDGFLEQQLKMFEEMGHKVDHSSPVYKRFRLKVLGDCYNRGEKIDSSKLGADPGLPTESSSVMEAPPKEGTNRTAYRCKKCRRVVALQENVVDHIPGEGETSFEWNKRRSGNPFNKSVQTECSSIFVEPLRWMTAVEEGAIEGKLSCAHCEARLGYFNWSGIQCSCGSWITPAFQLHKSRVDISTV >EOY10411 pep chromosome:Theobroma_cacao_20110822:5:34565349:34572456:1 gene:TCM_025771 transcript:EOY10411 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTPase activating protein, putative isoform 4 MGSRKEEERNEKIIRGLMKLPPNRRCINCNSLGPQYVCTNFWTFVCMTCSGIHREFTHRVKSVSMSKFTSQEVEALQNGGNQRARDIYLKDWDLQRQRLPDSSNADKIREFIKNVYVDRKYVGGKTSDKPPRDMQSLRNHEDEIRRASSYHSYSQSPPYDYQYEDRRYGKQAAAVLSRKPGSDRGHYVGKVSSFVYSPGRLSDQMFEDRFANEGSAPRVSDYSVSSGGDPFKSGTGSPNFQKDIGFSSPTAQPPRDVLSEDTQHQTINLFVDPSSKKDAGGIPRPQRTKSMGSFGSFDSNSMSVKSYNSGIGLDVVSEPEQTAGSCHDKASTFPQSSVPVNYGGLDLFTATEASAAPPIGFFQLPATSSISSEDIFQPAAVSTMPPVNLFQPSPATPSIDLIAGIAEQPPAAIFDRKSPELPEPKNEGWATFDTPQHAASNPVTQNLSTAVMSSDGDLSVKLDQLSSLNTTIQWPLFGNSSAFGATSSMSSQWQEGLHDGQASTAATSTQSWNAFNDSAESLSLEPQVAVYNHLATADQHLGLGVSELPPILVSLMLLYHQRMAWHHHMLHWLIHLWERNSPMLLIVNQPTRLIFLMILNRNKVICSWI >EOY10410 pep chromosome:Theobroma_cacao_20110822:5:34565471:34573062:1 gene:TCM_025771 transcript:EOY10410 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTPase activating protein, putative isoform 4 MGSRKEEERNEKIIRGLMKLPPNRRCINCNSLGPQYVCTNFWTFVCMTCSGIHREFTHRVKSVSMSKFTSQEVEALQNGGNQRARDIYLKDWDLQRQRLPDSSNADKIREFIKNVYVDRKYVGGKTSDKPPRDMQSLRNHEDEIRRASSYHSYSQSPPYDYQYEDRRYGKQAAAVLSRKPGSDRGHYVGKVSSFVYSPGRLSDQMFEDRFANEGSAPRVSDYSVSSGGDPFKSGTGSPNFQKDIGFSSPTAQPPRDVLSEDTQHQTINLFVDPSSKKDAGGIPRPQRTKSMGSFGSFDSNSMSVKSYNSGIGLDVVSEPEQTAGSCHDKASTFPQSSVPVNYGGLDLFTATEASAAPPIGFFQLPATSSISSEDIFQPAAVSTMPPVNLFQPSPATPSIDLIAGIAEQPPAAIFDRKSPELPEPKNEGWATFDTPQHAASNPVTQNLSTAVMSSDGDLSVKLDQLSSLNTTIQWPLFGNSSAFGATSSMSSQWQEGLHDGQASTAATSTQSWNAFNDSAESLSLEPQVAVYNHLATADQHLGLGVSENLDNDAIQTAASHTGFPDATLSSEDGLAPSYAPLVNPPLGEKQSYAADRKSTNPFDLPYDSESEQSDMFLDMSSLQTALPNAQLPSAYLGGVSQPWFPQNPVTPCIPGTPQGGLAYMSGQAPSSQLSECPSPRACCFHRRKSFCIGFYWQK >EOY10413 pep chromosome:Theobroma_cacao_20110822:5:34566746:34573062:1 gene:TCM_025771 transcript:EOY10413 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTPase activating protein, putative isoform 4 MSKFTSQEVEALQNGGNQRARDIYLKDWDLQRQRLPDSSNADKIREFIKNVYVDRKYVGGKTSDKPPRDMQSLRNHEDEIRRASSYHSYSQSPPYDYQYEDRRYGKQAAAVLSRKPGSDRGHYVGKVSSFVYSPGRLSDQMFEDRFANEGSAPRVSDYSVSSGGDPFKSGTGSPNFQKDIGFSSPTAQPPRDVLSEDTQHQTINLFVDPSSKKDAGGIPRPQRTKSMGSFGSFDSNSMSVKSYNSGIGLDVVSEPEQTAGSCHDKASTFPQSSVPVNYGGLDLFTATEASAAPPIGFFQLPATSSISSEDIFQPAAVSTMPPVNLFQPSPATPSIDLIAGIAEQPPAAIFDRKSPELPEPKNEGWATFDTPQHAASNPVTQNLSTAVMSSDGDLSVKLDQLSSLNTTIQWPLFGNSSAFGATSSMSSQWQEGLHDGQASTAATSTQNLDNDAIQTAASHTGFPDATLSSEDGLAPSYAPLVNPPLGEKQSYAADRKSTNPFDLPYDSESEQSDMFLDMSSLQTALPNAQLPSAYLGGVSQPWFPQNPVTPCIPGTPQEVA >EOY10412 pep chromosome:Theobroma_cacao_20110822:5:34565349:34572456:1 gene:TCM_025771 transcript:EOY10412 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTPase activating protein, putative isoform 4 MGSRKEEERNEKIIRGLMKLPPNRRCINCNSLGPQYVCTNFWTFVCMTCSGIHREFTHRVKSVSMSKFTSQEVEALQNGGNQRARDIYLKDWDLQRQRLPDSSNADKIREFIKNVYVDRKYVGGKTSDKPPRDMQSLRNHEDEIRRASSYHSYSQSPPYDYQYEDRRYGKQAAAVLSRKPGSDRGHYVGKVSSFVYSPGRLSDQMFEDRFANEGSAPRVSDYSVSSGGDPFKSGTGSPNFQKDIGFSSPTAQPPRDVLSEDTQHQTINLFVDPSSKKDAGGIPRPQRTKSMGSFGSFDSNSMSVKSYNSGIGLDVVSEPEQTAGSCHDKASTFPQSSVPVNYGGLDLFTATEASAAPPIGFFQLPATSSISSEDIFQPAAVSTMPPVNLFQPSPATPSIDLIAGIAEQPPAAIFDRKSPELPEPKNEGWATFDTPQHAASNPVTQNLSTAVMSSDGDLSVKLDQLSSLNTTIQWPLFGNSSAFGATSSMSSQWQEGLHDGQASTAATSTQNLDNDAIQTAASHTGFPDATLSSEDGLAPSYAPLVNPPLGEKQSYAADRKSTNPFDLPYDSESEQSDMFLDMSSLQTALPNAQLPSAYLGGVSQPWFPQNPVTPCIPGTPQGGLAYMSGQAPSSQLSNVPAQGPVASIGGNPFA >EOY10744 pep chromosome:Theobroma_cacao_20110822:5:36216691:36224917:-1 gene:TCM_026043 transcript:EOY10744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein isoform 1 MVALSSAMMLLYYGILKTNAVLIISINVIGCAIEIVYLVLYIIYAPKREKVSTMKFILLFNMGGYGLIILLTNLLTEGSKRVTVMGWICAVYNVAVFASPLSIMRHVVRTKSVEHMPFSLSLFLTLCATMWFFYGLFMMDFFIALPNVLGFLFGIAQMILYVTYKNANKDVEMQKEVDIELKQGSLEDVNPCKADQQQKMKEIKINVTEKPVESDKMNNVWSRQWRNSKSKLERQSSMSRMEDRYLVRREY >EOY10742 pep chromosome:Theobroma_cacao_20110822:5:36223444:36225309:-1 gene:TCM_026043 transcript:EOY10742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein isoform 1 MGFLAPHHQLAFIFGLLGNIVSFLVFLAPVPTFYQICKKKTAEGYHSIPYMVALSSAMMLLYYGILKTNAVLIISINVIGCAIEIVYLVLYIIYAPKREKVSTMKFILLFNMGGYGLIILLTNLLTEGSKRVTVMGWICAVYNVAVFASPLSIMRHVVRTKSVEHMPFSLSLFLTLCATMWFFYGLFMMDFFIALPNVLGFLFGIAQMILYVTYKNANKDVEMQKEVDIELKQGSLEDVNPCKADQQQKMKEIKINVTEKPVESDKMNNVWSRQWRNSKSKLERQSSMSRMEDRYLVRREY >EOY10743 pep chromosome:Theobroma_cacao_20110822:5:36223708:36225082:-1 gene:TCM_026043 transcript:EOY10743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein isoform 1 MIFLCFLFYVGNIVSFLVFLAPVPTFYQICKKKTAEGYHSIPYMVALSSAMMLLYYGILKTNAVLIISINVIGCAIEIVYLVLYIIYAPKREKVSTMKFILLFNMGGYGLIILLTNLLTEGSKRVTVMGWICAVYNVAVFASPLSIMRHVVRTKSVEHMPFSLSLFLTLCATMWFFYGLFMMDFFIALPNVLGFLFGIAQMILYVTYKNANKDVEMQKEVDIELKQGSLEDVNPCKADQQQKMKEIKINVTEKPVESDKMNNVWSRQWRNSKSKLERQSSMSRMEDRYLVRREY >EOY10593 pep chromosome:Theobroma_cacao_20110822:5:35513711:35514177:1 gene:TCM_025922 transcript:EOY10593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGSIGAPQRKKHQSGAPKEREHRSRGSTRSREHQIKGASEGALDLVLPSREEHQSMLFSLASTDLVLPLLGALDQCFPFLGST >EOY09577 pep chromosome:Theobroma_cacao_20110822:5:29953739:29959295:1 gene:TCM_024993 transcript:EOY09577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 16, putative isoform 2 ETTFVIAHTTLPWRCVKSMGKKSAMAKFLVMVLAMLELAATNVLNPSKAVLELALKLSSTVSLVNVPGLAASDQ >EOY09576 pep chromosome:Theobroma_cacao_20110822:5:29958791:29959288:1 gene:TCM_024993 transcript:EOY09576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 16, putative isoform 2 MSKLSNCNNIFFAILLIVSVALAMRQVHGQEICHGQIPGNGSCDAGTCSNQCAQSFQGSVGTCTQTFINRFTCQCSWSCS >EOY10533 pep chromosome:Theobroma_cacao_20110822:5:35209233:35214556:-1 gene:TCM_025866 transcript:EOY10533 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain and MA3 domain-containing protein isoform 6 MESKSRREKRKEARLAHNKQKHDSWLQNQKSQRMERQLRDVKSKKGNKIKNSQTRFLKENEIVQQASEHSTIPSKAESKLKLEEDHDFNESKMVKGKKSLKRTSKTKFEEYLEMETPNAAMLAQKDLELERKLAKKLKVKDGKLRGDDDDLNMLFEDVPSALESWDEEVPDTERFSDERTVNPTSSKKHKKKKSADQAFEDDIADDSTIAASELEDNAEMAFEDILAKAPARKRRRKRKFLQQGQEGNMAGETALGVSLPTESHSKEVALNEASPKAPAMEGNVKYVAPRLRSHARNESEEQTQIRRRVRGLLNRLSESNVESITGEMAAIFRSISRSVSSQIISEEVLASCYNGPRGNEQHAAVFASFVAGMACLVGMDFSAKLIASLAKTFEEEYLKEDNLSLRNLTLLLSYLCIFGVCSSDLIYDFLIMLSKRLTEIDVSTILTILQCCGMKIRGDDPATMKNFILSVQNRVSELKASSGDGQGKINGRRMEFMLETICDIKNNKKRPKEDTVQHTRIKKWLQKLKVEDILIRGLKWSKLLDPEKKGQWWLSGDMVSATNDVEEVASRIDKEALEAQKMLELAAAQRMNTDGRRAIFCIIMSGEDYIDAFEKLLRLDLPGKQVSSLFFLRKRDAFFFHSSIVNLNIHLQDRDIMRVLVECCLQEKVFNKYYTVLAAKLCEHDKNHKFTLQVFSFPIFFFT >EOY10529 pep chromosome:Theobroma_cacao_20110822:5:35208427:35215297:-1 gene:TCM_025866 transcript:EOY10529 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain and MA3 domain-containing protein isoform 6 MESKSRREKRKEARLAHNKQKHDSWLQNQKSQRMERQLRDVKSKKGNKIKNSQTRFLKENEIVQQASEHSTIPSKAESKLKLEEDHDFNESKMVKGKKSLKRTSKTKFEEYLEMETPNAAMLAQKDLELERKLAKKLKVKDGKLRGDDDDLNMLFEDVPSALESWDEEVPDTERFSDERTVNPTSSKKHKKKKSADQAFEDDIADDSTIAASELEDNAEMAFEDILAKAPARKRRRKRKFLQQGQEGNMAGETALGVSLPTESHSKEVALNEASPKAPAMEGNVKYVAPRLRSHARNESEEQTQIRRRVRGLLNRLSESNVESITGEMAAIFRSISRSVSSQIISEEVLASCYNGPRGNEQHAAVFASFVAGMACLVGMDFSAKLIASLAKTFEEEYLKEDNLSLRNLTLLLSYLCIFGVCSSDLIYDFLIMLSKRLTEIDVSTILTILQCCGMKIRGDDPATMKNFILSVQNRVSELKASSGDGQGKINGRRMEFMLETICDIKNNKKRPKEDTVQHTRIKKWLQKLKVEDILIRGLKWSKLLDPEKKGQWWLSGDMVSATNDVEEVASRIDKEALEAQKMLELAAAQRMNTDGRRAIFCIIMSGEDYIDAFEKLLRLDLPGKQDRDIMRVLVECCLQEKVFNKYYTVLAAKLCEHDKNHKFTLQFCLWDHFKELDSMPLIRSMHLAKFIAEMVASFTLSLAVLKTVEWSDPQMLSSKRVMHFRMLFEAIFEYPDKLIWNMFTRIAVTPELEPLRQGMEFFIKEYVVKTNKKVNNKFKVAKKALNNTEGVLM >EOY10534 pep chromosome:Theobroma_cacao_20110822:5:35209233:35214556:-1 gene:TCM_025866 transcript:EOY10534 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain and MA3 domain-containing protein isoform 6 MESKSRREKRKEARLAHNKQKHDSWLQNQKSQRMERQLRDVKSKKGNKIKNSQTRFLKENEIVQQASEHSTIPSKAESKLKLEEDHDFNESKMVKGKKSLKRTSKTKFEEYLEMETPNAAMLAQKDLELERKLAKKLKVKDGKLRGDDDDLNMLFEDVPSALESWDEEVPDTERFSDERTVNPTSSKKHKKKKSADQAFEDDIADDSTIAASELEDNAEMAFEDILAKAPARKRRRKRKFLQQGQEGNMAGETALGVSLPTESHSKEVALNEASPKAPAMEGNVKYVAPRLRSHARNESEEQTQIRRRVRGLLNRLSESNVESITGEMAAIFRSISRSVSSQIISEEVLASCYNGPRGNEQHAAVFASFVAGMACLVGMDFSAKLIASLAKTFEEEYLKEDNLSLRNLTLLLSYLCIFGVCSSDLIYDFLIMLSKRLTEIDVSTILTILQCCGMKIRGDDPATMKNFILSVQNRVSELKASSGDGQGKINGRRMEFMLETICDIKNNKKRPKEDTVQHTRIKKWLQKLKVEDILIRGLKWSKLLDPEKKGQWWLSGDMVSATNDVEEVASRIDKEALEAQKMLELAAAQRMNTDGRRAIFCIIMSGEDYIDAFEKLLRLDLPGKQDRDIMRVLVECCLQEKVFNKYYTVLAAKLCEHDKNHKFTLQVFSFPIFFFT >EOY10531 pep chromosome:Theobroma_cacao_20110822:5:35208517:35216041:-1 gene:TCM_025866 transcript:EOY10531 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain and MA3 domain-containing protein isoform 6 MESKSRREKRKEARLAHNKQKHDSWLQNQKSQRMERQLRDVKSKKGNKIKNSQTRFLKENEIVQQASEHSTIPSKAESKLKLEEDHDFNESKMVKGKKSLKRTSKTKFEEYLEMETPNAAMLAQKDLELERKLAKKLKVKDGKLRGDDDDLNMLFEDVPSALESWDEEVPDTERFSDERTVNPTSSKKHKKKKSADQAFEDDIADDSTIAASELEDNAEMAFEDILAKAPARKRRRKRKFLQQGQEGNMAGETALGVSLPTESHSKEVALNEASPKAPAMEGNVKYVAPRLRSHARNESEEQTQIRRRVRGLLNRLSESNVESITGEMAAIFRSISRSVSSQIISEEVLASCYNGPRGNEQHAAVFASFVAGMACLVGMDFSAKLIASLAKTFEEEYLKEDNLSLRNLTLLLSYLCIFGVCSSDLIYDFLIMLSKRLTEIDVSTILTILQCCGMKIRGDDPATMKNFILSVQNRVSELKASSGDGQGKINGRRMEFMLETICDIKNNKKRPKEDTVQHTRIKKWLQKLKVEDILIRGLKWSKLLDPEKKGQWWLSGDMVSATNDVEEVASRIDKEALEAQKMLELAAAQRMNTDGRRAIFCIIMSGEDYIDAFEKLLRLDLPGKQDRDIMRVLVECCLQEKVFNKYYTVLAAKLCEHDKNHKFTLQFCLWDHFKELDSMPLIRSMHLAKFIAEMVASFTLSLAVLKTVEWSDPQMLSSKRVMHFRMLFEAIFEYPDKLIWNMFTRIAVTPELEPLRQGMEFFIKEYVVKTNKKVNNKFKVAKKALNNTEGVLM >EOY10532 pep chromosome:Theobroma_cacao_20110822:5:35209029:35214556:-1 gene:TCM_025866 transcript:EOY10532 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain and MA3 domain-containing protein isoform 6 MESKSRREKRKEARLAHNKQKHDSWLQNQKSQRMERQLRDVKSKKGNKIKNSQTRFLKENEIVQQASEHSTIPSKAESKLKLEEDHDFNESKMVKGKKSLKRTSKTKFEEYLEMETPNAAMLAQKDLELERKLAKKLKVKDGKLRGDDDDLNMLFEDVPSALESWDEEVPDTERFSDERTVNPTSSKKHKKKKSADQAFEDDIADDSTIAASELEDNAEMAFEDILAKAPARKRRRKRKFLQQGQEGNMAGETALGVSLPTESHSKEVALNEASPKAPAMEGNVKYVAPRLRSHARNESEEQTQIRRRVRGLLNRLSESNVESITGEMAAIFRSISRSVSSQIISEEVLASCYNGPRGNEQHAAVFASFVAGMACLVGMDFSAKLIASLAKTFEEEYLKEDNLSLRNLTLLLSYLCIFGVCSSDLIYDFLIMLSKRLTEIDVSTILTILQCCGMKIRGDDPATMKNFILSVQNRVSELKASSGDGQGKINGRRMEFMLETICDIKNNKKRPKEDTVQHTRIKKWLQKLKVEDILIRGLKWSKLLDPEKKGQWWLSGDMVSATNDVEEVASRIDKEALEAQKMLELAAAQRMNTDGRRAIFCIIMSGEDYIDAFEKLLRLDLPGKQVSSLFFLRKRDAFFFHSSIVNLNIHLQDRDIMRVLVECCLQEKVFNKYYTVLAAKLCEHDKNHKFTLQTVLSLGPFQRAGFNATYKINAPSKIHSRNGCLIYSLPCSPKNC >EOY10530 pep chromosome:Theobroma_cacao_20110822:5:35207187:35215297:-1 gene:TCM_025866 transcript:EOY10530 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain and MA3 domain-containing protein isoform 6 MESKSRREKRKEARLAHNKQKHDSWLQNQKSQRMERQLRDVKSKKGNKIKNSQTRFLKENEIVQQASEHSTIPSKAESKLKLEEDHDFNESKMVKGKKSLKRTSKTKFEEYLEMETPNAAMLAQKDLELERKLAKKLKVKDGKLRGDDDDLNMLFEDVPSALESWDEEVPDTERFSDERTVNPTSSKKHKKKKSADQAFEDDIADDSTIAASELEDNAEMAFEDILAKAPARKRRRKRKFLQQGQEGNMAGETALGVSLPTESHSKEVALNEASPKAPAMEGNVKYVAPRLRSHARNESEEQTQIRRRVRGLLNRLSESNVESITGEMAAIFRSISRSVSSQIISEEVLASCYNGPRGNEQHAAVFASFVAGMACLVGMDFSAKLIASLAKTFEEEYLKEDNLSLRNLTLLLSYLCIFGVCSSDLIYDFLIMLSKRLTEIDVSTILTILQCCGMKIRGDDPATMKNFILSVQNRVSELKASSGDGQGKINGRRMEFMLETICDIKNNKKRPKEDTVQHTRIKKWLQKLKVEDILIRGLKWSKLLDPEKKGQWWLSGDMVSATNDVEEVASRIDKEALEAQKMLELAAAQRMNTDGRRAIFCIIMSGEDYIDAFEKLLRLDLPGKQVSSLFFLRKRDAFFFHSSIVNLNIHLQDRDIMRVLVECCLQEKVFNKYYTVLAAKLCEHDKNHKFTLQFCLWDHFKELDSMPLIRSMHLAKFIAEMVASFTLSLAVLKTVEWSDPQMLSSKRVMHFRMLFEAIFEYPDKLIWNMFTRIAVTPELEPLRQGMEFFIKEYVVKTNKKVNNKFKVAKKALNNTEGVLM >EOY07220 pep chromosome:Theobroma_cacao_20110822:5:868630:872285:1 gene:TCM_021703 transcript:EOY07220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT5G01510) TAIR;Acc:AT5G01510] MSCSYTSQIPFPSRIFPSRRKSIEKRLRHLQNLHSSKEGQQEPDGDRNSESQDQVILLERYGNGTIKRYMLGDDLQIRAFLGKHDSTSNEFQDSHLSNPNLSWLPGILKDFILPAGFPGSVSDDYLQYMLLQFPTNVTGWICHTLVTSSLLKAVGVGSFSGTSAAASAAAIRWVSKDGIGAVGRLFIGGRFGNLFDDDPKQWRMYADFIGSAGSIFDLTTQVYPAYFLPLASLGNLAKAVARGLKDPSFRVIQNHFAISGNLGEVAAKEEVWEVTAQLLGLYLGILILDTPDLVKSYPVLVSTWGSMRLLHLWLRYLSLSALRFNTINLKRAHVLVKSHVLHSRVPGCNECNREENILSWQRFIRPRIIFGVAMEEILGGGRSISMLRTLLRIYASEKYILMVNQQRKDFEVFVSFKVCV >EOY07219 pep chromosome:Theobroma_cacao_20110822:5:868454:872961:1 gene:TCM_021703 transcript:EOY07219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT5G01510) TAIR;Acc:AT5G01510] MSCSYTSQIPFPSRIFPSRRKSIEKRLRHLQNLHSSKEGQQEPDGDRNSESQDQVILLERYGNGTIKRYMLGDDLQIRAFLGKHDSTSNEFQDSHLSNPNLSWLPGILKDFILPAGFPGSVSDDYLQYMLLQFPTNVTGWICHTLVTSSLLKAVGVGSFSGTSAAASAAAIRWVSKDGIGAVGRLFIGGRFGNLFDDDPKQWRMYADFIGSAGSIFDLTTQVYPAYFLPLASLGNLAKAVARGLKDPSFRVIQNHFAISGNLGEVAAKEEVWEVTAQLLGLYLGILILDTPDLVKSYPVLVSTWGSMRLLHLWLRYLSLSALRFNTINLKRAHVLVKSHVLHSRVPGCNECNREENILSWQRFIRPRIIFGVAMEEILGGGRSISMLRTLLRIYASEKYILMVNQQRKDFEVFVSFKAGATSISVLRSVWQTYWLHENLNVSENIFDQLVKSLSEMDDRFKEFIQQLDNAGWDTHQINLRVPKEISIDECHV >EOY11755 pep chromosome:Theobroma_cacao_20110822:5:40229575:40234534:-1 gene:TCM_026833 transcript:EOY11755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes alpha-helical IF-like protein isoform 3 MSVSDQSAVSSSSQPVLPRDFDPLLKDLNEKKQSFRRNVVSLAAELKEVRSRLASQEQSFAEETLTRQEAETKARNMEEEIFRLQTRLEERNGQLQASASAAEKYLSELDDLRSQLSVTQATADASAASAQSAQLQCLALIKELDEKNSSLREHEDRVTRLGEQLDNLQKDLQARESSQKQLKDEVLRIEHDIMQAVAKAGANKDCELRKLLDEVSPKNYENINKLLTVKDEEIVKLKDEIRIMSAHWKLKTKELESQLEKQRRADQELKKRVLKLEFCLQEARAQTRKLQRMGERRDKAIKELRDQLAAKQQCGAVGAEKQNIWETSGFKIVVSMSMLILVVFSKR >EOY11756 pep chromosome:Theobroma_cacao_20110822:5:40229564:40234805:-1 gene:TCM_026833 transcript:EOY11756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes alpha-helical IF-like protein isoform 3 MSVSDQSAVSSSSQPVLPRDFDPLLKDLNEKKQSFRRNVVSLAAELKEVRSRLASQEQSFAEETLTRQASETKARNMEEEIFRLQTRLEERNGQLQASASAAEKYLSELDDLRSQLSVTQATADASAASAQSAQLQCLALIKELDEKNSSLREHEDRVTRLGEQLDNLQKDLQARESSQKQLKDEVLRIEHDIMQAVAKAGANKDCELRKLLDEVSPKNYENINKLLTVKDEEIVKLKDEIRIMSAHWKLKTKELESQLEKQRRADQELKKRVLKLEFCLQEARAQTRKLQRMGERRDKAIKELRDQLAAKQQCGAVGAEKQNIWETSGFKIVVSMSMLILVVFSKR >EOY11757 pep chromosome:Theobroma_cacao_20110822:5:40229564:40233793:-1 gene:TCM_026833 transcript:EOY11757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes alpha-helical IF-like protein isoform 3 MSVSDQSAVSSSSQPVLPRDFDPLLKDLNEKKQSFRRNVVSLAAELKEVRSRLASQEQSFAEETLTRQYLSELDDLRSQLSVTQATADASAASAQSAQLQCLALIKELDEKNSSLREHEDRVTRLGEQLDNLQKDLQARESSQKQLKDEVLRIEHDIMQAVAKAGANKDCELRKLLDEVSPKNYENINKLLTVKDEEIVKLKDEIRIMSAHWKLKTKELESQLEKQRRADQELKKRVLKLEFCLQEARAQTRKLQRMGERRDKAIKELRDQLAAKQQCGAVGAEKQNIWETSGFKIVVSMSMLILVVFSKR >EOY07084 pep chromosome:Theobroma_cacao_20110822:5:392953:399889:1 gene:TCM_021601 transcript:EOY07084 gene_biotype:protein_coding transcript_biotype:protein_coding description:BREAST CANCER 2 like 2A, putative isoform 3 MSTWQIFSDAGNDFRWEVSGRILPSKPDDEPNRAPVPPLPSMADLLLQGCSKLIENGDAGVRNCPMFRTGLGKSVALKESSIAKALSILGDDDVGTAVTSREVVPGNNGFGCSNSLFQTGSGKMVNISSAGLVRAKTLLGLEQDNEHHSFEGFQHPKKLPATNEPCGWQSFSHSEKKEGLRNTGVADFFSESRHLLNSRNGFVGSTVGSENDSTPVHSKEFDSAPKPPPIKFHTAGGRSLSVSSDALKRARSLLGDPELGNFFGEVEEEVPPFTVSEEKFNDASSNKENHFFTSFSLQGTIKSKDTSKDFISPLKASFKQMRSIFNSEKICCGSNLIDKFDAVGKFDAVGNSNACVSTTNMPSAQKPLSNSTSEKNLVTNISLPRLGKSFGGPLADISNNIVTSQTNNKRIMTEKKRIGRSSFISPYKRPRCSEFSTPLNKGVSFVDDHSCCKRTVSTKYPFQVPRVYMKEYFAVPPSACSMLECLSDQEKQIKPDNAIKYMFKDESGLSRIGAEAFYDMLAHSGASMQYICKEWVANHYKWIVWKLVCYERCYPSKCAGKFLTISNVLEELKYRYDREVNHAHRSAIKRILEGDASPSTMLVLCISNIQSNSEPKMETNLLITNGADNSGNAKVELTDGWYSMDAVLDVLLSKQLAAGKLFVGQKLRIWGAGLCGWVGPVSPLEASSAISLLLNINGTFRAHWADRLGFCKGVGTPLAFRCIKSDGGPVPWTLVGVTRIYPVLYRERLSNGGSIVRSERMESRMVQQHNQRGSVVVDHVISEYQRGVNSSHILSDSESEGAKILKILETAAEPEVLMAEMSPEQLTSFATYKSKLEATRQLEMEKSIKKALAEAGLNERDVVPFIRVRVVGLTTRKYHGKGRPKEGIITIWNPTEKQKSELVEGQAYVVAGLIPIYSDSEALYLQARGSTTKWQPLSPMAMECFEPFFSPRKPTKLSNLGEIPLSSEFDIAVYVVYVGEVYTAAHQKKQWVFVTDDSISNLLSEGLSDSLLAISFCSPCIDKDSFAPINSNLVGSMVGFCNLIKKAKDQMNHLWVAEAAENCAYFLNLNPSICSHLKIAGACVQTWAKASNSIIDKLREKVLFILDNCGG >EOY07086 pep chromosome:Theobroma_cacao_20110822:5:393967:400368:1 gene:TCM_021601 transcript:EOY07086 gene_biotype:protein_coding transcript_biotype:protein_coding description:BREAST CANCER 2 like 2A, putative isoform 3 MRSIFNSEKICCGSNLIDKFDAVGKFDAVGNSNACVSTTNMPSAQKPLSNSTSEKNLVTNISLPRLGKSFGGPLADISNNIVTSQTNNKRIMTEKKRIGRSSFISPYKRPRCSEFSTPLNKGVSFVDDHSCCKRTVSTKYPFQVPRVYMKEYFAVPPSACSMLECLSDQEKQIKPDNAIKYMFKDESGLSRIGAEAFYDMLAHSGASMQYICKEWVANHYKWIVWKLVCYERCYPSKCAGKFLTISNVLEELKYRYDREVNHAHRSAIKRILEGDASPSTMLVLCISNIQSNSEPKMETNLLITNGADNSGNAKVELTDGWYSMDAVLDVLLSKQLAAGKLFVGQKLRIWGAGLCGWVGPVSPLEASSAISLLLNINGTFRAHWADRLGFCKGVGTPLAFRCIKSDGGPVPWTLVGVTRIYPVLYRERLSNGGSIVRSERMESRMVQQHNQRGSVVVDHVISEYQRGVNSSHILSDSESEGAKILKILETAAEPEVLMAEMSPEQLTSFATYKSKLEATRQLEMEKSIKKALAEAGLNERDVVPFIRVRVVGLTTRKYHGKGRPKEGIITIWNPTEKQKSELVEGQAYVVAGLIPIYSDSEALYLQARGSTTKWQPLSPMAMECFEPFFSPRKPTKLSNLGEIPLSSEFDIAVYVVYVGEVYTAAHQKKQWVFVTDDSISNLLSEGLSDSLLAISFCSPCIDKDSFAPINSNLVGSMVGFCNLIKKAKDQMNHLWVAEAAENCAYFLNLNPSICSHLKIAGACVQTWAKASNSIIDKLREKVLFILDNCGG >EOY07085 pep chromosome:Theobroma_cacao_20110822:5:392953:399889:1 gene:TCM_021601 transcript:EOY07085 gene_biotype:protein_coding transcript_biotype:protein_coding description:BREAST CANCER 2 like 2A, putative isoform 3 MSTWQIFSDAGNDFRWEVSGRILPSKPDDEPNRAPVPPLPSMADLLLQGCSKLIENGDAGVRNCPMFRTGLGKSVALKESSIAKALSILGDDDVGTAVTSREVVPGNNGFGCSNSLFQTGSGKMVNISSAGLVRAKTLLGLEQDNEHHSFEGFQHPKKLPATNEPCGWQSFSHSEKKEGLRNTGVADFFSESRHLLNSRNGFVGSTVGSENDSTPVHSKEFDSAPKPPPIKFHTAGGRSLSVSSDALKRARSLLGDPELGNFFGEVEEEVPPFTVSEEKFNDASSNKENHFFTSFSLQGTIKSKDTSKDFISPLKASFKQMRSIFNSEKICCGSNLIDKFDAVGKFDAVGNSNACVSTTNMPSAQKPLSNSTSEKNLVTNISLPRLGKSFGGPLADISNNIVTSQTNNKRIMTEKKRIGRSSFISPYKRPRCSEFSTPLNKGVSFVDDHSCCKRTVSTKYPFQVPRVYMKEYFAVPPSACSMLECLSDQEKQIKPDNAIKYMFKDESGLSRIGAEAFYDMLAHSGASMQYICKEWVANHYKWIVWKLVCYERCYPSKCAGKFLTISNVLEELKYRYDREVNHAHRSAIKRILEGDASPSTMLVLCISNIQSNSEPKMETNLLITNGADNSGNAKVELTDGWYSMDAVLDVLLSKQLAAGKLFVGQKLRIWGAGLCGWVGPVSPLEASSAISLLLNINGTFRAHWADRLGFCKGVGTPLAFRCIKSDGGPVPWTLVGVTRIYPVLYRERLSNGGSIVRSERMESRMVQQHNQRGSVVVDHVISEYQRGVNSSHILSDSESEGAKILKILETAAEPEVLMAEMSPEQLTSFATYKSKLEATRQLEMEKSIKKALAEAGLNERDVVPFIRVRVVGLTTRKYHGKGRPKEGIITIWNPTEKQKSELVEGQAYVVAGLIPIYSDSEALYLQARGSTTKWQPLSPMAMECFEPFFSPRKPTKLSNLGEIPLSSLYGCSSKETVGVCDR >EOY07083 pep chromosome:Theobroma_cacao_20110822:5:392745:400225:1 gene:TCM_021601 transcript:EOY07083 gene_biotype:protein_coding transcript_biotype:protein_coding description:BREAST CANCER 2 like 2A, putative isoform 3 MSTWQIFSDAGNDFRWEVSGRILPSKPDDEPNRAPVPPLPSMADLLLQGCSKLIENGDAGVRNCPMFRTGLGKSVALKESSIAKALSILGDDDVGTAVTSSKRFSLSLFSFNNVHLAFHILILSFIWEVVPGNNGFGCSNSLFQTGSGKMVNISSAGLVRAKTLLGLEQDNEHHSFEGFQHPKKLPATNEPCGWQSFSHSEKKEGLRNTGVADFFSESRHLLNSRNGFVGSTVGSENDSTPVHSKEFDSAPKPPPIKFHTAGGRSLSVSSDALKRARSLLGDPELGNFFGEVEEEVPPFTVSEEKFNDASSNKENHFFTSFSLQGTIKSKDTSKDFISPLKASFKQMRSIFNSEKICCGSNLIDKFDAVGKFDAVGNSNACVSTTNMPSAQKPLSNSTSEKNLVTNISLPRLGKSFGGPLADISNNIVTSQTNNKRIMTEKKRIGRSSFISPYKRPRCSEFSTPLNKGVSFVGNALSASSDDHSCCKRTVSTKYPFQVPRVYMKEYFAVPPSACSMLECLSDQEKQIKPDNAIKYMFKDESGLSRIGAEAFYDMLAHSGASMQYICKEWVANHYKWIVWKLVCYERCYPSKCAGKFLTISNVLEELKYRYDREVNHAHRSAIKRILEGDASPSTMLVLCISNIQSNSEPKMETNLLITNGADNSGNAKVELTDGWYSMDAVLDVLLSKQLAAGKLFVGQKLRIWGAGLCGWVGPVSPLEVLADLKFFKEASSAISLLLNINGTFRAHWADRLGFCKGVGTPLAFRCIKSDGGPVPWTLVGVTRIYPVLYRERLSNGGSIVRSERMESRMVQQHNQRGSVVVDHVISEYQRGVNSSHILSDSESEGAKILKILETAAEPEVLMAEMSPEQLTSFATYKSKLEATRQLEMEKSIKKALAEAGLNERDVVPFIRVRVVGLTTRKYHGKGRPKEGIITIWNPTEKQKSELVEGQAYVVAGLIPIYSDSEALYLQARGSTTKWQPLSPMAMECFEPFFSPRKPTKLSNLGEIPLSSEFDIAVYVVYVGEVYTAAHQKKQWVFVTDDSISNLLSEGLSDSLLAISFCSPCIDKDSFAPINSNLVGSMVGFCNLIKKAKDQMNHLWVAEAAENCAYFLNLNPSICSHLKIAGACVQTWAKASNSIIDKLREKVLFILDNCGG >EOY08878 pep chromosome:Theobroma_cacao_20110822:5:22703531:22713475:-1 gene:TCM_024156 transcript:EOY08878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium and calcium/calmodulin-dependent serine/threonine-protein kinase isoform 1 MEGGSPDQESVGSGTKRSTVSSGSKSRTRKEVFYRFIDCEIFTENIEDWFQSISEKSAPKKPAFDVPFELIELQKFDYALEGVSFQQLIRMPNAVYASTSDAAEATAYLAVDDFLHATVKGLWEAFWSQDEPLPFSVACLYKENLKFYQAEKAIANGKLGSLCATGVLHKNPRHPHGKWDDLLELALLRPDIRSIALESEQQPPLRVLGEALFYALRMLLSRSLSRQKFPQGSNSVFVLLVDSQYGGVVKVEGDVNKMDFDVNNVYECAADWIKRHAKIAVSPVDRIWNKLGNANWGDIGALQVTFATFHCIMQFAGPPKHSIEDLAADHGSRLQTRRVERQLGDTRVNGGGLFRFQQHGVSPEIVEVQDESVKIKSEELLKLEVGSVLWLEDSNWQRGYQINQVESNGELPYYIASPVEEPGKSLFLYLGSHPSQLEPAWEDMNMWYQVQRQTKVLTIMKQKGLSSKYLPQLSASGRIIHPGQCQRPSSGGNCDHPWCGTPILVTSPVGETVADMVSEGQFGVDEAIRCCHDCLSALSTASSDGIRHGDIRPENVICVRSAERHPYFVLIGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYFACGGALPDLDSVEGALQWRETSWSRRLIQQKLGDVSTVLKAFADYVDSLCGTPYPMDYDIWLRRLKRSIREDDHGKEIDTST >EOY08882 pep chromosome:Theobroma_cacao_20110822:5:22707536:22713270:-1 gene:TCM_024156 transcript:EOY08882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium and calcium/calmodulin-dependent serine/threonine-protein kinase isoform 1 MEGGSPDQESVGSGTKRSTVSSGSKSRTRKEVFYRFIDCEIFTENIEDWFQSISEKSAPKKPAFDVPFELIELQKFDYALEGVSFQQLIRMPNAVYASTSDAAEATAYLAVDDFLHATVKGLWEAFWSQDEPLPFSVACLYKENLKFYQAEKAIANGKLGSLCATGVLHKNPRHPHGKWDDLLELALLRPDIRSIALESEQQPPLRVLGEALFYALRMLLSRSLSRQKFPQGSNSVFVLLVDSQYGGVVKVEGDVNKMDFDVNNVYECAADWIKRHAKIAVSPVDRIWNKLGNANWGDIGALQVTFATFHCIMQFAGPPKHSIEDLAADHGSRLQTRRVERQLGDTRVNGGGLFRFQQHGVSPEIVEVQDESVKIKSEELLKLEVGSVLWLEDSNWQRGYQINQVESNGELPYYIASPVEEPGKSLFLYLGSHPSQLEPAWEDMNMWYQVQRQTKVLTIMKQKGLSSKYLPQLSASGRIIHPGQCQRPSSGGNCDHPWCGTPILVTSPVGETVADMVSEGQFGVDEAIRCCHDCLSALSTASSDGIRHGDIRPENVICVRSAERHPYFVLIGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYFACGGALPDLDSVEGALQWRETSWSRRLIQQKLGDVSTVLKAFADYVDSLCGTPYPMDYDIWLRRLKRSIREDDHGKEIDTST >EOY08883 pep chromosome:Theobroma_cacao_20110822:5:22703531:22713475:-1 gene:TCM_024156 transcript:EOY08883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium and calcium/calmodulin-dependent serine/threonine-protein kinase isoform 1 MEGGSPDQESVGSGTKRSTVSSGSKSRTRKEVFYRFIDCEIFTENIEDWFQSISEKSAPKKPAFDVPFELIELQKFDYALEGVSFQQLIRMPNAVYASTSDAAEATAYLAVDDFLHATVKGLWEAFWSQDEPLPFSVACLYKENLKFYQAEKAIANGKLGSLCATGVLHKNPRHPHGKWDDLLELALLRPDIRSIALESEQQPPLRVLGEALFYALRMLLSRSLSRQKFPQGSNSVFVLLVDSQYGGVVKVEGDVNKMDFDVNNVYECAADWIKRHAKIAVSPVDRIWNKLGNANWGDIGALQVTFATFHCIMQFAGPPKHSIEDLAADHGSRLQTRRVERQLGDTRVNGGGLFRFQQHGVSPEIVEVQDESVKIKSEELLKLEVGSVLWLEDSNWQRGYQINQVESNGELPYYIASPVEEPGKSLFLYLGSHPSQLEPAWEDMNMWYQVQRQTKVLTIMKQKGLSSKYLPQLSASGRIIHPGQCQRPSSGGNCDHPWCGTPILVTSPVGETVADMVSEGQFGVDEAIRCCHDCLSALSTASSDGIRHGDIRPENVICVRSAERHPYFVLIGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYFACGGALPDLDSVEGALQWRETSWSRRLIQQKLGDVSTVLKAFADYVDSLCGTPYPMDYDIWLRRLKRSIREDDHGKEIDTST >EOY08880 pep chromosome:Theobroma_cacao_20110822:5:22707536:22713270:-1 gene:TCM_024156 transcript:EOY08880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium and calcium/calmodulin-dependent serine/threonine-protein kinase isoform 1 MEGGSPDQESVGSGTKRSTVSSGSKSRTRKEVFYRFIDCEIFTENIEDWFQSISEKSAPKKPAFDVPFELIELQKFDYALEGVSFQQLIRMPNAVYASTSDAAEATAYLAVDDFLHATVKGLWEAFWSQDEPLPFSVACLYKENLKFYQAEKAIANGKLGSLCATGVLHKNPRHPHGKWDDLLELALLRPDIRSIALESEQQPPLRVLGEALFYALRMLLSRSLSRQKFPQGSNSVFVLLVDSQYGGVVKVEGDVNKMDFDVNNVYECAADWIKRHAKIAVSPVDRIWNKLGNANWGDIGALQVTFATFHCIMQFAGPPKHSIEDLAADHGSRLQTRRVERQLGDTRVNGGGLFRFQQHGVSPEIVEVQDESVKIKSEELLKLEVGSVLWLEDSNWQRGYQINQVESNGELPYYIASPVEEPGKSLFLYLGSHPSQLEPAWEDMNMWYQVQRQTKVLTIMKQKGLSSKYLPQLSASGRIIHPGQCQRPSSGGNCDHPWCGTPILVTSPVGETVADMVSEGQFGVDEAIRCCHDCLSALSTASSDGIRHGDIRPENVICVRSAERHPYFVLIGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYFACGGALPDLDSVEGALQWRETSWSRRLIQQKLGDVSTVLKAFADYVDSLCGTPYPMDYDIWLRRLKRSIREDDHGKEIDTST >EOY08881 pep chromosome:Theobroma_cacao_20110822:5:22707003:22713449:-1 gene:TCM_024156 transcript:EOY08881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium and calcium/calmodulin-dependent serine/threonine-protein kinase isoform 1 MEGGSPDQESVGSGTKRSTVSSGSKSRTRKEVFYRFIDCEIFTENIEDWFQSISEKSAPKKPAFDVPFELIELQKFDYALEGVSFQQLIRMPNAVYASTSDAAEATAYLAVDDFLHATVKGLWEAFWSQDEPLPFSVACLYKENLKFYQAEKAIANGKLGSLCATGVLHKNPRHPHGKWDDLLELALLRPDIRSIALESEQQPPLRVLGEALFYALRMLLSRSLSRQKFPQGSNSVFVLLVDSQYGGVVKVEGDVNKMDFDVNNVYECAADWIKRHAKIAVSPVDRIWNKLGNANWGDIGALQVTFATFHCIMQFAGPPKHSIEDLAADHGSRLQTRRVERQLGDTRVNGGGLFRFQQHGVSPEIVEVQDESVKIKSEELLKLEVGSVLWLEDSNWQRGYQINQVESNGELPYYIASPVEEPGKSLFLYLGSHPSQLEPAWEDMNMWYQVQRQTKVLTIMKQKGLSSKYLPQLSASGRIIHPGQCQRPSSGGNCDHPWCGTPILVTSPVGETVADMVSEGQFGVDEAIRCCHDCLSALSTASSDGIRHGDIRPENVICVRSAERHPYFVLIGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYFACGGALPDLDSVEGALQWRETSWSRRLIQQKLGDVSTVLKAFADYVDSLCGTPYPMDYDIWLRRLKRSIREDDHGKEIDTST >EOY08879 pep chromosome:Theobroma_cacao_20110822:5:22703514:22713475:-1 gene:TCM_024156 transcript:EOY08879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium and calcium/calmodulin-dependent serine/threonine-protein kinase isoform 1 MEGGSPDQESVGSGTKRSTVSSGSKSRTRKEVFYRFIDCEIFTENIEDWFQSISEKSAPKKPAFDVPFELIELQKFDYALEGVSFQQLIRMPNAVYASTSDAAEATAYLAVDDFLHATVKGLWEAFWSQDEPLPFSVACLYKENLKFYQAEKAIANGKLGSLCATGVLHKNPRHPHGKWDDLLELALLRPDIRSIALESEQQPPLRVLGEALFYALRMLLSRSLSRQKFPQGSNSVFVLLVDSQYGGVVKVEGDVNKMDFDVNNVYECAADWIKRHAKIAVSPVDRIWNKLGNANWGDIGALQVTFATFHCIMQFAGPPKHSIEDLAADHGSRLQTRRVERQLGDTRVNGGGLFRFQQHGVSPEIVEVQDESVKIKSEELLKLEVGSVLWLEDSNWQRGYQINQVESNGELPYYIASPVEEPGKSLFLYLGSHPSQLEPAWEDMNMWYQVQRQTKVLTIMKQKGLSSKYLPQLSASGRIIHPGQCQRPSSGGNCDHPWCGTPILVTSPVGETVADMVSEGQFGVDEAIRCCHDCLSALSTASSDGIRHGDIRPENVICVRSAERHPYFVLIGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYFACGGALPDLDSVEGALQWRETSWSRRLIQQKLGDVSTVLKAFADYVDSLCGTPYPMDYDIWLRRLKRSIREDDHGKEIDTST >EOY08884 pep chromosome:Theobroma_cacao_20110822:5:22704784:22713447:-1 gene:TCM_024156 transcript:EOY08884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium and calcium/calmodulin-dependent serine/threonine-protein kinase isoform 1 MEGGSPDQESVGSGTKRSTVSSGSKSRTRKEVFYRFIDCEIFTENIEDWFQSISEKSAPKKPAFDVPFELIELQKFDYALEGVSFQQLIRMPNAVYASTSDAAEATAYLAVDDFLHATVKGLWEAFWSQDEPLPFSVACLYKENLKFYQAEKAIANGKLGSLCATGVLHKNPRHPHGKWDDLLELALLRPDIRSIALESEQQPPLRVLGEALFYALRMLLSRSLSRQKFPQGSNSVFVLLVDSQYGGVVKVEGDVNKMDFDVNNVYECAADWIKRHAKIAVSPVDRIWNKLGNANWGDIGALQVTFATFHCIMQFAGPPKHSIEDLAADHGSRLQTRRVERQLGDTRVNGGGLFRFQQHGVSPEIVEVQDESVKIKSEELLKLEVGSVLWLEDSNWQRGYQINQVESNGELPYYIASPVEEPGKSLFLYLGSHPSQLEPAWEDMNMWYQVQRQTKVLTIMKQKGLSSKYLPQLSASGRIIHPGQCQRPSSGGNCDHPWCGTPILVTSPVGETVADMVSEGQFGVDEAIRCCHDCLSALSTASSDGIRHGDIRPENVICVRSAERHPYFVLIGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYFACGGALPDLDSVEGALQWRETSWSRRLIQQKLGDVSTVLKAFADYVDSLCGTPYPMDYDIWLRRLKRSIREDDHGKEIDTST >EOY07147 pep chromosome:Theobroma_cacao_20110822:5:629486:632024:-1 gene:TCM_021657 transcript:EOY07147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran-binding protein 1 b isoform 1 MASTAKTDPEEHKREDEENAPAADDEDTGAQVAPIVKLEEVAVSTGEEDEDPILDLNFRFDKEGNQWKERGVGSVKLLKHKVSGKVRLVMRQSKTLKICANHLVLPTMTVQEHAGNDKSCVWHATDFADGELKEEMFCIRFASVENCKSFMEMVQEVAESQGKKEENKDATATADLLEKLTVEESKTDGKEPEEKAAASEDKEEDAAATEEKAKTEDEPASKA >EOY07146 pep chromosome:Theobroma_cacao_20110822:5:629644:632185:-1 gene:TCM_021657 transcript:EOY07146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran-binding protein 1 b isoform 1 MASTAKTDPEEHKREDEENAPAADDEDTGAQVAPIVKLEEVAVSTGEEDEDPILDLKAKLYRFDKEGNQWKERGVGSVKLLKHKVSGKVRLVMRQSKTLKICANHLVLPTMTVQEHAGNDKSCVWHATDFADGELKEEMFCIRFASVENCKSFMEMVQEVAESQGKKEENKDATATADLLEKLTVEESKTDGKEPEEKAAASEDKEEDAAATEEKAKTEDEPASKA >EOY08086 pep chromosome:Theobroma_cacao_20110822:5:4334096:4338538:-1 gene:TCM_022399 transcript:EOY08086 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-deoxy-d-manno-octulosonic-acid transferase isoform 2 EKPFPAQSHRAKPKPSKPCFKKRKRSRLPKQAEGKMAMAGSRGELVYKIYRALTYGLSPLLHLHLHWRKFRGLEHPLRWPERLGRPSLPRPPGLLLWFHAVSLGEGMAAIPVIKCCSQLRPDVNILMTTTTFSAFEVLKNRIPANVIYQFAPIDTPSAMDAFLGYWKPNGIVIMESELWPNLIVGASKCGIGLALLNARLSAKSFRNWSRPVLFPLISLLLSKFSLIVPLSSVQAIHFQLLQAPPFSINFSGDLKYAVDECEPLVKDVGRIEDLQKQLSQKRVWMAASIHRGEEEIMLGVHKGLTQTYPDLVTIIVPRHPQHGKEIAEELQKGGKTVALRSQHEKLIPGTSIYVVDTLGELRQLYKLSPIAVIGGHHVGHFSHMVIEMQQLNPLSV >EOY08085 pep chromosome:Theobroma_cacao_20110822:5:4331695:4338562:-1 gene:TCM_022399 transcript:EOY08085 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-deoxy-d-manno-octulosonic-acid transferase isoform 2 MGPEKPFPAQSHRAKPKPSKPCFKKRKRSRLPKQAEGKMAMAGSRGELVYKIYRALTYGLSPLLHLHLHWRKFRGLEHPLRWPERLGRPSLPRPPGLLLWFHAVSLGEGMAAIPVIKCCSQLRPDVNILMTTTTFSAFEVLKNRIPANVIYQFAPIDTPSAMDAFLGYWKPNGIVIMESELWPNLIVGASKCGIGLALLNARLSAKSFRNWSRPVLFPLISLLLSKFSLIVPLSSVQAIHFQLLQAPPFSINFSGDLKYAVDECEPLVKDVGRIEDLQKQLSQKRVWMAASIHRGEEEIMLGVHKGLTQTYPDLVTIIVPRHPQHGKEIAEELQKGGKTVALRSQHEKLIPGTSIYVVDTLGELRQLYKLSPIAVIGGSFFPGLAGHNISEAAAAGCAVLTGENLHLAPYVFHLPLNIPFSRVLFCDIFRSSCRPFLTYGDRNAAVKSFVCNAGVREIRS >EOY08084 pep chromosome:Theobroma_cacao_20110822:5:4326074:4338562:-1 gene:TCM_022399 transcript:EOY08084 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-deoxy-d-manno-octulosonic-acid transferase isoform 2 MGPEKPFPAQSHRAKPKPSKPCFKKRKRSRLPKQAEGKMAMAGSRGELVYKIYRALTYGLSPLLHLHLHWRKFRGLEHPLRWPERLGRPSLPRPPGLLLWFHAVSLGEGMAAIPVIKCCSQLRPDVNILMTTTTFSAFEVLKNRIPANVIYQFAPIDTPSAMDAFLGYWKPNGIVIMESELWPNLIVGASKCGIGLALLNARLSAKSFRNWSRPVLFPLISLLLSKFSLIVPLSSVQAIHFQLLQAPPFSINFSGDLKYAVDECEPLVKDVGRIEDLQKQLSQKRVWMAASIHRGEEEIMLGVHKGLTQTYPDLVTIIVPRHPQHGKEIAEELQKGGKTVALRSQHEKLIPGTSIYVVDTLGELRQLYKLSPIAVIGGSFFPGLAGHNISEAAAAGCAVLTGHHVGHFSHMVIEMQQLNPLSVMQVSGKLDLEKALGKLFSDAKILESRQKAAKEAFNALSSSVVTNAWNLLNFHFLRQLTKNWLVQVICFYDESPASIPNSNSQVTRGDIPGKFPLSGSSKFAPHKVHSFEFHNVTDITFGIICWRSHGMRWAD >EOY09783 pep chromosome:Theobroma_cacao_20110822:5:31051831:31057077:-1 gene:TCM_025166 transcript:EOY09783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (MYND type) family protein / programmed cell death 2 C-terminal domain-containing protein, putative isoform 3 MDIDGKEDSIEELKGLRITPLDDDDDDDDEEIAVDDDEDDDEEEEEAVILGFVEKPQHSWSVLRQQFPSKAGGVPAWLDAYNLPSGMSCVCDICGQPLQFVLQVYAPLVEKDSTFHRTLFVFMCLSMKCLQRDQHEQWKRHPEKQSRSVKVFRCQLPRANTFYSNEPPKGNATDKPLTPGAPLCNWCGTWKGDKFCSSCKIARYCSQKHQAMHWHSGHKLECQQLRLSPQSSDCNACDGGITEIRAQKVANSPEQVLRYCRSASSKPLWPMSGGRPSKADIARCSYCGGLLCFEFQILPQLLYYFGVKDEDHSLDWATIVVYTCEASCEGIDYSCLYGLGLESQVASSSREPILPQS >EOY09782 pep chromosome:Theobroma_cacao_20110822:5:31052478:31057030:-1 gene:TCM_025166 transcript:EOY09782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (MYND type) family protein / programmed cell death 2 C-terminal domain-containing protein, putative isoform 3 MDIDGKEDSIEELKGLRITPLDDDDDDDDEEIAVDDDEDDDEEEEEAVILGFVEKPQHSWSVLRQQFPSKAGGVPAWLDAYNLPSGMSCVCDICGQPLQFVLQVYAPLVEKDSTFHRTLFVFMCLSMKCLQRDQHEQWKRHPEKQSRSVKVFRCQLPRANTFYSNEPPKGNATDKPLTPGGRAMVELIKMERLYATAMSSLAAGFILSLIGIAFLQSLCSQAPLCNWCGTWKGDKFCSSCKIARYCSQKHQAMHWHSGHKLECQQLRLSPQSSDCNACDGGITEIRAQKVASKTLWPEYEIKNEHESDYDTEMSGDDGHTNNSLVSRNRIDDSMKSLMDNFEGDADKKSWASFQERIANSPEQVLRYCRSASSKPLWPMSGGRPSKADIARCSYCGGLLCFEFQILPQLLYYFGVKDEDHSLDWATIVVYTCEASCEGIGYKEEFAWVQLSPSTNFP >EOY09785 pep chromosome:Theobroma_cacao_20110822:5:31053776:31057077:-1 gene:TCM_025166 transcript:EOY09785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (MYND type) family protein / programmed cell death 2 C-terminal domain-containing protein, putative isoform 3 MDIDGKEDSIEELKGLRITPLDDDDDDDDEEIAVDDDEDDDEEEEEAVILGFVEKPQHSWSVLRQQFPSKAGGVPAWLDAYNLPSGMSCVCDICGQPLQFVLQVYAPLVEKDSTFHRTLFVFMCLSMKCLQRDQHEQWKRHPEKQSRSVKVFRCQLPRANTFYSNEPPKGNATDKPLTPGAPLCNWCGTWKGDKFCSSCKIARYCSQKHQAMHWHSGHKLECQQLRLSPQSSDCNACDGGITEIRAQKEMSGDDGHTNNSLVSRNRIDDSMKSLMDNFEGDADKKSWASFQERIANSPEQVLR >EOY09784 pep chromosome:Theobroma_cacao_20110822:5:31052628:31057077:-1 gene:TCM_025166 transcript:EOY09784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (MYND type) family protein / programmed cell death 2 C-terminal domain-containing protein, putative isoform 3 MDIDGKEDSIEELKGLRITPLDDDDDDDDEEIAVDDDEDDDEEEEEAVILGFVEKPQHSWSVLRQQFPSKAGGVPAWLDAYNLPSGMSCVCDICGQPLQFVLQVYAPLVEKDSTFHRTLFVFMCLSMKCLQRDQHEQWKRHPEKQSRSVKVFRCQLPRANTFYSNEPPKGNATDKPLTPGAPLCNWCGTWKGDKFCSSCKIARYCSQKHQAMHWHSGHKLECQQLRLSPQSSDCNACDGGITEIRAQKVANSPEQVLRYCRSASSKPLWPMSGGRPSKADIARCSYCGGLLCFEFQDEDHSLDWATIVVYTCEASCEGIGYKEEFAWVQLSPSTNFP >EOY08237 pep chromosome:Theobroma_cacao_20110822:5:5853544:5858455:-1 gene:TCM_022583 transcript:EOY08237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MDLLDGNLELKEVQKLQGHTDRVWSLAWNPATTATDVPAVFASCSGDKTVRIWEQSPSTRSWDCKAVLEETHTRTVRSCAWSPSGKLLATASFDATTAIWENVGGDFECVSTLEGHENEVKSLCWNASGSLLATCGRDKTVWIWEVMPGNEFECLQVLQGHTQDVKMVQWHPTMDILFSCSYDNTVKVWWSEDADGDWSCVQTLGESSNGHSSTVWSLSFNAKGDKLVTCSDDLTLKIWEADIIRMQSGDGYAPWNHLCTLSGYHDRTVFSVHWSRDGIIASGAADDAIRLFVESKDGLMNGPSYQLLFKKEKAHDMDINSVQWCPGEKHLLASASDDGTIKLWELATLP >EOY08239 pep chromosome:Theobroma_cacao_20110822:5:5855577:5858436:-1 gene:TCM_022583 transcript:EOY08239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MDLLDGNLELKEVQKLQGHTDRVWSLAWNPATTATDVPAVFASCSGDKTVRIWEQSPSTRSWDCKAVLEETHTRTVRSCAWSPSGKLLATASFDATTAIWENVGGDFECVSTLEGHENEVKSLCWNASGSLLATCGRDKTVWIWEVMPGNEFECLQVLQGHTQDVKMVQWHPTMDILFSCSYDNTVKVWWSEDADGDWSCVQTLGESSNGHSSTVWSLSFNAKGDKLVTCSDDLTLKIWEADIIRMQSGDGYAPWNHLCTLSGYHDRTVFSVHWSR >EOY08238 pep chromosome:Theobroma_cacao_20110822:5:5853428:5858405:-1 gene:TCM_022583 transcript:EOY08238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MDLLDGNLELKEVQKLQGHTDRVWSLAWNPATTATDVPAVFASCSGDKTVRIWEQSPSTRSWDCKAVLEETHTRTVRSCAWSPSGKLLATASFDATTAIWENVGGDFECVSTLEGHENEVKSLCWNASGSLLATCGRDKTVWIWEVMPGNEFECLQVLQGHTQDVKMVQWHPTMDILFSCSYDNTVKVWWSEDADGDWSCVQTLGESSNGHSSTVWSLSFNAKGDKLVTCSDDLTLKIWEADIIRMQSGDGYAPWNHLCTLSGYHDRTVFSVHWSRDGIIASGAADDAIRLFVESKDGLMNGPSYQLLFKKEKAHDMDINSVQWCPGEKHLLASASDDGTIKLWELATLP >EOY07539 pep chromosome:Theobroma_cacao_20110822:5:1905047:1905713:1 gene:TCM_021947 transcript:EOY07539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYAEFSQVSVLSMSNSEVFLVKDDGEGMELGIVKRPALEFREECAQATASSHNPDKEPQVDEEKKGGEPSVTASTESEQKKPCLGEFKAIDDDDGFKTPTSLDHKIPVIKQCPPAPRKPKPAPLPSNKRKASSSPITRRNLQLDLSLEIESLFPRPLLADLHRKVKKARNEDNQ >EOY07194 pep chromosome:Theobroma_cacao_20110822:5:808877:811832:-1 gene:TCM_021690 transcript:EOY07194 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ subfamily B member 1 isoform 3 MGVDYYKILQVDRNAKDEDLKKAYRKLAMKWHPDKNPKNKKDAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLKGHMPPPGAGGFPGGADGGPTMFRFNTRSPDDIFSEIFGFSSPFGGMGDMGGSRAGASGFPRGMFGEDIFASLRGGAGEGSTTMPRKGPAIERTLPCSLEDLYKGTTKKMKISRDVSDGDLLQWRKFLLLRLSQGGKKVQKSLFQRRETSNEGLFLLTLSSSLTRSLTVCSRGMAMILLLLRRYL >EOY07192 pep chromosome:Theobroma_cacao_20110822:5:807870:812443:-1 gene:TCM_021690 transcript:EOY07192 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ subfamily B member 1 isoform 3 MGVDYYKILQVDRNAKDEDLKKAYRKLAMKWHPDKNPKNKKDAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLKGHMPPPGAGGFPGGADGGPTMFRFNTRSPDDIFSEIFGFSSPFGGMGDMGGSRAGASGFPRGMFGEDIFASLRGGAGEGSTTMPRKGPAIERTLPCSLEDLYKGTTKKMKISRDVSDGIGRPTTVEEILTIEIKPGWKKGTKITFPEKGNEQRGVIPSDLVFIIDEKPHGVFKRDGNDLVVTQKISLVEALTGYTAQLTTLDGRNLTVPINNVISPTYEEVVKGEGMPIPKEPSKKGNLRIKFSIKFPTKLTTEQKTGLKRLMSSP >EOY07193 pep chromosome:Theobroma_cacao_20110822:5:809097:811832:-1 gene:TCM_021690 transcript:EOY07193 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ subfamily B member 1 isoform 3 MGVDYYKILQVDRNAKDEDLKKAYRKLAMKWHPDKNPKNKKDAEAKFKQISEAYDRAVYDQYGEEGLKGHMPPPGAGGFPGGADGGPTMFRFNTRSPDDIFSEIFGFSSPFGGMGDMGGSRAGASGFPRGMFGEDIFASLRGGAGEGSTTMPRKGPAIERTLPCSLEDLYKGTTKKMKISRDVSDGIGRPTTVEEILTIEIKPGWKKGTKITFPEKGNEQRGVIPSDLVFIIDEKPHGVFKRDGNDLVVTQKISLVEALTGYTAQLTTLDGRNLTVPINNVISPTYEEVVKGE >EOY09256 pep chromosome:Theobroma_cacao_20110822:5:27713404:27714408:1 gene:TCM_024669 transcript:EOY09256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVAINWDFWTTKNDIVFNGKIWKREQIFELFHLKVALWMNAKWPGHNASISDLARFPNEGIAPIMDSGTNGILRNEHGDVLALFSKAIDIRDSSKVELHAVKEVAFIFATLSEIGGWEIKHISRSANEEADSLAKECVSRPTDLLWINDSMIAQGATPASEMIDTV >EOY09265 pep chromosome:Theobroma_cacao_20110822:5:27810896:27813570:1 gene:TCM_024685 transcript:EOY09265 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-affinity K+ transporter 1, putative MHDTHLPPYTSFLFVNDDTEQYQQKFESRKRRGNFAENLTLSQLAYLAIFVNLICITERKSMKEDPLNFSGLNIMLEVVSAYGNVGLTTGCSCKRQLKPDANCRDKWYGFVGRRSDEGKIILIAVMFFGRLKKFNMDGGKACRF >EOY10798 pep chromosome:Theobroma_cacao_20110822:5:36594204:36601959:-1 gene:TCM_026112 transcript:EOY10798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 3 isoform 1 MSLFLSHTVLPSTPPLSRHRNAVVYAAVSAPKRKPSPRRKKRQSQQKKDDDNATLSSSNAAVSALEKSLRLTFMEELMQKARSRDVAGVSDVIYDMIAAGLTPGPRSFHGLVVAHVLNGDVEGAMQALRRELGVGVRPLHETLVSMIRLFGSKGLATKGLEVLAAMEKLNYDIRQAWIILVEELVRNKYMEDANNVFLKGAKGGLRATNELYDLMIEEDCKVGDHSNALEIAYEMEAAGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGEEYMKPDTETYNWVIQAYTRAESYDRVQDVAELLGMMVEDHKRVQPNVKTYALLVECFTKYCVVKEAIRHFRALKKFEGGTRVLQNEGNFDDPLSLYLRALCREGRIVELLEALQAMAKDNQPIPPRAMILSRKYRTLVSSWIEPLQEEAELGYEIDYIARYIEEGGLTGERKRWVPRRGKTPLDPDAAGFIYSNPMETSFKQRCLEDWKLHHRKLLKTLQNEGLAALGGASESDYVRVSERLKKIIKGPDQNVLKPKAASKMIVSELKEELEAQGLPIDGTRNVLYQRVQKARRINRSRGRPLWVPPVEEEEEEVDEEVDELISRIKLEEGNTEFWKRRFLGEHLNVDHVKPIDEGESEPADDELDDGDVVEDAAKDIEDDEADEEEEGEQAESQEGDRIKDKEVEAKKPLQMIGVQLLKDSDQTTTRSKKSRRRSSRVSVEDDDDDDWFPEDIFEAFQELRERKVFDVEDMYTIADAWGWTWEKELKNKPPRKWSQEWEVELAIQVMQKVIELGGTPTVGDCAMILRAAIKAPMPSAFLKILQTAHSLGFVFGSPLYDEVISICVDLGELDAAIAIVADLETAGIAVPDQTLDRVISARQTVDTAGGDVSSSSSSSTTSSSSSSTTSSSS >EOY10799 pep chromosome:Theobroma_cacao_20110822:5:36594348:36601801:-1 gene:TCM_026112 transcript:EOY10799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 3 isoform 1 MMQALRRELGVGVRPLHETLVSMIRLFGSKGLATKGLEVLAAMEKLNYDIRQAWIILVEELVRNKYMEDANNVFLKGAKGGLRATNELYDLMIEEDCKVGDHSNALEIAYEMEAAGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGEEYMKPDTETYNWVIQAYTRAESYDRVQDVAELLGMMVEDHKRVQPNVKTYALLVECFTKYCVVKEAIRHFRALKKFEGGTRVLQNEGNFDDPLSLYLRALCREGRIVELLEALQAMAKDNQPIPPRAMILSRKYRTLVSSWIEPLQEEAELGYEIDYIARYIEEGGLTGERKRWVPRRGKTPLDPDAAGFIYSNPMETSFKQRCLEDWKLHHRKLLKTLQNEGLAALGGASESDYVRVSERLKKIIKGPDQNVLKPKAASKMIVSELKEELEAQGLPIDGTRNVLYQRVQKARRINRSRGRPLWVPPVEEEEEEVDEEVDELISRIKLEEGNTEFWKRRFLGEHLNVDHVKPIDEGESEPADDELDDGDVVEDAAKDIEDDEADEEEEGEQAESQEGDRIKDKEVEAKKPLQMIGVQLLKDSDQTTTRSKKSRRRSSRVSVEDDDDDDWFPEDIFEAFQELRERKVFDVEDMYTIADAWGWTWEKELKNKPPRKWSQEWEVELAIQVMQKVIELGGTPTVGDCAMILRAAIKAPMPSAFLKILQTAHSLGFVFGSPLYDEVISICVDLGELDAAIAIVADLETAGIAVPDQTLDRVISARQTVDTAGGDVSSSSSSSTTSSSSSSTTSSSS >EOY07783 pep chromosome:Theobroma_cacao_20110822:5:2679636:2681963:1 gene:TCM_022112 transcript:EOY07783 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein isoform 2 MAHNNGSNNNDREESSPLLSKQVVEGDEKKEANGKKSKEATAEVAASPAVSTAAGYGWTADGLPLGHGSVVGEPMGRAQWDSPLLACLGRNDEFCSSDVEVCLLGSMAPCVLYGSNVERLGSVPGTFANHCLPYSGLYLIGNSFFGWNCLAPWFSYPSRTAIRRKFNLEAILYLLVNCFLPLHVVSPSCGDGGGGEVKCSVGTCEALNRLCGCCGSCVEDEMQREQCESACDFATHVFCHACALCQEGRELRRRLPHPGFNAQPVLVMMPPGEQTMGRGA >EOY07784 pep chromosome:Theobroma_cacao_20110822:5:2679650:2681892:1 gene:TCM_022112 transcript:EOY07784 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein isoform 2 MAHNNGSNNNDREESSPLLSKQVVEGDEKKEANGKKSKEATAEVAASPAVSTAAGYGWTADGLPLGHGSVVGEPMGRAQWDSPLLACLGRNDEFCSSDVEVCLLGSMAPCVLYGSNVERLGSVPGTFANHCLPYSGLYLIGNSFFGWNCLAPWFSYPSRTAIRRKFNLEGTCEALNRLCGCCGSCVEDEMQREQCESACDFATHVFCHACALCQEGRELRRRLPHPGFNAQPVLVMMPPGEQTMGRGA >EOY07027 pep chromosome:Theobroma_cacao_20110822:5:231174:233384:1 gene:TCM_021564 transcript:EOY07027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLHPQHILTQHHQIMHVHFPVSHNDKSTFNCDQQQFECVIIKIPISFFPFRIRFTRLMLQLNDRATWNLGYYVEG >EOY08269 pep chromosome:Theobroma_cacao_20110822:5:6038965:6042430:-1 gene:TCM_022611 transcript:EOY08269 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 7 MPRTREETEEQVDLDGDNEPEETIEEEVEYEEVEEEEEVEVEEEVEEEVEEEEVEEEEENVELADVHKGSDADEVMNDPETEEEKKKKHAELLALPPHGSEVYLGGIPHDASEEDLRRFCESIGEVTEVRIMKGKDSGEAKGYAFVTFRSKELASKAIEKLNNYELKGKKIKCSTSQAKNKLFIGNVPRHWGEEDMKKVVTEIGPGVNCIELLKDPMNPSRNRGFVFIEYYNHACAEYSRQKMLKPTFTLDNNAPTVSWADPRNAESSAATQVKALYVKNLPKDITQDRLKKLFEHHGKITKVVVPPAKAGKEDSRYGFVHFAERSSAMKALKNTEKYEIDGQLLECSLAKPQADQKSSGGSGPQNSTLNSSFLPPLGYGLFGGAYGGLGTGFGPAGFGQPMIYGRGPTPAGMAMMPMLLPDGRIGYVLQQPGMQPHSPPVQPRSSRGGASGSASGGRRGSSDSSRGRSRYNPY >EOY08268 pep chromosome:Theobroma_cacao_20110822:5:6038669:6043592:-1 gene:TCM_022611 transcript:EOY08268 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 7 MPRTREETEEQVDLDGDNEPEETIEEEVEYEEVEEEEEVEVEEEVEEEVEEEEVEEEEENVELADVHKGSDADEVMNDPETEEEKKKKHAELLALPPHGSEVYLGGIPHDASEEDLRRFCESIGEVTEVRIMKGKDSGEAKGYAFVTFRSKELASKAIEKLNNYELKGKKIKCSTSQAKNKLFIGNVPRHWGEEDMKKVVTEIGPGVNCIELLKDPMNPSRNRGFVFIEYYNHACAEYSRQKMLKPTFTLDNNAPTVSWADPRNAESSAATQVKALYVKNLPKDITQDRLKKLFEHHGKITKVVVPPAKAGKEDSRYGFVHFAERSSAMKALKNTEKYEIDGQLLECSLAKPQADQKSSGGSGPQNSTLNSSFLPSCRLWTADDLRSRSNSWSSSVTLCEKRKEMYLLELVLFFVNTMNGKCW >EOY08266 pep chromosome:Theobroma_cacao_20110822:5:6038764:6042924:-1 gene:TCM_022611 transcript:EOY08266 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 7 MPRTREETEEQVDLDGDNEPEETIEEEVEYEEVEEEEEVEVEEEVEEEVEEEEVEEEEENVELADVHKGSDADEVMNDPETEEEKKKKHAELLALPPHGSEVYLGGIPHDASEEDLRRFCESIGEVTEVRIMKGKDSGEAKGYAFVTFRSKELASKAIEKLNNYELKGKKIKCSTSQAKNKLFIGNVPRHWGEEDMKKVVTEIGPGVNCIELLKDPMNPSRNRGFVFIEYYNHACAEYSRQKMLKPTFTLDNNAPTVSWADPRNAESSAATQVKALYVKNLPKDITQDRLKKLFEHHGKITKVVVPPAKAGKEDSRYGFVHFAERSSAMKALKNTEKYEIDGQLLECSLAKPQADQKSSGGSGPQNSTLNSSFLPPLGYGLFGGAYGGLGTGFGPAGFGQPMIYGRGPTPAGMAMMPMLLPDGRIGYVLQQPGMQPHSPPVQPRSSRGGASGSASGGRRGSSDSSRGRSRYNPY >EOY08270 pep chromosome:Theobroma_cacao_20110822:5:6037262:6043746:-1 gene:TCM_022611 transcript:EOY08270 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 7 MPRTREETEEQVDLDGDNEPEETIEEEVEYEEVEEEEEVEVEEEVEEEVEEEEVEEEEENVELADVHKGSDADEVMNDPETEEEKKKKHAELLALPPHGSEVYLGGIPHDASEEDLRRFCESIGEVTEVRIMKGKDSGEAKGYAFVTFRSKELASKAIEKLNNYELKGKKIKCSTSQAKNKLFIGNVPRHWGEEDMKKVVTEIGPGVNCIELLKDPMNPSRNRGFVFIEYYNHACAEYSRQKMLKPTFTLDNNAPTVSWADPRNAESSAATQVKALYVKNLPKDITQDRLKKLFEHHGKITKVVVPPAKAGKEDSRYGFVHFAERSSAMKALKNTEKYEIDGQLLECSLAKPQADQKSSGGSGPQNSTLNSSFLPSCRLWTADDLRSRSNSWSSSVTLCEKRKEMYLLELVLFFVNTMNGKCW >EOY08271 pep chromosome:Theobroma_cacao_20110822:5:6039079:6043612:-1 gene:TCM_022611 transcript:EOY08271 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 7 MPRTREETEEQVDLDGDNEPEETIEEEVEYEEVEEEEEVEVEEEVEEEVEEEEVEEEEENVELADVHKGSDADEVMNDPETEEEKKKKHAELLALPPHGSEVYLGGIPHDASEEDLRRFCESIGEVTEVRIMKGKDSGEAKGYAFVTFRSKELASKAIEKLNNYELKGKKIKCSTSQAKNKLFIGNVPRHWGEEDMKKVVTEIGPGVNCIELLKDPMNPSRNRGFVFIEYYNHACAEYSRQKMLKPTFTLDNNAPTVSWADPRNAESSAATQVKALYVKNLPKDITQDRLKKLFEHHGKITKVVVPPAKAGKEDSRYGFVHFAERSSAMKALKNTEKYEIDGWICSLYLPVFLMEINGIFMMFCWIFSC >EOY08265 pep chromosome:Theobroma_cacao_20110822:5:6038965:6042430:-1 gene:TCM_022611 transcript:EOY08265 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 7 MPRTREETEEQVDLDGDNEPEETIEEEVEYEEVEEEEEVEVEEEVEEEVEEEEVEEEEENVELADVHKGSDADEVMNDPETEEEKKKKHAELLALPPHGSEVYLGGIPHDASEEDLRRFCESIGEVTEVRIMKGKDSGEAKGYAFVTFRSKELASKAIEKLNNYELKGKKIKCSTSQAKNKLFIGNVPRHWGEEDMKKVVTEIGPGVNCIELLKDPMNPSRNRGFVFIEYYNHACAEYSRQKMLKPTFTLDNNAPTVSWADPRNAESSAATQVKALYVKNLPKDITQDRLKKLFEHHGKITKVVVPPAKAGKEDSRYGFVHFAERSSAMKALKNTEKYEIDGQLLECSLAKPQADQKSSGGSGPQNSTLNSSFLPPLGYGLFGGAYGGLGTGFGPAGFGQPMIYGRGPTPAGMAMMPMLLPDGRIGYVLSGSWYSLEEIVFVFRRQQPGMQPHSPPVQPRSSRGGASGSASGGRRGSSDSSRGRSRYNPY >EOY08267 pep chromosome:Theobroma_cacao_20110822:5:6038965:6042430:-1 gene:TCM_022611 transcript:EOY08267 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 7 MPRTREETEEQVDLDGDNEPEETIEEEVEYEEVEEEEEVEVEEEVEEEVEEEEVEEEEENVELADVHKGSDADEVMNDPETEEEKKKKHAELLALPPHGSEVYLGGIPHDASEEDLRRFCESIGEVTEVRIMKGKDSGEAKGYAFVTFRSKELASKAIEKLNNYELKGKKIKCSTSQAKNKLFIGNVPRHWGEEDMKKVVTEIGPGVNCIELLKDPMNPSRNRGFVFIEYYNHACAEYSRQKMLKPTFTLDNNAPTVSWADPRNAESSAATQVKALYVKNLPKDITQDRLKKLFEHHGKITKVVVPPAKAGKEDSRYGFVHFAERSSAMKALKNTEKYEIDGQLLECSLAKPQADQKSSGGSGPQNSTLNSSFLPPLGYGLFGGAYGGLGTGFGPAGFGQQPMIYGRGPTPAGMAMMPMLLPDGRIGYVLQQPGMQPHSPPVQPRSSRGGASGSASGGRRGSSDSSRGRSRYNPY >EOY07920 pep chromosome:Theobroma_cacao_20110822:5:3340713:3343737:1 gene:TCM_022242 transcript:EOY07920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein MGGKCPNRKVKKRRYSHKTARRDKFLGDSEKKPLPRDEDLPGMGQYYCLHCDRYFANVTVRDEHYKTKRHKKRLKQMSGPAPHTQLDAELAAGMGMPDNGPTLMSINRSCLHTIMLLVDGA >EOY10020 pep chromosome:Theobroma_cacao_20110822:5:32275206:32284224:1 gene:TCM_025391 transcript:EOY10020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 6 MEAQSAVTDKKKMLANYVPIYVMLPLGIVSNDNVLLDKDGLKKQLLKLKEAGIDGVMSDVWWGIVESPGPKQYDWSAYRSLVELVKECGLKLQAIMSFHQCGGNVGDEVYIPIPQWVLDIGETDPDIFYTNRAGNRNKEYLTLGVDHQAIFNGRTAIQIYSDYMKSFREVMSDLIEAGVIIDIEVGLGAAGELRYPSYPQSQGWVFPGIGEFQCYDKYLKAAFKEAATKAGHPEWELPDNAGEYNDTPESTEFFGINGTYLTVKGKFFLTWYSNMLLCHGDDTLDEANKAFLGCELKLAAKVSGIHWWYKSDSHAAELTAGYYNLNDRDGYRPIARMLSRHDRAILNFTCLEMRDSEQDAAAKSGPQELVQQVLSGGWREHIEVAGENALSRYDSDAYNQMLLNARPNGVTEEGRPQMHTVTYLRSSDDLFEEENFELFKIFVKKMHADQEYCSDPKMYGQELGPLQRSKPKIPIDDLLDATERLEPFPWDEETDMKVDG >EOY10168 pep chromosome:Theobroma_cacao_20110822:5:33328513:33333261:-1 gene:TCM_025545 transcript:EOY10168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein, putative isoform 1 MAEPFAFNIAENVLETLTALVVQEISLACGVQSDFRKLREMLATVKPWILDAEEKQARNNQLQDWVQKLKDACYDAEDVLDEFEVESLRGQVLEQKCIGNKVCNFFSSSNPLVFRLKMAHKIKKITERFSEIADLRDRFHLVEKHDDTMHVVLSDRETHSFVQASDVIGRDIDKKKIVESLLQAPTGGEEENISVLTIVGIGGLGKTALAKLVFNDVNVAEFFQLKMWVCVSNETDLKRLLEKVIKVANGGDRDYGNMSLEKLQKGLRGCLNGKTYLLILDDVCWVDDKPWSKLKPLLMGGAKGSKILVTARSNLVASNMGTIHNLKALPEEESFSLFLKVAFRKGGKEQHPHLVQIGREIVKKCGGNPLAVKTLASLLYSTTSKHEWEKVRDNEMWKLMQDKNDLLPVLKLSYDQLPHYLKPCFVYCSVYPKDYRFASFELVQFWMAHGLLKTSNPDEDPEETGRRYLNELSSRYFFQDFETLGFIQHFKMHDLLHDLALLIAKNECSVVKSQGQDVGEGVRHLYFLDLDIPAESFSRYLDNCCHVRTFRFLYIKEGSRNDFFIQTFLSRFQRLRVLDLSESTFEVLPRGIGNLKHLRFLDLRGNRNIKKLPNSICKLQNLQTLILASCNGIEEFPKDMSQLIMLRALSITTNLTVLPENVVNRLKSLRHLAIGHCENLEHLPEGVRNLTQLRTLVIGMCKNLISLPHGLNCLTNLENLAILHCERLELEGKEDNSQEQHIAQGLRLRRLLLGGLPKLKTLPKWLLIQGSGSHTLSLEMLEIFGCLELASLPEVMQCLTALVELKIEYCPLLSERCRRKTGEDWPKIAHVPYIYLDGNEIGSNRYHKTEGDHRGNQSRSSTTTVISTNISLENDWKKEER >EOY10172 pep chromosome:Theobroma_cacao_20110822:5:33329936:33333185:-1 gene:TCM_025545 transcript:EOY10172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein, putative isoform 1 MAEPFAFNIAENVLETLTALVVQEISLACGVQSDFRKLREMLATVKPWILDAEEKQARNNQLQDWVQKLKDACYDAEDVLDEFEVESLRGQVLEQKCIGNKVCNFFSSSNPLVFRLKMAHKIKKITERFSEIADLRDRFHLVEKHDDTMHVVLSDRETHSFVQASDVIGRDIDKKKIVESLLQAPTGGEEENISVLTIVGIGGLGKTALAKLVFNDVNVAEFFQLKMWVCVSNETDLKRLLEKVIKVANGGDRDYGNMSLEKLQKGLRGCLNGKTYLLILDDVCWVDDKPWSKLKPLLMGGAKGSKILVTARSNLVASNMGTIHNLKALPEEESFSLFLKVAFRKGGKEQHPHLVQIGREIVKKCGGNPLAVKTLASLLYSTTSKHEWEKVRDNEMWKLMQDKNDLLPVLKLSYDQLPHYLKPCFVYCSVYPKDYRFASFELVQFWMAHGLLKTSNPDEDPEETGRRYLNELSSRYFFQDFETLGFIQHFKMHDLLHDLALLIAKNECSVVKSQGQDVGEGVRHLYFLDLDIPAESFSRYLDNCCHVRTFRFLYIKEGSRNDFFIQTFLSRFQRLRVLDLSESTFEVLPRGIGNLKHLRFLDLRGNRNIKKLPNSICKLQNLQTLILASCNGIEEFPKDMSQLIMLRALSITTNLTVLPENVVNRLKSLRHLAIGHCENLEHLPEGVRNLTQLRTLVIGMCKNLISLPHGLNCLTNLENLAILHCERLELEGKEDNSQEQHIAQGLRLRRLLLGGLPKLKTLPKWLLIQGSGSHTLSLEMLEIFGCLELASLPEVMQCLTALVELKIEYCPLLSERCRRKTGEDWPKIAHVPYIYLDGNEIGSNRYHKTEGDHRGNQSRSSTTTVISTNISLENDWKKEER >EOY10169 pep chromosome:Theobroma_cacao_20110822:5:33328512:33333261:-1 gene:TCM_025545 transcript:EOY10169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein, putative isoform 1 MAEPFAFNIAENVLETLTALVVQEISLACGVQSDFRKLREMLATVKPWILDAEEKQARNNQLQDWVQKLKDACYDAEDVLDEFEVESLRGQVLEQKCIGNKVCNFFSSSNPLVFRLKMAHKIKKITERFSEIADLRDRFHLVEKHDDTMHVVLSDRETHSFVQASDVIGRDIDKKKIVESLLQAPTGGEEENISVLTIVGIGGLGKTALAKLVFNDVNVAEFFQLKMWVCVSNETDLKRLLEKVIKVANGGDRDYGNMSLEKLQKGLRGCLNGKTYLLILDDVCWVDDKPWSKLKPLLMGGAKGSKILVTARSNLVASNMGTIHNLKALPEEESFSLFLKVAFRKGGKEQHPHLVQIGREIVKKCGGNPLAVKTLASLLYSTTSKHEWEKVRDNEMWKLMQDKNDLLPVLKLSYDQLPHYLKPCFVYCSVYPKDYRFASFELVQFWMAHGLLKTSNPDEDPEETGRRYLNELSSRYFFQDFETLGFIQHFKMHDLLHDLALLIAKNECSVVKSQGQDVGEGVRHLYFLDLDIPAESFSRYLDNCCHVRTFRFLYIKEGSRNDFFIQTFLSRFQRLRVLDLSESTFEVLPRGIGNLKHLRFLDLRGNRNIKKLPNSICKLQNLQTLILASCNGIEEFPKDMSQLIMLRALSITTNLTVLPENVVNRLKSLRHLAIGHCENLEHLPEGVRNLTQLRTLVIGMCKNLISLPHGLNCLTNLENLAILHCERLELEGKEDNSQEQHIAQGLRLRRLLLGGLPKLKTLPKWLLIQGSGSHTLSLEMLEIFGCLELASLPEVMQCLTALVELKIEYCPLLSERCRRKTGEDWPKIAHVPYIYLDGNEIGSNRYHKTEGDHRGNQSRSSTTTVISTNISLENDWKKEER >EOY10170 pep chromosome:Theobroma_cacao_20110822:5:33327179:33333231:-1 gene:TCM_025545 transcript:EOY10170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein, putative isoform 1 MAEPFAFNIAENVLETLTALVVQEISLACGVQSDFRKLREMLATVKPWILDAEEKQARNNQLQDWVQKLKDACYDAEDVLDEFEVESLRGQVLEQKCIGNKVCNFFSSSNPLVFRLKMAHKIKKITERFSEIADLRDRFHLVEKHDDTMHVVLSDRETHSFVQASDVIGRDIDKKKIVESLLQAPTGGEEENISVLTIVGIGGLGKTALAKLVFNDVNVAEFFQLKMWVCVSNETDLKRLLEKVIKVANGGDRDYGNMSLEKLQKGLRGCLNGKTYLLILDDVCWVDDKPWSKLKPLLMGGAKGSKILVTARSNLVASNMGTIHNLKALPEEESFSLFLKVAFRKGGKEQHPHLVQIGREIVKKCGGNPLAVKTLASLLYSTTSKHEWEKVRDNEMWKLMQDKNDLLPVLKLSYDQLPHYLKPCFVYCSVYPKDYRFASFELVQFWMAHGLLKTSNPDEDPEETGRRYLNELSSRYFFQDFETLGFIQHFKMHDLLHDLALLIAKNECSVVKSQGQDVGEGVRHLYFLDLDIPAESFSRYLDNCCHVRTFRFLYIKEGSRNDFFIQTFLSRFQRLRVLDLSESTFEVLPRGIGNLKHLRFLDLRGNRNIKKLPNSICKLQNLQTLILASCNGIEEFPKDMSQLIMLRALSITTNLTVLPENVVNRLKSLRHLAIGHCENLEHLPEGVRNLTQLRTLVIGMCKNLISLPHGLNCLTNLENLAILHCERLELEGKEDNSQEQHIAQGLRLRRLLLGGLPKLKTLPKWLLIQGSGSHTLSLEMLEIFGCLELASLPEVMQCLTALVELKIEYCPLLSERCRRKTGEDWPKIAHVPYIYLDGNEIGSNRYHKTEGDHRGNQSRSSTTTVISTNISLENDWKKEER >EOY10171 pep chromosome:Theobroma_cacao_20110822:5:33329627:33333261:-1 gene:TCM_025545 transcript:EOY10171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein, putative isoform 1 MAEPFAFNIAENVLETLTALVVQEISLACGVQSDFRKLREMLATVKPWILDAEEKQARNNQLQDWVQKLKDACYDAEDVLDEFEVESLRGQVLEQKCIGNKVCNFFSSSNPLVFRLKMAHKIKKITERFSEIADLRDRFHLVEKHDDTMHVVLSDRETHSFVQASDVIGRDIDKKKIVESLLQAPTGGEEENISVLTIVGIGGLGKTALAKLVFNDVNVAEFFQLKMWVCVSNETDLKRLLEKVIKVANGGDRDYGNMSLEKLQKGLRGCLNGKTYLLILDDVCWVDDKPWSKLKPLLMGGAKGSKILVTARSNLVASNMGTIHNLKALPEEESFSLFLKVAFRKGGKEQHPHLVQIGREIVKKCGGNPLAVKTLASLLYSTTSKHEWEKVRDNEMWKLMQDKNDLLPVLKLSYDQLPHYLKPCFVYCSVYPKDYRFASFELVQFWMAHGLLKTSNPDEDPEETGRRYLNELSSRYFFQDFETLGFIQHFKMHDLLHDLALLIAKNECSVVKSQGQDVGEGVRHLYFLDLDIPAESFSRYLDNCCHVRTFRFLYIKEGSRNDFFIQTFLSRFQRLRVLDLSESTFEVLPRGIGNLKHLRFLDLRGNRNIKKLPNSICKLQNLQTLILASCNGIEEFPKDMSQLIMLRALSITTNLTVLPENVVNRLKSLRHLAIGHCENLEHLPEGVRNLTQLRTLVIGMCKNLISLPHGLNCLTNLENLAILHCERLELEGKEDNSQEQHIAQGLRLRRLLLGGLPKLKTLPKWLLIQGSGSHTLSLEMLEIFGCLELASLPEVMQCLTALVELKIEYCPLLSERCRRKTGEDWPKIAHVPYIYLDGNEIGSNRYHKTEGDHRGNQSRSSTTTVISTNISLENDWKKEER >EOY10167 pep chromosome:Theobroma_cacao_20110822:5:33328513:33333261:-1 gene:TCM_025545 transcript:EOY10167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein, putative isoform 1 MAEPFAFNIAENVLETLTALVVQEISLACGVQSDFRKLREMLATVKPWILDAEEKQARNNQLQDWVQKLKDACYDAEDVLDEFEVESLRGQVLEQKCIGNKVCNFFSSSNPLVFRLKMAHKIKKITERFSEIADLRDRFHLVEKHDDTMHVVLSDRETHSFVQASDVIGRDIDKKKIVESLLQAPTGGEEENISVLTIVGIGGLGKTALAKLVFNDVNVAEFFQLKMWVCVSNETDLKRLLEKVIKVANGGDRDYGNMSLEKLQKGLRGCLNGKTYLLILDDVCWVDDKPWSKLKPLLMGGAKGSKILVTARSNLVASNMGTIHNLKALPEEESFSLFLKVAFRKGGKEQHPHLVQIGREIVKKCGGNPLAVKTLASLLYSTTSKHEWEKVRDNEMWKLMQDKNDLLPVLKLSYDQLPHYLKPCFVYCSVYPKDYRFASFELVQFWMAHGLLKTSNPDEDPEETGRRYLNELSSRYFFQDFETLGFIQHFKMHDLLHDLALLIAKNECSVVKSQGQDVGEGVRHLYFLDLDIPAESFSRYLDNCCHVRTFRFLYIKEGSRNDFFIQTFLSRFQRLRVLDLSESTFEVLPRGIGNLKHLRFLDLRGNRNIKKLPNSICKLQNLQTLILASCNGIEEFPKDMSQLIMLRALSITTNLTVLPENVVNRLKSLRHLAIGHCENLEHLPEGVRNLTQLRTLVIGMCKNLISLPHGLNCLTNLENLAILHCERLELEGKEDNSQEQHIAQGLRLRRLLLGGLPKLKTLPKWLLIQGSGSHTLSLEMLEIFGCLELASLPEVMQCLTALVELKIEYCPLLSERCRRKTGEDWPKIAHVPYIYLDGNEIGSNRYHKTEGDHRGNQSRSSTTTVISTNISLENDWKKEER >EOY07411 pep chromosome:Theobroma_cacao_20110822:5:1477767:1479769:1 gene:TCM_021856 transcript:EOY07411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MTPLSKKTMQHFTHSHPLTEVYADTEFLCDGCRTIGIGTRYRCESCHFDLHDHCATCRSELSSFMHEHDLKLVVFRPQAACQNGRFCDLCGDPIEGIFYHCKLCEFDVHPLCTQLPEYVRHVMHKDHPLRLQRSVPGWCMVCKDTCPSWHYRCGLCCFDIHFECVLAPCEVAETSTPTPPSLKRPVPPPPSPSASPSFDACYAYGSGAIPPPPYFASGGYNVNSHPHGNSQGHGVYGAIPPPPYFEYGYGVPLAPPYFGPHPHGYGIPPSSGRYNVNSHPHSDSQVHGGWGKFR >EOY10628 pep chromosome:Theobroma_cacao_20110822:5:35621477:35625955:-1 gene:TCM_025943 transcript:EOY10628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MEDIKREIKLGELKRESLRKSLEQARDQASSILLFTLQWKDLEKHFDLIQQNIEKRVEVVELQEKELETEKRALKERQEVICLKENELSLLNEKIEECNRERKLKHTEANSTQKLLEECSLQFTLKEEDLDSVRKLVEGCSKELSLKKEELCSVNKLMSECLEKLEGKEKQLGLVEEQIEKSSKELGVTEGKLDLVQSLVEECEGKLESKERELGLVTRRVDECCNEICLKNNQLDHLRRSIEEKSKELEFIEMDLDLKEHMMNEYDEVLELKEKELECLRNSVKECSNQLEMKENELVRSQEVIDEHCKQLNEQEKILNSIKSLIQEYNEELEAKEEKYEALDKSVRDQAAKVKSKENELGLIEETIVDRSAELHSRDIEFHSLQTTIRRNQKELESTKKELNSVKARVRGYSEDLELKEQEFNAIQMCIEEHRQELCLKEKQLSSVQISIKGCSKQLKVEEERLITIKNSILECTKELESKQQQLEVLKNSQGQLSDMVGSKEKQLNSIEKPCSNRLQEANVKEKYLDSLKRSLEERLEKLDLERKQFEARVKEFEVQAKQFDSVQKAVEERSKKLELKEKQLTNALHSQVRSENPSSFSLQVLGITNTETVNPNILNQIKTEQLDNFMISNAHETSSADLGVDATMDGRSLQGINNEHLYEPDLRQNEVLVALQMSPDPAKFVLDLMLGTCSEHQKKGGTGFEESVLKIYVLLLEQLLQVSPLVQPNVKADAMKLAIEWKAKLSSSAQNYIEVLGFLQFVAAFGLVSSFNKDEIFKLLLTAAQHQQAQNVCRVLGFTDMIPDFICSLIARKQYIEAVRFVCAFDCKDKFPPELLFNLFWEDVNGVAHEKCKMGKNSSEVRI >EOY10630 pep chromosome:Theobroma_cacao_20110822:5:35621760:35625955:-1 gene:TCM_025943 transcript:EOY10630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MEDIKREIKLGELKRESLRKSLEQARDQASSILLFTLQWKDLEKHFDLIQQNIEKRVEVVELQEKELETEKRALKERQEVICLKENELSLLNEKIEECNRERKLKHTEANSTQKLLEECSLQFTLKEEDLDSVRKLVEGCSKELSLKKEELCSVNKLMSECLEKLEGKEKQLGLVEEQIEKSSKELGVTEGKLDLVQSLVEECEGKLESKERELGLVTRRVDECCNEICLKNNQLDHLRRSIEEKSKELEFIEMDLDLKEHMMNEYDEVLELKEKELECLRNSVKECSNQLEMKENELVRSQEVIDEHCKQLNEQEKILNSIKSLIQEYNEELEAKEEKYEALDKSVRDQAAKVKSKENELGLIEETIVDRSAELHSRDIEFHSLQTTIRRNQKELESTKKELNSVKARVRGYSEDLELKEQEFNAIQMCIEEHRQELCLKEKQLSSVQISIKGCSKQLKVEEERLITIKNSILECTKELESKQQQLEVLKNSQGQLSDMVGSKEKQLNSIEKPCSNRLQEANVKEKYLDSLKRSLEERLEKLDLERKQFEARVKEFEVQAKQFDSVQKAVEERSKKLELKEKQLTNALHSQVRSENPSSFSLQVLGITNTETVNPNILNQIKTEQLDNFMISNAHETSSADLGVDATMDGRSLQGINNEHLYEPDLRQNEVLVALQMSPDPAKFVLDLMLGTCSEHQKKGGTGFEESVLKIYVLLLEQLLQVSPLVQPNVKADAMKLAIEWKAKLSSSAQNYIEVLGFLQFVAAFGLVSSFNKDEIFKLLLTAAQHQQAQNVCRVLGFTDMIPV >EOY10629 pep chromosome:Theobroma_cacao_20110822:5:35620427:35626280:-1 gene:TCM_025943 transcript:EOY10629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MEDIKREIKLGELKRESLRKSLEQARDQASSILLFTLQWKDLEKHFDLIQQNIEKRVEVVELQEKELETEKRALKERQEVICLKENELSLLNEKIEECNRERKLKHTEANSTQKLLEECSLQFTLKEEDLDSVRKLVEGCSKELSLKKEELCSVNKLMSECLEKLEGKEKQLGLVEEQIEKSSKELGVTEGKLDLVQSLVEECEGKLESKERELGLVTRRVDECCNEICLKNNQLDHLRRSIEEKSKELEFIEMDLDLKEHMMNEYDEVLELKEKELECLRNSVKECSNQLEMKENELVRSQEVIDEHCKQLNEQEKILNSIKSLIQEYNEELEAKEEKYEALDKSVRDQAAKVKSKENELGLIEETIVDRSAELHSRDIEFHSLQTTIRRNQKELESTKKELNSVKARVRGYSEDLELKEQEFNAIQMCIEEHRQELCLKEKQLSSVQISIKGCSKQLKVEEERLITIKNSILECTKELESKQQQLEVLKNSQGQLSDMVGSKEKQLNSIEKPCSNRLQEANVKEKYLDSLKRSLEERLEKLDLERKQFEARVKEFEVQAKQFDSVQKAVEERSKKLELKEKQLTNALHSQVRSENPSSFSLQVLGITNTETVNPNILNQIKTEQLDNFMISNAHETSSADLGVDATMDGRSLQGINNEHLYEPDLRQNEVLVALQMSPDPAKFVLDLMLGTCSEHQKKGGTGFEESVLKIYVLLLEQLLQVSPLVQPNVKADAMKLAIEWKAKLSSSAQNYIEVLGFLQFVAAFGLVSSFNKDEIFKLLLTAAQHQQAQNVCRVLGFTDMIPGQVPTRTAL >EOY10627 pep chromosome:Theobroma_cacao_20110822:5:35620950:35625826:-1 gene:TCM_025943 transcript:EOY10627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MEDIKREIKLGELKRESLRKSLEQARDQASSILLFTLQWKDLEKHFDLIQQNIEKRVEVVELQEKELETEKRALKERQEVICLKENELSLLNEKIEECNRERKLKHTEANSTQKLLEECSLQFTLKEEDLDSVRKLVEGCSKELSLKKEELCSVNKLMSECLEKLEGKEKQLGLVEEQIEKSSKELGVTEGKLDLVQSLVEECEGKLESKERELGLVTRRVDECCNEICLKNNQLDHLRRSIEEKSKELEFIEMDLDLKEHMMNEYDEVLELKEKELECLRNSVKECSNQLEMKENELVRSQEVIDEHCKQLNEQEKILNSIKSLIQEYNEELEAKEEKYEALDKSVRDQAAKVKSKENELGLIEETIVDRSAELHSRDIEFHSLQTTIRRNQKELESTKKELNSVKARVRGYSEDLELKEQEFNAIQMCIEEHRQELCLKEKQLSSVQISIKGCSKQLKVEEERLITIKNSILECTKELESKQQQLEVLKNSQGQLSDMVGSKEKQLNSIEKPCSNRLQEANVKEKYLDSLKRSLEERLEKLDLERKQFEARVKEFEVQAKQFDSVQKAVEERSKKLELKEKQLTNALHSQVRSENPSSFSLQVLGITNTETVNPNILNQIKTEQLDNFMISNAHETSSADLGVDATMDGRSLQGINNEHLYEPDLRQNEVLVALQMSPDPAKFVLDLMLGTCSEHQKKGGTGFEESVLKIYVLLLEQLLQVSPLVQPNVKADAMKLAIEWKAKLSSSAQNYIEVLGFLQFVAAFGLVSSFNKDEIFKLLLTAAQHQQAQNVCRVLGFTDMIPDFICSLIARKQYIEAVRFVCAFDCKDKFPPELLFNLFWEDVNGVAHEKCKMGKNSSEVREKASDEQIAALKSAIECIKNCKLDSSMPVEVMEKCIARLEQQKMNRRFSALAPAPAIQPKLQGQTNRNIGPSIPRNQSNPVATVPGQFQGGICASTRGSKLQELRNKRAWTNGPAIRSYTPQVPTVNKPYIPSASHHELSVPLNRDVAHFGLAANLGHSSNLMTRRVNIAEEQCLTHKRPKQW >EOY08356 pep chromosome:Theobroma_cacao_20110822:5:6796785:6802454:-1 gene:TCM_022711 transcript:EOY08356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGQRKTKGRTKEEKKNNNPRWENSRENWWISSNQAIKGGESSDKGKEIVPEDR >EOY07197 pep chromosome:Theobroma_cacao_20110822:5:813376:823639:-1 gene:TCM_021691 transcript:EOY07197 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein isoform 2 MVGIMNPVSREKLASLFNSVKLAVDLASKLDLSHQLKQTLLEEDAAALSEFLPRLFDLYSDPSGPVRKLATEIIGEIGVKNLDFVPEIAPFLITVLEDATPAVARQSIACSIDLFRLTLEKIAIQGLYSSELDSDLEASWSWMLKLKEKIYSIAFQPGSGGIRLVALKFVEAVILLYTPDPTGSPEAPPDEGTPVEFNATWLCGGHPLLNVGDLSIEASQQLGLLLDQLRFPIVKSLTNSVIVVLINSLSGIAKKRPAYYGRILSVLLGLDSPSVVIKGVHVYGAHHALKNALLSCLKCTHPSAAPWRDRVLGALREMKAGGLAEPALNQVLKTNGSVEEGKDDSSVIKEEKPLVRARDAAGSNMGRKRSVTEDSSDLAENDDVSGKRVRSTPSVSEESTKELNRNTTTSQGDICSTQPTINKGDVDTGPVQQLVAMFGALVAQGEKAVGSLGILISSISADLLAEVVMANMRNLPPDHPHTDGDDELLENMSIVGSDTQAKYPPSFLADVVSLSSTFPPIASLLNSQLSVSNKIVKTEGEEEVDVVAGPNNAVAYAGMAHEAEHALLATDLPVSSDIVLPGKVKIDLPPPSDIHDVGYLESEIPGLDSSVRTDGLSDTQTASSLVSTDLEDASQEQVTSFGGRSPLHVLPSISTDRSEELSPKAAVMDSNSLISSTATSVVSSYIALPKMSAPVVNLSDDQKDDLQKLAFIRIIEAYKQIALSGSLQVHFSLLAYLGVELPSELDLQKLLREHVLSDYINHQGHELTLRVLYRLFGEAEEESDFFSCTTAASAYETFLLAVAETLRDSFPPSDKSLSKLLGEAPRLPKSVLNLLECLCSPGISEKAENESQSGDRVTQGLSTVWSLILLRPPIRDVCLKIALKSAVHHLEEVRMKAIRLVANKLYPLSSIAQQIEDFAREMLLSVVNGDGIERTDAEGSITEPQKESDSEKPSNEHQSMSSIGKDISADVHQSETSQSVSSLSVPEAQQSMSLYFALCTKKHSLFRQIFVIYKSASKAVKQAIHRHIPILVRTMGSSSDLLEIISDPPSGSESLLMQVLHTLTDGTVPSAELMFTIKKLFDSKLKDVEILIPVLPFLPRDEVLLLFPHLVNLPLDKFQAALTRLLQGSSHSAPALSPAEVLIAIHGIDPERDGIPLKKVTDACNACFEQRQIFTQQVLAKVLNQLVEQIPLPLLFMRTVLQAIGAFPALVDFIMEILSRLVSKQIWKYPKLWVGFLKCALLTKPQSFSVLLQLPPPQLENALNRTAALKAPLVAHASQQNIRTSLPRSILAVLG >EOY07198 pep chromosome:Theobroma_cacao_20110822:5:813726:823305:-1 gene:TCM_021691 transcript:EOY07198 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein isoform 2 MVGIMNPVSREKLASLFNSVKLAVDLASKLDLSHQLKQTLLEEDAAALSEFLPRLFDLYSDPSGPVRKLATEIIGEIGVKNLDFVPEIAPFLITVLEDATPAVARQSIACSIDLFRLTLEKIAIQGLYSSELDSDLEASWSWMLKLKEKIYSIAFQPGSGGIRLVALKFVEAVILLYTPDPTGSPEAPPDEGTPVEFNATWLCGGHPLLNVGDLSIEASQQLGLLLDQLRFPIVKSLTNSVIVVLINSLSGIAKKRPAYYGRILSVLLGLDSPSVVIKGVHVYGAHHALKNALLSCLKCTHPSAAPWRDRVLGALREMKAGGLAEPALNQVLKTNGSVEEGKDDSSVIKEEKPLVRARDAAGSNMGRKRSVTEDSSDLAENDDVSGKRVRSTPSVSEESTKELNRNTTTSQGDICSTQPTINKGDVDTGPVQQLVAMFGALVAQGEKAVGSLGILISSISADLLAEVVMANMRNLPPDHPHTDGDDELLENMSIVGSDTQAKYPPSFLADVVSLSSTFPPIASLLNSQLSVSNKIVKTEGEEEVDVVAGPNNAVAYAGMAHEAEHALLATDLPVSSDIVLPGKVKIDLPPPSDIHDVGYLESEIPGLDSSVRTDGLSDTQTASSLVSTDLEDASQEQVTSFGGRSPLHVLPSISTDRSEELSPKAAVMDSNSLISSTATSVVSSYIALPKMSAPVVNLSDDQKDDLQKLAFIRIIEAYKQIALSGSLQVHFSLLAYLGVELPSELDLQKLLREHVLSDYINHQGHELTLRVLYRLFGEAEEESDFFSCTTAASAYETFLLAVAETLRDSFPPSDKSLSKLLGEAPRLPKSVLNLLECLCSPGISEKAENESQSGDRVTQGLSTVWSLILLRPPIRDVCLKIALKSAVHHLEEVRMKAIRLVANKLYPLSSIAQQIEDFAREMLLSVVNGDGIERTDAEGSITEPQKESDSEKPSNEHQSMSSIGKDISADVHQSETSQSVSSLSVPEAQQSMSLYFALCTKKHSLFRQIFVIYKSASKAVKQAIHRHIPILVRTMGSSSDLLEIISDPPSGSESLLMQVLHTLTDGTVPSAELMFTIKKLFDSKLKDVEILIPVLPFLPRDEVLLLFPHLVNLPLDKFQAALTRLLQGSSHSAPALSPAEVLIAIHGIDPERDGIPLKKVTDACNACFEQRQIFTQQVLAKVLNQLVEQIPLPLLFMRTVLQAIGAFPALVDFIMEILSRLVSKQIWKYPKLWVGFLKCALLTKPQSFSVLLQVHVSFINYFKMHF >EOY07199 pep chromosome:Theobroma_cacao_20110822:5:815853:823639:-1 gene:TCM_021691 transcript:EOY07199 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein isoform 2 MVGIMNPVSREKLASLFNSVKLAVDLASKLDLSHQLKQTLLEEDAAALSEFLPRLFDLYSDPSGPVRKLATEIIGEIGVKNLDFVPEIAPFLITVLEDATPAVARQSIACSIDLFRLTLEKIAIQGLYSSELDSDLEASWSWMLKLKEKIYSIAFQPGSGGIRLVALKFVEAVILLYTPDPTGSPEAPPDEGTPVEFNATWLCGGHPLLNVGDLSIEASQQLGLLLDQLRFPIVKSLTNSVIVVLINSLSGIAKKRPAYYGRILSVLLGLDSPSVVIKGVHVYGAHHALKNALLSCLKCTHPSAAPWRDRVLGALREMKAGGLAEPALNQVLKTNGSVEEGKDDSSVIKEEKPLVRARDAAGSNMGRKRSVTEDSSDLAENDDVSGKRVRSTPSVSEESTKELNRNTTTSQGDICSTQPTINKGDVDTGPVQQLVAMFGALVAQGEKAVGSLGILISSISADLLAEVVMANMRNLPPDHPHTDGDDELLENMSIVGSDTQAKYPPSFLADVVSLSSTFPPIASLLNSQLSVSNKIVKTEGEEEVDVVAGPNNAVAYAGMAHEAEHALLATDLPVSSDIVLPGKVKIDLPPPSDIHDVGYLESEIPGLDSSVRTDGLSDTQTASSLVSTDLEDASQEQVTSFGGRSPLHVLPSISTDRSEELSPKAAVMDSNSLISSTATSVVSSYIALPKMSAPVVNLSDDQKDDLQKLAFIRIIEAYKQIALSGSLQVHFSLLAYLGVELPSELDLQKLLREHVLSDYINHQGHELTLRVLYRLFGEAEEESDFFSCTTAASAYETFLLAVAETLRDSFPPSDKSLSKLLGEAPRLPKSVLNLLECLCSPGISEKAENESQSGDRVTQGLSTVWSLILLRPPIRDVCLKIALKSAVHHLEEVRMKAIRLVANKLYPLSSIAQQIEDFAREMLLSVVNGDGIERTDAEGSITEPQKESDSEKPSNEHQSMSSIGKDISADVHQSETSQSVSSLSVPEAQQSMSLYFALCTKKHSLFRQIFVIYKSASKAVKQAIHRHIPILVRTMGSSSDLLEIISDPPSGSESLLMQVLHTLTDGTVPSAELMFTIKKLFDSKLKDVEILIPVLPFLPRDEVFLHSLIISFLIVYEHGCFAEEC >EOY07196 pep chromosome:Theobroma_cacao_20110822:5:813376:823639:-1 gene:TCM_021691 transcript:EOY07196 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein isoform 2 MVGIMNPVSREKLASLFNSVKLAVDLASKLDLSHQLKQTLLEEDAAALSEFLPRLFDLYSDPSGPVRKLATEIIGEIGVKNLDFVPEIAPFLITVLEDATPAVARQSIACSIDLFRLTLEKIAIQGLYSSELDSDLEASWSWMLKLKEKIYSIAFQPGSGGIRLVALKFVEAVILLYTPDPTGSPEAPPDEGTPVEFNATWLCGGHPLLNVGDLSIEASQQLGLLLDQLRFPIVKSLTNSVIVVLINSLSGIAKKRPAYYGRILSVLLGLDSPSVVIKGVHVYGAHHALKNALLSCLKCTHPSAAPWRDRVLGALREMKAGGLAEPALNQVLKTNGSVEEGKDDSSVIKEEKPLVRARDAAGSNMGRKRSVTEDSSDLAENDDVSGKRVRSTPSVSEESTKELNRNTTTSQGDICSTQPTINKGDVDTGPVQQLVAMFGALVAQGEKAVGSLGILISSISADLLAEVVMANMRNLPPDHPHTDGDDELLENMSIVGSDTQAKYPPSFLADVVSLSSTFPPIASLLNSQLSVSNKIVKTEGEEEVDVVAGPNNAVAYAGMAHEAEHALLATDLPVSSDIVLPGKVKIDLPPPSDIHDVGYLESEIPGLDSSVRTDGLSDTQTASSLVSTDLEDASQEQVTSFGGRSPLHVLPSISTDRSEELSPKAAVMDSNSLISSTATSVVSSYIALPKMSAPVVNLSDDQKDDLQKLAFIRIIEAYKQIALSGSLQVHFSLLAYLGVELPSELDLQKLLREHVLSDYINHQGHELTLRVLYRLFGEAEEESDFFSCTTAASAYETFLLAVAETLRDSFPPSDKSLSKLLGEAPRLPKSVLNLLECLCSPGISEKAENESQSGDRVTQGLSTVWSLILLRPPIRDVCLKIALKSAVHHLEEVRMKAIRLVANKLYPLSSIAQQIEDFAREMLLSVVNGDGIERTDAEGSITEPQKESDSEKPSNEHQSMSSIGKDISADVHQSETSQSVSSLSVPEAQQSMSLYFALCTKKHSLFRQIFVIYKSASKAVKQAIHRHIPILVRTMGSSSDLLEIISDPPSGSESLLMQVLHTLTDGTVPSAELMFTIKKLFDSKLKDVEILIPVLPFLPRDEFVFLGFAALSTSCKSSAG >EOY07195 pep chromosome:Theobroma_cacao_20110822:5:812494:823711:-1 gene:TCM_021691 transcript:EOY07195 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein isoform 2 MVGIMNPVSREKLASLFNSVKLAVDLASKLDLSHQLKQTLLEEDAAALSEFLPRLFDLYSDPSGPVRKLATEIIGEIGVKNLDFVPEIAPFLITVLEDATPAVARQSIACSIDLFRLTLEKIAIQGLYSSELDSDLEASWSWMLKLKEKIYSIAFQPGSGGIRLVALKFVEAVILLYTPDPTGSPEAPPDEGTPVEFNATWLCGGHPLLNVGDLSIEASQQLGLLLDQLRFPIVKSLTNSVIVVLINSLSGIAKKRPAYYGRILSVLLGLDSPSVVIKGVHVYGAHHALKNALLSCLKCTHPSAAPWRDRVLGALREMKAGGLAEPALNQVLKTNGSVEEGKDDSSVIKEEKPLVRARDAAGSNMGRKRSVTEDSSDLAENDDVSGKRVRSTPSVSEESTKELNRNTTTSQGDICSTQPTINKGDVDTGPVQQLVAMFGALVAQGEKAVGSLGILISSISADLLAEVVMANMRNLPPDHPHTDGDDELLENMSIVGSDTQAKYPPSFLADVVSLSSTFPPIASLLNSQLSVSNKIVIQKTEGEEEVDVVAGPNNAVAYAGMAHEAEHALLATDLPVSSDIVLPGKVKIDLPPPSDIHDVGYLESEIPGLDSSVRTDGLSDTQTASSLVSTDLEDASQEQVTSFGGRSPLHVLPSISTDRSEELSPKAAVMDSNSLISSTATSVVSSYIALPKMSAPVVNLSDDQKDDLQKLAFIRIIEAYKQIALSGSLQVHFSLLAYLGVELPSELDLQKLLREHVLSDYINHQGHELTLRVLYRLFGEAEEESDFFSCTTAASAYETFLLAVAETLRDSFPPSDKSLSKLLGEAPRLPKSVLNLLECLCSPGISEKAENESQSGDRVTQGLSTVWSLILLRPPIRDVCLKIALKSAVHHLEEVRMKAIRLVANKLYPLSSIAQQIEDFAREMLLSVVNGDGIERTDAEGSITEPQKESDSEKPSNEHQSMSSIGKDISADVHQSETSQSVSSLSVPEAQQSMSLYFALCTKKHSLFRQIFVIYKSASKAVKQAIHRHIPILVRTMGSSSDLLEIISDPPSGSESLLMQVLHTLTDGTVPSAELMFTIKKLFDSKLKDVEILIPVLPFLPRDEVLLLFPHLVNLPLDKFQAALTRLLQGSSHSAPALSPAEVLIAIHGIDPERDGIPLKKVTDACNACFEQRQIFTQQVLAKVLNQLVEQIPLPLLFMRTVLQAIGAFPALVDFIMEILSRLVSKQIWKYPKLWVGFLKCALLTKPQSFSVLLQLPPPQLENALNRTAALKAPLVAHASQQNIRTSLPRSILAVLGLSLDSQNSSQAQTSQAHTGDTSNSDKDAVAVEKSKESSSAS >EOY07410 pep chromosome:Theobroma_cacao_20110822:5:1475537:1476465:1 gene:TCM_021855 transcript:EOY07410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPHGVLLFHAYKLNLVLRNPPQATRQKVHACNVCCDLVDGLSYATLLCMHPICTKLSEHVRHVMHLNHLLKVRSFHPGWCLVCKAMCTSCRYRCELCCFDLRLDCVLPSDTPTPRFLPPEAPPPRAPCFSCAPVALPRPPYAYGLPFIRPYFVPYASRIFPTNSSSQVQGDRNKKSLIVFGE >EOY07191 pep chromosome:Theobroma_cacao_20110822:5:805136:807585:-1 gene:TCM_021689 transcript:EOY07191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alfin-like 1 MEMASAARTVEEIFKDYSARRTAIVRALTYDVDEFYGLCDPEKENLCLYGHPNESWEVTLPAEEVPPELPEPALGINFARDGMNRKDWLSLVAVHSDSWLISVAFYLGARLNRNERKRLYSMMNDLPTVFEVVTERKPVKDKPSMDSGSKSRGSTKRSIEGQAKSNPKVADEIFEEDEDEHSETLCGSCGGNYNADEFWIGCDVCERWFHGKCVKITPAKAESIKQYKCPSCSLKRGRQ >EOY09435 pep chromosome:Theobroma_cacao_20110822:5:29114396:29119396:1 gene:TCM_024853 transcript:EOY09435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTVNLGMLHYILDHVYGAFMHRTKMSPPFFSRGWGGTKLELLERLISQLFPEVEGQNWPPNLIQPIWRTVWETKSACLREGVFRTPCDEQLLGALPPESHNARVAFLVPKLVPPPKMACVVHLAGTGDHTFERRLRLGGPLLKENIATMVLESPFYGQRRPMLQRGAKLLCVSDLLLLGRATIEEARSLLHWLDTEARFGKMGVCGLSMGGVHAAMVGSLHPTPVATLPFLSPHSAVVAFCEGILKHGTAWEALREDLAVKEAVMTLEEVRERMRNVLSLTDVTRFPIPKNPNAVIFVAATDDGYIPKHSVLELQKAWPGSEVRWVTGGHVSSFLLHNGEFRKAIVDGLDRLEWKESLL >EOY10114 pep chromosome:Theobroma_cacao_20110822:5:33050208:33056667:-1 gene:TCM_025492 transcript:EOY10114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVILLLFFSKVIGWSDSNMAEILAIKDVVLFYVALIWCSSHALWIETDSINAVKWIQDPSNAPWRLRSHVIQLSNQLSKVRNWRINHIRKSANEAIDSLAKEGIQWERNLFLVSS >EOY08865 pep chromosome:Theobroma_cacao_20110822:5:22499866:22507428:-1 gene:TCM_024129 transcript:EOY08865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVVFDEKKKFKKEVICSHCNKKGHSKEKCYRLIGSGVMEGDWGVAKVISGLYFMQVNADEQALSKHSIDKIISSINVSSIHNCVAMHPSFDLWHFRLGHASVERINVINKHCSDVHSSKHLLSCLAKFFTIAHCMNCCTKNLIPMITLEFFVVYVLCRLLVNIGKNLTKGQISFDHVFYQTPNVHESDFDFFYIVPIPCSIHAPMNASTSSTSSLDPLNMLENSNSLNFPSNSIDQSVSSRHVNSTVDFFESISFYCSVIPIDSIPHRKSDRTRKFLSSNKLSSKHKSFTASLSQLHEPITYHQAIHYDHRREAMAAELTALEDNDTWSVIPLLVDSHAIGCKWVFKNKMKADGSIERFKARLVAKAYNQIEGFDYQETFSPIAKQKCLFTNSSISMSQCNIWGGEAFLSDNKSIHSSSMNLGSSEANLEISRSREDTRCRALAMNIEVTLCNIEFSLRRAVKRKTHASDHLVD >EOY08501 pep chromosome:Theobroma_cacao_20110822:5:9399311:9413164:1 gene:TCM_022977 transcript:EOY08501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MWSDPPQVNDLLSRPESEILPSWFQFFNEELVRTLSFSDHEAFDHPVACLLVVSSRDEEPINRFVDLFNTNKLPSLLNDGAMDPKILKHYLLVHDNQDGASEKATKLLTEMKSTFGPNDCQLLCINSSQDRQIHHQENPWAPFKSDALPTENLGCFLNFDDFNEIKDLMQELSSKHIIPYMEQKIRVLNQQVSATRKGFRNQIKNLWWRKGKEDASDSPNGPVYTFSSVESQIRILGDYAFMLRDYELALSNYRLISTDYKLDKAWKRYAGVQEMMGLTYFLLDQSRKEAEYCMENAFNTYLKLGSAGQQNATRCGLWWVEMLKIRDQIKEAATVYFRICSEDPLHSAVMLEQASFCYLLSKPPMLHKYGFHLVLSGDHYKKCDQIKHAIRTYRSAVSVYKGTTWSLIKDHVHFHIGQWYAFLGMYDVAVTHMLELLACSHQSKTTQELFLRDFLQIVQKTGKTFEVLKLQLPAINISSLKVIFEDHRTYASAAAASVKESVWHSLEEDMIPSLSTAKSNWLELQSKLMPKKYKESNICVAGEAIKVDVEFKNPLQISISILSVSLICELSANLEEMNSDGNGSNIELQNDENKTSTSTRDIDSSSILSEVDLSLEGGETTLVQLTVTPRVEGILKIVGVKWKLSSSVVGFHNFESNSLNKNVAKGRRKAKYSPDNYLKFIVIKSLPKLEGIIHSLPEKTYVGDLRHLVLELSNRSKFPVKNLKMKISNPRFLNAGNQRELNVEFPACLGKKTNVVQSGGHSNINKVLQNVFLFPENISVQEETSLSWPLWFRAAVPGNISLYVTIYYEMEDVSSIMKYRTLRMHYNLQVLPSLDVSFELSPCPSRLQEFLLRMDVVNKTSSECFQVHQLSSVGKQWEISLLQPVDSILPSQSLFAGQALSCFFKLKDRRKSSTSEDSIPSPSLLLQSDVRLGPQGNSEALFDVYSSPLADFHNSERLHQGMPLQGNEYKVDFVFISQLLKGNIDSGAPNTPLLISHHACHCSLSSMSSISWLVDGPQTVQHNFSGSLCEVNLRMMITNSSDAVASVRISTFDSPSSSIQSSDASAPQPGLPPENQAGWCDIPVVNDMKVITSDALATRFTKSVSLESVSQFIWSGSSSTKLRLQPRSTAEIPLQISVFAPGIYDLSNYVLNWNLMPSSEEEKQGEASKSSGVCQGYPYYLTVVQST >EOY08500 pep chromosome:Theobroma_cacao_20110822:5:9397658:9415770:1 gene:TCM_022977 transcript:EOY08500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MVDPANTPLGKMLLEEITPVVMVLCTPLVEESCLKNGLSFIQMLSPFCNFTNIDVPVRTASDQPYRLQKFKLRLFYASDIRQPNLEVAKERLKQVITQAGEKDFSEMWSDPPQVNDLLSRPESEILPSWFQFFNEELVRTLSFSDHEAFDHPVACLLVVSSRDEEPINRFVDLFNTNKLPSLLNDGAMDPKILKHYLLVHDNQDGASEKATKLLTEMKSTFGPNDCQLLCINSSQDRQIHHQENPWAPFKSDALPTENLGCFLNFDDFNEIKDLMQELSSKHIIPYMEQKIRVLNQQVSATRKGFRNQIKNLWWRKGKEDASDSPNGPVYTFSSVESQIRILGDYAFMLRDYELALSNYRLISTDYKLDKAWKRYAGVQEMMGLTYFLLDQSRKEAEYCMENAFNTYLKLGSAGQQNATRCGLWWVEMLKIRDQIKEAATVYFRICSEDPLHSAVMLEQASFCYLLSKPPMLHKYGFHLVLSGDHYKKCDQIKHAIRTYRSAVSVYKGTTWSLIKDHVHFHIGQWYAFLGMYDVAVTHMLELLACSHQSKTTQELFLRDFLQIVQKTGKTFEVLKLQLPAINISSLKVIFEDHRTYASAAAASVKESVWHSLEEDMIPSLSTAKSNWLELQSKLMPKKYKESNICVAGEAIKVDVEFKNPLQISISILSVSLICELSANLEEMNSDGNGSNIELQNDENKTSTSTRDIDSSSILSEVDLSLEGGETTLVQLTVTPRVEGILKIVGVKWKLSSSVVGFHNFESNSLNKNVAKGRRKAKYSPDNYLKFIVIKSLPKLEGIIHSLPEKTYVGDLRHLVLELSNRSKFPVKNLKMKISNPRFLNAGNQRELNVEFPACLGKKTNVVQSGGHSNINKVLQNVFLFPENISVQEETSLSWPLWFRAAVPGNISLYVTIYYEMEDVSSIMKYRTLRMHYNLQVLPSLDVSFELSPCPSRLQEFLLRMDVVNKTSSECFQVHQLSSVGKQWEISLLQPVDSILPSQSLFAGQALSCFFKLKDRRKSSTSEDSIPSPSLLLQSDVRLGPQGNSEALFDVYSSPLADFHNSERLHQGMPLQGNEYKVDFVFISQLLKGNIDSGAPNTPLLISHHACHCSLSSMSSISWLVDGPQTVQHNFSGSLCEVNLRMMITNSSDAVASVRISTFDSPSSSIQSSDASAPQPGLPPENQAGWCDIPVVNDMKVITSDALATRFTKSVSLESVSQFIWSGSSSTKLRLQPRSTAEIPLQISVFAPGIYDLSNYVLNWNLMPSSEEEKQGEASKSSGVCQGYPYYLTVVQST >EOY08502 pep chromosome:Theobroma_cacao_20110822:5:9399311:9413164:1 gene:TCM_022977 transcript:EOY08502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MVDPANTPLGKMLLEEITPVVMVLCTPLVEESCLKNGLSFIQMLSPFCNFTNIDVPVRTASDQPYRLQKFKLRLFYASDIRQPNLEVAKERLKQVITQAGEKDFSEMWSDPPQVNDLLSRPESEILPSWFQFFNEELVRTLSFSDHEAFDHPVACLLVVSSRDEEPINRFVDLFNTNKLPSLLNDGAMDPKILKHYLLVHDNQDGASEKATKLLTEMKSTFGPNDCQLLCINSSQDRQIHHQENPWAPFKSDALPTENLGCFLNFDDFNEIKDLMQELSSKHIIPYMEQKIRVLNQQVSATRKGFRNQIKNLWWRKGKEDASDSPNGPVYTFSSVESQIRILGDYAFMLRDYELALSNYRLISTDYKLDKAWKRYAGVQEMMGLTYFLLDQSRKEAEYCMENAFNTYLKLGSAGQQNATRCGLWWVEMLKIRDQIKEAATVYFRICSEDPLHSAVMLEQASFCYLLSKPPMLHKYGFHLVLSGDHYKKCDQVSQSLKYCDNVPNSRSSNILQCFHLQIKHAIRTYRSAVSVYKGTTWSLIKDHVHFHIGQWYAFLGMYDVAVTHMLELLACSHQSKTTQELFLRDFLQIVQKTGKTFEVLKLQLPAINISSLKVIFEDHRTYASAAAASVKESVWHSLEEDMIPSLSTAKSNWLELQSKLMPKKYKESNICVAGEAIKVDVEFKNPLQISISILSVSLICELSANLEEMNSDGNGSNIELQNDENKTSTSTRDIDSSSILSEVDLSLEGGETTLVQLTVTPRVEGILKIVGVKWKLSSSVVGFHNFESNSLNKNVAKGRRKAKYSPDNYLKFIVIKSLPKLEGIIHSLPEKTYVGDLRHLVLELSNRSKFPVKNLKMKISNPRFLNAGNQRELNVEFPACLGKKTNVVQSGGHSNINKVLQNVFLFPENISVQEETSLSWPLWFRAAVPGNISLYVTIYYEMEDVSSIMKYRTLRMHYNLQVLPSLDVSFELSPCPSRLQEFLLRMDVVNKTSSECFQVHQLSSVGKQWEISLLQPVDSILPSQSLFAGQALSCFFKLKDRRKSSTSEDSIPSPSLLLQSDVRLGPQGNSEALFDVYSSPLADFHNSERLHQGMPLQGNEYKVDFVFISQLLKGNIDSGAPNTPLLISHHACHCSLSSMSSISWLVDGPQTVQHNFSGSLCEVNLRMMITNSSDAVASVRISTFDSPSSSIQSSDASAPQPGLPPENQAGWCDIPVVNDMKVITSDALATRFTKSVSLESVSQFIWSGSSSTKLRLQPRSTAEIPLQISVFAPGIYDLSNYVLNWNLMPSSEEEKQGEASKSSGVCQGYPYYLTVVQST >EOY10748 pep chromosome:Theobroma_cacao_20110822:5:36273015:36276659:-1 gene:TCM_026051 transcript:EOY10748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLNLFLNPNPTSNSLLPFFSFPSKTKNQIPLLKSPTSLSIFSKPTPCFIPLCSSNPSTNSTSKESSLESNGWPQTSKFNIGDESKENYNVGVGNPIVPSFFSTQKMSLSDQAFFLWAFIACTTSVAFTSLVIVAVPTLYAMGRAAISLSKLADTAREELPSTMAAIRLSGMEISDLTLELSDLSQEIADGVNKSAQAVQAAEAGIRQIGSLAHQQTMSMIQERASLPIISLQPVVAGAARKTSRAVGQATKTIMNIIYRGEFSSEHDEDSGIDQVEN >EOY09605 pep chromosome:Theobroma_cacao_20110822:5:30190990:30195199:-1 gene:TCM_025022 transcript:EOY09605 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 38 isoform 3 MSPVGLSCYSRWLSRFKTIYSQSTVVSFSSTATTTAPPNENETPLSRPAPPPIRVALTESAGRGVFATRRIGAGDTIHSAKPLVSHPSLAAINTVCYFCLKKIQTFSGSQRQGVSLCCEKCKESSKVFYDVEKRADWLDFDDYCRTEGMKYPLLVKRLACMVISGAAQANIVDILQPASLTQEMILKMEEGFCLLQCAFSKANIRKEHTSFLTKQWYTAVLARIRINAFRIDLAGGVYEDLLSLAAASVEAESAVGNAIYMLPSFYNHDCDPNTHIIWIENADAKLKALHDIEEGEELRICYIDASLSCDARQSILSQGFGFKCNCLSCKRLG >EOY09606 pep chromosome:Theobroma_cacao_20110822:5:30191594:30195023:-1 gene:TCM_025022 transcript:EOY09606 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 38 isoform 3 MSPVGLSCYSRWLSRFKTIYSQSTVVSFSSTATTTAPPNENETPLSRPAPPPIRVALTESAGRGVFATRRIGAGDTIHSAKPLVSHPSLAAINTVCYFCLKKIQTFSGSQRQGVSLCCEKCKESSKVFYDVEKRADWLDFDDYCRTEGMKYPLLVKRLACMVISGAAQANIVDILQPASLTQEMILKMEEGFCLLQCAFSKANIRKEHTSFLTKQWYTAVLARIRINAFRIDLAGGVYEDLLSLAAASVEAESAVGNAIYMLPSFYNHDCDPNTHIIWIENADAKLKALHDIEEGSSLLLLEELWLTLLLAPQNHTKGILNS >EOY09604 pep chromosome:Theobroma_cacao_20110822:5:30191312:30195229:-1 gene:TCM_025022 transcript:EOY09604 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 38 isoform 3 MSPVGLSCYSRWLSRFKTIYSQSTVVSFSSTATTTAPPNENETPLSRPAPPPIRVALTESAGRGVFATRRIGAGDTIHSAKPLVSHPSLAAINTVCYFCLKKIQTFSGSQRQGVSLCCEKCKESSKVFYDVEKRADWLDFDDYCRTEGMKYPLLVKRLACMVISGAAQANIVDILQPASLTQEMILKMEEGFCLLQCAFSKANIRKEHTSFLTKQWYTAVLARIRINAFRIDLAGGVYEDLLSLAAASVEAESAVGNAIYMLPSFYNHDCDPNTHIIWIENADAKLKALHDIEEGEELRICYIDASLSCDARQSILSQGFGFKCNCLRCLSGD >EOY09991 pep chromosome:Theobroma_cacao_20110822:5:32113177:32115318:1 gene:TCM_025360 transcript:EOY09991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein MKEVDRLIIDKEALPLLESLSIGPIPKLEEVPSGIYHLKSLVNCNSMACQENLHALGWENHAAVKREWEDKVWHFDPVLAGHRHFGGRKRSMLIDADLKVQAGYQKEPVPIVSLMCKLDGKAIIGHPIQIDALEDSSTETLLSTNGYLSHGLVNHDGYTSLPPARRTTKRTNFRVPRPRPPSALGSYEADEYHSLDREGKPPFKKYKVGSSEHKAGLVKKSISHVPRSPTDRKFQREFPKKVGLSSSQKTRILSSIGMEQNLSSKTTRDRGNCQMHGLIKPDSSGPTTVAFVPVKLKMSSVNF >EOY09438 pep chromosome:Theobroma_cacao_20110822:5:29135833:29139184:1 gene:TCM_024857 transcript:EOY09438 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein MNPAAAANQKEEFKLKDTKPQLGERWPHGGMRGGGGWISSERATSTYDLVEQMFYLYVRVVKAKDLPTNPVTGNIDPYVEVKLGNYKGKTQHFEKKTNPEWNQVFAFSKEKLQSSILEVFVRDREMVGRDDYVGKVIFDMNEVPTRVPPDSPLAPQWYRLEHRRGDSKVKGEVMLAVWMGTQADEAFPEAWHTDAASVQGEGVFNIRSKVYVSPKLWYLRVNVIEAQDVEPHDRSQLPQAFVKAQVGNQILKTKLCPQKTINPMWNEDLIFVAAEPFEEQLYLTVENKVTSAKDEVMGRLILPLHDFERRLDHRPVHSKWCNLEKFGFGALEGDKRHELKFSSRVHLRVCLEGAYHVLDESTMYISDQRPTARQLWKNPIGILEVGILSAQGLQPMKTKDGRGTTDAYCVAKYGQKWVRTRTIMESFNPKWNEQYTWEVYDPCTVITLGVFDNCHLGGSEKPASGSGGKNDSRIGKVRIRLSTLETDRIYTNSYPLLVLQTSGLKKMGELQLAVRFTCLSLANMIYLYWHPLLPKMHYLHPFTVNQLDSLRYQAMNIVAVRLGRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIVSLFSGVLAMSKWLGDVCHWKNPVTSILVHVLFFILICYPELILPTIFLYMFLIGIWNYRFRPRHPPHMDTKLSWAEVVHPDELDEEFDTFPTSKAQDVVRMRYDRLRSVAGRIQTVVGDMATQGERFLALLSWRDPRATSLFVIFCLIAAVALYVTPFKIMALVAGLFWLRHPRFRSKLPSVPSNFFRRLPSRADSML >EOY08758 pep chromosome:Theobroma_cacao_20110822:5:19531601:19533272:1 gene:TCM_023881 transcript:EOY08758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin, putative MKMNSLRLGLIIVFCAFWELGVCREAEDNLIRMKLGGFRDLHNSAEILSLARFAVQQHNQRQNAFLELARVLKAKEQVVSGKIYHLTLEILDAGGQKSVYEAKVWVKPWINFKQLQEFKRVQDGPQLGWKTVLTNDPKVQDAANHAIKSIRQRSNSLFPYELLEILLAKMKVVEDYIQFDLLLKLRRGNKEENFKVEITKNKEGKFVMN >EOY07882 pep chromosome:Theobroma_cacao_20110822:5:3117052:3120176:-1 gene:TCM_022202 transcript:EOY07882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDLKPGIMKMGFKSHWPWTDCSFQPLIAATPGVSWQPSKDGDLKFNVDSSTKGKPKPVGCGGNLKNSDRFVVGVFFGLLDCQESNLAELLAIPYALQLFASSPYYRSNLIIESDSKVALSWINNESHQLWNF >EOY07810 pep chromosome:Theobroma_cacao_20110822:5:2789017:2791199:1 gene:TCM_022133 transcript:EOY07810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFKTLKLMIFHGSLARRVLLRAFMLAAALSIVPLLQMISGTDPEMLHTLSTSADCALRSGFSGPFIFPGTFLFSKIWGSFGPVQCKEDGNLTASVVRELMGKQMLSYNAKALCVGEGSVSAVVALRDSGFSDVTGVYRHPFFSLKQKKFVYELDYEDNSYDFVLSRDLDKVSVPALLVLEIERVLRPGGIGAMLVGISGSDPTSLIRSATPVSSLLKASSVVHVDYVNEFTLVVFKKKLENTTYFEQYRLPADCPSITDNKAILDHMEPLVEEKPIGFEKSIAYLPKFVNVSSKQRLVYIDIGAGEHLNSNVTSWFLPSYPVDHKAFNAYFVDHDTSVILSYVKKPGINFVYYPGLAGNRATASSGNKASTDSDSVDDSDPFVEDEGFDFLLWFKDTVQYADFVVLKMNAGAVELKLLSDLFESGIICFIDELFLRCSDHMDSGGVVKGDCMDLFKALRRTGVYVHQWWGD >EOY07142 pep chromosome:Theobroma_cacao_20110822:5:619366:629160:1 gene:TCM_021656 transcript:EOY07142 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MAFSASDLPAIYSLLANSMSQDETIRKPAEAALSQSESRPGFCSCLMEVITAKDLASQVDVRLMASVYFKNSINRYWRNRRDSSGISSEEKVHLRQKLLSHLREEKYQIAQMLAVLISKIARFDYPREWAELFSFLAQQLQSADVLTSHRIFMILFRTLKELSTKRLTADQRNFAETGCLSLDSIGLKGIVMLMTNISSHLFEYCWHLWQSDVQTILHGFSTITQSYNSNAEEQHHDDLYLMCERWLLCLKIICQLVISGFQSDAKCVQEVRPVKEVSPVLLNAVQSFLPYYTSFQNGHPKFWDFIKRACTKLMKVLVAIQQRHPYSFGDKCVLQPVLNFCLNKITDPEPDILSFEEFLIKCMVMVKSVLECKEYKPSLTGRVMEENGVTLEQMKKNLSNAVAGVLTSLLPNERIILLCNVLIRRYFVLTASDLEEWYVNPEAFHHEQDMVQWTEKLRPCAEALYIVLFENHSQLLAPIVVSVLQEAMNGCPTSVTEITPGLLLKEAAYGAAAYVYYELSNYLSFKDWFNGALSLELSNDHPIMRIIHRKVALILGQWVSEIKNDTKRAVYCALIRLLQDKDLSVRLAACRSLCLHVEDANFSEQDFSDLLPVCWGSCFNLVKEVQEFDSKVQVLNLISVLLGHVNEVIPYANNLMQFFQMVWEESSGESLLQIQLLIALRNFVVALGYQSPSCYSMLLPILQKGIDINSPDEINLLEDSMLLWEATLSHAPAMVPQLLAYFPCLVEILERNFDQLQSYKSLLAAPTPFVSPAILYLILMRICLQVAVNITEAYIILGGREFLSMHASSVAKLLDLIVGNVNDRGLLATFPVIDILIQCFPMDVPPLISSTLQKLLVICLSGGDDGDPSKTAVKASSAAILARILVMNTNYLAQLTAEPSLSSLLQQTGVNIEENILLCLVDVWLDKVDNVSSPQKKIFGLALSIILTLRLPQVLDKLDQILSVCTSVILGGTDDLTEEESSGDNMSSSRSHGEGSLPSKELRRRQIKFSDPINQLSLENSVRDNLQTCAALHGDPSFNSAIGRMHPSAFAQLKQALKMP >EOY07145 pep chromosome:Theobroma_cacao_20110822:5:619318:626392:1 gene:TCM_021656 transcript:EOY07145 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MAFSASDLPAIYSLLANSMSQDETIRKPAEAALSQSESRPGFCSCLMEVITAKDLASQVDVRLMASVYFKNSINRYWRNRRDSSGISSEEKVHLRQKLLSHLREEKYQIAQMLAVLISKIARFDYPREWAELFSFLAQQLQSADVLTSHRIFMILFRTLKELSTKRLTADQRNFAEISSHLFEYCWHLWQSDVQTILHGFSTITQSYNSNAEEQHHDDLYLMCERWLLCLKIICQLVISGFQSDAKCVQEVRPVKEVSPVLLNAVQSFLPYYTSFQNGHPKFWDFIKRACTKLMKVLVAIQQRHPYSFGDKCVLQPVLNFCLNKITDPEPDILSFEEFLIKCMVMVKSVLECKEYKPSLTGRVMEENGVTLEQMKKNLSNAVAGVLTSLLPNERIILLCNVLIRRYFVLTASDLEEWYVNPEAFHHEQDMVQWTEKLRPCAEALYIVLFENHSQLLAPIVVSVLQEAMNGCPTSVTEITPGLLLKEAAYGAAAYVYYELSNYLSFKDWFNGALSLELSNDHPIMRIIHRKVALILGQWVSEIKNDTKRAVYCALIRLLQDKDLSVRLAACRSLCLHVEDANFSEQDFSDLLPVCWGSCFNLVKEVQEFDSKVQVLNLISVLLGHVNEVIPYANNLMQFFQMVWEESSGESLLQIQLLIALRNFVVALGYQSPSCYSMLLPILQKGIDINSPDEINLLEDSMLLWEATLSHAPAMVPQLLAYFPCLVEILERNFDQLQAMNI >EOY07144 pep chromosome:Theobroma_cacao_20110822:5:619710:627346:1 gene:TCM_021656 transcript:EOY07144 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MAFSASDLPAIYSLLANSMSQDETIRKPAEAALSQSESRPGFCSCLMEVITAKDLASQVDVRLMASVYFKNSINRYWRNRRDSSGISSEEKVHLRQKLLSHLREEKYQIAQMLAVLISKIARFDYPREWAELFSFLAQQLQSADVLTSHRIFMILFRTLKELSTKRLTADQRNFAEISSHLFEYCWHLWQSDVQTILHGFSTITQSYNSNAEEQHHDDLYLMCERWLLCLKIICQLVISGFQSDAKCVQEVRPVKEVSPVLLNAVQSFLPYYTSFQNGHPKFWDFIKRACTKLMKVLVAIQQRHPYSFGDKCVLQPVLNFCLNKITDPEPDILSFEEFLIKCMVMVKSVLECKEYKPSLTGRVMEENGVTLEQMKKNLSNAVAGVLTSLLPNERIILLCNVLIRRYFVLTASDLEEWYVNPEAFHHEQDMVQWTEKLRPCAEALYIVLFENHSQLLAPIVVSVLQEAMNGCPTSVTEITPGLLLKEAAYGAAAYVYYELSNYLSFKDWFNGALSLELSNDHPIMRIIHRKVALILGQWVSEIKNDTKRAVYCALIRLLQDKDLSVRLAACRSLCLHVEDANFSEQDFSDLLPVCWGSCFNLVKEVQEFDSKVQVLNLISVLLGHVNEVIPYANNLMQFFQMVWEESSGESLLQIQLLIALRNFVVALGYQSPSCYSMLLPILQKGIDINSPDEINLLEDSMLLWEATLSHAPAMVPQLLAYFPCLVEILERNFDQLQVAVNITEAYIILGGREFLSMHASSVAKLLDLIVGNVNDRGLLATFPVIDILIQCFPMDVPPLISSTLQVSMVLYLQRFFIVHVLSYICHYICLFITFHSTVMPC >EOY07143 pep chromosome:Theobroma_cacao_20110822:5:619318:628827:1 gene:TCM_021656 transcript:EOY07143 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MAFSASDLPAIYSLLANSMSQDETIRKPAEAALSQSESRPGFCSCLMEVITAKDLASQVDVRLMASVYFKNSINRYWRNRRDSSGISSEEKVHLRQKLLSHLREEKYQIAQMLAVLISKIARFDYPREWAELFSFLAQQLQSADVLTSHRIFMILFRTLKELSTKRLTADQRNFAEISSHLFEYCWHLWQSDVQTILHGFSTITQSYNSNAEEQHHDDLYLMCERWLLCLKIICQLVISGFQSDAKCVQEVRPVKEVSPVLLNAVQSFLPYYTSFQNGHPKFWDFIKRACTKLMKVLVAIQQRHPYSFGDKCVLQPVLNFCLNKITDPEPDILSFEEFLIKCMVMVKSVLECKEYKPSLTGRVMEENGVTLEQMKKNLSNAVAGVLTSLLPNERIILLCNVLIRRYFVLTASDLEEWYVNPEAFHHEQDMVQWTEKLRPCAEALYIVLFENHSQLLAPIVVSVLQEAMNGCPTSVTEITPGLLLKEAAYGAAAYVYYELSNYLSFKDWFNGALSLELSNDHPIMRIIHRKVALILGQWVSEIKNDTKRAVYCALIRLLQDKDLSVRLAACRSLCLHVEDANFSEQDFSDLLPVCWGSCFNLVKEVQEFDSKVQVLNLISVLLGHVNEVIPYANNLMQFFQMVWEESSGESLLQIQLLIALRNFVVALGYQSPSCYSMLLPILQKGIDINSPDEINLLEDSMLLWEATLSHAPAMVPQLLAYFPCLVEILERNFDQLQVAVNITEAYIILGGREFLSMHASSVAKLLDLIVGNVNDRGLLATFPVIDILIQCFPMDVPPLISSTLQKLLVICLSGGDDGDPSKTAVKASSAAILARILVMNTNYLAQLTAEPSLSSLLQQTGVNIEENILLCLVDVWLDKVDNVSSPQKKIFGLALSIILTLRLPQVLDKLDQILSVCTSVILGGTDDLTEEESSGDNMSSSRSHGEGSLPSKESSSQTLSTSCHWKTQ >EOY08111 pep chromosome:Theobroma_cacao_20110822:5:4571469:4578482:1 gene:TCM_022431 transcript:EOY08111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol biosynthesis ERG4/ERG24 family isoform 2 MDLGYLLQALIPSWNSVAALAIFFAYLAIAGSILPGKLVPGVTLQDGSRLHYRCNGFLALVLLVGLLGIGFKMNFVSPAMISDRGFELLSATLIFSFLVMLVLYAAGCRSGSKGSSLKPHITGNLIHDWWYGIQLNPQFLGIDLKFFFVRAGMMGWLLINLSILAKSVQDGSLSQSMILYQLFCLLYIVDYFVHEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLNNKVELTTAAAIANCFVFLIGYLVFRGANKQKHVFKKNPKALIWGKPPKVIGGKLLASGYWGIARHSNYLGDLLLALSFSLPCGISSPIPYFYPIYLFILLVWRERRDEARCAEKYKEIWAEYCRLVPWRIFPYLY >EOY08112 pep chromosome:Theobroma_cacao_20110822:5:4571469:4578484:1 gene:TCM_022431 transcript:EOY08112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol biosynthesis ERG4/ERG24 family isoform 2 MNFVSPAMISDRGFELLSATLIFSFLVMLVLYAAGCRSGSKGSSLKPHITGNLIHDWWYGIQLNPQFLGIDLKFFFVRAGMMGWLLINLSILAKSVQDGSLSQSMILYQLFCLLYIVDYFVHEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLNNKVELTTAAAIANCFVFLIGYLVFRGANKQKHVFKKNPKALIWGKPPKVIGGKLLASGYWGIARHSNYLGDLLLALSFSLPCGISSPIPYFYPIYLFILLVWRERRDEARCAEKYKEIWAEYCRLVPWRIFPYLY >EOY09638 pep chromosome:Theobroma_cacao_20110822:5:30311057:30314186:1 gene:TCM_025038 transcript:EOY09638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 2 MKLGDWSRVFNNLKTHFDFKNVLGVFPYSTSTTTTTGRSKMAMMGGSRKGLFRKISPIGDLSVSIVPVLDQRVEEGNSVEKHLLQFYINRLRSYRRYAHALEMSLWMTDKRYFPMSYRDVAIRLDLIAKVHGIEHAENYFNSVPKQLKGLAVYSALLYCYAREKSVEKAEALMQRMRDLGLDRSAFVFNVLLSLYYKADNYEKLDSLMQEMEEKGIARDIYTYCICLKVNAAQSNVDGIEDILRTVESDSNLASDWFFYTTAGDAYIKVGHLDKAFAMLKKSEELIEGRGIVYDNLLTRYTTLGKKEEVLRIWELYKTYVKVYNKGYIAIICSLLKKGLLEKFETFVNRILLKGGKPNAKTWYYCATALLWHNEMEKAVEAMKEAILIIEPCWKPRLKPREESVAACLKYLKGKGDMDEAKIFIKLLGDRDIISPEVQVKLLSYIKDGNADSKLDGLIMLDGGALHGSGEIHQFSEEDNDDIDCKPSKGSTLDVKL >EOY09639 pep chromosome:Theobroma_cacao_20110822:5:30311163:30313810:1 gene:TCM_025038 transcript:EOY09639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 2 MKLGDWSRVFNNLKTHFDFKNVLGVFPYSTSTTTTTGRSKMAMMGGSRKGLFRKISPIGDLSVSIVPVLDQRVEEGNSVEKHLLQFYINRLRSYRRYAHALEMSLWMTDKRYFPMSYRDVAIRLDLIAKVHGIEHAENYFNSVPKQLKGLAVYSALLYCYAREKSVEKAEALMQRMRDLGLDRSAFVFNVLLSLYYKADNYEKLDSLMQEMEEKGIARDIYTYCICLKVNAAQSNVDGIEDILRTVESDSNLASDWFFYTTAGDAYIKVGHLDKAFAMLKKSEELIEGRGIVYDNLLTRYTTLGKKEEVLRIWELYKTYVKVYNKGYIAIICSLLKFDDIESVEKIFDEWESQTPHTFKL >EOY10146 pep chromosome:Theobroma_cacao_20110822:5:33178788:33184168:-1 gene:TCM_025518 transcript:EOY10146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane isoform 1 MWVEIICGLIVYRLFRRFFYDDDVLEVETSDSNAIFSVANRLEKLYGGKVYVGLHIPDADTGSRQNIDMVLVTKGEAAVISVKNLAGFVSISEDGSWACEGVGSHRAERHPDPVAEAKKQASVLESYLEQRGVALPEGYFSYKVVIPNPKFRTIYNTFPSEVITYDQWVQLKPEPKSIGKKEMQESFHQQLNFILSTAPMWDRLELKGNKYVLGEFLEFKGKQEDTLALRNIKRSKVSRLIIQKTSMFGLAHSKLQVLYSPRDYRSEGASASEWKEVTVRSSTEVIFQPQNSTKVRKFKLSSITSMSLSA >EOY10143 pep chromosome:Theobroma_cacao_20110822:5:33179853:33184014:-1 gene:TCM_025518 transcript:EOY10143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane isoform 1 MWVEIICGLIVYRLFRRFFYDDDVLEVETSDSNAIFSVANRLEKLYGGKVYVGLHIPDADTGSRQNIDMVLVTKGEAAVISVKNLAGFVSISEDGSWACEGVGSHRAERHPDPVAEAKKQASVLESYLEQRGVALPEGYFSYKVVIPNPKFRTIYNTFPSEVITYDQWVQLKPEPKSMFSGWIKGAFRGGKKEMQESFHQQLNFILSTAPMWDRLELKGNKYVLGEFLEFKGKQEDTLALRNIKRSKVSRLIIQKTSMFGLAHSKLQVLYSPRDYRSEGASASEWKEVTVRSSTEVIFQPQNSTKVRKFKLSSITSMSLSA >EOY10145 pep chromosome:Theobroma_cacao_20110822:5:33177908:33184181:-1 gene:TCM_025518 transcript:EOY10145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane isoform 1 MWVEIICGLIVYRLFRRFFYDDDVLEVETSDSNAIFSVANRLEKLYGGKVYVGLHIPDADTGSRQNIDMVLVTKGEAAVISVKNLAGFVSISEDGSWACEGVGSHRAERHPDPVAEAKKQASVLESYLEQRGVALPEGYFSYKVVIPNPKFRTIYNTFPSEVITYDQWVQLKPEPKSMFSGWIKGAFRGGKKEMQESFHQQLNFILSTAPMWDRLELKGNKYVLGEFLEFKGKQEDTLALRNIKRSKVSRLIIQKTSMFGLAHSKLQVLYSPRDYRSEGASASEWKEVTVRSSTEVIFQPQNSTKVRKFKLSSITSMSLSA >EOY10144 pep chromosome:Theobroma_cacao_20110822:5:33177774:33184403:-1 gene:TCM_025518 transcript:EOY10144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane isoform 1 MWVEIICGLIVYRLFRRFFYDDDVLEVETSDSNAIFSVANRLEKLYGGKVYVGLHIPDADTGSRQNIDMVLVTKGEAAVISVKNLAGFVSISEDGSWACEGVGSHRAERHPDPVAEAKKQASVLESYLEQRGVALPEGYFSYKVVIPNPKFRTIYNTFPSEVITYDQWVQLKPEPKSMFSGWIKGAFRGGKKEMQESFHQQLNFILSTAPMWDRLELKGNKYVLGEFLEFKGKQEDTLALRNIKRSKVSRLIIQKTSMFGLAHSKLQVLYSPRDYRSEGASASEWKEVTVRSSTENIAGASWLAYAASAWILLIVMTTPY >EOY07892 pep chromosome:Theobroma_cacao_20110822:5:3163646:3165592:1 gene:TCM_022209 transcript:EOY07892 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Magnesium transporter (InterPro:IP /.../7); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G03345) TAIR;Acc:AT5G03345] MGLGFIIGVFGVLILSHAAYSTIQYRGLLKIMEEEFSGPPMNVVLELLLGFVFCIWAALTVPGKFLSIHPDSEENRIVSLSANLDFMIFNHRAKAFPLEIDMKLKH >EOY06989 pep chromosome:Theobroma_cacao_20110822:5:122396:126829:1 gene:TCM_021541 transcript:EOY06989 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein, putative isoform 1 MAQPETLDSPLSASSSSDPQLSRNLSSSRLNAQAPEFVPTRSTPQQQQQQQQQQQQQQQQQQQQQQSHRMMIPPPPPPAMLHVYPPPPPPPAAFHLPVHSPVPMPPHMVPVPHHMPMRNHHHHHHHPPFQNHPHHHREQEVEAVVKKDHHKEQHKEHKDHGASCSKNGLSEEATLKILNQVEYYFSDLNLATTDHLMRFINKDPEGYVPISVVASFKKIKALITTHAQLATVLRNSLKLVVSEDGKKVRRQHPLTESDMEELQSRIIVAENLPEDHCHQNLMKIFSSVGSVKTIRTCQPQPSGGGVSSASRTAKSDGMHFSNKLHAFVEYESVELAEKAVAELNDEGNWRSGLRVRLMLRRVSKPTHTRGKKGHDGEGHYEEDDASTSEQQSNDKQQEDPSQLSDIHSHEYPGEEHSNEKEGGQKKGRNRGRGKGRGRAQYHNNQHNSRGNHVGTPPSATSNLVSNEQATVAKQPPGPRMPDGTRGFAMGRGKPVAVNTA >EOY06990 pep chromosome:Theobroma_cacao_20110822:5:122951:126546:1 gene:TCM_021541 transcript:EOY06990 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein, putative isoform 1 MMIPPPPPPAMLHVYPPPPPPPAAFHLPVHSPVPMPPHMVPVPHHMPMRNHHHHHHHPPFQNHPHHHREQEVEAVVKKDHHKEQHKEHKDHGASCSKNGLSEEATLKILNQVEYYFSDLNLATTDHLMRFINKDPEGYVPISVVASFKKIKALITTHAQLATVLRNSLKLVVSEDGKKVRRQHPLTESDMEELQSRIIVAENLPEDHCHQNLMKIFSSVGSVKTIRTCQPQPSGGGVSSASRTAKSDGMHFSNKLHAFVEYESVELAEKAVAELNDEGNWRSGLRVRLMLRRVSKPTHTRGKKGHDGEGHYEEDDASTSEQQSNDKQQEDPSQLSDIHSHEYPGEEHSNEKEGGQKKGRNRGRGKGRGRAQYHNNQHNSRGNHVGTPPSATSNLVSNEQATVAKQPPGPRMPDGTRGFAMGRGKPVAVNTA >EOY06991 pep chromosome:Theobroma_cacao_20110822:5:122552:126925:1 gene:TCM_021541 transcript:EOY06991 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein, putative isoform 1 MAQPETLDSPLSASSSSDPQLSRNLSSSRLNAQAPEFVPTRSTPQQQQQQQQQQQQQQQQQQQQQQSHRMMIPPPPPPAMLHVYPPPPPPPAAFHLPVHSPVPMPPHMVPVPHHMPMRNHHHHHHHPPFQNHPHHHREQEVEAVVKKDHHKEQHKEHKDHGASCSKNGLSEEATLKILNQVEYYFSDLNLATTDHLMRFINKDPEGYVPISVVASFKKIKALITTHAQLATVLRNSLKLVVSEDGKKVRRQHPLTESDMEELQSRIIVAENLPEDHCHQNLMKIFSSVGSVKTIRTCQPQPSGGGVSSASRTAKSDGMHFSNKLHAFVEYESVELAEKAVVAELNDEGNWRSGLRVRLMLRRVSKPTHTRGKKGHDGEGHYEEDDASTSEQQSNDKQQEDPSQLSDIHSHEYPGEEHSNEKEGGQKKGRNRGRGKGRGRAQYHNNQHNSRGNHVGTPPSATSNLVSNEQATVAKQPPGPRMPDGTRGFAMGRGKPVAVNTA >EOY10016 pep chromosome:Theobroma_cacao_20110822:5:32241239:32245780:1 gene:TCM_025384 transcript:EOY10016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 1 MTQELSIPLPNSESRPGSESSTVISVSIADPFVLLRIMMEAFYYLLEVCFIIDDFKYLATCTVSINTPTAFESSKKMVPACTLYHDKGPEPWLCKASTDAWLSTGIGESIDGADGGPYDQGDIYCDICYESGALEILDVPNFNCVFSVEKFAPGRTHLVDAYTLESSKDSEKVINKDSEKVINKSSEELTGQGRKENVQNLKVVELAMQRCSGSQGFFLSESRPGWFIVFRERLRVHPQLCDGSIVASTVLHNASCNHGFINVTLQGILKICRMPPASNDDNYWPVQKIPLRGTPHQVTYFAERNLYPIIVSVP >EOY07150 pep chromosome:Theobroma_cacao_20110822:5:638424:640015:1 gene:TCM_021659 transcript:EOY07150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress induced protein MKSSHLTRQQIVWATTSFCSRNFAGALQRQFDGNLVVITKLPEVKFPSCVKETSKAAPKRAKVIMASQQDREELDARARLGETVVPGGTGGKSLAAQEHLAEGRSRGGQTRKEQLGREGYQELGSKGGQTRKEQIGTEGYQEMGRKGGLSTMDKSGGERAAEKGIHIDESKYRT >EOY11432 pep chromosome:Theobroma_cacao_20110822:5:39264673:39269172:-1 gene:TCM_026609 transcript:EOY11432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFACLKAKRHEDGVVQTFFTKKKWRAFIRGDYGLICHFTFPGALSLLAQLCGVSILIAVLYSREIREAMPTSLDNGIVVLRSLALKIAYAVGSVPPTLDHTLITLIPKVESLEKITQFRPINLCSVSLKLFIKILVDQLRPMLEGLVGRSQSSFIPKRQAINNVIVVQEAIHTDNSIL >EOY10958 pep chromosome:Theobroma_cacao_20110822:5:37223023:37223806:1 gene:TCM_026227 transcript:EOY10958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAISYLLLLLLIHGAMFSYTAPTIEASKTGNSYHSKILQPPPSPRFNYRLSQTPKRCKLGAGLEFCEHVKQTSP >EOY10976 pep chromosome:Theobroma_cacao_20110822:5:37317157:37320172:1 gene:TCM_026247 transcript:EOY10976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A 2A, IIA,PLA2A, putative MATGMSLFSHLPIWLLNVHSALHAFPCLFSITYTSYNSIIITSCWMDPCLLWIDLEGKKLTTILSIDGGGVRGIIPATILAFLESELQNKSWMVRRQGLQITLISLLGLALEALSQPCSPHQMMRIDLCLLQKRSSNFTLNTARKSFLKHQIQHSLEGLVWEKVVVPAYHDAESFIHWAEQAIFRPKYDGVHLKETIEEMLEDRKLCQTLTNVIIPSFDIRLLQPTVFSNLKARRDNLEDARLADVCRSTSAAPYYIPPCKFEINSFSYTRRFNMVDGGVAANNPTLLAMAEASKEMSLNEEDHFLKKMDCSKLLVLSLGTGSSKRNNKLEVDYPKLWGLFKSFQGPDDTVPFSDVLLTATDDMVDIYLSSFFQGSSNFKTNYLRIQLGQLNQRNLENLEKIGNELLKTTASKLNFETGTIEPIPEAGTNEERLIWFTKQLSDEKRGRQAKSCN >EOY08336 pep chromosome:Theobroma_cacao_20110822:5:6642051:6647444:1 gene:TCM_022692 transcript:EOY08336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRMKIFHGIDRKSLVPKVGNGAKSSNFWKNMVKPLVNGCDYGNFVVEEMGLSLGNGNNIGFWEEEWIEGFILKKAFPKVYALANKKKGKVAEFGGGTTMVGNGILSCIRIGKEWDGDQVMEPIKVRVAWWIKAKWPKHNLSFSDLARFPSEGCLKFSTNGTSRGNPEDLGIDGPLRDEFGNTLAIFSKAIGWSDSNMAELLATKEAVLLFIASRWNSSHSLILEFDSSNAVKWIQNPMDIP >EOY09709 pep chromosome:Theobroma_cacao_20110822:5:30680430:30689039:-1 gene:TCM_025105 transcript:EOY09709 gene_biotype:protein_coding transcript_biotype:protein_coding description:High chlorophyll fluorescent 109 isoform 2 MEAILKPLLSSTTMIKPPKPSSFSSYTSINKVPVLLSLAPLLTATSFSFTAILSAPSRFTSSVIYATPESQLTEGVDTNTREWVMQDFYALRKDVETASERVEEIIASTGLQLLEKELADLESKAADSSFWDDRAKAQETLLALTDVKDKINLLNEFKTKVEDAETIVKLTEEMDAIDAALLEEAVSVIKELNKALDRFELTQLLSGPYDKEGAVISITAGAGGTDAQDWADMLLRMYVRWGEKQKYKTKVVEKSLGEEAGLKSATIEVEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESVDVELPEEDLEITFSRAGGKGGQNVNKVETAVRITHIPTGVTVRCTEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTGFETSDIASVMDGELDAFIKAYLKHKYSMSVSATTTGAN >EOY09708 pep chromosome:Theobroma_cacao_20110822:5:30679568:30689166:-1 gene:TCM_025105 transcript:EOY09708 gene_biotype:protein_coding transcript_biotype:protein_coding description:High chlorophyll fluorescent 109 isoform 2 MEAILKPLLSSTTMIKPPKPSSFSSYTSINKVPVLLSLAPLLTATSFSFTAILSAPSRFTSSVIYATPESQLTEGVDTNTREWVMQGSEAVGMLPWFYGHYHCFEVVGFNANKVLDFVDFYALRKDVETASERVEEIIASTGLQLLEKELADLESKAADSSFWDDRAKAQETLLALTDVKDKINLLNEFKTKVEDAETIVKLTEEMDAIDAALLEEAVSVIKELNKALDRFELTQLLSGPYDKEGAVISITAGAGGTDAQDWADMLLRMYVRWGEKQKYKTKVVEKSLGEEAGLKSATIEVEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESVDVELPEEDLEITFSRAGGKGGQNVNKVETAVRITHIPTGVTVRCTEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTGFETSDIASVMDGELDAFIKAYLKHKYSSDSLDKTGTSKRYRPSQACHRMLPLSSARSSDASSKAHQHTSQVDDRAYAP >EOY07212 pep chromosome:Theobroma_cacao_20110822:5:847654:849715:-1 gene:TCM_021698 transcript:EOY07212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MNCTSFYLDFALVGCGRNLLPFQGGGKTTINIAKVNRLRKLRKEEDESVISGSEYISRLRAQHAKLNPGTKWAELDSGLRNCTIYDDESSDEEGGVVAAHGYRNVKADDDILRKNEDLVVKSSVKLLPGLLEYSRLVDAHAEEPANGPINSVQFHRNAQLLFAAGLDRRIRFFQIDGKRNTKIQSLFLADCPVRKASFLPDGSQVIIVGRRKFFYCFDLVKAKIDKIEPLVGREEKSLEVFEVSPNSSTIAFLGNEGYILLVSTKTKGLIGTLKMNGTVYHWDLRTRTCFHKAVDEGCINGTALCTSLTGRMFAAGSDSGIVNIYNRDEFLGGKRKPIKTVENLTTEVDFMKFNSDAQILAICSTLKKNSLKLIHVPSFTVYSNWPPPNVNLHYPRCLDFSPGGGFVAVGNAAGKVLLYKLHHYSHA >EOY07526 pep chromosome:Theobroma_cacao_20110822:5:1858371:1862212:-1 gene:TCM_021936 transcript:EOY07526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb3r3, putative isoform 1 APSSPNHRYCQRTTGPIRRAKGGWTPEEDETLRNAVAAFKGKSWKKIAEFFPDRSEVQCLHRWQKVLNPDLVKGPWTQEEDDKIIELVSRYGPTKWSVIAKSLPGRIGKQCRERWHNHLNPDIKKDAWILEEELALMNAHRIYGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATGKLPPVGKNGLQNGAKDMNSPAATKSLLVCSKKESDSTAQTSSGTTDICKPEEDGKDQLESSAPVQDMAASSSVIPNESADTESAEGKPPSFDANPCCCNSEPGAKFESRGISSAIVEDKVVETQVWRDSPTYGSLCYEPPRLQGVTSLDSDNLNKKGMRPEWTSSPITSPISFFTPPSVKGSGLSAHSPEYILRFAAKSFPNTPSIFRKRKTGAQSLTLPKMGRMNEETVKNRIQLSGEQERTENSLEQAPLHDGSSCESPACQGNSSVGPKCTAFNASPPYRLRSKRTAVFKSVERQLEFTFDKERHEDNTKSSDLSMNGTSPIEDCLNATKMGVT >EOY07525 pep chromosome:Theobroma_cacao_20110822:5:1858371:1862806:-1 gene:TCM_021936 transcript:EOY07525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb3r3, putative isoform 1 MAELTMEERCIENKQLTTASSSSVSEGGGGVVVKSPGISSPAPSSPNHRRTTGPIRRAKGGWTPEEDETLRNAVAAFKGKSWKKIAEFFPDRSEVQCLHRWQKVLNPDLVKGPWTQEEDDKIIELVSRYGPTKWSVIAKSLPGRIGKQCRERWHNHLNPDIKKDAWILEEELALMNAHRIYGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATGKLPPVGKNGLQNGAKDMNSPAATKSLLVCSKKESDSTAQTSSGTTDICKPEEDGKDQLESSAPVQDMAASSSVIPNESADTESAEGKPPSFDANPCCCNSEPGAKFESRGISSAIVEDKVVETQVWRDSPTYGSLCYEPPRLQGVTSLDSDNLNKKGMRPEWTSSPITSPISFFTPPSVKGSGLSAHSPEYILRFAAKSFPNTPSIFRKRKTGAQSLTLPKMGRMNEETVKNRIQLSGEQERTENSLEQAPLHDGSSCESPACQGNSSVGPKCTAFNASPPYRLRSKRTAVFKSVERQLEFTFDKERHEDNTKSSDLSMNGTSPIEDCLNATKMGVT >EOY07524 pep chromosome:Theobroma_cacao_20110822:5:1858371:1862909:-1 gene:TCM_021936 transcript:EOY07524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb3r3, putative isoform 1 MAELTMEERCIENKQLTTASSSSVSEGGGGVVVKSPGISSPAPSSPNHRRTTGPIRRAKGGWTPEEDETLRNAVAAFKGKSWKKIAEFFPDRSEVQCLHRWQKVLNPDLVKGPWTQEEDDKIIELVSRYGPTKWSVIAKSLPGRIGKQCRERWHNHLNPDIKKDAWILEEELALMNAHRIYGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATGKLPPVGKNGLQNGAKDMNSPAATKSLLVCSKKESDSTAQTSSGTTDICKPEEDGKDQLESSAPVQDMAASSSVIPNESADTESAEGKPPSFDANPCCCNSEPGAKFESRGISSAIVEDKVVETQVWRDSPTYGSLCYEPPRLQGVTSLDSDNLNKKGMRPEWTSSPITSPISFFTPPSVKGSGLSAHSPEYILRFAAKSFPNTPSIFRKRKTGAQSLTLPKMGRMNEETVKNRIQLSGEQERTENSLEQAPLHDGSSCESPACQGNSSVGPKCTAFNASPPYRLRSKRTAVFKSVERQLEFTFDKERHEDNTKSSDLSMNGTSPIEDCLNATKMGVT >EOY08396 pep chromosome:Theobroma_cacao_20110822:5:7515717:7536802:1 gene:TCM_022786 transcript:EOY08396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNVYRDIATVITGSRRVSSRDKWHAEGSNEQPTSRNSYIRLRTNRYVVSCYHKISNSLKCTVQHIETYCSLGDRSFGGMLECIADQATFKIKRQPVTRSRCPHRFVSLVVGYVHWAHTPVMTRKFGRDNHKEAAPFRRKSFPFFNEFSLMYARDHATGKNAQTETDILEEMQECNDTINEETEGENPAGYSFDNEDFSNIQPQTSGETIKEAGIEFSKSVGVEVNIQQKAQELDGILSQLEGLIARKRVLASIKLPESPTLMFVFFSIDPDRRLEWLRTFLADH >EOY08639 pep chromosome:Theobroma_cacao_20110822:5:14625585:14633655:1 gene:TCM_023491 transcript:EOY08639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor HBP-1b(c1) isoform 3 MADASPRTDTSTDDTDEKNQRFERGQTNAIVASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRQINELRAAVNSHAGDTELRTIVDNVTAHFDDIFRLKGIAAKADVFHVLSGMWKTPAERCFLWIGGFRSSELLKLLANQLEPLTEQQFMGIHNLQQSSQQAEDALSQGMEALQQSLAETLTNGSPGPSGSSGNVANYMGQMAMAMGKLGTLEGFVRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >EOY08641 pep chromosome:Theobroma_cacao_20110822:5:14625464:14633856:1 gene:TCM_023491 transcript:EOY08641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor HBP-1b(c1) isoform 3 MADASPRTDTSTDDTDEKNQRTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRQINELKGIAAKADVFHVLSGMWKTPAERCFLWIGGFRSSELLKLLANQLEPLTEQQFMGIHNLQQSSQQAEDALSQGMEALQQSLAETLTNGSPGPSGSSGNVANYMGQMAMAMGKLGTLEGFVRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >EOY08644 pep chromosome:Theobroma_cacao_20110822:5:14625464:14633856:1 gene:TCM_023491 transcript:EOY08644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor HBP-1b(c1) isoform 3 MADASPRTDTSTDDTDEKNQRTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRQINELRAAVNSHAGDTELRTIVDNVTAHFDDIFRLKGIAAKADVFHVLSGMWKTPAERCFLWIGGFRSSELLKLLANQLEPLTEQQFMGIHNLQQSSQQAEDALSQGMEALQQSLAETLTNGSPGPSGSSGNVANYMGQMAMAMGKLGTLEGFVRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >EOY08640 pep chromosome:Theobroma_cacao_20110822:5:14625509:14633855:1 gene:TCM_023491 transcript:EOY08640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor HBP-1b(c1) isoform 3 MADASPRTDTSTDDTDEKNQRFERGQTNAIVASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRQINELRAAVNSHAGDTELRTIVDNVTAHFDDIFRLKGIAAKADVFHVLSGMWKTPAERCFLWIGGFRSSELLKLLANQLEPLTEQQFMGIHNLQQSSQQAEDALSQGMEALQQSLAETLTNGSPGPSGSSGNVANYMGQMAMAMGKLGTLEGFVRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >EOY08637 pep chromosome:Theobroma_cacao_20110822:5:14625172:14634054:1 gene:TCM_023491 transcript:EOY08637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor HBP-1b(c1) isoform 3 MADASPRTDTSTDDTDEKNQRFERGQTNAIVASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRQINELRAAVNSHAGMWKTPAERCFLWIGGFRSSELLKLLANQLEPLTEQQFMGIHNLQQSSQQAEDALSQGMEALQQSLAETLTNGSPGPSGSSGNVANYMGQMAMAMGKLGTLEGFVRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >EOY08638 pep chromosome:Theobroma_cacao_20110822:5:14625464:14633856:1 gene:TCM_023491 transcript:EOY08638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor HBP-1b(c1) isoform 3 MADASPRTDTSTDDTDEKNQRFERGQTNAIVASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRQINELKGIAAKADVFHVLSGMWKTPAERCFLWIGGFRSSELLKLLANQLEPLTEQQFMGIHNLQQSSQQAEDALSQGMEALQQSLAETLTNGSPGPSGSSGNVANYMGQMAMAMGKLGTLEGFVRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >EOY08643 pep chromosome:Theobroma_cacao_20110822:5:14625474:14633954:1 gene:TCM_023491 transcript:EOY08643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor HBP-1b(c1) isoform 3 MADASPRTDTSTDDTDEKNQRFERGQTNAIVASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRQINELRAAVNSHAGDTELRTIVDNVTAHFDDIFRLKGIAAKADVFHVLSGMWKTPAERCFLWIGGFRSSELLKLLANQLEPLTEQQFMGIHNLQQSSQQAEDALSQGMEALQQSLAETLTNGSPGPSGSSGNVANYMGQMAMAMGKLGTLEGFVRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >EOY08642 pep chromosome:Theobroma_cacao_20110822:5:14625464:14633856:1 gene:TCM_023491 transcript:EOY08642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor HBP-1b(c1) isoform 3 MADASPRTDTSTDDTDEKNQRTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRQINELRAAVNSHAGMWKTPAERCFLWIGGFRSSELLKLLANQLEPLTEQQFMGIHNLQQSSQQAEDALSQGMEALQQSLAETLTNGSPGPSGSSGNVANYMGQMAMAMGKLGTLEGFVRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >EOY09695 pep chromosome:Theobroma_cacao_20110822:5:30576677:30578527:-1 gene:TCM_025086 transcript:EOY09695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial acyl carrier protein 2 isoform 2 MAAVRGALLKHLRVNATPLARNPNPTSHGLFALTFNAIRRRFCDEVKGSFLDKSEVTDRVISVVKNFQKVDPSKVNPNAHFQNDLGLDSLDTVEVVMALEEEFGFEIPDNEADKISTINLAVEFIASHPQAK >EOY09696 pep chromosome:Theobroma_cacao_20110822:5:30576636:30578514:-1 gene:TCM_025086 transcript:EOY09696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial acyl carrier protein 2 isoform 2 AVRGALLKHLRVNATPLARNPNPTSHGLFALTFNAIRRRFCDEVKGSFLDKSEVTDRVISVVKNFQKVDPSKTLWRLSWPLKKNLGSRFLIMRQTRSAQSILLLSSLLLTLRQSRWEITKLYSLYFVFTLFL >EOY08124 pep chromosome:Theobroma_cacao_20110822:5:4705951:4713189:1 gene:TCM_022448 transcript:EOY08124 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein, putative isoform 2 MLINMENQRRSFDRSRELGLKKPRLTEDLAPNPNGRPFPQRPNPVGAASALRFRSTDSETGDLSRGGGAYEPQPVPHQQQQQQHQELVSQYKTALAELTFNSKPIITNLTIIAGENLHAAKAIASTVCANILEVFCKAYRQVDPPVHQSMRHLFGTWKGVFPPQPLQMIEKELGFAPMINGSSSGTTTSRPDPLSQRPPHSIHVNPKYLEKQRLQQSSRVKGMVNDMTETMSSSKEDSERPDRAAITAGRPYVDPSVKMNNIQRSHRDMFNEPVREKNIGATFGDYDYGSDLLQTPGMGVGRTGGKVTDQGNDRPWYGATSSVTEMISSQRNGFNIKHGSQNYSASKSVNADPRLQATKNIAGRSSSGLSSSWKNSEEEEFMWEMHSRLSEHDAANISNNSRKDHWTPDVSEKLDFETQLRKAQSVHDVGSRFDRERETTADSLSTEQKDKTSYGRRISSAWPLLESNKTDGLPTNNLGHSESYSATIGGLPTGASSSLARIGMRPQKILANVASGSTSTLGQQRFQPLGTASPPEQSPMRQHSPSPSFPGRHPHQQLQKLAEQDYPQAHSLPRTDPKPSHFSGKLNVGSHKHSSQASSALISSYQPSCHYPFGQPPQPDSVQAEPSSQTQKPLPSQISKVGAASTLGIASEQANPLAIGTSELSSTSSLLAAVMKSGILSSNSFTGSLPNKISQDVGQIPSQPPLPNGPPPAVFTSSGLRVDSGTSSGSASHDALAATTNSSQGKVEQPPLPPGPPPPALVSNAPAQTSDAESKASNPISNLLSSLVAKGLISASKKDASSLLSHQIPTQMQESLGMERPTQMQESLGMERHTQMQKESLGMEMPTESPNQSSGISTSSPLPASSIPSSSDDPSSSTMDEVSFAEPATKSSVALHQSAAMEEENLIGLEFRPDVIREFHSSVISKLLDDLPHCCSLCGLRLKLQERLDRHLECHAMKKTESEGSNRALRGWYARSDDWIGGKPGQFAFESTGSVNQLEKTTAKSELMVPADENQYACMLCGELFEDYFCQIRGEWMFKGAVYLTIPSKDGEVGTTNGSAGNGPIVHANCISESSVHDLGLAGGVKLENEE >EOY08122 pep chromosome:Theobroma_cacao_20110822:5:4705893:4713190:1 gene:TCM_022448 transcript:EOY08122 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein, putative isoform 2 MLINMENQRRSFDRSRELGLKKPRLTEDLAPNPNGRPFPQRPNPVGAASALRFRSTDSETGDLSRGGGAYEPQPVPHQQQQQQHQELVSQYKTALAELTFNSKPIITNLTIIAGENLHAAKAIASTVCANILEVPSDQKLPSLYLLDSIVKNIGRDYIKYFAARLPEVFCKAYRQVDPPVHQSMRHLFGTWKGVFPPQPLQMIEKELGFAPMINGSSSGTTTSRPDPLSQRPPHSIHVNPKYLEKQRLQQSSRVKGMVNDMTETMSSSKEDSERPDRAAITAGRPYVDPSVKMNNIQRSHRDMFNEPVREKNIGATFGDYDYGSDLLQTPGMGVGRTGGKVTDQGNDRPWYGATSSVTEMISSQRNGFNIKHGSQNYSASKSVNADPRLQATKNIAGRSSSGLSSSWKNSEEEEFMWEMHSRLSEHDAANISNNSRKDHWTPDVSEKLDFETQLRKAQSVHDVGSRFDRERETTADSLSTEQKDKTSYGRRISSAWPLLESNKTDGLPTNNLGHSESYSATIGGLPTGASSSLARIGMRPQKILANVASGSTSTLGQQRFQPLGTASPPEQSPMRQHSPSPSFPGRHPHQQLQKLAEQDYPQAHSLPRTDPKPSHFSGKLNVGSHKHSSQASSALISSYQPSCHYPFGQPPQPDSVQAEPSSQTQKPLPSQISKVGAASTLGIASEQANPLAIGTSELSSTSSLLAAVMKSGILSSNSFTGSLPNKISQDVGQIPSQPPLPNGPPPAVFTSSGLRVDSGTSSGSASHDALAATTNSSQGKVEQPPLPPGPPPPALVSNAPAQTSDAESKASNPISNLLSSLVAKGLISASKKDASSLLSHQIPTQMQESLGMERPTQMQESLGMERHTQMQKESLGMEMPTESPNQSSGISTSSPLPASSIPSSSDDPSSSTMDEVSFAEPATKSSVALHQSAAMEEENLIGLEFRPDVIREFHSSVISKLLDDLPHCCSLCGLRLKLQERLDRHLECHAMKKTESEGSNRALRGWYARSDDWIGGKPGQFAFESTGSVNQLEKTTAKSELMVPADENQYACMLCGELFEDYFCQIRGEWMFKGAVYLTIPSKDGEVGTTNGSAGNGPIVHANCISESSVHDLGLAGGVKLENEE >EOY08123 pep chromosome:Theobroma_cacao_20110822:5:4706072:4713189:1 gene:TCM_022448 transcript:EOY08123 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein, putative isoform 2 MLINMENQRRSFDRSRELGLKKPRLTEDLAPNPNGRPFPQRPNPVGAASALRFRSTDSETGDLSRGGGAYEPQPVPHQQQQQQHQELVSQYKTALAELTFNSKPIITNLTIIAGENLHAAKAIASTVCANILEVPSDQKLPSLYLLDSIVKNIGRDYIKYFAARLPEVFCKAYRQVDPPVHQSMRHLFGTWKGVFPPQPLQMIEKELGFAPMINGSSSGTTTSRPDPLSQRPPHSIHVNPKYLEKQRLQQSSRVKGMVNDMTETMSSSKEDSERPDRAAITAGRPYVDPSVKMNTPGMGVGRTGGKVTDQGNDRPWYGATSSVTEMISSQRNGFNIKHGSQNYSASKSVNADPRLQATKNIAGRSSSGLSSSWKNSEEEEFMWEMHSRLSEHDAANISNNSRKDHWTPDVSEKLDFETQLRKAQSVHDVGSRFDRERETTADSLSTEQKDKTSYGRRISSAWPLLESNKTDGLPTNNLGHSESYSATIGGLPTGASSSLARIGMRPQKILANVASGSTSTLGQQRFQPLGTASPPEQSPMRQHSPSPSFPGRHPHQQLQKLAEQDYPQAHSLPRTDPKPSHFSGKLNVGSHKHSSQASSALISSYQPSCHYPFGQPPQPDSVQAEPSSQTQKPLPSQISKVGAASTLGIASEQANPLAIGTSELSSTSSLLAAVMKSGILSSNSFTGSLPNKISQDVGQIPSQPPLPNGPPPAVFTSSGLRVDSGTSSGSASHDALAATTNSSQGKVEQPPLPPGPPPPALVSNAPAQTSDAESKASNPISNLLSSLVAKGLISASKKDASSLLSHQIPTQMQESLGMERPTQMQESLGMERHTQMQKESLGMEMPTESPNQSSGISTSSPLPASSIPSSSDDPSSSTMDEVSFAEPATKSSVALHQSAAMEEENLIGLEFRPDVIREFHSSVISKLLDDLPHCCSLCGLRLKLQERLDRHLECHAMKKTESEGSNRALRGWYARSDDWIGGKPGQFAFESTGSVNQLEKTTAKSELMVPADENQYACMLCGELFEDYFCQIRGEWMFKGAVYLTIPSKDGEVGTTNGSAGNGPIVHANCISESSVHDLGLAGGVKLENEE >EOY09964 pep chromosome:Theobroma_cacao_20110822:5:31988018:31990998:1 gene:TCM_025335 transcript:EOY09964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin related protein 4C MSGHQDVDAESIGVDDIVIYDFDDSPPYAAPAPVEQEKVVAAKVESAPIISSYNDKIRPLLDAIDKLRLLMVMKEGIQLPTIVVVGDQSSGKSSVLDSLAGVNLPRSQGICTRVPLIIRLQNHSSPRPDLYLEYSGKVVPVDESYIATAINLATDEVAGNGKGISNTPLTLVVKKDGVPDLTMVDLPGITRVPVHGQPENIYEQIRDIIMQYITPKESIILNVLSASVDFSTCESIKMSRQVDKTGERTLAVVTKADKAPEELLQKVTADDVNIGLGYVCVRNRIGDESHEEASREEARLFQTHPHLSRIDKSIVGVPVLARKLVQIQANTIARCLPEIVKNISEKLNANVSELERMPKALTSVADAMTAFMRIIGAVKESLRKLLWRGDFDEYPDDRSKHGTARLVEILNQFSHELHNCEESDPTKDFLAEEIKVLEDAKGIELPNFLSLEVFLRILQRKVERISCTPIKFVEMIWDYIDGVVMAVLMRHSEMYSQLKVSTTRAGHNLVQKMREQSINRVEEIVQMEKLTCYTCNPVYMVEWTKLMNAQDNIIKNVNTGPNHMGMPSRVTVEGFGDIAVEHLRHHSCGLLQQAFDLKMRMTAYWKIVLQRLVDSMALHLQYCVHNLVNNDMEEIVNELIGPDGHGIEMMLVESPAIAAKREKLKKSIKLLKESKDVVAKVMDRIASYGELAA >EOY07130 pep chromosome:Theobroma_cacao_20110822:5:531798:533439:-1 gene:TCM_021642 transcript:EOY07130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MKVDDNETQLSVKHLQFEFLQLSGLYYADGITVTNKGLTMELVKILTLFTSIDLSFNNFEGPIPDVIGEFKALYVLNLSHNALTGAIPPSLGKLQQLESLDLSSNNLNGSIPPQLVNLNFLAVLNLSHNQLEGPIPAGKQFSTFENESYEGNKGLCGYPLTKSCKNANNGHNSQSDAAEKNQNDEFDWEFIFIGAGFGVGAAAAVAPFIFWKRANKWVDNTVDKILGIILPKVGLVYTPPDDAEVKADNTENDDEDEEIKDKYGEFRGRYCVFCSKLDKTRTKAIHDTCCTCYDSPSLSPSSSTSSSFSP >EOY11291 pep chromosome:Theobroma_cacao_20110822:5:38842940:38847402:-1 gene:TCM_026523 transcript:EOY11291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase complex, subunit Tim44-related protein isoform 1 MKRMALLRRFNTIRSLYQTFELRESSSFLLRSSRSYITGSSNVPQFYSHGASSCLYKTDTNALPWTCRSAMTLRSTMAAEFLIFLNDKKSVSTQAKAPAQARQMGALKVSMTSPGFVYEPYAPGKPISFWKRYFTRSGWRRTKDDIKSELKSAYAIAKLRRSGYSKNQFYKEAVELFKEINTLMANGDKTTLRKVVTENMFSALKNEIKKRESVWSQVYWEMVEPIVKIRTLRARLIGVDKNDINKAFIQLTLEFLSKQKFEAYDSKGAVVAGDRTKEVLVRDIWVFEKSLFHPGAYWRLCGRIKV >EOY11292 pep chromosome:Theobroma_cacao_20110822:5:38843193:38847279:-1 gene:TCM_026523 transcript:EOY11292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase complex, subunit Tim44-related protein isoform 1 MKRMALLRRFNTIRSLYQTFELRESSSFLLRSSRSYITGSSNVPQFYSHGASSCLYKTDTNALPWTCRSAMTLRSTMAAEFLIFLNDKKSVSTQAKAPAQARQMGALKVSMTSPGFVYEPYAPGKPISFWKRYFTRSGWRRTKDDIKSELKSAYAIAKLRRSGYSKNQFYKEAVELFKEINTLMANGDKTTLRKVVTENMFSALKNEIKKRESVWSQVYWEMVEPIVKIRTLRARLVSIQLIICLGVDLPHVVFEVLLFYELNRKTQIRMIRQKLLNHACNVCLVGRNVIWRFGLIIFNCHEPLTLQ >EOY07366 pep chromosome:Theobroma_cacao_20110822:5:1336088:1339548:1 gene:TCM_021819 transcript:EOY07366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein MSLTMLSGLNPVLVTLIPLTEFREPEIMKKYDVKPDIEVLDMLDTASRQKEIHIVTKLFWGEDAREKILDAIEDLKLDSLVMGSRGLGTVQRIILGSVSNYVMTHAPCPVTIVNSNFHNKLASELRVRFTQWQRMMKDLLVQIGLMRPLLGKEEGQPNDMKDVEWAELEQRCVKLEKIYLAKSLSNKLQLRRKLYRLKMEENGDLMKHMNEFNGIIDQLKKVDVKGLSYKRDESNENKGECTFVAEGDNCDVLTISENMDANSDWYLDFASATHICYQKNCFDLLQEGVTGNLTLGNKSIVKVMGLVVVKIKMFDGVVRSLGGVAYVPKMHKNLISLSLLDSKGYGYSACDGVVKVTQGDMVLMRGNLHNGLYHLECEASKGWEQCTGDGSYQSEISLAEEVMKDSHGVDDGERTKNLTNSELEGSLRSLSEVN >EOY09495 pep chromosome:Theobroma_cacao_20110822:5:29507270:29522952:-1 gene:TCM_024911 transcript:EOY09495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-11 MAENEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETAKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >EOY07945 pep chromosome:Theobroma_cacao_20110822:5:3547427:3554187:-1 gene:TCM_022277 transcript:EOY07945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative isoform 1 MYCRLFSLSFLFLPSNSLLNGLIHNPKMSNPYSNVYIVGDQESEDGTEPEAEEDEEDSEEEGGGGEEFIPVTEWPRFLSSKEGKKGGEGRVCLSAGEYGENSQGNEWNRGDIEGLFCPICMEAWTTTGDHHVCCLPCGHIYGFSCIHKWLQQRGTSRKCPQCNRKCTLKDVRKLFASRVVAIDGESQKRIRSLEANCISLEKTSAVLSKKETEWQKREAEWQKSEAEWQKREAALQQEVHQLKEKTKYLEHLIDVQSRTFGCAPSIRGLQVPLGHNLGSEFNEQGPFILQKELRVDGARLFDVDASSKNLLIARRLQGLGGTHFLTKMSLVAPYETEDISLPFGTKAVRDLHICPSDGSLALLASLGKKLSVLSTESNNVILAYDLPNGSVLVFDMRQTARHMDSMNGLTSNPVHTIHSLHNSTIPSGHFWFQRLAIKECVFLLLIVLTVMTLLLHIDHELTFQMRWHLLNLY >EOY07944 pep chromosome:Theobroma_cacao_20110822:5:3547427:3554186:-1 gene:TCM_022277 transcript:EOY07944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative isoform 1 MYCRLFSLSFLFLPSNSLLNGLIHNPKMSNPYSNVYIVGDQESEDGTEPEAEEDEEDSEEEGGGGEEFIPVTEWPRFLSSKEGKKGGEGRVCLSAGEYGENSQGNEWNRGDIEGLFCPICMEAWTTTGDHHVCCLPCGHIYGFSCIHKWLQQRGTSRKCPQCNRKCTLKDVRKLFASRVVAIDGESQKRIRSLEANCISLEKTSAVLSKKETEWQKREAEWQKSEAEWQKREAALQQEVHQLKEKTKYLEHLIDVQSRTFGCAPSIRGLQVPLGHNLGSEFNEQGPFILQKELRVDGARLFDVDASSKNLLIARRLQGLGGTHFLTKMSLVAPYETEDISLPFGTKAVRDLHICPSDGSLALLASLGKKLSVLSTESNNVILAYDLPAAAWSCSWDLNRSHQIYAGLQNGSVLVFDMRQTARHMDSMNGLTSNPVHTIHSLHNSTIPSGITAILSASSVGICQWSFGGSEERPFLVSETGNQGVCISLAYCPNSDDIIASYRPRIDFSNEMASSQPLLTPVNGQGVQGSHVHLKRAGSNSYQKLGVTCANVNDVRLPRFTIMNIENHVCLFASGDELTGELVLQKLPSFTVVQRVTLPKHPIHDVKYSHAFDGGLLGCLSEDILQLFSNHALK >EOY08572 pep chromosome:Theobroma_cacao_20110822:5:11009631:11021584:1 gene:TCM_023151 transcript:EOY08572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Downstream neighbor of son, putative isoform 1 MAKVAAHGALASTSLQIGGDALKLGSTVKRKTPSELRGEQLKRTNVEELVNKSLAISEMDNGLKKQDLPRNPRYIDTRMDEVYPAKKSRFKLLSGKENAKENSSIEQPSSLKKISALSTLAAKRRQQLSCPETSFASVDVPKDDVTRAPRTLEKCSQGTFLSVTELSSGGPKLSGLATLDMDKALKGLAACEAIPSAPPESLEKCDDLSPNGSFCSEFHVTGQKIPLDFTLKTYMRLVSSSSVNWLNRSMMCGTYNGMPQVTSQSGCSEDQNITKASQARLASQALNSRALHSWIYPQSTLAPSLMSILASSAADGAEMDFLRKRQLAWEDSFRSLYYMFRQNVCSIFYVCTSHFVVMFTAADGSGRSRRSCHAYISQSTRGLRSSFKEHDVSFSMPLCRSQVEQVTTEDLVELSEIEKHNLGQTRRMNSLSDVDNTPQSLLAFTGNDNVHGLFEILLNYRSFLTFLNAVDVPVLYSPVPFQNAALSAPEIRCMEIKRADHGAALPKGFTLRDGDFRPISSTGLCYSVEIKDSHIPPWIISNICDLMASEGRSFEASFTTEHTSVGLNIALATACEKADSEATVAEDSQETAYAFGIPKVVVSPYLLAGLLKGLNYCNGSYAVSLSPV >EOY08573 pep chromosome:Theobroma_cacao_20110822:5:11009696:11016532:1 gene:TCM_023151 transcript:EOY08573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Downstream neighbor of son, putative isoform 1 MAKVAAHGALASTSLQIGGDALKLGSTVKRKTPSELRGEQLKRTNVEELVNKSLAISEMDNGLKKQDLPRNPRYIDTRMDEVYPAKKSRFKLLSGKENAKVITFPENENSSIEQPSSLKKISALSTLAAKRRQQLSCPETSFASVDVPKDDVTRAPRTLEKCSQGTFLSVTELSSGGPKLSGLATLDMDKALKGLAACEAIPSAPPESLEKCDDLSPNGSFCSEFHVTGQKIPLDFTLKTYMRLVSSSSVNWLNRSMMCGTYNGMPQVTSQSGCSEDQNITKASQARLASQALNSRALHSWIYPQSTLAPSLMSILASSAADGAEMDFLRKRQLAWEDSFRSLYYMFRQNVCSIFYVCTSHFVVMFTAADGSGRSRRSCHAYISQSTRGLRSSFKEHDVSFSMPLCRSQVEQVTTEDLVELSEIEKHNLGQTRRMNSLSDVDNTPQSLLAFTGNDNVHGLFEILLNYRSFLTFLNAVDVPVLYSPVPFQNAALSAPEIRCMEIKRADHGAALPKGFTLRDGDFRPISSTGLCYSVEIKDSHIPPWIISNICDLMASEGRSFEARALQQSIPQLA >EOY08537 pep chromosome:Theobroma_cacao_20110822:5:10338671:10342173:1 gene:TCM_023091 transcript:EOY08537 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein, putative isoform 1 MYKTKKLWTPLSTVVRRALPTTCHLTPYSPNSQIRSSSSRLETSSRVSLSLHYGHDFLGNLKFSAKSFCSQSAAKVDATTKRCWNCDAVAAGMAPFLYCDSCRSIQPVDFSVDYFQIFGLEKKYEIEVDGLEGKYKDWQKKLHPDLVHSKSEKEREYAAEQSARVIDAYRTLSKPLARAIYILRLEGVLVDEEQTVSDPELLTEIMEIREAVEEAMDSQALNQIQSQMKEKLEGSSSSFANAYRSRNFDEAVTCIQKMTYYQRANEEILKKL >EOY08538 pep chromosome:Theobroma_cacao_20110822:5:10338978:10342004:1 gene:TCM_023091 transcript:EOY08538 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein, putative isoform 1 SSSRLETSSRVSLSLHYGHDFLGNLKFSAKSFCSQSAAKVDATTKRCWNCDAVAAGMAPFLYCDSCRSIQPVDFSVDYFQIFGLEKKYEIEVDGLEGKYKDWQKKLHPDLVHSKSEKEREYAAEQSARVIDAYRTLSKPLARAIYILRLEGVLVDEEQTVSDPELLTEIMEIREAVEEAMDSQALNQIQSQMKEKLEGSSSSFANAYRSRNFDEAVTCIQKMTYYQRANEEILKKL >EOY11180 pep chromosome:Theobroma_cacao_20110822:5:38245692:38255944:1 gene:TCM_026436 transcript:EOY11180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MHRVGSAGNNANSNRPRKEKRLTYVLNDTDDKKHCAGINCLAVLKSSVSDGCNYLFTGSRDGTLKRWALGEDAATCSATFESHVDWVNDTVIVGDNTLVSCSSDTTLKTWNCLSDGTCTRTLRQHSDYVTCLAAAEKNTNVVASGGLGGEVFVWDIEAAVTPLSKSSDAMEDDCSNGINGSANSIPMSSLRPISSSNSITAHTAQSHGYVPISAKGHKESVYALAMNDSGTLLVSGGTEKVVRVWDPRTGSKTMKLRGHTDNIRTLLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLTTRESLLLCTKEHPILQLALHDDSIWVATTDSSVHRWPAEGRNPQKIFQRGGSFLAGNLSFSRARVSLEGSTPTPVYKEPIFTITGTPAIVQHEILNNRRHVLTKDTAGSVKLWEITRGVVIEDYGQVSFDEKKEQLFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNITGKPEDDKVNLARETLKGLLAHWMTKRRQRLGSQASANGDVLTGKDITSRSLPHSRIEVDGNAENDSMVYPPFEFSIVSPPSIITEGSQGGPWRKKITELDGTEDEKDFPWWVLDCVLNNRLPPRENTKCSFYLHPCEGSNVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPIDSVNTDGTFAPGLGGQLQHSAVGDGSFRSGLKPWQKLRPSIEILCNNQVLSPDMSLATVRAYVWKKPEDLILNYRVIQGR >EOY11181 pep chromosome:Theobroma_cacao_20110822:5:38245846:38255495:1 gene:TCM_026436 transcript:EOY11181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MHRVGSAGNNANSNRPRKEKRLTYVLNDTDDKKHCAGINCLAVLKSSVSDGCNYLFTGSRDGTLKRWALGEDAATCSATFESHVDWVNDTVIVGDNTLVSCSSDTTLKTWNCLSDGTCTRTLRQHSDYVTCLAAAEKNTNVVASGGLGGEVFVWDIEAAVTPLSKSSDAMEDDCSNGINGSANSIPMSSLRPISSSNSITAHTAQSHGYVPISAKGHKESVYALAMNDSGTLLVSGGTEKVVRVWDPRTGSKTMKLRGHTDNIRTLLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLTTRESLLLCTKEHPILQLALHDDSIWVATTDSSVHRWPAEGRNPQKIFQRGGSFLAGNLSFSRARVSLEGSTPTPVYKEPIFTITGTPAIVQHEILNNRRHVLTKDTAGSVKLWEITRGVVIEDYGQVSFDEKKEQLFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNITGKPEDDKVNLARETLKGLLAHWMTKRRQRLGSQASANGDVLTGKDITSRSLPHSRIEVDGNAENDSMVYPPFEFSIVSPPSIITEGSQGGPWRKKITELDGTEDEKDFPWWVLDCVLNNRLPPRENTKCSFYLHPCEGSNVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPIDSVNTDGTFAPGLGGQLQHSAVGDGSFRSGLKPWQKLRPSIEILCNNQVLSPDMSLATVRAYVWKKPEDLILNYRVIQGR >EOY11182 pep chromosome:Theobroma_cacao_20110822:5:38245846:38255495:1 gene:TCM_026436 transcript:EOY11182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MHRVGSAGNNANSNRPRKEKRLTYVLNDTDDKKHCAGINCLAVLKSSVSDGCNYLFTGSRDGTLKRWALGEDAATCSATFESHVDWVNDTVIVGDNTLVSCSSDTTLKTWNCLSDGTCTRTLRQHSDYVTCLAAAEKNTNVVASGGLGGEVFVWDIEAAVTPLSKSSDAMEDDCSNGINGSANSIPMSSLRPISSSNSITAHTAQSHGYVPISAKGHKESVYALAMNDSGTLLVSGGTEKVVRVWDPRTGSKTMKLRGHTDNIRTLLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLTTRESLLLCTKEHPILQLALHDDSIWVATTDSSVHRWPAEGRNPQKIFQRGGSFLAGNLSFSRARVSLEGSTPTPVYKEPIFTITGTPAIVQHEILNNRRHVLTKDTAGSVKLWEITRGVVIEDYGQVSFDEKKEQLFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNITGKPEDDKVNLARETLKGLLAHWMTKRRQRLGSQASANGDVLTGKDITSRSLPHSRIEVDGNAENDSMVYPPFEFSIVSPPSIITEGSQGGPWRKKITELDGTEDEKDFPWWVLDCVLNNRLPPRENTKCSFYLHPCEGSNVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPIDSVNTDGTFAPGLGGQLQHSAVGDGSFRSGLKPWQKLRPSIEILCNNQVLSPDMSLATVRAYVWKKPEDLILNYRVIQGR >EOY11176 pep chromosome:Theobroma_cacao_20110822:5:38230093:38232712:-1 gene:TCM_026433 transcript:EOY11176 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: SEC-C motif (InterPro:IPR004027); Has 583 Blast hits to 583 proteins in 248 species: Archae - 0; Bacteria - 488; Metazoa - 0; Fungi - 0; Plants - 34; Viruses - 0; Other Eukaryotes - 61 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G04950) TAIR;Acc:AT3G04950] MLSSRILNNISKRMPSSSSFSLGYAYPEHHLVLPQHRSIFTTSPVHASWMDSIKGVFTGKKTSTDDPKTSAESFTLLRESSAHRSVTLGFADELKNARKLGTFKQYIVGRSSEATFADAFEKQEAIIRYLGGCDPTGENLQTSQKKEAAKQCDCTIADVENALAKFTWAKEAHKKMAKLKAEGKPMPKSIAEVQKLMGSTPLDLARSNMAKSGQISRNALCPCGSKKRYKRCCGKDQ >EOY11175 pep chromosome:Theobroma_cacao_20110822:5:38228860:38232716:-1 gene:TCM_026433 transcript:EOY11175 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: SEC-C motif (InterPro:IPR004027); Has 583 Blast hits to 583 proteins in 248 species: Archae - 0; Bacteria - 488; Metazoa - 0; Fungi - 0; Plants - 34; Viruses - 0; Other Eukaryotes - 61 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G04950) TAIR;Acc:AT3G04950] MLSSRILNNISKRMPSSSSFSLGYAYPEHHLVLPQHRSIFTTSPVHASWMDSIKGVFTGKKTSTDDPKTSAESFTLLRESSAHRSVTLGFADELKNARKLGTFKQYIVGRSSEATFADAFEKQEAIIRYLGGCDPTGENLQTSQKKEAAKQCDCTIADVENALAKFTWAKEAHKKMAKLKAEGKPMPKSIAEVQKLMGSTPLDLARSNMAKSGQISRNALCPCGSKKRYKRINRFKEKMDENPTPALNPQTARILMQLQMTLFHGSLDEKLVSTAEAMECHKAWDCHGSDLCQEDCKSKYNISV >EOY11177 pep chromosome:Theobroma_cacao_20110822:5:38229997:38232755:-1 gene:TCM_026433 transcript:EOY11177 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: SEC-C motif (InterPro:IPR004027); Has 583 Blast hits to 583 proteins in 248 species: Archae - 0; Bacteria - 488; Metazoa - 0; Fungi - 0; Plants - 34; Viruses - 0; Other Eukaryotes - 61 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G04950) TAIR;Acc:AT3G04950] MLSSRILNNISKRMPSSSSFSLGYAYPEHHLVLPQHRSIFTTSPVHASWMDSIKGVFTGKKTSTDDPKTSAESFTLLRFADELKNARKLGTFKQYIVGRSSEATFADAFEKQEAIIRYLGGCDPTGENLQTSQKKEAAKQCDCTIADVENALAKFTWAKEAHKKMAKLKAEGKPMPKSIAEVQKLMGSTPLDLARSNMAKSGQISRNALCPCGSKKRYKRCCGKDQ >EOY11344 pep chromosome:Theobroma_cacao_20110822:5:39028307:39028827:-1 gene:TCM_026559 transcript:EOY11344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKPISLREMQKLGDFELPHFLVYLPYFTLQPVRRLVRNSYNFGRIWITAIIRLEEEFLLFSNLVIKSTLSHEARRQSSQP >EOY07939 pep chromosome:Theobroma_cacao_20110822:5:3511570:3518092:-1 gene:TCM_022270 transcript:EOY07939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoadenosine phosphosulfate (PAPS) reductase family protein isoform 1 MEIDKAIRESDDTRLKTKYNNAVYVIKRALALYSIEEVAFSFNGGKDSTVLLHLLRAGYFLHRREQNCPNGVLTDFPIRTIYFESASAFPEINSFTYDIAKMYGMQMDIIRSDFKSGLEMLLKAKPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPSFMRVNPILDWSYRDVWAFLLTCKVQYCSLYDQGYTSIGSIYDTVPNALLSISNTSSKEKFKPAYLLPDGRLERAGRVKKISSSDGTRLPAINNGLDSVDSHRNRMFTASVIGVGDEILFGTVEDQLGRSLCRKLHSISWLTSQTAVVRNDIDSVAEEIERRKSTNDVVFLYGGVGPLHSDVTLAGVAKAFGVRLAPDEEFEEYLRHLIGDHCTGDRNEMAQLPEGITELLHHEKLPVPLIKCRNVIVLSATNATELDKQWDCLIELTGSDGCLVTMEPYSSKRLTTNLTDVETAQPLSKLCLEFPDLYIGCFRESRQGPLVISFEGKDLARIHAAVETLCKKLHPEAFSEVN >EOY07938 pep chromosome:Theobroma_cacao_20110822:5:3511623:3518221:-1 gene:TCM_022270 transcript:EOY07938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoadenosine phosphosulfate (PAPS) reductase family protein isoform 1 MEIDKAIRESDDTRLKTKYNNAVYVIKRALALYSIEEVAFSFNGGKDSTVLLHLLRAGYFLHRREQNCPNGVLTDFPIRTIYFESASAFPEINSFTYDIAKMYGMQMDIIRSDFKSGLEMLLKAKPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPSFMRVNPILDWSYRDVWAFLLTCKVQYCSLYDQGYTSIGSIYDTVPNALLSISNTSSKEKFKPAYLLPDGRLERAGRVKKISSSDGTRLPAINNGLDSVDSHRNRMFTASVIGVGDEILFGTVEDQLGRSLCRKLHSISWLTSQTAVVRNDIDSVAEEIERRKSTNDVVFLYGGVGPLHSDVTLAGVAKAFGVRLAPDEEFEEYLRHLIGDHCTGDRNEMAQLPEGITELLHHEKLPVPLIKCRNVIVLSATNATELDKQWDCLIELTGSDGCLVTMEPYSSKRLTTNLTDVETAQPLSKLCLEFPDLYIGCFRESRQGPLVISFEGKDLARIHAAVETLCKKLHPEAFSEVN >EOY08365 pep chromosome:Theobroma_cacao_20110822:5:6968667:6980574:1 gene:TCM_022726 transcript:EOY08365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFRWSKAKVLLSSLPTSLITTWDNFARKFLAKFFLLAKTAKMRNDITSFTQFDVIATQRFASYFAMVDVARLIKTRRMDAMMERVVKESLWRPGHHKVVIHLVHLLSESNLVHHLVWASTEGCSV >EOY10906 pep chromosome:Theobroma_cacao_20110822:5:36956923:36961006:1 gene:TCM_026184 transcript:EOY10906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylyltransferase superfamily protein, putative isoform 4 MYGNSWDEDRAMDLLNQLEAILESDPLIDEVGFIHPSQFVTLCKEGGESGDSSEDDVLGPRNTKFWNRDHKLGISTEVLLPLCKAAKGAFMDAMKQYRTLNSLSNNKHEHENIMYGSLSRQSFDSEIMKHSRALLLLSCDFGTAWNSRKLVVSKKQQLAMLMDELLLSALVLSYSPKSEQAWSHRRWVIKMIAGKCSTMPEIITKESELVEKIAERSKMNYRAWNHRCWLVSYMTREQMLHELKKSRDWAGLHVADNSCFHYRRRLMLGILKNSCCKQECDDSSYDVENYQVVKALWLHRRFLSQCSISHLTMNMHGISSQSEQKTSMDNEISIFMHNELCLLNSCSTIQDMEFEDFQAQAMYSAIYYLWLIKLIPQFRESEVQVKLKAGNLKTILHKTCPERSFLWDFLDLNGSL >EOY10905 pep chromosome:Theobroma_cacao_20110822:5:36956943:36961858:1 gene:TCM_026184 transcript:EOY10905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylyltransferase superfamily protein, putative isoform 4 MYGNSWDEDRAMDLLNQLEAILESDPLIDEVGFIHPSQFVTLCKEGGESGDSSEDDVLGPRNTKFWNRDHKLGISTEVLLPLCKAAKGAFMDAMKQYRTLNSLSNNKHEHENIMYGSLSRQSFDSEIMKHSRALLLLSCDFGTAWNSRKLVVSKKQQLAMLMDELLLSALVLSYSPKSEQAWSHRRWVIKMIAGKCSTMPEIITKESELVEKIAERSKMNYRAWNHRCWLVSYMTREQMLHELKKSRDWAGLHVADNSCFHYRRRLMLGILKNSCCKQECDDSSYDVENYQVVKEELDWNEVLIKRYVGREALWLHRRFLSQCSISHLTMNMHGISSQSEQKTSMDNEISIFMHNELCLLNSCSTIQDMEFEDFQAQAMYSAIYYLWLIKLIPQFRESEVQVKLKAGNLKTILHKTCPERSFLWDFLDLNGSL >EOY10907 pep chromosome:Theobroma_cacao_20110822:5:36957023:36960363:1 gene:TCM_026184 transcript:EOY10907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylyltransferase superfamily protein, putative isoform 4 AILESDPLIDEVGFIHPSQFVTLCKEGGESGDSSEDDVLGPRNTKFWNRDHKLGISTEVLLPLCKAAKGAFMDAMKQYRTLNSLSNNKHEHENIMYGSLSRQSFDSEIMKHSRALLLLSCDFGTAWNSRKLVVSKKQQLAMLMDELLLSALVLSYSPKSEQAWSHRRWVIKMIAGKCSTMPEIITKESELVEKIAERSKMNYRAWNHRCWLVSYMTREQMLHELKKSRDWAGLHVADNSCFHYRRRLMLGILKNSCCKQECDDSSYDVENYQVVKEELDWNEVLIKRYVGREVQGFLPIPFPSSNKRNG >EOY10908 pep chromosome:Theobroma_cacao_20110822:5:36956943:36960202:1 gene:TCM_026184 transcript:EOY10908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylyltransferase superfamily protein, putative isoform 4 MYGNSWDEDRAMDLLNQLEAILESDPLIDEVGFIHPSQFVTLCKEGGESGDSSEDDVLGPRNTKFWNRDHKLGISTEVLLPLCKAAKGAFMDAMKQYRTLNSLSNNKHEHENIMYGSLSRQSFDSEIMKHSRALLLLSCDFGTAWNSRKLVVSKKQQLAMLMDELLLSALVLSYSPKSEQAWSHRRWVIKMIAGKCSTMPEIITKESELVEKIAERSKMNYRAWNHRCWLVSYMTREQMLHELKKSRDWAGLHVADNSCFHYRRRLMLGILKNSCCKQECDDSSYDVENYQVVKVQLCGILMLIKLPISCLYQESIPHMICICFIDH >EOY11751 pep chromosome:Theobroma_cacao_20110822:5:40219616:40225732:1 gene:TCM_026831 transcript:EOY11751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Haspin, putative isoform 2 MDRKAASGEVGIWSEIIASESEEHQSRKRVQVIYQRRRPSAHGHTTPQETLLVEEVAPNNRNRLSLAAANKRVSWNRSLSTRGRTSIAVAPCVKNQPQQKQAKRRGKPPVPKGKLAEPPSFEKEREYFQEVDAFELLEESPSPKNFGTWAMGNQSVTDLVPLVSSRLEKWLFSKKLNFSCGPSSTLSKILETPAAPLDSIYSDDLDSSRLRTPEKSIQISASSVDGGCEDINAAIKKLSLVTSSDLDGVDPFSALLEICQQLAPLRFFELFSKYCDPESITKVGEGTYGEAFRAGNTVCKIVPFDGDFPVNGEVQKKSEELLEEAVLSQTLNSLREFENGVFNACTTFIETIDLKVCQGSYDAALIRAWEKWDEKNDSQNDHPKEFPEKQCYVVFVLQHGGKDLESFVLKNFDEARSLLVQVTAALAVAEAAYEFEHRDLHWGNILLSRNDSVTSKFILEGKQMFIRTFGLSISIIDFTLSRINTGESILFLDLSMDPYLFKGPKGDKQSETYRKMKEVTEDYWEGSFPRTNVLWLLYLVDILLLKKTFARSSTNERELRSLKKRLDKCNSAREAIFDPLFGDLLVAQTTPCE >EOY11750 pep chromosome:Theobroma_cacao_20110822:5:40219600:40225775:1 gene:TCM_026831 transcript:EOY11750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Haspin, putative isoform 2 MDRKAASGEVGIWSEIIASESEEHQSRKRVQVIYQRRRPSAHGHTTPQETLLVEEVAPNNRNRLSLAAANKRVSWNRSLSTRGRTSIAVAPCVKNQPQQKQAKRRGKPPVPKGKLAEPPSFEKEREYFQEVDAFELLEESPSPKNFGTWAMGNQSVTDLVPLVSSRLEKWLFSKKLNFSCGPSSTLSKILETPAAPLDSIYSDDLDSSRLRTPEKSIQISASSVDGGCEDINAAIKKLSLVTSSDLDGVDPFSALLEICQQLAPLRFFELFSKYCDPESITKVGEGTYGEAFRAGNTVCKIVPFDGDFPVNGEVQKKSEELLEEAVLSQTLNSLREFENGVFNACTTFIETIDLKVCQGSYDAALIRAWEKWDEKNDSQNDHPKEFPEKQCYVVFVLQHGGKDLESFVLKNFDEARSLLVQVTAALAVAEAAYEFEHRDLHWGNILLSRNDSVTSKFILEGKQMFIRTFGLSISIIDFTLSRINTGESILFLDLSMDPYLFKGPKGDKQSETYRKMKEVTEDYWEGSFPRTNVLWLLYLVDILLLKKTFARSSTNERELRSLKKRLDKCNSAREAIFDPLFGDLLVAQTTPCEYPRATSHPVVYDQQIAFYLLTRNSDDMFQKLPTYIHMTWVYLQSLNLHC >EOY10134 pep chromosome:Theobroma_cacao_20110822:5:33123737:33128566:-1 gene:TCM_046803 transcript:EOY10134 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MSGTGKVVCVTGASGYIASWLVKFLLQRGYTVKATVRDPSNPKKTEHLVALDGAKERLHLFKAELLDEGAFDSVVDGCVGVFHTASPFYLDVKDPQAEMIDPAVKGTLNVLRSCAKVPSIKRVVITSSIVAVIFNGRPLGPDVLVDETWFSNPTFCEKSKLWYMLSKTLAEEAAWKYAKENGIQMVTVNPGLVIGPLLQPTINTSVEPILKLINGAETFPNTSYRLIDVRDVANAHIIAYENSSACGRYLLVERVMHCSEIVQALRELYPALRLPERCADEKVGMPVFQVSKDRAKSLGVNFTPTEVSIKDTVESLKEKNFFSV >EOY08138 pep chromosome:Theobroma_cacao_20110822:5:4920115:4930759:-1 gene:TCM_022475 transcript:EOY08138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRRINELESQEHELWFAIRKTNVRFFKQVFCLITGLKFGPLPDIFLRSYEVVLGGIHTWKWNQKSNEFNKTMQMLEACQKLWAHKMLEPKLDEAMREYWSNIVDEEAPCDWTHTNDGERQADDCDHHNDVDAEGNVVPTADSHVNEVDVREVENNEAFLEDVTAIEMLQVVLYILDAWSALSPHSIDLDEKTLMWQSKGSTSPPLSPVSFYVQQRKSLLINLA >EOY09769 pep chromosome:Theobroma_cacao_20110822:5:30957904:30960564:-1 gene:TCM_025151 transcript:EOY09769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLLVNVNKYYKKKRERVGCAKALRWVKFFTLTERRRRQSSRRRVWKRRFVNKRMVTKLMQLKVEMEEVREQQICIKEGQRKVKENFEAVESECRNLHAETMIIMKQSACTRLRLCIMFQILKARENNDFAKAAALTQALRLVPYSPFLFFDMPIHFISKGHASWLKAIAQITSHPSNNTLQLKYILLRSKTRISKLWNQVLGHLANETLENIC >EOY08448 pep chromosome:Theobroma_cacao_20110822:5:8530956:8533904:-1 gene:TCM_022891 transcript:EOY08448 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDR1/HIN1-like 1 MSAKDCGNHGKSRRKLFKRIIACILIFNLIVLITILLIWAILRPSKPKFILQDTTVFAFNASTPNFLTSNFQVTVSSRNPNDKIGIYYDRLDIYATYRNQQITLRTAIPPTYQGHKEVNVWSPFIFGNMVPIAPDFFMALNSEQAAGSVFLMIKIDGRVRWKVGTFVSGRYHLYVRCPAYITFGSKSNGVIVGENAIKLQLVSRCSVSV >EOY11069 pep chromosome:Theobroma_cacao_20110822:5:37778485:37780450:-1 gene:TCM_026343 transcript:EOY11069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indoleacetic acid-induced protein 16 MTSIMDAACDKYSMINFEETELRLGLPGGNGNDGEMTKNNGKRGFSETVDLKLNLSTAKEAVADEAEKVKKKSTVAPRSADPAKPPAKAQVVGWPPVRSFRKNIMAVQKNSSDVEGEKAGSSNTTTATVAAAFVKVSMDGAPYLRKVDLKLYKSYQELSDALGKMFSSFTIGNCGSQGMKDFMNESKLIDLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAVEKCKNRS >EOY08529 pep chromosome:Theobroma_cacao_20110822:5:10302440:10306226:-1 gene:TCM_023088 transcript:EOY08529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22p/L17e family protein MVGWQRHLQCVLRQVGRRLEHNYTLSANHSSSSRLSSSFLTGELPSIQRLWRSPSASISTPFYQYFQQLGISTSRKLLAGSSEETPISSPLTPVLAINSGKTEEKKVVPNRSKVQAVLKNIKQSPKKVNLVAALVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANATHNHGLDPDRLLVAEAFIGKGFYKKRISYHAKGKCGIKERPECRLTVVVREMTPEEEAEIARLRVSKFRKLTKRERRLVPHKLIETTPIWNRKGKGSSQEPSGMAA >EOY11257 pep chromosome:Theobroma_cacao_20110822:5:38685578:38707122:1 gene:TCM_026502 transcript:EOY11257 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MEPILTGAAANLAAEAAKGIFQEMKSHIRYVIIYKKNVDKFEEKLKTLIAKRASVQQEVDAADRNGEKIKADVQHWSNTVDKVIIEEEKKVKDLEDKAKNKCFIGLCPNIKSRNQLSRKAEEGVATIDDLIQQCQFNGVGYRDVPEAILDASPKDFETFKSREKVFNDIMEATKDATINTIGLYGLAGMGKTSLVKEVARQVQELKLFDSVVTVIMTQTPDIQNIQDQIAELLGLRLEDKSTVVRARRLCERLKKEKKVLVVLDNVWKKLDLEEVGIPFGNQHKGCKILLTSRDQNVLSNGMDAEKTFSIGDLDDEEAWDLFRKMVGDSVESAELRSTAIEVAQRCARLPLAIATVARALRNKSLFAWEDALRQLQRPSSSNFTGISADVYSAIELSYNHLESDELKQTFLLCTLLRHDSSIDYLLQCAIGLGLINGVSTVKEARNRLLTLVSNLKASCLLLDNNLNDRYFDMHDLVYDVAVSIASKDNHVFALNEEVLEDWPNGEKMNKIYLRYPSIIGELPSELNCPEVVLFIFFSEDLSLKMPPSFFNQTKNLKVLDLSDMQFSSLPLSTCLLTSLRALFLNQCELRDITSIGELKNLEILNLSYSDIKILPKEIGRLVKLKVLDLGHCTKLKIISPGVLSSLSRLEELYMGGTFIQWEVGGHANQRGSIASLAELNTLSCLTTLEVHIPDAEAISRGLLFKELQKLIRYQIFIGKEWEWFGEYEHSRTLKLKLSTSIDHLDHGIKLLLKKTEALYLDDLKSVTIAMQEFKDEESLSHLKNLHIQNDSVIKYIIIDNGIVDKNEFLQLQSLTLQNLPQLISFCSEDENGSTSRVQDELPLFSEKSLFPCLENLRLSSISVERIWHDSFCNHENLTSLIIENCGNLKRLLSFSMSRKLVHLKCFEIIGCKCLREIIFAEDIEEESKDTILFPQLNSLKLQDLQHLIGFLLERRNIEFPSLKSLKIEKCPELKGFIYESTMEGSQNFSSQVLFDEKVAFLSLEKISISKLRNMKMIWKNRLAANSFHKLQEMEVEECDQLLTIFPSNMLRAFQGLQTLFVKNCVSLEEVFEVGRSNMEETGTVTTQLRLLYIGHLPSLKNIWKNDPKGIFTFENLRVISVWSCYNLKNVLPASVAKVLPQLRELRINNCGVEEIVSKEEGLETTVTFKFDQVCYLCLWRLPELKCFYPGVHTTKWPMLKKLKVSGCDKMKILGTERLSISDKAKVDGQLESTLIQPPLLLAEKVIPKLEKLSLNSDDVNAMISDSQFSRSLFREIKVLRVCNSGDESVVFPITFLERFHNLEKLVVISYEFKELFCNERDSGMETYAGTLPTIRSLKLIRLDNLKHLWKQDVQVDRILPNLETLQVHQCDELISLGSSSASFQNLLTLDVCSCGAMICLVTSLAVQSLAQLKKLRIRQCISMKEIVGNEGDEATYNINFSKLKSLELCDLPQITSFCSGNHTFGFPSLEEVIVNKCPEMEIFCKGVLNAPMLERVQVTDKDGKGHWGGDLNSTVQQLYAEKVGYKGVEYVVLSEFSKSTEIWKENPEGVFNFVNLTYLEVYKCKSLKYIFTVSMALDLLQLKEIKVRNCPMMEQIITREGAEEASIVLPRLQSITLRSCSNLRSFSLGSITMECPSLLCIDAVNCSKLLALASTFVGEKDTETVAAFFNDKVVCPNLEIVRLSSIDVQRIWDDQLPEMSSYVQNLKQLTVRGCRNLEEVIFIEGLPEDGMLSQIFPKLEILQFIGLPKLARFCHGSYFEFPLLRQLIMKNCPALKTFISDSTVTNETLICQKAKGNNSDIDSPPLFSEKVAFPQLKKLGIVGMANCRKIWPDQLVGYSFCKLNDLWVYECNRLLSIFPLNMRARLQNLEEFRIRECDSLEEIFEHEALNTNDLHSVTATQSIAEETTTNFVFPKLTYLELYMLPRLRSFCSTIHTMEWPSLKEMWIYGCDKVEIFASENIRSFGESTNQQPLFWVNEVTFPNLEELKLEWNDIMKEIWHGQLRANFFYKLKVLELIHFPDQSAVFPHCFIQSLPNLEKLVVSEASFSHIFHFEGFDGEKNHASAITSLNELVLSELPELTHLWKEEYHPIPAFCELRTLQVRDCGKLKILAPSSVSFENLTTLEVSRCHGYVNLIACSTAKSLVQLTRMTITDCEMIEKIIACESEEVKGDIVFTELKYLQLSCLPNMASFCLGDHNLEFPILEKMIVRKCPKMKIFCQGDLSTPQLQKVILTEDGDEENGQWEGDLKTTIKRMFEEEVTGHSLIGYLLGVPPKGYRIPSIEELQDDRFATGSVDESLQASARSISHKYFHFQNITECEGRNVGGDEPQAC >EOY07167 pep chromosome:Theobroma_cacao_20110822:5:697672:703408:1 gene:TCM_021672 transcript:EOY07167 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC domain-containing protein 8 isoform 3 MEIGSSSGNFKLYDRLELLEYQDKFVIKSVESPDQGFSICRREGNIEPLSDESSSGRPSKISTIYGVAGTIRLLAGIYVLVITSRKEVGSFLGYPVYRVESMKFLACNEALRYSNSQEKRDEAYFMTLLKTVEATPGLYYSYETDITLNLQRRCKLMEGWMSKSIWKQQADPRFVWNKHLLEELIEYKLDGFIIPLLQGSFQVAQLKLKNSPATFTLLSRRCTRRLGTRMWRRGANLEGDTANFIETEQLLELEGFRCSLLQIRGSIPLLWEQIVDLSYKPQLRVINHEQTPQVVERHFHDLFQRYGETIALDLTDKHGDEGQLSAAYSAEMQKLPNVRYVSFDFHHVCGNSNFDNLHILYDQISQEFEKQGYFLIDTDGNILEEQKGIIRSNCIDCLDRTNVTQSYLAQKSLNIQLQRIGVFTSTECISMFAEDYGKFRTLWAEQGDEISLEYAGTHALKGDLVRFGKQTVAGLLKDGMSALSRYYLNNFHDGIRQDALDLVSGRYTVSRNNPSPFQLNSFESFSYLPVASALLIGGLTVTTFTIQQAGRNAQQYVSSVVWAGVAAGVMALVKANGRQFCSRPRLCGLL >EOY07166 pep chromosome:Theobroma_cacao_20110822:5:697672:703408:1 gene:TCM_021672 transcript:EOY07166 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC domain-containing protein 8 isoform 3 MEIGSSSGNFKLYDRLELLEYQDKFVIKSVESPDQGFSICRREGNIEPLSDESSSGRPSKISTIYGVAGTIRLLAGIYVLVITSRKEVGSFLGYPVYRVESMKFLACNEALRYSNSQEKRDEAYFMTLLKTVEATPGLYYSYETDITLNLQRRCKLMEGWMSKSIWKQADPRFVWNKHLLEELIEYKLDGFIIPLLQGSFQVAQLKLKNSPATFTLLSRRCTRRLGTRMWRRGANLEGDTANFIETEQLLELEGFRCSLLQIRGSIPLLWEQIVDLSYKPQLRVINHEQTPQVVERHFHDLFQRYGETIALDLTDKHGDEGQLSAAYSAEMQKLPNVRYVSFDFHHVCGNSNFDNLHILYDQISQEFEKQGYFLIDTDGNILEEQKGIIRSNCIDCLDRTNVTQSYLAQKSLNIQLQRIGVFTSTECISMFAEDYGKFRTLWAEQGDEISLEYAGTHALKGDLVRFGKQTVAGLLKDGMSALSRYYLNNFHDGIRQDALDLVSGRYTVSRNNPSPFQLNSFESFSYLPVASALLIGGLTVTTFTIQQAGRNAQQYVSSVVWAGVAAGVMALVKANGRQFCSRPRLCGLL >EOY07165 pep chromosome:Theobroma_cacao_20110822:5:697191:703724:1 gene:TCM_021672 transcript:EOY07165 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC domain-containing protein 8 isoform 3 MEIGSSSGNFKLYDRLELLEYQDKFVIKSVESPDQGFSICRREGNIEPLSGKFLILLFFLYLRFAEILNESSSGRPSKISTIYGVAGTIRLLAGIYVLVITSRKEVGSFLGYPVYRVESMKFLACNEALRYSNSQEKRDEAYFMTLLKTVEATPGLYYSYETDITLNLQRRCKLMEGWMSKSIWKQADPRFVWNKHLLEELIEYKLDGFIIPLLQGNILKLLFPRAFELICMCVACACICLSFQVAQLKLKNSPATFTLLSRRCTRRLGTRMWRRGANLEGDTANFIETEQLLELEGFRCSLLQIRGSIPLLWEQIVDLSYKPQLRVINHEQTPQVVERHFHDLFQRYGETIALDLTDKHGDEGQLSAAYSAEMQKLPNVRYVSFDFHHVCGNSNFDNLHILYDQISQEFEKQGYFLIDTDGNILEEQKGIIRSNCIDCLDRTNVTQSYLAQKSLNIQLQRIGVFTSTECISMFAEDYGKFRTLWAEQGDEISLEYAGTHALKGDLVRFGKQTVAGLLKDGMSALSRYYLNNFHDGIRQDALDLVSGRYTVSRNNPSPFQLNSFESFSYLPVASALLIGGLTVTTFTIQQAGRNAQQYVSSVVWAGVAAGVMALVKANGRQFCSRPRLCGLL >EOY08789 pep chromosome:Theobroma_cacao_20110822:5:20264741:20269249:-1 gene:TCM_023945 transcript:EOY08789 gene_biotype:protein_coding transcript_biotype:protein_coding description:2,4-dienoyl-CoA reductase isoform 2 MAEQQLEPWAYLSGKVVMVTGASSGLGREFCLDLGKAGCRIVAAARRVDRLKSLCDEINCLTFPSSKPQPSGPRAVAVELDVCAKGATIQSSVKTAWDAFGRIDSLINNAGIRGNVKNPMELSEEEWNQTIKTNLTGSWLVSKYVCMHMLDANQGGSIINISSIAGLNRGQLPGGVAYAASKAGLIAMTKSMALELGAHKIRVNSISPGLFKSEITQGLMKKDWLTNVATRTAPLRTFGTSDPALTSLVRYLIHDSSEYVSGNMFIVDAGVTLPGIPIFSSL >EOY08788 pep chromosome:Theobroma_cacao_20110822:5:20264763:20271854:-1 gene:TCM_023945 transcript:EOY08788 gene_biotype:protein_coding transcript_biotype:protein_coding description:2,4-dienoyl-CoA reductase isoform 2 MEVSSFTVCLTDQLLVFAMAEQQLEPWAYLSGKVVMVTGASSGLGREFCLDLGKAGCRIVAAARRVDRLKSLCDEINCLTFPSSKPQPSGPRAVAVELDVCAKGATIQSSVKTAWDAFGRIDSLINNAGIRGNVKNPMELSEEEWNQTIKTNLTGSWLVSKYVCMHMLDANQGGSIINISSIAGLNRGQLPGGVAYAASKAGLIAMTKLTFDARLSLEYQSFCGVCNPTQLLPPVMVRCRRNLRMETGLSTDKISELDELAVWFSSSFLLERSMALELGAHKIRVNSISPGLFKSEITQGLMKKDWLTNVATRTAPLRTFGTSDPALTSLVRYLIHDSSEYVSGNMFIVDAGVTLPGIPIFSSL >EOY07437 pep chromosome:Theobroma_cacao_20110822:5:1559104:1565761:1 gene:TCM_021872 transcript:EOY07437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MGTSALRQLLKSFCSNSPWKYAVLWKLRHRSPMSLTWEDGYCVYPRPRESVESISSDVHSNSEIIPSHFETSIHDGCFGGYPIGLVVANMSHLKYAWGEGVVGKVAYTGKHCWVSYDDIFTGKANSKLVPECPEEWLLQFASGIKTIVLVPVLPHGVFQLGSLEMVPEDLSTPAYIKDRFSCKDIHTQLPSLLTSSLLEKLEESSSASISPLNSEDSNAVDGIKPLSIQNAFQVPEIDLPEVLESEGENKISVPPVSLSEVSSPLSQSINSYQLAMGESEMFGLSCIKEELWANPEYNGYTVGECGEILDGVTYPYPASDLLEPPFGDFSVYDAGFLSFPKDCELHKALGPAFEKQSNEYFWESSFLTEDVFRDLFDDIEPSFAKGGDAEYLLQAVVGHVYDGSVDIANRSNHFMTSTGQLPVSIRPQSVMGDSIPVSRVTSALVGEAKNNSSSKTSASFKSTVSTLTDDKNLGKDCYYMQSRKGQKQSSVTKRRARLGDNPRPRPRDRQMIQDRLKELRELVPNGDKHSIDALLDHTVKHMRYLSSVTNQAEKLKQWVHREVTVRKNMRSSESKDCYQMGASWAFEIGDELKACPIVVEDLAYPGHFLIEMLCNEHCLFLEIAQVIRSFNLTILKGVMESCSNNTWAHFIVEPAQHQYPAVQTDYHQRHILGHQQLCKRYATGQQQLLGLVYPKKKKRKLVSKDHLYFLGHT >EOY07434 pep chromosome:Theobroma_cacao_20110822:5:1559703:1568689:1 gene:TCM_021872 transcript:EOY07434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MSLTWEDGYCVYPRPRESVESISSDVHSNSEIIPSHFETSIHDGCFGGYPIGLVVANMSHLKYAWGEGVVGKVAYTGKHCWVSYDDIFTGKANSKLVPECPEEWLLQFASGIKTIVLVPVLPHGVFQLGSLEMVPEDLSTPAYIKDRFSCKDIHTQLPSLLTSSLLEKLEESSSASISPLNSEDSNAVDGIKPLSIQNAFQVPEIDLPEVLESEGENKISVPPVSLSEVSSPLSQSINSYQLAMGESEMFGLSCIKEELWANPEYNGYTVGECGEILDGVTYPYPASDLLEPPFGDFSVYDAGFLSFPKDCELHKALGPAFEKQSNEYFWESSFLTEDVFRDLFDDIEPSFAKGGDAEYLLQAVVGHVYDGSVDIANRSNHFMTSTGQLPVSIRPQSVMGDSIPVSRVTSALVGEAKNNSSSKTSASFKSTVSTLTDDKNLGKDCYYMQSRKGQKQSSVTKRRARLGDNPRPRPRDRQMIQDRLKELRELVPNGDKHSIDALLDHTVKHMRYLSSVTNQAEKLKQWVHREVTVRKNMRSSESKDCYQMGASWAFEIGDELKACPIVVEDLAYPGHFLIEMLCNEHCLFLEIAQVIRSFNLTILKGVMESCSNNTWAHFIVEASRGFHRLDIFWPLMQLLQRQRNPISSKI >EOY07436 pep chromosome:Theobroma_cacao_20110822:5:1559217:1565547:1 gene:TCM_021872 transcript:EOY07436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MGTSALRQLLKSFCSNSPWKYAVLWKLRHRSPMSLTWEDGYCVYPRPRESVESISSDVHSNSEIIPSHFETSIHDGCFGGYPIGLVVANMSHLKYAWGEGVVGKVAYTGKHCWVSYDDIFTGKANSKLVPECPEEWLLQFASGIKTIVLVPVLPHGVFQLGSLEMVPEDLSTPAYIKDRFSCKDIHTQLPSLLTSSLLEKLEESSSASISPLNSEDSNAVDGIKPLSIQNAFQVPEIDLPEVLESEGENKISVPPVSLSEVSSPLSQSINSYQLAMGESEMFGLSCIKEELWANPEYNGYTVGECGEILDGVTYPYPASDLLEPPFGDFSVYDAGFLSFPKDCELHKALGPAFEKQSNEYFWESSFLTEDVFRDLFDDIEPSFAKGGDAEYLLQAVVGHVYDGSVDIANRSNHFMTSTGQLPVSIRPQSVMGDSIPVSRVTSALVGEAKNNSSSKTSASFKSTVSTLTDDKNLGKDCYYMQSRKGQKQSSVTKRRARLGDNPRPRPRDRQMIQDRLKELRELVPNGDKHSIDALLDHTVKHMRYLSSVTNQAEKLKQWVHREVTVRKNMRSSESKDCYQMGASWAFEIGDELKACPIVVEDLAYPGHFLIEMLCNEHCLFLEIAQVIRSFNLTILKGVMESCSNNTWAHFIVEASRGFHRLDIFWPLMQLLQRQRNPISSKI >EOY07431 pep chromosome:Theobroma_cacao_20110822:5:1559104:1565166:1 gene:TCM_021872 transcript:EOY07431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MGTSALRQLLKSFCSNSPWKYAVLWKLRHRSPMSLTWEDGYCVYPRPRESVESISSDVHSNSEIIPSHFETSIHDGCFGGYPIGLVVANMSHLKYAWGEGVVGKVAYTGKHCWVSYDDIFTGKANSKLVPECPEEWLLQFASGIKTIVLVPVLPHGVFQLGSLEMVPEDLSTPAYIKDRFSCKDIHTQLPSLLTSSLLEKLEESSSASISPLNSEDSNAVDGIKPLSIQNAFQVPEIDLPEVLESEGENKISVPPVSLSEVSSPLSQSINSYQLAMGESEMFGLSCIKEELWANPEYNGYTVGECGEILDGVTYPYPASDLLEPPFGDFSVYDAGFLSFPKDCELHKALGPAFEKQSNEYFWESSFLTEDVFRDLFDDIEPSFAKGGDAEYLLQAVVGHVYDGSVDIANRSNHFMTSTGQLPVSIRPQSVMGDSIPVSRVTSALVGEAKNNSSSKTSASFKSTVSTLTDDKNLGKDCYYMQSRKGQKQSSVTKRRARLGDNPRPRPRDRQMIQDRLKELRELVPNGDKHSIDALLDHTVKHMRYLSSVTNQAEKLKQWVHREVTVRKNMRSSESKDCYQMGASWAFEIGDELKACPIVVEDLAYPGHFLIEMLCNEHCLFLEIAQVIRSFNLTILKGVMESCSNNTWAHFIVEASRGFHRLDIFWPLMQLLQRQRNPISSKI >EOY07435 pep chromosome:Theobroma_cacao_20110822:5:1559703:1568689:1 gene:TCM_021872 transcript:EOY07435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MSLTWEDGYCVYPRPRESVESISSDVHSNSEIIPSHFETSIHDGCFGGYPIGLVVANMSHLKYAWGEGVVGKVAYTGKHCWVSYDDIFTGKANSKLVPECPEEWLLQFASGIKTIVLVPVLPHGVFQLGSLEMVPEDLSTPAYIKDRFSCKDIHTQLPSLLTSSLLEKLEESSSASISPLNSEDSNAVDGIKPLSIQNAFQVPEIDLPEVLESEGENKISVPPVSLSEVSSPLSQSINSYQLAMGESEMFGLSCIKEELWANPEYNGYTVGECGEILDGVTYPYPASDLLEPPFGDFSVYDAGFLSFPKDCELHKALGPAFEKQSNEYFWESSFLTEDVFRDLFDDIEPSFAKGGDAEYLLQAVVGHVYDGSVDIANRSNHFMTSTGQLPVSIRPQSVMGDSIPVSRVTSALVGEAKNNSSSKTSASFKSTVSTLTDDKNLGKDCYYMQSRKGQKQSSVTKRRARLGDNPRPRPRDRQMIQDRLKELRELVPNGDKHSIDALLDHTVKHMRYLSSVTNQAEKLKQWVHREVTVRKNMRSSESKDCYQMGASWAFEIGDELKACPIVVEDLAYPGHFLIEMLCNEHCLFLEIAQVIRSFNLTILKGVMESCSNNTWAHFIVEASRGFHRLDIFWPLMQLLQRQRNPISSKI >EOY07432 pep chromosome:Theobroma_cacao_20110822:5:1559703:1567714:1 gene:TCM_021872 transcript:EOY07432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MSLTWEDGYCVYPRPRESVESISSDVHSNSEIIPSHFETSIHDGCFGGYPIGLVVANMSHLKYAWGEGVVGKVAYTGKHCWVSYDDIFTGKANSKLVPECPEEWLLQFASGIKTIVLVPVLPHGVFQLGSLEMVPEDLSTPAYIKDRFSCKDIHTQLPSLLTSSLLEKLEESSSASISPLNSEDSNAVDGIKPLSIQNAFQVPEIDLPEVLESEGENKISVPPVSLSEVSSPLSQSINSYQLAMGESEMFGLSCIKEELWANPEYNGYTVGECGEILDGVTYPYPASDLLEPPFGDFSVYDAGFLSFPKDCELHKALGPAFEKQSNEYFWESSFLTEDVFRDLFDDIEPSFAKGGDAEYLLQAVVGHVYDGSVDIANRSNHFMTSTGQLPVSIRPQSVMGDSIPVSRVTSALVGEAKNNSSSKTSASFKSTVSTLTDDKNLGKDCYYMQSRKGQKQSSVTKRRARLGDNPRPRPRDRQMIQDRLKELRELVPNGDKHSIDALLDHTVKHMRYLSSVTNQAEKLKQWVHREVTVRKNMRSSESKDCYQMGASWAFEIGDELKACPIVVEDLAYPGHFLIEMLCNEHCLFLEIAQVIRSFNLTILKGVMESCSNNTWAHFIVEASRGFHRLDIFWPLMQLLQRQRNPISSKI >EOY07438 pep chromosome:Theobroma_cacao_20110822:5:1561450:1565166:1 gene:TCM_021872 transcript:EOY07438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MSLYMFLRVPEDLSTPAYIKDRFSCKDIHTQLPSLLTSSLLEKLEESSSASISPLNSEDSNAVDGIKPLSIQNAFQVPEIDLPEVLESEGENKISVPPVSLSEVSSPLSQSINSYQLAMGESEMFGLSCIKEELWANPEYNGYTVGECGEILDGVTYPYPASDLLEPPFGDFSVYDAGFLSFPKDCELHKALGPAFEKQSNEYFWESSFLTEDVFRDLFDDIEPSFAKGGDAEYLLQAVVGHVYDGSVDIANRSNHFMTSTGQLPVSIRPQSVMGDSIPVSRVTSALVGEAKNNSSSKTSASFKSTVSTLTDDKNLGKDCYYMQSRKGQKQSSVTKRRARLGDNPRPRPRDRQMIQDRLKELRELVPNGDKHSIDALLDHTVKHMRYLSSVTNQAEKLKQWVHREVTVRKNMRSSESKDCYQMGASWAFEIGDELKACPIVVEDLAYPGHFLIEMLCNEHCLFLEIAQVIRSFNLTILKGVMESCSNNTWAHFIVEASRGFHRLDIFWPLMQLLQRQRNPISSKI >EOY07433 pep chromosome:Theobroma_cacao_20110822:5:1559703:1567714:1 gene:TCM_021872 transcript:EOY07433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MSLTWEDGYCVYPRPRESVESISSDVHSNSEIIPSHFETSIHDGCFGGYPIGLVVANMSHLKYAWGEGVVGKVAYTGKHCWVSYDDIFTGKANSKLVPECPEEWLLQFASGIKTIVLVPVLPHGVFQLGSLEMVPEDLSTPAYIKDRFSCKDIHTQLPSLLTSSLLEKLEESSSASISPLNSEDSNAVDGIKPLSIQNAFQVPEIDLPEVLESEGENKISVPPVSLSEVSSPLSQSINSYQLAMGESEMFGLSCIKEELWANPEYNGYTVGECGEILDGVTYPYPASDLLEPPFGDFSVYDAGFLSFPKDCELHKALGPAFEKQSNEYFWESSFLTEDVFRDLFDDIEPSFAKGGDAEYLLQAVVGHVYDGSVDIANRSNHFMTSTGQLPVSIRPQSVMGDSIPVSRVTSALVGEAKNNSSSKTSASFKSTVSTLTDDKNLGKDCYYMQSRKGQKQSSVTKRRARLGDNPRPRPRDRQMIQDRLKELRELVPNGDKHSIDALLDHTVKHMRYLSSVTNQAEKLKQWVHREVTVRKNMRSSESKDCYQMGASWAFEIGDELKACPIVVEDLAYPGHFLIEMLCNEHCLFLEIAQVIRSFNLTILKGVMESCSNNTWAHFIVEASRGFHRLDIFWPLMQLLQRQRNPISSKI >EOY11296 pep chromosome:Theobroma_cacao_20110822:5:38856523:38860539:1 gene:TCM_026527 transcript:EOY11296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MKMKMKMKMIFVQDTGYKLYKGSLTDRPIFVKKYDSSWSSLAVNPYKDIAIGSQMSVHKNVLKFIGCYLETETPTIVYEFAGTKRLSTFISATNVQPLPWKWKLKIAIGLANPVAYLHTAFSRPVIHRGIKCLKIILDENNVPKLIDFGLCISIPEGQSHAKRAIIGKTGWWVPPEYWARGYITEKVDVYQFGLFLFELLSGQGLAYLPMDDTLDIGELSFEKFIDAVDWRIKYEGIGQEQLLNFATLINRCTFYDEQKRPTMIEVGKELKQIDQSFTSPSLNGKVNHQEQQPRVNNQVLGISKIAWGQGNFL >EOY11644 pep chromosome:Theobroma_cacao_20110822:5:39914155:39918049:1 gene:TCM_026757 transcript:EOY11644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor 2 MPPFILKRSNKGSLLIFQYHRTKTRNLCSSQLVPNFLDSNQNHKVLDSGLSSILLKSTKNRSGSFCTLANSSLLSSQNLHFLYLNPKGWIFAREFGTQAAVELCTSDGLTVDGIVGSKWMIVEESESDWKSHAAAIAQSIQVIKKRLQWKKLMVRLDLLSAELNKPDLWNDPVHAGKISREHGSLMGKMKVVKAFEQELLEHIDMIKLAREENDAELESELMKALLRMRRDSKDKELEALLAGEHDSCSCYIEVQAGAGGTESMDWAAMVMQMYKTWAQRRGYGVTVMDEMPGEIAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVIPILGDGSTHVQINESDLRIERFRAGGAGGQHVNTTESAVRIVHIPTGVTATCQNERSQHQNKASAMAVLQSRLDQLEMARQAQMNAQHTQSLTEISWGNQIRTYVLHPYRMVKDLRTNYEVSDPDSVLEGDLDGFILSYLSSSLDKDEGEQ >EOY11600 pep chromosome:Theobroma_cacao_20110822:5:39831922:39836682:-1 gene:TCM_026737 transcript:EOY11600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin alpha, ALPHA,AIMP ALPHA isoform 2 MSLRPNARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGLQAQPMPASLHSSAVEKKLENLPAMVAGVWSEDSNLQLESTTQFRKLLSIERSPPIEEVIQAGVVPRFVEFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPRCRDLVLGHGALLPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCARLVELLLHPSPSVLIPALRTVGNIVTGDDLQTQCIINHQALPCLLNLLTNNFKKSIKKEACWTISNITAGNKEQIQAVIEANIIAPLVHLLQNAEFDIKKEAAWAISNATSGGTHDQIKFLVSQGCIKPLCDLLNCPDPRIVTVCLEGLENILKVGEADKNQGNTGGVNLYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKVLETYWLEEEDETMPPGDASQTGFQFGPGEELYLVLCKSLWIWWNNSWVPGQASCCHVWVLSRVGCGVEGAGLAWKNTARFILCKKGNGGKMRLVICYNKLRNQSVYFGCS >EOY11601 pep chromosome:Theobroma_cacao_20110822:5:39832130:39836682:-1 gene:TCM_026737 transcript:EOY11601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin alpha, ALPHA,AIMP ALPHA isoform 2 MSLRPNARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGLQAQPMPASLHSSAVEKKLENLPAMVAGVWSEDSNLQLESTTQFRKLLSIERSPPIEEVIQAGVVPRFVEFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPRCRDLVLGHGALLPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCARLVELLLHPSPSVLIPALRTVGNIVTGDDLQTQCIINHQALPCLLNLLTNNFKKSIKKEACWTISNITAGNKEQIQAVIEANIIAPLVHLLQNAEFDIKKEAAWAISNATSGGTHDQIKFLVSQGCIKPLCDLLNCPDPRIVTVCLEGLENILKVGEADKNQGNTGGVNLYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKVLETYWLEEEDETMPPGDASQTGFQFGPGEVHVPSGGFNFS >EOY11602 pep chromosome:Theobroma_cacao_20110822:5:39828697:39836678:-1 gene:TCM_026737 transcript:EOY11602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin alpha, ALPHA,AIMP ALPHA isoform 2 MSLRPNARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGLQAQPMPASLHSSAVEKKLENLPAMVAGVWSEDSNLQLESTTQFRKLLSIERSPPIEEVIQAGVVPRFVEFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPRCRDLVLGHGALLPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCARLVELLLHPSPSVLIPALRTVGNIVTGDDLQTQCIINHQALPCLLNLLTNNFKKSIKKEACWTISNITAGNKEQIQAVIEANIIAPLVHLLQNAEFDIKKEAAWAISNATSGGTHDQIKFLVSQGCIKPLCDLLNCPDPRIVTVCLEGLENILKVGEADKNQGNTGGVNLYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKVLETYWLEEEDETMPPGDASQTGFQFGPGEVHVPSGGFNFS >EOY11603 pep chromosome:Theobroma_cacao_20110822:5:39828697:39836678:-1 gene:TCM_026737 transcript:EOY11603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin alpha, ALPHA,AIMP ALPHA isoform 2 MSLRPNARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGLQAQPMPASLHSSAVEKKLENLPAMVAGVWSEDSNLQLESTTQFRKLLSIERSPPIEEVIQAGVVPRFVEFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPRCRDLVLGHGALLPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCARLVELLLHPSPSVLIPALRTVGNIVTGDDLQTQCIINHQALPCLLNLLTNNFKKSIKKEACWTISNITAGNKEQIQAVIEANIIAPLVHLLQNAEFDIKKEAAWAISNATSGGTHDQIKFLVSQGCIKPLCDLLNCPDPRIVTVCLEGLENILKVGEADKNQGNTGGVNLYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKVLETYWLEEDMGIFFCFYVIGVLCCHIMHQNMPLLDSLIFFV >EOY11575 pep chromosome:Theobroma_cacao_20110822:5:39728626:39731001:1 gene:TCM_026715 transcript:EOY11575 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 2, putative MHQGSTVTSMDFHPSNHTLLLVGSANGEITLWELGMRAKLVTRPFKIWEMSTCSMTFQALMVNDAPISVSRVTWSPDGSLVGVAFSKHLIHLYAYLGSHDLIQRLEIDAHIGGVNDLAFAHPFEELRSITCGDDKRIKVWDVMTGQKLFKFRDHDAPVYSICPMDSEQVEHKELMSISCLYFRLDCNNNQSLLLKDAITTNLLNFISTYVDGEVILWRYDMRFTSANFTPGHGCSTTIYNADGNRLFSCGTSKDGQSFLVEYSGILLKGNFVGFTKKSAGVVSFDMAQNQFFAAGEDSQIKFWHMDHRYPLSFTDAEGGLPSRPRVRFNKEGNLLAVTTADNGFKILANAVGLKSLGPNEASSSSA >EOY10437 pep chromosome:Theobroma_cacao_20110822:5:34760051:34764843:-1 gene:TCM_025799 transcript:EOY10437 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:cytochrome B5 reductase 1 isoform 2 MDLEFLQSVDVQILVGVAVAVLAIGVGAAYLFSSRKSKGCLDPENYKEFKLVKRQQLSHNVAKFTFALPTPTSVLGLPIGQHISCRGKDSQGDEVIKPYTPTTLDSDVGSFELVIKMYPQGRMSHHFREMRVGDYLSVKGPKGRFRYQPGQVRAFGMIAGGSGITPMFQEELDSLVARYPGRFKVYYVLNQPPEVWDGGVGYVSKEIIQIHCPAPAPDIQILRCGPPPMNKAMAGHLDALGYSPEMQFQF >EOY10436 pep chromosome:Theobroma_cacao_20110822:5:34759605:34764843:-1 gene:TCM_025799 transcript:EOY10436 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:cytochrome B5 reductase 1 isoform 2 MDLEFLQSVDVQILVGVAVAVLAIGVGAAYLFSSRKSKGCLDPENYKEFKLVKRQQLSHNVAKFTFALPTPTSVLGLPIGQHISCRGKDSQGDEVIKPYTPTTLDSDVGSFELVIKMYPQGRMSHHFREMRVGDYLSVKGPKGRFRYQPGQVRAFGMIAGGSGITPMFQVARAILENPKDTTNVHLIYANVTCEDILLKEELDSLVARYPGRFKVYYVLNQPPEVWDGGVGYVSKEIIQIHCPAPAPDIQILRCGPPPMNKAMAGHLDALGYSPEMQFQF >EOY09453 pep chromosome:Theobroma_cacao_20110822:5:29238864:29245148:1 gene:TCM_024870 transcript:EOY09453 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDK5RAP3-like protein MQTPEDIRNLPIDITFSRLGEWLVDRKRVPSDWRKRLAAIRSKISKEFTALPKDIDPYFQTLDPEGIGYLEAKKIYDILLKSTPESRNIFGRLSGAAGSWEAIVHSFEKDHLFLGEAAQIIIQNVNYEIPYQKKQVQKIQQQLAELERKEADIKRSAALSAAKYAEACQELGLQGKNVRLELLETANSLPSTFGRILEVIDGDSVSRAIEYYSNFVRDAHTEKNKSSFAVLLNLKDIRENPPSLNVSAASEILDLENTQLRSNEINHVRGDIDVNADTIDWDISVDSAQIDWDIGTLEATDDSGNGLGPYEIVNASEILQNSAPNEAAESDKTPLHKTEDNPLPEISVSDISWDISVETPQVDVIHDVSLPNIQLENQTYAPDTLPDTPGIREERSQLLETEYRNKILDDLYEMKAFLNQRLVELRNEDTLSLQNQVQAVAPLVLQQYTPDAIETMISDVSLAVSLLTNRKTRDLIMILNSKRFLDRIVSTLEEKKHHEVKLKEGLKDVAAKRMELQNSLSSSWPKQEAALAKTRELKKLCESTLSSVFDGRPVNIIGEINALLSSGISA >EOY11770 pep chromosome:Theobroma_cacao_20110822:5:40246701:40248329:-1 gene:TCM_026839 transcript:EOY11770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein isoform 1 MSGRVVASGLRAVVVGIANHSSFIRHQIKAFSAVRYCGTHLTKKLLLYNFLHMSLNHSFSHSSCLLSSSSILSPFLISMASSLHTQTQTNTNGTNGDSASDPKSHLCKKPRLQSHAPVLSQPFITPSDILSEFSHHDPSVARINNGSFGCCPSSVLSAQRQLQLQWLQQPDNFYFNQLQSRFLESRNIIKEIINADHVDEVSVVDNATTAVAIVLQQMAWGFAEGRFHPGDAAVMLHYAYGAVKKSIEAYVTRAGGYVIEVPLPFPVDSVDEIVQEFRKALERGKQNGRRVRLAVIDHVTSMPSVVIPVKELVKICREEGVDQVFVDAAHGIGCVDVDVKEIEADFYTSNLHKWFFCPPSVAFLYCRRSTKSSDLHHPVVSHEYGNGLAIESAWIGTRDYSAQLVVAKVLEFINRFEGGIHGIKKRNHEAVVEMGEMLVKAWGTHLGCLPEMCSSMVMVGLPACLGISSDQDTLKLRTYLRDKFRVEVPIYYRAPKDGEVGPVTGYARISYQVYNKVDDYYKFRDAIKQLVDNGFTCASLPN >EOY11769 pep chromosome:Theobroma_cacao_20110822:5:40245696:40248650:-1 gene:TCM_026839 transcript:EOY11769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein isoform 1 MSGRVVASGLRAVVVGIANHSSFIRHQIKAFSAVRYCGTHLTKKLLLYNFLHMSLNHSFSHSSCLLSSSSILSPFLISMASSLHTQTQTNTNGTNGDSASDPKSHLCKKPRLQSHAPVLSQPFITPSDILSEFSHHDPSVARINNGSFGCCPSSVLSAQRQLQLQWLQQPDNFYFNQLQSRFLESRNIIKEIINADHVDEVSVVDNATTAVAIVLQQMAWGFAEGRFHPGDAAVMLHYAYGAVKKSIEAYVTRAGGYVIEVPLPFPVDSVDEIVQEFRKALERGKQNGRRVRLAVIDHVTSMPSVVIPVKELVKICREEGVDQVFVDAAHGIGCVDVDVKEIEADFYTSNLHKWFFCPPSVAFLYCRRSTKSSDLHHPVVSHEYGNGLAIESAWIGTRDYSAQLVVAKVLEFINRFEGGIHGIKKRNHEAVVEMGEMLVKAWGTHLGCLPEMCSSMVMVGLPACLGISSDQDTLKLRTYLRDKFRVEVPIYYRAPKDGEVGPVTGYARISYQVYNKVDDYYKFRDAIKQLVDNGFTCASLPN >EOY11767 pep chromosome:Theobroma_cacao_20110822:5:40245678:40248650:-1 gene:TCM_026839 transcript:EOY11767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein isoform 1 MSGRVVASGLRAVVVGIANHSSFIRHQIKAFSAVRYCGTHLTKKLLLYNFLHMSLNHSFSHSSCLLSSSSILSPFLISMASSLHTQTQTNTNGTNGDSASDPKSHLCKKPRLQSHAPVLSQPFITPSDILSEFSHHDPSVARINNGSFGCCPSSVLSAQRQLQLQWLQQPDNFYFNQLQSRFLESRNIIKEIINADHVDEVSVVDNATTAVAIVLQQMAWGFAEGRFHPGDAAVMLHYAYGAVKKSIEAYVTRAGGYVIEVPLPFPVDSVDEIVQEFRKALERGKQNGRRVRLAVIDHVTSMPSVVIPVKELVKICREEGVDQVFVDAAHGIGCVDVDVKEIEADFYTSNLHKWFFCPPSVAFLYCRRSTKSSDLHHPVVSHEYGNGLAIESAWIGTRDYSAQLVVAKVLEFINRFEGGIHGIKKRNHEAVVEMGEMLVKAWGTHLGCLPEMCSSMVMVGLPACLGISSDQDTLKLRTYLRDKFRVEVPIYYRAPKDGEVGPVTGYARISYQVYNKVDDYYKFRDAIKQLVDNGFTCASLPN >EOY11765 pep chromosome:Theobroma_cacao_20110822:5:40246701:40248329:-1 gene:TCM_026839 transcript:EOY11765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein isoform 1 MSGRVVASGLRAVVVGIANHSSFIRHQIKAFSAVRYCGTHLTKKLLLYNFLHMSLNHSFSHSSCLLSSSSILSPFLISMASSLHTQTQTNTNGTNGDSASDPKSHLCKKPRLQSHAPVLSQPFITPSDILSEFSHHDPSVARINNGSFGCCPSSVLSAQRQLQLQWLQQPDNFYFNQLQSRFLESRNIIKEIINADHVDEVSVVDNATTAVAIVLQQMAWGFAEGRFHPGDAAVMLHYAYGAVKKSIEAYVTRAGGYVIEVPLPFPVDSVDEIVQEFRKALERGKQNGRRVRLAVIDHVTSMPSVVIPVKELVKICREEGVDQVFVDAAHGIGCVDVDVKEIEADFYTSNLHKWFFCPPSVAFLYCRRSTKSSDLHHPVVSHEYGNGLAIESAWIGTRDYSAQLVVAKVLEFINRFEGGIHGIKKRNHEAVVEMGEMLVKAWGTHLGCLPEMCSSMVMVGLPACLGISSDQDTLKLRTYLRDKFRVEVPIYYRAPKDGEVGPVTGYARISYQVYNKVDDYYKFRDAIKQLVDNGFTCASLPN >EOY11768 pep chromosome:Theobroma_cacao_20110822:5:40245181:40248650:-1 gene:TCM_026839 transcript:EOY11768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein isoform 1 MSGRVVASGLRAVVVGIANHSSFIRHQIKAFSAVRYCGTHLTKKLLLYNFLHMSLNHSFSHSSCLLSSSSILSPFLISMASSLHTQTQTNTNGTNGDSASDPKSHLCKKPRLQSHAPVLSQPFITPSDILSEFSHHDPSVARINNGSFGCCPSSVLSAQRQLQLQWLQQPDNFYFNQLQSRFLESRNIIKEIINADHVDEVSVVDNATTAVAIVLQQMAWGFAEGRFHPGDAAVMLHYAYGAVKKSIEAYVTRAGGYVIEVPLPFPVDSVDEIVQEFRKALERGKQNGRRVRLAVIDHVTSMPSVVIPVKELVKICREEGVDQVFVDAAHGIGCVDVDVKEIEADFYTSNLHKWFFCPPSVAFLYCRRSTKSSDLHHPVVSHEYGNGLAIESAWIGTRDYSAQLVVAKVLEFINRFEGGIHGIKKRNHEAVVEMGEMLVKAWGTHLGCLPEMCSSMVMVGLPACLGISSDQDTLKLRTYLRDKFRVEVPIYYRAPKDGEVGPVTGYARISYQVYNKVDDYYKFRDAIKQLVDNGFTCASLPN >EOY11766 pep chromosome:Theobroma_cacao_20110822:5:40246701:40248329:-1 gene:TCM_026839 transcript:EOY11766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein isoform 1 MSGRVVASGLRAVVVGIANHSSFIRHQIKAFSAVRYCGTHLTKKLLLYNFLHMSLNHSFSHSSCLLSSSSILSPFLISMASSLHTQTQTNTNGTNGDSASDPKSHLCKKPRLQSHAPVLSQPFITPSDILSEFSHHDPSVARINNGSFGCCPSSVLSAQRQLQLQWLQQPDNFYFNQLQSRFLESRNIIKEIINADHVDEVSVVDNATTAVAIVLQQMAWGFAEGRFHPGDAAVMLHYAYGAVKKSIEAYVTRAGGYVIEVPLPFPVDSVDEIVQEFRKALERGKQNGRRVRLAVIDHVTSMPSVVIPVKELVKICREEGVDQVFVDAAHGIGCVDVDVKEIEADFYTSNLHKWFFCPPSVAFLYCRRSTKSSDLHHPVVSHEYGNGLAIESAWIGTRDYSAQLVVAKVLEFINRFEGGIHGIKKRNHEAVVEMGEMLVKAWGTHLGCLPEMCSSMVMVGLPACLGISSDQDTLKLRTYLRDKFRVEVPIYYRAPKDGEVGPVTGYARISYQVYNKVDDYYKFRDAIKQLVDNGFTCASLPN >EOY09774 pep chromosome:Theobroma_cacao_20110822:5:30989860:30990762:1 gene:TCM_025157 transcript:EOY09774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKRKASEVKVPRNSMKLEELEDFVKQMEEETVKMRAKKIRMRKLEKKSKVELKRIEKKCNQLRKVKRQLVEESAWAQVCSDIMLGIVSAEGEGDAITVDLLTRSLRELMMPRQNQENQPPID >EOY09485 pep chromosome:Theobroma_cacao_20110822:5:29432240:29433375:-1 gene:TCM_024900 transcript:EOY09485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late Embryogenesis Abundant 4-5, putative MQSIKERAANIAASAKAGLEKTKATVEEKVEKLTAHDPVQKEMATQKKEERIHQAELDKQEARLHNAVAKQAGREDGYTATGTHTYTATGTHVFDDWDRGQPTGARQMSAFPGHGTGQPTVQVDVGRAEAHPIGIKTGAGGSKTHNTRVEGDPHGYGTGGTHS >EOY11466 pep chromosome:Theobroma_cacao_20110822:5:39360850:39362929:1 gene:TCM_026633 transcript:EOY11466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein MQNLRKHLRKPRASKLDHMALQQYLHACKYVSLNRIYMTQSSNNGHKESFQEVTTRQGKSRAEEFAPLATTFRRRLLVGVGSASLVAVGANFGGLTSFLLGLAPEGGRNLKLDVLYPIEGYSRRIENNEGFEFIYPASWVGDQRLLYRAAERLERSLDPLPTSSLKSSNRPRKNVNEPIVAYGPPGSSGELNVSVIVSPVPLDFSIETFGGPKEVGEAVVRTITGQRPDVKGTLIESSVREDPSKNVKYYELEFRVESPSFQRHNVAVCCARGGRLFTLNAQVAESAWPEFKSVFYRIANSFSLTT >EOY08321 pep chromosome:Theobroma_cacao_20110822:5:6349947:6353189:-1 gene:TCM_022661 transcript:EOY08321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ-related isoform 1 MTTFHFYSPLLPLPTTSPSFKTLIPLNGNSKFLSSPRHSSPSSFLCFSSKNNPSPSADNNTSNFCIIEGPETVRDFGQMQLQEIEDNIRSRRNRIFLLMEEVRRLRIQQRIKNVKVINENGNEEIDEMPDIPSSIPFLSYVTPKTMKQLYFTSLAFISGIIVFGGLIAPTVLELKLGLGGTSYEDFIRNMHLPLQLSQVDPIVASFSGGVVGVISALMLIEANNVEQQEKKRCKYCHGNGYLGCAKCSASGVCLNIDPISLSSASGQPLKVPTTQRCPNCSGSGKVMCPTCLCTGMLMASEHDPRIDPFD >EOY08320 pep chromosome:Theobroma_cacao_20110822:5:6350026:6353116:-1 gene:TCM_022661 transcript:EOY08320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ-related isoform 1 MTTFHFYSPLLPLPTTSPSFKTLIPLNGNSKFLSSPRHSSPSSFLCFSSKNNPSPSADNNTSNFCIIEGPETVRDFGQMQLQEIEDNIRSRRNRIFLLMEEVRRLRIQQRIKNVKVINENGNEEIDEMPDIPSSIPFLSYVTPKTMKQLYFTSLAFISGIIVFGGLIAPTLELKLGLGGTSYEDFIRNMHLPLQLSQVDPIVASFSGGVVGVISALMLIEANNVEQQEKKRCKYCHGNGYLGCAKCSASGVCLNIDPISLSSASGQPLKVPTTQRCPNCSGSGKVMCPTCLCTGMLMASEHDPRIDPFD >EOY07782 pep chromosome:Theobroma_cacao_20110822:5:2676489:2677123:1 gene:TCM_022111 transcript:EOY07782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELIIIKARPISITLVLLLLLLSVPYFSTGSWDVSDREVYEIDYRGPETHSSIPPPDHSHGHRHWIHRETDAATLHKSSKGLKGGNSKGRNVRKIHG >EOY10205 pep chromosome:Theobroma_cacao_20110822:5:33470649:33487926:1 gene:TCM_025562 transcript:EOY10205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex subunit 3, putative isoform 1 MEEEKMSMRMEAFEFVWSKIESTIKDVLREINTSVFSEIQSWVHQSFDMIRSLGTPDFPQATRSFPIITDANSKKLFTGLVLTKNMEFVDDLLTFEELGKHLKAQGCHVANLSSLDFTAKNGIGGCLRSLLRQFLMSTLDAADISILASWYGEQNYNNPVVVIVDDIERCCGSVLSDFILMLSEWVVKIPVILIMGVATTLDAPRNILPSNALQRLCPFDFTLGIPAERMDAVVEAVLVKPCSGFSIGHKVAVFMRNYFVSQDGTLTSFIRALKIACTQHFYMEPLSFILRDLVLEEDNWEFEIEQYGLSPEVTLKHAFNLPSYQRVKMTKVTSESLAHALSELKRLQNQWRAVVLCLYETGKGEKVRLLDLFCEANDLESYNRREFDACTKLEKDSVSSPSSRQGPGLIKGGVICEAVRLVRDLSTRQLGKLLKIWENLTVGIMEINGKVKELQSLLKAEDGKSSKKDLIVTPKRHASRIQLNIEDSKSLGDKAAKLLECMVRL >EOY10206 pep chromosome:Theobroma_cacao_20110822:5:33470649:33487926:1 gene:TCM_025562 transcript:EOY10206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex subunit 3, putative isoform 1 MEEEKMSMRMEAFEFVWSKIESTIKDVLREINTSVFSEIQSWVHQSFDMIRSLGTPDFPQATRSFPIITDANSKKLFTGLVLTKNMEFVDDLLTFEELGKHLKAQGCHVANLSSLDFTAKNGIGGCLRSLLRQFLMSTLDAADISILASWYGEQNYNNPVVVIVDDIERCCGSVLSDFILMLSEWVVKIPVILIMGVATTLDAPRNILPSNALQRLCPFDFTLGIPAERMDAVVEAVLVKPCSGFSIGHKVAVFMRNYFVSQDGTLTSFIRALKIACTQHFYMEPLSFILRDLVLEEDNWEFEIEQYGLSPEVTLKHAFNLPSYQRVKMTKVTSESLAHALSELKRLQNQWRAVVLCLYETGKGEKVRLLDLFCEANDLESYNRREFDACTKLEKDSVSSPSSRQGPGLIKGGVICEAVRLVRDLSTRQLGKLLKIWENLTVGIMEINGKVKELQSLLKAEDGKSSKKDLIVTPKRHASRIQLNIEDSKSLGDKAAKLLECMVRL >EOY10204 pep chromosome:Theobroma_cacao_20110822:5:33470388:33488265:1 gene:TCM_025562 transcript:EOY10204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex subunit 3, putative isoform 1 MAPSVNDASPPSTINDAVTENNLQPFFVLQKGSVRKTERKLSGTGKTRRRIDLSPELPKNSENLEDEMEEEKMSMRMEAFEFVWSKIESTIKDVLREINTSVFSEIQSWVHQSFDMIRSLGTPDFPQATRSFPIITDANSKKLFTGLVLTKNMEFVDDLLTFEELGKHLKAQGCHVANLSSLDFTAKNGIGGCLRSLLRQFLMSTLDAADISILASWYGEQNYNNPVVVIVDDIERCCGSVLSDFILMLSEWVVKIPVILIMGVATTLDAPRNILPSNALQRLCPFDFTLGIPAERMDAVVEAVLVKPCSGFSIGHKVAVFMRNYFVSQDGTLTSFIRALKIACTQHFYMEPLSFILRDLVLEEDNWEFEIEQYGLSPEVTLKHAFNLPSYQRVKMTKVTSESLAHALSELKRLQNQWRAVVLCLYETGKGEKVRLLDLFCEANDLESYNRREFDACTKLEKDSVSSPSSRQGPGLIKGGVICEAVRLVRDLSTRQLGKLLKIWENLTVGIMEINGKVKELQSLLKAEDGKSSKKDLIVTPKRHASRIQLNIEDSKSLGDKAAKLLECMVRDYMQPIECIPFHEIFCFKNVDKLRSALIGDPRRRIQVDLLEFQKLLHCNCCIRGSNALLPSMHDTSIMYNLAQEHGDLINLHDWYQSFKSVVLCPSSSKMKSRPSPLPKKRKDINESENRSEASIQARFCRAVTELQITGLLRMPTKRRPDFAQRVAFGL >EOY08274 pep chromosome:Theobroma_cacao_20110822:5:6057426:6062116:-1 gene:TCM_022616 transcript:EOY08274 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 29 isoform 1 MPLHSLILLSPPSQPPLPPPSLHLHLRPTSKPETKFLRFNSPSRLPFIPPLKLINSTKLPLNPQTQQNPLSKTLETLTKLKPFLQTNHQPILLGWLCSSISVFSLLKVIPRIGSFFSNLTNNISISKLGDQGLVLGVLLLAKLVICYWKQVFLWEAALRVVYQMRVFVFEKVLERELGFFEGGNAVSPGDIAYRITAEASDVADTVFALLNTTVPNILQLFAMATQMLVISPSLSLISEMVIPCMAFVIAYLGERLLKISTRAYLSIATLAAYLNEVAHYQYSGNFSFSFSSVCTKKSFLNSLDLLITTIKFCVVVFLGLKTEGIGKAYNELKQGEPAVERLFFLTKLESKVIEKPDAIYLSHVNGEVKFCDVSFKYADNMPHVLDGLNLHIKARETTALVRPSGGGKTTLVKLLLRLYKPSSGSILVDNRNIQNIRLESLRRHVGLVSQDIMLFFGTVAENIGYRDLMTNIDMERVELAARIANADEFVRTLPEGYRSHIGPRGSLLSAGQKQRLAIARAVYQNSSILVLDEATSVLDSRSELLVRQAVERLMENHTVLVIAHRLETVLMADRAFLLQDGKLEELTESPLLAGHCDSLMSTSVVI >EOY08278 pep chromosome:Theobroma_cacao_20110822:5:6057090:6062119:-1 gene:TCM_022616 transcript:EOY08278 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 29 isoform 1 MPLHSLILLSPPSQPPLPPPSLHLHLRPTSKPETKFLRFNSPSRLPFIPPLKLINSTKLPLNPQTQQNPLSKTLETLTKLKPFLQTNHQPILLGWLCSSISVFSLLKVIPRIGSFFSNLTNNISISKLGDQGLVLGVLLLAKLVICYWKQVFLWEAALRVVYQMRVFVFEKVLERELGFFEGGNAVSPGDIAYRITAEASDVADTVFALLNTTVPNILQLFAMATQMLVISPSLSLISEMVIPCMAFVIAYLGERLLKISTRAYLSIATLAAYLNEGIGKAYNELKQGEPAVERLFFLTKLESKVIEKPDAIYLSHVNGEVKFCDVSFKYADNMPHVLDGLNLHIKARETTALVRPSGGGKTTLVKLLLRLYKPSSGSILVDNRNIQNIRLESLRRHVGLVSQDIVSDQ >EOY08276 pep chromosome:Theobroma_cacao_20110822:5:6058829:6062116:-1 gene:TCM_022616 transcript:EOY08276 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 29 isoform 1 MPLHSLILLSPPSQPPLPPPSLHLHLRPTSKPETKFLRFNSPSRLPFIPPLKLINSTKLPLNPQTQQNPLSKTLETLTKLKPFLQTNHQPILLGWLCSSISVFSLLKVIPRIGSFFSNLTNNISISKLGDQGLVLGVLLLAKLVICYWKQVFLWEAALRVVYQMRVFVFEKVLERELGFFEGGNAVSPGDIAYRITAEASDVADTVFALLNTTVPNILQLFAMATQMLVISPSLSLISEMVIPCMAFVIAYLGERLLKISTRAYLSIATLAAYLNEGIGKAYNELKQGEPAVERLFFLTKLESKVIEKPDAIYLSHVNGEVKFCDVSFKYADNMPHVLDGLNLHIKARETTALVRPSGGGKTTLVKLLLRLYKPSSGSILVDNRNIQNIRLESLRRHVGLVSQDIVSDQ >EOY08277 pep chromosome:Theobroma_cacao_20110822:5:6057014:6063032:-1 gene:TCM_022616 transcript:EOY08277 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 29 isoform 1 MPLHSLILLSPPSQPPLPPPSLHLHLRPTSKPETKFLRFNSPSRLPFIPPLKLINSTKLPLNPQTQQNPLSKTLETLTKLKPFLQTNHQPILLGWLCSSISVFSLLKVIPRIGSFFSNLTNNISISKLGDQGLVLGVLLLAKLVICYWKQVFLWEAALRVVYQMRVFVFEKVLERELGFFEGGNAVSPGDIAYRITAEASDVADTVFALLNTTVPNILQLFAMATQMLVISPSLSLISEMVIPCMAFVIAYLGERLLKISTRAYLSIATLAAYLNEGIGKAYNELKQGEPAVERLFFLTKLESKVIEKPDAIYLSHVNGEVKFCDVSFKYADNMPHVLDGLNLHIKARETTALVRPSGGGKTTLVKLLLRLYKPSSGSILVDNRNIQNIRLESLRRHVGLVSQDIMLFFGTVAENIGYRDLMTNIDMERVELAARIANADEFVRTLPEGYRSHIGPRGSLLSAGQKQRCWS >EOY08275 pep chromosome:Theobroma_cacao_20110822:5:6057090:6062119:-1 gene:TCM_022616 transcript:EOY08275 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 29 isoform 1 MPLHSLILLSPPSQPPLPPPSLHLHLRPTSKPETKFLRFNSPSRLPFIPPLKLINSTKLPLNPQTQQNPLSKTLETLTKLKPFLQTNHQPILLGWLCSSISVFSLLKVIPRIGSFFSNLTNNISISKLGDQGLVLGVLLLAKLVICYWKQVFLWEAALRVVYQMRVFVFEKVLERELGFFEGGNAVSPGDIAYRITAEASDVADTVFALLNTTVPNILQLFAMATQMLVISPSLSLISEMVIPCMAFVIAYLGERLLKISTRAYLSIATLAAYLNEGIGKAYNELKQGEPAVERLFFLTKLESKVIEKPDAIYLSHVNGEVKFCDVSFKYADNMPHVLDGLNLHIKARETTALVRPSGGGKTTLVKLLLRLYKPSSGSILVDNRNIQNIRLESLRRHVGLVSQDIMLFFGTVAENIGYRDLMTNIDMERVELAARIANADEFVRTLPEGYRSHIGPRGSLLSAGQKQRLAIARAVYQNSSILVLDEATSVLDSRSELLVRQAVERLMENHTVLVIAHRLETVLMADRAFLLQDGKLEELTESPLLAGHCDSLMSTSVVI >EOY08279 pep chromosome:Theobroma_cacao_20110822:5:6058548:6062181:-1 gene:TCM_022616 transcript:EOY08279 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 29 isoform 1 FCSFTSKNAINTLHFFFSPCNMPLHSLILLSPPSQPPLPPPSLHLHLRPTSKPETKFLRFNSPSRLPFIPPLKLINSTKLPLNPQTQQNPLSKTLETLTKLKPFLQTNHQPILLGWLCSSISVFSLLKVIPRIGSFFSNLTNNISISKLGDQGLVLGVLLLAKLVICYWKQVFLWEAALRVVYQMRVFVFEKVLERELGFFEGGNAVSPGDIAYRITAEASDVADTVFALLNTTVPNILQLFAMATQMLVISPSLSLISEMVIPCMAFVIAYLGERLLKISTRAYLSIATLAAYLNEGIGKAYNELKQGEPAVERLFFLTKLESKVIEKPDAIYLSHVNGEVKFCDVSFKYADNMPHVLDGLNLHIKARETTALVRPSGGGKTTLVKLLLRLYKPSSGSILVDNRNIQNIRLESLRRHVGLVSQDIMLFFGTVAENIGYRDLMTNIDMERVELAARIANADEFVRTLPEGYRSHIGPRGSLLSA >EOY07641 pep chromosome:Theobroma_cacao_20110822:5:2292309:2302613:-1 gene:TCM_022026 transcript:EOY07641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSWIRTAVNRAVEVGGNNNLTRTVRSYADSVVQHAGSAVAGGAKMIQYRIAARNMQNFRLTVKRLEEVSVSCRGVERVQLLRRWLVALKEIERLSNDQNNKDITDDKNTKNNNNSDTTKSNDNDENADDQFSFEEFKDSPKKPILVYYFDPQIGEPMNFREVFLYSQALEGMTLSMILEAPNEEEVSLFLEIFRICLAEGKEVHEAVMSSIKNLAMAFSNYQEEVLVKREELLQYAQAAIAGLKISADLARIDAEACSLKKKLGEMKAFQNPSNEGHEESSEKQTAAMIEFLKEALGQVRLYSRLEALLLQKKSLSNGDSPKLHAEKVDKLKVLSESLVNSTSKAEKRIVEHRFQKEEALSFRVAKANEVSQHEKELEEGIQELEKQKDELEAELKKVNASLTAARARLRNAKEEREHFDEASNQILLLLKSREEEISKSLASCRVEADVVNAWINFLEDTWVLQTTYNEQKEKQVNGELERYGEYFVNLVIHLLSAYKEQLEPSISRIRQLVENLSSSDRSGRAAKDESQKGLNQRKNVEEEYLNLESKISNTLSVVDSMKTQFYSPNEGIQRKNNQRVKELFDNLESIKGEFESIERPTFEVETPSQKSQSPSSAKSQRSPWSRLRSSSRRAEQKKLKFEFENDDGESSEYETEEIVEWDNLLISGTCFHAGEDNVKHETAFNSYSVQNYKSNAVFSLNIAAGFGSKLEDFDQRFRMEPTSNKLERKTYLLYLPTRLKSTTLQIESVKIMVW >EOY08867 pep chromosome:Theobroma_cacao_20110822:5:22584187:22585778:1 gene:TCM_024142 transcript:EOY08867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMSTPYYVMQTAMLPIKTCKIIEAHCKAFLWGGTVNVRKFKPNIIWALGNRVSTRFWTDQLLDDILQVDTTKSISVEIVDKGSVKEYVTSEGEWDLDRVCGSRQQDMETNLEVVWSERIRTFLFLYSHKKILTHQERVCKNFAIDPRCPTCQVNEESILHCLRECLAAATVWFKLLPQNHQDCMIGWQPLATNFITLNVDGVSRSFLNRAAAGGV >EOY07417 pep chromosome:Theobroma_cacao_20110822:5:1484514:1490746:1 gene:TCM_021858 transcript:EOY07417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate synthetase isoform 3 MDSMDPSRAFVTNVKRLIVKVGTAVVTRADGRLALGRLGALCEQLKELNSQGYEIVLVTSGAVGLGRQRLRYRKLVNSSLADLQNLQFELDGKACAAVGQSSLMALYDTLFSQLDVTSSQHLVTDSDFRSVSFRKQLSETVQSLLALKVIPIFNENDAVSTRRAPYQDSSGIFWDNDSLAGLLALELQADLLVLLSDVDGLYSGPPSDPNSKLIHTYIKEKHQGEITFGDKSRLGRGGMTAKVNAALCAVFAGIPVVITSGYATDNIIKVLQGKRIGTLFHQDAHLWTSVKEVGAREMAVAARDCSRRLQALHSEDRRKILLDIADALEANESLIKVENEADVAAAQDAGYETSLISRLALKPGKIAGLAKSIRVLADMEEPIGRVLKRTELADGLILEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITSAIPNNIGDKLIGLVASREDIPDLLKLDDVIDLVIPRGSNKLVSQIKNSTKIPVLGHAEVLSCMVDQERLLY >EOY07413 pep chromosome:Theobroma_cacao_20110822:5:1481750:1491049:1 gene:TCM_021858 transcript:EOY07413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate synthetase isoform 3 MDSMDPSRAFVTNVKRLIVKVGTAVVTRADGRLALGRLGALCEQLKELNSQGYEIVLVTSGAVGLGRQRLRYRKLVNSSLADLQNLQFELDGKACAAVGQSSLMALYDTLFSQLDVTSSQHLVTDSDFRSVSFRKQLSETVQSLLALKVIPIFNENDAVSTRRAPYQDSSGIFWDNDSLAGLLALELQADLLVLLSDVDGLYSGPPSDPNSKLIHTYIKEKHQGEITFGDKSRLGRGGMTAKVNAALCAVFAGIPVVITSGYATDNIIKVLQGKRIGTLFHQDAHLWTSVKEVGAREMAVAARDCSRRLQALHSEDRRKILLDIADALEANESLIKVENEADVAAAQDAGYETSLISRLALKPGKIAGLAKSIRVLADMEEPIGRVLKRTELADGLILEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITSAIPNNIGDKLIGLVASREDIPDLLKLDDVIDLVIPRGSNKLVSQIKNSTKIPVLGHADGICHVYVDKSAKADMAKQIVLDAKIDYPAACNAMETLLVHKDLSNNGLLNELIVELRHEGVILYGGPRASSLLNIPEVHSFHHEYNSMAFTIEIVDDVQAAIEHIHQHGSSHTDCIVTENHEVAEIFLNRVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILRGSGQVVDGDKGVIYSHKDLPLQLQL >EOY07414 pep chromosome:Theobroma_cacao_20110822:5:1484538:1490933:1 gene:TCM_021858 transcript:EOY07414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate synthetase isoform 3 MALYDTLFSQLDVTSSQHLVTDSDFRSVSFRKQLSETVQSLLALKVIPIFNENDAVSTRRAPYQDSSGIFWDNDSLAGLLALELQADLLVLLSDVDGLYSGPPSDPNSKLIHTYIKEKHQGEITFGDKSRLGRGGMTAKVNAALCAVFAGIPVVITSGYATDNIIKVLQGKRIGTLFHQDAHLWTSVKEVGAREMAVAARDCSRRLQALHSEDRRKILLDIADALEANESLIKVENEADVAAAQDAGYETSLISRLALKPGKIAGLAKSIRVLADMEEPIGRVLKRTELADGLILEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITSAIPNNIGDKLIGLVASREDIPDLLKLDDVIDLVIPRGSNKLVSQIKNSTKIPVLGHADGICHVYVDKSAKADMAKQIVLDAKIDYPAACNAMETLLVHKDLSNNGLLNELIVELRHEGVILYGGPRASSLLNIPEVHSFHHEYNSMAFTIEIVDDVQAAIEHIHQHGSSHTDCIVTENHEVAEIFLNRVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILRGSGQVVDGDKGVIYSHKDLPLQLQL >EOY07416 pep chromosome:Theobroma_cacao_20110822:5:1484514:1490746:1 gene:TCM_021858 transcript:EOY07416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate synthetase isoform 3 MDSMDPSRAFVTNVKRLIVKVGTAVVTRADGRLALGRLGALCEQLKELNSQGYEIVLVTSGAVGLGRQRLRYRKLVNSSLADLQNLQFELDGKACAAVGQSSLMALYDTLFSQLDVTSSQHLVTDSDFRSVSFRKQLSETVQSLLALKVIPIFNENDAVSTRRAPYQDSSGIFWDNDSLAGLLALELQADLLVLLSDVDGLYSGPPSDPNSKLIHTYIKEKHQGEITFGDKSRLGRGGMTAKVNAALCAVFAGIPVVITSGYATDNIIKVLQGKRIGTLFHQDAHLWTSVKEVGAREMAVAARDCSRRLQALHSEDRRKILLDIADALEANESLIKVENEADVAAAQDAGYETSLISRLALKPGKIAGLAKSIRVLADMEEPIGRVLKRTELADGLILEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITSAIPNNIGDKLIGLVASREDIPDLLKLDDVIDLVIPRGSNKLVSQIKNSTKIPVLGHAEVLSCMVDQERLLY >EOY07415 pep chromosome:Theobroma_cacao_20110822:5:1484514:1490746:1 gene:TCM_021858 transcript:EOY07415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate synthetase isoform 3 MDSMDPSRAFVTNVKRLIVKVGTAVVTRADGRLALGRLGALCEQLKELNSQGYEIVLVTSGAVGLGRQRLRYRKLVNSSLADLQNLQFELDGKACAAVGQSSLMALYDTLFSQLDVTSSQHLVTDSDFRSVSFRKQLSETVQSLLALKVIPIFNENDAVSTRRAPYQDSSGIFWDNDSLAGLLALELQADLLVLLSDVDGLYSGPPSDPNSKLIHTYIKEKHQGEITFGDKSRLGRGGMTAKVNAALCAVFAGIPVVITSGYATDNIIKVLQGKRIGTLFHQDAHLWTSVKEVGAREMAVAARDCSRRLQALHSEDRRKILLDIADALEANESLIKVENEADVAAAQDAGYETSLISRLALKPGKIAGLAKSIRVLADMEEPIGRVLKRTELADGLILEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITSAIPNNIGDKLIGLVASREDIPDLLKLDDVIDLVIPRGSNKLVSQIKNSTKIPVLGHAEVLSCMVDQERLLY >EOY07418 pep chromosome:Theobroma_cacao_20110822:5:1484514:1490746:1 gene:TCM_021858 transcript:EOY07418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate synthetase isoform 3 MDSMDPSRAFVTNVKRLIVKVGTAVVTRADGRLALGRLGALCEQLKELNSQGYEIVLVTSGAVGLGRQRLRYRKLVNSSLADLQNLQFELDGKACAAVGQSSLMALYDTLFSQLDVTSSQHLVTDSDFRSVSFRKQLSETVQSLLALKVIPIFNENDAVSTRRAPYQDSSGIFWDNDSLAGLLALELQADLLVLLSDVDGLYSGPPSDPNSKLIHTYIKEKHQGEITFGDKSRLGRGGMTAKVNAALCAVFAGIPVVITSGYATDNIIKVLQGKRIGTLFHQDAHLWTSVKEVGAREMAVAARDCSRRLQALHSEDRRKILLDIADALEANESLIKVENEADVAAAQDAGYETSLISRLALKPGKIAGLAKSIRVLADMEEPIGRVLKRTELADGLILEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITSAIPNNIGDKLIGLVASREDIPDLLKLDDVIDLVIPRGSNKLVSQIKNSTKIPVLGHAEVLSCMVDQERLLY >EOY11019 pep chromosome:Theobroma_cacao_20110822:5:37488148:37506225:-1 gene:TCM_026284 transcript:EOY11019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKHISSFLRQRDHLSAGIYVGDDMVIHLMGPSKIYNKPPCKKCGFKPQAGIFKTCLDCFLEGHSLYRYEYDVSYLKLVFKRRGSCSTWDCKPADEVVETAHRLLQSNRFGNYNFFLNNCEDFAVYCKTGVAMSNQTAGLFGFNLLGVVGYAAAKGVYEAVAD >EOY09313 pep chromosome:Theobroma_cacao_20110822:5:28171745:28173766:-1 gene:TCM_024733 transcript:EOY09313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSCPRGCPPLVFLPKASTHLPRGWPPLVFRAAYNSLLIVSRDTWALTVAVTEIRACRLFLWGRGPPFCYLTPRQTNGTGPLTWNPVLLGWTTWRLTGVRGSHVSTLSDCGRWWDGWTRLGCPIQWQNMQIFSNL >EOY09096 pep chromosome:Theobroma_cacao_20110822:5:26017720:26019408:1 gene:TCM_024482 transcript:EOY09096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGDNNIDVDCKKHRLVFPTISNYGKCRSGAPATKSSHKERQIEASLHQPHYTDHISDVSPPLLSLDEELAKDDDEAKDDDDDEDE >EOY10620 pep chromosome:Theobroma_cacao_20110822:5:35599793:35604899:-1 gene:TCM_025937 transcript:EOY10620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydroquinate dehydratase/ shikimate dehydrogenase isoform 4 MGGTGMLNNSTMICAPLMAQSVEQMVKDMHQAKAEGAQLVEIRLDYIKNFQPHQDIQIILKNKPLPVIIVYRPKWEGGQYEGDENSRLEALCLAREMGADYIDFELKVASDLIREQKMKNDNATKVIVSQNIDGMTPKDEELSNLAASIQATGADIIKVVVNVADITEIAKIFHLLSHYQVPIIAYSVGERGLISQLLCPKFGGFLAYGSIVGHSLPGMPSLYSLRHTYKLDYLNSETKVFGLVSKPVGHSKGPLLHNPTLRHENFNGVYVPMFVDNLKEFFSIYSSPDFAGFSVGFPYKEAVVEFCDEVHPLAESIGAVNTIVRRPCDGKLIGYNTDCEAAITAIEDALKEKRCSSNEASSGTPLSGKLFVLVGAGGAGRALAFGAKSRGSRIVIFDIDFERAKSLACAVSGEARVFEEVVNFQPEKGAILANATPLGMHPKTDQRIPVAESTLGDYELVFDAVYTPRKTRLLKDAEAAGAIIVGGVEMFLGQAIGQFNLFTGQEAPKKLMREIIMAKF >EOY10621 pep chromosome:Theobroma_cacao_20110822:5:35601299:35604843:-1 gene:TCM_025937 transcript:EOY10621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydroquinate dehydratase/ shikimate dehydrogenase isoform 4 MGGTGMLNNSTMICAPLMAQSVEQMVKDMHQAKAEGAQLVEIRLDYIKNFQPHQDIQIILKNKPLPVIIVYRPKWEGGQYEGDENSRLEALCLAREMGADYIDFELKVASDLIREQKMKNDNATKVIVSQNIDGMTPKDEELSNLAASIQATGADIIKVVVNVADITEIAKIFHLLSHYQVPIIAYSVGERGLISQLLCPKFGGFLAYGSIVGHSLPGMPSLYSLRHTYKLDYLNSETKVFGLVSKPVGHSKGPLLHNPTLRHENFNGVYVPMFVDNLKEFFSIYSSPDFAGFSVGFPYKEAVVEFCDEVHPLAESIGAVNTIVRRPCDGKLIGYNTDCEAAITAIEDALKEKRCSSNEASSGTPLSGKLFVLVGAGGAGRALAFGAKSRGSRIVIFDIDFGMSFAMLNGKCMVFPSMLI >EOY10622 pep chromosome:Theobroma_cacao_20110822:5:35599848:35605154:-1 gene:TCM_025937 transcript:EOY10622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydroquinate dehydratase/ shikimate dehydrogenase isoform 4 MLNNSTMICAPLMAQSVEQMVKDMHQAKAEGAQLVEIRLDYIKNFQPHQDIQIILKNKPLPVIIVYRPKWEGGQYEGDENSRLEALCLAREMGADYIDFELKVASDLIREQKMKNDNATKVIVSQNIDGMTPKDEELSNLAASIQATGADIIKVVVNVADITEIAKIFHLLSHYQVPIIAYSVGERGLISQLLCPKFGGFLAYGSIVGHSLPGMPSLYSLRHTYKLDYLNSETKVFGLVSKPVGHSKGPLLHNPTLRHENFNGVYVPMFVDNLKEFFSIYSSPDFAGFSVGFPYKEAVVEFCDEVHPLAESIGAVNTIVRRPCDGKLIGYNTDCEAAITAIEDALKEKRCSSNEASSGTPLSGKLFVLVGAGGAGRALAFGAKSRGSRIVIFDIDFERAKSLACAVSGEARVFEEVVNFQPEKGAILANATPLGMHPKTDQRIPVAEKNKTFKGC >EOY10619 pep chromosome:Theobroma_cacao_20110822:5:35599793:35605154:-1 gene:TCM_025937 transcript:EOY10619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydroquinate dehydratase/ shikimate dehydrogenase isoform 4 MGGTGMLNNSTMICAPLMAQSVEQMVKDMHQAKAEGAQLVEIRLDYIKNFQPHQDIQIILKNKPLPVIIVYRPKWEGGQYEGDENSRLEALCLAREMGADYIDFELKVASDLIREQKMKNDNATKVIVSQNIDGMTPKDEELSNLAASIQATGADIIKVVVNVADITEIAKIFHLLSHYQVPIIAYSVGERGLISQLLCPKFGGFLAYGSIVGHSLPGMPSLYSLRHTYKLDYLNSETKVFGLVSKPVGHSKGPLLHNPTLRHENFNGVYVPMFVDNLKEFFSIYSSPDFAGFSVGFPYKEAVVEFCDEVHPLAESIGAVNTIVRRPCDGKLIGYNTDCEAAITAIEDALKEKRCSSNEASSGTPLSGKLFVLVGAGGAGRALAFGAKSRGSRIVIFDIDFERAKSLACAVSGEARVFEEVVNFQPEKGAILANATPLGMHPKTDQRIPVAESTLGDYELVFDAVYTPRKTRLLKDAEAAGAIIVGGVEMFLGQAIGQFNLFTGQEAPKKLMREIIMAKF >EOY10623 pep chromosome:Theobroma_cacao_20110822:5:35600914:35605142:-1 gene:TCM_025937 transcript:EOY10623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydroquinate dehydratase/ shikimate dehydrogenase isoform 4 MGGTGMLNNSTMICAPLMAQSVEQMVKDMHQAKAEGAQLVEIRLDYIKNFQPHQDIQIILKNKPLPVIIVYRPKWEGGQYEGDENSRLEALCLAREMGADYIDFELKVASDLIREQKMKNDNATKVIVSQNIDGMTPKDEELSNLAASIQATGADIIKVVVNVADITEIAKIFHLLSHYQVPIIAYSVGERGLISQLLCPKFGGFLAYGSIVGHSLPGMPSLYSLRHTYKLDYLNSETKVFGLVSKPVGHSKGPLLHNPTLRHENFNGVYVPMFVDNLKEFFSIYSSPDFAGFSVGFPYKEAVVEFCDEVHPLAESIGAVNTIVRRPCDGKLIGYNTDCEAAITAIEDALKEKRCSSNEASSGTPLSGKLFVLVGAGGAGRALAFGAKSRGSRIVIFDIDFERAKSLACAVSGEARVFEEVVNFQPEKGAILANATPLGMHPKTDQRIPVAEVALLPALLE >EOY10683 pep chromosome:Theobroma_cacao_20110822:5:35871379:35876226:1 gene:TCM_025985 transcript:EOY10683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFIYGYIFHRLRDKVKLSASIQLPTMISLLAVTPFVGRAFDWKASITERMTRGIAKIQTKSGNIKQRKRAGMKTLKRGEARKRSYGHQGRKNFPFSSRESRSLGKSPRVVGKKLRLQSRLLQVEVMEAELAKMKVLMRDSAESLMAAREAADLSDSEFALLIRRRNELVDNLIRRKNELLTMKGIEAFLMLKLGFL >EOY07047 pep chromosome:Theobroma_cacao_20110822:5:296007:299098:1 gene:TCM_046794 transcript:EOY07047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron regulated 1, putative MCSNGLAGIFKPQGHKSHSIHLPGDIDQHLWSCWCAFNSSSTILIEREWVVVLSEGHPPDLLTEMNSVIRRIDLTCKLVAPVITGFIISFVSLKASAMTLALWTTITVWVEYWLFMSVYNGIPALGESSLRRISEVSPSDEIPDSLSNDGENSAAAGKNSGSKFIECVAKVPYIDAWRVYLQQDVVLPGVALALLYFTVLSFGTLMTAAFEWEGIPAFVIGIARGISASIGIAATVVYPMVQSRILTLRTGLWSVWSQWNCLLLCVASIWVKNSHLSAYMLMGGVATSRLGLWMFDLCVIQQMQDLVPESDRCIVGGVQNSLQSTLDLMAYVMGILISNPQIHMRSMYYHKIIC >EOY10853 pep chromosome:Theobroma_cacao_20110822:5:36797955:36800257:-1 gene:TCM_026145 transcript:EOY10853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein MAPPPTHSRILEINLISAEDLAPVSKNMKTYAVAWVQPDHKLATGIDQTGRTDPSWNEKFMFRLDDKFLNSEDAAIVVEIYAAAWVKDVLIGYVNVLIKDIFHLRSIADAKINYSATRTVTLQIRRPSGRPQGILNMEVILLDSTTPSVPELGDPKPKTTSKNEESFENNNELESHKSSPNAKISRSQSDVTDSTMEDNLEKRPQMGSIVNDGSGSEAKGYGSMVNGGSICSSDVGPSASIVAAAIAKGLYKPPIQHQAQTKETGLIREWTRKREESLDKKLEKWRSEMSQPASAGKQKAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLPDFTQLYF >EOY07493 pep chromosome:Theobroma_cacao_20110822:5:1746405:1749318:1 gene:TCM_021914 transcript:EOY07493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein MEFEDRYRLAQRPKYDCLLFDLDDTLYPLSSGLARECGKNIKDYMVEKLGIEKDKIVELSNLLYKNYGTTMAGLRAVGCDFDYDEYHSYVHGRLPYENLKPDPQLRSLLLTLPLRKIIFTNADKVHAAKALNKLGLEDCFEGIICFETLNPTHKNTVSDDEDDIEFLGSAAATSDVPSSPEIFDIVGHFAQPKPGATLPKTPIVCKPQESAIERAVKIARINPQRTLFFDDSVRNIQAGKRVGLHTVLVGTSQRPKGADYALESIHNIKQALPELWETDMKSEVSYPGQVAVETSVTA >EOY11481 pep chromosome:Theobroma_cacao_20110822:5:39393224:39396748:-1 gene:TCM_026639 transcript:EOY11481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein with PDZ domain isoform 2 MIRLLRNASVSCSRSSLIRIVAIGTAGSGLLYWNTNPDSETTVKLSIPVPLREHLSFQWRRPFLSSYHWEIGNLPLFSSRVSAAPAGDTTKEAPVAVWDDKKPCCGCLSRDSIANAAAKVGPAVVNLSVPQGIYGITTGRSIGSGTIIDADGTILTCAHVVVEFQGMRSTIKGKVDVTLQDGRTFEGTVVNADLHSDIAIVKIKSKTPLPTAKFGSSSNLRPGDWVIAMGCPLSLQNTITAGIVSCVDRKSSDLGLGGMRREYLQTDCAINAGNSGGPLVNIDGEIVGVNIMKVVAADGLSFAVPVDSVSKIIEHFKNSGIVEIMDDRIGKPLKVVVKRANDEEVMLTVIPEEANPDM >EOY11480 pep chromosome:Theobroma_cacao_20110822:5:39393622:39400666:-1 gene:TCM_026639 transcript:EOY11480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein with PDZ domain isoform 2 MTQNFCFCIHFVREKASCSCSRTALIRIVAIGTAGSGLLYWNTNPDSETTVKLSIPVPLREHLSFQWRRPFLSSYHWEIGNLPLFSSRVSAAPAGDTTKEAPVAVWDDKKPCCGCLSRDSIANAAAKVGPAVVNLSVPQGIYGITTGRSIGSGTIIDADGTILTCAHVVVEFQGMRSTIKGKVDVTLQDGRTFEGTVVNADLHSDIAIVKIKSKTPLPTAKFGSSSNLRPGDWVIAMGCPLSLQNTITAGIVSCVDRKSSDLGLGGMRREYLQTDCAINAGNSGGPLVNIDGEIVGVNIMKVVAADGLSFAVPVDSVSKIIEHFKNSGYDHLLMQLLGEILPVFVVMGLIDLS >EOY11478 pep chromosome:Theobroma_cacao_20110822:5:39393199:39397117:-1 gene:TCM_026639 transcript:EOY11478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein with PDZ domain isoform 2 MIRLLRNASVSCSRSSLIRIVAIGTAGSGLLYWNTNPDSETTVKLSIPVPLREHLSFQWRRPFLSSYHWEIGNLPLFSSRVSAAPAGDTTKEAPVAVWDDKKPCCGCLSRDSIANAAAKVGPAVVNLSVPQGIYGITTGRSIGSGTIIDADGTILTCAHVVVEFQGMRSTIKGKVDVTLQDGRTFEGTVVNADLHSDIAIVKIKSKTPLPTAKFGSSSNLRPGDWVIAMGCPLSLQNTITAGIVSCVDRKSSDLGLGGMRREYLQTDCAINAGNSGGPLVNIDGEIVGVNIMKVVAADGLSFAVPVDSVSKIIEHFKNSGRVIRPWLGLKMLDLNEMIIAQLRERDAKFPKIEKGILVPMVTPGSPADLAGFRPSDVVVEFDGKPVESIKEIVEIMDDRIGKPLKVVVKRANDEEVMLTVIPEEANPDM >EOY11482 pep chromosome:Theobroma_cacao_20110822:5:39393224:39400666:-1 gene:TCM_026639 transcript:EOY11482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein with PDZ domain isoform 2 MTQNFCFCIHFVREKASCSCSRTALIRIVAIGTAGSGLLYWNTNPDSETTVKLSIPVPLREHLSFQWRRPFLSSYHWEIGNLPLFSSRVSAAPAGDTTKEAPVAVWDDKKPCCGCLSRDSIANAAAKVGPAVVNLSVPQGIYGITTGRSIGSGTIIDADGTILTCAHVVVEFQGMRSTIKGKVDVTLQDGRTFEGTVVNADLHSDIAIVKIKSKTPLPTAKFGSSSNLRPGDWVIAMGCPLSLQNTITAGIVSCVDRKSSDLGLGGMRREYLQTDCAINAGNSGGPLVNIDGEIVGVNIMKVVAADGLSFAVPVDSVSKIIEHFKNSGIVEIMDDRIGKPLKVVVKRANDEEVMLTVIPEEANPDM >EOY11479 pep chromosome:Theobroma_cacao_20110822:5:39393490:39400666:-1 gene:TCM_026639 transcript:EOY11479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein with PDZ domain isoform 2 SQMTQNFCFCIHFVREKASCSCSRTALIRIVAIGTAGSGLLYWNTNPDSETTVKLSIPVPLREHLSFQWRRPFLSSYHWEIGNLPLFSSRVSAAPAGDTTKEAPVAVWDDKKPCCGCLSRDSIANAAAKVGPAVVNLSVPQGIYGITTGRSIGSGTIIDADGTILTCAHVVVEFQGMRSTIKGKVDVTLQDGRTFEGTVVNADLHSDIAIVKIKSKTPLPTAKFGSSSNLRPGDWVIAMGCPLSLQNTITAGIVSCVDRKSSDLGLGGMRREYLQTDCAINAGNSGGPLVNIDGEIVGVNIMKVVAADGLSFAVPVDSVSKIIEHFKNSGRVIRPWLGLKMLDLNEMIIAQLRERDAKFPKIEKGILVPMVTPGSPADLAGFRPSDVVVEFDGKPVESIKEIVEIMDDRIGKPLKVVV >EOY09181 pep chromosome:Theobroma_cacao_20110822:5:26926933:26928427:-1 gene:TCM_024578 transcript:EOY09181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetoin:2,6-dichlorophenolindophenol oxidoreductase subunit beta MKHHQELATLQRSTSYNNFNYKSTRNKINPSNFPRSTSLPIPEHEEISDKLLIPRTSSSPRSSIQRFHNVNSRFSSLLRSLLKIIAFPNIIPTTCKWLTLPTHLSITPSLGRKVTGTLFGLRRGHVSFAVQDDPRSEPVLLLELAMSTSSLVKEMSSGLVRIALECEKVPGRTAAQPCRTGKLFHEPMWTMYCNGRKSGYAVTRTCTESDWHVLSTVQSVSVGAGVIPVVEDARKGGGSEGELLYMRAKFERVVGSRDSEAFYMMNPDNNGGPELSIFLLRI >EOY11325 pep chromosome:Theobroma_cacao_20110822:5:38983801:38990144:1 gene:TCM_026550 transcript:EOY11325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein isoform 2 MHSLPSQAVRPLSLSPSLSASRFPFFSFSLPIFHKFKINPTIYKKSQKGPPFLTLWCCSSGSVTAKPSSELSRNRAVQEPDEKVLALRQLFSKPGIGIDAYIIPSQDAHQSEFIAECYMRRAYISGFTGSAGTAVVTKDKAALWTDGRYFLQAEKQLSSSWTLMRAGNLGVPTTSQWLNDILAPGGTVGIDPFLFSYDAAEELKEAISKKNLELVYLYDLNLVDEIWKESRPKPPNKPIRVHDLKYAGLDVVSKLSSLKAELVGTGSSAIVISMLDEAAWLLNLRGSDVPHSSVMYAYLIVEVDAAKLFVDNSKVTPEVMDHLKNAGVELRPYDSILSEIRRLAAQGANLWLDTSSVNAAIVETYKSACDKYLMNHGSRGKIKNKRYGESNGLSIGPSGVYMPSPISLAKALKNPTELEGMQNSHLRDAAALAQFWSWLEEEIHKNVKLTEVDVADKLLEFRSKQDGFLDTSFDTISGSGANGAIIHYKPEPESCSVVDPNKLFLLDSGAQYVDGTTDITRTVHFGEPTAREKECFTRVLKGHIALDQAVFPENTPGFVLDAFARSALWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNMTPLQKGMIVSNEPGYYEDHAFGIRIENLLCVQEINTPNRYGGVEYLGFEKLTFCPIQTKLVDLSLLSVEEIGWLNNYHSQVWEKVSPLLEGSARQWLWDNTRPVAEQ >EOY11327 pep chromosome:Theobroma_cacao_20110822:5:38985427:38989637:1 gene:TCM_026550 transcript:EOY11327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein isoform 2 QLSSSWTLMRAGNLGVPTTSQWLNDILAPGGTVGIDPFLFSYDAAEELKEAISKKNLELVYLYDLNLVDEIWKESRPKPPNKPIRVHDLKYAGLDVVSKLSSLKAELVGTGSSAIVISMLDEAAWLLNLRGSDVPHSSVMYAYLIVEVDAAKLFVDNSKVTPEVMDHLKNAGVELRPYDSILSEIRRLAAQGANLWLDTSSVNAAIVETYKSACDKYLMNHGSRGKIKNKRYGESNGLSIGPSGVYMPSPISLAKALKNPTELEGMQNSHLRDAAALAQFWSWLEEEIHKNVKLTEVDVADKLLEFRSKQDGFLDTSFDTISGSGANGAIIHYKPEPESCSVVDPNKLFLLDSGAQYVDGTTDITRTVHFGEPTAREKECFTRVLKGHIALDQAVFPENTPGFVLDAFARSALWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNMTPLQKGMIVSNEPGYYEDHAFGIRIETKLVDLSLL >EOY11326 pep chromosome:Theobroma_cacao_20110822:5:38985508:38990066:1 gene:TCM_026550 transcript:EOY11326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein isoform 2 APGGTVGIDPFLFSYDAAEELKEAISKKNLELVYLYDLNLVDEIWKESRPKPPNKPIRVHDLKYAGLDVVSKLSSLKAELVGTGSSAIVISMLDEAAWLLNLRGSDVPHSSVMYAYLIVEVDAAKLFVDNSKVTPEVMDHLKNAGVELRPYDSILSEIRRLAAQGANLWLDTSSVNAAIVETYKSACDKYLMNHGSRGKIKNKRYGESNGLSIGPSGVYMPSPISLAKALKNPTELEGMQNSHLRDAAALAQFWSWLEEEIHKNVKLTEVDVADKLLEFRSKQDGFLDTSFDTISGSGANGAIIHYKPEPESCSVVDPNKLFLLDSGAQYVDGTTDITRTVHFGEPTAREKECFTRVLKGHIALDQAVFPENTPGFVLDAFARSALWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNMTPLQKGMIVSNEPGYYEDHAFGIRIETKLVDLSLLSVEEIGWLNNYHSQVWEKVSPLLEGSARQWLWDNTRPVAEQ >EOY11293 pep chromosome:Theobroma_cacao_20110822:5:38847460:38853972:-1 gene:TCM_026524 transcript:EOY11293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger 1 MSTFDPMPHLSAVQNSTDFLSTSTIIALTVFFSLLCACIIIGHLLEENRWANESITALLVGLCAGAVVLLVSKGTSSQILVFSEDLFFLYLLPPIIFNAGFQVKKKQFFKNFTIILMFGIFGTVISFCLISLGAILLFKRIGVTSLSTQDYLAVGAILSATDSVCTLQVLSQDETPFLYSVVFGEGVVNDATSIVLFNSVQSIDFSNIDATISLKLLGTFLYLFFTSTILGIVAGLLSAFIIKTLYFGRHSTDREVALMMIMAYLSYMLAELLSLSGILTIFFCGIVMSHYTWHNVTESSRVTTKHAFATISFIAEIFIFLYVGMDALDIDKWKASSASAGTSVAVSSTMFALVLVGRAAFVYPLANFTNCIRKRDSTKIEFRQQFIMWWAGLMRGAVTIALSYNQFSNSEDLSTHDSALMITSTIIVVLFSTVVFGSITKPLIEAVLLRHAKPNISDATDIPSLEDLRILFIENGEPSDLAENQPPPAVPKRSSLRLLMTHPTWTVHYLWRKFDDRFMRPVFGGRGFVPFVPGSPTGAADEPSARH >EOY09777 pep chromosome:Theobroma_cacao_20110822:5:31015627:31020075:-1 gene:TCM_025160 transcript:EOY09777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin F-type 1 MSLLQFTLSPPSTIRSSPSLSCTAAHPLAASCRASSAWKSCFLLESSSSKTVKAMSNVNNNGRNGAVVKVRSSLDTAGAKVGQVKEVTKDTFWPIVNAAGDKTVVLDMYTQWCGPCKVIAPKFQELSEKYLDVVFLKLDCNQDNKPLAKELGIRVVPTFKILKHKKIVKEVKGAKFDDLVLAIETVRSS >EOY08341 pep chromosome:Theobroma_cacao_20110822:5:6739789:6743308:-1 gene:TCM_022702 transcript:EOY08341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein MWASSEGGPPEVTLETSMGSFTVELYYKHAPRTCRNFIELSRRGYYDNVKFHRIIKDFIVQGGDPTGTGKGGESIYGPVFDDEIRSELKHTGAGILSMANAGPNTNGSQFFITLSPAPSLDGKHTIFGRVCRGMEIIKRLGSVQTDSNDRPIHDVKILRTSVKD >EOY08575 pep chromosome:Theobroma_cacao_20110822:5:11112308:11125367:-1 gene:TCM_023155 transcript:EOY08575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MCFVLVYYVLASFKIFEKNDQNTPITMPPRRGRPPLTRSVGRGRSRSQCHQPNTVEEESAASTIRAAPAAEQVDSPPHLPSPQPPTGIPAMPTEAAQALAAFFAAMAGQAQSGQVPPVVPPATPLVPPLVHDVSISKNLKEARQLGCVSFTGELDATVAKDWINQVSETLSDMGLDDDMKLMVATRLLEKRARTWWNSVKSRSATPQTWSDFLKEFDGQYFTYFHQKENKREFLSLKQGNLIVEEYETRFNELILYVPNLVKSEQDQASYFEEGLRNEIRERMIVTGREPHKEVVQMALQAKKLATENRRIQTEFAKRKNLAASSPPACIDMQRRDSSRLPLRQRVAIRSGVESNTPSHPPSRPQTRTATRVFVVTKDEARVRLGAVTSTMSLFDKDAYVLIDSGSDRSYVNTTFASIADRNLSPLEGEIIVHTPLGEQLIRNTCYRDCGIRIGGEPLDNGKGLYSRTENVSISTPNTWWGFPWIAYERGTVTQLYNYLRGEMLDEVSLEPWRSQWDTLTKVPARMILGDAEADTKPCGVSIGIRDNECLSGRHGGCHGPDGSSES >EOY11158 pep chromosome:Theobroma_cacao_20110822:5:38180418:38196746:-1 gene:TCM_026422 transcript:EOY11158 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MAFMAVLESDLRALSAEARRRYPSVKDAAEHAILKLRTLSSPSEISHNEDIVRIFLMACEVKTVKLSVIGLSCLQKLISHDAVAPSVLNEILPTLKDHAEMPDESVQLKTLQTILIIFQSRLHPESEENMAQALGICLRLLENNRSSDSVRNTAAATFRQAVALVFDHVVHTETLPTEKFGSGNYIFRASSVTGDVSRSMNNSESLEHNFASGKPLLMRETTTSAGKLGLRLLEDLTALAAGGSACWLRVSSLQRTFVLDILEFILSNYVAMFKILVSYEQVLRHQICSLLMTSLRTNSELEGEVGEPYFRRLVLRSVAHIIRLYSSSLITECEVFLSMLIKLTFLDLPLWHRILVLEILRGFCVEARTLRILFQNFDMHPKNTNVVEGMIKALARVVSSVQFLETSEESLAAVAGMFSSKAKGIEWSLDNDASNAAVLVASEAHAISLAIEGLLGVVFTVASLTDEAVDAGELESPRCDYVPSAKCGGKTAVLCISMVDSLWLTILDALSLILARSQGEAIVLEILKGYQAFTQACGVLHAVEPLNSFLASLCKFTINFPNEVERRSTALQSPGSKRTDLIADQRDSIILTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLSALDRAIHSPHATTQEVSTSVPRLARESSGQYSDFSILSSLNSQLFESSALMHISAVKSLLSALCQLSHQCMVETSSGFGPATSQKIGSISFSVERMISILVNNLHRVEPLWDQVVGHFLELADNSNQHLRNMALDALDKSICAVLGSEQFEDHALSRSNENSKDVGCKETELRSLESAVISPLRVLYSSSQSIDVRAGSLKILLHVLERCGEKLRYTWPNILELLRSVADASEKDLVTLGFQSLRVIMNDGLATIPPDCLNVCIDVTGAYGAQKTELNISLTAIGLLWTTTDFIVKGLLHGSSEEKEKGIVKVNSVSNKVDGQKKEEQAENISSDINGQSPSINIADRDKLIISVFSLLQKLGDDERPEVRNSAIRTLFQILGGHGQKLSKSMWEDCLWNYVFPTLDSASHMAATSSKDEWQGKELGIRAGKAVHMLIHHSRNTAQKQWDETLVLVLGGIARLLRSFFPFLSSLNNFWSGWESLLLFVKDSIFNGSKEVSLAAINCLQTTVLGHCSKGNLPMPYLVSVIDVYEVVLQKSPNYSSGATNKVKQEVLHGLGELYVQAQRMFDDHMYTRLLAIIGLEIKQTVTTSDNCEAEFGQVPHVLRTVLEVLPMLCPAEHLSSMWLILLRELLQYLPGPDSPPQSEEEEAGQASTSDHTPDVPVKMKYETPNGTASASVQKAEVLSPTSRSAAGATVNIPSYLFAEKLIPIVVDLMLKAPAVGKYIIFPEVLQSLGRSMTTRRDNPDGSLWRLAVEGFNRILVDDVSKLAVECDSKISKPARLRIWKEVADIYEIFLVGYCGRALPSNSLPAVTLKDDESLEMTILNILGEKILKSPIDAPIEILQRLVSTLDRCASRTCSLPVETVELMPLHCSRFSLTCLQTLFSLSSFDEEVGNWNVARSEVSKIAIMVLVTRCKYILNRFLVDEKEIGDRPLPTARLEEVIFVLQELACLVIHLDTASVLPLHPRLKYGLAEGKLDKRPHLLVLFPSFCELITSREARLRELVQVLLKLIAKELTLEKVITGC >EOY07357 pep chromosome:Theobroma_cacao_20110822:5:1302224:1303282:1 gene:TCM_021812 transcript:EOY07357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCYLKFVSASGPQSKIKNAICVSPLSHLSPRNDEPDEPLRGKDLVNEVCNHTSDYKFCADTLLPSAASAPSATAGEIANTALRFAQVKATDARVLIASLLLKNSSSSTGRRLLQRCQLDNNKTIRELSSANDDLNSDSIDSMVEDLNNAANATRSCQDTIRETPFSSALDNKNIEVIKLCEIGVVSTKFFTIDDFT >EOY11419 pep chromosome:Theobroma_cacao_20110822:5:39226381:39228244:1 gene:TCM_026600 transcript:EOY11419 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3 transcription factor family protein, putative isoform 1 MDEEMLSVISSGEGNATSEVSDSISTSHPARKRQRSGSNGTSSRFKGVIRQKNGQWGAQLYANHTRIWLGTFKSETDAAMAYDSAAIKFRTGDTHRNFPLTDITVEEPKFQSNYSAEAVLSMIRDGSYQYKFMDFLKNSFRNGKVEIDLNSVRKYSGKGLSCKQLFQKELTPSDVGKLNRLVIPKKYAVKFFPPIEGSGSKGSDAELIFYDKFMRLWKFRYCYWNSSQSFVFTRGWNRFLKEKELKANDVISFYVCESRKEQEVQRFCMIDVNNYGNDDALAEAANLQVEREVDLQLRLGHCYAFDGGKQVKQEQELMAVDATEDVNTTGFKLFGMQIN >EOY11418 pep chromosome:Theobroma_cacao_20110822:5:39222931:39228201:1 gene:TCM_026600 transcript:EOY11418 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3 transcription factor family protein, putative isoform 1 MIHPGWTVSFGCSELSFIGRLVKPMFQPFDCKLMLVNVELKAAVLMVLGRDVTGQTFGVPMEGYELQQQLYIVYFSTSVLAFVLSFNIDMFNFLETMDEEMLSVISSGEGNATSEVSDSISTSHPARKRQRSGSNGTSSRFKGVIRQKNGQWGAQLYANHTRIWLGTFKSETDAAMAYDSAAIKFRTGDTHRNFPLTDITVEEPKFQSNYSAEAVLSMIRDGSYQYKFMDFLKNSFRNGKVEIDLNSVRKYSGKGLSCKQLFQKELTPSDVGKLNRLVIPKKYAVKFFPPIEGSGSKGSDAELIFYDKFMRLWKFRYCYWNSSQSFVFTRGWNRFLKEKELKANDVISFYVCESRKEQEVQRFCMIDVNNYGNDDALAEAANLQVEREVDLQLRLGHCYAFDGGKQVKQEQELMAVDATEDVNTTGFKLFGMQIN >EOY10356 pep chromosome:Theobroma_cacao_20110822:5:34334124:34346360:1 gene:TCM_025728 transcript:EOY10356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MFSMLPQLCSKLEDSTSDFWSALPLVQALLPALRPFMSSPSDHVDDTFSQWKQPFVQQALSQIVVTASSSLYHPLLQACAGYLSSYSPSHAKAACVLIDLCCGVLAPWITQVIAKVDLTVELVEDLLGIIQGARHSMARARAALKYIVLVLSGHMDDILGKYKEVKHNILFLVEMLEPFLDPAIYTSTSKIAFGDVSFAFLEKQEQTCLIALNIIRRAVQKPAVLPSIESEWRRRSVAPSVLLSILEPRIQLPPEIDMCISPISEDVEHESLNASPVLHCESDGKTDVLETAVKMDALEDVSLLFAPPELRSTTLTNVCSIPNENVLELNQMDLNSEQKDVEKKISNQFQNSLVLDAGFAAEYYNLQADYLQLMNFRDCELKASEFQRLASDLHSQHEISHESHDAAIDALLLAAECYVNPFFVISLKASSNIMNKMNVCRVKIPKTFEMSELRRVTKKTNSNLQTISHLEKNRDKVVLKILLEAAELDRKYHKKLSDGEDCESYSVESDEQVIEISPFDIQSADAVTLVRQNQSLLCNFLIRRLQGEQHSLHEILMQCLVFLLHSATKLHCTPEHVIDIILQSANYLNGMLTSFSCRFKEGQCQLNPEKIHGLQRRWILLRRLVIASSGGGVGSDFAVNINNGFRHGNLIPPSAWMQKIPTFSHSTSPLVRFLGWMAISRNAKQFIEERLFLTSDMSELTYLLSIFADELAVVDKFVDPKHEDLKIEQSGDKQDSPIPNGVDLADGQHRYQSFRVIYPDLCKFFPNMKKQFEAFGEIILEAVGLQLKSLPSAVVPDILCWFSDLCSWPFFHKDQATSHSSCTHLKGHVAKNAKAIILYVLEAIVVEHMEALVPEIPRVVLVLVSLCRASYCDTSFLDSVLHLLKPIISYSLHKVSDEEKLLVDDSCHNFESLCFDELFSNIRQRNENQDSSLEKAFSGALTIFILASVFPDLSFQRRREILQSLTFWADFTAFEPSTSFHDYLCAFNAVMESCKVFLLQHLRVSNFVPLQLPPFSDSGKLGESGSESFSWFLNDILHGSTPNEISENLESNSFDAIVLNEKNYNLSEEEIEDFTKDLEGVISKLYPTIEQCWSLHHQLAKKLTIASAQCFVYSRCLLSMAPAIHNAEGYKNENSLPSKSVDRLPAQWKTGLEGLAGTILMLQENACWQVASVMLDCLLGVPLGFPLDNVIDSICTAIKNFSSKAPKISWRLQTDKWLSILCIRGIHSLHESEVPPLVNMFLTMLGHPEPEQRFIVLQHLGRLVGQDVDGGIMVQSSKFCSKIVSPGLVPSIPEKIISLLVSSTWDQVAVLASTDVSLSLRTRAMALLVDYVPFADRHQLQSFLAAADSLLYGLGRLVYPICEGPLLKLSLALITSACLYSPAEDISLIPQKVWENIETLGFSKAEYRLPDLEKKACQVLCRLRNEGDDAKEVLQEVLSSSSAKQSDPEFGSTRESVLQVLANLTSVQSYFDIFARETDQEAMELEEAELELDLIQKEGVLQESLKDSEDGHQLPRLATPVRDENRLQQIKDCIRSFEKNKLQDDIVARRQQKLLMRRARQKYLEEASLREAELLQELDRERTAEAEKDIERQRLLELERAKTRELRHNLDMEKERQTQRELQRELEQAESGLRSSRRDFPSSHSSRPRERYRERENGRSSNEGSTRTTSSSLQPENTTSSSMAAMPTVVLSGSRSFSGQPPTILQSRDRADECSSSYEENFDGSKDSGDTGSVGDPELVSAFDGQSGGFGSSQRHGSRGSKSRQVLERRERDGRRESKWERKHS >EOY10355 pep chromosome:Theobroma_cacao_20110822:5:34332214:34345159:1 gene:TCM_025728 transcript:EOY10355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 DAHDMYLQLLQDMTNRLLVFLPHLEADFANFSDAADSNLRFLAMLAGPFYPILHIVKERDTARSSGNIADSEVPRNTQSLSLLTVSSNFEPRRSRNTSPFVLSTSSSIAFRSDAIFVLLRKAYKDSNLGTVCRMACRMLQKLTEPLTMVDELTPSAEVTPVLDESSKSELLNPLPMVDYSKLFGEEFQVIDDQWDPSILNVLDVGAVEEGILHVLYACASQPQLCSKLEDSTSDFWSALPLVQALLPALRPFMSSPSDHVDDTFSQWKQPFVQQALSQIVVTASSSLYHPLLQACAGYLSSYSPSHAKAACVLIDLCCGVLAPWITQVIAKVDLTVELVEDLLGIIQGARHSMARARAALKYIVLVLSGHMDDILGKYKEVKHNILFLVEMLEPFLDPAIYTSTSKIAFGDVSFAFLEKQEQTCLIALNIIRRAVQKPAVLPSIESEWRRRSVAPSVLLSILEPRIQLPPEIDMCISPISEDVEHESLNASPVLHCESDGKTDVLETAVKMDALEDVSLLFAPPELRSTTLTNVCSIPNENVLELNQMDLNSEQKDVEKKISNQFQNSLVLDAGFAAEYYNLQADYLQLMNFRDCELKASEFQRLASDLHSQHEISHESHDAAIDALLLAAECYVNPFFVISLKASSNIMNKMNVCRVKIPKTFEMSELRRVTKKTNSNLQTISHLEKNRDKVVLKILLEAAELDRKYHKKLSDGEDCESYSVESDEQVIEISPFDIQSADAVTLVRQNQSLLCNFLIRRLQGEQHSLHEILMQCLVFLLHSATKLHCTPEHVIDIILQSANYLNGMLTSFSCRFKEGQCQLNPEKIHGLQRRWILLRRLVIASSGGGVGSDFAVNINNGFRHGNLIPPSAWMQKIPTFSHSTSPLVRFLGWMAISRNAKQFIEERLFLTSDMSELTYLLSIFADELAVVDKFVDPKHEDLKIEQSGDKQDSPIPNGVDLADGQHRYQSFRVIYPDLCKFFPNMKKQFEAFGEIILEAVGLQLKSLPSAVVPDILCWFSDLCSWPFFHKDQATSHSSCTHLKGHVAKNAKAIILYVLEAIVVEHMEALVPEIPRVVLVLVSLCRASYCDTSFLDSVLHLLKPIISYSLHKVSDEEKLLVDDSCHNFESLCFDELFSNIRQRNENQDSSLEKAFSGALTIFILASVFPDLSFQRRREILQSLTFWADFTAFEPSTSFHDYLCAFNAVMESCKVFLLQHLRVSNFVPLQLPPFSDSGKLGESGSESFSWFLNDILHGSTPNEISENLESNSFDAIVLNEKNYNLSEEEIEDFTKDLEGVISKLYPTIEQCWSLHHQLAKKLTIASAQCFVYSRCLLSMAPAIHNAEGYKNENSLPSKSVDRLPAQWKTGLEGLAGTILMLQENACWQVASVMLDCLLGVPLGFPLDNVIDSICTAIKNFSSKAPKISWRLQTDKWLSILCIRGIHSLHESEVPPLVNMFLTMLGHPEPEQRFIVLQHLGRLVGQDVDGGIMVQSSKFCSKIVSPGLVPSIPEKIISLLVSSTWDQVAVLASTDVSLSLRTRAMALLVDYVPFADRHQLQSFLAAADSLLYGLGRLVYPICEGPLLKLSLALITSACLYSPAEDISLIPQKVWENIETLGFSKAEYRLPDLEKKACQVLCRLRNEGDDAKEVLQEVLSSSSAKQSDPEFGSTRESVLQVLANLTSVQSYFDIFARETDQEAMELEEAELELDLIQKEGVLQESLKDSEDGHQLPRLATPVRDENRLQQIKDCIRSFEKNKLQDDIVARRQQKLLMRRARQKYLEEASLREAELLQELDRERTAEAEKDIERQRLLELERAKTRELRHNLDMEKERQTQRELQRELEQAESGLRSSRRDFPSSHSSHCNMLFVGLGWLYPIHYDAY >EOY10354 pep chromosome:Theobroma_cacao_20110822:5:34332214:34346364:1 gene:TCM_025728 transcript:EOY10354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MYLQLLQDMTNRLLVFLPHLEADFANFSDAADSNLRFLAMLAGPFYPILHIVKERDTARSSGNIADSEVPRNTQSLSLLTVSSNFEPRRSRNTSPFVLSTSSSIAFRSDAIFVLLRKAYKDSNLGTVCRMACRMLQKLTEPLTMVDELTPSAEVTPVLDESSKSELLNPLPMVDYSKLFGEEFQVIDDQWDPSILNVLDVGAVEEGILHVLYACASQPQLCSKLEDSTSDFWSALPLVQALLPALRPFMSSPSDHVDDTFSQWKQPFVQQALSQIVVTASSSLYHPLLQACAGYLSSYSPSHAKAACVLIDLCCGVLAPWITQVIAKVDLTVELVEDLLGIIQGARHSMARARAALKYIVLVLSGHMDDILGKYKEVKHNILFLVEMLEPFLDPAIYTSTSKIAFGDVSFAFLEKQEQTCLIALNIIRRAVQKPAVLPSIESEWRRRSVAPSVLLSILEPRIQLPPEIDMCISPISEDVEHESLNASPVLHCESDGKTDVLETAVKMDALEDVSLLFAPPELRSTTLTNVCSIPNENVLELNQMDLNSEQKDVEKKISNQFQNSLVLDAGFAAEYYNLQADYLQLMNFRDCELKASEFQRLASDLHSQHEISHESHDAAIDALLLAAECYVNPFFVISLKASSNIMNKMNVCRVKIPKTFEMSELRRVTKKTNSNLQTISHLEKNRDKVVLKILLEAAELDRKYHKKLSDGEDCESYSVESDEQVIEISPFDIQSADAVTLVRQNQSLLCNFLIRRLQGEQHSLHEILMQCLVFLLHSATKLHCTPEHVIDIILQSANYLNGMLTSFSCRFKEGQCQLNPEKIHGLQRRWILLRRLVIASSGGGVGSDFAVNINNGFRHGNLIPPSAWMQKIPTFSHSTSPLVRFLGWMAISRNAKQFIEERLFLTSDMSELTYLLSIFADELAVVDKFVDPKHEDLKIEQSGDKQDSPIPNGVDLADGQHRYQSFRVIYPDLCKFFPNMKKQFEAFGEIILEAVGLQLKSLPSAVVPDILCWFSDLCSWPFFHKDQATSHSSCTHLKGHVAKNAKAIILYVLEAIVVEHMEALVPEIPRVVLVLVSLCRASYCDTSFLDSVLHLLKPIISYSLHKVSDEEKLLVDDSCHNFESLCFDELFSNIRQRNENQDSSLEKAFSGALTIFILASVFPDLSFQRRREILQSLTFWADFTAFEPSTSFHDYLCAFNAVMESCKVFLLQHLRVSNFVPLQLPPFSDSGKLGESGSESFSWFLNDILHGSTPNEISENLESNSFDAIVLNEKNYNLSEEEIEDFTKDLEGVISKLYPTIEQCWSLHHQLAKKLTIASAQCFVYSRCLLSMAPAIHNAEGYKNENSLPSKSVDRLPAQWKTGLEGLAGTILMLQENACWQVASVMLDCLLGVPLGFPLDNVIDSICTAIKNFSSKAPKISWRLQTDKWLSILCIRGIHSLHESEVPPLVNMFLTMLGHPEPEQRFIVLQHLGRLVGQDVDGGIMVQSSKFCSKIVSPGLVPSIPEKIISLLVSSTWDQVAVLASTDVSLSLRTRAMALLVDYVPFADRHQLQSFLAAADSLLYGLGRLVYPICEGPLLKLSLALITSACLYSPAEDISLIPQKVWENIETLGFSKAEYRLPDLEKKACQVLCRLRNEGDDAKEVLQEVLSSSSAKQSDPEFGSTRESVLQVLANLTSVQSYFDIFARETDQEAMELEEAELELDLIQKEGVLQESLKDSEDGHQLPRLATPVRDENRLQQIKDCIRSFEKNKLQDDIVARRQQKLLMRRARQKYLEEASLREAELLQELDRERTAEAEKDIERQRLLELERAKTRELRHNLDMEKERQTQRELQRELEQAESGLRSSRRDFPSSHIGLGKGIVRGKMEDQVMKEAQELLVAACNLKIPLVHRWLQCQQLFCQDHDRFRASHPPFYNPVTVQMNVAAVTKKILMEVRTQETQVVLVIQS >EOY10353 pep chromosome:Theobroma_cacao_20110822:5:34328728:34346490:1 gene:TCM_025728 transcript:EOY10353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MEIELEPRVKPLSYKVKATSRESPSQKASNVLDTDLRTHWSTATNTKEWILLELDEPCLLSHIRIYNKSVLEWEIAVGLRYKPETFVRVRPRCEAPRRDMMYPMNYTPCRYVRISCLRGNPIAIFFIQLIGISVTGLEPEFQPVVNHLLPQIMSHKQDAHDMYLQLLQDMTNRLLVFLPHLEADFANFSDAADSNLRFLAMLAGPFYPILHIVKERDTARSSGNIADSEVPRNTQSLSLLTVSSNFEPRRSRNTSPFVLSTSSSIAFRSDAIFVLLRKAYKDSNLGTVCRMACRMLQKLTEPLTMVDELTPSAEVTPVLDESSKSELLNPLPMVDYSKLFGEEFQVIDDQWDPSILNVLDVGAVEEGILHVLYACASQPQLCSKLEDSTSDFWSALPLVQALLPALRPFMSSPSDHVDDTFSQWKQPFVQQALSQIVVTASSSLYHPLLQACAGYLSSYSPSHAKAACVLIDLCCGVLAPWITQVIAKVDLTVELVEDLLGIIQGARHSMARARAALKYIVLVLSGHMDDILGKYKEVKHNILFLVEMLEPFLDPAIYTSTSKIAFGDVSFAFLEKQEQTCLIALNIIRRAVQKPAVLPSIESEWRRRSVAPSVLLSILEPRIQLPPEIDMCISPISEDVEHESLNASPVLHCESDGKTDVLETAVKMDALEDVSLLFAPPELRSTTLTNVCSIPNENVLELNQMDLNSEQKDVEKKISNQFQNSLVLDAGFAAEYYNLQADYLQLMNFRDCELKASEFQRLASDLHSQHEISHESHDAAIDALLLAAECYVNPFFVISLKASSNIMNKMNVCRVKIPKTFEMSELRRVTKKTNSNLQTISHLEKNRDKVVLKILLEAAELDRKYHKKLSDGEDCESYSVESDEQVIEISPFDIQSADAVTLVRQNQSLLCNFLIRRLQGEQHSLHEILMQCLVFLLHSATKLHCTPEHVIDIILQSANYLNGMLTSFSCRFKEGQCQLNPEKIHGLQRRWILLRRLVIASSGGGVGSDFAVNINNGFRHGNLIPPSAWMQKIPTFSHSTSPLVRFLGWMAISRNAKQFIEERLFLTSDMSELTYLLSIFADELAVVDKFVDPKHEDLKIEQSGDKQDSPIPNGVDLADGQHRYQSFRVIYPDLCKFFPNMKKQFEAFGEIILEAVGLQLKSLPSAVVPDILCWFSDLCSWPFFHKDQATSHSSCTHLKGHVAKNAKAIILYVLEAIVVEHMEALVPEIPRVVLVLVSLCRASYCDTSFLDSVLHLLKPIISYSLHKVSDEEKLLVDDSCHNFESLCFDELFSNIRQRNENQDSSLEKAFSGALTIFILASVFPDLSFQRRREILQSLTFWADFTAFEPSTSFHDYLCAFNAVMESCKVFLLQHLRVSNFVPLQLPPFSDSGKLGESGSESFSWFLNDILHGSTPNEISENLESNSFDAIVLNEKNYNLSEEEIEDFTKDLEGVISKLYPTIEQCWSLHHQLAKKLTIASAQCFVYSRCLLSMAPAIHNAEGYKNENSLPSKSVDRLPAQWKTGLEGLAGTILMLQENACWQVASVMLDCLLGVPLGFPLDNVIDSICTAIKNFSSKAPKISWRLQTDKWLSILCIRGIHSLHESEVPPLVNMFLTMLGHPEPEQRFIVLQHLGRLVGQDVDGGIMVQSSKFCSKIVSPGLVPSIPEKIISLLVSSTWDQVAVLASTDVSLSLRTRAMALLVDYVPFADRHQLQSFLAAADSLLYGLGRLVYPICEGPLLKLSLALITSACLYSPAEDISLIPQKVWENIETLGFSKAEYRLPDLEKKACQVLCRLRNEGDDAKEVLQEVLSSSSAKQSDPEFGSTRESVLQVLANLTSVQSYFDIFARETDQEAMELEEAELELDLIQKEGVLQESLKDSEDGHQLPRLATPVRDENRLQQIKDCIRSFEKNKLQDDIVARRQQKLLMRRARQKYLEEASLREAELLQELDRERTAEAEKDIERQRLLELERAKTRELRHNLDMEKERQTQRELQRELEQAESGLRSSRRDFPSSHSSRPRERYRERENGRSSNEGSTRTTSSSLQPENTTSSSMAAMPTVVLSGSRSFSGQPPTILQSRDRADECSSSYEENFDGSKDSGDTGSVGDPELVSAFDGQSGGFGSSQRHGSRGSKSRQVLERRERDGRRESKWERKHS >EOY07484 pep chromosome:Theobroma_cacao_20110822:5:1720309:1722640:1 gene:TCM_021907 transcript:EOY07484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red elongated hypocotyl 1, putative isoform 1 MEVDNQTNPSQVKSLLNGSVNIVDFNKKRKLEAEQLGLPISKHQCWNRSLPSKPPTFSSIQEVEGFSPCTFKGKGGAAYDVSETGSAKDSNSFAEDSDCAMSVHDEAKFGTEDTKYLLYGRASSSSSDWGSNSQGSLYSSDSTTVASRSVDKEVLSSPGGQPEPADVELADNLEESLVEYGSHIDYIYSGYGNYPIEEYQDKEIEEILNTNGANPNVYVLSSGRWSANQEAPQTARKPTIDQEFEQYFSTLML >EOY07483 pep chromosome:Theobroma_cacao_20110822:5:1720075:1722679:1 gene:TCM_021907 transcript:EOY07483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red elongated hypocotyl 1, putative isoform 1 MRSEKKADLFSDIHEEGKRVVRSLVSSSSSESFRLSAGVCQEMEVDNQTNPSQFDTLLVINSATAIYQLGFSLFLLNGSVNIVDFNKKRKLEAEQLGLPISKHQCWNRSLPSKPPTFSSIQEVEGFSPCTFKGKGGAAYDVSETGSAKDSNSFAEDSDCAMSVHDEAKFGTEDTKYLLYGRASSSSSDWGSNSQGSLYSSDSTTVASRSVDKEVLSSPGGQPEPADVELADNLEESLVEYGSHIDYIYSGYGNYPIEEYQDKEIEEILNTNGANPNVYVLSSGRWSANQEAPQTARKPTIDQEFEQYFSTLML >EOY09095 pep chromosome:Theobroma_cacao_20110822:5:26013795:26015232:-1 gene:TCM_024480 transcript:EOY09095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated family protein MTGKLTIVMYFLVIMLLLLAQNNASSIKEAPAPQPQPPSNFTRHGITQGSLHPQECGPRCTFRCSKTQYRKPCLFFCQKCCAKCLCVPPGTYGNKQFCPCYNNWKTKRGGPKCP >EOY08732 pep chromosome:Theobroma_cacao_20110822:5:19082971:19087042:1 gene:TCM_023839 transcript:EOY08732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDIIAAIFGERHSIQRPLMFLVTNYPYWKKRMEMFIQSMDLEDTIKKGENIKGENDNFAIKVVKASKGSFDSSSLSLADLYLFKMAFFFSISFLPCHFHTSTPFSLDSHAIEEKNRENQLRKERKERWKAWVVSDSRVIEETSSGSQDSRNIMFSELKVTDPRTRCSSLSIGREEDEDITLLTKKRSKFMRRNYKGRRPPKRGMAKGEHSKGHLICYECRKSEHIKYECPNKKSTSKKFKKKAMVATWSDSDDSQDEEEAVNLDFMALEDFKVCSTYYNSRSCALDGNSYSFDELQDAHDDLVFEFEEKTLKYKNIIS >EOY09945 pep chromosome:Theobroma_cacao_20110822:5:31906740:31908273:-1 gene:TCM_025319 transcript:EOY09945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAHPITVHISNLYHAVEAVRPYLNAINLMGCGGGAAPSLMGCLPGLVNESFYTMYGILKWIEEYISMAKVLALVNESPTKEFAMKKKRIKVR >EOY11686 pep chromosome:Theobroma_cacao_20110822:5:40063108:40068093:1 gene:TCM_026786 transcript:EOY11686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin alpha isoform 1 MSLRPSTRTEVRKKGYKTGVDAEEARRRREDNLVEIRKNKREDNLLKKRREGLLLQSQQPLLDAAQNAAAIEKRLESIPLMVQGVWSDDPASQLEATTQFRKLLSIERSPPIDEVIKAGVVPRFVEFLGRQDLPQLQFEAAWALTNVASGTSEHTRVVIEHGAVPMFVQLLSSASDDVREQAVWALGNVAGDSPSCRDLVLGNGALMPLLAQLNERSKLSMLRNATWTLSNFCRGKPPTPFEQVKPALSILRELIHLNDEEVLTDACWALSYLSDGPNDKIQAVIEAGVCSRLVELLGHPSPTVLIPALRTVGNIVTGDDNQTQYIFQFVIENKVLPHLYQLLVQNHKKSIKKEACWTISNITAGNRTQIQAVIEANIILPLVHLLQHAEFDIKKEAAWAISNATSGGAHEQIQFLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKEMGMNGGINLYAQMIDECDGLDKIENLQTHDNHEIYEKAVKILERYWAEEEDDEQNLQDGGNENQQGFNFGANQPNVPPGGFKFG >EOY11685 pep chromosome:Theobroma_cacao_20110822:5:40063108:40068093:1 gene:TCM_026786 transcript:EOY11685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin alpha isoform 1 MSLRPSTRTEVRKKGYKTGVDAEEARRRREDNLVEIRKNKREDNLLKKRREGLLLQSQQPLLDAAQNAAAIEKRLESIPLMVQGVWSDDPASQLEATTQFRKLLSIERSPPIDEVIKAGVVPRFVEFLGRQDLPQLQFEAAWALTNVASGTSEHTRVVIEHGAVPMFVQLLSSASDDVREQAVWALGNVAGDSPSCRDLVLGNGALMPLLAQLNERSKLSMLRNATWTLSNFCRGKPPTPFEQVKPALSILRELIHLNDEEVLTDACWALSYLSDGPNDKIQAVIEAGVCSRLVELLGHPSPTVLIPALRTVGNIVTGDDNQTQFVIENKVLPHLYQLLVQNHKKSIKKEACWTISNITAGNRTQIQAVIEANIILPLVHLLQHAEFDIKKEAAWAISNATSGGAHEQIQFLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKEMGMNGGINLYAQMIDECDGLDKIENLQTHDNHEIYEKAVKILERYWAEEEDDEQNLQDGGNENQQGFNFGANQPNVPPGGFKFG >EOY11589 pep chromosome:Theobroma_cacao_20110822:5:39801673:39805745:1 gene:TCM_026728 transcript:EOY11589 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box protein, putative isoform 2 MVRGGKVGCRTDYKKKVRSRYEGSDDSDEDYVVSEEGNEESEDAAEDYCSSLDERASEESFGSFVNEEDEEEEEKEVRKAVRSKAKPMSSAKERKVVDRKSRKRKSVSDEEEEDEDYEEEEEDEDDDEEFTLDDEDCLDEEEELTMKKKKNNIKVSKQGLWKRGPSKHRKKRKKSGVSKKPLRKAGRKKRVLKRKTRVEEDEDDDDYCDFTDNAPIMRKKSRTNAGRRKKSYVVPSDSDFVSSGSSDYEYTISEEEREQVREASELCGSLKTSLRSSSCSKRILEFEDLGQCKKPPGRKGKEKIEETKAEVLKQVCGICLSEEDKRRLRGTLNCCSHYFCFTCIMEWSKVESRCPLCKQRFETISKPARSTAGVDLRDVVIEVPKRDQVYQPSEEELRSYLDPYENVICSECHQGGDDGLMLLCDLCDSSAHTYCVGLGREVPEGNWYCDGCRPVALGSSSSQVQDSLPDQRTINNLYNRLSPIVNVGESLDPIVVPSPRTPLPPGFVGLPSPRFPVADISAVSPVSGAGAPTLTGRRWLHRQIQNLRSINRMNLMAGRTDGISTTNMGIDFVNSQIDQGRETTVQQARTQEMETLHQRVIEERLQDDPSSSLQSRDFFSSRLSHLRRQAVQDSTTTTFNTSVNLTLWPELAGISSNEQLRQCSNGSNIVPDGCGLPFSVRDEDNFSMAKEQLQAMVRSHLKALSKDIDLDNGTFKDIATSSMHTLLAACGLEHRRSEAHIVPPLNCTHIERVAAGQMSLMKGCCLTCFDSFVKDVVKRIMDTRSRQWLSLGL >EOY11588 pep chromosome:Theobroma_cacao_20110822:5:39802135:39805988:1 gene:TCM_026728 transcript:EOY11588 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box protein, putative isoform 2 MVFFLHQRGQMVRGGKVGCRTDYKKKVRSRYEGSDDSDEDYVVSEEGNEESEDAAEDYCSSLDERASEESFGSFVNEEDEEEEEKEVRKAVRSKAKPMSSAKERKVVDRKSRKRKSVSDEEEEDEDYEEEEEDEDDDEEFTLDDEDCLDEEEELTMKKKKNNIKVSKQGLWKRGPSKHRKKRKKSGVSKKPLRKAGRKKRVLKRKTRVEEDEDDDDYCDFTDNAPIMRKKSRTNAGRRKKSYVVPSDSDFVSSGSSDYEYTISEEEREQVREASELCGSLKTSLRSSSCSKRILEFEDLGQCKKPPGRKGKEKIEETKAEVLKQVCGICLSEEDKRRLRGTLNCCSHYFCFTCIMEWSKVESRCPLCKQRFETISKPARSTAGVDLRDVVIEVPKRDQVYQPSEEELRSYLDPYENVICSECHQGGDDGLMLLCDLCDSSAHTYCVGLGREVPEGNWYCDGCRPVALGSSSSQVQDSLPDQRTINNLYNRLSPIVNVGESLDPIVVPSPRTPLPPGFVGLPSPRFPVADISAVSPVSGAGAPTLTGRRWLHRQIQNLRSINRMNLMAGRTDGISTTNMGIDFVNSQIDQGRETTVQQARTQEMETLHQRVIEERLQDDPSSSLQSRDFFSSRLSHLRRQAVQDSTTTTFNTSVNLTLWPELAGISSNEQLRQCSNGSNIVPDGCGLPFSVRDEDNFSMAKEQLQAMVRSHLKALSKDIDLDNGTFKDIATSSMHTLLAACGLEHRRSEAHIVPPLNCTHIERVAAGQMSLMKGCCLTCFDSFVKDVVKRIMDTRSRQWLSLGL >EOY11590 pep chromosome:Theobroma_cacao_20110822:5:39801670:39805745:1 gene:TCM_026728 transcript:EOY11590 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box protein, putative isoform 2 MVRGGKVGCRTDYKKKVRSRYEGSDDSDEDYVVSEEGNEESEDAAEDYCSSLDERASEESFGSFVNEEDEEEEEKEVRKAVRSKAKPMSSAKERKVVDRKSRKRKSVSDEEEEDEDYEEEEEDEDDDEEFTLDDEDCLDEEEELTMKKKKNNIKVSKQGLWKRGPSKHRKKRKKSGVSKKPLRKAGRKKRVLKRKTRVEEDEDDDDYCDFTDNAPIMRKKSRTNAGRRKKSYVVPSDSDFVSSGSSDYEYTISEEEREQVREASELCGSLKTSLRSSSCSKRILEFEDLGQCKKPPGRKGKEKIEETKAEVLKQVCGICLSEEDKRRLRGTLNCCSHYFCFTCIMEWSKVESRCPLCKQRFETISKPARSTAGVDLRDVVIEVPKRDQVYQPSEEELRSYLDPYENVICSECHQGGDDGLMLLCDLCDSSAHTYCVGLGREVPEGNWYCDGCRPVALGSSSSQVQDSLPDQRTINNLYNRLSPIVNVGESLDPIVVPSPRTPLPPGFVGLPSPRFPVADISAVSPVSGAGAPTLTGRRWLHRQIQNLRSINRMNLMAGRTDGISTTNMGIDFVNSQIDQGRETTVQQARTQEMETLHQRVIEERLQDDPSSSLQSRDFFSSRLSHLRRQAVQDSTTTTFNTSVNLTLWPELAGISSNEQLRQCSNGSNIVPDGCGLPFSVRDEDNFSMAKEQLQAMVRSHLKALSKDIDLDNGTFKDIATSSMHTLLAACGLEHRRSEAHIVPPLNCTHIERVAAGQMSLMKGCCLTCFDSFVKDVVKRIMDTRSRQWLSLGL >EOY11611 pep chromosome:Theobroma_cacao_20110822:5:39851277:39857924:1 gene:TCM_026741 transcript:EOY11611 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD subdomain, putative isoform 3 KPFCLGHKARFFSSIISTVASPSKFRILKQEGNNQLTITRRLDEEMSKGQRESFAMANNLFAAIDMGTNAFKLLIVQAYSPGKFLPILNVKEPVVLGRDSASSAISASSQHHSVKALVEFNEILETYRIPSLHTRCVATSAVREARNKAQFIDSVAEATGLEVEVLSGEQEARYSYLGALQFLPVFDKCVLNVDIGGGSSELAIGSRGKVDYCLSLKLGHVTMAQQDFGDEEAERVFNMREYVRKVVKESGLVEKVKNFGFEVAVGSSGTIRAIERAVHKGYGLGFDGNEAVLRECKRDWRITRKELKSVVKRLCNGGEGEKVSREKFFKKRSESIVAGGILIEEMFDLLGIEYMLVSGYGLREGVIADTLAKVFDDGYDLNASARFRSILQLTTGIYSKKMITSAAECASIARVIFEGLEKCKELDNDGVKLAVPLDDKDLEYIEAACLLHNIGLIDGKKGYHKQSYHIIMNGNHLQGYSAEEVKLIALLTRHHRKKLPKFGHASFNEFGDENGYISYKDMSFSHSHDGFKLVLGEARGQTLLPGVEQCTADKFEKELRHELEYFKKVFKQELFVQIPSFSSTNHENESC >EOY11612 pep chromosome:Theobroma_cacao_20110822:5:39851617:39855677:1 gene:TCM_026741 transcript:EOY11612 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD subdomain, putative isoform 3 VKALVEFNEILETYRIPSLHTRCVATSAVREARNKAQFIDSVAEATGLEVEVLSGEQEARYSYLGALQFLPVFDKCVLNVDIGGGSSELAIGSRGKVDYCLSLKLGHVTMAQQDFGDEEAERVFNMREYVRKVVKESGLVEKVKNFGFEVAVGSSGTIRAIERAVHKGYGLGFDGNEAVLRECKRDWRITRKELKSVVKRLCNGGEGEKVSREKFFKKRSESIVAGGILIEEMFDLLGIEYMLVSGYGLREGVIADTLAKVFDDGYDLNASARFRSILQLTTGIYSKKMITSAAECASIARVIFEGLEKCKELDNDGVKLAVPLDDKDLEYIEAACLLHNIGLIDGKKGYHKQSYHIIMNGNHLQGYSAEEVKLIALLTRHHRKKLPKFGHASFNEFGDEAKQKFGVLCAIIRLSVVLHQNGYISYKDRFSSKNCLFKYHHSLQLTMKMKVADKKAAS >EOY11609 pep chromosome:Theobroma_cacao_20110822:5:39851413:39855345:1 gene:TCM_026741 transcript:EOY11609 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD subdomain, putative isoform 3 MSKGQRESFAMANNLFAAIDMGTNAFKLLIVQAYSPGKFLPILNVKEPVVLGRDSASSAISASSQHHSVKALVEFNEILETYRIPSLHTRCVATSAVREARNKAQFIDSVAEATGLEVEVLSGEQEARYSYLGALQFLPVFDKCVLNVDIGGGSSELAIGSRGKVDYCLSLKLGHVTMAQQDFGDEEAERVFNMREYVRKVVKESGLVEKVKNFGFEVAVGSSGTIRAIERAVHKGYGLGFDGNEAVLRECKRDWRITRKELKSVVKRLCNGGEGEKVSREKFFKKRSESIVAGGILIEEMFDLLGIEYMLVSGYGLREGVIADTLAKVFDDGYDLNASARFRSILQLTTGIYSKKMITSAAECASIARVIFEGLEKCKELDNDGVKLAVPLDDKDLEYIEAACLLHNIGLIDGKKGYHKQSYHIIMNGNHLQGYSAEEVKLIALLTRHHRKKLPKFGHASFNEFGDEAKQKFGVLCAIIRLSVVLHQNGYISYKDMSFSHSHDGFKLVLGEARGQTLLPGVEQCTADKFEKELRHELEYFKKAKSFKFSSKNCLFKYHHSLQLTMKMKVADKKAAIPVNVRPSRVLNNTKLLCTAPHH >EOY11610 pep chromosome:Theobroma_cacao_20110822:5:39851335:39855677:1 gene:TCM_026741 transcript:EOY11610 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD subdomain, putative isoform 3 MSKGQRESFAMANNLFAAIDMGTNAFKLLIVQAYSPGKFLPILNVKEPVVLGRDSASSAISASSQHHSVKALVEFNEILETYRIPSLHTRCVATSAVREARNKAQFIDSVAEATGLEVEVLSGEQEARYSYLGALQFLPVFDKCVLNVDIGGGSSELAIGSRGKVDYCLSLKLGHVTMAQQDFGDEEAERVFNMREYVRKVVKESGLVEKVKNFGFEVAVGSSGTIRAIERAVHKGYGLGFDGNEAVLRECKRDWRITRKELKSVVKRLCNGGEGEKVSREKFFKKRSESIVAGGILIEEMFDLLGIEYMLVSGYGLREGVIADTLAKVFDDGYDLNASARFRSILQLTTGIYSKKMITSAAECASIARVIFEGLEKCKELDNDGVKLAVPLDDKDLEYIEAACLLHNIGLIDGKKGYHKQSYHIIMNGNHLQGYSAEEVKLIALLTRHHRKKLPKFGHASFNEFGDEAKQKFGVLCAIIRLSVVLHQNGYISYKDMSFSHSHDGFKLVLGEARGQTLLPGVEQCTADKFEKELRFSSKNCLFKYHHSLQLTMKMKVADKKAAS >EOY09935 pep chromosome:Theobroma_cacao_20110822:5:31782420:31790567:-1 gene:TCM_025304 transcript:EOY09935 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MEIVVGVVSSIVTTAAKYTISPIKNHFKYLCNHENQVRSLKNQVESLKDARQRVQHSVDAAMRNGEEIEHDVDRWLTTVNKKIAEEVEKVMQNEDKAKKKCFLGLCPGFWTRYMLSMEAEEEAKAVAELLEQGKFDSVSYRGGPQGTMVASVKGFEAFESRTLVLNGIIEALKDASFGVLGVHGMGGVGKTTLVKEVARQVKEGKLFDSVVIAAVTQTLDVKDIQNQVAEQLGLKFEEQSRVGRALRLRERLQKEKKILVVLDDIWERLDLEEVGIPFGNEHEGCKILLTSRHLDVLSSGMETQKNFVVGLLNEKEAWDLFKKMAGDCVESCDLQPTAIEIAKKCAGLPIAIATVARALRNKRLFEWENALRELKRPSSRSFTGLTADVYSALELSYSCLEDEEVKFTFLLCSLIGHNGFVQNLLQCVMGLGSFRGVNTIKEARNKVLTVVSKLKSSCLLLDSYNDERFDIHDVVRDVAISIASRDHHMFVLRDGDVLKEWPDQETMKTCSVIFLSSPNISELPDELECSHLSFLFMNNDGSVNIPANFFRRTERLKVLHLAGMQFQSLPASINLLTNLHMLRLNRCALEDITIIGKLKKLEILNLARSDIKALPREIAQLTRLRLLDLRHCTELKIIPPNILSNLSKLEELYLEDSFVQWEDEALGSERRNVSLEELKHLSHLTTLYVDIPNAQIIPECLFSETLDRYKIFIGTGAWERFAKYKCSRTLRFKLDTSIHLDHGVKILLKKTEDLYLDQLKGIKNVVAELNNGEDFPHLKKLHIQNGLEVQYIATENTQFSQLQSMTLQDLPRLIGFSSEEKTSSTSQKEQRNTSTKPLFNKQIVFPQLKSLRLSSIKTERIWHNQLSETYCYCLPNLKSFIIEDCDHLQHLLLPSVARSLIHLEHFEIVDCKCLRDIIFTEEITEEQEDVICFPRLNSLKIKYLSNLIKFGSGNYNIEFPSLKVLEIGNCPIQEFISESKMEGKYESSIQALFNEKAAFPSLERMRLSSLRNVKMIFHDQLPAGPLDSQLRELDIKNLPQLKHVWNKDHQGSLTYQNLRKVRVWHCGSLKNLFPASIAKDLPQLEDLTIICCGVEEIVSLGEGLEQPVRFKFPRVSSLVLTSLEELKCFYPGQHTIVWPMLKKLKTDCSTFIKIVASERPSIQEMNENDRRESTEGQPLFLGEEVLF >EOY09500 pep chromosome:Theobroma_cacao_20110822:5:29542845:29545293:-1 gene:TCM_024917 transcript:EOY09500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMGRGVWGNIFSLSQTLEATGYITIMFSSGSRKEKSTGSITHEGTTPKSTQASREWSLYFDGSSNNFRGGAEIVPIPPGRKDNSLIQSSELTVSHLSRSHISLEEKGLCVLEVSSKDGKSLISGLELNTLELGKEPISLAFKLDFPCTNNQAEYEALVLGLYAIATIEVNNLCIHQDSNLIVKQTNGEFSLKELMLASYRTLV >EOY11678 pep chromosome:Theobroma_cacao_20110822:5:40017713:40028871:1 gene:TCM_026778 transcript:EOY11678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase family protein MAIKLLSTPTSLSHPTKLCFFSSSPKLISRTNFLFQWRSQSRVFTRKMVVKACVKVEEKNVEETGKKEWGKVSAVLFDMDGVLCNSENPSRKAGVDVFAEMGVQVTVEDFVPFTGMGEAYFLGGVASVKGVKEFDPEAAKKRFFEIYLDKYAKPNSGIGFPGALELITQCKNKGLKVAVASSADRVKVDANLAAAGLPLSMFDAIVSADAFENLKPAPDIFLAASKILDVPPDECIVIEDALAGVQAAKAAKMRCIAVTTTLKEDTLKDAGPSFIRNDIGSVSLDDILSGSSDEMVQDSQFLQVSEQNPSTVLNEKTYNGSIPGVDAPSDGVFSLEGLQGSRREILRYGSLGIALSCLYFGITNWKAMQYATPKAIQNLLFGAKSPSFEPNEGESRSARVQQFVNYISDLESRGTAPTVPEFPAKLDWLNTAPLQFGRDLKGKVVLLDFWTYCCINCMHVLPDLDFLEKKYKDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYGITHPVVNDGDMNLWRELGISSWPTFAIVGPNGQLLAQISGEGRRKDLDYLVEAALLFYGKKKLLDNTPIPLKLEKDNDPRLLTSPLKFPGKLAIDVLNNRLFISDSNHNRIVVTNLDGNYIVQIGSTGEDGLHDGSFDDATFNRPQGLAYNAKKNILYVADTENHALREIDFVSETVRTLAGNGTKGSDYTGGGTGTSQLLNSPWDVCFDPVNEKVYIAMAGQHQIWEHNTQDGVTKALSGNGYERNLNGSSSTSTSFAQPSGISLSPDLMEAYIADSESSSIRGLDLKTGGSRLLAGGDPVFSDNLFRFGDHDGVGSDVLLQHPLGVLCAKDGQIYIADSYNHKIKKLDPASKRVSTLAGTGKAGFKDGKALAAQLSEPSGIIEAENGRLFIADTNNSVIRYLDLNKADAEILTLELKGVQPPTPKSKSLRRLRRRPSADTQTIVVNGGSSSEGNLYLKVSLPEEYHFSKEAKSKFTVDIEPDIAVSIDPLDGNLSPQGSATLHFRRSISSAFTGRINCKVYYCKEDEVCLYQSLLFEVPFQEEVPESKPAEIKLAYDVKPKASTSSLQLAAR >EOY08755 pep chromosome:Theobroma_cacao_20110822:5:19480341:19482074:1 gene:TCM_023873 transcript:EOY08755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin b1,5 isoform 1 AAAAENNQKNVCVNVDKAPVPDGNNAAPKRAAAAPKPAQKKPTAKPKPVEVIEISPDAEDAVQVKEKKDKVPVNNIDKKATAGEGSSKKKAHSLTSVLTARSKAACGISNKPKEEIVDIDAADANNDLAGVEYVEDIYKFYKSVENESRPHDYMHLQTDINEKMRAILIDWLIDVHQKFELSAEALYLTINLIDRFLCVKIVPRRELQLLGMSAMLIATKYEEIWPPEVNDLVCIADRAYSHEQILIMEKTILGKLEWTLTVPTTYVFLARFIKASIPDDEKMENMVYFLAELGVMHYESIRYCPSMVAASAVYAARCTLNKTPTWTDTLKFHTGYSEAQLMECARLLAFFHSKAAESRLQVVYRKYSNSQRGAVALLPAPQTLLAAAASV >EOY08754 pep chromosome:Theobroma_cacao_20110822:5:19479477:19482589:1 gene:TCM_023873 transcript:EOY08754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin b1,5 isoform 1 MASRPIVPQQVRGITGAEGVAGGAVNKQTKKNAAGDGRSRRALGDIGNLVNVRGVADGKPQPHRPLTRSFCAQLLANAQAAAAAENNKKNVCVNVDKAPVPDGNNAAPKRAAAAPKPAQKKPTAKPKPVEVIEISPDAEDAVQVKEKKDKVPVNNIDKKATAGEGSSKKKAHSLTSVLTARSKAACGISNKPKEEIVDIDAADANNDLAGVEYVEDIYKFYKSVENESRPHDYMHLQTDINEKMRAILIDWLIDVHQKFELSAEALYLTINLIDRFLCVKIVPRRELQLLGMSAMLIATKYEEIWPPEVNDLVCIADRAYSHEQILIMEKTILGKLEWTLTVPTTYVFLARFIKASIPDDEKMENMVYFLAELGVMHYESIRYCPSMVAASAVYAARCTLNKTPTWTDTLKFHTGYSEAQLMECARLLAFFHSKAAESRLQVVYRKYSNSQRGAVALLPAPQTLLAAAASV >EOY07881 pep chromosome:Theobroma_cacao_20110822:5:3115636:3116494:1 gene:TCM_022201 transcript:EOY07881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNMMCSGQFVVYFVLRLRRVCLFVESVEGGFSVYIASEEYWGGRGRPGISSFLYRFLGTGHVVLIQGGYLLSEE >EOY11201 pep chromosome:Theobroma_cacao_20110822:5:38311682:38320043:1 gene:TCM_026449 transcript:EOY11201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription regulator NOT2/NOT3/NOT5 family protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKQIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDNFEAELEGLTVKKGKTRPPRLIHLESSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDNFSEVDDLYHSLPLDKVESLEDLVTIGPLSKGAPILNLKTSLATSASQVPGSSSQEHVEDTASQDSNSDVARTPPSKSSATNSSAAATPTGSHATPAPVNLPPHSMSGASTASVVLPGSSSARGVLESAGTTNPSSPVNLPNATKEEDITSFPGRRPSPSLADTGVRGIGRGGLSSQPSSSIPLVSGSATSTNGALGVVPSVSDVAKRNILGADERLGNSSMGQSLVSPLSNRMILPQATKANDGSAPVDSSNPSESAGLPGRAFSPSMVSGMQWRAGSSFQNQNELGQFRGRTEIAPDIREKFLQRLQQVQQQGHSNLLSIPSLAGGNHKQFSAQQQNPLMQQFNSQSSALSIQPGMGLGGQAPSLNSVTSASLQQSPNSIHQQSSQQALATSVPKDADVGHAKVEEQQPQNLPDDSSSEAVPTSGLAKNLMNEDEMKAPYAIDSPAAVSGSLTEPAQVIRDTDLSPGQPLQTSQSCSSLGVIGRRSVSDLGAIGDNLSGSTNSGGMHDQIYNLQMLEAAYFKIPQPKDSERPRSYTPKHPAATPASYPQVQAPIVNNPAFWERLSIDGYGTGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKIATDEFEQGTYVYFDFHIANDDHQHGWCQRIKTEFTFEYNYLEDELMAGSCIGASLIEACSSD >EOY09592 pep chromosome:Theobroma_cacao_20110822:5:30037077:30043111:1 gene:TCM_025007 transcript:EOY09592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A-4 MGANPSPTDSTTDLDEQISQLMQCKPLSEQQVRALCDKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCQSHTFIQFEPAPRRGEPDVTRRTPDYFL >EOY10502 pep chromosome:Theobroma_cacao_20110822:5:35103413:35107947:1 gene:TCM_025846 transcript:EOY10502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M50 family protein isoform 4 MGTLTSCSFSAVNFRSSSSSIFRERVRVEVFESRKVKRNLCLLFTGIISKEVSREKFFKIRCFSGGNNDDGDYTNNDGDVKENNSSSSKESIVTTASPTGETAPEERSIGNDPPPSVSSRPPNIAPLGSAYSDFQIDSFKLMELLGPEKVDPSDVKLIKDKLFGYSTFWVTKEESFGDLGEGILFLGNIRGKREDVFTKLQTQLAEIMGDKYNLYMVEEPDSEAPDPRGGPRVSFGLLRKEVSEPGPTTLWQYVIALLLFLLTIGSSVELGIASQINRLPPEIVKYFTDPNAIEPPDMELLYPFVESALPLAYGVLGVLLFHEVGHFLAAFPKKVKLSIPFFIPNITLGSFGAITQFKSILPDRSTKVDISLAGPFAGAALSFSMFAVGLLLSSNPDAAGDLVQVPSMLFQGSLLLGLISRATLGYAAMHAATVSIHPLVIAGWCVA >EOY10504 pep chromosome:Theobroma_cacao_20110822:5:35103415:35107959:1 gene:TCM_025846 transcript:EOY10504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M50 family protein isoform 4 MGTLTSCSFSAVNFRSSSSSIFRERVRVEVFESRKVKRNLCLLFTGIISKEVSREKFFKIRCFSGGNNDDGDYTNNDGDVKENNSSSSKESIVTTASPTGETAPEERSIGNDPPPSVSSRPPNIAPLGSAYSDFQIDSFKLMELLGPEKVDPSDVKLIKDKLFGYSTFWVTKEESFGDLGEGILFLGNIRGKREDVFTKLQTQLAEIMGDKYNLYMVEEPDSEAPDPRGGPRVSFGLLRKEVSEPGPTTLWQYVIALLLFLLTIGSSVELGIASQINRLPPEIVKYFTDPNAIEPPDMELLYPFVESALPLAYGVLGVLLFHEVGHFLAAFPKKVKLSIPFFIPNITLGSFGAITQFKSILPDRSTKVDISLAGPFAGAALSFSMFAVGLLLSSNPDAAGDLVQVPSMLFQGSLLLGLISRATLGYAAMHAATVSIHPLVIAGWCGLTTTAFNMLPVGCLDGGRAVQGAFGKGALVGFGLTTYTLLGLGVVCAY >EOY10501 pep chromosome:Theobroma_cacao_20110822:5:35103325:35108063:1 gene:TCM_025846 transcript:EOY10501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M50 family protein isoform 4 MGTLTSCSFSAVNFRSSSSSIFRERVRVEVFESRKVKRNLCLLFTGIISKEVSREKFFKIRCFSGGNNDDGDYTNNDGDVKENNSSSSKESIVTTASPTGETAPEERSIGNDPPPSVSSRPPNIAPLGSAYSDFQIDSFKLMELLGPEKVDPSDVKLIKDKLFGYSTFWVTKEESFGDLGEGILFLGNIRGKREDVFTKLQTQLAEIMGDKYNLYMVEEPDSEAPDPRGGPRVSFGLLRKEVSEPGPTTLWQYVIALLLFLLTIGSSVELGIASQINRLPPEIVKYFTDPNAIEPPDMELLYPFVESALPLAYGVLGVLLFHEVGHFLAAFPKKVKLSIPFFIPNITLGSFGAITQFKSILPDRSTKVDISLAGPFAGAALSFSMFAVGLLLSSNPDAAGDLVQVPSMLFQGSLLLGLISRATLGYAAMHAATVSIHPLVIAGWCGLTTTAFNMLPVGCLDGGRAVQGAFGKGALVGFGLTTYTLLGLGVIGGPLSLPWGLYVLICQRTPEKSCLNDVTEVGTWRKTAVTVAIFLVVLMLLPIWDELAEELGIGLVNTF >EOY10503 pep chromosome:Theobroma_cacao_20110822:5:35103415:35107955:1 gene:TCM_025846 transcript:EOY10503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M50 family protein isoform 4 MGTLTSCSFSAVNFRSSSSSIFRERVRVEVFESRKVKRNLCLLFTGIISKEVSREKFFKIRCFSGGNNDDGDYTNNDGDVKENNSSSSKESIVTTASPTGETAPEERSIGNDPPPSVSSRPPNIAPLGSAYSDFQIDSFKLMELLGPEKVDPSDVKLIKDKLFGYSTFWVTKEESFGDLGEGILFLGNIRGKREDVFTKLQTQLAEIMGDKYNLYMVEEPDSEAPDPRGGPRVSFGLLRKEVSEPGPTTLWQYVIALLLFLLTIGSSVELGIASQINRLPPEIVKYFTDPNAIEPPDMELLYPFVESALPLAYGVLGVLLFHEVGHFLAAFPKKVKLSIPFFIPNITLGSFGAITQFKSILPDRSTKVDISLAGPFAGAALSFSMFAVGLLLSSNPDAAGDLVQVPSMLFQGQCMLQQYRFILL >EOY09660 pep chromosome:Theobroma_cacao_20110822:5:30406868:30408332:-1 gene:TCM_025056 transcript:EOY09660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASTCCELIWLKYLLFDFGISHDEPIVLYLDSQAAIHMSKNPVFHERTKHIKMDCHFVREKVLAGVIKPVHISTNLQLADSFTKALQERQFHNLLSKMKVLNNHIAF >EOY09532 pep chromosome:Theobroma_cacao_20110822:5:29699395:29702816:1 gene:TCM_024945 transcript:EOY09532 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase isoform 2 MNKYPPKPNRSQHSTAPPCFKFRPKSISFWSPSAPSLCLQMNPTRRFKRVASKPNRSIQPSQSSNDLETRTSQRSKSVTVRTKRKPRQIQPPPQPKLKIESSPVSDPSFPKTTILSPGFFQIDALDLAPRLLGKFLRRDDVVLQITEVEAYRPNDSACHGRFGITSRTAPVFGPGGHAYVYLCYGLHTMLNVVADKEGVGAAVLIRACAPVCGLETIQQRRGQQTEKPILLTGPGKVGQALGISTEWSNHPLYAPGGLELLDGPQPEKILVGPRVGIEYALPEHVSALWRFAIAGTSWISAPKNTLRSP >EOY09534 pep chromosome:Theobroma_cacao_20110822:5:29699562:29702509:1 gene:TCM_024945 transcript:EOY09534 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase isoform 2 MNKYPPKPNRSQHSTAPPCFKFRPKSISFWSPSAPSLCLQMNPTRRFKRVASKPNRSIQPSQSSNDLETRTSQRSKSVTVRTKRKPRQIQPPPQPKLKIESSPVSDPSFPKTTILSPGFFQIDALDLAPRLLGKFLRRDDVVLQITEVEAYRPNDSACHGRFGITSRTAPVFGPGGHAYVYLCYGLHTMLNVVADKEGVGAAVLIRACAPVCETIQQRRGQQTEKPILLTGPGKVGQALGISTEWSNHPLYAPGGLELLDGPQPEKI >EOY09533 pep chromosome:Theobroma_cacao_20110822:5:29699608:29702862:1 gene:TCM_024945 transcript:EOY09533 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase isoform 2 MNKYPPKPNRSQHSTAPPCFKFRPKSISFWSPSAPSLCLQMNPTRRFKRVASKPNRSIQPSQSSNDLETRTSQRSKSVTVRTKRKPRQIQPPPQPKLKIESSPVSDPSFPKTTILSPGFFQIDALDLAPRLLGKFLRRDDVVLQITEVEAYRPNDSACHGRFGITSRTAPVFGPGGHAYVYLCYGLHTMLNVVADKEGVGAAVLIRACAPVCGLETIQQRRGQQTEKPILLTGPGKVC >EOY09650 pep chromosome:Theobroma_cacao_20110822:5:30354636:30361702:-1 gene:TCM_025047 transcript:EOY09650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferrin receptor protein, putative isoform 3 MSQPLTKPNNSLTSKPSPLCAFLFLIILCILGFYAVHYPPRNPQNDLLFHQIFLSSANNNTISSYLRSLTSHPHLAGTKPSLETTHYVKTHFKNLGLETHTVPFKTLLSYPLRASVSLHFDNGTVLNLPLNEIGISSYAPSGLVQPYHAYSPSGTTQGDVVFVNKGTEDDYRALEVMGVNVSGCIVIVKKGGSLSRGAAVEIAEKKGALGVLMYAEGDVSRGSFRFGVERGTVMKGVGDPLTPGWAGVEDGERLELEDNKVLDRFPGIPSLPLTFESAQVILESLGGPEAPQKWRDSSRSKLSRVGPGQVMVNFIYQGEKKLATIHNVFAVIRGSVEPDRYVLLGNHRDAWTYGAVDPNSGTAALLDIARRYALLMRKGWNPRRTIIFCSWDAEEFGMIGSTEWVEQNLVNLGAKAVAYLNVDCAVQGPGFFAGATPQLDDLIFEVTKKVKDPDSDVATIYDKWTTMNRISNIDRLSGVDSDFAPFLHHAGVSSVDIYYGRDFPVYHTAFDSFNWMINFADPFFWRHVAVAGVWGLLGLHLADDPVLPLNYLSYAKQLQEHKDVLSSFVDGSMSLTPLDASIQELASAAKRANDEAKRLMEQGFTDDLLVLKVRALNDRLMLAERGFLDTDGIKGREWFKHLIYGPRRNHGSKLEFFPGISDAIAESTKLSQRDGQAAIRHEIWRVARAIRRAAVALKGELT >EOY09651 pep chromosome:Theobroma_cacao_20110822:5:30356663:30361490:-1 gene:TCM_025047 transcript:EOY09651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferrin receptor protein, putative isoform 3 MSQPLTKPNNSLTSKPSPLCAFLFLIILCILGFYAVHYPPRNPQNDLLFHQIFLSSANNNTISSYLRSLTSHPHLAGTKPSLETTHYVKTHFKNLGLETHTVPFKTLLSYPLRASVSLHFDNGTVLNLPLNEIGISSYAPSGLVQPYHAYSPSGTTQGDVVFVNKGTEDDYRALEVMGVNVSGCIVIVKKGGSLSRGAAVEIAEKKGALGVLMYAEGDVSRGSFRFGVERGTVMKGVGDPLTPGWAGVEDGERLELEDNKVLDRFPGIPSLPLTFESAQVILESLGGPEAPQKWRDSSRSKLSRVGPGQVMVNFIYQGEKKLATIHNVFAVIRGSVEPDRYVLLGNHRDAWTYGAVDPNSGTAALLDIARRYALLMRKGWNPRRTIIFCSWDAEEFGMVEWVEQNLVNLGAKAVAYLNVDCAVQGPGFFAGATPQLDDLIFEVTKKVKDPDSDVATIYDKWTTMNRISNIDRLSGVDSDFAPFLHHAGVSSVDIYYGRDFPVYHTAFDSFNWMINFADPFFWRHVAVAGVWGLLGLHLADDPVLPLNYLSYAKQLQ >EOY09652 pep chromosome:Theobroma_cacao_20110822:5:30356871:30361490:-1 gene:TCM_025047 transcript:EOY09652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferrin receptor protein, putative isoform 3 MSQPLTKPNNSLTSKPSPLCAFLFLIILCILGFYAVHYPPRNPQNDLLFHQIFLSSANNNTISSYLRSLTSHPHLAGTKPSLETTHYVKTHFKNLGLETHTVPFKTLLSYPLRASVSLHFDNGTVLNLPLNEIGISSYAPSGLVQPYHAYSPSGTTQGDVVFVNKGTEDDYRALEVMGVNVSGCIVIVKKGGSLSRGAAVEIAEKKGALGVLMYAEGDVSRGSFRFGVERGTVMKGVGDPLTPGWAGVEDGERLELEDNKVLDRFPGIPSLPLTFESAQVILESLGGPEAPQKWRDSSRSKLSRVGPGQVMVNFIYQGEKKLATIHNVFAVIRGSVEPDRYVLLGNHRDAWTYGAVDPNSGTAALLDIARRYALLMRKGWNPRRTIIFCSWDAEEFGMIGSTEWVEQNLVNLGAKAVAYLNVDCAVQGPGFFAGATPQLDDLIFEVTKKVKDPDSDVATIYDKWTTMNRISNIDRLSGVDSDFAPFLHHAGVSSVDIYYGRDPFFWRHVA >EOY07802 pep chromosome:Theobroma_cacao_20110822:5:2769116:2770522:-1 gene:TCM_022129 transcript:EOY07802 gene_biotype:protein_coding transcript_biotype:protein_coding description:FASCICLIN-like arabinogalactan-protein 11, putative MRKQLLLPFLSLVLFFLCTKSFAQVAPAPPIRTDNITSILEKGGQFTTFIRLLKATQVADQLNNQLSTPDPNDGLTIFAPSDNAFSSLNPGTLNTLSDREKLQLVQFHILPTLMSTTQFQTASNPLRTQAGDVKSGRFPLNVTASGNQVNITTGVVNTTVANTVFSDRRIAVYQVDQVLLPLQIFGTTPAPAPAPAKPEKDVPVSTPKASTGDAGANSSGAIRNLKLHPMAMVSFQFALFGAIFCSL >EOY11627 pep chromosome:Theobroma_cacao_20110822:5:39876328:39877827:1 gene:TCM_026746 transcript:EOY11627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEFQISDNNELNQNPISDLETELEMSSNGQIQDPHEPQVFPEDYDSTCSTPYVSAPSSPGRAPGPGPGSINGGFFYSAPASPMHFAMTSVSSMVSSTQPSSPDNSVPLGFEFEFSARFGSSGSGQTGSMSSADELFLNGQIRPMKLSTHLERPQFLAPLLDLEHEDEENEDNVDGKVRGRDLRLRDKSLRRRTRSMSPLRNASFALNIDDQSMCLDKDLGKKADSKNDEEMYNETSASMSASSSRSSSAGRSSKRWVFLKDFLRSKSEGRSNNKFWSTISFSPAKEKKPGSTSSSVVQESKEKLSNVSIGGSENQRSHKNKPVNGIGKRRVPPSPHELHYTANRAQAEEMRKKTFLPYRQGLLGCLGFSSKGYGAMNGLARALNPVSSR >EOY07542 pep chromosome:Theobroma_cacao_20110822:5:1914679:1915506:1 gene:TCM_021949 transcript:EOY07542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MNSVNSPIAYTVEDKDLDDAALWAVIDSAAASHSSSKHRKTLAIKFPNHQSPLTPASHPSPPQKIPHQNPSHHLYSPPNTNHRRLANAGEDYHRPYKIARSCASEVSESSPMAIVQRTPIASFPEYRSPETYLSPGFGGNGSEVSLESCGRSDEKEGMRHSLSGKFPSVELFKEYQNAAMAILEKSDYTMISGNPFIKKSGKLSLVLLFTLTII >EOY07541 pep chromosome:Theobroma_cacao_20110822:5:1914635:1916860:1 gene:TCM_021949 transcript:EOY07541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MNSVNSPIAYTVEDKDLDDAALWAVIDSAAASHSSSKHRKTLAIKFPNHQSPLTPASHPSPPQKIPHQNPSHHLYSPPNTNHRRLANAGEDYHRPYKIARSCASEVSESSPMAIVQRTPIASFPEYRSPETYLSPGFGGNGSEVSLESCGRSDEKEGMRHSLSGKFPSVELFKEYQNAAMAILEKSDYTMISGNPFIKKSGWRKISFYFNLSFEIKDKNIEFDENRNVQRAEFVVRAYMQGGRFSDGWGSCERREKRFLKPNHDIPSTAETRAKNKACQDLLGIGEYRPGASQFRQ >EOY08240 pep chromosome:Theobroma_cacao_20110822:5:5894658:5896632:1 gene:TCM_022588 transcript:EOY08240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol-cytochrome C reductase UQCRX/QCR9-like family protein MDYAARRRNQGGLFEGLYRVIMRRNSVYVTFVIAGAFLGERAVDYGVHKLWEYNNVGKRYEDIPVLGQRQSEE >EOY11107 pep chromosome:Theobroma_cacao_20110822:5:37974742:37975792:1 gene:TCM_026381 transcript:EOY11107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGMMLYLFLVRGLVFSNSISLCLHTGRTNQDVTKPSEKQSVTLERPMPDPHSNIVVVSSMAHDGDLGNDNDGGQQWLWRRTASSLLRKGKGERQTTKIEKNGKMMKEKLFIGLRL >EOY11663 pep chromosome:Theobroma_cacao_20110822:5:39985984:39990526:-1 gene:TCM_026769 transcript:EOY11663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 2 MASKHSRDQALDFQGFLNNLQDWELSLKEKDKIMKSQASDKEQLTNEKGRPTGKSSLIDSSTTSSRQYDYLQNYDKFNSLSSSFVTEENMPDAASEKELGNEYFKQKKFKEAIDCYSRSIGLSPTAVAHANRAMAYLKIKKFQEAEDDCTEALNLDDRYIKAYSRRATARKELGKLKESIEDTEFALRLEPNNQEIKKQHAEFKSLYEKEILQKASGVLRKSMQEAQEVGKSETKENGLGMHSASNSTQRTGVATVQGYQTKKNNRTRKPELKASVQELASLAATRAMAEAAKNISPPNTAYQFEVSWRALSGDRALQAHLLKVTSPSALPQIFKNALSASMLVDIIKCVATFFREEVDLAIKYLENLTKVPRFDMLIMCLSSTEKADLLKVWDDVFCNEATPIEWAEILDNLRSVYCLKR >EOY11661 pep chromosome:Theobroma_cacao_20110822:5:39985984:39990544:-1 gene:TCM_026769 transcript:EOY11661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 2 MASKHSRDQALDFQGFLNNLQDWELSLKEKDKIMKSQASDKEQLKTNEKGRPTGKSSLIDSSTTSSRQYDYLQNYDKFNSLSSSFVTEENMPDAASEKELGNEYFKQKKFKEAIDCYSRSIGLSPTAVAHANRAMAYLKIKKFQEAEDDCTEALNLDDRYIKAYSRRATARKELGKLKESIEDTEFALRLEPNNQEIKKQHAEFKSLYEKEILQKASGVLRKSMQEAQEVGKSETKENGLGMHSASNSTQRTGVATVQGYQTKKNNRTRKPELKASVQELASLAATRAMAEAAKNISPPNTAYQFEVSWRALSGDRALQAHLLKVTSPSALPQIFKNALSASMLVDIIKCVATFFREEVDLAIKYLENLTKVPRFDMLIMCLSSTEKADLLKVWDDVFCNEATPIEWAEILDNLRSVYCLKR >EOY11662 pep chromosome:Theobroma_cacao_20110822:5:39986509:39990229:-1 gene:TCM_026769 transcript:EOY11662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 2 MKSQASDKEQLKTNEKGRPTGKSSLIDSSTTSSRQYDYLQNYDKFNSLSSSFVTEENMPDAASEKELGNEYFKQKKFKEAIDCYSRSIGLSPTAVAHANRAMAYLKIKKFQEAEDDCTEALNLDDRYIKAYSRRATARKELGKLKESIEDTEFALRLEPNNQEIKKQHAEFKSLYEKEILQKASGVLRKSMQEAQEVGKSETKENGLGMHSASNSTQRTGVATVQGYQTKVSEYDKQKKPEKGSVTSEGIGDRNTLAGSRKDGTQLDSGIVGLESIKKNNRTRKPELKASVQELASLAATRAMAEAAKNISPPNTAYQFEVSWRALSGDRALQAHLLKVTSPSALPQIFKNALSASMLVDIIKCVATFFREEVDLAIKYLENLTKVPRFDMLIMCLSSTEKADLLKVWDDVF >EOY11664 pep chromosome:Theobroma_cacao_20110822:5:39986434:39989938:-1 gene:TCM_026769 transcript:EOY11664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 2 MKSQASDKEQLKTNEKGRPTGKSSLIDSSTTSSRQYDYLQNYDKFNSLSSSFVTEENMPDAASEKELGNEYFKQKKFKEAIDCYSRSIGLSPTAVAHANRAMAYLKIKKFQEAEDDCTEALNLDDRYIKAYSRRATARKELGKLKESIEDTEFALRLEPNNQEIKKQHAEFKSLYEKEILQKASGVLRKSMQEAQEVGKSETKENGLGMHSASNSTQRTGVATVQGYQTKKNNRTRKPELKASVQELASLAATRAMAEAAKNISPPNTAYQFEVSWRALSGDRALQAHLLKVTSPSALPQIFKNALSASMLVDIIKCVATFFREEVDLAIKYLENLTKVPRFDMLIMCLSSTEKADLLKVWDDVFCNEATPIEWAEILDNLRSVYCLKR >EOY11660 pep chromosome:Theobroma_cacao_20110822:5:39986434:39990428:-1 gene:TCM_026769 transcript:EOY11660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 2 MASKHSRDQALDFQGFLNNLQDWELSLKEKDKIMKSQASDKEQLTNEKGRPTGKSSLIDSSTTSSRQYDYLQNYDKFNSLSSSFVTEENMPDAASEKELGNEYFKQKKFKEAIDCYSRSIGLSPTAVAHANRAMAYLKIKKFQEAEDDCTEALNLDDRYIKAYSRRATARKELGKLKESIEDTEFALRLEPNNQEIKKQHAEFKSLYEKEILQKASGVLRKSMQEAQEVGKSETKENGLGMHSASNSTQRTGVATVQGYQTKVSEYDKQKKPEKGSVTSEGIGDRNTLAGSRKDGTQLDSGIVGLESIKKNNRTRKPELKASVQELASLAATRAMAEAAKNISPPNTAYQFEVSWRALSGDRALQAHLLKVTSPSALPQIFKNALSASMLVDIIKCVATFFREEVDLAIKYLENLTKVPRFDMLIMCLSSTEKADLLKVWDDVFCNEATPIEWAEILDNLRSVYCLKR >EOY11040 pep chromosome:Theobroma_cacao_20110822:5:37657896:37660017:-1 gene:TCM_026314 transcript:EOY11040 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 21 isoform 2 MEEVEQANKAAIESCHRVLSLLSSPKDQVQYSNLMMETGEAVFKFKKVVSLLSNDLSHARVRKLKKFRSTLPQKIFLESPNCRTILSPKPLQVYRSNLFDKPPFDLDARAKNHLQLTPKVFFENPSFEMNSSVKPSLRTAQIKPSQDYHFVHHQQQMQRLQFQQQQVKYQADMAFSKNNSGMNIKFDGSSCTPTMSSTRSFVSSLSMDGSVTNLDGNSFHLIGMPHHFDQISQQSRRRCSGRAEDGSMKCGTTGKCHCSKRRKLRIKRSIKVPAISNKVADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCLEDPSMLIVTYEGEHNHSRLLSTQSAHT >EOY11041 pep chromosome:Theobroma_cacao_20110822:5:37658057:37659888:-1 gene:TCM_026314 transcript:EOY11041 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 21 isoform 2 MEEVEQANKAAIESCHRVLSLLSSPKDQVQYSNLMMETGEAVFKFKKVVSLLSNDLSHARVRKLKKFRSTLPQKIFLESPNCRTILSPKPLQVYRSNLFDKPPFDLDARAKNHLQLTPKVFFENPSFEMNSSVKPSLRTAQIKPSQDYHFVHHQQQMQRLQFQQQQVKYQADMAFSKNNSGMNIKFDGSSCTPTMSSTRSFVSSLSMDGSVTNLDGNSFHLIGMPHHFDQISQQSRRRCSGRAEDGSMKCGTTGKCHCSKRRKLRIKRSIKVPAISNKVADIPPDEYSWRKYGQKPIKGSPHPSLRIITMTN >EOY09508 pep chromosome:Theobroma_cacao_20110822:5:29576233:29582932:-1 gene:TCM_024924 transcript:EOY09508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein MCSSLLSYFLIILAASLSQALIRVSCLEFNFSSFQKEDESLLILSRNSYIVNGAIQVTPDFIGAPIVNFSGRALYKKPFGLWKDNGTTASFNTTFVLNIQKRTSPGGEGLAFVITTNSGLPESSEGKWLGIVNSTLNGSSQARVVAVEFDTRKSYAEDLDGNHIGLNINSIDSIEQVSLTSYGVNISGGEDLRVHLQYDGKNLTVFVGDNKTLVLSRPLNLSAYLPQKVFVGFSASTSNETELNCVKSWAFFGTDIGGGRNLLWVWITVPVASLALLIGVAFYLCWRRVPTEGDLEGAQGNIEDEIKRSNMAPRKFRLKELKLATSNFSPKYKLGKGGFGTVYKGSWKSKDVAVKRVSKKSHQGKQEFIAEVTTIGNLNHKNLVKLIGWCYESRELLLVYEYMPNGSLDKFIFCDDKASMEESTLSWEQRLSIIKGVAQALEYLHNGCQKRVLHRDIKASNIMLDSKFNAKLGDFGLARTIQEKEKTHHSTIEIAGTPGYMAPETFLISRATVETDVYSFGVLVLEVVCGRKPGNQSEKNNYNNSIVNWLWEFYRKGRITDAADSRMDGNFDEKEVECALILGLACCHPNPHYRPSMKTVLQVLTGEADPPEVPQERPSFVWPAMPPSFSGIDNSLTGSQLTPFTDLTGR >EOY07468 pep chromosome:Theobroma_cacao_20110822:5:1670681:1671852:1 gene:TCM_021897 transcript:EOY07468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein MPTSSILFSLFLCLLAFSTIADGEQVILVNNCKESIWPGTLGGAGQVSPKDGGFHLGSGEEVVLDVPQKWSGRIWGRQGCNFDSNGKGSCETGDCSGMLHCQGKGGTPPATVIEMTLGSSSSPLHFYDVSLVDGFNLPVSMKPVGGGIGCGVASCEVDLNVCCPSALEVKKGAKVVGCKSACLAMQSAKYCCTGEYANPNTCKPTLFAHLFKAICPKAYSYAFDDSSSLNKCRASRYVITFCPPK >EOY10651 pep chromosome:Theobroma_cacao_20110822:5:35702867:35740251:1 gene:TCM_025960 transcript:EOY10651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein MTLIKAVLLDAERQQEQNKALSHSIWKLRDLFYDAEDVIDEIECEAPRKEVVNYPSTSVKVRCLPSCFVPLAFSSKMGHKIKEINKRIDKIATEWDRFNLGQQVDNRRVIHRETHSFVNASDVIGRDEDRENIINLLKEPSDESGNIPVIPIVGIGGLGKTTLAQFVYNDERVIKLFSLRIWVCVSEEFDLRRLLQEMIYSISKKKCDDTKIDILQTQLRSLVNDENFLLVLDDVWNEDRVKWIEFKNLLMSMGNLSRNKMIVTTRTLKVASIMSSCDPYVLKGLSHEDCLILFTKWAFNDEDERQYPKLMRIGEKIVEKCKGVPLAVRTLGSLLFSKTDEYEWILLRDNEIWRLEQRENDILPVLKLSYHYLPSHLQRCLTYLSLFPKDYLYDTDYIIQFWMANGLLASSNQNEEWEDIGITYFKELWLRCFVQDVTDRGSFYLFKMHDLIHDLALNLSQRECLTVNRQPLKVVEKVRHLSFSLDSPLRVPQSLKKLKLVRTIMVPSLPFSKENRSIDESFVNACILNFKYLRLLDLSYTLLEELPESIGTLKHLRYLDLTLCHRMRKIPSSICKLQSLLTLRLFGVPLIEVPESLQSLISLRFIEITTCGPLLRDIQPGCWSSLQFLYLYQCDMLVSIFDGMQHLTSLRTLTIIECVRLISLPRSLKFLTKLEEIDIRRCQKINLCMEVEEAEDQDLHLSLKTFSIFELGALTDLPRLLLEGSASTLQSIKIESCENFEVLQEWLQNLTSIQKLEISYCPKLSSLPEGMDRLTALTQLKIKGCPTLSERCRRDGGADWPKISHVQEVEVDLPASSESE >EOY07492 pep chromosome:Theobroma_cacao_20110822:5:1736136:1739632:1 gene:TCM_021912 transcript:EOY07492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protease ftsH isoform 2 MIFSRIGRTVSRSSRSAFRTNVISRNLLSNESHVSTPVGNACISRVNQGLGIVRGYFAPAGTGKHLVSNARLSNLDSILANPRIRRFFSSEGSKKSRYENYYPKNKKEIPKANEQKSQSKEDSGAGDPGNSQNIAKLMQNVITPLLLFGILYTSIFSGPHEQKQISFQEFKNKLLEPGLVEKIVVSNKSVAKVYVRSSPRNANQATDDVTQVPTNGAPARRNISQYKYYFNIGSVESFEEKLEEAQEALGIDPHDHVPVTYVSEVNWIQELMRLAPTALLLGALWFMGRRMQSGLGVGGSGGRGGRGIFNMGKAHITKLDKNAKDKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSMSGSDFMEMFVGVGPSRVRSLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDRALLRPGRFDRQITIDKPDIKGREQIFQIYLKRLKLDHEPSYYSQRLAALTPGFAGADIANVCNEAALIAARNESAQISMEHFESAIDRVIGGLEKKNKVCCSSFLCFFCLLMHRIIVKSLFDLCVWLCFQRCNCVEERFEFLNVKVWFFCFPV >EOY07491 pep chromosome:Theobroma_cacao_20110822:5:1736093:1743287:1 gene:TCM_021912 transcript:EOY07491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protease ftsH isoform 2 MIFSRIGRTVSRSSRSAFRTNVISRNLLSNESHVSTPVGNACISRVNQGLGIVRGYFAPAGTGKHLVSNARLSNLDSILANPRIRRFFSSEGSKKSRYENYYPKNKKEIPKANEQKSQSKEDSGAGDPGNSQNIAKLMQNVITPLLLFGILYTSIFSGPHEQKQISFQEFKNKLLEPGLVEKIVVSNKSVAKVYVRSSPRNANQATDDVTQVPTNGAPARRNISQYKYYFNIGSVESFEEKLEEAQEALGIDPHDHVPVTYVSEVNWIQELMRLAPTALLLGALWFMGRRMQSGLGVGGSGGRGGRGIFNMGKAHITKLDKNAKDKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSMSGSDFMEMFVGVGPSRVRSLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDRALLRPGRFDRQITIDKPDIKGREQIFQIYLKRLKLDHEPSYYSQRLAALTPGFAGADIANVCNEAALIAARNESAQISMEHFESAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVVGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRASEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQRDDALEMTKPYSSKTGAIIDSEVREWVGKAYERTVQLIEEHKEHVAQIAELLLEKEVLHQEDLVRVLGERPFKPSEPTNYDRFKRGFQEENKESKDTTESKTVGDDGSAPLEPEVVPA >EOY07352 pep chromosome:Theobroma_cacao_20110822:5:1294884:1297043:1 gene:TCM_021808 transcript:EOY07352 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 85A4-like protein isoform 1 MEQPEPQVPHVVFFPFPAHGHIKPMFRLAELLSHANFQVTFLNTQRNHDLLLLSSDIPAFRSRYPNFQLLSYPDKVPLGLDPHRRSEQGFVDLLLSTKAAAKPALSELLEKKTGRRPPTCIIADWAIMCSSAMDVAKEFGNIPVFAFQTACAHYMWMDFHLLKLIEEGEVPLRGYKDMDKPVTSISGLGMSVRRRDLSGFARFDTVCKQVIEISTNYGSSAVSQAYGVIHNTFDKLEAPVISKLSSFLPFKIYTIGPLHCLLNNYCIKDSNPLHSINVTICKEDTNCITWLDSQPSGSVIFVSFGSLVSLSRSQILEIGQGLLNSCRPFLWVILPNPIVGQQEDDSTPGQILMELENMSKEKGLIVSWAPQEKVLAHPAIGGFLTHSGWNSTMESIYARVPMICWPTEADQLVNSRCVSELWRIGFDMKDTCDRSTVEKLVNDLMEDKRDEIMKSMDKITRQAEESVQEGGSSYCNLQRLITDIRSLIPT >EOY07353 pep chromosome:Theobroma_cacao_20110822:5:1295131:1297199:1 gene:TCM_021808 transcript:EOY07353 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 85A4-like protein isoform 1 MEQPEPQVPHVVFFPFPAHGHIKPMFRLAELLSHANFQVTFLNTQRNHDLLLLSSDIPAFRSRYPNFQLLSYPDKVPLGLDPHRRSEQGFVDLLLSTKAAAKPALSELLEKKTGRRPPTCIIADWAIMCSSAMDVAKEFGNIPVFAFQTACAHYMWMDFHLLKLIEEGEVPLRDKDMDKPVTSISGLGMSVRRRDLSGFARFDTVCKQVIEISTNYGSSAVSQAYGVIHNTFDKLEAPVISKLSSFLPFKIYTIGPLHCLLNNYCIKDSNPLHSINVTICKEDTNCITWLDSQPSGSVIFVSFGSLVSLSRSQILEIGQGLLNSCRPFLWVILPNPIVGQQEDDSTPGQILMELENMSKEKGLIVSWAPQEKVLAHPAIGGFLTHSGWNSTMESIYARVPMICWPTEADQLVNSRCVSELWRIGFDMKDTCDRSTVEKLVNDLMEDKRDEIMKSMDKITRQAEESVQEGGSSYCNLQRLITDIRSLIPT >EOY07748 pep chromosome:Theobroma_cacao_20110822:5:2566641:2573459:-1 gene:TCM_022086 transcript:EOY07748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance 8 MVGLNKSVHKLPRQSKCPIIKPGKIFFPSRNSPAKKVADKQIKSRRKFDEHSVAKFEITFTTVEMDGAQGESANDKYGSINTSNIGSIVPVYITENEYSKDEEKLIFELIRFFSAPPGQDLAHQVKDDSSSFCLPLDFQKFRKLCDIEEFYAKLADKPKIALSCMSAAVHQVLLSSSDFSLEEGMKINIRLHNYPESVIVLKNLKAAYIDKLVSVHGTVVKASTVKPLVIKMNFACEKCTTEIPRMFPDGKFSPPSTCTLHGCKSRTFKPIRSSAQAIDFQKIRLQELLKFEEHEEGRVPRTVECELTEDLVDLCIPGDVVTVTGIIRVINNYMDIGGGKSKSRNQGFYYLYIEAVSIKNSKSQSVPEDLQDSDSNARATELVDLFSFSPRDLEFIVKFSEEHGSYVFRQILQSICPSIYGHELVKAGITLALFGGVRKHSMDRNKVPVRGDIHVIVVGDPGLGKSQLLQAAAAVSPRGIYVCGNATTNAGLTVAVVKDTMTSDYAFEAGAMVLADRGLCCIDEFDKMTAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYNRAKTVNENLKMSAALLSRFDLVFILLDKPDELQDKRLSEHIMSLHAGYGENSPALKKPRVASQNVEGIGMSAKGSSLVSRLRLGPKKDADFVPLPGPLLRKYIAYARTYVSPRMSKPAAEILQKFYLQLRDRSTSGDGTPITARQLESLVRLAEARARVELREEITTQDARDAVEIMKESLYDKYVNEHGFVDFGRSGGMSQQKEAKRFLSALNKQSELQQKDCFSISEIYSLADRIGLRVADIDTLVDNLNSVGYLLKKGPKTYQVLSSSYSRSQPSRSRC >EOY07365 pep chromosome:Theobroma_cacao_20110822:5:1332726:1334020:-1 gene:TCM_021818 transcript:EOY07365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tau class glutathione transferase GSTU45 MAEEVKVFGAWGSPFSRRVELALQLKGVQYEYIEEDVLKNKSPLLLKYNPVHKKIPVLLHNGKPIAESLVILEYIEETWKGNPILPEDPYDKAMARFWANFVDEKCLPVLRKAGWSQESEREKVVEEACECLKTLESALRGKRFFGGDTVGMVDIAANFIGYWLRVIQEATGMEVLSAEKFPELFKWTDEFVSCSIVKGSLPPRDKLLAFVKARIAAGMDQAPTNASK >EOY10404 pep chromosome:Theobroma_cacao_20110822:5:34515731:34516711:1 gene:TCM_025765 transcript:EOY10404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4-glucan-protein synthase family protein, putative MVKNDGINFKSIHMRTIRRLWLNLADHDAPTQALKPGQRNSRYVDAVITVPAGALAPISGINIAFDREVVGPALVPALRLAGEGKFSWETMKDIWSGMCVKVVCDHLGLGVKTCGELIESVRLPRTATTAEDCVVEVAKAIREQLGSTDPVFARAAGLGQALEISWI >EOY11065 pep chromosome:Theobroma_cacao_20110822:5:37760354:37761188:-1 gene:TCM_026339 transcript:EOY11065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNISCAMIIYNTVLCTMTINISIPGYVLNALRKLYLGPIPVKAATFGSIKTKQQQLPVQITLALHSEHFLDLSFHVSQDFICDKCVCIARGFKYSCEHCSFNLDYFCALKTNEEGRWRCVHKEQRSIIKHFIHQHGLSLFSYRKISILSYVFTWCEEPLSGSLAIFRPIAMLAKGNLISIRIDTIVVMTATSGFILAVQDSYPLSRITAMSMT >EOY10746 pep chromosome:Theobroma_cacao_20110822:5:36263936:36266347:1 gene:TCM_026049 transcript:EOY10746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine/DOPA decarboxylase MSSASRKTFLPLEPTSFTNESKAVIDFIADYYKNIEEYPVQSGVEPGYLSAKLPDSAPYCPESLEDILKDVNDCIIPGLTHWQSPNFFAYFQANASTAGFLGEMLCSGFNVVGFNWISSPAATELESIVLDWMGKLLKLPSSFLFSGTGGGVLHGSTCEAAVCTLAAARDKALKELGGWENITKLMVYASDQTHFTFQKAAKLVGIPPSNFRFIETSLSTGFSMSSDQVRLAIEHDIKSGLVPLFLCATIGTTACGAIDPIAELGQVAREYKLWLHIDAAYAGSACICPELRHFLDGVELANSVSMNPHKWFLTNMDCCCLWITEPRLLVDSLSTDPEILRNKASEFKAVLDYKDWQVALSRRFRALKLWIVIRRHGLANLVYHIRSDISMAERFEAFVAKDDRFDIVVPRKFALVCFRLKPKQELEGLELNSRLLEAINSSGRAFMTHAVVGGIYVIRCAIGTTMTEERHVDALWKLIQEKAQGLLME >EOY09253 pep chromosome:Theobroma_cacao_20110822:5:27615037:27618100:-1 gene:TCM_024664 transcript:EOY09253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIDCKSLRYVFPPMLAQHLSNLSFLCAKGCEALEQIIYEGQSSTSTSNVPLQPTSFPNLRKIWIIGCNSLKTLFPITVAHCLLKLEQFKVEGASKLEQLFGHEDETGLKDEKEMLLPQLKRLFLKRLPSLTRFIPKCYHFVFPTLEYLEVKECSKITTSFLVDSEFSTHAQTKFFLFNIRNKNQQCATIVPDLGQKSPNLEYLTVWNHERTCQARGGHTHSSLRVLRLETLPESCIIWKDPLQHVTLGNLIKLKAIGCRSLRHGFSPTIAQNLLHLKYLKIWECEALEQIITENQISSSKVHLQVRFPNLIRLQIGKCKNLKRLFPASFVGYLSKLRYLILQEAFELEHLFGHEDEASTKDGEEKKMVLPQLEVLFLGNFPSVLSPTPEGYHFIFQSLRSLTIEECPKMVQLLQLIQIFVFTPKQRHSHLLRKIRRKVLPQSTESKMQQTAIPAKTFIGIVGVNRMYYLRTWKNQEKISHNKWLGSRVQNAEIINSSPVLFLIKCGLLFCNCPV >EOY10370 pep chromosome:Theobroma_cacao_20110822:5:34392376:34397329:1 gene:TCM_025741 transcript:EOY10370 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNApolymerase sigma subunit 2 MSCLLPQFKCQPDTFSIQFRTHHSHHFLIAVTGMVKYFSPRQCSCGNLTNPPKVSGLIFVVLAKHKEHICFRTQCVLSTTSSSTSTTATAVLDVEKLRLPSFEAHPNSVAVDRPWTYIGATGPPSEASLGATLATDNLLTSDEAVIAAAAAEAVALARAAVKVAKDAALMAENYNYAKTEMKSGVSFATDSFTSKWALFTEAERAGIIGDSPTDESEMEEDDSEQNSTTESDELEPTNEELEHLEEQLSRSIAVRSRRQTERKAKRTRAAEKAAANVVSVKSGSTNRKRRGALQDVDYSDPLRYLRGTTSTSRLLTANEELELSEGIQDLLKLERLQEELAERCGGQPTFAQWAAAAGVDQKTLRSRLNYGVHCKDKMIKSNIRLVISIAKNYQGAGMNLQDLVQEGCRGLVRGAEKFDASKGFKFSTYAHWWIKQAVRKSLSDQSRTIRLPFHMVEATYRVKEARKQLYSENGRHPDNEEVAEATGLSMKRLTAVLLTPKAPRSLDQKIGINQNLKPSVCPVSSLAEVIADPEAETAEDMLIKQFMRKDLEKVLDSLSQRERQVIRWRFGMEDGRMKTLQEIGELMGVSRERIRQIESCAFRKLKNKKRTKHLQQYLLSYAS >EOY08513 pep chromosome:Theobroma_cacao_20110822:5:9841388:9842430:-1 gene:TCM_023017 transcript:EOY08513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRACVIDFIGSWDRHLPLVEFAYNNSFQSSIGMAPYEALYGRKCRTLLCWDEVGERKLVNVELIDLTNDKVKVIRERLKTAQDRQKNYSDKRRKDLEFEVDDKVFLKVSPWKGVIRFAKRGKLNPRYIGPFRIIERIGPVAYRLELPPELDRIHNVFHVSMLKKYVPDPSHILETPPIELHEDLKFEVQPVRILDRKDRVLRNKSIPMVKVLWKNARMEEMTWEVESQMRNQYPHLLFELGK >EOY09070 pep chromosome:Theobroma_cacao_20110822:5:25521212:25529896:-1 gene:TCM_024437 transcript:EOY09070 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MGGYALGLAFDSKSGQMIMVALLLMVGSFYVGNLFGNNAPIYISHDSDTSSSSSSASSPGFDSTGTFEFQHLYGDAAVISAISIFINKVALTYRETPLVIPANGINICPLKFNEYIPCHDISYVKQLLPSLNVSRREELERHCPPLEKRLFCLVPPPQDYKIPIKWPISRDYVWRSNVNHTHLAEVKGGQNWVHEKNQLWWFPGGGTHFKHGAAEYIQRLGNMATDETGDLRSAGVTQVLDVGCGVASFSAYLLPLNIQTMSFAPKDGHQNQIQFALERGIGAMISAIATKQLPYPSSSFEMVHCSRCRVDWHENGGILLKEVNRLLRPNGYFVYSAPPAYRKDKDYPVIWNKLVDLTTAMCWKLIARKVQTAIWIKEENPQCLHHNAKLKLIDFCDAVDETKSSWKMPLMNCIQLRSAQTDPRKLPPRPDRLSMYLESLSRIGISKEEFTIDTNFWQDQVRNYWKLMNISKMELRNVMDMNAFCGGFAVALNEFPVWVMNIVPSSMHNTLSAIYERGLIGAFHDWCEPFSTYPRTYDLLHANHLFSHYKNSGEGCQLEDIMLEMDRVLRPQGFVIIRDEDSIASRIQDLAPKFLWEVESHILENKEKQRESVLICRKKFWAIVEEVTA >EOY09071 pep chromosome:Theobroma_cacao_20110822:5:25521644:25529863:-1 gene:TCM_024437 transcript:EOY09071 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MGGYALGLAFDSKSGQMIMVALLLMVGSFYVGNLFGNNAPIYISHDSDTSSSSSSASSPAISIFINKVALTYRETPLVIPANGINICPLKFNEYIPCHDISYVKQLLPSLNVSRREELERHCPPLEKRLFCLVPPPQDYKIPIKWPISRDYVWRSNVNHTHLAEVKGGQNWVHEKNQLWWFPGGGTHFKHGAAEYIQRLGNMATDETGDLRSAGVTQVLDVGCGVASFSAYLLPLNIQTMSFAPKDGHQNQIQFALERGIGAMISAIATKQLPYPSSSFEMVHCSRCRVDWHENGGILLKEVNRLLRPNGYFVYSAPPAYRKDKDYPVIWNKLVDLTTAMCWKLIARKVQTAIWIKEENPQCLHHNAKLKLIDFCDAVDETKSSWKMPLMNCIQLRSAQTDPRKLPPRPDRLSMYLESLSRIGISKEEFTIDTNFWQDQVRNYWKLMNISKMELRNVMDMNAFCGGFAVALNEFPVWVMNIVPSSMHNTLSAIYERGLIGAFHDW >EOY11300 pep chromosome:Theobroma_cacao_20110822:5:38871461:38873340:-1 gene:TCM_026531 transcript:EOY11300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MSVHKNVLKVIGCCLETELPITVYEFAGTKILSTCIFATNDEPLPWKCRLKIAIGIASAVAYLHTAFSRPVIHRDIKCSSIILDQNNVPKLIDFGLCISIPEGESHVEADVIVGRMGYLAPEYGGRGYLTEKADVYQFGILLFELLSGQRAAYFMMEHDITDIGKLCFGKLSNFIDSRIKNERIDQVQLLDFATLSRRCISYEEEERPTMIEVAKELRRIDQRFPSPS >EOY09420 pep chromosome:Theobroma_cacao_20110822:5:29030486:29036764:1 gene:TCM_024838 transcript:EOY09420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MVVAFLKVVSFLFFFTSLVSSLNSDGLSLLALKAAIESDPTSSLDKWSESDSTPCHWPGIACTRNRVTSIFLPNKGLTGYIPSELGLLDTLTRLSLSQNNFSKPIPSRLFNATNLVYIDLSHNSLSGSVPPQIKSLENLTHLDLSSNSLNGSLPESLVELKSLTGTLNLSCNKFSGEIPASYGEFPVMISLDLRHNNLTGKVPQVGSLLNQGPTAFSGNPNLCGFPLGNLCPEAQNPRAFVNPEENPENPNGFRPTFDDGNGEKRKEKNVSVAVPLISGVSVVIGAVSIFVWLFRRKWKPEEDKMGKEKKGEAVDEEGQKGKFVVVDEGFNLELEDLLRASAYVAGKSRSGIVYKVVAGRGSGTVGSTIVAVRRLGEGDTTWKFKEFEAEVEAMGRVNHPNVVRLRAYYYANDEKLLVTDFVRNGSLYAVLHEGPSNNLPPLPWAARLKIVQGTARGLMYIHEYSPRKYVHGNLKSTKILLDNELQPYISGFGLTRLVSGTFKYASSITKKLNPNQTIATSAMGSRISTPNSYLAPEARVFGSKFTQKCDVYSFGIVLLEILTGRLADAGPENDDKGLEGLVRKAFREERPLSEIIDPTLLTEVYAKKQVVAAFHIALNCTELDPELRPRMRIVSESLDRIKSR >EOY08380 pep chromosome:Theobroma_cacao_20110822:5:7063037:7065615:1 gene:TCM_022742 transcript:EOY08380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein isoform 2 MRGIRRRIHEHPELGFEEHKTNELIRNELDSLGISYKWPVAKTGVVGSIGSGANPVFGLRADMDALPLQELVEWKHKSKVDGKMHACGHDSHVAMLLGAAKLLQSRRDLLKGTVKLVFQPGEEGYSGAYYMLQDEVLDDIDAILSIHVLPSVPTGAIASRPGPMLAGVGLFSATIQGKGAHASSPHLSKDPIVAACSAVIALQQIVSRETDPLKAMVVTVGSIEGGRAENVIPESVRFTGTYRSLSTEGLSYLQKRIKEVIEMQAAVHQCSGTVDFMEDKPMPHPVMVNDETMKRLFLLERLSMLPLQFHTWIAMLQLIESFDINVNIAKHNKKRYG >EOY08379 pep chromosome:Theobroma_cacao_20110822:5:7062763:7065429:1 gene:TCM_022742 transcript:EOY08379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein isoform 2 MIPMEFFSCFVVSTIIFQAMALETRPGSELELLSQELLESARQPEFFEWMRGIRRRIHEHPELGFEEHKTNELIRNELDSLGISYKWPVAKTGVVGSIGSGANPVFGLRADMDALPLQELVEWKHKSKVDGKMHACGHDSHVAMLLGAAKLLQSRRDLLKGTVKLVFQPGEEGYSGAYYMLQDEVLDDIDAILSIHVLPSVPTGAIASRPGPMLAGVGLFSATIQGKGAHASSPHLSKDPIVAACSAVIALQQIVSRETDPLKAMVVTVGSIEGGRAENVIPESVRFTGTYRSLSTEGLSYLQKRIKEVIEMQAAVHQCSGTVDFMEDKPMPHPVMVNDETLFEHANKVGKSLVGENNVHLLPISMAAEDFSLFAQRTAAAIYVIGIKNETLKSDQPLHSPYFFIDEEALPIGAAFNAAVAISYLDSHVATN >EOY11781 pep chromosome:Theobroma_cacao_20110822:5:40298915:40303955:1 gene:TCM_026851 transcript:EOY11781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome interacting factor 3, putative isoform 3 MPLSELYRMARGKLDSSQDKNPSCSTDLSFVPENDFVELVLENGQILMQGQSSKARRIPACNSLPSHCLPSHTPKTRDKDTGNGGTNTKMGKFGTIDSVLSEIPMSVPSAEMSLNQDDEVVPWLNYPVDQSLQSEYSDFLPELSGVAVNETSTHSNFASFDRRSQSIRDSCTVSLNNGAVFEQGNPSKVPTPADGEARPRSGTSQLSTLPSQLCQTSSPFLRSRILENIGNSLGHTSTHHAIGGDSIGVQASDGGLPGIKMQKQDQVAPCNNTVLMNFSHFSRPAALVKASLQNISAIASIERIGSKEKGSAASISDPADTTFIDSSIDLQKEKFSQCQPTIVLMKTDRKESKAKSLDEPVTAEPIDAICEENTPKNVKNPSQVTGESASKGLPDGDKTVEPVLAASSVCSGNSVERASDDPVYNLKRKSRDNEESECPSEDAEEESVGVKKAVPARGGSGSKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGAGLYMPPMMLPTGMQHMHAAHMAHFSPMGVGLGMGMGFGMPLPDMNAGSSARPMVQVPPIHGAPFSGPGPTALQGMAGSNLQLFGLPGQGLPMSMPHTPLIPISGGHLMKPAMGLSACGLVGPMDNMGSATASSSKDPVQNINSQVAQNTNVNSSMNQTPSSVQQQIKVLNSQLQCKRMVKHQKLLVVYLLDQPMEMKKYLIGHNW >EOY11783 pep chromosome:Theobroma_cacao_20110822:5:40299895:40303947:1 gene:TCM_026851 transcript:EOY11783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome interacting factor 3, putative isoform 3 MPLSELYRMARGKLDSSQDKNPSCSTDLSFVPENDFVELVLENGQILMQGQSSKARRIPACNSLPSHCLPSHTPKTRDKDTGNGGTNTKMGKFGTIDSVLSEIPMSVPSAEMSLNQDDEVVPWLNYPVDQSLQSEYSDFLPELSGVAVNETSTHSNFASFDRRSQSIRDSCTVSLNNGAVFEQGNPSKVPTPADGEARPRSGTSQLSTLPSQLCQTSSPFLRSRILENIGNSLGHTSTHHAIGGDSIGVQASDGGLPGIKMQKQDQVAPCNNTVLMNFSHFSRPAALVKASLQNISAIASIERIGSKEKGSAASISDPADTTFIDSSIDLQKEKFSQCQPTIVLMKTDRKESKAKSLDEPVTAEPIDAICEENTPKNVKNPSQVTGESASKGLPDGDKTVEPVLAASSVCSGNSVERASDDPVYNLKRKSRDNEESECPSEDAEEESVGVKKAVPARGGSGSKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKKVDKASMLDEAIEYLKTLQLQVQIMSMGAGLYMPPMMLPTGMQHMHAAHMAHFSPMGVGLGMGMGFGMPLPDMNAGSSARPMVQVPPIHGAPFSGPGPTALQGMAGSNLQLFGLPGQGLPMSMPHTPLIPISGGHLMKPAMGLSACGLVGPMDNMGSATASSSKDPVQNINSQVAQNTNVNSSMNQTPSQCPTTNQSFEQPAAVQENGQASEITGSVPFRSADGNEKVPDRS >EOY11780 pep chromosome:Theobroma_cacao_20110822:5:40299868:40304574:1 gene:TCM_026851 transcript:EOY11780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome interacting factor 3, putative isoform 3 MPLSELYRMARGKLDSSQDKNPSCSTDLSFVPENDFVELVLENGQILMQGQSSKARRIPACNSLPSHCLPSHTPKTRDKDTGNGGTNTKMGKFGTIDSVLSEIPMSVPSAEMSLNQDDEVVPWLNYPVDQSLQSEYSDFLPELSGVAVNETSTHSNFASFDRRSQSIRDSCTVSLNNGAVFEQGNPSKVPTPADGEARPRSGTSQLSTLPSQLCQTSSPFLRSRILENIGNSLGHTSTHHAIGGDSIGVQASDGGLPGIKMQKQDQVAPCNNTVLMNFSHFSRPAALVKASLQNISAIASIERIGSKEKGSAASISDPADTTFIDSSIDLQKEKFSQCQPTIVLMKTDRKESKAKSLDEPVTAEPIDAICEENTPKNVKNPSQVTGESASKGLPDGDKTVEPVLAASSVCSGNSVERASDDPVYNLKRKSRDNEESECPSEDAEEESVGVKKAVPARGGSGSKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGAGLYMPPMMLPTGMQHMHAAHMAHFSPMGVGLGMGMGFGMPLPDMNAGSSARPMVQVPPIHGAPFSGPGPTALQGMAGSNLQLFGLPGQGLPMSMPHTPLIPISGGHLMKPAMGLSACGLVGPMDNMGSATASSSKDPVQNINSQVAQNTNVNSSMNQTPSQCPTTNQSFEQPAAVQENGQASEITGSVPFRSADGNEKVPDRS >EOY11782 pep chromosome:Theobroma_cacao_20110822:5:40298915:40303955:1 gene:TCM_026851 transcript:EOY11782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome interacting factor 3, putative isoform 3 MPLSELYRMARGKLDSSQDKNPSCSTDLSFVPENDFVELVLENGQILMQGQSSKARRIPACNSLPSHCLPSHTPKTRDKDTGNGGTNTKMGKFGTIDSVLSEIPMSVPSAEMSLNQDDEVVPWLNYPVDQSLQSEYSDFLPELSGVAVNETSTHSNFASFDRRSQSIRDSCTVSLNNGAVFEQGNPSKVPTPADGEARPRSGTSQLSTLPSQLCQTSSPFLRSRILENIGNSLGHTSTHHAIGGDSIGVQASDGGLPGIKMQKQDQVAPCNNTVLMNFSHFSRPAALVKASLQNISAIASIERIGSKEKGSAASISDPADTTFIDSSIDLQKEKFSQCQPTIVLMKTDRKESKAKSLDEPVTAEPIDAICEENTPKNVKNPSQVTGESASKGLPDGDKTVEPVLAASSVCSGNSVERASDDPVYNLKRKSRDNEESECPSEDAEEESVGVKKAVPARGGSGSKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVQIMSMGAGLYMPPMMLPTGMQHMHAAHMAHFSPMGVGLGMGMGFGMPLPDMNAGSSARPMVQVPPIHGAPFSGPGPTALQGMAGSNLQLFGLPGQGLPMSMPHTPLIPISGGHLMKPAMGLSACGLVGPMDNMGSATASSSKDPVQNINSQVAQNTNVNSSMNQTPSQCPTTNQSFEQPAAVQENGQASEITGSVPFRSADGNEKVPDRS >EOY10982 pep chromosome:Theobroma_cacao_20110822:5:37330527:37331841:1 gene:TCM_026251 transcript:EOY10982 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear localized protein 20 MANRWWAGQVGLQGIETSATSSSPMKKPDLGISMTNNGETGSGGTGEEEEEKEHSDEHREGAIEVSTRRPRGRPPGSKNRPKPPIFVTRDSPNALRSHVMEIANGSDVAETLAHFARRRQRGVCVLSGSGTVTNVTLRQPSAPGAVMALHGRFEILSLTGAFLPGPAPPGSTGLTIYLAGGQGQVVGGIVVGSLVASGPVMIIAATFSNATYERLPLEEEEEGVSGAQGQLGGGGGSGGSPPGIGSGSGGHQQGGIGGADGSGLPVYNNLPPNLVPNGGQLSHEAYAWAHGGRPPYQ >EOY11335 pep chromosome:Theobroma_cacao_20110822:5:39006667:39012179:1 gene:TCM_026553 transcript:EOY11335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosylglycoprotein endo-beta-mannosidase isoform 5 MGKQSLYNVSITIDVKGYGKSDSWGQLFGFRKIESHIDSATGGRLFKVNGQPIFIRGGNWILSDCLLRLSEERYKTDVKFHADMNLNMIRCWGGGLAERPEFYHYCDVYGLLVWQEFWITGDVDGRGIPVSNPNGPLDHDLFMLCARDTVKLLRNHPSLALWVGGNEQVPPPDLNTALKNDLKLHPFFENQSENAMSVEDMSTVFKDPSQYLDGTRIYIQGSLWDGFANGKGDFTDGPYEIQNPEDFFRDDYYNYGFNPEVGSVGMPVAATIRATMPPEGWQIPLFKKLPNGYTEEVPNPIWEYHKYIPYSKPGKVHDQIELYGIPKDLDDFCLKAQLVNYIQYRALLEGWTSHMWSKYTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLATLFIEVVNTMSEELSNVAVEASVWDLEGACPYYKVFDTHSFPPKKVVSIGEMNYPKSKNPKPVYFLLLKLYDVSNYHIISRNFYWLHLSGGDYKLLEPYRKKRIPLKITSKTFIKGSSYEIEMNVQNKSKKPDPKILTCKNNFVSRHGDGDFDMASLETTFEETEEKQNAGLFQRLCRQFSRETDGLKVAEVNGSDVGVAFFLNFSVHAMKTDHKEGEDTRILPVHYSDNYFSLVPGEEMSIKISFQVPQGVTPRLTLRGWNYHNGLHTVL >EOY11336 pep chromosome:Theobroma_cacao_20110822:5:39006667:39012179:1 gene:TCM_026553 transcript:EOY11336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosylglycoprotein endo-beta-mannosidase isoform 5 MHLLWMQPVKIIDPHLVSSFFDHNTRVYLHATTELENKSAWVAECSLNIQVTTELEGSICLVEHLQTQHVSVPPGARIQYTFPQLFFYKPNLWWPNGMGKQSLYNVSITIDVKGYGKSDSWGQLFGFRKIESHIDSATGGRLFKVNGQPIFIRGGNWILSDCLLRLSEERYKTDVKFHADMNLNMIRCWGGGLAERPEFYHYCDVYGLLVWQEFWITGDVDGRGIPVSNPNGPLDHDLFMLCARDTVKLLRNHPSLALWVGGNEQVPPPDLNTALKNDLKLHPFFENQSENAMSVEDMSTVFKDPSQYLDGTRIYIQGSLWDGFANGKGDFTDGPYEIQNPEDFFRDDYYNYGFNPEVGSVGMPVAATIRATMPPEGWQIPLFKKLPNGYTEEVPNPIWEYHKYIPYSKPGKVHDQIELYGIPKDLDDFCLKAQLVNYIQYRALLEGWTSHMWSKYTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLATLFIEVVNTMSEELSNVAVEASVWDLEGACPYYKVFDTHSFPPKKVVSIGEMNYPKSKNPKPVYFLLLKLYDVSNYHIISRNFYWLHLSGGDYKLLEPYRKKRIPLKITSKTFIKGSSYEIEMNVQNKSKKPDPKILTCKNNFVSRHGDGDFDMASLETTFEETEEKQNAGLFQRLCRQFSRETDGLKVAEVNGSDVGVAFFLNFSVHAMKTDHKEGEDTRILPVHYSDNYFSLVPGEEMSIKISFQVPQGVTPRLTLRGWNYHNGLHTVL >EOY11334 pep chromosome:Theobroma_cacao_20110822:5:39005778:39012834:1 gene:TCM_026553 transcript:EOY11334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosylglycoprotein endo-beta-mannosidase isoform 5 MEAVVPGTVLATLVTNKTVGDPFYGLVNETIVDIADSGREYYTFWFFTKFQCKLSGTQHLDLNFRAINYSAEVYLNGHKKDLPKGMFQRHSLEVTDILNPEGTNLLAVLVYPPDHPGSIPPEGGQGGDHEIGKDVATQYVEGWDWIAPVRDRNTGIWDEVSIYVSGPVKIIDPHLVSSFFDHNTRVYLHATTELENKSAWVAECSLNIQVTTELEGSICLVEHLQTQHVSVPPGARIQYTFPQLFFYKPNLWWPNGMGKQSLYNVSITIDVKGYGKSDSWGQLFGFRKIESHIDSATGGRLFKVNGQPIFIRGGNWILSDCLLRLSEERYKTDVKFHADMNLNMIRCWGGGLAERPEFYHYCDVYGLLVWQEFWITGDVDGRGIPVSNPNGPLDHDLFMLCARDTVKLLRNHPSLALWVGGNEQVPPPDLNTALKNDLKLHPFFENQSENAMSVEDMSTVFKDPSQYLDGTRIYIQGSLWDGFANGKGDFTDGPYEIQNPEDFFRDDYYNYGFNPEVGSVGMPVAATIRATMPPEGWQIPLFKKLPNGYTEEVPNPIWEYHKYIPYSKPGKVHDQIELYGIPKDLDDFCLKAQLVNYIQYRALLEGWTSHMWSKYTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLATLFIEVVNTMSEELSNVAVEASVWDLEGACPYYKVFDTHSFPPKKVVSIGEMNYPKSKNPKPVYFLLLKLYDVSNYHIISRNFYWLHLSGGDYKLLEPYRKKRIPLKITSKTFIKGSSYEIEMNVQNKSKKPDPKILTCKNNFVSRHGDGDFDMASLETTFEETEEKQNAGLFQRLCRQFSRETDGLKVAEVNGSDVGVAFFLNFSVHAMKTDHKEGEDTRILPVHYSDNYFSLVPGEEMSIKISFQVPQGVTPRLTLRGWNYHNGLHTVL >EOY11331 pep chromosome:Theobroma_cacao_20110822:5:39005597:39015231:1 gene:TCM_026553 transcript:EOY11331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosylglycoprotein endo-beta-mannosidase isoform 5 MAEIGKMVLDSGWLAARSTEVKLTGTQLTTTHPPTGPTSPWMEAVVPGTVLATLVTNKTVGDPFYGLVNETIVDIADSGREYYTFWFFTKFQCKLSGTQHLDLNFRAINYSAEVYLNGHKKDLPKGMFQRHSLEVTDILNPEGTNLLAVLVYPPDHPGSIPPEGGQGGDHEIGKDVATQYVEGWDWIAPVRDRNTGIWDEVSIYVSGPVKIIDPHLVSSFFDHNTRVYLHATTELENKSAWVAECSLNIQVTTELEGSICLVEHLQTQHVSVPPGARIQYTFPQLFFYKPNLWWPNGMGKQSLYNVSITIDVKGYGKSDSWGQLFGFRKIESHIDSATGGRLFKVNGQPIFIRGGNWILSDCLLRLSEERYKTDVKFHADMNLNMIRCWGGGLAERPEFYHYCDVYGLLVWQEFWITGDVDGRGIPVSNPNGPLDHDLFMLCARDTVKLLRNHPSLALWVGGNEQVPPPDLNTALKNDLKLHPFFENQSENAMSVEDMSTVFKDPSQYLDGTRIYIQGSLWDGFANGKGDFTDGPYEIQNPEDFFRDDYYNYGFNPEVGSVGMPVAATIRATMPPEGWQIPLFKKLPNGYTEEVPNPIWEYHKYIPYSKPGKVHDQIELYGIPKDLDDFCLKAQLVNYIQYRALLEGWTSHMWSKYTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLATLFIEVVNTMSEELSNVAVEASVWDLEGACPYYKVFDTHSFPPKKVVSIGEMNYPKSKNPKPVYFLLLKLYDVSNYHIISRNFYWLHLSGGDYKLLEPYRKKRIPLKITSKTFIKGSSYEIEMNVQNKSKKPDPKILTCKNNFVSRHGDGDFDMASLETTFEETEEKQNAGLFQRLCRQFSRETDGLKVAEVNGSDVGVAFFLNFSVHAMKTDHKEGEDTRILPVHYSDNYFSLVPGEEMSIKISFQVPQGVTPRLTLRGWNYHNGLHTVL >EOY11333 pep chromosome:Theobroma_cacao_20110822:5:39005778:39012834:1 gene:TCM_026553 transcript:EOY11333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosylglycoprotein endo-beta-mannosidase isoform 5 MFQRHSLEVTDILNPEGTNLLAVLVYPPDHPGSIPPEGGQGGDHEIGKDVATQYVEGWDWIAPVRDRNTGIWDEVSIYVSGPVKIIDPHLVSSFFDHNTRVYLHATTELENKSAWVAECSLNIQVTTELEGSICLVEHLQTQHVSVPPGARIQYTFPQLFFYKPNLWWPNGMGKQSLYNVSITIDVKGYGKSDSWGQLFGFRKIESHIDSATGGRLFKVNGQPIFIRGGNWILSDCLLRLSEERYKTDVKFHADMNLNMIRCWGGGLAERPEFYHYCDVYGLLVWQEFWITGDVDGRGIPVSNPNGPLDHDLFMLCARDTVKLLRNHPSLALWVGGNEQVPPPDLNTALKNDLKLHPFFENQSENAMSVEDMSTVFKDPSQYLDGTRIYIQGSLWDGFANGKGDFTDGPYEIQNPEDFFRDDYYNYGFNPEVGSVGMPVAATIRATMPPEGWQIPLFKKLPNGYTEEVPNPIWEYHKYIPYSKPGKVHDQIELYGIPKDLDDFCLKAQLVNYIQYRALLEGWTSHMWSKYTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLATLFIEVVNTMSEELSNVAVEASVWDLEGACPYYKVFDTHSFPPKKVVSIGEMNYPKSKNPKPVYFLLLKLYDVSNYHIISRNFYWLHLSGGDYKLLEPYRKKRIPLKITSKTFIKGSSYEIEMNVQNKSKKPDPKILTCKNNFVSRHGDGDFDMASLETTFEETEEKQNAGLFQRLCRQFSRETDGLKVAEVNGSDVGVAFFLNFSVHAMKTDHKEGEDTRILPVHYSDNYFSLVPGEEMSIKISFQVPQGVTPRLTLRGWNYHNGLHTVL >EOY11332 pep chromosome:Theobroma_cacao_20110822:5:39005597:39012791:1 gene:TCM_026553 transcript:EOY11332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosylglycoprotein endo-beta-mannosidase isoform 5 MAEIGKMVLDSGWLAARSTEVKLTGTQLTTTHPPTGPTSPWMEAVVPGTVLATLVTNKTVGDPFYGLVNETIVDIADSGREYYTFWFFTKFQCKLSGTQHLDLNFRAINYSAEVYLNGHKKDLPKGMFQRHSLEVTDILNPEGTNLLAVLVYPPDHPGSIPPEGGQGGDHEIGKDVATQYVEGWDWIAPVRDRNTGIWDEVSIYVSGPVKIIDPHLVSSFFDHNTRVYLHATTELENKSAWVAECSLNIQVTTELEGSICLVEHLQTQHVSVPPGARIQYTFPQLFFYKPNLWWPNGMGKQSLYNVSITIDVKGYGKSDSWGQLFGFRKIESHIDSATGGRLFKVNGQPIFIRGGNWILSDCLLRLSEERYKTDVKFHADMNLNMIRCWGGGLAERPEFYHYCDVYGLLVWQEFWITGDVDGRGIPVSNPNGPLDHDLFMLCARDTVKLLRNHPSLALWVGGNEQVPPPDLNTALKNDLKLHPFFENQSENAMSVEDMSTVFKDPSQYLDGTRIYIQGSLWDGFANGKGDFTDGPYEIQNPEDFFRDDYYNYGFNPEVGSVGMPVAATIRATMPPEGWQIPLFKKLPNGYTEEVPNPIWEYHKYIPYSKPGKVHDQIELYGIPKDLDDFCLKAQLVNYIQYRALLEGWTSHMWSKYTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLATLFIEVVNTMSEELSNVAVEASVWDLEGACPYYKVFDTHSFPPKKVVSIGEMNYPKSKNPKPVYFLLLKLYDVSNYHIISRNFYWLHLSGGDYKLLEPYRKKRIPLKITSKTFIKGSSYEIEMNVQNKSKKPDPKILTCKNNFVSRHGDGDFDMASLETTFEETEEKQNAGLFQRLCRQFSRETDGLKVAEVNGSDVGVAFFLNFSVHAMKTDHKEGEDTRILPVHYSDNYFSLVPGEEMSIKISFQVPQGVTPRLTLRGWNYHNGLHTVL >EOY11337 pep chromosome:Theobroma_cacao_20110822:5:39005548:39012179:1 gene:TCM_026553 transcript:EOY11337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosylglycoprotein endo-beta-mannosidase isoform 5 MGKQSLYNVSITIDVKGYGKSDSWGQLFGFRKIESHIDSATGGRLFKVNGQPIFIRGGNWILSDCLLRLSEERYKTDVKFHADMNLNMIRCWGGGLAERPEFYHYCDVYGLLVWQEFWITGDVDGRGIPVSNPNGPLDHDLFMLCARDTVKLLRNHPSLALWVGGNEQVPPPDLNTALKNDLKLHPFFENQSENAMSVEDMSTVFKDPSQYLDGTRIYIQGSLWDGFANGKGDFTDGPYEIQNPEDFFRDDYYNYGFNPEVGSVGMPVAATIRATMPPEGWQIPLFKKLPNGYTEEVPNPIWEYHKYIPYSKPGKVHDQIELYGIPKDLDDFCLKAQLVNYIQYRALLEGWTSHMWSKYTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLATLFIEVVNTMSEELSNVAVEASVWDLEGACPYYKVFDTHSFPPKKVVSIGEMNYPKSKNPKPVYFLLLKLYDVSNYHIISRNFYWLHLSGGDYKLLEPYRKKRIPLKITSKTFIKGSSYEIEMNVQNKSKKPDPKILTCKNNFVSRHGDGDFDMASLETTFEETEEKQNAGLFQRLCRQFSRETDGLKVAEVNGSDVGVAFFLNFSVHAMKTDHKEGEDTRILPVHYSDNYFSLVPGEEMSIKISFQVPQGVTPRLTLRGWNYHNGLHTVL >EOY09770 pep chromosome:Theobroma_cacao_20110822:5:30963618:30964612:1 gene:TCM_025152 transcript:EOY09770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKRKASEVKVPRNSMKLEELEDFVKQMEEETVKMRAKKIRMRKLEKKSKVEIKRIEKKCNQLRKVKRRLVEESAWAQVCSDIMHGIVSAEDEGDAITVDLLTRSLRELMMPRQNQENQPPID >EOY08706 pep chromosome:Theobroma_cacao_20110822:5:18356916:18363327:-1 gene:TCM_023779 transcript:EOY08706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein, putative isoform 3 MGKGEEEQRLSTSVNSEVSVENAGGPISSLSLLFAPSASACGCGCKSLFGLRCFLVLLLSLALFLSALFWLPPFLNFSDQSDLDLDSRFKDHDIVAGFDVEKPVSFLGDNILQLENDIFDEIGFPTSKVVISSLEPLAGSNITKVVFAVDPDVRYSKISSTSQSLIRASFESLVIHQPSLRLTEFLFGVPRDFEVLKFPGGITVIPPQSAFLLQKVQILFNFTLNFSIDQIQGNFEKMTSQLKAGLRLATYENLYISLSNSKGSTVAPPTTVQSSVLLAVGNTPSMPRLKQLAQTITGSHSRNLGLNNNMFGRVKQVRLSSILQHSLHGGDGSSNSWSPSPAPLPHPHRSHHHHRHHHHHHHHHSDVLAPAVSPATSTEKGAAAPEDYSPAPERISPATPWSYKANPPGCQHRNKRIKGKTGQESNIAPVVAPKISPTRSAAPPHVHTSALAPKPKPRPISHLVPTSSPLPNVAFAHVEAPSKSKSNKENPDRTPSVSPSPIASLSSTGFPTMQWPLPLLLAIIIHL >EOY08707 pep chromosome:Theobroma_cacao_20110822:5:18357217:18363422:-1 gene:TCM_023779 transcript:EOY08707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein, putative isoform 3 MGKGEEEQRLSTSVNSEVSVENAGGPISSLSLLFAPSASACGCGCKSLFGLRCFLVLLLSLALFLSALFWLPPFLNFSDQSDLDLDSRFKDHDIVAGFDVEKPVSFLGDNILQLENDIFDEIGFPTSKVVISSLEPLAGSNITKVVFAVDPDVRYSKISSTSQSLIRASFESLVIHQPSLRLTEFLFGVPRDFEVLKFPGGITVIPPQSAFLLQKVQILFNFTLNFSIDQIQGNFEKMTSQLKAGLRLATYENLYISLSNSKGSTVAPPTTVQSSVLLAVGNTPSMPRLKQLAQTITGSHSRNLGLNNNMFGRVKQVRLSSILQHSLHGGDGSSNSWSPSPAPLPHPHRSHHHHRHHHHHHHHHSDVLAPAVSPATSTEKGAAAPEDYSPAPERISPATPWSYKANPPGCQHRNKRIKGKTGQESNIAPVVAPKISPTRSAAPPHVHTSALAPKPKPRPISHLVPTSSPLPNVAFAHVEAPSKSKSNKENPDRTPSVSPSPIASLSSTGFPTMQWPLPLLLAIIIHL >EOY08708 pep chromosome:Theobroma_cacao_20110822:5:18357486:18363401:-1 gene:TCM_023779 transcript:EOY08708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein, putative isoform 3 MGKGEEEQRLSTSVNSEVSVENAGGPISSLSLLFAPSASACGCGCKSLFGLRCFLVLLLSLALFLSALFWLPPFLNFSDQSDLDLDSRFKDHDIVAGFDVEKPVSFLGDNILQLENDIFDEIGFPTSKVVISSLEPLAGSNITKVVFAVDPDVRYSKISSTSQSLIRASFESLVIHQPSLRLTEFLFGVPRDFEVLKFPGGITVIPPQSAFLLQKVQILFNFTLNFSIDQIQGNFEKMTSQLKAGLRLATYENLYISLSNSKGSTVAPPTTVQSSVLLAVGNTPSMPRLKQLAQTITGSHSRNLGLNNNMFGRVKQVRLSSILQHSLHGGDGSSNSWSPSPAPLPHPHRSHHHHRHHHHHHHHHSDVLAPAVSPATSTEKGAAAPEDYSPAPERISPATPWSYKANPPGCQHRNKRIKGKTGQESNIAPVVAPKISPTRSAAPPHVHTSALAPKPKPRPISHLVPTSSPLPNVAFAHVEAPSKSKSNKENPDRTPSVSPSPIASLCE >EOY11412 pep chromosome:Theobroma_cacao_20110822:5:39186332:39189443:-1 gene:TCM_026595 transcript:EOY11412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide repair protein, putative isoform 4 PSSSAAAAAASASASPVAASSSSNSSQSRNAILVSHRQKGNPLLKHIRNVRWAFADIVCDYLLGQNSCALYLSLRYHLLHPDYLYYRIRELQKNFKLRVVLCHVDVEDVVKPLLEVTKTALLHDCTLLCGWSLEECGRYLETIKVYENKPADLIQGQMDTDYLSRTLASIMDASMEDLARCPGIGERKVKRLYDTFHEPFKRVISSNPPVPETPILKEAEPCSASEATEAEKDTEDTSKRRKKEPEMNVKSALTAAFARYAGKISKKSTKSKGKERGGTSAAAEPVAATKNSKEGVET >EOY11410 pep chromosome:Theobroma_cacao_20110822:5:39186303:39194399:-1 gene:TCM_026595 transcript:EOY11410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide repair protein, putative isoform 4 MEEGENQREEHQNKRKTPIVIGIPSYKEVIESSQIKSTPPSLFTPSQSFSQAFNFIKSSEFYSPPPAPPAPSSAAISTPRPVGEANVPSSSAAAAAASASASPVAASSSSNSSQSRNAILVSHRNVRWAFADIVCDYLLGQNSCALYLSLRYHLLHPDYLYYRIRELQKNFKLRVVLCHVDVEDVVKPLLEVTKTALLHDCTLLCGWSLEECGRYLETIKVYENKPADLIQGQMDTDYLSRLNHALTTIRHVNKTDVVTLGSTFGTLASIMDASMEDLARCPGIGERKVKRLYDTFHEPFKRVISSNPPVPETPILKEAEPCSASEATEAEKDTEDTSKRRKKEPEMNVKSALTAAFARYAGKISKKSTKSKGKERGGTSAAAEPVAATKNSKEGVET >EOY11409 pep chromosome:Theobroma_cacao_20110822:5:39186407:39194399:-1 gene:TCM_026595 transcript:EOY11409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide repair protein, putative isoform 4 MEEGENQREEHQNKRKTPIVIGIPSYKEVIESSQIKSTPPSLFTPSQSFSQAFNFIKSSEFYSPPPAPPAPSSAAISTPRPVGEANVPSSSAAAAAASASASPVAASSSSNSSQSRNAILVSHRQKGNPLLKHIRNVRWAFADIVCDYLLGQNSCALYLSLRYHLLHPDYLYYRIRELQKNFKLRVVLCHVDVEDVVKPLLEVTKTALLHDCTLLCGWSLEECGRYLETIKVYENKPADLIQGQMDTDYLSRLNHALTTIRHVNKTDVVTLGSTFGTLASIMDASMEDLARCPGIGERKVKRLYDTFHEPFKRVISSNPPVPETPILKEAEPCSASEATEAEKDTEDTSKRRKKEPEMNVKSALTAAFARYAGKISKKSTKSKGKERGGTSAAAEPVAATKNSKEGVET >EOY11411 pep chromosome:Theobroma_cacao_20110822:5:39186686:39189448:-1 gene:TCM_026595 transcript:EOY11411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide repair protein, putative isoform 4 MCLLLLLLLLLPLPQLPRLLLHHHPILLRVAMLYSLAIGRNVRWAFADIVCDYLLGQNSCALYLSLRYHLLHPDYLYYRIRELQKNFKLRVVLCHVDVEDVVKPLLEVTKTALLHDCTLLCGWSLEECGRYLETIKVYENKPADLIQGQMDTDYLSRLNHALTTIRHVNKTDVVTLGSTFGTLASIMDASMEDLARCPGIGERKVKRLYDTFHEPFKRVISSNPPVPETPILKEAEPCSASEATEAEKDTEDTSKRRKKEPEMNVKSALTAAFARYAGKISKKSTKSKGKERGGTSAAAEPVAATKNSKEGVET >EOY09104 pep chromosome:Theobroma_cacao_20110822:5:26066794:26085075:1 gene:TCM_024488 transcript:EOY09104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dhfs-fpgs c isoform 1 MIGHANTVLKCGIFGLSNFHEQEQFFFTPGQIFSCLAISLHNQGLTRDGRLQARTKSGSKLHQCSTLYGFRYSNMSSQVLENMGSNEVIRDYLEDVPLSFSYEPAMDALSSLITRQKRGERSNVMGKYGKLDRMLMYLKILGLEEQVAGLKIIHVAGTKGKGSTCTFCEAILRESGFRTGLFTSPHLIDVRERFRLDGLEISEEKFLQYFWNCWHILKENITDALPMPPLFQFLTVLAFKIFVCEQVDVAIIEVGLGGTRDSTNVIKQPVVCGITSLGMDHMETLGNTLGQIALHKAGIFKHQIPAFTVPQLSEAMEVLQERAHELMVPLNVAAPLDSKNLKGVKISLSGDHQLINAGLAVSLCKCWLQRTGNWEKILHNDSQETDIPEAFCRGLSTACLSGRAEIVYDKFSKYYNSSATDENSCGNLIFYLDGAHSPESMEACARWFSSVAKGNGKVSPLSLSALRNFGNGCARHIKDKVEESHVISKQILLFNCMEVRDPQVLLTHLVNACSSSGTHLSKALFVPNMSKYNKVTSGTSITASDSTSGDFSWQFSLQRIWEKVIHGPDPVLDRSTMMNRTVSLPSLEFLYEDAFHCSAADQHLACSAVMPSLPQTIKWLRDCVKEKPSVRVQVLVTGSLHLVGDVLKLLRR >EOY09105 pep chromosome:Theobroma_cacao_20110822:5:26066793:26085075:1 gene:TCM_024488 transcript:EOY09105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dhfs-fpgs c isoform 1 MIGHANTVLKCGIFGLSNFHEQEQFFFTPGQIFSCLAISLHNQGLTRDGRLQARTKSGSKLHQCSTLYGFRYSNMSSQVLENMGSNEVIRDYLEDVPLSFSYEPAMDALSSLITRQKRGERSNVMGKYGKLDRMLMYLKILGLEEQVAGLKIIHVAGTKGKGSTCTFCEAILRESGFRTGLFTSPHLIDVRERFRLDGLEISEEKFLQYFWNCWHILKENITDALPMPPLFQFLTVLAFKIFVCEQVDVAIIEVGLGGTRDSTNVIKQPVVCGITSLGMDHMETLGNTLGQIALHKAGIFKHQIPAFTVPQLSEAMEVLQERAHELMDSQETDIPEAFCRGLSTACLSGRAEIVYDKFSKYYNSSATDENSCGNLIFYLDGAHSPESMEACARWFSSVAKGNGKVSPLSLSALRNFGNGCARHIKDKVEESHVISKQILLFNCMEVRDPQVLLTHLVNACSSSGTHLSKALFVPNMSKYNKVTSGTSITASDSTSGDFSWQFSLQRIWEKVIHGPDPVLDRSTMMNRTVSLPSLEFLYEDAFHCSAADQHLACSAVMPSLPQTIKWLRDCVKEKPSVRVQVLVTGSLHLVGDVLKLLRR >EOY08052 pep chromosome:Theobroma_cacao_20110822:5:4174344:4180158:1 gene:TCM_022372 transcript:EOY08052 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-O-methylthreonine resistant 1 isoform 2 HLPSRHPHCTKPVPSSKTYFHTCHSFIGPIYPLQKLRQKNPFLLCLIKSAPKAASENAFCFVHRFFFFFSNFILFLFVIFVKLKLMDALRLIPPQPPLLRHKERVAQTSFPWPHVSKKCIIRPWIRAAVSKPTAEISSPNVTSRENAVVSSSSSSFAEVSSAPPKKVSANSLQYPSGYLGAAPERSKDEGNGDVINAMGYLTNILSSKVYDVAIESPLQSATKLSERLGNQVWLKREDLQPVFSFKLRGAYNMMAKLPKGQLERGVICSSAGNHAQGVALAAKRLGCNAEDMFEEKRSILEPAGALALAGAEAYCKYYGLKGKNIVAITSGANMNFDKLRVVTELANVGRQQEAVLATILPEEPGSFKQFCELVGPMNITEFKYRCSSEKEAVVLYSVGVHTVSELGTMKQRMESSQLRTYNLTASDLVKDHLRYLMGGRLNVENEVLCRFIFPERPGALMKFLDTFSPRWNISLFHYRGQGETGANVLVGIQVAKGEMEEFHHLADRLGYDYVLVTDDKDFQLLMH >EOY08051 pep chromosome:Theobroma_cacao_20110822:5:4174510:4179907:1 gene:TCM_022372 transcript:EOY08051 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-O-methylthreonine resistant 1 isoform 2 MDALRLIPPQPPLLRHKERVAQTSFPWPHVSKKCIIRPWIRAAVSKPTAEISSPNVTSRENAVVSSSSSSFAEVSSAPPKKVSANSLQYPSGYLGAAPERSKDEGNGDVINAMGYLTNILSSKVYDVAIESPLQSATKLSERLGNQVWLKREDLQPVFSFKLRGAYNMMAKLPKGQLERGVICSSAGNHAQGVALAAKRLGCNAVVAMPVTTPEIKWQSVKSLGATVVLVGDSYDEAQAYAKKRATEEGRTFIPPFDHPDVIMGQGTVGMEIVRQMQGPLHAIFVPVGGGGLVAGIAAYVKRVAPEVKIIGVEPFDANAMALSLHHGERVMLDQVGGFADGVAVKEVGEETFRLCRELVDGVVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYGLKGKNIVAITSGANMNFDKLRVVTELANVGRQQEAVLATILPEEPGSFKQFCELVGPMNITEFKYRCSSEKEAVVLYSVGVHTVSELGTMKQRMESSQLRTYNLTASDLVKDHLRYLMGGRLNVENEVLCRFIFPERPGALMKFLDTFSPRWNISLFHYRGQGETGANVLVGIQVAKGEMEEFHHLADRLGYDYVLVTDDKDFQLLMH >EOY09800 pep chromosome:Theobroma_cacao_20110822:5:31114695:31116212:1 gene:TCM_025176 transcript:EOY09800 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyltransferase, putative isoform 1 MEELFSLTISLQAPFHRLLSDIKEKEGRPPLCTISDIFMGWAVEVAKSVGTINITFTTTGAYGGLAYFSLWLNLPHLRADSEELTIPGLPDRCRFHISQFHKFVRMADGSDPCSRFLQPQISQTFQSFGYLCHTAEEVEPLALEWLRNYIKLPIWAIGPLLPPVMLNKSPYSGSSMSKHRAGKQPGISLERCIEWLDLHCPDSVLYVSFGSQNTISPSQMMELAKGLEESRNPFIWVIRPPFGFDMKGEFKAEWLPEGFEERMSESKQGLLVRKWAPQVETLLHKSTGAFLSHCGWNSVLESLSQGVPIIGWPLVGEQPFNSKMLVEEMGVSVELTRGHDSTIEAKEAKKVIEMVMNKKGKGGEMRKKAVEIAEKIRAAVTEEGERKGSSITALDDFISAVLTKRFTSSLAANHSQGTSLILCH >EOY09799 pep chromosome:Theobroma_cacao_20110822:5:31114148:31116285:1 gene:TCM_025176 transcript:EOY09799 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyltransferase, putative isoform 1 MSERSLGSSSEHIVMLPMMAQGHLIPFLALARKIHQRTGFNITIASTPLNIQYLRSTFYKDSTPATECSGIHLAELIPFGRIVDQSKLPIPDRNAENLPLDQMEELFSLTISLQAPFHRLLSDIKEKEGRPPLCTISDIFMGWAVEVAKSVGTINITFTTTGAYGGLAYFSLWLNLPHLRADSEELTIPGLPDRCRFHISQFHKFVRMADGSDPCSRFLQPQISQTFQSFGYLCHTAEEVEPLALEWLRNYIKLPIWAIGPLLPPVMLNKSPYSGSSMSKHRAGKQPGISLERCIEWLDLHCPDSVLYVSFGSQNTISPSQMMELAKGLEESRNPFIWVIRPPFGFDMKGEFKAEWLPEGFEERMSESKQGLLVRKWAPQVETLLHKSTGAFLSHCGWNSVLESLSQGVPIIGWPLVGEQPFNSKMLVEEMGVSVELTRGHDSTIEAKEAKKVIEMVMNKKGKGGEMRKKAVEIAEKIRAAVTEEGERKGSSITALDDFISAVLTKRFSE >EOY10573 pep chromosome:Theobroma_cacao_20110822:5:35402031:35405931:-1 gene:TCM_025906 transcript:EOY10573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 1 MKIHFQRLSLSSKAMARSSLPLYYFSPRRVSSPSSKSFFFVPATLALISTIFILFYIFTTSTLFTSHHHRHTLYLKQPLGSFPSSPLTQNVPSFSLHNNGFKNGTFDLPKRPPLKAVGGGEDATMSQVTSRPHFGSEGNFVNNLEVFHDGDIFLEDYKEMNNSFKIYVYPVKRNDPFAHALLPVDFEPGGNYASESYFKKALMKSHFITKDPTKADLFFLPFSIARLRHDRRIGTGGIQDFIRDYIFNISQKYPYWNRSGGADHFYVACHSIGRSVMAKARELKLNAIQIVCSSSYFLSGYIAHKDASLPQVWPRTGDPPNLASSKRNKLSFFAGSINSPVREKLLKFWRNDSEIAAHYGRLKTPYADELLSSKFCLHVKGFEVNTARIADSLYYGCVPIIIANYYDLPFADILNWKSFSIVVVTVDIPSLKQILRGITSDEYLSLQRNVLKVRKHFQWHVPPIDFDAFYMVMYELWLRRSSARINFSLDPKKLCK >EOY10572 pep chromosome:Theobroma_cacao_20110822:5:35402772:35405964:-1 gene:TCM_025906 transcript:EOY10572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 1 MKIHFQRLSLSSKAMARSSLPLYYFSPRRVSSPSSKSFFFVPATLALISTIFILFYIFTTSTLFTSHHHRHTLYLKQPLGSFPSSPLTQNVPSFSLHNNGFKNGTFDLPKRPPLKAVGGGEDATMSQVTSRPHFGSEGNFVNNLEVFHDGDIFLEDYKEMNNSFKIYVYPVKRNDPFAHALLPVDFEPGGNYASESYFKKALMKSHFITKDPTKADLFFLPFSIARLRHDRRIGTGGIQDFIRDYIFNISQKYPYWNRSGGADHFYVACHSIGRSVMAKARELKLNAIQIVCSSSYFLSGYIAHKDASLPQVWPRTGDPPNLASSKRNKLSFFAGSINSPVREKLLKFWRNDSEIAAHYGRLKTPYADELLSSKFCLHVKGFEVNTARIADSLYYGCVPIIIANYYDLPFADILNWKSFSIVVVTVDIPSLKQILRGITSDEYLSLQRNVLKVRKHFQWHVPPIDFDAFYMVMYELWLRRSSARINFSLDPK >EOY09976 pep chromosome:Theobroma_cacao_20110822:5:32051409:32056760:-1 gene:TCM_025347 transcript:EOY09976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded nucleic acid binding R3H protein, putative isoform 2 MSVTQFAMVEELAFLVKDNLPCKHLVLSMEEAFVNFLQDDTSSDGILELEPMNSYNRLLLHRLADIFGFAHESVGEGDDRHLVLERCPETSIPSILVSDILWQCDEPQSLTTSRHLLVREEAPAVAKTELPSFELSLEAREAAYLAARERIFSMDVEEVREPVKEKPRTVPVVARRMIAHALGQKINSSSQNVNARDFKDHEGQPNELNIHDKDEVDNNLRTATYQDTVFVPGNAFSKANSNAHKHNASVVGKRNVSDKPAQKGPSDVRIPGRSRNRVNKEYSKEEHLGAAKRMFAHALGLRSAKDGVLLKSSETKAIDNK >EOY09975 pep chromosome:Theobroma_cacao_20110822:5:32051409:32056933:-1 gene:TCM_025347 transcript:EOY09975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded nucleic acid binding R3H protein, putative isoform 2 MSVTQFAMVEELAFLVKDNLPCKHLVLSMEEAFVNFLQDDTSSDGILELEPMNSYNRLLLHRLADIFGFAHESVGEGDDRHLVLERCPETSIPSILVSDILWQCDEPQSLTTSRHLLVREEAPAVAKTELPSFELSLEAREAAYLAARERIFSMDVEEVREPVKEKPRTVPVVARRMIAHALGQKINSSSQNVNARDFKDHEGQPNELNIHDKDEVDNNLRTATYQDTVFVPGNAFSKANSNAHKHNASVVGKRNVSDKPAQKGPSDVRIPGRSRNRVNKEYSKEEHLGAAKRMFAHALGLRSAKDGVLLKSSETKAIDNKKELHEDTTVTNEKMQ >EOY09243 pep chromosome:Theobroma_cacao_20110822:5:27431063:27451590:-1 gene:TCM_024646 transcript:EOY09243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein isoform 2 MAVGKEDVEIIKPRTDKREYRRIVLRNSLQVLLVSDPDTDKCAASMNVGVGSFCDPVGLEGLAHFLEHMLFYASEKYPLEDSYSKYITEHGGSTNAFTASEQTNYYFDVNTDCFEEALDRFAQFFIKPLMSADATTREIKAVDSENQKNLLSDAWRMNQLQKHLSSESHPYHKFSTGNWKTLEVRPKAKGVDTRQELLKFYEDNYSANLMHLVVYAKESLDKVQSLVEDKFQEIRNSDRSCFLFRGQPCTSEHLQILVRAVPIKQGHKLRIIWPIPPSIRLYKEGPCRYLGHLIGHEGEGSLFYVLKTLGWATGLSAGEGEWTLEFSFFKVVIDLTDAGHDHMQDIVGLLFKYVQLLQQSGVCEWIFDELSAVCETGFHYQDKFPPIDYVVNIASNMQIYPPKDWLVGSSLPSNFNPDTIQMILNELCPENVRIFWESQKFEGLTDKVEPWYGTAYSIEKVTPSIVQEWMSLAPMEKLHLPAPNVFIPTDLSLKSSQEKVKFPVLLRKSSYSKLWYKPDTMFSTPKAYVKIDFNCPYASNSPEAEVLADIFARLLMDYLNEYAYYAQVAGLYYGIIHTDSGFEVTLVGYNHKLRILLETVVDKIAKFEVKPDRFSVIKEMVMKDYQNFKFQQPYQQAMYNCSLILEDQTWPWMEQLEVLPHLNAEDLAKFATMMLSRAFLECYIAGNIEQEEAESMIQLVEDVFFKGSKPICQPLFLSQHLTNRVVKLERGMNYFYSKEGLNPSDENSALVHYIQVHRDDFILNVKLQLFALIAKQPAFHQLRSVEQLGYITVLMQRNDSGIRGVQFIIQSTVKGPGHIDLRVEAFLRMFESKLYEMTNDEFKSNINALIDMKLEKHKNLREESRFYWREISDGTLKFDRREAEVCRSI >EOY09242 pep chromosome:Theobroma_cacao_20110822:5:27430207:27452071:-1 gene:TCM_024646 transcript:EOY09242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein isoform 2 MAVGKEDVEIIKPRTDKREYRRIVLRNSLQVLLVSDPDTDKCAASMNVGVGSFCDPVGLEGLAHFLEHMLFYASEKYPLEDSYSKYITEHGGSTNAFTASEQTNYYFDVNTDCFEEALDRFAQFFIKPLMSADATTREIKAVDSENQKNLLSDAWRMNQLQKHLSSESHPYHKFSTGNWKTLEVRPKAKGVDTRQELLKFYEDNYSANLMHLVVYAKESLDKVQSLVEDKFQEIRNSDRSCFLFRGQPCTSEHLQILVRAVPIKQGHKLRIIWPIPPSIRLYKEGPCRYLGHLIGHEGEGSLFYVLKTLGWATGLSAGEGEWTLEFSFFKVVIDLTDAGHDHMQDIVGLLFKYVQLLQQSGVCEWIFDELSAVCETGFHYQDKFPPIDYVVNIASNMQIYPPKDWLVGSSLPSNFNPDTIQMILNELCPENVRIFWESQKFEGLTDKVEPWYGTAYSIEKVTPSIVQEWMSLAPMEKLHLPAPNVFIPTDLSLKSSQEKVKFPVLLRKSSYSKLWYKPDTMFSTPKAYVKIDFNCPYASNSPEAEVLADIFARLLMDYLNEYAYYAQVAGLYYGIIHTDSGFEVTLVGYNHKLRILLETVVDKIAKFEVKPDRFSVIKEMVMKDYQNFKFQQPYQQAMYNCSLILEDQTWPWMEQLEVLPHLNAEDLAKFATMMLSRAFLECYIAGNIEQEEAESMIQLVEDVFFKGSKPICQPLFLSQHLTNRVVKLERGMNYFYSKEGLNPSDENSALVHYIQVHRDDFILNVKLQLFALIAKQPAFHQLRSVEQLGYITVLMQRNDSGIRGVQFIIQSTVKGPGHIDLRVEAFLRMFESKLYEMTNDEFKSNINALIDMKLEKHKNLREESRFYWREISDGTLKFDRREAEVAALRQLTQQELIDFFNENIKVGATQKKTLSVRVYGNQHLSEINSDKSEPSQPHTIQIDDIFSFRRSQPLYGSFKGGFMKL >EOY08521 pep chromosome:Theobroma_cacao_20110822:5:10125009:10127779:1 gene:TCM_023063 transcript:EOY08521 gene_biotype:protein_coding transcript_biotype:protein_coding description:MD-2-related lipid recognition domain-containing protein / ML domain-containing protein MEMIQYNRFIAPFLLLSLCLLVPWTVANDVKYCDKTTEYDVKVQAVEISPNPIARGKPATFSISATTGSAIAGGKLVIEVSYFGWHIHSETHDLCDETSCPVSTGDFVVSHSQVLPGFTPPGSYSLKMRMYDAKKHELTCIGFDFDIGFASSVADS >EOY10549 pep chromosome:Theobroma_cacao_20110822:5:35300047:35301095:-1 gene:TCM_025884 transcript:EOY10549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQCDKQQETPMLTEEYIEPHCQWTRGKELDRIEITLDGFRKEDVKVTLKHPVGEEEHSIISVTAKSPERLCKKFEIPNDYELGNLRAELCCGSLILELPKKAASNKLEILKPKLEIRKALQESAEDMQKNFFSAVEYFYSKKKVGAFIFVMLASGVFAYKYYTERCGVEN >EOY09791 pep chromosome:Theobroma_cacao_20110822:5:31079075:31084508:1 gene:TCM_025173 transcript:EOY09791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 20 isoform 1 MDKMLFVISFCMLFSCAIVEAVQNGSLVTQLPGFNGVFPSKHYSGYVKVDEKNLFYYFVVSERNPSKDPVVLWLNGGPGCSSFDGFVYEHGPFNFQEGKPKRSLPTLHLNPYSWSKVSNIIYLDSPVGVGFSYSKNTSTYITGDRQTAADTHAFLLKWFALYPEFVSNPFYISGESYAGIYVPTLASEVVKGIKAGAKPRINFEGYMVGNGVTGSIFDENALVPFAHGMALISDDIFEEVEAACGGNYSNPTKSCDENLEKVYTAIAGLNIYDILEPCYHDPIGQQDSKGNTSLPVSFQKLGATERPLAVRTRMFGRAWPFRAPVKDGIVPLWPELAANKLHVSCINDEVATTWLNDAEVRKAIHAEPENVAGPWELCTDRISYDHDAGSMIPYHKTLTAEGYRALIFSGDHDMCVPYTGSQAWTKSLGYKTTIQWRSWFSNQQVAGYLQGYEHNITFLTVKLLQNNSLLQGAGHTVPEYKPGEALDFYSRWLDRKPI >EOY09792 pep chromosome:Theobroma_cacao_20110822:5:31079111:31084241:1 gene:TCM_025173 transcript:EOY09792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 20 isoform 1 MDKMLFVISFCMLFSCAIVEAVQNGSLVTQLPGFNGVFPSKHYSGYVKVDEKNLFYYFVVSERNPSKDPVVLWLNGGPGCSSFDGFVYEHGPFNFQEGKPKRSLPTLHLNPYSWSKVSNIIYLDSPVGVGFSYSKNTSTYITGDRQTAADTHAFLLKWFALYPEFVSNPFYISGESYAGIYVPTLASEVVKGIKAGAKPRINFEGYMVGNGVTGSIFDENALVPFAHGMALISDDIFEEVEAACGGNYSNPTKSCDENLEKVYTAIAGLNIYDILEPCYHDPIGQQDSKGNTSLPVSFQKLGATERPLAVRTRMFGRAWPFRAPVKDGIVPLWPELAANKLHVSCINDEVATTWLNDAEVRKAIHAEPENVAGPWELCTDRISYDHDAGSMIPYHKTLTAEGYRALIFSGDHDMCVPYTGSQAWTKSLGYKTTIQWRSWFSNQQVAGYLQGYEHNITFLTVKDIQSL >EOY09793 pep chromosome:Theobroma_cacao_20110822:5:31076751:31084214:1 gene:TCM_025173 transcript:EOY09793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 20 isoform 1 QLHSTPINFSCAIVEAVQNGSLVTQLPGFNGVFPSKHYSGYVKVDEKNLFYYFVVSERNPSKDPVVLWLNGGPGCSSFDGFVYEHGPFNFQEGKPKRSLPTLHLNPYSWSKVSNIIYLDSPVGVGFSYSKNTSTYITGDRQTAADTHAFLLKWFALYPEFVSNPFYISGESYAGIYVPTLASEVVKGIKAGAKPRINFEGYMVGNGVTGSIFDENALVPFAHGMALISDDIFEEVEAACGGNYSNPTKSCDENLEKVYTAIAGLNIYDILEPCYHDPIGQQDSKGNTSLPVSFQKLGATERPLAVRTRMFGRAWPFRAPVKDGIVPLWPELAANKLHVSCINDEVATTWLNDAEVRKAIHAEPENVAGPWELCTDRISYDHDAGSMIPYHKTLTAEGYRALIFSGDHDMCVPYTGSQAWTKSLGYKTTIQWRSWFSNQQVAGYLQGYEHNITFLTVKV >EOY09794 pep chromosome:Theobroma_cacao_20110822:5:31079111:31083338:1 gene:TCM_025173 transcript:EOY09794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 20 isoform 1 MDKMLFVISFCMLFSCAIVEAVQNGSLVTQLPGFNGVFPSKHYSGYVKVDEKNLFYYFVVSERNPSKDPVVLWLNGGPGCSSFDGFVYEHGPFNFQEGKPKRSLPTLHLNPYSWSKVSNIIYLDSPVGVGFSYSKNTSTYITGDRQTAADTHAFLLKWFALYPEFVSNPFYISGESYAGIYVPTLASEVVKGIKAGAKPRINFEGYMVGNGVTGSIFDENALVPFAHGMALISDDIFEEVEAACGGNYSNPTKSCDENLEKVYTAIAGLNIYDILEPCYHDPIGQQDSKGNTSLPVSFQKLGATERPLAVRTRMFGRAWPFRAPVKDGIVPLWPELAANKLHVSCIVSYFNHLFETVKLFFSKYNDANVICLNKVTP >EOY09932 pep chromosome:Theobroma_cacao_20110822:5:31768723:31773607:1 gene:TCM_025300 transcript:EOY09932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein phosphatase isoform 1 MRIRECLSMKEIVGDPGDEETYDIIFSNLKCLELQHLPNLTSFCSGNHTFEFPSLEQIIVSQCPELKVFCHGVLNVPLLRRVQITNEDDKGPWVGDLNSTIQQLYTEQVGFQGMEYCVLSEFSKSMVLWNENLPGVLDFKNLKSLEVYGCNRLKYIFTFSMALDLQQLKEIKVKDCLMMEHIITNDGEEAATLTIMFPWLQFVTLESCLNLTSFYSGINTLECPSLKEIILVDCPKIFAFASTISREQGPEKFDGGYMKRNGKGIPNDSVAPFFSDKVLCPHLEYLRLCSINIQKIWHDQLQVTSSNLQNLKTLIVEGCHSLKYLFLPTMGKTFLQLRDLYIINCQNVEEVIILEGLTEKERTSQMFFPKLELLELRGLPKLVRFCHGNYFVFPFLRTLWIADCPELNTLISNSLIGGQPQMAQKEEGNKSEVDALSLFNEKVEFPRIKELRIAGMGNWRKIWQDKLTVDSFCELNFLLVKNCERLLNIFPFDMMERLDKLKELHIWNCASLEEIIGAHELNSYESHVINATQSTIMFVLPKVTFLGLSTLPKLKCFYSKIHTTEWPSLIELQVIGCSKVEIFAGEYLNLQEVQRESQLEISTQQPLFWVSKKTFPNLEVLILEQNDIMKEIWLGQLPTQYFSKLRGLELISFPFNAVSVPDCFIQSLPNLAKLVVSEASFNEIFQCEGREGVGEHAKALALLSELRLSELPELTCLWKEETPLGDAFYNLRTLEVMGCGKLKNLVPSSVYFENLTTLEVSKCHGFITLIALPTAKSMVHLARMSITDCQMMEEVVACASEVKDGIIFSQLKYLELGNLPSLSSFCSGKCSFLFPSLENVTVRNCSKMKIFSQGELSTPNMQRVQFAEDEERWDGNLNTTMEQIFIQMNVSNSKEEEGCSSHPKFNQDNASMFL >EOY09933 pep chromosome:Theobroma_cacao_20110822:5:31768723:31774293:1 gene:TCM_025300 transcript:EOY09933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein phosphatase isoform 1 MRIRECLSMKEIVGDPGDEETYDIIFSNLKCLELQHLPNLTSFCSGNHTFEFPSLEQIIVSQCPELKVFCHGVLNVPLLRRVQITNEDDKGPWVGDLNSTIQQLYTEQVGFQGMEYCVLSEFSKSMVLWNENLPGVLDFKNLKSLEVYGCNRLKYIFTFSMALDLQQLKEIKVKDCLMMEHIITNDGEEAATLTIMFPWLQFVTLESCLNLTSFYSGINTLECPSLKEIILVDCPKIFAFASTISREQGPEKFDGGYMKRNGKGIPNDSVAPFFSDKVLCPHLEYLRLCSINIQKIWHDQLQVTSSNLQNLKTLIVEGCHSLKYLFLPTMGKTFLQLRDLYIINCQNVEEVIILEGLTEKERTSQMFFPKLELLELRGLPKLVRFCHGNYFVFPFLRTLWIADCPELNTLISNSLIGGQPQMAQKEEGNKSEVDALSLFNEKVEFPRIKELRIAGMGNWRKIWQDKLTVDSFCELNFLLVKNCERLLNIFPFDMMERLDKLKELHIWNCASLEEIIGAHELNSYESHVINATQSTIMFVLPKVTFLGLSTLPKLKCFYSKIHTTEWPSLIELQVIGCSKVEIFAGEYLNLQEVQRESQLEISTQQPLFWVSKKTFPNLEVLILEQNDIMKEIWLGQLPTQYFSKLRGLELISFPFNAVSVPDCFIQSLPNLAKLVVSEASFNEIFQCEGREGVGEHAKALALLSELRLSELPELTCLWKEETPLGDAFYNLRTLEVMGCGKLKNLVPSSVYFENLTTLEVSKCHGFITLIALPTAKSMVHLARMSITDCQMMEEVVACASEVKDGIIFSQLKYLELGNLPSLSSFCSGKCSFLFPSLENVTVRNCSKMKIFSQGELSTPNMQRVQFAEDEERWDGNLNTTMEQIFIQMNVSNSKEEEGCSSHPKFNQDNASMFL >EOY07105 pep chromosome:Theobroma_cacao_20110822:5:445227:447171:1 gene:TCM_021616 transcript:EOY07105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-interferon-inducible lysosomal thiol reductase precursor, putative MASSQLLPFLLLSLLIILICPSHSSPENNVTESQSHSKPERVNLSLYYESLCPYCRRFIVNQLVKVFNSDLLNIINLRLVPWGNAKVVKPDKTINCQHGEDECYLNTIHACAINIWPDLRKHFNFIYCTENQGVHIKDGQHSDGADAVWKACSARLGMDQKLIKKCYDSGYGRKLLLQYATETNNLHPKHLYVPWVTVNNQPLFDKYENFVTYVCNAYKDKALPNACKSHSPKETEEESSIYPVCYPDSASFIPAKEIPNSAVTSLVQGKKIEAPA >EOY10271 pep chromosome:Theobroma_cacao_20110822:5:33859989:33874327:-1 gene:TCM_025642 transcript:EOY10271 gene_biotype:protein_coding transcript_biotype:protein_coding description:YAK1-related gene 1 isoform 4 MDEVGPSNQGEPEGASELGSEEGSKVRWRPRQLVFGAYSPRNEADRKLRVVVRRPLVARLTKDIIETYQICNPQFKYSEELNPKRFLTSPSVGVLNDGYDNVNSDLILTVNFVLTNLETQRRYIVKDVLGHGTFGQVAKCWVPETSSFVAVKIIKNQPAYYQQALVEVSILTTLNKKYDPEDKHHIVRIYDYFVYQRHLCICFELLDTNLYELIKINHFRGLSLSIVQLFSKQILRGLALLKDAGIIHCDLKPENILLCTSVKPAEIKIIDFGSACMEDRTVYSYIQSRYYRSPEVLLGYQYTTAIDMWSFGCIVAELFLGLPLFPGASEFDLLRRMIEILGGQPPDYVLKEAKNTSKFFKCIGSIHNTENGEVFIGGRSAYQALTEEEYEARELKKPLIGKEYFNHKNLEAIVTNYPYRKNLPKEDIIKESQIRLALIDFLRGLVEFDPAKRWSPFQASKHPFVTGEPFTCPYRPPPETPRLPVAQNIKVDHHPGGGHWFAAGLSPNIPNRNRVAFHNSPHFPMVPYGHANSYGSIGSYGSYNDNTGLGSSYGSYGDSSNMFAYYSPVGPSAMNMHSQGGASMLGSSPDARRRVMQYSHGNGLGVSPSAGNFAPLPLGTSPSQFTPPSSYGQVSGGSPGHYGPTSPARNSCQGSPLSKMAAAGQFNRRKSWGYSGSSQSQESSSLSNWQGQVSDGTTSSQAEGNSQVVSGLPSHIQSNSNTANWKQQRGGIGMATGYSVIQNIPNSIGLGSNVQLQHGTGAAQDKTDASMSLPDPGDWDPNYSDELLLQEDGSDESCMTAEFNRGMHIGSADSSVGVGRFNRALTTSSNLSIQRQNGPVGFSHIEVGSPPANDPHAGYPRFMSKHSHFMPHMTQNSPSRLGQQTVQRFNHGRSTAARGSEWNHMKVQLPPPSFSSGGPRSPGNSSFSNGMPWGRRASHPVSNIPPASRGRKDYGRIA >EOY10272 pep chromosome:Theobroma_cacao_20110822:5:33861008:33874327:-1 gene:TCM_025642 transcript:EOY10272 gene_biotype:protein_coding transcript_biotype:protein_coding description:YAK1-related gene 1 isoform 4 MDEVGPSNQGEPEGASELGSEEGSKVRWRPRQLVFGAYSPRNEADRKLRVVVRRPLVARLTKDIIETYQICNPQFKYSEELNPKRFLTSPSVGVLNDGYDNVNSDLILTVNFVLTNLETQRRYIVKDVLGHGTFGQVAKCWVPETSSFVAVKIIKNQPAYYQQALVEVSILTTLNKKYDPEDKHHIVRIYDYFVYQRHLCICFELLDTNLYELIKINHFRGLSLSIVQLFSKQILRGLALLKDAGIIHCDLKPENILLCTSVKPAEIKIIDFGSACMEDRTVYSYIQSRYYRSPEVLLGYQYTTAIDMWSFGCIVAELFLGLPLFPGASEFDLLRRMIEILGGQPPDYVLKEAKNTSKFFKCIGSIHNTENGEVFIGGRSAYQALTEEEYEARELKKPLIGKEYFNHKNLEAIVTNYPYRKNLPKEDIIKESQIRLALIDFLRGLVEFDPAKRWSPFQASKHPFVTGEPFTCPYRPPPETPRLPVAQNIKVDHHPGGGHWFAAGLSPNIPNRNRVAFHNSPHFPMVPYGHANSYGSIGSYGSYNDNTGLGSSYGSYGDSSNMFAYYSPVGPSAMNMHSQGGASMLGSSPDARRRVMQYSHGNGLGVSPSAGNFAPLPLGTSPSQFTPPSSYGQVSGGSPGHYGPTSPARNSCQGSPLSKMAAAGQFNRRKSWGYSGSSQSQESSSLSNWQGQVSDGTTSSQAEGNSQVVSGLPSHIQSNSNTANWKQQRGGIGMATGYSVIQNIPNSIGLGSNVQLQHGTGAAQDKTDASMSLPDPGDWDPNYSDELLLQEDGSDESCMTAEFNRGMHIGSADSSVGVGRFNRALTTSSNLSIQRQNGPVGFSHIEVGSPPANDPHAGYPRFMSKHSHFMPHMTQNSPSRLGQQTVQRFNHGRSTAARGSEWNHMKVQLPPPSFSSGGPRSPGNSSFSNGMPWGTSLLHSIKLL >EOY10270 pep chromosome:Theobroma_cacao_20110822:5:33859975:33873723:-1 gene:TCM_025642 transcript:EOY10270 gene_biotype:protein_coding transcript_biotype:protein_coding description:YAK1-related gene 1 isoform 4 MDEVGPSNQGEPEGASELGSEEGSKVRWRPRQLVFGAYSPRNEADRKLRVVVRRPLVARLTKDIIETYQICNPQFKYSEELNPKRFLTSPSVGVLNDGYDNVNSDLILTVNFVLTNLETQRRYIVKDVLGHGTFGQVAKCWVPETSSFVAVKIIKNQPAYYQQALVEVSILTTLNKKYDPEDKHHIVRIYDYFVYQRHLCICFELLDTNLYELIKINHFRGLSLSIVQLFSKQILRGLALLKDAGIIHCDLKPENILLCTSVKPAEIKIIDFGSACMEDRTVYSYIQSRYYRSPEVLLGYQYTTAIDMWSFGCIVAELFLGLPLFPGASEFDLLRRMIEILGGQPPDYVLKEAKNTSKFFKCIGSIHNTENGEVFIGGRSAYQALTEEEYEARELKKPLIGKEYFNHKNLEAIVTNYPYRKNLPKEDIIKESQIRLALIDFLRGLVEFDPAKRWSPFQASKHPFVTGEPFTCPYRPPPETPRLPVAQNIKVDHHPGGGHWFAAGLSPNIPNRNRVAFHNSPHFPMVPYGHANSYGSIGSYGSYNDNTGLGSSYGSYGDSSNMFAYYSPVGPSAMNMHSQGGASMLGSSPDARRRVMQYSHGNGLGVSPSAGNFAPLPLGTSPSQFTPPSSYGQVSGGSPGHYGPTSPARNSCQGSPLSKMAAAGQFNRRKSWGYSGSSQSQESSSLSNWQGQVSDGTTSSQAEGNSQVVSGLPSHIQSNSNTANWKQQRGGIGMATGYSVIQNIPNSIGLGSNVQLQHGTGAAQDKTDASMSLPDPGDWDPNYSDELLLQEDGSDESCMTAEFNRGMHIGSADSSVGVGRFNRALTTSSNLSIQRQNGPVGFSHIEVGSPPANDPHAGYPRFMSKHSHFMPHMTQNSPSRLGQQTVQRFNHGRSTAARGSEWNHMKVQLPPPSFSSGGPRSPGNSSFSNGMPWGRRASHPVSNIPPASRGRKDYGRIA >EOY10273 pep chromosome:Theobroma_cacao_20110822:5:33860761:33873643:-1 gene:TCM_025642 transcript:EOY10273 gene_biotype:protein_coding transcript_biotype:protein_coding description:YAK1-related gene 1 isoform 4 MDEVGPSNQGEPEGASELGSEEGSKVRWRPRQLVFGAYSPRNEADRKLRVVVRRPLVARLTKDIIETYQICNPQFKYSEELNPKRFLTSPSVGVLNDGYDNVNSDLILTVNFVLTNLETQRRYIVKDVLGHGTFGQVAKCWVPETSSFVAVKIIKNQPAYYQQALVEVSILTTLNKKYDPEDKHHIVRIYDYFVYQRHLCICFELLDTNLYELIKINHFRGLSLSIVQLFSKQILRGLALLKDAGIIHCDLKPENILLCTSVKPAEIKIIDFGSACMEDRTVYSYIQQSRYYRSPEVLLGYQYTTAIDMWSFGCIVAELFLGLPLFPGASEFDLLRRMIEILGGQPPDYVLKEAKNTSKFFKCIGSIHNTENGEVFIGGRSAYQALTEEEYEARELKKPLIGKEYFNHKNLEAIVTNYPYRKNLPKEDIIKESQIRLALIDFLRGLVEFDPAKRWSPFQQASKHPFVTGEPFTCPYRPPPETPRLPVAQNIKVDHHPGGGHWFAAGLSPNIPNRNRVAFHNSPHFPMVPYGHANSYGSIGSYGSYNDNTGLGSSYGSYGDSSNMFAYYSPVGPSAMNMHSQGGASMLGSSPDARRRVMQYSHGNGLGVSPSAGNFAPLPLGTSPSQFTPPSSYGQVSGGSPGHYGPTSPARNSCQGSPLSKMAAAGQFNRRKSWGYSGSSQSQESSSLSNWQGQVSDGTTSSQAEGNSQVVSGLPSHIQSNSNTANWKQQRGGIGMATGYSVIQNIPNSIGLGSNVQLQHGTGAAQDKTDASMSLPDPGDWDPNYSDELLLQEDGSDESCMTAEFNRGMHIGSADSSVGVGRFNRALTTSSNLSIQRQNGPVGFSHIEVGSPPANDPHAGYPRFMSKHSHFMPHMTQNSPSRLGQQTVQRFNHGRSTAARGSEWNHMKVQLPPPSFSSGGPRSPGNSSFSNGMPWGRRASHPVSNIPPASRGRKDYGRIA >EOY09086 pep chromosome:Theobroma_cacao_20110822:5:25839687:25842561:1 gene:TCM_024464 transcript:EOY09086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPFLRARQIAKLVQNKLAMTIPLFKARRANLRVVKEVQKSYVEEFRSLRKYADELLRTNEGTTIKIKGQTNTQKTHNRKKKSFVTICAPSEVSTTAPSQHVVGPPSEGVVATPSQFAANAPSQFTISGAAIEFAVGASQGVVRLSVSITTTTKKIKVKASRGT >EOY11033 pep chromosome:Theobroma_cacao_20110822:5:37614899:37617222:1 gene:TCM_026307 transcript:EOY11033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MSDEITALLRNGTWELAPPSSSHNVIGCKWVFLIKRNPYHSISRYKARLVTKGFHQRPVLSTKSGLLLTQHKYIRDILECTNVVGAKEFNKLAQFMHSPTKTHWFVAKRILRFLKYTIYHGLFLQHHQQLCVSAFTDADWASNRDDRTSTSTHIVYFGGNAISWCSKKQKSVARSSTKAEHRALASCTAEVLWIQNLLRELHAKCLSSPQIFYDNIGATYLSVDSVFHSRMKHISIDYHIVRDHVARGSFIVSHVSSKNQLVDALTKPLSSIIFRQLRSKIGISNGSTILRGMLENVYQIKSYWQEIRKLWKDQILSQCTLPCQQI >EOY07962 pep chromosome:Theobroma_cacao_20110822:5:3646798:3651227:-1 gene:TCM_022294 transcript:EOY07962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 3 MLPSNYIALFFLLSSFAPLGKATFNLTFPTPHPNPDEVVQDVQSQAYVRSKNASFLSLCDDLSVLHFECLLRLLRLQTVVLGSVNIFIPTQGTRRVNASMSRRQTLQISQKDQSNCLTGNPIDDCWKCDPDWSNNRQRLADCAIGFGQYALGGKGGEYYIVTDSSDDDAVTPKPGTLRYAVIQTEPLWIVFPSNMLIKLKQELIFNSYKTLDGRGANVHIVGGGCITLQYISNVIIHNIHIHHCVQSGEANVRSSPTHYGWRTESDGDGISIFGSKDLWIDHCSLSHCKDGLIDAVMGSTGITISNNFFSHHNEVMLLGHSDDYKPDSGMQVTIAFNHFGEKLVQRMPRCRRGYIHVVNNDFTQWEMYAIGGSGNPTINSQGNRYTAPSNSNAKEVTKRVETAEGDWRDWNWRSEGDIMVNGAFFVASGEGVEVKYEKAYSVEPKSAVLIDQLTMHSGVLGVGGRDNNLGKWSSGANGGGAGFSSGEDDGDEDYSDEMSGSNVPLSPPFSNPALFSLFNAMSSFLLLYTIPLSSML >EOY07963 pep chromosome:Theobroma_cacao_20110822:5:3646967:3650561:-1 gene:TCM_022294 transcript:EOY07963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 3 MLPSNYIALFFLLSSFAPLGKATFNLTFPTPHPNPDEVVQDVQRRVNASMSRRQTLQISQKDQSNCLTGNPIDDCWKCDPDWSNNRQRLADCAIGFGQYALGGKGGEYYIVTDSSDDDAVTPKPGTLRYAVIQTEPLWIVFPSNMLIKLKQELIFNSYKTLDGRGANVHIVGGGCITLQYISNVIIHNIHIHHCVQSGEANVRSSPTHYGWRTESDGDGISIFGSKDLWIDHCSLSHCKDGLIDAVMGSTGITISNNFFSHHNEVMLLGHSDDYKPDSGMQVTIAFNHFGEKLVQRMPRCRRGYIHVVNNDFTQWEMYAIGGSGNPTINSQGNRYTAPSNSNAKEVTKRVETAEGDWRDWNWRSEGDIMVNGAFFVASGEGVEVKYEKAYSVEPKSAVLIDQLTMHSGVLGVGGRDNNLGKWSSGANGGGAGFSSGEDDGDEDYSDEMSGSNVPLSPPFSNPALFSLFNAMSSFLLLYTIPLSSML >EOY07964 pep chromosome:Theobroma_cacao_20110822:5:3646705:3650938:-1 gene:TCM_022294 transcript:EOY07964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 3 MSRRQTLQISQKDQSNCLTGNPIDDCWKCDPDWSNNRQRLADCAIGFGQYALGGKGGEYYIVTDSSDDDAVTPKPGTLRYAVIQTEPLWIVFPSNMLIKLKQELIFNSYKTLDGRGANVHIVGGGCITLQYISNVIIHNIHIHHCVQSGEANVRSSPTHYGWRTESDGDGISIFGSKDLWIDHCSLSHCKDGLIDAVMGSTGITISNNFFSHHNEVMLLGHSDDYKPDSGMQVTIAFNHFGEKLVQRMPRCRRGYIHVVNNDFTQWEMYAIGGSGNPTINSQGNRYTAPSNSNAKEVTKRVETAEGDWRDWNWRSEGDIMVNGAFFVASGEGVEVKYEKAYSVEPKSAVLIDQLTMHSGVLGVGGRDNNLGKWSSGANGGGAGFSSGEDDGDEDYSDEMSGSNVPLSPPFSNPALFSLFNAMSSFLLLYTIPLSSML >EOY11114 pep chromosome:Theobroma_cacao_20110822:5:38001967:38009117:-1 gene:TCM_026386 transcript:EOY11114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 2 MWRYGVSILKPYHYFHCKSCPADSKSNLTKTPVIKSSNSLTLMTTITESDVGILCFISHLPGFRGILKQRYSDFIVNEVDTEGNVVHLTSLDAPPEAVQEGETKTPDQLNKCYASEIIKFRSLAGDTDADRLEAFINQITSGSEEDICPIVLSPDSDKSHRTAMHNFFKENLRFLVTDTVDGPDSSSKCIRVRLNSGNNNKGRNSKKRKERGDKPYDSRGSSHWPEHLGKFLRFHLYKENKDTQEALGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRLAALNERLIGIKVGDFCYVKDDFLLGQLLGNRFTIILRGIVADSEETIKASVESLGRHGFINYFGLQRFGSGSIPTHRIGATLLRGEWQAAASTILDPREGERDFVSKAREYYKETGDIEGTLRQLPRHLVAERAMLQCLKKCPGNYLQALKAIPRTLRMMYVHSYQSYLWNHAASMRVEKYGSNEVVLGDLVYCKGNDAEKVKVVPSEHEDNNFEDAYDCSQLDEISGTDLPEGKNNLVKAITAADISTGNYTIEDVVLPMPGSRIIYPVNDIANVYHDMAKKDGISLTESVHNIKEFSITSMTGSYRRVFQKPIDFEWELLSYTDGSIALSETDLDKIAKTKPVNLVKEEKPTDGTEGQDSSDHMEHIESFEDDKKFSTGNVEVEGSREAEPPQIHSLPESNSLGTQKALKLAFTLPASCYATMAIRELLKTSTSVAFHKTLNQ >EOY11113 pep chromosome:Theobroma_cacao_20110822:5:38002213:38009170:-1 gene:TCM_026386 transcript:EOY11113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 2 MWRYGVSILKPYHYFHCKSCPADSKSNLTKTPVIKSSNSLTLMTTITESDVGILCFISHLPGFRGILKQRYSDFIVNEVDTEGNVVHLTSLDAPPEVMIDVFSLNKFTQAVQEGETKTPDQLNKCYASEIIKFRSLAGDTDADRLEAFINQITSGSEEDICPIVLSPDSDKSHRTAMHNFFKENLRFLVTDTVDGPDSSSKCIRVRLNSGNNNKGRNSKKRKERGDKPYDSRGSSHWPEHLGKFLRFHLYKENKDTQEALGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRLAALNERLIGIKVGDFCYVKDDFLLGQLLGNRFTIILRGIVADSEETIKASVESLGRHGFINYFGLQRFGSGSIPTHRIGATLLRGEWQAAASTILDPREGDILSLTFFFPFLLGKALFRCLTTQRAREYYKETGDIEGTLRQLPRHLVAERAMLQCLKKCPGNYLQALKAIPRTLRMMYVHSYQSYLWNHAASMRVEKYGSNEVVLGDLVYCKGNDAEKVKVVPSEHEDNNFEDAYDCSQLDEISGTDLPEGKNNLVKAITAADISTGNYTIEDVVLPMPGSRIIYPVNDIANVYHDMAKKDGISLTESVHNIKEFSITSMTGSYRRVFQKPIDFEWELLSYTDGSIALSETDLDKIAKTKPVNLVKEEKPTDGTEGQDSSDHMEHIESFEDDKKFSTGNVEVEGSREAEPPQIHSLPESNSLGTQKALKLAFTLPASCYATMAIRELLKTSTSVAFHKTLNQ >EOY11115 pep chromosome:Theobroma_cacao_20110822:5:38002435:38009117:-1 gene:TCM_026386 transcript:EOY11115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 2 MWRYGVSILKPYHYFHCKSCPADSKSNLTKTPVIKSSNSLTLMTTITESDVGILCFISHLPGFRGILKQRYSDFIVNEVDTEGNVVHLTSLDAPPEAVQEGETKTPDQLNKCYASEIIKFRSLAGDTDADRLEAFINQITSGSEEDICPIVLSPDSDKSHRTAMHNFFKENLRFLVTDTVDGPDSSSKCIRVRLNSGNNNKGRNSKKRKERGDKPYDSRGSSHWPEHLGKFLRFHLYKENKDTQEALGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRLAALNERLIGIKVGDFCYVKDDFLLGQLLGNRFTIILRGIVADSEETIKASVESLGRHGFINYFGLQRFGSGSIPTHRIGATLLRGEWQAAASTILDPREGDVHSYQSYLWNHAASMRVEKYGSNEVVLGDLVYCKGNDAEKVKVVPSEHEDNNFEDAYDCSQLDEISGTDLPEGKNNLVKAITAADISTGNYTIEDVVLPMPGSRIIYPVNDIANVYHDMAKKDGISLTESVHNIKEFSITSMTGSYRRVFQKPIDFEWELLSYTDGSIALSETDLDKIAKTKPVNLVKEEKPTDGTEGQDSSDHMEHIESFEDDKKFSTGNVEVEGSREAEPPQIHSLPESNSLGTQKALKLAFTLPASCYATMAIRELLKTSTSVAFHKTLNQ >EOY08234 pep chromosome:Theobroma_cacao_20110822:5:5839584:5845108:1 gene:TCM_022580 transcript:EOY08234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin/FtsZ family protein isoform 1 MVAAISPYFTPSDARPMGVLNVLGGRVSMDNLLGRVGCLKMCDGKIGCSSAGQRSTMPHCRCSANSQSVSPYQNKDPFLNMHPEVSMLRGEGNNTVTNPRKDSSSGSVTESLGDMSSSSNYNEAKIKVIGVGGGGSNAVNRMIESAMKGVEFWIVNTDVQAMKMSPVFPEHRLQIGQELTRGLGAGGNPEIGMNAAKESKESIEEALYGSDMVFVTAGMGGGTGTGGAPVIAGVAKSLGILTVGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDLGIERATGIVWNITGGSDLTLFEVMIDPSLSGQAIKQGHVFTD >EOY08233 pep chromosome:Theobroma_cacao_20110822:5:5837950:5846024:1 gene:TCM_022580 transcript:EOY08233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin/FtsZ family protein isoform 1 MVAAISPYFTPSDARPMGVLNVLGGRVSMDNLLGRVGCLKMCDGKIGCSSAGQRSTMPHCRCSANSQSVSPYQNKDPFLNMHPEVSMLRGEGNNTVTNPRKDSSSGSVTESLGDMSSSSNYNEAKIKVIGVGGGGSNAVNRMIESAMKGVEFWIVNTDVQAMKMSPVFPEHRLQIGQELTRGLGAGGNPEIGMNAAKESKESIEEALYGSDMVFVTAGMGGGTGTGGAPVIAGVAKSLGILTVGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDLGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLSGQVSITLIATGFKRQEESEGRPLQAGQLAQGDIGLGINRRPSFSEGGSVEIPEFLKKKGRSRYPRA >EOY07344 pep chromosome:Theobroma_cacao_20110822:5:1276180:1278053:1 gene:TCM_021803 transcript:EOY07344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 (NTF2) family protein isoform 3 MALQGSSFCYNVNVNCVPCSSTNSFRSLHCFSPPPPKRCHVVLARTSTGNRTEFFAGPCLSKLPSGRPPPFRENSCMLSLIPCQAKSDDLEGTLSGESIILDEQTLQRDLQNAIEEENYAQAARIRDDLRVLHENSKASVLAANSRFYDAFRRGDLAAMQNLWAKGDDVCCVHPGANGISGYDFVMESWEVVWMNYEFPLEIELKNVQVHVKGDAGYVTCMEFIKTKGSSWGGQFVTNVFERINGQWCICIHHASLVDL >EOY07345 pep chromosome:Theobroma_cacao_20110822:5:1276631:1277881:1 gene:TCM_021803 transcript:EOY07345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 (NTF2) family protein isoform 3 MSVQVAKWQTSAAPFRENSCMLSLIPCQAKSDDLEGTLSGESIILDEQTLQRDLQNAIEEENYAQAARIRDDLRVLHENSKASVLAANSRFYDAFRRGDLAAMQNLWAKGDDVCCVHPGANGISGYDFVMESWEVVWMNYEFPLEIELKNVQVHVKGDAGYVTCMEFIKTKGSSWGGQFVTNVFERINGQWCICIHHASLVDL >EOY07343 pep chromosome:Theobroma_cacao_20110822:5:1275971:1277885:1 gene:TCM_021803 transcript:EOY07343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 (NTF2) family protein isoform 3 MALQGSSFCYNVNVNCVPCSSTNSFRSLHCFSPPPPKRCHVVLARTSTGNRTEFFAGPCLSKLPSGRPPPFRENSCMLSLIPCQAKSDDLEGTLSGESIILDEQTLQRDLQNAIEEENYAQAARIRDDLRVLHENSKASVLAANSRFYDAFRRGDLAAMQNLWAKGDDVCCVHPGANGISGYDFVMESWEVVWMNYEFPLEIELKNVQVHVKGDAGYVTCMEFIKTKGSSWGGQFVTNVFERINGQWCICIHHASLVDL >EOY08517 pep chromosome:Theobroma_cacao_20110822:5:10046897:10048741:-1 gene:TCM_023045 transcript:EOY08517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKPAAYFLLLLMTAATAPLLVESSDDTNHAYTPCSDTKIQLSDGFTFGIAFASRQSFFFNSSLQLSPCDRRLSLSNSNSRVALFRPKVDEISLLTINTSSFSPDSVGGYMVAFAGRKYAARSLPAFVANNTYTVTSFTLVLEFKKGRLQNLYWKRDGCAQCSGKSNFVCLNKQDCAISTNNCKNHGGSVDCSLGIQLAFSGTDKHLSVLNSWYEVENLRQYSLYGLYSNLRDSLSSQYNKIL >EOY08715 pep chromosome:Theobroma_cacao_20110822:5:18600636:18611220:1 gene:TCM_023799 transcript:EOY08715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein of 25 kDa, putative MASSENLASIEPWTFRPRFADSWISEAFARDTETLTRALEKSISNSFTNPDSLSPLLNLINPNTPPTPTPTSTSTPAPTPTPTPTPSASNVSGSDPETAPKRKRTTILPPTATGKVSKRKPRACKRSQTTFITADPANFRQMVQQVTGVRFGNAQMSLSPILKPEPQRPGSRLPNGAGPGCLPTLDTSAFLLDHQQQSSGVVVGSSLLPFQSSVVAEGVASAGATLDCDTFSSFPTLESWKV >EOY11251 pep chromosome:Theobroma_cacao_20110822:5:38647357:38651368:1 gene:TCM_026495 transcript:EOY11251 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MLIAKRTSVQQEVDAADRNGEKIKADIQHWSKRVDKVINEEEKKVKDLQDKAKNKCFVGLCPNIKSRYQLSRKAEEGVAAVDDLIQQCQFNGVGYRDVPEAILDASPKDFETFKLREKVFNDIVGAVKDATISIIGVYGLAGRARRLCERLKKEKKILVVLDDIWKRLDLDEVGIPFGNQHKGCKILLTSRDQNVLSSGMDAENTILIGDLDDGEAWDWFRKMAGDSVESVELRSTAIEVANRCARLPLAIATVARALRNKSLFAWKDALRQLQRPSSKNFIGISADIYSAIELSYNHVESEELKQAFLLCSLLRRDTRIDDLLKYAIGLGLINGVNSVEEARNRLLTMMSDLKASCLLLDSNTNDQYFDMHDLVCDVAMSIAFKDNHVFTLNEEDVLKDWLVAETMKKFNMIRLQYPSIGELPDELNCPQLVLFLMFNKDTSLKIPPNFFRKTTDLKVLDFTNMHFSSLPSSIRLLTSLHTLCLDQCELRDITIIGKLKNLEILSLLKSDIRILPKEIGLLVKLKLLDLSHCTKLKIIPPSVLSNLSKLEELYMGGTFIQWQVGGHANQRSNASLAELKTLSCLTTLEVHIPDAEAILGGLLFKDLQKLGRYKIFIGKEWGWLGKYEYSRTLKLKLSTSIDHLDHGLKLLLRKTEALYLDELKGVKIAMQEFKDEESLLHLKNLHIQNSLGMKYIINDNGAVNKNEFFQLRSLTLQIYHSSLAFALKTKVAQPPGLNMSYLFSVKRLIACLQMLFPCLENLLLSSINVERIWHIQLSNTSFHNHENLIVKAFVNLKYLEVYDCNNLKYLFTVSMALDLLQLKEIKVKNCPTMEQIITTEEAEEAAMNSMD >EOY08090 pep chromosome:Theobroma_cacao_20110822:5:4433038:4448267:1 gene:TCM_022410 transcript:EOY08090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEYPVTSNSHVIKTVNRFIPPFYFCSINGFLLSLFHRRSPFFPSSSLVGHTLDFSFLFLSPFHLFYFYFLSFFFSSFADPIIIIISSLSFSFTPCGWPLPIQHKNISVASYLTTWATAHVGLSLFFFFTITYINFYIHLLLLLFILFTQNNKIYIQILNFFVFATQSSSFRILKFVLPTHVKILISFYLLLHVYNQNIETCHKPQLGYIAFCSLSFNVSSLRKISRTMSSGCSQSEYKPITYSLMPKAVNTISSLEFYSYLPIVTPSAHLLTHCLIAHQPLMTIQIRPLINSQTSAFSHFSIHFENT >EOY10965 pep chromosome:Theobroma_cacao_20110822:5:37254763:37258452:-1 gene:TCM_026235 transcript:EOY10965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MRAVAISLFVFLLVIVATVISFCDGNSNVLCIESERQALLKFKHDLIDETNRLSSWIEGDQEDCCKWVGVLCDNWTGHVYELHLGLLSCRLDKVTTVAERDACYRSMLGGAIPHKLGNLSKLHYLDLGRNYHLEAKTLQWVSGLPSLQYLDLSGVNFSGATDWLQVANKLPSLVELHLSDCINLYNDPSIVSVNYTSLAVLDLSSNSLSAIPTWLFNLPSLVYINLNWNDIGGVIPNGFQNMSSLKFLDLSWNAFSPSSIPRWLYNLNHLQFLGLRSIGLQGNISSAIRNLSSVTHLDLSENMLEGTISNFLDSLCNLREMDLSRNKIHDEVSEIIQSLSKCNLDRLESLNMGVNKLSGHLTDALGRFKNLAYLSLRENFISGPIPFSIGQLGNLEYLDVGNNMLEGNLSEMHFSNLTRLTTFSASNNMLTFKPNSSWIPPFHCVNIDLGNLHLGPQFPQWLQFQKNLSILDISHAGISGVIPTWFWNLSTQYVHLNLSHNQLVGGISYLPVTRLVDLSSNQFTGPLPPVLPSLGYLFLSTNFFSGSLSHFLCISSTKVRSLTILDIGSNLLSGEIPHCWEKWPALDVLKLANNNLTGKIPVSLGSLLSIRLLNLRNNSLFGEVPSAMQQIADLKILDLSENQFSGSVPAWMGENLTGLMVLNLRSNNFHGHIPDKICALHSLQILDLASNNISGAIPKCFSNLSAMATKNKTLWYFANGAIWDSFLSMSYFLDALLFTRGREFDYSTTLVLVTSIDLSANSLRGEISKELGNLVGLMSLNLSRNLLTGKIPENIGNMESLESLDLSMNRLYGEIPSSFSGLNFLNHLNLSYNKLTGQIPLSTQLQSFDMFSYIGNHLCGPPVTKNCSANGATPNVTNAGSKGYKVNGLYVSIVLGFVIGFWAVVAPLFFIRSWRFAYYHKLDDVGRQL >EOY07586 pep chromosome:Theobroma_cacao_20110822:5:2062666:2065195:1 gene:TCM_021980 transcript:EOY07586 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 SNLTAIITGGASGIGLETSRVLALRKVHVLIAARNLKAANEAKESILTENETARIDVLELDLCSIKSIRAFADNFLALNRRLNILINNAGIMFCPFQLSQDGIEVQFATNHIGHFLLTNLLLDNMKNTAKATGIQGRIVNLSSIAHSHCYKNGIRFDKISEKSGYNDKRAYGQSKLANILHANELSRRLQVESVNITVNSVHPGLIMTPLFRHSAILMNILKFFSFFLWKNVPQGAATTCYVALHPSLKGVTGKYFAECNEMTPSSYARDEALAKRLWDFSNKLIHSVSKP >EOY07585 pep chromosome:Theobroma_cacao_20110822:5:2061846:2065060:1 gene:TCM_021980 transcript:EOY07585 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MFFVSSLVFIILCSYLFVFFSPLSLRKQRESFLLCLCLVSFSYCSSGLKVSELGIAPFFEWFFELVETMVGIFSLVTGWPGRSGFGSASTAEEVTEGIDGSNLTAIITGGASGIGLETSRVLALRKVHVLIAARNLKAANEAKESILTENETARIDVLELDLCSIKSIRAFADNFLALNRRLNILINNAGIMFCPFQLSQDGIEVQFATNHIGHFLLTNLLLDNMKNTAKATGIQGRIVNLSSIAHSHCYKNGIRFDKISEKSGYNDKRAYGQSKLANILHANELSRRLQEEGVNITVNSVHPGLIMTPLFRHSAILMNILKFFSFFLWKNVPQGAATTCYVALHPSLKGVTGKYFAECNEMTPSSYARDEALAKRLWDFSNKLIHSVSKP >EOY07673 pep chromosome:Theobroma_cacao_20110822:5:2387074:2387822:1 gene:TCM_022052 transcript:EOY07673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEKDLTNMLRSTKFLSPKALKNGGMDSFTMQGEKEAEFVSVKARQLTVMSAQASPASPPLHGCFRF >EOY08731 pep chromosome:Theobroma_cacao_20110822:5:19026941:19037142:1 gene:TCM_023835 transcript:EOY08731 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase protein MCRTNVLERKRRKPRLSIAIQKVISHCLSQVQNPKPPVPMEESADPISLFLSLDEWPEDQESSSQPSSDSFLLGFVFANIVGLQYYRGKISGREMVGLVREPLNPYDQNAIKVLNTRTLQVGHIERSVAAVLSPLIDSHLISVEGIVPNSRSGSNKFKIPCQIHIFATLEAFSTVKSAISRGGLELISQSDVSFTLSEAAVVKGSKGGGEFKSVDKVFKLVDENVRKKATMETVEPSHEVIKSQLLLHQKEGLGWLLHRENSGELPPFWEEKSREFVNVLTNYQTDKRPEPLRGGIFADDMGLGKTLTLLSLIAFDKFSSFVPCSGDAGIEEIVEEDVKKGKRGRVSGKGTGTRKRRKTEDTKLARNPKGKSVNTVDECVSVLGQRTTLVVCPPSVFSSWITQLEEHTNPGKLKVYMYYGERTKQVEELKKYDIVLTTYSTLATEESWLDSPMKRMEWWRVILDEAHVIKNANAQQSKAVTSLKATCRWVVTGTPIQNGSLDLFSLMAFLRFEPFSIKSYWRSLVQRPLAQGNKNGLSRLQTLMASISLRRTKGNALIGLPPKTLQTCYVELSVEEREVYDQIEGRAKSVIQEFINDGTLVRNYSTVLGILLRLRQICTNLALLPPDLRAMFPSSNIEDVSNNPELLKKMVAMLQDGEDLDCPVCISPPNDVIITCCAHIFCRPCIIKTLQRMKPYCPLCRHPLSQSDLFSAPSESSDADHTEISSRNTTSSKLSALLTLLQESQDQNPTKKSVVFSQFRTMLLLLEKPLKAAGFKILRLDGSMNAKRRAQVIENFQVPEADGPTVLLASLKASGAGINLTAASRVYLLEPWWNPAVEEQAMDRVHRIGQKEDVTIVRLIARNSIEERVLELQERKKKLATEAFRRKGPKDREEVTVDDLRTLMSL >EOY08464 pep chromosome:Theobroma_cacao_20110822:5:8866695:8869852:-1 gene:TCM_022925 transcript:EOY08464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Damaged DNA binding,DNA-directed DNA polymerases, putative MQSKINSFFKRSSSSSSIKSQKSSPVFSNDEFAIWENSQHTIVNTYTRRPPKIHGNDAKKESSNERLEKPISENEFSSKDCGSNGRNLNKKRSYAQFHLELGQSDFLLHACSTCRVKYSPGDEEDEKSHSILHKNFTRGIQFKGWRNERVVCMPKVEGGRIVLVLDSDPVAQRKKVQEVVKMMEIELGGEWIFHKLCKVYLFVFSQRIAGCLVAEPIKEASKVLSCSVGERHDGAPAKQTMSKSSKLQFGEIVFQREVDKRGPSEALIGNHTGAIFCEKEAVPAACGIRAIWVTPSNRRKGIATQLLEAVRKSFCMGFVIEKSQLAFSQPSSEGQALASNYIGTGSFLVYKTGNLCS >EOY08222 pep chromosome:Theobroma_cacao_20110822:5:5769648:5772852:1 gene:TCM_022568 transcript:EOY08222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic, putative MAGEVNRKISAASARAHTRKSNQSTSFKLPPGIFQKILAVLFVGILSWVYQAIQPPPPKICGSPNGPTVTAPRIKLRDRRYLAYKEHGVPRDVAKYKIIYVHGFNSGRHDAAVATTLSPEVVEELGIYIVSFDRPGYGESDPNPKRTVKSMALDIEELADQLGLGSKFYVIGYSMGGQVIWSCLKYIPNRLAGVTLLAPAVNYWWPHFPVNISNEAFNQKTPQDQWVIRVAHYTPWLVYWWNTQKLFPASSVLAGNSDILSSQDKEIMSQISARKNFASQQVTQQGEFESLHRDLIVGQGAWEFDPLDLENPFPNNEGFVHLWHGNQDRIVPVTMNRYIAQQLPWILYHELSGAGHFFPLADGTSNAIIKALLVGEY >EOY06963 pep chromosome:Theobroma_cacao_20110822:5:58982:66711:-1 gene:TCM_021525 transcript:EOY06963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine nucleotide diphosphate dissociation inhibitor 1 isoform 2 MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKKFRGNDKPPAHLGSSRDYNVDMIPKFMMANGTLVRVLIHTDVTKYLYFKAVDGSYVFSKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYDEKDPKTHEGMDLARVTTRELIAKYGLDDNTVDFIGHALALHRDDCYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDDEGKVSGVTSEGETARCKKVVCDPSYLPNKVRKIGRVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRRSDMYVFCCSYSHNVAPKGKFIAFVSTEAETDHPETELRPGIDLLGPVDEIFFDIYDRYEPVNEPSLDNCFISTSYDATTHFESTVMDVLNMYTMITGKVLDLSVDLSAASAAEE >EOY06964 pep chromosome:Theobroma_cacao_20110822:5:59284:64791:-1 gene:TCM_021525 transcript:EOY06964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine nucleotide diphosphate dissociation inhibitor 1 isoform 2 MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLIQLWKKFRGNDKPPAHLGSSRDYNVDMIPKFMMANGTLVRVLIHTDVTKYLYFKAVDGSYVFSKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYDEKDPKTHEGMDLARVTTRELIAKYGLDDNTVDFIGHALALHRDDCYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQQAFARLSAVYGGTYMLNKPECKVEFDDEGKVSGVTSEGETARCKKVVCDPSYLPNKVRKIGRVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRRSDMYVFCCSYSHNVAPKGKFIAFVSTEAETDHPETELRPGIDLLGPVDEIFFDIYDRYEPVNEPSLDNCFISTSYDATTHFESTVMDVLNMYTMITGKVLDLSVDLSAASAAEE >EOY11072 pep chromosome:Theobroma_cacao_20110822:5:37793857:37805063:1 gene:TCM_026345 transcript:EOY11072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 14 MAELGQQTVEFSSLVSRAAEESFLSLQELVEKSKSSDQSDTEKKINLLKYIVKTQQRMLRLNVLAKWCQQVPLIQYCQQLVSTLSSHDTCFTQAADSLFFMHEGLQQARAPVYDVPSAVEVLLTGSYERLPKSIEAVGMQSSLSEDQQKPALRKLDTLVRSKLLEVSLPKEISEVKVSNGTALLRVDGEFKVLVTLGYRGHLSMWRILHLELLVGEGSGLVKLEEMRRHALGDDLERRMSAAENPFNTLYSVLHELCVALVMDTVIRQVQALRQGRWKDAIRFELISDGGSGGSTQVNQDNESDSAGLRTPGLKLVYWLDFDKNSGASDSGACPYIKIEPGPDLQIKCQHSTFVIDPLTGKEAAFSLDQSCIDVEKLLLRAISCNRYTRLLEIQKELVKNVQICRATSDVVLHSQADEPDSEHKKKDAKLDNKEHEGQEVLRVRAYGSSYFTLGINIRNGRFLLQSSQNILSPSALLDCEEALNQGTMTAADVFTSLRSKSILHLFASIGRFLGLEVYEHGFAAVKVPKNLVNGSAVLVMGFPDCESSYFLLMELDKDFKPLFKLLETQPDPSGKGPSFNDLNNVLRIKKIDISQMQMLEDETNLSILDWGKLLSYLPNIGGPNQTSEHGLLSEFNLDSSMQISGGPSLSFSSIVDEVFETEKGTSATPFPSQNFSSFSSSPASHLGSVPMNIHGVKAGTPSPKWEVGLQVSQLNNVAKVSSPATHYGSSLYPSSGLKGSLQSSSFGSLSSGTGRGTSAKKLSTSKSDQDLASLRSNHSVELGALDEDQLRLLNDTSKDALSASRSSRLLSPPRPTVPRVSAQIAKPNGPRSSSSANLTASVRFAGSSPLASPPVSQAAETPICHGTSHDVAKHDKNPRKRTVSDMLSLIPSLQGIEADAGIRKRKKTSDVAYTQQPSSQVLISTEMINKTEVYSYGNLIAEANKGNAPSCIYVSALLHVVRHSSLCIKHARLTSQMEELDIPYVEEVGLRNASSNIWFRLPSARGDSWRHICLRLGRPGRMSWDVKINDQHFRDLWELQKGGNNTPWGSGVRIANTSDVDSHIRYDPDGVVLSYQSVEADSIKKLVADIRRLSNARMFALGMRKLLGVRADEKPDEGSANSDVKASVGGKGAVDVADKLSEQMRRSFKIEAVGLLSLWFCFGSGVLARFVVEWESGKEGCTMHVSPDQLWPHTKFLEDFIDGAEVASLLDCIRLTAGPLHALAAATRPARASPAPGVPGASAAVSSMPKQSGYIPSQGLLPSSSTTNVNQAASGPAGNPVASGSASSLGNHGLHGAGMLVAPPGRGGPGIVPSSLLPIDVSVVLRGPYWIRIIYRKRFAVDMRCFAGDQVWLQPATPPATPPAGGSSVGGSLPCPQFRPFIMEHVAQELNGLDSGFTSGQQTVGLANSNNPNLNSGPQLSANGNRVNLPTSAAMSRAANQVAGLNRVGNALPGSPNLAVVSSGLPIRRSPGSGVPAHVRGELNTAIIGLGDDGGYGGGWVPVVALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILKENEGTLLNLDLEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFNQQQQQQQQQNNANAQEELTQSEICEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGLAQTQGGDIAPAQKPRIELCLENHTGVNVDDSSESSSMTKSNIHYDRPHNSVDFALTVVLDPAHIPHINAAGGAAWLPYCISVRLRYSFGENPSVSFLGMEGSHGGRACWLRLDDWEKCKQRVARTVEVSGCTAGDAAQGRLRAVADHVQRALICAFKD >EOY09311 pep chromosome:Theobroma_cacao_20110822:5:28159920:28161494:-1 gene:TCM_024731 transcript:EOY09311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKAPATTNKSLEKDLKQADPCFAVEGDGTYLLILNKMGPPQHQLALSGQMSGSLHLQKFGKIFISFRLVVVDCDRLNEASQYVQPPNPLFFVTYVDKHLL >EOY11726 pep chromosome:Theobroma_cacao_20110822:5:40144842:40147538:1 gene:TCM_026811 transcript:EOY11726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrrolidone-carboxylate peptidase isoform 3 MGSEGPAAVTIHVTGFKKFHGVSENPTETIVSNLKEYTKKNGLPKGLILGSCSVLETAGQGALTPLYQTLQSALNGKDSESSSSGRIIWLHFGVNSGASRFAIEHQAVNEATFRCPDEMGWKPQKEPIIRADGGISRVRQTSLPVEEITKALAKKGYEVMTSDDAGRFVCNYVYYHSLCFAEQNGTKSLFVHVPLFLTIDEETQMKFAASLLEVLTSLCQ >EOY11728 pep chromosome:Theobroma_cacao_20110822:5:40145078:40147606:1 gene:TCM_026811 transcript:EOY11728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrrolidone-carboxylate peptidase isoform 3 MGSEGPAAVTIHVTGFKKFHGVSENPTETIVSNLKEYTKKNGLPKGLILGSCSVLETAGQGALTPLYQTLQSALNGKDSESSSSGRIIWLHFGVNSGASRFAIEHQAVNEATFRCPDEMGWKPQKEPIIRADGGISRVRQTSLPVEEITKALAKKGYEVMTSDDAGRFVCNYVYYHSLCFAEQNGTKSLFVHVPLFLTIDEETQMKFAASLLEVLTSLCQ >EOY11727 pep chromosome:Theobroma_cacao_20110822:5:40144838:40147606:1 gene:TCM_026811 transcript:EOY11727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrrolidone-carboxylate peptidase isoform 3 MGSEGPAAVTIHVTGFKKFHGVSENPTETIVSNLKEYTKKNGLPKGLILGSCSVLETAGQGALTPLYQTLQSALNGKDSESSSSGRIIWLHFGVNSGASRFAIEHQAVNEATFRCPDEMGWKPQQKEPIIRADGGISRVRQTSLPVEEITKALAKKGYEVMTSDDAGRFVCNYVYYHSLCFAEQNGTKSLFVHVPLFLTIDEETQMKFAASLLEVLTSLCQ >EOY11725 pep chromosome:Theobroma_cacao_20110822:5:40144872:40147483:1 gene:TCM_026811 transcript:EOY11725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrrolidone-carboxylate peptidase isoform 3 MGSEGPAAVTIHVTGFKKFHGVSENPTETIVSNLKEYTKKNGLPKGLILGSCSVLETAGQGALTPLYQTLQSALNGKDSESSSSGRIIWLHFGVNSGASRFAIEHQAVNEATFRCPDEMGWKPQKEPIIRADGGISRVRQTSLPVEEITKALAKKGYEVMTSDDAGRFVCNYVYYHSLCFAEQNGTKSLFVHVPLFLTIDEETQMKFAASLLEVLTSLCQ >EOY10609 pep chromosome:Theobroma_cacao_20110822:5:35574362:35576649:-1 gene:TCM_025931 transcript:EOY10609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L.8/L5e family protein isoform 5 MLRQAIGKVLSERVVTNSGDKLLLPCLYLPTSSFHAGQVHCAPRSFFGVEDFLDDDNSRPYTYKKEKKSKNPSKHVSFKQRTVAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACLAIGRILADRAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >EOY10610 pep chromosome:Theobroma_cacao_20110822:5:35574707:35575565:-1 gene:TCM_025931 transcript:EOY10610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L.8/L5e family protein isoform 5 MVEMKREQMREGIYISCSCISIYLIWYLVQVHCAPRSFFGVEDFLDDDNSRPYTYKKEKKSKNPSKHVSFKQRTVAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACLAIGRILADRAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >EOY10607 pep chromosome:Theobroma_cacao_20110822:5:35574410:35576054:-1 gene:TCM_025931 transcript:EOY10607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L.8/L5e family protein isoform 5 MLDSKTFNMARMEGSKYGRDEKRTDERRHLHKLFVHLHLFDLVHCAPRSFFGVEDFLDDDNSRPYTYKKEKKSKNPSKHVSFKQRTVAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACLAIGRILADRAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >EOY10606 pep chromosome:Theobroma_cacao_20110822:5:35574492:35576240:-1 gene:TCM_025931 transcript:EOY10606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L.8/L5e family protein isoform 5 MFSLSFFFLSGVVCKMLRQAIGKVLSERVVTNSGDKLLLPCLYLPTSSFHAGQVHCAPRSFFGVEDFLDDDNSRPYTYKKEKKSKNPSKHVSFKQRTVAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACLAIGRILADRAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >EOY10608 pep chromosome:Theobroma_cacao_20110822:5:35574707:35576142:-1 gene:TCM_025931 transcript:EOY10608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L.8/L5e family protein isoform 5 MLRQAIGKVLSERVVTNSGDKLLLPCLYLPTSSFHAGQVHCAPRSFFGVEDFLDDDNSRPYTYKKEKKSKNPSKHVSFKQRTVAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLRSRSDIPACLAIGRILADRAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDIKVYLD >EOY07983 pep chromosome:Theobroma_cacao_20110822:5:3710871:3726377:1 gene:TCM_022305 transcript:EOY07983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 4 MVKAYLRYEPAVAFGVIVSVESNITYDSSGKHLFAPALEKLGVWHVRQGVCTKTLTPSPSSRGSSLAVTYVASSRSSLVASGYADGSIRIWDGDKGSCETTLNGHKGAVTALRYNKVGSLLASGSKDNDVILWDVVGETGLFRLRGHRDQVTDLVFLDSGKKLVSSSKDKFLRVWDLETQHCMQIVSGHHSEIWSIDVDPEERYLVAGSADLELRFYAVKHDSTNGESMLNVSGAENEKNGELSTENKWEVLKHFGEIQRQSKDRVATVRFNKSGNLLACQVAGKTVEIFRVLDEAESKRKAKRRINRKKEKKSTKVVAEATENVEAKYGTEEAGSFPVVTVPDVFKLLQTVRASKKICSISFCPITPKKSLASLALSLNNNLLEFYSIESGASTKTLAIELQGHRSDVRSVTLSSDNSLLMSTSHNAVKIWNPSTGSCLRTIDSGYGLCGLIVPHNKYAIVGTKDGIIEIIDVGSGTCSEGVEAHGGSVRSIAAIPNENGFVSGSADHDVKFWEYQLKQKPGQDSKCFTVSNVRTLKMNDDVLVVAVSPDAKYVAAALLDCTVKVFFMDSLKFFLSLYGHRLPVLCMDISSDGELIVTGSADKNLKIWGLDFGDCHKSIFAHADSVMAVQFVRNTHYMFSAGKDRLVKYWDADKFELLLTLEGHLADVWCLAISSRGDFVVTGSHDRSIRRWDRTEEPFFIEEEKEKRLEEMFDADIDNAFENRYAPKEELPEEGAVALAGKKTQETLTATDSIIDALDVAEMELKHIAEHEEEKTRGKVADFEPNMIMLGLSPSDYVLRALSNINANDLEQTLLALPFSDALKLLSYSKDWTSNPDKVELVCRIVTMLLRMHHSQLISTPSARPVLTVLKEILYARVKECKDTIGFNLAAMDHLKQLMASRSDALFRDAKSKLLEIRSQQSKRLEARTETKTEKRKKKKQKK >EOY07985 pep chromosome:Theobroma_cacao_20110822:5:3719700:3726734:1 gene:TCM_022305 transcript:EOY07985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 4 MVKAYLRYEPAVAFGVIVSVESNITYDSSGKHLFAPALEKLGVWHVRQGVCTKTLTPSPSSRGSSLAVTYVASSRSSLVASGYADGSIRIWDGDKGSCETTLNGHKGAVTALRYNKVGSLLASGSKDNDVILWDVVGETGLFRLRGHRDQVTDLVFLDSGKKLVSSSKDKFLRVWDLETQHCMQIVSGHHSEIWSIDVDPEERYLVAGSADLELRFYAVKHDSTNGESMLNVSGAENEKNGELSTENKWEVLKHFGEIQRQSKDRVATVRFNKSGNLLACQVAGKTVEIFRVLDEAESKRKAKRRINRKKEKKSTKVVAEATENVEAKYGTEEAGSFPVVTVPDVFKLLQTVRASKKICSISFCPITPKKSLASLALSLNNNLLEFYSIESGASTKTLAIELQGHRSDVRSVTLSSDNSLLMSTSHNAVKIWNPSTGSCLRTIDSGYGLCGLIVPHNKYAIVGTKDGIIEIIDVGSGTCSEGVEAHGGSVRSIAAIPNENGFVSGSADHDVKFWEYQLKQKPGQDSKCFTVSNVRTLKMNDDVLVVAVSPDAKYVAAALLDCTVKVFFMDSLKFFLSLYGHRLPVLCMDISSDGELIVTGSADKNLKIWGLDFGDCHKSIFAHADSVMAVQFVRNTHYMFSAGKDRLVKYWDADKFELLLTLEGHLADVWCLAISSRGDFVVTGSHDRSIRRWDRTEEPFFIEEEKEKRLEEMFDADIDNAFENRYAPKEELPEEGAVALAGKKTQETLTATDSIIDALDVAEMELKHIAEHEEEKTRGKVADFEPNMIMLGLSPSDYVLRALSNINANDLEQTLLALPFSDALKLLSYSKDWTSNPDKVELVCRIVTMLLRMHHSQLISTPSARPVLTVLKEILYARVKECKDTIGFNLAAMDHLKSN >EOY07987 pep chromosome:Theobroma_cacao_20110822:5:3719813:3726603:1 gene:TCM_022305 transcript:EOY07987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 4 ESNITYDSSGKHLFAPALEKLGVWHVRQGVCTKTLTPSPSSRGSSLAVTYVASSRSSLVASGYADGSIRIWDGDKGSCETTLNGHKGAVTALRYNKVGSLLASGSKDNDVILWDVVGETGLFRLRGHRDQVTDLVFLDSGKKLVSSSKDKFLRVWDLETQHCMQIVSGHHSEIWSIDVDPEERYLVAGSADLELRFYAVKHDSTNGESMLNVSGAENEKNGELSTENKWEVLKHFGEIQRQSKDRVATVRFNKSGNLLACQVAGKTVEIFRVLDEAESKRKAKRRINRKKEKKSTKVVAEATENVEAKYGTEEAGSFPVVTVPDVFKLLQTVRASKKICSISFCPITPKKSLASLALSLNNNLLEFYSIESGASTKTLAIELQGHRSDVRSVTLSSDNSLLMSTSHNAVKIWNPSTGSCLRTIDSGYGLCGLIVPHNKYAIVGTKDGIIEIIDVGSGTCSEGVEAHGGSVRSIAAIPNENGFVSGSADHDVKFWEYQLKQKPGQDSKCFTVSNVRTLKMNDDVLVVAVSPDAKYVAAALLDCTVKVFFMDSLKFFLSLYGHRLPVLCMDISSDGELIVTGSADKNLKIWGLDFGDCHKSIFAHADRDMHLRKNSQRRELLH >EOY07984 pep chromosome:Theobroma_cacao_20110822:5:3719700:3726741:1 gene:TCM_022305 transcript:EOY07984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 4 MVKAYLRYEPAVAFGVIVSVESNITYDSSGKHLFAPALEKLGVWHVRQGVCTKTLTPSPSSRGSSLAVTYVASSRSSLVASGYADGSIRIWDGDKGSCETTLNGHKGAVTALRYNKVGSLLASGSKDNDVILWDVVGETGLFRLRGHRDQVTDLVFLDSGKKLVSSSKDKFLRVWDLETQHCMQIVSGHHSEIWSIDVDPEERYLVAGSADLELRFYAVKHDSTNGESMLNVSGAENEKNGELSTENKWEVLKHFGEIQRQSKDRVATVRFNKSGNLLACQVAGKTVEIFRVLDEAESKRKAKRRINRKKEKKSTKVVAEATENVEAKYGTEEAGSFPVVTVPDVFKLLQTVRASKKICSISFCPITPKKSLASLALSLNNNLLEFYSIESGASTKTLAIELQGHRSDVRSVTLSSDNSLLMSTSHNAVKIWNPSTGSCLRTIDSGYGLCGLIVPHNKYAIVGTKDGIIEIIDVGSGTCSEGVEAHGGSVRSIAAIPNENGFVSGSADHDVKFWEYQLKQKPGQDSKCFTVSNVRTLKMNDDVLVVAVSPDAKYVAAALLDCTVKVFFMDSLKFFLSLYGHRLPVLCMDISSDGELIVTGSADKNLKIWGLDFGDCHKSIFAHADSVMAVQFVRNTHYMFSAGKDRLVKYWDADKFELLLTLEGHLADVWCLAISSRGDFVVTGSHDRSIRRWDRTEEPFFIEEEKEKRLEEMFDADIDNAFENRYAPKEELPEEGAVALAGKKTQETLTATDSIIDALDVAEMELKHIAEHEEEKTRGKVADFEPNMIMLGLSPSDYVLRALSNINANDLEQTLLALPFSDALKLLSYSKDWTSNPDKVLSWFAGLLQCCCGCIIVS >EOY07986 pep chromosome:Theobroma_cacao_20110822:5:3719813:3727109:1 gene:TCM_022305 transcript:EOY07986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 4 ESNITYDSSGKHLFAPALEKLGVWHVRQGVCTKTLTPSPSSRGSSLAVTYVASSRSSLVASGYADGSIRIWDGDKGSCETTLNGHKGAVTALRYNKVGSLLASGSKDNDVILWDVVGETGLFRLRGHRDQVTDLVFLDSGKKLVSSSKDKFLRVWDLETQHCMQIVSGHHSEIWSIDVDPEERYLVAGSADLELRFYAVKHDSTNGESMLNVSGAENEKNGELSTENKWEVLKHFGEIQRQSKDRVATVRFNKSGNLLACQVAGKTVEIFRVLDEAESKRKAKRRINRKKEKKSTKVVAEATENVEAKYGTEEAGSFPVVTVPDVFKLLQTVRASKKICSISFCPITPKKSLASLALSLNNNLLEFYSIESGASTKTLAIELQGHRSDVRSVTLSSDNSLLMSTSHNAVKIWNPSTGSCLRTIDSGYGLCGLIVPHNKYAIVGTKDGIIEIIDVGSGTCSEGVEAHGGSVRSIAAIPNENGFVSGSADHDVKFWEYQLKQKPGQDSKCFTVSNVRTLKMNDDVLVVAVSPDAKYVAAALLDCTVKVFFMDSLKFFLSLYGHRLPVLCMDISSDGELIVTGSADKNLKIWGLDFGDCHKSIFAHADRDMHLRKNSQRRELLH >EOY07305 pep chromosome:Theobroma_cacao_20110822:5:1138797:1140121:1 gene:TCM_021771 transcript:EOY07305 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein MPRDSDQPLFHYSLLGLYLIVLPTWISLKFIQAPYGKHNRPGWGPTLSPSLAWFLMESPTLWLTVLLFPFGRHFSNPKSFILMSPYLFHYFNRTVLYPLRLSRSSNGQARSFPVSIALMAFGFNLLNAYLQARWVSHYKDDYENDKLFWLRFLVGLLVFIAGMWVNVWADSVLVGLKKQGGGGYKVPRGGLFDLVSCPNYFGEIMEWLGWAVMTWSCVGFGFFLYTCANLVPRARASRRWYLEKFKDDYPKHRKAVIPFLY >EOY09573 pep chromosome:Theobroma_cacao_20110822:5:29939051:29939902:1 gene:TCM_024990 transcript:EOY09573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRDDVGPKVTFNHGYENESRFVEEDLNPNASSFYSLLSNAKEPLWSGCTKHTTLSAVSQLLNVKLKYNLSESCFVRLLEIIKNMLPSDEIYLLTFIE >EOY08022 pep chromosome:Theobroma_cacao_20110822:5:4047666:4049388:1 gene:TCM_022347 transcript:EOY08022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSHDSKANLFCTKNPFNQAAASTQKLWPLAYKYIGKIPKYQIQNKITRKWMQHNLTRPGESFLEPCKSYNEVKRDDEEAEMPKDRSCPIRETALEEGDSVSTISIDSHCPWKRLVGNDKKKTENERNCITITKKPEALAPLPWWFEHLKPLAGVA >EOY07788 pep chromosome:Theobroma_cacao_20110822:5:2695588:2698762:-1 gene:TCM_022117 transcript:EOY07788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MLGKMATDRHHCCSSFIFLLLPLLLQFHSGKSDLQLNYYAESCPNAEEIIKQEVIKLYDKHGNTAVSWVRNLFHDCMVKSCDASLLLETVNGIQSEQLSDRSFGMRNFKYVKTIKQALEKECPMTVSCADIVSLSARDGIVLLGGPRIEMKTGRKDSKESYLTEVENTIPNHNDTMELVLSRFQSIGIDTEGTVALLGAHSVGRVHCVNLVHRLYPTVDPTLDPHYAEYLKGRCPTPDPNPKAVLYARNDRKTPMILDNMYYKNLLEHKGLLLVDQQLTSDPTTSPFVEKMAADNGYFHDQFARAVLLLAENNPLTGDQGEVRKDCRYVNSD >EOY10773 pep chromosome:Theobroma_cacao_20110822:5:36381067:36384226:1 gene:TCM_026072 transcript:EOY10773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSWSCGLASRLMDSWSCGLSSSWSGTSKSGSWLQEVSNSLSFEFGLANQISTCIHVWGLDLLDESFG >EOY07094 pep chromosome:Theobroma_cacao_20110822:5:418796:420542:-1 gene:TCM_021607 transcript:EOY07094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 1 MATDASPQYRPVPLPPEFHSDISPSHPPTHDGLHFWQFMIAGSIAGTVEHMAMFPVDTLKTRMQAIGASCSVQPIGVRQALGSILKLEGPSGLYRGIAAMGLGAGPAHAVYFSVYELSKQALSRGDPNNSMVHAASGVVATVTSDAVFTPMDMVKQRLQLKSSPYKGVADCVRRVLMEEGIGAFYASYRTTVVMNAPFTAVHFATYEAAKRGLMEVSPDSTDDERLAVHATAGAAAGALAAAVTTPLDVVKTQLQCQVNSLFSSSSIGNVIRTIVKKDGYRGLMRGWIPRMLFHAPAAAICWSTYEASKTFFQELNSSYN >EOY07093 pep chromosome:Theobroma_cacao_20110822:5:418981:420654:-1 gene:TCM_021607 transcript:EOY07093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 1 MATDASPQYRPVPLPPEFHSDISPSHPPTHDGLHFWQFMIAGSIAGTVEHMAMFPVDTLKTRMQAIGASCSVQPIGVRQALGSILKLEGPSGLYRGIAAMGLGAGPAHAVYFSVYELSKQALSRGDPNNSMVHAASGVVATVTSDAVFTPMDMVKQRLQLKSSPYKGVADCVRRVLMEEGIGAFYASYRTTVVMNAPFTAVHFATYEAAKRGLMEVSPDSTDDERLAVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCDRFSSSSIGNVIRTIVKKDGYRGLMRGWIPRMLFHAPAAAICWSTYEASKTFFQELNSSYN >EOY10026 pep chromosome:Theobroma_cacao_20110822:5:32336714:32345769:-1 gene:TCM_025397 transcript:EOY10026 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMV resistance N-like protein MSATKGCASSANPDSLVFFFNGCFNGPPPGVLLANSRCTYDVFLSFRRVDTCKNFADHLYMTLVHVGIHTFRDDDEIERGEKRRDEIERAIYESKISIIIFLKNYTSSTWSLNELVKIMEHRKFSKHIVLPIFYDVNPSQVKEQTGSFAEAFARHEESFKSDMDTVQRWRAALREVADLGGMLLEDRYESHFIQDIIKQIQNKLHRTTFYAPPYLVGIDFLVTCINWWFGDGQIRFLLVVNEKNKLMMHQMIRDMGRNITRQESPNLAKRSRLWQKDAFDALREKIGTKIIKCLTIDLQRLLKEKYGKTITNKKNPLPMSNEVDIEIDAFANMQRLELVQLDYVKLKRDYKDFPKSLIWLSWYGFAQEYLPTNLDISKLVVLEMCNSSLKRVWNDSKVFHEGLITSCFVFESGVPISFEHRCKGSKIYFSLAQNPCEKVSWLNLCIEYSFISNDIFEFPPSVYIVNETKELMWAYVLYDCGIISIYDKYSSPEDRCGGSHHVVGNKVSLVVVRSSGQHIGYLNLVAILFAGDDKIFDFLSHIEIVNKTKDTKWIHHKRFIGISKAKNNIYWFNLWRFLDEFEDGDQVSFTIFFNLCVKSCGINLKYEPDDDLLHKRNFSYQQLPVKSLDKLE >EOY07722 pep chromosome:Theobroma_cacao_20110822:5:2515458:2519235:1 gene:TCM_022077 transcript:EOY07722 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2.7 isoform 1 MMLDLNLSVISGGDDSVEMAGEALPDFSGYNNKQMENSGSFDSSIVNADTTTTTGDDDSSSNAAAADTFAYSFGILKTTEKRENNQRTIPLFPVNVQGGGGKSTGSSQKEWLDLGKSREASEQHCGAAEQRIAAQQQQQVQLKQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNVNDYEEDVKQMSNFTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAAIKCNGREAVTNFEPSTYEGELISESDNGDRNQGLDLNLGIAPPYTSDPQKENNNSDNFAIQRGWDGLPVETGARIDNSAPATMRVQPSLGQAMAFKHLQNWNGANPSFFPVYRERAIEKRMEVDYAPNWAWQIQGLYGGANPVPLFPTAASSGFSSSTITSPSAAAGQLCIPNTTFLHRHHFPPAITNPNISHFYCQS >EOY07723 pep chromosome:Theobroma_cacao_20110822:5:2515458:2519235:1 gene:TCM_022077 transcript:EOY07723 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2.7 isoform 1 MMLDLNLSVISGGDDSVEMAGEALPDFSGYNNKQMENSGSFDSSIVNADTTTTTGDDDSSSNAAAADTFAYSFGILKTTEKRENNQRTIPLFPVNVQGGGGKSTGSSQKEWLDLGKSREASEQHCGAAEQRIAAQQQQQVQLKQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNVNDYEEDVKQMSNFTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYEGELISESDNGDRNQGLDLNLGIAPPYTSDPQKENNNSDNFAIQRGWDGLPVETGARIDNSAPATMRVQPSLGQAMAFKHLQNWNGANPSFFPVYRERAIEKRMEVDYAPNWAWQIQGLYGGANPVPLFPTAASSGFSSSTITSPSAAAGQLCIPNTTFLHRHHFPPAITNPNISHFYCQS >EOY08682 pep chromosome:Theobroma_cacao_20110822:5:17553667:17563557:-1 gene:TCM_023699 transcript:EOY08682 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein, putative isoform 1 MALYSDHKPKRLYRVWKGSNRFFCGGRLIFGPDVASLFLSTLLIAGPAIAFCIRMYIKIRDENTKHVDRCYPILVVGAILSALDLTFLCFTSGRDPGIVPRNSKPPESDEAFDLTTPSMEWDNGRTPHLKLPRTKDVIVNGHCVKVKYCDTCLLYRPPRASHCSICNNCVMRFDHHCPWVGQCIGIRNYRFFFLFISTSTILCIYVFVFSWINVLEKEIGNHSNIWKAMSHDILSVFLIVYCFIAVWFVGGLTIFHFYLICTNQTTYENFRYRYDKKENPYNKGTARNLGEIFFSKIPPSLNKFRSFVEESEHMVLGSVTPNFVEGRISSKEKIDIEMGNRLAEDSGNSLPEILRNLDYVDLEDELKTKQEAGTSFDPFFLDEQDVKKSVEISIAGDDIVRTEQSSASEKGVREFLQNDTTDDGMRSVQTSTPGNEADTTEKNVECCNSHPTIE >EOY08681 pep chromosome:Theobroma_cacao_20110822:5:17552989:17563976:-1 gene:TCM_023699 transcript:EOY08681 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein, putative isoform 1 MALYSDHKPKRLYRVWKGSNRFFCGGRLIFGPDVASLFLSTLLIAGPAIAFCIRMYIKIRDENTKHVDRCYPILVVGAILSALDLTFLCFTSGRDPGIVPRNSKPPESDEAFDLTTPSMEWDNGRTPHLKLPRTKDVIVNGHCVKVKYCDTCLLYRPPRASHCSICNNCVMRFDHHCPWVGQCIGIRNYRFFFLFISTSTILCIYVFVFSWINVLEKEIGNHSNIWKAMSHDILSVFLIVYCFIAVWFVGGLTIFHFYLICTNQTTYENFRYRYDKKENPYNKGTARNLGEIFFSKIPPSLNKFRSFVEESEHMVLGSVTPNFVEGRISSKEKIDIEMGNRLAEDSGNSLPEILRNLDYVDLEDELKTKQEAGTSFDPFFLDEQDVKKSVEISIAGDDIVRTEQSSASEKGVREFLQNDTTDDGMRSVQTSTPGNEADTTEKNVECCNSHPTIE >EOY10191 pep chromosome:Theobroma_cacao_20110822:5:33415212:33427633:-1 gene:TCM_025557 transcript:EOY10191 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 2 MAAATAAAVVVRRKERLVEELYNATGIIYEPEITPILRGIYCMQGPADEIEQSIQKNMAPPKTVRKLVRRYMSQKVYTKAESGTCNVCSAPCSSCMHLSTPQMESKSEEFSDDTDRVAVASQYSINEDKAGDSLQPTPSEASNLLSVNSSHDSYSENIESKATIRPSNVSDASEDVEIQRTFSNAYDGSKGVEGHDDNISCASRASDENAASSYCNKDLDSKNSSRSSASVSSLGSGKVLSSQKLELSELPSIKEEVDAGSTSLRMQSPHSHSQSGKSAVGGSSEISTKIHSKLEADIDSNSGDPADKTDKSLNEDEQDKLNELVELPDKQESPSQAVSGDESYESDATEHDVKVCDICGDAGREDLLAICSKCADGAEHTYCMREMLQKVPEGDWLCEECKLAEETESQKQGSDAEGKRANKLSSGTQSLGKRHAENQEGSSAPKRQAVETNMASPKSLSPSRVAALSREGSFKNLDKGKMRPSPQISLGNHSGSDMPETARSPTSGPRLQTPKGTLLKSNSFNNLNIKPKVKLVDEVVLQKQKGAREHASLDSKEESARMMGKSMSFKSTNSGRLNTGESKFKMLSSKYSHVQDLKGLKQVKERISLERKNFSKLDRSSSTVSTPKVDQKQTPRADTISNSSASNNRESKVVQSDGKPSTLSRSTSSLARKVVENAVTSAVGVSSTNGRISSEQKLNLVSPKEEPSSSSSWTAERQPNNVNGVMSDGLSRSLDSTNQSEKSRESSVGRSRSVPCLKCKEMGHTAEYCSVPQVSAADMSAPRTSREEINKGNKLKAAIEAAIRMRPGICERPPQDQSPFSNKAKNMIAVEGAHEAQTNVQNQASIGNQKLLNSHSTDAVSVVSSVGNLSMRDISVPLLATVSAITKMSAIPEHEYIWQGAFEVHKSGKLPDFCGGIQAHLSTLASPKVLEVVNTFPHKVSLNEVPRLSTWPAQFHDSGPKEDNIALYFFAKDPESYEKNYKVLLETMVKNDLALKGNFEGVELLIFPSNLLPENCQRWNTLFFLWGVFKGRRVNCSNSSKSACIPDASMVRLEGEVSTDIPQPVENEPAACDSSCNVVPVTSTAEKTCILTDKVGDDKVSSLEQTYVGIKAKLEEQDSKIDSRFLSRIATSSTQVHPEMKCTSSPVEESKFPDCRFDTELKPCLQATETNSGSVKVEKEEVHVREDYPSLKNLPTGKQEAIVVGKIDGDCVRIRDSKDDGYGDGKISSKRDFDSWQLNHRKRPFLDLTETVSEISTDSSQKMPWSEVKRVSVVGVSDNKKLKTGFSGIYQDSSPRDQGPFTDSLASDRHDLGSCSSVEEKICDIACVEKVIPEDLGSSERFFFPMDSHHGREFRLVDNSKPWKEFSAKDEDQAHDVFPNLELALGAETRPPNKGILPFFVGTVDKNSNQDRPLDKVRGKEEEDDVPASLSLSLSFPFPEKEQSLKSVSKTEQLLPERHPVNTSLLLFGGFPDK >EOY10193 pep chromosome:Theobroma_cacao_20110822:5:33416122:33424343:-1 gene:TCM_025557 transcript:EOY10193 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 2 MSQKVYTKAESGTCNVCSAPCSSCMHLSTPQMESKSEEFSDDTDRVAVASQYSINEDKAGDSLQPTPSEASNLLSVNSSHDSYSENIESKATIRPSNVSDASEDVEIQRTFSNAYDGSKGVEGHDDNISCASRASDENAASSYCNKDLDSKNSSRSSASVSSLGSGKVLSSQKLELSELPSIKEEVDAGSTSLRMQSPHSHSQSGKSAVGGSSEISTKIHSKLEADIDSNSGDPADKTDKSLNEDEQDKLNELVELPDKQESPSQAVSGDESYESDATEHDVKVCDICGDAGREDLLAICSKCADGAEHTYCMREMLQKVPEGDWLCEECKLAEETESQKQGSDAEGKRANKLSSGTQSLGKRHAENQEGSSAPKRQAVETNMASPKSLSPSRVAALSREGSFKNLDKGKMRPSPQISLGNHSGSDMPETARSPTSGPRLQTPKGTLLKSNSFNNLNIKPKVKLVDEVVLQKQKGAREHASLDSKEESARMMGKSMSFKSTNSGRLNTGESKFKMLSSKYSHVQDLKGLKQVKERISLERKNFSKLDRSSSTVSTPKVDQKQTPRADTISNSSASNNRESKVVQSDGKPSTLSRSTSSLARKVVENAVTSAVGVSSTNGRISSEQKLNLVSPKEEPSSSSSWTAERQPNNVNGVMSDGLSRSLDSTNQSEKSRESSVGRSRSVPCLKCKEMGHTAEYCSVPQVSAADMSAPRTSREEINKGNKLKAAIEAAIRMRPGICERPPQDQSPFSNKAKNMIAVEGAHEAQTNVQNQASIGNQKLLNSHSTDAVSVVSSVGNLSMRDISVPLLATVSAITKMSAIPEHEYIWQGAFEVHKSGKLPDFCGGIQAHLSTLASPKVLEVVNTFPHKVSLNEVPRLSTWPAQFHDSGPKEDNIALYFFAKDPESYEKNYKVLLETMVKNDLALKGNFEGVELLIFPSNLLPENCQRWNTLFFLWGVFKGRRVNCSNSSKSACIPDASMVRLEGEVSTDIPQPVENEPAACDSSCNVVPVTSTAEKTCILTDKVGDDKVSSLEQTYVGIKAKLEEQDSKIDSRFLSRIATSSTQVHPEMKCTSSPVEESKFPDCRFDTELKPCLQATETNSGSVKVEKEEVHVREDYPSLKNLPTGKQEAIVVGKIDGDCVRIRDSKDDGYGDGKISSKRDFDSWQLNHRKRPFLDLTETVSEISTDSSQKMPWSEVKRVSVVGVSDNKKLKTGFSGIYQDSSPRDQGPFTDSLASDRHDLGSCSSVEEKICDIACVEKVIPEDLGSSERFFFPMDSHHGREFRLVDNSKPWKEFSAKDEDQAHDVFPNLELALGAETRPPNKGILPFFVGTVDKNSNQDRPLDKVRGKEEEDDVPASLSLSLSFPFPEKEQSLKSVSKTEQLLPERHPVNTSLLLFGGFPDK >EOY10194 pep chromosome:Theobroma_cacao_20110822:5:33415212:33427633:-1 gene:TCM_025557 transcript:EOY10194 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 2 MQGPADEIEQSIQKNMAPPKTVRKLVRRYMSQKVYTKAESGTCNVCSAPCSSCMHLSTPQMESKSEEFSDDTDRVAVASQYSINEDKAGDSLQPTPSEASNLLSVNSSHDSYSENIESKATIRPSNVSDASEDVEIQRTFSNAYDGSKGVEGHDDNISCASRASDENAASSYCNKDLDSKNSSRSSASVSSLGSGKVLSSQKLELSELPSIKEEVDAGSTSLRMQSPHSHSQSGKSAVGGSSEISTKIHSKLEADIDSNSGDPADKTDKSLNEDEQDKLNELVELPDKQESPSQAVSGDESYESDATEHDVKVCDICGDAGREDLLAICSKCADGAEHTYCMREMLQKVPEGDWLCEECKLAEETESQKQGSDAEGKRANKLSSGTQSLGKRHAENQEGSSAPKRQAVETNMASPKSLSPSRVAALSREGSFKNLDKGKMRPSPQISLGNHSGSDMPETARSPTSGPRLQTPKGTLLKSNSFNNLNIKPKVKLVDEVVLQKQKGAREHASLDSKEESARMMGKSMSFKSTNSGRLNTGESKFKMLSSKYSHVQDLKGLKQVKERISLERKNFSKLDRSSSTVSTPKVDQKQTPRADTISNSSASNNRESKVVQSDGKPSTLSRSTSSLARKVVENAVTSAVGVSSTNGRISSEQKLNLVSPKEEPSSSSSWTAERQPNNVNGVMSDGLSRSLDSTNQSEKSRESSVGRSRSVPCLKCKEMGHTAEYCSVPQVSAADMSAPRTSREEINKGNKLKAAIEAAIRMRPGICERPPQDQSPFSNKAKNMIAVEGAHEAQTNVQNQASIGNQKLLNSHSTDAVSVVSSVGNLSMRDISVPLLATVSAITKMSAIPEHEYIWQGAFEVHKSGKLPDFCGGIQAHLSTLASPKVLEVVNTFPHKVSLNEVPRLSTWPAQFHDSGPKEDNIALYFFAKDPESYEKNYKVLLETMVKNDLALKGNFEGVELLIFPSNLLPENCQRWNTLFFLWGVFKGRRVNCSNSSKSACIPDASMVRLEGEVSTDIPQPVENEPAACDSSCNVVPVTSTAEKTCILTDKVGDDKVSSLEQTYVGIKAKLEEQDSKIDSRFLSRIATSSTQVHPEMKCTSSPVEESKFPDCRFDTELKPCLQATETNSGSVKVEKEEVHVREDYPSLKNLPTGKQEAIVVGKIDGDCVRIRDSKDDGYGDGKISSKRDFDSWQLNHRKRPFLDLTETVSEISTDSSQKMPWSEVKRVSVVGVSDNKKLKTGFSGIYQDSSPRDQGPFTDSLASDRHDLGSCSSVEEKICDIACVEKVIPEDLGSSERFFFPMDSHHGREFRLVDNSKPWKEFSAKDEDQAHDVFPNLELALGAETRPPNKGILPFFVGTVDKNSNQDRPLDKVRGKEEEDDVPASLSLSLSFPFPEKEQSLKSVSKTEQLLPERHPVNTSLLLFGGFPDK >EOY10196 pep chromosome:Theobroma_cacao_20110822:5:33416122:33424343:-1 gene:TCM_025557 transcript:EOY10196 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 2 MSQKVYTKAESGTCNVCSAPCSSCMHLSTPQMESKSEEFSDDTDRVAVASQYSINEDKAGDSLQPTPSEASNLLSVNSSHDSYSENIESKATIRPSNVSDASEDVEIQRTFSNAYDGSKGVEGHDDNISCASRASDENAASSYCNKDLDSKNSSRSSASVSSLGSGKVLSSQKLELSELPSIKEEVDAGSTSLRMQSPHSHSQSGKSAVGGSSEISTKIHSKLEADIDSNSGDPADKTDKSLNEDEQDKLNELVELPDKQESPSQAVSGDESYESDATEHDVKVCDICGDAGREDLLAICSKCADGAEHTYCMREMLQKVPEGDWLCEECKLAEETESQKQGSDAEGKRANKLSSGTQSLGKRHAENQEGSSAPKRQAVETNMASPKSLSPSRVAALSREGSFKNLDKGKMRPSPQISLGNHSGSDMPETARSPTSGPRLQTPKGTLLKSNSFNNLNIKPKVKLVDEVVLQKQKGAREHASLDSKEESARMMGKSMSFKSTNSGRLNTGESKFKMLSSKYSHVQDLKGLKQVKERISLERKNFSKLDRSSSTVSTPKVDQKQTPRADTISNSSASNNRESKVVQSDGKPSTLSRSTSSLARKVVENAVTSAVGVSSTNGRISSEQKLNLVSPKEEPSSSSSWTAERQPNNVNGVMSDGLSRSLDSTNQSEKSRESSVGRSRSVPCLKCKEMGHTAEYCSVPQVSAADMSAPRTSREEINKGNKLKAAIEAAIRMRPGICERPPQDQSPFSNKAKNMIAVEGAHEAQTNVQNQASIGNQKLLNSHSTDAVSVVSSVGNLSMRDISVPLLATVSAITKMSAIPEHEYIWQGAFEVHKSGKLPDFCGGIQAHLSTLASPKVLEVVNTFPHKVSLNEVPRLSTWPAQFHDSGPKEDNIALYFFAKDPESYEKNYKVLLETMVKNDLALKGNFEGVELLIFPSNLLPENCQRWNTLFFLWGVFKGRRVNCSNSSKSACIPDASMVRLEGEVSTDIPQPVENEPAACDSSCNVVPVTSTAEKTCILTDKVGDDKVSSLEQTYVGIKAKLEEQDSKIDSRFLSRIATSSTQVHPEMKCTSSPVEESKFPDCRFDTELKPCLQATETNSGSVKVEKEEVHVREDYPSLKNLPTGKQEAIVVGKIDGDCVRIRDSKDDGYGDGKISSKRDFDSWQLNHRKRPFLDLTETVSEISTDSSQKMPWSEVKRVSVVGVSDNKKLKTGFSGIYQDSSPRDQGPFTDSLASDRHDLGSCSSVEEKICDIACVEKVIPEDLGSSERFFFPMDSHHGREFRLVDNSKPWKEFSAKDEDQAHDVFPNLELALGAETRPPNKGILPFFVGTVDKNSNQDRPLDKVRGKEEEDDVPASLSLSLSFPFPEKEQSLKSVSKTEQLLPERHPVNTSLLLFGGFPDK >EOY10195 pep chromosome:Theobroma_cacao_20110822:5:33416122:33424343:-1 gene:TCM_025557 transcript:EOY10195 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 2 MSQKVYTKAESGTCNVCSAPCSSCMHLSTPQMESKSEEFSDDTDRVAVASQYSINEDKAGDSLQPTPSEASNLLSVNSSHDSYSENIESKATIRPSNVSDASEDVEIQRTFSNAYDGSKGVEGHDDNISCASRASDENAASSYCNKDLDSKNSSRSSASVSSLGSGKVLSSQKLELSELPSIKEEVDAGSTSLRMQSPHSHSQSGKSAVGGSSEISTKIHSKLEADIDSNSGDPADKTDKSLNEDEQDKLNELVELPDKQESPSQAVSGDESYESDATEHDVKVCDICGDAGREDLLAICSKCADGAEHTYCMREMLQKVPEGDWLCEECKLAEETESQKQGSDAEGKRANKLSSGTQSLGKRHAENQEGSSAPKRQAVETNMASPKSLSPSRVAALSREGSFKNLDKGKMRPSPQISLGNHSGSDMPETARSPTSGPRLQTPKGTLLKSNSFNNLNIKPKVKLVDEVVLQKQKGAREHASLDSKEESARMMGKSMSFKSTNSGRLNTGESKFKMLSSKYSHVQDLKGLKQVKERISLERKNFSKLDRSSSTVSTPKVDQKQTPRADTISNSSASNNRESKVVQSDGKPSTLSRSTSSLARKVVENAVTSAVGVSSTNGRISSEQKLNLVSPKEEPSSSSSWTAERQPNNVNGVMSDGLSRSLDSTNQSEKSRESSVGRSRSVPCLKCKEMGHTAEYCSVPQVSAADMSAPRTSREEINKGNKLKAAIEAAIRMRPGICERPPQDQSPFSNKAKNMIAVEGAHEAQTNVQNQASIGNQKLLNSHSTDAVSVVSSVGNLSMRDISVPLLATVSAITKMSAIPEHEYIWQGAFEVHKSGKLPDFCGGIQAHLSTLASPKVLEVVNTFPHKVSLNEVPRLSTWPAQFHDSGPKEDNIALYFFAKDPESYEKNYKVLLETMVKNDLALKGNFEGVELLIFPSNLLPENCQRWNTLFFLWGVFKGRRVNCSNSSKSACIPDASMVRLEGEVSTDIPQPVENEPAACDSSCNVVPVTSTAEKTCILTDKVGDDKVSSLEQTYVGIKAKLEEQDSKIDSRFLSRIATSSTQVHPEMKCTSSPVEESKFPDCRFDTELKPCLQATETNSGSVKVEKEEVHVREDYPSLKNLPTGKQEAIVVGKIDGDCVRIRDSKDDGYGDGKISSKRDFDSWQLNHRKRPFLDLTETVSEISTDSSQKMPWSEVKRVSVVGVSDNKKLKTGFSGIYQDSSPRDQGPFTDSLASDRHDLGSCSSVEEKICDIACVEKVIPEDLGSSERFFFPMDSHHGREFRLVDNSKPWKEFSAKDEDQAHDVFPNLELALGAETRPPNKGILPFFVGTVDKNSNQDRPLDKVRGKEEEDDVPASLSLSLSFPFPEKEQSLKSVSKTEQLLPERHPVNTSLLLFGGFPDK >EOY10198 pep chromosome:Theobroma_cacao_20110822:5:33415288:33427210:-1 gene:TCM_025557 transcript:EOY10198 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 2 MQSPHSHSQSGKSAVGGSSEISTKIHSKLEADIDSNSGDPADKTDKSLNEDEQDKLNELVELPDKQESPSQAVSGDESYESDATEHDVKVCDICGDAGREDLLAICSKCADGAEHTYCMREMLQKVPEGDWLCEECKLAEETESQKQGSDAEGKRANKLSSGTQSLGKRHAENQEGSSAPKRQAVETNMASPKSLSPSRVAALSREGSFKNLDKGKMRPSPQISLGNHSGSDMPETARSPTSGPRLQTPKGTLLKSNSFNNLNIKPKVKLVDEVVLQKQKGAREHASLDSKEESARMMGKSMSFKSTNSGRLNTGESKFKMLSSKYSHVQDLKGLKQVKERISLERKNFSKLDRSSSTVSTPKVDQKQTPRADTISNSSASNNRESKVVQSDGKPSTLSRSTSSLARKVVENAVTSAVGVSSTNGRISSEQKLNLVSPKEEPSSSSSWTAERQPNNVNGVMSDGLSRSLDSTNQSEKSRESSVGRSRSVPCLKCKEMGHTAEYCSVPQVSAADMSAPRTSREEINKGNKLKAAIEAAIRMRPGICERPPQDQSPFSNKAKNMIAVEGAHEAQTNVQNQASIGNQKLLNSHSTDAVSVVSSVGNLSMRDISVPLLATVSAITKMSAIPEHEYIWQGAFEVHKSGKLPDFCGGIQAHLSTLASPKVLEVVNTFPHKVSLNEVPRLSTWPAQFHDSGPKEDNIALYFFAKDPESYEKNYKVLLETMVKNDLALKGNFEGVELLIFPSNLLPENCQRWNTLFFLWGVFKGRRVNCSNSSKSACIPDASMVRLEGEVSTDIPQPVENEPAACDSSCNVVPVTSTAEKTCILTDKVGDDKVSSLEQTYVGIKAKLEEQDSKIDSRFLSRIATSSTQVHPEMKCTSSPVEESKFPDCRFDTELKPCLQATETNSGSVKVEKEEVHVREDYPSLKNLPTGKQEAIVVGKIDGDCVRIRDSKDDGYGDGKISSKRDFDSWQLNHRKRPFLDLTETVSEISTDSSQKMPWSEVKRVSVVGVSDNKKLKTGFSGIYQDSSPRDQGPFTDSLASDRHDLGSCSSVEEKICDIACVEKVIPEDLGSSERFFFPMDSHHGREFRLVDNSKPWKEFSAKDEDQAHDVFPNLELALGAETRPPNKGILPFFVGTVDKNSNQDRPLDKVRGKEEEDDVPASLSLSLSFPFPEKEQSLKSVSKTEQLLPERHPVNTSLLLFGGFPDK >EOY10192 pep chromosome:Theobroma_cacao_20110822:5:33415613:33427212:-1 gene:TCM_025557 transcript:EOY10192 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 2 MSQKVYTKAESGTCNVCSAPCSSCMHLSTPQMESKSEEFSDDTDRVAVASQYSINEDKAGDSLQPTPSEASNLLSVNSSHDSYSENIESKATIRPSNVSDASEDVEIQRTFSNAYDGSKGVEGHDDNISCASRASDENAASSYCNKDLDSKNSSRSSASVSSLGSGKVLSSQKLELSELPSIKEEVDAGSTSLRMQSPHSHSQSGKSAVGGSSEISTKIHSKLEADIDSNSGDPADKTDKSLNEDEQDKLNELVELPDKQESPSQAVSGDESYESDATEHDVKVCDICGDAGREDLLAICSKCADGAEHTYCMREMLQKVPEGDWLCEECKLAEETESQKQGSDAEGKRANKLSSGTQSLGKRHAENQEGSSAPKRQAVETNMASPKSLSPSRVAALSREGSFKNLDKGKMRPSPQISLGNHSGSDMPETARSPTSGPRLQTPKGTLLKSNSFNNLNIKPKVKLVDEVVLQKQKGAREHASLDSKEESARMMGKSMSFKSTNSGRLNTGESKFKMLSSKYSHVQDLKGLKQVKERISLERKNFSKLDRSSSTVSTPKVDQKQTPRADTISNSSASNNRESKVVQSDGKPSTLSRSTSSLARKVVENAVTSAVGVSSTNGRISSEQKLNLVSPKEEPSSSSSWTAERQPNNVNGVMSDGLSRSLDSTNQSEKSRESSVGRSRSVPCLKCKEMGHTAEYCSVPQVSAADMSAPRTSREEINKGNKLKAAIEAAIRMRPGICERPPQDQSPFSNKAKNMIAVEGAHEAQTNVQNQASIGNQKLLNSHSTDAVSVVSSVGNLSMRDISVPLLATVSAITKMSAIPEHEYIWQGAFEVHKSGKLPDFCGGIQAHLSTLASPKVLEVVNTFPHKVSLNEVPRLSTWPAQFHDSGPKEDNIALYFFAKDPESYEKNYKVLLETMVKNDLALKGNFEGVELLIFPSNLLPENCQRWNTLFFLWGVFKGRRVNCSNSSKSACIPDASMVRLEGEVSTDIPQPVENEPAACDSSCNVVPVTSTAEKTCILTDKVGDDKVSSLEQTYVGIKAKLEEQDSKIDSRFLSRIATSSTQVHPEMKCTSSPVEESKFPDCRFDTELKPCLQATETNSGSVKVEKEEVHVREDYPSLKNLPTGKQEAIVVGKIDGDCVRIRDSKDDGYGDGKISSKRDFDSWQLNHRKRPFLDLTETVSEISTDSSQKMPWSEVKRVSVVGVSDNKKLKTGFSGIYQDSSPRDQGPFTDSLASDRHDLGSCSSVEEKICDIACVEKVIPEDLGSSERFFFPMDSHHGREFRLVDNSKPWKEFSAKDEDQAHDVFPNLELALGAETRPPNKGILPFFVGTVDKNSNQDRPLDKVRGKEEEDDVPASLSLSLSFPFPEKEQSLKSVSKTEQLLPERHPVNTSLLLFGGFPDK >EOY10197 pep chromosome:Theobroma_cacao_20110822:5:33415613:33427212:-1 gene:TCM_025557 transcript:EOY10197 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 2 MNQRHITPILRGIYCMQGPADEIEQSIQKNMAPPKTVRKLVRRYMSQKVYTKAESGTCNVCSAPCSSCMHLSTPQMESKSEEFSDDTDRVAVASQYSINEDKAEDVEIQRTFSNAYDGSKGVEGHDDNISCASRASDENAASSYCNKDLDSKNSSRSSASVSSLGSGKVLSSQKLELSELPSIKEEVDAGSTSLRMQSPHSHSQSGKSAVGGSSEISTKIHSKLEADIDSNSGDPADKTDKSLNEDEQDKLNELVELPDKQESPSQAVSGDESYESDATEHDVKVCDICGDAGREDLLAICSKCADGAEHTYCMREMLQKVPEGDWLCEECKLAEETESQKQGSDAEGKRANKLSSGTQSLGKRHAENQEGSSAPKRQAVETNMASPKSLSPSRVAALSREGSFKNLDKGKMRPSPQISLGNHSGSDMPETARSPTSGPRLQTPKGTLLKSNSFNNLNIKPKVKLVDEVVLQKQKGAREHASLDSKEESARMMGKSMSFKSTNSGRLNTGESKFKMLSSKYSHVQDLKGLKQVKERISLERKNFSKLDRSSSTVSTPKVDQKQTPRADTISNSSASNNRESKVVQSDGKPSTLSRSTSSLARKVVENAVTSAVGVSSTNGRISSEQKLNLVSPKEEPSSSSSWTAERQPNNVNGVMSDGLSRSLDSTNQSEKSRESSVGRSRSVPCLKCKEMGHTAEYCSVPQVSAADMSAPRTSREEINKGNKLKAAIEAAIRMRPGICERPPQDQSPFSNKAKNMIAVEGAHEAQTNVQNQASIGNQKLLNSHSTDAVSVVSSVGNLSMRDISVPLLATVSAITKMSAIPEHEYIWQGAFEVHKSGKLPDFCGGIQAHLSTLASPKVLEVVNTFPHKVSLNEVPRLSTWPAQFHDSGPKEDNIALYFFAKDPESYEKNYKVLLETMVKNDLALKGNFEGVELLIFPSNLLPENCQRWNTLFFLWGVFKGRRVNCSNSSKSACIPDASMVRLEGEVSTDIPQPVENEPAACDSSCNVVPVTSTAEKTCILTDKVGDDKVSSLEQTYVGIKAKLEEQDSKIDSRFLSRIATSSTQVHPEMKCTSSPVEESKFPDCRFDTELKPCLQATETNSGSVKVEKEEVHVREDYPSLKNLPTGKQEAIVVGKIDGDCVRIRDSKDDGYGDGKISSKRDFDSWQLNHRKRPFLDLTETVSEISTDSSQKMPWSEVKRVSVVGVSDNKKLKTGFSGIYQDSSPRDQGPFTDSLASDRHDLGSCSSVEEKICDIACVEKVIPEDLGSSERFFFPMDSHHGREFRLVDNSKPWKEFSAKDEDQAHDVFPNLELALGAETRPPNKGILPFFVGTVDKNSNQDRPLDKVRGKEEEDDVPASLSLSLSFPFPEKEQSLKSVSKTEQLLPERHPVNTSLLLFGGFPDK >EOY10974 pep chromosome:Theobroma_cacao_20110822:5:37312559:37315320:1 gene:TCM_026246 transcript:EOY10974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A 2A, IIA,PLA2A isoform 2 MATGMLLYSHLPIWLLNVHSTLHAFPCLFSITHTSYNSIIITSCWMDPCLLWIDLEGKKLTTILSIDGGGVRGIIPATILAFLESELQKLDGKEARIADYFDFIAGTSTGGLVTAMLSSPDPDDPSNRPFSAGKILQFYFAESANIFPQKPKQPRHIDEMSRLEHAVNQFVQSMGSIPEDEYGRERLRFMFPDCRSLIDLVVRLWKFVFDPKFNGEKLKEVVEEKVGDRRLSETLTNVIIPSFDIKLLQTVVFSTLKAARDDLEDAPLQDVCLSTSAAPYYLPLHKFEINSVNRSRNFNMVDGGVAANNPTLLALSEVAKEMSLDGKAQCLDNMDCSKFLVLSLGTGSSKRNNKLEIVNENWGPLRWLWGDNGIPFLDVLMNAIDAMVDIYLSAFFRGASFEDNYLRIQTDSLNDSEIGMDNSNLENLQNLENIGNELLEKPVSAMNLETGLLKPIRGAGTNRAAIIKLAKRLSEERKRRLAQSSA >EOY10975 pep chromosome:Theobroma_cacao_20110822:5:37312557:37315133:1 gene:TCM_026246 transcript:EOY10975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A 2A, IIA,PLA2A isoform 2 MATEGKKLTTILSIDGGGVRGIIPATILAFLESELQKLDGKEARIADYFDFIAGTSTGGLVTAMLSSPDPDDPSNRPFSAGKILQFYFAESANIFPQKPKQPRHIDEMSRLEHAVNQFVQSMGSIPEDEYGRERLRFMFPDCRSLIDLVVRLWKFVFDPKFNGEKLKEVVEEKVGDRRLSETLTNVIIPSFDIKLLQTVVFSTLKAARDDLEDAPLQDVCLSTSAAPYYLPLHKFEINSVNRSRNFNMVDGGVAANNPTLLALSEVAKEMSLDGKAQCLDNMDCSKFLVLSLGTGSSKRNNKLEIVNENWGPLRWLWGDNGIPFLDVLMNAIDAMVDIYLSAFFRGASFEDNYLRIQTDSLNDSEIGMDNSNLENLQNLENIGNELLEKPVSAMNLETGLLKPIRGAGTNRAAIIKLAKRLSEERKRRLAQSSA >EOY07073 pep chromosome:Theobroma_cacao_20110822:5:372967:375701:1 gene:TCM_021595 transcript:EOY07073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDDLVLQKIAISGPTLASMIQRLSSSPADVDGLLFGHVTYIAPSTLPDDSAQTPPDSQLVATISSFLSFPSLLSFYDSLGRVDSSSLTPHLDHKSIIGWFSSRRKTPLRPSVREFSVSRSLSSIPNLSLPVQDANFNSHFSPSIFLLFTTPIQDQFVQTNQYRAFQFQSAKLRFNPVSIDIVNIGPAFRGHYGSFSPNSALPFLNCELRSLTAMNEDRTEEKLTGMKQAAKDQSELDMCTEGMQIGRLSRLIGPEAVNYTAGLEDLYQKMLSKIESLARLVETSSAKVLEQESHNRKLRYKVARSTGVE >EOY07074 pep chromosome:Theobroma_cacao_20110822:5:373308:375465:1 gene:TCM_021595 transcript:EOY07074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 FLSFPSLLSFYDSLGRVDSSSLTPHLDHKSIIGWFSSRRKTPLRPSVREFSVSRSLSSIPNLSLPVQDANFNSHFSPSIFLLFTTPIQDQFVQTNQYRAFQFQSAKLRFNPVSIDIVNIGPAFRGHYGSFSPNSALPFLNCELRSLTAMNEDRTEEKLTGMKQAAKDQSELDMCTEGMQIGRLSRLIGPEAVNYTAGLEDLYQKMLSKIESLARLVETSSAKVLEQESHNRKLRYKVARSTGVE >EOY10612 pep chromosome:Theobroma_cacao_20110822:5:35583512:35589760:-1 gene:TCM_025933 transcript:EOY10612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional 3-dehydroquinate dehydratase/shikimate dehydrogenase isoform 4 MAFESSTLPITDFQIGDGAQRNSTLICVPIMAESVEEMLVKMRKAKELGGDLVEIRVDFLKNFIPKQDLDILIKQAPLPTLVTFRPRWEGGRYDGDESKRQEALRLAMELGADYIDIELKVAHDFFSSLPRDRPENVKIIVSSHNYESTPSVEELGDLVARIQATGADIVKIATTALDIIDNARLFQVLVHSQVPMIGLVMGERGLMSRILAAKFGGFLTFGTLEEGVISAPGQPTVKELLDLYNMRHIGPDTKVHGVIGNPIDHSKSPHLYNAAFKSTGFNGIYLPLLVDNVSNFITTYSSPDFAGYSYTIPHKEAGLKCCDEVDPIAESIGAISCMIRRPTDGKLFGYNIDYLGAIAAIEEGLRATSGATPASGSPLAGQIFVVIGAGGAGKAIAYGGYEKGARVVVANCTYDKAKELASKVGGQAITLSELNEFHPEEGMILVNTTSVGMKPKIDETPVSKEALKHYSLVFDAVCTPKLTRLLREAQESGATIVYGAEMFINQAFVQFEMFTGLPAPKRLIRDVLARNT >EOY10613 pep chromosome:Theobroma_cacao_20110822:5:35584073:35589706:-1 gene:TCM_025933 transcript:EOY10613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional 3-dehydroquinate dehydratase/shikimate dehydrogenase isoform 4 MAFESSTLPITDFQIGDGAQRNSTLICVPIMAESVEEMLVKMRKAKELGGDLVEIRVDFLKNFIPKQDLDILIKQAPLPTLVTFRPRWEGGRYDGDESKRQEALRLAMELGADYIDIELKVAHDFFSSLPRDRPENVKIIVSSHNYESTPSVEELGDLVARIQATGADIVKIATTALDIIDNARLFQVLVHSQVPMIGLVMGERGLMSRILAAKFGGFLTFGTLEEGVISAPGQPTVKELLDLYNMRHIGPDTKVHGVIGNPIDHSKSPHLYNAAFKSTGFNGIYLPLLVDNVSNFITTYSSPDFAGYSYTIPHKEAGLKCCDEVDPIAESIGAISCMIRRPTDGKLFGYNIDYLGAIAAIEEGLRATSGATPASGSPLAGQIFVVIGAGGAGKAIAYGGYEKGARVVVANCTYDKAKELASKVGGQAITLSELNEFHPEEGMILVNTTSVGMKPKIDETPVSKL >EOY10615 pep chromosome:Theobroma_cacao_20110822:5:35584354:35589706:-1 gene:TCM_025933 transcript:EOY10615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional 3-dehydroquinate dehydratase/shikimate dehydrogenase isoform 4 MAFESSTLPITDFQIGDGAQRNSTLICVPIMAESVEEMLVKMRKAKELGGDLVEIRVDFLKNFIPKQDLDILIKQAPLPTLVTFRPRWEGGRYDGDESKRQEALRLAMELGADYIDIELKVAHDFFSSLPRDRPENVKIIVSSHNYESTPSVEELGDLVARIQATGADIVKIATTALDIIDNARLFQVLVHSQVPMIGLVMGERGLMSRILAAKFGGFLTFGTLEEGVISAPGQPTVKELLDLYNMRHIGPDTKVHGVIGNPIDHSKSPHLYNAAFKSTGFNGIYLPLLVDNVSNFITTYSSPDFAGYSYTIPHKEAGLKCCDEVDPIAESIGAISCMIRRPTDGKLFGYNIDYLGAIAAIEEGLRDLPFCPLLCSNQWCNTCVWFPFGWSNICCNWSWWCWKGNCLWRI >EOY10614 pep chromosome:Theobroma_cacao_20110822:5:35583684:35589711:-1 gene:TCM_025933 transcript:EOY10614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional 3-dehydroquinate dehydratase/shikimate dehydrogenase isoform 4 MAFESSTLPITDFQIGDGAQRNSTLICVPIMAESVEEMLVKMRKAKELGGDLVEIRVDFLKNFIPKQDLDILIKQAPLPTLVTFRPRWEGGRYDGDESKRQEALRLAMELGADYIDIELKVAHDFFSSLPRDRPENVKIIVSSHNYESTPSVEELGDLVARIQATGADIVKIATTALDIIDNARLFQVLVHSQVPMIGLVMGERGLMSRILAAKFGGFLTFGTLEEGVISAPGQPTVKELLDLYNMRHIGPDTKVHGVIGNPIDHSKSPHLYNAAFKSTGFNGIYLPLLVDNVSNFITTYSSPDFAGYSSYTIPHKEAGLKCCDEVDPIAESIGAISCMIRRPTDGKLFGYNIDYLGAIAAIEEGLRATSGATPASGSPLAGQIFVVIGAGGAGKAIAYGGYEKGARVVVANCTYDKAKELASKVGGQAITLSELNEFHPEEGMILVNTTSVGMKPKIDETPVSKEALKHYSLVFDAVCTPKLTRLLREAQESGATIVYGAEMFINQAFVQFEMFTGLPAPKRLIRDVLARNT >EOY10214 pep chromosome:Theobroma_cacao_20110822:5:33510636:33514192:-1 gene:TCM_025568 transcript:EOY10214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDAKAMAHQQQQFLLQQQQQQHQQHHQHQQQQFLLLQQLQKQAQQQQQQQQQQQQQQQQQQAISRFPSNIDAHLRTTPGVLHHRPINIQQNPNSSPNPNPNSTPNLQQQQQQQQPQQQQQPQQQQQQQQQQQQQKQIRPLNQAELQMAYQDAWRVCHPDFKRPFSSLEDACERLLPYHVVADYEAEEDDRILDSDTTGQMPSRCQQWDHNIAAKVAEFTATFEKQALAFNIISRKRAMGEFRSEERLMVEQALLQEEKKAMLDLRAEIESREKAGREAHEAKLRMAAMVQAEQARAESQAHAEIMARGPIRANALGSQGGNLPIGHDIGEQPQGVNPDEMMNGWGNNAQRDEKEPSEDFLNDEETENGDTGMQNDWREVGEFDLNSR >EOY06985 pep chromosome:Theobroma_cacao_20110822:5:106575:107299:-1 gene:TCM_021536 transcript:EOY06985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGLIPLVYRTLKKNKTRRQYQCLSSGAAQTYNIADFDVDGQSPVCMKPSTAEKSCGLKTQRNGHRRHVSMGDFSMRYSSADGMKTGASPTPPAPKLKRFRSHRMFSCVTGA >EOY09887 pep chromosome:Theobroma_cacao_20110822:5:31509575:31512677:-1 gene:TCM_025256 transcript:EOY09887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical, putative isoform 1 MPTITPLTMQTLPRAAVIKCVPKSPQISCLLKKLPPFNFHQCKFYSRQPLLLSANDSLLHAKAIKNGSFQNLDVASYLLRLYGKSKCLSDARKVFDEMSQRDVRTWTILVSSFARAGSNGIVLELFRDMQNETVKPNQFTLSIVLKCCSSLSELRIGKGVHGWILRNGVVFDVVLGNSLLDFYVKCEDFGSAKWLFELMEERNSVSWNIMIGAHLNIGDVEKAVDMFRRLSSKDVASWNTIIDGLMRNGPKRMALELLYEMVKNGTVLDEVTFSISLVLVSSFMDIELGKQIHGRVLRLGFHVDGFIRASLIDMYCKCGKMEMALEVFKRMNSDFGRKENSIEEIVSWSSIVSGFVLNGEIEDAFKTFTSMISKEIEVDRFTVTSIVSACANSGVLELGQQVHALIQKIGHRVDAHLGSSLIDMYSKCGSLDDAQMVFKQTNDKNVVLWTSMISCCALHGQGREAVRLFEFMMSEGIKPNVVTFIGVLTACSHAGLIEEGCRYFRLMKEVYGIKPGVDHYSCMVDLYGRAGQLNETKNFIHENGIDHMSAVWRSFLSSCRLHRDIKMAEWVSEKLLHLEPPDAGTYILLSNIYATKQRWEEAAEVRSLMQSRGVKKHPGQSWIQIKNQVHTFVMGDRSHPQTDKIYAYLDKLIGRLREIGYSSDTKLVMQDVEEEQGEMLLGFHSEKLATAYGIISMTSQKPIRIMKNLRVCNDCHTFMKYTSQLLDREIIVRDIRRFHHFKRGCCSCGDYW >EOY09888 pep chromosome:Theobroma_cacao_20110822:5:31509330:31512997:-1 gene:TCM_025256 transcript:EOY09888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical, putative isoform 1 MPTITPLTMQTLPRAAVIKCVPKSPQISCLLKKLPPFNFHQCKFYSRQPLLLSANDSLLHAKAIKNGSFQNLDVASYLLRLYGKSKCLSDARKVFDEMSQRDVRTWTILVSSFARAGSNGIVLELFRDMQNETVKPNQFTLSIVLKCCSSLSELRIGKGVHGWILRNGVVFDVVLGNSLLDFYVKCEDFGSAKWLFELMEERNSVSWNIMIGAHLNIGDVEKAVDMFRRLSSKDVASWNTIIDGLMRNGPKRMALELLYEMVKNGTVLDEVTFSISLVLVSSFMDIELGKQIHGRVLRLGFHVDGFIRASLIDMYCKCGKMEMALEVFKRMNSDFGRKENSIEEIVSWSSIVSGFVLNGEIEDAFKTFTSMISKEIEVDRFTVTSIVSACANSGVLELGQQVHALIQKIGHRVDAHLGSSLIDMYSKCGSLDDAQMVFKQTNDKNVVLWTSMISCCALHGQGREAVRLFEFMMSEGIKPNVVTFIGVLTACSHAGLIEEGCRYFRLMKEVYGIKPGVDHYSCMVDLYGRAGQLNETKNFIHENGIDHMSAVWRSFLSSCRLHRDIKMAEWVSEKLLHLEPPDAGTYILLSNIYATKQRWEEAAEVRSLMQSRGVKKHPGQSWIQIKNQVHTFVMGDRSHPQTDKIYAYLDKLIGRLREIGYSSDTKLVMQDVEEEQGEMLLGFHSEKLATAYGIISMTSQKPIRIMKNLRVCNDCHTFMKYTSQLLDREIIVRDIRRFHHFKRGCCSCGDYW >EOY10903 pep chromosome:Theobroma_cacao_20110822:5:36949216:36956835:-1 gene:TCM_026183 transcript:EOY10903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase I isoform 2 MDHIVGGKFKMGRKIGAGSFGELFLGVNIQTGEEVAVKMEPVKTKHPQLHYESKLYMLLQGGTGVPHLKWFGVEGDYNIMVIELLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEFMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSHPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQLTGSSRQRPSGGKAALNAGPSAERTEKPSVGREIRDRFSGAVEVFTRKNASGSGHLSDHSKQKVSERASPSKDVDNDSGRGQTSSTRPSSLSKRAVASSSRPASSVGPSDSRSSWLLSGSSRLSTSQRLHSGSEHKSSLSRATTSKGIREDQNKSSDHHSRVTDKRKG >EOY10904 pep chromosome:Theobroma_cacao_20110822:5:36951180:36956690:-1 gene:TCM_026183 transcript:EOY10904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase I isoform 2 MHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSHPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQLTGSSRQRPSGGKAALNAGPSAERTEKPSVGREIRDRFSGAVEVFTRKNASGSGHLSDHSKQKVSERASPSKDVDNDSGRGQTSSTRPSSLSKRAVASSSRPASSVGPSDSRSSWLLSGSSRLSTSQRLHSG >EOY11148 pep chromosome:Theobroma_cacao_20110822:5:38139135:38147875:-1 gene:TCM_026410 transcript:EOY11148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exoribonuclease 4 isoform 1 MNQQRTRRFRAAKDAAEAAAEEERLKKEFEEEGKALLPKEKPETCDSNVITPGTPFMAVLSVALQYYLQSRLNHNPGWRNTKVILSDANAPGEGEHKIMSYIRLQRNLPGFNPNTQHCLYGLDADLIMLSLATHEVHFSILREVITLPGQQEKCFLCGQVGHLAAECHGKPDNGTEDRNAVDDTPIHKKKYQFLNIWVLREYLQYDLEIPNPPFEINFERIVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMQVYKREFSAMGGYLTDAGEVLLDRVECFIQSVAVHEDQIFQKRTRIQQAFENNEQMKLKARGESSEEAQAPVVDKIKLGEPGYKERYYAEKFNVSNPVEIEEVKRDVVLKYVEGLCWVCRYYYQGVCSWQWFYPYHYAPFASDLKDLSDLEITFFIGEPFKPFDQLMGTLPAASSGALPDRYGKLMTDPSSPIYKFYPSDFEIDMHGKRFAWQGVVKLPFIDEKKLLAATRKLEDTLTVEEQFRNSVMLDLLYVHRLHPLASLVFSYYQIYCQLRPHERFVWPIDTNTSGGMNGFLWLSERNGWQGVVPSPVKGLPYIESNQVLNVTYLNPSCHKHIPELPEGVMIPKKVLTPLDIKPLPVLWHEDNGGRRQQGRDRPRVPGAIVGPQLGEAAHRLVKNTLNIKSNGSSSGWGEQPPIFNISNNYSSIRPRPAGPSGYERGFFDDPNHYYSSRPRPAGPPGYERGYGDNLNYYGQYNNPQGIMGNPRHPSSNGMQSIRNNFRAQDRLQNQERHHDLGTAMSALTLDGSAKGRAHVEMSSQMPNSGYPQNLGHRSEQNSGALPKPPTKWINKPVNVNTGTYLKQENASSVAYEKQMKKVYQIKTRPQEMTGPENQQ >EOY11147 pep chromosome:Theobroma_cacao_20110822:5:38138545:38147941:-1 gene:TCM_026410 transcript:EOY11147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exoribonuclease 4 isoform 1 MGVPAFYRWLADRYPQSIADVIEEEPREDGLGNQIPVDVSKPNPNGLEFDNLYLDINGIIHPCFHPDGKPAPATYDDVFKSIFDYIDHLFSLVRPRKLLYMAIDGVAPRAKMNQQRTRRFRAAKDAAEAAAEEERLKKEFEEEGKALLPKEKPETCDSNVITPGTPFMAVLSVALQYYLQSRLNHNPGWRNTKVILSDANAPGEGEHKIMSYIRLQRNLPGFNPNTQHCLYGLDADLIMLSLATHEVHFSILREVITLPGQQEKCFLCGQVGHLAAECHGKPDNGTEDRNAVDDTPIHKKKYQFLNIWVLREYLQYDLEIPNPPFEINFERIVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMQVYKREFSAMGGYLTDAGEVLLDRVECFIQSVAVHEDQIFQKRTRIQQAFENNEQMKLKARGESSEEAQAPVVDKIKLGEPGYKERYYAEKFNVSNPVEIEEVKRDVISNSCLFSLQVLKYVEGLCWVCRYYYQGVCSWQWFYPYHYAPFASDLKDLSDLEITFFIGEPFKPFDQLMGTLPAASSGALPDRYGKLMTDPSSPIYKFYPSDFEIDMHGKRFAWQGVVKLPFIDEKKLLAATRKLEDTLTVEEQFRNSVMLDLLYVHRLHPLASLVFSYYQIYCQLRPHERFVWPIDTNTSGGMNGFLWLSERNGWQGVVPSPVKGLPYIESNQVLNVTYLNPSCHKHIPELPEGVMIPKKVLTPLDIKPLPVLWHEDNGGRRQQGRDRPRVPGAIVGPQLGEAAHRLVKNTLNIKSNGSSSGWGEQPPIFNISNNYSSIRPRPAGPSGYERGFFDDPNHYYSSRPRPAGPPGYERGYGDNLNYYGQYNNPQGIMGNPRHPSSNGMQSIRNNFRAQDRLQNQERHHDLGTAMSALTLDGSAKGRAHVEMSSQMPNSGYPQNLGHRSEQNSGALPKPPTKWINKPVNVNTGTYLKQENASSVAYEKQMKKVYQIKTRPQEMTGPENQQ >EOY07205 pep chromosome:Theobroma_cacao_20110822:5:829312:833344:-1 gene:TCM_021694 transcript:EOY07205 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MAEPNRSSPSASASASASSSSSSSSYREDSGGAAGAAASSSGTSSQVREEEEGQDHEYRQHLQHFHNPELENQHIDLVSYRGNLSGFDDSSTVIGDDTWSCIIVVLTFWFFVSMTLILGVYGAVNIRVGPNCSLLLQPNPIFVQSVKVEEVDDTKPGLKLYGFYKSPSLDVVTTWSETRTATVQADSHKEWIHYLNRGSQVNISYNVNSAGSSVFLIIAQGSEGLSQWLEDPTYPNTTLSWNIVRGSGMIQQDIYRSSSYYIALGNLNSEDVEVELNITVRAFIYNTTEAYYRCTFGNGLCSLSVLFPQGNSVVLTSPGLEQSTSADDWSVRLSYGPRWIIYIVGIAIMLVAFNFLNKFQFTRGGETNLHYGENASARAPLLSNKDDDISSWGSSYDSVSSDEADLEDFLAAGSVEGTSIREGENSNNTRRLCAICFDAPRDCFFLPCGHCVACFACGSRIAEAAGTCPICRRNMKKVRKIFTV >EOY07206 pep chromosome:Theobroma_cacao_20110822:5:830002:832984:-1 gene:TCM_021694 transcript:EOY07206 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MAEPNRSSPSASASASASSSSSSSSYREDSGGAAGAAASSSGTSSQVREEEEGQDHEYRQHLQHFHNPELENQHIDLVSYRGNLSGFDDSSTVIGDDTWSCIIVVLTFWFFVSMTLILGVYGAVNIRVGPNCSLLLQPNPIFVQSVKVEEVDDTKPGLKLYGFYKSPSLDVVTTWSETRTATVQADSHKEWIHYLNRGSQVNISYNVNSAGSSVFLIIAQGSEGLSQWLEDPTYPNTTLSWNIVRGSGMIQQDIYRSSSYYIALGNLNSEDVEVELNITVRAFIYNTTEAYYRCTFGNGLCSLSVLFPQGNSVVLTSPGLEQSTSADDWSVRLSYGPRWIIYIVGIGGMTAIMLVAFNFLNKFQFTRGGETNLHYGENASARAPLLSNKDDDISSWGSSYDSVSSDEADLEDFLAAGSVEGTSIREGENSNNTRRLCAICFDAPRDCFFLPCGHCVACFACGSR >EOY07204 pep chromosome:Theobroma_cacao_20110822:5:828660:833272:-1 gene:TCM_021694 transcript:EOY07204 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MAEPNRSSPSASASASASSSSSSSSYREDSGGAAGAAASSSGTSSQVREEEEGQDHEYRQHLQHFHNPELENQHIDLVSYRGNLSGFDDSSTVIGDDTWSCIIVVLTFWFFVSMTLILGVYGAVNIRVGPNCSLLLQPNPIFVQSVKVEEVDDTKPGLKLYGFYKSPSLDVVTTWSETRTATVQADSHKEWIHYLNRGSQVNISYNVNSAGSSVFLIIAQGSEGLSQWLEDPTYPNTTLSWNIVRGSGMIQQDIYRSSSYYIALGNLNSEDVEVELNITVRAFIYNTTEAYYRCTFGNGLCSLSVLFPQGNSVVLTSPGLEQVIQSTSADDWSVRLSYGPRWIIYIVGIGGMTAIMLVAFNFLNKFQFTRGGETNLHYGENASARAPLLSNKDDDISSWGSSYDSVSSDEADLEDFLAAGSVEGTSIREGENSNNTRRLCAICFDAPRDCFFLPCGHCVACFACGSRIAEAAGTCPICRRNMKKVRKIFTV >EOY07203 pep chromosome:Theobroma_cacao_20110822:5:829756:832984:-1 gene:TCM_021694 transcript:EOY07203 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MAEPNRSSPSASASASASSSSSSSSYREDSGGAAGAAASSSGTSSQVREEEEGQDHEYRQHLQHFHNPELENQHIDLVSYRGNLSGFDDSSTVIGDDTWSCIIVVLTFWFFVSMTLILGVYGAVNIRVGPNCSLLLQPNPIFVQSVKVEEVDDTKPGLKLYGFYKSPSLDVVTTWSETRTATVQADSHKEWIHYLNRGSQVNISYNVNSAGSSVFLIIAQGSEGLSQWLEDPTYPNTTLSWNIVRGSGMIQQDIYRSSSYYIALGNLNSEDVEVELNITVRAFIYNTTEAYYRCTFGNGLCSLSVLFPQGNSVVLTSPGLEQSTSADDWSVRLSYGPRWIIYIVGIGGMTAIMLVAFNFLNKFQFTRGGETNLHYGENASARAPLLSNKDDDISSWGSSYDSVSSDEADLEDFLAAGSVEGTSIREGENSNNTRRLCAICFDAPRDCFFLPCGHCVACFACGSRIAEAAGTCPICRRNMKKVRKIFTV >EOY07202 pep chromosome:Theobroma_cacao_20110822:5:828482:833401:-1 gene:TCM_021694 transcript:EOY07202 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MAEPNRSSPSASASASASSSSSSSSYREDSGGAAGAAASSSGTSSQVREEEEGQDHEYRQHLQHFHNPELENQHIDLVSYRGNLSGFDDSSTVIGDDTWSCIIVVLTFWFFVSMTLILGVYGAVNIRVGPNCSLLLQPNPIFVQSVKVEEVDDTKPGLKLYGFYKSPSLDVVTTWSETRTATVQADSHKEWIHYLNRGSQVNISYNVNSAGSSVFLIIAQGSEGLSQWLEDPTYPNTTLSWNIVRGSGMIQQDIYRSSSYYIALGNLNSEDVEVELNITVRAFIYNTTEAYYRCTFGNGLCSLSVLFPQGNSVVLTSPGLEQSTSADDWSVRLSYGPRWIIYIVGIGGMTAIMLVAFNFLNKFQFTRGGETNLHYGENASARAPLLSNKDDDISSWGSSYDSVSSDEADLEDFLAAGSVEGTSIREGENSNNTRRLCAICFDAPRDCFFLPCGHCVACFACGSRIAEAAGTCPICRRNMKKAQQLQTEILYLPVLHSLVSFSLLNSIKREKEDLLLFLFSTRKWTGGKRVKAIVDRSCSRQVREAFLFLSLFPPTF >EOY11355 pep chromosome:Theobroma_cacao_20110822:5:39063235:39064383:1 gene:TCM_026567 transcript:EOY11355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein, putative isoform 1 GIDKSGNWVPGAPRVLSLLSSILERSIQRNEKLVGGSRRMDVVTIFHGTKAPALGIRQYVERIFKYSKCSNSCFVVALVYVDRFLERMGAHLTSLNVHRLLITSFMVAAKFIDDQCYNNAYYAKVGGVSTEEMNELEMKLLFSLDFRLHVTTEVFNKYCLKIEREGGVAYPPSQQIQGHLLGGRPKKDENKRGPKLPGHRGRACST >EOY11354 pep chromosome:Theobroma_cacao_20110822:5:39062626:39064569:1 gene:TCM_026567 transcript:EOY11354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein, putative isoform 1 MNLSNLEAFYPASSTVPQLWLSMGAMMIKGKAMGSDLYACLGIDKSGNWVPGAPRVLSLLSSILERSIQRNEKLVGGSRRMDVVTIFHGTKAPALGIRQYVERIFKYSKCSNSCFVVALVYVDRFLERMGAHLTSLNVHRLLITSFMVAAKFIDDQRICRCYNNAYYAKVGGVSTEEMNELEMKLLFSLDFRLHVTTEVFNKYCLKIEREGGVAYPPSQQIQGHLLGGRPKKDENKRGPKLPGHRGRACST >EOY10268 pep chromosome:Theobroma_cacao_20110822:5:33853772:33859264:-1 gene:TCM_025640 transcript:EOY10268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sigma factor A isoform 2 MMATAAVIGLTTGKRLLSSSFSYSDIIEKLSYANDYGSSHHQTSSTKSLIVAKKSSNCSQSLPSSNRRAQSIKALKEHVDSASIVSTAEPLFQGSNDLEVESYDLDYSVEALLLLQKSMLEKQWTLSFERTVFTESPSRKIHKKIPVTCSGVSARQRRFNTKRKILIQNKSIIQPNAKQLRSLISPELLQSRLKGYVKGVVSDELLSHAEVVRLSKKIKAGLSLEEHRLRLKERLGCEPSDEQLATSLKISRAELRSKLIECSLAREKLAMSNVRLVMSIAQRYDNMGAEMSDLIQGGLIGLLRGIEKFDSSKGYKISTYVYWWIRQGVSRALVENSRTLRLPTHLHERLGLIRNAKIRLEEKGITPTIDRIAESLNMSQKKVRNATEAVSKVFSLDRDAFPSLNGLPGETHHSYIADNHVENIPWHGVDEWALKDEVNRLITITLGEREREIIRLYYGLDKESLTWEDISKRIGLSRERVRQVGLVALEKLKHAARKKKMEAMLVKH >EOY10269 pep chromosome:Theobroma_cacao_20110822:5:33854719:33859277:-1 gene:TCM_025640 transcript:EOY10269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sigma factor A isoform 2 MMATAAVIGLTTGKRLLSSSFSYSDIIEKLSYANDYGSSHHQTSSTKSLIVAKKSSNCSQSLPSSNRRAQSIKALKEHVDSASIVSTAEPLFQGSNDLEVESYDLDYSVEALLLLQKSMLEKQWTLSFERTVFTESPSRKIHKKIPVTCSGVSARQRRFNTKRKILIQNKSIIQPNAKQLRSLISPELLQSRLKGYVKGVVSDELLSHAEVVRLSKKIKAGLSLEEHRLRLKERLGCEPSDEQLATSLKISRAELRSKLIECSLAREKLAMSNVRLVMSIAQRYDNMGAEMSDLIQGGLIGLLRGIEKFDSSKGYKISTYVYWWIRQGVSRALVENSRTLRLPTHLHERLGLIRNAKIRLEEKGITPTIDRIAESLNMSQKKVRNATEAVSKVFSLDRDAFPSLNGLPGETHHSYIADNHVENIPWHGVDEWALKMMLYSHSTQSESDPY >EOY07051 pep chromosome:Theobroma_cacao_20110822:5:317790:319621:-1 gene:TCM_021582 transcript:EOY07051 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein, putative MSNPDEPTKNNHEVSPAPPTATDVENPTQGVESGVSAITSRWRREDLLKRGSLVARGLALLFSFLSFTIMASNKHGDEEDGEDFNKYQEYRYLLAIAILSFLYTGGQVGRHVIWTGKQIFERRVCAMVDFLGDQVMAYLLISSASAAIPVTNQMREGLDNIFTDSSAAAISMSFLAFLSLALSAMVSGHKLSTQSYI >EOY10639 pep chromosome:Theobroma_cacao_20110822:5:35669501:35674492:-1 gene:TCM_025952 transcript:EOY10639 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding,leucine-tRNA ligases,aminoacyl-tRNA ligases,nucleotide binding,ATP binding,aminoacyl-tRNA ligases MATEGGKSYARRDKLLEIESKVRVWWEEKDVFKAEPGEKPPQPGEKFFGNFPFPYMNGFLHLGHAFSLSKLEFAAAYHRLRGANVLLPFAFHCTGMPIKASADKLAREIQQFGDPPIFPHEVVEEEPNPKEEFDANEGANVAPDKFKGKKSKVASKSSGQMFQWEIMRSFGLSDSEISKFQNPYEWLKFFPPLAVQDLKAFGLGCDWRRSFVTTDMNPFFDSFVKWQMRKLRSMGKIVKDVRYTIYSPLDGQPCADHDRASGEGVQPQEYTIIKMEVVPPFPAKIRVLEGKKVFLAAATLRPETMYGQTNCWVLPDGKYGAFEINDTEVFILTERAALNLAYQKLSRVPEKPTCLVELTGYDLIGLPVKSPLSFNEIIYALPMLTILTDKGTGIVTSVPSDAPDDYMALHDLKAKPAFRAKLGVKDEWVLPFEIVPIIDIPEFGDRAAEKVCVDLKIKSQNEKDKLAEAKRLVYLRGFTEGTMIVGEYAGTRVQEAKPLIRTKLVETGQAIIYSEPEKKVMSRSGDECVVALTDQWYITYGEPEWKKLAEECFSNMNLYSDETRHGFEHTLGWLNQWACSRSFGLGTRIPWDEEFLVESLSDSTIYMAYYTVAHLLQNGDMYGKSSDLVKPAQMTDEVWEFLFCGGPFPKSSDIPSSILNKMKQEFEYWYPFDLRVSGKDLIQNHLTFCIYNHTAIMSKDHWPRGFRCNGHIMLNSEKMSKSTGNFRTLRQAIEEFSADATRFSLADAGDGVDDANFVFETANAAILRLTKEIAWMEEILAAESSLRTGPPSTYADRVFENEINIAVKMTEQSYRDCMFREALKTGFYDLQAARDEYRFSCGSGGMNRDLLWRFMDVQTRLITPICPHYAEFIWRELLKKGGFVVKAGWPTADSPDLKLKSANKYLQDSIVSMRKLLQKQISGSKNTKNKKGAPVSSLTEDKLKGLIIVNEQFDGWQAECLRILQSKFDNKTCTFFASDGEIMNALRQSTVGQAADFKQVQNRCMPFVRFKKAEAIKIGAQALDLKLPFGEIEVLKENLDLIKRQLALEEVEVLSATDPDAYVQAGSFASLLKQNPPSPGNPTAIFMSR >EOY10096 pep chromosome:Theobroma_cacao_20110822:5:32970934:32971540:-1 gene:TCM_025477 transcript:EOY10096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGVIDRLNEDGGPDLGLVMAYPPEELALRDSGFFVPNSDTPWIEWAGRRFHIGNINGANVIYVMTGKQTTRQMHL >EOY11061 pep chromosome:Theobroma_cacao_20110822:5:37741994:37742965:1 gene:TCM_026334 transcript:EOY11061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQWCDEAMREDRDVCTTEPIKDDGELDCEEAVRVEEIGECLDLHVKCPCGKGYQMILSGQNCYYKLISIWLSSGFKTFIPSKIEYSSIIIFGFQFFC >EOY06968 pep chromosome:Theobroma_cacao_20110822:5:77586:80591:-1 gene:TCM_021528 transcript:EOY06968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, group 2 isoform 1 MASSDKPETTEREVKDDKEDKGGFIEKVKDFIHDIGEKIEEAIGFGKPTADVTGIHIPCINLEKADIVVDVLIKNPNPVPIPLVDINYLIESDGRKLVSGLIPDAGTIHAHGQETVKIPVALIYDDIKSTYDDIKPGSIIPYRIKVDLIVDVPVFGRLTLPLEKTGEIPIPYKPDIDLEKIHFERFSWEETTAVLHLKLENMNDFDLGLNALDYEVWLSDVSIGAAELQKSTKIEKNGISYIDIPISFRPKDFGSALWDMIRGKGTGYSMKGHINVDTPFGAMKLPISKEGGTTRLMKNKEDGGDDDDDDE >EOY06971 pep chromosome:Theobroma_cacao_20110822:5:77489:80118:-1 gene:TCM_021528 transcript:EOY06971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, group 2 isoform 1 MASSDKPETTEREVKDDKEDKGGFIEKVKDFIHDIGEKIEEAIGFGKPTADVTGIHIPCINLEKADIVVDVLIKNPNPVPIPLVDINYLIESDGRKLVSGLIPDAGTIHAHGQETVKIPVALIYDDIKSTYDDIKPGSIIPYRIKVDLIVDVPVFGRLTLPLEKTGEIPIPYKPDIDLEKIHFERFSWEETTAVLHLKLENMNDFDLGLNALDYEVWLSDVSIGAAELQKSTKIEKNGISYIDIPISFRPKDFGSALWDMIRGKGTGYSMKGHINVDTPFGAMKLPISKEGGTTRLMKNKEDGGDDDDDDE >EOY06969 pep chromosome:Theobroma_cacao_20110822:5:77489:80575:-1 gene:TCM_021528 transcript:EOY06969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, group 2 isoform 1 MASSDKPETTEREVKDDKEDKGGFIEKVKDFIHDIGEKIEEAIGFGKPTADVTGIHIPCINLEKADIVVDVLIKNPNPVPIPLVDINYLIESDGRKLVSGLIPDAGTIHAHGQETVKIPVALIYDDIKSTYDDIKPGSIIPYRIKVDLIVDVPVFGRLTLPLEKTGEIPIPYKPDIDLEKIHFERFSWEETTAVLHLKLENMNDFDLGLNALDYEVWLSDVSIGAAELQKSTKIEKNGISYIDIPISFRPKDFGSALWDMIRGKGTGYSMKGHINVDTPFGAMKLPISKEGGTTRLMKNKEDGGDDDDDDE >EOY06970 pep chromosome:Theobroma_cacao_20110822:5:77378:80516:-1 gene:TCM_021528 transcript:EOY06970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, group 2 isoform 1 MASSDKPETTEREVKDDKEDKGGFIEKVKDFIHDIGEKIEEAIGFGKPTADVTGIHIPCINLEKADIVVDVLIKNPNPVPIPLVDINYLIESDGRKLVSGLIPDAGTIHAHGQETVKIPVALIYDDIKSTYDDIKPGSIIPYRIKVDLIVDVPVFGRLTLPLEKTGEIPIPYKPDIDLEKIHFERFSWEETTAVLHLKLENMNDFDLGLNALDYEVWLSDVSIGAAELQKSTKIEKNGISYIDIPISFRPKDFGSALWDMIRGKGTGYSMKGHINVDTPFGAMKLPISKEGGTTRLMKNKEDGGDDDDDDE >EOY09008 pep chromosome:Theobroma_cacao_20110822:5:24699891:24737283:-1 gene:TCM_024341 transcript:EOY09008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDLLGSPRVVPPFSVGFWIVLGSAAGGGEGSCALLAQDMSGAIIPALMHRIPSELRRSAAGGGEGSCALLAQDMSGAIIPALMHRIPSELRSSAVGGGEGSCALLAQDMSGSAAGGGEGSCALLAQDMSGAIIPALMHRIPSELRSSAAGGGEGSCALLAQDMSGAIIPALMHRIPSELRSSAAGGGEGSCALLAQDMSGAIIPALMHRIPSELRSSAAGGGEGSCALLAQDMSGAIIPALMHRIPSELRSSAAGGGEGSCALLAQDMSGSAAGGGEGSCALLAQGMSGAIIPALMHRIPSELRSSAAGGAGGVLCIVGAGHVGCDHTSTNAPDPIRTPQLGGGGRGGVLCIVGAGHVGCDHTSTNAPDPIRTPQLGGGGRGGVLCIVGAGHVGCDHTSTNAPDPIRTPQLGGGGRGGVLCIVGAGHVGCDHTSTNAPDLIRTPQLGGGGRGGVFCIVGAGHVGCDHTSTNAPDLIRTLQLGGGGRGGVFRIVGAGHVGCDHTSTNAPDPIRTPPLGGGGRGRVLCIVGVGHVGMGDLLGSPRVAPPFSVGFWIVFGLAAGGGEGSCALLAQDMSGAIIPALMHRIPSELRSSAAGGGEGSCALLAQDMSGAIIPALMHRIPSELRSSAAGGGEGSCALLAQDMSGAIIPALMHRIPSELRSSAAGGGEGSCALLAQDMSGAIIPALMHRIPSELRSSAAGGGEGSCALLAQDMSGAIIPALMRRIPSELRRSTPKTEKVDPQHSIAVNHITLFFKKSVVEQPSSSKLWQLEVVPIVGAIGPQSMAFDLLGEGPYVGISDGRIIRWQENEQLWINFTITSRLRYVSATYIV >EOY09972 pep chromosome:Theobroma_cacao_20110822:5:32028937:32035460:-1 gene:TCM_025343 transcript:EOY09972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MAKTAITGARRNTDSSEKESSKIGTAMTNGADYFSQHSFQLTSHKLNSKNYLEWAQFVKLAIDGRGKLGHLIGDEKQPEVGDPNLSKWRLENSLIIAWLINSMEYTIGKPYLFLPTARDVWEVVRETYSNVENSSQIFDLKTKCGNLCNQKRKRQVGCKWLDVKNAFLNDDLEEEVYMDPPPGFEKKFGSKVCKLKKSLYGLKQSPRAWFERFTRLVKCKGYIQGQIGHTMFTKYSTNRKIAILIVYVDDIILTRDDTPEMEQLKQCLASEFEIKDLESLKYFLGMEVARSKKEIAVSQRKYVLDLLKETRMNGCCLVETPTDPNQKLGDSKGDTVDTLRYQKQVRKLIHLSHTQPDIAFAVSLASQFMHSPHEEHLEAVYRTLRYLKSSPGKGLFCKKNERSIEAYTDVDWARSITDRKPTSSYCTFVWENLLTWGKKKQSVVAQSSAEAEYRSMAYAVCEMMWLKRALEEIRRPATMPTKLYCDNKAAISIAHNPVQYDRTKHVEIDRHFIKEKIEAGAICTPFVPTLQQIADILTKGLFRPNYEFLISKLGMIDIYAPT >EOY07177 pep chromosome:Theobroma_cacao_20110822:5:732256:735320:1 gene:TCM_021677 transcript:EOY07177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase 8 isoform 1 MASFVISECGLKPLPHIYARPRAGVVSRNSSKPRFLHTSKNFSDLKTTNSIKFSTGVFEDRNWGLKVSAPLKVASIEEDEEREERIRYVNGFGEQEEESGFDPGAPPPFKLSDIRAAIPKHCWVKDPWKSMSYVVRDVAVVFGLAAAAAYLNNWLVWPLYWAAQGTMFWGLFVLGHDCGHGSFSNNPKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKIYRSLDNVTRILRFMLPFPMLAYPFYLWIRSPGKTGSHFDPNSDLFVPSERKDVITSTLCWTAMAAILVGLGFTMGPTQLLKLYGIPYWIFVMWLDGVTYLHHHGHEEKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVDATEAAKPVLGKYYREPEKSGPLPFHLIGSLIRSLKKDHYVSDTGDVVYYQSDAELKDPSK >EOY07178 pep chromosome:Theobroma_cacao_20110822:5:732916:734453:1 gene:TCM_021677 transcript:EOY07178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase 8 isoform 1 MASFVISECGLKPLPHIYARPRAGVVSRNSSKPRFLHTSKNFSDLKTTNSIKFSTGVFEDRNWGLKVSAPLKVASIEEDEEREERIRYVNGFGEQEEESGFDPGAPPPFKLSDIRAAIPKHCWVKDPWKSMSYVVRDVAVVFGLAAAAAYLNNWLVWPLYWAAQGTMFWGLFVLGHDCGHGSFSNNPKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKIYRSLDNVTRILRFMLPFPMLAYPFYLWIRSPGKTGSHFDPNSDLFVPSERKDVITSTLCWTAMAAILVGLGFTMGPTQLLKLYGIPYWVAFVFLLVIYFLHCRNDASVGISSPVREFNLTRLCFVV >EOY07176 pep chromosome:Theobroma_cacao_20110822:5:732256:735351:1 gene:TCM_021677 transcript:EOY07176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase 8 isoform 1 MASFVISECGLKPLPHIYARPRAGVVSRNSSKPRFLHTSKNFSDLKTTNSIKFSTGVFEDRNWGLKVSAPLKVASIEEDEEREERIRYVNGFGEQEEESGFDPGAPPPFKLSDIRAAIPKHCWVKDPWKSMSYVVRDVAVVFGLAAAAAYLNNWLVWPLYWAAQGTMFWGLFVLGHDCGHGSFSNNPKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKIYRSLDNVTRILRFMLPFPMLAYPFYLWIRSPGKTGSHFDPNSDLFVPSERKDVITSTLCWTAMAAILVGLGFTMGPTQLLKLYGIPYWIFVMWLDGVTYLHHHGHEEKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVDATEAAKPVLGKYYREPEKSGPLPFHLIGSLIRSLKKDHYVSDTGDVVYYQSDAELKDPSK >EOY07817 pep chromosome:Theobroma_cacao_20110822:5:2802657:2805619:1 gene:TCM_022138 transcript:EOY07817 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase isoform 2 MDSLLQTRSEKVPLALSTRNGIVVAVKMLSVELESMRGEREFVSEIITLSNLKHENLVTLKGCCVDGANRFLVYNYMENNSLAQILLGGEQNRIKLGWEPRRAISLGVARGLAYLHEEAKPHIVHRDIKASNILLDQNLIPKVSDFGLSRILRDNVTHISTHVAGTLGYLAPEYAISGRLTRKTDVYSFGVLLLEIISGQTVVNYDLEHGERYLVQKAWELYRANSILQLVDPIVGMNYPEEEAVRFIKVGLLCAQETAKLRPEMSRAVRMLTNDIDIEGVQISQPGLVSDLMNIKLGQKTTFPSISSKASSMESSRSPPSSYS >EOY07815 pep chromosome:Theobroma_cacao_20110822:5:2802779:2808295:1 gene:TCM_022138 transcript:EOY07815 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase isoform 2 MYLSSCIPVDSRVHLSSGIELFWGRQSSRFPRQAKDMKLTSCFSNCFSSASETAIDRGGPGKMLGVFISFFFLLSAYTEAEEENDQNFTVFSYSELKAATHGFSASNKIGEGAFGSVYKGLLRNGIVVAVKMLSVELESMRGEREFVSEIITLSNLKHENLVTLKGCCVDGANRFLVYNYMENNSLAQILLGGEQNRIKLGWEPRRAISLGVARGLAYLHEEAKPHIVHRDIKASNILLDQNLIPKVSDFGLSRILRDNVTHISTHVAGTLGYLAPEYAISGRLTRKTDVYSFGVLLLEIISGQTVVNYDLEHGERYLVQKVMLLEAWELYRANSILQLVDPIVGMNYPEEEAVRFIKVGLLCAQETAKLRPEMSRAVRMLTNDIDIEGVQISQPGLVSDLMNIKLGQKTTFPSISSKASSMESSRSPPSSYS >EOY07816 pep chromosome:Theobroma_cacao_20110822:5:2802657:2805619:1 gene:TCM_022138 transcript:EOY07816 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase isoform 2 MDSLLQTRSEKVPLALSTRNGIVVAVKMLSVELESMRGEREFVSEIITLSNLKHENLVTLKGCCVDGANRFLVYNYMENNSLAQILLGGEQNRIKLGWEPRRAISLGVARGLAYLHEEAKPHIVHRDIKASNILLDQNLIPKVSDFGLSRILRDNVTHISTHVAGTLGYLAPEYAISGRLTRKTDVYSFGVLLLEIISGQTVVNYDLEHGERYLVQKAWELYRANSILQLVDPIVGMNYPEEEAVRFIKVGLLCAQETAKLRPEMSRAVRMLTNDIDIEGVQISQPGLVSDLMNIKLGQKTTFPSISSKASSMESSRSPPSSYS >EOY11377 pep chromosome:Theobroma_cacao_20110822:5:39131634:39136090:-1 gene:TCM_026582 transcript:EOY11377 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein isoform 3 MTIDSFTMEIMLKTHWKTISLAHIRSDRTEGENNEPNSMNPQQPVLDVPPLSCVHYIGPPSPGDTFSSPTREQTEASERIGPAMIFLPSQSTREELDNMMAHTKYGVALTGAAATGSIGPLRGLRNISESEDSYHFRVNVPGASMEKGDFSCDIEPDGTVVIKGISTTGEKVVHWGSLVFEMLTQNLGPLGPFTISFQLPGPVNPQEVVSRLADGIFEAIVKKK >EOY11378 pep chromosome:Theobroma_cacao_20110822:5:39131634:39133502:-1 gene:TCM_026582 transcript:EOY11378 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein isoform 3 MNSQTVSSGEDGNDLSERLRALNLNDGVLRSDRTEGENNEPNSMNPQQPVLDVPPLSCVHYIGPPSPGDTFSSPTREQTEASERIGPAMIFLPSQSTREELDNMMAHTKYGVALTGAAATGSIGPLRGLRNISESEDSYHFRVNVPGASMEKGDFSCDIEPDGTVVIKGISTTGEKVVHWGSLVFEMLTQNLGPLGPFTISFQLPGPVNPQEVVSRLADGIFEAIVKKK >EOY11376 pep chromosome:Theobroma_cacao_20110822:5:39131777:39141901:-1 gene:TCM_026582 transcript:EOY11376 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein isoform 3 MASPMRSDRTEGENNEPNSMNPQQPVLDVPPLSCVHYIGPPSPGDTFSSPTREQTEASERIGPAMIFLPSQSTREELDNMMAHTKYGVALTGAAATGSIGPLRGLRNISESEDSYHFRVNVPGASMEKGDFSCDIEPDGTVVIKGISTTGEKVVHWGSLVFEMLTQNLGPLGPFTISFQLPGPVNPQEVVSRLADGIFEAIVKKK >EOY09151 pep chromosome:Theobroma_cacao_20110822:5:26576231:26586274:1 gene:TCM_024548 transcript:EOY09151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit isoform 3 MESRRQSKDSLSYSNLFNLESLMNFKVPQPDDDFDYYGNSSQDESRGSQGGALVNHGNGTMSERELRLAKRKRRGAFNSDEEDEDYQGTRITEERYRSMLGEHIQKYKRRFKDTSVSQAPPRMGIPTQKSNLGGSKMRKLGNEQRAGFYDMETTSEWMNDVSPQRLANYHEADLVPKIMYEPAYLDIGEGITYKIPPTYDKLAVSLNLPSFSDVRVEEFYLKGTLDLGSLAAMMDSDKRFGPRSQAGMGEPRPQYESLQARLKALAASNSNQKFSLKVSESALNSSIPEGAAGNIQRSILSEGGVLQVYYVKVLEKGDTYEIIERSLPKKPKVKKDPSEIEREEMEKIGKVWVNIVRRDIPKHHRIFTTFHRKQLIDSKRFAENCQREVKMKVSKSLKFMRGAAFRTRKLARDMLLFWKRVDKEMAEVRKKEEREAAEALRREQELREQKRTEQRLNFLIQQTELYSHFMQNKANSQPSEALPAGDEEPNDDEEEEDDAGPSGEEDSEEAELKKEALRAAQDAVSKQKKLTSAFDTECLKLRQSAETEMPLEDSSVAGSSNIDLHNPSTMPVTSTVQTPEMFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEISRFCPDLKTLPYWGGLQERMILRKNINPKRLYRREAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSSSSIRWRTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVISELTRKTEITVHCKLSSRQQAFYQAIKNKISLAELFDSNRGPLNEKKILNLMNIVIQLRKVCNHPELFERNEGSTYLYFGEIPNSLLPPPFGELEDVHYAGGHNPISYKIPKLLQQEVIQSSETLCSAVARGVYQELFYKYFNVFSKENVYQSIFRQESSSNGLSVRSGTFGFTHLMNLSPAEVAFLGTGSFMERLMFSISRWDDQFLDGVLDSLMEVLDDDFNSSYLESETVRGVTRMLLMPSRSETNSLRRRFATGPGDDPFEALVVSHQDRLLFNTKLLHSTHTFIPRTRAPPIFAQCPDRNFAYRMTEELHHPWVKRLLIGFARTSEFNGPRMPDASHSLIQEIDCELPVAQPALQLTYKIFGSCPPIQSFDPAKLLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQLRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILRRASQKSTVQQLVMTGDLVQGDLLAPEDVVSLLLDDAQLELKLKEIPQRKSASDRQRNSQKMSEASPMDNDLDDILQDDDFLQSQRPKRPKRPKKSVNKNLEPAITTASASVSVSVSEPVQYPPGHEFGPGGFRTEMVHSNMLT >EOY09147 pep chromosome:Theobroma_cacao_20110822:5:26576385:26587275:1 gene:TCM_024548 transcript:EOY09147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit isoform 3 MESRRQSKDSLSYSNLFNLESLMNFKVPQPDDDFDYYGNSSQDESRGSQGGALVNHGNGTMSERELRLAKRKRRGAFNSDEEDEDYQGTRITEERYRSMLGEHIQKYKRRFKDTSVSQAPPRMGIPTQKSNLGGSKMRKLGNEQRAGFYDMETTSEWMNDVSPQRLANYHEADLVPKIMYEPAYLDIGEGITYKIPPTYDKLAVSLNLPSFSDVRVEEFYLKGTLDLGSLAAMMDSDKRFGPRSQAGMGEPRPQYESLQARLKALAASNSNQKFSLKVSESALNSSIPEGAAGNIQRSILSEGGVLQVYYVKVLEKGDTYEIIERSLPKKPKVKKDPSEIEREEMEKIGKVWVNIVRRDIPKHHRIFTTFHRKQLIDSKRFAENCQREVKMKVSKSLKFMRGAAFRTRKLARDMLLFWKRVDKEMAEVRKKEEREAAEALRREQELREQKRTEQRLNFLIQQTELYSHFMQNKANSQPSEALPAGDEEPNDDEEEEDDAGPSGEEDSEEAELKKEALRAAQDAVSKQKKLTSAFDTECLKLRQSAETEMPLEDSSVAGSSNIDLHNPSTMPVTSTVQTPEMFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEISRFCPDLKTLPYWGGLQERMILRKNINPKRLYRREAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSSSSIRWRTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVISELTRKTEITVHCKLSSRQQAFYQAIKNKISLAELFDSNRGPLNEKKILNLMNIVIQLRKVCNHPELFERNEGSTYLYFGEIPNSLLPPPFGELEDVHYAGGHNPISYKIPKLLQQEVIQSSETLCSAVARGVYQELFYKYFNVFSKENVYQSIFRQESSSNGLSVRSGTFGFTHLMNLSPAEVAFLGTGSFMERLMFSISRWDDQFLDGVLDSLMEVLDDDFNSSYLESETVRGVTRMLLMPSRSETNSLRRRFATGPGDDPFEALVVSHQDRLLFNTKLLHSTHTFIPRTRAPPIFAQCPDRNFAYRMTEELHHPWVKRLLIGFARTSEFNGPRMPDASHSLIQEIDCELPVAQPALQLTYKIFGSCPPIQSFDPAKLLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQLRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILRRASQKSTVQQLVMTGDLVQGDLLAPEDVVSLLLDDAQLELKLKEIPLQAKDRIKKKQPTKGIRLDAEGDASLEDLTSTGAEGTGTEPSADPEKAKSSNKKRKSASDRQRNSQKMSEASPMDNDLDDILQDDDFLQSQRPKRPKRPKKSVNKNLEPAITTASASVSVSVSEPVQYPPGHEFGPGGFRTEMVHSNMLT >EOY09149 pep chromosome:Theobroma_cacao_20110822:5:26576198:26586366:1 gene:TCM_024548 transcript:EOY09149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit isoform 3 MSERELRLAKRKRRGAFNSDEEDEDYQGTRITEERYRSMLGEHIQKYKRRFKDTSVSQAPPRMGIPTQKSNLGGSKMRKLGNEQRAGFYDMETTSEWMNDVSPQRLANYHEADLVPKIMYEPAYLDIGEGITYKIPPTYDKLAVSLNLPSFSDVRVEEFYLKGTLDLGSLAAMMDSDKRFGPRSQAGMGEPRPQYESLQARLKALAASNSNQKFSLKVSESALNSSIPEGAAGNIQRSILSEGGVLQVYYVKVLEKGDTYEIIERSLPKKPKVKKDPSEIEREEMEKIGKVWVNIVRRDIPKHHRIFTTFHRKQLIDSKRFAENCQREVKMKVSKSLKFMRGAAFRTRKLARDMLLFWKRVDKEMAEVRKKEEREAAEALRREQELREQKRTEQRLNFLIQQTELYSHFMQNKANSQPSEALPAGDEEPNDDEEEEDDAGPSGEEDSEEAELKKEALRAAQDAVSKQKKLTSAFDTECLKLRQSAETEMPLEDSSVAGSSNIDLHNPSTMPVTSTVQTPEMFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEISRFCPDLKTLPYWGGLQERMILRKNINPKRLYRREAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSSSSIRWRTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVISELTRKTEITVHCKLSSRQQAFYQAIKNKISLAELFDSNRGPLNEKKILNLMNIVIQLRKVCNHPELFERNEGSTYLYFGEIPNSLLPPPFGELEDVHYAGGHNPISYKIPKLLQQEVIQSSETLCSAVARGVYQELFYKYFNVFSKENVYQSIFRQESSSNGLSVRSGTFGFTHLMNLSPAEVAFLGTGSFMERLMFSISRWDDQFLDGVLDSLMEVLDDDFNSSYLESETVRGVTRMLLMPSRSETNSLRRRFATGPGDDPFEALVVSHQDRLLFNTKLLHSTHTFIPRTRAPPIFAQCPDRNFAYRMTEELHHPWVKRLLIGFARTSEFNGPRMPDASHSLIQEIDCELPVAQPALQLTYKIFGSCPPIQSFDPAKLLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQLRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILRRASQKSTVQQLVMTGDLVQGDLLAPEDVVSLLLDDAQLELKLKEIPLQAKDRIKKKQPTKGIRLDAEGDASLEDLTSTGAEGTGTEPSADPEKAKSSNKKRKSASDRQRNSQKMSEASPMDNDLDDILQDDDFLQSQRPKRPKRPKKSVNKNLEPAITTASASVSVSVSEPVQYPPGHEFGPGGFRTEMVHSNMLT >EOY09148 pep chromosome:Theobroma_cacao_20110822:5:26545112:26586759:1 gene:TCM_024548 transcript:EOY09148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit isoform 3 MESRRQSKDSLSYSNLFNLESLMNFKVPQPDDDFDYYGNSSQDESRGSQGGALVNHGNGTMSERELRLAKRKRRGAFNSDEEDEDYQGTRITEERYRSMLGEHIQKYKRRFKDTSVSQAPPRMGIPTQKSNLGGSKMRKLGNEQRAGFYDMETTSEWMNDVSPQRLANYHEADLVPKIMYEPAYLDIGEGITYKIPPTYDKLAVSLNLPSFSDVRVEEFYLKGTLDLGSLAAMMDSDKRFGPRSQAGMGEPRPQYESLQARLKALAASNSNQKFSLKVSESALNSSIPEGAAGNIQRSILSEGGVLQVYYVKVLEKGDTYEIIERSLPKKPKVKKDPSEIEREEMEKIGKVWVNIVRRDIPKHHRIFTTFHRKQLIDSKRFAENCQREVKMKVSKSLKFMRGAAFRTRKLARDMLLFWKRVDKEMAEVRKKEEREAAEALRREQELREQKRTEQRLNFLIQQTELYSHFMQNKANSQPSEALPAGDEEPNDDEEEEDDAGPSGEEDSEEAELKKEALRAAQDAVSKQKKLTSAFDTECLKLRQSAETEMPLEDSSVAGSSNIDLHNPSTMPVTSTVQTPEMFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEISRFCPDLKTLPYWGGLQERMILRKNINPKRLYRREAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSSSSIRWRTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVISELTRKTEITVHCKLSSRQQAFYQAIKNKISLAELFDSNRGPLNEKKILNLMNIVIQLRKVCNHPELFERNEGSTYLYFGEIPNSLLPPPFGELEDVHYAGGHNPISYKIPKLLQQEVIQSSETLCSAVARGVYQELFYKYFNVFSKENVYQSIFRQESSSNGLSVRSGTFGFTHLMNLSPAEVAFLGTGSFMERLMFSISRWDDQFLDGVLDSLMEVLDDDFNSSYLESETVRGVTRMLLMPSRSETNSLRRRFATGPGDDPFEALVVSHQDRLLFNTKLLHSTHTFIPRTRAPPIFAQCPDRNFAYRMTEELHHPWVKRLLIGFARTSEFNGPRMPDASHSLIQEIDCELPVAQPALQLTYKIFGSCPPIQSFDPAKLLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQLRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILRRASQKSTVQQLVMTGDLVQGDLLAPEDVVSLLLDDAQLELKLKEIPLQAKDRIKKKQPTKGIRLDAEGDASLEDLTSTGAEGTGTEPSADPEKAKSSNKKRKSASDRQRNSQKMSEASPMDNDLDDILQDDDFLQSQRPKRPKRPKKSVNKNLEPAITTASASVSVSVSEPVQYPPGHEFGPGGFRTEMVHSNMLT >EOY09150 pep chromosome:Theobroma_cacao_20110822:5:26576198:26586366:1 gene:TCM_024548 transcript:EOY09150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit isoform 3 MESRRQSKDSLSYSNLFNLESLMNFKVPQPDDDFDYYGNSSQDESRGSQGGALVNHGNGTMSERELRLAKRKRRGAFNSDEEDEDYQGTRITEERYRSMLGEHIQKYKRRFKDTSVSQAPPRMGIPTQKSNLGGSKMRKLGNEQRAGFYDMETTSEWMNDVSPQRLANYHEADLVPKIMYEPAYLDIGEGITYKIPPTYDKLAVSLNLPSFSDVRVEEFYLKGTLDLGSLAAMMDSDKRFGPRSQAGMGEPRPQYESLQARLKALAASNSNQKFSLKVSESALNSSIPEGAAGNIQRSILSEGGVLQVYYVKVLEKGDTYEIIERSLPKKPKVKKDPSEIEREEMEKIGKVWVNIVRRDIPKHHRIFTTFHRKQLIDSKRFAENCQREVKMKVSKSLKFMRGAAFRTRKLARDMLLFWKRVDKEMAEVRKKEEREAAEALRREQELREQKRTEQRLNFLIQQTELYSHFMQNKANSQPSEALPAGDEEPNDDEEEEDDAGPSGEEDSEEAELKKEALRAAQDAVSKQKKLTSAFDTECLKLRQSAETEMPLEDSSVAGSSNIDLHNPSTMPVTSTVQTPEMFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEISRFCPDLKTLPYWGGLQERMILRKNINPKRLYRREAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSSSSIRWRTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVISELTRKTEITVHCKLSSRQQAFYQAIKNKISLAELFDSNRGPLNEKKILNLMNIVIQLRKVCNHPELFERNEGSTYLYFGEIPNSLLPPPFGELEDVHYAGGHNPISYKIPKLLQQEVIQSSETLCSAVARGVYQELFYKYFNVFSKENVYQSIFRQESSSNGLSVRSGTFGFTHLMNLSPAEVAFLGTGSFMERLMFSISRWDDQFLDGVLDSLMEVLDDDFNSSYLESETVRGVTRMLLMPSRSETNSLRRRFATGPGDDPFEALVVSHQDRLLFNTKLLHSTHTFIPRTRAPPIFAQCPDRNFAYRMTEELHHPWVKRLLIGFARTSEFNGPRMPDASHSLIQEIDCELPVAQPALQLTYKIFGSCPPIQSFDPAKLLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEVCIGMTFLYSC >EOY11597 pep chromosome:Theobroma_cacao_20110822:5:39820135:39821493:-1 gene:TCM_026732 transcript:EOY11597 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 15, putative MGVMDLREKKSLSEVVMMSENNKKFCTDCKTTKTPLWRGGPAGPKSLCNACGIRYRKKRRAMLGLNKGPEKKKERSQSSHSSSTTTTTSSASVATTNVGDKKPSGQLNGLSESVKMRLYALGSEVFLQRSSSSSLLSGVVKKQRCQRRRKLGEEEQAAFSLMALSCGSVFFSPLFLSEMQMPFDLDYCSLEN >EOY11237 pep chromosome:Theobroma_cacao_20110822:5:38498227:38501161:-1 gene:TCM_026477 transcript:EOY11237 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MAKRESVQTKVDAAKSNVEEIKPDVQHWCDKVDKAINEEGKKVKDLEDKAKNKCFFDLCPNIKSQDQLSKKAEKAALIVDELIQQCQFNEVGYRGVPQAPDGAPSKNFKDFDSRNEIFDRVIEALKDSTISMIGVYGTGGVGKTTLVNEVARRVQEDKLFGRVAKETVTRTPDIERIQYEIAEPLGLKLNETKSTRERARLLLQRLKEEERVLVVLDDIWASLDLEQVGIPLGDQHKGCKILLTLRNRDVLTNQMDAKKAFPIDVLEETEAWNLFKEMAENDFKDSDLRSVATEVAKKCEALPVAIVTVARALRSEELYAWKDALAQLQRPSPSNFEGIPAAVYSAIELSYNNLKSGELKQTFLLCGLLGHNARVEDLVRYGMGLHLFDNVNTVEDTRNRVLTPVSNLKAFCLLRDSYSDDRFDMHDFDLVILCQLAIASRDNHAFALKHKGVFDDWPDEEMMRKSKMISLSFDSVEKLPHELECPQLDFFQYGFRKLKKLEILNLSGSEIEMLPEETGQLTKLRLLDVCDCYELKIPAGVLSSLSKLEELYIGRSFDGWNEGSNARLDELRDLPCLTTLNARIPDVKIVPYDLFSLFAEKLKRYMIFIGNILYWHGEIEFSRALKLVLDTSINQLDDRVQC >EOY07270 pep chromosome:Theobroma_cacao_20110822:5:998085:999617:1 gene:TCM_021735 transcript:EOY07270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane intrinsic protein 1,4 MEGQNEDVRLGANKYRERQPIGTAAQSQDVKDYNDPPSAPIFEPGELSSWSFYRAGIAEFVATFLFLYITVLTVMGVVKSSSKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMIMQCLGAICGAAVVKSFQKTPYERLGGGANTVSSGYSKTSGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAALIYNKEQAWADHWIFWVGPFIGAALAALYHQIVIRAVLFKSK >EOY08760 pep chromosome:Theobroma_cacao_20110822:5:19558976:19562063:-1 gene:TCM_023886 transcript:EOY08760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKEEPLIDLIRVWREIAVRGLDKVEENKVKVLILKVDSKKAFDSVNWKFLDLIMTKMGFGEKWKGWIKECISIASISVLVNGLPTRQFKLMRSLRQGCSLSPFLFNMVVKALSCKLNVTTLPRSLPTSETSERSHQVPNKPSKISETFIKYALMYVYD >EOY07155 pep chromosome:Theobroma_cacao_20110822:5:658664:661499:-1 gene:TCM_021665 transcript:EOY07155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALPFFFLCPRSLCMARVHCHFFFNYLWHGSEFNGSDKAECKETGRFPVCFTAAASILCYILVPISWRLLKNFIGNLVKHPDPNGALATVLVLPFLFGFCVILLKHSSEFRYREEILEDCKEFSKFRY >EOY07034 pep chromosome:Theobroma_cacao_20110822:5:253483:253879:-1 gene:TCM_021568 transcript:EOY07034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNWESPFEQEVEKMEEFVRGLASVKGLTLRAQDIAEAALYLASDESKYVSGHNLGVDGGVTTSRNCDGL >EOY07661 pep chromosome:Theobroma_cacao_20110822:5:2361153:2363853:-1 gene:TCM_022042 transcript:EOY07661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MSIRHLQNSRIFFRFLAWWILFSFFPGENRTELPDVTKTGVVYSFKGEESWQPLTELVSKKCKRCGFYEKDTIKADDIFAEWEFCSSDFKAPKYTLFKEEEFNATFHCPDCTSLPAASNTAPEKHDDGNGMHVAVVILISVLISTVTIVALVMAYKYWQKKKRQQDQARFLKLFEEGDDIEDELGLGTVI >EOY07659 pep chromosome:Theobroma_cacao_20110822:5:2361024:2363797:-1 gene:TCM_022042 transcript:EOY07659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MSIRHLQNSRIFFRFLAWWILFSFFPGLISSAVVTLDSIEIFKTHELIGKPTVYFLCKGENRTELPDVTKTGVVYSFKGEESWQPLTELVSKKCKRCGFYEKDTIKADDIFAEWEFCSSDFKAPKYTLFKEEEFNATFHCPDCTSLPAASNTAPEKHDDGNGMHVAVVILISVLISTVTIVALVMAYKYWQKKKRQQDQARFLKLFEEGDDIEDELGLGTVI >EOY07662 pep chromosome:Theobroma_cacao_20110822:5:2361024:2363853:-1 gene:TCM_022042 transcript:EOY07662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MSIRHLQNSRIFFRFLAWWILFSFFPGENRTELPDVTKTGVVYSFKGEESWQPLTELVSKKCKRCGFYEKDTIKADDIFAEWEFCSSDFKAPKYTLFKEEEFNATFHCPDCTSLPAASNTAPEKHDDGNGMHVAVVILISVLISTVTIVALVMAYKYWQKKKRQQDQARFLKLFEEGDDIEDELGLGTVI >EOY07660 pep chromosome:Theobroma_cacao_20110822:5:2361159:2363772:-1 gene:TCM_022042 transcript:EOY07660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MSIRHLQNSRIFFRFLAWWILFSFFPGLISSAVVTLDSIEIFKTHELIGKPTVYFLCKGENRTELPDVTKTGVVYSFKGEESWQPLTELVSKKCKRCGFYEKDTIKADDIFAEWEFCSSDFKAPKYTLFKEEEFNATFHCPDCTSLPAASNTAPEKHDDGNGMHVAVVILISVLISTVTIVALVMAYKYWQKKKRQQDQARFLKLFEEGDDIEDELGLGTVI >EOY07663 pep chromosome:Theobroma_cacao_20110822:5:2361106:2363680:-1 gene:TCM_022042 transcript:EOY07663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MSIRHLQNSRIFFRFLAWWILFSFFPGENRTELPDVTKTGVVYSFKGEESWQPLTELVSKKCKRCGFYEKDTIKADDIFAEWEFCSSDFKAPKYTLFKEEEFNATFHCPDCTSLPAASNTAPEKHDDGNGMHVAVVILISVLISTVTIVALVMAYKYWQKKKRQQDQARFLKLFEEGDDIEDELGLGTVI >EOY07658 pep chromosome:Theobroma_cacao_20110822:5:2361431:2363561:-1 gene:TCM_022042 transcript:EOY07658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MSIRHLQNSRIFFRFLAWWILFSFFPGLISSAVVTLDSIEIFKTHELIGKPTVYFLCKGENRTELPDVTKTGVVYSFKGEESWQPLTELVSKKCKRCGFYEKDTIKADDIFAEWEFCSSDFKAPKYTLFKEEEFNATFHCPDCTSLPAASNTAPEKHDDGNGMHVAVVILISVLISTVTIVALVMAYKYWQKKKRQQDQARFLKLFEEGDDIEDELGLGTVI >EOY09673 pep chromosome:Theobroma_cacao_20110822:5:30457477:30461346:1 gene:TCM_025067 transcript:EOY09673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase, transferring glycosyl groups, putative MLSCSRSLLSQTIKRKRKTKTKIKLKANKNVSRKQKFIAKNQQLESNEEEEGFDLKSSAPSHSHGVQPLGNLYFNPGSTNSRNTGLGNLQILSDELVLEILGLLEGTQLGVVATVSKSFYVFTSHEPLWRNLVLDNLKGRFLYNGSWKFTYIFIFHPSFDVSKSTCFSGLRVRDFYSDYLFQSWLCANLEMKPEWLERDNIIRKIGIRVEDFVMNFEEPNKPVLLEGCMENWDALKKWDRDYLVNLCGDVQFSVGPVKMRLEDYYRYSDQVKEERPLYLFDPKFVEKIPTLGLEYEVPVYFREDLFSVLGNERPDYRWIIIGPAGSGSSFHIDPNSTSAWNAVIRGSKKWVLFPPDVVPPGVHPSPDGAEVACPVSIIEWFMNFYGATKNWKKRPIECICKAGEVIFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKKPNASELVSGTRDRINLYEKFKNAMEASFPGTIDELSQKAEEKKAQQKLSFWDSVTDSKVGAFKFSF >EOY09936 pep chromosome:Theobroma_cacao_20110822:5:31836453:31846943:-1 gene:TCM_025309 transcript:EOY09936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 97, subfamily A, polypeptide 3 isoform 3 MADGLENGTSKFGIVKCGSSSNGREPDSVDNGVKSIELLREEKRRAELSARIASGEFTVQKSGFPSLLRNGLSKLGVPIEVLEFLFKCGDYPKIPEAKGTIKAIRSEAFFLPLYELYLTYGGMFRLTIGPKSFLIVSDPSIAKHILRDNSKSYSKGVLAEILEFVMGKGLIPADAEIWRVRRRAIVPALHQKYVAAMISLFGQATERLCQKLDDAATDGEDVEMESLFSHLTLDIIGKAVFNYDFDSLTNDTGIVEAVYTVLREAEDRSVSPIPTWEIPIWKDISPQQRKVAEALKLINDVLDDLIATCKRMVEEEELQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTLLIAGHETSAAVLTWTFYLLSKEPTVVSKLQDEVDSVLGDRFPTIGDMKKLKYTTRVINESLRLYPQPPVLIRRSLEDDVLGKYPIKRGEDIFISVWNLHHSPSLWEDPEKFNPERWPLDGPNPNETNQNFCYLPFGGGPRKCVGDMFASFENVVAVAMLVRRFNFQMALGAPPVEMTTGATIHTTGGLKMTVTRRIQPPIIPELDMQGLKMEASARNSEEETQLGEKGKL >EOY09937 pep chromosome:Theobroma_cacao_20110822:5:31837540:31846471:-1 gene:TCM_025309 transcript:EOY09937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 97, subfamily A, polypeptide 3 isoform 3 MAATPAYPPLQLHLTKPKSAAFPLKHYGTSKFGIVKCGSSSNGREPDSVDNGVKSIELLREEKRRAELSARIASGEFTVQKSGFPSLLRNGLSKLGVPIEVLEFLFKCGDYPKIPEAKGTIKAIRSEAFFLPLYELYLTYGGMFRLTIGPKSFLIVSDPSIAKHILRDNSKSYSKGVLAEILEFVMGKGLIPADAEIWRVRRRAIVPALHQKYVAAMISLFGQATERLCQKLDDAATDGEDVEMESLFSHLTLDIIGKAVFNYDFDSLTNDTGIVEAVYTVLREAEDRSVSPIPTWEIPIWKDISPQQRKVAEALKLINDVLDDLIATCKRMVEEEELQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTLLIAGHETSAAVLTWTFYLLSKEPTVVSKLQDEVDSVLGDRFPTIGDMKKLKYTTRVINESLRLYPQPPVLIRRSLEDDVLGKYPIKRGEDIFISVWNLHHSPSLWEDPEKFNPERWPLDGPNPNETNQNFCYLPFGGGPRKCVGDMFASFENVVAVAMLVRRFNFQMALGAPPVEMTTGATIHTTGGLKMTVTRRIQPPIIPELDMQGLKMEASARNSEEETQLGEKGKL >EOY09938 pep chromosome:Theobroma_cacao_20110822:5:31836793:31846297:-1 gene:TCM_025309 transcript:EOY09938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 97, subfamily A, polypeptide 3 isoform 3 PLQLHLTKPKSAAFPLKHYGTSKFGIVKCGSSSNGREPDSVDNGVKSIELLREEKRRAELSARIASGEFTVQKSGFPSLLRNGLSKLGVPIEVLEFLFKCGDYPKIPEAKGTIKAIRSEAFFLPLYELYLTYGGMFRLTIGPKSFLIVSDPSIAKHILRDNSKSYSKGVLAEILEFVMGKGLIPADAEIWRVRRRAIVPALHQKYVAAMISLFGQATERLCQKLDDAATDGEDVEMESLFSHLTLDIIGKAVFNYDFDSLTNDTGIVEAVYTVLREAEDRSVSPIPTWEIPIWKDISPQQRKVAEALKLINDVLDDLIATCKRMVEEEELQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTLLIAGHETSAAVLTWTFYLLSKEPTVVSKLQDEVDSVLGDRFPTIGDMKKLKYTTRVINESLRLYPQPPVLIRRSLEDDVLGKYPIKRGEDIFISVWNLHHSPSLWEDPEKFNPERWPLDGPNPNETNQNFCYLPFGGGPRKCVGDMFASFENVVAVAMLVRRFNFQMALGAPPVEMTTGATIHTTGGLKMTVTRRIQPPIIPELDMQGLKMEASARNSEEETQLNRIN >EOY11731 pep chromosome:Theobroma_cacao_20110822:5:40153274:40157999:-1 gene:TCM_026815 transcript:EOY11731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shaggy-related kinase 11, 11 isoform 1 MASVGMAPTSVLRESNAAGVDKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYTYQIFRALSYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHRRMPPEAVDLVSRLLQYSPNLRCTALDALIHPFFDELRDPNARLPNGRFLPPLFNFKSHELNGVPVEILAKLIPEHARKQCPFLGL >EOY11732 pep chromosome:Theobroma_cacao_20110822:5:40153287:40158046:-1 gene:TCM_026815 transcript:EOY11732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shaggy-related kinase 11, 11 isoform 1 MASVGMAPTSVLRESNAAGVDKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYTYQIFRALSYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHRRMPPEAVDLVSRLLQYSPNLRCTALDALIHPFFDELRDPNARLPNGRFLPPLFNFKSHELNGVPVEILAKLIPEHARKQCPFLGL >EOY09319 pep chromosome:Theobroma_cacao_20110822:5:28245907:28249658:-1 gene:TCM_024742 transcript:EOY09319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Curculin-like lectin family protein / PAN domain-containing protein, putative MLEQERFLYGSALLLCISLGSLLFGVVASEITLGSKLSVEEDNSWVSSNGDFAIGFYNHPDRPSQFGIGIRFNSKSIPVDKRTVVWVAGGDVSVSNNSYFQLQKNGELVLFDASQSQEQWTSKTSQLSVASAFLRDDGNLVLLNEKKDIVWQSFDYPTDTLLPGQRFYAFSTLRAAGQNDVSSLYTLYMNVSGQLQLRWESSIIYWSSGRPSHSNLTAVLTSSGSLQLVAPNLGPIWSVFGEDHDDTVRFRFLRLDVDGNLRIFSWVEVSQTWRSVWQAVENQCTVFATCYQQGICVFNASGSPVCTCPFHHTGQSNSKCLFSSQHDCKSGSIMVEQANMFLYGYYPVNDSISITSINQCKSMCLNDSSCTSVTFTNDGSAKCRMMKTPYVSGYSVPSLSSISFVKSCSDPIAADPIIPLKSPPQAQKESYKICIPCLVGAASGTFVVFVVIQLAMGFYLHKRRNSYRRLASLAYSTPGSKCLIMLSFTEIKDLTGNFNHQIGPKMFKGALPNNQLVTVKELEATTEARKFRAAVSKIGSIYHKGLVKLEGYCCELDHRYLVYEFPKNGSVEKYIEDSTLAERLTWRKRMEICLSVGRAIFYLHTECREFLCHGNLKCENVVLDENFEAKVNEFGFRMLYGEASSHRASAEKDVEEFGKIVMTLVSGIKEVNDVVGWAYKEWLEGHPENVVDKRLEGEVDNEELERALRIAFWCLQTDERVKPSMGEVVKVLEGTLPVDPPPPPFTCWRSPREEEDSSELGSESET >EOY09015 pep chromosome:Theobroma_cacao_20110822:5:24896928:24898687:1 gene:TCM_024355 transcript:EOY09015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type-a response regulator MGMAAESQFHVLAVDDSIIDRKLIERLLKTSSYQVTTVDSGSKALEFLGLHEDDTTNPSTPCVSPNNHQEVEVNLVITDYCMPGMTGYDLLKKIKESSSLRDIPVVIMSSENVPSRISRCLEEGAEEFFLKPVRLSDLNKLRPHLMKTKSKDQHQKQEKQEEKSAFQSPKQQQQEKEEHQQQQQSSNNKRKAIEEGLSPERTRPRYNGITTVV >EOY10643 pep chromosome:Theobroma_cacao_20110822:5:35681553:35684577:1 gene:TCM_025954 transcript:EOY10643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MQSIESIADSPFSQNPNNSSSSSVNGLYGWLFECHGFWHNLALIIPSLLFALFLGFQAKKSFQKLSHGRSYIMISYYGSLWLVSLLNLAWCSLQAWECTPGKEMVWNILSLFTTSGMLFLEVSLVAFLLQGNYTSGLEALTRTFVVSGLIVGLDLLLKVKWGLWVVHRLVLTAIYGFILFMYHSKWRERLPARPAFYKYVAIMFILNALALIACGLTGNGAGFGFWLYSATIVCYHALYLPLLYITFLADFFQEEDLHLENVYYSEMKDAGFFDTDWE >EOY10642 pep chromosome:Theobroma_cacao_20110822:5:35681547:35684498:1 gene:TCM_025954 transcript:EOY10642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MQSIESIADSPFSQNPNNSSSSSVNGLYGWLFECHGFWHNLALIIPSLLFALFLGFQAKKSFQKLSHGRSYIMISYYGSLWLVSLLNLAWCSLQAWECTPGKEMVWNILSLFTTSGMLFLEVSLVAFLLQGNYTSGLEALTRTFVVSGLIVGLDLLLKAIYLFGFGVPLFIDNTEHPHQVKWGLWVVHRLVLTAIYGFILFMYHSKWRERLPARPAFYKYVAIMFILNALALIACGLTGNGAGFGFWLYSATIVCYHALYLPLLYITFLADFFQVIIYLRPSRSPNLGSFYFRFIVKISSC >EOY10644 pep chromosome:Theobroma_cacao_20110822:5:35681805:35684167:1 gene:TCM_025954 transcript:EOY10644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MQSIESIADSPFSQNPNNSSSSSVNGLYGWLFECHGFWHNLALIIPSLLFALFLGFQAKKSFQKLSHGRSYIMISYYGSLWLVSLLNLAWCSLQAWECTPGKEMVWNILSLFTTSGMLFLEVSLVAFLLQGNYTSGLEALTRTFVVSGLIVGLDLLLKAIYLFGFGVPLFIDNTEHPHQVKWGLWVVHRLVLTAIYGFILFMYHSKWRERLPARPAFYKYVAIMFILNALALIACGLTGNGAGFGFW >EOY10641 pep chromosome:Theobroma_cacao_20110822:5:35681667:35685272:1 gene:TCM_025954 transcript:EOY10641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MQSIESIADSPFSQNPNNSSSSSVNGLYGWLFECHGFWHNLALIIPSLLFALFLGFQAKKSFQKLSHGRSYIMISYYGSLWLVSLLNLAWCSLQAWECTPGKEMVWNILSLFTTSGMLFLEVSLVAFLLQGNYTSGLEALTRTFVVSGLIVGLDLLLKAIYLFGFGVPLFIDNTEHPHQVKWGLWVVHRLVLTAIYGFILFMYHSKWRERLPARPAFYKYVAIMFILNALALIACGLTGNGAGFGFWLYSATIVCYHALYLPLLYITFLADFFQEEDLHLENVYYSEMKDAGFFDTDWE >EOY10645 pep chromosome:Theobroma_cacao_20110822:5:35681553:35736168:1 gene:TCM_025954 transcript:EOY10645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MQSIESIADSPFSQNPNNSSSSSVNGLYGWLFECHGFWHNLALIIPSLLFALFLGFQAKKSFQKLSHGRSYIMISYYGSLWLVSLLNLAWCSLQAWECTPGKEMVWNILSLFTTSGMLFLEVSLVAFLLQGNYTSGLEALTRTFVVSGLIVGLDLLLKVFLLLAIYLFGFGVPLFIDNTEHPHQVKWGLWVVHRLVLTAIYGFILFMYHSKWRERLPARPAFYKYVAIMFILNALALIACGLTGNGCTAPQLFATACSMYKYCYGNEANNLEFALALSFFIPGINSLSLFLVLYVCIEQLGDLSSDG >EOY11435 pep chromosome:Theobroma_cacao_20110822:5:39273449:39275448:-1 gene:TCM_026610 transcript:EOY11435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 1 MTLTISIKALPFFSHMDADAWHDLFIYLHRLQPMSVHKNVLKLIGCCLETQNPLLVYEFGGEKTLKKYILDVHEGQPEPLTWKSRIRIAMDIANAVAYLHTALARPIIHRGLSLISIVLDSKHVAKLSEFSLSIAIPEGKSHVEDAISGITGYMAPEVWKGSKINEKADVYSFGRLLFELLTGRNNVPEYYAAEDAIVEEFVQSYVESNRLIEIVDQNISSEGINRDELVAFAKIALSCTEENPEDRPTITDVAKQLRQLHKASPCIT >EOY11434 pep chromosome:Theobroma_cacao_20110822:5:39271325:39274790:-1 gene:TCM_026610 transcript:EOY11434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 1 MFSIFTRRTQTKDEGPLLKNGSMLLEKLIASCNGKCNPIRTFSAEELSKATNGFNVEQEISRYVHFVLYKGFLDGREISVKRYEIRESKYLETAITDIVIGSQMSVHKNVLKLIGCCLETQNPLLVYEFGGEKTLKKYILDVHEGQPEPLTWKSRIRIAMDIANAVAYLHTALARPIIHRGLSLISIVLDSKHVAKLSEFSLSIAIPEGKSHVEDAISGITGYMAPEVWKGSKINEKADVYSFGRLLFELLTGRNNVPEYYAAEDAIVEEFVQSYVESNRLIEIVDQNISSEGINRDELVAFAKIALSCTEENPEDRPTITDVAKQLRQLHKASPCIT >EOY11436 pep chromosome:Theobroma_cacao_20110822:5:39271155:39275440:-1 gene:TCM_026610 transcript:EOY11436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 1 MFSIFTRRTQTKDEGPLLKNGSMLLEKLIASCNGKCNPIRTFSAEELSKATNGFNVEQEISRYVHFVLYKGFLDGREISVKRYEIRESKYLETAITDIVIGSQMSVHKNVLKLIGCCLETQNPLLVYEFGGEKTLKKYILDVHEGQPEPLTWKSRIRIAMDIANAVAYLHTALARPIIHRGLSLISIVLDSKHVAKLSEFSLSIAIPEGKSHVEDAISGITGYMAPEVWKGSKINEKADVYSFGRLLFELLTGRNNVPEYYAAEDAIVEEFVQSYVESNRLIEIVDQNISSEGINRDELVAFAKIALSCTEENPEDRPTITDVAKQLRQLHKASPCIT >EOY11433 pep chromosome:Theobroma_cacao_20110822:5:39268127:39275593:-1 gene:TCM_026610 transcript:EOY11433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 1 MTLTISIKALPFFSHMDADAWHDLFIYLHRLQPKEGKMFSIFTRRTQTKDEGPLLKNGSMLLEKLIASCNGKCNPIRTFSAEELSKATNGFNVEQEISRYVHFVLYKGFLDGREISVKRYEIRESKYLETAITDIVIGSQMSVHKNVLKLIGCCLETQNPLLVYEFGGEKTLKKYILDVHEGQPEPLTWKSRIRIAMDIANAVAYLHTALARPIIHRGLSLISIVLDSKHVAKLSEFSLSIAIPEGKSHVEDAISGITGYMAPEVWKGSKINEKADVYSFGRLLFELLTGRNNVPEYYAAEDAIVEEFVQSYVESNRLIEIVDQNISSEGINRDELVAFAKIALSCTEENPEDRPTITDVAKQLRQLHKASPCIT >EOY09685 pep chromosome:Theobroma_cacao_20110822:5:30524934:30535169:1 gene:TCM_025077 transcript:EOY09685 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein isoform 3 MDDETTLSEEEELAKADSSNPIDELALLQKESEIPVEELLARYKKDFSGDDVSGDESEYASALSEDLLDLPAHQNVETREEGSAKDENLETSAGRGVVHPSAEERDGSPDRKPEDGMESEIRIADAAAAARSAQPTGNTFSTTNVRTKFPFLLKHPLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLRWCPAFKILTYFGSAKERKFKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPISGMIDGQERVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKHEHVIYCRLSRRQRNLYEDFIASSETQATLASANFFGMISVIMQLRKVCNHPDLFEGRPIVSSFDMGGIDIQLSSSICSILSPGPFSTVDLKNLGILFTDLDFSMTSWESDEVEALATPSNLIEERADQDNLEEIGTFSKHHKSLRGTNIFEEIRNALREERLREAKQRAASIAWWNSLRCRKKPVYSTTLCELLSVKHPAFDIHHQKADRRSYLYSSRLAEIVLSPVERFQAMIHLVESFMFAIPAARAPAPVCWCSKTGTSVFLHPTYVEKCAETLLPLVTPIRPALVRRQVYFPDKRLIQFDCGKLQELAVLLRRLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKIFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHRTLSVKSIQKEKNHNSGIEVSVSNDDVEAALKYAEDEADYMALKKVEQEEAVDNQEFTEEAMGKVEDDEFVNEDDMKADESADQGGLMTASNKDNGLILNGVGPMEEKALTFAGREEDVDMLADVKQMAAAAAAAGQAISSLENQLRPIDRYAIRFLELWDPLIDKGTMNSEVRFEEAEWELDRIEKYKEEMEAEIDDDEEPLVYEKWDADFATEAYRQQVALAQHQLMEELEYEAKEKEEADDGNFDAMNEMVSEPKPKSKKKKKPKKAKFKSLKKGSLSSEVKPAKEEPKAEHMSIDDDVNSHEELSYSDIASPSYHVPKKRKKVEIVHDAEEGKSTKKKPKKLKKPPELRPVYWDPNAIRKRNDDCAEVKPCESLAVEFEQKPASRSKTGGKISITSMPVKRVLMIKPEKLKKGNIWSRDCVPSPDSWLPQEDAILCAVVHEYGPHWSLVSETLYSMTAGGFYRGRYRHPVHCCERYRELIQRHILAAPDSSVNEKFSNAGSGKALLKVTEDNIRMLLNFAAGQPDHELLVQKHFTALLASVWRVKSRPENRQNVSSSRNGVRLGGRFLSPFLSHTPQGSAKEPAQRMKFTNLRECSKLLSAALHDASNRQRSDTVSSSDRRGDSPVIAECLEITLEIQESGDSMIPFPPVINLSIYGSDLVTSRNETTGEDLHLKASNVAAENRSRAAARACVGGGLGWASSAFPANDSKSRSGSKLPSLGKHKLSVSDTMRSKSKLKKASMEHGDVHNLFPEQVFQPVATIAPNDPYLRCDLTSVTNDSSWADVVDSDLCCSMDEALSLESEVYEVVPHSYIAGFISGLDDCSMLPEYTDIG >EOY09687 pep chromosome:Theobroma_cacao_20110822:5:30524934:30535169:1 gene:TCM_025077 transcript:EOY09687 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein isoform 3 MESEIRIADAAAAARSAQPTGNTFSTTNVRTKFPFLLKHPLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLRWCPAFKILTYFGSAKERKFKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPISGMIDGQERVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKHEHVIYCRLSRRQRNLYEDFIASSETQATLASANFFGMISVIMQLRKVCNHPDLFEGRPIVSSFDMGGIDIQLSSSICSILSPGPFSTVDLKNLGILFTDLDFSMTSWESDEVEALATPSNLIEERADQDNLEEIGTFSKHHKSLRGTNIFEEIRNALREERLREAKQRAASIAWWNSLRCRKKPVYSTTLCELLSVKHPAFDIHHQKADRRSYLYSSRLAEIVLSPVERFQAMIHLVESFMFAIPAARAPAPVCWCSKTGTSVFLHPTYVEKCAETLLPLVTPIRPALVRRQVYFPDKRLIQFDCGKLQELAVLLRRLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKIFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHRTLSVKSIQKEKNHNSGIEVSVSNDDVEAALKYAEDEADYMALKKVEQEEAVDNQEFTEEAMGKVEDDEFVNEDDMKADESADQGGLMTASNKDNGLILNGVGPMEEKALTFAGREEDVDMLADVKQMAAAAAAAGQAISSLENQLRPIDRYAIRFLELWDPLIDKGTMNSEVRFEEAEWELDRIEKYKEEMEAEIDDDEEPLVYEKWDADFATEAYRQQVALAQHQLMEELEYEAKEKEEADDGNFDAMNEMVSEPKPKSKKKKKPKKAKFKSLKKGSLSSEVKPAKEEPKAEHMSIDDDVNSHEELSYSDIASPSYHVPKKRKKVEIVHDAEEGKSTKKKPKKLKKPPELRPVYWDPNAIRKRNDDCAEVKPCESLAVEFEQKPASRSKTGGKISITSMPVKRVLMIKPEKLKKGNIWSRDCVPSPDSWLPQEDAILCAVVHEYGPHWSLVSETLYSMTAGGFYRGRYRHPVHCCERYRELIQRHILAAPDSSVNEKFSNAGSGKALLKVTEDNIRMLLNFAAGQPDHELLVQKHFTALLASVWRVKSRPENRQNVSSSRNGVRLGGRFLSPFLSHTPQGSAKEPAQRMKFTNLRECSKLLSAALHDASNRQRSDTVSSSDRRGDSPVIAECLEITLEIQESGDSMIPFPPVINLSIYGSDLVTSRNETTGEDLHLKASNVAAENRSSS >EOY09684 pep chromosome:Theobroma_cacao_20110822:5:30517520:30535445:1 gene:TCM_025077 transcript:EOY09684 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein isoform 3 MASKGPRSKLEHETRARRQKALEAPREPQRPKTHWDHVLEEMVWLSKDFESERKWKLAQAKKVALRASKGMLDQATRGEKKLKEEEQRLRKVALNISKDVKKFWMKIEKLVLYKHQMELDEKKKKALDKQLEFLLGQTERYSTMLAENLVDPHRPVQQCRAQHQLNSPGKADMNDVGEPLELNADADEDFDVHSEEESEDDEQTIEEDEALITAEERQEELAALNSEIDLPLEVLLKRYDVERVSRESSPEKREDAIESISVKDNNSNGNCFSASSKIDTTNSLDRRSNESNGGLSLDIEASPPRNLSESSGELAKEDVPYDFSDEQEDGDFTLAGEEKDDETTLSEEEELAKADSSNPIDELALLQKESEIPVEELLARYKKDFSGDDVSGDESEYASALSEDLLDLPAHQNVETREEGSAKDENLETSAGRGVVHPSAEERDGSPDRKPEDGMESEIRIADAAAAARSAQPTGNTFSTTNVRTKFPFLLKHPLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLRWCPAFKILTYFGSAKERKFKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPISGMIDGQERVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKHEHVIYCRLSRRQRNLYEDFIASSETQATLASANFFGMISVIMQLRKVCNHPDLFEGRPIVSSFDMGGIDIQLSSSICSILSPGPFSTVDLKNLGILFTDLDFSMTSWESDEVEALATPSNLIEERADQDNLEEIGTFSKHHKSLRGTNIFEEIRNALREERLREAKQRAASIAWWNSLRCRKKPVYSTTLCELLSVKHPAFDIHHQKADRRSYLYSSRLAEIVLSPVERFQAMIHLVESFMFAIPAARAPAPVCWCSKTGTSVFLHPTYVEKCAETLLPLVTPIRPALVRRQVYFPDKRLIQFDCGKLQELAVLLRRLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKIFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHRTLSVKSIQKEKNHNSGIEVSVSNDDVEAALKYAEDEADYMALKKVEQEEAVDNQEFTEEAMGKVEDDEFVNEDDMKADESADQGGLMTASNKDNGLILNGVGPMEEKALTFAGREEDVDMLADVKQMAAAAAAAGQAISSLENQLRPIDRYAIRFLELWDPLIDKGTMNSEVRFEEAEWELDRIEKYKEEMEAEIDDDEEPLVYEKWDADFATEAYRQQVALAQHQLMEELEYEAKEKEEADDGNFDAMNEMVSEPKPKSKKKKKPKKAKFKSLKKGSLSSEVKPAKEEPKAEHMSIDDDVNSHEELSYSDIASPSYHVPKKRKKVEIVHDAEEGKSTKKKPKKLKKPPELRPVYWDPNAIRKRNDDCAEVKPCESLAVEFEQKPASRSKTGGKISITSMPVKRVLMIKPEKLKKGNIWSRDCVPSPDSWLPQEDAILCAVVHEYGPHWSLVSETLYSMTAGGFYRGRYRHPVHCCERYRELIQRHILAAPDSSVNEKFSNAGSGKALLKVTEDNIRMLLNFAAGQPDHELLVQKHFTALLASVWRVKSRPENRQNVSSSRNGVRLGGRFLSPFLSHTPQGSAKEPAQRMKFTNLRECSKLLSAALHDASNRQRSDTVSSSDRRGDSPVIAECLEITLEIQESGDSMIPFPPVINLSIYGSDLVTSRNETTGEDLHLKASNVAAENRSRAAARACVGGGLGWASSAFPANDSKSRSGSKLPSLGKHKLSVSDTMRSKSKLKKASMEHGDVHNLFPEQVFQPVATIAPNDPYLRCDLTSVTNDSSWADVVDSDLCCSMDEALSLESEVYEVVPHSYIAGFISGLDDCSMLPEYTDIG >EOY09686 pep chromosome:Theobroma_cacao_20110822:5:30524934:30535169:1 gene:TCM_025077 transcript:EOY09686 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein isoform 3 MESEIRIADAAAAARSAQPTGNTFSTTNVRTKFPFLLKHPLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLRWCPAFKILTYFGSAKERKFKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPISGMIDGQERVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKHEHVIYCRLSRRQRNLYEDFIASSETQATLASANFFGMISVIMQLRKVCNHPDLFEGRPIVSSFDMGGIDIQLSSSICSILSPGPFSTVDLKNLGILFTDLDFSMTSWESDEVEALATPSNLIEERADQDNLEEIGTFSKHHKSLRGTNIFEEIRNALREERLREAKQRAASIAWWNSLRCRKKPVYSTTLCELLSVKHPAFDIHHQKADRRSYLYSSRLAEIVLSPVERFQAMIHLVESFMFAIPAARAPAPVCWCSKTGTSVFLHPTYVEKCAETLLPLVTPIRPALVRRQVYFPDKRLIQFDCGKLQELAVLLRRLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKIFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHRTLSVKSIQKEKNHNSGIEVSVSNDDVEAALKYAEDEADYMALKKVEQEEAVDNQEFTEEAMGKVEDDEFVNEDDMKADESADQGGLMTASNKDNGLILNGVGPMEEKALTFAGREEDVDMLADVKQMAAAAAAAGQAISSLENQLRPIDRYAIRFLELWDPLIDKGTMNSEVRFEEAEWELDRIEKYKEEMEAEIDDDEEPLVYEKWDADFATEAYRQQVALAQHQLMEELEYEAKEKEEADDGNFDAMNEMVSEPKPKSKKKKKPKKAKFKSLKKGSLSSEVKPAKEEPKAEHMSIDDDVNSHEELSYSDIASPSYHVPKKRKKVEIVHDAEEGKSTKKKPKKLKKPPELRPVYWDPNAIRKRNDDCAEVKPCESLAVEFEQKPASRSKTGGKISITSMPVKRVLMIKPEKLKKGNIWSRDCVPSPDSWLPQEDAILCAVVHEYGPHWSLVSETLYSMTAGGFYRGRYRHPVHCCERYRELIQRHILAAPDSSVNEKFSNAGSGKALLKVTEDNIRMLLNFAAGQPDHELLVQKHFTALLASVWRVKSRPENRQNVSSSRNGVRLGGRFLSPFLSHTPQGSAKEPAQRMKFTNLRECSKLLSAALHDASNRQRSDTVSSSDRRGDSPVIAECLEITLEIQESGDSMIPFPPVINLSIYGSDLVTSRNETTGEDLHLKASNVAAENRSRAAARACVGGGLGWASSAFPANDSKSRSGSKLPSLGKHKLSVSDTMRSKSKLKKASMEHGDVHNLFPEQVFQPVATIAPNDPYLRCDLTSVTNDSSWADVVDSDLCCSMDEALSLESEVYEVVPHSYIAGFISGLDDCSMLPEYTDIG >EOY10435 pep chromosome:Theobroma_cacao_20110822:5:34755258:34759061:-1 gene:TCM_025798 transcript:EOY10435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic, putative MAEKHGSSITRKSFFCLFMATTMLFVSSWLFVLRSTSRPNFFDRTLFPNEKLLTIFNNGHSESSSQSNVESSNGSSRAILANNEKDHEEGEGEEPPKREVGFQDKDGAANCNGNVKEPLKVFMYDLDPEFHFGLLGWKPEGGSSVWPDIRTRIPSYPGGLNLQHSIEYWLTLDLLASEFSDNPNNRAAIRVQNSSEADLIFVPFFSSLSYNRFSKVNPHQKKNRNKVLQAKLVRFLTAQKEWKRSGGRDHIVMAHHPNSMLDARMQLWPAMFILSDFGRYPINIANVDKDVIAPYKHVIKSYVNDTSDFDSRKTLLFFQGAIYRKDGGYARQELYYLLRGEKDVHFSFGSVQKDGVNKASQGMHSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDEIELPYEDVLDYSQFCIFVRTSDALKERFLVNLVRSIKKEGWTRMWEKLKEVESSFEFQYPSKEGDAVQMIWQAVARKAPAVKMKINKSRRFSRSVPRKERGLRTIPSPSNFW >EOY08031 pep chromosome:Theobroma_cacao_20110822:5:4092187:4094595:-1 gene:TCM_022354 transcript:EOY08031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoin permease MVFKCISFHLHPHPHPHPHPHPHLSLYSAISQNPKFSSLALTPLFSTGTTTKIKATRNQIYHSFPKRCAILTPMASSGQSSVGTKFDELEPDPTLTNDDLKPTTPSQRNFHWWEMACLWIGLVVGVPSYYLAGSLVDIGMAWWQGIATVVAANLILLAPLVLTGHPGAKYGISFPVLARSAFGIRGAHVPTLLRALVGCGWYGIESWIGGEGIFLLLPKSIKESSLSQLLPWLGTSALEFACFIVFWVAQLSIVWKGMDGIKELEKYSAPILIILTSILLIWAYVKADGLGHMLSLSSRLSSSEFWSLFFPSLTANISFWAPVALNIPDFTRYAKSQKDQIIGQAGLPIFMGAFTFVGLAVTSSTEVIFGHVISSPIQLLGQIGGFATKILAILGISLATLTTNIAANVVAPANALVNLSPSKFTFRRGALLTALLGIAFQPWRLLKSSESFVYTWLVGYSALLGPIGGIVLADYYLIHRMNLSIKDLYSLSPYGAYYYTGGFNLAALAALAIGILPVIPGFLQKVGILPTVSDAFVVIYNNAWFFSFFSAGLLYWILSSMRGKHTKPLPYDPLLPPAA >EOY10784 pep chromosome:Theobroma_cacao_20110822:5:36499184:36500352:1 gene:TCM_026092 transcript:EOY10784 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein MKGVYFLASFLFLALASSFASASDPSPLQDFCVALNDTKNAVFVNGKFCKDPMLAKAEDFFFSGLNVPGNTSNQLGSKVTPVNVEQIPGLNTLGVSLARVDFAPYGGLNPPHTHPRATEILVVLEGTLYVGFVTSNPDNRLITKVLYPGDVFVFPVGLIHFQLNIGKTNAVAFAAFGSQNPGVITIAKAVFGSNPPINPDVLTKAFQLDKNVVKYLQSQFWWDNN >EOY11350 pep chromosome:Theobroma_cacao_20110822:5:39045320:39051342:-1 gene:TCM_026563 transcript:EOY11350 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPK1-related protein kinase 1 MQDLFGSVRRSLVFRTTPDNINNTQENSPPIPTTANAFVEKINSCIRKSRVFSKPSSPSPSPPPAIRWRKGELIGSGAFGRVYMGMNLDSGELLAVKEVLIAENSASKEKAQAHVRELEEEVKLLKNLSHPNIVRYLGTVREEETLNILLEFVPGGSISSLLGKFGPFPEAVIRTYTTQLLLGLEYLHNNGIMHRDIKGANILVDNKGSIKLADFGASKQVVELATVSGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFYVGTTKSHPPIPENLSIEAKDFLLKCLQKEPELRPAASELLKHPFVTGESQESNPILHASVMENSEITSSLHATNHEGSQVSTCPDSVDICNLGSLNCSNTFVEKFSESKDSWRINCSEDDMCQIDKDHLGLCSSSMLDNVNKSSDPLCEPSHDWKSKFGEDPETVPVGGPTTCGKGGNDYSFTCGPSLSEDDDELTESKIRAFLDEKALELKKLQTPLYEEFYNSLNVASSPSFIENKRDETPPNYLKLPPKSRSPSRGPIGTPSSAADAIGTGSPGSNNSRRVSNIGHASDQTSQDNSSPQLSDWKGLLVDGQPEPSSPSVISSERHRKWKEELDQELDRKREMLRQAGVGGKTSSPKDRALNRQRDRTRFASPGK >EOY10584 pep chromosome:Theobroma_cacao_20110822:5:35471963:35475739:1 gene:TCM_025915 transcript:EOY10584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper/zinc superoxide dismutase 3 isoform 4 MEGGSKGTLKAVALIVGDNNVRGSLHFSQFPNGITHVKGKITGLSPGLHGFHIHALGDTTNGCNSTGPHFNPFKKDHGAPSDGERHAGDLGNIIAGLDGVAEVSIKDWQVGMNLARQLGMQVQELDVVSLAFNHLFRSSLVAVKGCLT >EOY10582 pep chromosome:Theobroma_cacao_20110822:5:35472019:35475480:1 gene:TCM_025915 transcript:EOY10582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper/zinc superoxide dismutase 3 isoform 4 MEGGSKGTLKAVALIVGDNNVRGSLHFSQFPNGITHVKGKITGLSPGLHGFHIHALGDTTNGCNSTGPHFNPFKKDHGAPSDGERHAGDLGNIIAGLDGVAEVSIKDWQIPLSGPHSILGRAVVVHADPDDLGRGGHELSKTTGNAGARVGCDAACMQNGLTFD >EOY10581 pep chromosome:Theobroma_cacao_20110822:5:35472054:35475480:1 gene:TCM_025915 transcript:EOY10581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper/zinc superoxide dismutase 3 isoform 4 MEGGSKGTLKAVALIVGDNNVRGSLHFSQFPNGITHVKGKITGLSPGLHGFHIHALGDTTNGCNSTGPHFNPFKKDHGAPSDGERHAGDLGNIIAGLDGVAEVSIKDWQIPLSGPHSILGRAVVVHADPDDLGRGGHELSKTTGNAGARVGCGIIGLQSSV >EOY10583 pep chromosome:Theobroma_cacao_20110822:5:35472019:35475212:1 gene:TCM_025915 transcript:EOY10583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper/zinc superoxide dismutase 3 isoform 4 MEGGSKGTLKAVALIVGDNNVRGSLHFSQFPNGITHVKGKITGLSPGLHGFHIHALGDTTNGCNSTGPHFNPFKKDHGAPSDGERHAGDLGNIIAGLDGVAEVSIKDWQIPLSGPHSILGRAVVVHADPDDLGREGV >EOY10585 pep chromosome:Theobroma_cacao_20110822:5:35471996:35475650:1 gene:TCM_025915 transcript:EOY10585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper/zinc superoxide dismutase 3 isoform 4 NREATVEVEDKEWKVVRKEHSKLWLSSLETTTLEALFTSLNSQRITHVKGKITGLSPGLHGFHIHALGDTTNGCNSTGPHFNPFKKDHGAPSDGERHAGDLGNIIAGLDGVAEVSIKDWQIPLSGPHSILGRAVVVHADPDDLGGHELSKTTGNAGIIGLQSS >EOY07778 pep chromosome:Theobroma_cacao_20110822:5:2653052:2655857:1 gene:TCM_022106 transcript:EOY07778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase family protein isoform 3 GISVAVTSLILFPYSQFSPCGQLTKKQNPKRQLETELSQSKSHLETTLNLNSFKNPDQILNQIFTMTTHHPLKAVTLTHVRYQRGDRLGHFLAWVSLVPVFISLGGFVSHFIFRRELQGMFFALGLIVSQFINELIKKSVQQARPETCALLEMCDSHGWPSSHSQYMFFFAVYFTLLTCKGIGGIWNVRTKWAALFLPWSLAVLTMYSRVYLGYHTVAQVFAGAALGIFLGGVWFWLVNSKLFCYFPLIEESAFGRYFYAKDTSHISDVLKFEYDNARAARKGMAARKAMASKSS >EOY07776 pep chromosome:Theobroma_cacao_20110822:5:2652834:2655925:1 gene:TCM_022106 transcript:EOY07776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase family protein isoform 3 MGSPGFPRVGISVAVTSLILFPYSQFSPCGQLTKKQNPKRQLETELSQSKSHLETTLNLNSFKNPDQILNQIFTMTTHHPLKAVTLTHVRYQRGDRLGHFLAWVSLVPVFISLGGFVSHFIFRRELQGMFFALGLIVSQFINELIKKSVQQARPETCALLEMCDSHGWPSSHSQYMFFFAVYFTLLTCKGIGGIWNVRTKWAALFLPWSLAVLTMYSRVYLGYHTVAQVFAGAALGIFLGGVWFWLVNSKLFCYFPLIEESAFGRYFYAKDTSHISDVLKFEYDNARAARKGQYLHHCVSRFCFYAYK >EOY07777 pep chromosome:Theobroma_cacao_20110822:5:2653127:2655856:1 gene:TCM_022106 transcript:EOY07777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase family protein isoform 3 KQNPKRQLETELSQSKSHLETTLNLNSFKNPDQILNQIFTMTTHHPLKAVTLTHVRYQRGDRLGHFLAWVSLVPVFISLGGFVSHFIFRRELQGMFFALGLIVSQFINELIKKSVQQARPETCALLEMCDSHGWPSSHSQYMFFFAVYFTLLTCKGIGGIWNVRTKWAALFLPWSLAVLTMYSRVYLGYHTVAQVFAGAALGIFLDVLKFEYDNARAARKGMAARKAMASKSS >EOY08092 pep chromosome:Theobroma_cacao_20110822:5:4464515:4466778:1 gene:TCM_022414 transcript:EOY08092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFDGKIWDPTNMLDITKLRLAWWVKSKWLDHNTSITNFIRAPSSTLARTSRKQTKSKVSWECPPIGWLKFNIDGAVRSCPGHLGIGGVLQDETGAIKLIFSKKASWGDANLAEVLAVRKAMVLFATSSWVNSNNIIIESDSKNVVSWVFNPSKALWSSNETADSLAKSGVNKTHDL >EOY09020 pep chromosome:Theobroma_cacao_20110822:5:24916783:24923201:1 gene:TCM_024358 transcript:EOY09020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein 1589 of Uncharacterized protein function isoform 3 MTQKEVVETLLAQAKIEPGFTELVWQKLEEENREFFQAYYLRLTVKQQIMEFNKLLEQQVRLMRQIHPTGVVSVSNSNGLRLPPMPQNSACYAPEDTGPSLKQENMHHPMGSSLPNVFTNGSSSLHAGMHAAVELPTHASRIDAPPPLLSTQSSNMGLMQGINGKMIKSETGYSGSSAYMFGAEGNVLEPRPTIGDTSFSSVESSSQPLNEPLMDADISSIGFLGQIPRNFSLSDLAADFSQSSDILESYPRSPYLATDNENFLDSREREHQADNKMLDTISEGLSYEDFRNE >EOY09019 pep chromosome:Theobroma_cacao_20110822:5:24916783:24923201:1 gene:TCM_024358 transcript:EOY09019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein 1589 of Uncharacterized protein function isoform 3 MSTGSVRRVSRQDIQLVQNLIERCLQLYMTQKEVVETLLAQAKIEPGFTELVWQKLEEENREFFQAYYLRLTVKQQIMEFNKLLEQQVRLMRQIHPTGVVSVSNSNGLRLPPMPQNSACYAPEDTGPSLKQENMHHPMGSSLPNVFTNGSSSLHAGMHAAVELPTHASRIDAPPPLLSTQSSNMGLMQGINGKMIKSETGYSGSSAYMFGAEGNVLEPRPTIGDTSFSSVESSSQPLNEPLMDADISSIGFLGQIPRNFSLSDLAADFSQSSDILESYPRSPYLATDNENFLDSREREHQGDTVIFPSSSFKYLFVEFLLVVIFEECDNFVL >EOY09018 pep chromosome:Theobroma_cacao_20110822:5:24916783:24923201:1 gene:TCM_024358 transcript:EOY09018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein 1589 of Uncharacterized protein function isoform 3 MSTGSVRRVSRQDIQLVQNLIERCLQLYMTQKEVVETLLAQAKIEPGFTELVWQKLEEENREFFQAYYLRLTVKQQIMEFNKLLEQQVRLMRQIHPTGVVSVSNSNGLRLPPMPQNSACYAPEDTGPSLKQENMHHPMGSSLPNVFTNGSSSLHAGMHAAVELPTHASRIDAPPPLLSTQSSNMGLMQGINGKMIKSETGYSGSSAYMFGAEGNVLEPRPTIGDTSFSSVESSSQPLNEPLMDADISSIGFLGQIPRNFSLSDLAADFSQSSDILESYPRSPYLATDNENFLDSREREHQEGLSYEDFRNE >EOY09017 pep chromosome:Theobroma_cacao_20110822:5:24916810:24923054:1 gene:TCM_024358 transcript:EOY09017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein 1589 of Uncharacterized protein function isoform 3 MSTGSVRRVSRQDIQLVQNLIERCLQLYMTQKEVVETLLAQAKIEPGFTELVWQKLEEENREFFQAYYLRLTVKQQIMEFNKLLEQQVRLMRQIHPTGVVSVSNSNGLRLPPMPQNSACYAPEDTGPSLKQENMHHPMGSSLPNVFTNGSSSLHAGMHAAVELPTHASRIDAPPPLLSTQSSNMGLMQGINGKMIKSETGYSGSSAYMFGAEGNVLEPRPTIGDTSFSSVESSSQPLNEPLMDADISSIGFLGQIPRNFSLSDLAADFSQSSDILESYPRSPYLATDNENFLDSREREHQADNKMLDTISEGLSYEDFRNE >EOY10912 pep chromosome:Theobroma_cacao_20110822:5:36968321:36984136:1 gene:TCM_026187 transcript:EOY10912 gene_biotype:protein_coding transcript_biotype:protein_coding description:T27c4.14 protein isoform 3 MQGFSDGGAGAGANLAQLQSTMHTIEVACSSIQMHINPTAAEATILSLSQSPQPYKACQYILENSQVANARFQAAAAIQDAAIREWGFLSIEDRRSLISFCLCFAMQHASSLEGYVQAKVSSVAAQLMKRGWLDFTAAEKEAFFYQVNQAILGAHGVDVQFIGVHFLESLVSEFSPSTSSAMGLPREFHEQCRTSLELNYLKTFYCWTRDAALSVTNKIIESDAAIPEVKVCTAALCLMLQILNWEFRHDTNSMKAGISVFSAGVRHDSASSKRSECVLVQPGPAWCDVLISSGHVGWLLGLYAALRQKFSREGYWLDCPIAVSARKLIVQFCSLTGTIFPSDNGKMQENLLLQLLSGILQWIDPPGAVSKAIEEGKSESEMLDGCRALLSIATVTTSFVFDQLLKSLRPFGTLTLLSTLMCEVVKVLMTNNTDEETWSWEARDILLDTWTILLVPMDSNGGDALLPPEGKHAAANLFSMIVESELKVASASVANDDGDSDYLQASISAMDERLSSYALIARAAVDVTIPLLTGLFSERFARLHQGRGIMDPTETLEELYSLLLITGHVLADEGDGETPLVPTAIQTHFADIVEAENHPVVILSGSIIRFAEQSMDHEMRVTVFSPRLMEAVIWFLARWSHTYLMPLEEANCHLSHDYEHQHQSIHSRKALLSFFGEHNQGQIVLNIIVHISMMTLMSYPGEKDLQGLTCHHLLHTLVRRKNICHQLVSMDSWRDLANAFTNEKSLFLLSSANQRSLAQTLVLSASGLRNSEASNQYVRGLMVHMTTYLVELSNKNDLKSVSQQPDVIMSVCCLLERLRGAASAAEPRTQRSIYEMGISVMNPVLILLEVYKHESAVVYLLLKFIVDWVDGQISYLEAQETASVIDFCMRLLQLYSSQNIGKISVSLSSTLLSEAKTEKYKDLRALLQLLSSLCSKDLVDFSSDSIEVAGTNISQVVYFGLHIVTPLISLELLKYPKLCHDYFSLLSHILEVYPETLAQLNSEAFAHILGTLDFGLHHQDAEVVNMCLGALRALASYHYREMCAGKTGLGSHAAAQGNLPEGIFSRFLRSLLQLLRD >EOY10911 pep chromosome:Theobroma_cacao_20110822:5:36968368:36983031:1 gene:TCM_026187 transcript:EOY10911 gene_biotype:protein_coding transcript_biotype:protein_coding description:T27c4.14 protein isoform 3 MQGFSDGGAGAGANLAQLQSTMHTIEVACSSIQMHINPTAAEATILSLSQSPQPYKACQYILENSQVANARFQAAAAIQDAAIREWGFLSIEDRRSLISFCLCFAMQHASSLEGYVQAKVSSVAAQLMKRGWLDFTAAEKEAFFYQVNQAILGAHGVDVQFIGVHFLESLVSEFSPSTSSAMGLPREFHEQCRTSLELNYLKTFYCWTRDAALSVTNKIIESDAAIPEVKVCTAALCLMLQILNWEFRHDTNSMKAGISVFSAGVRHDSASSKRSECVLVQPGPAWCDVLISSGHVGWLLGLYAALRQKFSREGYWLDCPIAVSARKLIVQFCSLTGTIFPSDNGKMQENLLLQLLSGILQWIDPPGAVSKAIEEGKSESEMLDGCRALLSIATVTTSFVFDQLLKSLRPFGTLTLLSTLMCEVVKVLMTNNTDEETWSWEARDILLDTWTILLVPMDSNGGDALLPPEGKHAAANLFSMIVESELKVASASVANDDGDSDYLQASISAMDERLSSYALIARAAVDVTIPLLTGLFSERFARLHQGRGIMDPTETLEELYSLLLITGHVLADEGDGETPLVPTAIQTHFADIVEAENHPVVILSGSIIRFAEQSMDHEMRVTVFSPRLMEAVIWFLARWSHTYLMPLEEANCHLSHDYEHQHQSIHSRKALLSFFGEHNQGQIVLNIIVHISMMTLMSYPGEKDLQGLTCHHLLHTLVRRKNICHQLVSMDSWRDLANAFTNEKSLFLLSSANQRSLAQTLVLSASGLRNSEASNQYVRGLMVHMTTYLVELSNKNDLKSVSQQPDVIMSVCCLLERLRGAASAAEPRTQRSIYEMGISVMNPVLILLEVYKHESAVVYLLLKFIVDWVDGQISYLEAQETASVIDFCMRLLQLYSSQNIGKISVSLSSTLLSEAKTEKYKDLRALLQLLSSLCSKDLVDFSSDSIEVAGTNISQVVVYFGLHIVTPLISLELLKYPKLCHDYFSLLSHILEVYPETLAQLNSEAFAHILGTLDFGLHHQQDAEVVNMCLGALRALASYHYREMCAGKTGLGSHAAAQGNLPEGIFSRFLRSLLQLLLFEDYSSPDLVGAAADALLPLILCEQGLYQRLGNELIERQANSTLKSRLANALHSLTSSNHLSSTLDRVNYQRFRKNLNSFLIEVRGFLRTM >EOY10913 pep chromosome:Theobroma_cacao_20110822:5:36972714:36983401:1 gene:TCM_026187 transcript:EOY10913 gene_biotype:protein_coding transcript_biotype:protein_coding description:T27c4.14 protein isoform 3 MLDGCRALLSIATVTTSFVFDQLLKSLRPFGTLTLLSTLMCEVVKVLMTNNTDEETWSWEARDILLDTWTILLVPMDSNGGDALLPPEGKHAAANLFSMIVESELKVASASVANDDGDSDYLQASISAMDERLSSYALIARAAVDVTIPLLTGLFSERFARLHQGRGIMDPTETLEELYSLLLITGHVLADEGDGETPLVPTAIQTHFADIVEAENHPVVILSGSIIRFAEQSMDHEMRVTVFSPRLMEAVIWFLARWSHTYLMPLEEANCHLSHDYEHQHQSIHSRKALLSFFGEHNQGQIVLNIIVHISMMTLMSYPGEKDLQGLTCHHLLHTLVRRKNICHQLVSMDSWRDLANAFTNEKSLFLLSSANQRSLAQTLVLSASGLRNSEASNQYVRGLMVHMTTYLVELSNKNDLKSVSQQPDVIMSVCCLLERLRGAASAAEPRTQRSIYEMGISVMNPVLILLEVYKHESAVVYLLLKFIVDWVDGQISYLEAQETASVIDFCMRLLQLYSSQNIGKISVSLSSTLLSEAKTEKYKDLRALLQLLSSLCSKDLVDFSSDSIEVAGTNISQVVYFGLHIVTPLISLELLKYPKLCHDYFSLLSHILEVYPETLAQLNSEAFAHILGTLDFGLHHQDAEVVNMCLGALRALASYHYREMCAGKTGLGSHAAAQGNLPEGIFSRFLRSLLQLLLFEDYSPDLVGAAADALLPLILCEQGLYQRLGNELIERQANSTLKSRLANALHSLTSSNHLSSTLDRVNYQRFRKNLNSFLIEVRGFLRTM >EOY08648 pep chromosome:Theobroma_cacao_20110822:5:14780102:14784217:1 gene:TCM_023502 transcript:EOY08648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSRIVCIGLFESFSKISDSILIATSVTDTSVRFSFVGYARLGPCADLDPSKKWDVTNTHVIQLLMDCGISPACDSCLQYFDATHSRILQINRPHSCVVKVVQQQIDSAFSRFPFAMSLCCVSHKLPLMVGYGYVCRHDVPYELISEVEEDLERFLEDMECICSALGCFTHRAMELVGFRLKDSANTWFITLKGSRPLGSLPITWEEFVQTFLDWFLPKNVKNIKA >EOY09886 pep chromosome:Theobroma_cacao_20110822:5:31506429:31510209:-1 gene:TCM_025255 transcript:EOY09886 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP-interactive CRIB motif-containing protein 4, putative isoform 2 MRDRMERFVILPFSVGCVSESSVAVAVQQPRRSKPADTNPTATIVLLVEAQREEDEESLSNESMKYSLKFLPLPKPDISTGFHRLCKSFKTFSQLFAYKEDSEKEMEIGFPTDVKHVTHIGLDGSASSSPSMGSWENLFSPHELFTFPSVPSGQCELPMEKQAAAASPLVQAST >EOY09885 pep chromosome:Theobroma_cacao_20110822:5:31506432:31510277:-1 gene:TCM_025255 transcript:EOY09885 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP-interactive CRIB motif-containing protein 4, putative isoform 2 MRDRMERFVILPFSVGCVSESSVAVAVQQPRRSKPADTNPTATKAQREEDEESLSNESMKYSLKFLPLPKPDISTGFHRLCKSFKTFSQLFAYKEDSEKEMEIGFPTDVKHVTHIGLDGSASSSPSMGSWENLFSPHELFTFPSVPSGQCELPMEKQAAAASPLVQAST >EOY10519 pep chromosome:Theobroma_cacao_20110822:5:35150302:35158376:1 gene:TCM_025857 transcript:EOY10519 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein isoform 2 VCFWLTSIRPPQPKTQLNFEAFEFVEPPPSKPSVFAFLFIFCSLLLLLQAPSNMSRHPEVLWAQRSDKVYLTISLPDAKDISVICDPQGLFSFSAMGVQGESFDFSLELFGKIVPEGCKTNVGLRNIICSIQKEEKGWWKRLLKSEEKPAPYIKVDWNKWCDEDEEESNSDLASDDDDAMYVGQDDESSDDEGLLYLPDLEKARGN >EOY10518 pep chromosome:Theobroma_cacao_20110822:5:35147081:35159766:1 gene:TCM_025857 transcript:EOY10518 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein isoform 2 MFGLKLMLRPPQPKTQLNFEAFEFVEPPPSKPSVFAFLFIFCSLLLLLQAPSNMSVIKIEELAIINSFFIFLVLFFVFSRHPEVLWAQRSDKVYLTISLPDAKDISVICDPQGLFSFSAMGVQGESFDFSLELFGKIVPEGCKTNVGLRNIICSIQKEEKGWWKRLLKSEEKPAPYIKVDWNKWCDEDEEESNSDLASDDDDAMYVGQDDESSDDEGLLS >EOY07654 pep chromosome:Theobroma_cacao_20110822:5:2350836:2353219:-1 gene:TCM_022038 transcript:EOY07654 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 2 MELQFLKQEENPGNHDSTSQNNQHQILEIPNSFSSSSPSNRFVLSFNNLTYTVEAGPKTLFPFNGKTVTVSRESNTKTILKDVSGEAREGEIMAILGASGSGKSTLIDALANRIAKHSLKGSVTLNGEVFESGLLKVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRSLSTSKKKARVQALIDQLGLRSAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPVLLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVLMSVHQPSYRILCLLDRLIFLSQGQTLYSGSPANLSEFFRDFGHPIPENENPAEFALDFIRELEETPYAINRSISRGKLVSQATNDLNPTSSVSSFANPFWIELLVLLKRLSINSRRMPEVFGIQLAAIVITGIIVASMFWQLDDSPRGMQERLGCFAFVVSTTFYTCAHEVPEFIQERFIFMRETAYNTYRCSSYVLARSLISIPSLITLSIAFVTITFWTVGLAGGFPGFVFLLLTIFASFWAGSSFVAFLSALVSDVLVSFVVVVATLAYFTLFSGFFVSRNRLPGYWLWFHYLSIVKYPYEAVLLNEFRDPTKCFTRGVQIFDDTPLGKLPVSLQIDLLKTVGNALGTNITGSTCLTTGRDILLQQGVTELNKWNCFWIAIGWGFFFRILFYLTLLFGSKNKRK >EOY10618 pep chromosome:Theobroma_cacao_20110822:5:35594732:35599606:-1 gene:TCM_025936 transcript:EOY10618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional 3-dehydroquinate dehydratase/shikimate dehydrogenase MSHSRVQSRPTLLCTPITGTTVGQMLTEMRLAKEIGSDVVEIRLDCLRNFSPRQDLEILIKQSPLSTLATYRPIWEGGQYEGDETKRQDALRLAMELGANYIDIELEVAHDFISSIYGKKPDNIKVIVSSHNFHNTPSTEAIGNLVARIQATGADIAKIAATALDITDCARICQITIPTIGVAMGEKGLVSQLLSPKFGGYLTYGALETGSIAPGQPTLKNLLDLYNFRLITPDTKVYGIIGKPVGHSKSPLIFNAAFKSVGLNAVYVHFLVDDVEMFFNTYSSPDFAGCSCTIPHKEVALKCMDEIDPIAKKIGAINNIVRQPDGGLIAFNTNYIGAISAIEDGLRGLNVVTVTSSAGSPLAGKLFVVLGAGGAGKSLAYGAAQKGARIIIANRTFKRAKELANKDGGQAMPLAEVENFYPEEGMVLANTTSVVMKPNIDATPIPKHALKHCCLVFDAIYTPGFYKKRKTLGRL >EOY11130 pep chromosome:Theobroma_cacao_20110822:5:38066361:38072851:1 gene:TCM_026398 transcript:EOY11130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeta-carotene desaturase MASASFLFAATGLGSVAQVKPPKLFVKSSLDTSVSDMSVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYESRSFIGGKVGSFVDRKGNHIEMGLHVFFGCYNNLFRLMKKVGADKNLLVKDHTHTFVNKGGEIGGNPAFTFMTLSLDAELDFRFPVGAPIHGIRAFLSTNQLETYDKARNALALALSPVVKALVDPDAAMRDIRDLDSISFSDWFLSKGGTRTSIQRMWDPVAYALGFIDCDNISARCMLTIFSLFATKTEASLLRMLKGSPDVYLSGPIRNYITERGGRFHLRWGCREILYDKSADGETYITGLAMSKATNKKVVKADAYVAACDVPGIKRLLPSQWRESEFFNNIYELVGVPVVTVQLRYNGWVTELQDLERSRQLRQAIGLDNLLYTPDADFSCFADLALTSPEDYYTEGQGSLLQCVLTPGDPYMPLPNDEIIKRVAKQVLALFPSSQGLEVIWSSVVKIGQSLYREGPGKDPFRPDQKTPIKNFFLAGSYTKQDYIDSMEGATLSGRQASAYICNAGEDLVALQKKLAAVGSLEQMESSSVPDELSLV >EOY07510 pep chromosome:Theobroma_cacao_20110822:5:1783952:1789750:1 gene:TCM_021924 transcript:EOY07510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, putative isoform 1 MMAVRAVNGGSLFRSAASPPLLAFWCGFRHFSSLPFRRNSELGLRFPIFCCENQFLGYGVGRSCSAYSLVDCVMEELAASRQRRRVRANVKVRITSTGELLEDKLVNRELEKGLLLEFKKDSDRILLGVAQRPDGKKNWMVYDQNGFTSSIKPQQITYIVPGVENFDQTDISKFLQKAEENLDPTLLEIAWVELLEKNKSVTAEELAEMIFGSAEPLESYCAHLLLSKDEVYFAVQETKGYCSIYVPRPTRQVEELLHKKLAKEAAEKELQDFVQLLVSAKAKPAHAKPSKSLWMMDEKIRNKIESLEAYAIDDCKSDEQKRTAGMILKTMGLTKTVSSALNLLINIGYFPVHVNLDLLKFNIRTNHSDEIIAAAESLLSESYDPDEVNRKDLTDLKVYAIDVDDADELDDALSATRLQDGRIRVWIHAADPTRYVQPGSMVDREALRRGTSVFLATGTYPMFPEKLAMEGMSLKQGELCNAVSISVVLHSDGSIAEYSVQNSIIKPTYMLTYESATELLYLNLEEEAELKMLSEAAALRLKWRRQQGAIDTSTLETRIKVVNPEDPEPSINLYVENQADPAMQLVSEMMILCGEVVATFGSANNLPLPYRGQPQSNIDVSAFSHLPEGPVRSSAIVRIMRAAEIDFRKPIRHGVLGVPGYVQFTSPIRRYLDLLAHYQVKAFLRGESPPFSAGQLEGMASIVNMQVRLVRRLSGSSLRYWIIEFLRRQPREKKYRALILRFIKDRVAALLLVEVGLQASAWVSIGAQVGDEVEVQVEEAHPRDDVLSLKEVIRN >EOY07507 pep chromosome:Theobroma_cacao_20110822:5:1783463:1797913:1 gene:TCM_021924 transcript:EOY07507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, putative isoform 1 MMAVRAVNGGSLFRSAASPPLLAFWCGFRHFSSLPFRRNSELGLRFPIFCCENQFLGYGVGRSCSAYSLVDCVMEELAASRQRRRVRANVKVRITSTGELLEDKLVNRELEKGLLLEFKKDSDRILLGVAQRPDGKKNWMVYDQNGFTSSIKPQQITYIVPGVENFDQTDISKFLQKAEENLDPTLLEIAWVELLEKNKSVTAEELAEMIFGSAEPLESYCAHLLLSKDEVYFAVQETKGYCSIYVPRPTRQVEELLHKKLAKEAAEKELQDFVQLLVSAKAKPAHAKPSKSLWMMDEKIRNKIESLEAYAIDDCKSDEQKRTAGMILKTMGLTKTVSSALNLLINIGYFPVHVNLDLLKFNIRTNHSDEIIAAAESLLSESYDPDEVNRKDLTDLKVYAIDVDDADELDDALSATRLQDGRIRVWIHAADPTRYVQPGSMVDREALRRGTSVFLATGTYPMFPEKLAMEGMSLKQGELCNAVSISVVLHSDGSIAEYSVQNSIIKPTYMLTYESATELLYLNLEEEAELKMLSEAAALRLKWRRQQGAIDTSTLETRIKVVNPEDPEPSINLYVENQADPAMQLVSEMMILCGEVVATFGSANNLPLPYRGQPQSNIDVSAFSHLPEGPVRSSAIVRIMRAAEIDFRKPIRHGVLGVPGYVQFTSPIRRYLDLLAHYQVKAFLRGESPPFSAGQLEGMASIVNMQVRLVRRLSGSSLRYWIIEFLRRQPREKKYRALILRFIKDRVAALLLVEVGLQASAWVSIGAQVGDEVEVQVEEAHPRDDVLSLKEVIRN >EOY07508 pep chromosome:Theobroma_cacao_20110822:5:1784016:1789286:1 gene:TCM_021924 transcript:EOY07508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, putative isoform 1 MIFGSAEPLESYCAHLLLSKDEVYFAVQETKGYCSIYVPRPTRQVEELLHKKLAKEAAEKELQDFVQLLVSAKAKPAHAKPSKSLWMMDEKIRNKIESLEAYAIDDCKSDEQKRTAGMILKTMGLTKTVSSALNLLINIGYFPVHVNLDLLKFNIRTNHSDEIIAAAESLLSESYDPDEVNRKDLTDLKVYAIDVDDADELDDALSATRLQDGRIRVWIHAADPTRYVQPGSMVDREALRRGTSVFLATGTYPMFPEKLAMEGMSLKQGELCNAVSISVVLHSDGSIAEYSVQNSIIKPTYMLTYESATELLYLNLEEEAELKMLSEAAALRLKWRRQQGAIDTSTLETRIKVVNPEDPEPSINLYVENQADPAMQLVSEMMILCGEVVATFGSANNLPLPYRGQPQSNIDVSAFSHLPEGPVRSSAIVRIMRAAEIDFRKPIRHGVLGVPGYVQFTSPIRRYLDLLAHYQVKAFLRGESPPFSAGQLEGMASIVNMQVRLVRRLSGSSLRYWIIEFLRRQPREKKYRALILRFIKDRVAALLLVEVGLQASAWVSIGAQVGDEVEVQVEEAHPRDDVLSLKEVIRN >EOY07511 pep chromosome:Theobroma_cacao_20110822:5:1784012:1789290:1 gene:TCM_021924 transcript:EOY07511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, putative isoform 1 MIFGSAEPLESYCAHLLLSKDEVYFAVQETKGYCSIYVPRPTRQVEELLHKKLAKEAAEKELQDFVQLLVSAKAKPAHAKPSKSLWMMDEKIRNKIESLEAYAIDDCKSDEQKRTAGMILKTMGLTKTVSSALNLLINIGYFPVHVNLDLLKFNIRTNHSDEIIAAAESLLSESYDPDEVNRKDLTDLKVYAIDVDDADELDDALSATRLQDGRIRVWIHAADPTRYVQPGSMVDREALRRGTSVFLATGTYPMFPEKLAMEGMSLKQGELCNAVSISVVLHSDGSIAEYSVQNSIIKPTYMLTYESATELLYLNLEEEAELKMLSEAAALRLKWRRQQGAIDTSTLETRIKVVNPEDPEPSINLYVENQADPAMQLVSEMMILCGEVVATFGSANNLPLPYRGQPQSNIDVSAFSHLPEGPVRSSAIVRIMRAAEIDFRKPIRHGVLGVPGYVQFTSPIRRYLDLLAHYQVKAFLRGESPPFSAGQLEGMASIVNMQVRLVRRLSGSSLRYWIIEFLRRQPREKKYRALILRFIKDRVAALLLVEVGLQASAWVSIGAQVGDEVEVQVEEAHPRDDVLSLKEVIRN >EOY07509 pep chromosome:Theobroma_cacao_20110822:5:1784016:1789495:1 gene:TCM_021924 transcript:EOY07509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, putative isoform 1 MIFGSAEPLESYCAHLLLSKDEVYFAVQETKGYCSIYVPRPTRQVEELLHKKLAKEAAEKELQDFVQLLVSAKAKPAHAKPSKSLWMMDEKIRNKIESLEAYAIDDCKSDEQKRTAGMILKTMGLTKTVSSALNLLINIGYFPVHVNLDLLKFNIRTNHSDEIIAAAESLLSESYDPDEVNRKDLTDLKVYAIDVDDADELDDALSATRLQDGRIRVWIHAADPTRYVQPGSMVDREALRRGTSVFLATGTYPMFPEKLAMEGMSLKQGELCNAVSISVVLHSDGSIAEYSVQNSIIKPTYMLTYESATELLYLNLEEEAELKMLSEAAALRLKWRRQQGAIDTSTLETRIKVVNPEDPEPSINLYVENQADPAMQLVSEMMILCGEVVATFGSANNLPLPYRGQPQSNIDVSAFSHLPEGPVRSSAIVRIMRAAEIDFRKPIRHGVLGVPGYVQFTSPIRRYLDLLAHYQVKAFLRGESPPFSAGQLEGMASIVNMQVRLVRRLSGSSLRYWIIEFLRRQPREKKYRALILRFIKDRVAALLLVEVGLQASAWVSIGAQVGDEVEVQVEEAHPRDDVLSLKEVIRN >EOY08820 pep chromosome:Theobroma_cacao_20110822:5:21749174:21754741:1 gene:TCM_024053 transcript:EOY08820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo sac development arrest 14 isoform 1 MSSLRNAISRRAHKERAQPSSRKKFGLLEKHKDYVVRAKAFHKKEETLRRLKEKAAFRNPDEFYFQMIKTRTVDGVHKPESQANKYTQEELMLMKTQDMGYILQKMQSEKKKIEKLTAVLHCVDNQPSNRHIYYAEDRQEARELYSQSSENRTPPSSADIPDHIKRKTATSYRELEARKSRVNELAKIYMEMSLKKELQKKGRKRKLREDELICPTSKPVFKWRSERKR >EOY08819 pep chromosome:Theobroma_cacao_20110822:5:21748252:21754429:1 gene:TCM_024053 transcript:EOY08819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo sac development arrest 14 isoform 1 MSSLRNAISRRAHKERAQPSSRKKFGLLEKHKDYVVRAKAFHKKEETLRRLKEKAAFRNPDEFYFQMIKTRTVDGVHKPESQANKYTQEELMLMKTQDMGYILQKMQSEKKKIEKLTAVLHCVDNQPSNRHIYYAEDRQEARELYSQSSENRTPPSSADIPDHIKRKTATSYRELEARKSRVNELAKIYMEMSLKKELQKKGRKRKLREDELICPTSKPVFKWRSERKR >EOY09270 pep chromosome:Theobroma_cacao_20110822:5:27830168:27832078:1 gene:TCM_024689 transcript:EOY09270 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain, IPR003441-like protein MEQFHEQNSMQISAAAKGIDVDPTCSRSDETDLLEEYFNSFPFGYRFCPSDEELIEHYLKKKVNNETLPLNRISEINLYSKDPSELAAEFKPLTETEWYFFTPRQKKYPNGSRPNRATPEGYWKPTGTDKAIPPGSGHPIGYKKTLDFYEGKHPGGRKTDWKMHEYKVKDNTSQNNGRAKNDMKLDDWVLCKLYNKKEKNGEDAGNSVTQATVIISQNEASVMPERNNEFEDIASQPNPNYGSLQHEDESSYGMYLMLSEPTAPILDNDISFYSIEDCFPDVFDGQGSMFRDANKILLSSWVIVKIVQVSFILTERYVKRVFLQSKLEI >EOY09411 pep chromosome:Theobroma_cacao_20110822:5:29010011:29023771:1 gene:TCM_024835 transcript:EOY09411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MSELDFAAEVLPKSVRILAVTGTNGKSTVVTYAGQMLSHFAIETFVGGNLGNPLSEVAFQCFKLPSEECKLKVAVVEVSSYQMEIPCVYFCPTVSVVLNLTPDHLERHKTMLSYAATKCRLFSHMTNTKLGLLSFGNQHLDKAIRKYWNKFNLAWIGAFPGVEIDMEAKIASFEVPDIGVASQLQLGGMRAKGKHNYCNAAVAALSVAGLDVGVDVEAINATIEKLRAPPHRMQIVCKDIHGLTWVDDSKATNVEAAYAGLTGLKGQKAVILLGGLAKVLHGPASNGFEQLIEPLKGHRCVITFGSSGSLIHDTLSDNGLSIPCIQASNLKDAVKHARKMAKHGDAIVLSPGCASIDEFKNFEHRGLVFQELALSS >EOY09410 pep chromosome:Theobroma_cacao_20110822:5:29009877:29024310:1 gene:TCM_024835 transcript:EOY09410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MKLLSLNYDHKALPLKSIHSPKTTYPRTIQSCSLRQDLKGRTVAVVGLGTSGKAAARLALTRGASVIGIDQNENLSLLEQDPSFVTLTQTGLRTILGHFDWKLLNDADVVVVSPGVPLENYGLSCLLQSGKQVMSELDFAAEVLPKSVRILAVTGTNGKSTVVTYAGQMLSHFAIETFVGGNLGNPLSEVAFQCFKLPSEECKLKVAVVEVSSYQMEIPCVYFCPTVSVVLNLTPDHLERHKTMLSYAATKCRLFSHMTNTKLGLLSFGNQHLDKAIRKYWNKFNLAWIGAFPGVEIDMEAKIASFEVPDIGVASQLQLGGMRAKGKHNYCNAAVAALSVAGLDVGVDVEAINATIEKLRAPPHRMQIVCKDIHGLTWVDDSKATNVEAAYAGLTGLKGQKAVILLGGLAKVLHGPASNGFEQLIEPLKGHRCVITFGSSGSLIHDTLSDNGLSIPCIQASNLKDAVKHARKMAKHGDAIVLSPGCASIDEFKNFEHRGLVFQELALSS >EOY09412 pep chromosome:Theobroma_cacao_20110822:5:29010011:29023771:1 gene:TCM_024835 transcript:EOY09412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MSELDFAAEVLPKSVRILAVTGTNGKSTVVTYAGQMLSHFAIETFVGGNLGNPLSEVAFQCFKLPSEECKLKVAVVEVSSYQMEIPCVYFCPTVSVVLNLTPDHLERHKTMLSYAATKCRLFSHMTNTKLGLLSFGNQHLDKAIRKYWNKFNLAWIGAFPGVEIDMEAKIASFEVPDIGVASQLQLGGMRAKGKHNYCNAAVAALSVAGLDVGVDVEAINATIEKLRAPPHRMQIVCKDIHGLTWVDDSKATNVEAAYAGLTGLKGQKAVILLGGLAKVLHGPASNGFEQLIEPLKGHRCVITFGSSGSLIHDTLSDNGLSIPCIQASNLKDAVKHARKMAKHGRESKKSPYAFIMDAISSMQRELSCVFKGCF >EOY07933 pep chromosome:Theobroma_cacao_20110822:5:3476801:3479583:1 gene:TCM_022262 transcript:EOY07933 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA zinc finger domain-containing protein 10 MAVVNASTAFSLRASPILPAASFPYSRPTSFGTPTRLLHAMQPRGKRKGLLSRSFAVKNELSEPDDGADAKVEELGEPTETLLYSFSPLPLLVVAALPGAGTVRSLFGPFVELVKSWNLPDWLVHWGHPGNMAVVLFAMGGYGTYLGFRIRYSDDVEEKAKAKDLHPKLLGGMFFFFALGATGGITSLLTSDKPIFESPHAITGFIGLTLLTIQTLLPTLFEGNPGLRNVHGILGSGIMTLFLIHAALGLQLGLSY >EOY07506 pep chromosome:Theobroma_cacao_20110822:5:1777399:1783426:1 gene:TCM_021923 transcript:EOY07506 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like 1 MATDPSPQIPTRASSRRSSNLIHPVDVETPPVRTPSPIVYREIKHFKKWVPWLIPAFVVANTVMLIITMYVNNCPKNSVSCIADFLGRFSFQPFKENPLLGPSSATLQKMGALDVKKVVDGHQGWRLITCNWLHGGVFHLLANMLSLLVIGIRLEREFGFIRIGLLYIISGFGGSLLSALFIQSNISVGASGALFGLLGAMLSELITNWTIYANKVAAFVTLLVIIAINLAVGILPHVDNFAHIGGFLTGFLLGFVFLIRPQFGWVSQRYAPPGYSSSAKPKFKTYQCFLWIVSLILLIVGLTLGLVMLLRGVDANDHCSWCHYLSCVPTSRWSCNTEPAYCSSTQLGSQVNVTCSTNGKTTTYFMPSASSSQIQSLCSQQCS >EOY07560 pep chromosome:Theobroma_cacao_20110822:5:1970788:1974086:1 gene:TCM_021962 transcript:EOY07560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MVDRVRHSKRGCRCTFMIKWLDQDLEGQTFTLPSSSIMKLATKSISAHPIINKLLKPEKHRGLSYSSPLLTILEGTDSEIDLNKLLQKQIEQISNLADASKKDIPEDIPWRNKGVNKGQSPHKPTAESNACVPAVADHHNHLKRTTRSTRKLQINIEAENQSGHTISMKEAFIQSRSHLSPLASRAALASSLLTAKKCLDMDLSSSMTASMFMKGKDSSDILAVSIPLVSEASHEISPHISTQGDASCEPQPTKPSSCIPTKGWENENKTSDEINCTAEQRTYSPVKITAESVTSGVATSTAELPISRAKKSLVHANFNASSTAPIRLTRSATRKGAVIPNNCVQVKICVNDTKRRMSGNKNQLSRSAVFQGNENLANEEENNSTHIIDSDSSEGNIAAPDQSNVATTKSTTSEKMKAALTPCKDMDSGRSNQGQKRKAVHHIKQDRRFSPRNFLPRTRSRNKAQPGK >EOY07556 pep chromosome:Theobroma_cacao_20110822:5:1969646:1974205:1 gene:TCM_021962 transcript:EOY07556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MEAVTVSDNSVELEAKRKEDSSWHPCRVYLSSSGDSLIVNFGRQELDDMLLQKEEVLMHLRFRSMPLQVDDCFHIEEGERVLADRKSQFKILFHDAVVVKVDRVRHSKRGCRCTFMIKWLDQDLEGQTFTLPSSSIMKLATKSISAHPIINKLLKPEKHRGLSYSSPLLTILEGTDSEIDLNKLLQKQIEQISNLADASKKDIPEDIPWRNKGVNKGQSPHKPTAESNACVPAVADHHNHLKRTTRSTRKLQINIEAENQSGHTISMKEAFIQSRSHLSPLASRAALASSLLTAKKCLDMDLSSSMTASMFMKGKDSSDILAVSIPLVSEASHEISPHISTQGDASCEPQPTKPSSCIPTKGWENENKTSDEINCTAEQRTYSPVKITAESVTSGVATSTAELPISRAKKSLVHANFNASSTAPIRLTRSATRKGAVIPNNCVQVKICVNDTKRRMSGNKNQLSRSAVFQGNENLANEEENNSTHIIDSDSSEGNIAAPDQSNVATTKSTTSEKMKAALTPCKDMDSGRSNQGQKRKAVHHIKQDRRFSPRNFLPRTRSRNKAQPGK >EOY07559 pep chromosome:Theobroma_cacao_20110822:5:1969646:1974205:1 gene:TCM_021962 transcript:EOY07559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MEAVTVSDNSVELEAKRKEDSSWHPCRVYLSSSGDSLIVNFGRQELDDMLLQKEEVLMHLRFRSMPLQVDDCFHIEEGERVLADRKSQFKILFHDAVVVDRVRHSKRGCRCTFMIKWLDQDLEGQTFTLPSSSIMKLATKSISAHPIINKLLKPEKHRGLSYSSPLLTILEGTDSEIDLNKLLQKQIEQISNLADASKKDIPEDIPWRNKGVNKGQSPHKPTAESNACVPAVADHHNHLKRTTRSTRKLQINIEAENQSGHTISMKEAFIQSRSHLSPLASRAALASSLLTAKKCLDMDLSSSMTASMFMKGKDSSDILAVSIPLVSEASHEISPHISTQGDASCEPQPTKPSSCIPTKGWENENKTSDEINCTAEQRTYSPVKITAESVTSGVATSTAELPISRAKKSLVHANFNASSTAPIRLTRSATRKGAVIPNNCVQVKICVNDTKRRMSGNKNQLSRSAVFQGNENLANEEENNSTHIIDSDSSEGNIAAPDQSNVATTKSTTSEKMKAALTPCKDMDSGRSNQGQKRKAVHHIKQDRRFSPRNFLPRTRSRNKAQPGK >EOY07558 pep chromosome:Theobroma_cacao_20110822:5:1969863:1974086:1 gene:TCM_021962 transcript:EOY07558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MEAVTVSDNSVELEAKRKEDSSWHPCRVYLSSSGDSLIVNFGRQELDDMLLQKEEVLMHLRFRSMPLQVDDCFHIEEGERVLADRKSQFKILFHDAVVVKVDRVRHSKRGCRCTFMIKWLDQDLEGQTFTLPSSSIMKLATKSISAHPIINKLLKPEKHRGLSYSSPLLTILEGTDSEIDLNKLLQKQIEQISNLADASKKDIPEDIPWRNKGVNKGQSPHKPTAESNACVPAVADHHNHLKRTTRSTRKLQINIEAENQSGHTISMKEAFIQSRSHLSPLASRAALASSLLTAKKCLDMDLSSSMTASMFMKGKDSSDILAVSIPLVSEASHEISPHISTQGDASCEPKSTTSEKMKAALTPCKDMDSGRSNQGQKRKAVHHIKQDRRFSPRNFLPRTRSRNKAQPGK >EOY07557 pep chromosome:Theobroma_cacao_20110822:5:1969646:1974205:1 gene:TCM_021962 transcript:EOY07557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MEAVTVSDNSVELEAKRKEDSSWHPCRVYLSSSGDSLIVNFGRQELDDMLLQKEEVLMHLRFRSMPLQVDDCFHIEEGERVLADRKSQFKILFHDAVVVKVDRVRHSKRGCRCTFMIKWLDQDLEGQTFTLPSSSIMKLATKSISAHPIINKLLKPEKHRGLSYSSPLLTILEGTDSEIDLNKLLQKQIEQISNLADASKKDIPEDIPWRNKGVNKGQSPHKPTAESNACVPAVADHHNHLKRTTRSTRKLQINIEAENQSGHTISMKEAFIQSRSHLSPLASRAALASSLLTAKKCLDMDLSSSMTASMFMKGKDSSDILAVSIPLVSEASHEISPHISTQGDASCEPQPTKPSSCIPTKGWENENKTSDEINCTAEQRTYSPVKITAESVTSGVATSTAELPISRAKKSLVHANFNASSTAPIRLTRSATRKGAVIPNNCVQVKICVNDTKRRMSGNKNQLSRSAVFQGNENLANEEENNSTHIIDSDSSEGNIAAPDQSNVATTKSTTSEKMKAALTPCNPEIHILTKEGNKSWAKIWTVVGVIKARREKQFIILSKTGDFLLEIFYLALALGIRPSLGSREEPLAVNTYRTYISSSKCSRHQLSSGS >EOY11775 pep chromosome:Theobroma_cacao_20110822:5:40281262:40281976:-1 gene:TCM_026845 transcript:EOY11775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein A MTRKKVQLAYIINDSARKAAFRKRTKGLLKKASELSTLCGIEACVIIYSPYNAQPEVWPSSAGAQRVLSEFKKMPKMDQSQKMMSQENFLRQRIAKANQQLKRQCRDNREKEITQVMFQCLAEQGLENLNMMDLNDLEWLLEQNLKDIDKRIDMLTKASHSQGFATTSSTTIAMPEVMLKNGEKVQAESPNREVSLETVQRQQLIRT >EOY07379 pep chromosome:Theobroma_cacao_20110822:5:1385505:1390470:1 gene:TCM_021832 transcript:EOY07379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MVLEQRKGKEMRDVGDEEKWVYDSSVDHKGRVPLRASTGVWKASLFIIAIEFSERLSYFGIATSLIIYLTKVIHQDLKTAARSVNYWAGVTTLMPLLGGFIADAYLGRFSTVLVSCIAYLLGLLLLTMSWFVPSLKACDADVCDEPRKIHEVVFFLAIYLVSIGTGGHKPSLESFGADQFDDDHSEERKKKMSFFNWWNFGLCCGLLFGVTVVVYVQDHVNWGAADIILALVMAWSLLIFIIGRPYYRYRVPSGSPLTPMLQVLVAAISKRKLPHPSNPADLYEVPTSQKAQGRLLCHTKKLKFLDRAAIIEDNENSIDKQNPWRLATVTKVEEMKLVLNMIPIWLATLPFGICVAQASTFFIKQGATMNRNIGNFVIPPASIFSLAAIGMIISVTIYEKVLVPALRKTTGNERGIKILQRIGIGMAFSVATMVVAALVERKRLAAVKKDPVHGSLSMSVFWLAPQFVIIGAGDGFALVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFLSSLLITLVDHVTEKGGKSWFGKDLNSSRLDNFYWLLAIMTMANLCVYMFLAKRYSYKNIQSLAVANCNEEDDNAGSMA >EOY07381 pep chromosome:Theobroma_cacao_20110822:5:1385463:1389343:1 gene:TCM_021832 transcript:EOY07381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MVLEQRKGKEMRDVGDEEKWVYDSSVDHKGRVPLRASTGVWKASLFIIAIEFSERLSYFGIATSLIIYLTKVIHQDLKTAARSVNYWAGVTTLMPLLGGFIADAYLGRFSTVLVSCIAYLLGFVPSLKACDADVCDEPRKIHEVVFFLAIYLVSIGTGGHKPSLESFGADQFDDDHSEERKKKMSFFNWWNFGLCCGLLFGVTVVVYVQDHVNWGAADIILALVMAWSLLIFIIGRPYYRYRVPSGSPLTPMLQVLVAAISKRKLPHPSNPADLYEVPTSQKAQGRLLCHTKKLKFLDRAAIIEDNENSIDKQNPWRLATVTKVEEMKLVLNMIPIWLATLPFGICVAQASTFFIKQGATMNRNIGNFVIPPASIFSLAAIGMIISVTIYEKVLVPALRKTTGNERGIKILQRIGIGMAFSVATMVVAALVERKRLAAVKKDPVHGSLSMSVFWLAPQFVIIGAGDGFALVGL >EOY07380 pep chromosome:Theobroma_cacao_20110822:5:1385392:1389828:1 gene:TCM_021832 transcript:EOY07380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MVLEQRKGKEMRDVGDEEKWVYDSSVDHKGRVPLRASTGVWKASLFIIAIEFSERLSYFGIATSLIIYLTKVIHQDLKTAARSVNYWAGVTTLMPLLGGFIADAYLGRFSTVLVSCIAYLLGLLLLTMSWFVPSLKACDADVCDEPRKIHEVVFFLAIYLVSIGTGGHKPSLESFGADQFDDDHSEERKKKMSFFNWWNFGLCCGLLFGVTVVVYVQDHVNWGAADIILALVMAWSLLIFIIGRPYYRYRVPSGSPLTPMLQVLVAAISKRKLPHPSNPADLYEVPTSQKAQGRLLCHTKKLKFLDRAAIIEDNENSIDKQNPWRLATVTKVEEMKLVLNMIPIWLATLPFGICVAQASTFFIKQGATMNRNIGNFVIPPASIFSLAAIGMIISVTIYEKVLVPALRKTTGNERGIKILQRIGIGMAFSVATMVVAALVERKRLAAVKKDPVHGSLSMSVFWLAPQFVIIGAGDGFALVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFLSSLLITLVDHVTEKGGKSWFGKDLNSSRLDNFYWLLAIMTMANLCVYMFLAKRYSYKNIQSLAVANCNEEDDNAGSMA >EOY10804 pep chromosome:Theobroma_cacao_20110822:5:36615661:36621293:-1 gene:TCM_026117 transcript:EOY10804 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative MLDGGTGNFHLQKQSQKGDRKEKKKKILSAKPQSYLRASIYSSLHPRKSLPIEAKSLRACQRILPPYGVDYPTGRRTGRFSNGLIIPDLISQQIGLSEPPLPSLSPELRGQKLFNGANFASAGVVILNDTGIQFASIIRMFRRFDYFEQYQHRLSALIGAEQTRRLVNQALVLITVGGNDFVNNYYLIPYSARIYYITDSMLWARTLQWDRSMHNVLQFVSKQRDLCILVSIPSLREGKQVDYATHHDRLYQVHASNEPQHHYSLRFHVLIDTFP >EOY09215 pep chromosome:Theobroma_cacao_20110822:5:27272276:27273812:1 gene:TCM_024624 transcript:EOY09215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALILIANNILLGQDYRRRVMPWLLSLVENIDAWNVFPWGHYVWKLTLDYLLKGNKPNNRYLDVISTIRSVNLRQYGQMPRDRPQPNDDGAHQRVDRARTVQRSERADDYGAQQRLGKVERER >EOY08142 pep chromosome:Theobroma_cacao_20110822:5:4972124:4973309:-1 gene:TCM_022481 transcript:EOY08142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive element-binding protein 1B, putative MEEQSVLSSKSHITPCSSSQPELGSSSGQVEAKTQKRKAGRKKFQETRHPVYKGVRRRNGKWVSELREPIKKSRIWLGTFSSPGMAARAYDAAALALKGDSASLNFPESANALPRARSSSIRDIQYAAMEAAEAFGDIAKTPSPSPSLSSSSSLPSPPLPSLENSSENVQGSSEKLFLDEEEVFNMPGILDSMAEGLILTPPAMQKGYYNWDDDVDDYMEFTLWGD >EOY07081 pep chromosome:Theobroma_cacao_20110822:5:389838:391151:1 gene:TCM_021600 transcript:EOY07081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLIRKGARRAFWSKYNPFEPNGHVPWKQKSSFWGLENFNDATCHTATGAEMVARCTFYSLVIALNLPGEGGIPVHRIKPRVNIGRLSRTHAHFLQFCQPFSKQEWVIGWVAEEVKRVKRKAPANWV >EOY07082 pep chromosome:Theobroma_cacao_20110822:5:389117:391151:1 gene:TCM_021600 transcript:EOY07082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLIRKGARRAFWSKYNPFEPNGHVPWKQKSSFWGLENFNDATCHTATGAEMVARCTFYSLVIALNLPGEGGIPVHRIKPRVNIGRLSRTHAHFLQFCQPFSKQEWVIGWVAEEVKRVKRKAPANWV >EOY07080 pep chromosome:Theobroma_cacao_20110822:5:389492:390562:1 gene:TCM_021600 transcript:EOY07080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLIRKGARRAFWSKYNPFEPNGHVPWKQKSSFWGLENFNDATCHTATGAEMVARCTFYSLVIALNLPGEGGIPVHRIKPRVNIGRLSRTHAHFLQFCQPFSKQEWVIGWVAEEVKRVKRKAPANWV >EOY11473 pep chromosome:Theobroma_cacao_20110822:5:39372844:39378643:1 gene:TCM_026635 transcript:EOY11473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA binding protein 4 isoform 3 ASSGLAYPERFYAAASYAGFDGSPSSNNKDISSRFSNDVALILYALYQQATVGPCNVPKPSSWSPVEQSKWKSWQQLGNMVSTEAMRLFVKILEEEEPGWYSRASNPVSEPVIDVQMNHNSKVEPIIENGNSFAETKTISAENGSLMETQVKDVVLERLGSVVVYDQWIAPPITGQPPKARYEHGAAVVQDKMYVYGGNHNGRYLCDLHVLDLRSWTWSKIDAKVGPESVELPSPVNMAPCAGHSLIPWENKLLSIAGHTKDPSETIHVKSFDLQTGTWSMLKTYGKAPVSRGGQSVTLVGTTLVIFGGQDAKRTLLNDLYILDLETMTWDEIDAVGVPPSPRSDHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQAMEWSRPTQQGEIPTPRAGHAGVTVGENWFIAGGGDNKSGASETVVLNMFTLVWSVVTSVTGRVPLASEGLSLVVGSLNGEDILISFGGYNGRYNSEVNVLKPSHKSTLQSKIMEAPVPDSVSAVHNATNPTRDLESEFEVGQEGKIREIVVDNVDSEPMSICRNPKVRRPVS >EOY11474 pep chromosome:Theobroma_cacao_20110822:5:39374185:39379892:1 gene:TCM_026635 transcript:EOY11474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA binding protein 4 isoform 3 MNTISAENGSLMETQVKDVVLERLGSVVVYDQWIAPPITGQPPKARYEHGAAVVQDKMYVYGGNHNGRYLCDLHVLDLRSWTWSKIDAKVGPESVELPSPVNMAPCAGHSLIPWENKLLSIAGHTKDPSETIHVKSFDLQTGTWSMLKTYGKAPVSRGGQSVTLVGTTLVIFGGQDAKRTLLNDLYILDLETMTWDEIDAVGVPPSPRSDHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQAMEWSRPTQQGEIPTPRAGHAGVTVGENWFIAGGGDNKSGASETVVLNMFTLVWSVVTSVTGRVPLASEGLSLVVGSLNGEDILISFGGYNGRYNSEVNVLKPSHKSTLQSKIMEAPVPDSVSAVHNATNPTRDLESEFEVGQEGKIREIVVDNVDSEPMKSKGEETSEHIIATLKVEKEELESSLNKEKLQSLQLKQELAEAEARNTELYKELQSVRGQLAAEQSRCFKLEVDVAELRQKLQTMETLQKELELLQRQKAASEQAALNAKRQGSGGVWGWLSGSPPQNAADDA >EOY11472 pep chromosome:Theobroma_cacao_20110822:5:39372722:39380045:1 gene:TCM_026635 transcript:EOY11472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA binding protein 4 isoform 3 MATMARASSGLAYPERFYAAASYAGFDGSPSSNNKDISSRFSNDVALILYALYQQATVGPCNVPKPSSWSPVEQSKWKSWQQLGNMVSTEAMRLFVKILEEEEPGWYSRASNPVSEPVIDVQMNHNSKVEPIIENGNSFAETKTISAENGSLMETQVKDVVLERLGSVVVYDQWIAPPITGQPPKARYEHGAAVVQDKMYVYGGNHNGRYLCDLHVLDLRSWTWSKIDAKVGPESVELPSPVNMAPCAGHSLIPWENKLLSIAGHTKDPSETIHVKSFDLQTGTWSMLKTYGKAPVSRGGQSVTLVGTTLVIFGGQDAKRTLLNDLYILDLETMTWDEIDAVGVPPSPRSDHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQAMEWSRPTQQGEIPTPRAGHAGVTVGENWFIAGGGDNKSGASETVVLNMFTLVWSVVTSVTGRVPLASEGLSLVVGSLNGEDILISFGGYNGRYNSEVNVLKPSHKSTLQSKIMEAPVPDSVSAVHNATNPTRDLESEFEVGQEGKIREIVVDNVDSEPMKSKGEETSEHIIATLKVEKEELESSLNKEKLQSLQLKQELAEAEARNTELYKELQSVRGQLAAEQSRCFKLEVDVAELRQKLQTMETLQKELELLQRQKAASEQAALNAKRQGSGGVWGWLSGSPPQNAADDA >EOY09122 pep chromosome:Theobroma_cacao_20110822:5:26239719:26246177:1 gene:TCM_024511 transcript:EOY09122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKDDANNENGKSTKELVATMSANQSKLLALLGNHGPSTSNPSTNMVASNVSDSSVAKFVQSIDLGWTTKLDIPLNHVVPNVTKLRLNESSTGINLTTSTIGLVSKQQACNRRTHATSCRT >EOY10235 pep chromosome:Theobroma_cacao_20110822:5:33657103:33661320:-1 gene:TCM_025594 transcript:EOY10235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MALGWKWLIPAPPSIQPSPKIIFSLNTPSSSPLSATLPPCSSPLSVSHPSSVFISSLSWSSSSLLAVGLPLALTRGSAPLSQLWVFPSLSTALSRGSSPRSQPLSAVGLPLSLSRGSAPLSQPFTRRSAPLSQPLSPVGLPLSLNLSPMGLPLSLSRSPVGLPLSLSRSHPWVCPSLSAALTRGSAPLSQPLSPVFPSLSVVHSGGALDGTYIRVKVPSADKPRYRTRKGNIATNMLGVCTPDMQFVFVLLGWEGSVADGRVLRDALRRRNGLKVPNGCYYLVDAGYTNCEGFLAPFRGQRYHLNEWRQGHEPSSPEEFFNMKHAAARNVIERCFGLLK >EOY10236 pep chromosome:Theobroma_cacao_20110822:5:33657104:33658024:-1 gene:TCM_025594 transcript:EOY10236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLGVCTPDMQFVFVLLGWEGSVADGRVLRDALRRRNGLKVPNGCYYLVDAGYTNCEGFLAPFRGQRYHLNEWRQGHEPSSPEEFFNMKHAAARNVIERCFGLLK >EOY07597 pep chromosome:Theobroma_cacao_20110822:5:2093420:2096655:1 gene:TCM_021987 transcript:EOY07597 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein MAFASRFRRILTGPSPLCSQFASVRLNSTLTSPKLFISGLSRETTDEQFNEAFTPFGQIVEAKVIRDRATGRSKGFGFVTYTAIEEAEKAREEMNAKFLHGWVIFVDPAKPREPRAPPRSESESSEFGFRTNKTVGWCG >EOY09693 pep chromosome:Theobroma_cacao_20110822:5:30566872:30575351:-1 gene:TCM_025085 transcript:EOY09693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin 3 isoform 1 MARHAPNVALGLLLFSLLLRFSVSEIIFEERFEDGWQSRWVKSDWKRTEGKAGGFKHTAGKWSGDPDDKGIQTSGDAKHFAISAKIPEFTNKNRTLVLQYSLRFEQDIECGGGYIKLLSAFVNQKKFGGDTPYSLMFGPDICGTQTKKLHVILSYQGQNYPLKKELECETDKLTHFYTFILRPDASYSVLIDNRERDSGTMYTDWDILPPRKIKDLKAKKPADWDDREYIDDPNAKKPEGYDSIPKAIPDPKAEEPDNWDEEEDGIWKRPKIPNPKYKGPWKPKKIKNPNYKGKWKIPYIDNPEFEDDPDLYVLKPIKYVGIEVWQVKAGSVFDNVLICDDPEYVKQVVDEVFANRELEKEAFEEAEKVRKAQEEEEARRAREEGERRRKERGYDRRYRDRERYRDRYRRHPRDYLDDYHDEL >EOY09694 pep chromosome:Theobroma_cacao_20110822:5:30566086:30575351:-1 gene:TCM_025085 transcript:EOY09694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin 3 isoform 1 MARHAPNVALGLLLFSLLLRFSVSEIIFEERFEDGWQSRWVKSDWKRTEGKAGGFKHTAGKWSGDPDDKGIQTSGDAKHFAISAKIPEFTNKNRTLVLQYSLRFEQDIECGGGYIKLLSAFVNQKKFGGDTPYSLMFGPDICGTQTKKLHVILSYQGQNYPLKKELECETDKLTHFYTFILRPDASYSVLIDNRERDSGTMYTDWDILPPRKIKDLKAKKPADWDDREYIDDPNAKKPEGYDSIPKAIPDPKAEEPDNWDEEEDGIWKRPKIPNPKYKGPWKPKKIKNPNYKGKWKIPYIDNPEFEDDPDLYVLKPIKYVGIEVWQVKAGSVFDNVLICDDPEYVKQVVDEVFANRELEKEAFEEAEKVRKAQEEEHPRDYLDDYHDEL >EOY09912 pep chromosome:Theobroma_cacao_20110822:5:31642921:31644620:1 gene:TCM_025278 transcript:EOY09912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 19, putative MEWIRGETIGHGSFGTVNLVVPKKGTSKSCPVMAVKSCETINSASLKHEKEVLDQLGFCPQIIRCFGEEYTAENGGEYNLFLEYADKGCLADQVKKSGGNLIESDVRRYARSILKGLRFVHAKGFAHCDIKLQNILLFGNGDVKIADFGLAKRNGEEEGEGPHRRIEIRGTPLSIAPESVMENQYDSPVDIWALGCAIVEMFTGKPAWTFKPGSNVAALLIKIGVSDELPVVPAELSEYGKDFLSKCFVKDPNNRWTAEMLLDHPFVAADDENIAIMNRFEEEASTSPKCSFEEFTMSPRCPFDFPDWVSTQSTVSSQSSFQENSSPVTLFPSYVSSPLDRIRQLACDQAPNWSVSGSWITSR >EOY08156 pep chromosome:Theobroma_cacao_20110822:5:5121580:5124089:-1 gene:TCM_022496 transcript:EOY08156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, putative isoform 1 MTDPAYKPRTVICIGDIHGHITKLVNLWSNLQSKIDPESFNTATIIFLGDYCDRGPDTLKVIDFLVSLPRQYPNQKHVFLSGNHDFAFAGFVGVLPGEFEAKDTWEEYADNEEREGWYKGEGYEKMHLQARIWSGWLKAKFNAAKGLECKGSIYDAAPTFESYGVSHGSADLVKAVPEDHKKFLADMVWVHEEDDVCIETEEGLKHCKLVAVHAGLERGKYIQEQLEFLKARDTRVPKVTALSGRKDVWDIPKELTETIVVSGHHGKLHIDGLRLVIDEGGGLESNPVAAVVLPSMKIVRDTDNIS >EOY08159 pep chromosome:Theobroma_cacao_20110822:5:5122306:5123976:-1 gene:TCM_022496 transcript:EOY08159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, putative isoform 1 MTDPAYKPRTVICIGDIHGHITKLVNLWSNLQSKIDPESFNTATIIFLGDYCDRGPDTLKVIDFLVSLPRQYPNQKHVFLSGNHDFAFAGFVGVLPGEFEAKDTWEEYADNEEREGWYKGEGYEKMHLQARIWSGWLKAKFNAAKGLECKGSIYDAAPTFESYGVSHGSADLVKAVPEDHKKFLADMVWVHEEDDVCIETEEGLKHCKLVAVHAGLERGKYIQEQLEFLKARDTRVPKVTALSGRKDVWDIPKVMNSSLLMKQLLSVFDIVFLSV >EOY08158 pep chromosome:Theobroma_cacao_20110822:5:5122162:5124055:-1 gene:TCM_022496 transcript:EOY08158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, putative isoform 1 MTDPAYKPRTVICIGDIHGHITKLVNLWSNLQSKIDPESFNTATIIFLGDYCDRGPDTLKVIDFLVSLPRQYPNQKHVFLSGNHDFAFAGFVGVLPGEFEAKDTWEEYADNEEREGWYKGEGYEKMHLQARIWSGWLKAKFNAAKGLECKGSIYDAAPTFESYGVSHGSADLVKAVPEDHKKFLADMVWVHEEDDVCIETEEGLKHCKLVAVHAGLERGKYIQEQLEFLKARDTRVPKVTALSGRKDVWDIPKLPFRRGTLQLLWA >EOY08157 pep chromosome:Theobroma_cacao_20110822:5:5122139:5124054:-1 gene:TCM_022496 transcript:EOY08157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, putative isoform 1 MTDPAYKPRTVICIGDIHGHITKLVNLWSNLQSKIDPESFNTATIIFLGDYCDRGPDTLKVIDFLVSLPRQYPNQKHVFLSGNHDFAFAGFVGVLPGEFEAKDTWEEYADNEEREGWYKGEGYEKMHLQARIWSGWLKAKFNAAKGLECKGSIYDAAPTFESYGVSHGSADLVKAVPEDHKKFLADMVWVHEEDDVCIETEEGLKHCKLVAVHAGLERGKYIQEQLEFLKARDTRVPKVTALSGRKDVWDIPKCSFLLGGVLCSFCGLNNMIVMI >EOY07607 pep chromosome:Theobroma_cacao_20110822:5:2142112:2145988:-1 gene:TCM_021999 transcript:EOY07607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MSFQNQGFWMSKGAGCINDGEMAYDNSSRIEPKRSHQWFMDGPETDSFPNKKQAVGVPTTNLFSGVLNSHVSQWGNSSSFHSISGHFAERLFDTETARAVNFDDQSIPSGSTEKVDMGRKVNEDLFANDSSFGLSMSHTMEDPRSGLNYGGFRKVKVCQVKDSENVMSVSMAHAYDRVDKNSVSTDHGYNKVEDGNISMGLAYNKGDENLMSIGDSYERENNVFISMGQSYNKSEDSITVGQTYKESTSAIAMSNTFDKGDNNFMSMGQTFNRTDDNSITVGHTYGKGDDSAISISHSYNRGDNNNLSIGPSYSKGESTIISFGGYDDDEDTNQTGRLISSYDLLMGQPSVQRSDAPNEKEMVKSNADALVPTGNITASGMEVSRKKEDPKTAKKVSSNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKGSGYQCGCQTCNFSKVINAYEFERHAGCKTKHPNNHIYFENGKTIYGIVQELRSTPQTMLFDVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDEGKKLS >EOY07610 pep chromosome:Theobroma_cacao_20110822:5:2142266:2145456:-1 gene:TCM_021999 transcript:EOY07610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MSFQNQGFWMSKGAGCINDGEMAYDNSSRIEPKRSHQWFMDGPETDSFPNKKQAVGVPTTNLFSGVLNSHVSQWGNSSSFHSISGHFAERLFDTETARAVNFDDQSIPSGSTEKVDMGRKVNEDLFANDSSFGLSMSHTMEDPRSGLNYGGFRKVKVCQVKDSENVMSVSMAHAYDRVDKNSVSTDHGYNKVEDGNISMGLAYNKGDENLMSIGDSYERENNVFISMGQSYNKSEDSITVGQTYKESTSAIAMSNTFDKGDNNFMSMGQTFNRTDDNSITVGHTYGKGDDSAISISHSYNRGDNNNLSIGPSYSKGESTIISFGGYDDDEDTNQTGRLISSYDLLMGQPSVQRSDAPNEKEMVKSNADALVPTGNITASGMEVSRKKEDPKTAKKVSSNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKGSGYQCGCQTCNFSKVS >EOY07608 pep chromosome:Theobroma_cacao_20110822:5:2142046:2146095:-1 gene:TCM_021999 transcript:EOY07608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MSFQNQGFWMSKGAGCINDGEMAYDNSSRIEPKRSHQWFMDGPETDSFPNKKQAVGVPTTNLFSGVLNSHVSQWGNSSSFHSISGHFAERLFDTETARAVNFDDQSIPSGSTEKVDMGRKVNEDLFANDSSFGLSMSHTMEDPRSGLNYGGFRKVKVCQVKDSENVMSVSMAHAYDRVDKNSVSTDHGYNKVEDGNISMGLAYNKGDENLMSIGDSYERENNVFISMGQSYNKSEDSITVGQTYKESTSAIAMSNTFDKGDNNFMSMGQTFNRTDDNSITVGHTYGKGDDSAISISHSYNRGDNNNLSIGPSYSKGESTIISFGGYDDDEDTNQTGRLISSYDLLMGQPSVQRSDAPNEKEMVKSNADALVPTGNITASGMEVSRKKEDPKTAKKVSSNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKGSGYQCGCQTCNFSKVINAYEFERHAGCKTKHPNNHIYFENGKTIYGIVQELRSTPQTMLFDVIQTITGSPINQKSFRLWKVLFSCHS >EOY07609 pep chromosome:Theobroma_cacao_20110822:5:2134720:2145250:-1 gene:TCM_021999 transcript:EOY07609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MSFQNQGFWMSKGAGCINDGEMAYDNSSRIEPKRSHQWFMDGPETDSFPNKKQAVGVPTTNLFDTETARAVNFDDQSIPSGSTEKVDMGRKVNEDLFANDSSFGLSMSHTMEDPRSGLNYGGFRKVKVCQVKDSENVMSVSMAHAYDRVDKNSVSTDHGYNKVEDGNISMGLAYNKGDENLMSIGDSYERENNVFISMGQSYNKSEDSITVGQTYKESTSAIAMSNTFDKGDNNFMSMGQTFNRTDDNSITVGHTYGKGDDSAISISHSYNRGDNNNLSIGPSYSKGESTIISFGGYDDDEDTNQTGRLISSYDLLMGQPSVQRSDAPNEKEMVKSNADALVPTGNITASGMEVSRKKEDPKTAKKVSSNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKGSGYQCGCQTCNFSKVINAYEFERHAGCKTKHPNNHIYFENGKTIYGIVQELRSTPQTMLFDVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDEGKKLS >EOY11022 pep chromosome:Theobroma_cacao_20110822:5:37523258:37524834:-1 gene:TCM_026288 transcript:EOY11022 gene_biotype:protein_coding transcript_biotype:protein_coding description:NC domain-containing-related-like protein MGQPQTTLTPVRKPQPGDHIYTTRAGGLYAHHGIYVGNDMVIHLQAPFKGSGSSFMGSGSSFMGSASSFMGSGSSFMGSASSFMGSGSSSEEIESSPPCQKCGYKPQYCQGGIIKTCLDCFLDGRSSFEFYEYGVPTSYFNNKPRGTCSVSPSKPDHEVVERATDLLERKGFGEYNLIANNCEHFAVYCKTGLAYSQQVQAAGDAIKDIAEPLGKGLLCTGAIFAVPAVCVVAKAITGAQRRP >EOY08691 pep chromosome:Theobroma_cacao_20110822:5:17983800:17992970:-1 gene:TCM_023744 transcript:EOY08691 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 3 MDWLILAAENDYRDEFASLPPIVPEGPIAIYGLGGGTAAHLMLDVWPSLQLEGWEIDEILIDKAREYFELSNLERCNEVGGRLQVHIDDAFSPIQNPPKGYAGIIIDLFSDGKVLSQLQEVEIWLKLSDRLMPDGRLMVNCGGVSESSTDGKTHHQSMDDTWIQNSTIKALATAFPGQVHWKRTPESQGQNYLALTGPLPDLTSWSATVPGCLSEAVKQWRTCKPFP >EOY08690 pep chromosome:Theobroma_cacao_20110822:5:17983800:17993340:-1 gene:TCM_023744 transcript:EOY08690 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 3 MLWVSLGVKFTPIASPLTREGHRRGLFLPLSPSAARSSSTCRPCRCTKSEEETFQVLTAVKTRYNDILVVDTPKSRMLLLDSTHNVHSILQKGDEKWTGSYWDEFASLPPIVPEGPIAIYGLGGGTAAHLMLDVWPSLQLEGWEIDEILIDKAREYFELSNLERCNEVGGRLQVHIDDAFSPIQNPPKGYAGIIIDLFSDGKVLSQLQEVEIWLKLSDRLMPDGRLMVNCGGVSESSTDGKTHHQSMDDTWIQNSTIKALATAFPGQVHWKRTPESQGQNYLALTGPLPDLTSWSATVPGCLSEAVKQWRTCKPFP >EOY08692 pep chromosome:Theobroma_cacao_20110822:5:17983523:17993675:-1 gene:TCM_023744 transcript:EOY08692 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 3 MLWVSLGVKFTPIASPLTREGHRRGLFLPLSPSAARSSSTCRPCRCTKSEEETFQVLTAVKTRYNDILVVDTPKSRMLLLDSTHNVHSILQKGDEKWTGSYWLIDKAREYFELSNLERCNEVGGRLQVHIDDAFSPIQNPPKGYAGIIIDLFSDGKVLSQLQEVEIWLKLSDRLMPDGRLMVNCGGVSESSTDGKTHHQSMDDTWIQNSTIKALATAFPGQVHWKRTPESQGQNYLALTGPLPDLTSWSATVPGCLSEAVKQWRTCKPFP >EOY11278 pep chromosome:Theobroma_cacao_20110822:5:38791059:38793052:1 gene:TCM_046812 transcript:EOY11278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MEQNNCLIDIVIYNTIIDSLCKDKLVPEALNLFSEMTGKGILPNVVTYSSLIHAMCNSGQWKEVTRLLTEMVANNCKLDVVSYNILVDAFCKEGRVSEACDIVEGMIQQIVDPNTITYNTLMDGYCLQGKMDEARKVFNLMITKGCVPDVYGYNILINGCCKDQKIDEAMALFHEMSRNGLVPDTVTYNALINGMCQLGRLSAARQLFEEMSACGLVPDAITYSTLLHGLCKHGHVDEALDSFHIIQNSGIEPYIVHYNILIDGLFQVGQLNFARKLFCALPVKGLRPDVYTCNIMIKGLCKEGLLKEAYELFRKMELNGCLQNSCSYNAIIKGFFQNNNVSRAMQILHEMVDKGFSADSSTATMLLDLLCRDGGDQSIFELLCRNCADDQNVNMK >EOY08445 pep chromosome:Theobroma_cacao_20110822:5:8491088:8498942:1 gene:TCM_022886 transcript:EOY08445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMINFVRDQSNNRKFRLVWLEAQRQQDTNKTNPTFGEEISSCSWAANSRSGNGSDFGIDIQRTIAFLKNKKEFRFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLQMGSSSTGLQRSPPIRPGPKGPAALVFWFGLE >EOY10724 pep chromosome:Theobroma_cacao_20110822:5:36133646:36137529:-1 gene:TCM_026027 transcript:EOY10724 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 5 MVKDASLARDLNQGSRLRSVPTSEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPTPPLPPSVPAPQPPPPPPLPASTPTEPHFADASSSESEMESSDEEVNDKGTAKSARKRARREAIVGPAIDKSVAHEAVGVKPATLIPKEIPFIKKKNPILQIKIAPKHIPNEQKDDDDDYKRISEEPNEEDSDAKCFATADELEKGKLPPEEILSLPMFKNYAAGNPASVLYIKNLAKDVVPEDFYFIFGSLFGSIDAAKSGLNVKLMQEGRMRGQAFVTFPAVELAHHALNLVNGYVFKGKPIIIQFGRNPATAKTN >EOY10725 pep chromosome:Theobroma_cacao_20110822:5:36133792:36137087:-1 gene:TCM_026027 transcript:EOY10725 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 5 MAAVPSSQPFSNPQMQHFGYQGVEGTTSNPHELESVTTLLIRHLPEAIPHDTLLRLFSHYGASSVRPCSSGKLRNCAFVDFKNEALASQAQRQLNGLRFLGKVLSVERASKTTEDNKPQQTGVQPGKDFPQSASMVKDASLARDLNQGSRLRSVPTSEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPTPPLPPSVPAPQPPPPPPLPASTPTEPHFADASSSESEMESSDEEVNDKGTAKSARKRARREAIVGPAIDKSVAHEAVGVKPATLIPKEIPFIKKKNPILQIKIAPKHIPNEQKDDDDDYKRISEEPNEEDSDAKCFATADELEKGKLPPEEILSLPMFKNYAAGNPASVLYIKNLAKDVVPEDFYFIFGSLFGSIDAAKSGLNVKLMQVTLLLD >EOY10721 pep chromosome:Theobroma_cacao_20110822:5:36132937:36137087:-1 gene:TCM_026027 transcript:EOY10721 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 5 MAAVPSSQPFSNPQMQHFGYQGVEGTTSNPHELESVTTLLIRHLPEAIPHDTLLRLFSHYGASSVRPCSSGKLRNCAFVDFKNEALASQAQRQLNGLRFLGKVLSVERASKTTEDNKPQQTGVQPGKDFPQSASMVKDASLARDLNQGSRLRSVPTSEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPTPPLPPSVPAPQPPPPPPLPASTPTEPHFADASSSESEMESSDEEVNDKGTAKSARKRARREAIVGPAIDKSVAHEAVGVKPATLIPKEIPFIKKKNPILQIKIAPKHIPNEQKDDDDDYKRISEEPNEEDSDAKCFATADELEKGKLPPEEILSLPMFKNYAAGNPASVLYIKNLAKDVVPEDFYFIFGSLFGSIDAAKSGLNVKLMQEGRMRGQAFVTFPAVELAHHALNLVNGYVFKGKPIIIQFGRNPATAKTN >EOY10723 pep chromosome:Theobroma_cacao_20110822:5:36133976:36137059:-1 gene:TCM_026027 transcript:EOY10723 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 5 MAAVPSSQPFSNPQMQHFGYQGVEGTTSNPHELESVTTLLIRHLPEAIPHDTLLRLFSHYGASSVRPCSSGKLRNCAFVDFKNEALASQAQRQLNGLRFLGKVLSVERASKTTEDNKPQQTGVQPGKDFPQSASMVKDASLARDLNQGSRLRSVPTSEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPTPPLPPSVPAPQPPPPPPLPASTPTEPHFADASSSESEMESSDEEVNDKGTAKSARKRARREAIVGPAIDKSVAHEAVGVKPATLIPKEIPFIKKKNPILQIKIAPKHIPNEQKDDDDDYKRISEEPNEEDSDAKCFATADELEKGKLPPEEILSLPMFKNYAAGNPASVLYIKNLAKDVVPEDFYFIFGSLFGSIDAAKSGLNVKLMQEGRMRGQAFVTFPAVELAHHALVCFSQVSCFPGTSEFMRFVYLVNIASWPALVSKDY >EOY10722 pep chromosome:Theobroma_cacao_20110822:5:36133737:36137059:-1 gene:TCM_026027 transcript:EOY10722 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 5 MAAVPSSQPFSNPQMQHFGYQGVEGTTSNPHELESVTTLLIRHLPEAIPHDTLLRLFSHYGASSVRPCSSGKLRNCAFVDFKNEALASQAQRQLNGLRFLGKVLSVERASKTTEDNKPQQTGVQPGKDFPQSASMVKDASLARDLNQGSRLRSVPTSEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPTPPLPPSVPAPQPPPPPPLPASTPTEPHFADASSSESEMESSDEEVNDKGTAKSARKRARREAIVGPAIDKSVAHEAVGVKPATLIPKEIPFIKKKNPILQIKIAPKHIPNEQKDDDDDYKRISEEPNEEDSDAKCFATADELEKGKLPPEEILSLPMFKNYAAGNPASVLYIKNLAKDVVPEDFYFIFGSLFGSIDAAKSGLNVKLMQEGRMRGQAFVTFPAVELAHHALNLVNGYVFKGKPIIIQFGRNPATAKTN >EOY10720 pep chromosome:Theobroma_cacao_20110822:5:36132902:36137530:-1 gene:TCM_026027 transcript:EOY10720 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 5 MAAVPSSQPFSNPQMQHFGYQGVEGTTSNPHELESVTTLLIRHLPEAIPHDTLLRLFSHYGASSVRPCSSGKLRNCAFVDFKNEALASQAQRQLNGLRFLGKVLSVERASKTTEDNKPQQTGVQPGKDFPQSASMVKDASLARDLNQGSRLRSVPTSEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPTPPLPPSVPAPQPPPPPPLPASTPTEPHFADASSSESEMESSDEEVNDKGTAKSARKRARREAIVGPAIDKSVAHEAVGVKPATLIPKEIPFIKKKNPILQIKIAPKHIPNEQKDDDDDYKRISEEPNEEDSDAKCFATADELEKGKLPPEEILSLPMFKNYAAGNPASVLYIKNLAKDVVPEDFYFIFGSLFGSIDAAKSGLNVKLMQEGRMRGQAFVTFPAVELAHHALNLVNGYVFKGKPIIIQFGRNPATAKTN >EOY07361 pep chromosome:Theobroma_cacao_20110822:5:1307215:1312761:-1 gene:TCM_021815 transcript:EOY07361 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-type peptidyl-prolyl cis-trans isomerase family protein isoform 1 MAVEEDAEQSYLPQKKKSESDEDKRRKKIIPGSLMKALMRPGGGESNPSDGDQVIYHCTVRTLDGVVIQSTRSENGGKGIPVRDVLGKSKMILGLLEGIPSMLKGEVSMFKMKPQMHYAEDDCPVPVPSNFPKDDELHFEIELIDFSKVKVVCDDLGVLKKVVDEGQGWESPREPYEVKAWISAKTGDGKLILSHTEGEPYFFTFGKSEVPKGLEIGIGTMARKEKAIIYVRNQYLTPSPLLPEIEDYEEVHFEVELDHFIQVRDMLGDGRLIKRRLQDGKGEFPMDCPLHDSLLRVHYRGMLLNEVKTVFYDTRVDNQGDPLEFSSGEGMVPEGFEMCVRLMLPGEIALVTCPPDYAYDKFSRPPNVPEGAHVQWEIELLGFEMPKDWTGLNFQSIMDEAEKIRVTGNRLFKEGKFELAKAKYEKVLREFNHVNPQTDEEGKVFLDTRNLLHLNVALCFLKMGECRKSIEACNKVCNDFKLEPSLFSLFNGKMKFLMILLVFMRKDTSI >EOY07360 pep chromosome:Theobroma_cacao_20110822:5:1305946:1312666:-1 gene:TCM_021815 transcript:EOY07360 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-type peptidyl-prolyl cis-trans isomerase family protein isoform 1 MAVEEDAEQSYLPQKKKSESDEDKRRKKIIPGSLMKALMRPGGGESNPSDGDQVIYHCTVRTLDGVVIQSTRSENGGKGIPVRDVLGKSKMILGLLEGIPSMLKGEVSMFKMKPQMHYAEDDCPVPVPSNFPKDDELHFEIELIDFSKVKVVCDDLGVLKKVVDEGQGWESPREPYEVKAWISAKTGDGKLILSHTEGEPYFFTFGKSEVPKGLEIGIGTMARKEKAIIYVRNQYLTPSPLLPEIEDYEEVHFEVELDHFIQVRDMLGDGRLIKRRLQDGKGEFPMDCPLHDSLLRVHYRGMLLNEVKTVFYDTRVDNQGDPLEFSSGEGMVPEGFEMCVRLMLPGEIALVTCPPDYAYDKFSRPPNVPEGAHVQWEIELLGFEMPKDWTGLNFQSIMDEAEKIRVTGNRLFKEGKFELAKAKYEKVLREFNHVNPQTDEEGKVFLDTRNLLHLNVALCFLKMGECRKSIEACNKVLDATPVHAKALYRRGMAYMAAGDFEEARSDFQMMIKVDKSAEPDATAALAKLKKQEQEVETKARKQFKGLFDKKPGEIAEAQVEDRGGDQASGSENQKNSEESSSSSSDGVDESQDVHRAAAGQPRLGWLYNLWPPGGRVLSALGLQRCTIL >EOY11592 pep chromosome:Theobroma_cacao_20110822:5:39806475:39809378:-1 gene:TCM_026729 transcript:EOY11592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought-responsive family protein isoform 2 MDADSWSARLSSASKRYQSALQSRSDMFMGFEEIDGEGDIREEFPCPFCSEYFDIVGLCCHIDDEHPVEAKNGHRRKSRKGGSHSTLSLLRKELREGNLQSLFGGSSCIVSSSNSAPDPLLSSFILPMVDDFVSVQPHLSSETSTTKKSLDANKSERHVQSSPLSVKDQEEKAKRCDFVQGLLLSTILDDVL >EOY11591 pep chromosome:Theobroma_cacao_20110822:5:39806549:39809365:-1 gene:TCM_026729 transcript:EOY11591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought-responsive family protein isoform 2 MDADSWSARLSSASKRYQSALQSRSDMFMGFEEIDGEGDIREEFPCPFCSEYFDIVGLCCHIDDEHPVEAKNGVCPVCAMRVGVDMVAHITLQHGNIFKMQHRRKSRKGGSHSTLSLLRKELREGNLQSLFGGSSCIVSSSNSAPDPLLSSFILPMVDDFVSVQPHLSSETSTTKKSLDANKSERHVQSSPLSVKDQEEKAKRCDFVQGLLLSTILDDVL >EOY10704 pep chromosome:Theobroma_cacao_20110822:5:36030635:36031618:1 gene:TCM_026008 transcript:EOY10704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFNYRLVAPTLTCLSSIKPFRSIFFLGMIRYYIHNPRSFIMFLHLTPLTHHFIYICNCLLSLELYYRDRFFLSRTSYFMHLN >EOY09895 pep chromosome:Theobroma_cacao_20110822:5:31551632:31553173:1 gene:TCM_025262 transcript:EOY09895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRDSLKMNLRLWKMIENLQILLNKIFQISIKYP >EOY10148 pep chromosome:Theobroma_cacao_20110822:5:33198509:33203344:-1 gene:TCM_025521 transcript:EOY10148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEETKSDMEKEAEKICKRCKQSYTASSNTSASCRFHPSFFVCRRHDDQKRYYELRPDDPPYAAKFYDCCGAEDPEALGCITSFHVSYDDD >EOY07691 pep chromosome:Theobroma_cacao_20110822:5:2428355:2437499:1 gene:TCM_022061 transcript:EOY07691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 1 MTESNLNLLEALEPKRDAYGFAVRPQHIQTYRQYVNIYKEEEEERSEKWKIFLEQHAKPTEPCFSEVEDRKTLQAEATEMKEKPLPEENKESLHVEASEGNEEAAIEAKEETTSEKEHKVIFQSEATQLNVEAASEEGCRKTCQGEAIKVKEEVDSVDESKETSQTEATELEEGVASKRIKEGDAPSTKLDSAGSTEKPDSASLQESKTEKPDSAHSTESEREKEVQLAEETKTRKVERWAKTRPVLCAIENMMNSRAKKGKNMKNMNMNESGDHLPSIKEARSPEPESEDEFEEKVCVNVRSSREENDAGNEASQESFFPWKEELESLVHGGVPKDLRGEVWQAFVGVKARRVERYYEDLLAQETYEDDQQSNSSGVFRKWKRQIEKDLPRTFPGHPALNDNGRDSLRRLLLAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDNYFDGYYTEEMIESQVDQLVFEELMRERFPKLVNHLDYLGVQVAWISGPWFLSIFVNMLPWESVLRIWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFLAVTDARLQDLRDKHRPAVLAIVEERTKRGRVWKDSKGLASKLYSFKHDRGSLLEETKPAQEVADGDISNLETRSSNLDELLCSLNADSEVDSLPDLQEQVDWMKVELCRLLEEKRAAILRAEELETALVEMVKEDNRRQLSAKIEQLEQEVADLQQALADKKEQEAAMLKVLMRLEQEQRITEDARRNTEQDADAQRYAVTVLQEKYEKAMASIAQMEKRVVMAESMLEATLQYQSGQAKALSSPRAQRNQASTQDSPRRKAGILSFGLGWRDKNKGKPNFEESTDGKSWEET >EOY07693 pep chromosome:Theobroma_cacao_20110822:5:2429994:2437423:1 gene:TCM_022061 transcript:EOY07693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 1 KPLPEENKESLHVEASEGNEEAAIEAKEETTSEKEHKVIFQSEATQLNVEAASEEGCRKTCQGEAIKVKEEVDSVDESKETSQTEATELEEGVASKRIKEGDAPSTKLDSAGSTEKPDSASLQESKTEKPDSAHSTESEREKEVQLAEETKTRKVERWAKTRPVLCAIENMMNSRAKKGKNMKNMNMNESGDHLPSIKEARSPEPESEDEFEEKVCVNVRSSREENDAGNEASQESFFPWKEELESLVHGGVPKDLRGEVWQAFVGVKARRVERYYEDLLAQETYEDDQQSNSSGVFRKWKRQIEKDLPRTFPGHPALNDNGRDSLRRLLLAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDNYFDGYYTEEMIESQVDQLVFEELMRERFPKLVNHLDYLGVQVAWISGPWFLSIFVNMLPWESVLRIWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFLAVTDARLQDLRDKHRPAVLAIVEERTKRGRVWKDSKGLASKLYSFKHDRGSLLEETKPAQEVADGDISNLETRSSNLDELLCSLNADSEVDSLPDLQEQVDWMKVELCRLLEEKRAAILRAEELETALVEMVKEDNRRQLSAKIEQLEQEVADLQQALADKKEQEAAMLKVLMRLEQEQRITEDARRNTEQDADAQRYAVTVLQEKYEKAMASIAQMEKRVVMAESMLEATLQYQSGQAKALSSPRIHAVISVL >EOY07692 pep chromosome:Theobroma_cacao_20110822:5:2429994:2437741:1 gene:TCM_022061 transcript:EOY07692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 1 KPLPEENKESLHVEASEGNEEAAIEAKEETTSEKEHKVIFQSEATQLNVEAASEEGCRKTCQGEAIKVKEEVDSVDESKETSQTEATELEEGVASKRIKEGDAPSTKLDSAGSTEKPDSASLQESKTEKPDSAHSTESEREKEVQLAEETKTRKVERWAKTRPVLCAIENMMNSRAKKGKNMKNMNMNESGDHLPSIKEARSPEPESEDEFEEKVCVNVRSSREENDAGNEASQESFFPWKEELESLVHGGVPKDLRGEVWQAFVGVKARRVERYYEDLLAQETYEDDQQSNSSGVFRKWKRQIEKDLPRTFPGHPALNDNGRDSLRRLLLAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDNYFDGYYTEEMIESQVDQLVFEELMRERFPKLVNHLDYLGVQVAWISGPWFLSIFVNMLPWESVLRIWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFLAVTDARLQDLRDKHRPAVLAIVEERTKRGRVWKDSKGLASKLYSFKHDRGSLLEETKPAQEVADGDISNLETRSSNLDELLCSLNADSEVDSLPDLQEQVDWMKVELCRLLEEKRAAILRAEELETALVEMVKEDNRRQLSAKIEQLEQEVADLQQALADKKEQEAAMLKVLMRLEQEQRITEDARRNTEQDADAQRYAVTVLQEKYEKAMASIAQMEKRVVMAESMLEATLQYQSGQAKALSSPR >EOY07771 pep chromosome:Theobroma_cacao_20110822:5:2641936:2645730:-1 gene:TCM_022104 transcript:EOY07771 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MNIQKKLFFFCLICWKVEAVEGFNVFKSSKKALVESVENQKDEEEDKLSKEKKKLNQKLEADAILRKKFNIHVSGNNVASPLKSFAELSSVYGCESYLLRNFAELGFKEPTPIQRQAIPVLLSGRECFACAPTGSGKTLAFVSPMLMKLKHASSEGVRAVILCPTRELAAQTTRECKKLAKGNKFYIKLLTKKLIRSADLSKLPCDILISTPLRLSSAIKKRKLNLSRVEYLVLDESDKLFELGLLKQIDSVVKACSNPSIIRSLFSATLPDSVEELARTIMPDAVRVIIGRKNTASKSINQKLVFAGSEEGKLLALRQSFAEKLNPPVLIFVQSKERAKELYEELKFDNIRASVIHSDLSETQRENIVNDFRAGKTWVLIATDVIARGMDFKGVNCVINYDFPYSAAAYIHRIGRSGRAGRSGEAITFYTEDDVPFLRNIANVMAGSGCEVPSWIMALRKLRWKKHRPKRESISTKPNEAED >EOY07773 pep chromosome:Theobroma_cacao_20110822:5:2642020:2645812:-1 gene:TCM_022104 transcript:EOY07773 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MNIQKKLFFFCLICWKVEAVEGFNVFKSSKKALVESVENQKDEEEDKLSKEKKKLNQKLEADAILRKKFNIHVSGNNVASPLKSFAELSSVYGCESYLLRNFAELGFKEPTPIQRQAIPVLLSGRECFACAPTGSGKTLAFVSPMLMKLKHASSEGVRAVILCPTRELAAQTTRECKKLAKGNKFYIKLLTKKLIRSADLSKLPCDILISTPLRLSSAIKKRKLNLSRVEYLVLDESDKLFELGLLKQIDSVVKACSNPSIIRSLFSATLPDSVEELARTIMPDAVRVIIGRKNTASKSINQKLVFAGSEEGKLLALRQSFAEKLNPPVLIFVQSKERAKELYEELKFDNIRASVIHSDLSETQRENIVNDFRAGKTWVLIATDVIARGMDFKGVNCVINYDFPYSAAAYIHRIGSGCEVPSWI >EOY07770 pep chromosome:Theobroma_cacao_20110822:5:2641646:2646315:-1 gene:TCM_022104 transcript:EOY07770 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MEKGASFLFGGTNFNKKKFASDIARFKEKTETEDKVDYLSLFESGNPEKEEFTVSTKKRKRKQAVSEAVEGFNVFKSSKKALVESVENQKDEEEDKLSKEKKKLNQKLEADAILRKKFNIHVSGNNVASPLKSFAELSSVYGCESYLLRNFAELGFKEPTPIQRQAIPVLLSGRECFACAPTGSGKTLAFVSPMLMKLKHASSEGVRAVILCPTRELAAQTTRECKKLAKGNKFYIKLLTKKLIRSADLSKLPCDILISTPLRLSSAIKKRKLNLSRVEYLVLDESDKLFELGLLKQIDSVVKACSNPSIIRSLFSATLPDSVEELARTIMPDAVRVIIGRKNTASKSINQKLVFAGSEEGKLLALRQSFAEKLNPPVLIFVQSKERAKELYEELKFDNIRASVIHSDLSETQRENIVNDFRAGKTWVLIATDVIARGMDFKGVNCVINYDFPYSAAAYIHRIGRSGRAGRSGEAITFYTEDDVPFLRNIANVMAGSGCEVPSWIMALRKLRWKKHRPKRESISTKPNEAED >EOY07772 pep chromosome:Theobroma_cacao_20110822:5:2641708:2645812:-1 gene:TCM_022104 transcript:EOY07772 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MNIQKKLFFFCLICWKVEAVEGFNVFKSSKKALVESVENQKDEEEDKLSKEKKKLNQKLEADAILRKKFNIHVSGNNVASPLKSFAELSSVYGCESYLLRNFAELGFKEPTPIQRQAIPVLLSGRECFACAPTGSGKTLAFVSPMLMKLKHASSEGVRAVILCPTRELAAQTTRECKKLAKGNKFYIKLLTKKLIRSADLSKLPCDILISTPLRLSSAIKKRKLNLSRVEYLVLDESDKLFELGLLKQIDSVVKACSNPSIIRSLFSATLPDSVEELARTIMPDAVRVIIGRKNTASKSINQKLVFAGSEEGKLLALRQSFAEKLNPPVLIFVQSKERAKELYEELKFDNIRASVIHSDLSETQRENIVNDFRAGKTWVLIATDVIARGRSGRAGRSGEAITFYTEDDVPFLRNIANVMAGSGCEVPSWIMALRKLRWKKHRPKRESISTKPNEAED >EOY07245 pep chromosome:Theobroma_cacao_20110822:5:910949:918031:1 gene:TCM_021715 transcript:EOY07245 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 2 isoform 1 MSRLGFKSVVYHGDVCLGELDTIPVSDTNFQFPNNEIRIHHISPPSERCIPLSVLHTVSSFPVRCKLESSSPVEQPHLIHLHASCFYELKTAVVLVGDEEVHLVPMPSKQKKFPCFWCFSVPTGLYNSCLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEALRGWLARETDTIRHLGMSAELRRYIDDRMLLKQYTESDCVVDNGKMFKVQMEEVPPLSDGHEKVVRPVIRLQERNIVLTRINPEIRDTSVLVRLRPAWEELRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEAHLIGSKQLLDRVVCVKSGSRKSLLNVFRDGKCHPQMAMVIDDRSKVWEDKDQPRVHVVPPFAPYYAPQAETANAVPVLCVARNVACNVRGLFFKEFDENVIRKISEVFYEDEVVNLPLAPDVSNHLMLEDAGFAPNGNGGAPISEGMNGVEVERRLNQLEEKHVSDSSTHLVMNNPELRYETSQPPVAIVPNVVGPASLTAPLPSQKPSLLGAPGLLSAPTLLGASVRRESNTIDSDYDMKRRALGSKQTLDLRNQSSVQPPLLSKVPAQISSSSILPQGGWLVEEDNNKAHLNDRSSGSAQEFDATKSDKLRNQNPFSHSAPGSVSTGLPSHASQVKVEEAHAGLDTQKQNVPPAGHLSEIGGTQNHVSSTEGGKLNLLPSHLSISVLQEIGRRCGSKVEFRTVVSTSKDLQFSVEVLFTGEKIGVGMGKTRKDAQQQAAELALHNLAEKYLAYIAPRSGAVDRDFNKLSLGTENGFLWDVNPASSEALREDGLPKDSTSEGMWARNFQIRGSGYLERNHSSLDFALHQDVRAITLKGE >EOY07246 pep chromosome:Theobroma_cacao_20110822:5:910949:918031:1 gene:TCM_021715 transcript:EOY07246 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 2 isoform 1 MSRLGFKSVVYHGDVCLGELDTIPVSDTNFQFPNNEIRIHHISPPSERCIPLSVLHTVSSFPVRCKLESSSPVEQPHLIHLHASCFYELKTAVVLVGDEEVHLVPMPSKQKKFPCFWCFSVPTGLYNSCLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEALRGWLARETDTIRHLGMSAELRRYIDDRMLLKQYTESDCVVDNGKMFKVQMEEVPPLSDGHEKVVRPVIRLQERNIVLTRINPEIRDTSVLVRLRPAWEELRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEAHLIGSKQLLDRVVCVKSGSRKSLLNVFRDGKCHPQMAMVIDDRSKVWEDKDQPRVHVVPPFAPYYAPQAETANAVPVLCVARNVACNVRGLFFKEFDENVIRKISEVFYEDEVVNLPLAPDVSNHLMLEDAGFAPNGNGGAPISEGMNGVEVERRLNQLEEKHVSDSSTHLVMNNPELRYETSQPPVAIVPNVVGPASLTAPLPSQKPSLLGAPGLLSAPTLLGASVRRESNTIDSDYDMKRRALGSKQTLDLRNQSSVQPPLLSKVPAQISSSSILPQGGWLVEEDNNKAHLNDRSSGSAQEFDATKSDKLRNQNPFSHSAPGSVSTGLPSHASQVKVEEAHAGLDTQKQNVPPAGHLSEIGGTQNHVSSTEGGKLNLLPSHLSISVLQEIGRRCGSKKVEFRTVVSTSKDLQFSVEVLFTGEKIGVGMGKTRKDAQQQAAELALHNLAEKYLAYIAPRSGAVDRDFNKLSLGTENGFLWDVNPASSEALREDGLPKDSTSEGMWARNFQIRGSGYLERNHSSLDFALHQDVRAITLKGE >EOY07244 pep chromosome:Theobroma_cacao_20110822:5:910934:918625:1 gene:TCM_021715 transcript:EOY07244 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 2 isoform 1 MSRLGFKSVVYHGDVCLGELDTIPVSDTNFQFPNNEIRIHHISPPSERCIPLSVLHTVSSFPVRCKLESSSPVEQPHLIHLHASCFYELKTAVVLVGDEEVHLVPMPSKQKKFPCFWCFSVPTGLYNSCLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEALRGWLARETDTIRHLGMSAELRRYIDDRMLLKQYTESDCVVDNGKMFKVQMEEVPPLSDGHEKVVRPVIRLQERNIVLTRINPEIRDTSVLVRLRPAWEELRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEAHLIGSKQLLDRVVCVKSGSRKSLLNVFRDGKCHPQMAMVIDDRSKVWEDKDQPRVHVVPPFAPYYAPQAETANAVPVLCVARNVACNVRGLFFKEFDENVIRKISEVFYEDEVVNLPLAPDVSNHLMLEDAGFAPNGNGGAPISEGMNGVEVERRLNQLEEKHVSDSSTHLVMNNPELRYETSQPPVAIVPNVVGPASLTAPLPSQKPSLLGAPGLLSAPTLLGASVRRESNTIDSDYDMKRRALGSKQTLDLRNQSSVQPPLLSKVPAQISSSSILPQGGWLVEEDNNKAHLNDRSSGSAQEFDATKSDKLRNQNPFSHSAPGSVSTGLPSHASQVKVEEAHAGLDTQKQNVPPAGHLSEIGGTQNHVSSTEGGKLNLLPSHLSISVLQEIGRRCGSKVEFRTVVSTSKDLQFSVEVLFTGEKIGVGMGKTRKDAQQQAAELALHNLAEKYLAYIAPRSGAVDRDFNKLSLGTENGFLWDVNPASSEALREDGLPKDSTSEVADVEPGSNSSSLVNQPVQKRANSPRSSESMPSKRSKEEVLRRLRSLSSSRQPKNEHPIS >EOY07247 pep chromosome:Theobroma_cacao_20110822:5:911154:916372:1 gene:TCM_021715 transcript:EOY07247 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 2 isoform 1 MSRLGFKSVVYHGDVCLGELDTIPVSDTNFQFPNNEIRIHHISPPSERCIPLSVLHTVSSFPVRCKLESSSPVEQPHLIHLHASCFYELKTAVVLVGDEEVHLVPMPSKQKKFPCFWCFSVPTGLYNSCLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEALRGWLARETDTIRHLGMSAELRRYIDDRMLLKQYTESDCVVDNGKMFKVQMEEVPPLSDGHEKVVRPVIRLQERNIVLTRINPEIRDTSVLVRLRPAWEELRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEAHLIGSKQLLDRVVCVKSGSRKSLLNVFRDGKCHPQMAMVIDDRSKVWEDKDQPRVHVVPPFAPYYAPQAETANAVPVLCVARNVACNVRGLFFKEFDENVIRKISEVFYEDEVVNLPLAPDVSNHLMLEDAGFAPNGNGGAPISEGMNGVEVERRLNQLEEKHVSDSSTHLVMNNPELRYETSQPPVAIVPNVVGPASLTAPLPSQKPSLLGAPGLLSAPTLLGASVRRESNTIDSDYDMKRRALGSKQTLDLRNQSSVQPPLLSKVPAQISSSSILPQGGWLVEEDNNKAHLNDRSSGSAQEFDATKSDKLRNQNPFSHSAPGSVSTGLPSHASQVKVEEAHAGLDTQKQNVPPAGHLSEIGGTQNHVSSTEGGKLNLLPSHLSISVLQEIGRRCGSKVEFRTVVSTSKDLQFSVEVLFTGEKIGVGMGKTRKDAQQQAAELALHNLAEKYLAYIAPRSGAVDRDFNKLSLGTENGFLWDVNPASSEALREDGLPKDSTSEVGIPDDAFST >EOY07248 pep chromosome:Theobroma_cacao_20110822:5:910992:915354:1 gene:TCM_021715 transcript:EOY07248 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 2 isoform 1 MSRLGFKSVVYHGDVCLGELDTIPVSDTNFQFPNNEIRIHHISPPSERCIPLSVLHTVSSFPVRCKLESSSPVEQPHLIHLHASCFYELKTAVVLVGDEEVHLVPMPSKQKKFPCFWCFSVPTGLYNSCLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEALRGWLARETDTIRHLGMSAELRRYIDDRMLLKQYTESDCVVDNGKMFKVQMEEVPPLSDGHEKVVRPVIRLQERNIVLTRINPEIRDTSVLVRLRPAWEELRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEAHLIGSKQLLDRVVCVKSGSRKSLLNVFRDGKCHPQMAMVIDDRSKVWEDKDQPRVHVVPPFAPYYAPQAETANAVPVLCVARNVACNVRGLFFKEFDENVIRKISEVFYEDEVVNLPLAPDVSNHLMLEDAGFAPNGNGGAPISEGMNGVEVERRLNQLFLQEEKHVSDSSTHLVMNNPELRYETSQPPVAIVPNVVGPASLTAPLPSQKPSLLGAPGLLSAPTLLGASVRRESNTIDSDYDMKRRALGSKQTLDLRNQSSVQPPLLSKVPAQISSSSILPQGGWLVEEDNNKAHLNDRSSGSAQEFDATKSDKLRNQNPFSHSAPGSVSTGLPSHASQVKVEEAHAGLDTQKQNVPPAGHLSEIGGTQNHVSSTEGGKLNLLPSHLSISVLQEIGRRCGSKVEFRTVVSTSKDLQFSV >EOY11776 pep chromosome:Theobroma_cacao_20110822:5:40288458:40289553:-1 gene:TCM_026847 transcript:EOY11776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress-induced hydrophobic peptide MADDSTATCVDILLAIILPPLGVFLKYGCEVEFWICLVLTLFGYIPGIIYAVYAITKK >EOY09425 pep chromosome:Theobroma_cacao_20110822:5:29057036:29062667:1 gene:TCM_024843 transcript:EOY09425 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase A4D MSNFYGDYNQKIDYVFKVVLIGDSAVGKSQLLARFARNEFSVDSKATIGVEFQTKTLAIDHKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMARWLEELRGHADKNIVIMLIGNKCDLGSLRAVPTEDAQEFAQRENLFFMETSALESTNVETAFLTVLTEIYRIISKKTLAANDELDPNGNSGLLKGTRIIVPNQELQTERKGGCCG >EOY08514 pep chromosome:Theobroma_cacao_20110822:5:9992291:9993199:1 gene:TCM_023033 transcript:EOY08514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRKRERKNCERGRAKRMVLLHFEERKREFLLQFWRKKKAENFEVGKLIFFKLIAGIFEVSMADFGVENSRFLLLKLCEFAAGNFGGFAAIKGQQSAANLCRKIGAICCQFVQKHWSCLCKKIGVVCCRKIRTVCAEKLELFAANLCRKIGQHCAANLREKKTAWCCQIF >EOY09028 pep chromosome:Theobroma_cacao_20110822:5:24947994:24960754:-1 gene:TCM_024364 transcript:EOY09028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLEGVLSEEASKGILNVEAPQVVPSAKTPKGVPSADAPKNKHGTTIYTGKSKELKTSDKAYDVGVHMTVMVVYGMRAHGDNNYVRCGSAHDDDNYVQCGSAHNDNNYVQSGSAHDNNSYVRCGSAHELS >EOY10178 pep chromosome:Theobroma_cacao_20110822:5:33365305:33367602:-1 gene:TCM_025548 transcript:EOY10178 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein isoform 1 MINIDQETTVREIKPKSRRIMGGGGPNDDDDKEDVKWPPWLRPLLQTSFFVQCKLHADAHKSECNMYCLDCMNGALCSLCLAYHKEHRAIQIRRSSYHDVIRVSEIQKFLDITGIQTYIINSARIVFLNERPQPRPGKGVTNTCQVCERSLLDSFSFCSLGCKIVGTSKNFIRKKKMCKETDGSDAESLSGVNSGSRKSKIQSFTPSTPPPTAVNYRTAKRRKGVPHRAPMGGLIIEY >EOY10179 pep chromosome:Theobroma_cacao_20110822:5:33365801:33367602:-1 gene:TCM_025548 transcript:EOY10179 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein isoform 1 MYCLDCMNGALCSLCLAYHKEHRAIQIRRSSYHDVIRVSEIQKFLDITGIQTYIINSARIVFLNERPQPRPGKGVTNTCQVCERSLLDSFSFCSLGCKIVGTSKNFIRKKKMCKETDGSDAESLSGVNSGSRKSKIQSFTPSTPPPTAVNYRTAKRRKGVPHRAPMGGLIIEY >EOY10260 pep chromosome:Theobroma_cacao_20110822:5:33815721:33820379:1 gene:TCM_025635 transcript:EOY10260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 2 MRKHGVIPVLPLWNHLIYSFNASGLVSEVMLLYSEMIACGVLPNVFTINVLVHSLAKVGNLSFALELLRNVGNNNNVDTVTYNTLIWGFCEQGLAYQGFGLLSEMVKKGINFDTFSCNIVVKGFCRIGFVKYGEWVMDNLVNGGIFKDVIGFNILIDGYCKSGDMNYAVQIMDRMRREGLVPDIISYNTLIDGFCKKGDFAKAKGLIDEILGSRRKKDFMILDENDHRSEGENSVILEPDLITHTTLISAYCKREALEEALSLYEETVVNGFLPDVVTYSSIMNGLRKHGKFAEAKVLLMEMEKMGVDPNHVSYSTLVDSFFKAGNSMDAFALQSQMVVRGIAFDVVVYTTLMDGLFKVGKPKEAENTFSTLLKHKLVPNLTTYAALIDGRCKSGDINGAESALKEMKEKNVVPNVVTYSSVINSYIRKGMLDEAVNMMRKMVSENILPNVFIYAALIDGYFKAGKELVALDLYNEMKLAGLEENNFILDAFVNNFKRAGRMGEAEVLVKDMMSKGLSLDHVNYTSLMDGFFKEGKDSAALILAQEMTEKNITFDVVVYNVLINGLLRLGKYEAQSVYARMRELDLAPDLITCNTMINAYCKEGKFEYALNLWDDMKSCGLMPNSITCNILIRGLCRAGEIQKALNVLNEMLVLGFSPTTAIHKFLLDASSRNGRADAILLMHECLVSMGLKLNQAVFNTLITVLCRLGMTKKAISALNDMTGRGFSADTITYNALINGYCRGSHIKKAFATYSHMLREGVPPNVVTYNLLLRGLSTAGLMKEADELFSQMKEKGLNPNASTYDTLISGHGKIGNKRESVKVYCEMISKGFVPRTGTYNLLISGFAKIGKMSQARELLKEMQLRGALPNSSTYDILISGWCNLSDQPELDRASKLSCLAEKKFPLCEKSNAFTVGFSFRHMAFRGKILHIIFQSVLSAGKKFPLQEEIDVFTVGFSFRQMVFRGKISYCLSSFHDSVLIILCKTAPNLSNQYAFNSWHLCLSHFAGQDPGQCFSASNEASRLLQN >EOY10261 pep chromosome:Theobroma_cacao_20110822:5:33815985:33819815:1 gene:TCM_025635 transcript:EOY10261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 2 MLLYSEMIACGVLPNVFTINVLVHSLAKVGNLSFALELLRNVGNNNNVDTVTYNTLIWGFCEQGLAYQGFGLLSEMVKKGINFDTFSCNIVVKGFCRIGFVKYGEWVMDNLVNGGIFKDVIGFNILIDGYCKSGDMNYAVQIMDRMRREGLVPDIISYNTLIDGFCKKGDFAKAKGLIDEILGSRRKKDFMILDENDHRSEGENSVILEPDLITHTTLISAYCKREALEEALSLYEETVVNGFLPDVVTYSSIMNGLRKHGKFAEAKVLLMEMEKMGVDPNHVSYSTLVDSFFKAGNSMDAFALQSQMVVRGIAFDVVVYTTLMDGLFKVGKPKEAENTFSTLLKHKLVPNLTTYAALIDGRCKSGDINGAESALKEMKEKNVVPNVVTYSSVINSYIRKGMLDEAVNMMRKMVSENILPNVFIYAALIDGYFKAGKELVALDLYNEMKLAGLEENNFILDAFVNNFKRAGRMGEAEVLVKDMMSKGLSLDHVNYTSLMDGFFKEGKDSAALILAQEMTEKNITFDVVVYNVLINGLLRLGKYEAQSVYARMRELDLAPDLITCNTMINAYCKEGKFEYALNLWDDMKSCGLMPNSITCNILIRGLCRAGEIQKALNVLNEMLVLGFSPTTAIHKFLLDASSRNGRADAILLMHECLVSMGLKLNQAVFNTLITVLCRLGMTKKAISALNDMTGRGFSADTITYNALINGYCRGSHIKKAFATYSHMLREGVPPNVVTYNLLLRGLSTAGLMKEADELFSQMKEKGLNPNASTYDTLISGHGKIGNKRESVKVYCEMISKGFVPRTGTYNLLISGFAKIGKMSQARELLKEMQLRGALPNSSTYDILISGWCNLSDQPELDRASKLSCLAEVKKLLLEVKDRQFLPCESTLSNISSAFAKLGKKLNAQKGLYMRKNI >EOY10262 pep chromosome:Theobroma_cacao_20110822:5:33815537:33819173:1 gene:TCM_025635 transcript:EOY10262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 2 MIKYFTSHKKFLFPFRFLSRSSTFSSTKHPSPHKPSKIPPPFSPNTLSNPTIPSSKSHLYASLFCTLIHLYLTCGRFSQAKDTFCNMRKHGVIPVLPLWNHLIYSFNASGLVSEVMLLYSEMIACGVLPNVFTINVLVHSLAKVGNLSFALELLRNVGNNNNVDTVTYNTLIWGFCEQGLAYQGFGLLSEMVKKGINFDTFSCNIVVKGFCRIGFVKYGEWVMDNLVNGGIFKDVIGFNILIDGYCKSGDMNYAVQIMDRMRREGLVPDIISYNTLIDGFCKKGDFAKAKGLIDEILGSRRKKDFMILDENDHRSEGENSVILEPDLITHTTLISAYCKREALEEALSLYEETVVNGFLPDVVTYSSIMNGLRKHGKFAEAKVLLMEMEKMGVDPNHVSYSTLVDSFFKAGNSMDAFALQSQMVVRGIAFDVVVYTTLMDGLFKVGKPKEAENTFSTLLKHKLVPNLTTYAALIDGRCKSGDINGAESALKEMKEKNVVPNVVTYSSVINSYIRKGMLDEAVNMMRKMVSENILPNVFIYAALIDGYFKAGKELVALDLYNEMKLAGLEENNFILDAFVNNFKRAGRMGEAEVLVKDMMSKGLSLDHVNYTSLMDGFFKEGKDSAALILAQEMTEKNITFDVVVYNVLINGLLRLGKYEAQSVYARMRELDLAPDLITCNTMINAYCKEGKFEYALNLWDDMKSCGLMPNSITCNILIRGLCRAGEIQKALNVLNEMLVLGFSPTTAIHKFLLDASSRNGRADAILLMHECLVSMGLKLNQAVFNTLITVLCRLGMTKKAISALNDMTGRGFSADTITYNALINGYCRGSHIKKAFATYSHMLREGVPPNVVTYNLLLRGLSTAGLMKEADELFSQMKEKGLNPNASTYDTLISGHGKIGNKRESVKVYCEMISKGFVPRTGTYNLLISGFAKIGKMSQARELLKEMQLRGALPNSSTYDILISGWCNLSDQPELDRASKLSCLAEVKKLLLEVKDRQFLPCESTLSNISSAFAKLGKKLNAQKGLYMRKNI >EOY11715 pep chromosome:Theobroma_cacao_20110822:5:40116291:40117066:1 gene:TCM_026801 transcript:EOY11715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASYTPRLLALTLLVAFSVIHPSSSVPNETLVAICSKTYNPILCASILRGDPRTSSADLPELSLVSINLTIEQAANNSQVFVEFHDNTTDPALKKGFNSCVELYQLMEDNLDKAYHRSEGGDYRNITELVQSSNQAVECASALAINLVTIDALTRAVVSKCETSVSVNQYIARSKA >EOY09012 pep chromosome:Theobroma_cacao_20110822:5:24769432:24771520:-1 gene:TCM_024345 transcript:EOY09012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strictosidine synthase-like 2, putative MKYEQESKQVRVVLNNLSFPNGVALSNGGSFLVFAETTKCRILRYWLNTSKAETLETFAQLPGFPDNIIRSPRGGFWVAMHSRRDKILAWILSFPRLGNALVRLPIDVTKAYLVLSKYRGSGLAMTLSEDGEILETIEDKNGNKISEVHEKDGNLWIGSIDMPFVEDMSDGAISISLKWFLYKLQDICIKIKGGAWV >EOY09342 pep chromosome:Theobroma_cacao_20110822:5:28390042:28399815:-1 gene:TCM_024761 transcript:EOY09342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 1 MMFSVQKWKCSWSLVATVASVIVPVSVVHLFLFPVVPSFDYFRAPQVQYKCVPINASVEKVADHVWENIQPGLDLDHRFPSDLHNGVVYHNAPWKAEIGQWLSSCDAIAREVNIVETIGGRRCKADCSGQGVCNHEMGQCRCFHGFSGEECSERVHLSCNYPKTPELPYGRWVVSICPAHCDTTRAMCFCGEGTKYPNRPVAEACGFQMNLPSEPGGPKLTDWSKADLDNIFTTNGSKPGWCNVDPDAAYASKVLFKEECDCKYDGLWGRFCEVPVESVCINQCSGHGHCRGGFCQCYNGWYGTDCSIPSVVSPMGEWPKWLRPAQVDIPSIEHTGSLVNLDAAVKKKRPLIYVYDLPPEFNSLLLEGRHFKFECVNRIYDDRNATLWTDQLYGSQMALYESILASPYRTLNGEEADFFFVPVLDSCIITRADDAPHLSMENHTGLRSSLTLEFYRKAYDHIVEKYAYWNRSAGRDHVWSFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWADNWDKIPSDRRGNHPCFDPAKDLVLPAWKHPDVTALSAKLWSRPREKRKTLFYFNGNLGPAFTSGRPETTYSMGIRQKLADEFGSTPNKEGKLGKQHAEDVIVTSLRSNNYHEDIANSTFCGVLPGDGWSGRMEDSVLQGCIPVVIQDGIFLPYENVLNYESFAVRIREDEIPNLIKILQGINESEIEFKLANVQKIQQRFLYRNSILLEAERQKTLFGRLEDWAVQFLQQTEDDVFTTFLQVLHYKLHNDPWRRQLAHLKKEYGVPPECLIRTK >EOY09341 pep chromosome:Theobroma_cacao_20110822:5:28390267:28399555:-1 gene:TCM_024761 transcript:EOY09341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 1 MMFSVQKWKCSWSLVATVASVIVPVSVVHLFLFPVVPSFDYFRAPQVQYKCVPINASVEKVADHVWENIQPGLDLDHRFPSDLHNGVVYHNAPWKAEIGQWLSSCDAIAREVNIVETIGGRRCKADCSGQGVCNHEMGQCRCFHGFSGEECSERVHLSCNYPKTPELPYGRWVVSICPAHCDTTRAMCFCGEGTKYPNRPVAEACGFQMNLPSEPGGPKLTDWSKADLDNIFTTNGSKPGWCNVDPDAAYASKVLFKEECDCKYDGLWGRFCEVPVESVCINQCSGHGHCRGGFCQCYNGWYGTDCSIPSVVSPMGEWPKWLRPAQVDIPSIEHTGSLVNLDAAVKKKRPLIYVYDLPPEFNSLLLEGRHFKFECVNRIYDDRNATLWTDQLYGSQMALYESILASPYRTLNGEEADFFFVPVLDSCIITRADDAPHLSMENHTGLRSSLTLEFYRKAYDHIVEKYAYWNRSAGRDHVWSFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWADNWDKIPSDRRGNHPCFDPAKDLVLPAWKHPDVTALSAKLWSRPREKRKTLFYFNGNLGPAFTSGRPETTYSMGIRQKLADEFGSTPNKEGKLGKQHAEDVIVTSLRSNNYHEDIANSTFCGVLPGDGWSGRMEDSVLQGCIPVVIQDGIFLPYENVLNYESFAVRIREDEIPNLIKILQGINESEIEFKLANVQKIQQRFLYRNSILLEAERQKTLFGRLEDWAVQFLQQTEDDVFTTFLQVLHYKLHNDPWRRQLAHLKKEYGVPPECLIRTK >EOY07953 pep chromosome:Theobroma_cacao_20110822:5:3587368:3590628:-1 gene:TCM_022285 transcript:EOY07953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase 4 MAKYFPFLVLILFLFASIQFSSSAVPTLRQGSSLSVENPDDVLVSPRGVFSAGFYPVGHNAYCFAIWFSKPCHDGNHTTVWMANRDTPVNGRRSKLSILKTGNVILTDAGEVIIWTSDNRDSESWSELKLLDSGNLVLQTPENVTLWQSFDSPTDTLLPNQPLTRYKSLVSARSQTNYSSGFYKLIYDYDNVLRLVLDGPETSSIYWPDSTLLDYQQGRTRYNDSRIAVFDSQGYFTSSDKVEFRSTDFGRGPWRRLKLDFDGNLRLYTLEDQKGVWSVTWQVMSNPCRIHGACGPNSICSYDPSSGPKCSCPPGFKMKNQADWTDGCEPEFDLSCSNHDIEFVKLRHVNFFGYYYDILRNYTLKECAKACLDSCCIAFQYRYFPEDGAYRCYPKRELRNGHRYSSYNGTLYLKLPKSFTYDKPVEEFKLNCSSKQTKQLERAYQKETGYGSLKILIWCASVIGLIEMICIILVFCFLYKTQQSSDAATYGYLLVATGFKKFSYDELKKATRGFSEEIGRGGGGVVYKGVLSDQRVAAIKRLNIEANQGEEEFLAEISAIGRLNHMNLIEMWGYCAEGKHRLLVYEYMENGSLAKNLMSDSLDWKKRFQIAVGTAKGLAYLHEECLEWVLHCDVKPQNILLDSNYNPKVADFGLSKLLSRNSLKSSSFSKVRGTRGYMAPEWVYNLPITSKVDIYSYGIVLLEMLTGRSPAIGVPAVKTSGEVWHQSLEEWVKGKKNGAAATTSWVVELIDPAIGYDYDRNKLENLLEVAIKCTEADRHARPSMSRVVQMLVGDKIDPN >EOY10708 pep chromosome:Theobroma_cacao_20110822:5:36071349:36074124:1 gene:TCM_026013 transcript:EOY10708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFVFALAETLDLTITSFLDSFLRSSLGSYINISFLASFLGSSWLPLGHPSLSRICQCESQGKIREKRQGGCHDESQERMLMWKPREGCHGEPEHKRTEEPRRMSRPLAPLRTKTENRGMARWLRAKKLSVKRKKKELRRIKRVNQLLIAANKRMQELVDDFLLTAEANNNHVRQMNEAIQGMNDLNLDGNYNITTVNQVQPRCNSGSATDGDNNNYNRGGEGGNSRGYGGGHDGGRHE >EOY10294 pep chromosome:Theobroma_cacao_20110822:5:34020875:34021949:1 gene:TCM_025667 transcript:EOY10294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTPLVRRLKETLLKLIILSFCLLRRLKSWILYTAPLLPGRRRRSDRNNRKKMARLICLVAIEKTEVLMNDGVCL >EOY11126 pep chromosome:Theobroma_cacao_20110822:5:38057881:38060391:1 gene:TCM_026395 transcript:EOY11126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 52, putative isoform 2 MYKQALMGDAWIREAQEASKLVEDIETRVKNKNPSLKHQENRLVDITARSKLLEAGIKLDRLESLLRNPPSKPILTVTVHKWLFLTLFVMDMVDSLSICRTNEDLDYRWKMLSELQLRTKALALSLYALPTSSRPGCLTPENAEAINTNVSDCEQDQTKSSSSRDDPELLRPLISNDVTLQSQVQMKQCGTSTSLSLLQKVFWIFGAVLGSAALIFILVLICAVI >EOY11127 pep chromosome:Theobroma_cacao_20110822:5:38057583:38060500:1 gene:TCM_026395 transcript:EOY11127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 52, putative isoform 2 MYKQALMGDAWIREAQEASKLVEDIETRVKNKNPSLKHQENRLVDITARSKLLEAGIKLDRLESLLRNPPSKPILTNEDLDYRWKMLSELQLRTKALALSLYALPTSSRPGCLTPENAEAINTNVSDCEQDQTKSSSSRDDPELLRPLISNDVTLQSQVQMKQCGTSTSLSLLQKVFWIFGAVLGSAALIFILVLICAVI >EOY09258 pep chromosome:Theobroma_cacao_20110822:5:27735541:27744798:-1 gene:TCM_024673 transcript:EOY09258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MATELVTSVATGVAENLVEQYVVNPALSNLRHVFCFRSVVEEFKEQKQKFSSAQSRLQNDVNEAKRKILDIEQDVTDWLQEADKVQKEVEILENEIQENKTCLTWCPNWSCRYRLSKKIAEKTLRMAKLVETTSKFDPSRIGHRATLPNIEFLSSKDFMPSKSSKSVLNQIWEVLKNDTENVIGVHGMGGVGKTTLVKQVGKKAEEVKLFDKVVMTTVSQNPNIDKIQDEIADRLDLEFDKKSEHGKAQQLWRGLKHVERILIIVDDLWEYIDLTGIGIPVGEHHTGCKILLTTRLRQVCSYMNCQRMIDLEVLEEDEAWELFQKNAGLTKDSEGTCLHDVAREIARECRGLPLAIVTIGRALKDKTPSAWTVANNRLKECRHSDNPDFYEDIYRRLKISYDCLKGEKIQSCFLLCSLFPEDYDISIEDLTRFGVGQGLFHDASSIDDARTEMRAKLEDLKSSGLLLDSGKPQCVKMHDVVRDFAHWIMSKGEKVFMVKAGRRLKEWPRSESFECFTAISLMNNKIERLPDGLECPKLETLLLSGDGSTKVSSAFFEGMKALKVLTLESVLLSLEGLQVLTNLRTLRLEKCKLENVSSLAELKKLEILDLRGSHIYELPIELRELTALRLLDLSTCAMLQRIPLNLLPRLVSLEELYIDYPSFEQWTTEEKSAEGSNASLSELYQLPHLSALTLCIRSKFLSKYFVFPNLERYAIVVNKWQHDHYPTSKTLKIKESSLNAFNKLLLNVEDLSLDSITGYKNLVPVLDRRGLQKLTFLELQDCKDIQCLIDTTQHQVPTPAFSNLVKLTMSNMVSLKQLCNGPPPKQFLQNLEELTIRNCMDMISAVPGVQNLRGVTIKDCGQLQVVFEMDKLLHSNQENEPPLLSNLIYLELELLPELWCIWKGPTHRVGLRSLKVVRVQHCDRLTSLFSPSLAQSLSELEELEILHCPELKQIFAQFEDDEEISPNSFLRLQQLKSLCIIDSSQLQQIFSTAMEKNEKDIVLSQLKSLVLQNLMHLKSFCPENCFITLPSLEKLKVYRCPQLTHFTGQLPATMLAQLKELRLFKVGNDSQLYNRVGPQMRQRSSNSEYLTIGNCQEIFQLQGGYLLLNLKALHLEDLSELQVIWKGPTELASLQNLTILMLIDCKSLRYVFPPMPAQHLSNLSFLSVKGCEALEQIIYEGQSSTSTSNVLLQPTGFPNLRKIWIIGCNSLKTLFPITVAHCLLKLEEFKVEGACKVERVFGHEDETDLKDEKEMVLPQLKRLFLKRLPSLTRFIPECYHFVFPTLEYLEVKECSKITTSFLVDSEFLMHAQTKEICLFNIRDKNQQCATIVPELGQKSPNLEYLTVWNHKRTCQAQGGHTHSSLRVLRLETLPELCIIWKDPLQHVTLGNLIKLKVIGCRSLRHVFSPTIAQNLLHLKYLKIWECEALEQIISEDQISSSQVHLQVRFPNLIRLQIGKCKNLKRLFPASFVSYLSKLRYLIIQEAFELEHLFGHEDEASTKDGKEKKMVLPQLEVLFLGNLPSILSSTPEGYHFIFQSLRSLTIEECPKMASTFTVDSNLFVHAKTELVLKAFQLVEKDTKKSTTTIQGIEDATNRHSSKDIYWYRWYQPNVLPPYMEEPGENFSQ >EOY08126 pep chromosome:Theobroma_cacao_20110822:5:4732313:4734440:1 gene:TCM_022450 transcript:EOY08126 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-mannose binding lectin protein with Apple-like carbohydrate-binding domain, putative MERPLNLVARPLSTKFLSILIIPFLYTLTWPFIDAAATQELLKGFEATPNPSISSFQSLLDDSSGKFSFGFLRVNSTQLALAVLHVPSNEPLWLANATTLARWSDHTKVFFNGSLVISDSLTRVFWSTETQGDKLVLLNNSNLQIQKSLDNSNDDSTVLWQSFDSPKDTLVETQNFTSTMSLISSNGLYSMRLGSDFIGLYAKFDLYSDQIYWKHKALQAKAQIIEGNGPIHIRVEPDGWLGMYQNGTTPVDIESFNSFQRHLDGLLMVRLEPDGNLKAYYWTGSSWDLDYQAIRDTCELPRPCGSYGLCTPGSGCSCLDNNTEFSAGECSGPGSYFNDLCTDPNTLSNIKVLRREGVEAPFKELTRYEAMSSLEECEDSCERNCSCYGAVYNNASGFCYILDYPIQTLLGVGDDSKVGYFKMMEGARKKKVDAGLRVGIGLLGGAIACLIGAVGFGGYKIWRSRRGVNRMLEEEAGGVISGPYKDLGSASFRSIEMCSGSSR >EOY08718 pep chromosome:Theobroma_cacao_20110822:5:18625310:18637267:-1 gene:TCM_023800 transcript:EOY08718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa family protein isoform 2 MPRVFHFAKPILPKLSKTVTITTAIDIKAEQHSSSFSSRLRIATMVPNSVALTRTFPRLYSIMSFSSKNLCLSARQTRFLVRGFKSRSYSFFLSSTHGFKEFGSRKTGAGQLGFDCFRVSAVSDGGSRGIGGFGGSGDENSGGKGEGADGNGGRNDWSLLSWYLALLAKYPVFTKAVTSALLTFIGDLICQLAIDHVPSLDVKRTFLFTLLGLVLVGPTLHFWYLCLSNLVKLPGASVSLFSYIYWSFLIYIGDTRRKAFASCTQTSTGVVFCCSCELAAVDTFPISQLSICPTAISGPCCKFHCFGLECDSLIQSSQRDTDKIGIAQCDDRIMS >EOY08716 pep chromosome:Theobroma_cacao_20110822:5:18626016:18633078:-1 gene:TCM_023800 transcript:EOY08716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa family protein isoform 2 MPRVFHFAKPILPKLSKTVTITTAIDIKAEQHSSSFSSRLRIATMVPNSVALTRTFPRLYSIMSFSSKNLCLSARQTRFLVRGFKSRSYSFFLSSTHGFKEFGSRKTGAGQLGFDCFRVSAVSDGGSRGIGGFGGSGDENSGGKGEGADGNGGRNDWSLLSWYLALLAKYPVFTKAVTSALLTFIGDLICQLAIDHVPSLDVKRTFLFTLLGLVLVGPTLHFWYLCLSNLVKLPGASGAFLRLLLDQFLFSPIFIGVFLSTLVTLEGRPSQVVPKLQQVEWFSAVLANWQLWIPFQFLNFRFVPQQFQVLAANFIALVWNVILSFKAHKEILTK >EOY08717 pep chromosome:Theobroma_cacao_20110822:5:18625736:18633133:-1 gene:TCM_023800 transcript:EOY08717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa family protein isoform 2 MPRVFHFAKPILPKLSKTVTITTAIDIKAEQHSSSFSSRLRIATMVPNSVALTRTFPRLYSIMSFSSKNLCLSARQTRFLVRGFKSRSYSFFLSSTHGFKEFGSRKTGAGQLGFDCFRVSAVSDGGSRGIGGFGGSGDENSGGKGEGADGNGGRNDWSLLSWYLALLAKYPVFTKAVTSALLTFIGDLICQLAIDHVPSLDVKRTFLFTLLGLVLVGPTLHFWYLCLSNLVKLPGASGAFLRLLLDQFLFSPIFIGVFLSTLVTLEGRPSQVVPKLQQEWFSAVLANWQLWIPFQFLNFRFVPQQFQVLAANFIALVWNVILSFKAHKEILTK >EOY11063 pep chromosome:Theobroma_cacao_20110822:5:37746341:37747790:-1 gene:TCM_026336 transcript:EOY11063 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MKTPADEVGMKTPAKKVADIDDLLIEILQRLPTKTLLQFKLVSKQWLSIISSSDFSIFHTRFLLNEGSLKPSVLFLDAIYRKPPTKFVFLPLNRDTKQLPLLDFMNAPYITIIQSCNGLLLCTYDYDNQSYFICNPVIKKFKMISCPMPPMLEYHLVGVNLAFDPLKSPCYKIISIWQEVLLDKDEENNCLCRMTSNFSMDIYSSETDSWNVSKIKFTSEWAIQFDHAVFFNGAIHWDSVAKESLYFDVETECLMPMPMPKPSRRYSGSRYFGESGGYLHLAVGQKPAEMRSINLKPPYCDYDIFCLVVRSDEDKGDSMVAVLQCGTTLYYNFKDKEMEVRTDGPKANGYRYDIARFRGCEYFETLSCV >EOY07523 pep chromosome:Theobroma_cacao_20110822:5:1842957:1857599:1 gene:TCM_021934 transcript:EOY07523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin ligase E3 alpha, putative isoform 1 MESPSDSSPLKPRDRILRRLAALGIPVEYLERRYEGIVDFVMANGLLLPNVVSAILPTDEEVAQSIQDPRLRSKKWMGLTMISRFRDSMVWLQWLMFEGDPVDALKSLAKLSIGQRGVCGAVWGSNDIAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIIYTGGGCCDCGDETAWKREGFCSKHKGAEQIQPLPENLVNSVGPVLDALFVCWKNKLFSAESIFLENIRANDPGAEQRKIANELTYVVVEMLLEFCKYSESLLSFVSRRVISLDGLLGILVRAERFLSDSVVKKLHELLLKLLGEPVFKFEFSKVFLSYYPTVINEVIKEGNDKVLSTKFPLLSTFSVQIFTVPTLTPRLVKEMNLLGMLLGCLEEIFVSCAREDGHLQAAKWGSLYDTTNRVVGDIRFVMSHNIVSKYATHEQQDISRTWLKLLAFVQGMNPIKRETGLRIEEENESMHLLFVLGHSIANIHSLLVDGAVATSELANVLSYTYKQDMDDGDSMRHAKVGRLSQESSVCSVTGRTASKVTEVGSGSVSHLFVPSSVIWLIRECLRAMETWLEVDDRISAAFQSINSPNSSGNSDSNFLAIKKTLYKIRKGKYFGKPTSSSENHSSQSSSSLYSGHQASDDMEIVKNLGSDGNPTFPAEISSVACGSMCLDVNAMETDIGTGLSTLRVSEWPDIIYDVSSQEISVHIPLHRLLSLLLQKALRMCYGESVVPNVRNPYSTSSLSAIYADFFGHILESFHPFGFSACVMEHPLRIRVFCAQVIAGMWRKNGDAALVSCEWYRSVRWSEQGLELDLFLLQCCAALAPPDLFVKRIVERFGLLNYLSLSLERSNEYEPVLVQEMLTLIMQILQERRFCGRNTADSLKRELIYKLAIGDATHSQLVKSLPRDLSKFDQLQEILDRVAVYCNPSGFNQGMYSLRWAYWKELDLYHPRWNPRDLQVAEERYLRFCGVSAMTTQLPRWTKIYPPLEGVSRIATCRVTFQIIRAVLFYAVFTDKFTESRAPDGILWTALHLLSLTLDICLQQNGSSSAECYIGDLNCMLAFAVEEISESLNFGAGKQSLLSLLVALMRMHRQENQSNYLESSNCSFSPLIESILKKFAEVDSQCMTKLQQLAPEVICHISQTTPYSDTNRSVSASDSEMRKAKARERQAAILAKMKAEQSKFLTSITSTADDDPKSESEMSNSDAEHETEGAVQESCSLCHDPTSKNPVSFLILLQKSRLLSFVDRGPPSWDRWSDKEQGYSLTNRSDQPRSNASSSSSGLASQSVQLTDNAVVGSANDGQGQRREVNVILDFVKSRFPLVRAIQAPSTSSDVKVLETLEEDMYVRIRKEMCDTFLSSSIKEDEVSSAAECSPESSRDAESVFLRKYIAAISKETSENSLGFENTNGDREMTESTSQPLVYDGFGPLDCDGIYLSSCGHAVHQGCLDRYLSSLKERYVRRSFFEGAHIVDPDQGEFLCPVCRRLANSVLPAVHGNLQKAGRQPMTSSVDPLPALCPSSASKEESYSLLLQQGLSLLKTAAKVVGRPDIFEALSLQRKESKSRNLEPISRVLSKMYFSKKQDRLLRSPRLSHPIILWDTLKYSLMSTEIAARSGRTSMTTNYTLTSLYKEFKSSSEFIFSLLLRVVQNLSSTNSLHALQRFRGLQLFAESICSRVSPDYHSSRHKQEGNLGILKHDDKEAIHPDIQFWNRASDPVLARDPFSSLMWVLFCLPCPFISCDESLLSLVHIFYVVSMVQAVITCCGRHGYNINELDSHDCLITDICGILGGSDCARWYFVSKDANHSCDIKDMIRRLSFPYLRRCALLWKLLKSSAEAPFCDRDNVWESSQVTTDVMDTTESASVELNEVQELEKMFKIPPIDVVLKDEVSRSIALKWFHHFHKVYEACSFQNVFYCNPAVPFKLMSLPHVYQDLLQRYIKQCCPDCEAVLEDPALCLLCGRLCSPSWKPCCRDSGCMAHAMVCGAGIGVFLLIRRTTILLQRCARQAPWPSPYLDAFGEEDSEMHRGKPLYLNEERYAALTYMVASHGLDRSSKVLSQITVGSFFMV >EOY07522 pep chromosome:Theobroma_cacao_20110822:5:1842957:1857599:1 gene:TCM_021934 transcript:EOY07522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin ligase E3 alpha, putative isoform 1 MESPSDSSPLKPRDRILRRLAALGIPVEYLERRYEGIVDFVMANGLLLPNVVSAILPTDEEVAQSIQDPRLRSKKWMGLTMISRFRDSMVWLQWLMFEGDPVDALKSLAKLSIGQRGVCGAVWGSNDIAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIIYTGGGCCDCGDETAWKREGFCSKHKGAEQIQPLPENLVNSVGPVLDALFVCWKNKLFSAESIFLENIRANDPGAEQRKIANELTYVVVEMLLEFCKYSESLLSFVSRRVISLDGLLGILVRAERFLSDSVVKKLHELLLKLLGEPVFKFEFSKVFLSYYPTVINEVIKEGNDKVLSTKFPLLSTFSVQIFTVPTLTPRLVKEMNLLGMLLGCLEEIFVSCAREDGHLQAAKWGSLYDTTNRVVGDIRFVMSHNIVSKYATHEQQDISRTWLKLLAFVQGMNPIKRETGLRIEEENESMHLLFVLGHSIANIHSLLVDGAVATSELANVLSYTYKQDMDDGDSMRHAKVGRLSQESSVCSVTGRTASKVTEVGSGSVSHLFVPSSVIWLIRECLRAMETWLEVDDRISAAFQSINSPNSSGNSDSNFLAIKKTLYKIRKGKYFGKPTSSSENHSSQSSSSLYSGHQASDDMEIVKNLGSDGNPTFPAEISSVACGSMCLDVNAMETDIGTGLSTLRVSEWPDIIYDVSSQEISVHIPLHRLLSLLLQKALRMCYGESVVPNVRNPYSTSSLSAIYADFFGHILESFHPFGFSACVMEHPLRIRVFCAQVIAGMWRKNGDAALVSCEWYRSVRWSEQGLELDLFLLQCCAALAPPDLFVKRIVERFGLLNYLSLSLERSNEYEPVLVQEMLTLIMQILQERRFCGRNTADSLKRELIYKLAIGDATHSQLVKSLPRDLSKFDQLQEILDRVAVYCNPSGFNQGMYSLRWAYWKELDLYHPRWNPRDLQVAEERYLRFCGVSAMTTQLPRWTKIYPPLEGVSRIATCRVTFQIIRAVLFYAVFTDKFTESRAPDGILWTALHLLSLTLDICLQQNGSSSAECYIGDLNCMLAFAVEEISESLNFGAGKQSLLSLLVALMRMHRQENQSNYLESSNCSFSPLIESILKKFAEVDSQCMTKLQQLAPEVICHISQTTPYSDTNRSVSASDSEMRKAKARERQAAILAKMKAEQSKFLTSITSTADDDPKSESEMSNSDAEHETEGAVQESCSLCHDPTSKNPVSFLILLQKSRLLSFVDRGPPSWDRWSDKEQGYSLTNRSDQPRSNASSSSSGLASQSVQLTDNAVVGSANDGQGQRREVNVILDFVKSRFPLVRAIQAPSTSSDVKVLETLEEDMYVRIRKEMCDTFLSSSIKEDEVSSAAECSPESSRDAESVFLRKYIAAISKETSENSLGFENTNGDREMTESTSQPLVYDGFGPLDCDGIYLSSCGHAVHQGCLDRYLSSLKERYVRRSFFEGAHIVDPDQGEFLCPVCRRLANSVLPAVHGNLQKAGRQPMTSSVDPLPALCPSSASKEESYSLLLQQGLSLLKTAAKVVGRPDIFEALSLQRKESKSRNLEPISRVLSKMYFSKKQDRLLRSPRLSHPIILWDTLKYSLMSTEIAARSGRTSMTTNYTLTSLYKEFKSSSEFIFSLLLRVVQNLSSTNSLHALQRFRGLQLFAESICSRVSPDYHSSRHKQEGNLGILKHDDKEAIHPDIQFWNRASDPVLARDPFSSLMWVLFCLPCPFISCDESLLSLVHIFYVVSMVQAVITCCGRHGYNINELDSHDCLITDICGILGGSDCARWYFVSKDANHSCDIKDMIRRLSFPYLRRCALLWKLLKSSAEAPFCDRDNVWESSQVTTDVMDTTESASVELNEVQELEKMFKIPPIDVVLKDEVSRSIALKWFHHFHKVYEACSFQNVFYCNPAVPFKLMSLPHVYQDLLQRYIKQCCPDCEAVLEDPALCLLCGRLCSPSWKPCCRDSGCMAHAMVCGAGIGVFLLIRRTTILLQRCARQAPWPSPYLDAFGEEDSEMHRGKPLYLNEERYAALTYMVASHGLDRSSKVLSQITVGSFFMV >EOY09944 pep chromosome:Theobroma_cacao_20110822:5:31890389:31893143:-1 gene:TCM_025317 transcript:EOY09944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTEDDTSAAAFVEEALTEDADAQAEKPARRENFQSLSHEQDINVNDHTSTESVFHAEHMFLHPSMETPNHGSTLSSGHSIGNLNNSVEASNDYLLPPETQEGNWMSGAYLAYTDCINWHLSSQKATELGSQRFEFQALSNQVIASNNSHLYDDIPCLPQLAKMPIQQGVGSSTEGESSTIQFDGIVPTNHDKRKMTWQDSTPPPPKLPFINLMMLGQGNEGQNNFGNQGQNSLNNQGPNTPGNQGSKSVENQGLTTSSNQDPKSPDNQGSNTPGNQCRINSVPIATGSRYYIIYERVILS >EOY08326 pep chromosome:Theobroma_cacao_20110822:5:6365134:6366182:1 gene:TCM_022665 transcript:EOY08326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MFADPTMDRFLSVTSASSATANDGDELTEDDIFWTTTDFTEQIHHQPPSTTTTNSHNRCPSFSHSSGILAALPETNHCQILYRKPTIPSSSPTVKVGPTIPRPTPQDREYSRKFQQQQSAPVNVPLLSMAVARERNKKFLEVDYYDEDGEQEMLPPHEIVARGSGVSPKTTFSVLEGVGRTLKGRDLRQVRNAIWRKTGFLD >EOY08327 pep chromosome:Theobroma_cacao_20110822:5:6364934:6366136:1 gene:TCM_022665 transcript:EOY08327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MFADPTMDRFLSVTSASSATANDGDELTEDDIFWTTTDFTEQIHHQPPSTTTTNSHNRCPSFSHSSGILAALPETNHCQILYRKPTIPSSSPTVKVGPTIPRPTPQDREYSRKFQQQQSAPVNVPLLSMAVARERNKKFLEVDYYDEDGEQEMLPPHEIVARGSGVSPKTTFSVLEGVGRTLKGRDLRQAKLDSEVED >EOY10332 pep chromosome:Theobroma_cacao_20110822:5:34223871:34228138:1 gene:TCM_025705 transcript:EOY10332 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase, putative MGRLKPWPKFYLPFMLLPFLLLIGFAQAQQSYVDNQQLACEDPTKDNNITRGYLCNGEQRSCQSYITFRSEPPFYNTAVSIAYLLGAEAMQISSLNKLSADVSPITAKSMVVVPVNCSCFSGGNGSYYQHNASYTIKFDGETYFSISNDTYQGLTTCQAMEAQNPINFRELKVGNELTVPLRCACPTVRQTQGGVKYLLSYLVTWGDSISAIAETFGVDEKSLLDANELTEQDLIFPFTPLLVPLSKEPTKIELPQASPPSVPSPQIPTDPVEESKSSKKWVFIGVGIGAGFLLLLGLAGFLFCFCRRQARPIASPPPKPPSQVKPSSDSANYTGNSWSSISSQGVRYAIESLTLYRFEDLGAAAGNFSESNRIKGSVYQGSFQGDAAAVKVIKGDVSSEINLLKKINHTNIIRLSGFCVHEGNTYLVYEFADKGSVSDWLHSNKFQTSFTLSWKQRVQIAYDIADALNYLHNYINPPYVHKNLKTSNILLDVNFRAKIANFGLARTFEDNDEGGLQLTRHVVGTQGYMAPEYIENGVITPKLDVFALGVIILELLSGRQAANAEKNSGGEELLAASIEGVLEGDNVREKLKNFIDPSLRPEYPLDLAFSMAQLAKNCVAHDLNARPSMSEVLVTLSKILSSSLDWDPSDEFQRSTSLGSAR >EOY08940 pep chromosome:Theobroma_cacao_20110822:5:23639076:23640348:1 gene:TCM_024233 transcript:EOY08940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHSRIVGIHDVDAFSALSTQNNPYSNTYNPGWKNHPNFSWANDQGTLRNLEIQVGQLANLLNNGAQGTLPSDTEVNLRRKGKEQVMAITLRSGEKIESGVNPARLLDKPVENEIVIETTDKKIQKKKKESKGKLTTITLCSVHSETET >EOY09773 pep chromosome:Theobroma_cacao_20110822:5:30986308:30987441:-1 gene:TCM_025156 transcript:EOY09773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNTRRRKTVKLNATITRLKREMQEIREDQNRIREGRRPVKEKFDDVLSECDETELITRQSICTRLRLTLMFQILKARQNNDFAKAAQLTTSLRELIAQQENESLQQSDGPKSK >EOY09299 pep chromosome:Theobroma_cacao_20110822:5:28110502:28119183:-1 gene:TCM_024725 transcript:EOY09299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor family protein isoform 2 MEMAISFHNSYLKAPSINPNPKLPLPKQLFGLNLSSLSSFNSKTTCLKFRSRNLTKPVKCSVSQATQPATEKKSQLMRRRDIRNIAIVAHVDHGKTTLVDAMLKQSKVFRDNQFVQERVMDSNDLERERGITILSKNTSITYKDTKINIIDTPGHSDFGGEVERILNMVDGVLLVVDSVEGPMPQTRFVLKKALEFGLAVVVVVNKIDRPSARPDFVINSTFELFIELNATDEQCDFQVIYASGIKGKAGLSPDNLAEDLGPLFESIIRCIPGPRIDKDGALQMLATNIEYDEHKGRIAIGRLHAGVLQRGMEVRVCTSEDSCRYARISELFVYEKFSRIPAERVEAGDICAVCGIEDIQIGETIADKTSGKPLPAIKVEEPTVKMAFSINTSPFVGREGKYVTSRNLRDRLYRELERNLAMRVEDGETADTFIVSGRGTLHITILIENMRREGYEFMVGPPKVINKKIDDKLLEPYEIATVEVPEEHMGAVVELLGKRRGQMIDMQGVGSEGTTFLKYKIPTRGLLGLRNAILTASRGTAILNTIFDSYGPWAGDIITRDQGSLVAFEDGSSSSYALASSQERGQMFIGPGMEVYKGQIVGIHQRPGDLSLNVCKKKAATNIRSNKEQTAVVLDTPLDYSLDDCIEYIQEDELVEVTPSSIRMCKNPKFAAAKKSR >EOY09301 pep chromosome:Theobroma_cacao_20110822:5:28110801:28118800:-1 gene:TCM_024725 transcript:EOY09301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor family protein isoform 2 MLKQSKVFRDNQFVQERVMDSNDLERERGITILSKNTSITYKDTKINIIDTPGHSDFGGEVERILNMVDGVLLVVDSVEGPMPQTRFVLKKALEFGLAVVVVVNKIDRPSARPDFVINSTFELFIELNATDEQLYVFGMQCDFQVIYASGIKGKAGLSPDNLAEDLGPLFESIIRCIPGPRIDKDGALQMLATNIEYDEHKGRIAIGRLHAGVLQRGMEVRVCTSEDSCRYARISELFVYEKFSRIPAERVEAGDICAVCGIEDIQIGETIADKTSGKPLPAIKVEEPTVKMAFSINTSPFVGREGKYVTSRNLRDRLYRELERNLAMRVEDGETADTFIVSGRGTLHITILIENMRREGYEFMVGPPKVINKKIDDKLLEPYEIATVEVPEEHMGAVVELLGKRRGQMIDMQGVGSEGTTFLKYKIPTRGLLGLRNAILTASRGTAILNTIFDSYGPWAGDIITRDQGSLVAFEDGSSSSYALASSQERGQMFIGPGMEVYKGQIVGIHQRPGDLSLNVCKKKAATNIRSNKEQTVVLDTPLDYSLDDCIEYIQEDELVEVTPSSIRMCKNPKFAAAKKSR >EOY09298 pep chromosome:Theobroma_cacao_20110822:5:28109312:28119347:-1 gene:TCM_024725 transcript:EOY09298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor family protein isoform 2 MEMAISFHNSYLKAPSINPNPKLPLPKQLFGLNLSSLSSFNSKTTCLKFRSRNLTKPVKCSVSQATQPATEKKSQLMRRRDIRNIAIVAHVDHGKTTLVDAMLKQSKVFRDNQFVQERVMDSNDLERERGITILSKNTSITYKDTKINIIDTPGHSDFGGEVERILNMVDGVLLVVDSVEGPMPQTRFVLKKALEFGLAVVVVVNKIDRPSARPDFVINSTFELFIELNATDEQCDFQVIYASGIKGKAGLSPDNLAEDLGPLFESIIRCIPGPRIDKDGALQMLATNIEYDEHKGRIAIGRLHAGVLQRGMEVRVCTSEDSCRYARISELFVYEKFSRIPAERVEAGDICAVCGIEDIQIGETIADKTSGKPLPAIKVEEPTVKMAFSINTSPFVGREGKYVTSRNLRDRLYRELERNLAMRVEDGETADTFIVSGRGTLHITILIENMRREGYEFMVGPPKVINKKIDDKLLEPYEIATVEVPEEHMGAVVELLGKRRGQMIDMQGVGSEGTTFLKYKIPTRGLLGLRNAILTASRGTAILNTIFDSYGPWAGDIITRDQGSLVAFEDGSSSSYALASSQERGQMFIGPGMEVYKGQIVGIHQRPGDLSLNVCKKKAATNIRSNKEQTVVLDTPLDYSLDDCIEYIQEDELVEVTPSSIRMCKNPKFAAAKKSRSEGFPIDKSTQTYSIDESILLIPQKNNLITIQDVSASIIQARRTLSRNIYCTKDDRVSHGQDFSAEIWVKPELEGKQGKIQIEDEVTETRSHSDVRLSHQQQSMGAAGLLVLDQIQGWWFITKNCPFPGQKACNVD >EOY09302 pep chromosome:Theobroma_cacao_20110822:5:28111045:28119193:-1 gene:TCM_024725 transcript:EOY09302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor family protein isoform 2 NREMEMAISFHNSYLKAPSINPNPKLPLPKQLFGLNLSSLSSFNSKTTCLKFRSRNLTKPVKCSVSQATQPATEKKSQLMRRRDIRNIAIVAHVDHGKTTLVDAMLKQSKVDSVEGPMPQTRFVLKKALEFGLAVVVVVNKIDRPSARPDFVINSTFELFIELNATDEQCDFQVIYASGIKGKAGLSPDNLAEDLGPLFESIIRCIPGPRIDKDGALQMLATNIEYDEHKGRIAIGRLHAGVLQRGMEVRVCTSEDSCRYARISELFVYEKFSRIPAERVEAGDICAVCGIEDIQIGETIADKTSGKPLPAIKVEEPTVKMAFSINTSPFVGREGKYVTSRNLRDRLYRELERNLAMRVEDGETADTFIVSGRGTLHITILIENMRREGYEFMVGPPKVINKKIDDKLLEPYEIATVEVPEEHMGAVVELLGKRRGQMIDMQGVGSEGTTFLKYKIPTRGLLGLRNAILTASRGTAILNTIFDSYGPWAGDIITRDQGSLVAFEDGSSSSYALASSQERGQMFIGPGMEVYKGQIVGIHQRPGDLSLNVCKKKAATNIRSNKEQTVVLDTPLDYSLDDCIEYIQEDELVE >EOY09300 pep chromosome:Theobroma_cacao_20110822:5:28111045:28119193:-1 gene:TCM_024725 transcript:EOY09300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor family protein isoform 2 NREMEMAISFHNSYLKAPSINPNPKLPLPKQLFGLNLSSLSSFNSKTTCLKFRSRNLTKPVKCSVSQATQPATEKKSQLMRRRDIRNIAIVAHVDHGKTTLVDAMLKQSKVFRDNQFVQERVMDSNDLERERGITILSKNTSITYKDTKINIIDTPGHSDFGGEVERILNMVDGVLLVVDSVEGPMPQTRFVLKKALEFGLAVVVVVNKIDRPSARPDFVINSTFELFIELNATDEQLYVFGMQCDFQVIYASGIKGKAGLSPDNLAEDLGPLFESIIRCIPGPRIDKDGALQMLATNIEYDEHKGRIAIGRLHAGVLQRGMEVRVCTSEDSCRYARISELFVYEKFSRIPAERVEAGDICAVCGIEDIQIGETIADKTSGKPLPAIKVEEPTVKMAFSINTSPFVGREGKYVTSRNLRDRLYRELERNLAMRVEDGETADTFIVSGRGTLHITILIENMRREGYEFMVGPPKVINKKIDDKLLEPYEIATVEVPEEHMGAVVELLGKRRGQMIDMQGVGSEGTTFLKYKIPTRGLLGLRNAILTASRGTAILNTIFDSYGPWAGDIITRDQGSLVAFEDGSSSSYALASSQERGQMFIGPGMEVYKGQIVGIHQRPGDLSLNVCKKKAATNIRSNKEQTVVLDTPLDYSLDDCIEYIQEDELVE >EOY09182 pep chromosome:Theobroma_cacao_20110822:5:26932128:26936256:-1 gene:TCM_024579 transcript:EOY09182 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 18, putative MGRGKIEIKKIENLNSRQVTFSKRRNGLLKKARELSILCDAEVGVIVFSSTGKVYQWSSTSMEHILLRYSRGTTEDPSQEHPSDEHKNLQPIDVNTLKEEYLRLRAAYMRLNGKELDGLTFKELQQLEHQLNEGILSVKQQKEQILLEQLKRSRLQERQAILENETLRKQIVELQQNTSSNLLEYNPLQRVSPYSSKAEYDCASEEEDDHADNNDHSDTSLHLGLPSDVGRKRKVAKIEPVSNETTSQVASE >EOY09488 pep chromosome:Theobroma_cacao_20110822:5:29471037:29478144:1 gene:TCM_024904 transcript:EOY09488 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein, putative MSSPLNNIFPITFFSYISIPPFISLNKYTNSPTSFSFLVSLKHFSMENIMIRNQTRMPPSTPSALSIHKDSQTISKAKPKIRIIHIFAPEIIKTDVANFRELVQRLTGKPAQEKGCKKKARIGRRDHQEPRITRFCDKPVAAAVAKKMELRTGFLAGLETRERVKEEEGMWNDENSGGFLSGFGDLDGFIQELGEFPLLPLDASHMHGFEEAQLA >EOY07233 pep chromosome:Theobroma_cacao_20110822:5:887436:889734:1 gene:TCM_021709 transcript:EOY07233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein isoform 1 MGTPSVLWNVAKKCFTVGLVSLTVSDRFASIVSVRGGSMSPTFNPKTNTLFGSLSDDYVLVEKFCLQKYKFSHGDVVVFSSPYDHKEKHVKRIIGLPGDWVGTHYDVVKVPEGHCWVEGDNSASSMDSRSFGPVPLGLVNGRVVHILWPPHRVGSVERKAPQHRVSSS >EOY07232 pep chromosome:Theobroma_cacao_20110822:5:887456:889737:1 gene:TCM_021709 transcript:EOY07232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein isoform 1 MGTPSVLWNVAKKCFTVGLVSLTVSDRFASIVSVRGGSMSPTFNPKTNTLFGSLSDDYVLVEKFCLQKYKFSHGDVVVFSSPYDHKEKHVKRIIGLPGDWVGTHYDVVKVPEGHCWVEGDNSASSMDSRSFGPVPLGLVNGRVVHILWPPHRVGSVERKAPQHRVSSS >EOY11578 pep chromosome:Theobroma_cacao_20110822:5:39739561:39748011:1 gene:TCM_026718 transcript:EOY11578 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MESVADVAANLSSEAAKGAFEEGQQFVRYVSTYEQNIDKFNEKLKSLTAKRKSVQQEVDDAERSGKKIKADVEHWCKRVDEEINEREKKVKDLEGKAKKKCFFGLCPNFNSRYQCSLTAEEGARTFDDLIKQSQFNKVGYLDVPEAIVDESPNGFETFKSREKVFNDIMEAMKDVTVSMIGVYGLPGVGKTLLVNEVARQVQEVKLFDSVVTVTVAQTPVIQKIQENIAELLSLRLKDNSINVRARRLHERLKKEKTVLIVLDDIWKRLDLKEVGIPFGNQHKGCKILLTSRDRDVLLDGMKAEKTFAIDVLDNEETWNLFKKMAGDSVERAELRSIAIEVAKKCARLPLAIATVANALSNKPLYVWKDALLQLQKPCSRNLSRKFADAYLAIQLSYNHLENEELKQAFLLCSLLRRVGNIDDLLKYAIGLGLINGVDTMEEARSRLLTMVRDLKASCLLIDNNTGKLSFDMHDLVYDFAMSIASKDHHLFASHEEDVPKDWPNEETMKKCNMINLEFPSIRELPDELNCPQLVFCCMFGKDDSLEMPPNFFRQTTDLKVLGLTEKQVSSLPSSICLLTSLRTLCLDYCKLGDIAIIGELKNLEILSLLGSHFRILPKEIGRLVKLKLLDLRYCAALKIIPTGFFSTLSRLEELYMLGTFIQWEVREHANQRSSASLAELKNLSCLIALELNILDVEAMSRGLFFEEFQKLERYKILIGSYHADKCFLDAGEYSRTLILNASSLDHLGHGLKMFLKKTEALRIYGDFNIKNDSMIRFIIRDNGAVEFPQLRSLIFQGLPDLIGFCCKDLIAFSFGPSRQLMPLISAQMLLPCLETLQLSSTNIERIWHNSCYNLEKLTTLIIKDCDNLTHLLSFSLARRLVHLKCFEVSRCKSLKEIISKEEFEKKSEVKTLFPKLASLSLENLQHLIRFCPKHQNIEFPSLKSLKIENCPKLKGFIYKSTSEGRRCFSCKALFDEKVAFPSLEEIFISNLRSIEMIWQNQLSANSFLKLQRMQVIQCNNLLTIFSSNTLRAFQGLQTLQVYRCDLVEEVFEIERSNMEETRAATTHLKELVLGHLPSLKYIWKNDPQGIFTFENLQVIDVRWCLNLKNVFPASVAKVLPQLRCLSIHDCGVEEIVSMEEGLETTVTFEFNQVSFLSLWKLLELKCFYAGVHTTKWPMLKEFNVYGCGKTKILGTKHFSIVDTPNVNRQQLESQLISLDGKQAWSLDDLPTTVVLTMHQGSMVTSMDFHPLRHTLLLVGSANGEITLWELGMRQRLVTKPFKIWKVSTWGVTVGSIKFQVMTMFGDILLSVNRVTWSPDGSFVGVAFSEHLIHLYAYPGSNDLIQIIQIDAHVGGVNDLAFAHINKRLCVVTCGDDDLIKVWDPMTGQKLFNFEGHDAPVYSICPYQKQNIPLIYSTTVDGTITSSMYDGMQYKADFFAPGQGCSTILLSANSTRLFSCGTSKDGRSFLVEWYRNERIVKRIYEGFTKKSAGVVSFDIVQNQFLAAGEDSQIKFWNMDDSNLLTFIDAEGGLPSLPRVRFNKEGNLLAVTTADDGFKILANAVGLRSLRANEASSSSA >EOY07514 pep chromosome:Theobroma_cacao_20110822:5:1802009:1804012:1 gene:TCM_021927 transcript:EOY07514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin A4, ALPHA 1.6,EXPA4 MAAAATSSLTVLCFASLLSFSVVVNARIPGVYTGGSWESAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCANDPRWCHPGSPSIFVTATNFCPPNYALPSDNGGWCNPPRSHFDLAMPMFLKIAEYRAGIVPVSFRRVPCRKQGGIRFTINGFRYFNLVLVTNVAGAGDIVKVSVKGTKTGWLSMSRNWGQNWQSNAVLVGQALSFRVTGSDKRTSTSWNVASANWQFGQTFTGKNFRV >EOY08986 pep chromosome:Theobroma_cacao_20110822:5:24537735:24540652:1 gene:TCM_024323 transcript:EOY08986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pol polyprotein-like protein MTTPNITHQPPPVFDGSNYGVWAVKMKTYLKGYNLWNAVEQDTEPQAPRENAPVAQVKQYEEEIAKKFRALSFIQSAISEDIFNRIMECETAKAAWTKLQEEYLKEVRVVEKILNSVPGKFEPTITSLLQSKDLPDISITEIISALQAAELRISARDEALEEKAFLAKGKGKAKVEAFIKKNYKDKDKKIAEYGQSSNKKNKFNLCSFCTKRNHTDDYCWFRPDAKCKICSQSGHTDKVCKNRTIEDKPAQTNESLELTEEVLFMAQSNSESDFRNCEWLLDSGSSRHIALFESVFVDLDKNYRSKVRIKNGGYLQAYGIGKVRIQSSTGHRQSLQNLQPLKCISDDYSNEE >EOY09125 pep chromosome:Theobroma_cacao_20110822:5:26279825:26282984:-1 gene:TCM_024515 transcript:EOY09125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVVQEFYANSIKHEDGRAYVRGCQVFFDADTINQFYNTLDIRNDEYSQLVNGDIDLDEVLGSINILGTEWKVHKGVPISFKVNAMDSVYKSGRHVVGLWYPYLIIALCHQARVVWSTNEKLLHPKIPLDGGIINRFYMQEKPTIGGSSSVTPQPLQHSQNLSMLQQMERLEHCSPHCPHCPLKR >EOY09882 pep chromosome:Theobroma_cacao_20110822:5:31489173:31502090:1 gene:TCM_025251 transcript:EOY09882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MICDKKKNDDGSRNQFPSCIHCGKTNHSKKYCFKAIGFPNWRKFGKRSGKQTNSDQSHSRGNEWDSSIATSMSLRAACAATVDGVGSPIPSLSSAQHRYDSLILSFIYPKMECKCECLHLPSRKPIRVGRQRNALYYLEPMRGKRALIASDSFNLRVKILRTDNGFEFTYDDLMTHYFDHGIEHQSSCTDTLQQNGVVERKHRHHLEVPRALRFQAHLLISFWGECVLTAAYLINHMPLIILQNKTPYEVLLRKSPTYDYLRSFGCLCYGHIINKPRDKFAPCAKPSVFVGYPSGQKGYRIYDLEMPNVTELRINESSTSINPTTSTIGPASHNHRLAIDEPMSQAIAHESTPIGSTSFTNSHESFSLATSVDPISSFGQLKLHPLSQFISYSKFSPNHIAFLAAISSIDELKSFFQAVKHAHWREAMVKEIFTLEANHTWTLQLLPPNKRAIDSKWVYKVKYQPDGSIKHYKAQLVAKGYT >EOY07738 pep chromosome:Theobroma_cacao_20110822:5:2549876:2553835:1 gene:TCM_022083 transcript:EOY07738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-10 isoform 5 MKRDERTVLINLILSSQSSPLSSLLQRPIPSLSSPRNPISLSPALFFVFSFFPPRVFAMADAPAAPAPVSPGGGSHESGEQSPRSNVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIDPLKNYLTRYREMEGDTKGSAKGGDASAKKDVQPSPNPQLIHQGSFSQGVNYGNSQSQAHLMVPMQGTE >EOY07742 pep chromosome:Theobroma_cacao_20110822:5:2549851:2553636:1 gene:TCM_022083 transcript:EOY07742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-10 isoform 5 MKRDERTVLINLILSSQSSPLSSLLQRPIPSLSSPRNPISLSPALFFVFSFFPPRVFAMADAPAAPAPVSPGGGSHESGEQSPRSNVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIDPLKNYLTRYREIG >EOY07739 pep chromosome:Theobroma_cacao_20110822:5:2549899:2553453:1 gene:TCM_022083 transcript:EOY07739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-10 isoform 5 MKRDERTVLINLILSSQSSPLSSLLQRPIPSLSSPRNPISLSPALFFVFSFFPPRVFAMADAPAAPAPVSPGGGSHESGEQSPRSNVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIDPLKNYLTRYREMEGDTKGSAKGGDASAKKDVQPSPNPQLIHQGSFSQGVNYGNSQAHLMVPMQGTE >EOY07741 pep chromosome:Theobroma_cacao_20110822:5:2549849:2553845:1 gene:TCM_022083 transcript:EOY07741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-10 isoform 5 MKRDERTVLINLILSSQSSPLSSLLQRPIPSLSSPRNPISLSPALFFVFSFFPPRVFAMADAPAAPAPVSPGGGSHESGEQSPRSNVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIDPLKNYLTRYREMEGDTKGSAKGGDASAKKDVQPSPNPQLIHQGSFSQGVNYGNSQCRNKCYGYAHPM >EOY07740 pep chromosome:Theobroma_cacao_20110822:5:2549849:2553845:1 gene:TCM_022083 transcript:EOY07740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-10 isoform 5 MKRDERTVLINLILSSQSSPLSSLLQRPIPSLSSPRNPISLSPALFFVFSFFPPRVFAMADAPAAPAPVSPGGGSHESGEQSPRSNVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIDPLKNYLTRYREGDTKGSAKGGDASAKKDVQPSPNPQLIHQGSFSQGVNYGNSQSQAHLMVPMQGTE >EOY08230 pep chromosome:Theobroma_cacao_20110822:5:5808153:5809187:-1 gene:TCM_022575 transcript:EOY08230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein VGLGGKVIDTFPYFISGVLHLISSALLGFGSICHALLRPKTLEESFPFFGYVWKDRNKMTTILDIHLILLGIGAFLLVFKALYFGGIYDTWDLGGGDVRKITNFTLSPSVILVIY >EOY08101 pep chromosome:Theobroma_cacao_20110822:5:4505699:4510413:1 gene:TCM_022422 transcript:EOY08101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHSKIKTMRHRKHDLNSNKPICLRLRHTRTFDYTWQKLSINVACRTFQHRLLFQSISFQHFLVSKLKQYAFSSMYYPVLYIKSSSSSGTNIEDKASKVEMKPINDKKEDKKTPVVIQAVSHDEERKKKVEKTEVPSRNIDTIKYVEKKLEDKGVQRMERHPANGIGIGRPPPKSGHGGKYTWEGLMTWQRTSCWRCLQLSMRRTQTISMRRKNRGLRGEKSDVAGFVMGEVEVAKAFEDREGVARVELDPHLKVN >EOY10823 pep chromosome:Theobroma_cacao_20110822:5:36688796:36691991:1 gene:TCM_026127 transcript:EOY10823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPSSSSPLLHLQPLTFPSTPSHSPTLLFHQNLNYFPSKTSLRKPFNSKKLQLSKPQTIPFALTESDSPKSLEPNPQTLLQEVADSFDLPSDYFSQLPGDLRLDLNDAAFDLSNGPVIDECGLELGETLLNISRAWEQADTSTSRTLASKLPLLGSSLTDNAKSAFGRRLVTAGRRFQAMGQYGQGELQKIAKAMTAAGKLLSAISVSATSDEQPKMETRMLKFGDLQVEVTSEKANIGAAIGFVFGL >EOY10824 pep chromosome:Theobroma_cacao_20110822:5:36688770:36691991:1 gene:TCM_026127 transcript:EOY10824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPSSSSPLLHLQPLTFPSTPSHSPTLLFHQNLNYFPSKTSLRKPFNSKKLQLSKPQTIPFALTESDSPKSLEPNPQTLLQEVADSFDLPSDYFSQLPGDLRLDLNDAAFDLSNGPVIDECGLELGETLLNISRAWEQADTSTSRTLASKLPLLGSSLTDNAKSAFGRRLVTAGRRFQAMGQYGQGELQKFGDLQVEVTSEKANIGAAIGFVFGILSWQIAQGIQSIPESSLEYANDNALLLAKSLRGALLALFYSSTFLSGFTTIGLVLLGRQLKAEEK >EOY10822 pep chromosome:Theobroma_cacao_20110822:5:36688841:36692159:1 gene:TCM_026127 transcript:EOY10822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPSSSSPLLHLQPLTFPSTPSHSPTLLFHQNLNYFPSKTSLRKPFNSKKLQLSKPQTIPFALTESDSPKSLEPNPQTLLQEVADSFDLPSDYFSQLPGDLRLDLNDAAFDLSNGPVIDECGLELGETLLNISRAWEQADTSTSRTLASKLPLLGSSLTDNAKSAFGRRLVTAGRRFQAMGQYGQGELQKIAKAMTAAGKLLSAISVSATSDEQPKMETRMLKFGDLQVEVTSEKANIGAAIGFVFGILSWQIAQGIQSIPESSLEYANDNALLLAKSLRGALLALFYSSTFLSGFTTIGLVLLGRQLKAEEK >EOY07768 pep chromosome:Theobroma_cacao_20110822:5:2627247:2638445:1 gene:TCM_022100 transcript:EOY07768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA splicing factor-related MLTLECKVYDAAVAKLFWHDRKVDKARTWLNRAVTLAPDIGDFWALYYKFELQRGSEENQKDVMKRCVAAEPKHGEKWQAISKAVENSHQPTEAILKKVVVALGKEESAAENNSKH >EOY11634 pep chromosome:Theobroma_cacao_20110822:5:39892995:39894279:1 gene:TCM_026752 transcript:EOY11634 gene_biotype:protein_coding transcript_biotype:protein_coding description:USP-like protein isoform 3 MADVVPKERKILVAVDEGEESMYALSWCLKNVISQNSKDTLILLYAKPPRAVYSALDGTGYLFSADILATMEKYSNDVAACVIEKAKRMCREQADEVKVEVRVESGDPRDVICQVAEKINADVLVMGSHGYGLIKRAFLGSVSNHCAQNVKCPVLIVKKPKCSSSGTK >EOY11636 pep chromosome:Theobroma_cacao_20110822:5:39892871:39894281:1 gene:TCM_026752 transcript:EOY11636 gene_biotype:protein_coding transcript_biotype:protein_coding description:USP-like protein isoform 3 MADVVPKERKILVAVDEGEESMYALSWCLKNVISQNSKDTLILLYAKPPRAVYSALDGTGYLFSADILATMEKYSNDVAACVIEKAKRMCREQADEVEVRVESGDPRDVICQVAEKINADVLVMGSHGYGLIKRAFLGSVSNHCAQNVKCPVLIVKKPKCSSSGTK >EOY11635 pep chromosome:Theobroma_cacao_20110822:5:39892871:39894281:1 gene:TCM_026752 transcript:EOY11635 gene_biotype:protein_coding transcript_biotype:protein_coding description:USP-like protein isoform 3 MADVVPKERKILVAVDEGEESMYALSWCLKNVISQNSKDTLILLYAKPPRAVYSALDGTGSRSHLQGYLFSADILATMEKYSNDVAACVIEKAKRMCREQADEVEVRVESGDPRDVICQVAEKINADVLVMGSHGYGLIKRAFLGSVSNHCAQNVKCPVLIVKKPKCSSSGTK >EOY10057 pep chromosome:Theobroma_cacao_20110822:5:32739439:32745152:1 gene:TCM_025442 transcript:EOY10057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIHPDCFLLNLASMETIQLPPLNLDMAVGILTTPPSDPNCRILFIDGNDDLIICSPGDSEYSKQKMEDPVLTMTRFGGKTYCLTPPVYSLLTIELEGSSPRFTKLITVGNESKLFSFEQTAPYLLDFFGEMFLVCKCSSLKSSDWATNFGVFKFDFDAREWVEVKSIGNNAIFLTDYCYGTCYPVADHSMRRNSIYYTQPDDRNLYVYDLEYQSITTFLPFPNVSDRRSDHDCLPPELLSLISSNLYAGDNALFRAICKTWRSITIAPPLPLPLPSPFDHADSPFPWLFHIPKSNTGRGKFFHPIYNYTWEMDLPAQLVGAVIRFSKYGWLLMARDMVHPFLFHPLSKVIVDLPELPRA >EOY08450 pep chromosome:Theobroma_cacao_20110822:5:8547109:8553073:-1 gene:TCM_022893 transcript:EOY08450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENVQRGGKKFMRIRGTKFDKFKNRWSKNKRGRNRKKTEAIVNESPIDGDFENKDEVEITWVVAKQVGFTYEGKEDELLTDIDMEAAGIVYLKGQKKEEEKYYIDVRNR >EOY08414 pep chromosome:Theobroma_cacao_20110822:5:7950596:7952140:1 gene:TCM_022831 transcript:EOY08414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAF02129.1 isoform 1 MSRRNGPKLELKLNLSPPRLNPRVESPSRSATVSPTSPPSSCVSSEMNQDVDASVRYSSSPEATSMVLVGCPRCLMYVMLSEDDPKCPKCKSTVLLDFLHDTATTTTIKTRKS >EOY08413 pep chromosome:Theobroma_cacao_20110822:5:7951266:7952094:1 gene:TCM_022831 transcript:EOY08413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAF02129.1 isoform 1 MSRRNGPKLELKLNLSPPRLNPRVESPSRSATVSPTSPPSSCVSSEMNQDVDASVRYSSSPEATSMVLVGCPRCLMYVMLSEDDPKCPKCKSTVLLDFLHDTATTTTIKTRKS >EOY07300 pep chromosome:Theobroma_cacao_20110822:5:1119430:1127211:-1 gene:TCM_021767 transcript:EOY07300 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRNA capping enzyme family protein isoform 4 MIASMDLNASPLPEDDEETYERHIEHYSAPEDHVESAVEISRREREERRKRLRRDRPDDRPVHVSQPPVHDHFYQNRNPRAYDRSRIPPGWLDCPSVGQEIGCIIPSKVPLGESYNDCVPPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTFRYYQTTDLKREGIKHVKIQCRGRDAVPDNGSVNTFVYEVSQFLLRQKSKKHILVHCTHGHNRTGYMIIHYLMRSQSMSVTQAIKIFSDARPPGIYKPDYIDALYAFYHERRPEMVVCPPTPEWKRSSDLDLNGEAVADDDDDGGPTALHENHETDVMLTNDDILGDEIPHDQQESLRLFCYQMLKLNPGVRGHSQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITVDGCYLIDRSFNFRRVQMRFPSKHPPEVCPSKHPPEVCPSKHPPEGIGDRTHHFTLLDGEMVIDTMPDSQKQERRYLIYDMMALNHVPIIERPFCERWKMLEKEVIEPRNYERQNIYQSRNPYYRYDLEPFRVRRKDFWLLSTVNKVLKEFIPRLSHEADGLIFQGWDDPYVPRTHEGLLKWKYAQLNSVDFLFEVGSDDRELLFLYERGRKKLMEGTTVEFRGVSDPPPSFSGKIIECSWDPDQHVWIYMRIRTDKSTPNDFNTFKKVMRSIKDNITEEILLNEINEIIRLPMYADRIKIDSKAHLHTNSARRK >EOY07301 pep chromosome:Theobroma_cacao_20110822:5:1118454:1128026:-1 gene:TCM_021767 transcript:EOY07301 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRNA capping enzyme family protein isoform 4 MIASMDLNASPLPEDDEETYERHIEHYSAPEDHVESAVEISRREREERRKRLRRDRPDDRPVHVSQPPVHDHFYQNRNPRAYDRSRIPPGWLDCPSVGQEIGCIIPSKVPLGESYNDCVPPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTFRYYQTTDLKREGIKHVKIQCRGRDAVPDNGSVNTFVYEVSQFLLRQKSKKHILVHCTHGHNRTGYMIIHYLMRSQSMSVTQAIKIFSDARPPGIYKPDYIDALYAFYHERRPEMVVCPPTPEWKRSSDLDLNGEAVADDDDDGGPTALHENHETDVMLTNDDILGDEIPHDQQESLRLFCYQMLKLNPGVRGHSQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITVDGCYLIDRSFNFRRVQMRFPSKHPPEGIGDRTHHFTLLDGEMVIDTMPDSQKQERRYLIYDMMALNHVPIIERPFCERWKMLEKEVIEPRNYERQNIYQSRNPYYRYDLEPFRVRRKDFWLLSTVNKVLKEFIPRLSHEADGLIFQGWDDPYVPRTHEGLLKWKYAQLNSVDFLFEVGSDDRELLFLYERGRKKLMEGTTVEFRGVSDPPPSFSGKIIECSWDPDQHVWIYMRIRTDKSTPNDFNTFKKVMRSIKDNITEEILLNEINEIIRLPMYADRIKIDSKAHLHTNSARRK >EOY07302 pep chromosome:Theobroma_cacao_20110822:5:1118444:1128004:-1 gene:TCM_021767 transcript:EOY07302 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRNA capping enzyme family protein isoform 4 MIASMDLNASPLPEDDEETYERHIEHYSAPEDHVESAVEISRREREERRKRLRRDRPDDRPVHVSQPPVHDHFYQNRNPRAYDRSRIPPGWLDCPSVGQEIGCIIPSKVPLGESYNDCVPPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTFRYYQTTDLKREGIKHVKIQCRGRDAVPDNGSVNTFVYEVSQFLLRQKSKKHILVHCTHGHNRTGYMIIHYLMRSQSMSVTQAIKIFSDARPPGIYKPDYIDALYAFYHERRPEMVVCPPTPEWKRSSDLDLNGEAVADDDDDGGPTALHENHETDVMLTNDDILGDEIPHDQQESLRLFCYQMLKLNPGVRGHSQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITVDGCYLIDRSFNFRRVQMRFPSKHPPEVCPSKHPPEGIGDRTHHFTLLDGEMVIDTMPDSQKQERRYLIYDMMALNHVPIIERPFCERWKMLEKEVIEPRNYERQNIYQSRNPYYRYDLEPFRVRRKDFWLLSTVNKVLKEFIPRLSHEADGLIFQGWDDPYVPRTHEGLLKWKYAQLNSVDFLFEVGSDDRELLFLYERGRKKLMEGTTVEFRGVSDPPPSFSGKIIECSWDPDQHVWIYMRIRTDKSTPNDFNTFKKGVFW >EOY07299 pep chromosome:Theobroma_cacao_20110822:5:1118866:1128018:-1 gene:TCM_021767 transcript:EOY07299 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRNA capping enzyme family protein isoform 4 MIASMDLNASPLPEDDEETYERHIEHYSAPEDHVESAVEISRREREERRKRLRRDRPDDRPVHVSQPPVHDHFYQNRNPRAYDRSRIPPGWLDCPSVGQEIGCIIPSKVPLGESYNDCVPPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTFRYYQTTDLKREGIKHVKIQCRGRDAVPDNGSVNTFVYEVSQFLLRQKSKKHILVHCTHGHNRTGYMIIHYLMRSQSMSVTQAIKIFSDARPPGIYKPDYIDALYAFYHERRPEMVVCPPTPEWKRSSDLDLNGEAVADDDDDGGPTALHENHETDVMLTNDDILGDEIPHDQQESLRLFCYQMLKLNPGVRGHSQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITVDGCYLIDRSFNFRRVQMRFPSKHPPEVCPSKHPPEVCPSKHPPEGIGDRTHHFTLLDGEMVIDTMPDSQKQERRYLIYDMMALNHVPIIERPFCERWKMLEKEVIEPRNYERQNIYQSRNPYYRYDLEPFRVRRKDFWLLSTVNKVLKEFIPRLSHEADGLIFQGWDDPYVPRTHEGLLKWKYAQLNSVDFLFEVGSDDRELLFLYERGRKKLMEGTTVEFRGVSDPPPSFSGKIIECSWDPDQHVWIYMRIRTDKSTPNDFNTFKKVMRSIKDNITEEILLNEINEIIRLPMYADRIKIDSKAHLHTNSARRK >EOY07400 pep chromosome:Theobroma_cacao_20110822:5:1446215:1452897:-1 gene:TCM_021848 transcript:EOY07400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MAQATGRERVVGNYIVGRQIGSGSFSVVWHARHRVHGTEVAIKEIAMGRLNKKLQDSLMSEIFILRRINHPNIIRMHDIIEVPGKIHLVLEYCKGGDLSMYITRHGSVSEATAKHFMQQLAAGLQVLRDNNLIHRDLKPQNLLLSRNDSNAVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFTGNNQIQLLQNIVKSTELHFPVENNYLTADCKDLCRKLLRRNPVERLTFEEFFNHPFLSQGQPDESLRSQRFSRTVAGYPLSESNPVRNTEDSFQEDCLPFFLDDDSSGPEGSPSSAMKSGTRHKPENTSFRLESHKVPGETLHEPQKSMDQRSVNTGSRVGDSLELIDQDYVLVSGPPMDVSCSSASTSKPNNIPYKSESPPLIPFTNNSTSTAPVPIVDATNINICTVGSLESQSSAPGTSQGSMDMGDVLEQPSSHCMTRIKSLQQCASAITELVHENIEAGKQLEAFSIQLVILAIWKQALHICHAQAASAMEGSPSQETSRLRSGSKKHGTSDTEECQDIFSPEGPEDISVEIEREFLQEVEHAEELAKVIEPGRTEMPDAMETIFQAALALGRHGGVDELMGEMERAALLYSKAVRLLVFLLVEAPSLILNPPFSLTNSDRYRLQTYIDVLRNRQGYSRSQRMALLKCKEQP >EOY07402 pep chromosome:Theobroma_cacao_20110822:5:1448198:1452408:-1 gene:TCM_021848 transcript:EOY07402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MAQATGRERVVGNYIVGRQIGSGSFSVVWHARHRVHGTEVAIKEIAMGRLNKKLQDSLMSEIFILRRINHPNIIRMHDIIEVPGKIHLVLEYCKGGDLSMYITRHGSVSEATAKHFMQQLAAGLQVLRDNNLIHRDLKPQNLLLSRNDSNAVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFTGNNQIQLLQNIVKSTELHFPVENNYLTADCKDLCRKLLRRNPVERLTFEEFFNHPFLSQGQPDESLRSQRFSRTVAGYPLSESNPVRNTEDSFQEDCLPFFLDDDSSGPEGSPSSAMKRSSMKSAYGFSPDAKDASEVTSNPLNKVGFTSKYSGTRHKPENTSFRLESHKVPGETLHEPQKSMDQRSVNTGSRVGDSLELIDQDYVLVSGPPMDVSCSSASTSKPNNIPYKSESPPLIPFTNNSTSTAPVPIVDATNINICTVGSLESQSSAPGTSQGSMDMGDVLEQPSSHCMTRIKSLQQCASAITELVHENVSP >EOY07399 pep chromosome:Theobroma_cacao_20110822:5:1446806:1452408:-1 gene:TCM_021848 transcript:EOY07399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MAQATGRERVVGNYIVGRQIGSGSFSVVWHARHRVHGTEVAIKEIAMGRLNKKLQDSLMSEIFILRRINHPNIIRMHDIIEVPGKIHLVLEYCKGGDLSMYITRHGSVSEATAKHFMQQLAAGLQVLRDNNLIHRDLKPQNLLLSRNDSNAVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFTGNNQIQLLQNIVKSTELHFPVENNYLTADCKDLCRKLLRRNPVERLTFEEFFNHPFLSQGQPDESLRSQRFSRTVAGYPLSESNPVRNTEDSFQEDCLPFFLDDDSSGPEGSPSSAMKRSSMKSAYGFSPDAKDASEVTSNPLNKVGFTSKYSGTRHKPENTSFRLESHKVPGETLHEPQKSMDQRSVNTGSRVGDSLELIDQDYVLVSGPPMDVSCSSASTSKPNNIPYKSESPPLIPFTNNSTSTAPVPIVDATNINICTVGSLESQSSAPGTSQGSMDMGDVLEQPSSHCMTRIKSLQQCASAITELVHENIEAGKQLEAFSIQLVILAIWKQALHICHAQAASAMEGSPSQETSRLRSGSKKHGTSDTEECQDIFSPEGPEDISVEIEREFLQEVEHAEELAKVIEPGRTEMPDAMETIFQAALALGRHGGVDELMGEMERAALLYSKAVRLLVFLLVEAPSLILNPPFSLTNSDRYRLQTYIDVLRNRQGYSRSQRMALLKCKEQP >EOY07403 pep chromosome:Theobroma_cacao_20110822:5:1447340:1452578:-1 gene:TCM_021848 transcript:EOY07403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MAQATGRERVVGNYIVGRQIGSGSFSVVWHARHRVHGTEVAIKEIAMGRLNKKLQDSLMSEIFILRRINHPNIIRMHDIIEVPGKIHLVLEYCKGGDLSMYITRHGSVSEATAKHFMQQLAAGLQVLRDNNLIHRDLKPQNLLLSRNDSNAVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFTGNNQIQLLQNIVKSTELHFPVENNYLTADCKDLCRKLLRRNPVERLTFEEFFNHPFLSQGQPDESLRSQRFSRTVAGYPLSESNPVRNTEDSFQEDCLPFFLDDDSSGPEGSPSSAMKRSSMKSAYGFSPDAKDASEVTSNPLNKVGFTSKYSGTRHKPENTSFRLESHKVPGETLHEPQKSMDQRSVNTGSRVGDSLELIDQDYVLVSGPPMDVSCSSASTSKPNNIPYKSESPPLIPFTNNSTSTAPVPIVDATNINICTVGSLESQSSAPGTSQGSMDMGDVLEQPSSHCMTRIKSLQQCASAITELVHENVSP >EOY07401 pep chromosome:Theobroma_cacao_20110822:5:1446544:1452573:-1 gene:TCM_021848 transcript:EOY07401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MYITRHGSVSEATAKHFMQQLAAGLQVLRDNNLIHRDLKPQNLLLSRNDSNAVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFTGNNQIQLLQNIVKSTELHFPVENNYLTADCKDLCRKLLRRNPAVERLTFEEFFNHPFLSQGQPDESLRSQRFSRTVAGYPLSESNPVRNTEDSFQEDCLPFFLDDDSSGPEGSPSSAMKSGTRHKPENTSFRLESHKVPGETLHEPQKSMDQRSVNTGSRVGDSLELIDQDYVLVSGPPMDVSCSSASTSKPNNIPYKSESPPLIPFTNNSTSTAPVPIVDATNINICTVGSLESQSSAPGTSQGSMDMGDVLEQPSSHCMTRIKSLQQCASAITELVHENIEAGKQLEAFSIQLVILAIWKQALHICHAQAASAMEGSPSQETSRLRSGSKKHGTSDTEECQDIFSPEGPEDISVEIEREFLQEVEHAEELAKVIEPGRTEMPDAMETIFQAALALGRHGGVDELMGEMERAALLYSKAVRLLVFLLVEAPSLILNPPFSLTNSDRYRLQTYIDVLRNRQGYSRSQRMALLKCKEQP >EOY10527 pep chromosome:Theobroma_cacao_20110822:5:35199317:35202231:-1 gene:TCM_025864 transcript:EOY10527 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein, putative MSLLMKFLFPPSLFVTATSLISFTPLVISGLSEKRGKHLQYSKFFGVGSQTSAESKIKLSSRAAMVTLYTPAFLAGVFSFGMFPDEGLRFLLLKSAITIHFFKRILESLFVHKYSGEMAIDSMVAILLSYFISSALMIFSQHLTVGLPEPAIDMMYPGILWFSIGISGNFYHHYLLSKLRGNGAKEYKIPKGGLFDFVTCPHYLFEVLVFWGFAFISQTLNSFSFALGTTFYLMGRSYATRRWYLSKFEDFPEKVKAMIPFVF >EOY09238 pep chromosome:Theobroma_cacao_20110822:5:27374639:27379726:-1 gene:TCM_024639 transcript:EOY09238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLVQDRAVPKSPKRPQIRTLPTLQQGRFAEPKNLDFSTWVSENFYRIITIFVLISTIAAVFFLYTSTNTASLLCLQSQTQHAIDSISLPQLKWNSIKPIADKTSPYANFRSEQWVVVSVSNYPSDALKKMVKVKGWQVLAIGNSRTPRDWSLKGAIFLSLDMQANLGFRVVDHLPYDSYVRKSVGYLFAIQHGAKKIFDADDRGEIIDNDLGKHFDVELVGEGARQEVILQYSHDNPNRTVINPYIHFGQRSVWPRGLPLENVGEIGHEEFYTEVFGGKQFIQQGISNGLPDVDSVFYFTRKSRLEAFDIRFDEHAPKVALPQGMMVPLNSFNTIYHSSAFWALMLPVSVSTMASDVLRGYWGQRLLWEIGGYVVVYPATVHRYDRIEAYPFSEEKDLHVNVGRLIKFLVSWRSNKHRLFEKILELSYAMAEEGFWTEQDVRFTAAWLQDLLAVGYQQPRLMSLELDRPRANIGHGDRKDFIPQKLPSVHLAVEETGTVSYEIGNLIRWRKNFGNVVLIMFCSGPVERTALEWRLLYGRIFKTVFILSAQKNSDLAVEEGQLDQIYKHLPKIFDRFSSADGFLFLEDDTILNYWNLLQADKTKLWIADKVVSMSWTTASTNGNSDWYSKQADMVKKVVSTMPVHFQVNYKEVVRSDQSLTICSSEIFYIPRRFVADFVDLVNLVGHLEIHQKVAIPMFFLSMDLPQNFDSVLRKMVYKQDLPSTNSSSTYYSAQAPAVHPWKVSSEQEFIKLIRIMAEGDPLLMELV >EOY09237 pep chromosome:Theobroma_cacao_20110822:5:27374479:27379871:-1 gene:TCM_024639 transcript:EOY09237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLVQDRAVPKSPKRPQIRTLPTLQQGRFAEPKNLDFSTWVSENFYRIITIFVLISTIAAVFFLYTSTNTASLLCLQSQTQHAIDSISLPQLKWNSIKPIADKTSPYANFRSEQWVVVSVSNYPSDALKKMVKVKGWQVLAIGNSRTPRDWSLKGAIFLSLDMQANLGFRVVDHLPYDSYVRKSVGYLFAIQHGAKKIFDADDRGEIIDNDLGKHFDVELVGEGARQEVILQYSHDNPNRTVINPYIHFGQRSVWPRGLPLENVGEIGHEEFYTEVFGGKQFIQQGISNGLPDVDSVFYFTRKSRLEAFDIRFDEHAPKVALPQGMMVPLNSFNTIYHSSAFWALMLPVSVSTMASDVLRGYWGQRLLWEIGGYVVVYPATVHRYDRIEAYPFSEEKDLHVNVGRLIKFLVSWRSNKHRLFEKILELSYAMAEEGFWTEQDVRFTAAWLQDLLAVGYQQPRLMSLELDRPRANIGHGDRKDFIPQKLPSVHLAVEETGTVSYEIGNLIRWRKNFGNVVLIMFCSGPVERTALEWRLLYGRIFKTVFILSAQKNSDLAVEEGQLDQIYKHLPKIFDRFSSADGFLFLEDDTILNYWNLLQADKTKLWIADKVSMSWTTASTNGNSDWYSKQADMVKKVVSTMPVHFQVNYKEVVRSDQSLTICSSEIFYIPRRFVADFVDLVNLVGHLEIHQKVAIPMFFLSMDLPQNFDSVLRKMVYKQDLPSTNSSSTYYSAQAPAVHPWKVSSEQEFIKLIRIMAEGDPLLMELV >EOY09146 pep chromosome:Theobroma_cacao_20110822:5:26479338:26487930:-1 gene:TCM_024542 transcript:EOY09146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTNLCLPFVALTCVTYTIEFQKRELPYVHILLWLHHDHKFLLPKDIDRIISAKLLCKHVDPIPYQAMTEFSIHGPCGVSHPNSPNLTKGEVINPIVIFANTTEPSMSPWTLNVRGRTCNHKQHILLDRGVQCSEGYMLRDVVECKTKACFSKREFWLATRLKFNLMMDVSIGQRSCVCDRVVYKLNMLSVVIVIQVPTELKLVANLWMELQTALGNFAYDDYTFYIVNIFVVDCLPGRWIFFLQSLPPDLTKVVAK >EOY10756 pep chromosome:Theobroma_cacao_20110822:5:36313334:36334243:-1 gene:TCM_026062 transcript:EOY10756 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 48 kDa protein, putative isoform 1 MNPSSIQPSLPSQNPNPNSTIPSLPQNSNLNGPSSLSTTLSSLTALLSLSHQTLNSHSTLTKSLNPNLIPCPFNPNHLLAPESLFSHSLRCPSPQNLDLYPPNYRNTLIPPSNLHAQDTHFQGIQCSELCLSLDEYFADFGSNFFCKDCPAAVNLFDIDNSKKTFTLPGFLSVECVNFEGFNEREGVVSEEKGLRVLASGLWEIRREVERWGDYPGSYSFNVICAILGSKMVKGSNLRKWIVANSPRYGVMIDGCMGDHIVVLVRLCLKAVVREAVGLMEVEMGYGEAKEKEWDVNLQMRMFECPILLQVLVWLGSQLSVLYGDVNGKFFAINMIKQCVLEGASLLLLFPLEEKVTDSHNLGQESQSLDANGVKEIKLEETIEQSNEPVETVNETIGVGVIFVSQVAAAVAALHERCFLEEKIKHLRGLQQLSRYQRMAEHAYVSERADAERKKRPNYRPIIDHDGLPRQASSNGETSTTKTREEILAEERDYKRRRMSYRGKKLKRTALQVMRDIIEEYTEEIKKAGRIGCFVKGVEEEGLLPSESPVPYDRAVDADQHKKGTSDISEAARRSPNHCRRRSHDDQHTRSTRLEDSSRNGHHDLLEDSRSMSKEKHRDEYHSGISKRYRSHGRSDEQRSHRRERDDAESTRSTHYESGRRSSISKYKDYKSSYSASNSSDDFHVRKDDQKLDARDKNRRTLYENHTPGSWVQNGFDDRYNPSESDDMYEDDVFVKYVRPE >EOY10757 pep chromosome:Theobroma_cacao_20110822:5:36330847:36334157:-1 gene:TCM_026062 transcript:EOY10757 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 48 kDa protein, putative isoform 1 MNPSSIQPSLPSQNPNPNSTIPSLPQNSNLNGPSSLSTTLSSLTALLSLSHQTLNSHSTLTKSLNPNLIPCPFNPNHLLAPESLFSHSLRCPSPQNLDLYPPNYRNTLIPPSNLHAQDTHFQGIQCSELCLSLDEYFADFGSNFFCKDCPAAVNLFDIDNSKKTFTLPGFLSVECVNFEGFNEREGVVSEEKGLRVLASGLWEIRREVERWGDYPGSYSFNVICAILGSKMVKGSNLRKWIVANSPRYGVMIDGCMGDHIVVLVRLCLKAVVREAVGLMEVEMGYGEAKEKEWDVNLQMRMFECPILLQVLVWLGSQLSVLYGDVNGKFFAINMIKQCVLEGASLLLLFPLEEKVTDSHNLGQESQSLDANGVKEIKLEETIEQSNEPVETVNETIGVGVIFVSQVAAAVAALHERCFLEEKIKHLRGLQQLSRYQRMAEHAYVSERADAERKKRPNYRPIIDHDGLPRQASSNGETSTTKTREEILAEERDYKRRRMSYRGKKLKRTALQVMRDIIEEYTEEIKKAGRIGCFVKGVEEEGLLPSESPVPYDRAVDADQHKKGTSDISEAARRSPNHCRRRSHDDQHTRSTRLEDSSRNGHHDLLEDSRSMSKEKHRDEYHSGISKRYRSHGRSDEQRSHRRERDDAESTRSTHYESGRRSSISKYKDYKSSYSASNSSDDFHVRKDDQKLDARDKNRRTLYENHTPGSWVQNGFDDRYNPSESDDMYEDDVFVKYVRPE >EOY10759 pep chromosome:Theobroma_cacao_20110822:5:36329347:36334243:-1 gene:TCM_026062 transcript:EOY10759 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 48 kDa protein, putative isoform 1 MNPSSIQPSLPSQNPNPNSTIPSLPQNSNLNGPSSLSTTLSSLTALLSLSHQTLNSHSTLTKSLNPNLIPCPFNPNHLLAPESLFSHSLRCPSPQNLDLYPPNYRNTLIPPSNLHAQDTHFQGIQCSELCLSLDEYFADFGSNFFCKDCPAAVNLFDIDNSKKTFTLPGFLSVECVNFEGFNEREGVVSEEKGLRVLASGLWEIRREVERWGDYPGSYSFNVICAILGSKMVKGSNLRKWIVANSPRYGVMIDGCMGDHIVVLVRLCLKAVVREAVGLMEVEMGYGEAKEKEWDVNLQMRMFECPILLQVLVWLGSQLSVLYGDVNGKFFAINMIKQCVLEGASLLLLFPLEEKVTDSHNLGQESQSLDANGVKEIKLEETIEQSNEPVETVNETIGVGVIFVSQVAAAVAALHERCFLEEKIKHLRGLQQLSRYQRMAEHAYVSERADAERKKRPNYRPIIDHDGLPRQASSNGETSTTKTREEILAEERDYKRRRMSYRGKKLKRTALQVMRDIIEEYTEEIKKAGRIGCFVKGVEEEGLLPSESPVPYDRAVDADQHKKGTSDISEAARRSPNHCRRRSHDDQHTRSTRLEDSSRNGHHDLLEDSRSMSKEKHRDEYHSGISKRYRSHGRSDEQRSHRRERDDAESTRSTHYESGRRSSISKYKDYKSSYSASNSSDDFHVRKDDQKLDARDKNRRTLYENHTPGSWVQNGFDDRYNPSESDDMYEDDVFVKYVRPE >EOY10758 pep chromosome:Theobroma_cacao_20110822:5:36329604:36334243:-1 gene:TCM_026062 transcript:EOY10758 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 48 kDa protein, putative isoform 1 MNPSSIQPSLPSQNPNPNSTIPSLPQNSNLNGPSSLSTTLSSLTALLSLSHQTLNSHSTLTKSLNPNLIPCPFNPNHLLAPESLFSHSLRCPSPQNLDLYPPNYRNTLIPPSNLHAQDTHFQGIQCSELCLSLDEYFADFGSNFFCKDCPAAVNLFDIDNSKKTFTLPGFLSVECVNFEGFNEREGVVSEEKGLRVLASGLWEIRREVERWGDYPGSYSFNVICAILGSKMVKGSNLRKWIVANSPRYGVMIDGCMGDHIVVLVRLCLKAVVREAVGLMEVEMGYGEAKEKEWDVNLQMRMFECPILLQVLVWLGSQLSVLYGDVNGKFFAINMIKQCVLEGASLLLLFPLEEKVTDSHNLGQESQSLDANGVKEIKLEETIEQSNEPVETVNETIGVGVIFVSQVAAAVAALHERCFLEEKIKHLRGLQQLSRYQRMAEHAYVSERADAERKKRPNYRPIIDHDGLPRQASSNGETSTTKTREEILAEERDYKRRRMSYRGKKLKRTALQVMRDIIEEYTEEIKKAGRIGCFVKGVEEEGLLPSESPVPYDRAVDADQHKKGTSDISEAARRSPNHCRRRSHDDQHTRSTRLEDSSRNGHHDLLEDSRSMSKEKHRDEYHSGISKRYRSHGRSDEQRSHRRERDDAESTRSTHYESGRRSSISKYKDYKSSYSASNSSDDFHVRKDDQKLDARDKNRRTLYENHTPGSWVQNGFDDRYNPSESDDMYEDDVFVKYVRPE >EOY10761 pep chromosome:Theobroma_cacao_20110822:5:36321166:36322729:1 gene:TCM_026060 transcript:EOY10761 gene_biotype:protein_coding transcript_biotype:protein_coding description:bonsai [Source:Projected from Arabidopsis thaliana (AT1G73177) TAIR;Acc:AT1G73177] MAELSLGILIDIVDEEWMRDTLPDDDLPLPAVMVARTDDTEDSNQETQQVDG >EOY10760 pep chromosome:Theobroma_cacao_20110822:5:36321100:36323378:1 gene:TCM_026060 transcript:EOY10760 gene_biotype:protein_coding transcript_biotype:protein_coding description:bonsai [Source:Projected from Arabidopsis thaliana (AT1G73177) TAIR;Acc:AT1G73177] MAELSLGILIDIVDEEWMRDTLPDDDLPLPAVMVARTDDTEDSNQETQQVDGNTWHDLELSTQ >EOY10763 pep chromosome:Theobroma_cacao_20110822:5:36321700:36323337:1 gene:TCM_026060 transcript:EOY10763 gene_biotype:protein_coding transcript_biotype:protein_coding description:bonsai [Source:Projected from Arabidopsis thaliana (AT1G73177) TAIR;Acc:AT1G73177] ILIDIVDEEWMRDTLPDDDLPLPAVMVARTDDTEDSRDSASGWKHLA >EOY10762 pep chromosome:Theobroma_cacao_20110822:5:36321166:36322729:1 gene:TCM_026060 transcript:EOY10762 gene_biotype:protein_coding transcript_biotype:protein_coding description:bonsai [Source:Projected from Arabidopsis thaliana (AT1G73177) TAIR;Acc:AT1G73177] MAELSLGILIDIVDEEWMRDTLPDDDLPLPAVMVARTDDTEDSRDSASGW >EOY08181 pep chromosome:Theobroma_cacao_20110822:5:5340366:5344406:-1 gene:TCM_022517 transcript:EOY08181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein MQNIAVKMMHNLLPFAGWLCLALAATLVQGSTENERKPYIVYMGEAPHHEARISVMDKHHNLLLKAVGDENIARESKIYSYGKSINAFAARLLPDEAKRLSGVDGVISVFENTRRKLLTTRSWDFLGMHEKLKKRNAIAESNIIVGVLDTGIWPKSPSFNDKGYGPPPAKWKGKCDKGANFTGCNNKVIGARYYQLDNTYPIMEDPTPVDTDGHGTHTASTAAGIAVKDSSLYGIAKGTARGGVPSARIAMYKVCWISGCSDMDLLAAYDDAIHDGVDLISISIGGPPKEFFHDPIAIGAFHAMRKGILTSCAGGNEGPMLATVQNVAPWIMTVAASSIDRQFTSKIKLGDGTTTSGNGINTFSMKNKMYPFTNGAHATNLTGNYTDRNISACDYGTMSQDRVKGKIVYCLGEAGQDYTIQLLGGAGTIMATDAPQDYYFLTLTPAATVVRSKDGDKLDRYINSTKKPQAVIYKSRTVKMNAPFVASFSSRGPQLLNRNILKPDIAAPGLNILAAYTNLRSITGEPSDKRYSAFNFMSGTSMACPHASAAAAYVKSMHPDWSPAAIKSALMTTATPMKIRDKFGELSSGSGQINPIRAIQPGLIYDIDERSYISFLCKQGYNSTTIGLLIGGEQKLDCSSFKPARGTDGLNYPSMHVHLNSTESRIFAVFYRTVTHVAYGNSEFKAKVTSPKGLSIAVIPNTLKFNRTHQKQSFKVLVKGGLMKNGTEILSATLEWSNKEHSVKSPILVYK >EOY08182 pep chromosome:Theobroma_cacao_20110822:5:5346659:5351061:-1 gene:TCM_022518 transcript:EOY08182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 94A1 MELEAYWFFQVLNASFCFLIFTFIILSLFSLLFCLLRPKLWCNCEICSAYLASSWSRQFENLCDWYTHLLKNSPGKTIHIHVLGNTITANPDNVEYMLKSNFDNFPKGKPFSMILGDFLGRGIFNVDGDSWRFQKKMASLELGKQSIRSYAFEIINCEIIDRLIPLLSSVATGKEQRVLDLQDVFRRFSFDSICRFSFGLDPRCLELSLPMSKFATAFDLASKLSAERAMTASPLVWKIKRMLNIGSEKQLKKAVKIINILAKEVIRQRRKMGFLTHNDLLSRFMCTVNDETYLRDIVISFLLAGRDTVASGLTSLFWLLAKHPKVGSAIRQEADRVIGQNQEMTSFEQMKELHYLQAAVYESMRLYPPIQFDSKFCQEDDTLPDGSFLKRGTRVTYHPYAMGRIEEIWGPDCLEFKPERWLRNGVFSPENPFKYPVFQAGFRVCLGKEMALVELKSVALSLVRRFQIELVAPHRTPRFSPGLTATFSGGLPVLTDSSSGAAKLHSVIHDIESDVRFNGQFVLKEKSVTAYQYNFVTH >EOY09268 pep chromosome:Theobroma_cacao_20110822:5:27813550:27820858:-1 gene:TCM_024686 transcript:EOY09268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol methyltransferase 1 isoform 1 MSKSGALDLVSGVGGSIERKEVFSAVQKYEKYHVCHGGDDEERKANYTDMVNKYYDLVTSFYEYGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKKGQKVLDVGCGIGGPLREIARFSDTLLTGLNNNEYQISRGEELNRIRGVDNSCTYVKADFMRMPFSDSTFDAIFAIEATCHAPDLLNCYKEIYRVLKPGQCFAAYEWCVTDSFDPMNQEHQRIKGEVELGNGLPDIRSMGQCLEALKLAGFEVICEKDVAVDSPLPWHLPLDKDYFSPIKAKISERFFFLSAGQNIGVFGTCSRR >EOY09267 pep chromosome:Theobroma_cacao_20110822:5:27813550:27820858:-1 gene:TCM_024686 transcript:EOY09267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol methyltransferase 1 isoform 1 MSKSGALDLVSGVGGSIERKEVFSAVQKYEKYHVCHGGDDEERKANYTDMVNKYYDLVTSFYEYGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKKGQKVLDVGCGIGGPLREIARFSDTLLTGLNNNEYQISRGEELNRIRGVDNSCTYVKADFMRMPFSDSTFDAIFAIEATCHAPDLLNCYKEIYRVLKPGQCFAAYEWCVTDSFDPMNQEHQRIKGEVELGNGLPDIRSMGQCLEALKLAGFEVICEKDVAVDSPLPWHLPLDKDYFSPSNFRVTAFGRFVTRNMVKILEFLGLAPEGSQRVQHFLEKAADALVEGGRKGIFTPMYFFLARKPIP >EOY09266 pep chromosome:Theobroma_cacao_20110822:5:27813550:27820863:-1 gene:TCM_024686 transcript:EOY09266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol methyltransferase 1 isoform 1 MSKSGALDLVSGVGGSIERKEVFSAVQKYEKYHVCHGGDDEERKANYTDMVNKYYDLVTSFYEYGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKKGQKVLDVGCGIGGPLREIARFSDTLLTGLNNNEYQISRGEELNRIRGVDNSCTYVKADFMRMPFSDSTFDAIFAIEATCHAPDLLNCYKEIYRVLKPGQCFAAYEWCVTDSFDPMNQEHQRIKGEVELGNGLPDIRSMGQCLEALKLAGFEVICEKDVAVDSPLPWHLPLDKDYFSPSNFRVTAFGRFVTRNMVKILEFLGLAPEGSQRVQHFLEKAADALVEGGRKGIFTPMYFFLARKPIP >EOY07462 pep chromosome:Theobroma_cacao_20110822:5:1657631:1660218:1 gene:TCM_021893 transcript:EOY07462 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Vacuolar import/degradation protein Vid24 (InterPro:IPR018618); Has 318 Blast hits to 317 proteins in 131 species: Archae - 0; Bacteria - 0; Metazoa - 80; Fungi - 184; Plants - 51; Viruses - 0; Other Eukaryotes - 3 (sourc /.../I BLink). [Source:Projected from Arabidopsis thaliana (AT2G37680) TAIR;Acc:AT2G37680] MPVRVAENSAPSQVSGTNSGNTSPPACTLLSVGQAFSGTQNVSSLQKEEAWRVNVRIQGCDLEHGYLCGTMEALNVPMADTPVVTFWEGEIVDTKNYTFYTGKWEASSEDDKRHWTKFPSFSPLLNQVEVDGGKSLDLSNYPYIFMRWFYQWLLLRS >EOY07460 pep chromosome:Theobroma_cacao_20110822:5:1657631:1660104:1 gene:TCM_021893 transcript:EOY07460 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Vacuolar import/degradation protein Vid24 (InterPro:IPR018618); Has 318 Blast hits to 317 proteins in 131 species: Archae - 0; Bacteria - 0; Metazoa - 80; Fungi - 184; Plants - 51; Viruses - 0; Other Eukaryotes - 3 (sourc /.../I BLink). [Source:Projected from Arabidopsis thaliana (AT2G37680) TAIR;Acc:AT2G37680] MPVRVAENSAPSQVSGTNSGNTSPPACTLLSVGQAFSGTQNVSSLQKEEAWRVNVRIQGCDLEHGYLCGTMEALNVPMADTPVVTFWEGEIVDTKNYTFYTGKWEASSEDDKRHWTKFPSFSPLLNQVEVDGGKSLDLSNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCSDGSINGFYYDPNSSPFQKLELISTNEGRSGFSFSSYELQ >EOY07461 pep chromosome:Theobroma_cacao_20110822:5:1657631:1660218:1 gene:TCM_021893 transcript:EOY07461 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Vacuolar import/degradation protein Vid24 (InterPro:IPR018618); Has 318 Blast hits to 317 proteins in 131 species: Archae - 0; Bacteria - 0; Metazoa - 80; Fungi - 184; Plants - 51; Viruses - 0; Other Eukaryotes - 3 (sourc /.../I BLink). [Source:Projected from Arabidopsis thaliana (AT2G37680) TAIR;Acc:AT2G37680] MPVRVAENSAPSQVSGTNSGNTSPPACTLLSVGQAFSGTQNVSSLQKEEAWRVNVRIQGCDLEHGYLCGTMEALNVPMADTPIVVTFWEGEIVDTKNYTFYTGKWEASSEDDKRHWTKFPSFSPLLNQVEVDGGKSLDLSNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCSDGSINGFYYDPNSSPFQKLELISTNEGRSGFSFSSYELQ >EOY10989 pep chromosome:Theobroma_cacao_20110822:5:37348988:37354932:-1 gene:TCM_026254 transcript:EOY10989 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif DNA-binding family protein MEPNETQQHYFTTNTTTTVTTTPSPTNGLLPPSESGGSHHMVYPHPMPSAVTSPLEPARRKRGRPRKYGTPEQALAAKKTASSSSKERREQQQQQHQLALGGGGASLSGLSKKSQLVALGNAGQGFTPHVINVVAGEDVGQKIMMFMQQSKREICILSASGTISNASLRQPATSGGNITYEGRFEIISLSGSYVRTETGGRTGGLSVCLSSADGQIIGGGIGGPLKAAGPVQVIVGTFVIDNKKDVSAGAKGDASGSKLPSPVGGTSVSNVGFRSAFETSGRNPIGGNDDHQSFGGSHFMMQPRGMHVAPRPSEWRSGLDDRTGFELTGKTGHGAHQSPENGDYDQIAD >EOY11134 pep chromosome:Theobroma_cacao_20110822:5:38089154:38094403:1 gene:TCM_026402 transcript:EOY11134 gene_biotype:protein_coding transcript_biotype:protein_coding description:No lysine kinase 1 isoform 2 MNGLLHLEPDCSEFVEVDPTGRYGRYSEILGKGASKIVYRAYDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHKNIMKFYTSWVDTANRNINFVTEMFTSGTLRQYRLKHKRVNIRAVKRWCRQILRGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEAYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVISGRKPDALYKVKDPEVRRFVEKCLATVSLRLSARELLNDSFLQIDDCESDLRPLEYGRELDEMGLLIRQPYLELHHSSNSYSNGYSNGYTYETPNEWGYHPAEIESSGIELFEYHEDEHAANVDISIKGKRGDDGGIFLRLRIADKEGRIRNIYFPFDIETDTALSVATEMVAELDITDQDVTKIADMIDGEITSLVPDWRPGPGIEETPRFANQNFCHNCASNRTSTGSLLEYLTHNAGVKDLQLLQCCRNGCASMHGRFEEITFQVEESEHYVTDGAPNESSQSDNLHYQEIWDQHESHELSPVGSRQSRSDEEYEKSDQSFSAKDEKEVKAENKVASSARNSIQCLTGSHSFSTVHSLYCDLSDNYDNEVQQELRWLKANYQMKLRELRDQQLGLVSDSSTCGNRDKKRGILTPISMTLRIRAAPIRRPKGPGIARRWSLQK >EOY11135 pep chromosome:Theobroma_cacao_20110822:5:38091670:38094317:1 gene:TCM_026402 transcript:EOY11135 gene_biotype:protein_coding transcript_biotype:protein_coding description:No lysine kinase 1 isoform 2 MAPEVYEEAYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVISGRKPDALYKVKDPEVRRFVEKCLATVSLRLSARELLNDSFLQIDDCESDLRPLEYGRELDEMGLLIRQPYLELHHSSNSYSNGYSNGYTYETPNEWGYHPAEIESSGIELFEYHEDEHAANVDISIKGKRGDDGGIFLRLRIADKEGRIRNIYFPFDIETDTALSVATEMVAELDITDQDVTKIADMIDGEITSLVPDWRPGPGIEETPRFANQNFCHNCASNRTSTGSLLEYLTHNAGVKDLQLLQCCRNGCASMHGRFEEITFQVEESEHYVTDGAPNESSQSDNLHYQEIWDQHESHELSPVGSRQSRSDEEYEKSDQSFSAKDEKEVKAENKVASSARNSIQCLTGSHSFSTVHSLYCDLSDNYDNEVQQELRWLKANYQMKLRELRDQQLGLVSDSSTCGNRDKKRGDEVSSLPTFSKSERDQGYELKLFAHDRHFNSDFHDFKNKSCPDSKTQRARYCEAMESPKVEDMVTAQSCFTGSLLPHSLHRTTSLPVDAVDT >EOY09690 pep chromosome:Theobroma_cacao_20110822:5:30554952:30557653:-1 gene:TCM_025082 transcript:EOY09690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin 3-like protein MCSSSTFSNFISHNPPLITCRRPQLQDRRPLLNRKIPDIDNPEFEDDHDLYVLKPIKYVGIEVWQVKAGSIFDNVLICDDPEYVKKVVDQDSSVEKEAFEEAEKVRKAQEEEEARRARERKERGEEGKGVMIDDALTGSAIETDTKGLQSPMSPHKKAMEMMQDHRLVIPASRCHLENLKHMLIAGSEFEMYSLVITACLYCAQRQHNILGSY >EOY11367 pep chromosome:Theobroma_cacao_20110822:5:39106241:39109484:-1 gene:TCM_046817 transcript:EOY11367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase 1 MAKSFEYGAFMEKFILQPSPSQQLPLNGLSFAVKDIFDVDGYVTGFGNPDWKRTHSAAISTAPAVLDVLRAGATCVGKTVMDEMAYCINGENIHYGTPTNPCAPDRVPGGSSSGSAVAVGARLVDFSLGTDTGGSVRVPASYCGILGFRPSHDAVSTSGVIPMAQSFDTVGWFARDPVVLNKVGRVLLHLPNVDPVRPSQIIIPEDCFSLSSIPNDRTTQVLVKSVEKLFGGQILKHVILGDYVKEKVPSLQHFMSGGNEEHFYNIPSLAALSSAMRLLQRYEFKNNHAEWVTTVNPNLGPGLSERIWAAIRTPEENIDVCHTVQNEFRAALTALLEDKGILALPTIPGDPPKLQSNPAALEVFRARAFSLLSIAGVSGFCQVSIPLGMHNDLPVSISLVAKHGSDAFLLNLVETLYDTIKEEVGIAERTSN >EOY10626 pep chromosome:Theobroma_cacao_20110822:5:35609934:35619606:-1 gene:TCM_025941 transcript:EOY10626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSSDDISADLILLQSQSKSLVNTLEKLQGGSSLVSSLYLQCQELQELSKSIQRSIQTRFHELQFKENEIENRLKELEFREKELCKLSKLKLDDRLIGKGSSLPDLKFIVSTDGERLLMFLNEHENEHEKLADEVYNVLKVSNNPGKLVWQAVRGVFLEKGNVGVERNVERRSCLVLLEGLMRVRPESKKYVKKAAAFVAREWKLKLGMEGEDDREILLFLMLVGAYGLLDQFKSKEIRSLFERVAQYKEASLLGRILGFVEKAAPETCNLHSQVKMEQLGEVSIVTSEAIDDTVINHSCSSSAHLRFIANTNADRLLMFLNEHENDEKIGDDVYNALKMSVNPAKLVLDVVKAGISEKANVGVESGVVKNSCVVLLDQLMRLRPEVSQKLRKKALKLAQQWKGNIKTQGNYDEEVLVFLMLVGAYGLTSEFNFKEIESLFESVSQHKQAPILSRILGFTDQTLVKGIYHSQLKIEQSDAENIQLDSILPYEAKLEQYNATSSTSCWPELVSFSISMDARGLISFLSEHVEGHNLMQCEISDALLLASDPAKLVLDALSSFYRSKSGDGFKGAALSNVRKSCILLLEQLMTCSVQIGRHVNEEALKLAVEWKERMEQKYPHGVMAYGFLQFIITYSLTSAYDVDELLRLLVTASEYRQSPDLCLALGLADKISILIETLIKSNLQLEAIAYICAFGLADKFPPAHLLNAHLKYSKMRIYKKAKKSNVKQNQTIDKEIAIMRKVIRCIADHKLESLYPPEDLEKYIVHLERQKEQGNETARREKQKVDRKKTLSVPSTKKPQQECGFKSPFMNMPAEATPSASASAGCTLHLRPSPLEQPVSLIADQAAPCSLWDSTIFSADTNSFNWQHGCAIASNGSLEQFTSTGASDRAAPESSVHHAQLIDQSQSKEFQLDGLVPSEATYEHYNTYSSTSCGFDLQSYITRMDARGLILFLCEHVEDHGLMHCEISDALQLAPDPAKLVLDAVSTFHYSKSGNAPKSKKKSEDGFHSGALCKVRKSCILLLEQLRTFPFQIEPHVNEEVLKLAVDWKGRTLKHRKGVMAYGFLQLIVTYCLMSAYDADELLGLLVIASDYRQSPDLCLALGLADKIRVLIETLINKNQRLEAIAYICAFDLVDKFSPAHMLKVHLEYARESLYQKAKKSHWKWHQIIDHEIALVRKVIGCIADHKLESLYPPEDLEEYIIQFERQKVERYIAARKDKQKTGRKQTPQVPSANSKPQQESGAKLPSTNVSAEATPSTSAGAGSTTKLSPLQLLESFFADQAVPHGLWESTTFSANTNLLSGKQHADTAMIANNGSSDSD >EOY07989 pep chromosome:Theobroma_cacao_20110822:5:3728897:3739260:-1 gene:TCM_022307 transcript:EOY07989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate-ammonia ligases,catalytics,glutamate-ammonia ligases isoform 1 MEFAELREAIEKMELVDSHAHNIVPFESSFAFINSLSEATGHAVSFAPHSLSFKRNLREIAELYGTESSLDAVEQYRRSSGLQAISSKCFKAAGISAILVDDGLKLDKKHDIQWHKNFVPFVGRILRIERLAEEILDGELPDGSTWTLDAFTETFLKSLSIAAYRSGLEINPHVTREDAEIGLSEVLQSGKPVRVTNKSFIDHILTCSLEVALQFDLPLQIHTGFGDKDLDLRLSNPLHLRTLLEDTRFSGCRIVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVHGMISSVKELLELAPIKKVMFSTDAYATPETYYLGAKRAREVIFSVLRDACIDRDLSIAEAIEASKDIFVQNAIQLYKINLGRELFDSNASESPSYMIGTYVPEHSVSLVRIIWVDASGQHRCRVVPKKRFDNVVKKNGVGLTFACMGLTSAIDGPAEETNLTGTGEIRLMPDISTRREIPWTKQEEMVLADMHLKPGEAWEYCPREALRRVSKVLKDEFNLVMNAGFENEFYLLKKLERDGKEEWVPIDSKPYCSFSGFDAISTLFQEIIAALNSLNVVVEQLHAEAGKGQFEMALGHTACTYAADNLIFTREVVRAVASKHGLLATFVPKYALDDIGSGSHVHLSLWQNGKNVFVASDASSQHGMSKVGEEFMAGVLYHLPSILAFTAPLPNSYDRIQPNTWSGAYQCWGKENREAPLRTACPPGIPNGFVSNFEIKSFDGCANPHLGLAAIIAAGIDGLRRHLRLPGPIDANPATLEGKLQRLPKSLSESLEALQKDNVVRELIGEKLFVAIKGVRKAEIDYYSKNKDAYKQLIHRY >EOY07991 pep chromosome:Theobroma_cacao_20110822:5:3729282:3739249:-1 gene:TCM_022307 transcript:EOY07991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate-ammonia ligases,catalytics,glutamate-ammonia ligases isoform 1 MEFAELREAIEKMELVDSHAHNIVPFESSFAFINSLSEATGHAVSFAPHSLSFKRNLREIAELYGTESSLDAVEQYRRSSGLQAISSKCFKAAGISAILVDDGLKLDKKHDIQWHKNFVPFVGRILRIERLAEEILDGELPDGSTWTLDAFTETFLKSLRSVANEIVGLKSIAAYRSGLEINPHVTREDAEIGLSEVLQSGKPVRVTNKSFIDHILTCSLEVALQFDLPLQIHTGFGDKDLDLRLSNPLHLRTLLEDTRFSGCRIVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVHGMISSVKELLELAPIKKVMFSTDAYATPETYYLGAKRAREVIFSVLRDACIDRDLSIAEAIEASKDIFVQNAIQLYKINLGRELFDSNASESPSYMIGTYVPEHSVSLVRIIWVDASGQHRCRVVPKKRFDNVVKKNGVGLTFACMGLTSAIDGPAEETNLTGTGEIRLMPDISTRREIPWTKQEEMVLADMHLKPGEAWEYCPREALRRVSKVLKDEFNLVMNAGFENEFYLLKKLERDGKEEWVPIDSKPYCSFSGFDAISTLFQEIIAALNSLNVVVEQLHAEAGKGQFEMALGHTACTYAADNLIFTREVVRAVASKHGLLATFVPKYALDDIGSGSHVHLSLWQNGKNVFVASDASSQHGMSKVGEEFMAGVLYHLPSILAFTAPLPNSAGEKKTEKLL >EOY07990 pep chromosome:Theobroma_cacao_20110822:5:3729725:3739097:-1 gene:TCM_022307 transcript:EOY07990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate-ammonia ligases,catalytics,glutamate-ammonia ligases isoform 1 MEFAELREAIEKMELVDSHAHNIVPFESSFAFINSLSEATGHAVSFAPHSLSFKRNLREIAELYGTESSLDAVEQYRRSSGLQAISSKCFKAAGISAILVDDGLKLDKKHDIQWHKNFVPFVGRILRIERLAEEILDGELPDGSTWTLDAFTETFLKSLRSVANEIVGLKSIAAYRSGLEINPHVTREDAEIGLSEVLQSGKPVRVTNKSFIDHILTCSLEVALQFDLPLQIHTGFGDKDLDLRLSNPLHLRTLLEDTRFSGCRIVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVHGMISSVKELLELAPIKKVMFSTDAYATPETYYLGAKRAREVIFSVLRDACIDRDLSIAEAIEASKDIFVQNAIQLYKINLGRELFDSNASESPSYMIGTYVPEHSVSLVRIIWVDASGQHRCRVVPKKRFDNVVKKNGVGLTFACMGLTSAIDGPAEETNLTGTGEIRLMPDISTRREIPWTKQEEMVLADMHLKPGEAWEYCPREALRRVSKVLKDEFNLVMNAGFENEFYLLKKLERDGKEEWVPIDSKPYCSFSGFDAISTLFQEIIAALNSLNVVVEQLHAEAGKGQFEMALGHTACTYAADNLIFTREVVRAVASKHGLLATFVPKYALDDIGSGSHVHLSLWQNGKNVFVASDASSQHGMSKVGEEFMAGVLYHLPSILAFTAPLPNSYDRIQPNTWSGAYQCWGKENREAPLRTACPPGIPNGFVSNFEIKSFDGCANPHLGLAAIIAAGIDGLRRHLRLPGPIDANPATLEGKLQRLPKSLSESLEALQKDNVVRELIGEKLFVAIKGVRKAEIDYYSKNKDAYKQLIHRY >EOY11607 pep chromosome:Theobroma_cacao_20110822:5:39843028:39850915:-1 gene:TCM_026739 transcript:EOY11607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein, putative isoform 1 MMLPIKRSATDGGDERLGVMVPDPKRRATLKSSWSGARNIAGLGGLSLKRIVLNLEPMLRSWVREEVERGILSSFHPSSRSLLNQIEASRGRSLQLRFVDKLPPTIFTGGKVEAEEGNPVRIVLFDTSSNKIATSGPLSSLKVEIVVLNGDFGADEREDWTENEFNASVLREREGKRPLLTGDLNVTMADGVGTIDNVIFTDNSSWIRSRKFRLGARIVQRISGEVTIREAISEAFVVKDHRGELYKKHHPPSQRDEVWRLERIAKDGAFHRRLASNNISTVKDFLRLHVTDPSALRDMLGGGISNRVWDTIIEHALTCVLDDDEWYTYTYYGAQQRVGLLLNSIFKGVAATFGGQNYQPLEKLTFSQKLLVEDAKRQAYRNVGDLVLTNRQAIFGPSMTLTNLLPEPDTIPNLLLQQPDFSVANQDQPDIALGFNQSSTSYAYEVEENNQPQVSLAQDGHGIQAFNPMLRNSFRMEGIFPYHGDNSLSLLPDFHFATEDNPQAQMQSWASVTPAWRQASGFILTPDCESSVGILPSSPDFNVHSQSMGKGRDVCPKAGWLKLRAVIQWKSITRGAKALAARRRMYINY >EOY11608 pep chromosome:Theobroma_cacao_20110822:5:39843892:39848041:-1 gene:TCM_026739 transcript:EOY11608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein, putative isoform 1 MMLPIKRSATDGGDERLGVMVPDPKRRATLKSSWSGARNIAGLGGLSLKRIVLNLEPMLRSWVREEVERGILSSFHPSSRSLLNQIEASRGRSLQLRFVDKLPPTIFTGGKVEAEEGNPVRIVLFDTSSNKIATSGPLSSLKVEIVVLNGDFGADEREDWTENEFNASVLREREGKRPLLTGDLNVTMADGVGTIDNVIFTDNSSWIRSRKFRLGARIVQRISGEVTIREAISEAFVVKDHRGELYKKHHPPSQRDEVWRLERIAKDGAFHRRLASNNISTVKDFLRLHVTDPSALRDMLGGGISNRVWDTIIEHALTCVLDDDEWYTYTYYGAQQRVGLLLNSIFKGVAATFGGQNYQPLEKLTFSQKLLVEDAKRQAYRNVGDLVLTNRQAIFGPSMTLTNLLPEPDTIPNLLLQQPDFSVANQDQPDIALGF >EOY08583 pep chromosome:Theobroma_cacao_20110822:5:12341200:12343170:-1 gene:TCM_023267 transcript:EOY08583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKSCSMAHQLQGSAFGSTQPASFAALVTASFDRDASRSRGRVVSSTFSICDMNARVLFNPGFTHSFVSPCFAPRLGKERVMKEEQLVVSTPLREVFVAKWEYRSCVVRVEQKDTLVNLVQLDTLDFDVILGMDWLSPYHASMDCYHKLIRFDFPSEPSVSIKGDRSNAPTNLISVMSTRVY >EOY08850 pep chromosome:Theobroma_cacao_20110822:5:22091229:22095876:-1 gene:TCM_024094 transcript:EOY08850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFEVCKGTKVIHLYLDLILAVPLQTKEFSKSFIAKSAGACAFIGPMNAALGASRGEIGEFNYSVLDASTSSGDGEGRVGEIEVEVGTSSKGIDVEEGNQDSDKFDKEGRAIDRSLKGIPYVHDENGKVLVIKNMLFTNVHHFRELENDDSWSWFLNLVNTVIRDFNKPLVVMSNGQKVNPKLLLLST >EOY09356 pep chromosome:Theobroma_cacao_20110822:5:28526337:28547496:1 gene:TCM_024782 transcript:EOY09356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear RNA polymerase A1, putative isoform 2 MAQITEGATDSVEAVRFNFMTTEEVRKHSFLKVTNANLLDLMDRPMPGGLYDAVLGPLEDRTPCKSCGLLKLHCPGHCGHIDLVSPIYNPLLFNFLHTLLQRICFFCYHFRAEKTEVERCVSQLKLIGNGDIVGAKRLDSDSADASSYSDYNEGSQESGSIVHNSEAVKPKEWTSLQLMEAMSVLNNFLKLKYNKCKNCDAKNPNITKPVFGWLHMNGMLGAQMRENVIRGCKMVDTFSDEAGSGLEDADDVSSSGNGVDIAEMDTSEIGFTGSEGNGAKARKKKAQVPLEFMKQKNLFSGPLLPSEVKKITKLLWENEVELCSIISDIQQQGFGKKVGYSMFFLETILVPPIKFRAPTKGGDSVMEHPQTVLLSKVLQANISLGNAYTNNLQSSKAVVRLWMDLQQSVNLLFDSKTAMSQGRDVSSGICQLLEKKEGMFRQKMMGKRVNFACRSVISPDPYLAVNEIGIPPYFALRLTYPERVTPWNVVKLREAIINGSEFHPGATHYVDKLSTKRLPPSQKARISISRKLPSSRGAIAQPGKNLDYEFEGKIVLRHLQDGDVVLVNRQPTLHKPSIMAHVVRVLKGEKTIRMHYANCSTYNADFDGDEINVHFPQDEISRAEAYNIVNANNQYVRPSNGEPIRALIQDHIVSAVLLTKRDTFLSRDEFNQLLYSSGVSSLAQNSFSGKPGQKVFVSTSEEGMLPIIPAILKPKPLWTGKQVISSVLSHITRGRPPFTVGKTAKIPRDFFRNRRNKNKQSSREENQPKNDGQKAKVAEKNSKKEPDEEKILIYRNDLVRGVIDKAQFADYGLVHTVQELYGSNTAGILLSVFSRLFTVFLQMHGFTCGVDDLLIMEDKDIERKKQLEDCEKKVTEAHYELFGVKVNSETELQLEIERTIRRDGETALTALDRKMISVLNENSSKGVLTELLSEGLVKSMGENCISLMTTSGAKGSKVNFQQISSFLGQQELEGKRVPRMVSGKTLPCFHPWDWAARAGGFISDRFLSGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKNLECLKISYDHTVRDADGSIVQFIYGEDGIDVHQTSFIAKFEALALNQDMMSEKLCSQLGEPDDSDKILPDGLRSKAEQFIREEIKKYQHQKIKPKDFLNLLKLKFLSSLAQPGEPVGVLAAQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTASIDIRTPVMTCPLHKGKTKEDALCLANKMKKITVADILESMEVSVAPFAVDNGDICSIYKLKMMLGKPDKYFENSDITVKDCEHILKVVFLRELEDAIQNHLVLLSKISGIKKFMPDSQRNASNEMDEDVSEGRSRETKNDDDDDDDDADDEERAEDLGLDAQKQKQQTTDEMDYEDDSEVEQNEGASLAALESEIDMSEDETGTIQINMIGSDNGKDEISQSSPNLENRSNPKSREEKTGSEPKRKKMKAKFVRKESDRAIFNAIRGLCFEVHFKLKNEPHILLAQIAEKTAKKVYIQSFGKIDQCRVTDCSENQVFYYGEDPKKRKSPSDKEKIQALHTTGVDFGAFWKMEDHIDVRYLYSNSIHAMLNTYGVEAARETIIREISHVFTSYGIAVNIRHLTLIADFMTHSGRYRPMSRLGGIAESISPFSKMSFETASKFIVEAAKHGLVDNLETPSSRICLGLPVKMGTGSFDLMQKVEI >EOY09358 pep chromosome:Theobroma_cacao_20110822:5:28529384:28547383:1 gene:TCM_024782 transcript:EOY09358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear RNA polymerase A1, putative isoform 2 MKQKNLFSGPLLPSEVKKITKLLWENEVELCSIISDIQQQGFGKKVGYSMFFLETILVPPIKFRAPTKGGDSVMEHPQTVLLSKVLQANISLGNAYTNNLQSSKAVVRLWMDLQQSVNLLFDSKTAMSQGRDVSSGICQLLEKKEGMFRQKMMGKRVNFACRSVISPDPYLAVNEIGIPPYFALRLTYPERVTPWNVVKLREAIINGSEFHPGATHYVDKLSTKRLPPSQKARISISRKLPSSRGAIAQPGKNLDYEFEGKIVLRHLQDGDVVLVNRQPTLHKPSIMAHVVRVLKGEKTIRMHYANCSTYNADFDGDEINVHFPQDEISRAEAYNIVNANNQYVRPSNGEPIRALIQDHIVSAVLLTKRDTFLSRDEFNQLLYSSGVSSLAQNSFSGKPGQKVFVSTSEEGMLPIIPAILKPKPLWTGKQVISSVLSHITRGRPPFTVGKTAKIPRDFFRNRRNKNKQSSREENQPKNDGQKAKVAEKNSKKEPDEEKILIYRNDLVRGVIDKAQFADYGLVHTVQELYGSNTAGILLSVFSRLFTVFLQMHGFTCGVDDLLIMEDKDIERKKQLEDCEKKVTEAHYELFGVKVNSETELQLEIERTIRRDGETALTALDRKMISVLNENSSKGVLTELLSEGLVKSMGENCISLMTTSGAKGSKVNFQQISSFLGQQELEGKRVPRMVSGKTLPCFHPWDWAARAGGFISDRFLSGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKNLECLKISYDHTVRDADGSIVQFIYGEDGIDVHQTSFIAKFEALALNQDMMSEKLCSQLGEPDDSDKILPDGLRSKAEQFIREEIKKYQHQKIKPKDFLNLLKLKFLSSLAQPGEPVGVLAAQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTASIDIRTPVMTCPLHKGKTKEDALCLANKMKKITVADILESMEVSVAPFAVDNGDICSIYKLKMMLGKPDKYFENSDITVKDCEHILKVVFLRELEDAIQNHLVLLSKISGIKKFMPDSQRNASNEMDEDVSEGRSRETKNDDDDDDDDADDEERAEDLGLDAQKQKQQTTDEMDYEDDSEVEQNEGASLAALESEIDMSEDETGTIQINMIGSDNGKDEISQSSPNLENRSNPKSREEKTGSEPKRKKMKAKFVRKESDRAIFNAIRGLCFEVHFKLKNEPHILLAQIAEKTAKKVYIQSFGKIDQCRVTDCSENQVFYYGEDPKKRKSPSDKEKIQALHTTGVDFGAFWKMEDHIDVRYLYSNSIHAMLNTYGVEAARETIIREISHVFTSYGIAVNIRHLTLIADFMTHSGRYRPMSRLGGIAESISPFSKMSFETASKFIVEAAKHGLVDNLETPSSRICLGLPVKMGTGSFDLMQKVEI >EOY09357 pep chromosome:Theobroma_cacao_20110822:5:28529384:28547383:1 gene:TCM_024782 transcript:EOY09357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear RNA polymerase A1, putative isoform 2 MKQKNLFSGPLLPSEVKKITKLLWENEVELCSIISDIQQQGFGKKVGYSMFFLETILVPPIKFRAPTKGGDSVMEHPQTVLLSKVLQANISLGNAYTNNLQSSKAVVRLWMDLQQSVNLLFDSKTAMSQGRDVSSGICQLLEKKEGMFRQKMMGKRVNFACRSVISPDPYLAVNEIGIPPYFALRLTYPERVTPWNVVKLREAIINGSEFHPGATHYVDKLSTKRLPPSQKARISISRKLPSSRGAIAQPGKNLDYEFEGKIVLRHLQDGDVVLVNRQPTLHKPSIMAHVVRVLKGEKTIRMHYANCSTYNADFDGDEINVHFPQDEISRAEAYNIVNANNQYVRPSNGEPIRALIQDHIVSAVLLTKRDTFLSRDEFNQLLYSSGVSSLAQNSFSGKPGQKVFVSTSEEGMLPIIPAILKPKPLWTGKQVISSVLSHITRGRPPFTVGKTAKIPRDFFRNRRNKNKQSSREENQPKNDGQKAKVAEKNSKKEPDEEKILIYRNDLVRGVIDKAQFADYGLVHTVQELYGSNTAGILLSVFSRLFTVFLQMHGFTCGVDDLLIMEDKDIERKKQLEDCEKKVTEAHYELFGVKVNSETAPTELQLEIERTIRRDGETALTALDRKMISVLNENSSKGVLTELLSEGLVKSMGENCISLMTTSGAKGSKVNFQQISSFLGQQELEGKRVPRMVSGKTLPCFHPWDWAARAGGFISDRFLSGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKNLECLKISYDHTVRDADGSIVQFIYGEDGIDVHQTSFIAKFEALALNQDMMSEKLCSQLGEPDDSDKILPDGLRSKAEQFIREEIKKYQHQKIKPKDFLNLLKLKFLSSLAQPGEPVGVLAAQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTASIDIRTPVMTCPLHKGKTKEDALCLANKMKKITVADILESMEVSVAPFAVDNGDICSIYKLKMMLGKPDKYFENSDITVKDCEHILKVVFLRELEDAIQNHLVLLSKISGIKKFMPDSQRNASNEMDEDVSEGRSRETKNDDDDDDDDADDEERAEDLGLDAQKQKQQTTDEMDYEDDSEVEQNEGASLAALESEIDMSEDETGTIQINMIGSDNGKDEISQSSPNLENRSNPKSREEKTGSEPKRKKMKAKFVRKESDRAIFNAIRGLCFEVHFKLKNEPHILLAQIAEKTAKKVYIQSFGKIDQCRVTDCSENQVFYYGEDPKKRKSPSDKEKIQALHTTGVDFGAFWKMEDHIDVRYLYSNSIHAMLNTYGVEAARETIIREISHVFTSYGIAVNIRHLTLIADFMTHSGRYRPMSRLGGIAESISPFSKMSFETASKFIVEAAKHGLVDNLETPSSRICLGLPVKMGTGSFDLMQKVEI >EOY09355 pep chromosome:Theobroma_cacao_20110822:5:28526337:28547496:1 gene:TCM_024782 transcript:EOY09355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear RNA polymerase A1, putative isoform 2 MAQITEGATDSVEAVRFNFMTTEEVRKHSFLKVTNANLLDLMDRPMPGGLYDAVLGPLEDRTPCKSCGLLKLHCPGHCGHIDLVSPIYNPLLFNFLHTLLQRICFFCYHFRAEKTEVERCVSQLKLIGNGDIVGAKRLDSDSADASSYSDYNEGSQESGSIVHNSEAVKPKEWTSLQLMEAMSVLNNFLKLKYNKCKNCDAKNPNITKPVFGWLHMNGMLGAQMRENVIRGCKMVDTFSDEAGSGLEDADDVSSSGNGVDIAEMDTSEIGFTGSEGNGAKARKKKAQVPLEFMKQKNLFSGPLLPSEVKKITKLLWENEVELCSIISDIQQQGFGKKVGYSMFFLETILVPPIKFRAPTKGGDSVMEHPQTVLLSKVLQANISLGNAYTNNLQSSKAVVRLWMDLQQSVNLLFDSKTAMSQGRDVSSGICQLLEKKEGMFRQKMMGKRVNFACRSVISPDPYLAVNEIGIPPYFALRLTYPERVTPWNVVKLREAIINGSEFHPGATHYVDKLSTKRLPPSQKARISISRKLPSSRGAIAQPGKNLDYEFEGKIVLRHLQDGDVVLVNRQPTLHKPSIMAHVVRVLKGEKTIRMHYANCSTYNADFDGDEINVHFPQDEISRAEAYNIVNANNQYVRPSNGEPIRALIQDHIVSAVLLTKRDTFLSRDEFNQLLYSSGVSSLAQNSFSGKPGQKVFVSTSEEGMLPIIPAILKPKPLWTGKQVISSVLSHITRGRPPFTVGKTAKIPRDFFRNRRNKNKQSSREENQPKNDGQKAKVAEKNSKKEPDEEKILIYRNDLVRGVIDKAQFADYGLVHTVQELYGSNTAGILLSVFSRLFTVFLQMHGFTCGVDDLLIMEDKDIERKKQLEDCEKKVTEAHYELFGVKVNSETAPTELQLEIERTIRRDGETALTALDRKMISVLNENSSKGVLTELLSEGLVKSMGENCISLMTTSGAKGSKVNFQQISSFLGQQELEGKRVPRMVSGKTLPCFHPWDWAARAGGFISDRFLSGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKNLECLKISYDHTVRDADGSIVQFIYGEDGIDVHQTSFIAKFEALALNQDMMSEKLCSQLGEPDDSDKILPDGLRSKAEQFIREEIKKYQHQKIKPKDFLNLLKLKFLSSLAQPGEPVGVLAAQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTASIDIRTPVMTCPLHKGKTKEDALCLANKMKKITVADILESMEVSVAPFAVDNGDICSIYKLKMMLGKPDKYFENSDITVKDCEHILKVVFLRELEDAIQNHLVLLSKISGIKKFMPDSQRNASNEMDEDVSEGRSRETKNDDDDDDDDADDEERAEDLGASLAALESEIDMSEDETGTIQINMIGSDNGKDEISQSSPNLENRSNPKSREEKTGSEPKRKKMKAKFVRKESDRAIFNAIRGLCFEVHFKLKNEPHILLAQIAEKTAKKVYIQSFGKIDQCRVTDCSENQVFYYGEDPKKRKSPSDKEKIQALHTTGVDFGAFWKMEDHIDVRYLYSNSIHAMLNTYGVEAARETIIREISHVFTSYGIAVNIRHLTLIADFMTHSGRYRPMSRLGGIAESISPFSKMSFETASKFIVEAAKHGLVDNLETPSSRICLGLPVKMGTGSFDLMQKVEI >EOY09654 pep chromosome:Theobroma_cacao_20110822:5:30364380:30367472:-1 gene:TCM_025049 transcript:EOY09654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic and neutral transporter 1 MEEKNSDRIPLINPPPSTATDGTASKLQTIGNIIVSIVGTGVLGLPFAFRIAGWLAGSIGVILAGLATYYCMLLLVQCRDRLASEKELPGTTTYGDLGYRCLGRPGRYLTEFLIFISQCGGSVAYLVFIGQNLASIVKGHGLTIASYIFLLVPIEIALSWIGSLSAFAPFSIFADICNVLAMGFVVKEDIQQAIGGDFLFRDRKAITDNIGGLPFAGGMAVFCFEGFGMTLALEASMKERRTFPKVLAMAFTWITLVYVLFGIFGYMAYGDETKDIITLNLPKNWTAIAVQIGLCLGLVFTFPIMVHPVNEILEGKLKKKLWLQKLHDNDAESSLTRLGKFGICISRAVLVIGLAVLASFVPGFGVFASLVGSTVCALISFVLPASFHLTLLGSSLSFWQKALDFCILLCGLLLAAYGTYNTIVGV >EOY09702 pep chromosome:Theobroma_cacao_20110822:5:30637138:30638136:-1 gene:TCM_025095 transcript:EOY09702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPMLRCNIPASLVRAPYQRETKGCFPCFGRQKELHYTGEQLKAIFRRFDSDGDGRLGKQDLRNAFNILCSRLPRYRAFAALRGADENGDGYVEIDGK >EOY11430 pep chromosome:Theobroma_cacao_20110822:5:39256303:39258367:-1 gene:TCM_026607 transcript:EOY11430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumen 18.3 kDa protein METILSSHSLSPILNPKASTSKSLLSPSIQPRSASLPLSKPFTCALKKQTSQSLKPSLAEPKTWFVHAQRGLAALAISLALNFSPVLHTGNAWASEFDVINEGPPKDSYVFDDANVLSRVTKSDLRQLLSDLESRKTFHINFVTVRKLTSKADAFEYADQVLERWYPTLEEGNNKGIVVLVTSQKEGAVTGGPAFIEAVGEKILDATVSENLPVLATDEKYNEAIYSSAKRLVAAIDGLPDPGGPKFKENKKESNFKSREETEEKRGQFSLVVGGLLVIAFVVPMAQYYAYVSKK >EOY07044 pep chromosome:Theobroma_cacao_20110822:5:281580:286416:1 gene:TCM_021577 transcript:EOY07044 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAR, putative isoform 3 MEKFLESPSPEHKAVYETSGTPPPLELTLDNSSDSGLEILEISTVSPVKNTSQGKDNSSSSPDAQEIVLKPSVEELNREVIDREIVKVPERTADFTDGIPPSFHKAAIEKDIIVDGEGRKGCSIDGDHSDDMTSEVDNYMDALATMESEMDTDNEYRPKNDIGFLNIGKYRTDSDANEEKLEVQVHSSDSQSVGISSVSDDGNSSFKKERSSFSYSDTVDNLAEDMPSDGEIAAKEFPSNKNCAAEIVEAPSIHLPACSEMQCSSSDEAWPSKDTSFGECKLPDLGEESHSSCLEELNPTHVLLDPKTSSMAVSLPEPEVPYVDVKTNSDLSEMDGGKYLADSSEKQDVTLITLSAESHQVDELDSEDTNVSSDALPHLSNILQLAPEKRSSNDPFDEVLETDFAGETCAENSVNQMIGSPNSVISSAEEQLPCSTFAEVERSSEGLDVMRPVNLVSEVNDATLEAGVKSECMAPMVGTSQTCGFNEQKCSDGINDDPQLEADSTEIGASYSEQKQNADQLFDVAEGEGTGEITCRVSMVGGDAIACDLPSNSADNLDLNNHVGLDDLATETVHAETMAVSTAACGSADLDDDVDNTTSESSNLICSPSKNQKNLQEPLSGAGDLCTEGLESDEVISQECLVESEAQEETNQAEGAPADLESTSCKLVSYDNSNLEDDIHDPSLAEPAKNSLNFIDLTTVPASSELSDQESESKYLSHLIESRADVVSSPTRCLSEKETSFEQSLDLHTSQHDMGSLQMVEDSSNSLNLLSNQIESLNHINQERCLQTASEHSAEGSSSQPSVEFSQQSGRQDKQEMYPSDSTQPAVVLLHGATKVSMEEMPPLPPLPPMQWRIGRAQHASPASQRELVEHGQGSFSMIPQYAIEQKAQFGLSALESRNPFLPLVKGEERYGHVSDQFATDFMQPSPFPMDPPTMGNSANSQYDGIHLDRTHPNPFLTLPIISNESHEYGSAAMEDDRVESSFSFLSMPVTEHATSRHIPESLHEKTTHAPNQFVLDTGLEGGAFKHPKQNSEGEHGNPPDIFVASSTKREEQSPTKVAEELPTKVEEQFPTTVEEQHGLAAPEGETSQTSNTTVQHDLSTSEGEANGNANGNPNVKLPRPRNPLIDAVAAHDKSKLRKVTERVRPPMIPKVDERDSLLEQIRTKVRPNSRILDILFVPYFIYRKKSVERWEMHLSDKVRADLLCFVQSFNLKPAAVTRPSIQGPKTNLRVAAILEKANAIRQALAGSDEDDGDEDDWSDC >EOY07042 pep chromosome:Theobroma_cacao_20110822:5:278551:285907:1 gene:TCM_021577 transcript:EOY07042 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAR, putative isoform 3 MPLTRYQIRNEYSLADPELYRAADKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEEVMATAARGHGLTVRVQQLEAEFPSIEKAFLSQTNHSLFFTNAGVDWHPNLRTEHNLITRGDLPRCVLDSYEECRGPPRLFLLDKFDVAGAGACLKRYTDPSFFKAESAFPEIAAAEVQREKKSRKLKKKGSRWRNGETPEIALTSHAKLHQLFLEERIENAYKDPSRLVKLKRRQLNESPLEIKSGKSYMEKFLESPSPEHKAVYETSGTPPPLELTLDNSSDSGLEILEISTVSPVKNTSQGKDNSSSSPDAQEIVLKPSVEELNREVIDREIVKVPERTADFTDGIPPSFHKAAIEKDIIVDGEGRKGCSIDGDHSDDMTSEVDNYMDALATMESEMDTDNEYRPKNDIGFLNIGKYRTDSDANEEKLEVQVHSSDSQSVGISSVSDDGNSSFKKERSSFSYSDTVDNLAEDMPSDGEIAAKEFPSNKNCAAEIVEAPSIHLPACSEMQCSSSDEAWPSKDTSFGECKLPDLGEESHSSCLEELNPTHVLLDPKTSSMAVSLPEPEVPYVDVKTNSDLSEMDGGKYLADSSEKQDVTLITLSAESHQVDELDSEDTNVSSDALPHLSNILQLAPEKRSSNDPFDEVLETDFAGETCAENSVNQMIGSPNSVISSAEEQLPCSTFAEVERSSEGLDVMRPVNLVSEVNDATLEAGVKSECMAPMVGTSQTCGFNEQKCSDGINDDPQLEADSTEIGASYSEQKQNADQLFDVAEGEGTGEITCRVSMVGGDAIACDLPSNSADNLDLNNHVGLDDLATETVHAETMAVSTAACGSADLDDDVDNTTSESSNLICSPSKNQKNLQEPLSGAGDLCTEGLESDEVISQECLVESEAQEETNQAEGAPADLESTSCKLVSYDNSNLEDDIHDPSLAEPAKNSLNFIDLTTVPASSELSDQESESKYLSHLIESRADVVSSPTRCLSEKETSFEQSLDLHTSQHDMGSLQMVEDSSNSLNLLSNQIESLNHINQERCLQTASEHSAEGSSSQPSVEFSQQSGRQDKQEMYPSDSTQPAVVLLHGATKVSMEEMPPLPPLPPMQWRIGRAQHASPASQRELVEHGQGSFSMIPQYAIEQKAQFGLSALESRNPFLPLVKGEERYGHVSDQFATDFMQPSPFPMDPPTMGNSANSQYDGIHLDRTHPNPFLTLPIISNESHEYGSAAMEDDRVESSFSFLSMPVTEHATSRHIPESLHEKTTHAPNQFVLDTGLEGGAFKHPKQNSEGEHGNPPDIFVASSTKREEQSPTKVAEELPTKVEEQFPTTVEEQHGLAAPEGETSQTSNTTVQHDLSTSEGEANGNANGNPNVKLPRPRNPLIDAVAAHDKSKLRKVTERVRPPMIPKVDERDSLLEQIRTKSFNLKPAAVTRPSIQGPKTNLRVAAILEKANAIRQVSIYPYLSLSLSLSLF >EOY07043 pep chromosome:Theobroma_cacao_20110822:5:281580:285907:1 gene:TCM_021577 transcript:EOY07043 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAR, putative isoform 3 MEKFLESPSPEHKAVYETSGTPPPLELTLDNSSDSGLEILEISTVSPVKNTSQGKDNSSSSPDAQEIVLKPSVEELNREVIDREIVKVPERTADFTDGIPPSFHKAAIEKDIIVDGEGRKGCSIDGDHSDDMTSEVDNYMDALATMESEMDTDNEYRPKNDIGFLNIGKYRTDSDANEEKLEVQVHSSDSQSVGISSVSDDGNSSFKKERSSFSYSDTVDNLAEDMPSDGEIAAKEFPSNKNCAAEIVEAPSIHLPACSEMQCSSSDEAWPSKDTSFGECKLPDLGEESHSSCLEELNPTHVLLDPKTSSMAVSLPEPEVPYVDVKTNSDLSEMDGGKYLADSSEKQDVTLITLSAESHQVDELDSEDTNVSSDALPHLSNILQLAPEKRSSNDPFDEVLETDFAGETCAENSVNQMIGSPNSVISSAEEQLPCSTFAEVERSSEGLDVMRPVNLVSEVNDATLEAGVKSECMAPMVGTSQTCGFNEQKCSDGINDDPQLEADSTEIGASYSEQKQNADQLFDVAEGEGTGEITCRVSMVGGDAIACDLPSNSADNLDLNNHVGLDDLATETVHAETMAVSTAACGSADLDDDVDNTTSESSNLICSPSKNQKNLQEPLSGAGDLCTEGLESDEVISQECLVESEAQEETNQAEGAPADLESTSCKLVSYDNSNLEDDIHDPSLAEPAKNSLNFIDLTTVPASSELSDQESESKYLSHLIESRADVVSSPTRCLSEKETSFEQSLDLHTSQHDMGSLQMVEDSSNSLNLLSNQIESLNHINQERCLQTASEHSAEGSSSQPSVEFSQQSGRQDKQEMYPSDSTQPAVVLLHGATKVSMEEMPPLPPLPPMQWRIGRAQHASPASQRELVEHGQGSFSMIPQYAIEQKAQFGLSALESRNPFLPLVKGEERYGHVSDQFATDFMQPSPFPMDPPTMGNSANSQYDGIHLDRTHPNPFLTLPIISNESHEYGSAAMEDDRVESSFSFLSMPVTEHATSRHIPESLHEKTTHAPNQFVLDTGLEGGAFKHPKQNSEGEHGNPPDIFVASSTKREEQSPTKVAEELPTKVEEQFPTTVEEQHGLAAPEGETSQTSNTTVQHDLSTSEGEANGNANGNPNVKLPRPRNPLIDAVAAHDKSKLRKVTERVRPPMIPKVDERDSLLEQIRTKSFNLKPAAVTRPSIQGPKTNLRVAAILEKANAIRQALAGSDEDDGDEDDWSDC >EOY07040 pep chromosome:Theobroma_cacao_20110822:5:277764:286337:1 gene:TCM_021577 transcript:EOY07040 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAR, putative isoform 3 MPLTRYQIRNEYSLADPELYRAADKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEEVMATAARGHGLTVRVQQLEAEFPSIEKAFLSQTNHSLFFTNAGVDWHPNLRTEHNLITRGDLPRCVLDSYEECRGPPRLFLLDKFDVAGAGACLKRYTDPSFFKAESAFPEIAAAEVQREKKSRKLKKKGSRWRNGETPEIALTSHAKLHQLFLEERIENAYKDPSRLVKLKRRQLNESPLEIKSGKSYMEKFLESPSPEHKAVYETSGTPPPLELTLDNSSDSGLEILEISTVSPVKNTSQGKDNSSSSPDAQEIVLKPSVEELNREVIDREIVKVPERTADFTDGIPPSFHKAAIEKDIIVDGEGRKGCSIDGDHSDDMTSEVDNYMDALATMESEMDTDNEYRPKNDIGFLNIGKYRTDSDANEEKLEVQVHSSDSQSVGISSVSDDGNSSFKKERSSFSYSDTVDNLAEDMPSDGEIAAKEFPSNKNCAAEIVEAPSIHLPACSEMQCSSSDEAWPSKDTSFGECKLPDLGEESHSSCLEELNPTHVLLDPKTSSMAVSLPEPEVPYVDVKTNSDLSEMDGGKYLADSSEKQDVTLITLSAESHQVDELDSEDTNVSSDALPHLSNILQLAPEKRSSNDPFDEVLETDFAGETCAENSVNQMIGSPNSVISSAEEQLPCSTFAEVERSSEGLDVMRPVNLVSEVNDATLEAGVKSECMAPMVGTSQTCGFNEQKCSDGINDDPQLEADSTEIGASYSEQKQNADQLFDVAEGEGTGEITCRVSMVGGDAIACDLPSNSADNLDLNNHVGLDDLATETVHAETMAVSTAACGSADLDDDVDNTTSESSNLICSPSKNQKNLQEPLSGAGDLCTEGLESDEVISQECLVESEAQEETNQAEGAPADLESTSCKLVSYDNSNLEDDIHDPSLAEPAKNSLNFIDLTTVPASSELSDQESESKYLSHLIESRADVVSSPTRCLSEKETSFEQSLDLHTSQHDMGSLQMVEDSSNSLNLLSNQIESLNHINQERCLQTASEHSAEGSSSQPSVEFSQQSGRQDKQEMYPSDSTQPAVVLLHGATKVSMEEMPPLPPLPPMQWRIGRAQHASPASQRELVEHGQGSFSMIPQYAIEQKAQFGLSALESRNPFLPLVKGEERYGHVSDQFATDFMQPSPFPMDPPTMGNSANSQYDGIHLDRTHPNPFLTLPIISNESHEYGSAAMEDDRVESSFSFLSMPVTEHATSRHIPESLHEKTTHAPNQFVLDTGLEGGAFKHPKQNSEGEHGNPPDIFVASSTKREEQSPTKVAEELPTKVEEQFPTTVEEQHGLAAPEGETSQTSNTTVQHDLSTSEGEANGNANGNPNVKLPRPRNPLIDAVAAHDKSKLRKVTERVRPPMIPKVDERDSLLEQIRTKSFNLKPAAVTRPSIQGPKTNLRVAAILEKANAIRQALAGSDEDDGDEDDWSDC >EOY07041 pep chromosome:Theobroma_cacao_20110822:5:278895:286416:1 gene:TCM_021577 transcript:EOY07041 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAR, putative isoform 3 MATAARGHGLTVRVQQLEAEFPSIEKAFLSQTNHSLFFTNAGVDWHPNLRTEHNLITRGDLPRCVLDSYEECRGPPRLFLLDKFDVAGAGACLKRYTDPSFFKAESAFPEIAAAEVQREKKSRKLKKKGSRWRNGETPEIALTSHAKLHQLFLEERIENAYKDPSRLVKLKRRQLNESPLEIKSGKSYMEKFLESPSPEHKAVYETSGTPPPLELTLDNSSDSGLEILEISTVSPVKNTSQGKDNSSSSPDAQEIVLKPSVEELNREVIDREIVKVPERTADFTDGIPPSFHKAAIEKDIIVDGEGRKGCSIDGDHSDDMTSEVDNYMDALATMESEMDTDNEYRPKNDIGFLNIGKYRTDSDANEEKLEVQVHSSDSQSVGISSVSDDGNSSFKKERSSFSYSDTVDNLAEDMPSDGEIAAKEFPSNKNCAAEIVEAPSIHLPACSEMQCSSSDEAWPSKDTSFGECKLPDLGEESHSSCLEELNPTHVLLDPKTSSMAVSLPEPEVPYVDVKTNSDLSEMDGGKYLADSSEKQDVTLITLSAESHQVDELDSEDTNVSSDALPHLSNILQLAPEKRSSNDPFDEVLETDFAGETCAENSVNQMIGSPNSVISSAEEQLPCSTFAEVERSSEGLDVMRPVNLVSEVNDATLEAGVKSECMAPMVGTSQTCGFNEQKCSDGINDDPQLEADSTEIGASYSEQKQNADQLFDVAEGEGTGEITCRVSMVGGDAIACDLPSNSADNLDLNNHVGLDDLATETVHAETMAVSTAACGSADLDDDVDNTTSESSNLICSPSKNQKNLQEPLSGAGDLCTEGLESDEVISQECLVESEAQEETNQAEGAPADLESTSCKLVSYDNSNLEDDIHDPSLAEPAKNSLNFIDLTTVPASSELSDQESESKYLSHLIESRADVVSSPTRCLSEKETSFEQSLDLHTSQHDMGSLQMVEDSSNSLNLLSNQIESLNHINQERCLQTASEHSAEGSSSQPSVEFSQQSGRQDKQEMYPSDSTQPAVVLLHGATKVSMEEMPPLPPLPPMQWRIGRAQHASPASQRELVEHGQGSFSMIPQYAIEQKAQFGLSALESRNPFLPLVKGEERYGHVSDQFATDFMQPSPFPMDPPTMGNSANSQYDGIHLDRTHPNPFLTLPIISNESHEYGSAAMEDDRVESSFSFLSMPVTEHATSRHIPESLHEKTTHAPNQFVLDTGLEGGAFKHPKQNSEGEHGNPPDIFVASSTKREEQSPTKVAEELPTKVEEQFPTTVEEQHGLAAPEGETSQTSNTTVQHDLSTSEGEANGNANGNPNVKLPRPRNPLIDAVAAHDKSKLRKVTERVRPPMIPKVDERDSLLEQIRTKSFNLKPAAVTRPSIQGPKTNLRVAAILEKANAIRQALAGSDEDDGDEDDWSDC >EOY10160 pep chromosome:Theobroma_cacao_20110822:5:33264126:33275605:1 gene:TCM_025534 transcript:EOY10160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein, putative MFRKIFRLRNYLDRREYYDALQVLHESIHKKFADLEKLKKTSTTINAVLLDAEEKQAHDHQPRVWLQESKDACYDEEESLDEFEIEALRKQVLKQRSIGNKVSHFFSSSNPLVFRFRMVHKMNKVTERFGEIAALKNNFHLSERHDGTGHVVRLDRETHFFVQASEIIGRESVLPIVGFGGLGETALAKLVSNEEIIDGLFQLKMWVYVSDDFNPI >EOY09857 pep chromosome:Theobroma_cacao_20110822:5:31333620:31343685:1 gene:TCM_025225 transcript:EOY09857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair initiation protein root hairless 1, putative isoform 3 MVRTSSSKKPPIAETPEATERKRLKKLALKNNLLSDTPATPKSYVPLSPSKLVMKHHGKDILRKSQRKNRFLFSFPGLLAPISGGKIGELKNLGSKNPILYLDFPQGQMKLFGTIVYPKNRYLTLLFSRGGKNVMCEDYFDNMIVFSDAWWIGKKDENPEEARLDFPKELCQGQQMEYDFKGGAGVESVNKQDTPRTEIKQVEIESLDNESGDALSDDDNDLTAKMEVTPTRHSARNAGKRFKFAEASSEDDPVRSDAEPSDGEEKKVGKKLHLTENDTIGKTISSASLVLKSDAAEDSQIPEQIQTSLTSVSKSRKISKSTVTVTKSKENSKANRGSLVQPTISTLFKKVGEKRRDLEVQINLHPQKFLARSCSPIITKGRLIRLRDLAKRERDRNQKKEERIRG >EOY09854 pep chromosome:Theobroma_cacao_20110822:5:31333529:31343723:1 gene:TCM_025225 transcript:EOY09854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair initiation protein root hairless 1, putative isoform 3 MVRTSSSKKPPIAETPEATERKRLKKLALKNNLLSDTPATPKSYVPLSPSKLVMKHHGKDILRKSQRKNRFLFSFPGLLAPISGGKIGELKNLGSKNPILYLDFPQGQMKLFGTIVYPKNRYLTLLFSRGGKNVMCEDYFDNMIVFSDAWWIGKKDENPEEARLDFPKELCQGQQMEYDFKGGAGVESVNKQDTPRTEIKQVEIESLDNESGDALSDDDNDLTAKMEVTPTRHSARNAGKRFKFAEASSEDDPVRTLLQLQTISSASLVLKSDAAEDSQIPEQIQTSLTSVSKSRKISKSTVTVTKSKENSKANRGSLVQPTISTLFKKVGEKKGPRGSDKSSSTKVLGKKLQSNNYKRKIDQTEGSSKKGKVNEEKTTGKIVSLSILQSGNGSLAYKVSNGPGSLIDARIDAFGPFFLVVCDLTGSRVDLVLQWIIGSLLRGHGQIHSPKFLGPTIRGCWDCGHAAENDTSSCGLCIGTGIKRKKKESEDEEDIEEISSTSEDANGSDEDWTA >EOY09856 pep chromosome:Theobroma_cacao_20110822:5:31333620:31343685:1 gene:TCM_025225 transcript:EOY09856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair initiation protein root hairless 1, putative isoform 3 MVRTSSSKKPPIAETPEATERKRLKKLALKNNLLSDTPATPKSYVPLSPSKLVMKHHGKDILRKSQRKNRFLFSFPGLLAPISGGKIGELKNLGSKNPILYLDFPQGQMKLFGTIVYPKNRYLTLLFSRGGKNVMCEDYFDNMIVFSDAWWIGKKDENPEEARLDFPKELCQGQQMEYDFKGGAGVESVNKQDTPRTEIKQVEIESLDNESGDALSDDDNDLTAKMEVTPTRHSARNAGKRFKFAEASSEDDPVRSDAEPSDGEEKKVGKKLHLTENDTIGKTISSASLVLKSDAAEDSQIPEQIQTSLTSVSKSRKISKSTVTVTKSKENSKANRGSLVQPTISTLFKKVGEKGPRGSDKSSSTKVLGKKLQSNNYKRKIDQTEGSSKKGKVNEEKTTGTGIKRKKKESEDEEDIEEISSTSEDANGSDEDWTA >EOY09855 pep chromosome:Theobroma_cacao_20110822:5:31333612:31343692:1 gene:TCM_025225 transcript:EOY09855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair initiation protein root hairless 1, putative isoform 3 MVRTSSSKKPPIAETPEATERKRLKKLALKNNLLSDTPATPKSYVPLSPSKLVMKHHGKDILRKSQRKNRFLFSFPGLLAPISGGKIGELKNLGSKNPILYLDFPQGQMKLFGTIVYPKNRYLTLLFSRGGKNVMCEDYFDNMIVFSDAWWIGKKDENPEEARLDFPKELCQGQQMEYDFKGGAGVESVNKQDTPRTEIKQVEIESLDNESGDALSDDDNDLTAKMEVTPTRHSARNAGKRFKFAEASSEDDPVRSDAEPSDGEEKKVGKKLHLTENDTIGKTISSASLVLKSDAAEDSQIPEQIQTSLTSVSKSRKISKSTVTVTKSKENSKANRGSLVQPTISTLFKKVGEKKGPRGSDKSSSTKVLGKKLQSNNYKRKIDQTEGSSKKGKVNEEKTTGTGIKRKKKESEDEEDIEEISSTSEDANGSDEDWTA >EOY10546 pep chromosome:Theobroma_cacao_20110822:5:35286516:35289492:1 gene:TCM_025880 transcript:EOY10546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin 55-2-like protein MAVHRSFVIFALVAAIAPAITLAEEFIVGGDEGWKLGVDYQQWAKDKQFVIGDTLVFKYKVGAHNVYKVNGTDFQNCNVPTNNTLGFFSGNDSIMLPAAGNKWYICGITGHCAKGMKLKITVLSSVSAPAPAPSSAATLCDKEAIFQVLLGITFSIVAMLMLGGWVMVIPFCHGGWNVKGDALLCLRFGFWHWEAPVDSFGLLEVGGIRNKGFDGKEKKRMTWKAKLGGYKNRQMHFWHHTFHGPVLQSMSMQQPTSKSGESRPQEETFFAPIAF >EOY08798 pep chromosome:Theobroma_cacao_20110822:5:20581699:20585876:1 gene:TCM_023972 transcript:EOY08798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 3 MISATDLYHVLTAVVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFISTNNPYAMNLRFIAADTLQKIIVLVVLAIWSRTSSRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGENSGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLIAEQFPDTAGSIISFKVDSDIISLDGKEPLQTDAEVGEDGKLHVTVRKSTSSRSEIFSRRSHGPNSGVSLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVNGKNISNVSPRQSNFGNLGFDEENGLGVFGNPGRANGNAYPAPTSAGIFSPVTGPGAKKKANGTDSGKDLHMFVWSSSASPVSEGGIHVFRGGEYGNDLGGVAHQKDYDEYGRDEFSFGNKQLTNGVDREGPVLSKLGSSSTTELHPKASAHAETKPSAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFKWDIQMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNTIAAFAMAVRFLTGPAVMAAASIAVGLRGVLLRIAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITMVYYILLGL >EOY08799 pep chromosome:Theobroma_cacao_20110822:5:20581585:20585622:1 gene:TCM_023972 transcript:EOY08799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 3 MISATDLYHVLTAVVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFISTNNPYAMNLRFIAADTLQKIIVLVVLAIWSRTSSRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGENSGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLIAEQFPDTAGSIISFKVDSDIISLDGKEPLQTDAEVGEDGKLHVTVRKSTSSRSEIFSRRSHGPNSGVSLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVNGKNISNVSPRQSNFGNLGFDEENGLGVFGNPGRANGNAYPAPTSAGIFSPVTGPGAKKKANGTDSGKDLHMFVWSSSASPVSEGGIHVFRGGEYGNDLGGVAHQKDYDEYGRDEFSFGNKQLTNGVDREGPVLSKLGSSSTTELHPKASAHAETKPSAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFKWDIQMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNTIAAFAMAVRFLTGPAVMAAASIAVGLRGVLLRIAIVQQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITMVYYILLGL >EOY08797 pep chromosome:Theobroma_cacao_20110822:5:20578558:20585731:1 gene:TCM_023972 transcript:EOY08797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 3 MSFSCHSLFHFLTKKIPENCEKNHTKCIPSSFSCEIISGFCILALHIYLSSGFLLQYTMISATDLYHVLTAVVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFISTNNPYAMNLRFIAADTLQKIIVLVVLAIWSRTSSRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGENSGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLIAEQFPDTAGSIISFKVDSDIISLDGKEPLQTDAEVGEDGKLHVTVRKSTSSRSEIFSRRSHGPNSGVSLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVNGKNISNVSPRQSNFGNLGFDEENGLGVFGNPGRANGNAYPAPTSAGIFSPVTGPGAKKKANGTDSGKDLHMFVWSSSASPVSEGGIHVFRGGEYGNDLGGVAHQKGINVNLSKSIWQFPTLNFKNVLFIGVTVFVLLNEGLGLENAFFRCASSGADYDEYGRDEFSFGNKQLTNGVDREGPVLSKLGSSSTTELHPKASAHAETKPSAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFKWDIQMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNTIAAFAMAVRFLTGPAVMAAASIAVGLRGVLLRIAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITMVYYILLGL >EOY08981 pep chromosome:Theobroma_cacao_20110822:5:24501678:24503586:-1 gene:TCM_024317 transcript:EOY08981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase 2A, putative MQLIIEYIRERYSVVSQPKTTLFKARSRKKERMPISAYTISSMGIPHFKKTPSTNVAEEDQQEKMDKECEELVLSLPKEKGWRTPYLYKFQGFWCQPKEIQAIISFQKHFQARATDVILATIPKSGTTWIKALVFATMNRQRFGISNCHPLLTSNPHDLVPFLEYKLYADNEIPDLSDLPNPRLFATHVPFASLQNSIKNNSDRRIVYLCRNPFDTFISSWHFINKVRSDSLPPLPLEEAFDMYCNGVVGFGPFWEHMLGYWKQSLERPKNVLFLKYDDMKKDIISHLMVLAKFLGLPFSVAEEKEGVIEEIAKLCSFDNLKDLEVNNSGKAIKNFENKHLFRKGEVGDWVNYLSPLMVERLSKVIEEKFGASGLKF >EOY08009 pep chromosome:Theobroma_cacao_20110822:5:3905604:3919634:1 gene:TCM_022329 transcript:EOY08009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDKFGLLMKRKQIKQAIDKVMDKGNEGEERRQRAKEFGKRATKAIEEGGSSYENMEMLIKFVLERTRGRLLDGRMLQNCGRRMVKSPGVCSWNWRKLLKLRAKAKILIQHLTSNGEGTFLWYDAWHLSGLLLDKFGMKLVYDSAISDEAKVANVIKDVADKQDVIIWLASSSRKFTTSDAWDSLKDKKAANVPWHELICFRFHIPKFIFIAWLHILNRFKYFLRNSVMGDFLAFSYLCSLQFRIGKRTPLVFTCSYSQAVWRRVLQTSGNQRSLGD >EOY08852 pep chromosome:Theobroma_cacao_20110822:5:22140399:22148477:-1 gene:TCM_024098 transcript:EOY08852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDSNLQTSPPDGSDAKAAFRKFSNDASNRQYRRHSPISRSSSSEGNSPQRDRSVSPILSRDDLAKGADTQPGRDGRELDRDSSRNKYSRNSDSYRYSDRQSSRSSHGYSRHDNYVRHDKFADEGSKYDRLSSRSGRESRFSTHSDHPRQESDISRSKDYSRNADKYSRDRYDGSGHRIRDKEKESQSLEHQKYKDKDSALDRAGSGRRQGSSFSEEMDRDRRRRGRDSRGEKGDYHRSSGDRKGDYTESYEESRGHRNDSSSGRERDNDKYRRKEGYKSGLKEIDGQKPAKERMKHDEWETNMEKDRYGGVLKEQCEEKSIFVGKNQESPAKKLKLFSSSKGNEYDKDADEKRSSLEQAEETDGRVTMGQAHGNDVDITNDINSAKVAAMKAAELVNRNLIGAGHSNMTTEQKKKLLWGSKKSTPAEESGHRWDTALFGDRERQEKFNKLMSLRLRWYLWPIVGCERGGEGGA >EOY08851 pep chromosome:Theobroma_cacao_20110822:5:22139624:22149873:-1 gene:TCM_024098 transcript:EOY08851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDSNLQTSPPDGSDAKAAFRKFSNDASNRQYRRHSPISRSSSSEGNSPQRDRSVSPILSRDDLAKGADTQPGRDGRELDRDSSRNKYSRNSDSYRYSDRQSSRSSHGYSRHDNYVRHDKFADEGSKYDRLSSRSGRESRFSTHSDHPRQESDISRSKDYSRNADKYSRDRYDGSGHRIRDKEKESQSLEHQKYKDKDSALDRAGSGRRQGSSFSEEMDRDRRRRGRDSRGEKGDYHRSSGDRKGDYTESYEESRGHRNDSSSGRERDNDKYRRKEGYKSGLKEIDGQKPAKERMKHDEWETNMEKDRYGGVLKEQCEEKSIFVGKNQESPAKKLKLFSSSKGNEYDKDADEKRSSLEQAEETDGRVTMGQAHGNDVDITNDINSAKVAAMKAAELVNRNLIGAGHSNMTTEQKKKLLWGSKKSTPAEESGHRWDTALFGDRERQEKFNKLMGVKGEVKVEQKPENQDGSGLLQAEKQREVQQDLEKQYTAGLRRRDGRTVGLGL >EOY08854 pep chromosome:Theobroma_cacao_20110822:5:22140399:22149908:-1 gene:TCM_024098 transcript:EOY08854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDSNLQTSPPDGSDAKAAFRKFSNDASNRQYRRHSPISRSSSSEGNSPQRDRSVSPILSRDDLAKGADTQPGRDGRELDRDSSRNKYSRNSDSYRYSDRQSSRSSHGYSRHDNYVRHDKFADEGSKYDRLSSRSGRESRFSTHSDHPRQESDISRSKDYSRNADKYSRDRYDGSGHRIRDKEKESQSLEHQKYKDKDSALDRAGSGRRQGSSFSEEMDRDRRRRGRDSRGEKGDYHRSSGDRKGDYTESYEESRGHRNDSSSGRERDNDKYRRKEGYKSGLKEIDGQKPAKERMKHDEWETNMEKDRYGGVLKEQCEEKSIFVGKNQESPAKKLKLFSSSKGNEYDKDADEKRSSLEQAEETDGRVTMGQAHGNDVDITNDINSAKVAAMKAAELVNRNLIGAGHSNMTTEQKKKLLWGSKKSTPAEESGHRWDTALFGDRERQEKFNKLMVALVPMANCRV >EOY08855 pep chromosome:Theobroma_cacao_20110822:5:22139624:22149765:-1 gene:TCM_024098 transcript:EOY08855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDSNLQTSPPDGSDAKAAFRKFSNDASNRQYRRHSPISRSSSSEGNSPQRDRSVSPILSRDDLAKGADTQPGRDGRELDRDSSRNKYSRNSDSYRYSDRQSSRSSHGYSRHDNYVRHDKFADEGSKYDRLSSRSGRESRFSTHSDHPRQESDISRSKDYSRNADKYSRDRYDGSGHRIRDKEKESQSLEHQKYKDKDSALDRAGSGRRQGSSFSEEMDRDRRRRGRDSRGEKGDYHRSSGDRKGDYTESYEESRGHRNDSSSGRERDNDKYRRKEGYKSGLKEIDGQKPAKERMKHDEWETNMEKDRYGGVLKEQCEEKSIFVGKNQESPAKKLKLFSSSKGNEYDKDADEKRSSLEQAEETDGRVTMGQAHGNDVDITNDINSAKVAAMKAAELVNRNLIGAGHSNMTTEQKKKLLWGSKKSTPAEESGHRWDTALFGDRERQEKFNKLMGVKGEVKVEQKPENQDGSGLLQAEKQREVQQDLEKQYTAGLRRRDGRTVGLGL >EOY08853 pep chromosome:Theobroma_cacao_20110822:5:22140421:22148477:-1 gene:TCM_024098 transcript:EOY08853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDSNLQTSPPDGSDAKAAFRKFSNDASNRQYRRHSPISRSSSSEGNSPQRDRSVSPILSRDDLAKGADTQPGRDGRELDRDSSRNKYSRNSDSYRYSDRQSSRSSHGYSRHDNYVRHDKFADEGSKYDRLSSRSGRESRFSTHSDHPRQESDISRSKDYSRNADKYSRDRYDGSGHRIRDKEKESQSLEHQKYKDKDSALDRAGSGRRQGSSFSEEMDRDRRRRGRDSRGEKGDYHRSSGDRKGDYTESYEESRGHRNDSSSGRERDNDKYRRKEGYKSGLKEIDGQKPAKERMKHDEWETNMEKDRYGGVLKEQCEEKSIFVGKNQESPAKKLKLFSSSKGNEYDKDADEKRSSLEQAEETDGRVTMGQAHGNDVDITNDINSAKVAAMKAAELVNRNLIGAGHSNMTTEQKKKLLWGSKKSTPAEESGHRWDTALFGDRERQEKFNKLMSEVALVPMANCRV >EOY11207 pep chromosome:Theobroma_cacao_20110822:5:38350117:38356364:-1 gene:TCM_026455 transcript:EOY11207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 2 MFLNQLSLHGTSTYSFFYHFPSLKLKPHQISFNHSQNFQKYFNRKWSRLRLACFNTNAISNSFDELSIEENEGNSKEVSFLYWMENRGVKANQQTFLWLLEGCLNSGSIEQGKKLHGKILKMGFSKEHVLSEKLMDLHIASGDLDAAINVFDDMPKRNVFSWNKMISGFISKKLTNKVLRFYSRMVVENVNPNERTFAGILKACSGSNVWFEYVEQIHARIIRHGFGFSSFVCNPLIDLYTKNGFIDSAIKVFDKLYVKDSVSWVAMISGLSQNGYEEQAILLFSEMHISGICPTPYVFSSVLSACTKIEFFKLGEQLHSLVFKQGFSSETYVCNALVTLYSRSGSLVSAEQIFSNMQLRDGVTYNSLISGLAQCGYSDRALELFEKMHHDCLKPDCVTVASLLGACASLGALYTGKQLHSYAIKAGFSMDIIVEGSLLDLYLKCSDIETAYEFFSTTETENVVLWNVMLVAYGQLDNLSESFHIFRQMQIEGLVPNQFTYPSILRTCTSLGALDLGEQIHSQVIKTGFQYNVYVCSVLIDMYAKLGKLETALEILRKLPEEDVVSWTAMIAGYTQHDMFYEALELFGEMLNRGIQSDNIGLSSAISACAGIQALSQGQQIHAQSFLSGFSDDLSIGNALVSLYARCSQRQDAYKAFKKIDNKDNISWNALISGFTQSGFCEEALQVFSQMNKAGLEATLYTCISSVSAAANTANIKQGKQIHAMIIKKGYDLEIEASNVLITLYAKCGSIDDAKKEFLEIPEKNEVSWNAMITGYSQHGYGIEAIDLFEKMKQVGVTPNPVTLVGVLSACSHVGLVDEGLDYFDSMSKEHGLVPKPEHYACVVDLLGRAGLLCRARKFVEDMPIEPDAIIWRTLLSACAVHKNVDIGEFAAHHLLKLEPQDSASYVLLSNLYAVSKKWDSRDQTRQMMKERGVKKEPAQSWIEVKNSIHAFFVGDRLHPLAEKIYEHLEDLNKRAAEIGYVQDRYSRFSDVEQGQKDPTVHIHSEKLAIAFGLLSLPSAIPVRVIKNLRVCNDCHNWIKFVSKISNQLIIVRDAYRFHHFEVTIHCGENIKSTFDELILFEPHFPVSATGLKFEKVQDATTSISHCRCLSRKPEAICSDVRQEETAMEESSSSLSLKDRVAIVTGGSRGIGRAIAIHLHSLGARVALNYASNSTQADLLASELNASYTPEHPRAVAIKADVSDPEQVKLLFDRAEQEFGSKIHILVNCAGVMDQKYPTLANTTIEDWDTTFNINTKGSFLCCREAANRLTREGGGRIIMISTSLVGSLLPGYAAYVASKAAVEAMTKILAKELKGTRITANCVAPGPVATELFFAGKTEETIKRFADACPLGRLGEPKDIAGIVGFLSSDAGEWTNGQVIRVNGGVVV >EOY11208 pep chromosome:Theobroma_cacao_20110822:5:38352760:38356094:-1 gene:TCM_026455 transcript:EOY11208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 2 MFLNQLSLHGTSTYSFFYHFPSLKLKPHQISFNHSQNFQKYFNRKWSRLRLACFNTNAISNSFDELSIEENEGNSKEVSFLYWMENRGVKANQQTFLWLLEGCLNSGSIEQGKKLHGKILKMGFSKEHVLSEKLMDLHIASGDLDAAINVFDDMPKRNVFSWNKMISGFISKKLTNKVLRFYSRMVVENVNPNERTFAGILKACSGSNVWFEYVEQIHARIIRHGFGFSSFVCNPLIDLYTKNGFIDSAIKVFDKLYVKDSVSWVAMISGLSQNGYEEQAILLFSEMHISGICPTPYVFSSVLSACTKIEFFKLGEQLHSLVFKQGFSSETYVCNALVTLYSRSGSLVSAEQIFSNMQLRDGVTYNSLISGLAQCGYSDRALELFEKMHHDCLKPDCVTVASLLGACASLGALYTGKQLHSYAIKAGFSMDIIVEGSLLDLYLKCSDIETAYEFFSTTETENVVLWNVMLVAYGQLDNLSESFHIFRQMQIEGLVPNQFTYPSILRTCTSLGALDLGEQIHSQVIKTGFQYNVYVCSVLIDMYAKLGKLETALEILRKLPEEDVVSWTAMIAGYTQHDMFYEALELFGEMLNRGIQSDNIGLSSAISACAGIQALSQGQQIHAQSFLSGFSDDLSIGNALVSLYARCSQRQDAYKAFKKIDNKDNISWNALISGFTQSGFCEEALQVFSQMNKAGLEATLYTCISSVSAAANTANIKQGKQIHAMIIKKGYDLEIEASNVLITLYAKCGSIDDAKKEFLEIPEKNEVSWNAMITGYSQHGYGIEAIDLFEKMKQVGVTPNPVTLVGVLSACSHVGLVDEGLDYFDSMSKEHGLVPKPEHYACVVDLLGRAGLLCRARKFVEDMPIEPDAIIWRTLLSACAVHKNVDIGEFAAHHLLKLEPQDSASYVLLSNLYAVSKKWDSRDQTRQMMKERGVKKEPAQSWIEVKNSIHAFFVGDRLHPLAEKIYEHLEDLNKRAAEIGYVQDRYSRFSDVEQGQKDPTVHIHSEKLAIAFGLLSLPSAIPVRVIKNLRVCNDCHNWIKFVSKISNQLIIVRDAYRFHHFEGGSCSCRDYW >EOY10257 pep chromosome:Theobroma_cacao_20110822:5:33805197:33808021:-1 gene:TCM_025633 transcript:EOY10257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter, putative isoform 2 MVSAEDGNGRRPAKGKANRVRSLLGVGYWVQGFRCFPWMAVNFFLKDSVKVDPSTLQILQNSANLPMVAKPLYGVVSDAVYISGQHRVPYIAIGAFLQAVSWLAITILSQSNISMLTMSLYLLLSNLGASIAEVANDAIVAETGKTQTSSKKSQSASSGELQSFIWMASSVGGVLGNLLGGIAIDRFSPQSMFLFFGLLLAFQFFITVSVPEHSLNLPKSPSNVGIKKQLSELLVALQKPEIAYSITWFAASYAIIPALTGTMFFYQTQYLKIDASLLGISKVFGQAVMLLWGVIYNRRFKSVRPRKLIEAIQLTMAVFMISDVLFVKGIYQQMGVPDSVYVVVFSGVLEVLFFFKILPFSVLIAQLCPPGCEGSLMAFVMSAVALAFIVSGYLGVALASYVGVTENDFSGFPLGLLIQAVCTFLPLFWSSCIPDDVKSKTRTE >EOY10258 pep chromosome:Theobroma_cacao_20110822:5:33805185:33809437:-1 gene:TCM_025633 transcript:EOY10258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter, putative isoform 2 MAVNFFLKDSVKVDPSTLQILQNSANLPMVAKPLYGVVSDAVYISGQHRVPYIAIGAFLQAVSWLAITILSQSNISMLTMSLYLLLSNLGASIAEVANDAIVAETGKTQTSSKKSQSASSGELQSFIWMASSVGGVLGNLLGGIAIDRFSPQSMFLFFGLLLAFQFFITVSVPEHSLNLPKSPSNVGIKKQLSELLVALQKPEIAYSITWFAASYAIIPALTGTMFFYQTQYLKIDASLLGISKVFGQAVMLLWGVIYNRRFKSVRPRKLIEAIQLTMAVFMISDVLFVKGIYQQMGVPDSVYVVVFSGVLEVLFFFKILPFSVLIAQLCPPGCEGSLMAFVMSAVALAFIVSGYLGVALASYVGVTENDFSGFPLGLLIQAVCTFLPLFWSSCIPDDVKSKTRTE >EOY08171 pep chromosome:Theobroma_cacao_20110822:5:5172409:5177855:-1 gene:TCM_022501 transcript:EOY08171 gene_biotype:protein_coding transcript_biotype:protein_coding description:KCBP-interacting protein kinase, putative isoform 1 MGSYSGTCEIVEAGEELKPVQRSRGAYRPHSGLGVGDKDRKPPVLKLGFKDSLENDINQLFESINLKGPKGLSVSYQGGASSSSLKKNALKKPITVGMPHSPRIGGSEPLSLKQALRDLCISKASEMAAMKRLSMSVSSPGISEAGRIKSLFNSVVVESASGSGLSRDEDKGSMVEISLVPEESKSTSSRMMAVPHQAPKIKSLSQSVNSSPRFARVTTQISTETSTSTQIDVSTSRKVGSRAPKAELHLKEKHTSASSPSCSYADDNMLEPDNTLPASSKFPKRASTPKSGRKGRLHAAPSSSSINGNRVSRMTRNAPRVAKTVVRNKSSVKKKIKQDFPSAACASNTFNQVNSSLESTTSQLVCQRCQCSLKSVTNQDSVKPQSAGTSAEVSSSHVNSDVHKPTLVENNANRGRAVIPRAKKSPKSREKGEFSQSSSSLGDSSSTSISDDSNLSGSSCGNRPHMSKDVRWEAIRHIRMQDGVLELRHFNLLKKLGCGDIGTVYLAELIGANCLFAIKVMDNEFLSRRKKMPRAQTEREILRMLDHPFLPTLYTQFTSDNLSCLVMEYCPGGDLHVLRQRQSGRCFPEPAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCTVSPTLLKSSSNLDPARISGPCTSSSCVQPFCIEPSCEVPCFSPRFFPAAAKTKRQAKADLAAQIRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGIFLYELLYGRTPFKGAGNEETLANVVLQSLKFPDSPLVSFQARDLIRGLLVKEPENRLGTEKGAAEIKQHPFFEGLNWALIRCAVPPELPELYEFGVPSVMSPETESNYLECKATGEHLEFELF >EOY08170 pep chromosome:Theobroma_cacao_20110822:5:5173229:5176544:-1 gene:TCM_022501 transcript:EOY08170 gene_biotype:protein_coding transcript_biotype:protein_coding description:KCBP-interacting protein kinase, putative isoform 1 MGSYSGTCEIVEAGEELKPVQRSRGAYRPHSGLGVGDKDRKPPVLKLGFKDSLENDINQLFESINLKGPKGLSVSYQGGASSSSLKKNALKKPITVGMPHSPRIGGSEPLSLKQALRDLCISKASEMAAMKRLSMSVSSPGISEAGRIKSLFNSVVVESASGSGLSRDEDKGSMVEISLVPEESKSTSSRMMAVPHQAPKIKSLSQSVNSSPRFARVTTQISTETSTSTQIDVSTSRKVGSRAPKAELHLKEKHTSASSPSCSYADDNMLEPDNTLPASSKFPKRASTPKSGRKGRLHAAPSSSSINGNRVSRMTRNAPRVAKTVVRNKSSVKKKIKQDFPSAACASNTFNQVNSSLESTTSQLVCQRCQCSLKSVTNQDSVKPQSAGTSAEVSSSHVNSDVHKPTLVENNANRGRAVIPRAKKSPKSREKGEFSQSSSSLGDSSSTSISDDSNLSGSSCGNRPHMSKDVRWEAIRHIRMQDGVLELRHFNLLKKLGCGDIGTVYLAELIGANCLFAIKVMDNEFLSRRKKMPRAQTEREILRMLDHPFLPTLYTQFTSDNLSCLVMEYCPGGDLHVLRQRQSGRCFPEPAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCTVSPTLLKSSSNLDPARISGPCTSSSCVQPFCIEPSCEVPCFSPRFFPAAAKTKRQAKADLAAQIRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGIFLYELLYGRTPFKGAGNEETLANVVLQSLKFPDSPLVSFQARDLIRGLLVKEPENRLGTEKGAAEIKQHPFFEGLNWALIRCAVPPELPELYEFGVPSVMSPETESNYLECKATGEHLEFELF >EOY10930 pep chromosome:Theobroma_cacao_20110822:5:37037392:37043639:-1 gene:TCM_026195 transcript:EOY10930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle 5 isoform 1 MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACARDENYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNSEIPFEKRPPPGFYDVADEDRLVEQPKFPTTIEELEGKRRVDIESQLRKQDIAKNKIAQRQDAPSAILQANKLNDPETVRKRSKLMLPAPQISDHELEEIAKMGYASDLLAGNDELAEGSGATRALLANYSQTPRQGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGENPELHPSDFSGVTPKKRENQTPNPMSTPSMTPGGAGLTPRIGMTPSRDGYSFGVTPKGTPIRDELHINEDMDLNDSAKLEQRRQPDLRRNLRSGLGSLPQPKNEYQIVIQPLPEENEEPEEKIEEDMSDRIARERAEEEARLQALLKKRSKVLQRELPRPPSASLELIRDSLLRTDGDKSSFVPPTSIEQADEMIRKELLSLLEHDNAKYPLDEKANKGKKKGTKRPANGSIPSIEDFEEDEMKEADSLIKEEAEFLRVAMGHENESLDDFVEAHNTCLNDLMYFPTRNAYGLSSVAGNMEKLAALQTEFDNVKKKLDNDKSKAESMEKKFNVLTQGYERRAATLWRQIESTFKQMDTAGTELECFQALQKQEQFAASHRINGLWEEVQKQKELEQTLQRRYGNLIAELERIQILMNIYRVQAQKQEEAAGKDHALELSEAAVAANPAVVPSTVLSEPVPSSEHVDSSLDEQSSLKADMNVDSRKEHAIMDVETDGIMSGNVPLVVEDKEDNISKTLDGMTGNIVTSSEVAAESINPDAVSTKQDSIQETLEGEGVADHTKVDNSSVLGGDTAEKQTGMEE >EOY10931 pep chromosome:Theobroma_cacao_20110822:5:37037800:37043648:-1 gene:TCM_026195 transcript:EOY10931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle 5 isoform 1 MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACARDENYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNSEIPFEKRPPPGFYDVADEDRLVEQPKFPTTIEELEGKRRVDIESQLRKQDIAKNKIAQRQDAPSAILQANKLNDPETVRKRSKLMLPAPQISDHELEEIAKMGYASDLLAGNDELAEGSGATRALLANYSQTPRQGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGENPELHPSDFSGVTPKKRENQTPNPMSTPSMTPGGAGLTPRIGMTPSRDGYSFGVTPKGTPIRDELHINEDMDLNDSAKLEQRRQPDLRRNLRSGLGSLPQPKNEYQIVIQPLPEENEEPEEKIEEDMSDRIARERAEEEARLQALLKKRSKVLQRELPRPPSASLELIRDSLLRTDGDKSSFVPPTSIEQADEMIRKELLSLLEHDNAKYPLDEKANKGKKKGTKRPANGSIPSIEDFEEDEMKEADSLIKEEAEFLRVAMGHENESLDDFVEAHNTCLNDLMYFPTRNAYGLSSVAGNMEKLAALQTEFDNVKKKLDNDKSKAESMEKKFNVLTQGYEVSLWNLFEISIRFVLNN >EOY10929 pep chromosome:Theobroma_cacao_20110822:5:37037738:37043643:-1 gene:TCM_026195 transcript:EOY10929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle 5 isoform 1 MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACARDENYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNSEIPFEKRPPPGFYDVADEDRLVEQPKFPTTIEELEGKRRVDIESQLRKQDIAKNKIAQRQDAPSAILQANKLNDPETVRKRSKLMLPAPQISDHELEEIAKMGYASDLLAGNDELAEGSGATRALLANYSQTPRQGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGENPELHPSDFSGVTPKKRENQTPNPMSTPSMTPGGAGLTPRIGMTPSRDGYSFGVTPKGTPIRDELHINEDMDLNDSAKLEQRRQPDLRRNLRSGLGSLPQPKNEYQIVIQPLPEENEEPEEKIEEDMSDRIARERAEEEARLQALLKKRSKVLQRELPRPPSASLELIRDSLLRTDGDKSSFVPPTSIEQADEMIRKELLSLLEHDNAKYPLDEKANKGKKKGTKRPANGSIPSIEDFEEDEMKEADSLIKEEAEFLRVAMGHENESLDDFVEAHNTCLNDLMYFPTRNAYGLSSVAGNMEKLAALQTEFDNVKKKLDNDKSKAESMEKKFNVLTQGYERRAATLWRQIESTFKQMDTAGTELECFQALQKQEQFAASHRINGLWEEVQKQKELEQTLQRRYGNLIAELERIQILMNIYRVQAQKQEEAAGKDHALELSEAAVAANPAVVPSTVLSEPVPSSEHVDSSLDEQSSLKADMNVDSRKEHAIMDVETDGIMSGNVPLVVEDKEDNISKTLDGMTGNIVTSSEVAAESINPDAVSTKQDSIQETLEGEGVADHTKVDNSSVLGGDTAEKQTGMEE >EOY10832 pep chromosome:Theobroma_cacao_20110822:5:36727509:36731921:-1 gene:TCM_026135 transcript:EOY10832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant glycogenin-like starch initiation protein 6 isoform 1 MKFPKPSILILVVLITIQSKAVLGTKVQSSSTNAYVTLLYGDEFLLGVRVLGKSIRDTGSTKDMVVLVSDGVSDYAKRLLKADGWIVEKISLLANPNQVRPKRFWGVYTKLKIFNMTNYKKVVYLDADTIVVKSIEDLFKCEKFCANLKHSERLNSGVMVVEPSEAVFNDMMGKVNTLPSYTGGDQGFLNSYYSDFPNAHVFDPNIPLEGLKSRPVPKMERLSTLYNADVGLYMLANKWMVDESELRVIHYTLGPLKPWDWWTSWLLKPVDVWQNVREQLEESLPGTGGGKNPNEELLVKFLFLIPFCALLFYYYRSFVQGGGLLCRTSLVNQIRHFYYIIRSSGTVAYTGVSSSPTINSNHQFPVPAYLGGISVFVCFVAAVVSLGIALSIVPRQVMPWTGLLLMYEWTFTIFFLLFGAFLHLTHQWGRRTATQLGSFSSRTDSFDFDSTKGHQRQASSCDIVTWYYGLGMAFLAIAAPSLPCIFGITALFVRLGLMVVGGLILASFMTYASEHLAIRSFLKGLEDRDTTRSMSA >EOY10833 pep chromosome:Theobroma_cacao_20110822:5:36727541:36731721:-1 gene:TCM_026135 transcript:EOY10833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant glycogenin-like starch initiation protein 6 isoform 1 MKFPKPSILILVVLITIQSKAVLGTKVQSSSTNAYVTLLYGDEFLLGVRVLGKSIRDTGSTKDMVVLVSDGVSDYAKRLLKADGWIVEKISLLANPNQVRPKRFWGVYTKLKIFNMTNYKKVVYLDADTIVVKSIEDLFKCEKFCANLKHSERLNSGVMVVEPSEAVFNDMMGKVNTLPSYTGGDQGFLNSYYSDFPNAHVFDPNIPLEGLKSRPVPKMERLSTLYNADVGLYMLANKWMVDESELRVIHYTLGPLKPWDWWTSWLLKPVDVWQNVREQLEESLPGTGGGKNPNEELLVKFLFLIPFCALLFYYYRSFVQGGGLLCRTSLVNQIRHFYYIIRSSGTVAYTGVSSSPTINSNHQFPVPAYLGGISVFVCFVAAVVSLGIALSIVPRQVMPWTGLLLMYEWTFTIFFLLFGAFLHLTHQWGRRTATQLGSFSSRHQRQASSCDIVTWYYGLGMAFLAIAAPSLPCIFGITALFVRLGLMVVGGLILASFMTYASEHLAIRSFLKGLEDRDTTRSMSA >EOY11131 pep chromosome:Theobroma_cacao_20110822:5:38073160:38074740:-1 gene:TCM_026399 transcript:EOY11131 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein (DRT102) MADPAAATATATATAPRPLKIIAGADSFGAELKDAMVSHLQSLSIEVEDLGTTSYYNVGAEVGRRVSSCTTASPDVETRGLLACGTGVGVGIFANKFPGVFATTCLTSDEARNNRSINNCNVLALSGMSTSKDTAKEIVDTWLNTPFKSPCPASGSQPWPEDISKFFDESMTEMPKIGTSEKPQAESCAICCLVKNRELNPIDIIPGGSMKILRESPTSAIVRFKAGSVEPAHHHTFGHCLVVMEGKKSVWNLTKEERYDLSVGDYLFTPAGDVHRVKYYEDTQFFIKWDGKWDMFFDEDLDTAKLAIEKELAKGYA >EOY08068 pep chromosome:Theobroma_cacao_20110822:5:4228955:4231098:1 gene:TCM_022383 transcript:EOY08068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryonic DC-8-like protein MATRLEIEQRAEAAARQAADDLRDVNRGRVYDERVVYKEELDQSPQQQQQRPGVIGTVLRAVQGTHEHAKAAVVGKSHEAEDFTREGADLAAENTRETKDEAAERAKEYKDNTTVKEKETTDEAARKRGEYKNYAAEKAKEEAEAKDTTKEKTCEYKEYLEQKSREASEQASQASEKAEELAQRAKDTARGKAAEYKDYAAEKAKESAQKANEKAKETKDSAAQKAKETKDSAAQKAKETKDTVAGKTAEYTGYAAQTAKEATDTTTGKAAEYKDYAAEKAKEAKGTTAQMASEYTGYAAQKAREAKDTAMGKASEYKDYAAEKAKEAKDATSKKAKEAAEKAKQGSEYAAEKAKDGKDTTAEKMNEYKDYTAEKAKESKDTTVVSLGS >EOY07613 pep chromosome:Theobroma_cacao_20110822:5:2168565:2171449:-1 gene:TCM_022001 transcript:EOY07613 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein, putative MFISLDIAHCLRPFLAAKGGALFDSLFINSFHFASKPKKSFSRKIRLTFVDMKGGRESEESVANCLMLLSHGLETKRTLDHSVEDVFECKTCHRRFPSFQALGGHRASHKRPRLMGESQKADGSKFLSLAITKPKKHECAICGKKFDLGQALGGHMRKHRASIYESFSPFPVVDPDLPVLSRSNSKRIMRLDLNLTPLENDLKALFGKMAPKIDNLRL >EOY11132 pep chromosome:Theobroma_cacao_20110822:5:38076469:38077966:-1 gene:TCM_026400 transcript:EOY11132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate/thiosulfate import ATP-binding protein cysA MIPACFSHPNTLSSSSQLPQNLITCIYQTQLCNSPTYLTLTWSKTLFSHSLTIYAADSFSITISLYPSTFSFFRNRPGSKSIYLTHHHYQRIKLYWDFTRADFAENSAEPESCFYIAISCNARLEFFLGDLQEELTRRSGLVIARQVLPEPTLLSRREHVFGRRSYISRAKFLGSKHEIGIECSGGVLKVKVDGETSLVIKRLAWKFRGNERIYVNGIEVEFFWDVFNWVSSDNNSNTNGHGVFIFQVGDGGVWPEMIGPEKRLMRKSLSSAAGSAPKMPSTTLSPSPSCSSVLQWAEESSDGGRSSCSSSTRSYGSNGGFSLLLYAWNKD >EOY10077 pep chromosome:Theobroma_cacao_20110822:5:32876671:32882848:-1 gene:TCM_025459 transcript:EOY10077 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:CoA ligase 3 MITIADPVEPQKPELSPSLSPPATKPSSETTGDHIFRSKLPDIPISNHLPLHTYCFENLSSFPDKPCLISGSSGKTYSFSETHLIAQKTAAGLSNLGIRKGDVIMILLQNCAEFVFSFMGASMIGAVSTTANPFYTSTEIFKQYKVAQAKLIVTQSQYVDKLKDTSNNENFPKMGEDFKVITIDDPPENCLHFTVLSEANENEIPQVSIDPDDPVALPFSSGTTGLPKGVVLTHKSLITSVAQQVDGENPNLYLKHDDVVLCVLPLFHIYSLNSVLLCALRAGAAVLLMQKFEIGALLELIQKHKVSVAAVVPPLVLALAKNPMVAQYDLSSIRVVLSGAAPLGKELEEALRGRVPQAVLGQGYGMTEAGPVLSMCLGFAKQPFPTKSGSCGTVVRNAELKVIDPETGCSLGYNQPGEICIRGSQIMKGYLNDTSATATTIDVEGWLHTGDIGYVDDGDEIFIVDRVKEIIKFKGFQVPPAELESLLVSHPSIADAAVVPQKDEVAGEVPVAFVVRSNGFELTEEAVKEFIAKQVVFYKKLHKVHFVHAIPKSPSGKILRKDLRAKLAAATTTLP >EOY10433 pep chromosome:Theobroma_cacao_20110822:5:34748244:34754666:-1 gene:TCM_025797 transcript:EOY10433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase 26 isoform 1 MALSSSLLHLPPSKPFVFPAQRHRFPPFRASFNFSFSNKTKFNIQNRTFAATRRASSLVAEEEQEEQEQEEEFEAVNIAEDVTQLIGNTPMIYLNKVTEGCVANIAAKLESMEPCRSVKDRIGLSMVSEAEDSGAISPGKTILVEPTSGNTGLGIAFVAATKGYKLIVTMPASINLERRILLRAFGAEIVLTDPEKGLKGAVDKAAEIVLNTPNAFMFQQFDNMANTKVHFETTGPEIWEDTLGNVDIFVAGIGTGGTITGTGRYLKMMNKEIKVVGVEPAERSIISGENPGYLPSILDVKLLDEVIKVTNDEAVDMARRLALEEGLLVGISSGAAAAAAISLAKRPENAGKLIVVIFPSFGERYISTVLFRSIHEEVQQMQAR >EOY10434 pep chromosome:Theobroma_cacao_20110822:5:34748244:34753497:-1 gene:TCM_025797 transcript:EOY10434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase 26 isoform 1 MIYLNKVTEGCVANIAAKLESMEPCRSVKDRIGLSMVSEAEDSGAISPGKTILVEPTSGNTGLGIAFVAATKGYKLIVTMPASINLERRILLRAFGAEIVLTDPEKGLKGAVDKAAEIVLNTPNAFMFQQFDNMANTKVHFETTGPEIWEDTLGNVDIFVAGIGTGGTITGTGRYLKMMNKEIKVVGVEPAERSIISGENPGYLPSILDVKLLDEVIKVTNDEAVDMARRLALEEGLLVGISSGAAAAAAISLAKRPENAGKLIVVIFPSFGERYISTVLFRSIHEEVQQMQAR >EOY10432 pep chromosome:Theobroma_cacao_20110822:5:34748321:34754659:-1 gene:TCM_025797 transcript:EOY10432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase 26 isoform 1 MIYLNKVTEGCVANIAAKLESMEPCRSVKDRIGLSMVSEAEDSGAISPGKTILVEPTSGNTGLGIAFVAATKGYKLIVTMPASINLERRILLRAFGAEIVLTDPEKGLKGAVDKAAEIVLNTPNAFMFQQFDNMANTKVHFETTGPEIWEDTLGNVDIFVAGIGTGGTITGTGRYLKMMNKEIKVVGVEPAERSIISGENPGYLPSILDVKLLDEVIKVTNDEAVDMARRLALEEGLLVGISSGAAAAAAISLAKRPENAGKLIVVIFPSFGERYISTVLFRSIHEEVQQMQAR >EOY10431 pep chromosome:Theobroma_cacao_20110822:5:34747948:34754796:-1 gene:TCM_025797 transcript:EOY10431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase 26 isoform 1 MALSSSLLHLPPSKPFVFPAQRHRFPPFRASFNFSFSNKTKFNIQNRTFAATRRASSLVAEEEQEEQEQEEEFEAVNIAEDVTQLIGNTPMIYLNKVTEGCVANIAAKLESMEPCRSVKDRIGLSMVSEAEDSGAISPGKTILVEPTSGNTGLGIAFVAATKGYKLIVTMPASINLERRILLRAFGAEIVLTDPEKGLKGAVDKAAEIVLNTPNAFMFQQFDNMANTKVHFETTGPEIWEDTLGNVDIFVAGIGTGGTITGTGRYLKMMNKEIKVVGVEPAERSIISGENPGYLPSILDVKLLDEVIKVTNDEAVDMARRLALEEGLLVGISSGAAAAAAISLAKRPENAGKLIVVIFPSFGERYISTVLFRSIHEEVQQMQAR >EOY08964 pep chromosome:Theobroma_cacao_20110822:5:24002840:24004210:1 gene:TCM_024263 transcript:EOY08964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDESLVVTTLLEESYVAEYVFRSCVVQIKDRDTWVDLVLMITLGFDVILGMDWLASCYVNVDYYRKLVKFKFLGEPSFVIYGHSSHLVDSVMATITKEVHSEEGNLTATPVVNEFEDVFPEELLGLPLQREIKFCIDLILET >EOY10317 pep chromosome:Theobroma_cacao_20110822:5:34133233:34148176:-1 gene:TCM_025689 transcript:EOY10317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLALVQSEAVLKRTWTDNVMSSSEHSVHGVNNNGVQAQSSDFLNRHGSETYLAPSKLKDRSFDFPDLEAKGLHLRASAQKEEIQHLREQIAVACVKELQLQNEKCALERKFSDLRMAIDEKQNEAITSASNELARRKGDLEENLKLAHDLKVAEDERYIFMSSMLGLLAEYGILPPVVNASAITSSVKHLHDQLQWKIRTSHDRIRELTGIVGTHTGGRSHENDRPISGILNNQIPHRATASHGFSSNNHYTDEQHLMPPDNMLRYMPDNDHTAKNLMFNDPGQQQLSNGNSQEFFFSSDRGGAGRNPDSAFDRGAVRTGAEDVTNNVFSHHDEMDSYGSEEGPGIEGFQIIGDATPGEKLLGCGYPVRGTTLCMFQWVRHLQDGTRQYIEGATNPEYVVTADDVDKLIAVECIPMDDQGHQGELVRLFANDQNKIKCDPDMQNEIDKYISRGQAAFSVLLLLKSKGGLCTLLTNSSDGFF >EOY10318 pep chromosome:Theobroma_cacao_20110822:5:34136356:34148176:-1 gene:TCM_025689 transcript:EOY10318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLALVQSEAVLKRTWTDNVMSSSEHSVHGVNNNGVQAQSSDFLNRHGSETYLAPSKLKDRSFDFPDLEAKGLHLRASAQKEEIQHLREQIAVACVKELQLQNEKCALERKFSDLRMAIDEKQNEAITSASNELARRKGDLEENLKLAHDLKVAEDERYIFMSSMLGLLAEYGILPPVVNASAITSSVKHLHDQLQWKIRTSHDRIRELTGIVGTHTGGRSHENDRPISGILNNQIPHRATASHGFSSNNHYTDEQHLMPPDNMLRYMPDNDHTAKNLMFNDPGQQQLSNGNSQEFFFSSDRGGAGRNPDSAFDRGAVRTGAEDVTNNVFSHHDEMDSYGSEEGPGIEGFQIIGDATPGEKLLGCGYPVRGTTLCMFQWVRHLQDGTRQYIEGATNPEYVVTADDVDKLIAVECIPMDDQGHQTQTCKMRLTSTFPEAKQHLVFCY >EOY10316 pep chromosome:Theobroma_cacao_20110822:5:34131349:34148091:-1 gene:TCM_025689 transcript:EOY10316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLALVQSEAVLKRTWTDNVMSSSEHSVHGVNNNGVQAQSSDFLNRHGSETYLAPSKLKDRSFDFPDLEAKGLHLRASAQKEEIQHLREQIAVACVKELQLQNEKCALERKFSDLRMAIDEKQNEAITSASNELARRKGDLEENLKLAHDLKVAEDERYIFMSSMLGLLAEYGILPPVVNASAITSSVKHLHDQLQWKIRTSHDRIRELTGIVGTHTGGRSHENDRPISGILNNQIPHRATASHGFSSNNHYTDEQHLMPPDNMLRYMPDNDHTAKNLMFNDPGQQQLSNGNSQEFFFSSDRGGAGRNPDSAFDRGAVRTGAEDVTNNVFSHHDEMDSYGSEEGPGIEGFQIIGDATPGEKLLGCGYPVRGTTLCMFQWVRHLQDGTRQYIEGATNPEYVVTADDVDKLIAVECIPMDDQGHQGELVRLFANDQNKIKCDPDMQNEIDKYISRGQAAFSVLLLMDSSEKWEPATLTLKRSSYQIKINSTEAVEISEKYSKELSIKVPSGLSTQFVVTCFDGSSRPFSTYNVRMRDTLVLTMRLFQSKNLDDKRKGRA >EOY10315 pep chromosome:Theobroma_cacao_20110822:5:34130778:34148209:-1 gene:TCM_025689 transcript:EOY10315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEHENKMSEHSVHGVNNNGVQAQSSDFLNRHGSETYLAPSKLKDRSFDFPDLEAKGLHLRASAQKEEIQHLREQIAVACVKELQLQNEKCALERKFSDLRMAIDEKQNEAITSASNELARRKGDLEENLKLAHDLKVAEDERYIFMSSMLGLLAEYGILPPVVNASAITSSVKHLHDQLQWKIRTSHDRIRELTGIVGTHTGGRSHENDRPISGILNNQIPHRATASHGFSSNNHYTDEQHLMPPDNMLRYMPDNDHTAKNLMFNDPGQQQLSNGNSQEFFFSSDRGGAGRNPDSAFDRGAVRTGAEDVTNNVFSHHDEMDSYGSEEGPGIEGFQIIGDATPGEKLLGCGYPVRGTTLCMFQWVRHLQDGTRQYIEGATNPEYVVTADDVDKLIAVECIPMDDQGHQGELVRLFANDQNKIKCDPDMQNEIDKYISRGQAAFSVLLLMDSSEKWEPATLTLKRSSYQIKINSTEAVEISEKYSKELSIKVPSGLSTQFVVTCFDGSSRPFSTYNVRMRDTLVLTMRLFQSKNLDDKRKGRA >EOY07481 pep chromosome:Theobroma_cacao_20110822:5:1715662:1718458:1 gene:TCM_021906 transcript:EOY07481 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein isoform 2 MKRGFSFSLPVTVVISAIAFIYFCTVFIFIDRWFGLMTSPGVMNAVVFTGVAVTCVLNYGFAISTDPGRVPSSFMPDIEDSEVPIHEIKRKGGDLRYCQKCSHFKPPRAHHCRVCKRCVLRMVLLVGSLTNDSQNDEQQSADSFRTAYVICGLLLVPLSVALSVLLGWHIYLILQNKTTIEYHEGVRAMWLAEKGGNVYKHPYDLGSYENLTTVITCNETAPLLFLR >EOY07479 pep chromosome:Theobroma_cacao_20110822:5:1715662:1718458:1 gene:TCM_021906 transcript:EOY07479 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein isoform 2 MKRGFSFSLPVTVVISAIAFIYFCTVFIFIDRWFGLMTSPGVMNAVVFTGVAVTCVLNYGFAISTDPGRVPSSFMPDIEDSEVPIHEIKRKGGDLRYCQKCSHFKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHANYKVFFVFVVYAVIACIYSLVLLVGSLTNDSQNDEQQSADSFRTAYVICGLLLVPLSVALSVLLGWHIYLILQNKTTIEYHEGVRAMWLAEKGGNVYKHPYDLGSYENLTTVITCNETAPLLFLR >EOY07482 pep chromosome:Theobroma_cacao_20110822:5:1715693:1719100:1 gene:TCM_021906 transcript:EOY07482 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein isoform 2 MKRGFSFSLPVTVVISAIAFIYFCTVFIFIDRWFGLMTSPGVMNAVVFTGVAVTCVLNYGFAISTDPGRVPSSFMPDIEDSEVPIHEIKRKVLLVGSLTNDSQNDEQQSADSFRTAYVICGLLLVPLSVALSVLLGWHIYLILQNKTTIEYHEGVRAMWLAEKGGNVYKHPYDLGSYENLTTVLGPSIFCWICPTSRHIGNGLRFRTAYDGKSAASISE >EOY07480 pep chromosome:Theobroma_cacao_20110822:5:1715600:1719358:1 gene:TCM_021906 transcript:EOY07480 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein isoform 2 MKRGFSFSLPVTVVISAIAFIYFCTVFIFIDRWFGLMTSPGVMNAVVFTGVAVTCVLNYGFAISTDPGRVPSSFMPDIEDSEVPIHEIKRKGGDLRYCQKCSHFKPPRAHHCRVCKRCVLRMVLLVGSLTNDSQNDEQQSADSFRTAYVICGLLLVPLSVALSVLLGWHIYLILQNKTTIEYHEGVRAMWLAEKGGNVYKHPYDLGSYENLTTVLGPSIFCWICPTSRHIGNGLRFRTAYDGKSAASISE >EOY07478 pep chromosome:Theobroma_cacao_20110822:5:1715693:1719100:1 gene:TCM_021906 transcript:EOY07478 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein isoform 2 MKRGFSFSLPVTVVISAIAFIYFCTVFIFIDRWFGLMTSPGVMNAVVFTGVAVTCVLNYGFAISTDPGRVPSSFMPDIEDSEVPIHEIKRKGGDLRYCQKCSHFKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHANYKVFFVFVVYAVIACIYSLVLLVGSLTNDSQNDEQQSADSFRTAYVICGLLLVPLSVALSVLLGWHIYLILQNKTTIEYHEGVRAMWLAEKGGNVYKHPYDLGSYENLTTVLGPSIFCWICPTSRHIGNGLRFRTAYDGKSAASISE >EOY10032 pep chromosome:Theobroma_cacao_20110822:5:32421638:32434952:-1 gene:TCM_025407 transcript:EOY10032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESSWPSSSCDGIYQVTQHMASTQQSEGDCLSKDHFSSLPDRVHLDLKQNDFTDLLNIWDKWGATTRANFDRKYGHIARLLKVQVDEQLLKAIVQFWDPSYRCFVFNKVDMVPMIEEYSALLQIDLDNPDKIYWRGQKTGHRRKLAKMMGITSTEVDQNLRKKGDNECIPWSFLRSYIMKQRDTEQGQLVMALAIYGLVIFPKVLGHIEGGIIDFFEQVVNKANPSPSILAETLRSLNYCRRKGEGRFVGCAQLLSIWIVSHFECKVDKFRKPFHLQTAPIREFCESEWPENRTKEQWISRFRELMSVEVTWRAPWMPHHPFVPMTHRLNTLEFAYGEPGFLKRIEEIAQAWKKTSRVDQGRYTDEVTTGYQIWHDQRVKDVVYPKGDALRGPVDPEPRDALLESELARKKSEAENASWKQRYEDLQKECEKMKREVSEQRKKVQKMERKYESLNDKFSATTSELQREIQEYEELEGVMTTYQQEYERLKQQSTRIQEWGESYRQAYTEKYNQMDYLVWQMREVAYKARSMAWKTDILRSQIFPVGKQEQQLINKGKRAIEEPAPSENPPAQDSGNQREDPPYPPGFTPPHAQTSQRVHPQVMPSIYYNAPPPLGHQPTQGQFGPYLGINPAEPINVPDLDDPKEQEKLRKDSSQTGENEKDQKNSGVDGNPLPNHGRPTVNAIHEGMIRRVKKGIDEIQTPMDKVFEALSKINAITPEPIDTKKVGHDLAYSCKFHMGAIGHSIQNCDGFRQATEEVPECSFRSFEFVNATYVGERKVIPTPRLSVATKMGVKQTVGKGCRAGLGLGKNLQGINRPLTPMKNEERDEEPDGKIPVVYPVLLGEELSNWTATELPIIFKSSKM >EOY11471 pep chromosome:Theobroma_cacao_20110822:5:39364176:39369601:-1 gene:TCM_026634 transcript:EOY11471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger isoform 3 MDHPRSGSGNGEDNVGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKRRAANSALRASLKKKRKLGGETEVYADKSDDFDVPLISRKVEDYPPPVSGKKYKEKVSKNQIQYSPETPPMRNFPARNSVKMEDDYQRDGSPFEENWRSYKIRSFSAADSSRNRSQRSYDDVAMPVGDSEESSEEVFVGKTCHQCRQNDRERVSWCLKCDKRGYCDSCISTWYSNIPLDEIEKACPACRGSCNCKACLRGDNMIKVRIREIPVLDKLQYHYSLLSSVLPVVKKIHQEQCSEVELEKKLHGTAIDLVRAKVNADEQMCCNFCRIPIIDYHRHCPNCSYDLCLCCCQDLRRASSGGVEDVGNETGERTLDKETAMGQVSELKLNFLDKFSGWKANSDGSIPCPPMEYGGCGHHSLNLNRIFKMNWVAKLVKNVEEMVSGCKVYDVESSEKTESNDPRLCQFSDREGSDDNLLYFPSSQDLKAEGIADFRKRWGNGEPVIVKEVCDVSSMSSWDPVSIWRGIQENVDEKIKDESRMVKAIDCLDWSEVDIELGQFIKGYMEGRYHENGWLEMLKLKDWPSPGASEEFLMYQRPEFISKLPLLEYIHSRLGLLNVAAKLPHYSLQNDVGPKIYISYGTYEELGRGDSVTNLHFKMRDMVYLLVHTCDVNAKGQKTKMEDMQNSNGESEVNESLGDPETRSDEKGLPDLSLDGTDMNDEYESTSAVHEDEKMDDQGAETTMVGEKSVDFEQLNGNRRDVLGKTHAGACWDVFHRQDVPKLIEYLRMHWMDSGKPESAISDTVICPLYDEVVYLNEHHKRKLREEFGKDN >EOY11467 pep chromosome:Theobroma_cacao_20110822:5:39362058:39369952:-1 gene:TCM_026634 transcript:EOY11467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger isoform 3 MDHPRSGSGNGEDNVGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKRRAANSALRASLKKKRKLGGETEVYADKSDDFDVPLISRKVEDYPPPVSGKKYKEKVSKNQIQYSPETPPMRNFPARNSVKMEDDYQRDGSPFEENWRSYKIRSFSAADSSRNRSQRSYDDVAMPVGDSEESSEEVFVGKTCHQCRQNDRERVSWCLKCDKRGYCDSCISTWYSNIPLDEIEKACPACRGSCNCKACLRGDNMIKVRIREIPVLDKLQYHYSLLSSVLPVVKKIHQEQCSEVELEKKLHGTAIDLVRAKVNADEQMCCNFCRIPIIDYHRHCPNCSYDLCLCCCQDLRRASSGGVEDVGNETGERTLDKETAMGQVSELKLNFLDKFSGWKANSDGSIPCPPMEYGGCGHHSLNLNRIFKMNWVAKLVKNVEEMVSGCKVYDVESSEKTESNDPRLCQFSDREGSDDNLLYFPSSQDLKAEGIADFRKRWGNGEPVIVKEVCDVSSMSSWDPVSIWRGIQENVDEKIKDESRMVKAIDCLDWSEVDIELGQFIKGYMEGRYHENGWLEMLKLKDWPSPGASEEFLMYQRPEFISKLPLLEYIHSRLGLLNVAAKLPHYSLQNDVGPKIYISYGTYEELGRGDSVTNLHFKMRDMVYLLVHTCDVNAKGQKTKMEDMQNSNGESEVNESLGDPETRSDEKGLPDLSLDGTDMNDEYESTSAVHEDEKMDDQGAETTMVGEKSVDFEQLNGNRRDVLGKTHAGACWDVFHRQDVPKLIEYLRMHWMDSGKPESAISDTVICPLYDEVVYLNEHHKRKLREEFGVVPWSFEQHLGQAVFVPAGCPFQVRNLQSTVQLGLDFLFPESVGEAVRLAEEIRCLPNDHDGKLQILEVGKISLYAASSAIKEVQKLVLDPKLGTELGFEDPNLTAAVSENLEKVAKRRQITCA >EOY11468 pep chromosome:Theobroma_cacao_20110822:5:39362468:39369724:-1 gene:TCM_026634 transcript:EOY11468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger isoform 3 MDHPRSGSGNGEDNVGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKRRAANSALRASLKKKRKLGGETEVYADKSDDFDVPLISRKVEDYPPPVSGKKYKEKVSKNQIQYSPETPPMRNFPARNSVKMEDDYQRDGSPFEENWRSYKIRSFSAADSSRNRSQRSYDDVAMPVGDSEESSEEVFVGKTCHQCRQNDRERVSWCLKCDKRGYCDSCISTWYSNIPLDEIEKACPACRGSCNCKACLRGDNMIKVRIREIPVLDKLQYHYSLLSSVLPVVKKIHQEQCSEVELEKKLHGTAIDLVRAKVNADEQMCCNFCRIPIIDYHRHCPNCSYDLCLCCCQDLRRASSGGVEDVGNETGERTLDKETAMGQVSELKLNFLDKFSGWKANSDGSIPCPPMEYGGCGHHSLNLNRIFKMNWVAKLVKNVEEMVSGCKVYDVESSEKTESNDPRLCQFSDREGSDDNLLYFPSSQDLKAEGIADFRKRWGNGEPVIVKEVCDVSSMSSWDPVSIWRGIQENVDEKIKDESRMVKAIDCLDWSEVDIELGQFIKGYMEGRYHENGWLEMLKLKDWPSPGASEEFLMYQRPEFISKLPLLEYIHSRLGLLNVAAKLPHYSLQNDVGPKIYISYGTYEELGRGDSVTNLHFKMRDMVYLLVHTCDVNAKGQKTKMEDMQNSNGESEVNESLGDPETRSDEKGLPDLSLDGTDMNDEYESTSAVHEDEKMDDQGAETTMVGEKSVDFEQLNGNRRDVLGKTHAGACWDVFHRQDVPKLIEYLRMHWMDSGKPESAISDTVICPLYDEVVYLNEHHKRKLREEFGVVPWSFEQHLGQAVFVPAGCPFQVRNLQSTVQLGLDFLFPESVGEAVRLAEEIRCLPNDHDGKLQILEVGKISLYAASSAIKEVQKLVLDPK >EOY11470 pep chromosome:Theobroma_cacao_20110822:5:39364471:39369952:-1 gene:TCM_026634 transcript:EOY11470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger isoform 3 MDHPRSGSGNGEDNVGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKRRAANSALRASLKKKRKLGGETEVYADKSDDFDVPLISRKVEDYPPPVSGKKYKEKVSKNQIQYSPETPPMRNFPARNSVKMEDDYQRDGSPFEENWRSYKIRSFSAADSSRNRSQRSYDDVAMPVGDSEESSEEVFVGKTCHQCRQNDRERVSWCLKCDKRGYCDSCISTWYSNIPLDEIEKACPACRGSCNCKACLRGDNMIKVRIREIPVLDKLQYHYSLLSSVLPVVKKIHQEQCSEVELEKKLHGTAIDLVRAKVNADEQMCCNFCRIPIIDYHRHCPNCSYDLCLCCCQDLRRASSGGVEDVGNETGERTLDKETAMGQVSELKLNFLDKFSGWKANSDGSIPCPPMEYGGCGHHSLNLNRIFKMNWVAKLVKNVEEMVSGCKVYDVESSEKTESNDPRLCQFSDREGSDDNLLYFPSSQDLKAEGIADFRKRWGNGEPVIVKEVCDVSSMSSWDPVSIWRGIQENVDEKIKDESRMVKAIDCLDWSEVDIELGQFIKGYMEGRYHENGWLEMLKLKDWPSPGASEEFLMYQRPEFISKLPLLEYIHSRLGLLNVAAKLPHYSLQNDVGPKIYISYGTYEELGRGDSVTNLHFKMRDMVYLLVHTCDVNAKGQKTKMEDMQNSNGESEVNESLGDPETRSDEKGLPDLSLDGTDMNDEYESTSAVHEDEKMDDQGAETTMVGEKSVDFEQLNGNRRDVLGKTHAGACWDVFHRQDVPKLIEYLRMHWMDSGKPESAISDTVICPLYDEVVYLNEHHKRKLREEFGKDN >EOY11469 pep chromosome:Theobroma_cacao_20110822:5:39362020:39369601:-1 gene:TCM_026634 transcript:EOY11469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger isoform 3 MDHPRSGSGNGEDNVGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKRRAANSALRASLKKKRKLGGETEVYADKSDDFDVPLISRKVEDYPPPVSGKKYKEKVSKNQIQYSPETPPMRNFPARNSVKMEDDYQRDGSPFEENWRSYKIRSFSAADSSRNRSQRSYDDVAMPVGDSEESSEEVFVGKTCHQCRQNDRERVSWCLKCDKRGYCDSCISTWYSNIPLDEIEKACPACRGSCNCKACLRGDNMIKVRIREIPVLDKLQYHYSLLSSVLPVVKKIHQEQCSEVELEKKLHGTAIDLVRAKVNADEQMCCNFCRIPIIDYHRHCPNCSYDLCLCCCQDLRRASSGGVEDVGNETGERTLDKETAMGQVSELKLNFLDKFSGWKANSDGSIPCPPMEYGGCGHHSLNLNRIFKMNWVAKLVKNVEEMVSGCKVYDVESSEKTESNDPRLCQFSDREGSDDNLLYFPSSQDLKAEGIADFRKRWGNGEPVIVKEVCDVSSMSSWDPVSIWRGIQENVDEKIKDESRMVKAIDCLDWSEVDIELGQFIKGYMEGRYHENGWLEMLKLKDWPSPGASEEFLMYQRPEFISKLPLLEYIHSRLGLLNVAAKLPHYSLQNDVGPKIYISYGTYEELGRGDSVTNLHFKMRDMVYLLVHTCDVNAKGQKTKMEDMQNSNGESEVNESLGDPETRSDEKGLPDLSLDGTDMNDEYESTSAVHEDEKMDDQGAETTMVGEKSVDFEQLNGNRRDVLGKTHAGACWDVFHRQDVPKLIEYLRMHWMDSGKPESAISDTVICPLYDEVVYLNEHHKRKLREEFGVVPWSFEQHLGQAVFVPAGCPFQVRNLQVVRT >EOY10334 pep chromosome:Theobroma_cacao_20110822:5:34233278:34235575:1 gene:TCM_025707 transcript:EOY10334 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase, putative MEKKLKPWPTFHVLALLLLLFLSLHCGSTQGQQAYLNNDQLECGDKSKDNNITRGFLCNGVKQSCQSYLTFRAEPPYNSAVTIAYLLGAQPDLISSLRINNLSSDVSAIPANSLVFFPLNCSCAGSYYQHNVTYTIKEDTETYFTMANDTYQGLTTCQAMKAQNSIGIMDLKVGDKLQVPLRCACPTLDQTYAGAKYLLTYIAGWEDSISSIAETFGVDDQSVLDANKLIEDMIYPFTPVLVPLAREPTMIVPPQASPSPPPPSQITITPTRKSKSSHKWVFVGIGIAAGLLFLFSLSGLLFCFYKHPSLKAEPEASAPPEPKPLSDSIDYSDKSWFVSIHGGTYEVESLTPYTFKDLEAATGNFSESNIIKGSIYRGQFQGDDAAVKVIKGDVSVEMNLLKKINHNNIVRLSGFCVHAGNTYLVYEHVEKGSLDDWLQTSKYQTSFSLSWKQRVQIAYNVADALNYLHNYINPPCIHRNLKTSSILLDGNFRAKVANFGLARSVENEGDLQLTTHVVGTQGYMAPEHFENGVITPKLDVFAFGVVLLELLSGRKAAEKNAGGEELLSASIKGVLEGVNVAEKLQNFIDPTLRHEYPLDLAFSMAQLARNCVAYDLNARPSMAEVLITVTKICSSSLHWCPSDEFQSLSSAKLATY >EOY08617 pep chromosome:Theobroma_cacao_20110822:5:13938354:13943879:-1 gene:TCM_023427 transcript:EOY08617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLITKTSKPSQMIYKRPGPIPCFIWSDILLCLSRNNIEQVHYCRSCFCSKSFGHIFLKHQAPTHLKYYVIFPLTYPILLEAIWSC >EOY10865 pep chromosome:Theobroma_cacao_20110822:5:36861184:36873370:-1 gene:TCM_026160 transcript:EOY10865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reduced epidermal fluorescence 4, putative isoform 1 MEIEKEIEQRIARWKEQEDTTPLVWVAEMAKCIVSHGLGLPSVELGQVLVSHLCFRTNQPSLWKFLQHAFSSRLLSPLHVLSLLTCRVIPFRHSQPEAYRLYVELLRQYALSFDPSVPDTCKQQIVDSVDVTLQLSQNYGVHVVELGHAFVFFFSTIVTALIDCILDDWGLRMTSLDAQNGAVGTGSMDHHPIDTDTGGVYHIERDKQLEQMRWKNSFLAIEVLGQLTESLRAMVLLRLVYLNMPEKFNDLLQRLHFLEANKSACPSLTSANQILARLLANVKRIPSFEYQLKKHRLIGMLVGKGSCKPVSCCNFGSGQSACWVPFDIYMENAMDGKQLSVKSAIVILRETIYTLRVFNRASWKETFLALWLSALRLVQRERDPLEGPIPHLEARLCILLSIVPLAIANVFEDEAKLQSSSSQESRYEDGMGEKGCDATKSGLISALQLLGNFSGLLSPPASITAAANAAAAKVSSFILKNRRDGRTSGSPIETCLNAGGNMRHLIVEACIARNLIDSSAYFWLGYVSSSMVSSELSPIKKSPWTTFMEGAPLSGHLVNSLLTTPASSLAEIEKLYHIALHGSVEEKSAAAKILCGASLSQGWNVQEHVVHFVVKLLSPPVPPGYVGPRNHLIDHMPMLCAVLFGASSIDTVHILSLHGVIPEVAASLMPLCETFGSLVPTPCSKSSTGDEPSIYMVFSAAFLFLLRLWKFYKPPLELCTTGGVMGGELTLEYLLLLRNSRIASQNFATHDEMDSNSEQLEFASNKPIYIDYFPKLRAWYCQNRSCIASTLSGLCSGNPVHEVANKILSMIYRKMAESGASQGDSATPSSSSICGSLASSREDVYQIPMLSAWDVLEATPFVLEAILTACAYERLSSRDVTTGLRDLVDFLPASVAVIISYFCAEVTRGIWKPVPMNGTDWPSPAAYLPLVESQMKEILATAGVHVPSYTLGTSVMLPLPIAALVSLTITFKLNKSLEYIHAVVGPALENCASSCPWPSITIIGSLWAQKIHRWHNFIVVSCSRSIFRQNKEAIEQLLRSCFTSFLGSNNSTLSTNQSGVNGLLGSIIATAGVCPSIAPGFLYLRSCRTIQDVQYVNDVIVKLVAEYARESAARWTCKDTRNLRSSNSSLSFAVDSAREVAMLGASLLCVSGGFQLVQELYQETILTWLLSSRGEKLGNVSSVACIVEGYAMAYLLMMSGSLAWCVGAKAPSWAISKRACVVGVHMDFLARVLEGHILLGCDPATWRAYVSCLVGLIVNCAPAWIQQVKLETLRKLAKGLIGWHEYELALSLLERGGISAIESVAELVNVIY >EOY10864 pep chromosome:Theobroma_cacao_20110822:5:36860482:36873604:-1 gene:TCM_026160 transcript:EOY10864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reduced epidermal fluorescence 4, putative isoform 1 MEIEKEIEQRIARWKEQEDTTPLVWVAEMAKCIVSHGLGLPSVELGQVLVSHLCFRTNQPSLWKFLQHAFSSRLLSPLHVLSLLTCRVIPFRHSQPEAYRLYVELLRQYALSFDPSVPDTCKQQIVDSVDVTLQLSQNYGVHVVELGHAFVFFFSTIVTALIDCILDDWGLRMTSLDAQNGAVGTGSMDHHPIDTDTGGVYHIERDKQLEQMRWKNSFLAIEVLGQLTESLRAMVLLRLVYLNMPEKFNDLLQRLHFLEANKSACPSLTSANQILARLLANVKRIPSFEYQLKKHRLIGMLVGKGSCKPVSCCNFGSGQSACWVPFDIYMENAMDGKQLSVKSAIVILRETIYTLRVFNRASWKETFLALWLSALRLVQRERDPLEGPIPHLEARLCILLSIVPLAIANVFEDEAKLQSSSSQESRYEDGMGEKGCDATKSGLISALQLLGNFSGLLSPPASITAAANAAAAKVSSFILKNRRDGRTSGSPIETCLNAGGNMRHLIVEACIARNLIDSSAYFWLGYVSSSMVSSELSPIKKSPWTTFMEGAPLSGHLVNSLLTTPASSLAEIEKLYHIALHGSVEEKSAAAKILCGASLSQGWNVQEHVVHFVVKLLSPPVPPGYVGPRNHLIDHMPMLCAVLFGASSIDTVHILSLHGVIPEVAASLMPLCETFGSLVPTPCSKSSTGDEPSIYMVFSAAFLFLLRLWKFYKPPLELCTTGGVMGGELTLEYLLLLRNSRIASQNFATHDEMDSNSEQLEFASNKPIYIDYFPKLRAWYCQNRSCIASTLSGLCSGNPVHEVANKILSMIYRKMAESGASQGDSATPSSSSICGSLASSREDVYQIPMLSAWDVLEATPFVLEAILTACAYERLSSRDVTTGLRDLVDFLPASVAVIISYFCAEVTRGIWKPVPMNGTDWPSPAAYLPLVESQMKEILATAGVHVPSYTLGTSVMLPLPIAALVSLTITFKLNKSLEYIHAVVGPALENCASSCPWPSITIIGSLWAQKIHRWHNFIVVSCSRSIFRQNKEAIEQLLRSCFTSFLGSNNSTLSTNQSGVNGLLGSIIATAGVCPSIAPGFLYLRSCRTIQDVQYVNDVIVKLVAEYARESAARWTCKDTRNLRSSNSSLSFAVDSAREVAMLGASLLCVSGGFQLVQELYQETILTWLLSSRGEKLGNVSSVACIVEGYAMAYLLMMSGSLAWCVGAKAPSWAISKRACVVGVHMDFLARVLEGHILLGCDPATWRAYVSCLVGLIVNCAPAWIQQVKLETLRKLAKGLIGWHEYELALSLLERGGISAIESVAELVNVIY >EOY10866 pep chromosome:Theobroma_cacao_20110822:5:36861184:36873370:-1 gene:TCM_026160 transcript:EOY10866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reduced epidermal fluorescence 4, putative isoform 1 MEIEKEIEQRIARWKEQEDTTPLVWVAEMAKCIVSHGLGLPSVELGQVLVSHLCFRTNQPSLWKFLQHAFSSRLLSPLHVLSLLTCRVIPFRHSQPEAYRLYVELLRQYALSFDPSVPDTCKQQIVDSVDVTLQLSQNYGVHVVELGHAFVFFFSTIVTALIDCILDDWGLRMTSLDAQNGAVGTGSMDHHPIDTDTGGVYHIERDKQLEQMRWKNSFLAIEVLGQLTESLRAMVLLRLVYLNMPEKFNDLLQRLHFLEANKSACPSLTSANQILARLLANVKRIPSFEYQLKKHRLIGMLVGKGSCKPVSCCNFGSGQSACWVPFDIYMENAMDGKQLSVKSAIVILRETIYTLRVFNRASWKETFLALWLSALRLVQRERDPLEGPIPHLEARLCILLSIVPLAIANVFEDEAKLQSSSSQESRYEDGMGEKGCDATKSGLISALQLLGNFSGLLSPPASITAAANAAAAKVSSFILKNRRDGRTSGSPIETCLNAGGNMRHLIVEACIARNLIDSSAYFWLGYVSSSMVSSELSPIKKSPWTTFMEGAPLSGHLVNSLLTTPASSLAEIEKLYHIALHGSVEEKSAAAKILCGASLSQGWNVQEHVVHFVVKLLSPPVPPGYVGPRNHLIDHMPMLCAVLFGASSIDTVHILSLHGVIPEVAASLMPLCETFGSLVPTPCSKSSTGDEPSIYMVFSAAFLFLLRLWKFYKPPLELCTTGGVMGGELTLEYLLLLRNSRIASQNFATHDEMDSNSEQLEFASNKPIYIDYFPKLRAWYCQNRSCIASTLSGLCSGNPVHEVANKILSMIYRKMAESGASQGDSATPSSSSICGSLASSREDVYQIPMLSAWDVLEATPFVLEAILTACAYERLSSRDVTTGLRDLVDFLPASVAVIISYFCAEVTRGIWKPVPMNGTDWPSPAAYLPLVESQMKEILATAGVHVPSYTLGTSVMLPLPIAALVSLTITFKLNKSLEYIHAVVGPALENCASSCPWPSITIIGSLWAQKIHRWHNFIVVSCSRSIFRQNKEAIEQLLRSCFTSFLGSNNSTLSTNQSGVNGLLGSIIATAGVCPSIAPGFLYLRSCRTIQDVQYVNDVIVKLVAEYARESAARWTCKDTRNLRSSNSSLSFAVDSAREVAMLGASLLCVSGGFQLVQELYQETILTWLLSSRGEKLGNVSSVACIVEGYAMAYLLMMSGSLAWCVGAKAPSWAISKRACVVGVHMDFLARVLEGHILLGCDPATWRAYVSCLVGLIVNCAPAWIQQVKLETLRKLAKGLIGWHEYELALSLLERGGISAIESVAELVNVIY >EOY11064 pep chromosome:Theobroma_cacao_20110822:5:37757616:37759698:-1 gene:TCM_026338 transcript:EOY11064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MQIQHFNHHHPLNFHEVHKEDENLGCKACKLEIHGPAYICKECGYYLHKACTELPNEVLHPLHPQHALNLLTRSPNTRHFICDECGDISDGFLYFCCECHFKVDVKCAGLSAPSNQGQRQKEMARKTKISHFSHDHMLVLGSAKKDYYCSYCQLEIFGLAYCCLDCNDIYVLRESCLEFPEKMQHPFHPLHPLMAKMFLTESCHACDFRFVGISYSCLECDLHLHPTCLNSMRRALKFNLRTCKLDFFYFGIGCQMLFNGYTCLRCDETGAGPFCFCIEANISLHLECFPIPQMVKSTHHSHPLVLKNSFVEDDTGEYYCDICEEERFAKYHIYYCEECQDMFVTHLECVLFEVNSYMTYVFI >EOY09143 pep chromosome:Theobroma_cacao_20110822:5:26453314:26462213:-1 gene:TCM_024538 transcript:EOY09143 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF hand family protein, expressed isoform 1 MSGGYPQHPPGYGYGAPPAQPYSAAPYTAGAPPPPQPYGVPYAAAPYGAPSAPYGAPPGEKPPKDKQQAHGVGGAPPAYSGSYPPAGGYSSPFAALLPSAFPPGTDPNVVACFQMADQDGSGFIDDKELQRALSSYNQSFSLRTVHLLMYLFTNSNSRKIGPKEFTAVFYSLQNWRAIFEKFDRDRSGKIDANELREALLSLGFSVSPVVLDLLVSKFDKTGGKNKAIEYDNFIECCLTVKGLTEKFREKDTTYSGNATFTYEAFMLTVLPFLIA >EOY09144 pep chromosome:Theobroma_cacao_20110822:5:26458250:26460902:-1 gene:TCM_024538 transcript:EOY09144 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF hand family protein, expressed isoform 1 MSGGYPQHPPGYGYGAPPAQPYSAAPYTAGAPPPPQPYGVPYAAAPYGAPSAPYGAPPGEKPPKDKQQAHGVGGAPPAYSGSYPPAGGYSSPFAALLPSAFPPGTDPNVVACFQMADQDGSGFIDDKELQRALSSYNQSFSLRTVHLLMYLFTNSNSRKIGPKEFTAVFYSLQNWRAIFEKFDRDRSGKIDANELREALLSLGFSVSPVVLDLLVSKFDKTGGKNKAIEYDNFIECCLTVKGLTEKFREKDTTYSGNATFTYEAFMLTVLPFLIA >EOY10302 pep chromosome:Theobroma_cacao_20110822:5:34051531:34052897:-1 gene:TCM_025674 transcript:EOY10302 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 80, putative MTRKKVKLSYITKDSARKSTFKKGKKGLLKKASELSTLCGIEGFMIIYNPYDAQLEQRIEQANKQLKRQCRDNREKETTQVMFQCLAKQGLEILNVTDLSDLGWLLEQNLKDIDKKIYTLAKPSHSQSFAPAASTTMATPETMLKSGGKV >EOY08176 pep chromosome:Theobroma_cacao_20110822:5:5232871:5235302:-1 gene:TCM_022509 transcript:EOY08176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine-containing phosphotransfer protein, putative MIQMALAALKTQLKNFVQSMFDEGVLDSQFSQIQALQDSSNPNFVDEVITLFCTDAERIITELNKYLGYQSVDFSKLDTYVHQLKGSSSSIGAHRLKLACVNLRQASDDRSKEGCVRALNSITREYCLLRSKFQTLIQLERSIIALETNRQ >EOY10698 pep chromosome:Theobroma_cacao_20110822:5:35963332:35965415:1 gene:TCM_026001 transcript:EOY10698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLYKNFCSNLFVHFFSMIKYIKLIFVFNLARAMVSPLSTISWSEEKRRVPQQLPKIQISSLWPCSVEVLVSLLPLFLTVTNSPRITASVLSAIISCLLNLHEMDPQGQNLVEAILLALEVALAKERDNTRLMEERLRAGQAALKAKGRERSMLTTEKDRVLALKEDGEKLVSDFLEADGKKNFDDQKAMMDVVVAMINSGGGDGGKGGGFDGFYERGINYLENAPNLNEKAVLATVKGTNSDGNDNSHGGGNGGDGRKGGGFDGVYGGPINDLENAQILDEEAVIATAKGTNSDGNGSSHGGGNGGGYGV >EOY07388 pep chromosome:Theobroma_cacao_20110822:5:1418605:1419948:-1 gene:TCM_021838 transcript:EOY07388 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO guanyl-nucleotide exchange factor 11 MLEGKAVVRETDMPEEMQSRVMELAYQALDLHEVSDCQSIAHYIKQKFDEAYGPAWHCVAGKDFGSCISHLCGSFIFFHVEMMEFLIFKDGSDFSETKEEAIGVLQEAKKSDS >EOY10545 pep chromosome:Theobroma_cacao_20110822:5:35278982:35284155:1 gene:TCM_025878 transcript:EOY10545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeic acid O-methyltransferase, putative MKKVLEIYKGFDGVSQVVDVGGGLGTNLKLIVSKYPQIKANFHLPLVVKDAPNFPSVEHIGGDMFAKIPHEKVVWQSDIIGIYSARTAHD >EOY09107 pep chromosome:Theobroma_cacao_20110822:5:26107072:26114472:1 gene:TCM_024494 transcript:EOY09107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGLYSSSRPSSSSSSSSSSLSSSQQTFTSRLLLLLTLLPLTLAFFAFILQWRGGLTDPITRWSPDPFQFPGMVNSGSNSPSDRRSASGCVDLLGQSRSPAFPYYKDWKFDFGSDLRPKICIISSTSAGLEQTLPWIFYHKVIGVSTFFLFVEGKAASPNVSKVLESIPGVKVIYRTKELEEQQAKSRIWNETWLASFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWIIHLDTDELIHPAGAREYSLRQLLSDVPGNVDMVVFPNYESSVERDDIKEPFTEVSMFKKNYDHLPKDVYFGNYKDATRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYMKTPKEIKLDEAAVLHYTYPKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRAAFIIASTATEEEMLRWYRERVVWTDKALNLKLLRKGILARIYAPMVVIQGLRESGIFSSIVQSAQTTLSKDKFLTSVESSNSSRVDKPGIISSRKIGVTESQATARRILEITNEFSYNSAIPPLSPPVLDDMHMVI >EOY08223 pep chromosome:Theobroma_cacao_20110822:5:5797021:5798314:-1 gene:TCM_022573 transcript:EOY08223 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein MVVLKLWVLLLIVSMHGYGTLASDPDPIQDFCIPNPKFGAIGTAHLGILPCKNSSEATTDDFVFSGLKATGNFSDTGLATIPVNPGAFPGINTLGMSFVRADLKVGGINPPHFHPRATEIAYVVQGSIYSGFVDSNNRVFARVIEQGEVMVFPRGLVHFQMNVGEKPATIFASLNSQNPGLQKIPTAIFGSGINEKLLEKAFGLTPKQIGTMRRRFDPKTWR >EOY08980 pep chromosome:Theobroma_cacao_20110822:5:24486549:24501835:1 gene:TCM_024316 transcript:EOY08980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSTRLRMRVNKHEIYEVFAKIILYNYIGTYKFIFLNALQSKNKAGLIDGTIVKLDVNSQDYDPWIQCNAIVLSWLTNVLAKEIQSRTTHANTTYKSSNQSRSLGGRCMIGSDQSNSNLVLAATIGDTRSSTPSPGIKAQQQQILQVLAALGVGNARSLQSASQVGATIANTTNQNDLPSRRPIGVGSVRDGLYYLEPIRKGKALMASNMRHIEMWHRRLGHLPMNRLSFVGELSVNVRESTAPSITVTIDYSVVTGKKARQIPQKLADYDFALPPSLTSSSSTYSPTPKANSTVYPLSQFISYSRFSRDHNAFLATIISTNEPTNFHQAIKHAHWRDANAKEISALEENKTWVLNDVTVTGNDPERIVKLKRYLDKKFRIKDLGKLKYFLGIEVANSPSSIVLSQQISVMICSRWVF >EOY10328 pep chromosome:Theobroma_cacao_20110822:5:34210042:34214848:1 gene:TCM_025702 transcript:EOY10328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNKSNLPVLNILLLLILLASSNFLPTVLAKSRNPITDSETKKKKSECYADIESGLWGEQCKSSSIAKENCALKCLSPACYELIYESDPLEEGEKDFIRSQEFKYCMYKLSLGESLEGVKGTFDY >EOY10066 pep chromosome:Theobroma_cacao_20110822:5:32857742:32867332:1 gene:TCM_025456 transcript:EOY10066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 5 MDSLKSTFLSSTTHLYPSFPLSKLPTTNPKIPIHSSIHPDPFTLSDGNPTQPKPKSRNPKKPLSDDNARRIINKRAQYLSVLRRNQGPRAMTPKWIKRTPEQMVKYLEDERNGELYGKHVVAAIKAVRGMGESREGEVDVRRVMGSFVGKLSFREMCVVLKEQKNWRQVRDFFAWMKLQIMLLSSKMWRLIHCNYRLVLEQLCYRPSAIVYTIVLRAYGQVGKIKLAEQTFLEMLEAGCEPDEVACGTMLCTYARWGRHKAMLSFYSAVQEREITLSTAVYNFMLSSLQKKSLHEKVKDLWRQMVDKGVAPNRFTYTVVINSLVKGGIFEEAVMTFDEMKKHDFVPEEATYSLLISSHTKDGNWQDALRLYEDMRSRGIVPSNYTCASLLTLYYKNEDYSKALSLFTEMERNKIRADEVIYGLLIRIYGKLGLYEDALRTFEEIERLGLLSDEKTYLAMAQVHLNSGNAEKALAVIQIMKSRNIWFSRFAYIVSLQCYVMSEDLDSAEATFLALAKTGLPDTGSCNDMLRLYIRLNLTERAKNFIVQIRKDQVVFDEELYRAVVRIYCKEGMLEEIEQLTKEMGTNDSYKDNKFIQTFFRAMCGEHMGNQKVKVNVASNQLDTTALGCLLRLYLECKDFGKMEEILKLLLETANSMSVLTQLASNLMKEGDISKAKALNDQVVKLSCSGDDATMASMIGLYGKEQKLKQARDVFTAVADSSTCGKLIYNSMIDAYVKCGKPETAYSLFKEANKKGHDLGAVAISKVVYSLTNFGKHQEAEELIRVSFQDNLGLDTVAYNTFIKAMLEAGKLRFATSIYERMLSMGVAPSIQTYNTLISVYGRGRKLDKAVETFNMARNLGIALDEKAYMNLICYYGKAGKRDEASSLFSKMQEEGIIPGMASYNIMMNVYASAGLCDEVEKLFEAMQRDGCSPDSFTYLSLVQAYTECLKYAEAEQTIKSMQKRGIPPTCAHFNHLLYAFAKVGMTREAERVYGELVTAGLSPDLACYRTMLRGYIDYGLVEEGIDFFEQIRDTAEPDRFIMSAAVHIYKYVGKETEAKSILDSMNNLGIPFLGNLKVGSKMKVP >EOY10071 pep chromosome:Theobroma_cacao_20110822:5:32858006:32866925:1 gene:TCM_025456 transcript:EOY10071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 5 MTPKWIKRTPEQMVKYLEDERNGELYGKHVVAAIKAVRGMGESREGEVDVRRVMGSFVGKLSFREMCVVLKEQKNWRQVRDFFAWMKLQLCYRPSAIVYTIVLRAYGQVGKIKLAEQTFLEMLEAGCEPDEVACGTMLCTYARWGRHKAMLSFYSAVQEREITLSTAVYNFMLSSLQKKSLHEKVKDLWRQMVDKGVAPNRFTYTVVINSLVKGGIFEEAVMTFDEMKKHDFVPEEATYSLLISSHTKDGNWQDALRLYEDMRSRGIVPSNYTCASLLTLYYKNEDYSKALSLFTEMERNKIRADEVIYGLLIRIYGKLGLYEDALRTFEEIERLGLLSDEKTYLAMAQVHLNSGNAEKALAVIQIMKSRNIWFSRFAYIVSLQCYVMSEDLDSAEATFLALAKTGLPDTGSCNDMLRLYIRLNLTERAKNFIVQIRKDQVVFDEELYRAVVRIYCKEGMLEEIEQLTKEMGTNDSYKDNKFIQTFFRAMCGEHMGNQKVKVNVASNQLDTTALGCLLRLYLECKDFGKMEEILKLLLETANSMSVLTQLASNLMKEGDISKAKALNDQVVKLSCSGDDATMASMIGLYGKEQKLKQARDVFTAVADSSTCGKLIYNSMIDAYVKCGKPETAYSLFKEANKKGHDLGAVAISKVVYSLTNFGKHQEAEELIRVSFQDNLGLDTVAYNTFIKAMLEAGKLRFATSIYERMLSMGVAPSIQTYNTLISVYGRGRKLDKAVETFNMARNLGIALDEKAYMNLICYYGKAGKRDEASSLFSKMQEEGIIPGMASYNIMMNVYASAGLCDEVEKLFEAMQRDGMTREAERVYGELVTAGLSPDLACYRTMLRGYIDYGLVEEGIDFFEQIRDTAEPDRFIMSAAVHIYKYVGKETEAKSILDSMNNLGIPFLGNLKVGSKMKVP >EOY10069 pep chromosome:Theobroma_cacao_20110822:5:32858006:32866925:1 gene:TCM_025456 transcript:EOY10069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 5 MTPKWIKRTPEQMVKYLEDERNGELYGKHVVAAIKAVRGMGESREGEVDVRRVMGSFVGKLSFREMCVVLKEQKNWRQVRDFFAWMKLQLCYRPSAIVYTIVLRAYGQVGKIKLAEQTFLEMLEAGCEPDEVACGTMLCTYARWGRHKAMLSFYSAVQEREITLSTAVYNFMLSSLQKKSLHEKVKDLWRQMVDKGVAPNRFTYTVVINSLVKGGIFEEAVMTFDEMKKHDFVPEEATYSLLISSHTKDGNWQDALRLYEDMRSRGIVPSNYTCASLLTLYYKNEDYSKALSLFTEMERNKIRADEVIYGLLIRIYGKLGLYEDALRTFEEIERLGLLSDEKTYLAMAQVHLNSGNAEKALAVIQIMKSRNIWFSRFAYIVSLQCYVMSEDLDSAEATFLALAKTGLPDTGSCNDMLRLYIRLNLTERAKNFIVQIRKDQVVFDEELYRAVVRIYCKEGMLEEIEQLTKEMGTNDSYKDNKFIQTFFRAMCGEHMGNQKVKVNVASNQLDTTALGCLLRLYLECKDFGKMEEILKLLLETANSMSVLTQLASNLMKEGDISKAKALNDQVVKLSCSGDDATMASMIGLYGKEQKLKQARDVFTAVADSSTCGKLIYNSMIDAYVKCGKPETAYSLFKEANKKGHDLGAVAISKVVYSLTNFGKHQEAEELIRVSFQDNLGLDTVAYNTFIKAMLEAGKLRFATSIYERMLSMGVAPSIQTYNTLISVYGRGRKLDKAVETFNMARNLGIALDEKAYMNLICYYGKAGKRDEASSLFSKMQEEGIIPGMASYNIMMNVYASAGLCDEVEKLFEAMQRDGCSPDSFTYLSLVQAYTECLKYAEAEQTIKSMQKRGIPPTCAHFNHLLYAFAKVGMTREAERVYGELVTAGLSPDLACYRTMLRGYIDYGLVEEGIDFFEQIRDTAEPDRFIMSAAVHIYKYVGKETEAKSILDSMNNLGIPFLGNLKVGSKMKVP >EOY10074 pep chromosome:Theobroma_cacao_20110822:5:32858148:32865122:1 gene:TCM_025456 transcript:EOY10074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 5 MLEAGCEPDEVACGTMLCTYARWGRHKAMLSFYSAVQEREITLSTAVYNFMLSSLQKKSLHEKVKDLWRQMVDKGVAPNRFTYTVVINSLVKGGIFEEAVMTFDEMKKHDFVPEEATYSLLISSHTKDGNWQDALRLYEDMRSRGIVPSNYTCASLLTLYYKNEDYSKALSLFTEMERNKIRADEVIYGLLIRIYGKLGLYEDALRTFEEIERLGLLSDEKTYLAMAQVHLNSGNAEKALAVIQIMKSRNIWFSRFAYIVSLQCYVMSEDLDSAEATFLALAKTGLPDTGSCNDMLRLYIRLNLTERAKNFIVQIRKDQVVFDEELYRAVVRIYCKEGMLEEIEQLTKEMGTNDSYKDNKFIQTFFRAMCGEHMGNQKVKVNVASNQLDTTALGCLLRLYLECKDFGKMEEILKLLLETANSMSVLTQLASNLMKEGDISKAKALNDQVVKLSCSGDDATMASMIGLYGKEQKLKQARDVFTAVADSSTCGKLIYNSMIDAYVKCGKPETAYSLFKEANKKGHDLGAVAISKVVYSLTNFGKHQEAEELIRVSFQDNLGLDTVAYNTFIKAMLEAGKLRFATSIYERMLSMGVAPSIQTYNTLISVYGRGRKLDKAVETFNMARNLGIALDEKAYMNLICYYGKAGKRDEASSLFSKMQEEGIIPGMASYNIMMNVYASAGLCDEVEKLFEAMQRDGCSPDSFTYLSLVQAYTECLKYAEAEQTIKSMQKRGIPPTCAHFNHLLYAFAKVGMTREAERVYGELVTAGLSPDLACYRTMLRGYIDYGLVEEGIDFFEQIRDTAEPDRFIMSAAVHIYKYVGKETEAKSILDSMNNLGIPFLGNLKVGSKMKVP >EOY10067 pep chromosome:Theobroma_cacao_20110822:5:32858006:32866925:1 gene:TCM_025456 transcript:EOY10067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 5 MTPKWIKRTPEQMVKYLEDERNGELYGKHVVAAIKAVRGMGESREGEVDVRRVMGSFVGKLSFREMCVVLKEQKNWRQVRDFFAWMKLQLCYRPSAIVYTIVLRAYGQVGKIKLAEQTFLEMLEAGCEPDEVACGTMLCTYARWGRHKAMLSFYSAVQEREITLSTAVYNFMLSSLQKKSLHEKVKDLWRQMVDKGVAPNRFTYTVVINSLVKGGIFEEAVMTFDEMKKHDFVPEEATYSLLISSHTKDGNWQDALRLYEDMRSRGIVPSNYTCASLLTLYYKNEDYSKALSLFTEMERNKIRADEVIYGLLIRIYGKLGLYEDALRTFEEIERLGLLSDEKTYLAMAQVHLNSGNAEKALAVIQIMKSRNIWFSRFAYIVSLQCYVMSEDLDSAEATFLALAKTGLPDTGSCNDMLRLYIRLNLTERAKNFIVQIRKDQVVFDEELYRAVVRIYCKEGMLEEIEQLTKEMGTNDSYKDNKFIQTFFRAMCGEHMGNQKVKVNVASNQLDTTALGCLLRLYLECKDFGKMEEILKLLLETANSMSVLTQLASNLMKEGDISKAKALNDQVVKLSCSGDDATMASMIGLYGKEQKLKQARDVFTAVADSSTCGKLIYNSMIDAYVKCGKPETAYSLFKEANKKGHDLGAVAISKVVYSLTNFGKHQEAEELIRVSFQDNLGLDTVAYNTFIKAMLEAGKLRFATSIYERMLSMGVAPSIQTYNTLISVYGRGRKLDKAVETFNMARNLGIALDEKAYMNLICYYGKAGKRDEASSLFSKMQEEGIIPGMASYNIMMNVYASAGLCDEVEKLFEAMQRDGCSPDSFTYLSLVQAYTECLKYAEAEQTIKSMQKRGIPPTCAHFNHLLYAFAKVGMTREAERVYGELVTAGLSPDLACYRTMLRGYIDYGLVEEGIDFFEQIRDTAEPDRFIMSAAVHIYKYVGKETEAKSILDSMNNLGIPFLGNLKVGSKMKVP >EOY10072 pep chromosome:Theobroma_cacao_20110822:5:32858006:32866925:1 gene:TCM_025456 transcript:EOY10072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 5 MTPKWIKRTPEQMVKYLEDERNGELYGKHVVAAIKAVRGMGESREGEVDVRRVMGSFVGKLSFREMCVVLKEQKNWRQVRDFFAWMKLQLCYRPSAIVYTIVLRAYGQVGKIKLAEQTFLEMLEAGCEPDEVACGTMLCTYARWGRHKAMLSFYSAVQEREITLSTAVYNFMLSSLQKKSLHEKVKDLWRQMVDKGVAPNRFTYTVVINSLVKGGIFEEAVMTFDEMKKHDFVPEEATYSLLISSHTKDGNWQDALRLYEDMRSRGIVPSNYTCASLLTLYYKNEDYSKALSLFTEMERNKIRADEVIYGLLIRIYGKLGLYEDALRTFEEIERLGLLSDEKTYLAMAQVHLNSGNAEKALAVIQIMKSRNIWFSRFAYIVSLQCYVMSEDLDSAEATFLALAKTGLPDTGSCNDMLRLYIRLNLTERAKNFIVQIRKDQVVFDEELYRAVVRIYCKEGMLEEIEQLTKEMGTNDSYKDNKFIQTFFRAMCGEHMGNQKVKVNVASNQLDTTALGCLLRLYLECKDFGKMEEILKLLLETANSMSVLTQLASNLMKEGDISKAKALNDQVVKLSCSGDDATMASMIGLYGKEQKLKQARDVFTAVADSSTCGKLIYNSMIDAYVKCGKPETAYSLFKEANKKGHDLGAVAISKVVYSLTNFGKHQEAEELIRVSFQDNLGLDTVAYNTFIKAMLEAGKLRFATSIYERMLSMGVAPSIQTYNTLISVYGRGRKLDKAVETFNMARNLGIALDEKAYMNLICYYGKAGKRDEASSLFSKMQEEGIIPGMASYNIMMNVYASAGLCDEVEKLFEAMQRDGMTREAERVYGELVTAGLSPDLACYRTMLRGYIDYGLVEEGIDFFEQIRDTAEPDRFIMSAAVHIYKYVGKETEAKSILDSMNNLGIPFLGNLKVGSKMKVP >EOY10073 pep chromosome:Theobroma_cacao_20110822:5:32858006:32866925:1 gene:TCM_025456 transcript:EOY10073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 5 MTPKWIKRTPEQMVKYLEDERNGELYGKHVVAAIKAVRGMGESREGEVDVRRVMGSFVGKLSFREMCVVLKEQKNWRQVRDFFAWMKLQLCYRPSAIVYTIVLRAYGQVGKIKLAEQTFLEMLEAGCEPDEVACGTMLCTYARWGRHKAMLSFYSAVQEREITLSTAVYNFMLSSLQKKSLHEKVKDLWRQMVDKGVAPNRFTYTVVINSLVKGGIFEEAVMTFDEMKKHDFVPEEATYSLLISSHTKDGNWQDALRLYEDMRSRGIVPSNYTCASLLTLYYKNEDYSKALSLFTEMERNKIRADEVIYGLLIRIYGKLGLYEDALRTFEEIERLGLLSDEKTYLAMAQVHLNSGNAEKALAVIQIMKSRNIWFSRFAYIVSLQCYVMSEDLDSAEATFLALAKTGLPDTGSCNDMLRLYIRLNLTERAKNFIVQIRKDQVVFDEELYRAVVRIYCKEGMLEEIEQLTKEMGTNDSYKDNKFIQTFFRAMCGEHMGNQKVKVNVASNQLDTTALGCLLRLYLECKDFGKMEEILKLLLETANSMSVLTQLASNLMKEGDISKAKALNDQVVKLSCSGDDATMASMIGLYGKEQKLKQARDVFTAVADSSTCGKLIYNSMIDAYVKCGKPETAYSLFKEANKKGHDLGAVAISKVVYSLTNFGKHQEAEELIRVSFQDNLGLDTVAYNTFIKAMLEAGKLRFATSIYERMLSMGVAPSIQTYNTLISVYGRGRKLDKAVETFNMARNLGIALDEKAYMNLICYYGKAGKRDEASSLFSKMQEEGIIPGMASYNIMMNVYASAGLCDEVEKLFEAMQRDGCSPDSFTYLSLVQAYTECLKYAEAEQTIKSMQKRGIPPTCAHFNHLLYAFAKVGMTREAERVYGELVTAGLSPDLACYRTMLRGYIDYGLVEEGIDFFEQIRDTAEPDRFIMSAAVHIYKYVGKETEAKSILDSMNNLGIPFLGNLKVGSKMKVP >EOY10070 pep chromosome:Theobroma_cacao_20110822:5:32858006:32866925:1 gene:TCM_025456 transcript:EOY10070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 5 MTPKWIKRTPEQMVKYLEDERNGELYGKHVVAAIKAVRGMGESREGEVDVRRVMGSFVGKLSFREMCVVLKEQKNWRQVRDFFAWMKLQLCYRPSAIVYTIVLRAYGQVGKIKLAEQTFLEMLEAGCEPDEVACGTMLCTYARWGRHKAMLSFYSAVQEREITLSTAVYNFMLSSLQKKSLHEKVKDLWRQMVDKGVAPNRFTYTVVINSLVKGGIFEEAVMTFDEMKKHDFVPEEATYSLLISSHTKDGNWQDALRLYEDMRSRGIVPSNYTCASLLTLYYKNEDYSKALSLFTEMERNKIRADEVIYGLLIRIYGKLGLYEDALRTFEEIERLGLLSDEKTYLAMAQVHLNSGNAEKALAVIQIMKSRNIWFSRFAYIVSLQCYVMSEDLDSAEATFLALAKTGLPDTGSCNDMLRLYIRLNLTERAKNFIVQIRKDQVVFDEELYRAVVRIYCKEGMLEEIEQLTKEMGTNDSYKDNKFIQTFFRAMCGEHMGNQKVKVNVASNQLDTTALGCLLRLYLECKDFGKMEEILKLLLETANSMSVLTQLASNLMKEGDISKAKALNDQVVKLSCSGDDATMASMIGLYGKEQKLKQARDVFTAVADSSTCGKLIYNSMIDAYVKCGKPETAYSLFKEANKKGHDLGAVAISKVVYSLTNFGKHQEAEELIRVSFQDNLGLDTVAYNTFIKAMLEAGKLRFATSIYERMLSMGVAPSIQTYNTLISVYGRGRKLDKAVETFNMARNLGIALDEKAYMNLICYYGKAGSKRDEASSLFSKMQEEGIIPGMASYNIMMNVYASAGLCDEVEKLFEAMQRDGCSPDSFTYLSLVQAYTECLKYAEAEQTIKSMQKRGIPPTCAHFNHLLYAFAKVGMTREAERVYGELVTAGLSPDLACYRTMLRGYIDYGLVEEGIDFFEQIRDTAEPDRFIMSAAVHIYKYVGKETEAKSILDSMNNLGIPFLGNLKVGSKMKVP >EOY10068 pep chromosome:Theobroma_cacao_20110822:5:32858183:32862861:1 gene:TCM_025456 transcript:EOY10068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 5 MTPKWIKRTPEQMVKYLEDERNGELYGKHVVAAIKAVRGMGESREGEVDVRRVMGSFVGKLSFREMCVVLKEQKNWRQVRDFFAWMKLQLCYRPSAIVYTIVLRAYGQVGKIKLAEQTFLEMLEAGCEPDEVACGTMLCTYARWGRHKAMLSFYSAVQEREITLSTAVYNFMLSSLQKKSLHEKVKDLWRQMVDKGVAPNRFTYTVVINSLVKGGIFEEAVMTFDEMKKHDFVPEEATYSLLISSHTKDGNWQDALRLYEDMRSRGIVPSNYTCASLLTLYYKNEDYSKALSLFTEMERNKIRADEVIYGLLIRIYGKLGLYEDALRTFEEIERLGLLSDEKTYLAMAQVHLNSGNAEKALAVIQIMKSRNIWFSRFAYIVSLQCYVMSEDLDSAEATFLALAKTGLPDTGSCNDMLRLYIRLNLTERAKNFIVQIRKDQVVFDEELYRAVVRIYCKEGMLEEIEQLTKEMGTNDSYKDNKFIQTFFRAMCGEHMGNQKVKVNVASNQLDTTALGCLLRLYLECKDFGKMEEILKLLLETANSMSVLTQLASNLMKEGDISKAKALNDQVVKLSCSGDDATMASMIGLYGKEQKLKQARDVFTAVADSSTCGKLIYNSMIDAYVKCGKPETAYSLFKEANKKGHDLGAVAISKVVYSLTNFGKHQEAEELIRVSFQDNLGLDTVAYNTFIKAMLEAGKLRFATSIYERMLSMGVAPSIQTYNTLISVYGRGRKLDKAVETFNMARNLGIALDEKAYMNLICYYGKAG >EOY10839 pep chromosome:Theobroma_cacao_20110822:5:36747041:36750396:1 gene:TCM_026139 transcript:EOY10839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein MKSHLLFFYCYIGLSLVFAKGAKIMPVPNDEASTLLLMKGSFVDASDMLKDWKMPSNVVETRSAHCNWTGIWCNSRGFVEKLDLSNMNLSGIVSDHVQGLRSLSVLNLCNNGFDTSLPKSLANLTSLKSIDVSQNNFIGSFPKGLGMASGLTYVNASSNNLSGYLPEDLGYATSLESLDLRGSFFEGTIPTSFKNLQKLKYLGLSGNNLTGKLPRELGQLSSLETIILGYNEFEGEIPEEFGNLTNLQYLDLAVGTLSGQIPPSLGRLKQLTTVYLYKNNFTGRIPAELGNITSLVFLDLSDNQISGEIPAELAELKNLQLLNLMRNQLNGPVPIKLGELTKLEVLELWKNSLTGSLPMNLGRNSPLQWLDVSSNSLSGEIPPGLCDSGNLTKLLLFNNSFSGPIPAGLSTCKSLVRVRVQNNLISGTIPIGFGSLPILQRLELAKNNLTGQIPDDIALSTSLSFIDVSWNHLESTLPSSIISLPNLQTFIVSHNNLAGKFPDQFLDCPSLSVLDLSSNHFSGEIPESIASCEKLVNLNLRNNQFTEKIPKALATMPTLAMLDLSNNSLVGLIPENFGTSPALEMLNLSYNKLEGPVPSNGLLMTINPNDLAGNAGLCGGVLPPCSQSPIQTPRRPSNMHVKHVATGFIIGALVILSVAIAFFTGRWLYQKWYLYNSFFGDKFKESNKEWPWRLVAFQRLSFTSSDILSCIKESNIIGMGGTGIVYKAEVNRPRAVVAVKKLWRSETDIESSDDLFGEVNLLGRLRHRNIVRLLGYVHNETDVLMVYEYMPNGNLGTAVHGKQAGKLLVDWVSRYNIAVGVAQGLNYLHHDCYPPVIHRDIKSNNILLDANLEARIADFGLARMMIHKNETVSMVAGSYGYIAPEYGYTLKVDEKTDIYSFGVVLLELLTGKMPLDPSFGESIDIVEWTRMKVKKSRGLEEVLDTTIAGQCKHVQEEMQLVLRIALLCTAKLPKDRPSMRDIITMLGEAKPRRKSVCHDNSSKERPIFSTSPVIGLL >EOY09808 pep chromosome:Theobroma_cacao_20110822:5:31129432:31131297:1 gene:TCM_025181 transcript:EOY09808 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO-related from plants 2-like protein MSRSEGSKTTPREYIVKYICYSYELISCASRFGLSLLGIAFLQSLSSQGNVAIETVQGAALDFILTHSLIAQKLMVSWLKPKCMVYIISRLKNIKKWIPELKHYASGVPIIILVGTKLDLGDGKQFLIDHAGAMLISAAPGEELRKLIGSSAYIDCSSKTQQNVKAA >EOY08627 pep chromosome:Theobroma_cacao_20110822:5:14088986:14091869:1 gene:TCM_023446 transcript:EOY08627 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAK1-interacting receptor-like kinase 1 isoform 1 MNFCWDMALNGKALAVLGYNLICFALVLLSGRVCQATPNDIECLKSVKASLEDPYSYLSSWNFNNGTEGFICKFTGVDCWHPDENKVLNIRLSDLGLKGRFPQGIGKCESLTGLDLSSNKLSGLIPSDISEKLKYVTTLDLSDNNFSGPIPPSLANCSFLNVLKLGNNRLTGAIPLQLGVLNRIKTFNVANNQLSGQIPDFGPNVTIQADSYANNPGLCGKILQPCPGIPMKSRVGTIAASAVGGVTITAIIVGIILFYFSRGAAMKKKEDDPEGNKWAKTIKGKKGIKVSMFEESVSKMRLSDLMNSTNEFSKSNIIGMGRTGTMYKAMIPDGYCLMIKRLEDFQRLEKEFVSEMNTLGSVKHRNLVPLLGFCVAKKERFLVYKYMENGTLYDKLHPAEPEVRNMDWPLRLRIGIGAARGLAWLHHNCNPRIIHRNISSKCILLDGDCEPKLSDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYPRTLVATPKGDIYSFGVVLLELITGEKPTHVVNAPESFRGSLAEWITQLSSSFLLQTAIDKSLLGNGFDSEVMQFLRVACNCVLPTPKERPTMFEVYQLLRAIGEGYHFTTEDEIMQPSNSNNTDVPELIVAQEAK >EOY08626 pep chromosome:Theobroma_cacao_20110822:5:14089036:14091969:1 gene:TCM_023446 transcript:EOY08626 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAK1-interacting receptor-like kinase 1 isoform 1 MNFCWDMALNGKALAVLGYNLICFALVLLSGRVCQATPNDIECLKSVKASLEDPYSYLSSWNFNNGTEGFICKFTGVDCWHPDENKVLNIRLSDLGLKGRFPQGIGKCESLTGLDLSSNKLSGLIPSDISEKLKYVTTLDLSDNNFSGPIPPSLANCSFLNVLKLGNNRLTGAIPLQLGVLNRIKTFNVANNQLSGQIPDFGPNVTIQADSYANNPGLCGKILQPCPGIPMKSRVGTIAASAVGGVTITAIIVGIILFYFSRGAAMKKKEDDPEGNKWAKTIKGKKGIKVSMFEESVSKMRLSDLMNSTNEFSKSNIIGMGRTGTMYKAMIPDGYCLMIKRLEDFQRLEKEFVSEMNTLGSVKHRNLVPLLGFCVAKKERFLVYKYMENGTLYDKLHPAEPEVRNMDWPLRLRIGIGAARGLAWLHHNCNPRIIHRNISSKCILLDGDCEPKLSDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYPRTLVATPKGDIYSFGVVLLELITGEKPTHVVNAPESFRGSLAEWITQLSSSFLLQTAIDKSLLGNGFDSEVMQFLRVACNCVLPTPKERPTMFEVYQLLRAIGEGYHFTTEDEIMQPSNSNNTDVPELIVAQEAK >EOY07019 pep chromosome:Theobroma_cacao_20110822:5:190712:202886:-1 gene:TCM_021556 transcript:EOY07019 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA synthetase class I (I, L, M and V) family protein isoform 2 MEDVSEGKDFSFPSQEEHILSYWSQIDAFKGQLARTQNLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQSMTGHHVTRRFGWDCHGLPVESEIDRKLGINRRDQVLDMGIDKYNEECRSVVTRYVEEWEDIISRTGRWIDFKNDYKTMDLKFMESVWWAFGQLYQKGLIYKGFKVMPYSTGCKTPLSNFEAGENYKLVPDPELMVAFPIVGAPDNAAFVAWTTTPWTLPSNLALCVNANLVYVKARNKYSGKIYVAAESRLSELPTEKPKSNAAKGPSGDSKKSKTKGSSGEKTKDSTADSYEVLDKFSGASLVGTKYEPLFNYFLEFSNAAFRVIADNYVTDDSGTGIVHCAPAFGEDDYRVCIGNQIINKGENLIVAVDDDGCFTGKITDFSGRYVKDADKDIIEAMKAKGRLVKLGTFTHSYPFCWRSDTPLIYRAVPSWFVRVEQLKEQLLENNKQTYWVPDYVKEKRFHNWLENARDWAISRSRFWGTPIPVWISEDGEEVIVMDSVEKLERLSGAKVFDLHRHNIDHITIPSTRGPEFGVLRRIDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKRLKNYPSPMEVINDYGADALRLYLINSPVVRAETLRFKKEGVFGVVKDVFLPWYNAYRFLVQNAKRLEYEGCAPFVPIDLTILQKSSNVLDQWINSATQSLVHFVREEMDGYRLYTVVPYLLKFLDNLTNIYVRFNRKRLKGRTGEEDCRMALSTLYNVLLTACKVMAPFTPFFTEVLYQNMRKVCDGAEESIHYCSFPQEEGKRGERIEESVARMMKIIDLARNIRERHNRPLKTPLREMVVVHKDEDFLDDIAGKLREYVLEELNIRSLVPCNDTLKYASLRAEPDFSVLGKRLGKSMGVVAKEVKAMSQEDILAFEEAGEVTIATHCLKRTDIKVVRDFKRPDGMTDKEMDAVGDGDVLVILDLRPDESLFEAGVAREVVNRIQKLRKKAGLEPTDMVEVYFESLDEDKSVIQQVLNSQESYIRDTIGSPLLASNMMPLHTVSLFSLGLEYLQICSGHALSCFLFRCYPPLPLPKKKDFAPFLNLHYSSYLSLIMLVEFVCLYSIVLLQKNLQVVLCEENFEGISNMSFKISLARPALFFMSNAILALYAG >EOY07018 pep chromosome:Theobroma_cacao_20110822:5:189485:202886:-1 gene:TCM_021556 transcript:EOY07018 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA synthetase class I (I, L, M and V) family protein isoform 2 MEDVSEGKDFSFPSQEEHILSYWSQIDAFKGQLARTQNLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQSMTGHHVTRRFGWDCHGLPVESEIDRKLGINRRDQVLDMGIDKYNEECRSVVTRYVEEWEDIISRTGRWIDFKNDYKTMDLKFMESVWWAFGQLYQKGLIYKGFKVMPYSTGCKTPLSNFEAGENYKLVPDPELMVAFPIVGAPDNAAFVAWTTTPWTLPSNLALCVNANLVYVKARNKYSGKIYVAAESRLSELPTEKPKSNAAKGPSGDSKKSKTKGSSGEKTKDSTADSYEVLDKFSGASLVGTKYEPLFNYFLEFSNAAFRVIADNYVTDDSGTGIVHCAPAFGEDDYRVCIGNQIINKGENLIVAVDDDGCFTGKITDFSGRYVKDADKDIIEAMKAKGRLVKLGTFTHSYPFCWRSDTPLIYRAVPSWFVRVEQLKEQLLENNKQTYWVPDYVKEKRFHNWLENARDWAISRSRFWGTPIPVWISEDGEEVIVMDSVEKLERLSGAKVFDLHRHNIDHITIPSTRGPEFGVLRRIDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKRLKNYPSPMEVINDYGADALRLYLINSPVVRAETLRFKKEGVFGVVKDVFLPWYNAYRFLVQNAKRLEYEGCAPFVPIDLTILQKSSNVLDQWINSATQSLVHFVREEMDGYRLYTVVPYLLKFLDNLTNIYVRFNRKRLKGRTGEEDCRMALSTLYNVLLTACKVMAPFTPFFTEVLYQNMRKVCDGAEESIHYCSFPQEEGKRGERIEESVARMMKIIDLARNIRERHNRPLKTPLREMVVVHKDEDFLDDIAGKLREYVLEELNIRSLVPCNDTLKYASLRAEPDFSVLGKRLGKSMGVVAKEVKAMSQEDILAFEEAGEVTIATHCLKRTDIKVVRDFKRPDGMTDKEMDAVGDGDVLVILDLRPDESLFEAGVAREVVNRIQKLRKKAGLEPTDMVEVYFESLDEDKSVIQQVLNSQESYIRDTIGSPLLASNMMPLHTVVLCEENFEGISNMSFKISLARPALFFMSNAILALYAGNTKFAQGLQTYLLSRDHSSLKSEFQHGHGKMEVGCIENQPAVEVTLGEHVFLTVGDYYLTIKNGC >EOY10301 pep chromosome:Theobroma_cacao_20110822:5:34046403:34054952:1 gene:TCM_025672 transcript:EOY10301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFFILTLVPEFAKSGAYFSLSGILLESDAPDALSNSELGSLFLVSEDTSLPQEFFAQGRSSASNVSTPSNH >EOY10041 pep chromosome:Theobroma_cacao_20110822:5:32676869:32684226:1 gene:TCM_025432 transcript:EOY10041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding,DNA binding, putative isoform 2 MSKNKGKKKVKAEKEDEAEDWCFVCKDGGKLLLCDFKGCGKAYHPVCVGKKNSVLKSEGRWTCCRHSCSVCGGPPRFYCLCCPDAVCRLCARSAEFVSVKLKKGLCKTCIEVTLLAENNAEFNSQGVKMDFEDPDTEEFMFKGYLEIIMEQEDLTFDDLHRAALKKENYDSSSDSDKIEDEDAVVTISDGDSDTDFAVIDNSLGKRKKSEVRDYVGWGSKPLINFLKSVGIDATEKLSKFQVDIIISKYILEKNLFREEGKKKTVLCDEKLYSLFQKKQVHKNKIYDLLEAHFVDTLGQSNSDENENDSGSCSGNEDEHIIAVCKKQRTLSTDKVPLEEKVDYAVQKNCYASIVAENIKLVYLRRSLVEELLMQSDNFEDKVVGSFVRVKRMHGNCSIRTSFQLLQVTGIKKTSNAKVDRGILLEVSCMPVDICIDMLNDGDISEEECEDLRQRMKDGLLRKPTVVELEQKAKSLHEDITKNWIRRQLVSLQNKIDFAHEKGRRYIYPFYDVELYCRVSHKRFLDEREMLKKSSEQQRLLLKLPRVIAEEIEPDPTARDSSENNCSNGSGKLQCPAVNQADGGA >EOY10042 pep chromosome:Theobroma_cacao_20110822:5:32677015:32684433:1 gene:TCM_025432 transcript:EOY10042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding,DNA binding, putative isoform 2 MSKNKGKKKVKAEKEDEAEDWCFVCKDGGKLLLCDFKGCGKAYHPVCVGKKNSVLKSEGRWTCCRHSCSVCGGPPRFYCLCCPDAVCRLCARSAEFVSVKLKKGLCKTCIEVTLLAENNAEFNSQGVKMDFEDPDTEEFMFKGYLEIIMEQEDLTFDDLHRAALKKENYDSSSDSDKIEDEDAVVTISDGDSDTDFAVIDNSLGKRKKSEVRDYVGWGSKPLINFLKSVGIDATEKLSKFQVDIIISKYILEKNLFREEGKKKTVLCDEKLYSLFQKKQVHKNKIYDLLEAHFVDTLGQSNSDENENDSGSCSGNEDEHIIAVCKKQRTLSTDKVPLEEKVDYAVQKNCYASIVAENIKLVYLRRSLVEELLMQSDNFEDKVVGSFVRVKRMHGNCSIRTSFQLLQVTGIKKTSNAKVDRGILLEVSCMPVDICIDMLNDGDISEEECEDLRQRMKDGLLRKPTVVELEQKAKSLHEDITKNWIRRQLVSLQNKIDFAHEKGRRYMLERFLDEREMLKKSSEQQRLLLKLPRVIAEEIEPDPTARDSSENNCSNGSGKLQCPAVNQADGGA >EOY10043 pep chromosome:Theobroma_cacao_20110822:5:32679320:32683840:1 gene:TCM_025432 transcript:EOY10043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding,DNA binding, putative isoform 2 MDFEDPDTEEFMFKGYLEIIMEQEDLTFDDLHRAALKKENYDSSSDSDKIEDEDAVVTISDGDSDTDFAVIDNSLGKRKKSEVRDYVGWGSKPLINFLKSVGIDATEKLSKFQVDIIISKYILEKNLFREEGKKKTVLCDEKLYSLFQKKQVHKNKIYDLLEAHFVDTLGQSNSDENENDSGSCSGNEDEHIIAVCKKQRTLSTDKVPLEEKVDYAVQKNCYASIVAENIKLVYLRRSLVEELLMQSDNFEDKVVGSFVRVKRMHGNCSIRTSFQLLQVTGIKKTSNAKVDRGILLEVSCMPVDICIDMLNDGDISEEECEDLRQRMKDGLLRKPTVVELEQKAKSLHEDITKNWIRRQLVSLQNKIDFAHEKGRRYIYPFYDVELYCRVSHSNVCLVTTNT >EOY10857 pep chromosome:Theobroma_cacao_20110822:5:36827524:36828837:-1 gene:TCM_026151 transcript:EOY10857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLRFECATMQGFLPINIMWEANTTADGLALRLECRGSPTSKLLLKPQQGRTSLNFSLVILLFLAPPVC >EOY08585 pep chromosome:Theobroma_cacao_20110822:5:12598360:12601737:1 gene:TCM_023287 transcript:EOY08585 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEBP (phosphatidylethanolamine-binding protein) family protein MPRERDPLVVGRVIGDVLDPFTRSISLRVTFACREVNNGCELKPSQVVNQPRVDIGGDDLRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPATTGASFGQEVVCYESPRPTVGIHRFLFVLFRQLGRQTVYAPGWRQNFNTRDFAELYNLGLPVAAVYFNCQRESGSGGRRR >EOY09225 pep chromosome:Theobroma_cacao_20110822:5:27312022:27325147:-1 gene:TCM_024630 transcript:EOY09225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin 2 isoform 1 MVITLPDDGKLDTESDSGEFWVLFGGFAPIGKKVAGEDDVIPETTPAKLYSITDGEVKIVEGELSKGLLENNKCYLLDCGVEVFVWVGRVTQVEDRKAASQVAEEFVAGHNRPKATRMTRVIQGYETNSFKSNFDSWPAGSAAPGGEEGRGKVAALLKQQGVGVKGMSKSAPVNEEVPPLLEGGGKMEVWCINGSAKTPLPKEDIGKFYSGDCYIVLYTYHSGDRKEDYFLCCWIGKDSIEEDQKMAARLANTMSNSLKGRPVQGRVFEGKEPPQFIALFQPMVVLKGGLSTGYKKSIADKGLTDETYTADCVALFRISGTSVHNNKALQVDAVATSLNSTECFLLQSGSSIFTWHGNQSTYEQQQLAAKVAEFLKPGVALKHAKEGTESSTFWFALGGKQSYTSKKASTETVRDPHLFMFSLNKGKFEVEEVYNFSQDDLLTEDSLILDTHAEVFVWVGQSVDTKEKQNVFEIGQKYIDMAASLEGLSPNVPLYKVTEGNEPCFFTTFFSWDSTRATVQGNSFQKKVALLFGASHAVEAQDRSNGNQGGPTQRASALAALSSAFNSSSGSKISAPKPSSASQGSQRAAAVAALSSVLTAEKKKQSPDASPTKSTSSTPAVTSPPPETKSEVDPSEAEDSQEVAEAKETGVVSETNGDNSEPKQELEQDENGSGSSQSTFSYDQLKAKSDNPVTGIDFKRREAYLSDEEFQTVLGMAKEAFYKLPKWKQDMQKKKVDLF >EOY09223 pep chromosome:Theobroma_cacao_20110822:5:27312508:27325674:-1 gene:TCM_024630 transcript:EOY09223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin 2 isoform 1 MSSSAKVLDPAFQGVGQKPGTEIWRIEDFQPVPLPKSDYGKFYMGDSYIVLQTTPSKGGSYLYDIHFWMGKDTSQDEAGTAAIKTVELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGIASGFKKPEEEEFETRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTQNKIYQFNGANSNIQERAKALEVIQFLKEKYHEGKCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVAGEDDVIPETTPAKLYSITDGEVKIVEGELSKGLLENNKCYLLDCGVEVFVWVGRVTQVEDRKAASQVAEEFVAGHNRPKATRMTRVIQGYETNSFKSNFDSWPAGSAAPGGEEGRGKVAALLKQQGVGVKGMSKSAPVNEEVPPLLEGGGKMEVWCINGSAKTPLPKEDIGKFYSGDCYIVLYTYHSGDRKEDYFLCCWIGKDSIEEDQKMAARLANTMSNSLKGRPVQGRVFEGKEPPQFIALFQPMVVLKGGLSTGYKKSIADKGLTDETYTADCVALFRISGTSVHNNKALQVDAVATSLNSTECFLLQSGSSIFTWHGNQSTYEQQQLAAKVAEFLKPGVALKHAKEGTESSTFWFALGGKQSYTSKKASTETVRDPHLFMFSLNKGKFEVEEVYNFSQDDLLTEDSLILDTHAEVFVWVGQSVDTKEKQNVFEIGQKYIDMAASLEGLSPNVPLYKVTEGNEPCFFTTFFSWDSTRATVQGNSFQKKVALLFGASHAVEEKSNGNQGGPTQRASALAALSSAFNPSSAKSTLSAQDRSNGNQGGPTQRASALAALSSAFNSSSGSKISAPKPSSASQGSQRAAAVAALSSVLTAEKKKQSPDASPTKSTSSTPAVTSPPPETKSEVDPSEAEDSQEVAEAKETGVVSETNGDNSEPKQELEQDENGSGSSQSTFSYDQLKAKSDNPVTGIDFKRREAYLSDEEFQTVLGMAKEAFYKLPKWKQDMQKKKVDLF >EOY09224 pep chromosome:Theobroma_cacao_20110822:5:27312022:27329501:-1 gene:TCM_024630 transcript:EOY09224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin 2 isoform 1 MSSSAKVLDPAFQGVGQKPGTEIWRIEDFQPVPLPKSDYGKFYMGDSYIVLQTTPSKGGSYLYDIHFWMGKDTSQDEAGTAAIKTVELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGIASGFKKPEEEEFETRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTQNKIYQFNGANSNIQERAKALEVIQFLKEKYHEGKCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVAGEDDVIPETTPAKLYSITDGEVKIVEGELSKGLLENNKCYLLDCGVEVFVWVGRVTQVEDRKAASQVAEEFVAGHNRPKATRMTRVIQGYETNSFKSNFDSWPAGSAAPGGEEGRGKVAALLKQQGVGVKGMSKSAPVNEEVPPLLEGGGKMEVWCINGSAKTPLPKEDIGKFYSGDCYIVLYTYHSGDRKEDYFLCCWIGKDSIEEDQKMAARLANTMSNSLKGRPVQGRVFEGKEPPQFIALFQPMVVLKGGLSTGYKKSIADKGLTDETYTADCVALFRISGTSVHNNKALQVDAVATSLNSTECFLLQSGSSIFTWHGNQSTYEQQQLAAKVAEFLKPGVALKHAKEGTESSTFWFALGGKQSYTSKKASTETVRDPHLFMFSLNKGKFEVEEVYNFSQDDLLTEDSLILDTHAEVFVWVGQSVDTKEKQNVFEIGQKYIDMAASLEGLSPNVPLYKVTEGNEPCFFTTFFSWDSTRATVQGNSFQKKVALLFGASHAVEAQDRSNGNQGGPTQRASALAALSSAFNSSSGSKISAPKPSSASQGSQRAAAVAALSSVLTAEKKKQSPDASPTKSTSSTPAVTSPPPETKSEVDPSEAEDSQEVAEAKETGVVSETNGDNSEPKQELEQDENGSGSSQSTFSYDQLKAKSDNPVTGIDFKRREAYLSDEEFQTVLGMAKEAFYKLPKWKQDMQKKKVDLF >EOY09226 pep chromosome:Theobroma_cacao_20110822:5:27312062:27324706:-1 gene:TCM_024630 transcript:EOY09226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin 2 isoform 1 GKRVVRLKQVPFARSSLNHDDVFILDTQNKIYQFNGANSNIQERAKALEVIQFLKEKYHEGKCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVAGEDDVIPETTPAKLYSITDGEVKIVEGELSKGLLENNKCYLLDCGVEVFVWVGRVTQVEDRKAASQVAEEFVAGHNRPKATRMTRVIQGYETNSFKSNFDSWPAGSAAPGGEEGRGKVAALLKQQGVGVKGMSKSAPVNEEVPPLLEGGGKMEVWCINGSAKTPLPKEDIGKFYSGDCYIVLYTYHSGDRKEDYFLCCWIGKDSIEEDQKMAARLANTMSNSLKGRPVQGRVFEGKEPPQFIALFQPMVVLKGGLSTGYKKSIADKGLTDETYTADCVALFRISGTSVHNNKALQVDAVATSLNSTECFLLQSGSSIFTWHGNQSTYEQQQLAAKVAEFLKPGVALKHAKEGTESSTFWFALGGKQSYTSKKASTETVRDPHLFMFSLNKGKFEVEEVYNFSQDDLLTEDSLILDTHAEVFVWVGQSVDTKEKQNVFEIGQKYIDMAASLEGLSPNVPLYKVTEGNEPCFFTTFFSWDSTRATVQGNSFQKKVALLFGASHAVEAQDRSNGNQGGPTQRASALAALSSAFNSSSGSKISAPKPSSASQGSQRAAAVAALSSVLTAEKKKQSPDASPTKSTSSTPAVTSPPRKNSCTILF >EOY07516 pep chromosome:Theobroma_cacao_20110822:5:1810177:1812158:1 gene:TCM_021929 transcript:EOY07516 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein MAAIYSLYIINKSGGLIFYKDYGSKGRMDTNDSLRVASLWHSMHAISQQLSPTTGCSGIELLEADTFDLHCFQSLTGTKFFVVCEPGTQHMEALLKAIYELYTDYVLKNPFYEMEMPIRCELFDINLTQAIQKDRVVLLGR >EOY10834 pep chromosome:Theobroma_cacao_20110822:5:36731970:36736171:-1 gene:TCM_026136 transcript:EOY10834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 2 MAEAIVSAILPELAAIAIQNAREEWRLVTGVEKEVERLKSNLKAIQCELEDAEEKQFVNKRVQYWLDRFKQVSYDIEDVLDDWKTALHKLQTDGVETSSVKRRKVWPLSSYFSFGTQVARRHDIATRIKEINEELDEIAKDRVRIELIKSEIKQPNRSESTSFVHVSQILGRDEIKEKIISKLLSGPSEEGGCNNIPTITVVGMGGMGKTALSQLIYNDHRIQTHFENKIWVCVSDPFDQRQIAREILGGLNSGSTNLQNPISLESLLNEIREKIEGKKFFFVLDDVWSDRDQDWEPLKAAFQYGMPGSWILVTTRKESVARQMDLSHVFPLKQLPDEMCWSIIAQIAFTGENSDRRGNLEDIGREIAKKCKGLPLAAKTLGDLLRDKQRREEWQNVLSSEIWKSDFAQDIFQPLLLSYYDLPSTIRRCLLYCAIFQKDYRIRKDELIQRWMAQGYLTSADNFGRELEGEGYFKFLAARSFFQDFDNDADGNIRSCKMHDMVHEFVQFLTKYEFVTEKDVHLTLDLSYKKPRHLRLVAGPKGFPMSINSTEKLRSLVVVSLPDNGITNEALQNLFSQSKRLRLLELRLLLQGAEGICCEIGKLIHLRYLCLIDCTDIKYLPEALCELRNLQSLIIRFCPLLKKLPVGIGNLINLRYLSIERCRSVTYYPKGIGKLTSLMRLNRIIVRADRNDAEELSIGDLQHLDLLAGKIYVELEGDAIDGDEAKRAKLHNKIHLKQMYISISPGIKEDEVVQALNPPSNLSVEIVDNQEDWIFRTIIVPLQSGQLGRLKTVISVYLLFTENCKINAYMKFRVSWFMPPHHHFPQSFHHQREDTAKDIVY >EOY10836 pep chromosome:Theobroma_cacao_20110822:5:36732203:36736676:-1 gene:TCM_026136 transcript:EOY10836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 2 MAEAIVSAILPELAAIAIQNAREEWRLVTGVEKEVERLKSNLKAIQCELEDAEEKQFVNKRVQYWLDRFKQVSYDIEDVLDDWKTALHKLQTDGVETSSVKRRKVWPLSSYFSFGTQVARRHDIATRIKEINEELDEIAKDRVRIELIKSEIKQPNRSESTSFVHVSQILGRDEIKEKIISKLLSGPSEEGGCNNIPTITVVGMGGMGKTALSQLIYNDHRIQTHFENKIWVCVSDPFDQRQIAREILGGLNSGSTNLQNPISLESLLNEIREKIEGKKFFFVLDDVWSDRDQDWEPLKAAFQYGMPGSWILVTTRKESVARQMDLSHVFPLKQLPDEMCWSIIAQIAFTGENSDRRGNLEDIGREIAKKCKGLPLAAKTLGDLLRDKQRREEWQNVLSSEIWKSDFAQDIFQPLLLSYYDLPSTIRRCLLYCAIFQKDYRIRKDELIQRWMAQGYLTSADNFGRELEGEGYFKFLAARSFFQDFDNDADGNIRSCKMHDMVHEFVQFLTKYEFVTEKDVHLTLDLSYKKPRHLRLVAGPKGFPMSINSTEKLRSLVVVSLPDNGITNEALQNLFSQSKRLRLLELRLLLQGAEGICCEIGKLIHLRYLCLIDCTDIKYLPEALCELRNLQSLIIRFCPLLKKLPVGIGNLINLRYLSIERCRSVTYYPKGIGKLTSLMRLNRIIVRADRNDAEELSIGDLQHLDLLAGKIYVELEGDAIDGDEAKRAKLHNKIHLKQMYISISPGIKEDEVVQALNPPSNLSVEIVDNQEDWIFRTIIVPLQSGQLGRLKTVISGSSEETAC >EOY10835 pep chromosome:Theobroma_cacao_20110822:5:36732709:36735738:-1 gene:TCM_026136 transcript:EOY10835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 2 MAEAIVSAILPELAAIAIQNAREEWRLVTGVEKEVERLKSNLKAIQCELEDAEEKQFVNKRVQYWLDRFKQVSYDIEDVLDDWKTALHKLQTDGVETSSVKRRKVWPLSSYFSFGTQVARRHDIATRIKEINEELDEIAKDRVRIELIKSEIKQPNRSESTSFVHVSQILGRDEIKEKIISKLLSGPSEEGGCNNIPTITVVGMGGMGKTALSQLIYNDHRIQTHFENKIWVCVSDPFDQRQIAREILGGLNSGSTNLQNPISLESLLNEIREKIEGKKFFFVLDDVWSDRDQDWEPLKAAFQYGMPGSWILVTTRKESVARQMDLSHVFPLKQLPDEMCWSIIAQIAFTGENSDRRGNLEDIGREIAKKCKGLPLAAKTLGDLLRDKQRREEWQNVLSSEIWKSDFAQDIFQPLLLSYYDLPSTIRRCLLYCAIFQKDYRIRKDELIQRWMAQGYLTSADNFGRELEGEGYFKFLAARSFFQDFDNDADGNIRSCKMHDMVHEFVQFLTKYEFVTEKDVHLTLDLSYKKPRHLRLVAGPKGFPMSINSTEKLRSLVVVSLPDNGITNEALQNLFSQSKRLRLLELRLLLQGAEGICCEIGKLIHLRYLCLIDCTDIKYLPEALCELRNLQSLIIRFCPLLKKLPVGIGNLINLRYLSIERCRSVTYYPKGIGKLTSLMRLNRIIVRADRNDAEELSIGDLQHLDLLAGKIYVELEGDAIDGDEAKRAKLHNKIHLKQMYISISPGIKEDEVVQALNPPSNLSVEIVDNQEDWIFRTIIVPLQSGQLGRLKTVISGSSEETAC >EOY10419 pep chromosome:Theobroma_cacao_20110822:5:34622826:34636540:-1 gene:TCM_025781 transcript:EOY10419 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MVTTRSSARLRANITSAKKVANSEDLLQEILLRLPTKTLLRFKLVSKPWLSLISSTHFSLAHTRFLQNNRSLKPHAFFLDVLYKKLPSKFKFLRLNPNIKRLPPFDFIDAPRIRIIQSCVGLLLCVSESDYGLRYFICNPATKKFKVISVYEHEVIYNSYEYVANNLGLDPSESAKLFMDYDQRASVNLAFDPTIEVRRHAGVNLAFDPLISPHYKIFSIWQQLLFGKDPESTTCYIFPTYFIDIYSSETNSWSASKINFNSKHNINIDRAVFFNGAIHWDCADTQSWYIDVNNECLKTMPMPGVHSGFRYFRESGGHLHLVVAKGFSQLKFKIFEMETDYSNWYLKYNVHLEAETFTVVPRRQQNRMRSYLLSCVVQSDEDEGDSILVVLPNGFAISYNLEDGIVKRLRCSNIDKDGHDGGRFHAFPYFETLSCL >EOY08169 pep chromosome:Theobroma_cacao_20110822:5:5162482:5170957:-1 gene:TCM_022500 transcript:EOY08169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligases, putative isoform 3 MMWAMSSKFFEDVPYDLLLLCIKHPHLTVDSEKHLSDALLIWLDSNIERLQRSNKTECGFSDILKQIRISLLPLWFAAGKRSSSSFSELANESVDSIFRLTQVTPIGPINALRDGDLSHLRIRLTEYSKRVDLSGCLQITTMILLLSLLPNNHSVGSALRKSIEESISNLEQADGSKYQIPQGLLPTLSFEAVQEVDISGCLKLHLEAAIECFSKSFPSLRKVKAAYLLNFKTTTLYRLVQKCSLVSEVDITVDMDPLISSQVSVISSSSAVISLAQNRPYTVGDSSSVTSLYHLGHSLSNITKLTLEGRSDVCDSDIQYIAKFCVSLCYLNLKGCISLTDVCIANLIRRCTKLHSIVVCHTSFGMNSILALCTASSILSNSPTAQFGKKHLDSLAANLQLLHMGGCKCADEASLQELLSQTQMLKSLCLGDTNLVDDALCNFSGSFLEMLDISNTMISTAALNLVVRTNPGLKCLNARGCKNLFQPENTTKVAKFSSPYTCEELFIELGKTCRLEEIALGWGFSYFSLQGLKPAILSLRAMTVGLGGSLPEDALRLLPTTCPMLESLVLYFQVISDCIIINIMKSLRQLQTLAFCYCLGDISISSFKLSMLNLRKLRLERVTPWLTNNDLVLLTQNFANLVELALLGCKLLNSGLWRSDQK >EOY08167 pep chromosome:Theobroma_cacao_20110822:5:5160824:5170957:-1 gene:TCM_022500 transcript:EOY08167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligases, putative isoform 3 MILLLSLLPNNHSVGSALRKSIEESISNLEQADGSKYQIPQGLLPTLSFEAVQEVDISGCLKLHLEAAIECFSKSFPSLRKVKAAYLLNFKTTTLYRLVQKCSLVSEVDITVDMDPLISSQVSVISSSSAVISLAQNRPYTVGDSSSVTSLYHLGHSLSNITKLTLEGRSDVCDSDIQYIAKFCVSLCYLNLKGCISLTDVCIANLIRRCTKLHSIVVCHTSFGMNSILALCTASSILSNSPTAQFGKKHLDSLAANLQLLHMGGCKCADEASLQELLSQTQMLKSLCLGDTNLVDDALCNFSGSFLEMLDISNTMISTAALNLVVRTNPGLKCLNARGCKNLFQPENTTKVAKFSSPYTCEELFIELGKTCRLEEIALGWGFSYFSLQGLKPAILSLRAMTVGLGGSLPEDALRLLPTTCPMLESLVLYFQVISDCIIINIMKSLRQLQTLAFCYCLGDISISSFKLSMLNLRKLRLERVTPWLTNNDLVLLTQNFANLVELALLGCKLLNSEAQCIISSGWPGLIAIHLEDCGEVTRSGVCSLFNCTALEDLLLRHNGPGIQRNFILDAASKMPMLRQVSLDLCDASEGDFDLPDDADRYCLRSVKIARCKSQRCNVGPYFAEAHRKPVHRETLVLVWNSRNVFRTVVKERL >EOY08166 pep chromosome:Theobroma_cacao_20110822:5:5160815:5170982:-1 gene:TCM_022500 transcript:EOY08166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligases, putative isoform 3 MVCCSFSELANESVDSIFRLTQVTPIGPINALRDGDLSHLRIRLTEYSKRVDLSGCLQITTMILLLSLLPNNHSVGSALRKSIEESISNLEQADGSKYQIPQGLLPTLSFEAVQEVDISGCLKLHLEAAIECFSKSFPSLRKVKAAYLLNFKTTTLYRLVQKCSLVSEVDITVDMDPLISSQVSVISSSSAVISLAQNRPYTVGDSSSVTSLYHLGHSLSNITKLTLEGRSDVCDSDIQYIAKFCVSLCYLNLKGCISLTDVCIANLIRRCTKLHSIVVCHTSFGMNSILALCTASSILSNSPTAQFGKKHLDSLAANLQLLHMGGCKCADEASLQELLSQTQMLKSLCLGDTNLVDDALCNFSGSFLEMLDISNTMISTAALNLVVRTNPGLKCLNARGCKNLFQPENTTKVAKFSSPYTCEELFIELGKTCRLEEIALGWGFSYFSLQGLKPAILSLRAMTVGLGGSLPEDALRLLPTTCPMLESLVLYFQVISDCIIINIMKSLRQLQTLAFCYCLGDISISSFKLSMLNLRKLRLERVTPWLTNNDLVLLTQNFANLVELALLGCKLLNSEAQCIISSGWPGLIAIHLEDCGEVTRSGVCSLFNCTALEDLLLRHNGPGIQRNFILDAASKMPMLRQVSLDLCDASEGDFDLPDDADRYCLRSVKIARCKSQRCNVGPYFAEAHRKPVHRETLVLVWNSRNVFRTVVKERL >EOY08168 pep chromosome:Theobroma_cacao_20110822:5:5163272:5169710:-1 gene:TCM_022500 transcript:EOY08168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligases, putative isoform 3 MMWAMSSKFFEDVPYDLLLLCIKHPHLTVDSEKHLSDALLIWLDSNIERLQRSNKTECGFSDILKQIRISLLPLWFAAGKRSSSSFSELANESVDSIFRLTQVTPIGPINALRDGDLSHLRIRLTEYSKRVDLSGCLQITTMILLLSLLPNNHSVGSALRKSIEESISNLEQADGSKYQIPQGLLPTLSFEAVQEVDISGCLKLHLEAAIECFSKSFPSLRKVKAAYLLNFKTTTLYRLVQKCSLVSEVDITVDMDPLISSQVSVISSSSAVISLAQNRPYTVGDSSSVTSLYHLGHSLSNITKLTLEGRSDVCDSDIQYIAKFCVSLCYLNLKGCISLTDVCIANLIRRCTKLHSIVVCHTSFGMNSILALCTASSILSNSPTAQFGKKHLDSLAANLQLLHMGGCKCADEASLQELLSQTQMLKSLCLGDTNLVDDALCNFSGSFLEMLDISNTMISTAALNLVVRTNPGLKCLNARGCKNLFQPENTTKVAKFSSPYTCEELFIELGKTCRLEEIALGWGFSYFSLQGLKPAILSLRAMTVGLGGSLPEDALRLLPTTCPMLESLVLYFQVISDCIIINIMKSLRQLQTLAFCYCLGDISISSFKLSMLNLRKLRLERVTPWLTNNDLVLLTQNFANLVELALLGCKLLNSEAQCIISSGWPGLIAIHLEVCYDLYSRIWTIFLGSSVFF >EOY08165 pep chromosome:Theobroma_cacao_20110822:5:5159962:5171276:-1 gene:TCM_022500 transcript:EOY08165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligases, putative isoform 3 MASASGSDDDDFVILVCTDPTNEIEGDISNEEIVISTTDIFRWDFPSTLSFRTFKIRAHRNRLIEESSYFRGLLGGSFSESCLDCISIQWHLETFLNVVKCMFHCPLDITSKNFIPLFQAALYFGVEILLLQLKSWFSESMMWAMSSKFFEDVPYDLLLLCIKHPHLTVDSEKHLSDALLIWLDSNIERLQRSNKTECGFSDILKQIRISLLPLWFAAGKRSSSSFSELANESVDSIFRLTQVTPIGPINALRDGDLSHLRIRLTEYSKRVDLSGCLQITTMILLLSLLPNNHSVGSALRKSIEESISNLEQADGSKYQIPQGLLPTLSFEAVQEVDISGCLKLHLEAAIECFSKSFPSLRKVKAAYLLNFKTTTLYRLVQKCSLVSEVDITVDMDPLISSQVSVISSSSAVISLAQNRPYTVGDSSSVTSLYHLGHSLSNITKLTLEGRSDVCDSDIQYIAKFCVSLCYLNLKGCISLTDVCIANLIRRCTKLHSIVVCHTSFGMNSILALCTASSILSNSPTAQFGKKHLDSLAANLQLLHMGGCKCADEASLQELLSQTQMLKSLCLGDTNLVDDALCNFSGSFLEMLDISNTMISTAALNLVVRTNPGLKCLNARGCKNLFQPENTTKVAKFSSPYTCEELFIELGKTCRLEEIALGWGFSYFSLQGLKPAILSLRAMTVGLGGSLPEDALRLLPTTCPMLESLVLYFQVISDCIIINIMKSLRQLQTLAFCYCLGDISISSFKLSMLNLRKLRLERVTPWLTNNDLVLLTQNFANLVELALLGCKLLNSEAQCIISSGWPGLIAIHLEDCGEVTRSGVCSLFNCTALEDLLLRHNGPGIQRNFILDAASKMPMLRQVSLDLCDASEGDFDLPDDADRYCLRSVKIARCKSQRCNVGPYFAEAHRKPVHRETLVLVWNSRNVFRTVVKERL >EOY07753 pep chromosome:Theobroma_cacao_20110822:5:2579595:2586097:1 gene:TCM_022088 transcript:EOY07753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDSEKQSDFDIKGLSLIDVSSEDDCLINSPLCDPINPQSSGKRSGTKSVRFAMDPDSAEISLGSLEENGKLEEPPDSLEQDKTSKNGKYNLRKSLAWDSAFFTSAGVLDPEELSSMIGGNEKGEIHTLPGIREDVNKSCDSLTTLDSETLTLESLEADLFEDIRASIQKSNKASNIANSSGKKESKTADTPTVSHNQLFKILATNILKEFALFSFSFPDSKKVELATQDKMKQKAAPKKPNIGVKDSGKTMKQVSVRPQISQSFARSGEPTSSLHKPPKVLSRVVPLSTTPIKRVSLGSKNVKMEKDAKSVTGRGNTVSKTPALGGLRNIVPRPTLSSKSSSCSPVSIKTELTTSCSSLESCASASSGRITKSSLNSIKRKNDSGRVSPSSSGCTISTPSKIASKGKNQAGSSRLSTFSKSSTKLSSSISPASSISEWSSDSSSSTSTVNQRSNIVRPSLGIGSRKGLGTNRDAHQVLDSQDHPTGQCSMGHGAEVTGSLDESVNKVSAGTSGLLHPASMKPSGLRMPSPKLGFFDGVRSSGRTPNGSMLSHPGVPNGLPKIGAKSTSPSGSLNKAKIGKLQPVRTLTAIQSPKVDVKQTSSAVKSRSSLTIQKSPNAAAKVSSALRNPKSSPGISTKFQNKSSLKTGRESYSKAQGIGTAEKIVSPGFPKQVVGLGGKDGARIKDTKIVPLDGVPETTDNLTSKSDDQNTIPLKVAAENETYSHLYLKTDTLILYNTKKKEEAHIEDQIAGTAEGYLSSDTQKEPNADSHLAHGDKKVENCLSKPIRTSPSPMTSEIMAGSRRPFSVKDSFYNMDTHTPLAVTPGSAVGVDKITTLQSPESILPEYS >EOY07664 pep chromosome:Theobroma_cacao_20110822:5:2364984:2366039:1 gene:TCM_022043 transcript:EOY07664 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAP, putative MATTRTLFPQSLAAALTIFSLLFIAASAQAIPQQNSKPRNNIQKNGNANGKPRRPPTTAELAKKIKSASFVKEAVSAHNAARVTIGQTPMVWNYTLAYFAKQWAKKRLNDCKLIHSYGPYGENLFWGGKSHWTPSEVVKFWVEEKAYYDPKSNTCATGQMCGHYTQIVWKDSVRVGCARINCYNGKGMYVICSYDPPGNYINEHPFGDLANIDNVNKIALPPLWKPPVVAPTLPVH >EOY08125 pep chromosome:Theobroma_cacao_20110822:5:4713871:4714762:-1 gene:TCM_022449 transcript:EOY08125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokine 3 precursor, putative MKEKFLGLITFLLFAFLLCSYSTSARLLAQKQGEKELKANQINQAGLLTTDMKEDFSNLMGSEECHEKDEECLKRRMIAEAHLDYIYTQHHKP >EOY07125 pep chromosome:Theobroma_cacao_20110822:5:518571:520800:-1 gene:TCM_021637 transcript:EOY07125 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 4, putative isoform 1 MSSCFYRVMAGELKKEIAQKTGLEPDRQKVLFRGKEKEDKEHLNVAGVKDKSKVLLLENPARKEKKVEEMSSSKEKAEESTSSEENEVEEMRESEEMSKAFAAVAGVRKEVDKLSERVAALEVAVNSGTKVANEEFDVSAELLMRELLKLDGIEAEGEAKLQRKAEVRRVQNFHETLDNLKARNSNPFSNSSNAVSVTTNWETFDSGMGSLTAPPPMSSSTKMTQDWEQFE >EOY07124 pep chromosome:Theobroma_cacao_20110822:5:518577:521040:-1 gene:TCM_021637 transcript:EOY07124 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 4, putative isoform 1 MPFHMGLGASTPKTASGELKKEIAQKTGLEPDRQKVLFRGKEKEDKEHLNVAGVKDKSKVLLLENPARKEKKVEEMSSSKEKAEESTSSEENEVEEMRESEEMSKAFAAVAGVRKEVDKLSERVAALEVAVNSGTKVANEEFDVSAELLMRELLKLDGIEAEGEAKLQRKAEVRRVQNFHETLDNLKARNSNPFSNSSNAVSVTTNWETFDSGMGSLTAPPPMSSSTKMTQDWEQFE >EOY07123 pep chromosome:Theobroma_cacao_20110822:5:518598:521401:-1 gene:TCM_021637 transcript:EOY07123 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 4, putative isoform 1 MKSPNAQSEETDWELRPGGMLVQRRDGQEDHHHKHDHETAAADSSFGPMIKINVSYGPAQHELYVPAHSTFGELKKEIAQKTGLEPDRQKVLFRGKEKEDKEHLNVAGVKDKSKVLLLENPARKEKKVEEMSSSKEKAEESTSSEENEVEEMRESEEMSKAFAAVAGVRKEVDKLSERVAALEVAVNSGTKVANEEFDVSAELLMRELLKLDGIEAEGEAKLQRKAEVRRVQNFHETLDNLKARNSNPFSNSSNAVSVTTNWETFDSGMGSLTAPPPMSSSTKMTQDWEQFE >EOY11266 pep chromosome:Theobroma_cacao_20110822:5:38755108:38757461:1 gene:TCM_026510 transcript:EOY11266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like kinase in in flowers 3, putative MQPFCQSFRSQMSSFYFILLGLSFCFRNPSSSVAIYHEIPHRNLADSFCPLSFRVLRKVFDSSKLAFLDVPTKCVTILQGIRLVRSNYLRITGNFFPHPNSSEDCWDGYQKLVDESIPGFNIRSTCGYNTGLISESCKNITTGYQFEGLISDSKLQEVRLLCNRSLDDDSSCIPCQASLSSIYQSYFHGTDIDNASDCSGYPFIYAGALANQYGPTASGTAKCLFSLDLTSSNATSRNQRTILCAVTVGAGFGLFMTAVVVWFIWRRRKKWKRRQNNVAPIETGSGFGIETISGDSTLVKFTFEEIKRATKNFSRENIVGKGGYGNVYKGILEDGSEVALKRFKNCSAAGDATFAREVEVIASISHVNLVAFRGYCTATVPMEGHQRIIVCDLVHNGSLYDHLFGSGVKKLSWPIRQKIAIGVARGLAYLHYGAQPVIIHRDVKASNILLDDTFEPKLADFGLAKFIPDGLSHMSTRAAGTLGYVAPEYALYGQLTERSDVYGFGVVLLELLSGKKAVISIDDHHTLLLTDWAWSLVEEGRVFDVIDENMPELGPPEVMERYVLVAVLSSHSQLYARPTMDQIVRILESDFPLHSIPKLPFSLPTDTDDGEKSANFSQLDSMSSTSAEQPCDCKSDQPILDHREIERSFTFKTCNVDNLTF >EOY08566 pep chromosome:Theobroma_cacao_20110822:5:10908514:10916509:-1 gene:TCM_023144 transcript:EOY08566 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown-complex ABC transporter family isoform 2 MHLISASGAEAVGSSPFDSQKAVVNSHMEKPTNSTTLARTKSDQLVETLAAAFKSPTQSDQAPGTSDSGGTLSRKSSRRLMMAASPGRSSGGSKNTHIRKSRSAQMKLDLEELSSGAALSRASSASLGLSFSFTGFTVPPDEIADSKPFSDDDIPEDIEAGTHKPKFQTEPTLPIYLKARMGNCLKINAIHRTWLAWSGSVLYRVSSYMTFMYKTLPSFQQMKKIAESGLTDVTYKVIIKGMTTSEERDILSGISGAVNPGEVLALMGPSGSGKTTLLNLLGGRLNQSSVGGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKTLTKQQKEKRAIDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRTVQTLQDIAEAGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAIVYFSSIGCSPLIAMNPAEFLLDLANGNINDISVPSELEDKVQMENSEAETRNGKPPPAVVHEYLVEAYESRVAENEKKKLMTPLPLDEELKLKVSSSKRQWGASWWQQYCILFCRGIKERRHDYFSWLRITQVLSTAIILGLLWWQSDSKSPKGRQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRVSASPFFLSMLTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGYFVKKVPVFISWIRHISFNYHTYKLLLKVQYQDIMPPVNGITTDSGLKEVGALVAMIFGYRLLAYLSLRRMKLHSGA >EOY08567 pep chromosome:Theobroma_cacao_20110822:5:10908589:10916130:-1 gene:TCM_023144 transcript:EOY08567 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown-complex ABC transporter family isoform 2 MEKPTNSTTLARTKSDQLVETLAAAFKSPTQSDQAPGTSDSGGTLSRKSSRRLMMAASPGRSSGGSKNTHIRKSRSAQMKLDLEELSSGAALSRASSASLGLSFSFTGFTVPPDEIADSKPFSDDDIPEDIEAGTHKPKFQTEPTLPIYLKFTDVTYKVIIKGMTTSEERDILSGISGAVNPGEVLALMGPSGSGKTTLLNLLGGRLNQSSVGGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKTLTKQQKEKRAIDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRTVQTLQDIAEAGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAIVYFSSIGCSPLIAMNPAEFLLDLANGNINDISVPSELEDKVQMENSEAETRNGKPPPAVVHEYLVEAYESRVAENEKKKLMTPLPLDEELKLKVSSSKRQWGASWWQQYCILFCRGIKERRHDYFSWLRITQVLSTAIILGLLWWQSDSKSPKGRQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRVSASPFFLSMLTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGYFVKKVPVFISWIRHISFNYHTYKLLLKVQYQDIMPPVNGITTDSGLKEVGALVAMIFGYRLLAYLSLRRMKLHSGA >EOY08568 pep chromosome:Theobroma_cacao_20110822:5:10908174:10915528:-1 gene:TCM_023144 transcript:EOY08568 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown-complex ABC transporter family isoform 2 MEKPTNSTTLARTKSDQLVETLAAAFKSPTQSDQAPGTSDSGGTLSRKSSRRLMMAASPGRSSGGSKNTHIRKSRSAQMKLDLEELSSGAALSRASSASLGLSFSFTGFTVPPDEIADSKPFSDDDIPEDIEAGTHKPKFQTEPTLPIYLKFTDVTYKVIIKGMTTSEERDILSGISGAVNPGEVLALMGPSGSGKTTLLNLLGGRLNQSSVGGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKTLTKQQKEKRAIDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRTVQTLQDIAEAGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAIVYFSSIGCSPLIAMNPAEFLLDLANGNINDISVPSELEDKVQMENSEAETRNGKPPPAVVHEYLVEAYESRVAENEKKKLMTPLPLDEELKLKVSSSKRQWGASWWQQYCILFCRGIKERRHDYFSWLRITQVLSTAIILGLLWWQSDSKSPKGRQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRGLGLAIGATLMDLKRATTLASVTVMTFMLAGGYFVKESSSVHILDPPYFFQLSYL >EOY09636 pep chromosome:Theobroma_cacao_20110822:5:30300207:30301063:1 gene:TCM_025036 transcript:EOY09636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein MDSQIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRYIMRNVKGPVREGDILTLLESEREARRLR >EOY10590 pep chromosome:Theobroma_cacao_20110822:5:35498129:35508240:-1 gene:TCM_025920 transcript:EOY10590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like E1 MAKDDYVPLFETRPFKGRILFRLFAASIFVGICFICIYRVRFLPEEGKVERWTWIGLFLAELWFSFYWFLTAVCRWDSVYRLPYKDRLSQRFDKELPGVDIFVCTADPLIEPPSLVINTVLSVMAYDYPPEKLSIYLSDDGGSDLTFYAMLEAATFSKQWLPFCKKFKVEPRSAEAYFRTAFEPREDPVKAREWLSVKKLYEDMKMRIETTTKLNRIPEDIRKQHKGFREWDFVSSKHDHQTILQILIDGRDPNAVDVEGRPLPTLVYLAREKRPQFHHHFKAGAMNALIRVSSRISNGPIILNVDCDMYSNNSESIKNSLCFFMDEEKGDEFAYVQYPQNFENLTKNELYGGSYRVIQKLEFPGLDANGGPCYIGSGCFHRREALCGKKYDKDCKVDWKRLHERKVKESASVLEETCKVLASCTFEQNTQWGKEMGLTYGCPVEDVITGLTIQCRGWKSIYLMPQREDFLGVSPTTLLQMLIQHKRWSEGHLQIFLSRYCSLLYGHKKIPLKLRLAYCPYNLWGANCLATLYYVAVPCLCLLRAISLFPKTSSLWVLPFAYVAFAHRAYSLGEFLWCGGTFQGWCNDQRMWMFKRTTSYFFGFFDTILKLLGYSKAAFVITAKIADDEVLKRYEQELIEFGATSPMFDILATLAMLNLFSSLGAIKKVILEADHSKVLDLFGLQILLCLLLVTINFPVYQALFFRKDNGRMPSSSVDGTLSTGFLTKTGSLKGALCWVPDDGHLILVCMCLKVLALVVDQLDYGDNICGAVLSIRFNEDILSVWNRNVSDHQAVMALRDSIKRHLKLPHSYVMEYKPHDASLRDNSSYRKAWLRG >EOY08136 pep chromosome:Theobroma_cacao_20110822:5:4894024:4908472:1 gene:TCM_022473 transcript:EOY08136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILFFFDRLKYHHGDPWVEKRMTWQSFDMPHGSLLTCHMGTVIDNGETKEDGQFMEDFESDDDEIEQDSEGFRSDEASIDLVATWIRLQGMPLKFYDKKESDKLRRVEDDMFPLCQVWAWS >EOY09466 pep chromosome:Theobroma_cacao_20110822:5:29306438:29310833:-1 gene:TCM_024880 transcript:EOY09466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein, putative isoform 1 MTDSTEGKSEKGISTSDVTQLDVKTGLHLAEALQLQLDVQRLLHEQLEIQRNLQLRIEEQERQLKMMIDQQQKTNESLLKGQDLDITPFAHFPSKM >EOY09467 pep chromosome:Theobroma_cacao_20110822:5:29306560:29309064:-1 gene:TCM_024880 transcript:EOY09467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein, putative isoform 1 MTDSTEGKSEKGISTSDVTQLDVKTGLHLAEALQLQLDVQRLLHEQLELRIEEQERQLKMMIDQQQKTNESLLKGQDLDITPFAHFPSKM >EOY09547 pep chromosome:Theobroma_cacao_20110822:5:29763922:29764884:1 gene:TCM_024957 transcript:EOY09547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQAKADSAESSNSIAQSIPCLPAPLVPLSETQETCPFVCYRCSKRFPSTHALGGHQNAHKKERNEERSLYVEQRLALMRQPPITLPSLTPLTVVPSAQIFGHLAKPAVINSVPASHGSMLLQPLASGFILDRLKAPVFMPAGFHFGPARTEVKGGEVSYKASHHESDNYHPYKKPVDKESMARKLYLYEENDFWAKAEEDSACSKEYSASTTTDDAGPNAEALINEDKEDAHDQNTSKEELDLTLRL >EOY11581 pep chromosome:Theobroma_cacao_20110822:5:39760870:39762679:-1 gene:TCM_026721 transcript:EOY11581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVTNHDNTRPFVPPNSQSFYHISITFNFWIPKIKRRNNVRGVDDENGSKSKKKHVPKSRESFRKTVGVMFSNVFGNKKLSPETGSGSSNGNGKVHWDGDWDQMENWKKFVERSVGMESAKTREKGSENHLYGGVRPTVVATGLGISNSRMFKELLEDEDKAERRGKQQDRVSKFFSKLINDLEMKKGETTKADDGVGDRGAKTVARKKGKVMGKQEKKSRPKLKIAWSMKKMMGGKSEKQELCKKRILMGGRCRPLGTIQFEWYKKTESRQINGLIVDPFKIAWDKYIDEEIRHLSLRLAASNTPTLPSQKVA >EOY09490 pep chromosome:Theobroma_cacao_20110822:5:29489608:29496504:1 gene:TCM_024908 transcript:EOY09490 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta small subunit isoform 2 MEAMEVDSQTQLQRKQSAYLSLDENFEIQNEVYRGQQYSQIYFARLHMMRTLLYSLAPNWKSHLPVCTVLGLEEGKECIIVGTLYKHMKLKPCILDEYSKERSTAPLVKPHNFMHQDDYLFLEDESGRVKLGGTMLSPSVYVTGVVIALHGKETTAGDFFVEDVLEAGLPPQIERPLQSREDKYVVFVSGLTVGSGASNPLQFQLLVDHITGHLGDEEEQGLAADIVHLVIAGNSVEISRGLLNGQNLASKDQSRLSEPIKELDILLTQIAASLPLDIMPGSNDPANFSLPQQPLNRCLFPGSATYNTFRSCTNPHCFELDNIRFLGTSGQNINDLTEYSEAKDKIDFLERTLRWRHLAPTAPNTLGCYPFTDRDPFLIGSCPHVYFVGNQEKYETRLLKGSEGQLVRLICIPRFCETGVAVVLNMRNLECHALTFGTQFSS >EOY09492 pep chromosome:Theobroma_cacao_20110822:5:29489602:29496894:1 gene:TCM_024908 transcript:EOY09492 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta small subunit isoform 2 MEAMEVDSQTQLQRKQSAYLSLDENFEIQNEVYRGQQYSQIYFARLHMMRTLLYSLAPNWKSHLPVCTVLGLEEGKECIIVGTLYKHMKLKPCILDEYSKERSTAPLVKPHNFMHQDDYLFLEDESGRVKLGGTMLSPSVYVTGVVIALHGKETTAGDFFVEDVLEAGLPPQIERPLQSREDKYVVFVSGLTVGSGASNPLQFQLLVDHITGHLGDEEEQGLAADIVHLVIAGNSVEISRGLLNGQNLASKDQSRLSEPIKELDILLTQIAASLPLDIMPGSNDPANFSLPQQPLNRCLFPGSATYNTFRSCTNPHCFELDNIRFLGTSGQNINDLTEYSEAKDKIDFLERTLRWRHLAPTAPNTLGCYPFTDRDPFLIGSCPHVYFVGNQEKYETRLLKAQHEKSRMPCSNFWDSIQLIKWYGVLIPVSVVELLKVY >EOY09491 pep chromosome:Theobroma_cacao_20110822:5:29489679:29496894:1 gene:TCM_024908 transcript:EOY09491 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta small subunit isoform 2 MMRTLLYSLAPNWKSHLPVCTVLGLEEGKECIIVGTLYKHMKLKPCILDEYSKERSTAPLVKPHNFMHQDDYLFLEDESGRVKLGGTMLSPSVYVTGVVIALHGKETTAGDFFVEDVLEAGLPPQIERPLQSREDKYVVFVSGLTVGSGASNPLQFQLLVDHITGHLGDEEEQGLAADIVHLVIAGNSVEISRGLLNGQNLASKDQSRLSEPIKELDILLTQIAASLPLDIMPGSNDPANFSLPQQPLNRCLFPGSATYNTFRSCTNPHCFELDNIRFLGTSGQNINDLTEYSEAKDKIDFLERTLRWRHLAPTAPNTLGCYPFTDRDPFLIGSCPHVYFVGNQEKYETRLLKGSEGQLVRLICIPRFCETGVAVVLNMRNLECHALTFGTQFSS >EOY11573 pep chromosome:Theobroma_cacao_20110822:5:39704813:39708560:1 gene:TCM_026711 transcript:EOY11573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLVVLDDIWKRLDLKEVGIPFGNQHKECKILLPSRDQNVLSNGMDADKTFAIDNLDDEEAWDLFRKMARDSVESAELQSTAIEVAKECARLPLAIATIARSLRKVYLLGRMFYDHYRSLPQETSLGYPQILVRRDANIVDLMKYAIGLGLIKGVDSLEKARNRADVLKNWPEEEIVKKCKKIHLGFPGITELPDELNCPQLVYFRVFSKFGKNDNNDSLKMPPNFFKETTNLKVLHLRNMQFSSLPSEVEGHASHRTNASLAELKNLSRLTALEVHILDVEATSGGLFFEALQKLERYKIFLENDNRELFDEYECTREHSRTLLLDSSLYWITLTFALKTRAALPQCLNMSCLFSVKRFSSYDQPLFSNVKLYGTIMVLLPSLENLQFYSINVERIWHCYQISICNHENLRTLIISCCDDLKHLLTFFMDRRLVHLKYLEIRICNSLRDRISAEDIEEENKSLKIDNCPELKGFIYKSTLEGSQCFSSQTLFDEKVAFPSLEEIFISSLSSMKMIWQNQLPTNSFPKLQRMKAWSWDDLPRRVALTMHQGSMVTSMDFHPSLHTLLLVGSVNGEITLWELGMLDKLVTKPFKIWDMTACSMTFQALMLNGTPISANRVTWNPDGSLGLHFPNT >EOY07950 pep chromosome:Theobroma_cacao_20110822:5:3574224:3577714:-1 gene:TCM_022282 transcript:EOY07950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLIKPLVSNLQKILKIKDAVNKITLRTLKLLFWGILLQGGYSHSLADNIDLVYGVGKELIRWCGILQVKCGMRGHLGPACNAVGYMDREILGINHLYKSPVRQRLKIQRLVKFNLSYSLGHHRHPLRACADTFQGPLSKAQTTGINGTWLTFSRLTHAIPINKQLYTISYVCLTAGAAGVVFSGVYILIHVWGLRTPFLFLEWIGMNSMLILCWGHKVYLQHSSVAGTITALTTHLSLGSKLMFS >EOY08330 pep chromosome:Theobroma_cacao_20110822:5:6450464:6467580:1 gene:TCM_022674 transcript:EOY08330 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H, putative MWGMDVIGLITPKASNGHRFILVAIDYFTKWVEASSYANVTQKVVCKFVQKEIICRYGLPERIITDNASNLNGSMMKEVCAKFKIKHHNSTPYRPKMNGAVEAANKNIKRIIEKMTDIYKDWHEKLPFALHAYRTTVRTSTGATPFSLVYGIEAVLPIEVEIPSLRVLKEVQLEEAEWVNARYEQLNLIEEKRLTALCHGQLYQKRMMRAYDKKAHSRQFREGELRGPIAAEDRRRKEERADGKGTRPIVAEDLSSVGESRLDPRREEEFADARRSPTIDAARSVAGRKGRSR >EOY10944 pep chromosome:Theobroma_cacao_20110822:5:37154733:37159188:1 gene:TCM_026212 transcript:EOY10944 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding ASCH domain protein isoform 2 MRKGGPRREGNYTNPCLTMHQPWASLLVHGIKRIEGRSWPAPIRGRLWIHAASKVPDEATIKAMEDFYREIYAVNGITDLKFPEHYPVSRLLGCVEVVGCLRCEELAQWEVLPEGVRLEGQTDFCWLCEQPKKLLIPFEMRGFQRVYNLEKKIYEAAVRGLVPVEGPAPVKFPLPNPRDPFSLKPGSISVSCPDSKASAVEQSSSLSAAIAGARAAATQFSKDSNLHKSTIQRSTPESTSSEVQTILVEDNGMPDSNLNEESVKANSASNPCGYFEEERSCSHNQSPAHLKQHPGAPSKLFPSDAGSMVEAIEAVSGPLKYAMLAKGAKTRPSPSSTINGPVHQYPMPPPPAPPST >EOY10946 pep chromosome:Theobroma_cacao_20110822:5:37154743:37158869:1 gene:TCM_026212 transcript:EOY10946 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding ASCH domain protein isoform 2 MRKGGPRREGNYTNPCLTMHQPWASLLVHGIKRIEGRSWPAPIRGRLWIHAASKVPDEATIKAMEDFYREIYAVNGITDLKFPEHYPVSRLLGCVEVVGCLRCEELAQWEVLPEGVRLEGQTDFCWLCEQPKKLLIPFEMRGFQRVYNLEKKIYEAAVRGLVPVEGPAPVKFPLPNPRDPFSLKPGSISVSCPDSKASAVEQSSSLSAAIAGARAAATQFSKDSNLHKSTIQRSTPESTSSEVQTILVEDNGMPDSNLNEESVKANSASNPCGYFEEERSCSHNQSPAHLKQHPGAPSKIFAAALRGLKPS >EOY10947 pep chromosome:Theobroma_cacao_20110822:5:37154821:37158192:1 gene:TCM_026212 transcript:EOY10947 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding ASCH domain protein isoform 2 MRKGGPRREGNYTNPCLTMHQPWASLLVHGIKRIEGRSWPAPIRGRLWIHAASKVPDEATIKAMEDFYREIYAVNGITDLKFPEHYPVSRLLVGCVEVVGCLRCEELAQWEVLPEGVRLEGQTDFCWLCEQPKKLLIPFEMRGFQRVYNLEKKIYEAAVRGLVPVEGPAPVKFPLPNPRDPFSLKPGSISVSCPDSKASAVEQSSSLSAAIAGARAAATQFSKDSNLHKSTIQRSTPESTSSEVQTILVEDNGMPDSNLNEESVKANSASNPCGYFEEERSCSHNQSPAHLKQHPGAPSKIFAAALRGLKPS >EOY10945 pep chromosome:Theobroma_cacao_20110822:5:37154743:37158856:1 gene:TCM_026212 transcript:EOY10945 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding ASCH domain protein isoform 2 MRKGGPRREGNYTNPCLTMHQPWASLLVHGIKRIEGRSWPAPIRGRLWIHAASKVPDEATIKAMEDFYREIYAVNGITDLKFPEHYPVSRLLGCVEVVGCLRCEELAQWEVLPEGVRLEGQTDFCWLCEQPKKLLIPFEMRGFQRVYNLEKKIYEAAVRGLVPVEGPAPVKFPLPNPRDPFSLKPGSISVSCPDSKASAVEQSSSLSAAIAGARAAATQFSKDSNLHKSTIQRSTPESTSSEVQTILVEDNGMPDSNLNEESVKANSASNPCGYFEEERSCSHNQSPAHLKQHPGAPSKIFAAALRGLKPS >EOY09486 pep chromosome:Theobroma_cacao_20110822:5:29435514:29437621:-1 gene:TCM_024901 transcript:EOY09486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSMKETAANAGASAEAGLEKAKASVQEKTRNPNEKEMARERKEERKELAEQMKQEVRDQTAQLGKLVVATPRVAGTIEATLVATLPRVLAMTRAGMLASVTKLAMMAMLAMLETQQVVTLIRQLGAKITEVGNTRVGTGRSGSLTMLQGRLEGTPAWLGMIHMDPNG >EOY08211 pep chromosome:Theobroma_cacao_20110822:5:5654207:5657881:-1 gene:TCM_022555 transcript:EOY08211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesylated protein-converting enzyme 2 isoform 2 MEGKMSLIRARELRCKVIQTKRSKIQKPPKQESSDSDPPVLPRSKPETIEPEAVDISHSLFLSPAPQAAAPGNPIMEENQGSLSKPVAVMACITMTLFYVAILYAPTLILRLPPPQSFKNFMIRRFVCAAVSSVASVIFVPLILPISSLEASFLFGVYGIRSDHLWQAVVFPLFLTSLMYVGSLTLKSILLVNKWKQHRNQDGRPLFDCIKSTVLSFPSQMSSVASNVLFWRNFVVAPLTEELVFRACMIPLLLCGGFKAYNVIFVCPTFFSIAHLNHMMEIYSQQNYSLLKASMVVGLQLGYTVIFGSYASFLFIRTGNLVAPLVAHIFCNYMGLPVLFVQRKGLVSMAFVAGMISFAWLLFPITRPDLYNNRTNNCRCWQGYCSWS >EOY08212 pep chromosome:Theobroma_cacao_20110822:5:5654214:5657663:-1 gene:TCM_022555 transcript:EOY08212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesylated protein-converting enzyme 2 isoform 2 KVIQTKRSKIQKPPKQESSDSDPPVLPRSKPETIEPEAVDISHSLFLSPAPQAAAPGNPIMEENQGSLSKPVAVMACITMTLFYVAILYAPTLILRLPPPQSFKNFMIRRFVCAAVSSVASVIFVPLILPISSLEASFLFGVYGIRSDHLWQAVVFPLFLTSLMYVGSLTLKSILLVNKWKQHRNQDGRPLFDCIKSTVLSFPSQMSSVASNVLFWRNFVVAPLTEELVFRACMIPLLLCGGFKAYNVIFVCPTFFSIAHLNHMMEIYSQQNYSLLKASMVVGLQLGYTVIFGSYASFLFIRTAGNLVAPLVAHIFCNYMGLPVLFVQRKGLVSMAFVAGMISFAWLLFPITRPDLYNNRTNNCRCWQGYCSWS >EOY11764 pep chromosome:Theobroma_cacao_20110822:5:40240972:40244733:-1 gene:TCM_026838 transcript:EOY11764 gene_biotype:protein_coding transcript_biotype:protein_coding description:MuDR family transposase isoform 2 MASKKIIAICQSGGEFETDKDGSLSYRGGDAHAIDIDDQMKFNDFRMEVAEMFNCNIETMSIKYFLPGNKKTLITVSNDKDLQRMIKFHGDSVTADVYIIMEEIVAPDVSNMPASRSSRTTLSEAVPPLDPPLDVVDNIVDDTTQLHLPIGASLDVVDTNHIDAHIDLPPEISSILPLAVSVNEKHAKGAQQWQNTITGVGQRFSGVHEFRESLRKYAIAHQFAFRYKKNDSHRVTVKCKAEGCPWRIHASRLSTTQLICIKKMNPTHTCEGAVVTTGHQATRSWVASIIKEKLKVFPNYKPKDIVNDIKQEYGIQLNYFQAWRGKEIAKEQLQGSYKEAYSQLPYFCERIMETNPGSFATFTTKEDSSFHRLFISFHASLCGFVQGCRPLLFLDSIPLKSKYQGTLLAATAADGDDSVFPVAFSVVDAETDDNWHWFLLQLKSALSTSCPITFIADRQKGLRESISEIFKGSYHGYCLRYLTEQLIRDLKGQFSHEVKRLMIEDLYAAALAPRPEGFQRSIESIKSISLEAYNWIIQSEPQKWANSFFQGARYNHMTSNFGELFYSWASDAHELPITQMVDLIRGKIMELIYTRRADSDQWLTRLTPSMEEKLEKESLNVRPLQVLLTSGSIFEVRGESIEVVDMDRWDCSCKGWQLTGLPCCHAIAVISCIGRSPYDYCSRYFTTESYRLTYAETVQPIPDVDRALQKDSSQALVTVTPPPTRRPPGRPTTKKVGSQEVMKRQLQCSRCKGLGHNKSTCKELL >EOY11763 pep chromosome:Theobroma_cacao_20110822:5:40240411:40244733:-1 gene:TCM_026838 transcript:EOY11763 gene_biotype:protein_coding transcript_biotype:protein_coding description:MuDR family transposase isoform 2 MASKKIIAICQSGGEFETDKDGSLSYRGGDAHAIDIDDQMKFNDFRMEVAEMFNCNIETMSIKYFLPGNKKTLITVSNDKDLQRMIKFHGDSVTADVYIIMEEIVAPDVSNMPASRSSRTTLSEAVPPLDPPLDVVDNIVDDTTQLHLPIGASLDVVDTNHIDAHIDLPPEISSILPLAVSVNEKHAKGAQQWQNTITGVGQRFSGVHEFRESLRKYAIAHQFAFRYKKNDSHRVTVKCKAEGCPWRIHASRLSTTQLICIKKMNPTHTCEGAVVTTGHQATRSWVASIIKEKLKVFPNYKPKDIVNDIKQEYGIQLNYFQAWRGKEIAKEQLQGSYKEAYSQLPYFCERIMETNPGSFATFTTKEDSSFHRLFISFHASLCGFVQGCRPLLFLDSIPLKSKYQGTLLAATAADGDDSVFPVAFSVVDAETDDNWHWFLLQLKSALSTSCPITFIADRQKGLRESISEIFKGSYHGYCLRYLTEQLIRDLKGQFSHEVKRLMIEDLYAAALAPRPEGFQRSIESIKSISLEAYNWIIQSEPQKWANSFFQGARYNHMTSNFGELFYSWASDAHELPITQMVDLIRGKIMELIYTRRADSDQWLTRLTPSMEEKLEKESLNVRPLQVLLTSGSIFEVRGESIEVVDMDRWDCSCKGWQLTGLPCCHAIAVISCIGRSPYDYCSRYFTTESYRLTYAETVQPIPDVDRALQKDSSQALVTVTPPPTRRPPGRPTTKKVGSQEVMKRQLQCSRCKGLGHNKSTCKELL >EOY11761 pep chromosome:Theobroma_cacao_20110822:5:40240316:40245025:-1 gene:TCM_026838 transcript:EOY11761 gene_biotype:protein_coding transcript_biotype:protein_coding description:MuDR family transposase isoform 2 MASKKIIAICQSGGEFETDKDGSLSYRGGDAHAIDIDDQMKFNDFRMEVAEMFNCNIETMSIKYFLPGNKKTLITVSNDKDLQRMIKFHGDSVTADVYIIMEEIVAPDVSNMPASRSSRTTLSEAVPPLDPPLDVVDNIVDDTTQLHLPIGASLDVVDTNHIDAHIDLPPEISSILPLAVSVNEKHAKGAQQWQNTITGVGQRFSGVHEFRESLRKYAIAHQFAFRYKKNDSHRVTVKCKAEGCPWRIHASRLSTTQLICIKKMNPTHTCEGAVVTTGHQATRSWVASIIKEKLKVFPNYKPKDIVNDIKQEYGIQLNYFQAWRGKEIAKEQLQGSYKEAYSQLPYFCERIMETNPGSFATFTTKEDSSFHRLFISFHASLCGFVQGCRPLLFLDSIPLKSKYQGTLLAATAADGDDSVFPVAFSVVDAETDDNWHWFLLQLKSALSTSCPITFIADRQKGLRESISEIFKGSYHGYCLRYLTEQLIRDLKGQFSHEVKRLMIEDLYAAALAPRPEGFQRSIESIKSISLEAYNWIIQSEPQKWANSFFQGARYNHMTSNFGELFYSWASDAHELPITQMVDLIRGKIMELIYTRRADSDQWLTRLTPSMEEKLEKESLNVRPLQVLLTSGSIFEVRGESIEVVDMDRWDCSCKGWQLTGLPCCHAIAVISCIGRSPYDYCSRYFTTESYRLTYAETVQPIPDVDRALQKDSSQALVTVTPPPTRRPPGRPTTKKVGSQEVMKRQLQCSRCKGIRLRIKCIRFCTSNNYLEVVERHGGSSAVNINNEINC >EOY11762 pep chromosome:Theobroma_cacao_20110822:5:40240667:40244695:-1 gene:TCM_026838 transcript:EOY11762 gene_biotype:protein_coding transcript_biotype:protein_coding description:MuDR family transposase isoform 2 MASKKIIAICQSGGEFETDKDGSLSYRGGDAHAIDIDDQMKFNDFRMEVAEMFNCNIETMSIKYFLPGNKKTLITVSNDKDLQRMIKFHGDSVTADVYIIMEEIVAPDVSNMPASRSSRTTLSEAVPPLDPPLDVVDNIVDDTTQLHLPIGASLDVVDTNHIDAHIDLPPEISSILPLAVSVNEKHAKGAQQWQNTITGVGQRFSGVHEFRESLRKYAIAHQFAFRYKKNDSHRVTVKCKAEGCPWRIHASRLSTTQLICIKKMNPTHTCEGAVVTTGHQATRSWVASIIKEKLKVFPNYKPKDIVNDIKQEYGIQLNYFQAWRGKEIAKEQLQGSYKEAYSQLPYFCERIMETNPGSFATFTTKEDSSFHRLFISFHASLCGFVQGCRPLLFLDSIPLKSKYQGTLLAATAADGDDSVFPVAFSVVDAETDDNWHWFLLQLKSALSTSCPITFIADRQKGLRESISEIFKGSYHGYCLRYLTEQLIRDLKGQFSHEVKRLMIEDLYAAALAPRPEGFQRSIESIKSISLEAYNWIIQSEPQKWANSFFQGARYNHMTSNFGELFYSWASDAHELPITQMVDLIRGKIMELIYTRRADSDQWLTRLTPSMEEKLEKESLNVRPLQVLLTSGSIFEVRGESIEVVDMDRWDCSCKGWQLTGLPCCHAIAVISCIGRSPYDYCSRYFTTESYRLTYAETVQPIPDVDRALQKDSSQALVTVTPPPTRRPPGRPTTKKVGSQEVMKRQLQCSRCKGLGHNKSTCKELL >EOY07612 pep chromosome:Theobroma_cacao_20110822:5:2147447:2163103:-1 gene:TCM_022000 transcript:EOY07612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol-1,4,5-trisphosphate 5-phosphatase CVP2 MRTEMRKISKSSWPKVIARKWLNIPSGADEFHSDYAINGQIDERRKSCSDDEYYVIVPEDFSEGWLKEAAGGIKPSKIMPEAAPVTDSHNLRMFVGTWNVGGKSPHEGLNLRDWLRSPAPADIYVLGRVEKVVFLLKASTIQHGCGERTAVDAVLTCFTAHNQLELSPTWSIFIYPSALAFNLVFTCLRRKQFQEIVPLNAGNVLGAEDNGPAAKWLSLIRQALNSNRSDQELAQYYSNSTEARSPSSPQLELQAGLKPRISFSDLLSIEDEFGKEDFERLLYLNSSSSEEGSPSPTCMSGSPNQQRFCLAASKQMVGLFLCVWVRADLYKHISSLKVSCVGRGIMGYLGNKGSISISMTLYQTTFCFVCTHLTSGEKEGDEIRRNSDVAEILRRTRFSQSFRDLLKRTRFSNSYRDLRQPLPPESILDHDKIIWLGDLNYRLAAGSGDTHALLKKNDWQALLEKDQLRIEQRAGRVFKGWEEGRIYFAPTYKYLTDSDDYVVQLSNSKEKRRTPAWCDRILWKGEGLKQIWYSRGESRFSDHRPVYSLFSVHVNPANKPISTARSCSPRFSAKTALSSACAAKVQAEELLLIPRAQSCINATSRF >EOY09984 pep chromosome:Theobroma_cacao_20110822:5:32084575:32086852:1 gene:TCM_025353 transcript:EOY09984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSALSESDHSLIHSQTMEWLLSDDPIETTESHDISDWLILVRGARVSSPIREGLNVHSGEQLRLLTKNLRQVIKVGSSAQQEKKEKRAKATLVGRNNTSFKLSDECIAHAMKFEEFLERIRTKQEKAKEMKCKTHDTDNGVHDVKQQYGKLPVVGFVV >EOY10038 pep chromosome:Theobroma_cacao_20110822:5:32547993:32549119:1 gene:TCM_025421 transcript:EOY10038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGGRVIGENSDECGGWRWGLEMLLWVTEVRGAIWGGSDCFFFPGVLNQFMHELMWFPFGVGFGDGFLLVWGLGLMIGWSWILCFTVALMFGRDDLTGDSFFSRWCLSFVAKGFPLTFVGLMSFGCELRPWLRFVFEAAAG >EOY09850 pep chromosome:Theobroma_cacao_20110822:5:31318719:31324141:-1 gene:TCM_025223 transcript:EOY09850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNYQGNREEEVEAAKKRCRVLIEKIDSLGITITQPCKHTLSKLAHSELSFLSRFPNPTSSQPLSVNIGHLEAIVHILQQPFITAVSRVCKPLPLPFSNTNKNDSSSSSNPIHVHIVCTLNKNPVWIIVSDRNPNYISWYPSKKTKGFKSRIQQVLDAAQSTNTLRPFSIVLFFSNGLTNFIHQKLQDEFGASKLALEFSDFDFCEEFEGEWINVIPRSYKEACILEIKVDRVVDDVASSEHRTKDPLVNVLPPECQGGNAYLNLGLGNSFSALVSQMKKVGSTKVEDFPGEDDFVNFDTTALIALVSGISNGCAEELLNKPEVELRHRFKGNYEFVIAQAMSEIQNPIHGGLSAAIAGKRGIICESVLSEFKELVLMCGGANEKSRADQLLKCLLIVRDSPSERLMGLPTTRKLALKNKIVFGTGDYWHAPTLTANMAFVRAVAQTAMSLFTIEHRPRALTGN >EOY09851 pep chromosome:Theobroma_cacao_20110822:5:31319532:31324461:-1 gene:TCM_025223 transcript:EOY09851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNYQGNREEEVEAAKKRCRVLIEKIDSLGITITQPCKHTLSKLAHSELSFLSRFPNPTSSQPLSVNIGHLEAIVHILQQPFITAVSRVCKPLPLPFSNTNKNDSSSSSNPIHVHIVCTLNKNPVWIIVSDRNPNYISWYPSKKTKGFKSRIQQVLDAAQSTNTLRPFSIVLFFSNGLTNFIHQKLQDEFGASKLALEFSDFDFCEEFEGEWINVIPRSYKEACILEIKVDRVVDDVASSEHRTKDPLVNVLPPECQGGNAYLNLGLGNSFSALVSQMKKVGSTKVEDFPGEDDFVNFDTTALIALVSGISNGCAEELLNKPEVELRHRFKGNYEFVIAQDCAR >EOY09805 pep chromosome:Theobroma_cacao_20110822:5:31124386:31128345:1 gene:TCM_025179 transcript:EOY09805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2358) [Source:Projected from Arabidopsis thaliana (AT3G04890) TAIR;Acc:AT3G04890] MAGIGYPNLIPRVNRTTTQSPLNLQRAHGARCCSVAPENEKKTKTPQILRLAVSGVTELLRLFSSSTKDRVDDGLCTREREGISVSDVDEVLRILKSDYENAYFVTGKELYSRNLKLLVPFFDNPSIGLQKIEKGVKVETNFVLATWRLRTFLKLPWKPLISIDGSTFYELGQKFTIVRHAESWNVSALEAVGQIFTPSFWKAK >EOY09803 pep chromosome:Theobroma_cacao_20110822:5:31124381:31128331:1 gene:TCM_025179 transcript:EOY09803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2358) [Source:Projected from Arabidopsis thaliana (AT3G04890) TAIR;Acc:AT3G04890] MAGIGYPNLIPRVNRTTTQSPLNLQRAHGARCCSVAPENEKKTKTPQILRLAVSGVTELLRLFSSSTKDRVDDGLCTREREGISVSDVDEVLRILKSDYENAYFVTGNFTSAIYAEDCIFEDPTIRFRGKELYSRNLKLLVPFFDNPSIGLQKIEKGVKVETNFVLATWRLRTFLKLPWKPLISIDGSTFYELGQKFTIVRHAESWNVSALEAVGQIFTPSFWKAK >EOY09804 pep chromosome:Theobroma_cacao_20110822:5:31124386:31128038:1 gene:TCM_025179 transcript:EOY09804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2358) [Source:Projected from Arabidopsis thaliana (AT3G04890) TAIR;Acc:AT3G04890] MAGIGYPNLIPRVNRTTTQSPLNLQRAHGARCCSVAPENEKKTKTPQILRLAVSGVTELLRLFSSSTKDRVDDGLCTREREGISVSDVDEVLRILKSDYENAYFVTGNFTSAIYAEDCIFEDPTIRFRGKELYSRNLKLLVPFFDNPSIGLQKIEKGVKVETNFVLATWRLRCFTDIKYLVLHSQQDPLV >EOY09806 pep chromosome:Theobroma_cacao_20110822:5:31124381:31128345:1 gene:TCM_025179 transcript:EOY09806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2358) [Source:Projected from Arabidopsis thaliana (AT3G04890) TAIR;Acc:AT3G04890] MAGIGYPNLIPRVNRTTTQSPLNLQRAHGARCCSVAPENEKKTKTPQILRLAVSGVTELLRLFSSSTKDRVDDGLCTREREGISVSDVDEVLRILKSDYENAYFVTGKELYSRNLKLLVPFFDNPSIGLQKIEKGVKVETNFVLATWRLRTFLKLPWKPLISIDGSTFYELGQKFTIVRHAESWNVSALEAVGQIFTPSFWKAK >EOY10264 pep chromosome:Theobroma_cacao_20110822:5:33837744:33842193:-1 gene:TCM_025637 transcript:EOY10264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root cap 1 isoform 2 MADSLFFPLAAKAPGDHSSFVFRNCPFPSRLLPSKPFPLHLNGSKNKLNVLALSQYSLVSLRYRVGPTPALDSDFPHPLHKGSVNFKSAKIYEQWDSLTAKFSGAANIPFLLLQLPQIILNARNLLAGNKTALFAVPWLGMFTGLLGNLSLLSYFAKKREKEAIAVQTLGVVSIYVVITQLAMAEAMPLPYFVGTSVVVGAGLILNFLNYYGKLNTTIWQFWEDFITVGGLSVLPQIMWSTFVPYIPNSILPGAIAFVLAVTAVIMARMGKLSEKGVKFVGAISGWTATLLFMWMPVSQMWTNFLNPDNIKGLSAFSMLLAMIGNGLMIPRALFIRDFMWFTGATWASLFYGYGNIVCLYFFNTISREFFLAATVGLFSWIGMALWRDTVVYGYNSPLRSLKELVFGS >EOY10265 pep chromosome:Theobroma_cacao_20110822:5:33837876:33842023:-1 gene:TCM_025637 transcript:EOY10265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root cap 1 isoform 2 MADSLFFPLAAKAPGDHSSFVFRNCPFPSRLLPSKPFPLHLNGSKNKLNVLALSQYSLVSLRYRVGPTPALDSDFPHPLHKGSVNFKSAKIYEQWDSLTAKFSGAANIPFLLLQLPQIILNARNLLAGNKTALFAVPWLGMFTGLLGNLSLLSYFAKKREKEAIAVQTLGVVSIYVVITQLAMAEAMPLPYFVGTSVVVGAGLILNFLNYYGKLNTTIWQFWEDFITVGGLSVLPQIMWSTFVPYIPNSILPGAIAFVLAVTAVIMARMGKLSEKGVKFVGAISGWTATLLFMWMPVSQWTNFLNPDNIKGLSAFSMLLAMIGNGLMIPRALFIRDFMWFTGATWASLFYGYGNIVCLYFFNTISREFFLAATVGLFSWIGMALWRDTVVYGYNSPLRSLKELVFGS >EOY09351 pep chromosome:Theobroma_cacao_20110822:5:28473819:28476149:1 gene:TCM_024774 transcript:EOY09351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLREVSGRDTLGAKANSVNIWRPVVDRFESRLAGWKANFLSSEVKNELDKIQRRFLWGASEGLSFIVGDGHNISFWHDGWLEDSPFKIGFPHILHLLKINMTTGWGDSNLAELLAIKEAFLLFAASPWVNSYVLIIESDSSNVVRWILKPYDSP >EOY11504 pep chromosome:Theobroma_cacao_20110822:5:39467163:39471548:1 gene:TCM_026656 transcript:EOY11504 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-actin capping protein alpha, putative isoform 4 MISIFVFSLFPSLSCFTNQKAFTDHYLLLHISLSLSFSSAFLLFLVPNKSKRFRFFPLKFALQRARKIGMAEEETELSEEQRKEIAKWFLLNAPAGEIQYVAKDLKSVLNDDDVYNEAVSEAFPVYNKSHMICLEMPGRFGDVLVTSYGELQDNEYLDPKTAQVAIVDHVKQVCTEVRPATDEELPSPYIEEYRCALDIEMSKYVGEAYPKGFCSVYCTNGKDVEGPGSDFELVVVISAARLSPQNFCNGSWRSIWNIEFKDDIQILELKGKLQVGAHYFEEGNVQLDARHECKDSTLFQSPDDSAVSIATIIRHHETEYLASLEASYLNLPDTTFKDLRRKLPVTRTLFPWHNTSQFSLTREISKELGIGK >EOY11505 pep chromosome:Theobroma_cacao_20110822:5:39467393:39471548:1 gene:TCM_026656 transcript:EOY11505 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-actin capping protein alpha, putative isoform 4 SCFTNQKAFTDHYLLLHISLSLSFSSAFLLFLVPNKSKRFRFFPLKFALQRARKIGMAEEETELSEEQRKEIAKWFLLNAPAGEIQYVAKDLKSVLNDDDVYNEAVSEAFPVYNKSHMICLEMPGRFGDVLVTSYGELQDNEYLDPKTAQVAIVDHVKQVCTEVRPATDEELPSPYIEEYRCALDIEMSKYVGEAYPKGFCSVYCTNGKDVEGPGSDFELVVVISAARLSPQNFCNGSWRSIWNIEFKDDIQILELKGKLQQVGAHYFEEGNVQLDARHECKDSTLFQSPDDSAVSIATIIRHHETEYLASLEASYLNLPDTTFKGSSQETSSYSNLIPMA >EOY11506 pep chromosome:Theobroma_cacao_20110822:5:39467354:39470605:1 gene:TCM_026656 transcript:EOY11506 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-actin capping protein alpha, putative isoform 4 MISIFVFSLFPSLSCFTNQKAFTDHYLLLHISLSLSFSSAFLLFLVPNKSKRFRFFPLKFALQRARKIGMAEEETELSEEQRKEIAKWFLLNAPAGEIQYVAKDLKSVLNDDDVYNEAVSEAFPVYNKSHMICLEMPGRFGDVLVTSYGELQDNEYLDPKTAQVAIVDHVKQVCTEVRPATDEELPSPYIEEYRCALDIEMSKYVGEAYPKGFCSVYCTNGKDVEGPGSDFELVVVISAARLSPQNFCNGSWRSIWNIEFKDDIQILELKGKLQVGAHYFEEGNVQLDARHECKDSTLFQSPDDSAVSIATIIRHHETEYLASLEVAFNMSGLFQMLEFSRVLY >EOY11507 pep chromosome:Theobroma_cacao_20110822:5:39467214:39471515:1 gene:TCM_026656 transcript:EOY11507 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-actin capping protein alpha, putative isoform 4 MAEEETELSEEQRKEIAKWFLLNAPAGEIQYVAKDLKSVLNDDDVYNEAVSEAFPVYNKSHMICLEMPGRFGDVLVTSYGELPSPYIEEYRCALDIEMSKYVGEAYPKGFCSVYCTNGKDVEGPGSDFELVVVISAARLSPQNFCNGSWRSIWNIEFKDDIQILELKGKLQVGAHYFEEGNVQLDARHECKDSTLFQSPDDSAVSIATIIRHHETEYLASLEASYLNLPDTTFKDLRRKLPVTRTLFPWHNTSQFSLTREISKELGIGK >EOY11492 pep chromosome:Theobroma_cacao_20110822:5:39440704:39444939:1 gene:TCM_026649 transcript:EOY11492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint control protein family isoform 1 MELSLSGNALRTFSRSITCLARVGNELVIQASPSQLALHTLNSSRSAYQSITFKPNFFDVYGISGTQVQCSVLLKAVCSVLRTPMSSIDHLTLKLADPDASKVQWALECYSGMKKAYWITCNVEPDIQHLSLDRRRFPSNLVVRPRDLNRLLANFQSSLQEITIIATEPMSLPSDAASEIGGKAVELRSYIDPTKDNDSSLHTQLWIDPMEECVQYTHTGDPVDVTFSVKELKAFLTFCEGCEVDIHLFFDKAGEPILMAPKFGLDDGSGSNFDAALVLATMLISQLHEGNPSEPVQAAVTVHDQAMHGTGSQAQQERSRANVSEHPSDHTRIWSDLSGSAAKSGSGVEERQVQKQQNLNASEQRDIQRISMMNITKDAPIRESVPAAPNLSHHQVERDHVAGVQDTNQINGHALSQRHPSNWVDADEDDDDDDDGDENENELCVQSTPPYYEEQ >EOY11495 pep chromosome:Theobroma_cacao_20110822:5:39440704:39444939:1 gene:TCM_026649 transcript:EOY11495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint control protein family isoform 1 MELSLSGNALRTFSRSITCLARVGNELVIQASPSQLALHTLNSSRSAYQSITFKPNFFDVYGISGTQVQCSVLLKAVCSVLRTPMSSIDHLTLKLADPDASKVQWALECYSGMKKAYWITCNVEPDIQHLSLDRRRFPSNLVVRPRDLNRLLANFQSSLQEITIIATEPMSLPSDAASEIGGKAVELRSYIDPTKDNDSSLHTQLWIDPMEECVQYTHTGDPVDVTFSVKELKAARLTFTCFLTKRENDGSGSNFDAALVLATMLISQLHEGNPSEPVQAAVTVHDQAMHGTGSQAQQERSRANVSEHPSDHTRIWSDLSGSAAKSGSGVEERQVQKQQNLNASEQRDIQRISMMNITKDAPIRESVPAAPNLSHHQVERDHVAGVQDTNQINGHALSQRHPSNWVDADEDDDDDDDGDENENELCVQSTPPYYEEQ >EOY11494 pep chromosome:Theobroma_cacao_20110822:5:39440704:39444939:1 gene:TCM_026649 transcript:EOY11494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint control protein family isoform 1 MSSIDHLTLKLADPDASKVQWALECYSGMKKAYWITCNVEPDIQHLSLDRRRFPSNLVVRPRDLNRLLANFQSSLQEITIIATEPMSLPSDAASEIGGKAVELRSYIDPTKDNDSSLHTQLWIDPMEECVQYTHTGDPVDVTFSVKELKAFLTFCEGCEVDIHLFFDKAGEPILMAPKFGLDDGSGSNFDAALVLATMLISQLHEGNPSEPVQAAVTVHDQAMHGTGSQAQQERSRANVSEHPSDHTRIWSDLSGSAAKSGSGVEERQVQKQQNLNASEQRDIQRISMMNITKDAPIRESVPAAPNLSHHQVERDHVAGVQDTNQINGHALSQRHPSNWVDADEDDDDDDDGDENENELCVQSTPPYYEEQ >EOY11493 pep chromosome:Theobroma_cacao_20110822:5:39440966:39444610:1 gene:TCM_026649 transcript:EOY11493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint control protein family isoform 1 MELSLSGNALRTFSRSITCLARVGNELVIQASPSQLALHTLNSSRSAYQSITFKPNFFDVYGISGTQVQCSVLLKAVCSVLRTPMSSIDHLTLKLADPDASKVQWALECYSGMKKAYWITCNVEPDIQHLSLDRRRFPSNLVVRPRDLNRLLANFQSSLQEITIIATEPMSLPSDAASEIGGKAVELRSYIDPTKDNDSSLHTQLWIDPMEECVQYTHTGDPVDVTFSVKELKAFLTFCEGCEVDIHLFFDKAGEPILMAPKFGLDDGSGSNFDAALVLATMLISQLHEGNPSEPVQAAVTVHDQAMHGTGSQAQQERSRANVSEHPSDHTRIWSDLSGSAAKSGSGVEERQVQKQQNLNASEQRDIQRISMMNITKDAPIRESVPAAPNLHHVLSLLLVISCYSFLLPLHVCSCPCLQDSCIFTYASEQRV >EOY11496 pep chromosome:Theobroma_cacao_20110822:5:39440966:39444894:1 gene:TCM_026649 transcript:EOY11496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint control protein family isoform 1 MELSLSGNALRTFSRSITCLARVGNELVIQASPSQLALHTLNSSRSAYQSITFKPNFFDVYGISGTQVQCSVLLKAVCSVLRTPMSSIDHLTLKLADPDASKVQWALECYSGMKKAYWITCNVEPDIQHLSLDRRRFPSNLVVRPRDLNRLLANFQSSLQEITIIATEPMSLPSDAASEIGGKAVELRSYIDPTKDNDSSLHTQLWIDPMEECVQYTHTGDPVDVTFSVKELKAARLTFTCFLTKRENDGSGSNFDAALVLATMLISQLHEGNPSEPVQAAVTVHDQAMHGTGSQAQQERSRANVSEHPSDHTRIWSDLSGSAAKSGSGVEERQVQKQQNLNASEQRDIQRISMMNITKDAPIRESVPAAPNLHHQVERDHVAGVQDTNQINGHALSQRHPSNWVDADEDDDDDDDGDENENELCVQSTPPYYEEQ >EOY09232 pep chromosome:Theobroma_cacao_20110822:5:27354776:27360961:-1 gene:TCM_024635 transcript:EOY09232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein, putative MACNSATCNSSGCYKEYEVEEEQRQPTTRGGINGSATTHQNLCVKCKSNEPVCCGIGGDDARFCKDCFKTNLYGKFKQAVSYNAMITPSDKVLVAFSGGPSSRVVLQFVHEMQCRAQKNFDASKDRSLPVFGVGVAFIDESSIHSFASQDAEKAIEDIRSIVSNLAPPEKELYVVPIENIFSSDNIDGKERMKKLLDAVSDVTGKEDLLIHLRMLSLQRVASQNRYTRVVLGSCTSRIACHVISATVKGQGYSLSADIQYVDSRWQVPVVLPLRDCPAQELNTLCSLDGLKIVELLNGPCSSINGLVSSFVKVLQEENPSRECTIVRTAGKLTPFHFNRIPDIHDSSVPLATRRRQKRHSIKPNGSLSSESFCPICNSPLNKSNFSSSLRSLQSNENSDIFAAAAACSSCQFQIIPKEPSLMEQFVSLLPQPLITRAKHGDRGDFSLLREQIQEFLLSDGEDEI >EOY08669 pep chromosome:Theobroma_cacao_20110822:5:17225225:17234836:1 gene:TCM_023665 transcript:EOY08669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTDATERNLLDTKINPLLNDLYHTLRWPIYLHIVYKWVSTRLLRCVIILKVSNIEHMVILNVTNIITQHEAYFQSQSDTLLNVSIENLLEAFIVHPSKNVSIHKGMYRYIFSCKQFSAFQTPKIQA >EOY10461 pep chromosome:Theobroma_cacao_20110822:5:34915389:34918823:-1 gene:TCM_025819 transcript:EOY10461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MGGHKLSREWRKSATYVQDSLAGELNASRSASSPMGHASEKKRKTSHASDSIFHKQEPHGQASLGFLPFPTPAPSDDKGRGIVKSKTKIGYHGPYFEHLKEGADVIQIRETKRLLHEIEKMIYGRKGTDQVQVEMAKLILNDHERSLLLALAKLSHVSNDDDSRGEVRENKRQIVTHSRFHLQAGGLDSYPPESYPPKKSCTLKSYDPTHPVKLKVPRP >EOY10462 pep chromosome:Theobroma_cacao_20110822:5:34915373:34920386:-1 gene:TCM_025819 transcript:EOY10462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MIREWRKSATYVQDSLAGELNASRSASSPMGHASEKKRKTSHASDSIFHKQEPHGQASLGFLPFPTPAPSDDKGRGIVKSKTKIGYHGPYFEHLKEGADVIQIRETKRLLHEDHERSLLLALAKLSHVSNDDDSRGEVRENKRQIVTHSRFHLQAGGLDSYPPESYPPKKSCTLKSYDPTHPVKLKVPRP >EOY10464 pep chromosome:Theobroma_cacao_20110822:5:34915389:34917529:-1 gene:TCM_025819 transcript:EOY10464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MFSLNSGRSIQVASHDLQAPSDDKGRGIVKSKTKIGYHGPYFEHLKEGADVIQIRETKRLLHEIEKMIYGRKGTDQVQVEMAKLILNDHERSLLLALAKLSHVSNDDDSRGEVRENKRQIVTHSRFHLQAGGLDSYPPESYPPKKSCTLKSYDPTHPVKLKVPRP >EOY10463 pep chromosome:Theobroma_cacao_20110822:5:34915373:34918823:-1 gene:TCM_025819 transcript:EOY10463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MIREWRKSATYVQDSLAGELNASRSASSPMGHASEKKRKTSHASDSIFHKQEPHGQASLGFLPFPTPAPSDDKGRGIVKSKTKIGYHGPYFEHLKEGADVIQIRETKRLLHEDHERSLLLALAKLSHVSNDDDSRGEVRENKRQIVTHSRFHLQAGGLDSYPPESYPPKKSCTLKSYDPTHPVKLKVPRP >EOY10460 pep chromosome:Theobroma_cacao_20110822:5:34915759:34918215:-1 gene:TCM_025819 transcript:EOY10460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MIREWRKSATYVQDSLAGELNASRSASSPMGHASEKKRKTSHASDSIFHKQEPHGQASLGFLPFPTPAPSDDKGRGIVKSKTKIGYHGPYFEHLKEGADVIQIRETKRLLHEIEKMIYGRKGTDQVQVEMAKLILNDHERSLLLALAKLSHVSNDDDSRGEVRENKRQIVTHSRFHLQAGGLDSYPPESYPPKKSCTLKSYDPTHPVKLKVPRP >EOY07222 pep chromosome:Theobroma_cacao_20110822:5:878031:880495:1 gene:TCM_021705 transcript:EOY07222 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MRKSFKDSLKALEADIQFANTLASDYPREYDGTCLQMRLSYSPAAHLFLFLVQWTDCYLAGALGLLRILIYKAYADGKTTMSIHERKASIREFYGVIFPSLLQLQRGITDVDDRKQKEICAAKYKKRDEMNKGKLSEIDLEREEECGICMEMHSKIVLPDCNHSMCMKCYRTWHAKSQSCPFCRDSLKRVDSGDLWIYTSSNDIVDLSSILRENMKRLFMYIEKLPLIVPDPKIVSYDPRLR >EOY08281 pep chromosome:Theobroma_cacao_20110822:5:6064425:6070492:-1 gene:TCM_022618 transcript:EOY08281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast signal recognition particle 54 kDa subunit MEAMHFPTVASRHFSATSGSFTTNRKFYNSPRKTTLLGSTWSIGSSDFTSLSSRNLFTREIWGWVNSKTVTLRREMRGVVRAEMFGQLTSGLEAAWTKLKGEEVLTKENIVEPMRDIRRALLEADVSLPVVRRFVQAVSDQAVGVGLIRGVKPDQQLVKIVHDELVKLMGGEVSELVFSKSGPTVILLAGLQGVGKTTVCAKLANYLKKQGKSCMLIAGDVYRPAAIDQLVILGEQVGVPVYTAGTEVKPSEIAKQGLEEAKKKKIDIVIMDTAGRLQIDKAMMDELKEVKKVLNPTEVLLVVDAMTGQEAAALVTTFNVEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMHQEDAEELQKKIMSAKFDFNDFLKQTRAVARMGSMTRVIGMIPGMGKVTPAQVREAEKSLKIMEAMIEAMTPEEREKPELLAESPERRKRVAQGSGKTEQQVSQLVAQLFQMRVRMKNLMGVMEGGSIPTLSNLEDAMKAEQKAPPGTARRKRRSESRRQFADSASTRPSPRGFGAKN >EOY08061 pep chromosome:Theobroma_cacao_20110822:5:4189518:4196075:-1 gene:TCM_022376 transcript:EOY08061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVAEEWVVLGMDNGGHGLRQNSEAPNITSYFHLVFDSVYIRLIILYLSSYMFVPRAFRICLNTQKQKIKGIDKVSCSIPWLTQRLPAVLLPALKHKSILSPCLHSLL >EOY08060 pep chromosome:Theobroma_cacao_20110822:5:4192836:4196061:-1 gene:TCM_022376 transcript:EOY08060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVAEEWVVLGMDNGGHGLRQNSEAPNITSYFHLVFDSVYIRLIILYLSSYMFVPRAFRICLNTQKQKIKGIDKVSCSHFHKLNVTSTTYV >EOY08012 pep chromosome:Theobroma_cacao_20110822:5:3944107:3946239:1 gene:TCM_022335 transcript:EOY08012 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MSPQFPELHFVLIPLMCPGHLIPMVDIGRLLAQHGVTVTIVTTPLNATRFKSIIDRDIASGIQIQLLQLRFPCIEAGLPEGCENVDALPSRHLSKNFMDAVGKLQHPIEQFLEETQPKPSCIISDGHIPWTFDVAQKFKIPRLAFDGTSCFTLTCSHFIGMSKIHEKVSDDLESFVVPGLPDRIELTKAQLPTDFNPGSIVLKDKEEHMRVADMASYGLVVNSFEELESGYIEEYRKAKGDKIWCIGPVSLYNKGKLDKAQRGNKASVEVIQCLQWLDSWPQNSVVYASLGTLSCVAPMQLTEMALGLEASNRPFIWVIREGYKSDEFKKWLSEEGFEERTKGRGFLVHGWAPQLSILSHPAVGGLLTHCGWNSVLEGLCAGLPMITWPLLADQFFNEKLVVQILRIGERVGAEIAMKWGEEEKYGVMVKREQIMKAINLVMDAGEEGEERRKRAMELGVLAKKAFENEGSSYLNVKRLIKDIIQISGRKAEA >EOY09306 pep chromosome:Theobroma_cacao_20110822:5:28155724:28159568:1 gene:TCM_024730 transcript:EOY09306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase isoform 2 MGEVPAFCVDNLQNGFSNGFNLKSEGCETSTNVGDKTFVIGGLNDGSTSSIGVRIYEKSTGKWVIPTVLGTKPKPCKGHSAVLLSEDQILIITRGSTPDDCIWFLEVNTKYVKEQKKVLGTEVVAWSKGVRGDAEKPVVISGPSGVGKGTLINMLMKEFPSMCGFSVSHTTRAPRDKEKNGVHYHFTERSVMEKDIKYGKFLEFASVHGNLYGTSIEAVEAVADSGKRCILDIDVQGARSVRASSLDAIFIFICPPSMKELEERLRARGTETEEQILKRLRNAEAEIEQGKSSGIFDHILYNDNLEECYKNLKGLLGLDGIAPTNYKPSPRGIDLPLDHSVLKIDNKILINCETRESGNGSKNLIALDVSLLKGGAPGRTRGLNVYAIDSFSDGFDGIHKLS >EOY09307 pep chromosome:Theobroma_cacao_20110822:5:28155430:28159747:1 gene:TCM_024730 transcript:EOY09307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase isoform 2 MGEVPAFCVDNLQNGFSNGFNLKSEGCETSTNVGDKTFVIGGLNDGSTSSIGVRIYEKSTGKWVIPTVLGTKPKPCKGHSAVLLSEDQILIITRGSTPDDCIWFLEVNTKYVKEQKKVLGTEVVAWSKGVRGDAEKPVVISGPSGVGKGTLINMLMKEFPSMCGFSVSHTTRAPRDKEKNGVHYHFTERSVMEKDIKYGKFLEFASVHGNLYGTSIEAVEAVADSGKRCILDIDVQGARSVRASSLDAIFIFICPPSMKELEERLRARGTETEEQILKRLRNAEAEIEQGKSSGIFDHILYNDNLEECYKNLKGLLGLDGIAPTNYKPSPRGIDLPLDHSVLKIDNKILINCETRESGNGSKNFALDVSLLKGGAPGRTRGLNVYAIDSFSDGFDGIHKLS >EOY09309 pep chromosome:Theobroma_cacao_20110822:5:28155599:28159794:1 gene:TCM_024730 transcript:EOY09309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase isoform 2 MGEVPAFCVDNLQNGFSNGFNLKSEGCETSTNVGDKTFVIGGLNDGSTSSIGVRIYEKSTGKWVIPTVLGTKPKPCKGHSAVLLSEDQILIITRGSTPDDCIWFLEVNTKYVKEQKKVLGTEVVAWSKGVRGDAEKPVVISGPSGVGKGTLINMLMKEFPSMCGFSVSHTTRAPRDKEKNGVHYHFTERSVMEKDIKYGKFLEFASVHGNLYGTSIEAVEAVADSGKRCILDIDVQGARSVRASSLDAIFIFICPPSMKELEERLRARGTETEEQILKRLRNAEAEIEQGKSSGIFDHILYNDNLEECYKNLKGLLGLDGIAPTNYKPSPRGIDLPLDHSVLKIDNKILINCETRESGNGSKNLIALDVSLLKGGAPGRTRGLNVYAIDSFSDGFDGIHKLS >EOY09308 pep chromosome:Theobroma_cacao_20110822:5:28155430:28159747:1 gene:TCM_024730 transcript:EOY09308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase isoform 2 MGEVPAFCVDNLQNGFSNGFNLKSEGCETSTNVGDKTFVIGGLNDGSTSSIGVRIYEKSTGKWVIPTVLGTKPKPCKGHSAVLLSEDQILIITRGSTPDDCIWFLEVNTKYVKEQKKVLGTEVVAWSKGVRGDAEKPVVISGPSGVGKGTLINMLMKEFPSMCGFSVSHTTRAPRDKEKNGVHYHFTERSVMEKDIKYGKFLEFASVHGNLYGTSIEAVEAVADSGKRCILDIDVQGARSVRASSLDAIFIFICPPSMKELEERLRARGTETEEQILKRLRNAEAEIEQGKSSGIFDHILYNDNLEECYKNLKGLLGLDGIAPTNYKPSPRGIDLPLDHSVLKIDNKILINCETRESGCTGCVLA >EOY09310 pep chromosome:Theobroma_cacao_20110822:5:28156412:28159747:1 gene:TCM_024730 transcript:EOY09310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase isoform 2 STNFVIGGLNDGSTSSIGVRIYEKSTGKWVIPTVLGTKPKPCKGHSAVLLSEDQILIITRGSTPDDCIWFLEVNTKYVKEQKKVLGTEVVAWSKGVRGDAEKPVVISGPSGVGKGTLINMLMKEFPSMCGFSVSHTTRAPRDKEKNGVHYHFTERSVMEKDIKYGKFLEFASVHGNLYGTSIEAVEAVADSGKRCILDIDVQGARSVRASSLDAIFIFICPPSMKELEERLRARGTETEEQILKRLRNAEAEIEQGKSSGIFDHILYNDNLEECYKNLKGLLGLDGIAPTNYKPSPRGIDLPLDHSVLKIDNKILINCETRESGNGSKNL >EOY09316 pep chromosome:Theobroma_cacao_20110822:5:28225649:28228178:1 gene:TCM_024739 transcript:EOY09316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative isoform 1 MMMSSKPLKKTNSCPLKYKAPSMDQPPPHQVAFPTSPQAPQLGEEIFYFAHPQHPISQTNLPDLFTCAACKEYGAGERFTCSDCDYQLHDFCALAPPALKRHPIHPVHKIIFFPKPVKSGILKSRCDVCAKTTKGCVFKCTVCSFQMHPCCAMLSTEINIPVHPHTLRLLPVPQYTSNGDPGFVCGECNKRRSGRVYHCTVCDYHLHAVCAKNMVNGLQANGFKGMEKSSMLGAAAKVASRVMIDFIGGLIEGIGEGVGQVLIQSAARGS >EOY09315 pep chromosome:Theobroma_cacao_20110822:5:28225637:28228165:1 gene:TCM_024739 transcript:EOY09315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative isoform 1 MMMSSKPLKKTNSCPLKYKAPSMDQPPPHQVAFPTSPQAPQLGEEIFYFAHPQHPISQTNLPDLFTCAACKEYGAGERFTCSDCDYQLHDFCALAPPALKRHPIHPVHKIIFFPKPVKSGILKSRCDVCAKTTKGCVFKCTVCSFQMHPCCAMLSTEINIPVHPHTLRLLPVPQYTSNGDPGFVCGECNKRRSGRVYHCTVCDYHLHAVCAKNMVNGLQANGFKGMEKSSMLGAAAKVASRVMIDFIGGLIEGIGEGVGQVLIQSAARGRCHTRSDRTT >EOY08046 pep chromosome:Theobroma_cacao_20110822:5:4153730:4155530:-1 gene:TCM_022367 transcript:EOY08046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSRKERNGCGGWLLGDILSDAYCRKVLSSVGSRNKTTLWKWVWGTSPHKVEAFMWQLMHGRTAVKAELLQRGLLSENNALCSLCKNNIKTVDHLFVNCDKLWIIWYSCCRQRGVTWVMPRGIKELVVIWNATCLNNGDKRIWVLTPFAISWTIWLYQNDVVFQNSCWNSKQVWDLIHLRIATWANVNWPNQHGSLLDVYRHPNSHAIQNTDTRRSAATNWNRPIGQKLKYNVAGPANGSQGEAGIGGMLRSENGVVLARFSKNIVGKFSLVIESDSLNAVKWVKSPNDAPWRLRKWILHIESSKKMVGDCEICHVLREGNKAADKLAKGAIQRVPDLMETSDDLEHGLAIDIE >EOY07639 pep chromosome:Theobroma_cacao_20110822:5:2283950:2287114:1 gene:TCM_022023 transcript:EOY07639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 1 MEQSFGFGKKKMTKQMTGKRDDTPLHSAVRAGDFELVLEIISGGEDGELRDLLSKQNQSGETALYVAAECGYADLVKEMIKYCDIDLAGAQARNGYDAFHIAAKQGDLGVLKILMEANLDLSMTSDSLNTTALHTAASQGHTEVVNFLLENGSNMATIARRNGKTALHSAAKNGHLEIVKALLSKEPGIAMRIDKKGQTALHMAVKGKNVEVVDELIKSDPSLINMVDTKGNTALHIGTQKGRIQIVQMLLNHSGVNKLVINKFGETALDTAEKNKRSDIAGILGEHGVQSAKFIKSQPTNSARELKQTVSDIKNGVHHQLEHTRQTRKRVQGIAKRLNKMHVEGLNNAINSTTVVAVLIATIAFAAIFNVPGQYADSPEDKAPGVSPGQARTASKPPFMIFIVFDSIALFISLAVVVVQTSVVVIQRNAKEQMMAVINKLMWLACVLISVAFLALSYIVVGEDERWLANLITGIGTVIMVSTLGTLCYWLIVNRIEASKIRSIRRSSINSRSRSLSMSYMSDMDILHDEQKKLYVV >EOY07638 pep chromosome:Theobroma_cacao_20110822:5:2280638:2287114:1 gene:TCM_022023 transcript:EOY07638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 1 MLMLSGKTGALVFFKAKNQEIKTIKKKGGKLHSSFWEFALKEAKEQETTGMEQSFGFGKKKMTKQMTGKRDDTPLHSAVRAGDFELVLEIISGGEDGELRDLLSKQNQSGETALYVAAECGYADLVKEMIKYCDIDLAGAQARNGYDAFHIAAKQGDLGVLKILMEANLDLSMTSDSLNTTALHTAASQGHTEVVNFLLENGSNMATIARRNGKTALHSAAKNGHLEIVKALLSKEPGIAMRIDKKGQTALHMAVKGKNVEVVDELIKSDPSLINMVDTKGNTALHIGTQKGRIQIVQMLLNHSGVNKLVINKFGETALDTAEKNKRSDIAGILGEHGVQSAKFIKSQPTNSARELKQTVSDIKNGVHHQLEHTRQTRKRVQGIAKRLNKMHVEGLNNAINSTTVVAVLIATIAFAAIFNVPGQYADSPEDKAPGVSPGQARTASKPPFMIFIVFDSIALFISLAVVVVQTSVVVIQRNAKEQMMAVINKLMWLACVLISVAFLALSYIVVGEDERWLANLITGIGTVIMVSTLGTLCYWLIVNRIEASKIRSIRRSSINSRSRSLSMSYMSDMDILHDEQKKLYVV >EOY11097 pep chromosome:Theobroma_cacao_20110822:5:37935968:37938032:1 gene:TCM_046809 transcript:EOY11097 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein, putative VEEQQRTQIPRMKLGNQGLEVSKLGFGCAELSVVAYGDPLPDDVGISIIKHAFDRGITFFDTSDSYGPKTNEILVGKALKQLPREKVQLATKFGIAKRDATGVIVNGTPEYVRTSVEASLKRLDVEYIDLYYQHRVDTTTPIEDTMGELKKLVEEGKIKYIGLSEASPETIKRAHAAHPITAVQMEWSLWTRDIEEEIVPLCRQLGIGIVPYSPLGRGFFGGKAVDERTTKTKNLDGNIDSLRVKLTEEDLQEICDVIPINEVAGARMPDGLSHLTWKFANT >EOY10307 pep chromosome:Theobroma_cacao_20110822:5:34081483:34084472:-1 gene:TCM_025680 transcript:EOY10307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATHIQMAHSPCSTLQGLQDELGGASYIAKVIPENPSDASRASGGGELLRLSIQRSVGVCLICHFPLHHKESLKKGGVFLCLYMFRPDEESAPWYPVAGIILVGIPWIFWIGAYAYRCCAYSCCQNGGGNVNRAHISSAKTQTYASPGPAARSMRSSEYENSPLKSPNGDHRHVHFGEVVVMGSAKNDQNDNGDENPHEGAKGYSETEQEGKEHSQDNTASDKDYVSAASRKGEAPLIVTVSS >EOY11577 pep chromosome:Theobroma_cacao_20110822:5:39737191:39739513:1 gene:TCM_026717 transcript:EOY11577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRSPGKATDVTKRRSGAPSGRIWPLRRQIDASPQLDLTVECQIQPWDLVGKHQIRCFRRQDLAFECQIWPLWRQIDASPRLDLAVECQIQPWDLVYAMIFSAYPFEQFRQRPRAPPTAPGMVDYQDPDHEQLRKRLGPAPSVCGGQQAWSLDELPRTVALTMHEGSMVTSRAIEFPYHFCDYPYYDDKPFDGQPTKMLAVWRHEKPYF >EOY07744 pep chromosome:Theobroma_cacao_20110822:5:2555085:2563819:1 gene:TCM_022084 transcript:EOY07744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin protein ligase E3a, putative isoform 4 MGNRGQKRTETADELPADKRACSSLEFRPSSSNSSSIQTHLNSPNSTPDADMDTSSSASASSRSDGEHEKEEDSAYGSCDSEDAEQQPRHHILRDYQRRRSSSDHGKLNNILSNLNEEGNGDSGQLAALTELCEVLSFCTEDSISSLMADTLSPILVKLAKNESNANIMLLAIRSITYLSDVFPRSSGFLVRHDAVPALCQRLLAIEYVDVAEQCLQALEKISRDQPLACLQAGAIMAVLNFIDFFSISVQRVALSTVVNICKKLPLEGPAPFVEAVPKLCDLLQHEDQQLVESVATCLIKIAERMCQSSEMLEELCKHELINQVTHLMNLNSRTTVSQPIYNGLIGLLVKLSSGSFVAFRSLYELNISSILKDLLSTYDLTHGMSSPHSVDGNCNQVHEVLKLLNELLPTSTGDLGNQLLLDKKSFLADHPDLLQKFGMDMLPMLVQVVNSGANIYVCYGCLSVISKLVFLSKSDMLVELLKTANIPSFLAGVFTRKDHHLLMLALQIVEMILQKLSDVFLNSFIKEGVFFAIDTLLMPEKCSQVMLPVFSGFQSLFDSSQKSSARDIRRCLCYAFDTVPSSSAPPCKLDKDSVCNLAKHIKTSYFAPELSDSEKGMTDILQNLRTFSAALSDLINMPVDDDTPAQHEEKFHSILHQIMLKLNGRERVSTFEFIESGIVKALMHYLSNGLYLRNNVEFNGIYNHLLVLGKRFEVFAKLFLSYSDIPVEDLPLSVLIQKLQSALSSLENFPVIPSHGFKQKTSFATVPNGRCIMYPCFRVRFVRGEGETCLSDCPEDILTVDPFSSSDAIEGYLWPKVFIKRTENGESDAEALEQMESQPIHLPSNANSSQGESSGFIDSMSADLPEMQEDEANLSQFASEEVHFRESNSGETMSLDETNMGSTAQVQQFPTESTTKMKPQCSASGNNDNEDSSPRLLLYLEGHQLDRTLTLYQAILQQLLNSENEFITWAKLWTRVYTLTYKKALESKQDDAQEHTLLEQKSSISDKNVASMQNMAFFSSLFACKLASNLDKSSPAYDILFLLKSLEGINKCSFHLMSYERIRAFAEGRIDNLDNLKVMVHSVPQNEFVSSRLTEKLEQQMRDSFTLSTGGMPSWCNQLIASCPFLFSFEAKCKYFRLAAFGPRRVQLHTTLRSNSGASNDRQSTAAGLPRKKFLVWRDRILDSATRMMDLHARHKGLLEVEYNEEVGTGLGPTLEFYTLVCHEFQKSGLGIWREDYRSIITSETLPVVDSGILINPYGLFPHPWSPTTDSCNGIQFSEVLKKFVLLGQIVAKAIQDGRVLDVPFSKAFYKIILGQDLCLYDIQSFNPELGRTLLEFQAIVDRKMHLESICVENSTLKLDLCFRNTRIEDLCLDFTLPGYPDYVLSSECNHKMVNLANLDNYIKLVVDATIHTGIARQVEAFKSGFNQVFAIKHLHIFTGEELERLLCGERDFWAFNELLEHIKFDHGYTASSPPIINLLEIIQEFEYAQRRAFLQFVTGAPRLPPGGLASLNPKLTIVRKSIVAIVLIQSCPVL >EOY07745 pep chromosome:Theobroma_cacao_20110822:5:2554831:2563994:1 gene:TCM_022084 transcript:EOY07745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin protein ligase E3a, putative isoform 4 MGNRGQKRTETADELPADKRACSSLEFRPSSSNSSSIQTHLNSPNSTPDADMDTSSSASASSRSDGEHEKEEDSAYGSCDSEDAEQQPRHHILRDYQRRRSSSDHGKLNNILSNLNEEGNGDSGQLAALTELCEVLSFCTEDSISSLMADTLSPILVKLAKNESNANIMLLAIRSITYLSDVFPRSSGFLVRHDAVPALCQRLLAIEYVDVAEQCLQALEKISRDQPLACLQAGAIMAVLNFIDFFSISVQRVALSTVVNICKKLPLEGPAPFVEAVPKLCDLLQHEDQQLVESVATCLIKIAERMCQSSEMLEELCKHELINQVTHLMNLNSRTTVSQPIYNGLIGLLVKLSSGSFVAFRSLYELNISSILKDLLSTYDLTHGMSSPHSVDGNCNQVHEVLKLLNELLPTSTGDLGNQLLLDKKSFLADHPDLLQKFGMDMLPMLVQVVNSGANIYVCYGCLSVISKLVFLSKSDMLVELLKTANIPSFLAGVFTRKDHHLLMLALQIVEMILQKLSDVFLNSFIKEGVFFAIDTLLMPEKCSQVMLPVFSGFQSLFDSSQKSSARDIRRCLCYAFDTVPSSSAPPCKLDKDSVCNLAKHIKTSYFAPELSDSEKGMTDILQNLRTFSAALSDLINMPVDDDTPAQHEEKFHSILHQIMLKLNGRERVSTFEFIESGIVKALMHYLSNGLYLRNNVEFNGIYNHLLVLGKRFEVFAKLFLSYSDIPVEDLPLSVLIQKLQSALSSLENFPVIPSHGFKQKTSFATVPNGRCIMYPCFRVRFVRGEGETCLSDCPEDILTVDPFSSSDAIEGYLWPKVFIKRTENGESDAEALEQMESQPIHLPSNANSSQGESSGFIDSMSADLPEMQEDEANLSQFASEEVHFRESNSGETMSLDETNMGSTAQVQQFPTESTTKMKPQCSASGNNDNEDSSPRLLLYLEGHQLDRTLTLYQAILQQLLNSENEFITWAKLWTRVYTLTYKKALESKQDDAQEHTLLEQKSSISDKNVASMQNMAFFSSLFACKLASNLDKSSPAYDILFLLKSLEGINKCSFHLMSYERIRAFAEGRIDNLDNLKVMVHSVPQNEFVSSRLTEKLEQQMRDSFTLSTGGMPSWCNQLIASCPFLFSFEAKCKYFRLAAFGPRRVQLHTTLRSNSGASNDRQSTAAGLPRKKFLVWRDRILDSATRMMDLHARHKGLLEVEYNEEVGTGLGPTLEFYTLVCHEFQKSGLGIWREDYRSIITSETLPVVDSGILINPYGLFPHPWSPTTDSCNGIQFSEVLKKFVLLGQIVAKAIQDGRVLDVPFSKAFYKIILGQDLCLYDIQSFNPELGRTLLEFQAIVDRKMHLESICVENSTLKLDLCFRNTRIEDLCLDFTLPGYPDYVLSSECNHKMVNLANLDNYIKLVVDATIHTGIARQVEAFKSGFNQVFAIKHLHIFTGEELERLLCGERDFWAFNELLEHIKFDHGYTASSPPIINLLEIIQEFEYAQRRAFLQFVTGAPRLPPGGLASLNPKLTIVRKHSSNSADTELPSVMTCANYLKLPPYSSKERMKEKLLYAITEGQGSFHLS >EOY07743 pep chromosome:Theobroma_cacao_20110822:5:2554831:2563994:1 gene:TCM_022084 transcript:EOY07743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin protein ligase E3a, putative isoform 4 MGNRGQKRTETADELPADKRACSSLEFRPSSSNSSSIQTHLNSPNSTPDADMDTSSSASASSRSDGEHEKEEDSAYGSCDSEDAEQQPRHHILRDYQRRRSSSDHGKLNNILSNLNEEGNGDSGQLAALTELCEVLSFCTEDSISSLMADTLSPILVKLAKNESNANIMLLAIRSITYLSDVFPRSSGFLVRHDAVPALCQRLLAIEYVDVAEQCLQALEKISRDQPLACLQAGAIMAVLNFIDFFSISVQRVALSTVVNICKKLPLEGPAPFVEAVPKLCDLLQHEDQQLVESVATCLIKIAERMCQSSEMLEELCKHELINQVTHLMNLNSRTTVSQPIYNGLIGLLVKLSSGSFVAFRSLYELNISSILKDLLSTYDLTHGMSSPHSVDGNCNQVHEVLKLLNELLPTSTGDLGNQLLLDKKSFLADHPDLLQKFGMDMLPMLVQVVNSGANIYVCYGCLSVISKLVFLSKSDMLVELLKTANIPSFLAGVFTRKDHHLLMLALQIVEMILQKLSDVFLNSFIKEGVFFAIDTLLMPEKCSQVMLPVFSGFQSLFDSSQKSSARDIRRCLCYAFDTVPSSSAPPCKLDKDSVCNLAKHIKTSYFAPELSDSEKGMTDILQNLRTFSAALSDLINMPVDDDTPAQHEEKFHSILHQIMLKLNGRERVSTFEFIESGIVKALMHYLSNGLYLRNNVEFNGIYNHLLVLGKRFEVFAKLFLSYSDIPVEDLPLSVLIQKLQSALSSLENFPVIPSHGFKQKTSFATVPNGRCIMYPCFRVRFVRGEGETCLSDCPEDILTVDPFSSSDAIEGYLWPKVFIKRTENGESDAEALEQMESQPIHLPSNANSSQGESSGFIDSMSADLPEMQEDEANLSQFASEEVHFRESNSGETMSLDETNMGSTAQVQQFPTESTTKMKPQCSASGNNDNEDSSPRLLLYLEGHQLDRTLTLYQAILQQLLNSENEFITWAKLWTRVYTLTYKKALESKQDDAQEHTLLEQKSSISDKNVASMQNMAFFSSLFACKLASNLDKSSPAYDILFLLKSLEGINKCSFHLMSYERIRAFAEGRIDNLDNLKVMVHSVPQNEFVSSRLTEKLEQQMRDSFTLSTGGMPSWCNQLIASCPFLFSFEAKCKYFRLAAFGPRRVQLHTTLRSNSGASNDRQSTAAGLPRKKFLVWRDRILDSATRMMDLHARHKGLLEVEYNEEVGTGLGPTLEFYTLVCHEFQKSGLGIWREDYRSIITSETLPVVDSGILINPYGLFPHPWSPTTDSCNGIQFSEVLKKFVLLGQIVAKAIQDGRVLDVPFSKAFYKIILGQDLCLYDIQSFNPELGRTLLEFQAIVDRKMHLESICVENSTLKLDLCFRNTRIEDLCLDFTLPGYPDYVLSSECNHKMVNLANLDNYIKLVVDATIHTGIARQVEAFKSGFNQVFAIKHLHIFTGEELERLLCGERDFWAFNELLEHIKFDHGYTASSPPIINLLEIIQEFEYAQRRAFLQFVTGAPRLPPGGLASLNPKLTIVRKHSSNSADTELPSVMTCANYLKLPPYSSKERMKEKLLYAITEGQGSFHLS >EOY07746 pep chromosome:Theobroma_cacao_20110822:5:2555138:2563370:1 gene:TCM_022084 transcript:EOY07746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin protein ligase E3a, putative isoform 4 MLVELLKTANIPSFLAGVFTRKDHHLLMLALQIVEMILQKLSDVFLNSFIKEGVFFAIDTLLMPEKCSQVMLPVFSGFQSLFDSSQKSSARDIRRCLCYAFDTVPSSSAPPCKLDKDSVCNLAKHIKTSYFAPELSDSEKGMTDILQNLRTFSAALSDLINMPVDDDTPAQHEEKFHSILHQIMLKLNGRERVSTFEFIESGIVKALMHYLSNGLYLRNNVEFNGIYNHLLVLGKRFEVFAKLFLSYSDIPVEDLPLSVLIQKLQSALSSLENFPVIPSHGFKQKTSFATVPNGRCIMYPCFRVRFVRGEGETCLSDCPEDILTVDPFSSSDAIEGYLWPKVFIKRTENGESDAEALEQMESQPIHLPSNANSSQGESSGFIDSMSADLPEMQEDEANLSQFASEEVHFRESNSGETMSLDETNMGSTAQVQQFPTESTTKMKPQCSASGNNDNEDSSPRLLLYLEGHQLDRTLTLYQAILQQLLNSENEFITWAKLWTRVYTLTYKKALESKQDDAQEHTLLEQKSSISDKNVASMQNMAFFSSLFACKLASNLDKSSPAYDILFLLKSLEGINKCSFHLMSYERIRAFAEGRIDNLDNLKVMVHSVPQNEFVSSRLTEKLEQQMRDSFTLSTGGMPSWCNQLIASCPFLFSFEAKCKYFRLAAFGPRRVQLHTTLRSNSGASNDRQSTAAGLPRKKFLVWRDRILDSATRMMDLHARHKGLLEVEYNEEVGTGLGPTLEFYTLVCHEFQKSGLGIWREDYRSIITSETLPVVDSGILINPYGLFPHPWSPTTDSCNGIQFSEVLKKFVLLGQIVAKAIQDGRVLDVPFSKAFYKIILGQDLCLYDIQSFNPELGRTLLEFQAIVDRKMHLESICVENSTLKLDLCFRNTRIEDLCLDFTLPGYPDYVLSSECNHKMVNLANLDNYIKLVVDATIHTGIARQVEAFKSGFNQVFAIKHLHIFTGEELERLLCGERDFWAFNELLEHIKFDHGYTASSPPIINLLEIIQEFEYAQRRAFLQFVTGAPRLPPGGLASLNPKLTIVRKHSSNSADTELPSVMTCANYLKLPPYSSKERMKEKLLY >EOY07273 pep chromosome:Theobroma_cacao_20110822:5:1010698:1013585:1 gene:TCM_021739 transcript:EOY07273 gene_biotype:protein_coding transcript_biotype:protein_coding description:RP non-ATPase subunit 8A MDVIKTQQISSRPIEKVIVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGTSFKGTVDVTNSYAVPFEEDEKDPNIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHRLFHNYVPNPVLVIIDVQPKELGIPTKAYYDVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLTALKGLDARLREIRAYLDLVIDEKLPLNHEILYHLQDVFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDAKPATVPAASSS >EOY10152 pep chromosome:Theobroma_cacao_20110822:5:33214608:33241904:-1 gene:TCM_025525 transcript:EOY10152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 32 protein, putative MQISVIRFVAFCLALACVGAESSIEQPYRTAYHFQPPKNWMNDPNGPMFYKGVYHLFYQYNPYGPLWGNITWAHSVSYDLVNWLHLPHALSPTDPFDIKGCFSGSTTILSDGKPAILYTGVYANDSQVQNLALPANLTDPFLTEWVKSPLNPLIIPIDGIDPKNFRDPSTAWQGPDGIWRVIVGGHQNGQGKAILYQSKDFVNWIRSTNPLRSSAKTGMFECPDFYPVSINGNYGVDTSSQDKLTKHVLKASFNGNDYYILGSYTPQTDNFSAESDFLDGSFDFRYDYGKFYASKTFYDSSKKRRISWGWIAEMDGDANALEKGWSGLQSIPRSILLSKTGKQLIQWPIKEIETLRAENVSIQEKQLKGGSVLEVLGVPASQADVEVAFDLTNLKKAEFMVPDGVDPRALGSQQTASFRGMVGPFGLLVLASQGLTEQTAVFVRIFKICCAHV >EOY07836 pep chromosome:Theobroma_cacao_20110822:5:2911872:2913007:-1 gene:TCM_022160 transcript:EOY07836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTEEEEENRLYQCLRKYQKIVKQFDELVEMMDVRARPPKRRRNTRGSSGSIDPPSANQPDNGEAFVQTITRFLHELKSNPTTDPDSSTSTRDRE >EOY11490 pep chromosome:Theobroma_cacao_20110822:5:39431594:39433998:1 gene:TCM_026647 transcript:EOY11490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative MATSEGKADTKQEAKATESKEAEENQEPPLKYKAWVLKVSIHCEACKRKVEKTLRKIDGVYEAIADLKQQKATVKANLHVDVETLIKKLIKKGRHAELWPEKAGQKEKKQGKSKNKDKQSGQANGDQEGNSNRGGDKEKEAVKAESTVQQDTAKSCENGSTAKNAEGCNNVSKAHEGGSAPCKTGGQVKESKPDQVKQTVILAAGNQSPVAERKGGGGGGDSEGNAGEKSGGGGGGSSGSKKNKKKGQKGNANANANLDEGEHSGDAGPAFIGSRLPVYGPHGPVPMPSPASYSPPRHHQMYEYPTYSHAPPVYVTSYNTAYPSSSYSAAYYASSPYSYAYMHPGPMSERPPSDQGIYPYYSSQPSDSFEMFSDENPNACSIM >EOY10083 pep chromosome:Theobroma_cacao_20110822:5:32915513:32924699:-1 gene:TCM_025465 transcript:EOY10083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGKLVNIKLHIYLEITAYAEMKIAIDPYCLDACSDYYGNIECDEDCQAQGYNYGTCLDKQGADNIELYGEVVRNYFCFRACSGEPQFGDDECDGVCKARGYSSGSCLRLRECLVLLNSANSYVFKATTLHLPKICA >EOY10175 pep chromosome:Theobroma_cacao_20110822:5:33335218:33340413:-1 gene:TCM_025546 transcript:EOY10175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATP synthase subunit H family protein isoform 3 MTTKLISGTGLQLLRRYDNRAESYRAQLLDDDGPAYVRVFVSILRDIFKEETVEYVLALIDEMLTANPKRARLFHDKSLANEDTYEPFLRLLWKGNWFIQEKSCKILALIVSARPKTQDGVVANGEASNSKKKFTTIDDVLKGLVEWLCTQLRKPSHPSRGIPTAINCLASLLKEPVVRSSFVQADGVKLLIPLISPASTQQSIQLLYETCLCLWLLSYYEPALEYLATSRTLPRLVDVVKSSTKEKVVRVIILTFRNLLSKGTFGAQMVDLGLPQIVQSLKAQAWSDEDLLEALNQLEDGLKDNIKKLSSFDKYKQEVLLGHLD >EOY10174 pep chromosome:Theobroma_cacao_20110822:5:33333830:33340381:-1 gene:TCM_025546 transcript:EOY10174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATP synthase subunit H family protein isoform 3 MDHAELNTEQVVLKRDIPWETYMTTKLISGTGLQLLRRYDNRAESYRAQLLDDDGPAYVRVFVSILRDIFKEETVEYVLALIDEMLTANPKRARLFHDKSLANEDTYEPFLRLLWKGNWFIQEKSCKILALIVSARPKTQDGVVANGEASNSKKKFTTIDDVLKGLVEWLCTQLRKPSHPSRGIPTAINCLASLLKEPVVRSSFVQADGVKLLIPLISPASTQQSIQLLYETCLCLWLLSYYEPALEYLATSRTLPRLVDVVKSSTKEKVVRVIILTFRNLLSKGTFGAQMVDLGLPQIVQSLKAQAWSDEDLLEALNQLEDGLKDNIKKLSSFDKYKQEVLLGHLDWSPMHKDPLFWRDNITCFEENDFQILRVLITIMDSSNDSRALAVACFDLSQFIQHHPAGRVIVTDLKAKERVMKLMNHESAEVTKNALLCIQRLFLGAKYASFLQV >EOY10173 pep chromosome:Theobroma_cacao_20110822:5:33333848:33340681:-1 gene:TCM_025546 transcript:EOY10173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATP synthase subunit H family protein isoform 3 MDHAELNTEQVLKRDIPWETYMTTKLISGTGLQLLRRYDNRAESYRAQLLDDDGPAYVRVFVSILRDIFKEETVEYVLALIDEMLTANPKRARLFHDKSLANEDTYEPFLKSEEYKHVLLWKGNWFIQEKSCKILALIVSARPKTQDGVVANGEASNSKKKFTTIDDVLKGLVEWLCTQLRKPSHPSRGIPTAINCLASLLKEPVVRSSFVQADGVKLLIPLISPASTQQSIQLLYETCLCLWLLSYYEPALEYLATSRTLPRLVDVVKSSTKEKVVRVIILTFRNLLSKGTFGAQMVDLGLPQIVQSLKAQAWSDEDLLEALNQLEDGLKDNIKKLSSFDKYKQEVLLGHLDWSPMHKDPLFWRDNITCFEENDFQILRVLITIMDSSNDSRALAVACFDLSQFIQHHPAGRVIVTDLKAKERVMKLMNHESAEVTKNALLCIQRLFLGAKYASFLQV >EOY09772 pep chromosome:Theobroma_cacao_20110822:5:30977351:30986261:-1 gene:TCM_025155 transcript:EOY09772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHHYWRKAQAVAQTDPSTRVKRGKTDSSASEIIREVHVSRTTTSAQRRDALGAPRTGDQRNQPNRYRLSGWSYRADQGGWRLCILASRKGNGYPITRYFGISYPII >EOY08743 pep chromosome:Theobroma_cacao_20110822:5:19398279:19402902:-1 gene:TCM_023865 transcript:EOY08743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-6 tubulin MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYIGSSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEEEEEEEEE >EOY11381 pep chromosome:Theobroma_cacao_20110822:5:39143155:39145202:1 gene:TCM_026584 transcript:EOY11381 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein, putative MIYDCYIRILFTVSTAAMASPSQTNDSLAVTELRSKKIPNALMPNKDQHFLLYFIIGSYFGPDLKGEKCHKIEDIARFARLTGIGDFSLDRDAARLHGSLDSENLYVVPVQVAEPTGDLSHLGSFLGSQKARRLQDIFRFRDQHFRIPPPIKSTSSTDAAMTSKAPVLTKHETCSTKNDGAAMLFFPSPPTGEELANMAAATKSGAALTGSAAMGQVGPIIGLMDIAECEDSYMFRVSLPGVKRDERNSLPIISLFLLLYCLCYIKVATLCAAGEFSCEVENDGKVVIRGVTTTGEKTVYRFSQMFEMLSQNLCPPGHFSISFQLPGRVDPQQFSGNFGTDGILEGIVMKKRHI >EOY06966 pep chromosome:Theobroma_cacao_20110822:5:66860:73491:1 gene:TCM_021526 transcript:EOY06966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 1 MEKGIEFVVGSDNMHLEEPWFTGMDNEETEMGQPNSEVQGFALEKGEGSSVVFSREAPLVNKESTTSGSCSCSLKKLKSRVAAKESELCPKEKSGHDKKLSRQDRIELGQLFQGAVSSHDWELAESLILLADPQTLNDALCVTLDAIWFLSTQQELYGITGLIKKIIANGAYDFTRAALRTSFLASCVSACQSRTMSLADTVTVMAQRLHERLQECHGDEVLKAEAGAKVQKFTEWALKCIGSHSRCQGNNDRVNHCSAVEIQLQLTAFKTFLDLAGNQLTGKDFTEAFDAACFPLTLFSSSFDAGWASGITATVIQGLLDMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAMAFLGPLMRAAERGCMQVVQWFVKRGCRDMELCLALTAATSSSQVDVAAYLLPHVPQHVLTALSIEILKAAGERSGGSLDGVAFLLHSDFLGDAAATYAVADSIARSDDEAVAPELKAFLQEHWSEAAFLDGLKQGQEQYMNLMRILKRGESPICLRDLPAPLRVAITYLPLYRECIEVGGRLLSQRLRGQLVEAVQMLGGGALEEVII >EOY06965 pep chromosome:Theobroma_cacao_20110822:5:66860:73491:1 gene:TCM_021526 transcript:EOY06965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 1 MEKGIEFVVGSDNMHLEEPWFTGMDNEETEMGQPNSEVQGFALEKGEGSSVVFSREAPLVNKESTTSGSCSCSLKKLKSRVAAKESELCPKEKSGHDKKLSRQDRIELGQLFQGAVSSHDWELAESLILLADPQTLNDALCVTLDAIWFLSTQQELYGITGLIKKIIANGAYDFTRAALRTSFLASCVSACQSRTMSLADTVTVMAQRLHERLQECHGDEVLKAEAGAKVQKFTEWALKCIGSHSRCQGNNDRVNHCSAVEIQLQLTAFKTFLDLAGNQLTGKDFTEAFDAACFPLTLFSSSFDAGWASGITATVIQGLLDMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAMAFLGPLMRAAERGCMQVVQWFVKRGCRDMELCLALTAATSSSQVDVAAYLLPHVPQHVLTALSIEILKAAGERSGGSLDGVAFLLHSDFLGDAAATYAVADSIARSDDEAVAPELKAFLQEHWSEAAFLDGLKQGQEQYMNLMRILKRGESPICLRDLPAPLRVAITYLPLYRECIEVGGRLLSQRLRGQLVEAVQMLGGGALEEVSQGRELLAILEHHLPPFLVRAPSSG >EOY09364 pep chromosome:Theobroma_cacao_20110822:5:28547802:28550857:-1 gene:TCM_024783 transcript:EOY09364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 4 MSYDSHTNNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGNQTMTNFEPLHKLQAHNGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITASSDTTAKL >EOY09363 pep chromosome:Theobroma_cacao_20110822:5:28547738:28551856:-1 gene:TCM_024783 transcript:EOY09363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 4 MTQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRYLAAAGNPHIRLFDINSNSPQPVMSYDSHTNNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGNQTMTNFEPLHKLQAHNGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITASSDTTAKLWSMSSGEEIKTYQGHHKATVC >EOY09361 pep chromosome:Theobroma_cacao_20110822:5:28547274:28552005:-1 gene:TCM_024783 transcript:EOY09361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 4 MTQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRYLAAAGNPHIRLFDINSNSPQPVMSYDSHTNNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGNQTMTNFEPLHKLQAHNGYILKCLLSPEFCEPHSRYLATASSDHTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITASSDTTAKLWSMSSGEEIKTYQGHHKATVCCALHDGAEPSPC >EOY09359 pep chromosome:Theobroma_cacao_20110822:5:28547500:28552077:-1 gene:TCM_024783 transcript:EOY09359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 4 MTQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRYLAAAGNPHIRLFDINSNSPQPVMSYDSHTNNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGNQTMTNFEPLHKLQAHNGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITASSDTTAKLWSMSSGEEIKTYQGHHKATVCCALHDGAEPSPC >EOY09360 pep chromosome:Theobroma_cacao_20110822:5:28547802:28551910:-1 gene:TCM_024783 transcript:EOY09360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 4 HDPPVIKLEIKMTQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRYLAAAGNPHIRLFDINSNSPQPVMSYDSHTNNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGNQTMTNFEPLHKLQAHNGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITASSDTTAKL >EOY09362 pep chromosome:Theobroma_cacao_20110822:5:28547274:28552005:-1 gene:TCM_024783 transcript:EOY09362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 4 MTQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRYLAAAGNPHIRLFDINSNSPQPVMSYDSHTNNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGNQTMTNFEPLHKLQAHNGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLIVGHQRWVWDCVFSVDGAYLITASSDTTAKLWSMSSGEEIKTYQGHHKATVCCALHDGAEPSPC >EOY09365 pep chromosome:Theobroma_cacao_20110822:5:28547373:28551018:-1 gene:TCM_024783 transcript:EOY09365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 4 MYSGSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGNQTMTNFEPLHKLQAHNGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITASSDTTAKLWSMSSGEEIKTYQGHHKATVCCALHDGAEPSPC >EOY08570 pep chromosome:Theobroma_cacao_20110822:5:10962319:10963252:-1 gene:TCM_023146 transcript:EOY08570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQKLGSYSVCFQCYNVVLVPASYRDRSLGATALCFQRYPSPSMLYFELSLNHHKKSFKLLYIITIN >EOY09819 pep chromosome:Theobroma_cacao_20110822:5:31197080:31218419:-1 gene:TCM_025194 transcript:EOY09819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTWCIMMIPGSAFFHGWRWFPNVGTTPNRWIEPLGCSDDYGVGGILRNEHGDVFILFSKSIGVVYVSSPLIESDNQNVVNWITSPNKVPWRPRQLIVQNLNILGKIKKRDIKHTLRSANNEANTLAKEGVLRTVDFLWSLDVGSVQVMEVINPA >EOY11703 pep chromosome:Theobroma_cacao_20110822:5:40090900:40094905:-1 gene:TCM_026793 transcript:EOY11703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutral invertase isoform 1 MNAINILSKSTMKLPSRVLSLRNASFLGLRYSFNPPCCEEKLTCKSKAILLIFNQNSQFHAYPSRFLGFQRILNNTQRLYCLPSSGFAQPRAVSRPYRVSVEARVASRVRDLSTSVETRVNDKNFERIFVQDGINVKPLVVERIDKDESIVGGDQVPLTEDENNVNNIRVGLEEGKAGISVEIDIEKEAWNLLRGAVVTYCGTPVGTVAANDPADKLPLNYDQVFIRDFVPSALAFLLKGEGEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDDNKFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIKLILNLCLADGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYAALRCSREMLTVNDGSKNLVRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSLDAINKFNIYPEQIPSWLMDWIPGEGGYLLGNLQPAHMDFRFFTLGNLWSVVSSLGTPKQNEAILNLIEAKWDDIVGQMPLKICYPAVENEEWRIITGCDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRLELAQKAVSLAEKRLAIDRWPEYYDTRAGKFIGKQSRLYQTWTIAGFLTSRLMLENPEMASLLFWEEDYELLEICVCALSKSGRKKCSRGAAKSQILV >EOY11704 pep chromosome:Theobroma_cacao_20110822:5:40090962:40094911:-1 gene:TCM_026793 transcript:EOY11704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutral invertase isoform 1 MNAINILSKSTMKLPSRVLSLRNASFLGLRYSFNPPCCEEKLTCKSKAILLIFNQNSQFHAYPSRFLGFQRILNNTQRLYCLPSSGFAQPRAVSRPYRVSVEARVASRVRDLSTSVETRVNDKNFERIFVQDGINVKPLVVERIDKDESIVGGDQVPLTEDENNVNNIRVGLEEGKAGISVEIDIEKEAWNLLRGAVVTYCGTPVGTVAANDPADKLPLNYDQVFIRDFVPSALAFLLKGEGEIVKNFLLHTLQLQALFYAALRCSREMLTVNDGSKNLVRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSLDAINKFNIYPEQIPSWLMDWIPGEGGYLLGNLQPAHMDFRFFTLGNLWSVVSSLGTPKQNEAILNLIEAKWDDIVGQMPLKICYPAVENEEWRIITGCDPKNTPWSYHNGGSWPTLLWQQFTLACIKMGRLELAQKAVSLAEKRLAIDRWPEYYDTRAGKFIGKQSRLYQTWTIAGFLTSRLMLENPEMASLLFWEEDYELLEICVCALSKSGRKKCSRGAAKSQILV >EOY10640 pep chromosome:Theobroma_cacao_20110822:5:35674354:35681474:1 gene:TCM_025953 transcript:EOY10640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase 2 MLQSLNHKALYNAFEMTSREGKRRMLSKFLIIACLTVILAPPALSLQSEINVWPKPRLLVWPKPLATFLSPNFTISSPNHQHLTPAVNRYLCLIKTEHHHPLVTPSVIVSNSSAPLKTLSINVIDLTAPLQHGVNETYALAVSEVDGTAYLTAATAWGAMRGLETFSQMVWGDPSVVPVGVYVWDAPLFAHRGVMLDTSRNYYAVEDILRTIGAMSANKLNVFHWHITDSHSFPLMVPSEPELAAKGSYGPDMIYSPSDVARIVQFGLEHGVRVLPEIDSPGHSGSWTEAYPEIVACANMFWWPAGSLWADRLASEPGTGHLNPLNPKTYQVLKNVIRDVATLFPETFYHGGADEVIPGCWKADPTIQSFLSNGGTLSQLLETFVNSTLPYIISLNRTVVYWEDVLLDDNVKVDSSFLPREYTILQTWNNGTNNTKKIVEAGYQAIVSSSEFYYLDCGHGDFLGNDSIYDQQTAGGNSGNGGSWCEPFKTWQTIYNYDITYGLSEEEAKLVLGGEVALWSEQADPTVLDPRIWPRTSAMAETLWSGNRDETGNKRYAEATDRLNEWRYRMVTRGIKAEPLQPLWCVRNPGMCNTVNAF >EOY10357 pep chromosome:Theobroma_cacao_20110822:5:34346785:34348767:1 gene:TCM_025729 transcript:EOY10357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin folding cofactor A (KIESEL) MATIRNLKIKTSTCKRIVKELHSYEKEVEREAAKTADMKEKGADPYDLKQQENVLAESRMMIPDCRKRLEASLADLKGTLAELDETNEKEGPEFEDARSTITEVEKLFQTTEA >EOY07473 pep chromosome:Theobroma_cacao_20110822:5:1686038:1690205:1 gene:TCM_021902 transcript:EOY07473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein, putative MKIDEDLGFDREDAFQTDDEENRAERVCVDDCGDDTESDYALASRNPSSNCLEVNNTTWPQSYRESMDMLKGVTPPSINFLSGTTATGLIGSSSFRKHQSNDDESSHKKPLISERSFDEEVPTSTLLASQQRIFANESTPSQRQCSFTQSLINGINVLCGIGLLTTPYAVKEGGWLSLSLLIIFGIIACYTGILLKRCLESSPGLQTYPDIGQAAFGVAGRLMISVILYAELYAACVEYVIMMSDNLSTIFPNASIDFAGIYLDSHQVFSIVGALTVLPTVWLRDLSLLSYLSVGGVGASILVVLCLLWVGAVDQVGFHGSGTALNLANLPISVGIYSFCYAGHSVLPNIYSSMKEPSLFPLVLVASFIFCWFACTGAAISGFLIFGDSAESQFTLNMPIKFTASKIAAWTVVIITVSKYALTLTPIALSVEELVPSMTQFRSYGVSIIIRTALVISTLVVAMTVPFFAFVMALAGSLLAMLLCIIFPCACYLSILRASLTKLQKAVCIGIAVLGLVIACFGTYSAILRIAGQLD >EOY10955 pep chromosome:Theobroma_cacao_20110822:5:37207706:37208327:1 gene:TCM_026224 transcript:EOY10955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNIGNSWLLISCMLIIGILLSHDAHMIGATEGVSGSSFGGIPSHDFPSKFNVVSKKSARRPDPPRPPPPRRAPPIQPRILSPPPSPLSPPIST >EOY10841 pep chromosome:Theobroma_cacao_20110822:5:36750291:36761952:-1 gene:TCM_026140 transcript:EOY10841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloprotease m41 ftsh, putative isoform 1 MDSIFTSPCLSQISPPNFRTRHLIRTHKFTKKPLKTLPIKLKFNAFAFRGTPQSSKPVKHQNLILPRRENLEKEAKISSGYFSFQSLVKNLAFTLLCFAIGLSNLSPNGEFKGVAMAAIVEKVAVKGKEEEKEGTFRKDEHEFSDYTRKLLEAVSGLLRKVEEVRNGNGDVNEVGEVLKAVRVKKEELQGEIMRGLYVELRELKREKEELEKRAEEIVDKAVKVGREKGKVVGGRGGKGKGKDVVEKLEEGMERMEEEYSGIWERIGEIEDEILRRETTALSIGVRELCFIERECEELVQRFNSEMRRKEHFQSTLRGSITNLSRSEIQDELEAAQRKHFEHMILPSVVEVEDLVPFFNEDSVDFALRIRQCLKDSWEMQRNLESRIRRRMKKFGSEKRFVVKTPEDEVVKGFPEAELKWMFGDKEVVVPKAISLHLYHGWKKWREEAKVDLKRHLLEDADFGKHYVAQRQDRILLDRDRVVAKTWYNEERSRWEMDSMAVPYAVSKKLVEHARIRHDWAMMYIALKGDDKEYFVDIKEFDILYENFGGFDGLYMKMLACGIPTAVQLMYIPFSELDFRQQFLLTIRMAHQCLTGLWKTKFVSYGKDWVYQKIRNINDDIMMVIVFPLIESIIPYPVRMQLGMAWPEEIGQTVASTWYLKWQSEAEMSFKSRKTDDLKWFLWFLIRSTIYGFILFHVFRFLRRKVPRVLGYGPIRKDPNIRKLRRVKGYFNYRLRKIKRKKRAGIDPIRTAFDGMKRVKNPPIPLKDFASIESMREEINEVVAFLQNPGAFQEMGARAPRGVLIVGERGTGKTSLALAIAAEARVPVVNVEAQQLEAGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGKFIHTKKQDHEAFINQLLVELDGFEKQDGVVLMATTRNIKQIDEALRRPGRMDRVFHLQRPTQAEREKILRIAAKETMDEELIDLVDWKKVAEKTALLRPIELKLVPVALEGSAFRSKFLDTDELMSYCSWFATFSGMVPKWVRSTKIVKQVSKMLVNHLGLKLTQEDLQNVVDLMEPYGQISNGIEFLNPPLDWTRETKFPHAVWAAGRGLIALLLPNFDVVDNLWLEPCSWEGIGCTKITKASNEGSMYANAESRSYLEKKLVFCFGSHIAAQLLLPFGEENFLSASELKQAQEIATRMVIQYGWGPDDSPAIYYSSNAVTALSMGNNHEFEMATKVEKIYDLAYQKAKEMLKKNRQVLEKIVEELLEFEILTGKDLERILHENGGLREKEPFFLSQVDYREPLSSSFLDEGSASETTFLDVAA >EOY10843 pep chromosome:Theobroma_cacao_20110822:5:36750291:36762247:-1 gene:TCM_026140 transcript:EOY10843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloprotease m41 ftsh, putative isoform 1 MDSIFTSPCLSQISPPNFRTRHLIRTHKFTKKPLKTLPIKLKFNAFAFRGTPQSSKPVKHQNLILPRRENLEKEAKISSGYFSFQSLVKNLAFTLLCFAIGLSNLSPNGEFKGVAMAAIVEKVAVKGKEEEKEGTFRKDEHEFSDYTRKLLEAVSGLLRKVEEVRNGNGDVNEVGEVLKAVRVKKEELQGEIMRGLYVELRELKREKEELEKRAEEIVDKAVKVGREKGKVVGGRGGKGKGKDVVEKLEEGMERMEEEYSGIWERIGEIEDEILRRETTALSIGVRELCFIERECEELVQRFNSEMRRKEHFQSTLRGSITNLSRSEIQDELEAAQRKHFEHMILPSVVEVEDLVPFFNEDSVDFALRIRQCLKDSWEMQRNLESRIRRRMKKFGSEKRFVVKTPEDEVVKGFPEAELKWMFGDKEVVVPKAISLHLYHGWKKWREEAKVDLKRHLLEDADFGKHYVAQRQDRILLDRDRVVAKTWYNEERSRWEMDSMAVPYAVSKKLVEHARIRHDWAMMYIALKGDDKEYFVDIKEFDILYENFGGFDGLYMKMLACGIPTAVQLMYIPFSELDFRQQFLLTIRMAHQCLTGLWKTKFVSYGKDWVYQKIRNINDDIMMVIVFPLIESIIPYPVRMQLGMAWPEEIGQTVASTWYLKWQSEAEMSFKSRKTDDLKWFLWFLIRSTIYGFILFHVFRFLRRKVPRVLGYGPIRKDPNIRKLRRVKGYFNYRLRKIKRKKRAGIDPIRTAFDGMKRVKNPPIPLKDFASIESMREEINEVVAFLQNPGAFQEMGARAPRGVLIVGERGTGKTSLALAIAAEARVPVVNVEAQQLEAGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGKFIHTKKQDHEAFINQLLVELDGFEKQDGVVLMATTRNIKQIDEALRRPGRMDRVFHLQRPTQAEREKILRIAAKETMDEELIDLVDWKKVAEKTALLRPIELKLVPVALEGSAFRSKFLDTDELMSYCSWFATFSGMVPKWVRSTKIVKQVSKMLVNHLGLKLTQEDLQNVVDLMEPYGQISNGIEFLNPPLDWTRETKFPHAVWAAGRGLIALLLPNFDVVDNLWLEPCSWEGIGCTKITKASNEGSMYANAESRSYLEKKLVFCFGSHIAAQLLLPFGEENFLSASELKQAQEIATRMVIQYGWGPDDSPAIYYSSNAVTALSMGNNHEFEMATKVEKIYDLAYQKAKEMLKKNRQVLEKIVEELLEFEILTGKDLERILHENGGLREKEPFFLSQVDYREPLSSSFLDEGSASETTFLDVAA >EOY10842 pep chromosome:Theobroma_cacao_20110822:5:36751220:36761821:-1 gene:TCM_026140 transcript:EOY10842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloprotease m41 ftsh, putative isoform 1 MDSIFTSPCLSQISPPNFRTRHLIRTHKFTKKPLKTLPIKLKFNAFAFRGTPQSSKPVKHQNLILPRRENLEKEAKISSGYFSFQSLVKNLAFTLLCFAIGLSNLSPNGEFKGVAMAAIVEKVAVKGKEEEKEGTFRKDEHEFSDYTRKLLEAVSGLLRKVEEVRNGNGDVNEVGEVLKAVRVKKEELQGEIMRGLYVELRELKREKEELEKRAEEIVDKAVKVGREKGKVVGGRGGKGKGKDVVEKLEEGMERMEEEYSGIWERIGEIEDEILRRETTALSIGVRELCFIERECEELVQRFNSEMRRKEHFQSTLRGSITNLSRSEIQDELEAAQRKHFEHMILPSVVEVEDLVPFFNEDSVDFALRIRQCLKDSWEMQRNLESRIRRRMKKFGSEKRFVVKTPEDEVVKGFPEAELKWMFGDKEVVVPKAISLHLYHGWKKWREEAKVDLKRHLLEDADFGKHYVAQRQDRILLDRDRVVAKTWYNEERSRWEMDSMAVPYAVSKKLVEHARIRHDWAMMYIALKGDDKEYFVDIKEFDILYENFGGFDGLYMKMLACGIPTAVQLMYIPFSELDFRQQFLLTIRMAHQCLTGLWKTKFVSYGKDWVYQKIRNINDDIMMVIVFPLIESIIPYPVRMQLGMAWPEEIGQTVASTWYLKWQSEAEMSFKSRKTDDLKWFLWFLIRSTIYGFILFHVFRFLRRKVPRVLGYGPIRKDPNIRKLRRVKGYFNYRLRKIKRKKRAGIDPIRTAFDGMKRVKNPPIPLKDFASIESMREEINEVVAFLQNPGAFQEMGARAPRGVLIVGERGTGKTSLALAIAAEARVPVVNVEAQQLEAGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGKFIHTKKQDHEAFINQLLVELDGFEKQDGVVLMATTRNIKQIDEALRRPGRMDRVFHLQRPTQAEREKILRIAAKETMDEELIDLVDWKKVAEKTALLRPIELKLVPVALEGSAFRSKFLDTDELMSYCSWFATFSGMVPKWVRSTKIVKQVSKMLVNHLGLKLTQEDLQNVVDLMEPYGQISNGIEFLNPPLDWTRETKFPHAVWAAGRGLIALLLPNFDVVDNLWLEPCSWEGIGCTKITKASNEGSMYANAESRSYLEKKLVFCFGSHIAAQLLLPFGEENFLSASELKQAQEIATRMVIQYGWGPDDSPAIYYSSNAVTALSMGNNHEFEMATKVEKIYDLAYQKAKEMLKKNRQVLEKIVEELLEFEILTGKRIWRESFMKMGGFERKSLFSYHKLIIESHCLAAFLMKGVHQKLHF >EOY10847 pep chromosome:Theobroma_cacao_20110822:5:36750291:36761952:-1 gene:TCM_026140 transcript:EOY10847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloprotease m41 ftsh, putative isoform 1 MDSIFTSPCLSQISPPNFRTRHLIRTHKFTKKPLKTLPIKLKFNAFAFRGTPQSSKPVKHQNLILPRRENLEKEAKISSGYFSFQSLVKNLAFTLLCFAIGLSNLSPNGEFKGVAMAAIVEKVAVKGKEEEKEGTFRKDEHEFSDYTRKLLEAVSGLLRKVEEVRNGNGDVNEVGEVLKAVRVKKEELQGEIMRGLYVELRELKREKEELEKRAEEIVDKAVKVGREKGKVVGGRGGKGKGKDVVEKLEEGMERMEEEYSGIWERIGEIEDEILRRETTALSIGVRELCFIERECEELVQRFNSEMRRKEHFQSTLRGSITNLSRSEIQDELEAAQRKHFEHMILPSVVEVEDLVPFFNEDSVDFALRIRQCLKDSWEMQRNLESRIRRRMKKFGSEKRFVVKTPEDEVVKGFPEAELKWMFGDKEVVVPKAISLHLYHGWKKWREEAKVDLKRHLLEDADFGKHYVAQRQDRILLDRDRVVAKTWYNEERSRWEMDSMAVPYAVSKKLVEHARIRHDWAMMYIALKGDDKEYFVDIKEFDILYENFGGFDGLYMKMLACGIPTAVQLMYIPFSELDFRQQFLLTIRMAHQCLTGLWKTKFVSYGKDWVYQKIRNINDDIMMVIVFPLIESIIPYPVRMQLGMAWPEEIGQTVASTWYLKWQSEAEMSFKSRKTDDLKWFLWFLIRSTIYGFILFHVFRFLRRKVPRVLGYGPIRKDPNIRKLRRVKGYFNYRLRKIKRKKRAGIDPIRTAFDGMKRVKNPPIPLKDFASIESMREEINEVVAFLQNPGAFQEMGARAPRGVLIVGERGTGKTSLALAIAAEARVPVVNVEAQQLEAGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGKFIHTKKQDHEAFINQLLVELDGFEKQDGVVLMATTRNIKQIDEALRRPGRMDRVFHLQRPTQAEREKILRIAAKETMDEELIDLVDWKKTFSGMVPKWVRSTKIVKQVSKMLVNHLGLKLTQEDLQNVVDLMEPYGQISNGIEFLNPPLDWTRETKFPHAVWAAGRGLIALLLPNFDVVDNLWLEPCSWEGIGCTKITKASNEGSMYANAESRSYLEKKLVFCFGSHIAAQLLLPFGEENFLSASELKQAQEIATRMVIQYGWGPDDSPAIYYSSNAVTALSMGNNHEFEMATKVEKIYDLAYQKAKEMLKKNRQVLEKIVEELLEFEILTGKDLERILHENGGLREKEPFFLSQVDYREPLSSSFLDEGSASETTFLDVAA >EOY10845 pep chromosome:Theobroma_cacao_20110822:5:36750291:36761952:-1 gene:TCM_026140 transcript:EOY10845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloprotease m41 ftsh, putative isoform 1 MDSIFTSPCLSQISPPNFRTRHLIRTHKFTKKPLKTLPIKLKFNAFAFRGTPQSSKPVKHQNLILPRRENLEKEAKISSGYFSFQSLVKNLAFTLLCFAIGLSNLSPNGEFKGVAMAAIVEKVAVKGKEEEKEGTFRKDEHEFSDYTRKLLEAVSGLLRKVEEVRNGNGDVNEVGEVLKAVRVKKEELQGEIMRGLYVELRELKREKEELEKRAEEIVDKAVKVGREKGKVVGGRGGKGKGKDVVEKLEEGMERMEEEYSGIWERIGEIEDEILRRETTALSIGVRELCFIERECEELVQRFNSEMRRKEHFQSTLRGSITNLSRSEIQDELEAAQRKHFEHMILPSVVEVEDLVPFFNEDSVDFALRIRQCLKDSWEMQRNLESRIRRRMKKFGSEKRFVVKTPEDEVVKGFPEAELKWMFGDKEVVVPKAISLHLYHGWKKWREEAKVDLKRHLLEDADFGKHYVAQRQDRILLDRDRVVAKTWYNEERSRWEMDSMAVPYAVSKKLVEHARIRHDWAMMYIALKGDDKEYFVDIKEFDILYENFGGFDGLYMKMLACGIPTAVQLMYIPFSELDFRQQFLLTIRMAHQCLTGLWKTKFVSYGKDWVYQKIRNINDDIMMVIVFPLIESIIPYPVRMQLGMAWPEEIGQTVASTWYLKWQSEAEMSFKSRKTDDLKWFLWFLIRSTIYGFILFHVFRFLRRKVPRVLGYGPIRKDPNIRKLRRVKGYFNYRLRKIKRKKRAGIDPIRTAFDGMKRVKNPPIPLKDFASIESMREEINEVVAFLQNPGAFQEMGARAPRGVLIVGERGTGKTSLALAIAAEARVPVVNVEAQQLEAGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGKFIHTKKQDHEAFINQLLVELDGFEKQDGVVLMATTRNIKQIDEALRRPGRMDRVFHLQRPTQAEREKILRIAAKETMDEELIDLVDWKKVAEKTALLRPIELKLVPVALEGSAFRSKFLDTDELMSYCSWFATFSGMVPKWVRSTKIVKQVSKMLVNHLGLKLTQEDLQNVVDLMEPYGQISNGIEFLNPPLDWTRETKFPHAVWAAGRGLIALLLPNFDVVDNLWLEPCSWEGIGCTKITKASNEGSMYANAESRSYLEKKLVFCFGSHIAAQLLLPFGEENFLSASELKQAQEIATRMVIQYGWGPDDSPAIYYSSNAVTALSMGNNHEFEMATKVEKIYDLAYQKAKEMLKKNRQVLEKIVEELLEFEILTGKDLERILHENGGLREKEPFFLSQVDYREPLSSSFLDEGSASETTFLDVAA >EOY10840 pep chromosome:Theobroma_cacao_20110822:5:36750409:36762247:-1 gene:TCM_026140 transcript:EOY10840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloprotease m41 ftsh, putative isoform 1 MDSIFTSPCLSQISPPNFRTRHLIRTHKFTKKPLKTLPIKLKFNAFAFRGTPQSSKPVKHQNLILPRRENLEKEAKISSGYFSFQSLVKNLAFTLLCFAIGLSNLSPNGEFKGVAMAAIVEKVAVKGKEEEKEGTFRKDEHEFSDYTRKLLEAVSGLLRKVEEVRNGNGDVNEVGEVLKAVRVKKEELQGEIMRGLYVELRELKREKEELEKRAEEIVDKAVKVGREKGKVVGGRGGKGKGKDVVEKLEEGMERMEEEYSGIWERIGEIEDEILRRETTALSIGVRELCFIERECEELVQRFNSEMRRKEHFQSTLRGSITNLSRSEIQDELEAAQRKHFEHMILPSVVEVEDLVPFFNEDSVDFALRIRQCLKDSWEMQRNLESRIRRRMKKFGSEKRFVVKTPEDEVVKGFPEAELKWMFGDKEVVVPKAISLHLYHGWKKWREEAKVDLKRHLLEDADFGKHYVAQRQILKAIDVDRILLDRDRVVAKTWYNEERSRWEMDSMAVPYAVSKKLVEHARIRHDWAMMYIALKGDDKEYFVDIKEFDILYENFGGFDGLYMKMLACGIPTAVQLMYIPFSELDFRQQFLLTIRMAHQCLTGLWKTKFVSYGKDWVYQKIRNINDDIMMVIVFPLIESIIPYPVRMQLGMAWPEEIGQTVASTWYLKWQSEAEMSFKSRKTDDLKWFLWFLIRSTIYGFILFHVFRFLRRKVPRVLGYGPIRKDPNIRKLRRVKGYFNYRLRKIKRKKRAGIDPIRTAFDGMKRVKNPPIPLKDFASIESMREEINEVVAFLQNPGAFQEMGARAPRGVLIVGERGTGKTSLALAIAAEARVPVVNVEAQQLEAGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGKFIHTKKQDHEAFINQLLVELDGFEKQDGVVLMATTRNIKQIDEALRRPGRMDRVFHLQRPTQAEREKILRIAAKETMDEELIDLVDWKKVAEKTALLRPIELKLVPVALEGSAFRSKFLDTDELMSYCSWFATFSGMVPKWVRSTKIVKQVSKMLVNHLGLKLTQEDLQNVVDLMEPYGQISNGIEFLNPPLDWTRETKFPHAVWAAGRGLIALLLPNFDVVDNLWLEPCSWEGIGCTKITKASNEGSMYANAESRSYLEKKLVFCFGSHIAAQLLLPFGEENFLSASELKQAQEIATRMVIQYGWGPDDSPAIYYSSNAVTALSMGNNHEFEMATKVEKIYDLAYQKAKEMLKKNRQVLEKIVEELLEFEILTGKDLERILHENGGLREKEPFFLSQVDYREPLSSSFLDEGSASETTFLDVAA >EOY10844 pep chromosome:Theobroma_cacao_20110822:5:36750891:36762017:-1 gene:TCM_026140 transcript:EOY10844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloprotease m41 ftsh, putative isoform 1 MDSIFTSPCLSQISPPNFRTRHLIRTHKFTKKPLKTLPIKLKFNAFAFRGTPQSSKPVKHQNLILPRRENLEKEAKISSGYFSFQSLVKNLAFTLLCFAIGLSNLSPNGEFKGVAMAAIVEKVAVKGKEEEKEGTFRKDEHEFSDYTRKLLEAVSGLLRKVEEVRNGNGDVNEVGEVLKAVRVKKEELQGEIMRGLYVELRELKREKEELEKRAEEIVDKAVKVGREKGKVVGGRGGKGKGKDVVEKLEEGMERMEEEYSGIWERIGEIEDEILRRETTALSIGVRELCFIERECEELVQRFNSEMRRKEHFQSTLRGSITNLSRSEIQDELEAAQRKHFEHMILPSVVEVEDLVPFFNEDSVDFALRIRQCLKDSWEMQRNLESRIRRRMKKFGSEKRFVVKTPEDEVVKGFPEAELKWMFGDKEVVVPKAISLHLYHGWKKWREEAKVDLKRHLLEDADFGKHYVAQRQDRILLDRDRVVAKTWYNEERSRWEMDSMAVPYAVSKKLVEHARIRHDWAMMYIALKGDDKEYFVDIKEFDILYENFGGFDGLYMKMLACGIPTAVQLMYIPFSELDFRQQFLLTIRMAHQCLTGLWKTKFVSYGKDWVYQKIRNINDDIMMVIVFPLIESIIPYPVRMQLGMAWPEEIGQTVASTWYLKWQSEAEMSFKSRKTDDLKWFLWFLIRSTIYGFILFHVFRFLRRKVPRVLGYGPIRKDPNIRKLRRVKGYFNYRLRKIKRKKRAGIDPIRTAFDGMKRVKNPPIPLKDFASIESMREEINEVVAFLQNPGAFQEMGARAPRGVLIVGERGTGKTSLALAIAAEARVPVVNVEAQQLEAGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGKFIHTKKQDHEAFINQLLVELDGFEKQDGVVLMATTRNIKQIDEALRRPGRMDRVFHLQRPTQAEREKILRIAAKETMDEELIDLVDWKKVAEKTALLRPIELKLVPVALEGSAFRSKFLDTDELMSYCSWFATFSGMVPKWVRSTKIVKQVSKMLVNHLGLKLTQEDLQNVVDLMEPYGQISNGIEFLNPPLDWTRETKFPHAVWAAGRGLIALLLPNFDVVDNLWLEPCSWEGIGCTKITKASNEGSMYANAESRSYLEKKLVFCFGSHIAAQLLLPFGEENFLSASELKQAQEIATRMVIQYGWGPDDSPAIYYSSNAIVHVGIFLHCYRSQL >EOY10846 pep chromosome:Theobroma_cacao_20110822:5:36752785:36761821:-1 gene:TCM_026140 transcript:EOY10846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloprotease m41 ftsh, putative isoform 1 MDSIFTSPCLSQISPPNFRTRHLIRTHKFTKKPLKTLPIKLKFNAFAFRGTPQSSKPVKHQNLILPRRENLEKEAKISSGYFSFQSLVKNLAFTLLCFAIGLSNLSPNGEFKGVAMAAIVEKVAVKGKEEEKEGTFRKDEHEFSDYTRKLLEAVSGLLRKVEEVRNGNGDVNEVGEVLKAVRVKKEELQGEIMRGLYVELRELKREKEELEKRAEEIVDKAVKVGREKGKVVGGRGGKGKGKDVVEKLEEGMERMEEEYSGIWERIGEIEDEILRRETTALSIGVRELCFIERECEELVQRFNSEMRRKEHFQSTLRGSITNLSRSEIQDELEAAQRKHFEHMILPSVVEVEDLVPFFNEDSVDFALRIRQCLKDSWEMQRNLESRIRRRMKKFGSEKRFVVKTPEDEVVKGFPEAELKWMFGDKEVVVPKAISLHLYHGWKKWREEAKVDLKRHLLEDADFGKHYVAQRQDRILLDRDRVVAKTWYNEERSRWEMDSMAVPYAVSKKLVEHARIRHDWAMMYIALKGDDKEYFVDIKEFDILYENFGGFDGLYMKMLACGIPTAVQLMYIPFSELDFRQQFLLTIRMAHQCLTGLWKTKFVSYGKDWVYQKIRNINDDIMMVIVFPLIESIIPYPVRMQLGMAWPEEIGQTVASTWYLKWQSEAEMSFKSRKTDDLKWFLWFLIRSTIYGFILFHVFRFLRRKVPRVLGYGPIRKDPNIRKLRRVKGYFNYRLRKIKRKKRAGIDPIRTAFDGMKRVKNPPIPLKDFASIESMREEINEVVAFLQNPGAFQEMGARAPRGVLIVGERGTGKTSLALAIAAEARVPVVNVEAQQLEAGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGKFIHTKKQDHEAFINQLLVELDGFEKQDGVVLMATTRNIKQIDEALRRPGRMDRVFHLQRPTQAEREKILRIAAKETMDEELIDLVDWKKTFSGMVPKWVRSTKIVKQVSKMLVNHLGLKLTQEDLQNVVDLMEPYGQISNGIEFLNPPLDWTRETKFPHAVWAAGRGLIALLLPNFDVVDNLWLEPCSWEGIGCTKITKASNEGSMYANAESRSYLEKKLVFCFGSHIAAQLLLPFGEENFLSASELKQAQER >EOY11519 pep chromosome:Theobroma_cacao_20110822:5:39506356:39506983:1 gene:TCM_026667 transcript:EOY11519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein SAFNAHSPDKLKMSRGSAAGPKGKKKGVTFTIDCSKPVEDKIMEIASLEKFLQERIKVGGKAAA >EOY09779 pep chromosome:Theobroma_cacao_20110822:5:31038133:31048784:1 gene:TCM_025163 transcript:EOY09779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative isoform 1 MMDLRRLALVVVTMALTVVGEFGRCSFGNVVTFDVKHKFAGKGKNLSAVKAHDIRRRGRLLSTVDVDLPLGGNGDPSETGLYFAKIGLGNPSKDYYVQVDTGSDILWVNCGGCDKCPTKSDLGIQLTLYDPRSSSTSSLVYCDQDFCTSTYDGPLPGCKPYLQCQYNVVYGDGSSTAGYFVKDTIHLQQVTGNLQTGSTNGTVIFGCGAKQSGELGSSSEALDGILGFGQANSSMISQLAAAGKVKRMFAHCLDNIDGGGIFAIGEVVSPKVNTTPMVPNHVDDAFPVVKFHFEDSLILTVYPHEYLFQIREDAWCFGWQNSGMQSKDGKDMILLGDLVLSNKLVVYDIENQTIGWTEYNCSSSIRVKDENSGTVYSVGAHDIASASSLTIEGILTFLSILIALLHSSIA >EOY09778 pep chromosome:Theobroma_cacao_20110822:5:31037926:31049311:1 gene:TCM_025163 transcript:EOY09778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative isoform 1 MMDLRRLALVVVTMALTVVGEFGRCSFGNVVTFDVKHKFAGKGKNLSAVKAHDIRRRGRLLSTVDVDLPLGGNGDPSETGLYFAKIGLGNPSKDYYVQVDTGSDILWVNCGGCDKCPTKSDLGIQLTLYDPRSSSTSSLVYCDQDFCTSTYDGPLPGCKPYLQCQYNVVYGDGSSTAGYFVKDTIHLQQVTGNLQTGSTNGTVIFGCGAKQSGELGSSSEALDGILGFGQANSSMISQLAAAGKVKRMFAHCLDNIDGGGIFAIGEVVSPKVNTTPMVPNQAHYNVVMKGVEVGGSLLELPSDIFDSGDRKGTIVDSGTTLAYLPSTIYEPLMNKIFSKQPTLKLHTVEDQFTCFTFAENVDDAFPVVKFHFEDSLILTVYPHEYLFQIREDAWCFGWQNSGMQSKDGKDMILLGDLVLSNKLVVYDIENQTIGWTEYNCSSSIRVKDENSGTVYSVGAHDIASASSLTIEGILTFLSILIALLHSSIA >EOY10535 pep chromosome:Theobroma_cacao_20110822:5:35216386:35223833:1 gene:TCM_025867 transcript:EOY10535 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative isoform 2 MEICISVAAKTSEYAVVPIKNQIGYIFKHEGKVESLRIGVGKLKDARERVRHSVEEAKRRGEEIEQDVETWLTRVNKKLSDRDQDEDADKAKNKCLIGLCPNLKTRYQLSKRAEKESEAIVELLNEAEKFNSVSVSYRPAPQEIMSTYVKGFEDFESRRHVFDGVMEALKDSSVNIVGVYGMGGVGKTTLARHVAGQAKEKNLFDTVVMAFVTQNAEIAEIQQQIGDRLGLEFDAKTISVRADQLRERLKKENKVLVILDDLWARLDLEAVGIPCGGEHVGCKILLTSRDLNVLSMMDSRNNFPVGVLEEKEAWNLFNKMAGDSVNESPDLYSTAIEVAKKCAGLPIAIVTVARALRNKGLFQWRDALQQLRTPSPRNFTGIPAPIYSAIELSYSHLESQELKSTFLLCSLLCADTPISDLVKYGVGLGLFQGVNTIEEARDRAYSLVHQLKSSCLLIDSFFEDVFSVHEVVRDVALSIAFREQHGFSLRNEVAPKEWPPMDMLNNCIFMSLSHNHFIELPKELECPQLQFFYICNVFPTLKIRDNFFTGMRKLEVLDLTGVCFSSLPSSVSLLANVRTLCLDRSSFENIAIVGELKTIEILSLRECSIKQLPREIGQLSRLRMLDLGNNPRLKLIPSGVFSSLSGLEELCLERSFTEWDIEGNASLVELKHLSRLTSLDVHIRNVQIVPMKLFSGKLKRYKILIGDMWYWSSERKTSRTLKLKLNSSFHLDHEIKTLLKKTEDLYLDEVKDIKNVLYELDAEGFPQLKYLHVQNSPTMEHIINSVEWVPCKAFPILESLSLQNMINLEKICHGEIVAESFSRLKIIKVARCDRLNNFFSLSTARKIFQLQEIEVTDCENITEIVAEEREVNIEDNEALFCQLRSLTLVYLSNFLHFCSREEKLLTSEHGRSQSTIDTRSKERTLFNEKDVFPNLEKLYLYSINVEKIWHMCCFPANCSTVQNLTTFIVTGCGNLKCLFSSSMVQSLVQLKIVEIANCEMMEEVVVAEEEKVSKMMFPKLERLSLNNLPKLTRFCSESLIEFSSLSELFLGSCPCLKMFVSGFLGAGTTIKKEVRKNKSKENICTDILILFDEKVALPMLRNLTIYRMASLEKIWHDQLYLDSFCKLNDFYLGFCEKLLNVFPFSMLERLRRLKTLNIFKCDSLEEIFESQGLRAHESCAAKATQSTELEAITKLAFPQARSLQLTKLPKLKSFYPRLHSTEWPLLERMEVVECDKVEIFALEYPSLKEIQGKTQFEFPLQQPLFWVNKVTFPSLEELTLVRKEMMKEIWQGQVPAEYFRKLKVLVLRGFPKQSATLLSRFFRSLKSLEKLDVRDASFDKIFQCEGLAGEEKHAWTFQCLTELKLFNLPELMHLWEEGFQPGPIIQKLRILEVVECDELKNLAPSSASFQNLMTLEVSKCHGFINLVTHSTAKSLMQLTRMSITDCKMVENIVACVGEEMKDGIVFTQLKYLELNCLPNLECFCLESCDLVFPSLEQLIVMQCPNMKIFSKGELSTPKLQKVQVTEDEAEGHTEGSHNTTIQQLFKEQNFKAY >EOY10536 pep chromosome:Theobroma_cacao_20110822:5:35216612:35223828:1 gene:TCM_025867 transcript:EOY10536 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative isoform 2 MEICISVAAKTSEYAVVPIKNQIGYIFKHEGKVESLRIGVGKLKDARERVRHSVEEAKRRGEEIEQDVETWLTRVNKKLSDRDQDEDADKAKNKCLIGLCPNLKTRYQLSKRAEKESEAIVELLNEAEKFNSVSVSYRPAPQEIMSTYVKGFEDFESRRHVFDGVMEALKDSSVNIVGVYGMGGVGKTTLARHVAGQAKEKNLFDTVVMAFVTQNAEIAEIQQQIGDRLGLEFDAKTISVRADQLRERLKKENKVLVILDDLWARLDLEAVGIPCGGEHVGCKILLTSRDLNVLSMMDSRNNFPVGVLEEKEAWNLFNKMAGDSVNESPDLYSTAIEVAKKCAGLPIAIVTVARALRNKGLFQWRDALQQLRTPSPRNFTGIPAPIYSAIELSYSHLESQELKSTFLLCSLLCADTPISDLVKYGVGLGLFQGVNTIEEARDRAYSLVHQLKSSCLLIDSFFEDVFSVHEVVRDVALSIAFREQHGFSLRNEVAPKEWPPMDMLNNCIFMSLSHNHFIELPKELECPQLQFFYICNVFPTLKIRDNFFTGMRKLEVLDLTGVCFSSLPSSVSLLANVRTLCLDRSSFENIAIVGELKTIEILSLRECSIKQLPREIGQLSRLRMLDLGNNPRLKLIPSGVFSSLSGLEELCLERSFTEWDIEGNASLVELKHLSRLTSLDVHIRNVQIVPMKLFSGKLKRYKILIGDMWYWSSERKTSRTLKLKLNSSFHLDHEIKTLLKKTEDLYLDEVKDIKNVLYELDAEGFPQLKYLHVQNSPTMEHIINSVEWVPCKAFPILESLSLQNMINLEKICHGEIVAESFSRLKIIKVARCDRLNNFFSLSTARKIFQLQEIEVTDCENITEIVAEEREVNIEDNEALFCQLRSLTLVYLSNFLHFCSREEKLLTSEHGRSQSTIDTRSKERTLFNEKDVFPNLEKLYLYSINVEKIWHMCCFPANCSTVQNLTTFIVTGCGNLKCLFSSSMVQSLVQLKIVEIANCEMMEEVVVAEEEKVSKMMFPKLERLSLNNLPKLTRFCSESLIEFSSLSELFLGSCPCLKMFVSGFLGAGTTIKKEVRKNKSKENICTDILILFDEKVALPMLRNLTIYRMASLEKIWHDQLYLDSFCKLNDFYLGFCEKLLNVFPFSMLERLRRLKTLNIFKCDSLEEIFESQGLRAHESCAAKATQSTELEAITKLAFPQARSLQLTKLPKLKSFYPRLHSTEWPLLERMEVVECDKVEIFALEYPSLKEIQGKTQFEFPLQQPLFWVNKVTFPSLEELTLVRKEMMKEIWQGQVPAEYFRKLKVLVLRGFPKQSATLLSRFFRSLKSLEKLDVRDASFDKIFQCEGLAGEEKHAWTFQCLTELKLFNLPELMHLWEEGFQPGPIIQKLRILEVVECDELKNLAPSSASFQNLMTLEVSKCHGFINLVTHSTAKSLMQLTRMSITDCKMVENIVACVGEEMKDGIVFTQLKYLELNCLPNLECFCLESCDLVFPSLEQLIVMQCPNMKIFSKGELSTPKLQKVQVTEDEAEGHTEGSHNTTIQQLFKEQQNFKAY >EOY08672 pep chromosome:Theobroma_cacao_20110822:5:17252013:17278252:1 gene:TCM_023670 transcript:EOY08672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc induced facilitator-like 1 isoform 3 MGDNREALLGAENKYFENCPGCKVELLKETNPGIPFKHLLYVWVVVLAAALPISSLFPFLYFMIRDFNIAKKEEDIGYYAGYVGSAFMFGRALTSVFWGMIADRYGRKPVIMFGTFSVVIFNTLFGLSTNFWVAVSTRFLLGSLCGILGPMRAYASEVCRKEYQALGMSIISTSWGIGLVIGPALGGFLAQPAEKFPYIFANESLFGRFPYFLPCILISLFAFGVFLISCSLPETLHIHERTDKECDKLNDALVTSTGEPNANEKVRIVGRHPTSQQNLWKNWPLMSSIIVYCVFQLHDMAYAEIFSLWAVSPRKNGGLSYSTADVGEVLAISGCGLLLFQLFLYPLIERTLGPVMISRLGAVLTIPLLFTYPFIAMLKGLSLMFLISSASVLKNVLSVSITTGLFLLQNRAVTQKQRGAANGISMSAMSIFKAVGPAAGGSLFSWAQNRQNAFFPTK >EOY08674 pep chromosome:Theobroma_cacao_20110822:5:17252013:17278520:1 gene:TCM_023670 transcript:EOY08674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc induced facilitator-like 1 isoform 3 MGDNREALLGAENKYFENCPGCKVELLKETNPGIPFKHLLYVWVVVLAAALPISSLFPFLYFMIRDFNIAKKEEDIGYYAGYVGSAFMFGRALTSVFWGMIADRYGRKPVIMFGTFSVVIFNTLFGLSTNFWVAVSTRFLLGSLCGILGPMRAYASEVCRKEYQALGMSIISTSWGIGLVIGPALGGFLAQPAEKFPYIFANESLFGRFPYFLPCILISLFAFGVFLISCSLPETLHIHERTDKECDKLNDALVTSTGEPNANEKVRIVGRHPTSQQNLWKNWPLMSSIIVYCVFQLHDMAYAEIFSLWAVSPRKNGGLSYSTADVGEG >EOY08671 pep chromosome:Theobroma_cacao_20110822:5:17251357:17278698:1 gene:TCM_023670 transcript:EOY08671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc induced facilitator-like 1 isoform 3 MGDNREALLGAENKYFENCPGCKVELLKETNPGIPFKHLLYVWVVVLAAALPISSLFPFLYFMIRDFNIAKKEEDIGYYAGYVGSAFMFGRALTSVFWGMIADRYGRKPVIMFGTFSVVIFNTLFGLSTNFWVAVSTRFLLGSLCGILGPMRAYASEVCRKEYQALGMSIISTSWGIGLVIGPALGGFLAQPAEKFPYIFANESLFGRFPYFLPCILISLFAFGVFLISCSLPETLHIHERTDKECDKLNDALVTSTGEPNANEKVRIVGRHPTSQQNLWKNWPLMSSIIVYCVFQLHDMAYAEIFSLWAVSPRKNGGLSYSTADVGEVLAISGCGLLLFQLFLYPLIERTLGPVMISRLGAVLTIPLLFTYPFIAMLKGLSLMFLISSASVLKNVLSVSITTGLFLLQNRAVTQKQRGAANGISMSAMSIFKAVGPAAGGSLFSWAQNRQNAFFLPGDQMVFFALNMIEVIGLLMTFKPFLIPPDDNVL >EOY08673 pep chromosome:Theobroma_cacao_20110822:5:17251357:17278709:1 gene:TCM_023670 transcript:EOY08673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc induced facilitator-like 1 isoform 3 MSGSLFLLPIRDFNIAKKEEDIGYYAGYVGSAFMFGRALTSVFWGMIADRYGRKPVIMFGTFSVVIFNTLFGLSTNFWVAVSTRFLLGSLCGILGPMRAYASEVCRKEYQALGMSIISTSWGIGLVIGPALGGFLAQPAEKFPYIFANESLFGRFPYFLPCILISLFAFGVFLISCSLPETLHIHERTDKECDKLNDALVTSTGEPNANEKVRIVGRHPTSQQNLWKNWPLMSSIIVYCVFQLHDMAYAEIFSLWAVSPRKNGGLSYSTADVGEVLAISGCGLLLFQLFLYPLIERTLGPVMISRLGAVLTIPLLFTYPFIAMLKGLSLMFLISSASVLKNVLSVSITTGLFLLQNRAVTQKQRGAANGISMSAMSIFKAVGPAAGGSLFSWAQNRQNAFFLPGDQMVFFALNMIEVIGLLMTFKPFLIPPDDNVL >EOY11160 pep chromosome:Theobroma_cacao_20110822:5:38197935:38201818:-1 gene:TCM_026423 transcript:EOY11160 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acetylserine lyase isoform 5 MEEKCAIKKDVSELIGNTPMVYLNNIVDVCVARIAAKLELLEPCSSVKDRIAYSMIKDAEDKGLITPGKNVLIEPTSGNTGIGMAFIGAARGYKVIVTMPASVSIERRIVLRALGAEVYLTNPVKGIKGVIDKAEELVNNTPNSHMLRQFENPANPQIHYDATGPEIWKDSEGKVDALVAGIGTGGTITGAGKFLKEKNSEIKVYGVEPVESAVLNGGKPGPHLIQGIGAGIVPEVLDVGILDEVVQVSGEEAIETAKKLALKEGLLVGISSGAAAAAAIKVAKRPENTGKLIVVIFPSAGERYLSSALFESFRQEAENMTFD >EOY11163 pep chromosome:Theobroma_cacao_20110822:5:38199038:38201805:-1 gene:TCM_026423 transcript:EOY11163 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acetylserine lyase isoform 5 MEEKCAIKKDVSELIGNTPMVYLNNIVDVCVARIAAKLELLEPCSSVKDRIAYSMIKDAEDKGLITPGKNVLIEPTSGNTGIGMAFIGAARGYKVIVTMPASVSIERRIVLRALGAEVYLTNPVKGIKGVIDKAEELVNNTPNSHMLRQFENPANPQIHYDATGPEIWKDSEGKVDALVAGIGTGGTITGAGKFLKEKNSEIKVYGVEPVESAVLNGGKPGPHLIQGIGAGIVPEVLDVGILDEVVQVSLNSILVKSLCQIIRFCFSPMISYSGKH >EOY11162 pep chromosome:Theobroma_cacao_20110822:5:38199046:38201403:-1 gene:TCM_026423 transcript:EOY11162 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acetylserine lyase isoform 5 MEEKCAIKKDVSELIGNTPMVYLNNIVDVCVARIAAKLELLEPCSSVKDRIAYSMIKDAEDKGLITPGKNVLIEPTSGNTGIGMAFIGAARGYKVIVTMPASVSIERRIVLRALGAEVYLTNPVKGIKGVIDKAEELVNNTPNSHMLRQFENPANPQIHYDATGPEIWKDSEGKVDALVAGIGTGGTITGAGKFLKEKNSEIKVYGVEPVESAVLNGGKPGPHLIQGIGAGIVPEVLDVGILDEVVQVSGEEAIETAKKLALKEGLLV >EOY11161 pep chromosome:Theobroma_cacao_20110822:5:38197807:38201805:-1 gene:TCM_026423 transcript:EOY11161 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acetylserine lyase isoform 5 MEEKCAIKKDVSELIGNTPMVYLNNIVDVCVARIAAKLELLEPCSSVKDRIAYSMIKDAEDKGLITPGKNVLIEPTSGNTGIGMAFIGAARGYKVIVTMPASVSIERRIVLRALGAEVYLTNPVKGIKGVIDKAEELVNNTPNSHMLRQFENPANPQIHYDATGPEIWKDSEGKVDALVAGIGTGGTITGAGKFLKEKNSEIKVYGVEPVESAVLNGGKPGPHLIQGIGAGIVPEVLDVGILDEVVQVKEGLLVGISSGAAAAAAIKVAKRPENTGKLIVVIFPSAGERYLSSALFESFRQEAENMTFD >EOY11159 pep chromosome:Theobroma_cacao_20110822:5:38197883:38201895:-1 gene:TCM_026423 transcript:EOY11159 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acetylserine lyase isoform 5 MEEKCAIKKDVSELIGNTPMVYLNNIVDVCVARIAAKLELLEPCSSVKDRIAYSMIKDAEDKGLITPGKNVLIEPTSGNTGIGMAFIGAARGYKVIVTMPASVSIERRIVLRALGAEVYLTNPVKGIKGVIDKAEELVNNTPNSHMLRQFENPANPQIHYDATGPEIWKDSEGKVDALVAGIGTGGTITGAGKFLKEKNSEIKVYGVEPVESAVLNGGKPGPHLIQGIGAGIVPEVLDVGILDEVVQVSGEEAIETAKKLALKEGLLVGISSGAAAAAAIKVAKRPENTGKLIVVIFPSAGERYLSSALFESFRQEAENMTFD >EOY09179 pep chromosome:Theobroma_cacao_20110822:5:26883370:26892304:-1 gene:TCM_024576 transcript:EOY09179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFITDALNIFETSLRHGKFDESHICHEFQGASYESCFATRTQEPLMARGDFNVILSRNERLLGAEPHASSMEDFALTLLDCGLLDAGFEGNRFTWTNSHMFQRLDRVFYNMQWSAHFASTRVQHLNRDESDHCPLLITSTESLVERPSSFRFLHAWLKHHDFLNFVGRNWHEPMHSTGLMSFWLKQQRLKKALKVWSKNVFGDIFRNIQVAEQHALELISDNILLAQELVGKIDYNARGGNVILKLDMMKAYDRLNWDFLYLILERFDFNSQWIDMIKSSKKQIITQATGFHHKTLLVTYLGAPLYKRPKKVMLFDSLITKIWERITRWENKILSIGGRITLVQSVLSSLPIYLLQVLKPQVSVIEKIDILFNSFLWGDTLDSKHIHWSSWNKVTFPSSKGGLDIRSLQDVFEAFSAKLWWCFQTCSSLWTRYMKAKYDTDKIPQ >EOY11509 pep chromosome:Theobroma_cacao_20110822:5:39478072:39482382:1 gene:TCM_026659 transcript:EOY11509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle triple-A ATPase 5A MATAMVEDSNVEEDQLMSMTTEDIVRASRLLDNEIRILKEEMQRTNLELDSYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >EOY11417 pep chromosome:Theobroma_cacao_20110822:5:39213912:39215825:1 gene:TCM_026599 transcript:EOY11417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MALDAVVFPQDLFGYNSKDLYSLLGGNWSYDFGLEKQEERVCFDHHFPDNQTPETNSFLHGDWSNSSSPPSMVPPHFGDHHRLHHPNSSSDATNNANGSTNGGEPSALDTSTTTSTRAKRRRSKSRKNKEEIENQRMTHIAVERNRRKQMNEYLSVLRSLMPESYVQRGDQASIIGGAINFVKELEHRLQFLSAQNEVKERSDGGSSSSCSAFAEFFTFPQYSTSSTRSDSSISMNETMVETQSAIADIEVTMVESHANLKIRAKRRPAQLLKVVSGLNSMRLSILHLNVTTVDQTVLYSLSVKVEDDCKLTSVDDIATAVNQLLGRIQEDAMLN >EOY08006 pep chromosome:Theobroma_cacao_20110822:5:3842533:3845917:1 gene:TCM_022320 transcript:EOY08006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 11 MTNSDEAEVLASPLPLHLLYLMNYSIANSELTTLSINLPSISATIKRRQMPLHLDSYLGSLFLIFGFASFFTFPAEAAVKKYQFDIKVKNVSRLCPAKPIVTVNGRFPGPTIYVREGDRVLVNVNLHGSKQFHNGWADGPAYITQCPIKTGHMYGAIVIMPKEGTPYPFPQPHRETKIILDVEALVNQANKLGLPPQTSDAHIINGKLGPLFPCSEKLYTKAFTTRVILIALGQTPNVLVRADQPPSRYFKAARPFMDAPVPVDNKTVTAILEYKDISKTVLPSMPKLLAPNNTKVTLHYNKKLKSLNTRQFPAKVPLKVDRHLFYTIGLGANPCSTCQNGTQLTASLNNIAFVMLKIGLLQAHYFNIKGVFRIDFPDHPPIPFKYTGVPLTANLGISVGIRLSKVAFNSTIELVLQDTNLLTVESHPFHLHGFNFFVVGSGVGNFNPSKDPAKFNLVDPPKRNTVGGPTGGWIAIRFRPDNPRVWLMHCHLELHTMWGLNMAFVVENGQSPRKSIVPPPRDLPPC >EOY07677 pep chromosome:Theobroma_cacao_20110822:5:2401894:2404556:1 gene:TCM_022056 transcript:EOY07677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein MIKSCWKPTVEGDSGRVDGLLWYKDLGQHVYGEFSMAVIQANSLLEDQSQLESGPLSSSSSGPYGIFIGVYDGHGGPEASRFINDNLFCNLKSFVSEHQEISINVLRKAFSATEENFLSLVRKQWLGKPQMASVGSCCLTGAICNGLLYIANVGDSRVVLGRAERGAREVIAMQLSTEHNASIDAVRDELRSLHPHDPQIVVMKHKVWRVKGLIQISRSIGDAYLKKAEFNKEPLLPKFRLPESFQKPILSAEPSLLVHKLHPDDQFLIFASDGLWEHLSNQEAVKIVQTSPRNGIARRLVKAALKEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDPNLINGGSSYSSPLSI >EOY10492 pep chromosome:Theobroma_cacao_20110822:5:35071128:35080393:-1 gene:TCM_025841 transcript:EOY10492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEERQLKGLCCNCDEPFIRGHQCKKLFWIDSVEEGDEDQTKYEPHANIDQPEISLNAIIGISTPQNMRLQGKLIGNPALTLVDFGSTHSFVDSKFVSQFNLQVKR >EOY07628 pep chromosome:Theobroma_cacao_20110822:5:2234903:2240596:1 gene:TCM_022014 transcript:EOY07628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 METFWQRRPEVADRSCTELLPSTAPASLRGNRQLQKQRKFSKLASDSSSCGTDSTDEDQLTFELSWRSSKQSTGTPMKKLLAQEMSKENESRRRQPSVIARLMGLDGLPPQQPGHKQQKRTESKEKVQKGGSFYSRRSSRKSSKEEQEFKDVFEVLDASKVETGSYSSQGTANSKLSDAEVAFVQQKFMEAKRLSTDEKLQDSEEFNDALEVLDSNTDLLLKFLQQPDSLFTKHLHDLQGAHDLQGAQPQSRCGRISAMKSSHTLTNENGHLGRRAGRETQCKHCSKSPQGHREDLLSHSCGRYAAHNLLKSPKVQLEEKQEPAVAPTRIVVLKPNLGKSLNSMRTASSPCSSHHFPSDCTGQSEILGIENREAEIWGKKKVHQDVGFSRHNSRESREMAKEITRRMKNSFSNGSMKFSTSRFRGYAGDESSCDVSGSESANDSDVTTVSYRDNIGRNKKHRRSSSRSSESSVSREAKKRLSERWKLTHGSQELLMVSRGSTLGEMLAISDREVRPANSSGIVGEEGCSEFGNDVRRAVWKEPLGISSRDGWKNECLGNLSRSRSVPASSTDFGSPRINTRHESLRRDKYVIPKEGFKWDRNKAVKGNFSPWVAPLPSNQRSCTKKSQFLSTCSSNNENSDTSPEFHITPYQVKQTLEGHDQPEQSPMVSGASSTSVDASSVLENAVDVNDQNKVVLSEPSQMELSASASMNGDCSTGDLDNLESQESSDGPSKQATLHCPVSELESRASSKEADQPSPVSVIEAPFTDDLSSGSECFESISADLHGLRMQLQLLKLESEAYEEGTMLISSDDDVDEVSVGFAEDKGMPRAEENWESEYIVDVLVNSGINGADLDTFLATWHSPECPVNPSVFEELEKKYCNLNSWSRAERRLMFNWINSKLLETYQQFIDQHPWVKSARKIIPKWNIGELEDSLRKSLVSQNKKLHMDAEEMVLAGESQWLYLREDIDVIGGEMERLLVDELVAEVSGRG >EOY07627 pep chromosome:Theobroma_cacao_20110822:5:2234848:2240739:1 gene:TCM_022014 transcript:EOY07627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 METFWQRRPEVADRSCTELLPSTAPASLRGPIFSSFSFYLFLTDNFSLGLVAAESEGELFPGNRQLQKQRKFSKLASDSSSCGTDSTDEDQLTFELSWRSSKQSTGTPMKKLLAQEMSKENESRRRQPSVIARLMGLDGLPPQQPGHKQQKRTESKEKVQKGGSFYSRRSSRKSSKEEQEFKDVFEVLDASKVETGSYSSQGTANSKLSDAEVAFVQQKFMEAKRLSTDEKLQDSEEFNDALEVLDSNTDLLLKFLQQPDSLFTKHLHDLQGAHDLQGAQPQSRCGRISAMKSSHTLTNENGHLGRRAGRETQCKHCSKSPQGHREDLLSHSCGRYAAHNLLKSPKVQLEEKQEPAVAPTRIVVLKPNLGKSLNSMRTASSPCSSHHFPSDCTGQSEILGIENREAEIWGKKKVHQDVGFSRHNSRESREMAKEITRRMKNSFSNGSMKFSTSRFRGYAGDESSCDVSGSESANDSDVTTVSYRDNIGRNKKHRRSSSRSSESSVSREAKKRLSERWKLTHGSQELLMVSRGSTLGEMLAISDREVRPANSSGIVGEEGCSEFGNDVRRAVWKEPLGISSRDGWKNECLGNLSRSRSVPASSTDFGSPRINTRHESLRRDKYVIPKEGFKWDRNKAVKGNFSPWVAPLPSNQRSCTKKSQFLSTCSSNNENSDTSPEFHITPYQVKQTLEGHDQPEQSPMVSGASSTSVDASSVLENAVDVNDQNKVVLSEPSQMELSASASMNGDCSTGDLDNLESQESSDGPSKQATLHCPVSELESRASSKEADQPSPVSVIEAPFTDDLSSGSECFESISADLHGLRMQLQLLKLESEAYEEGTMLISSDDDVDEVSVGFAEDKGMPRAEENWESEYIVDVLVNSGINGADLDTFLATWHSPECPVNPSVFEELEKKYCNLNSWSRAERRLMFNWINSKLLETYQQFIDQHPWVKSARKIIPKWNIGELEDSLRKSLVSQNKKLHMDAEEMVLAGESQWLYLREDIDVIGGEMERLLVDELVAEVSGRG >EOY10022 pep chromosome:Theobroma_cacao_20110822:5:32286684:32315028:-1 gene:TCM_025393 transcript:EOY10022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine-3',5'-bis(Diphosphate) 3'-pyrophosphohydrolase isoform 1 MPFLSSSPCQSQTLSNKMFLAQKTSPLFLHKFYPKTATKFRCIPKKFTVSASLNAIAAAASGSGATVHGAVTSAITQVAVTAFAIASGACLSTKVDFLWPKVEEQPGSFTVEGIDVTGYPIFNEAKVQKAIAFAKRAHNGQFRKTGDPYLSHCIHTGRILAMLVPSSGLRAVDTVVAGILHDVVDDTRESLLSIEAEFGDDVARLVAGVSRLSYINQLLRRHRRINVNQGTLGHEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPLAKAQAVAQETLHIWCSLASRLGLWALKAELEDLCFAVLQPQIFRKLRADLASMWSTSNKGAYPRRISAKASWSSLEENDSAHDDEAFMNDEDITSIKDLLEAVVPFDILLDRRKQTNFLNNLGKSSEDEPKPKVVQDAGIALASLVVCEEALERELFISISYVPGMEVTLSSRLKSLYSIYSKMKRKDVGINKIYDARALRVVVGDKNGTLHGPAVQCCYSLLNIVHRLWTPIDGEFDDYIVNPKASGYQSLHTAVQVPDASPLEVQIRTQRMHEYAEHGLAAHWLYKETGNELPSVSSLDESEIEESSYLPKDLDDQNSMDDDLFLKYRSLKVGHPVLRVEGSNLLAAVIIKVDKEGTELLVAVSFGLAASEAVADRRSSFQIKRWEAYARLFKKVSDEWWCEPGHGDWCTCLEKYTLCRDGIYHKQDQFERLLPTFIQVIDLTEQEESEYWAVMSAVFEGKPVESVASRPDLKYVASNSFEASINRKVRLLRTMLQWEEQLRLESSFGRQEGGAKSSVNPDSVVLGEVVIICWPHGDIMRLRTGSTAADAARRAGLEGKLVLVNDQLVLPSTELKDGDVVEVRL >EOY10023 pep chromosome:Theobroma_cacao_20110822:5:32287088:32299860:-1 gene:TCM_025393 transcript:EOY10023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine-3',5'-bis(Diphosphate) 3'-pyrophosphohydrolase isoform 1 MKRANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPLAKAQAVAQETLHIWCSLASRLGLWALKAELEDLCFAVLQPQIFRKLRADLASMWSTSNKGAYPRRISAKASWSSLEENDSAHDDEAFMNDEDITSIKDLLEAVVPFDILLDRRKQTNFLNNLGKSSEDEPKPKVVQDAGIALASLVVCEEALERELFISISYVPGMEVTLSSRLKSLYSIYSKMKRKDVGINKIYDARALRVVVGDKNGTLHGPAVQCCYSLLNIVHRLWTPIDGEFDDYIVNPKASGYQQSLHTAVQVPDASPLEVQIRTQRMHEYAEHGLAAHWLYKETGNELPSVSSLDESEIEESSYLPKDLDDQNSMDDDLFLKYRSLKVGHPVLRVEGSNLLAAVIIKVDKEGTELLVAVSFGLAASEAVADRRSSFQIKRWEAYARLFKKVSDEWWCEPGHGDWCTCLEKYTLCRDGIYHKQDQFERLLPTFIQVIDLTEQEESEYWAVMSAVFEGKPVESVASRPDLKYVASNSFEASINRKVRLLRTMLQWEEQLRLESSFGRQEGGAKSSVNPDSVVLGEVVIICWPHGDIMRLRTGSTAADAARRAGLEGKLVLVNDQLVLPSTELKDGDVVEVRL >EOY10024 pep chromosome:Theobroma_cacao_20110822:5:32287060:32297182:-1 gene:TCM_025393 transcript:EOY10024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine-3',5'-bis(Diphosphate) 3'-pyrophosphohydrolase isoform 1 MANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPLAKAQAVAQETLHIWCSLASRLGLWALKAELEDLCFAVLQPQIFRKLRADLASMWSTSNKGAYPRRISAKASWSSLEENDSAHDDEAFMNDEDITSIKDLLEAVVPFDILLDRRKQTNFLNNLGKSSEDEPKPKVVQDAGIALASLVVCEEALERELFISISYVPGMEVTLSSRLKSLYSIYSKMKRKDVGINKIYDARALRVVVGDKNGTLHGPAVQCCYSLLNIVHRLWTPIDGEFDDYIVNPKASGYQSLHTAVQVPDASPLEVQIRTQRMHEYAEHGLAAHWLYKETGNELPSVSSLDESEIEESSYLPKDLDDQNSMDDDLFLKYRSLKVGHPVLRVEGSNLLAAVIIKVDKEGTELLVAVSFGLAASEAVADRRSSFQIKRWEAYARLFKKVSDEWWCEPGHGDWCTCLEKYTLCRDGIYHKQDQFERLLPTFIQVIDLTEQEESEYWAVMSAVFEGKPVESVASRPDLKYVASNSFEASINRKVRLLRTMLQWEEQLRLESSFGRQEGGAKSSVNPDSVVLGEVVIICWPHGDIMRLRTGSTAADAARRAGLEGKLVLVNDQLVLPSTELKDGDVVEVRL >EOY11136 pep chromosome:Theobroma_cacao_20110822:5:38096808:38101907:-1 gene:TCM_026403 transcript:EOY11136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myelin-associated oligodendrocyte basic protein isoform 1 MATTASSLLQSNPLKLKHYFPRHLPPPSPPRLPKFSGNLTFQTQGSTKCNVRCFLLPEKRKSSKLDSNHLSSSSDGKHPFEIIAETISKALNALKKPAIAAVLLGLLLMYDPNNAALAASGGRMGGRSFSSSSSSSRSYSVPRNGGSRFSSYSVPYYAPAPFGGGGGFYMGPAVGVGVGAGSSFFLILIGFAAFVLVSGFLSDRSESSVLTASERTSVLKLQVGLLGMGRSLQKDLNRIAEVADTSTSEGLSFVLTETTLALLRHPHYCISGYSSVDAKRSIDDGEKRFNQLSIEERGKFDEETLVNVNNIKRQSTTSRKASGFSNEYIVITILVAAEGLHKLPPINGSRDLKEALQKLASIPTSKILAVEVLWTPQNENDTLSERELLEDYPLLRPL >EOY11137 pep chromosome:Theobroma_cacao_20110822:5:38098231:38102057:-1 gene:TCM_026403 transcript:EOY11137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myelin-associated oligodendrocyte basic protein isoform 1 MATTASSLLQSNPLKLKHYFPRHLPPPSPPRLPKFSGNLTFQTQGSTKCNVRCFLLPEKRKSSKLDSNHLSSSSDGKHPFEIIAETISKALNALKKPAIAAVLLGLLLMYDPNNAALAASGGRMGGRSFSSSSSSSRSYSVPRNGGSRFSSYSVPYYAPAPFGGGGGFYMGPAVGVGVGAGSSFFLILIGFAAFVLVSGFLSDRSESSVLTASERTSVLKLQVDAKRSIDDGEKRFNQLSIEERGKFDEETLVNVNNIKRQSTTSRKASGFSNEYIVITILVAAEGLHKLPPINGSRDLKEALQKLASIPTSKILAVEVLWTPQNENDTLSERELLEDYPLLRPL >EOY07751 pep chromosome:Theobroma_cacao_20110822:5:2575630:2579550:1 gene:TCM_022087 transcript:EOY07751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein, putative isoform 4 MSKKIPEEIDLNCDALPVDRENEDNDVNDDEPASGAGTSSTKTLTERTVDGVGVFGKDNESAGGIDELGEESKMESVKHKGIDLEADIGSLGEEVDGKVSARFSSGGDSLMEVDGGSRGRGDGESVSIEDKKPVVAEQIAMRSSGGVAEDLNVSVCPSEDTLIEGNSVRIQLSLFADNTIQSGIAHDLGSDDAKPLSSGLGPGNSLPYGEQNVSSKEGSSGRLEGRDMEIDTPDDTNERNLITAIQDKGDRVIQNAEKGSNLLNDAVDLNSCTSTDEDVSDDADAKPEKPEEPEFCVSDLVWGKVRSHPWWPGQIFDRSAATAKAKKYFKKDCYLIAYFGDQTFAWNEASRIKPFRPHFSHMEKQNNMEEFHYAIDCALDEVSRRVEFGLACSCICKEAYAEVQAQIIVNAGIREESSRKDGGDRFSGVASFDPFELVERIKALAQSPSYSEVDRLQFITSQAQLLAFHRWKGYSQLPEFQNLCGLLETDVEIPLSEEVKKHCELIGGDVPSVEVDKQVLSEREKSESQDGSSQKQKKIPGDAKVSNKKEKSLSELIAERRLNMQNGKGKLTKKAGDKLISSSPAKKLKVVESVRDDSALKQNRSNASTGSVDKSLQSKQTFRVGASILRVASQLNGSSSTVSTPVLKHGDGTTKKKSAVNNESKGKNSSGKSPGKTAFQTNMSSADEMLSQLCLAATDPLKGYSFLSSMVFFFMELRNSIAMDLNSSEMSEQSSEQGTDGEIGEKSTRFEVTGKSELTSIKDTCCSDRIIQCLPEEQLAVDNHNQTTEVSPDTPSEKGFSVIESQPAAQASPNLDSEQKCTVTDENLGMEAEKRIEYSDESYTEDLYPTALILNFSDLESVPSVEDLNKIFSRYGPLVAPGAEVLKKSVRARVVFKRHADAETAFSSSGKYSIFGPSLVSYRLKRLASALPRSSPVATKQSRTDETCGDGSTT >EOY07752 pep chromosome:Theobroma_cacao_20110822:5:2575839:2578766:1 gene:TCM_022087 transcript:EOY07752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein, putative isoform 4 MSKKIPEEIDLNCDALPVDRENEDNDVNDDEPASGAGTSSTKTLTERTVDGVGVFGKDNESAGGIDELGEESKMESVKHKGIDLEADIGSLGEEVDGKVSARFSSGGDSLMEVDGGSRGRGDGESVSIEDKKPVVAEQIAMRSSGGVAEDLNVSVCPSEDTLIEGNSVRIQLSLFADNTIQSGIAHDLGSDDAKPLSSGLGPGNSLPYGEQNVSSKEGSSGRLEGRDMEIDTPDDTNERNLITAIQDKGDRVIQNAEKGSNLLNDAVDLNSCTSTDEDVSDDADAKPEKPEEPEFCVSDLVWGKVRSHPWWPGQIFDRSAATAKAKKYFKKDCYLIAYFGDQTFAWNEASRIKPFRPHFSHMEKQNNMEEFHYAIDCALDEVSRRVEFGLACSCICKEAYAEVQAQIIVNAGIREESSRKDGGDRFSGVASFDPFELVERIKALAQSPSYSEVDRLQFITSQAQLLAFHRWKGYSQLPEFQNLCGLLETDVEIPLSEEVKKHCELIGGDVPSVEVDKQVLSEREKSESQDGSSQKQKKIPGDAKVSNKKEKSLSELIAERRLNMQNGKGKLTKKAGDKLISSSPAKKLKVVESVRDDSALKQNRSNASTGSVDKSLQSKQTFRVGASILRVASQLNGSSSTVSTPVLKHGDGTTKKKSAVNNESKGKNSSGKSPGKTAFQTNMSSADEMLSQLCLAATDPLKGTRN >EOY07749 pep chromosome:Theobroma_cacao_20110822:5:2575574:2579547:1 gene:TCM_022087 transcript:EOY07749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein, putative isoform 4 MSKKIPEEIDLNCDALPVDRENEDNDVNDDEPASGAGTSSTKTLTERTVDGVGVFGKDNESAGGIDELGEESKMESVKHKGIDLEADIGSLGEEVDGKVSARFSSGGDSLMEVDGGSRGRGDGESVSIEDKKPVVAEQIAMRSSGGVAEDLNVSVCPSEDTLIEGNSVRIQLSLFADNTIQSGIAHDLGSDDAKPLSSGLGPGNSLPYGEQNVSSKEGSSGRLEGRDMEIDTPDDTNERNLITAIQDKGDRVIQNAEKGSNLLNDAVDLNSCTSTDEDVSDDADAKPEKPEEPEFCVSDLVWGKVRSHPWWPGQIFDRSAATAKAKKYFKKDCYLIAYFGDQTFAWNEASRIKPFRPHFSHMEKQNNMEEFHYAIDCALDEVSRRVEFGLACSCICKEAYAEVQAQIIVNAGIREESSRKDGGDRFSGVASFDPFELVERIKALAQSPSYSEVDRLQFITSQAQLLAFHRWKGYSQLPEFQNLCGLLETDVEIPLSEEVKKHCELIGGDVPSVEVDKQVLSEREKSESQDGSSQKQKKIPGDAKVSNKKEKSLSELIAERRLNMQNGKGKLTKKAGDKLISSSPAKKLKVVESVRDDSALKQNRSNASTGSVDKSLQSKQTFRVGASILRVASQLNGSSSTVSTPVLKHGDGTTKKKSAVNNESKGKNSSGKSPGKTAFQTNMSSADEMLSQLCLAATDPLKGYSFLSSMVFFFMELRNSIAMDLNSSEMSEQSSEQGTDGEIGEKSTRFEVTGKSELTSIKDTCCSDRIIQCLPEEQLAVDNHNQTTEVSPDTPSEKGFSVIESQPAAQASPNLDSEQKCTVTDENLGMEAEKRIEYSDESYTEDLYPTALILNFSDLESVPSVEDLNKIFSRYGPLVAPGAEVLKKSVRARVVFKRHADAETAFSSSGKYSIFGPSLVSYRLKRLASALPRSSPVATKQSRTDETCGDGSTT >EOY07750 pep chromosome:Theobroma_cacao_20110822:5:2575630:2579130:1 gene:TCM_022087 transcript:EOY07750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein, putative isoform 4 MSKKIPEEIDLNCDALPVDRENEDNDVNDDEPASGAGTSSTKTLTERTVDGVGVFGKDNESAGGIDELGEESKMESVKHKGIDLEADIGSLGEEVDGKVSARFSSGGDSLMEVDGGSRGRGDGESVSIEDKKPVVAEQIAMRSSGGVAEDLNVSVCPSEDTLIEGNSVRIQLSLFADNTIQSGIAHDLGSDDAKPLSSGLGPGNSLPYGEQNVSSKEGSSGRLEGRDMEIDTPDDTNERNLITAIQDKGDRVIQNAEKGSNLLNDAVDLNSCTSTDEDVSDDADAKPEKPEEPEFCVSDLVWGKVRSHPWWPGQIFDRSAATAKAKKYFKKDCYLIAYFGDQTFAWNEASRIKPFRPHFSHMEKQNNMEEFHYAIDCALDEVSRRVEFGLACSCICKEAYAEVQAQIIVNAGIREESSRKDGGDRFSGVASFDPFELVERIKALAQSPSYSEVDRLQFITSQAQLLAFHRWKGYSQLPEFQNLCGLLETDVEIPLSEEVKKHCELIGGDVPSVEVDKQVLSEREKSESQDGSSQKQKKIPGDAKVSNKKEKSLSELIAERRLNMQNGKGKLTKKAGDKLISSSPAKKLKVVESVRDDSALKQNRSNASTGSVDKSLQSKQTFRVGASILRVASQLNGSSSTVSTPVLKHGDGTTKKKSAVNNESKGKNSSGKSPGKTAFQTNMSSADEMLSQLCLAATDPLKGYSFLSSMVFFFMELRNSIAMDLNSSEMSEQSSEQGTDGEIGEKSTRFEVTGKSELTSIKDTCCSDRIIQCLPEEQLAVDNHNQTTEVSPDTPSEKGFSVIESQPAAQASPNLDSEQKCTVTDENLGMEAEKRIEYSDESYTEDLYPTALILNFSDLESVPSVEDLNKIFSRYGPLVAPGAEVLKKSVRARVVFKRHADAETAFSSSGKYSIFGPSLVSYRLKRLASALPRSSPVATKQSRTDETCGDGSTT >EOY08026 pep chromosome:Theobroma_cacao_20110822:5:4065342:4070377:1 gene:TCM_022349 transcript:EOY08026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein isoform 2 MVDRVFCKCAERLVQKLQPFAFDGTAVNMEEKFSQLTLDVIGLSVFNYNFDSLTSDSPVIDAVYTALKEAELRSTDILPYWKIRALCKVIPRQIKAEQAVTVIRRTVEELIIKCKEIVEREGERIDEEEYVNDADPSILRFLLASREEVSSMQLRDDLLSMLVAGHETTGSVLTWTLYLLSKDSSTLLKAREEVDRVLKGRPPAYEDIKDLKFLTRCITESLRLYPHPPVLIRRAQVDDILPGNYKVKAGQDIMISVYNIHHSSQVWERAEEFVPERFDLESPVPNETNTDYRFIPFSGGPRKCVGDQFALLEAIVALAIFLQRLNFELVPDQDISMTTGATIHTTNGMYMKLSERRSKFDISSPTSSK >EOY08025 pep chromosome:Theobroma_cacao_20110822:5:4063766:4070766:1 gene:TCM_022349 transcript:EOY08025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein isoform 2 MRSCVALSSITFPSLPPRRLTINIPLQSFSVKSSIEKTPTTKPKPTSPSKSTSWVSPNWLTSLTKSLTIGSNDDSRIPISSAQLEDVSELLGGALFLPLFKWMNEYGPIYRLAAGPRNFVVVSDPAIAKHVLRNYGKYAKGLVSEVSEFLFGSGLAIAEGSLWTVRRRAVVPSLHKKYLSVMVDRVFCKCAERLVQKLQPFAFDGTAVNMEEKFSQLTLDVIGLSVFNYNFDSLTSDSPVIDAVYTALKEAELRSTDILPYWKIRALCKVIPRQIKAEQAVTVIRRTVEELIIKCKEIVEREGERIDEEEYVNDADPSILRFLLASREEVSSMQLRDDLLSMLVAGHETTGSVLTWTLYLLSKDSSTLLKAREEVDRVLKGRPPAYEDIKDLKFLTRCITESLRLYPHPPVLIRRAQVDDILPGNYKVKAGQDIMISVYNIHHSSQVWERAEEFVPERFDLESPVPNETNTDYRFIPFSGGPRKCVGDQFALLEAIVALAIFLQRLNFELVPDQDISMTTGATIHTTNGMYMKLSERRSKFDISSPTSSK >EOY08494 pep chromosome:Theobroma_cacao_20110822:5:9287090:9292234:-1 gene:TCM_022968 transcript:EOY08494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MERRGEGSSYSRFLFLKMMVVMDVLISSKAGTAMSEHSLDTDKEILLNLKSFLEERNPINRGGYEKWNQTSSNPCDWYGISCSLDGARVTGIDLSDNRISGEMFQSFSALNQLRYLDLSKNTLQGKIPDDLSRCHNLVYLNLSHNLLEGALNLAGLTSLEKLDLSTNRFLGDLRLSFPAICNNLVVANLSINNFTGRIDNCFDGCKNLQYLDLSANDFTGYLWTGFSRLAEFSVSENYITGPISASSFEENCSLVALDLSENNIQGEVPMQISNCNNLVVLNLWGNNFIGPIPLQIGSILTLEGLLLGGNSFSRVIPETLLNLKNLAFLDLSRNNFGGEIQDIFGRFTQLKYLVLQGNLYTGGIVSSGIHRLPNIIRLDLSYNNFSSYLPVEISQMLSLKFLILAYNQFNGTIPPEYGNLSQLQALDLSNNGLIGSIPPALGNLSSLLWLMLANNSLTGEIPRDIGNCGSLFWVNLANNQLSGAVPHELTNIGRNASPIFESNRQSDHMIAGPSECLMTQRLLSVDGYTPFGSIYTIPTRKICRRMWNQLLKGYGFFPVCAMGSSVRRYQISGYIQLSGNQLTGEVPQDIGKMKNFSVLQLGSNEFYGKLPTEIGNLPVIVLNISGNRFSGQIPIEVGNMKCLRSLDLSRNNFSGTMPMQLNNLTELSNFNISFNPLLTGRIPERGQLATFGKESFLGNPLLHIPLFDLDDQLPPPPPPSNWQGENEIGMDGDGLNSGNGFSWEALLTGYGCGMVFGVAVFYITFRKGKPNWFVTMVDGIHQWKARKRNASRRGD >EOY09457 pep chromosome:Theobroma_cacao_20110822:5:29283863:29285546:-1 gene:TCM_024874 transcript:EOY09457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRGRGKAKKQTVVSSREDPGSGEDEKIPAYRRRGRPQKQLKDDIEEVEVEKTEEDGEDVKGSIPIKDMKNQVATENGRKRKRSVQAKENIDSVKKENGITTKSNTDDSSKPVGYRQNGSRRKNKPRRAAEAVVECK >EOY11236 pep chromosome:Theobroma_cacao_20110822:5:38486033:38487158:-1 gene:TCM_026475 transcript:EOY11236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPS2, putative MVFLHQMSITDCQMLEEIIASTSDEVMDSIIFSKLGSLELDGLSSLARFCSGNYMLGFPSLKKVIMSQCPKMEIFSKGELRTPKLKGIQKTEGQYVGRWEGNLNTTIQQLFIEKSVQNSEEETKVSF >EOY08968 pep chromosome:Theobroma_cacao_20110822:5:24046648:24051345:-1 gene:TCM_024268 transcript:EOY08968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIVVVESQEELCAITVISRAIQSVFVGNSKISLIKDLATKQIIGKGCESGGLYYLDTQVPRSIACSSVLTPFEVHCRLGHPSLSSLKKLCPQFQNVFSLDCESCPFAKHHRLPSVSRVNKRVVSTFELVHSDVWGPCPIVSKSSFKYFATFVDDYSRVTWRYLMKNHSKLFFIFCAFCAEIKTQFNVFVHKLRIDNAKEYFFDKFQSYMIQNGILHQSSYIDTPSQNRVAERKINISLSYDSQGEEDDLLVYTITHSVNTTDILATDPAPAQPPIVHVYSKRPEAQTTCPLPVPSPSDLVSNDHEPSLHLPIALRKGKCQCTYPISSFVSYDHLSFSSGSFVASLDSISIPKTVHEALSHPGWRAAMVEEMVALDGNCTWDSVDLPAGKKAIGCKWVLAVKVDPNGSVASLVAKGYAQTYSIDYFVTFSPVAKLTFVRLFISMVATYDWPLHQLDIKNAFLHGDLQDEVYMEQPLGLVAQGEYGKVCHLQKCLYGLKQSPRAWFGKFSEVVQEFGMKKSKCDHSVFYKQSEAGIILLVVYVDDIVITGSDTADGELFEDSEKYRGLVGKLNYLTVTRPDIAYSVSVVNQFMSDPTINHWTDLKQILCYLKGAPGCGLFYGNHGHTNIECFSNADWASSKSDRRSTTRYCVFIGGNLVLWKSKKQNVVSRSSAKSEYKAMAQTVCEAVFMYQLLSEVGLKSFLPAKLLCDNQAALHIASNPVFHERNKHIEIDCHFVREKIQHKFISTRYVKTEDQLGDIFTNALNGPQVDYIRSKLGMINIYAPA >EOY10255 pep chromosome:Theobroma_cacao_20110822:5:33799078:33799819:1 gene:TCM_025631 transcript:EOY10255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polymerase delta 4 MQNLINARTQETIRRYKIWNFEFKRKLWGGEGESRMATTSKNMKGFYRQKKNNSRGGITKSKSSKSTKNPSPSHAATFGSDITQPAALTSPGDDFDVQEEVSRHFDMNMAYGPCLGITRMARWERAQRLGLNPPKEIENLLKGGKVKLESLFDGRV >EOY09327 pep chromosome:Theobroma_cacao_20110822:5:28308462:28323065:1 gene:TCM_047050 transcript:EOY09327 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative MERQEEQEEQLIWKSEAESMVSVTIGRAMSTLLTARPKKLHHSISRLSPDFSNKTSLVSLDECLWFLHKYVKDAAQRDETLDAVLVPMIEHSLKYKDLKHGGQPMILLNWLFQDELLFQAVAMNLANIIVRKDDRYIAFGWCTLVRGLMEYESSMDQYLLNGIKEKYNALLKILCTCIPHLSYIVRRGSCLQDKFELPSRLSVAAADCLLALTEGLTKKPDILSNRPKSLSSSESNCPVTLTASGIDERKVKATHKSSEVLTRGVEFLLWDHLEDLTYLVQRLLAWSRKSRPLHAKGLEQVLKWLQEIKVHYGGLQDEAGSKIQKTGALLLSSCWKHYGMLLHLEDHKFTKHYKEMLDQYLSGIQYYTSNHDERHAESKDDGIETRKFFLNCLCLLLGRFDGKKFECIVAEYGKQMSHLLLSQLHCNDDDVINGVVSIFKAVIFKPKHSSGSSVTDTKQMDAVVPLLLHLLDERDGAARAVVMLIAEYCSITADGHCLEEVLKRLASGNAIQRRNAFDVISELIHILTDAAHLVSHSAWQNIANNLLLCLGDEETAIWEQTSNLLPLIDPSFVLPALVRLVCSSDEKIQPAAAEAFVRVLKHHNQKPEVVFMLLDSLSNLSQGLADAETGAHTAEGSNLDCDRVLRLIPEWSKTVQDWNILIGPLIDNMFAKPSNATIVRFLSHINEQLAEAADVVLHRVLLQMKGQKDMIDEASFSRWETRTCTSDDSMKMQQSLFERLCPLLIIRLLPVRVFNDLNSSVMYGRLHNQGIMHEYSDVSSIDDISIATFLLNRAFSKFEFEDVRKLAAELCGRIHPEVLLPIVCSQLEHAADSQDILKIKACLFSVCTSLVVRGKESLVHSFIIEIRRTIEVILLWPSSDGDEVSKAQHGCIDCLALMICAELQAPELFKDRTSLRSNIVGKKGNPGDAASRPYILRHVIHQLINDKSELKPVLKLRDENCETKAPIPHSFRLCMANVLISACQKISDYGKNLLAKTILPCLIDSVEVIMQPEIRAACIQVLFSAVYHLKSAVLPYSCDLLKLSLKSLGKGSEMERMAGAKLMASLMGGEDSILESIADGLVEARCALSDISLTDPSSDIQQVCRKLLACLTST >EOY07235 pep chromosome:Theobroma_cacao_20110822:5:890684:893176:1 gene:TCM_021710 transcript:EOY07235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative isoform 1 MGRLFVITLEGSVYSCKHCQTHLALLADIISKSFHCRHGRAYLFDKVVNITVGEKEERMMMTGMHTVVDIFCVGCGSIVGWKYEAAHEKLQKYKEGKFILERFKVLGPDGSNYLVSQEAMVGGSDADDA >EOY07234 pep chromosome:Theobroma_cacao_20110822:5:890574:893315:1 gene:TCM_021710 transcript:EOY07234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative isoform 1 MGRLFVITLEGSVYSCKHCQTHLALLADIISKNFDGWSHCDGRKPKEGHVCLNDGIVQQHRDILTQPLPVFQSFHCRHGRAYLFDKVVNITVGEKEERMMMTGMHTVVDIFCVGCGSIVGWKYEAAHEKLQKYKEGKFILERFKVLGPDGSNYLVSQEAMVGGSDADDA >EOY07237 pep chromosome:Theobroma_cacao_20110822:5:889335:893176:1 gene:TCM_021710 transcript:EOY07237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative isoform 1 MGRLFVITLEGSVYSCKHCQTHLALLADIISKSFHCRHGRAYLFDKVVNITVGEKEERMMMTGMHTVVDIFCVGCGSIVGWKYEAAHEKLQKYKEGKFILERFKVLGPDGSNYLVSQEAMVGGSDADDA >EOY07236 pep chromosome:Theobroma_cacao_20110822:5:890467:893107:1 gene:TCM_021710 transcript:EOY07236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative isoform 1 MGRLFVITLEGSVYSCKHCQTHLALLADIISKSFHCRHGRAYLFDKVVNITVGEKEERMMMTGMHTVVDIFCVGCGSIVGWKYEAAHEKLQKYKEGKFILERFKVLGPDGSNYLVSQEAMVGGSDADDA >EOY08109 pep chromosome:Theobroma_cacao_20110822:5:4537348:4541385:1 gene:TCM_022427 transcript:EOY08109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase MAVTIVSIKARQIFDSRGNPTVEVDVETSNGKKVRAAVPSGASTGVYEALELRDGGLDYLGKGVSKAVANVNTIIGPALIGKDPTEQTAIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGAEVKNIPLYKHIANLAGNKKLVLPVPAFNVINGGSHAGNKLAMQEFMILPIGASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYGSDKSYDLNFKEENNDGSQKIPGDALKDLYKSFASEYPIVSIEDPFDQDDWEHYTKLTYEIGEKVQIVGDDLLVTNPKRVEKAINEKACNALLLKVNQIGSVTESIEAVKMSKRAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGADAVYAGASFRTPVEPY >EOY07932 pep chromosome:Theobroma_cacao_20110822:5:3470048:3474555:1 gene:TCM_022261 transcript:EOY07932 gene_biotype:protein_coding transcript_biotype:protein_coding description:5\'-AMP-activated protein kinase-related, putative isoform 2 MLLLTLKIFWKNQKGENAIEDVSLPTEVFSMGNSLIGVYSDSDDHSCMKENTTHTSGDQKEMENAIVEDASPSTFLSNEENYSGSLNANPDLNSEDRSSMPEKSIAMSILEEKVAKFVQNGDLDSIDYNVGGMLNESADKESNEVVETNIEVATQSRTNSQECSEHAYGAAIILKGSTTVAKQVAPPMAVNYLPWSHDNMEAHMLKGDDLREDLNSETTGRDNQIEINHLKFMLHQKELELSCLKEQIEKEKIALSALQTKAEIEIHKAQKLVSEKDAELHAAEESLSGLEEVQIEYSGDGEIVEVAGSFNGWHHRIKMDPKPSSTIKNPIESRKSKLWSTVLWLYPGI >EOY07930 pep chromosome:Theobroma_cacao_20110822:5:3469928:3476489:1 gene:TCM_022261 transcript:EOY07930 gene_biotype:protein_coding transcript_biotype:protein_coding description:5\'-AMP-activated protein kinase-related, putative isoform 2 MATLFHFPTLLFLSSHKSLISSKPQQWLSWKIHEYPPRRSLKICAFSIKRPRSGRKVKSNEELCNDIREFVAAVELPDGQVPSVKELSQHGRNDLANIVRRRGYKLIKKLLTSSPEIDIDGFNAEKSVVEKCDATVDSEDILEGQNQKGENAIEDVSLPTEVFSMGNSLIGVYSDSDDHSCMKENTTHTSGDQKEMENAIVEDASPSTFLSNEENYSGSLNANPDLNSEDRSSMPEKSIAMSILEEKVAKFVQNGDLDSIDYNVGGMLNESADKESNEVVETNIEVATQSRTNSQECSEHAYGAAIILKGSTTVAKQVAPPMAVNYLPWSHDNMEAHMLKGDDLREDLNSETTGRDNQIEINHLKFMLHQKELELSCLKEQIEKEKIALSALQTKAEIEIHKAQKLVSEKDAELHAAEESLSGLEEVQIEYSGDGEIVEVAGSFNGWHHRIKMDPKPSSTIKNPIESRKSKLWSTVLWLYPGIYEIKFIVDGKWEIDPQRESTDKGGICNNILRVDR >EOY07931 pep chromosome:Theobroma_cacao_20110822:5:3469889:3476681:1 gene:TCM_022261 transcript:EOY07931 gene_biotype:protein_coding transcript_biotype:protein_coding description:5\'-AMP-activated protein kinase-related, putative isoform 2 MATLFHFPTLLFLSSHKSLISSKPQQWLSWKIHEYPPRRSLKICAFSIKRPRSGRKVKSNEELCNDIREFVAAVELPDGQVPSVKELSQHGRNDLANIVRRRGYKLIKKLLTSSPEIDIDGFNAEKSVVEKCDATVDSEDILEGQNQKGENAIEDVSLPTEVFSMGNSLIGVYSDSDDHSCMKENTTHTSGDQKEMENAIVEDASPSTFLSNEENYSGSLNANPDLNSEDRSSMPEKSIAMSILEEKVAKFVQNGDLDSIDYNVGGMLNESADKESNEVVETNIEVATQSRTNSQECSEHAYGAAIILKGSTTVAKQVAPPMAVNYLPWSHDNMEAHMLKGDDLREDLNSETTGRDNQIEINHLKFMLIALSALQTKAEIEIHKAQKLVSEKDAELHAAEESLSGLEEVQIEYSGDGEIVEVAGSFNGWHHRIKMDPKPSSTIKNPIESRKSKLWSTVLWLYPGIYEIKFIVDGKWEIDPQRESTDKGGICNNILRVDR >EOY09596 pep chromosome:Theobroma_cacao_20110822:5:30081425:30085377:-1 gene:TCM_025011 transcript:EOY09596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MIGCRWVFTVKLKVDGNIDRYKAWLVENGYTQRYGVDYQETFAPVAKINTICILISIAANRDWHLQQFDVKSAFLNGDLKEEVHIKLPPGIKHSSLCRRKVCKLKKSLCGLKQSPRAWFVRFSSIMKAFGYKQSNSDHTLFIKHKEGKVTALIMYVDDIVLTKDDPYEMKALQEYLAAKFEMKDLEQLKCFLGIKVARSK >EOY11655 pep chromosome:Theobroma_cacao_20110822:5:39964767:39969817:-1 gene:TCM_026767 transcript:EOY11655 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOTTED1-like homeobox gene 6 isoform 1 MEDMYRLDNPAISRSNDIVRVDNFAAANFSAAVTTDFLSPVDTILQFDHQAADTDVTGSDMSDLIKTQIASHPRYPNLVSAYIECQKIGAPPELVSLLEEIGRENHPIRGCSEIGADPELDEFMESYCEVLHRYKEELSKPFDEATTFLSNIESQLSNLCKGALTKTLDYRSVNVVVEDSNEFQSPATTVHSGRQLSRQTNFGLGFAYRLPFVHGEFCLTIKPKCSNEAAGTSEEELSGGEVEASESQESAVARPSQDIKGMLMRKYSGYLSSLRKEFLKKRKKGKLPKDARMTLLEWWNNHYRWPYPTEEEKLQLSEITGLDQKQINNWFINQRKRHWKPSEDMKFALFEGVAGNVGGPVYLDAGVGTGSDNI >EOY11656 pep chromosome:Theobroma_cacao_20110822:5:39964869:39969580:-1 gene:TCM_026767 transcript:EOY11656 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOTTED1-like homeobox gene 6 isoform 1 MEDMYRLDNPAISRSNDIVRVDNFAAANFSAAVTTDFLSPVDTILQFDHQAADTDVTGSDMSDLIKTQIASHPRYPNLVSAYIECQKIGAPPELVSLLEEIGRENHPIRGCSEIGADPELDEFMESYCEVLHRYKEELSKPFDEATTFLSNIESQLSNLCKGALTKTLDYRSDEAAGTSEEELSGGEVEASESQESAVARPSQDIKGMLMRKYSGYLSSLRKEFLKKRKKGKLPKDARMTLLEWWNNHYRWPYPTEEEKLQLSEITGLDQKQINNWFINQRKRHWKPSEDMKFALFEGVAGNVGGPVYLDAGVGTGSDNI >EOY11528 pep chromosome:Theobroma_cacao_20110822:5:39522816:39525272:-1 gene:TCM_026673 transcript:EOY11528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MMMMTMEGMMDKGVLDDIIRRLLEGRGGKQVQLSEGEIRQLCVNARQVFLSQPNLLQIRAPIRICGDIHGQYQDLLRLFEYGGYPPSANYLFLGDYVDRGKQSLETICLLLAYKIRYPDRIYLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNSLPVAALIDDKILCMHGGLSPELENLDQIKEIQRPTEVPDNGLLCDLLWSDPDPKVEGWADSDRGVSCTFGADIVTEFLDKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDEALVCSFEILKPADNKASPSSSNKLPLKKPPKIGKI >EOY11529 pep chromosome:Theobroma_cacao_20110822:5:39522708:39525129:-1 gene:TCM_026673 transcript:EOY11529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MMMMTMEGMMDKGVLDDIIRRLLEGRGGKQVQLSEGEIRQLCVNARQVFLSQPNLLQIRAPIRICGDIHGQYQDLLRLFEYGGYPPSANYLFLGDYVDRGKQSLETICLLLAYKIRYPDRIYLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNSLPVAALIDDKILCMHGGLSPELENLDQIKEIQRPTEVPDNGLLCDLLWSDPDPKVEGWADSDRGVSCTFGADIVTEFLDKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDEALVCSFEILKPADNKASPSSSNKLPLKKPPKIGKI >EOY07267 pep chromosome:Theobroma_cacao_20110822:5:974526:980215:1 gene:TCM_021730 transcript:EOY07267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIQSGIHLDMEDKDYFGVNASTSSSLTIPPFSRILEIFGRPFDGIQSIDYFQYDLSLRITGTIEFVGIFLTLRIPLWTVFNSGETWHW >EOY09963 pep chromosome:Theobroma_cacao_20110822:5:31967838:31984961:1 gene:TCM_025332 transcript:EOY09963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKELISKPDTLWVRVLMHKYNFNRVSCSYHIMKSGYSNLWRSRSKLWCKFIKNVRWSINDGASISFWSDIWLGDLPLLNNATDKVFLVDMRDRVRDYMMSNGEWDKYRLTFMLPVEMVKKILYLIHPSLSASLDMPYWALTSFGDLTISSTYDQLKTLFDSDKRVRHRLLFDASCSQCCVVETEFSIFDVPWSIIFVITYWYIWKCRNLLIFEGCEISLEKQLSIIKNFTEVASKGRCCKNHLALGRCLPSHDEDPNTSGKCWSYCSLECRGGVCKRMSSARRHQCHCYC >EOY11274 pep chromosome:Theobroma_cacao_20110822:5:38777141:38779806:1 gene:TCM_026514 transcript:EOY11274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter ERD6 14 isoform 2 MIHTKIIMCFQKVPWLLDLGRLSLGFTNGISGYLVPIYIAEITPKNLRGRFTAIVPLMTCWGMSSMYVVGSFVSWRTLALIAIIPSILQLPLLSFIPESPRWLANVGRDKELESALLCLRGEKAYISDEATDIKIVAGMVALLSLGGVNAFTYYSGVIFVSAGISSMIGLIALAAAQTLLGFLGTIFIDKSGRRPLLLVALAGLCFSSFLTGLSFLLKDYNWWGEGTPILALIGILMYKGSFVVAVGIPWLLVAELFPINVKGSAGSICNFISGITGWAVAYYFNLLTKWSSAGTFFIFSAFCCANFILAATMVPETKGRTLEEIQASITHSSQ >EOY11272 pep chromosome:Theobroma_cacao_20110822:5:38775784:38789518:1 gene:TCM_026514 transcript:EOY11272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter ERD6 14 isoform 2 MGQQRREVTESLLVRHGHGDLVHTEENIGVVVGGGGSVAASSVTTILALSTFVAACVSFGFGCAIGYSSPTQSSIMEDLGLSMADFSLFGSILNIGAILGAVISGKITDLLGRKLTMWILNIFYISGWLAIAFTKVPWLLDLGRLSLGFTNGISGYLVPIYIAEITPKNLRGRFTAIVPLMTCWGMSSMYVVGSFVSWRTLALIAIIPSILQLPLLSFIPESPRWLANVGRDKELESALLCLRGEKAYISDEATDIKHYVDSLKSISEEGILDVFQKKYVRPLLIVAGMVALLSLGGVNAFTYYSGVIFVSAGISSMIGLIALAAAQRNILYIFSLLLCKFHPSSNNGAGD >EOY11271 pep chromosome:Theobroma_cacao_20110822:5:38771576:38780011:1 gene:TCM_026514 transcript:EOY11271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter ERD6 14 isoform 2 MGQQRREVTESLLVRHGHGDLVHTEENIGVVVGGGGSVAASSVTTILALSTFVAACVSFGFGCAIGYSSPTQSSIMEDLGLSMADFSLFGSILNIGAILGAVISGKITDLLGRKLTMWILNIFYISGWLAIAFTKVPWLLDLGRLSLGFTNGISGYLVPIYIAEITPKNLRGRFTAIVPLMTCWGMSSMYVVGSFVSWRTLALIAIIPSILQLPLLSFIPESPRWLANVGRDKELESALLCLRGEKAYISDEATDIKIVAGMVALLSLGGVNAFTYYSGVIFVSAGISSMIGLIALAAAQTLLGFLGTIFIDKSGRRPLLLVALAGLCFSSFLTGLSFLLKDYNWWGEGTPILALIGILMYKGSFVVAVGIPWLLVAELFPINVKGSAGSICNFISGITGWAVAYYFNLLTKWSSAGTFFIFSAFCCANFILAATMVPETKGRTLEEIQASITHSSQ >EOY11273 pep chromosome:Theobroma_cacao_20110822:5:38777141:38780011:1 gene:TCM_026514 transcript:EOY11273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter ERD6 14 isoform 2 MIHTKIIMCFQKVPWLLDLGRLSLGFTNGISGYLVPIYIAEITPKNLRGRFTAIVPLMTCWGMSSMYVVGSFVSWRTLALIAIIPSILQLPLLSFIPESPRWLANVGRDKELESALLCLRGEKAYISDEATDIKHYVDSLKSISEEGILDVFQKKYVRPLLIVAGMVALLSLGGVNAFTYYSGVIFVSAGISSMIGLIALAAAQTLLGFLGTIFIDKSGRRPLLLVALAGLCFSSFLTGLSFLLKDYNWWGEGTPILALIGILMYKGSFVVAVGIPWLLVAELFPINVKGSAGSICNFISGITGWAVAYYFNLLTKWSSAGTFFIFSAFCCANFILAATMVPETKGRTLEEIQASITHSSQ >EOY11275 pep chromosome:Theobroma_cacao_20110822:5:38775784:38779894:1 gene:TCM_026514 transcript:EOY11275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter ERD6 14 isoform 2 MGQQRREVTESLLVRHGHGDLVHTEENIGVVVGGGGSVAASSVTTILALSTFVAACVSFGFGCAIGYSSPTQSSIMEDLGLSMADFSLFGSILNIGAILGAVISGKITDLLGRKLTMWILNIFYISGWLAIAFTKVPWLLDLGRLSLGFTNGISGYLVPIYIAEITPKNLRGRFTAIVPLMTCWGMSSMYVVGSFVSWRTLALIAIIPSILQLPLLSFIPESPRWLANVGRDKELESALLCLRGEKAYISDEATDIKIVAGMVALLSLGGVNAFTYYSGVIFVSAGISSMIGLIALAAAQRNILYIFSLLLCKFHPSSNNGAGD >EOY11270 pep chromosome:Theobroma_cacao_20110822:5:38771576:38779949:1 gene:TCM_026514 transcript:EOY11270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter ERD6 14 isoform 2 MGQQRREVTESLLVRHGHGDLVHTEENIGVVVGGGGSVAASSVTTILALSTFVAACVSFGFGCAIGYSSPTQSSIMEDLGLSMADFSLFGSILNIGAILGAVISGKITDLLGRKLTMWILNIFYISGWLAIAFTKVPWLLDLGRLSLGFTNGISGYLVPIYIAEITPKNLRGRFTAIVPLMTCWGMSSMYVVGSFVSWRTLALIAIIPSILQLPLLSFIPESPRWLANVGRDKELESALLCLRGEKAYISDEATDIKHYVDSLKSISEEGILDVFQKKYVRPLLIVAGMVALLSLGGVNAFTYYSGVIFVSAGISSMIGLIALAAAQTLLGFLGTIFIDKSGRRPLLLVALAGLCFSSFLTGLSFLLKDYNWWGEGTPILALIGILMYKGSFVVAVGIPWLLVAELFPINVKGSAGSICNFISGITGWAVAYYFNLLTKWSSAGTFFIFSAFCCANFILAATMVPETKGRTLEEIQASITHSSQ >EOY06957 pep chromosome:Theobroma_cacao_20110822:5:526:2589:1 gene:TCM_021519 transcript:EOY06957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVAGRPPDPPIHPLPESSSPPMMSTPTPSFMADKNGGLQASDNHTQPPTSPRFQKKSFLSIAAGSKPPVIPLNRDPAVYKDRPAAVFYEDEICILAKPFSLCLVGKFTRMPKLQEVRSAFKGIGLSGAYEIKWLDYKHVLIHLSNDQDFNRIWTRQQWFIVGQKMRIFKWSPEFEAEKESPVVPVWISFPNLKAHLYEKSALLLIAKTIGKPLFVDEPTAKGSRPSVARVCVEYDCREPPIDQVWIVTQKRETGMVTNGYAQKVEFSQMPDYCEHCCHVGHNETTCLVLGNNSKSSGSMKAQLKGQTKQTLNMSKTQTREKTDGEKEDKAKGIMVEEIRPATKQTDMSKQSIWRVVGKAGKSGAKDASGKEIDVEKRDADSVIPVSNRFQQIMEVESHEQTRNANQGNIDTTYNTLETSNQGEQNNGHPKAPDERQKDATTQHSSGGRKGAELETMSAAPPEGVTRVADFSEQLQIEGSETQNLHENRMHGQKESIVGERMQKLHARSHSDVEKKELTLHTARGLTLHTAHRILSQETPQGDSQKNSKNPSFKPPALAETADVQHGSTENGLINSRKNQRMQDLGEADPSPHEAGKQCPDKTPTDRELLTIVPTPGDVDGTKLPAARRQDTFPSYCLQHQRVQGSTFTGAKERVITPHDGTLMQVST >EOY09124 pep chromosome:Theobroma_cacao_20110822:5:26267724:26269539:-1 gene:TCM_024514 transcript:EOY09124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase MLAVECRPLFDFSFPLFPLSITTMGKSYLAGNFGFMASTMLLFGLLVASLRTTSAQVGVCYGMLGNNLPPRPEVIALFNQRNIRRMRLYGPDKPALEALRGSNIELMLGVPNDKLEGLAANQANANAWVQDNVRNYGNVKFRYIAVGNEVKPSDPAARFVVPAMQNIRNAIVGAGLGNQIKVSTAIDTVTLGESFPPSKGSFRPDYRPLLDPIIRFLVNNKAPLLVNLYPYFSYDDNSDIRLDYALFKAQNPVVSDPPLQYRNLFDAILDAVYAALEKAGGGSLEIVVSESGWPSAGGRKRGATNIDNARTYNQNLIQHVKGGTPKKPGKPIEAYIFAMFDENNKQGEEIERHWGLFLPNKQPKYPINFN >EOY11697 pep chromosome:Theobroma_cacao_20110822:5:40084071:40088044:-1 gene:TCM_026791 transcript:EOY11697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 31, putative isoform 5 MGEKGKKSKTMSISQSAAKEHKEQLERLQKKDPEFYQYLQQHGKDLLTFDDEDVDDDVDVDMEDPETQLGDETHEHGIAEEGEKPSKNVITTAMVDSWCNSIREDGKLSAVRSLMRAFRTACHYGDDTGNDSSAKFSVMSSSVFNKIMLFTLSEMDRVLRKLLKLPASGGKKETINELMNTKQWKSYNHLVKSYLGNALHVLNQMTDTKMISFTLRRLQYSSIFLAAFPSLLRKYIKVALHFWGTGGGALPVVSFLFLRDLCVRLGSDCLDECIRGIYKAYVLNCHFMNAVKLQHIQFLANCVIELIRVDLPTAYQHAFVFIRQLAMLLRDALNMKTKEAFRKVYEWKFMNCLELWTGAICAYSSEADFKPLAYPLTQIISGVARLVPTARYFSLRLRCVRMLNRIAASTGNFIPVSMLLLDMLEMKELNRPPTGGVGKAVDLRTTLKVSKPILKTRAFQEACVISVVEELAEHLAQWSYSVAFFELSFIPAQRLRSFCKSTKVERFRKEMRHLIRQIEANTEFTNKRRASITFLPNDQAATSFLEDEKKVGTSPLSQYVVTLRQRAQQRNDSMMESSVLVGEKSAVFGSKLDRIPASDEEDDIRNKDEDEDGASVFSSSWLPGGDIKAKLPKEEVKKKKKKKRKMEQEVDEDIVEDLVLSSDEDASLSDSPSTEEDGSEEQPGSRQQSKKQKPKNMSKKNVRSHSNKSKKRRRSG >EOY11698 pep chromosome:Theobroma_cacao_20110822:5:40084575:40087930:-1 gene:TCM_026791 transcript:EOY11698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 31, putative isoform 5 MGEKGKKSKTMSISQSAAKEHKEQLERLQKKDPEFYQYLQQHGKDLLTFDDEDVDDDVDVDMEDPETQLGDETHEHGIAEEGEKPSKNVITTAMVDSWCNSIREDGKLSAVRSLMRAFRTACHYGDDTGNDSSAKFSVMSSSVFNKIMLFTLSEMDRVLRKLLKLPASGGKKETINELMNTKQWKSYNHLVKSYLGNALHVLNQMTDTKMISFTLRRLQYSSIFLAAFPSLLRKYIKVALHFWGTGGGALPVVSFLFLRDLCVRLGSDCLDECIRGIYKAYVLNCHFMNAVKLQHIQFLANCVIELIRVDLPTAYQHAFVFIRQLAMLLRDALNMKTKEAFRKVYEWKFMNCLELWTGAICAYSSEADFKPLAYPLTQIISGVARLVPTARYFSLRLRCVRMLNRIAASTGNFIPVSMLLLDMLEMKELNRPPTGGVGKAVDLRTTLKVSKPILKTRAFQEACVISVVEELAEHLAQWSYSVAFFELSFIPAQRLRSFCKSTKVERFRKEMRHLIRQIEANTEFTNKRRASITFLPNDQAATSFLEDEKKVGTSPLSQYVVTLRQRAQQRNDSMMESSMHVFSVFSWVKSLLSLGVSLIGYQLVMKKMISGTRMRMRTVLLFLVPPGYLEVISRPSFLKRR >EOY11699 pep chromosome:Theobroma_cacao_20110822:5:40084578:40088225:-1 gene:TCM_026791 transcript:EOY11699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 31, putative isoform 5 MGEKGKKSKTMSISQSAAKEHKEQLERLQKKDPEFYQYLQQHGKDLLTFDDEDVDDDVDVDMEDPETQLGDETHEHGIAEEGEKPSKNVITTAMVDSWCNSIREDGKLSAVRSLMRAFRTACHYGDDTGNDSSAKFSVMSSSVFNKIMLFTLSEMDRVLRKLLKLPASGGKKETINELMNTKQWKSYNHLVKSYLGNALHVLNQMTDTKMISFTLRRLQYSSIFLAAFPSLLRKYIKVALHFWGTGGGALPVVSFLFLRDLCVRLGSDCLDECIRGIYKAYVLNCHFMNAVKLQHIQFLANCVIELIRVDLPTAYQHAFVFIRQLAMLLRDALNMKTKEAFRKVYEWKFMNCLELWTGAICAYSSEADFKPLAYPLTQIISGVARLVPTARYFSLRLRCVRMLNRIAASTGNFIPVSMLLLDMLEMKELNRPPTGGVGKAVDLRTTLKVSKPILKTRAFQEACVISVVEELAEHLAQWSYSVAFFELSFIPAQRLRSFCKSTKVERFRKEMRHLIRQIEANTEFTNKRRASITFLPNDQAATSFLEDEKKVGTSPLSQYVVTLRQRAQQRNDSMMESSVLVGEKSAVFGSKLDRIPASDEEDDIRNKDEDEDGASVFSSSWLPGGDQCRPTGPSFLKRR >EOY11700 pep chromosome:Theobroma_cacao_20110822:5:40084646:40087930:-1 gene:TCM_026791 transcript:EOY11700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 31, putative isoform 5 MGEKGKKSKTMSISQSAAKEHKEQLERLQKKDPEFYQYLQQHGKDLLTFDDEDVDDDVDVDMEDPETQLGDETHEHGIAEEGEKPSKNVITTAMVDSWCNSIREDGKLSAVRSLMRAFRTACHYGDDTGNDSSAKFSVMSSSVFNKIMLFTLSEMDRVLRKLLKLPASGGKKETINELMNTKQWKSYNHLVKSYLGNALHVLNQMTDTKMISFTLRRLQYSSIFLAAFPSLLRKYIKVALHFWGTGGGALPVVSFLFLRDLCVRLGSDCLDECIRGIYKAYVLNCHFMNAVKLQHIQFLANCVIELIRVDLPTAYQHAFVFIRQLAMLLRDALNMKTKEAFRKVYEWKFMNCLELWTGAICAYSSEADFKPLAYPLTQIISGVARLVPTARYFSLRLRCVRMLNRIAASTGNFIPVSMLLLDMLEMKELNRPPTGGVGKAVDLRTTLKVSKPILKTRAFQEACVISVVEELAEHLAQWSYSVAFFELSFIPAQRLRSFCKSTKVERFRKEMRHLIRQIEANTEFTNKRRASITFLPNDQAATSFLEDEKKVGTSPLSQYVVTLRQRAQQRNDSMMESSVLVGEKSAVFGSKLDRIPASDEEDDIRNKDEDEDGASVFSSSWLPGGDIKYGSSYLHLFLPLSLSHAHLCKKIYMHSCTQFLSYP >EOY11701 pep chromosome:Theobroma_cacao_20110822:5:40084157:40088225:-1 gene:TCM_026791 transcript:EOY11701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 31, putative isoform 5 MEDPETQLGDETHEHGIAEEGEKPSKNVITTAMVDSWCNSIREDGKLSAVRSLMRAFRTACHYGDDTGNDSSAKFSVMSSSVFNKIMLFTLSEMDRVLRKLLKLPASGGKKETINELMNTKQWKSYNHLVKSYLGNALHVLNQMTDTKMISFTLRRLQYSSIFLAAFPSLLRKYIKVALHFWGTGGGALPVVSFLFLRDLCVRLGSDCLDECIRGIYKAYVLNCHFMNAVKLQHIQFLANCVIELIRVDLPTAYQHAFVFIRQLAMLLRDALNMKTKEAFRKVYEWKFMNCLELWTGAICAYSSEADFKPLAYPLTQIISGVARLVPTARYFSLRLRCVRMLNRIAASTGNFIPVSMLLLDMLEMKELNRPPTGGVGKAVDLRTTLKVSKPILKTRAFQEACVISVVEELAEHLAQWSYSVAFFELSFIPAQRLRSFCKSTKVERFRKEMRHLIRQIEANTEFTNKRRASITFLPNDQAATSFLEDEKKVGTSPLSQYVVTLRQRAQQRNDSMMESSVLVGEKSAVFGSKLDRIPASDEEDDIRNKDEDEDGASVFSSSWLPGGDIKAKLPKEEVKKKKKKKRKMEQEVDEDIVEDLVLSSDEDASLSDSPSTEEDGSEEQPGSRQQSKKQKPKNMSKKNVRSHSNKSKKRRRSG >EOY11256 pep chromosome:Theobroma_cacao_20110822:5:38684038:38685531:1 gene:TCM_026501 transcript:EOY11256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance-like protein MCLGTIRFFLLSLAALPGSSTNSQAKSLLQVTRMTITDCEMIKETIACECDEVKRGIVFTQLKYLQLSGLPTLASFCLGDHNFEFPALGKMIVRECPKMKIFCQGYLSTPQLQKVIWTKDEDEENGWWEGDLKTTITRMFEEKVSIDLKDFLMLLCFLILIFQLAFPCYPILIKRLLE >EOY08242 pep chromosome:Theobroma_cacao_20110822:5:5901366:5904665:-1 gene:TCM_022590 transcript:EOY08242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster biosynthesis family protein isoform 1 MSRSLIQRISPFFIARIKQNHKLLRSSSYSHNYSSSALHEASPESSESPSSSLDAIRIADNCVKRMKELQASEESSHEKLLRLSVETGGCSGFQYVFDLDDKTNPDDRVFEREGVKLVVDNISYDFVKGATVDYVEELIRSAFLVTTNPSAVGGCSCKSSFMVKQ >EOY08243 pep chromosome:Theobroma_cacao_20110822:5:5901386:5904544:-1 gene:TCM_022590 transcript:EOY08243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster biosynthesis family protein isoform 1 MRLLLNLLNLHLLLSMLFASLIIASRDALQRMKELQASEESSHEKLLRLSVETGGCSGFQYVFDLDDKTNPDDRVFEREGVKLVVDNISYDFVKGATVDYVEELIRSAFLVTTNPSAVGGCSCKSSFMVKQ >EOY10188 pep chromosome:Theobroma_cacao_20110822:5:33403266:33405477:1 gene:TCM_025554 transcript:EOY10188 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding dehydrogenase family protein MPNANSQVLTCKAIVSWGKDEPLKVEDIQVEPPKSSEVRVKMLYASVCHTDILFANGFPIPSFPRVMGHEGVGVIESFGNDVRGLNEGDIVIPAYVAECKTCENCMSEKTNLCLKYPLSYNGLMLDGTSRLSIGGQTAYHAFSCSTWSEYMVINANFVLKIDPSIPLSDASFLSCGFSTGYGAAWKDAKVEKGSTVAVFGLGAVGLGAIKGAKSQGATKVIGIDKNPRKEAKGRAFGMTDFINPDDSDRCIAELVKDLTGGMGVDYSFECTGVPPLINEAIEATKLGAGKIIQIGAGEHSSVNINFIGILLGRTLKGSIFGGLKGKSDLPIIYEKCKNREIQLDELLTHEIQLQEVNNVFELLKQPDCVKVLIKI >EOY07871 pep chromosome:Theobroma_cacao_20110822:5:3078474:3081041:-1 gene:TCM_022191 transcript:EOY07871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translin family protein isoform 2 MKAAFRNAYCFTLSRYSINPNPTILHLVPAISTFPSLPSSVFKNPPFRSPVTATLCSTSMASGDSDAPQTSPSLEKQFEKFRVQLEDSGSLRERIRAVVLEIESATRLMQASLLLVHQSKPIPEVLEKAKTQINVLKDLFNKLAEVVRECPGQYYRYHGDWRTETQMVVSLLAFMHWLETGTLLMHTEAEEKLGLNDSDFGLDVEDYLVGSICFMSNEMPRYVVNQVTAGDYDCPRKVLKFLTDLHAAFRMLNLRNDFLRKKFDTMKYDLRRVEEVYYDVKIRGLATSGDSVEDQGTQGQP >EOY07870 pep chromosome:Theobroma_cacao_20110822:5:3078538:3081042:-1 gene:TCM_022191 transcript:EOY07870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translin family protein isoform 2 MKAAFRNAYCFTLSRYSINPNPTILHLVPAISTFPSLPSSVFKNPPFRSPVTATLCSTSMASGDSDAPQTSPSLEKQFEKFRVQLEDSGSLRERIRAVVLEIESATRLMQASLLLVHQSKPIPEVLEKAKTQINVLKDLFNKLAEVVRECPGQYYRYHGDWRTETQMVVSLLAFMHWLETGTLLMHTEAEEKLGLNDSDFGLDVEDYLVGICFMSNEMPRYVVNQVTAGDYDCPRKVLKFLTDLHAAFRMLNLRNDFLRKKFDTMKYDLRRVEEVYYDVKIRGLATSGDSVEDQGTQGQP >EOY09584 pep chromosome:Theobroma_cacao_20110822:5:29984943:29985567:1 gene:TCM_024999 transcript:EOY09584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSVCCTSDLDELNGVAEENASSNSNVISSCSNEDIGNEYLKSSLEADHVVHNKDFEDGLQKELVHNAAVGVVLKSTNGVESESVQKTMIRLSSKSTA >EOY10638 pep chromosome:Theobroma_cacao_20110822:5:35658063:35670382:-1 gene:TCM_025951 transcript:EOY10638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRRKLIFERQQSTEGPGTPVTPALMSPLHRHTQSGSGYGSMGNVRKAQTKAAAQRLAAVMAHRQNDEDDDEEDQLDYNNISGTGGIGLVGGRAMRARSPRIDNKNMAQRRVPQVRTRQQPADEDKDEDDILVSGPASIGLAGGRGMQSRSPMHKNMPQRRAIPPVMTQQGADEDNDEDGLLVSGTASIGFAGGRALHSRSPAKINIAQRRAPQVMIQQPADEDNEEDDLLVSGTASIGLARGRAMQSRSPMTKSIAHKRLPQVTTLQPSDKDNDEDDLLVSGRASIGLARGRAMQSRPSMAKTMAQRPVQHVAQQPGDEDNDEDDLANNSSSVSGTASIGLASGRARLPSSPLSVHTNQDQPPSTPSTPGTQTFLSVNSTEQPSSAHLIGQPSHSISSVEQSMSPYSTSAGRPSLQSSIEQPLSTQASTAGRSSPSTSYIEQPLSARSTASGRQHLGVKTFSVAPSTVTMSLKPTSSVSTTEASTDSQRDKRLLADFGNMSSLKERGRQQSASALQDELDILQDENESLLEKLQLSEERCEEAEARARQLEKQIANLGEGVTLEARLLSRKEAALQEREAALRVAAQTQGGKPEEIATLWTEAETARDEAMSALEKLQEAECEIKSLQTVTQRMTLTEEEMEEVVLKRCWLARYWSLCVQHGIQAEIAGAKHEYWSSFAPLPLEIVLAAGQRAKEGDFSSNNDLEEREKVLQDFSELSGERNVESMLLVEKGLRELALLKVEDAVAFAMAQHRRQNSLKTDEVKLPTEGQFEAFELSQEESEDVRFKQAWLTYFWRRAMNHGVEPDIADERLQFWINHSSRSSTSHDAVDVERGLMELRKLGLESQLWKKSREALELGSTTKLHIESDF >EOY09509 pep chromosome:Theobroma_cacao_20110822:5:29583008:29590851:-1 gene:TCM_024925 transcript:EOY09509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrodipicolinate reductase 2 MPNGSLDRFIFGNTIPSNADTTLKWETRHNIICGVARALDYLHNGCEKRVIHRDIKASNIMLDSDFNARLGDFGLARTVQLNEKTHHSTKEIAGTPGYMSPESFHTGKATVETDVYAFGVLILEVVSGRKPGHQNEQNNYYRSIVEWVWEHHRMEQITDVIYLRLNKDFHEDQARCILMLGLACCHPNPFERPSMRTALQVLTGEVAAPAVPTEMPAFMWPAAPPVIREEMDNSITGAAATSVLPGGPSSSALQFVALSLALFKMASLLKVSANGFRSEKLPSLSKGNRRQGIVAKKAAFGSIRAAMSLSMSTTAVQHNQKSTSLDLAIPIMVNSCTGKMGKAVIKAADSAGLHIVPVSFGAEKESGQTIEMCGKEILVHGPSERESILASVFHEYPNLVMVDYSVPAAVNDNAELYSKVGVPFVMGTTGGDRDRLYKTVEDSNVYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVMESHQASKLDTSGTAKAVISCFQKLGVSFDMDQIQMIRDPKQQIEMVGVPEEHLAGHAFHLYCLTSPDETVSFEFQHNVCGRSIYAEGTVDAVLFLAKKVRFEGITATCSANNRFSQRPTSASTI >EOY08805 pep chromosome:Theobroma_cacao_20110822:5:21191982:21203090:1 gene:TCM_024012 transcript:EOY08805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWKWQCNQKSKGFYKAVEKLESSQHLDHATIALQPPRGPLKTHSANEPLLTEVMTAPQPPIDLAQLHSVNEPVSSHHDGKHHDDADYGQHNEPGVHIHHDIIVADGENVTHVNDVLDDTVAGDVTPQSVDAEGDHGPKVDVVVDASTEKEGDLHSVEAKEDHLPQVDAVVEVVAEGIGNLASVQAKGDDVP >EOY09920 pep chromosome:Theobroma_cacao_20110822:5:31664571:31678390:1 gene:TCM_025283 transcript:EOY09920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol 3beta-glucosyltransferase isoform 1 MAELLETSHRNSNVTSGDISIEISGDDSNAANSSGCSTDVPVDFEIEIVGDDDNGSEASGLTDRSLAGQNMHGKASTSAMNSGSNQQPKSRKERQSSKLALYIAKFLDEKVPFRKRIKFLNQVANVKHDGTVQFDVPVDMKPHTLDFGTGVVYDHAPDKEHYTEDIPEIPPPLQIVMLIVGTRGDVQPFVAIGKRLQADGHRVRLATHSNFKDFVLDAGLEFFPLGGDPKVLAGYMVKNKGFLPSVPSEIPVQRQQIREIIFSLLPACVADDLDNNVKFDVDAIIANPPAYGHTHVAEALKVPLHIFFTMPWTPTSEFPHPLSRVKQQVGYRLSYHIVDSLIWLGIRDMINEFRKKRLKLRPVTYLRGSYSSPPDVPYGYMWSPHLVPKPKDWGPIIDVVGFCFLDLASSYEPPESLVKWLEGDKKPIYIGFGSLPVQEPERMTQIIVQALEKTGQRGIINKGWGGLGNLAEQKHFVYLLDNCPHDWLFSRCMAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHSRGVGPAPIPVEEFSLEKLVAAIKFMLDPEVKRRAVELAEAMAGEDGVAGAVNAFYKQFPGKKSKDESKAAPTPSGNCIASLVFPSLDDLQITSFFCHCSRPVKCLAGSNLSRVKMHAMR >EOY09921 pep chromosome:Theobroma_cacao_20110822:5:31664609:31706282:1 gene:TCM_025283 transcript:EOY09921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol 3beta-glucosyltransferase isoform 1 MAELLETSHRNSNVTSGDISIEISGDDSNAANSSGCSTDVPVDFEIEIVGDDDNGSEASGLTDRSLAGQNMHGKASTSAMNSGSNQQPKSRKERQSSKLALYIAKFLDEKVPFRKRIKFLNQVANVKHDGTVQFDVPVDMKPHTLDFGTGVVYDHAPDKEHYTEDIPEIPPPLQIVMLIVGTRGDVQPFVAIGKRLQADGHRVRLATHSNFKDFVLDAGLEFFPLGGDPKVLAGYMVKNKGFLPSVPSEIPVQRQQIREIIFSLLPACVADDLDNNVKFDVDAIIANPPAYGHTHVAEALKVPLHIFFTMPWTPTSEFPHPLSRVKQQVGYRLSYHIVDSLIWLGIRDMINEFRKKRLKLRPVTYLRGSYSSPPDVPYGYMWSPHLVPKPKDWGPIIDVVGFCFLDLASSYEPPESLVKWLEGDKKPIYIGFGSLPVQEPERMTQIIVQALEKTGQRGIINKGWGGLGNLAEQKHFVYLLDNCPHDWLFSRCMAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHSRGVGPAPIPVEEFSLEKLVAAIKFMLDPEVKRRAVELAEAMAGEDGVAGAVNALYKHFPGKKSKG >EOY09922 pep chromosome:Theobroma_cacao_20110822:5:31664452:31733204:1 gene:TCM_025283 transcript:EOY09922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol 3beta-glucosyltransferase isoform 1 MAELLETSHRNSNVTSGDISIEISGDDSNAANSSGCSTDVPVDFEIEIVGDDDNGSEASGLTDRSLAGQNMHGKASTSAMNSGSNQQPKSRKERQSSKLALYIAKFLDEKVPFRKRIKFLNQVANVKHDGTVQFDVPVDMKPHTLDFGTGVVYDHAPDKEHYTEDIPEIPPPLQIVMLIVGTRGDVQPFVAIGKRLQADGHRVRLATHSNFKDFVLDAGLEFFPLGGDPKVLAGYMVKNKGFLPSVPSEIPVQRQQIREIIFSLLPACVADDLDNNVKFDVDAIIANPPAYGHTHVAEALKVPLHIFFTMPWTPTSEFPHPLSRVKQQVGYRLSYHIVDSLIWLGIRDMINEFRKKRLKLRPVTYLRGSYSSPPDVPYGYMWSPHLVPKPKDWGPIIDVVGFCFLDLASSYEPPESLVKWLEGDKKPIYIGFGSLPVQEPERMTQIIVQALEKTGQRGIINKGWGGLGNLAEQKHFVYLLDNCPHDWLFSRCMAVVSCKCKTFSFYSG >EOY11456 pep chromosome:Theobroma_cacao_20110822:5:39324046:39328126:1 gene:TCM_026625 transcript:EOY11456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin H,1 isoform 2 MADFQTSTHRAKWIFTPQGLVEKYRAANQRAIQTLEKYGTTQMEVDADGSLSYPEPIARDNADKHSRAKPLSIEEEQFMRVFYENKLREVCSAFYFPNKIQATALIYFKRFYLQWSVMEHHPKHIMLTCVYAACKIEENHVSAEELGKGISQDHQMILNYEMIVYQSLEFDLIVYAPYRSVEGFVNDMEEFCGAKDEQNQILKDLQETARIEVDKIMLTDAPHLFPPGQLALAALRSANEMHKVLDFERYLRSILTRQNSEHTISQLIESLNAIDTWVRKYKFPTEKDMKHINRKLKSCWGHSSQDDKKRDKKRHKSHKSSNEIPNGPSIG >EOY11457 pep chromosome:Theobroma_cacao_20110822:5:39324046:39328126:1 gene:TCM_026625 transcript:EOY11457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin H,1 isoform 2 MADFQTSTHRAKWIFTPQGLVEKYRAANQRAIQTLEKYGTTQMEVDADGSLSYPEPIARDNADKHSRAKPLSIEEEQFMRVFYENKLREVCSAFYFPNKIQATALIYFKRFYLQWSVMEHHPKHIMLTCVYAACKIEENHVSAEELGKGISQDHQMILNYEMIVYQSLEFDLIVYAPYRSVEGFVNDMEEFCGAKDEQNQILKDLQETARIEVDKIMLTDAPHLFPPGQLALAALRSANEMHKVLDFERYLRSILTRQNSEHTISQLIESLNAIDTWVRKYKFPTEKDMKHINRKLKSCWGHSSQDDSKKRDKKRHKSHKSSNEIPNGPSIG >EOY11455 pep chromosome:Theobroma_cacao_20110822:5:39324048:39334506:1 gene:TCM_026625 transcript:EOY11455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin H,1 isoform 2 MADFQTSTHRAKWIFTPQGLVEKYRAANQRAIQTLEKYGTTQMEVDADGSLSYPEPIARDNADKHSRAKPLSIEEEQFMRVFYENKLREVCSAFYFPNKIQATALIYFKRFYLQWSVMEHHPKHIMLTCVYAACKIEENHVSAEELGKGISQDHQMILNYEMIVYQSLEFDLIVYAPYRSVEGFVNDMEEFCGAKDEQNQILKDLQETARIEVDKIMLTDAPHLFPPGQLALAALRSANEMHKVLDFERYLRSILTRQNSEHTISQLIESLNAIDTWVRKYKFPTEKDMKHINRKLKSCWGHSSQDEYGPYNCFAFLRPYFICIFILIIDFLTAVRSGIRKGTNPIKVQTKFRMDHPLVNCYILVCTSESPRSMDTVVWFSSSQDFSRVQAITVPHSPENQGYRSISFSSILMAEPPVAGVRTCSV >EOY10963 pep chromosome:Theobroma_cacao_20110822:5:37245248:37246226:-1 gene:TCM_026233 transcript:EOY10963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor MNSSYFQYPYSQFSPESSSSYVSQENLSLESFNYPPNQALPFNENDSQEMLLLGVLNQAPASSFDTASVNNSRDDEVSSKANEEESTREISYRGVRRRPWGKYAAEIRDSTRNGVRVWLGTFDTAEAAALAYDQAALAMRGSLAILNFPMEKVYESLQEMNYGFEDGCSPVLTMKKRHSLKSKKGLMKKVKKEKEVRMENVVVLEDLGTDYLEELLSISESSTLW >EOY09002 pep chromosome:Theobroma_cacao_20110822:5:24661670:24668169:1 gene:TCM_024335 transcript:EOY09002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar essential protein-related isoform 3 MVWPYAIKGKKRKTREEKYDREEDEEVEVEEKESAKRGSIEKPQGEAPDEEEEEDESGAHELVGIPIAPSDQNTKKAGVIFVLEKASLEVAKVGKSFQLLNSDDHANFLRKNKKNPADYRPDIAHQALLAILDSPVNKAGRLQAVYVKTEKGVLFEVKPHVRIPRTYKRFSGIILQLLQQHKITAVGKRESLLRLIKNPATQYFPVNSRKIGFSYSSEKLVKMSKYVDAVGDDVNLVFVVGAMAHGKIETEYVDDFIAISGYPLSAAMCIARITEALAEKWNIL >EOY09004 pep chromosome:Theobroma_cacao_20110822:5:24662258:24663895:1 gene:TCM_024335 transcript:EOY09004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar essential protein-related isoform 3 MVWPYAIKGKKRKTREEKYDREEDEEVEVEEKESAKRGSIEKPQGEAPDEEEEEDESGAHELVGIPIAPSDQNTKKAGVIFVLEKASLEVAKVGKSFQLLNSDDHANFLRKNKKNPADYRPDIAHQALLAILDSPVNKAGRLQAVYVKTEKGVLFEVKPHVRIPRTYKRFSGIILQLLQQHKITAVGKRESLLRLIKNPATQYFPVNSRKIGFSYSSEKLVKMSKYVDAVGDDVNLVFVVGAMAHGKIETEYVDDFIASKFHILCVWFFVRLCYGI >EOY09003 pep chromosome:Theobroma_cacao_20110822:5:24661874:24664591:1 gene:TCM_024335 transcript:EOY09003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar essential protein-related isoform 3 MVWPYAIKGKKRKTREEKYDREEDEEVEVEEKESAKRGSIEKPQGEAPDEEEEEDESGAHELVGIPIAPSDQNTKKAGVIFVLEKASLEVAKVGKSFQLLNSDDHANFLRKNKKNPADYRPDIAHQALLAILDSPVNKAGRLQAVYVKTEKGVLFEVKPHVRIPRTYKRFSGIILQLLQQHKITAVGKRESLLRLIKNPATQYFPVNSRKIGFSYSSEKLVKMSKYVDAVGDDVNLVFVVGAMAHGKIETEYVDDFIAISGYPLSAAMCIARITEALAEKWNIL >EOY11365 pep chromosome:Theobroma_cacao_20110822:5:39099746:39107286:-1 gene:TCM_026574 transcript:EOY11365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase isoform 1 MEVTARAPGKIILAGEHAVVHGYTAVASSIDLYTVATLRLRPDGEDTINLQLKDVGLDFSWPIQKIKEVVAHLGSPFSSTPTSCSLEIIKSLTALVDEQSFPEARIGLASGVCAFLWLYTSILGFKPATVIVTSQLPVGAGLGSSAAYCVTLSAALLAFSDSVKVDMSQKGWFIFKEAEVELLNKWAFEGEKIIHGKPSGVDNSVSTFGNMIKFKSGSMTRIDSNMPLRMLITNTKVGRDTKALVANVSSRKSKHPEVMGSVLNAIEFISEEWSNIIQLHALDDLSLAANEERLIELMEMNQGLLLSIGVSHAAIETVLQTTMKYKLASKLTGAGGGGCVLTFLPIQLSETIVDKVVGELESFGFQCFAAGIGGKGVQISFDSSP >EOY11366 pep chromosome:Theobroma_cacao_20110822:5:39099283:39105801:-1 gene:TCM_026574 transcript:EOY11366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase isoform 1 MEVTARAPGKIILAGEHAVVHGYTAVASSIDLYTVATLRLRPDGEDTINLQLKDVGLDFSWPIQKIKEVVAHLGSPFSSTPTSCSLEIIKSLTALVDEQSFPEARIGLASGVCAFLWLYTSILGFKPATVIVTSQLPVGAGLGSSAAYCVTLSAALLAFSDSVKVDMSQKGWFIFKEAEVELLNKWAFEGEKIIHGKPSGVDNSVSTFGNMIKFKSGSMTRIDSNMPLRMLITNTKVGRDTKALVANVSSRKSKHPEVMGSVLNAIEFISEEWSNIIQLHALDDLSLAANEERLIELMEMNQGLLLSIGVSHAAIETVLQTTMKYKLASKLTGAGGGGCVLTFLPIQLSETIVDKVVGELESFGFQCFAAGIGGKGVQISFDSSP >EOY08093 pep chromosome:Theobroma_cacao_20110822:5:4467030:4468942:1 gene:TCM_022415 transcript:EOY08093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 76, subfamily G, polypeptide 1 MPHQTLYKLKPKYGPVLRLKLGSINTLGTLALGRYGSYWHMDRCICSTKLLVNKRINEMAPLRQKCLDDMMRYIEEDIVAAHAQGQIGKDLLDSGSKKGKELFDAMNKVMEWAGKPILADFFLILKWLNPQGIKKNMELGSNITSEAIDMNEMVGIIARKLIPFEAIPKKHVM >EOY08460 pep chromosome:Theobroma_cacao_20110822:5:8852768:8855608:1 gene:TCM_022921 transcript:EOY08460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFAPTALAPMVLLQKNSNPATLDDRSRKKGRFRPLNDEISLLSDQMTIHSNPSFNKVLLSSEGEKTNPDVNSDNENESMDENDADMAEEDADFELDDEVQFGLRIEYEGLPRSCFNCGMYNHTKEVCTKQAVSSALKEFPQESTRGIEAEESIYGPWMIASRRKQRRNESRSNQRVTSTINRVEHKGSRFSILDDISHDPTNEDQNGSHIGERLSDERSTKPTPNVIASQKNLINTSNNVIQGNPPTNKATANIDCMDANNKGNEIRKAKTNDKFNQELDSIMDVDLSSVIQLTITLDLSRNSVSDYALGIAKGQVIKFFRVVKDLVKSYAINMLILLKPRINKPNAFWFFTVVYGNPSPNIRCQLWEELSSFESTVTGPWLLASDFNAFLHSHEKTGGFP >EOY11786 pep chromosome:Theobroma_cacao_20110822:5:40311282:40313413:1 gene:TCM_026853 transcript:EOY11786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 61, putative isoform 2 MGRHSCCYKQKLRKGLWSPEEDEKLLNYITKHGHGCWSSVPKLAAGLQRCGKSCRLRWINYLRPDLKRGAFSQQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRRTGIDPNTHKPLSEVENDNKEKLPTSNKASAGSNELNLGEVMDSKSPSTVMEKYPLEVSSHSKMNNSGNCRNNNVNVTSTPHTQEFLLDRFATTHESNTTTSCRPSDLVSYFPFQKLDYAPNIGLSVSQSTSLCFNSSSSSSEVISEFNSSLRQTVLPTMSSSIFQTPIRVKPSVSLPCDNPSCDVNGVQNWEGSSYSNNASSSNGSSGSVELQSNSILFESNLFSWGLADCGKSGEGVPIRSLEGDPDDIKWSEYLNSPFLLGTPMLNQTSQAMYNEVKPQAQLITDGSSNTWPQNQHQQASRVSDMYAKDFQRLAVAFGQTL >EOY11785 pep chromosome:Theobroma_cacao_20110822:5:40311200:40314292:1 gene:TCM_026853 transcript:EOY11785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 61, putative isoform 2 MGRHSCCYKQKLRKGLWSPEEDEKLLNYITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRRTGIDPNTHKPLSEVENDNKEKLPTSNKASAGSNELNLGEVMDSKSPSTVMEKYPLEVSSHSKMNNSGNCRNNNVNVTSTPHTQEFLLDRFATTHESNTTTSCRPSDLVSYFPFQKLDYAPNIGLSVSQSTSLCFNSSSSSSEVISEFNSSLRQTVLPTMSSSIFQTPIRVKPSVSLPCDNPSCDVNGVQNWEGSSYSNNASSSNGSSGSVELQSNSILFESNLFSWGLADCGKSGEGVPIRSLEGDPDDIKWSEYLNSPFLLGTPMLNQTSQAMYNEVKPQAQLITDGSSNTWPQNQHQQASRVSDMYAKDFQRLAVAFGQTL >EOY09094 pep chromosome:Theobroma_cacao_20110822:5:25985599:25998012:1 gene:TCM_024477 transcript:EOY09094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCNTSQKEKTHQPRNYQTNSKSKAHTVLPHTFYKWLESQAKPKLIAASFPFYKYTSKPHNSPVRKNKQPKFVIQVFPWLVFSITFFLQISSTLVRYSLRHLPMLLLQQL >EOY10547 pep chromosome:Theobroma_cacao_20110822:5:35291249:35292492:1 gene:TCM_025881 transcript:EOY10547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uclacyanin 1, putative MASNKFLMLTIVAIFLPAIAMATDYIVGDDSGWTINFDYQAWAKDKVFRIGDKLVFQYPQGYHNVFKVNGTAFKNCDIPPADEALNSGNDTIVLKTPGRKWYICGVSDHCSAYSQKLAITVQYSYGPAPAPAPSSPWAPTPESWSPAAPSVPATPTQPWAPAPQPWSPTPSPPAPTAPWPPAPSPYPWI >EOY10617 pep chromosome:Theobroma_cacao_20110822:5:35592647:35594445:1 gene:TCM_025935 transcript:EOY10617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus protein 5 subunit 22 of Mediator complex MNKGTGVGTGPTAAAAAAAAQKQKTMMQRVETDIVNIVDNFTQLVNVARVNDPPVRNSQEAFMMEMRAARMVQAADSLLKLVSELKQTAIFSGFASLNDHVEQRSVEFDQQAEKTDRMLARIGEEAAASLKELESHHYSSARRTAESP >EOY07818 pep chromosome:Theobroma_cacao_20110822:5:2804863:2805852:-1 gene:TCM_022139 transcript:EOY07818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHILYAWLPVCHLQSPSRVRWPSWMACEEPCMHSAVLTMEGVFQSMVNLMSNRSWDFHNKISFLVINSENFAGPKVTGKIFQELLD >EOY11229 pep chromosome:Theobroma_cacao_20110822:5:38405845:38408542:-1 gene:TCM_026469 transcript:EOY11229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 1 MQAHLLVGPTPVNGFYSSSSSFISNGSLSISASPFTGNWPYPHNSPRKSRNRWIPPPSSSFASAAGIDGEQNHYSVLGVARNASSADIKRAYRLLALKYHPDVSKDSQAGEMFKSIRHAYEVLSNEVTRVQYDRALTFQEDVGRSYKGKWNNSPEFEDGVRQYRWAEIKRKMQEERFGERYKVNDEYSSFYDETDDEEEDENLHQERGSFIEVLKSAFITLFLLQTFGSLFSLTFSSLMALFDKKLDAGYKIGYVVAWVLGGRGGILLTLCISFASWVCGKTGSSIVVLVVVAMWVGSNLARYAPLPQGALLALMYMSIKLQVDLK >EOY11228 pep chromosome:Theobroma_cacao_20110822:5:38405839:38408518:-1 gene:TCM_026469 transcript:EOY11228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 1 MQAHLLVGPTPVNGFYSSSSSFISNGSLSISASPFTGNWPYPHNSPRKSRNRWIPPPSSSFASAAGIDGEQNHYSVLGVARNASSADIKRAYRLLALKYHPDVSKDSQAGEMFKSIRHAYEDNMVAVQFCGGIGVMGVVIAYKTLNNWDSVTYNGWNFGLTSTKVWVFQARMWLPYAKLLKGGIVSRAAFPRFSFGATTLNIDLLRKEEKKGEREGEREGELWITTLMLSSEFPKYEQELLLTSYHESLLLLGLLLWIVTRVQYDRALTFQEDVGRSYKGKWNNSPEFEDGVRQYRWAEIKRKMQEERFGERYKVNDEYSSFYDETDDEEEDENLHQERGSFIEVLKSAFITLFLLQTFGSLFSLTFSSLMALFDKKLDAGYKIGYVVAWVLGGRGGILLTLCISFASWVCGKTGSSIVVLVVVAMWVGSNLARYAPLPQGALLALMYMSIKLQVDLK >EOY08263 pep chromosome:Theobroma_cacao_20110822:5:6020271:6023993:1 gene:TCM_022608 transcript:EOY08263 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein isoform 1 MAGRKKRKLKLLAETANGDERTATEDGKERLELQTWSDLPVELLELIMSHLTLEDNVRASAVCKRWHKVAISVRVVNQSPWLMYFPKYGNLYEFYDPSERKTYSLELPELLGSRVCYTKDGWLLLYRPRNHRVFFFNPFTREMIKLPRFELTYQIVAFSCAPTSTSCVVFTIKHISPTIVAISTCHPGASEWATVNHQNRLPFVSSIWNKLVFCSGIFYCLSLTGWLGVYDPLERTWNVLAVPPPRCPENFFAKNWWKGKFMAEHNGDILVIYTCCTENPIIFKLDQSEMVWEEMKTLDGVTLFASFLSSHSRIDLPGIMRNSVYFSKVRFFGKRCISYSLDDCRYYPRKQCYDWGEQDPFENIWIEPPEDASSFF >EOY08262 pep chromosome:Theobroma_cacao_20110822:5:6020306:6024120:1 gene:TCM_022608 transcript:EOY08262 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein isoform 1 MAGRKKRKLKLLAETANGDERTATEDGKERLELQTWSDLPVELLELIMSHLTLEDNVRASAVCKRWHKVAISVRVVNQSPWLMYFPKYGNLYEFYDPSERKTYSLELPELLGSRVCYTKDGWLLLYRPRNHRVFFFNPFTREMIKLPRFELTYQIVAFSCAPTSTSCVVFTIKHISPTIVAISTCHPGASEWATVNHQNRLPFVSSIWNKLVFCSGIFYCLSLTGWLGVYDPLERTWNVLAVPPPRCPENFFAKNWWKGKFMAEHNGDILVIYTCCTENPIIFKLDQSEMVWEEMKTLDGVTLFASFLSSHSRIDLPGIMRNSVYFSKVRFFGKRCISYSLDDCRYYPRKQCYDWGEQDPFENIWIEPPEDASSFF >EOY08145 pep chromosome:Theobroma_cacao_20110822:5:5033221:5034970:-1 gene:TCM_022486 transcript:EOY08145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function isoform 1 MMQTEQQQQQPQQQNQLMVQNSGSLSFSSHLSKEDEEISKSALSTFRAKEEEIERKKMEVREKVQLQLGRVEEETKRLAFIREELEALADPMRKDVALVRKKIDAVNKELKPLGHTCQKKEREYKEALEAFNEKNKEKVQLITKLMELVSESERLRMKKLEELSKNIDSIH >EOY08146 pep chromosome:Theobroma_cacao_20110822:5:5033775:5034851:-1 gene:TCM_022486 transcript:EOY08146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function isoform 1 NSRKKKGFLSVKKLELAKMMQTEQQQQQPQQQNQLMVQNSGSLSFSSHLSKEDEEISKSALSTFRAKEEEIERKKMEVREKVQLQLGRVEEETKRLAFIRELEALADPMRKDVALVRKKIDAVNKELKPLGHTCQKK >EOY07577 pep chromosome:Theobroma_cacao_20110822:5:2022306:2024723:1 gene:TCM_021974 transcript:EOY07577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger 20, putative isoform 1 MLRPSPSTSTDKNYCFSTAIMNRAKEKESDEAAVAEFRSKWDGMVEYTEKTSRNFVEEVLGLGQCGDYDLIVVGKGRFPSPMVAKLADRQAEHAELGPIGDLLSSSGRRVLSSVLVIQQHDMAHAEETPVSKFVQHDYDKLKSDGSSGMGEISKVV >EOY07578 pep chromosome:Theobroma_cacao_20110822:5:2021731:2024648:1 gene:TCM_021974 transcript:EOY07578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger 20, putative isoform 1 MVARLRGLLPLSPTGFAFCLGGPDDREALELGGRMADHPAVKVVVVRFVENEGLERDGVMLRPSPSTSTDKNYCFSTAIMNRAKEKESDEAAVAEFRSKWDGMVEYTEKTSRNFVEEVLGLGQCGDYDLIVVGKGRFPSPMVAKLADRQAEHAELGPIGDLLSSSGRRVLSSVLVIQQHDMAHAEETPVSKFVQHDYDKLKSDGSSGMGEISKVV >EOY11323 pep chromosome:Theobroma_cacao_20110822:5:38979199:38980376:-1 gene:TCM_026548 transcript:EOY11323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding LysM domain-containing protein isoform 2 DSTATISPMNSHFSALTCRDTLRLIFEKLSLTDLARASCVCKAWNAVASDDEMVVEAFKAPWKLLEVVGKPSSGSFWRDNGIGKFAISHRILRGESVASLAVMDIKRLNNMMSDHGIYSRERLLIPISNPDLLINGTCYIELDTYAKREVAVLYLDGKPDRKPGTLLKRVTSDRGKRRVIDSLKRSMQVDDGTAQYYL >EOY11322 pep chromosome:Theobroma_cacao_20110822:5:38978862:38980558:-1 gene:TCM_026548 transcript:EOY11322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding LysM domain-containing protein isoform 2 MSCCCDQNDDESALTTPLSSSSSPTVTDSTATISPMNSHFSALTCRDTLRLIFEKLSLTDLARASCVCKAWNAVASDDEMVVEAFKAPWKLLEVVGKPSSGSFWRDNGIGKFAISHRILRGESVASLAVKYSVQVMDIKRLNNMMSDHGIYSRERLLIPISNPDLLINGTCYIELDTYAKREVAVLYLDGKPDRKPGTLLKRVTSDRGKRRVIDSLKRSMQVDDGTAQYYLSISNGDPRAALSQFSSDLSWERQIGLA >EOY11438 pep chromosome:Theobroma_cacao_20110822:5:39275688:39278931:1 gene:TCM_026613 transcript:EOY11438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein isoform 2 MNFYLPSLMALLLSLSLHPPKPPQNPKLTSLNPPVPTSVLKTLNIRRQFIINSTSLCIILWAPQNPVPQSLAEPSTTSKPALNIANTKSWFQFYGDGFAIRVPPEFEDIMEPEDFNAGASLYGDKAKPRTFAARFASTDGSEVLSVVIRRTNQLKITFLEAQDITDLGSIKEAARIFVPGGATLYNARTIKIKEDEGFKTYYFYEFGRDEQHIALVATVNSGKAVIAGATAPQSKWDDDGVKLRSAALSLTVL >EOY11439 pep chromosome:Theobroma_cacao_20110822:5:39275665:39277514:1 gene:TCM_026613 transcript:EOY11439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein isoform 2 MNFYLPSLMALLLSLSLHPPKPPQNPKLTSLNPPVPTSVLKTLNIRRQFIINSTSLCIILWAPQNPVPQSLAEPSTTSKPALNIANTKSWFQFYGDGFAIRVPPEFEDIMEPEDFNAGASLYGDKAKPRTFAARFASTDGSEVLSVVIRRTNQLKITFLEAQDITDLGSIKEAARIFVPAMVQW >EOY07901 pep chromosome:Theobroma_cacao_20110822:5:3182795:3184897:-1 gene:TCM_022217 transcript:EOY07901 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MRSGCTLLGECNLVGSGSPRLDLATGTPKVGLGKRSIHSKETLKIHLEISIKNITESKRNLVMFTPFFRKLRKGFWVLESKGTALNYAEFDEEVDVATTVPDDVKEGYFTVFAVKGKETQRFVIELDKLTNPAFLSLLEQAREEYGFQQKGVLSLPCRPQELLEILEHGKVNEAGAEGWTKTDASLLERY >EOY08845 pep chromosome:Theobroma_cacao_20110822:5:22038231:22039690:-1 gene:TCM_024085 transcript:EOY08845 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 5 MLLFLISCFSFILLSKSLSFKNQYPRMKGEWRLLSPWFWEGFSSFMVSWFQKGRLGICFYQLPMILTLRKKMRLGPKVDNVEEERISLLDLPELTLECILERLSPAGLCSMAGVCSSFRERCTSDHLWEKHMKQKWGKVIGDAAHREWQWHIASRKRPNLLGPSKQKGFLGSLTNMWPFSWFTPNLEGSSSQRKTDLPNDSMMSWYLSLETGKFWFPAQVYNRENGHAGFMLSCYDAKLCYDSKTDTFQARYSPHGQRTTEEYISWDRLRVPPVDTPSHVLHISDCIPDLKPGDHIEIQWRRNKEFPYVGA >EOY08848 pep chromosome:Theobroma_cacao_20110822:5:22038341:22039690:-1 gene:TCM_024085 transcript:EOY08848 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 5 MLLFLISCFSFILLSKSLSFKNQYPRMKGEWRLLSPWFWEGFSSFMVSWFQKGRLGICFYQLPMILTLRKKMRLGPKVDNVEEERISLLDLPELTLECILERLSPAGLCSMAGVCSSFRERCTSDHLWEKHMKQKWGKVIGDAAHREWQWHIASRKRPNLLGPSKQKGFLGSLTNMWPFSWFTPNLEGSSSQRKTDLPNDSMMSWYLSLETGKFWFPAQVYNRENGHAGFMLSCYDAKLCYDSKTDTFQARYSPHGQRTTEEYISWDRLRVPPVDTPSHVLHISDCIPDLKPGDHIEIQWRRNKEFPYGEFPHIRSDL >EOY08847 pep chromosome:Theobroma_cacao_20110822:5:22036039:22039835:-1 gene:TCM_024085 transcript:EOY08847 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 5 MLLFLISCFSFILLSKSLSFKNQYPRMKGEWRLLSPWFWEGFSSFMVSWFQKGRLGICFYQLPMILTLRKKMRLGPKVDNVEEERISLLDLPELTLECILERLSPAGLCSMAGVCSSFRERCTSDHLWEKHMKQKWGKVIGDAAHREWQWHIASRKRPNLLGPSKQKGFLGSLTNMWPFSWFTPNLEGSSSQRKTDLPNDSMMSWYLSLETGKFWFPAQVYNRENGHAGFMLSCYDAKLCYDSKTDTFQARYSPHGQRTTEEYISWDRLRVPPVDTPSHVLHISDCIPDLKPGDHIEIQWRRNKEFPYGWWYGVVGHLESCDGAENHCRCQYTVILEFNQYPASSRWRKTIINRKDHREVGNEADGFYGGIRKLCKEEEILMWKRLWPNQVLE >EOY08846 pep chromosome:Theobroma_cacao_20110822:5:22036824:22039690:-1 gene:TCM_024085 transcript:EOY08846 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 5 MLLFLISCFSFILLSKSLSFKNQYPRMKGEWRLLSPWFWEGFSSFMVSWFQKGRLGICFYQLPMILTLRKKMRLGPKVDNVEEERISLLDLPELTLECILERLSPAGLCSMAGVCSSFRERCTSDHLWEKHMKQKWGKVIGDAAHREWQWHIASRKRPNLLGPSKQKGFLGSLTNMWPFSWFTPNLEGSSSQRKTDLPNDSMMSWYLSLETGKFWFPAQVYNRENGHAGFMLSCYDAKLCYDSKTDTFQARYSPHGQRTTEEYISWDRLRVPPVDTPSHVLHISDCIPDLKPGDHIEIQWRRNKEFPYGWWYGVVGHLESCDGAENHCRCQYTDAVILEFNQYPASSRWRKTIINRKDHREVGNEADGFYGGIRKLCKEEEILMWKRLWPNQVLE >EOY08844 pep chromosome:Theobroma_cacao_20110822:5:22036569:22040330:-1 gene:TCM_024085 transcript:EOY08844 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 5 MLLFLISCFSFILLSKSLSFKNQYPRMKGEWRLLSPWFWEGFSSFMVSWFQKGRLGICFYQLPMILTLRKKMRLGPKVDNVEEERISLLDLPELTLECILERLSPAGLCSMAGVCSSFRERCTSDHLWEKHMKQKWGKVIGDAAHREWQWHIASRKRPNLLGPSKQKGFLGSLTNMWPFSWFTPNLEGSSSQRKTDLPNDSMMSWYLSLETGKFWFPAQVYNRENGHAGFMLSCYDAKLCYDSKTDTFQARYSPHGQRTTEEYISWDRLRVPPVDTPSHVLHISDCIPDLKPGDHIEIQWRRNKEFPYGWWYGVVGHLESCDGAENHCRCQYTDAVILEFNQYPASSRWRKTIINRKDHREVGNEADGFYGGIRKLCKEEEILMWKRLWPNQVLE >EOY08283 pep chromosome:Theobroma_cacao_20110822:5:6073271:6076232:-1 gene:TCM_022620 transcript:EOY08283 gene_biotype:protein_coding transcript_biotype:protein_coding description:4'-phosphopantetheinyl transferase superfamily MNIRCFQRNFSAALPPLVPLQLPSPMETHLWYILPDEVKSVALLNQYSELLSPCERENVNRMCGDQLKKRALLARALVRTTIARYQMNCEINPRSLKFRKNIYGKPEVEWQNEDNFSPLPLHFNISHTTSMIACGVTVNVPIGIDVEEKQRRIKNNITAFAQRYFSPYEVKLLTAISDPEVQCQEFIKLWTLKEAYVKALGKGFSAVPFKTFTIQFRAPAIRNLHPPGISVSEASEEIVESSNDPTNLTNNWQLALLEVAGSHYAAICMERDKTVGGEANMPMKLTVRKTIPFVEDVCVSGTDAVLALGGIIEQ >EOY08920 pep chromosome:Theobroma_cacao_20110822:5:23062431:23069943:-1 gene:TCM_024191 transcript:EOY08920 gene_biotype:protein_coding transcript_biotype:protein_coding description:DegP protease 10 isoform 3 MTMPVRGLASAHFAHNSCRVGKSLHKHTFAPLGFLSSTIGEEAKRMLGSSVRTLRRLRCCNNSSSLLLLGRDCCRNGTTVSKRKVLPLCCKGESNISIFDIGERQSSIQRWYVVRSKRSLHTETDAYLAIELALDSVVKIFTVTSSPNYFLPWQNKSQRETMGSGFVIPGKKILTNAHVVADHTFVLVRKHGSPTKYRAEVLAVGHECDLAILVVESQEFWEGVNFLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGATQLMAIQIDAAINPGNSGGPAIMGNKVAGVAFQNLSGAENIGYIIPVPVIKHFIAGVEESGKYVGFCSMGLSCQPTENVQLRNHFKMQPQMTGVLVSKINPLSDAHRVLKKDDIILEFDGVPIANDGTVPFRNRERITFDHLVSMKKPNETAVVKVLRNGEEHAFTITLRPVLMDDINAGYERLAELQVKKVNGIEIQNLKHLCQLVENCSTESLRFDLDDDRVVALNFESARIATSRILERHRIPSRMSSDLVSEQNNLEID >EOY08916 pep chromosome:Theobroma_cacao_20110822:5:23061720:23081514:-1 gene:TCM_024191 transcript:EOY08916 gene_biotype:protein_coding transcript_biotype:protein_coding description:DegP protease 10 isoform 3 MTMPVRGLASAHFAHNSCRVGKSLHKHTFAPLGFLSSTIGEEAKRMLGSSVRTLRRLRCCNNSSSLLLLGRDCCRNGTTVSKRKVLPLCCKGESNISIFDIGERQSSIQRWYVVRSKRSLHTETDAYLAIELALDSVVKIFTVTSSPNYFLPWQNKSQRETMGSGFVIPGKKILTNAHVVADHTFVLVRKHGSPTKYRAEVLAVGHECDLAILVVESQEFWEGVNFLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGATQLMAIQIDAAINPGNSGGPAIMGNKVAGVAFQNLSGAENIGYIIPVPVIKHFIAGVEESGKYVGFCSMGLSCQPTENVQLRNHFKMQPQMTGVLVSKINPLSDAHRVLKKDDIILEFDGVPIANDGTVPFRNRERITFDHLVSMKKPNETAVVKVLRNGEEHAFTITLRPLQPLVPVHQFDKLPSYYIFAGLVFVPLTQPYLHEYGEDWYNTSPRRLCERALRELPKQAGEQLVILSQVLMDDINAGYERLAELQVKKVNGIEIQNLKHLCQLVENCSTESLRFDLDDDRVVALNFESARIATSRILERHRIPSRMSSDLCRYNISTLMGL >EOY08918 pep chromosome:Theobroma_cacao_20110822:5:23064065:23069812:-1 gene:TCM_024191 transcript:EOY08918 gene_biotype:protein_coding transcript_biotype:protein_coding description:DegP protease 10 isoform 3 MTMPVRGLASAHFAHNSCRVGKSLHKHTFAPLGFLSSTIGEEAKRMLGSSVRTLRRLRCCNNSSSLLLLGRDCCRNGTTVSKRKVLPLCCKGESNISIFDIGERQSSIQRWYVVRSKRSLHTETDAYLAIELALDSVVKIFTVTSSPNYFLPWQNKSQRETMGSGFVIPGKKILTNAHVVADHTFVLVRKHGSPTKYRAEVLAVGHECDLAILVVESQEFWEGVNFLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGATQLMAIQIDAAINPGNSGGPAIMGNKVAGVAFQNLSGAENIGYIIPVPVIKHFIAGVEESGKYVGFCSMGLSCQPTENVQLRNHFKMQPQMTGVLVSKINPLSDAHRVLKKDDIILEFDGVPIANDGTVPFRNRERITFDHLVSMKKPNETAVVKVLRNGEEHAFTITLRPLQPLVPVHQFDKLPSYYIFAGLVFVPLTQPYLHEYGEDWYNTSPRRLCERALRELPKQAGEQLVILSQSGFDG >EOY08917 pep chromosome:Theobroma_cacao_20110822:5:23062258:23069887:-1 gene:TCM_024191 transcript:EOY08917 gene_biotype:protein_coding transcript_biotype:protein_coding description:DegP protease 10 isoform 3 MTMPVRGLASAHFAHNSCRVGKSLHKHTFAPLGFLSSTIGEEAKRMLGSSVRTLRRLRCCNNSSSLLLLGRDCCRNGTTVSKRKVLPLCCKGESNISIFDIGERQSSIQRWYVVRSKRSLHTETDAYLAIELALDSVVKIFTVTSSPNYFLPWQNKSQRETMGSGFVIPGKKILTNAHVVADHTFVLVRKHGSPTKYRAEVLAVGHECDLAILVVESQEFWEGVNFLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGATQLMAIQIDAAINPGNSGGPAIMGNKVAGVAFQNLSGAENIGYIIPVPVIKHFIAGVEESGKYVGFCSMGLSCQPTENVQLRNHFKMQPQMTGVLVSKINPLSDAHRVLKKDDIILEFDGVPIANDGTVPFRNRERITFDHLVSMKKPNETAVVKVLRNGEEHAFTITLRPLQPLVPVHQFDKLPSYYIFAGLVFVPLTQPYLHEYGEDWYNTSPRRLCERALRELPKQAGEQLVILSQVLMDDINAGYERLAELQCRYNISTLMGL >EOY08919 pep chromosome:Theobroma_cacao_20110822:5:23062431:23069943:-1 gene:TCM_024191 transcript:EOY08919 gene_biotype:protein_coding transcript_biotype:protein_coding description:DegP protease 10 isoform 3 MTMPVRGLASAHFAHNSCRVGKSLHKHTFAPLGFLSSTIGEEAKRMLGSSVRTLRRLRCCNNSSSLLLLGRDCCRNGTTVSKRKVLPLCCKGESNISIFDIGERQSSIQRWYVVRSKRSLHTETDAYLAIELALDSVVKIFTVTSSPNYFLPWQNKSQRETMGSGFVIPGKKILTNAHVVADHTFVLVRKHGSPTKYRAEVLAVGHECDLAILVVESQEFWEGVNFLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGATQLMAIQIDAAINPGNSGGPAIMGNKVAGVAFQNLSGAENIGYIIPVPVIKHFIAGVEESGKYVGFCSMGLSCQPTENVQLRNHFKMQPQMTGVLVSKINPLSDAHRVLKKDDIILEFDGVPIANDGTGSG >EOY09456 pep chromosome:Theobroma_cacao_20110822:5:29254986:29261198:-1 gene:TCM_024873 transcript:EOY09456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Promoter-binding protein SPL9 MEMGSGSLTESGGSSTNSSTESLNGLKFGKKIYFEDAAAGAPAAAAAASAAGGGAVGTPPKSGPGSSSLSGSGRKARGGGVVQGAQPPRCQVEGCKVDLSDAKAYYSRHKVCGMHSKSPKVIVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPGSLLPSRYGRLSSSIVESSRGGSFIMDFTAYPRLSGRDAWPTARSSERVPGNQNTATGRSLPHPWQNNSENPPHDLFLQGSPGGTGLSSAGIPSGECFTGVADSSCALSLLSNQPWGSRNRASSLGVNDMMNTEGSSVAQPTTPNGATVNPYSNASWGFKGNDSGSSSHELPPHLGLGQISEPVNNQFSGGLDLSQQSRRQFMELEQSRGYDTSTQHIHWSR >EOY09371 pep chromosome:Theobroma_cacao_20110822:5:28702079:28707239:1 gene:TCM_024797 transcript:EOY09371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein isoform 3 MEPLCSNFDLLKSSRPTSIPLLSHRFSCFNRGIVKKSSVSLPCASYSHDPNQLQAVKTEAPLPQSNGHDLIKSITKGFVGFVAAATALASVCSDSPAFAESLTVTFPVSRTQEVNTVQRTLVEAWGLIRETFVDPTYNHQDWDLKLQQTMVEMFPLKSADAAYGKIRGMLSTLGDPFTRIVSPKEYQNFRIGSDGNLQGVGLFISVEPKTGHLVVLSCVEGSPAARAGIQEGDELIEINGQGLDGVDSEAAALRLRGHAGTTVTVKLHSGKDSGSGSSMKEVKLPREYIRLSPMSSTVIPHRTPDGRLTKTGYVKLSTFSQSAATDMKNTIHDMESQGVNSYILDLRNNPGGLVKAGLDVAQIWLEGNETLVNTIDRDGHMSPINMVNGHAVTHDPLIVLVNEGSASASEILAGALHDNGRAILVGHKTFGKGKIQSYMTDPLSLLRLQSIYHLLFMT >EOY09370 pep chromosome:Theobroma_cacao_20110822:5:28702041:28707961:1 gene:TCM_024797 transcript:EOY09370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein isoform 3 MEPLCSNFDLLKSSRPTSIPLLSHRFSCFNRGIVKKSSVSLPCASYSHDPNQLQAVKTEAPLPQSNGHDLIKSITKGFVGFVAAATALASVCSDSPAFAESLTVTFPVSRTQEVNTVQRTLVEAWGLIRETFVDPTYNHQDWDLKLQQTMVEMFPLKSADAAYGKIRGMLSTLGDPFTRIVSPKEYQNFRIGSDGNLQGVGLFISVEPKTGHLVVLSCVEGSPAARAGIQEGDELIEINGQGLDGVDSEAAALRLRGHAGTTVTVKLHSGKDSGSGSSMKEVKLPREYIRLSPMSSTVIPHRTPDGRLTKTGYVKLSTFSQSAATDMKNTIHDMESQGVNSYILDLRNNPGGLVKAGLDVAQIWLEGNETLVNTIDRDGHMSPINMVNGHAVTHDPLIVLVNEGSASASEILAGALHDNGRAILVGHKTFGKGKIQSVTELHDGSALFVTVAKYLSPALHDIDQVGIMPDVQCTTDMLTSPKGTLMEKSSVSSLEADSCIMVAEHELDIQESKGTAS >EOY09372 pep chromosome:Theobroma_cacao_20110822:5:28702079:28707239:1 gene:TCM_024797 transcript:EOY09372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein isoform 3 MEPLCSNFDLLKSSRPTSIPLLSHRFSCFNRGIVKKSSVSLPCASYSHDPNQLQAVKTEAPLPQSNGHDLIKSITKGFVGFVAAATALASVCSDSPAFAESLTVTFPVSRTQEVNTVQRTLVEAWGLIRETFVDPTYNHQDWDLKLQQTMVEMFPLKSADAAYGKIRGMLSTLGDPFTRIVSPKEYQNFRIGSDGNLQGVGLFISVEPKTGHLVVLSCVEGSPAARAGIQEGDELIEINGQGLDGVDSEAAALRLRGHAGTTVTGKDSGSGSSMKEVKLPREYIRLSPMSSTVIPHRTPDGRLTKTGYVKLSTFSQSAATDMKNTIHDMESQGVNSYILDLRNNPGGLVKAGLDVAQIWLEGNETLVNTIDRDGHMSPINMVNGHAVTHDPLIVLVNEGSASASEILAGALHDNGRAILVGHKTFGKGKIQSVTELHDGSALFVTVAKYLSPALHDID >EOY07421 pep chromosome:Theobroma_cacao_20110822:5:1497071:1512013:-1 gene:TCM_021863 transcript:EOY07421 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein, putative isoform 1 MADDIRFFELNTGAKIPSVGLGTYGAKQHGVIQNTVTTAIKVGYRHIDCASLYGNEKEIGSALKKLFNEGIVRREDLWITSKLWCSDHLPEDVPKALNKTLQDLQLDYVDLYLIHWPVSLKKGSIVVTPESFTQPDIPGTWRAMEALYDSGKARAIGVSNFSSKKLGDLLEVARITPAVNQVEIHPVWQQPKLHEYCQSKGVHLTGYSPLGSQAGENVRKKVLDNPVVKMVAEELGKSPAQVALRWGLQMGHSILPKSISEARLKENIDVFNWSIPEDLIAQLSQIQQERLGRAEHFVHDSYGVYRTVEELWDGAKIPSVGLGTYGAKHGVIQNTVITAIKVGYRHIDCASLYGNEKEIGSALKKLFNEGIVRREDLWITSKLWCSDHLPEDVSKALNKTLQDLQLDYVDLYLIHWPVSLKKGSIVVKPESFTQPDIPGTWRAMEALNDSGKARAIGVSNFSSKKLGDLLEVARITPAVNQVEIHPVWQQPKLHEYCQSKGVHLTGYSPLGSQAGENVRKKVLDNPVVKMVAEELGKSPAQVALRWGLQMGHSVVPKSISEARLKENIDVFDWSIPEDLIAQLSQIQQERLARAEHFVHDSYGVYRTVEELWDGSVHFKFRKRRQGKNPQLGKKTPVNLSVVSMNKMAEAIRYFELNTGAKIPSVGLGTWQAAPGVVGNAVAAAIKLGYRHIDCAEAYENQKEIGSVLKKLFDDGVVKREDLWITSKLWCNSHAPEVVPEALNNTLQDLQLDYLDLYLIHWPASMKKGAVGFKPENLTQPDIPSTWRAMEALYDSGKARAIGVSNFSSKKLGDLLEVARVSPAVLQVESHPVWQQPKLHEFCKSNGVHITGYSPLGSPGTGTIKTQVLKNPVLNMVAEKLGRSPAQVALRWGLQMGHSVLPKSTNEVRIRENFDVFDWSIPEDLFVKLSEIEQERLVRGTGFVHETYGAFRTIEELWDVQESNRWYRSKPRLAATSPKHSSIKGRVTFKYIDKDWILAYLYWSLLAAVSFPYKKDSHWSQHSLAKMAQAIRYFELNTGAKIPSVGLGTWQAAPGVVGDAVATAIKLGYRHIDCAQVYGNEKEIGSVLKKLFEDGVVKREDLWITSKLWNTNHAPEDVQEALNSTLEDLHLNYLDLYLIHWPVSMKKGAVGFNGEDLTQPDIPATWRAMEALYDSGKARAIGVSNFSTKKLGDLFEVARVPPAVVQVECHPVWQQPKLHALCKSKGIHLTGFSPLGSPGTGTVQIEVLKDPVLNMVAEKLGKSPAQIAIRWGLQMGHSVLPKSTNEVRIRQNFDVFDFSIPEDLFVKFSEIEQERLIKATVFAHETFGAYRTVEELWDGEV >EOY07422 pep chromosome:Theobroma_cacao_20110822:5:1498494:1511419:-1 gene:TCM_021863 transcript:EOY07422 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein, putative isoform 1 MADDIRFFELNTGAKIPSVGLGTYGAKQHGVIQNTVTTAIKVGYRHIDCASLYGNEKEIGSALKKLFNEGIVRREDLWITSKLWCSDHLPEDVPKALNKTLQDLQLDYVDLYLIHWPVSLKKGSIVVTPESFTQPDIPGTWRAMEALYDSGKARAIGVSNFSSKKLGDLLEVARITPAVNQVEIHPVWQQPKLHEYCQSKGVHLTGYSPLGSQAGENVRKKVLDNPVVKMVAEELGKSPAQVALRWGLQMGHSILPKSISEARLKENIDVFNWSIPEDLIAQLSQIQQERLGRAEHFVHDSYGVYRTVEELWDGAKIPSVGLGTYGAKHGVIQNTVITAIKVGYRHIDCASLYGNEKEIGSALKKLFNEGIVRREDLWITSKLWCSDHLPEDVSKALNKTLQDLQLDYVDLYLIHWPVSLKKGSIVVKPESFTQPDIPGTWRAMEALNDSGKARAIGVSNFSSKKLGDLLEVARITPAVNQVEIHPVWQQPKLHEYCQSKGVHLTGYSPLGSQAGENVRKKVLDNPVVKMVAEELGKSPAQVALRWGLQMGHSVVPKSISEARLKENIDVFDWSIPEDLIAQLSQIQQERLARAEHFVHDSYGVYRTVEELWDGSVHFKFRKRRQGKNPQLGKKTPVNLSVVSMNKMAEAIRYFELNTGAKIPSVGLGTWQAAPGVVGNAVAAAIKLGYRHIDCAEAYENQKEIGSVLKKLFDDGVVKREDLWITSKLWCNSHAPEVVPEALNNTLQDLQLDYLDLYLIHWPASMKKGAVGFKPENLTQPDIPSTWRAMEALYDSGKARAIGVSNFSSKKLGDLLEVARVSPAVLQVESHPVWQQPKLHEFCKSNGVHITGYSPLGSPGTGTIKTQVLKNPVLNMVAEKLGRSPAQVALRWGLQMGHSVLPKSTNEVRIRENFDVFDWSIPEDLFVKLSEIEQERLVRGTGFVHETYGAFRTIEELWDVQESNRWYRSKPRLAATSPKHSSIKGRVTFKYIDKDWILAYLYWSLLAAVSFPYKKDSHWSQHSLAKMAQAIRYFELNTGAKIPSVGLGTWQAAPGVVGDAVATAIKLGYRHIDCAQVYGNEKEIGSVLKKLFEDGVVKREDLWITSKLWNTNHAPEDVQEALNSTLEDLHLNYLDLYLIHWPVSMKKGAVGFNGEDLTQPDIPATWRAMEALYDSGKARAIGVSNFSTKKLGDLFEVARVPPAVVQVECHPVWQQPKLHALCKSKGIHLTVS >EOY09681 pep chromosome:Theobroma_cacao_20110822:5:30493426:30503794:1 gene:TCM_025074 transcript:EOY09681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein MIECSVCHSKIASPNSKAVSRAYDRHRNHVSSKTRFLNVLLVSGDCVLVGLQPILVYMSKVDGGFNFSPISVNFLTEVAKVIFAIVMLLFQARHKKVGEKSLLSISTLAQAARNNLLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAILLKMIMKRRFSIIQWEALALLLIGISINQLRSLPEGTTSFGLPAATGAYLYTIIFVTVPSLASVFNEYAMKSQFETSIYHQNLFLYGYGAMFNFLAILGIAIFKGPSSLDILQGHSKATMLLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGIASAVLFGHTLTINFVLGISVVIISMHQFFSALSKVKDEQQGSSFEMVDSQDSQRSKDASFLNMTAGANEDAGHRVNEEEKRPLLPV >EOY08910 pep chromosome:Theobroma_cacao_20110822:5:22926648:22935989:-1 gene:TCM_024179 transcript:EOY08910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein KRTSESLLLFSYSFLFCFILSLHCKDLLDPIFFYHRFTNIMPMHSAQESRNRLGRLFQHKVFRNEEEAAIIQQQVYCRYPTHEAL >EOY10165 pep chromosome:Theobroma_cacao_20110822:5:33310996:33323645:1 gene:TCM_025539 transcript:EOY10165 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MAETFAFNILENVVQKLATVAHQEISLAWGVQSDFQRLNDILTTVKDVLLDAEENQAHTNQLRNWLQKLTDACYDAEDVLDEFEIEALRKQVLKQRNIGKKVSHFFSSSNPLAFRYRMAQKIKKVTLRFGEIAALKANFHLAERHYDTRHVVMGPDRETHSFVQAADIIGRDEDREKIIKALMQDPPDGENISVLPVVGIGGLGKTALAKLLLNDEIIDGEFQLKIWVCVSDDFHLKRLIMKIIKAAKKVNEDWSNMDLDQLQKVLRDCLDGKKYLLILDDLWNEDDLKWNELKQFLVGGGRGSKIVVTTRSSRVAEIMGTIPTHNLEGLPEKEALSLFLQFAFKKRETNQYSNLVKIGKEIVKSCTGIPLVLKTLGSLLLGKTSEYDWKLVRDSEMWTVMQKENNVFPVLKLSYDQLPPYLKLCFAHLSVFPKDYEFNYMELIHFWMAHDLLQSSNENDDPIDIGRRYLNDLSSRSFFQDFDKSLSRQYFFKMHDLLHDLAVLVAKNRCSTINSFKQIITPGIRHLCLENSDFLEKNSCDFFDIDKLCHLHTFRFENMKADANSESFIEKCLSRFQKLRVLTLQGSSLEIVPKRISGLKHLRYLDLSGNSNIKKLPNFICKLLCLQTLLLIQAGIEELPSNMRYMISLRMLSISTKQKVLSKNGLEFLKSLRYLSIAHCENLEYLFDGIRNLTSLHTLIICDCKNLIALPQGIKALTELKVLVISECEKLDLNMTLASEARGKEDDSQDHHIGSGLRLQTLIIGWLPKLEALPNWLLGESANTLQILVIEECKNLTTSAEWQNLTSLERFNADQKQERIGTRLLTSRTYGLMALPEGMPCLKEMTIMHCPILNERWKPETEEDWAKIVQRNDSLRRRMYLHLLVRNWTGRLMVAAPFFT >EOY07382 pep chromosome:Theobroma_cacao_20110822:5:1389949:1404033:-1 gene:TCM_021833 transcript:EOY07382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxal oxidase-related protein MGRSLLTENTKLTLWSHYIGSEHKIKKASKSLKNIVDFGGDDYDGLQQFPSNFFRNLNGLSSSPQSIKTLYFRCWRSLSSCILQNHARFHQAYELGAQACQACHNPTQKFKVNRFWDLKALRLSSKSITTAPINCGKHVLNPIASFITEFLQGPVTFGPCPYLFSSFCYSNLIISIQTVPTVLRLLQPGTLVLPAEFYCLVIVLRFLPPTRINAMFRVKRISISISLIRTDSPRSDGWLLFSYTERSRTLYSRARFKVLIFTTLVAVRAQLPGTWELLVPNAGIASMHTAVTRFNTVVLLDRTNIGPSRKMLPKGHCRIDPTDVILKKDCYAHSVVFDPQTNHIRPLMILTDTWCSSGQFLPDGTLLQTGGDLDGFKKIRKFEPCEPTGFCDWVELRDVELVNGRWYATNQILPDGTVIIVGGRGTNTVEYVPPRKNGAIELKFLADVEDKQMDNLYPYVHLLPNGHLFIFANNKAVMYDHEVNKVIRDYPALSGGPRNYPSAGSSVMLALEGDFSTAVIVICGGAQYGAFIERSTDTPAHGSCGRIVATDPKPGWEMEDMPFGRIMGDMVMLPTGDSLIINGAQAGTQGFEMASNPCLYPLLYRPDQPAGLRFMTLNPGKVPRMYHSTANLLPDGRVLIAGSNPHYFYKFAVELPTELRLEAFWPEYLSPDRANIRPVIEGIPETVRYGEAFDVFVSVSLPVVGIVEVNFASAPFATHSFSQGQRLVKLNVAPSVPEDGRYRIKCTAPPSGAVAPPGYYMVFAVNQGVPSVARWVRVLPKIV >EOY07408 pep chromosome:Theobroma_cacao_20110822:5:1470615:1471699:1 gene:TCM_021853 transcript:EOY07408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFERGLEETLGSGTGSMEASGCTSLISQKLNEYHPCTIEEKLWGNFFARKNHDGSLTGYNEGHGGGEHFGSGGGGGDGGGTEGGGFGGCDSDAGGWGGGGGAGGCDGGAGGGGGGGGRAGAC >EOY10600 pep chromosome:Theobroma_cacao_20110822:5:35541200:35546455:-1 gene:TCM_025927 transcript:EOY10600 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN binding MRTLAAPCTLSLVSRLKVYKSPSSSPMFQLCHSPFSLIPFPRPLSIMASATPSSSQAVSPGDVNKETNVFQLVQAHQEKAARLPPVEEIRTVLDQSTRGMLSTFSEKHEGYPSGSMVDFACDANGSPILAVSSLAVHTKDLLANSKCSLLVARDPEDRTDLVITLHGDAVAVSENDQAAVRTAYLAKHPNAFWVDFGDFQFMRIEPKVVRFVSGVATALLGSGEFSKEEYQASKVDAIAQFSKPVASHMNKDHAEDTKVIVQHSTSIPVDYAYMLDLDSLGFNVKAGYQGNTFKLRIPFPRRAEDRKGCENPHSRYAPSCPVSSQLTTLLKETKEDPVFYLHLTTTEYRPT >EOY11771 pep chromosome:Theobroma_cacao_20110822:5:40249558:40253826:1 gene:TCM_026840 transcript:EOY11771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase MGHKLLQMTFCLWAITCLLLPSPSVGLSRITLKKQRLDLQGIKAARIAMHGEDMLHNFGSSDGEVMPLKNYLDAQYYGVIGIGSPPQNFTVIFDTGSSNLWVPSSKCYFSIACYFHSKYKSSRSSTYTKIGKSCEINYGSGSISGFLSQDNVKVGGLVVKDQVFIEATREGSLTFALAKFDGILGLGFQEISVGNATPVWYNMLNQDLVREDVFSFWLNRDPLAQVGGEIVFGGVDPKHYKGKHTYVPVSRKGYWQFDMGDFLIGNHSTGVCETGCAAIVDSGTSLLAGPTTVVAEINQAIGARGVVSAECKEVVSQYGDLIWQLLVSGVLPDKVCTQIGLCPLKGVQSMSTGIETVVDKKNMEGLSAGDKVLCTACEMTVIWIQSQLRQKETKDRVLNYVNELCESLPSPMGESAIDCAKISEMPHITFTIGDKPFKLTPEQYVLKTGEDITTVCLSGFTALDVPPPRGPLWILGDVFMGVYHTVFDYGNLEIGFAEAA >EOY11364 pep chromosome:Theobroma_cacao_20110822:5:39097456:39099202:1 gene:TCM_026573 transcript:EOY11364 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MASGGQQQFPPQKQSTQPGKEHVMNPIPQFTSPDYKPSNKFQLLEVILGLAICHCFIREGATVAFTYVKSQEDKDAQDTLQMLKKEKTPDSKDPMAIAADLGFDENCKKVVDEVVNAYGRINILVNNAAEQYKASSVEDIDEERLERVFRTNIFSYFFLTRHALKHMKEGSSIINTTSINAYKGNDKLLDYTATKGAIVAFTRGLSLQLVKRGIRVNGVAPGPIWTPLIPASFDEEETSKFGSEVPMQRAGQPIEVAPSYVFLACNHCSSYISGQVLHPNGGAIVNA >EOY10739 pep chromosome:Theobroma_cacao_20110822:5:36188816:36192603:1 gene:TCM_026038 transcript:EOY10739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase MRTLYSWLKLALFCFGCFFIYAFSFGSPSPDDPSVVAACLLNFTSYPYQPSGDCIGDNKKIKFWGSISSTLCCRNALTAFAEALAVQAHRTNGDIFIKQGAWRKCDGHFLSQESVSIHSCGFDDFYYGSSQCSSLSLTKIQNEPSYQDALKACTNLGSSFDDSCKICTDTMGNAVENQLELLQMKKNHTERAICGLAVVISVAAAKVDNYSFVADLFSCMSSLDDLDFGYIKLKYALARALVAVVMVIIILMLMLILVKYVIMKKRKSKIKRQLPKPIKSKESNRCSGLYSFSKAEIENAISCNRKRKSLGKGSAGEVFEGILPSGQVVAVKHINKRNSPDSFKREVAGLSRIRHPNLVSMLGCCIEDDEQYLVLEYCPAGNLAQHLLRNDSGLTWEKRVKILRDCAFALRYLHHYMDGCIVHRDIKDFEPKLSDFGLAKMLGIEESQVFTDVRGTIGYMDPEYMTNAKLTCASDIYSFGIVALQLLSGQKVFELDLDASDQLTRKAKDVSMGKRPSKDFEDPRLKGNLNRVDFESILQIAVLCIAKSSTGRPTIDIVFEEMERAWQNTLADMVWEQRMEYIHQKHPPPHLWI >EOY11369 pep chromosome:Theobroma_cacao_20110822:5:39116701:39119401:-1 gene:TCM_026576 transcript:EOY11369 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MARQYLRKPPVKHKTRGLRRSIGNRSEIPMNREEDAKQTGSCPSQQDFNSCPICLGPLVQESYLDTCFHKFCYNCIVHWSRVVASKRTRPSSSVKCPLCKTENFSVISGFDGTCFQRHYINQDFENRFTFSKAHKYRLQCYYSEPGIVNDIFDVLRFWKSRKYLQSNVWLHGWLKRELQTLMQEEDVDVVVHHIHGVINSFLRRIEQTHLLKKPAEAKQDNFRTAVFDAAKPFLLARTDRFVNEVELFLASGLNIDAYDAVYMQRLGWNTPGGTTSTKPAEEPSQHVGVVPYLYIFDIDSDGAE >EOY11370 pep chromosome:Theobroma_cacao_20110822:5:39117172:39119315:-1 gene:TCM_026576 transcript:EOY11370 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MVWEILQTENFSVISGFDGTCFQRHYINQDFENRFTFSKAHKYRLQCYYSEPGIVNDIFDVLRFWKSRKYLQSNVWLHGWLKRELQTLMQEEDVDVVVHHIHGVINSFLRRIEQTHLLKKPAEAKQDNFRTAVFDAAKPFLLARTDRFVNEVELFLASGLNIDAYDAVYMQRLGWNTPGGTTSTKPAEEPSQHVGVVPYLYIFDIDSDGAE >EOY09241 pep chromosome:Theobroma_cacao_20110822:5:27427786:27428853:-1 gene:TCM_024645 transcript:EOY09241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSLVSSSMLRQIQPHASVMGVFSLHPYGPQPCPFLGHFGGLLGQGWSPLTRSLMQKQNLEHLYAQSVEPFSLSF >EOY08490 pep chromosome:Theobroma_cacao_20110822:5:9245001:9248867:-1 gene:TCM_022961 transcript:EOY08490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFTPYFSNLNGVLWDKVRCNMCKMFLKVEKLTYLFTSSHLQSPNEVGHPISPSSCASHHIVHIARHPIYLPHHRHHRKKQGRVGRKMKKIDLEKVYDRLKWEFIHDTLLEVRIPTKIIDVLLRS >EOY08337 pep chromosome:Theobroma_cacao_20110822:5:6649635:6650233:1 gene:TCM_022694 transcript:EOY08337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTNSPTVSVIFLNVKMRGTPYHARHVYLFLTTMLSFHSLIFSNHSCSQVQPESFMASKNTAIAECYQVDST >EOY10595 pep chromosome:Theobroma_cacao_20110822:5:35522479:35524959:1 gene:TCM_025924 transcript:EOY10595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIHQEMDIDHEWVFLPDNGFRDINQDGEKKVHGGKRSSDTKLVLLTDYFDMEQRPPSGNSMRVPKQVVPVSFPLEPRILKAPENALGKETTTWVPISVTSTPSMIPEKIKEPDIGSVEADKEVKTQVSFRKPSYNESVDMTQKMDSPKSTTRGVIPQIDSAGTFNFDDKSEVLENKSSPRRKDLVEKKVENEDVTWEENSGGLNLWKWSLTGIGAICSFGVAAATFCIIILGSQQRHRQQQQNQKLSFQRYADDKRMKQVVQHVTKLNEAISAVRGGPTTRARITYGGYYDGL >EOY10596 pep chromosome:Theobroma_cacao_20110822:5:35522569:35524916:1 gene:TCM_025924 transcript:EOY10596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIHQEMDIDHEWVFLPDNGFRDINQDGEKKVHGGKRSSDTKLVLLTDYFDMEQRPPSGNSMRVPKQVVPVSFPLEPRILKAPENALGKETTTWVPISVTSTPSMIPEKIKEPDIGSVEADKEVKTQVSFRKPSYNESVDMTQKMDSPKSTTRGVIPQIDSAGTFNFDDKSEVLENKSSPRRKDLVEKKVENEDVTWEENSGGLNLWKWSLTGIGAICSFGVAAATFCIIILGSQQRHRQQQQNQKLSFQRYADDKESKFKSNTISCKITVHQKY >EOY10597 pep chromosome:Theobroma_cacao_20110822:5:35522420:35524404:1 gene:TCM_025924 transcript:EOY10597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIHQEMDIDHEWVFLPDNGFRDINQDGEKKVHGGKRSSDTKLVLLTDYFDMEQRPPSGNSMRVPKQVVPVSFPLEPRILKAPENALGKETTTWVPISVTSTPSMIPEKIKEPDIGSVEADKEVKTQVSFRKPSYNESVDMTQKMDSPKSTTRGVIPQIDSAGTFNFDDKSEVLENKSSPRRKDLVEKKVENEDVTWEENSGGLNLWKWSLTGIGAICSFGVAAATFCIIILGSQQRHRQQQQNQKLSFQRYADDKLEEVQPPELA >EOY09641 pep chromosome:Theobroma_cacao_20110822:5:30317987:30324624:1 gene:TCM_025040 transcript:EOY09641 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 MRPNIVSEAGLQTRVGQWWDNIPFLTSAVVIVCGVIYLVCLLVGYDSFYEICFLPEALVSHFQVYRIYTSIIFHGSLLHVLFNMLALVPLGSELERIMGSIRLLYMIILLATSNAIFHLIIALVVAHNPFLTDKYLMNECAIGFSGILFSMIVIETSLSGVQSRSVFGLFNVPAKWYAFILLVVFQLLMSNVSLLGHLCGILSGFAYTYGLFNFLIPGPSFYSAIESSSWLASCVRRPKFILCSGGNTSAYIPTFSGQTSPFSGLFSGNIWRNLSSWMPQRETAAQSTQDDVRFPGRGRTLGSGQNTAVNSDSNLQARLLDNSSPNNPSDIAAAGAGQRLSNERRSPVNNVVAASAGGPPLAQMQQGSISYEEQIQKLVSMGFERTQVEVAIAAADGDLNVAVEILMSQQG >EOY09407 pep chromosome:Theobroma_cacao_20110822:5:29000707:29003667:-1 gene:TCM_024831 transcript:EOY09407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon family protein isoform 1 MAWDLIFWILCFFINIALFASTFYQLLSLSDLEVDHLNPFEASSRINAVVVPEFLLQGLLCALFLLTWHWFMFLLFLPLTAYHLMLYLNRKHLIDVTEVFRDIGTEKKYRYVKLGIYLVLFTVILFRLIISAFSSLLDEDEVHAF >EOY09408 pep chromosome:Theobroma_cacao_20110822:5:29002202:29003853:-1 gene:TCM_024831 transcript:EOY09408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon family protein isoform 1 MAWDLIFWILCFFINIALFASTFYQLLSLSDLEVDHLNPFEASSRINAVVVPEFLLQGLLCALFLLTWHWFMFLLFLPLTAYHLMLYLNRKHLIDVTEVFRDIGTEKKYRYVKLGIYLVLFTVILFRSLAASISFFRSKFEELDLRSSHFY >EOY11329 pep chromosome:Theobroma_cacao_20110822:5:38991794:39005195:-1 gene:TCM_026552 transcript:EOY11329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain acyl-CoA synthetase 7 isoform 2 MEMESPAERRLYAIHSHLLPSSNAEDDSFLRRNHTSSSHFFHGQKYGVVLPEKLQSGKWNVYRSVRSPMKLVSRFADHPEIGTLHDNFVHAVETYKDFKYLGTRIRVDGTVGEYKWMTFGEAATAREAIGSGLRYYGIEQGACVGLYFINRPEWLVVDHACAAYSYTSVPLYDTLGPDAVNYVVNHSGIQAIFCVPQTLNTLLSFISQIPSVRLIVVVGGADEHLPSLPLTSGVKLISYLKLLGQGRSNLQPFCPPKPEDVATICYTSGTTGTPKGVVLTHGNLIANVAGFCRAIKFYPSDIYISYLPLAHIYERTNQIISVYYGVGIGFYQGDNLKLMDDLAALRPTIFCSVPRLYNRIYAGITNAVKTSGVLKERLFRAAYNSKKQAIMNGRNASPIWDRLVFNKIREKLGGRVRFMGSGASPLSPDVMDFLRVCFGCLVVEGYGMTETSCIITLMDEGDNLSGHVGSPNSACEIKLVDVPEMNYTSEDEPYPRGEICVRGPIVFQGYYKDEIQTREVVDDDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKVENVYVKCKFVLQCFIYGDSLNSSLVAVVAVEPDVLRNWAASEGIQYADLAQLCNDPRARNAVLAEMDDVGREAQLKGFEFAKALTLVPEPFTVENGLLTPTFKIKRPQAKAYFAKAISNMYAELSVSDPTPQKMS >EOY11330 pep chromosome:Theobroma_cacao_20110822:5:38993693:38999051:-1 gene:TCM_026552 transcript:EOY11330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain acyl-CoA synthetase 7 isoform 2 MEMESPAERRLYAIHSHLLPSSNAEDDSFLRRNHTSSSHFFHGQKYGVVLPEKLQSGKWNVYRSVRSPMKLVSRFADHPEIGTLHDNFVHAVETYKDFKYLGTRIRVDGTVGEYKWMTFGEAATAREAIGSGLRYYGIEQGACVGLYFINRPEWLVVDHACAAYSYTSVPLYDTLGPDAVNYVVNHSGIQAIFCVPQTLNTLLSFISQIPSVRLIVVVGGADEHLPSLPLTSGVKLISYLKLLGQGRSNLQPFCPPKPEDVATICYTSGTTGTPKGVVLTHGNLIANVAGFCRAIKFYPSDIYISYLPLAHIYERTNQIISVYYGVGIGFYQGDNLKLMDDLAALRPTIFCSVPRLYNRIYAGITNAVKTSGVLKERLFRAAYNSKKQAIMNGRNASPIWDRLVFNKIREKLGGRVRFMGSGASPLSPDVMDFLRVCFGCLVVEGYGMTETSCIITLMDEGDNLSGHVGSPNSACEIKLVDVPEMNYTSEDEPYPRGEICVRGPIVFQGYYKDEIQTREVVDDDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKVENVYVKCKFVLQCFIYGKEIVCKCNNSGPSITCLANFRV >EOY10571 pep chromosome:Theobroma_cacao_20110822:5:35396891:35402724:-1 gene:TCM_025904 transcript:EOY10571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MAKSSSLCYHISQHRFSTSFGGSFFFLPLSLAISTFLVIFLYIWCTNSNLFTDPQNNHYQESSPKSSLLQQMIPFSLEKAAEDMFYSSRSAPLSKGNQWSMANPFGLYGNYVNNTELYHDEDFFLQDYKEMNRSLKVFVYPHSRDDPFASVLLPVDYDPKGHYASELYFKKVLSKSHFITKNPSEADLFFLPFSIVEMRHDPRIGPEGMQDFIKDYIFNISHKYPYWNRTDGADHFYVACHSIGRFAMDKVFSAKFNVIQVVCSSSYFVAGYIPHKDASMPQIWPRQRDPPNSASSKRKQLAFFAGTINSPARLALIQAWGNDTDIFAHFERLRTPDADQLLGSKFCLHVKGFEVNTARVADAIYYGCVPVILANHYDLPFGDIINWKSFSVVVHYMDIPVLKNILQRISLEEYSLLQSNTLKVRKHFQWNDPPTDYDAFYTTMYELWLRRSSVRVRLSASMEFM >EOY11315 pep chromosome:Theobroma_cacao_20110822:5:38918033:38935896:-1 gene:TCM_026540 transcript:EOY11315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase 1 MNFGIGPMALNNPVKQKKIQGSVVVVKKNLLDYNDLNDFQSGPADTILEMLGQRVSLRLVSAEKTDPTNMLGGKMGAPAYLQNWNFTPVLGDCKFTVSFAWDEDLGTPGAILVRNAHHGEFYLKTVTLDDVPGRGPIHFVCNSWVYPDEKYQSDRVFFANKTYLPNEMPGPLRKYREEELKVLRGNGEGELKEWDRVYDYALYNDLGDPDKGQDYQRQTLGGSLEYPYPRRGRTGRPPTKTDKSCESRLPLPKSLFIYVPRDEKFGHVKLLDFVGNGLSALPQNIFPIFEALCGNPPKEFDSFNEVLRVLYGGNIRSLIPNEKLKELLDYPVPQVIQDSTSAWRTDAEFAREMLAGVNPVVIRRLEEFPPKSKLDPKIYGNQNSLIRKEHIECNLGGYTIEQALMGNKLFILDHHDSLMPYLRRINTTTTKTYASRTLLFLTADGTLKPLAIELSYPHPEADIYGCVSKVYKPAEEGVEGSIWQLAKAYVAVNDSGYHQLISHWLNTHAVIEPFVIATNRQLSVVHPIYKLLQPHFRDTMNINALARQTLINANGILELTVFPGKYAMEMSSAIYKSWNFLEQALPVDLKKRGIAVDDKNSPRGLRLLIKDYPYAVDGLEIWFAIEKWVRDYCSFYYKSDAMVQQDPELQAWWKELRERGHGDKKDEPWWPSMQTLEELIHSCTIIIWVASALHAAVNFGQYPYAGYLPNRPTISRMFMPEKGSPEYAELETNFERVFLKIVTSQLMTLLGVSLIEILSKHSPDEVYLGQRSDPDKWTTDAAPLKAFNDFGNKLSQIEQRIIQMNHDKTLKNRSGPVNVPYTLLFPTSESGLTGKGIPNSVSI >EOY07552 pep chromosome:Theobroma_cacao_20110822:5:1953246:1954016:-1 gene:TCM_021959 transcript:EOY07552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDEEGKQGFRLNPILVGLLGVIAGAIMFATFHLVSSVCNCYRRQVVDTANTSQNVERNQQERASDRIRSPSTPRLIPIFRYSKDCNEETCAVCLSDFKEGEQIRVLPDCLHIFHVACIDAWLNLHSNCPLCRADTSPPEQVAVPLPDSDGPQPMELNRLPDFGL >EOY09484 pep chromosome:Theobroma_cacao_20110822:5:29426959:29429686:1 gene:TCM_024899 transcript:EOY09484 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein / zinc finger family protein isoform 1 MNLAPAVPPASRNMAAPPPIPNGSSAVKTRLCNKFSSAEGCKFGDKCHFAHGDWELGKPIAPSHDDPRGMATIAGRMGSRMEPPPPSGPAASFGASATAKISVDASLAGAIIGKGGVHSKQICRRTGAKLSIREHESDPTLRNIELEGSFEQIKQASAMVRELISSLGPVSGPAKTPGVPGGQGHPGSNYKTKLCDNFAKGSCTFGERCHFAHGTAELRKSAV >EOY09483 pep chromosome:Theobroma_cacao_20110822:5:29426984:29429573:1 gene:TCM_024899 transcript:EOY09483 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein / zinc finger family protein isoform 1 MDTRKRGRPEAGFNSNGGFKKTKPEMESTGVGSKSKPCTKFFSTAGCPFGESCHFLHYVPGGYNAVAQIMNLAPAVPPASRNMAAPPPIPNGSSAVKTRLCNKFSSAEGCKFGDKCHFAHGDWELGKPIAPSHDDPRGMATIAGRMGSRMEPPPPSGPAASFGASATAKISVDASLAGAIIGKGGVHSKQICRRTGAKLSIREHESDPTLRNIELEGSFEQIKQASAMVRELISSLGPVSGPAKTPGVPGGQGHPGSNYKTKLCDNFAKGSCTFGERCHFAHGTAELRKSAV >EOY11265 pep chromosome:Theobroma_cacao_20110822:5:38738171:38752924:-1 gene:TCM_026509 transcript:EOY11265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 18 H MADVIDHQGATSSENSKDSGDRPEKNSENEVVQARHRRQGAIISSYASACELRVLNKENEKKDGSSPKGVLEACLEGLESNIISYVDSPKAEIRCTNGGALANWRKFFKLWKRRSRKHLAAFTPLAVPKLSRKNSRSTKENPVLRDLYNFKSSLEDFSLPELRAATDNFSQENLIGKGGYAEVYKGRLKDGKLVAIKRLIKGTPDERTAGFLSELGIIAHVNHPNTATLIGCGIDGGMHLVFQLSPLGSLGSVLHGSKGVLDWNKRYKIALGTADGLTYLHETCERRIIHRDIKADNILLTENFEPQICDFGLAKWLPKQWTHHNVSKFEGTFGYFAPEYFMHGIVDEKTDVYAFGVLLLELITGRRALDDQQQSVVLWAKPLLDENDIKELVDPSLGDDYDAEEVDRMVLTASLCIEQSPILRPQMSQVVILLRGDEYVAADCAKEPHRRSIQRTYSNELLDAQEYNSTKHLNNINRLREIALASSGVRSASASVAASISGDSVEHNKDQVLWASFDRLELSPSSFKHVLLLGYSNGFQVLDVEDASNVSELVSRRDDPVTFLQMQPLPIKSEGREGFRASHPLLLVVACDESKGSGLMLGGRDGLARDGFDEPQSGNVLISPTAVRFYSLRSHNYVHVLRFRSTVYMVRCSPRIVAVGLATQIYCLDALTLENKFSVLTYPVPQAGGQGMRGINIGYGPMAVGPRWLAYASNNPLQSNTGRLSPQNLTPSPGVSPSTSPSSGSLVARYAMESSKQLAAGLINLGDMGYKTLSKYYQDLIPDGSGSPVSSNSGWKVGRGASHSAETDIAGMVVVKDFVSRAVVSQFRAHASPISALCFDPSGTLLVTASIHGNNINIFRIMPSSVKNGSGTQNYDWSSSHVHLYKLHRGMTSAVIQDICFSAYSQWIAIVSSRGTCHIFVLSPFGGENVLQIHNSHVDGATLSPAVSLPWWSTLSFMTNYQTFSSPAPPTVTLSVVSRIKNGNSGWLNTVTNAASSATGKASFPSGAFSAVFHNSLPNVLQRAQVKANVLENLLVYTPSGHVVQHKLLPSFGGEAGESASRIGPGSAVQVQEEELRVKVEAMQAWDVCRRTDWPEREECLSGMTHGRKEALEMIADVSDSEDNEAGHKDLSKPQDQSHLYLANAEVQISSGRIPIWQNPRVSFYTMSPLGLDECNGGEIEIEKIPAHEVEIRQRDLLPVFEHFQRVQSEWNDRGFDGEKYPMSSSHDAKARFSEVTVISHSKLMSPSSVENSDSGSSRNSSPTSIQSGKDSSGGVCHVEDRNSTNSLSSLTNGSLSGGRTVGKEVQFPNSGGTSEVSNTSSNRSDLSLNMLDEGPVNDSPDFEQFFQEEYCKALPLSACREPTEVVTDVDSGSGPYDREKSEEEGDNDEMLGGVFAFSEEG >EOY08029 pep chromosome:Theobroma_cacao_20110822:5:4080175:4083161:-1 gene:TCM_022352 transcript:EOY08029 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein MSCMQEVRAMRQDERARERGSFIYHQRLDTKALLHIPDHLLNFILLLANLLSYPFFPGFSPKRLGSCVFMSPPALAMTNDDARETEFQKGVKHLFENGVSKLPKKYVLPVSDRPNVDKEQPNTAKSSLKLPIIDFAELEGPNRSQVLNSLSSACEEYGFFQVINHDIPIEVIRSMIDVSTRFFGLPYEERAKYMTSDMASPVRYGTSLNQSKDAVFCWRDFLKLVCHPLSDVLPHWPSSPMDFRELAATYAKETKYLFLRITEAILESLGLWGATKEKTPEDDEIVKQFQDGSQLMVVNCFPPCPEPDLTLGMPPHSDYGFLTLLLQDEVEGLQIQYKGKWITVEPRANSFVVNVGDHLEIFSNGRYKSVLHRVFVNPAKPRLSVASLHSLPFNCMVGPSPKLIDEANPRRYKDTDFATFLEYISSCEPKKKNFLESRKLT >EOY10957 pep chromosome:Theobroma_cacao_20110822:5:37216819:37218379:-1 gene:TCM_026226 transcript:EOY10957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLSAIWLDNLMKVTPRKTSKTKVKTRWSKPAEGSLKFMADEASLGCPGDSGVGGILRDEHGNSLILFSNSTGNFDSNRAELLAVKEAVLIYASSRWCSSHPLLLECDNGNVVKWISNPQMVPWRLRKLVI >EOY08194 pep chromosome:Theobroma_cacao_20110822:5:5493463:5498918:-1 gene:TCM_022533 transcript:EOY08194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 12, putative isoform 1 MDQQPPLPSPKAPPSATPTPTPTPTPTPIPTSSTTEPPQQPPPSLPQPPQPPQIQQPIPTPTPPSATPSTSSSPSSNPNPNPIPKPLSSPSPPPQQPPQPKPTVPAPQPRPTTATSTTITTTAFSRPWQQHSSQFTHFSSSSPSVSSSPSPTLSSQPRGSFAIGVPSSHSSPSPPTPSPSQPTSFSGSFGHSFGGGSSSNVSQARQPIQGMGMVGSSIGSSSQMRPGGLSAHHQQRPVQSSLRPPSSTNSQSPATQNFQGHGLMRVSAVGTSGSSTPSTPQTTQSLNQPWLSSGAQGKPPLPPPSYRPQINSPSLQQRSHISQQHHSLPTVSQQQHVSSPQVPQPLPSHQQQEHFGQQFSQSRVPQSLPHQQQVSRAQGSANQKPSSLAMIQPSIVQPLNQNKAAITESDESGGRILSKRSVHDLVNQIDPSEKLDPEVEDILVDIAEDFVDSITTFGCSLAKHRKSDTLEAKDILLHLERNWHMTLPGFCGDEIKTYRKPVKCSLLSIVHTGDVGCAVVCKRNLTVVDLDLQLFLIVALLVMQLTNEIHKERLAAIKKSILVTEATNTKHFGGQAAVNAKGNLGKAAANILGSPNVKIREVT >EOY08192 pep chromosome:Theobroma_cacao_20110822:5:5493376:5498844:-1 gene:TCM_022533 transcript:EOY08192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 12, putative isoform 1 MDQQPPLPSPKAPPSATPTPTPTPTPTPIPTSSTTEPPQQPPPSLPQPPQPPQIQQPIPTPTPPSATPSTSSSPSSNPNPNPIPKPLSSPSPPPQQPPQPKPTVPAPQPRPTTATSTTITTTAFSRPWQQHSSQFTHFSSSSPSVSSSPSPTLSSQPRGSFAIGVPSSHSSPSPPTPSPSQPTSFSGSFGHSFGGGSSSNVSQARQPIQGMGMVGSSIGSSSQMRPGGLSAHHQQRPVQSSLRPPSSTNSQSPATQNFQGHGLMRVSAVGTSGSSTPSTPQTTQSLNQPWLSSGAQGKPPLPPPSYRPQINSPSLQQRSHISQQHHSLPTVSQQQHVSSPQVPQPLPSHQQQEHFGQQFSQSRVPQSLPHQQQVSRAQGSANQKPSSLAMIQPSIVQPLNQNKAAITESDESGGRILSKRSVHDLVNQIDPSEKLDPEVEDILVDIAEDFVDSITTFGCSLAKHRKSDTLEAKDILLHLERNWHMTLPGFCGDEIKTYRKPLTNEIHKERLAAIKKSILVTEATNTKHFGGQAAVNAKGNLGKAAANILGSPNVKIREVT >EOY08193 pep chromosome:Theobroma_cacao_20110822:5:5493463:5498918:-1 gene:TCM_022533 transcript:EOY08193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 12, putative isoform 1 MDQQPPLPSPKAPPSATPTPTPTPTPTPIPTSSTTEPPQQPPPSLPQPPQPPQIQQPIPTPTPPSATPSTSSSPSSNPNPNPIPKPLSSPSPPPQQPPQPKPTVPAPQPRPTTATSTTITTTAFSRPWQQHSSQFTHFSSSSPSVSSSPSPTLSSQPRGSFAIGVPSSHSSPSPPTPSPSQPTSFSGSFGHSFGGGSSSNVSQARQPIQGMGMVGSSIGSSSQMRPGGLSAHHQQRPVQSSLRPPSSTNSQSPATQNFQGHGLMRVSAVGTSGSSTPSTPQTTQSLNQPWLSSGAQGKPPLPPPSYRPQINSPSLQQRSHISQQHHSLPTVSQQQHVSSPQVPQPLPSHQQQEHFGQQFSQSRVPQSLPHQQQVSRAQGSANQKPSSLAMIQPSIVQPLNQNKAAITESDESGGRILSKRSVHDLVNQQIDPSEKLDPEVEDILVDIAEDFVDSITTFGCSLAKHRKSDTLEAKDILLHLERNWHMTLPGFCGDEIKTYRKPLTNEIHKERLAAIKKSILVTEATNTKHFGGQAAVNAKGNLGKAAANILGSPNVKIREVT >EOY08191 pep chromosome:Theobroma_cacao_20110822:5:5494458:5498918:-1 gene:TCM_022533 transcript:EOY08191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 12, putative isoform 1 MDQQPPLPSPKAPPSATPTPTPTPTPTPIPTSSTTEPPQQPPPSLPQPPQPPQIQQPIPTPTPPSATPSTSSSPSSNPNPNPIPKPLSSPSPPPQQPPQPKPTVPAPQPRPTTATSTTITTTAFSRPWQQHSSQFTHFSSSSPSVSSSPSPTLSSQPRGSFAIGVPSSHSSPSPPTPSPSQPTSFSGSFGHSFGGGSSSNVSQARQPIQGMGMVGSSIGSSSQMRPGGLSAHHQQRPVQSSLRPPSSTNSQSPATQNFQGHGLMRVSAVGTSGSSTPSTPQTTQSLNQPWLSSGAQGKPPLPPPSYRPQINSPSLQQRSHISQQHHSLPTVSQQQHVSSPQVPQPLPSHQQQEHFGQQFSQSRVPQSLPHQQQVSRAQGSANQKPSSLAMIQPSIVQPLNQNKAAITESDESGGRILSKRSVHDLVNQIDPSEKLDPEVEDILVDIAEDFVDSITTFGCSLAKHRKSDTLEAKDILLHLERNWHMTLPGFCGDEIKTYRKPLTNEIHKERLAAIKKSILVTEATNTKHFGGQAAVNAKGNLGKAAANILGSPNVKIREVT >EOY09174 pep chromosome:Theobroma_cacao_20110822:5:26861518:26865236:-1 gene:TCM_024571 transcript:EOY09174 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 15 isoform 1 MEVSLLNLKNENSKFVRACHGQNDRWYLMCFLAYFPKSYATQEDVFLGTLTVRETLTYSAQLRLPNTLTKKEMDNIVEDTIHKMGLQDCADRVIGNWHLRGISGGEKRRLSVGVEILTQPHVLFLDEPTSGLDSASAFFVIQVLRNIAHDERIVVCSIHHPSSDVFNLFDDLYLLSGGETVYFGDAQHAVKFFADAGFPCPTRRNPPDHFLGCINSDFDKIIAILTQTRKHFEMMPLSSGSSTNLTTTEIKARLVERYKASDDARNARKKIQEFALIEENCSKSNTSKPGWLEQLRTLTRRSSLNICRDIGYYWLRIVFYILVSLSAGSFFFNMGTSNSAILLRGKFNGFIYGLMIVLSIGGLPFFTEEIKVFRRERFGGHYGEAVFVLSNFLSSLPFVAAISIGSGTILYYMVNLHRGLSHYCYLCINLLCCIAVAETCMLIVTVLVPNLLMAIGASAGLAVLVMMPTGIFRRPLDLPRFFWYYPMYYISYVAWAVEIN >EOY09173 pep chromosome:Theobroma_cacao_20110822:5:26861518:26871882:-1 gene:TCM_024571 transcript:EOY09173 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 15 isoform 1 MDRLRRNGSGDWSELSVGKEERKAAYLVWEDLNVVTANLRNGSPRKLLNGLSGFAEPDRIMAIMGPSGSGKSTLLDALAGRLSSNVIMSGKVVFSGRSRSIGCRDISYATQEDVFLGTLTVRETLTYSAQLRLPNTLTKKEMDNIVEDTIHKMGLQDCADRVIGNWHLRGISGGEKRRLSVGVEILTQPHVLFLDEPTSGLDSASAFFVIQVLRNIAHDERIVVCSIHHPSSDVFNLFDDLYLLSGGETVYFGDAQHAVKFFADAGFPCPTRRNPPDHFLGCINSDFDKIIAILTQTRKHFEMMPLSSGSSTNLTTTEIKARLVERYKASDDARNARKKIQEFALIEENCSKSNTSKPGWLEQLRTLTRRSSLNICRDIGYYWLRIVFYILVSLSAGSFFFNMGTSNSAILLRGKFNGFIYGLMIVLSIGGLPFFTEEIKVFRRERFGGHYGEAVFVLSNFLSSLPFVAAISIGSGTILYYMVNLHRGLSHYCYLCINLLCCIAVAETCMLIVTVLVPNLLMAIGASAGLAVLVMMPTGIFRRPLDLPRFFWYYPMYYISYVAWAVEGQYKNDMIGLEFDPPVPGEPKLKGEMILRNTFGVKLHHSKWWDLAALASLLAVLRILFYTVLRYKERASSILHRFCATTIQHPFRTFHQGSKSSW >EOY08791 pep chromosome:Theobroma_cacao_20110822:5:20313178:20314100:1 gene:TCM_023950 transcript:EOY08791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSYVRFLKNILSKKRKLVSDSRATSEVFMESQPVDPFKVSLISESEPNNEKVIECVNDLNFPSRVLGT >EOY07188 pep chromosome:Theobroma_cacao_20110822:5:801386:802442:1 gene:TCM_021687 transcript:EOY07188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGRYNVTTRRQACVTHCLLSLKLLTVLSRHQLWTKISPFHACSFTQVSGHLSFKPNGQYATMAIGMAGGCAHVCCLFVSA >EOY10330 pep chromosome:Theobroma_cacao_20110822:5:34215942:34220759:1 gene:TCM_025703 transcript:EOY10330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive channel of small conductance-like 10 isoform 1 MEAQSQDKQKPNSDQLVLFMNEPNLKHKESPPQVVDNNLTDPVSQSPAKAKTLRRLNFSKPKARFAENTYPLTPKTIHESEENKPSYPHDDTSSTDSDDEWFENEGEDDEDGNGDAKQAKFRARRRKRKINKRALIEFFLFVTIMTCLICSLTLQSLKHQLTWGLELWKWCLMIMVLFCGRLVSAWVVGFLVFLIERNFMLREKVLYFVYGLRKSFQNCAWLGLVLICWMIMFPDIHKQNIVVKKAFLGLIAVLIGATIWLLKIVLVKVLASSFHVATFFDRMQECVFHHYILDALSGPPLDEAERELPQKRGLRHAKTMPARLREGGGGTVRTLSKKGSRRIDMEKLRKLSLESRASAWSVKRLVNYVKSSGLSTISRTVDDFGAGESEINSEWEARTCAQKIFKNVAKPGAKYIDEEDLLRFLISEEVHTIVPLFEGALETGKISKSSFRNWVVQMIVEEMNILTTVFLRYDMEKIYYPNSVLITKPISNFRRSPDMGDSVDFTIDFSTPAEDINALKKAIQLYIESKPKYWSPKHTVIFKAIENMDKMKLVLCVQHTMNHQNYGEKSARRSELVFELKKIFETLNIKYHLLPQEVHLTQVNIPNGRMVL >EOY10329 pep chromosome:Theobroma_cacao_20110822:5:34215545:34221085:1 gene:TCM_025703 transcript:EOY10329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive channel of small conductance-like 10 isoform 1 MEAQSQDKQKPNSDQLVLFMNEPNLKHKESPPQVVDNNLTDPVSQSPAKAKTLRRLNFSKPKARFAENTYPLTPKTIHESEENKPSYPHDDTSSTDSDDEWFENEGEDDEDGNGDAKQAKFRARRRKRKINKRALIEFFLFVTIMTCLICSLTLQSLKHQLTWGLELWKWCLMIMVLFCGRLVSAWVVGFLVFLIERNFMLREKVLYFVYGLRKSFQNCAWLGLVLICWMIMFPDIHKQNIVVKKAFLGLIAVLIGATIWLLKIVLVKVLASSFHVATFFDRMQECVFHHYILDALSGPPLDEAERELPQKRGLRHAKTMPARLREGGGGTVRTLSKKGSRRIDMEKLRKLSLESRASAWSVKRLVNYVKSSGLSTISRTVDDFGAGESEINSEWEARTCAQKIFKNVAKPGAKYIDEEDLLRFLISEEVHTIVPLFEGALETGKISKSSFRNWVVHAYVERKALAHSLNDTKTAVQQLHRLASAIVSVIIIVVSLLVMGVATIKVVFVVTSQLLLVGFMFQNTCKTIFESIIFVFVMHPFDVGDRCVIDDVQMIVEEMNILTTVFLRYDMEKIYYPNSVLITKPISNFRRSPDMGDSVDFTIDFSTPAEDINALKKAIQLYIESKPKYWSPKHTVIFKAIENMDKMKLVLCVQHTMNHQNYGEKSARRSELVFELKKIFETLNIKYHLLPQEVHLTQVNIPNGRMVL >EOY07217 pep chromosome:Theobroma_cacao_20110822:5:857874:861676:1 gene:TCM_021701 transcript:EOY07217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar cation/proton exchanger 3 MASLQEPWLLENGNLKGSSKEIRHGRTAHNLSSSSLRKKSDLTLVSKIRYGMLRQFLTNLQEVILGTKLSVLFPAIPLAVVAESYGFGRPWVFALSLLGLTPLAERVSFLTEQIAYYTGPTVGGLLNATCGNATELIIAVFALSQYKIDVVKYSLLGSVLSNLLLVLGTSLFCGGIANLRKEQKYDRRQADVNSLLLLLALLCHSLPLLFRMLGASDAVSTADSTLHLSRASSIVMLIAYLSYLIFQLFTHRPLFEAQEESDDDENGISEEAPVIGFWSGFIWLFGMTGVISLLSEYVVETIEDASNSWGISVSFISIILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQISMFVVPLCVIVAWTMGIKMDLNFNLLETGSLALSIITVAFALQDGTSHYMKGLVLLLLYIVIGACFFVFKTPLNQVNFTNSGIKTPTETIFRA >EOY08663 pep chromosome:Theobroma_cacao_20110822:5:16983170:16986110:-1 gene:TCM_023649 transcript:EOY08663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRRGGSLDTSHSDSEGSLDSTARSKWHPDTGDSEGGLSRISDNRIPKSLSEWVHNKGSFKSSENFESESSSNTPKIEQDFLRNNLESTLENGRGKKLQGDIFILGRA >EOY11078 pep chromosome:Theobroma_cacao_20110822:5:37832297:37836614:1 gene:TCM_026351 transcript:EOY11078 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose 3,5-epimerase 1 MVALSLFIERRALQASQSIPIRLLSQVSTLSRSLHLSLLFKSSQLLTRFRMGSADGTNYGAFTYEALEREPYWPSEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLADLRVMDNCLKVTNGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAITSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDKKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQIEKEKSQGIDLTIYGSSKVVGTQAPVQLGSLRAADGKE >EOY08693 pep chromosome:Theobroma_cacao_20110822:5:17996386:17999603:1 gene:TCM_023746 transcript:EOY08693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGINVCPALYSVIYRVITDRMKSLINFATLVLNGKCLRECQPPLTLVLWTVTIREQKIQATQMLSL >EOY09254 pep chromosome:Theobroma_cacao_20110822:5:27650161:27717723:-1 gene:TCM_024665 transcript:EOY09254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein MAHVTEIDEEIVKPRTDKREYRRIVLGNSLQVLLICDPDTDKSAACMYVSIGHLSDPDGCEGLAHLLMRMLPYSSEKYPWEDSFSEYISEHGGYTNSVLYAEWTSYFFDISNDCFEEALERFSQFFIKPLMSAEAATREITTIESAYQNDLLSDGQRMYQLQKHLNRESHPFHRCGAGNWYTLNVKPKAKGLDIRHELLKFYEANYSANLMHLVVYTKESLDKVQSLVENKFQDIRNSNLFGFQSTGLPFTSEHRSVLVKAVPIKERHKLTVAWPTTPSWHHYKEGPCDYLGLVIGHKGEGSVYYILQKLGWATKLIAGEGKWTLEFSFFGVTIDLTDAGHEHMQDIVGLLFKYIQLLNETSVCKRIFEELSVVRETTFHYQDKIQPIDYVVRIASNMKKYPPKDWLVGSSLPSNFDPDLVQMFLREFSPENVRIFWESKKFKGDTEKVEPWYGTAYSVEKITTLMIQKWMSSAPSDNLQLPAPNKFIPTNLSLKVAQEKVKFPVLLRKSSYSKLWYKQDTMFSVPKAFVKIEFNCPHVRKSPRAEVLGNIFVQLLRDYLNEHAYYAEIAGLDYSISRTDRGFEVTVFGYNDKLNTLLETVVDKIANFEAKPDKFSITKEMTTKGINNFKYENPFQLAKEYHSLILRDIRWPWKEKLDVLPRLEVEDLVKFTPIMFSRVLLECFVAGNMERDEAISIIQHVESIFFGGSNPKCQPLFPSLHLTNEVVKFGRGVSYLYSIQGLNPSNENSALLHYIQVHRDDFILNVKLQLFCLIAKEPAYHQLSSIEQLGYINDFYRSIDFGIHGVVFLIQSTVKNPRDIDSRVEAFLEMFENKINEMTNDEFTRNVNALIDIKLEKHKNLREESEFYWREIINGTLKFDRREAEVEALRQVTQQEFIDFFNEYIKVGSHRKKTLSVRVYGKKHLSEYRSEKSEPLQLHSLRIDDILSFRRSQPHYGSFKGSFSNMKL >EOY11317 pep chromosome:Theobroma_cacao_20110822:5:38943990:38961923:-1 gene:TCM_026544 transcript:EOY11317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase 1 MILGSVLNAAGNAVKEITRKKKTIKGTVILMKNNAFGFNNLVSGTADRVLEIVGQKVTLQLVSAEHADPVNGNGGKLGKQAALENWNLKITSPMAGDSRYKVYFEWDEEFGTPGAIIVRNNHSAEFYLKTITLEDVPGKGRIHFLCNSWVYPDRQYKKPRVFFANKTYLPHETPAPLRKYREEELKVLRGDGKGERKKGDRVYDYAVYNDLGNPDSSSELARPVLGGSAEYPYPRRGRTGRPPSRSDAETESRVFIPGTFTTYVPRDEQYGHLKMSDFIAFNLKALVRNNIPAFEIKFFSNEFNSFKEVDNLYFNGIPLPTEALNKLTSNIPLPMIKEMFRTDGERLLKFPVPQVIKDRSRPTAWRTDEEFAREMLAGVNPLLICLLREFPPASKLDPEQYGNQNSSITKEHIEHNLDGLSVEEALRKNKLFILDHHDTVIPYLRKINTTSTKTHASRTLLFLRHDETLKPVAIELSLPNPAGDKYGIISKVYTPAEHGVEGSIWQFAKTFVAVNDCGHHQIISHWLNTHAVLEPFIIATNRQLSVVHPIYKLLHPHFRDTMTINALAREILINAGGIIEETFYPVKYSMLMSSEIYKSWNFLDQALPNDLKKRGIVEDDANSLHGLRLLIEDYPYAVDGLKIWFAIEKWVRDYCSFYYKTDEMVQQDAEVQAWWKELREVGHGDKKDEPWWPKMQTREELIESCTIIIWIASALHAAVNFGQYAYGGYSPNRPTLSRRFMPEKGTPEYAELEKNPEKAFFRTITSQLQTLSGMSVIEVLSKHPSDEVYLGNRTPEWTTDVMPLAAFEDFHNRLVQIEEEMKNMNTDEKLKNRVGPVNVPYTLLYPNGEVGLSGKGIPNSISI >EOY10060 pep chromosome:Theobroma_cacao_20110822:5:32800827:32807485:1 gene:TCM_025449 transcript:EOY10060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLSLSLSKRHKETTPTADTPAAKAARPIRIKDKLVKVAESLTSKIAERDAVAQDRANPDRVSLAVTLGPGRAAPAPATTLAPGRATPTLVPGRAAPTLAPGRATRTPALDRAAPNLAPGQATRTPAPDRAAPTLAPGRATRTPAPDRAAPTLAPGRATRTPAPDRVAPTPVPGRATLTPAPDRAVPTPAPGRAAPTPALGRHHPAPGPRSLVLGSHPSHPTPGSLPGRAHTSPVPGLRRATRIYGHAASAPSRRILRH >EOY08015 pep chromosome:Theobroma_cacao_20110822:5:3980156:3982250:1 gene:TCM_022340 transcript:EOY08015 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 73D1 MASIAKQLHFVLIPLMAQGHMIPMVDIARLLAERGVIVSLITTPHNAFRFDEVIQRASESGLQIRLVKIPFPCQEVGLPTGCENLDTLSSRDLLKKFYNALGMLQEPLEQFLKQQKPLPSCIISDKCLSWTSKTAQRFNIPRIVFHGMGCFSLLSSHNVKLHKAHLSVASDSEPFVVPGLPQKVEITRAQLPGAFVSLPDLDDVRNKMQEAEMTAYGVVINSFNELEHGCVEAYQKAIKKKVWAIGPVSLCNRTNLDKFERGNKASINEEKCLKWLDSMKPRSVIYACLGSLCRLVPAQLIELGLGLEASKQPFIWVVKTGDQRANDELEKWLSEHNFEERIKGRGLLIKGWGPQLLILSHPAIRGFLTHCGWNSTIEAVCSGVPMITWPQFSEQFFNEKLIVEILKIGLRVGVEVPVRWGEEEKLGVLVKKEQVEKAIDMLMNGGEEGEKRRTRARELEAMARTAVGNGGSSYLNMTVLVQDILEKINQ >EOY10166 pep chromosome:Theobroma_cacao_20110822:5:33323647:33326951:-1 gene:TCM_025544 transcript:EOY10166 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MAETFAFNIVEKLIGKLATVAYQEISLAWGVQTDFQRLNDILTIVKDVLLDAEENQAKNNQLRNWSTLEDRIKWDELKQLLVQGAKGSKIVVTTRSNRVAEIMGTISTHNLRGLPEKESLCLFLQFASKKGEINQYRNLVKIAKEIVRKCNGVPLVLKTLGSLLLSKTSEDDWEFVRDSEMWKLVQEEKSIFPILKLSYDQLPPYLKPCFAYLSVFPKDYEFDCMELTHFWMAHDLLHSSNENEDAEDIAKRYLNDPSSRSIFQDFEQNIFFQSFKMHDLLHDLALLVAKNECSTVTCFKQIIGPGIRHLCLDNFDFLEEQSSGFLDVDKICHLRTFCLKNMKEGSNSESFIQKCLSRFQNLRVLDLEGSSFEVLPKKVGSLKHLRYLDLHGNSKIKKLPNFMCKLPCLQALYLGCEGIEELPKNMRYMINLRVLVISTKQRSLSKNGLENLKSLRHLMIANCKNLEYLFDGIQNLRSLHVLIINGCKNLISLPQDFEALIALKVLVILECEKLHLNMTLGSEGRGKEDDSQDYHIGSRLRLQELAVGGVPKLEVLLQWLLVESANTLRFLALAECENLTKFPERQNLTSLERLWIKNCPNLSSLPERMQCLKELEIERCPILSERYKPENGEDWAKIFHASRIRIDGNEITSNK >EOY09380 pep chromosome:Theobroma_cacao_20110822:5:28866894:28867790:-1 gene:TCM_024815 transcript:EOY09380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAWFGTSAAHILLAMFVVALFYVSGIMAQDIAPSPAMDTGAGISLPVTGVLVCSSILASLIALLLQ >EOY10826 pep chromosome:Theobroma_cacao_20110822:5:36701590:36703893:1 gene:TCM_026129 transcript:EOY10826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter 1,2 MASLTCTASDLVPLLSSSSSTNATALASFLCTRFSTISDQLSDATHAIDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALSYYLFGFAFAFGSPSNGFIGRHFFGLKTYPSPSGDYSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWFWSGDGWASATGSENLLFGSGVIDFAGSGVVHMVGGIAGLWGALIEGPRLGRFDRAGRSVALRGHSASLVVLGTFLLWFGWYGFNPGSFLTIAKGYGGGGGYYGQWSAIGRTAVTTTMAGCTAALTTLFSKRLLVGHWNVIDVCNGLLGGFAAITSGCSVVEPWAAIICGFVAAWVLIGFNILASKLKYDDPLEAAQLHGGCGAWGLLFTGLFATEAYVNEVYPGRPGRPYGLFMGGGGKLLGAQLIQILVIAGWVTATMGPLFYVLHKMKLLRISENDETAGMDLTRHGGFAYAYHDEEDLSLTPGFMMTKIEPTNGSPSEGQTSPSNV >EOY11171 pep chromosome:Theobroma_cacao_20110822:5:38220873:38224950:-1 gene:TCM_026431 transcript:EOY11171 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MSASWKDGWDDECSVIGDKGEIGFIDFADDKSVQSYGLDEKGPVVISVPFPFTQKPQSILVGQTSKWPITLENTTSEPVELWGVRIFCSNPADSFTLSLMEPPSANSNFEHQQRFLEGYSLEDRVLQPHQTLTIWLSCKPKEMGLHTTVVHFDVDDNRIERVVFLLAEDNVSQSLASAVPYRRAPRRKQFAVDEYVVSSRPARTTSRGYKSKLSEYPIPKNLREIIENKHVPDVIAEGLTKENYAAFFSTLLVMEELHLEEEMRSHSMECVMMRRKGPQFVALEVPGLAERRPSLVHGDFVFAKIASDNSDHSVYQGYIYRVEADEVLLKFADKFHTLHWDGNLYNVQFTYNRVNMRRLYQAVEAAETLQDNILFPSQSTKRTLVKTAPFVPCSCTLNVEQMHSVEMILACKGAPPYVIYGPPGTGKTMTLVEAILQLYTRRKNSRILVCAASNSAADHILERLISNKNVEVKESEIFRLNATSRAYEDVPPDYIRFCYFEASLFKCPPQGALKRYRIIISTYMSSSLLYAEGVSRGHFSHIFLDEAGQASEPESMIPIANLYRKETVVVLAGDPKQLGPVIFSKDAEAFGLGKSYLERLFECESYYNEDDNFVTKLVRNYRCHPAILDLPSRLFYKGELIACKEDDSFSITSKVDLFPNKEFPVLFFGIQGCDEREGNNPSWFNRIEVSKVVDIINKLRASTDLNEADIGVIAPYRQQVLKIKTVLETWDLPDVKVGSVEQFQGQEREVIIVSTVRSTVKHNEFDRTHCLGFLSNPKRFNVAITRARSLLIIVGNPHIVCKDPYWEKLLWHCSGNSSYQGCPPPEMQNHEYGESFSGTGLNNEEEDPCSKVEAWNCNFEAEAVPKIPKPVTEEAEGSDGWK >EOY11170 pep chromosome:Theobroma_cacao_20110822:5:38221158:38224950:-1 gene:TCM_026431 transcript:EOY11170 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MSASWKDGWDDECSVIGDKGEIGFIDFADDKSVQSYGLDEKGPVVISVPFPFTQKPQSILVGQTSKWPITLENTTSEPVELWGVRIFCSNPADSFTLSLMEPPSANSNFEHQQRFLEGYSLEDRVLQPHQTLTIWLSCKPKEMGLHTTVVHFDVDDNRIERVVFLLAEDNVSQSLASAVPYRRAPRRKQFAVDEYVVSSRPARTTSRGYKSKLSEYPIPKNLREIIENKHVPDVIAEGLTKENYAAFFSTLLVMEELHLEEEMRSHSMECVMMRRKGPQFVALEVPGLAERRPSLVHGDFVFAKIASDNSDHSVYQGYIYRVEADEVLLKFADKFHTLHWDGNLYNVQFTYNRVNMRRLYQAVEAAETLQDNILFPSQSTKRTLVKTAPFVPCSCTLNVEQMHSVEMILACKGAPPYVIYGPPGTGKTMTLVEAILQLYTRRKNSRILVCAASNSAADHILERLISNKNVEVKESEIFRLNATSRAYEDVPPDYIRFCYFEASLFKCPPQGALKRYRIIISTYMSSSLLYAEGVSRGHFSHIFLDEAGQASEPESMIPIANLYRKETVVVLAGDPKQLGPVIFSKDAEAFGLGKSYLERLFECESYYNEDDNFVTKLVRNYRCHPAILDLPSRLFYKGELIACKEDDSFSITSKVDLFPNKEFPVLFFGIQGCDEREGNNPSWFNRIEVSKVVDIINKLRASTDLNEADIGVIAPYRQQVLKIKTVLETWDLPDVKVGSVEQFQGQEREVIIVSTVRSTVKHNEFDRTHCLGFLSNPKRFNVAITRARSLLIIVGNPHIVCKVFLLGY >EOY11173 pep chromosome:Theobroma_cacao_20110822:5:38220998:38224950:-1 gene:TCM_026431 transcript:EOY11173 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MSASWKDGWDDECSVIGDKGEIGFIDFADDKSVQSYGLDEKGPVVISVPFPFTQKPQSILVGQTSKWPITLENTTSEPVELWGVRIFCSNPADSFTLSLMEPPSANSNFEHQQRFLEGYSLEDRVLQPHQTLTIWLSCKPKEMGLHTTVVHFDVDDNRIERVVFLLAEDNVSQSLASAVPYRRAPRRKQFAVDEYVVSSRPARTTSRGYKSKLSEYPIPKNLREIIENKHVPDVIAEGLTKENYAAFFSTLLVMEELHLEEEMRSHSMECVMMRRKGPQFVALEVPGLAERRPSLVHGDFVFAKIASDNSDHSVYQGYIYRVEADEVLLKFADKFHTLHWDGNLYNVQFTYNRVNMRRLYQAVEAAETLQDNILFPSQSTKRTLVKTAPFVPCSCTLNVEQMHSVEMILACKGAPPYVIYGPPGTGKTMTLVEAILQLYTRRKNSRILVCAASNSAADHILERLISNKNVEVKESEIFRLNATSRAYEDVPPDYIRFCYFEASLFKCPPQGALKRYRIIISTYMSSSLLYAEGVSRGHFSHIFLDEAGQASEPESMIPIANLYRKETVVVLAGDPKQLGPVIFSKDAEAFGLGKSYLERLFECESYYNEDDNFVTKLVRNYRCHPAILDLPSRLFYKGELIACKEDDSFSITSKVDLFPNKEFPVLFFGIQGCDEREGNNPSWFNRIEVSKVVDIINKLRASTDLNEADIGVIAPYRQQVLKIKTVLETWDLPDVKVGSVEQFQGQEREVIIVSTVRSTVKHNEFDRTHCLGFLSNPKRFNVAITRARSLLIIVGNPHIVCKVLILICRTLIGRSCCGIVLETAPTRAAPHPKCRTMSMENPFLALA >EOY11169 pep chromosome:Theobroma_cacao_20110822:5:38220099:38226158:-1 gene:TCM_026431 transcript:EOY11169 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MSASWKDGWDDECSVIGDKGEIGFIDFADDKSVQSYGLDEKGPVVISVPFPFTQKPQSILVGQTSKWPITLENTTSEPVELWGVRIFCSNPADSFTLSLMEPPSANSNFEHQQRFLEGYSLEDRVLQPHQTLTIWLSCKPKEMGLHTTVVHFDVDDNRIERVVFLLAEDNVSQSLASAVPYRRAPRRKQFAVDEYVVSSRPARTTSRGYKSKLSEYPIPKNLREIIENKHVPDVIAEGLTKENYAAFFSTLLVMEELHLEEEMRSHSMECVMMRRKGPQFVALEVPGLAERRPSLVHGDFVFAKIASDNSDHSVYQGYIYRVEADEVLLKFADKFHTLHWDGNLYNVQFTYNRVNMRRLYQAVEAAETLQDNILFPSQSTKRTLVKTAPFVPCSCTLNVEQMHSVEMILACKGAPPYVIYGPPGTEGVSRGHFSHIFLDEAGQASEPESMIPIANLYRKETVVVLAGDPKQLGPVIFSKDAEAFGLGKSYLERLFECESYYNEDDNFVTKLVRNYRCHPAILDLPSRLFYKGELIACKEDDSFSITSKVDLFPNKEFPVLFFGIQGCDEREGNNPSWFNRIEVSKVVDIINKLRASTDLNEADIGVIAPYRQQVLKIKTVLETWDLPDVKVGSVEQFQGQEREVIIVSTVRSTVKHNEFDRTHCLGFLSNPKRFNVAITRARSLLIIVGNPHIVCKDPYWEKLLWHCSGNSSYQGCPPPEMQNHEYGESFSGTGLNNEEEDPCSKVEAWNCNFEAEAVPKIPKPVTEEAEGSDGWK >EOY11168 pep chromosome:Theobroma_cacao_20110822:5:38220873:38224950:-1 gene:TCM_026431 transcript:EOY11168 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MSASWKDGWDDECSVIGDKGEIGFIDFADDKSVQSYGLDEKGPVVISVPFPFTQKPQSILVGQTSKWPITLENTTSEPVELWGVRIFCSNPADSFTLSLMEPPSANSNFEHQQRFLEGYSLEDRVLQPHQTLTIWLSCKPKEMGLHTTVVHFDVDDNRIERVVFLLAEDNVSQSLASAVPYRRAPRRKQFAVDEYVVSSRPARTTSRGYKSKLSEYPIPKNLREIIENKHVPDVIAEGLTKENYAAFFSTLLVMEELHLEEEMRSHSMECVMMRRKGPQFVALEVPGLAERRPSLVHGDFVFAKIASDNSDHSVYQGYIYRVEADEVLLKFADKFHTLHWDGNLYNVQFTYNRVNMRRLYQAVEAAETLQDNILFPSQSTKRTLVKTAPFVPCSCTLNVEQMHSVEMILACKGAPPYVIYGPPGTGKTMTLVEAILQLYTRRKNSRILVCAASNSAADHILERLISNKNVEVKESEIFRLNATSRAYEDVPPDYIRFCYFEASLFKCPPQGALKRYRIIISTYMSSSLLYAEGVSRGHFSHIFLDEAGQASEPESMIPIANLYRKETVVVLAGDPKQLGPVIFSKDAEAFGLGKSYLERLFECESYYNEDDNFVTKLVRNYRCHPAILDLPSRLFYKGELIACKEDDSFSITSKVDLFPNKEFPVLFFGIQGCDEREGNNPSWFNRIEVSKVVDIINKLRASTDLNEADIGVIAPYRQQVLKIKTVLETWDLPDVKVGSVEQFQGQEREVIIVSTVRSTVKHNEFDRTHCLGFLSNPKRFNVAITRARSLLIIVGNPHIVCKDPYWEKLLWHCSGNSSYQGCPPPEMQNHEYGESFSGTGLNNEEEDPCSKVEAWNCNFEAEAVPKIPKPVTEEAEGSDGWK >EOY11172 pep chromosome:Theobroma_cacao_20110822:5:38220095:38226154:-1 gene:TCM_026431 transcript:EOY11172 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MSASWKDGWDDECSVIGDKGEIGFIDFADDKSVQSYGLDEKGPVVISVPFPFTQKPQSILVGQTSKWPITLENTTSEPVELWGVRIFCSNPADSFTLSLMEPPSANSNFEHQQRFLEGYSLEDRVLQPHQTLTIWLSCKPKEMGLHTTVVHFDVDDNRIERVVFLLAEDNVSQSLASAVPYRRAPRRKQFAVDEYVVSSRPARTTSRGYKSKLSEYPIPKNLREIIENKHVPDVIAEGLTKENYAAFFSTLLVMEELHLEEEMRSHSMECVMMRRKGPQFVALEVPGLAERRPSLVHGDFVFAKIASDNSDHSVYQGYIYRVEADEVLLKFADKFHTLHWDGNLYNVQFTYNRVNMRRLYQAVEAAETLQDNILFPSQSTKRTLVKTAPFVPCSCTLNVEQMHSVEMILACKGAPPYVIYGPPGTEGVSRGHFSHIFLDEAGQASEPESMIPIANLYRKETVVVLAGDPKQLGPVIFSKDAEAFGLGKSYLERLFECESYYNEDDNFVTKLVRNYRCHPAILDLPSRLFYKGELIACKEDDSFSITSKVDLFPNKEFPVLFFGIQGCDEREGNNPSWFNRIEVSKVVDIINKLRASTDLNEADIGVIAPYRQQVLKIKTVLETWDLPDVKVGSVEQFQGQEREVIIVSTVRSTVKHNEFDRTHCLGFLSNPKRFNVAITRARSLLIIVGNPHIVCKDPYWEKLLWHCSGNSSYQGCPPPEMQNHEYGESFSGTGLNNEEEDPCSKVEAWNCNFEAEAVPKIPKPVTEEAEGSDGWK >EOY11804 pep chromosome:Theobroma_cacao_20110822:5:40368205:40372141:-1 gene:TCM_026863 transcript:EOY11804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTSEAERQISQVSGGVADDDDDQDTGGGASHSRRIDFDGCACKRDFIEEKVQQVVLFPFAKSKKKKQTKTRAKLSSFSSSGKRVDAGGGVCSGFCCTRPRTLESPADSKRSDPNDPTFTYEMLKALIESNHFYCTWMLYLAVNVKAVRTEQANLMDGII >EOY10738 pep chromosome:Theobroma_cacao_20110822:5:36183896:36188102:-1 gene:TCM_026037 transcript:EOY10738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-photochemical quenching 1 isoform 2 MAQAARSLCFSHDKSVQVPCRTSGLTSNERFHRRQIAHFHGIMLVKIQSSGRKARYSQLNKSNPNYSASDLRCSNQLSRRKDRNFSSCSCNRRRPKAEEAFAFLVPTISNVLKEWSQSKIVKVVGLLACAYLVIPSASAVDALKTCTCLLKECRIELAKCIANPSCAANIACLQTCNDRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPQKSDIGEFPVPSPAVLVKNFNIADFSGKWFISSGLNPTFDTFDCQLHEFHTEAGKLVGNLSWRIGTPDGGFFTRSTLQRFVQDPNYPGILYNHDNEYLHYQDDWVGMMHGMD >EOY10737 pep chromosome:Theobroma_cacao_20110822:5:36183119:36188111:-1 gene:TCM_026037 transcript:EOY10737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-photochemical quenching 1 isoform 2 MAQAARSLCFSHDKSVQVPCRTSGLTSNERFHRRQIAHFHGIMLVKIQSSGRKARYSQLNKSNPNYSASDLRCSNQLSRRKDRNFSSCSCNRRRPKAEEAFAFLVPTISNVLKEWSQSKIVKVVGLLACAYLVIPSASAVDALKTCTCLLKECRIELAKCIANPSCAANIACLQTCNDRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPQKSDIGEFPVPSPAVLVKNFNIADFSGKWFISSGLNPTFDTFDCQLHEFHTEAGKLVGNLSWRIGTPDGGFFTRSTLQRFVQDPNYPGILYNHDNEYLHYQDDWYIISSKIENKQDDYIFVYYQGRNDAWDGYGGAVVYTRSAVLPESIVPELEKAAKNVGRDFNKFIRTDNTCGPEPPLVERLEKKVEEGEQTLIREVKEIEGEVEKEVKRVEKTEMTLFQKLAEGFKELQQDEENFLRGLSKEEMGLLNELKMEASEVEKLFGEALPLRKLR >EOY07440 pep chromosome:Theobroma_cacao_20110822:5:1564729:1568650:-1 gene:TCM_021873 transcript:EOY07440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein isoform 2 MNGNETEGVKMEECKEMAVYMWGYLPGASPEKSPMLSPVPVRLPTSASSWKDVCGGGCGFAMAISDCGKLITWGSADDEGQSYLTSGKHGETPEPFALPTEASIVKAGAGWAHCVSVTDKGEGYTWGWKECVPSGKITHDWVIGGSFEKDIVGKQTTLQTEQVSPKSRGSNVSGGTVSQTENRKAGEETAKRRRISAAKEEHESLTSGVEFFTVSPCLVTLGPGVKITSVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRIKMVSSPHLIPCIEQTTSGKDRSQAVHQGSLSSQMQLSKAYGSYVKEITCGGRHSAVITDAGALLTFGWGLYGQCGQGSTSDLLKPTCVSSFSGIQVLGVAAGLWHTVCIAVDGQVYAFGGNQFGQLGTGAEQAEVYLNAVIYFTLVIYSSRGQWKQEVYMSLPKC >EOY07439 pep chromosome:Theobroma_cacao_20110822:5:1563990:1568691:-1 gene:TCM_021873 transcript:EOY07439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein isoform 2 MNGNETEGVKMEECKEMAVYMWGYLPGASPEKSPMLSPVPVRLPTSASSWKDVCGGGCGFAMAISDCGKLITWGSADDEGQSYLTSGKHGETPEPFALPTEASIVKAGAGWAHCVSVTDKGEGYTWGWKECVPSGKITHDWVIGGSFEKDIVGKQTTLQTEQVSPKSRGSNVSGGTVSQTENRKAGEETAKRRRISAAKEEHESLTSGVEFFTVSPCLVTLGPGVKITSVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRIKMVSSPHLIPCIEQTTSGKDRSQAVHQGSLSSQMQLSKAYGSYVKEITCGGRHSAVITDAGALLTFGWGLYGQCGQGSTSDLLKPTCVSSFSGIQVLGVAAGLWHTVCIAVDGQVYAFGGNQFGQLGTGAEQAETSPRQLDAASLEGKHAKMVSCGARHSAILTDDGRVLSWGWNKYGQLGLGDTIDRNTPAPVPIDGCLPKNVACGWWHTLLLAETPIWAPNS >EOY07441 pep chromosome:Theobroma_cacao_20110822:5:1564466:1568651:-1 gene:TCM_021873 transcript:EOY07441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein isoform 2 MNGNETEGVKMEECKEMAVYMWGYLPGASPEKSPMLSPVPVRLPTSASSWKDVCGGGCGFAMAISDCGKLITWGSADDEGQSYLTSGKHGETPEPFALPTEASIVKAGAGWAHCVSVTDMGQVWGWGYGGEGQLGLGSRIKMVSSPHLIPCIEQTTSGKDRSQAVHQGSLSSQMQLSKAYGSYVKEITCGGRHSAVITDAGALLTFGWGLYGQCGQGSTSDLLKPTCVSSFSGIQVLGVAAGLWHTVCIAVDGQVYAFGGNQFGQLGTGAEQAETSPRQLDAASLEGKHAKMVSCGARHSAILTDDGRVLSWGWNKYGQLGLGDTIDRNTPAPVPIDGCLPKNVACGWWHTLLLAETPIWAPNS >EOY07781 pep chromosome:Theobroma_cacao_20110822:5:2669387:2671210:1 gene:TCM_022109 transcript:EOY07781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLSAKPISSPGRAEKYPPPLMRFLRSNVGSRSRGRSRSSPMFVRKKNTAIETQEPTSPKVTCMGQVRVKRSKQAGTKPGRHGAPTRRRSLCNWIRNALFCRHFAGKVKAKPSCRPTWKKWGAFFQMGSCRKPKTREDSSKFGNKIEDFVEGNEEEEEESEKNEKEAKIFVSSSSSSPPKNALILTRCRSAPYRSSSLACRFWESPLANQETNEEETEETEEEKRENRGFQKEEAEVPTSEKVSIYRNSDHGSQMDSEKLEKSGFCKEFEEGKTEKPENNEELKTEQFGNVRPLILTRCKSEPARTAERLDPEMSFWKKRRLGFT >EOY09336 pep chromosome:Theobroma_cacao_20110822:5:28369820:28376926:-1 gene:TCM_024757 transcript:EOY09336 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein, putative isoform 4 MAMEGETKKKSSPISLQQFISTMTPLIDLEKEAEISASISSGASRNLDTAQKRGSTILNLKCVDAQTGLMGKSLLEFQSTKGDVLPAHKLGTHDVVVLKPNKADIGSPALGQGVVYRLKDSSITVAFDDVPEDGLNSPLRLEKVANEVTYRRMKDALIQLSKAVLKGPAADLVPVLFGERLPSVSKKGVTFTPFNSSLDHSQKDAILKALSSKDVFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERLAPHRVKLVRLGHPARLLPQVLDSALDVLVLRGDNSSLANDIRKEMKALNGKLLKTKDRSTRRDIQKELRTLSKEERKRQQLAVTDVIKNADVILTTLTGAFSRKLDNTTFDLVIIDEAAQALEIACWMALLKGSRCILAGDHLQLPPTIQSVEAERKGLGRTLFERLADLYGDKVTSMLTVQYRMHELIMNWSSKELYNSKIEAHPSVAAHMLFDLENVKRSSSTEPTLLLIDIAGCDMEEKKDEEESTLNEGEAEVAISHAKRLVQTGVHASDIGIITPYAAQVVLLKTLKSNDDKLKDMEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDCRRMNVAVTRARRQCCLVCDTETVSSDGFLKRLVEYFEEHGEYLSASEYCNE >EOY09335 pep chromosome:Theobroma_cacao_20110822:5:28369403:28377367:-1 gene:TCM_024757 transcript:EOY09335 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein, putative isoform 4 MAMEGETKKKSSPISLQQFISTMTPLIDLEKEAEISASISSGASRNLDTAQKRGSTILNLKCVDAQTGLMGKSLLEFQSTKGDVLPAHKLGTHDVVVLKPNKADIGSPALGQGVVYRLKDSSITVAFDDVPEDGLNSPLRLEKVANEVTYRRMKDALIQLSKAVLKGPAADLVPVLFGERLPSVSKKGVTFTPFNSSLDHSQKDAILKALSSKDVFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERLAPHRVKLVRLGHPARLLPQVLDSALDVLVLRGDNSSLANDIRKEMKALNGKLLKTKDRSTRRDIQKELRTLSKEERKRQQLAVTDVIKNADVILTTLTGAFSRKLDNTTFDLVIIDEAAQALEIACWMALLKGSRCILAGDHLQLPPTIQSVEAERKGLGRTLFERLADLYGDKVTSMLTVQYRMHELIMNWSSKELYNSKIEAHPSVAAHMLFDLENVKRSSSTEPTLLLIDIAGCDMEEKKDEEESTLNEGEAEVAISHAKRLVQTGVHASDIGIITPYAAQVVLLKTLKSNDDKLKDMEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDCRRMNVAVTRARRQCCLVCDTETVSSDGFLKRLVEYFEEHGEYLSASEYCNE >EOY09338 pep chromosome:Theobroma_cacao_20110822:5:28368868:28377045:-1 gene:TCM_024757 transcript:EOY09338 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein, putative isoform 4 MAMEGETKKKSSPISLQQFISTMTPLIDLEKEAEISASISSGASRNLDTAQKRGSTILNLKCVDAQTGLMGKSLLEFQSTKGDVLPAHKLGTHDVVVLKPNKADIGSPALGQGVVYRLKDSSITVAFDDVPEDGLNSPLRLEKVANEVTYRRMKDALIQLSKAVLKGPAADLVPVLFGERLPSVSKKGVTFTPFNSSLDHSQKDAILKALSSKDVFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERLAPHRVKLVRLGHPARLLPQVLDSALDVLVLRGDNSSLANDIRKEMKALNGKLLKTKDRSTRRDIQKELRTLSKEERKRQQLAVTDVIKNADVILTTLTGAFSRKLDNTTFDLVIIDEAAQALEIACWMALLKGSRCILAGDHLQLPPTIQSVEAERKGLGRTLFERLADLYGDKVTSMLTVQYRMHELIMNWSSKELYNSKIEAHPSVAAHMLFDLENVKRSSSTEPTLLLIDIAGCDMEEKKDEEESTLNEGEAEVAISHAKRLVQTGVHASDIGIITPYAAQVVLLKTLKSNDDKLKDMEISTVDGFQGREKEAIIISMVRSNSKKEVFQSVGFGSKWKMT >EOY09337 pep chromosome:Theobroma_cacao_20110822:5:28368953:28377367:-1 gene:TCM_024757 transcript:EOY09337 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein, putative isoform 4 MAMEGETKKKSSPISLQQFISTMTPLIDLEKEAEISASISSGASRNLDTAQKRGSTILNLKCVDAQTGLMGKSLLEFQSTKGDVLPAHKLGTHDVVVLKPNKADIGSPALGQGVVYRLKDSSITVAFDDVPEDGLNSPLRLEKVANEVTYRRMKDALIQLSKAVLKGPAADLVPVLFGERLPSVSKKGVTFTPFNSSLDHSQKDAILKALSSKDVFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERLAPHRVKLVRLGHPARLLPQVLDSALDVLVLRGDNSSLANDIRKEMKALNGKLLKTKDRSTRRDIQKELRTLSKEERKRQQLAVTDVIKNADVILTTLTGAFSRKLDNTTFDLVIIDEAAQALEIACWMALLKGSRCILAGDHLQLPPTIQSVEAERKGLGRTLFERLADLYGDKVTSMLTVQYRMHELIMNWSSKELYNSKIEAHPSVAAHMLFDLENVKRSSSTEPTLLLIDIAGCDMEEKKDEEESTLNEGEAEVAISHAKRLVQTGVHASDIGIITPYAAQVVLLKTLKSNDDKLKDMEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDCRRMNVAVTRARRQCCLVCDTETKQMENDLIETS >EOY09113 pep chromosome:Theobroma_cacao_20110822:5:26185115:26191532:1 gene:TCM_024504 transcript:EOY09113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 16 isoform 1 MARSLSNFTIFSLPCLSILFQAIISTVGSSHQTVTLRTTPENHFRTRVGAPFKLALFADLHFGENAWTEWGPQQDVNSIKVMSSVLDSETPDFVVYLGDVITANNIPIANASLYWDQALSPTRSRGIPWASVFGNHDDAPFEWPMEWFSASAIPQLVCPMVNSSCSAFINRGQSYLSGEQECSFRGTSRLELMKNEMDNNLLSFSRSGPKDLWPGISNYVLQVSSQEKPETPIVYLYFLDSGGGTYPEVISSAQAEWFKRKSEEINAESRIPEIIFWHIPSKAYKKVAPKFRIHKPCVGSINKEKAAAQEAEMGIMKVLVRRPSVKAVFVGHNHGLDWCCPYRKLWLCFARHTGYGGYGNWPRGSRILEISEEPFSIKSWIRMEEGNVHSEVILSS >EOY09114 pep chromosome:Theobroma_cacao_20110822:5:26185379:26191365:1 gene:TCM_024504 transcript:EOY09114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 16 isoform 1 MARSLSNFTIFSLPCLSILFQAIISTVGSSHQTVTLRTTPENHFRTRVGAPFKLALFADLHFGENAWTEWGPQQDVNSIKVMSSVLDSETPDFVVYLGDVITANNIPIANASLYWDQALSPTRSRGIPWASVFGNHDDAPFEWPMEWFSASAIPQLVCPMVNSSCSGEQECSFRGTSRLELMKNEMDNNLLSFSRSGPKDLWPGISNYVLQVSSQEKPETPIVYLYFLDSGGGTYPEVISSAQAEWFKRKSEEINAESRIPEIIFWHIPSKAYKKVAPKFRIHKPCVGSINKEKAAAQEAEMGIMKVLVRRPSVKAVFVGHNHGLDWCCPYRKLWLCFARHTGYGGYGNWPRGSRILEISEEPFSIKSWIRMEEGNVHSEVILSS >EOY10406 pep chromosome:Theobroma_cacao_20110822:5:34526133:34529134:-1 gene:TCM_046804 transcript:EOY10406 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MDDNLEQRFLAQPQEEDKTDLKRRVWIETKTIWRVAFPGMLSRVTSFGMIVVTQSFLGHIGEVELATYALVQSVFVRFINGILIGMSSATETLCGQAFGAGQYHMMGIYLQRSWIVDGITATILLPVFFFATPIFKLLGQEDEIADAAGPISLWFIPMLYYMVFGLTIQMYLQAQLKNLVVGCLSAAAFVLHLLLSWIFVYKLNWGLAGAMGSLNICSWAMVFGEFVFIFGGWCPNSWKGFSKAAFYDLLPIVKLSLASGFMICLELWYNAILVLLAGYMKNATIAIAAFSICLNVNAWEFMICLGLYGASIVRVANELGRGNAKAVKFAIKTIMSTSICIGMVFFVLCLVFGRQISYMFTSNEQVAEVMSSLSTLLAVSILFNSIQTVLTGVAIGSGFQSMVAFVNLGCYYAVGLPLGAVLGYLLHLEVVGLWIGLLGGVALQTFIVAIIVWRTDWDEQVKKASERLNRWLIKPEEEDNQSPPQA >EOY07390 pep chromosome:Theobroma_cacao_20110822:5:1422167:1425063:-1 gene:TCM_021841 transcript:EOY07390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative MTEKDMGDGSNSSLVEQNSQSPPLPSPSLRKEPGGWRAVKYILGNETFEKLASMSLVANMTVYLRTKYNMDGIAVVNVINIWSGCSNITAIAGALVSDTFLGRYRTLLFGSISSLLGMATMTLTAGVPKLRPPTCIDERNCIDPRFWQMGVLFAGLAFMAIGAGGIRPCNIAFGADQFDTTTKKGRAQLESFFNWWYFSFTLALVVALTAVVYIQTNISWVIGYAIPTSCLFLSIIIFVTGHHAYIIMTPQGSVFVDMAKVITAAIRKHSLTPSGHSLYDPDLQKSGSKLSRTKRLSCLDKAAIIADSNELDDQGRPKNDWRLCSVEQVENLKMLLGMLPVWVAGIGCFITMDQQGTLGILQAIQTNKTLGSRFEVPPAWMGLSSMIALAIWVFIYEQIWVPQTRKRAGKAKRLSTAQRINIGIVIAIACCLLAAVTEKQRRKAALKQGSFESPMSILFLLPQFALSGLIEAFAAVALMEFLTTQLPESMRTVAGAIFFVSLSIASYLNSILVNIVYRITSKDGNPPWLGGHDLNKDRLEKFYCLVAGIGALNLLYFNLFARRFVTNAVVDKKGSEGEQNNEEKTLDVA >EOY08714 pep chromosome:Theobroma_cacao_20110822:5:18540640:18541473:1 gene:TCM_023795 transcript:EOY08714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MFVEASRRLSRARWGVPSRDTISNFVSYDSLSPSYRAFVLFVSSISIPQGWQKPYHDPKWKEAMIEEMKALVKNETWELVTPPLGKKPVGCKWVFIVKHKADGSVERYKAKLVAKGFTQTYGVDYQETFALVAKMNTIRIMLSCAANLDWDLQ >EOY11394 pep chromosome:Theobroma_cacao_20110822:5:39151417:39157171:-1 gene:TCM_026587 transcript:EOY11394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MGSNAPTSNDRTRTNWTPTMERYFIDLMLDQMHRGNRLGHTFNKQAWTDMLTIFNAKFGCKYDRDTLKSHYTNLWKQYNDVKNLLEQNGFSWDDIRKLIVAPPHVWDAYVKGQPDAQVYRNRTLMNFNDLCLIYAYTQADGRYSRSSHDIDFDDDAQGMNFGVGTTIPPASDERPKIDWTQAMDQHFIELMLGHLRNGNKSKNTFNKQAWNDMLGSFNAKFGFPYGKSFLRRRYRKLLKHYSDVQSLLLQKGFSWDEKQQMVVADDLVWDNYVKAHPDARIYRNKKMLNYQDLRLIYGNASNIGVSSHMCQGRNTGPKILPAWTGEQNEDHLCDRREMLSIHWTPAMNRYFIDLLLNQALGGNKIHHMFIPEAWTQMVAMFNVKFGCQYDEDALKSQARDLRRQYNNIKILLEQNGFSWDDTREMVIAEDYIWDTYIKAHPYIQSYRNKSVPDYHKLCVIFGQESSNGWCSMAKSMYLENEDPDLMIGEDTQYHASNGCSRIDWNPSMDRHLIDLLLEQVHRGNRINGACNSELWMEMAVSFMETFGLQPDEEFLKNHHDTLGKQYYIMRTLLDRRVFSWDEARQMVTASDDVWDTYIKEYPDIESYRNISKPNYNDLCLIYGNSTDGKDWRSGRDACSNGYGTKLKNGYCGRTDWIPSMDRYFIDLMLEHVRQGSMVDKKFNNLAWGDMVAKFSAEYGHQCDKDVLKSRFMNLRKRFNDMKNLLDHDGFAWDDMRQMIIADDNLWATYLKEHPDARSYRNRTLPSYNDLFLIYGNASINGWHLETENYAGEEEGESPTSSSPTRIHGTEFELPDQRKRQKTDASSISASRKAQRPNQELLHAFDERPIMVKSSFKNEDQFYGSIESIVDALQAIPGMDDVLFLDASKLLEDEKNAQKFVAMDVNQRRRWLLRKLRR >EOY11400 pep chromosome:Theobroma_cacao_20110822:5:39153528:39156599:-1 gene:TCM_026587 transcript:EOY11400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MKFYVLLGEGMGSNAPTSNDRTRTNWTPTMERYFIDLMLDQMHRGNRLGHTFNKQAWTDMLTIFNAKFGCKYDRDTLKSHYTNLWKQYNDVKNLLEQNGFSWDDIRKLIVAPPHVWDAYVKGQPDAQVYRNRTLMNFNDLCLIYAYTQADGRYSRSSHDIDFDDDAQGMNFGVGTTIPPASDERPKIDWTQAMDQHFIELMLGHLRNGNKSKNTFNKQAWNDMLGSFNAKFGFPYGKSFLRRRYRKLLKHYSDVQSLLLQKGFSWDEKQQMVVADDLVWDNYVKAHPDARIYRNKKMLNYQDLRLIYGNASNIGVSSHMCQGRNTGPKILPAWTGEQNEDHLCDRREMLSIHWTPAMNRYFIDLLLNQALGGNKIHHMFIPEAWTQMVAMFNVKFGCQYDEDALKSQARDLRRQYNNIKILLEQNGFSWDDTREMVIAEDYIWDTYIKAHPYIQSYRNKSVPDYHKLCVIFGQESSNGWCSMAKSMYLENEDPDLMIGEDTQYHASNGCSRIDWNPSMDRHLIDLLLEQVHRGNRINGACNSELWMEMAVSFMETFGLQPDEEFLKNHHDTLGKQYYIMRTLLDRRVFSWDEARQMVTASDDVWDTYIKEYPDIESYRNISKPNYNDLCLIYGNSTDGKDWRSGRDACSNGYGEIFCY >EOY11395 pep chromosome:Theobroma_cacao_20110822:5:39152014:39157164:-1 gene:TCM_026587 transcript:EOY11395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MNFNDLCLIYAYTQADGRYSRSSHDIDFDDDAQGMNFGVGTTIPPASDERPKIDWTQAMDQHFIELMLGHLRNGNKSKNTFNKQAWNDMLGSFNAKFGFPYGKSFLRRRYRKLLKHYSDVQSLLLQKGFSWDEKQQMVVADDLVWDNYVKAHPDARIYRNKKMLNYQDLRLIYGNASNIGVSSHMCQGRNTGPKILPAWTGEQNEDHLCDRREMLSIHWTPAMNRYFIDLLLNQALGGNKIHHMFIPEAWTQMVAMFNVKFGCQYDEDALKSQARDLRRQYNNIKILLEQNGFSWDDTREMVIAEDYIWDTYIKAHPYIQSYRNKSVPDYHKLCVIFGQESSNGWCSMAKSMYLENEDPDLMIGEDTQYHASNGCSRIDWNPSMDRHLIDLLLEQVHRGNRINGACNSELWMEMAVSFMETFGLQPDEEFLKNHHDTLGKQYYIMRTLLDRRVFSWDEARQMVTASDDVWDTYIKEYPDIESYRNISKPNYNDLCLIYGNSTDGKDWRSGRDACSNGYGTKLKNGYCGRTDWIPSMDRYFIDLMLEHVRQGSMVDKKFNNLAWGDMVAKFSAEYGHQCDKDVLKSRFMNLRKRFNDMKNLLDHDGFAWDDMRQMIIADDNLWATYLKEHPDARSYRNRTLPSYNDLFLIYGNASINGWHLETENYAGEEEGESPTSSSPTRIHGTEFELPDQRKRQKTDASSISASRKAQRPNQELLHAFDERPIMVKSSFKNEDQFYGSIESIVDALQAIPGMDDVLFLDASKLLEDEKNAQKFVAMDVNQRRRWLLRKLRR >EOY11396 pep chromosome:Theobroma_cacao_20110822:5:39152239:39155318:-1 gene:TCM_026587 transcript:EOY11396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MLNYQDLRLIYGNASNIGVSSHMCQGRNTGPKILPAWTGEQNEDHLCDRREMLSIHWTPAMNRYFIDLLLNQALGGNKIHHMFIPEAWTQMVAMFNVKFGCQYDEDALKSQARDLRRQYNNIKILLEQNGFSWDDTREMVIAEDYIWDTYIKAHPYIQSYRNKSVPDYHKLCVIFGQESSNGWCSMAKSMYLENEDPDLMIGEDTQYHASNGCSRIDWNPSMDRHLIDLLLEQVHRGNRINGACNSELWMEMAVSFMETFGLQPDEEFLKNHHDTLGKQYYIMRTLLDRRVFSWDEARQMVTASDDVWDTYIKEYPDIESYRNISKPNYNDLCLIYGNSTDGKDWRSGRDACSNGYGTKLKNGYCGRTDWIPSMDRYFIDLMLEHVRQGSMVDKKFNNLAWGDMVAKFSAEYGHQCDKDVLKSRFMNLRKRFNDMKNLLDHDGFAWDDMRQMIIADDNLWATYLKEHPDARSYRNRTLPSYNDLFLIYGNASINGWHLETENYAGEEEGESPTSSSPTRIHGTEFELPDQRKRQKTDASSISASRKAQRPNQELLHAFDERPIMVKSSFKNEDQFYGSIESIVDALQAIPGMDDVLFLDASKLLEDEKNAQKFVAMDVNQRRRWLLRKLRR >EOY11399 pep chromosome:Theobroma_cacao_20110822:5:39152924:39157091:-1 gene:TCM_026587 transcript:EOY11399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MKFYVLLGEGMGSNAPTSNDRTRTNWTPTMERYFIDLMLDQMHRGNRLGHTFNKQAWTDMLTIFNAKFGCKYDRDTLKSHYTNLWKQYNDVKNLLEQNGFSWDDIRKLIVAPPHVWDAYVKGQPDAQVYRNRTLMNFNDLCLIYAYTQADGRYSRSSHDIDFDDDAQGMNFGVGTTIPPASDERPKIDWTQAMDQHFIELMLGHLRNGNKSKNTFNKQAWNDMLGSFNAKFGFPYGKSFLRRRYRKLLKHYSDVQSLLLQKGFSWDEKQQMVVADDLVWDNYVKAHPDARIYRNKKMLNYQDLRLIYGNASNIGVSSHMCQGRNTGPKILPAWTGEQNEDHLCDRREMLSIHWTPAMNRYFIDLLLNQALGGNKIHHMFIPEAWTQMVAMFNVKFGCQYDEDALKSQARDLRRQYNNIKILLEQNGFSWDDTREMVIAEDYIWDTYIKAHPYIQSYRNKSVPDYHKLCVIFGQESSNGWCSMAKSMYLENEDPDLMIGEDTQYHASNGCSRIDWNPSMDRHLIDLLLEQVHRGNRINGACNSELWMEMAVSFMETFGLQPDEEFLKNHHDTLGKQYYIMRTLLDRRVFSWDEARQMVTASDDVWDTYIKEYPDIESYRNISKPNYNDLCLIYGNSTDGKDWRSGRDACSNGYGTKLKNGYCGRTDWIPSMDRYFIDLMLEHVRQGSMVDKKFNNLAWGDMVAKFSAEYGHQCDKDVLKSRFMNLRKRFNDMKNLLDHDGFAWDDMRQMIIADDNLWATYLKVLYDQIFFCTKFLMFLLA >EOY11398 pep chromosome:Theobroma_cacao_20110822:5:39151417:39157171:-1 gene:TCM_026587 transcript:EOY11398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MNFNDLCLIYAYTQADGRYSRSSHDIDFDDDAQGMNFGVGTTIPPASDERPKIDWTQAMDQHFIELMLGHLRNGNKSKNTFNKQAWNDMLGSFNAKFGFPYGKSFLRRRYRKLLKHYSDVQSLLLQKGFSWDEKQQMVVADDLVWDNYVKAHPDARIYRNKKMLNYQDLRLIYGNASNIGVSSHMCQGRNTGPKILPAWTGEQNEDHLCDRREMLSIHWTPAMNRYFIDLLLNQALGGNKIHHMFIPEAWTQMVAMFNVKFGCQYDEDALKSQARDLRRQYNNIKILLEQNGFSWDDTREMVIAEDYIWDTYIKAHPYIQSYRNKSVPDYHKLCVIFGQESSNGWCSMAKSMYLENEDPDLMIGEDTQYHASNGCSRIDWNPSMDRHLIDLLLEQVHRGNRINGACNSELWMEMAVSFMETFGLQPDEEFLKNHHDTLGKQYYIMRTLLDRRVFSWDEARQMVTASDDVWDTYIKEYPDIESYRNISKPNYNDLCLIYGNSTDGKDWRSGRDACSNGYGEEEGESPTSSSPTRIHGTEFELPDQRKRQKTDASSISASRKAQRPNQELLHAFDERPIMVKSSFKNEDQFYGSIESIVDALQAIPGMDDVLFLDASKLLEDEKNAQKFVAMDVNQRRRWLLRKLRR >EOY11397 pep chromosome:Theobroma_cacao_20110822:5:39152640:39156569:-1 gene:TCM_026587 transcript:EOY11397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MGSNAPTSNDRTRTNWTPTMERYFIDLMLDQMHRGNRLGHTFNKQAWTDMLTIFNAKFGCKYDRDTLKSHYTNLWKQYNDVKNLLEQNGFSWDDIRKLIVAPPHVWDAYVKGQPDAQVYRNRTLMNFNDLCLIYAYTQADGRYSRSSHDIDFDDDAQGMNFGVGTTIPPASDERPKIDWTQAMDQHFIELMLGHLRNGNKSKNTFNKQAWNDMLGSFNAKFGFPYGKSFLRRRYRKLLKHYSDVQSLLLQKGFSWDEKQQMVVADDLVWDNYVKAHPDARIYRNKKMLNYQDLRLIYGNASNIGVSSHMCQGRNTGPKILPAWTGEQNEDHLCDRREMLSIHWTPAMNRYFIDLLLNQALGGNKIHHMFIPEAWTQMVAMFNVKFGCQYDEDALKSQARDLRRQYNNIKILLEQNGFSWDDTREMVIAEDYIWDTYIKAHPYIQSYRNKSVPDYHKLCVIFGQESSNGWCSMAKSMYLENEDPDLMIGEDTQYHASNGCSRIDWNPSMDRHLIDLLLEQVHRGNRINGACNSELWMEMAVSFMETFGLQPDEEFLKNHHDTLGKQYYIMRTLLDRRVFSWDEARQMVTASDDVWDTYIKEYPDIESYRNISKPNYNDLCLIYGNSTDGKDWRSGRDACSNGYGTKLKNGYCGRTDWIPSMDRYFIDLMLEHVRQGSMVDKKFNNLAWGDMVAKFSAEYGHQCDKDVLKSRFMNLRKRFNDMKNLLDHDGFAWDDMRQMIIADDNLWATYLKVKRRVNPLLVAVQQGYMEQVAWV >EOY09261 pep chromosome:Theobroma_cacao_20110822:5:27773908:27775150:1 gene:TCM_024678 transcript:EOY09261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTCFTPSKRASKTFFYGILVSEITDFSSANTRCDPPKSHALFNPIDEHTVKKLGFELKNGKWVRKGVIDLRVHDDEAVEGDEDNEVESNAYSTSLSTS >EOY11185 pep chromosome:Theobroma_cacao_20110822:5:38269071:38270577:1 gene:TCM_026440 transcript:EOY11185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGYGYSYRGGYTTYSTGVPLRTDGWSKPSYTSDHACQPVIIDAEGRRKPIISYTPDGNTQCYVTKTEIVEHVPLVTGSYRQSSTPVTFEVVRDYGDGEGKWNRPSSPEKWRSPVRYHIEEKWNRPSSPVHEHESPQQVEEFITKVQTEASRPNQFGNLSATYWRQTPNSYPVNTGYGDQSDDLSNKEWQKPSGTVIRDDRTISGPYKNNKYSPEPNGNNGGVRTKPSPEAWSTPKGARLSEPTSDINTAVACLMEAAKPSSGITAPPPSRYTIPSVSARPKRATYSETIDSREAARRYGNANLPAARPTVNYATTIDSREAARKYGGTTV >EOY10692 pep chromosome:Theobroma_cacao_20110822:5:35918002:35926229:1 gene:TCM_025994 transcript:EOY10692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDAGNVLEAMKAELEMMREEKIWMDECLAAAEIELEETKRVIEEYKDMEKLANDLLNFVLGAGGNDVHDNRQINLDEETIKALLEETVRDFAEEINKAHSRVSRPVNGGNGGRYDDGIGDQNLDGSNTDVTGGGGSSKGGNSGGRPE >EOY10800 pep chromosome:Theobroma_cacao_20110822:5:36608286:36609299:-1 gene:TCM_026114 transcript:EOY10800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Response regulator 22, putative MVLGKGASSSLSRKMVDGAEDMVSNCNDFTVLVVDDDPVIRKLHDMHLKKFGLSAQVVENGKRAVDLCHFGATFNLILMDKEMPIMDGVEATKELRAMGVNSLIVGVTSRDSQYETQAFMEAGLDFCFEKPLTADKISFILQELNKKN >EOY08154 pep chromosome:Theobroma_cacao_20110822:5:5102753:5107629:-1 gene:TCM_022494 transcript:EOY08154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSERIRQLIPSVRGWYILVNDSDGCRLIKTFVCTFHLQFLAQKDKSSGQDAASLSYWTIFFVPLVLP >EOY09202 pep chromosome:Theobroma_cacao_20110822:5:27083319:27085963:1 gene:TCM_024600 transcript:EOY09202 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL06B08.3 protein, putative MTRATQILREGRKALEDFNLLKVLQSEISHELSSNSFQDIKSGSLGDFVLDWNSAQSHDVVLRRKSESGEEVAVSALLGQETRDSEGKFPREVLMKVCVRKPGLSSMLQFDCGVSEKDVHRSDFNIHSAYYLQSSTIPNSSIYRGPSFSSLDPQLQDAFKEYLLARGIGENLTNFLLLTLHKKEQGQYVNWLQILESFVAKDE >EOY07183 pep chromosome:Theobroma_cacao_20110822:5:764124:765766:-1 gene:TCM_021682 transcript:EOY07183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein MEGRHHHPHPPQQQTMGVNVDVDRFPQWSIQETKEFLMIRAELDRSFMETKRNKMLWEVISTRMREKGYNRSAEQCKCKWKNLVTRYKGCETMEADAMRQQFPFYNELQAIFSSRMQSILWTDEGGVTGSKKKAVQLSSEEDEDMEESELDKGSGIRKKKKGKTGIGGGASGSSNNIKEILEDFMRQQMQMEMQWREAFEARENERRLKEMEWRQTMQALENERIMMDRRWREKEEQRRVREEARAEKRDALITALLNKLRREEHM >EOY08346 pep chromosome:Theobroma_cacao_20110822:5:6748828:6749999:1 gene:TCM_022705 transcript:EOY08346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casparian strip membrane protein RCOM_1259260 MKSGDHAAIDVPESSAVAKGKAPLIATPREQKSGFKKGLGIFDFLLRLGAIIAALAAAATMGTSDETLPFFTQFFQFEASYDDLPTFMFFVIAMALIGGYLVLSLPFSIVTIVRPHAVAPRLLLFILDIVALTLTTAAGAAAAAIVYLAHNGNPNTNWLAICQQFGDFCQEVSGAVVASFVTVVVLMSLVLLSGVALKMH >EOY10852 pep chromosome:Theobroma_cacao_20110822:5:36796822:36797867:-1 gene:TCM_026144 transcript:EOY10852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQRIETMRQVEAYNYKAKRLPKHLDKGNQNLAFVSLYTFSFSTTFSLFMANNFLERKPFKPPFEIIERKTKTINIKYKDCMRNHAPQLGVNVVDGCGEFTPKGRTSETRNGNLDCEACGCHRNFHRKEATKKISLTFIENEQVNWFRFHSRPFEMNNEQKQTENEEMNERIKKPKN >EOY09126 pep chromosome:Theobroma_cacao_20110822:5:26287681:26291174:-1 gene:TCM_024518 transcript:EOY09126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATSSTKYEIEKFNGRNDFSLWRVKMCALLVQQGLLKALKGKEHLPSNLSDSEKDDLMEKAHSAILLTLSDEVLREVTDEESAAAMWFKLESIYITKSLTNRLYMKQRLYTLKMSEGTSVNTHIDEFNRVILDLKNIDVKIEDEDLALILLCYLPPSYENFVDTMLYGRDTLTFEDVRAYLNSKELKKKVGGIRNENQAEGLVVNRGRGKEKGLDKKGKSRAKGKTCWNCGQKGHFRQDCTKFKDDEKFNKSENTANVVGDDFDTFEETDNVLAITN >EOY09209 pep chromosome:Theobroma_cacao_20110822:5:27154937:27158089:-1 gene:TCM_024614 transcript:EOY09209 gene_biotype:protein_coding transcript_biotype:protein_coding description:MICAL C-terminal-like protein, putative MASEELLKPFYLRATQAEERLSRLEAVLAGKTDAGNQELSKLISELQAKLEDANAETLAEREKAKKLAVENEKLKYRIAHLVQAVSKADQKLESMRGHVSEATAQRLEKMRL >EOY10950 pep chromosome:Theobroma_cacao_20110822:5:37163736:37165124:1 gene:TCM_026215 transcript:EOY10950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDISPCLLLVILIHGLVLQTSHASTKLPVMPQALDVHDMDTVKLSQPKLSAQMKVEIGRRIGKPIR >EOY11560 pep chromosome:Theobroma_cacao_20110822:5:39618915:39621180:1 gene:TCM_047053 transcript:EOY11560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MALTQNLKPTISLSLSSFHRHSSFSPFFPLNSQTKPFFYSSRIHTLRPPSLTLTFSRRLFLLPSVSRIWDALTGNKNAREAVIAIRRGMLLFRQGDVSGSLAEFDKAIELDSRQKAYLWQRGLSLYYLDRYEEGAEQFRLDVAQNPNDTEESIWCFLCEAQLYGVDGARQSFLEVGRDPRPVMLEAYNMFKDGGDPEKLVAAFSNGQENEYFYASLYTGLYYESQKKPDAAKVHMLSACQSPYGQRSDDYMASLAKVHCLCRNWSPE >EOY09613 pep chromosome:Theobroma_cacao_20110822:5:30221558:30223100:1 gene:TCM_025026 transcript:EOY09613 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MGSTELLSELIPALPEEIGLECLTRFHYSTHRMSARVCRRWQELLQSRQFYYHRKKTGYTQKAACLVQLLKSGSDPDGSKHAGQPRYGITVFDPASGTWDRVDPVPKYPGGLPLFCQITSSEGKLVLMGGWDPTSYDPVRDVFIYEFTTQRWRQGKQMPETRSFFAAGELNGRVIVAGGHDENKNALSTAWEYDVIRDEWTQLTQLSQERDECQGVMIGSDFWVVSGYRTDNQGRFEGSAELMDLGTGQWRRVEEAWKGSQCPRSCVGVGKEKKFFCWADCDSAIRVGVCAVPLGESTFVSGSAYQGGPQGFFLVDGQNGQFKSIDVPAEFSGFVQSGCCVDI >EOY11024 pep chromosome:Theobroma_cacao_20110822:5:37553530:37557821:-1 gene:TCM_026293 transcript:EOY11024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLASRWLLELEEWSPNTAKNLRRCWLSVSGIPLNAWNRTTFFRIDSIWGSFIDIGNETTSIQTFERATILITTNFMSKIDEFINFAVGNQIYSIYVSEFLPDCLLDCPSMTPWKDDDCTDYSTSTNDDNVGDSKGGSATTGSAVIVRGDPSVLAMDSPHASQNQNCLTLIESAPLLPNPTSQAQSNAHDGPSTKTPANESNETAPLEPNAMRVLSWNVCGLGSPLKTSMVCKAIFDNRVDMIFLQETKMESISDRVIRNLWRSNNLEWAFSPSFEKSGGILSIWDNNSFTPRTTIVKDRFILITGTWTVENFDCVMIIVYAPCDANDKLSLWVDLSSSFSEFLRSWCCAGGFNTVKCLEEQHGCAQFSVGIGDFNNFIDRCELTNLPLVGMKFTWYGPKAKRSRIDRFLLSSQWLLQFQNLC >EOY09191 pep chromosome:Theobroma_cacao_20110822:5:27001979:27007466:1 gene:TCM_024589 transcript:EOY09191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like family protein isoform 1 MADAFCSDCKRHTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNPLLADGGLSTVIAKPNGASGEFLSSSLGRWQNRGSNPDRGLILAFKTIATMSDRLGLVATIKDRANEIYKKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLETGQSVEMGTIHAGDFMRRFCSNLGMNNQAVKAAQEAVQKSEEFDIRRSPISIAAAVIYIITQLSDDKKPLRDISVATGVA >EOY09190 pep chromosome:Theobroma_cacao_20110822:5:27002102:27007821:1 gene:TCM_024589 transcript:EOY09190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like family protein isoform 1 MADAFCSDCKRHTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNPLLADGGLSTVIAKPNGASGEFLSSSLGRWQNRGSNPDRGLILAFKTIATMSDRLGLVATIKDRANEIYKKVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLETGQSVEMGTIHAGDFMRRFCSNLGMNNQAVKAAQEAVQKSEEFDIRRSPISIAAAVIYIITQLSDDKKPLRDISVATGVAEGTIRNSYKDLYPHVSKIIPNWYAKGEDLKNLCSP >EOY10213 pep chromosome:Theobroma_cacao_20110822:5:33507990:33510572:1 gene:TCM_025567 transcript:EOY10213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intracellular protein transport protein USO1 isoform 2 MFSFTQEDDGCFNCISGTESIGEKHPPNGLMLPESEVALPLVTVPTSEFNKDNGVMWASSPDRLELPPKPFHHSNCSDSPCVSESGSDIFSKREVIQKLRQQLKRRDDMILEMQDQIMELQNSLNAQVAHSSHLQAQLDASNRDLFDSEREIQRLRKAIADHCVGHVSMNEKTTTVTAWPPDIRNGHANGYLDGESNSGSPEKGRGDGERIEMLKREVGELKEVIEGKEYLLQSYKEQKTELSMKIKELQQRLDSQLPNILQMQLSKERPNDKGNEEFWHTCS >EOY10211 pep chromosome:Theobroma_cacao_20110822:5:33505988:33510328:1 gene:TCM_025567 transcript:EOY10211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intracellular protein transport protein USO1 isoform 2 MNTRSGRVSRGQKSKNFQGEGPNWILIAGGALLSTLSIRLGYKLKQALDTKQKDNATTSLKGHGTSDRRRLSGCRLHSNMFSFTQEDDGCFNCISGTESIGEKHPPNGLMLPESEVALPLVTVPTSEFNKDNGVMWASSPDRLELPPKPFHHSNCSDSPCVSESGSDIFSKREVIQKLRQQLKRRDDMILEMQDQIMELQNSLNAQVAHSSHLQAQLDASNRDLFDSEREIQRLRKAIADHCVGHVSMNEKTTTVTAWPPDIRNGHANGYLDGESNSGSPEKGRGDGERIEMLKREVGELKEVIEGKEYLLQSYKEQKTELSMKIKELQQRLDSQLPNILMSYA >EOY10210 pep chromosome:Theobroma_cacao_20110822:5:33507854:33509818:1 gene:TCM_025567 transcript:EOY10210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intracellular protein transport protein USO1 isoform 2 MNTRSGRVSRGQKSKNFQGEGPNWILIAGGALLSTLSIRLGYKLKQALDTKQKDNATTSLKGHGTSDRRRLSGCRLHSNMFSFTQEDDGCFNCISGTESIGEKHPPNGLMLPESEVALPLVTVPTSEFNKDNGVMWASSPDRLELPPKPFHHSNCSDSPCVSESGSDIFSKREVIQKLRQQLKRRDDMILEMQDQIMELQNSLNAQVAHSSHLQAQLDASNRDLFDSEREIQRLRKAIADHCVGHVSMNEKTTTVTAWPPDIRNGHANGYLDGESNSGSPEKGRGDGERIEMLKREVGELKEVIEGKEYLLQSYKEQKTELSMKIKELQQRLDSQLPNIL >EOY10212 pep chromosome:Theobroma_cacao_20110822:5:33507990:33510572:1 gene:TCM_025567 transcript:EOY10212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intracellular protein transport protein USO1 isoform 2 MFSFTQEDDGCFNCISGTESIGEKHPPNGLMLPESEVALPLVTVPTSEFNKDNGVMWASSPDRLELPPKPFHHSNCSDSPCVSESGSDIFSKREVIQKLRQQLKRRDDMILEMQDQIMELQNSLNAQVAHSSHLQAQLDASNRDLFDSEREIQRLRKAIADHCVGHVSMNEKTTTVTAWPPDIRNGHANGYLDGESNSGSPEKGRGDGERIEMLKREVGELKEVIEGKEYLLQSYKEQKTELSMKIKELQQRLDSQLPNIFQNTGKCN >EOY10209 pep chromosome:Theobroma_cacao_20110822:5:33505988:33510572:1 gene:TCM_025567 transcript:EOY10209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intracellular protein transport protein USO1 isoform 2 MNTRSGRVSRGQKSKNFQGEGPNWILIAGGALLSTLSIRLGYKLKQALDTKQKDNATTSLKGHGTSDRRRLSGCRLHSNMFSFTQEDDGCFNCISGTESIGEKHPPNGLMLPESEVALPLVTVPTSEFNKDNGVMWASSPDRLELPPKPFHHSNCSDSPCVSESGSDIFSKREVIQKLRQQLKRRDDMILEMQDQIMELQNSLNAQVAHSSHLQAQLDASNRDLFDSEREIQRLRKAIADHCVGHVSMNEKTTTVTAWPPDIRNGHANGYLDGESNSGSPEKGRGDGERIEMLKREVGELKEVIEGKEYLLQSYKEQKTELSMKIKELQQRLDSQLPNILQMQLSKERPNDKGNEEFWHTCS >EOY09175 pep chromosome:Theobroma_cacao_20110822:5:26899104:26909097:-1 gene:TCM_024572 transcript:EOY09175 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MGIKGIAKKAMEVGEMGFNKEGGVINWFPGHMAAATRAIRNRLKLSDLVIEVRDSRIPFSSAHQDLQPQLSAKRRIIALNKKDLANSNVLNKWVRYFDSCKQDCLPINAHSRSSVRKLLELVEFKLKEVISREPTLLVMVVGVPNVGKSALINSIHQIASTRFPVQEKMKRATVGPLPGVTQDIAGYKIAHQPSIYVLDTPGVLVPSIPNVETGLKLALAGSVKDSVVGEDRIAQYLLAVLNTRGTPLHWKHSNQLQEITNITEYKPDYNPKDLRPKRKKLSSVSDVLYVKDLATEVQHALYVTLSEFSGNIEDENDLECLIEHQFEVLQKALKIPHKSSEARLMVSKKFLTLFRTGKLGSFVLDDVPEFNLVS >EOY09176 pep chromosome:Theobroma_cacao_20110822:5:26874185:26917422:-1 gene:TCM_024572 transcript:EOY09176 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MGIKGIAKKAMEVGEMGFNKEGGVINWFPGHMAAATRAIRNRLKLSDLVIEVRDSRIPFSSAHQDLQPQLSAKRRIIALNKKDLANSNVLNLLELVEFKLKEVISREPTLLVMVVGVPNVGKSALINSIHQIASTRFPVQEKMKRATVGPLPGVTQDIAGYKIAHQPSIYVLDTPGVLVPSIPNVETGLKLALAGSVKDSVVGEDRIAQYLLAVLNTRGTPLHWKHSNQLQEITNITEYKPDYNPKDLRPKRKKLSSVSDVLYVKDLATEVQHALYVTLSEFSGNIEDENDLECLIEHQFEVLQKALKIPHKSSEARLMVSKKFLTLFRTGKLGSFVLDDVPEFNLVS >EOY10575 pep chromosome:Theobroma_cacao_20110822:5:35443069:35444728:-1 gene:TCM_025910 transcript:EOY10575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 5, putative MRNPSLSGTSATSKTPCCSKVGIKRGPWTPEEDELLANYIKREGEGRWRTLPKRAGLLRCGKSCRLRWMNYLRPSVKRGRIAPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLISQGIDPRTHKPLNPISHTSSQVNHDPVPKPNPIPPNFNSSQLEENTIAMTTKTTTQDFTPTNLEYHQQYDQNQQVVDSTVNRRNPDGATLIVGQPSSLGNEGDYIENCNEDMFSSFLDSLINDNLFVNQQQPNNVVAAEASTQNFTHGNIWEAEIMSSMVAFGNEQNPFINHHQHQP >EOY09833 pep chromosome:Theobroma_cacao_20110822:5:31260680:31261769:-1 gene:TCM_025209 transcript:EOY09833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQCLKTLEGELEDKLYFGGEGIGFMDVGLVPFTPGGNFSIKAGCPKLPTWAKRCKENESVFKALSHPHKIYGFFLEHKEKLELN >EOY08438 pep chromosome:Theobroma_cacao_20110822:5:8277872:8287509:1 gene:TCM_022866 transcript:EOY08438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MWRSLWRSIDRFSLQHFKYVITELQQIKVVNKQNREAVIDLLQSIVEIVTYGDRQDPLIFECFMECQVLAEFVRVLKISSSSRIEAPLLQYLSIMIQNMDSEHATYYCLSNDYINNIIAHQYNFDAGDLALYYVSFLRAVSSKINRDTLCLLVKVHGDAVVSFPLYSEALKFAQHGEKMIQTAIRALTLNIYNVSDDMVYKFVTTPPASKYFSDLVSSLREQYLHLDALIHSTEEKCSHQKKKDIFMETDKIIDDLYYFKDILSVGEPRLSRVVTQNLLRLLIFPLLLPLLQLENKGSYNISVVTSLYIVSHLLQVVGGKHLINAVAGLLLYHYITSSQGDATNGDIAGSTSDPSALLCSLNDINIKESSGPGAEDAEDVNINYLLEHLNKHTTSNSHFDGTPTKDNMCIERIGIFAYIFSDNHSISLASLFLLLTLAENKDLEHFPASLIGISQSQDLTFTCSQNNCESAFPTVDGSIIVRLLPQILKALLKVLASQPPISPSIQWNIGWFLRKLLLCQGNMLTDDNIHLFNTSYKQSRECLQKELDGCWFDHIPDTIRHELRSCRKALEEKSEAKDPLFILELAICQQTLDCSSTSYFAWQRMVDAVKVFVLHLQLKALIFTGGLLEKPSLKSLSNSDPGKTYSTDISSASFGSEVSLGSGIPCRIAFSYAGVRDIYLIPVARGISGKLILAEKHPFRSQRGVVIAISPLAGLSPKIDDDHPTWLHLRIREFDPKFIKAKGNQSKVSNPADDGRWTLGFPSAKACETARLLILEEACKQRSFVESILAPLLQDD >EOY08439 pep chromosome:Theobroma_cacao_20110822:5:8278304:8286436:1 gene:TCM_022866 transcript:EOY08439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MWRSLWRSIDRFSLQHFKYVITELQQIKVVNKQNREAVIDLLQSIVEIVTYGDRQDPLIFECFMECQVLAEFVRVLKISSSSRIEAPLLQYLSIMIQNMDSEHATYYCLSNDYINNIIAHQYNFDAGDLALYYVSFLRAVSSKINRDTLCLLVKVHGDAVVSFPLYSEALKFAQHGEKMIQTAIRALTLNIYNVSDDMVYKFVTTPPASKYFSDLVSSLREQYLHLDALIHSTEEKCSHQKKKDIFMETDKIIDDLYYFKDILSVGEPRLSRVVTQNLLRLLIFPLLLPLLQLENKGSYNISVVTSLYIVSHLLQVVGGKHLINAVAGLLLYHYITSSQGDATNGDIAGSTSDPSALLCSLNDINIKESSGPGAEDAEDVNINYLLEHLNKHTTSNSHFDGTPTKDNMCIERIGIFAYIFSDNHSISLASLFLLLTLAENKDLEHFPASLIGISQSQDLTFTCSQNNCESAFPTVDGSIIVRLLPQILKALLKVLASQPPISPSIQWNIGWFLRKLLLCQGNMLTDDNIHLFNTSYKQSRECLQKELDGCWFDHIPDTIRHELRSCRKGSSTSYFAWQRMVDAVKVFVLHLQLKALIFTGGLLEKPSLKSLSNSDPGKTYSTDISSASFGSEVSLGIRNPL >EOY07538 pep chromosome:Theobroma_cacao_20110822:5:1900503:1903556:-1 gene:TCM_021946 transcript:EOY07538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPALQQMGFTCYVIGGWVKGPFKREGKKERERLAFVVEEIRSRKSAVNKDKFLIFEVEGISYRIYGFYQSTSIKQQYGQKQDLQLLAWFQARCVDLTACITTTLQRSSPHHLRLFHAICVNTVCTYEVD >EOY08034 pep chromosome:Theobroma_cacao_20110822:5:4105137:4108549:1 gene:TCM_022357 transcript:EOY08034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Modifier of rudimentary (Mod(r)) protein MFKFWGSQEQQAQQRPQEGSSHSWYPPSVVSSPSSSRPTTPSSSASNSFNLQRPAERPHSPTPVSPAEAAGIIALLKDKSVDELRKLLSDKDAYNQFLLSVDQVKIQNNIRDELRKETLQLARNNLDKEPRIMELRNQCRIIRTTELATAQEKLNELERQKEEILKYYSPASFIHRLQDAMNETEEELEALHRQLLDREMDLGTFVQKYKKLRTTYHRRALIHLAAKTSPIG >EOY08410 pep chromosome:Theobroma_cacao_20110822:5:7880365:7881597:1 gene:TCM_022825 transcript:EOY08410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short chain alcohol dehydrogenase, putative MSAESSVTKRLEGKVALITGGASGLGESTARLFVQHGAKVLIADIQDELGHSLCQELGTETISYVHCDVTCESDVQNAVELAVSKYRKLDIMLNNAGIMGHHEVRVTDADTENFKTVFDINVLGGFLGAKHAARVMVPAKKGCILFTASLASKISMGTPHAYKASKHAVVGLTKSLSVELGEYGIRVNCISPHAVATPLFQKTLGVFDKKKGEEAVSASAVLKGAVLEPEDFANAALYLASDEAKYLSGVNLTIDGGYSLSNQTWKMGLSVLSE >EOY08477 pep chromosome:Theobroma_cacao_20110822:5:8908099:8922779:1 gene:TCM_022931 transcript:EOY08477 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 2 MDNATQTTAANSSAFSFISKGWREVRDSADADLQLMKDRANSFKNLAASFDREIENLIHSAAPSFSVPAIRQSSEIDFMKKLQPKISEFRRVYSAPEISRKVMEKWGPRAKIGIDLSRIRSAIVAEVQETEEEDMDGIVEYNKVRKGRRAMFREEGQFGDWEPIRALKTRLREFEKKNSSVEIFGGFKNSEFVEKVKSSLKAICKEPHESKEVPPLDVPELLAYLVRQSGPLLDQLGVRKDLCDKIVEGLCSKRKNQLVLRSLAGGEPPTVDNDKTNDELDLRIASVLQSTGHCYEGGFWTDFMKHDDSDGKRNVAIVTTASLPWMTGTAVNPLFRAAYLAKSAKQNVTLLVPWLCRSDQELVYPSNLTFSSPEEQENYIRNWLEERIGFKADFKISFYPGKFSKERRSIIPAGDTSQFISSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFFVKHINNWVTRAYCHKVLRLSAATQDLPKSVICNVHGVNPKFLKIGEKVAEERELGQQAFSKGAYFLGKMVWAKGYKELIDLLAKHKDDLDGFKLDVYGNGEDAHEVQSTAKRLDLNLNFLKGRDHADDSLHGYKVFINPSVSDVLCTATAEALAMGKFVVCADHPSNEFFRSFPNCLTYKTSEDFVAKVKEALANEPQPLSPEQRYNLSWEAATQRFVEYSELDRVLNNEHNGAKLRRSNGKLIAKSVSMPSLSEMVDGGLAFTHYCLTGNEFLRLCTGAIPGTRDYDKQHCKDLHLLPPQVENPIYGCLLSPEDI >EOY08478 pep chromosome:Theobroma_cacao_20110822:5:8914468:8922622:1 gene:TCM_022931 transcript:EOY08478 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 2 MDNATQTTAANSSAFSFISKGWREVRDSADADLQLMKDRANSFKNLAASFDREIENLIHSAAPSFSVPAIRQSSEIDFMKKLQPKISEFRRVYSAPEISRKVMEKWGPRAKIGIDLSRIRSAIVAEVQETEEEDMDGIVEYNKVRKGRRAMFREEGQFGDWEPIRALKTRLREFEKKNSSVEIFGGFKNSEFVEKVKSSLEVPPLDVPELLAYLVRQSGPLLDQLGVRKDLCDKIVEGLCSKRKNQLVLRSLAGGEPPTVDNDKTNDELDLRIASVLQSTGHCYEGGFWTDFMKHDDSDGKRNVAIVTTASLPWMTGTAVNPLFRAAYLAKSAKQNVTLLVPWLCRSDQELVYPSNLTFSSPEEQENYIRNWLEERIGFKADFKISFYPGKFSKERRSIIPAGDTSQFISSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFFVKHINNWVTRAYCHKVLRLSAATQDLPKSVICNVHGVNPKFLKIGEKVAEERELGQQAFSKGAYFLGKMVWAKGYKELIDLLAKHKDDLDGFKLDVYGNGEDAHEVQSTAKRLDLNLNFLKGRDHADDSLHGYKVFINPSVSDVLCTATAEALAMGKFVVCADHPSNEFFRSFPNCLTYKTSEDFVAKVKEALANEPQPLSPEQRYNLSWEAATQRFVEYSELDRVLNNEHNGAKLRRSNGKLIAKSVSMPSLSEMVDGGLAFTHYCLTGNEFLRLCTGAIPGTRDYDKQHCKDLHLLPPQVENPIYGCLLSPEDI >EOY08479 pep chromosome:Theobroma_cacao_20110822:5:8914469:8922637:1 gene:TCM_022931 transcript:EOY08479 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 2 MDNATQTTAANSSAFSFISKGWREVRDSADADLQLMKDRANSFKNLAASFDREIENLIHSAAPSFSVPAIRQSSEIDFMKKLQPKISEFRRVYSAPEISRKVMEKWGPRAKIGIDLSRIRSAIVAEVQETEEEDMDGIVEYNKVRKGRRAMFREEGQFGDWEPIRALKTRLREFEKKNSSVEIFGGFKNSEFVEKVKSSLVSFSSAFFLVEIPPLDVPELLAYLVRQSGPLLDQLGVRKDLCDKIVEGLCSKRKNQLVLRSLAGGEPPTVDNDKTNDELDLRIASVLQSTGHCYEGGFWTDFMKHDDSDGKRNVAIVTTASLPWMTGTAVNPLFRAAYLAKSAKQNVTLLVPWLCRSDQELVYPSNLTFSSPEEQENYIRNWLEERIGFKADFKISFYPGKFSKERRSIIPAGDTSQFISSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFFVKHINNWVTRAYCHKVLRLSAATQDLPKSVICNVHGVNPKFLKIGEKVAEERELGQQAFSKGAYFLGKMVWAKGYKELIDLLAKHKDDLDGFKLDVYGNGEDAHEVQSTAKRLDLNLNFLKGRDHADDSLHGYKVFINPSVSDVLCTATAEALAMGKFVVCADHPSNEFFRSFPNCLTYKTSEDFVAKVKEALANEPQPLSPEQRYNLSWEAATQRFVEYSELDRVLNNEHNGAKLRRSNGKLIAKSVSMPSLSEMVDGGLAFTHYCLTGNEFLRLCTGAIPGTRDYDKQHCKDLHLLPPQVENPIYGCLLSPEDI >EOY08091 pep chromosome:Theobroma_cacao_20110822:5:4456242:4464258:1 gene:TCM_022413 transcript:EOY08091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 76A2 MFTAGTDTTSGTLEWAMAELLHNPRTLEKVQAELRSINPSKKIEENDIENLPYLQAVIKGTLRLHPPLPFLVPHNAKNSCKMLELACEVMDWPTSFLVCLVIFSSSVLFLFFRRRNSNPGKLPPGPPGWPIIGNMFDLGTMPHRTLTCLRDKYGPVIWLRLGTVNTMVLLSTKAATELFKNHDLSFAERNITEIMRAHDYHKSSLALAPYGSYWRVLRRLVTVDMLVNKRINETTCIRRKCVDDMLLWIEDEARKKQGDSHRDGIHVARFVFLLTFNLLGNLMLSRDLFDPDSKEGSELFMVMLRLMEWSGQGNIADFFPWLRWLDPQGLKRKMEKDLGKAIEIASKFVKEKLQQKKVGEDKRDFLDLLIEFEGNGKDEPAKLSDHELNIFILEIFLAGSETTSSTIEWAFAELLCNPDAMIKAKAELTRVVGPNKKVEERDIENLHYLHAVVKETFRLHPPLPFLIPRKAMQDTNFMGYHIPKNTQVFVNAWAIGRDPEVWDDPLLFKPERFIGSKIEYKGHHYELIPFGAGRRMCAGVALADRVLRLVLGSLLHHFDWELGGNVTKETIDMKDNLGVTMRKLEPLLAVPKKCKTSD >EOY08645 pep chromosome:Theobroma_cacao_20110822:5:14625520:15163453:1 gene:TCM_023492 transcript:EOY08645 gene_biotype:protein_coding transcript_biotype:protein_coding description:TGACG motif-binding factor 6 isoform 1 MGSRTVNIGIGNDKKAVTAMPSYVPSVPTSNPSSTEANTIRSSRIPDLGTLEQSLGFRIEDAVDLTRNPLFNQIKSSTQAIADAQFGAINKTLASADNNLSAAIVGSQTLTLKKDTPPNLVSISAGRENWGDSNMADASPRTDISTDDTDEKNQRFERGQTNAIVASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRQINELRAAVNSHAGDTELRTIVDNVTAHFDDIFRLKGIAAKADVFHILSGMWKTPAERCFLWIGGFRSSELLKLLANQLEPLTEQQFMGFHNLQQSSQQAEDALSQGMEALQQSLAETLANGSPGPSGSSGNVANYMGQMAMAMGKLGTLEGFVRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >EOY08646 pep chromosome:Theobroma_cacao_20110822:5:15154544:15163453:1 gene:TCM_023492 transcript:EOY08646 gene_biotype:protein_coding transcript_biotype:protein_coding description:TGACG motif-binding factor 6 isoform 1 MGSRTVNIGIGNDKKAVTAMPSYVPSVPTSNPSSTEANTIRSSRIPDLGTLEQSLGFRIEDAVDLTRNPLFNQIKSSTQAIADAQFGAINKTLASADNNLSAAIVGSQTLTLKKDTPPNLVSISAGRENWGDSNMADASPRTDISTDDTDEKNQRFERGQTNAIVASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRQINELRAAVNSHAGDTELRTIVDNVTAHFDDIFRLKGIAAKADVFHILSGMWKTPAERCFLWIGGFRSSELLKLLANQLEPLTEQQFMGFHNLQQSSQQAEDALSQGMEALQQSLAETLANGSPGPSGSSGNVANYMGQMAMAMGKLGTLEGFVRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >EOY08647 pep chromosome:Theobroma_cacao_20110822:5:15154918:15163134:1 gene:TCM_023492 transcript:EOY08647 gene_biotype:protein_coding transcript_biotype:protein_coding description:TGACG motif-binding factor 6 isoform 1 MGSRTVNIGIGNDKKAVTAMPSYVPSVPTSNPSSTEANTIRSSRIPDLGTLEQSLGFRIEDAVDLTRNPLFNQIKSSTQAIADAQFGAINKTLASADNNLSAAIVGSQTLTLKKDTPPNLVSISAGRENWGDSNMADASPRTDISTDDTDEKNQRFERGQTNAIVASDSSDRSKDKTDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRQINELRAAVNSHAGDTELRTIVDNVTAHFDDIFRLKGIAAKADVFHILSGMWKTPAERCFLWIGGFRSSELLKLLANQLEPLTEQQFMGFHNLQQSSQQAEDALSQGMEALQQSLAETLANGSPGPSGSSGNVANYMGQMAMAMGKLGTLEGFVRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >EOY07213 pep chromosome:Theobroma_cacao_20110822:5:850603:853947:1 gene:TCM_021699 transcript:EOY07213 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MDIALFSPSSLFADDGDDEEVSVDEDQTEIHQSYVERKHQFSGIELLIREFSFHQLNANLLWPGTFAFSEWLVQHQSWIDGRRCLELGSGTGALAIFLRKSFNLDITTSDYDDQEIEENIAHNCQANGITPVLPHIKHSWGDPFPTADPDWDLVIASDILLYVKQYPNLIKSLSYLLKSYKPKEDKAVCPVGNDQNSGKRMGLPAPAFLMSWRRRIGKEDESLFFSGCEDAGLEVEHIGSRVYCIKLRKNIVTIPQES >EOY07215 pep chromosome:Theobroma_cacao_20110822:5:850558:854213:1 gene:TCM_021699 transcript:EOY07215 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 TNEENGHSSLLSIFTLRRRRRRRRSLYEDQTEIHQSYVERKHQFSGIELLIREFSFHQLNANLLWPGTFAFSEWLVQHQSWIDGRRCLELGSGTGALAIFLRKSFNLDITTSDYDDQEIEENIAHNCQANGITPVLPHIKHSWGDPFPTADPDWDLVIASDILLYVKQYPNLIKSLSYLLKSYKPKEDKAVCPVGNDQNSGKRMGLPAPAFLMSWRRRIGKEDESLFFSGCEDAGLEVEHIGSRVYCIKLRKNIVTIPQES >EOY07216 pep chromosome:Theobroma_cacao_20110822:5:850459:853694:1 gene:TCM_021699 transcript:EOY07216 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MDIALFSPSSLFADDGDDEEVSVDEDQTEIHQSYVERKHQFSGIELLIREFSFHQLNANLLWPGTFAFSEWLVQHQSWIDGRRCLELGSGTGALAIFLRKSFNLDITTSDYDDQEIEENIAHNCQANGITPVLPHIKHSWGDPFPTADPDWDLVIASDILLYVKQYPNLIKSLSYLLKSYKPKEDKAVCPVGNDQNSGNVRAKIIIY >EOY07214 pep chromosome:Theobroma_cacao_20110822:5:850588:854046:1 gene:TCM_021699 transcript:EOY07214 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 TNEENGHSSLLSIFTLRRRRRRRRSLYEDQTEIHQSYVERKHQFSGIELLIREFSFHQLNANLLWPGTFAFSEWLVQHQSWIDGRRCLELGSGTGALAIFLRKSFNLDITTSDYDDQEIEENIAHNCQANGITPVLPHIKHSWGDPFPTADPDWDLVIASDILLYVKQYPNLIKSLSYLLKSYKPKEDKAVCPVGNDQNRKRMGLPAPAFLMSWRRRIGKEDESLFFSGCEDAGLEVEHIGSRVYCIKLRKNIVTIPQES >EOY10086 pep chromosome:Theobroma_cacao_20110822:5:32925375:32931905:-1 gene:TCM_025466 transcript:EOY10086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 2 MRAKQIQSSWTRSKSQILLLFSTVCLLALSFFLFLSSPSDLTRSSTHSFAHPPIRPETSFVASLEYFLTHKAPSHQRRASDDTVRTVLEDDVRKLDERKFAKEMEWVHGDPYYPMNMPVRVYVYEMPAKFTYDLLWLFWNTYRETSNLTSNGSPVHRLIEQHSIDYWLWADLIAPASERLLKNVVRVDRQEDADLFYVPFFTTISFFLLEKQQCKALYRFCNILYGEAVKWVTDQPAWKQSEGRDHIFPIHHPWSFKSVRRVVKNAIWLLPDMDSTGNWYKPGQVSLEKDLILPYVPNVDLCDTKCLSESESKRTTLLFFRGRLKRNAGGKIRAKLVAELTDAKDVVIEEGTAGVGGKAAAQKGMRRSIFCLSPAGDTPSSARLFDAIVSGCIPVIISDELELPFEGILDYRKDGW >EOY10084 pep chromosome:Theobroma_cacao_20110822:5:32925375:32931905:-1 gene:TCM_025466 transcript:EOY10084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 2 MRAKQIQSSWTRSKSQILLLFSTVCLLALSFFLFLSSPSDLTRSSTHSFAHPPIRPETSFVASLEYFLTHKAPSHQRRASDDTVRTVLEDDVRKLDERKFAKEMEWVHGDPYYPMNMPVRVYVYEMPAKFTYDLLWLFWNTYRETSNLTSNGSPVHRLIEQHSIDYWLWADLIAPASERLLKNVVRVDRQEDADLFYVPFFTTISFFLLEKQQCKALYREAVKWVTDQPAWKQSEGRDHIFPIHHPWSFKSVRRVVKNAIWLLPDMDSTGNWYKPGQVSLEKDLILPYVPNVDLCDTKCLSESESKRTTLLFFRGRLKRNAGGKIRAKLVAELTDAKDVVIEEGTAGVGGKAAAQKGMRRSIFCLSPAGDTPSSARLFDAIVSGCIPVIISDELELPFEGILDYRKIAIFVSSTDAVQSGWLLRYLKGISPTQIREMRRNLAEYSRHFVYSSPAQPLGPEDLVWRMMAGKLVNIKLHTRRSQRVVKESRSVCTCDCRRASTIFTPSS >EOY10085 pep chromosome:Theobroma_cacao_20110822:5:32927283:32931905:-1 gene:TCM_025466 transcript:EOY10085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 2 MRAKQIQSSWTRSKSQILLLFSTVCLLALSFFLFLSSPSDLTRSSTHSFAHPPIRPETSFVASLEYFLTHKAPSHQRRASDDTVRTVLEDDVRKLDERKFAKEMEWVHGDPYYPMNMPVRVYVYEMPAKFTYDLLWLFWNTYRETSNLTSNGSPVHRLIEQHSIDYWLWADLIAPASERLLKNVVRVDRQEDADLFYVPFFTTISFFLLEKQQCKALYREAVKWVTDQPAWKQSEGRDHIFPIHHPWSFKSVRRVVKNAIWLLPDMDSTGNWYKPGQVSLEKDLILPYVPNVDLCDTKCLSESESKRTTLLFFRGRLKRNAGGKIRAKLVAELTDAKDVVIEEGTAGVGGKAAAQKGMRR >EOY09048 pep chromosome:Theobroma_cacao_20110822:5:25105183:25106897:-1 gene:TCM_024388 transcript:EOY09048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein, putative MGCSASRPNTTLFTKSNNSPEDPYSCSSLESMVSSHSSPPPVPRALSLPTPLVHHPPLRKGDTHHLVSLTSTTYGSLLLIDTKTSEMSSQDFSDHQPNQPPHHNNSPVHADQDESLSPDSVINTWELMDGLDDDCDFDLGKTNSFVNTHSDELSSKSNAFNSKSLDVPVKKLEDYKPTKPSLTKPLWKHLSEESLLSKLDPNVVSSYRRALSSRQLGSNESRSTISVGSSPSCSSLSDSWFKVPSTENRIVLYFTSLRGIRKTYEDCCSVRMIFRGFRVPVDERDISMDCVYRNELQSLLGGKVICLPQVFIKGKHVGGVEEIKQLNESGELAKLLDGFPVRDPRIVCEGCGDARFVPCPKCNGSRKVFEEDEGKLIRCPDCNENGLIRCPGCCS >EOY07016 pep chromosome:Theobroma_cacao_20110822:5:182507:186519:-1 gene:TCM_021554 transcript:EOY07016 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein MGGSNNVNSVFYAETYHPIQAGSIDGTDILPHDNAVYRALLCSNASLYDPLGDPKLIGDPYHTIFVSRLSHLTTEHTLHKAMSKYGRVKNLRLVRHIVTGASRGYAFVEFETEREMRRAYKDAHHSFIDDSEVIVDYNRQQLMPGWIPRRLGGGLGGKKESGQLRFGGRERPFRAPLRQIPYEDLKTLGIRPPPEGRYMSRFQVPSPPRKRRSSVEKEEYSHKRSSVDREEFSQRSSSIERDGHKRTAGGRQESSSKRNSVEEEHHHRKSSTDRSESSQKRTPIEREDHRHKCSFVDREELSHSRSTAEGGEISRKRTSKDKEERSSKRHRHHGRSNR >EOY08603 pep chromosome:Theobroma_cacao_20110822:5:13571975:13573110:-1 gene:TCM_023383 transcript:EOY08603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant stearoyl-acyl-carrier-protein desaturase family protein MMTSYKAGRRAVPVNLTRVSAPLKPTRSKKSTKKQQKMRGRTEDPSGIFSHLPIPTAQTMFVVRSFPRFNPSCLLP >EOY08602 pep chromosome:Theobroma_cacao_20110822:5:13566964:13575457:-1 gene:TCM_023383 transcript:EOY08602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant stearoyl-acyl-carrier-protein desaturase family protein MALELNPMILPSARKLPGHSNTFRSLRVSMASTLHSTPKEVNNLKKPYCPPREVHVQVTHSMPPEKIELFKTLNDWAEKNILVLLKPVESSWQPSDFLPLPESEGFYDQVKELRERCKELPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASLTPWAIWTRAWTAEENRHGDLLNRYLYLSGRVDMKQIEKTIQYLIGSGMDPKTENNPYLGFIYTSFQERATFISHGNTARLAKEHGDLKLAQICGIIASDEKRHETAYTKIVEKLFEIDPDGTVLALADMMKKKISMPAHLMFDGRDDNLFEHYSAVAQRIGVYTAKDYADILEFLVGRWNVEKLTGLSAEGCSAQEFVCGLPARIRRLEERAQARAKQTSTVPFSWIFERKLIV >EOY07283 pep chromosome:Theobroma_cacao_20110822:5:1061170:1064131:-1 gene:TCM_021754 transcript:EOY07283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase/Diphenol oxidase family protein isoform 1 MGSWVRGLVLVACLFPVLVDCTVRNYKFDVVLKNKTRLCSSKPIVTVNGKFPGPTLYAREGDTVLVKVVNHVKYNVSIHWHGVRQLRTGWADGPAYITQCPIPTGQSYVYNFTITGQRGTLLWHAHILWLRSTVHGAIVILPKRGVPYPFPKPHKEVVVILAEWWKSDTEAVINEALKSGLAPNVSDAHTINGHTGPVSSCPSQGGFTLPVENGKTYLLRLINAALNEELFFKIAGHKLTVVEVDATYVKPFKTKTVIIAPGQTTNVLVSADKSSGKYLVAASPFMDAPIAVDNLTATATLHYSGTLANTPTTLTSLPPKNATPVANNFINSLRALNSKQYPALVPQSIDHNLFFTVGLGINPCPTCKAGNGSRAVASINNVTFVMPTTALLQAHFFNISGVFTTDFPANPPNVFNYSGTPPTNLQTTNGTKVFRLAYNSTVQLVLQDTGIIAPENHPIHLHGFNFFAVGRGLGNYNPKTDPQNFNLVDPVERNTIGVPSGGWVAIRFRADNPGVWFLHCHLEVHTTWGLKMAFLVDNGKGPNQSLLPPPSDLPKC >EOY07284 pep chromosome:Theobroma_cacao_20110822:5:1061467:1063250:-1 gene:TCM_021754 transcript:EOY07284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase/Diphenol oxidase family protein isoform 1 MDPCFHLIVHLICRHGVRQLRTGWADGPAYITQCPIPTGQSYVYNFTITGQRGTLLWHAHILWLRSTVHGAIVILPKRGVPYPFPKPHKEVVVILAEWWKSDTEAVINEALKSGLAPNVSDAHTINGHTGPVSSCPSQGGFTLPVENGKTYLLRLINAALNEELFFKIAGHKLTVVEVDATYVKPFKTKTVIIAPGQTTNVLVSADKSSGKYLVAASPFMDAPIAVDNLTATATLHYSGTLANTPTTLTSLPPKNATPVANNFINSLRALNSKQYPALVPQSIDHNLFFTVGLGINPCPTCKAGNGSRAVASINNVTFVMPTTALLQAHFFNISGVFTTDFPANPPNVFNYSGTPPTNLQTTNGTKVFRLAYNSTVQLVLQDTGIIAPENHPIHLHGFNFFAVGRGLGNYNPKTDPQNFNLVDPVERNTIGVPSGGWVAIRFRADNPGVWFLHCHLEVHTTWGLKMAFLVDNGKGPNQSLLPPPSDLPKC >EOY10792 pep chromosome:Theobroma_cacao_20110822:5:36546064:36550258:1 gene:TCM_026106 transcript:EOY10792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MLHSVKLLLRYGKQSQNILCSLTTLTSANSLQIASVSTTAVSKDDYFAAIHHISNTVRREVHPERTLNRMNISVNSELVFRVLRSCSNSPTESLRFFSWARAHYVPTSVEFEELVKILIRHRKYESMWKTIQQMQKQNLSLSCDTLSFIIEEYGKNGLVDQAVEVFNKSTSLGCKQTVSVYNSLLFALCEVKMFHGAYALIRRMIRKGEVPDKRTYAILVNGWCSGGKMREAQEFLEEMSKMGFNPPVRGRDLLVEGLLNAGYLESAKEMVRRMTKEGFVPDIGTFNSLVETICSSGEVDFCINMYHSVCKLGLCPDINTYKILIPAASKVGRIDEAFRLLNNSVEDGYRPFPSLYAPIIKAMCRKGQFDDAFSFFGEMKVKGHSPNRPVYTMLITMCGRGGRFVEAANYLVEMTELGLAPISRCFDMVIDGLKNCGKHDLAKRIEQLEVSLRGV >EOY10751 pep chromosome:Theobroma_cacao_20110822:5:36276941:36281125:-1 gene:TCM_026052 transcript:EOY10751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAYIPPHKRHSKDSERPTPTPESFVPIFKRNVHLRAPKPNVDRSGKIVYSNHAISRWFAVGLDDGNSDSSAACLEPVSVESMERKTGEKPVILVKSNVDKENNEVKGSPWSSVAENVLPDLLSSFQIARAEVESQDLKEVKPTLVARFGKILFHGSPSMNLESVRKDCVTETTLRNLKRSFYTSLPTSYVGNIMAEVVPEIGVDFAEVKDIYHVKLSDSTRLDSTISCKCTVKDDKNLQLYKVELNQVRDMVVDISCPDMDLDLRLMLCHKRILTSLTDDEIQNIRNLIDSAVLDPDVKGGLRWPLGKASTGDRYSVVGVWHTMATTYQGSSMRLKVRYADRFDFRTAYGESSKEVVLKLKGMVSGLLEQEAETNVISDMLKDTLRLIWQHFLCCEPFLS >EOY10749 pep chromosome:Theobroma_cacao_20110822:5:36277207:36280784:-1 gene:TCM_026052 transcript:EOY10749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAYIPPHKRHSKDSERPTPTPESFVPIFKRNVHLRAPKPNVDRSGKIVYSNHAISRWFAVGLDDGNSDSSAACLEPVSVESMERKTGEKPVILVKSNVDKENNEVKGSPWSSVAENVLPDLLSSFQIARAEVESQDLKEVKPTLVARFGKILFHGSPSMNLESVRKDCVTETTLRNLKRSFYTSLPTSYVGNIMAEVVPEIGVDFAEVKDIYHVKLSDSTRLDSTISCKCTVKDDKNLQLYKVELNQVRDMVVDISCPDMDLDLRLMLCHKRILTSLTDDEIQNIRNLIDSAVLDPDVKGGLRWPLGKASTGDRYSVVGVWHTMATTYQGSSMRLKVRYADRFDFRTAYGESSKEVVLKLKGMVSGLLEQEAETNVISDMLKDTLRLIWQHFLCCEPFLS >EOY10750 pep chromosome:Theobroma_cacao_20110822:5:36276941:36281061:-1 gene:TCM_026052 transcript:EOY10750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAYIPPHKRHSKDSERPTPTPESFVPIFKRNVHLRAPKPNVDRSGKIVYSNHAISRWFAVGLDDGNSDSSAACLEPVSVESMERKTGEKPVILVKSNVDKENNEVKGSPWSSVAENVLPDLLSSFQIARAEVESQDLKEVKPTLVARFGKILFHGSPSMNLESVRKDCVTETTLRNLKRSFYTSLPTSYVGNIMAEVVPEIGVDFAEVKDIYHVKLSDSTRLDSTISCKCTVKDDKNLQLYKVELNQVRDMVVDISCPDMDLDLRLMLCHKRILTSLTDDEIQNIRNLIDSAVLDPDVKGGLRWPLGKASTGDRYSVVGVWHTMATTYQGSSMRLKVRYADRFDFRTAYGESSKEVVLKLKGMVSGLLEQEAETNVISDMLKDTLRLIWQHFLCCEPFLS >EOY11103 pep chromosome:Theobroma_cacao_20110822:5:37956527:37963136:1 gene:TCM_026377 transcript:EOY11103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide n-glycanase, putative isoform 2 MYEDPVRQEAARKTVPVDNLEEKALVSLAKEGNLKLSKIEQDHAFLIQLLFWFKRSFSWVNAPPCDGCGNETTGQGMGNALPSEIQFGATRVELYRCNSCSRVTRFPRYNDPLKLVETRKGRCGEWANCFTLYCRAFGYESRLVLDFTDHVWTECYSEVLGRWMHLDPCEPIYDQPLLYEKGWDKKLNYVIAIAKDGVHDVTKRYTRKWHEVLSRRTIITESSLVSVLTSMRRECRRNYTSEILSVLEERDNIERETMERDLHSTDDASISLPGRQSGDKQWRIARSEHGTDSLSLSSCPVRICRDEHVTRIYNAFCAILRKFVEDSLIVSKGVEVLKILRATIVDLKKLPYKKRRASLKSNSIVGTSLVHQLLPSFGELLNAISLKSELDANGSVSVCLAGDPVKTALALPVALHALDELISDLSKCDNFSKDSLSFPLLRLNRICSGAVLASGEELPVGIATAAFDGTRMSKWEEPNGARGCSIMYKLSANMQELVAYELMSANDAPERDPMDWVVEGSNDGGSSWHVLDKQTSQVFNKRFQRKTYKIRSTGFSSNTFRFRFLAARDIQSTSRLQVGSIDLYARES >EOY11102 pep chromosome:Theobroma_cacao_20110822:5:37956363:37963482:1 gene:TCM_026377 transcript:EOY11102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide n-glycanase, putative isoform 2 MVAREFLVRHEDLTFAVDYDTDDGFEVFQFQLFSLTSIPPDEQKIVGEDDDRIVSDNSDLAAVSEKLRLVSIASEKEKEPEKQEETTSSGGAGAGNFHAGSSVTSDEELARMLQAEEEALLLQHYAAGQNSGPFEEKIRPYISQVLMYEDPVRQEAARKTVPVDNLEEKALVSLAKEGNLKLSKIEQDHAFLIQLLFWFKRSFSWVNAPPCDGCGNETTGQGMGNALPSEIQFGATRVELYRCNSCSRVTRFPRYNDPLKLVETRKGRCGEWANCFTLYCRAFGYESRLVLDFTDHVWTECYSEVLGRWMHLDPCEPIYDQPLLYEKGWDKKLNYVIAIAKDGVHDVTKRYTRKWHEVLSRRTIITESSLVSVLTSMRRECRRNYTSEILSVLEERDNIERETMERDLHSTDDASISLPGRQSGDKQWRIARSEHGTDSLSLSSCPVRICRDEHVTRIYNAFCAILRKFVEDSLIVSKGVEVLKILRATIVDLKKLPYKKRRASLKSNSIVGTSLVHQLLPSFGELLNAISLKSELDANGSVSVCLAGDPVKTALALPVALHALDELISDLSKCDNFSKDSLSFPLLRLNRICSGAVLASGEELPVGIATAAFDGTRMSKWEEPNGARGCSIMYKLSANMQELVAYELMSANDAPERDPMDWVVEGSNDGGSSWHVLDKQTSQVFNKRFQRKTYKIRSTGFSSNTFRFRFLAARDIQSTSRLQVGSIDLYARES >EOY10040 pep chromosome:Theobroma_cacao_20110822:5:32617722:32626323:-1 gene:TCM_025427 transcript:EOY10040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRQVTKEGRKKTRQCWTVGANGGNGYLNALYIITTFKIDDGREDFKTFTIDNNLDYDSEEAHLSNFDAYVTHQEIDCNSHSKSLVIMAYFVEWGNWGHGMDGEEGFGVLDDFFVAILDGIVHLNKVFVANEGELSAFNSHLMIAHRCLINRYKFSCSSSMTVSMVEESLSISRCPYDVFLSFRGADTRKNFTDHLYMVLVHVGIHTFRDDDKIERGEKIRDKIERAIYE >EOY09347 pep chromosome:Theobroma_cacao_20110822:5:28462569:28464038:-1 gene:TCM_024773 transcript:EOY09347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEHESIDWNNIESIFEEDDTYENINAPKWVDLSAPCETMDDEAWFCKPGCKHPKSAEDYLKSKHYSKVKLLRSMTISEILPFRDRTRREAKAKNGEKGSKSAENVNEDNENWNPNLSTPPLRAKTMPKKLAKKSSMEEKTQLDDLRDNSAKSDKKRGLRSTFSARNLVAGREILSQITEFCAELKKMARKGSKKGAPEKAPNGVLGELKERVRERERMPLLVVKERKSKEQV >EOY09348 pep chromosome:Theobroma_cacao_20110822:5:28462542:28464098:-1 gene:TCM_024773 transcript:EOY09348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEHESIDWNNIESIFEEDDTYENINAPKWVDLSAPCETMDDEAWFCKPGCKHPKSAEDYLKSKHYSKVKLLRSMTISEILPFRDRTRREAKAKNGEKGSKSAENVNEDNENWNPNLSTPPLRAKTMPKKLAKKSSMEEKTQLDDLRDNSAKSDKKRGLRSTFSARNLVAGREILSQITEFCAELKKMARKGSKKGAPEKAPNGVLGELKERVRERERMPLLVVKERKSKEQV >EOY09349 pep chromosome:Theobroma_cacao_20110822:5:28462569:28463835:-1 gene:TCM_024773 transcript:EOY09349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MTHTRISMRLNGLIFLLHVKLWMMKPGSANLFVIHGGCKHPKSAEDYLKSKHYSKVKLLRSMTISEILPFRDRTRREAKAKNGEKGSKSAENVNEDNENWNPNLSTPPLRAKTMPKKLAKKSSMEEKTQLDDLRDNSAKSDKKRGLRSTFSARNLVAGREILSQITEFCAELKKMARKGSKKGAPEKAPNGVLGELKERVRERERMPLLVVKERKSKEQV >EOY09350 pep chromosome:Theobroma_cacao_20110822:5:28462569:28464031:-1 gene:TCM_024773 transcript:EOY09350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MTHTRISMRLNGLIFLLHVKLWMMKPGSANLVKLLRSMTISEILPFRDRTRREAKAKNGEKGSKSAENVNEDNENWNPNLSTPPLRAKTMPKKLAKKSSMEEKTQLDDLRDNSAKSDKKRGLRSTFSARNLVAGREILSQITEFCAELKKMARKGSKKGAPEKAPNGVLGELKERVRERERMPLLVVKERKSKEQV >EOY09948 pep chromosome:Theobroma_cacao_20110822:5:31922207:31923122:-1 gene:TCM_025322 transcript:EOY09948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein family protein, putative MAILNLVNNLFTIGNFGATITRNRLVSAAVRPRFIQASSKQEAQAACDKAKEAVKQGANEAKKTGENIKDKATSTADQVGQKTKEMAGKATDAAQEMTAKAKQTAQNAWDSAKETAQKAKDTVLGKADETKEAIKENAEKIKQSINTKN >EOY11380 pep chromosome:Theobroma_cacao_20110822:5:39137399:39141900:-1 gene:TCM_026583 transcript:EOY11380 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein, putative isoform 1 APNHFQRSPTLSDPRYFGKSHWPRDGKLNDYRFFHNGNHDENSLKYYQPCSHQVTLSMASPMRFERTKSENNEPNRMNPQQPVLDVAPLNCVPYIGPLNPGDMFSSPTKEQTKASETIGPAMIFLPSQSTREELDNMMANTKYGVALTGAAATGAIGPVRGLRDISDLEDSYFFRVNVPGASMEKGDFSCDIEPDGTVVIKGISTTGEKVVYWGSLVFDMLTQNLGPLGPFTISFQLPGPVNPQEVVSRLADGIFEAIVKKK >EOY11379 pep chromosome:Theobroma_cacao_20110822:5:39137412:39141875:-1 gene:TCM_026583 transcript:EOY11379 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein, putative isoform 1 MASPMRFERTKSENNEPNRMNPQQPVLDVAPLNCVPYIGPLNPGDMFSSPTKEQTKASETIGPAMIFLPSQSTREELDNMMANTKYGVALTGAAATGAIGPVRGLRDISDLEDSYFFRVNVPGASMEKGDFSCDIEPDGTVVIKGISTTGEKVVYWGSLVFDMLTQNLGPLGPFTISFQLPGPVNPQEVVSRLADGIFEAIVKKK >EOY08141 pep chromosome:Theobroma_cacao_20110822:5:4956147:4964352:-1 gene:TCM_022480 transcript:EOY08141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFVEASRRLPRARWGVPGRDNLDWGKLRIAEGGIRSRKSVTVVRHFPPGCGRNVRGQPENDKEKVTVASKPLRKVSGVRYFSLGCGRNAAPVSDEEYRRIQQAWIEEQRKKKSQKEENQEEDLQEDSE >EOY07098 pep chromosome:Theobroma_cacao_20110822:5:428086:430495:-1 gene:TCM_021610 transcript:EOY07098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S4 (RPS4A) family protein MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTNEDFRLLYDTKGRFRLHAITGDETKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHIQDAAGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSVIEEARKRLAAQNAV >EOY09701 pep chromosome:Theobroma_cacao_20110822:5:30621075:30622147:1 gene:TCM_025091 transcript:EOY09701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSRGVCIASTRTEQENVESLLYVSRHRWGFNAGINIYCKWSHLHVIREMLCQVNELENFKRTCFGHIMDVEAENSLFCTSFVHNLMLRRINKPDAKEVKIQQVFDTFKGGQFQQGERISDSLHHCLNMR >EOY11128 pep chromosome:Theobroma_cacao_20110822:5:38061161:38062591:1 gene:TCM_026396 transcript:EOY11128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWLQSLLFPLKKLWFRMHSAPRKSRGIYILYEDVKSCPDEDVHVLWSILVESHPPQITSRQ >EOY09626 pep chromosome:Theobroma_cacao_20110822:5:30276950:30277691:1 gene:TCM_025032 transcript:EOY09626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MGVVIIDGSTVRAFVTDEEQFKKSMDESFAALDLNNDGVLSRSELRKAFESLRLIETHFGVDVATSPEQLTQLYDSIFDKFDCDGSGSVDLPEFRSEMKKILLAIADGLGSCPIQMALEDDDQSFLKKAADLEAAKLEAQAS >EOY08002 pep chromosome:Theobroma_cacao_20110822:5:3836170:3840826:-1 gene:TCM_022318 transcript:EOY08002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein, putative isoform 2 MTKKKAPGKKKQKIKELNHIDNVPQFTKLSRKAASKAKRSSSSSPASPSTPGSLPECSYDRDEASSVTLTSFDDKKDGRKKLHDSVYCRKSWGKRPGFELNFYEFPVVESVALNKVSDPCSGRIVHRKVVEQDSDKKKLKVTGSNGVCITPGNVVWAKTACQVWWPAEIIGQRSILADSRIQHNEEHVLVKFYGKHNSAWVNAARDLSMLEDCFEERSCNPMENFQDALKQALVQRKEHIKSCRQLPRSHDSSSHSDQQDRKSGKWTSSTSSKTGSNLVKQGGSKKEPKPTIHLDDATFPSKSAKGARRIKIMRYLGLTAPIGSPF >EOY08003 pep chromosome:Theobroma_cacao_20110822:5:3836550:3840610:-1 gene:TCM_022318 transcript:EOY08003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein, putative isoform 2 MTKKKAPGKKKQKIKELNHIDNVPQFTKLSRKAASKAKRSSSSSPASPSTPGSLPECSYDRDEASSVTLTSFDDKKDGRKKLHDSVYCRKSWGKRPGFELNFYEFPVVESVALNKVSDPCSGRIVQKVVEQDSDKKKLKVTGSNGVCITPGNVVWAKTACQVWWPAEIIGQRSILADSRIQHNEEHVLVKFYGKHNSAWVNAARDLSMLEDCFEERSCNPMENFQDALKQALVQRKEHIKSCRQLPRSHDSSSHSDQQDRKSGKWTSSTSSKTGSNLVKQGGSKKEPKPTIHLDDATFPSKSAKGARRIKIMRYLGLTAPIGSPF >EOY08004 pep chromosome:Theobroma_cacao_20110822:5:3836550:3840713:-1 gene:TCM_022318 transcript:EOY08004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein, putative isoform 2 MTKKKAPGKKKQKIKELNHIDNVPQFTKLSRKAASKAKRSSSSSPASPSTPGSLPECSYDRDEASSVTLTSFDDKKDGRKKLHDSVYCRKSWGKRPGFELNFYEFPVVESVALNKVSDPCSGRIVQKVVEQDSDKKKLKVTGSNGVCITPGNVVWAKTACQVWWPAEIIGQRSILADSRIQHNEEHVLVKFYGKHNSAWVNAARDLSMLEDALVQRKEHIKSCRQLPRSHDSSSHSDQQDRKSGKWTSSTSSKTGSNLVKQGGSKKEPKPTIHLDDATFPSKSAKGARRIKIMRYLGLTAPIGSPF >EOY07601 pep chromosome:Theobroma_cacao_20110822:5:2109647:2110561:-1 gene:TCM_021991 transcript:EOY07601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MAPKAEKKPAEKKPVAEKAPASAEKRPKAEKKISKEGGDKKKKKIKKSTETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >EOY10232 pep chromosome:Theobroma_cacao_20110822:5:33603955:33612426:1 gene:TCM_025586 transcript:EOY10232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein phosphatase MKELKAEYCDELLNIFPSFVLGVFYKLEILRVTDCGSLEEVYELQAQGLEIKDTCVVAFQLKEMRLFRLPKLKHVWNKHPQGNISFQTLRIVDVWECWSLKSLFPFSIAKGLPQLERLIVQECGVEEIVSKNEGLEQEIRFEFNHLSFIKLWILRSLKCFYPGKHTAMWPVLKKLRIHRCGKIKILGQLESLIQQPLMEKVIPQLEEALISSDEIAKICDGPYSEKLFCHLKVLWVSYYLNESVVSSFSFLRRFYNLEALYVLHGNFKELSLYEGNFGEEKEVWMLPKIKKLHLEYLCKVTHLWKQESLLGHICASLETLEVWNCDSLINLGSSSASFENLTTLDVWKCKGIIELITSSKAQSLVRLVQMRIRECEMVKEVVASEGDDEATYEIIFRELKRLELHCLASLRSFCSGNYTLKFPSLEQVIVSQCPRLNSFCHGALSTPKLQRVQLEPTHYKGRWIGDLNATVEQLYEEKVCYLIFF >EOY09227 pep chromosome:Theobroma_cacao_20110822:5:27343231:27346199:1 gene:TCM_024631 transcript:EOY09227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein, putative isoform 1 MAESLAFTIGEQLLEKLLNLPEKLGTAAYQEICLAWGIKSDLEKLKGTLSTVKAVLLDAEEKQTHNHELREWLAKLKNAYYDAEDVLDEFEVEALRRQVLKQRSLGRKVCHFFSRSNPVASAFRMGHKIKSIRERFDEIAALKVKFHLVERDGPDHEDSRSLLQMRESDSSLEPNVVGRNEDKEKIIEMLMHPTDGIGEAIPVLPIVGIAGLGKTVLAKLVFNDERIDKHFRLKIWVCVSEDFDFKQLMMKTIKSATGENCSDMTKEQLYKVLRRCLGGKRYLLILDDVWNEEIRDWIDQALLMDRVNGSKIIVTTRSNRVAAITGTLPQYNLKDLPYEESLSLFLQHAFKKGNEKQPLNLVKIGEEIVKKCKGIPLAVKTLASQLCFETAEDEWKRVRDNEIWELEQEGKGIFPALRLSYDRLPPHLKRCFAYCSVFTKDYVISNIQMVSFWVALGLLKSSKENEGLEAVGKRYLRELWARSLFQEFQETPFLIRFKMHDLLHDFAQRLAKDECSVVKSCSQDLSQTVRHLSIVNPHLLHQGAPRFLDKAGRVQTLFFPNMEKFNSVSFIEECVSRCSRLRVLDLSVSSFEILPRNIGKWKHLRYLNLSDNISIKRLPNSISKLQSLQTLFLAGCTAIEELPRGIRYMISLRMLFITTKQRVLPENEIGCLKSLQFLSFSECDNLEYLFQGMQNLTSLRTMLILLCPSLVSLPHGIKYLTALQGLVIWGCEKLSLDMELEFEGKQDGSLQSLAIGELPKLVALPKWLLLGSTKTLQQLIIMGCENLTALPDWFQDVAALQLLKIAGCPKLSSLPDGMQHLTFLNQLAIQACPTLSKRCKPGIGEDWPKIAHVTKIVLDGIEISPANDHY >EOY09228 pep chromosome:Theobroma_cacao_20110822:5:27343041:27346533:1 gene:TCM_024631 transcript:EOY09228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein, putative isoform 1 MAESLAFTIGEQLLEKLLNLPEKLGTAAYQEICLAWGIKSDLEKLKGTLSTVKAVLLDAEEKQTHNHELREWLAKLKNAYYDAEDVLDEFEVEALRRQVLKQRSLGRKVCHFFSRSNPVASAFRMGHKIKSIRERFDEIAALKVKFHLVERDGPDHEDSRSLLQMRESDSSLEPNVVGRNEDKEKIIEMLMHPTDGIGEAIPVLPIVGIAGLGKTVLAKLVFNDERIDKHFRLKIWVCVSEDFDFKQLMMKTIKSATGENCSDMTKEQLYKVLRRCLGGKRYLLILDDVWNEEIRDWIDQALLMDRVNGSKIIVTTRSNRVAAITGTLPQYNLKDLPYEESLSLFLQHAFKKGNEKQPLNLVKIGEEIVKKCKGIPLAVKTLASQLCFETAEDEWKRVRDNEIWELEQEGKGIFPALRLSYDRLPPHLKRCFAYCSVFTKDYVISNIQMVSFWVALGLLKSSKENEGLEAVGKRYLRELWARSLFQEFQETPFLIRFKMHDLLHDFAQRLAKDECSVVKSCSQDLSQTVRHLSIVNPHLLHQGAPRFLDKAGRVQTLFFPNMEKFNSVSFIEECVSRCSRLRVLDLSVSSFEILPRNIGKWKHLRYLNLSDNISIKRLPNSISKLQSLQTLFLAGCTAIEELPRGIRYMISLRMLFITTKQRVLPENEIGCLKSLQFLSFSECDNLEYLFQGMQNLTSLRTMLILLCPSLVSLPHGIKYLTALQGLVIWGCEKLSLDMELEFEGKQDGSLQSLAIGELPKLVALPKWLLLGSTKTLQQLIIMGCENLTALPDWFQDVAALQLLKIAGCPKLSSLPDGMQHLTFLNQLAIQACPTLSKRCKPGIGEDWPKIAHVTKIVLDGIEISPANDHY >EOY09736 pep chromosome:Theobroma_cacao_20110822:5:30805957:30808456:-1 gene:TCM_025125 transcript:EOY09736 gene_biotype:protein_coding transcript_biotype:protein_coding description:SufE/NifU family protein isoform 1 MLRLVSKRLLGLASHEFPPRPVQILPRLYHENVIDHYNNPRNVGSFDKNDPNVGTGLVGAPACGDVMKLQIKIDDESGKIVDACFKTFGCGSAIASSSVATEWVKGKSMEEVVTIKNTSSSLSHAFNFLSSKFLSALSSSHICIWEIAKHLALPPVKLHCSMLAEDAIKAAVKDVEAKRAKLNGSSNAAPIEKAADA >EOY09737 pep chromosome:Theobroma_cacao_20110822:5:30805954:30808409:-1 gene:TCM_025125 transcript:EOY09737 gene_biotype:protein_coding transcript_biotype:protein_coding description:SufE/NifU family protein isoform 1 MLRLVSKRLLGLASHEFPPRPVQILPRLYHENVIDHYNNPRNVGSFDKNDPNVGTGLVGAPACGDVMKLQIKIDDESGKIVDACFKTFGCGSAIASSSVATEWVKGKSMEEVVTIKNTEIAKHLALPPVKLHCSMLAEDAIKAAVKDVEAKRAKLNGSSNAAPIEKAADA >EOY09962 pep chromosome:Theobroma_cacao_20110822:5:31962328:31978147:1 gene:TCM_025331 transcript:EOY09962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin related protein 4C MNNFLLKHQVLRSPLQSATSLLLFLKPQAHQVTNVCLSLFISKMSGHEDVDSENTGVDGIVFYDFDDSPPYAAPAPTEQEKTVAAKVESAPIISSYNDKIRPLLDAIDKLRLLMVMKEGIQLPTIVVVGDQSSGKSSVLDSLAGVNLPRSQGICTRVPLIIRLQNHSSPRPDLYLEYSGKVVPVDESYIATAINLATDEVAGNGKGISNTPLTLVVKKDGVPDLTMVDLPGITRVPVHGQPENIYEQIRDIIMQYITPKESIILNVLSASVDFSTCESIKMSRQVDKTGERTLAVVTKADKAPEELLQKVTADDVNIGLGYVCVRNRIGDESHEEASREEARLFQTHPHLSRIDKSIVGVPVLARKLVQIQANTIARCLPEIVKNISEKLNANVSELERMPKALTSVADAMTAFMRIIGAVKESLRKLLWRGDFDEYPDGSSKHGTARFVEMLNQFSYELHNCEESDPTKDFLAEEIKVLEDAKGIELPNFLSREVFLRILQRKVERISCTPIKFAETIWDYIDGVVMAVLMRHSEMYPQLKVSTTRAAHNLVQKMRGQSINRVKEIVQMEKLTCYTCNPEYMVEWTKLMNQQDNFTKNLNTGPNHMWMPSSVMVEGFGHIAVEHLRHHSCILLQQAFDLKMRMTAYWKIVLQRLVDSMALHLRYCVHNLVNNNMEEIVNELIGPDGHGIEMMLVESPAIAAKREKLKKSIKLLKESKDVVAKVMDRIASYGELAA >EOY07827 pep chromosome:Theobroma_cacao_20110822:5:2877192:2882610:1 gene:TCM_022150 transcript:EOY07827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase MEIAVKESTMVCPAEETPNRRLWVSNLDLVMTIYHVPTVYFYRPNGSSDFFDTKVLKESLGKILVPFYPIAGRLGYDENGRLEIICNAKGVLFIEAETTSIMDDLVQDFTDGSKVPQLAPKIDYSGGISSYPLLGLQVITFKCGGISLGVSCQHTLVDGSSGLHFINSRANTVRGLSPSIAPCLDLFRITADQLNTLKAKVNENSNSNTKYSTYSILTAHIWRCATKARDLLEDQQLKLNMPIDGRNRLHPSLPPGYFGNVISMAALFTLAGDLLSESFIDTVKRIHKILKEMHNEYLRSAIDYIEIAPDIEAIRRGPQTFRCPNLLINSWKWLPIHDSDFGWGCPIFMRPANIVHEGKVCMLPSPTKDGSLTLVTRLETSHMKLFGKLLYEF >EOY07565 pep chromosome:Theobroma_cacao_20110822:5:1985198:1989334:1 gene:TCM_021968 transcript:EOY07565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAPKTRSQKDYNGAQNDSKDGGSSYFQKTVSLHDWWLVKADKDFEGKRLAVAGSTSRELEAVRLFTSAPIVKRYDVFTLETADGICVCIKGFINRHRTEENGFSSEVFTHFSFGFPPYWEEYAKKCLGENGTTDIELEVVCNSSKPARDSDPSLILTPIEHVEVASQDKHVQMSCFQTASKGSDVLNPAVNLSSKVEKRSNLNIGVAIDCSHNLSEQIAAAVDASNASNTQDPAAKLLTSVEERINHSPSNIETDNVNVRKRKNRVNISPYISGNRRTRSQIIKGSPNESSGVGCSMTPKERASATELQDEQKLSRRITRSFPSMLSHVNERCSSGKETRRKLDFEKVASPVSRERKGEQSVISPESLSLKCSRSGRLLLPRLEFWRNQIAVYDQTRKITGIREEADVVKPSGSRSEPQKRQKRLSRVS >EOY07566 pep chromosome:Theobroma_cacao_20110822:5:1985198:1989392:1 gene:TCM_021968 transcript:EOY07566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAPKTRSQKDYNGAQNDSKDGGSSYFQKTVSLHDWWLVKADKDFEGKRLAVAGSTSRELEAVRLFTSAPIVKRYDVFTLETADGICVCIKGFINRHRTEENGFSSEVFTHFSFGFPPYWEEYAKKCLGENGTTDIELEVVCNSSKPARDSDPSLILTPIEHVEVASQDKHVQMSCFQTASKGSDVLNPAVNLSSKVEKRSNLNIGVAIDCSHNLSEQIAAAVDASNASNTQDPAAKLLTSVEERINHSPSNIETDNVNVRKRKNRVNISPYISGNRRTRSQIIKGSPNESSGVGCSMTPKERASATELQDEQKLSRRITRSFPSMLSHVNERCSSGKETRRKLDFEKVASPVSRERKGEQSVISPESLSLKCSRSGRLLLPRLEFWRNQIAVYDQGVDLSLRKGKSVCQEFHKEIWPLQWNYNA >EOY07567 pep chromosome:Theobroma_cacao_20110822:5:1985288:1987368:1 gene:TCM_021968 transcript:EOY07567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAPKTRSQKDYNGAQNDSKDGGSSYFQKTVSLHDWWLVKADKDFEGKRLAVAGSTSRELEAVRLFTSAPIVKRYDVFTLETADGICVCIKGFINRHRTEENGFSSEVFTHFSFGFPPYWEEYAKKCLGENGTTDIELEVVCNSSKPARDSDPSLILTPIEHVEVASQDKHVQMSCFQTASKGSDVLNPAVNLSSKVEKRSNLNIGVAIDCSHNLSEQIAAAVDASNASNTQDPAAKLLTSVEERINHSPSNIETDNVNVRKRKNRVNISPYISGNRRTRSQIIKGSPNESSGVGCSMTPKERASATELQDEQKLSRRITRSFPSMLSHVNERCSSGKETRRKLDFEKVVSFLVLCF >EOY10797 pep chromosome:Theobroma_cacao_20110822:5:36569099:36593622:-1 gene:TCM_026109 transcript:EOY10797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription activators isoform 2 MAAVPVEEAIAALSTFSLEDEQPEVQGPAVLVSTERGATNSPIEYSDVSAYRLSLSEDTKALNQLNTLILEGKEMASVLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERCINGPTITHLWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSVQWPDTDSMREELDDLQIFLSSRWAILLNLHVEMFRVNNVEDILQVLIVFAVESLELDFALLFPERHMLLRVLPVLVVMATSSEKDSESLYKRVKINRLISIFKELPPREAQEYPRHYLIVNHIGAIRAEHDDFAIRFASSINQLLLLKSTDGADVEWCKEVKGNMYDMVVEGFQLLSRWTARVWEQCAWKFSRPCKDAGPSESQELLASYSDYEKVVRYNYSAEERKALVEVVSYIKSVGSMMQRSDTLVADALWETIHAEVQDFVQNTLATMLRTTFRKKKDLSRILSDMRTLSADWMANSSKPESEYQSLQHGGDESRGNFFYPRPVAPTATQVHCLQFLIYEVVSGGNLRKPGGLFGNSGSEIPVNDLKQLETFFYKLSFFLHILDYSATIATLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVLESQSAGLLESVLMPFDIYNDSAQHALVYLKQRFLYDEIEAEVDHCFDIFVAKLCEAIFTYYKSWSASELLDPSFLFALDNGEKYSIQPMRFTSLLKMTRVKFLGRTIDLRSLIAERMNKVFRENLEFLFDRFESQDLCAIVELEKLLDILKHSHELLSKDLSIDSFSLMLNEMQENISLVSFSSRLASQIWSEMQSDFLPNFILCNTTQRFIRSSKVPLAPVQKPSVPHAKPNFYCGTQDLNSAHQSYARLHSGFFGIPHMISVVKLLGSRSLPWLIRALLDHISNKIAALEPMITGLQEALPKSIGLLPFDGGVTGCMRLVKEQLSWGTKSELKAEVLCGIKEIGSVLYWMGLLDIVLRELDTTHFMQTAPWLGLLPGADGQTLQSQNGGDSPVVNLFKSATATIVSNPRCPNPTSFYTMSKQAEAADLLYKANLNTGSVLEYALAFTSAALDKYCSKWSAAPKTGFIDITTSKDFYRIYSGLQIGYLEQSIQLQPNNHDMLGDSVAWGGCTIIYLLGQQLHFELFDFSYQILNVAEVEAVSITQTHRSPHFGQGWDTLLEAMKKARRLNNHVFSMLKARCPLEDKTACAIKQSGAPLHRIKFENTVSAFETLPQKGT >EOY10796 pep chromosome:Theobroma_cacao_20110822:5:36568839:36593651:-1 gene:TCM_026109 transcript:EOY10796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription activators isoform 2 MAAVPVEEAIAALSTFSLEDEQPEVQGPAVLVSTERGATNSPIEYSDVSAYRLSLSEDTKALNQLNTLILEGKEMASVLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERCINGPTITHLWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSVQWPDTDSMREELDDLQIFLSSRWAILLNLHVEMFRVNKYPVSYVVEDILQVLIVFAVESLELDFALLFPERHMLLRVLPVLVVMATSSEKDSESLYKRVKINRLISIFKNDPVIPAFPDLHLSPAAILKELSMYFQKFSSQTRLLTLPSPHELPPREAQEYPYNLDIITYQRHYLIVNHIGAIRAEHDDFAIRFASSINQLLLLKSTDGADVEWCKEVKGNMYDMVVEGFQLLSRWTARVWEQCAWKFSRPCKDAGPSESQELLASYSDYEKVVRYNYSAEERKALVEVVSYIKSVGSMMQRSDTLVADALWETIHAEVQDFVQNTLATMLRTTFRKKKDLSRILSDMRTLSADWMANSSKPESEYQSLQHGGDESRGNFFYPRPVAPTATQVSPLYKLFHACRDFLLCEFSASDCLKAFILLSVHCLQFLIYEVVSGGNLRKPGGLFGNSGSEIPVNDLKQLETFFYKLSFFLHILDYSATIATLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVLESQSAGLLESVLMPFDIYNDSAQHALVYLKQRFLYDEIEAEVDHCFDIFVAKLCEAIFTYYKSWSASELLDPSFLFALDNGEKYSIQPMRFTSLLKMTRVKFLGRTIDLRSLIAERMNKVFRENLEFLFDRFESQDLCAIVELEKLLDILKHSHELLSKDLSIDSFSLMLNEMQENISLVSFSSRLASQIWSEMQSDFLPNFILCNTTQRFIRSSKVPLAPVQKPSVPHAKPNFYCGTQDLNSAHQSYARLHSGFFGIPHMISVVKLLGSRSLPWLIRALLDHISNKIAALEPMITGLQEALPKSIGLLPFDGGVTGCMRLVKEQLSWGTKSELKAEVLCGIKEIGSVLYWMGLLDIVLRELDTTHFMQTAPWLGLLPGADGQTLQSQNGGDSPVVNLFKSATATIVSNPRCPNPTSFYTMSKQAEAADLLYKANLNTGSVLEYALAFTSAALDKYCSKWSAAPKTGFIDITTSKDFYRIYSGLQIGYLEQSIQLQPNNHDMLGDSVAWGGCTIIYLLGQQLHFELFDFSYQILNVAEVEAVSITQTHRSPHFGQGWDTLLEAMKKARRLNNHVFSMLKARCPLEDKTACAIKQSGAPLHRIKFENTVSAFETLPQKGT >EOY07139 pep chromosome:Theobroma_cacao_20110822:5:599015:600719:-1 gene:TCM_021652 transcript:EOY07139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphate dependent pyruvate decarboxylase family protein MDTKIGSVDICKPTSNDIGSPPNGIVATIQGSVSPTVINSSEATLGRHLARRLVQVGVTDVFSVPGDFNLTLLDHLIAEPELKLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDFGTNRILHHTIGLPDFSQELRCFQTVTCYQAVVNNLEDAHELIDTAISTALKESKPVYISISCNLAAIPHATFSREPVAFSLCPKLSNKMGLEAAVEATAEFLNKAVKPVLVGGPKLRVAKACEAFVNLADASGYALAVMPSAKGLVPEHHPRFIGTYWGAVSTAFCAEIVESADAYLFAGPIFSDHSSVGYSLLLKKEKAIIVQPDRVVIASGPAFGCVLMKDFLGALAKRLKHNNTAYENYHRIFVTQGHPLKASPREPLRVNIMFQHIQNLLSSETAVIVETGDSWFNCQKLKLPGGCG >EOY09129 pep chromosome:Theobroma_cacao_20110822:5:26317967:26321604:1 gene:TCM_024521 transcript:EOY09129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin oxidase/dehydrogenase 1 isoform 1 MGSPACGFLKQSNVIFLPFFMILVLSCIPGRTNLCSNHSLDTPTIPPHSGSSSIPLSLKSLALDGYFRFENIDHAAKDFGNIYHYLPIAVLHPKSVSDISSTIKHIFHMASVTKLAVAAKGRGHSLQGQAQAYQGVVINMESLERPSMHVQTGEVPYVDVSGGELWINILQETLKYGLSPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQINNVYQLEVVTGKGEVLTCSDKQNADLFYGVLGGLGQFGIITRARISLAPARTMVKWIRVLYSEFSAFSNDQEHLISSENTFDYVEGFVIINRTGLLHNWRSSFNPKNPIQASQFRSDGKILYCLEMVKYFNPEETDILKSIENLLSELNYIPSTLFLSEVSYVEFLDRVHLSEIKLRSKGLWEVPHPWLNLLIPKSRIFDFTQEVFGNIVKDNSNGPILIYPVNKAKWNNRTSMVTPEEDIIYLVAFLSSALPSSTGTDGLEHIMTQNQRILDFCAEAQLGAKQYLPHYSTQDEWQAHFGPQWETFVQRKSAYDPLAILAPGQKIFQKAIPIS >EOY09128 pep chromosome:Theobroma_cacao_20110822:5:26317967:26325018:1 gene:TCM_024521 transcript:EOY09128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin oxidase/dehydrogenase 1 isoform 1 MGSPACGFLKQSNVIFLPFFMILVLSCIPGRTNLCSNHSLDTPTIPPHSGSSSIPLSLKSLALDGYFRFENIDHAAKDFGNIYHYLPIAVLHPKSVSDISSTIKHIFHMASVTKLAVAAKGRGHSLQGQAQAYQGVVINMESLERPSMHVQTGEVPYVDVSGGELWINILQETLKYGLSPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQINNVYQLEVVTGKGEVLTCSDKQNADLFYGVLGGLGQFGIITRARISLAPARTMVKWIRVLYSEFSAFSNDQEHLISSENTFDYVEGFVIINRTGLLHNWRSSFNPKNPIQASQFRSDGKILYCLEMVKYFNPEETDILKSIENLLSELNYIPSTLFLSEVSYVEFLDRVHLSEIKLRSKGLWEVPHPWLNLLIPKSRIFDFTQEVFGNIVKDNSNGPILIYPVNKAKWNNRTSMVTPEEDIIYLVAFLSSALPSSTGTDGLEHIMTQNQRILDFCAEAQLGAKQYLPHYSTQDEWQAHFGPQWETFVQRKSAYDPLAILAPGQKIFQKAIPIS >EOY08486 pep chromosome:Theobroma_cacao_20110822:5:9165723:9170864:1 gene:TCM_022950 transcript:EOY08486 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain protein 1 isoform 1 MATYFHGNPEIQAPDGLQTLVLMNPAYVQYSDTAPPPANNLVFLNSNSLSPHAPSPHTQQFVGIPLPATSSANQDPSSHDISPLHGLVQRVHYNLYNSIDPSGGARDTPRAQQGLSLSLSSQQHPGYGSQAQAVSGEDMRVSGGSASSGSGVTNGVSGMQSVLLSSKYLKAAQELLDEVVNVNNTGITKSELAKKGSGNNNNSSKAVGESLAVAGDGSGGGEAGGKRGAELTTAERQEIQMKKAKLISMLDEVDHRYRQYHHQMQIIISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIRAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEVKEHEQNGSEDKSSKSQNNEDSASKSTAPEKSPANENHVKSLNSKQDNLTSQNASSMSISTASTSPFAGNVRNQSGFSLIGSSELEGITQGSPKKPRSTELLQSPSSVPSINIDIKQSEANNEVSMKFGKEGYSFMGTNTNFMGGFGQYPIGEIGRFDAEQFTPRFSGNGVSLTLGLPHCENLSLSGTHQTLLPNPNLQMGRRLDIGEPNEFATINPSAPHSSAAYENISIQNRKRFAAQLLPDFVA >EOY08484 pep chromosome:Theobroma_cacao_20110822:5:9165603:9170384:1 gene:TCM_022950 transcript:EOY08484 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain protein 1 isoform 1 MATYFHGNPEIQAPDGLQTLVLMNPAYVQYSDTAPPPANNLVFLNSNSLSPHAPSPHTQQFVGIPLPATSSANQDPSSHDISPLHGLVQRVHYNLYNSIDPSGGARDTPRAQQGLSLSLSSQQHPGYGSQAQAVSGEDMRVSGGSASSGSGVTNGVSGMQSVLLSSKYLKAAQELLDEVVNVNNTGITKSELAKKGSGNNNNSSKAVGESLAVAGDGSGGGEAGGKRGAELTTAERQEIQMKKAKLISMLDEVDHRYRQYHHQMQIIISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIRAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEVKEHEQNGSEDKSSKSQNNEDSASKSTAPEKSPANENHVKSLNSKQDNLTSQNASSMSISTASTSPFAGNVRNQSGFSLIGSSELEGITQGSPKKPRSTELLQSPSSVPSINIDIKQSEANNEVSMKFGKEGYSFMGTNTNFMGGFGQYPIGEIGRFDAEQFTPRFSGNGVSLTLGLPHCENLSLSGTHQTLLPNPNLQMGRRLDIGEPNEFATINPSAPHSSAAYENISIQNRKRFAAQLLPDFVA >EOY08485 pep chromosome:Theobroma_cacao_20110822:5:9165603:9170384:1 gene:TCM_022950 transcript:EOY08485 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain protein 1 isoform 1 MATYFHGNPEIQAPDGLQTLVLMNPAYVQYSDTAPPPANNLVFLNSNSLSPHAPSPHTQQFVGIPLPATSSANQDPSSHDISPLHGLVQRVHYNLYNSIDPSGGARDTPRAQQGLSLSLSSQQHPGYGSQAQAVSGEDMRVSGGSASSGSGVTNGVSGMQSVLLSSKYLKAAQELLDEVVNVNNTGITKSELAKKGSGNNNNSSKAVGESLAVAGDGSGGGEAGGKRGAELTTAERQEIQMKKAKLISMLDEVDHRYRQYHHQMQIIISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIRAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEVKEHEQNGSEDKSSKSQNNEDSASKSTAPEKSPANENHVKSLNSKQDNLTSQNASSMSISTASTSPFAGNVRNQSGFSLIGSSELEGITQGSPKKPRSTELLQSPSSVPSINIDIKQSEANNEVSMKFGKEGYSFMGTNTNFMGGFGQYPIGEIGRFDAEQFTPRFSGNGVSLTLGLPHCENLSLSGTHQTLLPNPNLQMGRRLDIGEPNEFATINPSAPHSSAAYENISIQNRKRFAAQLLPDFVA >EOY09101 pep chromosome:Theobroma_cacao_20110822:5:26053864:26061307:1 gene:TCM_024486 transcript:EOY09101 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetyltransferases,N-acetyltransferases, putative isoform 1 MHPRKSSSSLSPILVGNCEVLIDANKYSCNSDSNSLQISISKNTNIKISVREEMNTKSGNDLLPSKSEGKGEEGRCSAAKNKYMFVLVNPKDVDGATKSYLQEALKLYNGELPTMNYAANTGKQSMFLERCLSNGKYCTLLLKYKPFEEFEEVIAAITYQIIPADTQFAEIPLAAVNSIYQHKGFGRFLYMELKKRLQSVGIRTILCWGDEESEGFWLKQGFVSIAEVDKKGRARRLPIKADIRKALCFPGGSTLMVSHLSKDASAAGEYLSFSYPLKLYEKSQSSAPDKSLGQKAANVAAKQCSCSSQGAKRKVWEASLSSLKTKKVKGTHEIDSHQNGCQLDSNRRIIADSDRYGSWLDRCSVSTDKSLVEVTPGVSLINNFMESEAQEGRPNCMTPEALATKELQSNRDCFRIMLMNIADDTKKTHLTKVIENLGGTPTSDGRISTHIVTGKVRKTLNFCTAFCSGAWIVSPSWLKESFREGKFVDELPYILHDEDYVLKYRTELKDSIFRAKARPGALLKGYNLCIAAHVQPPVTTLSAIIRSAGGNIIRGVDKVKEASKTIFIACADDMEEALSAVKKGIWTFSSEWLMNCVMRQELDLEAPQFAESL >EOY09100 pep chromosome:Theobroma_cacao_20110822:5:26053634:26061371:1 gene:TCM_024486 transcript:EOY09100 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetyltransferases,N-acetyltransferases, putative isoform 1 MHPRKSSSSLSPILVGNCEVLIDANKYSCNSDSNSLQISISKNTNIKISVREEMNTKSGNDLLPSKSEGKGEEGRCSAAKNKYMFVLVNPKDVDGATKSYLQEALKLYNGELPTMNYAANTGKQSMFLERCLSNGKYCTLLLKYKPFEEFEEVIAAITYQIIPADTQFAEIPLAAVNSIYQHKVHCLPRCLDVFHSLVCCLLLFKISLSSGLLEEWTYTVSNNTGDGCFSNYDNGFGRFLYMELKKRLQSVGIRTILCWGDEESEGFWLKQGFVSIAEVDKKGRARRLPIKADIRKALCFPGGSTLMVSHLSKDASAAGEYLSFSYPLKLYEKSQSSAPDKSLGQKAANVAAKQCSCSSQGAKRKVWEASLSSLKTKKVKGTHEIDSHQNGCQLDSNRRIIADSDRYGSWLDRCSVSTDKSLVEVTPGVSLINNFMESEAQEGRPNCMTPEALATKELQSNRDCFRIMLMNIADDTKKTHLTKVIENLGGTPTSDGRISTHIVTGKVRKTLNFCTAFCSGAWIVSPSWLKESFREGKFVDELPYILHDEDYVLKYRTELKDSIFRAKARPGALLKGYNLCIAAHVQPPVTTLSAIIRSAGGNIIRGVDKVKEASKTIFIACADDMEEALSAVKKGIWTFSSEWLMNCVMRQELDLEAPQFAESL >EOY08412 pep chromosome:Theobroma_cacao_20110822:5:7932636:7937116:1 gene:TCM_022828 transcript:EOY08412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase G, putative MSRPWLLVFLVFLIVFTSQFEWKQQFGEEIEPTPTVSLKDQYVSKRQESVKEKIILSQERNIQKLNELVRSLREQLLLCRAENEVINGSAFALTEHLTELEQQPMLDD >EOY07992 pep chromosome:Theobroma_cacao_20110822:5:3755038:3756269:1 gene:TCM_022309 transcript:EOY07992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin-like protein 1a MEVQVIFAFALAIFFFYGAQSATFTFTNNCPYTVWPATLTGAGPQLSSTGFELASKASSTLNVPAPWTGRFWARTQCANTNGKFECATADCGSGQVTCNGAGAIPQASLIEFTLAANSGQDFYDVSLVDGFNLPLSVTPQGGSDGCSAASCPANVNAACPPELQVKGSDGAVIACKSACLAFNQPQYCCTGAYNSPNTCQPTIYSKIFKSQCPQAYSYAFDDKSSTFTCTGGANYLITFCPRRFDYVQIVMTNDHK >EOY08912 pep chromosome:Theobroma_cacao_20110822:5:22937584:22944488:1 gene:TCM_024183 transcript:EOY08912 gene_biotype:protein_coding transcript_biotype:protein_coding description:F5I14.9 protein MATVKNGQVVLKGYIEGAPKKDDMEMKIGTVELKAPKGSGALLVKNLYLSCDPYMRARMRNFHGSYIQPFVPGQPIEGFGVAKVLDSDNPNFKPGDFISGFTCWEEYSLIHNTSQLRKIQPDDSIPLSYHLGLLGIAPFLRNLLCMPGFTAYAGFYEICSPKKGECVFVSAASGAVGQLVGQLAKLHGCYVVGSAGSSQKVDLLKNKLGFDEAFNYKEETDLDAALKRYFPQGIDIYFDNVGGAMLDAALLNMQVHGRIAVCGMVSLHSYSDPKGIHNLFCLVTKRIKMQGFLQSDYMHKFPQFLEHVTDSYKQGKIVYIEDMTEGLETAPAAFVGLFSGKNIGKQVVCVARE >EOY10429 pep chromosome:Theobroma_cacao_20110822:5:34730962:34748400:1 gene:TCM_025795 transcript:EOY10429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 60 MVMAPFESSFYSRKPRKKREERKRKEAKEVELESKAMGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKLKKFQSALEPNMAQDSTATSHQFVSKSLNERRSLDFGTPAASTTLRLNQTSSSSSTYASSTENISRLLEGWMRSSPKTNSSNSTTLLKEKWSHHQNNNSFDNNDSIGSTSAAAPTSLQGGYRRKAEQEGGELISHEEFESILSFENMNNVAWDKSTCDSTSKGTCQDSGNDDDKVNNVTMTPETRKQKADQSNNNNPPLSFLEKWLLDESSGQVEEMNQMMELSSIF >EOY10398 pep chromosome:Theobroma_cacao_20110822:5:34486102:34490685:-1 gene:TCM_025761 transcript:EOY10398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 3 MAEDTLYLQLHKLSAVNSDEILDQILTTLWKTRRSGLRPPDKSRIQSFLSLPSLPELDPVLACLRSLIRKCVHENFNGDDLLKLFPPDLPLDLQSTLILLFQKYQSQWKEEISKEQHPLQRTSLSYQVKASAPSSFAPLSSSDIPTSLWPRQDDPITRINLSDFGASTPIIADAAGSNVAPLSIQQDDGPPDNLEVLPRLKSMTWTMENLNSAPANRVAIIHLKLQDYTKSASGEMEVKFQLTKDTLEAILRSMTYISEQLSRMAEPSLEPTQKKPKQ >EOY09672 pep chromosome:Theobroma_cacao_20110822:5:30450940:30455007:1 gene:TCM_025066 transcript:EOY09672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAF23201.1, putative MESEVFSPPRDLVKCCDCGCTTCSLIGDPSSTWLRSVKRKYDEFETGNGFYVPGLDLYSNARVQIENECAALRETVSSQQAAIHDLYAELEEERNASSSAATEAMSMILKLQREKAEIQMEARQFKRFAEEKMAHDQQEITVLEDLLYKREQAIQALTCEVQAYKHRMMSYGLTEAEAEGEKDVQIRNLGVAENFGVQVDLPAYDYPPLKCNLNENPGDDVEDVEKYAFGETPRAREHLRNLEQRICQMERNPSSSHLSHVDGDFPGTKNVLEKVIVGHSPRRPKHSRRVSTDSLNSVLAKEMGSEFTSDSPRFNIGSPRFNTSSPRFNTSFKKVEFVSEMEEISSSRRMDNASEVGDDMSDRVYTIDSVHNGVPYNGTAEPKPGVGICDDYASTPRETLNLPDVSDPDIKKLYMRLQALEVDRESMRQAIISMRTDKAQLVLLKEIAQHLCKDMSPERRVIVRKPSILGSLPFMSVFKWVVSFIFWKRKARRSKYLYGLSANNVGLLMLLDKGPRLRQWRCLSSTQV >EOY11001 pep chromosome:Theobroma_cacao_20110822:5:37398559:37403943:-1 gene:TCM_026264 transcript:EOY11001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole carboxylase atpase-subunit isoform 5 MLQKSSNAVFSSSSESSSFFAFRPSHSLLPPPTPLSLRFFSMTADDDHPHHRKLHLRHSSTSSFKLLNPVLACGASPDSHQTSSSLRNDDGSPVHGLSESIVGVLGGGQLGRMLCQAASKMAIKVMVLDPSENCPASALAYDHMVGSFDDSATVQEFAKRCGVLTVEIEHVDVATLERLEQQGVDCEPRASTIRIIQDKYLQKVHFSQHAIPLPEFMEIDDLEGAKRAGDLFGYPLMIKSKRLAYDGRGNAVAKSEEELPSAVSALGGFGRGLYVEKWAPFVKELAVIVARGRDNSILCYPVVETIHKENICHIVKAPADVPWKIRKLANDVAYKAISSLEGAGVFAVELFLTKDGQILLNEVAPRPHNSGHHTIESCYTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNLLGEDEGEPGFKMAHQLIARALEIPGATVHWYDKPEMRKQRKMGHITLVGPSMGVVEARLNSMLKEEVSENQNEVSPRVGIIMGSDSDLPVMKDAARILDMFGVSCEVRIVSAHRTPELMFSYASSARERGIQVIIAGAGGAAHLPGMVAALTPLPVIGVPVRASTLDGIDSLLSIVQMPRGVPVATVAVNNATNAGLLAVRMLGVGDADLLARMSQYQEDTRDDVLTKAQRLQNNGWEAYLNH >EOY11002 pep chromosome:Theobroma_cacao_20110822:5:37398879:37403815:-1 gene:TCM_026264 transcript:EOY11002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole carboxylase atpase-subunit isoform 5 MLQKSSNAVFSSSSESSSFFAFRPSHSLLPPPTPLSLRFFSMTADDDHPHHRKLHLRHSSTSSFKLLNPVLACGASPDSHQTSSSLRNDDGSPVHGLSESIVGVLGGGQLGRMLCQAASKMAIKVMVLDPSENCPASALAYDHMVGSFDDSATVQEFAKRCGVLTVEIEHVDVATLERLEQQGVDCEPRASTIRIIQDKYLQKVHFSQHAIPLPEFMEIDDLEGAKRAGDLFGYPLMIKSKRLAYDGRGNAVAKSEEELPSAVSALGGFGRGLYVEKWAPFVKELAVIVARGRDNSILCYPVVETIHKENICHIVKAPADVPWKIRKLANDVAYKAISSLEGAGVFAVELFLTKDGQILLNEVAPRPHNSGHHTIESCYTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNLLGEDEGEPGFKMAHQLIARALEIPGATVHWYDKPEMRKQRKMGHITLVGPSMGVVEARLNSMLKEEVSENQNEVSPRVGIIMGSDSDLPVMKDAARILDMFGVSCEVRIVSAHRTPELMFSYASSARERGIQVW >EOY11004 pep chromosome:Theobroma_cacao_20110822:5:37399447:37403697:-1 gene:TCM_026264 transcript:EOY11004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole carboxylase atpase-subunit isoform 5 MLQKSSNAVFSSSSESSSFFAFRPSHSLLPPPTPLSLRFFSMTADDDHPHHRKLHLRHSSTSSFKLLNPVLACGASPDSHQTSSSLRNDDGSPVHGLSESIVGVLGGGQLGRMLCQAASKMAIKVMVLDPSENCPASALAYDHMVGSFDDSATVQEFAKRCGVLTVEIEHVDVATLERLEQQGVDCEPRASTIRIIQDKYLQKVHFSQHAIPLPEFMEIDDLEGAKRAGDLFGYPLMIKSKRLAYDGRGNAVAKSEEELPSAVSALGGFGRGLYVEKWAPFVKELAVIVARGRDNSILCYPVVETIHKENICHIVKAPADVPWKIRKLANDVAYKAISSLEGAGVFAVELFLTKDGQILLNEVAPRPHNSGHHTIESCYTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNLLGEDEGEPGFKMAHQLIARALEIPGATVHWYDKPEMRKQRKMGHITLVGPSMGVVEARLNSMLKEEVSENQNEVSPRVGIIMGSDSDLPVMKDAARILDMFGVSCEVRIVSAHRTPELMFSYASSARERGIQVIIAGAGGAAHLPGE >EOY11005 pep chromosome:Theobroma_cacao_20110822:5:37398879:37403815:-1 gene:TCM_026264 transcript:EOY11005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole carboxylase atpase-subunit isoform 5 MLQKSSNAVFSSSSESSSFFAFRPSHSLLPPPTPLSLRFFSMTADDDHPHHRKLHLRHSSTSSFKLLNPVLACGASPDSHQTSSSLRNDDGSPVHGLSESIVGVLGGGQLGRMLCQAASKMAIKVMVLDPSENCPASALAYDHMVGSFDDSATVQEFAKRCGVLTVEIEHVDVATLERLEQQGVDCEPRASTIRIIQDKYLQKVHFSQHAIPLPEFMEIDDLEGAKRAGDLFGYPLMIKSKRLAYDGRGNAVAKSEEELPSAVSALGGFGRGLYVEKWAPFVKELAVIVARGRDNSILCYPVVETIHKENICHIVKAPADVPWKIRKLANDVAYKAISSLEGAGVFAVELFLTKDGQILLNEVAPRPHNSGHHTIESCYTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNLLGEDEGEPGFKMAHQLIARALEIPGATVHWYDKPEMRKQRKMGHITLVGPSMGVVEARLNSMLKEEVSENQNEVSPRVGIIMGSDSDLPVMKDAARILDMFGVSCEVRIVSAHRTPELMFSYASSARERGIQVE >EOY11003 pep chromosome:Theobroma_cacao_20110822:5:37399428:37403815:-1 gene:TCM_026264 transcript:EOY11003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole carboxylase atpase-subunit isoform 5 MLQKSSNAVFSSSSESSSFFAFRPSHSLLPPPTPLSLRFFSMTADDDHPHHRKLHLRHSSTSSFKLLNPVLACGASPDSHQTSSSLRNDDGSPVHGLSESIVGVLGGGQLGRMLCQAASKMAIKVMVLDPSENCPASALAYDHMVGSFDDSATVQEFAKRCGVLTVEIEHVDVATLERLEQQGVDCEPRASTIRIIQDKYLQKVHFSQHAIPLPEFMEIDDLEGAKRAGDLFGYPLMIKSKRLAYDGRGNAVAKSEEELPSAVSALGGFGRGLYVEKWAPFVKELAVIVARGRDNSILCYPVVETIHKENICHIVKAPADVPWKIRKLANDVAYKAISSLEGAGVFAVELFLTKDGQILLNEVAPRPHNSGHHTIESCYTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNLLGEDEGEPGFKMAHQLIARALEIPGATVHWYDKPEMRKQRKMGHITLVGPSMGVVEARLNSMLKEEVSENQNEVSPRVGIIMGSDSDLPVMKDAARILDMFGVSCEVRIVSAHRTPELMFSYASSARERGIQVIIAGAGGAAHLPG >EOY08103 pep chromosome:Theobroma_cacao_20110822:5:4522065:4526344:1 gene:TCM_022423 transcript:EOY08103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein isoform 1 MDRLSSTTILPDTFQGTRDDFSMQMAVIWGQIKAPLIVPLLRLTVIVCLIMSLMLFIERVYMGIVIMLVKLFGRKPERRYKWEPIKDDVELGNSAYPMVLVQIPMYNEREVYQLSIGAACGLSWPSDRIIIQVLDDSTDPTIKDLVELECQRWASKGINIKYEIRDNRNGYKAGALKEGMKRSYVKHCDYVVIFDADFQPEPDFLWRTIPFLVHNPELALVQARWKFVNADECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLGSLKVKNELPSTLKAYRYQQHRWSCGPANLFRKMVMEIITNKKVSSWKKVHVIYSFFVVRKLVAHIVTFIFYCVVLPATVLVPEVEVPKWGAVYIPTIITILNAVGTPRSLHLLVFWILFENVMSLHRTKATFIGLLEAGRVNEWIVTEKLGDALKAKAGTKAPRKPRFRLHLLELCVG >EOY08104 pep chromosome:Theobroma_cacao_20110822:5:4522065:4526344:1 gene:TCM_022423 transcript:EOY08104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein isoform 1 MDRLSSTTILPDTFQGTRDDFSMQMAVIWGQIKAPLIVPLLRLTVIVCLIMSLMLFIERVYMGIVIMLVKLFGRKPERRYKWEPIKDDVELGNSAYPMVLVQIPMYNEREVYQLSIGAACGLSWPSDRIIIQVLDDSTDPTIKDLVELECQRWASKGINIKYEIRDNRNGYKAGALKEGMKRSYVKHCDYVVIFDADFQPEPDFLWRTIPFLVHNPELALVQARWKFVNADECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLGSLKVKNELPSTLKAYRYQQHRWSCGPANLFRKMVMEIITNKKVSSWKKVHVIYSFFVVRKLVAHIVTFIFYCVVLPATVLIASLIGLLDPL >EOY08102 pep chromosome:Theobroma_cacao_20110822:5:4522015:4526629:1 gene:TCM_022423 transcript:EOY08102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein isoform 1 MDRLSSTTILPDTFQGTRDDFSMQMAVIWGQIKAPLIVPLLRLTVIVCLIMSLMLFIERVYMGIVIMLVKLFGRKPERRYKWEPIKDDVELGNSAYPMVLVQIPMYNEREVYQLSIGAACGLSWPSDRIIIQVLDDSTDPTIKDLVELECQRWASKGINIKYEIRDNRNGYKAGALKEGMKRSYVKHCDYVVIFDADFQPEPDFLWRTIPFLVHNPELALVQARWKFVNADECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLGSLKVKNELPSTLKAYRYQQHRWSCGPANLFRKMVMEIITNKKVSSWKKVHVIYSFFVVRKLVAHIVTFIFYCVVLPATVLVPEVEVPKWGAVYIPTIITILNAVGTPRSLHLLVFWILFENVMSLHRTKATFIGLLEAGRVNEWIVTEKLGDALKAKAGTKAPRKPRFRFGERLHLLELCVGAYLFFCGCYDVVFGKNHYFIYLFAQAIAFFIMGFGYVGTIVPNS >EOY09875 pep chromosome:Theobroma_cacao_20110822:5:31453744:31454835:-1 gene:TCM_025245 transcript:EOY09875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TAU 25 MEVWNHKSPLLPSDPYQRSQALFWGDYLDKKMYSCGKRIWVGKEDQEEAKEELMQILKTMEGELGDKLYFGGDSIGYVDVALVPFTSWFYTYETCGNFSIEADCPKLIAWAKRCKESESVSKALPDPLEIYGYLLQLKKRLGLN >EOY08043 pep chromosome:Theobroma_cacao_20110822:5:4151679:4159836:1 gene:TCM_022366 transcript:EOY08043 gene_biotype:protein_coding transcript_biotype:protein_coding description:F21M11.16 protein isoform 1 MARSSRSKRRSDDDSASESESDETDRPESSPDKRSSKRSRDRSRRSKSKSSRHSHSRSRRDHDPNDDFSGDDSEGSDRGHSKKKRSSRNITEEEIAEYMAKKAQKKAMRAAKKLKAQTVSGYSNDSNPFGDSNLNEKFVWRKKIERDVAQGVPLDTFSVKAEKKRQKERMAEIEKVKKRREERAVEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRMKSIDVLSKHLNGSDDMDIELNEPYMVFKGLTVKEMEELRDDIKMHLDLDRATPTHIEYWEALMVVCDWELAEAQKKDALDRARVRGEEPPAELLVEERGLHSSIEADVKNLLEGKTHHELEALQSQIESQMRTGTAKVVEYWEAVLKRLHIFKAKACLKEIHAKMLRKHLQRLEQPSEGEDRPESHHGLRSGEEDSDHDVKDVETYSPEPILQEETYEVEEEAGSFSPELLHGDENEEAIDPEEDRAILERKRMAVLEEQQRRMQEAMAAKPTPSEDNFELKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPAFTIEKDGNSTETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRYRYRR >EOY08044 pep chromosome:Theobroma_cacao_20110822:5:4151864:4158049:1 gene:TCM_022366 transcript:EOY08044 gene_biotype:protein_coding transcript_biotype:protein_coding description:F21M11.16 protein isoform 1 MARSSRSKRRSDDDSASESESDETDRPESSPDKRSSKRSRDRSRRSKSKSSRHSHSRSRRDHDPNDDFSGDDSEGSDRGHSKKKRSSRNITEEEIAEYMAKKAQKKAMRAAKKLKAQTVSGYSNDSNPFGDSNLNEKFVWRKKIERDVAQGVPLDTFSVKAEKKRQKERMAEIEKVKKRREERAVEKAQHEEEMALLARERARAEFQDWEKKEEEGLTVKEMEELRDDIKMHLDLDRATPTHIEYWEALMVVCDWELAEAQKKDALDRARVRGEEPPAELLVEERGLHSSIEADVKNLLEGKTHHELEALQSQIESQMRTGTAKVVEYWEAVLKRLHIFKAKACLKEIHAKMLRKHLQRLEQPSEGEDRPESHHGLRSGEEDSDHDVKDVETYSPEPILQEETYEVEEEAGSFSPELLHGDENEEAIDPEEDRAILERKRMAVLEEQQRRMQEAMAAKPTPSEDNFELKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPAFTIEKDGNSTETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRYRYRR >EOY08045 pep chromosome:Theobroma_cacao_20110822:5:4151864:4158049:1 gene:TCM_022366 transcript:EOY08045 gene_biotype:protein_coding transcript_biotype:protein_coding description:F21M11.16 protein isoform 1 MARSSRSKRRSDDDSASESESDETDRPESSPDKRSSKRSRDRSRRSKSKSSRHSHSRSRRDHDPNDDFSGDDSEGSDRGHSKKKRSSRNITEEEIAEYMAKKAQKKAMRAAKKLKAQTVSGYSNDSNPFGDSNLNEKFVWRKKIERDVAQGVPLDTFSVKAEKKRQKERMAEIEKVKKRREERAVEKAQHEEEMALLARERARAEFQDWEKKEEEGLTVKEMEELRDDIKMHLDLDRATPTHIEYWEALMVVCDWELAEAQKKDALDRARVRGEEPPAELLVEERGLHSSIEADVKNLLEGKTHHELEALQSQIESQMRTGTAKVVEYWEAVLKRLHIFKAKACLKEIHAKMLRKHLQRLEQPSEGEDRPESHHGLRSGEEDSDHDVKEPILQEETYEVEEEAGSFSPELLHGDENEEAIDPEEDRAILERKRMAVLEEQQRRMQEAMAAKPTPSEDNFELKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPAFTIEKDGNSTETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRYRYRR >EOY07153 pep chromosome:Theobroma_cacao_20110822:5:645824:646964:-1 gene:TCM_021661 transcript:EOY07153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPFIIFCGVDSLPLFFNYPSEFNGNDEAECKETRRFPFWFTAPARISCCILVPISWRLFNNFVRNFARNHMIHPEDDDYLETVRLNSYTLGFTCALVLSLY >EOY09757 pep chromosome:Theobroma_cacao_20110822:5:30923853:30926060:1 gene:TCM_025141 transcript:EOY09757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT5G16380) TAIR;Acc:AT5G16380] MGFSIFSLFPALSLTFLFISLSFPTAQSSPSSIYDHLERNGLPMGLLPKGITEFSIDPETHRFQVNLTEPCNAKFENQLHYDFIISGVLSFGKIANLSGVTQQELFLWFPVISIRVDDPSSGLINFDVGVVDKQFSLSLFESPRDCTAVDPDDSHTQSDSQKPSELLGNGIVEGDMLKAVS >EOY09758 pep chromosome:Theobroma_cacao_20110822:5:30923853:30926060:1 gene:TCM_025141 transcript:EOY09758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT5G16380) TAIR;Acc:AT5G16380] MGFSIFSLFPALSLTFLFISLSFPTAQSSPSSIYDHLERNGLPMGLLPKGITEFSIDPETHRFQVNLTEPCNAKFENQLHYDFIISGVLSFGKIANLSGVTQQELFLWFPVISIRVDDPSSGLINFDVGVVDKQFSLSLFESPRDCTAVDPDDSHTQSDSQPSELLGNGIVEGDMLKAVS >EOY11639 pep chromosome:Theobroma_cacao_20110822:5:39900652:39903351:-1 gene:TCM_026754 transcript:EOY11639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin 1b isoform 1 AIRERNPSVLCLIIVSLIAVTSAKVFFEERFEDGWENRWVKSDWKKDEKTAGEWNFTSGKWNGDPNDKGIQTSEDYRFYAISAEFPELNNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILNYNETNHLIKKEVPCETDQLTHIYTFILRPDATYSILVDNVEKQTGSLYTDWDLLPPKKIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDDEDGEWTAPTIANPEYNGPWKPKKIKNPNYKGKWKAPLIDNPDFKDDPDIYVFPKLKYVGIELWQMFVFVR >EOY11638 pep chromosome:Theobroma_cacao_20110822:5:39899614:39903391:-1 gene:TCM_026754 transcript:EOY11638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin 1b isoform 1 MAIRERNPSVLCLIIVSLIAVTSAKVFFEERFEDGWENRWVKSDWKKDEKTAGEWNFTSGKWNGDPNDKGIQTSEDYRFYAISAEFPELNNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILNYNETNHLIKKEVPCETDQLTHIYTFILRPDATYSILVDNVEKQTGSLYTDWDLLPPKKIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDDEDGEWTAPTIANPEYNGPWKPKKIKNPNYKGKWKAPLIDNPDFKDDPDIYVFPKLKYVGIELWQVKSGTLFDNVLVADDPEYAKTLAEETWGKQKDAEKAAFEEAEKKREEEESKDDPADSDVEDEDDDTDDAEGNESDSDTKSDASKESEEIKEETEEDVHDEL >EOY10754 pep chromosome:Theobroma_cacao_20110822:5:36299271:36313615:-1 gene:TCM_026057 transcript:EOY10754 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 9 MSLVEFLSMAKTESAAAGEETELKKELGRVVTTILEEEDIGLKTIEATRILCNLAELKLKKPVGLGVDDAVMPEKFKCPLSGERMGDPVILASGQTFDRPHIQKWLNEGNLTCPLSKQVLSHTILTPNYLVRELISDWCIRHGIALPKSYQDVDGNMNTEVDQIYLNSLLERMSSCISDQKEAAKELRRLTKTTRSYRAVFCEFTDAISRLLSPLLQSKVELDPSVQEDLITTVLNLSIHGNNKKLIAENPIVIPVLIESMKFGTIETRRNAAAALFSLSALDSNKFIIGNSGALVPLLELLHERHPLAMIDAASAIFSLCIVSENRAKFIEIGIVKVMLQKIKDGIFVDELLSLLALLSTDQNVVEELGDLDTLRWLLQIIRDSSSKLAKENCVAILYNVCLKDLTMLMVIRAEEIKKHTLAEIVDTGTARARRKASGIIKKIHKVFPAIQSLRK >EOY08367 pep chromosome:Theobroma_cacao_20110822:5:6989177:6991921:1 gene:TCM_022728 transcript:EOY08367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEHYCEIPVVLNTLCKKSVTLSSDADGGAIAHRTNPLYRRSPFNPLIGHHPEANDNNICWRIQPKHRWHLNLKMTSDYKLRCCQFEALLQFMVAQGVVLENCYETLANCGFVFS >EOY10568 pep chromosome:Theobroma_cacao_20110822:5:35390678:35394201:-1 gene:TCM_025901 transcript:EOY10568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MGKSSSFLYQVSQHRFPATFKGFFYFLPISLALTTLLLIFIYISTTGDVTNNHAQTTLYLETLPGTASVSSLVDQTIPTIPFENNDNDDLFADPSRMARLARANQWFLGNLFGLTNGNYTNNQEVYHDGDLFLEDYKQMNKSLKIYVYPHSKDDPFANVLLPPDSDSKGNYASELMFKKALMKSHFITKDPNEADLFYMPFSISPMRTDPRIDVHGIPDFVKSYISNITRKYPYWNRTGGADHFYVACHSIGKIAFDKAFVARLNVIQLVCSSTYFPSSYLPHKDASMPQVWPRQGDPPNLLTSERKRLAFFAGAVNSPVRIALLKVWANDTEIFAHFGRLRTPYSEQLLGSKFCIHVKGYEVNTARVADALFYGCVPVILANHYDLPFTDILNWKSFAVVVHHIDIPVLKKILQGISNEEYSMLQSNAVKVRKHFQWNVPPLDFDAFHMSLYELWKRRSVVRVRLTPSMEFM >EOY07625 pep chromosome:Theobroma_cacao_20110822:5:2226403:2230626:1 gene:TCM_022012 transcript:EOY07625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MESCAKVHCRHQPLHLSFNHNRPSFPKPISSLSFRTSPIKLSSIRASSSSSSSSAPLCQNPKPSLFQTLTPLLKTTCIAVTVAAALFSTRFHQKPALAAPIVTPTVESTSTDTNISLEDQEKTIEEHLTQYPNDAEALRSLMEVRIKAKKLQQAIEVIDRLIELEPEDTEWPMLKAQIHSYGGDFELAKKEFEEILAKDPVRVEAYHGLVMAYSESGQKMKELEKRIEGAMEKCKKEKKYKDFRDFKLLIAQIRVIEGNHWEALKVYEALVKEEPRDFRPYLCMGILYTLLKKKDEAEKQFEKFRKLVPRNHPYREYFVDNMVATKIFGEKAEREGAGKI >EOY07637 pep chromosome:Theobroma_cacao_20110822:5:2276650:2281881:1 gene:TCM_022022 transcript:EOY07637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 2 MDFLFFNMNDTCKECEKDLEKGLSPPHWPAQLVETIPRPSPTTPRPALVLSNSGKALMASNSGKALVVSNSGKALVVSNSGKFLAGSNSGKRFDKKKYVKQVTGRHNDTELHLAAQRGDVGAVRQILAEIDAQMMGTLSGAEFDAEVAEIRLAVVNEVNQLGETAMFTAAEKGHLDVVMELLQYTTKEGISLKNRSGFDPLHIAANQGHEAIVRVLLDHDPGLSKTVGQANATPLVSAATKGHTAVVNELLSKDPSLLEISKSNGKNPLHFAARQGHVDIVKALLDKDPQLARRTDKKAQTALHMAVKGVSCEVVRLLLQADSAIVMLPDKFGNTALHVATRKKRAEIVNELLHLPDTSVNALTRDHKTALDIAEGLPLSEEIAEIKDCLSRYGAVRANELNQPRDELRKTVTEIKKDVHTQLEQTRKTNRNVNGIAVELRKLHRAGIYNATNSVTVVAVLFATVAFAAIFTVPGGDNDSGMAVAVSRASFKIFFIFNALALFISLAVVVVQITIVRGEMKSERRVVEIINKLMWLASVFTSISFMSSSYIVVGRHNKWAANFVTVIGGVIMAGVLGTMTYFVVKNKRIRKVKKKEKNSRNATHSLRLSDSDSEVNPIYAI >EOY07636 pep chromosome:Theobroma_cacao_20110822:5:2276186:2281230:1 gene:TCM_022022 transcript:EOY07636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 2 MGSGKEEGYILEFMDFLFFNMNDTCKECEKDLEKGLSPPHWPAQLVETIPRPSPTTPRPALVLSNSGKALMASNSGKALVVSNSGKALVVSNSGKFLAGSNSGKRFDKKKYVKQVTGRHNDTELHLAAQRGDVGAVRQILAEIDAQMMGTLSGAEFDAEVAEIRLAVVNEVNQLGETAMFTAAEKGHLDVVMELLQYTTKEGISLKNRSGFDPLHIAANQGHEVHESSVIYLHVKKHVTAFVLKDQSHVLIHDKLTIATSEIDVLAKGQSMYSLSQFNNYLYAAIVRVLLDHDPGLSKTVGQANATPLVSAATKGHTAVVNELLSKDPSLLEISKSNGKNPLHFAARQGHVDIVKALLDKDPQLARRTDKKAQTALHMAVKGVSCEVVRLLLQADSAIVMLPDKFGNTALHVATRKKRAEIVNELLHLPDTSVNALTRDHKTALDIAEGLPLSEEIAEIKDCLSRYGAVRANELNQPRDELRKTVTEIKKDVHTQLEQTRKTNRNVNGIAVELRKLHRAGIYNATNSVTVVAVLFATVAFAAIFTVPGGDNDSGMAVAVSRASFKIFFIFNALALFISLAVVVVQITIVRGEMKSERRVVEIINKLMWLASVFTSISFMSSSYIVVGRHNKWAANFVTVIGGVIMAGVLGTMTYFVVKNKRIRKVKKKEKNSRNATHSLRLSDSDSEVNPIYAI >EOY09277 pep chromosome:Theobroma_cacao_20110822:5:27914942:27922026:1 gene:TCM_024700 transcript:EOY09277 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H, putative MDRMERAQKEMREQLAKMMELMMSLSKGKRAIEEPAPLENPPAQDSENQRDDPSYPPGFTPPHAQTSQRVHPQVMPSIYYNAPPPLGHQPNHGQFGPYPGINPAEPINVPDLDDPKEQEKLRKDSSQTGENEKDQKKYDLLEERLRAIEGVDRFGTMDATELCLVPDVLIPAKFKVQALIKAGLLNFAKKDNSNVDGNPLPNHGGPTVNAIHEGMIRRVKKNVNEIRTPMDRVFEALSKIKAITPESIEIKEVGHDLTLSCKFHMGVVGHSIQNCDGFRLKLQELMDLSEIEFYEESEEEEFWKKIIPFLYETFRFVGCKASDEVNQVPRVFDELSIHMIKDEELNEIPMVYPVLPGEELSNWTATELPIIFKSSKMSLNNEHEDNLDNDLNIDFEIILNIDELKNEEEVDDYSLPPDLSRMLEQEENEILPHQELTEMIDLGNGEEKKEVKIGTSLSFDERQKLEELLREYVDVFAWSYQDMPGLNTDMVVHKLPLEPDCKPIKQKLRRMKPEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEEVKRQFDAGFLEVTKYPEWVANIVPVPKKDGKVRVCVDYRDLNRASPKDSFPLPHIDTLVDNTAKHALFSFMDGFSCYNQIKMAPEDMEKTTFVTMWGTFCYKVMPFGLKNAGATYQRAMVALFHDMMHKEIEVYVDDMIAKSHTERDHTVNLKKLFERLRKFQLKLNPVKCTFGVTSGKLLGFIVSEKGIEVDPDKIRAIQELPPPKTQKEVRGFLGRLNYIARFISQLTCKCDPIFKLLRKRDPREWNEECQIAFDKIKEYLTNPPVLIPPTVEKPLILYLTVNKNSMGCVLGQHDETGKKERAVYYLSKKFMEYESKYSALEKMCCALAWTAQRLRQYMLYHTTWLVAKLDPIKYIFEKPCLSGRIARWQVLLSEYDIVYVSQKSIKGSAIADFLADRVNEDYESVSFDFPDEDMMAVLHIEEVGPNELNPWKVYFDGASNAFGHGIGAVLIFPNGKYYPATTRLNFNCNNNMAEYEALVMGLQAAIEMKADAIDVYGDSALVICQMKGEWETRDPKLVPYKKLVIELSKQFKEISFNHLPREENRIADALATLAAMFKIKEAADVRPFDLEVREVSAHCLNVEEEVDGRPWYHDIRQYIKHQAYPENVTDNDKRTLRRLAMGFFLSGEVLYKRSRDQVLLRCVDVAEANKIMKEVHEGTCGAHANGHMLARQIMRAGYYWLTLESDCINFARKCHKCQVYADRIHAPPAPLHVFTAPWPFSMWGMDVIGLITPKASNGHRFILVAIDYFTKWVEAASYANVTQKVVCKFIQKEIICRYGLPERIITDNASNLNGAMVKDVCAKFKIKHHNSTTYRPKMNGAVEAANKNIKKIVEKMTEVYKDWHEKLPFALHAYRTSVRTSTGATPYSLVYGAEAVLPVEVEIPSLRVLMETKLEDAEWVRSRYEQLNLIEEKRLAALCHGQMYQRRMIRAYEKKVHPRQFREGELVLKRILPNQTDFRGKWMPNWEGPYVVKKAFSGGALILILTDMDGGDLPNPINTDAVKKYYA >EOY08696 pep chromosome:Theobroma_cacao_20110822:5:18113852:18123642:-1 gene:TCM_023756 transcript:EOY08696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disproportionating enzyme 2 isoform 1 MANLGSSSATKSMKSVKLKFRIPYFTEWGQRLVVCGSEPTLGSWNVKKGLLLSPFHQGDELIWTGTVAVPCRFCCEYSYYVVDDAKNVLRWEMGNKRKLILPPLLQEGGQTLELHDLWQTGGDALPFRSAFKDVIFCKGSTLNIDRPEVILQDKLDQGESVLVHFKICCPNVEEGTSVYVIGSSTKLGNWNVQDGLKLQYTGEYIWEAYCVIPRSDFPIKYKYCKYGKNGCLSLEIGSTRELSIDSSKSQLQYIFLSDGMLREMPWRGAGVAIPMFSVRSEVDLGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSENMPEDIKNEIRNAKERLDGKDVDYEATMATKLSIAKKVFMQEKDLILNSSSFHKFFSANKDWLKPYAAFCFLRDFFETSDHSQWGRFSNYSKDKLEKLVSKDTSHYDAICFHYYVQFHLHLQLSEAAAYARAKGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMGKYFTAYRIDHILGFFRIWELPDHAMTGLIGKFRPSIPLSQEELEREGIWDFDRLTRPYVRKEFLQEKFGDSWTLIVPTFLNEYLDRYEFKEDCNTEKKIAAKLKSCAEKSLLPESEDKIRHDLFDLLKNIVLIRDPEYARNFYPRFNLEDTSSFRDLDDHSKNVLKRLYYDYYFHRQEKLWQQNALKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPDLEFGFPSQYSYMTVCAPSCHDCSTLRAWWEEDEERRHRFFNSVMGSDELPPTQCVPDVAYFIIRQHVEAPSMWAIFPLQKCVKLWF >EOY08698 pep chromosome:Theobroma_cacao_20110822:5:18114188:18123642:-1 gene:TCM_023756 transcript:EOY08698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disproportionating enzyme 2 isoform 1 MANLGSSSATKSMKSVKLKFRIPYFTEWGQRLVVCGSEPTLGSWNVKKGLLLSPFHQGDELIWTGTVAVPCRFCCEYSYYVVDDAKNVLRWEMGNKRKLILPPLLQEGGQTLELHDLWQTGGDALPFRSAFKDVIFCKGSTLNIDRPEVILQDKLDQGESVLVHFKICCPNVEEGTSVYVIGSSTKLGNWNVQDGLKLQYTGEYIWEAYCVIPRSDFPIKYKYCKYGKNGCLSLEIGSTRELSIDSSKSQLQYIFLSDGMLREMPWRGAGVAIPMFSVRSEVDLGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSENMPEDIKNEIRNAKERLDGKDVDYEATMATKLSIAKKVFMQEKDLILNSSSFHKFFSANKDWLKPYAAFCFLRDFFETSDHSQWGRFSNYSKDKLEKLVSKDTSHYDAICFHYYVQFHLHLQLSEAAAYARAKGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMGKYFTAYRIDHILGFFRIWELPDHAMTGLIGKFRPSIPLSQEELEREGIWDFDRLTRPYVRKEFLQEKFGDSWTLIVPTFLNEYLDRYEFKEDCNTEKKIAAKLKSCAEKSLLPESEDKIRHDLFDLLKNIVLIRDPEYARNFYPRFNLEDTSSFRDLDDHSKNVLKRLYYDYYFHRQEKLWQQNALKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPDLEFGFPSQYSYMTVCAPSCHD >EOY08695 pep chromosome:Theobroma_cacao_20110822:5:18113177:18123637:-1 gene:TCM_023756 transcript:EOY08695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disproportionating enzyme 2 isoform 1 MANLGSSSATKSMKSVKLKFRIPYFTEWGQRLVVCGSEPTLGSWNVKKGLLLSPFHQGDELIWTGTVAVPCRFCCEYSYYVVDDAKNVLRWEMGNKRKLILPPLLQEGGQTLELHDLWQTGGDALPFRSAFKDVIFCKGSTLNIDRPEVILQDKLDQGESVLVHFKICCPNVEEGTSVYVIGSSTKLGNWNVQDGLKLQYTGEYIWEAYCVIPRSDFPIKYKYCKYGKNGCLSLEIGSTRELSIDSSKSQLQYIFLSDGMLREMPWRGAGVAIPMFSVRSEVDLGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSENMPEDIKNEIRNAKERLDGKDVDYEATMATKLSIAKKVFMQEKDLILNSSSFHKFFSANKDWLKPYAAFCFLRDFFETSDHSQWGRFSNYSKDKLEKLVSKDTSHYDAICFHYYVQFHLHLQLSEAAAYARAKGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMGKYFTAYRIDHILGFFRIWELPDHAMTGLIGKFRPSIPLSQEELEREGIWDFDRLTRPYVRKEFLQEKFGDSWTLIVPTFLNEYLDRYEFKEDCNTEKKIAAKLKSCAEKSLLPESEDKIRHDLFDLLKNIVLIRDPEYARNFYPRFNLEDTSSFRDLDDHSKNVLKRLYYDYYFHRQEKLWQQNALKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPDLEFGFPSQYSYMTVCAPSCHDCSTLRAWWEEDEERRHRFFNSVMGSDELPPTQCVPDVAYFIIRQHVEAPSMWAIFPLQDLLALKEEYTTRPAAEETINDPTNPKHYWRYRVHVTMESLMKDEELKATIKDLIRGSGRSYPPIGEAEKQLSQETAAIALEKKHHVSGPEMTRNGVLQKESAGVM >EOY08697 pep chromosome:Theobroma_cacao_20110822:5:18114122:18122953:-1 gene:TCM_023756 transcript:EOY08697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disproportionating enzyme 2 isoform 1 MANLGSSSATKSMKSVKLKFRIPYFTEWGQRLVVCGSEPTLGSWNVKKGLLLSPFHQGDELIWTGTVAVPCRFCCEYSYYVVDDAKNVLRWEMGNKRKLILPPLLQEGGQTLELHDLWQTGGDALPFRSAFKDVIFCKGSTLNIDRPEVILQDKLDQGESVLVHFKICCPNVEEGTSVYVIGSSTKLGNWNVQDGLKLQYTGEYIWEAYCVIPRSDFPIKYKYCKYGKNGCLSLEIGSTRELSIDSSKSQLQYIFLSDGMLREMPWRGAGVAIPMFSVRSEVDLGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHGMWWDSYPYSSSLSVFALHPLYLRVQALSENMPEDIKNEIRNAKERLDGKDVDYEATMATKLSIAKKVFMQEKDLILNSSSFHKFFSANKDWLKPYAAFCFLRDFFETSDHSQWGRFSNYSKDKLEKLVSKDTSHYDAICFHYYVQFHLHLQLSEAAAYARAKGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMGKYFTAYRIDHILGFFRIWELPDHAMTGLIGKFRPSIPLSQEELEREGIWDFDRLTRPYVRKEFLQQEKFGDSWTLIVPTFLNEYLDRYEFKEDCNTEKKIAAKLKSCAEKSLLPESEDKIRHDLFDLLKNIVLIRDPEYARNFYPRFNLEDTSSFRDLDDHSKNVLKRLYYDYYFHRQEKLWQQNALKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPDLEFGFPSQYSYMTVCVPHHVMTAPPCVPGGKKMKRDGTGFSTL >EOY10936 pep chromosome:Theobroma_cacao_20110822:5:37079437:37085538:-1 gene:TCM_026199 transcript:EOY10936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type one serine/threonine protein phosphatase 4 isoform 1 MEQGILDDIINRLLEVRGRPGKQVQLSESEIRQLCVVSKDIFLQQPNLLELEAPIKICDIHGQYSDLLRLFEYGGLPPNANYLFLGDYVDRGKQSLEAICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTECFNCLPVAALIDEKILCMHGGLSPDLNNLDQIRSLQRPTDVPDTGLLCDLLWSDPSKDVQGWGVNDRGVSFTFGSDKVSEFLQKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPVDKKSKFNFGSTTTAKPGNPSTGVFGSTTTAKPGNSPAGIKSFFGTKV >EOY10935 pep chromosome:Theobroma_cacao_20110822:5:37080362:37085224:-1 gene:TCM_026199 transcript:EOY10935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type one serine/threonine protein phosphatase 4 isoform 1 MEQGILDDIINRLLEVRGRPGKQVQLSESEIRQLCVVSKDIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPNANYLFLGDYVDRGKQSLEAICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTECFNCLPVAALIDEKILCMHGGLSPDLNNLDQIRSLQRPTDVPDTGLLCDLLWSDPSKDVQGWGVNDRGVSFTFGSDKVSEFLQKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPVDKKSKFNFGSTTTAKPGNPSTGVFGSTTTAKPGNSPAGIKSFFGTKV >EOY10917 pep chromosome:Theobroma_cacao_20110822:5:36990665:36997982:1 gene:TCM_026189 transcript:EOY10917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji family protein / zinc finger family protein isoform 1 PDCGKLDQNLRLRLNLPKGVIRGCPECNDCQKVTARWRPEEACRPDLEDAPVFYPTEEEFEDTLKYIASIRPRAEQYGICRIVPPSSWKPPCPLKEKNVWENSRFTTRVQRVDKLQNRDSMRKMSKVNNNMRRKRRRCMRMAVDCGSDSGSISGSADAGFCEVERFGFEPGPEFTLEKFQKYADDFKAQYLRRRENGVDMEGRMTILQEHPEPSVENIEGEYWRVVEKATEEIEVLYGADLETGVFGSGFPKKPSQVEFVLSYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKIWYGVPGKDASKLEEAMRKHLPDLFDEQPDLLHKLVTQLSPSILKYEGVPVYRCVQNAGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQIAIELYREQGRKTSISHDKLLLGAAREAVKALWELNLLKKYTSDNIRWKDMCGKDGVLAKTLKMRVEMEHRGREVLCSSSLAVKMESNFDATSERECSICFFDLHLSAAGCHCSPDRYACLNHAKQFCSCARGAKIFLFRYDINELNILVEALEGKLSAVYRWARLDLGLALSSYVSRDNMLGAKLSHALEVIPKGVQSQPSVNSVKDLPGEEMSKDKPLILAQISAQMLLLQRNKLPEAALPSKVSNAKLKKEETILSASNLRMPVCHFSQEHRPSTGGETAVESRVKKPSAPADDNIILLSDDEGDEPKKPVSERPKEHFITKQSDVSLRLAPSGEAITCNFNNEPILTIPLTDAAVMNQRDASSPDVQRNSCSSHYSQVKDEHAGNDITLFGYNHQNISCHLDSAIAESGRNVQDSCNSTEMYNINNNLVTVESNLQHLLPLESEKANKDKFEKLGAIASSNLVDNAKANVGGPSCSQNNLDRNFRQKGPRIAKVVRRINCNVEPLEFGVVLSGNFWCNSQAIFPKGFKSRVRYINVLDPTNMAYYVSEILDAGRDGPLFMVSVEHCPSEVFIHVSAARCWEMVREKVNQEITKQHRLGRTNLPPLQPPGSLDGFEMFGFSSPAIVQAVEA >EOY10915 pep chromosome:Theobroma_cacao_20110822:5:36988039:36999152:1 gene:TCM_026189 transcript:EOY10915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji family protein / zinc finger family protein isoform 1 MGTELMRVCVKEENDDIPSVPPGFESYASFTLKRAQDTEKQESDNVMCCSAPASTSETSPVKKETELGNRGNAKITRSLRRRPWINYGRYDNSSEEEPDCGKLDQNLRLRLNLPKGVIRGCPECNDCQKVTARWRPEEACRPDLEDAPVFYPTEEEFEDTLKYIASIRPRAEQYGICRIVPPSSWKPPCPLKEKNVWENSRFTTRVQRVDKLQNRDSMRKMSKVNNNMRRKRRRCMRMAVDCGSDSGSISGSADAGFCEVERFGFEPGPEFTLEKFQKYADDFKAQYLRRRENGVDMEGRMTILQEHPEPSVENIEGEYWRVVEKATEEIEVLYGADLETGVFGSGFPKKPSQVEFVSNEKYIKSGWNLNNFPRLPGSVLSYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKIWYGVPGKDASKLEEAMRKHLPDLFDEQPDLLHKLVTQLSPSILKYEGVPVYRCVQNAGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQIAIELYREQGRKTSISHDKLLLGAAREAVKALWELNLLKKYTSDNIRWKDMCGKDGVLAKTLKMRVEMEHRGREVLCSSSLAVKMESNFDATSERECSICFFDLHLSAAGCHCSPDRYACLNHAKQFCSCARGAKIFLFRYDINELNILVEALEGKLSAVYRWARLDLGLALSSYVSRDNMLGAKLSHALEVIPKGVQSQPSVNSVKDLPGEEMSKDKPLILAQISAQMLLLQRNKLPEAALPSKVSNAKLKKEETILSASNLRMPVCHFSQEHRPSTGGETAVESRVKKPSAPADDNIILLSDDEGDEPKKPVSERPKEHFITKQSDVSLRLAPSGEAITCNFNNEPILTIPLTDAAVMNQRDASSPDVQRNSCSSHYSQVKDEHAGNDITLFGYNHQNISCHLDSAIAESGRNVQDSCNSTEMYNINNNLVTVESNLQHLLPLESEKANKDKFEKLGAIASSNLVDNAKANVGGPSCSQNNLDRNFRQKGPRIAKVVRRINCNVEPLEFGVVLSGNFWCNSQAIFPKGFKSRVRYINVLDPTNMAYYVSEILDAGRDGPLFMVSVEHCPSEVFIHVSAARCWEMVREKVNQEITKQHRLGRTNLPPLQPPGSLDGFEMFGFSSPAIVQAVEAIDRNRVCTEYWDSRPYSRPRVQILQHSQLPDNGGNLFRTSGEQSNAGDPRNNCLPGGVDTILRGLFKKANSEELHLLCSILSDKRPPVDVDRVARLLNEEIHRRPG >EOY10916 pep chromosome:Theobroma_cacao_20110822:5:36988760:36999044:1 gene:TCM_026189 transcript:EOY10916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji family protein / zinc finger family protein isoform 1 MGTELMRVCVKEENDDIPSVPPGFESYASFTLKRAQDTEKQESDNVMCCSAPASTSETSPVKKETELGNRGNAKITRSLRRRPWINYGRYDNSSEEEPDCGKLDQNLRLRLNLPKGVIRGCPECNDCQKVTARWRPEEACRPDLEDAPVFYPTEEEFEDTLKYIASIRPRAEQYGICRIVPPSSWKPPCPLKEKNVWENSRFTTRVQRVDKLQNRDSMRKMSKVNNNMRRKRRRCMRMAVDCGSDSGSISGSADAGFCEVERFGFEPGPEFTLEKFQKYADDFKAQYLRRRENGVDMEGRMTILQEHPEPSVENIEGEYWRVVEKATEEIEVLYGADLETGVFGSGFPKKPSQVEFVSNEKYIKSGWNLNNFPRLPGSVLSYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKIWYGVPGKDASKLEEAMRKHLPDLFDEQPDLLHKLVTQLSPSILKYEGVPVYRCVQNAGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQIAIELYREQGRKTSISHDKLLLGAAREAVKALWELNLLKKYTSDNIRWKDMCGKDGVLAKTLKMRVEMEHRGREVLCSSSLAVKMESNFDATSERECSICFFDLHLSAAGCHCSPDRYACLNHAKQFCSCARGAKIFLFRYDINELNILVEALEGKLSAVYRWARLDLGLALSSYVSRDNMLGAKLSHALEVIPKGVQSQPSVNSVKDLPGEEMSKDKPLILAQISAQMLLLQRNKLPEAALPSKVSNAKLKKEETILSASNLRMPVCHFSQEHRPSTGGETAVESRVKKPSAPADDNIILLSDDEGDEPKKPVSERPKEHFITKQSDVSLRLAPSGEAITCNFNNEPILTIPLTDAAVMNQRDASSPDVQRNSCSSHYSQVKDEHAGNDITLFGYNHQNISCHLDSAIAESGRNVQDSCNSTEMYNINNNLVTVESNLQHLLPLESEKANKDKFEKLGAIASSNLVDNAKANVGGPSCSQNNLDRNFRQKGPRIAKVVRRINCNVEPLEFGVVLSGNFWCNSQAIFPKGFKSRVRYINVLDPTNMAYYVSEILDAGRDGPLFMVSVEHCPSEVFIHVSAARCWEMVREKVNQEITKQHRLGRTNLPPLQPPGSLDGFEMFGFSSPAIVQAVEAIDRNRVCTEYWDSRPYSRPRVQILQHSQLPDNGGNLFRTSGEQSNAGDPRNNCLPGGVDTILRGLFKKANSEELHLLCSILSDKRPPVDVDRVARLLNEEIHRRPG >EOY10688 pep chromosome:Theobroma_cacao_20110822:5:35894095:35895400:-1 gene:TCM_025990 transcript:EOY10688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRAPSKLLACPRNHPTGPTIRGKTEEQQNTTRVTHQQHQKRSSQNTETRLHQPSEQIDINFLTWKNPCCNEHF >EOY10778 pep chromosome:Theobroma_cacao_20110822:5:36436073:36443005:1 gene:TCM_026082 transcript:EOY10778 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein MKGVYFLASFLFLALASSFASASDPSPLQDFCVALNDTKNAVFVNGKFCKDPMLAKAEDFFFSGLNVPGNTSNQLGSKVTPVNVEQIPGLNTLGVSLARVDFAPYGGLNPPHTHPRATEILVVLEGTLYVGFVTSNPDNRLITKVLYPGDVFVFPVGLIHFQLNIGKTNAVAFAAFGSQNPGVITIAKAVFGSNPPINPDVLTKAFQLDKNVVKYLQSQFWWDNN >EOY10691 pep chromosome:Theobroma_cacao_20110822:5:35912042:35916602:1 gene:TCM_025993 transcript:EOY10691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRALEVVLAKERERTRLMKKRLRVSQTKLKAKEGECSMLRTEKDRLLALKEEEEKLVAGFLEAEGKEYFNDQKAMINSGGGGGGKGGGFDGVYGRGINYLENSQNLDEKAVIATVKGTNSDGSGSSHGGGNGGCGGKGGGFDGVDGGGINDLENAQNLDEEAVLATVKGTNSDGDGSSHGGGGSGGYGV >EOY07162 pep chromosome:Theobroma_cacao_20110822:5:685731:688131:-1 gene:TCM_046797 transcript:EOY07162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGGNFMHRVISYVVNELVVDRLANSPAFQRFAVRTSKKIEDISSMAVQKRQELAEQMKEITKDFESKNH >EOY10809 pep chromosome:Theobroma_cacao_20110822:5:36644183:36645457:-1 gene:TCM_026121 transcript:EOY10809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MEAEAKANCTKKVVISKRPSSLGKSRKGCKRGKGGPENAMCTYRGVRQRTWGKWVAEIREPNRGNRLWIGTFSTSLDAVLAYDEVARKLYGPSAKLNLPQPRDFPSITSFPGNLVNSCKETGMLGSPAVGESSGSSGSSIQSEERLVRRKISTEGFKGSVFLGNDGEEDFYWPEFSLENDFLKMNDIEVLMGQEFKGNWNGNEIAGIQSQWFF >EOY10007 pep chromosome:Theobroma_cacao_20110822:5:32195058:32200182:1 gene:TCM_025378 transcript:EOY10007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDFHCLPRKELQTLCKKNKIPANITNVAMADALKALEIVEGLDEFMNQSQSPEKTMNKSSQEIPSTVTRTSTRRKPTKEEPQSSQTTTRTRRITRRTMELDEENKNVNVPETPVVATTTSRRAQRTEPEVEEQKKSDLLETPALQSNRRRAGVGSTRRKVEAQKDEGSVQQGYGTRRSVRLLEKCMEGLSLKESGRMEPVKIDEMVEDEIEENKNRQSGATSEENLARNLSVSLEGERDLKDDVHNAENDCTVISEAGSQEPDNLDCLLALDTKDASPDEKTDESDAYLAEGADKLADMSDGTIDPKGYDDAVPEDSYEIDNSSEELVAENNGGSHADENTEVLDHASSAEYVEPKEAVIGEECQKLVGKDCDINVVNDDLAKLPEAEEYDDAKASQNASAIPEGFMDSLEKLGNEESEDDPDQIVAVSNIVDSDIDDNSGSDNNTLVDEQEEVPCETAAYCSDDPMSSMVNEEALIDVNVAEAEIIHVGETSIYNAPQSVAVDISVDQILDYGDAEALVDVCVKAAEEFAETTQEVPPLEKSPTAAKLMSPCASLVFNSAITSSIPLSPLTAQFSQPTRFTPRKSSSKKQTTIPKMTQVSDNNKENIDNNSEKEVEPSLAKVKKNKNIIDEETMQKLEDMSLRTLKKLTKKFDKLKIADHKKNKEDKNDSKSFGKTRPALQILSQNCIPAGEAEEEN >EOY10008 pep chromosome:Theobroma_cacao_20110822:5:32194992:32198500:1 gene:TCM_025378 transcript:EOY10008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDFHCLPRKELQTLCKKNKIPANITNVAMADALKALEIVEGLDEFMNQSQSPEKTMNKSSQEIPSTVTRTSTRRKPTKEEPQSSQTTTRTRRITRRTMELDEENKNVNVPETPVVATTTSRRAQRTEPEVEEQKKSDLLETPALQSNRRRAGVGSTRRKVEAQKDEGSVQQGYGTRRSVRLLEKCMEGLSLKESGRMEPVKIDEMVEDEIEENKNRQSGATSEENLARNLSVSLEGERDLKDDVHNAENDCTVISEAGSQEPDNLDCLLALDTKDASPDEKTDESDAYLAEGADKLADMSDGTIDPKGYDDAVPEDSYEIDNSSEELVAENNGGSHADENTEVLDHASSAEYVEPKEAVIGEECQKLVGKDCDINVVNDDLAKLPEAEEYDDAKASQNASAIPEGFMDSLEKLGNEESEDDPDQIVAVSNIVDSDIDDNSGSDNNTLVDEQEEVPCETAAYCSDDPMSSMVNEEALIDVNVAEAEIIHVGETSIYNAPQSVAVDISVDQILDYGDAEALVDVCVKAAEEFAETTQEVPPLEKSPTAAKLMSPCASLVFNSAITSSIPLSPLTAQFSQPTRFTPRKSSSKKQTTIPKMTQVSDNNKENIDNNSEKEVEPSLAKVKKNKNIIDEETMQKLEDMSLRTLKKLTKKFDKLKIADHKKNKEDKNDSKSFGKTRPALQILSQNCIPAGEAEEEN >EOY07656 pep chromosome:Theobroma_cacao_20110822:5:2355448:2358521:1 gene:TCM_022040 transcript:EOY07656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNITTLPRLGLVSSAHRPGKKGQKTTPKTKPSKSTMGFSAGRKELWRCVENCGACCKLAKGPAFATPEEIFSDPSDIELYRSLIGPDGWCIHYEKATRTCSIYSERPYFCRVEPDIFKKLYGIENKKFNKEACSCCRDTIKAIYGPHSKELDNFNRSVKGSGSS >EOY10021 pep chromosome:Theobroma_cacao_20110822:5:32284283:32286667:1 gene:TCM_025392 transcript:EOY10021 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein, putative MVSGDLSLKKWLPPRYLFALVLVLDVIPCNYAYILSTCKFDAIHQVGDSISDTGNYIQENPSSVYARLPYGETFFKKATGRCSNGLLMIDYLALSAEIPFLDAYLNKDGLFDHGVNFAVAGATALSVEVLSKRNISSVPTNKSLSNQLDWMFSYFNRTCRYDKDCFIKNGRALFMVGEIGGNDYNYAFQIGNLTLEEVEAMVPEVVQAIKYAVKRVIGYGATRLVVPGNFPIGCFPVYLTKFQTNDTTAYDDLHCLKYLNNISIYHNDLLQQAIEELKEEHPNVIIVYGNYYTAFQWLLSKANLLGFDPTSVQKACCGIGGKYNFDPSRWCAKYPEVTVCLNPDERLSWDGVHLTQRAYELMAAWLIRDIYPKLQCEHTVLYSSAV >EOY11310 pep chromosome:Theobroma_cacao_20110822:5:38894183:38904840:-1 gene:TCM_026537 transcript:EOY11310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Recovery protein 3 isoform 3 MWHVSAELDVSSDQDICHVKRQSLCELEGDATLDDILNQQFKIYTSLSQTCSDVKMVQSLIPIWEEECERTGMHEMALPSYPDRPLSEDVLKALSLGVGFEDELMKLCSKVEETLSHNELGFEQSVIPSANEENLVGPTHINLNHTVPQALSCSKEQSLLGSLSQHCKPCEKEMNAASSEKKDVCPELLSVGEILSSQTATDTEALGLLAWLANSHAADDINSDDELVRETILTPLLPATTIDKVLEKASIDYESESQKECQDILDSVGDLIEFDGLKERNSHSYDHIQISSGKHIPQTDGSSDDLGLSPSAGSVANSSKADMKTELKRSSQDTSKTFSTKRKRKKLLWGSLPLSVTGKGKDNSDSVSFNITEACADEIKECLGTSFSAENDLGKASDPLNKNAHASDDKQEAGILVECTVRDLMRRKRSRRIEPADCGSVRSENVHLKMEKGKDSFFCPKQLNFHGSHNELDKKGPGSLNHSPSLANEQKEFPEAVGFKPTHSDSVYCTLPQLSGISNPAQANTGHPEQMGKKLVLNFYPKKHDSAISIGHCETYKGKEFDFRVTSAESRNSDAHTSKAHKEIDSPDERLQQTDTNGSWCLSASPRTHKMLGMDGYIHETYYEGEISLSADKPVGIDATTDKSYPQNEDCGGGKQGCITGLVVDVEAKPVELIGMTFCKKPPTADWNDGATENVTHLPTTQHSPSLFNEENCQGTSGRALDEVLPFFSRGCEEEKEVQNKCLGNNNSNFHQEAALGVPIHYQNDGSFLYLLTPVSSPPSPDSVYRWLSCDEEGSHRQSNAVSAESPSLTGSTECLIASENSSPVNCNEALTKSSSKYHMTSMLEQGHPEKNMVLGSEVKSCSNESRTPCQSEENIRTVNACADGSQDMSQISGPDGKSRPTPLSQIGFRDPASVGAGQQLTLLSLEVHTESRGDLRPDPRFDAVNVVALAIQNDNDSETEVHVLLYSKTGFYQRNLDGIFGLKVFVFSEEKHLFGQFMKILCSLDPDILMGWDVQGGSLGFLAERAAYLGIGLLNKISRTPSETKIKAEETNISQKGSQEELLSKPLIADSIVMEDAIIEDEWGRTHASGVHVGGRIVLNVWRLMRGEVKLNMYTVEAVAESVLRQKIPSIPYKVLTKWFSSGPAQARYRCVEYVVERAKLNLQIMNKLDMINRTSELARVFGIDFFSVLSRGSQFRVESMFLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIAYNLCFCTCLGKIANSKVNTLGVSSYAPDPNVLRNLKDQVLLTPNGVMYVPSKVRKGVLPRLLEEILSTRIMVKQAMKKLTPSQQVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRSTLEKAISYVNAHEKWRANVIYGDTDSMFVLLKG >EOY11309 pep chromosome:Theobroma_cacao_20110822:5:38892249:38904862:-1 gene:TCM_026537 transcript:EOY11309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Recovery protein 3 isoform 3 MSNSQPDSNVFSVRIVSIDHYMARPISGYDTCYSSFQGERVNEVPVIRIYGSTPAGQKTCLHIHRALPYLYVPLVDILPQGSHTHQEDHACADALALALEKALKLKVGAGSKRQHVHGCSLVRAKKFYGYRSSEELFVKIYLYYPHDVSRAANLLLAGAVLDKSSQPHESHIPFILQFLVDYNLYGMGHLHLSRMKFRNPIPDLSHPRKINNCGQHGQKMDDLTLKAAGFQADSSRDVCINSPVWISSTIPGEWMWHVSAELDVSSDQDICHVKRQSLCELEGDATLDDILNQQFKIYTSLSQTCSDVKMVQSLIPIWEEECERTGMHEMALPSYPDRPLSEDVLKALSLGVGFEDELMKLCSKVEETLSHNELGFEQSVIPSANEENLVGPTHINLNHTVPQALSCSKEQSLLGSLSQHCKPCEKEMNAASSEKKDVCPELLSVGEILSSQTATDTEALGLLAWLANSHAADDINSDDELVRETILTPLLPATTIDKVLEKASIDYESESQKECQDILDSVGDLIEFDGLKERNSHSYDHIQISSGKHIPQTDGSSDDLGLSPSAGSVANSSKADMKTELKRSSQDTSKTFSTKRKRKKLLWGSLPLSVTGKGKDNSDSVSFNITEACADEIKECLGTSFSAENDLGKASDPLNKNAHASDDKQEAGILVECTVRDLMRRKRSRRIEPADCGSVRSENVHLKMEKGKDSFFCPKQLNFHGSHNELDKKGPGSLNHSPSLANEQKEFPEAVGFKPTHSDSVYCTLPQLSGISNPAQANTGHPEQMGKKLVLNFYPKKHDSAISIGHCETYKGKEFDFRVTSAESRNSDAHTSKAHKEIDSPDERLQQTDTNGSWCLSASPRTHKMLGMDGYIHETYYEGEISLSADKPVGIDATTDKSYPQNEDCGGGKQGCITGLVVDVEAKPVELIGMTFCKKPPTADWNDGATENVTHLPTTQHSPSLFNEENCQGTSGRALDEVLPFFSRGCEEEKEVQNKCLGNNNSNFHQEAALGVPIHYQNDGSFLYLLTPVSSPPSPDSVYRWLSCDEEGSHRQSNAVSAESPSLTGSTECLIASENSSPVNCNEALTKSSSKYHMTSMLEQGHPEKNMVLGSEVKSCSNESRTPCQSEENIRTVNACADGSQDMSQISGPDGKSRPTPLSQIGFRDPASVGAGQQLTLLSLEVHTESRGDLRPDPRFDAVNVVALAIQNDNDSETEVHVLLYSKTGFYQRNLDGIFGLKVFVFSEEKHLFGQFMKILCSLDPDILMGWDVQGGSLGFLAERAAYLGIGLLNKISRTPSETKIKAEETNISQKGSQEELLSKPLIADSIVMEDAIIEDEWGRTHASGVHVGGRIVLNVWRLMRGEVKLNMYTVEAVAESVLRQKIPSIPYKVLTKWFSSGPAQARYRCVEYVVERAKLNLQIMNKLDMINRTSELARVFGIDFFSVLSRGSQFRVESMFLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIAYNLCFCTCLGKIANSKVNTLGVSSYAPDPNVLRNLKDQVLLTPNGVMYVPSKVRKGVLPRLLEEILSTRIMVKQAMKKLTPSQQVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRSTLEKAISYVNAHEKWRANVIYGDTDSMFVLLKGRTVKESFKIGHEIASAITAMNPNPVTLKMEKVYHPCFLLTKKRYVGYSYESPDQVKPVFDAKGIETVRRDTCGAVAKTMEQSLRLFFEHQDIPKVKAYLHRQWTRILSGRVSLQDFVFAKEVRLGTYSTKVGSLPPAAIVATKAMRADPRAEPRYAERVPYVVIHGEPGARLVDMVVDPLELLAINSPYRLNDLYYINKQIIPALQRVFGLVGADLNRWFSEMPRLAREAFGKCGVHALNPQRTRIDYYYLSKHCILCGDLVQASAHLCGKCSENKTAAATAIVGRTSKSEREMQHLVAICRHCGGGDWLVESGVKCNSLACSVFYERRKVQKELQGLSAVATDKGLYPKCMVEWF >EOY11311 pep chromosome:Theobroma_cacao_20110822:5:38892456:38902257:-1 gene:TCM_026537 transcript:EOY11311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Recovery protein 3 isoform 3 MLPHLKKKMFVLNFCLLVKWLANSHAADDINSDDELVRETILTPLLPATTIDKVLEKASIDYESESQKECQDILDSVGDLIEFDGLKERNSHSYDHIQISSGKHIPQTDGSSDDLGLSPSAGSVANSSKADMKTELKRSSQDTSKTFSTKRKRKKLLWGSLPLSVTGKGKDNSDSVSFNITEACADEIKECLGTSFSAENDLGKASDPLNKNAHASDDKQEAGILVECTVRDLMRRKRSRRIEPADCGSVRSENVHLKMEKGKDSFFCPKQLNFHGSHNELDKKGPGSLNHSPSLANEQKEFPEAVGFKPTHSDSVYCTLPQLSGISNPAQANTGHPEQMGKKLVLNFYPKKHDSAISIGHCETYKGKEFDFRVTSAESRNSDAHTSKAHKEIDSPDERLQQTDTNGSWCLSASPRTHKMLGMDGYIHETYYEGEISLSADKPVGIDATTDKSYPQNEDCGGGKQGCITGLVVDVEAKPVELIGMTFCKKPPTADWNDGATENVTHLPTTQHSPSLFNEENCQGTSGRALDEVLPFFSRGCEEEKEVQNKCLGNNNSNFHQEAALGVPIHYQNDGSFLYLLTPVSSPPSPDSVYRWLSCDEEGSHRQSNAVSAESPSLTGSTECLIASENSSPVNCNEALTKSSSKYHMTSMLEQGHPEKNMVLGSEVKSCSNESRTPCQSEENIRTVNACADGSQDMSQISGPDGKSRPTPLSQIGFRDPASVGAGQQLTLLSLEVHTESRGDLRPDPRFDAVNVVALAIQNDNDSETEVHVLLYSKTGFYQRNLDGIFGLKVFVFSEEKHLFGQFMKILCSLDPDILMGWDVQGGSLGFLAERAAYLGIGLLNKISRTPSETKIKAEETNISQKGSQEELLSKPLIADSIVMEDAIIEDEWGRTHASGVHVGGRIVLNVWRLMRGEVKLNMYTVEAVAESVLRQKIPSIPYKVLTKWFSSGPAQARYRCVEYVVERAKLNLQIMNKLDMINRTSELARVFGIDFFSVLSRGSQFRVESMFLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIAYNLCFCTCLGKIANSKVNTLGVSSYAPDPNVLRNLKDQVLLTPNGVMYVPSKVRKGVLPRLLEEILSTRIMVKQAMKKLTPSQQVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRSTLEKAISYVNAHEKWRANVIYGDTDSMFVLLKGRTVKESFKIGHEIASAITAMNPNPVTLKMEKVYHPCFLLTKKRYVGYSYESPDQVKPVFDAKGIETVRRDTCGAVAKTMEQSLRLFFEHQDIPKVKAYLHRQWTRILSGRVSLQDFVFAKEVRLGTYSTKVGSLPPAAIVATKAMRADPRAEPRYAERVPYVVIHGEPGARLVDMVVDPLELLAINSPYRLNDLYYINKQIIPALQRVFGLVGADLNRWFSEMPRLAREAFGKCGVHALNPQRTRIDYYYLSKHCILCGDLVQASAHLCGKCSENKTAAATAIVGRTSKSEREMQHLVAICRHCGGGDWLVESGVKCNSLACSVFYERRKVQKELQGLSAVATDKGLYPKCMVEWF >EOY11312 pep chromosome:Theobroma_cacao_20110822:5:38892639:38901268:-1 gene:TCM_026537 transcript:EOY11312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Recovery protein 3 isoform 3 MLPHLKKKMFVLNFCLLVKWLANSHAADDINSDDELVRETILTPLLPATTIDKVLEKASIDYESESQKECQDILDSVGDLIEFDGLKERNSHSYDHIQISSGKHIPQTDGSSDDLGLSPSAGSVANSSKADMKTELKRSSQDTSKTFSTKRKRKKLLWGSLPLSVTGKGKDNSDSVSFNITEACADEIKECLGTSFSAENDLGKASDPLNKNAHASDDKQEAGILVECTVRDLMRRKRSRRIEPADCGSVRSENVHLKMEKGKDSFFCPKQLNFHGSHNELDKKGPGSLNHSPSLANEQKEFPEAVGFKPTHSDSVYCTLPQLSGISNPAQANTGHPEQMGKKLVLNFYPKKHDSAISIGHCETYKGKEFDFRVTSAESRNSDAHTSKAHKEIDSPDERLQQTDTNGSWCLSASPRTHKMLGMDGYIHETYYEGEISLSADKPVGIDATTDKSYPQNEDCGGGKQGCITGLVVDVEAKPVELIGMTFCKKPPTADWNDGATENVTHLPTTQHSPSLFNEENCQGTSGRALDEVLPFFSRGCEEEKEVQNKCLGNNNSNFHQEAALGVPIHYQNDGSFLYLLTPVSSPPSPDSVYRWLSCDEEGSHRQSNAVSAESPSLTGSTECLIASENSSPVNCNEALTKSSSKYHMTSMLEQGHPEKNMVLGSEVKSCSNESRTPCQSEENIRTVNACADGSQDMSQISGPDGKSRPTPLSQIGFRDPASVGAGQQLTLLSLEVHTESRGDLRPDPRFDAVNVVALAIQNDNDSETEVHVLLYSKTGFYQRNLDGIFGLKVFVFSEEKHLFGQFMKILCSLDPDILMGWDVQGGSLGFLAERAAYLGIGLLNKISRTPSETKIKAEETNISQKGSQEELLSKPLIADSIVMEDAIIEDEWGRTHASGVHVGGRIVLNVWRLMRGEVKLNMYTVEAVAESVLRQKIPSIPYKVLTKWFSSGPAQARYRCVEYVVERAKLNLQIMNKLDMINRTSELARVFGIDFFSVLSRGSQFRVESMFLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIAYNLCFCTCLGKIANSKVNTLGVSSYAPDPNVLRNLKDQVLLTPNGVMYVPSKVRKGVLPRLLEEILSTRIMVKQAMKKLTPSQQVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRSTLEKAISYVNAHEKWRANVIYGDTDSMFVLLKGRTVKESFKIGHEIASAITAMNPNPVTLKMEKVYHPCFLLTKKRYVGYSYESPDQVKPVFDAKGIETVRRDTCGAVAKTMEQSLRLFFEHQDIPKVKAYLHRQWTRILSGRVSLQDFVFAKEVRLGTYSTKVGSLPPAAIVATKAMRADPRAEPRYAERVPYVVIHGEPGARLVDMVVDPLELLAINSPYRLNDLYYINKQIIPALQRVFGLVGADLNRWFSEMPRLAREAFGKCGVHALNPQRTRIDYYYLSKHCILCGDLVQASAHLCGKCSENKTAAATAIVGRTSKSEREMQHLVAICRHCGGGDWLVESGVKCNSLACSVFYERRKVQKELQGLSAVATDKGLYPKCMVEWF >EOY09522 pep chromosome:Theobroma_cacao_20110822:5:29645722:29648134:1 gene:TCM_024937 transcript:EOY09522 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MAEETTDTMNLDLNLGPAPETGSGSVSNESLNWDDLVDNPFDRIREAVRRRRWRWQQVEIPTATQNLSVELDQFMGNSGNVSTLQAGEGSVAPEERTSDVPKACENTNGFLEDEVSEKKDDIEKGVSNDGSFFDCNICLDLAREPVVTCCGHLFCWSCLYRWLHVHSDAKECPVCKGEVTAKTLTPIYGRANITHEPEEDSGLKIPPRPSARRVDSLRQTIQRTALNLPVEEMIRRLGSRFDLTRDLTPPREANGARETTERANSILNRILTSRGLRGEQNTGVSLDDVDLTPSSTTGNEVMSSRVHSLYLQRQSQIRRTSRITSLTSALSSAERIVEAYFRSNPVGRNQEQPPAVDDRDSFSSIAAVINPESQMDTAVEIDSVVSLSASSSRRRNDASRVSDVDSGDSRAHRRRRLN >EOY09520 pep chromosome:Theobroma_cacao_20110822:5:29645722:29648068:1 gene:TCM_024937 transcript:EOY09520 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MAEETTDTMNLDLNLGPAPETGSGSVSNESLNWDDLVDNPFDRIREAVRRRRWRWQQVEIPTATQNLSVELDQFMGNSGNVSTLQAGEGSVAPEERTSDVPKACENTNGFLEDEVSEKKDDIEKGVSNDGSFFDCNICLDLAREPVVTCCGHLFCWSCLYRWLHVHSDAKECPVCKGEVTAKTLTPIYGRANITHEPEEDSGLKIPPRPSARRVDSLRQTIQRTALNLPVEEMIRRLGSRFDLTRDLTPPREANGARETTERANSILNRILTSRGLRGEQNTGVSLDDVDLTPSSTTGNEVMSSRVHSLYLQRQSQIRRTSRITSLTSALSSAERIVEAYFRSNPVGRNQEQPPAVDDRDSFSSIAAVINPESQMDTAVEIDSVVSLSASSSRRRNDASRVSDVDSGDSRAHRRRRLN >EOY09521 pep chromosome:Theobroma_cacao_20110822:5:29645722:29648134:1 gene:TCM_024937 transcript:EOY09521 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MAEETTDTMNLDLNLGPAPETGSGSVSNESLNWDDLVDNPFDRIREAVRRRRWRWQQVEIPTATQNLSVELDQFMGNSGNVSTLQAGEGSVAPEERTSDVPKACENTNGFLEDEVSEKKDDIEKGVSNDGSFFDCNICLDLAREPVVTCCGHLFCWSCLYRWLHVHSDAKECPVCKGEVTAKTLTPIYGRANITHEPEEDSGLKIPPRPSARRVDSLRQTIQRTALNLPVEEMIRRLGSRFDLTRDLTPPREANGARETTERANSILNRILTSRGLRGEQNTGVSLDDVDLTPSSTTGNEVMSSRVHSLYLQRQSQIRRTSRITSLTSALSSAERIVEAYFRSNPVGRNQEQPPAVDDRDSFSSIAAVINPESQMDTAVEIDSVVSLSASSSRRRNDASRVSDVDSGDSRAHRRRRLN >EOY07714 pep chromosome:Theobroma_cacao_20110822:5:2483681:2487808:1 gene:TCM_022072 transcript:EOY07714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein isoform 2 MPPKQPSKTELAKKQKIVEDKTFGLKNKNKSKNVQKYVQNLKQSVQPKPDSSKVAQKKKKEEEKAKEKELNDLFKIAVTQPKVPVGVDPKSIVCEFYKVGQCAKGFKCKFSHDLNVQRKGEKIDIYSDKRDQETMEDWDQETLEKVVESKTKEYNQNKPTDIVCKYFLEAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALIEEEREKTPIEDEIENQRAKLKTSTPMTPELFIEWKKKKIAERDESLAAQKAERAKNDRMSGRELFMSDASLFVDDAEAYEKYQREEESDVANPDSAADGPSTSATSAADAEALPDDDDDDDDDDDDDELDMDELNELEASLAKTSIQIQEPNA >EOY07713 pep chromosome:Theobroma_cacao_20110822:5:2484009:2487637:1 gene:TCM_022072 transcript:EOY07713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein isoform 2 MPPKQPSKTELAKKQKIVEDKTFGLKNKNKSKNVQKYVQNLKQSVQPKPDSSKVAQKKKKEEEKAKEKELNDLFKIAVTQPKVPVGVDPKSIVCEFYKVGQCAKGFKCKFSHDLNVQRKGEKIDIYSDKRDQETMEDWDQETLEKVVESKTKEYNQNKPTDIVCKYFLEAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALIEEEREKTPIEDEIENQRAKLKTSTPMTPELFIEWKKKKIAERDESLAAQKAERAKNDRMSGRELFMSDASLFVDDAEAYEKYQREEESDVAGNKANPDSAADGPSTSATSAADAEALPDDDDDDDDDDDDDELDMDELNELEASLAKTSIQIQEPNA >EOY09328 pep chromosome:Theobroma_cacao_20110822:5:28328529:28331160:1 gene:TCM_047051 transcript:EOY09328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein MRRTLLKPPYPFSLSRLQSPLLSSPYRNVESPPNPPKPLSNSISISSRFIFTPSYLPPPEWIEPFFNVSGLASTFPQDLQPSPWVSKIVNLLDGCSNMESNLDSFCHKFLIQLSPNFVAFVLASAEVQNKPDVALRFFAWAAKQKKYTHKLECYVSMINVLALANDLVKVRFLFGQLKEMGIVMTMSSANSLIKNFVGLGMVEELLWVWRRMKENEIEPSLYTFNLLLNGLVNSMFIESAEQVFKVMENSKIRPDVVSYNTMIKGYCKAGKTHKAMEKIRAMETINLEPDKITYMTLMQACYSEGNFDSCLGLYHEMVEKRCEVPPHAYSLIIGGLCKDGKCIEGYAVFENMIRSGLKANVVIYTTVIDAFAKCGRMEDALKLFQTMKTDGLEPDEVSYGAIVNGLCKSGRLDEAMEYLRFCRANEVAINAMFYCSLIDGLGKAGRVDEAQKLFEEMVEKDCPRDSYCYNALIDALAKCGRVNDALTLFNRMEDEGCDQTVYTYTILISGLFREHKNEEAMKLWDMMIDKGITPTAASFRALSIGLCLSGKVTRACKILDDLAPMGVIPETAFEDMIHVLCKAGRIKEACKLADGIVDRGREIPGRIRTILINALRKAGNADLAMKLMHSKIGIGYDRMGSIKRRVKFRILVEI >EOY10556 pep chromosome:Theobroma_cacao_20110822:5:35324470:35328368:1 gene:TCM_025891 transcript:EOY10556 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein isoform 1 MQTRTVQVKNLSDLASEREIHEFFSFSGDIERIEIIGEPGQSKIAYVTFKDPKALEIALLLSGATIVDKIVVITCAENYLPKPEIHEARTADNTVSITPAEQSAPDAAQGRTSPPGSGRMYVSKAQDVVASMLAKGSAIRQDAVNKAKAFDEKHRLTASASAKVTSFDRRVGLTEKLTVGISVVNEKVKSVDQRLQVSDKTMAAIFAAERKINDTGSAVKSSRYVTAGTAWLNGAFSKVAKAGQVAGTKTREKFNMAMSNLTAKDPIAV >EOY10555 pep chromosome:Theobroma_cacao_20110822:5:35324243:35328366:1 gene:TCM_025891 transcript:EOY10555 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein isoform 1 MQTRTVQVKNLSDLASEREIHEFFSFSGDIERIEIIGEPGQSKIAYVTFKDPKALEIALLLSGATIVDKIVVITCAENYLPKPEIHEARTADNTVSITPAEQSAPDAAQGRTSPPGSGRMYVSKAQDVVASMLAKGSAIRQDAVNKAKAFDEKHRLTASASAKVSDKTMAAIFAAERKINDTGSAVKSSRYVTAGTAWLNGAFSKVAKAGQVAGTKTREKFNMAMSNLTAKDPIAV >EOY07036 pep chromosome:Theobroma_cacao_20110822:5:261147:262099:-1 gene:TCM_021571 transcript:EOY07036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPIGEPTVGESRPLGSSSRQLERADRWGATIVGWGKPIVRGTTPPVGESKPSGSSSRWLGKADRWVVAGGLVERRERIWVVKRENGEGK >EOY07698 pep chromosome:Theobroma_cacao_20110822:5:2446310:2451444:-1 gene:TCM_022065 transcript:EOY07698 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY family transcription factor family protein MEVKEAERIVIAKPVASKPTCSSFKSFSELLAGAINASPPNACSETAVPAIRPKTVRFKPAVNQAPSAAASSQAELPGIGVSSSSDKVLKSNVKPTVVYKPQAKLVSKTTVSLLANMGNFSISNQQTLQSTEAPSQHTNQEKKNFRSQVCPNFHQNAPSHAETDQTSEPSKVGSQNMEEDPKSLPAAANIDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSFDGQIAEIVYKGEHNHSKPQPPKRNSSGTQGLGFTSDGTGQDTNNSLWSNNPNERNEGSEGRVENQNEVGLSAPSSYQGKAVLSYEHVTTGAVNAGVTSENSIGLSGECEERSKEGEDDEPRSKRRKSENQSSEVGTSGEGIQEPRVVVQSCTDSEIMGDGFRWRKYGQKVVKGNPYPRSYYRCTSLKCNVRKHVERASDDPRAFITTYEGKHNHEMPLRNTNPVASDPDSNSPASKDKR >EOY07603 pep chromosome:Theobroma_cacao_20110822:5:2114318:2117645:-1 gene:TCM_021993 transcript:EOY07603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphorylase 4 MAPPIETPTKPSDSHYSSHPPLNERILSSMTRRSVAAHPWHDLEIGPGAPMVFNCVIEIGKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDVLIIMQEPVQPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHFNDIKDLPPHRLAEIRRFFEDYKKNENKEVAVNDFLPATTAYEAIQHSMNLYADYIVESLRR >EOY09949 pep chromosome:Theobroma_cacao_20110822:5:31923588:31926765:-1 gene:TCM_025323 transcript:EOY09949 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal MSKSCKGLAMELVKCLSESDCVKVEKRSFRECAGEKSPCISSECVGLRETYFNCKRGQVDMRARIRGNKGY >EOY07955 pep chromosome:Theobroma_cacao_20110822:5:3608110:3624299:1 gene:TCM_022288 transcript:EOY07955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MAADHFSGVGCAWREMQSFGLNLIIGRWFMVFASLLIMSVSGATYMFGLYSTVIKSSLGYDQTTLNLLSFSKDLGGNVGVLSGLINEVTPPWVVLLIGSVMNFFGYFMIWLAVTGRTAKPHVWQMCVYICLGANSQAFANTGALVTCVKNFPESRGSVLGLLKSYVGLSGAILTQLYHAFYGENSKSLILLIAWLPAAVSFVFLRTIRIIKIVRQTNELRVFYNMFYISLALAGFLMVLIVIQNRLSFNRIEYVGSASLVTLLLLFPLTVVVREDFKIWRRKKQALNDVSQMKVVTENPPTVELAPQTMAVESLQPQPAGDPKSPDQKHVACMENIFKPPDRGEDYTILQALFSIDMLILFIATTCGVGGTLTAIDNLGQIGNSLGYPSRSITTFVSLVSIWNYLGRAVAGFFSEYLLTKYKIPRPLLFTSVILFSCVGHLLIAFAVPNSLYFASVIIGFCFGAQWPLMYAIISEIFGLKYYSTLYNFGAVASPVGSYILNVRVAGHLYDKEALKQMKALGRTRKPGEDLTCTGGQCYRMAFIIIIATTLFGFLVSCILVLRTRKFYKSDIYKKFREEAEAAETDMASTSKQVEPKAPTDAGTTTATTSAN >EOY11546 pep chromosome:Theobroma_cacao_20110822:5:39566959:39569948:-1 gene:TCM_026685 transcript:EOY11546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, putative MASTDDGQRKKRIAIIAVCSFLLVAMVVAVTVGVSINDTSSDDGTSNSSKSSQVSASVKAIKSVCQPTDFRKTCEEQLRAEAGDTTDIKELVQAAFKAAMKSASQAVQNSTTLRDLEKDPRTKKALDVCKQLMTYSIKELKKSLDQIDKLDINKFDRMLADLKIWLSATITNQQTCLDGFKNTTSKAGEKMKKALNVSMELSRNGLAIATEMSAVLKQLEIQGVSRRLLQENLPVLGHGDWSTYLDIPMTRRRRLLQDNEPPVLGHGQFEIQPGVRRLMAAPPSRIKADIVVAKDGSGNFTTINAAMSSIPLNATKPFVIYIKEGVYEENLEFGYKMTNVALIGDGKEKTRITGHLNNADGVPTFRTATVAVNGDYFFAKNIGFENSAGAAKFQAVALLVISDFSVFYNCSMDGYQDTLYVHSKRQFYRDCTITGTIDFVFGDAAAVFQNCTFLVRVPLNGQQCIVTAQGRTDARQPTAIVIQNSTFTAAPELVPVKDKYPTYLGRPWGNFSRTIIMESYLDDLIKPEGWAIWDGPWGLNTSFYSEFNNYGPGSNKTGRVTWRGIKRISQATAFGFTPGKFINGAAWIKPRRVPYTPGFFSINSTNVQGQYQYEMRSNSRVAH >EOY09211 pep chromosome:Theobroma_cacao_20110822:5:27208571:27210781:-1 gene:TCM_024620 transcript:EOY09211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flotillin-like protein 2 MYKVANASEFLVITGIGIPDIKLAKKAWILPGQSCTVFDVSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRVDDEHSLLKYAKLISPHDKLSNHVKELVQGIIEGETRVLAASMTMEEIFKGTKEFKLEVFEKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKVDVAEAKMKGEIGSKLREGQTLQNAARIDAETKIISTQRQGEGKKEEIRVKTEVKVFENQREAELAEANADLAKKKAGWAKEAQVAEVEASKAVALREAELQKEVERMNALTRTEKLKAEFLSQASVEYDTKVQKANWELYQKQKQAEAILYEKEKEAAAQKAIADATFYGRQRVADVELYAKQKEAEGLMALAQAQGVYLRTLLEALGGNYAALRDYLMINGGMFQEIAKINAEAVRGLQPRISIWTNGGSEAMDGNGSNALKEVAGVYRMLPPLFKTVHEQTGMLPPPWMGTLSADSQQATTD >EOY07535 pep chromosome:Theobroma_cacao_20110822:5:1886221:1890165:-1 gene:TCM_021942 transcript:EOY07535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein isoform 1 IRTLTWWRKWAKRDWAIAAVGFTIILFALTLLFDSRNPDLNSTDDLVPLTFLHRAKATGAFCLDGSLPAYHFQKGFGSGSNSWVLHIEGGGWCNSIESCNYRKRTALGSSNYMDQLVQFSGILSRHPSQNPDFYNWNKVKIRYCDGASLAGHPESEFKNGTKLFFRGQLIWEAFTDELLSLGLSNAKQALLSGCSAGGLATLIHCDDFRDHLPKDATVKCLADAGFFLDEPDILGQRTMRAFYHDVVQLQGTAKSLHKNCVGRMEPSKCFFPQEIVKNVRTPLFIVNPAYDFWQIQHILVPDASDPHG >EOY07534 pep chromosome:Theobroma_cacao_20110822:5:1886824:1890165:-1 gene:TCM_021942 transcript:EOY07534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein isoform 1 IRTLTWWRKWAKRDWAIAAVGFTIILFALTLLFDSRNPDLNSTDDLVPLTFLHRAKATGAFCLDGSLPAYHFQKGFGSGSNSWVLHIEGGGWCNSIESCNYRKRTALGSSNYMDQLVQFSGILSRHPSQNPDFYNWNKVKIRYCDGASLAGHPESEFKNGTKLFFRGQLIWEAFTDELLSLGLSNAKQALLSGCSAGGLATLIHCDDFRDHLPKDATVKCLADAGFFLDEPDILGQRTMRAFYHDVVQLQGTAKSLHKNCVGRMEPSKCFFPQEIVKNVRTPLFIVNPAYDFWQIQHILVPDASDPHGYWSRCRLNIQNCNSSQIETLQG >EOY07532 pep chromosome:Theobroma_cacao_20110822:5:1886136:1890244:-1 gene:TCM_021942 transcript:EOY07532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein isoform 1 MANPRIRTLTWWRKWAKRDWAIAAVGFTIILFALTLLFDSRNPDLNSTDDLVPLTFLHRAKATGAFCLDGSLPAYHFQKGFGSGSNSWVLHIEGGGWCNSIESCNYRKRTALGSSNYMDQLVQFSGILSRHPSQNPDFYNWNKVKIRYCDGASLAGHPESEFKNGTKLFFRGQLIWEAFTDELLSLGLSNAKQALLSGCSAGGLATLIHCDDFRDHLPKDATVKCLADAGFFLDEPDILGQRTMRAFYHDVVQLQGTAKSLHKNCVGRMEPSKCFFPQEIVKNVRTPLFIVNPAYDFWQIQHILVPDASDPHGYWSRCRLNIQNCNSSQIETLQGFRNSLLKALSELQQNEEVGMFINSCFIHCQTLMAETWHSPRINNKTIAESVGDWYFNRKVLKQIDCPYPCNPTCYNMDFTRGL >EOY07533 pep chromosome:Theobroma_cacao_20110822:5:1887046:1890179:-1 gene:TCM_021942 transcript:EOY07533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein isoform 1 MANPRIRTLTWWRKWAKRDWAIAAVGFTIILFALTLLFDSRNPDLNSTDDLVPLTFLHRAKATGAFCLDGSLPAYHFQKGFGSGSNSWVLHIEGGGWCNSIESCNYRKRTALGSSNYMDQLVQFSGILSRHPSQNPDFYNWNKVKIRYCDGASLAGHPESEFKNGTKLFFRGQLIWEAFTDELLSLGLSNAKQALLSGCSAGGLATLIHCDDFRDHLPKDATVKCLADAGFFLDEPDILGQRTMRAFYHDVVQLQGTAKSLHKNCVGRMEPSKCFFPQEIVKNVRTPLFIVNPAYDFWQIQHILVPDASDPHGYWSRCRLNIQNCNSSQIETLQGFRNSLLKALSELQQNEEVGMFINSCFIHCQTLMAETWHSPRINNKVRNVFIIYYGDKMIVFRIYHDSQNKKF >EOY10476 pep chromosome:Theobroma_cacao_20110822:5:35025400:35027700:-1 gene:TCM_025835 transcript:EOY10476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase 2A, putative MEAIENSKSKLSNTQGSEESWDDEEVQELVQTLSKERNWYGNHLYFYQGFWCTSRVLRAMISFQKHFQALDNDIFLTSLPKCGTTWMKALIFTIVNRNHFELKNNPLLSLGPHQAVPYLELDLYLKNHSPDLENIPQPRIFSTHTPYASLPPSIKECSTPKIVYICRNPMDMFISYWHFTDILRSENVDPLPLDEAFEMFCQGIHGFGPFPDHVLGYWKAKQENPNNIMFLKYEDLKKDIVFHVKKLANFLGFPFSKEEERQGEAEEIAMLCSFENLKGMEVNKSGKQPFGAPNTAFFRKGEVGDWSNYLTPSMVERLQKLVQEKLNKSDLTFKYLSSK >EOY10421 pep chromosome:Theobroma_cacao_20110822:5:34668516:34670242:1 gene:TCM_025785 transcript:EOY10421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSFPRNPIKDCQFSVNFAFDPIQSPHYKIISIREASQESFKFEMDICSSDTDSWTASRISFDVDEEECITFEDAVFCNGMIHWNSYGNESLCFDPGPGVKIGSIHLDLYNHLHEQRFLAYKYFVDLSCI >EOY11694 pep chromosome:Theobroma_cacao_20110822:5:40072246:40077615:1 gene:TCM_026788 transcript:EOY11694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein isoform 1 MKTLSTKLLQQLSSKQAIRRHAYSTKAIPDFGQPTPASHPQLMKEGEITPGITSEEYISRRKRLLELLPEKSLAIIAAAPVKMMTDVVPYTFRQDADYLYLTGCQQPGGVAVLSQECGLCMFMPEAKAHDIVWQGQTAGVDAALEMFKAEKAYPMSKLNEILPDMIKRSCKLFHNTLTATPTYTDMETFQKAAHVGKVSDLSIFTHELRWVKSPAELKLMKESASIACQALLQTMLHSKTYPYEAMLSAKVEYECRLRGAQRMAFNPVVGGGPNGSVIHYSRNDQKIKDGELVLMDVGCELHGYCSDLTRTWPPCGSFSSVQEEVYDLILQTNKECMRLCKPGASIRQIHNYSVELLFKGLKEIGILKRDQFRSYHQLNPTSIGHYLGMDVHDSSMISYDRPLKPGVVITIEPGIYLPSSLDGPESDDVLQVPRH >EOY11692 pep chromosome:Theobroma_cacao_20110822:5:40072454:40077057:1 gene:TCM_026788 transcript:EOY11692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein isoform 1 MKTLSTKLLQQLSSKQAIRRHAYSTKAIPDFGQPTPASHPQLMKEGEITPGITSEEYISRRKRLLELLPEKSLAIIAAAPVKMMTDVVPYTFRQDADYLYLTGCQQPGGVAVLSQECGLCMFMPEAKAHDIVWQGQTAGVDAALEMFKAEKAYPMSKLNEILPDMIKRSCKLFHNTLTATPTYTDMETFQKAAHVGKVSDLSIFTHELRWVKSPAELKLMKESASIACQALLQTMLHSKTYPYEAMLSAKVEYECRLRGAQRMAFNPVVGGGPNGSVIHYSRNDQKIKDGELVLMDVGCELHGYCSDLTRTWPPCGSFSSVQEEVYDLILQTNKECMRLCKPGASIRQIHNYSVELLFKGLKEIGILKRDQFRSYHQLNPTSIGHYLGMDVHDSSMISYDRPLKPGVVITIEPGIYLPSSLDGPERYLWSPLGEIKVPL >EOY11690 pep chromosome:Theobroma_cacao_20110822:5:40072246:40079056:1 gene:TCM_026788 transcript:EOY11690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein isoform 1 MKTLSTKLLQQLSSKQAIRRHAYSTKAIPDFGQPTPASHPQLMKEGEITPGITSEEYISRRKRLLELLPEKSLAIIAAAPVKMMTDVVPYTFRQDADYLYLTGCQQPGGVAVLSQECGLCMFMPEAKAHDIVWQGQTAGVDAALEMFKAEKAYPMSKLNEILPDMIKRSCKLFHNTLTATPTYTDMETFQKAAHVGKVSDLSIFTHELRWVKSPAELKLMKESASIACQALLQTMLHSKTYPYEAMLSAKVEYECRLRGAQRMAFNPVVGGGPNGSVIHYSRNDQKIKDGELVLMDVGCELHGYCSDLTRTWPPCGSFSSVQEEVYDLILQTNKECMRLCKPGASIRQIHNYSVELLFKGLKEIGILKRDQFRSYHQLNPTSIGHYLGMDVHDSSMISYDRPLKPGVVITIEPGIYLPSSLDGPERYQGIGIRIEDEVLITETGCEASWGTFYQTVLTGSMPKEIKHIESLLNNYSHGIEMEGHNNIKAATR >EOY11693 pep chromosome:Theobroma_cacao_20110822:5:40072246:40078568:1 gene:TCM_026788 transcript:EOY11693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein isoform 1 MKTLSTKLLQQLSSKQAIRRHAYSTKAIPDFGQPTPASHPQLMKEGEITPGITSEEYISRRKRLLELLPEKSLAIIAAAPVKMMTDVVPYTFRQDADYLYLTGCQQPGGVAVLSQECGLCMFMPEAKAHDIVWQGQTAGVDAALEMFKAEKAYPMSKLNEILPDMIKRSCKLFHNTLTATPTYTDMETFQKAAHVGKVSDLSIFTHELRWVKSPAELKLMKESASIACQALLQTMLHSKTYPYEAMLSAKVEYECRLRGAQRMAFNPVVGGGPNGSVIHYSRNDQKIKDGELVLMDVGCELHGYCSDLTRTWPPCGSFSSVQEEVYDLILQTNKECMRLCKPGASIRQIHNYSVELLFKGLKEIGILKRDQFRSYHQLNPTSIGHYLGMDVHDSSMISYDRPLKPGVVITIEPGIYLPSSLDGPERYQGIGIRIEDEVLITETGCEVLTGSMPKEIKHIESLLNNYSHGIEMEGHNNIKAATR >EOY11691 pep chromosome:Theobroma_cacao_20110822:5:40072454:40078070:1 gene:TCM_026788 transcript:EOY11691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein isoform 1 MKTLSTKLLQQLSSKQAIRRHAYSTKAIPDFGQPTPASHPQLMKEGEITPGITSEEYISRRKRLLELLPEKSLAIIAAAPVKMMTDVVPYTFRQDADYLYLTGCQQPGGVAVLSQECGLCMFMPEAKAHDIVWQGQTAGVDAALEMFKAEKAYPMSKLNEILPDMIKRSCKLFHNTLTATPTYTDMETFQKAAHVGKVSDLSIFTHELRWVKSPAELKLMKESASIACQALLQTMLHSKTYPYEAMLSAKVEYECRLRGAQRMAFNPVVGGGPNGSVIHYSRNDQKIKDGELVLMDVGCELHGYCSDLTRTWPPCGSFSSVQEEVYDLILQTNKECMRLCKPGASIRQIHNYSVELLFKGLKEIGILKRDQFRSYHQLNPTSIGHYLGMDVHDSSMISYDRPLKPGVVITIEPGIYLPSSLDGPERYQGIGIRIEDEVLITETGCEASWGTFYQTVIIIKLLVCGR >EOY10122 pep chromosome:Theobroma_cacao_20110822:5:33078074:33090118:-1 gene:TCM_025500 transcript:EOY10122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase family protein, putative isoform 1 MSNLPSELYRIGYALTSKKEQTFILPSLLSHAPQKGIVLTKVDPNKPLIQQVPFDCIIHKLYGSDWKQNLQDFTSQNPSIPIIDSPDYIEVLQNRISMLETVSKLKITNSGVPKQIAITEVTDMENLKLTFPLIAKPLDADGSETSHKLHLIFDNEGLKNLTAPFVLQEFVNHGGVVFKVYVAGKYFRCVKRKSLPDISEEKLVNLKGSLPFSQVSNLTAAGGGGGEGCDFEKTEMPPESLVEELVKGLREELRLNLFNFDVIRDGRNKDNYLVIDINYFPGYAKMPDFESVITDFLLDVVRKEQISGED >EOY10123 pep chromosome:Theobroma_cacao_20110822:5:33087783:33090169:-1 gene:TCM_025500 transcript:EOY10123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase family protein, putative isoform 1 MSNLPSELYRIGYALTSKKEQTFILPSLLSHAPQKGIVLTKVDPNKPLIQQVPFDCIIHKLYGSDWKQNLQDFTSQNPSIPIIDSPDYIEVLQNRISMLETVSKLKITNSGVPKQIAITEVTDMENLKLTFPLIAKPLDADGSETSHKLHLIFDNEGLKNLTAPFVLQEFVNHGGVVFKVYVAGKYFRCVKRKSLPDISEEKLVNLKGSLPFSQVSNLTAAGGGGGEGCDFEKTEMPPESLVEELVKGLREELRLNLFNFDVIRDGRNKDNYLVIDINYFPGYAKMPDFESVITDFLLDVVRKEQISGED >EOY10719 pep chromosome:Theobroma_cacao_20110822:5:36130972:36132821:-1 gene:TCM_026026 transcript:EOY10719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MLKLTEPPFPSKIKFSLRFLHSFPSSSPTESQLSLSPQHKQNYQKYFLFSFLNKNPTKQRVPKQVHSQLLTTASISHSLRLFNALLRCYSFSENPKDAIFLYQQVQSCYLYLKFDSFTYAFLIKACANLNDVVLGKQFHGVEIKMGFESHPYVQTGLVNMYVESGGLVECKKVFDEMPEKNRVTWNVMITGLAKQGDIEFARFLFEKMPDRDIVSWSGIIDGYTRMNQYRNALGLFRRMVVDDVVEPSYITVLAILPAVWNIGDIRMCRLIHGYGKKRGFHVSDIRIMNSFIDTYAKCGCMMSALRFFEEISADMKNLVSWTSLISGFALHGMGKEAVESFQRMEQVGWKPNRVTFLSVLNACSHGGLVEEGLKFFEKMVNECQILPDVKHYGCLVDMLGRAGRLEEAERIALEIPCEIASDVVWRILLGACSFYGNVEVGERVTTKIMEIERGNGGDYVLMSNILAGAGRFRDAESLRRLMDERNAIKVPGSSLV >EOY08905 pep chromosome:Theobroma_cacao_20110822:5:22884699:22889810:-1 gene:TCM_024176 transcript:EOY08905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein isoform 3 MITRRCFCSGAPRPWLFLGLGNPGDKYKGTRHNVGFEMIDKFAETQGIEMNTLHCKAIFGQGFVGDSAVLLAKPQTYMNLSGESTGPLAAYYKLPLNRVIVFHDDMDLPCGVLRLQNKGGHGSHNGLKSVIYHFRGNREFAQLRIGRLVKWILRHFCCKSLMHQLENELILLCKRELMH >EOY08903 pep chromosome:Theobroma_cacao_20110822:5:22884772:22890024:-1 gene:TCM_024176 transcript:EOY08903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein isoform 3 MDFRDSEETEMITRRCFCSGAPRPWLFLGLGNPGDKYKGTRHNVGFEMIDKFAETQGIEMNTLHCKAIFGQGFVGDSAVLLAKPQTYMNLSGESTGPLAAYYKLPLNRVIVFHDDMDLPCGVLRLQNKGGHGSHNGLKSVIYHFRGNREFAQLRIGIGRPPGQMDPKAFLLQKFNASARERIDTALQEGVDALKLLLSKGFTESARRFNAEQKYKHIRLQTMPT >EOY08906 pep chromosome:Theobroma_cacao_20110822:5:22884729:22889814:-1 gene:TCM_024176 transcript:EOY08906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein isoform 3 MITRRCFCSGAPRPWLFLGLGNPGDKYKGTRHNVGFEMIDKFAETQGIEMNTLHCKAIFGQGFVGDSAVLLAKPQTYMNLSGESTGPLAAYYKLPLNRVIVFHDDMDLPCGVLRLQNKGGHGSHNGLKSVIYHFRGNREFAQLRIGRLVKWILRHFCCKSLMHQLENELILLCKRELMH >EOY08904 pep chromosome:Theobroma_cacao_20110822:5:22884922:22889852:-1 gene:TCM_024176 transcript:EOY08904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein isoform 3 MITRRCFCSGAPRPWLFLGLGNPGDKYKGTRHNVGFEMIDKFAETQGIEMNTLHCKAIFGQGFVGDSAVLLAKPQTYMNLSGESTGPLAAYYKLPLNRVIVFHDDMDLPCGVLRLQNKGGHGSHNGLKSVIYHFRGNREFAQLRIGIGRPPGQMDPKAFLLQKFNASARERIDTALQEGVDALKLLLSKGFTESARRFNAEQKYKHIRLQTMPT >EOY08902 pep chromosome:Theobroma_cacao_20110822:5:22884728:22892192:-1 gene:TCM_024176 transcript:EOY08902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein isoform 3 MWSNLIVNYILSRMLRTKFRGDSQKCLAVEPRGKGEIRPLPDYFHDQTEMITRRCFCSGAPRPWLFLGLGNPGDKYKGTRHNVGFEMIDKFAETQGIEMNTLHCKAIFGQGFVGDSAVLLAKPQTYMNLSGESTGPLAAYYKLPLNRVIVFHDDMDLPCGVLRLQNKGGHGSHNGLKSVIYHFRGNREFAQLRIGIGRPPGQMDPKAFLLQKFNASARERIDTALQEGVDALKLLLSKGFTESARRFNAEQKYKHIRLQTMPT >EOY11535 pep chromosome:Theobroma_cacao_20110822:5:39540195:39542768:-1 gene:TCM_026678 transcript:EOY11535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MGSLPHVVEDCFGLLKLYSDGSISRNPEIPSATPLLNDASVLYKDFLFDSKENLHLRLYKPTSSTAHAKLPILFYFHGGGFCFGSRSFPHFHNLSIRLAAAFNMLVIAPDHRLAPENRLPAAVDDACQALKWLQGQAMHGNDEDMDTLLTGVDFDRVFVLGDSSGGNLAHHLAVRFKAGSIELAPVRVRGYVLLAPFFGGIVRTRSEEERPCEAFWNLEMYERFWRLSIPAGSTLDHPLVNPFGPSGQNLAEVPLDPILVVVGGGEILRDRVEDYAARLKHLGKKTEFVEFEGQQHGFLTDHPFSEVAEKVIQRIRDFILENSN >EOY08630 pep chromosome:Theobroma_cacao_20110822:5:14207987:14208765:-1 gene:TCM_023455 transcript:EOY08630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein YDVCITQLLRYAPHLVLIEQNRIKRFIRGLIEPLFRVLATQMFSSYATMVVVVKMTETRRMDKRRVEEFSYKANRVVDILADFEFHIYMLLKSQGEHSYVQASKK >EOY08605 pep chromosome:Theobroma_cacao_20110822:5:13667750:13668820:-1 gene:TCM_023393 transcript:EOY08605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSVQIPQVRKKARLELISAGPPMGLLKFNVDSAASGSSGGGNLRDGKGLIKVMFSKSIGIDDFNFNYRRSLY >EOY09373 pep chromosome:Theobroma_cacao_20110822:5:28734188:28750776:-1 gene:TCM_024801 transcript:EOY09373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MATTKDGLLYYGSLLLVSFICTYLLQFLSKKLSSYGRARARHLPSPPGLPIIGHLHHLLLNSSSFPKKLHSLATRYGPLLQLQMGAATCVLVSNANFAKEILKTQELNFVYRPEFGSPEYNIYHGSDFILAPYGTYWRFLKKLCMTRLLSNSTLNQFVQIREQEMAKLLKYLIKVSEGREFCDLGVPLMSMMNNVICRMAMSTRPWENADEAEKIKKLIEELAVVGGKLSAGDILGPLGKFDLLGYGKKLKKALEKFDRLVEDIMKKHEENTTGRKGKDLMDILMETCNDPSAEVKLTRKDIKAFFLDMFMAGTETSSIAVKWAMGELINHPQVFKKLRQEISSVVGPDRLIKESDVQNLPYLQAVVKETLRLHPPSVTLLRQSNEDCKIDGFYLKSKSRIIVNLYSIMRDPNSWNNPDEFIPDRFMGNTNLNSNKELMEMKGQNFDYLPFGSGRRVCPGASLALATIHATVGALVQCFDWKVKGGEMVDMREASGFAATLAMASPLVCYPVTQFNPIEEG >EOY11118 pep chromosome:Theobroma_cacao_20110822:5:38016260:38022709:-1 gene:TCM_026389 transcript:EOY11118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tesmin/TSO1-like CXC domain-containing protein, putative isoform 3 MDTPDKTQITPTPSLSKFEDSPVFKYINSLSPIELAKFRQTDNAFNSLAFLSPSSLFPSPQISCHRESRFSVKRHHFSAASNSSVLQSSNDLNTDEGASKAIEQSYLYDEQPGCLNSGSSSKGVSSDQLDDQSDLAIELPRTLKYDCGSPDGNLEPCDEILKNTSEKVAGHEASPFQHNKDEGEERQMSFENERDLRKIRRIMRSEESAGCDWVAIVSDVADLLTTNSSIIYENIEGQDRRTADPGTTSFISTILQFPLDNSNNLENTETGDPSGSCKQSKLGVPVTDQTPAILSTCLLDKLVVSDSGLNKDDKGEKCNQSSHQQRSIRRRCLVFEKSPGFGLHLNSLANTSNDQSPLSKLTPSTMKRDEVPHHNKAVVTDNSPETPATVSGNETDLNSPEKKRTKFEHVEENAACKRCNCKRSKCLKLYCDCFAAGLYCIEPCSCQDCFNKPIHENKVLETRRQIESRNPLAFAPKVIRSTDSVSDSGGETNKTPASARHKRGCNCKKSSCLKKYCECFQAGVGCSPSCRCEGCKNRFGRKGGGDESESDGEDLETCERNASEKNSQDIAINRSEEYPDLSVPSSDLSRLPFAYSGKLSGSFLHSVRLSPQLCTTQELGSSDSSSCRPKFESHLQAIPEDKTAEILKHNLSPVVLKATSPNCKRVSPPHLHDPVLNGYGSRAFKFHKSTVLSLECALFIKVETL >EOY11119 pep chromosome:Theobroma_cacao_20110822:5:38017050:38022663:-1 gene:TCM_026389 transcript:EOY11119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tesmin/TSO1-like CXC domain-containing protein, putative isoform 3 MDTPDKTQITPTPSLSKFEDSPVFKYINSLSPIELAKFRQTDNAFNSLAFLSPSSLFPSPQISCHRESRFSVKRHHFSAASNSSVLQSSNDLNTDEGASKAIEQSYLYDEQPGCLNSGSSSKGVSSDQLDDQSDLAIELPRTLKYDCGSPDGNLEPCDEILKNTSEKVAGHEASPFQHNKDEGEERQMSFENERDLRKIRRIMRSEESAGCDWVAIVSDVADLLTTNSSIIYENIEGQDRRTADPGTTSFISTILQFPLDNSNNLENTETGDPSGSCKQSKLGVPVTDQTPAILSTCLLDKLVVSDSGLNKDDKGEKCNQSSHQQRSIRRRCLVFEKSPGFGLHLNSLANTSNDQSPLSKLTPSTMKRDEVPHHNKAVVTDNSPETPATVSGNETDLNSPEKKRTKFEHVEENAACKRCNCKRSKCLKLYCDCFAAGLYCIEPCSCQDCFNKPIHENKVLETRRQIESRNPLAFAPKVIRSTDSVSDSGGETNKTPASARHKRGCNCKKSSCLKKYCECFQAGVGCSPSCRCEGCKNRFGRKGGGDESESDGEDLETCERNASEKNSQDIAINRSEEYPDLSVPSSDLSRLSPQLCTTQELGSSDSSSCRPKFESHLQAIPEDKTAEILKHNLSPVVLKATSPNCKRVSPPHRECSSSNTWRSRKLILRSVPPFPSFSQP >EOY11121 pep chromosome:Theobroma_cacao_20110822:5:38018142:38022663:-1 gene:TCM_026389 transcript:EOY11121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tesmin/TSO1-like CXC domain-containing protein, putative isoform 3 MDTPDKTQITPTPSLSKFEDSPVFKYINSLSPIELAKFRQTDNAFNSLAFLSPSSLFPSPQISCHRESRFSVKRHHFSAASNSSVLQSSNDLNTDEGASKAIEQSYLYDEQPGCLNSGSSSKGVSSDQLDDQSDLAIELPRTLKYDCGSPDGNLEPCDEILKNTSEKVAGHEASPFQHNKDEGEERQMSFENERDLRKIRRIMRSEESAGCDWVAIVSDVADLLTTNSSIIYENIEGQDRRTADPGTTSFISTILQFPLDNSNNLENTETGDPSGSCKQSKLGVPVTDQTPAILSTCLLDKLVVSDSGLNKDDKGEKCNQSSHQQRSIRRRCLVFEKSPGFGLHLNSLANTSNDQSPLSKLTPSTMKRDEVPHHNKAVVTDNSPETPATVSGNETDLNSPEKKRTKFEHVEENAACKRCNCKRSKCLKLYCDCFAAGLYCIEPCSCQDCFNKPIHENKVLETRRQIESRNPLAFAPKVIRSTDSVSDSGGETNKTPASARHKRGCNCKKSSCLKKYCECFQAGVGCSPSCRCEGCKNRFGRKGGESLSGTVWLNTNINVWN >EOY11120 pep chromosome:Theobroma_cacao_20110822:5:38018302:38022556:-1 gene:TCM_026389 transcript:EOY11120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tesmin/TSO1-like CXC domain-containing protein, putative isoform 3 MDTPDKTQITPTPSLSKFEDSPVFKYINSLSPIELAKFRQTDNAFNSLAFLSPSSLFPSPQISCHRESRFSVKRHHFSAASNSSVLQSSNDLNTDEGASKAIEQSYLYDEQPGCLNSGSSSKGVSSDQLDDQSDLAIELPRTLKYDCGSPDGNLEPCDEILKNTSEKVAGHEASPFQHNKDEGEERQMSFENERDLRKIRRIMRSEESAGCDWVAIVSDVADLLTTNSSIIYENIEGQDRRTADPGTTSFISTILQFPLDNSNNLENTETGDPSGSCKQSKLGVPVTDQTPAILSTCLLDKLVVSDSGLNKDDKGEKCNQSSHQQRSIRRRCLVFEKSPGFGLHLNSLANTSNDQSPLSKLTPSTMKRDEVPHHNKAVVTDNSPETPATVSGNETDLNSPEKKRTKFEHVEENAACKRCNCKRSKCLKLYCDCFAAGLYCIEPCSCQDCFNKPIHENKVLETRRQIESRNPLAFAPKVIRSTDSVSDSGGETNKTPASARHKRGCNCKKSSCLKKYCECFQVCQFSSFCIEMFSSPI >EOY07853 pep chromosome:Theobroma_cacao_20110822:5:3009495:3010038:1 gene:TCM_022175 transcript:EOY07853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFIIIQSYRFIAFHTHNSSASTTLCLKGEDGASYFDFKRAEVKDLPSTWGHLLLRLQLQLRQPKENHPRSKVAEKLACLIKVTLWVSSVFA >EOY09766 pep chromosome:Theobroma_cacao_20110822:5:30950903:30952195:-1 gene:TCM_025147 transcript:EOY09766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 3 MVQEEYKPNVIHYNTIVNCLCKISDTNGAIRFLKVMKKRGCEPNTTTYSIIIDGLCKDKHAAEALKLFSEMKGKGIPPTVVTYNSLIHAMCNSCQWKVVTRLLNEMVANNCKPDVVTYNILVDALCKGGRVLEAQDIIERMIQLGFEPDMFTYNSLMNGYCGRGEMDEARKLLNFLVSSGYGVDAFTYNTVINGYCKARRMNEAVELFHEMTQNGSIPDTVTYNTLISGMSLIGRLSAAQELFKEMGAHGTVPDKITYFTLLDGLCKHGQINDALGLFHVMQNSGLEPDIVHYNILINGLCQVGQLKVARKLFYALTVRGLHTNVYTYNIMIKGLCKEGLPNEAYKLLRKMEVDGCMPNSCSYNTMIQGCLQNNDISRVAQILHEMVKKGFSAYISTAAMLVDLLSSNSTGESFCALLRNCEDYQSIYMK >EOY09765 pep chromosome:Theobroma_cacao_20110822:5:30949673:30952613:-1 gene:TCM_025147 transcript:EOY09765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 3 MVGMHPRPSVMKFDQLVGAIVRMKHYPTALSLIRQMELLGIHPDVCTLNISLNCFCRLHRLDFGFSVFGKMLKLGIQPSIVTFFTLINGLCIGGEVAQAVRLFNDMVQEEYKPNVIHYNTIVNCLCKISDTNGAIRFLKVMKKRGCEPNTTTYSIIIDGLCKDKHAAEALKLFSEMKGKGIPPTVVTYNSLIHAMCNSCQWKVVTRLLNEMVANNCKPDVVTYNILVDALCKGGRVLEAQDIIERMIQLGFEPDMFTYNSLMNGYCGRGEMDEARKLLNFLVSSGYGVDAFTYNTVINGYCKARRMNEAVELFHEMTQNGSIPDTVTYNTLISGMSLIGRLSAAQELFKEMGAHGTVPDKITYFTLLDGLCKHGQINDALGLFHVMQNSGLEPDIVHYNILINGLCQVGQLKVARKLFYALTVRGLHTNVYTYNIMIKGLCKEGLPNEAYKLLRKMEVDGCMPNSCSYNTMIQGCLQNNDISRVAQILHEMVKKGFSAYISTAAMLVDLLSSNSTGESFCALLRNCEDYQSIYMK >EOY09763 pep chromosome:Theobroma_cacao_20110822:5:30948274:30952873:-1 gene:TCM_025147 transcript:EOY09763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 3 MMVRGWKSASLFSSSAFDLLQQLESGNPKSVNSLPFTLFNYFSVLNKKRALFYFQNSYFSTTHRKKSEYVFDNIDDALNKFYQMVGMHPRPSVMKFDQLVGAIVRMKHYPTALSLIRQMELLGIHPDVCTLNISLNCFCRLHRLDFGFSVFGKMLKLGIQPSIVTFFTLINGLCIGGEVAQAVRLFNDMVQEEYKPNVIHYNTIVNCLCKISDTNGAIRFLKVMKKRGCEPNTTTYSIIIDGLCKDKHAAEALKLFSEMKGKGIPPTVVTYNSLIHAMCNSCQWKVVTRLLNEMVANNCKPDVVTYNILVDALCKGGRVLEAQDIIERMIQLGFEPDMFTYNSLMNGYCGRGEMDEARKLLNFLVSSGYGVDAFTYNTVINGYCKARRMNEAVELFHEMTQNGSIPDTVTYNTLISGMSLIGRLSAAQELFKEMGAHGTVPDKITYFTLLDGLCKHGQINDALGLFHVMQNSGLEPDIVHYNILINGLCQVGQLKVARKLFYALTVRGLHTNVYTYNIMIKGLCKEGLPNEAYKLLRKMEVDGCMPNSCSYNTMIQGCLQNNDISRVAQILHEMVKKGFSAYISTAAMLVDLLSSNSTGESFCALLRNCEDYQSIYMK >EOY09764 pep chromosome:Theobroma_cacao_20110822:5:30949629:31066866:-1 gene:TCM_025147 transcript:EOY09764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 3 MVQEEYKPNVIHYNTIVNCLCKISDTNGAIRFLKVMKKRGCEPNTTTYSIIIDGLCKDKHAAEALKLFSEMKGKGIPPTVVTYNSLIHAMCNSCQWKVVTRLLNEMVANNCKPDVVTYNILVDALCKGGRVLEAQDIIERMIQLGFEPDMFTYNSLMNGYCGRGEMDEARKLLNFLVSSGYGVDAFTYNTVINGYCKARRMNEAVELFHEMTQNGSIPDTVTYNTLISGMSLIGRLSAAQELFKEMGAHGTVPDKITYFTLLDGLCKHGQINDALGLFHVMQNSGLEPDIVHYNILINGLCQVGQLKVARKLFYALTVRGLHTNVYTYNIMIKGLCKEGLPNEAYKLLRKMEVDGCMPNSCSYNTMIQGCLQNNDISRVAQILHEMVKKGFSAYISTAAMLVDLLSSNSTGESFCALLRNCEDYQSIYMK >EOY11558 pep chromosome:Theobroma_cacao_20110822:5:39609199:39609692:1 gene:TCM_026696 transcript:EOY11558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVTETQANKTLSFVSQFYNNETDFRTKSGIRSCMHNYGDSVTIINITGLPSFDRKNYRDAYDSIGYTREGAAECNDTGVAMFFDRNNEVIMFTTIVLDLLNNLITN >EOY11094 pep chromosome:Theobroma_cacao_20110822:5:37919266:37927333:-1 gene:TCM_026368 transcript:EOY11094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide binding protein 35 MQNSQLKSSQRISAVKLTSANLEQSLRSLKTQFCCRLELLSSTKTAAMENGEIPENANEHCPGPNSETAGKSDACQGCPNQEVCATAPKGPDPDLVAIVERMATVKHKILILSGKGGVGKSTFSAQLSFALAAKEFQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLVVDAPPGTSDEHISIVQFLQATGIDGAIIVTTPQQVSLIDVRKEVNFCKKVGVPVLGVVENMSGLCQPLMDFRFLRMTETGEHTDVTEKVIEYMREKAPEMVDLIAASEVFDSSGGGAAKMCREMGVPFLGKVPLDPHLCKAAEEGKSCFVDQKCGVSAPALKHIIEKLMTNYWPEEMAVEQ >EOY08463 pep chromosome:Theobroma_cacao_20110822:5:8862416:8865515:1 gene:TCM_022924 transcript:EOY08463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase MILKRGRNLGLKEKRVGFGIGFSAWRDWTGSDLSKRRSKMAGCELVGNSVFYRTERRNCPCKLGKFSGVPLFYHLLQAPLTNSSASLSVESPPDGYRKNVGICLLNPSKKIFTASRIHIPNTWQMPQGGANNGEDLRKAAMRELREETGVTSAEFVAEAPYWLTYDFPIKVKSKLNRRWGTKYRGQAQKWFLFKFTGNEEEINLLGDGSEKPEFKEWSWRLPEQVVELAVDFKKPVYEQVLEVFSPYLLLDTDEEQSTVKEANWGEVGQ >EOY07111 pep chromosome:Theobroma_cacao_20110822:5:465616:470000:-1 gene:TCM_021622 transcript:EOY07111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin beta-1, putative isoform 1 MAMEITQFLLAAQSADAKVRTEAEGNLRQFQEQNLPVFLLSLSVELANNEKPVESRRLAGIVLKNSLDAKDAIRKEQLVQQWMAIDISVKSQIKDLLLRTLGSSVPEARHTSAQVVAKIASIEIPRKQWPELIGSLLNNMTQQDRPAALKQATLETLGYVCEEISHQDLVQEEVNAVLTAVVQGMNLAEHSPEVRLAATRALYNALEFAQTNFENEMERNYIMKVVCDTAMSKEVEIRQAAFECLVAIASAYYEVLEPYMQTLFELTSNAVKGDEETVALQAIEFWSSICDEEIELQEFETPESGDSGPPHSRFIEKALSSLVPLLLETLLKQEEDQDQDDTVWNISMAGGTCLGLVARTVGDAIVPLVMPFVESNILKPDWRCREAATYAFGSILEGPTIEKLSPLVQAGLDFLLTAMKDGNNHVKDTTAWTLSRIFELLHSPASGFSVIAPENLKRVVGVLLESIKDAPNVAEKVCGAIYYLVQGYEDAGPSASVLSPYLTDIISCLIATADRTDGSDSKLRSSAYETLNEVVRCSNIAETSPIIAQLLPVIMSKLGQTVEIQIVSSDDREKQGDLQASLCGVLQVIIQKLSSTDETKTIILQAADQIMILFLRVFGCRSSTVHEEAMLAIGALAYATGPQFEKYMPEFYKYLEMGLQNFEEYQVCAITVGVVGDICRALDDKVLPYCDGIMGLLLKDLASSELHRSVKPPIFSCFGDIGLAIGEHFEKYVPFALPMMQGAAEICAQLETADEEMMDYGNQLRRSIFEAYSGILQGFKSVKPDVMMPYAQHLLKFIELVSRDRQRDESVTKAAVAVMGDLADALGSNTNTKLLFKDCAFYSEFLGECLQSDDEQLKETAGWTQGMIGRVMVL >EOY07110 pep chromosome:Theobroma_cacao_20110822:5:466084:468806:-1 gene:TCM_021622 transcript:EOY07110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin beta-1, putative isoform 1 MAMEITQFLLAAQSADAKVRTEAEGNLRQFQEQNLPVFLLSLSVELANNEKPVESRRLAGIVLKNSLDAKDAIRKEQLVQQWMAIDISVKSQIKDLLLRTLGSSVPEARHTSAQVVAKIASIEIPRKQWPELIGSLLNNMTQQDRPAALKQATLETLGYVCEEISHQDLVQEEVNAVLTAVVQGMNLAEHSPEVRLAATRALYNALEFAQTNFENEMERNYIMKVVCDTAMSKEVEIRQAAFECLVAIASAYYEVLEPYMQTLFELTSNAVKGDEETVALQAIEFWSSICDEEIELQEFETPESGDSGPPHSRFIEKALSSLVPLLLETLLKQEEDQDQDDTVWNISMAGGTCLGLVARTVGDAIVPLVMPFVESNILKPDWRCREAATYAFGSILEGPTIEKLSPLVQAGLDFLLTAMKDGNNHVKDTTAWTLSRIFELLHSPASGFSVIAPENLKRVVGVLLESIKDAPNVAEKVCGAIYYLVQGYEDAGPSASVLSPYLTDIISCLIATADRTDGSDSKLRSSAYETLNEVVRCSNIAETSPIIAQLLPVIMSKLGQTVEIQIVSSDDREKQGDLQASLCGVLQVIIQKLSSTDETKTIILQAADQIMILFLRVFGCRSSTVHEEAMLAIGALAYATGPQFEKYMPEFYKYLEMGLQNFEEYQVCAITVGVVGDICRALDDKVLPYCDGIMGLLLKDLASSELHRSVKPPIFSCFGDIGLAIGEHFEKYVPFALPMMQGAAEICAQLETADEEMMDYGNQLRRSIFEAYSGILQGFKSVKPDVMMPYAQHLLKFIELVSRDRQRDESVTKAAVAVMGDLADALGSNTNTKLLFKDCAFYSEFLGECLQSDDEQLKETAGWTQGMIGRVMVL >EOY08676 pep chromosome:Theobroma_cacao_20110822:5:17279562:17283291:1 gene:TCM_023672 transcript:EOY08676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASQKSIVTEGQSIYRHSLLDGSNYAYWSTRKSIYIRALDYEMWDVIKEGPYIPTSPSIITREKISKPKVKWIRAEMKKVQINFKVINIFHCAFTHIKFNKVLDCTTTKKI >EOY09285 pep chromosome:Theobroma_cacao_20110822:5:28006877:28020274:-1 gene:TCM_024710 transcript:EOY09285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein [Source:Projected from Arabidopsis thaliana (AT2G41830) TAIR;Acc:AT2G41830] MSSISGVISRQVLPACGSLCFFCPAMRARSRQPVKRYKKLIAEIFPRNQEEGANDRKIGKLCDYASKNPLRIPKISNSLEQRCYKELRNENFQSAKIVMCIYRKLLVSCKEQMTLFASSLLSIIQTLLDQTRQDEMRIMGCQTLFDFVNNQKDGTFMFNLEGFIPKLCQLAQEIGEGERERKLCSAGLQALSSMIWFMGEHSHISVEFDNIVSVVVESYGGPRKNLENPNGAQSRWVQEVLKNEGHVSPSPDVLIRVPSWGAIVNDKGEPNVTAEDAQNPCFWSRVCLHNMANLAKEATTTRRVLESLFRYFDKENLWSLQNGLAFSVLKDIQLLMDSSGQNTHFLLSVLVKHLDHKNILKQPDMQLQIIEVTASLAELSKAEPSVAILGAVSDVMRHLRKSIHCLLDDATMGADIINWNRNFKEAVDNCLVQLAHKVGDAGPILDAMAVMLENISNITVIARTTICVVYRTAQIVASIPNPSYLNKAFPEALFHQLLPAMVHPDHETRIGAHRIFSVVLVPSSVCPQPSSVSPVTIKGSGIPRTLSRTVSVFSSSAALFEKLRKDKSFARENACLENKGNIDSEVELKNSNNGILNRLKSSYSRTYSSRSPPIPLPMDGNPLSNSNKQSEANSLRLSSTQISLLLSSIWAQSISPENTPQNYEAIAHTYSLVLLFSRAKNSGNKALVRSFQLAFSLRSISLNEGG >EOY09283 pep chromosome:Theobroma_cacao_20110822:5:28004837:28020763:-1 gene:TCM_024710 transcript:EOY09283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein [Source:Projected from Arabidopsis thaliana (AT2G41830) TAIR;Acc:AT2G41830] MSSISGVISRQVLPACGSLCFFCPAMRARSRQPVKRYKKLIAEIFPRNQEEGANDRKIGKLCDYASKNPLRIPKISNSLEQRCYKELRNENFQSAKIVMCIYRKLLVSCKEQMTLFASSLLSIIQTLLDQTRQDEMRIMGCQTLFDFVNNQKDGTFMFNLEGFIPKLCQLAQEIGEGERERKLCSAGLQALSSMIWFMGEHSHISVEFDNIVSVVVESYGGPRKNLENPNGAQSRWVQEVLKNEGHVSPSPDVLIRVPSWGAIVNDKGEPNVTAEDAQNPCFWSRVCLHNMANLAKEATTTRRVLESLFRYFDKENLWSLQNGLAFSVLKDIQLLMDSSGQNTHFLLSVLVKHLDHKNILKQPDMQLQIIEVTASLAELSKAEPSVAILGAVSDVMRHLRKSIHCLLDDATMGADIINWNRNFKEAVDNCLVQLAHKVGDAGPILDAMAVMLENISNITVIARTTICVVYRTAQIVASIPNPSYLNKAFPEALFHQLLPAMVHPDHETRIGAHRIFSVVLVPSSVCPQPSSVSPVTIKGSGIPRTLSRTVSVFSSSAALFEKLRKDKSFARENACLENKGNIDSEVELKNSNNGILNRLKSSYSRTYSSRSPPIPLPMDGNPLSNSNKQSEANSLRLSSTQISLLLSSIWAQSISPENTPQNYEAIAHTYSLVLLFSRAKNSGNKALVRSFQLAFSLRSISLNEGGPLPPSRRRSLFTLATSMILFSSKAFSIVPIVYCAKVALTERMVDPFMRLVEDRKLEAVNAGSDQPTNVYGSKEDDNLALKTLSQIQITPEQRRETLASEILKSLGNLSEPELSTTRAQLLNEFLPDDVCPLGVQLPMDAPHKVYQVDVGDNKSIKEEAPIFSTDNYAFPEPFEGQTKDNSELPVEIPNLLDVNQLLESVLETAHQFGRSSISTGPDMSYKEMAHHCEALLTGKQKKMSDLMSAQLRQESLISLSFQHPDNETKQAGPVLEQTGSPNPYKQSVGTLPMLCATEYQNHPLSFRLPASSPYDNFLKAAGC >EOY09284 pep chromosome:Theobroma_cacao_20110822:5:28006877:28016737:-1 gene:TCM_024710 transcript:EOY09284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein [Source:Projected from Arabidopsis thaliana (AT2G41830) TAIR;Acc:AT2G41830] MRIMGCQTLFDFVNNQKDGTFMFNLEGFIPKLCQLAQEIGEGERERKLCSAGLQALSSMIWFMGEHSHISVEFDNIVSVVVESYGGPRKNLENPNGAQSRWVQEVLKNEGHVSPSPDVLIRVPSWGAIVNDKGEPNVTAEDAQNPCFWSRVCLHNMANLAKEATTTRRVLESLFRYFDKENLWSLQNGLAFSVLKDIQLLMDSSGQNTHFLLSVLVKHLDHKNILKQPDMQLQIIEVTASLAELSKAEPSVAILGAVSDVMRHLRKSIHCLLDDATMGADIINWNRNFKEAVDNCLVQLAHKVGDAGPILDAMAVMLENISNITVIARTTICVVYRTAQIVASIPNPSYLNKAFPEALFHQLLPAMVHPDHETRIGAHRIFSVVLVPSSVCPQPSSVSPVTIKGSGIPRTLSRTVSVFSSSAALFEKLRKDKSFARENACLENKGNIDSEVELKNSNNGILNRLKSSYSRTYSSRSPPIPLPMDGNPLSNSNKQSEANSLRLSSTQISLLLSSIWAQSISPENTPQNYEAIAHTYSLVLLFSRAKNSGNKALVRSFQLAFSLRSISLNEGGPLPPSRRRSLFTLATSMILFSSKAFSIVPIVYCAKVALTERMVDPFMRLVEDRKLEAVNAGSDQPTNVYGSKEDDNLALKTLSQIQITPEQRRETLASEILKSLGNLSE >EOY09979 pep chromosome:Theobroma_cacao_20110822:5:32057107:32062023:-1 gene:TCM_025348 transcript:EOY09979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 1 MWSKTNFVANLLQTCIDKKSILPGKVLHAYIFRSNLLANTFLCNRLIELYSKCNDPTSAHHMFDQTPQKNIYSWNAVLSALCKAGNLTFARKVFEQMPERNVASWNNLISLMVKNGFQEKALDVYKLMVFEGFLPTHVTFASVLSACGSVVHLELGKRCHGLVIKIGLDKNIFVCNGLLSVYAKCGVMKEAIKVFGDIDDPNEVTFTTMMGGLGKIDGVFEALEMFRMMRRKGVQIDSVSLSAVLSACTKGGEYGEFGCCRENDRFLCSVVLGEQVHGLVVKLGFECDLYLSNSLLDMYAKNGNMKSAETIFSNLGKGSVVSWNIMIAGYGQKGQKEKAVECLRRMQCCSFEPDEVTYINMLAACSKCGDVETARRMFDSMLCPSVISWNAIISGYSQNENHKEAIELFREMQFQNVKPDRTTVAVILGSCAGMEFLEGGKQVHAASQKAALYTDNYVASGLIGMYSKCGKIKMAECIFSYVPELDIVCWNSMIAGLTLNSLDKEAFMLFKQMQQGGMLPTEFSYTAILSCCAKLSSSFQGRQVHSQIVKDGFMNYVFVGTALVDMYCKCGDIDGARKYFDKMPVRNTVTWNEMIHGYAQNGRGDEAVHLYKNMIASGEKPDGITFIAVLTACSHSGLVDLGVRIFNSMQSDYGLEPELDHYTCIIDCLGRAGHFHDAELLMDKMPYKDDPVIWEVLLSSCRVHTNVSLAQRAAEELFRLKPESSSPYVLLANIYSSLGRWDDVRAVRELMSDRQIVKDPGYSWTEDKEQDISLSVG >EOY09977 pep chromosome:Theobroma_cacao_20110822:5:32057648:32061952:-1 gene:TCM_025348 transcript:EOY09977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 1 MWSKTNFVANLLQTCIDKKSILPGKVLHAYIFRSNLLANTFLCNRLIELYSKCNDPTSAHHMFDQTPQKNIYSWNAVLSALCKAGNLTFARKVFEQMPERNVASWNNLISLMVKNGFQEKALDVYKLMVFEGFLPTHVTFASVLSACGSVVHLELGKRCHGLVIKIGLDKNIFVCNGLLSVYAKCGVMKEAIKVFGDIDDPNEVTFTTMMGGLGKIDGVFEALEMFRMMRRKGVQIDSVSLSAVLSACTKGGEYGEFGCCRENDRFLCSVVLGEQVHGLVVKLGFECDLYLSNSLLDMYAKNGNMKSAETIFSNLGKGSVVSWNIMIAGYGQKGQKEKAVECLRRMQCCSFEPDEVTYINMLAACSKCGDVETARRMFDSMLCPSVISWNAIISGYSQNENHKEAIELFREMQFQNVKPDRTTVAVILGSCAGMEFLEGGKQVHAASQKAALYTDNYVASGLIGMYSKCGKIKMAECIFSYVPELDIVCWNSMIAGLTLNSLDKEAFMLFKQMQQGGMLPTEFSYTAILSCCAKLSSSFQGRQVHSQIVKDGFMNYVFVGTALVDMYCKCGDIDGARKYFDKMPVRNTVTWNEMIHGYAQNGRGDEAVHLYKNMIASGEKPDGITFIAVLTACSHSGLVDLGVRIFNSMQSDYGLEPELDHYTCIIDCLGRAGHFHDAELLMDKMPYKDDPVIWEVLLSSCRVHTNVSLAQRAAEELFRLKPESSSPYVLLANIYSSLGRWDDVRAVRELMSDRQIVKDPGYSWTEDKEQDISLSVG >EOY09980 pep chromosome:Theobroma_cacao_20110822:5:32059595:32061928:-1 gene:TCM_025348 transcript:EOY09980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 1 MWSKTNFVANLLQTCIDKKSILPGKVLHAYIFRSNLLANTFLCNRLIELYSKCNDPTSAHHMFDQTPQKNIYSWNAVLSALCKAGNLTFARKVFEQMPERNVASWNNLISLMVKNGFQEKALDVYKLMVFEGFLPTHVTFASVLSACGSVVHLELGKRCHGLVIKIGLDKNIFVCNGLLSVYAKCGVMKEAIKVFGDIDDPNEVTFTTMMGGLGKIDGVFEALEMFRMMRRKGVQIDSVSLSAVLSACTKGGEYGEFGCCRENDRFLCSVVLGEQVHGLVVKLGFECDLYLSNSLLDMYAKNGNMKSAETIFSNLGKGSVVSWNIMIAGYGQKGQKEKAVECLRRMQCCSFEPDEVTYINMLAACSKCGDVETARRMFDSMLCPSVISWNAIISGYSQNENHKEAIELFREMQFQNVKPDRTTVAVILGSCAGMEFLEGGKQVHAASQKAALYTDNYVASGLIGMYSKCGKIKMAECIFSYVPELDIVCWNSMIAGLTLNSLDKEAFMLFKQMQQGGMLPTEFSYTAILSCCAKLSSSFQGRQVHSQIVKDGFMNYVFVGTALVDMYCKCGDIDGARKYFDKMPVRNTVTWNEMIHGYAQNGRGDEAVHLYKNMIASGEKPDGITFIAVLTACSHSGLVDLGVRIFNSMQSDYGLEPELDHYTCIIDCLGRAGHFHDAELLMDKMPYKDDPVIWEVLLSSCRVHTNVSLAQRAAEELFRLKPESSSPYVLLANIYSSLGRWDDVRAVRELMSDRQIVKDPGYSWTEDKEQDISLSVG >EOY09978 pep chromosome:Theobroma_cacao_20110822:5:32059595:32061928:-1 gene:TCM_025348 transcript:EOY09978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 1 MWSKTNFVANLLQTCIDKKSILPGKVLHAYIFRSNLLANTFLCNRLIELYSKCNDPTSAHHMFDQTPQKNIYSWNAVLSALCKAGNLTFARKVFEQMPERNVASWNNLISLMVKNGFQEKALDVYKLMVFEGFLPTHVTFASVLSACGSVVHLELGKRCHGLVIKIGLDKNIFVCNGLLSVYAKCGVMKEAIKVFGDIDDPNEVTFTTMMGGLGKIDGVFEALEMFRMMRRKGVQIDSVSLSAVLSACTKGGEYGEFGCCRENDRFLCSVVLGEQVHGLVVKLGFECDLYLSNSLLDMYAKNGNMKSAETIFSNLGKGSVVSWNIMIAGYGQKGQKEKAVECLRRMQCCSFEPDEVTYINMLAACSKCGDVETARRMFDSMLCPSVISWNAIISGYSQNENHKEAIELFREMQFQNVKPDRTTVAVILGSCAGMEFLEGGKQVHAASQKAALYTDNYVASGLIGMYSKCGKIKMAECIFSYVPELDIVCWNSMIAGLTLNSLDKEAFMLFKQMQQGGMLPTEFSYTAILSCCAKLSSSFQGRQVHSQIVKDGFMNYVFVGTALVDMYCKCGDIDGARKYFDKMPVRNTVTWNEMIHGYAQNGRGDEAVHLYKNMIASGEKPDGITFIAVLTACSHSGLVDLGVRIFNSMQSDYGLEPELDHYTCIIDCLGRAGHFHDAELLMDKMPYKDDPVIWEVLLSSCRVHTNVSLAQRAAEELFRLKPESSSPYVLLANIYSSLGRWDDVRAVRELMSDRQIVKDPGYSWTEDKEQDISLSVG >EOY07485 pep chromosome:Theobroma_cacao_20110822:5:1724176:1726552:-1 gene:TCM_021909 transcript:EOY07485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 17 isoform 1 MATSHPIPSFPSMAMLFSFFVLLLLLENVAGETRHYTFNIDYHNVTRLCHTRTILTVNRQFPGPRLVAREGDRVIVKVVNHISNNVSIHWHGIRQLTTGWADGPSYVTQCPIQTNQSYIYNFTITGQRGTLLWHAHISWLRATIYGPIIILPKRNESYPFVKPHKEVTILFGEWFNTDPEAIISQALQTGAAPNVSDAYTINSLPGPLYNCSSKDTYKLKVKPGKTYLLRLINAALNDELFFSIANHTVTVVEGDAIYTKPFETDKLLITPGQTTNVLLKTKPEFPNASFLMAARPYFTGQGTIDNSTTVGILEYEHPKHHKSSKNLTLLQPTLPPINATAFVANFTGKFRSLANAKFPANVPKTVDKKFFFTVGLGTSPCPQNTTCQGPNNSSKFAASVNNMSFALPSVAILQAYYFGQNGVYTTDFPTQPLIPFNYTGTPPNNTNVMNGTRTVVLPFNTSVELVMQDTSILGAESHPLHLHGYNFFVVGQGFGNFDPNKDPAKFNLVDPMERNTAGVPAGGWLAIRFFADNPGVWFMHCHLDVHTSWGLKMAWIVLDGPQPNQKLQPPPSDLPQC >EOY07486 pep chromosome:Theobroma_cacao_20110822:5:1723339:1726622:-1 gene:TCM_021909 transcript:EOY07486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 17 isoform 1 MATSHPIPSFPSMAMLFSFFVLLLLLENVAGETRHYTFNIDYHNVTRLCHTRTILTVNRQFPGPRLVAREGDRVIVKVVNHISNNVSIHWHGIRQLTTGWADGPSYVTQCPIQTNQSYIYNFTITGQRGTLLWHAHISWLRATIYGPIIILPKRNESYPFVKPHKEVTILFGEWFNTDPEAIISQALQTGAAPNVSDAYTINSLPGPLYNCSSKDTYKLKVKPGKTYLLRLINAALNDELFFSIANHTVTVVEGDAIYTKPFETDKLLITPGQTTNVLLKTKPEFPNASFLMAARPYFTGQGTIDNSTTVGILEYEHPKHHKSSKNLTLLQPTLPPINATAFVANFTGKFRSLANAKFPANVPKTVDKKFFFTVGLGTSPCPQNTTCQGPNNSSKFAASVNNMSFALPSVAILQAYYFGQNGVYTTDFPTQPLIPFNYTGTPPNNTNVMNGTRTVVLPFNTSVELVMQDTSILGAESHPLHLHGYNFFVVGQGFGNFDPNKDPAKFNLVDPMERNTAGVPAGGWLAIRFFADNPGVWFMHCHLDVHTSWGLKMAWIVLDGPQPNQKLQPPPSDLPQC >EOY07488 pep chromosome:Theobroma_cacao_20110822:5:1722151:1726000:-1 gene:TCM_021909 transcript:EOY07488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 17 isoform 1 FTITGQRGTLLWHAHISWLRATIYGPIIILPKRNESYPFVKPHKEVTILFGEWFNTDPEAIISQALQTGAAPNVSDAYTINSLPGPLYNCSSKDTYKLKVKPGKTYLLRLINAALNDELFFSIANHTVTVVEGDAIYTKPFETDKLLITPGQTTNVLLKTKPEFPNASFLMAARPYFTGQGTIDNSTTVGILEYEHPKHHKSSKNLTLLQPTLPPINATAFVANFTGKFRSLANAKFPANVPKTVDKKFFFTVGLGTSPCPQNTTCQGPNNSSKFAASVNNMSFALPSVAILQAYYFGQNGVYTTDFPTQPLIPFNYTGTPPNNTNVMNGTRTVVLPFNTSVELVMQDTSILGAESHPLHLHGYNFFVVGQGFGNFDPNKDPAKFNLVDPMERNTAGVPAGGWLAIRFFADNPGTNLFPLFPRQISQKR >EOY07487 pep chromosome:Theobroma_cacao_20110822:5:1722155:1726622:-1 gene:TCM_021909 transcript:EOY07487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 17 isoform 1 MATSHPIPSFPSMAMLFSFFVLLLLLENVAGETRHYTFNIDYHNVTRLCHTRTILTVNRQFPGPRLVAREGDRVIVKVVNHISNNVSIHWHGIRQLTTGWADGPSYVTQCPIQTNQSYIYNFTITGQRGTLLWHAHISWLRATIYGPIIILPKRNESYPFVKPHKEVTILFGEWFNTDPEAIISQALQTGAAPNVSDAYTINSLPGPLYNCSSKDTYKLKVKPGKTYLLRLINAALNDELFFSIANHTVTVVEGDAIYTKPFETDKLLITPGQTTNVLLKTKPEFPNASFLMAARPYFTGQGTIDNSTTVGILEYEHPKHHKSSKNLTLLQPTLPPINATAFVANFTGKFRSLANAKFPANVPKTVDKKFFFTVGLGTSPCPQNTTCQGPNNSSKFAASVNNMSFALPSVAILQAYYFGQNGVYTTDFPTQPLIPFNYTGTPPNNTNVMNGTRTVVLPFNTSVELVMQDTSILGAESHPLHLHGYNFFVVGQGFGNFDPNKDMDSLGWAATKSEVAATTV >EOY10044 pep chromosome:Theobroma_cacao_20110822:5:32683999:32686303:-1 gene:TCM_025433 transcript:EOY10044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVRLCEKLLTIYPSDKSTLRRFQEPLTLDIYDCGSLQEVFNLQGIPVEETKAAVATQLSKLYLCKLPNLKFVWNKDPQGILTFQNLQLLSVRKCESLKHIFPTSVAKGLAQLEILEVKECGLEEIVAKEEGIEEAIEFGFPRLSTLELCHLTKLKCFYPGTHTTHWPQPLFLFEKVIHQLDELSLNRDDIASITDRRSLLWFLVNSESYLRGLPYQRVIQKLESIEVWECDLLSNLAPTASFQSHTALDVWNCQGMINLVSSSTARTLVQLMDMRIRECNKLTVIVAEEEGDRGDKIVFQNLKFLKFQSLERLTSFCQGNLGLDFPALEKVIVEQCPNMNSFCQGKLITGKLRVKPREGKGTGRQTDDLNADIKRLSEEEKPSREGRTQQS >EOY09111 pep chromosome:Theobroma_cacao_20110822:5:26143545:26154569:-1 gene:TCM_024498 transcript:EOY09111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNHNNWRRQKGEIHHQEMQGTRSHSRKPPLATWQPTVPSWEKKFCTLVGSVPWRKLLETKRFMYLYDNVVQWNDSAGEEAFYNAKNRFWAEINGLPCDIRLPDPDSYIDEIDWDSEIDPELLLDLEREPNTPDEKDKSENVVILGNSLLLNQSFSCGGWGDAEEGVVKENNMSSNWKNQDCENSWEQNYASNNCNMKDIEYRNCWNNSWEWNRRENNYNEWDNNESNYVDYRSGDWDAWDGTRRKREGPGRYMSRYKTSRFHSDNRQNNRGWRNVRGRQRANFAYERPPVDSTQWNSVNYCGWAN >EOY09142 pep chromosome:Theobroma_cacao_20110822:5:26451687:26456967:1 gene:TCM_024537 transcript:EOY09142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDVCLLDSATTHTILCGKHFLSNVTLSKANVYTISGPVGIIDGSENATIVLPNGTTLHIKDALLSSRSKRNLLSFKYVRHNGYHLETIYEQNKECLCITSYKTS >EOY11047 pep chromosome:Theobroma_cacao_20110822:5:37682765:37684087:-1 gene:TCM_026319 transcript:EOY11047 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI3-interacting protein 3 isoform 1 MCSSFVLGLNDKRFLKGTGGSETEVTWEDQQNINKFGRLNNRFHELEDEIKIAKETNDNLEDASNELILTDEEVVRFQIGEVFAHVPKEEVETRIEEMKEVTSKNLEKLEEEKESV >EOY11046 pep chromosome:Theobroma_cacao_20110822:5:37682458:37684009:-1 gene:TCM_026319 transcript:EOY11046 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI3-interacting protein 3 isoform 1 MQQGTGGSETEVTWEDQQNINKFGRLNNRFHELEDEIKIAKETNDNLEDASNELILTDEEVVRFQIGEVFAHVPKEEVETRIEEMKEVTSKNLEKLEEEKESVLAQMAELKKILYGKFKDSINLEED >EOY08837 pep chromosome:Theobroma_cacao_20110822:5:21998406:22001235:1 gene:TCM_024078 transcript:EOY08837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAFLRGVNLWNAVEFETELLVLKENATQAQVKQYVEDIAKRYRALSFKHSTVSESVFNRIMGCETANEALSKLEKEFLGSARSKQVRLQNLRRKYELLRMKENQTIGEFVEDLMKLVNQIRLMGDSLIDLKVVEKIMLSLPERFDPTITYLEQVKDITELSISDLVSALEADEQRKAARRDERVDHALAARAKGKAPADSSFKKNSNETKEKDKTGTTAGRFRNKKGKFPICPHCKKRSHYEAYCWFRPRVKCNACKQLGHVEKVCKNKAEVADKKQQIAKQVEKAEVAEEVLFMTIEDSNSSNYNHWLLDSTCSNHMTSKAELFSELDTDHCSSVKIGNGFILNAVGKGTVAIQTTSGTRYVLNVLLVPEITQNLLSVGQLVDEDYMLVFKNNACTVYDPSAVYMMSVPMHKSEVFSVFQKFKAKVENESGCRIKILRTNNGGEYTSSEFTSYLEKEGIHHQLTAPYCPEQNGVSERKNRTIIEMSRCLLFEKKLPKSFWAEATNTAVYLQNILITQAVNNETPYEVWYSIRPSVDHLRIFGSICYLHVPDELRDKLQPKAKLGVFIGYSQQSKACRIYQIESGTVSVSIHVTFDEGAYWNWEKNQMQHTKFLDEDVNLQLANSEEILDAEQIVDEPPVRDTRSLQEIYESCNVAVFDPATPEEAIVLPGWKEAMEEEMKMINLNKTWSLVDDLSIIMLLE >EOY08216 pep chromosome:Theobroma_cacao_20110822:5:5680841:5689709:1 gene:TCM_022558 transcript:EOY08216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASAVKEEISKHGGSSSQTQSLAEMEDEEEELFEINLEAVNSIPPPHYWEAFFTATRSALLANCLLPISDLSSAIPTVSTACSTLSREGLANIVMVAESLPGKLFGIPCFEAFGVQHKEMRA >EOY09430 pep chromosome:Theobroma_cacao_20110822:5:29084221:29086715:1 gene:TCM_024848 transcript:EOY09430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 93, subfamily D, polypeptide 1, putative MSDLGDHAILVTVCLATIVLFLAIFAKTRAKGRRPPSPPALPIIGHLHLLRPIPHQGLHKISTRYGPIVSFYLGSKHCVLVSTPDIAEEFLKTNEASYLDRPKMANFDYLTYGTSDFSTAPYGPRWKLMKKLCMSELLGPRTLEKLLPIRREEIRSFLKMTKKKAEKGEPIDVVAELMTLTNNTISRMMVSYRCSGDENKADEIRKMMREMNGLGTKFNLADVIWFCKNLDLQGFRKRLVEVRDRYDTMMERIIKEHEEERRKRKEMGNESDTVKDLLDILLDIYEDESAEVRLTRENIKAFILNLFGAGTDTSSTTMGWGLAELINNPNVMEKARQEIDSVVGKKRILEESDVANLPYLQAVVKETLRLHPSGPFIVRESTKACVIAGYEIPADTRLYVNVWSLGRNTKQWKNHLEFRPERFLNSEEWQGKSQWLDVMGQDFNLLPFGSGRRSCPGASLALSIVSTVLGCMIQCFEWKLGNGGNGRADMEETDGMTLLRANDLLCFPVARLSPFPSVEE >EOY07629 pep chromosome:Theobroma_cacao_20110822:5:2240776:2241918:-1 gene:TCM_022015 transcript:EOY07629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycofamily protein, putative MEKASQPLQEFGGKEDDEDLLTLSLSTGPKTSAPAPPSSPFVQETHQLIYLPSTPVPLSPPTSFFQQFLSIPQAPPLPPYHNFQTHSPNLNPDHNAAVPQEIASTSRPCRSRRNPFQTPKQGKTETVPAPYPWATTQRATVHSLDYLLSHNITTISGEVKCKKCEKIYKIEYDLQQKFTEVASFISRNKLSMHDRAPSDWMYPTLPSCEFCGSYLKPVLPKKKSINWLFLLLGQMLGCCKLSELKYFCKHTHNHRTGAKDRVLYLAYLGLCKQLDPKGPFDI >EOY08291 pep chromosome:Theobroma_cacao_20110822:5:6092641:6095502:-1 gene:TCM_022626 transcript:EOY08291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGEKLKLIKESINQLKEGKEYMKLLKGKPTTPSAKTPLTPPSKANEPPVSNEMMLNLLVRIDEKLTEQAERDRKLEEKILKIEEMYQNIETSLLKEKEKDMDIETPATTSFKGKVATEIPSSSAEMIATKEFKPHTSDLEVGIEKESADINPFKVFTPALENEKQGDKIANEVEVEVDVEKDINTEIEQEKKSEAKQEKVTEAEQEENEAKA >EOY08355 pep chromosome:Theobroma_cacao_20110822:5:6767588:6768514:1 gene:TCM_022707 transcript:EOY08355 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein isoform 1 MAALRRLITGNPKHSSLIPPTLLICRRGIASKLFVGGLSFYTTEKGLLDAFSQYGQVMEAKIVTDRVSGKSKGFGFVTYACEDEAEKAITQMDRRALNGRVIFVDYAKPTSNLGGSPIARGPPDPITKN >EOY08353 pep chromosome:Theobroma_cacao_20110822:5:6767465:6769363:1 gene:TCM_022707 transcript:EOY08353 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein isoform 1 GNWQQATQGSWDSACSLLAFAVDMAALRRLITGNPKHSSLIPPTLLICRRGIASKLFVGGLSFYTTEKGLLDAFSQYGQVMEGFGFVTYACEDEAEKAITQMDRRALNGRVIFVDYAKPTSNLGGSPIARGPPDPITKN >EOY08350 pep chromosome:Theobroma_cacao_20110822:5:6767517:6769363:1 gene:TCM_022707 transcript:EOY08350 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein isoform 1 MAALRRLITGNPKHSSLIPPTLLICRRGIASKLFVGGLSFYTTEKGLLDAFSQYGQVMEGFGFVTYACEDEAEKAITQMDRRALNGRVIFVDYAKPTSNLGGSPIARGPPDPITKN >EOY08354 pep chromosome:Theobroma_cacao_20110822:5:6767584:6769378:1 gene:TCM_022707 transcript:EOY08354 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein isoform 1 MAALRRLITGNPKHSSLIPPTLLICRRGIASKLFVGGLSFYTTEKGLLDAFSQYGQVMEAKIVTDRVSGKSKGFGFVTYACEDEAEKAITQMDRRDQSSSPEVCSALESKS >EOY08352 pep chromosome:Theobroma_cacao_20110822:5:6767517:6769363:1 gene:TCM_022707 transcript:EOY08352 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein isoform 1 MAALRRLITGNPKHSSLIPPTLLICRRGIASKLFVGGLSFYTTEKGLLDAFSQYGQVMEGFGFVTYACEDEAEKAITQMDRRALNGRVIFVDYAKPTSNLGGSPIARGPPDPITKN >EOY08348 pep chromosome:Theobroma_cacao_20110822:5:6767584:6768677:1 gene:TCM_022707 transcript:EOY08348 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein isoform 1 MAALRRLITGNPKHSSLIPPTLLICRRGIASKLFVGGLSFYTTEKGLLDAFSQYGQVMEAKIVTDRVSGKSKGFGFVTYACEDEAEKAITQMDRRALNGRVIFVDYAKPTSNLGGSPIARGPPDPITKN >EOY08349 pep chromosome:Theobroma_cacao_20110822:5:6767579:6769346:1 gene:TCM_022707 transcript:EOY08349 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein isoform 1 MAALRRLITGNPKHSSLIPPTLLICRRGIASKLFVGGLSFYTTEKGLLDAFSQYGQVMEAKIVTDRVSGKSKGFGFVTYACEDEAEKAITQMDRRALNGRVIFVDYAKPTSNLGGSPIARGPPDPITKN >EOY08351 pep chromosome:Theobroma_cacao_20110822:5:6767584:6768677:1 gene:TCM_022707 transcript:EOY08351 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein isoform 1 MAALRRLITGNPKHSSLIPPTLLICRRGIASKLFVGGLSFYTTEKGLLDAFSQYGQVMEAKIVTDRVSGKSKGFGFVTYACEDEAEKAITQMDRRALNGRVIFVDYAKPTSNLGGSPIARGPPDPITKN >EOY11569 pep chromosome:Theobroma_cacao_20110822:5:39665800:39675934:1 gene:TCM_026703 transcript:EOY11569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein MDPVITGAAANVASEAAKGIFHEVKRHMRYVFICKKNVDKFEEKLKSLIAKRTSVQQEVDAANRNGEKIKADVEHWRKTVDKVINEEDKRVKDLEEKAKNNCFIGLCPNLKSRYQLSRTAEDGAATVDDLIGQCQFNGVGYLDVPQAIVDASPNGFETFKSREKVFNDIMEAMKDASISMIGVYGMPGVGKTSLVNEVATQVQEVKLFDSVVTVTVAQTPDIQKIQENIAELLGLRLDDKSTDVRARRLHERLKKEKTVLVVLDDIWKRLDLREVGIPFGSQHKGCKILLTSRDQNVLSNEMDADKTFAIDNLDDEEAWDLFKKMAGDSVESSELRSTAIEVAKKCARLPLAIATVARALRNKDFFAWKDALQQLQKPSSRNFTGKFAAVYLAIELSYSHLENEELKWVFLLCSLVRRNASVDDLLKYAIGLGFIEGVDTVEEARNRLLTMVSDLKASCLLLDSNTNDLSFDVHDLVYDVAISIASKDKHAYALNEKGVLKDRFDEEKMKKCKMIHLGFLGSRELPDELNCPHLVYFRVSQNLPPNFFRKTTSLKVLHVTDTYFSLPRSICLLKSLLTLCLDGCPLRDITIIGELSNLEILSFRRSDIKILPKEIGQLVKLKLLDLNFCFKLAIISPGVLSSLLILEELYMVNSSIEWEVEGHANHRSNASLAELKNLSRLTALEVKILDVTAMSGGLFFEELQKLERYKILVGVEDSMLFEAYECGYECEYESEHEYSRVLVLALSRTTYHLDNGIKMLLKKTEALYLVGDPCKDNLMIKYIFNDNGAADKIEFAELRCLSFRKLPHLISFCSEDKSASTSMPQHELPLFSERVLHVRCCLNLKCFPASLARSDLPQLRDLQIVGCGGKEIVSEKQGLETAVTLAFTFEFDQQASSSDDLPTTEALTMHQGSTVTTMDFHPLHHTLLLVGSVIGEITLWELGMRDKLVTKPFKIWEMSTCTTTFKALMVNDTPISVSRVTWSPDGSLVGVAFSKHLIHLYTYHGSNDLIQHLEIDAHVGGVNDLAFAHINKRLCIVTCGDDKLIKAWDSMTGLQWYNFEGHDAPVYSICPHQIENIPSIFSTAVDGNMKAWLYDNMGSRIDYDTEGPRCTTMLYSADGSRLFFCETSKAGQSVLVEWNESKGAIHKIYEGFRKKSTGVVSFDTTQNHFLVAGEDSLIKFWKIEHDYPLSVIDAEGGLPSLPRVRFSKEGNLLAVTTADNGFKILANAVGLRCLRAIETSSFDPLRTPIVSAAIKASGSSTVTNDGPVSCKVERSSPVRPSPILTIVFSSVFHAYDVFLAIDFIVIAWFFPKNGVDPLGRSVEKPRVADDAIEKTKPWKLAEIVYPIQCRLVTLPDNTDTSSKVVRLLYTNSGVGILALGSDGVRKLWKWLRDEENPSGKATANVVPQHWQPNSGLLMTNDVSGVKLEIAVPCIALSKDDSYVMSATGGKVSLFNMMTFKVMTTFMSPPPASTFLAFHPQDNNIIAIGMEDSTILVYNVLVDEVKSELRGHQKRITGLAFSTSLNILVSSGADAHLFVWSIDTWEKRKSVAIQIPAGKVPTGDTRVQFHSDQIRLLVVRETQLVIYDASKMERIRQWVPQDALPAPISYAAYSCNSQSVYATFRDGNVGVFDADSLILRCRISSSVYLSQAILKGNQVVYPLVVAAHPMEANQFAIGLSDGSVKVMEPTESEGKWGVSAPVDGSTTSLSTTSNHTTVQLLWEHEKLSKYPDTITARSQVLIELKKLIEANPVFRSELAKLL >EOY10415 pep chromosome:Theobroma_cacao_20110822:5:34578290:34583278:1 gene:TCM_025775 transcript:EOY10415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASDSYTNNSSIATDSSNLTKNLITINAAAQLLLKLTSLNYFSWKAQFNTLLFGLNLLGCLDGNFPCLSATVIANELTVLGDPPSDVNLLVYTTRGLGPVYKELITALRTQDTVVPFEKLFDKIIDHETFLFYNEKQYPDPTPPITNLAQTSSSSHRPPKSLSPSSAPSLLPNPVFVNWTFVAEDMLEKLKYMQIDTISCCNENELHCSEKHSVLFATLFGF >EOY08492 pep chromosome:Theobroma_cacao_20110822:5:9279649:9281576:1 gene:TCM_022966 transcript:EOY08492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant U-box 23 MDEIDVPPFFICPISLEIMKDPVTVSTGITYDRESIEKWLFSGKNTTCPVTKQVITDCDLTPNHTLRRLIQSWCMLNASHGIERIPTPKPPISKAQITKLLNDATSPHQQISCLRRLQSIASENTTNKRCMESSGAVEFLASIVSNYDFTAAEESFDNEFESTRPTDEALSILYNLQLSEAALKNLMRKNGDFIVSLTRVMQRGSYESRAYAILLLKSMLEIADPMQLISLRPELFVELIQVLRDQISQQASKATLQLLVSISPWGRNKIKAAEAGAVSVLIDLLLDSSERRACEMILTVLDALCGCAEGRAELLRHGAGLAIVSKKILRVSLVASERAVRILLSISKFCATSNVLHEMLQLGVVAKLCLVLQVDCGYKTKERAREVLKLHAKAWKNSPCIPINLLSSYPA >EOY09726 pep chromosome:Theobroma_cacao_20110822:5:30775746:30783738:1 gene:TCM_025118 transcript:EOY09726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein MIVGNMGILVLIFLLFLLCLKVPTYHAQQQDQTSSFSPHRTVIDVKGGPESVVWVVQLSDLHFSVHHPDRAFDFKTLLPPALSMINPSLVLITGDLTDGKSKDLLVMRQNEEEWVEYKNIMEDVVKRSGLDKSIFYDLRGNHDNFGVPVVGGSFDFYSKYSINGQLGRSGHVNSITLQAGEQKYLFVGLDSTMSVGLRGPTNLFGHPTDQLLTEIDLELAQWDSQSAKPVNKITFGHFPLSFSASSQSGRTLKDIFLKHSLSAYICGHLHSRFGKNLKRHHLSNHLLLSSQKFFQFNVHQIPSEGIKNCSFGAPPVEEFWEWEMGDWRKSRAMRILAIDRGHVSFAGIDFKSGSKKTIIIPTFPLDSRFMSTSSSHNEYECEHMLPSSYETVRALVFSVSPVVSVVAKIYDSRYGNLDNVMEATMTKHVDRSSRGDLYSVPWNYKAFEDPSADRFWLQIEATDIMGRTTLTELRPFSVNGLHAKVLWTWKEFFVMGCQWAALYYPILWLTMYFLLLLLLIPRAFLIFSRKQHAYKNFLAAKGLINGIAWVLQELCRVPIAWFGFLGYLFYLVLFPWFVGQVFTDGGDRGYMTYMGWVVKSSNNKRNDYIGSPDIMVVVLPHLIFVVLPAIFFAGALAAERAVHRDNFLSISGKKADDYGRLNKRSLRYDSRGNRRSKFHFDGRWMRSILLVLCLAICWKHFKNCRALMKAYEMNPLLHFPVYSLGIPVLLGYVIHKTKGA >EOY11189 pep chromosome:Theobroma_cacao_20110822:5:38279386:38283772:1 gene:TCM_026443 transcript:EOY11189 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOP56-like pre RNA processing ribonucleoprotein isoform 2 LFETPAGFALFKVLDEGKLNKVEDLSKEFLAADSARKVVSLKAFSKFENTAEALEAATKLLESTPSKGLRKFLRAHCDGETVAVADSKLGNAIKEKLKIECVHNNAVMELMRGVRSQLTELISGLAAQDLAPMSLGLSHSLSRFKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRIREWYGWHFPELTKIVQDNILYAKTVKLMGDRANAAKLDFSEILLEEVETELKEAAVISMGTEVNDLDLTSLKELCDQVLSLAEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLMNLAKQPGSTVQILGAEKALFRALKTKHSTPKYGLIYHASLVGQAAPKHKGKISRSLAAKAALAIRCDALGDDQDNSMGLENRAKLEARLRTLEGKELGRSAGSAKGKPKIEVYDKDRRKGAGLITPVKTYNPAADSLLGQTTPDEQDTVPKKKKNVEAEPSQAEEAEEAPATEEKKEKKKKKKKKKKKHQAEVDGENVEVGEKKKKKRKHAEQMWYFAELLIIWKCSSNLILTYF >EOY11190 pep chromosome:Theobroma_cacao_20110822:5:38279833:38282441:1 gene:TCM_026443 transcript:EOY11190 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOP56-like pre RNA processing ribonucleoprotein isoform 2 MLVLFETPAGFALFKVLDEGKLNKVEDLSKEFLAADSARKVVSLKAFSKFENTAEALEAATKLLESTPSKGLRKFLRAHCDGETVAVADSKLGNAIKEKLKIECVHNNAVMELMRGVRSQLTELISGLAAQDLAPMSLGLSHSLSRFKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRIREWYGWHFPELTKIVQDNILYAKTVKLMGDRANAAKLDFSEILLEEVETELKEAAVISMGTEVNDLDLTSLKELCDQVLSLAEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLMNLAKQPGSTVQILGAEKALFRALKTKHSTPKYGLIYHASLVGQAAPKHKGKISRSLAAKAALAIRCDALGDDQDNSMGLENRAKLEARLRTLEGKELGRSAGSAKGKPKIEVYDKDRRKGAGLITPVKVSVSESIICSI >EOY11188 pep chromosome:Theobroma_cacao_20110822:5:38279241:38283356:1 gene:TCM_026443 transcript:EOY11188 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOP56-like pre RNA processing ribonucleoprotein isoform 2 MLVLFETPAGFALFKVLDEGKLNKVEDLSKEFLAADSARKVVSLKAFSKFENTAEALEAATKLLESTPSKGLRKFLRAHCDGETVAVADSKLGNAIKEKLKIECVHNNAVMELMRGVRSQLTELISGLAAQDLAPMSLGLSHSLSRFKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRIREWYGWHFPELTKIVQDNILYAKTVKLMGDRANAAKLDFSEILLEEVETELKEAAVISMGTEVNDLDLTSLKELCDQVLSLAEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLMNLAKQPGSTVQILGAEKALFRALKTKHSTPKYGLIYHASLVGQAAPKHKGKISRSLAAKAALAIRCDALGDDQDNSMGLENRAKLEARLRTLEGKELGRSAGSAKGKPKIEVYDKDRRKGAGLITPVKTYNPAADSLLGQTTPDEQDTVPKKKKNVEAEPSQAEEAEEAPATEEKKEKKKKKKKADQEADLPANGNHPEGEEPAPKEKEKKKKKKHQAEVDGENVEVGEKKKKKRKHAEQGEEESEVQIKKEKKKKKKSED >EOY11191 pep chromosome:Theobroma_cacao_20110822:5:38279842:38283111:1 gene:TCM_026443 transcript:EOY11191 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOP56-like pre RNA processing ribonucleoprotein isoform 2 LFETPAGFALFKVLDEGKLNKVEDLSKEFLAADSARKVVSLKAFSKFENTAEALEAATKLLESTPSKGLRKFLRAHCDGETVAVADSKLGNAIKEKLKIECVHNNAVMELMRGVRSQLTELISGLAAQDLAPMSLGLSHSLSRFKLKFSADKVDTMIVQAIGLLDDLDKELNTYAMRIREWYGWHFPELTKIVQDNILYAKTVKLMGDRANAAKLDFSEILLEEVETELKEAAVISMGTEVNDLDLTSLKELCDQVLSLAEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLMNLAKQPGSTVQILGAEKALFRALKTKHSTPKYGLIYHASLVGQAAPKHKGKISRSLAAKAALAIRCDALGDDQDNSMGLENRAKVKS >EOY10391 pep chromosome:Theobroma_cacao_20110822:5:34446287:34455269:-1 gene:TCM_025757 transcript:EOY10391 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding,ATP binding,nucleic acid bindin isoform 5 MFNRKQGSVIFFILYQLVFPFYQVASMVLISWFKELKSREPSGNQEIMQAFPDHLRKWLLDLLACSDPAFPTKDSVLPYAELSRTFAKMRNEASQLLHVVESSGMFVDILSTVKINVESLTVDDAISFASKVPSLCNDNTGSESMQRNIDDIESAKQRLITTSGYLKCVQSNLHVTVSSLVAAAVVWMSELPARLNPIILPLMASIRREQEEILQQKAAEALAELIYHCIARKPSPNDKLIKNICSLTCMDPSETPQAAVISTMEIIDDQDFLSFGTSTGKHKSKVHMLAGGEDRSRVEGFISRRGSELALRHLCEKFGPTLFEKLPKLWDCVTEVLIPASPADKQQVVHAVESIKDPQILINNIQVVRSIAPLLDETLKLKLLMLLPCIFKCVSHSHLAVRLAASRCITTMAKSMTVDVMRAVIENAIPMLGDVTSVHARQGAGMLISLLVQGLGVELVPYAPLLVVPLLRCMSDCDHSVRQSVTRSFAALVPLLPLARGLPPPIGLSEGLSRNAEDAQFLEQLLDNSHIDDYKLCTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASDIAECHASNNIEESHSSLIVCPSTLVGHWAFEIEKYIDASLISTLQYVGSAQDRIALREQFDKHNVIITSYDVVRKDADYLGQFLWNYCILDEGHIIKNAKSKITLAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTERQFQATYGKPLLAARDPKCSAKDAEAGALAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPVQLKLYEQFSGSHVKHEISSMVKHDESAVAGGNIASPKASTHVFQALQYLLKLCSHPLLVVGEKVPESLALQLSELFSASSDIISELHKLHHSPKLVALQEILEECGIGVDTSASDGSVTVGQHRVLIFAQHKALLNIIEKDLFQTHMKNVTYLRLDGSVEPEKRFDIVKAFNSDPTIDALLLTTHVGGLGLNLTSADTLIFMEHDWNPMRDHQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINSENASLKTMNTDQLLDLFASAETSKKGATASKRSESSIDGDPKLMGTGKGLKAILGGLEELWDQSQYAEEYNLSQFLTKLNG >EOY10389 pep chromosome:Theobroma_cacao_20110822:5:34444688:34469094:-1 gene:TCM_025757 transcript:EOY10389 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding,ATP binding,nucleic acid bindin isoform 5 MAQQQSSRLNRLLTLLDTGSTQATRFTAARQIGDIAKSHPQDLNSLLKKVSQYLRSKNWDTRVAAAHAIGAIAQNVKHTSLADLLSSVGAKMTEAGISGTIEDMVASPDLHSKIVSGVSFRSFDINKVLEFGALMASGGQEYDIANDNSKNPKERLARQKQNLKRRLGLDMCEQFMDVSDMIRDEDLIVDKLHHHGNGLDNRFYTSPSIHNIRQFVSRMVPNVTSKRRPSARELNMLKRKAKINSKDQAKGWSDDGDTEVSPAHNASTPRGTCPDPVGSSKFDAVTDEDSSDHDGDGRWPFRSFVEQLIVDMFDPVWEIRHGSVMALREILTHHGASAGVYLPDLNSDDALYLEVKDLDYSSKMKREREIDLNMQVSPDELEVNLKRPKFEDGSFPVMDKMISAGQHGGFNVAVKIEDAASTLLSGQFNGQHDISSMKIETEFCHDGMMYHSKEAVEVEEPKSYSEDKGAFANSDVLKILPENCELINLVKLARHSWLKNCEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGATFKYMHPSLVHETLNVLLQMQRRPEWEIRHGSLLGIKYLVAVRQEMLHNLLGRVLPACKAGLEDPDDDVRAVAADALIPTAAAIVALKGQSLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEDMMPKMLGTSTEKEKQNFDLNEVVHVDEVGEGKDLQENPYMLSMLAPRLWPFMRHSITSVRHSAICTLERLLEAGYKRSISEPAGSSFWPSFILGDTLRIVFQNLLLESNEEILQCSERVWRLLVQCPVGDLEVAAVSFVSSWIELATTSYGSVLDATKMFWPVAPPRKSHYRAAAKMKAVKLENESYGTVGLDSVRGAVSQEKNGDASTNLVKIIVGADAEMSVTNTRVITASALGIFASKLQANSLQYVVDPLWSALTSLSGVQRQVASMVLISWFKELKSREPSGNQEIMQAFPDHLRKWLLDLLACSDPAFPTKDSVLPYAELSRTFAKMRNEASQLLHVVESSGMFVDILSTVKINVESLTVDDAISFASKVPSLCNDNTGSESMQRNIDDIESAKQRLITTSGYLKCVQSNLHVTVSSLVAAAVVWMSELPARLNPIILPLMASIRREQEEILQQKAAEALAELIYHCIARKPSPNDKLIKNICSLTCMDPSETPQAAVISTMEIIDDQDFLSFGTSTGKHKSKVHMLAGGEDRSRVEGFISRRGSELALRHLCEKFGPTLFEKLPKLWDCVTEVLIPASPADKQQVVHAVESIKDPQILINNIQVVRSIAPLLDETLKLKLLMLLPCIFKCVSHSHLAVRLAASRCITTMAKSMTVDVMRAVIENAIPMLGDVTSVHARQGAGMLISLLVQGLGVELVPYAPLLVVPLLRCMSDCDHSVRQSVTRSFAALVPLLPLARGLPPPIGLSEGLSRNAEDAQFLEQLLDNSHIDDYKLCTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASDIAECHASNNIEESHSSLIVCPSTLVGHWAFEIEKYIDASLISTLQYVGSAQDRIALREQFDKHNVIITSYDVVRKDADYLGQFLWNYCILDEGHIIKNAKSKITLAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTERQFQATYGKPLLAARDPKCSAKDAEAGALAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPVQLKLYEQFSGSHVKHEISSMVKHDESAVAGGNIASPKASTHVFQALQYLLKLCSHPLLVVGEKVPESLALQLSELFSASSDIISELHKLHHSPKLVALQEILEECGIGVDTSASDGSVTVGQHRVLIFAQHKALLNIIEKDLFQTHMKNVTYLRLDGSVEPEKRFDIVKAFNSDPTIDALLLTTHVGGLGLNLTSADTLIFMEHDWNPMRDHQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINSENASLKTMNTDQLLDLFASAETSKKGATASKRSESSIDGDPKLMGTGKGLKAILGGLEELWDQSQLYSWSTSGVLGSWCARARSSPVHIEANWLKPAARLYKVYIIQIKEPSFGKILVGWLGKMLFLEWLVPGLNMRVSNWSELTGREFSTEMEGQKFSGRN >EOY10390 pep chromosome:Theobroma_cacao_20110822:5:34445562:34469018:-1 gene:TCM_025757 transcript:EOY10390 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding,ATP binding,nucleic acid bindin isoform 5 MFNRKQGSVIFFILYQLVFPFYQVASMVLISWFKELKSREPSGNQEIMQAFPDHLRKWLLDLLACSDPAFPTKDSVLPYAELSRTFAKMRNEASQLLHVVESSGMFVDILSTVKINVESLTVDDAISFASKVPSLCNDNTGSESMQRNIDDIESAKQRLITTSGYLKCVQSNLHVTVSSLVAAAVVWMSELPARLNPIILPLMASIRREQEEILQQKAAEALAELIYHCIARKPSPNDKLIKNICSLTCMDPSETPQAAVISTMEIIDDQDFLSFGTSTGKHKSKVHMLAGGEDRSRVEGFISRRGSELALRHLCEKFGPTLFEKLPKLWDCVTEVLIPASPADKQQVVHAVESIKDPQILINNIQVVRSIAPLLDETLKLKLLMLLPCIFKCVSHSHLAVRLAASRCITTMAKSMTVDVMRAVIENAIPMLGDVTSVHARQGAGMLISLLVQGLGVELVPYAPLLVVPLLRCMSDCDHSVRQSVTRSFAALVPLLPLARGLPPPIGLSEGLSRNAEDAQFLEQLLDNSHIDDYKLCTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASDIAECHASNNIEESHSSLIVCPSTLVGHWAFEIEKYIDASLISTLQYVGSAQDRIALREQFDKHNVIITSYDVVRKDADYLGQFLWNYCILDEGHIIKNAKSKITLAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTERQFQATYGKPLLAARDPKCSAKDAEAGALAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPVQLKLYEQFSGSHVKHEISSMVKHDESAVAGGNIASPKASTHVFQALQYLLKLCSHPLLVVGEKVPESLALQLSELFSASSDIISELHKLHHSPKLVALQEILEECGIGVDTSASDGSVTVGQHRVLIFAQHKALLNIIEKDLFQTHMKNVTYLRLDGSVEPEKRFDIVKAFNSDPTIDALLLTTHVGGLGLNLTSADTLIFMEHDWNPMRDHQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINSENASLKTMNTDQLLDLFASAETSKKGATASKRSESSIDGDPKLMGTGKGLKAILGGLEELWDQSQYAEEYNLSQFLTKLNG >EOY10393 pep chromosome:Theobroma_cacao_20110822:5:34447181:34469008:-1 gene:TCM_025757 transcript:EOY10393 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding,ATP binding,nucleic acid bindin isoform 5 MAQQQSSRLNRLLTLLDTGSTQATRFTAARQIGDIAKSHPQDLNSLLKKVSQYLRSKNWDTRVAAAHAIGAIAQNVKHTSLADLLSSVGAKMTEAGISGTIEDMVASPDLHSKIVSGVSFRSFDINKVLEFGALMASGGQEYDIANDNSKNPKERLARQKQNLKRRLGLDMCEQFMDVSDMIRDEDLIVDKLHHHGNGLDNRFYTSPSIHNIRQFVSRMVPNVTSKRRPSARELNMLKRKAKINSKDQAKGWSDDGDTEVSPAHNASTPRGTCPDPVGSSKFDAVTDEDSSDHDGDGRWPFRSFVEQLIVDMFDPVWEIRHGSVMALREILTHHGASAGVYLPDLNSDDALYLEVKDLDYSSKMKREREIDLNMQVSPDELEVNLKRPKFEDGSFPVMDKMISAGQHGGFNVAVKIEDAASTLLSGQFNGQHDISSMKIETEFCHDGMMYHSKEAVEVEEPKSYSEDKGAFANSDVLKILPENCELINLVKLARHSWLKNCEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGATFKYMHPSLVHETLNVLLQMQRRPEWEIRHGSLLGIKYLVAVRQEMLHNLLGRVLPACKAGLEDPDDDVRAVAADALIPTAAAIVALKGQSLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEDMMPKMLGTSTEKEKQNFDLNEVVHVDEVGEGKDLQENPYMLSMLAPRLWPFMRHSITSVRHSAICTLERLLEAGYKRSISEPAGSSFWPSFILGDTLRIVFQNLLLESNEEILQCSERVWRLLVQCPVGDLEVAAVSFVSSWIELATTSYGSVLDATKMFWPVAPPRKSHYRAAAKMKAVKLENESYGTVGLDSVRGAVSQEKNGDASTNLVKIIVGADAEMSVTNTRVITASALGIFASKLQANSLQYVVDPLWSALTSLSGVQRQVASMVLISWFKELKSREPSGNQEIMQAFPDHLRKWLLDLLACSDPAFPTKDSVLPYAELSRTFAKMRNEASQLLHVVESSGMFVDILSTVKINVESLTVDDAISFASKVPSLCNDNTGSESMQRNIDDIESAKQRLITTSGYLKCVQSNLHVTVSSLVAAAVVWMSELPARLNPIILPLMASIRREQEEILQQKAAEALAELIYHCIARKPSPNDKLIKNICSLTCMDPSETPQAAVISTMEIIDDQDFLSFGTSTGKHKSKVHMLAGGEDRSRVEGFISRRGSELALRHLCEKFGPTLFEKLPKLWDCVTEVLIPASPADKQQVVHAVESIKDPQILINNIQVVRSIAPLLDETLKLKLLMLLPCIFKCVSHSHLAVRLAASRCITTMAKSMTVDVMRAVIENAIPMLGDVTSVHARQGAGMLISLLVQGLGVELVPYAPLLVVPLLRCMSDCDHSVRQSVTRSFAALVPLLPLARGLPPPIGLSEGLSRNAEDAQFLEQLLDNSHIDDYKLCTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASDIAECHASNNIEESHSSLIVCPSTLVGHWAFEIEKYIDASLISTLQYVGSAQDRIALREQFDKHNVIITSYDVVRKDADYLGQFLWNYCILDEGHIIKNAKSKITLAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTERQFQATYGKPLLAARDPKCSAKDAEAGALAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPVQLKLYEQFSGSHVKHEISSMVKHDESAVAGGNIASPKASTHVFQALQYLLKLCSHPLLVVGEKVPESLALQLSELFSASSDIISELHKLHHSPKLVALQEILEECGIGVDTSASDGSVTVGQHRVLIFAQHKVMTTYLSGPLLDNLSLYVSFQNYHFKIGFQCRPY >EOY10392 pep chromosome:Theobroma_cacao_20110822:5:34447181:34469008:-1 gene:TCM_025757 transcript:EOY10392 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding,ATP binding,nucleic acid bindin isoform 5 MAQQQSSRLNRLLTLLDTGSTQATRFTAARQIGDIAKSHPQDLNSLLKKVSQYLRSKNWDTRVAAAHAIGAIAQNVKHTSLADLLSSVGAKMTEAGISGTIEDMVASPDLHSKIVSGVSFRSFDINKVLEFGALMASGGQEYDIANDNSKNPKERLARQKQNLKRRLGLDMCEQFMDVSDMIRDEDLIVDKLHHHGNGLDNRFYTSPSIHNIRQFVSRMVPNVTSKRRPSARELNMLKRKAKINSKDQAKGWSDDGDTEVSPAHNASTPRGTCPDPVGSSKFDAVTDEDSSDHDGDGRWPFRSFVEQLIVDMFDPVWEIRHGSVMALREILTHHGASAGVYLPDLNSDDALYLEVKDLDYSSKMKREREIDLNMQVSPDELEVNLKRPKFEDGSFPVMDKMISAGQHGGFNVAVKIEDAASTLLSGQFNGQHDISSMKIETEFCHDGMMYHSKEAVEVEEPKSYSEDKGAFANSDVLKILPENCELINLVKLARHSWLKNCEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGATFKYMHPSLVHETLNVLLQMQRRPEWEIRHGSLLGIKYLVAVRQEMLHNLLGRVLPACKAGLEDPDDDVRAVAADALIPTAAAIVALKGQSLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEDMMPKMLGTSTEKEKQNFDLNEVVHVDEVGEGKDLQENPYMLSMLAPRLWPFMRHSITSVRHSAICTLERLLEAGYKRSISEPAGSSFWPSFILGDTLRIVFQNLLLESNEEILQCSERVWRLLVQCPVGDLEVAAVSFVSSWIELATTSYGSVLDATKMFWPVAPPRKSHYRAAAKMKAVKLENESYGTVGLDSVRGAVSQEKNGDASTNLVKIIVGADAEMSVTNTRVITASALGIFASKLQANSLQYVVDPLWSALTSLSGVQRQVASMVLISWFKELKSREPSGNQEIMQAFPDHLRKWLLDLLACSDPAFPTKDSVLPYAELSRTFAKMRNEASQLLHVVESSGMFVDILSTVKINVESLTVDDAISFASKVPSLCNDNTGSESMQRNIDDIESAKQRLITTSGYLKCVQSNLHVTVSSLVAAAVVWMSELPARLNPIILPLMASIRREQEEILQQKAAEALAELIYHCIARKPSPNDKLIKNICSLTCMDPSETPQAAVISTMEIIDDQDFLSFGTSTGKHKSKVHMLAGGEDRSRVEGFISRRGSELALRHLCEKFGPTLFEKLPKLWDCVTEVLIPASPADKQQVVHAVESIKDPQILINNIQVVRSIAPLLDETLKLKLLMLLPCIFKCVSHSHLAVRLAASRCITTMAKSMTVDVMRAVIENAIPMLGDVTSVHARQGAGMLISLLVQGLGVELVPYAPLLVVPLLRCMSDCDHSVRQSVTRSFAALVPLLPLARGLPPPIGLSEGLSRNAEDAQFLEQLLDNSHIDDYKLCTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASDIAECHASNNIEESHSSLIVCPSTLVGHWAFEIEKYIDASLISTLQYVGSAQDRIALREQFDKHNVIITSYDVVRKDADYLGQFLWNYCILDEGHIIKNAKSKITLAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTERQFQATYGKPLLAARDPKCSAKDAEAGALAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPVQLKLYEQFSGSHVKHEISSMVKHDESAVAGGNIASPKASTHVFQALQYLLKLCSHPLLVVGEKVPESLALQLSELFSASSDIISELHKLHHSPKLVALQEILEECGIGVDTSASDGSVTVGQHRVLIFAQHKALLNIIEKDLFQTHMKNVTYLRLDGSVEPEKRFDIVKAFNSDPTIDALLLTTHGKTFLVAE >EOY08144 pep chromosome:Theobroma_cacao_20110822:5:5013643:5017879:-1 gene:TCM_022485 transcript:EOY08144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMAQKHLHELLQEDQEPFLLKNYIADRRCQLKNPSPKTHLQIKKRKPISQNSNFPSNFCKNACFFSFQDSPDPRKSPLLEFPSPAKSPCKSPNAIFLHIPARTAALLLEAALRIQKQSSSKTKPQSKNNGRSFSLFGSILKRLTHRNRNRKNEIANDGAKVSVKDILRWDSTVGKSNQNQKKMSSAMEEKSGCEMGFSSSYNGRPSSAVWSESNEEKSLDRDLDTSSSCSQSEDFEEIFMSKDVLENNAACASCDKHFCESPFHFVLQRSSSFGHRTPLFSSPATSPSRDQKQDKEKYEVESLKKLQVKEEEEEKEQCSPVSVLDPPFEDDDDRHVDDDDDDENDGFDLECSYAIVQKAKQQLLHKLRRFEKLAELDPIELEKRMLEQEQDDDNDDNGICDLEEEEEIEHESASSDSEMNVDAFVQEVLKSSFHSLRHSPEGMKRLVSDLVAEEETEQNCYIDREVVVRMVCKRLESWKEVESNTIDMMVEQDFRRELDGWKNSQGQIRETALEVEYAIFGLLMEELSKELVGLTGA >EOY10782 pep chromosome:Theobroma_cacao_20110822:5:36496177:36497171:1 gene:TCM_026091 transcript:EOY10782 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein isoform 2 MKGVQLFLVASAFLAFAWSLASASDPDPLQDFCVAINDTKDGVFVNGKFCKDPKLAKAEDFFYSGLNIPRNTSNPVGSTVTQVNVAQILGLNTLGISLARIDYAPYGGLNPPHTHPRASEILVVLEGTLYVGFVTSNPENRLITKVLYPGDVFVFPVGLIHFQLNIGKTNAVAFAGLSSQNPGVITIAKAVFGSNPPINPDVLTKAFQLDKNIVTSLQSRFWWDNN >EOY10783 pep chromosome:Theobroma_cacao_20110822:5:36474279:36524416:1 gene:TCM_026091 transcript:EOY10783 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein isoform 2 MKGVELFLVASAFLAFAWSLASASDPDPLQDFCVAINDTKDGVFVNGKFCKDPKLAKAEDFFYSGLNIPRNTSNPVGSTVTQVNVAQILGLNTLGISLARIDYAPYGGLNPPHTHPRASEILVVLEGTLYVGFVTSNPENRLITKVLYPGDVFVFPVGLIHFQLNIGKTNAVAFAGLSSQNPGVITIAKAVFGSNPPINPDVLTKAFQLDKNIVTSLQSRFWWDNN >EOY09841 pep chromosome:Theobroma_cacao_20110822:5:31286035:31305135:1 gene:TCM_025214 transcript:EOY09841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-related gene 1 MALSNPKDFSSSPSMVSVQELVKGPTITIPQQYVRLDQEPLSLSFTAPSPPIPTIDMARLVSGDDDNDLELEKLHSTCKDWGIFQLVNHGVSCSLLDKLKHEVEEFYRLPLEEKMKYKIRAGELEGYGCRIREGGKQDWVDSLNIITNPVHRRKPHLFPELPSSLRNTLESYLSELQKIAAKLLGSMAKALGIDVKEMMEFSDDGMQAVRLAYYPPCPKPELVMGLFPHSDITLMNILHQVNGVDGLQIKKDGLWFPLNIKPDAFVVNVGDILQIFSNGVYHSIEHKVSTNAEKERISITFSINPKNGADVGPAPSLINPDNPPLFRKVGVEQYFKDYFSRKPSGKVYLDHMRIQNGQDNSA >EOY10423 pep chromosome:Theobroma_cacao_20110822:5:34701571:34707843:1 gene:TCM_025790 transcript:EOY10423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 3 isoform 2 MKLFASESSLLMSSATSFDFFLKPIFLHGLYASLHLVLLLSLLVLWVVNRVNEAGGEGSKERLRQRKVFWYKQTLACCFIVSAFNIVLCFLSYFYWYRNGWSEDKLVTLSDYVVKTLAWGATCIYLQCQFSKSGEQKKFPFLLRIWWVFYFSISCYCLVIDVVLDKKHVSFPSLYLVSDVFSVVTGLFLCVVGLFGRNEGEDTLLEQPLLNGGSSVGKGVELSKKKGGDAVTPYSNAGIFSILTFSWMGPLIAAGNEKTLDLEDVPQLDNSDSVVGALPNFRNRLESADSEGSGVTTLKLVKALFFSAWKDIFWTALLAFMYTVASYVGPYIIGTFVQYLSGRREFKNEGYLLVTAFFIAKLVECISQRRWFFKLQQVGLRLRAVLVAMIYNKGLTLSCQSKQSHTSGEIVNFMTVDAERVGDFSWYMHELWLIALQVALALLILYKNLGLACIATLVATVLSMLANIPLGKMLEKFQDKLMESKDKRMKATSEILRNMRILKLQGWEMKFLSKIIGLRNVEEGWLKRFIYTNVMSSFVFWVAPSFVSVATFSACMFLRVPLDLGKVLSALATFKILQGTIDSLPDTVSMIAQTKVSLDRIASFLQLDDLQPDVIEKLPRGSSDTAIEIVDGNFSWDLSSSSATLQDINLKVCHGMRVVVCGTVGSGKSSLLSCILGELPKISGTLKLCGTKAYVAQSPWIQSGKIEENILFGKEMDRERYDRVLEACTLKKDLEILSFGDQTIIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLGILSSKTVIYVTHQVEFLPAADLILVMKDGRITQAGKYNDILNSGTDLMELVGAHKKALSALDIVDAGSVSEKIISEGDGATKCANGKMEKEENQGNEIGKVDDVGPKGQLVQEEEREKGKVGFSVYWKYITTAYGGALVPLILLVQILFQIFQIGSNYWMAWASPVSSDVKPPVGSFTLIIVYLALAIGSAISVLARATLLNIAGYKTATLLFEKMHLCIFRAPMSFFDSTPSGRILNRASTDQSAVDLNIPYQVGSFAFSVIHLLGIIVVMSQVAWQTFIISIPVIATCIWYQQCYISSARELSRLVGVCKAPVIQHFAETISGATTIRSFDQESRFQETNMILTDAYSRPKFHIAGAMEWLCFRLDMLTSITFAFSLFFLISIPEGVIDPAIAGLAVMYGLNLNILQTWVVWTICNMENKIISVERMLQYSNIPSEPALVIESNRPDRSWPYHGEVRILDLQVQYAPHMPLVLRGLTCTFLGGLKTGIVGRTGSGKSTLMQTLFRIVEPAAGQIIIDGVNISSIGLHDLRSRLSIIPQDPTMFEGTIRSNLDPLEENTDEQIWELMRMERIGAWVRGSWSVLRVCCLRKIRS >EOY10422 pep chromosome:Theobroma_cacao_20110822:5:34701571:34707843:1 gene:TCM_025790 transcript:EOY10422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 3 isoform 2 MKLFASESSLLMSSATSFDFFLKPIFLHGLYASLHLVLLLSLLVLWVVNRVNEAGGEGSKERLRQRKVFWYKQTLACCFIVSAFNIVLCFLSYFYWYRNGWSEDKLVTLSDYVVKTLAWGATCIYLQCQFSKSGEQKKFPFLLRIWWVFYFSISCYCLVIDVVLDKKHVSFPSLYLVSDVFSVVTGLFLCVVGLFGRNEGEDTLLEQPLLNGGSSVGKGVELSKKKGGDAVTPYSNAGIFSILTFSWMGPLIAAGNEKTLDLEDVPQLDNSDSVVGALPNFRNRLESADSEGSGVTTLKLVKALFFSAWKDIFWTALLAFMYTVASYVGPYIIGTFVQYLSGRREFKNEGYLLVTAFFIAKLVECISQRRWFFKLQQVGLRLRAVLVAMIYNKGLTLSCQSKQSHTSGEIVNFMTVDAERVGDFSWYMHELWLIALQVALALLILYKNLGLACIATLVATVLSMLANIPLGKMLEKFQDKLMESKDKRMKATSEILRNMRILKLQGWEMKFLSKIIGLRNVEEGWLKRFIYTNVMSSFVFWVAPSFVSVATFSACMFLRVPLDLGKVLSALATFKILQGTIDSLPDTVSMIAQTKVSLDRIASFLQLDDLQPDVIEKLPRGSSDTAIEIVDGNFSWDLSSSSATLQDINLKVCHGMRVVVCGTVGSGKSSLLSCILGELPKISGTLKLCGTKAYVAQSPWIQSGKIEENILFGKEMDRERYDRVLEACTLKKDLEILSFGDQTIIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLGILSSKTVIYVTHQVEFLPAADLILVMKDGRITQAGKYNDILNSGTDLMELVGAHKKALSALDIVDAGSVSEKIISEGDGATKCANGKMEKEENQGNEIGKVDDVGPKGQLVQEEEREKGKVGFSVYWKYITTAYGGALVPLILLVQILFQIFQIGSNYWMAWASPVSSDVKPPVGSFTLIIVYLALAIGSAISVLARATLLNIAGYKTATLLFEKMHLCIFRAPMSFFDSTPSGRILNRASTDQSAVDLNIPYQVGSFAFSVIHLLGIIVVMSQVAWQTFIISIPVIATCIWYQQCYISSARELSRLVGVCKAPVIQHFAETISGATTIRSFDQESRFQETNMILTDAYSRPKFHIAGAMEWLCFRLDMLTSITFAFSLFFLISIPEGVIDPAIAGLAVMYGLNLNILQTWVVWTICNMENKIISVERMLQYSNIPSEPALVIESNRPDRSWPYHGEVRILDLQVQYAPHMPLVLRGLTCTFLGGLKTGIVGRTGSGKSTLMQTLFRIVEPAAGQIIIDGVNISSIGLHDLRSRLSIIPQDPTMFEGTIRSNLDPLEENTDEQIWEALDKCQLGDGVRKKEGRLDSSVNENGENWSMGQRQLVCLARVLLKKNKILVLDEATASVDTATDNLIQTTLREHFFDCTVITIAHRITSVLDSDMVLLLSHGLVEEYDFPARLLENKSSSFAQLVAEYTVRSKSSLATE >EOY07831 pep chromosome:Theobroma_cacao_20110822:5:2892710:2893317:-1 gene:TCM_022155 transcript:EOY07831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGGGCLKYNVARQEWLSQSAAVVGGTKLNWTVVLKGTLMSRYTNLGTRTVTPRHTISCDSCCPNTALEGMVPSD >EOY09275 pep chromosome:Theobroma_cacao_20110822:5:27902093:27905123:1 gene:TCM_024697 transcript:EOY09275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MGKLEVLVLTQNGLTGDLPESIGNCGGLSSIRIGNNKLVGVIPKTIGNVSSLTYFEADNNNLSGEIASEFAQCTNLTLLNLAYNGFTGIIPSEIGQLMNLQELILSGNSLFGDIPRSILGCKNLNKLDLSNNRFNGTIPNEICNMTRLQYLLLGQNSIKGEIPHEIGNCVKLLELQLGSNYLTGSIPPDIGRIRNLQIALNLSFNHLHGQLPAELGKLDKLVSLDVSSNQLTGNIPPAFKGMLSLIEVNFSNNLLAGPIPTFVPFQKSPNSSFQGNKGLCGDPLSFSCANSIVSDRANYHHRVSYRIILAVLGSGLAVFISVTVVVLLFMMRERQEKAAKSAGIVDEGTSSRPTIIAGNVFVENLRQAVDLDAVIKATLKDSNKINNGTFSTVYKAVMPSGLVLSVKRLKSMDRTIIHHQNKMIRELERLSKLYHDNLVRPIGFVIYEDVALLLHQYLPNGTLAQLLHESSKQSEYQPDWPRRLSIAIGVAEGLAFLHHVAVIHLDISSGNVLLDADFKPLVGEIEISKLLDPSKGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTTRLPVDEDFGEGVDLVKWVHGAPARGETPEQILDARLSTVSFGWRREMLAALKVALLCTDSTPAKRPKMKKVVEMLQEIRQN >EOY09274 pep chromosome:Theobroma_cacao_20110822:5:27900882:27905427:1 gene:TCM_024697 transcript:EOY09274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MAFLCFSFLLVGFLSKSFLVDAQLNDQATLLAINKELGGVADWGDNNTDYCNWEGIHCGLNHSFVERLDLSRRMLRGNVTLISNLKSLKQLDLSYNNLNGPIPSALGNLSDLEYLDLSLNKFEGYIPVEFGGLRNLRSLNLSNNLLIGEIPEELQGLEKLQDFQISSNRLSGSIPHWVGRLTNLRVFTAYENQLGGKIPDNLGLVSELKLLNLHSNQLDGSLPNSIFAMGKLEVLVLTQNGLTGDLPESIGNCGGLSSIRIGNNKLVGVIPKTIGNVSSLTYFEADNNNLSGEIASEFAQCTNLTLLNLAYNGFTGIIPSEIGQLMNLQELILSGNSLFGDIPRSILGCKNLNKLDLSNNRFNGTIPNEICNMTRLQYLLLGQNSIKGEIPHEIGNCVKLLELQLGSNYLTGSIPPDIGRIRNLQIALNLSFNHLHGQLPAELGKLDKLVSLDVSSNQLTGNIPPAFKGMLSLIEVNFSNNLLAGPIPTFVPFQKSPNSSFQGNKGLCGDPLSFSCANSIVSDRANYHHRVSYRIILAVLGSGLAVFISVTVVVLLFMMRERQEKAAKSAGIVDEGTSSRPTIIAGNVFVENLRQAVDLDAVIKATLKDSNKINNGTFSTVYKAVMPSGLVLSVKRLKSMDRTIIHHQNKMIRELERLSKLYHDNLVRPIGFVIYEDVALLLHQYLPNGTLAQLLHESSKQSEYQPDWPRRLSIAIGVAEGLAFLHHVAVIHLDISSGNVLLDADFKPLVGEIEISKLLDPSKGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTTRLPVDEDFGEGVDLVKWVHGAPARGETPEQILDARLSTVSFGWRREMLAALKVALLCTDSTPAKRPKMKKVVEMLQEIRQN >EOY10909 pep chromosome:Theobroma_cacao_20110822:5:36961510:36964499:1 gene:TCM_026185 transcript:EOY10909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein MTLFSTELVTHSLPFTTQQLKPTSNSHSHHTSLVSCLNHESQDSSSKSRNNQKVRVSAETRPTHLLSFDFKETHLMKLLNRSCKAGKYNEAFYFLECMVGKGYKPDVVLCTKMIKGFFNGRNVEKATRVIEILEKYGEPDVFAYNAIISGFCKMNRLDFANKVLDRMRSRGFSPDVVTYNIMIGSFCSRGKLDSAYKVINQLLKDNCKPSVITYTILIEATMLQGEINEAMKLLDEMLSKGLRPDMFTYNAIIRGMCKDGMVNRAFKFVRSLKARGCQPDVISYNILLRVLLNQGKWAEGEKLVTEMVSRGCEPNVVTYSILISSLCREGKLEEAVNVLKMMKERGLTPDAYSYDPLISAFCKEGRLDLAIEFLDCMISDGCLPDIVNYNTVLATLCKNGKAEQALEIFEKLREVGCPPNVSSYNTMFSALWSSGDKVKALEMISEMLSKRIGPDEITYNSLISCLCRDGMVDEAIELLVDMGCSGIPPTVISYNIVLLGLCKVHRINDAIEVLAAMVDKRCQPNETTYILLIEGIGFAGWRSEAMELANALFRMEAISKDSFKRLNRTFPLLDVYKEFAGSDSNK >EOY08056 pep chromosome:Theobroma_cacao_20110822:5:4183913:4190950:-1 gene:TCM_022374 transcript:EOY08056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase 7 isoform 1 MEEPRKHQVSLRGASAKEISRDALLEKVSQERENRNYARRAASAAIFIQRVWRSYNVTMKVAIKLQEEWESFVKNQAELMTANLISSSVLRPFIFFITCLSIRRRKILARVSNCMQTCFKILLESINSTDSKKNFCSLAVGTMEERRTLTYQAQKLISLCSFVLAQCDTSHGGGQDLVILTSLALRLVVVLTDLKSWKIVSDDNIGNADATVKNLVCFMGSYKGGLYVSMRRYISKLDVCFSPEVKNIVQTDDKFLITASAISLAIRPFSLTTFDATCPGQFDVHSAVEQYCLFLLTIPWLTQRLPAVLLPALKHKSILSPCLHSLLISRDKIVGKMSEIDQSDMDCSSKAIPQVGWALSNVICLASGSENDFLDSRVLNQGQEYASYVHVVTILADNLLEWLHNVGWNEKGNQNLEGNNEAHVEPVSAVMQESETACGSLKTSYMDLFRPVCQQWHLKKLLSLSERYAHTDEAKILPPNSLECLGNLELLHIAYFYSYMLRIFAAFNPMVGPLTVLNMLSFTPGFLGNLWGVLESSIFRGNSHTIGDSYHGTNKVSGKKKEGIDKKLKQANKDGVNKWVNVLQKFTGKSQADVDFADSVDDHLVDDDSVDVWDIEPLRHGPQGISKDMSCLLHLFCATYSHLLLVLDDIEFYEKQVPFTLEQQRRIASVLNTLVYNGLSCSVGQQNGSFMESAIRCLHLIYERDCRHQFCPPVLWLSPARRSRPPIAVAARTHEVLSANIRPEDATVVHSTGSVITSMPHVFPFEERVQMFREFINMDKVSRKMAGEVAGPGSRSVEIVIRRGHIVEDGFRQLNSLGSRLKSSIHVSFVSECGLPEAGLDYGGLSKEFLTDISKEAFAPEYGLFSQTSTSDRLLIPNPAARYLENGIQMIEFLGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRNLMYVKHYDGDIKELCLDFTITEESFGKRHVIELKPGGKDVCVTNENKMQYVHAMADYKLNRQILPFSNAFYRGLTDLISPSWLKLFNASELNQLLSGGDHDIDVDDLRNNTRYTGGYSEGSRTIKLFWQVMKDFEPKERCMLLKFVTSCSRAPLLGFKFLQPSFTIHKVASDAPLWATIGGPDVERLPSASTCYNTLKLPTYKRSST >EOY08055 pep chromosome:Theobroma_cacao_20110822:5:4183992:4190868:-1 gene:TCM_022374 transcript:EOY08055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase 7 isoform 1 MEEPRKHQVSLRGASAKEISRDALLEKVSQERENRNYARRAASAAIFIQRVWRSYNVTMKVAIKLQEEWESFVKNQAELMTANLISSSVLRPFIFFITCLSIRRRKILARVSNCMQTCFKILLESINSTDSKKNFCSLAVGTMEERRTLTYQAQKLISLCSFVLAQCDTSHGGGQDLVILTSLALRLVVVLTDLKSWKIVSDDNIGNADATVKNLVCFMGSYKGGLYVSMRRYISKLDVCFSPEVKNIVQTDDKFLITASAISLAIRPFSLTTFDATCPGQFDVHSAVEQYCLFLLTIPWLTQRLPAVLLPALKHKSILSPCLHSLLISRDKIVGKMSEIDQSDMDCSSKAIPQVGWALSNVICLASGSENDFLDSRVLNQGQEYASYVHVVTILADNLLEWLHNVGWNEKGNQNLEGNNEAHVEPVSAVMQESETACGSLKTSYMDLFRPVCQQWHLKKLLSLSERYAHTDEAKILPPNSLECLGNLELLHIAYFYSYMLRIFAAFNPMVGPLTVLNMLSFTPGFLGNLWGVLESSIFRGNSHTIGDSYHGTNKVSGKKKEGIDKKLKQANKDGVNKWVNVLQKFTGKSQADVDFADSVDDHLVDDDSVDVWDIEPLRHGPQGISKDMSCLLHLFCATYSHLLLVLDDIEFYEKQVPFTLEQQRRIASVLNTLVYNGLSCSVGQQNGSFMESAIRCLHLIYERDCRHQFCPPVLWLSPARRSRPPIAVAARTHEVLSANIRPEDATVVHSTGSVITSMPHVFPFEERVQMFREFINMDKVSRKMAGEVAGPGSRSVEIVIRRGHIVEDGFRQLNSLGSRLKSSIHVSFVSECGLPEAGLDYGGLSKEFLTDISKEAFAPEYGLFSQTSTSDRLLIPNPAARYLENGIQMIEFLGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRNLMYVKHYDGDIKELCLDFTITEESFGKRHVIELKPGGKDVCVTNENKMQYVHAMADYKLNRQILPFSNAFYRGLTDLISPSWLKLFNASELNQLLSGGDHDIDVDDLRNNTRYTGGYSEGSRTIKLFWQVMKDFEPKERCMLLKFVTSCSRAPLLGFKFLQPSFTIHKVASDAPLWATIGGPDVERLPSASTCYNTLKRGRGY >EOY08054 pep chromosome:Theobroma_cacao_20110822:5:4182594:4190950:-1 gene:TCM_022374 transcript:EOY08054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase 7 isoform 1 MEEPRKHQVSLRGASAKEISRDALLEKVSQERENRNYARRAASAAIFIQRVWRSYNVTMKVAIKLQEEWESFVKNQAELMTANLISSSVLRPFIFFITCLSIRRRKILARVSNCMQTCFKILLESINSTDSKKNFCSLAVGTMEERRTLTYQAQKLISLCSFVLAQCDTSHGGGQDLVILTSLALRLVVVLTDLKSWKIVSDDNIGNADATVKNLVCFMGSYKGGLYVSMRRYISKLDVCFSPEVKNIVQTDDKFLITASAISLAIRPFSLTTFDATCPGQFDVHSAVEQYCLFLLTIPWLTQRLPAVLLPALKHKSILSPCLHSLLISRDKIVGKMSEIDQSDMDCSSKAIPQVGWALSNVICLASGSENDFLDSRVLNQGQEYASYVHVVTILADNLLEWLHNVGWNEKGNQNLEGNNEAHVEPVSAVMQESETACGSLKTSYMDLFRPVCQQWHLKKLLSLSERYAHTDEAKILPPNSLECLGNLELLHIAYFYSYMLRIFAAFNPMVGPLTVLNMLSFTPGFLGNLWGVLESSIFRGNSHTIGDSYHGTNKVSGKKKEGIDKKLKQANKDGVNKWVNVLQKFTGKSQADVDFADSVDDHLVDDDSVDVWDIEPLRHGPQGISKDMSCLLHLFCATYSHLLLVLDDIEFYEKQVPFTLEQQRRIASVLNTLVYNGLSCSVGQQNGSFMESAIRCLHLIYERDCRHQFCPPVLWLSPARRSRPPIAVAARTHEVLSANIRPEDATVVHSTGSVITSMPHVFPFEERVQMFREFINMDKVSRKMAGEVAGPGSRSVEIVIRRGHIVEDGFRQLNSLGSRLKSSIHVSFVSECGLPEAGLDYGGLSKEFLTDISKEAFAPEYGLFSQTSTSDRLLIPNPAARYLENGIQMIEFLGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRNLMYVKHYDGDIKELCLDFTITEESFGKRHVIELKPGGKDVCVTNENKMQYVHAMADYKLNRQILPFSNAFYRGLTDLISPSWLKLFNASELNQLLSGGDHDIDVDDLRNNTRYTGGYSEGSRTIKLFWQVMKDFEPKERCMLLKFVTSCSRAPLLGFKFLQPSFTIHKVASDAPLWATIGGPDVERLPSASTCYNTLKLPTYKRSSTLKAKLRYAISSNAGFELS >EOY08057 pep chromosome:Theobroma_cacao_20110822:5:4182594:4190950:-1 gene:TCM_022374 transcript:EOY08057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase 7 isoform 1 MEEPRKHQVSLRGASAKEISRDALLEKVSQERENRNYARRAASAAIFIQRVWRSYNVTMKVAIKLQEEWESFVKNQAELMTANLISSSVLRPFIFFITCLSIRRRKILARVSNCMQTCFKILLESINSTDSKKNFCSLAVGTMEERRTLTYQAQKLISLCSFVLAQCDTSHGGGQDLVILTSLALRLVVVLTDLKSWKIVSDDNIGNADATVKNLVCFMGSYKGGLYVSMRRYISKLDVCFSPEVKNIVQTDDKFLITASAISLAIRPFSLTTFDATCPGQFDVHSAVEQYCLFLLTIPWLTQRLPAVLLPALKHKSILSPCLHSLLISRDKIVGKMSEIDQSDMDCSSKAIPQVGWALSNVICLASGSENDFLDSRVLNQGQEYASYVHVVTILADNLLEWLHNVGWNEKGNQNLEGNNEAHVEPVSAVMQESETACGSLKTSYMDLFRPVCQQWHLKKLLSLSERYAHTDEAKILPPNSLECLGNLELLHIAYFYSYMLRIFAAFNPMVGPLTVLNMLSFTPGFLGNLWGVLESSIFRGNSHTIGDSYHGTNKVSGKKKEGIDKKLKQANKDGVNKWVNVLQKFTGKSQADVDFADSVDDHLVDDDSVDVWDIEPLRHGPQGISKDMSCLLHLFCATYSHLLLVLDDIEFYEKQVPFTLEQQRRIASVLNTLVYNGLSCSVGQQNGSFMESAIRCLHLIYERDCRHQFCPPVLWLSPARRSRPPIAVAARTHEVLSANIRPEDATVVHSTGSVITSMPHVFPFEERVQMFREFINMDKVSRKMAGEVAGPGSRSVEIVIRRGHIVEDGFRQLNSLGSRLKSSIHVSFVSECGLPEAGLDYGGLSKEFLTDISKEAFAPEYGLFSQTSTSDRLLIPNPAARYLENGIQMIEFLGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRNLMYVKHYDGDIKELCLDFTITEESFGKRHVIELKPGGKDVCVTNENKMQYVHAMADYKLNRQILPFSNAFYRGLTDLISPSWLKLFNASELNQLLSGGDHDIDVDDLRNNTRYTGGYSEGSRTIKLFWQVMKDFEPKERCMLLKFVTSCSRAPLLGFKFLQPSFTIHKVDLKPFNRCR >EOY08059 pep chromosome:Theobroma_cacao_20110822:5:4183423:4191105:-1 gene:TCM_022374 transcript:EOY08059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase 7 isoform 1 MEEPRKHQVSLRGASAKEISRDALLEKVSQERENRNYARRAASAAIFIQRVWRSYNVTMKVAIKLQEEWESFVKNQAELMTANLISSSVLRPFIFFITCLSIRRRKILARVSNCMQTCFKILLESINSTDSKKNFCSLAVGTMEERRTLTYQAQKLISLCSFVLAQCDTSHGGGQDLVILTSLALRLVVVLTDLKSWKIVSDDNIGNADATVKNLVCFMGSYKGGLYVSMRRYISKLDVCFSPEVKNIVQTDDKFLITASAISLAIRPFSLTTFDATCPGQFDVHSAVEQYCLFLLTIPWLTQRLPAVLLPALKHKSILSPCLHSLLISRDKIVGKMSEIDQSDMDCSSKAIPQVGWALSNVICLASGSENDFLDSRVLNQGQEYASYVHVVTILADNLLEWLHNVGWNEKGNQNLEGNNEAHVEPVSAVMQESETACGSLKTSYMDLFRPVCQQWHLKKLLSLSERYAHTDEAKILPPNSLECLGNLELLHIAYFYSYMLRIFAAFNPMVGPLTVLNMLSFTPGFLGNLWGVLESSIFRGNSHTIGDSYHGTNKVSGKKKEGIDKKLKQANKDGVNKWVNVLQKFTGKSQADVDFADSVDDHLVDDDSVDVWDIEPLRHGPQGISKDMSCLLHLFCATYSHLLLVLDDIEFYEKQVPFTLEQQRRIASVLNTLVYNGLSCSVGQQNGSFMESAIRCLHLIYERDCRHQFCPPVLWLSPARRSRPPIAVAARTHEVLSANIRPEDATVVHSTGSVITSMPHVFPFEERVQMFREFINMDKVSRKMAGEVAGPGSRSVEIVIRRGHIVEDGFRQLNSLGSRLKSSIHVSFVSECGLPEAGLDYGGLSKEFLTDISKEAFAPEYGLFSQTSTSDRLLIPNPAARYLENGIQMIEFLGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRNLMYVKDFEPKERCMLLKFVTSCSRAPLLGFKFLQPSFTIHKVASDAPLWATIGGPDVERLPSASTCYNTLKLPTYKRSSTLKAKLRYAISSNAGFELS >EOY08058 pep chromosome:Theobroma_cacao_20110822:5:4185007:4190868:-1 gene:TCM_022374 transcript:EOY08058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase 7 isoform 1 MEEPRKHQVSLRGASAKEISRDALLEKVSQERENRNYARRAASAAIFIQRVWRSYNVTMKVAIKLQEEWESFVKNQAELMTANLISSSVLRPFIFFITCLSIRRRKILARVSNCMQTCFKILLESINSTDSKKNFCSLAVGTMEERRTLTYQAQKLISLCSFVLAQCDTSHGGGQDLVILTSLALRLVVVLTDLKSWKIVSDDNIGNADATVKNLVCFMGSYKGGLYVSMRRYISKLDVCFSPEVKNIVQTDDKFLITASAISLAIRPFSLTTFDATCPGQFDVHSAVEQYCLFLLTIPWLTQRLPAVLLPALKHKSILSPCLHSLLISRDKIVGKMSEIDQSDMDCSSKAIPQVGWALSNVICLASGSENDFLDSRVLNQGQEYASYVHVVTILADNLLEWLHNVGWNEKGNQNLEGNNEAHVEPVSAVMQESETACGSLKTSYMDLFRPVCQQWHLKKLLSLSERYAHTDEAKILPPNSLECLGNLELLHIAYFYSYMLRIFAAFNPMVGPLTVLNMLSFTPGFLGNLWGVLESSIFRGNSHTIGDSYHGTNKVSGKKKEGIDKKLKQANKDGVNKWVNVLQKFTGKSQADVDFADSVDDHLVDDDSVDVWDIEPLRHGPQGISKDMSCLLHLFCATYSHLLLVLDDIEFYEKQVPFTLEQQRRIASVLNTLVYNGLSCSVGQQNGSFMESAIRCLHLIYERDCRHQFCPPVLWLSPARRSRPPIAVAARTHEVLSANIRPEDATVVHSTGSVITSMPHVFPFEERVQMFREFINMDKVSRKMAGEVAGPGSRSVEIVIRRGHIVEDGFRQLNSLGSRLKSSIHVSFVSECGLPEAGLDYGGLSKEFLTDISKEAFAPEYGLFSQTSTSDRLLIPNPAARYLENGIQMIEFLGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRNLMYVKHYDGDIKELCLDFTITEESFGKRHVIELKPGGKDVCVTNENKMQYVHAMADYKLNRQILPFSNAFYRGLTDLISPSWLKLFNASELNQVFSLQMCFMEL >EOY09795 pep chromosome:Theobroma_cacao_20110822:5:31086995:31093495:-1 gene:TCM_025174 transcript:EOY09795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MGSCLSAESRSPLPGSPSSPHLPNMRRRGSRKRLGSRNSSFDYRKEEPLHRIPGRLFLNGSSDVASLFTQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPYGHMVAKRVRDHLPLKLCAHWEVSISSEDVLREISLNTAGSMNSEDTTFVSADEESRASVDLEETEKHPEIFQALKESFLKAFKVMDRELRMHANIDCFCSGTTAVTLVKQGPYLVIGNVGDSRAVLGTRDKDNSLTAVQLTVDLKPNLPAEAERIRKCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPEISYWRLSEKDEFIVLATDGIWDVLSNKEVVDIVASAPARSSAARALVESAVRAWRYKYPTSKVDDCAVVCLFLDSNSNNLSTAFNAKPKVQPTSMDQIDNDNEKDNNLAVLTSLDRSGTVRTGEEVLPGVNEDSSKQEEMNSELGIDWSALEGVSRVNTLLNLPRFVPGEEDKKAAGGTKARK >EOY09796 pep chromosome:Theobroma_cacao_20110822:5:31088205:31092345:-1 gene:TCM_025174 transcript:EOY09796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MGSCLSAESRSPLPGSPSSPHLPNMRRRGSRKRLGSRNSSFDYRKEEPLHRIPGRLFLNGSSDVASLFTQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPYGHMVAKRVRDHLPLKLCAHWEVSISSEDVLREISLNTAGSMNSEDTTFVSADEESRASVDLEETEKHPEIFQALKESFLKAFKVMDRELRMHANIDCFCSGTTAVTLVKQGPYLVIGNVGDSRAVLGTRDKDNSLTAVQLTVDLKPNLPAEAERIRKCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPEISYWRLSEKDEFIVLATDGIWDVLSNKEVVDIVASAPARSSAARALVESAVRAWRYKYPTSKVDDCAVVCLFLDSNSNNLSTAFNAKPKVQPTSMDQIDNDNEKDNNLAVLTSLDRSGTVRTGEEVLPGVNEDSSKQEEMNSELGIDWSALEGVSRVNTLLNLPRFVPGEEDKKAAGGTKARK >EOY09797 pep chromosome:Theobroma_cacao_20110822:5:31088205:31092345:-1 gene:TCM_025174 transcript:EOY09797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MGSCLSAESRSPLPGSPSSPHLPNMRRRGSRKRLGSRNSSFDYRKEEPLHRIPGRLFLNGSSDVASLFTQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPYGHMVAKRVRDHLPLKLCAHWEVSISSEDVLREISLNTAGSMNSEDTTFVSADEESRASVDLEETEKHPEIFQALKESFLKAFKVMDRELRMHANIDCFCSGTTAVTLVKQGPYLVIGNVGDSRAVLGTRDKDNSLTAVQLTVDLKPNLPAEAERIRKCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPEISYWRLSEKDEFIVLATDGIWDVLSNKEVVDIVASAPARSSAARALVESAVRAWRYKYPTSKVDDCAVVCLFLDSNSNNLSTAFNAKPKVQPTSMDQIDNDNEKDNNLAVLTSLDRSGTVRTGEEVLPGVNEDSSKQEEMNSELGIDWSALEGVSRVNTLLNLPRFVPGEEDKKAAGGTKARK >EOY07310 pep chromosome:Theobroma_cacao_20110822:5:1154251:1156152:1 gene:TCM_021774 transcript:EOY07310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein isoform 3 MGSSNRLFNRQRTVHEVLGGGFVADVMLWRRGNLTLGILLVTLAAWVVFEKSGYTLLSLVSSVLLLLNVILFLWAKSAAILNRPAPPLPELYLSEEMVNEVGAFMRAHVNDFLSASQDIAMGRDARLFVKVAAYLLLISVVGGLTDFLTLGYTSLVVVLTVPALYERYENYINSYAITGFRKMQQLYVKFDAKFVNRIRKWILERQKLS >EOY07311 pep chromosome:Theobroma_cacao_20110822:5:1154492:1156151:1 gene:TCM_021774 transcript:EOY07311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein isoform 3 MLWRRGNLTLGILLVTLAAWVVFEKSGYTLLSLVSSVLLLLNVILFLWAKSAAILNRPAPPLPELYLSEEMVNEVGAFMRAHVNDFLSASQDIAMGRDARLFVKVAAYLLLISVVGGLTDFLTLGYTSLVVVLTVPALYERYENYINSYAITGFRKMQQLYVKFDAKFVNRIRKWILERQKLS >EOY07309 pep chromosome:Theobroma_cacao_20110822:5:1153711:1156120:1 gene:TCM_021774 transcript:EOY07309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein isoform 3 MISQYWNLVATNLVFHQPSQYNSKDIGERQRTVHEVLGGGFVADVMLWRRGNLTLGILLVTLAAWVVFEKSGYTLLSLVSSVLLLLNVILFLWAKSAAILNRPAPPLPELYLSEEMVNEVGAFMRAHVNDFLSASQDIAMGRDARLFVKVAAYLLLISVVGGLTDFLTLGYTSLVVVLTVPALYERYENYINSYAITGFRKMQQLYVKFDAKFVNRIRKWILERQKLS >EOY10997 pep chromosome:Theobroma_cacao_20110822:5:37382313:37387563:-1 gene:TCM_026261 transcript:EOY10997 gene_biotype:protein_coding transcript_biotype:protein_coding description:SSXT family protein isoform 1 MQQHLMQMQPMMAAYYPNNVTTDHIQQYLDENKSLILKIVESQNSGKLSECAENQARLQRNLMYLAAIADSQPQPPNMHAQFPSSGIMQPGGHYMQHQQAQQMTPQSLMAARSSMLYAQQPFSALQQQQALHSQLGMSSGGNTGLHMLQSEASTAGGSGALGAGGFPDFGRGSSGEGMHAGRAMAGGSKQDIGSSGSAEGRGGSSGGQGGDGGETLYLKAADDGN >EOY10998 pep chromosome:Theobroma_cacao_20110822:5:37382788:37387563:-1 gene:TCM_026261 transcript:EOY10998 gene_biotype:protein_coding transcript_biotype:protein_coding description:SSXT family protein isoform 1 VILLFQLSVFWSEIVFFFFFFCIEREREREKIYTYKVFSFSFSVLFERVCVDIKKEMQQHLMQMQPMMAAYYPNNVTTDHIQQQYLDENKSLILKIVESQNSGKLSECAENQARLQRNLMYLAAIADSQPQPPNMHAQFPSSGIMQPGGHYMQHQQAQQMTPQSLMAARSSMLYAQQPFSALQQQQALHSQLGMSSGGNTGLHMLQSEASTAGGSGALGAGGFPDFGRGSSGEGMHAGRAMAGGSKQDIGSSGSAEGRGGSSGGQGGDGGETLYLKAADDGN >EOY10961 pep chromosome:Theobroma_cacao_20110822:5:37237765:37239326:-1 gene:TCM_026231 transcript:EOY10961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDTMEEQWRDEKRSTRGLGTMIESTEVAGESEDFKIKLSGVLNTSGDNENENMKQVKVEKKTTIYHKIRQHEMWTDNVEGSEPENEKKRKGI >EOY10511 pep chromosome:Theobroma_cacao_20110822:5:35129667:35133358:-1 gene:TCM_025853 transcript:EOY10511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMVEAGGLVEQRKDTMTMRHVNSKVRYVNKPIKKLRRKKRSKPVASRVPRLLPELFVACREVFKGPGNVPPPSDVDKLCSILDRMKPEDVGLSKNLQFFKARGAVTGTPRVTYTTIYQCDEFSLCIFFLPEKAVIPLHNHPGMTVFSKLLLGKMHIKSYDWVDPVHSEDPVPPSQPRLARLKADSVFTAPCDTSVLYPTAGGNIHQFTAITPCAVLDVLGPPYSKEDDRDCSYYRDVPCSAFPNGETTVSEEVEGDLFGWLEEIQVPENSKMDRIEYLGPQIAETSF >EOY10512 pep chromosome:Theobroma_cacao_20110822:5:35129738:35133251:-1 gene:TCM_025853 transcript:EOY10512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMVEAGGLVEQRKDTMTMRHVNSKVRYVNKPIKKLRRKKRSKPVASRVPRLLPELFVACREVFKGPGNVPPPSDVDKLCSILDRMKPEDVGLSKNLQFFKARGAVTGTPRVTYTTIYQCDEFSLCIFFLPEKAVIPLHNHPGMTVFSKLLLGKMHIKSYDWVDPVHSEDPVPPSQPRLARLKADSVFTAPCDTSVLYPTAGGNIHQFTAITPCAVLDVLGPPYSKEDDRDCSYYRDVPCSAFPSKWRNYSE >EOY07274 pep chromosome:Theobroma_cacao_20110822:5:1015335:1019160:1 gene:TCM_021740 transcript:EOY07274 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MGKASRDKRDIYYRKAKEEGWRARSAFKLLQIDEEFNIFEGVKRVVDLCAAPGSWSQVLSRKLYLPAKQSPDSKDDDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAGLTIVTHVLKQGGKFIAKIFRGKDTSLLYCQLKLFFPVVTFAKPKSSRNSSIEAFAVCENYSPPEGFNPKDLHRLLEKVGSPSGVDDLDCSSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPKVADGTYQSLDPVQPPIAPPYKRALEMKKASSQGIRDLEKLSLDS >EOY08345 pep chromosome:Theobroma_cacao_20110822:5:6743020:6748213:1 gene:TCM_022704 transcript:EOY08345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission protein isoform 4 MRPNRLPEQPVGRRSRAEVFEGVINGAIKRVVVIGNGFSGAESQCIGLVRALGLSGCHSLYRVTRPRGGINEWLHWLPVSLHKKVNYIIRQIYSRFQMEAKGNEVVPFSVQKTGLSNVLEADAKQIAMMACDTFDKDGPLLVVASGRDTIPVASSIKRLAPENVFVVQIQHPRSQLNRFDLVITPRHDYYPLTPHAQKQIPWFLRRWITPREPPDRCVVLTVGALHQADSPALRSAASVWHYDLSSLPKPLLVVNIGGPTRSCQYGVDLAKQLTAMLQNVLWSCGSLRISFSRRTPEKVSKILLKELSANPKVYIWVGEAWADAFVITADSVSMLSEACTTG >EOY08342 pep chromosome:Theobroma_cacao_20110822:5:6743349:6747749:1 gene:TCM_022704 transcript:EOY08342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission protein isoform 4 MRPNRLPEQPVGRRSRAEVFEGVINGAIKRVVVIGNGFSGAESQCIGLVRALGLSGCHSLYRVTRPRGGINEWLHWLPVSLHKKVNYIIRQIYSRFQMEAKGNEVVPFSVQKTGLSNVLEADAKQIAMMACDTFDKDGPLLVVASGRDTIPVASSIKRLAPENVFVVQIQHPRSQLNRFDLVITPRHDYYPLTPHAQKQIPWFLRRWITPREPPDRCVVLTVGALHQADSPALRSAASVWHYDLSSLPKPLLVVNIGGPTRSCQYGVDLAKQLTAMLQNVLWSCGSLRISFSRRTPEKVSKILLKELSANPKVYIWVGEGPNPHMGHLAWADAFVITADSVSMLSEACTTGKPVYVVGAERCTWKFADFQKSLQERGVVRPFTGKEDISESWGYPPLNDTAEAASQVIKALAERGWTIHR >EOY08344 pep chromosome:Theobroma_cacao_20110822:5:6743020:6748213:1 gene:TCM_022704 transcript:EOY08344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission protein isoform 4 MRPNRLPEQPVGRRSRAEVFEGVINGAIKRVVVIGNGFSGAESQCIGLVRALGLSGCHSLYRVTRPRGGINEWLHWLPVSLHKKVNYIIRQIYSRFQMEAKGNEVVPFSVQKTGLSNVLEADAKQIAMMACDTFDKDGPLLVVASGRDTIPVASSIKRLAPENVFVVQIQHPRSQLNRFDLVITPRHDYYPLTPHAQKQIPWFLRRWITPREPPDRCVVLTVGALHQADSPALRSAASVWHYDLSSLPKPLLVVNIGGPTRSCQYGVDLAKQLTAMLQNVLWSCGSLRISFSRRTPEKVSKILLKELSANPKVYIWVGEGPNPHMGHLAWADAFVITADSVSMLSEACTTG >EOY08343 pep chromosome:Theobroma_cacao_20110822:5:6743020:6747358:1 gene:TCM_022704 transcript:EOY08343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission protein isoform 4 MRPNRLPEQPVGRRSRAEVFEGVINGAIKRVVVIGNGFSGAESQCIGLVRALGLSGCHSLYRVTRPRGGINEWLHWLPVSLHKKVNYIIRQIYSRFQMEAKGNEVVPFSVQKTGLSNVLEADAKQIAMMACDTFDKDGPLLVVASGRDTIPVASSIKRLAPENVFVVQIQHPRSQLNRFDLVITPRHDYYPLTPHAQKQIPWFLRRWITPREPPDRCVVLTVGALHQADSPALRSAASVWHYDLSSLPKPLLVVNIGGPTRSCQYGVDLAKQLTAMLQNVLWSCGSLRISFSRRTPEKVSKILLKELSANPKVYIWVGEGPNPHMGHLAWADAFVITADSVSMLSEACTTGLCCRS >EOY07407 pep chromosome:Theobroma_cacao_20110822:5:1465152:1470226:1 gene:TCM_021852 transcript:EOY07407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLFRCPMGVESSATVDGGSGGDAVGSEDGGDGPDTNGGGDGGGGDGRVAGVWKFGGSVGSGGAGHHFGGGGHGPHGFGGDVAIDIGGSDNGGGVAGGCSDWGVGGTGGHSSWGGGGGGFDGGGGGGGGGGGCDGGGGGGGGGNNGALMVVVEAVNEIDHVCRRKQKRKDGTLGSPSDGVGGHGFGRGGHRSHGNGGSGGGGDINITMSTWGGGGFGSGGGDTVEIPINILGSKVAPTGDGLPVFVGGRHPGGGFGGGGGVGGGGCDGGGGGGC >EOY09540 pep chromosome:Theobroma_cacao_20110822:5:29735367:29738734:1 gene:TCM_024951 transcript:EOY09540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MFCCGGAEEEPNGPPANQYTAPPRGGNQFGGAGSGRGEPRASNVVKSGAPQKVLPIEIPAMTLDELNRMTGNFGTKALIGEGSYGRVFYAKLSNGQDAAIKKLDTSSSQEPDSDFGAQLSVVSRLKHEHFVELMGYCLEANNRILVYQYATMGSLHDVLHGRKGVQGAEPGPVLTWNQRVKVAYGAAKGLEYLHEKVQPSIVHRDVRSSNVLLFDDFMAKIADFNLTNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLSNDYPPKAIAKLAAVAALCVQYEADFRPNMTIVVKAIQPLLNAKPAGAESQA >EOY09614 pep chromosome:Theobroma_cacao_20110822:5:30226837:30249217:1 gene:TCM_025027 transcript:EOY09614 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding,RNA binding isoform 1 MLDDLGSLFGDGITGKLPRYANKITLKNISPGMKLWGVVAEVNEKDLVISLPGGLRGLVRAADALDSVLSNEVENNEGNFLTNIFCTGQLVSCIVLQLDDDKKETGKRKIWLSLRLSLLHKSFTLDAVQEGMVLTAYVKSIEDHGYILHFGLSSFMGFLPKDDEESRDIKVRTGQFLQGVVRRIDKTRKVVYLSSNPDTVSKCVTKDLKGISIDLLIPGMLVNTSVRSILENGVMLSFLTYFTGTVDMFHLQNQFPTKDWKDDYNQNKKINARILFIDPSTRAVGLTLNPHLVHNKAPPSHVNIGEIYDQSKVIRVDRGLGLLLDIPSKPVSTPAYVYISDVAEEEVRKLEKKFKEGSQVRVRIHGFRHLEGLATGILKASAFEGQVFTHSDVKPGMVIRAKVIALDSFSAIVQFPGGVKALCPIRHMSEFEIAKPGKKFKVGAELVFRVLGCKSKRITVTHKKTLVKSKLGIISSYADATEGFITHGWITKIEKHGCFVRFYNGVQGFAPRSELGLGPGYDPSSMYHVGQVIKCRVTSSNPASRRINLSFQMKPVRVSEDDLVKLGSIVSGLIDRLTPSAVVIQVNSKAHLKGTISNEHLADNHESAALLKSVLKPGYKFDQLLVLDIEGNNILLSAKYSLTSLAEQLPSDISQIHPNSVVHGYVCNLIETGCFVRFLGRLTGFSPRSKSTDDYKADLSGAFYVGQSVRSNILDVNSETARITLSLKQSSCSSTDASFIQEFFLLEEKIAKLQSSDSDGSELKWVEGFNVGSVIEGKIGEAKDIGVVVSFDKYNDVLGFVTHYQLGGLTLETGSIVQAAVLDVAKAERLVDLSLKPEFVDKSQEESSKGQIQKKKRKREASKDLEVHQTVNAVVEIVKEHYLVLAIPEYNYAIGYASKADYNTQKFPQKQFVNGQRVIATVMALPSPTTSGRLLLLLNSISEVTETSSSKRAKKKSSYSVGSLVSAEVTEIMPLELRLKFGIGFRGRVHVTEVNDDNVLENPFGNFKIGQTITARVVGKANQKGYLWDLSIKPTMLAGTGETGVNSTNDECNFSAGQLVTGYVYKMDTEWAWLTISRHVKAQLYILDSAREPNELQQFQERFKVGKAVSGHVLNVNKDKKLLRLVRHPLGALSIRNVHGEDKRTGESDNNISGESVTTHIHEGDILGGRISKILPGVGGLLVQIGPHIFGRVHFTELKDTWESDPLSGYYEGQFVKCKVLEISHSVKGTIHIDLSLRLSLDGMLPNNPSELGSDEDSTSKRVEKIEDLYPNMAIQGYVKNTIPKGCFILLSRKLDAKILLSNLSDGYIDDPKKEFPIGKLVAGRVLAVEPLSKRVEVTLKKSNTNGTSKSEINDFSSLHVGDIVSGRIRRVESYGLFVTLDHTNMVGLCHVSELSDDHVDNIQTKYRAGEKVTAKILKLDEERHRISLGMKNSYLTDDIDIQIPSNEESDEDVEETDDTRSRMLTDSTLGMAIEYENGASSICAQAESRASIPPLEVTLDDIEHSDMDILVSQNQANSNEAVTGDEKNKRRAKKKAKEDREREIRAAEERQLEMDVPRTADEFEKLVRNSPNSSFVWIKYMAFMLNSADIEKARAIAERALRTINIREENEKLNIWVAYFNLENQYGNPPEEAVQKIFQRALQYCDPKKVHLALLGMYERTEQHKLADELLDKMTRKFKHSCKVWLRRVQMLLMQQQDGVQSVVNRALLCLPRHKHIKFISQTAILEFKSGVPDRGRSMFEGILREYPKRTDLWSIYLDXEIRLGDEDVIRALFERAISLSLPPKKMKFLFKKYLDYEKSLGDEERIKSVKQKAMDYVESTLT >EOY09616 pep chromosome:Theobroma_cacao_20110822:5:30226733:30246798:1 gene:TCM_025027 transcript:EOY09616 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding,RNA binding isoform 1 MLDDLGSLFGDGITGKLPRYANKITLKNISPGMKLWGVVAEVNEKDLVISLPGGLRGLVRAADALDSVLSNEVENNEGNFLTNIFCTGQLVSCIVLQLDDDKKETGKRKIWLSLRLSLLHKSFTLDAVQEGMVLTAYVKSIEDHGYILHFGLSSFMGFLPKDDEESRDIKVRTGQFLQGVVRRIDKTRKVVYLSSNPDTVSKCVTKDLKGISIDLLIPGMLVNTSVRSILENGVMLSFLTYFTGTVDMFHLQNQFPTKDWKDDYNQNKKINARILFIDPSTRAVGLTLNPHLVHNKAPPSHVNIGEIYDQSKVIRVDRGLGLLLDIPSKPVSTPAYVYISDVAEEEVRKLEKKFKEGSQVRVRIHGFRHLEGLATGILKASAFEGQVFTHSDVKPGMVIRAKVIALDSFSAIVQFPGGVKALCPIRHMSEFEIAKPGKKFKVGAELVFRVLGCKSKRITVTHKKTLVKSKLGIISSYADATEGFITHGWITKIEKHGCFVRFYNGVQGFAPRSELGLGPGYDPSSMYHVGQVIKCRVTSSNPASRRINLSFQMKPVRVSEDDLVKLGSIVSGLIDRLTPSAVVIQVNSKAHLKGTISNEHLADNHESAALLKSVLKPGYKFDQLLVLDIEGNNILLSAKYSLTSLAEQLPSDISQIHPNSVVHGYVCNLIETGCFVRFLGRLTGFSPRSKSTDDYKADLSGAFYVGQSVRSNILDVNSETARITLSLKQSSCSSTDASFIQEFFLLEEKIAKLQSSDSDGSELKWVEGFNVGSVIEGKIGEAKDIGVVVSFDKYNDVLGFVTHYQLGGLTLETGSIVQAAVLDVAKAERLVDLSLKPEFVDKSQEESSKGQIQKKKRKREASKDLEVHQTVNAVVEIVKEHYLVLAIPEYNYAIGYASKADYNTQKFPQKQFVNGQRVIATVMALPSPTTSGRLLLLLNSISEVTETSSSKRAKKKSSYSVGSLVSAEVTEIMPLELRLKFGIGFRGRVHVTEVNDDNVLENPFGNFKIGQTITARVVGKANQKGYLWDLSIKPTMLAGTGETGVNSTNDECNFSAGQLVTGYVYKMDTEWAWLTISRHVKAQLYILDSAREPNELQQFQERFKVGKAVSGHVLNVNKDKKLLRLVRHPLGALSIRNVHGEDKRTGESDNNISGESVTTHIHEGDILGGRISKILPGVGGLLVQIGPHIFGRVHFTELKDTWESDPLSGYYEGQFVKCKVLEISHSVKGTIHIDLSLRLSLDGMLPNNPSELGSDEDSTSKRVEKIEDLYPNMAIQGYVKNTIPKGCFILLSRKLDAKILLSNLSDGYIDDPKKEFPIGKLVAGRLDCAMSQSFQMITLITSKLNTEQGKRLQQRY >EOY09615 pep chromosome:Theobroma_cacao_20110822:5:30226837:30249217:1 gene:TCM_025027 transcript:EOY09615 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding,RNA binding isoform 1 MLDDLGSLFGDGITGKLPRYANKITLKNISPGMKLWGVVAEVNEKDLVISLPGGLRGLVRAADALDSVLSNEVENNEGNFLTNIFCTGQLVSCIVLQLDDDKKETGKRKIWLSLRLSLLHKSFTLDAVQEGMVLTAYVKSIEDHGYILHFGLSSFMGFLPKDDEESRDIKVRTGQFLQGVVRRIDKTRKVVYLSSNPDTVSKCVTKDLKGISIDLLIPGMLVNTSVRSILENGVMLSFLTYFTGTVDMFHLQNQFPTKDWKDDYNQNKKINARILFIDPSTRAVGLTLNPHLVHNKAPPSHVNIGEIYDQSKVIRVDRGLGLLLDIPSKPVSTPAYVYISDVAEEEVRKLEKKFKEGSQVRVRIHGFRHLEGLATGILKASAFEGQVFTHSDVKPGMVIRAKVIALDSFSAIVQFPGGVKALCPIRHMSEFEIAKPGKKFKVGAELVFRVLGCKSKRITVTHKKTLVKSKLGIISSYADATEGFITHGWITKIEKHGCFVRFYNGVQGFAPRSELGLGPGYDPSSMYHVGQVIKCRVTSSNPASRRINLSFQMKPVRVSEDDLVKLGSIVSGLIDRLTPSAVVIQVNSKAHLKGTISNEHLADNHESAALLKSVLKPGYKFDQLLVLDIEGNNILLSAKYSLTSLAEQLPSDISQIHPNSVVHGYVCNLIETGCFVRFLGRLTGFSPRSKSTDDYKADLSGAFYVGQSVRSNILDVNSETARITLSLKQSSCSSTDASFIQEFFLLEEKIAKLQSSDSDGSELKWVEGFNVGSVIEGKIGEAKDIGVVVSFDKYNDVLGFVTHYQLGGLTLETGSIVQAAVLDVAKAERLVDLSLKPEFVDKSQEESSKGQIQKKKRKREASKDLEVHQTVNAVVEIVKEHYLVLAIPEYNYAIGYASKADYNTQKFPQKQFVNGQRVIATVMALPSPTTSGRLLLLLNSISEVTETSSSKRAKKKSSYSVGSLVSAEVTEIMPLELRLKFGIGFRGRVHVTEVNDDNVLENPFGNFKIGQTITARVVGKANQKGYLWDLSIKPTMLAGTGETGVNSTNDECNFSAGQLVTGYVYKMDTEWAWLTISRHVKAQLYILDSAREPNELQQFQERFKVGKAVSGHVLNVNKDKKLLRLVRHPLGALSIRNVHGEDKRTGESDNNISGESVTTHIHEGDILGGRISKILPGVGGLLVQIGPHIFGRVHFTELKDTWESDPLSGYYEGQFVKCKVLEISHSVKGTIHIDLSLRLSLDGMLPNNPSELGSDEDSTSKRVEKIEDLYPNMAIQGYVKNTIPKGCFILLSRKLDAKILLSNLSDGYIDDPKKEFPIGKLVAGRVLAVEPLSKRVEVTLKKSNTNGTSKSEINDFSSLHVGDIVSGRIRRVESYGLFVTLDHTNMVGLCHVSELSDDHVDNIQTKYRAGEKVTAKILKLDEERHRISLGMKNSYLTDDIDIQIPSNEESDEDVEETDDTRSRMLTDSTLGMAIEYENGASSICAQAESRASIPPLEVTLDDIEHSDMDILVSQNQANSNEAVTGDEKNKRRAKKKAKEDREREIRAAEERQLEMDVPRTADEFEKLVRNSPNSSFVWIKYMAFMLNSADIEKARAIAERALRTINIREENEKLNIWVAYFNLENQYGNPPEEAVQKIFQRALQYCDPKKVWLRRVQMLLMQQQDGVQSVVNRALLCLPRHKHIKFISQTAILEFKSGVPDRGRSMFEGILREYPKRTDLWSIYLDXEIRLGDEDVIRALFERAISLSLPPKKMKFLFKKYLDYEKSLGDEERIKSVKQKAMDYVESTLT >EOY09131 pep chromosome:Theobroma_cacao_20110822:5:26328112:26338995:1 gene:TCM_024524 transcript:EOY09131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ with tetratricopeptide repeat, putative isoform 3 MEKLGSYKCGKFGFVFGANGSDEGVKPNSGKGETSDFRVTLDGRGAKMKVETGAQGSKDCNLEFTFGTTKSHLASNFDSEKGKFGETLKEPDFNGVGFVFGSSQSDLKSTSNADKIESTIFLGGSSSVFGANHLNSSSDFNLERRESCKNFGQSVSGDLGKMNIKGEAESQKMESTTVNFNAKGNESLNEDSDNGFFVFGATSIKGSCSNECKDGIYSTSETFGVSASNGWCKDVSENSKNIGSSSNANSIYTLQHDLKKLYISCHKKVGGSDTTEDSDTNVTSETIFVFSSSEKASGPSKKAPESGPSAAVERTVEDNSNNGNVNGAVSCNSCNEDNVGISGSKPSKFKASIVKTSEIEKSYQGHVKDDVEMNGTDAWSSLDPNSKGNSGVFEATSTVGIERNDGSCSTGTPDQSGISFSDFKTPQWDPSSFKANLFPEVDRKLEFGEKSGLTKEKKLKKMRGKLKKSCLHKHCSKQHHVPKESTSQENQDSSQCYSPMDFSPYQENTAADQSSKETPQASEEASPLEYNFIPSTLHSSTLTECPATAQEGSDCNEGDQKCCEPDEESFGYDHERIIVGDGPSKESVCEAETASTTFKSDWSCSSSAPSVGEAEGIKGTPVNNHTTRSCFNSGLEGKKNFTFSATSTSGQGSLSFRKRQLRKKSKVKIGNASFIITPSPDVKGGCSSVQFSSSEPAQCQQKDKSTYHSEEENEQFKPRSNSSTAAVHEACEMWRLRGNQAYRSDNLSKAEEFYTQGINCVPSNETSRCSIKPLVLCYSNRAATRISLGRMREALADCLMATALDPNFLKVYVRAANCHLLLGETDIAIQYFSKCLGSGAGVCLDRRITIDAADGLQKAQRVDELTDRSAILLEQKSSDAASSALDTIAEALSISSYSEKLLEMKAEALCMLKKYEEAIQLCEQSLYVAEKNFSKGETDNQLASIDGSGCYSIAMLWRWHLMSKSYFYMGKLEKALDLLQQLEQVGSVKDKHGSKILEMSVTLAVTIRELLRLKNAGNEAVRSGRCTEAAEHYTIALSINVESRPFAAICFCNRAAAHQALGQIADAIADCSLAMALNENYTKAVSRRATLHGMIRDYGQASSDLQRLISTLEKQSDKTSHQSGGQDRTTGNTKELRQAQCQLSSMQEEAKRGIPLDLYLILGVKPSDSTSDVKKAYRKAALRHHPDKAGQFLARSESGDEGRLWKEIAEEVHKDADRLFKMIGEAYAILSDTSKRSEYDLEEEIRKAPRESKGSPYERPPDEYDYPYERSSNRRYWRGNWKTYRNSHSRW >EOY09132 pep chromosome:Theobroma_cacao_20110822:5:26328112:26337781:1 gene:TCM_024524 transcript:EOY09132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ with tetratricopeptide repeat, putative isoform 3 MSPAAVEIRSPDTFSAKAKPSSTSQSPNSNPDFTAFPQTDHSQMNSSSFGNAGEFDCGFSFASWNGHHPKSAASVRPRPRLVKIRKQLNGKVRTGQSEVGSGFNPFRQASQDSGRVSSCANLSSSGFLKSLNRANNDSNNNNSNNSINNFSFLFGGTDGCDRESTGNVEVENGNEEPAREFENENVGFVFDANLRGGMEKLGSYKCGKFGFVFGANGSDEGVKPNSGKGETSDFRVTLDGRGAKMKVETGAQGSKDCNLEFTFGTTKSHLASNFDSEKGKFGETLKEPDFNGVGFVFGSSQSDLKSTSNADKIESTIFLGGSSSVFGANHLNSSSDFNLERRESCKNFGQSVSGDLGKMNIKGEAESQKMESTTVNFNAKGNESLNEDSDNGFFVFGATSIKGSCSNECKDGIYSTSETFGVSASNGWCKDVSENSKNIGSSSNANSIYTLQHDLKKLYISCHKKVGGSDTTEDSDTNVTSETIFVFSSSEKASGPSKKAPESGPSAAVERTVEDNSNNGNVNGAVSCNSCNEDNVGISGSKPSKFKASIVKTSEIEKSYQGHVKDDVEMNGTDAWSSLDPNSKGNSGVFEATSTVGIERNDGSCSTGTPDQSGISFSDFKTPQWDPSSFKANLFPEVDRKLEFGEKSGLTKEKKLKKMRGKLKKSCLHKHCSKQHHVPKESTSQENQDSSQCYSPMDFSPYQENTAADQSSKETPQASEEASPLEYNFIPSTLHSSTLTECPATAQEGSDCNEGDQKCCEPDEESFGYDHERIIVGDGPSKESVCEAETASTTFKSDWSCSSSAPSVGEAEGIKGTPVNNHTTRSCFNSGLEGKKNFTFSATSTSGQGSLSFRKRQLRKKSKVKIGNASFIITPSPDVKGGCSSVQFSSSEPAQCQQKDKSTYHSEEENEQFKPRSNSSTAAVHEACEMWRLRGNQAYRSDNLSKAEEFYTQGINCVPSNETSRCSIKPLVLCYSNRAATRISLGRMREALADCLMATALDPNFLKVYVRAANCHLLLGETDIAIQYFSKCLGSGAGVCLDRRITIDAADGLQKAQRVDELTDRSAILLEQKSSDAASSALDTIAEALSISSYSEKLLEMKAEALCMLKKYEEAIQLCEQSLYVAEKNFSKGETDNQLASIDGSGCYSIAMLWRWHLMSKSYFYMGKLEKALDLLQQLEQVGSVKDKHGSKILEMSVTLAVTIRELLRLKNAGNEAVRSGRCTEAAEHYTIALSINVESRPFAAICFCNRAAAHQALGQIADAIADCSLAMALNENYTKAVSRRATLHGMIRDYGQASSDLQRLISTLEKQSDKTSHQSGGQDRTTGNTKELRQAQCQLSSMQEEAKRGIPLDLYLILLRFAIHQIET >EOY09133 pep chromosome:Theobroma_cacao_20110822:5:26328112:26337781:1 gene:TCM_024524 transcript:EOY09133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ with tetratricopeptide repeat, putative isoform 3 MSPAAVEIRSPDTFSAKAKPSSTSQSPNSNPDFTAFPQTDHSQMNSSSFGNAGEFDCGFSFASWNGHHPKSAASVRPRPRLVKIRKQLNGKVRTGQSEVGSGFNPFRQASQDSGRVSSCANLSSSGFLKSLNRANNDSNNNNSNNSINNFSFLFGGTDGCDRESTGNVEVENGNEEPAREFENENVGFVFDANLRGGMEKLGSYKCGKFGFVFGANGSDEGVKPNSGKGETSDFRVTLDGRGAKMKVETGAQGSKDCNLEFTFGTTKSHLASNFDSEKGKFGETLKEPDFNGVGFVFGSSQSDLKSTSNADKIESTIFLGGSSSVFGANHLNSSSDFNLERRESCKNFGQSVSGDLGKMNIKGEAESQKMESTTVNFNAKGNESLNEDSDNGFFVFGATSIKGSCSNECKDGIYSTSETFGVSASNGWCKDVSENSKNIGSSSNANSIYTLQHDLKKLYISCHKKVGGSDTTEDSDTNVTSETIFVFSSSEKASGPSKKAPESGPSAAVERTVEDNSNNGNVNGAVSCNSCNEDNVGISGSKPSKFKASIVKTSEIEKSYQGHVKDDVEMNGTDAWSSLDPNSKGNSGVFEATSTVGIERNDGSCSTGTPDQSGISFSDFKTPQWDPSSFKANLFPEVDRKLEFGEKSGLTKEKKLKKMRGKLKKSCLHKHCSKQHHVPKESTSQENQDSSQCYSPMDFSPYQENTAADQSSKETPQASEEASPLEYNFIPSTLHSSTLTECPATAQEGSDCNEGDQKCCEPDEESFGYDHERIIVGDGPSKESVCEAETASTTFKSDWSCSSSAPSVGEAEGIKGTPVNNHTTRSCFNSGLEGKKNFTFSATSTSGQGSLSFRKRQLRKKSKVKIGNASFIITPSPDVKGGCSSVQFSSSEPAQCQQKDKSTYHSEEENEQFKPRSNSSTAAVHEACEMWRLRGNQAYRSDNLSKAEEFYTQGINCVPSNETSRCSIKPLVLCYSNRAATRISLGRMREALADCLMATALDPNFLKVYVRAANCHLLLGETDIAIQYFSKCLGSGAGVCLDRRITIDAADGLQKAQRVDELTDRSAILLEQKSSDAASSALDTIAEALSISSYSEKLLEMKAEALCMLKKYEEAIQLCEQSLYVAEKNFSKGETDNQLASIDGSGCYSIAMLWRWHLMSKSYFYMGKLEKALDLLQQLEQVGSVKDKKIKLFHVIFLTLKLGLIGMVPHHAQACGYDTHVLPARDKSSLNSCIAKRRKKHIIFSVLMLFVCLSFLMIDTTEEIEWKCYYTCGLTNIRLWVTYHLSKSLCSFQ >EOY07688 pep chromosome:Theobroma_cacao_20110822:5:2418139:2421448:-1 gene:TCM_022059 transcript:EOY07688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNCWIIILINQLSDLGLDHWKQTKSFSQSKTITKTCSQSRMAFDQVMSTTMKFPMGYRFVPTEEELVLGYLLKKVKGETLPSQAVIDCEIYGDDKEPWKIFNQTSTDKFYVFTKLKKKNGRGRRIDRTAGCGTWKAQNTYLVMDSKNNHVGFNKLFVFEVKGSDSNNAINGHWLMHEFSLLNDKFFRYSRFCILSFLETYSILVILIPCYPALVKGSKKLRIVCMLDYASLFLLQSDFVLCEIRNKNATGVIQTEKEDCEKGSIVEELESCEDGILTVEELEDMMIGAEESFQSEKEDCSKGSTVIKLASCEVWMDTRGT >EOY11129 pep chromosome:Theobroma_cacao_20110822:5:38062915:38065967:-1 gene:TCM_026397 transcript:EOY11129 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIF-like orf1 MKNARIVTTEVIQGRSNDKAKAVWDRNLTMVFCDLCIKEKDHNSRPGTHFKKEGWSRLVANFNKETGKEYEKSQLKNRWDLLKKEWKLWKQLKGNEFDTCFRWDLRKNNIDASEAWWEKKLKVVPEAVKFKNGGIDPELEEKLDRMFLGILVNDDITLAPTFGLLPTDGTDEDESPQVKVEVVAVEDIQTPKKTIDGHLIEIDNHCMEQIPQPHSESEHQSELPHEKKRSQESDSSDLNKGKKKVPKLVGRVAALSRHIDRLCSAAESMSTATSAKLTSEPYNIPEAVRLLDNMEQEVPKKSQLYYFATKLFLNKDKRTMFMSLSTETRAWWLKMEMEETSKFAQRDQIARQ >EOY10011 pep chromosome:Theobroma_cacao_20110822:5:32203536:32240766:-1 gene:TCM_025381 transcript:EOY10011 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat protein-like isoform 4 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKHFEDQVQAGEWDEVERYLCGFTKVEDNRYSMKIFFEIRKQKYLEALDRQDRAKAVEILVKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLVELKKLIEANPLFRDKLTFPAFKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCSPSTNGARPPPPTNSPLVGPIPKAGAFPPIGAHGPFQPVVSPSSGAIAGWMSSGNPSLPHAAAVAAGPPGLVQPSSAAAFLKHPRTPSGMPGMDYQSADSEQLMKRIRTGQSDEVSFAGIAHTPNVDSQDDLPKTVVRALNQGTNVMSMDFHPQHQTILLVGTNVGDISLWEVGSRERLADKPFKVWDISTASMPLQTALVNDAGISVNRCVWSPSDGHMLGVAFSKHIVQIYLYNPTGELRQHLEIDAHVGGVNDIAFAQPNKQLCIVTCGDDKMIKVWDTVAGRRHYMFESHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGQWCTTMAYSTDGTRLFSCGTSKEGESHLVEWNESEGAIKRRYAGFRKRSLGVVQFDTTRNRFLAAGDEFQIKFWDMDNTTMLTAVDADGGLPASPRLRFNKEGSLLAVTTSDNGIKILANSDGSRLIRMLESRAVDKIRGPSEPVNSKPLIVNALGPMGNAAIAPALERPDRGPPVVSITSLSTMDSSRLVDVKPRISDDADKIKGWRIPDIMDPSHLKALRLPDAITAGKVVRLLYTNSGLALLALASNAVHKLWKWQRSDRNPSGKATANVAPQLWQPPSGTLMTNDINDTKPAEESATCIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMSPPPAATYLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKTKLKGHQNRITGLAFSQTLNSLVSSGADAQLCVWSIDGWEKKKSRFIQAPSGRQSPLSGETKVQFHNDQTHLLVVHESQIAIYDSKLECLCSWSPKDSLSAPISSAIYSCDGSLIYAGFCDGAVGVFDSDNLRLRCRIAPSAYIPSFSVSSNSAYAVVIAAHPSEPNQIALGMSDGAVHVVEPSDVELKWGTAPSQDNGPLPSSSSNPSLSGQPTELPSR >EOY10012 pep chromosome:Theobroma_cacao_20110822:5:32203733:32218952:-1 gene:TCM_025381 transcript:EOY10012 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat protein-like isoform 4 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKHFEDQVQAGEWDEVERYLCGFTKVEDNRYSMKIFFEIRKQKYLEALDRQDRAKAVEILVKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLVELKKLIEANPLFRDKLTFPAFKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCSPSTNGARPPPPTNSPLVGPIPKAGAFPPIGAHGPFQPVVSPSSGAIAGWMSSGNPSLPHAAAVAAGPPGLVQPSSAAAFLKHPRTPSGMPGMDYQSADSEQLMKRIRTGQSDEVSFAGIAHTPNVDSQDDLPKTVVRALNQGTNVMSMDFHPQHQTILLVGTNVGDISLWEVGSRERLADKPFKVWDISTASMPLQTALVNDAGISVNRCVWSPSDGHMLGVAFSKHIVQIYLYNPTGELRQHLEIDAHVGGVNDIAFAQPNKQLCIVTCGDDKMIKVWDTVAGRRHYMFESHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGQWCTTMAYSTDGTRLFSCGTSKEGESHLVEWNESEGAIKRRYAGFRKRSLGVVQFDTTRNRFLAAGDEFQIKFWDMDNTTMLTAVDADGGLPASPRLRFNKEGSLLAVTTSDNGIKILANSDGSRLIRMLESRAVDKIRGPSEPVNSKPLIVNALGPMGNAAIAPALERPDRGPPVVSITSLSTMDSSRLVDVKPRISDDADKIKGWRIPDIMDPSHLKALRLPDAITAGKVVRLLYTNSGLALLALASNAVHKLWKWQRSDRNPSGKATANVAPQLWQPPSGTLMTNDINDTKPAEESATCIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMSPPPAATYLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKTKLKGHQNRITGLAFSQTLNSLVSSGADAQLCVWSIDGWEKKKSRFIQAPSGRQSPLSGETKVQFHNDQTHLLVVHESQIAIYDSKLECLCSWSPKDSLSAPISSAIYSCDGSLIYAGFCDGAVGVFDSDNLRLRCRIAPSAYIPSFSVSNSAYAVVIAAHPSEPNQIALGMSDGAVHVVEPSDVELKWGTAPSQDNGPLPSSSSNPSLSGQPTELPSR >EOY10014 pep chromosome:Theobroma_cacao_20110822:5:32205473:32219109:-1 gene:TCM_025381 transcript:EOY10014 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat protein-like isoform 4 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKHFEDQVQAGEWDEVERYLCGFTKVEDNRYSMKIFFEIRKQKYLEALDRQDRAKAVEILVKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLVELKKLIEANPLFRDKLTFPAFKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCSPSTNGARPPPPTNSPLVGPIPKAGAFPPIGAHGPFQPVVSPSSGAIAGWMSSGNPSLPHAAAVAAGPPGLVQPSSAAAFLKHPRTPSGMPGMDYQSADSEQLMKRIRTGQSDEVSFAGIAHTPNVDSQDDLPKTVVRALNQGTNVMSMDFHPQHQTILLVGTNVGDISLWEVGSRERLADKPFKVWDISTASMPLQTALVNDAGISVNRCVWSPSDGHMLGVAFSKHIVQIYLYNPTGELRQHLEIDAHVGGVNDIAFAQPNKQLCIVTCGDDKMIKVWDTVAGRRHYMFESHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGQWCTTMAYSTDGTRLFSCGTSKEGESHLVEWNESEGAIKRRYAGFRKRSLGVVQFDTTRNRFLAAGDEFQIKFWDMDNTTMLTAVDADGGLPASPRLRFNKEGSLLAVTTSDNGIKILANSDGSRLIRMLESRAVDKIRGPSEPVNSKPLIVNALGPMGNAAIAPALERPDRGPPVVSITSLSTMDSSRLVDVKPRISDDADKIKGWRIPDIMDPSHLKALRLPDAITAGKVVRLLYTNSGLALLALASNAVHKLWKWQRSDRNPSGKATANVAPQLWQPPSGTLMTNDINDTKPAEESATCIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMSPPPAATYLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKTKLKGHQNRITGLAFSQTLNSLVSSGADAQLCVWSIDGWEKKKSRFIQAPSGRQSPLSGETKVQFHNDQTHLLVVHESQIAIYDSKLECLCSVSCLPCIIFLVL >EOY10015 pep chromosome:Theobroma_cacao_20110822:5:32206387:32219450:-1 gene:TCM_025381 transcript:EOY10015 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat protein-like isoform 4 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKHFEDQVQAGEWDEVERYLCGFTKVEDNRYSMKIFFEIRKQKYLEALDRQDRAKAVEILVKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLVELKKLIEANPLFRDKLTFPAFKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCSPSTNGARPPPPTNSPLVGPIPKAGAFPPIGAHGPFQPVVSPSSGAIAGWMSSGNPSLPHAAAVAAGPPGLVQPSSAAAFLKHPRTPSGMPGMDYQSADSEQLMKRIRTGQSDEVSFAGIAHTPNVDSQDDLPKTVVRALNQGTNVMSMDFHPQHQTILLVGTNVGDISLWEVGSRERLADKPFKVWDISTASMPLQTALVNDAGISVNRCVWSPSDGHMLGVAFSKHIVQIYLYNPTGELRQHLEIDAHVGGVNDIAFAQPNKQLCIVTCGDDKMIKVWDTVAGRRHYMFESHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGQWCTTMAYSTDGTRLFSCGTSKEGESHLVEWNESEGAIKRRYAGFRKRSLGVVQFDTTRNRFLAAGDEFQIKFWDMDNTTMLTAVDADGGLPASPRLRFNKEGSLLAVTTSDNGIKILANSDGSRLIRMLESRAVDKIRGPSEPVNSKPLIVNALGPMGNAAIAPALERPDRGPPVVSITSLSTMDSSRLVDVKPRISDDADKIKGWRIPDIMDPSHLKALRLPDAITAGKVVRLLYTNSGLALLALASNAVHKLWKWQRSDRNPSGKATANVAPQLWQPPSGTLMTNDINDTKPAEESATCIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMSPPPAATYLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKTKLKGHQNRITGLAFSQTLNSLVSSGADAQGWNLEGYISASKLSHIIYASKWKVELSIGGFIFLFIPNIKVDFVVYKPVLHCAFSL >EOY10013 pep chromosome:Theobroma_cacao_20110822:5:32204164:32219450:-1 gene:TCM_025381 transcript:EOY10013 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat protein-like isoform 4 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKHFEDQVQAGEWDEVERYLCGFTKVEDNRYSMKIFFEIRKQKYLEALDRQDRAKAVEILVKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLVELKKLIEANPLFRDKLTFPAFKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCSPSTNGARPPPPTNSPLVGPIPKAGAFPPIGAHGPFQPVVSPSSGAIAGWMSSGNPSLPHAAAVAAGPPGLVQPSSAAAFLKHPRTPSGMPGMDYQSADSEQLMKRIRTGQSDEVSFAGIAHTPNVDSQDDLPKTVVRALNQGTNVMSMDFHPQHQTILLVGTNVGDISLWEVGSRERLADKPFKVWDISTASMPLQTALVNDAGISVNRCVWSPSDGHMLGVAFSKHIVQIYLYNPTGELRQHLEIDAHVGGVNDIAFAQPNKQLCIVTCGDDKMIKVWDTVAGRRHYMFESHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGQWCTTMAYSTDGTRLFSCGTSKEGESHLVEWNESEGAIKRRYAGFRKRSLGVVQFDTTRNRFLAAGDEFQIKFWDMDNTTMLTAVDADGGLPASPRLRFNKEGSLLAVTTSDNGIKILANSDGSRLIRMLESRAVDKIRGPSEPVNSKPLIVNALGPMGNAAIAPALERPDRGPPVVSITSLSTMDSSRLVDVKPRISDDADKIKGWRIPDIMDPSHLKALRLPDAITAGKVVRLLYTNSGLALLALASNAVHKLWKWQRSDRNPSGKATANVAPQLWQPPSGTLMTNDINDTKPAEESATCIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMSPPPAATYLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKTKLKGHQNRITGLAFSQTLNSLVSSGADAQLCVWSIDGWEKKKSRFIQAPSGRQSPLSGETKVQFHNDQTHLLVVHESQIAIYDSKLECLCSWSPKDSLSAPISSAIYSCDGSLIYAGFCDGAVGVFDSDNLRLRCRIAPSAYIPSFSTLCSSNSAYAVVIAAHPSEPNQIALGMSDGAVHVVEPSDVELKWGTAPSQDNGPLPSSS >EOY11258 pep chromosome:Theobroma_cacao_20110822:5:38707570:38708400:-1 gene:TCM_026503 transcript:EOY11258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MSSTGASTKGGRGKPKSSKSVSRSQKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGSVTIANGGVLPNIHQTLLPKKMGKGKGDIGSASQEF >EOY11403 pep chromosome:Theobroma_cacao_20110822:5:39161943:39164349:-1 gene:TCM_026590 transcript:EOY11403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast-targeted copper chaperone-like protein MHKQEVMNMQTWVLKVNIQCSCDGCKQKIKKLLQKIDGVYSTSINAEQGKVTVSGNVDPAILIRKLEKSGKHAQLWGAQKGSNNFPNQLTNQFKNLLMDGGKGGKDNKSQKGGGNNQPKGGQQHMQQMKGSKGQKSVKFHLPEDDLDDSDDDYDEFDDEFGDEFDDEFDDDEEELGHGHGHHMQNKMVPMMGKGHGPYGPNGMINGPGKKGGGGGDNGKKGGVIDLPIGIKGMGENKDGKHGNGGKKGGGNNKGGKQGKGGGDKNGGKKGGGGLLGFFKKDKSGKDCSHKKGKNEWDGKNKGAHNGNGGHGGGNNNGNGAKKGGGKNGGGGHEMNKIKNGGFHDIDVINHGKGGGGGGGGGGGGGKNMGQMGQMGGQMGQMGYNNMGQMGYNMSQMGHMGQIGNYPMSQMGNFPAVQGLPAAAAMNAGGYYQGMGPGNPYNQQYVAMMMNQQRANGNGMYAPMMYAQQYPYANYGPPPMHAANSESYAHFFSDENTNSCSIM >EOY09458 pep chromosome:Theobroma_cacao_20110822:5:29287914:29291657:-1 gene:TCM_024876 transcript:EOY09458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative isoform 1 MTHFQKLKKPSILKWVSSYFVENQLKPPFWQTGSFHIAQRQNPRLYRTKRSVVTENSDKTMFSDGENVARIPRATLKEAQAALLEYLHSTRSIHFTDAENMSKNSPHFLQKLLKKVESEKDVGSSMTRFLRYHPINEFEPFFESLGLKPCEYSPLLPRDLMFLSDDCLLLENYRVLCNYGIERNKIGKIYKEAIQVFQHEFGVLPLKLQAYQELGLSQSFMAKVIVCGPHLLIGDVDMKFIKVLEILRSVGFDYAWIEEHLSEHDSYNWSMILRVLNFFSEMGGRSELHGLISQHPGLLFEGSGYRMLSLIAFLLKFGSPLDQISSTFLQFPEIQVGQFVSNFIKCFLFLHEIEMEVNEIGKIVCSYPLLLGSIMLKKTNSLLGNLNVGKRRLCKYIQENPQELSKWVMGKRVVRLPDSGEDIKSQRLRMKFLLDLGYGENPNMIKKALKVFRGRGGELQERFDSIVNAGLDKKDVSEMVRVSPQILNQSKDIIQKKINILVNELGYPLSSLVSFPSYLSYTTQRVRLRLAMYSWLKDQGKAEPDLALSTIVACSDKLFLRQYVNHHPSGPQVWQDLKGIDIVREE >EOY09459 pep chromosome:Theobroma_cacao_20110822:5:29284462:29291932:-1 gene:TCM_024876 transcript:EOY09459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative isoform 1 MTHFQKLKKPSILKWVSSYFVENQLKPPFWQTGSFHIAQRQNPRLYRTKRSVVTENSDKTMFSDGENVARIPRATLKEAQAALLEYLHSTRSIHFTDAENMSKNSPHFLQKLLKKVESEKDVGSSMTRFLRYHPINEFEPFFESLGLKPCEYSPLLPRDLMFLSDDCLLLENYRVLCNYGIERNKIGKIYKEAIQVFQHEFGVLPLKLQAYQELGLSQSFMAKVIVCGPHLLIGDVDMKFIKVLEILRSVGFDYAWIEEHLSEHDSYNWSMILRVLNFFSEMGGRSELHGLISQHPGLLFEGSGYRMLSLIAFLLKFGSPLDQISSTFLQFPEIQVGQFVSNFIKCFLFLHEIEMEVNEIGKIVCSYPLLLGSIMLKKTNSLLGNLNVGKRRLCKYIQENPQELSKWVMGKRVVRLPDSGEDIKSQRLRMKFLLDLGYGENPNMIKKALKVFRGRGGELQERFDSIVNAGLDKKDVSEMVRVSPQILNQSKDIIQKKINILVNELGYPLSSLVSFPSYLSYTTQRVRLRLAMYSWLKDQGKAEPDLALSTIVACSDKLFLRQYVNHHPSGPQVWQDLKETFFNCLK >EOY11672 pep chromosome:Theobroma_cacao_20110822:5:40002151:40010823:-1 gene:TCM_026774 transcript:EOY11672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-regulated protein 3 isoform 3 MAIVAVTNSQLSFNLFNLSVTRREASISRQAASRIRHRFSSSRWLNLNSPSTTRHSYSFRSLKSRCSITNTDVQFNHVATEDEVPEDISATEIGCCCSISIVQLKPDVLETESLSILTGDTYVDSLLTTLPVLSEEEQKALAATPAHPEGLYAFYASCLAGNLVEQLWNFAWPSAIALLHPSLLPVAVMGFFTKLVIIVGGPLVGKLMDHSPRVPSYIFLNVVQAAAQLLSASMIIHAHMVSPASASSVLRHPWFAVLVLAGAIERLSGVALGVAMERDWVVLLAGINRPIALAQANAVLNRINLLCEIAGTLLFGILLSKYDPVTCLKFAAGLMMGSLPVMISLTWLTNKLSTGVLDRARCSQSCCRTSDEGQLPDADNFVNTGLEAIKLGWREYIQQPVLPASLAFKSICYWEF >EOY11670 pep chromosome:Theobroma_cacao_20110822:5:40005941:40011091:-1 gene:TCM_026774 transcript:EOY11670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-regulated protein 3 isoform 3 MAIVAVTNSQLSFNLFNLSVTRREASISRQAASRIRHRFSSSRWLNLNSPSTTRHSYSFRSLKSRCSITNTDVQFNHVATEDEVPEDISATEIGCCCSISIVQLKPDVLETESLSILTGDTYVDSLLTTLPVLSEEEQKALAATPAHPEGLYAFYASCLAGNLVEQLWNFAWPSAIALLHPSLLPVAVMGFFTKLVIIVGGPLVGKLMDHSPRVPSYIFLNVVQAAAQLLSASMIIHAHMVSPASASSVLRHPWFAVLVLAGAIERLSGVALGVAMERDWVVLLAGINRPIALAQANAVLNRINLLCEIAGTLLFGILLSKYDPVTCLKFAAGLMMGSLPVMISLTWLTNKLSTGVLDRARCSQSCCRTSDEGQLPDADNFVNTGLEAIKLGWREYIQQPVLPASLAYVLLYLNVVLTPGSLMTAFLTQRGLNPSVIGSFSGLCAFMGVGATFLSATLVRRFGILKAGAVGLIFQASLLTIAVAVYQSGSLSQKGPLLFFLCLIVLSRFGHMSYDIVGAQILQTGIPSSKANLIGTTEISVASLAESVMLGVAIIANDVSHFGFLAMLSLLSAVGAAWMFCRWLLNPTEEQRNLFSFDPQFQL >EOY11673 pep chromosome:Theobroma_cacao_20110822:5:40007694:40010542:-1 gene:TCM_026774 transcript:EOY11673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-regulated protein 3 isoform 3 MAIVAVTNSQLSFNLFNLSVTRREASISRQAASRIRHRFSSSRWLNLNSPSTTRHSYSFRSLKSRCSITNTDVQFNHVATEDEVPEDISATEIGCCCSISIVQLKPDVLETESLSILTGDTYVDSLLTTLPVLSEEEQKALAATPAHPEGLYAFYASCLAGNLVEQLWNFAWPSAIALLHPSLLPVAVMGFFTKLVIIVGGPLVGKLMDHSPRVPSYIFLNVVQAAAQLLSASMIIHAHMVSPASASSVLRHPWFAVLVLAGAIERLSGVALGVAMERDWVVLLAGINRPIALAQANAVLNRINLLCEIAGTLLFGILLSKYDPVTCLKFAAGLMMGSLPVMISLTWLTNKLSTGVLDRARCSQSCCRTSDEGQLPDADNFVNTGLEAIKLGWREYIQQPVLPASLAYVLLYLNVVLTPGSLMTAFLTQRGIFLSITTYLDAIESYCTRKDNKSKKKKKGKKKGVQRRGAYYYHHLEDMLTVFWSKALHALICLK >EOY11671 pep chromosome:Theobroma_cacao_20110822:5:40002151:40011077:-1 gene:TCM_026774 transcript:EOY11671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-regulated protein 3 isoform 3 MAIVAVTNSQLSFNLFNLSVTRREASISRQAASRIRHRFSSSRWLNLNSPSTTRHSYSFRSLKSRCSITNTDVQFNHVATEDEVPEDISATEIGCCCSISIVQLKPDVLETESLSILTGDTYVDSLLTTLPVLSEEEQKALAATPAHPEGLYAFYASCLAGNLVEQLWNFAWPSAIALLHPSLLPVAVMGFFTKLVIIVGGPLVGKLMDHSPRVPSYIFLNVVQAAAQLLSASMIIHAHMVSPASASSVLRHPWFAVLVLAGAIERLSGVALGVAMERDWVVLLAGINRPIALAQANAVLNRINLLCEIAGTLLFGILLSKYDPVTCLKFAAGLMMGSLPVMISLTWLTNKLSTGVLDRARCSQSCCRTSDEGQLPDADNFVNTGLEAIKLGWREYIQQPVLPASLAYVLLYLNVVLTPGSLMTAFLTQRGLNPSVIGSFSGLCAFMGVGATFLSATLVRRFGILKAGAVGLIFQASLLTIAVAVYQSGSLSQKGPLLFFLCLIVLSRFGHMSYDIVGAQILQTGIPSSKANLIGTTEISVASLAESVMLGVAIIANDVSHFGFLAMLSLLSAVGAAWMFCRWLLNPTEEQRNLFSFDPQFQL >EOY11666 pep chromosome:Theobroma_cacao_20110822:5:39995288:39999118:1 gene:TCM_026771 transcript:EOY11666 gene_biotype:protein_coding transcript_biotype:protein_coding description:20S proteasome beta subunit G1 MNFMDSKQPESGLLGPESDSQRTLYPYVTGTSVVALKYKDGILMAADMGGSYGSTLRYKSVERMKPIGKHSLLGASGEISDFQEILRFLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKNGQKYLGTVSMIGVNFEDNHVATGFGNHLARPILRQEWHENLSFEDGVKLLEKCMRVLLYRDRSAVNKLQIAKITEEGMTISQPYSLKTHWELSAFQNPTQGAVGSW >EOY10039 pep chromosome:Theobroma_cacao_20110822:5:32592885:32603053:-1 gene:TCM_025424 transcript:EOY10039 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMV resistance protein N, putative MTSFNASNPRYESQFIQDIIKQVQTKLHRTALYVPPYLVGIDFLVTCINWWLGDGSNKVGIATICGIGGIGKTTIAKVVYNLNIQKFEGYSFLADVRETTEERNGLVRLQRQLISDILKGKANKIYNSDDGITKIKEAICRRRVLLVLDDVDDSDKITKIIGAQIPFHLGSKIIITSRHRCLLSDPFIRQMFDLEVSSSYGELCKVFEVKELAFNESLQLFNRYAFGQNDPIDSYMEYARSVVKHCGGLPLALQVLGSSLSGKSITTWRNALEKLKAIPDSKIQKILRISYDSLQDDHDKNLFLDIACVFVGKDRDYTTTILDGCDYYTTIGIENLMNRSLLVVNEKNKLMMHQMIKDIGRNIIRQESPDLGKRSRLWHKDAFDALRGKIVRKTSFSSTFFYATYFVMSYFFLTKLFFLQQGTKTITCLTIDLRRLLEEKYGKTIADHSKYPFLMSNEVDIEIEAFAKMQRLKLLQLDYVKLKRDYKDFPRSLIWLCWHGFPQEYLSTNLDISRLVVLEMCNSSLKHVWSDTKYFLPNLKILNLSHSHGLFKILNLSGLHSLQRLMLKHCIKLIEVDQSIGEIKTLIVLNLKGCKSLKKLPRTIGSLESLEELILSGCSTFDDVPRDLQNMKSLRVLSLDGTAIYESNSWLSWLSLKRSKELGFFWASLPCSLVKLSLENCRLSDDAMPVDLSYLPSLKSLNLSRNPIHSLPESIIRLTKLDELLLTCCKELQWLPKLPTSGLSKIMVKSLIRFISSLPCLLNFKKCIVFGCEKLTEVEDVFKLEPIENFEVEQIESLFNMNSIRSIELQIYNYITDTKMVATPQVIYDSGITSCFVSGSEVPILFEHHFEGSKISFSLSQNPGEKVSWLNLCVVYSLVSHEIFEFTPSVHIANETKQLKWLYVSSFIGIPETNSNTILWLIHWPVKDYQMENGDLVSCKLVSSGLDIKEFGVTYGSEKKAIHENDSRLCYQENEDIWREIEIKDEELLKLGSSGNIKMQIDNDLEESKMIASPKVLYDYGIISIFDTSELLESSYGHHAHYAIGTKVSFVVAQRPAQHIGYLNLVAFLVAEDDKIFDFLSRIEIVNKTKDNKWIYYKRFTGIPQVKNNIFWLSSWRFMGELEDGDQVSCTVFSDLCVKGCVIDFIYEPDNDLIRKCNFGHQHLQSKTLNSYWLFPLFVHHLFKSQRTFYRIQNLDKLE >EOY09009 pep chromosome:Theobroma_cacao_20110822:5:24710235:24717232:-1 gene:TCM_024342 transcript:EOY09009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strictosidine synthase-like 2, putative MKYEQESKQVRVVLNNLSFPNGVALSNGGSFLVFAETTKCRILRYWLNTSKAETLETFAQLPGFPDNIIRSPRGGFWVAMHSRRDKILAWILSFPRLGNALVRLPIDVTKAYLVLSKYRGSGLAMTLSEDGEILETIEDKNGNKISEVHEKDGNLWIGSIDMPFVVHSTKHRDLSTYIEGTKHSLKCGYSLLMSSWLNSNSNLCEPYDVLRVRGTRKPRRRAIVTNPRGTDLRR >EOY11543 pep chromosome:Theobroma_cacao_20110822:5:39559326:39562936:-1 gene:TCM_026683 transcript:EOY11543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MDGIQHRMVNVNGITMHVAEKGQGPVILFLHGFPELWYTWRHQILALSSLGYHTVAPDLRGYGDTEASTCVTSYTCLHIVGDLIALIDSLGVEQVFLVAHDWGAIIGWYLCMFRPDRVKAFVCLSVPFMPRKPKMKPVESMRLLFGEDYYICRFQEPGKIEAEIAHVGTSEVLKKVLSTREPGPPRLPKDDAFGIKPDTPVTLPSWFSEEDLSYYANKFNQKGFTGGLNYYRALDLNWELTAPWTNVQVKLPVKFIVGDLDTVYTTPGIKEYVQSGGFKRDVPLLDEVVVMEGVGHFINQERAEEINSLIYDFIKKF >EOY08518 pep chromosome:Theobroma_cacao_20110822:5:10074651:10075336:1 gene:TCM_023049 transcript:EOY08518 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MNSTTDSGGFLGSNNIGGFGYGIGVSVGILLLITTITLASYFCTRTPPPQAPPRARQEPTLDPESLVVDLGLDEETIKSYPKLLYSEAKLHNKDSTSSCCSICLADYKSSDTLRLLPDCNHLFHLKCVDPWLRLHPTCPVCRTSPIPTPLSTPLAEVVPLSSRPGG >EOY08339 pep chromosome:Theobroma_cacao_20110822:5:6662597:6665881:-1 gene:TCM_022696 transcript:EOY08339 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTG1-like protein MQSPSEKKAGVYTYIGQWPIYSLAWSVRNDKRSRLAIGSFLEDYSNKVELVQFNLDTSDFTTDSRLIFDHPYAPTNLLFFPSENTSNPDMIATSGDYLRLWEIHDDHIELKALFNGNKSSEFNSAITSFDWADFDTRRVATSSVDTTCTIWNIERETVDTQLVAHDKEVFDISWGGFNVFASVSGDGSIRVFDLRDKERSTIIYENPVPETPLLRLEWNKADPRFMATVGMDSNKIVIVDIRFPTIPLVELCKHKGSVNAISWAPFIGKQLCSAGDDSRALIWEAVGSNLRSENGGDMEPEMWYGSAAEINHARWSPVELDWIAIVFLNKLQLLKV >EOY07977 pep chromosome:Theobroma_cacao_20110822:5:3703097:3710626:-1 gene:TCM_022303 transcript:EOY07977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl inositol monophosphate 5 kinase isoform 1 MSGPVATVENVEGALSCAERTKSLDAITDIENGSILTNGDAVHSSETAGFRVGELLLPNGDSYSGSLLGNVPEGRGKYVWQGGCVYEGEWSCGMRHGTGKIQWPTGTVYDGEFSGGYMHGTGTYIGSNKLTYKGRWKLNLKHGLGYQVYPNGDVFEASWIQGTTEGPGKYTWANGNVYLGNMKGGKMSGKGTLTWTNGDTFEGSWLNGMMHGFGVYTWSDGGFYVGTWTRGLKDGKGSFYPKSSRLPALQEVYLNALRKRGLLPDLRKQVHAHIHHASSIDMGSIKVGGNRVSHRNSNKLSEGNLLNLEQSRNRNVSLERRWSLEVSIEKVIGHDSSFELSDSFTEGGEKGSETNAPILEREYMQGVLISELVLNNSFSPSSRRAKRRQKKLAKDIKRPGETIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRASDFGPRASFWMNFPKEGSQLTPPHQSEDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVKVLLRMLPNYHHHVRSYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSADNVEIDENTTLKDLDLNYCFYLEPSWQEALLRQIEIDSKFLEAQRIMDYSLLLGVHYRAPQHLRSLMSYNTADGLGSVAEEEEDEISNYPQGLVLVPRGTDDNSVVAGSHIRGRRLRASAAGDEEVDLLLPGTARLQIQLGVNMPARAEQIPGKEENTFHEAYDVVLYLGIIDILQEYNMTKKIEHAYKSLQFDSVSISAVDPTFYSQRFLNFIQKVFPPNSTEN >EOY07979 pep chromosome:Theobroma_cacao_20110822:5:3704600:3710489:-1 gene:TCM_022303 transcript:EOY07979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl inositol monophosphate 5 kinase isoform 1 MSGPVATVENVEGALSCAERTKSLDAITDIENGSILTNGDAVHSSETAGFRVGELLLPNGDSYSGSLLGNVPEGRGKYVWQGGCVYEGEWSCGMRHGTGKIQWPTGTVYDGEFSGGYMHGTGTYIGSNKLTYKGRWKLNLKHGLGYQVYPNGDVFEASWIQGTTEGPGKYTWANGNVYLGNMKGGKMSGKGTLTWTNGDTFEGSWLNGMMHGFGVYTWSDGGFYVGTWTRGLKDGKGSFYPKSSRLPALQEVYLNALRKRGLLPDLRKQVHAHIHHASSIDMGSIKVGGNRVSHRNSNKLSEGNLLNLEQSRNRNVSLERRWSLEVSIEKVIGHDSSFELSDSFTEGGEKGSETNAPILEREYMQGVLISELVLNNSFSPSSRRAKRRQKKLAKDIKRPGETIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRASDFGPRASFWMNFPKEGSQLTPPHQSEDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVKVLLRMLPNYHHHVRSYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSADNVEIDENTTLKDLDLNYCFYLEPSWQEALLRQIEIDSKFLEAQRIMDYSLLLGVHYRAPQHLRSLMSYNTADGLGSVAEEGSSHLWNLVYAIKII >EOY07981 pep chromosome:Theobroma_cacao_20110822:5:3704600:3710489:-1 gene:TCM_022303 transcript:EOY07981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl inositol monophosphate 5 kinase isoform 1 MSGPVATVENVEGALSCAERTKSLDAITDIENGSILTNGDAVHSSETAGFRVGELLLPNGDSYSGSLLGNVPEGRGKYVWQGGCVYEGEWSCGMRHGTGKIQWPTGTVYDGEFSGGYMHGTGTYIGSNKLTYKGRWKLNLKHGLGYQVYPNGDVFEASWIQGTTEGPGKYTWANGNVYLGNMKGGKMSGKGTLTWTNGDTFEGSWLNGMMHGFGVYTWSDGGFYVGTWTRGLKDGKGSFYPKSSRLPALQEVYLNALRKRGLLPDLRKQVHAHIHHASSIDMGSIKVGGNRVSHRNSNKLSEGNLLNLEQSRNRNVSLERRWSLEVSIEKVIGHDSSFELSDSFTEGGEKGSETNAPILEREYMQGVLISELVLNNSFSPSSRRAKRRQKKLAKDIKRPGETIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRASDFGPRASFWMNFPKEGSQLTPPHQSEDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVKVLLRMLPNYHHHVRSYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSADNVEIDENTTLKDLDLNYCFYLEPSWQEALLRQIEIDSKFLEAQRIMDYSLLLGVHYRAPQHLRSLMSYNTADGLGSVAEEGSSHLWNLVYAIKII >EOY07978 pep chromosome:Theobroma_cacao_20110822:5:3703097:3710489:-1 gene:TCM_022303 transcript:EOY07978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl inositol monophosphate 5 kinase isoform 1 MSGPVATVENVEGALSCAERTKSLDAITDIENGSILTNGDAVHSSETAGFRVGELLLPNGDSYSGSLLGNVPEGRGKYVWQGGCVYEGEWSCGMRHGTGKIQWPTGTVYDGEFSGGYMHGTGTYIGSNKLTYKGRWKLNLKHGLGYQVYPNGDVFEASWIQGTTEGPGKYTWANGNVYLGNMKGGKMSGKGTLTWTNGDTFEGSWLNGMMHGFGVYTWSDGGFYVGTWTRGLKDGKGSFYPKSSRLPALQEVYLNALRKRGLLPDLRKQVHAHIHHASSIDMGSIKVGGNRVSHRNSNKLSEGNLLNLEQSRNRNVSLERRWSLEVSIEKVIGHDSSFELSDSFTEGGEKGSETNAPILEREYMQGVLISELVLNNSFSPSSRRAKRRQKKLAKDIKRPGETIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRASDFGPRASFWMNFPKEGSQLTPPHQSEDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVKVLLRMLPNYHHHVRSYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSADNVEIDENTTLKDLDLNYCFYLEPSWQEALLRQIEIDSKFLEAQRIMDYSLLLGVHYRAPQHLRSLMSYNTADGLGSVAEEEEDEISNYPQGLVLVPRGTDDNSVVAGSHIRGRRLRASAAGDEEVDLLLPGTARLQIQLGVNMPARAEQIPGKEENTFHEAYDVVLYLGIIDILQEYNMTKKIEHAYKSLQFDSVSISAVDPTFYSQRFLNFIQKVFPPNSTEN >EOY07980 pep chromosome:Theobroma_cacao_20110822:5:3705173:3709429:-1 gene:TCM_022303 transcript:EOY07980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl inositol monophosphate 5 kinase isoform 1 MSGPVATVENVEGALSCAERTKSLDAITDIENGSILTNGDAVHSSETAGFRVGELLLPNGDSYSGSLLGNVPEGRGKYVWQGGCVYEGEWSCGMRHGTGKIQWPTGTVYDGEFSGGYMHGTGTYIGSNKLTYKGRWKLNLKHGLGYQVYPNGDVFEASWIQGTTEGPGKYTWANGNVYLGNMKGGKMSGKGTLTWTNGDTFEGSWLNGMMHGFGVYTWSDGGFYVGTWTRGLKDGKGSFYPKSSRLPALQEVYLNALRKRGLLPDLRKQVHAHIHHASSIDMGSIKVGGNRVSHRNSNKLSEGNLLNLEQSRNRNVSLERRWSLEVSIEKVIGHDSSFELSDSFTEGGEKGSETNAPILEREYMQGVLISELVLNNSFSPSSRRAKRRQKKLAKDIKRPGETIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRASDFGPRASFWMNFPKEGSQLTPPHQSEDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVKVLLRMLPNYHHHVRSYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSADNVEIDENTTLKDLDLNYCFYLEPSWQEALLRQIEIDSKFLEAQRIMDYSLLLGVHYRAPQHLRSLMSYNTADGLGSVAEEGF >EOY07982 pep chromosome:Theobroma_cacao_20110822:5:3703746:3709429:-1 gene:TCM_022303 transcript:EOY07982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl inositol monophosphate 5 kinase isoform 1 MSGPVATVENVEGALSCAERTKSLDAITDIENGSILTNGDAVHSSETAGFRVGELLLPNGDSYSGSLLGNVPEGRGKYVWQGGCVYEGEWSCGMRHGTGKIQWPTGTVYDGEFSGGYMHGTGTYIGSNKLTYKGRWKLNLKHGLGYQVYPNGDVFEASWIQGTTEGPGKYTWANGNVYLGNMKGGKMSGKGTLTWTNGDTFEGSWLNGMMHGFGVYTWSDGGFYVGTWTRGLKDGKGSFYPKSSRLPALQEVYLNALRKRGLLPDLRKQVHAHIHHASSIDMGSIKVGGNRVSHRNSNKLSEGNLLNLEQSRNRNVSLERRWSLEVSIEKVIGHDSSFELSDSFTEGGEKGSETNAPILEREYMQGVLISELVLNNSFSPSSRRAKRRQKKLAKDIKRPGETIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRASDFGPRASFWMNFPKEGSQLTPPHQSEDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVKVLLRMLPNYHHHVRSYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSADNVEIDENTTLKDLDLNYCFYLEPSWQEALLRQIEIDSKFLEAQRIMDYSLLLGVHYRAPQHLRSLMSYNTADGLGSVAEEEEDEISNYPQGLVLVPRGTDDNSVVAGSHIRGRRLRASAAGDEEVDLLLPGTARLQIQLGVNMPARAEQIPGKEENTFHEAYDVVLYLGIIDILQEYNMTKKIEHAYKSLQFDSVSISAVDPTFYSQRFLNFIQKVFPPNSTEN >EOY11810 pep chromosome:Theobroma_cacao_20110822:5:40430498:40436875:1 gene:TCM_026871 transcript:EOY11810 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD box RNA helicase isoform 1 MASLVGLSSSIHHTPSLDTFSISNRRITSFTAAASCLPLPFTDKAQFNALVVAGRPLLSFKHSFVPRAVATPNSVLSEQAFKGLSLHQDQDGQDVYEAAASSNHDDELDISKLGLPQRLVDSLLQRGITHLFPIQRAVFVPALQGRDIIARAKTGTGKTLAFGIPIIKRLTHDAPQQTSPRRMSGRLPRVLVLAPTRELAKQVEKEIKESAPYLNTVCVYGGVSYNTQRNALSRGVDVVVGTPGRIIDLIESSDLKLGEVEYLVLDEADQMLAVGFEEDVEEILENLPSKRQSMLFSATMPSWVKKLARKYLDNPLNIDLVGDQDEKLAEGIKLYAISTTSTAKRTILSDLITVYAKGGKTIVFTQTKRDADDVSIALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVELVIHYELPNDAETFVHRSGRTGRAGKEGSAILMFTNSQRRTVRSLERDVGCKFEFISAPAIEEVLESSAEQIVATLNGVHPHSIEFFTPTAQRLIEEEGINALAAALAHLSGFSRPPSSRSLISHEQGLVTLQLTRDSSYSRGFLSARSVTGFLSDVYPVAADEVGKVYLIADERVCVVQGAVFDLPEEIAKELLNKQTPPGNTISKITKLPPLQDDGPSSDYYGKFSSRDRSPRGISKDRRGFRGSRSWSSGRNSDDEVRG >EOY11809 pep chromosome:Theobroma_cacao_20110822:5:40430705:40438240:1 gene:TCM_026871 transcript:EOY11809 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD box RNA helicase isoform 1 MASLVGLSSSIHHTPSLDTFSISNRRITSFTAAASCLPLPFTDKAQFNALVVAGRPLLSFKHSFVPRAVATPNSVLSEQAFKGLSLHQDQDGQDVYEAAASSNHDDELDISKLGLPQRLVDSLLQRGITHLFPIQRAVFVPALQGRDIIARAKTGTGKTLAFGIPIIKRLTHDAPQQTSPRRMSGRLPRVLVLAPTRELAKQVEKEIKESAPYLNTVCVYGGVSYNTQRNALSRGVDVVVGTPGRIIDLIESSDLKLGEVEYLVLDEADQMLAVGFEEDVEEILENLPSKRQSMLFSATMPSWVKKLARKYLDNPLNIDLVGDQDEKLAEGIKLYAISTTSTAKRTILSDLITVYAKGGKTIVFTQTKRDADDVSIALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVELVIHYELPNDAETFVHRSGRTGRAGKEGSAILMFTNSQRRTVRSLERDVGCKFEFISAPAIEEVLESSAEQIVATLNGVHPHSIEFFTPTAQRLIEEEGINALAAALAHLSGFSRPPSSRSLISHEQGLVTLQLTRDSSYSRGFLSARSVTGFLSDVYPVAADEVGKVYLIADERVQGAVFDLPEEIAKELLNKQTPPGNTISKITKLPPLQDDGPSSDYYGKFSSRDRSPRGISKDRRGFRGSRSWSSGRNSDDEVRGGRSSWSRTSKSSRDDWLIGSRRSKRSSSHDRQKLWRFMFQLWTSWA >EOY11808 pep chromosome:Theobroma_cacao_20110822:5:40430586:40438701:1 gene:TCM_026871 transcript:EOY11808 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD box RNA helicase isoform 1 MASLVGLSSSIHHTPSLDTFSISNRRITSFTAAASCLPLPFTDKAQFNALVVAGRPLLSFKHSFVPRAVATPNSVLSEQAFKGLSLHQDQDGQDVYEAAASSNHDDELDISKLGLPQRLVDSLLQRGITHLFPIQRAVFVPALQGRDIIARAKTGTGKTLAFGIPIIKRLTHDAPQQTSPRRMSGRLPRVLVLAPTRELAKQVEKEIKESAPYLNTVCVYGGVSYNTQRNALSRGVDVVVGTPGRIIDLIESSDLKLGEVEYLVLDEADQMLAVGFEEDVEEILENLPSKRQSMLFSATMPSWVKKLARKYLDNPLNIDLVGDQDEKLAEGIKLYAISTTSTAKRTILSDLITVYAKGGKTIVFTQTKRDADDVSIALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVELVIHYELPNDAETFVHRSGRTGRAGKEGSAILMFTNSQRRTVRSLERDVGCKFEFISAPAIEEVLESSAEQIVATLNGVHPHSIEFFTPTAQRLIEEEGINALAAALAHLSGFSRPPSSRSLISHEQGLVTLQLTRDSSYSRGFLSARSVTGFLSDVYPVAADEVGKVYLIADERVQGAVFDLPEEIAKELLNKQTPPGNTISKITKLPPLQDDGPSSDYYGKFSSRDRSPRGISKDRRGFRGSRSWSSGRNSDDEVRGGRSSWSRTSKSSRDDWLIGSRRSKRSSSHDRSFGGSCFNCGRPGHRASDCPEKLDF >EOY11811 pep chromosome:Theobroma_cacao_20110822:5:40430498:40438226:1 gene:TCM_026871 transcript:EOY11811 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD box RNA helicase isoform 1 MASLVGLSSSIHHTPSLDTFSISNRRITSFTAAASCLPLPFTDKAQFNALVVAGRPLLSFKHSFVPRAVATPNSVLSEQAFKGLSLHQDQDGQDVYEAAASSNHDDELDISKLGLPQRLVDSLLQRGITHLFPIQRAVFVPALQGRDIIARAKTGTGKTLAFGIPIIKRLTHDAPQQTSPRRMSGRLPRVLVLAPTRELAKQVEKEIKESAPYLNTVCVYGGVSYNTQRNALSRGVDVVVGTPGRIIDLIESSDLKLGEVEYLVLDEADQMLAVGFEEDVEEILENLPSKRQSMLFSATMPSWVKKLARKYLDNPLNIDLVGDQDEKLAEGIKLYAISTTSTAKRTILSDLITVYAKGGKTIVFTQTKRDADDVSIALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVELVIHYELPNDAETFVHRSGRTGRAGKEGSAILMFTNSQRRTVRSLERDVGCKFEFISAPAIEEVLESSAEQIVATLNGVHPHSIEFFTPTAQRLIEEEGINALAAALAHLSGFSRPPSSRSLISHEQGLVTLQLTRDSSYSRGFLSARSVTGFLSDVYPVAADEVGKVYLIADERVCVQKISVHFPLKHCFDALN >EOY11104 pep chromosome:Theobroma_cacao_20110822:5:37963418:37966312:-1 gene:TCM_026378 transcript:EOY11104 gene_biotype:protein_coding transcript_biotype:protein_coding description:PITH domain-containing protein MSAVESATAIQRSQVDLLDFIDWSGVECLNQNSNHSIANALKQGYREDEGLNLESDADEQLLIYIPFTQVIKLHSIVIKGSEEEGPKTVKLFSNKEHMGFSNVNDFPSSDTAVLSPDNLKGKPTVLKYVKFQNVRSLTIFIEDNQSGSEITKVQKIALHGSTVETTDMKGLKKIEEH >EOY11613 pep chromosome:Theobroma_cacao_20110822:5:39856786:39862109:1 gene:TCM_026742 transcript:EOY11613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase isoform 5 MGFEEKAIKLQVKKDKTCQTETICVHAFNDLTYVPPVMFLYLLKECYFHGNLKATKKFRALQQQVCHVLHNSPQPGPATFVAYCLYILPIFGPYCEGFSHLIVSALHRFLKTAATTGDSLEAKSLAARLFLYIIDGFIDHDERIAVKILEVFDVKLTDVEKVLSQLKVQNDCRSDCAKIFVEQYIFGLIESQSYMTAVNLLEHFSIRQSGESFLLKMMEKKQFRAAEKWAMFMGKPMLSILVQEYADRNMLKNAYVIIKKNNLLQEFPDVHHKYKESALKKLAEKACWDVAESKTNGNRQLVEYLVYLAMEAGYSEKVDELCNRYSLEGFFKAKELEASFLHRRFLNLNELVVEDIIWVDEVDGLCKATCNIEGSKVAGLDCEWKPNYVKGSKPNKVSIMQIASDKMVFIFDLIKLYKDVPDVLDKCLSRILKSPRILKLGYNFQCDVKQLAQSYGDLECFKSYNMLLDIQNVFKDPRGGLSGLAEKILGAGLNKTRRNSDWEQRPLTRNQLEYAALDAAVLIQIFFHVRDHSHPADVSERHDKIEWKSHIVSYIDNPKKSKKESNVRKELEVEDNEP >EOY11616 pep chromosome:Theobroma_cacao_20110822:5:39858028:39860683:1 gene:TCM_026742 transcript:EOY11616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase isoform 5 MFLRSCSYTFLKNVIFMMILTGNLKATKKFRALQQQVCHVLHNSPQPGPATFVAYCLYILPIFGPYCEGFSHLIVSALHRFLKTAATTGDSLEAKSLAARLFLYIIDGFIDHDERIAVKILEVFDVKLTDVEKVLSQLKVQNDCRSDCAKIFVEQYIFGLIESQSYMTAVNLLEHFSIRQSGESFLLKMMEKKQFRAAEKWAMFMGKPMLSILVQEYADRNMLKNAYVIIKKNNLLQEFPDVHHKYKESALKKLAEKACWDVAESKTNGNRQLVEYLVYLAMEAGYSEKVDELCNRYSLEGFFKAKELEASFLHRRFLNLNELVVEDIIWVDEVDGLCKATCNIEGSKVAGLDCEWKPNYVKGSKPNKVSIMQIASDKMVFIFDLIKLYKDVPDVLDKCLSRILKSPRILKLGYNFQCDVKQ >EOY11615 pep chromosome:Theobroma_cacao_20110822:5:39856786:39861263:1 gene:TCM_026742 transcript:EOY11615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase isoform 5 MFLRSCSYTFLKNVIFMGNLKATKKFRALQQQVCHVLHNSPQPGPATFVAYCLYILPIFGPYCEGFSHLIVSALHRFLKTAATTGDSLEAKSLAARLFLYIIDGFIDHDERIAVKILEVFDVKLTDVEKVLSQLKVQNDCRSDCAKIFVEQYIFGLIESQSYMTAVNLLEHFSIRQSGESFLLKMMEKKQFRAAEKWAMFMGKPMLSILVQEYADRNMLKNAYVIIKKNNLLQEFPDVHHKYKESALKKLAEKACWDVAESKTNGNRQLVEYLVYLAMEAGYSEKVDELCNRYSLEGFFKAKEDIIWVDEVDGLCKATCNIEGSKVAGLDCEWKPNYVKGSKPNKVSIMQIASDKMVFIFDLIKLYKDVPDVLDKCLSRILKSPRILKLGYNFQCDVKQLAQSYGDLECFKSYNMLLDIQNVFKDPRGGLSGLAERKYWEQA >EOY11614 pep chromosome:Theobroma_cacao_20110822:5:39857603:39862109:1 gene:TCM_026742 transcript:EOY11614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase isoform 5 MGFEEKAIKLQVKKDKTCQTETICVHAFNDLTYVPPVMFLYLLKECYFHGNLKATKKFRALQQQVCHVLHNSPQPGPATFVAYCLYILPIFGPYCEGFSHLIVSALHRFLKTAATTGDSLEAKSLAARLFLYIIDGFIDHDERIAVKILEVFDVKLTDVEKVLSQLKVQNDCRSDCAKIFVEQYIFGLIESQSYMTAVNLLEHFSIRQSGESFLLKMMEKKQFRAAEKWAMFMGKPMLSILVQEYADRNMLKNAYVIIKKNNLLQEFPDVHHKYKESALKKLAEKACWDVAESKTNGNRQLVEYLVYLAMEAGYSEKVDELCNRYSLEGFFKAKELEASFLHRRFLNLNELVVEDIIWVDEVDGLCKATCNIEGSKVAGLDCEWKPNYVKGSKPNKVSIMQIASDKMVFIFDLIKLYKDVPDVLDKCLSRILKSPRILKLGYNFQCDVKQLAQSYGDLECFKSYNMLLDIQNVFKDPRGGLSGLAEKILGAGLNKTRRNSDWEQRPLTRNQLEYAALDAAVLIQIFFHVRDHSHPADVSERHDKIEWKSHIVSYIDNPKKSKKESNVRKELEVEDNEP >EOY11617 pep chromosome:Theobroma_cacao_20110822:5:39857577:39860683:1 gene:TCM_026742 transcript:EOY11617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase isoform 5 MGFEEKAIKLQVKKDKTCQTETICVHAFNDLTYVPPVMFLYLLKECYFHGNLKATKKFRALQQQVCHVLHNSPQPGPATFVAYCLYILPIFGPYCEGFSHLIVSALHRFLKTAATTGDSLEAKSLAARLFLYIIDGFIDHDERIAVKILEVFDVKLTDVEKVLSQLKVQNDCRSDCAKIFVEQYIFGLIESQSYMTAVNLLEHFSIRQSGESFLLKMMEKKQFRAAEKWAMFMGKPMLSILVQEYADRNMLKNAYVIIKKNNLLQEFPDVHHKYKESALKKLAEKACWDVAESKTNGNRQLVEYLVYLAMEAGYSEKVDELCNRYSLEELEASFLHRRFLNLNELVVEDIIWVDEVDGLCKATCNIEGSKVAGLDCEWKPNYVKGSKPNKVSIMQIASDKMVFIFDLIKLYKDVPDVLDKCLSRILKSPRILKLGYNFQCDVKQ >EOY09820 pep chromosome:Theobroma_cacao_20110822:5:31201315:31202078:1 gene:TCM_025196 transcript:EOY09820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSTSFILLWGFPFFPAGCRWLLVAVFLLSSSFDFDLLCCPSSFLPSLSLQQAVFVFCWCLLLPAVEKFFHSLVFSFFFYELFLDLLFLIFFL >EOY10448 pep chromosome:Theobroma_cacao_20110822:5:34869950:34880592:-1 gene:TCM_025810 transcript:EOY10448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin/Brf1-like TBP-binding protein, putative isoform 1 MVYCNNCSRNVSGERFYDGPLCCNFCGKVLEEYNFSSEPQFVKDSAGQSKLSGNFIKSVQDISDSRRRTLDKAFDDMRCMKDALSIDDYSDDVVETASRFYEIALERNFTRGRRTELVQASCLYLACRQKRKPFLLIDFSSYLSINVYELGSVYLQLCYVLYLADTKDLQKLIDPSIFIHKFTNVLIPEGNDEVVKTARDILASMKRDWMQTGRKPSGLCGAALYISALSHGLKCSKSDIIRVVHVCEATLTKRLVEFESTDSGSLTIEEFTEKERELRTSSLTKKQPNIGSKEASAHEVLCKHMDRKPFAYGLCEECYEEFMKVSGGLDGGSDPPAFQRAEKERIAKLSIEENANRASGSPFASGPEKPESIGVSEGTTKKAAFDEGDNDKLPGVDGSGDESDNFSDIDDLEVDGYLHNEEEKRFKKIIWEEMNREYLEEQAAKEAAAAAAKEANMANYDKCPEDLQAAQELAAAAAAVVAKSRKERQQKRAAEAKNSGPPQTAAEATRRMLARKRLSSKINYDALEKLFDEPVASSEKPKKQKNESHSDEKEDKVSKIGKEEGDLADEYNDVEGDGGGTKFDNNLDFENEVYDYDDDYGYDEY >EOY10449 pep chromosome:Theobroma_cacao_20110822:5:34870228:34880506:-1 gene:TCM_025810 transcript:EOY10449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin/Brf1-like TBP-binding protein, putative isoform 1 MVYCNNCSRNVSGERFYDGPLCCNFCGKVLEEYNFSSEPQFVKDSAGQSKLSGNFIKSVQDISDSRRRTLDKAFDDMRCMKDALSIDDYSDDVVETASRFYEIALERNFTRGRRTELVQASCLYLACRQKRKPFLLIDFSSYLSINVYELGSVYLQLCYVLYLADTKDLQKLIDPSIFIHKFTNVLIPEGNDEVVKTARDILASMKRDWMQTGRKPSGLCGAALYISALSHGLKCSKSDIIRVVHVCEATLTKRLVEFESTDSGSLTIEEFTEKERELRTSSLTKKQPNIGSKEASAHEVLCKHMDRKPFAYGLCEECYEEFMKVSGGLDGGSDPPAFQRAEKERIAKLSIEENANRASGSPFASGPEKPESIGVSEGTTKKAAFDEGDNDKLPGVDGSGDESDNFSDIDDLEVDGYLHNEEEKRFKKIIWEEMNREYLEEQAAKEAAAAAAKEANMANYDKCPEDLQAAQELAAAAAAVVAKSRKATKASC >EOY10450 pep chromosome:Theobroma_cacao_20110822:5:34870074:34880506:-1 gene:TCM_025810 transcript:EOY10450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin/Brf1-like TBP-binding protein, putative isoform 1 MVYCNNCSRNVSGERFYDGPLCCNFCGKVLEEYNFSSEPQFVKDSAGQSKLSGNFIKSVQDISDSRRRTLDKAFDDMRCMKDALSIDDYSDDVVETASRFYEIALERNFTRGRRTELVQASCLYLACRQKRKPFLLIDFSSYLSINVYELGSVYLQLCYVLYLADTKDLQKLIDPSIFIHKFTNVLIPEGNDEVVKTARDILASMKRDWMQTGRKPSGLCGAALYISALSHGLKCSKSDIIRVVHVCEATLTKRLVEFESTDSGSLTIEEFTEKERELRTSSLTKKQPNIGSKEASAHEVLCKHMDRKPFAYGLCEECYEEFMKVSGGLDGGSDPPAFQRAEKERIAKLSIEENANRASGSPFASGPEKPESIGVSEGTTKKAAFDEGDNDKLPGVDGSGDESDNFSDIDDLEVDGYLHNEEEKRFKKIIWEEMNREYLEEQAAKEAAAAAAKEANMANYDKCPEDLQAAQELAAAAAAVVAKSRKGCFFRETQETKERITFR >EOY09252 pep chromosome:Theobroma_cacao_20110822:5:27580954:27581918:-1 gene:TCM_024660 transcript:EOY09252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKQENSLVFFLKEYTQAIQDEPTSPSSYSTSFKTLEAMTSSDGESHPYLEDPLPEPERSQFMASSKVKVEEMDEDDTEPNNALRQATPTISKLTFTVDDIPPTKWAEQF >EOY08377 pep chromosome:Theobroma_cacao_20110822:5:7048532:7050312:-1 gene:TCM_022739 transcript:EOY08377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSVMSIQVTGFEELKNQYSSDSYFSKIIADLQGSLQAGNLPYRLHEDYLFKGNQLCIPEGSLREQIIRELHGNGLGGHFGRDKTLAMVADRYYWPKMRRDVERLVKRCPACLFGKGSAQNTSLYVPLPEPDAPWIHLKVVNRSLGNMLRCLIQNNPKTWDLVIPQAEFAYNNSVNRSIKKTPFEAAYGLKPQHVLDLVPLPQEARVSNEGKLFADHIRKIHEEVKAALKASNAEYSFTANQHRRKQEFEEGDQVFVHLRQKRFPKGTYHKLKFRKFGPCKVLKKISSNAYLIELPPELQISPIFNILDLYPFDGCDGTASTIDAQIQHLPIAKVEVIEDVLDVKEVRSRRGNPYRRLLVKWLGKPANESTWIAEEELK >EOY08683 pep chromosome:Theobroma_cacao_20110822:5:17676400:17680621:1 gene:TCM_023711 transcript:EOY08683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MANPRRISHSNNSQSVENPFQFQTQSLSYLSSVKHLLKKPQAFPFMLLLLLLLTWVSLRLQYSSPSHHEQWGKDDGDDGDFKANLFRFRSGLPSDIVKDKRGWLLNPVSLALQNGVKGGAMSCVSVHIGEIRPGCVRGNHRHYTCNETFVIWGAKTKFRVENNQIVNRGYAEVTIGEDEVAVAACPSGTAHALVNVDPLHSTFFMGCQDSTANSNSSNTDFNVWKDL >EOY08684 pep chromosome:Theobroma_cacao_20110822:5:17676243:17678860:1 gene:TCM_023711 transcript:EOY08684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MANPRRISHSNNSQSVENPFQFQTQSLSYLSSVKHLLKKPQAFPFMLLLLLLLTWVSLRLQYSSPSHHEQWGKDDGDDGDFKANLFRFRSGLPSDIVKDKRGWLLNPVSLALQNGVKGGAMSCVSVHIGEIRPGCVRGNHRHYTCNETFVIWGAKTKFRKCK >EOY09618 pep chromosome:Theobroma_cacao_20110822:5:30252894:30265998:-1 gene:TCM_025029 transcript:EOY09618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor (CPSF) A subunit protein isoform 4 MALSEEECSTAKASSSSPSSSSATASSQGVNYLAKCVLRGSVVLQVAYGHLRSPSSFDVVFGKETSIELVIMGEDGIVTSICEQTVFGTIKDLAILPWNEKVCARNPQMRGKDLLIVISDSGKLSFLTFCIEMHRFFPVAHVQLSDPGNSRHQLGRMLAVDSTGCFIATSAYEDRLALFSLSMSAGDDIIDERIFYPPENEGSVSSTRSAQRTSIRGTIWSMCFVSKDSFQPNKEHNPVLAIVLNRKGNALNELVLLGWNIKERAVYVVSQYLEAGPLAHSIVEVPHSCGFAFLLRVGDALLMDLSDAHNPHCVYRTTLNFSGHTLEEQNFIEDSFRAHDVDDEGLFNVAACALLQLSDYDPMCIDGDSGNGKFTCKHVCSFSWEPKSDRSPRMIFCLDTGEFFMIEISFDSDNPKVNISDCLYRGQPCKSLLWVDGGFLVAIVEMGDGLVLKVENERLIYTSPIQNIAPILDMSIVDYHGEKRDEMFACCGVAPEGSLRIIQSGISVEKLLKTAAIYQGITGTWTVQMKVEDSYHSFLVLSFVEETRVLSVGLSFTDVTDSVGFQPDVCTLACGLVGDGQLVQIHQNAIRLCLPTKAAHSEGIPLSSPVCTSWSPDNISISLGAVGQNLIVVSTSNPYFLFILGVRSLSAYHHEIYELQHVKLRYELSCISIPKKHFEPRHSSSSLNPVDNIHTAVLPVGVGMGITFVIGTHRPSVEILSFTPQGLRVLATGTISLASAMETAVSGCIPQDVRLVLVDQFYVLSGLRNGMLLRFEWPSAVATSSSECCSSTSPLPENVDRVLLNTKTANLFGSEICAVNVSEKDDLPINLQLIATRRIGITPVFLVPLSDSLDADIIALSDRPWLLHTARHSLSYTSISFQPSTHATPVCSAECPKGILFVTENSLHLVEMVHGNRLNVQKFHLGGTPRKVLYHSESKLLIVMRTDLSNDTCSSDICCVDPLTVSVVASFKLELGETGKCMELVRAGNEQVLVVGTSLSPGPAIMPSGEAESTKGRLIVLCIEHVQNSDSGSMTFSSMAGSSSQRNSPFCEIVGHANEQLSSSSICSSPDDTSCDGIKLEETEAWQLRLAYATTWPAMVLAICPYLDHYFLASAGNTFYVCAFLSGNPQRVRRFALARTRFMIMSLTAHSTRIAVGDCRDGILFYSYHEETKKLDQTYCDPSQRLVADCVLTDVDTAVVSDRKGSVAVLSCSDRLEDNASPERNLTLTSAYYMGEIAMSIRKGSFIYKLPADDMLNSCEGLNASVDPSHGTIMASTLLGSIMIFIPISREEHELLEAVQARLIVHPLTAPVLGNDHNEYRSCENPAGVPKILDGDMLAQFLELTSMQQEAVLSFSIVSPDTHKLSSKQPPSPIPVKKVVQLLERVHYALN >EOY09621 pep chromosome:Theobroma_cacao_20110822:5:30251912:30266158:-1 gene:TCM_025029 transcript:EOY09621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor (CPSF) A subunit protein isoform 4 MRGKDLLIVISDSGKLSFLTFCIEMHRFFPVAHVQLSDPGNSRHQLGRMLAVDSTGCFIATSAYEDRLALFSLSMSAGDDIIDERIFYPPENEGSVSSTRSAQRTSIRGTIWSMCFVSKDSFQPNKEHNPVLAIVLNRKGNALNELVLLGWNIKERAVYVVSQYLEAGPLAHSIVEVPHSCGFAFLLRVGDALLMDLSDAHNPHCVYRTTLNFSGHTLEEQNFIEDSFRAHDVDDEGLFNVAACALLQLSDYDPMCIDGDSGNGKFTCKHVCSFSWEPKSDRSPRMIFCLDTGEFFMIEISFDSDNPKVNISDCLYRGQPCKSLLWVDGGFLVAIVEMGDGLVLKVENERLIYTSPIQNIAPILDMSIVDYHGEKRDEMFACCGVAPEGSLRIIQSGISVEKLLKTAAIYQGITGTWTVQMKVEDSYHSFLVLSFVEETRVLSVGLSFTDVTDSVGFQPDVCTLACGLVGDGQLVQIHQNAIRLCLPTKAAHSEGIPLSSPVCTSWSPDNISISLGAVGQNLIVVSTSNPYFLFILGVRSLSAYHHEIYELQHVKLRYELSCISIPKKHFEPRHSSSSLNPVDNIHTAVLPVGVGMGITFVIGTHRPSVEILSFTPQGLRVLATGTISLASAMETAVSGCIPQDVRLVLVDQFYVLSGLRNGMLLRFEWPSAVATSSSECCSSTSPLPENVDRVLLNTKTANLFGSEICAVNVSEKDDLPINLQLIATRRIGITPVFLVPLSDSLDADIIALSDRPWLLHTARHSLSYTSISFQPSTHATPVCSAECPKGILFVTENSLHLVEMVHGNRLNVQKFHLGGTPRKVLYHSESKLLIVMRTDLSNDTCSSDICCVDPLTVSVVASFKLELGETGKCMELVRAGNEQVLVVGTSLSPGPAIMPSGEAESTKGRLIVLCIEHVQNSDSGSMTFSSMAGSSSQRNSPFCEIVGHANEQLSSSSICSSPDDTSCDGIKLEETEAWQLRLAYATTWPAMVLAICPYLDHYFLASAGNTFYVCAFLSGNPQRVRRFALARTRFMIMSLTAHSTRIAVGDCRDGILFYSYHEETKKLDQTYCDPSQRLVADCVLTDVDTAVVSDRKGSVAVLSCSDRLEGSEAVKSLAFSRLWSLWL >EOY09619 pep chromosome:Theobroma_cacao_20110822:5:30253493:30264286:-1 gene:TCM_025029 transcript:EOY09619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor (CPSF) A subunit protein isoform 4 NEKVCARNPQVCTETYNGSIMRGKDLLIVISDSGKLSFLTFCIEMHRFFPVAHVQLSDPGNSRHQLGRMLAVDSTGCFIATSAYEDRLALFSLSMSAGDDIIDERIFYPPENEGSVSSTRSAQRTSIRGTIWSMCFVSKDSFQPNKEHNPVLAIVLNRKGNALNELVLLGWNIKERAVYVVSQYLEAGPLAHSIVEVPHSCGFAFLLRVGDALLMDLSDAHNPHCVYRTTLNFSGHTLEEQNFIEDSFRAHDVDDEGLFNVAACALLQLSDYDPMCIDGDSGNGKFTCKHVCSFSWEPKSDRSPRMIFCLDTGEFFMIEISFDSDNPKVNISDCLYRGQPCKSLLWVDGGFLVAIVEMGDGLVLKVENERLIYTSPIQNIAPILDMSIVDYHGEKRDEMFACCGVAPEGSLRIIQSGISVEKLLKTAAIYQGITGTWTVQMKVEDSYHSFLVLSFVEETRVLSVGLSFTDVTDSVGFQPDVCTLACGLVGDGQLVQIHQNAIRLCLPTKAAHSEGIPLSSPVCTSWSPDNISISLGAVGQNLIVVSTSNPYFLFILGVRSLSAYHHEIYELQHVKLRYELSCISIPKKHFEPRHSSSSLNPVDNIHTAVLPVGVGMGITFVIGTHRPSVEILSFTPQGLRVLATGTISLASAMETAVSGCIPQDVRLVLVDQFYVLSGLRNGMLLRFEWPSAVATSSSECCSSTSPLPENVDRVLLNTKTANLFGSEICAVNVSEKDDLPINLQLIATRRIGITPVFLVPLSDSLDADIIALSDRPWLLHTARHSLSYTSISFQPSTHATPVCSAECPKGILFVTENSLHLVEMVHGNRLNVQKFHLGGTPRKVLYHSESKLLIVMRTDLSNDTCSSDICCVDPLTVSVVASFKLELGETGKCMELVRAGNEQVLVVGTSLSPGPAIMPSGEAESTKGRLIVLCIEHVQNSDSGSMTFSSMAGSSSQRNSPFCEIVGHANEQLSSSSICSSPDDTSCDGIKLEETEAWQLRLAYATTWPAMVLAICPYLDHYFLASAGNTFYVCAFLSGNPQRVRRFALARTRFMIMSLTAHSTRIAVGDCRDGILFYSYHEETKKLDQTYCDPSQRLVADCVLTDVDTAVVSDRKGSVAVLSCSDRLEDNASPERNLTLTSAYYMGEIAMSIRKGSFIYKLPADDMLNSCEGLNASVDPSHGTIMASTLLGSIMIFIPISREEHELLEAVQARLIVHPLTAPVLGNDHNEYRSCEN >EOY09620 pep chromosome:Theobroma_cacao_20110822:5:30252460:30266231:-1 gene:TCM_025029 transcript:EOY09620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor (CPSF) A subunit protein isoform 4 MALSEEECSTAKASSSSPSSSSATASSQGVNYLAKCVLRGSVVLQVAYGHLRSPSSFDVVFGKETSIELVIMGEDGIVTSICEQTVFGTIKDLAILPWNEKVCARNPQMRGKDLLIVISDSGKLSFLTFCIEMHRFFPVAHVQLSDPGNSRHQLGRMLAVDSTGCFIATSAYEDRLALFSLSMSAGDDIIDERIFYPPENEGSVSSTRSAQRTSIRGTIWSMCFVSKDSFQPNKEHNPVLAIVLNRKGNALNELVLLGWNIKERAVYVVSQYLEAGPLAHSIVEVPHSCGFAFLLRVGDALLMDLSDAHNPHCVYRTTLNFSGHTLEEQNFIEDSFRAHDVDDEGLFNVAACALLQLSDYDPMCIDGDSGNGKFTCKHVCSFSWEPKSDRSPRMIFCLDTGEFFMIEISFDSDNPKVNISDCLYRGQPCKSLLWVDGGFLVAIVEMGDGLVLKVENERLIYTSPIQNIAPILDMSIVDYHGEKRDEMFACCGVAPEGSLRIIQSGISVEKLLKTAAIYQGITGTWTVQMKVEDSYHSFLVLSFVEETRVLSVGLSFTDVTDSVGFQPDVCTLACGLVGDGQLVQIHQNAIRLCLPTKAAHSEGIPLSSPVCTSWSPDNISISLGAVGQNLIVVSTSNPYFLFILGVRSLSAYHHEIYELQHVKLRYELSCISIPKKHFEPRHSSSSLNPVDNIHTAVLPVGVGMGITFVIGTHRPSVEILSFTPQGLRVLATGTISLASAMETAVSGCIPQDVRLVLVDQFYVLSGLRNGMLLRFEWPSAVATSSSECCSSTSPLPENVDRVLLNTKTANLFGSEICAVNVSEKDDLPINLQLIATRRIGITPVFLVPLSDSLDADIIALSDRPWLLHTARHSLSYTSISFQPSTHATPVCSAECPKGILFVTENSLHLVEMVHGNRLNVQKFHLGGTPRKVLYHSESKLLIVMRTDLSNDTCSSDICCVDPLTVSVVASFKLELGETGKCMELVRAGNEQVLVVGTSLSPGPAIMPSGEAESTKGRLIVLCIEHVQNSDSGSMTFSSMAGSSSQRNSPFCEIVGHANEQLSSSSICSSPDDTSCDGIKLEETEAWQLRLAYATTWPAMVLAICPYLDHYFLASAGNTFYVCAFLSGNPQRVRRFALARTRFMIMSLTAHSTRIAVGDCRDGILFYSYHEETKKLDQTYCDPSQRLVADCVLTDVDTAVVSDRKGSVAVLSCSDRLEDNASPERNLTLTSAYYMGEIAMSIRRLEYQRYSTVTC >EOY08440 pep chromosome:Theobroma_cacao_20110822:5:8369366:8373690:1 gene:TCM_022872 transcript:EOY08440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double Clp-N motif-containing P-loop nucleoside triphosphate hydrolases superfamily protein MRAGGCTVQQALTNEAANVVKQAVTLARRRGHAQVTPLHVANTMLSASTGLLRTACIQSHSHPLQCRALELCFNVALNRLPASSSSPMLGGHSQYPSISNALVAAFKRAQAHQRRGSIENQQQPLLAVKIELEQLIISILDDPSVSRVMREAGFSSTQVKSNVEQAVSLEICSQNAPSVNSKSKESSNGNLVLSQSPSTAAQVGSKVGTPRGPDPIRNEDVMFVIENLMNKKSRSFVVIGECISSTEGVVRAVIDKVNKGDVPESLRDVKFKNLSFSSFGHLNRVEVEQKIEELKSHVRNSLGTGIVFNLGDLKWAVEYRASSSEQGRGYYCPVEHMIMELGKLVCNIGESRRFRVIGIATFQTYMRCKSCHPSLETVWGLHPLTIPAGSLRLSLITDSDLQSQSTSKKVENGSSWILLDDGEEKQLTCCADCSAKFENEVRSLQSSACNSESTTSSLPPWFQPYKDENKGLGSNDKDSAPVRELRKKWNSFCNSVHKQPYSSERTHTFSSISPPSSTSCFSHDQQYSHLHPPHHDWPVVEPRQSWKDHQFWISETVDKIVEPTGLRLYIPEHKDPKQLLSSNPNSTPNSASSSDVMEMDYVHKFKELNAENLTTLCTALEKKVPWQKDIISEIVSTILKCRSGMLRRKGKLRDGESKEETWLFFQGVDVQAKEKIARELARLVFGSQTNFVTIALSSFSSTRADSTDDSRNKRSRDEQSCSYIERFAEAVLSNPHRVFFIEDVEQADYCSQMGFKRAIETGRITNANGQEAILSDAIIILSCESFSSRSRACSPPSKQKFDGSEEEKVAALEETSPCVSLDLNICIDDDSIEEQSIDDIGLLESVDRRIIFKIQEL >EOY08133 pep chromosome:Theobroma_cacao_20110822:5:4881048:4882005:-1 gene:TCM_022470 transcript:EOY08133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQFPQPTRPVHSSDLSPVQIPQASQNVNMDEFYPGSSFPEEGFQFYFPDNLMPQPTAATEEEEKQAIDELIRVISEWYEKLPERIRSQINLDKFNVSSNNRTQQGFKVESTTIHDRNEQLEEFKHKLARFKIMSVDINTSRGVNGPGEEIRQKLDRFKIRTVQIGEVTQEEEVKR >EOY11734 pep chromosome:Theobroma_cacao_20110822:5:40159328:40163126:1 gene:TCM_026816 transcript:EOY11734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor C isoform 2 MAESRNRNVKPANGKPPPAANTYAINLDNFSKRLKILYSHWNKHNADLWGASSALVIATPPVSEDLRYLKSSALNIWLVGYEFPETIMVFLKKQIHFLCSQKKASLLDVVKKSAREAVGVEVVIHVKAKGDDGTGLMDSIFRAIYSQTNSSDHAVPVVGHISRETPEGKFLETWDEKLKNAKFELSDVTNGFSDLFAVKDETELTNVKKAAFLTSSVMRQFVVPKLEKVIDEERKVSHSALMDDTEKTILEPARIKVKLKAENIDICYPPIFQSGGEFDLKPSASSNDENLYYDSTSVIICALGSRYNSYCSNIARTFLIDANSLQSKAYEVLLKAQEAAIDALKSGNKVSSVYQAAVSVVEKDAPELAANLTKTAGTGIGLEFRESGLSLNAKNDRILKPGMVFNVSLGFQNLQTETKNPKTQKYSVLLADTVIVGEKVPDILTSKSSKAVKDVAYSFNEDDEEEEKLKVKAEDNGNDTLFSKTTLRSDNHEMSKEELRRQHQAELARQKNEETARRLAGGGAVAADNRGAVKTVGDLIAYKNVNDLPPPRDLMIQVDQKNEAILLPIYGSMVPFHVATVKSVSSQQDSNRTSYIRIIFNVPGTPFSPHDANSLKFQGSIYLKEVSFRSKDSRHIIEVVQQIKTLRRQVNSRESERAERATLVSQERLQLASAKFKPMKLHDLWIRPPFGGRGRKLTGSLEAHTNGFRYSTSRPDERVDVMFGNIKHAFFQPAEREMITLVHFHLHNHIMVGNKKTKDVQFYIEVMDIVQTLGGGKRSAYDPDEIEEEQRERDRKNKINMDFQNFVNRVNDLWGQPQFKALDLEFDQPMRELGFHGVPHKASAFIVPTSNCLVELIETPFVVITLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLNTTDLKYYESRLNLNWRPILKTITDDPEKFIEDGGWEFLNMEVSDSESENSEESDQGYEPSDVQSDSGSEDEDDDSESLVESEDDEEEDSDEDSEEDEGKTWEELER >EOY11733 pep chromosome:Theobroma_cacao_20110822:5:40158889:40164018:1 gene:TCM_026816 transcript:EOY11733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor C isoform 2 MAESRNRNVKPANGKPPPAANTYAINLDNFSKRLKILYSHWNKHNADLWGASSALVIATPPVSEDLRYLKSSALNIWLVGYEFPETIMVFLKKQIHFLCSQKKASLLDVVKKSAREAVGVEVVIHVKAKGDDGTGLMDSIFRAIYSQTNSSDHAVPVVGHISRETPEGKFLETWDEKLKNAKFELSDVTNGFSDLFAVKDETELTNVKKAAFLTSSVMRQFVVPKLEKVIDEERKVSHSALMDDTEKTILEPARIKVKLKAENIDICYPPIFQSGGEFDLKPSASSNDENLYYDSTSVIICALGSRYNSYCSNIARTFLIDANSLQSKAYEVLLKAQEAAIDALKSGNKVSSVYQAAVSVVEKDAPELAANLTKTAGTGIGLEFRESGLSLNAKNDRILKPGMVFNVSLGFQNLQTETKNPKTQKYSVLLADTVIVGEKVPDILTSKSSKAVKDVAYSFNEDDEEEEKLKVKAEDNGNDTLFSKTTLRSDNHEMSKEELRRQHQAELARQKNEETARRLAGGGAVAADNRGAVKTVGDLIAYKNVNDLPPPRDLMIQVDQKNEAILLPIYGSMVPFHVATVKSVSSQQDSNRTSYIRIIFNVPGTPFSPHDANSLKFQGSIYLKEVSFRSKDSRHIIEVVQQIKTLRRQVNSRESERAERATLVSQERLQLASAKFKPMKLHDLWIRPPFGGRGRKLTGSLEAHTNGFRYSTSRPDERVDVMFGNIKHAFFQPAEREMITLVHFHLHNHIMVGNKKTKDVQFYIEVMDIVQTLGGGKRSAYDPDEIEEEQRERDRKNKINMDFQNFVNRVNDLWGQPQFKALDLEFDQPMRELGFHGVPHKASAFIVPTSNCLVELIETPFVVITLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLNTTDLKYYESRLNLNWRPILKTITDDPEKFIEDGGWEFLNMEVSDSESENSEESDQGYEPSDVQSDSGSEDEDDDSESLVESEDDEEEDSDEDSEEDEGKTWEELEREASYADREKGDDSDSEEERKRRKMKAFGKGRVPDKRNPGGSLPKRAKLR >EOY08428 pep chromosome:Theobroma_cacao_20110822:5:8104366:8110308:-1 gene:TCM_022853 transcript:EOY08428 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F transcription factor 3 isoform 2 MSGGARASARPRPPHPPPPPSAVSSPASAGPSIVPPIRRHLAFASTKPPFVHPDDYHRFSSNNNNNYPNNSLTSASNARGAVADQEVEAIVVRSPQFKRKSTVNKNEVESSHWTTSPGVSSISNSLFQTPVSAKGGRLNNRSKASKANKSTPQTPMSNAGSLSPLTPAGSCRYDSSLGLLTKKFINLIKHAEDGMLDLNKAAETLEVQKRRIYDITNVLEGIGLIEKKLKNRIHWKGVDASRPGEADGDVSILQAEIENLSIEENRLDDQIREMQERLRDLSENENNQRWLFVTEEDIKGIPCFQNETLIAIKAPHGTTLEVPDPDEAVDYSQRRYRIILRSTMGPVDVYLVSQFEEKFEELNGVEPPVRLPLASSSGSNENQVELVNVDSTRKEVEPQAQTHQMGSDINASQESVGGMMRIVPLDIDNDADYWLLSDADVSITDMWKTDSGVEWSGVDALHADFGMADVSTPSPQTPAI >EOY08429 pep chromosome:Theobroma_cacao_20110822:5:8105816:8110245:-1 gene:TCM_022853 transcript:EOY08429 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F transcription factor 3 isoform 2 MSGGARASARPRPPHPPPPPSAVSSPASAGPSIVPPIRRHLAFASTKPPFVHPDDYHRFSSNNNNNYPNNSLTSASNARGAVADQEVEAIVVRSPQFKRKSTVNKNEVESSHWTTSPGVSSISNSLFQTPVSAKGGRLNNRSKASKANKSTPQTPMSNAGSLSPLTPAGSCRYDSSLGLLTKKFINLIKHAEDGMLDLNKAAETLEVQKRRIYDITNVLEGIGLIEKKLKNRIHWKGVDASRPGEADGDVSILQAEIENLSIEENRLDDQIREMQERLRDLSENENNQRWLFVTEEDIKGIPCFQNETLIAIKAPHGTTLEVPDPDEAVDYSQRRYRIILRSTMGPVDVYLVSQFEEKFEELNGVEPPVRLPLASSSGSNENQVELVNVDSTRKEVEPQAQTHQMGSDINASQESVGGMMRIVPLDIDNDADYWLLSDADVSITDMWKTDCILLKF >EOY08893 pep chromosome:Theobroma_cacao_20110822:5:22807355:22810030:-1 gene:TCM_024169 transcript:EOY08893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folic acid and derivative biosynthetic process isoform 1 MQGKVLKEHPPFKFFVSISGSKFREPSICEVAYKDTIKVKSVHFIGAKDWLKLPSEELATAFDNPLIIRHPQGHTVPRLDEASTEQLSNWTAKILLQSNASISNNEHELKNGETNKDDEEERPKELNTNTINNQGDASKIAGNHKELKVGEAIQAQH >EOY08891 pep chromosome:Theobroma_cacao_20110822:5:22806945:22810030:-1 gene:TCM_024169 transcript:EOY08891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folic acid and derivative biosynthetic process isoform 1 MEEAQKQKMKILCLHGFRTSGSFLHKQISKWDPSVFCHFDMDFPDGLFPAGGKSDIEGIFPPPYFEWFQFNKDFTEYTNLEECVSHLCEYITTKGPFHGLLGFSQGATLCALLLGYQAQGKVLKEHPPFKFFVSISGSKFREPSICEVAYKDTIKVKSVHFIGAKDWLKLPSEELATAFDNPLIIRHPQGHTVPRLDEASTEQLSNWTAKILLQSNASISNNEHELKNGETNKDDEEERPKELNTNTINNQGDASKIAGNHKELKVGEAIQAQH >EOY08892 pep chromosome:Theobroma_cacao_20110822:5:22809256:22809891:-1 gene:TCM_024169 transcript:EOY08892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folic acid and derivative biosynthetic process isoform 1 MEEAQKQKMKILCLHGFRTSGSFLHKQISKWDPSVFCHFDMDFPDGLFPAGGKSDIEGIFPPPYFEWFQFNKDFTEYTNLEECVSHLCEYITTKGPFHGLLGFSQVRFILMHHHLFPQQVSSRLFSLLIAPCSCCRVQLFVLFC >EOY10775 pep chromosome:Theobroma_cacao_20110822:5:36397149:36398236:1 gene:TCM_026075 transcript:EOY10775 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein MKGMRCFLVAFTLLAFANSLASAFDPSPLQDFCVAINDTKNGVFVNGKFCKDPKLAVADDFFYSGLNMPGNTSNPVGSNVTTVNVDQIPGLNTLGISLVRIDYAPYGGLNPPHTHPRGTEILVVVEGTLYVGFVTSNPENRLFTKVLYPGDVFVFPIGLIHFQFNIGKTEAVAFAGLSSQNAGVITIANAVFGSDPPINPDVLTKAFQLDKNMVAYLQSRFWSD >EOY07701 pep chromosome:Theobroma_cacao_20110822:5:2457373:2462276:-1 gene:TCM_022066 transcript:EOY07701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 7, putative isoform 4 MKVDSDGDKGLRELNHQLCDGNKRSTNGVVTEEHVTLEGDELKVNEIAQNVNDGHVGAVQAPAVLQIPQQQPQNAMVCWERFLHLRSLKVLLVENDDSTRHVVTALLRNCSYEVIEAANGLQAWKILEDLTNHIDLVLTEVVMPCLSGVGLLSKIMSHKTQKNVPVIMMSSHDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSAEKSDNNTGSNDGDDNGSIGLNFGDGSDDGSATQSSWTKQAVEVDSPRPVSPWDRVAECRDSTCAQVIHSNADLSGNKWVPVTAAKECKEQDEQLDNVAMGKDLDIGVPRNVDLQLEHPVEVPIKAIGTKQINLLEMGFSKFNEQIDNRKLDLNSETPSSKVKSEAPNQTGIISETTDLQKESADYEASNRLSKISDSNDKNINDSKELPSVDLGLKRLRGVKDAGTAFRDERNVLRRSDSSAFSRYNTASNANKVPTVNIGSSSALDNNIEETRKGSACDVRFHLLNEPPNQCSNVGSNNIDMGSTANNAFAKPAVLKNKSAASSIVRSSHPSSTFQPMKNDLSASQKVVLDKADDVNTTAGLAQPRGTHQELQMQRFPHHYDHHHHLARGIQQQQQPPEHNDLSLKKMAADAPHCGSSNVLGGPVEGNAGNYSVNGSASGSNHGSNGPNGSSTAVNTVWTNIESDNGIAGKSGSGDASGSGSGSGVDQSKSAHREAALTKFRQKRKERCFRKKVRYQSRKRLAEQRPRIRGQFVRQTGNNDDPAPEGNS >EOY07702 pep chromosome:Theobroma_cacao_20110822:5:2457066:2461084:-1 gene:TCM_022066 transcript:EOY07702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 7, putative isoform 4 MSSHDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSAEKSDNNTGSNDGDDNGSIGLNFGDGSDDGSATQSSWTKQAVEVDSPRPVSPWDRVAECRDSTCAQVIHSNADLSGNKWVPVTAAKECKEQDEQLDNVAMGKDLDIGVPRNVDLQLEHPVEVPIKAIGTKQINLLEMGFSKFNEQIDNRKLDLNSETPSSKVKSEAPNQTGIISETTDLQKESADYEASNRLSKISDSNDKNINDSKELPSVDLGLKRLRGVKDAGTAFRDERNVLRRSDSSAFSRYNTASNANKVPTVNIGSSSALDNNIEETRKGSACDVRFHLLNEPPNQCSNVGSNNIDMGSTANNAFAKPAVLKNKSAASSIVRSSHPSSTFQPMKNDLSASQKVVLDKADDVNTTAGLAQPRGTHQELQMQRFPHHYDHHHHLARGIQQQQQPPEHNDLSLKKMAADAPHCGSSNVLGGPVEGNAGNYSVNGSASGSNHGSNGPNGSSTAVNTVWTNIESDNGIAGKSGSGDASGSGSGSGVDQSKSAHREAALTKFRQKRKERCFRKKVRYQSRKRLAEQRPRIRGQFVRQTGNNDDPAPEGNS >EOY07703 pep chromosome:Theobroma_cacao_20110822:5:2457373:2461082:-1 gene:TCM_022066 transcript:EOY07703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 7, putative isoform 4 MSSHDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSAEKSDNNTGSNDGDDNGSIGLNFGDGSDDGSATQSSWTKQAVEVDSPRPVSPWDRVAECRDSTCAQVIHSNADLSGNKWVPVTAAKECKEQDEQLDNVAMGKDLDIGVPRNVDLQLEHPVEVPIKAIGTKQINLLEMGFSKFNEQIDNRKLDLNSETPSSKVKSEAPNQTGIISETTDLQKESADYEASNRLSKISDSNDKNINDSKELPSVDLGLKRLRGVKDAGTAFRDERNVLRRSDSSAFSRYNTASNANKVPTVNIGSSSALDNNIEETRKGSACDVRFHLLNEPPNQCSNVGSNNIDMGSTANNAFAKPAVLKNKSAASSIVRSSHPSSTFQPMKNDLSASQKVVLDKADDVNTTAGLAQPRGTHQELQMQRFPHHYDHHHHLARGIQQQQQPPEHNDLSLKKMAADAPHCGSSNVLGGPVEGNAGNYSVNGSASGSNHGSNGPNGSSTAVNTVWTNIESDNGIAGKSGSGDASGSGSGSGVDQSKSAHREAALTKFRQKRKERCFRKKVRYQSRKRLAEQRPRIRGQFVRQTGNNDDPAPEGNS >EOY07699 pep chromosome:Theobroma_cacao_20110822:5:2456751:2463205:-1 gene:TCM_022066 transcript:EOY07699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 7, putative isoform 4 MKVDSDGDKGLRELNHQLCDGNKRSTNGVVTEEHVTLEGDELKVNEIAQNVNDGHVGAVQAPAVLQIPQQQPQNAMVCWERFLHLRSLKVLLVENDDSTRHVVTALLRNCSYEVIEAANGLQAWKILEDLTNHIDLVLTEVVMPCLSGVGLLSKIMSHKTQKNVPVIMMSSHDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSAEKSDNNTGSNDGDDNGSIGLNFGDGSDDGSATQSSWTKQAVEVDSPRPVSPWDRVAECRDSTCAQVIHSNADLSGNKWVPVTAAKECKEQDEQLDNVAMGKDLDIGVPRNVDLQLEHPVEVPIKAIGTKQINLLEMGFSKFNEQIDNRKLDLNSETPSSKVKSEAPNQTGIISETTDLQKESADYEASNRLSKISDSNDKNINDSKELPSVDLGLKRLRGVKDAGTAFRDERNVLRRSDSSAFSRYNTASNANKVPTVNIGSSSALDNNIEETRKGSACDVRFHLLNEPPNQCSNVGSNNIDMGSTANNAFAKPAVLKNKSAASSIVRSSHPSSTFQPMKNDLSASQKVVLDKADDVNTTAGLAQPRGTHQELQMQRFPHHYDHHHHLARGIQQQQQPPEHNDLSLKKMAADAPHCGSSNVLGGPVEGNAGNYSVNGSASGSNHGSNGPNGSSTAVNTVWTNIESDNGIAGKSGSGDASGSGSGSGVDQSKSAHREAALTKFRQKRKERCFRKKVRYQSRKRLAEQRPRIRGQFVRQTGNNDDPAPEGNS >EOY07704 pep chromosome:Theobroma_cacao_20110822:5:2457754:2461082:-1 gene:TCM_022066 transcript:EOY07704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 7, putative isoform 4 MSSHDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSAEKSDNNTGSNDGDDNGSIGLNFGDGSDDGSATQSSWTKQAVEVDSPRPVSPWDRVAECRDSTCAQVIHSNADLSGNKWVPVTAAKECKEQDEQLDNVAMGKDLDIGVPRNVDLQLEHPVEVPIKAIGTKQINLLEMGFSKFNEQIDNRKLDLNSETPSSKVKSEAPNQTGIISETTDLQKESADYEASNRLSKISDSNDKNINDSKELPSVDLGLKRLRGVKDAGTAFRDERNVLRRSDSSAFSRYNTASNANKVPTVNIGSSSALDNNIEETRKGSACDVRFHLLNEPPNQCSNVGSNNIDMGSTANNAFAKPAVLKNKSAASSIVRSSHPSSTFQPMKNDLSASQKVVLDKADDVNTTAGLAQPRGTHQELQMQRFPHHYDHHHHLARGIQQQQQPPEHNDLSLKKMAADAPHCGSSNVLGGPVEGNAGNYSVNGSASGSNHGSNGPNGSSTAVNTVWTNIESDNGIAGKSGSGDASGSGSGSGVDQSKSAHREAALTKFRQKRKERCFRKKVTNIKLFNICKIVNRLKPSSHQHRFCH >EOY07705 pep chromosome:Theobroma_cacao_20110822:5:2457124:2460615:-1 gene:TCM_022066 transcript:EOY07705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 7, putative isoform 4 SSGSGSESGTQTQKSVKSKSAEKSDNNTGSNDGDDNGSIGLNFGDGSDDGSATQSSWTKQAVEVDSPRPVSPWDRVAECRDSTCAQVIHSNADLSGNKWVPVTAAKECKEQDEQLDNVAMGKDLDIGVPRNVDLQLEHPVEVPIKAIGTKQINLLEMGFSKFNEQIDNRKLDLNSETPSSKVKSEAPNQTGIISETTDLQKESADYEASNRLSKISDSNDKNINDSKELPSVDLGLKRLRGVKDAGTAFRDERNVLRRSDSSAFSRYNTASNANKVPTVNIGSSSALDNNIEETRKGSACDVRFHLLNEPPNQCSNVGSNNIDMGSTANNAFAKPAVLKNKSAASSIVRSSHPSSTFQPMKNDLSASQKVVLDKADDVNTTAGLAQPRGTHQELQMQRFPHHYDHHHHLARGIQQQQQPPEHNDLSLKKMAADAPHCGSSNVLGGPVEGNAGNYSVNGSASGSNHGSNGPNGSSTAVNTVWTNIESDNGIAGKSGSGDASGSGSGSGVDQSKSAHREAALTKFRQKRKERCFRKKVTNIKLFNICKIVNRLKPSSHQHRFCH >EOY07700 pep chromosome:Theobroma_cacao_20110822:5:2456718:2463494:-1 gene:TCM_022066 transcript:EOY07700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 7, putative isoform 4 MKVDSDGDKGLRELNHQLCDGNKRSTNGVVTEEHVTLEGDELKVNEIAQNVNDGHVGAVQAPAVLQIPQQQPQNAMVCWERFLHLRSLKVLLVENDDSTRHVVTALLRNCSYEVIEAANGLQAWKILEDLTNHIDLVLTEVVMPCLSGVGLLSKIMSHKTQKNVPVIMMSSHDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSAEKSDNNTGSNDGDDNGSIGLNFGDGSDDGSATQSSWTKQAVEVDSPRPVSPWDRVAECRDSTCAQVIHSNADLSGNKWVPVTAAKECKEQDEQLDNVAMGKDLDIGVPRNVDLQLEHPVEVPIKAIGTKQINLLEMGFSKFNEQIDNRKLDLNSETPSSKVKSEAPNQTGIISETTDLQKESADYEASNRLSKISDSNDKNINDSKELPSVDLGLKRLRGVKDAGTAFRDERNVLRRSDSSAFSRYNTASNANKVPTVNIGSSSALDNNIEETRKGSACDVRFHLLNEPPNQCSNVGSNNIDMGSTANNAFAKPAVLKNKSAASSIVRSSHPSSTFQPMKNDLSASQKVVLDKADDVNTTAGLAQPRGTHQELQMQRFPHHYDHHHHLARGIQQQQQPPEHNDLSLKKMAADAPHCGSSNVLGGPVEGNAGNYSVNGSASGSNHGSNGPNGSSTAVNTVWTNIESDNGIAGKSGSGDASGSGSGSGVDQSKSAHREAALTKFRQKRKERCFRKKVRYQSRKRLAEQRPRIRGQFVRQTGNNDDPAPEGNS >EOY11179 pep chromosome:Theobroma_cacao_20110822:5:38235460:38239676:-1 gene:TCM_026435 transcript:EOY11179 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MFISTETEQIPLPQNHVKESSTPSPMGSNPDSLNGLSPVSELPDSLPLSTVFCDVNVVPEQEKSELEQSIKNLEGEIAQLRLKQRSLDEKRRETLNKIVDIKGTIRVFCRVRPFLWTDRRRIQEPVSSELDRVVVRSSGSRKEFGFDKVFHQAANQEDIFGEVEPILRSALDGHNVCIFAYGQTGTGKTYTMDGTAVQPGIVPRALEELFHQVSLGNSSSFTFSMSMLEVYMGNLRDLLASKPASRANETVARCNLNIQTDPKGSVEIEGLTEVEIPDLAKARWWYTKGRRVRTTSWTNVNEASSRSHCLTRIIISRHGDAPEARPKVSKLWMVDLGGSERLLKTGATGQTLDEGRAINLSLSALGDVIAALRRKRGHVPYRNSKLTQILKDSLGDGSKVLMLVHISPSQEDVGETICSLSFAKRARAVESSRELPEDLKKQKEERISELEENTREAEEECQKITCQIKKAEFLLSENKKLYSTSYEIPDENEEKSTESPKEDVKDHVIETPKITQKAMKQSTHRPLPRFMTSTVASRERQSAAEREIVKRARSLRSEARSSVQFSGSQSLSFSDPRFRAFLRHSRKNSRFKDTNAPATESPRCNGSALKTISLPRSKMVTSSDPNLRVTLSRHRRRMSDFV >EOY07456 pep chromosome:Theobroma_cacao_20110822:5:1641788:1644739:-1 gene:TCM_021887 transcript:EOY07456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein, putative MFFKKLLLLFLWIKVATSSNQGFIFNGFSLQLVSGQEQSLELGGIAELRTDGLFRLTDSSTFQVGHVFYSVPFKFKSSPNANAFSFSTTFVFVIVPENSRGHGITFVLAPSKEFGQVAPGQHLGLFNFSTDGLSSNHIVAIEFDTFQNQEFADIDDNHVGVDINSLKSAKSVSAGYVYSKTGKYRPADLSCGDRMQVWVEYDGTKHQLNVTLSPVIRRSKPKVPLLSMDIDLSPIILEQMYIGFSSATGLQVASTYISGWSFQMDGVAKDLDLDKLPSVTRSRKKKSRKKQIIVAVAVPVVGVLLLAVVFSAIFFLSRKKDRFTEILEDWEVQFGPHRFPYRDLFAATGGFNEKELLGRGGCGQVYRGELPASKVQIAVKRIFDKSQQRMKEFLAEIGTIGRLRHPNLVRLLGYCRGKDELLLVYDYMPNGSLDKYLHNKPEVTLNWSQRFKIIKDVASAVAYLHEEWLEVIIHRDIKASNVLLDDDLNGKLGDFGLARCSKHAQETIHLAGTYGYIAPELAKTGRANTSTDVYAFGAFCLEVVCGRRPLMPRASAEEVHLVDWVFNCWNEGDILKTADPELNKDFAAEEIDLVLKLALLCSHTIAAYRPRMSQVISYLNGQASLPEDLDKILHTQELLEQSRDYSLTWTKDSVATMAVTESFLFAGR >EOY10466 pep chromosome:Theobroma_cacao_20110822:5:34970229:34971982:-1 gene:TCM_025825 transcript:EOY10466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase 2A, putative MDTTEMISKDIVSIVSQDKEEPWDDDEFQELVQTLPKEKNWYGTHLYFYQGFWCASRVFRAMICFQKHFQALDSDIFLTSIPKSGTTWLKALTFSIVNRNQFAREENPLLSSNPHQLVPVFEYDLYLNNPCPDLENSCPYQPRMFSTHLPYAFLPPSIKDSNSKIVYICRNPMDMFISLWFFTDKLRPDNVEPLSLDEAFEKFCQGMHDFGPFFDHVLGYWKASQENPNRILFLQYEDLKENINFHIKKLGKFLGFPFSEVEEEQGVVEEIARMCSFGNLKELDVNKNGMHTFGIAHNTLFRKAEVGNWCNYLTPSMVEYFKKLIQDKLDKSEVVFARTLGEVNKVIDSLAKLGVDRVEMFCIWLGSVTKRQDNMSNNGSQRAALFLWLVASDRLGMEDA >EOY07445 pep chromosome:Theobroma_cacao_20110822:5:1590197:1590944:1 gene:TCM_021876 transcript:EOY07445 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein, putative METKHPKVEKSDHIVWSLEDLPGQAKSYTCSFCKKGFSNAQALGGHMNIHRKDRAKLRESSEENILPLDMLKTINPPDDHSQVSEIILESSEEKSSSPRRPSKLSREDDGGSASVSPGGKVAIKTSGESEKESLRLIHGWTSQIELDLELRLGPDPHQDQTTKSTRECF >EOY09719 pep chromosome:Theobroma_cacao_20110822:5:30727232:30738618:1 gene:TCM_025110 transcript:EOY09719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol acyltransferase 3 MVGDLRLCPCFGSRGSEEPEMELDPVLLVSGMGGSILHSKRKKFGFETRVWVRILFSDLEFKKKLWSLYNPETGYTESLDDDIEILVPDDDYGLYAIDILDPSWLVKLMHLTEVYHFHDMIDMLVGCGYKKGTTLFGYGYDFRQSNRIDKLMEGLKVKLETAHKASGGRKVNIISHSMGGLLVLCFLSLHNDVFSKYVNKWITIACPFQGAPGCVNDSLLTGLQFVEGFEAYFFVSRWTMHQLLVECPSVYEMLPNPDFSWKMQPQINVWREHSEDGETSVKLESYSPTESIVLFNEALRHNELNYGGNTIALPFNISILNWAAGTRKVINNAKLPSGICFYNIYGTSFDTPFDVCYGTETSPIGDLSEICHTMPQYTYVDGDGTVPAESAMADRFAAVERAGVAASHRGLLCDKTVFKLIQKWLGVEQKVTRKYSKTSKVADASSN >EOY11682 pep chromosome:Theobroma_cacao_20110822:5:40045980:40048368:-1 gene:TCM_026783 transcript:EOY11682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 2, putative MAGKPQNLNAFLFLQKILLTKTWSKIYLQRKASNSHGFQPQFNKYPFLANGLETHSDKALMFHTEGALLKSSSVFSYFFLVAFEAGGLLRAFILFLLHPIICLVGKEWGLKIMVFVCFVGLKEESFRIGRTVLPKFFLEDVGNEGFDMVMKCGGKKIGVTDMPRVMVDCFLKDYLRVEAVVGRELKVVCGHFVGLMEEKKPSGTAFLELDELLGKENMDSSVIGISCFDNSLDQQLFSCCEVLYMVSEADKKNWQALPREKYPRPLIFHDGRLAFRPTPLASLRMFMWIPFGIFLLIVRATVGYLIPYKFSTPFLCFTGLTGTLIRPSSFPDRADDEQKQGGVLYVCNHRTLLDPIYVSIVLDKPFNAVTYSLSRMTELLAPIKTVRLTRNREEDSKMIGKLLKQGDLVICPEGTTCREPYLLRFSPLFAEITDEIVPVAIDFQVSMFYGTTASGFKFLDPFFLLMNPTSHCSASILEKLPKSLTCQGGGKSKFEVANRVQAIIAKALNFECTSLTRRDKYMILAGNQGIV >EOY11559 pep chromosome:Theobroma_cacao_20110822:5:39613606:39615195:-1 gene:TCM_026697 transcript:EOY11559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-B15, putative MLPEDCLSAILSFTTPEDAFRSSLVSSTFRSAVDSDVVWESFLPPDYPEIVLSSVSPLKFSSKKELFQCLCDPVLIDGGNKVNFLVFACIESASEIVVSISTCTSKNMGNPTMSDISRAIFKLEKSSGKKSYMLSAKELSITWSSNPLYWGWISMSESRFSRVAVLRTTDWLEIRGNIRTQILTPNTTYGAYLILKISDRAYGLDSMPSEITVEVGNQVSFGSVFLQHQERKKQREMWKLVEGNHQGVLSERGDGWMEIELGQFFSGENDEEVKMSLLEVKGCHLKGGLVIEGIEVRPKEHQ >EOY10230 pep chromosome:Theobroma_cacao_20110822:5:33588665:33600212:1 gene:TCM_025584 transcript:EOY10230 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MEIVATCSSSFATEVAKVVPIKRHVSYILDRQKNLEDFKKKAKQLEDERESVKHAVEAAERNGEKIELGVRKWLISVDQKIKEYDEKVRALEDKAKERCFNALCPNVKFYYRLGKKAQEHAKVVAELLEQGRFDRISYRPAPEGIGTMSNNYYEAFQSRGSILEGIMENLRDPILKMIGVYGMPGVGKTMLVKEVARTVREEYLFDEVVMATITHNPNITNIQGEIADMLGLRFDEESESGRAMRLRQRLRSDKKVLVILDDIWAKLDLDAIGISLEDDKNIAPDENEGSIMQNIAPDENQGSIMQNIDGSSLEKVSTVKSKILLTSRNLDVLCRMDAEKKFECRILSREEAMTLFVRIVGDVVHNPSYKPIANQVVEKCAGLPVAVSTIANTLKGMNLDIWENALRQLKRSNATNIEGMEEGVYSIIELSYERLKEEAQSLFRFCALYRQGSDIPIRNYLLRHHLGLDLLEGVRTLEEARKSVSDLVHKLKSSSLLLSGCNDEFVKMHDIVRDVSISIASQENQMFVIEEGIRMKDLLKKGKLNNCTALSLPYGDIHQELPKVLECPKLKLFLLTEDDDRQSEVPDTFFEKMNDLQVLQLNGMRFPSLPSSFLSLTNLQTLCLDFCALSDIALIANLKKLDILSLCSSKIKQLPNEIAQLTQLRLLDLSNCFKLEVIPANILSSLTCLEELHMGNSFNRWDVEGNASLVELKNLDRLTTLDVHIRDAQSLPKDLFSETKLERYKIFVGEALWDWFDKHKYSRTLKLMPNTRINWDRGIRMLLTRTEDLYVNEVQGVKSSLHELGETGFPHLKNVHVENNSEIQYIINSIRGISCEAFPLLESLFLHNLSNLKNISHAQIYVECFRSLKIIKVINCDSLKNLFSFSLAEKLFQLQEIEVTDCKNIVDIIGADRERDNEATDQIELRELRSITLQCLPQLINFRFQEKKHSTTSSIASPLFTGKMAFPLLENLKVSSINIERIWPYQLPRVSYSMQNLTSLIIEGCDNLRDVLSYSMAESLQQLKSFEVIDCRCIQQIVAMEEIKEGGNRATVSFPRLISLKLKDLHKLIGFCHENYFLEFPSLKILEIKRCLELKGFINVCIGSTTEVLFNEQVAFPNLERMTISHLRNVKRLWYNQLHTNSFCKMKELKAEYCDELLNIFPSFVLGIFHKLETLRVTDCGSLEEVFELQAQGLEIKDTCVVAFHLKELMLFRLPKLKHVWNKDPQGNISFQTLRVVNVRKCWSLKSLFPFSIAKGLPQLESLLVQQCGVEEIVSKNEGLEQEIRFEFNQLSFLKLWKLTNLKCFYPGMHTIVWPVLKNLKTHGCEEIKIFGQLEAHIQKSLFVIEKIIPQLEEVSFSSDDIAMICDGQFASHFFCHIKLLQITCYLDESAVLPVFFLQRFYNLEMLQVFGCNFKELSPYERNVGKDKEVRMLSKLRKLKLDSLQKIIHVWKRDSPLGHMCASLETLEVWKCDSLINLGVSSASFDNLISLDVWKCKGIVELITSSEAQSLVRLVTMRIRECEMMKEVVGREEDESTYEIIFRELKHVELHCLPSLRSFCSGNSSFKFPSLEQVIVSQCPRLKSFCLGALSTPKLQRVQLESTDYKGRWAGDLGATVKQLHQEKVGYQCLKHLKLSEFPELVDIWNGNPQEILDLKNLEFLEFCNSDNLGCIFNLSMALSLVRLQQLEIKKCNKMEAVIKEDGSVLDQKTRTDKIIIFPCLKSIFIEHCPDLTSFCWGSPILMECPSLKIIEVAHCPNMTTFVSIFPRDEEKNARIGDGTDRKEDDLEILPAFFCDKVVFPNLEKMTISHLRNVKRLWFNQFHADSFCKMKELKVEYCDELLNIFPSFVLGVFQRLEMLRVTDCGSLEEVFELGAQGLEIKDTCVLALQLKELYLYRLPKLKRVWNKEPQGDISFQTLHVVKVRECWSLKSLFPFSIAKGLPQLERLLVQQCAVEEIVSKNEGLEQEIRLEFNQLSFLKLWKLTNLKCFYPGMHTIVWPVLKNLKTHGCEEVKIFGQLESHIEQSLFVIEKIIPQLEEVSFSSDDIAMICDGQFASHFFCHIKLLQITCYLDESAVLPVFFLQRFYNLEMLQVFGCNFKELSPYQGNFSEDKEVRMLSKLRKLKLDSLQKITHLWKRDSPLGHMCASLETLEVWRCDSLINLGVSSASFDNLISLDVWKCKRIVELIKFSEAQSLVHLVTMRIRECEMMKEVVESERDDESTYEIIFRELKHVELHCLPSLGSFCSGNSSFKFPSLEQVIVSQCPRLKSFCLGALSTPKLQRVQLESTDYKGRWAGDLGATVEHLHQEKVGYQCLKHLKLSEFPELVDIWNRNPQEILDLKNLEFLEFCNSDNLGCIFNLSMALSLVRLRQLEIKKCNKMEAVIKEDGSVLDQEARKDKIVIFPCLKSIFIVCCPDLTSFYLGNPTLMECPSLKKIEIAHCPNMTTCGSIFPRDEEKNARIGDGIERNEDDLEILPAFFCDKYLLLDLISSCASFSILKSNFLTITHFAFHIYPFI >EOY08322 pep chromosome:Theobroma_cacao_20110822:5:6353324:6354611:-1 gene:TCM_022662 transcript:EOY08322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKPADLKQMLPDGEDGYDAVVVGSGYGGSVAACRLAGVKVCLAEKGRKWEAKDHVSCEDGESEYRCQLWPKGCFVSEIRNGQRNGNGTGIVGEASAATMLRIRSVPGQFPIAKVMKEIDYGEVEEMVQDSMKLSMNFDLGEPPSRLLMHQNLDICKACGNCLAGCPYNAKNSTDKNYLVSAIQAYPL >EOY07033 pep chromosome:Theobroma_cacao_20110822:5:250268:251160:-1 gene:TCM_021567 transcript:EOY07033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKMGSQSSSRKTIRRRSHTRKIAQGSVINMAEARREIAHALHLHRSSSSSSSSSSSTSTKTQSYVAQEREPWMLGNTTKPTLASSYCCYSLAEALPMPVPDPVWSTTEPSVPAAPSGSEAMEFFEWGENEASSYTWWLAFLRALDVNNVQKTEHPFADNVMKENSMVLEKQASSEIEKATTFVGASDQNASLDEWLMFPTTEDDS >EOY10367 pep chromosome:Theobroma_cacao_20110822:5:34384736:34387870:-1 gene:TCM_025738 transcript:EOY10367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESEDEHDLEKEEIEQMEKEREQVEAEIEQMEKEHAKDILEMELEDVEDELEENEEIPHLPARFGWISSSSDDDDDLKDFLCILIILKLAIKLLLSAIQTENVEKRKQGLGKLIEMLGDMVEISRIGFDDILKSLMISLDSEGLMVLQSILTLTSQPQLTEAQVRQLMPKLDLILMEGKINETDQMLHCLSIIVHSNFNLLGRYKLLVAKSVAYCWRQMQLYDEMVQWLEKIIKKDHCDAEDKVTVSALKQKSSLLFCLVILGIVENCSAMDQVILGELKNLNREEFAKEWQKLEVAGYSAMQHLVTYMLTASLSFCQFHQVSGKSISEIAFLSAPNGVWQDLEFEFNCILDGCDMVKITSVLFALEKILSCCLTEGSLVLRREIQKFFLFILHWVGRNTAAGETIKTKIQCRPDYVRSRDTRAAILQKLEENRSYPKISESIDLLNKEDKMKVNPNSPFPFPKSVPGQPH >EOY09074 pep chromosome:Theobroma_cacao_20110822:5:25665393:25666509:-1 gene:TCM_024443 transcript:EOY09074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSRRKTHDMRKLSLLLMSKRCTLSQRNHLTRRARENLGRRMKMLKVEMKEISKEQESIRKEQREVKEKFDMIEVECEQLRRETEFITQQSLNTQLRLCLMFQILKARETNDFTKAASLTQILREVIAKQSKEK >EOY10082 pep chromosome:Theobroma_cacao_20110822:5:32904421:32911414:-1 gene:TCM_025463 transcript:EOY10082 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein isoform 2 MDTKLANTWRLTVNEKNFIKTALLSEVRIDGRKPFEFRNIIINFGSEDGLAEVQLGQTRVMGVVTAKLVQPYQDRPNEGTLTIYTEFSPMADPSFETGRPGESAVELGRIVDRGLRESRAVDTESLCIVAGKLVWGIRIDLHILDNGGPECSLGGEDGQEVIIHPPEMIEPLPLIVHHLPIAITFGFFIDESIVVIDPTHNEEAVMAGRMIATVNANGDICAIQKAGGEGVSQSVIMQCLQLAITMAAAITKQIKEAVEAYNSKRALQKIKREPSCVGTNIKESQNQSLDNKGVELAGQYMERLKLVSRESCIGQSNDDEADSKLSALRSGTDANGINFIGGPFSWDPYSKGVDPEFLKASLASKGLSTPNKKKGSSSDEKPGEAEREEPYKDVNPKLSAVDASETEMQTKGQKTLKDAVKPKNKRKKKGSSMI >EOY10081 pep chromosome:Theobroma_cacao_20110822:5:32903876:32911319:-1 gene:TCM_025463 transcript:EOY10081 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein isoform 2 MDTKLANTWRLTVNEKNFIKTALLSEVRIDGRKPFEFRNIIINFGSEDGLAEVQLGQTRVMGVVTAKLVQPYQDRPNEGTLTIYTEFSPMADPSFETGRPGESAVELGRIVDRGLRESRAVDTESLCIVAGKLVWGIRIDLHILDNGGNLVDAANIAALAALMTFRRPECSLGGEDGQEVIIHPPEMIEPLPLIVHHLPIAITFGFFIDESIVVIDPTHNEEAVMAGRMIATVNANGDICAIQKAGGEGVSQSVIMQCLQLAITMAAAITKQIKEAVEAYNSKRALQKIKREPSCVGTNIKESQNQSLDNKGVELAGQYMERLKLVSRESCIGQSNDDEADSKLSALRSGTDANGINFIGGPFSWDPYSKGVDPEFLKASLASKGLSTPNKKKGSSSDEKPGEAEREEPYKDVNPKLSAVDASETEMQTKGQKTLKDAVKPKNKRKKKGSSMI >EOY08938 pep chromosome:Theobroma_cacao_20110822:5:23520103:23534282:-1 gene:TCM_024228 transcript:EOY08938 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators isoform 1 MERSWVEFSGIHTQHCYNSSSNSNNSSISSISSSSSDSVTTGARDLEADADSSCRQSGLSSNDQMEIDCLKMGSKRPRIGITESYGQAITVTNASSQDAYKSNIGSMEVNHSAITAVGNDQIGSNWDWDDDDRGIVMDIQSLLSEFGDFGDFFENDVLPFGEPPGTAESSAIMFAAPDCGDAGSSPAGVMDVSDQMLLPVCLPSFDSFTPHPPVAIEECLSKSQEVTSSAVTSGPLNHTLASSTGEFDHLMKAEALMTFATEYGAVETPASDSSSIFKKPYLPKSHKAESSNSSPNNYIYGATPPSSPCFDGLDEKTGMPMNLKAYPGGHDLSATYQLKKYYTPVETRKERYDGKLLTHNDSSVTNEVSGTSQFANFNSMNAVKSADRKMTQGICGKEHILLSMKTVLATDVECAMFQASMLRMRHILLSPMSLATISLSRPAGRSVLNHLPGDPSSMTDNVSSRYEVKKKESIPVRIAGDIDGGMLDGHLNAPVGVWRTVGVPKVSKPAASPSIEASPSLTHNSFNEDSILSYGQRQPLQELLDGIVLLVQQATSFVDLVLDADCGDGPYSWLALQEHWRRGFCCGPSMVHAGCGGTLASCHSLDIAGVELVDPLSANIHASSVISLLHSDIKSALKSAFGNLDGPLSVTDWCKGRGQSGDVGASADGSSAESNTNECRDSSTTVTHSVGEAMSPSQTSVGGSSVLKVTGALDGGKVEETSQRRLNQEISGSESEQQQCTRLRPTLFVLPSPAILVGYQDDWLKTSANSLQLWEKAPLEPYALPKPIIYSVICPDIDPLTSAAADFFQQLGTVYETCKLGTHSPQSLGNQMEMDSGKWSSSGFVLLDCPQSMKIESSNASLLGSISDYFLSLSNGWDLACYLKSLSKALKALKLSQFLSTNQKEGISGPCMVIYVVCPFPEPTAVLKTVVESSIAIGSIILPSDRERRSVLYSQVGKALSSSAAADEASISNIPVVSGFSVPKLVLQIVTVDAIFRVTSPPFNELVILKETAFTIYNKARRISRGSTNDVSLSSSLSSRPHSVLTPMTSIPGMWKDCVGSRIPGSSLPREGEIDSSLRGGAWDNSWQTSRAGGLSCDPNRNGDFFYQDEVCYMFEPLFILAESGSVEHGISPTAFGNSTSETSKTVSDESSGAFMQTANSAGSIDPGSGSQLDGSESDGVSSGNNKTPSLHCCYGWTEDWRWLVCIWTDARGELLDCDIFPFGGISSRQDTKGLQCLFVQVLQQGCQILQTCASPDTGVVKPRDFVITRIGNFYELEYLEWQKAIYLVGGSEVKKWPLQLRRSVPDGMPTSTNGTSLQQQEMSLIQDRTLPSSPSPLYSPHTKAGFMKGGLGQPAARKQLMGGHTLVDSCRGLLQWVQSISFVSVSVDHSLQLVFQADSLSPGTQGVSGMGQSGYTEGFTPVKSLGSTSASYMLIPSPSMRFLPPTPLQLPICLTAESPPLAHLLHSKGSAIPLSTGFVVSKAVPSVRKDCRNYTKDEWPSVLSVSLIDYCGGNNVSQDKVIRGIAKPAGRTLISEARDFEIDNHLILKSVAAELHALSWMTVSPGYLERRTALPFHCDMVLRLRRLLHFAEKELSRPPDKTQV >EOY08937 pep chromosome:Theobroma_cacao_20110822:5:23523048:23534262:-1 gene:TCM_024228 transcript:EOY08937 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators isoform 1 MRGRLSGCSPNDLVKQVYFSGSGKIRTFNGFIGLPYHPSQGSGCQLWGQNCYVKVTLGCSKSGSDKALNSNANIRNLPKHHPTEASAAGRDDQKGSLDLLSVLEKTFIYPSEAVLVPVLQTSFARSSLRRFWLQNWIGPSLAASSLLRHCDGNIDSMERSWVEFSGIHTQHCYNSSSNSNNSSISSISSSSSDSVTTGARDLEADADSSCRQSGLSSNDQMEIDCLKMGSKRPRIGITESYGQAITVTNASSQDAYKSNIGSMEVNHSAITAVGNDQIGSNWDWDDDDRGIVMDIQSLLSEFGDFGDFFENDVLPFGEPPGTAESSAIMFAAPDCGDAGSSPAGVMDVSDQMLLPVCLPSFDSFTPHPPVAIEECLSKSQEVTSSAVTSGPLNHTLASSTGEFDHLMKAEALMTFATEYGAVETPASDSSSIFKKPYLPKSHKAESSNSSPNNYIYGATPPSSPCFDGLDEKTGMPMNLKAYPGGHDLSATYQLKKYYTPVETRKERYDGKLLTHNDSSVTNEVSGTSQFANFNSMNAVKSADRKMTQGICGKEHILLSMKTVLATDVECAMFQASMLRMRHILLSPMSLATISLSRPAGRSVLNHLPGDPSSMTDNVSSRYEVKKKESIPVRIAGDIDGGMLDGHLNAPVGVWRTVGVPKVSKPAASPSIEASPSLTHNSFNEDSILSYGQRQPLQELLDGIVLLVQQATSFVDLVLDADCGDGPYSWLALQEHWRRGFCCGPSMVHAGCGGTLASCHSLDIAGVELVDPLSANIHASSVISLLHSDIKSALKSAFGNLDGPLSVTDWCKGRGQSGDVGASADGSSAESNTNECRDSSTTVTHSVGEAMSPSQTSVGGSSVLKVTGALDGGKVEETSQRRLNQEISGSESEQQQCTRLRPTLFVLPSPAILVGYQDDWLKTSANSLQLWEKAPLEPYALPKPIIYSVICPDIDPLTSAAADFFQQLGTVYETCKLGTHSPQSLGNQMEMDSGKWSSSGFVLLDCPQSMKIESSNASLLGSISDYFLSLSNGWDLACYLKSLSKALKALKLSQFLSTNQKEGISGPCMVIYVVCPFPEPTAVLKTVVESSIAIGSIILPSDRERRSVLYSQVGKALSSSAAADEASISNIPVVSGFSVPKLVLQIVTVDAIFRVTSPPFNELVILKETAFTIYNKARRISRGSTNDVSLSSSLSSRPHSVLTPMTSIPGMWKDCVGSRIPGSSLPREGEIDSSLRGGAWDNSWQTSRAGGLSCDPNRNGDFFYQDEVCYMFEPLFILAESGSVEHGISPTAFGNSTSETSKTVSDESSGAFMQTANSAGSIDPGSGSQLDGSESDGVSSGNNKTPSLHCCYGWTEDWRWLVCIWTDARGELLDCDIFPFGGISSRQDTKGLQCLFVQVLQQGCQILQTCASPDTGVVKPRDFVITRIGNFYELEYLGISVCSFCDSLHFIFLLVRKLLSIALLQFCLSVTVTCCVLQYKLCFSFYVVLFLQL >EOY08936 pep chromosome:Theobroma_cacao_20110822:5:23520093:23539871:-1 gene:TCM_024228 transcript:EOY08936 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators isoform 1 MWTNVFRIGGLSQISWFQFLPVESDLNSLPDKSIKAEQKDAATLLVLSSHLQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFIPGRHVSVQESAQSAVSRLRVVASGLWLAPGDSEEVAAALSQALRNHIERALHGFSYMRFGDVFSKYHPPQIEECFRRAQPTVEFIFAATQESIFVHTIISSKHIRALSTSDIEKVLEHSSKNSSYLLPVIISPHGMRGRLSGCSPNDLVKQVYFSGSGKIRTFNGFIGLPYHPSQGSGCQLWGQNCYVKVTLGCSKSGSDKALNSNANIRNLPKHHPTEASAAGRDDQKGSLDLLSVLEKTFIYPSEAVLVPVLQTSFARSSLRRFWLQNWIGPSLAASSLLRHCDGNIDSMERSWVEFSGIHTQHCYNSSSNSNNSSISSISSSSSDSVTTGARDLEADADSSCRQSGLSSNDQMEIDCLKMGSKRPRIGITESYGQAITVTNASSQDAYKSNIGSMEVNHSAITAVGNDQIGSNWDWDDDDRGIVMDIQSLLSEFGDFGDFFENDVLPFGEPPGTAESSAIMFAAPDCGDAGSSPAGVMDVSDQMLLPVCLPSFDSFTPHPPVAIEECLSKSQEVTSSAVTSGPLNHTLASSTGEFDHLMKAEALMTFATEYGAVETPASDSSSIFKKPYLPKSHKAESSNSSPNNYIYGATPPSSPCFDGLDEKTGMPMNLKAYPGGHDLSATYQLKKYYTPVETRKERYDGKLLTHNDSSVTNEVSGTSQFANFNSMNAVKSADRKMTQGICGKEHILLSMKTVLATDVECAMFQASMLRMRHILLSPMSLATISLSRPAGRSVLNHLPGDPSSMTDNVSSRYEVKKKESIPVRIAGDIDGGMLDGHLNAPVGVWRTVGVPKVSKPAASPSIEASPSLTHNSFNEDSILSYGQRQPLQELLDGIVLLVQQATSFVDLVLDADCGDGPYSWLALQEHWRRGFCCGPSMVHAGCGGTLASCHSLDIAGVELVDPLSANIHASSVISLLHSDIKSALKSAFGNLDGPLSVTDWCKGRGQSGDVGASADGSSAESNTNECRDSSTTVTHSVGEAMSPSQTSVGGSSVLKVTGALDGGKVEETSQRRLNQEISGSESEQQQCTRLRPTLFVLPSPAILVGYQDDWLKTSANSLQLWEKAPLEPYALPKPIIYSVICPDIDPLTSAAADFFQQLGTVYETCKLGTHSPQSLGNQMEMDSGKWSSSGFVLLDCPQSMKIESSNASLLGSISDYFLSLSNGWDLACYLKSLSKALKALKLSQFLSTNQKEGISGPCMVIYVVCPFPEPTAVLKTVVESSIAIGSIILPSDRERRSVLYSQVGKALSSSAAADEASISNIPVVSGFSVPKLVLQIVTVDAIFRVTSPPFNELVILKETAFTIYNKARRISRGSTNDVSLSSSLSSRPHSVLTPMTSIPGMWKDCVGSRIPGSSLPREGEIDSSLRGGAWDNSWQTSRAGGLSCDPNRNGDFFYQDEVCYMFEPLFILAESGSVEHGISPTAFGNSTSETSKTVSDESSGAFMQTANSAGSIDPGSGSQLDGSESDGVSSGNNKTPSLHCCYGWTEDWRWLVCIWTDARGELLDCDIFPFGGISSRQDTKGLQCLFVQVLQQGCQILQTCASPDTGVVKPRDFVITRIGNFYELEYLEWQKAIYLVGGSEVKKWPLQLRRSVPDGMPTSTNGTSLQQQEMSLIQDRTLPSSPSPLYSPHTKAGFMKGGLGQPAARKQLMGGHTLVDSCRGLLQWVQSISFVSVSVDHSLQLVFQADSLSPGTQGVSGMGQSGYTEGFTPVKSLGSTSASYMLIPSPSMRFLPPTPLQLPICLTAESPPLAHLLHSKGSAIPLSTGFVVSKAVPSVRKDCRNYTKDEWPSVLSVSLIDYCGGNNVSQDKVIRGIAKPAGRTLISEARDFEIDNHLILKSVAAELHALSWMTVSPGYLERRTALPFHCDMVLRLRRLLHFAEKELSRPPDKTQV >EOY09120 pep chromosome:Theobroma_cacao_20110822:5:26199710:26204754:-1 gene:TCM_024506 transcript:EOY09120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MHLKVSWSLNFSVHVAVILIFCFNLKSPYLLGSATFVVNGNETDRQALLQFKAKMSGDQLGIMRLWNSSVHFCQWRGVKCSQRLQRVTKLDLRALRLMGSISPFIGNLSFLRMLNLQNNSFSLGVPQEIGRLSRLQQLTLDRNFISGEIPSNLSGCSKLRRLYIGHNLLAGEIPATLSRLSSLKELGFSNNTLSGSIPPSLGNLTSLGTIYLSLNRFTGVIPESLGQLNNLTIFSVAVNEISGTVPSSLFNLSHIRCLDIGENNFQGSLPSQLGFNMPYLRIFSVSLNQLSGPFPLSITNASNLITLQVVGNKFAGNMSSFRKLEKLQSLNIADNLLGSLGANDLKFLCSLTNNTSLEFVDISDNSFGGVLPECISNLSTAITVLAMQGNYILGRIPAGIGNLFNLEVLVAGENQLSGSIPSVIGRLQKLQLFAVNVNSISGGVPSSLGNLKMLIKLYLNDNNLQGNIPPSLGKCVNLVLLDLSNNNLSGSIPSQIAGLSSLSIGLALSSNRLTGVLPSEVGNLRNLGILDVSQNMLSGVIPNDLGNCIRLELLLMRGNFFQGSIPSSLSSLRGLTNLDISNNNLTGEIPKFLVTFDSLLYLNLSHNDFEGVVPVDGVFKNVSAAFLEGNAKLCGGTPQFHLPACDSLKQHRRRSTISRKLIIAIVSALFGVILVFSFIFGFWFRKKGKRPTSPNAENPCLRLSYQMILKATNGFSSANLVGTGSFGFVYKGVLEENRTIIAVKVFKLLSHGASRSFMAECNDFKALVYEFMANGSLEDWLHPPVGVNEAEAAKSLNIFQRLNVAVDVGCALEYLHYYCETPIVHCDLKPSNILLDDKMVGHVGDFGLAKFITSDMQNNTSSLSSSLGLRGTIGYAPPEYGLGSDVTTYGDVYSYGILLLEMFTGRRPTHDMFKDNLDLHKFVKIALPSRVAEIIDPILLQEGSGEDTMINHTSKESNPKDNKHLFYLNSIVEIGVACSVELPNQRMCMTDVVAELCSIRDKLLPTRSSGPTAAGTRWYFIG >EOY11363 pep chromosome:Theobroma_cacao_20110822:5:39094470:39096491:-1 gene:TCM_026572 transcript:EOY11363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoprotein membrane precursor GPI-anchored, putative MASIKPSHHFFFVVVLAIFLLSPPVLSDDVEDQLLQGLNSFRTSMNIPSFSKNKNAHCAAEQIADDLEDQPCSNSNNETRLSDHPNAISKCHIDPNTTSDAIVLPVCVPDLVPTLVLTNFTRTHFSKYMNDSSLTGIGVSSQDDWMVVVLATNTPSGSLASGACSWHAKVGLGHYLVLSLLGLVLCLVQ >EOY07967 pep chromosome:Theobroma_cacao_20110822:5:3665217:3667891:-1 gene:TCM_022296 transcript:EOY07967 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGPD14 isoform 3 MESSFEPKMSNSSSIMENGYGEFGCSHYRRRCKIRAPCCDEIFDCRHCHNESKNSIEVNPLDRHEVPRHDIKRVICSLCDREQDVQQHCIYCGVCMGNYFCSKCNFFDDDVSKNQYHCDECGICRIGGEENFFHCNKCGCCYSTVLKNSHNCVEKAMHHNCAVCFEFLFDTTKDITVLPCGHTIHLECVKQMESHFRYSCPVCSKSYCDMSLVWERLDREVASTVMPQIYQNKMVWILCNDCGENSEVNFHIVAHKCLKCNSYNTRQTRGGAASCSSEIAEIVR >EOY07969 pep chromosome:Theobroma_cacao_20110822:5:3665856:3667473:-1 gene:TCM_022296 transcript:EOY07969 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGPD14 isoform 3 MESSFEPKMSNSSSIMENGYGEFGCSHYRRRCKIRAPCCDEIFDCRHCHNESKNSIEVNPLDRHEVPRHDIKRVICSLCDREQDVQQHCIYCGVCMGNYFCSKCNFFDDDVSKNQYHCDECGICRIGGEENFFHCNKCGCCYSTVLKNSHNCVEKAMHHNCAVCFEFLFDTTKDITVLPCGHTIHLECVKQMESHFRYSCPVCSKSYCDMSLVWERLDREACLLYLRSTYFCF >EOY07968 pep chromosome:Theobroma_cacao_20110822:5:3665243:3667702:-1 gene:TCM_022296 transcript:EOY07968 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGPD14 isoform 3 MESSFEPKMSNSSSIMENGYGEFGCSHYRRRCKIRAPCCDEIFDCRHCHNESKNSIEVNPLDRHEVPRHDIKRVICSLCDREQDVQQHCIYCGVCMGNYFCSKCNFFDDDVSKNQYHCDECGICRIGGEENFFHCNKCGCCYSTVLKNSHNCVEKAMHHNCAVCFEFLFDTTKDITVLPCGHTIHLECVKQMESHFRYSCPVCSKSYCDMSLVWERLDREVASTVMPQIYQNKMVWILCNDCGENSEVNFHIVAHKCLKCNSYNTRQTRGGAASCSSEIAEIVR >EOY09874 pep chromosome:Theobroma_cacao_20110822:5:31446401:31448624:-1 gene:TCM_025244 transcript:EOY09874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCRLGRLSTAKELFRKMCASGQVPVRHIKVAMELFHGLPAKGLKPDVYTYLLMISGLCKEGLPNEAYQLFRIMEDNDCLPDSCCYNVMIRGLLRNSYTSKAMQLLKEMVGKLWEAEKVYDIIKMTIAWRVKSKCPNDNGSIMDIVNAPSFAREFISRKPNNKNVTWETLT >EOY10101 pep chromosome:Theobroma_cacao_20110822:5:32980573:32987655:1 gene:TCM_025480 transcript:EOY10101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/U3 ribonucleoprotein family protein, putative isoform 1 MGKRGKSSKKDSRNPKRRHRDDDVVDPEDVNDEIDIFHKQRDVVPLDINGDAGDSDEDDEHPVFDLQDIDDDEEEEEEEEDDDDIDDAQVSKFAAKIARQHKLLRAKFGGVEDEMNDEDDDEDEKEEKAPWGGIKSRYYGGDNRDFELHSSDDEAPMEEEDEVKEIQKERAKNLSIEDFGLEDASEDENNRELTLEEISAKGKGGKLSLLSEEALDVLATFEEVKKDLNALSKEEQMDVVHSSAPELVGLLSELDAALEELESKVNPLLRKAKKGKIFLEGGMRYLEVKQILLLAYCQAITFYLLLKSEGHPVRDHPVLGRIMEIRGLLDKVKQLDANLPSEWEEILKNKGAEMGQQLVKESAELVSDSGTKDHGPSLVGLFLSVNNVFLGCIFACLLISHFVHQPEDTTNLPKVESASSHDKKAVKLKHENDQVGMQSREMLKVRAALEEKLKQKGIFSSNIQKPDKTKKHLKPVNGQLETYDDFADDAMDVEGGARGSSNGLASSQHSNKISQLLTAKQNKSKVVSGDDDLPRRDDIGERRRKYELRVLAGAAVKSEDDHGGEYDTSEDDRGISVEENGDTEDTKDTEDSEDDFYKQVKKQRAAKLAAKAELYTRTSVQPSLPETVDGKRLITHQIEKNRGLTRQRNKNTKNPRKKYRVFWQKILIPCIWYSIASLFEL >EOY10100 pep chromosome:Theobroma_cacao_20110822:5:32980573:32987655:1 gene:TCM_025480 transcript:EOY10100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/U3 ribonucleoprotein family protein, putative isoform 1 MGKRGKSSKKDSRNPKRRHRDDDVVDPEDVNDEIDIFHKQRDVVPLDINGDAGDSDEDDEHPVFDLQDIDDDEEEEEEEEDDDDIDDAQVSKFAAKIARQHKLLRAKFGGVEDEMNDEDDDEDEKEEKAPWGGIKSRYYGGDNRDFELHSSDDEAPMEEEDEVKEIQKERAKNLSIEDFGLEDASEDENNRELTLEEISAKGKGGKLSLLSEEALDVLATFEEVKKDLNALSKEEQMDVVHSSAPELVGLLSELDAALEELESKVNPLLRKAKKGKIFLEGGMRYLEVKQILLLAYCQAITFYLLLKSEGHPVRDHPVLGRIMEIRGLLDKVKQLDANLPSEWEEILKNKGAEMGQQLVKESAELVSDSGTKDHGPSLPEDTTNLPKVESASSHDKKAVKLKHENDQVGMQSREMLKVRAALEEKLKQKGIFSSNIQKPDKTKKHLKPVNGQLETYDDFADDAMDVEGGARGSSNGLASSQHSNKISQLLTAKQNKSKVVSGDDDLPRRDDIGERRRKYELRVLAGAAVKSEDDHGGEYDTSEDDRGISVEENGDTEDTKDTEDSEDDFYKQVKKQRAAKLAAKAELYTRTSVQPSLPETVDGKRLITHQIEKNRGLTRQRNKNTKNPRKKYRLQSKKREKKRKGQVRDIRKPVGQYGGEASGINVGISRSIRFKN >EOY11095 pep chromosome:Theobroma_cacao_20110822:5:37927413:37940525:1 gene:TCM_046808 transcript:EOY11095 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein MAEKQQRIQIPRVKLGKQGLEVSKLGFGCLGLSGTYNDPFPDDVGISIIKHAFDRGITFFDTSDIYGPKTNEILVGKALKQLPREKVQLATKFGIAKWDATGVTVNGTPEYVRASVEASLKRLDVEYIDLYYQHRVDTTTPIEDTMGELKKLVEEGKIKYIGLSEASPETITRAHAVHPITAVQMEWSLWTREIEEEIVPLCRQLGIGIVPYSPLGHGFFGGRAVEESVPANSVLGILPRFQSENLERNKILYLKVKKLAEKHGCTPAQLALAWVLHQGDDVVPIPGTTKIKNLDSNIDSLRLKLTEEDLKEISDVIPINEVAGCRTLDSFFQVSWKFANTPPKENKNS >EOY09462 pep chromosome:Theobroma_cacao_20110822:5:29292815:29297009:-1 gene:TCM_024877 transcript:EOY09462 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MEDMKKRKMEEMGNNGELSTQEELRSLLDPLAKSQLVDLLSRLGSQYPSIAEEIKSIASSDPVHRKLFVRGLAWNTTSETLCAAFQMHGEIEEGAVIYDKTSGKSRGYGFITYKHMESAQSALRAPSKLIDGRMAVCNLACEGLSGASTTPDLAQRKLYIGGLSPDVTSEILLNFFGRHGEIEEGSVAYDKDTNESRGFGFVTYKTVEAAKKAIDDPQKILGVSGQCHYMCSMACLMSDLWRRKGRTIIVKLADTHKGKPVQTQLPAAAVVPVALPMAPSYPQPGKAHPTAAPAGYTYPQTIASYPASSYPSPPAAPAPYPTQPLIPYAPLAAKKDPQGMPPTTPMGMGGYPYYIGKQ >EOY09461 pep chromosome:Theobroma_cacao_20110822:5:29292315:29297089:-1 gene:TCM_024877 transcript:EOY09461 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MEDMKKRKMEEMGNNGELSTQEELRSLLDPLAKSQLVDLLSRLGSQYPSIAEEIKSIASSDPVHRKLFVRGLAWNTTSETLCAAFQMHGEIEEGAVIYDKTSGKSRGYGFITYKHMESAQSALRAPSKLIDGRMAVCNLACEGLSGASTTPDLAQRKLYIGGLSPDVTSEILLNFFGRHGEIEEGSVAYDKDTNESRGFGFVTYKTVEAAKKAIDDPQKILGGRTIIVKLADTHKGKPVQTQLPAAAVVPVALPMAPSYPQPGKAHPTAAPAGYTYPQTIASYPASSYPSPPAAPAPYPTQPLIPYAPLAAKKDPQGMPPTTPMGMGGYPYYIGKQ >EOY09463 pep chromosome:Theobroma_cacao_20110822:5:29292315:29297089:-1 gene:TCM_024877 transcript:EOY09463 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MHGEIEEGAVIYDKTSGKSRGYGFITYKHMESAQSALRAPSKLIDGRMAVCNLACEGLSGASTTPDLAQRKLYIGGLSPDVTSEILLNFFGRHGEIEEGSVAYDKDTNESRGFGFVTYKTVEAAKKAIDDPQKILGGRTIIVKLADTHKGKPVQTQLPAAAVVPVALPMAPSYPQPGKAHPTAAPAGYTYPQTIASYPASSYPSPPAAPAPYPTQPLIPYAPLAAKKDPQGMPPTTPMGMGGYPYYIGKQ >EOY08814 pep chromosome:Theobroma_cacao_20110822:5:21342483:21344841:-1 gene:TCM_024025 transcript:EOY08814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRGDDSLDTPHSGIKGSVDSNARSQWHPDPKSQGNGQSQIPVTWIPLELEKIFAIGKVSKEKTKEAIAKGDIHPRKVSVVQHFPLGYGIGAALVSKEEYIRIQQAWIKDKIEKYQEVEEDLEEDQLVRSDQGDEDPKDA >EOY08989 pep chromosome:Theobroma_cacao_20110822:5:24577415:24578481:1 gene:TCM_024327 transcript:EOY08989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVAGNRRYWGHRNSIIVGGTLSTTEPIEKSKGERIPLIGFSNPPPLPCRSSLILHLSPPPFFLPMLGALCRLLLQASIFLLAADRFCFKVCLLWVVM >EOY08742 pep chromosome:Theobroma_cacao_20110822:5:19369850:19376069:-1 gene:TCM_023863 transcript:EOY08742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSVGITYKRPKLSKETPHGNKGVGPEFVNESKQVVLKPCKRGLGREYEIHDCSQAMHQIEHDMGYTNLDKDKHGQDTIFDSDLRLRYLAIRKEAEEIWELTKKLGLNFKERKDEVIQRNIALE >EOY07811 pep chromosome:Theobroma_cacao_20110822:5:2791269:2791902:-1 gene:TCM_022134 transcript:EOY07811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERSLTIFHPCYCGCFHHGKEYIAMSNGAVLIAKNFLALHCTGAPRAGLSEYAHVHVLRRLFILCRCWRP >EOY07712 pep chromosome:Theobroma_cacao_20110822:5:2475362:2482245:-1 gene:TCM_022071 transcript:EOY07712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MKDFVIILGGSSISTPPANLHHYHHHSSKPKKPNSSLGRLSPSRRQQNCSPSIPTVYSHQHPYPLLSSSSVRWDPTSRRSSLLKYYADLASKLAEDGRLEDFAMIVEMLVASGVNAPRIVSMLSVQFVSKGVASNVQEGKVKSVVEVLKKVEKLGIAPSKLVDGFGLVSMKREFQRIVGSGEVEQAVDLLEALRGFQFTIKELVDPSYIIKVCVDKRNPNLAVRYACLLPHAKILFCSIISEFGKKRDLASALTAYEASKKNLSGPNMYLYRAIIDACGLCGDYLKSRNIYEDLVNQRVTPNIYVFNSLMNVNAHDLGYTLDVYKDMQNLGITADMASYNILLKACCLAQRVDLAQDIYNEVKHLESTGVLKLDVFTYCTIIKVFADARLWQMALKIKEDMLSAGVTPNTVTWSSLISACANAGLVEQAFQLFEEMILTGCEPNSQCCNILLHACVEASQYDRAFRLFHCWTGGQEGFAGNIDSVLGTKQLNNRTTSTALTNSHHLSFAKKFSFTPTTATYNILMKACCTDYYRAKALMDEMKSVGLSPNHVSWSILIDICRGSGNVEGAIQILKTMHVTGIKPDVVAYTTAIKVCVGSKNLKLAFSLFEEMKRYRVQPNLVTYNTLLRARSRYGSLHEVQQCLAIYQDMRKAGYKSNDIYLKELIEEWCEGVIKENNHKREGLSSCKRTDLERPHSLLLEKIAVHLQMSTAESPAIDLRGLTKVEARIVVLAVLRMIKENHILGHSVKDDMLIILGVSERHANAAKQKSEVKDAVMKLLQDELGLEVLLVEPQVKNGLVDLQTPIDADPVLLETVGKNSLSSKPLSSTRRPVILQRLKVTRKSLNHWLWRRADVIRR >EOY09390 pep chromosome:Theobroma_cacao_20110822:5:28891576:28894004:1 gene:TCM_024819 transcript:EOY09390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger A20 and AN1 domain-containing stress-associated protein 8 isoform 4 MESHDETGCQAPEGPILCVNNCGFFGSAATMNMCSKCHKAMILKQEQVQLAASSIGSIVNGSSSGNGKEPTLAAALDVQSGIFESKNGSAEPSIDPSRMTFGGMKIKEGPNRCTTCRKRVGLTGFNCRCGNLFCAAHRYSDKHDCPFDYRTAARDAIAKANPVVRAEKLDKI >EOY09392 pep chromosome:Theobroma_cacao_20110822:5:28891928:28894064:1 gene:TCM_024819 transcript:EOY09392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger A20 and AN1 domain-containing stress-associated protein 8 isoform 4 MILMSWRLEKMESHDETGCQAPEGPILCVNNCGFFGSAATMNMCSKCHKAMILKQEQVQLAASSIGSIVNGSSSGNGKEPTLAAALDVQSGIFESKNGSAEPSIDPSRMTFGGMKIKEGPNRCTTCRKRVGLTGFNCRCGNLFCAAHRYSDKHDCPFDYRTAARDAIAKANPVVRAEKLDKI >EOY09391 pep chromosome:Theobroma_cacao_20110822:5:28891672:28894063:1 gene:TCM_024819 transcript:EOY09391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger A20 and AN1 domain-containing stress-associated protein 8 isoform 4 MESHDETGCQAPEGPILCVNNCGFFGSAATMNMCSKCHKAMILKQEQVQLAASSIGSIVNGSSSGNGKEPTLAAALDVQSGIFESKNGSAEPSIDPSRMTFGGMKIKEGPNRCTTCRKRVGLTGFNCRCGNLFCAAHRYSDKHDCPFDYRTAARDAIAKANPVVRAEKLDKI >EOY09389 pep chromosome:Theobroma_cacao_20110822:5:28891659:28893976:1 gene:TCM_024819 transcript:EOY09389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger A20 and AN1 domain-containing stress-associated protein 8 isoform 4 MESHDETGCQAPEGPILCVNNCGFFGSAATMNMCSKCHKAMILKQEQVQLAASSIGSIVNGSSSGNGKEPTLAAALDVQSGIFESKNGSAEPSIDPSRMTFGGMKIKEGPNRCTTCRKRVGLTGFNCRCGNLFCAAHRYSDKHDCPFDYRTAARDAIAKANPVVRAEKLDKI >EOY09400 pep chromosome:Theobroma_cacao_20110822:5:28942004:28946020:-1 gene:TCM_024825 transcript:EOY09400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar protein gar2-related isoform 1 MEGETSQGKEGESDSETIKDSVSSQGDPWTAEDEKGESVSAVPKVVSNGNLSDSSSCGSRMGSEPETNKLRSKALNNTSKKSEKSNGGPTKDATKSSLEKNSKTLKVSGKPSSESSEISNDKYAEEVKEIDVLDETSNGTQSFGSESEPVDAEDKFQVEDETALNEKIEEMETRIEKLEAELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHACKHWTQNKRATIAKNSVSGLILIAKSCGNDVSRLTFWLSNTIVLREIISLAFGNSCNSSPLTRLPESNGSNKRSEVKPPTLKWKGGAGSKHINGFVQLVDDWQETGTFTSALEKVESWIFSRIVESVWWQALTPHMQALHEGSSASRTVGKLLGPALGDQQQGSLSINLWKNAFQDAFQRLCPVRAEAHECGCLPVIARMVMEHCIARLDVAMFNAILRESAHEIPTDPVSDPIVDSKVLPIPAGDLSFGSGAQLKNSVGNWSRWLTDMFGMDSDDALKEDQPNSQDDFKQNGDGESKSFLFLNALSDLLMLPKDMLMDRSIRNEVYC >EOY09397 pep chromosome:Theobroma_cacao_20110822:5:28941879:28948441:-1 gene:TCM_024825 transcript:EOY09397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar protein gar2-related isoform 1 MEGETSQGKEGESDSETIKDSVSSQGDPWTAEDEKGESVSAVPKVVSNGNLSDSSSCGSRMGSEPETNKLRSKALNNTSKKSEKSNGGPTKDATKSSLEKNSKTLKVSGKPSSESSEISNDKYAEEVKEIDVLDETSNGTQSFGSESEPVDAEDKFQVEDETALNEKIEEMETRIEKLEAELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHACKHWTQNKRATIAKNSVSGLILIAKSCGNDVSRLTFWLSNTIVLREIISLAFGNSCNSSPLTRLPESNGSNKRSEVKPPTLKWKGGAGSKHINGFVQLVDDWQETGTFTSALEKVESWIFSRIVESVWWQALTPHMQALHEGSSASRTVGKLLGPALGDQQQGSLSINLWKNAFQDAFQRLCPVRAEAHECGCLPVIARMVMEHCIARLDVAMFNAILRESAHEIPTDPVSDPIVDSKVLPIPAGDLSFGSGAQLKNSVGNWSRWLTDMFGMDSDDALKEDQPNSQDDFKQNGDGESKSFLFLNALSDLLMLPKDMLMDRSIRNEVCPSIGLPLVKRILCNFTPDEFCPDPVPGAVLEALNAESIVERRLSGDSARSFPYTAASVVYTSPSSADVAEKVAKAGGKSQLSRNASVVQRKGYTSDEELEELDSPLASIIDKLPLSPTMVGNGRVNGKHEHEGCGAANARYELLREVWSA >EOY09396 pep chromosome:Theobroma_cacao_20110822:5:28941988:28948606:-1 gene:TCM_024825 transcript:EOY09396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar protein gar2-related isoform 1 MKETGKRRNSANVQSKRSGRTERKNNKPHQEAGSKTLNVKETESKALKARPDNSSLVSDSNAGSEPSEVYENVVIHYVDDVNRSEEISRDSKTNATTNKVKKDEILDDRSSDMEGETSQGKEGESDSETIKDSVSSQGDPWTAEDEKGESVSAVPKVVSNGNLSDSSSCGSRMGSEPETNKLRSKALNNTSKKSEKSNGGPTKDATKSSLEKNSKTLKVSGKPSSESSEISNDKYAEEVKEIDVLDETSNGTQSFGSESEPVDAEDKFQVEDETALNEKIEEMETRIEKLEAELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHACKHWTQNKRATIAKNSVSGLILIAKSCGNDVSRLTFWLSNTIVLREIISLAFGNSCNSSPLTRLPESNGSNKRSEVKPPTLKWKGGAGSKHINGFVQLVDDWQETGTFTSALEKVESWIFSRIVESVWWQALTPHMQALHEGSSASRTVGKLLGPALGDQQQGSLSINLWKNAFQDAFQRLCPVRAEAHECGCLPVIARMVMEHCIARLDVAMFNAILRESAHEIPTDPVSDPIVDSKVLPIPAGDLSFGSGAQLKNSVGNWSRWLTDMFGMDSDDALKEDQPNSQDDFKQNGDGESKSFLFLNALSDLLMLPKDMLMDRSIRNEVCPSIGLPLVKRILCNFTPDEFCPDPVPGAVLEALNAEELILPLTGDSARSFPYTAASVVYTSPSSADVAEKVAKAGGKSQLSRNASVVQRKGYTSDEELEELDSPLASIIDKLPLSPTMVGNGRVNGKHEHEGCGAANARYELLREVWSA >EOY09398 pep chromosome:Theobroma_cacao_20110822:5:28941879:28946370:-1 gene:TCM_024825 transcript:EOY09398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar protein gar2-related isoform 1 MKETGKRRNSANVQSKRSGRTERKNNKPHQEAGSKTLNVKETESKALKARPDNSSLVSDSNAGSEPSEVYENVVIHYVDDVNRSEEISRDSKTNATTNKVKKDEILDDRSSDMEGETSQGKEGESDSETIKDSVSSQGDPWTAEDEKGESVSAVPKVVSNGNLSDSSSCGSRMGSEPETNKLRSKALNNTSKKSEKSNGGPTKDATKSSLEKNSKTLKVSGKPSSESSEISNDKYAEEVKEIDVLDETSNGTQSFGSESEPVDAEDKFQVEDETALNEKIEEMETRIEKLEAELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHACKHWTQNKRATIAKNSVSGLILIAKSCGNDVSRLTFWLSNTIVLREIISLAFGNSCNSSPLTRLPESNGSNKRSELVDDWQETGTFTSALEKVESWIFSRIVESVWWQALTPHMQALHEGSSASRTVGKLLGPALGDQQQGSLSINLWKNAFQDAFQRLCPVRAEAHECGCLPVIARMVMEHCIARLDVAMFNAILRESAHEIPTDPVSDPIVDSKVLPIPAGDLSFGSGAQLKNSVGNWSRWLTDMFGMDSDDALKEDQPNSQDDFKQNGDGESKSFLFLNALSDLLMLPKDMLMDRSIRNEVCPSIGLPLVKRILCNFTPDEFCPDPVPGAVLEALNAESIVERRLSGDSARSFPYTAASVVYTSPSSADVAEKVAKAGGKSQLSRNASVVQRKGYTSDEELEELDSPLASIIDKLPLSPTMVGNGRVNGKHEHEGCGAANARYELLREVWSA >EOY09399 pep chromosome:Theobroma_cacao_20110822:5:28942133:28946016:-1 gene:TCM_024825 transcript:EOY09399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar protein gar2-related isoform 1 MEGETSQGKEGESDSETIKDSVSSQGDPWTAEDEKGESVSAVPKVVSNGNLSDSSSCGSRMGSEPETNKLRSKALNNTSKKSEKSNGGPTKDATKSSLEKNSKTLKVSGKPSSESSEISNDKYAEEVKEIDVLDETSNGTQSFGSESEPVDAEDKFQVEDETALNEKIEEMETRIEKLEAELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHACKHWTQNKRATIAKNSVSGLILIAKSCGNDVSRLTFWLSNTIVLREIISLAFGNSCNSSPLTRLPESNGSNKRSEVKPPTLKWKGGAGSKHINGFVQLVDDWQETGTFTSALEKVESWIFSRIVESVWWQALTPHMQALHEGSSASRTVGKLLGPALGDQQQGSLSINLWKNAFQDAFQRLCPVRAEAHECGCLPVIARMVMEHCIARLDVAMFNAILRESAHEIPTDPVSDPIVDSKVLPIPAGDLSFGSGAQLKNSVGNWSRWLTDMFGMDSDDALKEDQPNSQDDFKQNGDGESKSFLFLNALSDLLMLPKDMLMDRSIRNEVDF >EOY10176 pep chromosome:Theobroma_cacao_20110822:5:33341918:33360937:1 gene:TCM_025547 transcript:EOY10176 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-like carbohydrate kinase family protein isoform 1 MSFSFVNSISSPPQTNSLFCSPFFSLYHLHSYSFSSLYHRKESYANGRIMRASRFLGDGKGNESVNFGSMGKKKSGLFWVSSRCSSRRSSSCSSSKEEEFESEGEEEEEEDEDEENAGSFCVLPDRWDVLGLGQAMVDFSGMVDDEFLERLELEKGTRKVVNHEERGRVLRAMDGCSYKAAAGGSLSNSLVALARLGSKPIGGPMLNVAMAGSIGSDPLGGFYRAKLHRANVNFLSEPIKDGTTGTVIVLTTPDAQRTMLAYQGTSSTINYDSCLAGAVSKTNIFVVEGYLFELPDTIKTILKACEEARQSGALVAVTASDISCIERHYDDFWEIVGNYADIVFANSDEAGALCHFSSKESPISATRYLSHFVPLVSVTDGPRGSYIGVKGEAVYIPPSPCVPVDTCGAGDAYASGILYGILRGVSDLKGMGTLAARIAATVVGQLGTRLRVQDAVDLSESFAFNLASSTVRTDVDSDHISSL >EOY10177 pep chromosome:Theobroma_cacao_20110822:5:33342167:33354809:1 gene:TCM_025547 transcript:EOY10177 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-like carbohydrate kinase family protein isoform 1 MRASRFLGDGKGNESVNFGSMGKKKSGLFWVSSRCSSRRSSSCSSSKEEEFESEGEEEEEEDEDEENAGSFCVLPDRWDVLGLGQAMVDFSGMVDDEFLERLELEKGTRKVVNHEERGRVLRAMDGCSYKAAAGGSLSNSLVALARLGSKPIGGPMLNVAMAGSIGSDPLGGFYRAKLHRANVNFLSEPIKDGTTGTVIVLTTPDAQRTMLAYQACEEARQSGALVAVTASDISCIERHYDDFWEIVGNYADIVFANSDEAGALCHFSSKESPISATRYLSHFVPLVSVTDGPRGSYIGVKGEAVYIPPSPCVPVDTCGAGDAYASGILYGILRGVSDLKGMGTLAARIAATVVGQLGTRLRVQDAVDLSESFAFNLASSTVRTDVDSDHISSL >EOY09590 pep chromosome:Theobroma_cacao_20110822:5:30017583:30019826:1 gene:TCM_025005 transcript:EOY09590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein MLKVNNFLSSTRKLFPNPQIGHQQWRSIVKVRLKWVKNRSLDHVIDTETDLKAACLLKDAIKRSPTGFLTAKSFADWQKLLGLTVPVLRFLRRYPTLFEEFPHARYANLPCFRLTGTALLLDSQEQSIHQAHESDTIERLCRLLMMMKSRTAPLQSLHPLKWDLGLPDNFEKVLIPKFPDHFRFVKAPIGVAAVRLVQWREELAVSALERSNEVGEMGDEYRQFKRGQTTLAFLMNFPRGYGAQKKVRAWMEEFQKLPYISPYDDSRHIDPNSELMEKRAVGVLHEFLSLTIHKKTKRNYLRSLREELNIPHKFTRLFTRYPGIFYLSLKCKTTTVALKEGYRRGKLVNPHPLVRLREKFYHVMRTGLLYRGKGVNLIPREDILLNDLENKVEDGEEEEETEEVEIGSGDEFYEETSDVEDE >EOY07780 pep chromosome:Theobroma_cacao_20110822:5:2663744:2668193:1 gene:TCM_022108 transcript:EOY07780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retinitis pigmentosa 1-like 1 protein, putative MWQILLGAAVAGSTGLLAKHLFNPNPNPNNPISQGNPNSDNDQEKQDLQFQNGFLESGCESNGEDKGKQDGIFRFSSSESAGKTGVKTKDRNLRKKVVLKKAEKRSSGAGGVEVSRRKLAVCLKKRRTAKNVAYKCGSCPSKGEEKEGCWWDSSVFRWGLGFGIMYMMSAGKAEINKLNSTMDETAKVVQDLKTELCKRKSSCNVRASNSANEVTTGSKKFSGKNTQLLLDKSGTVNRDDNEIKVCSLPVIDDGEYASSVLTEEPEPEPEVGEMDQLEAELESELQKLSETEVSTKSLHESVGQRSDSYQCQGVLPSELDQKLCHLLIEQQENQIEELESELSSAQSKLRDKEAELQALKDCVRRLTNFSLSTVSDDDTEAQGEQARMNDQDCPIKSGLETRKSLVGMKRPIES >EOY07364 pep chromosome:Theobroma_cacao_20110822:5:1331113:1337099:1 gene:TCM_021817 transcript:EOY07364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein isoform 1 MAKDRKIGVAMDFSPSSKNALQWAVDNLADKGDTLYIIHINANSLDESHNALWAKSGSPLIPLTEFREPEIMKKYDVKPDIEVLDMLDTASRQKEIHIVTKLYWGGDAREKILDAVEGLKLDSLVMGSRGLGTVQRIILGSVSNYVMTHAPCPVTIV >EOY07363 pep chromosome:Theobroma_cacao_20110822:5:1331242:1332372:1 gene:TCM_021817 transcript:EOY07363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein isoform 1 MAKDRKIGVAMDFSPSSKNALQWAVDNLADKGDTLYIIHINANSLDESHNALWAKSGSPLIPLTEFREPEIMKKYDVKPDIEVLDMLDTASRQKEIHIVTKLYWGGDAREKILDAVEGLKLDSLVMGSRGLGTVQRIILGSVSNYVMTHASCPVTIVKESSSMKH >EOY09678 pep chromosome:Theobroma_cacao_20110822:5:30475290:30487541:-1 gene:TCM_025071 transcript:EOY09678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MDLKHFSHDHPLVFIQDWSRASEEEEEEEEGAFCFACEERVEGPCYCCSGCKFFLHKTCAELELSPEVSHPFHPPHPLILLPKSPYISGQHGCDLCCGSFSGLVYHCASCKFDLDINCASIVGNFDKVEHPAHEHPLILIEKHNRIIRCNCLGCMKEISSSPFYKCLDCKSRKVYLHKECAELPLEINNFHHRRHPLTLLHNRPIHSESCSCYLCKKQWKGFVYYCNVCEFGLTPEDVSPLPEITTVNHEHPWTLLSRPMSFICDFCGTDGCRTPYLCTTCNFIVHKSCISLPRIITIMRHHHRLSHSYLFLKNQSEEWECKICHQEVNKEYGRYYCPDSECNYIAHVNCATDRSIWDPKFNEDERSEGESINWITDVIQTKCLKGDEIATEIKHAFHDHNLTLTFSGEVKDDINCDGCMRPISTPFYGCEQCRFFLHRNCAELPREKRHPSHKHLLALTKNDEFLYCYACDRLHHGFNYKCNKRGCYFKIDIQCSLLSDIFKHPSHKHQLFLDHNCHGDCSGCNNRRLLAYKCTQGCEFILDFECLTLPQIAWYKYDIHPLTLTYDSGSDPDQFYCDICEEERHPYKWFYYCADCDNAAHLECILGDLPFIKLGRTRQSYPHSHPLTFVKNIWNCPPCNVCKKLCNGQALQCTECNLICHWECQWNLPELNGV >EOY09821 pep chromosome:Theobroma_cacao_20110822:5:31202242:31203684:1 gene:TCM_025197 transcript:EOY09821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MINEVSPWKLHSPVYKSRQHENSMHQNKISTSLYNGSPRVRIRRDAGRKGRLPMKRGQFVGGLESGFLIRPIRGERTPVNGAKLDSGNKRERERGGIEPALQVWGELPLVKEEGELSVEAFREKAEKKLLERETRGKK >EOY10876 pep chromosome:Theobroma_cacao_20110822:5:36906413:36911178:1 gene:TCM_026171 transcript:EOY10876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 1 MSSSFPALPTPFKEKYPKLPDSFQVSSERKVMKNSISPQESSLAPSNRTLGNSFSSPSIANNDMCASALAHDRHSQSPAFISQRSRDLASLPSIDSSYSDQSTALFNHPQEKKDVSWCIDRLQDFLDLPENVPDPNGLLESSTGVMASEDHSKRTDWQEWADQLISVDDPLDTDWREFLDDTNASDPKVKVLNSSGDISKQQPQFHQNQPAPHGEFSSDAYPLSPAPPTRPRMRWTPELHEAFVDAVNILGGSERATPKGILKLMKVEGLTIYHVKSHLQKYRTARYKPESSEGTLENKMASIGEMKSLDLKAGMGITEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGRYLQMMFEKQKRMEDERTGAPSFNLDDASASLPGLTCPSCANDKSEALEQVHTKTGIDTRNASTTEDKSSQDVSRKQKALETNTADHIETNDNESGSPLSKRARTEK >EOY10875 pep chromosome:Theobroma_cacao_20110822:5:36904717:36911024:1 gene:TCM_026171 transcript:EOY10875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 1 MSSSFPALPTPFKEKYPKLPDSFQVSSERKVMKNSISPQESSLAPSNRTLGNSFSSPSIANNDMCASALAHDRHSQSPAFISQRSRDLASLPSIDSSYSDQSTALFNHPQEKKDVSWCIDRLQDFLDLPENVPDPNGLLESSTGVMASEDHSKRTDWQEWADQLISVDDPLDTDWREFLDDTNASDPKVKVLNSSGDISKQQPQFHQNQPAPHGEFSSDAYPLSPAPPTRPRMRWTPELHEAFVDAVNILGGSERATPKGILKLMKVEGLTIYHVKSHLQKYRTARYKPESSEGTLENKMASIGEMKSLDLKAGMGITEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGRYLQMMFEKQKRMEDERTGAPSFNLDDASASLPGLTCPSCANDKSEALEQVHTKTGIDTRNASTTEDKSSQDVSRKQKALETNTADHIETNDNESGSPLSKRARTEK >EOY10877 pep chromosome:Theobroma_cacao_20110822:5:36906504:36910994:1 gene:TCM_026171 transcript:EOY10877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 1 MKNSISPQESSLAPSNRTLGNSFSSPSIANNDMCASALAHDRHSQSPAFISQRSRDLASLPSIDSSYSDQSTALFNHPQEKKDVSWCIDRLQDFLDLPENVPDPNGLLESSTGVMASEDHSKRTDWQEWADQLISVDDPLDTDWREFLDDTNASDPKVKVLNSSGDISKQQPQFHQNQPAPHGEFSSDAYPLSPAPPTRPRMRWTPELHEAFVDAVNILGGSERATPKGILKLMKVEGLTIYHVKSHLQKYRTARYKPESSEGTLENKMASIGEMKSLDLKAGMGITEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGRYLQMMFEKQKRMEDERTGAPSFNLDDASASLPGLTCPSCANDKSEALEQVHTKTGIDTRNASTTEDKSSQDVSRKQKALETNTADHIETNDNESGSPLSKRARTEK >EOY10878 pep chromosome:Theobroma_cacao_20110822:5:36904649:36910994:1 gene:TCM_026171 transcript:EOY10878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 1 MSSSFPALPTPFKEKYPKLPDSFQVSSERKVMKNSISPQESSLAPSNRTLGNSFSSPSIANNDMCASALAHDRHSQSPAFISQRSRDLASLPSIDSSYSDQSTALFNHPQEKKDVSWCIDRLQDFLDLPENVPDPNGLLESSTGVMASEDHSKRTDWQEWADQLISVDDPLDTDWREFLDDTNASDPKVKVLNSSGDISKQQPQFHQNQPAPHGEFSSDAYPLSPAPPTRPRMRWTPELHEAFVDAVNILGGSERATPKGILKLMKVEGLTIYHVKSHLQKYRTARYKPESSEGTLENKMASIGEMKSLDLKAGMGITEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGRYLQMMFEKQKRMEDERTGAPSFNLDDASASLPGLTCPSCANDKSEALEQVHTKTGIDTRNASTTEDKSSQDVSRKQKALETNTADHIETNDNESGSPLSKRARTEK >EOY10812 pep chromosome:Theobroma_cacao_20110822:5:36646444:36670203:1 gene:TCM_026122 transcript:EOY10812 gene_biotype:protein_coding transcript_biotype:protein_coding description:ILITYHIA isoform 3 MNSLPLLPIQAMEKQSKFQTHVGCYTLLKWSCLLLSRSQFATVSRNALCRVAAAQASLLHIVMQRSFRERRACIKSFFHLFSQSPDVYNTYIEEIKDARIPYKDAPELLCLLLEFSSVVPSKFEQSKPIFLDIYVKAVLNAREKPTKGLSESFHPLFARMSHEDLQSTVIPSLVKMLKRNPEIVLESVGILLSLVNLDLSKYAMEILSVVLPQARHAEDGRRIGALTVVRCLSQKSSNPDAFESMFNAIKAVLGGSEGRLAFPYQRIGMMNALQELSNAPEGKYLNNLSRTVCGFLLTCYKDEGNEEVKLAILSAIASWAARFVDALQPDLVSFFASGLKEKEALRRGHLRSLLAICKNSDALLQISSLLGPLLQLVKTGFTKAVQRLDGIYALSIVGKIAAADIKAEETVAKEKIWSLISQNEPSLVAISMASKLSIEDCISCVDLLEVLLVEHSRRVLETFSAKLLLQLLLFLMCHSSWDVRKTTYDATKKIVAAAPQLSEILLVEFSDSLSLVGEKINALKTSDADNSPDTQVPILPSVEVLVKALAVISSTALATTPSASTRVIVCSHHPCIIGTAKRDAVWRRLHKCLRALGFDVIGIISANIANICKGLVGPLGLMSANPLEQNAAIYSLCTLMSIAPEDTYSEFEKHLINLPDRHSHDMLSENDIQIFRTPEGILSNEQGVYVAESVTSKNTKQQDRINSNHSGKRETSSRAAGGGGKKDIGKSMKKADKGKTAKEEAREQLLREEASIREKVREIQKNLSLMLNALGDMAVANPVFAHSQLPSLVKFVDPLLRSPIVGDVAYDTSVKLSRCLVHPLCNWALDIATALRLIVTDEVCLWELIPLVDEEADERPSLGLFERIVNGLSVSCKSGPLPVDSFTFVFPIMEQILLSSKRTGLHDDVLRILYLHLDPLLPLPRLRMLSALYHVLGVVPAYQASIGPALNELCLGLQPEEVASALYGVYAKDVHVRMTCLNAVKCIPAVSGRALPQNVEVATNIWIALHDPEKSIAEAAEDVWDRYGYDFGTDYSGIFKALSHVNYNVRVAAAEALAAAMDEIPDSIQESLSTLFSLYIRDSAFGEENLDAGWLGRQGIALALHSAADVLRTKDLPVVMTFLISRALADPNADVRGRMINAGIMIIDRHGRENVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHAVVEKLLDVLNTPSEAVQQAVSTCLSPLMQSKQDDAAALVSRLLDQLMKNDKYGERRGAAFGLAGVVKGFGLSSLKKYGIVAVLREGFADRNSAKSREGALLAFECLCEYLGRLFEPYVIQMLPLLLVSFSDQVIAVREAAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPRIVPKLTEVLTDTHPKVQSAGQLALQQVGSVIKNPEISSLVPTLLMGLTDPNDYTKYSLDILLQTTFINSIDAPSLALLVPIVHRGLRERSADTKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIRGMGEENFPDLVPWLFDTLKSDNSNVERSGAAQGLSEVLAALGTEYFEDILPDIIRNCSHQKAAVRDGYLTLFKYFPRSLGVQFQNYLQLVLPAILDGLADENESVRDAALCAGHVLVEHYATTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGRDKRNEVLAALYMVRTDVSITVRQAALHVWKTIVANTPKTLKEIMPVLMNTLITSLASASSERRQVAGRALGELVRKLGERVLPLIIPILSQGLKNPDASRRQGVCIGLSEVMASAGKSQLLSFMDELIPTIRTALCDSAPEVRESAGLAFSTLYKSAGMQAIDEIVPTLLHALEDDETSDTALDGLKQILSVRTTAVLPHILPKLVHCPLSAFNAHALGALAEVAGPGLNYHLGTILPALLSAMGGDDVDVQPLAKEAAETVVLVIDEEGIESLISELLRGVGDSEASIRRSSSYLIGYFFKNSKLYLVDETLNMISTLIVLLSDSDSATVVVAWEALSRVVSSVPKEVLPSCIKLVRDAVSTARDKERRKKKGGPVVIPGFCLPKALQPLLPIFLQGLISGSAELREQAALGLGELIEVTSEQSLKEFVIPITGPLIRIIGDRFPWQVKSAILSTLSIMIRKGGIALKPFLPQLQTTFIKCLQDNTRTVRSSAALALGKLSALSTRVDPLVSDLLSSLQASDSGVREAILTALKGVVKHAGKSVSPATRTRVYALLKDLIHHDDDQVRMFASSILGVISQYMDESQLSDLLQELLDLSSSSNWADRHGSVLTFSSLLRHNPSTVFMSPESASILICLKSSLKDEKFPLRETSTKALGRLLLCQVQSNPSNSTSLVDILSSVLSAMQDDSSEVRRRALSAIKAAAKANPSVITTHLSLLGPALAECLKDSSTPVRLAAERCALHTFQLTKGTENVQASQKYITGLDARRISKFPEHSDDSEESEDDSASS >EOY10811 pep chromosome:Theobroma_cacao_20110822:5:36646444:36670203:1 gene:TCM_026122 transcript:EOY10811 gene_biotype:protein_coding transcript_biotype:protein_coding description:ILITYHIA isoform 3 MAGSSSPVESLVSIAGSVSTPSTKERVRIFRDELPPIITNSAALVQAMEKQSKFQTHVGCYTLLKWSCLLLSRSQFATVSRNALCRVAAAQASLLHIVMQRSFRERRACIKSFFHLFSQSPDVYNTYIEEIKDARIPYKDAPELLCLLLEFSSVVPSKFEQSKPIFLDIYVKAVLNAREKPTKGLSESFHPLFARMSHEDLQSTVIPSLVKMLKRNPEIVLESVGILLSLVNLDLSKYAMEILSVVLPQARHAEDGRRIGALTVVRCLSQKSSNPDAFESMFNAIKAVLGGSEGRLAFPYQRIGMMNALQELSNAPEGKYLNNLSRTVCGFLLTCYKDEGNEEVKLAILSAIASWAARFVDALQPDLVSFFASGLKEKEALRRGHLRSLLAICKNSDALLQISSLLGPLLQLVKTGFTKAVQRLDGIYALSIVGKIAAADIKAEETVAKEKIWSLISQNEPSLVAISMASKLSIEDCISCVDLLEVLLVEHSRRVLETFSAKLLLQLLLFLMCHSSWDVRKTTYDATKKIVAAAPQLSEILLVEFSDSLSLVGEKINALKTSDADNSPDTQVPILPSVEVLVKALAVISSTALATTPSASTRVIVCSHHPCIIGTAKRDAVWRRLHKCLRALGFDVIGIISANIANICKGLVGPLGLMSANPLEQNAAIYSLCTLMSIAPEDTYSEFEKHLINLPDRHSHDMLSENDIQIFRTPEGILSNEQGVYVAESVTSKNTKQQDRINSNHSGKRETSSRAAGGGGKKDIGKSMKKADKGKTAKEEAREQLLREEASIREKVREIQKNLSLMLNALGDMAVANPVFAHSQLPSLVKFVDPLLRSPIVGDVAYDTSVKLSRCLVHPLCNWALDIATALRLIVTDEVCLWELIPLVDEEADERPSLGLFERIVNGLSVSCKSGPLPVDSFTFVFPIMEQILLSSKRTGLHDDVLRILYLHLDPLLPLPRLRMLSALYHVLGVVPAYQASIGPALNELCLGLQPEEVASALYGVYAKDVHVRMTCLNAVKCIPAVSGRALPQNVEVATNIWIALHDPEKSIAEAAEDVWDRYGYDFGTDYSGIFKALSHVNYNVRVAAAEALAAAMDEIPDSIQESLSTLFSLYIRDSAFGEENLDAGWLGRQGIALALHSAADVLRTKDLPVVMTFLISRALADPNADVRGRMINAGIMIIDRHGRENVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHAVVEKLLDVLNTPSEAVQQAVSTCLSPLMQSKQDDAAALVSRLLDQLMKNDKYGERRGAAFGLAGVVKGFGLSSLKKYGIVAVLREGFADRNSAKSREGALLAFECLCEYLGRLFEPYVIQMLPLLLVSFSDQVIAVREAAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPRIVPKLTEVLTDTHPKVQSAGQLALQQVGSVIKNPEISSLVPTLLMGLTDPNDYTKYSLDILLQTTFINSIDAPSLALLVPIVHRGLRERSADTKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIRGMGEENFPDLVPWLFDTLKSDNSNVERSGAAQGLSEVLAALGTEYFEDILPDIIRNCSHQKAAVRDGYLTLFKYFPRSLGVQFQNYLQLVLPAILDGLADENESVRDAALCAGHVLVEHYATTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGRDKRNEVLAALYMVRTDVSITVRQAALHVWKTIVANTPKTLKEIMPVLMNTLITSLASASSERRQVAGRALGELVRKLGERVLPLIIPILSQGLKNPDASRRQGVCIGLSEVMASAGKSQLLSFMDELIPTIRTALCDSAPEVRESAGLAFSTLYKSAGMQAIDEIVPTLLHALEDDETSDTALDGLKQILSVRTTAVLPHILPKLVHCPLSAFNAHALGALAEVAGPGLNYHLGTILPALLSAMGGDDVDVQPLAKEAAETVVLVIDEEGIESLISELLRGVGDSEASIRRSSSYLIGYFFKNSKLYLVDETLNMISTLIVLLSDSDSATVVVAWEALSRVVSSVPKEVLPSCIKLVRDAVSTARDKERRKKKGGPVVIPGFCLPKALQPLLPIFLQGLISGSAELREQAALGLGELIEVTSEQSLKEFVIPITGPLIRIIGDRFPWQVKSAILSTLSIMIRKGGIALKPFLPQLQTTFIKCLQDNTRTVRSSAALALGKLSALSTRVDPLVSDLLSSLQASDSGVREAILTALKGVVKHAGKSVSPATRTRVYALLKDLIHHDDDQVRMFASSILGVISQYMDESQLSDLLQELLDLSSSSNWADRHGSVLTFSSLLRHNPSTVFMSPESASILICLKSSLKDEKFPLRETSTKALGRLLLCQVQSNPSNSTSLVDILSSVLSAMQDDSSEVRRRALSAIKAAAKANPSVITTHLSLLGPALAECLKDSSTPVRLAAERCALHTFQLTKGTENVQASQKYITGLDARRISKFPEHSDDSEESEDDSASS >EOY10810 pep chromosome:Theobroma_cacao_20110822:5:36646444:36670203:1 gene:TCM_026122 transcript:EOY10810 gene_biotype:protein_coding transcript_biotype:protein_coding description:ILITYHIA isoform 3 MAGSSSPVESLVSIAGSVSTPSTKERVRIFRDELPPIITNSEMSPEFTSLLVDIIFKTFPIFDDGGSRKAVNGVIVKALGEVIFMKSFAAALVQAMEKQSKFQTHVGCYTLLKWSCLLLSRSQFATVSRNALCRVAAAQASLLHIVMQRSFRERRACIKSFFHLFSQSPDVYNTYIEEIKDARIPYKDAPELLCLLLEFSSVVPSKFEQSKPIFLDIYVKAVLNAREKPTKGLSESFHPLFARMSHEDLQSTVIPSLVKMLKRNPEIVLESVGILLSLVNLDLSKYAMEILSVVLPQARHAEDGRRIGALTVVRCLSQKSSNPDAFESMFNAIKAVLGGSEGRLAFPYQRIGMMNALQELSNAPEGKYLNNLSRTVCGFLLTCYKDEGNEEVKLAILSAIASWAARFVDALQPDLVSFFASGLKEKEALRRGHLRSLLAICKNSDALLQISSLLGPLLQLVKTGFTKAVQRLDGIYALSIVGKIAAADIKAEETVAKEKIWSLISQNEPSLVAISMASKLSIEDCISCVDLLEVLLVEHSRRVLETFSAKLLLQLLLFLMCHSSWDVRKTTYDATKKIVAAAPQLSEILLVEFSDSLSLVGEKINALKTSDADNSPDTQVPILPSVEVLVKALAVISSTALATTPSASTRVIVCSHHPCIIGTAKRDAVWRRLHKCLRALGFDVIGIISANIANICKGLVGPLGLMSANPLEQNAAIYSLCTLMSIAPEDTYSEFEKHLINLPDRHSHDMLSENDIQIFRTPEGILSNEQGVYVAESVTSKNTKQQDRINSNHSGKRETSSRAAGGGGKKDIGKSMKKADKGKTAKEEAREQLLREEASIREKVREIQKNLSLMLNALGDMAVANPVFAHSQLPSLVKFVDPLLRSPIVGDVAYDTSVKLSRCLVHPLCNWALDIATALRLIVTDEVCLWELIPLVDEEADERPSLGLFERIVNGLSVSCKSGPLPVDSFTFVFPIMEQILLSSKRTGLHDDVLRILYLHLDPLLPLPRLRMLSALYHVLGVVPAYQASIGPALNELCLGLQPEEVASALYGVYAKDVHVRMTCLNAVKCIPAVSGRALPQNVEVATNIWIALHDPEKSIAEAAEDVWDRYGYDFGTDYSGIFKALSHVNYNVRVAAAEALAAAMDEIPDSIQESLSTLFSLYIRDSAFGEENLDAGWLGRQGIALALHSAADVLRTKDLPVVMTFLISRALADPNADVRGRMINAGIMIIDRHGRENVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHAVVEKLLDVLNTPSEAVQQAVSTCLSPLMQSKQDDAAALVSRLLDQLMKNDKYGERRGAAFGLAGVVKGFGLSSLKKYGIVAVLREGFADRNSAKSREGALLAFECLCEYLGRLFEPYVIQMLPLLLVSFSDQVIAVREAAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPRIVPKLTEVLTDTHPKVQSAGQLALQQVGSVIKNPEISSLVPTLLMGLTDPNDYTKYSLDILLQTTFINSIDAPSLALLVPIVHRGLRERSADTKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIRGMGEENFPDLVPWLFDTLKSDNSNVERSGAAQGLSEVLAALGTEYFEDILPDIIRNCSHQKAAVRDGYLTLFKYFPRSLGVQFQNYLQLVLPAILDGLADENESVRDAALCAGHVLVEHYATTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGRDKRNEVLAALYMVRTDVSITVRQAALHVWKTIVANTPKTLKEIMPVLMNTLITSLASASSERRQVAGRALGELVRKLGERVLPLIIPILSQGLKNPDASRRQGVCIGLSEVMASAGKSQLLSFMDELIPTIRTALCDSAPEVRESAGLAFSTLYKSAGMQAIDEIVPTLLHALEDDETSDTALDGLKQILSVRTTAVLPHILPKLVHCPLSAFNAHALGALAEVAGPGLNYHLGTILPALLSAMGGDDVDVQPLAKEAAETVVLVIDEEGIESLISELLRGVGDSEASIRRSSSYLIGYFFKNSKLYLVDETLNMISTLIVLLSDSDSATVVVAWEALSRVVSSVPKEVLPSCIKLVRDAVSTARDKERRKKKGGPVVIPGFCLPKALQPLLPIFLQGLISGSAELREQAALGLGELIEVTSEQSLKEFVIPITGPLIRIIGDRFPWQVKSAILSTLSIMIRKGGIALKPFLPQLQTTFIKCLQDNTRTVRSSAALALGKLSALSTRVDPLVSDLLSSLQASDSGVREAILTALKGVVKHAGKSVSPATRTRVYALLKDLIHHDDDQVRMFASSILGVISQYMDESQLSDLLQELLDLSSSSNWADRHGSVLTFSSLLRHNPSTVFMSPESASILICLKSSLKDEKFPLRETSTKALGRLLLCQVQSNPSNSTSLVDILSSVLSAMQDDSSEVRRRALSAIKAAAKANPSVITTHLSLLGPALAECLKDSSTPVRLAAERCALHTFQLTKGTENVQASQKYITGLDARRISKFPEHSDDSEESEDDSASS >EOY10813 pep chromosome:Theobroma_cacao_20110822:5:36648066:36669398:1 gene:TCM_026122 transcript:EOY10813 gene_biotype:protein_coding transcript_biotype:protein_coding description:ILITYHIA isoform 3 MKSFAAALVQAMEKQSKFQTHVGCYTLLKWSCLLLSRSQFATVSRNALCRVAAAQASLLHIVMQRSFRERRACIKSFFHLFSQSPDVYNTYIEEIKDARIPYKDAPELLCLLLEFSSVVPSKFEQSKPIFLDIYVKAVLNAREKPTKGLSESFHPLFARMSHEDLQSTVIPSLVKMLKRNPEIVLESVGILLSLVNLDLSKYAMEILSVVLPQARHAEDGRRIGALTVVRCLSQKSSNPDAFESMFNAIKAVLGGSEGRLAFPYQRIGMMNALQELSNAPEGKYLNNLSRTVCGFLLTCYKDEGNEEVKLAILSAIASWAARFVDALQPDLVSFFASGLKEKEALRRGHLRSLLAICKNSDALLQISSLLGPLLQLVKTGFTKAVQRLDGIYALSIVGKIAAADIKAEETVAKEKIWSLISQNEPSLVAISMASKLSIEDCISCVDLLEVLLVEHSRRVLETFSAKLLLQLLLFLMCHSSWDVRKTTYDATKKIVAAAPQLSEILLVEFSDSLSLVGEKINALKTSDADNSPDTQVPILPSVEVLVKALAVISSTALATTPSASTRVIVCSHHPCIIGTAKRDAVWRRLHKCLRALGFDVIGIISANIANICKGLVGPLGLMSANPLEQNAAIYSLCTLMSIAPEDTYSEFEKHLINLPDRHSHDMLSENDIQIFRTPEGILSNEQGVYVAESVTSKNTKQQDRINSNHSGKRETSSRAAGGGGKKDIGKSMKKADKGKTAKEEAREQLLREEASIREKVREIQKNLSLMLNALGDMAVANPVFAHSQLPSLVKFVDPLLRSPIVGDVAYDTSVKLSRCLVHPLCNWALDIATALRLIVTDEVCLWELIPLVDEEADERPSLGLFERIVNGLSVSCKSGPLPVDSFTFVFPIMEQILLSSKRTGLHDDVLRILYLHLDPLLPLPRLRMLSALYHVLGVVPAYQASIGPALNELCLGLQPEEVASALYGVYAKDVHVRMTCLNAVKCIPAVSGRALPQNVEVATNIWIALHDPEKSIAEAAEDVWDRYGYDFGTDYSGIFKALSHVNYNVRVAAAEALAAAMDEIPDSIQESLSTLFSLYIRDSAFGEENLDAGWLGRQGIALALHSAADVLRTKDLPVVMTFLISRALADPNADVRGRMINAGIMIIDRHGRENVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHAVVEKLLDVLNTPSEAVQQAVSTCLSPLMQSKQDDAAALVSRLLDQLMKNDKYGERRGAAFGLAGVVKGFGLSSLKKYGIVAVLREGFADRNSAKSREGALLAFECLCEYLGRLFEPYVIQMLPLLLVSFSDQVIAVREAAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPRIVPKLTEVLTDTHPKVQSAGQLALQQVGSVIKNPEISSLVPTLLMGLTDPNDYTKYSLDILLQTTFINSIDAPSLALLVPIVHRGLRERSADTKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIRGMGEENFPDLVPWLFDTLKSDNSNVERSGAAQGLSEVLAALGTEYFEDILPDIIRNCSHQKAAVRDGYLTLFKYFPRSLGVQFQNYLQLVLPAILDGLADENESVRDAALCAGHVLVEHYATTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGRDKRNEVLAALYMVRTDVSITVRQAALHVWKTIVANTPKTLKEIMPVLMNTLITSLASASSERRQVAGRALGELVRKLGERVLPLIIPILSQGLKNPDASRRQGVCIGLSEVMASAGKSQLLSFMDELIPTIRTALCDSAPEVRESAGLAFSTLYKSAGMQAIDEIVPTLLHALEDDETSDTALDGLKQILSVRTTAVLPHILPKLVHCPLSAFNAHALGALAEVAGPGLNYHLGTILPALLSAMGGDDVDVQPLAKEAAETVVLVIDEEGIESLISELLRGVGDSEASIRRSSSYLIGYFFKNSKLYLVDETLNMISTLIVLLSDSDSATVVVAWEALSRVVSSVPKEVLPSCIKLVRDAVSTARDKERRKKKGGPVVIPGFCLPKALQPLLPIFLQGLISGSAELREQAALGLGELIEVTSEQSLKEFVIPITGPLIRIIGDRFPWQVKSAILSTLSIMIRKGGIALKPFLPQLQTTFIKCLQDNTRTVRSSAALALGKLSALSTRVDPLVSDLLSSLQASDSGVREAILTALKGVVKHAGKSVSPATRTRVYALLKDLIHHDDDQVRMFASSILGVISQYMDESQLSDLLQELLDLSSSSNWADRHGSVLTFSSLLRHNPSTVFMSPESASILICLKSSLKDEKFPLRETSTKALGRLLLCQVQSNPSNSTSLVDILSSVLSAMQDDSSEVRRRALSAIKAAAKLSQLIFHYSALRLLNV >EOY10594 pep chromosome:Theobroma_cacao_20110822:5:35515208:35520750:1 gene:TCM_025923 transcript:EOY10594 gene_biotype:protein_coding transcript_biotype:protein_coding description:APR-like 5, putative MGSSSSSLLLFFYITALYSLRCVLGSSICSHEADVFIKSLHFQCSPSISPIPPLKVNGNFLDRALASKQRNGYTAVLFYASWCPFSCSLHPKFDILSSMFPQFEHLMVEQSSAWPSLFSRYGIHSLPSILVVNQTSSVRYRGPKDLPSIMQFYEKITGFEPVQNVAENKQVVSGNYNRYIIQSWNESSLMDIVKREQYLAFAVLFLCLRVLLSIFPEVLSRLKAFWVSYAPHLNLEIFGETSQLFVRALHMVDVRRVWTKLRLCKTRNFHQGAKSARVWASSLASVSLGESSGRSSSSS >EOY10488 pep chromosome:Theobroma_cacao_20110822:5:35065456:35070170:-1 gene:TCM_025840 transcript:EOY10488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 2 isoform 2 MGFLVDSQKDGFGSSWGGLKSFVRRKQVDSAHTKRLGHHQLAKELTVRHLIAIGVGSTIGAGVYILVGTVAREHSGPALTISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGAAVARGISPNLALLFGGQNSLPIFLARQHIPGLDIVVDPCAAVLVFVVTGLLCVGIKESTLAQAIVTSANVCAMIFVIVAGGYLGFKTGWAGYELPTGYFPFGVDGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIATALSICCGLYMLVSVVIVGLVPYYEMDPDTPISSAFASYGMQWAAYIITVGAVTALCSTLMGSILPQPRILMAMARDGLLPSFFSDVNKRSQVPVKSTVTTGIVAATLSFFMDVSQLAGMVSVGTLLAFTMVAISVLILRYVPPDEVPLPSSLQESIDSVTLRYSRDSQVTSGENPEMSTSTGSSQPLLSKKNVAIDCPIIEKQEAQASLSPLSKIQIIWAWGQFATCPP >EOY10489 pep chromosome:Theobroma_cacao_20110822:5:35064328:35070170:-1 gene:TCM_025840 transcript:EOY10489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 2 isoform 2 MGFLVDSQKDGFGSSWGGLKSFVRRKQVDSAHTKRLGHHQLAKELTVRHLIAIGVGSTIGAGVYILVGTVAREHSGPALTISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGAAVARGISPNLALLFGGQNSLPIFLARQHIPGLDIVVDPCAAVLVFVVTGLLCVGIKESTLAQAIVTSANVCAMIFVIVAGGYLGFKTGWAGYELPTGYFPFGVDGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIATALSICCGLYMLVSVVIVGLVPYYEMDPDTPISSAFASYGMQWAAYIITVGAVTALCSTLMGSILPQPRILMAMARDGLLPSFFSDVNKRSQVPVKSTVTTGIVAATLSFFMDVSQLAGMVSVGTLLAFTMVAISVLILRYVPPDEVPLPSSLQESIDSVTLRYSRDSQVTSGENPEMSTSTGSSQPLLSKKNVAIDCPIIEKQEAQASCTLNEEYRRKIAGWTILLTCVGAFSLTFAASSLWLPSLLRFMLCGVGGVLLLFGLIVLTCIDQDDARHNFGHTGGFICPFVPLLPIACILINVYLLINLGAATWARVSVWLVLGFLVYVFYGRTHSSLLDAVYVPAAHADEIYRSGDSLA >EOY10491 pep chromosome:Theobroma_cacao_20110822:5:35065577:35069822:-1 gene:TCM_025840 transcript:EOY10491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 2 isoform 2 MGFLVDSQKDGFGSSWGGLKSFVRRKQVDSAHTKRLGHHQLAKELTVRHLIAIGVGSTIGAGVYILVGTVAREHSGPALTISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGAAVARGISPNLALLFGGQNSLPIFLARQHIPGLDIVVDPCAAVLVFVVTGLLCVGIKESTLAQAIVTSANVCAMIFVIVAGGYLGFKTGWAGYELPTGYFPFGVDGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIATALSICCGLYMLVSVVIVGLVPYYEMDPDTPISSAFASYGMQWAAYIITVGAVTALCSTLMGSILPQPRILMAMARDGLLPSFFSDVNKRSQVPVKSTVTTGIVAATLSFFMDVSQLAGMVSVGTLLAFTMVAISVLILRYVPPDEVPLPSSLQESIDSVTLRYSRDSQVTSGENPEMSTSTGSSQPLLSKKNVAIDCPIIEKQEAQASLSPLSKIQIIWAWGQFATCPP >EOY10487 pep chromosome:Theobroma_cacao_20110822:5:35064067:35070373:-1 gene:TCM_025840 transcript:EOY10487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 2 isoform 2 MGFLVDSQKDGFGSSWGGLKSFVRRKQVDSAHTKRLGHHQLAKELTVRHLIAIGVGSTIGAGVYILVGTVAREHSGPALTISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGAAVARGISPNLALLFGGQNSLPIFLARQHIPGLDIVVDPCAAVLVFVVTGLLCVGIKESTLAQAIVTSANVCAMIFVIVAGGYLGFKTGWAGYELPTGYFPFGVDGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIATALSICCGLYMLVSVVIVGLVPYYEMDPDTPISSAFASYGMQWAAYIITVGAVTALCSTLMGSILPQPRILMAMARDGLLPSFFSDVNKRSQVPVKSTVTTGIVAATLSFFMDVSQLAGMVSVGTLLAFTMVAISVLILRYVPPDEVPLPSSLQESIDSVTLRYSRDSQVTSGENPEMSTSTGSSQPLLSKKNVAIDCPIIEKQEAQASCTLNEEYRRKIAGWTILLTCVGAFSLTFAASSLWLPSLLRFMLCGVGGVLLLFGLIVLTCIDQDDARHNFGHTGGFICPFVPLLPIACILINVYLLINLGAATWARVSVWLVLGFLVYVFYGRTHSSLLDAVYVPAAHADEIYRSGDSLA >EOY10490 pep chromosome:Theobroma_cacao_20110822:5:35065208:35070170:-1 gene:TCM_025840 transcript:EOY10490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 2 isoform 2 MGFLVDSQKDGFGSSWGGLKSFVRRKQVDSAHTKRLGHHQLAKELTVRHLIAIGVGSTIGAGVYILVGTVAREHSGPALTISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGAAVARGISPNLALLFGGQNSLPIFLARQHIPGLDIVVDPCAAVLVFVVTGLLCVGIKESTLAQAIVTSANVCAMIFVIVAGGYLGFKTGWAGYELPTGYFPFGVDGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIATALSICCGLYMLVSVVIVGLVPYYEMDPDTPISSAFASYGMQWAAYIITVGAVTALCSTLMGSILPQPRILMAMARDGLLPSFFSDVNKRSQVPVKSTVTTGIVAATLSFFMDVSQLAGMVSVGTLLAFTMVAISVLILRYVPPDEVPLPSSLQESIDSVTLRYSRDSQVTSGENPEMSTSTGSSQPLLSKKNVAIDCPIIEKQEAQASCTLNEEYRRKIAGWTILLTCVGAFSLTFAASSLWLPRKKKGDGE >EOY09014 pep chromosome:Theobroma_cacao_20110822:5:24837617:24840879:-1 gene:TCM_024350 transcript:EOY09014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phosphotriesterase superfamily protein isoform 1 MNPKLKLALSSTTLVASISILLSYNILAPPAVPGSYHLHNAKTIRLDSAFGPESLAFDANGDGPYSGVADGRILKWQGDAVGWTDFAFTSSHRQFLPSIFTTDKTGRLLKYNKSSKEVTVLLRGLAFANGVALSKDSSFVLVAETTTCRILRLWLRGPNAGNVEVFSELPGFPDNIRRNKKGEFWVALHAKKGLVAKLALSYSLFGNTVLKLPLSFKQLHSLFIGGKPHAIAVKLSENGEILEVLEDTEGKTMRFISEVEEKDGKLWIGSVMMPFVGIYHL >EOY09013 pep chromosome:Theobroma_cacao_20110822:5:24827739:24841017:-1 gene:TCM_024350 transcript:EOY09013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phosphotriesterase superfamily protein isoform 1 MNPKLKLALSSTTLVASISILLSYNILAPPAVPGSYHLHNAKTIRLDSAFGPESLAFDANGDGPYSGVADGRILKWQGDAVGWTDFAFTSSHREDCVRPFAPEMEHICGRPLGLRFDKKTGDLYIADAYFGLQVVGPAGGLATQLITEAEGQPLYFTNDMDIDELDDVIYFTDTSTSFRRRQFLPSIFTTDKTGRLLKYNKSSKEVTVLLRGLAFANGVALSKDSSFVLVAETTTCRILRLWLRGPNAGNVEVFSELPGFPDNIRRNKKGEFWVALHAKKGLVAKLALSYSLFGNTVLKLPLSFKQLHSLFIGGKPHAIAVKLSENGEILEVLEDTEGKTMRFISEVEEKDGKLWIGSVMMPFVGIYHL >EOY08665 pep chromosome:Theobroma_cacao_20110822:5:17024129:17028199:1 gene:TCM_023654 transcript:EOY08665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIICCKMIFFYAAKMKTYLAKLLKKNLLLRIEFLAVRFSFERKHANYKKTSYKPIDLRKPAMKNYYKIDQ >EOY08258 pep chromosome:Theobroma_cacao_20110822:5:5975925:5980055:1 gene:TCM_022603 transcript:EOY08258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein isoform 3 MVGVLNIPKLPLFSPLSLRKPSRPFISVKASSESSDSQASPASTSTKEEQKPSFASSSTATTFAPPPNFKPPEPKRFTVRPDKTWDILGASLALFFRLGTGVFVSGYSASFVSENEIPPGQYCLEVGGSRVKETSKIGPRPEKPIEIYEFEGCPFCRKVREIVAVLDLDVLFYPCPKNGPNFRPKVTQMGGKQQFPYMVDPNTGVAMYESDEIIKYLVGKYGDGSVPFMLSLGLLTTLTAGFAMIGRMGKGSSYTPSKLPPKPLEIWSYEGSPFCKIVREVLVELELPHFQRRGAPRHAIKTIFTDCSAVFHQLCSRQPK >EOY08257 pep chromosome:Theobroma_cacao_20110822:5:5975925:5980560:1 gene:TCM_022603 transcript:EOY08257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein isoform 3 MVGVLNIPKLPLFSPLSLRKPSRPFISVKASSESSDSQASPASTSTKEEQKPSFASSSTATTFAPPPNFKPPEPKRFTVRPDKTWDILGASLALFFRLGTGVFVSGYSASFVSENEIPPGQYCLEVGGSRVKETSKIGPRPEKPIEIYEFEGCPFCRKVREIVAVLDLDVLFYPCPKNGPNFRPKVTQMGGKQQFPYMVDPNTGVAMYESDEIIKYLVGKYGDGSVPFMLSLGLLTTLTAGFAMIGRMGKGSSYTPSKLPPKPLEIWSYEGSPFCKIVREVLVELELPHFQRSCARGSPKRQILYEKAGHFQVPYLEDPNTGVQMFESAEIAEYLRATYAQ >EOY08256 pep chromosome:Theobroma_cacao_20110822:5:5975892:5980542:1 gene:TCM_022603 transcript:EOY08256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein isoform 3 MVGVLNIPKLPLFSPLSLRKPSRPFISVKASSESSDSQASPASTSTKEEQKPSFASSSTATTFAPPPNFKPPEPKRFTVRPDKTWDILGASLALFFRLGTGVFVSGYSASFVSENEIPPGQYCLEVGGSRVKETSKIGPRPEKPIEIYEFEGISCFISDEEKIDSVCFLFLPLEIMDNGGLHTTPVVHFVEREIVAVLDLDVLFYPCPKNGPNFRPKVTQMGGKQQFPYMVDPNTGVAMYESDEIIKYLVGKYGDGSVPFMLSLGLLTTLTAGFAMIGRMGKGSSYTPSKLPPKPLEIWSYEGSPFCKIVREVLVELELPHFQRSCARGSPKRQILYEKAGHFQVPYLEDPNTGVQMFESAEIAEYLRATYAQ >EOY07228 pep chromosome:Theobroma_cacao_20110822:5:881116:883713:-1 gene:TCM_021706 transcript:EOY07228 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B1, putative isoform 1 MKGARGKGAARNTAEALRPADDRKVGKRKALVDQSSIRKAKKERRAMKDPNKPKRPPSAFFVFLEEFRATFKKENPNVKAVSAVGKAAGEKWKSLSEDEKAPYEAKAAKRKADYEKQMNAYNRKQETAANGEESEKSKSEVNDEDDEASGEVDNNSRTMRRRKKRKRTKMMIEKVDG >EOY07230 pep chromosome:Theobroma_cacao_20110822:5:881241:882882:-1 gene:TCM_021706 transcript:EOY07230 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B1, putative isoform 1 MKGARGKGAARNTAEALRPADDRKVGKRKALVDQSSIRKAKKERRAMKDPNKPKRPPSAFFVFLEEFRATFKKENPNVKAVSAVGKAAGEKWKSLSEDEKAPYEAKAAKRKADYEKQMNAYNRKQETAANGEESEKSKSEVNDEDDEASGEVDNNSRTMRRRKKRKRTKMMIEKVDG >EOY07224 pep chromosome:Theobroma_cacao_20110822:5:881289:883596:-1 gene:TCM_021706 transcript:EOY07224 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B1, putative isoform 1 MKGARGKGAARNTAEALRPADDRKVGKRKALVDQSSIRKAKKERRAMKDPNKPKRPPSAFFVFLEEFRATFKKENPNVKAVSAVGKAAGEKWKSLSEDEKAPYEAKAAKRKADYEKQMNAYNRKQETAANGEESEKSKSEVNDEDDEASGEEGQQQPDDEEE >EOY07225 pep chromosome:Theobroma_cacao_20110822:5:881116:883606:-1 gene:TCM_021706 transcript:EOY07225 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B1, putative isoform 1 MKGARGKGAARNTAEALRPADDRKVGKRKALVDQSSIRKAKKERRAMKDPNKPKRPPSAFFVFLEEFRATFKKENPNVKAVSAVGKAAGEKWKSLSEDEKAPYEAKAAKRKADYEKQMNAYNRKQETAANGEESEKSKSEVNDEDDEASGEVDNNSRTMRRRKKRKRTKMMIEKVDG >EOY07227 pep chromosome:Theobroma_cacao_20110822:5:881000:883542:-1 gene:TCM_021706 transcript:EOY07227 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B1, putative isoform 1 MKGARGKGAARNTAEALRPADDRKVGKRKALVDQSSIRKAKKERRAMKDPNKPKRPPSAFFVFLEEFRATFKKENPNVKAVSAVGKAAGEKWKSLSEDEKAPYEAKAAKRKADYEKQMNAYNRKQETAANGEESEKSKSEVNDEDDEASGEPDDEEEEEEEEDEDDD >EOY07223 pep chromosome:Theobroma_cacao_20110822:5:881017:883488:-1 gene:TCM_021706 transcript:EOY07223 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B1, putative isoform 1 MKGARGKGAARNTAEALRPADDRKVGKRKALVDQSSIRKAKKERRAMKDPNKPKRPPSAFFVFLEEFRATFKKENPNVKAVSAVGKAAGEKWKSLSEDEKAPYEAKAAKRKADYEKQMNAYNRKQETAANGEESEKSKSEVNDEDDEASGEEGQQQPDDEEEEEEEEDEDDD >EOY07226 pep chromosome:Theobroma_cacao_20110822:5:880989:883713:-1 gene:TCM_021706 transcript:EOY07226 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B1, putative isoform 1 MKGARGKGAARNTAEALRPADDRKVGKRKALVDQSSIRKAKKERRAMKDPNKPKRPPSAFFVFLEEFRATFKKENPNVKAVSAVGKAAGEKWKSLSEDEKAPYEAKAAKRKADYEKQMNAYNRKQETAANGEESEKSKSEVNDEDDEASGEEGQQQPDDEEEEEEEEDEDDD >EOY07229 pep chromosome:Theobroma_cacao_20110822:5:880989:883713:-1 gene:TCM_021706 transcript:EOY07229 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B1, putative isoform 1 MKGARGKGAARNTAEALRPADDRKVGKRKALVDQSSIRKAKKERRAMKDPNKPKRPPSAFFVFLEEFRATFKKENPNVKAVSAVGKAAGEKWKSLSEDEKAPYEAKAAKRKADYEKQMNAYNRKQETAANGEESEKSKSEVNDEDDEASGEPDDEEEEEEEEDEDDD >EOY08174 pep chromosome:Theobroma_cacao_20110822:5:5215925:5217929:-1 gene:TCM_022507 transcript:EOY08174 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P2-4 MKVIAAYLLAVLGGNTCPSADDLKDILGCVGAEVEDDRIQLLLSEVKGKDITELIAAGREKLASVPSGGGVAVSAAAPGAGAAAAPAAAETKKEEKVEEKEESDDDMGFSLFD >EOY10384 pep chromosome:Theobroma_cacao_20110822:5:34423818:34427783:-1 gene:TCM_025752 transcript:EOY10384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L3 family protein isoform 2 MSIASLSLPSLGHCCQNSPPISLKSSFLSKPTTTQFSFAFKTTQKRSFFHVVSMSMEAGVGVMGTKLGMMSYFETDGTVVPVTVVGFREGNIVTQVKTSATDGYDAVQVGYRRVRDKKLTKPELGHLEKGGVIPMRHLQEFRLQSVEAFEVGQKLAVEEIFKEGDLVDVSGTTIGKGFQGGIKRHNFKRGQMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGSKRKIRKLKIVKLDNELRVVMIKGAVPEADLAGRFRG >EOY10383 pep chromosome:Theobroma_cacao_20110822:5:34424932:34427844:-1 gene:TCM_025752 transcript:EOY10383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L3 family protein isoform 2 MSIASLSLPSLGHCCQNSPPISLKSSFLSKPTTTQFSFAFKTTQKRSFFHVVSMSMEAGVGVMGTKLGMMSYFETDGTVVPVTVVGFREGNIVTQVKTSATDGYDAVQVGYRRVRDKKLTKPELGHLEKGGVIPMRHLQEFRLQSVEAFEVGQKLAVEEIFKEGDLVDVSGTTIGKGFQGGIKRHNFKRGQMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGSKRKIRKLKIVKLDNELRVVMIKGAVPDALAKEGILRPNDFWRRSMGAHKIWCVHDWLGV >EOY08360 pep chromosome:Theobroma_cacao_20110822:5:6877920:6888772:1 gene:TCM_022719 transcript:EOY08360 gene_biotype:protein_coding transcript_biotype:protein_coding description:LETM1-like protein isoform 4 MSIKFHHRCFVSSRSSNPWILWNSFGAHVCCKRIANLDYLLTNWGNLRKNCLIRQTLSDSHRLVAYRKTFSALPKSRRKGLHSPLASAEDGVTVNGSPQTSTSTDVDEIRVKLNQSLQGEDYSNGLVQSLHDAARVFELAIKEQGSLSKMSWFSTAWLGVDRNAWVKTLSYQAAVYSLLQAASEISSRGDSRDRDINVFVQRSLLRQSAPLESLIREKLSAKQPEAYDWFWTEQVPAVVISFVHYFEGDLWYTAATDVCGKGKSLGAGSSSDKALLMLALTCVAATTKLGPAKISCSHFFSMIPDLSGRLMDMLVDFIPIHQAYHSIRDIGLRREFLVHFGPRAAACRVENDQDSEEVIFWVDLVQKQLQQAIDREKIWSRLTTSESIEVLERDLTIFGFFIALGRNTQAFLSANGFDVIDDPVEGFIRYLIGGSVLYYPQLSSISSYQLYVEVVCEELDWLPFYPSNVDTAKQSHGHKSKREGPPNITATSQALDVCSHWTQSFIKYSRWLENPSSNVKAARFLSRGHNKLMECMEELGIPERAMVETSSVGRTGLATEEQSDSFDKALESVEEALKRLENLLQELHVSRSYSGKEQLKAACSDLEKIRKLKKEAEFLEASFRAKEAFFRQDDGDGSSQSSVSEQQQYPKTKPRKSATVTNDRSNRVVNNSRGLWSLLTRPPIRNPDTESSAAEKLGNEFIEENTSNIGIADSEPNELRRFEQLRNELIELEKRVKRSADQSAYGVLRLEMIIISLLMMLGVLNWLRFRRKKESLKNHWTR >EOY08361 pep chromosome:Theobroma_cacao_20110822:5:6877920:6888772:1 gene:TCM_022719 transcript:EOY08361 gene_biotype:protein_coding transcript_biotype:protein_coding description:LETM1-like protein isoform 4 MSIKFHHRCFVSSRSSNPWILWNSFGAHVCCKRIANLDYLLTNWGNLRKNCLIRQTLSDSHRLVAYRKTFSALPKSRRKGLHSPLASAEDGVTVNGSPQTSTSTDVDEIRVKLNQSLQGEDYSNGLVQSLHDAARVFELAIKEQGSLSKMSWFSTAWLGVDRNAWVKTLSYQAAVYSLLQAASEISSRGDSRDRDINVFVQRSLLRQSAPLESLIREKLSAKQPEAYDWFWTEQVPAVVISFVHYFEGDLWYTAATDVCGKGKSLGAGSSSDKALLMLALTCVAATTKLGPAKISCSHFFSMIPDLSGRLMDMLVDFIPIHQAYHSIRDIGLRREFLVHFGPRAAACRVENDQDSEEVIFWVDLVQKQLQQAIDREKIWSRLTTSESIEVLERDLTIFGFFIALGRNTQAFLSANGFDVIDDPVEGFIRYLIGGSVLYYPQLSSISSYQLYVEVVCEELDWLPFYPSNVDTAKQSHGHKSKREGPPNITATSQALDVCSHWTQSFIKYSRWLENPSSNVKAARFLSRGHNKLMECMEELGIPERAMVETSSVGRTGLATEEQSDSFDKALESVEEALKRLENLLQELHVSRSYSGKEQLKAACSDLEKIRKLKKEAEFLEASFRAKEAFFRQDDGDGSSQSSVSEQQQYPKTKPRKSATVTNDRSNRVVNNSRGLWSLLTRPPIRNPDTESSAAEKLGNEFIEENTSNIGIADSEPNELRRFEQLRNELIELEKRVKRSADQSAYGVLRLEMIIISLLMMLGVLNWLRFRRKKESLKNHWTR >EOY08362 pep chromosome:Theobroma_cacao_20110822:5:6877920:6886567:1 gene:TCM_022719 transcript:EOY08362 gene_biotype:protein_coding transcript_biotype:protein_coding description:LETM1-like protein isoform 4 MSIKFHHRCFVSSRSSNPWILWNSFGAHVCCKRIANLDYLLTNWGNLRKNCLIRQTLSDSHRLVAYRKTFSALPKSRRKGLHSPLASAEDGVTVNGSPQTSTSTDVDEIRVKLNQSLQGEDYSNGLVQSLHDAARVFELAIKEQGSLSKMSWFSTAWLGVDRNAWVKTLSYQAAVYSLLQAASEISSRGDSRDRDINVFVQRSLLRQSAPLESLIREKLSAKQPEAYDWFWTEQVPAVVISFVHYFEGDLWYTAATDVCGKGKSLGAGSSSDKALLMLALTCVAATTKLGPAKISCSHFFSMIPDLSGRLMDMLVDFIPIHQAYHSIRDIGLRREFLVHFGPRAAACRVENDQDSEEVIFWVDLVQKQLQQAIDREKIWSRLTTSESIEVLERDLTIFGFFIALGRNTQAFLSANGFDVIDDPVEGFIRYLIGGSVLYYPQLSSISSYQLYVEVVCEELDWLPFYPSNVDTAKQSHGHKSKREGPPNITATSQALDVCSHWTQSFIKYSRWLENPSSNVKAARFLSRGHNKLMECMEELGIPERAMVETSSVGRTGLATEEQSDSFDKALESVEEALKRLENLLQELHVSRSYSGKEQLKAACSDLEKIRKLKKEAEFLEASFRAKEAFFRQKEVLISQHMRVLRLEMIIISLLMMLGVLNWLRFRRKKESLKNHWTR >EOY08359 pep chromosome:Theobroma_cacao_20110822:5:6877920:6888772:1 gene:TCM_022719 transcript:EOY08359 gene_biotype:protein_coding transcript_biotype:protein_coding description:LETM1-like protein isoform 4 MSIKFHHRCFVSSRSSNPWILWNSFGAHVCCKRIANLDYLLTNWGNLRKNCLIRQTLSDSHRLVAYRKTFSALPKSRRKGLHSPLASAEDGVTVNGSPQTSTSTDVDEIRVKLNQSLQGEDYSNGLVQSLHDAARVFELAIKEQGSLSKMSWFSTAWLGVDRNAWVKTLSYQAAVYSLLQAASEISSRGDSRDRDINVFVQRSLLRQSAPLESLIREKLSAKQPEAYDWFWTEQVPAVVISFVHYFEGDLWYTAATDVCGKGKSLGAGSSSDKALLMLALTCVAATTKLGPAKISCSHFFSMIPDLSGRLMDMLVDFIPIHQAYHSIRDIGLRREFLVHFGPRAAACRVENDQDSEEVIFWVDLVQKQLQQAIDREKIWSRLTTSESIEVLERDLTIFGFFIALGRNTQAFLSANGFDVIDDPVEGFIRYLIGGSVLYYPQLSSISSYQLYVEVVCEELDWLPFYPSNVDTAKQSHGHKSKREGPPNITATSQALDVCSHWTQSFIKYSRWLENPSSNVKAARFLSRGHNKLMECMEELGIPERAMVETSSVGRTGLATEEQSDSFDKALESVEEALKRLENLLQELHVSRSYSGKEQLKAACSDLEKIRKLKKEAEFLEASFRAKEAFFRQDDGDGSSQSSVSEQQQYPKTKPRKSATVTNDRSNRVVNNSRGLWSLLTRPPIRNPDTESSAAEKLGNEFIEENTSNIGIADSEPNELRRFEQLRNELIELEKRVKRSADQSAYGVLRLEMIIISLLMMLGVLNWLRFRRKKESLKNHWTR >EOY07906 pep chromosome:Theobroma_cacao_20110822:5:3223186:3227111:-1 gene:TCM_022223 transcript:EOY07906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MSQESLSGNKYFIVFIDDYSRMTWIYFLKFKSEVFETFVRFKAKVETESGLKLKSLRSNNGGEFTSSEFENYLEAEGIHHQLIVLYSPQQNEVSERKNRSILDMARCLLFEKKLPKKFWAEAYNTVVYLLNRLPTRALTGKTSYEAWHGRMLHLKKTNWDWSANEPESSTSPFSIQINRPIETEPDIPEQNVDDDENYAVRGTRSLDDIYHTCLVAATEPDTYQEAAESEEWRVAMQEEIDTMNKTKTWSLVDKPAKHHVIGVKWIFRKKFNSDGTLNKYKARLVAKGYSQLPGVDFLQTFALVARFETIRLLFALSAAFGWKVYHLDVKSAFLNGILQEEIYVEQPEGFKIESQSNKVYKLHKALYGLKQAPRTWNSKIDSYLVNLGFKKSPNEATMYILKHNGTAQVIISLYVDDLLVTECNDKEIRKFKSDMKKNFNMSDLGMMKYFLGIQICQTNKGIFISQENYIQEILNKFHLSNCNPVSTPLVVNQKFSINDGCKLRNASAFRSLVGSLLYICSTHPELMFSVSLLSRLQDIKLVGYSDSDWGGSVDDSKSTSGFGNGAFSWNSHKQCVVAQSSAEAEYIAANAATNQAIWLRKVLNDLTFVQETSTSIFVENKSSIAITKNPVFHGKTKHIKVKFHAIREAERNGEIVLQHCSSENQIADIMTKTLPKLRFETIRTMMSVKDASFKEECWELSMHQLATWTCICPFCFVVLVISTSLLIFQLS >EOY11582 pep chromosome:Theobroma_cacao_20110822:5:39764885:39771998:1 gene:TCM_026722 transcript:EOY11582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit A MANFAKPENALKRAEELINVGQKQDALQALHNLITSKRYRAWQKPLERIMFKYVELCVDMRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMHLSTEKAEKARSQAQALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRSTEFRRLCEIIRNHLANLNKYKDQRDRPDLSAPESLQLYLDTRVEQLKIATELGLWQEAFRSVEDIHGLMSIVKKTPKASLMVVYYAKLTEIFWISASHLYHAYAWLKLFTLQKSFNKNLSQKDLQLIASAVVLAALSVSPYDQTSAASHLELENEKERNLRMANLIGFNLEPKLENREVLSRSSLLTELVSKGVLSCATQEVKDLYHILEHEFLPLDVASKIQPLLIKISKLGGKLASASSVPEVQLSQYVPALEKLATLRLLQQVSQVYQTMKIESLSQMIPFFDFSLVEKVSVDAIKHNFIAMKVDYMKGVVQFGTMGLESDKLRDHLTILAESLNKARAMIYPSAKKASKLGEVLPGLGEIVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESKRQMLQKKTEEAEKKRLAAMFEQQRAERIRKEIEERELEEAQALLHETEKHLKRGKKKPILDGEKLTKQTLLERAMNEQLKERQEQEKRLQKVAKTMDHLERAKREEAAPLIEAAFQQRLVEEKVLHEHEQQLEVELSRQHHDGDLREKNRLARMLGNKMIFQERVMSRRQAEFDQRREEREERIQQIIQARKQERDIKRKKIFYVRSEEERIRKLHEEEEARKLEEAERRRKEEAEHKAKMDEIAEKQRQRERELEEKERQRREALLGRSTDGLSRPSELPAGSRATEPGVAAPAAAPTAGKYVPRFLRERTESSGPAPPSEPDRWVKPTPSESDRWTGGSRAPQPLSDRWTSGSRAPPQDSDRLGGPGGSSRPEPWRPSRARNPQRG >EOY10447 pep chromosome:Theobroma_cacao_20110822:5:34858528:34859449:-1 gene:TCM_025809 transcript:EOY10447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENKALVRLMILFLGFSYLLLSCVAVPTTRSLKSYKELPSSSVQDLLAQDVMKLNEAEESTGEGDAIFSDERMLMESTDYPGTGANKNHDPKTPGRA >EOY08792 pep chromosome:Theobroma_cacao_20110822:5:20353666:20355084:1 gene:TCM_023954 transcript:EOY08792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASTNFIQERQSIMRPLLFKVENYPFWRMRFENFIQFIDLDIWDIIVDGPHTFNKTVNGVKHIKIRIEWDDKDKKYRLLNHKTLIAILCAFSESEFNGVAINDIALKVVKQSDEKSLRSDNEKEDDEEITLLTIRFNRFLRNKQVNRRFFKKEKPRRDIRRDMPKDDQEIENIICYQKYPKDSKKKAMIASCSDNDESQNKEEKEATKLCSMVLDHPMDDEDAESSKQEKNLQVS >EOY08624 pep chromosome:Theobroma_cacao_20110822:5:14075400:14088333:-1 gene:TCM_023443 transcript:EOY08624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEDRGALLVQVDDSEEQFGNLLLCQMQTQHTEYREEPFSRKHIFHLTTIDGENPIAFMESSWPLLGYDGIYELTQHVASPQLREGDCLAKGHISSLPNRVYLDLKQNNFTYLLNIWERWRSMTRGNFDKRYGHIARLLKVQIDDQLLKAIVQFWDPSYRCFVLNEVDMVPTIEEYSTLLQIDLDNHDKIFWKGQKIGHRQKLAKMMGTTLEEVNQHLRKKGNNECIPWSFLRSYIMKHQDTEQGMISYAPFMVRRQFRSEQFVLMTYRLNTLEFAYGELGFLKTIKEIARVWKKTSQVNQGRYTDEVTIRWFSKIKSLRSLLSIIDVAVTDYKQDSTSTPLLRCLTQEYPRTQLNLGL >EOY11249 pep chromosome:Theobroma_cacao_20110822:5:38636505:38640531:-1 gene:TCM_026493 transcript:EOY11249 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein isoform 2 MGAVFGDKGTNLILSVEYYCCDRPNPVLQIIYLAIIGATYYIIAKTSFSYIPGYYLSGVHRYTSLLAVGIGILLFLLTSFSDPGTVKAENVSQYLSAYPYDNIIYTEKECSTCKLPKPARSKHCSICNRCVARFDHHCGWMNNCIGERNTRYFLAFLLWHFLLCMYGTIAIGLVLAGRLKELRVVYILTVYYGVDNSFGSLAPHIVQWLLGSYNSQILLMVFMAIVSLLLAGFFAYHANLCLTNTTTNETFKWQDYISWQKKLSEARASTAALKASITGMKSEGKPPESKCKSFFRRSPLEDTEAVVRNNVYDKGFFHNIYEVIFPVSTRASLLWTKSKSG >EOY11248 pep chromosome:Theobroma_cacao_20110822:5:38636483:38640531:-1 gene:TCM_026493 transcript:EOY11248 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein isoform 2 MAVHWALVCHGLLTLTVVVAFLCGQWPIFQGTPISSIHRFITFGAYQYFLKFMGAVFGDKGTNLILSVEYYCCDRPNPVLQIIYLAIIGATYYIIAKTSFSYIPGYYLSGVHRYTSLLAVGIGILLFLLTSFSDPGTVKAENVSQYLSAYPYDNIIYTEKECSTCKLPKPARSKHCSICNRCVARFDHHCGWMNNCIGERNTRYFLAFLLWHFLLCMYGTIAIGLVLAGRLKELRVVYILTVYYGVDNSFGSLAPHIVQWLLGSYNSQILLMVFMAIVSLLLAGFFAYHANLCLTNTTTNETFKWQDYISWQKKLSEARASTAALKASITGMKSEGKPPESKCKSFFRRSPLEDTEAVVRNNVYDKGFFHNIYEVIFPVSTRASLLWTKSKSG >EOY08914 pep chromosome:Theobroma_cacao_20110822:5:22971992:22990261:-1 gene:TCM_024185 transcript:EOY08914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps51/Vps67 family (components of vesicular transport) protein isoform 1 MRMRFSSGSGDDRDAESLFRTNPISEIRNVESATNKQIQDKKEELRQLVGTRYRDLIDSADSILQMKSASESISSNISSIHHSICSLSLSVSEAPSPKLRTPNPTTRLRIYGIACRVKYLVDTPENIWGCLDESMFLEAAARYVRAKHVHSNLMLPNRDLDHNNILSNFPLLQHQWQIVESFKAQISQRSRERLMDRGLPVAAYADALAAVAVIDDLDPEQVLGLFLETRKTWILHTLTASAGNADATSSIAISVFCDLLSIIQVSIAQVGELFLHVLNDMPLFYKVILGSPPASQLFGGIPNPDDEVRLWKSFRDKLESVTVMLHKTFISNTCLRWLRDCGTQIVSKINGRYLLDAIPSGQDLGTAEKLIRQTMDSKEVLEGSLEWLKSVFGSEIELPWNRIRELVLEGDLDLWDEIFEDAFVRRMKVIIDSGFEDLTRAVNVSDVIHTIGVAAGEKMDFQAYLNRPSTSGGVWFTEPNNVKKPGPLLGNKALPEEDNFQSCLNAYFGLEVSQIRDGVDSCCQSILEDLLSFLESAKASLRLKDLVPYLQKKCYDSVSMILKELKTELDILYSSIESEHKEGGSVPPPIIVERSLFIGRLLFSFENYSKHIPLILGSPRFWVKYTVPAVFEKLPSLLWLSRAATNSPVSDSLGMQMVTSSQRQSSAATAALLGANESASPKLDELRKITRELCIRAYSLWMSWLSDGFSLILSLELEQDDGLSATAPLRGWEETVVKQEQSDEGSSEMKISLPSMPSLYVMSFLCRACEEIHRIGGHVLDKSIVQKFALSLIEKVISIYENFLSTREACGAQVSEKGILQVLLDIRFAADVLSGGDFNVNEEFSSTPKSKSSFKRKQDQIQTKSFIRERIDGLIYSLSQKLDPIDWLTYEPYLWENERQSYLRHAVLFGFFVQLNRMYTDTMQKLPTNSESNIMRCSVVPRFKYLPISAPALSSRGTSGTPITAASNDITSRSSWKAYTNGELSRKVDLDDNPSFGVATPFLKSFMQVGSRFGESTLKLGSMLTDGQVGIFKDRSAAAMSTFGDILPVQAAGLLSSFTTTRSDS >EOY08913 pep chromosome:Theobroma_cacao_20110822:5:22971756:22990208:-1 gene:TCM_024185 transcript:EOY08913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps51/Vps67 family (components of vesicular transport) protein isoform 1 MRMRFSSGSGDDRDAESLFRTNPISEIRNVESATNKQIQDKKEELRQLVGTRYRDLIDSADSILQMKSASESISSNISSIHHSICSLSLSVSEAPSPKLRTPNPTTRLRIYGIACRVKYLVDTPENIWGCLDESMFLEAAARYVRAKHVHSNLMLPNRDLDHNNILSNFPLLQHQWQIVESFKAQISQRSRERLMDRGLPVAAYADALAAVAVIDDLDPEQVLGLFLETRKTWILHTLTASAGNADATSSIAISVFCDLLSIIQVSIAQVGELFLHVLNDMPLFYKVILGSPPASQLFGGIPNPDDEVRLWKSFRDKLESVTVMLHKTFISNTCLRWLRDCGTQIVSKINGRYLLDAIPSGQDLGTAEKLIRQTMDSKEVLEGSLEWLKSVFGSEIELPWNRIRELVLEGDLDLWDEIFEDAFVRRMKVIIDSGFEDLTRAVNVSDVIHTIGVAAGEKMDFQAYLNRPSTSGGVWFTEPNNVKKPGPLLGNKALPEEDNFQSCLNAYFGLEVSQIRDGVDSCCQSILEDLLSFLESAKASLRLKDLVPYLQKKCYDSVSMILKELKTELDILYSSIESEHKEGGSVPPPIIVERSLFIGRLLFSFENYSKHIPLILGSPRFWVKYTVPAVFEKLPSLLWLSRAATNSPVSDSLGMQMVTSSQRQSSAATAALLGANESASPKLDELRKITRELCIRAYSLWMSWLSDGFSLILSLELEQDDGLSATAPLRGWEETVVKQEQSDEGSSEMKISLPSMPSLYVMSFLCRACEEIHRIGGHVLDKSIVQKFALSLIEKVISIYENFLSTREACGAQVSEKGILQVLLDIRFAADVLSGGDFNVNEEFSSTPKSKSSFKRKQDQIQTKSFIRERIDGLIYSLSQKLDPIDWLTYEPYLWENERQSYLRHAVLFGFFVQLNRMYTDTMQKLPTNSESNIMRCSVVPRFKYLPISAPALSSRGTSGTPITAASNDITSRSSWKAYTNGELSRKVDLDDNPSFGVATPFLKSFMQVGSRFGESTLKLGSMLTDGQVGIFKDRSAAAMSTFGDILPVQAAGLLSSFTTTRSDS >EOY09326 pep chromosome:Theobroma_cacao_20110822:5:28302901:28306515:1 gene:TCM_024750 transcript:EOY09326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial RNAediting factor 1 MATPFPELPQNPLNQNSFEQNYRNICNVLLSLTHSRSLPKGLQLHAHIIKAGLQTIPLISHHLLNFYSKTQLPLFSRQIFFETPIRSSTTWSSVISSFAQNELPSLAIEFFREMLVNNIKPDDHIFPSATKSCATLGRFDLGQSIHCLILKTGYDMDVFVASSLVDMYGKCGKINVARKVFDEMPERNVVSWTGMIYGYAQLGEYEEALMLFKQALYRRLDVNDFTFSSVLQVCANSTLLELGKQTHGLCFKTNYNLSSFVGSSLISLYSKCGVIEGAYLVFDEVCVRNLGMWNAMLIACAQHSHTERAFDLFKQMEGVGIKPNFITFLCVLYACSHAGLVEKGQHYFELMKEYKIEPGDQHYASLVDLLGRAGKLQEALSIIREMPIQPTESVWGAFLMGCRIHGNTELAAYAADRIFDLGPVSSGLHVLLSNAYAAAGRYEDAAKARKMLRDLGIKKETGLSWVEEGNKVHTFAAGDRSHAKTKEIYQKLEALGEEMEQVGYVADTRFVLREVDGEEKNQTIRYHSERLAVAFGLITFPPDRPIRVMKNLRICGDCHTAIKFMSKCSGRVIIVRDNNRFHHFEDGKCSCGDYW >EOY08907 pep chromosome:Theobroma_cacao_20110822:5:22924474:22926469:1 gene:TCM_024178 transcript:EOY08907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRYLLISTSKALIKLLHSPFKAQHPPSDHNPHRSVDALEGTRSSLTDRTRHFLVVVMGKYRLQLWCTAYYTTAWTDIVSG >EOY07695 pep chromosome:Theobroma_cacao_20110822:5:2437537:2441030:-1 gene:TCM_022063 transcript:EOY07695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MGWLPCSGKSNTKANKSKKNKKMEQMPLDQIKPSSDSSSSGETSRSGGGEHIAAQIFTFRELATATKNFRAECLLGEGGFGRVYKGRLESTNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLTGYCADGDQRLLVYEYMPLGSLEDHLHDISPGKKRLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGCFPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSKAAGEQNLVAWARPLFKDRKKFSQMADPMLQGQYPPRGLFQALAVAAMCVQEQPNMRPLIADVVTALTYLASQKFEPDTQSVQGSRLAPGTPPRTKRDRDKKPNGGSGSERDRTRRLS >EOY07696 pep chromosome:Theobroma_cacao_20110822:5:2438456:2440689:-1 gene:TCM_022063 transcript:EOY07696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MEQMPLDQIKPSSDSSSSGETSRSGGGEHIAAQIFTFRELATATKNFRAECLLGEGGFGRVYKGRLESTNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLTGYCADGDQRLLVYEYMPLGSLEDHLHDISPGKKRLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGCFPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSKAAGEQNLVAWVAKVSLLS >EOY09894 pep chromosome:Theobroma_cacao_20110822:5:31542917:31552604:1 gene:TCM_025260 transcript:EOY09894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger CCCH domain-containing protein 33 isoform 2 MWQMNLRSSETMESGPYPERPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERAGQPECQYYLKTGTCKFGATCKFHHPRDKAGIAGRVSLNILGYPLRPNEPECAYYLRTGQCKFGSTCKFHHPQPTNMMVSVRGSPIYQTVPSPTTPGQQSYAGGITNWSRASFIPSPRWQGPSSYAPLILPQGVVSVPGWNAYSGQLASVSSSENLLQTNGNNQYGTTHQNESATAGSQASFSQFRSGSVPVGFYALQRENVFPERPGQPECQFYMKTGDCKFGTVCRFHHPRERVLPAPDCVLSPIGLPLRPGEPLCIFYSRYGICKFGPSCKFNHPMGIFTYNYSTSSPSDAPVHRLLGSSSGTAGLNLSSEGLVEAGSTKTRRLSLSENRQLSSSDDNIDTEG >EOY09893 pep chromosome:Theobroma_cacao_20110822:5:31540571:31551528:1 gene:TCM_025260 transcript:EOY09893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger CCCH domain-containing protein 33 isoform 2 MEFSFDAGIPMPRTAAAVAAAAAVAVTEGTSLSPSLNQDAMWQMNLRSSETMESGPYPERPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERAGQPECQYYLKTGTCKFGATCKFHHPRDKAGIAGRVSLNILGYPLRPNEPECAYYLRTGQCKFGSTCKFHHPQPTNMMVSVRGSPIYQTVPSPTTPGQQSYAGGITNWSRASFIPSPRWQGPSSYAPLILPQGVVSVPGWNAYSGQLASVSSSENLLQTNGNNQYGTTHQNESATAGSQASFSQFRSGSVPVGFYALQRENVFPERPGQPECQFYMKTGDCKFGTVCRFHHPRERVLPAPDCVLSPIGLPLRPGEPLCIFYSRYGICKFGPSCKFNHPMGIFTYNYSTSSPSDAPVHRLLGSSSGTAGLNLSSEGLVEAGSTKTRRLSLSENRQLSSSDDNIDTEG >EOY07358 pep chromosome:Theobroma_cacao_20110822:5:1304271:1304942:1 gene:TCM_021813 transcript:EOY07358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transporter, putative MSDHGGMDMPTPAGSTSNGSMKSMDMHMSFYWGKDVIVLFSGWPESNSGMYVLAIFFVLLLGAAIEVLSMLPPVKPGTKPVLGAFTQASVYAVRMCFAYMVMLSVMSYNLGIFIAAVAGHAIGFFIVKLRALQAKETTEQRYMSPDGIASKI >EOY09444 pep chromosome:Theobroma_cacao_20110822:5:29210277:29212607:-1 gene:TCM_024864 transcript:EOY09444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) plant phosphoribosyltransferase family protein isoform 2 MMHRPPPPDDFALKETNPHLGGGKVSGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYLGKTRVFEKKTNPEWNQVFAFSKDRLQASVLEVTVKDKDVVKDDFIGKVFFDLNEVPKRVPPDSPLAPQWYRLEDRQGNKAKGELMLAVWMGTQADEAFPEAWHSDAAVVSGADGLANIRSKVYLSPKLWYLRVNVIEAQDLLPGDKGRYPEVFVRAILGNQALRTRVSVARGINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGKCAIPLQYVDRRLDHKPVNSRWFNLEKHVIVEGEKKKETKFSSRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKSSIGVLELGILNAQGLMPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFAPKWNEQYTWEVFDPCTVITIGVFDNWHLHGGDKASGAKDSKIGKVRIRLSTLETDRVYTHSYPLLVLHSNGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATQIVSMRLGRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMNVLSGLIAVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHADSSHPDELDEEFDTFPTSRPSDVVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYILRHPRFRHKLPSVPLNFFRRLPARTDSML >EOY09445 pep chromosome:Theobroma_cacao_20110822:5:29208855:29213666:-1 gene:TCM_024864 transcript:EOY09445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) plant phosphoribosyltransferase family protein isoform 2 MMHRPPPPDDFALKETNPHLGGGKVSGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYLGKTRVFEKKTNPEWNQVFAFSKDRLQASVLEVTVKDKDVVKDDFIGKVFFDLNEVPKRVPPDSPLAPQWYRLEDRQGNKAKGELMLAVWMGTQADEAFPEAWHSDAAVVSGADGLANIRSKVYLSPKLWYLRVNVIEAQDLLPGDKGRYPEVFVRAILGNQALRTRVSVARGINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGKCAIPLQYVDRRLDHKPVNSRWFNLEKHVIVEGEKKKETKFSSRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKSSIGVLELGILNAQGLMPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFAPKWNEQYTWEVFDPCTVITIGVFDNWHLHGGDKASGAKDSKIGKVRIRLSTLETDRVYTHSYPLLVLHSNGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATQIVSMRLGRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMNVLSGLIAVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHADSSHPDELDEEFDTFPTSRPSDVVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYILRHPRFRHKLPSVPLNFFRRLPARTDSML >EOY09446 pep chromosome:Theobroma_cacao_20110822:5:29209934:29214074:-1 gene:TCM_024864 transcript:EOY09446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) plant phosphoribosyltransferase family protein isoform 2 MMHRPPPPDDFALKETNPHLGGGKVSGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYLGKTRVFEKKTNPEWNQVFAFSKDRLQASVLEVTVKDKDVVKDDFIGKVFFDLNEVPKRVPPDSPLAPQWYRLEDRQGNKAKGELMLAVWMGTQADEAFPEAWHSDAAVVSGADGLANIRSKVYLSPKLWYLRVNVIEAQDLLPGDKGRYPEVFVRAILGNQALRTRVSVARGINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGKCAIPLQYVDRRLDHKPVNSRWFNLEKHVIVEGEKKKETKFSSRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKSSIGVLELGILNAQGLMPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFAPKWNEQYTWEVFDPCTVITIGVFDNWHLHGGDKASGAKDSKIGKVRIRLSTLETDRVYTHSYPLLVLHSNGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATQIVSMRLGRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMNVLSGLIAVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHADSSHPDELDEEFDTFPTSRPSDVVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYILRHPRFRHKLPSVPLNFFRRLPARTDSML >EOY09443 pep chromosome:Theobroma_cacao_20110822:5:29208855:29214017:-1 gene:TCM_024864 transcript:EOY09443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) plant phosphoribosyltransferase family protein isoform 2 MMHRPPPPDDFALKETNPHLGGGKVSGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYLGKTRVFEKKTNPEWNQVFAFSKDRLQASVLEVTVKDKDVVKDDFIGKVFFDLNEVPKRVPPDSPLAPQWYRLEDRQGNKAKGELMLAVWMGTQADEAFPEAWHSDAAVVSGADGLANIRSKVYLSPKLWYLRVNVIEAQDLLPGDKGRYPEVFVRAILGNQALRTRVSVARGINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGKCAIPLQYVDRRLDHKPVNSRWFNLEKHVIVEGEKKKETKFSSRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKSSIGVLELGILNAQGLMPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFAPKWNEQYTWEVFDPCTVITIGVFDNWHLHGGDKASGAKDSKIGKVRIRLSTLETDRVYTHSYPLLVLHSNGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATQIVSMRLGRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMNVLSGLIAVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHADSSHPDELDEEFDTFPTSRPSDVVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYILRHPRFRHKLPSVPLNFFRRLPARTDSIQEDAETNGACFFCMFSGGMLGSGMMCNLHLKILKMMGPCNAKPSASFMTCCSFCPVVIRAYHVGVKRVNIKSWLMYVCECRWEYGTAQAFFTRLTPLLIHNR >EOY09892 pep chromosome:Theobroma_cacao_20110822:5:31531974:31535363:1 gene:TCM_025259 transcript:EOY09892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MACSIGEMVPLFCFFYLLITSTASKEINQECRELRCSRHGPSVRFPFQLKGIHPDHCAYRTGFDLSCTTKNETVLELPVSVKLFVSKIDYQSQMLHLYDPTLTLLKRLQFLIYPILHSVLFSGTLFLKWEGGSDKSPSLFSCTKMYNVYSVPLDILYENHDIQFRWSSPKLECGSCKEEGKICGWKMNASEPQTLCFPKHRERGASTGQVVTGVVLGSCLLAIIVMALYEAYISDKLDRENQIRIKRFMEDYKALKPTRYSYADIKRITNQFKEELGQGSYGTVFKGKLSNEILVAVKVLNETKGNGEEFINEVGTIGKIHHINVVRLVGFCADGFRRALVYEFLPNGSLQKFIASADSKTLFLGWEKLQGIALGVAKGLEYLHRGCDQRILHFDIKPHNILLDHNFNPKICDFGLSKMCSKDQSAVSMTTARGTIGYIAPEVFSRNFGNVSYKSDVYSFGMLLLEMVGGKKNIDGKDDNAVEAYYPDWIHNLLEDGEDLRIPIEGEESSKIAKKLATVGLWCIQWHPVDRPSMEVVVQMLEGKEDHLKIPPNSFDFTGTRPNASMPERRRRQQELDVISEVHQEMEEINDLQEE >EOY07133 pep chromosome:Theobroma_cacao_20110822:5:549900:553409:-1 gene:TCM_021646 transcript:EOY07133 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase GSO1, putative MRISLVSWLFFISFIAIFFTINAVSVSGQCQSDQQELLLGLKIALNSTLSVKLMKWNQSTDCCSWDGVSCDAGGRVIELDLSNQSISGAIESSCSLFRLQHLQRLNLAYNEFISAFPSEFDKLANLTYLNLSAAGFTGQIPIEISYMTKLVTLDLSTFWFLDLPAKLEKPNLVMLVQNLTRLKILYLDGVNISANGKEWSQALASSLPNLQVLSMSYCYLSGPIDPSLAKLKSLSVIRLDGNNLSAPFPKFFAEFQTLTSLHLSETGLSGRLPEEILQVPTLQTLDLSFNYLLEGSFPKFPPNASLQTLVLSYTNFGGELLESIGNLGQLRRIELTKCKFNGPIPETIEKLRQLIYLDFSQNNFSGPIPSFTSLRNLSDLHLADNQLTSSILSTNWSSLLNLVTLDLRHNSFSGAVSPTLLRSPSLKRVDLSKNQFTGGFSEVSGEFSLELKVLDLSHNKLQGPFPMSVFEIQGLTFLSLSWNNFSGLIPLTAFQKLRELSFLDLSYNNFFVDSSASVPYFTNITRLKLASCNLTKFPDFVKNQSKLTHLDLSNNQIYGEIPNWIWKPKLQYLNLSLNFLVQFKGPLHIPSYLSVIDFRGNQLQGHIPIFPPQAIYLDYSNNNFSSVLPPEIGNYLQFATFFFISGNNFHGSIPTSICNNSYLQVLDLSNNSLSGPIPECLIQMSVSLGVLNLRRNNLSGIITDTFSKICTLQTLDLNRNLIGGKVPKSLANCRMLEVLDIGNNQINDTFPCHLKDTSRLRALVLRSNKFNGDIYCQGNNITWPMLQIIDLASNYFSGKLPQAYLRTWNAMKANEDGPHLKHLQFEVFQFDELSFQDVLTVTIKGQEMELVKILTIFTSIDFSCNKFEGPIPQVIGEFKALHVLNLSSNLLTGTIPSFLGDLLTLESLDLSSNHLTGQLPSQLANLNFLSFLNVSNNKLVGRIPKGTQLQSFSDASFENNGGLCGPPLEAKCQSSPTFKDSPSHSGTGRHIDWNLISVETGFFFGLGIVIAPLIFWKRWRIWYYKHIDRALFRLFPRLVLKNRNHGRRAHRSRGRRLQQQ >EOY07297 pep chromosome:Theobroma_cacao_20110822:5:1112292:1118371:-1 gene:TCM_021766 transcript:EOY07297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in exine formation protein (DEX1) isoform 1 MKSFEIRVLWILFLLISHSSFSHGEDSKNKFRQRGATDDELGYPEMDEDALLNTRCPRNLELRWQTEVSSSIYATPLIADINSDGKLDIVVPSFVHYLEVLEGSDGDKMPGWPAFHQSTVHSSPLLYDIDKDGVREIALATYNGEVIFFRVSGYMMTDKLEVPRRRVRKDWYVGLHPDPVDRSHPDVQDDLLVQEAAKMNAMNQTNGSILESNLTGSKSIENHSSKVNLSNAEDGKKTNGSQIEDTIKLPTIVDNTSVNTESVGNNEAHNRASAGRRLLEDNNSKGSQEGSSDSKDKVQEATVENEQGLEVDADSSFELFRDSDELADEYSYDYDDYVDESMWGDEEWTEGQHEKMEDYVNIDSHILSTPVIADIDNDGVSEMIVAVSYFFDHEYYDNPEHMKELGGIEIGKYVAGGIVVFNLDTKQVKWIKDLDLSTDTSNFRAYIYSSLSVVDLDGDGNLDILVGTSFGLFYVLDHHGNVRQKFPLEMAEIQSAVVAADINDDGKIELVTTDTHGNVAAWTAQGEEIWEVHLKSLVPQGPAVGDVDGDGHTDLVIPTLSGNIYVLSGKDGSVVRPYPYRTHGRVMNQVLLVDLNKRGEKSKGLTIVTTSFDGYLYLIDGPTSCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPAPHHPLKAWRSTSQGRNNFAYRYNREGVYVTHSSRAFRDEEGKSFWVEIEIVDKHRYPSGFQAPYNVTTTLLVPGNYQGERRIKQSQIFDRPGKYRIKLPTVAVRTTGTVVVEMVDRNGLHFSDDFSLTFHMYYYKLLKWLLVIPMLGMFGVLVILRPQDAMPLPSFSRNTDL >EOY07298 pep chromosome:Theobroma_cacao_20110822:5:1112860:1118883:-1 gene:TCM_021766 transcript:EOY07298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in exine formation protein (DEX1) isoform 1 MKSFEIRVLWILFLLISHSSFSHGEDSKNKFRQRGATDDELGYPEMDEDALLNTRCPRNLELRWQTEVSSSIYATPLIADINSDGKLDIVVPSFVHYLEVLEGSDGDKMPGWPAFHQSTVHSSPLLYDIDKDGVREIALATYNGEVIFFRVSGYMMTDKLEVPRRRVRKDWYVGLHPDPVDRSHPDVQDDLLVQEAAKMNAMNQTNGSILESNLTGSKSIENHSSKVNLSNAEDGKKTNGSQIEDTIKLPTIVDNTSVNTESVGNNEAHNRASAGRRLLEDNNSKGSQEGSSDSKDKVQEATVENEQGLEVDADSSFELFRDSDELADEYSYDYDDYVDESMWGDEEWTEGQHEKMEDYVNIDSHILSTPVIADIDNDGVSEMIVAVSYFFDHEYYDNPEHMKELGGIEIGKYVAGGIVVFNLDTKQVKWIKDLDLSTDTSNFRAYIYSSLSVVDLDGDGNLDILVGTSFGLFYVLDHHGNVRQKFPLEMAEIQSAVVAADINDDGKIELVTTDTHGNVAAWTAQGEEIWEVHLKSLVPQGPAVGDVDGDGHTDLVIPTLSGNIYVLSGKDGSVVRPYPYRTHGRVMNQVLLVDLNKRGEKSKGLTIVTTSFDGYLYLIDGPTSCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPAPHHPLKAWRSTSQGRNNFAYRYNREGVYVTHSSRAFRDEEGKSFWVEIEIVDKHRYPSGFQAPYNVTVSHHVHAKITTAKSNSNVSFLSPFIFKYCQLFHSLIC >EOY07296 pep chromosome:Theobroma_cacao_20110822:5:1112439:1118875:-1 gene:TCM_021766 transcript:EOY07296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in exine formation protein (DEX1) isoform 1 MKSFEIRVLWILFLLISHSSFSHGEDSKNKFRQRGATDDELGYPEMDEDALLNTRCPRNLELRWQTEVSSSIYATPLIADINSDGKLDIVVPSFVHYLEVLEGSDGDKMPGWPAFHQSTVHSSPLLYDIDKDGVREIALATYNGEVIFFRVSGYMMTDKLEVPRRRVRKDWYVGLHPDPVDRSHPDVQDDLLVQEAAKMNAMNQTNGSILESNLTGSKSIENHSSKVNLSNAEDGKKTNGSQIEDTIKLPTIVDNTSVNTESVGNNEAHNRASAGRRLLEDNNSKGSQEGSSDSKDKVQEATVENEQGLEVDADSSFELFRDSDELADEYSYDYDDYVDESMWGDEEWTEGQHEKMEDYVNIDSHILSTPVIADIDNDGVSEMIVAVSYFFDHEYYDNPEHMKELGGIEIGKYVAGGIVVFNLDTKQVKWIKDLDLSTDTSNFRAYIYSSLSVVDLDGDGNLDILVGTSFGLFYVLDHHGNVRQKFPLEMAEIQSAVVAADINDDGKIELVTTDTHGNVAAWTAQGEEIWEVHLKSLVPQGPAVGDVDGDGHTDLVIPTLSGNIYVLSGKDGSVVRPYPYRTHGRVMNQVLLVDLNKRGEKSKGLTIVTTSFDGYLYLIDGPTSCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPAPHHPLKAWRSTSQGRNNFAYRYNREGVYVTHSSRAFRDEEGKSFWVEIEIVDKHRYPSGFQAPYNVTTTLLVPGNYQGERRIKQSQIFDRPGKYRIKLPTVAVRTTGTVVVEMVDRNGLHFSDDFSLTFHMYYYKLLKWLLVIPMLGMFGVLVILRPQDAMPLPSFSRNTDL >EOY09955 pep chromosome:Theobroma_cacao_20110822:5:31934893:31936507:-1 gene:TCM_025325 transcript:EOY09955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDDPESEWEIQESSGGNSDNGKLRWMMRLGKKILVTGIVVSSAPFVLPPLVAISAIGFFCLVPYGIFLLSYACTETVMSRLFPMPSPTAPLLLEYREAYDGEEEANGDEKQGEQNEVIKGGFNMEREEKEIKEDTIEEVEMRIELVDKVKEELVEGNILQGDAFSHDGVENDEKKSMEEVDEILEGNRHEEDDGEFLDEEKESPSQSFEVEVKEVTESKAEQPTVEESRGEQLAGDGQGSEAIVEGDKKHSSNIEKEPPLEAENVEDNGELVRERSGLLGRNKVENKSDYARDDKESVQNVDAQRKPTKKTELKVEGTTKRKGEQSIAESVNEHPGDEIHQGEEKERGNLNKETTSELKNVAAQIVQATNIESDELVRESRGSLERGREKGRNRDAVEDKQGVKRAKVDAEGELLEGNERATERKQKQLLVEESVGEQPVDEVSGILGGLEGSDKNDSNMEETPLEVKNIPVQLVQSIDVEENEKLVIGARGLSERISDESKPDHEVDDKQRAERVNVGGVGKQSQK >EOY09954 pep chromosome:Theobroma_cacao_20110822:5:31931432:31937131:-1 gene:TCM_025325 transcript:EOY09954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDDPESEWEIQESSGGNSDNGKLRWMMRLGKKILVTGIVVSSAPFVLPPLVAISAIGFFCLVPYGIFLLSYACTETVMSRLFPMPSPTAPLLLEYREAYDGEEEANGDEKQGEQNEVIKGGFNMEREEKEIKEDTIEEVEMRIELVDKVKEELVEGNILQGDAFSHDGVENDEKKSMEEVDEILEGNRHEEDDGEFLDEEKESPSQSFEVEVKEVTESKAEQPTVEESRGEQLAGDGQGSEAIVEGDKKHSSNIEKEPPLEAENVEDNGELVRERSGLLGRNKVENKSDYARDDKESVQNVDAQRKPTKKTELKVEGTTKRKGEQSIAESVNEHPGDEIHQGEEKERGNLNKETTSELKNVAAQIVQATNIESDELVRESRGSLERGREKGRNRDAVEDKQGVKRAKVDAEGELLEGNERATERKQKQLLVEESVGEQPVDEVSGILGGLEGSDKNDSNMEETPLEVKNIPVQLVQSIDVEENEKLVIGARGLSERISDESKPDHEVDDKQRAERVNVGGVGKQSQK >EOY07895 pep chromosome:Theobroma_cacao_20110822:5:3167585:3170006:-1 gene:TCM_022212 transcript:EOY07895 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 8, putative MSHGGVESTRDTRESEGQRSLPLGRPKADDFGRAVSKISVAQICECVGYQGFKESALEALADIAIRYLCDLGKTSSFHANLAGRTECNMFDITQSLEELGASYGFSGASEIGHCLAGSGAVREIIQFVGSKEEIPFAQPVPQFPVVRNRKLIPSFEHMNETPPGKHIPAWLPAFPDPHTYIHTPMWNERASDPRADKIEQARQRRKAERALLSLQQRLVCNGSTETSASLVVDAKKETIQEAGNNAFLAAPLQPGEKDVARVVLPAKLSDEVSKDNHVSLLEAFAPAIEAMKGGPSGELDGEKMLLPERRPAVHFKFRTGKKILGESLDLSLQKKGERSTTFFLRDEERDDKKRRAEFILRQTTEYPMELNQS >EOY07594 pep chromosome:Theobroma_cacao_20110822:5:2083936:2092060:1 gene:TCM_021986 transcript:EOY07594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase with RING/FYVE/PHD-type zinc finger domain, putative isoform 1 MEEEGACLESSTEEIMENVNSTKPELKRDLEFVEPEPQSEPEASPNKKQSKEVSNEDIQSEVSNPIVSPKENTSNFYDISSRNQVGCGEVTSLCSGNSSSEETLSDSSETGDTSGVVSSSHVTLEIPKHLSSSGIRKITFKFSKRKEDDNETSVSVGGECMNPENGSIEWSSRYSCAPNMELKMSKKVVPSNYPTNVKKLLGTGILDGARVKYISISMARVLDGIVHAGGYLCGCSFCNFSKVLSAHEFEQHAGAKTRHPNNHIFLENGKPIYNIIQELKNAPVSSLDEVIKDVAGSSINEESFQDWKASLQQSNGKVEAEKKYNMKFSSLPNSRRCFGKSVGERMGPISSALMQNNPVRQPNLCVSSSVLQQKRTAEGVTKKRDNDLHRLLFMPQGLPDGAELAYFIKGQKLLEGYKQGNGIVCGCCLKELSPSQFEAHAGMAARRQPYRHIYTSNGVTLHDIALSLANGQRITTGYSDDMCALCGDAGDLLLCCECPQAFHPACLNLQHLPEGDWHCANCADGHGPGRKAVSGARPILIRLKRVVKAPEFEIGGCAICRAFDFNASEFNDRTVILCDQCEKEFHVGCLRDSGRCDLKEIPKDKWFCCDDCNMIYEVLQSSVSNGVQIIPTSFSDIIRRKHLEKGLFIDGAIDCVQWRIMSGKSRYPEHLPLLSSAAAIFRECFDPIVAKSGRDLIPVMVYGRNISGQEFGGMYCVVLIVRSVVVSAGLLRIFGQEVAELPIVATSREHQGKGYFQALFACIERLLSSLNVENLVLPAAEEALSIWTKKFGFTKMSEQQLFEYQKQLQLTIFKGTSMLEKKVPPMAE >EOY07595 pep chromosome:Theobroma_cacao_20110822:5:2083936:2092060:1 gene:TCM_021986 transcript:EOY07595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase with RING/FYVE/PHD-type zinc finger domain, putative isoform 1 MEEEGACLESSTEEIMENVNSTKPELKRDLEFVEPEPQSEPEASPNKKQSKEVSNEDIQSEVSNPIVSPKENTSNFYDISSRNQVGCGEVTSLCSGNSSSEETLSDSSETGDTSGVVSSSHVTLEIPKHLSSSGIRKITFKFSKRKEDDNETSVSVGGECMNPENGSIEWSSRYSCAPNMELKMSKKVVPSNYPTNVKKLLGTGILDGARVKYISISMARVLDGIVHAGGYLCGCSFCNFSKVLSAHEFEQHAGAKTRHPNNHIFLENGKPIYNIIQELKNAPVSSLDEVIKDVAGSSINEESFQDWKASLQQSNGKVEAEKKYNMKFSSLPNSRRCFGKSVGERMGPISSALMQNNPVRQPNLCVSSSVLQQKRTAEGVTKKRDNDLHRLLFMPQGLPDGAELAYFIKGQKLLEGYKQGNGIVCGCCLKELSPSQFEAHAGMAARRQPYRHIYTSNGVTLHDIALSLANGQRITTGYSDDMCALCGDAGDLLLCCECPQAFHPACLNLQHLPEGDWHCANCADGHGPGRKAVSGARPILIRLKRVVKAPEFEIGGCAICRAFDFNASEFNDRTVILCDQCEKEFHVGCLRDSGRCDLKEIPKDKWFCCDDCNMIYEVLQSSVSNGVQIIPTSFSDIIRRKHLEKGLFIDGAIDCVQWRIMSGKSRYPEHLPLLSSAAAIFRECFDPIVAKSGRDLIPVMVYGRNISGQEFGGMYCVVLIVRSVVVSAGLLRIFGQEVAELPIVATSREHQGKGYFQALFACIERLLSSLNVENLVLPAAEEALSIWTKKFGFTKMSEQQLFEYQKQLQLTIFKGTSMLEKKVPPMAE >EOY07596 pep chromosome:Theobroma_cacao_20110822:5:2083936:2092060:1 gene:TCM_021986 transcript:EOY07596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase with RING/FYVE/PHD-type zinc finger domain, putative isoform 1 MEEEGACLESSTEEIMENVNSTKPELKRDLEFVEPEPQSEPEASPNKKQSKEVSNEDIQSEVSNPIVSPKENTSNFYDISSRNQVGCGEVTSLCSGNSSSEETLSDSSETGDTSGVVSSSHVTLEIPKHLSSSGIRKITFKFSKRKEDDNETSVSVGGECMNPENGSIEWSSRYSCAPNMELKMSKKVVPSNYPTNVKKLLGTGILDGARVKYISISMARVLDGIVHAGGYLCGCSFCNFSKVLSAHEFEQHAGAKTRHPNNHIFLENGKPIYNIIQELKNAPVSSLDEVIKDVAGSSINEESFQDWKASLQQSNGKVEAEKKYNMKFSSLPNSRRCFGKSVGERMGPISSALMQNNPVRQPNLCVSSSVLQQKRTAEGVTKKRDNDLHRLLFMPQGLPDGAELAYFIKGQLLEGYKQGNGIVCGCCLKELSPSQFEAHAGMAARRQPYRHIYTSNGVTLHDIALSLANGQRITTGYSDDMCALCGDAGDLLLCCECPQAFHPACLNLQHLPEGDWHCANCADGHGPGRKAVSGARPILIRLKRVVKAPEFEIGGCAICRAFDFNASEFNDRTVILCDQCEKEFHVGCLRDSGRCDLKEIPKDKWFCCDDCNMIYEVLQSSVSNGVQIIPTSFSDIIRRKHLEKGLFIDGAIDCVQWRIMSGKSRYPEHLPLLSSAAAIFRECFDPIVAKSGRDLIPVMVYGRNISGQEFGGMYCVVLIVRSVVVSAGLLRIFGQEVAELPIVATSREHQGKGYFQALFACIERLLSSLNVENLVLPAAEEALSIWTKKFGFTKMSEQQLFEYQKQLQLTIFKGTSMLEKKVPPMAE >EOY08977 pep chromosome:Theobroma_cacao_20110822:5:24421314:24427375:1 gene:TCM_024311 transcript:EOY08977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFEHSNNERTSVFMALEDEAHGMTSTCGFGNVYAPNDEQERVAFWKNRQDGLLFKVDFDNEFDSVSWDFLDLVLRMMGLRQGYPLSQLLFNLVVEVFSALMYRAVNHQLIKGLEPNGILPSLGNPSKASGIWKNITMPLSGAYDFSFFVVDEMVVKKVGSLEDFGNWNGDEGTWNIEVKWPNLNASITYLTRLLNEGTTLAKSKTNKNVVIWSKLLVGFLKFNIDGSSRGCLEDSGIKGILRNKFRDMIVLFSKAIGIFYSNKVELLAVSEAAIMSLVKQTCNVLAKVDRWQINHVPRSSNNEADFSAKERVLRPSNLLSISDFTAIQEIDQNIKQV >EOY07281 pep chromosome:Theobroma_cacao_20110822:5:1048333:1061189:-1 gene:TCM_021750 transcript:EOY07281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein MASHFSAFFYLGFSLSLNISFKEGEKQRSSSFFFFLYGQINCWQLHNYKKKREMMNRGLEVLSPASYLQTSNWLFQESRGTKWTPEENKCFENALALYDKDTPDRWFMVAAMIPGKTVEDVIKQYRELEEDVSDIEAGLIPIPGYSSDSFTLEWVNDSQGFDGFRQYYTPGGKRGAGTRPSDQERKKGVPWTEEEHRQFLMGLKKYGKGDWRNISRNFVTTRTPTQVASHAQKYFIRQLNGGKDKRRSSIHDITTINVPDTPSSSPDHSKPLSPNNSAAVMQAQQQPKVAGVTKELLEWKQQNEGAAMIFNQTSGNAFLSPFCGISSYGPKVDEQNFLRGTLPRSQFGSYNTLFQMQSMQRQ >EOY11406 pep chromosome:Theobroma_cacao_20110822:5:39177468:39178935:1 gene:TCM_026593 transcript:EOY11406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family protein 28 isoform 2 MGEAEDGVKPKRVVFVTVGTTCFDALVKVVDSQKVKDELLRRGYTHLLIQMGRGSYLPEKSIGDDGSLAVDYFTFSSSIAEHLRSASLVISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELAEELAERKHLYCARPQTLHQTIASMDLESLLPYSPGDATPVAKLINRFLGFPED >EOY11407 pep chromosome:Theobroma_cacao_20110822:5:39176621:39179068:1 gene:TCM_026593 transcript:EOY11407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family protein 28 isoform 2 IDEESGPSEPWLRGESRGGQAAATLTIFRSIGDDGSLAVDYFTFSSSIAEHLRSASLVISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELAEELAERKHLYCARPQTLHQTIASMDLESLLPYSPGDATPVAKLINRFLGFPED >EOY07374 pep chromosome:Theobroma_cacao_20110822:5:1364487:1364972:1 gene:TCM_021826 transcript:EOY07374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSLKRFKHQSRLYQLSLAVLFSPFTARDNLTFKICQKHFKSFALINPLINLVTLNKRKFPIQKEKTKKQ >EOY10079 pep chromosome:Theobroma_cacao_20110822:5:32891757:32893023:-1 gene:TCM_025461 transcript:EOY10079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan family protein, putative MATCIITFVLLMAPFQSTSATNIPPRNQDLGVAIEEMQKANYFTFVMLINMFPLDSKIHGNVTFLMPNDRMLSKTIIPESAVSSFLYRHSIPSPLLFENLQYIPTGSILPSSEPQYMLKISNGGGRRSFFLNNVRIISPNLCTASSSIRCHGIDGVLTAVKLPGSNTPLSTCSNSTGSAASPSPVAAPPSPAPILPFSDDSPVPAPQPAESSQNKSGASQFLSDSKLLKFTGTLLVVSIIGVSM >EOY10156 pep chromosome:Theobroma_cacao_20110822:5:33242480:33252471:-1 gene:TCM_025530 transcript:EOY10156 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein MGPDSRDGGNANALEKGWSGLQSIPRNILLSKTRKQLIQWPIKEIETLRAENVICDVKSKRKKMQAKSRFQSRQQTKQRNYNPAIKTRGKPERVIEKLATAAYQEISLAWGVQTDFQRLNDILTTVKDVLLDAEENQAHNNQLRNWLQKLKDACYDAEDVLDEFEIEAWRRQVLKQRNIVKKVRNFFSSSNPVAFRFRMAHKIKKVTERFGEIAALKANFHLAERHYGTRHLMGLDRETHSFVQAADVIGRDQDKEKIINALMQDPTDGEHISVLPIVGIGGLGKTTLAKLVYNAECIDRHFELKKWICVADDFDLKQLMIKIIKAAKVFDGNWSSMDLDQLQKVFRDCLDEKKYLLVLDDLWNEDHIKWDELKQLLVGGAQGSKIVVTTRSSQVAEIMGTIPTHNLQDYEFNDVEVIHFWMAHDLLHSSNENEDSEDIGRRYLNNLSSRSFFQDFDQSLSIQSFKMHYLLHDLALSMAKNECSVGFEALTTLKVLIIVDCEKFHLNMTLGSEGRGKEVKSQDYHIGSRLHLQTLGVGGLPTTPMASCGICQHFAAPDT >EOY10131 pep chromosome:Theobroma_cacao_20110822:5:33118955:33121243:1 gene:TCM_025509 transcript:EOY10131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKFTVLGLVLAVLCVITGECICTGDSHSANCSKPDLEALFDFESGLNDPENRLSSWQGSNHYQWNGIGRNNSTGAVIMIDLQNPYPLNSESSSSLQFLDVSSEFGSLSSDSLEWVAGPVSLKHLAMTEVDLSMVGSGLVWMLSRLSFLNELHLSECQISGSISSFNPVNLTSLSVLDLSFKSFSSGFPDWVVNISSLTYVDSSYCGLAGRIPLGFVFWSVWNVVEVGGECLSNGIANPTLVQIKQHYEEVAKRYKALSCLQFAISDTIVTRTMKVWDTLREEF >EOY10053 pep chromosome:Theobroma_cacao_20110822:5:32708789:32723488:-1 gene:TCM_025439 transcript:EOY10053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin 1A isoform 1 MAAERLRDLSQPIDVSLLDATVAAFYGTGSKEERAHADQILRDLQNNPDMWLQVVHILQHTKSLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRAERLYVNKLNIILVQILKHDWPARWQSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSVSQRTELIRATLSTLHAFLSWIPLGYIFESTLLETLLNFFPVPSYRNLTLQCLTEIAALNFGDYYDVQYIKMYNIFMVQFQTILPPTTNIPEAYAHGSSEEQAFIQNLALFFTSFYKFHIRVLETAQENISALLVGLEYLINISYVDDTEVFKVCLDYWNSLVLGLFDAHHNMDNPAVTANMMGLQVPLLPGMVDGLGAQLLQRRQLYAGTMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLSALATTVADLEPHQIHTFYESVGHMIQAESDPHKRDEYLQRLMELPNQKWVEIIGQARQSVDFLKDQDVIRTVLNILQTNTSVASSLGTYFLTQISLIFLDMLNVYRMYSELISSSIAEGGPFASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALIRLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGLLTEPLWDAATVPYPYPNNGMFVREYTIKLLSTSFPNMTAAEVTQFVNGLFESRNDLSTFKNHIRDFLVQSKEFSAQQDNKDLYAEEAAVQRERERQRMLSIPGLIAPNEIQDEMLDS >EOY10052 pep chromosome:Theobroma_cacao_20110822:5:32708789:32723488:-1 gene:TCM_025439 transcript:EOY10052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin 1A isoform 1 MAAERLRDLSQPIDVSLLDATVAAFYGTGSKEERAHADQILRDLQNNPDMWLQVVHILQHTKSLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRAERLYVNKLNIILVQILKHDWPARWQSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSVSQRTELIRATLSTLHAFLSWIPLGYIFESTLLETLLNFFPVPSYRNLTLQCLTEIAALNFGDYYDVQYIKMYNIFMVQFQTILPPTTNIPEAYAHGSSEEQAFIQNLALFFTSFYKFHIRVLETAQENISALLVGLEYLINISYVDDTEVFKVCLDYWNSLVLGLFDAHHNMDNPAVTANMMGLQVPLLPGMVDGLGAQLLQRRQLYAGTMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLSALATTVADLEPHQIHTFYESVGHMIQAESDPHKRDEYLQRLMELPNQKWVEIIGQARQSVDFLKDQDVIRTVLNILQTNTSVASSLGTYFLTQISLIFLDMLNVYRMYSELISSSIAEGGPFASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALIRLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGLLTEPLWDAATVPYPYPNNGMFVREYTIKLLSTSFPNMTAAEVTQFVNGLFESRNDLSTFKNHIRDFLVQSKEFSAQDNKDLYAEEAAVQRERERQRMLSIPGLIAPNEIQDEMLDS >EOY10051 pep chromosome:Theobroma_cacao_20110822:5:32708321:32723503:-1 gene:TCM_025439 transcript:EOY10051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin 1A isoform 1 MAAERLRDLSQPIDVSLLDATVAAFYGTGSKEERAHADQILRDLQNNPDMWLQVVHILQHTKSLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRAERLYVNKLNIILVQILKHDWPARWQSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSVSQRTELIRATLSTLHAFLSWIPLGYIFESTLLETLLNFFPVPSYRNLTLQCLTEIAALNFGDYYDVQYIKMYNIFMVQFQTILPPTTNIPEAYAHGSSEEQAFIQNLALFFTSFYKFHIRVLETAQENISALLVGLEYLINISYVDDTEVFKVCLDYWNSLVLGLFDAHHNMDNPAVTANMMGLQVPLLPGMVDGLGAQLLQRRQLYAGTMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLSALATTVADLEPHQIHTFYESVGHMIQAESDPHKRDEYLQRLMELPNQKWVEIIGQARQSVDFLKDQDVIRTVLNILQTNTSVASSLGTYFLTQISLIFLDMLNVYRMYSELISSSIAEGGPFASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALIRLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGLLTEPLWDAATVPYPYPNNGMFVREYTIKLLSTSFPNMTAAEVTQFVNGLFESRNDLSTFKNHIRDFLVQSKEFSAQDNKDLYAEEAAVQRERERQRMLSIPGLIAPNEIQDEMLDS >EOY07164 pep chromosome:Theobroma_cacao_20110822:5:692081:697142:1 gene:TCM_021671 transcript:EOY07164 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAX-interacting protein 2 MLSSWSIFNSLEGKKKKRKTFSGLNTSHLDRTKNKNKNGNSLPSSSNFQADAKNPGFSKALFRMAAFNLSPLPSSSLRVLSSHHPRNTPTLSFYSRFTPSLSFPSVSLRPLTSKKPRSCIISSALKNLSEVEPVSFPEKPEEFVAKFPSDAGVYAVFDQNDDLQFIGISRNIAGSLFNHKKSVSELCGSVKVGVVNEPDRAALTQAWKSWMEEHIKTTGKVPPGNESGNTTWARQPPKTKPDLRLTPGRHVQLTVPLEELINKLVKENRVVAFIKGSRSAPMCGFSQRVIAILESQGVDYESVDVLDEEYNYGLRDTLKQYSNWPTFPQVFVNGELVGGCDILTSMYEKGELAGLFKN >EOY07655 pep chromosome:Theobroma_cacao_20110822:5:2354274:2357118:1 gene:TCM_022039 transcript:EOY07655 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein with retrovirus zinc finger-like domain, putative MPRFDGRYGTTRLYVGRLSSRTRARDLEHIFSRYGRFLGFCLTWRPMVRGSALAELVEIPAQCYLSNDGLTVSSNNFRRIRDVDMKHDFAFVELSYPRDADGARYSLDGRDFDGSCIIVELARGGPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCRAGDWKNKCDRCGERGHIERNCKNSAKKLNRPGRSYSRSPVRSRSPHRGRSESRSFSRGRSYRSPARRERSIECDDRVESPEPKNIAPLSKGRKRSPTPDEDSSRQERGSPFPQNGKLAAQQNEANYSGSLRGVSTNPATLIEMRGLPEDGTKPY >EOY11215 pep chromosome:Theobroma_cacao_20110822:5:38370318:38374100:-1 gene:TCM_026460 transcript:EOY11215 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein isoform 2 MGFSMQPYGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSTITRTSLGPDGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGELLQNAEELIRTGLHPSEIISGYTKAINKTIEVLSELVEKGSEKMDVRNKEQVVTRMKAAVASKQHGQEDILCSLIADACIQVCPKNPANFDVDNVRVSKLVGGGLHNCTIVRGMVLKGDAVGSIKRMEKAKVAVFASGIDTSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIVSGGAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGTAALLKLSQPKPDDLGFVDSVSVEEIGGSRVTVVRSEEGGNRVATVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIVPGAAATEIELARRLKEFSFKETGLDQYAIAKFAESFEMVPRTLAENAGLNPMDVISKLYEKHASGNTKVGIDLGGGDSEDGVCKDASTMSIWDLYVTK >EOY11216 pep chromosome:Theobroma_cacao_20110822:5:38370777:38374100:-1 gene:TCM_026460 transcript:EOY11216 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein isoform 2 MGFSMQPYGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSTITRTSLGPDGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGELLQNAEELIRTGLHPSEIISGYTKAINKTIEVLSELVEKGSEKMDVRNKEQVVTRMKAAVASKQHGQEDILCSLIADACIQVCPKNPANFDVDNVRVSKLVGGGLHNCTIVRGMVLKGDAVGSIKRMEKAKVAVFASGIDTSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIVSGGAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGTAALLKLSQPKPDDLGFVDSVSVEEIGGSRVNFPLRKQGGLFVFLCFYLLIAKLHSACNFSSLAFMIMCYNCMNGI >EOY11214 pep chromosome:Theobroma_cacao_20110822:5:38369826:38374188:-1 gene:TCM_026460 transcript:EOY11214 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein isoform 2 MGFSMQPYGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSTITRTSLGPDGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGELLQNAEELIRTGLHPSEIISGYTKAINKTIEVLSELVEKGSEKMDVRNKEQVVTRMKAAVASKQHGQEDILCSLIADACIQVCPKNPANFDVDNVRVSKLVGGGLHNCTIVRGMVLKGDAVGSIKRMEKAKVAVFASGIDTSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIVSGGAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGTAALLKLSQPKPDDLGFVDSVSVEEIGGSRVTVVRSEEGGNRVATVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIVPGAAATEIELARRLKEFSFKETGLDQYAIAKFAESFEMVPRTLAENAGLNPMDVISKLYEKHASGNTKVGIDLGGGDSEDGVCKDASTMSIWDLYVTKFFALKYATDAACTVLRVDQIIMAKPAGGPRRDQPAGMDED >EOY11487 pep chromosome:Theobroma_cacao_20110822:5:39412701:39415569:-1 gene:TCM_026644 transcript:EOY11487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 (Hsp 70) family protein MAIKNKAFWVLFLFISEFFLGIAVAADETKVGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDTERLIGEAAKNQAALNAERTIFDVKRLIGRKFDDPEVQRDIKFLPYKVVNKDGKPYIQIKVKGETKVFSPEEISAMVLTKMKETAEAYLGKKIKDAVITVPAYFNDAQRQATKDAGTIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVYDLGGGTFDVSILTIDNGVFEVLATSGDTHLGGEDFDHRVMDYFIKLIKKKYNKDISKDNKALGKLRRECERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNMDLFKKTLGPVKRALEDANLKKSDISEIVLVGGSTRIPKVQQLLKDLFDGKEPSKGINPDEAVAYGAAVQGGILSGEGGEETKDILLLDVAPLSLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIKVYEGERSLTKDCRELGRFDLTGIPPAPRGVPQIEVTFEVDANGILHVTAEDKAAKKSQSITITNDKGRLSQEEIEKMVKEAEEFAEEDRKVREKIDSRNKLETYIYNMRSTIDDKDKLADKLGSDDKERIESTLKEALEWLDDNQNGGKEDFDEKLKEVEAVCNPIIKQVYEKSSGSSAGSEEEDEPTDEL >EOY10292 pep chromosome:Theobroma_cacao_20110822:5:34009519:34012454:1 gene:TCM_025665 transcript:EOY10292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Benzoyl coenzyme A: Benzyl alcohol benzoyl transferase MAPLSTSLVFTVRRCEPELVGPAKPTPNECKRLSDIDDQESFRFQVPVIQFYRYNPCMQGKDPAMIVREALAQALVFYYPLAGRLREGPNRKLMVDCNGEGALFVEADADVTLEQFGDALQPPFPCLHELLYDTPSSEGMLNSPLLFIQVTRLRCGGFIFAISLNHVMSDASGMIQFMFAMAEIAHGAAPLIPPVWERHLLDARDPPRAAFTHHEYDQVEAASITPSENTVHRSFFFGPNEVSTLRRLLPEHLCKCSRFELLAACLWRCRTIAIKPDPEEEVRMLSMVNVRRKFNPPLPSGYYGNAVAFPAAITTARKLCQNPLGYAVKLVKQAKESVTEEYVKSVAALMVIKGRPHYPTVRSCLISDLTRAGFGEVDFGWGKAVYGGLPKAGLGATLHAPSSFLIAVKNKKGEAGIAMPICLPAPAMERFAKELDSLLEEQLVEVESKSVFISSAL >EOY11702 pep chromosome:Theobroma_cacao_20110822:5:40088070:40090893:-1 gene:TCM_026792 transcript:EOY11702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MYTARKIAPFTIKHNSLQWVQSHSTWTKIPYTNLSKGAKGPAILATNVIKSFFEKGLINDARVLFDEMPDRDVVMWTAMIAGYTSCDHQVHAWTVFCEMVNNGVKPNAFTLSSVLKACKSMQCLACGGLVHGVAVKHGLEGSLYVDNALMDMYATCCVSMEDACSVFRDMKEKNMVTWTTLITGYTHRGDGYGGLQVFREMLLEEAELNPHSFSIAVRACATIGSHTFGRQIHAAIIKNGLGSNLPVMNSILDMYCRCGFLSEANEYFREMTEKNLITWNTLIAGYERQDSKESLNVFSQMESEGFSPNSFTFTSITAACANLAVLNCGQQVHGGIVRRGLQGNLVLANALIDMYAKCGSIADSRKIFSEMSERNLVSWTSMMIGYGAHGYGKQAVELFDEMVRSGIRPDRIVFMAVLSACSHVGLVDEGLRCFESMSNYNITPDQEIYGCVVDLLGRAGRVEEAYQLIGSMPFKPDESVWGALLGACKAHKLPNLGKLAALKVLDLRPNKVGAYVMLSNIYAAEGKWGEFARMRKLMRRTGSRKEAGRSWIEVRNQVYSFVVGDKVGSRTEWVYEVLELLIVHMKEAGYMPDLDCLIHDPEDGT >EOY08859 pep chromosome:Theobroma_cacao_20110822:5:22304639:22310928:1 gene:TCM_024111 transcript:EOY08859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein isoform 2 MHNQNSPTLIMSTLKITKKHHKHLNNPFPSTPRYLPSIQGNLFINSQTLPPHQIFPVGKDFQLLWSTRNGGSISISHQSQPSKSLWSTIPGQAFMSAALAETEVEESRGSFVVKDRDVHLVCQHQTLDDIILINPFDDKDNDFLPDHLELDRLKIDSKIADPPVLVITGHIFSKRKKKRLQSSGIYKDIKFEKREPAASARYWVLFDQKNCNQIGFQVKIGQPNFQLLHQKASPLTASGWYRRLRRKLGRYRKRKLGWSWVFTRTKGLVTVSSSEEELGELNVAEPSAEFNRVCFTYASEGNERFFGFGEQFSRMDFKGKRVPIFVQEQGIGRGDQPITFAANLVSYRAGGDWSTTYAPSPFYMTSKMRSLYLEGYNYSIFDLTQHDRVQVQIHGNAIQGRILHGNSPLEIIEHFTEAIGRPPKLPEWMISGAVVGMQGGTETVRCVWDKLTTYKVPISVFWLQDWVGQRETLIGSQLWWNWEVDTTRYPGWQQLVKDLSTHSIKVMTYCNPCLALMDEKPNKRRNLFEEAKELDILVRDQHGEPYMVPNTAFDVGMLDLTHPLTANWFKQILLEMVNDGVRGWMADFGEGLPVDAVLYSGEDPISAHNRYPELWAQINREFVEEWKSNHVGNEREDPEEGLVFFMRAGFRNSPRWGMLFWEGDQMVSWQANDGIKSSVVGLLSSGLSGYAFNHSDIGGYCAINLPIIKYHRSEELLLRWMELNAFTIVFRTHEGNKPSCNSQFYSNDQTLSHFARFAKVYKAWKFYRVQLVKEAAQKGWPICRHLFLHYPDDEQVQRFSYQQFLVGSEILVVPVLDKGKKNVKAYFPVGETCTWQQIWTGKQYQKQGCEAWVEAPIGYPAVFVKVGSTVGETFLRNLRNLDIL >EOY08860 pep chromosome:Theobroma_cacao_20110822:5:22305088:22310256:1 gene:TCM_024111 transcript:EOY08860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein isoform 2 MHNQNSPTLIMSTLKITKKHHKHLNNPFPSTPRYLPSIQGNLFINSQTLPPHQIFPVGKDFQLLWSTRNGGSISISHQSQPSKSLWSTIPGQAFMSAALAETEVEESRGSFVVKDRDVHLVCQHQTLDDIILINPFDDKDNDFLPDHLELDRLKIDSKIADPPVLVITGHIFSKRKKKRLQSSGIYKDIKFEKREPAASARYWVLFDQKNCNQIGFQVKIGQPNFQLLHQKASPLTASGWYRRLRRKLGRYRKRKLGWSWVFTRTKGLVTVSSSEEELGELNVAEPSAEFNRVCFTYASEGNERFFGFGEQFSRMDFKGKRVPIFVQEQGIGRGDQPITFAANLVSYRAGGDWSTTYAPSPFYMTSKMRSLYLEGYNYSIFDLTQHDRVQVQIHGNAIQGRILHGNSPLEIIEHFTEAIGRPPKLPEWMISGAVVGMQGGTETVRCVWDKLTTYKVPISVFWLQDWVGQRETLIGSQLWWNWEVDTTRYPGWQQLVKDLSTHSIKVMTYCNPCLALMDEKPNKRRNLFEEAKELDILVRDQHGEPYMVPNTAFDVGMLDLTHPLTANWFKQILLEMVNDGVRGWMADFGEGLPVDAVLYSGEDPISAHNRYPELWAQINREFVEEWKSNHVGNEREDPEEGLVFFMRAGFRNSPRWGMLFWEGDQMVSWQANDGIKSSVVGLLSSGLSGYAFNHSDIGGYCAINLPIIKYHRSEELLLRWMELNAFTIVFRTHEGNKPSCNSQFYSNDQTLSHFARFAKVYKAWKFYRVQLVKLLKRAGLSAVTYFFTTQMMSRFRGSVTSSSWWAVRS >EOY09092 pep chromosome:Theobroma_cacao_20110822:5:25959432:25961499:-1 gene:TCM_024473 transcript:EOY09092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MADPNANVPNHDPFYAPLADNTLTFMNNMEPLLRDGDTTTTYLNTQRRAMNNIMGNRNHPFMDDTRNSFLNNMNPSLSGNSSLNNSNMWHLPVNSQSNPSNNNLGTSSQIQPNFEKEDLNNPHVPTRVDSPIQELSQHLFFRQSREKRKQPIDVIRSKESGQTFTRRKKNSNAGCSGSGTSKNHGEMNNQGETNLVNENVNSQSILRQQDLLPVQEPVLHPTSIPPLSEQEATEPLQTATNWEGTGNESNLENSQAGMHNESNLENSQTASFSFHRETSSQVETSLRTERFDNNKGKLSGRPRGPPPSDCSGCEMLREIIHRKGPLVKKLQLHGELLRGRYFHALINVFDEDTTVVSDVENINFYDKGYKDVEKFLSQYFIKQEQEGWSMHDDPRAVFFKVLCFGSDG >EOY09091 pep chromosome:Theobroma_cacao_20110822:5:25959006:25961509:-1 gene:TCM_024473 transcript:EOY09091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MADPNANVPNHDPFYAPLADNTLTFMNNMEPLLRDGDTTTTYLNTQRRAMNNIMGNRNHPFMDDTRNSFLNNMNPSLSGNSSLNNSNMWHLPVNSQSNPSNNNLGTSSQIQPNFEKEDLNNPHVPTRVDSPIQELSQHLFFRQSREKRKQPIDVIRSKESGQTFTRRKKNSNAGCSGSGTSKNHGEMNNQGETNLVNENVNSQSILRQQDLLPVQEPVLHPTSIPPLSEQEATEPLQTATNWEGTGNESNLENSQAGMHNESNLENSQTASFSFHRETSSQVETSLRTERFDNNKGKLSGRPRGPPPSDCSGCEMLREIIHRKGPLVKKLQLHGELLRGRYFHALINVFDEDTTVVSDVENINFYDKGYKDVEKFLSQYFIKQEQEGWSMHDDPRAVFFKVLCFGLVEFFEFLQTGEAANPVSPIARVLATVLAQAARIEILRPLFVLPSLHPLCFVAACLITY >EOY10951 pep chromosome:Theobroma_cacao_20110822:5:37163992:37169661:-1 gene:TCM_026216 transcript:EOY10951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHQIMNLTREFKTLKMRNDEGIQANFDRVLRIVNELRLLGEVVTERRIVAKFLISLLENFESKITSLEGFEDLTKLTMNELINNLQALEQKRAMRSEYIENALVAKTKGLKVKKESSKKTNQKGKNKSGEAVAEQENNDDEVLFMAKMEEDLEKTNIWLLDSACSHHLTSNKGIFTTLDNSFQSKVKIGDENFLKVLEVGIVKLAEKHFALPFKDQLCTVFDSHGDEMFIVEMKNYCYPLNLAGTTHLALYNEHDLSETWHRILGHVNYTSLSLMLGKQTRKSFPKVNKWKAFGKLELVRTDRSGPMKNSPLNGSKYYILFIDDFTKYCWVYFIKQKSGVLEKFVQFTALVENFSNSTIKTLISDNAEPSNFAEVSKENQWRATIEAEIGMIKKNNTWILVDRPSNQNLIGVKWIFKTKLNPDGFVNKHKARLVVKGFAQVYGVDYMETFAPVARHDTIRLLAALSAKEDDLLITDLESDCLIKFKTDMLKDLLKKFRMDYCKVVRTPLATGSRFCIDDGVAKANETLDKTEAEYIAITATTNQALWLRKVLADLNFPQIKGTLIRVDNQSTMAIARNPVQHGRTKHIRVKFRALKAAVKEGEIQLEYCHIDDQVADIFTKGLGAKPFEFLREKLGAYPSGIKEAVAAAQVMVEEAVELLDC >EOY07679 pep chromosome:Theobroma_cacao_20110822:5:2405239:2408494:-1 gene:TCM_022057 transcript:EOY07679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MODIFIER OF SNC1 11 [Source:Projected from Arabidopsis thaliana (AT5G02770) UniProtKB/Swiss-Prot;Acc:Q9LZ08] KIQQVLPDPNYLREKEKKKRDCPSSPHALRHRLYISRNPNCRHTTPMATTTEKPVATATTTTTTAPAPVAAANSSAEPNPKKTVDSPPPSTGKSDPPSAVAPAAAEVSLKESEGSKITGTTVSSVAGDSGGSVNDIQKKIRRAERFGVPVQLSEQEKRNSRAERFGTAPSSNGSEAAKQSEELKRKARAERFGLAVPSAATTDEEAKKKARLARFAPYSKTDSVEEEKRKARAIRFSNPPSGSLPQVNGKGNLDPEAPIAGKAGGGP >EOY07683 pep chromosome:Theobroma_cacao_20110822:5:2406918:2408494:-1 gene:TCM_022057 transcript:EOY07683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MODIFIER OF SNC1 11 [Source:Projected from Arabidopsis thaliana (AT5G02770) UniProtKB/Swiss-Prot;Acc:Q9LZ08] KIQQVLPDPNYLREKEKKKRDCPSSPHALRHRLYISRNPNCRHTTPMATTTEKPVATATTTTTTAPAPVAAANSSAEPNPKKTVDSPPPSTGKSDPPSAVAPAAAEVSLKESEGSKITGTTVSSVAGDSGGSVNDIQKKIRRAERFGVPVQLSEQEKRNSRAERFGTAPSSNGSEAAKQSEELKRKARAEGLGPSAATTDEEAKKKARLARFAPYSKTDSVEEEKRKARAIRFSNPPSGSLPQVNGKGNLDPVRP >EOY07680 pep chromosome:Theobroma_cacao_20110822:5:2405389:2408494:-1 gene:TCM_022057 transcript:EOY07680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MODIFIER OF SNC1 11 [Source:Projected from Arabidopsis thaliana (AT5G02770) UniProtKB/Swiss-Prot;Acc:Q9LZ08] KIQQVLPDPNYLREKEKKKRDCPSSPHALRHRLYISRNPNCRHTTPMATTTEKPVATATTTTTTAPAPVAAANSSAEPNPKKTVDSPPPSTGKSDPPSAVAPAAAEVSLKESEGSKITGTTVSSVAGDSGGSVNDIQKKIRRAERFGVPVQLSEQEKRNSRAERFGTAPSSNGSEAAKQSEELKRKARAEGLGPSAATTDEEAKKKARLARFAPYSKTDSVEEEKRKARAIRFSNPPSGSLPQVNGKGNLDPEAPIAGKAGGGP >EOY07681 pep chromosome:Theobroma_cacao_20110822:5:2405389:2408494:-1 gene:TCM_022057 transcript:EOY07681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MODIFIER OF SNC1 11 [Source:Projected from Arabidopsis thaliana (AT5G02770) UniProtKB/Swiss-Prot;Acc:Q9LZ08] KIQQVLPDPNYLREKEKKKRDCPSSPHALRHRLYISRNPNCRHTTPMATTTEKPVATATTTTTTAPAPVAAANSSAEPNPKKTVDSPPPSTGKSDPPSAVAPAAAEVSLKESEGSKITGTTVSSVAGDSGGSVNDIQKKIRRAERFGVPVQLSEQEKRNSRAERFGTAPSSNGSEAAKQSEELKRKARAERFGLAVPSAATTDEEAKKKARLARFAPYSKTDSVEEEKRKARAIRFHC >EOY07682 pep chromosome:Theobroma_cacao_20110822:5:2406614:2408494:-1 gene:TCM_022057 transcript:EOY07682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MODIFIER OF SNC1 11 [Source:Projected from Arabidopsis thaliana (AT5G02770) UniProtKB/Swiss-Prot;Acc:Q9LZ08] KIQQVLPDPNYLREKEKKKRDCPSSPHALRHRLYISRNPNCRHTTPMATTTEKPVATATTTTTTAPAPVAAANSSAEPNPKKTVDSPPPSTGKSDPPSAVAPAAAEVSLKESEGSKITGTTVSSVAGDSGGSVNDIQKKIRRAERFGVPVQLSEQEKRNSRAERFGTAPSSNGSEAAKQSEELKRKARAERFSWFGLAVPSAATTDEEAKKKARLARFAPYSKTDSVEEEKRKARAIRFSNPPSGSLPQVNGKGNLDPVRP >EOY07678 pep chromosome:Theobroma_cacao_20110822:5:2405389:2408494:-1 gene:TCM_022057 transcript:EOY07678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MODIFIER OF SNC1 11 [Source:Projected from Arabidopsis thaliana (AT5G02770) UniProtKB/Swiss-Prot;Acc:Q9LZ08] MATTTEKPVATATTTTTTAPAPVAAANSSAEPNPKKTVDSPPPSTGKSDPPSAVAPAAAEVSLKESEGSKITGTTVSSVAGDSGGSVNDIQKKIRRAERFGVPVQLSEQEKRNSRAERFGTAPSSNGSEAAKQSEELKRKARAERFGLAVPSAATTDEEAKKKARLARFAPYSKTDSVEEEKRKARAIRFSNPPSGSLPQVNGKGNLDPEAPIAGKAGGGP >EOY11553 pep chromosome:Theobroma_cacao_20110822:5:39586365:39590090:-1 gene:TCM_026691 transcript:EOY11553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1399) [Source:Projected from Arabidopsis thaliana (AT1G56230) TAIR;Acc:AT1G56230] MSNQTLNDMSSSSSSSSGVRSLSEISEKDTVHLSVDLVSAARRNIGFLRSVNECHWLHQRATIVEAIRRYEEVWMPLISDLTVVGSTPPMVLPPFDVEWVWFCHTLNPVAYRKYCESRFSKLIGKPAIFNEENEEYALMRCREIWVQRHEFEPFENEVESDSQDPPGINQDLFNQVKEHKFLYSKFSEPYFCELVYLIAARQRYRGFLYMMQRFGDGCLRFVPALDIVLMLLTHQSYPTVYVEDLKDKWDDMGKVVGLWETVKEKEVEESKNLWERTFDQPYEKAGGGLAVELDNLKAKRPIYWEVSDVDVNTKYKSMIPRFLLEVCVFVRLNDRTKVSNGDTKHKFLRLRAVRCHRELKLDELISNFSYDSWRKAWHLYCEFGTRGLMVELRGRGGRCFKGSKSLDSMPFYWNDLLRAPSITLSRKVDQVRIVASITPPVQAPYLLKCVPDRVTDDSGAMISDVILKLNNYRPQKGRWLSRTVLDHAGRECFVVRIRIIEIREGSWSYVAGSIGRAPEKVVGTATPKESPEQWQAAWEFSTGDELLINWGSSTSSSGLSFCLKTQESFDSSVMLLRGRKMQ >EOY11551 pep chromosome:Theobroma_cacao_20110822:5:39584932:39590033:-1 gene:TCM_026691 transcript:EOY11551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1399) [Source:Projected from Arabidopsis thaliana (AT1G56230) TAIR;Acc:AT1G56230] MSNQTLNDMSSSSSSSSGVRSLSEISEKDTVHLSVDLVSAARRNIGFLRSVNECHWLHQRATIVEAIRRYEEVWMPLISDLTVVGSTPPMVLPPFDVEWVWFCHTLNPVAYRKYCESRFSKLIGKPAIFNEENEEYALMRCREIWVQRHEFEPFENEVESDSQDPPGINQDLFNQVKEHKFLYSKFSEPYFCELVYLIAARQRYRGFLYMMQRFGDGCLRFVPALDIVLMLLTHQSYPTVYVEDLKDKWDDMGKVVGLWETVKEKEVEESKNLWERTFDQPYEKAGGGLAVELDNLKAKRPIYWEVSDVDVNTKYKSMIPRFLLEVCVFVRLNDRTKVSNGDTKHKFLRLRAVRCHRELKLDELISNFSYDSWRKAWHLYCEFGTRGLMVELRGRGGRCFKGSKSLDSMPFYWNDLLRAPSITLSRKVDQVRIVASITPPVQAPYLLKCVPDRVTDDSGAMISDVILKLNNYRPQKGRWLSRTVLDHAGRECFVVRIRVGGGFWRRGAETPSAVNWEDRIIEIREGSWSYVAGSIGRAPEKVVGTATPKESPEQWQAAWEFSTGDELLINWGSSTSSSGLSFCLKTQESFDSSVMLLRGRKMQYQDKVAGCAAKETKTRQEEYAKEADDEYVTLVRFTEENPTGRATALLNWKLLVVELLPEEDAVLVLLLCVSILRTVSEMRKEDVGSLLIRRRLKEAKLGARDWGSVVLHTSSLPSSIASPCLQPWYWNANKVMAQHEGNSITRQPASNYSPVEGGDMLYKRGIIT >EOY11552 pep chromosome:Theobroma_cacao_20110822:5:39586643:39589904:-1 gene:TCM_026691 transcript:EOY11552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1399) [Source:Projected from Arabidopsis thaliana (AT1G56230) TAIR;Acc:AT1G56230] MSNQTLNDMSSSSSSSSGVRSLSEISEKDTVHLSVDLVSAARRNIGFLRSVNECHWLHQRATIVEAIRRYEEVWMPLISDLTVVGSTPPMVLPPFDVEWVWFCHTLNPVAYRKYCESRFSKLIGKPAIFNEENEEYALMRCREIWVQRHEFEPFENEVESDSQDPPGINQDLFNQVKEHKFLYSKFSEPYFCELVYLIAARQRYRGFLYMMQRFGDGCLRFVPALDIVLMLLTHQSYPTVYVEDLKDKWDDMGKVVGLWETVKEKEVEESKNLWERTFDQPYEKAGGGLAVELDNLKAKRPIYWEVSDVDVNTKYKSMIPRFLLEVCVFVRLNDRTKVSNGDTKHKFLRLRAVRCHRELKLDELISNFSYDSWRKAWHLYCEFGTRGLMVELRGRGGRCFKGSKSLDSMPFYWNDLLRAPSITLSRKVDQVRIVASITPPVQAPYLLKCVPDRVTDDSGAMISDVILKLNNYRPQKGRWLSRTVLDHAGRECFVVRIRVGGGFWRRGAETPSAVNWEDRIIEIREGSWSYVAGSIGRAPVQRKW >EOY07734 pep chromosome:Theobroma_cacao_20110822:5:2541599:2549184:1 gene:TCM_022082 transcript:EOY07734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MDELGLQWIADDFLTYGEIASISVLNESRKQYMTLRHFPADSRKYCYKLNVISRTRYLVRATFLYGNFDNNNVYPKFDISLGATHWSTIVISDANTIEKVELIFLATSPTISVCLSNATTGKPFISTLELRQFNGSIYYTPFEESFYLSVSARINFGADSEAPVRYPDDPFDRIWESDSVKKANYLVDVAAGTEKVSTDKPIEIGGDERAPQKVMQTAVVGRNGSLTYRLNLDGFPGNGWAFTYFAEIEDLAPDESRKFRLVLPGQPDLSKAIVNIQENAQGKYRVYEPGYPNITLPFVLSFRFGKTSDSSRGPLLNAMEISRYLEKNDGSLDGAVMANMVELYSSVGWAQEGGDPCLPVPWSWVECNSDLQPRIVSVHLSSKNLTGNIPSDLTKLSSLVELWLDGNSLAGPIPDFTGCVDLKIIHLENNRLTGELPSSLVNLPNLKELSVQNNMLSGRVPSGLLNKDLVLNYAGNINLHEGGGRGRHLSIIIGSSVGAAVLLIATILSCLILRKGKKQREQEQFHHSLPIQSQRMALSNAPAEAAHCFTLAEIEDATNNFEKKIGSGGFGVVYYGKMKDGKEIAVKVLISNSFQGKREFTNEVTLLSRIHHRNLVQFLGYCQEDGRSMLVYEFMHNGTLKERLYGPLTQEHGINWIKRLEIAEDAAKGIEYLHTGCVPAIIHRDLKTSNILLDKHMRAKVSDFGLSKLAVDGVSHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELMSGQEAISNESFGVNCRNIVQWAKLHIESGNIQGIIDPSLYNEYDIQSMWKIAEKALNCVQPHGHMRPSISEVLKEIQDAILIEKEGIIVREGNSDDMSRNSANSSLNPGSLDLVATDNYLSLDASIARPVAR >EOY07736 pep chromosome:Theobroma_cacao_20110822:5:2540118:2549149:1 gene:TCM_022082 transcript:EOY07736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MEKRLLLLLLLFPLLLLLDSCSAQVQGFVSLNCGGNGKVMDELGLQWIADDFLTYGEIASISVLNESRKQYMTLRHFPADSRKYCYKLNVISRTRYLVRATFLYGNFDNNNVYPKFDISLGATHWSTIVISDANTIEKVELIFLATSPTISVCLSNATTGKPFISTLELRQFNGSIYYTPFEESFYLSVSARINFGADSEAPVRYPDDPFDRIWESDSVKKANYLVDVAAGTEKVSTDKPIEIGGDERAPQKVMQTAVVGRNGSLTYRLNLDGFPGNGWAFTYFAEIEDLAPDESRKFRLVLPGQPDLSKAIVNIQENAQGKYRVYEPGYPNITLPFVLSFRFGKTSDSSRGPLLNAMEISRYLEKNDGSLDGAVMANMVELYSSVGWAQEGGDPCLPVPWSWVECNSDLQPRIVSVHLSSKNLTGNIPSDLTKLSSLVELWLDGNSLAGPIPDFTGCVDLKIIHLENNRLTGELPSSLVNLPNLKELSVQNNMLSGRVPSGLLNKDLVLNYAGNINLHEGGGRGRHLSIIIGSSVGAAVLLIATILSCLILRKGKKQREQEQFHHSLPIQSQRMALSNAPAEAAHCFTLAEIEDATNNFEKKIGSGGFGVVYYGKMKDGKEIAVKVLISNSFQGKREFTNEVTLLSRIHHRNLVQFLGYCQEDGRSMLVYEFMHNGTLKERLYGPLTQEHGINWIKRLEIAEDAAKGIEYLHTGCVPAIIHRDLKTSNILLDKHMRAKVSDFGLSKLAVDGVSHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELMSGQEAISNESFGVNCRNIVQWAKLHIESGNIQGIIDPSLYNEYDIQSMWKIAEKALNCVQPHGHMRPSISEVLKEIQDAILIEKEGIIVREGNSDDMSRNSANSSLNPGSLDLVATDNYLSLDASIARPVAR >EOY07735 pep chromosome:Theobroma_cacao_20110822:5:2540117:2549149:1 gene:TCM_022082 transcript:EOY07735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MEKRLLLLLLLFPLLLLLDSCSAQVQGFVSLNCGGNGKVMDELGLQWIADDFLTYGEIASISVLNESRKQYMTLRHFPADSRKYCYKLNVISRTRYLVRATFLYGNFDNNNVYPKFDISLGATHWSTIVISDANTIEKVELIFLATSPTISVCLSNATTGKPFISTLELRQFNGSIYYTPFEESFYLSVSARINFGADSEAPVRYPDDPFDRIWESDSVKKANYLVDVAAGTEKVSTDKPIEIGGDERAPQKVMQTAVVGRNGSLTYRLNLDGFPGNGWAFTYFAEIEDLAPDESRKFRFGKTSDSSRGPLLNAMEISRYLEKNDGSLDGAVMANMVELYSSVGWAQEGGDPCLPVPWSWVECNSDLQPRIVSVHLSSKNLTGNIPSDLTKLSSLVELWLDGNSLAGPIPDFTGCVDLKIIHLENNRLTGELPSSLVNLPNLKELSVQNNMLSGRVPSGLLNKDLVLNYAGNINLHEGGGRGRHLSIIIGSSVGAAVLLIATILSCLILRKGKKQREQEQFHHSLPIQSQRMALSNAPAEAAHCFTLAEIEDATNNFEKKIGSGGFGVVYYGKMKDGKEIAVKVLISNSFQGKREFTNEVTLLSRIHHRNLVQFLGYCQEDGRSMLVYEFMHNGTLKERLYGPLTQEHGINWIKRLEIAEDAAKGIEYLHTGCVPAIIHRDLKTSNILLDKHMRAKVSDFGLSKLAVDGVSHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELMSGQEAISNESFGVNCRNIVQWAKLHIESGNIQGIIDPSLYNEYDIQSMWKIAEKALNCVQPHGHMRPSISEVLKEIQDAILIEKEGIIVREGNSDDMSRNSANSSLNPGSLDLVATDNYLSLDASIARPVAR >EOY07737 pep chromosome:Theobroma_cacao_20110822:5:2540551:2548774:1 gene:TCM_022082 transcript:EOY07737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MEKRLLLLLLLFPLLLLLDSCSAQVQGFVSLNCGGNGKVMDELGLQWIADDFLTYGEIASISVLNESRKQYMTLRHFPADSRKYCYKLNVISRTRYLVRATFLYGNFDNNNVYPKFDISLGATHWSTIVISDANTIEKVELIFLATSPTISVCLSNATTGKPFISTLELRQFNGSIYYTPFEESFYLSVSARINFGADSEAPVRYPDDPFDRIWESDSVKKANYLVDVAAGTEKVSTDKPIEIGGDERAPQKVMQTAVVGRNGSLTYRLNLDGFPGNGWAFTYFAEIEDLAPDESRKFRLVLPGQPDLSKAIVNIQENAQGKYRVYEPGYPNITLPFVLSFRFGKTSDSSRGPLLNAMEISRYLEKNDGSLDGAVMANMVELYSSVGWAQEGGDPCLPVPWSWVECNSDLQPRIVSVHLSSKNLTGNIPSDLTKLSSLVELWLDGNSLAGPIPDFTGCVDLKIIHLENNRLTGELPSSLVNLPNLKELSVQNNMLSGRVPSGLLNKDLVLNYAGNINLHEGGGRGRHLSIIIGSSVGAAVLLIATILSCLILRKGKKQREQEQFHHSLPIQSQRMALSNAPAEAAHCFTLAEIEDATNNFEKKIGSGGFGVVYYGKMKDGKEIAVKVLISNSFQGKREFTNEVTLLSRIHHRNLVQFLGYCQEDGRSMLVYEFMHNGTLKERLYGPLTQEHGINWIKRLEIAEDAAKGSIEYLHTGCVPAIIHRDLKTSNILLDKHMRAKVSDFGLSKLAVDGVSHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELMSGQEAISNESFGVNCRNIVQWAKLHIESGNIQGIIDPSLYNEYDIQSMWKIAEKALNCVQPHGHMRPSISEVLKEIQDAILIEKEGIIVREGNSDDMSRNSANSSLNPGSLDLVATDNYLSLDASIARPVAR >EOY08030 pep chromosome:Theobroma_cacao_20110822:5:4088463:4090955:-1 gene:TCM_022353 transcript:EOY08030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin related protein, putative MKEIHLTLHEKSVYRLYDRERIYMDVASVIESPVDRYFLPRGFDICTRRPLVLYLLQTKRKPDGSEEYGKFLHLPGKHFYDFYEIRREIQVETDREAGGNKARIGTMIMSYIKQPSCLILAVTPANSDLANSDALQIAGNVDPDGYRTIGIITKLDIMDRSTDARNLLLGKVIPLRLGYIGVVNRSQEVTM >EOY09170 pep chromosome:Theobroma_cacao_20110822:5:26853265:26861689:1 gene:TCM_024570 transcript:EOY09170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase isoform 2 MSTLSNPFFFFYSSPPPTLRFHSPSTRPRPLSLRPKASAAAPVEPPPVPAKSVENVVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGITGPDLMDRMRRQAERWGAELFQEDVEHIDVKNNPFTIRSSEREVKCHSMIFATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLGVVGGGDTATEEALYLTKYARHVHLLVRKDQLRASKAMQDRVYNNPNITLHFNTETVDVVSNMKGQMSGILTKRVDSGEESVLEAKGLFYGIGHSPNSQLLQGQVKLDSSGYVLVEEGSAKTSVEGVFAAGDVQDHQWRQAITAAGSGCIAALSVERYLVSNNLLVEFHQPQTEEVKKELTDRDVQEGFDITLTKHKGQYALRKLYHESPRLICVLYTAPTCGPCRTLKPILSKVIDEFDQNVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMIRTVSGVKMKREYREFIEVNK >EOY09172 pep chromosome:Theobroma_cacao_20110822:5:26853553:26860962:1 gene:TCM_024570 transcript:EOY09172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase isoform 2 MAVSPRIGIGIAPTPSAHRLAMSTLSNPFFFFYSSPPPTLRFHSPSTRPRPLSLRPKASAAAPVEPPPVPAKSVENVVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGITGPDLMDRMRRQAERWGAELFQEDVEHIDVKNNPFTIRSSEREVKCHSMIFATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLGVVGGGDTATEEALYLTKYARHVHLLVRKDQLRASKAMQDRVYNNPNITLHFNTETVDVVSNMKGQMSGILTKRVDSGEESVLEAKGLFYGIGHSPNSQLLQGQVKLDSSGYVLVEEGSAKTSVEGVFAAGDVQDHQWRQAITAAGSGCIAALSVERYLVSNNLLVEFHQPQTEEVKKELTDRDVQEGFDITLTKHKGQYALRKLYHESPRLICVLYTAPTCGPCRTLKPILSKVIDEFDQN >EOY09171 pep chromosome:Theobroma_cacao_20110822:5:26853431:26861113:1 gene:TCM_024570 transcript:EOY09171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase isoform 2 MSTLSNPFFFFYSSPPPTLRFHSPSTRPRPLSLRPKASAAAPVEPPPVPAKSVENVVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGITGPDLMDRMRRQAERWGAELFQEDVEHIDVKNNPFTIRSSEREVKCHSMIFATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLGVVGGGDTATEEALYLTKYARHVHLLVRKDQLRASKAMQDRVYNNPNITLHFNTETVDVVSNMKGQMSGILTKRVDSGEESVLEAKGLFYGIGHSPNSQLLQGQVKLDSSGYVLVEEGSAKTSVEGVFAAGDVQDHQWRQAITAAGSGCIAALSVERYLVSNNLLVEFHQQPQTEEVKKELTDRDVQEGFDITLTKHKGQYALRKLYHESPRLICVLYTAPTCGPCRTLKPILSKVIDEFDQNVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMIRLVNLILIKFFPCTT >EOY08598 pep chromosome:Theobroma_cacao_20110822:5:13466151:13471079:-1 gene:TCM_023368 transcript:EOY08598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGKSLELLGMIRNKLLNGNVDPRSFQCPSCILPWDQTLRHGMSILGYYPGSFSVDPYSLNYAKMLPFEPILVPNTLTFQKLMHGDF >EOY08597 pep chromosome:Theobroma_cacao_20110822:5:13467009:13467703:-1 gene:TCM_023368 transcript:EOY08597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFDVTRPISIKMLCFSLQRDSLGFECNAFILMKILTTFRSEIGKVVNIKVIRRQLEPRLRCPRSFVSLVGILAFRSHTFTLVTPLEARVIFVCKYVHVM >EOY08446 pep chromosome:Theobroma_cacao_20110822:5:8491563:8507252:-1 gene:TCM_022887 transcript:EOY08446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pol polyprotein-like protein MLHWFSTLPRLVIHFSEVFNLPTIGVFYNAQVSLQRLDISLVSEREDYYSLHRFRFAVEFQYSLFGSSDEENDTNLFHQNSSSNEEVPIRRLRTAAARDLGIKVNILEFEGRLHPDDFLDWLYTVEKVFELKDIPDEKRVKLVAIKLKKHASIWWESLKRQREREGRNKIRTWDKMRRELKQYTMEFEQLHQKCDVHEPKEQTVARYLRGLNVEIADVVQLQPYWNLNDVIRLALKVEKQRSRKRSMRSSRQQESTSNNGSQSSITIPPPKVNSSKTASNNDKETTCETLSSSIKRRYCTEWYN >EOY07187 pep chromosome:Theobroma_cacao_20110822:5:797545:799937:1 gene:TCM_021686 transcript:EOY07187 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein MNCLQSWPEPVVRVQSLSDSGIRAIPERYIKPLTDRPGLKTCSKIEEHVDIPVVDLQNLFGKDLALREETLRCISSTCRDWGFFQAVNHGVSHELMRRIREVWRRFFSLPLEVKQGYANEPSTYEGYGSRLGVEKGAILDWSDYFFLHFMPVSSRNQSKWPAQPSSCRELVAEYGAEVVKLCGRLLKAMSTNLGLPEDYLQKAFGGEDIGACLRVNFYPKCPQPDLTLGLSSHSDPGAMTILLPDHDVAGLQVCKNGKWITVKPVPNAFIVNVGDQLQVLSNGIYKSVEHRVIVNSAKDRVSLAFFYNPKSDLLIEPAKELVSKDRPALYNPMTFDEYRLYIRTKGPCGKAQVESLKSNR >EOY10819 pep chromosome:Theobroma_cacao_20110822:5:36685940:36688432:1 gene:TCM_026126 transcript:EOY10819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein isoform 4 MEEAEMVTPGEMLGRATELKAGKGAYVVQHNKNIYASLTGFRRIQSPPPDSPDQRPTVEVTGHKAHGPVPEPGSVVIARVTKVMARIASADIMCVGPKSVREKFSGIIRQQDVRATEIDKVDMHLSFRPGDIVRAVVLSLGDARAYYLSTAKNELGVVSAESSAGAAMVPISWTEMQCPLTGQIEQRKVAKF >EOY10821 pep chromosome:Theobroma_cacao_20110822:5:36685951:36688432:1 gene:TCM_026126 transcript:EOY10821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein isoform 4 MEEAEMVTPGEMLGRATELKAGKGAYVVQHNKNIYASLTGFRRIQSPPPDSPDQRPTVEVTGHKAHGPVPEPGSVVIARVTKVMARIASADIMCVGPKSVREKFSGIIRQQDVRATEIDKVDMHLSFRPGDIVRAVVLSLGDARAYYLSTAKNELGVVSAESSAGNKET >EOY10818 pep chromosome:Theobroma_cacao_20110822:5:36686011:36688188:1 gene:TCM_026126 transcript:EOY10818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein isoform 4 MEEAEMVTPGEMLGRATELKAGKGAYVVQHNKNIYASLTGFRRIQSPPPDSPDQRPTVEVTGHKAHGPVPEPGSVVIARVTKVMARIASADIMCVGPKSVREKFSGIIRQQDVRATEIDKVDMHLSFRPGDIVRAVVLSLGDARAYYLSTAKNELGVVSAESSAGAAMVPISWTEMQCPLTGQIEQRKVAKVES >EOY10820 pep chromosome:Theobroma_cacao_20110822:5:36685814:36688560:1 gene:TCM_026126 transcript:EOY10820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein isoform 4 MEEAEMVTPGEMLGRATELKAGKGAYVVQHNKNIYASLTGFRRIQSPPPDSPDQRPTVEVTGHKAHGPVPEPGSVVIARVTKVMARIASADIMCVGPKSVREKFSGIIRQQDVRATEIDKVDMHLSFRPGDIVRAVVLSLGDARAYYLSTAKNELGVVSAESSAAKEGCQEIVLRKHDHRQLCITGPHDFSQILTVLGRKGAISQASLVESFFESFNFLIP >EOY08426 pep chromosome:Theobroma_cacao_20110822:5:8091784:8092888:-1 gene:TCM_022851 transcript:EOY08426 gene_biotype:protein_coding transcript_biotype:protein_coding description:COPII coat assembly protein SEC16, putative MNPLKENVLMKLKEINNKKPTKGLMSNAPLESRPPLETSSQRELTSHFHCFFLPSSTMDDEPEQPPLSTLGRRNSISSPVQLPRRLTLLTPKPLQTANSLPNGSASSSPLDLELISLKTSLASYTSLKDILPSSAVAINSPTAAGSATNSGYEISIRNRLVKQAAWAYLQPMSASPDSSGPHFFRRIWLRFSSQNPISSCLRFSSQNPISSCLRFFQLKLLPGLTRVVDLILRYIGIHFTR >EOY07292 pep chromosome:Theobroma_cacao_20110822:5:1082254:1085270:-1 gene:TCM_021761 transcript:EOY07292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNPNVEPPPPPPALAPPPQPPQPHRPSTSCDRHPEEHFTGFCPSCLCERLAVLEPSSSAAASSSSSSRKPPIAATTSTATAALKAIFKPSGGGGTRPGFFPELRRTKSFSASKNEGFSGVFEPQRKSCDVRVRNTLWSLFYQDDERNPYKKEAAGNGRSSEIVEVEARNLGSSSSVVQGPVFESKEEDQTESETDHEDDIEIIEEQQPNVAVTTGSLIEEKVEEIVEEYEKELRQEEELKPMKDHIDLDSQTKKASGRDFKEIAGSFWSAASVFSKKLQKWRQKQKLKKRSNGGGSARLPVEKPIGRQYRETQSEIADYGFGRRSCDTDPRFSLDAGRMSFDAARISFDDPRYSFDEPRASWDGYLIGRTFPRMPTMVSVVEDAPVHHVMRSDTQIPVEDPPAMNSINEDESVPGGSAQTRDYYSDSSSRRRKSLDRSNSIRKTAAAVVAEIDEMKSVSNAKVSPATVDYIHGPKLVPDRDSRDSNSNSLRDDYSETFEIGFRDSASVIGNGERKGSSKKSRRWSKAWNIWGFIHRRSVNKDEDEDRYSRANGVERSYSESWPELRGERNGDVRGGFNPKVLRSNSSVSWRNSSSFGGSFGGARKNHADTNGHSKKKRDEFGLERNRSARYSPNNIENGLLRFYLTPLRSSRRGGSGKSRASHAHSIARSVLRLY >EOY08793 pep chromosome:Theobroma_cacao_20110822:5:20392280:20399598:1 gene:TCM_023958 transcript:EOY08793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYVSNMTAENRLLIRCWIIVPIAITWVTKKPTALWWEITLNRLDLVIGNRDWLIAKVTTGARGVLKVDEADQGKAVNRFAVLGAMDYDNQLGHVKQRQTECVNSDQVGNNFSSVNRNLTHAKVAECWQTGADTNDSVEQVGDFDGVKWAMEVGHMTVRKIKKKNNRKLEDRLSVVAVHGGEKVSDGGENSSKGAVQLSQPINSEQRSAGNASAKKPVASTSEMSEGTSSIPSHAAHVGRDGHLMKMRKSDSEVPYILTKDVSSKEDEISKTDRVNGDSTSWYFPTNTYP >EOY09413 pep chromosome:Theobroma_cacao_20110822:5:29024548:29029090:1 gene:TCM_024837 transcript:EOY09413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein isoform 1 MMVCSPISTCAKNVVHLRGHMGSSLCSVISCQPSSSCYYFSYSGHPKTKYTDLSVSYTTSGSPAVGYRAFQAGCFRSSRRSRKLQSLVVKESISDKTKQKRQLEISWPGQSMKMKFLLPKQGTLQKFKCTAGPISWSQEAAGAKEDKQDDCESSHAKFSHGKKVYTDYSVIGIPGDGRCMFRSVAHGACLRSGKSAPSEHVQRELADDLRAKVADEFIKRRKETEWFVEGNFDAYVSQIRKPHVWGGEPELFMASHVLQMPITVYMYDKGAGGLIAIAEYGQEYGTENPIRVLYHGFGHYDALQMRGRRSGKSKLQL >EOY09418 pep chromosome:Theobroma_cacao_20110822:5:29024377:29029090:1 gene:TCM_024837 transcript:EOY09418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein isoform 1 MMVCSPISTCAKNVVHLRGHMGSSLCSVISCQPSSSCYYFSYSGHPKTKYTDLSVSYTTSGSPAVGYRAFQAGCFRSSRRSRKLQSLVVKESISDKTKQKRQLEISWPGQSMKMKFLLPKQGTLQKFKCTAGPISWSQGCASVGLVFGLLVCYSSSEPVHAEAAGAKEDKQDDCESSHAKFSHGKKVYTDYSVIGIPGDGRCMFRSVAHGACLRSGKSAPSEHVQRELADDLRAKVADEFIKRRKETEWFVEGNFDAYVSQIRKPHVWGGEPELFMASHVLQMPITVYMYDKGAGGLIAIAEYGQEYGTENPIRVLYHGFGHYDALQMRGRRSGKSKLQL >EOY09415 pep chromosome:Theobroma_cacao_20110822:5:29024264:29029324:1 gene:TCM_024837 transcript:EOY09415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein isoform 1 MMVCSPISTCAKNVVHLRGHMGSSLCSVISCQPSSSCYYFSYSGHPKTKYTDLSVSYTTSGSPAVGYRAFQAGCFRSSRRSRKLQSLVVKESISDKTKQKRQLEISWPGQSMKMKFLLPKQGTLQKFKCTAGPISWSQEAAGAKEDKQDDCESSHAKFSHGKKVYTDYSVIGIPGDGRCMFRSVAHGACLRSGKSAPSEHVQRELADDLRAKVADEFIKRRKETEWFVEGNFDAYVSQIRKPHVWGGEPELFMASHVLQMPITVYMYDKGAGGLIAIAEYGQEYGTENPIRVLYHGFGHYDALQMRGRRSVTVDHHLIHAFEEY >EOY09416 pep chromosome:Theobroma_cacao_20110822:5:29024548:29029090:1 gene:TCM_024837 transcript:EOY09416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein isoform 1 MMVCSPISTCAKNVVHLRGHMGSSLCSVISCQPSSSCYYFSYSGHPKTKYTDLSVSYTTSGSPAVGYRAFQAGCFRSSRRSRKLQSLVVKESISDKTKQKRQLEISWPGQSMKMKFLLPKQGTLQKFKCTAGPISWSQGCASVGLVFGLLVCYSSSEPVHAEAAGAKEDKQDDCESSHAKFSHGKKVYTDYSVIGIPGDGRCMFRSVAHGACLRSGKSAPSEHVQRELADDLRAKVADEFIKRRKETEWFVEGNFDAYVSQIRKPHVWGGEPELFMASHVLQMPITVYMYDKGAGGLIAIAEYGQEYGTENPIRVLYHGFGHYDALQMRGRRSGKSKLQL >EOY09419 pep chromosome:Theobroma_cacao_20110822:5:29025420:29029324:1 gene:TCM_024837 transcript:EOY09419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein isoform 1 MMVCSPISTCAKNVVHLRGHMGSSLCSVISCQPSSSCYYFSYSGHPKTKYTDLSVSYTTSGSPAVGYRAFQAGCFRSSRRSRKLQSLVVKESISDKTKQKRQLEISWPGQSMKMKFLLPKQGTLQKFKCTAGPISWSQEAAGAKEDKQDDCESSHAKFSHGKKVYTDYSVIGIPGDGRCMFRSVAHGACLRSGKSAPSEHVQRELADDLRAKVADEFIKRRKETEWMPITVYMYDKGAGGLIAIAEYGQEYGTENPIRVLYHGFGHYDALQMRGRRSVTVDHHLIHAFEEY >EOY09414 pep chromosome:Theobroma_cacao_20110822:5:29024377:29029090:1 gene:TCM_024837 transcript:EOY09414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein isoform 1 MMVCSPISTCAKNVVHLRGHMGSSLCSVISCQPSSSCYYFSYSGHPKTKYTDLSVSYTTSGSPAVGYRAFQAGCFRSSRRSRKLQSLVVKESISDKTKQKRQLEISWPGQSMKMKFLLPKQGTLQKFKCTAGPISWSQEAAGAKEDKQDDCESSHAKFSHGKKVYTDYSVIGIPGDGRCMFRSVAHGACLRSGKSAPSEHVQRELADDLRAKVADEFIKRRKETEWFVEGNFDAYVSQIRKPHVWGGEPELFMASHVLQMPITVYMYDKGAGGLIAIAEYGQEYGTENPIRVLYHGFGHYDALQMRGRRSGKSKLQL >EOY09417 pep chromosome:Theobroma_cacao_20110822:5:29024324:29029090:1 gene:TCM_024837 transcript:EOY09417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein isoform 1 MMVCSPISTCAKNVVHLRGHMGSSLCSVISCQPSSSCYYFSYSGHPKTKYTDLSVSYTTSGSPAVGYRAFQAGCFRSSRRSRKLQSLVVKESISDKTKQKRQLEISWPGQSMKMKFLLPKQGTLQKFKCTAGPISWSQGCASVGLVFGLLVCYSSSEPVHAEAAGAKEDKQDDCESSHAKFSHGKKVYTDYSVIGIPGDGRCMFRSVAHGACLRSGKSAPSEHVQRELADDLRAKVADEFIKRRKETEWFVEGNFDAYVSQIRKPHVWGGEPELFMASHVLQMPITVYMYDKGAGGLIAIAEYGQEYGTENPIRVLYHGFGHYDALQMRGRRSGKSKLQL >EOY09064 pep chromosome:Theobroma_cacao_20110822:5:25287722:25288450:-1 gene:TCM_024417 transcript:EOY09064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHKRINPPQDILLRIFLLRRRASLGQRRKEIEASLRDAYSRFAAVGSVKLASKRCFQET >EOY09813 pep chromosome:Theobroma_cacao_20110822:5:31141556:31147011:-1 gene:TCM_025185 transcript:EOY09813 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MSSKEKPTLGGTRIKTRKRNIAAPLDPAAFADAVVQIYLENVGDLELVARNIESSDLNFTRYGDTFFEVVFTGGRTQPGTIKPDEGERHPYSIIECEAKREAIFPSVSYIQKILRRRPFLIKNLENVMRKLLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGLVLSFITDFFKEYLVDNSLEDLISILKRGKMEDNLLEFFPSAKRSAEGFSEHFTKAGLVPLVEYNEKKLFEVKLKEMKSALTTQIAEESEISEVIENVKQRVKDAKLPDIEVVRILWDVIMDAVQWSGKNQQQNANAALRQVKTWAQLLNSFCTSGKLELELMYKVQMQCYEDAKLMKLFPEIVRSLYDQDVLAEDTILHWFRKGTNPKGRQTFVKALEPFVNWLEEAEEEE >EOY09812 pep chromosome:Theobroma_cacao_20110822:5:31141263:31148775:-1 gene:TCM_025185 transcript:EOY09812 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MKLSYSKEKPTLGGTRIKTRKRNIAAPLDPAAFADAVVQIYLENVGDLELVARNIESSDLNFTRYGDTFFEVVFTGGRTQPGTIKPDEGERHPYSIIECEAKREAIFPSVSYIQKILRRRPFLIKNLENVMRKLLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGLVLSFITDFFKEYLVDNSLEDLISILKRGKMEDNLLEFFPSAKRSAEGFSEHFTKAGLVPLVEYNEKKLFEVKLKEMKSALTTQIAEESEISEVIENVKQRVKDAKLPDIEVVRILWDVIMDAVQWSGKNQQQNANAALRQVKTWAQLLNSFCTSGKLELELMYKVQMQCYEDAKLMKLFPEIVRSLYDQDVLAEDTILHWFRKGTNPKGRQTFVKALEPFVNWLEEAEEEE >EOY07866 pep chromosome:Theobroma_cacao_20110822:5:3066924:3068042:1 gene:TCM_022187 transcript:EOY07866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNCKKKNLHERVTLSLVKINHWRGRGGETMSEDVGKVVVAGDKRVSIGWEWGEAEEGTWVHMRVGPPHPIPGPTKVFQRGLGGSLTYTNHTA >EOY09168 pep chromosome:Theobroma_cacao_20110822:5:26838077:26845028:1 gene:TCM_024568 transcript:EOY09168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein, putative isoform 3 MDKHTPQIIHIPDKPRVPPSQQPTVNVYASIIEPKHANTLVRRLNQIAPLENLRHVRRIRRRHLQVQGGSPELSVILCLACENETQFNSMPPDVQEIVNSYNLCPFITKVSKYAALSKEEWDEQCKLWPTSYHPLTYNIDGITGFSEEDTKSVFSFMKSAVELAKSGDGLVVNAAVIVDPSAGLIIASACDEVCSWHMPTNKVKTETCCFKQLETFTSHADANRIVRDITMLSNGSSNNLQQCYTAISCLNPWQWAQHAFHRSHCYWHPLRHAAIVAVEASAARDRHLFPGSGYNEKLYEVDCTHSSSSISTTKRQKIVNLANVCHGACPSKN >EOY09166 pep chromosome:Theobroma_cacao_20110822:5:26831595:26844676:1 gene:TCM_024568 transcript:EOY09166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein, putative isoform 3 MDKHTPQIIHIPDKPRVPPSQQPTVNVYASIIEPKHANTLVRRLNQIAPLENLRHVRRIRRRHLQVQGGSPELSVILCLACENETQFNSMPPDVQEIVNSYNLCPFITKVSKYAALSKEEWDEQCKLWPTSYHPLTYNIDGITGFSEEDTKSVFSFMKSAVELAKSGDGLVVNAAVIVDPSAGLIIASACDEVCSWHMPTNKVKTETCCFKQLETFTSHADANRIVRDITMLSNGSSNNLQQCYTAISCLNPWQWAQHAFHRSHCYWHPLRHAAIVAVEASAARDRHLFPGSGYNEKLYEVDCTHSSSSISTTKRQKIVNLANVNNGGEHDAHIEGSHSLARPYLCTGYDIYLVWEPCTMCAMGLVHQRIRRIFYAFPNPEAGALGSVHRLQGEKSLNHHYAVFRVVLPEEVIGRTKLKL >EOY09167 pep chromosome:Theobroma_cacao_20110822:5:26838077:26845028:1 gene:TCM_024568 transcript:EOY09167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein, putative isoform 3 MDKHTPQIIHIPDKPRVPPSQQPTVNVYASIIEPKHANTLVRRLNQIAPLENLRHVRRIRRRHLQVQGGSPELSVILCLACENETQFNSMPPDVQEIVNSYNLCPFITKVSKYAALSKEEWDEQCKLWPTSYHPLTYNIDGITGFSEEDTKSVFSFMKSAVELAKSGDGLVVNAAVIVDPSAGLIIASACDEVCSWHMPTNKVKTETCCFKQLETFTSHADANRIVRDITMLSNGSSNNLQQCYTAISCLNPWQWAQHAFHRSHCYWHPLRHAAIVAVEASAARDRHLFPGSGYNEKLYEVDCTHSSSSISTTKRQKIVNLANVNNGGEHDAHIEGSHSLARPYLCTGYDIYLVWEPCTMCVPWGLSIKELGAYFMLSQILKRAHWEVFTGYRECNSSLSPILMNGSVRKKALLNQ >EOY08497 pep chromosome:Theobroma_cacao_20110822:5:9388216:9399142:-1 gene:TCM_022976 transcript:EOY08497 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 3 MSLRLLSAHNSLTLLFSKRLFLVPTPLISSFPPPQISSFAMSHRPNYQGGRRGGGGPNSGRGGGRRGGGGGGGRGGRGGEQRWWDPVWRAERLRQKAAEMEVLDEGEWWDKINQMKKGEEQEMIIRRNFSRSDQQILSDMAYQLGLYFHAYNKGKALVVSKVPLPNYRADLDERHGSTQKEIRMSTETERRVGNLLDSSRDARSTDDSGVASSRGATKPLPDVKRTDSVSTIGTDSAKEKFSAELKQKQENLKASDRVKVMRSFREKLPAFKVKAEFLKAVTENQVLVISGGTGCGKTTQLSQFILEEEISCLRGADCNIICTQPRRISAISVASRISLERGESLGETVGYQIRLESKRSAQTRLLFCTAGVLLRQLVQDPDLNGVSHLLVDEIHERGMNEDFLLIILRDLLPRRPDLRLVLMSATINADLFSKYFGNAPTIHIPWLTFPVAELFLEDVLQQTRYNIKSEFDNFQGNSQRRRKELDLKQDNLTALFEDVDIDSHYKNYSVSTRHSLEAWSGSQIDLGLVEAAIEYICRHEGDGAILVFLTGWDDISKLLDKIKVNSFLGDLSKFLVLPLHGSMPTINQREIFDRPPPNKRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKLIHDAMLDYQLPEILRTPLQELCLHIKSLQLGTVGSFLAKALQPPDPLSVQNAIELLKTIGALDDAEELTPLGRHLCTLPLDPNIGKMLLMGAIFQCLNPALTIASALAHRDPFVLPIHRKEEADDAKRSFAGDSCSDHIALLKAFGGYKDAKSNGRERAFCWEYYLSPVTLQMMEDMRNQFIDLLSDIGFVDKSRGASAYNKYSHDSEMVCAILCAGLYPNVVQCKRRGKRTAFYTKEVGKVDIHPASVNAGVHHFPLPYMVYSEKVKTTSIFIRDSTNISDYALLLFGGNLIPSKTGEGIEMLGGYLHFSASKSVLDLIQKLRGELGKLLNRKVEEPGFDISVEGKGVVSAVVELLHSQNVRY >EOY08498 pep chromosome:Theobroma_cacao_20110822:5:9388806:9397695:-1 gene:TCM_022976 transcript:EOY08498 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 3 MSLRLLSAHNSLTLLFSKRLFLVPTPLISSFPPPQISSFAMSHRPNYQGGRRGGGGPNSGRGGGRRGGGGGGGRGGRGGEQRWWDPVWRAERLRQKAAEMEVLDEGEWWDKINQMKKGEEQEMIIRRNFSRSDQQILSDMAYQLGLYFHAYNKGKALVVSKVPLPNYRADLDERHGSTQKEIRMSTETERRVGNLLDSSRDARSTDDSGVASSRGATKPLPDVKRTDSVSTIGTDSAKEKFSAELKQKQENLKASDRVKVMRSFREKLPAFKVKAEFLKAVTENQVLVISGGTGCGKTTQLSQFILEEEISCLRGADCNIICTQPRRISAISVASRISLERGESLGETVGYQIRLESKRSAQTRLLFCTAGVLLRQLVQDPDLNGVSHLLVDEIHERGMNEDFLLIILRDLLPRRPDLRLVLMSATINADLFSKYFGNAPTIHIPWLTFPVAELFLEDVLQQTRYNIKSEFDNFQGNSQRRRKELDLKQDNLTALFEVEAAIEYICRHEGDGAILVFLTGWDDISKLLDKIKVNSFLGDLSKFLVLPLHGSMPTINQREIFDRPPPNKRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKLIHDAMLDYQLPEILRTPLQELCLHIKSLQLGTVGSFLAKALQPPDPLSVQNAIELLKTIGALDDAEELTPLGRHLCTLPLDPNIGKMLLMGAIFQCLNPALTIASALAHRDPFVLPIHRKEEADDAKRSFAGDSCSDHIALLKAFGGYKDAKSNGRERAFCWEYYLSPVTLQMMEDMRNQFIDLLSDIGFVDKSRGASAYNKYSHDSEMVCAILCAGLYPNVVQCKRRGKRTAFYTKEVGKVDIHPASVNAGVHHFPLPYMVYSEKVKTTSIFIRDSTNISDYALLLFGGNLIPSKTGEGIEMLGGYLHFSASKSVLDLIQKLRGELGKLLNRKVEEPGFDISVEGKGVVSAVVELLHSQNVRY >EOY08499 pep chromosome:Theobroma_cacao_20110822:5:9390262:9397695:-1 gene:TCM_022976 transcript:EOY08499 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 3 MSLRLLSAHNSLTLLFSKRLFLVPTPLISSFPPPQISSFAMSHRPNYQGGRRGGGGPNSGRGGGRRGGGGGGGRGGRGGEQRWWDPVWRAERLRQKAAEMEVLDEGEWWDKINQMKKGEEQEMIIRRNFSRSDQQILSDMAYQLGLYFHAYNKGKALVVSKVPLPNYRADLDERHGSTQKEIRMSTETERRVGNLLDSSRDARSTDDSGVASSRGATKPLPDVKRTDSVSTIGTDSAKEKFSAELKQKQENLKASDRVKVMRSFREKLPAFKVKAEFLKAVTENQVLVISGGTGCGKTTQLSQFILEEEISCLRGADCNIICTQPRRISAISVASRISLERGESLGETVGYQIRLESKRSAQTRLLFCTAGVLLRQLVQDPDLNGVSHLLVDEIHERGMNEDFLLIILRDLLPRRPDLRLVLMSATINADLFSKYFGNAPTIHIPWLTFPVAELFLEDVLQQTRYNIKSEFDNFQGNSQRRRKELDLKQDNLTALFEDVDIDSHYKNYSVSTRHSLEAWSGSQIDLGLVEAAIEYICRHEGDGAILVFLTGWDDISKLLDKIKVNSFLGDLSKFLVLPLHGSMPTINQREIFDRPPPNKRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKLIHDAMLDYQLPEILRTPLQELCLHIKSLQLGTVGSFLAKALQPPDPLSVQNAIELLKTIGALDDAEELTPLGIYFFFF >EOY11548 pep chromosome:Theobroma_cacao_20110822:5:39573336:39575808:-1 gene:TCM_026687 transcript:EOY11548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase-2 precursor MAPKASALCSIALLLMLFSPSLASFPTSVFNSESICNYTPHADFCKCILPPNKFTTMFDYGRIAVHRSLFTAHSFLGSVKYFLGLPSTSFLSTIRALQDCQFLAEMNVDFLSYTLETINSKDSLDSFLADDLHTLLSAVLTNVQTCLEGLEATPSASSIKNGLLPSISNGSKFYSVSLALFRHGWIHDITKSLTGRNYVFSNLGNGRNAPLPLRMSSHDQAIYESACGQKHVQTDGEEVSVSQVVVVNSDGSGNFTTINDAVAAAPNNSGDSNQYFVIYVVAGVYEEYVSIPKTKQNLMMIGDGINRTVITGNRNFVDGSTTFNSATFAVVGKGFVAVNITFRNTAGPIKHQAVAVRNGADMSTFYSCSFEGYQDTLYAHSLRQFYRDCDIYGTIDFIFGNAAVVFQNCNIYPRLPLENQFNTITAQGRIDPNQNTGISIHNSVIKAADDLASSNGATKTYLGRPWKQYSRTVYMQSFMDKLIEPSGWSEWSGNFALDTLYYAEYKNKGPGSNTDSRVRWPGYHGNINDIDAANLTVSKFIQGESWLPATGVPFEGGLHY >EOY10363 pep chromosome:Theobroma_cacao_20110822:5:34363581:34369852:-1 gene:TCM_025733 transcript:EOY10363 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA methyltransferase MASFVCLHICAVPRAAKQALSSLVLLHKLPSSHQPNYCITKAFTSSSASVSIDANKELRSNELVALEYADLNLTDKISQELGHVRIRQHVNPLSSSFSVPASIPDWSEVFRDPTLPLMVDIGCGSGRFLMWLAKQNSDSQNYLGLEIRAKLVKRAGFWVKELALSNIHFIFANASISFKHLVSTYPGPLMLVSILCPDPHFKKRHHKRRVVQKPLVDSILTSLMPGGKVIIQSDVLEVAVDMRKQFDLEPGALQHVDTVDPSVLCDDEGWLMNNPMGIRTEREIHAEFEGSFKPAKKAASRKHHGFKPSSILLNLKLQTLFFIE >EOY07512 pep chromosome:Theobroma_cacao_20110822:5:1790302:1791692:-1 gene:TCM_021925 transcript:EOY07512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRRYQKETQHFYNVKTQLVQKNIAATSSSPSNIYVQVHLGRPGPSQFSDGEKVLVQCSNSICETKAFFFHIYGLQSLFGSRQQTG >EOY07319 pep chromosome:Theobroma_cacao_20110822:5:1180278:1181613:-1 gene:TCM_021780 transcript:EOY07319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L35Ae family protein isoform 1 MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNSKEEVGWYCGKRMAYIYKAKVKKNGSHYRCIWGKVTRAHGNSGIVRAKFKSNLPPKSMGDKVRVFMYPSNI >EOY07318 pep chromosome:Theobroma_cacao_20110822:5:1180269:1181563:-1 gene:TCM_021780 transcript:EOY07318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L35Ae family protein isoform 1 MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNSKEEVGWYCGKRMAYIYKAKVKKNGSHYRCIWGKVTRAHGNSGIVRAKFKSNLPPKSMGDKVRVFMYPSNI >EOY07317 pep chromosome:Theobroma_cacao_20110822:5:1180312:1181612:-1 gene:TCM_021780 transcript:EOY07317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L35Ae family protein isoform 1 MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNSKEEVGWYCGKRMAYIYKAKVKKNGSHYRCIWGKVTRAHGNSGIVRAKFKSNLPPKSMGDKVRVFMYPSNI >EOY07057 pep chromosome:Theobroma_cacao_20110822:5:339155:341842:-1 gene:TCM_021588 transcript:EOY07057 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein MEKSPSPIPIPEKTPPQDLPEASPKPYKKSFVTTLMEAATLRSPSFKEDTYFISQLKSSEKKALQELKDKLMASYGPDGECAMWGIPLLGGDEKADVILLKFLRARDFRVSDSLHMLEKCLAWRKEFSADNVAEEDLGFKELEGVVAYMHGYDREGHPVCYNAYGVFRDKDMYERIFGDEEKLKKFLRWRVQVLERGINLLHFKPGGINSIIQVTDLKDMPKRELRVASNQILSLFQDNYPEMVARKIFINVPWYFSLLYSMFSPFLTQRTKSKFVISREGNAAESLYKFIRPEDVPVQYGGLSRLNDLQNGPPKPASEFTVKGGEKVNIQIEGIEAGATITWDLVVGGWDLEYSAEFVPNAEGSYTIAVEKPRKLSPSEEAIHNFFTSREAGKMVLSVDNSASRRKKVAAYRYIVRKSALV >EOY07812 pep chromosome:Theobroma_cacao_20110822:5:2792047:2795832:-1 gene:TCM_022135 transcript:EOY07812 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MGNIGSSGVNGRRRTSGRRNHPPPPQPPPPAQPQPEIPTNRYVFAAATPYPPQYPNTNPPHYYQYPGYYPPQPPMPVPLPAPYDHHHRVGPHMEPANWSRYACDPMMPSHPVPYIEHQKAVTIRNDVNLKKETLKLEADEENPGKFLVSFTFDATVAGSMTVIFFAKEGEDCNLTPMKESILPPVTVHFEQGLGQKFRQPSGTGIDFSMFEEAELQKVDEVDFYHLAVKAEALPVNQNGSDGNQVSGAMNSQITQAVFEKEKGEYQARVVKQILWVNGMRYELQAIYGIGNSVDNDVDANDPGKECVICLSEPRDTTVLPCRHMCMCSSCAKVLRCQTNRCPICRQPVERLLEIKDQLFVYDSSRLALDYHVSVR >EOY07813 pep chromosome:Theobroma_cacao_20110822:5:2792785:2795242:-1 gene:TCM_022135 transcript:EOY07813 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MPVPLPAPYDHHHRVGPHMEPANWSRYACDPMMPSHPVPYIEHQKAVTIRNDVNLKKETLKLEADEENPGKFLVSFTFDATVAGSMTVIFFAKEGEDCNLTPMKESILPPVTVHFEQGLGQKFRQPSGTGIDFSMFEEAELQKVDEVDFYHLAVKAEALPVNQNGSDGNQVSGAMNSQITQAVFEKEKGEYQARVVKQILWVNGMRYELQAIYGIGNSVDNDVDANDPGKECVICLSEPRDTTVLPCRHMVKTAASFLFISFFLSLVVCNID >EOY07799 pep chromosome:Theobroma_cacao_20110822:5:2758321:2761211:1 gene:TCM_022127 transcript:EOY07799 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein, putative MSGDAFSMPVSRGGFVQDQNNANPNPKANPNPVKKKRNLPGTPDPDAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLRQRTNKEVRKKVYICPEKTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARLTSVAATNLNFRNDTVNLPHGFAGRGVQDVAGISQLGSGFGQDFTGMPAAGLPEIVQMASGNLFGSSSLTNFDNHGHFPGFDKSGATSTNANLSLSPLPQGLKEEGGSKGNLMESLSSLYSETQNKQPKPAAPMSATALLQKAAQMGSTRSNPSFFGNSFGVMSSSSSHTVSLSSTQDRNDQLHQVYPNHVKEQETFMASSSVSMSTDAGLGSSNLNSVASATSNNLEQLMLQTGAKHSNPSQLKMHHPGSNSIDQSLTRDFLGMSNDQSARPFLPQDLAKFASIGSTMGLSQFTSNH >EOY09115 pep chromosome:Theobroma_cacao_20110822:5:26195791:26199707:1 gene:TCM_024505 transcript:EOY09115 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 12, putative isoform 1 MNSMGKKRSWFSWVKKIFTSDAKTKTEKKSRRWRWILGRLKLKQYHPALPAPQTSFCQATEEQRKHALNVAIATAAAAEAAVAAAQAAAEVVRLAGASKPFPHFTTRDRNLAAIKIQSAFRAYLARKALQALKGLVKLQALVRGQAVRRQVMKNMKSLQSGEKMYPEVKEKIPPTTKVICQDIRRKQSLMHKDEVGEKDVKHECNSQKSWNDSVLSKEDFEAIWLRRQEAIAKRERMKKYSYSHRERANTHMLEESVLQKELGSCSFMEPGANAEANKRERVMLLKPNVPSNLSTWEAHGPPHVRFENMRKQDTLEELNSPFLFPRRSFCRVLQSASGDEGSIPNSPAFPTYMVATESAKAKARSMSTPRQRVGFLDTFFDYSTPYKGGLSFWSTYNGEPFSINEKNSLPRYRLY >EOY09116 pep chromosome:Theobroma_cacao_20110822:5:26195791:26199633:1 gene:TCM_024505 transcript:EOY09116 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 12, putative isoform 1 MNSMGKKRSWFSWVKKIFTSDAKTKTEKKSRRWRWILGRLKLKQYHPALPAPQTSFCQATEEQRKHALNVAIATAAAAEAAVAAAQAAAEVVRLAGASKPFPHFTTRDRNLAAIKIQSAFRAYLARKALQALKGLVKLQALVRGQAVRRQVMKNMKSLQSGEKMYPEVKEKIPPTTKVICQDIRRKQSLMHKDEVGEKDVKHECNSQKSWNDSVLSKEDFEAIWLRRQEAIAKRERMKKYSYSHRERANTHMLEESVLQKELGSCSFMEPGANAEANKRERVMLLKPNVPSNLSTWEAHGPPHVRFENMRKQDTLEELNSPFLFPRRSFCRVLQSASGDEGSIPNSPAFPTYMVATESAKAKARSMSTPRQRVGFLDTFFDYSTPYKGGLSFWSTYNGEPFSINEKNSLPRYRLY >EOY09119 pep chromosome:Theobroma_cacao_20110822:5:26195902:26199707:1 gene:TCM_024505 transcript:EOY09119 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 12, putative isoform 1 MNSMGKKRSWFSWVKKIFTSDAKTKTEKKSRRWRWILGRLKLKQYHPALPAPQTSFCQATEEQRKHALNVAIATAAAAEAAVAAAQAAAEVVRLAGASKPFPHFTTRDRNLAAIKIQSAFRAYLARKALQALKGLVKLQALVRGQAVRRQVMKNMKSLQSGEKMYPEVKEKIPPTTKVICQDIRRKQSLMHKDEVGEKDVKHECNSQKSWNDSVLSKEDFEAIWLRRQEAIAKRERMKKYSYSHRERANTHMLEESVLQKELGSCSFMEPGANAEANKRERVMLLKPNVPSNLSTWEAHGPPHVRFENMRKQDTLEELNSPFLFPRRSFCRVLQSASGDEGSIPNSPAFPTYMVATESAKAKARSMSTPRQRVGFLDTFFDYSTPYKGGLSFWSTYNGEPFSINEKNSLPRYRLY >EOY09118 pep chromosome:Theobroma_cacao_20110822:5:26195789:26199609:1 gene:TCM_024505 transcript:EOY09118 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 12, putative isoform 1 MNSMGKKRSWFSWVKKIFTSDAKTKTEKKSRRWRWILGRLKLKQYHPALPAPQTSFCQATEEQRKHALNVAIATAAAAEAAVAAAQAAAEVVRLAGASKPFPHFTTRDRNLAAIKIQSAFRAYLARKALQALKGLVKLQALVRGQAVRRQVMKNMKSLQSGEKMYPEVKEKIPPTTKVICQDIRRKQSLMHKDEVGEKDVKHECNSQKSWNDSVLSKEDFEAIWLRRQEAIAKRERMKKYSYSHRERANTHMLEESVLQKELGSCSFMEPGANAEANKRERVMLLKPNVPSNLSTWEAHGPPHVRFENMRKQDTLEELNSPFLFPRRSFCRVLQSASGDEGSIPNSPAFPTYMVATESAKAKARSMSTPRQRVGFLDTFFDYSTPYKGGLSFWSTYNGEPFSINEKNSLPRYRLY >EOY09117 pep chromosome:Theobroma_cacao_20110822:5:26195920:26199523:1 gene:TCM_024505 transcript:EOY09117 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 12, putative isoform 1 MNSMGKKRSWFSWVKKIFTSDAKTKTEKKSRRWRWILGRLKLKQYHPALPAPQTSFCQATEEQRKHALNVAIATAAAAEAAVAAAQAAAEVVRLAGASKPFPHFTTRDRNLAAIKIQSAFRAYLARKALQALKGLVKLQALVRGQAVRRQVMKNMKSLQSGEKMYPEVKEKIPPTTKVICQDIRRKQSLMHKDEVGEKDVKHECNSQKSWNDSVLSKEDFEAIWLRRQEAIAKRERMKKYSYSHRERANTHMLEESVLQKELGSCSFMEPGANAEANKRERVMLLKPNVPSNLSTWEAHGPPHVRFENMRKQDTLEELNSPFLFPRRSFCRVLQSASGDEGSIPNSPAFPTYMVATESAKAKARSMSTPRQRVGFLDTFFDYSTPYKGGLSFWSTYNGEPFSINEKNSLPRYRLY >EOY10960 pep chromosome:Theobroma_cacao_20110822:5:37230427:37231004:1 gene:TCM_026229 transcript:EOY10960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATISYFLVFIMLIQGTIIFSNSIPMVEASRGLAYLENLTPPPPPPPPPPLSPPPSSNSSQPTRTLPSAPPSPTANSPSIDSKPIDPH >EOY11537 pep chromosome:Theobroma_cacao_20110822:5:39546770:39551082:-1 gene:TCM_026679 transcript:EOY11537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein isoform 1 MASLSFIIGIIGNVISILVFASPIKTFWWVVKKKSTENYNGVPYITTLLSTSLWTFYGTMNPDGLLVVTLNVTGAIFQLIYVTLFLIYAPKDKKIKTAKLVAVLDVGFPGVLIAVTLLAIHGNTRLAFVGILCAVLTIGMYASHLSVMRTVIRTKSVEYMPFLLSFFLFLNAGVWSAYSLLVKDIYIGIHSFQVPNAIGFVLGSAQLILYVIYKNKSASAKSPEAMEEEGSAHLVKGGIEMHSLEDDLKNRSLNKCRSLPKPQVGRQYSLQKIMKTLSLTPYELQSSY >EOY11536 pep chromosome:Theobroma_cacao_20110822:5:39546441:39548567:-1 gene:TCM_026679 transcript:EOY11536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein isoform 1 MASLSFIIGIIGNVISILVFASPIKTFWWVVKKKSTENYNGVPYITTLLSTSLWTFYGTMNPDGLLVVTLNVTGAIFQLIYVTLFLIYAPKDKKIKTAKLVAVLDVGFPGVLIAVTLLAIHGNTRLAFVGILCAVLTIGMYASHLSVMRTVIRTKSVEYMPFLLSFFLFLNAGVWSAYSLLVKDIYIGVPNAIGFVLGSAQLILYVIYKNKSASAKSPEAMEEEGSAHLVKGGIEMHSLEDDLKNRSLNKCRSLPKPQVGRQYSLQKIMKTLSLTPYELQSSYPLHSDVEDGNTDTDHP >EOY11373 pep chromosome:Theobroma_cacao_20110822:5:39126055:39130158:-1 gene:TCM_026580 transcript:EOY11373 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein isoform 1 MASPMRSDRTEGENNEPNRVNPQQPVLDVPPLSCVHYIGPPSPGDTFSSPTREQTEASERIGPAMIFLPSQSTREELDNMMAHAKYGVALTGAAATGSIGPLRGLRNISESEDSYHFRVNVPGASMEKGDFSCDIEPDGTVVIKGISTTGEKVVYWGSLVFDMLTQNLGPLGPFTISFQLPGPVNPQEVVSRLADGIFEAIVKKK >EOY11374 pep chromosome:Theobroma_cacao_20110822:5:39125761:39130278:-1 gene:TCM_026580 transcript:EOY11374 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein isoform 1 MTIDSFTMEIMFKTYWKTISLAHIRSDRTEGENNEPNRVNPQQPVLDVPPLSCVHYIGPPSPGDTFSSPTREQTEASERIGPAMIFLPSQSTREELDNMMAHAKYGVALTGAAATGSIGPLRGLRNISESEDSYHFRVNVPGASMEKGDFSCDIEPDGTVVIKGISTTGEKVVYWGSLVFDMLTQNLGPLGPFTISFQLPGPVNPQEVVSRLADGIFEAIVKKK >EOY11375 pep chromosome:Theobroma_cacao_20110822:5:39125970:39136128:-1 gene:TCM_026580 transcript:EOY11375 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein isoform 1 MFFLVWDVILTPNRVNPQQPVLDVPPLSCVHYIGPPSPGDTFSSPTREQTEASERIGPAMIFLPSQSTREELDNMMAHAKYGVALTGAAATGSIGPLRGLRNISESEDSYHFRVNVPGASMEKGDFSCDIEPDGTVVIKGISTTGEKVVYWGSLVFDMLTQNLGPLGPFTISFQLPGPVNPQEVVSRLADGIFEAIVKKK >EOY10514 pep chromosome:Theobroma_cacao_20110822:5:35136374:35139330:-1 gene:TCM_025855 transcript:EOY10514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrin, putative MAAIEGGGTLSEVYQSARKLLLRARDGIERLERLESSVSAGGGLDSPELSFAVKKDISQIHSLCSDMDRLWRSIAAKSQRDLWKRKVEQMVEEADSLKESLDKYSMRNQRRMLEAKERAELLGRANGESAHVLRIFDEEAQAMQSVRNSSRMLQESFATGTAILSKYSEQRERLKRAQRKALDVLNTVGLSNSVLRLIERRNRVDRWIKYVGMVLTVIILYFFWKWTR >EOY08712 pep chromosome:Theobroma_cacao_20110822:5:18416699:18427878:-1 gene:TCM_023785 transcript:EOY08712 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIS triad family protein 3 MSSEINKSRLLVLSSHFTDLHSESSLMASEKEAALAAVPSDSPTIFDKIINKEIPSKVVYEDDKVLAFRDIAPQAPTHILIIPKSKDGLTGLSKAEERHCEILGHLLYIAKLVAKQEGLEDGFRIVINDGPQGCQSVYHIHVHLLGGRQMNWPPG >EOY08472 pep chromosome:Theobroma_cacao_20110822:5:8886008:8891382:-1 gene:TCM_022928 transcript:EOY08472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MRKKFAFVLLLSLVRVVFSATDPGDLDILMQFRDGLENPELLKWPENGDDPCGPPSWNHVVCDKSRVTQIQAQAVGLKGTLPQNLNKLSMLKNIGLQKNQLSGKLPSISGLSNLVYAYLDYNNFDSIPAEFFDGLDNLQFLALDQNNFNASTGWSFPKALQNSAQLTNLSCMSCNLIGPLPDFLGSMPSLTNLRLSGNRLSGEIPGTFNGSALQMLWLNDQLGGGMTGPIDVVATMESLSVLWLHGNQFTGPIPENIGNLTLLKDLNLNSNNLVGLIPDSLANMRFNNLDLNNNQLMGPIPMFKTPNVTFASNKFCQATQGLPCAPEVMALIGFLDWVNYPQRLVNSWSDNEPCNWVGIRCFSGKVSIINLPHYNLSGTLSPSVAKLDSLSEIRLQSNNLTGPIPENWTSLKSLETLDLSDNNISGPLPKFSSTVKLVTTGNPISDGHKTAPSNRDNTPSVSSDSPPNSPSSSLKGSGSTPTDSSVESTKTKSFKRNTFVSIVAPVASFAVLAFLVIPLSIYCYKKRKDSKLASTSLVIHPRDPSEDNVVKVVVANNTHGSTSTLTGSGSASRNGSSIGESHVIEAGNLVISVQVLRNVTKNFAPENELGRGGFGVVYKGELDDGTQIAVKRMEAGVITSKALDEFQAEIAVLSKVRHRHLVSLLGYSIEGNERILVYEYMSQGALSKHLFHWKSLKLEPLSWKRRLNIALDVARGMEYLHSLAHQSFIHRDLKSSNILLGDDFKAKVSDFGLVKLAPDGEKSVVTRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELLTGLMALDEDRPEETQYLAAWFWHIKSDEEKLRAAIDPDLDVKDETFESISIIAELAGHCTAREPSQRPDMGHAVNVLAPLVEKWKPLDDDNDDYCGIDYSLPLNQMVKGWQEAEGKDFSYMDLEDSKGSIPARPTGFAESFTSADGR >EOY08473 pep chromosome:Theobroma_cacao_20110822:5:8888094:8891206:-1 gene:TCM_022928 transcript:EOY08473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MRKKFAFVLLLSLVRVVFSATDPGDLDILMQFRDGLENPELLKWPENGDDPCGPPSWNHVVCDKSRVTQIQAQAVGLKGTLPQNLNKLSMLKNIGLQKNQLSGKLPSISGLSNLVYAYLDYNNFDSIPAEFFDGLDNLQFLALDQNNFNASTGWSFPKALQNSAQLTNLSCMSCNLIGPLPDFLGSMPSLTNLRLSGNRLSGEIPGTFNGSALQMLWLNDQLGGGMTGPIDVVATMESLSVLWLHGNQFTGPIPENIGNLTLLKDLNLNSNNLVGLIPDSLANMRFNNLDLNNNQLMGPIPMFKTPNVTFASNKFCQATQGLPCAPEVMALIGFLDWVNYPQRLVNSWSDNEPCNWVGIRCFSGKVSIINLPHYNLSGTLSPSVAKLDSLSEIRLQSNNLTGPIPENWTSLKSLETLDLSDNNISGPLPKFSSTVKLVTTGNPISDGHKTAPSNRDNTPSVSSDSPPNSPSSSLKGSGSTPTDSSVESTKTKSFKRNTFVSIVAPVASFAVLAFLVIPLSIYCYKKRKDSKLASTSLVIHPRDPSEDNVVKVVVANNTHGSTSTLTGSGSASRNGSSIGESHVIEAGNLVISVQVLRNVTKNFAPENELGRGGFGVVYKGELDDGTQIAVKRMEAGVITSKALDEFQAEIAVLSKVRHRHLVSLLGYSIEGNERILVYEYMSQGALSKHLFHWKSLKLEPLSWKRRLNIALDVARGMEYLHSLAHQSFIHRDLKSSNILLGDDFKAKVSDFGLVKLAPDGEKSVVTRLAGTFGYLAPEYAA >EOY11099 pep chromosome:Theobroma_cacao_20110822:5:37945906:37948495:1 gene:TCM_026374 transcript:EOY11099 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein MVEEQQRIQIPRMKLGNQGLDVSKLGFGCAGLSGAYNDPLPDDAGISIIKHAFDRGITFFDTSDIYGPETNEILVGKALKQLPREKVQLATKFGITKMDTTGVMVNGTPEYVRASVEASMKRLDVEYIDLYYQHRVDTTTPIEDTMGELKKLVEEGKIKYIGLSGASPETIKRAHVVHPITAVQMEWSLWTRDIEEEIVPICRQLRIGIVPYSPLGRGFFGGRAVEESVPANSLVDQLPTSTFKAIFPRFQGENLARNKILYLKVKKLAEKHGCTTAQLALAWVLHQGDDVAPIPGTTKIKNLDSNIDSLRVKLTEENLKEICDVIPINEVAGTLLPDSLSHLSWKFANTPSKESKIST >EOY11221 pep chromosome:Theobroma_cacao_20110822:5:38391116:38394445:1 gene:TCM_026464 transcript:EOY11221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 46, putative MANLSLLSGSYFMFFILCLSLRGSLSCLHDQREALLDLKDLLVRDMVTDNSTDLFLGGLETWNSSSECCQWILVQCNSRSSSQEVTGLNLSSLFPLRGKASTVLESVFRIKTLMSLDISYNSLHGEIPRIGFGNLTELAHLDMRGNSFNGSIPPRLFRLRNLEFLDLSVNMIEGSLPGDVGGLKKLKQLSLDANIIRGEIPEEIGNLIELRKLTLPGNQISGRIPLSISQLRKLEVLQLQNNSLSEEIPSDIGNLVNMTTLDLSKNNLSGEIPSSIQKLGKLETLQLENNKLSGEIPTWLFDLKEMKKLHLGGNRLAWNNDITITPKCTLSSLSLRSCSVSGQIPRWLSNQTDLIFLDLSENQIEGDFPQWLAEQNLGTIILSDNKLAGSLPPQLFQSRNLSVLALSRNNFSGELPEINTTSIMVLMLSENNFSGPVPKSLSNIYRLLLLDLSTNSFSGSEFPAFGEDGLIAYVDISSNKLSGKVPAALGLNLMMLSLSQNDFSGSLPQNLSNLSQLEHLDLHDNNITGEFPAFLYQLSSLQVLNLRNNSIEGSISDDLSNLSSLRILDLSNNNLIGDIPPSLGNLTGMIDTPDVPSTLSEIFSFQVEIHDLIVNWKNSKRGLSNRNLDIYTFLDLSKNQLSGEVPPSLGSLKRLKLLNLSYNELSGNIPMSFGDLESLEALDLSDNKLDGEIPGTFAKLQELNTLDLSNNKLAGKIPESPQLDTLIDPDIYANNSGLCGVQIDAPCEEDSQPPESPEEEKKETWFSWEAAGIGYPVGFLSSTALMSAIGYFTVTPLHHRRRHARRR >EOY07795 pep chromosome:Theobroma_cacao_20110822:5:2721524:2725198:-1 gene:TCM_022121 transcript:EOY07795 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box domain-containing protein, putative isoform 1 MGHRHLFGTSQMFEGEHEQSWNHMHTEQPYVNLVRASTTEHGSFFCPVENMTVEGVHFSSHWNPVPRSSGYATSSHNVEAPHYQPDTSGPSHDVFLHPSPPVAFSAAPENYMHHASSSNHDRQTFHGIEGGFVDLTMGGVRGPHKRKSPGVPSVCERGGSSRYVGAGSSSDLPLSSDFWQEKPNIETQHMHWDHVAMPSSYRGNGLSIRGEGSMRNVRSRPALDLESNLVRTHLSSNPSHTAYSTSHPVDHSSSVDLSGQSSNALSREWEWGHLRISPSHGRNQASDSSVFNHETNQFLGGSSATTASAEVGGLQHDFISGRNPVLPQSFNGNSAQSVRGVRTNYSQRSSPTFRASSSSVRLGHVAPSEEGMQVSAETYSSRHPRPLSAIAWRNNERNGRSRISNDRYRSLADDAAFHDRFSSEGFMIVDRSAFYGSRNMLDQHRDMRLDIDNMTYEELLALGERI >EOY07793 pep chromosome:Theobroma_cacao_20110822:5:2720794:2724885:-1 gene:TCM_022121 transcript:EOY07793 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box domain-containing protein, putative isoform 1 MGHRHLFGTSQMFEGEHEQSWNHMHTEQPYVNLVRASTTEHGSFFCPVENMTVEGVHFSSHWNPVPRSSGYATSSHNVEAPHYQPDTSGPSHDVFLHPSPPVAFSAAPENYMHHASSSNHDRQTFHGIEGGFVDLTMGGVRGPHKRKSPGVPSVCERGGSSRYVGAGSSSDLPLSSDFWQEKPNIETQHMHWDHVAMPSSYRGNGLSIRGEGSMRNVRSRPALDLESNLVRTHLSSNPSHTAYSTSHPVDHSSSVDLSGQSSNALSREWEWGHLRISPSHGRNQASDSSVFNHETNQFLGGSSATTASAEVGGLQHDFISGRNPVLPQSFNGNSAQSVRGVRTNYSQRSSPTFRASSSSVRLGHVAPSEEGMQVSAETYSSRHPRPLSAIAWRNNERNGRSRISNDRYRSLADDAAFHDRFSSEGFMIVDRSAFYGSRNMLDQHRDMRLDIDNMTYEELLALGERIGNVSTGLSEDLISKCLTESIYCSSGQFQDESSCVICLEEYKDMDEVGALNTCGHDYHVPCIKKWLSMKNTCPICKASALADDAKEK >EOY07794 pep chromosome:Theobroma_cacao_20110822:5:2718564:2728890:-1 gene:TCM_022121 transcript:EOY07794 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box domain-containing protein, putative isoform 1 MGHRHLFGTSQMFEGEHEQSWNHMHTEQPYVNLVRASTTEHGSFFCPVENMTVEGVHFSSHWNPVPRSSGYATSSHNVEAPHYQPDTSGPSHDVFLHPSPPVAFSAAPENYMHHASSSNHDRQTFHGIEGGFVDLTMGGVRGPHKRKSPGVPSVCERGGSSRYVGAGSSSDLPLSSDFWQEKPNIETQHMHWDHVAMPSSYRGNGLSIRGEGSMRNVRSRPALDLESNLVRTHLSSNPSHTAYSTSHPVDHSSSVDLSGQSSNALSREWEWGHLRISPSHGRNQASDSSVFNHETNQFLGGSSATTASAEVGGLQHDFISGRNPVLPQSFNGNSAQSVRGVRTNYSQRSSPTFRASSSSVRLGHVAPSEEGMQVSAETYSSRHPRPLSAIAWRNNERNGRSRISNDRYRSLADDAAFHDRFSSEGFMIVDRSAFYGSRNMLDQHRDMRLDIDNMTYEELLALGERIGNVSTGLSEDLISKCLTESIYCSSGQFQDESSCVICLEEYKDMDEVGALNTCGHDYHVPCIKKWLSMKNTCPICKASALADDAKEK >EOY11301 pep chromosome:Theobroma_cacao_20110822:5:38873497:38876141:1 gene:TCM_026532 transcript:EOY11301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLGVLIIKKIEKGIQSTDSQKGLISGKKKDRGEGKRLLIKATKRIFGFAT >EOY07899 pep chromosome:Theobroma_cacao_20110822:5:3176207:3176876:-1 gene:TCM_022215 transcript:EOY07899 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein, putative MCVKMQNWEQRHTGLVVLRLSMKKLKRVFSEFPSRGLDQNAVEFDENVEETKKIPKDVKEGHFAVIAVKGGKPKRFILELSYLRNPAFLRLLEQAKEEYGFHQTGALTVPCQPEELEKILEDRIKKIASAWV >EOY08273 pep chromosome:Theobroma_cacao_20110822:5:6048608:6050692:-1 gene:TCM_022614 transcript:EOY08273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein MDTSSPAALVNGGLLRMHVGRKVRAVIQVVRSDVGSVVGKSTDDCQLVVKGSPPVSLTSYVEVIGVAENDNSIQAEIWTNFGDSFDTSNYDQLCQLANGEFKHLFL >EOY09320 pep chromosome:Theobroma_cacao_20110822:5:28277707:28279862:-1 gene:TCM_024746 transcript:EOY09320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDGCRRAGFRVRETSCWECVIRHRSKLIQRRQYEDLDSLLFVPREKLAFNVAINTHCKRSQLHYITKTLQQKGKYDPVKHTCFGMLLDFYPQGYFYVGLLHSIMIRRITKRQSMDHELWFAIGKSKARLSKQEFCLITGLKSGPMPDVFRRLYEVATDGIDARYWNW >EOY11281 pep chromosome:Theobroma_cacao_20110822:5:38801808:38803240:1 gene:TCM_046815 transcript:EOY11281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MAIIRPGLQQSAQKALPGSANLANLLPTGNVFVLQTLIISFSNNGNCEMTHMYMTLALGAILLCLLASYLPALTASWAKMASFTTCTAKENENEFSWPGSRRDYLLTLLHGLCKHGHVHETLDIFRVIHNNGIEPYTVHYNILIDGLFQVGQLNVARKLCCALPVKGLHPSVYTWSIMIKGLCKEGLPNEAYELFKKMDLNGCLQDSCSYNVMIKGFFQNNDVSRAMQILHEIVDKGFSADSSTARMLLDILCRDGGDQSIFELLGRNCEDDQNLNMK >EOY10689 pep chromosome:Theobroma_cacao_20110822:5:35900319:35901148:1 gene:TCM_025991 transcript:EOY10689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTGFFFHHFCLNRSQSMGRHLRAMRVRLARKRRAIRRMRQSLSTLRIAAEQIDRRNERMIAENALIDQLVYNLLAEIENNPLIRNAFEGIDAQNLDERNQAQLPRGGGGVDDRRE >EOY08415 pep chromosome:Theobroma_cacao_20110822:5:7953004:7954019:1 gene:TCM_022832 transcript:EOY08415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGITSDDKYKFNSSIVNLFFFAFIFTLFSSLTKCHLSGAIVYFGNPSSTSLSIFSSFNSIFHSFPPPSYLVLA >EOY11541 pep chromosome:Theobroma_cacao_20110822:5:39552933:39556403:1 gene:TCM_026681 transcript:EOY11541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase and archaeosine transglycosylase domain-containing protein MFKKFSSEDVSSQNQVKASVQRKIRQSIAEEYPGLEPVLDDLLPKKAPLIVAKCQNHLNLVLVNSVPLFFNIRDGPYMPTLRLLHQYPNIMKKLQVDRGAIRFVLAGANIMCPGLTSPGGALDDEVDAETPVAIMAEGKQHALAIGFTKMSAKDIRAINKGIGVDNMHYLNDGLWKVFILNYVVFGNGAARMSIFWEQLLCQL >EOY10734 pep chromosome:Theobroma_cacao_20110822:5:36169221:36174696:-1 gene:TCM_026034 transcript:EOY10734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apyrase 1 MNETFLLRGTFFRLLLFGLLQMSCVTFPINSKIPKLKNLEDLEPLPTTTGNGQIRYRTPSSAELLESGPTGGAQSPTGNGTTDHIEKMMKRSMARHESISDKIHKYRGVLLVISIPIVLITFVLYVMPGKSASNEAVVQGVELNIRKVGANSRGNRNYAVIFDAGSSGSRVHVFCFDQNLDLVPIGSELELFEQIKPGLSFYAKDPQAAANSLRTLLDKAESVVPLDLRSKTPVRVGATAGLRALEGDASDRILHAVSELLKDRSTLKYEANGVRILDGSQEGAYEWVTINYLLGNLGRTYADTVGIVDLGGGSVQMAYAISENAAAKAPSVPAGEDNFVNEMNLMGSKYHLYVHSYLHYGLLAARAEILKVSEDSGNPCILEGFDGTYKYGGEEFKASASSSGTSMEECRRVTLKALKINETCTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFIKATDPVAKVQPQYFADAAKRACETKYANAKATYEHVEESNLAYVCMDLVYQYTLLVDGFGLDPYQDITLVKQVKYQNSLVEAAWPLGSAIEAVSS >EOY09201 pep chromosome:Theobroma_cacao_20110822:5:27072341:27082387:-1 gene:TCM_024599 transcript:EOY09201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin interacting component (Nup93/Nic96-like) family protein isoform 1 MASEQDMSSWTDLLHSSSKLLEQAAPSAQFPPLQRNLDQLEALSKKLKAKTLRTEAPSRSIAATRLLAREGINAEQLTRDLKSFELKTTFEDVFPAEATSVEEYLQQVHEIAMVSAIQEAQKDNLRSFNDYMMKVLEEDWQNEKRDFLQSLSRISTLPKTNMLDKSITGARSGQIVSMASSPQVSSGPSGMELLPLANKPVVEKKVSVYAEVVRNLNNARQQGLPFKPATAFKAAYESLGTEASSGKSVNMQKMWHLIQTLMGEDSTMQRSVSRKMSLVIGARRHLEWGHEKYIMDTVQSHPAQAALGGAVGNLHRVHAFLRIRLRDYGILDFDAGDARRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRASHQFAPLLTEWINSGGMVPADIAVAAAEECEKMFRMGDRVGRAAYDKKKLLLYAIISGSRRQVDRLLRDLPSLFSTIEDFLWFILSAVRDLPGGTSSVILNEGLVPYSLDDLQAYLNKFEPSYYTKNGKDPLVYPYVLLLSIQLLPAVSYLSKETGDEGYNIDAAHIAIVLADNGVLYEGAGAGAGKKLGMMDAYAEASSIIRQYGSMYIRLGNLQMALEYYAQAAAAVGGGQVSWTGRGNVDQQRQRHLMLKQLFTELFLRDGGIYLLLGSRGAGEEGELGRFLDCNLPCK >EOY09200 pep chromosome:Theobroma_cacao_20110822:5:27071930:27082443:-1 gene:TCM_024599 transcript:EOY09200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin interacting component (Nup93/Nic96-like) family protein isoform 1 MASEQDMSSWTDLLHSSSKLLEQAAPSAQFPPLQRNLDQLEALSKKLKAKTLRTEAPSRSIAATRLLAREGINAEQLTRDLKSFELKTTFEDVFPAEATSVEEYLQQVHEIAMVSAIQEAQKDNLRSFNDYMMKVLEEDWQNEKRDFLQSLSRISTLPKTNMLDKSITGARSGQIVSMASSPQVSSGPSGMELLPLANKPVVEKKVSVYAEVVRNLNNARQQGLPFKPATAFKAAYESLGTEASSGKSVNMQKMWHLIQTLMGEDSTMQRSVSRKMSLVIGARRHLEWGHEKYIMDTVQSHPAQAALGGAVGNLHRVHAFLRIRLRDYGILDFDAGDARRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRASHQFAPLLTEWINSGGMVPADIAVAAAEECEKMFRMGDRVGRAAYDKKKLLLYAIISGSRRQVDRLLRDLPSLFSTIEDFLWFILSAVRDLPGGTSSVILNEGLVPYSLDDLQAYLNKFEPSYYTKNGKDPLVYPYVLLLSIQLLPAVSYLSKETGDEGYNIDAAHIAIVLADNGVLYEGAGAGAGKKLGMMDAYAEASSIIRQYGSMYIRLGNLQMALEYYAQAAAAVGGGQVSWTGRGNVDQQRQRHLMLKQLFTELFLRDGGIYLLLGSRGAGEEGELGRFLTDHKARQQFLLEAARHCQDAGLYEKSIEIQKRVGAFSMALDTINKCLSEAICALSCGRLDGESRTAGLIHSGNEILETFKYYPEVSLQEREHVLEQQTVLRQLEAILSIHKLTRLGQYLDAVREVAKLPFLPLDPRAPDVTSNAFENLSPHVQACVPDLLKVALTCLENVTDTDGSLCAMRAKIATFLANNMHQNWPRDLYETVARRL >EOY07009 pep chromosome:Theobroma_cacao_20110822:5:167411:170889:-1 gene:TCM_021550 transcript:EOY07009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MKCVKTHCLPLPLRSPSPSPPLFLFGSTQLKTWSPQLSFSTPRRSRRSRLPRNPNYDNHNLSLRRSIEFQNSPDNPNVKLVLDFDQISSLSSSKLNRLISFSTDAFQDLRNLVQIDPDTRTLQLSCRKSTLQFLAAFLTCGFVIVFAFTVLVKLGLGLKARFRPKHKVIVRRDRSLGGREVIVGTKRDGGDPPSFRALDNPLSLSTARPLSTKTNYPRLQVQLGDKLPKWWPEMDSVPKEGSVFNSEYYQTQANRLIRAIIDSRLGGKDITEEDIIQLRQICRTSGVRVSIDTTNTRDSFYRVSVELVLNVCCRVPSQSTHVQIDGEDARQFLAGLAENIGLDNTRAARMVSAGVAARTRFIFLQAWAFEMQGKHSEAMLELSKICLVHRIFPPEESSPEMEMVARGLEKLLKVEQRELLMGMLVGVCSGESRRSAAEALGLVC >EOY07011 pep chromosome:Theobroma_cacao_20110822:5:167420:170789:-1 gene:TCM_021550 transcript:EOY07011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MKCVKTHCLPLPLRSPSPSPPLFLFGSTQLKTWSPQLSFSTPRRSRRSRLPRNPNYDNHNLSLRRSIEFQNSPDNPNVKLVLDFDQISSLSSSKLNRLISFSTDAFQDLRNLVQIDPDTRTLQLSCRKSTLQFLAAFLTCGFVIVFAFTVLVKLGLGLKARFRPKHKVIVRRDRSLGGREVIVGTKRDGGDPPSFRALDNPLSLSTARPLSTKTNYPRLQVQLGDKLPKWWPEMDSVPKEGSVFNSEYYQTQANRLIRAIIDSRLGGKDITEEDIIQHRIFPPEESSPEMEMVARGLEKLLKVEQRELLMGMLVGVCSGESRRSAAEALGLVC >EOY07010 pep chromosome:Theobroma_cacao_20110822:5:168561:170789:-1 gene:TCM_021550 transcript:EOY07010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MKCVKTHCLPLPLRSPSPSPPLFLFGSTQLKTWSPQLSFSTPRRSRRSRLPRNPNYDNHNLSLRRSIEFQNSPDNPNVKLVLDFDQISSLSSSKLNRLISFSTDAFQDLRNLVQIDPDTRTLQLSCRKSTLQFLAAFLTCGFVIVFAFTVLVKLGLGLKARFRPKHKVIVRRDRSLGGREVIVGTKRDGGDPPSFRALDNPLSLSTARPLSTKTNYPRLQVQLGDKLPKWWPEMDSVPKEGSVFNSEYYQTQANRLIRAIIDSRLGGKDITEEDIIQLRQICRTSGVRVSIDTTNTRDSFYRVSVELVLNVCCRYFAGCQVNLPM >EOY08942 pep chromosome:Theobroma_cacao_20110822:5:23659810:23666247:-1 gene:TCM_024236 transcript:EOY08942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASREMEWCLGRDFNTMRNKKGKIGKGRIGRTKTSFGDFIENNGLLDLEMAGNSYTWCRYKDGKVYSRLDRFLLRVEWMQYEYGLFKVIFQALCLTTTQLC >EOY09272 pep chromosome:Theobroma_cacao_20110822:5:27879180:27882227:1 gene:TCM_024694 transcript:EOY09272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAHPSLRRRRLPFTQAWESKTPITDSGEKGIPPLSKDCVAFPPGDPQLGEGCCAEQTKQYLAMGPPVGMPHFAAHKIEVLVWQLGHGRIAIKDELGSIKWVMPRSFRLRMVENKAKRPIMVWNKPDIGEVKFNVDRAINGCPSDTTIRGLLRNNKGKVLMRFSKSILITDSNLAEFLANREAFFLYIVEILKMGALVGKPQEKADRLAKDGVYREVALLEFGGESLSL >EOY10231 pep chromosome:Theobroma_cacao_20110822:5:33599706:33611240:-1 gene:TCM_025585 transcript:EOY10231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGQLLNCSKQNSRDLTKNIEPLRKMAGERRKAPKFEPITGQREKTQLVDEGTFKEVVLEKNKCATKEIGHKDSIAQVILKEH >EOY07375 pep chromosome:Theobroma_cacao_20110822:5:1365724:1368046:1 gene:TCM_021827 transcript:EOY07375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPGAITVYVGPGSKSRPVTATSTLVDNNNSPTPRSFSFPKSLARVSISYRIDKVLKPYENFFLVMKRNGPHGKRGQGGAEGDKQEFQVEKGRRGQGGSCVDSCHCGSPHGLHVSNPEPHGVSSS >EOY10985 pep chromosome:Theobroma_cacao_20110822:5:37339083:37341815:-1 gene:TCM_026252 transcript:EOY10985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein isoform 4 MSASETEASEYHSKDFNWETLRQEIENDPSLNYHLLPFPSPQQEEHHSRDSIKAWQKFHIQHSSGKFFKERRYLLKEFPELVSCEDGCKVLEVGCGNGSTALPILRGNEKIILYACDCSNETLERAKEFVAASNVISVEHRFHPFYCDFVTTGFPKWLACDYCREMFALKGQNYLSDIREKRTHETDICCVGGVDFITLIFTLSAVPLQTMPTAIRECFSVLKPGGLLLFRDYGLYDMTMLRFKPEQRVRFREYMRSDGTRSYFFCPDNVRNLFICAGFIELEIEYCCVKSVNRRNGKSMQRVWVHGKFQKPTCIYRPGSS >EOY10986 pep chromosome:Theobroma_cacao_20110822:5:37338279:37341992:-1 gene:TCM_026252 transcript:EOY10986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein isoform 4 MSASETEASEYHSKDFNWETLRQEIENDPSLNYHLLPFPSPQQEEHHSRDSIKAWQKFHIQHSSGKFFKERRYLLKEFPELVSCEDGCKVLEVGCGNGSTALPILRGNEKIILYACDCSNETLERAKEFVAASNVISVEHRFHPFYCDFVTTGFPKWLACDYCREMFALKGQNYLSDIREKRTHETDICCVGGVDFITLIFTLSAVPLQTMPTAIRECFSVLKPGGLLLFRDYGLYDMTMLRFKPEQRVRFREYMRSDGTRSYFFCPDNVRNLFICAGFIELEIEYCCVKSVNRRNGKSMQRVWVHAAGNC >EOY10983 pep chromosome:Theobroma_cacao_20110822:5:37338124:37341992:-1 gene:TCM_026252 transcript:EOY10983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein isoform 4 MSASETEASEYHSKDFNWETLRQEIENDPSLNYHLLPFPSPQQEEHHSRDSIKAWQKFHIQHSSGKFFKERRYLLKEFPELVSCEDGCKVLEVGCGNGSTALPILRGNEKIILYACDCSNETLERAKEFVAASNVISVEHRFHPFYCDFVTTGFPKWLACDYCREMFALKGQNYLSDIREKRTHETDICCVGGVDFITLIFTLSAVPLQTMPTAIRECFSVLKPGGLLLFRDYGLYDMTMLRFKPEQRVRFREYMRSDGTRSYFFCPDNVRNLFICAGFIELEIEYCCVKSVNRRNGKSMQRVWVHDEHVSSLHIAYGDRFFAYQNIDKLTYLCKFCIPFDSWNKLQEIAEGPCLSLCVPVSASNFM >EOY10984 pep chromosome:Theobroma_cacao_20110822:5:37338279:37341841:-1 gene:TCM_026252 transcript:EOY10984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein isoform 4 MSASETEASEYHSKDFNWETLRQEIENDPSLNYHLLPFPSPQQEEHHSRDSIKAWQKFHIQHSSGKFFKERRYLLKEFPELVSCEDGCKVLEVGCGNGSTALPILRGNEKIILYACDCSNETLERAKEFVAASNVISVEHRFHPFYCDFVTTGFPKWLACDYCREMFALKGQNYLSDIREKRTHETDICCVGGVDFITLIFTLSAVPLQTMPTAIRECFSVLKPGGLLLFRDYGLYDMTMLRFKPEQRVRFREYMRSDGTRSYFFCPDNVRNLFICAGFIELEIEYCCVKSVNRRNGKSMQRVWVHGKFQKPTCIYRPGSS >EOY09745 pep chromosome:Theobroma_cacao_20110822:5:30869615:30883311:1 gene:TCM_025135 transcript:EOY09745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-fructose-6-phosphate transaminase (isomerizing)s,sugar binding,transaminases isoform 1 MCGIFAYLNYNVHRERRYILQVLFNGLRRLEYRGYDSAGISIDNDVAFSRDPNTPQSSSNPSLFPSPLVFRQEGNIESLVKSVYEEVAATELNLEESFCIHAGIAHTRWATHGEPAPRNSHPQTSGSGNDFLVVHNGVITNYEVLKETLVRHGFTFESETDTEVIPKLAKFVFDKANEEGDDAVTFSQVVLEVMRHLEGAYALIFKSRHYPNELIACKRGSPLLLGVKELNEGVCNASAFHDAKFLSKNGNPKELFLSSDANALIEHTKKVLVIEDGEVVHLKEGGVSILKFDNEKGRNGGSLSRPASVQRALSILEMEVEQINKGKYEHYMQKEIHEQPESLTTTMRGRLIRGGSCKAKTVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALAARPILEELSGVPVTMEIASDLLDRQGPIYREDTAVFVSQSGETADTLHALEYALENGALCVGITNTVGSVIARKTHCGVHINAGCEIGVASTKAYTSQIVVMAILALAIGGDTISNQERREAIIDGLFDLPNKIRDVLKLDQEMKDLAKLLIAEQSLLVFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDENLPIVVIATRDACFSKQQSVIQQLHARKGRLIVMCSKGDAASVCSGGACRVIEVSYVEDCLQPVVNVVPLQLLAYHLTVLRGYNVDQPRNLAKSVTTQ >EOY09746 pep chromosome:Theobroma_cacao_20110822:5:30872362:30883365:1 gene:TCM_025135 transcript:EOY09746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-fructose-6-phosphate transaminase (isomerizing)s,sugar binding,transaminases isoform 1 MRHLEGAYALIFKSRHYPNELIACKRGSPLLLGVKELNEGVCNASAFHDAKFLSKNGNPKELFLSSDANALIEHTKKVLVIEDGEVVHLKEGGVSILKFDNEKGRNGGSLSRPASVQRALSILEMEVEQINKGKYEHYMQKEIHEQPESLTTTMRGRLIRGGSCKAKTVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALAARPILEELSGVPVTMEIASDLLDRQGPIYREDTAVFVSQSGETADTLHALEYALENGALCVGITNTVGSVIARKTHCGVHINAGCEIGVASTKAYTSQIVVMAILALAIGGDTISNQERREAIIDGLFDLPNKIRDVLKLDQEMKDLAKLLIAEQSLLVFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDENLPIVVIATRDACFSKQQSVIQQLHARKGRLIVMCSKGDAASVCSGGACRVIEVSYVEDCLQPVVNVVPLQLLAYHLTVLRGYNVDQPRNLAKSVTTQ >EOY08358 pep chromosome:Theobroma_cacao_20110822:5:6851741:6853524:-1 gene:TCM_022716 transcript:EOY08358 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MALSSLNAKERAFYQILGLPSSSYYYINLKLQILRPNTQKKQLLSKQKNVNTMAGSSTSPPQAALCSPDIHIVGQNTTATISTLHPDIIETHILTRLDGTTLASASCASTHLRTLTSQENLWTNICHSMWPSTTYPRVRHVISHFPNGSRSFFSDAFPLATEPVCFGNPSENSDLPSELISAVDIYYKKELIFSKVVETETVTAWFKCSPFRVDLLDPKEAVSTRIPHPDTEDTCRDLEEDMELSWILIDPIGKRAMNISSQRPVAVHPHWLSRELQVKFAAVVAGGESETATELVQCGVVVTCGVSAGGEMHVTEVSLQVEDMDGMFLNGRDSLVILKKGLEGERGKRKKREAERKQEFLKFSERKRERKEKKLRREGTLDMLCVAIGGLAFGSLGLFLLLR >EOY07029 pep chromosome:Theobroma_cacao_20110822:5:235784:244611:1 gene:TCM_021565 transcript:EOY07029 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-alanine--D-alanine ligase family protein isoform 1 MVGLVKMVAFRSYWKNIMFHLLAQDPRSVVKHLTRFRNFISHIIMTSLFILINYDASLSLSKHGFVTIPSFLVQGSEVNESELSKWFASNQLDFNSGKVVVKPTRAGSSIGVTVAYGVTDSLKKAKEIISQGIDDRVLVELFLEGGSEFTAIVLDVGHGFDCQPVVLLPTEVELQFHGSGDVRERDAIFNYRRKYLPTQQVAYHTPPRFPIDIIKSIREGASLLFRRLGLRDFARIDGWFLPSTTKALSSSEDKYGMTELGTILFTDINLISGMEQTSFLFQQASKVGFSHSNILRSIIHRACLRFPELATYSSESGQLRRTSKCLKPTETSKGLEGIHKVFVIFGGDTSERQVSLMSGTNVWLNLQAFDDLDVTPCLLASSIDHSSTTDSDKKESDVSSREVWLLPYSLVLRHTTEEVLDACMEAIEPARAALTSHLRNQVMNELMEGLKKHGWFMGFDITDQQPVRYSLKEWIKFAKEVEATVFISVHGGIGEDGTLQSLLEAEEIPYSGPGVKASKICMDKVATSLALNHLGDMGVLTINKDVKRKKELLKMPILQTWHDLTSKLQCETLCIKPARDGCSTGVARLCCAEDLAVYAKAVDDCLLRIPPNSFSKAHGMIEMPNPPPELLIFEPFVETDEIVVSSKTATANSQHLLWKGHSRWVEVTVGVIGKRGSMHSLSPSITVKETGDILSLEEKFQGGTGINLTPPPVSIMSNEVLGRCKQRIELIANTLQLEGFSRMDAFVNVDSGEVLVIEVNTVPGMTPSTVLIHQGTGRAASHVSSPVLPFTSRFGVRESAVKVV >EOY07030 pep chromosome:Theobroma_cacao_20110822:5:235536:244080:1 gene:TCM_021565 transcript:EOY07030 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-alanine--D-alanine ligase family protein isoform 1 MASLSCGSNLALLLRVANPNWNDPSSSLPSSSNLIGKFGPKSVTTACCRSSSSSKAVGVGVTRATAQVADALVVDKEEKSQVLRVGVICGGPSAERGISLNSARSVLDHIQGEDLRVSCYYIDSHLNAYAISSAQVYSNTPSDFDFKLESLAQGFRSLSEFAEHLAASVDIVFPVIHGRFGEDGGIQELLEEHNVPFVGTGSKECCQAFDKYDASLSLSKHGFVTIPSFLVQGSEVNESELSKWFASNQLDFNSGKVVVKPTRAGSSIGVTVAYGVTDSLKKAKEIISQGIDDRVLVELFLEGGSEFTAIVLDVGHGFDCQPVVLLPTEVELQFHGSGDVRERDAIFNYRRKYLPTQQVAYHTPPRFPIDIIKSIREGASLLFRRLGLRDFARIDGWFLPSTTKALSSSEDKYGMTELGTILFTDINLISGMEQTSFLFQQASKVGFSHSNILRSIIHRACLRFPELATYSSESGQLRRTSKCLKPTETSKGLEGIHKVFVIFGGDTSERQVSLMSGTNVWLNLQAFDDLDVTPCLLASSIDHSSTTDSDKKESDVSSREVWLLPYSLVLRHTTEEVLDACMEAIEPARAALTSHLRNQVMNELMEGLKKHGWFMGFDITDQQPVRYSLKEWIKFAKEVEATVFISVHGGIGEDGTLQSLLEAEEIPYSGPGVKASKICMDKVATSLALNHLGDMGVLTINKDVKRKKELLKMPILQTWHDLTSKLQCETLCIKPARDGCSTGVARLCCAEDLAVYAKAVDDCLLRIPPNSFSKAHGMIEMPNPPPELLIFEPFVETDEIVVSSKTATANSQHLLWKGHSRWVEVTVGVIGKRGSMHSLSPSITVKETGDILSLEEKFQGGTGINLTPPPVSIMSNEVLGRCKQRIELIANTLQLEGFSRMDAFVNVDSGEIVCKIKW >EOY07028 pep chromosome:Theobroma_cacao_20110822:5:235294:245318:1 gene:TCM_021565 transcript:EOY07028 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-alanine--D-alanine ligase family protein isoform 1 MASLSCGSNLALLLRVANPNWNDPSSSLPSSSNLIGKFGPKSVTTACCRSSSSSKAVGVGVTRATAQVADALVVDKEEKSQVLRVGVICGGPSAERGISLNSARSVLDHIQGEDLRVSCYYIDSHLNAYAISSAQVYSNTPSDFDFKLESLAQGFRSLSEFAEHLAASVDIVFPVIHGRFGEDGGIQELLEEHNVPFVGTGSKECCQAFDKYDASLSLSKHGFVTIPSFLVQGSEVNESELSKWFASNQLDFNSGKVVVKPTRAGSSIGVTVAYGVTDSLKKAKEIISQGIDDRVLVELFLEGGSEFTAIVLDVGHGFDCQPVVLLPTEVELQFHGSGDVRERDAIFNYRRKYLPTQQVAYHTPPRFPIDIIKSIREGASLLFRRLGLRDFARIDGWFLPSTTKALSSSEDKYGMTELGTILFTDINLISGMEQTSFLFQQASKVGFSHSNILRSIIHRACLRFPELATYSSESGQLRRTSKCLKPTETSKGLEGIHKVFVIFGGDTSERQVSLMSGTNVWLNLQAFDDLDVTPCLLASSIDHSSTTDSDKKESDVSSREVWLLPYSLVLRHTTEEVLDACMEAIEPARAALTSHLRNQVMNELMEGLKKHGWFMGFDITDQQPVRYSLKEWIKFAKEVEATVFISVHGGIGEDGTLQSLLEAEEIPYSGPGVKASKICMDKVATSLALNHLGDMGVLTINKDVKRKKELLKMPILQTWHDLTSKLQCETLCIKPARDGCSTGVARLCCAEDLAVYAKAVDDCLLRIPPNSFSKAHGMIEMPNPPPELLIFEPFVETDEIVVSSKTATANSQHLLWKGHSRWVEVTVGVIGKRGSMHSLSPSITVKETGDILSLEEKFQGGTGINLTPPPVSIMSNEVLGRCKQRIELIANTLQLEGFSRMDAFVNVDSGEVLVIEVNTVPGMTPSTVLIHQALAEQPPMYPHQFFRSLLDLASERVL >EOY07031 pep chromosome:Theobroma_cacao_20110822:5:235294:242572:1 gene:TCM_021565 transcript:EOY07031 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-alanine--D-alanine ligase family protein isoform 1 MASLSCGSNLALLLRVANPNWNDPSSSLPSSSNLIGKFGPKSVTTACCRSSSSSKAVGVGVTRATAQVADALVVDKEEKSQVLRVGVICGGPSAERGISLNSARSVLDHIQGEDLRVSCYYIDSHLNAYAISSAQVYSNTPSDFDFKLESLAQGFRSLSEFAEHLAASVDIVFPVIHGRFGEDGGIQELLEEHNVPFVGTGSKECCQAFDKYDASLSLSKHGFVTIPSFLVQGSEVNESELSKWFASNQLDFNSGKVVVKPTRAGSSIGVTVAYGVTDSLKKAKEIISQGIDDRVLVELFLEGGSEFTAIVLDVGHGFDCQPVVLLPTEVELQFHGSGDVRERDAIFNYRRKYLPTQQVAYHTPPRFPIDIIKSIREGASLLFRRLGLRDFARIDGWFLPSTTKALSSSEDKYGMTELGTILFTDINLISGMEQTSFLFQQASKVGFSHSNILRSIIHRACLRFPELATYSSESGQLRRTSKCLKPTETSKGLEGIHKVFVIFGGDTSERQVSLMSGTNVWLNLQAFDDLDVTPCLLASSIDHSSTTDSDKKESDVSSREVWLLPYSLVLRHTTEEVLDACMEAIEPARAALTSHLRNQVMNELMEGLKKHGWFMGFDITDQQPVRYSLKEWIKFAKEVEATVFISVHGGIGEDGTLQSLLEAEEIPYSGPGVKASKICMDKVATSLALNHLGDMGVLTINKDVKRKKELLKMPILQTWHDLTSKLQCETLCIKPARDGCSTGVARLW >EOY10653 pep chromosome:Theobroma_cacao_20110822:5:35754146:35756962:-1 gene:TCM_025964 transcript:EOY10653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLEQEFGITVEEDNAQSIATVQGAADLIEQLCSEKSAWKLGPKLEIHLPMLMPPTSLLSLEHLTILLRTQFYLFVQSRKTHKVVEIFSSIMDLSFCVPS >EOY08041 pep chromosome:Theobroma_cacao_20110822:5:4124506:4129893:1 gene:TCM_022363 transcript:EOY08041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 2 RFLFFSFASSLFFFFFLNAENQTGSIFLFRYLFIKKKLSFLFQFSQSLWKMRALGLLILGILAVLSVVYCDVIPLERAFPLNKRVELSHLVARDQLRHSRILQGLVGGVVDFSVQGSSDPYLVGLYFTKVKLGSPPREFNVQIDTGSDILWVTCSSCPNCPQSSGLGIQLSLFDTASSSSARLVSCSDPMCSSEFQTTATQCSQSNQCSYSFQYGDGSGTSGYYVSDMLYFDAVLGQSLIANSSAVIMFGCSTYQSGDLTKTDKAVDGIFGFGRGDLSVISQLSSHGITPRVFSHCLRGDGSGGGIMVLGEIMEPGIVYSPLVPSQPHYNLVLQSIAVNGQFLAIDPSVFATSNNRGTIVDSGTTLAYLVQEAYDPFVSTITATVSPSVTPTIYKGNQCYLVPTSVNEIFPPVSLNFAGGASMTLKPEEYLIHSGFYVSCPT >EOY08040 pep chromosome:Theobroma_cacao_20110822:5:4124560:4142200:1 gene:TCM_022363 transcript:EOY08040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 2 MRALGLLILGILAVLSVVYCDVIPLERAFPLNKRVELSHLVARDQLRHSRILQGLVGGVVDFSVQGSSDPYLVGLYFTKVKLGSPPREFNVQIDTGSDILWVTCSSCPNCPQSSGLGIQLSLFDTASSSSARLVSCSDPMCSSEFQTTATQCSQSNQCSYSFQYGDGSGTSGYYVSDMLYFDAVLGQSLIANSSAVIMFGCSTYQSGDLTKTDKAVDGIFGFGRGDLSVISQLSSHGITPRVFSHCLRGDGSGGGIMVLGEIMEPGIVYSPLVPSQPHYNLVLQSIAVNGQFLAIDPSVFATSNNRGTIVDSGTTLAYLVQEAYDPFVSTITATVSPSVTPTIYKGNQCYLVPTSVNEIFPPVSLNFAGGASMTLKPEEYLIHSGFYDGATMWCIGFQKVQGGVTILGDLVLKDKIFVYDLARQRIGWANYDCSLSVNVSITSSKDFINEGQLSVSSSTIEMLFKLIPLSFITLLMQLLEFVEFQFL >EOY10001 pep chromosome:Theobroma_cacao_20110822:5:32172262:32179575:1 gene:TCM_025372 transcript:EOY10001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MATSCTGGLVPFASPSSKVCKNTSVSCSSSRHSTPLPTEQANDSNINNSSSNNKFSYGRASPSERWPHLQLQLAETYPLSQTHFSATPPQLTHAVKEVELSLESSTSESLEVNDETQEKLGRVSKTRVKKMTKLALKRAKDWRERVKFLTDRILGLEQDQFVADVLDDRKVQMTPTDFCFVVKLVGQENWQRALEVYEWLNLRHWYSPNARMLATILAVLGKANQGVLAVEIFTRAEPAVGNTVQVYNAMMGVYARNGRFQKVQELLDLMRERGCEPDLVSFNTLINAKLKAGAMLPDLGVELLNEVRRSGLRPDIITYNTLISACSRESNLEEAMKVFDDMDGHNCQPDIWTYNAMISVYGRCGMAYKAEQLFRDLESKGFFPDAVTYNSLLYAFAREGNVDKVKEICEEMVEIGLGKDEMTYNTIIHMYGKQGQHDLALQLYRDMKLSGRNPDVVTYTVLIDSLGKANKIKEASNVMSEMLDVGVKPTVRTYSALICGYAKAGMAVEAEETFNCMRRSGIRLDFLAYSVMLDILLRCNKTTKALLLYREMVRDGFTPDHTLYEVMLQALRKENKLEDIEKMVRDMEELCGMNPQAISSFLVKGECYDLAAQMLRLGISNGDELDGENLLSVLSSYSSSGRHKEACELLEFLKEHAEGYNQLITEALVVMLCEACQVDAALKEYSNAKDSVFFSSSTMFASLIQCCEENELLTEASQIFSDMRFFGVEPSECIFKGMVKVYCKMGFPETAHCLINQAEMKDILLENSFIYVDVIEAYGKLKLWQKAESVVGNVRQKYVTVDRKVWNALIQAYAASGCYERARAVFNTMMRDGPSPTVDSINGLLEALIVDGRLNELYVVIQELQDMGFKMSKSSILLMLDAFAQAGNIFEVKKIYSGMKAAGYYPTMHLYRIMTRLFCKGKRVRDAEAMVSEMEEAGFKPDLSIWNSMLKLYSGIEDYKKTAQIYQQIKEAGLEPDEDTYNTLIIMYCRDRRPEEGLSLMYEMRKVGLEPKLDTYKSLISAFGKQQLLEQAEELFNELHSKCYKLDRSFYHTMMKIFRNAGNHSKAESLLSMMKEAGVEPTIATMHLLMVSYGSSGQPQEAEKVLTSLKETGLNLTTLPYSSVINAYLRNGDYNVGIQKLMEMKKEGLAVDHRIWTCFIRAASLSNHTSEAIILLNALRDAGFDLPIRLMTEKSELLLSEVESCLEKLEPIGDDAAFNFVNALEDLLWAFELRATASWVFQLAVKKTIYHHHVFRVADKDWGADFRKLSAGSALVALTLWLDRMQDAALQGYPESPKSVVLITGTAEYNMVSLNYTLKACLWEMGSPFLPCKTRSGLLVAKAHSLRMWLKDSPFCLDLELKDAPSLPELNSMQLVEGCFMRRGLVPAFKDITERLGLVRPKKFARLALLSDDRREKAIQADIQGGKEKLEKLKTKVGYKGARNIKKLRKRKFIRRPL >EOY07837 pep chromosome:Theobroma_cacao_20110822:5:2914462:2916927:-1 gene:TCM_022161 transcript:EOY07837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family (UPF0497) [Source:Projected from Arabidopsis thaliana (AT2G37200) TAIR;Acc:AT2G37200] MNASRPAVHPVEAPPLTDAAVHHPRVRMKDVQGMPGTKGGLFLRLSQFMFAIISVSVMATTNDFRSATAFCYLVLAVGLQSLWSLSLAFVDIYALLVKRSLRNNIVIRLFTIGDGITSTLTFAAACASAGITVLIGNDLDKCAMNHCTKFETATAMAFISWFAMSPSFLLNFWSLASQ >EOY09218 pep chromosome:Theobroma_cacao_20110822:5:27277486:27289346:-1 gene:TCM_024626 transcript:EOY09218 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 2 MKKKQTPNHPEEDIRENPNELELENETETPFKDGTDICQQLMDRYSKSAAPQHRHLLATAAAMRSILSAESLPLSPPAYFAAAISALDDDSATTLDSTAVGALLTFLSIVVLLVPKGGISSDKAKEAVEVVVRVVGKEGLGVASLRSGVKCLGVLVDGFCDLEDWHSVRFGLETLLGFAIDKRPKVRRCAQEYLEKVFKSFQSSIVIKEASKLVLSLLKKHMPLALTLSTIKSGDDSKDETLSKPENLEVLHMLDLVKLAVPYLSAKVRLKILSELRKLMSSEFSSLTRNIHKTIEVLFGNLNVEAIIPEMENIIVSLASYVSGEKNPVDTLISASTLLKCALDKLHAGESNSWMKNVPLVFGSLAVLLTSEASTTSLASVIMKELISNHIDLKSFSAENNGLGSEEADAIKSICAILENTLSSSDGIPNEHVMAVLTVLFQRLGESSYIFMKSIVHKLAELMSLAKGDTSNMNHLQNCIGSAVTVIGPERILTLLPITLHSDDISYSNVWLVPILKDYVVGASLRYYMESIVPLAKSFQLASSKVKKSVIRQDLQDRAHGLWGLLPAFCRYPIDMHKTFKALAELLIDILKEDSFMDENIASALQILVNQNKSILRSGKDAGKANNFTVRDSVLELRSSASYSKKSATRNMKVLSSCAPALLQALSDVFVCSLPAKRLYLKDAIGCLASITDSSITKRIFVSLVQKLQFIDGEGEIGKQAANANDCMEKEQGNLSTTGKDAHRCVILELASSFVAGAEEDLIDFIYALVKQTFQETDEIGHCEAYFTLSRVLEEHAWFCSSRVVELIDLLLGLKSPADIASLRSRLDCFNILMVQTLKMSSLEENTKPFLILNEIIVTLKDGKEEPRKATYDILLKMSSTLRNLSDLRSDPPYHKLISMIMGYLSGSSPHIKSGAVAALSVLVYNDPEICVSVPDLVSSILSLLQTKAVEVIKAVLGFVKVLVSSLQAKDLQNFLSDIIHGVVQWSSISRNHFRSKVTIILEIVTRKCGIAAVQLVTPEKHRGFLNTVIENRRSKTTPKEVDANDAETVLVDSLTEGSQKRKHKGLGTFQQKNDFVEHRKRKRDKRDSGKLPDSSEPGISAAHGGRMKMAKGAKHVKNSMKGHSDGNGEKNKKNFKKRFARGQKRKMDEVSRSKKDEAGSKKHSFKVGKQKKLRGK >EOY09220 pep chromosome:Theobroma_cacao_20110822:5:27278712:27289403:-1 gene:TCM_024626 transcript:EOY09220 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 2 MKKKQTPNHPEEDIRENPNELELENETETPFKDGTDICQQLMDRYSKSAAPQHRHLLATAAAMRSILSAESLPLSPPAYFAAAISALDDDSATTLDSTAVGALLTFLSIVVLLVPKGGISSDKAKEAVEVVVRVVGKEGLGVASLRSGVKCLGVLVDGFCDLEDWHSVRFGLETLLGFAIDKRPKVRRCAQEYLEKVFKSFQSSIVIKEASKLVLSLLKKHMPLALTLSTIKSGDDSKDETLSKPENLEVLHMLDLVKLAVPYLSAKVRLKILSELRKLMSSEFSSLTRNIHKTIEVLFGNLNVEAIIPEMENIIVSLASYVSGEKNPVDTLISASTLLKCALDKLHAGESNSWMKNVPLVFGSLAVLLTSEASTTSLASVIMKELISNHIDLKSFSAENNGLGSEEADAIKSICAILENTLSSSDGIPNEHVMAVLTVLFQRLGESSYIFMKSIVHKLAELMSLAKGDTSNMNHLQNCIGSAVTVIGPERILTLLPITLHSDDISYSNVWLVPILKDYVVGASLRYYMESIVPLAKSFQLASSKVKKSVIRQDLQDRAHGLWGLLPAFCRYPIDMHKTFKALAELLIDILKEDSFMDENIASALQILVNQNKSILRSGKDAGKANNFTVRDSVLELRSSASYSKKSATRNMKVLSSCAPALLQALSDVFVCSLPAKRLYLKDAIGCLASITDSSITKRIFVSLVQKLQFIDGEGEIGKQAANANDCMEKEQGNLSTTGKDAHRCVILELASSFVAGAEEDLIDFIYALVKQTFQETDEIGHCEAYFTLSRVLEEHAWFCSSRVVELIDLLLGLKSPADIASLRSRLDCFNILMVQTLKGKEEPRKATYDILLKMSSTLRNLSDLRSDPPYHKLISMIMGYLSGSSPHIKSGAVAALSVLVYNDPEICVSVPDLVSSILSLLQTKAVEVIKAVLGFVKVLVSSLQAKDLQNFLSDIIHGVVQ >EOY09219 pep chromosome:Theobroma_cacao_20110822:5:27276898:27289429:-1 gene:TCM_024626 transcript:EOY09219 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 2 MKKKQTPNHPEEDIRENPNELELENETETPFKDGTDICQQLMDRYSKSAAPQHRHLLATAAAMRSILSAESLPLSPPAYFAAAISALDDDSATTLDSTAVGALLTFLSIVVLLVPKGGISSDKAKEAVEVVVRVVGKEGLGVASLRSGVKCLGVLVDGFCDLEDWHSVRFGLETLLGFAIDKRPKVRRCAQEYLEKVFKSFQSSIVIKEASKLVLSLLKKHMPLALTLSTIKSGDDSKDETLSKPENLEVLHMLDLVKLAVPYLSAKVRLKILSELRKLMSSEFSSLTRNIHKTIEVLFGNLNVEAIIPEMENIIVSLASYVSGEKNPVDTLISASTLLKCALDKLHAGESNSWMKNVPLVFGSLAGESSYIFMKSIVHKLAELMSLAKGDTSNMNHLQNCIGSAVTVIGPERILTLLPITLHSDDISYSNVWLVPILKDYVVGASLRYYMESIVPLAKSFQLASSKVKKSVIRQDLQDRAHGLWGLLPAFCRYPIDMHKTFKALAELLIDILKEDSFMDENIASALQILVNQNKSILRSGKDAGKANNFTVRDSVLELRSSASYSKKSATRNMKVLSSCAPALLQALSDVFVCSLPAKRLYLKDAIGCLASITDSSITKRIFVSLVQKLQFIDGEGEIGKQAANANDCMEKEQGNLSTTGKDAHRCVILELASSFVAGAEEDLIDFIYALVKQTFQETDEIGHCEAYFTLSRVLEEHAWFCSSRVVELIDLLLGLKSPADIASLRSRLDCFNILMVQTLKMSSLEENTKPFLILNEIIVTLKDGKEEPRKATYDILLKMSSTLRNLSDLRSDPPYHKLISMIMGYLSGSSPHIKSGAVAALSVLVYNDPEICVSVPDLVSSILSLLQTKAVEVIKAVLGFVKVLVSSLQAKDLQNFLSDIIHGVVQWSSISRNHFRSKVTIILEIVTRKCGIAAVQLVTPEKHRGFLNTVIENRRSKTTPKEVDANDAETVLVDSLTEGSQKRKHKGLGTFQQKNDFVEHRKRKRDKRDSGKLPDSSEPGISAAHGGRMKMAKGAKHVKNSMKGHSDGNGEKNKKNFKKRFARGQKRKMDEVSRSKKDEAGSKKHSFKVGKQKKLRGK >EOY09217 pep chromosome:Theobroma_cacao_20110822:5:27276547:27289981:-1 gene:TCM_024626 transcript:EOY09217 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 2 MKKKQTPNHPEEDIRENPNELELENETETPFKDGTDICQQLMDRYSKSAAPQHRHLLATAAAMRSILSAESLPLSPPAYFAAAISALDDDSATTLDSTAVGALLTFLSIVVLLVPKGGISSDKAKEAVEVVVRVVGKEGLGVASLRSGVKCLGVLVDGFCDLEDWHSVRFGLETLLGFAIDKRPKVRRCAQEYLEKVFKSFQSSIVIKEASKLVLSLLKKHMPLALTLSTIKSGDDSKDETLSKPENLEVLHMLDLVKLAVPYLSAKVRLKILSELRKLMSSEFSSLTRNIHKTIEVLFGNLNVEAIIPEMENIIVSLASYVSGEKNPVDTLISASTLLKCALDKLHAGESNSWMKNVPLVFGSLAVLLTSEASTTSLASVIMKELISNHIDLKSFSAENNGLGSEEADAIKSICAILENTLSSSDGIPNEHVMAVLTVLFQRLGESSYIFMKSIVHKLAELMSLAKGDTSNMNHLQNCIGSAVTVIGPERILTLLPITLHSDDISYSNVWLVPILKDYVVGASLRYYMESIVPLAKSFQLASSKVKKSVIRQDLQDRAHGLWGLLPAFCRYPIDMHKTFKALAELLIDILKEDSFMDENIASALQILVNQNKSILRSGKDAGKANNFTVRDSVLELRSSASYSKKSATRNMKVLSSCAPALLQALSDVFVCSLPAKRLYLKDAIGCLASITDSSITKRIFVSLVQKLQFIDGEGEIGKQAANANDCMEKEQGNLSTTGKDAHRCVILELASSFVAGAEEDLIDFIYALVKQTFQETDEIGHCEAYFTLSRVLEEHAWFCSSRVVELIDLLLGLKSPADIASLRSRLDCFNILMVQTLKMAAEFQFELSKFLQMSSLEENTKPFLILNEIIVTLKDGKEEPRKATYDILLKMSSTLRNLSDLRSDPPYHKLISMIMGYLSGSSPHIKSGAVAALSVLVYNDPEICVSVPDLVSSILSLLQTKAVEVIKAVLGFVKVLVSSLQAKDLQNFLSDIIHGVVQWSSISRNHFRSKVTIILEIVTRKCGIAAVQLVTPEKHRGFLNTVIENRRSKTTPKEVDANDAETVLVDSLTEGSQKRKHKGLGTFQQKNDFVEHRKRKRDKRDSGKLPDSSEPGISAAHGGRMKMAKGAKHVKNSMKGHSDGNGEKNKKNFKKRFARGQKRKMDEVSRSKKDEAGSKKHSFKVGKQKKLRGK >EOY07674 pep chromosome:Theobroma_cacao_20110822:5:2389234:2390483:1 gene:TCM_022053 transcript:EOY07674 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MMMEEETTMMAALSTLRPSQLSDLSYSILSLSFHQRRRLCFLLSSPCLFSLTLHHLHSLSLPNKTLLIARHLLFSLHHITRHFQPPPLRPIQFSAAMKHRDLDAVLLLLFLCETHQHNPKALQRPHAEWREVLSNICSDTMLRITGITGVFDGAALIPYIEMVTRCRRFVGILGCGGKEGREVAASPVAVVALPTVEVRRGGVECVICKEEMREGRDVCKLPCQHLFHWMCILPWLKKKNTCPCCRFQLPCDDIFDEIHRLWGVLVKASGKSLDGEWT >EOY10331 pep chromosome:Theobroma_cacao_20110822:5:34221115:34224090:-1 gene:TCM_025704 transcript:EOY10331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPAKELGHVRMWIKDVARGKSESASHWLKFFSKLQLSFNVGNIRILSGCLYIFSLCGLNVHGTEHYLGFQSGGDHLIESLYLIR >EOY08985 pep chromosome:Theobroma_cacao_20110822:5:24535756:24536426:-1 gene:TCM_024322 transcript:EOY08985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMATLGSMRQTTGAISSGRQQQRFNDDSNGLHIQQWKSRLFSVYVGNLNLAITWRQLIRAFHDFGMVNDAFIWKPCQMLKNNKRPTSAFVRHRLAEEMRKAIMQGNGMMLVGRWIIVNKAIFMKFEAQSKTANFAEKGQCKENLQRGPAL >EOY07020 pep chromosome:Theobroma_cacao_20110822:5:204664:207632:1 gene:TCM_021557 transcript:EOY07020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase 2 MMHWFRFTNLVSLVFLGFAFFLYFHIYPSSSHQNMAENAPKSVYEFTVKDIRGNDVSLSEYSGKVLLIVNVASKCGLTHSNYKELNVLYEKYKNQGFEILAFPCNQFAGQEPGTNEHIQEVACTMFKAEFPIFDKVEVNGKNSAPLYKFLKSVKGGYFGDAIKWNFTKFLVDKEGKVVERYAPTTSPLKIEKDIQKLLGSS >EOY10851 pep chromosome:Theobroma_cacao_20110822:5:36788383:36792487:-1 gene:TCM_026143 transcript:EOY10851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 62 MKRKLKRVEKGDLSEDNLWGFGGFLRLLKFCMVKMLGCECFCWHRGGGEELPLPRPQPFSLPSPIPEWPPGQGFATGKINLGELEVVQITKFESVWSCNLLRGKSKGVTFYKPVGIPDGFFCLGHYCQPNDQPLRGYVLVACERVASSTEVYCDYDSDSDLPALTKPVNYSLIWSTDAHGNGCGFFWLPNPPVGYKAMGVLVTDTPEEPNVEEVRCVRDDLTETCEISDTILASASNPFQVWNTRPCRRGMFCKGVSVGTFFCSTYFVSEEEELEISCLKNLDPTLHAMPNLNQIHALIKHYGATVFFHSDEDCMPSSVQWFFKNGALLYEYGNLKGEPIDCWGSNLPSGGTNDGTFWIDLPADDYARNYVKKGNLESAELYVHVKPALGGTFTDIVMWIFCPFNGPANLKIGLMSIQMNKIGEHVSDWEHFTLRISNFTGELWQGYFSQHSGGEWVDAFNLEFIEGNKPIVYSSKHGHASFPHPGTYLQGSVKLGIGIRNDAARSKYYVDSSTRYQIIAAEYLGDGVVTEPCWLDYMREWGPTIVYDSRSELDKIINLLPLFVRFSVENIFDLFPTELYGEEGPTGPKEKDNWVGDERW >EOY07854 pep chromosome:Theobroma_cacao_20110822:5:3015279:3016711:1 gene:TCM_022177 transcript:EOY07854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLPLMPHDFTNRTSPQGTEVLGLAQGVRTPEYSKFFTVFDGIIIIAALQLTVRPILKWSKQPVLRALKYGSGA >EOY07331 pep chromosome:Theobroma_cacao_20110822:5:1220492:1221410:1 gene:TCM_021790 transcript:EOY07331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MYSCKVVDLPLNTGNKLNKEDDSGKADGMLYKSMVSSLLYLSATRLDLMFATNLLSRFMQNPSVCHFTVAKSVLRYIKGSIDDSKSTNGFCFSFGNALFCWNIKKQDIVAQSFTKAEYKATAAAANQDIWLRKLLIDLGFKQEKATQINVDNQSAIAIARNPVQHGKTKHIRVKYHAFRESVKEGEIQLANCPIDM >EOY07295 pep chromosome:Theobroma_cacao_20110822:5:1102923:1109714:1 gene:TCM_021765 transcript:EOY07295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 4,2 MAAGSLLLHSSSSSSTKPSCFLSRYQSSLIESRTTQLGLRGRVLGKQVKWESLSLSSRGRDHALKKKEKGGGGGGVVVRCTAEGIERRVLIGETASSVRVPERFKVVALMACVMCLCNADRVVMSVAIVPLADKLNWSNSFLGVVQSSFLWGYIFSSAIGGALVDKYGGKKVLAWGVALWSLATLLTPWAANHSTIALLAIRAFFGLAEGVALPSMSTLLSRWFPGDERASAVGVSMAGFHLGNVVGLLLTPILLSSIGISGPFILFSSLGLLWLSSWVYKVTSDPRESPFVSKSELRLIQTGKTHPPVSTGESPPIRLILSKLPTWAIIFANVTNNWGYFVLLSWMPVYFRTVFNVNLKQAAWFSAVPWGTMAVSGYIAGAVSDSLIKEGYSITLVRKIMQSIGFIGPGVSLLCLNFAKSPAVAALFITVALSFSSFSQAGFLLNMQDIAPQYAGFVHGIANSAGTLAAIISTIGTGYFVQWLGSFQAFLSVTAGLYFITTIFWNLFATGERVF >EOY11721 pep chromosome:Theobroma_cacao_20110822:5:40132209:40136353:1 gene:TCM_026806 transcript:EOY11721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 1 MITGTGSLPTSLNFISAFEKRSNLSYSSMRFLLNTCRAYSTSCTVVPRSRIAQFARVGQIQIARKVFDELPNKTIDTWNSIIAGYFQNNQPNEAQLLFNKMPEKNTVSWNNLIAGYVKNGMVSEARKVFDKMPERNVVSWTAMVRGYVQEGMMCEAESLFWLMPEKNVVSWTVMLGGLIQEGRIDEARRLYDMIPEKDVVARTNMIAGYCKDGHLSEAREIFDEMPRRNVISWTIMITGYAQNNRVDIARKLFEVMPEKNEVSWTAMLMGYTQCGRLEAAWELFKAMPVKSVVACNALILGFGHNGEVTKARRVFDEMKVKDDGTWSAMIKVYERKGFELKALDLFILMQTEGIRPNFPSLISILSVCASFASLNHGRQVHAQLVRSQFDVDVYVASVLITMYIKCGDLVKAKLVFDRFSSKDIVMWNSMISGNAQHGLGEEALWIFQSMFSAGMMPDDITFVGVLTACSYTGKVKEGLEIFELMKSKYLVEPKTEHYACMVDLLGRAGKVNEAMNLIEKMPVEADAIVWGSLLGACRNHGKLDLAEVAARKLLQLEPENAGPYILLSNIYASQGKWSDVSELRKNIRARCVKKSPGSSWIEVEKRVHMFTTGDSRSHPEHAMIMRMLEKLGVLLREAGYCPDGSFVLHDVDEEEKLYSLRYHSEKLAVAYGLLKLPKEMPIRVMKNLRVCGDCHTAIKLIAKVTKREIILRDANRFHHFKDGFCSCRDYW >EOY11720 pep chromosome:Theobroma_cacao_20110822:5:40132269:40136644:1 gene:TCM_026806 transcript:EOY11720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 1 MITGTGSLPTSLNFISAFEKRSNLSYSSMRFLLNTCRAYSTSCTVVPRSRIAQFARVGQIQIARKVFDELPNKTIDTWNSIIAGYFQNNQPNEAQLLFNKMPEKNTVSWNNLIAGYVKNGMVSEARKVFDKMPERNVVSWTAMVRGYVQEGMMCEAESLFWLMPEKNVVSWTVMLGGLIQEGRIDEARRLYDMIPEKDVVARTNMIAGYCKDGHLSEAREIFDEMPRRNVISWTIMITGYAQNNRVDIARKLFEVMPEKNEVSWTAMLMGYTQCGRLEAAWELFKAMPVKSVVACNALILGFGHNGEVTKARRVFDEMKVKDDGTWSAMIKVYERKGFELKALDLFILMQTEGIRPNFPSLISILSVCASFASLNHGRQVHAQLVRSQFDVDVYVASVLITMYIKCGDLVKAKLVFDRFSSKDIVMWNSMISGNAQHGLGEEALWIFQSMFSAGMMPDDITFVGVLTACSYTGKVKEGLEIFELMKSKYLVEPKTEHYACMVDLLGRAGKVNEAMNLIEKMPVEADAIVWGSLLGACRNHGKLDLAEVAARKLLQLEPENAGPYILLSNIYASQGKWSDVSELRKNIRARCVKKSPGSSWIEVEKRVHMFTTGDSRSHPEHAMIMRMLEKLGVLLREAGYCPDGSFVLHDVDEEEKLYSLRYHSEKLAVAYGLLKLPKEMPIRVMKNLRVCGDCHTAIKLIAKVTKREIILRDANRFHHFKDGFCSCRDYW >EOY08481 pep chromosome:Theobroma_cacao_20110822:5:9072065:9072681:-1 gene:TCM_022942 transcript:EOY08481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVSTMLANIGESSGRNRVLIWWPSHVYMYRRLWSSPCLIARRRPKDPLARAHLFTRVTLNRSDNRPTLESLGL >EOY10031 pep chromosome:Theobroma_cacao_20110822:5:32393176:32396068:-1 gene:TCM_025404 transcript:EOY10031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSAHRGTAAVVTSSRGVPGCDIRRGEMDRQKLQDFNSLASCKRSQEIGRIQAKKMRESKLSLGLRLDY >EOY08763 pep chromosome:Theobroma_cacao_20110822:5:19573054:19576904:-1 gene:TCM_023889 transcript:EOY08763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor hy5, putative MAEAPAETVMGSELESLAIPPLDPLYLSTDLGFSLDDHDDFQITFDDFDQFCFPSDSEHLLIPDSSTTPDSDVERYLNSSSPELGSCNGPDSSGNSHSPLSSSGSGNCASAVSEAMNATSPDSENIVDQKISVEEIGKRRVSKRKKDREETDSSKCRRSSLTPSVNNSNSNSDNNNNNNSNAPSEEEEKRRARLMRNRESAQLSRQRKKHYVEELEDKVRTMHSTIADLNNKIAYFMAENATLRQQLSTAGGGGGGGGAVMCPPQPLPMPMYPPMAYPWVPCAPPYVMKPPGSQVPLVPIPRLKPQQPPVPASKAKKNESKTKKVASVSLLGMLFFILLFGGLAPIVNDRYDNTPVGSGFVGDGFYEVHRGRVLRVDGHLNGSNNSRDVAFSYGKFDRRNRVHGRGSESGVEQKEKGAHSVPGYMSNGGEPLTASLYVPRNDKLVKIDGNLIIHSVLASEKAMASHKASQIKNEETGLAIPNNFSPALAIPDARENGGKRSREYRNPAERQMALSSGNADALKDHFKSTVADGKMQQWFREGLAGPMLSSGMCTEVFQFDVSAAIVPASSVTNVSAEHHQNATRHNKGRNRRILHGHPVPLSRSDVNITEQHVGRNSPKENFKGNKTASSMVVSVLFDPREAGDGDIDDMIAPKPLSRIFVVVLVDSVKYVTYSCMLPLPGLHLMTA >EOY08185 pep chromosome:Theobroma_cacao_20110822:5:5445807:5449442:-1 gene:TCM_022526 transcript:EOY08185 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative isoform 2 MDTQNLLFSLFCFFLLSLFSGQQQVVEGSRVSPFAFGPKKLFVFGDSYADTGNNRKAIASSWKFPYGITFPGKPAGRFSDGRVLTDYVAGYLGIKTPIPYRWRKELAGRLKYGVNFAYGGTGVFDTLVPEPNMTTQIDFLQQLLNDSVYTKRGLKTSVALVSLAGNDYSAYIARNGSAAGQVDLKDIKGFPAFIESVVSQLTVNLERIHGLGVRKIAVSALQPLGCLPQSTAQFSFEQCNATENTLVNLHNQLLVQAVNNLNKKTNSTSVIVLDLYDAFWTVFNQKEAHQVSPTFENPFQPCCVGVTAGFSCGSVDEHGVKKYTLCSNPKSKFFWDTVHPTQEGWQAVYSTPAFQNSIKQLQ >EOY08186 pep chromosome:Theobroma_cacao_20110822:5:5446385:5449668:-1 gene:TCM_022526 transcript:EOY08186 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative isoform 2 MDTQNLLFSLFCFFLLSLFSGQQQVVEGSRVSPFAFGPKKLFVFGDSYADTGNNRKAIASSWKFPYGITFPGKPAGRFSDGRVLTDYVAGYLGIKTPIPYRWRKELAGRLKYGVNFAYGGTGVFDTLVPEPNMTTQIDFLQQLLNDSVYTKRGLKTSVALVSLAGNDYSAYIARNGSAAGFPAFIESVVSQLTVNLERIHGLGVRKIAVSALQPLGCLPQSTAQFSFEQCNATENTLVNLHNQLLVQAVNNLNKKTNSTSVIVLDLYDAFWTVFNQKEAHQVSPTFENPF >EOY10291 pep chromosome:Theobroma_cacao_20110822:5:34002863:34006404:1 gene:TCM_025664 transcript:EOY10291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Benzoyl coenzyme A: Benzyl alcohol benzoyl transferase MATPFTSLTFTVRRCEPELVAPAKPTPHECKLLSDIDDQESLHFQVPVIQFYRHNPSMQGKDPVKIIREALAKALVFYYPFAGRLREGPNRKLMVDCTGEGVLFIEADADVTLEQFGDELHPPFPCLDELLYDVPGSEGMLNCPLLLIQITRMKCGGFIFAVRLNHVMSDGAGLKQLVSAVGEMARGMVTPSIPPVWERHLLDAGDPPRVMFTHHEYDEVEGIITPPDNMVQRAFFFGPNEISSLRRLLPRHLRQCSKFELLTACLWRCRTAAINPDPDDEVRMMCIANTRSKFNPPLPSGYYGNAFVFPAAKTTARKLFRNPLGYAVELVKQAKARVTEEYVKSVSSLMVIRDKQLHFPVVRSFLISDVTSFGFGDVDYGWGKAVFGGPAKAVGPISFLIQSKDKNGEVGTLVSICLPAPAMESFAKELDNILKGQPVEDVGKPIKISSAM >EOY07862 pep chromosome:Theobroma_cacao_20110822:5:3033419:3033707:1 gene:TCM_022182 transcript:EOY07862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTHKFVEQYSRKLQIRLEFHIPVINTVCTLMSSKLIHPFTLYQNQFTLKSCPSISKLTLTFTCKHTRVKER >EOY07321 pep chromosome:Theobroma_cacao_20110822:5:1192495:1196649:1 gene:TCM_021783 transcript:EOY07321 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 2 MSSGGANNSANGSPIQGGPTTARRRVGDLLGAESDSNNISSGSDYYALASDDEEADNPNGYIPSCSCGSHYSQQHHHQNHPVIRYLLLRRKLLFSWVPDEWFIWVDNTCHGAVNMAQSLRSGRNVGRKVLGVLLLMAVVSFFLKVSLLSSHVEDNGKLKGEKGPLILQTIKEDWSLAQRVVAETHTEPETEHSMPKRVLERVSTPEIWMKPNSDNYQQCISRPKNRIRTGSKTNGYIIVHANGGLNQMRTGICDMVAVAKIMNATLVLPSLDHESFWTDLSDFKDIFDWRHFINVLKDDIEIVEYLPVKYSGIKPLAKAPVSWSRASYYRREVLPLLKRHKVIKFTHSDSRLANNGLAPSIQRLRCRANYEALRYTKEIEDLGRTLVDRLKNNNEPYIALHLRYEKDMLAFTGCIHNLTVEEASELTVMRYNVEHWKVKEIDGVEQRLKGGCPMTPREAAMFLKAMGYPSSTPIYIVAGEIYGQNSMAAFRAEYPNVFTHSTLATEEELELLKPYQNRLAALDYIVALESDVFVYTYDGNMAKAVQGHRRFEGFRKTINPDRVNFVRLIDQLDGGVISWEQFSSEVKSLHSDRLGAPYLRRPGESPRLEENFYANPLPGCICNRSREQQIISLKFDKNLNSVRR >EOY07322 pep chromosome:Theobroma_cacao_20110822:5:1192355:1196339:1 gene:TCM_021783 transcript:EOY07322 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 2 MRVPLLAAAPPPKPPRDQVSLLSSHVEDNGKLKGEKGPLILQTIKEDWSLAQRVVAETHTEPETEHSMPKRVLERVSTPEIWMKPNSDNYQQCISRPKNRIRTGSKTNGYIIVHANGGLNQMRTGICDMVAVAKIMNATLVLPSLDHESFWTDLSDFKDIFDWRHFINVLKDDIEIVEYLPVKYSGIKPLAKAPVSWSRASYYRREVLPLLKRHKVIKFTHSDSRLANNGLAPSIQRLRCRANYEALRYTKEIEDLGRTLVDRLKNNNEPYIALHLRYEKDMLAFTGCIHNLTVEEASELTVMRYNVEHWKVKEIDGVEQRLKGGCPMTPREAAMFLKAMGYPSSTPIYIVAGEIYGQNSMAAFRAEYPNVFTHSTLATEEELELLKPYQNRLAALDYIVALESDVFVYTYDGNMAKAVQGHRRFEGFRKTINPDRVNFVRLIDQLDGGVISWEQFSSEVKSLHSDRLGAPYLRRPGESPRLEENFYANPLPGCICNRSREQQIISLKFDKNLNSVRR >EOY09406 pep chromosome:Theobroma_cacao_20110822:5:28998236:28999801:-1 gene:TCM_024830 transcript:EOY09406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVKLKQSSCSAVQCSLDHVKFSGPATLNRLCFGPIDETTVPYQSWADTEHFGYLNIHIEDRGPLYHPATVSVCPAYRRQLDNSLPFFVTIKKHLLII >EOY09498 pep chromosome:Theobroma_cacao_20110822:5:29529504:29534047:1 gene:TCM_024914 transcript:EOY09498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase isoform 2 MLKAIQILGCSSEFISQRLKKPHSGNGCSLISSKGSDTSTSLFLHPFWTPKTLSSKLIRMFSTQSESNSNAPSSSSSSSSSSSVAVHSAGNVHKIKFCQWCGGPAKHEIPDGEEKIRAICTLCGKIAYQNPKMVVGCLIEHEKKILLCKRNIEPSYGLWTLPAGYLEIGESAAEGAVRETWEEAGAEAEVVSPFAQLDIPLIGQTYIIFLAKLKKPHFSPGPESSECCLFELDNIPFDSLAFSSIFVTLNLYIEDVKSGKIKFHYGTINKRLGTGPSDIRAFTLDYHWQA >EOY09499 pep chromosome:Theobroma_cacao_20110822:5:29529466:29533206:1 gene:TCM_024914 transcript:EOY09499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase isoform 2 MLKAIQILGCSSEFISQRLKKPHSGNGCSLISSKGSDTSTSLFLHPFWTPKTLSSKLIRMFSTQSESNSNAPSSSSSSSSSSSVAVHSAGNVHKIKFCQWCGGPAKHEIPDGEEKIRAICTLCGKIAYQNPKMVVGCLIEHEKKILLCKRNIEPSYGLWTLPAGYLEIGESAAEGAVRETWEEAGAEAEVVSPFAQLDIPLIGQDQNHPSAVSLNWTIYLLILWHFLQFLLR >EOY08635 pep chromosome:Theobroma_cacao_20110822:5:14596410:14602678:1 gene:TCM_023487 transcript:EOY08635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLNFCYSSATAMVSWPCPWHSSLKKMYGAPFQLNTVAMVFPTLQRSINFKHNFHPELGKVYGVTTRPLTAEQLGITCYKMPLPIHGGPYKPMITGLTDPCLQKGKKRGVSLTNSVIIDSVSRA >EOY07341 pep chromosome:Theobroma_cacao_20110822:5:1263009:1266713:-1 gene:TCM_021801 transcript:EOY07341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol phosphorylceramide synthase 1 MTLYIGREASKLWKRICAETTTEVNLLLDNWKYLLAGLIFQYIHGLAARGVHYLHQPGPTLQDLGFILLPELGQDKAYISETVFTFIFLSFVLWTFHPFIMKSKKIYTVLVWCRVLAFLVASQMLRIITFYSTQLPGPNYHCREGSKLARLPKPESVLEVLLINFPRGVIYGCGDLIFSSHMIFTLVFVLTYQKYGTRRFIKQFAWLVAIIQSFLIVASRKHYTVDVVVAWYTVNLVVFFIDKKLPELPDRSNGSSPLLLPLSTKDKDSKTKEENHKLLNGNSIDPADWRPRTQVNGKTLEDANGIHVDTAMNGA >EOY08079 pep chromosome:Theobroma_cacao_20110822:5:4305600:4308326:1 gene:TCM_022395 transcript:EOY08079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factors isoform 2 MFSISLNLLRSNSLHLSFLSAHIVVFFCYIIENLKERALTAFFSGYRRRRRRSFQVPGDCYNSMVVSSLLGMLGLEMPLHPQQQQQQPQNPQSAQNPHQLHHHPQMVAYSLHETDHSQHQQSVKQGYPFASKTKQLSPLSDEDEPGFTPDDGAADAKRKISPWQRMKWTDSMVRLLIMAVYYIGDEAGSEGNDPAGKKKAGGLLQKKGKWKSVSRAMMEKGFYVSPQQCEDKFNDLNKRYKRVNDILGRGTACKVVENQSLLDTMDLSPKMKEEVRKLLNSKHLFFREMCAYHNSCGHGATAGASGANHSPEVATETSQIQHQQAQQQRCLHSSDTAQIAGNSGGMDPEALKLTKVGSDEEDDDDDDDSDDDEDEDDEEAMDGHSRGHNGHGQEDDEDNDEKSTRKRPRKGALAMSLSPLMQQLSCEAVNVIQDGSKSVWEKHWMKMRLMQLEEQQVSYQYQAFELEKQRLKWVKFSGKKEREMEKAKLENERRRLENERMVLLVRQKELELVDLQHQHQPQQHSSSKRGDPSSITG >EOY08080 pep chromosome:Theobroma_cacao_20110822:5:4305600:4308326:1 gene:TCM_022395 transcript:EOY08080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factors isoform 2 MVVSSLLGMLGLEMPLHPQQQQQQPQNPQSAQNPHQLHHHPQMVAYSLHETDHSQHQQSVKQGYPFASKTKQLSPLSDEDEPGFTPDDGAADAKRKISPWQRMKWTDSMVRLLIMAVYYIGDEAGSEGNDPAGKKKAGGLLQKKGKWKSVSRAMMEKGFYVSPQQCEDKFNDLNKRYKRVNDILGRGTACKVVENQSLLDTMDLSPKMKEEVRKLLNSKHLFFREMCAYHNSCGHGATAGASGANHSPEVATETSQIQHQQAQQQRCLHSSDTAQIAGNSGGMDPEALKLTKVGSDEEDDDDDDDSDDDEDEDDEEAMDGHSRGHNGHGQEDDEDNDEKSTRKRPRKGALAMSLSPLMQQLSCEAVNVIQDGSKSVWEKHWMKMRLMQLEEQQVSYQYQAFELEKQRLKWVKFSGKKEREMEKAKLENERRRLENERMVLLVRQKELELVDLQHQHQPQQHSSSKRGDPSSITG >EOY09257 pep chromosome:Theobroma_cacao_20110822:5:27721714:27723605:-1 gene:TCM_024671 transcript:EOY09257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METDSIGDPSSDFDEGVMAAHTRVNVNRFRSRVEKDPANNHNEEKIEIDFHIKRNRLGLSYCHILMHGDIKMQSEDAESNEDGDMSEDYDSEDDVMANKANGPYIRLTKEDKRRIRQPWKNTLIIVEYEGLRMVYFQCGKFGHNDESCQVKQKEQKGYTEEEATNITQQNVIRENDYDSARYRPWMVAKRYTRRNIVNRIEGKTEVQTKQKSKKGVQVQAYGFVRKEKVATQDNKKFKVSLQKTNKISNEDARQTRNIKRRHVDNPIKIATSESTQYKKQTIVSRLSSKDASNERQRTEPSKGCANNIVALNEQEALPNYPMSNGGHLNMLGVGFNGDARENKKIESDLCLEIPILRQRI >EOY08245 pep chromosome:Theobroma_cacao_20110822:5:5906410:5912450:1 gene:TCM_022592 transcript:EOY08245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin/t-SNARE family protein, putative MSRMGSSLLQWESDPLFSAAEVVQDSADRMESIFRLLLHDQSLVQSNHSDPKLLTSIEYHRRDLVTILETAKWQLEDFERAVSSSARMDQSHAREDVISRHKQFIRAISEQINHVEKSLEKMSMGNSLKNSEWGNLNKQDRDGLALFLSGGNHNEHNHRYDLDDSDILKRFLEPATASCSTDAGLVGNECGEIEEVTTNGVAYGSHYYDSMKENNLRKVGSHYSIKLGLDAVDSFQESSCNRNAGGGSWDLEASEVKPKSFFQENKSRGSSTSPFRFFNNLWAAYRSRVPSNYTKRLKDGEEEHSPSYIDASRATQVQCIGLRSAPGDHGLQGLHGFLVKVMHLRRTLGACNARCDRFSYLVKFNRRSVQMILTIVFAFTLLGILVFHVT >EOY08571 pep chromosome:Theobroma_cacao_20110822:5:10994582:11009245:1 gene:TCM_023150 transcript:EOY08571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRDPDHKVKLLKSNSCSTLDIAQRKSKKPWKINAMFRVGEEDYVDEEKHSEGQLHLLTRNTTRRRDQLQGPRPAPLKVCNSSSKMKKSSHPDRSKINPVVIYLRSPKIIHVRPEEFMSLVQSLTGKDSTSIQSYPSSPSASSCDLAADESMSKRSHNLFDAEIMKPFNEVDFGDQFLGMSPTWLRFLARV >EOY07472 pep chromosome:Theobroma_cacao_20110822:5:1682199:1682949:1 gene:TCM_021901 transcript:EOY07472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASVASLQTLYATSLKQSPVSGRHSLSSRHAVAPAPWRSTVLSRTKSRNNQKRSLTVVAAIGDVSADSTNYLIAGAAVVALVGTAFPILFSRKDTCPECDGAGFVRKSGVPLRANAARKDEAQIVCARCNGLGKLNQIDK >EOY10564 pep chromosome:Theobroma_cacao_20110822:5:35376793:35381718:1 gene:TCM_025899 transcript:EOY10564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 131 isoform 2 MNDLLTESFEIPRGQGSRGGDIELGAQMNSGELGLQNFFKKVQDIDKQYEKLDKLLKKLQDAHEESKAVTKAPAMKSIKQRMEKDVDEVGKISRFIKAKIEELDKENLANRQKPGCGKGTGVDRSRTATTLAIKKKLKDKMAEFQTLRETIHQEYREVVERRVFTVTGARPDEETIEKLIDTGDSEQIFQKAIQEQGRGRIMDTVSEIQERHEAVRDLERKLLELQQIFLDMAVLVDAQGDMLDNIESQVSSAVDHVQSGNTALQKAKKLQKNSRKWMCIAIIILLLIVVIIVVAVIKPWSSNKGLCYKTPISRVCVCWLNTVMIENLKFF >EOY10565 pep chromosome:Theobroma_cacao_20110822:5:35376775:35381508:1 gene:TCM_025899 transcript:EOY10565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 131 isoform 2 MNDLLTESFEIPRGQGSRGGDIELGAQMNSGELGLQNFFKKVQDIDKQYEKLDKLLKKLQDAHEESKAVTKAPAMKSIKQRMEKDVDEVGKISRFIKAKIEELDKENLANRQKPGCGKGTGVDRSRTATTLAIKKKLKDKMAEFQTLRETIHQEYREVVERRVFTAVTGARPDEETIEKLIDTGDSEQIFQKAIQEQGRGRIMDTVSEIQERHEAVRDLERKLLELQQIFLDMAVLVDAQGDMLDNIESQVSSAVDHVQSGNTALQKAKKLQKNSRKWMCIAIIILLLIVVIIVVAVIKPWSSNKGLCYKTPISRVCVCWLNTVMIENLKFF >EOY11737 pep chromosome:Theobroma_cacao_20110822:5:40171802:40175662:1 gene:TCM_026819 transcript:EOY11737 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate lyase A-3 MARKKIREYDSKRLLKEHLKRLANIHLQICSAQVTESTDFTELTNKEPWLSSTRLVVKPDMLFGKRGKSGLVALNLDLAQVAEFVKARLGVEVEMGGCKAPITTFIVEPFVPHEQEYYLSIVSERLGSIISFSECGGIEIEENWDKVKTIFLPTEKPMTQETCAPLIATLPLEVRGKIGDFIMGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFKKWGDIEFPLPFGRVMSPTEGFIHSLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVVDCATANPDGRKRALLIGGGIANFTDVAATFNGIIRALREKEAKLKAARMHVYVRRGGPNYQTGLARMRTLGEELGVPLEVYGPEATMTGICKEAIDCIMSEA >EOY08369 pep chromosome:Theobroma_cacao_20110822:5:7013474:7022869:-1 gene:TCM_022732 transcript:EOY08369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MRIIAVGILFLCFSIFSSLSSTVSNDKVPPRFPSSVIRPEQLSLATKRGTKLYKARYFTQILDHFNFNPKSYQTFQHRYLINDTYWSGPEKNSPIFVYTGNEGDIEWFAQNTGFMFDVAPYFKALLVFIEHRFYGKSIPFGGDKDIAYSNASTLGYLTSTQALADYATLIIDLKKNLTADDSPVVVFGGSYGGMLATWFRLKYPHIVIGALASSAPILNFENITSPYSFNNIITQDFRSESENCYKVIKGSWEQIEDTGSRSGGLELLRKSFRICKNYIDADALESWLTTAFVYTAMTDYPTPSNFLNPLPAYPVKQMCKSIDDPTTGNDSFAKLYGAANVYYNHTGTTTCFNLAYSPDPHGLDMWSWQACTEMIMPTDGNNQDSIFPESQWSYSQRAAVCKGYYGVDPRPNWITTEFGGHDISRALKRFGSNIIFYNGLRDPWSGGGVLKNISKTIVAIVAEQGAHHVDLRFATSQDPKWLQQVREREVKIISNWISQYYHDLANHS >EOY08370 pep chromosome:Theobroma_cacao_20110822:5:7014678:7021675:-1 gene:TCM_022732 transcript:EOY08370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MRIIAVGILFLCFSIFSSLSSTVSNDKVPPRFPSSVIRPEQLSLATKRGTKLYKARYFTQILDHFNFNPKSYQTFQHRYLINDTYWSGPEKNSPIFVYTGNEGDIEWFAQNTGFMFDVAPYFKALLVFIEHRFYGKSIPFGGDKDIAYSNASTLGYLTSTQALADYATLIIDLKKNLTADDSPVVVFGGSYGGMLATWFRLKYPHIVIGALASSAPILNFENITSPYSFNNIITQDFRSESENCYKVIKGSWEQIEDTGSRSGGLELLRKSFRICKNYIDADALESWLTTAFVYTAMTDYPTPSNFLNPLPAYPVKQMCKSIDDPTTGNDSFAKLYGAANVYYNHTGTTTCFNLAYSPPNWITTEFGGHVSIIIYISQSQTSIPLRY >EOY07504 pep chromosome:Theobroma_cacao_20110822:5:1767745:1771492:-1 gene:TCM_021921 transcript:EOY07504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomeric DNA binding protein 1, putative isoform 2 MVSGTRLDYGFSGYQVPVFPRASRSARGRVPIRKKGGNSQKHAFEILASVAGELLQESKIFVPPNCKEDQQNTPKNIVLKERDEGQLSKCNHSVQETHDEKTFIQGYHQIYTLNKFSHSQDRFNLKACSSIKSFDQSEKLCLADQLANVNDRNASPSAKIGNSSLVSGEFSEGQIEDAGAELSSIQSVKSATLPVTGSFDMLEMDCKPHALFCSESKLKASLFEDWITLRPSGHADNIETVDRDDDENDTGCTQAATTVKAFRLPSDVADQRIKNLSAGRHWRVSPNLNGGASFKNDGKRRSFFRNGRTSYTRQRSQRISPFNRRKLFNQFPFSVPDRGFQFEDKFNSADKRSNCDNCTAAVGVASSVARRHSQPGPRDRNVKLRIKSFTVPELFVEIPTTATVGSLKRTVMEAVTTVLGDGLHVGIFLQGKKVRDDSKTLFQTGISQDHKHRNLGFALEPRHAQIIPPPGPGEQGLTR >EOY07502 pep chromosome:Theobroma_cacao_20110822:5:1767445:1770288:-1 gene:TCM_021921 transcript:EOY07502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomeric DNA binding protein 1, putative isoform 2 MVSGTRLDYGFSGYQVPVFPRASRSARGRVPIRKKGGNSQKHAFEILASVAGELLQESKIFVPPNCKEDQQNTPKNIVLKERDEGQLSKCNHSVQETHDEKTFIQGYHQIYTLNKFSHSQDRFNLKACSSIKSFDQSEKLCLADQLANVNDRNASPSAKIGNSSLVSGEFSEGQIEDAGAELSSIQSVKSATLPVTGSFDMLEMDCKPHALFCSESKLKASLFEDWITLRPSGHADNIETVDRDDDENDTGCTQAATTVKAFRLPSDVADQRIKNLSAGRHWRVSPNLNGGASFKNDGKRRSFFRNGRTSYTRQRSQRISPFNRRKLFNQFPFSVPDRGFQFEDKFNSADKRSNCDNCTAAVGVASSVARRHSQPGPRDRNVKLRIKSFTVPELFVEIPTTATVGSLKRTVMEAVTTVLGDGLHVGIFLQGKKVRDDSKTLFQTGISQDHKHRNLGFALEPRHAQIIPPPGPGEQGLTRHATSLTPEPGTSSVSLVPPLTSWNSGAQSDLHLVSCLSNISADRTIPNSQSLVSIPAISVEALAVVPFHHRPGCHEFVQRRIRRPFSVAEVEALVQAVEKLGTGRLLL >EOY07503 pep chromosome:Theobroma_cacao_20110822:5:1766461:1769821:-1 gene:TCM_021921 transcript:EOY07503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomeric DNA binding protein 1, putative isoform 2 MLEMDCKPHALFCSESKLKASLFEDWITLRPSGHADNIETVDRDDDENDTGCTQAATTVKAFRLPSDVADQRIKNLSAGRHWRVSPNLNGGASFKNDGKRRSFFRNGRTSYTRQRSQRISPFNRRKLFNQFPFSVPDRGFQFEDKFNSADKRSNCDNCTAAVGVASSVARRHSQPGPRDRNVKLRIKSFTVPELFVEIPTTATVGSLKRTVMEAVTTVLGDGLHVGIFLQGKKVRDDSKTLFQTGISQDHKHRNLGFALEPRHAQIIPPPGPGEQGLTRHATSLTPEPGTSSVSLVPPLTSWNSGAQSDLHLVSCLSNISADRTIPNSQSLVSIPAISVEALAVVPFHHRPGCHEFVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLGAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAAHAYWSRHQAKQKLK >EOY07501 pep chromosome:Theobroma_cacao_20110822:5:1766104:1771538:-1 gene:TCM_021921 transcript:EOY07501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomeric DNA binding protein 1, putative isoform 2 MVSGTRLDYGFSGYQVPVFPRASRSARGRVPIRKKGGNSQKHAFEILASVAGELLQESKIFVPPNCKEDQQNTPKNIVLKERDEGQLSKCNHSVQETHDEKTFIQGYHQIYTLNKFSHSQDRFNLKACSSIKSFDQSEKLCLADQLANVNDRNASPSAKIGNSSLVSGEFSEGQIEDAGAELSSIQSVKSATLPVTGSFDMLEMDCKPHALFCSESKLKASLFEDWITLRPSGHADNIETVDRDDDENDTGCTQAATTVKAFRLPSDVADQRIKNLSAGRHWRVSPNLNGGASFKNDGKRRSFFRNGRTSYTRQRSQRISPFNRRKLFNQFPFSVPDRGFQFEDKFNSADKRSNCDNCTAAVGVASSVARRHSQPGPRDRNVKLRIKSFTVPELFVEIPTTATVGSLKRTVMEAVTTVLGDGLHVGIFLQGKKVRDDSKTLFQTGISQDHKHRNLGFALEPRHAQIIPPPGPGEQGLTRHATSLTPEPGTSSVSLVPPLTSWNSGAQSDLHLVSCLSNISADRTIPNSQSLVSIPAISVEALAVVPFHHRPGCHEFVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLGAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAAHAYWSRHQAKQKLK >EOY08522 pep chromosome:Theobroma_cacao_20110822:5:10128074:10130143:1 gene:TCM_023064 transcript:EOY08522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein, putative MKGMKGRFLKKLKFIPTISTLKQGLVLQLNPQHTFANQNCHSLSVYKEQDCKSNVDLPESVVDLKDQKDEETELEFEVGDQDSITPSTEFKDIVTSKDNSQLPISSEIIVKDDSIPEGKVDSEEHPSLIDFEEKCPPGGEESVIFYTTSLRGIRKTFEDCSSIRFLLDSFKILVHERDVSMDKEFREELWRILGGRVIPPKLFIKGRYIGGADEVVGLHEQGKLKKLLEGIPSGVPNCLCTGCANMRFLVCSNCNGSRKVYAEKEGDELCMKCPDCNENGLINCPVCC >EOY07377 pep chromosome:Theobroma_cacao_20110822:5:1375787:1378079:1 gene:TCM_021830 transcript:EOY07377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion transmembrane transporters MLFMDNPCSPKPQIQSCTMLQAAVANLFLDSLENPPRPQKISLFTPFFSPNSMSSILKMQLSIPATSQSLSFSKSLLFPTPLFLHTKLSSLSLHTPTRPNTVIRMGGGPRTYPGGVSKWQWKRMQAKKAKQLLKARLARERHIYEIRKRAELQAAVSELERPWEVVEKAPNLFSVSADEQVKVLADRFQKPGGFDMWSNRDGPQLFDTVDGLPSARFFPKGVVHSVKPYLRSRESDENAKHLADNKSEETENEDGNSQRNGKRNGVKWRRKGMRRMLDAAGEGSFDEKRSNLKSEVYDVSLQRDGSYGINKKK >EOY11501 pep chromosome:Theobroma_cacao_20110822:5:39455822:39459024:1 gene:TCM_026652 transcript:EOY11501 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein isoform 1 MASCLHAPMAYRIPSSASSQSVRRPKALTLSCRAFGAKNAAKIPMPPVNPKDPFLSKLASVAASSPETLLNRPVNPDTPPYLDLFESPKLMATPAQVERSVSYNEHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELIVAELMYLQWMDPKQPIYLYINSTGTTRDDGETVGMETEGFAMYDALMNLQNEIHTVAVGAAIGQACLLLSAGTKGKRFMMPHAKAMIQQPRIPSSGLMPASDVLIRAKEVIINRDILVELLAKHTGNSVEMVANVMKRPFYMDATRAKEFGVIDKILWRGQEKVMAEVAAPEEWDKNAGIKVMDGF >EOY11500 pep chromosome:Theobroma_cacao_20110822:5:39455829:39458786:1 gene:TCM_026652 transcript:EOY11500 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein isoform 1 MASCLHAPMAYRIPSSASSQSVRRPKALTLSCRAFGAKNAAKIPMPPVNPKDPFLSKLASVAASSPETLLNRPVNPDTPPYLDLFESPKLMATPAQVERSVSYNEHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELIVAELMYLQWMDPKQPIYLYINSTGTTRDDGETVGMETEGFAMYDALMNLQNEIHTVAVGAAIGQACLLLSAGTKGKRFMMPHAKAMIQQPRIPSSGLMPASDVLIRAKEVIINRDILVELLAKHTGNSVEMVANVMKRPFYMDATRAKEFGVIDKILWRGQEKVMAEVAAPEEWDKNAGIKVMDGF >EOY09790 pep chromosome:Theobroma_cacao_20110822:5:31075724:31076322:-1 gene:TCM_025172 transcript:EOY09790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNCFCRLHRVDSGFSVFGKMLKLGLHPSIVTFSTLINGLCIVGEVAQAVRLFDDMVREGYKPNLIAYNTIVNGLCKISSTNGAIGFAKG >EOY07954 pep chromosome:Theobroma_cacao_20110822:5:3590041:3609306:-1 gene:TCM_022286 transcript:EOY07954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKREKKRVRITVVEADKSKNGKEHRKGLKTKIEKTQEKGGSGKTFKETLMQSQMNEMDYFMKTSIVDVERCDPRCRVGNITEGVSESKMSEKWLEEGDQVGWEDKDEGGILEENFKRLAIWEKADVRSQSRLGKNEKKRAMRRLVSKNRPDMLFIQETKLKEESRDIYERLWGKDKICGKSALAEVDWIRKRSVGGVILKIDFEKVYDNVCWEFMELIMRKMGFMEKWIEWVRECSITARVSVLVNGSPTGQFGMEKGLRQGCPLFPFLFNIVVEAMSRMIKKAEILRLCKGVEIGNNGFIISHLQYADDTIFFCDPNLENLRELRRMLRCFQVEKTRNDPSDLMPNTSVNRKVSTLWKRIISPFSPSNKYFLQVQSNFSFITGNGENLRFWQDDWLEGVTLAISFPRLFALAENTYGKVAKFGNWVDGFWEWKVETKIQLFDLEIDQPAQLQGMLQEQQVSKDFKDELIWKREPSGKYTYKSFCKYALSSMEIMEGIWKSVWAKLAPLRVEVFVWQMFLDIYRQPPIQSLHGKSMLGRKQIKWEMPKPSQMKFNMDGAARGSSGPIGIGGILKYSNGVVKVTFSKPIRLADANLVEILAVREAFVIFSTSRWKNDYSLCIESDSSNAVKWTKQPDTAPWKMRKWLIQMERMKEELNGWTIRHIMRETNERVDNLAKQGVQLQSDIIQIF >EOY11534 pep chromosome:Theobroma_cacao_20110822:5:39537897:39540128:-1 gene:TCM_026677 transcript:EOY11534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein MSRLTKILVHEGKSQSVFGFVSKQTTGQCGCNIMSGLVYLSPTKTFWRIVKHRSTEDFESIPYVCTLLNAYFWIYYGLIKPNSLLVATINCFGIVAETVYLTLFLIFAPPGLRARTATLVAILDVGFPVAAILLTHSMLHGYLRINVAGLLCVVFSMISYGSPLAAMKTVVRTKSVEYMPFLLSLILFINGGIWTFYALLAKDYVGVPNGIGFFLGTIQLLLYAMYWKPQKLKKMSDNLEEGWQHDQPPNNSSLISSLLPERSAD >EOY11449 pep chromosome:Theobroma_cacao_20110822:5:39304167:39310914:1 gene:TCM_026622 transcript:EOY11449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose nonfermenting 4 MFASGPETGQENSGVSGPLLIPMRFVWPYGGRRVFLSGSFTRWSEHIPMSPMEGCPTVFQVICSLSPGYHQFKFYVDGEWRHDEHQPFVNGNYGVVNTVFIAREPDMVPPTSSPETPGRSNMDVDDVFIRSEPVPTISDADLEVSRHRISAFLSRHTAYELLPESGKVIALDVNIAVKQAFHILHEQGIPVAPLWDSCKGQFVGVLSALDFILILRELGNHGSNLTEEELETHTISAWKEGKVQLSRQIDGSARSYPRCLVHAGPYDSLKDVALKILKGKVATVPITHSSAQDGSFPQLLHLATLSEILKCICRHFKHSSSSLPILQQPICSIPLGTWVPKIGESNGRPLAMLRPNASLGAALSLLVQAEVSSIPIVDENDSLLDIYSRSDITALANNKAYAQIHLDEMSIHQALQLGQDANSFNGQRCQMCLRSDTLHKVMERLANPGARRLVIVEAGSKRVEGIISLSDVFRFLLGV >EOY07337 pep chromosome:Theobroma_cacao_20110822:5:1245745:1248693:1 gene:TCM_021796 transcript:EOY07337 gene_biotype:protein_coding transcript_biotype:protein_coding description:R2r3-myb transcription factor, putative METEMEAVENGNDATVTEINVPVAIDGGEATEGGGDGGSRGDSAIGGRKRKERVKGPWSPEEDVILSELVSKFGARNWSLIARGIAGRSGKSCRLRWCNQLDPAVKRKPFTDEEDQMIISAHAVHGNKWAVIARLLPGRTDNAIKNHWNSTLRRRCMELGRIKSESEHMAEDASLDKTKASSEETLSCAEDSSFRSMEGKDVSSLEKLDTQLKGKSLTGIQSSHESIEPPTLFRPVARVSAFNVYNHVDRPEFVLPCSRPVLMQGPLIQTSKPDVGICKLHEGVYKEGIVPLQCGHGCCGTQNVRNGNSNSLLGPEFVEFSEPPSFPNYELAAIASDISNLAWLKSGLENNSVRPMDDAAGGTTSHRSQVCTGHFEKTRMSDHFHIEERNKKLMGRMTNLLST >EOY11749 pep chromosome:Theobroma_cacao_20110822:5:40215843:40218036:-1 gene:TCM_026830 transcript:EOY11749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPCIPAHCVWTATLHPLPTFCNSVATPRFPLPKLDLSYMHFSTSCFLPTFQPERTILAMFCFEFCPGEPGWLLHCNIGYHPLNLMQGSIYQNQYNQRLNLSFLFILLGC >EOY11000 pep chromosome:Theobroma_cacao_20110822:5:37395467:37396051:-1 gene:TCM_026263 transcript:EOY11000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASCRKVVKFTVTFIAAVVLLFGFDQHVLATRPLDEVPFEEGLIVQSLQRGPVPPSGGNPCTNIPGRSRGRCTLSEMNVAGGGGGMVAHPPPAFS >EOY07075 pep chromosome:Theobroma_cacao_20110822:5:375235:378771:1 gene:TCM_021596 transcript:EOY07075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIDKAAVISTTKSSKKKMVFNTTSRIRIWSTALKTEPCKRKTILPRQENDGIYVGRGLATSHRSAYDPYKRRHGINVGYFSYPPDGVVTHINTQNKRKLKGTTCKATGCLRLFMSMSSPMIRNSGSSVMEDGVRARNKKPRRRRNGRESVEDTIEKWKKYNNDQLQLGEEVGLKKVGKVPAKGSKKGCMQGKGGPENSRCKYRGVRQRIWGKWVAEIRQPINGVRVGNKGNNRLWLGTFSNAIEAALAYDKAAKAMYGPYARLNFPDHSEESAVHNSNNESASSTNETCSTESTSISNSFAEKAKESSVHYSSPVEEPELHVVEESKVCLVDKPMEKRDCSQVYINEEAGCIAEDTATETRGTEYNSRNDCKPYKEQGVEVETLKEAMDHELTELMRLHNDTNDYLHNELKDEGCQLSINFLDSDDYNLQTPFKKKEMESEVELSQNMLSSAYSGFNFRPNYMDNEEQDAGISIIDLEPSNDVKVEMPVTRENWKCELAGSIESIDYNIFSGKDDNLQTELTGGNLSLGFCYKPSSEMKAEAPVLMEEVEVEHGGFTDFNSYKGFGKTYDHMPYEPTDMICERQMNATTPTDFKAQTPINYGGFNSFKDKLDFLHSWPAEAITDVKPFALIRNDNCGLRPKESYNSDQFESSSTSYPERGGLQDPIAEAQGGFNQYETGFGEDYKLEFSRPDVDLDLGTDLWFPEHGF >EOY11222 pep chromosome:Theobroma_cacao_20110822:5:38394539:38396000:1 gene:TCM_026465 transcript:EOY11222 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex subunit 1-like protein 1 MRPPRGGGGFRGGRDGGRGRGGGRGGGGRGFGRGGGGFRDEGPPAEVVEISTFLHACEGDAVTKLTNEKIPYFNAPIYLQNKTQIGKVDEIFGPINESYFSIKMLEGIVATSYALGDKFYIDPSKLLPLAKFLPQPKGQSQAGARGGRGGGRGGGRGGGRGGGGFRGRGAPRGGRGGPRGGGRGGGFRGRGRF >EOY10524 pep chromosome:Theobroma_cacao_20110822:5:35180678:35182867:1 gene:TCM_025861 transcript:EOY10524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hermes MDRLISLEPSNLVAVRIEPGQKCYGELTLRNVMYTMPVAFRLQPLNKGRYTVKPQSGIIAPLGTQTVEIVYHLPPGSLLPDSFPLSDDSFLLHSVVVPGAAIKDSTSSFDAVPNDWFTTKKKQVFIDSGIKIMFVGSPVLVQLVMDGSMDEIRDVLERSDPAWNPADSVDSYGQTLLHLAIAQSRPDIVQLLLEFEPDVEFQSQSGSTPLEAAAGCGEELIVELLLAHKASSERSKSSSWGPIHLAAIGGHLEVLRLLLLKGADVDALTKDGNTSLHLAVEERRKDCTRLLLANGATLDVRNTRDGETPLHIAAGLGDEQMVKLLLQKGANKEIRNKAGKTVYDVAAEYGHIRLFDALKLGDSLCLAARKGEVRNIQRLIENGAVINGRDQHGWTALHRASFKGRIDAVKMLIDKGIDVDSKDDDGYTALHCAVESGHTDVVELLVKKGADVEARTNKGVTALQIADSLHYAGISRILIHGGATKDGMPQVAAMPVSIPFGNGKMGKENETKAVMKRKPSRARALRGSFDRSLPLAVI >EOY10991 pep chromosome:Theobroma_cacao_20110822:5:37355715:37357444:-1 gene:TCM_026255 transcript:EOY10991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMRYKEEKEAKNETFRKYLESSGVLDALTKVLVALYEQNEKPSSALEFVQQKLGGPTASEYEKLQTELSDLLAKYNELLGKHEETCKELVELKSLHSSPSAKETTDGEALKDKDEV >EOY10990 pep chromosome:Theobroma_cacao_20110822:5:37355627:37357380:-1 gene:TCM_026255 transcript:EOY10990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMRYKEEKEAKNETFRKYLESSGVLDALTKVLVALYEQNEKPSSALEFVQQKLGGPTASEYEKLQTELSDLLAKYNELLGKHEETCKEVLAQKEFRLTDPCCTASTLKFIGSLCPALLNDIIPNFFTLSVSSSLNGSKKLVELKSLHSSPSAKETTDGEALKDKDEV >EOY06961 pep chromosome:Theobroma_cacao_20110822:5:44996:54741:-1 gene:TCM_021523 transcript:EOY06961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heteroglycan glucosidase 1, putative MERKNALILEASLPQLSRPAFTTSFYSYELPLNIPIDGSKQEVWPDSGEQLYEGNLLPNGEWMLVDKCLGLGLINRFHVSDVYKCLIHWGIGTVNLELWSEDRPVSKQSPLRAFHEYEVIGTP >EOY07449 pep chromosome:Theobroma_cacao_20110822:5:1612212:1615618:1 gene:TCM_021880 transcript:EOY07449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco activase isoform 2 MATVVSAVGAVNGVPVLCFFPPYFAFLSSHYRSISRLCRPFNCLFYSFYRLSNFWVLLQLGEQNGKRMNLQTVNSFLKDMKSVVLLQSCGAVVKKRASLLQWNLNGSKPAAASLPSSAFLGNTLKKVTSKISHRKVSPKNFKVVAEYDESKKTSKDRWKGLAYDESDDQQDITRGKGMVDTLFQAPMGTGTHHAIMSSYDYISQGLRMYNLDNTMDGFYIAPAFMDKVVVHITKNFLSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINSIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCSGIFKTDRVPHEDSVKLVDTFPGQSIDFFSALRARVYDDEVREWVSEVGVQNVGKRLVNSKEGPPTFEQPKMTLEKLLEYGNMLVQEQENVKRVQLADKYLKEAALGEANDDAIKNESFYGKAARQVNLPVPEGCTDPSATNFDPTARSDDGSCVYS >EOY07450 pep chromosome:Theobroma_cacao_20110822:5:1606276:1614657:1 gene:TCM_021880 transcript:EOY07450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco activase isoform 2 MSLSRELQWNLNGSKPAAASLPSSAFLGNTLKKVTSKISHRKVSPKNFKVVAEYDESKKTSKDRWKGLAYDESDDQQDITRGKGMVDTLFQAPMGTGTHHAIMSSYDYISQGLRMYNLDNTMDGFYIAPAFMDKVVVHITKNFLSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINSIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCSGIFKTDRVPHEDSVKLVDTFPGQSIDFFSALRARVYDDEVREWVSEVGVQNVGKRLVNSKEGPPTFEQPKMTLEKLLEYGNMLVQEQENVKRVQLADKYLKEAALGEANDDAIKNESFYG >EOY10224 pep chromosome:Theobroma_cacao_20110822:5:33556748:33560535:-1 gene:TCM_025580 transcript:EOY10224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 87, subfamily A, polypeptide 6, putative MVACFFIFIFISISISVLLKLIFNYLTKTRKPSRNLPPGPLLLPIIGNLLLFRKSFNDLQCILQSLHARFGPIITLHFGSRPAIFVDDRFIAHQALVQNGAIFADRPPALDGREQVVITTALHGSTWRVLRRNLTAEMLQSSRVKSYSYARKLVLERLSKLLKVQSKSGDHPVQVMEHFRFSMFSLLVFMCFGDKLEEKKIKEIADVERNLMLSFSQVSMISIWPRATKILFRKQWKKIFELQEKSKDLIVPLILERKKVMEEKLSAGGNQINKYVLSYVDTLLDLQLPEENRNLSVEEILGLCSEFLNGGTDNTSTLLEWIMANLVKYPHIQEKLFMEIKGVMGDDEEMVKEDDLQKMPYLKAVILEGLRRHPPLRFLMPHAVTEDVVLNGYLVPKNGTVNFMIGDMGWDQKLWEDPMSFKPERFLGTDNKDGEGFDITGSKEIKMIPFGAGRRICPGYALAILHLEYFVANLVWHFEWKAVDGDNVNMEEKHEFSVRMKYPLQALISSRF >EOY08653 pep chromosome:Theobroma_cacao_20110822:5:15398608:15405989:-1 gene:TCM_023542 transcript:EOY08653 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MPTEAAQALAAFFAAMASQAQTGQVPPVVPPTTPLVPPPVQDVSISKKLKEARQLGYVSFTDKRARTWWNSVKSHSATPQTWSDFLKEFDEEYETRFNKLMLYVPNLVRSEQDQANYFEEGLRNEIRERMTVTGREPHKEVVQMALRAEKFATENRRIRTEFAKRRNPGMSSSQLVKRGKDSATSGSTTSMGHIRSNCPWLGRATVAASSPPARTNMQRRDSSGLSPRQGVAIQFGVESNTSTHPPSRPQTRTATRVFAVTEDEARVRPGAVTGTMSLFDKDAYVLIDSGSDRSYVSTTFASIADRNLSPLEGEIVVHTPLGEQLIRNTCYRDCGLTAHRANVDYFRKEVVLRNSDGAEIVFVGEHRVLPSCVISAIKASKLVQKGYPTYLAYVIDTSKGEPKLEDVPIVSEFLDVFPDDLPGLPPDRELEFPIDLLPDTAPISIPPYRMAPAELKELKVQLQDLVDKGFIRLSISPWGALVLFVKKKDGTLRLCIDYRQLNRVTIKNKYPLPRIDDLFDQLRGAMVFSKIDLRSGYYQLRIKEQDVPKTAFRMRYGHYEFLVMPFGLTNALAVFMDLMNRVFHPYLDKFVIVFIDDILEVVFLGHVVSGAGIYVDPKKIQAILQWEQPRMVTEISSFLGLVDYYRRFVQGFSLIAAPLTRLTHKGVKFEWDDVCENRFQELKNRLTSTPVLTLPVSGKEFVVYSDASKLGLGCVLMQDEKLNNGEDGTLLASFVVRPSLLNQIRELQKSDDWLKQEVQKLQDGEASEFRLSDDGTLMLRDRICVLKDDQLRRAILEEAHSSAYALHLESTKMYRTIKESYWWPGMKRDIAEFVAKCLTCQQIKAEHQKLSGTLQPLPIPEWKWEHVTMDFVLGLLRTQSGKDAIWVIVDRLTKSAHFLAIHNTYSIEKLVKLYIDEIVRLYGVPISIVSDRDPRFTSRFWSKFQEALGTKLRFSTAFHPQTDGQSERTIQTLEDMLRACVIDFIGSWDRHLPLVEFAYNNSFQSSIGMAPYEALYGRKCQTPFCWDEVGERKLVNVELIDLTNNKVKVIRERLKTAQDRQKNYLDKRRKDLEFEVDDKVFLKVSPWKGNNLKFPSGESSDKGKEIASED >EOY10910 pep chromosome:Theobroma_cacao_20110822:5:36964762:36968201:-1 gene:TCM_026186 transcript:EOY10910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MGYAATPRSSPVEICHFHGSPWGLKQPGLCCSSWKYSTVKVSTCHFTCSIKYSRRSQSCLPWKVDNGSEREAFSLQFRALQRSVCIDRNEIDQDEWGRSEDHISRVKRKFKEQINSKKLPNSVTSVFDGPFVRNDEETNNNILQKFCSNGRLKDASRLIEIMARQNQIPHFPSCINLIRGFLKVDELDKAAKVLQVMIMSGGVPDNITYNMMVRGLCKRGWIRAAIDLLEDMSLSGCPPDVITYNTIIRCMFDNGIFDQAVGFWKDQLRKGCPPYLITYTILIELVCKHCGTVRAMEVLDDMAIEGCYPDIVTYNSLVNFTCKRGKYEDAALVIYNIISHGMEPNAITYNTLIHSLSSHGCWDEVDEILAIMKETSHPPTVVTYNILINGLCKYGLLERAINFFDQMVSQNCSPDIVTYNTLLGALSKEGMVEEALQLLQFLSGSSCSPGLITYNTVIDGLTRSGDMEKAMGLYNKMLEDRISPDDITHRCLVWGFCRADQFERAVETLRVMGEREHRVGNSAYKMVIHGLCKKEKVDLAIQVLEMMVSSRCKPDETTCWTIIKGIADAGMMEEANKLRQKLMEWKVFRERTLLS >EOY08943 pep chromosome:Theobroma_cacao_20110822:5:23672985:23678957:-1 gene:TCM_024237 transcript:EOY08943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPFVSLLSMSSLLTLVEQSRGSHVHHVKLDGGSSKQELYLSKASYTFAISDSSFAIPPDYDIWSEVRYCISEPFNLSKEREKGYFDANGNFVEYVNNNEIKDAWLDNIEDDIKYIGKTSGTTNVAVFTHIC >EOY11532 pep chromosome:Theobroma_cacao_20110822:5:39534620:39536785:-1 gene:TCM_026676 transcript:EOY11532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein isoform 2 MSSSLTSLVPSDVKTLSEFGNFREHSNPNIAVDLQSKNLHTSSEFFFLQPVYSLSMVTLVTIFGLLGNITTGLVYLSPAKTFWHIVQRGSTEEFDSLPYVVKLLNGYMWVYYGLVKPNSILVATINGFGAVLELIYVIIFLILAPPRMRVITAILFGILDVVFPVAVVLISQLSFNREMQINISGFLSLLFSVATYGSPLSIMKTVVTTKSVEYMPFLLSFILFINGLTWTVYAVLTRDWFIGIPNGSGFVLGTAQLVLYAMYWKPKQPKRTSDNVEDDWQHEHLIADSGPSLKNNESRADA >EOY11533 pep chromosome:Theobroma_cacao_20110822:5:39534332:39536788:-1 gene:TCM_026676 transcript:EOY11533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein isoform 2 MSSSLTSLVPSDVKTLSEFGNFREHSNPNIAVDLQSKNLHTSSEFFFLQPVYSLSMVTLVTIFGLLGNITTGLVYLSPAKTFWHIVQRGSTEEFDSLPYVVKLLNGYMWVYYGLVKPNSILVATINGFGAVLELIYVIIFLILAPPRMRVITAILFGILDVVFPVAVVLISQLSFNREMQINISGFLSLLFSVATYGSPLSIMIPNGSGFVLGTAQLVLYAMYWKPKQPKRTSDNVEDDWQHEHLIADSGPSLKNNESRADA >EOY08221 pep chromosome:Theobroma_cacao_20110822:5:5764538:5768122:1 gene:TCM_022567 transcript:EOY08221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MAGVNRKISAASARAHTRRAKENTSFKLPSGIFTKILLVLSVGILAWAYQAIQPPLPKTCGSPDGLPVTASRIKLKDGRHLAYKEHGVPRDKAKYKIVFVHGFDCCRHDAVVATTLSLEIVETLGVYIVSFDRPGYGESDPNPRRTVKSMALDIEELADQLGLGSKFYVIGFSMGGQVIWSCLKYIPHRLAGATLVAPVVNYWWSGFPTNLSKEAYHQQLPQDQWTLRVSHYASWLTYWWNTQKWFPSSSVIAHSTDILSSQDKELLPKIVSTRNHRALVRQQGEYESLHRDLNIGFGTWEFSPMDLDNPFPNNEGSVHLWHGDEDRLVPVTLQRYIVQQLPWIHYHELPGAGHMFPHADGMSNNIVKALLVGEKETPS >EOY08204 pep chromosome:Theobroma_cacao_20110822:5:5582976:5587284:1 gene:TCM_022545 transcript:EOY08204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatases superfamily protein MSSSNLVLCYIMLTDSYKMSATSNYRFVRGNTLGKKKRRNRSSNSYYWLSWHLFTCEPAFFFFTVLFLVFFDCLVLVVMAVIKGPFLVFTLATAFAGFIGCAVSYDRPLARKDISMPQPKELSPTSPQQVHISAVGPDRMRISWITESSAPAIVEYGTSPVAYSESATGSTSSYDYLVYKSGEIHDVVIGPLNPNTVYYYRLSSDSTREFSLKTPPADFPIKFAVVGDLGQTGWTNTTLAHIGQSNYDMLLLPGDLSYADFLQPLWDSFGRLVEPLASQRPWMVTQGNHEVEKIPIVHSTPFTAYNARWHMPFEESGSNSNLYYSFDVSGVHVIMLGSYTDFDPDSDQFKWLQADLRKIDRRKTPWIVAIIHAPWYNSNSAHQGEPESDLMKESMEGLLYKAGVDIVFAGHVHAYERFTRVYNRKADDCGPVHITIGDGGNREGLASEYMDPMPEISMFREASFGHGQLEVLNATHAVWKWHRNDDDVSVVADTFWFRSLSSDPACKV >EOY08983 pep chromosome:Theobroma_cacao_20110822:5:24517551:24518728:1 gene:TCM_024320 transcript:EOY08983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLLRQLLLLLLPCSRFLTFHGSLLSNLHRFSQVNLDFHAFVHLFAKSFVLSYYFTLKPSKAFPQYFLSLVGHFREKKRDFSQEFESF >EOY08858 pep chromosome:Theobroma_cacao_20110822:5:22292965:22297310:1 gene:TCM_024110 transcript:EOY08858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein isoform 1 ALRRVANPLKDRRISIQASAKLQISSNYIDGKAVIFESSQTISDKRPSLLRFYHSRHASCNFSVGRCGLSSQAGAESSGEEDELEDGFSELETPATAEKKENCSAQDKAEDGLISDPELSGDEEDIEETAKNELELSEDETDLSDKKSSTRRIVSELFKAVIAAPGLSVHKVLDKWLEEGKAFNRTEISVAMLNLRKRRMYGRALQLSEWLEANKQLDFTERDYASRLDLIAKVRGLLKAEMYIEKIPKSFRGEVIYRTLLANCVVANNVKKAEEVFNKMRDLELPITSFSCNQLLLLYKRLDKKKIADVLLLMEKENVKPSLFTYKILIDTKGLSNDITGMDQIVETMKAEGVEPDIHTQSILAKHYVSGGLTEKAVEVLKGMEGDNIKENRWACRFLLPLYADLGKAVEVERVWKVCESNPRLEEYMAAIEAWGKLNKIEEAEAVFEMMLKTWKKLPARYYASLLKVYSNHKMLQKGKDLVKRMADDGCQIGPLTWDALVKLYVEAGEVEKADSILQKACQQNQVKPMFSSFMAVMEQYSKRGDIHNSEKMFHRMRQAGYMARLRQFQSLVQAYVNAKAPAYGIRERMKADNIFPNKALATQLAQVDAFRKNAASDLLD >EOY08857 pep chromosome:Theobroma_cacao_20110822:5:22292727:22297556:1 gene:TCM_024110 transcript:EOY08857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein isoform 1 MWALRRVANPLKDRRISIQASAKLQISSNYIDGKAVIFESSQTISDKRPSLLRFYHSRHASCNFSVGRCGLSSQAGAESSGEEDELEDGFSELETPATAEKKENCSAQDKAEDGLISDPELSGDEEDIEETAKNELELSEDETDLSDKKSSTRRIVSELFKAVIAAPGLSVHKVLDKWLEEGKAFNRTEISVAMLNLRKRRMYGRALQLSEWLEANKQLDFTERDYASRLDLIAKVRGLLKAEMYIEKIPKSFRGEVIYRTLLANCVVANNVKKAEEVFNKMRDLELPITSFSCNQLLLLYKRLDKKKIADVLLLMEKENVKPSLFTYKILIDTKGLSNDITGMDQIVETMKAEGVEPDIHTQSILAKHYVSGGLTEKAVEVLKGMEGDNIKENRWACRFLLPLYADLGKAVEVERVWKVCESNPRLEEYMAAIEAWGKLNKIEEAEAVFEMMLKTWKKLPARYYASLLKVYSNHKMLQKGKDLVKRMADDGCQIGPLTWDALVKLYVEAGEVEKADSILQKACQQNQVKPMFSSFMAVMEQYSKRGDIHNSEKMFHRMRQAGYMARLRQFQSLVQAYVNAKAPAYGIRERMKADNIFPNKALATQLAQVDAFRKNAASDLLD >EOY07055 pep chromosome:Theobroma_cacao_20110822:5:335351:337988:-1 gene:TCM_021586 transcript:EOY07055 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein MSQATFTQEGQKTQSFGLLYGHTIPAVGLGTRRSGSQAENSVFTAIVEAVYRHIDTAWEYGVQEDVGRATKAAIHAGLERRNLFIASKLWCTDLCPERVQPALKNTLQELQLEYLDLYLIHCPFRLRDEPAGLPRLGIYRISAWKWFGEKWKSLSRKTLLETLMEMHPGWRNDKMLEACRKNGIHVTAYSPLGSSAGGRDLIHDQTVERIANKLTKTTGQVLVKWALQRGTSVIPKSSNPDRIKENIKVFGWQLPEEDFQALCNIPDERRVLNGAELFVNKDVGPFRSVADLWDYED >EOY09907 pep chromosome:Theobroma_cacao_20110822:5:31628441:31632157:1 gene:TCM_025275 transcript:EOY09907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase MELKWVVAVFHPWKPSELHTLPLLLLIILPLCSFNLSQCFDLDPEDKASLLLFRSSVKDPGQSLETWVGSNCTSWTGISCENRTGRVVSVNLANMNLSGKVHPGLCELSFLEYLKLSQNNFNGTIPTCFGSLGSLKTLDLSHNSFSGVLPDDIMRLRQLTELVLNANRELGGVLPWWIGNFSSNLEKLDLSSNSFHGEIPESVFYLKSLKHLDLGDNHLSGNIHEYYQSLEFLNLASNRLSGTLPCFSASTQSLTVMILANNSLVGGIPTCIASLEALTHLNLSFNHLSYGLSPRLVFTEKLLVLDLSFNDLSGPLPSKIAEATEKSGLVLLDLSHNHFSGKIPLRITELKSLQALFLSHNLLTGAIPARIGKLTYLQVIDLSHNSLSGSIPLDIVGCFQLLALMLNNNNISGELQPELDALDSLKILDVSHNKISGEIPLTLAGLKSLEIVDLSSNNLSGALNDAITKWSNLKYLSLAQNKFSGNISSWLFTFGAIQMIDLSGNKFSGVIPDVSFNISFHNNSGNSDRAPKEPFIAMRNAEIKISVMVIDSSELSFNYKVSSTVGIDLSDNLLRGEIPDVLFEVEGLEYLNLSYNFLDGELPSLEKMWNLRVLDLSHNSLSGQIPANISTLKDLVFLNLSYNSFSGSVPDNQGYQRFPGAFAGNPDLCVESSGDGCYRASPTVVPGRTFEEVEGPILVWVFCVSAFVSFYFGVVALFCSARARSYILQTKF >EOY07965 pep chromosome:Theobroma_cacao_20110822:5:3661893:3664492:1 gene:TCM_022295 transcript:EOY07965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase 7 isoform 2 MKHQSKPIFVSVFLIFAVLFLSYSASVSAQEVEDEREFDYLENSGKGPSQWGDLKKEWVACKNGGLQSPIDMSSLRVKVINKSGELKKRYKPCHAIVKNRGHDISLQWPNYDAGSIKTNGTEYFLQQAHWHSPSEHTINGRRYALELHMVHQCKDPNVKNNLAVVGLLYKFGAPDAFLSKLIGNITSMNDHVQERYMGLIDPSLIKMGGKKYYRYMGSLTVPPCTEGVIWTMNKKIRTVSRDQVRALRIAVHDYAEANARPVQPLNRREIELYGRNPGDVPN >EOY07966 pep chromosome:Theobroma_cacao_20110822:5:3662136:3663992:1 gene:TCM_022295 transcript:EOY07966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase 7 isoform 2 MKHQSKPIFVSVFLIFAVLFLSYSASVSAQEVEDEREFDYLENSGKGPSQWGDLKKEWVACKNGGLQSPIDMSSLRVKVINKSGELKKRYKPCHAIVKNRGHDISLQWPNYDAGSIKTNGTEYFLQQAHWHSPSEHTINGRRYALELHMVHQCKDPNVKNNLAVVGLLYKFGAPDAFLSKLIGNITSMNDHVQERYMGLIDPSLIKMGGKKYYRYMGSLTVPPCTEGVIWTMNKKVSKSSHP >EOY10733 pep chromosome:Theobroma_cacao_20110822:5:36165740:36169191:-1 gene:TCM_026033 transcript:EOY10733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MWGIRFTRSKVYVFSVFANTHLRTIHLKPTQYRNPIFETVGTRRTVANIYPMNFCFPFQLFSFCTSNIGFPSGPKIETFDEHSDNDNDDGEDSENFDGNSVNGSSLSDEAVQDGKAIMDIIRETGSNYVEMKNKLEHCRIRVSSELVVEILSRIRNDWEVAFTFFLWAGKQPGYAHSLRECHSMISILGKMRKFDTAWALIDEMRGGRAGPCLVTPQTLLIMIRRYCAVHDVGRAINTFYAYKKFKFDVGIEEFQSLLSALCRYKNVQDAEHLMFCNKDVFPFNIKSFNIILNGWCNAIGSPRQAERVWREMSKRGVQHDVVSYASVMSCYSKACNLHKVLKLFSQMKRMGIEPDRKVYNAVIHALAKARHVKEAINLLKTMEEKGIAPNVVTYNSLIKPLCKAQKIDEARQVFDEMLQRDLSPTIRTYHAFFRILRNGEEVFELLEKMRKMGCQPTNDTYIMLIRKFSRWCQFDNVFKLWNEMTEDGVGHDRSSYIVLIHGLFLNGKLDEAYKYYTEMKDKQLLPEPKIDEMLQAWVSGKQFAERQMADLKNNQLLDNQLDEQVRVESKKIDQEKDFLRLPETRRVIRERGFSFWEQSVSM >EOY08586 pep chromosome:Theobroma_cacao_20110822:5:12604990:12617304:1 gene:TCM_023288 transcript:EOY08586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLEVVMVVCLACDSCLLCDDDYDAGRDDGKMGWRFCPTCDSRLLCDDDYVRASKTMGDAVLWDIALDDDCDTVHGEIALDNDFYILLVALALGMIYFFVGWPLCVIY >EOY08008 pep chromosome:Theobroma_cacao_20110822:5:3897649:3898843:1 gene:TCM_022327 transcript:EOY08008 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 73C1-like protein MLLSLVSTFDFSNSKFHQIRLASLPEGSENMDQLPTRDLVKKFFKAASMLKQPFEQLFNELQSRPSCIIPEASKVHETMSKFESFLVPGLPHRMELTKAQLPENLNPGSNDLTNMRGNIRAVELIADGIVVITFEELESEYVKEYKIVKGDNVWCIGPLSACNKLNSDKAERGQKASIDDNQCLKPWLDSKKPVSVIMPVLVAYLAAQLGNSWSLF >EOY07336 pep chromosome:Theobroma_cacao_20110822:5:1230527:1240479:-1 gene:TCM_021795 transcript:EOY07336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-7 MGRPVFLFACALLLLAASTASASKIVEHTFYVQNLTVNRLCNRQVINAVNGSLPGPTIRVREGDTLVVHVFNKSPYNMTVHWHGVFQILSAWADGPSMVTQCPILPGSKYTYKFKISKQEGTLWWHAHVSWLRATVYGALIIRPRAGHSYPFPKPYKEVPILLGEWWNANVVDVENEALATGAGPNISDAYTINGWPGDLYPCSQNQMYKLKVEQGKTYLLRIINAALNNQLFYKIANHKMTVVAIDACYTKPYVTDVVVAAPGQTLDVLLTADQPVGSYYMAARAYASAADGPNMITQCPIRPGNKYTYKFRITGQEGTFWWHAHVSWLRATVYGALVVRPRSGRSYPFPKPHREVPILLGEWWNANVIDVEQQAVATGGAPNLSDAYTINGWPGDLYPCSQNHMYKLQVETGKTYLLRIVNAALNNQLFYKIAKHKLRVVAVDAAYTKPYVTDVVVIAPGQTVDVLLAADQRVGSFFMAATPYASADGVPFDDTTTRGVVTYDGTPSSATPVMPDLPAFNDTPTAHKFFSNLTGLKGGPNWVEVPRKVDHKMFVTIGLGLEACPANTTCVGPNGVRPSASMNNQSFALPTTVSILQALFSNVGGVYTTDFPAKPPIQFDYTNATSVGNNPGLFFAPKATKVTKLKFNSTVEMILQNTAILGVENHPMHIHGFNFHVLAQGFGNYNPATDRKKFNLVDPQMRNTIGVPVGGWAVIRFTANNPGVWVMHCHLDVHLGWGLATAFVVENGPTPATTLPPPPADLPKC >EOY09810 pep chromosome:Theobroma_cacao_20110822:5:31133681:31141222:-1 gene:TCM_025184 transcript:EOY09810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein isoform 1 MGILPCLALVYKKIVPLIISSKDNNYKAQQQIQQENTRMALSFALGPYSSSVICRTTNINKHSHAQDLSYIRRAADLSDKSAGFTSPHPNFGCVIATRSGEVAGEGYLYAQGTKPAEGLAVEAAGERCRGATAYLNMEPGDCHWDHTAVSALVQAGITRAVVGIRHPLQHLRGNAIRALRSQGLQVDVLGEDMQSKIVEEARKACLLVNLPLIYRAASRVPFSVLKYAMTLDGKIAASSGHAAWISSKLSRNRVFELRGRSDAIIVGGNTMRRDDPRLTARHGGGHMPIRIVMSQTLDLPKEANLWDLSEVSTIVVTQRGARRSFQRFLASKGVEVVEFDILNPRDVMEYFHDRGYLSILWECGGTLAASAISSGVIHKVFAFVAPKIIGGKNAPSPVGELGMVEMSQALDLIDVCFEQVGPDMLISGFLQPIPDLTPTIPSEDETFAIDPTVIPFESSIIFFYKTWDPYGAFSNFSPHPIQMPDEDSNYATWSSVEHYYQANKFLGISNPMAQDCIEQIKLAKSPEEAARMGRLTQRRHPNLVRSDWESVKIDVMYRALKCKFSIYPHLNSMLLSTAGSVLVEASPHDLFWGGGRDGEGLNYLGRLLMQLRSEFLGESPAASESTCLAL >EOY09811 pep chromosome:Theobroma_cacao_20110822:5:31134024:31140830:-1 gene:TCM_025184 transcript:EOY09811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein isoform 1 MQSKIVEEARKACLLVNLPLIYRAASRVPFSVLKYAMTLDGKIAASSGHAAWISSKLSRNRVFELRGRSDAIIVGGNTMRRDDPRLTARHGGGHMPIRIVMSQTLDLPKEANLWDLSEVSTIVVTQRGARRSFQRFLASKGVEVVEFDILNPRDVMEYFHDRGYLSILWECGGTLAASAISSGVIHKVFAFVAPKIIGGKNAPSPVGELGMVEMSQALDLIDVCFEQVGPDMLISGFLQPIPDLTPTIPSEDETFAIDPTVIPFESSIIFFYKTWDPYGAFSNFSPHPIQMPDEDSNYATWSSVEHYYQANKFLGISNPMAQDCIEQIKLAKSPEEAARMGRLTQRRHPNLVRSDWESVKIDVMYRALKCKFSIYPHLNSMLLSTAGSVLVEASPHDLFWGGGRDGEGLNYLGRLLMQLRSEFLGESPAASESTCLAL >EOY09177 pep chromosome:Theobroma_cacao_20110822:5:26874185:26875496:-1 gene:TCM_024573 transcript:EOY09177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca-2+ dependent nuclease-like protein MKYSQTDKQKSRYILYQPLAHGTDCLECLLSFHSASFQNCKNQMSGIDTPKKATPYGKKAKDELIKLMCGKKLRVFVNDIDLYGRYVANMYCDGIFVQEVILRKGLAWHYSMYDHRLELAIISRQHPFHSYTSVENFLRTF >EOY08114 pep chromosome:Theobroma_cacao_20110822:5:4601272:4611479:1 gene:TCM_022433 transcript:EOY08114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Repressor of gene silencing 1 isoform 2 MSEQGQEQFEFQSPWVPATPFRPILPKPPVIHVGRQGNHISRANCIGSESCSSGFTQESQADGVFACSHSASCTEVNGGVNNLKAALVGSTCISGDSYGQRQCSLDLTELSNVPFADLLALANAASVASMSAASEGINRHHAECSSAGLLPVDVNLSAQQNIWIDGNCTPKKHQDVIPPQNYDLNLPVKAMDVHSYTGISGFAPITPDKATRAERNEDLEIENLYIENKTTEQREEQANELAAARVDVNGSQCSKELQKPVTESSLAAIPTKEIQNPDNGGSNLVDLDRTPQQKQRRKKHRPKVITEGKPRKISKPVTPKPSGSQENPTGKRKYVRKNRLNKDTSISPGEANGENSTRKRKYVRRKGLDKNSMIPTEEEIGEGATHPETLKHNKKSCRRVLDFDMEGQEKGESYACKSACNLNSSSGTENLGKGGSQSKSTMQICGGIEVAVENTQTGIAYELKDYISLPEDQAPGTPLLTKNNPPRRRRHTHSQKLNNMKGKDQATAHDGLRKNGQTVLQSDDQLPARSPNNSNCSSSSVLERGQASELKTNNSSATQQADSSTVISYGSHYNNLCIYQMIPGMQFSNIHRRKRTEKGQNSATSSTSSSITAAKSLVAAEACPVDNIQVNPHQFTSSGVPAKIQEAGRKFSMEVSPTFNCIMALSQTDGLKKKRTRGATRVRDLASLNGIAQCKRHPECCSSQSPVDYDMQEVGNSDRPHTSIEVLVTEMQAKLAKKKRTKKRNCLVNSACSSTSEAQMHNKLITSNQNQFSAKLLGAPPEVIWKKMFSIDALVEQFNHLDINRQGVLIAYQEQTAVVPYNMRYEEHNALVLYRDGTIVPFGPIKKRRPRPKVDLDEETNRVWKLLLENINSEGIDGTDEEKAKWWEEERRVFRGRADSFIARMHLVQGDRRFSPWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAAHFPLKSKSNKESYHQEETSLLNGAAFYILQPEDTIKWDTKTSMQPVGDQSSMTVNGSGHSAEKEVVNSKEFSGSTATVSSTNESKCKLLNSSGSGLNTYCDSTLNRSNMEIVGSGTECFKGDDETNDVLSSQNSVVSSENSVDLSLVQTTERTGSCSESNSEGVDQTKQPILDILNSSTSFVQLLQMVDSARLHEVYGHQNMSTSENSKVERSQFHNDQRENWDNSGPKSFTGEAIPSANYHPHLTLNSEVREIEHLEMFKEETRSSEASKTKDENVMKGQSPSTEESACQTMDQNDSTMCVQVALQSSSGNNQSSNNIQQDEMTDPHCQMGLLQDPRNLVESPTQNKEMLGHLNVSKHSEEILDITESTSAFDNQRSPQQKMQESNLYTCDSSADKELNGMNASTLKSKGRKAKKDKKDDFEWDSLRKQAEANGRKRERTEKTMDSLDWEAVRSADVNEIAKTIKERGMNNMLAERIKDFLNRLVRDHGSIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATENRTSDQNHAVIIDQLALPLPQPTEQSDRNLQLQAKSGVNNCDPIIEEPASPEPECKQVAEIDIEEMFCEDPDEIPTIKLNMEEFTQNLQNYMQNNMELQEADMSKALVALTADAASIPTPKLKNVSRLRTEHQVYELPDSHPLLKELDKREPDDPCKYLLAIWTPGETANSIQPPQRRCNSQEHGKLCDEMTCFSCNSIREAESQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVVFADHDSSLNPIDVPREWLWNLPRRMVYFGTSIPSIFKGLTTEGIQHCFWREDMFV >EOY08113 pep chromosome:Theobroma_cacao_20110822:5:4600471:4612294:1 gene:TCM_022433 transcript:EOY08113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Repressor of gene silencing 1 isoform 2 MSEQGQEQFEFQSPWVPATPFRPILPKPPVIHVGRQGNHISRANCIGSESCSSGFTQESQADGVFACSHSASCTEVNGGVNNLKAALVGSTCISGDSYGQRQCSLDLTELSNVPFADLLALANAASVASMSAASEGINRHHAECSSAGLLPVDVNLSAQQNIWIDGNCTPKKHQDVIPPQNYDLNLPVKAMDVHSYTGISGFAPITPDKATRAERNEDLEIENLYIENKTTEQREEQANELAAARVDVNGSQCSKELQKPVTESSLAAIPTKEIQNPDNGGSNLVDLDRTPQQKQRRKKHRPKVITEGKPRKISKPVTPKPSGSQENPTGKRKYVRKNRLNKDTSISPGEANGENSTRKRKYVRRKGLDKNSMIPTEEEIGEGATHPETLKHNKKSCRRVLDFDMEGQEKGESYACKSACNLNSSSGTENLGKGGSQSKSTMQICGGIEVAVENTQTGIAYELKDYISLPEDQAPGTPLLTKNNPPRRRRHTHSQKLNNMKGKDQATAHDGLRKNGQTVLQSDDQLPARSPNNSNCSSSSVLERGQASELKTNNSSATQQADSSTVISYGSHYNNLCIYQMIPGMQFSNIHRRKRTEKGQNSATSSTSSSITAAKSLVAAEACPVDNIQVNPHQFTSSGVPAKIQEAGRKFSMEVSPTFNCIMALSQTDGLKKKRTRGATRVRDLASLNGIAQCKRHPECCSSQSPVDYDMQEVGNSDRPHTSIEVLVTEMQAKLAKKKRTKKRNCLVNSACSSTSEAQMHNKLITSNQNQFSAKLLGAPPEVIWKKMFSIDALVEQFNHLDINRQGVLIAYQEQTAVVPYNMRYEEHNALVLYRDGTIVPFGPIKKRRPRPKVDLDEETNRVWKLLLENINSEGIDGTDEEKAKWWEEERRVFRGRADSFIARMHLVQGDRRFSPWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAAHFPLKSKSNKESYHQEETSLLNGAAFYILQPEDTIKWDTKTSMQPVGDQSSMTVNGSGHSAEKEVVNSKEFSGSTATVSSTNESKCKLLNSSGSGLNTYCDSTLNRSNMEIVGSGTECFKGDDETNDVLSSQNSVVSSENSVDLSLVQTTERTGSCSESNSEGVDQTKQPILDILNSSTSFVQLLQMVDSARLHEVYGHQNMSTSENSKVERSQFHNDQRENWDNSGPKSFTGEAIPSANYHPHLTLNSEVREIEHLEMFKEETRSSEASKTKDENVMKGQSPSTEESACQTMDQNDSTMCVQVALQSSSGNNQSSNNIQQDEMTDPHCQMGLLQDPRNLVESPTQNKEMLGHLNVSKHSEEILDITESTSAFDNQRSPQQKMQESNLYTCDSSADKELNGMNASTLKSKGRKAKKDKKDDFEWDSLRKQAEANGRKRERTEKTMDSLDWEAVRSADVNEIAKTIKERGMNNMLAERIKDFLNRLVRDHGSIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATENRTSDQNHAVIIDQLALPLPQPTEQSDRNLQLQAKSGVNNCDPIIEEPASPEPECKQVAEIDIEEMFCEDPDEIPTIKLNMEEFTQNLQNYMQNNMELQEADMSKALVALTADAASIPTPKLKNVSRLRTEHQVYELPDSHPLLKELDKREPDDPCKYLLAIWTPGETANSIQPPQRRCNSQEHGKLCDEMTCFSCNSIREAESQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIDVPREWLWNLPRRMVYFGTSIPSIFKGLTTEGIQHCFWRGYVCVRGFDQKSRAPRPLMARLHFPASKLTRGKGRGRGAGEDE >EOY08115 pep chromosome:Theobroma_cacao_20110822:5:4600471:4608722:1 gene:TCM_022433 transcript:EOY08115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Repressor of gene silencing 1 isoform 2 MSEQGQEQFEFQSPWVPATPFRPILPKPPVIHVGRQGNHISRANCIGSESCSSGFTQESQADGVFACSHSASCTEVNGGVNNLKAALVGSTCISGDSYGQRQCSLDLTELSNVPFADLLALANAASVASMSAASEGINRHHAECSSAGLLPVDVNLSAQQNIWIDGNCTPKKHQDVIPPQNYDLNLPVKAMDVHSYTGISGFAPITPDKATRAERNEDLEIENLYIENKTTEQREEQANELAAARVDVNGSQCSKELQKPVTESSLAAIPTKEIQNPDNGGSNLVDLDRTPQQKQRRKKHRPKVITEGKPRKISKPVTPKPSGSQENPTGKRKYVRKNRLNKDTSISPGEANGENSTRKRKYVRRKGLDKNSMIPTEEEIGEGATHPETLKHNKKSCRRVLDFDMEGQEKGESYACKSACNLNSSSGTENLGKGGSQSKSTMQICGGIEVAVENTQTGIAYELKDYISLPEDQAPGTPLLTKNNPPRRRRHTHSQKLNNMKGKDQATAHDGLRKNGQTVLQSDDQLPARSPNNSNCSSSSVLERGQASELKTNNSSATQQADSSTVISYGSHYNNLCIYQMIPGMQFSNIHRRKRTEKGQNSATSSTSSSITAAKSLVAAEACPVDNIQVNPHQFTSSGVPAKIQEAGRKFSMEVSPTFNCIMALSQTDGLKKKRTRGATRVRDLASLNGIAQCKRHPECCSSQSPVDYDMQEVGNSDRPHTSIEVLVTEMQAKLAKKKRTKKRNCLVNSACSSTSEAQMHNKLITSNQNQFSAKLLGAPPEVIWKKMFSIDALVEQFNHLDINRQGVLIAYQEQTAVVPYNMRYEEHNALVLYRDGTIVPFGPIKKRRPRPKVDLDEETNRVWKLLLENINSEGIDGTDEEKAKWWEEERRVFRGRADSFIARMHLVQGDRRFSPWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAAHFPLKSKSNKESYHQEETSLLNGAAFYILQPEDTIKWDTKTSMQPVGDQSSMTVNGSGHSAEKEVVNSKEFSGSTATVSSTNESKCKLLNSSGSGLNTYCDSTLNRSNMEIVGSGTECFKGDDETNDVLSSQNSVVSSENSVDLSLVQTTERTGSCSESNSEGVDQTKQPILDILNSSTSFVQLLQMVDSARLHEVYGHQNMSTSENSKVERSQFHNDQRENWDNSGPKSFTGEAIPSANYHPHLTLNSEVREIEHLEMFKEETRSSEASKTKDENVMKGQSPSTEESACQTMDQNDSTMCVQVALQSSSGNNQSSNNIQQDEMTDPHCQMGLLQDPRNLVESPTQNKEMLGHLNVSKHSEEILDITESTSAFDNQRSPQQKMQESNLYTCDSSADKELNGMNASTLKSKGRKAKKDKKDDFEWDSLRKQAEANGRKRERTEKTMDSLDWEAVRSADVNEIAKTIKERGMNNMLAERIKDFLNRLVRDHGSIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATENRTSDQNHAVIIDQLALPLPQPTEQSDRNLQLQAKSGVNNCDPIIEEPASPEPECKQVAEIDIEEMFCEDPDEIPTIKLNMEEFTQNLQNYMQNNMELQEADMSKALVALTADAASIPTPKLKNVSRLRTEHQV >EOY11280 pep chromosome:Theobroma_cacao_20110822:5:38796303:38801877:1 gene:TCM_046814 transcript:EOY11280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative MERESMEEGLLTGYQPNEPNPSSNGTGNLAMPLPPSTASSLRLVLVFSTFVAVCGSFCYGCALGYSSPAESGIMEDLGLSVAAYSVFGSIMTVGGIVGAILSGKLADLIGRRRTMWFSEVFCTAGWLAIAFGQNALWLDIGRLLIGFGVAMLCYVVPVYIAEITPKSLRGSFASTNQLMTTSGFAIVFFTGTFISWRVLAMISAVPCVAQIIGLFFIPESPRWLAKFGREKEFEAALKRLRGEDADISEEAADIRDYMETLQQHTEASFLELFQRRYANSLIVGVGLMLLQQLGGNSAMVFYAGSIFVEAGLSATIGLQVVAILQIPVAILGLFLMDRSGRRPLLMVSASGACFSCFLIGLSFCFKELPHLKALTPILALTGFLVVATAYTIGLGGIPWIIMSEIFPINVKAQAGSLVTLVNWSVSWIITYTFNFMMEWSSAGTFFFFSVVGGLTVLFAAKLVPETKGRTLEEIQASLTPFL >EOY08394 pep chromosome:Theobroma_cacao_20110822:5:7482519:7491668:-1 gene:TCM_022783 transcript:EOY08394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIGTSWRLSRAQWEFWVVTIYASIDDLKKVELWDDLGNKMNSFDVCWCLMGGFNVVEEKVGLIDNLRSLDDFVDFINFVQLVDFLMISSHFTWSNGRVFNRLNHFSVSFEFFDHVPNVSQIHLPNSLSDHNPVMICEKNVD >EOY08655 pep chromosome:Theobroma_cacao_20110822:5:15963759:15974615:1 gene:TCM_023563 transcript:EOY08655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDKVSPEVRFECTSRSGHHVRVRLNQRKERLCVRCKNMFMGMGHLTALAVSVGYLDEDGEQSTLVKVQKLCLETGIRSTSTPRTPWQTRLDALRKVSPSDSEGGPSQIPNNRIHRNLAEWVHNKESFESSQNFESESSSNILKTGIDFLLKQSEEYHREHTRKAIARGDIRLRKGVNVVRHFPLGYGRNAAPVSAEEIRRRQQAWIKEQRRKS >EOY11460 pep chromosome:Theobroma_cacao_20110822:5:39331712:39333152:1 gene:TCM_026628 transcript:EOY11460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLELAYTELLKLTWTIHDPFAFCPGKWEHQLQLQRQIALINWHTPLPKFRVGARWQGMQAVQVIKLNTASPWSEPVAATFILISFYQEKHHIVLH >EOY08007 pep chromosome:Theobroma_cacao_20110822:5:3862609:3864995:1 gene:TCM_022321 transcript:EOY08007 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 73C6 MASKLDQLHFVLIPLMSPGHLLPMTDMARLLAEHGVIVSIVTTPLNTIRFKSTIERAVESGLHIRLLQLQFPSDPLGLPEGCENMDQLPSRDLIKNFFKAASMLQQPFEQLFNELQPRPSCIISGKNLPWTVDTALKFNVPRIFFDGMGCFSFSCTHNLEVSKVHETMSKFESFLVPGLPHRIELTKAKLPENLNPGSNDLTNMRSNIRAVELIADGIVVNTFEELESEYVKEYKSVKGDNVWCIGPLSACNKLNSDKAERGQKASIDDNQCLKPWLDSKKPGSVIYACLGSISGLTTWQLVELGLGLEASNQAFIWVIRGGKKSEGLEKWIAEEGFEERVKDRGLIIGGWAPQIWILSHPAIGGFLTHCGWNSTMEGICAGVPIVACPLFAEQFLNEKLVVEVLGIGASVGVEAAVAWGMEDKFGLLMKREQVKQAIDKVMDKGNPGEERRKRAKELGMRATKAIEEGGSSYQNMEMLIKFVLERTRGG >EOY10605 pep chromosome:Theobroma_cacao_20110822:5:35569330:35571741:-1 gene:TCM_025930 transcript:EOY10605 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD domain protein, putative isoform 2 MEQENNKNEADDNTAEDLAKSQSSEEKGPNLLKAKSDTAKSMAKNFLKTRKATGTSEVQRRKKRKKNKSLSEGSKETEEDAQKLNSREENQQNATTSTEHVEKGQQIRKNQGNTCASDSQEKRGKPNDNPKKRRREEKPGVSNKNRKNEERQKGKEKIKQNEQKENHNNSEKNKKKEMRHGKEKKQENKKREKIDGVIFMCNAKTKPDCFRYRVMGVSTSKKDLVLGIRPGLKLFLYDYDLKLMYGIYKASSSGGMKLEPKAFGGAFPAQVRKLTELFRPVAVHSTALPAISPSRAGGRIPVRHENREAHDRAREARPPSEREASARDPYTNARTCAVLSHERDQQIGYGELVFTRREDIRHDLYLSEKEYRAYGLQGERRNLTLQHHVAPTSSSYRRDYGEQLLRQPDTVYGESVPMQRDIIRSDPPYLTEREYRTYDLGATQDMQSTVSPTTTTNTSVAAASTLDSFAMDSYYSRYYGVPLLDSYLPRPRGQANLIETDHLRRRESKEVDELYPTYDSNALAEYNQMHRYHQVVKPEASSTSVSSRYSFPGATLSYR >EOY10604 pep chromosome:Theobroma_cacao_20110822:5:35569384:35573430:-1 gene:TCM_025930 transcript:EOY10604 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD domain protein, putative isoform 2 MEQENNKNEADDNTAEDLAKSQSSEEKGPNLLKAKSDTAKSMAKNFLKTRKATGTSEVQRRKKRKKNKSLSEGSKETEEDAQKLNSREENQQNATTSTEHVEKGQQIRKNQGNTCASDSQEKRGKPNDNPKKRRREEKPGVSNKNRKNEERQKGKEKIKQNEQKENHNNSEKNKKKEMRHGKEKKQENKKREKIDGVIFMCNAKTKPDCFRYRVMGVSTSKKDLVLGIRPGLKLFLYDYDLKLMYGIYKASSSGGMKLEPKAFGGAFPAQVRFSVQADCFPLAESIFKKAIKENYNEKRKFKTELTARQVRKLTELFRPVAVHSTALPAISPSRAGGRIPVRHENREAHDRAREARPPSEREASARDPYTNARTCAVLSHERDQQIGYGELVFTRREDIRHDLYLSEKEYRAYGLQGERRNLTLQHHVAPTSSSYRRDYGEQLLRQPDTVYGESVPMQRDIIRSDPPYLTEREYRTYDLGATQDMQSTVSPTTTTNTSVAAASTLDSFAMDSYYSRYYGVPLLDSYLPRPRGQANLIETDHLRRRESKEVDELYPTYDSNALAEYNQMHRYHQVVKPEASSTSVSSRYSFPGATLSYR >EOY09567 pep chromosome:Theobroma_cacao_20110822:5:29902431:29906157:-1 gene:TCM_024984 transcript:EOY09567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein MAKTQLQKLTATSFLILTFTILFSLTLATAKSHRFSRSISPETLGLKKEKLSHFHFYFHDVLSGPRPTAVRVASATMTNTSATLFGSMVMMDNPLTVAPEFDSKLVGRAQGIYASASQTEVGLLMVLNIAFTQGKYNGSVLSVLGRNPVFSTVREMPIVGGSGLFRFARGYAQAKTHSVDLNTGDAVVEYNVYVFHY >EOY08214 pep chromosome:Theobroma_cacao_20110822:5:5658659:5660332:1 gene:TCM_022556 transcript:EOY08214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane Yip1 family protein isoform 2 KMTKEFSVPPVVFRSGGGVNPPAGNVNQRRVPTAPFQPSRPPSSSSSAIPFMSFDIGSAASSSGSFGGTISTSGASGSASFDDEEPLLDELGIHPGQIWHKTKSILNPFRVNPTAHKDSDLSGPIFLYLSLCLFQLLAGKIQFGVILGWIVVSSIFLYIVFNMLAGRNGNLDLHTCTSVVGYCMLPVVILSAASLFIPQGSSVPRWCGCWGYWH >EOY08213 pep chromosome:Theobroma_cacao_20110822:5:5658664:5659900:1 gene:TCM_022556 transcript:EOY08213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane Yip1 family protein isoform 2 MTKEFSVPPVVFRSGGGVNPPAGNVNQRRVPTAPFQPSRPPSSSSSAIPFMSFDIGSAASSSGSFGGTISTSGASGSASFDDEEPLLDELGIHPGQIWHKTKSILNPFRVNPTAHKDSDLSGPIFLYLSLCLFQLLAGKIQFGVILGWIVVSSIFLYIVFNMLAGRNGNLDLHTCTSVVGYCMLPVVILSAASLFIPQGSSVPRWCGCWGYWHSSRQQFIHEGKALGKPEENFLFGP >EOY11006 pep chromosome:Theobroma_cacao_20110822:5:37404323:37410345:-1 gene:TCM_026265 transcript:EOY11006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intracellular protein transport protein USO1, putative MVAVLKLALDYCYIVGGLEFALFQAIGILFQNYVIFWGMASGGISSVNSQKISHRFTRALSSAFLEWLLIFMLFIDAIVSYLITKFAHKCKLQTPCLLCSRLDHVLGKEKQKFYWDLVCGDHKLEISSLVYCHSHNKLVDVHGMCESCLFSFATINKSNAETYRLLVGKLGEDSDCGLVEDPSLEDHKHGHSTMRHCSCCNEPWMPKGYVKTLIQTQSTVSQAAEFDLPLPVAVEHGKDEQNRSDNALISDRATQQRKSRADPLSHVAYSELKITSDSESELSSDAGDEEDALILETDHVKSDIADQHMQPESCTITVSEDFASEKLIDPVSETKPSIFISQSQQDIIEPHGIKSVESTDSSGRDLEELNWKQASSKTKPSAFAELISLDDVPLSPNGGEAYIDVSTKMNLNSLDKVPPSSDAGEIPIQGLEDGKLISLDHVSSLSFGNETPVEVSKESKPISIDDVSRSNVVAPSVEGLKESSGEVDVSPSNVVAPSVEESKESSVMRTLEVEKKSVTECEEICKSREQPFPVPESPVETNHVASDTSVQVPNSLDLSDAYKLAVGSRGRQLSGKFEQWIGKDSSRLSEDLKVLLSQLSATRGIEQSINDVSPRISVSPRISINSDELKASDSSTLNGMQILQKRISLERNESGLSIDGSIVSEIEGESLVDRLKRQVEHDRKLLNALYKELEEERNASTIAVNQAMAMITRLQEEKATLHMEALQSLRMMEEQAEYDMEALQKTNDLLAEKDKEIQYIEAELEFYRMKFPNESMLEDIVKSGYDLKARQVTVDHSEANGIEEMVGVPTEPVIEKPNVCCAVEETDLSFRDTNKGTMKNPLSGDE >EOY10340 pep chromosome:Theobroma_cacao_20110822:5:34265359:34267626:-1 gene:TCM_025713 transcript:EOY10340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHRMRPAYKMRAQALHRPNKWIKDRGPSMSQADPQIASESPCPETHSLSGGGVEQLELQGTVENDELKHTHSELCLAALLEETVVAKAERGFKKSRKKDIKGLLRRATSSNSDYYAAAAIVSDGDIMHHNEILKEAESVWEIGLHLGLSRNCPEEQFVNKIQKIVRQRNRRM >EOY09353 pep chromosome:Theobroma_cacao_20110822:5:28497460:28498532:1 gene:TCM_024776 transcript:EOY09353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIVYSFCGKHSGRYFLGEYQRGFDLFRIVVDVFVPCRSQKDEYNFAFVRYRHEREMLLAVETGNGMMFSSWRLRANRADTDERNVPGNGRSSAMNLVGNLDRSFKNVVVGDTVIKDEPWSLKRHMLATKNVHEVEDRVEKKLMQNNNDKEGVVKLNPNAKNECEVGDWVEKKN >EOY09827 pep chromosome:Theobroma_cacao_20110822:5:31225334:31229222:1 gene:TCM_025203 transcript:EOY09827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase family protein isoform 2 MGLKYSWSSWRFLALVFVVEFAFHGFSYGEKAPNISSIQEATSAPAVAYYDYIIIGGGTAGCPLAATLSGNANVLVLERGGSPYVNTTKIRTENFLSTLRDNSPESFSEAFISEDGVPNNRPRALGGGTVINAGVYSHAETFFLKQNGMDEALANDSYEWVERKLVYKPIVLQLQSAVRDGLLEAGVLPYNGFTYDHINGTKTVGSILDRNGNRHTAADLLEYADPKRIKVYLHAVVHKITFTRKDGSRPKAEGVIFYDAKGIRHTALLKNGSMSEIISSAGAMGSPQLLLLSGIGPAPQLEALGIEVVLHQAMVGQGMADNPQNSLVIPSPSPLEFSLPAIVGITKFGSYIESSSGFDYSALSVAQTLTNHFTTNFNQVFTHCYTLVDTVLVYKKLNQLECV >EOY09826 pep chromosome:Theobroma_cacao_20110822:5:31224867:31230606:1 gene:TCM_025203 transcript:EOY09826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase family protein isoform 2 MGLKYSWSSWRFLALVFVVEFAFHGFSYGEKAPNISSIQEATSAPAVAYYDYIIIGGGTAGCPLAATLSGNANVLVLERGGSPYVNTTKIRTENFLSTLRDNSPESFSEAFISEDGVPNNRPRALGGGTVINAGVYSHAETFFLKQNGMDEALANDSYEWVERKLVYKPIVLQLQSAVRDGLLEAGVLPYNGFTYDHINGTKTVGSILDRNGNRHTAADLLEYADPKRIKVYLHAVVHKITFTRKDGSRPKAEGVIFYDAKGIRHTALLKNGSMSEIISSAGAMGSPQLLLLSGIGPAPQLEALGIEVVLHQAMVGQGMADNPQNSLVIPSPSPLEFSLPAIVGITKFGSYIESSSGFDYSALSVAQTLTNHFTTNFNQDGESFMAFRDTITNLPTNLANIVEKVNGPISKGYLELRSTNVSDNPKVMFNYFQAPEDLRKCVQGMKTIINVVDSKSYSRFRYSNTTTQDLLNMMTRTRMLVSTRPNHPNSTDSLKQFCINTVRTLWHYHGGCQVGKVVDRDYKVFGVDNLRVIDGSTFNFSPGTNPQATLMMLGRYMGRRILQSRTR >EOY10139 pep chromosome:Theobroma_cacao_20110822:5:33165741:33171841:1 gene:TCM_025516 transcript:EOY10139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MRNLILRRFIQTTSSSLSRSSTSRSTLPFLLSSRRNAVSSPLVEEEGEKVVFPRESPGFSYGLNWALAGKGVIVKDKAFQNLKSSELQQKGATVSESLSGLPVHVRGILGGASAISKAQYSKLLKQVTTHMSSVSDIFVHDGAVGSSPKFDAKVRLISDGPSAVLKLSNVLWETPTRAVSHDSCPLTVYAATSISEAVGDAVGLGDQGSNGFIAADVERSSLILCGKAFADINGTKEALAALSGPVISARGGLPLAARLLVSGDSVILLFAPENAIQNCADLLVSADAGVVLSPQGVAPLFQTKKSSGDNLYKMPSAVILATSDSSGSIPSVAKLSPGQAAYQFLAGYQNGEFVPAYAKGPSCIDALDLAKALLSKLKEHQIPTFLVNIGEGGKSVTGKDLLKLVGSAKVAPFELKGGDLQGKYNAFLSGVDEGWDH >EOY10140 pep chromosome:Theobroma_cacao_20110822:5:33165510:33172400:1 gene:TCM_025516 transcript:EOY10140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 KTLIVGFSFSQSPSLWTPFSWRGFCHFSARKTKMRNLILRRFIQTTSSSLSRSSTSRSTLPFLLSSRRNAVSSPLVEEEGEKVVFPRESPGFSYGLNWALAGKGVIVKDKAFQNLKSSELQQKGATVSESLSGLPVHVRGILGGASAISKAQYSKLLKQVTTHMSSVSDIFVHDGAVGSSPKFDAKVRLISDGPSAVLKLSNVLWETPTRAVSHDSCPLTVYAATSISEAVGDAVGLGDQGSNGFIAADVERSSLILCGKAFADINGTKEALAALSGPVISARGGLPLAARLLVSGDSVILLFAPENAIQNCADLLVSADAGVVLSPQGVAPLFQTKKSSGDNLYKMPSAVILATSDSSGSIPSVAKLSPGQAAYQFLAGYQNGEFVPAYAKGPSCIDALDLAKALLSKLKEHQIPTFLVNIGEGGKSVTGKDLLKLVGSAKVAPFELKGGDLQGKYNAFLSVDEGW >EOY10141 pep chromosome:Theobroma_cacao_20110822:5:33167380:33172001:1 gene:TCM_025516 transcript:EOY10141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSSVSDIFVHDGAVGSSPKFDAKVRLISDGPSAVLKLSNVLWETPTRAVSHDSCPLTVYAATSISEAVGDAVGLGDQGSNGFIAADVERSSLILCGKAFADINGTKEALAALSGPVISARGGLPLAARLLVSGDSVILLFAPENAIQNCADLLVSADAGVVLSPQGVAPLFQTKKSSGDNLYKMPSAVILATSDSSGSIPSVAKLSPGQAAYQFLAGYQNGEFVPAYAKGPSCIDALDLAKALLSKLKEHQIPTFLVNIGEGGKSVTGKDLLKLVGSAKVAPFELKGGDLQGKYNAFLSGN >EOY07347 pep chromosome:Theobroma_cacao_20110822:5:1286302:1288910:1 gene:TCM_021805 transcript:EOY07347 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 85A3, putative isoform 1 MEQAEPQVPHVVFLPIPGLGHIKPMLKLAELLSRPSFQVTFINTQRIHDRLLSTDLLARYPEFQFLTIPDVVPRDRPRSGTMSPGDFLLAAGPVIKPALRQLFISLCKKKGRWQPATCIIEDGILSSSVIDVAEEFQVPVFAFRTSSACCIWTYFHVRKLVEEGDVPVVQDKDMDKPVTCIPGLENVVRRRDLPGIFRIERADDPGLEFFINDQTLVMPRAFAIIINTFDGLEATMISKLASVFTKMYTVGPLHGLSNARAKEETTSASSNSILWKEDRGCMFWLDSQPSRSVVFVSFGSFVGLTRDKMLEFGHGLVNSGYPFLWVIRPDSITGEVDPSLILGELKAMIEDNKGLMVSWAPQEEVLAHPAIGVFLTHSGWNSTLESVYAGVPMICWPAIGDQQINSRFVSDVWKIGLDMKDTCDRSTIEKMVRSLMEDKREEIMKSVNEIKKLAHETIKEGGSSFSNLEKLIDDIWSMSHFARKERSD >EOY07348 pep chromosome:Theobroma_cacao_20110822:5:1286073:1292357:1 gene:TCM_021805 transcript:EOY07348 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 85A3, putative isoform 1 MIQRIHDRLLSTDLLARYPEFQFLTIPDVVPRDRPRSGTMSPGDFLLAAGPVIKPALRQLFISLCKKKGRWQPATCIIEDGILSSSVIDVAEEFQVPVFAFRTSSACCIWTYFHVRKLVEEGDVPVVQDKDMDKPVTCIPGLENVVRRRDLPGIFRIERADDPGLEFFINDQTLVMPRAFAIIINTFDGLEATMISKLASVFTKMYTVGPLHGLSNARAKEETTSASSNSILWKEDRGCMFWLDSQPSRSVVFVSFGSFVGLTRDKMLEFGHGLVNSGYPFLWVIRPDSITGEVDPSLILGELKAMIEDNKGLMVSWAPQEEVLAHPAIGVFLTHSGWNSTLESVYAGVPMICWPAIGDQQINSRFVSDVWKIGLDMKDTCDRSTIEKMVRSLMEDKREEIMKSVNEIKKLAHETIKEGGSSFSNLEKLIDDIRLRSHLGHNNSEGKK >EOY07588 pep chromosome:Theobroma_cacao_20110822:5:2066155:2069877:-1 gene:TCM_021982 transcript:EOY07588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MAIESMDTRDEEAPLVADISQSETPKTRTRDVHILSCAFLLVFLAYGAAQNLETTVNAEGNLGTVSLGILYVSFAFFSLFASLVVRVLGSKNAVVLGTTGYWLYIAANLKPTWYTMVPVSVYLGFAASIIWVGEGTYLTSIARSHARDSNLHEATVIGNFNGEFWAMFALHQFVGNLITLAVLQNGAEGSSSNTTLLFIVFLCSMTLGTILMCFLCKINDKEEKVSADSSVSFSSAVVSRLKSVITPLLDIRMLLIIPLIAYSGLQQAFVWADYTKDVVNPILGEAGVGGAMAVYGAFDAICSLAAGRLTSGLKSITFIVCGGAFFQAVIFLWLLLKYSATSGVLGIVYPLLMAALLGIGDGVLNTQLSALLALLFKHDTEGAFAQLKVWQSSAIAVVFFLSPAITLQTMVMIMLVAIIVSLVSFLFLTVKVEKAFS >EOY07589 pep chromosome:Theobroma_cacao_20110822:5:2066360:2069761:-1 gene:TCM_021982 transcript:EOY07589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MAIESMDTRDEEAPLVADISQSETPKTRTRDVHILSCAFLLVFLAYGAAQNLETTVNAEGNLGTVSLGILYVSFAFFSLFASLVVRVLGSKNAVVLGTTGYWLYIAANLKPTWYTMVPVSVYLGFAASIIWVGEGTYLTSIARSHARDSNLHEATVIGNFNGEFWAMFALHQFVGNLITLAVLQNGAEGSSSNTTLLFIVFLCSMTLGTILMCFLCKINDKEEKVSADSSVSFSSAVVSRLKSVITPLLDIRMLLIIPLIAYSGLQQAFVWADYTKDVVNPILGEAGVGGAMAVYGAFDAICSLAAGRLTSGLKSITFIVCGGAFFQAVIFLWLLLKYRLVQCILIKLSIFNFH >EOY11730 pep chromosome:Theobroma_cacao_20110822:5:40151824:40152838:-1 gene:TCM_026814 transcript:EOY11730 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein, putative MPLGKYNCDYCEKQFQDTPSARKRHLQGLQHLRAKAQWYHSQNANDPNHSLTEPFAKGICNRFVKTGFCQFGDNCKYFHPKNDSRGANPQAAAAPAPGSVEGAGIGMSWGNLPPSLKPPPEGGYPPLPFVDWG >EOY10780 pep chromosome:Theobroma_cacao_20110822:5:36454203:36478357:1 gene:TCM_026086 transcript:EOY10780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 1 member 18 MKGVELFLVASAFLAFAWSLASASDPDPLQDFCVAINDTKDGVFVNGKFCQDPKLAKAEDFFYSGLNIPRNTSNPVGSTVTQVNVAQILGLNTLGISLARIDYAPYGGLNPPHTHPRASEILVVLEGTLYVGFVTSNPENRLITKVLYPGDVFVFPVGLIHFQLNIGKTNAVAFAGLSSQNPGVITIAKAVFGSNPPINPDVLTKAFQLDKNIVTSLQSRLQPINRHAFKRFTHPKQENLEDLNLVTCQATMKGVYFLASFLFLALASSFASASDPSPLQDFCVALNDTKNAVFVNGKFCKDPMLAKAEDFFFSGLNVPGNTSNQLGSKVTPVNVEQIPGLNTLGVSLARVDFAPYGGLNPPHTHPRATEILVVLEGTLYVGFVTSNPDNRLITKVLYPGDVFVFPVGLIHFQLNIGKTNAVAFAAFGSQNPGVITIAKAVFGSNPPINPDVLTKAFQLDKNVVKYLQSQFWWDNN >EOY10137 pep chromosome:Theobroma_cacao_20110822:5:33139457:33141385:1 gene:TCM_025514 transcript:EOY10137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of ribosome MNVFGLQERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVTIATGMELLSYSVCILLSSASLYILHYLHKPE >EOY09006 pep chromosome:Theobroma_cacao_20110822:5:24674651:24676114:-1 gene:TCM_024338 transcript:EOY09006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin heavy chain, putative MERIHVVVRTRPLSQEDAKTSPWKISANSIFIPNHSTKFEFDRIFGQDCKTEEVYEVRTKEIVAAAVRGFNGAI >EOY10037 pep chromosome:Theobroma_cacao_20110822:5:32543513:32547834:1 gene:TCM_025420 transcript:EOY10037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGGCTYKDMGGLGMVELGLKNRAILNKWLWRFEMSRKNLVLGMGTSGYGIELRCAIFGWETEQWSKFFMVIKDQVLEREFDDKLICKGKSWDEDMIFELVKVRIAWWTKAKWLNLNILVKDLMRFLNQGENHSFSAIARRQVACSRPNDGWIKFNIDSASRGNPRESSIGGILRDSRGTILDIFSKQGGKREPGPVAIGDVLTDDEEAIKIMFTKPMGVNEVNMTKVLAI >EOY07210 pep chromosome:Theobroma_cacao_20110822:5:841271:844346:-1 gene:TCM_021696 transcript:EOY07210 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein MVGPGRPLFVLFGSSIVQFSYSNQGWGAILADIYARKADIVLRGYSGWNSRRALKVLHQVFPKDAGAQPSLVIVYFGGNDSMEPHPLGLGPHVPLPEYVENMKKIAMHLKGLSDKTRVMFLSTPPVNEEQISQTLGVQGRSNEACQIYSEACLKLCKELDVEGIDLWTAIQQRADWKTACFTDGIHLSSEGSKIVAKEILKALREAKWKPSLYWRTLPTEFEEDSAYDPICQDGRSTLNISELEFSRSVQWE >EOY07128 pep chromosome:Theobroma_cacao_20110822:5:527020:527425:-1 gene:TCM_021640 transcript:EOY07128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCRPHPPALDLAINQQLENKTLVLELDPASLFMIMSISPTISPCSIALKTFDSLCSAYHYHPSLVLLCIAYIEPGPIQEE >EOY09588 pep chromosome:Theobroma_cacao_20110822:5:29986117:29992033:-1 gene:TCM_025000 transcript:EOY09588 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein isoform 3 EEQTSLWNKETVPKVMKIVSTRLPQRDLISLLLVSPWLHRTLLSSPSLWLAIDLREMNNAGDRLIAAVSLPRYQHVKQISLEFARDIDDGHLEILKNKCLDSLQGLESLNLNGCQKISDKGIEAITSCCHKLKVFSIYWNNLSERSLQLVADHYQELESLNLTRCVKMTDSGLQQILIRCSFLRSLNLYALSSFTDETYKKISVLSHLRFLDLCGAQNLSDEGLSCIAKCKNLVSLNLTWCVRVTDMGVIAIAEGCTSLEFLSLFGIVGVTDKCLEALSKFCSNTITTLDVNGCIGIKRRSRDDLLQ >EOY09585 pep chromosome:Theobroma_cacao_20110822:5:29986079:29992041:-1 gene:TCM_025000 transcript:EOY09585 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein isoform 3 MEGEEQTSLWNKETVPKVMKIVSTRLPQRDLISLLLVSPWLHRTLLSSPSLWLAIDLREMNNAGDRLIAAVSLPRYQHVKQISLEFARDIDDGHLEILKNKCLDSLQGLESLNLNGCQKISDKGIEAITSCCHKLKVFSIYWNVRATDIGVKHLVTNCKHIIDLNLSGCKNLSERSLQLVADHYQELESLNLTRCVKMTDSGLQQILIRCSFLRSLNLYALSSFTDETYKKISVLSHLRFLDLCGAQNLSDEGLSCIAKCKNLVSLNLTWCVRVTDMGVIAIAEGCTSLEFLSLFGIVGVTDKCLEALSKFCSNTITTLDVNGCIGIKRRSRDDLLQLFPYLSCFKVHS >EOY09586 pep chromosome:Theobroma_cacao_20110822:5:29986769:29992041:-1 gene:TCM_025000 transcript:EOY09586 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein isoform 3 MEGEEQTSLWNKETVPKVMKIVSTRLPQRDLISLLLVSPWLHRTLLSSPSLWLAIDLREMNNAGDRLIAAVSLPRYQHVKQISLEFARDIDDGHLEILKNKCLDSLQGLESLNLNGCQKISDKGIEAITSCCHKLKVFSIYWNVRATDIGVKHLVTNCKHIIDLNLSGCKNLSERSLQLVADHYQELESLNLTRCVKMTDSGLQQILIRCSFLRSLNLYALSSFTDETYKKISVLSHLRFLDLCGAQNLSDEGLSCIAKCKNLVSLNLTWCVRVTDMGVIAIAEGCTSLEFLSWGN >EOY09587 pep chromosome:Theobroma_cacao_20110822:5:29985573:29992118:-1 gene:TCM_025000 transcript:EOY09587 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein isoform 3 MEGEEQTSLWNKETVPKVMKIVSTRLPQRDLISLLLVSPWLHRTLLSSPSLWLAIDLREMNNAGDRLIAAVSLPRYQHVKQISLEFARDIDDGHLEILKNKCLDSLQGLESLNLNGCQKISDKGIEAITSCCHKLKVFSIYWNVRATDIGVKHLVTNCKHIIDLNLSGCKNLSERSLQLVADHYQELESLNLTRCVKMTDSGLQQILIRCSFLRSLNLYALSSFTDETYKKISVLSHLRFLDLCGAQNLSDEGLSCIAKCKNLVSLNLTWCVRVTDMGVIAIAEGCTSLEFLRDEAVMIYSSCSHI >EOY10403 pep chromosome:Theobroma_cacao_20110822:5:34511325:34514317:-1 gene:TCM_025764 transcript:EOY10403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance pump, putative MDESLLGSETNTSGELKGRVWLESRKLWRIAFPAMVTKLTSFGMFVITQAFIGHLSNVELAAYSLIQIITVRFAYGILLGMSSAPETLCGQAFGAKQCHMMGIYLQRSWIINLGAQTVMLPIFIFASPLLKLLGEEEDVANQAGYISVWFIPVLYAFLFNITIQKYLQAQLKNMIIGWLSAISLVLHVLLSWIFVTKLNWGIPGAMSSMIIANWFVVIGEFAYIFCGWCPDTWKGFTLACFTDLFPVLKLSISSALMLCLELWYYAVLVLLAGHMKNATTAISAFSICLNIIAWEFMLCLGFFASASVRVSNELGNGNAKAAKFSMKVIIVTSICIGLFFWALCLIFGHQLGFLFTSDEKVVETVSGLSVLLSFSVLLNSVQSVLSGGAVGAGRQSIVAYVNICSYYIVGVPLGVVLGYIAHLELKGLWIGMIIGVVIQTTVLGYITWRTNWEEQVKRASERLNKWFLRSSDESNGSPDQERLTE >EOY08657 pep chromosome:Theobroma_cacao_20110822:5:16171410:16195043:-1 gene:TCM_023575 transcript:EOY08657 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein, putative MKDRKKRTMNRNKSQVYDSSSVDMERCPPKPIPKRIYQVWKGNNKFCCGGRMIFGPDASSLFLTSFLIGCPAIAFCIKVAVITNQDDPVFNYHAFLGGLILTVLDFGFLLLTCSRDPGIIPRNLKSPPPESSEDSINYMTKSASMEWVSSKTPNLKIPRIKNVMVNGQTVKVKFCETCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGARNYPFFLCFISSSTALCIYVFVCSWVNILGQHCGLWTAMSRDILSVILIVYCFIAVWFVGGLTVFHFHLIFTNQTTYENFRYGYDKKENPFNKGILNNLKEVFLSKIPPAMINFRAWTADDDFPPVSTIRDVSGAGDFIASKEKFDMDMDSTRVPNSLQRFDFGGFDNI >EOY11563 pep chromosome:Theobroma_cacao_20110822:5:39635621:39639514:-1 gene:TCM_026700 transcript:EOY11563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MRHFSSMFNGLARSFSIRRGKNSENDDGREAAEAMAKDAKKNDLILRSSGFVNVDGSNNLASVFSKRGRKGVNQDCAIVWEEFGCQADMLFCGIFDGHGPWGHFVAKKVRESLPSSLLCHWQETLAQTSLDPDIDLESDKKHQRFDIWKHSYLKTCAAVDQELQQYRKIDSFYSGTTALTIVRQGDLMYVANIGDSRAVLATTSDDGDLVPVQLTVDFKPNLPQEAERIIQCKGRVFCLHDEPGVHRVWLPDEESPGLAMSRAFGDYCIKEYGLISVPEVTQRQITSRDQFVVLATDGVWDVISNQEAIQIVSSTPDKAKAAKCLVECAAHAWKKKRKGIAMDDISVICLLFHSSAVSQHEVNLVTTSK >EOY11564 pep chromosome:Theobroma_cacao_20110822:5:39636086:39639221:-1 gene:TCM_026700 transcript:EOY11564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MRHFSSMFNGLARSFSIRRGKNSENDDGREAAEAMAKDAKKNDLILRSSGFVNVDGSNNLASVFSKRGRKGVNQDYMLFCGIFDGHGPWGHFVAKKVRESLPSSLLCHWQETLAQTSLDPDIDLESDKKHQRFDIWKHSYLKTCAAVDQELQQYRKIDSFYSGTTALTIVRQGDLMYVANIGDSRAVLATTSDDGDLVPVQLTVDFKPNLPQEAERIIQCKGRVFCLHDEPGVHRVWLPDEESPGLAMSRAFGDYCIKEYGLISVPEVTQRQITSRDQFVYFSHLPLVPLICCISA >EOY10482 pep chromosome:Theobroma_cacao_20110822:5:35034339:35050926:1 gene:TCM_025836 transcript:EOY10482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase isoform 1 MEKLALSEVPNSKAIAGVRVGLLGDRFVVNPTTKEMEDSTLDLFLAGTDSAILMVEGYCDFLPEEKLLEAVQVGQDAVRAICTAVDALVKKCGKPKMLDAIKLPPPGLYRRVEKIAGDELHKVLQIRSKIPRRKAISLLEENVINILTEKGYISTEDNSGTAETIQDWLEEEDEDEEIVVDGEVDEGDVHIKPVSRKSTPLFTEVDVKLVFKEVTSKFLRRRIVEGGKRSDGRSPEGIRSITSRCGLLPRAHGSALFTRGETQSLVVVTLGDRQMAQKVDNLANVEEFKRFYLQYSFPPSCVGEVGRMGAPSRREIGHGTLAERALEPILPSEDEFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPLKCSIAGIAMGMVLDTKEFGGDGAPLILSDITGSEDASGDMDLKVAGTEDGITAFQMDIKVGGITLPVMKEALLQARDGRRRVLGEMLKCSPPPAKSLSKYAPLIHIMKVAPDKVNIIIGSGGKKVKSIIEETGVEAIDTQDDGIVKITAKDLSSLEKSKSIISNLTMVPTVGDIYRNCEIKSIAPYGVFVEIAPGREGLCHISELTSDWLAKAEDAFKVGDRVDVKLIEVNDKGQLRLSRRALLPVPETNSEDPSSEQLTGHQAKVITDSGKASDKSTPKKYVNVPKSDALAQEKLEQPKDKSSGTKISSSSKSSSAENTLLPRKKVFKRIKKSTSKAVTGVSGKDGE >EOY10479 pep chromosome:Theobroma_cacao_20110822:5:35034343:35051257:1 gene:TCM_025836 transcript:EOY10479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase isoform 1 MLVNPSGVQSTPCYSHPSHFTFSNRCKLFLSPSCPRFLSSKKSSKFCSVSLLLSRNGGGGNRFSVRALLEPEVSESIAAAADGAGGGDGGSGILQPVSVKIPFGDREILVETGHIGRQASGSVMATDGETIVYTSVCLSDVPSEPSDFFPLNVNYQERFSAAGRTSGGFYKREGRTKDHEVLICRLIDRPLRPTMPKGFYHETQLLSWVLSYDGLHSPDALAVTAAGLAVALSEVPNSKAIAGVRVGLLGDRFVVNPTTKEMEDSTLDLFLAGTDSAILMVEGYCDFLPEEKLLEAVQVGQDAVRAICTAVDALVKKCGKPKMLDAIKLPPPGLYRRVEKIAGDELHKVLQIRSKIPRRKAISLLEENVINILTEKGYISTEDNSGTAETIQDWLEEEDEDEEIVVDGEVDEGDVHIKPVSRKSTPLGGKRSDGRSPEGIRSITSRCGLLPRAHGSALFTRGETQSLVVVTLGDRQMAQKVDNLANVEEFKRFYLQYSFPPSCVGEVGRMGAPSRREIGHGTLAERALEPILPSEDEFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPLKCSIAGIAMGMVLDTKEFGGDGAPLILSDITGSEDASGDMDLKVAGTEDGITAFQMDIKVGGITLPVMKEALLQARDGRRRVLGEMLKCSPPPAKSLSKYAPLIHIMKVAPDKVNIIIGSGGKKVKSIIEETGVEAIDTQDDGIVKITAKDLSSLEKSKSIISNLTMVPTVGDIYRNCEIKSIAPYGVFVEIAPGREGLCHISELTSDWLAKAEDAFKVGDRVDVKLIEVNDKGQLRLSRRALLPVPETNSEDPSSEQLTGHQAKVITDSGKASDKSTPKKYVNVPKSDALAQEKLEQPKDKSSGTKISSSSKSSSAENTLLPRKKVFKRIKKSTSKAVTGVSGKDGE >EOY10478 pep chromosome:Theobroma_cacao_20110822:5:35027390:35051024:1 gene:TCM_025836 transcript:EOY10478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase isoform 1 MLVNPSGVQSTPCYSHPSHFTFSNRCKLFLSPSCPRFLSSKKSSKFCSVSLLLSRNGGGGNRFSVRALLEPEVSESIAAAADGAGGGDGGSGILQPVSVKIPFGDREILVETGHIGRQASGSVMATDGETIVYTSVCLSDVPSEPSDFFPLNVNYQERFSAAGRTSGGFYKREGRTKDHEVLICRLIDRPLRPTMPKGFYHETQLLSWVLSYDGLHSPDALAVTAAGLAVALSEVPNSKAIAGVRVGLLGDRFVVNPTTKEMEDSTLDLFLAGTDSAILMVEGYCDFLPEEKLLEAVQVGQDAVRAICTAVDALVKKCGKPKMLDAIKLPPPGLYRRVEKIAGDELHKVLQIRSKIPRRKAISLLEENVINILTEKGYISTEDNSGTAETIQDWLEEEDEDEEIVVDGEVDEGDVHIKPVSRKSTPLFTEVDVKLVFKEVTSKFLRRRIVEGGKRSDGRSPEGIRSITSRCGLLPRAHGSALFTRGETQSLVVVTLGDRQMAQKVDNLANVEEFKRFYLQYSFPPSCVGEVGRMGAPSRREIGHGTLAERALEPILPSEDEFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPLKCSIAGIAMGMVLDTKEFGGDGAPLILSDITGSEDASGDMDLKVAGTEDGITAFQMDIKVGGITLPVMKEALLQARDGRRRVLGEMLKCSPPPAKSLSKYAPLIHIMKVAPDKVNIIIGSGGKKVKSIIEETGVEAIDTQDDGIVKITAKDLSSLEKSKSIISNLTMVPTVGDIYRNCEIKSIAPYGVFVEIAPGREGLCHISELTSDWLAKAEDAFKVGDRVDVKLIEVNDKGQLRLSRRALLPVPETNSEDPSSEQLTGHQAKVITDSGKASDKSTPKKYVNVPKSDALAQEKLEQPKDKSSGTKISSSSKSSSAENTLLPRKKVFKRIKKSTSKAVTGVSGKDGE >EOY10481 pep chromosome:Theobroma_cacao_20110822:5:35035337:35051257:1 gene:TCM_025836 transcript:EOY10481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase isoform 1 MEKLGGFYKREGRTKDHEVLICRLIDRPLRPTMPKGFYHETQLLSWVLSYDGLHSPDALAVTAAGLAVALSEVPNSKAIAGVRVGLLGDRFVVNPTTKEMEDSTLDLFLAGTDSAILMVEGYCDFLPEEKLLEAVQVGQDAVRAICTAVDALVKKCGKPKMLDAIKLPPPGLYRRVEKIAGDELHKVLQIRSKIPRRKAISLLEENVINILTEKGYISTEDNSGTAETIQDWLEEEDEDEEIVVDGEVDEGDVHIKPVSRKSTPLFTEVDVKLVFKEVTSKFLRRRIVEGGKRSDGRSPEGIRSITSRCGLLPRAHGSALFTRGETQSLVVVTLGDRQMAQKVDNLANVEEFKRFYLQYSFPPSCVGEVGRMGAPSRREIGHGTLAERALEPILPSEDEFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPLKCSIAGIAMGMVLDTKEFGGDGAPLILSDITGSEDASGDMDLKVAGTEDGITAFQMDIKVGGITLPVMKEALLQARDGRRRVLGEMLKCSPPPAKSLSKYAPLIHIMKVAPDKVNIIIGSGGKKVKSIIEETGVEAIDTQDDGIVKITAKDLSSLEKSKSIISNLTMVPTVGDIYRNCEIKSIAPYGVFVEIAPGREGLCHISELTSDWLAKAEDAFKVGDRVDVKLIEVNDKGQLRLSRRALLPVPETNSEDPSSEQLTGHQAKVITDSGKASDKSTPKKYVNVPKSDALAQEKLEQPKDKSSGTKISSSSKSSSAENTLLPRKKVFKRIKKSTSKAVTGVSGKDGE >EOY10480 pep chromosome:Theobroma_cacao_20110822:5:35027390:35051024:1 gene:TCM_025836 transcript:EOY10480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase isoform 1 MLVNPSGVQSTPCYSHPSHFTFSNRCKLFLSPSCPRFLSSKKSSKFCSVSLLLSRNGGGGNRFSVRALLEPEVSESIAAAADGAGGGDGGSGILQPVSVKIPFGDREILVETGHIGRQASGSVMATDGETNVFRQQVERGRTKDHEVLICRLIDRPLRPTMPKGFYHETQLLSWVLSYDGLHSPDALAVTAAGLAVALSEVPNSKAIAGVRVGLLGDRFVVNPTTKEMEDSTLDLFLAGTDSAILMVEGYCDFLPEEKLLEAVQVGQDAVRAICTAVDALVKKCGKPKMLDAIKLPPPGLYRRVEKIAGDELHKVLQIRSKIPRRKAISLLEENVINILTEKGYISTEDNSGTAETIQDWLEEEDEDEEIVVDGEVDEGDVHIKPVSRKSTPLFTEVDVKLVFKEVTSKFLRRRIVEGGKRSDGRSPEGIRSITSRCGLLPRAHGSALFTRGETQSLVVVTLGDRQMAQKVDNLANVEEFKRFYLQYSFPPSCVGEVGRMGAPSRREIGHGTLAERALEPILPSEDEFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPLKCSIAGIAMGMVLDTKEFGGDGAPLILSDITGSEDASGDMDLKVAGTEDGITAFQMDIKVGGITLPVMKEALLQARDGRRRVLGEMLKCSPPPAKSLSKYAPLIHIMKVAPDKVNIIIGSGGKKVKSIIEETGVEAIDTQDDGIVKITAKDLSSLEKSKSIISNLTMVPTVGDIYRNCEIKSIAPYGVFVEIAPGREGLCHISELTSDWLAKAEDAFKVGDRVDVKLIEVNDKGQLRLSRRALLPVPETNSEDPSSEQLTGHQAKVITDSGKASDKSTPKKYVNVPKSDALAQEKLEQPKDKSSGTKISSSSKSSSAENTLLPRKKVFKRIKKSTSKAVTGVSGKDGE >EOY10477 pep chromosome:Theobroma_cacao_20110822:5:35027390:35052161:1 gene:TCM_025836 transcript:EOY10477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase isoform 1 MLVNPSGVQSTPCYSHPSHFTFSNRCKLFLSPSCPRFLSSKKSSKFCSVSLLLSRNGGGGNRFSVRALLEPEVSESIAAAADGAGGGDGGSGILQPVSVKIPFGDREILVETGHIGRQASGSVMATDGETIVYTSVCLSDVPSEPSDFFPLNVNYQERFSAAGRTSGGFYKREGRTKDHEVLICRLIDRPLRPTMPKGFYHETQLLSWVLSYDGLHSPDALAVTAAGLAVALSEVPNSKAIAGVRVGLLGDRFVVNPTTKEMEDSTLDLFLAGTDSAILMVEGYCDFLPEEKLLEAVQVGQDAVRAICTAVDALVKKCGKPKMLDAIKLPPPGLYRRVEKIAGDELHKVLQIRSKIPRRKAISLLEENVINILTEKGYISTEDNSGTAETIQDWLEEEDEDEEIVVDGEVDEGDVHIKPVSRKSTPLFTEVDVKLVFKEVTSKFLRRRIVEGGKRSDGRSPEGIRSITSRCGLLPRAHGSALFTRGETQSLVVVTLGDRQMAQKVDNLANVEEFKRFYLQYSFPPSCVGEVGRMGAPSRREIGHGTLAERALEPILPSEDEFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPLKCSIAGIAMGMVLDTKEFGGDGAPLILSDITGSEDASGDMDLKVAGTEDGITAFQMDIKVGGITLPVMKEALLQARDGRRRVLGEMLKCSPPPAKSLSKYAPLIHIMKVAPDKVNIIIGSGGKKVKSIIEETGVEAIDTQDDGIVKITAKDLSSLEKSKSIISNLTMVPTVGDIYRNCEIKSIAPYGVFVEIAPGREGLCHISELTSDWLAKAEDAFKVGDRVDVKLIEVNDKGQLRLSRRALLPVPETNSEDPSSEQLTGHQAKVITDSGKASDKSTPKKYVNVPKSDALAQEKLEQPKDKSSGTKISSSSKSSSAENTLLPRKKVFKRIKKSTSKAVTGVSGKDGE >EOY07919 pep chromosome:Theobroma_cacao_20110822:5:3333327:3338208:1 gene:TCM_022240 transcript:EOY07919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor DP MLEFSMNLTDCNFYFCSSKKKKRGQRAVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPSSSVASPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLNDIEELKTERLGRRNRIEKKAAYLQELEEQFVGLQNLIQRNEQLYSSGNAPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCDRPQSDEMAHNFSADGEGSSMSAMYQQQIPPPPRTNTPGRPPTSPPLPGILKARVKHEH >EOY09278 pep chromosome:Theobroma_cacao_20110822:5:27938653:27943001:-1 gene:TCM_024703 transcript:EOY09278 gene_biotype:protein_coding transcript_biotype:protein_coding description:PCF11P-similar protein 4 MAPEVLPPPGKRPRIVAPIPDFIPSFTNISRSPNQPIPYFHYQNRGRIPSPSPHSFHPMQHQQGQGFMVNNPNPGVNLILPPTMVKPVTVQDFQLQHQKEQGFAVKNPSGGVFSATTVKPVQVHGLNLHQAPISCLLKPYATMSVVPERSMPIENTPPGPRPVAPGSSQLSFPQLSSAVLSELLKSVGAQGLAKPNEETPVKDTVPLEFDAHWLKVRHESVIRSLYSDFPRQCQTCGQRFKTQENHSKHMDWHVRKNREIKKKKVKPSRQWLLTESQWLGCAAALTDTDNGDPPVFVRRDSIVEMKTDEELAVGADEDQRVCALCMEAFEDFYSDETEDWMYKGAVYMRAPNGSMSAGKDRSQLGRAVNKSLQLLPSACSIVYSNAHYELIS >EOY09513 pep chromosome:Theobroma_cacao_20110822:5:29607847:29610780:1 gene:TCM_024929 transcript:EOY09513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METQKGRLDMDPVIQHFSHLHPLKLQSFQPQTTNTLICASCKLEASGWFYFCSTCNYCLHKTCSRMPQNKKKEHQVDPKRTLVLLSIPVMPLVPSSAMPVGNLAKVFATTVKIVSLIYTLFASISPHQSILVPTITPWNFVSAPPIAATEIQQRKQRILCPTDSANTQFQPVREIQTQQTHEEQLQCQANGACSQLQSVTGFQQTQQDLLLCHNIIASTHSQPVTGIQHTQEKTLHHSSSSNIQIQPVRAIQVQQTHQKQLLYHADRANIYTQPVTCIQLKQQQPQLLKSQSYPPQSSKFMTDLPYSGSAFHHVSQTVITIPQQQICVPYVHNSNPIPEAGSSNWKQPYKVNDYTASMPTLAVHQHVPQPIHQMNGSTTSVPTFAAVPQYGQPPNTTKAGNNLMGHVVEELVDGFIPQARGAIFQSRFSSHNLIL >EOY11393 pep chromosome:Theobroma_cacao_20110822:5:39149080:39151777:-1 gene:TCM_026586 transcript:EOY11393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation exchanger 11 MALSFPSLLISTLVSLTLLSSLLFFFFLQAPPKPPLIHQRSLLSTSCNSQHSSDNALVNYLSLHYCLFNENFFLSIPFLCLFLLLHFYILIKTAQSHFSIVTTKLSSLLNLSPSMAAVTLLALGNGAPDVFASLHALRTGHYRTGFGAILSAGTFVSAFVVGFVAIYAAPFGVNPAPFIRDVMFYLVGALFLFYVYLSGEIFVWQAVGFVGFYIFFVGFVFWMDFGIEREEEEKPVLEKVMLTEDCQLLDKDCEIGELERSLKGEKATFVIAGVLEMISKAWEVPISFLLKLTIPQTSPSEWNRFYLSANIALCPLALLYACNSFMPLDHPIVFLLPNTHFPLWFVVLFASFSLALLHFILEKEPPKSEQIPVVVVAFVMSVFWISTVAGELLNCLAALGSLLKLPPALLGLTVLAWGNSVGDLVADVAVAKAGHPAMAMAGCFAGPMFNMLVGLGTALLMQTANVYPKAYQLHFHIGIIIAFVFLLLSLMGSLLVITWSRFRVPRFWGFCLVGLYIIFTLVSLIIAKFSG >EOY08807 pep chromosome:Theobroma_cacao_20110822:5:21203549:21204051:1 gene:TCM_024014 transcript:EOY08807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKIPNELRRYVEGDRLIYRKKWEDVNFILVPSNVGRHWVVAKIDLVRWMIKVVDSARTLAVKANGVHAA >EOY09822 pep chromosome:Theobroma_cacao_20110822:5:31204997:31206525:-1 gene:TCM_025198 transcript:EOY09822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase family protein MDMDVPSHTEAYLVTNVVTKAVWVIFQLFFYALRPLFHKPKPSGYWEFINLFIQIALDATLIYFWGWKPLAYLILSTFVGGGMHPMAGHFIAEHYVFKLDQETYSYYGPLNLLTWSVGYHNVHIGKILTER >EOY10164 pep chromosome:Theobroma_cacao_20110822:5:33298774:33310901:-1 gene:TCM_025538 transcript:EOY10164 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein MADTFAFNIVEKVIEKLATAAYQEISLAWGVQTDFQRLNDILTTVKDVLLDAEENQAQNNQLRNWLQKLKDACYDAEDVLDEFQIEALRRQVLEQRNIEKKVRSFLSSSNPITFRFRMAHKIKKATERFGEIAALKANFHLAEIAERHYDTRHLVMGLDRKTHSFVQVADIIGRAEDKEKIIKTLMQDPTDGEDKSVLPIVGIGGLGKTALAKLVYNDERVDGHFKLKMWVCVSDDCDLKQLMIKIIKAAKGFDGNWSNMDLDQLQKVFRDCLDEKKYLLILDGLWNEDPIKCDELKQLLVQGAKGSKIVVTTRSNQVVEILGTISTHNLQGLPEKESLCLFLQFASKKGEINQYQNLVKIREEIVKKCNGVPLVLKTLGSLLLSKTSEDDWELVRDSEIWKLVQEEKSIFPVLNLSYDHLPPYLKPCFAFLSVFPKDYEFNSLTIKPPYSSFSSHFSLPLSLSTYKLSDFSLQSSSIGFPLLTPASSPWLSTCRKSHAGELLRPASPTGGVAAVLDRLFLPATDLAASMVWFLLRRRAPPCGVGQVGSPPTGGVAAVLDRLFLPATDRAASMVWFLLRRRTPPCGVGQVGSPPTGGGSGGTTVFSGRTPLVPPRNATVPPLLYTRFSGSGGGTPHCLVPGHPGASSTILPDANSSRLAGERGAWQGASAPCQSKDRYVFPIRWVLHQSFYDFFSVLISTDDIRCLHKRVGLPVRAHNNMPSVIMSFSEMKISFQSSNLTKSQDAEENQAKNNQLRNWLLKLKDACYDAEDVLDEFQIEAWRRQVLKQRNIGKKVRSFFSSSNPVAFRFRMAHKIKKVTGRFGQIAALKANFHLAERHYDTTHLVMGLDRETHSFVQAADIIGRDEDKEKIIKTLMQDPTDGEDVSVLPIVGIGGLGKTALAKLVYNDECVDRHFELKMWVCVSDDCDLKRLMIKIIKAAKGFDGNWSNMDLDQLQKVFRDCLDETKYLLILDDLWNEDRIKWDELKQLLVQGAKGSKIVVTTRSNRVAEIMGTISTHNLRGLPEKESLCLFLQFASKKGEINQYRNLVKIAKEIVRKCNGVPLVLKTLGSLLLSKTSEDDWEFVRDSEMWKLVQEEKSIFPILKLRRGKEDDSQDYHIASRLRLQELVIGGVPKLEVLPEWLLVESANTLRVLALGECENLTKFPERQNFTSLEGLGITDCPNLSSLPERMQCLKQLVIERCPILIERYNPENGEDWAKISHASRIRIDGNEITSNK >EOY08441 pep chromosome:Theobroma_cacao_20110822:5:8377106:8399045:-1 gene:TCM_022873 transcript:EOY08441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLHTKVKASIPDVTCQDQSFSTRCYTPKPKLQHRMLHAKTKALASNITRQSFLLPTDVTRQGFAKHYCLKQDPRAWFLNGLNESFFALRPQIISMEPFPKNTTFSFELVHVDLWGPYIVPTIKGQRYFLTLVDDCSRFTWIFLLRNKLDVSYCSRFTWIFLLRNKLDVSCILPSFYQYAKNQFSTSIKCIDHGGEFNLTGFYEKYGIIHQLSCVEASQQNGVVERKHQHVLVVARALVFQTSLPLSFWGEAILTATHILNRIPTKGLLDKSPYKLLYSKIPSYEYLRVFGSLCFVSSLTQNRKKFDKRAIKCVFLRYPNGIKRYKVLDISAKKFIISRNVFFHLSHYKFTDFAHTSSNSTTKNSRKSVAVQNDLPIQNALLFLHLGRTFTTSLSQIYEPITYKQAINYSHWKEAMQSNKEFIALLVYVDNIVIASNTTQAVDRVKKYLSSQFKLRDLRAVKYFLGLEITRSSKGILICQRKYTLDLLEKYGMLGTKLVTTLIDYSHKLSKYSDSKEIVDLTGYRQLVGKLLYLTFTRLDISYAAQVLSHFMEKTKHDHLSTTYRVLKYLKAASSQGILMKSDSNLVVSTYSNSGWVGCIDIRRPVIGYCVFAGDL >EOY11284 pep chromosome:Theobroma_cacao_20110822:5:38816887:38818227:1 gene:TCM_026518 transcript:EOY11284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIMPYDYSRFMMKVFCRKSVHHRFVLGLSSSSSGANVSPPPPPPSKCGLGLIANDTPRVPNVIESSSVVRPVSTPTKKKDPGGIGFIDDIGGGVDGLMSCTESLGFESCDERRVDDDDDDNSGDKKKDGDQRVEVCDGKRSVMMTRDAWRRRRRSEEKRERQSKKFPPPLSSLNQNGQPCFYLKPVRTNGRLELTEVRIERPEILRAVRQNGRLRLHLVSSDVCSRINEEEEEETKQEEQEQELHLQEEEEEEEVKLQEEETKVEELWKYRVNGEGFRRCHELVMTHHHHHDYHHHHSLHVWRQPCVTTR >EOY09898 pep chromosome:Theobroma_cacao_20110822:5:31576212:31579806:-1 gene:TCM_025265 transcript:EOY09898 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein, putative MAFSGFQADVKVLQKQLAARHLVNLSASAQQVGVCKVVAVILIEKHQLKNGREPTLLVAAGLGFTNELPCNGSTASNTLYYRRFFPYYSFNVLGGLDDEGKGCVFTYDAVGSYERVGYGTQGTGSTLIMPFLDNQLKSPSPLLLPAQDAVTQLSESEAVDLVRTVYASATERDIYTVSFFFLLISNFGLGAYCSHPNNFGLRFLEGSHALNCHPQC >EOY08032 pep chromosome:Theobroma_cacao_20110822:5:4095492:4096799:1 gene:TCM_022355 transcript:EOY08032 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL3C, putative MDPGNSVTQPSGSFLTPLMISLAGVVASTLAIVAYHLLLVKYCVRRADEEARNPSIPTQDEGFATGVEHKILETIPILSFSREKVKEFRTDQSECVVCLGELEEGERVRLLPNCRHFFHVPCVDNWFLAHSSCPICRTPVAVTTDASVASPPEDDGVERVQDLPQNDDGQAHDRAASTSRVQSNTLLRHCVSLVFPRETKQKHLITGLKRSLSMDQSYILINLTVDNEKDSTTSSSTSKRSLTKSNSYKARSMKQLDRMSSLLRSFSQLRIGRSSTTCGLLPY >EOY10828 pep chromosome:Theobroma_cacao_20110822:5:36707989:36710180:1 gene:TCM_026131 transcript:EOY10828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTQLIPFLKLAAPPFTSLPLLNLNKTLNTTRSANTNIVRCSSTGSSQTGGQFRFDSQNSDDVDDEFEFSSSRKQRIWWSDFDDYDDVWDLDEDDEFWVFKVFRAFGWMLPAIAISLLLGSGPNAFIMALAVPLGQSALSLVFDKVSRRTSKRWKSTSSPKAKKKHSTRAPKSVRTNKRKQEANRNGGEKATYSSWLNMDGDLHDKGAKRGPKFGGWDQLDDQVETQKRAPSQKGNGLPKQQKKGKFGRIGRVRDTPLLLRVLIAVFPFLGSWTRFLF >EOY08203 pep chromosome:Theobroma_cacao_20110822:5:5568107:5572191:-1 gene:TCM_022544 transcript:EOY08203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 21 MWSLLQACPTIFQLTCLTPLQSNCLHFFKFQPRVASYLKPPSLFTYFVPSSANEQLKMEKSWQNHFFPVLLTIFFFSPFPFQTSLALQSDYIRQPPGKVIVTPHHRSKSDPQQVHISLVGKDQMRITWITEDKDVPSKVEYGKVSGRYNAMAVGEHTSYHYFFYSSGKIHHVKIGPLEPGTTYYYRCGGHGPEFSFKTPPRTFPIEFVVVGDLGQTEWTASTLAHVHSKDYHVFLLPGDLSYADTQQPLWDSFGRLVEPYASRRPWMVTEGNHEIEIFPIIYPRGFKAYNARWLMPYQESGSTSNLYYSFDVAGSHIIMLGSYTDFDETSAQYKWLEADLGKVDRTKTPWVVVLLHAPWYNTNSAHKGEGESMRKAMEDLLCKARVDVVFAGHVHAYERFTRIYDNKADPCGPVYITIGDGGNREGLALTFENPTSELSLYREPSFGHGRLRILDETRAHWSWHRNNDSDSYVADEVWLESLATTKSCCTNTGERDGSKVNKDEL >EOY10048 pep chromosome:Theobroma_cacao_20110822:5:32694260:32697067:-1 gene:TCM_025436 transcript:EOY10048 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor B1C isoform 1 GFNVEKVQYKNVIFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKQEFQAIINDPFMRNSVILVFANKQDMKGAMTPMEVCEGLGLFDLKNRKWHIQGTCALRGDGLYEGLDWLAGTLKEMKAAGYSSLGSSSFE >EOY10047 pep chromosome:Theobroma_cacao_20110822:5:32694380:32700197:-1 gene:TCM_025436 transcript:EOY10047 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor B1C isoform 1 MGQTFRKLFDTFFGNTEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVIFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKQEFQAIINDPFMRNSVILVFANKQDMKGAMTPMEVCEGLGLFDLKNRKWHIQGTCALRGDGLYEGLDWLAGTLKEMKAAGYSSLGSSSFE >EOY09970 pep chromosome:Theobroma_cacao_20110822:5:32021605:32036864:1 gene:TCM_025341 transcript:EOY09970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane insertion protein, OxaA/YidC with tetratricopeptide repeat domain, putative isoform 1 MVTIISLASLGKWITIATATVGMRFALLPAVIIHLQKLKRIGELGPKLPSPLPSTMSGRSYIDQILHFRKERKAIGCPSFLWFLAPFFTQVPCLLLWVTSIRRMSLDNHPGFDSGGALWFQNLTELPHGVLGPIFPFLIASLHYINVQISFGTSSVKKADPLLAKVYKFYLDFLTLPFFGIGFLIPQGSLVYWVTNSSLSLIQQLTLKHPAVRAKLGLPDKDALATVENSEESSTAGTPSVEPPSKQRRIAVQNLSPKELLALSIKHLSREEKDLAISLLKLALDKDPEHVKAMVVMGQTLMQKGLLVEASEYLEHAISKLSLSGHLTEVEDVDHLILSSQWAGVVYMKQGKKAEGLAHLERIANLKEPEDPKSKAHYFDGLLLL >EOY09969 pep chromosome:Theobroma_cacao_20110822:5:32021438:32037465:1 gene:TCM_025341 transcript:EOY09969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane insertion protein, OxaA/YidC with tetratricopeptide repeat domain, putative isoform 1 MSLDNHPGFDSGGALWFQNLTELPHGVLGPIFPFLIASLHYINVQISFGTSSVKKADPLLAKVYKFYLDFLTLPFFGIGFLIPQGSLVYWVTNSSLSLIQQLTLKHPAVRAKLGLPDKDALATVENSEESSTAGTPSVEPPSKQRRIAVQNLSPKELLALSIKHLSREEKDLAISLLKLALDKDPEHVKAMVVMGQTLMQKGLLVEASEYLEHAISKLSLSGHLTEVEDVDHLILSSQWAGVVYMKQGKKAEGLAHLERIANLKEPEDPKSKAHYFDGLLLLSSALLDSGRKAEALKFLRLVVAYNPNYNYLLEEVENEEDNFASDLVNSRRRDY >EOY09971 pep chromosome:Theobroma_cacao_20110822:5:32021597:32037388:1 gene:TCM_025341 transcript:EOY09971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane insertion protein, OxaA/YidC with tetratricopeptide repeat domain, putative isoform 1 MATSMILLSHLRRSSGLSTLSLARVSHLPSLPAPLSHPYFSPCLCPFAPSIARSFFSSADNVEESILPVRAIVSLLDGYHNLTGFPWCPIFPFLIASLHYINVQISFGTSSVKKADPLLAKVYKFYLDFLTLPFFGIGFLIPQGSLVYWVTNSSLSLIQQLTLKHPAVRAKLGLPDKDALATVENSEESSTAGTPSVEPPSKQRRIAVQNLSPKELLALSIKHLSREEKDLAISLLKLALDKDPEHVKAMVVMGQTLMQKGLLVEASEYLEHAISKLSLSGHLTEVEDVDHLILSSQWAGVVYMKQGKKAEGLAHLERIANLKEPEDPKSKAHYFDGLLLLSSALLDSGRKAEALKFLRLVVAYNPNYNYLLEEVENEEDNFASDLVNSRRRDY >EOY10201 pep chromosome:Theobroma_cacao_20110822:5:33438808:33457537:-1 gene:TCM_025560 transcript:EOY10201 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPCR-type G protein 1 isoform 2 MGWGWAICEGMVIIGSLVLLGWAGLWFLNRRLYKEYEEKRALVQIIFSIVFAFSCNLLQLVLFEIIPLLSREARWINWKVDLFCLILLLVFMLPYYHCYLMLCNSGVRKERAGLGAILFLLAFLYAFWRMGIHFPMPSPDKGFFTMPQLVSRIGVVGVTVMAVLSGFGAVNLPYSYLSLFIREIEESEIKALERQLMQSIETCVAKKKKIILCQMEMERIQGSDENLKARSFFKRIVGTVVRSVQDDQKEQDIKILEAEVQTLEEVSKQLFLEIYELRQAKEAVAYSRTWRGHMQNLLGYAGSVYCVYKMIKSLQSVVFKEAGSVDPVTMSITILLQFFDIGIDAALLSQYISLMFIGILIAISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFLSSILLIRKSLRTEYRLIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQADKHPID >EOY10202 pep chromosome:Theobroma_cacao_20110822:5:33446631:33457661:-1 gene:TCM_025560 transcript:EOY10202 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPCR-type G protein 1 isoform 2 MGWGWAICEGMVIIGSLVLLGWAGLWFLNRRLYKEYEEKRALVQIIFSIVFAFSCNLLQLVLFEIIPLLSREARWINWKVDLFCLILLLVFMLPYYHCYLMLCNSGVRKERAGLGAILFLLAFLYAFWRMGIHFPMPSPDKGFFTMPQLVSRIGVVGVTVMAVLSGFGAVNLPYSYLSLFIREIEESEIKALERQLMQSIETCVAKKKKIILCQMEMERIQGSDENLKARSFFKRIVGTVVRSVQDDQKEQDIKILEAEVQTLEEVSKQLFLEIYELRQAKEAVAYSRTWRGHMQNLLGYAGSVYCVYKMIKSLQSVVFKEAGSVDPVTMSITILLQFFDIGIDAALLSQVHMETIWVLGYTIVDALFNPMYFTPSVPGFKLETSDLESQFFNLFLFVIIKKFEVPIILDGVASKSQTGC >EOY07885 pep chromosome:Theobroma_cacao_20110822:5:3123452:3126318:-1 gene:TCM_022205 transcript:EOY07885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNNEETRPWTHRKIFVEIDKLRETFGAVTVNHIFGEAKGVGDSLAKMGIGREENVHSIMVLCQYTCCNHASHVNVGAPSMVPMCLLAQPLKFDLRAGISRSFSRMICNLVLGLLEGFGHVNVGDFWMWVEPLWEWNIATHVLMGMPHDALELGCYGAAFGRPTMRFDILVIGLSRNGGMTKMMNKVFICLSLSGIDSKLPLTTRYWACKLNWLTNNAELMIDAFKGRLSP >EOY06962 pep chromosome:Theobroma_cacao_20110822:5:54836:59463:1 gene:TCM_021524 transcript:EOY06962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein MAGGGTTLEFTPTWVVAVVCAVIVVISLAAERILHYTGKYLKKKHQKPLFEALEKIKEELMLLGFISLLLTVFQDCIGKICIAEHSDSKWLPCKKYDTESKSTSHFQALFLSTRHLLAEASDSDSDPSGAYCAAKGKVPLLSTTPLHHLHIFIFVLAVVHVTFCALTILFGSAKIRQWKHWEDSAAEKEYDPEKVLNTTFTHVQDHDFIKNRFLGIGKNLALLSWMHSFFKQFFGSVTKSDYVTLRLGFINTHCRGNPKFNFHKYMMRALEADFKKVVGISWYLWLFVVAFLLLNIHGWHAYFWIAFIPFMLLLAVGTQLEHVITQLAHEVAEKHVAIEGDLVVQPSDDHFWFHRPQIVLLLIHIILFQNSFELACFFWILVQYHFDSCIMGEVGYVIPRLVIGVIVQFLCSYSTLPLYAIVTQMGSSFKKAIFEEHVQEGIAIWARRAKSKAKGCGKTAKDPKQDSLTLELAKAKEEEKAVEIASESITA >EOY09862 pep chromosome:Theobroma_cacao_20110822:5:31379258:31380461:-1 gene:TCM_025233 transcript:EOY09862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kunitz family trypsin and protease inhibitor protein MKTAVFLALSLLLCANAAPDPVLDISGKKLRTGTDYYILPVFRGRGGGLTLASTGNESCPLDVVQEQLEVSDGLPVTFSPVNIKKGVVRVSTDQNIKFSAATICVQPTLWKLDSFDDSTRQWFVTTGGVEGNPGRETIDNWFKIEKYEDDYKLVFCPTVCDFCKVMCRDVGVFIDGGVRRLALSDVPFKVMFKRA >EOY08374 pep chromosome:Theobroma_cacao_20110822:5:7039496:7044432:1 gene:TCM_022736 transcript:EOY08374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMMPMQNLDNIDMESFLPGKIRKRGCSSSASSSSSVIQNYRFKRAILVGSKRGGSSTPVPTWKLMMRSPSTASMLRATESPKYAGSQSGSKVKGQGQGQQQPISARKLAATLWEMNEIPSPRRKEGNDERRRRKEGRERGVARSVHSGSLPPHLSDPSHSPVSERMDRSGTGSRQRRASSVSHKLRLTDHNVGVLDSISNASLMEIETKSHAQTPTGSTVGFKTRLKDVSNALTTSKELLKIINRMWGHEDRPSSSMSLISALHAELERARLQVNQLIQEQRSDQHDINYLMKCFAEEKAAWKSKEQKAVKAAIESIAGELEVERKLRKRFEGLNKKLGKELAETKTSLLKSVKELESEKRTRVVIEQVCDELARDISEDKAEKEELKRESAKVREEVEKEREMMQLADVLREQRVQMKLSEAKYHLEEKNAAVDKLRSQLETFLGTKRVKEKGRVSLNEQNTNSEEIAAYLNRAHFGPHPSEENEEHGEVEDVVECEEDSGESDLHSIELNMDNNNKNYKWAYAPGGTRDSRDPLINEEDITGRKSTSSRLPRKSTSLQRSISDGVDWGIQNERLQNSGDDLEWGRFAELEKQVETKGYGDEMHGYKAVKGLREHLLSGSRTGRVYASPTRASRDVGNVAQDRPPLVPGSVLKSRLAESRGEAHNARKSRW >EOY08373 pep chromosome:Theobroma_cacao_20110822:5:7039658:7044460:1 gene:TCM_022736 transcript:EOY08373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMMPMQNLDNIDMESFLPGKIRKRGCSSSASSSSSVIQNYRFKRAILVGSKRGGSSTPVPTWKLMMRSPSTASMLRATESPKYAGSQSGSKVKGQGQGQQQPISARKLAATLWEMNEIPSPRRKEGNDERRRRKEGRERGVARSVHSGSLPPHLSDPSHSPVSERMDRSGTGSRQRRASSVSHKLRLTDHNVGVLDSISNASLMEIETKSHAQTPTGSTVGFKTRLKDVSNALTTSKELLKIINRMWGHEDRPSSSMSLISALHAELERARLQVNQLIQEQRSDQHDINYLMKCFAEEKAAWKSKEQKAVKAAIESIAGELEVERKLRKRFEGLNKKLGKELAETKTSLLKSVKELESEKRTRVVIEQVCDELARDISEDKAEKEELKRESAKVREEVEKEREMMQLADVLREQRVQMKLSEAKYHLEEKNAAVDKLRSQLETFLGTKRVKEKGRVSLNEQNTNSEEIAAYLNRAHFGPHPSEENEEHGEVEDVVECEEDSGESDLHSIELNMDNNNKNYKWAYAPGGTRDSRDPLINEEDITGRKSTSSRLPRKSTSLQRSISDGVDWGIQNERLQNSGDDLEWGRFAELEKQVETKGYGDEMHGYKAVKGLREHLLSGSRTGRVYASPTRASRDVGNVAQDRPPLVPGSVLKSRLAESRGEAHNARKSRW >EOY08372 pep chromosome:Theobroma_cacao_20110822:5:7039518:7044467:1 gene:TCM_022736 transcript:EOY08372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMMPMQNLDNIDMESFLPGKIRKRGCSSSASSSSSVIQNYRFKRAILVGSKRGGSSTPVPTWKLMMRSPSTASMLRATESPKYAGSQSGSKVKGQGQGQQQPISARKLAATLWEMNEIPSPRRKEGNDERRRRKEGRERGVARSVHSGSLPPHLSDPSHSPVSERMDRSGTGSRQRRASSVSHKLRLTDHNVGVLDSISNASLMEIETKSHAQTPTGSTVGFKTRLKDVSNALTTSKELLKIINRMWGHEDRPSSSMSLISALHAELERARLQVNQLIQEQRSDQHDINYLMKCFAEEKAAWKSKEQKAVKAAIESIAGELEVERKLRKRFEGLNKKLGKELAETKTSLLKSVKELESEKRTRVVIEQVCDELARDISEDKAEKEELKRESAKVREEVEKEREMMQLADVLREQRVQMKLSEAKYHLEEKNAAVDKLRSQLETFLGTKRVKEKGRVSLNEQNTNSEEIAAYLNRAHFGPHPSEENEEHGEVEDVVECEEDSGESDLHSIELNMDNNNKNYKWAYAPGGTRDSRDPLINEEDITGRKSTSSRLPRKSTSLQRSISDGVDWGIQNERLQNSGDDLEWGRFAELEKQVETKGYGDEMHGYKAVKGLREHLLSGSRTGRVYASPTRASRDVGNVAQDRPPLVPGSVLKSRLAESRGEAHNARKSRW >EOY08371 pep chromosome:Theobroma_cacao_20110822:5:7039518:7044467:1 gene:TCM_022736 transcript:EOY08371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMMPMQNLDNIDMESFLPGKIRKRGCSSSASSSSSVIQNYRFKRAILVGSKRGGSSTPVPTWKLMMRSPSTASMLRATESPKYAGSQSGSKVKGQGQGQQQPISARKLAATLWEMNEIPSPRRKEGNDERRRRKEGRERGVARSVHSGSLPPHLSDPSHSPVSERMDRSGTGSRQRRASSVSHKLRLTDHNVGVLDSISNASLMEIETKSHAQTPTGSTVGFKTRLKDVSNALTTSKELLKIINRMWGHEDRPSSSMSLISALHAELERARLQVNQLIQEQRSDQHDINYLMKCFAEEKAAWKSKEQKAVKAAIESIAGELEVERKLRKRFEGLNKKLGKELAETKTSLLKSVKELESEKRTRVVIEQVCDELARDISEDKAEKEELKRESAKVREEVEKEREMMQLADVLREQRVQMKLSEAKYHLEEKNAAVDKLRSQLETFLGTKRVKEKGRVSLNEQNTNSEEIAAYLNRAHFGPHPSEENEEHGEVEDVVECEEDSGESDLHSIELNMDNNNKNYKWAYAPGGTRDSRDPLINEEDITGRKSTSSRLPRKSTSLQRSISDGVDWGIQNERLQNSGDDLEWGRFAELEKQVETKGYGDEMHGYKAVKGLREHLLSGSRTGRVYASPTRASRDVGNVAQDRPPLVPGSVLKSRLAESRGEAHNARKSRW >EOY11302 pep chromosome:Theobroma_cacao_20110822:5:38877113:38878635:-1 gene:TCM_026533 transcript:EOY11302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MIACLKVKRREDNQTFFTKNGGRLLEELITFCNGKSNPIRHFSAKELLRATNCYNTSQIFVEGRGYKLYKGSLKDRPIFVKKYDNHSLLGDFATKDIAIGSQMSVHKNVLKVLGCCLETQIATIVYEFDDTKSLSTFISATNVEPLPWKCRLKIATGVANAVAYLHNAFSRPVIHREIKCSNIIL >EOY10128 pep chromosome:Theobroma_cacao_20110822:5:33102276:33104215:-1 gene:TCM_025507 transcript:EOY10128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFGGAAAVAVGGACAAGGAAAGGLGRPKAAAIMAGGFVECLDFPLCAWVIFMSAPCLCPCASCDNIVPMKGYL >EOY11122 pep chromosome:Theobroma_cacao_20110822:5:38023004:38037637:1 gene:TCM_026390 transcript:EOY11122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine/ornithine decarboxylase MVMLVPIEFFLVGFGSINNCIWLPHQTWEWLALVIISKPESHIKYAAKVGVNLATFDSKCELEKIKKWHPKCALLMRIQVPETSGAAFLFGSKFGALPEEIVPLLQAAQAAELNVVGVSFHIGSRAINYHAFEEAILAAKTTFETAAQLGIPKMHIINIGGGFTSGPKFTEAASAVKVALQKYFPTGPTTGLKIMAEPGRFFANEPFTLATSILGKRIRNEIKEYWINDGMSGSMNYLKYDHDDVICTPLANYGNNDVITRKGLKTSDSTVFGPTCDPADTVLEGYPLPDLQVNDWLVFHNMGAYTSSRGNDFNGFETSAIPTYLANSDKITAQLGLPKMHIVDIGGGFTSGPKFAEAASAVKVALQKYFPNGHASGLKIMAEPGRFIANEPFTLATSILGKRIRNEIKEYWINDGMSGSMNYLKYDHDDVICTPLANHGSNYVITCKGLKTTDSTVFGPACDPDDTVLEGYPLPDLQVNDWLVIHNMGAYTSSRGNDFNGFKTSAIPTYVGNSDKS >EOY09504 pep chromosome:Theobroma_cacao_20110822:5:29550017:29552541:-1 gene:TCM_024920 transcript:EOY09504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin synthase alpha chain, putative isoform 1 MALSTSMNSFSTIPKASPRNPIIFNLLRTPSLKPHLKLNPISKPSSLSLFYQPSNLRPKTQCFQSTTIRSLFTGIVEEMGEIKQLGMADHGGFDLKIRAKTIVEDVKLGDSIAVNGTCLTVTDFDTRLGEFMVGLAPETLRKTSLSELEPGSLVNLERAIQPTGRMGGHFVQGHVDGTGEIVGKEVEGDSLWIKVKVDKSLLKYVVPKGFIAVDGTSLTVVDVFEEELCFNFMLVAYTQQKVVIPLKEVGQKVNLEVDILGKYVERLLSSGFVDSIKGS >EOY09503 pep chromosome:Theobroma_cacao_20110822:5:29551471:29552998:-1 gene:TCM_024920 transcript:EOY09503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin synthase alpha chain, putative isoform 1 MALSTSMNSFSTIPKASPRNPIIFNLLRTPSLKPHLKLNPISKPSSLSLFYQPSNLRPKTQCFQSTTIRSLFTGIVEEMGEIKQLGMADHGGFDLKIRAKTIVEDVKLGDSIAVNGTCLTVTDFDTRLGEFMVGLAPETLRKTSLSELEPGSLVNLERAIQPTGRMGGHFVQGHVDGTGEIVGKEVEGDSLWIKVKVDKSLLKYVVPKGFIAVDGTSLTVVDVFEEELCFNFMLVAYTQQKVVIPLKEVGQKVNLEVDILGKYVERLLSSGFVDSIKGS >EOY09502 pep chromosome:Theobroma_cacao_20110822:5:29550060:29552779:-1 gene:TCM_024920 transcript:EOY09502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin synthase alpha chain, putative isoform 1 MALSTSMNSFSTIPKASPRNPIIFNLLRTPSLKPHLKLNPISKPSSLSLFYQPSNLRPKTQCFQSTTIRSLFTGIVEEMGEIKQLGMADHGGFDLKIRAKTIVEDVKLGDSIAVNGTCLTVTDFDTRLGEFMVGLAPETLRKTSLSELEPGSLVNLERAIQPTGRMGGHFVQGHVDGTGEIVGKEVEGDSLWIKVKVDKSLLKYVVPKGFIAVDGTSLTVVDVFEEELCFNFMLVAYTQQKVVIPLKEVGQKVNLEVDILGKYVERLLSSGFVDSIKGS >EOY07643 pep chromosome:Theobroma_cacao_20110822:5:2302748:2310448:-1 gene:TCM_022029 transcript:EOY07643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipin family protein isoform 1 MNVVGKVGSLISQGVYSVATPFHPFGGAVDVIVVQQQDGTFRSTPWYVRFGKFQGVLKGAEKVVRITVNGVEADFHMYLDNSGEAYFVREVDSGKGSETNGDLKDSDGEVIQDDSNKHISEFCRIEHSVSDSGVAQLRDECEATCPKRLERAESDTRFYEFQDEQSSLEGSVNLSEFGSGRYEGLDGEHFGEAQVLDSEVILVSVDGHMLTAPVSASEQSPENVQLSTPQFHLGPGEGPDFCEGSEEFRSGDNVWTADYISKLNSSAAKGSSDDVCSVNSDSIALRHQPEVCEEGGEHACQTEETLNLSNRESELDRQSDVEDASVHNKKEDVFKSCLELSELCRHGENTDSEEIDSPLETQLSQDKPFSSSPDIDETENGAVGDSRNEDVLSPSYSPNSSSNNGSPDLPVEGEPVEMKVLDTDYMGSNGVSVDSIGTDPEWKDEQFGTSAATEGINGSPQSPAPEDKSSKSEIVETEAAFSKEIESNASLGFEISLCGNELYAGMGLEAAAEVFEAHRIPADEYKNSAMSIIKNGNLIIRFGQQYLPWEKAAPVVLGMAAFGLELPLEPQDAIPVEQDESSNPKDDDSIVTSTSSGRRWRLWSIPFRRVKTLEHTNSNSSSEEVFVDTESGLQNSLSDSTPTSSGRIESPNKQFIRTNVPTNEQIASLNLKDGQNMITFSFCTRVLGTQQVDAHLYLWKWNAKIVISDVDGTITKSDVLGQFMPLVGRDWTQSGVARLFSAIKENGYQLLFLSARAIVQAYLTRSFLLNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKKLFPSDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAISRIDVRSYTSLHTLVNDMFPPTSLVEQEDFNSWNFWKVPLPDIE >EOY07644 pep chromosome:Theobroma_cacao_20110822:5:2302952:2309191:-1 gene:TCM_022029 transcript:EOY07644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipin family protein isoform 1 MNVVGKVGSLISQGVYSVATPFHPFGGAVDVIVVQQQDGTFRSTPWYVRFGKFQGVLKGAEKVVRITVNGVEADFHMYLDNSGEAYFVREVDSGKGSETNGDLKDSDGEVIQDDSNKHISEFCRIEHSVSDSGVAQLRDECEATCPKRLERAESDTRFYEFQDEQSSLEGSVNLSEFGSGRYEGLDGEHFGEAQVLDSEVILVSVDGHMLTAPVSASEQSPENVQLSTPQFHLGPGEGPDFCEGSEEFRSGDNVWTADYISKLNSSAAKGSSDDVCSVNSDSIALRHQPEVCEEGGEHACQTEETLNLSNRESELDRQSDVEDASVHNKKEDVFKSCLELSELCRHGENTDSEEIDSPLETQLSQDKPFSSSPDIDETENGAVGDSRNEDVLSPSYSPNSSSNNGSPDLPVEGEPVEMKVLDTDYMGSNGVSVDSIGTDPEWKDEQFGTSAATEGINGSPQSPAPEDKSSKSEIVETEAAFSKEIESNASLGFEISLCGNELYAGMGLEAAAEVFEAHRIPADEYKNSAMSIIKNGNLIIRFGQQYLPWEKAAPVVLGMAAFGLELPLEPQDAIPVEQDESSNPKDDDSIVTSTSSGRRWRLWSIPFRRVKTLEHTNSNSSSEEVFVDTESGLQNSLSDSTPTSSGRIESPNKQFIRTNVPTNEQIASLNLKDGQNMITFSFCTRVLGTQQVDAHLYLWKWNAKIVISDVDGTITKSDVLGQFMPLVGRDWTQSGVARLFSAIKENGYQLLFLSARAIVQAYLTRSFLLNLKQQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKKLFPSDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAISRIDVRSYTSLHTLVNDMFPPTSLVEQEDFNSWNFWKVPLPDIE >EOY09045 pep chromosome:Theobroma_cacao_20110822:5:25095686:25098952:1 gene:TCM_024386 transcript:EOY09045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MEAKIGKFFESVGSFFGGADQIPWCDRDIIVACEREVADAAKGDLEELKSESIMRLSWALVHSRQAEDVQRGIAMLEASLANSSSPLQHREKLYLLAVGYYRTGEYSRSRQLVEQCLEIAPDWRQALALKKTVEDRIAKDGVIGIGITATAVGLIAGGIAAALSRKK >EOY07568 pep chromosome:Theobroma_cacao_20110822:5:1990037:1993751:-1 gene:TCM_021969 transcript:EOY07568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex second largest subunit 2 MDFNEVDEEEFGFSRNYFLAKELGSSGKKSAHKLSDINVVDEQELRAAAANIEPKHQKDITALIKSYKCLYPKWIFELRCGFGLLMYGFGSKKALLEDFASTALTEYSVVVINGYLQSVNIKQVVIGLAEILWEQLKSKRRNSSGNLPKVQQPFSSLSMDDLLAFLDGSVMECDSFICIVIHNIDGPGLRDSETQQYLAQLASCSNVRVVASIDHVNAALLWDKKMVHAQFNWYWYHVPTFAPYKVEGLFFPMILAHGSSNQSAKTAAIVLQSLTPNAQSVFRILAEYQLSHPDDEGMAIDNLYSVSRERFLVSSQVTLNAHLTEFKDHELVKTRRNSDGQDCLYIPLATEALEKLLTEIG >EOY11186 pep chromosome:Theobroma_cacao_20110822:5:38270631:38274483:1 gene:TCM_026441 transcript:EOY11186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MPSPYLHTHHFFPKISFKFLSLHSFSTIKNANFNQTFPCFNKFLLLLQEFPNTLFCIKSIHAQIITNSESRHQFLASNLVKGYSGLGCLAIARKVFDQISQPKPILCNSMLNGYLRNQCYKETVELFEFMGFLHLEFDSYSCNYVLKACMELEDFEKGKEVVQRAVDRRVDGDRFLGSSMISFFMKFGDFDGARWVFNRMVDRDVVCWNSMISGYVKGCYYFEALGLFIEMILRGVRPSPITMVSLVQACGGLRSLELGKCVHGFVLGLGMGSDILVLTALVDMYSKMGEIESAHLLFDSIPAKNLVSWNVMISGYVQNCLVSKSFDLFRELVITGGDFDSGTIISLLQCCAQIADLESGKVLHGCIFRRGLDMNLILSTAIVDLYSKCGAVKEATFVFDRMKDRNVITWTAMLVGLAQNGKAEDALKLFNQMQEEGVAANSITLVGLVHSCAHLGSLKKGRSVHAQLFRHGYDFDVVNRTALIDMYAKCGKINYAERVLRDGSFFKDVILWNSMITGYGMHGQGHKALDIFCRMLEEGVKPSQTTFISLLSACSHSGLVNQGRSLFVSMESDHNIRPTEKHYACYVDLLSRAGRLQEAEALIKQMPFQSSGAVFEALLSGCRTHKNIDIGIKAADHLLSLDATNPGIYVMLSNIYAEARRWDAVDHIRGLMKKRGLKKTPGYSLIEVGKQVHTFFAGDESHPNRVEISQILENLRLELEASGYVPDTSCVLRDVDEPMKIRLLWRHSERLAIAFGLLSTPAGSLIRITKNLRVCIDCHTATKYISKVVKREIIVRDANRFHHFVDGKCSCNDYW >EOY09644 pep chromosome:Theobroma_cacao_20110822:5:30329884:30331122:-1 gene:TCM_025042 transcript:EOY09644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein isoform 1 MRAMVGIDESDESFYALQWFLDNLFPDLSAALSAGLLTLVHVQQPFQPYGFPAARPGVAAFYPSTVVADSVRKSQEQTSAALLSRALQMCKDKLIKAESLILEGDPKDMLCEISEQMNVDLLIVGSRGLGKIKRAFLGSVSDYCAHHAKCPTLIVKPPKEASNNK >EOY09645 pep chromosome:Theobroma_cacao_20110822:5:30329920:30331018:-1 gene:TCM_025042 transcript:EOY09645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein isoform 1 MRAMVGIDESDESFYALQWFLDNLFPDLSAALSAGLLTLVHVQQPFQPYGFPAARPGVAGKTFYPSTVVADSVRKSQEQTSAALLSRALQMCKDKLIKAESLILEGDPKDMLCEISEQMNVDLLIVGSRGLGKIKRAFLGSVSDYCAHHAKCPTLIVKPPKEASNNK >EOY09329 pep chromosome:Theobroma_cacao_20110822:5:28333080:28338708:1 gene:TCM_047052 transcript:EOY09329 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative MQRRNVFDVISEPIHILTDAAHIVSHSTWQNIANNLLLCLGDEETAIWEQASNLLPLIDPSFVLPALVRLVCSSDEKIQPAAAEAFVRVLKHHNQKPEVAFRLLDSLSNLSQGLADAETGAHTAEGSKLDCDRVLRLILEWFKTVQDWNILIGPLIDNMLAEPSNATIVWLLSHINAQLAEAADVVLHRVLLLMKGQKDMIDEAFFS >EOY08304 pep chromosome:Theobroma_cacao_20110822:5:6219438:6224165:1 gene:TCM_022641 transcript:EOY08304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell wall invertase 2 isoform 1 MYYDGIYHFFYQYNPKGAVWGNIVWAHSVSKDMINWQALEPALYPSQHFDINGCWSGSATVLPSNKPIILYTGLDPKDRQIQNYAVPANLSDPYLRKWIKPGNNPVVDPEQGVNASAFRDPTTAWWLNGHWRMLVGSKRNHRGMAYLYRSRDFIYWTKAKHPLHSAPHTGMWECPDFYPVLLSGKKGLDPSVLGKHVKHVLKVSLDVTRYDYYTVGTYLSDKDRYVPDNTSVDGWAGLRYDYGNFYASKTFFDPAKKRRILWGWVNESDTTEDDVKKGWAGLQAIPRIVWLDPNQRQLMQWPIEELETLRGKNVKMSHQQLKKGEHIEVKGITAAQADVDVTFHIPNLDKAEPFDHSWTDAQELCVKKGSKVKGEVGPFGLLTLASKNLEEYTPVSFRVFQTSKKHVVLLCSDARSSSLKDGLYKPSFAGFVDVDLADKKLSLRSLIDHSVVESFGAGGKTCITSRVYPTLAVLDNAHLYAFNHGTEPINVENLNAWSMKKPRMNT >EOY08303 pep chromosome:Theobroma_cacao_20110822:5:6208422:6224230:1 gene:TCM_022641 transcript:EOY08303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell wall invertase 2 isoform 1 MEVTKLLSLCILITFLCVDIINLNNGGVDASHKVFPQFQSLNAVSVQQIHRTGYHFQPPKNWINDPNAPMYYDGIYHFFYQYNPKGAVWGNIVWAHSVSKDMINWQALEPALYPSQHFDINGCWSGSATVLPSNKPIILYTGLDPKDRQIQNYAVPANLSDPYLRKWIKPGNNPVVDPEQGVNASAFRDPTTAWWLNGHWRMLVGSKRNHRGMAYLYRSRDFIYWTKAKHPLHSAPHTGMWECPDFYPVLLSGKKGLDPSVLGKHVKHVLKVSLDVTRYDYYTVGTYLSDKDRYVPDNTSVDGWAGLRYDYGNFYASKTFFDPAKKRRILWGWVNESDTTEDDVKKGWAGLQAIPRIVWLDPNQRQLMQWPIEELETLRGKNVKMSHQQLKKGEHIEVKGITAAQADVDVTFHIPNLDKAEPFDHSWTDAQELCVKKGSKVKGEVGPFGLLTLASKNLEEYTPVSFRVFQTSKKHVVLLCSDARSSSLKDGLYKPSFAGFVDVDLADKKLSLRSLIDHSVVESFGAGGKTCITSRVYPTLAVLDNAHLYAFNHGTEPINVENLNAWSMKKPRMNT >EOY08305 pep chromosome:Theobroma_cacao_20110822:5:6208422:6224230:1 gene:TCM_022641 transcript:EOY08305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell wall invertase 2 isoform 1 MYYDGIYHFFYQYNPKGAVWGNIVWAHSVSKDMINWQALEPALYPSQHFDINGCWSGSATVLPSNKPIILYTGLDPKDRQIQNYAVPANLSDPYLRKWIKPGNNPVVDPEQGVNASAFRDPTTAWWLNGHWRMLVGSKRNHRGMAYLYRSRDFIYWTKAKHPLHSAPHTGMWECPDFYPVLLSGKKGLDPSVLGKHVKHVLKVSLDVTRYDYYTVGTYLSDKDRYVPDNTSVDGWAGLRYDYGNFYASKTFFDPAKKRRILWGWVNESDTTEDDVKKGWAGLQAIPRIVWLDPNQRQLMQWPIEELETLRGKNVKMSHQQLKKGEHIEVKGITAAQADVDVTFHIPNLDKAEPFDHSWTDAQELCVKKGSKVKGEVGPFGLLTLASKNLEEYTPVSFRVFQTSKKHVVLLCSDARSSSLKDGLYKPSFAGFVDVDLADKKLSLRSLIDHSVVESFGAGGKTCITSRVYPTLAVLDNAHLYAFNHGTEPINVENLNAWSMKKPRMNT >EOY09993 pep chromosome:Theobroma_cacao_20110822:5:32142581:32152715:-1 gene:TCM_025366 transcript:EOY09993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin family protein MSSAVVAGASSSCSAALTSRNNSIKANPTPSPKAFTPYTSQKTAWQGLSLLEAKKGVSGFFLAERKSSFSSSHARRGLEITARTAGASRTIEVEVDKPLGLTLGQKQGGGVVITAVDGGGNAAKAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAVQAKPDSVYFVVNRGAEVDVKRLTKRPAPPRFGRKLTEAQKARASHICLDCGYIYTAQKPFDEQPDTYACPQCRAPKKRFAKYDVNTGKAIGGGLPPIGVIIGLIAGVGAVGALLVYGLQ >EOY08795 pep chromosome:Theobroma_cacao_20110822:5:20436035:20436830:-1 gene:TCM_023962 transcript:EOY08795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVFDKDAYVLIDFGLDRSYVSTTFASFSDINLSPLEEEIVVYTLQREQLVRNTYYRDYGIRVGEEEFRADLIPLKIRDFDLILGMDWLTAHQTNVDCFRKEVVLQNSKGVEVVFAGERRVLPSCVISTIKALKLVQKGYPAYLAHVIVTSKGVPKLEDVPIVNEFSDVFLDELPGLLLIENSNSLLIYFKDGLEFCYAWCGAKGLKD >EOY10525 pep chromosome:Theobroma_cacao_20110822:5:35193266:35199284:1 gene:TCM_025862 transcript:EOY10525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cobalamin-independent synthase family protein isoform 1 MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLQKVAADLRSSIWKQMADAGIKYIPSNTFSYYDQVLDTTSMLGAVPPRYSWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVDEYKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKTFSLLSLLPKIIPVYKEVISELKAAGASWIQFDEPTLVLDLDSYKLEAFTAAYADLESTLSGLNVLVETYFADLTAEAFKTLCGLKGVTAYGVDLVRGTQTIGLIKSDFPKGKYLFAGVVDGRNIWANDLASSVNTLQELEAVVGKDKLVVSSSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFSANAAALASRKSSPRVTNEAVQKAAAALKSSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTLELRRVRREFKAKKISEDVYVKAIKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSSTAQSMTARPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEHAFYLKWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYAEVKPALKNMVAAAKLLRTQLASAK >EOY10526 pep chromosome:Theobroma_cacao_20110822:5:35193720:35199764:1 gene:TCM_025862 transcript:EOY10526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cobalamin-independent synthase family protein isoform 1 MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLQKVAADLRSSIWKQMADAGIKYIPSNTFSYYDQVLDTTSMLGAVPPRYSWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVDEYKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKTFSLLSLLPKIIPVYKEVISELKAAGASWIQFDEPTLVLDLDSYKLEAFTAAYADLESTLSGLNVLVETYFADLTAEAFKTLCGLKGVTAYGVDLVRGTQTIGLIKSDFPKGKYLFAGVVDGRNIWANDLASSVNTLQELEAVVGKDKLVVSSSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFSANAAALASRKSSPRVTNEAVQKAAAALKSSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTLELRRVRREFKAKKISEDVYVKAIKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSSTAQSMTARPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEHAFYLKWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYAEVKPALKNMVAAAKLLRTQLASAK >EOY07717 pep chromosome:Theobroma_cacao_20110822:5:2499122:2502758:1 gene:TCM_022074 transcript:EOY07717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 2 MNSSSSSPNPPLPPAPPPQQSSTSANAADGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKAAHPYPQKASKNVLVSLQASMAYPSSINTIAPGYAPWDEASLLVNTAPSKIMPPQDEFTSLQGAEADIGSKGVARISNSGVSGIGSSSRTIPSSDMPNQGKQAPMLHGIPDFAEVYSFIGSIFDPDTQGHVQKLKEMDPINFETVLLLMRNLTVNLCSPDFEPVRKVMSSYDVSTKTVGLGTGIIPQSQTNDISC >EOY07716 pep chromosome:Theobroma_cacao_20110822:5:2498704:2503056:1 gene:TCM_022074 transcript:EOY07716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 2 MNSSSSSPNPPLPPAPPPQQSSTSANAADGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKAAHPYPQKASKNVLVSLQASMAYPSSINTIAPGYAPWDEASLLVNTAPSKIMPPQDEFTSLQGAEADIGSKGVARISNSGVSGIGSSSRTIPSSDMPNQGKQAPMLHGIPDFAEVYSFIGSIFDPDTQGHVQKLKEMDPINFETVLLLMRNLTVNLCSPDFEPVRKVMSSYDGLQATCLELTRWNGCHPVLTYQPDNCVYSCEVKGAHAAAFKGIHKLIKNPLARGRKLFVLIDLPTIAGCCGGFHLSGLSFPDRVFCLFSR >EOY07718 pep chromosome:Theobroma_cacao_20110822:5:2499216:2502188:1 gene:TCM_022074 transcript:EOY07718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 2 MNSSSSSPNPPLPPAPPPQQSSTSANAADGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKAAHPYPQKASKNVLVSLQASMAYPSSINTIAPGYAPWDEASLLVNTAPSKIMPPQDEFTSLQGAEADIGSKGVARISNSGVSGIGSSSRTIPSSDMPNQGKQAPMLHGIPDFAEVYSFIGSIFDPDTQGHVQKLKEMDPINFETVLLLMRNLTVNLCSPDFEPVRKVMSSYDVSTKTVGLGTGIIPQSQTNDISC >EOY09682 pep chromosome:Theobroma_cacao_20110822:5:30504853:30516731:1 gene:TCM_025075 transcript:EOY09682 gene_biotype:protein_coding transcript_biotype:protein_coding description:IBR domain-containing protein isoform 1 MESEDDIDMHDANNESGEDDFYSGGDDDAGAMAAYDDSDADVADYEFIDNDSDDSDDLVSHRYQQNYTVLSEVDICQRQEDDIMRVSTVLSISKVEAGILLRYYNWSVSKVHDEWFADEEKVRRSVGLLEKPVVPFPDGREMTCGICFETYPYDRLHTAACGHPFCNSCWAGYISTSINDGPGCLMLRCPDPSCAAAVGQDMINVLASDEDKEKYFRYFIRSYVEDNRKTKWCPAPGCDYAVDFILGSGSYDVTCRCSYSFCWNCTEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYETAKQEGVYDEAEKRREMAKNSLERYTHYYERWATNQSSRQKALADLQQMQTVHLEKLSDRQCQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLNAEGPSKDFNEFRTKLAGLTSVTRNYFENLVRALENGLSDVDSHGACSRMGSSKSLGGGSSRGRSGKAKGSTSRSSGSSRNIDDSGHWSCEYCTYANVKSATICQMCQQRR >EOY09683 pep chromosome:Theobroma_cacao_20110822:5:30504876:30516382:1 gene:TCM_025075 transcript:EOY09683 gene_biotype:protein_coding transcript_biotype:protein_coding description:IBR domain-containing protein isoform 1 MESEDDIDMHDANNESGEDDFYSGGDDDAGAMAAYDDSDADVADYEFIDNDSDDSDDLVSHRYQQNYTVLSEVDICQRQEDDIMRVSTVLSISKVEAGILLRYYNWSVSKVHDEWFADEEKVRRSVGLLEKPVVPFPDGREMTCGICFETYPYDRLHTAACGHPFCNSCWAGYISTSINDGPGCLMLRCPDPSCAAAVGQDMINVLASDEDKEKYFRYFIRSYVEDNRKTKWCPAPGCDYAVDFILGSGSYDVTCRCSYSFCWNCTEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYETAKQEGVYDEAEKRREMAKNSLERYTHYYERWATNQSSRQKALADLQQMQTVHLEKLSDRQCQPESQLKFITEAWLQIVECRRVLYVSLSISYGILFLFTLHYSCPVCLAGEAESGLERLHQCAEKELQVYLNAEGPSKDFNEFRTKLAGLTSVTRNYFENLVRALENGLSDVDSHGACSRMGSSKSLGGGSSRGRSGKAKGSTSRSSGSSRNIDDSGHWSCEYCTYANVKSATICQMCQQRR >EOY09879 pep chromosome:Theobroma_cacao_20110822:5:31469611:31475433:1 gene:TCM_025248 transcript:EOY09879 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 2, putative isoform 2 MDHNVTVFTNRQESVTADDDDDDSKTADYGGGAGGGGGFGKRGGSIAERRAATCGFKAERISTARFRASTSPLASPSARSPYLTIPPGISPTALLDSPIMLPNAQVSPTTGTFPVPFLNHDSQVLNPSNGDRGSNIVSSFTFKPQNLDSQPSFSSLEDQVSSSFNLVQSAEVHCQRLAKLEPPLDFEFPAEFSKKASSMNSAAVSVADVKVLNDIVNDNANLGFHPSELASDEMPMPKEPLLGQDVNTHLSEGDQKGINTAMGMARTSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSLDGQITEIIYKGAHNHPKPLPCRRPSIGSTLSSDEMSEIAEGGGTCVKVEGGLIWRNAQAGSRDIKLGSDWRADGLERTSSTSVVTDLSDPLSTAQGKSFGAFELAETPEFSSTLASHDDDNDDRATQGSISLCDDAANDDESESKRRKTESCLTEMNATSGALREPRVVVQIESDVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTPGCPVRKHVERASHNLKCVLTTYDGKHNHEVPAARSSSHVNSSGCNLPPTVPNTQAALALARNTNALKPETPIQDIVPPFDQKPEFKNEYLRPSFLRDFSNEMKLGAASLASVYQMKFPSIQNTIPYGSFGVNPNCIAAHQSGSLASTVPNFPMSLPLNHPTSANLSLAGFDINNGGKSTGPTHSFLPGQQLKESTTRFLGIKQEVWSLAWI >EOY09878 pep chromosome:Theobroma_cacao_20110822:5:31469642:31478174:1 gene:TCM_025248 transcript:EOY09878 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 2, putative isoform 2 MDHNVTVFTNRQESVTADDDDDDSKTADYGGGAGGGGGFGKRGGSIAERRAATCGFKAERISTARFRASTSPLASPSARSPYLTIPPGISPTALLDSPIMLPNAQVSPTTGTFPVPFLNHDSQVLNPSNGDRGSNIVSSFTFKPQNLDSQPSFSSLEDQVSSSFNLVQSAEVHCQRLAKLEPPLDFEFPAEFSKKASSMNSAAVSVADVKVLNDIVNDNANLGFHPSELASDEMPMPKEPLLGQDVNTHLSEGDQKGINTAMGMARTSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSLDGQITEIIYKGAHNHPKPLPCRRPSIGSTLSSDEMSEIAEGGGTCVKVEGGLIWRNAQAGSRDIKLGSDWRADGLERTSSTSVVTDLSDPLSTAQGKSFGAFELAETPEFSSTLASHDDDNDDRATQGSISLCDDAANDDESESKRRKTESCLTEMNATSGALREPRVVVQIESDVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTPGCPVRKHVERASHNLKCVLTTYDGKHNHEVPAARSSSHVNSSGCNLPPTVPNTQAALALARNTNALKPETPIQDIVPPFDQKPEFKNEYLRPSFLRDFSNEMKLGAASLASVYQMKFPSIQNTIPYGSFGVNPNCIAAHQSGSLASTVPNFPMSLPLNHPTSANLSLAGFDINNGGKSTGPTHSFLPGQQLKESTTRFLGIKQEVKDDNLYNPCLPIVDHASASSLSSVFQQANGNFPS >EOY06972 pep chromosome:Theobroma_cacao_20110822:5:81153:84463:-1 gene:TCM_021529 transcript:EOY06972 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAP, putative isoform 3 MAFLVKPFPGQTYYKPFTFIPKQVNNLPLLKLRVGIPIPKPKLLFPRRNCTNLGKYTSIGTRNAVNDDQLEEWELEFVGELDPVGWQAPKKRKKQEKSRLLEDTEGMDWCLRARKMALKSIEARGLTHTAEDLITIKKKKKKNKKRFTGKDKINKQSKEIQEDVDFGFKEEIGLEGLDDRIDDSTHGLQEKVSMMAGGLFLEKKEKAMQEFVQKLAQFSGPSDHKKEVNLNKAIIQAHTAEEVLEITAEMIMAVGKGLSPSPLSPLNIATALHRIAKNMEKVSMMNTRRLAFARQREMSMLIGLAMTALPECSPQGISNISWALSKIGGDLLFLSEMDRVAEVALTKVKEFNSQNVANIAGAFATMRHSAPDLFVELAERASDIIHSFQEQELTQLLWAFASLYEPADTFLQAMDTIFENTDQFKCCLSHEKTNSDEESHVESSRNLKFGEVSDPPVLSLNRDQLGNIAWSYAVLGQVNRIFFPHVWKTLSSFEEQRISEQHRGDIMFASQVHLVNQCLKLEYPHLQLSLRGDLEEKIVHAGKTKRFNQRTTSSFQKEVAHLLISTGLDWVREYSLDGYTADAVLIDKKVALEIDGPTHFSRNSGTPLGHTMLKRRHIAASGWKVVSLSHQEWEELEGDEEQLEYLRTILKDHLG >EOY06974 pep chromosome:Theobroma_cacao_20110822:5:81434:84668:-1 gene:TCM_021529 transcript:EOY06974 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAP, putative isoform 3 MAFLVKPFPGQTYYKPFTFIPKQVNNLPLLKLRVGIPIPKPKLLFPRRNCTNLGKYTSIGTRNAVNDDQLEEWELEFVGELDPVGWQAPKKRKKQEKSRLLEDTEGMDWCLRARKMALKSIEARGLTHTAEDLITIKKKKKKNKKRFTGKDKINKQSKEIQEDVDFGFKEEIGLEGLDDRIDDSTHGLQEKVSMMAGGLFLEKKEKAMQEFVQKLAQFSGPSDHKKEVNLNKAIIQAHTAEEVLEITAEMIMAVGKGLSPSPLSPLNIATALHRIAKNMEKVSMMNTRRLAFARQREMSMLIGLAMTALPECSPQGISNISWALSKIGGDLLFLSEMDRVAEVALTKVKEFNSQNVANIAGAFATMRHSAPDLFVELAERASDIIHSFQEQELTQLLWAFASLYEPADTFLQAMDTIFENTDQFKCCLSHEKTNSDEESHVESSRNLKFGEVSDPPVLSLNRDQLGNIAWSYAVLGQVNRIFFPHVWKTLSSFEEQRISEQHRGDIMFASQVHLVNQCLKLEYPHLQLSLRGDLEEKIVHAGKTKRFNQRTTSSFQKEVAHLLISTGLDWVREYSLDGYTADAVLIDKKVALEIDGPTHFSRNSGTPLGHTMLKRRHIAASGWKVVSLSHQEVGLCL >EOY06976 pep chromosome:Theobroma_cacao_20110822:5:81433:84668:-1 gene:TCM_021529 transcript:EOY06976 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAP, putative isoform 3 MAFLVKPFPGQTYYKPFTFIPKQVNNLPLLKLRVGIPIPKPKLLFPRRNCTNLGKYTSIGTRNAVNDDQLEEWELEFVGELDPVGWQAPKKRKKQEKSRLLEDTEGMDWCLRARKMALKSIEARGLTHTAEDLITIKKKKKKNKKRFTGKDKINKQSKEIQEDVDFGFKEEIGLEGLDDRIDDSTHGLQEKVSMMAGGLFLEKKEKAMQEFVQKLAQFSGPSDHKKEVNLNKAIIQAHTAEEVLEITAEMIMAVGKGLSPSPLSPLNIATALHRIAKNMEKVSMMNTRRLAFARQREMSMLIGLAMTALPECSPQGISNISWALSKIGGDLLFLSEMDRVAEVALTKVKEFNSQNVANIAGAFATMRHSAPDLFVELAERASDIIHSFQEQELTQLLWAFASLYEPADTFLQAMDTIFENTDQFKCCLSHEKTNSDEESHVESSRNLKFGEVSDPPVLSLNRDQLGNIAWSYAVLGQVNRIFFPHVWKTLSSFEEQRISEQHRGDIMFASQVHLVNQCLKLEYPHLQLSLRGDLEEKIVHAGKTKRFNQRTTSSFQKEVAHLLISTGLDWVREYSLDGYTADAVLIDKKVALEIDGPTHFSRNSGHTMLKRRHI >EOY06973 pep chromosome:Theobroma_cacao_20110822:5:81220:84668:-1 gene:TCM_021529 transcript:EOY06973 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAP, putative isoform 3 MAFLVKPFPGQTYYKPFTFIPKQVNNLPLLKLRVGIPIPKPKLLFPRRNCTNLGKYTSIGTRNAVNDDQLEEWELEFVGELDPVGWQAPKKRKKQEKSRLLEDTEGMDWCLRARKMALKSIEARGLTHTAEDLITIKKKKKKNKKRFTGKDKINKQSKEIQEDVDFGFKEEIGLEGLDDRIDDSTHGLQEKVSMMAGGLFLEKKEKAMQEFVQKLAQFSGPSDHKKEVNLNKAIIQAHTAEEVLEITAEMIMAVGKGLSPSPLSPLNIATALHRIAKNMEKVSMMNTRRLAFARQREMSMLIGLAMTALPECSPQGISNISWALSKIGGDLLFLSEMDRVAEVALTKVKEFNSQNVANIAGAFATMRHSAPDLFVELAERASDIIHSFQEQELTQLLWAFASLYEPADTFLQAMDTIFENTDQFKCCLSHEKTNSDEESHVESSRNLKFGEVSDPPVLSLNRDQLGNIAWSYAVLGQVNRIFFPHVWKTLSSFEEQRISEQHRGDIMFASQVHLVNQCLKLEYPHLQLSLRGDLEEKIVHAGKTKRFNQRTTSSFQKEVAHLLISTGLDWVREYSLDGYTADAVLIDKKVALEIDGPTHFSRNSGTPLGHTMLKRRHIAASGWKVVSLSHQEWEELEGDEEQLEYLRTILKDHLG >EOY06975 pep chromosome:Theobroma_cacao_20110822:5:81942:83777:-1 gene:TCM_021529 transcript:EOY06975 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAP, putative isoform 3 MAFLVKPFPGQTYYKPFTFIPKQVNNLPLLKLRVGIPIPKPKLLFPRRNCTNLGKYTSIGTRNAVNDDQLEEWELEFVGELDPVGWQAPKKRKKQEKSRLLEDTEGMDWCLRARKMALKSIEARGLTHTAEDLITIKKKKKKNKKRFTGKDKINKQSKEIQEDVDFGFKEEIGLEGLDDRIDDSTHGLQEKVSMMAGGLFLEKKEKAMQEFVQKLAQFSGPSDHKKEVNLNKAIIQAHTAEEVLEITAEMIMAVGKGLSPSPLSPLNIATALHRIAKNMEKVSMMNTRRLAFARQREMSMLIGLAMTALPECSPQGISNISWALSKIGGDLLFLSEMDRVAEVALTKVKEFNSQNVANIAGAFATMRHSAPDLFVELAERASDIIHSFQEQELTQLLWAFASLYEPADTFLQAMDTIFENTDQFKCCLSHEKTNSDEESHVESSRNLKFGEVSDPPVLSLNRDQLGNIAWSYAVLGQVNRIFFPHVWKTLSSFEEQRISEQHRGDIMFASQVHLVNQCLKLEYPHLQLSLRGDLEEKIVHAGKTKRFNQRTTSSFQKEVAHLLISTGLDWVREYSLDGYTADAVLIDKKVALEIDGPTHFSRNSGAHFLLM >EOY08594 pep chromosome:Theobroma_cacao_20110822:5:13201987:13202277:1 gene:TCM_023347 transcript:EOY08594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METVVQDQLLSTRRIPFFPEDDKNSLSPQSAHFRETYVTETLFVPLHVSLLTFGNIRSKARMEKLTTIIYMYMYNPLPDSEYFQNHFKIRALVGQL >EOY08593 pep chromosome:Theobroma_cacao_20110822:5:13195949:13211618:1 gene:TCM_023347 transcript:EOY08593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMIMMPAGLVRFCPTCDSRLLRDDDYVCASKTMGDAVLWDIALDEDCDAVHGEIALDNDFYILLVALALGMIYFFVGWPLCVIY >EOY10108 pep chromosome:Theobroma_cacao_20110822:5:33015322:33016821:1 gene:TCM_025486 transcript:EOY10108 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXORDIUM like 2, putative MHANASQICFALYFRSLNNLQKQSILGTMVSSPLSIRTLSLALFFILLFNPSLSYGYGHSKVVMTHHGGPLLTGTLNLALIWYGRCGRVQKSTIRNFIKSLNNEGDRTNLQPQVSSWWRVVESYQSAVPGARYGRRRSPRIIVNVVKQVSDLTYKYGKILTTVDYIPKLVHDATNGDPNLIPVIVTARDVTVQGLCMGKCADHGVVENNKPYIIVGNPETECPGSCGWPFHQSNYGPKGMVLQPPNVNMAADSMVIALATTLADTVTNPFNNGFYDGNMVHQVGPGSACRGIFGSGAFPGNPGKVHIDPTNGGAFNAHGNKGRKFLLPAVWNPRTSSCWTLM >EOY11566 pep chromosome:Theobroma_cacao_20110822:5:39656373:39665431:1 gene:TCM_026702 transcript:EOY11566 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 3 isoform 3 MSSLSRELVFLILQFLEEEKFKESVHKLEKESGFFFNMKYFEEKVQAGEWEEVEKYLAGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILVSDLKVFSTFNEELYKEITQLLTLNNFRENEQLSKYGDTKTARSIMLIELKKLIEANPLFRDKLAFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCAPPNGPLAPTPVNLPVAAVAKPAAYTSLGAHSPFPPTAAAANAGALAGWMANASASSSVQAAVVTASSIPVPQNQVSVLKRPRTPPAAPGVVEYQNPDHEHLMKRLRPAQSVEEVTYPTPLRPQAWSLDDLPRTVALTMHQGSTVTSMDFCPSQQTLLLVGSTNGEITLWELGMRERLATKPFKIWEMSTCSMTFQALMVNDATISVSRVTWSPDGSFVGVAFSKHLIHLYAYPGPNDLIPRLEIDAHVGGVNDLAFAHPNKQLCIVTCGDDKLIKVWDSMTGQKVFNFEGHDAPVYSICPHHKENIQFIFSTAVDGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGSRLFSCGTSKDGESFLVEWNESEGAIKRTYVGFRKKSAGVVSFDTTQNHFLAAGEDSQIKFWDMDNINLLTFTDAEGGLPSLPRVRFNKEGNLLAVTTADNGFKILANAVGLRSLRATETSSFDPLRTPIVSAAIKASGSSAVTNAGPVSCKVERSSPVRPSPILNGVDPLGRSVEKARVVDDVIEKTKPWQLAEIVDPIQCRLVTLPDSTDTSSKVVRLLYTNSGVGILALGSNGVQKLWKWPRNEQNPSGKATANVVPQHWQPNSGLLMTNDVSGVNLEEAVPCIALSKNDSYVMSATGGKVSLFNMMTFKVMTTFMSPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLRGHQKRITGLAFSTSLNILVSSGADAHLCVWSIDTWEKRKSVLIQIPAGKAPTGDTRVQFHSDQIRMLVVHETQLAIYDASKMERVRQWVPQDVLPAPISYAAYSCNSQSVYATFCDGNVGVFDADSLRLRCRISSSVYLSQAILKGNQAVYPLVVAAHPMEANQFAIGLSDGSVKVMESTESEGKWGVSPPVDNGVLNGRTTSSSTTSNHTPDQLQR >EOY11567 pep chromosome:Theobroma_cacao_20110822:5:39656736:39664924:1 gene:TCM_026702 transcript:EOY11567 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 3 isoform 3 MSSLSRELVFLILQFLEEEKFKESVHKLEKESGFFFNMKYFEEKVQAGEWEEVEKYLAGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILVSDLKVFSTFNEELYKEITQLLTLNNFRENEQLSKYGDTKTARSIMLIELKKLIEANPLFRDKLAFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCAPPNGPLAPTPVNLPVAAVAKPAAYTSLGAHSPFPPTAAAANAGALAGWMANASASSSVQAAVVTASSIPVPQNQVSVLKRPRTPPAAPGVVEYQNPDHEHLMKRLRPAQSVEEVTYPTPLRPQAWSLDDLPRTVALTMHQGSTVTSMDFCPSQQTLLLVGSTNGEITLWELGMRERLATKPFKIWEMSTCSMTFQALMVNDATISVSRVTWSPDGSFVGVAFSKHLIHLYAYPGPNDLIPRLEIDAHVGGVNDLAFAHPNKQLCIVTCGDDKLIKVWDSMTGQKVFNFEGHDAPVYSICPHHKENIQFIFSTAVDGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGSRLFSCGTSKDGESFLVEWNESEGAIKRTYVGFRKKSAGVVSFDTTQNHFLAAGEDSQIKFWDMDNINLLTFTDAEGGLPSLPRVRFNKEGNLLAVTTADNGFKILANAVGLRSLRATETSSFDPLRTPIVSAAIKASGSSAVTNAGPVSCKVERSSPVRPSPILNGVDPLGRSVEKARVVDDVIEKTKPWQLAEIVDPIQCRLVTLPDSTDTSSKVVRLLYTNSGVGILALGSNGVQKLWKWPRNEQNPSGKVSTWKRLYHA >EOY11568 pep chromosome:Theobroma_cacao_20110822:5:39656736:39664924:1 gene:TCM_026702 transcript:EOY11568 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 3 isoform 3 MSSLSRELVFLILQFLEEEKFKESVHKLEKESGFFFNMKYFEEKVQAGEWEEVEKYLAGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILVSDLKVFSTFNEELYKEITQLLTLNNFRENEQLSKYGDTKTARSIMLIELKKLIEANPLFRDKLAFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCAPPNGPLAPTPVNLPVAAVAKPAAYTSLGAHSPFPPTAAAANAGALAGWMANASASSSVQAAVVTASSIPVPQNQVSVLKRPRTPPAAPGVVEYQNPDHEHLMKRLRPAQSVEEVTYPTPLRPQAWSLDDLPRTVALTMHQGSTVTSMDFCPSQQTLLLVGSTNGEITLWELGMRERLATKPFKIWEMSTCSMTFQALMVNDATISVSRVTWSPDGSFVGVAFSKHLIHLYAYPGPNDLIPRLEIDAHVGGVNDLAFAHPNKQLCIVTCGDDKLIKVWDSMTGQKVFNFEGHDAPVYSICPHHKENIQFIFSTAVDGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGSRLFSCGTSKDGESFLVEWNESEGAIKRTYVGFRKKSAGVVSFDTTQNHFLAAGEDSQIKFWDMDNINLLTFTDAEGGLPSLPRVRFNKEGNLLAVTTADNGFKILANAVGLRSLRATETSSFDPLRTPIVSAAIKASGSSAVTNAGPVSCKVERSSPVRPSPILNGVDPLGRSVEKARVVDDVIEKTKPWQLAEIVDPIQCRLVTLPDSTDTSSKVVRLLYTNSGVGILALGSNGVQKLWKWPRNEQNPSGKATANVVPQHWQPNSGLLMTNDVSGVNLEEAVPCIALSKNDSYVMSATGGKVSLFNMMTFKVVMTTFMSPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLRGHQKRITGLAFSTSLNILVSSGADAHLCVWSIDTWEKRKSVLIQIPAGKAPTGDTRVQFHSDQIRMLVVHETQLAIYDASKMERVRQQWVPQDVLPAPISYAAYSCNSQSVYATFCDGNVGVFDADSLRLRCRISSSVYLSQAILKGKPSCIPTCGGCTSDGGKPICNRVERWIC >EOY09844 pep chromosome:Theobroma_cacao_20110822:5:31303068:31305140:1 gene:TCM_025218 transcript:EOY09844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-related gene 1 MALSNPKDFSSSPLMVSVQELVKGPTITIPQQYVRLDQEPLSLSFTAPSPPIPTIDMARLVSGDDDNDLELEKLHSTCKDWGIFQLVNHGVSSSLLDKLKHEVEEFYWLPLEEKMKYKMRAGDWEGYGCRSREGGKLDWVDSLNIITNPVDRRRPHLFPELPSSLRNTLESYLLELQKIAAKLLGSMAKALGIDVEEMMEFFDDGIQAVRLAYYPPCPKPELVMGLFPHSDITLINILHQVNGVDGLQIKKDGLWFPLNINPDAFIVNVGDILQIFSNGVYHSIEHKVSTNAEKERISITFSINPKNGADVGPAPSLINPDNPPLFRKVGVEQYFKDYSSRKPSGKAYLDHMRIQNGQDNSA >EOY08087 pep chromosome:Theobroma_cacao_20110822:5:4327602:4329072:-1 gene:TCM_022400 transcript:EOY08087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTQVQSKNEEKDKNTEKERRQSHWCGTLTTANTFFAHELTLEAWCGWSEMKGNSDDNPAFNLSSLPFMHVSLYKARINSACNL >EOY10648 pep chromosome:Theobroma_cacao_20110822:5:35689483:35702892:-1 gene:TCM_025957 transcript:EOY10648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein 2 MAILKALKLFAATPYTSSPLIIESDSRVALSWLNSIGKTTATTRVSGLKLVSFMNQGRSSLSFNLRPMLALLRISCAAKPETVDKVCAIVRKQLALPNDKPVTGDSKFAELGAASLDTVEIVMGLEEEFGITVEEDNAQSIATVQDAADLIEKVCSEKSA >EOY08664 pep chromosome:Theobroma_cacao_20110822:5:17018178:17024030:-1 gene:TCM_023653 transcript:EOY08664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-hairpin glycosidases superfamily protein MHARNHWGGSFDVNHGEEEKSWNTEWDRAALQSQQQDRSLDETQQGWLLGPPQTKKKDKYVDLGCIVCSRKAFKWTLISILSAFIVIAVPIIIAKSLPKHTRRPPPPDNYTVALRKALLFFNAQKSGNLPKNNGISWRGNSGLNDGKEEMDLKGWLVGGYYDAGDNTKFHFPMAFSMTMLSWSLIEYSHKYQSIGEYDHIRDLIKWGTDYLLLTFNSSATKIDKIYCQVGGSLNGSIATPDDHYCWMRPEDMDYKRPVQTAYAGPDLAGEMAAALAAASIVFRDNGAYSRKLIKGAQTVFAFARDGSKRRSYSRGNPYIQPYYNSSGYYDEYMWGAAWLYYATGNVSYISLATNPGLSKNSKALYDIPTNRALSWDNKLPAAMLLLTRYRIFLSPGYPYEDMLHMYHNVTALTMCSYLKEFHYFNWTQGGMIQLNLGKPNPLQYVANAAFLANLFADYLNATGVPGWNCNSRFFSSEYLRNFATSQVDYILGKNPMNMSYVVGYDKKFPRHVHHRGASIPHNNIKYSCTGGWKWRDSQNPNPNNITGAMVGGPDRFDHFRDVRTNSNYTEPTLAGNAGLIAALASLTRSGGHGIDKNTIFSAVPPLYPKSPPPAAPWRP >EOY09598 pep chromosome:Theobroma_cacao_20110822:5:30117951:30119812:-1 gene:TCM_025013 transcript:EOY09598 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein MEFGIVSREIIKPSSPGLHLPKPFQLSFLDQLIPNAAFVPLILFYRIKDNAHFSNLHISAKLKKSLSDTLNSLYPVSGRVKNNLFIDDFEQGVPYIEAHVGSRMSDFLQHPKLELLNQFVPFQPQESNPESIALLAIQLNVFDCGSVALGMVASHKIFDGSTLSLFLKKSSWFNQGKYKMMRFVFDARAIATLKGKAKSENVVNPTRIDAMTGFIWKSILAASKKASVGAYKPSMFVQAVNIREKMMPPLSSNFIGNGFLWASAVCDFANIDAELHEHVILLREAYAKTESFLNVHRGDTRLQGISEYLKSLEEISKENPEDFCFSSWLNFGFGEADFGWGKPVWVGLVGEIGAMVRSSIVFQKADWNAGGIETWITLREKEMEILENDPDFLQYASPNPSIIIPSDCL >EOY07076 pep chromosome:Theobroma_cacao_20110822:5:378657:385252:-1 gene:TCM_021597 transcript:EOY07076 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein 2 isoform 2 MAATLSHFRSKFNAAKHPFLKPHKLSRNRSFSLCTPILPKSSKHKNSTTSLKTSPQQQNVQPEKSRSRLPSKPVGIADSDPTSSLLFRRLRDGFKIDELGLEILSIALPAALALAADPIASLVDTAFVGHLGSVELAAVGVSVSIFNLVSKLFNVPLLNITTSFVAEEQALIGKNSNDGEFEHQGKKVLPSVSTSLALAAGLGIAEAVALSVGSGFLLNIMGIPVDSPMRGPAEQFLSWRAFGAPPIVIALAAQGTFRGFKDTKTPLYAIGAGNLLNAILDAILIFPIGFGVGGAAVATVTSEYLIAIILLWELNGKVVLISPSVDWRKVALYIKSGGLLIVRTIATLGTLTLATSLAARQGPIPMAGHQICIQVWLAVSLLTDALALSGQALLATDYSQENYQQARRVIYSVLLIGLGTGFSLAVFLFLGFGAFSGLFSTDSEVLQIALSGTLFVASSQPVNAIAFVLDGLYYGVSDYEYAAVSMVLVGLISSAFLLVAAPVFSLAGVWTGLFLFMALRVVAGIWRLGTKTGPWKMIYSDMESRNG >EOY07077 pep chromosome:Theobroma_cacao_20110822:5:378849:385140:-1 gene:TCM_021597 transcript:EOY07077 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein 2 isoform 2 MAATLSHFRSKFNAAKHPFLKPHKLSRNRSFSLCTPILPKSSKHKNSTTSLKTSPQQQNVQPEKSRSRLPSKPVGIADSDPTSSLLFRRLRDGFKIDELGLEILSIALPAALALAADPIASLVDTAFVGHLGSVELAAVGVSVSIFNLVSKLFNVPLLNITTSFVAEEQALIGKNSNDGEFEHQGKKVLPSVSTSLALAAGLGIAEAVALSVGSGFLLNIMGIPVDSPMRGPAEQFLSWRAFGAPPIVIALAAQGTFRGFKDTKTPLYAIGAGNLLNAILDAILIFPIGFGVGGAAVATVTSEYLIAIILLWELNGKVVLISPSVDWRKVALYIKSGGLLIVRTIATLGTLTLATSLAARQGPIPMAGHQICIQVWLAVSLLTDALALSGQQALLATDYSQENYQQARRVIYSVLLIGLGTGFSLAVFLFLGFGAFSGLFSTDSEVLQIALSGTLFVASSQPVNAIAFVLDGLYYGVSDYEYAAVSMGSSRINLISVPTCGCSCVQSCWSLDRLISLHGFACGSWNLEFQMLNAFRVDMGKWWRWQKYHS >EOY07060 pep chromosome:Theobroma_cacao_20110822:5:350240:357796:-1 gene:TCM_021590 transcript:EOY07060 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 1 MEQLVMNSIEQSLDNNQPLSFQTLASIRSLVINPSTSDSTLSSVLNALTRSLQLSRDSVFLHHVVKLLTDLSSRCPHLSPVAIDLLRSNSLFTSSDSPRLVGESLSALVSLTSSQNDVDDARFVSLCLSPSVSVRLWLLRNAEKFAVRDSVLLAVFLGFTRDPYPYVRKAALDGLVKLCEKGDFDDHDVAQGCYFRAVELLCDAEDCVRSPAVRAVCGWGKMIVVSTEERNKQDLADAVFIQLCCMVRDMSMEVRLEAFDALGKIGLVSEDILLQTVSKKVLGMNKEKIYKPIEGLEISASGAAGAFVHGLEDEFSEVRMSACYSLRTLTVFSLRFAGEALNLLMDMLNDDSTVVRLQALGTMHHMAISNNLKVEEIHMHRFLGTLFDSSSAIRFVTRKILKLAKLPKLGLFKLCIDGLLGNLETYPEDEVDVFSVLFHIGRNHGKFTVCMIEEVSSELEPAFGGKLGFDSTRVAAFLVLAISVPLSHEKDARGVPPRIFSYAVTWLGRISHALSDLMSQETLLAYLSECSRSSIISLADFKIKDALPTVEGDMPSHLCSDVGSPVRIPLWQQDGETSDHHHTKLWSLGKSATHAEYELGEHDELRKSLNLIFRKVKDLWPLVQLGCTNEALKAIRACKEEVASYTAESPGSAGAVAFTLQYLRITKLLVAVWEHLLLTKKLNPYGVGKLELLLAKLDRRLREINNRFIGLSKGEELQIMDLIVVACLLRLSKVEIYCYETAMKKLSSTISHVEFLHKEGSIEPSHFMVEVKKSLHEVGSSIGGNTCKPLLFKKLVDSFSFEQFVLCASPRYLNAELEVPGNDSESPLPFISGIPASIPLVITLHNISSDNKLWLRISMSEESTQFVFLDLNLIRGNNEVRKFTFVAPFYLTPKAVSFTLRVSIGMECMGETLHLVKTFGGPKCELTYLSPEKEIFLCKSTKC >EOY07059 pep chromosome:Theobroma_cacao_20110822:5:350240:357796:-1 gene:TCM_021590 transcript:EOY07059 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 1 MEQLVMNSIEQSLDNNQPLSFQTLASIRSLVINPSTSDSTLSSVLNALTRSLQLSRDSVFLHHVVKLLTDLSSRCPHLSPVAIDLLRSNSLFTSSDSPRLVGESLSALVSLTSSQNDVDDARFVSLCLSPSVSVRLWLLRNAEKFAVRDSVLLAVFLGFTRDPYPYVRKAALDGLVKLCEKGDFDDHDVAQGCYFRAVELLCDAEDCVRSPAVRAVCGWGKMIVVSTEERNKQDLADAVFIQLCCMVRDMSMEVRLEAFDALGKIGLVSEDILLQTVSKKVLGMNKEKIYKPIEGLEISASGAAGAFVHGLEDEFSEVRMSACYSLRTLTVFSLRFAGEALNLLMDMLNDDSTVVRLQALGTMHHMAISNNLKVEEIHMHRFLGTLFDSSSAIRFVTRKILKLAKLPKLGLFKLCIDGLLGNLETYPEDEVDVFSVLFHIGRNHGKFTVCMIEEVSSELEPAFGGKLGFDSTRVAAFLVLAISVPLSHEKDARGVPPRIFSYAVTWLGRISHALSDLMSQETLLAYLSECSRSSIISLADFKIKDALPTVEGDMPSHLCSDVGSPVRIPLWQQDGETSDHHHTKLWSLGKSATHAEYELGEHDELRKSLNLIFRKVKDLWPLVQLGCTNEALKAIRACKEEVASYTAESPGSAGAVAFTLQYLRITKLLVAVWEHLLLTKKLNPYGVGKLELLLAKLDRRLREINNRFIGLSKGEELQIMDLIVVACLLRLSKVEIYCYETAMKKLSSTISHVEFLHKEGSIEPSHFMVEVKKSLHEVGSSIGGNTCKPLLFKKLVDSFSFEQFVLCASPRYLNAELEVPGNDSESPLPFISGIPASIPLVITLHNISSDNKLWLRISMSEESTQFVFLDLNLIRGNNEVRKFTFVAPFYLTPKAVSFTLRVSIGMECMGETLHLVKTFGGPKCELTYLSPEKEIFLCKSTKC >EOY07061 pep chromosome:Theobroma_cacao_20110822:5:352169:357967:-1 gene:TCM_021590 transcript:EOY07061 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 1 MEQLVMNSIEQSLDNNQPLSFQTLASIRSLVINPSTSDSTLSSVLNALTRSLQLSRDSVFLHHVVKLLTDLSSRCPHLSPVAIDLLRSNSLFTSSDSPRLVGESLSALVSLTSSQNDVDDARFVSLCLSPSVSVRLWLLRNAEKFAVRDSVLLAVFLGFTRDPYPYVRKAALDGLVKLCEKGDFDDHDVAQGCYFRAVELLCDAEDCVRSPAVRAVCGWGKMIVVSTEERNKQDLADAVFIQLCCMVRDMSMEVRLEAFDALGKIGLVSEDILLQTVSKKVLGMNKEKIYKPIEGLEISASGAAGAFVHGLEDEFSEVRMSACYSLRTLTVFSLRFAGEALNLLMDMLNDDSTVVRLQALGTMHHMAISNNLKVEEIHMHRFLGTLFDSSSAIRFVTRKILKLAKLPKLGLFKLCIDGLLGNLETYPEDEVDVFSVLFHIGRNHGKFTVCMIEEVSSELEPAFGGKLGFDSTRVAAFLVLAISVPLSHEKDARGVPPRIFSYAVTWLGRISHALSDLMSQETLLAYLSECSRSSIISLADFKIKDALPTVEGDMPSHLCSDVGSPVRIPLWQQDGETSDHHHTKLWSLGKSATHAEYELGEHDELRKSLNLIFRKVKDLWPLVQLGCTNEALKAIRACKEEVASYTAESPGSAGAVAFTLQYLRITKLLVAVWEHLLLTKKLNPYGVGKLELLLAKLDRRLREINNRFIGLSKGEELQIMDLIVVACLLRLSKVEIYCYETAMKKLSSTISHVEFLHKEGSIEPSHFMVEVKKSLHEVGSSIGGNTCKPLLFKKLVDSFSFEQFVLCASPRYLNAELEVPGNDSESPLPFISGIPASIPLVITLHNISSDNKLWLRISMSEESTQFVFLDLNLIRGNNEVRKFTFVAPFYLTPKAVSFTLRVSIGMECMGETLHLVKTFGGPKCELTYLSPEKEIFLCKSTKC >EOY09030 pep chromosome:Theobroma_cacao_20110822:5:24962551:24966377:1 gene:TCM_024366 transcript:EOY09030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDWLDESNFKEFVKLFIYLVFYFMVKYLCMLLRWLGSERDVQLMSDKFLIQSKYLLIRSSEHILEFL >EOY07995 pep chromosome:Theobroma_cacao_20110822:5:3784018:3785981:1 gene:TCM_022313 transcript:EOY07995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGIQAAIERKIHILEVYGDSALVIYQLRGEWETRDSKLVRYHKYVSKLIENFDEICFNHLPREENQMADALATLAAMFKVGTDVKIQPIMINLRECPAHCSSVEEEIDGKPWYHDIMRGFNRSSENS >EOY08949 pep chromosome:Theobroma_cacao_20110822:5:23833019:23839307:-1 gene:TCM_024246 transcript:EOY08949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein, putative isoform 1 MCTFITLSNLWSTASHSISLGNPAICLHDPSLCLFSISLSHSFYSTPIIFSFSLFYFIVAVMADKVKDRDDEEFFMEYDGADNEEDLEETKVDDGSSGNISDDDSEGGSGSPSAAFSSQQWPQSFQETMDPYTITASPSFGVLGGVPNFTHFSFSSQSKSSLDLDGKLPLLPEHQKSCQKDFLDSISRAPSSWSQKYSLHKQLTGEFPVAYGCNVTQTIFNTVNVMVGVGLLSTPYTIAEGGWASLLVLIVFAVICCYTAILMKYCFESREGIISYPDLGEAAFGRFGRLFISVVLYTELYSYCVEFIILEGDNLTRLFPGVSFDWPGLKMDSMHLFGILTAFVVLPTVWLKDLRLISYLSAGGVVATLVIVLCLLFLGAAGNVGFHHTGQALNWSGIPFVIGVYGFCHSGHSVFPNIYQSMADKSQFKRAMVLCFLLCILLYGGVAAMGFLEFGQGTLSQITLNMPPESFISKIALWTTVINPFTKYALLMIPLARSIEELLPDQVSNSLWCFIFLRAALVFSTVGAAFAMPFFGLMMALIGSVLSSMVAIIMPSLCFIKIVGRKATRAQIVLSITVAAVGIVFAIIGTYSALKGIASNY >EOY08950 pep chromosome:Theobroma_cacao_20110822:5:23833019:23839307:-1 gene:TCM_024246 transcript:EOY08950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein, putative isoform 1 MCTFITLSNLWSTASHSISLGNPAICLHDPSLCLFSISLSHSFYSTPIIFSFSLFYFIVAVMADKVKDRDDEEFFMEYDGADNEEDLEETKVDDGSSGNISDDDSEGGSGSPSAAFSSQQWPQSFQETMDPYTITASPSFGVLGGVPNFTHFSFSSQSKSSLDLDGKLPLLPEHQKSCQKDFLDSISRAPSSWSQKYSLHKQLTGEFPVAYGCNVTQTIFNTVNVMVGVGLLSTPYTIAEGGWASLLVLIVFAVICCYTAILMKYCFESREGIISYPDLGEAAFGRFGRLFISVVLYTELYSYCVEFIILEGDNLTRLFPGVSFDWPGLKMDSMHLFGILTAFVVLPTVWLKDLRLISYLSAGGVVATLVIVLCLLFLGAAGNVGFHHTGQALNWSGIPFVIGVYGFCHSGHSVFPNIYQSMADKSQFKRAMVLCFLLCILLYGGVAAMGFLEFGQGTLSQITLNMPPESFISKIALWTTVINPLTKYALLMIPLARSIEELLPDQVSNSLWCFIFLRAALVFSTVGAAFAMPFFGLMMALIGSVLSSMVAIIMPSLCFIKIVGRKATRAQIVLSITVAAVGIVFAIIGTYSALKGIASNY >EOY08948 pep chromosome:Theobroma_cacao_20110822:5:23831410:23848442:-1 gene:TCM_024246 transcript:EOY08948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein, putative isoform 1 MCTFITLSNLWSTASHSISLGNPAICLHDPSLCLFSISLSHSFYSTPIIFSFSLFYFIVAVMADKVKDRDDEEFFMEYDGADNEEDLEETKVDDGSSGNISDDDSEGGSGSPSAAFSSQQWPQSFQETMDPYTITASPSFGVLGGVPNFTHFSFSSQSKSSLDLDGKLPLLPEHQKSCQKDFLDSISRAPSSWSQKYSLHKQLTGEFPVAYGCNVTQTIFNTVNVMVGVGLLSTPYTIAEGGWASLLVLIVFAVICCYTAILMKYCFESREGIISYPDLGEAAFGRFGRLFISVVLYTELYSYCVEFIILEGDNLTRLFPGVSFDWPGLKMDSMHLFGILTAFVVLPTVWLKDLRLISYLSAGGVVATLVIVLCLLFLGAAGNVGFHHTGQALNWSGIPFVIGVYGFCHSGHSVFPNIYQSMADKSQFKRAMVLCFLLCILLYGGVAAMGFLEFGQGTLSQITLNMPPESFISKIALWTTVINPFTKYPFGCISLVFSTVGAAFAMPFFGLMMALIGSVLSSMVAIIMPSLCFIKIVGRKATRAQIVLSITVAAVGIVFAIIGTYSALKGIASNY >EOY10871 pep chromosome:Theobroma_cacao_20110822:5:36884394:36887754:1 gene:TCM_026166 transcript:EOY10871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQKVHLLLEDLKQEEKKRKKEKKELGEKEADEESRSEDDEDEESDDINEEWTSEEKKQNIKEEISEERKEEENYEEEYEEEWTSEEEEKNNKEQMSEEEKEEENYEEEYEEKEGKEEQKMEEEEELQENEESNDEEKDKEDDKEETSEEEKEEKDEEKMEEEEDLEENEESSSEEKEEDDDKEETSEEEKEGELKDTEEKHEEEDKEKLENGKSSDEDDEDESENENVEREEKNEANQGETSTSIIGFKTMSPYSLKPSEKSALECELDFHLVCANSLRRVLKSGSHKHNLYYFGADSQMFFDHNVPDFGFYSELLHDVGNILEEDNKVRFLCSTCNEMCNEPFYRCVECAVNFHLKCVPIPQTIESIGHIYPFTLKDSFVEDDSGEYRCDICEEKRQPKDHIYYCEECQGLFVAHIECVLSPVEESSPEGMEQECWLM >EOY07915 pep chromosome:Theobroma_cacao_20110822:5:3308485:3314892:1 gene:TCM_022236 transcript:EOY07915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidyl-tRNA synthetase 1 isoform 1 MPPFPSSLLNPRLSFSLKPLFLFIQSSIAPLQFPVPRQLISAKPRNFSSLASVQSSAIDNGGSSSGGGGGRSGALSPPPVAEEFQRIDVNPPKGTRDFPPEDMRLRNWLFNHFREVSRLFGFEEVDYPVLESEALFIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSLSLPLKWFAVGQCWRYERMTRGRRREHYQWNMDILGVPEVTAEAELISSIVTFFKRIGISESDVGFKVSSRKVLQEVLRCYSVPENLFGKVCIVIDKIEKIPIDEIKRELKATGLSEEAIEELLQVLSIKSLTKLEEILGGSGEAIADLKQLFLLAEKFGYSEWIQFDASVVRGLAYYTGIVFEGFDREGKLRAICGGGRYDRLLSTFGGDDIPACGFGFGDAVIVELLKEKGLLPELSLEVDNIVCALDYDLQGVAATVATKLRGKGQSVDLVLESKPLKWVFKRAARANAERLILVGNTEWQKGMVGVKILSSGEQYEIKLDELE >EOY07916 pep chromosome:Theobroma_cacao_20110822:5:3308485:3314892:1 gene:TCM_022236 transcript:EOY07916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidyl-tRNA synthetase 1 isoform 1 MPPFPSSLLNPRLSFSLKPLFLFIQSSIAPLQFPVPRQLISAKPRNFSSLASVQSSAIDNGGSSSGGGGGRSGALSPPPVAEEFQRIDVNPPKGTRDFPPEDMRLRNWLFNHFREVSRLFGFEEVDYPVLESEALFIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSLSLPLKWFAVGQCWRYERMTRGRRREHYQWNMDILGVPEVTAEAELISSIVTFFKRIGISESDVGFKVSSRKVLQEVLRCYSVPENLFGKVCIVIDKIEKIPIDEIKRELKATGLSEEAIEELLQVLSIKSLTKLEEILGGSGEAIADLKQLFLLAEKFGYSEWIQFDASVVRGLAYYTGF >EOY07754 pep chromosome:Theobroma_cacao_20110822:5:2586475:2588006:-1 gene:TCM_022089 transcript:EOY07754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S12/S23 family protein MGKTRGMGAGRKLRTHRRRQRWADKAYKKSNLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >EOY08152 pep chromosome:Theobroma_cacao_20110822:5:5089644:5101874:-1 gene:TCM_022491 transcript:EOY08152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch branching enzyme 2.1 isoform 1 MVYGVSAIRLSCVPSVYRFSQSSFNGARRSSSFSLLLKKDQFSRKIFAQKSSYDSDSSSLTVTASEKVLAPGGQGDGSSSLTGQLESPSTISDDPQVTHDVDGNEMEDDEKVEVEEQESVPSALANNDEEACNEEPSVPLHMKVSTEKSEAKPRSIPPPGAGQKIYEIDPTLLGFREHLDYRYAQYKRMREAIDKYEGGLEVFSRGYEKLGFTRSETGITYREWAPGAKSAALIGDFNNWNPNADIMSQNEFGVWEIFLPNNADGSPPIPHGSRVKIHMETPSGIKDSIPAWIKFSVQAPGEIPYSGIYYDPQEEEKYVFKHPQPKRPKSLRIYESHVGMSSTEPIINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDRAHELGLLVLMDIVHSHASNNVLDGLNMFDGTDGHYFHLGSRGHHWMWDSRLFNYESWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLEVAFTGNYNEYFGFATDVDAVVYLMLVNDMIHGLYPEAVTIGEDVSGMPTFCLPVQDGGVGFDYRLQMAIADKWIEILKCVVIHF >EOY08150 pep chromosome:Theobroma_cacao_20110822:5:5085533:5101874:-1 gene:TCM_022491 transcript:EOY08150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch branching enzyme 2.1 isoform 1 MVYGVSAIRLSCVPSVYRFSQSSFNGARRSSSFSLLLKKDQFSRKIFAQKSSYDSDSSSLTVTASEKVLAPGGQGDGSSSLTGQLESPSTISDDPQVTHDVDGNEMEDDEKVEVEEQESVPSALANNDEEACNEEPSVPLHMKVSTEKSEAKPRSIPPPGAGQKIYEIDPTLLGFREHLDYRYAQYKRMREAIDKYEGGLEVFSRGYEKLGFTRSETGITYREWAPGAKSAALIGDFNNWNPNADIMSQNEFGVWEIFLPNNADGSPPIPHGSRVKIHMETPSGIKDSIPAWIKFSVQAPGEIPYSGIYYDPQEEEKYVFKHPQPKRPKSLRIYESHVGMSSTEPIINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDRAHELGLLVLMDIVHSHASNNVLDGLNMFDGTDGHYFHLGSRGHHWMWDSRLFNYESWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLEVAFTGNYNEYFGFATDVDAVVYLMLVNDMIHGLYPEAVTIGEDVSGMPTFCLPVQDGGVGFDYRLQMAIADKWIEILKKRDEDWKMGNIIHTLTNRRWLEKCVAYAESHDQALVGDKTLAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGEQHLPNGSVIPGNNCSYDKCRRRFDLGDADYLRYRGMQEFDQAMQHLEAKYGFMTSEHQYISRKNEGDRMIVFERGNLVFVFNFHWINSYFDYRVGCLKPGKYKIVLDSDDPLFGGFNRLDHNAEYFSTEGWYDDRPRSFLVYAPSRTAVVYALVEDEPEAVGKPEPVQKIETVEEEAEAVEELEPRKESEPLEG >EOY08149 pep chromosome:Theobroma_cacao_20110822:5:5086597:5101722:-1 gene:TCM_022491 transcript:EOY08149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch branching enzyme 2.1 isoform 1 MVYGVSAIRLSCVPSVYRFSQSSFNGARRSSSFSLLLKKDQFSRKIFAQKSSYDSDSSSLTVTASEKVLAPGGQGDGSSSLTGQLESPSTISDDPQVTHDVDGNEMEDDEKVEVEEQESVPSALANNDEEACNEEPSVPLHMKVSTEKSEAKPRSIPPPGAGQKIYEIDPTLLGFREHLDYRYAQYKRMREAIDKYEGGLEVFSRGYEKLGFTRSETGITYREWAPGAKSAALIGDFNNWNPNADIMSQNEFGVWEIFLPNNADGSPPIPHGSRVKIHMETPSGIKDSIPAWIKFSVQAPGEIPYSGIYYDPQEEEKYVFKHPQPKRPKSLRIYESHVGMSSTEPIINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDRAHELGLLVLMDIVHSHASNNVLDGLNMFDGTDGHYFHLGSRGHHWMWDSRLFNYESWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLEVAFTGNYNEYFGFATDVDAVVYLMLVNDMIHGLYPEAVTIGEDVSGMPTFCLPVQDGGVGFDYRLQMAIADKWIEILKKRDEDWKMGNIIHTLTNRRWLEKCVAYAESHDQALVGDKTLAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGEQHLPNGSVIPGNNCSYDKCRRRFDLGDADYLRYRGMQEFDQAMQHLEAKYGFMTSEHQYISRKNEGDRMIVFERGNLVFVFNFHWINSYFDYRVGCLKPGKYKIVLDSDDPLFGGFNRLDHNAEYFSTEGWYDDRPRSFLVYAPSRTAVVYALVEDEPEAVGKPEPVQKIETVEEEAEAVEELEPRKESEPLEG >EOY08151 pep chromosome:Theobroma_cacao_20110822:5:5088225:5101699:-1 gene:TCM_022491 transcript:EOY08151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch branching enzyme 2.1 isoform 1 MVYGVSAIRLSCVPSVYRFSQSSFNGARRSSSFSLLLKKDQFSRKIFAQKSSYDSDSSSLTVTASEKVLAPGGQGDGSSSLTGQLESPSTISDDPQVTHDVDGNEMEDDEKVEVEEQESVPSALANNDEEACNEEPSVPLHMKVSTEKSEAKPRSIPPPGAGQKIYEIDPTLLGFREHLDYRYAQYKRMREAIDKYEGGLEVFSRGYEKLGFTRSETGITYREWAPGAKSAALIGDFNNWNPNADIMSQNEFGVWEIFLPNNADGSPPIPHGSRVKIHMETPSGIKDSIPAWIKFSVQAPGEIPYSGIYYDPQEEEKYVFKHPQPKRPKSLRIYESHVGMSSTEPIINTYANFRDDVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDRAHELGLLVLMDIVHSHASNNVLDGLNMFDGTDGHYFHLGSRGHHWMWDSRLFNYESWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLEVAFTGNYNEYFGFATDVDAVVYLMLVNDMIHGLYPEAVTIGEDVSGMPTFCLPVQDGGVGFDYRLQMAIADKWIEILKKRDEDWKMGNIIHTLTNRRWLEKCVAYAESHDQALVGDKTLAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGEQHLPNGSVIPGNNCSYDKCRRRFDLGDADYLRYRGMQEFDQAMQHLEAKYGFMTSEHQYISRKNEGDRMIVFERGNLVFVFNFHWINSYFDYRVGCLKPGKYKPDCIGFR >EOY10029 pep chromosome:Theobroma_cacao_20110822:5:32360716:32370071:1 gene:TCM_025401 transcript:EOY10029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MMFIKAKIFASIRGSIRKRDNVKELLKAIHEQFESSNKALASTLMTKLSSMKLTSVKRFYNPYFEYLVSLSKPKKANGYAKAIGCKYVFKTKKDSLGNIERYKAILVAKGFTQKEGINYMKTFSPISKIDSLHVIMALVAHFNLELHQMDVKIAFLNGNLEEKVYMKQPERFSSSDGEHLVCKLNKSIYGLTQASHQWYLKFYDVCTRLEIAFVVGMLGRYQSNPSMDHWKGVKKVMRDLKGTKDYMLMYRRIDNLEVVGYLDSDFTRCVDSQKSTPGYVFMFDYRTCLKGVQNRPSLLLLLSRPGTSHRARDNSRDASTNILHPNVDRNLTKLSYQLSHFPGAFSSFLSKTSKLAKKVVAVPWRWLSSPSRGGLA >EOY10028 pep chromosome:Theobroma_cacao_20110822:5:32362787:32363661:1 gene:TCM_025401 transcript:EOY10028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MDRKFLAVVNFILVAARTRTSRKKSHFSLKTSHPAKITIATCNTCKLPNFNKTNAYIFAFTTIYPSSTCTPSHHHHHAHHPIIIISYATAYAHIAGSATTYVHSHSHITGSATTYVHSYSHIAGSTTAYVHSYSHIVGSATAYVHSHSHIAGSATAYVHSHSHIAGSATAYVHSHSHIAGSATAYVHSYSHIAGSKSTCKEASNAYHAFYHIVITHKPLYSTFSQYKIILLKVCSQVRGYNPLPVSNGSPPSHNP >EOY11313 pep chromosome:Theobroma_cacao_20110822:5:38905342:38914552:-1 gene:TCM_026538 transcript:EOY11313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase 1 MNFGIGPMALNNAGKQKKIQGSVVVVKKNLLDYNDLNDFQSGPSDTILEMLGQRVSLRLVSADKTDPTNMLGGKMGAPAYLQNWNFTPVLGDCKFTVSFAWDEDLGTPGAILVRNAHHGEFYLKTVTLDDVPGRGPIHFVCNSWVYPDEKYQSDRVFFANKTYLPNEMPGPLRKYREEELKVLRGNGEGELKEWDRVYDYALYNDLGDPDKGQDYQRQTLGGSLEYPYPRRGRTGRPPTKTDKSCESRLPLPKSLFIYVPRDEKFGHVKLLDFVGNGLSALPQNIFPIFEALCGNPPKEFDSFNEVLRVLYGGNIRSLIPNEKLKELLDYPVPQVIQDSTSAWRTDAEFAREMLAGVNPVVIRRLEEFPPKSKLDPKIYGNQNSLIRKEHIECNLGGYTIEQALMGNKLFILDHHDSLMPYLRRINTTTTKTYASRTLLFLTADGTLKPLAIELSYPHPEADIYGCVSKVYKPAEEGVEGSIWQLAKAYVAVNDSGYHQLISHWLNTHAVIEPFVIATNRQLSVVHPIYKLLQPHFRDTMNINALARQTLINANGILELTVFPGKYAMEMSSAIYKSWNFLEQALPVDLKKRGIAVDDKNSPRGLRLLIKDYPYAVDGLEIWFAIEKWVRDYCSFYYKSDAMVQQDPELQAWWKELRERGHGDKKDEPWWPSMQTLEELIHSCTIIIWVASALHAAVNFGQYPYAGYLPNRPTISRMFMPEKGSPEYAELETNFERVFLKIVTSQLMTLLGVSLIEILSKHSPDEVYLGQRSDPDKWTTDAAPLKAFNDFGNKLSQIEQRIIQMNHDKTLKNRSGPVNVLYTLLFPTSESGLTGKGIPNSVSI >EOY09043 pep chromosome:Theobroma_cacao_20110822:5:25063509:25065564:-1 gene:TCM_024379 transcript:EOY09043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSNERHPGEVRVPIHEIEHSAFIRDERTKLKSALREAQKQESDSSQRAKPLIQRVPPVLIGIKEDFKKYFEPRLVALGPLHHGKPQFERAEHTKRKLAGLFANENETTDEVLFNKIMAEIKDLKQCYNPEDIKDYDDEKLAWMFFVDGCAVLFAVHYGLQGDFKKLNIKADLLVFAQLDLFLLENQLPYRVLNILIGSTKDPQMWEQSITKFVGNNLITNIPDGKKSQHTDEEEKQEYTHLLERLRTKHLTGKKEESSSSMIGRLLLSCGDNRKHRKTFRSVKELKESGIGVGPSETNNLKNISFYCNFLGSLKMPRILVDDSTASKFLNLVALEMCRDFENDFAVTSYLYFLDSLIDTAEDVKEMRVTGMLHNYLGSDEEVADLFNKLSRDLVPDQAMYKDVTDNIHKYCNNPWTTAMAQAYYTHFSSPWTFLGLLAALLGLLFSAIQAYYAFPNHKRSD >EOY07790 pep chromosome:Theobroma_cacao_20110822:5:2704897:2714382:-1 gene:TCM_022119 transcript:EOY07790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein, putative isoform 1 MAAGYTSPSSLEHTPTWALATVCFVFISISIALEHSIHLLTNWLKRRRKAALNDAVEKLKSELMLLGFMSLLLAVTQSRISHICIPAKMADIMLPCSKQVKSKITKVQAYDQNFESETSGRRFSSVSALKDDILWQIHRQLADDDQATTKSGAADIPYCSEGKVSLVTSKGLQQLHKFIFVLAVMQIVHSVVTMVLGRAKMRRWKAWEKETKTTEYQVANDPERFRFTRQTTFGRRHVSTCTETSIQLWIKCFFRQFFNSVAKVDFMTLRHGFISAHLSGRYSNFDFQKYIERSLDEDFKIVVSISPLMWFVVVILMLVDVHGWHAYLWLSYVPLLVVLTVGTKLEVIVARMALQINNQNRVIRGTPLVQPKDELFWFGRPQFVLTLLHFALFTNAFEVAFFIWVLILFGFDSCYHEHTAITATRIVLAIVVQVLCSYITLPLYALVTQMGSEFKSKALEDQTTKIIKQWHADVRDRRKRQELLQSPHPSMSTEWSPRRGSTAEFASLPRAGPTLTESIHLSNRGGITEEQDKIVKVEAGCSRGPSQPVILDRPMLRKG >EOY07791 pep chromosome:Theobroma_cacao_20110822:5:2704980:2710565:-1 gene:TCM_022119 transcript:EOY07791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein, putative isoform 1 MAAGYTSPSSLEHTPTWALATVCFVFISISIALEHSIHLLTNWLKRRRKAALNDAVEKLKSELMLLGFMSLLLAVTQSRISHICIPAKMADIMLPCSKQVKSKITKVQAYDQNFESETSGRRFSSVSALKDDILWQIHRQLADDDQATTKSGAADIPYCSEGKVSLVTSKGLQQLHKFIFVLAVMQIVHSVVTMVLGRAKMRRWKAWEKETKTTEYQVANDPERFRFTRQTTFGRRHVSTCTETSIQLWIKCFFRQFFNSVAKVDFMTLRHGFISAHLSGRYSNFDFQKYIERSLDEDFKIVVSISPLMWFVVVILMLVDVHGWHAYLWLSYVPLLVVLTVGTKLEVIVARMALQINNQNRVIRGTPLVQPKDELFWFGRPQFVLTLLHFALFTNAFEVAFFIWVLILFGFDSCYHEHTAITATRIVLA >EOY11232 pep chromosome:Theobroma_cacao_20110822:5:38415115:38425151:-1 gene:TCM_026471 transcript:EOY11232 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative isoform 2 MAGCAIAIGACANVCSEAAKGIFQEIKRHMRYVFVYKKNVDKFEGKMKLLIAKRQSVQLEVVTAKRNVEEIKPHVQQWCDKVDEAINEDVKKVKDLEDKAKNKCFFDLCPNIKYRYQLSKKAEGAAAAVDELRQHGVELGSVGYRNVREVPEAPKNFRAFDSRKEICDRVIEALKDSTTSMIGVYGTGGVGKTTLVNEVARRVQEDKLFGWVAKATVTRTPDIKNIQYEIAESLGLKLNETESGGERARLLLKRLKEEQQRVLVVLDDIWASLDLQQVGIPLGDQHKGCKILLTSRNRDILTNQMDAKKAFVIDVLKETESWDLFKRTVENHFEDSELRSVATEVAKKCEGLPVAIVTVATALRNQPIYAWNDANLQLQRPSPSNFTGIPHAVYSAIQFSYNNLESEELRQTFLLCCLLGHNARTEDLVRYGLGLRSFENVNKVEDTRNRVLTLVRKLKAFCLLRDSYSDDRFDMHDFDCDVALAIASRDNHAFALKHKGVFDDWPDEERMRNFKMFSLSFDSVEKLPHELECPLLDFFSMGSKDFDVEMPAKFFEKMKNLKVLDLLKMKFSSINLPTSLRALCLNQCVLGDMINLGKLKNLEIFSLFRCSSVTLPKEIGQLTKLRLLDLSNCHKLIISAGVLSSLSKLEELSIGTSFVEWDLGSNARLAELKDLSGLTTLDVCIPDADIVLKDLFSEKLRRYNIVIGSETGWYERIEYSRILKLKVGTSIDNLGDGVLRLLKETEALYLEGPEGVKIALNNREGFLHLKHLHIEGASEIQYIMNDTAAVDRIAFQQLRSLKLVFLPELISFCSKNKSGGSTSTPQHESALFGEEMDFPCLEDLSLRFINVERIWHNQFSIRSGCTQTLTNLSITGCNNLKHLLSFSMAKSLTHLKSFDVVGCKCLREIIFTEDIEEDMISQIFPKLEVLQLHELPNLTRFYRGSNCEFPLLEKLIIRDCPTFETFISKTTVVNEIHIIPSKWPSLKRMMVYGCNKVEIFASENLLSFGESTNQQPLFWVNEVTFPNLEELTLSGNDIMKEIWHGQLRAECDEGKRCIVFTRLKYLKLSCLPTLASFCLGDQIFEFPALENMIVTACPKMKIFCRGDLSTPQLRKVISKEKRWGIDWEGKEEGWWEVDLKTTVKWMFENKIAYCDVRLSDTSELMKIWSRNPQEILTFKYLEKLEVDDCSTLRFLFTLSMALGLPQLRELIVKNCIVMEHIIIEEGSDAQVANKTVFLLLRSITLESCADLASFYQGSKILECPSLEGVNLSNCPKMFTFASAFSREKRIEMIDDVDGGNATRLSKGIAHTAFFDNTVAFPRLHSLHIEGTRELRKIWDDKVTMNSFCNLRYLKVKDCERVSNIFPFNMMERLQKLIFLRIVNCDSLEEIIGPQGLNSNESHAVTATELRPSELPELTLLSKEEIPLGEVVFNKLAYLKVSRWGKLKNLVPSLTSFKNLETLEVSECHGLRNLVTLPVAKSMVLLEFMKITDCQMLEEIIAFTSDEVMDGIFFSLWYLELGGLPSVSRFCSGNYKLGFPSLREVIMRQCPKMEIFSKGELSTPKLHRIQLTEGEYVGLWEGNLNATIQQLFKKKNVQNCEEETKVSL >EOY11233 pep chromosome:Theobroma_cacao_20110822:5:38418091:38513795:-1 gene:TCM_026471 transcript:EOY11233 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative isoform 2 MAGCAIAIGACANVCSEAAKGIFQEIKRHMRYVFVYKKNVDKFEGKMKLLIAKRQSVQLEVVTAKRNVEEIKPHVQQWCDKVDEAINEDVKKVKDLEDKAKNKCFFDLCPNIKYRYQLSKKAEGAAAAVDELRQHGVELGSVGYRNVREVPEAPKNFRAFDSRKEICDRVIEALKDSTTSMIGVYGTGGVGKTTLVNEVARRVQEDKLFGWVAKATVTRTPDIKNIQYEIAESLGLKLNETESGGERARLLLKRLKEEQQRVLVVLDDIWASLDLQQVGIPLGDQHKGCKILLTSRNRDILTNQMDAKKAFVIDVLKETESWDLFKRTVENHFEDSELRSVATEVAKKCEGLPVAIVTVATALRNQPIYAWNDANLQLQRPSPSNFTGIPHAVYSAIQFSYNNLESEELRQTFLLCCLLGHNARTEDLVRYGLGLRSFENVNKVEDTRNRVLTLVRKLKAFCLLRDSYSDDRFDMHDFDCDVALAIASRDNHAFALKHKGVFDDWPDEERMRNFKMFSLSFDSVEKLPHELECPLLDFFSMGSKDFDVEMPAKFFEKMKNLKVLDLLKMKFSSINLPTSLRALCLNQCVLGDMINLGKLKNLEIFSLFRCSSVTLPKEIGQLTKLRLLDLSNCHKLIISAGVLSSLSKLEELSIGTSFVEWDLGSNARLAELKDLSGLTTLDVCIPDADIVLKDLFSEKLRRYNIVIGSETGWYERIEYSRILKLKVGTSIDNLGDGVLRLLKETEALYLEGPEGVKIALNNREGFLHLKHLHIEGASEIQYIMNDTAAVDRIAFQQLRSLKLVFLPELISFCSKNKSGGSTSTPQHESALFGEEMDFPCLEDLSLRFINVERIWHNQFSIRSGCTQTLTNLSITGCNNLKHLLSFSMAKSLTHLKSFDVVGCKCLREIIFTEDIEEDMISQIFPKLEVLQLHELPNLTRFYRGSNCEFPLLEKLIIRDCPTFETFISKTTVVNEIHIIPSKWPSLKRMMVYGCNKVEIFASENLLSFGESTNQQPLFWVNEVTFPNLEELTLSGNDIMKEIWHGQLRAECDEGKRCIVFTRLKYLKLSCLPTLASFCLGDQIFEFPALENMIVTACPKMKIFCRGDLSTPQLRKVISKEKRWGIDWEGKEEGWWEVDLKTTVKWMFENKIAYCDVRLSDTSELMKIWSRNPQEILTFKYLEKLEVDDCSTLRFLFTLSMALGLPQLRELIVKNCIVMEHIIIEEGSD >EOY07243 pep chromosome:Theobroma_cacao_20110822:5:905852:908876:-1 gene:TCM_021714 transcript:EOY07243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain protein MSSRPFDDDGYIGYDPRLASQRFESYSNFETDSVKDSVADSPPMFNNQSYGTGDDVFVSQPMPETPSPPPIYGSGGGYSAFSSEQNGKGFNGEFGGLDGPILPPPADVEAEEGFALREWRRQNAIQLEEKEKKEKEMLEQIIKEADEYKVEFYRKRSTAVENNKASNREKEKLFLASREKFHAEAEKNYWKAIAELIPHEVPAIEKRGKKDKEKKPSIVVIQGPKPGKPTDLSRMRQILVKLKHNPPPHMKPKPPPPAEAKKDAKTGTPAPPVAPGAGPSAAPPKTAAATTPKTVAAA >EOY11512 pep chromosome:Theobroma_cacao_20110822:5:39490888:39496843:1 gene:TCM_026663 transcript:EOY11512 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MGLGSNGGDDVVVDDGDGGGDGGDCGNGEKSFCSVSCSICLETVADNGDRSWAKLQCGHQFHLDCIGSAFNIKGAMQCPNCRKIEKGQWLYANGCRSYPEFSVDDWTHDEDLYDLSYSEMSFGVHWCPFGSVARLPSSFEEGEFSSTTYHELLGQHAIFAEHSAVSSASHPCPYVAYFGPTIHPSSSNSSGSVSDSSNFNSHWNGPSVPSEIPTSYAFPAVDLHYHGWEHHSPPFSTSSSRIGSSDQPSIPPVSQRSSRSSTDMPRSGSFMHPFVVGHSSGARAGSSVASSLIPPYPGSNARARDRVQALQAYYQQQQPSTSPAIRTPIIPGSRRSSSHRSLAQVGPVASSSDQVGGFYFVPSGTSGRNFQEAENPLSTRFHAWERDHLPSFSLNQVDRDSGWGAFHQAAGGSDPGIRSSSFRQRHGSERTSSQNRS >EOY11513 pep chromosome:Theobroma_cacao_20110822:5:39491085:39496903:1 gene:TCM_026663 transcript:EOY11513 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MGLGSNGGDDVVVDDGDGGGDGGDCGNGEKSFCSVSCSICLETVADNGDRSWAKLQCGHQFHLDCIGSAFNIKGAMQCPNCRKIEKGQWLYANGCRSYPEFSVDDWTHDEDLYDLSYSEMSFGVHWCPFGSVARLPSSFEEGEFSSTTYHELLGQHAIFAEHSAVSSASHPCPYVAYFGPTIHPSSSNSSGSVSDSSNFNSHWNGPSVPSEIPTSYAFPAVDLHYHGWEHHSPPFSTSSSRIGSSDQPSIPPVSQRSSRSSTDMPRSGSFMHPFVVGHSSGARAGSSVASSLIPPYPGSNARARDRVQALQAYYQQQQPSTSPAIRTPIIPGSRRSSSHRSLAQVGPVASSSDQG >EOY11388 pep chromosome:Theobroma_cacao_20110822:5:39145867:39148094:-1 gene:TCM_026585 transcript:EOY11388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-9 isoform 1 MDQQGHGQPPAMGMIGSGAQVPYGSNPYQNQVAGGPNPGSVVTSVGASQPTGAQLAQHQLAYQQIHHQQQQQLQQQLQAFWANQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALSYYMPAQHASQVGTPGMIMGKPVMDPAMYAQQSHPYMAQQMWPSGPEQQQSSSDH >EOY11389 pep chromosome:Theobroma_cacao_20110822:5:39145841:39148098:-1 gene:TCM_026585 transcript:EOY11389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-9 isoform 1 MDQQGHGQPPAMGMIGSGAQVPYGSNPYQNQVAGGPNPGSVVTSVGASQPTGAQLAQHQLAYQQIHHQQQQQLQQQLQAFWANQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALSYYMPAQHASQVGTPGMIMGKPVMDPAMYAQQSHPYMAQQMWPSGPEQQQSSSDH >EOY11382 pep chromosome:Theobroma_cacao_20110822:5:39146332:39147102:-1 gene:TCM_026585 transcript:EOY11382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-9 isoform 1 MDQQGHGQPPAMGMIGSGAQVPYGSNPYQNQVAGGPNPGSVVTSVGASQPTGAQLAQHQLAYQQIHHQQQQQLQQQLQAFWANQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALSYYMPAQHASQVGTPGMIMGKPVMDPAMYAQQSHPYMAQQMWPSGPEQQQSSSDH >EOY11385 pep chromosome:Theobroma_cacao_20110822:5:39145975:39147787:-1 gene:TCM_026585 transcript:EOY11385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-9 isoform 1 MDQQGHGQPPAMGMIGSGAQVPYGSNPYQNQVAGGPNPGSVVTSVGASQPTGAQLAQHQLAYQQIHHQQQQQLQQQLQAFWANQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALSYYMPAQHASQVGTPGMIMGKPVMDPAMYAQQSHPYMAQQMWPSGPEQQQSSSDH >EOY11390 pep chromosome:Theobroma_cacao_20110822:5:39145841:39148094:-1 gene:TCM_026585 transcript:EOY11390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-9 isoform 1 MDQQGHGQPPAMGMIGSGAQVPYGSNPYQNQVAGGPNPGSVVTSVGASQPTGAQLAQHQLAYQQIHHQQQQQLQQQLQAFWANQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALSYYMPAQHASQVGTPGMIMGKPVMDPAMYAQQSHPYMAQQMWPSGPEQQQSSSDH >EOY11386 pep chromosome:Theobroma_cacao_20110822:5:39146332:39147102:-1 gene:TCM_026585 transcript:EOY11386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-9 isoform 1 MDQQGHGQPPAMGMIGSGAQVPYGSNPYQNQVAGGPNPGSVVTSVGASQPTGAQLAQHQLAYQQIHHQQQQQLQQQLQAFWANQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALSYYMPAQHASQVGTPGMIMGKPVMDPAMYAQQSHPYMAQQMWPSGPEQQQSSSDH >EOY11387 pep chromosome:Theobroma_cacao_20110822:5:39146332:39147102:-1 gene:TCM_026585 transcript:EOY11387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-9 isoform 1 MDQQGHGQPPAMGMIGSGAQVPYGSNPYQNQVAGGPNPGSVVTSVGASQPTGAQLAQHQLAYQQIHHQQQQQLQQQLQAFWANQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALSYYMPAQHASQVGTPGMIMGKPVMDPAMYAQQSHPYMAQQMWPSGPEQQQSSSDH >EOY11384 pep chromosome:Theobroma_cacao_20110822:5:39145832:39147969:-1 gene:TCM_026585 transcript:EOY11384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-9 isoform 1 MDQQGHGQPPAMGMIGSGAQVPYGSNPYQNQVAGGPNPGSVVTSVGASQPTGAQLAQHQLAYQQIHHQQQQQLQQQLQAFWANQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALSYYMPAQHASQVGTPGMIMGKPVMDPAMYAQQSHPYMAQQMWPSGPEQQQSSSDH >EOY11392 pep chromosome:Theobroma_cacao_20110822:5:39145841:39147969:-1 gene:TCM_026585 transcript:EOY11392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-9 isoform 1 MDQQGHGQPPAMGMIGSGAQVPYGSNPYQNQVAGGPNPGSVVTSVGASQPTGAQLAQHQLAYQQIHHQQQQQLQQQLQAFWANQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALSYYMPAQHASQVGTPGMIMGKPVMDPAMYAQQSHPYMAQQMWPSGPEQQQSSSDH >EOY11383 pep chromosome:Theobroma_cacao_20110822:5:39145801:39147772:-1 gene:TCM_026585 transcript:EOY11383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-9 isoform 1 MDQQGHGQPPAMGMIGSGAQVPYGSNPYQNQVAGGPNPGSVVTSVGASQPTGAQLAQHQLAYQQIHHQQQQQLQQQLQAFWANQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALSYYMPAQHASQVGTPGMIMGKPVMDPAMYAQQSHPYMAQQMWPSGPEQQQSSSDH >EOY11391 pep chromosome:Theobroma_cacao_20110822:5:39145841:39147108:-1 gene:TCM_026585 transcript:EOY11391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-9 isoform 1 MDQQGHGQPPAMGMIGSGAQVPYGSNPYQNQVAGGPNPGSVVTSVGASQPTGAQLAQHQLAYQQIHHQQQQQLQQQLQAFWANQYQEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALSYYMPAQHASQVGTPGMIMGKPVMDPAMYAQQSHPYMAQQMWPSGPEQQQSSSDH >EOY10973 pep chromosome:Theobroma_cacao_20110822:5:37304567:37311684:1 gene:TCM_026245 transcript:EOY10973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A 2A, IIA,PLA2A MATGKLITVLSIDGGAVRGIIPSKVLAFLESELQLETLDGDSARIPDYFDFIAGTSTGGLVTAMLSSPDPDDQSKRPFSTEKILQFYKDESPNIFPQTTNRRGRTIEMSTLEPAVDAVVRLISSTSDLRSLRDFFAARADLEDVPLQNVCLSTSAAPYYLPLHKFEINSVNGSRKFNMVDGGIAANNPILLALSEVAKEKSLDGKAQCLDNMDCSKLLVLSLGTGSSKRNKKLEIVNENWGPLLWLWGDNGIPFLDVLMNAIDAMVDIYLSAFFQGTSFKDNYLRIQTDSLKDSKVGMDNSNQENLQNLENIGNALLEKPVSAMNLETGLLKPIRGAGTNRAAITKLAKRLSEERKCRLAQSST >EOY11353 pep chromosome:Theobroma_cacao_20110822:5:39058943:39062312:-1 gene:TCM_026566 transcript:EOY11353 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MKIPYLEPSTKLVEFGIGNISNSCVDPANGAIITQCDGIPLAIQCLSSPVINTVNYALGAFYYLCNKANREEILKPEVVDVIERYAASQNVSFSNLAKAFLDKHVSKDKYESIDNLILTCTATRAFWSKLQNLQKTDIGALIHQERSIQHSVLPECIQVFARPGNVGIPLYRLAMVGGCLTIPLAFLPFAHFFHLLLKPVGQPGPVAQAGVVLGPLVLCRIHDIRESFIQPTYFLGLLSVFLILV >EOY11349 pep chromosome:Theobroma_cacao_20110822:5:39044410:39046362:1 gene:TCM_026562 transcript:EOY11349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family isoform 1 MVARTPPKQRKIMVAPLNPVLLRETVKKVEQCMARLQELQYTVAGGTKVISGVSLSPRSTRGYLRTSLRCKQESLRIKNATPRKSLVGKFPASAGGEWRRMSLPAMLVGETVGEILQASQFAREILAAVDDKTKKKTGVAEDPKTPLTEHTKRRAQPENTELRARRKKEKQNKLQLIRTESDSPSLQRARSRINFKVSSPPKFREFDKENNRHMANRVSPRNRPWAKKAVLFPNPLFSSTPASQQQKFCKTRSPVIARNRQAQTPHKFLIKSPPSASKFQVKIKSPPVVSLSPTRSTNISKRSPKLSAASKLRRSFSPSRLANRLVSPLKSRKSFQKSDGPMSGLKQRPVLLPIRFSTGRI >EOY11348 pep chromosome:Theobroma_cacao_20110822:5:39042205:39046477:1 gene:TCM_026562 transcript:EOY11348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family isoform 1 MSGVMWHHTFKGFLFFFLGLWGFCSLFGIVKVEQCMARLQELQYTVAGGTKVISGVSLSPRSTRGYLRTSLRCKQESLRIKNATPRKSLVGKFPASAGGEWRRMSLPAMLVGETVGEILQASQFAREILAAVDDKTKKKTGVAEDPKTPLTEHTKRRAQPENTELRARRKKEKQNKLQLIRTESDSPSLQRARSRINFKVSSPPKFREFDKENNRHMANRVSPRNRPWAKKAVLFPNPLFSSTPASQQQKFCKTRSPVIARNRQAQTPHKFLIKSPPSASKFQVKIKSPPVVSLSPTRSTNISKRSPKLSAASKLRRSFSPSRLANRLVSPLKSRKSFQKSDGPMSGLKQRPVLLPIRFSTGRI >EOY09996 pep chromosome:Theobroma_cacao_20110822:5:32160909:32163509:1 gene:TCM_025370 transcript:EOY09996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHCLFFWYMAANWKSGGGIASLGKRLLDRSSTRHPTHFNATTTPSQPAAAAAVAVAIRGAHASAYDKNLDDQVRPTVVPDDVIKPQSDKYWAPHPQTGVFGPATELKASAGGERANVAVDSVLEEKAWFRPTSIEDLEKPHHT >EOY07189 pep chromosome:Theobroma_cacao_20110822:5:802703:804433:-1 gene:TCM_021688 transcript:EOY07189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein isoform 2 MRRPSRSDGLAKERLRWTQELHDRFEDAVNQLGGPDRATPKGILKAMGVDGLTIYHVKSHLQKYRILKFVPETNTKCKFERRDISEILPNFGTTSGAQLNEALQMHKEAERKQGDHQLEAQRNLKIKIEAQVIFLERLAGQHGNRATPTKATKPFSPTSLPSLCEESESTAKDGFETDPEADRNEIESGERVQAMYAFESWDQYDHQDMVLNREERVSYLANDISFPWNVPVCSSSPLVPSFL >EOY07190 pep chromosome:Theobroma_cacao_20110822:5:802512:804152:-1 gene:TCM_021688 transcript:EOY07190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein isoform 2 MRRPSRSDGLAKERLRWTQELHDRFEDAVNQLGGPDRATPKGILKAMGVDGLTIYHVKSHLQKYRILKFVPETNTSQHGNRATPTKATKPFSPTSLPSLCEESESTAKDGFETDPEADRNEIESGERVQAMYAFESWDQYDHQDMVLNREERVSYLANDISFPWNVPVCSSSPLVPSFL >EOY08188 pep chromosome:Theobroma_cacao_20110822:5:5467778:5471468:-1 gene:TCM_022528 transcript:EOY08188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine-ribohydrolase 1 isoform 1 MDCEMKKNSHDGIVVNGKNEGVFGSPAKRIKLIIDTDPGIDDSMAILMAFQSPELEILGLTTIFGNVATEDATCNALLLCEIAGCPDVPVAEGSPEPLKGGRPRIADFVHGSDGLGNIFLPPQKTKKSEKSASEFLVEKVSEYPGEVSILALGPLTNLALAIRRDSTFANKVKNIVVLGGAFFALGNVNPAAEANIYGDPEAADVVFTSGANIVVVGINITTQVKMTDDDLLELKQSKGKYAQLLSNMCKFYRDWHVKSDGVYGIFLHDPVSFVALVRPDLFTYKKGVVRVETQGICVGHTLLDQGLKRWNGSNPWTGYSPVSVAWTVNVDEVLKYIKKLLMKP >EOY08190 pep chromosome:Theobroma_cacao_20110822:5:5468159:5471533:-1 gene:TCM_022528 transcript:EOY08190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine-ribohydrolase 1 isoform 1 MDCEMKKNSHDGIVVNGKNEGVFGSPAKRIKLIIDTDPGIDDSMAILMAFQSPELEILGLTTIFGNVATEDATRDSTFANKVKNIVVLGGAFFALGNVNPAAEANIYGDPEAADVVFTSGANIVVVGINITTQVKMTDDDLLELKQSKGKYAQLLSNMCKFYRDWHVKSDGVYGIFLHDPVSFVALVRPDLFTYKKGVVRVETQGICVGHTLLDQGLKRWNGSNPWTGYSPVSVAWTVN >EOY08189 pep chromosome:Theobroma_cacao_20110822:5:5467820:5470295:-1 gene:TCM_022528 transcript:EOY08189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine-ribohydrolase 1 isoform 1 ATCNALLLCEIAGCPDVPVAEGSPEPLKGGRPRIADFVHGSDGLGNIFLPPQKTKKSEKSASEFLVEKVSEYPGEVSILALGPLTNLALAIRRDSTFANKVKNIVVLGGAFFALGNVNPAAEANIYGDPEAADVVFTSGANIVVVGINITTQVKMTGRNLIVISLKQSKGKYAQLLSNMCKFYRDWHVKSDGVYGIFLHDPVSFVALVRPDLFTYKKGVVRVETQGICVGHTLLDQGLKRWNGSNPWTGYSPVSVAWTVNVDEVLKYIKKLLMKP >EOY08702 pep chromosome:Theobroma_cacao_20110822:5:18293707:18316426:-1 gene:TCM_023774 transcript:EOY08702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-cadinene synthase isozyme A, putative MSSQVHSTPASSHDTMSNNENRHLANFRPNIWGELFLSCPSEVNMNATTQLRFEELKQEVRSMLATPMDKPSQKLYLIDAVQRLGVAYHFEKEIEDSLEIIYPNCNVERDDDLCSTAVRFRLLREHGFNVHCESFNKFKDEKGNFKASLISDVRGLLELYEAAHLRVHGEQILEEALAFTSSHLKSAETMVEYPLSTQINNALKRPLRKSLPRLVARNYISIYERYVTQEDTLLEFAKLDFKLLQHLHKKEIKEVYRWWKGLDVAKNFPFIRDRLVECYLWMLGVYFEPHYSLARTFLTKVSALTSILDDIYDAYGTREELKIFTNAIQRWDISCINQLPHYMKVCYSELLSVYKEMEELMAEQGKSYRVQLAKEAMKQQVQAFYAEAKWLHENYTPKLEEYMSIASVTCAYHMLTITSFVGMEDTITKETFIWAYNYPKILRASTIISRLMNDIASHKFEQERGHVASAVECYMKQYGVSEQEAYNEFDKQIKNAWKDINQEFLKPTVVPKPALNRILNLTRVIDLLYKDEDAYTNAGEAAKTSITSLLIDQVPI >EOY11714 pep chromosome:Theobroma_cacao_20110822:5:40114953:40115534:1 gene:TCM_026800 transcript:EOY11714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASGNHLLSAALLLLVASLFICHSYGVTEDVLATICSDTQNQEICVEILESDPRTKSADLPLLSAISIELAMKRASKTFDTFSKFRDNSTDPGLKSSYGKCVEIYQDMKDKLEADQELSRKKQFKKITDVGGLTTLAYNCENGLPSDSPTGAITVDMLLTIQTAIYVNQFVSRSA >EOY10417 pep chromosome:Theobroma_cacao_20110822:5:34589119:34592919:-1 gene:TCM_025777 transcript:EOY10417 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MQAMKKKTCMTLNSAAETIAYNQDLLTEILLRLPTKSLIKFKCVSKQWLFIISDPDFCLSHTRHHHSNGFLSPTALLLKGDCYTPPSEFAIVPLKHYSKVPFFHYIIDPDIKILQSCNGLLICESSRRSYLICNPTTKSFWRLHPPSNSYYNFLSKFCVNLAFDPLRSPHYKIICIWENSREPYKFNLDVFSSETDSWSISIITFEVDEEEAIDFQDGVFCNGRIHWCGYGNETLYFDVENESLKTMPMTLPTRMDAPEECRYFGESRGVLYLAVTYCMAVCLEFDVFEMARDYSQWNWKKRLNLGDAMKVFPELELGCVEYCPGFSGVCFIRSEKEEEPKVVLWADGKIICFDFNEGAWKKLYDLGPGFKIGSLYLGEDDHLHYEKFHAYQYFENLSCL >EOY10127 pep chromosome:Theobroma_cacao_20110822:5:33102003:33113084:1 gene:TCM_025506 transcript:EOY10127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKSRVLGLTLAALCVITSEYACNGSESNPATLFDFGNDLNDPENRLSSWQGSNSCQWQGCGCNNNNGAVVVIDPRKSYPVNSESSSRYGFWNLIGDISPSLLKLRYLEYLDSSLDTFNKLDYMEYSTKSW >EOY08939 pep chromosome:Theobroma_cacao_20110822:5:23596122:23600536:1 gene:TCM_024230 transcript:EOY08939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFEENYPHGPLEASLVARSDRDDVVFLEYVNYLDVSSRVLRIPFESLDFSTSSLLVKPSIEAPLTLDHKSLPSHLSPNLEP >EOY09760 pep chromosome:Theobroma_cacao_20110822:5:30938433:30939361:-1 gene:TCM_025144 transcript:EOY09760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQVINLMRQFEVLKMKEDEIVKDYVDKLMKIVNQVRLIGAELSDARIVKKEIEQIRLEEHIETALPARFKDKTVLESNGKKSYGDKKDKDRKPITNQEVQGEKHGSCNKLGHVKRVCKNKTNQQNQQAQVTEEVETTKELLFMASEGILEKFSETWLLDSA >EOY09986 pep chromosome:Theobroma_cacao_20110822:5:32088664:32092862:-1 gene:TCM_025355 transcript:EOY09986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFEMVEPLLGMPLVSGNAHYDMGSSTHGKSSPASCARGLQDSQGHVKGVFYDPLGIQDSNVVELLAIYNALKLFAFTSSVGSYKLIVEIDSKVVISWVLEGVQRPWNAWRTFNAINRLCATIACIRFIHTSFREATTMAVVMKFGMDGEEMFYAWWIAFDVLIALTHVYVLVVSNNGSLFRHYF >EOY07946 pep chromosome:Theobroma_cacao_20110822:5:3555124:3557321:1 gene:TCM_022278 transcript:EOY07946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein MAKTISYITGSQLLSLNPHPNIAIIDVRDDERSYDGHIAGSLHYASDTFTNKISNLIQDVKGKDTLVFHCALSQVRGPTCARRFANYLEEMKEDVGIKNILVLERGFNGWEASGRPVCRCTDVPCKGECA >EOY10116 pep chromosome:Theobroma_cacao_20110822:5:33057855:33063899:1 gene:TCM_025494 transcript:EOY10116 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLP-like protein 423, putative MHGHLSQDTQVAVPAAVIWDVYGTLELGRLVNKLLGDVIGSVEVIEGDGGVGTLIKVTFRPGSPVDGYMIEKFTKVDDENRVKETEIVEGGYKALGRRKMRGHLSQDTAVEVPAAVIWDVYRGLQLGKLADELLGDVVGKVEVVQGDGGVGTIVKVTFPPGTPGPGYMKERITIIDDEIRLKEAETIEGGFKDVGFDVYRMRLQILEKDAESSIVRSSVDYEIDDKLQELASQATTKPMEILAEVVGKYLKEKLNSTK >EOY08389 pep chromosome:Theobroma_cacao_20110822:5:7396777:7414561:1 gene:TCM_022768 transcript:EOY08389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iaa-amino acid hydrolase 4 MGWFCLLTIISALACPSTGDKAAHSRLNLSSLTRELLDSAKEPEFFDWLRRVRRRIHEYPELAFEEHETSELIRSELDSLGIQYTSPLAKTGIVASLGSGVKPWFGLRADMDALPIQELIEWEHKSKNNGKMHACGHDVHVTMLLGAAKLLQRKRDELKGTVKLVFQPGEESHAGAYHVIKEGAVDHVEAIFGLHVSPEMPTGTVRSRPGPLLAGSARFLAKIQGKGGHAARPHTTRDPVLAASLAILALQQIVSQETDPLEARVVSVGFVQANQAANVIPEIVSFGGTFRSMTTEGLSYLQQRIKQVIETQAVVHQCTATIDFLEEKLRPYPPTVNDESMYEHAKRVGESMLGKSNVLLSPMVMGAEDFSFYSKKMAAAFFMIGTNNETEKPTLRLHSPYLIIDEEVLPIGAAFHAAVAISYLDNHAVHKYQ >EOY10385 pep chromosome:Theobroma_cacao_20110822:5:34428171:34434800:-1 gene:TCM_025753 transcript:EOY10385 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase, putative isoform 2 MTIHSVVIQKLLTTNSHISRQTVTHHFKQFTYGIRNKQAILDSDKTLICLRNALNFITCLSRDPSSSFLFINTNPLFQPIIDEMTLKVTTFNPERVSNLWKMRGFLTNSFSPKKFRSRNKKLVFGPTRLPDCVVVFDTERKSSILSEAQTLGIPIVGLVDSSTPLEFYKKVTYPIPANDSVQFVYLVCNMITKCLMLEKKKKEGEKRIGRKATSREEVKQIEESTGESKVESANEVLVIPYDNLAPLSGDIADMKQLLDKLVVVKFNGALGKNMGFNGPKSLIEVKNGSTSLDLTVNQIQSLNSKYGCNVPLLLINSRTTHDDVLKVLEKYSSSKIDIHSFRQGDQIQQELSFSEGGEDEWYSSDHGAQFLSLMSSGTLDVLLSQGKEYALVVNPDNVAAVVDPQILNHLAQNSVEYCMELEDFTSNPTKHSVKKFKFIDTRNLWVDLRAIKRLVDTNALKLGYLSMLKLFEKAIGIMIPQSRFLPLNSTSDLLLFQSDLYSFTEGVLIRNDARTTPTNPSIDLGPEFEKVSDFQSRFKTIPSIIRLDSLEVTGDVWFGADITLKGRVRIAADPGVKLEIPDGVVLKNEEIKDPRDI >EOY10386 pep chromosome:Theobroma_cacao_20110822:5:34428194:34434669:-1 gene:TCM_025753 transcript:EOY10386 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase, putative isoform 2 MTIHSVVIQKLLTTNSHISRQTVTHHFKQFTYGIRNKQAILDSDKTLICLRNALNFITCLSRDPSSSFLFINTNPLFQPIIDEMTLKVTTFNPERVSNLWKMRGFLTNSFSPKKFRSRNKKLVFGPTRLPDCVVVFDTERKSSILSEAQTLGIPIVGLVDSSTPLEFYKKVTYPIPANDSVQFVYLVCNMITKCLMLEKKKKEGEKRIGRKATSREEVKQIEESTGESKVESANEVLVIPYDNLAPLSGDIADMKQLLDKLVVVKFNGALGKNMGFNGPKSLIEVKNGSTSLDLTVNQIQSLNSKYGCNVPLLLINSRTTHDDVLKVLEKYSSSKIDIHSFRQGDQIQQELSFSEGGEDEWYSSDHGAQFLSLMSSGTLDVLLSQGKEYALVVNPDNVAAVVDPQILNHLAQNSVEYCMEVMPTTSGGLMNFMASSLQGKFKLEDFTSNPTKHSVKKFKFIDTRNLWVDLRAIKRLVDTNALKLGYLSMLKLFEKAIGIMIPQSRFLPLNSTSDLLLFQSDLYSFTEGVLIRNDARTTPTNPSIDLGPEFEKVSDFQSRFKTIPSIIRLDSLEVTGDVWFGADITLKGRVRIAADPGVKLEIPDGVVLKNEGKESSRGYGKTKLHVMYFSCL >EOY08246 pep chromosome:Theobroma_cacao_20110822:5:5913658:5918968:-1 gene:TCM_022593 transcript:EOY08246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster biosynthesis family protein isoform 1 MASISTCLTLLPKSRLFTTKPSVSLKFFPGIQTLTSCPTSPRKTGNFFRPRVSFPVVKASLDVGSNSIRPGGAVETDKLPSDVRKRTMEAVDALGGRVTIGDVSSKAGLNLNQAQKALQALASDTNGFLEVSDEGDVLYVFPKDYRAKLAAKSLRIRFEPWVDKAKAALEYLVRVSFGTALIASIVLVYTTIIALITSRSDEDNRGRRGRSYDTGFTFYFSPTDLFWYWDPYYYRRRRLRTDDDEKMNFIESVFSFVFGDGDPNQGIEEERWKLIGQYIASNGGVVAAEELAPYLDLQTTNGAMSDESYVLPVLLRFDGQPEIDEEGNILYRFPSLQRTASSQRSGRKEYVGRRWSDWVGGIEKFFREKKWQFSKTSSSETAMVFGLGGINLFGVIILGAMLKDTAAVTPSGFIKFVTDIFPLLQIYAGSFFAIPLLRWFIIRQRNTDIEKRNQVREQFARALELPDLSLRRKLLSARDMAQKTVIGPDRIVYSTDRDLVEQDYEAREWDRRFREIEKSE >EOY08247 pep chromosome:Theobroma_cacao_20110822:5:5912467:5919127:-1 gene:TCM_022593 transcript:EOY08247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster biosynthesis family protein isoform 1 MASISTCLTLLPKSRLFTTKPSVSLKFFPGIQTLTSCPTSPRKTGNFFRPRVSFPVVKASLDVGSNSIRPGGAVETDKLPSDVRKRTMEAVDALGGRVTIGDVSSKAGLNLNQAQKALQALASDTNGFLEVSDEGDVLYVFPKDYRAKLAAKSLRIRFEPWVDKAKAALEYLVRVSFGTALIASIVLVYTTIIALITSRSDEDNRGRRGRSYDTGFTFYFSPTDLFWYWDPYYYRRRRLRTDDDEKMNFIESVFSFVFGDGDPNQGIEEERWKLIGQYIASNGGVVAAEELAPYLDLQTTNGAMSDESYVLPVLLRFDGQPEIDEEGNILYRFPSLQRTASSQRSGRKEYVGRRWSDWVGGIEKFFREKKWQFSKTSSSETAMVFGLGGINLFGVIILGAMLKDTAAVTPSGFIKFVTDIFPLLQIYAGSFFAIPLLRWFIIRQRNTDIEKRNQVREQFARALELPDLSLRRKLLSARDMAQKTVIGPDRIVYSTDRDLVEQDYEAREWDRRFREIEKSE >EOY08248 pep chromosome:Theobroma_cacao_20110822:5:5913778:5919098:-1 gene:TCM_022593 transcript:EOY08248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster biosynthesis family protein isoform 1 MASISTCLTLLPKSRLFTTKPSVSLKFFPGIQTLTSCPTSPRKTGNFFRPRVSFPVVKASLDVGSNSIRPGGAVETDKLPSDVRKRTMEAVDALGGRVTIGDVSSKAGLNLNQAQKALQALASDTNGFLEVSDEGDVLYVFPKDYRAKLAAKSLRIRFEPWVDKAKAALEYLVRVSFGTALIASIVLVYTTIIALITSRSDEDNRGRRGRSYDTGFTFYFSPTDLFWYWDPYYYRRRRLRTDDDEKMNFIESVFSFVFGDGDPNQGIEEERWKLIGQYIASNGGVVAAEELAPYLDLQTTNGAMSDESYVLPVLLRFDGQPEIDEEGNILYRFPSLQRTASSQRSGRKEYVGRRWSDWVGGIEKFFREKKWQFSKTSSSETAMVFGLGGINLFGVIILGAMLKDTAAVTPSGFIKFVTDIFPLLQIYAGSFFAIPLLRWFIIRQRNTDIEKRNQVREQFARALELPDLSLRRKFSVLLASSVMSK >EOY07160 pep chromosome:Theobroma_cacao_20110822:5:674914:681340:1 gene:TCM_021668 transcript:EOY07160 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP deaminase / myoadenylate deaminase, putative isoform 1 MDTYTVHIAMAALVGASLVAVSAYYMHRKTLSQLLEFAKTVEREREEVSDGESPQHSKKRRGHHSRRKGNGYYRRGSASLPDVTVISGGIDGEEKRNGAIHVDGIPPGLPRLHTLPQGKSGAHATSAKRSSSLIRPTSPKSPVASASAFESIEGSDDEDNMTDNSKIDTTYLHTNGKAGPNLPDHINANGETIQIAASSMIRSHSVSGDLHGVQPDPIAADILRKEPEQETFARLRIAPTEVPSADEVEAYVVLQECLEMRKRYVFKEPVAPWEKEVISDPSTPKPNPEPFFYAPEEKSDHYFEMQDGVIHVYANKDSKEELFPVADATTFFTDLHHILRVIAAGNIRTLCHHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVFSDLAASKYQMAEYRISIYGRKQSEWDQLASWIVNNDLYSENVVWLIQIPRLYNIYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPHLHVFLKQVVGLDLVDDESKPERRPTKHMPTPDQWTNVFNPAYSYYVYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPDGNDIHRTNVPHIRLEFRDTVGP >EOY07159 pep chromosome:Theobroma_cacao_20110822:5:674757:683338:1 gene:TCM_021668 transcript:EOY07159 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP deaminase / myoadenylate deaminase, putative isoform 1 MDTYTVHIAMAALVGASLVAVSAYYMHRKTLSQLLEFAKTVEREREEVSDGESPQHSKKRRGHHSRRKGNGYYRRGSASLPDVTVISGGIDGEEKRNGAIHVDGIPPGLPRLHTLPQGKSGAHATSAKRSSSLIRPTSPKSPVASASAFESIEGSDDEDNMTDNSKIDTTYLHTNGKAGPNLPDHINANGETIQIAASSMIRSHSVSGDLHGVQPDPIAADILRKEPEQETFARLRIAPTEVPSADEVEAYVVLQECLEMRKRYVFKEPVAPWEKEVISDPSTPKPNPEPFFYAPEEKSDHYFEMQDGVIHVYANKDSKEELFPVADATTFFTDLHHILRVIAAGNIRTLCHHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVFSDLAASKYQMAEYRISIYGRKQSEWDQLASWIVNNDLYSENVVWLIQIPRLYNIYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPHLHVFLKQVVGLDLVDDESKPERRPTKHMPTPDQWTNVFNPAYSYYVYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPDGNDIHRTNVPHIRLEFRDTIWKEEMQQVYLGKAIIPQEVDK >EOY07158 pep chromosome:Theobroma_cacao_20110822:5:674757:683338:1 gene:TCM_021668 transcript:EOY07158 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP deaminase / myoadenylate deaminase, putative isoform 1 MDTYTVHIAMAALVGASLVAVSAYYMHRKTLSQLLEFAKTVEREREEVSDGESPQHSKKRRGHHSRRKGNGYYRRGSASLPDVTVISGGIDGEEKRNGAIHVDGIPPGLPRLHTLPQGKSGAHATSAKRSSSLIRPTSPKSPVASASAFESIEGSDDEDNMTDNSKIDTTYLHTNGKAGPNLPDHINANGETIQIAASSMIRSHSVSGDLHGVQPDPIAADILRKEPEQETFARLRIAPTEVPSADEVEAYVVLQECLEMRKRYVFKEPVAPWEKEVISDPSTPKPNPEPFFYAPEEKSDHYFEMQDGVIHVYANKDSKEELFPVADATTFFTDLHHILRVIAAGNIRTLCHHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVFSDLAASKYQMAEYRISIYGRKQSEWDQLASWIVNNDLYSENVVWLIQIPRLYNIYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPHLHVFLKQVVGLDLVDDESKPERRPTKHMPTPDQWTNVFNPAYSYYVYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPDGNDIHRTNVPHIRLEFRDTIWKEEMQQVYLGKAIIPQEVDK >EOY09354 pep chromosome:Theobroma_cacao_20110822:5:28519216:28523009:1 gene:TCM_024781 transcript:EOY09354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESDDKSGGLILMWHEDYFSVEDHLCHKNYILAMDKIMGRYDIWWCMGGDFNYVCGDDERIVEIQELEMQWQQDGVESGVKEDVMRKRIELWLLYRDEEFFVNTTYGLFKVGNGRSS >EOY07861 pep chromosome:Theobroma_cacao_20110822:5:3028644:3045843:-1 gene:TCM_022181 transcript:EOY07861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit-like protein isoform 1 MQRVDFDSTQQCYSCNAEPGNALHSVFPVQDGKELISELNMDQTEEYSSDVLDKESQLEIKTGGGHNACVTCMLGGKLLSCVGKGCKRDFHLSCLVPALSNYPPGVWHCIWCVKKKKELGVHSVSEVESIWDAREAVSDNKTMPWEKQYFVKYRGLAHVHNRWIPEKKLLLEAPRLVTKYNSKNQEIRWKTEWTVPHRLLQKRKLLFPTNSDENDLDCTYEWLVKWTGLGYEHATWELENSSFLTSPEAMKLMRDFEIRHLKSETLSSHSEEEKKEKCSVSELSQLSFGGSPGEYDRYLSYVNKLLAHWNKCQNAVVYDDQVDQERVIKVILFVLSLQFTARKPILIISKSTALSVWESEFLRVASSANIIVYKGSKDVRSSIRSLEFYNESSSIMFEILLSSSDVVAEDLDMLKAVEWGAVVIDECQSSRMSRYFEQIKRLIADMRLLLVSGQIKDCSADYQNLLSLLDSGYELSSDHLKIDSNTNVYELKETFASYVAFECKSGSSRFVEYWVPVQLSYLQLEQYCAALLSNSMFLSSSLKSDPADALREVIISTRKCCDHPYLLDQSLQSVVTKGLSAEENLAVGIKVSGKLQLLDKILVETKARGLRVLILFQSIGGSGRDSIGNILDDFICQRFGKYSYVRIDGRGYANSKKKVVVNMFNDKESGRLFLLLEDRACLPSIKLSAVDIVILFDSDWEPLNDIKALHRISIGSQFEQLKVFRLYSSFTVEEKILILAKEGRRVDSNIRTLNRNSCLRLLSWGASYLFNKLDEFHGCSKLFSVSNVSCEQSFLNAVLLELLRQLPCRGESNHSAKCSFITKVPQNIVYDGNISLFGEKEIGSMNHEPSTFSWQKLLEGRQPQWKLLSESSPRRKKFQYLDNPPRKSEFGDGGDIKKSQIVVNSTDDPTYPNWKLKGKRKITVANKKRKLAAASKDIGETNFHCSTDGKKDVNQNNQLLLKLGISKLCETLLLPENVRGTAVAFLEYIMRDYDVSWESVSTSQAYQISLCWTAADLLEHKINQNKSLALAKLRLNLDCREEEVDYIYSKLQSVAKKFAQCSENVKGYKKSNCSKRVCVNPQHPVPKTIPSIPSCGQSGTLQSASSNGPDESLTEKTVSSLPLRLVADHFKSDPEHGGTEVIVLEGVRANNSKQHFKVGSPCDQPKDHALILDSRHHQSPVRLPTTDFIAEPSEVPQAQCNEIVTGNDLVTTTNATQPNEDSDETDAVTLERATVFGSPSDQPKDHALISHSRHHRSPVRLPATDFVTEPSEVPQAQCNAMVTGNDLVKTTNATQPNEESDETDAVTLERAIVFGSPSDQPKDHALISESQHHRSPVRLPATNFVAEPSEVPQAQCNAMVTGNDLVKTTNATRPDEESDETDAVSLERATLSRISQHDSAVTHLTGDLNALEFTGTGQSLVEADINTVESYSLLCQETAVSLPLHIRSSSSESSISTIPASGIQHLLSSNQHALCQEAPVPRQPLLEVPLDESSGPPVMHSVTLVPQQPSASTPVGESQMCIENQRSTTTLLRSPNDYPCQVNIVRPVSVTPQPACSKPLRIELERIQKFREQTLKLHEDTILRLKSECDKEIEEICKKYDMLLQDAEVAFMQKGQDLESYCSKVYLNNILAETLTFNLEKNSAGSPAIDSFINQLIQQPSLMLDPQIPSSTGLGAAAPAQMSNHTPTGVVAPHSSPAIRVRGSCWVGNARAPAPHLRALNPPPMSTPHISALRGKMPNQQSVSNPQTISPYLPHGTPRLPRESSGIHFPVFNSYVSALEVPLDIGNHAGPNPQHQLRPWHNWGLTSHIPSLTDRVATGSPVVPAAFDAEPICLSDDD >EOY07860 pep chromosome:Theobroma_cacao_20110822:5:3029414:3047694:-1 gene:TCM_022181 transcript:EOY07860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit-like protein isoform 1 MESNSGISGSSRKGNGANRSKMTSTTAEGLTSLASAGKDSNCSRSSTREMCKNILTSPSPSSGGNKKQFQKPMFKTKRTPKWFEKRRMTRSMKRLDKIDRYFSCFSVSKMCEKVSGSLRYEKVKLVNPQELVKPHDNVKRVADHELNPSNRMRCDSRSYREWLRLRASKYKVSGSYGRLSVTSADACEEVKEEVIESRLLCSKMQRVDFDSTQQCYSCNAEPGNALHSVFPVQDGKELISELNMDQTEEYSSDVLDKESQLEIKTGGGHNACVTCMLGGKLLSCVGKGCKRDFHLSCLVPALSNYPPGVWHCIWCVKKKKELGVHSVSEVESIWDAREAVSDNKTMPWEKQYFVKYRGLAHVHNRWIPEKKLLLEAPRLVTKYNSKNQEIRWKTEWTVPHRLLQKRKLLFPTNSDENDLDCTYEWLVKWTGLGYEHATWELENSSFLTSPEAMKLMRDFEIRHLKSETLSSHSEEEKKEKCSVSELSQLSFGGSPGEYDRYLSYVNKLLAHWNKCQNAVVYDDQVDQERVIKVILFVLSLQFTARKPILIISKSTALSVWESEFLRVASSANIIVYKGSKDVRSSIRSLEFYNESSSIMFEILLSSSDVVAEDLDMLKAVEWGAVVIDECQSSRMSRYFEQIKRLIADMRLLLVSGQIKDCSADYQNLLSLLDSGYELSSDHLKIDSNTNVYELKETFASYVAFECKSGSSRFVEYWVPVQLSYLQLEQYCAALLSNSMFLSSSLKSDPADALREVIISTRKCCDHPYLLDQSLQSVVTKGLSAEENLAVGIKVSGKLQLLDKILVETKARGLRVLILFQSIGGSGRDSIGNILDDFICQRFGKYSYVRIDGRGYANSKKKVVVNMFNDKESGRLFLLLEDRACLPSIKLSAVDIVILFDSDWEPLNDIKALHRISIGSQFEQLKVFRLYSSFTVEEKILILAKEGRRVDSNIRTLNRNSCLRLLSWGASYLFNKLDEFHGCSKLFSVSNVSCEQSFLNAVLLELLRQLPCRGESNHSAKCSFITKVPQNIVYDGNISLFGEKEIGSMNHEPSTFSWQKLLEGRQPQWKLLSESSPRRKKFQYLDNPPRKSEFGDGGDIKKSQIVVNSTDDPTYPNWKLKGKRKITVANKKRKLAASKDIGETNFHCSTDGKKDVNQNNQLLLKLGISKLCETLLLPENVRGTAVAFLEYIMRDYDVSWESVSTSQAYQISLCWTAADLLEHKINQNKSLALAKLRLNLDCREEEVDYIYSKLQSVAKKFAQCSENVKGYKKSNCSKRVCVNPQHPVPKTIPSIPSCGQSGTLQSASSNGPDESLTEKTVSSLPLRLVADHFKSDPEHGGTEVIVLEGVRANNSKQHFKVGSPCDQPKDHALILDSRHHQSPVRLPTTDFIAEPSEVPQAQCNEIVTGNDLVTTTNATQPNEDSDETDAVTLERATVFGSPSDQPKDHALISHSRHHRSPVRLPATDFVTEPSEVPQAQCNAMVTGNDLVKTTNATQPNEESDETDAVTLERAIVFGSPSDQPKDHALISESQHHRSPVRLPATNFVAEPSEVPQAQCNAMVTGNDLVKTTNATRPDEESDETDAVSLERATLSRISQHDSAVTHLTGDLNALEFTGTGQSLVEADINTVESYSLLCQETAVSLPLHIRSSSSESSISTIPASGIQHLLSSNQHALCQEAPVPRQPLLEVPLDESSGPPVMHSVTLVPQQPSASTPVGESQMCIENQRSTTTLLRSPNDYPCQVNIVRPVSVTPQPACSKPLRIELERIQKFREQTLKLHEDTILRLKSECDKEIEEICKKYDMLLQDAEVAFMQKGQDLESYCSKVYLNNILAETLTFNLEKNSAGSPAIDSFINQLIQQPSLMLDPQIPSSTGLGAAAPAQMSNHTPTGVVAPHSSPAIRVRGSCWVGNARAPAPHLRALNPPPMSTPHISALRGKMPNQQSLCFSARSSSGYWQSCWS >EOY07017 pep chromosome:Theobroma_cacao_20110822:5:186492:190112:1 gene:TCM_021555 transcript:EOY07017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein MLSIRSPAAQLLLRSCSFYSTTASAAAVEAERTIREGPRNDWTRQQIKSIYDSPVLDLLFHGAQVHRYAHNFREVQQCTLLSIKTGGCSEDCSYCPQSSRYHTGLKAQKLMTKEAVMQAAKQAKEAGSTRFCMGAAWRDTVGRKTNFNQILEYVKQIRDMGMEVCCTLGMLEKQQALELKKAGLTAYNHNLDTSREYYPNIITTRTYDERLETLQHVREAGINVCSGGIIGLGEAEEDRVGLLHTLATLPSHPESVPINALVAVKGTPLQDQKPVEIWEMIRMIATARIAMPKSMVRLSAGRVRFSMPEQALCFLAGANSIFTGEKLLTTPNNDFDADQLMFKILGLIPKAPSFSEEAAKTSEAENCEEALSSSG >EOY11491 pep chromosome:Theobroma_cacao_20110822:5:39436036:39439406:-1 gene:TCM_026648 transcript:EOY11491 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S21-2 MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINIGHLDELGRYAGSYSSFALCGFVRAQGDADSALDRLWQKKKVEVR >EOY10510 pep chromosome:Theobroma_cacao_20110822:5:35119106:35125968:-1 gene:TCM_025852 transcript:EOY10510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MPVLCMLPMAKGFKHLFLVLATIFLLIHHSEQLQSSQTHTLLRLKLLLNYPDILSSWNSTIDFCNTEPTSQVTVVCYEDSITQLHIIGIKGTPLLPRNFSMDSFVTTLVKLPDLKVLTLVSFGLWGPLPGKIARLSSLEILNMTSNFLYGAIPHELSTVTGLQTLILDDNMFSGWLPEWLGSFPILTVLSLRKNLFNGSLPDSFSSLKNLRVLALSHNHFYGEVPDFSSLTNLQELDLEENAFGPRFPQLGNKLVRLILGKNRFRSGIPSELSSYYQLQWLDLSFNRFVGPFPSTLLSLPSVTYVNTANNKLTGKLFENTSCNVELGFVDLSSNLLTGHLPSCLSDSKDRVFLYARNCLATGKENQHPLSFCRNEALAVGILPQHKKSKLSKVALSLGITGGIIGGIVLLGLIFIFGRRLNAKKTTNKPTTRLIAEKASSTGYTSKLLSDARYISQTMKLGALGLPAYRTFSLEELEDATNNFDTTAFMGEGSQGQMYRGWLKDGTFVAIRCLKMKKSHSTQSLMHHVELISKLRHRHLVSALGHCFECYLDDSSVSRIFLIFEYVPNGTLRSWVSEEHARRSLTWAQRISAAIGIAKGIQFLHTGIVPGVYSNKLKITDILLDQNLIAKISSYNLPLLAESAGKVGHGTFALPKDPSNSARVSYDYKVDVYDFGVILLEMILGRPLKTKNEVQILKNQLQAILATDDVTRRSVADPAAQKSCSDQSLKTMMEICVRCLLKDPTERPSVEDVLWNLQFAAQVQDAWRGDSQSSEGSPGSPSQAPHLRVAFR >EOY10509 pep chromosome:Theobroma_cacao_20110822:5:35119101:35125435:-1 gene:TCM_025852 transcript:EOY10509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MPVLCMLPMAKGFKHLFLVLATIFLLIHHSEQLQSSQTHTLLRLKLLLNYPDILSSWNSTIDFCNTEPTSQVTVVCYEDSITQLHIIGIKGTPLLPRNFSMDSFVTTLVKLPDLKVLTLVSFGLWGPLPGKIARLSSLEILNMTSNFLYGAIPHELSTVTGLQTLILDDNMFSGWLPEWLGSFPILTVLSLRKNLFNGSLPDSFSSLKNLRVLALSHNHFYGEVPDFSSLTNLQELDLEENAFGPRFPQLGNKLVRLILGKNRFRSGIPSELSSYYQLQWLDLSFNRFVGPFPSTLLSLPSVTYVNTANNKLTGKLFENTSCNVELGFVDLSSNLLTGHLPSCLSDSKDRVFLYARNCLATGKENQHPLSFCRNEALAVGILPQHKKSKLSKVALSLGITGGIIGGIVLLGLIFIFGRRLNAKKTTNKPTTRLIAEKASSTGYTSKLLSDARYISQTMKLGALGLPAYRTFSLEELEDATNNFDTTAFMGEGSQGQMYRGWLKDGTFVAIRCLKMKKSHSTQSLMHHVELISKLRHRHLVSALGHCFECYLDDSSVSRIFLIFEYVPNGTLRSWVSEEHARRSLTWAQRISAAIGIAKGIQFLHTGIVPGVYSNKLKITDILLDQNLIAKISSYNLPLLAESAGKVGHGTFALPKDPSNSARVSYDYKVDVYDFGVILLEMILGRPLKTKNEVQILKNQLQAILATDDVTRRSVADPAAQKSCSDQSLKTMMEICVRCLLKDPTERPSVEDVLWNLQFAAQVQDAWRGDSQSSEGSPGSPSQAPHLRVAFR >EOY08806 pep chromosome:Theobroma_cacao_20110822:5:21203500:21207084:-1 gene:TCM_024013 transcript:EOY08806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRIGLEKWVHACSPTRRYQLMTSNIVECVNSCLKHTRQMLITVLTKFIKDMFQRLFHDCKCKREAIEFCVDHYKTNVLVEGYKGPFACVEHVDGVL >EOY11429 pep chromosome:Theobroma_cacao_20110822:5:39252368:39256167:1 gene:TCM_026606 transcript:EOY11429 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein isoform 1 MANITSVIQIVTLFAIVFSTASSVDFNFPVVFNFGDSNSDTGELVAALGDFLELPNGQAYFKAPTGRFCDGRLIIDFLMDAMDLPFLNAYLDSIGTPSFRKGCNFAAAGSTILPPTANAVSPFSLGVQVAQFFRFKARVLELLAKGKKLGKYLPTEDSFQKGLYMIDIGQNDLAGAFYSKTYDQILALIPSVMIEFETGVKKLYDQGARNFWIHNTGPLGCLAQNVAKFGTDASSLDELGCVSKHNQAAKVFNLQLHALCKKLQGQYTDSNFTYVDIYTIKSNLIANYSKLGFEQPIMACCGYGGGPLNYDSRIACGKTKVINGTSMTAKACNDSSEYVNWDGIHYTEAANQYVSTQILTGKYSDPPFSNKMPFLLSLKF >EOY11428 pep chromosome:Theobroma_cacao_20110822:5:39253842:39256119:1 gene:TCM_026606 transcript:EOY11428 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein isoform 1 MAPKAYILQIFFALLFIFLPLTNSITFKYPAIFNFGDSNSDTGELVAAGIESLEPPHGQSYFQTPSGRYCDGRLIIDFLMDAMDLPFLNAYLDSIGTPSFRKGCNFAAAGSTILPPTANAVSPFSLGVQVAQFFRFKARVLELLAKGKKLGKYLPTEDSFQKGLYMIDIGQNDLAGAFYSKTYDQILALIPSVMIEFETGVKKLYDQGARNFWIHNTGPLGCLAQNVAKFGTDASSLDELGCVSKHNQAAKVFNLQLHALCKKLQGQYTDSNFTYVDIYTIKSNLIANYSKLGFEQPIMACCGYGGGPLNYDSRIACGKTKVINGTSMTAKACNDSSEYVNWDGIHYTEAANQYVSTQILTGKYSDPPFSNKMPFLLSLKF >EOY08909 pep chromosome:Theobroma_cacao_20110822:5:22926596:22929163:1 gene:TCM_024180 transcript:EOY08909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 5 isoform 2 MRNPATATTPATKITTTTTRNNSGAKTPCCIKVGLKRGPWTPEEDELLASYIKREGEGRWRTLPKRAGLLRCGKSCRLRWMNYLRPSVKRGQIAPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLINQGIDPRTHKPLNPQQSPSDLKASSSSKANRGGATIPKPNNPASSSLEETSSGQITAHKENEYFQSNNTLHHYQTETAMAHGFTSLLNCEDSGIEMRSNKNQGISNEEDEDINYCTDDVFSSFLNSLINEEAFANQNQMQQQTNVTAQADALVSVTATTFGLVQGWESPIM >EOY08908 pep chromosome:Theobroma_cacao_20110822:5:22927583:22929496:1 gene:TCM_024180 transcript:EOY08908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 5 isoform 2 MRNPATATTPATKITTTTTRNNSGAKTPCCIKVGLKRGPWTPEEDELLASYIKREGEGRWRTLPKRAGLLRCGKSCRLRWMNYLRPSVKRGQIAPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLINQGIDPRTHKPLNPQQSPSDLKASSSSKANRGGATIPKPNNPASSSLEETSSGQITAHKENEYFQSNNTLHHYQTETAMAHGFTSLLNCEDSGIEMRSNKNQGISNEEDEDINYCTDDVFSSFLNSLINEEAFANQNQMQQQTNVTAQADALVSVTATTFGLVQGWESPIMSSNFNQNDPNRVKDHLN >EOY10035 pep chromosome:Theobroma_cacao_20110822:5:32494006:32512702:-1 gene:TCM_025414 transcript:EOY10035 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMV resistance protein N MAVSMVHHQESSLSDSQYTYDVLLSFRGADTRKNFTDHLYMALVQAGIHTFRDDDEIGKGENIKNEIERAIYESKISIIVFSKNYASSTWCLNELVKIMEHSKFSKHIVLPIFYDVNPSQVKKQTGSFAAAFAGHEESFKSEMDMVQRWRAALTEVADLGGMLLEDGHEWQFIQDIIKQVQNKLHHTALYVPPIVVGIDSLVTCINWWLGDGSNKVGIATICGIGGIGKTTIAKIVYNLNIQRFESYSFLADVREITQERKGLVHLQRQLIADILKGKVNKIHNSDDGITKIKEAIYRRRVLLVLDDVDDSEKITKIIGAQIPFHPGSKIIITSRHRCLLSDPFIRQMFDLEASSSYGELCKVFEVKELAFNDSLQLFKWYAFGQCHPIDSYMEYVKSVVEHCGGLPLALQVLGSSLSGKSINVWRSALEKLKAIPDSKIQKILRISYDSLQDDHDKNLFLDIACVFVGKDKDYTTTILDECDYYTAIGIENLINRSLLVVNEKNKLMMHQMIREIGRNIIRQESPNLGKRSRLWHKDAFDALREKIGTKTIRCLTIDLHRLLEDKYGKTIANHSKNPLLMSTEEDIETDAFAKMQRLKLLQLDYVKLKGDYKDFPRSLIWLCWHGFPLEYLPKNLYISKLVVLEMHNSSLKRVWNDTKYFLPNLKILNLSHSHGLLKILNLSCLHSLERLILKDCIKLITVDQSIGEIKTLTILNLKGCKSLKKLPRTIGSVESLEELILSGCSTLDDVPRDLQNMKSLKVLNLDGTAICESNSWLSWLSLKISKGLGFFWVSLPCSLVKLSLQSCRLSDDVVPADFSYLPSLKSLNLSRNPIHSLPESIIHLTKLDELLLTCCKELQRLPKLPTNGLLVKRSQSSYRISSLSYLLNLKRCIVFGCEKLTEVESVFKLVPIENFEVEQIKSLFNMDFIKSIQLEIYSYITDTRMVTTPQVFHDCGITSCFISGSEVPIWFEYHSEGSKISFSLPQNPSEKVSWLNLCIVYSLVSDEIFEFLPSVHIINETKKLTWSYFSSFLGIPETNSNTILWLIHWPVKDYQLENGDLVTCKLSTFGLNVREFGVTCVSETKEMYEDDTPQYSQENEGTWKEIELKVNEELLKLDTSGNIRMQIDNYLEESKMIASPQVLYDCGIISIYGTYGFPEGRYSHHATGNKVSFIVPRSSGHHIGYLNLMAILFAEDDQIFDILSRIEIVNKTKDTKWIHHKCFIGIPKIKNNIYWFSSWRFMGELEDGDQVSCTVFSDLCVKACAIDLIYELDDDLLHKCNSGYQHLGGKTTSSYWLFPLFVYHLFKSQRALYRIQSLNKLE >EOY09761 pep chromosome:Theobroma_cacao_20110822:5:30939903:30944166:1 gene:TCM_025145 transcript:EOY09761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MQNLETKLWLKAMQRNAMVIQKTKGRRENNSRLQVQTWLKLLQFLLKPKLLSNIPTSETNHLELWAGRMTLLSRIRTILKSKEEKAFIRNGSILLQELVAFCNGKCNPICGFSAKELKRATNNYDLRRVLHKNRFYKFYKGLLRDQPVLIKNFKGNFERCEVVFNEIVVASLMSVHKNVLKLLGCCLETKVPTLVFEFAESGNLGDQVSRQNNNCHFQPLSWRCRLKIAMDIANVVAYLHTAFPQPIIHRDIRCLNIFLSEDCTAKLSDFSVSRSFSDDEVDVKDEVPEIMGLTTAEYMAKQDVCSVGILMLILLTGQKEICKDPLENNKRIYIADYVRKYDFDEILDPMIFKEMLLEEVQQVEAFKALVMNCICESAGDRPTMTDVAKELRRINQRSFTQDIVHITNCRDSSSPTNID >EOY10616 pep chromosome:Theobroma_cacao_20110822:5:35591309:35592249:1 gene:TCM_025934 transcript:EOY10616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-responsive protein-related MIYDVNSPLFRSFLSQNGNSTDKRKMEAQKCKEQRPKASENKSVMTK >EOY11026 pep chromosome:Theobroma_cacao_20110822:5:37570533:37572125:-1 gene:TCM_026297 transcript:EOY11026 gene_biotype:protein_coding transcript_biotype:protein_coding description:NC domain-containing-related-like protein MGQPQSMLIPHERKPQPGDHIYSTRAGGLYAHHGIYVGNDMVIHLQAPPKGSGSSTPCQKCGYKRDCQGGIIKTCLDCFLDGRSSLEFYEYGSSIFEFNIKKRGSCTIFHTKPADEVVKTANDLLQGNGSKFKDYNFFANNCEDFAVYCKTGMAVSMQAVGGVEAASNIFGTGSLFGMGVNLGAVGVYSLAKVIHDANTAK >EOY09161 pep chromosome:Theobroma_cacao_20110822:5:26740259:26774613:1 gene:TCM_024563 transcript:EOY09161 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter family, cholesterol/phospholipid flippase isoform 1 MGTSKRQLKAMLRKNWLLKIRHPFITASEILLPTIVLLLLIGIRTRVDTQIHAAQPYIRKDMLVEVGDGISPNFQQVLELLLAKGEYIAFAPDTLQTRQMINLISIKFPLLQLVSKIYEDELELDAYIRSDLYGTCDFKNCSNPKIKGAVIFHHQGPQLFDYSIRLNHTWAFSGFPDVKSIMDTNGPYLNDLELGVDIIPTMQYSFSGFLTLQQVLDSFIIFASQQTKTGMDSENREFSPLHSTGATSSLELPWTQFSPTKIRIAPFPTREYTDDEFQSIIKSVMGLLYLLGFLYPISRLISYTVFEKEQKIREGLYMMGLKDGIFHLSWFITYAFQFAFSSGIITICTMDSLFKYSDKTVVFVYFFVFGLSAIMLSFLISTFFTRAKTAVAVGTLSFLGAFFPYYTVNDEAVAMILKVIASFLSPTAFALGSINFADYERAHVGLRWSNIWRASSGVNFLVCLLMMLFDALLYCAVGLYLDKVLPSESGVRYPWNFIFHKCFCRKKSTIKHHVSCYEVKVNDMISKRKSIIPRKDVSGPALEAISLEMKQQEIDGRCIQIKDLHKVYATKKGKCCAVNSLKLNLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVFGKSILTHMDEIRKELGVCPQNDILFPELTVREHLEMFAVLKGVKEDTLESAVTEMVDEVGLADKLNTFVRALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMADGSLKCCGSSLFLKHQYGVGYTLTLVKSAPTASAAADIVYRYVPSATCVSEVGTEISFKLPLATSSAFESMFREIESCIGRSASTETSVSEDKRYLGIESYGISVTTLEEVFLRVAGCDFDEAESVKQGNNFVSPDIPSHEQVPKRISYAKLLGSFKRIIGVISSMVTRICGLFVAIFLSFIHFLSMQCCGCCMISRSMVWQHSRALLIKRAVSARRDRKTIVFQLLIPVIFLLFGLLFLKLKPHPDQPSVTLTTSHFNPLLSGSGGGGPIPFDLSWPIAKEVTKYVKGGWIQRFKQTAYKFPDSDSALADAVEAAGPALGPVLLSMSEYLMSSFNESYQSRYGAVVMDDVYEDGSLGYTVLHNCSCQHAAPTYINVMNSAILRLATSDKNMTIRTRNHPLPMTKSQRLQHHDLDAFSAAIIVNIAFSFIPASFAVPLVKEREVKAKHQQLISGVSVISYWVSTYIWDFISFLFPSTFAIILFYVFGLDQFIGRSFLPTVIMFLEYGLAVASSTYCLTFFFSDHTMAQNVVLLIHFFTGLILMVISFIMGLIKTTASANSFLKNFFRLSPGFCFADGLASLALLRQGMKDKSSDGVFDWNVTGASICYLGVEGICYFLLTLGLELLPTCNLTPIRLMKWWRRKNLPGDTSVLEPLLKSSFETAIHLDEDTDVRTERHRVLSGSIDNSIIFLRNLRKVYPGGKNYCAKVAVDSLTFSVQAGECFGFLGTNGAGKTTTLSMLTGEESPTEGTAFIFGKDIASNPKAARRHIGYCPQFDALLEYLTVQEHLELYARIKGVLDYRINDVVMEKLVEFDLLKHANKPSYTLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISRLSTRQGKTAVILTTHSMNEAQALCTRIGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPTEVSSADLENLCRIIQERLFDIPSHPRSLLDDLEVCIGGIDSIVSENASVAEISLSEEMIVIVGRWLGNEERIKTLISSRPISDGLFGEQLSEQLVRDGGIPLPIFSEWWLAREKFSAIDSFVVSSFPGATFHGCNGLSVKYQLPYREGLSLADVFGHLERNRNQLGIAEYSISQSTLETIFNHFAANS >EOY09162 pep chromosome:Theobroma_cacao_20110822:5:26744394:26774534:1 gene:TCM_024563 transcript:EOY09162 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter family, cholesterol/phospholipid flippase isoform 1 MMGLKDGIFHLSWFITYAFQFAFSSGIITICTMDSLFKYSDKTVVFVYFFVFGLSAIMLSFLISTFFTRAKTAVAVGTLSFLGAFFPYYTVNDEAVAMILKVIASFLSPTAFALGSINFADYERAHVGLRWSNIWRASSGVNFLVCLLMMLFDALLYCAVGLYLDKVLPSESGVRYPWNFIFHKCFCRKKSTIKHHVSCYEVKVNDMISKRKSIIPRKDVSGPALEAISLEMKQQEIDGRCIQIKDLHKVYATKKGKCCAVNSLKLNLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVFGKSILTHMDEIRKELGVCPQNDILFPELTVREHLEMFAVLKGVKEDTLESAVTEMVDEVGLADKLNTFVRALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMADGSLKCCGSSLFLKHQYGVGYTLTLVKSAPTASAAADIVYRYVPSATCVSEVGTEISFKLPLATSSAFESMFREIESCIGRSASTETSVSEDKRYLGIESYGISVTTLEEVFLRVAGCDFDEAESVKQGNNFVSPDIPSHEQVPKRISYAKLLGSFKRIIGVISSMVTRICGLFVAIFLSFIHFLSMQCCGCCMISRSMVWQHSRALLIKRAVSARRDRKTIVFQLLIPVIFLLFGLLFLKLKPHPDQPSVTLTTSHFNPLLSGSGGGGPIPFDLSWPIAKEVTKYVKGGWIQRFKQTAYKFPDSDSALADAVEAAGPALGPVLLSMSEYLMSSFNESYQSRYGAVVMDDVYEDGSLGYTVLHNCSCQHAAPTYINVMNSAILRLATSDKNMTIRTRNHPLPMTKSQRLQHHDLDAFSAAIIVNIAFSFIPASFAVPLVKEREVKAKHQQLISGVSVISYWVSTYIWDFISFLFPSTFAIILFYVFGLDQFIGRSFLPTVIMFLEYGLAVASSTYCLTFFFSDHTMAQNVVLLIHFFTGLILMVISFIMGLIKTTASANSFLKNFFRLSPGFCFADGLASLALLRQGMKDKSSDGVFDWNVTGASICYLGVEGICYFLLTLGLELLPTCNLTPIRLMKWWRRKNLPGDTSVLEPLLKSSFETAIHLDEDTDVRTERHRVLSGSIDNSIIFLRNLRKVYPGGKNYCAKVAVDSLTFSVQAGECFGFLGTNGAGKTTTLSMLTGEESPTEGTAFIFGKDIASNPKAARRHIGYCPQFDALLEYLTVQEHLELYARIKGVLDYRINDVVMEKLVEFDLLKHANKPSYTLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISRLSTRQGKTAVILTTHSMNEAQALCTRIGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPTEVSSADLENLCRIIQERLFDIPSHPRSLLDDLEVCIGGIDSIVSENASVAEISLSEEMIVIVGRWLGNEERIKTLISSRPISDGLFGEQLSEQLVRDGGIPLPIFSEWWLAREKFSAIDSFVVSSFPGATFHGCNGLSVKYQLPYREGLSLADVFGHLERNRNQLGIAEYSISQSTLETIFNHFAANS >EOY07971 pep chromosome:Theobroma_cacao_20110822:5:3685003:3686283:1 gene:TCM_022299 transcript:EOY07971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein, putative MMMMEGQEEVVLCKDQLQIIKGKRTKRPRPLSPLTLLMASSTTSSGGESGGEGGRNSDDSRGLERAVASPTTSVDELTEISTEEEEDMANCLILLAQGQTRIKPSEPASLATTSKTGIYVHQCKTCNRCFSSFQALGGHRASHKKPKVNNEENKGLVFVREDDDQFNNMNTALSLQITNKAVLCNSSKSKVHECSICGAEFSSGQALGGHMRRHRTFTNVPTTTATTALSVGTRSPESQESKKPRTVLQLDLNLPAPEDDHHRETKFSFASKEKLLVFSASSLVDCHY >EOY11269 pep chromosome:Theobroma_cacao_20110822:5:38766831:38771530:1 gene:TCM_026513 transcript:EOY11269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter, putative MATSQDIENGSASLLQPFTQKEENQQNSHGNGSLFMVLLSTFVAVMGSFEFGSSIGFSSPTQQATMEELEMSPSEFSVFGSILTIGAMVGAITSGRAADLIGRIETMRMSSIICITGWLIIYLALGTLSLNFGRFLTGFGIGVNSYVVPIFIAEITPTHLRGALLTLHQVSLATGLLVAYAVGALVTWRTLALTGMIPCAVMIIGLCFIPESPRWLAMVGYQNEFHAALQMLHGHNADVSGEEAEIQDSLAILQHLPKATAKDLFRKRNLHLVIIGVGLMVFQQFSGYNGIVFYADQIFTSAGVPPNVGSILYACLQIIILALGAVIIDKAGRRPLLMISASGMLLGSLLTGASFYLKEHHMASDLAPVFTIIGIMVDMGSYCLGLGGIPWIVMSEIFPIHIKGIAGSLVTLVSWGGSWVVSYSFNFLMIWSPHGAFFVFATFCAVAMVFIFKLVPETKGRTLEEIQASVD >EOY10105 pep chromosome:Theobroma_cacao_20110822:5:32998424:33009767:1 gene:TCM_025483 transcript:EOY10105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MLLTTANCFSSSSLLLPSLSRKPIFLFSKRPRVSSWVSSRNRSSRLCRASLITSSDSFEVGRLIGSYGFMNVTSYSGFQAGADFEYSSGDLGQLRVQDVGEGSVKIRCIFTDTCIFMDTIMQTKFICRLYEGRIAKGSFKGTPVIFKVYPGQRAGGIEADMMAANELNAHAFLQNSSEGICKNLLLLLGGFETKTGEQWLAFRGDGKYSAADFAKVASEKISRARSLEEMSWNPFEQEQAFKRKAYFVIKLFQGAMTGLAYMHNYDRLHQSLGPASVVLNTIVERDAAYLVPRLRDLAFSVDIRFSYLEEGPKTFSEDLWRRASSAGAFTPMERRAFGIADDIYEAGLLFAYLAFVPFCEAGIMDSLSLQRLLESTFKLDLTATREYCLADDRLLEAVKFLDLGDGAGWELLQAMLNPDFRKRPIAEAVVNHRFMTANVL >EOY10106 pep chromosome:Theobroma_cacao_20110822:5:33001019:33008834:1 gene:TCM_025483 transcript:EOY10106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MLLTTANCFSSSSLLLPSLSRKPIFLFSKRPRVSSWVSSRNRSSRLCRASLITSSDSFEVGRLIGSYGFMNVTSYSGFQAGADFEYSSGDLGQLRVQDVGEGSVKIRLYEGRIAKGSFKGTPVIFKVYPGQRAGGIEADMMAANELNAHAFLQNSSEGICKNLLLLLGGFETKTGEQWLAFRGDGKYSAADFAKVASEKISRARSLEEMSWNPFEQEQAFKRKAYFVIKLFQGAMTGLAYMHNYDRLHQSLGPASVVLNTIVERDAAYLVPRLRDLAFSVDIRFSYLEEGPKTFSEDLWRRASSAGAFTPMERRAFGIADDIYEAGLLFAYLAFVPFCEAGIMDSLSLQRLLESTFKLDLTATREYCLADDRLLEAVKFLDLGDGAGWELLQAMLNPDFRKRPIAEAVVNHRFMTANVL >EOY11637 pep chromosome:Theobroma_cacao_20110822:5:39894473:39899700:1 gene:TCM_026753 transcript:EOY11637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase cytokinin receptor, putative MDDMGICGYLTLKLCMLTKQTRNSVMSDSYVQDRKSFSGIEATSRLLLPLNTSALNLARSLSSILNGTELPFVAIQTKIAPILFVALSTIPHLAQISYIGLNGLIFSYYNDKDQKVAVFSNTSFSSNWYSMPVNRDTGMLYGEAVALKAVVSMNESWFQESLNRTNGYCSLGRGWNNAQDSLFFTTVAMDGRGVISVGFPAEVVIDHFAALDFNGGDFHLATAEGDVIVQTRLPNTEIVVRNSTVSVQSLRPHGHQIRSVGNVSCVSVDGKLGSFNGRIMGEKYTFYCSTIEIAGVPSVYVLAYPSNKLVGLIQENSKLSLMLLKLMFISIAVAVGIFIFFTIRAAKREMFLCAAFIKQMNATQQAERKSMFKTQTYLRANHDIRSSLAAITTLLDLCHDEVNPASELAENLAQTKTCAKDLLGILNSVLKMSKIEAGKMDLEEEEFNLAQLLEDVVDMFYPLGIKKGIDVVLDPCDGSIGKLSLVRGDRVKLKQILCNLLSNAIKYTSEGHVSVRAVVKKRSFEKKIIASNGNAVLKCLSQLFCKKEDYEDIDALHRAEQNLNKMEFEFEVDDTGKGIPKDKQASIYEEFFQVKETALAGGRGLEEEGCGLGLGIVQSIVRLMDGEIGIVEKEPGERGTCFRFTVLLTACQPELAEPLEDSPNGFHPHFSFIRNPATRSEGSHSHVILCIAGEERKRVLKRYIESLNIKVTLVKQGKSLHQELEKIKRKLYFSHCNHSGKPDLRLVDYLTKSASNSSDSGASDPHFGIKEGSDSVLPQYIKSNSRSVAAFTVVVIDSSAGNFSELCSAVASFRTDIPDSLCKVVWLDNPVTRTLLRDKQDARLIPPCDHLIYKPFHGSRLTQVLHLLPERKGTSHCNFPKLTNQTATLEAMHSANTNPSKETESGPSLQQMVTQKTDGKRMGKPLKGKKILVVEDDKLLRRLTVTSLEKLGAEVEVCINGKEALDEICKNLREKKEGNSSRSVRHDYVIMDCEMPVMDGYEATRLIRMEEARYGVHVPIIAVTAHAMPEEANKTMGAGMDFHLTKPLQVDKLLEVIQRIDSK >EOY10248 pep chromosome:Theobroma_cacao_20110822:5:33763999:33765968:-1 gene:TCM_025624 transcript:EOY10248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L17 family protein isoform 2 MSPSFYQVPSACPFLHCSRSCQPSPFPWLLWHGRRVPKLNRPPDQRRALLRGLTTQLLKYGRIKTTRARASAMRKYVDKMITLAKDGSLHKRRQALGFIYEKQIVHALFAEVPDRYGDRNGGYTRIIRTLPRRGDNAPMAYIELV >EOY10247 pep chromosome:Theobroma_cacao_20110822:5:33763130:33766221:-1 gene:TCM_025624 transcript:EOY10247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L17 family protein isoform 2 MAMAITTDSGSSGWSMVSLKSALPPLTSTTSSIKLPCRRRSTKCPQLVRSFTALAPVNPLHSLGFSDLNNFEHNFTIIDNGCRFYAMRHGRRVPKLNRPPDQRRALLRGLTTQLLKYGRIKTTRARASAMRKYVDKMITLAKDGSLHKRRQALGFIYEKQIVHALFAEVPDRYGDRNGGYTRIIRTLPRRGDNAPMAYIELV >EOY10076 pep chromosome:Theobroma_cacao_20110822:5:32869837:32875934:-1 gene:TCM_025458 transcript:EOY10076 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein MAKTYAMGLISALAAASASSSSSSLLSQTNNNIAFADGPFNFPLFSSPSPSSGSPQSSSGQLQSQPSVSPASTADKEASGSVRVRNDNPRTSSAGFDPEALERGAKALREISSSPNAKKAFELMKKQEETRQAELAEKAAEFKAMQAQAETERQRVIYDEQKKLTQHQAQTKSQMARYEDELARKRMQAENEYQRARNQELVKQQEESAIRQEQARRATEEQIQAQRRQTEREKAEIERETIRVRAMAEAEGRAHEAKLAEEVNRRILIDRANAEREKWVAAINTTFDHIGGGLRAILTDQNKLVVAVGGLTALAAGIYTTREGARVIWGYVDRILGQPSLIRESSRGKYPWSGIFSRAMSSLSRSGDKISSSKNGIGFGDVVLHPSLQKRIQQLAGATANTKAHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGPQAVTKIHQLFDWAKKSKKGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEEERFKLLKLYLDKYIAQAGLRKSGVLQNLLKKQTQMIEIKGLTDDILREAAAKTEGFSGREIAKLMASVQAAVYGSENCVLDPSLFREVVDYKVAEHQQRRKLAAADGDRV >EOY09452 pep chromosome:Theobroma_cacao_20110822:5:29235484:29237935:-1 gene:TCM_024869 transcript:EOY09452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein MDPSDLRYYEDDDLPMLKTIKGATTGLVAGTIWGTIVATWYDVPRVERSVALPGLVRTLKMMGNHGITFAAIGGVYIGVEQLLQNYRMKRDFVNGAVGGFVAGASILGFKGRSISTAIAAGTALAVTSAVIDAGGQTTRIDTGKEYYPYTTKKRPTADS >EOY09512 pep chromosome:Theobroma_cacao_20110822:5:29604837:29607194:1 gene:TCM_024928 transcript:EOY09512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MKALQEEHMYHHFSHHHPMVRTNLTSSGNVTCSGCKLDILPAKGHYHCKTCPFYLHQVCYNMPRKTRHPGHPAHVLTLHIMPSFGEETFKCEACGHHINGFYYYCAECSTCYHILCSALPLSVAITSHLHTLKLEFSPPYDLRCDICKEPASYKGWLYRCQICEFDTHLACAISNQRTQSFRHPTAPLPNPLTRQIMYSSASLMETKQREDYVNEGTELKQLVSQGVARNIRENRTQENFLKTVVGWDERLHSPKRKLTTRNGQDENFGSSHKPDIAGTSPKPKVQAQETDQTSLVSGDLSTAPSYQFSDGCFSIDLAGSYSSFDHTTLARKEPKLSDTSPLQKVKETISDGNDIMIERITSNFELTKQETIYAKKESFDFCNPDSRMKEGFLTGDGTHSGGQRNRKKMSNESRCVSGIRDQSSKSEQIKFLQKEDHGVLLVGSSSNIAFLLSMRKVLQFSVMEEIEKKEEGNCVQDC >EOY08421 pep chromosome:Theobroma_cacao_20110822:5:8075733:8077278:-1 gene:TCM_022848 transcript:EOY08421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate family protein, putative MDPPCSHAGFIDNFPMFSQLPHPNSKVQAKALFSLFFAPCTLNSKTSHFLSLLKMSSNRKKLILNTVSVSLGCSSCKKPKLTSFFNPKPKPKPKPKPKSRSYNTHSFYNYSSSSSSKKTTHCSFSEYETATSFSPSTDTPEHWDMDTDTDQEIEGSMATVRGFGRVGGESLAVEKDSDDPYLDFRHSMLQMILEKEIYSKDDLRELLNCFLQLNSPHHHGIIVRAFTEIWNGVFSVKPGGASPKLHFGYRPRDF >EOY10499 pep chromosome:Theobroma_cacao_20110822:5:35095563:35100830:-1 gene:TCM_025845 transcript:EOY10499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2215) [Source:Projected from Arabidopsis thaliana (AT1G28760) TAIR;Acc:AT1G28760] MVAFSSPLLLFFFLFPLLFLARASHVTTHFKGIDLASPALDVTPTPLSGHSSVRCSKDVLLCDRVQVSGQPRLKLGSYASCFRVTLAPSVLIPERLHSKIQVCFHQNASLGLCKCENDDWKAVQKGIWHTVMSPYDDRYIDVKFIGEVSGSVTVAVEEDFQQWRLFFLVLGFVLLLLAPFVSSWVPFYYSSSMAIGIFLVIIILLFQGMKLLPTGRKNIFYLTVYVPMLGAGSFLLHQLSALVNSILVNFGLSEEMHNPVAIFVLVGIILSGAALGYWIVRKFVISKDGSVDVGVAQFVKWAMRILATTFIFQSTLDTQLAMVAVASCSAICYLITSAKRNDHMHQPRSGYGSPWLHQSRQGTIKHGRAEFFSRSPRMDSNRKVWNSPKTSPVWSNSPVKGVVSSPLGEAAIDHQDYYSTFHKTRYRKKFTKQEWEDFSRESTRHALAQLAASPEFTDWMTEHAGRIKLLPCDSSDESVGSKTSSTDEDNEGSNSRFRLFSW >EOY10500 pep chromosome:Theobroma_cacao_20110822:5:35096119:35100755:-1 gene:TCM_025845 transcript:EOY10500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2215) [Source:Projected from Arabidopsis thaliana (AT1G28760) TAIR;Acc:AT1G28760] MVAFSSPLLLFFFLFPLLFLARASHVTTHFKGIDLASPALDVTPTPLSGHSSVRCSKDVLLCDRVQVSGQPRLKLGSYASCFRVTLAPSVLIPERLHSKIQVCFHQNASLGLCKCENDDWKAVQKGIWHTVMSPYDDRYIDVKFIGEVSGSVTVAVEEDFQQWRLFFLVLGFVLLLLAPFVSSWVPFYYSSSMAIGIFLVIIILLFQQGMKLLPTGRKNIFYLTVYVPMLGAGSFLLHQLSALVNSILVNFGLSEEMHNPVAIFVLVGIILSGAALGYWIVRKFVISKDGSVDVGVAQFVKWAMRILATTFIFQSTLDTQLAMVAVASCSAICYLITSAKRNDHMHQPRSGYGSPWLHQSRQGTIKHGRAEFFSRSPRMDSNRKVWNSPKTSPVWSNSPVKGVVSSPLGEAAIDHQDYYSTFHKTRYRKKFTKQEWEDFSRESTRHALAQLAASPEFTDWMTEHAGRIKLLPCDSSDESVGSKTSSTDEDNEGSNSRFRLFSW >EOY11146 pep chromosome:Theobroma_cacao_20110822:5:38136746:38137900:1 gene:TCM_026409 transcript:EOY11146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin-like superfamily protein MASATTRLTFSFHHLTQASPKPQKPHLFLCPKLLPTISLTTKKPRGKQLHFTIHSVDVSKEDSQDTPRASDQQENQPPPPPPSQESGAKEKKFDKRRLEEKFAVLNTGIYECRSCGFKYDEAVGDPSYPIAPGLQFDKLPEDWRCPTCGAAQSFFESKSVEIAGFAQNQQFGLGGNALTSGQKALLIYGSLFFFFLLFLSGYFLQ >EOY10715 pep chromosome:Theobroma_cacao_20110822:5:36109932:36114747:-1 gene:TCM_026021 transcript:EOY10715 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MDRDEPHWRINSSFSPPPSRILDCRLHSDGLRHGSHCAGLHGSSLSSNSRGSRSRVGSEGCINHHHSVSDGALSYSGSPPDNAQLPQWTSPIQRFNTEELPFSNVGGPMPQTSWFPCSTERRYAVKATAASPSFGSPSSLSESSHWESTSKQPFSFPNRNFSGRRSYMSKAVYPLVFRNPVSDNEAFGDADINSVGRLTPSEDRFSPFHLHENSSSVEHKFHKTLSELQRSEASPDPSASSRREGFRWSSASSYDLGLDGEKFDMAEHVDVEHLRSPIGPVVDHKCGVCGKLLWQKSPWSSHRIIRGGDMPTAGVLPCSHVFHAECLEQVTPKSQIHDPPCPLCLKTIGALEESASVSEPLQVALRSLRRSRGAMISEDQEDDEVSNHIKEKIRRNWPRPAPRWNDSGSSIKNRLKKHFTFKGKVSKDIFSTKVFQRIGSSSSSSRETVRH >EOY08272 pep chromosome:Theobroma_cacao_20110822:5:6045756:6046736:1 gene:TCM_022613 transcript:EOY08272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKKKRLQQVKKKQQQQHAASSSSSGPRATPSSSHPTVPRLCYAATLYLSNRIKQRNERIRVLNQIRARWIRRRVMKILVQHCCILMGKLLKSFFKCKRVFFIRKVVKWRPLLLLWRRGNQIV >EOY11629 pep chromosome:Theobroma_cacao_20110822:5:39880680:39883287:1 gene:TCM_026747 transcript:EOY11629 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein isoform 2 MASSNNALGVDNTFRKKFDREEYLQRAREREKQESEGRSKSKAKGPPVQRKPLKHRDYEVDLESRLGKTQQVVTPVAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERATLQQVQERFDQLKKRKAPGSFSEQEILMNGSSNSRKKRKSESDNDEKERKRKRKRKQQKRSLKLILMWQL >EOY11628 pep chromosome:Theobroma_cacao_20110822:5:39880648:39883210:1 gene:TCM_026747 transcript:EOY11628 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein isoform 2 MASSNNALGVDNTFRKKFDREEYLQRAREREKQESEGRSKSKAKGPPVQRKPLKHRDYEVDLESRLGKTQVVTPVAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERATLQQVQERFDQLKKRKAPGSFSEQDLDERIIKQQEEEEERKRQRRERKKEKKKEKAAEEEPEIDPDVAAMMGFGGFRSSKK >EOY09667 pep chromosome:Theobroma_cacao_20110822:5:30428136:30442070:-1 gene:TCM_025063 transcript:EOY09667 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-linked oxidases family protein isoform 1 MAFSFWLARLRLLSCSKKSAVPNASRQSSYLDKFQFSGSQKNVPSTTAEKTKTQFFSWSSSLLPLALAVSAGSLAFQAQNTQPSLCEPTNIDSRKVSIGGKTSTEFVVKGIHKDVPQELIDELMAICQDNLTLDYDERFYHGKPQNSFHKAVNIPDVVVFPRSEEEVSQIVKSCDKYKVPIVPYGGATSIEGHTLSPNGGVCIDMTLMKRVKALHIEDMDVIVEPGIGWMELNEYLEPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVISLKVVLANGDIVKTASRARKSAAGYDLTRLMIGSEGTLGVITEVTLRLQKIPEHSVVAMCNFPTIKDAADVAIATMLSGIQVSRVELLDEVQVRAVNIANGKNLPEVPTLMFEFIGTEAYSLEQTKIVQRIVSEHNGSDFVFAEDPLAKKELWKIRKEALWACFAMEPNFEAMISDVCVPLSHLAELISRSKQELDASSLVCTVIAHAGDGNFHTVILFDPNQEEQRREAERLNQFMVYTALSMEGTCTGEHGVGTGKMKYLEKELGIEALKTMKRIKEALDPNNIMNPGKLIPPHVCF >EOY09666 pep chromosome:Theobroma_cacao_20110822:5:30428494:30440254:-1 gene:TCM_025063 transcript:EOY09666 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-linked oxidases family protein isoform 1 MAFSFWLARLRLLSCSKKSAVPNASRQSSYLDKFQFSGSQKNVPSTTAEKTKTQFFSWSSSLLPLALAVSAGSLAFQAQNTQPSLCEPTNIDSRKVSIGGKTSTEFVVKGIHKDVPQELIDELMAICQDNLTLDYDERFYHGKPQNSFHKAVNIPDVVVFPRSEEEVSQIVKSCDKYKVPIVPYGGATSIEGHTLSPNGGVCIDMTLMKRVKALHIEDMDVIVEPGIGWMELNEYLEPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVISLKVVLANGDIVKTASRARKSAAGYDLTRLMIGSEGTLGVITEVTLRLQKIPEHSVVAMCNFPTIKDAADVAIATMLSGIQVSRVELLDEVQVRAVNIANGKNLPEVPTLMFEFIGTEAYSLEQTKIVQRIVSEHNGSDFVFAEDPLAKKELWKIRKEALWACFAMEPNFEAMISDVCVPLSHLAELISRSKQELDASSLVCTVIAHAGDGNFHTVILFDPNQEEQRREAERLNQFMVYTALSMEGTCTGEHGVGTGKMKYLEKELGIEALKTMKRIKEALDPNNIMNPGKLIPPHVCF >EOY09668 pep chromosome:Theobroma_cacao_20110822:5:30430317:30442070:-1 gene:TCM_025063 transcript:EOY09668 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-linked oxidases family protein isoform 1 MAFSFWLARLRLLSCSKKSAVPNASRQSSYLDKFQFSGSQKNVPSTTAEKTKTQFFSWSSSLLPLALAVSAGSLAFQAQNTQPSLCEPTNIDSRKVSIGGKTSTEFVVKGIHKDVPQELIDELMAICQDNLTLDYDERFYHGKPQNSFHKAVNIPDVVVFPRSEEEVSQIVKSCDKYKVPIVPYGGATSIEGHTLSPNGGVCIDMTLMKRVKALHIEDMDVIVEPGIGWMELNEYLEPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVISLKVVLANGDIVKTASRARKSAAGYDLTRLMIGSEGTLGVITEVTLRLQKIPEHSVVAMCNFPTIKDAADVAIATMLSGIQVSRVELLDEVQVRAVNIANGKNLPEVPTLMFEFIGTEAYSLEQTKIVQRIVSEHNGSDFVFAEDPLAKKELWKYEG >EOY07850 pep chromosome:Theobroma_cacao_20110822:5:2987852:2994572:-1 gene:TCM_022173 transcript:EOY07850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein MMGLVGCLLGIIGFGIGVPIGLFIGFLLFIYKEPADVKDPIIRPLHDLDTSSLLDILPEIPSWMKHPDYDRIDWLNRFISDMWPFLNKAISGIIRSTMEPIFAEYTGKLQIISIYFKSLRLGTIPPIIHGIKVVETNQNELVFEPVLRWAGNPDITLVLNLLSLKITLQLLDVQICAAPRIALKPLVPTFPCFTRISVSLMEKPQVDFGLRLLGADIMAIPGLYQYIQEMISKQIASFYLWPQTLEIPILDGSVGATKKPVGILHVKVVRALKLLKMDLLGSSDPYVKLSLSGERLPAKKTSIKMRNLNPVWNEDFKLTVKDPQSQVLQLHVYDWEKVGTHDKLGMQVVPLRLLTPHETQEFSLDLVKNTNPNDPQNKKPRGQLVVQMTFNPFKEDNERFSGPLDRYASNGSGVGRLPKHDGSICGAGLLSVILQRAEGVEGKYHNNPYAVIIFKGEKKKSKLVKRTRDPCWNEEFQFVLEEAPLKDMIHIEVMSKRRHFSLLRRKESLGHVDINLNDVVHNGRINEKYDLMNSKYGVICIEIRWEVT >EOY07499 pep chromosome:Theobroma_cacao_20110822:5:1761219:1765928:-1 gene:TCM_021920 transcript:EOY07499 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor isoform 1 MVMDPRFRGFSGFQLSNQTVSVFPSQPASVFPNQNSVAGPRFQNTYIDHNFREFDYHPPDPTPSNMAPISSLSHEEDPSEDCDFSDSVLRYINHILLEEDMEDKSCMLQESLDLQAAEKSFYDVLGKKYPPSPSAEQNSTFVYESGENPDDSFVGNYSSYFSSCSDGSSYVIDTGRMQNLGDYSTTQAQSLPVSGMSQSSYSSSMASIDGLIESPNSTLQVPDWNGEIHSIWQFRKGVEEASKFIPGSEELFGNLEVCGVESQESKGWTSGLVVKEEKKDEGEYSPTGSKGKKISRRDDVETEEERCSKQAAVYSESIVRSEMFDMVLLCSSGKAPTHFTNLRESLRNGTSKNVRQNGQSKGPNGGKGRGKKQNGKKEVVDLRTLLIHCAQAVAADDRRSANELLKQIRQHTSRFGDGNQRLAHCFADGLEARLAGTGSQIYKGLVSKRTSASDILKAYLLHVAACPFRKVSHFICNKTINVASRKSMKLHVIDFGILYGFQWPTLIERLSLRSEGPPKLRITGIDFPQPGFRPAERVEETGRRLAAYAKEFKVPFQYNAIAKKWDNIRVEELDIHEDEFVVVNCLYRAKNLLDETVAVDSPRNIVLNLIRKINPNIFIHGIMNGAYNAPFFVTRFREALFHFSSMFDMLETIVPREDWERMLIEKEILGREALNVIACEGWERVERPETFKQWHARNLRAGFVQLPFGREIVKGATERVRSFYHKDFVIDEDSRWLLQGWKGRIIYALSAWKPA >EOY07500 pep chromosome:Theobroma_cacao_20110822:5:1760279:1765106:-1 gene:TCM_021920 transcript:EOY07500 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor isoform 1 MVMDPRFRGFSGFQLSNQTVSVFPSQPASVFPNQNSVAGPRFQNTYIDHNFREFDYHPPDPTPSNMAPISSLSHEEDPSEDCDFSDSVLRYINHILLEEDMEDKSCMLQESLDLQAAEKSFYDVLGKKYPPSPSAEQNSTFVYESGENPDDSFVGNYSSYFSSCSDGSSYVIDTGRMQNLGDYSTTQAQSLPVSGMSQSSYSSSMASIDGLIESPNSTLQVPDWNGEIHSIWQFRKGVEEASKFIPGSEELFGNLEVCGVESQESKGWTSGLVVKEEKKDEGEYSPTGSKGKKISRRDDVETEEERCSKQAAVYSESIVRSEMFDMVLLCSSGKAPTHFTNLRESLRNGTSKNVRQNGQSKGPNGGKGRGKKQNGKKEVVDLRTLLIHCAQAVAADDRRSANELLKQIRQHTSRFGDGNQRLAHCFADGLEARLAGTGSQIYKGLVSKRTSASDILKAYLLHVAACPFRKVSHFICNKTINVASRKSMKLHVIDFGILYGFQWPTLIERLSLRSEGPPKLRITGIDFPQPGFRPAERVEETGRRLAAYAKEFKVPFQYNAIAKKWDNIRVEELDIHEDEFVVVNCLYRAKNLLDETVAVDSPRNIVLNLIRKINPNIFIHGIMNGAYNAPFFVTRFREALFHFSSMFDMLETIVPREDWERMLIEKEILGREALNVIACEGWERVERPETFKQWHARNLRAGFVQLPFGREIVKGATERVRSFYHKDFVIDEDSRWLLQGWKGRIIYALSAWKPA >EOY11187 pep chromosome:Theobroma_cacao_20110822:5:38274531:38279191:1 gene:TCM_026442 transcript:EOY11187 gene_biotype:protein_coding transcript_biotype:protein_coding description:DsRNA-binding protein 3 MYKNQLQELAQRSCFNLPSYSCIREGPDHAPRFKATVNFNGETFESPTFCSTLRQAEHAAAEVALSTLANRGPSKALAARVLDETGVYKNLLQETAHRAGLNLPLYTTVRSGPGHVPVFSCTVELGGMSFTGEPARTKKQAQKNAAMAAWSALRKLSQHGSSSSSSPSLEFKGKEEQEQVVIARFLSSLRPSKSRHSVLNDCQHEKQRSIPVCRDLTPPTPSLFGMQGQSWPYLSFSPEMAIYQIWQQEQLLQLQNHLFTFPVSPVPPPGPQILPYVQSILHPDHCLSFRARDQEPIVTAPRLAIATSGPSLSFSNQSASQPMMGKSTVTIQEIHEEIKEEPSKYSAPLVMDPPVPGQTNVETGIKESNQEDHKKKNVELESKGENVHRKLDAGSQPVDYQLQNPHAFESSHLRPQYRPKAEYYRNSRPPSSATAPMMIRTVNPVSSVRPNTQRPATQVPVPPRMRTGAPPFSTRPRFERMNLGDMHPSSMAPPVRIRSVVPVCSAPPPREKPSFDQEGMLPNKEKKDTVSEDVSTATSELSKLNM >EOY09625 pep chromosome:Theobroma_cacao_20110822:5:30270558:30275043:1 gene:TCM_025031 transcript:EOY09625 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like superfamily protein, putative MMEESATTDPPASDVELVPEKIKDAKEGGPAFHCDLCDIELVYKIAQAFFPGLATACVDNTTGDVFRSPGSVAAEIRKEMVDYLTQRSETFVAESVVLEAGPEAEASDHPYDIIACFIDDFASSKRNLFSRVSGWLLSDKREDKIDDFAQEMEINGFWSIDKREAIVQTLLKNVDFKNAFHCDMKFQSADELALHVSACGYRLMNCENEGCNARFSANQAEKHDAVCPFKIIPCEQKCSDSIMRREMDRHCITVCPMKLVNCPFYASGCQSAIPHYKIEEHRSTNLHSHLLYILQGIHKEASVEVLKERVEQLEKSSSGKLADIRDVRSLTFRVKDLDAQLGPLVVTATNKINEEATEAAAKLESVEASDTNKDVEKAVQMEVKVSEAKPPSLEVAPTNKDSEEATKTEIKDSEAKLQSLEVAPTNKDSEEATKTEIKDSEARLQSLEVAPTNKDSEEALKIEVKDAEAKPQSSEDDTTNEDREEAAERIVNYSEARPPSLEVTPTNKVNEAAIENSVKEVEETLRPSEVHTTNRTSEDEGMETSEHIPNKVER >EOY09375 pep chromosome:Theobroma_cacao_20110822:5:28829363:28830266:1 gene:TCM_024810 transcript:EOY09375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLVLLSIRIIPVLLRLIFLSTAQCTGDFFSFLLDSCILLCMIRLAVQGYFCCCFVPLDLFFGFLRFSNLLCLLGGCTFCFGGSLGDLAAADHVFRYLQTPFMVVGG >EOY07294 pep chromosome:Theobroma_cacao_20110822:5:1090075:1100371:-1 gene:TCM_021764 transcript:EOY07294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative MKTNRKLKKSDPASSKLVLKEIIGLTAKNENGLASSVTASTCVYVAGCVAVVYDVEKGTQSHLMLSHRMPKPLSCVAVSRDGRYVAAGESGHQPSVLVWDCATLAFISELKGHLYGVECIAFSSDGEHLVSVGGYIYLWDWRSGILVTKLKASSSCSAVTSVTFSSDSKYIVTAGKKHLKFWAVGASPRTRMNKGTISLSIHAKPINLGPQKGSSFVSVASAIWTEGRVVNCDQVDELFPIYALTDAGVLCLIDSELSLRNSVDLKVCLTSNPMLFVLDISESAHQGIWECFLRSNILNRLSRWIQEGPCWSHSHCSQNAEVEKGFALSASSKLIACACSNGLVQLFKVEDLRYVGSLLYTKAKTCRGEIDHFCPKFSEKNLQSAPTLPDAVACQFISEKLVVVYGDHSLHIWDFHEENEATRSFVLNSHSACIWDIKNLCCENMHDPSLMCAAMGCSGGVSFATCSADGTIRLWDLVLQPNLLGDTVDSNSLINEPVGAMNIDTTFGNQGFRSMAVSSDGKYMAVGDCEGNLHIYDLHNSDYTCIKDSHDAEILSLSFSLSSTKDADSGGDMDNHYLLASGGRDRIIHLYDVKRNFNLIESIDDHSAAVTSVKLASNGCKILSCSADRSLVFRDVSLTESRCKISRRHHQMASHGTVYDMSVDPVMEVVVTVGQDKKINTFDIVSGKLIRSVKHNKDFGDPIKVTMDPSGSYLVCSFSNKSICVYDFISGEMIAQAVGHGEVVTGVIFLPDCKHIVSVGGDGCIFVWKLPARLAFRMLQKVKETSVSLSPRTPALPVGFSEAIICGEGDLPCRIDFKDVLPTESSSQLKQRANYHGLDTQETYAFKLSISRLPKWAQDKVTSSDFVQRNLEFTSPQQKEVEPKILSTLISNGGAYASLCHEHQTPFGRGSGGRNSCLSSLCRSSSNVSKSQSSASPEEFASSSATEDHWFTVYNVRLDLLNSPEVQNPNHLKMPVSSPKLVQGLAEIPSESEQSLGYRGHFVDDEHDAMVINAFHMKSEDSDLFKEHFGNLSAILKVKKRQSSTKRRYSSQYFVRRDYLVGCKKLLDTSMQDVGAFNQEKESATNVTLEEDPLFKEQQVLGFINQDLNSTECLLTPSCALSRDEKDKEDSSTIEEAMAQKQCVDGGSEPGEKITACREALRSLDTAAENVFQLFAKLGTECSLEEFSSGSGAQLRNEAIELLPKITEKVNAVAEWVQNNRSSTGSSTSRVEGSAFEPVLGKLAESLSQRVVEIVKKNLSTV >EOY08967 pep chromosome:Theobroma_cacao_20110822:5:24035063:24075971:1 gene:TCM_024267 transcript:EOY08967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate synthase 1 isoform 1 MALQSLSPIPYLSSKPTSVLFSSDNGLLVVDFVGLYCKSKATTRRRIGLSADIRSKRCFSTAATNNSVRAVLHLPASITTTSSSDHRSSTPQPKVANLEDIISERGACGVGFITNLDNKASHGIVEDALTALGCMEHRGGCGADNDSGDGSGVMTSIPWDLFDNWAEEQGIASFDKLHTGVGMIFLPKDDNLMEKAKKVIVNTFRQEGLEVLGWRPVPVNTSVVGFYAKEAMPNIQQVFVRIIKEENVDDIERELYICRKLIERAAASESWGSELYFCSLSNQTIVYKGMLRSEVLGLFYADLQDDLYKSPFAIYHRRYSTNTSPRWPLAQPMRFLGHNGEINTIQGNLNWMQSRETSLKSPVWRGRENEIRPFGNPKASDSANLDSAAELLIRSGRTPDEALMILVPEAYKNHPTLSIKYPEVVDFYDYYKGQMEAWDGPALLLFSDGKTVGACLDRNGLRPARYWRTIDNVVYVASEVGVLPVDDSKVTMKGRLGPGMMISVDLLNGQVYENTEVKRRVAASNPYGKWLSENMRSLKPANFLSATILDNETILRRQQAFGYSSEDVQMIIETMAAQAKEPTFCMGDDIPLAILSQKPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILEVGPENASQVTMSSPVLNEGELESLLKDPQLKAKVLATFFDIRKGVEGSLEKTLYKLCEAADEAVRTGSQLLVLSDRANELEATRPAIPILLAVAAVHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAVCPYLALETCRQWRLSAKTVNLMRNGKMPTVTIEQAQTNFCKAIKAGLLKILSKMGISLLSSYCGAQIFEIYGLGKEIVDFAFCGSVSKIGGLTFDELARETLSFWVKAFSEDTAKRLENFGFIQFRPGGEYHGNNPEMSKLLHKAVRQKSESAYSIYQQHLANRPVNVIRDLLEFKSDRAPIPVGKVEPALSIVQRFCTGGMSLGAISRETHEAIAIAMNRLGGKSNSGEGGEDPIRWSPLTDVDDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLANADQLEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQVNPKAKVSVKLVAEAGIGTVASGVAKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLTETHQTLIENGLRERVILRVDGGLKSGVDVLMAAAMGADEYGFGSLAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNFFLYVAEEVRGMLAQMGYEKLDDIIGRTDLLKPRDISLVKTQHLDMDYILSSVGLPKWSSTAIRNQEVHSNGPVLDDILLADPEIPDAIENEKEVHKTIKIYNVDRSVCGRIAGVIAKKYGDTGFAGQLNITFTGSAGQSFACFLTPGMNIRVIGEANDYVGKGMAGGELVVTPVENTGFCPEDATIVGNTGLYGATGGQIFVRGKAGERFAVRNSLAQAVVEGTGDHCCEYMTGGCVVVLGK >EOY08966 pep chromosome:Theobroma_cacao_20110822:5:24032339:24081573:1 gene:TCM_024267 transcript:EOY08966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate synthase 1 isoform 1 MALQSLSPIPYLSSKPTSVLFSSDNGLLVVDFVGLYCKSKATTRRRIGLSADIRSKRCFSTAATNNSVRAVLHLPASITTTSSSDHRSSTPQPKVANLEDIISERGACGVGFITNLDNKASHGIVEDALTALGCMEHRGGCGADNDSGDGSGVMTSIPWDLFDNWAEEQGIASFDKLHTGVGMIFLPKDDNLMEKAKKVIVNTFRQEGLEVLGWRPVPVNTSVVGFYAKEAMPNIQQVFVRIIKEENVDDIERELYICRKLIERAAASESWGSELYFCSLSNQTIVYKGMLRSEVLGLFYADLQDDLYKSPFAIYHRRYSTNTSPRWPLAQPMRFLGHNGEINTIQGNLNWMQSRETSLKSPVWRGRENEIRPFGNPKASDSANLDSAAELLIRSGRTPDEALMILVPEAYKNHPTLSIKYPEVVDFYDYYKGQMEAWDGPALLLFSDGKTVGACLDRNGLRPARYWRTIDNVVYVASEVGVLPVDDSKVTMKGRLGPGMMISVDLLNGQVYENTEVKRRVAASNPYGKWLSENMRSLKPANFLSATILDNETILRRQQAFGYSSEDVQMIIETMAAQAKEPTFCMGDDIPLAILSQKPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILEVGPENASQVTMSSPVLNEGELESLLKDPQLKAKVLATFFDIRKGVEGSLEKTLYKLCEAADEAVRTGSQLLVLSDRANELEATRPAIPILLAVAAVHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAVCPYLALETCRQWRLSAKTVNLMRNGKMPTVTIEQAQTNFCKAIKAGLLKILSKMGISLLSSYCGAQIFEIYGLGKEIVDFAFCGSVSKIGGLTFDELARETLSFWVKAFSEDTAKRLENFGFIQFRPGGEYHGNNPEMSKLLHKAVRQKSESAYSIYQQHLANRPVNVIRDLLEFKSDRAPIPVGKVEPALSIVQRFCTGGMSLGAISRETHEAIAIAMNRLGGKSNSGEGGEDPIRWSPLTDVDDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLANADQLEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQVNPKAKVSVKLVAEAGIGTVASGVAKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLTETHQTLIENGLRERVILRVDGGLKSGVDVLMAAAMGADEYGFGSLAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNFFLYVAEEVRGMLAQMGYEKLDDIIGRTDLLKPRDISLVKTQHLDMDYILSSVGLPKWSSTAIRNQEVHSNGPVLDDILLADPEIPDAIENEKEVHKTIKIYNVDRSVCGRIAGVIAKKYGDTGFAGQLNITFTGSAGQSFACFLTPGMNIRVIGEANDYVGKGMAGGELVVTPVENTGFCPEDATIVGNTGLYGATGGQIFVRGKAGERFAVRNSLAQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLIPKVNKEIVKIQRLTAPVGQMQLMSLIEAHVEKTGSTKGSKILKEWDKYLPLFWQLVPPSEEDTPEACADYPSTAAEQVTLQSA >EOY07370 pep chromosome:Theobroma_cacao_20110822:5:1345011:1360806:1 gene:TCM_021822 transcript:EOY07370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein isoform 1 MAAALKFVCLLGLIVLVSIVGLERVDAAGECGKSSPDNEAIKLAPCAEAAQDQNAAVSASCCDQVRKIGRSPSCLCAVMLSNTAKASGIKPEIAITIPKRCNIANRPVGYKCGRIR >EOY07369 pep chromosome:Theobroma_cacao_20110822:5:1345083:1345725:1 gene:TCM_021822 transcript:EOY07369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein isoform 1 MAAALKFVCLLGLIVLVSIVGLERVDAAGECGKSSPDNEAIKLAPCAEAAQDQNAAVSASCCDQVRKIGRSPSCLCAVMLSNTAKASGIKPEIAITIPKRCNIANRPVGYKCGPYTLP >EOY11448 pep chromosome:Theobroma_cacao_20110822:5:39300482:39301341:1 gene:TCM_026621 transcript:EOY11448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein, putative MASPQCYRLVLALLATSLFINPAWTDEATQNLIDHICRRMEEYAFCDNTFNQNLKGRHADMAAVTLITIEQAVSHATNTHSFIARLLKKATDPADKKALTTCQDAYHLVMGGFQEAAAAFFLKDYDSMLLSERPTPRAQAGCTAIFNTPPNPASPVGDRNRQMRILIAMAIAAGTELTRPLDS >EOY07805 pep chromosome:Theobroma_cacao_20110822:5:2779286:2784172:-1 gene:TCM_022132 transcript:EOY07805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative isoform 1 MPLGQHRKPDDLVLEIPPRTLEDAREDFVRIDMALTPTATPKRVNFSPMPSPIFSRFDDSPVHSSFKNKSALKSLLPKLSFKSRNTNLEIEKAAILALGGSSAEIRGKPRISRTFSLSKLFTPRMKNTSSLPVTPTTHSNPESMHGGQKGGAGPPIHRSHSVPVLNKDGSITQLDSLGGVFRVIPTTPRAVKGTILTTPNTFTKIDNDGNNDGGEDIPEEEAVCRICLTELGEGADTLKMECSCKGELALAHQDCAIKWFSIKGNKTCDVCKQEVQNLPVTLLRVQNDQAHNLRGSGPRQIEAARYRVWQDVPILVIVSMLAYFCFLEQLLVSKMKSGAIAISLPFSCILGLLASMTSTTMGGINEEKICLDLCYSAIWTCGSFCSSFLLIAPHTGCSFCSAFCICWVWRNNVWNLYSL >EOY07807 pep chromosome:Theobroma_cacao_20110822:5:2780292:2783063:-1 gene:TCM_022132 transcript:EOY07807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative isoform 1 MPLGQHRKPDDLVLEIPPRTLEDAREDFVRIDMALTPTATPKRVNFSPMPSPIFSRFDDSPVHSSFKNKSALKSLLPKLSFKSRNTNLEIEKAAILALGGSSAEIRGKPRISRTFSLSKLFTPRMKNTSSLPVTPTTHSNPESMHGGQKGGAGPPIHRSHSVPVLNKDGSITQLDSLGGVFRVIPTTPRAVKGTILTTPNTFTKIDNDGNNDGGEDIPEEEAVCRICLTELGEGADTLKMECSCKGELALAHQDCAIKWFSIKGNKTCDVCKQEVQNLPVTLLRVQNDQAHNLRGSGPRQIEAARYRVWQDVPILVIVSMLAYFCFLEQLLVSKMKSGAIAISLPFSCILGLLASMTSTTMGGIKFVAKFSCDSFVLVNLVICLTN >EOY07804 pep chromosome:Theobroma_cacao_20110822:5:2779106:2784472:-1 gene:TCM_022132 transcript:EOY07804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative isoform 1 MENEGGHVVKRQNGEGTSNNSNNNNTLDPPPLQRFGDSTEIIEEMPLGQHRKPDDLVLEIPPRTLEDAREDFVRIDMALTPTATPKRVNFSPMPSPIFSRFDDSPVHSSFKNKSALKSLLPKLSFKSRNTNLEIEKAAILALGGSSAEIRGKPRISRTFSLSKLFTPRMKNTSSLPVTPTTHSNPESMHGGQVISLLSSAKGGAGPPIHRSHSVPVLNKDGSITQLDSLGGVFRVIPTTPRAVKGTILTTPNTFTKIDNDGNNDGGEDIPEEEAVCRICLTELGEGADTLKMECSCKGELALAHQDCAIKWFSIKGNKTCDVCKQEVQNLPVTLLRVQNDQAHNLRGSGPRQIEAARYRVWQDVPILVIVSMLAYFCFLEQLLVSKMKSGAIAISLPFSCILGLLASMTSTTMVRRKYVWIYATVQFGLVVLSAHLFYSLLHIQAVLSVLLSAFVGFGGTMCGTSILYEVSRGWRRWRAESNQQLGSQEVPQPDQPSVTMQQIQTDTHPNETERRASESGNGN >EOY07808 pep chromosome:Theobroma_cacao_20110822:5:2779286:2784433:-1 gene:TCM_022132 transcript:EOY07808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative isoform 1 MENEGGHVVKRQNGEGTSNNSNNNNTLDPPPLQRFGDSTEIIEEMPLGQHRKPDDLVLEIPPRTLEDAREDFVRIDMALTPTATPKRVNFSPMPSPIFSRFDDSPVHSSFKNKSALKSLLPKLSFKSRNTNLEIEKAAILALGGSSAEIRGKPRISRTFSLSKLFTPRMKNTSSLPVTPTTHSNPESMHGGQKGGAGPPIHRSHSVPVLNKDGSITQLDSLGGVFRVIPTTPRAVKGTILTTPNTFTKIDNDGNNDGGEDIPEEEAVCRICLTELGEGADTLKMECSCKGELALAHQDCAIKWFSIKGNKTCDVCKQEVQNLPVTLLRVQNDQAHNLRGSGPRQIEAARYRVRRKYVWIYATVQFGLVVLSAHLFYSLLHIQAVLSVLLSAFVGFGGTMCGTSILYEVSRGWRRWRAESNQQLGSQEVPQPDQPSVTMQQIQTDTHPNETERRASESGNGN >EOY07806 pep chromosome:Theobroma_cacao_20110822:5:2779286:2784172:-1 gene:TCM_022132 transcript:EOY07806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative isoform 1 MPLGQHRKPDDLVLEIPPRTLEDAREDFVRIDMALTPTATPKRVNFSPMPSPIFSRFDDSPVHSSFKNKSALKSLLPKLSFKSRNTNLEIEKAAILALGGSSAEIRGKPRISRTFSLSKLFTPRMKNTSSLPVTPTTHSNPESMHGGQKGGAGPPIHRSHSVPVLNKDGSITQLDSLGGVFRVIPTTPRAVKGTILTTPNTFTKIDNDGNNDGGEDIPEEEAVCRICLTELGEGADTLKMECSCKGELALAHQDCAIKWFSIKGNKTCDVCKQEVQNLPVTLLRVQNDQAHNLRGSGPRQIEAARYRVWQDVPILVIVSMLAYFCFLEQLLVSLAIWKCFPSFSSFLLFLKLLCTQVSKMKSGAIAISLPFSCILGLLASMTSTTMVRRKYVWIYATVQFGLVVLSAHLFYSLLHIQAVLSVLLSAFVGFGGTMCGTSILYEVSRGWRRWRAESNQQLGSQEVPQPDQPSVTMQQIQTDTHPNETERRASESGNGN >EOY07809 pep chromosome:Theobroma_cacao_20110822:5:2780435:2784433:-1 gene:TCM_022132 transcript:EOY07809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative isoform 1 MENEGGHVVKRQNGEGTSNNSNNNNTLDPPPLQRFGDSTEIIEEMPLGQHRKPDDLVLEIPPRTLEDAREDFVRIDMALTPTATPKRVNFSPMPSPIFSRFDDSPVHSSFKNKSALKSLLPKLSFKSRNTNLEIEKAAILALGGSSAEIRGKPRISRTFSLSKLFTPRMKNTSSLPVTPTTHSNPESMHGGQKGGAGPPIHRSHSVPVLNKDGSITQLDSLGGVFRVIPTTPRAVKGTILTTPNTFTKIDNDGNNDGGEDIPEEEAVCRICLTELGEGADTLKMECSCKGELALAHQDCAIKWFSIKGNKTCDVCKQEVQNLPVTLLRVQNDQAHNLRGSGPRQIEAARYRQVYTAHLMHLATKWYLGSLSTSFVFFFCL >EOY09674 pep chromosome:Theobroma_cacao_20110822:5:30460151:30463847:-1 gene:TCM_025068 transcript:EOY09674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative isoform 1 MDLNHFSHDHPLVFIQEWSRASEEEEEGVCCFACEERVEGPCYCCSGCKFFLHKTCAELELSPEVSHPFHPPHPLILLPKSPYSGSYSCDFCWGSFSGLVYHCASCQFDLDINCASIAGNFDKVEYPFHEHPLILIEKHNRIIRCDCLGCMKEISSSPFYKCLDCKNRKGYLHKECAELPLEINNFHDRRHPLTLLQNPPIHLDSCSCYLCKIKWKGFVYYCNVCEFGLRPEDVSPLPEITTVNHEHPWTLLSRPMSFICDFCGTDGDRIPYLCTTCNFIVHKSCISLPRVITIMRHHHRLSHSYSLPENQFEKWECKICHKKVNTGYGSYYCPDSECNYIAHVNCATDRSIWDPKFNEDERSEGESINWITDVIQTKCLKGDEIATEIKHAFHDHNLTLTFSGEVKDDINCDGCMRPISTPFYGCEQCRFSLHRNCAELPREKRHPSHKHLLALTKNDESLYCYACDRFHQGFNYECNKRDCSFKIDIQCSLLSDTFRHPSHEHLLFLDHNCNGNCSGCNEGISLAYKCMQGCEFILEFRCLTLPQIAWYKYDNHPLTLTYDEGSDPYQFYCDICEEERDPNEWFYYCADCDNAAHPECILGDLPFIKLGRTWKAYRHPHLLTFVKNIWNCPRCNVCKKLCNGQALQCPECNLIFHWKCQWDLPNLNGV >EOY09675 pep chromosome:Theobroma_cacao_20110822:5:30461611:30487332:-1 gene:TCM_025068 transcript:EOY09675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative isoform 1 MATRAVAEGPHSGQLQQYYSFFKKTLLLADKIVRSILKREMDLNHFSHDHPLVFIQEWSRASEEEEEGVCCFACEERVEGPCYCCSGCKFFLHKTCAELELSPEINNFHDRRHPLTLLQNPPIHLDSCSCYLCKIKWKGFVYYCNVCEFGLRPEDVSPLPEITTVNHEHPWTLLSRPMSFICDFCGTDGDRIPYLCTTCNFIVHKSCISLPRVITIMRHHHRLSHSYSLPENQFEKWECKICHKKVNTGYGSYYCPDSECNYIAHVNCATDRSIWDPKFNEDERSEGESINWITDVIQTKCLKGDEIATEIKHAFHDHNLTLTFSGEVKDDINCDGCMRPISTPFYGCEQCRFSLHRNCAELPREKRHPSHKHLLALTKNDESLYCYACDRFHQGFNYECNKRDCSFKIDIQCSLLSDTFRHPSHEHLLFLDHNCNGNCSGCNEGISLAYKCMQGCEFILEFRCLTLPQIAWYKYDNHPLTLTYDEGSDPYQFYCDICEEERDPNEWFYYCADCDNAAHPECILGDLPFIKLGRTWKAYRHPHLLTFVKNIWNCPRCNVCKKLCNGQALQCPECNLIFHWKCQWDLPNLNGV >EOY08072 pep chromosome:Theobroma_cacao_20110822:5:4271937:4276435:-1 gene:TCM_022389 transcript:EOY08072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ser/arg-rich protein kinase 4 isoform 2 MGDKKEEEEWSGSESGDYTSEDEGTEDYRRGGYHAVRIGDTFKNGRYVVQSKLGWGHFSTVWLAWDTQLSHYVALKVQKSAQHYTEAAMDEITILQQIADGDKEDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGLPIHMVKEICYHILVGLDYLHRELSIIHTDLKPENILLLSMIDPSKDPRKSGTPVILPNSKDKTVLDSLKSMNGDLSRNQKKKIRRKAKRAAQGCVEKEVSAEADGDPETSGAAEPSPSEKTNAGSVEERPTSSGNANRVSDADGTKDTGQVSQGNKRGSRSTRRKLLASVDLNCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELSTGDVLFDPHSGDNFDRDEDHVALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLGPRLLEPSGSLSQNQAADSHTSEKKKNEMKEREAVEVGMGNIAISADSKPAKDPQSTLKSSKAASTSR >EOY08071 pep chromosome:Theobroma_cacao_20110822:5:4270745:4276499:-1 gene:TCM_022389 transcript:EOY08071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ser/arg-rich protein kinase 4 isoform 2 MGDKKEEEEWSGSESGDYTSEDEGTEDYRRGGYHAVRIGDTFKNGRYVVQSKLGWGHFSTVWLAWDTQLSHYVALKVQKSAQHYTEAAMDEITILQQIADGDKEDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGLPIHMVKEICYHILVGLDYLHRELSIIHTDLKPENILLLSMIDPSKDPRKSGTPVILPNSKDKTVLDSLKSMNGDLSRNQKKKIRRKAKRAAQGCVEKEVSAEADGDPETSGAAEPSPSEKTNAGSVEERPTSSGNANRVSDADGTKDTGQVSQGNKRGSRSTRRKLLASVDLNCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELSTGDVLFDPHSGDNFDRDEDHVALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLGKVLVEKYEFSEQDANDMTDFLVPILDFVPEKRPSAAQCLLHPWINAGPRLLEPSGSLSQNQAADSHTSEKKKNEMKEREAVEVGMGNIAISADSKPAKDPQSTLKSSKAASTSR >EOY08073 pep chromosome:Theobroma_cacao_20110822:5:4272099:4276337:-1 gene:TCM_022389 transcript:EOY08073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ser/arg-rich protein kinase 4 isoform 2 MDEITILQQIADGDKEDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGLPIHMVKEICYHILVGLDYLHRELSIIHTDLKPENILLLSMIDPSKDPRKSGTPVILPNSKDKTVLDSLKSMNGDLSRNQKKKIRRKAKRAAQGCVEKEVSAEADGDPETSGAAEPSPSEKTNAGSVEERPTSSGNANRVSDADGTKDTGQVSQGNKRGSRSTRRKLLASVDLNCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELSTGDVLFDPHSGDNFDRDEDHVALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLGPRLLEPSGSLSQNQAADSHTSEKKKNEMKEREAVEVGMGNIAISADSKPAKDPQSTLKSSKAASTSR >EOY07960 pep chromosome:Theobroma_cacao_20110822:5:3640072:3642857:1 gene:TCM_022292 transcript:EOY07960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 KPLLQKAIHSFCVFPSNPNPKTYYLFRCQQRKLEGMSRKGGAPLQKDAPWRASAGKFIPKIHHSPVLRLAQTPHSNYALAVMKHPDPIGSGLATEAIVEAAGPECIVPGQITPVRLLGVKFMDDAVNLMNKSFIDR >EOY07959 pep chromosome:Theobroma_cacao_20110822:5:3640178:3642445:1 gene:TCM_022292 transcript:EOY07959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSRKGGAPLQKDAPWRASAGKFIPKIHHSPVLRLAQTPHSNYALAVMKHPDPIGSGLATEAIVEAAGPECIVPGQITPVRLLGVKVWPIEVNLKFLEPVGKELKLLGKFMDDAVNLMNKSFIDR >EOY11502 pep chromosome:Theobroma_cacao_20110822:5:39459244:39462782:1 gene:TCM_026654 transcript:EOY11502 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-box protein 41 MGNTSLSLRFTIFLSLSFAASSSSPFFFSKSPSLSPSIPKATPSDLLSLLGPPSQSSSVNPSVADELKSCFKFLVPFTPIDTRKTPDSKSLSYRRTLLKSPRDEENELVWWPPEPVLELARLAVDSGGDPGSIHRALDPTVLPVPDVEGSKENKCGLTRTPYGRRFISQELNSYLEYLFKLIVERGPSVGLKVSLTRYDLFHGHIFIATETGRLGILFHAKEYPAYDKDMFPINMGYCQKGSNVTYDDSMNLRNILWLAPLPSNSTKGWMAPGVLLVLDARPGGIIYRDLIPEYVNYVRTIYEDDLGSVVVDVNYLNIGDPQPDYQIFIC >EOY08366 pep chromosome:Theobroma_cacao_20110822:5:6985342:6988288:1 gene:TCM_022727 transcript:EOY08366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2735 MKVKVVCGKVYEYIRYDLKEIAFPSSLPDPPHIKKRRKLTWHERFLVLKEASRLYAASWVRDIGPDLRPNDYKKDEGNEGKPSGDKRRTKEAEPSTLEDIAVAARGGMETLRPALQKLYMTRASSYRDALKSFIDGYQEGIQQVMAKKEVSEAQQEGNTQKTST >EOY09047 pep chromosome:Theobroma_cacao_20110822:5:25099651:25103536:-1 gene:TCM_024387 transcript:EOY09047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic rpb5 RNA polymerase subunit family protein isoform 2 MEGNGLEGDGSNGEALGKCLSGVVDDGSTESHRYYLSRRTVLEMLRDRGYAVPISEINLSLQEFRAIYGQSPDFDRLRLSATHKTDPSKRITDLLVNITKHVLKPKHQVLTEQEKQKLLQKYSIEEKQLPRLLKKDAIARYYGYERGQVVKVMYDGEITQSHVTYRCVW >EOY09046 pep chromosome:Theobroma_cacao_20110822:5:25100026:25103473:-1 gene:TCM_024387 transcript:EOY09046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic rpb5 RNA polymerase subunit family protein isoform 2 MEGNGLEGDGSNGEALGKCLSGVVDDGSTESHRYYLSRRTVLEMLRDRGYAVPISEINLSLQEFRAIYGQSPDFDRLRLSATHKTDPSKRILVVFYGPGMVKVSGIRLIAGLITNKESLTGLILVLQNHITNQALKALDLFSFKVEIFQITDLLVNITKHVLKPKHQVLTEQEKQKLLQKYSIEEKQLPRLLKKDAIARYYGYERGQVVKVMYDGEITQSHVTYRCVW >EOY09653 pep chromosome:Theobroma_cacao_20110822:5:30362455:30363802:-1 gene:TCM_025048 transcript:EOY09653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFMHIDQKLDSYMDHRNWTLKLCLQQHCVSKEVPLQKQSIFHDCIICSDISFSGEGHFGLHQSYCSPHSPKHGASTSRGKRLAFLAKITKVKVKVKGEGDIDSHSFFTTNR >EOY11036 pep chromosome:Theobroma_cacao_20110822:5:37642520:37647158:1 gene:TCM_026311 transcript:EOY11036 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative isoform 1 MGRELADVHMDNKPNGVVNSNGVVNSNGNPRISEGTESKNYEVKECTAENSVVENGHEKQDVLGVKSTNFGIDLPEGKNEKAGDQKSSDNKKLSSPASKSSGAGNIHVHHATSKTVANGTADVSSSPSPTATKNSEPNSPLTPLMLRKPLQPYDRKHPDEEDNWSVASSYPLFVEFSLAMSSTAVSVRTARSRVTIGTAPTFRSAERAEKRKEFYQKLEEKHQALEAERSQCEARTKEEQEAALKQLRKNMVVRANPIPSFYYEGPPPKVELKKLPLTRPKSPNLTRRKSCGDAVHASLDEKAKTCCRTHRHSLGSHREASTTANALKSKGQLSGQSSNGAGKVKTRAKQVKETTKAAPPKITEQSNANITVQS >EOY11037 pep chromosome:Theobroma_cacao_20110822:5:37642443:37647031:1 gene:TCM_026311 transcript:EOY11037 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative isoform 1 MGRELADVHMDNKPNGVVNSNGVVNSNGNPRISEGTESKNYEVKECTAENSVVENGHEKQDVLGVKSTNFGIDLPEGKNEKAGDQKSSDNKKLSSPASKSSGAGNIHVHHATSKTVANGTADVSSSPSPTATKNSEPNSPLTPLMLRKPLQPYDRKHPDEEDNWSVASSTAVSVRTARSRVTIGTAPTFRSAERAEKRKEFYQKLEEKHQALEAERSQCEARTKEEQEAALKQLRKNMVVRANPIPSFYYEGPPPKVELKKLPLTRPKSPNLTRRKSCGDAVHASLDEKAKTCCRTHRHSLGSHREASTTANALKSKGQLSGQSSNGAGKVKTRAKQVKETTKAAPPKITEQSNANITVQS >EOY10494 pep chromosome:Theobroma_cacao_20110822:5:35083866:35089435:-1 gene:TCM_025843 transcript:EOY10494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 4 isoform 3 MRKRSEVLFSKYSKGLKSLVRRKQVDSVHVRREGHQLARQLSALDLTAIGVGTTIGAGVYVLVGTVAREHTGPALTVSFLIAGLAAALSAFCYAELASRCPSAGSAYHYTYICIGESVAWLIGWSLILEYTIGGSAIARGISPNLALFFGGEDKLPAFLVRHTIPQLGVVVDPCAAVLVVIVTILLCAGIKESSLVQTIVTTVNVCAMLFIIIAGGYLACKTGWAGYELHSGFFPFGVNGMLAGSAVVFFSYIGFDAVTAAAEEAKRPLRDLPLGIGMTLSLCCILYMLVSVVIVGLVPYFSLNPDTPISSAFSANGMQWAAYIVTAGAVTALCASLMGSLFPQPRLLMAMARDGLLPSFFSDISTHTQVPVKSTVTAGTLAAALAFFMDVSQLSGMVSVGTLLAFTVVAISILILRYAPPDEVPLPPSLQQSIDSVRMQLDDDSQGTGRKNFNGVVDIVEQSSHQLEDGEAEIQCPLIQKQITEDKQNQQRRRKIAMWSIALFCIGVLVLTSAAAAEYLPSLLRFTLGAVGAAILLCSLIVLVCLNQDEARHSFGHTGGMFKFYAMNMDES >EOY10496 pep chromosome:Theobroma_cacao_20110822:5:35083235:35089630:-1 gene:TCM_025843 transcript:EOY10496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 4 isoform 3 MRKRSEVLFSKYSKGLKSLVRRKQVDSVHVRREGHQLARQLSALDLTAIGVGTTIGAGVYVLVGTVAREHTGPALTVSFLIAGLAAALSAFCYAELASRCPSAGSAYHYTYICIGESVAWLIGWSLILEYTIGGSAIARGISPNLALFFGGEDKLPAFLVRHTIPQLGVVVDPCAAVLVVIVTILLCAGIKESSLVQTIVTTVNVCAMLFIIIAGGYLACKTGWAGYELHSGFFPFGVNGMLAGSAVVFFSYIGFDAVTAAAEEAKRPLRDLPLGIGMTLSLCCILYMLVSVVIVGLVPYFSLNPDTPISSAFSANGMQWAAYIVTAGAVTALCASLMGSLFPQPRLLMAMARDGLLPSFFSDISTHTQVPVKSTVTAGTLAAALAFFMDVSQLSGMVSVGTLLAFTVVAISILILRYAPPDEVPLPPSLQQSIDSVRMQLDDDSQGTGRKNFNGVVDIVEQSSHQLEDGEAEIQCPLIQKQITEDKQNQQRRRKIAMWSIALFCIGVLVLTSAAAAEYLPSLLRFTLGAVGAAILLCSLIVLVCLNQDEARHSFGHTGGFLCPFVPLLPAACILINTYLLISLGVIDIYILRMEPQLTHRSSLCSFGIC >EOY10493 pep chromosome:Theobroma_cacao_20110822:5:35082643:35089683:-1 gene:TCM_025843 transcript:EOY10493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 4 isoform 3 MRKRSEVLFSKYSKGLKSLVRRKQVDSVHVRREGHQLARQLSALDLTAIGVGTTIGAGVYVLVGTVAREHTGPALTVSFLIAGLAAALSAFCYAELASRCPSAGSAYHYTYICIGESVAWLIGWSLILEYTIGGSAIARGISPNLALFFGGEDKLPAFLVRHTIPQLGVVVDPCAAVLVVIVTILLCAGIKESSLVQTIVTTVNVCAMLFIIIAGGYLACKTGWAGYELHSGFFPFGVNGMLAGSAVVFFSYIGFDAVTAAAEEAKRPLRDLPLGIGMTLSLCCILYMLVSVVIVGLVPYFSLNPDTPISSAFSANGMQWAAYIVTAGAVTALCASLMGSLFPQPRLLMAMARDGLLPSFFSDISTHTQVPVKSTVTAGTLAAALAFFMDVSQLSGMVSVGTLLAFTVVAISILILRYAPPDEVPLPPSLQQSIDSVRMQLDDDSQGTGRKNFNGVVDIVEQSSHQLEDGEAEIQCPLIQKQITEDKQNQQRRRKIAMWSIALFCIGVLVLTSAAAAEYLPSLLRFTLGAVGAAILLCSLIVLVCLNQDEARHSFGHTGGFLCPFVPLLPAACILINTYLLISLGVDTWIRVSIWLIIGSLIYIFYGWNHSSLTEAVYVPSAYVDEIYRASSSLQQYCHDGIGPF >EOY10495 pep chromosome:Theobroma_cacao_20110822:5:35083235:35089467:-1 gene:TCM_025843 transcript:EOY10495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 4 isoform 3 MLFIIIAGGYLACKTGWAGYELHSGFFPFGVNGMLAGSAVVFFSYIGFDAVTAAAEEAKRPLRDLPLGIGMTLSLCCILYMLVSVVIVGLVPYFSLNPDTPISSAFSANGMQWAAYIVTAGAVTALCASLMGSLFPQPRLLMAMARDGLLPSFFSDISTHTQVPVKSTVTAGTLAAALAFFMDVSQLSGMVSVGTLLAFTVVAISILILRYAPPDEVPLPPSLQQSIDSVRMQLDDDSQGTGRKNFNGVVDIVEQSSHQLEDGEAEIQCPLIQKQITEDKQNQQRRRKIAMWSIALFCIGVLVLTSAAAAEYLPSLLRFTLGAVGAAILLCSLIVLVCLNQDEARHSFGHTGGFLCPFVPLLPAACILINTYLLISLGVDTWIRVSIWLIIGSLIYIFYGWNHSSLTEAVYVPSAYVDEIYRASSSLQ >EOY07474 pep chromosome:Theobroma_cacao_20110822:5:1691938:1693703:1 gene:TCM_021903 transcript:EOY07474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein MKSFVIFKSNSNPFLKILSLSSFYLQVFLFLLIYVHFSFSCPPKTLIFPLKTQILRSPSKLQFHHNVSLIVSLTVGTPPQNVSMVLDTGSELSWLHCNQTTQNNQPDPTKFNPIQSSSYKPIPCSSPTCINQTQDFPIPASCDSDNLCHATLSYADASSSEGNLASDIFHLGSSDNISGLVFGCMDSIFSSNSAEDSKTTGLMGMNRGSLSLVSQMGFPKFSYCISGSDFSGLLLLGDSNVTWLMPLNYTPLIQISEPLPYFDRVAYTVQLEGIKVSGKLLPIPKSVLVPDHTGAGQTMVDSGTQFTFLLGPVYNVLRTEFLNQTGGVLRVLEDPNFVFQGAMDLCYRVPLGQNNLPNLPSVSLVFTGAEMVVSGDRVLYQVPGEVRANDSVWCFSFGNSDLLGVEAYVIGHHHQQNVWMEFDLEKSRIGLAQVRCDLARQRFGVGN >EOY07822 pep chromosome:Theobroma_cacao_20110822:5:2835467:2849959:1 gene:TCM_022144 transcript:EOY07822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase MVCPTEETPSRRLWVSNMDLLMTRYHISTVYFYKPNGSSNFFDTKVLKESLSKILVPFYPVAGRLGYDENGRLEIVCNAEGVLFIEANTTSIMDDLVRDFADSSKTPQLVPKIDYSGGISSYSLLGLQLDTNSFGLTRHEACTLVLRHSWIVTLLRARNPPTPTFRHIEFEPSPSLKTIVLTPKSQPSPESSIMSMFKITADHLKALKAKVNENSNSNTKYSTYSILTAHIWRCATKARDLLRDQELKLPIPIDGRNRLRPPFPPGYFGNVIFHAAPVTLAGDLLSESFIDTIKRIHEILKVMDDEYLRSSIDYIEKAPDIKSVGRGPQIMRCPNLSINSWMWLPIHDADFGWGRPIFVRPVNIVHEGKLYILPSPTKDGSLTLVTRLETSHMKLFGKLLYEFWQHKGGEQSTLVKVQRLCLETGNRSTSTPRTENLKILMASKCSKVKSGKGVAAEEEDILDNVVTYLVKLMDQIENMDKDMRSLPNVKLMITYCGQWVDDTYKGGETRVRGVGSEHGIQHSNHLYQWQSRLTYPYEFEQPDTHMRCLQMMSAQFRSECASNEIHGTLQQMQPWLENVVGPLSFSNDIVMVMSDDDASYQIEDDVDENDMADWNDKLHDDYVNGHDECSEDDKGEHNNISDCNHADSSTGHATTVVLEEVQYDDHATTIELEDVEGADPIYDNPITLQNCIRSPDDND >EOY11799 pep chromosome:Theobroma_cacao_20110822:5:40334514:40342425:-1 gene:TCM_026859 transcript:EOY11799 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT (membrane bound O-acyl transferase) family protein isoform 1 MNNNNNNSKGKLKQKQNKVELSLLILYAIGFYAFCIRRSLHLSRHHFSKLYGLRGGWLFPPRLNDVSDAQWRNFRANLPLLTLVFGVFTMLANISRQCFHFRARGMSIVWLFLSLIYLSYLHGACVVFILLIASANFLLVKIFAKAKYFPLVLWTFNLFFLFCNRVYQGYSFSKFGQRWTYLDNFRGTFRWHICFNFVVLRMISFGYDYHWAHQDSRFDQEKHIQRCHVCKSGKICYQILQERNVHTNNFTFTIYLSYLVYAPFYISGPIISFNAFASQLDVPQNHYSIKEVTWYGLRWVFVLSLMELMTHLFYFNAFAVSGSWQMLSPMDIFIIGYGVINFMWLKFFLIWRYFRFWSLIAGIEAPENMPKCVNNCYNLEGFWKSWHASFNKWIVRLRVHWEDSFFMNLKQLLVLSQSLVSWLLTSLAMLLAHQALIG >EOY11798 pep chromosome:Theobroma_cacao_20110822:5:40336029:40342399:-1 gene:TCM_026859 transcript:EOY11798 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT (membrane bound O-acyl transferase) family protein isoform 1 MNNNNNNSKGKLKQKQNKVELSLLILYAIGFYAFCIRRSLHLSRHHFSKLYGLRGGWLFPPRLNDVSDAQWRNFRANLPLLTLVFGVFTMLANISRQCFHFRARGMSIVWLFLSLIYLSYLHGACVVFILLIASANFLLVKIFAKAKYFPLVLWTFNLFFLFCNRVYQGYSFSKFGQRWTYLDNFRGTFRWHICFNFVVLRMISFGYDYHWAHQDSRFDQEKHIQRCHVCKSGKICYQILQERNVHTNNFTFTIYLSYLVYAPFYISGPIISFNAFASQLDVPQNHYSIKEVTWYGLRWVFVLSLMELMTHLFYFNAFAVSGSWQMLSPMDIFIIGYGVINFMWLKFFLIWRYFRFWSLIAGIEAPENMPKCVNNCYNLEGFWKSWHASFNKWIVRYMYIPLGGSRRKLLNIWVIFTFVAIWHDLEWKLLSWAWLTCLFFVPEMLVKSATNALQVKSMFSTSYYFGMIITTVMKILALFSLGS >EOY11796 pep chromosome:Theobroma_cacao_20110822:5:40334507:40342427:-1 gene:TCM_026859 transcript:EOY11796 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT (membrane bound O-acyl transferase) family protein isoform 1 MNNNNNNSKGKLKQKQNKVELSLLILYAIGFYAFCIRRSLHLSRHHFSKLYGLRGGWLFPPRLNDVSDAQWRNFRANLPLLTLVFGVFTMLANISRQCFHFRARGMSIVWLFLSLIYLSYLHGACVVFILLIASANFLLVKIFAKAKYFPLVLWTFNLFFLFCNRVYQGYSFSKFGQRWTYLDNFRGTFRWHICFNFVVLRMISFGYDYHWAHQDSRFDQEKHIQRCHVCKSGKICYQILQERNVHTNNFTFTIYLSYLVYAPFYISGPIISFNAFASQLDVPQNHYSIKEVTWYGLRWVFVLSLMELMTHLFYFNAFAVSGSWQMLSPMDIFIIGYGVINFMWLKFFLIWRYFRFWSLIAGIEAPENMPKCVNNCYNLEGFWKSWHASFNKWIVRYMYIPLGGSRRKLLNIWVIFTFVAIWHDLEWKLLSWAWLTCLFFVPEMLVKSATNALQAEGALGGFIFHELKAAAGAITITCLMVANLVGYVIGPSGINWLISRFLRKEGLSVLGFMLLSFYVGTKLMFQISDAKQRVQ >EOY11797 pep chromosome:Theobroma_cacao_20110822:5:40335125:40341946:-1 gene:TCM_026859 transcript:EOY11797 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT (membrane bound O-acyl transferase) family protein isoform 1 MNNNNNNSKGKLKQKQNKVELSLLILYAIGFYAFCIRRSLHLSRHHFSKLYGLRGGWLFPPRLNDVSDAQWRNFRANLPLLTLVFGVFTMLANISRQCFHFRARGMSIVWLFLSLIYLSYLHGACVVFILLIASANFLLVKIFAKAKYFPLVLWTFNLFFLFCNRVYQGYSFSKFGQRWTYLDNFRGTFRWHICFNFVVLRMISFGYDYHWAHQDSRFDQEKHIQRCHVCKSGKICYQILQERNVHTNNFTFTIYLSYLVYAPFYISGPIISFNAFASQLDVPQNHYSIKEVTWYGLRWVFVLSLMELMTHLFYFNAFAVSGSWQMLSPMDIFIIGYGVINFMWLKFFLIWRYFRFWSLIAGIEAPENMPKCVNNCYNLEGFWKSWHASFNKWIVRYMYIPLGGSRRKLLNIWVIFTFVAIWHDLEWKLLSWAWLTCLFFVPEMLVKSATNALQAEGALGGFIFHELKAAAGAITITCLMVANLVGYVIGPSGINWLISRFLRKEGMGL >EOY11800 pep chromosome:Theobroma_cacao_20110822:5:40334565:40342399:-1 gene:TCM_026859 transcript:EOY11800 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT (membrane bound O-acyl transferase) family protein isoform 1 MNNNNNNSKGKLKQKQNKVELSLLILYAIGFYAFCIRRSLHLSRHHFSKLYGLRGGWLFPPRLNDVSDAQWRNFRANLPLLTLVFGVFTMLANISRQCFHFRARGMSIVWLFLSLIYLSYLHGACVVFILLIASANFLLVKIFAKAKYFPLVLWTFNLFFLFCNRVYQGYSFSKFGQRWTYLDNFRGTFRWHICFNFVVLRMISFGYDYHWAHQDSRFDQEKHIQRCHVCKSGKICYQILQERNVHTNNFTFTIYLSYLVYAPFYISGPIISFNAFASQLDVPQNHYSIKEVTWYGLRWVFVLSLMELMTHLFYFNAFAVSGSWQMLSPMDIFIIGYGVINFMWLKFFLIWRYFRFWSLIAGIEAPENMPKCVNNCYNLEGFWKSWHASFNKWIVREKKVWDCDMPFTLIKLFPVYLIAAQLIQYVFSGLSVLGFMLLSFYVGTKLMFQISDAKQRVQ >EOY10444 pep chromosome:Theobroma_cacao_20110822:5:34849158:34853078:-1 gene:TCM_025807 transcript:EOY10444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycling DOF factor 2, putative isoform 2 MNGGDPAFKLFGRKIAVPEPQIHAGDTSSEITNPETETSYTNTSGDPDKSITVENDKEEHQTSMKSNEIQTNSKLKEEQSETDSADQEKVFKKPDKVLPCPRCNSLDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPIGAGRRKNKHLASQYRQIIVSSDGVPMTRIETPDSANQQLLPPGESTTAFRPSMGNGTVLKFGAEAPLCESMETVLSLGDQKRSVEMGTVSCGEIREEPSSCGSSATPCSIPGNHLPGNVMQKERVGLAGPNEQITQHPPQCYPIPPWIFPWNPGMNNVAPMAVGQSSSERIGALNSSTSNAVQWCPTPMMAVPGFCPPNVPLQFVPAYWGCMPLWAASGGNVSFSGSNGCLSPSSSTSNSCCSGNGSPRLGKHSRETNFVEEQSEKCVLVPKTLRIDDPNEASRSPIWATLGIKPDQKDPLRRGKIFNAFDSKAEGKDHLLDGSHILEANPAALSRSHTFQEST >EOY10445 pep chromosome:Theobroma_cacao_20110822:5:34849771:34852830:-1 gene:TCM_025807 transcript:EOY10445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycling DOF factor 2, putative isoform 2 MLGYFDFEFQDTSSEITNPETETSYTNTSGDPDKSITVENDKEEHQTSMKSNEIQTNSKLKEEQSETDSADQEKVFKKPDKVLPCPRCNSLDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPIGAGRRKNKHLASQYRQIIVSSDGVPMTRIETPDSANQQLLPPGESTTAFRPSMGNGTVLKFGAEAPLCESMETVLSLGDQKRSVEMGTVSCGEIREEPSSCGSSATPCSIPGNHLPGNVMQKERVGLAGPNEQITQHPPQCYPIPPWIFPWNPGMNNVAPMAVGQSSSERIGALNSSTSNAVQWCPTPMMAVPGFCPPNVPLQFVPAYWGCMPLWAASGGNVSFSGSNGCLSPSSSTSNSCCSGNGSPRLGKHSRETNFVEEQSEKCVLVPKTLRIDDPNEASRSPIWATLGIKPDQKDPLRRGKIFNAFDSKAEGKDHLLDGSHILEANPAALSRSHTFQEST >EOY10873 pep chromosome:Theobroma_cacao_20110822:5:36898660:36900168:1 gene:TCM_026169 transcript:EOY10873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNIKPFLHEHYLLFDCYHNEEARCDQCNQQIDGWAYSCESCPKFWLHSSCAEEQLPSQISHPFHTQHLLTLSTDDFDGVDFICHKCFSLSRGHRYRRTNCNLKVDVSCAASANDATLEKLESKRSDDSSFEFPNFVHEHRLTGIFNYRKVGKKHYNCSWCEKHLSGMTYGCLLFLCSKQFYIHESCFIKIPTKI >EOY09566 pep chromosome:Theobroma_cacao_20110822:5:29899018:29899833:-1 gene:TCM_024983 transcript:EOY09566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAYSSGNSFSWEPGQARGWESSLEGVKSKVQNEWSMFHERLLERKKFFCSIFTKVPSSSPQFVHVLSHLICVRVRCWILCFYFILDDSKFNVFIERGIIFDCRKGRTYRWTYLFTFE >EOY10815 pep chromosome:Theobroma_cacao_20110822:5:36674313:36677874:1 gene:TCM_026123 transcript:EOY10815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of Unknown Function (DUF239) [Source:Projected from Arabidopsis thaliana (AT5G18460) TAIR;Acc:AT5G18460] MTIERVPSEMPKVKTLKQDEARESMGNVGAVKGAWQMWHGNGTRCPKGTVPIRRSSLHDVLRAKSLFDFGKKQRRFTPTRRTDAPDVVSGNGHEHAIAYTGTSQEVFGAKATINVWDPSIQVVNEFSLSQIWVLSGSFDGSDLNSIEAGWQVSPELYGDSRPRLFTYWTADSYQATGCYNLLCAGFVQTNSRIAIGAAISPISLYAANQYDITILIWKDPKLGNWWMGFGDNTLVGYWPAELFTHLADHATMVEWGGEVVNSRANGQHTSTQMGSGHFAEEGFGKSSYFRNLEIVDADNSLSSVHDISTLAENTNCYNIKSSYNNEWGTYFYYGGPGNNPRCP >EOY10814 pep chromosome:Theobroma_cacao_20110822:5:36673514:36678039:1 gene:TCM_026123 transcript:EOY10814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of Unknown Function (DUF239) [Source:Projected from Arabidopsis thaliana (AT5G18460) TAIR;Acc:AT5G18460] MACDIVAKKRGVSSLLPHHHLPLLLLLLLSFLFVTKFTLVSGLNYTKYRQVSSLRLERIQKHLAKINKPAVMTIESPDGDIIDCVHKRKQPALDHPLLKNHKIQRVPSEMPKVKTLKQDEARESMGNVGAVKGAWQMWHGNGTRCPKGTVPIRRSSLHDVLRAKSLFDFGKKQRRFTPTRRTDAPDVVSGNGHEHAIAYTGTSQEVFGAKATINVWDPSIQVVNEFSLSQIWVLSGSFDGSDLNSIEAGWQVSPELYGDSRPRLFTYWTADSYQATGCYNLLCAGFVQTNSRIAIGAAISPISLYAANQYDITILIWKDPKLGNWWMGFGDNTLVGYWPAELFTHLADHATMVEWGGEVVNSRANGQHTSTQMGSGHFAEEGFGKSSYFRNLEIVDADNSLSSVHDISTLAENTNCYNIKSSYNNEWGTYFYYGGPGNNPRCP >EOY08584 pep chromosome:Theobroma_cacao_20110822:5:12360742:12369108:1 gene:TCM_023269 transcript:EOY08584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISFRLLSLGTINIGHQMACPKAQTSQHMQSHTSIYIYIYIYIYIYTYIYIYIYIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXHTTILYIYIYIYIYIYIYIHIYISSLA >EOY10764 pep chromosome:Theobroma_cacao_20110822:5:36325231:36330232:-1 gene:TCM_026061 transcript:EOY10764 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 9 MLSSLSLLLLLSLSSFTVVMLSLHILAVLHLHVVWKGCLLEFNLLTAHVLTCRWQGVTFGNNFVRTWFLSMAKTESAAVGEETELKKELQRVVKTILEEDDIGLKTIEATRILSNLAELKLKKPVGIRLDDTIFPEKFKCPLSGEIMGDPVVLSSGQTYDRPNIQKWLNEGNLTCPLSKQVLSHTILIPNCLVRELILHWSKERGIALPKSYQDVDGDMNAEVDRIYLNSLLEKMSSSLSDQKEAAKELRRLTKTAPSYRAVFCEFTDAISRLLSPLSQSKVESDPGLQEDLITTVLNLSIHGNNKKLIAENPVVIPLLIESMKFGTIETRRNAAAALFSLSALDSNKFIIGNSGALVPLLELLREGHPLALMDAASAIFNLCFVSENKAKFIEIGIVKVMLQKIKDGIFVDELLGILALLSTHHDAVDELGDFDTLRWLFQIIRDSHSERTRENCVSILYNVCSKDLNMLMVIRAEEIKKCTLAELADTGTTRARRKASGIIEKIHKAFPTTQSLRK >EOY09286 pep chromosome:Theobroma_cacao_20110822:5:28022231:28024554:-1 gene:TCM_024711 transcript:EOY09286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C2H2 type, AN1-like) family protein MGTPEFPDLGKHCSVEDCKQIDFLPFTCDRCRLVFCLEHRSYIKHRCPKADKKDVTVVICPLCAKGVHLIPDEDPNITWETHVNTECDPSNYDKVTKKKKCPVPGCREVLTFSNTIKCRDCTIDHCLKHRFGPDHNCPGPKKPDSGFPFMGLLSRSRKEESKPNRAPATSSTNWATSFRNAASTVRATAEASMTKLSSELSQKWQIARDGAGLSGSSSSSSNGSTAQVEECPQCGAKFSSVTTLVEHVEKVHERNNQSRVFKMSIDVCPRCSKGFRDPVALVEHVERDHGGTSKA >EOY08384 pep chromosome:Theobroma_cacao_20110822:5:7213669:7225904:-1 gene:TCM_022755 transcript:EOY08384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVYKGLNLAWELGFHKIIIQVDIQLVSRAITSYNFHPSSNSDLISVIHSLLTRQWEVKICHFYRKGNRLANFMASKGIELEEVCLVFHSPPIGASEILMYDMLGICFPQMIRI >EOY07209 pep chromosome:Theobroma_cacao_20110822:5:836943:841201:1 gene:TCM_021695 transcript:EOY07209 gene_biotype:protein_coding transcript_biotype:protein_coding description:LMBR1-like membrane protein isoform 1 MLPADVANRQACRNAIYNGACKLTLPMKDLWLAVYIVDAVLVFFIIPFAMFYYEGDQDKSVGKRIKSALLWVVTTAIVCALLLGILYGLVGKVDFTVRHLSSATTNFPSTWEFSSGQPCIGGSGARACSAYTANASSEKTWTMRTTFPEYVVALATIVGSVLFSIFGGVGIASLPLGLIASFIRRPKAVITRSQYIKEATELGKKARELKKAADALHQEERNGSKGRKWRKNVKAVEKVAGAMMLGLRLVFITIHPMKWGATLMNSFLFNVALILLCSISVIQFCSTAFGYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIAFVVLAGLTFVYYVAFGWRRKKPSGRFQLSS >EOY07207 pep chromosome:Theobroma_cacao_20110822:5:836674:841065:1 gene:TCM_021695 transcript:EOY07207 gene_biotype:protein_coding transcript_biotype:protein_coding description:LMBR1-like membrane protein isoform 1 MGDFNLALVIVAIIVCVLVFLFNVYLLVNYQHPDDANQAYFPKFVVVFGLSIAAISILMLPADVANRQACRNAIYNGACKLTLPMKDLWLAVYIVDAVLVFFIIPFAMFYYEGDQDKSVGKRIKSALLWVVTTAIVCALLLGILYGLVGKVDFTVRHLSSATTNFPSTWEFSSGQPCIGGSGARACSAYTANASSEKTWTMRTTFPEYVVALATIVGSVLFSIFGGVGIASLPLGLIASFIRRPKAVITRSQYIKEATELGKKARELKKAADALHQEERNGSKGRKWRKNVKAVEKELLQLEEDVKLLEEMYPQGEKAETAWALTVLGYLGKLVLGILGLIVSVAWVAHIIIYLLIDPPLSPFLNEVFIKLDDIWGLLGTVAFAFFCFYLLLAVIAGAMMLGLRLVFITIHPMKWGATLMNSFLFNVALILLCSISVIQFCSTAFGYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIAFVVLAGLTFVYYVAFGWRRKKPSGRFQLSS >EOY07208 pep chromosome:Theobroma_cacao_20110822:5:836839:841201:1 gene:TCM_021695 transcript:EOY07208 gene_biotype:protein_coding transcript_biotype:protein_coding description:LMBR1-like membrane protein isoform 1 MGDFNLALVIVAIIVCVLVFLFNVYLLVNYQHPDDANQAYFPKFVVVFGLSIAAISILMLPADVANRQACRNAIYNGACKLTLPMKDLWLAVYIVDAVLVFFIIPFAMFYYEGDQDKSVGKRIKSALLWVVTTAIVCALLLGILYGLVGKVDFTVRHLSSATTNFPSTWEFSSGQPCIGGSGARACSAYTANASSEKTWTMRTTFPEYVVALATIVGSVLFSIFGGVGIASLPLGLIASFIRRPKAVITRSQYIKEATELGKKARELKKAADALHQEERNGSKGRKWRKNVKAVEKELLQLEEDVKLLEEMYPQGEKAETAWALTVLGYLGKLVLGILGLIVSVAWVAHIIIYLLIDPPLSPFLNEVFIKLDDIWGLLGTVAFAFFCFYLLLAVIAGAMMLGLRLVFITIHPMKWGATLMNSFLFNVALILLCSISVIQFCSTAFGYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIAFVVLAGLTFVYYVAFVSFQLSSLFSLSFHSSE >EOY11630 pep chromosome:Theobroma_cacao_20110822:5:39883695:39886000:1 gene:TCM_026748 transcript:EOY11630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MSRASLELERKILRLLHGQKTRKTLREIHAHFLRQCLHQSNQILSHFVSVCGHLNKMDYANLVFAQTHNPNILLFNSMIKGYSLNGPFEEAIALFSLLKTRGIWPDEYTFPPLLKACSGLGDVRVGQCTHGEVIKSGFERFGSVQIGVVELYSSSGRMEEAKKVFDRMCQRDVIVWNLMIRGFCKIGDVGIGLNLFRQMSERSVVSWNLMISCLAQSARQDEALALFQEMRELGFQPDEATVVIVLPICAHLGAADVGQWIHSYAESSRLYQKVVSVGNALVDFYSKCGNLETALQIFKDMPCKYVVSWNVMISGLAFNGKGELGVKLFEEMMNKGEMPNDATFIGVLTCCAHAGLVEKGQELFASMSKIYYIDPKLEHYGCMVDLLARSGCVRMAYDLITSMHIAPNATLWGALLSACRTHGELQLAELAVKELMNLEPWNSGNYVLLSNIYAEEGRWDEVDKIRVLMREKSVKKAMGQSATG >EOY09081 pep chromosome:Theobroma_cacao_20110822:5:25829954:25834388:-1 gene:TCM_024461 transcript:EOY09081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase isozyme L5 isoform 4 MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDAVNNLRPVYGLIFLFKWRPGEKDERLVIKDPNPNLFFASQVINNACATQAILSILMNCPDIDIGPELSKLKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPEEQKAAGKDDDVYHFISYIPVDGVLYELDGLKEGPISLGQCPTGQGDMEWMKMVQPVIQERIERYSKSEIRFNLMAVIKNRKEMYTAELKELQKKRERILQQLATIQSDRLADRSSFEALNKQLSEVNSGIEGATEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKTSSSR >EOY09082 pep chromosome:Theobroma_cacao_20110822:5:25829789:25834400:-1 gene:TCM_024461 transcript:EOY09082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase isozyme L5 isoform 4 MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDAVNNLRPVYGLIFLFKWRPGEKDERLVIKDPNPNLFFASQVINNACATQAILSILMNCPDIDIGPELSKLKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPEEQKAAGKDDDVYHFISYIPVDGVLYELDGLKEGPISLGQCPTGQGDMEWMKMVQPVIQERIERYSKSEIRFNLMAVIKNRKEMYTAELKELQKKRERILQQLATIQSDRLADRSSFEALNKQLSEVNSGIEGATEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKTSSSR >EOY09085 pep chromosome:Theobroma_cacao_20110822:5:25829879:25832519:-1 gene:TCM_024461 transcript:EOY09085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase isozyme L5 isoform 4 MNCPDIDIGPELSKLKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPEEQKAAGKDDDVYHFISYIPVDGVLYELDGLKEGPISLGQCPTGQGDMEWMKMVQPVIQERIERYSKSEIRFNLMAVIKNRKEMYTAELKELQKKRERILQQLATIQSDRLADRSSFEALNKQLSEVNSGIEGATEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKTSSSR >EOY09084 pep chromosome:Theobroma_cacao_20110822:5:25829879:25834302:-1 gene:TCM_024461 transcript:EOY09084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase isozyme L5 isoform 4 MSWCTIESDPGVFTELIQQMQVKGVQVVEELYSLDLDAVNNLRPVYGLIFLFKWRPGEKDERLVIKDPNPNLFFASQVINNACATQAILSILMNCPDIDIGPELSKLKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPEEQKAAGKDDDVYHFISYIPVDGVLYELDGLKEGPISLGQCPTGQGDMEWMKMVQPVIQERIERYSKSEIRFNLMAVIKNRKEMYTAELKELQKKRERILQQLATIQSDRLADRSSFEALNKQLSEVNSGIEGATEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKTSSSR >EOY09083 pep chromosome:Theobroma_cacao_20110822:5:25829879:25834302:-1 gene:TCM_024461 transcript:EOY09083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase isozyme L5 isoform 4 MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDAVNNLSRPVYGLIFLFKWRPGEKDERLVIKDPNPNLFFASQVINNACATQAILSILMNCPDIDIGPELSKLKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPEEQKAAGKDDDVYHFISYIPVDGVLYELDGLKEGPISLGQCPTGQGDMEWMKMVQPVIQERIERYSKSEIRFNLMAVIKNRKEMYTAELKELQKKRERILQQLATIQSDRLADRSSFEALNKQLSEVNSGIEGATEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKTSSSR >EOY10580 pep chromosome:Theobroma_cacao_20110822:5:35465967:35469081:-1 gene:TCM_025914 transcript:EOY10580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha-5 MREVISVHIGQAGIQVGNACWELYCLEHGIQPDGMMPSQKFYQYEPDALTSNYAQMVKAAELSPLFGAGFFDTSLGACHDAFNTFFSETGSGKHVPRAIFVDLEPTVIDEVRAGTYRQLFHPEQLISGKEDAANNFARGHYTVGREIVDLCLDRIRKLADNCTGLQGFLVFSAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISSAKAYHEQLSVPEITSAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGAEDEEEPEDY >EOY10117 pep chromosome:Theobroma_cacao_20110822:5:33065428:33066386:1 gene:TCM_025495 transcript:EOY10117 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLP-like protein 423, putative MHGHLSQDTPVAVPAAVVWDVYGTLELGRLVDKLLGDVIGSVEVIEGDGGVGTLVKVTFRPGSPVDGYMIEKFTKVDDENRVKETEIVEGGYKALGFDPFRVRLEILEKDSQSSIIRSSVEYEVDDKLAALASQVSIKPLEMIAEAIGKYLLEKETAQI >EOY07624 pep chromosome:Theobroma_cacao_20110822:5:2222558:2224206:1 gene:TCM_022011 transcript:EOY07624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein 1589 of unknown function [Source:Projected from Arabidopsis thaliana (AT5G02580) TAIR;Acc:AT5G02580] MGGDSSASYIHMVHHLIEECLIFNMSKEECIEALSKHANIKPVITSTVWNELEKENKEFFEAYTRGRDQRATEIEKRQRIQSRLKASMRETIDKN >EOY11259 pep chromosome:Theobroma_cacao_20110822:5:38716306:38718850:1 gene:TCM_026504 transcript:EOY11259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MAGSNEVNLNECKMVVPLNTWVLISTYKLAYNLLRRPDGTFKRHLAEFLDRKVPANANPVDGVFSFDVIIDRSTSLFSRIYRPATAEEPQPNIVELEKPVMAEVVPVIIFFHGGSFAHSSANSAIYDTLCRRLVGVCKAVVVSVNYRRAPENRYPSAYDDGWTALKWVNSRSWLQSQKDSKVHIYLAGDSSGGNIAHHVAAQAVESGIDVLGNILLNPMFGGQERTESEKRLDGKYFVTLQDRDWYWRAFLPEGEDRDHPACNPFGPNGKSLEGIKFPKSLVVVAGLDLVQDWQMAYVEGLKNAGQEVKLLYLDQATIGFYLLPNNNHFHTVMGEISKFVSSNC >EOY08140 pep chromosome:Theobroma_cacao_20110822:5:4941024:4942666:1 gene:TCM_022478 transcript:EOY08140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSAIEIWNTLEQSFAHLDDTIICNLQYTLDFIDFHVGKRVVLIKIHSLIGHPLTSPLTLNLSSLFNGFTHSNPFLILLSLFVASFSSSILMVFRFLNGPNDTFSTVRSQIILMDPKPDLDKVHSLVLRKEA >EOY11157 pep chromosome:Theobroma_cacao_20110822:5:38178791:38179950:1 gene:TCM_026421 transcript:EOY11157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed maturation protein, putative MPVAPKDVAMMQTAENAMLGHNPKGYAASAMQSAAMQNEGAGFVCHEDLNAESGVTIKETELPGKRVITESVGDEVVGQYSQAAPLSSGQFSASQQGGSTGDAITIGEALEATALTAGKKPVEWSDAAAIQAAEVRATGRTSIMPGGVAAAAQSAATLNARATRDEDKTKLGDILSNATTKLPSDKPATRRDAERVTGAEMRNDPNLTTHPAGVAASVAAAARLNQSHK >EOY08700 pep chromosome:Theobroma_cacao_20110822:5:18132409:18134953:1 gene:TCM_023758 transcript:EOY08700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEFRWKCFSNKKVSWKFSTKFFIGKAFLMEFLLKISNGIPLEIDFLRNYFQRNFPWAMLFQRKFRWKFSTKFHLKRISNKISVGNFQRNSIRNYFPTEIPMELLWDSQQIMHFFQ >EOY11193 pep chromosome:Theobroma_cacao_20110822:5:38286113:38292580:1 gene:TCM_026444 transcript:EOY11193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase isoform 2 MGCVLGREVSSGIVSESRESKNANFESNKKAENVTVSKTDASVVEVENEGTRKEEKADGERKQRGERRKSKPNPRLSNLPKHLCGEQVAAGWPSWLSDACGEALNGWIPRRADTFEKIDKIGSGTYSNVYKAKDMVTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFQYMEHDLAGLAASPIVKFTEPQVKCYMYQLLSGLEHCHNRGVLHRDIKGSNLLIDDGGVLKIADFGLATFFDPNRKHPMTSRVVTLWYRAPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRCIKETFKDFPPSSLPLIDTLLAIDPAERLTATAALRSEFFTTEPCACEPSSLPKYPPSKEMDAKRRDDEARRLRAASKTQGDGARKTRTRDRARAIPAPEANAELQSNLDRRRLITHANAKSKSEKFPPPHQDGALGFPLGASHHIDPAFVPPDVPFSTTSFTYSKEPIHTWSGPLVDSASMGAPRRKKNVAGDLHEPSKPPTGSQKDKSGDTRVKGKRSIL >EOY11194 pep chromosome:Theobroma_cacao_20110822:5:38286418:38292412:1 gene:TCM_026444 transcript:EOY11194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase isoform 2 MGCVLGREVSSGIVSESRESKNANFESNKKAENVTVSKTDASVVEVENEGTRKEEKADGERKQRGERRKSKPNPRLSNLPKHLCGEQVAAGWPSWLSDACGEALNGWIPRRADTFEKIDKIGSGTYSNVYKAKDMVTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFQYMEHDLAGLAASPIVKFTEPQVKCYMYQLLSGLEHCHNRGVLHRDIKGSNLLIDDGGVLKIADFGLATFFDPNRKHPMTSRVVTLWYRAPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRCIKETFKDFPPSSLPLIDTLLAIDPAERLTATAALRSEFFTTEPCACEPSSLPKYPPSKEMDAKRRDDEARRLRAASKTQGDGARKTRTRDRARAIPAPEANAELQSNLDRRRLITHANAKSKSEKFPPPHQDGALGFPLGASHHIDPAFVPPDVPFSTTSFTYSKEPIHTWSGPLVDSASMGAPRRKKNVAGDLHEPSKPPTGSQKDKSGDTRVKGKRSIL >EOY11192 pep chromosome:Theobroma_cacao_20110822:5:38283336:38292274:1 gene:TCM_026444 transcript:EOY11192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase isoform 2 MGCVLGREVSSGIVSESRESKNANFESNKKAENVTVSKTDASVVEVENEGTRKEEKADGERKQRGERRKSKPNPRLSNLPKHLCGEQVAAGWPSWLSDACGEALNGWIPRRADTFEKIDKIGSGTYSNVYKAKDMVTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFQYMEHDLAGLAASPIVKFTEPQVKCYMYQLLSGLEHCHNRGVLHRDIKGSNLLIDDGGVLKIADFGLATFFDPNRKHPMTSRVVTLWYRAPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRCIKETFKDFPPSSLPLIDTLLAIDPAERLTATAALRSEFFTTEPCACEPSSLPKYPPSKEMDAKRRDDEARRLRAASKTQGDGARKTRTRDRARAIPAPEANAELQSNLDQRRRLITHANAKSKSEKFPPPHQDGALGFPLGASHHIDPAFVPPDVPFSTTSFTYSKEPIHTWSGPLVDSASMGAPRRKKNVAGDLHEPSKPPTGSQKDKSGDTRVKGKRSIL >EOY07762 pep chromosome:Theobroma_cacao_20110822:5:2605146:2608795:1 gene:TCM_022095 transcript:EOY07762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MAEVRGVNTWQEELASLVEDTGMIYTGDMIGVSSLPPFNEAKSAAAAEVVESDHETEPQESLKEQVTGFMKSWCEMLLELGRGCIDIVQQTVVTEDSFLVQKLGGPVAKVSGRLRFLNEFLPEDRDPIHAWPVIFFVFILALSALKLNSGHDELVPPVKKVRAHPPNASRIQLPDGRHLAYREIGVPADKARFSLIAPHPFLSSRLAVIPGVKTSLLEEFGVRLVTYDLPGFGESDPHPSRNLNSSAFDMLHLADAVGVNDKFWVLGFSSGSMHAWAALRYVPHRISGAAMVAPMINPYEPSLTKEEMRSIWGEWLPRRKLMYYLARRLPKLLSFFYRRSFLSGKHGRIEKWMSLSLGRRDTVLTEGQTFEVFWHRDVEESIRQGNAKPFIEEAVLQVSNWGFSLADLQVQRRCYRSGIFPWLRSLYSQAECELAGFLGPIHIWQVCRGWMTKLFHQQ >EOY07761 pep chromosome:Theobroma_cacao_20110822:5:2602926:2610325:1 gene:TCM_022095 transcript:EOY07761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MAEVRGVNTWQEELASLVEDTGMIYTGDMIGVSSLPPFNEAKSAAAAEVVESDHETEPQESLKEQVTGFMKSWCEMLLELGRGCIDIVQQTVVTEDSFLVQKLGGPVAKVSGRLRFLNEFLPEDRDPIHAWPVIFFVFILALSALKLNSGHDELVPPVKKVRAHPPNASRIQLPDGRHLAYREIGVPADKARFSLIAPHPFLSSRLAVIPGVKTSLLEEFGVRLVTYDLPGFGESDPHPSRNLNSSAFDMLHLADAVGVNDKFWVLGFSSGSMHAWAALRYVPHRISGAAMVAPMINPYEPSLTKEEMRSIWGEWLPRRKLMYYLARRLPKLLSFFYRRSFLSGKHGRIEKWMSLSLGRRDTVLTEGQTFEVFWHRDVEESIRQGNAKPFIEEAVLQVSNWGFSLADLQVQRRCYRSGIFPWLRSLYSQAECELAGFLGPIHIWQGMDDKAVPPAMMNYISRVLPGAIMHKLPNEGHFSFFYFCDECHRQILSTLLGSPQGPLDPVTDKGEILSEGDMEEQSSATDSTKNDTSP >EOY07763 pep chromosome:Theobroma_cacao_20110822:5:2605208:2608765:1 gene:TCM_022095 transcript:EOY07763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MAEVRGVNTWQEELASLVEDTGMIYTGDMIGVSSLPPFNEAKSAAAAEVVESDHETEPQESLKEQVTGFMKSWCEMLLELGRGCIDIVQQTVVTEDSFLVQKLGGPVAKVSGRLRFLNEFLPEDRDPIHAWPVIFFVFILALSALKLNSGHDELVPPVKKVRAHPPNASRIQLPDGRHLAYREIGVPADKARFSLIAPHPFLSSRLAVIPGVKTSLLEEFGVRLVTYDLPGFGESDPHPSRNLNSSAFDMLHLADAVGVNDKFWVLGFSSGSMHAWAALRYVPHRISGAAMVAPMINPYEPSLTKEEMRSIWGEWLPRRKLMYYLARRLPKLLSFFYRRSFLSGKHGRIEKWMSLSLGRRDTVLTEGQTFEVFWHRDVEESIRQGNAKPFIEEAVLQVSNWGFSLADLQVQRRCYRSGIFPWLRSLYSQAECELAGFLGPIHIWGWMTKLFHQQ >EOY07169 pep chromosome:Theobroma_cacao_20110822:5:705373:710131:-1 gene:TCM_021674 transcript:EOY07169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA oxidase 4 MLEAKQPKLACQLYLPPAADLSLSILSKNRKKKPLLSITMALLSKFRDETDKSAKSSYFNLPPLDVSVAFPQATPASTFPPPASDYYQFDDLLSPEEQAIRKKVRECMEKEVAPVMTEYWEKAEFPFQIVPKLAALRIAGGTIKGYGCPGLSITGSAISTAEVARVDASCSTFILVHSSLAMLTIALCGSEEQKQKYLPSLAQLKTIACWALTEPDYGSDASALKTTATKVKGGWMLEGQKRWIGNSTFADVLVIFARNTTTNQINGYLVKKDSPGLTATKIANKIGLRIVQNGDILLKKVFVPDEDRLPGVNSFQDTSKVLAVSRVMVAWQPIGISMGVYDMCQRYLKERKQFGAPLAAFQINQQKLALMLGNVQAMTLVGWRLCKLYEGGKMTPGQASLGKSWITLKARETVSLGRELLGGNGILADFLVAKAFCDLEPIYTYEGTYDINSLVTGREITGFASFKPAALSQRSRL >EOY07631 pep chromosome:Theobroma_cacao_20110822:5:2243917:2250218:-1 gene:TCM_022017 transcript:EOY07631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast-targeted copper chaperone, putative MKRMDIFCASQASTAICLSMDQASSSCYSESAIQLGGRAIDRHNPIIRDAKRFTRTLPSNPCTSQQPPINPLPYHQLRKNEKRSSSSKPNDHTKKSSAASAKSKDHKKKSSTKSSSLKPTDHIVSRKSTSDIKDADSGRKSFAKEVDFVTPPGSSRYLLGDSAFFDGISDYDPVWALVPPETKKIQAIKQDQSIVSKPSSSSRSEKPSADQVVVLRVSLHCKGCEGKVRKHLSRMEGVTSFSIDFAAKKVTIVGDVTPLGVLASVSKVKSAQFWTSSMSASSASTALASGNLATKK >EOY08993 pep chromosome:Theobroma_cacao_20110822:5:24580131:24604802:-1 gene:TCM_024328 transcript:EOY08993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin heavy chain, putative isoform 1 MERINVAVRTRPLSPEDAKTSPWRISANSIFIPNHATKFEFDRIFGEDCKTGEVYEARTKEIVAAAVRGFNGTVFAYGQTNSGKTHTMRGSAAEPGVIPLAVHDLFGIIQQRGIYVAGLREEIVASPEQVLDFMEFGESHRHIGETNMNLHSSRSHTIFRMIIESRDRTEDGDGDTVNSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHADETKSSLQFASRALRVTNCARVNEILTDAALLKRQKKEIEELRAKLQGSRSEHLEKEILNLRNTLLQSELERERIALELEEEKKAQVERERVLQEQAKKIKNLSSMVLYSSRDESRDQFKKEKRRDTWCPGNLAREALRESYSSIQSNSSAIKPTKSQRDMGPLLSFEELVDETEIADDLSKQDEDCKASVLEDCTLPDACALLHVTNRRKVLPRKKCSFVEDSELLELQTEYEDLLLNFETQRTMSEIKIDCLMRKLAEADSLHNMKHIESNDHSAFHANKTNCADKNIGLREFEAILVIKQLQEKIKLLETEKSSSQQNLNSLVELATEQNICAREKFDELCEELHNAREEARVAHEKLAHSESGGRKDGDCDFAIELLKEVEDLISEAQESKEVVQSLSSLVDDAFQSFSAIIQEFLDFNGMMCQNSVQQKIIIANTEKLHGCMRQRTSELENDKLLLHNQSVDLQKQVQELMEDAQNHAASLTALSEEHDWEKSQYLSQIHSFEKEISYLSSCSLAREKESLRKDFEKTKMKLQDTESKLKNTIQEKTKLEGEKAFAEREIKRLHGQKTLLERDISRRDSLAGRRRDSVFDRNSKMFDPKKAKALEQTMQEDYKKLEVLAFEMETTIASLEEELAAAHREKREAISRNEDLALAFEALTKKFNITSSEMNALHEELSGLRLSLEQSNSNQQEMESSIKRLLAENEELAMQLTSSLLEMEEERAIQSAREKASIKAMEENTKLYNSEITSLSETLSEVMKELESCRKECNVLRERLIYFDEDATLEKNCSMQKSLQIDQLKNDVETADAKSKQSQQISKSNFEMLSLELQHAQEELSIIKRERDDLSAKIGQLVAKSDLSDELQKLKNQLLDMSRERDKLVTQIEEQQSSLVEAEMLKQDSNDVLMEAKVEVEELTSRLSCMEAKMHNDQVNNGKE >EOY08992 pep chromosome:Theobroma_cacao_20110822:5:24580133:24601389:-1 gene:TCM_024328 transcript:EOY08992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin heavy chain, putative isoform 1 MEFGESHRHIGETNMNLHSSRSHTIFRMIIESRDRTEDGDGDTVNSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHADETKSSLQFASRALRVTNCARVNEILTDAALLKRQKKEIEELRAKLQGSRSEHLEKEILNLRNTLLQSELERERIALELEEEKKAQVERERVLQEQAKKIKNLSSMVLYSSRDESRDQFKKEKRRDTWCPGNLAREALRESYSSIQSNSSAIKPTKSQRDMGPLLSFEELVDETEIADDLSKQDEDCKASVLEDCTLPDACALLHVTNRRKVLPRKKCSFVEDSELLELQTEYEDLLLNFETQRTMSEIKIDCLMRKLAEADSLHNMKHIESNDHSAFHANKTNCADKNIGLREFEAILVIKQLQEKIKLLETEKSSSQQNLNSLVELATEQNICAREKFDELCEELHNAREEARVAHEKLAHSESGGRKDGDCDFAIELLKEVEDLISEAQESKEVVQSLSSLVDDAFQSFSAIIQEFLDFNGMMCQNSVQQKIIIANTEKLHGCMRQRTSELENDKLLLHNQSVDLQKQVQELMEDAQNHAASLTALSEEHDWEKSQYLSQIHSFEKEISYLSSCSLAREKESLRKDFEKTKMKLQDTESKLKNTIQEKTKLEGEKAFAEREIKRLHGQKTLLERDISRRDSLAGRRRDSVFDRNSKMFDPKKAKALEQTMQEDYKKLEVLAFEMETTIASLEEELAAAHREKREAISRNEDLALAFEALTKKFNITSSEMNALHEELSGLRLSLEQSNSNQQEMESSIKRLLAENEELAMQLTSSLLEMEEERAIQSAREKASIKAMEENTKLYNSEITSLSETLSEVMKELESCRKECNVLRERLIYFDEDATLEKNCSMQKSLQIDQLKNDVETADAKSKQSQQISKSNFEMLSLELQHAQEELSIIKRERDDLSAKIGQLVAKSDLSDELQKLKNQLLDMSRERDKLVTQIEEQQSSLVEAEMLKQDSNDVLMEAKVEVEELTSRLSCMEAKMHNDQVNNGKE >EOY08990 pep chromosome:Theobroma_cacao_20110822:5:24579642:24607976:-1 gene:TCM_024328 transcript:EOY08990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin heavy chain, putative isoform 1 MERINVAVRTRPLSPEDAKTSPWRISANSIFIPNHATKFEFDRIFGEDCKTGEVYEARTKEIVAAAVRGFNGTVFAYGQTNSGKTHTMRGSAAEPGVIPLAVHDLFGIIQQDVDREFLLRMSYMEIYNEEIIDLLAPEHRKLQIHESIERGIYVAGLREEIVASPEQVLDFMEFGESHRHIGETNMNLHSSRSHTIFRMIIESRDRTEDGDGDTVNSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHADETKSSLQFASRALRVTNCARVNEILTDAALLKRQKKEIEELRAKLQGSRSEHLEKEILNLRNTLLQSELERERIALELEEEKKAQVERERVLQEQAKKIKNLSSMVLYSSRDESRDQFKKEKRRDTWCPGNLAREALRESYSSIQSNSSAIKPTKSQRDMGPLLSFEELVDETEIADDLSKQDEDCKASVLEDCTLPDACALLHVTNRRKVLPRKKCSFVEDSELLELQTEYEDLLLNFETQRTMSEIKIDCLMRKLAEADSLHNMKHIESNDHSAFHANKTNCADKNIGLREFEAILVIKQLQEKIKLLETEKSSSQQNLNSLVELATEQNICAREKFDELCEELHNAREEARVAHEKLAHSESGGRKDGDCDFAIELLKEVEDLISEAQESKEVVQSLSSLVDDAFQSFSAIIQEFLDFNGMMCQNSVQQKIIIANTEKLHGCMRQRTSELENDKLLLHNQSVDLQKQVQELMEDAQNHAASLTALSEEHDWEKSQYLSQIHSFEKEISYLSSCSLAREKESLRKDFEKTKMKLQDTESKLKNTIQEKTKLEGEKAFAEREIKRLHGQKTLLERDISRRDSLAGRRRDSVFDRNSKMFDPKKAKALEQTMQEDYKKLEVLAFEMETTIASLEEELAAAHREKREAISRNEDLALAFEALTKKFNITSSEMNALHEELSGLRLSLEQSNSNQQEMESSIKRLLAENEELAMQLTSSLLEMEEERAIQSAREKASIKAMEENTKLYNSEITSLSETLSEYFTVNGLLIATLGFLMIAFMVAIGQNSVGSFQVLIDWVASGFGLPDHVVMVMKELESCRKECNVLRERLIYFDEDATLEKNCSMQKSLQIDQLKNDVETADAKSKQSQQISKSNFEMLSLELQHAQEELSIIKRERDDLSAKIGQLVAKSDLSDELQKLKNQLLDMSRERDKLVTQIEEQQSSLVEAEMLKQDSNDVLMEAKVEVEELTSRLSCMEAKMHNDQVNNGKEMAKHRMRLRGTQAQLDALRYRYKQAVEESDIMNRKFEEASANLKERLASKGIEVLNLKKQLAAASGQ >EOY08991 pep chromosome:Theobroma_cacao_20110822:5:24580133:24604688:-1 gene:TCM_024328 transcript:EOY08991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin heavy chain, putative isoform 1 MERINVAVRTRPLSPEDAKTSPWRISANSIFIPNHATKFEFDRIFGEDCKTGEVYEARTKEIVAAAVRGFNGTVFAYGQTNSGKTHTMRGSAAEPGVIPLAVHDLFGIIQQDVDREFLLRMSYMEIYNEEIIDLLAPEHRKLQIHESIERGIYVAGLREEIVASPEQVLDFMEFGESHRHIGETNMNLHSSRSHTIFRMIIESRDRTEDGDGDTVNSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHADETKSSLQFASRALRVTNCARVNEILTDAALLKRQKKEIEELRAKLQGSRSEHLEKEILNLRNTLLQSELERERIALELEEEKKAQVERERVLQEQAKKIKNLSSMVLYSSRDESRDQFKKEKRRDTWCPGNLAREALRESYSSIQSNSSAIKPTKSQRDMGPLLSFEELVDETEIADDLSKQDEDCKASVLEDCTLPDACALLHVTNRRKVLPRKKCSFVEDSELLELQTEYEDLLLNFETQRTMSEIKIDCLMRKLAEADSLHNMKHIESNDHSAFHANKTNCADKNIGLREFEAILVIKQLQEKIKLLETEKSSSQQNLNSLVELATEQNICAREKFDELCEELHNAREEARVAHEKLAHSESGGRKDGDCDFAIELLKEVEDLISEAQESKEVVQSLSSLVDDAFQSFSAIIQEFLDFNGMMCQNSVQQKIIIANTEKLHGCMRQRTSELENDKLLLHNQSVDLQKQVQELMEDAQNHAASLTALSEEHDWEKSQYLSQIHSFEKEISYLSSCSLAREKESLRKDFEKTKMKLQDTESKLKNTIQEKTKLEGEKAFAEREIKRLHGQKTLLERDISRRDSLAGRRRDSVFDRNSKMFDPKKAKALEQTMQEDYKKLEVLAFEMETTIASLEEELAAAHREKREAISRNEDLALAFEALTKKFNITSSEMNALHEELSGLRLSLEQSNSNQQEMESSIKRLLAENEELAMQLTSSLLEMEEERAIQSAREKASIKAMEENTKLYNSEITSLSETLSEVMKELESCRKECNVLRERLIYFDEDATLEKNCSMQKSLQIDQLKNDVETADAKSKQSQQISKSNFEMLSLELQHAQEELSIIKRERDDLSAKIGQLVAKSDLSDELQKLKNQLLDMSRERDKLVTQIEEQQSSLVEAEMLKQDSNDVLMEAKVEVEELTSRLSCMEAKMHNDQVNNGKE >EOY08260 pep chromosome:Theobroma_cacao_20110822:5:6000743:6006451:-1 gene:TCM_022606 transcript:EOY08260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPQGNLETLVSACAGGSCDNKIVCETLATTDGDPDDHQLHTADKPGEEEIPSDFPPESFWLSKDAELDWFDRNAFYERKESQKGNSVSNSTNLNPNINSNSNSQRFSLRKSKASIIGLPKPQKSCFVETKNRKNSKPGNTRLFPKRSGSVKSDPPVIEPSSPKVSCMGRVRSKRDRNRRLKKNLQKSAEVETIQEKTTRKKGGFFSSFRAIFRSNRKERELHALPVAPSPPRNSDIRTRLPPDDRDAISIAPEIAESEPVLEPVSIGGMKRFVSGRRSEPLI >EOY11499 pep chromosome:Theobroma_cacao_20110822:5:39454052:39455117:1 gene:TCM_026651 transcript:EOY11499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubber elongation factor isoform 2 MENLKAKEEEQSLKYLEFVQVSAVYAVLCFTNLYVYAKERSGPLKPGVETVEGTVKSVVRPVYDKYHDVPVELLKFVDRKVGESVTSIDRRVRPVIKQVSSEAFSVAQKAPGVARGVASEVQRAGVVSTASGYAKSVYTKYEPAAKGLYAKYEPKAEQCAVSAWRKLNRLPLFPQVASVVVPTAAFCTDKYNQTVVSSAEKGYKVASYLPLVPTEKIAEVFNEEKPESEPLVSHS >EOY11498 pep chromosome:Theobroma_cacao_20110822:5:39453773:39455105:1 gene:TCM_026651 transcript:EOY11498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubber elongation factor isoform 2 MAQGDSNFQQDMAKEEEQSLKYLEFVQVSAVYAVLCFTNLYVYAKERSGPLKPGVETVEGTVKSVVRPVYDKYHDVPVELLKFVDRKVGESVTSIDRRVRPVIKQVSSEAFSVAQKAPGVARGVASEVQRAGVVSTASGYAKSVYTKYEPAAKGLYAKYEPKAEQCAVSAWRKLNRLPLFPQVASVVVPTAAFCTDKYNQTVVSSAEKGYKVASYLPLVPTEKIAEVFNEEKPESEPLVSHS >EOY09352 pep chromosome:Theobroma_cacao_20110822:5:28488418:28495718:1 gene:TCM_024775 transcript:EOY09352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysophosphatidyl acyltransferase 2 MAIAAAAVIVPLGLLFFISGLVVNLIQALCFVLIRPLSKNTYRKINRVVAELLWLELVWLVDWWAGVKIKVFMDPESFNLMGKEHALVVANHRSDIDWLVGWLLAQRSGCLGSALAVMKKSSKFLPVYVVVICLKFQLSIVIGWSMWFSEYLFLERSWAKDENTLKAGLQRLKDFPRPFWLAFFVEGTRFTQAKFLAAQEYAASQGLPIPRNVLIPRTKGFVSAVSHMRSFVPAIYDMTVAIPKSSPSPTMLRLFKGQPSVVHVHIKRCLMKELPETDEAVAQWCKDMFVEKDKLLDKHIAEDTFSDQPMQDLGRPIKSLLVVASWACLMAYGALKFLQCSSLLSSWKGIAFFLVGLAIVTILMHILILFSQSERSTPAKVAPGKPKNDGETSEARRDKQQ >EOY08110 pep chromosome:Theobroma_cacao_20110822:5:4543573:4546693:-1 gene:TCM_022428 transcript:EOY08110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2C, putative MEFWGAEVKNGQIFEVELEDDGSRILHLSQVALGEVTGDNKKEKGNETVCVYLKINDEKFVIGTLSQEKFPQIPLDLVLHDKFELSHTWKNGSVHFTGYYVDTSQGGDSQSEEELPEPTINPIKPHATESDPTASKEVKIVEPKKEEDGSDDEDEDDTSAEDEESSDDQEPGMLVNGENESDDDTDSDEDDSDEESSDEDQETPEKAEPSKKRPAESTTKTPAPEKKAKLVTPQKTDGKKVGGHTATPHPSKQAGKASAATGQVKQNPKLGGSSFPCKSCGRSFGSENALQSHSKAKHGAAV >EOY10542 pep chromosome:Theobroma_cacao_20110822:5:35261643:35265761:-1 gene:TCM_025873 transcript:EOY10542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MTNALLAKNKFGFMDETLICPSSDSPDAVAWIKSNSMVISWIFNSLHPSLHDNVAYLDTAREMWIDLEECFPQGNAPRVHQLKMDIVNTQHKDLSVDLATRKLIGMGELKDGFYYFQEITILVASATSTCDTGLEINFMSMLPLATLLVILMAIKDIRWQAAMQHELETNQTWTLQKLPPAKKAIGCKRVEELDCTETFAPVAKLTTVRTLLAAAAAKHWVLHQFDVHNAFIHGDLNEEVYMKRPSGYLSTSDDRVCWLRKSLYGLKRASRQCFAKFSTAILRFGFTQYKADTSLFLHHKGTSFTAILVYVNDVIIATSDISHTKALKQYLTAWFPIKDLGNHKYFLGLEVGRCRGGIAWSQRKYTLDILREAGMLASKPVHFPIEQNHKLALDDSDLLDDPSFYSRLIGRLIYLTITRPDICYSVHILSQFMHQPRQGHWQAALRILRYLKLAPSKGLFLSTGSDLVKHNEIDCHFIRDCIQRGLIVSRYIASHNQSTDLFTKALGKQQFQFLVRKLGIRNLHAPT >EOY11425 pep chromosome:Theobroma_cacao_20110822:5:39243782:39245871:1 gene:TCM_026603 transcript:EOY11425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSTPVCYLTSCQAWDAYLQYPEDSFWPSRMLEINVKATFVACEKTQGGGGGKKLLDDVQLGGRRVVVQEESHGLILDQLLNNVVGKDWHGTNIWDVFDSMQIPIQRPVLDEIVGCALAMAANECYENCKVLRMRVEIETLVDDDDQLMNFEEDQDVYSIDVEEDDFWETVEAFRTLRKVVVAEDNPPENLCSICLGEFLVGSDISATPCSHVCSSGNWKINHIPSKDKDMADALAKAGEISHVQMKVLTALAGPGELGLKVLGKAEREVAAMMIAMCFGCKLAMGMQLVTGLCQADEKSVRL >EOY11307 pep chromosome:Theobroma_cacao_20110822:5:38886167:38887116:1 gene:TCM_026535 transcript:EOY11307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELSCLRAPTSVQVPTYCWFRLPEYLVVRCFYRNTNFENIDNLEIGCEILTISNYGTNNSIAARVLRGGSWKNSALISSHGWDSSIG >EOY09025 pep chromosome:Theobroma_cacao_20110822:5:24932104:24936514:1 gene:TCM_024361 transcript:EOY09025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin folding cofactor B MASRLQIEGDDSVLLRVTHSNLKNFATDVRFSLQMSVEAVKDKLWKKCGTAVSSMRLELYDDCKNKLCDLSDDSRPLGFYSPLDGFRIHVIDLDPSSVTSGGWLEDTSLVEKYSISEEEYNKRSGTFRKFKEKMASQNSSAFKNKMSDDYMEDLCANIKVGERCEVEPGEKRGVVKYVGQAEALGPGFWIGVQYDEPLGKHDGMVKGTRYFQCPPLCGAMVRPDKVKVGDYPEQDPFEEDEI >EOY08808 pep chromosome:Theobroma_cacao_20110822:5:21228244:21230492:-1 gene:TCM_024015 transcript:EOY08808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSRHSGQWVDGIYKGGESRKWGIMSDLSFASLMKLVEDVVGVNSKIHEIELHALIITARKLSRPIIKDDEDVALILLEQMNVSAVYVTIKECQTNVRSYEKVVQHGQHCDIEGDTSTLEDNTASNEGNENLFPTCEDRFDNNSDNGLDEWHDDSLNDDWLYDSDILICNNVKGKMELVGGGDGKCKEFLYAANSRCKKVKLKRALNMLALEKQFEISVKRSCKGRFEVGCKDKAFKFGVRAIELLEGEY >EOY08911 pep chromosome:Theobroma_cacao_20110822:5:22930277:22932628:1 gene:TCM_024182 transcript:EOY08911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPWLSSRPLIGKDSKRAVCIPPRDDELKLNVDGLIRGKVGLARCGGVLRDKDGNVVGILFGIIQQCTSLGYIRTALDLFIISS >EOY07918 pep chromosome:Theobroma_cacao_20110822:5:3323605:3327937:1 gene:TCM_022239 transcript:EOY07918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTKMHFKVGRPPFFYLGMPLGANLRKTSMWKLIVKRFRDRLVGWKGRMLSMGGRVILINSTLLSLPLYYMSLFKASKRNSISFINSTFLFIVFVDLVRVSEEGTVVNEAKWWANSRVELRTPCEKMIKERKEVQWQLPSEDKPNIGRKFLDPISE >EOY09628 pep chromosome:Theobroma_cacao_20110822:5:30282571:30291961:1 gene:TCM_025034 transcript:EOY09628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding family protein MGVTFSCPLSDLDDLDSRFEAVIVKSISFKDEDVKKALRSVSFNGRVSEPKVMQSYGSRKMILEGSLSLNKRETETMLSYNAPSSEKKNNLSVRLESCKNANNHNILQRSQSLTEKKPHSQVSEVGGRRCEAALKLQKVYKSFRTRRQLADCAVVVEQRWWKLLDFAELKRSSISFFEIEKPETAISRWSRARTRAAKVGKGLSKDEKARKLALQHWLEAIDPRHRYGHNLQFYYAKWLHCDSKQPFFYWLDIGEGKEVNLEKCPRLKLQQQCIKYLGPTERETYEVVIKNGKFVYKQTGKLLDTTGGPKDAKWIFVLSAFKILYVGQKSKGTFQHSSFLAGGATLSAGRLVVEDGVLKAVWPHSGHYLPTEENFLEFMSFLQEHNVDLTNVKKSPSEEEETITRKNSSLRSNESKAESSQQTQATNSENSAHESTDSRKQDCDDAENANKSMTRLSRGSLSKISKLQIPARHDVFDIFKTQALPPSCRCERPESPMDDGYETAEEFLSEEDFMFTKINLYGEDDDEEDEKPIPKEKIMKRIDSHKGMKSYQLAQQLSSKWSTGAGPRISCMRDYPSELQVRVLEQANLSPRARSASTSPRTTSRFSPKVMTPTSLHKETSSSRSPLVS >EOY10451 pep chromosome:Theobroma_cacao_20110822:5:34882918:34888796:1 gene:TCM_025811 transcript:EOY10451 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein MAENCTRKLIVEICNAKNLMPKDGQGTASAYAIVDFDGQRRRTKTKFRDLNPVWDENLEFLVHDIESMATEILEINLYNDKKTGKRSTFLGKVKLAGSVFVKAGDESLVYYPLEKRSVFSQIKGEIGVKVFYVDGETPPVAAEAAAEEKAEAAGEKPLENPKPEEDKKEEKVGEKKEEEEKPKEESPKEEDKPNPPPAENSNPQDAAAAATPATTAPSAEVENPPLAHKEEPTKAAKDKAETGKSTELVINELELRSLSGDRSHAYDLVDRMPFLYVRVVKAKRANKEPACPAYGKLVIGTHSIKTKSQIDKDWDQVFAFDKDGLNSSSLEVSVWTEEENKEEEKEGATSLVENCLGTVSFDLQEVPKRVPPDSPLAPQWYSLESEKSPGNDVMVAVWVGTQADEAFQEAWQSDSGGLIPETRAKVYLSPKLWYLRLTVIQTQDLQLGLGSEAKVRSPELYVKAQLGAQLFKTSRTQLGSAWNEDLVFVAAEPFEPFLVVTVEDVSNGQPVGQAKIHVPSLERRTDDKMELKSRWFNLVGGESKPYAGRIHVRACLEGGYHVLDEAAHVTSDVRAAAKQLAKAPIGLLEVGIRGASNLLPVKTKDGTRGTTDAYVVAKYGPKWIRTRTILDRFNPRWNEQYTWDVYDPCTVLTIGVFDNERYKRDEAGKPGRDVRVGKIRVRLSTLDTNRVYLNTYCLTVLLPNGAKKMGEIEIAVRFSCSSWLSLIQAYGSPMLPRMHYIRPLGPAQQDILRHTAMRIVTARLARSEPPLGQEVVQFMLDSDTHVWSMRRSKANWFRVVGCLSHAATLARWLDGIRTWTHPPTTVLVHVLLLAVVLCPHLLLPTVFMYAFLILALRFRYRLRVPNNMDPRLSYVDAVGPDELDEEFDGLPTARSPDTIRIRYDRLRALAGRAQTLLGDVAAQGERLEALFNWRDPRATGLFVVFCLFASLLFYVVPFKVFVLGSGFYYIRHPRFRDDMPSVPLNFFRRLPSLSDQIM >EOY08842 pep chromosome:Theobroma_cacao_20110822:5:22031160:22032824:1 gene:TCM_024083 transcript:EOY08842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYPEYCEQVGTNNLLGKAADFFIISRLCTNLFIQIARLLVICSSLLLLIIACQGFFSNWNKGLKMLSRSWRICR >EOY11235 pep chromosome:Theobroma_cacao_20110822:5:38483696:38485225:1 gene:TCM_026474 transcript:EOY11235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPCYVAPLHVQVFMNMPLEMCEVVGFTDIDDPYEPPLNCEIEIQQKDGDCPTPGAMAGEVVFYLEDKGYLQDH >EOY10574 pep chromosome:Theobroma_cacao_20110822:5:35429045:35441108:-1 gene:TCM_025908 transcript:EOY10574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMERKGERYDWQGADAPCQAPLSPARREKFASGRLVELAPGCPGTRQWGVPPPEPENRRNSEIWVCLPPVGGVKSGVVCRRLGGAIAWRFAVGRRSEIWGGLPPVGGVKSGVFAAGRRSEIWVGLPPVGGATAWRFAAV >EOY07355 pep chromosome:Theobroma_cacao_20110822:5:1298850:1299596:1 gene:TCM_021810 transcript:EOY07355 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein, putative MSKVPNSYLHEPFYQQAWDPFQEFNFGGTLVAPRPAFSSGSPFALANLDWKETPEAHVLKADLPGLNRNEVKLELEDGRILCISGDKSSEKEVKSDNWHRVEWSSGSFVRRFRLPEDAKVDKLTAYLENGVLTVTVPKKEARKGNQKRTIQILG >EOY09102 pep chromosome:Theobroma_cacao_20110822:5:26061882:26066803:1 gene:TCM_024487 transcript:EOY09102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor 2 isoform 1 MTAEVVFARGHAIPALTPWQSSSTKRKSKPTQFLHFTVRACHSIDDKNRVYKQLGLFSLKKKIEDIILRAEMLAPTALELEEARRIKQEQMIRDYNLWDDPVKSNEILVKLADSAKMVDALKDLKYKAEEAKLITQLAEIDAVNYSLFEQAYDASLVVSDLLDKYEVSKLLRGPYDMEGACVIIKAGSGGNKSEVWAEQLLSMYIKWAEKQGYRGRLVEKNLSADGGIKSATIEFEFEYAYGYLSGERGVHFMIRSSQKGSVRREVSSVGVDVVPVFLGSTPDLQISDEDLILSAPLLLGENKSLTAYTVCVQHIPTGITVQSSGERSYFANQIKALNRLKAKLLVIANEQGVSTVSSIKADAFVDVWEKETRRYMFHPSKLVQDIKTGLELADLNSVLDGNIEPLIGAHINIRQPNCTI >EOY09103 pep chromosome:Theobroma_cacao_20110822:5:26062131:26066319:1 gene:TCM_024487 transcript:EOY09103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor 2 isoform 1 MTRTGSISNWKIEDIILRAEMLAPTALELEEARRIKQEQMIRDYNLWDDPVKSNEILVKLADSAKMVDALKDLKYKAEEAKLITQLAEIDAVNYSLFEQAYDASLVVSDLLDKYEVSKLLRGPYDMEGACVIIKAGSGGNKSEVWAEQLLSMYIKWAEKQGYRGRLVEKNLSADGGIKSATIEFEFEYAYGYLSGERGVHFMIRSSQKGSVRREVSSVGVDVVPVFLGSTPDLQISDEDLILSAPLLLGENKSLTAYTVCVQHIPTGITVQSSGERSYFANQIKALNRLKAKLLVIANEQGVSTVSSIKADAFVDVWEKETRRYMFHPSKLVQDIKTGLELADLNSVLDGNIEPLIGAHINIRQPNCTI >EOY09139 pep chromosome:Theobroma_cacao_20110822:5:26414286:26421756:1 gene:TCM_024533 transcript:EOY09139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHVELDLLLNSLPSICLTRLDEPYWAISPSGKFTVTSAYEMLRGVLPLNEGVNSGVVLVRLSSDGEEVLIGLCSPPEGWITLNFDGAYRRFIGQVIASGVLRDLYDEWCGGYATCFGVYTAYQAELWGVYKGLPLA >EOY07599 pep chromosome:Theobroma_cacao_20110822:5:2102657:2104701:-1 gene:TCM_021989 transcript:EOY07599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVLGSREVDDLSDIKQAILHACLNEDDNDGDDTSDVENLLEQGHQSKPPQKCLGKCASFSSAVSPPEDDDELETALRRIFTEDTVQSPYSRSISLPTPLKLVSALKGSREKQGLSPKKLSVTWAPDVYDPPPTSVLHTVRGKKQQKLKKNNDKKKNGKKGQKGNNSRGSGGKDNKQFRRGGGNADRIYKPQEVQGRVVNTSGELDGFTVGKPDPYCGSSFLKESPTRMHYSVAEAL >EOY09075 pep chromosome:Theobroma_cacao_20110822:5:25757867:25759815:-1 gene:TCM_024455 transcript:EOY09075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative MKLINRLSPKRFFRSNKKDRSIVSKFDPSSYSSGSELCQAFKIIDKDNDGVITRSELEALLSKVARQPPSREEVSLMLSEVYGDGVGCISLDTLMTQVVVPACDEPACEPELRETFDIFDTDHDGKITAEELMAFFKETIGDERCTLEDCRRMIASVDKNGDGFVCFEDFARMMELQSWV >EOY08431 pep chromosome:Theobroma_cacao_20110822:5:8135248:8136712:-1 gene:TCM_022856 transcript:EOY08431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein MQDTLPFLSKNNPFPPSLSSLHHHKHHDFPSLSRPRNLHFPTFSSKTITIPLPPKPPKIPEIPSISPPPSSHSDFQEKMLYLDSIGLDFFSLIQHHPPIITTSLNDLKSTVDFLTSFNFSTLELRRILSMCPEILTTNPTSLLPIFTFLFREARVNGSDLKKVINRRPRLLTCNVETQLRPTLYFLQNIGISEVKKHTSLLSCSVENKLIPRIEYFQKMGFSYRQTISMFRRFPQLFNYSVKENYEPKLNYFVVEMGRDLKELKEFPQYLSFSLENRIKPRHQCCIEKGVCFPLPVLLRTSEVEFRNRLEVCCNSSLPFKGSPLWCTKNCDIE >EOY09961 pep chromosome:Theobroma_cacao_20110822:5:31960728:31962276:1 gene:TCM_025330 transcript:EOY09961 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 30 MTKIPLSYSQIYNLVHIKYFFLSHPPFPLLSADLPLFLLADLPFFLSLLLFPFFSQPLFPTLPLFLSAALPLSLSTVLPRSSLLSLHHSSTVWVLSEDVQGRVKEILENLKKVKGQARGQALKELRQVVQAHGTAKKTVVACGGVGLISSLLGPFTTHVVGSEVVGVLVNLNLDFDSKFDLLQLTKISLMVDILNEGSIETKINCTRLIGMLMEGNDSASENVASLSLLVGLLRLVKDKKRLYGVLAGLSSLKKICSHESIYKNNYPFIKIF >EOY10586 pep chromosome:Theobroma_cacao_20110822:5:35475816:35479839:-1 gene:TCM_046806 transcript:EOY10586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like E1, putative MGSNGYLPLFATRSARGLVLFRSYAASIFIGICFICFYRVSYCPVEGKTERWAWIGVFLAELWFSFYFFITAVVRWNPVFRYTFKDRLSSRYNEEALPGVDIFVCTADPRIEPPMMVINTVLSVMAYDYPSEKLSVYLSDDGGSDLTFYALLEAARFSQIWLPFCRNLKVEPRSPEAYFSYAAEPADDSVMAKEWLFVKKSYEDMKMRIETITEQGRIPVGISKLHKGFRDWDLVSSRHDHQAILQILIDGRDPNAMDVEGKPLPTLVYLAREKRPQHHHNFKAGALNALIRVSSSISNAPFILTVDCDMYSNNSETIKDVLCFFLDEEKGHEIGYVQYPQSFGNLTKNDIYGSSLRVVMEVELAGFDGNGGPCYIGTGCVHRREALCGMKYSKEFKVEWRATKNDRGIKESASVLEENCKALASCTYEETTQWGKEIGLKYGCPVEDVLTAISIQSRGWRSVYFIPERKAFLGVVPTTLLQSLVQHKRWADGDLQIFLSKHCPFVYGRERMPLMLQLSYCNYLLWAVNCLASLYYVTVPSFCLLKGIPLFPKISSSWPLPFLYVITVDCAYGLVEFVWCGGTVRGWLNEQRMWMFRRTTSYLFAFIDNILKLCGFSKTAFVITGKVADDHVYQRYEQEIMEFGTTSPMFTILATLALFNLFSFVGVIKKVALDEVHTKVFDLFGLQILLCFILVFLNLPIYQGLFFRNDNGKMPPSITYQSLTFAVFACTIAMY >EOY08668 pep chromosome:Theobroma_cacao_20110822:5:17193852:17204779:-1 gene:TCM_023663 transcript:EOY08668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRPNPYLSIMDEFLEAMKICGKRIVRNKEKELTGALKEGSGKSGFWIEFGSPLCVANGCIAQEFFLKVFASISFGRFPKESLKLDGLPNVMALYP >EOY11688 pep chromosome:Theobroma_cacao_20110822:5:40068201:40071869:1 gene:TCM_026787 transcript:EOY11688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydrolase isoform 1 MENGSPSDDKQLVLLDARNLYETRIGKFHSPSVETLDPGIRQYSDLPAWIDNNSEQLRGKHVLMYCTGGIRCEMASAYIRSKGPGFENVFQLFGGIQCYLEQFPDGGFFRGKNFVFDHRISVGSSDTNIMGACLICGSSYDNYSSRCRCMHCRMLVLICDGCQLKSDAYVCELCQKHCKEIGSFPSVEDSEPEALLDQSDLKTVCSDPIISSQLPLRYGNGPPRKLRILCLHGFRQNASSFKGRSASLAKKLKSIAELVFVDAPHELPFIYQSCMEANNSCAPPLGQHAPLPENCKRKCAWLVAPDFSGISEADWKIADLPFDPLQYQGQTDGFDASLGYLRKVFSEQGPFDGILGFSQGAAMAALVCAQRERIKGDIDFRFVILCSGFALPLPAFKQGSINCPSLHIFGRDPGKDRQITSHTSRYLASLFEDGCSVIIEHEFGHIIPTRPPYIDDIKDFLQRFL >EOY11687 pep chromosome:Theobroma_cacao_20110822:5:40067969:40071948:1 gene:TCM_026787 transcript:EOY11687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydrolase isoform 1 MSEDEYGVLLYYKYASITDVDSLLSFYDSNCNSLGLLGRVRLSSNGVNVTVGGLLSALEKHIEAVKSNSLFQGTDFKLASCHHPLNDKVAFECGFTSLSIRVVKELVTFSSHPLFKAPEVSNAGRHLSAAEFHSVLQSAEQLMENGSPSDDKQLVLLDARNLYETRIGKFHSPSVETLDPGIRQYSDLPAWIDNNSEQLRGKHVLMYCTGGIRCEMASAYIRSKGPGFENVFQLFGGIQCYLEQFPDGGFFRGKNFVFDHRISVGSSDTNIMGACLICGSSYDNYSSRCRCMHCRMLVLICDGCQLKSDAYVCELCQKHCKEIGSFPSVEDSEPEALLDQSDLKTVCSDPIISSQLPLRYGNGPPRKLRILCLHGFRQNASSFKGRSASLAKKLKSIAELVFVDAPHELPFIYQSCMEANNSCAPPLGQHAPLPENCKRKCAWLVAPDFSGISEADWKIADLPFDPLQYQGQTDGFDASLGYLRKVFSEQGPFDGILGFSQGAAMAALVCAQRERIKGDIDFRFVILCSGFALPLPAFKQGSINCPSLHIFGRDPGKDRQITSHTSRYLASLFEDGCSVIIEHEFGHIIPTRPPYIDDIKDFLQRFL >EOY11689 pep chromosome:Theobroma_cacao_20110822:5:40068816:40071869:1 gene:TCM_026787 transcript:EOY11689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydrolase isoform 1 MSSCIALEESVVRWHQPILGQKVLALRMSFSYLVEYSAIWNNFLMVVFSEERTLFLITVGSSDTNIMGACLICGSSYDNYSSRCRCMHCRMLVLICDGCQLKSDAYVCELCQKHCKEIGSFPSVEDSEPEALLDQSDLKTVCSDPIISSQLPLRYGNGPPRKLRILCLHGFRQNASSFKGRSASLAKKLKSIAELVFVDAPHELPFIYQSCMEANNSCAPPLGQHAPLPENCKRKCAWLVAPDFSGISEADWKIADLPFDPLQYQGQTDGFDASLGYLRKVFSEQGPFDGILGFSQGAAMAALVCAQRERIKGDIDFRFVILCSGFALPLPAFKQGSINCPSLHIFGRDPGKDRQITSHTSRYLASLFEDGCSVIIEHEFGHIIPTRPPYIDDIKDFLQRFL >EOY10753 pep chromosome:Theobroma_cacao_20110822:5:36291571:36294060:1 gene:TCM_026055 transcript:EOY10753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDYCKRSGQIPAFGDWDYANELPITQYFECARQAGLIRFSSSSGESNPYVPADLYAVDSRKHSRNLDPPRKVSRVREKRGLHVKEQKKAGRVCDVTEPPRKYQHQHPHHVPTLNNNNNNSGKQLKNDAAPPRRPPKPVDEDLYKXXXXXXXXXXXKKMPGFFSCLVPACAT >EOY08862 pep chromosome:Theobroma_cacao_20110822:5:22310819:22324414:-1 gene:TCM_024112 transcript:EOY08862 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA gyrase subunit B isoform 3 MALLLRYPYLHLRLMASSSRFFLHSPCFHSLASLPRSSLFFKPRKSFALRSICRLPVQNVVTPKAFMSSNITTEAFQGSKSSKAYNSEQIQVLEGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGFATQINVVLLSDGSVSITDNGRGIPTDLHPITKKSALETVLTVLHAGGKFGGSSSGYSVSGGLHGVGLSVVNALSETLEVTVWRDGMEYEQKYSRGKPVSTLTCHVLSTESKHRQGTCIRFWPDKEIFTTAIEFDYNTLAGRIRELAFLNPKLTITLKKEDNNPEKSLYNEYFFAGGLVEYVKWLNTDKKPLHDVLGFSRRIDGATIDVALQWCSDAYSDTMLGYANSIRTIDGGTHIEGVKASLTRTLNNLGKKSKVIKDKDISLSGEHVREGLTCIISVKIPNPEFEGQTKTRLGNPEVRKVVDQSVQEFLAEFLELHPDVLDSILSKSLNAFKAALAAKRARELVRQKSVLKSSSLPGKLADCSSTNPEESEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERRDEAAMYKNEEIQNLILGLGLGVKGRGF >EOY08861 pep chromosome:Theobroma_cacao_20110822:5:22309791:22324555:-1 gene:TCM_024112 transcript:EOY08861 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA gyrase subunit B isoform 3 MALLLRYPYLHLRLMASSSRFFLHSPCFHSLASLPRSSLFFKPRKSFALRSICRLPVQNVVTPKAFMSSNITTEAFQGSKSSKAYNSEQIQVLEGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGFATQINVVLLSDGSVSITDNGRGIPTDLHPITKKSALETVLTVLHAGGKFGGSSSGYSVSGGLHGVGLSVVNALSETLEVTVWRDGMEYEQKYSRGKPVSTLTCHVLSTESKHRQGTCIRFWPDKEIFTTAIEFDYNTLAGRIRELAFLNPKLTITLKKEDNNPEKSLYNEYFFAGGLVEYVKWLNTDKKPLHDVLGFSRRIDGATIDVALQWCSDAYSDTMLGYANSIRTIDGGTHIEGVKASLTRTLNNLGKKSKVIKDKDISLSGEHVREGLTCIISVKIPNPEFEGQTKTRLGNPEVRKVVDQSVQEFLAEFLELHPDVLDSILSKSLNAFKAALAAKRARELVRQKSVLKSSSLPGKLADCSSTNPEESEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERRDEAAMYKNEEIQNLILGLGLGVKGEDFKKENLRYHKIIILTDADVDGAHIRTLLLTFFFRYQRALFDEGCIYVGVPPLYKVERGKQVYYCYDDSDLKRVQSMFPQNASYNIQRFKGLGEMMPAQLWETTMDPDQRLLKQLVVEDAAEANMVFSSLMGARVDARKELIQNSASKINLDKLDI >EOY08863 pep chromosome:Theobroma_cacao_20110822:5:22313557:22324407:-1 gene:TCM_024112 transcript:EOY08863 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA gyrase subunit B isoform 3 MALLLRYPYLHLRLMASSSRFFLHSPCFHSLASLPRSSLFFKPRKSFALRSICRLPVQNVVTPKAFMSSNITTEAFQGSKSSKAYNSEQIQVLEGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGFATQINVVLLSDGSVSITDNGRGIPTDLHPITKKSALETVLTVLHAGGKFGGSSSGYSVSGGLHGVGLSVVNALSETLEVTVWRDGMEYEQKYSRGKPVSTLTCHVLSTESKHRQGTCIRFWPDKEIFTTAIEFDYNTLAGRIRELAFLNPKLTITLKKEDNNPEKSLYNEYFFAGGLVEYVKWLNTDKKPLHDVLGFSRRIDGATIDVALQWCSDAYSDTMLGYANSIRTIDGGTHIEGVKASLTRTLNNLGKKSKVIKDKDISLSGEHVREGLTCIISVKIPNPEFEGQTKTRLGNPEVRKVVDQSVQEFLAEFLELHPDVLDSILSKSLNAFKAALAAKRARELVRQKSVLKSSSLPGKLADCSSTNPEESEIFIVEGDSAGGSAKQGRDRRFQLTHP >EOY08050 pep chromosome:Theobroma_cacao_20110822:5:4168886:4170940:-1 gene:TCM_022371 transcript:EOY08050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESSSSKSEVIKPVILKAGIPLALSVAGFIYARIIAKRRIHPEVSSFETQVSPLETDSQEELRGEGSLNSTSSTSREDEDKIVTSTHFMNLIRSAEIQERTAYEEEILGLRTRVEELQKREWELKRQFLRFCDLKEQESVLMELRNMLLLETFYVEFLDREISSMEAENERVKNIVMEYLRVVEQLEHWKTQNGLLQRKAKRLLRKTKGQAKIIREKNLKIDAKDAEIKRNGDILEGRSNDIKKLEDEVRELKSLTDQLQEQKNELSRKLDLAENSHSSISKSEEEAVTVEEYNQLENEYEQVQEDRAAELKELIYLRWCNACLRYELKRYQLLQDYIQENKDHLEQESEEVGEIVGLRVEQQLNGPALMEQGEPCLGATTSGQVCSKRQKLLKKFKKWVEGSEKMKSKLDEKEKHESKCFGRHPVSDEGEHLVPARRSCSSV >EOY11178 pep chromosome:Theobroma_cacao_20110822:5:38233302:38235116:-1 gene:TCM_026434 transcript:EOY11178 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MASSSQKGIVITIPVLVLSVSAAAIFLFFLLSSLSSSSPCTCPPSSTITTITTNTTTADSVSGGSVQDEVGDRRVSTSREDIGWVKDQIRANGLHMQENVLRKGINPRTRAQQLEDLNNFKGISHYEGSEAKDHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLAESSHLTSNSRVLEIGCGTLRVGLHFIRYLKPEHFHCLERDELSLMAAFRYELPSQGLLHKRPLIVRGEDMDFTKFGSDVVYDLIYASAVFLHMPDKLVWVGLERLVDRLKPYDGRIFVSHNIKFCSRLGGEECASRLASLGLEYLRKHTHDSLLFNHYEIWFEFGRTKA >EOY08540 pep chromosome:Theobroma_cacao_20110822:5:10346578:10348687:1 gene:TCM_023093 transcript:EOY08540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWVWKDEPNDALESTARDGDRCSTRKVVRSQCKTEEVEPGKFVRKCEKTEEVLKECVGRPVEVLQSNKEYTEDDVTEQVLRGNFLSGSQHVEGSFDFPGLRSDMEEIERRFFGGFNRFFDAAEEVKNSFLDAFGDFYGSGSSGPPSIRKGIPIESHPQKEASPKPADSGHLDLSGLAKDV >EOY06995 pep chromosome:Theobroma_cacao_20110822:5:130950:133220:1 gene:TCM_021543 transcript:EOY06995 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MPPSLFSFQFRIRNLPLRVPSTTLGTIRVMNNNRHRTADSRVESNPRVHKLQQLVNTNASGGWEESWKQGVTPWDLGRPTPVISHLHHTGSLPMGRVLVPGCGTGYDVVEMACLGRYVVGLDISEEAIKKAKQMSSTLPNADYFTFIKADFFTWHPTDLFDLIFDYTFFCAIEPDMRSAWAQQIQNFLKPDGELITLMFPL >EOY06994 pep chromosome:Theobroma_cacao_20110822:5:130836:133276:1 gene:TCM_021543 transcript:EOY06994 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MPPSLFSFQFRIRNLPLRVPSTTLGTIRVMNNNRHRTADSRVESNPRVHKLQQLVNTNASGGWEESWKQGVTPWDLGRPTPVISHLHHTGSLPMGRVLVPGCGTGYDVVEMACLGRYVVGLDISEEAIKKAKQMSSTLPNADYFTFIKADFFTWHPTDLFDLIFDYTFFCAIEPDMRSAWAQQIQNFLKPDGELITLMFPMDDHAGGPPYKVSIADYKEVLHPMGFEAISIVDNKWAIEVRKGREKLGRWKRALGQSSL >EOY10009 pep chromosome:Theobroma_cacao_20110822:5:32199822:32201343:1 gene:TCM_025379 transcript:EOY10009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREKLAWPKMHDYMVINEKASVDELVPQKAKVKEKHQNCIIQDVILKEEIMSLHEESNDVSQQVCHNLRASKGLYQANFALPFPSAELNPTIP >EOY10253 pep chromosome:Theobroma_cacao_20110822:5:33791706:33792525:1 gene:TCM_025629 transcript:EOY10253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polymerase delta 4, putative MATTSKNMKGFYRQKKNNSRGRITKSKSSKSTKNPSPKQPATFSSDITQPAALTFLGGSLDLKDDSDEQEEVLRQFDMNMVYGPCLGITRMARWERAQRLGLNPPKEIENLLKGGTVKLESLFDGRV >EOY11812 pep chromosome:Theobroma_cacao_20110822:5:40431204:40431585:1 gene:TCM_026872 transcript:EOY11812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSYLDSFAFQSCAPLTPIPLFRLFCPRVDNDNSHVSNLLVLCYLIIISNAPVGCFYLTSLDYYTIS >EOY10155 pep chromosome:Theobroma_cacao_20110822:5:33234526:33239368:1 gene:TCM_025529 transcript:EOY10155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MDAYFIELKEVWEELRSFRLLPHCKYGKCNVNCFKVYSDQYQKDMVFRFLNGLNDSFSAVRSQIILMDPIPSLDRVYSLDLREEVQRTLMIQTQPPLESSAMLTTADMSKKGRKDLQCSHCGKKDHIKEKCYRLVGFPEDFKFTKCKSNFRKGKSMANSVTGLNDTQWQGTQSEQEDDSVGNGSMSQLSAIKLHVSKLMDLLSENGITSNDGRVSSPKDHQNKPSLMNSAFVDRFILAEPVNDHFVHLPNNGRAPVTHIGTVKISPILTLKNVLCVPSFNFNLLTKLNAKGEIERFKARLVAKEYSQVAGFDYQETFGSVAKQSIIRTFFALAAARNWTLSQLDVNNAFLHGDLDEEVYMDIPKGYIVKREHPSGSKLVCKLHKSLYGLKQALRQWNAKLTSCIIHYGFKQSMSDYSLFTMNTTDGEFIALLTYVDDILIGNTSTQVAAVVKEHLSSQFKLKDLEYGLLGAKPVSTPIDYNVKLAKASKEDELVDSFKYRQLVGKLLYLTFTRPDISYAVQTLSQFMDKPGRKHYMAASKVLKYLKAAPGQGILMKAESDLKISAYCDNDWAGCLDTRKFITGYCVFIGNSLVSWKCKKQQVVARSSTEAEYRSMATTCCEIIRIKGLLADFGIEQGAAVKLYCDNQSAIYISKNPVLHERTKHIEIDCHFIREKILSGVIKPVHISTDSQVTDAFTKALQPGQFKKLLCKMNIHNIHGCS >EOY09570 pep chromosome:Theobroma_cacao_20110822:5:29919701:29951943:1 gene:TCM_024987 transcript:EOY09570 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L21 isoform 1 MPAGHGLRARTRDLFARPFRKKGYIPLSTYLRTYKIGDYVDIKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEVNKQVGNRIIRKRIHVRVEHVQPSRCTEEFKLRKVKNDQLKAEAKAKGEVISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >EOY09571 pep chromosome:Theobroma_cacao_20110822:5:29950476:29951944:1 gene:TCM_024987 transcript:EOY09571 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L21 isoform 1 MPAGHGLRARTRDLFARPFRKKGYIPLSTYLRTYKIGDYVDIKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEVNKQVGNRIIRKRIHVRVEHVQPSRCTEEFKLRKVKNDQLKAEAKAKGEVISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >EOY07455 pep chromosome:Theobroma_cacao_20110822:5:1631165:1634128:-1 gene:TCM_021886 transcript:EOY07455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor lectin kinase MCFRFAVLLALLISFAAAGDVDLISNGFRSSNLSLDGIAEFTSNGLLKLTNETRQQKGHAFYPNPVNFKNSTNGSVFTFSTTFVFAIIPEYPNLSGHGIAFVIAPTRGLPGALPSQFLGLFNESNNGNATNHVVAVELDTIQSNEFADINDNHVGIDINGLKSDKSFPAGYYEDGTRQFRNLTLISGQRMQVWVEYHGLEKRMDVTLAPINVPKPKTPLLSLSRDLSSILNNEMYVGFSSSTGSVLTSHYVLGWSFSINGQAQELTLSQLPKLPRLGPKKRSRFLTIGLPLILVSLVLAAVSGVAYFMRRKRKFAEVVEDWELEYGPHRFKYKDLYVATKGFKDKELLGAGGFGRVYRGVLPTSKLEIAVKRVSHESRQGMKEFVAEIVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYDQPNVTLNWRQRFRVIKGVASGLFYLHEEWDQVVIHRDVKASNVLLDGELNGRLGDFGLARLYDHGKDPQTTHIVGTLGYLAPEHTRTGKATPLNDVFAFGAFLLEVACGRRPIQAQSPAEDVILIDWVYSCWYKGDILEAKDPKLGTEYVAEEVELVLKLGLLCSHSEPEARPTMRQCVQFLEGDIPLPEISSLTLSSSGLAFAHREGFDDFAMSYTSSIYKGFSQSSSVAESLLSGGR >EOY08528 pep chromosome:Theobroma_cacao_20110822:5:10301415:10302306:1 gene:TCM_023087 transcript:EOY08528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroneopterin aldolase isoform 2 MGDKLILRGLKFHGFHGVKPEEKSLGQKFLVDVDAWMDLRMAGKSDLLSDTVSYTDIYRKGSCGREISGSSRVSGSINCISNLEEASSDICCSCESGEASCCCSWSS >EOY08527 pep chromosome:Theobroma_cacao_20110822:5:10300188:10302183:1 gene:TCM_023087 transcript:EOY08527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroneopterin aldolase isoform 2 MAAPSCGAMTEDVGIPMGDKLILRGLKFHGFHGVKPEEKSLGQKFLVDVDAWMDLRMAGKSDLLSDTVSYTDIYRIVKEVVEGKSQDLLESVAQLIASATLRKHPQISAVRVKVGKPHVAVHGPLDYLGVEIVRYRSIDAPN >EOY09269 pep chromosome:Theobroma_cacao_20110822:5:27823938:27826012:-1 gene:TCM_024688 transcript:EOY09269 gene_biotype:protein_coding transcript_biotype:protein_coding description:F17A17.37 protein MAWLLSLCFTLIFSLCNGSRTPVTSPYLDGYLDNGNFEIAPKKENLNKTVILGKYSLPGWEIDGLVEFVSGGPQPGGFYLAIPRGAHAVRLGNEGSISQNVNVKPGYIYSLTFGATRTCAQDEVLRISVSRQTADISIQTLYSTDGGDTIAFAFQATAKVVRVTFHNPGLQEDPTCGPLLDAIAIKEMRPLRYTKGNLVKNGGFETGPHTFNNFSTGVLLPPKMQDKISPLPGWIIESLKPVKYIDKKHFSVPSGLYAIELVAGRESAMAQVIRTVPNKFYTLAFTVGDAKNGCHGSMMVEAFAGKETLKVPFVSQGKGVFKTASFKFQAISARTRITFYSAYYHTKLHDFGHMCGPVLDDVRVLPVS >EOY09734 pep chromosome:Theobroma_cacao_20110822:5:30800372:30802122:1 gene:TCM_025122 transcript:EOY09734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMVNLIGFHPLLGLLECHRLGEALSTHGPSRGFRHLWDFMHAVDSSVMVPLSLFGLINGLRKMKHFASSILDFSLLQRTRRHECMIFGTMAIGLSLFVVTFTHGRFKASYGSLLLTRSPPKPSFTGGVWTLAQSNCAALGVVMSWRHVRTTFSHAILVGQYGALFFNGGGYHDGLTKDKLGPAGCGGILCNSDGYVVGVFLGPLGIQDSNFAELMAILHVVRFFSYSSFTSSKLIIEFDSKNALS >EOY11242 pep chromosome:Theobroma_cacao_20110822:5:38556578:38577058:-1 gene:TCM_026484 transcript:EOY11242 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative METVLTGAAAKVASEAAKGIFAEIKRHMRYVIIYKKNVDKFEEKLKMLMAKRTNVQQEVDAADRNGEKIKEDVELWRNTVDKVINEEGKKVKDLEDKAKNKCLIGLCPNIKSRYQLSKKAEEVVAAVDDLIQQYCQFNGVAYRDVLEAPKCAPPKNFEAFDSRKEVFDGVIEALKDSTIGVIGVCGTGGVGKTTLVNQVTGQVQEDKLFDRVVKATVTQTPNIQKIQDEIADFLRLSLEGTSIPVRALSLSVRLKKEKKILVVLDDIWARLDLEQVGIPSGDQQEGCKILLTSRNQIVLTNEMDAKKTFVMDVLEEKEAWELFKKMAENDFENRDPFRSVATEVAKKCEGLPVAIVTVATALRNQPIYAWNDANLQLQRPSPSNFTGIPHAVYSAIQISYNNLESEELRQTFLLCGLLGHNARTEDLVRYGLGLRSFGNVNKVEDTRNRVLTLVRKLKAFCLLRDSYSDDRFDMHDFDCDVALAIASRDNHAFALKHKGFFDDWPDEERMRNFKMISLSFDSVEKLPHELECPQLTFFSMGSKDSDVEMPANFFEKMKNLKVLDLLKMKIFSINLPTSLRTLCLSQCVLGDMVNLGKLKNLEILRLFGVSGVMLPKEIVQLTKLRLLDLSNCDELIILAGVLSSLSKLEELYIGTSFVKWDLGSNARVAELKDLSGLTALDVCIPNAGIVLKDLFSEKLRRYKIVIGSETDWYERIEYSRILKLKVGTSIDNLGDGVLRLLKETEALYLKGPEGVKIALNNRGGFLHLKHLHIEDDPKRQSALFDEEVGNPHLRLSDDTSELMEIRRRNPQEILPFKHIEVLEVDDCSTWRCLFTLSMALGLPQLRVLSVKNCIVMEHIIIEEGSDEQVANETVFPLLRSITLESCADLASFYQGSKSLECPSLEKVDVCDCPKMFTFASTFSKEQRIEIIDAGNTTKLSKRIADTAFFDNMMDFPCLADLSLGGINFERIWHNQFSIRSDYTQNLTTLYIWGCDNFKHLLSFSMVKSLTHLKRFEVKECKCLREIIFTEDIEDNMMDQIFPKLEHLQLEGLPELTRFYHGSHCEFPLLKEFIIWNCPTFETFISKSILVSEIHIIPSKWPSLKRMKVYRCDKVEIFASENLLSFGESTNQQPLFWVNEVTFPNLEELTLEGNGIMKEIWHGQLRAECDEGKRCIVFTRLKYLKLSSLPTLASFCLGDQIFEFPALENMIVTACPKMKIFCQGDLSTPQLQKVISKDEGEEKGWWEGDLKTTIKWMFEEKIAYCDVRLSDTSELMKIWSRNPQEILTFKYLEKLEVDDFVQVGIRQVIVVLVRHYFQRVELLYSSMMILLGWQPYSLESK >EOY10306 pep chromosome:Theobroma_cacao_20110822:5:34077477:34080569:1 gene:TCM_025679 transcript:EOY10306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein, putative MTERRHKDTSSWMSKPAPSTELEAHLGSVPFTLDKELLASKSAKVAALLKENSHESLSYLLRDIPADAETFELVARFCHGYEVHMSTENIVPLICLSFYLGMDENHSSNNLLSKAVTFFEQRVLPSWNEVIKALHVSEKFLQQTMQLGLFDACLQTMIAKASDNPRLLGEPIKLSTNYNDTEDGDDSYRPNARRRLFVLDWQEGLTTLPLQLYEPIIYAMNQHEIPPEYISASIYQYAKKWIFSCDIGVETTSIYKRKSQRNVIETLERLLPHGRELLPCTLLFEMLRGAIDLEASSACRNGLEIRIGKQLDQAKVKDLLIPSQGYTKEVQYDIEYIRRILKIFYGNYKSSDVSGLIIVAELVEEFLAEIAGDTDLMIDTFISLAEMSMAAALGIQRNSDGIYRAIDIYLDKHTYLTEKEKEHVCRVLDFRKLSPEACEHAAKNERLPVRVVVQVLFMAQLQLRDTLTKEVRGYDDKLGKEEEEEEANMGCREDKVRMEMEKMSIKVKELEKECNVMKKEITSSNSRQKVKKGKVNMWKEMKRKFGCMSSMNDFHCQVKKKKVHPKLGI >EOY07446 pep chromosome:Theobroma_cacao_20110822:5:1593713:1598637:1 gene:TCM_021877 transcript:EOY07446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF604) [Source:Projected from Arabidopsis thaliana (AT2G37730) TAIR;Acc:AT2G37730] MSSTRETMVDPLKTWKFLVWPTITIKPIGSYFFLLKTILASFLVASISLSLYFAFSSQPQWLPSPNCNNPVIISDHQKFTTKNISSQAYERTDLSHIQFGIGGSAKTWNERRRYCELWWRPNVTRGFVWLDEKPPNDEKLPPTSPPYKISQDTSRFKYTSWYGSRSAVRIARIVKESFELGLDNVRWFVMGDDDTVFFLENLVTVLNKYDHNQMYYIGGNSESVEQDVIHSYTMAYGGGGFAISYPLAAELVKVLDGCIDRYASFYGSDQKIQGCMSEIGIPVTKELGFHQVDIRGNPYGLLAAHPLAPLVSLHHLDYIQSIFPGRTQIYSIKKLLSAYEKDPSRALQHSFCYDLKRNWSVSVSWGYTVQLYPSLVTAKKLETAFLTFKSWRSWNDEPFTFNTRPVGQDPCERPVIYFLDRTERVTGDRTLTTYIRYVDGSETECDKPDYAPTLAVQFFHVSSARLNPAIWRMAPRRQCCEVINGGDGEDNLIQVKIRGCKRFESVTPL >EOY10163 pep chromosome:Theobroma_cacao_20110822:5:33293441:33297772:1 gene:TCM_025537 transcript:EOY10163 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MAETFAFNIVENVVQKLATVAHQEISLAWGVQSDFQRLNDILTTVKDVLLDAEENQAHTNQLRNWLQKLTDACYDAVDVLDEFEIESLRRQVLKQRNIGKKVRYFFSSSNPLAFRFRMAQKIKKVTLRFGEIAALKANFHLAERHYDTRHVVMGPDRETHSFVQAADIIGRDEDREKIIKALMQDPPDGENISVLPIVGIGGLGKTALAKLVLNDEIIDGEFQLKIWVCVSDDFHLKRLIIKIIKAVKKVNEDWSNMDLDQLQKVLRDCLDGKKYLLILDDLWKEDDIKWNELKQLLVEGGRGSKIVVTTRSSRVAEITGTIPTHNLEGLPKEEALSLFLQFAFKKREMNQYSNLVKIGEEIVKSCNGIPLVLKTLGSLLLCKTSEYDWKLVRDRIEELPSNMRYMISLRMLSISTKQKVLSKSGLEYLKSLRYLFIAYCQNLEYLFDGIRNLTSLHSLIIVDCKNLIALPQGIKALTALKILVISNCEKLHLNMILASKARGNEDDSQDHHIGSGLRLQTLIIGWLPRLEALPNWLLGESANTLQILVIEECKNLTTLAEWQNLTSLERFSIGECPKLSSLPEKICILVELSGVSSSWSLT >EOY11241 pep chromosome:Theobroma_cacao_20110822:5:38537668:38556576:1 gene:TCM_026483 transcript:EOY11241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine-5'-phosphosulfate (APS) kinase 3 MNMPLELCEARDSKGLYKLARAGKIKGFTGIDDPYEPPLNCEIEIQQKDGDCPTPGAMAGEVVSYLEEKGYLRDH >EOY10285 pep chromosome:Theobroma_cacao_20110822:5:33964149:33965764:1 gene:TCM_025656 transcript:EOY10285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRELKRKFLPEHYRQEIFIKFHNLRQKTMTVEEYTMEFEQLHMKCDVHEPEEQTVARYLGGLNVEIADVVQLQPYWNLNDVIRLALKVEKQRSRKRSMSSSRQQESISNDESQSSVTIPPPKVNSSKTASSNDKETTFTRASNVNKKCFKCQGFGHIAFDCPNRRIISLVEEEDYANWEKLEPVYDEYDDEEIEEVSADHGEALIVRRNLNTAMMTKDESWLRHNIFYTRCTSQGKVCNVIIDSGSCENVIANYMVEKLKLQTEVHPHPYKLQWLRKGNEVKVTKRCCVQFSIGNKYEDEVWCDIIPMDACHLLLGRPWQYDRRAHHDGYKNTYSFIKDGAKIMLTPLKPENRPKRQEEDKALITVPSLSKAYCESNHLCLLLVSKENKVSSSLSNDGQTKLINQSSGNLSRSFVDNHAVNKTIVKYDFPIPRLDDIFIGSKVFLKMNLKKRDQQIRIRLEDEWKTTFKTMDKLIKWLVWTMTIYGSRYQHGVCPGLLVRAEFF >EOY07007 pep chromosome:Theobroma_cacao_20110822:5:160454:164783:1 gene:TCM_021548 transcript:EOY07007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MMKMGNLIKKLIFDEYEEVRDKQMEKEAGSEAGKLALFSCLSLKQSVGHNTTIHNIAPGNKKYGRGPHSLLLVTALSHMLLLELSMHQLSSEITISERMSCSIKLKLIVSKKFVSDLNVRRYQQFHLLTPPLLSLLLSPLVFRLVLLFFTSSGAAPLAPTYSVLNYGAKPDGNFDSAQAFVAAWTLACGSINPATIYVPPGRFLLRNVVFRGQCKNSAILFSIDGTLVAPSNYNIIGNTGNWLIFEHVNGVSIYGGILDGQGVGLWTCKRSGRGCPSGATSLRFSNSWNIVINGLTSLNSQMFHIVINGCNNVKVEGVRLSASGNSPNTDGIHVQSSSSVTILNSRIGTGDDCISVGPGTSNLWIENVACGPGHGISIGSLGKEFEEPGVQNVTVKSVTFTGTQNGVRIKSWGRPSTGYARNILFQHAVMTDVENPIVIDQHYCPDEKNCPGQVSGVKISDVTYQDIHGTSATEVALKFDCSSNNPCTDIRLDGVKLTYKNRPAEAACSNADGTASGFVQASSCL >EOY06984 pep chromosome:Theobroma_cacao_20110822:5:104856:105892:1 gene:TCM_021535 transcript:EOY06984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLPIVDEKQQAKSSAYVREFMEKAFVRSGLWSLSGFKNAGNLTSHRSPQATSIGGLPCLENQARH >EOY09514 pep chromosome:Theobroma_cacao_20110822:5:29611403:29614717:-1 gene:TCM_024930 transcript:EOY09514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase, type II family protein MLLGKTIKHLPSISINKSFLRFSSSLSVLSAHDPTSDSDPHALLKKDPIDICLSIWVKSFSSPPTATFPNLTGFLSKFDLWVLAYQRSCAHVTGTFPPRNAIHSQTLHSLLSLQSAVVHGRRFKWNNKTHQLIRSPNDKPSTSLISKRKLQAMLQSPDPCFQDCVVQEVLLMVLEPIFEPRFSSKSHAFRPGRSAHSVIRTIRSNFAGYLWFLRGDLSELFDNVDVNVIMGCLEKVVKDKKVLNLIKSGLNQPVKKRLKIDDDGGSGGGGDLKSKRMKKRKATKKKILNENEPKPDPYWLRTFFDFAPEEAAKVPSYGCCGILSPLLANVCLNELDQVMEEKIVKFFRPSKHDSIWKDTINDGCHNPSWPEFVPSSGREKTRKMDYIRHGSHFFIGIRGPREDAVQIRKEIIEFCESEFGIRLDNSKVEIEHISRGIQFLDHIICRRVIHPTLRYTASGGNIVSQKGVGTLLSVTASLQQCIRQFRRLHFVKGDKDPEPLPCTPMLYSSQAHTNSQMNKFLETMADWYRYADNRKKVVGFCAYVIRSSLAKLYAARYRLKSRAKVYRIASRDLSRPLRESSNNSAPEYSDLLRMGLVDAIEGVQFSHMSLIPSCDYTPFPRNWVPDHEQLLHEYIRLQDPKFFCNLHRSIKREGLSLPQDELSGIVWDYKTLGIWRYRSNTGQETKEGSE >EOY07546 pep chromosome:Theobroma_cacao_20110822:5:1929339:1930044:-1 gene:TCM_021954 transcript:EOY07546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant Tudor-like protein, putative MAVFCKGNEVEVCSNEEGFLRSYIEAKVISRLKDSRYKVHDKNLVEEEDQSRPLFEIVTADEVRPLPPRTAREATRIFTYSGRGDAFHNDGWRVGRITGEEGFKYWVYFPLTREEIEFPPSQLRIHLEWCNGV >EOY07675 pep chromosome:Theobroma_cacao_20110822:5:2396500:2398715:1 gene:TCM_022054 transcript:EOY07675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIACNKEDNKWSERKMEGDDGLRTVECLRGRLLAERQASRTAKEDAELMGNKLIELENKLKEETKLRNKAEKRLKLLKKKLESLKILPSLEESEQSSSSENCAVSSVSSTSTSGAKDPEESASKSQNAVPEISKNVEENASDTTTSIKSLQIPFSEENATSPGTAQSDSKDSSHKKSSGTSSSNPEDPKIGDTSSSSLKASTMEIDMKGRNENYEDDDYVDNSMALVPLKLPETKLAPEIKIVSKSIGEVLDTLRHARERIQNSMERRQMIRVGPS >EOY08873 pep chromosome:Theobroma_cacao_20110822:5:22673228:22674075:-1 gene:TCM_024150 transcript:EOY08873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMKWYCDEMPISFPNRITALERDGRLDGQTKFGPKQRRKTMERKETRVVRKGVKQRYTMDEEVNAHFAAPEASIAAEALATQSWDDD >EOY09637 pep chromosome:Theobroma_cacao_20110822:5:30308790:30310973:1 gene:TCM_025037 transcript:EOY09637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein 5A MATAVAATGTAQPEGTQPHFEVKLFNRWSFEEVQVTDISLSDYIGVQAAKHATYVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLTDQNPIQVIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >EOY10717 pep chromosome:Theobroma_cacao_20110822:5:36123197:36125651:-1 gene:TCM_026024 transcript:EOY10717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Atypical CYS HIS rich thioredoxin 4 MAEVLGKGNLFTTCDYRQKKNQGGGVSLFSKRISEFCLKKNSFPSLKVKSQALRTDFNGKQVCFLEKKSVNKRGFGQVPIKAQVRTGLIGRSQKWWEKGLQPNMKEVTSAQDLVDALMNAGDKLLVVDFFSPGCGGCKALHPKICQLAEMNPEVQFFQLNYEEHKSMCYSLNVHVLPFFRFYRGAHGRLCSFSCTNATIKKFKDALAKHTPDRCSLGPTKGLEEKELLALAANKDLSFNYTPKPVQPSPVPAQEEILVSKEVPSDSGTKLPFPLPTTSLKPKESEEKSMVGAGR >EOY07412 pep chromosome:Theobroma_cacao_20110822:5:1479658:1481702:1 gene:TCM_021857 transcript:EOY07412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MASLPQKTVQHFTHDHPLTEVDARTEFVCDGCRTLGVGTRYGCESCDFDLHDHCATCPLELSSFMHEHDLKLVVSKPQATSLQNERFCDLCGNPVQGIFYQCRLCEFDVHPLCTKLPEYVRHVMHKEHPLRLQGSVPGRCMVCKDTCTSWHYRCGICCFDLHLECVLAPCEEEATSTSTSRSLKSPVPPPSPSAPSLSSPYYVYGYGAIQPPPPPPSSPYYAYGYGAIPPPPYSAYGYRPSAHGYGTPSSSGGYHLNNHPQCSSQVQGVGGKNRKNMYAIAGQLALGVVTNVVFGTIFW >EOY08574 pep chromosome:Theobroma_cacao_20110822:5:11058018:11075927:-1 gene:TCM_023152 transcript:EOY08574 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phosphoglycolate phosphatase 1 MAHNHKMDDKTECHHSNKQKHNGHKLPPRHKTRMKFYMYINKNRKLNQKNKRGAKMLTKAAVSISVSASITTAASSKSLICPSHRFLCLRRLSSLRSFSALRIAWRSSNCSYNNKSARTMERFTFTTRASAQPLENADELVDSVETFIFDCDGVIWKGDKLIDGVPETLDMLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVTEEEIFASSFAAAAYLKSINFPKDKKVYVIGEDGILKELELAGFQYLGGPDDGGKKIELKPGFLMEHDKDVGAVVVGFDRYFNYYKVQYGTLCVRENPGCLLIATNRDAVTHLTDAQEWAGGGSMVGAICGSTQREPLVVGKPSTFMMDYLANKFGILKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLSMLQSPNNSIQPDFYTNKISDFLSLKAATV >EOY08678 pep chromosome:Theobroma_cacao_20110822:5:17437798:17448772:-1 gene:TCM_023686 transcript:EOY08678 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MSREEHEQHLKIVLQILREHRLYAKFSKCEFWLESVAFLGHVVSKEGIRVDTKKIEAVEKWPRPTSVTEIRSFVGLAGYYRRFVKDFSKIVAPLTKLTRKDTKFEWSDACENSFEKLKACLTTAPVLSLPQGTGGYTVFCDASGVGLGCVLMQHGKVIAYASRQLKRHEQNYPIHNLEMAAIVFALKIWRHYLYGETCEIYTDHKSLKYIFQQRDLNLRQRRWMELLKDYDCTILYHPGKANVVADALSRKSMGSLAHISIGRRSLVREIHSLGDIGVRLEVAETNALLAHFRVRPILMDKIKEAQSKDEFVIKALEDPQGRKGKMFTKGTDGVLRYGTRLYVPDGDGLRRKILEEAHMAAYVVHPGATKMYQDLKEVYWWEGLKRDVAEFVSKCLVCQQVKAEHQKPAGLLQPLPVPEWKWEHIAMDFVTGLPRTSGGYDSIWIVVDRLTKSAHFLSVKTTYGAAQYARVYVDEIVRLHGIPISIVSDRGAQFTSRFWGKLQEALGTKLDFSTTFHPQTDGQSERTIQTLEDMLRACVIDLGVKWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWLEVGERKLLGPELVQDATEKIHMIRQKMLTAQSRQKSYADNRRRDLEFQVGDHGQYSKFLNFVICQGGETFGKGKGIAPEDY >EOY11143 pep chromosome:Theobroma_cacao_20110822:5:38114958:38129809:-1 gene:TCM_026407 transcript:EOY11143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein isoform 1 MATPMPPGLPRQPPPSTHNPNFQQSPDSLSDNLQKLNINKPTLPPFIRSPPPPSTSAPSPPISRPGAPPPGVVPRPNVPPNVGSVIPSGAPGGQPLPFGTRSPPGSLPSSKGGGPVISPGGSNASQLIAPPSAFVTPSSAWGGSIRNGPPVGVPPPMTGTVMSPGQAPNMGSFLGSPQGSYPPGPHMQSASPFSAPLAAPFGTQTWSPGQVALPTAAPDALQPPRMFGMPPLQPNQLSPAIDQTGTSLEGSWKSNSDQVPRPSPSSSTILHDTRQGNQANPPPPATSDYIVRDTGNCSPRHMRCTIHQIPCTADLLTNCGMQLALLVQPLALPHPSEEPIPVVDFGENGPIRCSRCKGYINPFMKFIDHGRRFICNFCGFFDNTPPEYQCNLGPDGRRRDADVRPELCKGTIEFVATKEYMMRDPMPAVYFFLIDVSMNAIQTGATAAACAAINQVIADLPQEGARTMVGIATFDSTIHFYNLKRALQQPLMLIVPDVQDVYIPLETDIIVQLSESRQHIEQLLESIPTMFLDNRTSESAFVAAVKAAFLAIKSTGGKLLVFQSVLPSVGMVALSARDAEGRSNTSAGEKEVHKLLQPADKTLKTMANEFAESQVCVDVFITTQTYVDIASISLIPGTTGGQVYYYYPFSSVSDPAKLYNDLRWNITRPQGFEAVMRVRCSQGIEVKEYHGNFCKRVPTDVDLPGIDSDKTIMVTLKHDDKLQDGSECTFQCALLYTTAYGQRRIRVSTLSLPCTSSLTNLFRAADLDAQFVCSLKQVANKIPSTPLLQIREQVTNICINNLLAYRKFCTTSTSSGQFVLPEALKLLPLYTLALTKSTGLRIDGRIDDRSFWINIVSHISIPSAVSLVLPRMIAVHNLDLEEEGGSVIPPVLPLSSEYVSEDGIYLFENGVDGLIYVGSLVDSVILQQLFGISSVDETPTQFVLQQYDNSLSKKLNRVINEIRRQWCSYLRLTLCKKGDPSGMLFFSYLIEDPNPKGGQSYSEFLVYLHRKIQSKMS >EOY11142 pep chromosome:Theobroma_cacao_20110822:5:38112072:38129830:-1 gene:TCM_026407 transcript:EOY11142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein isoform 1 MATPMPPGLPRQPPPSTHNPNFQQSPDSLSDNLQKLNINKPTLPPFIRSPPPPSTSAPSPPISRPGAPPPGVVPRPNVPPNVGSVIPSGAPGGQPLPFGTRSPPGSLPSSKGGGPVISPGGSNASQLIAPPSAFVTPSSAWGGSIRNGPPVGVPPPMTGTVMSPGQAPNMGSFLGSPQGSYPPGPHMQSASPFSAPLAAPFGTQTWSPGQVALPTAAPDALQPPRMFGMPPLQPNQLSPAIDQTGTSLEGSWKSNSDQVPRPSPSSSTILHDTRQGNQANPPPPATSDYIVRDTGNCSPRHMRCTIHQIPCTADLLTNCGMQLALLVQPLALPHPSEEPIPVVDFGENGPIRCSRCKGYINPFMKFIDHGRRFICNFCGFFDNTPPEYQCNLGPDGRRRDADVRPELCKGTIEFVATKEYMMRDPMPAVYFFLIDVSMNAIQTGATAAACAAINQVIADLPQEGARTMVGIATFDSTIHFYNLKRALQQPLMLIVPDVQDVYIPLETDIIVQLSESRQHIEQLLESIPTMFLDNRTSESAFVAAVKAAFLAIKSTGGKLLVFQSVLPSVGMVALSARDAEGRSNTSAGEKEVHKLLQPADKTLKTMANEFAESQVCVDVFITTQTYVDIASISLIPGTTGGQVYYYYPFSSVSDPAKLYNDLRWNITRPQGFEAVMRVRCSQGIEVKEYHGNFCKRVPTDVDLPGIDSDKTIMVTLKHDDKLQDGSECTFQCALLYTTAYGQRRIRVSTLSLPCTSSLTNLFRAADLDAQFVCSLKQVANKIPSTPLLQIREQVTNICINNLLAYRKFCTTSTSSGQFVLPEALKLLPLYTLALTKSTGLRIDGRIDDRSFWINIVSHISIPSAVSLVLPRMIAVHNLDLEEEGGSVIPPVLPLSSEYVSEDGIYLFENGVDGLIYVGSLVDSVILQQLFGISSVDETPTQFVLQQYDNSLSKKLNRVINEIRRQWCSYLRLTLCKKGDPSGMLFFSYLIEDPNPKGGQSYSEFLVYLHRKIQSKMS >EOY11144 pep chromosome:Theobroma_cacao_20110822:5:38113360:38130389:-1 gene:TCM_026407 transcript:EOY11144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein isoform 1 MATPMPPGLPRQPPPSTHNPNFQQSPDSLSDNLQKLNINKPTLPPFIRSPPPPSTSAPSPPISRPGAPPPGVVPRPNVPPNVGSVIPSGAPGGQPLPFGTRSPPGSLPSSKGGGPVISPGGSNASQLIAPPSAFVTPSSAWGGSIRNGPPVGVPPPMTGTVMSPGQAPNMGSFLGSPQGSYPPGPHMQSASPFSAPLAAPFGTQTWSPGQVALPTAAPDALQPPRMFGMPPLQPNQLSPAIDQTGTSLEGSWKSNSDQVPRPSPSSSTILHDTRQGNQANPPPPATSDYIVRDTGNCSPRHMRCTIHQIPCTADLLTNCGMQLALLVQPLALPHPSEEPIPVVDFGENGPIRCSRCKGYINPFMKFIDHGRRFICNFCGFFDNTPPEYQCNLGPDGRRRDADVRPELCKGTIEFVATKEYMMRDPMPAVYFFLIDVSMNAIQTGATAAACAAINQVIADLPQEGARTMVGIATFDSTIHFYNLKRALQQPLMLIVPDVQDVYIPLETDIIVQLSESRQHIEQLLESIPTMFLDNRTSESAFVAAVKAAFLAIKSTGGKLLVFQSVLPSVGMVALSARDAEGRSNTSAGEKEVHKLLQPADKTLKTMANEFAESQVCVDVFITTQTYVDIASISLIPGTTGGQVYYYYPFSSVSDPAKLYNDLRWNITRPQGFEAVMRVRCSQGIEVKEYHGNFCKRVPTDVDLPGIDSDKTIMVTLKHDDKLQDGSECTFQCALLYTTAYGQRRIRVSTLSLPCTSSLTNLFRAADLDAQFVCSLKQVANKIPSTPLLQIREQVTNICINNLLAYRKFCTTSTSSGQFVLPEALKLLPLYTLALTKSTGLRIDGRIDDRSFWINIVSHISIPSAVSLVLPRMIAVHNLDLEEEGGSVIPPVLPLSSEYVSEDGIYLFENGVDGLIYVGSLVDSVILQQLFGISSVDETPTQFVLQQYDNSLSKKLNRVINEIRRQWCSYLRLTLCKKGDPSGMLFFSYLIEDPNPKGGQSYSEFLVYLHRKIQSKMS >EOY08926 pep chromosome:Theobroma_cacao_20110822:5:23185518:23187067:1 gene:TCM_024202 transcript:EOY08926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLFKQDAGNCLEAVAMRVALEWEREKTRVMKESLRDDELALLEKALEHSMLMGKKEETLAEIELQEKLIDDFMVFIGAVENNDVEIAQNFDEKAMMDAIVAMLNSEGNSGGNGEGLGGAYGKEKKKAGITILTSLLKEQGVTAVKAAKLVETAAVATVTAVSSVVGANGGSCDGDHRKLGHWLLVGNGELVFNDLFL >EOY09839 pep chromosome:Theobroma_cacao_20110822:5:31272329:31278831:-1 gene:TCM_025213 transcript:EOY09839 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit (RPN5), putative isoform 3 MDGNKGNLEAAIEQLLNVEKQMRFAGDVAETKKAVTDILQLCFEAKDWKSLNEQIVNLSKKRGQLKQAVTAMVQQAMQYIDETPDLETRIELIKTLNSVSAGKIYVEIERARLIKKLAKIKEEQCLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADTSKEKKKPKEGDNVVEEPPADIPSLLELKRIYYELMIRYYFHNNDYLEICRCYKAIYEIPSIRENPSQWIPVLRKICWYLILAPHDPMQSSLLNSTLEDKNLSEIPKFKLLLKQLVTMEVIQWTSLWDSYRDEFENEKNMLGGSLADKAAEDLRQRIIEHNILVVSKYYSRITLKRLAELLCLTVQEAEKHLSEMVVSKALVAKIDRPMGIVCFQVAKDSNEILNSWSVNLEKLLDLVEKSCHQIHKEFGQVELCF >EOY09838 pep chromosome:Theobroma_cacao_20110822:5:31272421:31278689:-1 gene:TCM_025213 transcript:EOY09838 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit (RPN5), putative isoform 3 MDGNKGNLEAAIEQLLNVEKQMRFAGDVAETKKAVTDILQLCFEAKDWKSLNEQIVNLSKKRGQLKQAVTAMVQQAMQYIDETPDLETRIELIKTLNSVSAGKIYVEIERARLIKKLAKIKEEQCLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADTSKEKKKPKEGDNVVEEPPADIPSLLELKRIYYELMIRYYFHNNDYLEICRCYKAIYEIPSIRENPSQWIPVLRKICWYLILAPHDPMQSSLLNSTLEDKNLSEIPKFKLLLKQLVTMEVIQWTSLWDSYRDEFENEKNMLGGSLADKAAEDLRQRIIEHNILVVSKYYSRITLKRLAELLCLTVQQEAEKHLSEMVVSKALVAKIDRPMGIVCFQVAKDSNEILNSWSVNLEKLLDLVEKSCHQIHKETMVHKAALKV >EOY09837 pep chromosome:Theobroma_cacao_20110822:5:31272818:31278540:-1 gene:TCM_025213 transcript:EOY09837 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit (RPN5), putative isoform 3 MDGNKGNLEAAIEQLLNVEKQMRFAGDVAETKKAVTDILQLCFEAKDWKSLNEQIVNLSKKRGQLKQAVTAMVQQAMQYIDETPDLETRIELIKTLNSVSAGKIYVEIERARLIKKLAKIKEEQCLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADTSKEKKKPKEGDNVVEEPPADIPSLLELKRIYYELMIRYYFHNNDYLEICRCYKAIYEIPSIRENPSQWIPVLRKICWYLILAPHDPMQSSLLNSTLEDKNLSEIPKFKLLLKQLVTMEVIQWTSLWDSYRDEFENEKNMLGGSLADKAAEDLRQRIIEHNILVVSKYYSRITLKRLAELLCLTVQEAEKHLSEMVVSKALVAKIDRPMGIVCFQVAKDSNEILNSWSVNLEKLLDLVEKSCHQIHKETMVHKAALKV >EOY09840 pep chromosome:Theobroma_cacao_20110822:5:31272818:31278540:-1 gene:TCM_025213 transcript:EOY09840 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit (RPN5), putative isoform 3 MDGNKGNLEAAIEQLLNVEKQMRFAGDVAETKKAVTDILQLCFEAKDWKSLNEQIVNLSKKRGQLKQAVTAMVQQAMQYIDETPDLETRIELIKTLNSVSAGKIYVEIERARLIKKLAKIKEEQCLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADTSKEKKKPKEGDNVVEEPPADIPSLLELKRIYYELMIRYYFHNNDYLEICRCYKAIYEIPSIRENPSQWIPVLRKICWYLILAPHDPMQSSLLNSTLEDKNLSEIPKFKLLLKQLVTMEVIQWTSLWDSYRDEFENEKNMLGGSLADKAAEDLRQRIIEHNILVVSKYYSRITLKRLAELLCLTVQEAEKHLSEMVVSKALVAKIDRPMGIVCFQVAKDSNEILNSWSVNLEKLLDLVEKSCHQIHKETMVHKAALKV >EOY11032 pep chromosome:Theobroma_cacao_20110822:5:37611423:37612174:-1 gene:TCM_026305 transcript:EOY11032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLVASALYGFVLPTIELTYKKAKQTITYFLVMEMQMVISFSATIFCTIGMHFHKEFEAIPREASKFELGQSTYYLVILLSAILWAYGSSSSWELLE >EOY07038 pep chromosome:Theobroma_cacao_20110822:5:270004:271689:-1 gene:TCM_021573 transcript:EOY07038 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MPAQVMPEKTLQSLHVLGRENNSSPHPKRLEGKIAIVTGGARGIGEATVRLFARHGAKVVIADLEDTLGAALANSLSPSVTFVHCDVRLEEDIENLINSTISRYGRLDILFNNAGVLGNQKKHKSIVDFDVDEFDNVMRVNVRGMALGIKHAARAMIPRGGGCIISTASVAGVMGGLGPHAYTASKHAIVGLTKNTACELGRYGIRVNCISPFGVATSMLVNAWRSHDDDEEEDSINFGIPCQQETEKMEEFVRGLANLKGPTLRAKDIAEAALYLASDESKYVSGHNLVVDGGVTTSRNCVGL >EOY09169 pep chromosome:Theobroma_cacao_20110822:5:26850370:26851378:1 gene:TCM_024569 transcript:EOY09169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASRAIMEVLGWGLIRNSNGEWVRGFVLNIGSTDSLLAKLQGICEGLLLARSLQISSLVLEVDALVVVQFLTVGFGSSHPYGH >EOY07618 pep chromosome:Theobroma_cacao_20110822:5:2181640:2184148:-1 gene:TCM_022004 transcript:EOY07618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diaminopimelate epimerase family protein isoform 1 MAIAATISLSLALQTRRFSVAGAAPFRSTPSLHFDCLLSNLSLKNPSFRVSASSMSIGTPDKISTTSFLDRRESGFVHFVKYHGLGNDFILVDNRDSTEPRITPEQAVKLCDRNFGVGADGVIFAMPGTNGTDYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLHGKQSFTVYTGAGLIVPEIQDDGKVKVDMGEPILKASDVPTKLRANKDQSVVKSDLNVDGVIWNVTCVSMGNPHCVTFGTKGSQQNLTVDELNLAAVGPKFEHHEMFPARTNTEFVEVFSSSHLKMRVWERGAGSNTGLWNWSLRCGGCSCSRRTCWEGVARSICLAARWRLNGGRKTIMYT >EOY07617 pep chromosome:Theobroma_cacao_20110822:5:2181286:2184271:-1 gene:TCM_022004 transcript:EOY07617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diaminopimelate epimerase family protein isoform 1 MAIAATISLSLALQTRRFSVAGAAPFRSTPSLHFDCLLSNLSLKNPSFRVSASSMSIGTPDKISTTSFLDRRESGFVHFVKYHGLGNDFILVDNRDSTEPRITPEQAVKLCDRNFGVGADGVIFAMPGTNGTDYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLHGKQSFTVYTGAGLIVPEIQDDGKVKVDMGEPILKASDVPTKLRANKDQSVVKSDLNVDGVIWNVTCVSMGNPHCVTFGTKGSQNLTVDELNLAAVGPKFEHHEMFPARTNTEFVEVFSSSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAGRSCTVDLPGGTLEIEWREEDNHVYMTGPAEVVFYGSVPL >EOY07425 pep chromosome:Theobroma_cacao_20110822:5:1515931:1518533:-1 gene:TCM_021865 transcript:EOY07425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase family protein isoform 1 MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKAIGVTEVVLAINYQPEIMLNFLKEFEKKLDIKITCSQETEPLGTAGPLALARDKLIDGSGEPFFVLNSDVISEYPLKEMIDFHKGHGGEASIMVTKVDEPSKYGVVVMEETTGKVEKFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPMIAGENKLYAMVLPGFWMDIGQPKDYITGLRLYLDSLRKKSSAELEAGSNIVGNVLVDESAVIGQGCLIGPDVAIGPGCIIESGVRLSRCTIMRGVRIKKHACISSSIIGWHSTVGRWARVENMTILGEDVHLGDEVYSNGGVVLPHKEIKSSILKPEIVM >EOY07426 pep chromosome:Theobroma_cacao_20110822:5:1516110:1518452:-1 gene:TCM_021865 transcript:EOY07426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase family protein isoform 1 MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQQIEALKAIGVTEVVLAINYQPEIMLNFLKEFEKKLDIKITCSQETEPLGTAGPLALARDKLIDGSGEPFFVLNSDVISEYPLKEMIDFHKGHGGEASIMVTKVDEPSKYGVVVMEETTGKVEKFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPMIAGENKLYAMVLPGFWMDIGQPKDYITGLRLYLDSLRKKSSAELEAGSNIVGNVLVDESAVIGQGCLIGPDVAIGPGCIIESGVRLSRCTIMRGVRIKKHACISSSIIGWHSTVGRWARVENMTILGEDVHLGDEVYSNGGVVLPHKEIKSSILKPEIVM >EOY07424 pep chromosome:Theobroma_cacao_20110822:5:1515931:1518452:-1 gene:TCM_021865 transcript:EOY07424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase family protein isoform 1 MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKAIGVTEVVLAINYQPEIMLNFLKEFEKKLDIKITCSQETEPLGTAGPLALARDKLIDGSGEPFFVLNSDVISEYPLKEMIDFHKGHGGEASIMVTKVDEPSKYGVVVMEETTGKVEKFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPMIAGENKLYAMVLPGFWMDIGQPKDYITGLRLYLDSLRKKSSAELEAGSNIVGNVLVDESAVIGQGCLIGPDVAIGPGCIIESGVRLSRCTIMRGVRIKKHACISSSIIGWHSTVGRWARVENMTILGEDVHLGDEVYSNGGVVLPHKEIKSSILKPEIVM >EOY10872 pep chromosome:Theobroma_cacao_20110822:5:36889730:36894487:1 gene:TCM_026167 transcript:EOY10872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDIKPFLHKHYLLYDCYGHYDNIEEASCDKCNQQIDGWAYSCESCRKFWLHSYCAEEQLPPRISHPLHTQHLLTLFKGYDVDDFICDKCFTLSRGHRYHCSGCNFKVDVSCAASTNDAALVKLESKRSDEGLRKIQHFVHTNRLTGIFNYRKVAKKHYNCGWCEKHLSGMTYGCFESYEKFYIHESCLIKIPTKILGHPFHSSHPLYLQPTTMVNNSEPRCNACKDAILGKAYRCQKCELHLHVLCSRLQPCLKHEIHEHGLTSFQKNLSEVDSEALIPKLMEQEETEKGNAESQSQDLEREIEHFTHRHSLSYYEVIEKNEDVFCKACDFEIYGQAYGCESCEYFLHIRCAKLSYEVLHPLHPKHPLRLFNDSQPVFCNECGDFSFGFSYVCYFCDFKLDVKCVTSTEPNNEGQRLKEMARESKLCPLEQDHELSFFNFRHKVQRGYLCSICFLPLSGLTYHCFACSYVVHESCLGFPLEITLPTLSEHPLHPTLNKGRYTCCLACRCPFSWEDIVYKCEQFRHISLHILCANSMRQAIRSKSHQHPLFYFGTECQNLFASMLNYEFFRQHFLFKCNKCYKSFGGVPFYRCVLCCINFHLECVPIPHFIKSKCHIHPFTLRHCFPEDDSEKYFCDVCEEERHPKNHVYVCEECQGLFVAHIECVLDNMVDEIAPAEDPSSNLVPDLENTFVQAEREVPTDESKEEQQSNNELLQSSYLPPPVLFISLQRFLSLSQKPLSHFGDSTGEE >EOY11034 pep chromosome:Theobroma_cacao_20110822:5:37619745:37625998:-1 gene:TCM_026308 transcript:EOY11034 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein MAEVDQSFVEHEEEQVQEPENSEENLNLEQNLSLEQNLNLEPNLNLEPNLNLEPDLNLEENAEENLEEQNLQQESPHQPKQEHEDEAVVGGVEKKWPGWPGESVFRMLVPAQKVGSIIGRKGEFIKKIVEETRARIKILDGPPGTTERAVMVSAKEEPDSSLPPAMDGLLRVHKRIVDGLDGDSSHAPTAVGTKVSTRLLVPASQAGSLIGKQGTTVKSIQESSGCVVRVLGAEDLPVFALQDDRVVEVVGEAAGVHKAVELIASHLRKFLVDRSIIPLFEMHMQMSNPQMDHMPPHQSWGPPQGVPPNASGGAGFGHNPQYMPPPRQLDNYYPPADMPPPIEKQPHQGISAYGREAPMGAHASSNPQSAPSMITQVTQQMQIPLSYADAVIGTAGASISYIRRASGATVTIQETRGVPGEMTVEISGTASQVQTAQQLIQNFMAEAAAPAQGQTGGATDQAYNPYAAHSSVYASPPSNPGHAGHTGGYGSVYGSNYGY >EOY09707 pep chromosome:Theobroma_cacao_20110822:5:30672449:30673043:-1 gene:TCM_025103 transcript:EOY09707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLENNVFHKVRETKWACAHTRLGQTVKNFRFPPLCASIHVGCAQSTFCTLTLFFLNFFNL >EOY11267 pep chromosome:Theobroma_cacao_20110822:5:38758101:38763096:1 gene:TCM_026511 transcript:EOY11267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSNYSIAAPPVFNGNNYPMWAVKMKAYLKAFDLWEVVEVGGDPPARQANPTIAQMKQYNEEVAKRFKALSCIHSAVTDAIFVRIMACESAKEAWDKIKEEFHGSDRTRQIQILNLLREFEVLKMKDEETMKDYSDKVLRVVNQLRLFGENITERRVVNKFLVSLPEKFESKISSLEDSKDLTTMSVSELINALQAQEQRRALRQEDHVEAALAARRVDKRTSSGSHKKSEYEKKDKDKRYEEKKQGKKWQFPPCSYCKKKNHIERYCWYRPHVKCRACNQKGHVEKVCKNKENRVEEKAAIVEQKEDAEETLFMVIESNDSKKDSIWLIDSACSTHITGKIKNFLDLNKAYKSTVEIGDGNLLKIAGRGTVGITTKKGMKTIANVCFAPEVTQNLLSVGQLVKEKNSLLFKDELCTIFDPSGREIATVKMRNKCFPLDLNEAGHMAYKCVSNEARLWHRRLGHINYQFIKNMGSLNLVNDMPIITEVEKTCEVCLQGKQSRHPFPKQSQTRTANRLQLIHTDICGPIGTLSLNGNKYFILFIDDFSRFCWIFFLKQKSEAIQYFMKFKVLVEKQTDQKIKALRSDNGSEYTSNEFKALLTQEGIKQFLTVPYSPQQNGVSERKNRTIMEMIRCLLFEQQMPKYFWAEAANFAVTLQNLIPTTALNSMTPFEVWHGYKPSISNVKVFGCIAYAQVPQQKRTKLDSKTQISINLGYSSVSKGYRLFNVETKKVFISRDVVFNEDIHWNWMKNEIAENNNDNVAVNLDVFEEEAGHELDDNIDDMPVRGIRSLQDIYEQCNVAITDPCSYIEAASDEQWKLAMEAEMTMIKRNQTWILVDRPKHQRVISVKWIFRTKLNSDGSINKLKARLVVRGFSQVHGVDFFETFAPVARHDTIRLLVALAGREKWRIWHMDVKSAFLNGTISEDIYVEQPEGFVEKGKEDKVCKLIKALYGLKQAPRAWYKKIDAYLRSNKFFCSESEPTLYVKSSLGKIQLIVSVYVDDLLITGPNKSDLNSFRNKMKSEFDMSDLGEMSYFLGLEIQQRSDFICLHQKKYAGELLKRFKMEGCKPVSTPLTTGTKLCKDDGSTLVDVTQYRKLIGCLLYLSASRPDIMYTTSLLSRFMQSPTKTHLTAAKRVLRYVKGTLNYGLLYGQVENKELEGYSDSDWAGSYDDSKSTSGYCFSFGSAMFSWNSKKQDIVAQSSAEAEYVAAASATNQALWLRKVLLDLKFEQINPTVLWLDNQSAIALAKNPINHSRTKHIRIKFHVIREAVTNNEVVVNYCGTDDQIADIFTKGLCREKFELLRSKLGMGNVDFKEV >EOY08601 pep chromosome:Theobroma_cacao_20110822:5:13565655:13566692:1 gene:TCM_023382 transcript:EOY08601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGIHLNTIPQTTITRSHYHTHKFFLFCNYILLGAASSCIFLTLSLRLFPSLFGFFLILLHVLTIAGAVSGCTAASSGTNRWYAAHMVATVLTAIFQGSVSVLIFTRTSDFLGNLKSYVREDDGAVILKLAGGLCALIFCLEWVVLTLAFFLKYYAYVEGDVNGNSTAMKMSAKVQQDEDLKDWPWPFQV >EOY08781 pep chromosome:Theobroma_cacao_20110822:5:20012089:20013086:-1 gene:TCM_023921 transcript:EOY08781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDNFFIFGSNFDDCLLNFDRVLRQCEETNLVLNWEKCYFMVQEGMVLIHKVSSKGLEVDKAKIEGIEKLPPPNLVRGILKFPWTH >EOY09925 pep chromosome:Theobroma_cacao_20110822:5:31678033:31709166:-1 gene:TCM_025286 transcript:EOY09925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein phosphatase isoform 2 MMERLEELNKLQIVNCDSLGEIFEPQALIANRSHATTTTQSIVVETEAKFVFPQVTDLRLEKLPKLKSFYPKTLATEWPSLKKMEVIECHEVEIFAAECPCFGETHTESQVKISNQQPLFWVDEITFPILEELRLKQNDTVKGTWHSQVLSTKCFKKLKVLELMCIPEKSTVLSYCFIQSLPNLEKLVLSDASFCQIFQSEELRDEERHALRLTRLSELRLSKLPELTRLWEKECQPIPIFCNLRILEVLECGKLKTLVPSLVSLENLTNLEVSRCHGFINLIACSTAKSLMLLERMSITDCEIIEEIVACGGDEMEGDIVFARLKYLQLSCLPSLASFCLGDHNFEFPVLRKVIVRECPKMKIFCQGDLSTPELKQVQLTEEEEKGRWEGDLKTTIKQLFEEMNAGNSEVTEVTN >EOY09927 pep chromosome:Theobroma_cacao_20110822:5:31678132:31708852:-1 gene:TCM_025286 transcript:EOY09927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein phosphatase isoform 2 MEVIECHEVEIFAAECPCFGETHTESQVKISNQQPLFWVDEITFPILEELRLKQNDTVKGTWHSQVLSTKCFKKLKVLELMCIPEKSTVLSYCFIQSLPNLEKLVLSDASFCQIFQSEELRDEERHALRLTRLSELRLSKLPELTRLWEKECQPIPIFCNLRILEVLECGKLKTLVPSLVSLENLTNLEVSRCHGFINLIACSTAKSLMLLERMSITDCEIIEEIVACGGDEMEGDIVFARLKYLQLSCLPSLASFCLGDHNFEFPVLRKVIVRECPKMKIFCQGDLSTPELKQVQLTEEEEKGRWEGDLKTTIKQLFEEMNAGNSEVTEVTN >EOY09926 pep chromosome:Theobroma_cacao_20110822:5:31678057:31737928:-1 gene:TCM_025286 transcript:EOY09926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein phosphatase isoform 2 IECHKVEIFAAECPCFGETHTESQVKISNQQPLFWVDEITFPILEELRLKQNDTVKGTWHSQVLSTKCFKKLKVLELMCIPEKSTVLSYCFIQSLPNLEKLVLSDASFCQIFQSEELRDEERHALRLTRLSELRLSKLPELTRLWEKECQPIPIFCNLRILEVLECGKLKTLVPSLVSLENLTNLEVSRCHGFINLIACSTAKSLMLLERMSITDCEIIEEIVACGGDEMEGDIVFARLKYLQLSCLPSLASFCLGDHNFEFPVLRKVIVRECPKMKIFCQGDLSTPELKQVQLTEEEEKGRWEGDLKTTIKQLFEEMNAGNSEVTEVTN >EOY09755 pep chromosome:Theobroma_cacao_20110822:5:30913467:30920119:1 gene:TCM_025140 transcript:EOY09755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein subunit of of Het-ACCase (BCCP1), putative isoform 3 MASSLSTTASASFSSVAKTAATLPHSTNLPLSKVSFRFSPKPNFGLFSKGLQHSQNCSIVVKAQLNEVSVDGSSKASATSPDKSGPPTAEAKDAKPSSDASPPALATEESISEFLNQVSSLVKLVDSRDIVELQLKQLDCELTIRKKEAMSQPPSAAPVVMMQSPSLPPVMPPNPAVPAPAPSGPVSPAPTPAAPKSAKSSLPPLKCPMAGTFYRNPAPGEPPFVKVGDKVQKGQVICIIEAMKLMNEIER >EOY09756 pep chromosome:Theobroma_cacao_20110822:5:30913531:30922654:1 gene:TCM_025140 transcript:EOY09756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein subunit of of Het-ACCase (BCCP1), putative isoform 3 MASSLSTTASASFSSVAKTAATLPHSTNLPLSKVSFRFSPKPNFGLFSKGLQHSQNCSIVVKAQLNEVSFFKWFWLEDLFSNFRSFLDTLLGSSVAFKVSVDGSSKASATSPDKSGPPTAEAKDAKPSSDASPPALATEESISEFLNQVSSLVKLVDSRDIVELQLKQLDCELTIRKKEAMSQPPSAAPVVMMQSPSLPPVMPPNPAVPAPAPSGPVSPAPTPAAPKSAKSSLPPLKCPMAGTFYRNPAPGEPPFVKVGDKVQKGQVICIIEAMKLMNEIEADQSGTIVEILVEDGKSVSVDMPLFVIEP >EOY09754 pep chromosome:Theobroma_cacao_20110822:5:30913473:30922517:1 gene:TCM_025140 transcript:EOY09754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein subunit of of Het-ACCase (BCCP1), putative isoform 3 MASSLSTTASASFSSVAKTAATLPHSTNLPLSKVSFRFSPKPNFGLFSKGLQHSQNCSIVVKAQLNEVSVDGSSKASATSPDKSGPPTAEAKDAKPSSDASPPALATEESISEFLNQVSSLVKLVDSRDIVELQLKQLDCELTIRKKEAMSQPPSAAPVVMMQSPSLPPVMPPNPAVPAPAPSGPVSPAPTPAAPKSAKSSLPPLKCPMAGTFYRNPAPGEPPFVKVGDKVQKGQVICIIEAMKLMNEIEADQSGTIVEILVEDGKSVSVDMPLFVIEP >EOY11744 pep chromosome:Theobroma_cacao_20110822:5:40190324:40198954:-1 gene:TCM_026825 transcript:EOY11744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 9 MASMVDSEREGIDVEPGDEGKRVAMDPTRLRPQERHVFIDKLIRNIQTDNLHLLHNIRKRLDRVGVELPRVEVRYKNLRVEAECDVVHGTPLPTLWNSLKSPVSHPAARLMGSKSHQAKLCLISDVSGVIKPGRMTLLLGPPGCGKTSLLKALSGNLNQSLKVTGEVSYNGYKLEEFVPQKTSAYISQNDLHISEMTVRETLDFSAHCQGVGSRADIMMEVSRRELEAGIAPDPDVDTYMKATSVKGRKGKLQTDYVLKILGLDSCAETFVGDALRRGISGGQKKRLTTGEIVVGPIKTLFMDEITNGLDSSTAFQIVTCLQQLVHMTDATLLVSLLQPAPETFDLFDDIILMAEGKILYHGPRDHILEFFENCGFRCPQRKAVVDFLQEETFRLRTMQVLSKKDQAQYWYSTELPYSYFSVDMFVRKFKASPLGKSIDEDLLNPYDMSQGHKDALSFSEYSVSKWEIFKACMSRELLLMRRNSIFYVFKITQLVIIACITMTVFYKSRMDIDILHANYYLAELFFTLMILVVDEFPEVFMTISRLPVFYKQKMLCFYPAWAYSIPAAILKLPMSFLQSLIWTSITYYVTGYTPEVSRFFRQCIMFFAVQLSGISLFRFVASLFQTFDTSVAASSLIIFLHWLFCGFIIPRPSWPGWMKWLFWVSPMSYAEIGLSGNEFLAPRWQQMLTMNTTIGQATLESRGLYFDEYFFWISIAALFGFSLVYNIGFTLALSFLKPPGSSRIIISRDKLSKIQKGDFNGVASVVKGVSHSDSSNKEPASKGRMVLPFEPLTLTFQDVQYYVDTPLELRKKGHAQKKLQLLSNVTGALRPGVLTALMGASGAGKTTLLDVLSGRKTIGCIEGEIKVGGYPKVQETFARISGYCEQNDIHSPQITVQESLIFSAWLRLAPHIDLKTKADFVKEVLETIELDDVKDALVGIPGLSGLSTEQRKRLTIAVELVANPSIIFMDEPTSSLDARAAAIVMRAVKNVADTGRTIICTIHQPSIDIFEAFDELILLKNSGSLIYFGPLGQHSCRVIEYFESIPGVPKIKDNCNPATWMLEVTSPPVEAELGVDFAQIYKNSTLYENNEDVVRQLSNPPPGSRDLHFPTQFSQSYWGQFKSCLWKLNLSYWRSPAYNLTRFLQTVIISLALGLIFWNQGKKINNQQNLFNIFGSMYSAVVFLGMNSSSSVQPSVATERVVMYRERFAGMYSSWAYALAQVTIEVPYLFIQALVFEMITYPMIGYYGSAYKVLWYFYAMFCTQLYFTFFGMLFVSLTPDVTIAGALSSFFYPMLNLFSGFLIPPLKIPKWWIWLYYLMPTSWTLNCLLTSQYGDVNDEIMVFGQAKTVASLLEDYFGFHHDRLAITAIFLIIFPLIFAFLFAFFITRLNFERR >EOY08443 pep chromosome:Theobroma_cacao_20110822:5:8437633:8440975:-1 gene:TCM_022879 transcript:EOY08443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKNIPLIMLSFVEPRIYNKMAKTRTEQENAKALFCVLGSSEASTSALTSTLWFAIGNAKAWFLKCKFCLMIALKFDSMQAVISNPYKAILERIHERYWEAGNQFWTLEVILEIQSWFGSRHQYENVNPRMLKWHCEEMPVEFGPKQRKKIMEHKEKRVRGAVKQRRITDEEVDTYLTALKASIVPKAYAAQSLDDDQMCNLILRP >EOY10115 pep chromosome:Theobroma_cacao_20110822:5:33056763:33057802:1 gene:TCM_025493 transcript:EOY10115 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLP-like protein 423, putative MRGHLSQDTVVEVPAAVLWDVFRSLQLAKLVDELLGDVVGKVEVVQGDGGVGTILKIIFPPGTPGPGYMKERFTIIDDEIRLKEAETIEGGFKDVGFDVYRMRLQILEKDAESSIVRSSVDYEIDDKLQELASQATTKPMEILAEVVGKYLKEKLNSTK >EOY07863 pep chromosome:Theobroma_cacao_20110822:5:3053826:3057951:1 gene:TCM_022183 transcript:EOY07863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine kinase 2 MAYERILLGMGNPLLDISAVVDEDFLKKYDVKLNNAILAEEKHIPMYEEMASNPNVEYIAGGATQNSIKVAQWMLQIPGATSYMGCIGKDKFGEEMKKNSTAAGVNVQYLEDESAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYFYIAGFFLTVSPDSIQLVAEHAAANNKVFSMNLSAPFICEFFKDAQEKVLPYMDFVFGNETEARTFSKVHGWETDDVAEIALKISQWPKASGTFKRITVITQGADPVVVAEDGKVKQFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQGKPIDVCVKAGCYASNVIIQRSGCTYPQKPEFN >EOY09872 pep chromosome:Theobroma_cacao_20110822:5:31431859:31443883:1 gene:TCM_025242 transcript:EOY09872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquarius MTKVHGTGVYDFKRHHVAEYPVEFTDQLDSKSGPGSSLPSSITLSEIQRDQLTRIATANWLKSGGSKPNKPFDPQLVKEIYDTELTVKSEGKSQRKTVPLQRVMILEVSQYLENYLWPNFDAETASYEHVMSMILMVNEKFRENVAAWSCFYDQKDVFTGFLGRVLRLKEGRDLTIAEKTNYLVFMINAFQSLEDEIVRETVLRLASLRSWHSLSYGRFQMELCLNPDLIKKWKRMIKKEADDAKKQGVHVDPLSSLEVNFLRNLIEEFLEVLDHKVFSRKHSVNEDDELDASSFEQVDDASVLYCERFMEFLIDLLSQLPTRRYLRPLVADVAVVAKCHLSALYRRDKGKLFAQLVDLLQFYENFEINDHVGTQLTDDEVLQSHYDRLQSLQLLAFKKIPKLQELALANIGATHKRADLSKKLSVLSPEELKDLVCCKLKLVSKNDPWSDRVDFLIEVMVSFFEKQQSQKEAINALPLYPNEQIMWDESVVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLAYINNEGETAFRGWSRMAVPIKEFKITEVKQPNIGEVKPASVTAEVTYSISSYRSQIRSEWDALKEHDVLFLLSISPSFKPLSAEEDAKASVPEKLGLQYVRGCEIIEIRDEEGTLMNDFSGRTKREEWKPPKGELRTVTIALDTAQYHMDVTDIAEKGAEDVYGTFNVLMRRKPKENNFKAILESIRDLMNEYCIVPDWLHKIFLGYGHPSAAQWTNMPDLLETVDFKDTFLSADHLKESFPHYQVYFVDSDGRENLDPRPPFRIKLPQLLKSDTHALSGNGISDTGSVNDANTVHACIEKEKLIVEAYIPPDPGPYPQDQPKQNSVRFTPTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMERDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFLAACAGNEDKPAFVQDRFPFKEFFSNTPQAVFTGQSFEKDMRAAKGCFRHLKTMFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSIAQLYNWRYRDLGDLPYVKEEPIFHRANAGFSYDYQLVDVPDYHGRGETAPSPWFYQNEGEAEYVVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVINRRCLPYDFIGPPSKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARLGLYVFCRRSLFEQCYELQPTFHLLLQRPDHLALNLNESTSFTERHVEDIGHPYLVGGVEEMANVVYGKINQLQQARAMYQYMAYSGQYMGTSEEQNSEHNSISPNQAMDIDTSVAENGRIDDNVHENNGEEAKDVDGLANGPDGVLPPENLSNAEKDAEVCANDENRVP >EOY09193 pep chromosome:Theobroma_cacao_20110822:5:27045859:27046590:1 gene:TCM_024593 transcript:EOY09193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 22 MRPFIQRQQPIWLEMIMVRNHQFSLEGWTRGKNFLTSDILEFIYDEQLFNVVVVDKNGHDTCTVNDGAMEFDSGDDKIPLAFGANYFICSTQPDLCAAIIKMAINATTPPPSSK >EOY11314 pep chromosome:Theobroma_cacao_20110822:5:38914599:38917942:-1 gene:TCM_026539 transcript:EOY11314 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAT/LH2 domain-containing lipoxygenase family protein, putative MSNSQPDSNVFSVRIVSIDHYMALPISGYDTCYSSFQGDKFGVVSKVYTPMEHGVEGSIWQFAKAFVAINDCAHHQLISHWLNTHAVLEPFVIATNKQLSVVHLICKLLHPHFRDTMTINALARELLVNAGGVIKITFCSGKYSMEMSSEIYKSWNFFDQALPSDLKKRGIAVDDTNSLHGLRLLIKDYPYAVDGLKIWFAIEKWVRDYCSFYYKTDGMVQQDPELIESCTIIIWIASAPHAAVNFGQFAYAGYSLNRPTLSRRLMPEKGTPEYAELEKNPDKVFFRTITSQLQTFIGMSVVEVLSKHASRRINKQSFVWKSIIKPMTEADVCSFVLRGGKNWGDDQCWDLVRVKVAWWAKAKWLVDFQQLEQTIRCLEVNRLHTRIRGGRQTVEWEPLNRGFLKFNVDGAAKGNPCQAAIRGVLREEEGVVKILFSIPIGISKANTAEVMAIKEAFKLFGVSKWVGSHCLIVESDS >EOY07548 pep chromosome:Theobroma_cacao_20110822:5:1941038:1944776:-1 gene:TCM_021956 transcript:EOY07548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor DP isoform 1 MDDPYLEDSPSCEQSPVATPSNASTSGSARGLNQSAVKQRNASADVVDGTTRKKVSRMPGGGLRQFSVMVCKKLESKGSTTYAEVADEIIEEFATAQTNTARSLDEFHEKNVRRRVYDALNVLMALDIITREKKEISWKGLSTTTQAKNLEELKALHVQLMTGIAKKAAYLKDLEEQIAGLQNIIERNQQLLKKSSAPKEGFTLPFILVQTSPHATVEIEISEDMQLVHLDFNSTPFSLHDDAYVLKLMRYYQRPESRNTSQSSSIHSSSSSCKATVKPFYWNSETETPR >EOY07549 pep chromosome:Theobroma_cacao_20110822:5:1941121:1944745:-1 gene:TCM_021956 transcript:EOY07549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor DP isoform 1 MDDPYLEDSPSCEQSPVATPSNASTSGSARGLNQSAVKQRNASADVVDGTTRKKVSRMPGGGLRQFSVMVCKKLESKGSTTYAEVADEIIEEFATAQTNTARSLDEFHEKNVRRRVYDALNVLMALDIITREKKEISWKGLSTTTQAKNLEELKALHVQLMTGIAKKAAYLKDLEEQIAGLQNIIERNQQLLKKSSAPKEGFTLPFILVQTSPHATVEIEISEDMQLVHLDFNSTPFSLHDDAYVLKLMRYYQRPESRNTSQSSSIHSSSSSCKATVKPFYWNSETETPR >EOY08456 pep chromosome:Theobroma_cacao_20110822:5:8805990:8811572:-1 gene:TCM_022918 transcript:EOY08456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit exo70 family protein A2 isoform 1 MGVPQAMEVLRERAALVRDSLQKSQTITESMVSILGSFDHRLSALETAMRPTQIRTHSIRRAHENIDKTLKAAEIILEQFDLTRKAEAKILRGPHEDLESYLEAIDQLRSIVQFFSNNKSYKSSDGILNHANNLLAKAISKLEDEFRTLLTNYSKPVEPDRLFDGLPNSLRPSATSPGKQGELGSKNHSENQKNLENAVYTPPTLIPPRVLPLLHDLAQQMVQAGHQQQLFRIYRDTRASVLEQSLRKLGVERLSKDDIQKMQWEVLEAKIGNWIHYMRIAVKLLFAGEKKICEQILDGIDSLRDQCFAEVTANSVAVLLSFGEAIAKSKRSPEKLFVLLDMYEIMRELQSEIEYLFGSKSCIEMRESAQSLTKRLAQTAQETFGDFEEAVEKDATKTAVLDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFDDGDADAQLTNVTTRIMQALQTNLDGKSKQYKDPALTQLFLMNNIHYVVRSVRRSEAKDLLGDDWVQIHRRIVQQHANQYKRISWAKILQCLTIQGAATSGGGGSILGGDTGSGVSRGMVKDRFKTFNVQFEELHIRQSQWTVPDSELRESLRLAVAEVLLPAYRSFIKRFGPMIENGKNPGKYIRYRPEDLERMLSEFFEGKTWNEQKR >EOY08457 pep chromosome:Theobroma_cacao_20110822:5:8807505:8811424:-1 gene:TCM_022918 transcript:EOY08457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit exo70 family protein A2 isoform 1 MGVPQAMEVLRERAALVRDSLQKSQTITESMVSILGSFDHRLSALETAMRPTQIRTHSIRRAHENIDKTLKAAEIILEQFDLTRKAEAKILRGPHEDLESYLEAIDQLRSIVQFFSNNKSYKSSDGILNHANNLLAKAISKLEDEFRTLLTNYSKPVEPDRLFDGLPNSLRPSATSPGKQGELGSKNHSENQKNLENAVYTPPTLIPPRVLPLLHDLAQQMVQAGHQQQLFRIYRDTRASVLEQSLRKLGVERLSKDDIQKMQWEVLEAKIGNWIHYMRIAVKLLFAGEKKICEQILDGIDSLRDQCFAEVTANSVAVLLSFGEAIAKSKRSPEKLFVLLDMYEIMRELQSEIEYLFGSKSCIEMRESAQSLTKRLAQTAQETFGDFEEAVEKDATKTAVLDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFDDGDADAQLTNVTTRIMQALQTNLDGKSKQYKDPALTQLFLMNNIHYVVRSVRRCVLYLACFVGDDSH >EOY08458 pep chromosome:Theobroma_cacao_20110822:5:8806403:8811529:-1 gene:TCM_022918 transcript:EOY08458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit exo70 family protein A2 isoform 1 MGVPQAMEVLRERAALVRDSLQKSQTITESMVSILGSFDHRLSALETAMRPTQIRTHSIRRAHENIDKTLKAAEIILEQFDLTRKAEAKILRGPHEDLESYLEAIDQLRSIVQFFSNNKSYKSSDGILNHANNLLAKAISKLEDEFRTLLTNYSKPVEPDRLFDGLPNSLRPSATSPGKQGELGSKNHSENQKNLENAVYTPPTLIPPRVLPLLHDLAQQMVQAGHQQQLFRIYRDTRASVLEQSLRKLGVERLSKDDIQKMQWEVLEAKIGNWIHYMRIAVKLLFAGEKKICEQILDGIDSLRDQCFAEVTANSVAVLLSFGEAIAKSKRSPEKLFVLLDMYEIMRELQSEIEYLFGSKSCIEMRESAQSLTKRLAQTAQETFGDFEEAVEKDATKTAVLDGTVHPLTSYVINYVKFLFEDPSVSHHSGGCYIRWWW >EOY09060 pep chromosome:Theobroma_cacao_20110822:5:25235156:25238320:1 gene:TCM_024410 transcript:EOY09060 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein isoform 2 MGSSSNDDFSVVVLASDLGIDARPFLANQDREIEDQDNWHDCSQDFSDEDFPDLDVLHFFRLQGSDKSGNRIFRIVGKYFPAPVISGERLKKYIFHKICSELPEGPFCIVYMHSTVQKEDNSPGVTILRWIYEELPSEIKDRLQVVYFIHPGLCSRLVFATLGRLFLSGGLYWKIKYVSRLQYLWDDIKKGEVEIPEFVQNHDNVLEHRPLTDYGIEPDPLHLTEVPSAAYSLGRYEERLASREFMS >EOY09061 pep chromosome:Theobroma_cacao_20110822:5:25235342:25237788:1 gene:TCM_024410 transcript:EOY09061 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein isoform 2 MGSSSNDDFSVVVLASDLGIDARPFLANQDREIEDQDNWHDCSQDFSDEDFPDLDVLHFFRLQGSDKSAPVISGERLKKYIFHKICSELPEGPFCIVYMHSTVQKEDNSPGVTILRWIYEELPSEIKDRLQVVYFIHPGLCSRLVFATLGRLFLSGGLYWKIKYVSRLQYLWDDIKKGEVEIPEFVQNHDNVLEHRPLTDYGIEPDPLHLTEVPSAAYSLGRYEERLASREFMS >EOY10064 pep chromosome:Theobroma_cacao_20110822:5:32847940:32856923:-1 gene:TCM_025454 transcript:EOY10064 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 73C5 MATEVEPLHFVLFPFMAQGHMIPMVDTARLLAQQGIIITIVTTPHNAARFKTILDRAKESGLSIRLVELQFPCVESGLPEGCENFDMLPSLSLALNFLEAANKLQEPVQKLFEELRPRPSCIISDTLLHYTLDIASQFQIPRVVFYGVCCFCLLCIYNLHLSKILEHITTDSHYLAVPNMPDKVEFTKSQLPVILDNKYLKEFCEQAGETDLASYGVVINTFEELEPEYVRKYRKARGCKVWCIGPVSLCNKDRLDKAQRGNQASVDENQCQRWLDSQEPGSVIYACLGSLSNVIPSQSIELGLGLEASNRPFIWVMRGSDTSKEVEKWILEDGFEKRTKGRGLVIRGWAAQVVILSHRAIGGFLTHCGWNSTIEAIVAGVPLITWPLFGDQFCNEKLVVQILQIGVRIGVEEPLRWGDEAKVGVLVYKEDVKKAVEKLMEIGEEGEERRKRAKKLGEMANKALELGLGLEASNRPFIWVIRGSDTSKEVEKWISEDGFEERTKGRGLVIRGWAPQVLILSHPAIGGFLTHCGWNSTIEGISAGVPLITWPLFADQFVNEKLAAQILKIGVKVGVEEPVRWAEEEKVGVLVKKNDVKKAIERLMDGGEEGEERRKRAKKLGEMAKKAVETGGSSHLNITQLIQDIMQRAYDRKQPST >EOY08024 pep chromosome:Theobroma_cacao_20110822:5:4050912:4058159:-1 gene:TCM_022348 transcript:EOY08024 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and Leucine Rich Repeat domains containing protein, putative isoform 1 MTDYISSTAVVPVSLPLKKCNHGTNLLVKIHCLTPRLKLMDEEAKQTNSHGEENNTDPSHMSFNSDGPESVESPPSQDLVSAPHQGELESREASFSTSDSHHSYDSAESSIGRESFSTVSNLSGDAQNLIQRRDSPTSQNSLPLGNCHLDDPSQSNDPSFDSQIMGSESTFLDNQQELSASSLRVTDSSKNLLEAAEKTIEELHAEAKMWERKAEKLMLDLDILRKEHFDQSKNQANLTMELSAANTERDGLRKEVEQMKLLLEKSMAKQTTLEDSSVRDEGVTHIQKELENEIGFQKESNDNLSLQLKRSQDANIELVSVFQELEGTIEKQRVDMENISSLQSEISKLENTIQLNTKENRNLVIQLQQSKESEKNLQAKVQLLEKALKDKEDDMESGVAQNNDALLNIEEEYKSTLAAKEREIVSLKVKLSESLKERHSLKLESRKGGDAHLIREIEALKAKLEELESDCNELTDENLELLLKLKETKNNFKGGVASTDFSPDELSASAGSEVSEHRSQMLYLEEKLKKKILREIQSDYNSYIQELESQKMELDAEVTEVGKELTQKWTETQTLEATMRSKEEENVELRRNQCKLEAEVSNLLKEKAQLEDKLDVLQGESDIATKCLDDLRNDMMVLRSSMDSDDSAYKILESKSSELERVKHELEMHLLELEDENKQLSLRLSLLEAQLEDLKDERDSSRMQLEDSKSLATSLKDEIARSRNEMEPQKTDMEEKLQDKHVQWLASQDKCEYLRRANTKLQATAETLIEECNAHQKSAGELRKEKLKLDEHCAHLEAKLKDSDKNLIDCSKKIEVLEKNLTLMMEKFAHKGESLTLELDSIHDKNKKLEAELRREESSWNQLYLEKTNEVENIRQEVENLAMQLSATHHEKEKTANDALHQISGLRVDKVRLESALEEAESKTKCTENELKKLQTEDKTKMEDLLDELAASRENQEILMTEHEKALKLLESYKSSEGKLKTFVNDLELKLTVSEYDRQLVSEQSSNMKVQLLKIENLQENILALRDERNAIKSDKEKLEASLRIVSGECSDLKAEKNSIVEQISTLQKVVSELEDYKHKNVALEEKLVKMEGDLTVKEALLTQDAELKNELHQIKRTNRQFQQQIEQLQEENDGLLIKAQTLEEKLKLKAEEKQKQRQSNSHRNQHKREDNNYDFHDGSPHAVGVDPVSKIQLLENELAKAMEANNKYKVRLNRLSEGRKNQSNTPKKSAIEGEVVAKEKYERTKSSLEAELRDIRERYLHMSLKYAEVEAQREELVMKLRGVKSMRRWFSDPSN >EOY08023 pep chromosome:Theobroma_cacao_20110822:5:4049999:4063481:-1 gene:TCM_022348 transcript:EOY08023 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and Leucine Rich Repeat domains containing protein, putative isoform 1 MLHNNDQQANNLPLGGNTIEIVVVLLLRYLQIFLINSEGKDLEKAGKTMFRLHKTRPTKSGEKIDFRFSNFKAVQVPKGWDRLFMSIISVENGKTIAKTSKAVVRNGTCQWTEALPESIWVSRNEASNVMEDCLFKLVVAMGSARSGILGEATVNMTDYISSTAVVPVSLPLKKCNHGTNLLVKIHCLTPRLKLMDEEAKQTNSHGEENNTDPSHMSFNSDGPESVESPPSQDLVSAPHQGELESREASFSTSDSHHSYDSAESSIGRESFSTVSNLSGDAQNLIQRRDSPTSQNSLPLGNCHLDDPSQSNDPSFDSQIMGSESTFLDNQQELSASSLRVTDSSKNLLEAAEKTIEELHAEAKMWERKAEKLMLDLDILRKEHFDQSKNQANLTMELSAANTERDGLRKEVEQMKLLLEKSMAKQTTLEDSSVRDEGVTHIQKELENEIGFQKESNDNLSLQLKRSQDANIELVSVFQELEGTIEKQRVDMENISSLQSEISKLENTIQLNTKENRNLVIQLQQSKESEKNLQAKVQLLEKALKDKEDDMESGVAQNNDALLNIEEEYKSTLAAKEREIVSLKVKLSESLKERHSLKLESRKGGDAHLIREIEALKAKLEELESDCNELTDENLELLLKLKETKNNFKGGVASTDFSPDELSASAGSEVSEHRSQMLYLEEKLKKKILREIQSDYNSYIQELESQKMELDAEVTEVGKELTQKWTETQTLEATMRSKEEENVELRRNQCKLEAEVSNLLKEKAQLEDKLDVLQGESDIATKCLDDLRNDMMVLRSSMDSDDSAYKILESKSSELERVKHELEMHLLELEDENKQLSLRLSLLEAQLEDLKDERDSSRMQLEDSKSLATSLKDEIARSRNEMEPQKTDMEEKLQDKHVQWLASQDKCEYLRRANTKLQATAETLIEECNAHQKSAGELRKEKLKLDEHCAHLEAKLKDSDKNLIDCSKKIEVLEKNLTLMMEKFAHKGESLTLELDSIHDKNKKLEAELRREESSWNQLYLEKTNEVENIRQEVENLAMQLSATHHEKEKTANDALHQISGLRVDKVRLESALEEAESKTKCTENELKKLQTEDKTKMEDLLDELAASRENQEILMTEHEKALKLLESYKSSEGKLKTFVNDLELKLTVSEYDRQLVSEQSSNMKVQLLKIENLQENILALRDERNAIKSDKEKLEASLRIVSGECSDLKAEKNSIVEQISTLQKVVSELEDYKHKNVALEEKLVKMEGDLTVKEALLTQDAELKNELHQIKRTNRQFQQQIEQLQEENDGLLIKAQTLEEKLKLKAEEKQKQRQSNSHRNQHKREDNNYDFHDGSPHAVGVDPVSKIQLLENELAKAMEANNKYKVRLNRLSEGRKNQSNTPKKSAIEGEVVAKEKYERTKSSLEAELRDIRERYLHMSLKYAEVEAQREELVMKLRGVKSMRRWFSDPSN >EOY08128 pep chromosome:Theobroma_cacao_20110822:5:4763296:4764615:1 gene:TCM_022455 transcript:EOY08128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase superfamily protein isoform 1 MKLIKIIICLVAFLFRSEITEPYPFTLEEELAKNAAYIGTPGKGILAADESTGTIGKRLSSINVENVEDNRRALRELLFTTPGALQYLSGVILFEETLYQKASSGKPFVEILKEGGVLPGIKVDKGTVELNGTNGETFTQGLDGLAQRCQKYYEAGARFAKWRAVLKIAVNEPTELAIQENANGLAMYAAICQQCGLVPIVEPEILVDGPHDIKKCAEVTERVLAACYKALNDHHVMLEGTLLKPNMVTPGSESPKVAPEVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLKTKKPWSLSFSFGRALQQSTLKAWAGKEENVKKAQAALLVRCKANSEATLGTYKGDAQLGEGAAESLHVKDYKY >EOY08129 pep chromosome:Theobroma_cacao_20110822:5:4762496:4764828:1 gene:TCM_022455 transcript:EOY08129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase superfamily protein isoform 1 MSCFISKYAEELAKNAAYIGTPGKGILAADESTGTIGKRLSSINVENVEDNRRALRELLFTTPGALQYLSGVILFEETLYQKASSGKPFVEILKEGGVLPGIKVDKGTVELNGTNGETFTQGLDGLAQRCQKYYEAGARFAKWRAVLKIAVNEPTELAIQENANGLAMYAAICQQCGLVPIVEPEILVDGPHDIKKCAEVTERVLAACYKALNDHHVMLEGTLLKPNMVTPGSESPKVAPEVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLKTKKPWSLSFSFGRALQQSTLKAWAGKEENVKKAQAALLVRCKANSEATLGTYKGDAQLGEGAAESLHVKDYKY >EOY08651 pep chromosome:Theobroma_cacao_20110822:5:15165023:15166069:1 gene:TCM_023529 transcript:EOY08651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADFGAENSRFLLLKLCEFAAGNFGGFAAIKGQQSAANLCRKIGAICCRFVQKNWTALCCQFEGEKAAWCCQIFEEKRVESAATEGGRKRPKEERKPRW >EOY08235 pep chromosome:Theobroma_cacao_20110822:5:5846074:5848695:-1 gene:TCM_022581 transcript:EOY08235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MNFQKPQAFVNLMLKDSKSLARIVQNLAQTKQLHKGKQLHCQLISSGYRLCTFLTNHLLNMYSKCGQLDYSIKLFEKMSQRNLVSWTAMVTGFSQNLYYLEAITTFSQMRIAGENPTQFAFSSVIKACASVGLVQFGKQMHCLALKFGFGFDIFVGSNLVDMYSKCGVMVNAYKVFQEMECKDEILWTALIDGYAKNALFGDALLAYKSMVNEGIAIDKFVLCSTLSACAALKVLNFGKCLHSLIVKKGLDLEISVGNALTDMYSKVEDMDSALNVFGIDSECRNVVSCSSLIDGYVEMDRVEDALSVFVELQRRGIESNEFTFSSLIKACASQASLEQGAQLHAQVIKFNFDGNPFVSSGLVDMYGKCGLLDDSIQVFDEIENANEIAWNSMLGVFAQHGLGKDAIEIFNRMKNEGVEPNAITFVSLLRGCSHSGLVEEGLSFFYAMEKSYGAVPGEEHYSCVIDLLARAGKLKEAEDFMSKMPFEPNAFGWCSLLGACKIHGDKKRGKVAAEKLMQLEPANSGAPVLLSNIYAKEQQWEEVRTLRKMMQDGNVKKLPGYSWVNVGNETHIFGVEDWSHHQMKAIYEKLDVLSDQIKKAGYVPCTDSIPLDTDVSVKEKILKHHSERIAIAFALISMPSGKPIIVKKNLRVCVDCHSAIKYISKVTGRKIIVRDNSRFHHFSEGLCSCGDFW >EOY10439 pep chromosome:Theobroma_cacao_20110822:5:34766388:34769167:-1 gene:TCM_025800 transcript:EOY10439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative isoform 2 MSIANSVGKGTRKCGTILLDAITFFVFLFLDLLDAILCVVYKFLDEFFEGKASPCYCVKKGEQSADCADGERDLSETLYGRKNVFREMGFLGFARKREYRKKRDGFGGGGRLVNRWSDCGCESCVSWMKNGCQKLHVVVKELPQETDDSRGESAENVIFLHGFLSSSSLWTATVFKNLFEPVKGQYRLFAVDLLGFGRSPKPSDSMYTLNDHVEMIEKSVISPYRLNSFRLVAHSMGCIVAVALAAKYSKFVKSVTLVAPVYFPSAKDGSTLMALETLAGKRLWPPLAFGQSVMSWYEHVGRFFCFLCCRNHRMWERLLKLLTQRRELDFMTVDLTRHTHHSAWHSMHNVICGGVKFMDDYLEILNRSTVKVCIIHGDQDPIVPLDCSVNIKMKFPYVELNIIQNADHGTVILGRKKDFTENLERIWASSQM >EOY10438 pep chromosome:Theobroma_cacao_20110822:5:34766439:34769167:-1 gene:TCM_025800 transcript:EOY10438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative isoform 2 MSIANSVGKGTRKCGTILLDAITFFVFLFLDLLDAILCVVYKFLDEFFEGKASPCYCVKKGEQSADCADGERDLSETLYGRKNVFREMGFLGFARKREYRKKRDGFGGGGRLVNRWSDCGCESCVSWMKNGCQKLHVVVKELPQVETDDSRGESAENVIFLHGFLSSSSLWTATVFKNLFEPVKGQYRLFAVDLLGFGRSPKPSDSMYTLNDHVEMIEKSVISPYRLNSFRLVAHSMGCIVAVALAAKYSKFVKSVTLVAPVYFPSAKDGSTLMALETLAGKRLWPPLAFGQSVMSWYEHVGRFFCFLCCRNHRMWERLLKLLTQRRELDFMTVDLTRHTHHSAWHSMHNVICGGVKFMDDYLEILNRSTVKVCIIHGDQDPIVPLDCSVNIKMKFPYVELNIIQNADHGTVILGRKKDFTENLERIWASSQM >EOY09432 pep chromosome:Theobroma_cacao_20110822:5:29093755:29096682:1 gene:TCM_024850 transcript:EOY09432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 93A1 MRNPIASAFRNTSHYISSVTAYESEGEGSTAELKQILLPLMAAFTSLFTIKLAGTINYIIVFLIWLITIIFLRAILSKKRGKAHLPPSPRALPIIGHMHLIGPIPHQALTKLSNCYGPLIYFYIGSKPCVLVSSQELAKEVFKNHETTFLNRPKMANLDYLTYGTADMAMAPYGPLWKFMKKLCMSELLGTRTLDQLLPVRREEMKRFVKTIQEKAEAGEAVDIGVELMRLTNNIISRMLLSKRCSDKEDEANEVQTLVKEMNNLGTKFNLSDLLWFCKNLDLQGFRKRLKDVRDRYDILMEKIILEHKEARNKNGAGGDRIKDVLDILIDISEDENAEMKLTRENIKAFVMNFFGAGTDTSSITIGWGVSELINHPSVMEKARKEIDTVVGRNRILEESDVEYLPYLQAIVKETLRLHPGGPLVVRESTEDCIIGSYEIPEGTRLFVNVWALGRDPKQWESPLEFVPERFLSEEWRQGKNQFLDVRGQHFSLLPFGSGRRSCPGASLALQVVPTVLGIIIQCFDWKVDGENDTINMEEKAGMTLLRAHPLVCYPVARLSPFPSI >EOY11525 pep chromosome:Theobroma_cacao_20110822:5:39518139:39518939:-1 gene:TCM_026670 transcript:EOY11525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSTTSLPCSTFSKQPHPHPIQHQKPIIILAPQKQEKRQYTQHGRSITGENNTEILFQQVFSGETNKLRRGKRDKLNLYKR >EOY08953 pep chromosome:Theobroma_cacao_20110822:5:23885058:23888092:-1 gene:TCM_024252 transcript:EOY08953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVVKCPHRVALNAICIANLETTQPYPPIKEVDEELTRIGSIHFLNTFQVQLDKMKKELQQGLIYVDIVLNGKRPKLCSTWGLQAPSSPWERPKGVVSWLR >EOY07005 pep chromosome:Theobroma_cacao_20110822:5:150561:152731:-1 gene:TCM_021546 transcript:EOY07005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MAPKLVVSCAILFYLLFFCGTSSYAAYSINLIKYGAKPDGKTDSTQPFLKAWAAACKSATAATIYVPKGRYLVKAVVFRGPCRNRITVQIDGTIVAPTDYRALGNSGYWILFIKVNRVSVIGGTLDAKGAGFWTCRRSGKNCPVGARSITFNWANNILVSGLTSINSQLTHFVINTCNDVKVQNVKLYAPDQSPNTDGIHVQSSTGLTITGSTLQTGDDCISIGPGTRNLVMTSIKCGPGHGVSIGSLGRDLNEAGVQNVTLTNSVFSGSDNGVRIKTWARPSNGFVKNVVFENIIMNNVKNPIIIDQNYCPSNQGCPSQSSGVKISGVTYKNIRGTSATQEAVTFDCSRSSPCRGITLHDIKLTHMNKAATSSCKNVGGTSRGVVVPKSCL >EOY11343 pep chromosome:Theobroma_cacao_20110822:5:39027625:39031857:-1 gene:TCM_026558 transcript:EOY11343 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MNVSRLMEVAVLTAISSLLSNQLLIFKKYKLLIFKLSIFIIEDIDYSLDITKKHNNCEKDEKDEKKNRVTLLRFLNFVDGIWSKRKIIVFTTNHINKLDPTLIRKRRMNMHIELSYCTRGGFKVSAKNYLNLDPHPLFEKIGDLLKDVNMTPADISEHLIHGRVERDVNACLESLIQTLETAKEEEEEDAKKKQKQKLAIFKGISIDDEGGKFSV >EOY11030 pep chromosome:Theobroma_cacao_20110822:5:37589488:37590549:-1 gene:TCM_026302 transcript:EOY11030 gene_biotype:protein_coding transcript_biotype:protein_coding description:NC domain-containing-related-like protein MKTVRRDALQRSDHIYTDCSWGLYYHHGIYVGKAKVTNPKNGEQREIYDAVIHLFGPTKDSSNAQCQQCFHLPQNAGVSITCLDCFLGGHSLYVYKYDVSYWKLRLKRSGTCSVWSSKPADEVIQIAFTLLEKNSFGNYNFLFNNCEDFATYCKTGQATSNQAAGVLFGFGLPGVVGYNALKELYFRN >EOY10625 pep chromosome:Theobroma_cacao_20110822:5:35608817:35609210:1 gene:TCM_025940 transcript:EOY10625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLTSSFAILSFSNDSSTLFSFNPISTSFFLTSSSCFRTNFTTKLNSLYSSSRCSSNSLRRCSKPFSPSSNSSQRFWKLFSFNPNCSCFNSSSL >EOY11012 pep chromosome:Theobroma_cacao_20110822:5:37429743:37433085:-1 gene:TCM_026271 transcript:EOY11012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MDPELYEAAASGNLNFLKRMDPNLHVFQVTKQQQNTVLHIAVKFKQVESCQQILNSSSSLLLKCNSKGESPLHVAAKIGCLEIAELLVDCAKQLQRDVESSGVSALRKQLRMVNLEEDTALHVAVRNGHFAVAKCLMEADQGLLGLVNAANASPLCLAIGGGFARIASLILGTFPKSLNGDINMKTALRSAVFHSQHDIVKILLENVPNSRNETDQIGWSPLHYAALFGDLKSTQLLLQGNSSTAYIVDQDGTSALHVAAFRGHTNVVELIVQCCPDVHEVTDKKGRTVLHVAVISGQEKMVRRILEMPRLQGIINEKDNEGNTALHLAVIYKRDNIITILARNRGMERAAVNNNLLTAYDIFSLQPRKLSLLTAKTHYWLRGTHGLPALQKWVNTNLKREMIGETEEKDTNILFARGKHDDTSISNTTNEASDETVKRSRLEIHLLIAMLIATVTFQAAFMVPGGYNEDGPDKGTAQSIQKAPFKAFLIFNTIAFIFSIATVYIQFATSKFSYYLRSRYASLAEVMIFIAVLGMLLAFASGMYVELANSNGLRLMGYIVVGCFLLVYYACWFLDPISMQIPGLQQPRKYLRDLLFRYGII >EOY09928 pep chromosome:Theobroma_cacao_20110822:5:31716106:31748209:-1 gene:TCM_025292 transcript:EOY09928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance-like protein MTLQDLPQLISFCSEDRMSSTSQQEQGNTSTKPLFTKQIVFPQLTSLRLSSIKTQRIWHSQLSETYCFCLPNLKTIIIEGCHYLQHLLFPSVARNLVHLEQFEIVNCKCLREIIFTGEIKEEQEDVICFPQLNSLVIKDLQNLLNFCSGNYNIEFPLLKVLTIKQCPKLKEFISETKMEGKYESSIQALFNEKAAVPSLERMTLCHLRNVKKMFHDQLLAGSFGKLEEMIVECCDELLALFPSNVFGVLQSLKTLIVGRCDSLEQMFEVGVAVLNNKETHAVDSQLMKLYIYNLPKLKHVWNKDPQGSLTFQNLRKVGVRSCESLKNLFPASIAKDLPQLEYLAISRCGVEEIVSAGDGLEQPVRFEFPR >EOY09663 pep chromosome:Theobroma_cacao_20110822:5:30411239:30412021:-1 gene:TCM_025058 transcript:EOY09663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDSAAEIWNTLKQNFAQPDDTRVCNLQYTLGNVSQGARTVDVYFIELKGIWEELRNYRPLPHCECGSYNPGCFKKYTDQFQKDMVFRFLNGLNKSFSAIRSQILLMDPIPGLDKVYSLILREESQRNILVQPQPLLESFAMFTAADNKKKARKDIICNHCGKKGHTKDKCYKIISFLDDFKFTKGGRSNPRKGKNLVNNVFAVSDASTDSESQVETKEEQASAGFVCQLSMIKQQVNKLMQFLSENGISSNEGKGISSNT >EOY09662 pep chromosome:Theobroma_cacao_20110822:5:30410533:30412503:-1 gene:TCM_025058 transcript:EOY09662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDSAAEIWNTLKQNFAQPDDTRVCNLQYTLGNVSQGARTVDVYFIELKGIWEELRNYRPLPHCECGSYNPGCFKKYTDQFQKDMVFRFLNGLNKSFSAIRSQILLMDPIPGLDKVYSLILREESQRNILVQPQPLLESFAMFTAADNKKKARKDIICNHCGKKGHTKDKCYKIISFLDDFKFTKGGRSNPRKGKNLVNNVFAVSDASTDSESQVETKEEQASAGFVCQLSMIKQQVNKLMQFLSENGISSNEGHPFMDCDWGH >EOY08557 pep chromosome:Theobroma_cacao_20110822:5:10626745:10662665:-1 gene:TCM_023118 transcript:EOY08557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRQIILRTPTSVRRRQPLLQSRSSSSSCSSSVDDGGSSTRRTSQKSAKFGEFCGETTAECAAICCCCPCGIANLLVLAIYKVPAGLCRRALRQKRRRKLLKKGLLQPRTHRGHCGCDDSELQVHPVVCLEDFFPDVEVSEEAEKAVVELEKEMWQRFYGTGFWRSPSQREGESPRIKQL >EOY09780 pep chromosome:Theobroma_cacao_20110822:5:31048892:31049980:-1 gene:TCM_025164 transcript:EOY09780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGKQRFFFISSRQKSNGQLQLPGSPSQAHPLMESLVRMSVGTEECKLRVKCGFKFTLARYFTFAILASLCFPTFAKPNRANLIIPLALI >EOY11576 pep chromosome:Theobroma_cacao_20110822:5:39734674:39736436:1 gene:TCM_026716 transcript:EOY11576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNYFEEKVKAGEWEEAEKYLSGFTKLDDNLPPTAANASALAGWMANASASSSVQAGVVTASSIPVPQNQVSVLNRPRTCPAAPGMVDYQDPDHEELMKRLRPAPSV >EOY09076 pep chromosome:Theobroma_cacao_20110822:5:25789520:25795505:-1 gene:TCM_024457 transcript:EOY09076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin-like phospholipase family protein isoform 1 MEISNEARVDSFLIGPSTIIGRTIAFRILFCKSLSHMRHQIFHVLLHFIYRCKDFLSPLVSWLHPRNPQGILAMVTIIAFLLKRYTNVKLRAEMAYRRKFWRNMMRTALTYEEWAHAAKMLDKETPKMNESDLYDEELVRNKLQELRHRRQDGSLRDIIFCMRADLIRNLGNMCNPELHKGRLHVPKLIKEYIDEVSTQLRMVCDSDSEELSLEEKLSFMHETRHAFGRTALLLSGGASLGAFHIGVVKTLVEHKLLPRIIAGSSVGSIMCSVVATRSWPELQSFFEDSWSSFQFFDQLGGIFSVVRRVMRQGAVHEIRQLQWMLRQLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPVLFEAQELMAKDRSGEIVPYHPPFNLDPEEGSGISARRWRDGSLEVDLPMMQLKELFNVNHFIVSQANPHIAPLLRLKEFVRAFGGDFAAKLAQLTELEVKHRCHQILELGFPLGGLAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSHLELQKAANQGRRCTWEKLSAIKANCGIELALDECVAILNHMRRLKRSADRAAASSHGLASTVRFNASKRIPSWNCIARENSTGSLEEDLTDVNSSLHQGVGGCTGIPPSGRNLRAHRSTHDGSDSESESVDVNSWTRSGGPLMRTTSANLFIDFVQNLDVDAEVNKGLMAHPSSPGFQMGGRDLLSHSSRVTTPDRGSEYEFDQRDLGNRTPVNGSSIMVTEGDLLQPERILNGFVLNVVKKEDLTLPHRILGSENYSAGVAECVQLDCPEKEMDASSASEYGDDATSEVNCLNETVPIVNATDDFSVHDDDRGVVDG >EOY09077 pep chromosome:Theobroma_cacao_20110822:5:25789114:25795505:-1 gene:TCM_024457 transcript:EOY09077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin-like phospholipase family protein isoform 1 MEISNEARVDSFLIGPSTIIGRTIAFRILFCKSLSHMRHQIFHVLLHFIYRCKDFLSPLVSWLHPRNPQGILAMVTIIAFLLKRYTNVKLRAEMAYRRKFWRNMMRTALTYEEWAHAAKMLDKETPKMNESDLYDEELVRNKLQELRHRRQDGSLRDIIFCMRADLIRNLGNMCNPELHKGRLHVPKLIKEYIDEVSTQLRMVCDSDSEELSLEEKLSFMHETRHAFGRTALLLSGGASLGAFHIGVVKTLVEHKLLPRIIAGSSVGSIMCSVVATRSWPELQSFFEDSWSSFQFFDQLGGIFSVVRRVMRQGAVHEIRQLQWMLRQLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPVLFEAQELMAKDRSGEIVPYHPPFNLDPEEGSGISARRWRDGSLEVDLPMMQLKELFNVNHFIVSQANPHIAPLLRLKEFVRAFGGDFAAKLAQLTELEVKHRCHQILELGFPLGGLAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSHLELQKAANQGRRCTWEKLSAIKANCGIELALDECVAILNHMRRLKRSADRAAASSHGLASTVRFNASKRIPSWNCIARENSTGSLEEDLTDVNSSLHQGVGGCTGIPPSGRNLRAHRSTHDGSDSESESVDVNSWTRSGGPLMRTTSANLFIDFVQNLDVDAEVNKGLMAHPSSPGFQMGGRDLLSHSSRVTTPDRGSEYEFDQRDLGNRTPVNGSSIMVTEGDLLQPERILNGFVLNVVKKEDLTLPHRILGSENYSAGVAECVQLDCPEKEMDASSASEYGDDATSEVNCLNETVPIVNATDDFSVHDDDRGVVDG >EOY11007 pep chromosome:Theobroma_cacao_20110822:5:37411360:37415576:-1 gene:TCM_026266 transcript:EOY11007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mutator-like transposase isoform 1 MANHDLILGQSHNLALGQNQPLVLGHNHNLGLTQNHDLELGQGHERHLGLGQAHDHDLGLGHSHDHELDLGQSHDQEGNVDHTYGHSNELATDRKPDQSDHQLALSENNELAVSENQELDDNLELAVDDNQEMGIDPARDLQQSQILVCLPHVLQARNVNPNFEVAVGQEFPDVKSCRRALRDTAIALHFEMQTIKSDKTRFTARCASEGCPWRIHAAKLPGVPTFTIRTIHETHTCGGIAHLGHQQASVQWVANSVEQRLRENPNYKPKEILEEIHRVHGITLSYKQAWRGKERIMAAMRGSFEEGYRLLPQYCEQVKRTNPGSIASVYGNPTDNCFQRLFISFQASIYGFLNACRPLLGLDRTFLKSKYLGTLLLATGFDGDGALFPLAFGVVDEENDDNWMWFLSELHNLLEINTENMPRLTILSDRQKGIVDGVEANFPTAFHGFCMRHLSESFRKEFNNTMLVNLLWEAAHALTVIEFEAKILEIEEISQDAAYWIRRIPPRLWATAYFEGTRFGHLTANIVESLNSWILEASGLPIIQMMECIRRQLMTWFNERRETSMQWTSILVPSAERRVAEALERARTYQVLRANEAEFEVISHEGTNIVDIRNRCCLCRGWQLYGLPCAHAVAALLSCRQNVHRFTESCFTVATYRKTYSQTIHPIPDKSLWKELSEGDPNASKAVEIVIHPPKSLKPPGRPRKKRVRAEDRGRVKRVVHCSRCNQTGHFRTTCAAPI >EOY11008 pep chromosome:Theobroma_cacao_20110822:5:37411748:37413967:-1 gene:TCM_026266 transcript:EOY11008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mutator-like transposase isoform 1 MANHDLILGQSHNLALGQNQPLVLGHNHNLGLTQNHDLELGQGHERHLGLGQAHDHDLGLGHSHDHELDLGQSHDQEGNVDHTYGHSNELATDRKPDQSDHQLALSENNELAVSENQELDDNLELAVDDNQEMGIDPARDLQQSQILVCLPHVLQARNVNPNFEVAVGQEFPDVKSCRRALRDTAIALHFEMQTIKSDKTRFTARCASEGCPWRIHAAKLPGVPTFTIRTIHETHTCGGIAHLGHQQASVQWVANSVEQRLRENPNYKPKEILEEIHRVHGITLSYKQAWRGKERIMAAMRGSFEEGYRLLPQYCEQVKRTNPGSIASVYGNPTDNCFQRLFISFQASIYGFLNACRPLLGLDRTFLKSKYLGTLLLATGFDGDGALFPLAFGVVDEENDDNWMWFLSELHNLLEINTENMPRLTILSDRQKGIVDGVEANFPTAFHGFCMRHLSESFRKEFNNTMLVNLLWEAAHALTVIEFEAKILEIEEISQDAAYWIRRIPPRLWATAYFEGTRFGHLTANIVESLNSWILEASGLPIIQMMECIRRQLMTWFNERRETSMQWTSILVPSAERRVAEALERARTYQVLRANEAEFEVISHEGTNIVDIRNRCCLCRGWQLYGLPCAHAVAALLSCRQNVHRFTESCFTVATYRKTYSQTIHPIPDKSLWKELSEGDPNASKAVEIVIHPPKSLKPPGRPRKKRVRAEDRGRVKRVVHCSRCNQTGHFRTTCAAPI >EOY08897 pep chromosome:Theobroma_cacao_20110822:5:22847393:22884751:1 gene:TCM_024174 transcript:EOY08897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase 3 isoform 3 MGKMASEAFFVVVVVAAAAAVVLANALPVRSERGGVEKDEVNIWPMPAWVSHGRGHLYMSNDFVLSTEGSGYGDASGILKDAFHRMLAVIKLDHVVDANFSALHDQPLLQGLRIVISSPKDQLQYGIDESYKLMVPSPEKPAYAHLVAPTVYGALHGLQTFSQLCHFNFTSRVIKVHMSPWTIIDQPRFSYRGLLIDTSRHYLPLPVIRKVIDSMTYAKLNVLHWHIVDTESFPLEIPSYPKLWNGAYSTSEQYSVADAAEIVSFAQKRGINVLAEIDVPGHALSWGTGYPSLWPSKDCQQPLDVSNEFTFKVIDGILSDFSKIFKFKFVHLGGDEVDTSCWTTTPHISKWLKKNGMNESQAYQYFVLRAQNLALSHGYEIVNWEETFNNFGNKLSRKTVVHNWLGGGVAQQVVASGLRCIVSNQDKWYLDHLDTTWQEFYANEPLTNITNLKQQKLVIGGEVCMWGERIDGSDIEQTIWPRAAAAAERLWTPYDELAKDPRQVTGRLAHFRCLLNQRGVAAAPLAGQGRAAPEGPANRGCFW >EOY08901 pep chromosome:Theobroma_cacao_20110822:5:22869078:22884279:1 gene:TCM_024174 transcript:EOY08901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase 3 isoform 3 MVLYMAFRVIKVHMSPWTIIDQPRFSYRGLLIDTSRHYLPLPVIRKVIDSMTYAKLNVLHWHIVDTESFPLEIPSYPKLWNGAYSTSEQYSVADAAEIVSFAQKRGINVLAEIDVPGHALSWGTGYPSLWPSKDCQQPLDVSNEFTFKVIDGILSDFSKIFKFKFVHLGGDEVDTSCWTTTPHISKWLKKNGMNESQAYQYFVLRAQNLALSHGYEIVNWEETFNNFGNKLSRKTVVHNWLGGGVAQQVVASGLRCIVSNQDKWYLDHLDTTWQEFYANEPLTNITNLKQQKLVIGGEVCMWGERIDGSDIEQTIWPRAAAAAERLWTPYDELAKDPRQVTGRLAHFRCLLNQRGVAAAPLAGQGRAAPEANRGCFW >EOY08898 pep chromosome:Theobroma_cacao_20110822:5:22869078:22884279:1 gene:TCM_024174 transcript:EOY08898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase 3 isoform 3 MGKMASEAFFVVVVVAAAAAVVLANALPVRSERGGVEKDEVNIWPMPAWVSHGRGHLYMSNDFVLSTEGSGYGDASGILKDAFHRMLAVIKLDHVVDANFSALHDQPLLQGLRIVISSPKDQLQYGIDESYKLMVPSPEKPAYAHLVAPTVYGALHGLQTFSQLCHFNFTSRVIKVHMSPWTIIDQPRFSYRGLLIATSKMKDGIAVKLPIFMDTSRHYLPLPVIRKVIDSMTYAKLNVLHWHIVDTESFPLEIPSYPKLWNGAYSTSEQYSVADAAEIVSFAQKRGINVLAEIDVPGHALSWGTGYPSLWPSKDCQQPLDVSNEFTFKVIDGILSDFSKIFKFKFVHLGGDEVDTSCWTTTPHISKWLKKNGMNESQAYQYFVLRAQNLALSHGYEIVNWEETFNNFGNKLSRKTVVHNWLGGGVAQQVVASGLRCIVSNQDKWYLDHLDTTWQEFYANEPLTNITNLKQQKLVIGGEVCMWGERIDGSDIEQTIWPRAAAAAERLWTPYDELAKDPRQVTGRLAHFRCLLNQRGVAAAPLAGQGRAAPEANRGCFW >EOY08899 pep chromosome:Theobroma_cacao_20110822:5:22869078:22884279:1 gene:TCM_024174 transcript:EOY08899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase 3 isoform 3 MVLYMAFRVIKVHMSPWTIIDQPRFSYRGLLIATSKMKDGIAVKLPIFMDTSRHYLPLPVIRKVIDSMTYAKLNVLHWHIVDTESFPLEIPSYPKLWNGAYSTSEQYSVADAAEIVSFAQKRGINVLAEIDVPGHALSWGTGYPSLWPSKDCQQPLDVSNEFTFKVIDGILSDFSKIFKFKFVHLGGDEVDTSCWTTTPHISKWLKKNGMNESQAYQYFVLRAQNLALSHGYEIVNWEETFNNFGNKLSRKTVVHNWLGGGVAQQVVASGLRCIVSNQDKWYLDHLDTTWQEFYANEPLTNITNLKQQKLVIGGEVCMWGERIDGSDIEQTIWPRAAAAAERLWTPYDELAKDPRQVTGRLAHFRCLLNQRGVAAAPLAGQGRAAPEANRGCFW >EOY08900 pep chromosome:Theobroma_cacao_20110822:5:22869078:22884279:1 gene:TCM_024174 transcript:EOY08900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase 3 isoform 3 MGKMASEAFFVVVVVAAAAAVVLANALPVRSERGGVEKDEVNIWPMPAWVSHGRGHLYMSNDFVLSTEGSGYGDASGILKDAFHRMLAVIKLDHVVDANFSALHDQPLLQGLRIVISSPKDQLQYGIDESYKLMVPSPEKPAYAHLVAPTVYGALHGLQTFSQLCHFNFTSRVIKVHMSPWTIIDQPRFSYRGLLIDTSRHYLPLPVIRKVIDSMTYAKLNVLHWHIVDTESFPLEIPSYPKLWNGAYSTSEQYSVADAAEIVSFAQKRGINVLAEIDVPGHALSWGTGYPSLWPSKDCQQPLDVSNEFTFKVIDGILSDFSKIFKFKFVHLGGDEVDTSCWTTTPHISKWLKKNGMNESQAYQYFVLRAQNLALSHGYEIVNWEETFNNFGNKLSRKTVVHNWLGGGVAQQVVASGLRCIVSNQDKWYLDHLDTTWQEFYANEPLTNITNLKQQKLVIGGEVCMWGERIDGSDIEQTIWPRAAAAAERLWTPYDELAKDPRQVTGRLAHFRCLLNQRGVAAAPLAGQGRAAPEANRGCFW >EOY07463 pep chromosome:Theobroma_cacao_20110822:5:1660274:1664282:1 gene:TCM_021894 transcript:EOY07463 gene_biotype:protein_coding transcript_biotype:protein_coding description:D7-type cyclin, putative MSESDHIPSGIVVIISRNINFCTLVYDFFPKRKRKAMENLLCDEVWLSSPGTPDHSHDEREHCMLKGYADSFYTTKEDSEQAFVVCLEKEFSYMPEPGYLDHLQSKNLVFARFRAVQWLIKTCTRLNLSVGTVFNSANYLDRFLSVSQCHGWEHWMVELLSVACLSIASKFNETSLASLDELQMEDLDHSFQSSTIQQMELKLLQALKWRLGSTTVYSYIELITSNIICLKCNLQKELLNQVTKTLLRAELDFRLLRYPPSVVAISALWCTLEKLFPLSYNVYLTTIMRLINQDHEDDIIKCRRIMKAWLVDPLHTLKASDEQSYYYPSSPVTVLSMERIDHHINDCRVDLSFFRIPGSNANPESSAAKRKREEE >EOY11633 pep chromosome:Theobroma_cacao_20110822:5:39889818:39891842:-1 gene:TCM_026751 transcript:EOY11633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretion-associated RAS super family 2 MFFLDWFYGVLASLGLWQKDAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGQIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKRELDALLSDEALANVPFLILGNKIDIPYAASEDELRHHLGLINFTTGKGKVNLGDSNVRPLEVFMCSIVRKMGYGDGFKWLSQYIK >EOY11547 pep chromosome:Theobroma_cacao_20110822:5:39570107:39571598:1 gene:TCM_026686 transcript:EOY11547 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein MLSATMRYSTIISKIHLQINPSINRTSSVSNLLQQTKPVFFLQRAKHQSKAAMETLCGKPCSSDTSSISAASGETQNKGGGIDGNMMAKLKEKVVVVQESHDHQSNQARSGLHLSLGAKFSGDEMIHGSEVELKLSSMGSSQASKQQQQQQQTKSKARAFTCGFCKKEFSTSQALGGHQNAHKQERAIAKRRKEMDSGALGHRQYPYYSYSSLSQASLYGSFNRALGTRMESMIHKPAPAYPWTTLGCRFGHGGIMMESFQAPKSSTLPTMAAAASSIAAKKPTSSRDFLSKMDLSESDNRQDDDPGLDLSLRL >EOY10323 pep chromosome:Theobroma_cacao_20110822:5:34182344:34184322:1 gene:TCM_025695 transcript:EOY10323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKVHILEETNWKIRMSNKNVLASVKFGKNNELTGYYSFVYKVYICWIKTASLLPTCVIYKCKRLWVSNTLQIIEEEQKGKGRITAMKNLGVSVIFILALLFTVGNEVKAQDQGKVCAVPFGLPNCKDATCNSSCQRKFPPNGNGMCQGGVTCLCFHPC >EOY07785 pep chromosome:Theobroma_cacao_20110822:5:2682850:2684804:-1 gene:TCM_022113 transcript:EOY07785 gene_biotype:protein_coding transcript_biotype:protein_coding description:S1FA-like DNA-binding protein MSAEFEIGDKGNKISYETEAKGFNPGLIVLLVVVGLLLVFLVGNYALYLYAQKSLPPRKKKPVSKKKMKKERLKQGVSAPGE >EOY10981 pep chromosome:Theobroma_cacao_20110822:5:37325255:37365104:-1 gene:TCM_026250 transcript:EOY10981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGDNLFEGLPPPSQQQQLEEKKEEEQGRDQNLLSLKTSSSNDNKEASPNPVLKSALKRHKPTESNPEVYFPAAAPEKRLRFKTTTDASETQVIEAMQKIASHIKNPTKFAKASKLVIQLIKAGSVKAGTSDHFFAILEAAMSSTTSCTDPSVGGDYHSLFSAAQDAAEYLNKKQKNQLTVWTFRAVVANDLLTDDSFVFSKTASRLKDAISSLPIATEDDDIDEAATLKDETEIGNDDDNNKEAIVAPAEENKKDESDPFGLDALIPRSGNKDDRTKGKKDVAAKSRKEDEEETKRFLKSQREALITCLEIAARRYKTP >EOY10980 pep chromosome:Theobroma_cacao_20110822:5:37325255:37365100:-1 gene:TCM_026250 transcript:EOY10980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGDNLFEGLPPPSQQQQLEEKKEEEQGRDQNLLSLKTSSSNDNKEASPNPVLKSALKRHKPTESNPEVYFPAAAPEKRLRFKTTTDASETQVIEAMQKIASHIKNPTKFAKASKLVIQLIKAGSVKAGTSDHFFAILEAAMSSTTSCTDPSVGGDYHSLFSAAQDAAEYLNKKQKNQLTVWTFRAVVANDLLTDDSFVFSKTASRLKDAISSLPIATEDDDIDEAATLKDETEIGNDDDNNKEAIVAPAEENKKDESDPFGLDALIPRSGNKDDRTKGKKDVAAKSRKEDEEETKRFLKSQREALITCLEIAARRYKTPWCQTVIDILVKLAFDNVARFTSRQRDAIEKLWASVREQQIRRKQGKSVTGKLDVNAFEWLQQKYSTEKISIRHSVGASGDRRCQQWLG >EOY10979 pep chromosome:Theobroma_cacao_20110822:5:37325067:37328680:-1 gene:TCM_026250 transcript:EOY10979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGDNLFEGLPPPSQQQQLEEKKEEEQGRDQNLLSLKTSSSNDNKEASPNPVLKSALKRHKPTESNPEVYFPAAAPEKRLRFKTTTDASETQVIEAMQKIASHIKNPTKFAKASKLVIQLIKAGSVKAGTSDHFFAILEAAMSSTTSCTDPSVGGDYHSLFSAAQDAAEYLNKKQKNQLTVWTFRAVVANDLLTDDSFVFSKTASRLKDAISSLPIATEDDDIDEAATLKDETEIGNDDDNNKEAIVAPAEENKKDESDPFGLDALIPRSGNKDDRTKGKKDVAAKSRKEDEEETKRFLKSQREALITCLEIAARRYKTPWCQTVIDILVKLAFDNVARFTSRQRDAIEKLWASVREQQIRRKQGKSVTGKLDVNAFEWLQQKYSTEKISIRHSVGASGDRRCQQWLG >EOY10829 pep chromosome:Theobroma_cacao_20110822:5:36711041:36715903:1 gene:TCM_026132 transcript:EOY10829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase-like, multi-helical isoform 2 MRLLFSSSPNPIKTLFHLARSHHRVYSSPPPPPRRSSNPMAIPSKSAVATGFPSEEGLARKFWLEFRRESLLSLYSPFALCLASGTLKIDTFRHYIAQDVHFLKAFAQAYELAEDCADDDDAKLAISKLRKSVLDELKMHDSFVKEWSSDIVKESTVNSATVKYTEFLLATASGKVEGLKAAGKLATPFEKTKIAAYTLGAMTPCMALYAYLGKEFKALLGPNERDHPYKKWIENYSSEGFQASSLQTEDLLDKLSVSLTGEELDIIEKLYHQAMKLEIEFFYAQPLTQPTVAPLTREHDPAQDRLMIFSDFDLTCTVVDSSAILAEIAILRAPKSDQNQPESQIARMSSPELRSTWSLLSGQYTEEYEQCIESILPSEKVEFNYEALHKALEQLSDFEKKANSRVIESGVLKGLNLEDIKRAGELLILQSGCIDFFQKIIKNENLNANIHVLSYCWCADLIRAAFASGGVDDLTIHANEFSFEESVSTGEIVRKVESPIDKIQAFNDILQDCGNDRKNLTVYIGDSVGDLLCLLKADIGIVIGGSSTSLRRVARRYGISFVPLYPALVKKQKEYAEGSPCIWKGQSGILYTASSWDDIHAFVLGW >EOY10830 pep chromosome:Theobroma_cacao_20110822:5:36712443:36715903:1 gene:TCM_026132 transcript:EOY10830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase-like, multi-helical isoform 2 MHDSFVKEWSSDIVKESTVNSATVKYTEFLLATASGKVEGLKAAGKLATPFEKTKIAAYTLGAMTPCMALYAYLGKEFKALLGPNERDHPYKKWIENYSSEGFQASSLQTEDLLDKLSVSLTGEELDIIEKLYHQAMKLEIEFFYAQPLTQPTVAPLTREHDPAQDRLMIFSDFDLTCTVVDSSAILAEIAILRAPKSDQNQPESQIARMSSPELRSTWSLLSGQYTEEYEQCIESILPSEKVEFNYEALHKALEQLSDFEKKANSRVIESGVLKGLNLEDIKRAGELLILQSGCIDFFQKIIKNENLNANIHVLSYCWCADLIRAAFASGGVDDLTIHANEFSFEESVSTGEIVRKVESPIDKIQAFNDILQDCGNDRKNLTVYIGDSVGDLLCLLKADIGIVIGGSSTSLRRVARRYGISFVPLYPALVKKQKEYAEGSPCIWKGQSGILYTASSWDDIHAFVLGW >EOY07495 pep chromosome:Theobroma_cacao_20110822:5:1754685:1757838:1 gene:TCM_021916 transcript:EOY07495 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MAKLTRVPWVFSCTVPAPSSKSLGHCHKCNCVASSTPLTRKVPDCSTLSWPSFNSFSISSSSLSTRNKKGFRRKTVVLASMADLSTVLVTGAGGRTGQILYKKLKERSDQFVTRGLVRTPESKEKIGGADDVFVGDIRDPSTLVPAIQGVDALIILTSAVPRMKPGFDPTKGGRPEFYFDDGAYPEQVDWIGQKNQIDVAKEAGVKRIVLVGSMGGTNLNHPLNSLGNGNILVWKRKAEQYLADSGVPYTIIRAGGLQDRDGGIRELLVGKDDELLQTETRTIARPDVAEVCIQALKFEETKFKAFDLASKPEGVGIPTKDFKALFSQITTPF >EOY07496 pep chromosome:Theobroma_cacao_20110822:5:1754528:1757161:1 gene:TCM_021916 transcript:EOY07496 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MAKLTRVPWVFSCTVPAPSSKSLGHCHKCNCVASSTPLTRKVPDCSTLSWPSFNSFSISSSSLSTRNKKGFRRKTVVLASMADLSTVLVTGAGGRTGQILYKKLKERSDQFVTRGLVRTPESKEKIGGADDVFVGDIRDPSTLVPAIQGVDALIILTSAVPRMKPGFDPTKGGRPEFYFDDGAYPEQVDWIGQKNQIDVAKEAGVKRIVLVGSMGGTNLNHPLNSLGNGNILVK >EOY11342 pep chromosome:Theobroma_cacao_20110822:5:39027262:39030517:1 gene:TCM_026557 transcript:EOY11342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCRTIGPVLLSEIPLQPLKNGQEKDKGTLVKEIELLGTEAKQRQLKQLGAGADMNCSVNKEEGKTC >EOY08569 pep chromosome:Theobroma_cacao_20110822:5:10930704:10939606:1 gene:TCM_023145 transcript:EOY08569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGEQITHNAEASSGEQIIDSSKLPMSTSPRVILTDGIKALHAGITSKISATVPTYHHPYKHTHSHKGTEIHSTANQINVRNSSLG >EOY10964 pep chromosome:Theobroma_cacao_20110822:5:37246289:37252072:-1 gene:TCM_026234 transcript:EOY10964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor MDSSFFQYPNLQFSPAQSSSSYVSQENFSPEYFNYQNQALPFNENDSQEMLLLGVLNQAPANYFDTTSVNSFGDDNEVNSQANEKGTGKEISYRGVRKRPWGKYAAEIRDSTRNGVRVWLGTFDTAEAAALAYDQAALAMRGPMAILNFPMDKVYESLQEMNYGFEEGYSPVLTMKKRYSMMAKRSGSKKKKEKEMVMENILVLEDLGADYLEELLSISESSATPYWLEPALMINIQELSRIDVVHSDTLSVSSKVKQALSTLDWALRCGTMRPPKVAASAKWASPCLLNDIIKLTQDRLKISSDLAAMAHLLI >EOY07349 pep chromosome:Theobroma_cacao_20110822:5:1289724:1292846:1 gene:TCM_021806 transcript:EOY07349 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 85A3, putative isoform 1 MEQTQPRVPHVVLLPFPSLGHIKPLLSLAELLSHASFQVTFLNPQQIHDRLLLSMDMPAFHRRHPEFEFLSMPDPVRRSSDRPRPGLFSLHDNILSLGSVIKPALGELLISFNRERGRRQPATCIIADGLLSSPVIDVAEQFEIPFFALRTSSACCIWAYFNPPKLVEEGDVPFQVADEDMDKLVTCIPGLENVVRRRDLPGIFRIERANDPALEYFINDQTLVLPRASGLILNTFDELEAPVIAKLGSHFTKVYTVGPLHSLSTVRMKDLISVASGENILWKEDTSCMTWLDSQLSKSVIFVSFGSVISFTRDQMFELWHGLVNCGKPFLWAIRQDSIIGEDGPSLILGKLKDMTGDKGLLVSWAPQELVLTHPAIGGFFTHSGWNSTLESIFAGVPMICWPAIGDQQMNSRFVSDVWKIGFDMKDSCDRSLIEKMVRDLMEDKREEIMKSVNEIKKLAHEAVKEGGSSFCNLDKLIDDIRLRSHLGHNNSEGKK >EOY07350 pep chromosome:Theobroma_cacao_20110822:5:1289597:1292190:1 gene:TCM_021806 transcript:EOY07350 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 85A3, putative isoform 1 MEQTQPRVPHVVLLPFPSLGHIKPLLSLAELLSHASFQVTFLNPQQIHDRLLLSMDMPAFHRRHPEFEFLSMPDPVRRSSDRPRPGLFSLHDNILSLGSVIKPALGELLISFNRERGRRQPATCIIADGLLSSPVIDVAEQFEIPFFALRTSSACCIWAYFNPPKLVEEGDVPFQDEDMDKLVTCIPGLENVVRRRDLPGIFRIERANDPALEYFINDQTLVLPRASGLILNTFDELEAPVIAKLGSHFTKVYTVGPLHSLSTVRMKDLISVASGENILWKEDTSCMTWLDSQLSKSVIFVSFGSVISFTRDQMFELWHGLVNCGKPFLWAIRQDSIIGEDGPSLILGKLKDMTGDKGLLVSWAPQELVLTHPAIGGFFTHSGWNSTLESIFAGVPMICWPAIGDQQMNSRFVSDVWKIGFDMKDSCDRSLIEKMVRDLMEDKREEIMKSVNEIKKLAHEAVKEGGSSFCNLDKLIDD >EOY10858 pep chromosome:Theobroma_cacao_20110822:5:36829931:36833423:1 gene:TCM_026152 transcript:EOY10858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative METEPFLHKHFLCYDLYKKQEACCYKCSQQIDGRAYSCENCKFWLHKSCAEQELPPQLSHPLHPQHHLSLFCDDSEKFVCDQCFYISGGYRYSCKGCSFNLDLNCASSTKGQLHQEDYGQGSKIRQRKRIHHFSHEHPLDLFNYRKIGKTEIRCSWCEKTLSAGSGMSWGCLELCKNATFFHQSCFSKIPKRVQHPFHPSHSLGLQYKNYSSTCNACKGELEDYPCQNYYCNKCNFWLHVFCIRLLPTMKHNCHEHDLTYFIVKNKEDFKCNLCDGNCNAAAERSILKPSSWFYGKSDCAFYRCVQCDLNFHLNCIPIPRTTKHRYHTHSLILKDSFKEDDSGESYCDICEKERNSENHVYYCEKCTYIAHIECAINEENSKELLPPRHQFIDMKLDLGKTSGMAVEQEEMEQVETRQSHVEYPALSEPTETNGSIHNGQFKRQIQHFTHHHPLSFLEIIEKHACCDACKMGILGPAYVCESDEYYLHQTCAELFQDMQHPLHSQHPLKLLTTCPTDQGRFICDECGDISKGFVYFCWECEFKLDLKCAAPTTSQNEGQRLKQTKRDSKKSHFSHEHMLVFTNCRPYFKKFQCNGCNLPIVGAAFCCLACSYILHESCLALPKQMKFQFHPEHPLVAKLTQFFEIFNRCHAYGTGFRWTNIRYSCSECSLDLHFSCANSLKRPLKHKSHIHNLYYFGTESQKLFENENISFSCNTCSKTCVGPSYRCLECAINFHLECIPIPQRVKSDCHIHPFTLVDSFVEDDSGEYYCDVCEEERHSKDHIYFCEECNGLFVAHIECALAKVEEPAPEIEACSTMEPDLKKTSIQVESKTSLGRETEEMESREEQR >EOY10321 pep chromosome:Theobroma_cacao_20110822:5:34173012:34174678:1 gene:TCM_025692 transcript:EOY10321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLKRKKMDLKYMYLKGRKLDLKYMYLKRKKMDLKRKKRKNELDSRKKRKNELDSRIQLKRMDTVNNELYEGFQGGNPIEWWDYVIDEFKKMFWNWNWVNLMPDGPYKSILDYGDEDVGFEQDRCVDAIGYWYCCIHRYNDHVKSHRTVIELEEEMNKVFGICWRLELDLPNRVADIKGKTSEEIHKTINIDNDFTPEEEEEVRREKK >EOY08970 pep chromosome:Theobroma_cacao_20110822:5:24146898:24148182:1 gene:TCM_024279 transcript:EOY08970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWHVTHIRLARPISTFPSPSELSSSLSQKLNHTINFITLIYPLYLWQGKKPKYFWVKKRRIYPSRAFWRRKMQGKGEPASSQLGVARGRRVVAAMAAAPVAAPKAAR >EOY07329 pep chromosome:Theobroma_cacao_20110822:5:1216847:1219829:1 gene:TCM_021789 transcript:EOY07329 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA double-strand break repair rad50 ATPase, putative isoform 2 MGKQGRSKAKPENLGKGKVTPVQVAFIVDRYLSDNNYPGTRSVFRNEASALISKSPVREAPKSLLSLGAMLDEYICLKEQKVMLEQEKARLEQEKCRVQTLLQGMQSVMNAYNASATASVPVIPHATATKSVAVVPQSDPCAGSPSGPPVYGTPTVIPVSGPSNSRMEHDNYSSPVTSQPITRKKRSSEAVTEAPAAEKKTRSKLTSRKLTTQGTEKLPESENVMNSQVAAQLIPANQSSPPNSTSNTSTMHVSSVAKCLFNRPHLSPPTNSSGPKTPPQAVSPQSDKSMTPLGVSSTANCSHNNTPQEITPTNCTIISTERVTVSPLKQMTCYTIERNRCISSCSPVRTSLKRLGKRDHVKGRLDFDGSDAAVNVDKPVTNETSTSESEIDADIFDLDLPSLDAFGANFSFSELLVDLDLGCEGIGYPCQPTLGPSADALSGSSHESGDGNLGAPQVMSEFSSTVTKVFSEKDMNAQGPDSVTSVKSITKCVKILSPAKGRRSSSEQQNCSSKN >EOY07330 pep chromosome:Theobroma_cacao_20110822:5:1217051:1219930:1 gene:TCM_021789 transcript:EOY07330 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA double-strand break repair rad50 ATPase, putative isoform 2 MGKQGRSKAKPENLGKGKVTPVQVAFIVDRYLSDNNYPGTRSVFRNEASALISKSPVREAPKSLLSLGAMLDEYICLKEQKVMLEQEKARLEQEKCRVQTLLQGMQSVMNAYNASATASVPVIPHATATKSVAVVPQSDPCAGSPSALGPPVYGTPTVIPVSGPSNSRMEHDNYSSPVTSQPITRKKRSSEAVTEAPAAEKKTRSKLTSRKLTTQEKLPESENVMNSQVAAQLIPANQSSPPNSTSNTSTMHVSSVAKCLFNRPHLSPPTNSSGPKTPPQAVSPQSDKSMTPLGVSSTANCSHNNTPQEITPTNCTIISTERVTVSPLKQMTCYTIERNRCISSCSPVRTSLKRLGKRDHVKGRLDFDGSDAAVNVDKPVTNETSTSESEIDADIFDLDLPSLDAFGANFSFSELLVDLDLGCEGIGYPCQPTLGPSADALSGSSHESGDGNLGAPQVMSEFSSTVTKVFSEKDMNAQGPDSVTSVKSITKCVKILSPAKGRRSSSEQQNCSSKN >EOY07598 pep chromosome:Theobroma_cacao_20110822:5:2097421:2102435:1 gene:TCM_021988 transcript:EOY07598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine biosynthesis protein ArgJ family MYSCAPHFVSLKFSGMFSPKIISSICSSKADFKVFAAASDVNEASSYIPAAPILLPDGVWKQIPGGVTAAKGFKAAGLYGGLRAKGEKPDLALVTCDVDANVAGAFTTNVVAAAPVVYCKNVLSSTKTARAVLINAGQANAATGDAGYQDVLECANALATILQIRPEEVLIESTGVIGHRIKKEALLSSLPRLVNSLSPSIQGGDSAAVAITTTDLVSKSVAIEYEVRGTSVRVGGMAKGSGMIHPNMATMLGVITTDALVESDVWRKMVQVAVSRSFNQITVDGDTSTNDTVIAFASGLSGSNWITSMNSYEALLLQTGLDAVMQGLAKSIAWDGEGATCLIEVTVTGAEGEAEAGKIARSVAASSLVKAAVYGRDPNWGRIAAAAGYSGISFDPNNLQILLGDIMLMDGGQPLAFDRVAASNYLINAGETHGTVEIKITVGNGPGYGKAWGCDLSYDYVKINAEYTT >EOY09229 pep chromosome:Theobroma_cacao_20110822:5:27346331:27349941:-1 gene:TCM_024632 transcript:EOY09229 gene_biotype:protein_coding transcript_biotype:protein_coding description:DTW domain-containing protein, putative MEEPEHDTNPIIATNSPPLSPPPQPRQLCTQCDRPLPVCLCHVLPTTPLQTKTKILIIRHPHESRHKLNTTPLLSKTLLNATTISSRRLLPHHLPNQSPPAIYLFPPSPSTPAVTLSQLKSTNLLNYQTTPLLLIVFDATWKHAKEMVGASEGVLKGFAARVCLDGVDESVVGGSIYDSELVLRKEPFGGCVTTLEAVARCLGVIEPNGNEVQRVLIGILREMVRLQAGFLKPVKPRTKMLKKNKHKEEGNSKID >EOY11021 pep chromosome:Theobroma_cacao_20110822:5:37520840:37522857:1 gene:TCM_026287 transcript:EOY11021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAF32477.1, putative MGAAHTTSTSSCQEGLFILPVSNCLVKIVSFISSKLKATREPPRTNLLVKDVDIRRTCTRLDCFLSRRLFSSKSVRLYQYEEHQFDKLVKIAGTCTTSTPNFPREIIVEIAEAFHKNNCFGGHDLFGNNCEGFATSCRTGHPFSEQIHSIKSIPIIGIIAPILAKGFAKLKLSS >EOY11709 pep chromosome:Theobroma_cacao_20110822:5:40101864:40104074:-1 gene:TCM_026796 transcript:EOY11709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDFSQPPGENYANPKTCFFHVLFKAGALAFYILSALFFDNFVVIFVVTVLLSALDFWVVKNVSGRILVGLRWWNEINDLGESVWRFECLDQESLSRMNKKDSWLFWWTLYLSAVAWIVLGIFSLIRFQADYLLVVGVCLTLSIANIVGFTKCRKDAKKQIQQFASQTIASRVSSTIQSAFSVV >EOY11710 pep chromosome:Theobroma_cacao_20110822:5:40102092:40104074:-1 gene:TCM_026796 transcript:EOY11710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDFSQPPGENYANPKTCFFHVLFKAGALAFYILSALFFDNFVSLSRMNKKDSWLFWWTLYLSAVAWIVLGIFSLIRFQADYLLVVGVCLTLSIANIVGFTKCRKDAKKQIQQFASQTIASRVSSTIQS >EOY10805 pep chromosome:Theobroma_cacao_20110822:5:36617903:36632547:-1 gene:TCM_026118 transcript:EOY10805 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein MASLVVVASWIVLGLVMATSTTKVEAARAFFVFGDSLVDNGNNNYLATTARADSPPYGIDTPSRRPTGRFSNGKNIPDFISDALGSEPVLPYLSPELRGDKLLVGANFASAGIGILNDTGIQFINIIRMFRQLLYFQEYQSRLADLVGYNEAQRIVSDSLVLITVGGNDFVNNYYLVPFSARSRQFSLPDYVRYLISEYRKLLRKLYDLGARRVLVTGTGPLGCVPAELAMRSLSGQCAPDLQRAAALYNPQLVQMINGLNSEIGAKVFIAANTQQQTNDFISNPRAYGFATSKVACCGQGPFNGLGLCTLLSNLCPNRNQYVFWDPFHPSERANRIIVDTILRGSTDHVSPMNLSTLLALDYKTRT >EOY10251 pep chromosome:Theobroma_cacao_20110822:5:33788311:33790383:-1 gene:TCM_025627 transcript:EOY10251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 87, subfamily A, polypeptide 6, putative MKSSFCQQTQITNNTCRSLKSSFIYPPHNSLPTQSNMETWFIILVSISISLLFRALINLLSSHSENPSQTLPPGPANIPIISNILWVRRNVSELEPILRNLHNKLGPMVTLNILSRPAIFISDRSLAHQALIQNGALFADRPELPTIAKILSCNQHNISFAPYGPTWRLLRRNLTAQILHPSRIKSYSHARKWVIQILLESLISHSKTGEPVRAVDHFQYAMFCLLVLMCFGDKLNQEQIKEIENVQRPLLIGLGGRFNLLNFCPSLTRVLLHKRWKEFFQAVEDQEKVLVPLIRARKKVKEERLSKSKEDKEEADDEEHLLAYVDTLLDLQLPKGQRNLTEQEIVTLSSEFLNAGTDTTSTALHWIMANMVKYPHVQEKLFMEIKRVVGDGEEEVKEHDLQKMPYLKAVILEGLRRHPPGHFVLPHSAREDTVLGGFSVPKNATINFMVAEMGWDPKVWEDPMSFKPERFLSSDNKSGEVFDITGTREIKMMPFGVGRRICPGLCLAMLHLEYFVANLVWNLEWKAMDGDEVSLEEKQEFTMGMMTPLQAHIYPRKR >EOY07014 pep chromosome:Theobroma_cacao_20110822:5:177555:180459:1 gene:TCM_021552 transcript:EOY07014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFAFLYKLQNLWPFKIDDLRTSHDLVRKLSIPDHTKKFVFAVTLPHTQSVIYILSAQNLSERSAADAECLIRELRPDAVVAQISHQALFEIQSQDTEIGDNLDNTIPTSSFGVLKRCFVDKINKDNYENVAGKLVLREIFGVGFHGHFLAAKGAAREVGSSFMVLESPFTSNFPMQDPSREVEAGSKVKGLVSSLVPQKSTLVLASSCRRFCITNDVRSQLVKFLSSHIDLLDSGSVSEVDSNEIQPRKGYEAPPFAQSVYPLLVDLHDIFVDLPPIGRALALSQKMLLDVNRGEVVDTRIISEVYTFRIAVEALRVALNNAGRLPIDKLQNANTSKVSFSELPIEDKSHAFHAQALQSLSKKFKTIVAIVDASSLAGLRKNWNTPVPPEVKDLVVHLVTDGAGDGDGEPSSHIDRKQLLSNKPVVAVGAGVTAVFGASSISKLIPASTFMKIITLKVPASVKLVMTQTQKVVAMALGKTLGPSKLVAPGLASSGVNSSVFKAAASAEKIRTVVHGVIASAEKTSFSAMRTAFYEIMRKRQVQPIGVLPWATFGCSIATCTSLLVYGAGIECAAESLPAARSIASLGRGIQSLQQASQAVRQTEGNRIQKSIESLMYRLKKVKIQ >EOY08694 pep chromosome:Theobroma_cacao_20110822:5:18076776:18078997:1 gene:TCM_023754 transcript:EOY08694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRELKRKFLPEHYRQEIFIKFHNLRQKTMTVEEYTIEFEQLHMKYDVHELEEQTVARYLGGLNIGIADVVQLQPYWNLNDVIRVALKVEKQRSRKRSMSSSRQQESSSNDVSQSSVTISPPKYDDEEIEEVSADHGEALIVRRNLNTAMMTKDESWLRHNIFYTRYTSQGKVCNVIIDSGSCENVIANYMVEKLKLPTEVHPHPYKLQWLRKGNEVKVTKRCCVQFSIGSKYEDEVWCDVIPMDACHLLLGRPWQYDRRAHYDGYKNISSFIKDGVKIMLTPLKPEDRPKRQEEDKALITVPTLSKTYCESNHLCLLLVSKKNKVSSSLSNDGQTKLINQSLGNLSRSFVDNHVVNKTAVKYDFPFPRLDDMFIGSKVFLKMDLKKRDQQIRIRLGDELKTTFKTMDELIKWLVWTMTIYGSRHQHGVCPGLLVRAEFF >EOY08815 pep chromosome:Theobroma_cacao_20110822:5:21411786:21415780:1 gene:TCM_024031 transcript:EOY08815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMEKYWAQLIYQAQFSKGNFGHSVFVTEKGMHGSKRASSFGVIFGKFFSQTCFGNLYITFALEIRTTWF >EOY11549 pep chromosome:Theobroma_cacao_20110822:5:39576531:39579184:-1 gene:TCM_026688 transcript:EOY11549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily MAFANFLLILLMLPSFEAIPDVTPSTKQNLTTQPLIIQACTDVDNHDLCLSNIQAELQSLGPQTPSSVLHAALRASLNEARQAIETMTKFTGLSVSYREQIAIEDCKELLDFSVSELAWSLAEMKKIRDGDRNAEYEGNLKAWLSAALSNQDTCLEGFEGTDHHLESFIRGSLKQVTQLIGNVLAMYTQLHSLPFRPPRNSTSTNTSSEFPEWITDGDQELLRTNPSGMHVDAVVSLDRNGHYRTITDAINAAPSYSNRRYIIYVKKGVYKENIDMKKKKTNIMLVGDGIGQTVVTGNRNFMQGWTTFRTATVAVSGKGFIARDMTFRNTAEPQNHQAVALRVDSDQSAFYRCSMEGHQDTLYIHSLRQFYRECHIYGTIDFIFGNGAAVLQNCKIFTRVPLPLQKVTITAQGRKNPNQSTGFSIQNSYILATQPTYLGRPWKQYSRTVFMNTYMGALVQPRGWLEWYGDFALNTLWYGEYRNYGPGASLSGRVKWPGYHIIRDASTANFFTVGRFIDGRSWLPATGVKFTDGLSK >EOY07323 pep chromosome:Theobroma_cacao_20110822:5:1196428:1202200:-1 gene:TCM_021784 transcript:EOY07323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 1 MGMILGKISVETPKYEVVQSSADYEIRKYSPSVVAEVTYDPSQFKGNKDGGFSVLANYIGALGNPQNAKPEKIAMTAPVITKSPGSESEKIAMTAPVITKGEKNMVTMQFLLPAMYERAEEAPKPLDERVVIREEGERKYGVVKFAGVATDQVVEEKVENLKKSLERDGHKVIGEFLLARYNPPWTLPAFRTNEVMLPVQTVGLPRASVETNEAVESPKPTRRGRKKKPTSSSPATKTRRTRKTQNENGSIESESQGEEEELGDFDDGMDFPYEEPPLICCFGAAQKEFVPTVRVNEEKMHPDKYSHWKMLQWDPPEFGRAPGGPPSNVAISHVRLGGRAAFMGKVGDDEYGDELVLMMNKEKVQTRGVKFDENVKTGCTYMKVKFDEKGRLKMETVKESAEDSLLSSELNLAVLKETRIFHFNSEVLTSPSMQSTLFKAIAWSKKFGGLIFFDLNLPLLLWRSRDETREVIKEAWNKADIIEVSRQELEFLLDEEYYERKRNYRPQYYAESFDQTKNRRDCYHYTSEEISPLWHDGLKYLFVTDGTLRIHYYTPSFDGVVVGTEDVLITPFTCDRTGSGDAVVAGIMRKLTTYPEMFENQDTLERQLRFAIAAGIISQWTIGAVRGFPTESATQNLKEQVYVPSMW >EOY11593 pep chromosome:Theobroma_cacao_20110822:5:39810815:39814067:1 gene:TCM_026730 transcript:EOY11593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 43 isoform 1 MATRNRTLIFRKYRDALKSVRVPASSSSASASSAVAGTSSGGGPVIEMVSASLLHPNRSYAPLSTEDPGNSSKGAVTVGLPPAWVDVSEQIAANVQRARTKMAELAKAHAKALMPSFGDGKEDQRNIEALTHEITNLLKKSEKRLQQLSAAGRSEDSNVRKNVQRSLATDLQNLSMELRKKQSTYLKRLRQQKEEGQDGVDIEMNLNGNRSKAEDDDLDDMVFNEHQMAKLKRSEAFTVEREREIQQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVATTVEEGLKQLQKAERTQKQGGMVMCATVLVIMCFVMLALLILKEILF >EOY11594 pep chromosome:Theobroma_cacao_20110822:5:39810649:39814146:1 gene:TCM_026730 transcript:EOY11594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 43 isoform 1 MATRNRTLIFRKYRDALKSVRVPASSSSASASSAVAGTSSGGGPVIEMVSASLLHPNRSYAPLSTEDPGNSSKGAVTVGLPPAWVDVSEQIAANVQRARTKMAELAKAHAKALMPSFGDGKEDQRNIEALTHEITNLLKKSEKRLQQLSAAGRSEDSNVRKNVQQRSLATDLQNLSMELRKKQSTYLKRLRQQKEEGQDGVDIEMNLNGNRSKAEDDDLDDMVFNEHQMAKLKRSEAFTVEREREIQQVVESVNELAQIMKDLSVLVIDQQGTIVDRIDYNIQNVATTVEEGLKQLQKAERTQKQGGMVMCATVLVIMCFVMLALLILKEILF >EOY11009 pep chromosome:Theobroma_cacao_20110822:5:37415772:37416753:-1 gene:TCM_026267 transcript:EOY11009 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L10A MYRKTRLGFFSSLVNIIVLFVEISEMSKLQSDALREAISTIGAQSKEKTRKFTKTIELQIGLKNYDPQKDKRFSGSVKLPYIPRPKMKICMLGDAQHVEDTEKIGLDYMDVEALKKLNNNKKLVREVYIRPFCHLKLSSSRPLVPWVLASTKQGNSQPLLLTRNP >EOY10548 pep chromosome:Theobroma_cacao_20110822:5:35298503:35299824:1 gene:TCM_025883 transcript:EOY10548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uclacyanin 1, putative MASNMFLMLTIVAMFLPTIAMATDYIVGDDSGWTINFDYQAWAKDKVFQVGDKLVFQYPQGYHNVFKVNGTAFKNCDILPENEALTSGNDTIVLKTPGRKWYICGVSNHCAAYGQKLAITVQYPYGWAPAPAPSSPTAPTPDPWAPTPEPWASTTPSVPATTTEPWAPAPQPWTPVPSSPSTPAPSAPWPPAPSPYPWI >EOY07905 pep chromosome:Theobroma_cacao_20110822:5:3219886:3221275:-1 gene:TCM_022222 transcript:EOY07905 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative NFKETLLNSQRGILSRALKKTKRGLEMFGPFLQKIRKGFHVSPSRGQAVINDVEVDEELNVATPMSDDVGTGYFTVFAVQGNETQRFVIELDNLTNPAVLSLLEQAGEEYGFHQKGVLSLPCRPQELQKILQDWEAEHADTEGWATCNATTTEGY >EOY11305 pep chromosome:Theobroma_cacao_20110822:5:38881605:38883328:-1 gene:TCM_026534 transcript:EOY11305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin 1, putative isoform 3 MCTYHSSNEKTMQLYEKFRNSLEESIFSTILPTLINKQGANLLRELVVMWSNYKLMARWLCRFFEYLDRFFIPQHIELESLNGISFSCFRDLVFKKLYCRFIDATLTLINQERDGLQIDCILLKNVLDIFVEISDYSGVNYYKDFEQIMLTEISGYYSRLASEWLLFDSSAEYVHKVFWCLNREKQRASQYLHPDSEAKLMQVVRYQLLD >EOY11306 pep chromosome:Theobroma_cacao_20110822:5:38880868:38883775:-1 gene:TCM_026534 transcript:EOY11306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin 1, putative isoform 3 MILKYIPLDEGMMIMDEAIVKAKKIIEGYPETKFSGEEYQRFYECVYFMCTYHSSNEKTMQLYEKFRNSLEESIFSTILPTLINKQGANLLRELVVMWSNYKLMARWLCRFFEYLDRFFIPQHIELESLNGISFSCFRDLVFKKLYCRFIDATLTLINQERDGLQIDCILLKNVLDIFVEISDYSGVNYYKDFEQIMLTEISGYYSRLASEWLLFDSSAEYVHKV >EOY11303 pep chromosome:Theobroma_cacao_20110822:5:38880647:38884571:-1 gene:TCM_026534 transcript:EOY11303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin 1, putative isoform 3 MILKYIPLDEGMMIMDEAIVKAKKIIEGYPETKFSGEEYQRFYECVYFMCTYHSSNEKTMQLYEKFRNSLEESIFSTILPTLINKQGANLLRELVVMWSNYKLMARWLCRFFEYLDRFFIPQHIELESLNGISFSCFRDLVFKKLYCRFIDATLTLINQERDGLQIDCILLKNVLDIFVEISDYSGVNYYKDFEQIMLTEISGYYSRLASEWLLFDSSAEYVHKVFWCLNREKQRASQYLHPDSEAKLMQVVRYQLLDQIANKLMEKKQAENSGLVTDYQEILSKCAGMTLQEGSSSTSPEEWLSKLMASSAHIC >EOY11304 pep chromosome:Theobroma_cacao_20110822:5:38881604:38883801:-1 gene:TCM_026534 transcript:EOY11304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin 1, putative isoform 3 MILKYIPLDEGMMIMDEAIVKAKKIIEGYPETKFSGEEYQRFYECVYFMCTYHSSNEKTMQLYEKFRNSLEESIFSTILPTLINKQGANLLRELVVMWSNYKLMARWLCRFFEYLDRFFIPQHIELESLNGISFSCFRDLVFKKLYCRFIDATLTLINQERDGLQIDCILLKNVLDIFVEISDYSGVNYYKDFEQIMLTEISGYYSRLASEWLLFDSSAEYVHKVFWCLNREKQRASQYLHPDSEAKLMQVVRYQLLD >EOY08951 pep chromosome:Theobroma_cacao_20110822:5:23854247:23855335:1 gene:TCM_024249 transcript:EOY08951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKYKFLHNKLNNINKKRRMRCVSVEYTNVTERDKGHGQHGLIGMDKETAKTLQDCEWDSMRGALCRFVKNGLGRVAATATWLLLLQGSSIIACTTCFCYSVH >EOY08340 pep chromosome:Theobroma_cacao_20110822:5:6728958:6739787:1 gene:TCM_022701 transcript:EOY08340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MAWTEKDVNGRERDLIVENGFLKEPQSSSGLSISTADATPAQKVFEGKDALSYANILRSRNKFVDALALYDSVLEKDSGSVEAHIGKGICLQMQNMGRPAFESFAEAIRLDPQNACALTHCGILYKDEGRLVDAAESYQKALRADPSYKPAAECLAIVLTDLGTSLKLAGNTQEGIQKYYEALKIDPHYAPAYYNLGVVYSEMMQYETALGCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGVAYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIIANPTYAEAYNNLGVLYRDAGNISMAITAYEQCLKIDPDSRNAGQNRLLAMNYINEGDDDKLFEAHRDWGRRFMRLYSQYNSWDNPKDPERPLVIGYISPDYFTHSVSYFIEAPLVYHDYGNYQVVVYSAVVKADAKTNRFREKVMKKGGVWRDIYGIDEKKVASMVRDDKIDILVELTGHTANNKLGTMACRPAPVQVTWIGYPNTTGLPSIDYRITDPLADPPDTKQKHVEELVRLRECFLCYTPSPEAGPVSPTPALSNGFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDSVRQKFLTTLEQLGLESLRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLSKVGLRHLIAKNEDEYVQLALQLASDVTALQNLRMSLRDLMSKSSVCDGKNFISGLEATYRNMWRRYCKGDVPSLRCMEMLQKEGAPEELTIKTSETERITILKNTSTGSVKSNGFNQIPLPMLNLTSCEENGSQLNQTTNSGKFS >EOY07914 pep chromosome:Theobroma_cacao_20110822:5:3301735:3303871:-1 gene:TCM_022235 transcript:EOY07914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative MGEQEEGAKPEAEKKPAADAGAKKDDGTVTAVYKIDMHCEGCAKKIKRAIKHYEGVEDVKADSGANKLTVTGKVDPAKVRDRLAEKTKKKVDLISPQPKKDAAPAAGGGGDKKPDAEKKPEGKKEEKKEEKKPPKESTVVLKIRTHCDGCIHKIRKIIKKVAGVQSVDVDGAKDLVTVKGTMDVKDLVPYLKEKLKRSVDMVPPKKDDGGEKKDAGGENKDKGKGAAAGGEKKEGGGEKKEGGEKKEGGGGGEAKMEVSKMEYHGYPYPAQPMYWSDGHMYGPSYAVEGYQNHPHEYGYVNHGYANQGYMHPGYANQGYMMDPRHHYAPQMFSDENPNACSIM >EOY09332 pep chromosome:Theobroma_cacao_20110822:5:28360695:28365374:1 gene:TCM_024753 transcript:EOY09332 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 2 MDEIGRMLGMFSSGPSLPWLWIIEYLSSFRQIDTSIIRGLIEAAPVLPDDLGKNTREMIALRCLEELFGPTNGLRDVAPPDSRVVFDLAASCEDVLKHIVQEVSLSNLRKAGPELLRWDVHPFIMHKRASLPKCALEQLKDSILEETPVLDGDENTPTWRLDDSDDENGNREGNLIPQTHKDDNEVLQDGLLERNLIPIKRCKSDLVAGNLVGLVSCNQDGMHNDFPVNAKKFKQDATCTIQSVEKIPIPLHGVEQLDESGKIIKVTEIEGNNLGKDSQAGEGDEDVFVVSRTLGQSDAVGHVELQDNEMENAQNADIMGEQKYGYRPSKNVMMDESNHVENGALEKSPGGDASENFDQGFPLYSLNSTSAVGLQQNIEPDEAKADMEHPCAEKMCEYVDERFNIALNKSLFLSSQCIPSQDPLGKSGWTEQKFCVKCNKNGQVLVCSSSGCPLVVHESCLGSPARFDDKGNFYCPFCVCSVSITKYLEAKDKTSLARKELDAFLEHCSKKLTEQQWKLQSHLRLNVDEDLVGIQMNGHLGESKHKFISQKREVKCGPSASCLDGNKLCVEEKFVGGAVRVQGEKNEEQEKLVHGQQSMREHEHQQDQLPDNRKYSDDNPAGENTKTILENQVEVGGKNVKEAVQPQITNPPQKPVCAFNGDGEESPTAANDKFIVSSYSIRLRKRETKCTFPPIPQLRRKKLPWTKNEEEMLRREVEKYASHGGTVPWKKILDMGTSVFLSGRTTVDLKDKWRNMCKGGPSM >EOY09331 pep chromosome:Theobroma_cacao_20110822:5:28358162:28365383:1 gene:TCM_024753 transcript:EOY09331 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 2 MLQLFFAVAFSAVPLTLYVPPVRSLNLFVQTVEDILRQTALYTLRAYPRIRLGLSRIFHSIFHLSRYIVCWLLNSSTLMDEIGRMLGMFSSGPSLPWLWIIEYLSSFRQIDTSIIRGLIEAAPVLPDDLGKNTREMIALRCLEELFGPTNGLRDVAPPDSRVVFDLAASCEDVLKHIVQEVSLSNLRKAGPELLRWDVHPFIMHKRASLPKCALEQLKDSILEETPVLDGDENTPTWRLDDSDDENGNREGNLIPQTHKDDNEVLQDGLLERNLIPIKRCKSDLVAGNLVGLVSCNQDGMHNDFPVNAKKFKQDATCTIQSVEKIPIPLHGVEQLDESGKIIKVTEIEGNNLGKDSQAGEGDEDVFVVSRTLGQSDAVGHVELQDNEMENAQNADIMGEQKYGYRPSKNVMMDESNHVENGALEKSPGGDASENFDQGFPLYSLNSTSAVGLQQNIEPDEAKADMEHPCAEKMCEYVDERFNIALNKSLFLSSQCIPSQDPLGKSGWTEQKFCVKCNKNGQVLVCSSSGCPLVVHESCLGSPARFDDKGNFYCPFCVCSVSITKYLEAKDKTSLARKELDAFLEHCSKKLTEQQWKLQSHLRLNVDEDLVGIQMNGHLGESKHKFISQKREVKCGPSASCLDGNKLCVEEKFVGGAVRVQGEKNEEQEKLVHGQQSMREHEHQQDQLPDNRKYSDDNPAGENTKTILENQVEVGGKNVKEAVQPQITNPPQKPVCAFNGDGEESPTAANDKFIVSSYSIRLRKRETKCTFPPIPQLRRKKLPWTKNEEEMLRREVEKYASHGGTVPWKKILDMGTSVFLSGRTTVDLKDKWRNMCKGGPSM >EOY11340 pep chromosome:Theobroma_cacao_20110822:5:39020472:39025656:-1 gene:TCM_026556 transcript:EOY11340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1995) [Source:Projected from Arabidopsis thaliana (AT5G27560) TAIR;Acc:AT5G27560] MAAPAPSCFNCRCFASKPNSSISSTNFPLSFSCPIFSGCNGISLTRTGSSSRTHAKFEKFQGDPSEANLEDTILSSVQTQQQTVPAEDEEEDDSCLPSDLEGAVRQSGQASALFVSSGGLRAIVELLIPQLQFLDDEGAQAELWELSRIFLDTLIEETGCQKVRAIFPDAGAAALLKYLWKDAAFGFASLSDRKPVEHQDEIIVMVVPDYQMLDRVERIASELSDDPPKPLIMWNPRLISEDVGVGFNVRKLRRYFLSSFSTVYSMRPFAAGAVFRCYPGLWKVFYDDKDRPGRYLLAKELVSRPDAEELEIIYGNVDEKSKQGPSLFSQAAGIFSSLNRFMKAIAK >EOY11341 pep chromosome:Theobroma_cacao_20110822:5:39019327:39023787:-1 gene:TCM_026556 transcript:EOY11341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1995) [Source:Projected from Arabidopsis thaliana (AT5G27560) TAIR;Acc:AT5G27560] PSCFNCRCFASKPNSSISSTNFPLSFSCPIFSGCNGISLTRTGSSSRTHAKFEKFQGDPSEANLEDTILSSVQTQQQTVPAEDEEEDDSCLPSDLEGAVRQSGQASALFVSSGGLRAIVELLIPQLQFLDDEGAQAELWELSRIFLDTLIEETGCQKVRAIFPDAGAAALLKYLWKDAAFGFASLSDRKPVEHQDEIIVMVVPDYQMLDRVERIASELSDDPPKPLIMWNPRLISEDVGVGFNVRKLRRYFLSSFSTVYSMRPFAAGAVFRCYPGLWKVFYDDKDRPGRYLLAKELVSRPDAEELEIIYGNVDEKSKQGPSLFSQAAGIFSSLNRFMKAIAK >EOY11813 pep chromosome:Theobroma_cacao_20110822:5:40439171:40440447:1 gene:TCM_026873 transcript:EOY11813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPYPKTVEASRTFTDLLGARRPPGQPFFLVPCPMIIGGLRPFLGPLRAYRPPKRPSSLGPYLTTFGARDLYLLLQ >EOY07091 pep chromosome:Theobroma_cacao_20110822:5:411948:412962:-1 gene:TCM_021605 transcript:EOY07091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein MPVNPPKSSLLLHRINNTTPNTNSTTTTTTATADNSSMFCQKRYPLTCTTPVPDTVARHHTHPVGPNQCCSAVVQQIAAPVSTVWSVVRRFDNPQAYKHFVKSCHVIVGDGEVGTLREIHVISGLPAGRSTERLEILDDERHVLSFSVVGGDHRLANYRSVTTLHPSTAGNGTVVVESYVVDVPPGNTKDDTCVFVDTIVRCNLQSLAQIAENLARRK >EOY09823 pep chromosome:Theobroma_cacao_20110822:5:31206319:31207837:-1 gene:TCM_025199 transcript:EOY09823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTEYGRTRLRRRFGQIWHSTARSGSGKHRIWCFLTRSHDWIWHSTAKSNRGEAPIWRHSGQIRPHGAPDRRFVTPVAFPATGS >EOY07913 pep chromosome:Theobroma_cacao_20110822:5:3289768:3290635:1 gene:TCM_022234 transcript:EOY07913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLCNRDFKFHYTSLKSTLPIPSLLLSNSNFSLQSYAKDMANRPGTKSDISWTQIISTLALLQSCLTWQIDKAQHQTTKELGLSYLGAEGI >EOY06983 pep chromosome:Theobroma_cacao_20110822:5:95006:99854:1 gene:TCM_021532 transcript:EOY06983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly (ADP-ribose) glycohydrolase (PARG), putative isoform 2 MEDRAELDSILPYLPILIGSSRCLLWPSKVVEALEAMSKGPDHSQVNSGQVLSIAISDMRASLSLRDPLAPFSPQGYSLFFDELLTAADSTKWFAEVIPPLANLLLRLPSLLDFHYQNMQAYGYGLRILGPQQPGMVLLSQELIGALLACSLFCLFPVSNRGVKHLPTINFDQLFASLYESYSEKQENKVRCIVHYFQRICSQMPTGFVSFQRKLLSLEYPPSQSSASYPNADFWTKSTLPLCPFQVYSSGLIEDHPTGALEVDFANKYLGGGALHRGCVQEEIRFMISPELIAGMLFLPSMADNEAIEIVGAERFSDYTGYASSFRFTGDYVDKRSVDFLGRRKTRIVAIDALCSPRMNQYKLKYLLRQYKRLFQDNGLSAGQLHQDNKHDGDISRNEVLLNEAPSTSNETNEGGSRMEVVRKSDEKGGQFMDPKNCIGIATGNWGCGAFGGDPELKTIIQWLAASQALRPFISYYTFGLDALQSLAQVSQWILLHKWNVGDLWNMLIEYSSQKSRGETNLGFFAWLLPSLPHCEAAEPAN >EOY06982 pep chromosome:Theobroma_cacao_20110822:5:95006:99854:1 gene:TCM_021532 transcript:EOY06982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly (ADP-ribose) glycohydrolase (PARG), putative isoform 2 MEDRAELDSILPYLPILIGSSRCLLWPSKVVEALEAMSKGPDHSQVNSGQVLSIAISDMRASLSLRDPLAPFSPQGYSLFFDELLTAADSTKWFAEVIPPLANLLLRLPSLLDFHYQNMQAYGYGLRILGPQQPGMVLLSQELIGALLACSLFCLFPVSNRGVKHLPTINFDQLFASLYESYSEKQENKVRCIVHYFQRICSQMPTGFVSFQRKLLSLEYPPSQSSASYPNADFWTKSTLPLCPFQVYSSGLIEDHPTGALEVDFANKYLGGGALHRGCVQEEIRFMISPELIAGMLFLPSMADNEAIEIVGAERFSDYTGYASSFRFTGDYVDKRSVDFLGRRKTRIVAIDALCSPRMNQYKLKYLLRETNKAFCGFLDQSKYSQYKRLFQDNGLSAGQLHQDNKHDGDISRNEVLLNEAPSTSNETNEGGSRMEVVRKSDEKGGQFMDPKNCIGIATGNWGCGAFGGDPELKTIIQWLAASQALRPFISYYTFGLDALQSLAQVSQWILLHKWNVGDLWNMLIEYSSQKSRGETNLGFFAWLLPSLPHCEAAEPAN >EOY09818 pep chromosome:Theobroma_cacao_20110822:5:31180711:31191136:-1 gene:TCM_025192 transcript:EOY09818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNPKTKKNKHLVWRKHRMRRRRETDKWFVKKEMRRGERREEEKEEVVMANNFFWSYTNKPHALRRRQILFQYHQIKELFGLNPLAFLKISVVVLLQLWTAMDNFGRLDWILINFEYILLGMDAVDDLGYGTSGTYSIKSTRYVSPLLWFPSSMCIGRVIRLLTLLQAMELIDHRTSWFGG >EOY08408 pep chromosome:Theobroma_cacao_20110822:5:7833262:7835933:1 gene:TCM_022821 transcript:EOY08408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYVKIVARTGTEQENVEALLCEPRDQWGFNAGINIHYKWTRLYVIREVLWKENELDMMKRTCFGNLMDVKLENVNSALVSCITYCYVESINLAPSRLRALGTLELSAEEILKAYWVDIDVHLSEGHQYIPLWQLDGRVEFHLEQKKKIMEHKEEKVDTMDEGTSITAEGDVDAVNTDRGVARHTSVDVINAARDPTIDAAVDVVNATTNLIGNAKDNVCSKKGIDMGVDLDEPNGINDLIASTQSSPLTTLYTTSLESITSTKTPHPACSPTPRTPLFARSPTPSEAPHLTCNPSPLKAPSKFKAHLLFKLYLYLESKMHG >EOY07551 pep chromosome:Theobroma_cacao_20110822:5:1950710:1952687:-1 gene:TCM_021958 transcript:EOY07551 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL2J, putative MENSPTVIRPPPPPPQPPPSFVASPPVSQLDNTTNSTSIRSPPPLPFSSTPQIYPIDQNGTVSIVSSNPPPPFPDSPRSVDLSPLEFILALMAVITIPAIIYAFFFAVKCPPWTSRERPDSPRELPRDSRGSGVEVIERRREPVSAVKYQKETHSKDIGNECPVCLSVFADGEEVRQLSGCKHSFHATCIDMWLNNHNNCPVCRASVTVKRPNNHGTASGSARSRESDLQQGLPDATSLV >EOY09379 pep chromosome:Theobroma_cacao_20110822:5:28854469:28854844:1 gene:TCM_024814 transcript:EOY09379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNLTQHHVPCAARPRRLRLLTNHCCLFHFSFSLLVLEKVSLTDKISLKEEMRNVRKLLKPSFKLQLLQG >EOY09027 pep chromosome:Theobroma_cacao_20110822:5:24936884:24945149:-1 gene:TCM_024362 transcript:EOY09027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase family protein isoform 2 MQGGQMVVEGPVRLSSVLTSSKLSFLPSLTKIVGTLGPKSRSVEVIEACIKAGMSVARFDFSGLDSDYHQETIDNLRIAVKSARKLCAVMLDTVGPELQVYNKTAKPIELKADEIVTITPDATEEPSSEVLPISYAGLAGTVKKGDTIFLGQYLFTGSETTSVWLEVLETKDQDVICLVKNTATLAGLIFTMHVSQVRINLPTLNEKDKQVISNWGKRNNVDFISLSYTRHAEDVGELRAFLRTQNLHETQIFAKIETVEGLKHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSAVHKCNMVGKPAIITRVVDSMTENLRPTRAEATDVANAVLDGTDGILLGAETLRGLYPIEAVTTVGRICAEAEKVYNHFRHFKRIDKHVGEPMSHAESVASSAVRSAIKVKAAIIVVFTSSGGAARLIAKYKPPVPVLAIVVPRLKTISFKWTFTGSSQQARQLLGVRGVYPLLTSPDMATSGELGLKLALDHGKSVGLLKPDDQAVVFQKIGDSSVVKIVQLEG >EOY09026 pep chromosome:Theobroma_cacao_20110822:5:24936527:24945257:-1 gene:TCM_024362 transcript:EOY09026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase family protein isoform 2 MQGGQMVVEGPVRLSSVLTSSKLSFLPSLTKIVGTLGPKSRSVEVIEACIKAGMSVARFDFSGLDSDYHQETIDNLRIAVKSARKLCAVMLDTVGPELQVYNKTAKPIELKADEIVTITPDATEEPSSEVLPISYAGLAGTVKKGDTIFLGQYLFTGSETTSVWLEVLETKDQDVICLVKNTATLAGLIFTMHVSQVRINLPTLNEKDKQVISNWGKRNNVDFISLSYTRHAEDVGELRAFLRTQNLHETQIFAKIETVEGLKHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSAVHKCNMVGKPAIITRVVDSMTENLRPTRAEATDVANAVLDGTDGILLGAETLRGLYPIEAVTTVGRICAEAEKVYNHFRHFKRIDKHVGEPMSHAESVASSAVRSAIKVKAAIIVVFTSSGGAARLIAKYKPPVPVLAIVVPRLKTISFKWTFTGSSQARQLLGVRGVYPLLTSPDMATSGELGLKLALDHGKSVGLLKPDDQAVVFQKIGDSSVVKIVQLEG >EOY10025 pep chromosome:Theobroma_cacao_20110822:5:32306529:32314468:-1 gene:TCM_025394 transcript:EOY10025 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMV resistance protein N MAVSMVHHQESSSLISRYTYHVFLSFRGTDTRKNFTDHLYMALVQAGIHTFRDDDEIERGENIRDKIERAMQESKIFIIVFSKNYASSTWCLNELVKILEHRKFSKHIVLPIFYDVNPSQVKKQTESFAEAFARHEESFKSEMDVVQRWRAALREVADLGGMLLEDSLERLMLKDCTKLIEVDQSIGEIMTLTVLNLKGCKSLRKLPKTIGSLESLEELILSGCSTLDDVPRNLQNMKSLRVLNLDGTAICESNSWLSWLSLKRSKELGFFWASLPCSLVKLSLESCRLSDDVMPADLSYLPSLKSLNLSRNPIRSLPKSISRLGKLDELLLTCCKDLQWLPKLPISLNGLRMKMYQSMYSYASLSLLLSLKRFILFGCEKLTKIEGVFKFEPFENFEIEEIKCLFNMDSINSIQLQVYNYLTDTKMITTPQVFHEGGITSCFVSESEVPISFKHRCKGPKISFSLPQNPSEKVSWLNLCIEYSLVSDEIFEFLPSVYIVNETKELVWAYFSSFIGIPKTKSDTVLWVIHWPVKEYQLENGDLVSCKLISSGLKIREFGVTYVAKRKDIHENDTRLYCQGNEDIWRKIKVKVNEELLNLGSSGNVKVQIYNYLEEPIIVASPQVLYDCGIISMFVPYDFACWGRYSHHVIGNKVSFTVARSSSQQICWLNFMVALVAEDDQIFDFLSRIEIVNETKSTTWTYYRRFIGIPKAKNTLYWLSSWRFMGEDGDRVSFTVFSDLYVKECVIDLIYEPDDNLLHKCNSTYQHSPGTSAIFRWLFPVFVYLLFMSQRTLYRIRCLDKCE >EOY11247 pep chromosome:Theobroma_cacao_20110822:5:38633306:38635592:1 gene:TCM_026492 transcript:EOY11247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance RPM1-like protein MPSLLDTMHEKNEVIMVNQLGSSTGKENSIEDQPSYLVQEAPSDDKKKLTEYTTNMEDQLTSQNQSALLPTEQKEQSKVVTDNATSSEDQSTSFDELTSPDEISFSNTLAVTYKHLSYPSKCCLLYLGLFPRLYDIPIRRLLQLWIAEGLVTQPTGNLRQLKYLGLRWTLLDSVPESVGGLPHLQTLDIKQTYVVTLPSTIWEAKKLRHLHMSEIYFDVKKSTRGSLKSLQTLWGLIIRRQLRETDWLVNLTGLRKLKLTCLEASMEHIADWLTKLSNLQSLKLRSISDSNEPARLAIKAMRNLTNLSRLYLLGLGELSNTFKVSDLPENLEVLTLSVSHLSEDPMEALGELEKLTVLKLYAHSFKGQNMTCHTDKFPQLQVLRLWMLEELQSWIVENKAMPILKEIEIRCCKNLEKVDGLENLTSLKELTLRNMKPSFIEYAREKVGDKVDIIAKDWKFPPPWVSSSRLSTCYKVLS >EOY08812 pep chromosome:Theobroma_cacao_20110822:5:21283499:21284188:1 gene:TCM_024020 transcript:EOY08812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAEKAELRKAALLPFREERRANFVANLRVRRAALALVVENFVIERQEVVADSESEREEEIMDSFCT >EOY08442 pep chromosome:Theobroma_cacao_20110822:5:8419643:8420672:1 gene:TCM_022876 transcript:EOY08442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNASTKLEIALIVVFATCLLALIIELVYVLWRKRRFRQRSIVSGGNGSVDSAFSNSPFYAAPSKELLYFFCWKNQPARVEPSSGVVSPSPTEAPTASDSEAATVEADDDELAKWQASYGPVRILYTIKEEEREGADSVENSADQTEVKSEKRVCLRDRFSGPVEVADDVAVVVDVEEATPFSTPCASPPYFTPSPSPGRDVGISILSPENDDVSCPDGDVLTDREVGFASLRIEG >EOY10298 pep chromosome:Theobroma_cacao_20110822:5:34032365:34037153:1 gene:TCM_025669 transcript:EOY10298 gene_biotype:protein_coding transcript_biotype:protein_coding description:TatD related DNase MKLFDVHCHLQDPRILNKAPKLISTALESGVLNFAVNGVSERDWHLVKEMGDKYPSVIPCFGVHPWYVAERSPSWFSTLKEFFETTPAAAVGEIGLDKGSRGREIDFNDQIEVFRQQLELAKELKKPASVHCVRAFGDLLQIMKDIGPFPDGIILHSYLGSAEMVPEFAKSGAYFSLSGYVMPMKTQKAKKMLKAIPSERILLESDAPDALPNSELGSLFLVDEDPSLPQEFFAQGRSSASNVCTPSDHQSLASRDASALPKEMLNHPANIHNVLDYVASLLEMRKEELAELSYRNAVQLFSYQGSKVPLE >EOY08364 pep chromosome:Theobroma_cacao_20110822:5:6960486:6964241:1 gene:TCM_022724 transcript:EOY08364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEELLIQYMVETNALIQSQVASLKNLETQVGQLANAVSNKPQGTLLSNTKPNSKREGKEPYMELILHSGKEIFLDEFKKLHINISFAKVLEQMPSYVKFVKDILTKKRRLGEYETVALAEECSDIIQNKLQSKLKDPSSFIIPYTIVALSFAKTLSDLGASIVEDVLVKVDKFIFPVDFIAFDIEEYREVPILLGNPFYELLELLLIVTHEVFDENYPHDLLEASSHVLKTPFESLDFSTSFSSENKFLGVLRKHKKARGWTIANIRGINPSICMHKIFLEDDNKATIKHPRRLNPIMKEVVSSKGLEIDKAKIETIEKLSSPTLVKERASQRRKFESILKHYHSSNYKGHFGGDRTIAKVLQSDFMGPFISSFNNYYILLAMDYESKWVEAVTMPTNDAKVMLRFLSKNIFTRFGTPWAILSDEGSHFCNKYFVAFFAKYGVTHKVVTAYHPETNGKVKVSNQEIKKILEKVMSLSRKDWAKRLDAAL >EOY08100 pep chromosome:Theobroma_cacao_20110822:5:4503263:4505604:-1 gene:TCM_022421 transcript:EOY08100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein, putative isoform 1 MAGTSNFTKREFFYGSVEDDRDQNYSVHTKGRKKLLVFGLGGLLCHRICRKDTAEVPIVHRRPDAVYGSYAVYKRPYCEEFMKFCLSRFEVGIWSSAREWYLNSALDCIMSGLRSKILFAWDQNECTNTGVSCLEKKEKPIFLKELKKVWDRNWSSSLQHRDEYSASNTLLIDDKPYKALLNPPYTAIFPSEYKANQVNDNSLDGELWRYLEGLAAADDVPSYVKAHPFGQPAITPMHSDWDYYSKIMVNIQGTR >EOY08099 pep chromosome:Theobroma_cacao_20110822:5:4503263:4506914:-1 gene:TCM_022421 transcript:EOY08099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein, putative isoform 1 MVLIFECMNDQSNLSNSFSLLVIYTERSFEFGRGLRKEKKMAGTSNFTKREFFYGSVEDDRDQNYSVHTKGRKKLLVFGLGGLLCHRICRKDTAEVPIVHRRPDAVYGSYAVYKRPYCEEFMKFCLSRFEVGIWSSAREWYLNSALDCIMSGLRSKILFAWDQNECTNTGVSCLEKKEKPIFLKELKKVWDRNWSSSLQHRDEYSASNTLLIDDKPYKALLNPPYTAIFPSEYKANQVNDNSLGPDGELWRYLEGLAAADDVPSYVKAHPFGQPAITPMHSDWDYYSKIMVNIQGTR >EOY09110 pep chromosome:Theobroma_cacao_20110822:5:26122218:26128812:1 gene:TCM_024496 transcript:EOY09110 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glcnac-adolichol phosphate glcnac-1-p-transferase MAARKRASATTATPTETKPNPPLTQETTTQTDPPISPPKKGLIFKLCLFFSISYFYLLFQRYKIEQDLKRSILINAALSVAGFFLTQRMIPVASRYVLKRNLFGFDINKKGTPQGTVKVPESLGIVVGIVFLVLAILFQYFNFTADSNWLVEYNAALASICFMILLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIIIPKPLVSFVGLEVLDLGCVYKLYMGLLAVFCTNSINIHAGLNGLEVGQTVVIASAILIHNIMQIGASSDPEYKQAHAFSIYLVQPLLATSLALLSYNWYPSSVFVGDTYTYFAGMTMAVVGILGHYSETLLIFFLPQVLNFLLSLPQLSGYVKCPRHRLPRFNPETGLLTGTHDGTLVNFYLRIVGPKSEKSLCLHLLFVQALGCCFCFMLRYFLAGWYK >EOY11297 pep chromosome:Theobroma_cacao_20110822:5:38861646:38862676:-1 gene:TCM_026528 transcript:EOY11297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTGSEGKKSFPSKSASSKGASSMQSKKKWKTEPTSMNERGDPKSKRTKDNDTVVKTCSRNFSDFTRREDSYPNRHTAELCKKDQATRKCKTAAKDMAKGKKKMGKKSFTSEIE >EOY08503 pep chromosome:Theobroma_cacao_20110822:5:9416290:9418298:1 gene:TCM_022978 transcript:EOY08503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 121 MNDLFSGSFSRFRSEEASPDHHVIQMTQSSSTSGGVNLDKFFEDVESIKDELKELERLNDNLSSSHEQSKTLHNAKTVKELRAKMDADVAMALKKAKLIKVRLEALDRSNAANRSLPGCGPGSSSDRTRTSVVNGLRKKLKDSMESFNGLREKISSEYRETVQRRYFTVTGENPDERTIDLLISTGESETFLQKAIQEQGRGRILDTINEIQERHDAVKDMEKNLKELHQVFLDMAVLVQAQGEQLDDIESQVNRANSFVRGGTERLQTARNYQKNTRKWTCYAIILLLVIILFVVLFTVRPWENNGGGGGGNSSPSQNPTTTAPPPPTA >EOY09721 pep chromosome:Theobroma_cacao_20110822:5:30742688:30743740:-1 gene:TCM_025112 transcript:EOY09721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENLLELSWLMALQQQKSKFYEPCDHCQSSRTSTYFCKDCMMAFVFCERCKNRSSDHGNHDYFQVFKPSYRLGVRVHDMQGLFDVSDIKNHTHNRHTVVYVDLKDQCACRRAGGSVTRYCSIQCKVDDEAALCVQSAYDQFAEAAAVRARHRKGAPHRSPFY >EOY09250 pep chromosome:Theobroma_cacao_20110822:5:27554200:27573492:-1 gene:TCM_024658 transcript:EOY09250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLYLGMSSFMRAFFPSKSQHKLSIKKQFLKHLVSIILSPIISISILIIIQTLIFLFMIIILLRHIIVIYHLHHHTMSLNILFKIFYLSIYYLILIKLLLFLCPMLMSQKLIIKRFSLSLEECHESKIDALEDNGTWSVVPLPSDSHFIDSKWVYKVKMNADGNVERYNVRFAAKGYNQRESFDYQETFSPMAKQPTATNDVMQYLSSKFKLKDLRCVKYFLSLEVARSDTRITIYQQKYTLGLLKELGLLGAKLVFAPMDYNQKPICVCKSNYAEVMAIKVALEDFYQSKQVVKNALMVEFNHFFNGNNYPMWAVKMKAYLKAFDLWEVVEVGGDPPARQANPTIAQMKQYNEEVAKRFKALSCIHSVVTDAVFVRIMACESAKEAWDKIKEEFHGSDHTRQIQILNLLRKFEVLKMKDEETMKNYFDKVLRVVNQALRQEDHVEATLAARRVDKRTSSGSHKKFEHEKKDKDKRPHVKCRACNQKGHVEKVCKNKENRVEEKAAIMEQKEDAEETLFMVIESNDLEKDSIWLIDSACSMHITGKIKNFLDLNKAYKSTVEIRDGNLLEIEGRGTVGITTKKVFNEDLHWNWMKNEIAENNNDNVAINLDVFEEEAGHELDDNIDDIPAPRAWYEKIDGYLRSNKFFRSESEPTLYVKSSLGKIQLIVSVYVDDLLIIGSNKTDLKSFKNKMKSEFDMSELGEMSYFLGLEIQQRSEFICLHQKKYARKLLKRFKMEGCKPMSTPLATATKLCKDDGRTLVDVTQYRKLIGCLLYLSASRLDIMYVRGTLNYGFLYGQVENKELEGYSDSDWARSYDDLKSTGGYCFSFGSAMFSWNSKKQDIIAQSSAKAEYVAAASATNQALWLKKVLLDLKFEQINPTVLWLDNQSAIALAKDPINTRSCEEQ >EOY07940 pep chromosome:Theobroma_cacao_20110822:5:3521012:3524959:-1 gene:TCM_022271 transcript:EOY07940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MPIKLQECEEIKMNQGTTKNNRALFIFPVHELCLQVHATKKEALQVYSFFRESCKSIKQAFQVHAQIILNGYHHQFFPISRLISFFALLGSRAGLDYSQILFCQIEHPNQFMYNTMIRGFSHSEFPEKALIFYSSMLHQENRPPNNFTFPFLLNSCARLSTLKPGIQVHSHIIKFGFDLDLFVRNALMHFYSVFKHLNDAQIVFEGSLVRDLVSYNTMINGSALVGQPDPALRLVKEMQDFGIQPDEFTFVALLSAFSSLNDSRIGKQIHGFVYRNLCRIDSNVVLKTAILDMYTKCGLMDLAERVFSSMASKSTAAWSSMVSGYARCGETEAARRMFDQMDQRDLVCWTAMISGYSQSGQYSEALELFLQMEGLGIRPDEVTLAAVLSACARLGALSLGERLHHRYIEGDLCYQNIILSNALIDMYSKCGKIDSALDMFHRIPKDLRTLSNFNSMISGLAQHGLGETALAVFREMEPIGLRPDRVTFVAVFSACSHSGLLEEGKELFRLMSDLYGIKPRMEHYGCMVDLLSRDGCLEEAYDIILGMPFEANSVIWRALLGACKRHGNVKIGEVASQKLIELEPDHGARYVLLSNMLANSNQWEEAGRVRKVMEDRGIQKPPGWSYIELEGTLHHFFASDKSHLHDKEIQSMLQDMAMRLKSAGYVPDTGQVVFDVDEEEKESVVSYHSEKLALAFGLVNASPGETLRIMKNLRVCGDCHSAFKLLSEMYRREIIVRDAIRFHHFKNGSCSCKDFW >EOY10862 pep chromosome:Theobroma_cacao_20110822:5:36854234:36857664:-1 gene:TCM_026157 transcript:EOY10862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MKSAFLNGVLSEDIYIEQPEGYVKEGNEGKIYKLRKALYGLQQAPRAWYERIDEHFKNQGFVKSVNEHTLYVKKSNELIVLIVDLYVDDMLITRPDKAYLEDFKTQMMNVFDMTDMGLMSYFLGMEVLQLPDQIILHQKKYAKDLLKKFDMDSCKAIDLRFTTGNKLIKEDE >EOY11604 pep chromosome:Theobroma_cacao_20110822:5:39828999:39829946:-1 gene:TCM_026735 transcript:EOY11604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANRRNQMSRASNRGDHWVQQELYLVLHKALWIWWNNSWVPGQASCCHVWVLSRVGCGVEDAGLAWVTNTPRVISCKGGYGWKMSLVICYNKLRNQRFNFGCS >EOY07249 pep chromosome:Theobroma_cacao_20110822:5:918204:922179:1 gene:TCM_021716 transcript:EOY07249 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA box-binding protein-associated factor RNA polymerase I subunit C, putative MELSEEWKSYFPIGKSLDPPLLLSSASPGPLFFIPKPRTLPKTLFSSPSLFPPLHPPPSRLSFSRFLSTSSVPYSASSSIASRFGLESFYDDAASSSFLSHNRLHLLHCPDQNIAVVFFTTGANHDRIGFFAVHVQDNDFKFLGDRDGDILISHNHCNHKILRILVSPVDDDDFEENSGDSVVGYLMACTLYSVHWYSVKFVKSSKSPALDYLGCKLFKSSSIVSACFSPHLPQESMVLLENGALFFFDLESDVNCQIPNAYFKGNKLRVLWNDSSGSENYKWLGVEFSWHPRILIVARSDAVFLVDNRLDQCNVICLAKVEMLSPYTVDEEDQFLAFSRAGADGFQFVLASRSLLVLCDVRKPMMPLLRWAHNLDNPCYIHVFRLSELRSQSRDDRYHWATESGFCIILGSFWNCEFRLFCYGPSPASEGSTASEIAKFCKPFLAWDLPSDLSLSSRECHCGSCLVREEFSKGALPEWVDWQQKKDIVLGFGILNRDISELVCESDEFGGFTLIRLMSSGKIETQRYCASWDLVQKLDVGHREPLLNFEDSLLYSFGDDEYKFPKKFKYLNLDYLRGYLNGNVAEVLDSKMKSCKGPLEKESFGLDFHEILCEKLKVCGFGRFRSSPPLAIVFNDISSPTSICEVASRQMWATLPLELLLLAFSGYSDLFDAPFDDNTMPLKFSVVPDLPQLPPFLLRKPSCCSTKWSHKVWPDDSLVGPVLPLPVLLTLHEFRNGCPDSENMCEYSSEVELGLRCNEVMQVAAEMAVSDSSLLDNDEAISLADDRDGMWLDSQRPKPFFLYHPVGGEPSSTGQLQGNHMYKDEKFITMITKVHEKEADSSVTMANVGLELFDDLCLIELKFDVPAMNFMSQELEAYKTLKRQFSKWQEHFNPYQELCKQNNLNSQRRE >EOY08488 pep chromosome:Theobroma_cacao_20110822:5:9222733:9223293:-1 gene:TCM_022958 transcript:EOY08488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTVEVDQHLKKKGDTECLPWSFLNGYIKKHMEDEQGLLAFAMVIYGLVVFPKVLGHVEVSVIDFFDQVTRSINPTPSILVETFRSLNFCQRKGEGRFIRCAQLLTIWVKSHFECKESKFRKPYLFASYPIFEFCESVWPDCKRKEEWVTNF >EOY11085 pep chromosome:Theobroma_cacao_20110822:5:37861337:37863827:1 gene:TCM_026358 transcript:EOY11085 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein MAGRSGTNLKNNYWPEDLLLLILATLPTKSLLRFKCVSVHWLSLIINPYFIEQHLEEQQKNDYPHLIFATRATGPVMGMVFESMHKVFKSMAIVDVEVGDEEGAKIRKGLKQSSSNICHRPCFRYFMSNSCDGIICLFGITNVFVYNPRTREFRIVKMQKKGFSPVFSSSVDFFVIAKQGMGRVKGQMAWFEQLKCSLFSLVSSSQETNNRAYSDIFFAVRIFSKDFLVGFGRDQVTKECKIIRLFTPKEENHIHECEVFTLSSDAGASWRGLGEVAYFIRAAQLPVYLNGALHWILDVRHANPSEVIVSFDLHIEKFQAISHPSCFSEVSDRRTLKRMGLLSLRSSLCRVEGKYKSPPTLLNIWIMNQSNGIWEKMFSINWGLTEYGIALPFRIAELKEGTFLVLRYGRNLEIFDPESQSDSEMLIQHENSVDYYAYSESLVPLYGKPLVELRCHANCCLG >EOY10977 pep chromosome:Theobroma_cacao_20110822:5:37319862:37321486:-1 gene:TCM_026248 transcript:EOY10977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rotamase cyclophilin 2 MSNPKVFFDILIGKAKSGRIVMELFADVVPKTAENFRALCTGEKGIGISGKPLHYKGSTFHRIIPSFMCQGGDFTRGNGTGGESIYGMKFADENFKLKHTGPGCLSMANAGPNTNGSQFFICTEKTSWLDGKHVVFGKVVDGYSVVKEMEKAGSESGRTLQPVVIEDCGQLKEN >EOY10668 pep chromosome:Theobroma_cacao_20110822:5:35800829:35803708:1 gene:TCM_025973 transcript:EOY10668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MANSNPRTNLRCHHCAGPLSKEMETSEWTVAPLVRDSFSMIGSAVGGTTSAFYGFNHVMPLVRRWVKGPMWVHFLIGVNTFSFSCGAACLSRTIWFMAVPAGSPLTFLVAPPVIVFSSACAGLAGGAVPALAQLASSSYRAAFSSSSLPPSSQEDKMHKSRTSNTL >EOY10669 pep chromosome:Theobroma_cacao_20110822:5:35800807:35803711:1 gene:TCM_025973 transcript:EOY10669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 KTPLHKTLRTKSLKLRVSGASCGGVLDLVSETLKKIIIINKRGENAMANSNPRTNLRCHHCAGPLSKEMETSEWTVAPLVRDSFSMIGSAVGGTTSAFYGFNHVMPLVRRWVKGPMWVHFLIGVVLFQPLLNLHLRLTVLHSHLLHCLHLHRKIRCISLGLLILCNYIYSFLFS >EOY11631 pep chromosome:Theobroma_cacao_20110822:5:39886436:39887132:1 gene:TCM_026749 transcript:EOY11631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein MEVVEFKVRLHCKSCEKAVRKSLLKINGVKCVEIDMMSSKITVLGYVDCKAVVKAIHKTGRRAEAWPSAAPSCRRPEDYFSPRLPKGFRCIIPRWGLPKV >EOY10650 pep chromosome:Theobroma_cacao_20110822:5:35702239:35707048:1 gene:TCM_025959 transcript:EOY10650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein MKRISQVAQKPLNGGPQMAVDWGCLRSGFHVHISVLNFFMVSVNFLATPTNRKGSGEIALLALKEVRLAFNVENDLEELQDTMTLIKAVLLDAERQQEQNKALSHSIWKLRDLFYDAEDVIDEIECEAPRKEVVNYPSTSVKVRCLPSCFVPLAFSSKMGHKIKEINKRIDTIATEWDRFNLGQQVDNRRVIHRETHSFVNSSDVMGRDEDRENIINLLKEPSDESGNSPVILIVGIGGLGKTTLAQLAYNDERVIKLFLLRIWVCVSEEFDLRRLLKEMVYSINDVWNEDRVTWIELKNLLISMGLSYEDCLTLFTKWAFNDGDERRYPNLMRIGEKIVEKCKGVPLALYDTDYIIQFWMANGLLTGSNQNEEWEDIGITYFKELWLRCFVQDVTDHGSFYEFKMHDLIHDLASNRSQKECLTVNHQSMKVVEKVRHLSFSLDIPLGVPQSLKKLKRVRTIVVPPLPFAKENRSIDESFVNVCILNFKYLRLLDLSYTLLEELPESICTLKHLRYLDLSLCHRMRKIPSSICKLQSLLTLRLFGFPLIEVPEGLQSLISLRFLEITTDALLLRDIQPGCWSSLQFLHLYECEMLESIFDGMQHLTSLRRLAIQGASWSGALTDLPQLLLKGSASTLQSIKIEGCENFELLPEWLQNLTSLQKLEMRNCRKLSSLPEGMDRLTALTQLKIIACPTLSERCRRDGGADWPKISHVREAEQMS >EOY09222 pep chromosome:Theobroma_cacao_20110822:5:27294766:27296889:1 gene:TCM_024628 transcript:EOY09222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 methyltransferase, putative MGFAAAKPNTHTISSNGNKENRGMGLLLVFFPEDQNKISNSIDNTTSRFIRRTSSSLLFTKAQSTISICALLVFFTLLLFTLSTFEPTIPTPTTTTSTIKNSRRFLSQKTQLSSSSSSYRFFPMWDYQPPHVKKKSNVSSSSFALQGMGMLYRRGTKAMNDLVVSHVVEDVSEEELRLFLRVLHRSGVTSKADIVFIFGSSLLSSRFSFVIQEENESFLKLIQHYKEIDSKGSRGSVFSFDPTQFWKSGKKGVGEPIWGKKSRGNYSNSTEAEGEPTRLTYGSVVGFDVSELDPENSLAGFLDHVPMSLRRWACYPMLLGRVRRNFKHMMLLDVKNLMLLSDPLGRVRNRSPESVYLLTKENSSSKHNKRNSEKTHCQVNSAILMGGARGIRRLANAMLTEIVRATMQHKKKNPISEPGILSQLVGNGYILKNVHLITSTESIQEASSLMGLSSNSAADYSVIQRGNSNRDLNSIMMKLICLSEVESSVYRDC >EOY09582 pep chromosome:Theobroma_cacao_20110822:5:29978512:29980012:1 gene:TCM_024997 transcript:EOY09582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative MYITHFPYNLKRTSLLTSPYLSVMGVLSSVRSTLFCFIFLILLSWVSSDWAEDKKECSNQLVALSTCIPFVGGNTKVPDSTCCTNLRKKINQTKKCLCLLVADRNDPDLGFKVNATLALILPSICHAPSNASECPELLHLPPNSTDAQVFEQFAATFEEGNSSAVDVTGTSTSSSCSVREEGWVGVWKIGRILTWQLLSIYIIDM >EOY09441 pep chromosome:Theobroma_cacao_20110822:5:29169440:29169833:1 gene:TCM_024861 transcript:EOY09441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSNVTSPAIALEEKVENLSENLGKIRDELHGEIHEEIKNAMAKNMSEFMARMESMIMTNALSQQGNAGPSS >EOY07351 pep chromosome:Theobroma_cacao_20110822:5:1292424:1294589:1 gene:TCM_021807 transcript:EOY07351 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 85A3, putative MRQPHVLVLPFPAQGHIKPMLCLAELLCQAGLRVTFLNTHHSHRRLNNLQDLSTRFPTLHFESVSDGLPEDHPRNLVHFMHLVHSIKNVTKPLLRDLLTSLSLKTDIPPVSCIIADGILSFAIDVAEELQIKVIIFRTISSCCLWSYLCVPKLIQQGELQFSDSDMGQKVSSVPEMKGSLRLHDRPYSFGLKQLEDPNFQFFVSETQAMTRASAVIFNTFDSLEAPVLSQMIPLLPKVYTIGPLHALRKARLGDLSQHSSFNGNLREADHNCITWLDSQPLRSVVYVSFGSHVVLTSEELLEFWHGLVNSGKRFLWVLRPDIIAGEKDHNQIIAREPDLGTKEKGLLVDWAPQEEVLAHPSVGGFLTHCGWNSTLESMVAGVPMLCWPKLPDQLVNSSCVSEVWKIGLDLKDMCDRSTVEKMVRALMEDRREEVMRSVDGISKLARESVSHGGSSSSNLEMLIQELET >EOY08534 pep chromosome:Theobroma_cacao_20110822:5:10315093:10318254:-1 gene:TCM_023089 transcript:EOY08534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MQSYRTKVVPYYTELCVICGHIVADGRYSLSCFDVDFENEEKGADDKTPSSCDRTKIDWTPTMDQYFLELMLDQVHKGNKVGCTLKKKAWVSMITLFNAKFGLQHSRAVLKNRYKILRSQYASIKTLLTEKGFHWDETQKMVIADDRVWNKYVKEHPEFRRFKNKSMPCYDDMCIICCNESTSAETRILQCNMSSENGTPGKDIGGRSEPTINIKVAKKVHDKVPAPIVGSKLQEQQNKHQSQMPRTSHQPKRSRSEEDAMANAVREMAFVVTSIKRKKENENAPTRRVIEELQAIPGIDDDLLLDACDFLEDDRRARMFLALDASLRKKWLMRKLRPQ >EOY08531 pep chromosome:Theobroma_cacao_20110822:5:10314677:10318103:-1 gene:TCM_023089 transcript:EOY08531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEDDSLSDTDNVRANWTPPQDQFFVELLVEQVQMRNKTDHAFKQLAWVDMITQFNSKFGFKYDIDVLKNRFKRMRKQYNEMKILLDQSGFKWDEEVQMVKADNNKWDEYLKAHPDMQSYRTKVVPYYTELCVICGHIVADGRYSLSCFDVDFENEEKGADDKTPSSCDRTKIDWTPTMDQYFLELMLDQVHKGNKVGCTLKKKAWVSMITLFNAKFGLQHSRAVLKNRYKILRSQYASIKTLLTEKGFHWDETQKMVIADDRVWNKYVKEHPEFRRFKNKSMPCYDDMCIICCNESTSAETRILQCNMSSENGTPGKDIGGRSEPTINIKVAKKVHDKVPAPIVGSKLQEQQNKHQSQMPRTSHQPKRSRSEEDAMANAVREMAFVVTSIKRKKENENAPTRRVIEELQAIPGIDDDLLLDACDFLEDDRRARMFLALDASLRKKWLMRKLRPQ >EOY08533 pep chromosome:Theobroma_cacao_20110822:5:10315081:10318034:-1 gene:TCM_023089 transcript:EOY08533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MQSYRTKVVPYYTELCVICGHIVADGRYSLSCFDVDFENEEKGADDKTPSSCDRTKIDWTPTMDQYFLELMLDQVHKGNKVGCTLKKKAWVSMITLFNAKFGLQHSRAVLKNRYKILRSQYASIKTLLTEKGFHWDETQKMVIADDRVWNKYVKEHPEFRRFKNKSMPCYDDMCIICCNESTSAETRILQCNMSSENGTPGKDIGGRSEPTINIKVAKKVHDKVPAPIVGSKLQEQQNKHQSQMPRTSHQPKRSRSEEDAMANAVREMAFVVTSIKRKKENENAPTRRVIEELQAIPGIDDDLLLDACDFLEDDRRARMFLALDASLRKKWLMRKLRPQ >EOY08532 pep chromosome:Theobroma_cacao_20110822:5:10315417:10316621:-1 gene:TCM_023089 transcript:EOY08532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MQSYRTKVVPYYTELCVICGHIVADGRYSLSCFDVDFENEEKGADDKTPSSCDRTKIDWTPTMDQYFLELMLDQVHKGNKVGCTLKKKAWVSMITLFNAKFGLQHSRAVLKNRYKILRSQYASIKTLLTEKGFHWDETQKMVIADDRVWNKYVKEHPEFRRFKNKSMPCYDDMCIICCNESTSAETRILQCNMSSENGTPGKDIGGRSEPTINIKVAKKVHDKVPAPIVGSKLQEQQNKHQSQMPRTSHQPKRSRSEEDAMANAVREMAFVVTSIKRKKENENAPTRRVIEELQAIPGIDDDLLLDACDFLEDDRRARMFLALDASLRKKWLMRKLRPQ >EOY08530 pep chromosome:Theobroma_cacao_20110822:5:10314677:10318847:-1 gene:TCM_023089 transcript:EOY08530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEKPSQTRLFPLLSTDNPIPHFARKRLEAAITAQSQRGKEMEDDSLSDTDNVRANWTPPQDQFFVELLVEQVQMRNKTDHAFKQLAWVDMITQFNSKFGFKYDIDVLKNRFKRMRKQYNEMKILLDQSGFKWDEEVQMVKADNNKWDEYLKAHPDMQSYRTKVVPYYTELCVICGHIVADGRYSLSCFDVDFENEEKGADDKTPSSCDRTKIDWTPTMDQYFLELMLDQVHKGNKVGCTLKKKAWVSMITLFNAKFGLQHSRAVLKNRYKILRSQYASIKTLLTEKGFHWDETQKMVIADDRVWNKYVKEHPEFRRFKNKSMPCYDDMCIICCNESTSAETRILQCNMSSENGTPGKDIGGRSEPTINIKVAKKVHDKVPAPIVGSKLQEQQNKHQSQMPRTSHQPKRSRSEEDAMANAVREMAFVVTSIKRKKENENAPTRRVIEELQAIPGIDDDLLLDACDFLEDDRRARMFLALDASLRKKWLMRKLRPQ >EOY08405 pep chromosome:Theobroma_cacao_20110822:5:7731524:7732818:1 gene:TCM_022805 transcript:EOY08405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short chain alcohol dehydrogenase, putative MSANSSVASNLRRLQGKVALITGGASGIGECTARLFVHQGAKVVIADIQDDLGQSICEELGHQSISYVHCDVTRDSDVRNAVDLAVSTYGSLDIMFNNAGIAGPDKSIVSSDDEKFKKVLDVNVFGGYLGAKYAAKVMIPARKGCILFTSSVFSVIGGTDAGHAYVTSKHALVGLAKNLCVELGQYGIRVNCISPFGVATPLLRKPFGMMEKEKVEELVSKGANLKGTVLKPDDVAQAALYLASDESKYVSGLNLVIDGGYSTTNPSMSTVIKSLFS >EOY07583 pep chromosome:Theobroma_cacao_20110822:5:2038208:2041925:-1 gene:TCM_021978 transcript:EOY07583 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 7 isoform 2 MAATRRLRDLQSQPGNKTCVDCNQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMESGGNEQLNSFLAQYGIPKETDIVTKYNTNAASVYRDRIQALAEGRPWRDPPVVKETLNGGGGNRKPPLSGGGGGGRGSNYGNNGGWDSWDNDDSFRSSSDLRRNQSASDFRGGNNHGGQMGGAPVRSRSTEDMYTRSQLEASAANKESFFARKMAENESRPDGLPPSQGGKYVGFGSSPMPTQRNNNSQGDVLSVVSQGLGKLSVVAASAAQSAANVVQAGTKEFTSKVKEGGYDTRVNETVNVVTARTSEIGQRTWGIMKGVMAIASQKVEEYTKDGMNWKNENWQRNDSEKNGYYQEFKQENKGWIGMTGTQKTIGRKIVQK >EOY07582 pep chromosome:Theobroma_cacao_20110822:5:2038151:2041870:-1 gene:TCM_021978 transcript:EOY07582 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 7 isoform 2 MAATRRLRDLQSQPGNKTCVDCNQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMESGGNEQLNSFLAQYGIPKETDIVTKYNTNAASVYRDRIQALAEGRPWRDPPVVKETLNGGGGNRKPPLSGGGGGGRGSNYGNNGGWDSWDNDDSFRSSSDLRRNQSASDFRGGNNHGGQMGGAPVRSRSTEDMYTRSQLEASAANKESFFARKMAENESRPDGLPPSQGGKYVGFGSSPMPTQRNNNSQGDVLSVVSQGLGKLSVVAASAAQSAANVVQAGTKEFTSKVKEGGYDTRVNETVNVVTARTSEIGQRTWGIMKGVMAIASQKVEEYTKDGMNWKNENWQRNDSEKNGYYQEFKQENKGWNSTSGGQSSSGGSYNSYSSSSWDDWDSKDNRKKDSTKVTASQSNDGWAGWDDAKDDGYDHFYSGASDKKTVGHNGKSDATWTGGGFL >EOY10080 pep chromosome:Theobroma_cacao_20110822:5:32892907:32899286:-1 gene:TCM_025462 transcript:EOY10080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like D3 MASKSFKGSRSSLSISSDAAESHKPPIPPTVTFGRRTSSGRYVSYSRDDLDSELGSSDFMNYTVHIPPTPDNQPMDPSISQKVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEANHPQMAGAKGSSCAIPGCDAKVMSDERGADILPCECDFKICRDCYIDAVKTGGGMCPGCKEPYKNTDLDETAVDNNARPLPLPPPGTMSKMERRLSLMKSTKSVLMRSQTGDFDHNRWLFETRGTYGYGNAIWPKDGNLGNGNNDEVVEPQELISKPWRPLTRKLKIPAAVLSPYRYSSHCWIVHNVIFLLYVFRLYYGNYTFEGLRLLIFLRVVVLALFLAWRINHPNNDAIWLWGMSVVCEIWFAFSWLLDQLPKLCPINRATDLNVLKDKFETPSPSNPTGKSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHGIEPRNPESYFNLKRDPYKNKVKPDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKLQRQNREDEPVESVKIPKATWMADGTHWPGTWLNAASEHSRGDHAGIIQVMLKPPSDEPLHGTADDGPIDLTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRVALYGFDPPRSKEHHPGCCSCCFFGRNNKHSSMAHTPEENHALRMGDSDDEEMNLSLLPKRFGNSTFLIDSIPIAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGHRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASPRMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLTYLLTITVTLCLLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDDVDDEFADLYVVKWTSLMIPPITIMMINLIAIAVGFSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPSGTNQIGGSFQFP >EOY07362 pep chromosome:Theobroma_cacao_20110822:5:1316289:1318686:-1 gene:TCM_021816 transcript:EOY07362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADVLDDGEFWLPPEFLTDDDLFLDNSKAKNNHKNPKDGFGLELDGSKSLFPYEFRHGFGSFGFSSDLSSPIESVVGSTETESDEEDYLAGLTRQMAHSTLEDGFRRNDRVFGSENSKGWILSRSPQSTLCAVGSGCGCKQGSSRGSPNSQSRVSSPPGTWDLLYAAAGEVARMRMNEESYGGFNNRSLLGPPARKPSPNLDVPGYYPPHQSLSHQKLQATQFQQLKQEQLMKQQNASVWGGQKQQHQHHHHVVQNRGRNGNSNRPLGLSPSAWPPLQQQQQPQTQNGSGMRAVFLGNPTAKRECAGTGVFLPRRIGTPAETRKKPACSTVLLPARVVQALNLNLDEIGAQPQLHPRFNASFTADSGCCFEASKWWECLFKSKATQFQATARDEPRSPVTAGVDILTQLCFCWSTNSAGSFFLFFFLAFLFRKWEGF >EOY09510 pep chromosome:Theobroma_cacao_20110822:5:29595400:29596176:-1 gene:TCM_024926 transcript:EOY09510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWGSSPSDSLKISHEFNCYSLASECTSRSEERILGPSYSRNQCKFFHHKICP >EOY07288 pep chromosome:Theobroma_cacao_20110822:5:1072277:1076091:1 gene:TCM_021757 transcript:EOY07288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide transporter 1 isoform 1 MGEDDIYTNDGTVDIHKNPANKSKTGNWKACRFILGNECCERLAYYGMGTNLVNYLEQRLNQGNVTASNNVTNWSGTCYITPLIGAFLADAYLGRYWTIAGFSIIYVLGMTLLTLSASVTGLKPSCNGDVCHPTASQSAVFFVALYMIALGTGGIKPCVSSFGADQFDETDDIERKKKSSFFNWFYFSINIGALVASSVLVWIQMNVGWGWGFGIPAAAMAIAVVFFFSGSRMYRLQRPGGSPLTRIFQVIVSSFRKLNVKVPADESLLYETADQECSIQGSRKLEHTDKLKFFDKAAVETQTDYVKGSVNPWRLCTVTEVEELKSIIRLLPIWASGIVFATVYSQMSTMFVLQGNTMDQHMGPHFKIPSASLSLFDTLSVIFWAPVYDRIIVPWARKFTGKERGFTQLQRMGIGLVISIFSMVTAGILEVVRLKIVRKNNYYDLEYIPMSIFWQVPQYFLIGCAEVFTFIGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSTLLVTIVSKVTTRDGKLGWIPDNLNRGHLDYFYWLLAILSLVNFLVYLWIARWYTYKKATGRPY >EOY07287 pep chromosome:Theobroma_cacao_20110822:5:1072015:1076110:1 gene:TCM_021757 transcript:EOY07287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide transporter 1 isoform 1 MGEDDIYTNDGTVDIHKNPANKSKTGNWKACRFILGNECCERLAYYGMGTNLVNYLEQRLNQGNVTASNNVTNWSGTCYITPLIGAFLADAYLGRYWTIAGFSIIYVLGMTLLTLSASVTGLKPSCNGDVCHPTASQSAVFFVALYMIALGTGGIKPCVSSFGADQFDETDDIERKKKSSFFNWFYFSINIGALVASSVLVWIQMNVGWGWGFGIPAAAMAIAVVFFFSGSRMYRLQRPGGSPLTRIFQVIVSSFRKLNVKVPADESLLYETADQECSIQGSRKLEHTDKLKFFDKAAVETQTDYVKGSVNPWRLCTVTEVEELKSIIRLLPIWASGIVFATVYSQMSTMFVLQGNTMDQHMGPHFKIPSASLSLFDTLSVIFWAPVYDRIIVPWARKFTGKERGFTQLQRMGIGLVISIFSMVTAGILEVVRLKIVRKNNYYDLEYIPMSIFWQVPQYFLIGCAEVFTFIGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSTLLVTIVSKVTTRDGKLGWIPDNLNRGHLDYFYWLLAILSLVNFLVYLWIARWYTYKKATGRPY >EOY09523 pep chromosome:Theobroma_cacao_20110822:5:29654235:29656077:-1 gene:TCM_024939 transcript:EOY09523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGLGMVVAGRVAEPVMEVDERAHGGRCPRPTRTVNFCNDDLLLSAAWGGLLVSSLNVVWGFLFASQISILFMQRVSITIYPLLLGRFARFCLFFPSTCKSTSKKSFLLLQYLR >EOY11760 pep chromosome:Theobroma_cacao_20110822:5:40238933:40240170:-1 gene:TCM_026837 transcript:EOY11760 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative MTMTLGRNNLRMNLPTTSTKLTCQTLGNPSKECSNCGAHVPHFLHQVRLLGIQRRLCTSCVLRLHPSSFCPACFAFYGGTLPHPSKRIACSNCSSFTHSHCAGDNLLSSYLCPPCKDSSFSFFPLKDNKIDKKLALALLCAAKIASSSMGKAVTVAWAEADRKVREAALARKRAREALEHLLYITREERARKENDNVSVDLSDANLAKVEDLDLDMDNGDGDGDGDIDVDLVRQLEDSLVKDEDIDCKFN >EOY09160 pep chromosome:Theobroma_cacao_20110822:5:26719250:26722325:1 gene:TCM_024561 transcript:EOY09160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGQIAKLMEMVEHLNEANRIHPQEFQSLQTEPHLKQPLNESQFDLYRSNIFLSDPSKNQGLGKVMKGMDELNMQMIELKDSISKIGSLGPTQPSSSGLPPSTYLQLTIFRPTFQPMNIGLRPNHLVAAMPMKPPYPKWYNLNTRCDYHGGVMGHSIEDCTAFKHSSWKDLARAFLIQYKHDMDIASNRLSLQNMEKRDIESFKEYAQRWIDVAAQVQSPLTEKETTMLFIGTLQPPYYDKLIGNATKNFTDIVISGEMIENAIKKGEIGENSVSNMENCIASKKRKEELQVITREGQPWNQYFSPVPIKTVPNPSARNYDPNAKCDYHMRTIGHSIEKCRQLNEKIEILIKDGTLTFELMEHWKSTLP >EOY07335 pep chromosome:Theobroma_cacao_20110822:5:1228869:2274214:-1 gene:TCM_021794 transcript:EOY07335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein HFSQHDKTILIGADPSHVGDRCIRVTIHHCFFDGTRQRHPRVRFGKVHLYNNYTRHWGIYAVCASVESQIYSQCNIYEAGQKKVAFKYLTEKATDKKEACSGCIRSEGDLFTAGTQAGLLTENARSNLFHPSEYYPTWTVEAPTDTLKHVVQHCTGWQCVPRPTEAA >EOY09477 pep chromosome:Theobroma_cacao_20110822:5:29405087:29410511:-1 gene:TCM_024895 transcript:EOY09477 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding bromodomain-containing protein, putative MAKPDNFPEKQTWGTWEELLLACAVHRYGTESWDSVAMELQKRTSTLRHLFLTPLSCQQKFQELKLRFAENDAGGDDAKTTNNITTAVPWLDELRKLRVAELRREVQQYDLSIVSLQLKVQRLKEEREQSLGDNGKETEKTDLEREEESNKKEEENEPENQIQKPVHAGEESDRENRSVNESNSTDPKDESPEAGPEEAKVEPVPVEPEGGETGKEMESEKPAGEDSCNGSCDSVAKESAGNSERGDPGTEPGDSPESVAESKGEEPNRESSDVQSSASLSGKEKKKAEPDEPDNEELDQSPAIKVSIESQPLVEFLEIFRSHNLGSLFERRLDGQETPDYLNLVRQHLDFETIRTRLEEGWYSGCKSKFFRDLLLLLNNAIVFFGKKSSEYAAAVELRPLVSKEIAVQIPNTNLLPKAQSYTLLESQMPMKPEPEPALSLSMKPKLSVPLIACRKRSSITAKASTSSSGQDKKRQPIATLMNEKPVLDWKQHDKSSEKAEESLVTKKRTRERSASGARKASKNVKTRSNTSTNKNSDANTNTAISSKGGSSNEDSESKAEKEKTNANISSKKRSAANFLNRMRRSSSSNNGPLIETLKGVISSDNGKGDGGEQKKNSNSKGDQRKDQISTPRTRSGGKRAKEMGSPAKRSTGRPPKRAAAPSPATPSVPAKRGREVVENELGSGHAKKRSRK >EOY11754 pep chromosome:Theobroma_cacao_20110822:5:40226701:40228549:1 gene:TCM_026832 transcript:EOY11754 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein, putative isoform 3 MMAKGASNCLFLSLLFLLIICSSGTLVGFSYHARGKTAAPSTRRTMSFLQMNKVSPSQIRVFVADHKVLSPLSNSGVSVDLYLNESLVENLRSSNLSAISWLKTHLLALLPHVDIKSIVVTRINDDFRGQTELIKLLSTLKSIHSLLSSFNLHREVKVSVAFSSSFLQNIKSKTFERDLRRIFGFIKKTGSSVIVEAVVDGEMSMGDQFVQSVIEGATLATSALPYNSVPIVLTMKSTAVPSPTEAAEFTAKVERCLANNIEITTRVAALYAEVSTMEDFVQNGHEGEEEQIFLSSRRELLSSVKETTHDVIIPPPTTALPTAPSSTSPVSIPPNNPTPTIVTVPSTNPITVTPTNPADTPAPIPTTTPVTVPSTNPNNPTVPITNPVTTPAPITVPGAQPVTNPVTTYPAPTGGVPVSTPVTNPVTPPATTNAPAIPGQSWCVARTGASETSLQAALDYACGMGGADCSQIQQGANCYNPNTPQNHASYAFNSYYQKNPAPTSCDFGGTATIVNTNPSNSSLLFACLLVC >EOY11753 pep chromosome:Theobroma_cacao_20110822:5:40226591:40229580:1 gene:TCM_026832 transcript:EOY11753 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein, putative isoform 3 MMAKGASNCLFLSLLFLLIICSSGTLVGFSYHARGKTAAPSTRRTMSFLQMNKVSPSQIRVFVADHKVLSPLSNSGVSVDLYLNESLVENLRSSNLSAISWLKTHLLALLPHVDIKSIVVTRINDDFRGQTELIKLLSTLKSIHSLLSSFNLHREVKVSVAFSSSFLQNIKSKTFERDLRRIFGFIKKTGSSVIVEAVVDGEMSMGDQFVQSVIEGATLATSALPYNSVPIVLTMKSTAVPSPTEAAEFTAKVERCLANNIEITTRVAALYAEVSTMEDFVQNGHEGEEEQIFLSSRRELLSSVKETTHDVIIPPPTTALPTAPSSTSPVSIPPNNPTPTIVTVPSTNPITVTPTNPADTPAPIPTTTPVTVPSTNPNNPTVPITNPVTTPAPITVPGAQPVTNPVTTYPAPTGGVPVSTPVTNPVTPPATTNAPAIPGQSWCVARTGASETSLQAALDYACGMGGADCSQIQQGANCYNPNTPQNHASYAFNSYYQKNPAPTSCDFGGTATIVNTNPMDLAFTHLLHHNQLQLQHQQQVHPQQGQAYQAPSPHLLC >EOY11752 pep chromosome:Theobroma_cacao_20110822:5:40226190:40229475:1 gene:TCM_026832 transcript:EOY11752 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein, putative isoform 3 MMAKGASNCLFLSLLFLLIICSSGTLVGFSYHARGKTAAPSTRRTMSFLQMNKVSPSQIRVFVADHKVLSPLSNSGVSVDLYLNESLVENLRSSNLSAISWLKTHLLALLPHVDIKSIVVTRINDDFRGQTELIKLLSTLKSIHSLLSSFNLHREVKVSVAFSSSFLQNIKSKTFERDLRRIFGFIKKTGSSVIVEAVVDGEMSMGDQFVQSVIEGATLATSALPYNSVPIVLTMKSTAVPSPTEAAEFTAKVERCLANNIEITTRVAALYAEVSTMEDFVQNGHEGEEEQIFLSSRRELLSSVKETTHDVIIPPPTTALPTAPSSTSPVSIPPNNPTPTIVTVPSTNPITVTPTNPADTPAPIPTTTPVTVPSTNPNNPTVPITNPVTTPAPITVPGAQPVTNPVTTYPAPTGGVPVSTPVTNPVTPPATTNAPAIPGQSWCVARTGASETSLQAALDYACGMGGADCSQIQQGANCYNPNTPQNHASYAFNSYYQKNPAPTSCDFGGTATIVNTNPSSGSCIYPSSASQSTPTATPATSSSTAGAGVPGSVTPPSVLNSSTPGSATTTVFGSDTPPSVNTSTSMSAGLQPFMSCFILLTSSVAGVIFLDV >EOY08177 pep chromosome:Theobroma_cacao_20110822:5:5330357:5335391:-1 gene:TCM_022515 transcript:EOY08177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRRLVCGVRHVRGKGNVKQRFVSDTWIRRGKSTWGFVICLSFSSFGSRRVSQLLWDYVENGRPGD >EOY08677 pep chromosome:Theobroma_cacao_20110822:5:17351154:17361433:1 gene:TCM_023679 transcript:EOY08677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMWGDAHELGEIGCPAMCTMWGDAHELVEMRCPTMCTMWGDAHQLVMTRISGRDNKDENTSTLKGMKPTGRVKKDKDNDKCHFYDKLGH >EOY10686 pep chromosome:Theobroma_cacao_20110822:5:35890974:35900886:1 gene:TCM_025989 transcript:EOY10686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MALFFFHRFGLNRYQNMGRRLRAMRVRLAKKRRAIRRMRQSLSASQIAAEQIERDHERMFAAEQIERRNRRIIAENALIDQLVYDLLAELENDPLIRSAAEAIRAENLDGRNIIINQAPPPGGGRPRRRR >EOY10687 pep chromosome:Theobroma_cacao_20110822:5:35890075:35892702:1 gene:TCM_025989 transcript:EOY10687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MALFFFHRFGLNRYQNMGRRLRAMRVRLAKKRRAIRRMRQSLSASQIAAEQIERDHERMFAAEQIERRNRRIIAENALIDQLVYDLLAELENDPLIRSAAEAIRAENLDGRNIIINQAPPPGGGRPRRRR >EOY09607 pep chromosome:Theobroma_cacao_20110822:5:30197029:30200626:-1 gene:TCM_025023 transcript:EOY09607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF620) [Source:Projected from Arabidopsis thaliana (AT5G06610) TAIR;Acc:AT5G06610] MSRLAPLSEEPINEEDSANCSKKGLSWKNWLKTHFSLVFNKKSDLKILLSVLGCPLFPVSILSKPPINEVSSSAQYIIQHFTAATGCRKSEGRVKNIFVTGKVTMAMVDELGATAGSVAGAAGVAQKGCFVMWQMVPNKWLIELVLGGHKVVAGSDGNVAWRHTPWLGAHAAKGGVRPLRRALQGLDPVAISAVFSSAQYMGEKRISGTDCFVLKLCADQVDLADRSDSTAEMIKHVIFGYFSQRSGLLVFLEDSYLTRIQSPGTHPTYWETTMATKIEDYRIVEGVMIAHSGQSSVIITRFGDNLKAGLSVTRMEEIWTIDDVAFNVPGLSIDCFIPPKEVQKDFPEESLDWRSPLHQ >EOY10576 pep chromosome:Theobroma_cacao_20110822:5:35451712:35461385:1 gene:TCM_025911 transcript:EOY10576 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MATDPTQLQLAQLAHILGPDPTHFETLISHLMSSSNDQRSQAESLFHLAKQTQPDSLSLALSRVLSSCSRPELRALSAVLLRKILTPAADSSFLFPLLAETTRAAIKSALLSTLQTEQSKTNVKKLCDTISELASSIVAIGGWPELLPFLFQCVNSPNPNLQESALLIFSRLAQNIGETTETLIPHLNTLHSVFFKCLSNPSSCDARIAALSASISFIQCISNGKDRDTFQDLLPLMMQTLTEALNSGLEATAQEALELLIELAGSEPRFLRRQLMEVVGSMLQIAEAESLEEGTRHLAVEFVITLAEARERAPGMMRKLPQFIRRLFGVLMNMLLDVEDEQDWYNAESEDEDAGETSNYAVGQECLDRLSISLGGNTVVPVASELFPVFLAAAEWQKRHAALIALAQIAEGCSKVMIKNLEQVVSMVLNSFQDAHPRVRWAAINAIGQLSTDLGPELQSQFHHKVLPALAGAMDDFQNPRVQAHAASAVLNFSENCTPDILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEQFQKYYDAVMPYLKAILVNANDKANRMLRAKAMECISLVGMAVGKDKFRDDAKQVMEVLMSLQGSQMESDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDADIDDDDESTSKMIFPLSFKVSKVFIFSFCIGCSIETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHEEVRKAAVSAMPELLSSAKLAIEKGQSQVRNETYVKQLTDYIIPALVEALHKEPEVEICASMLDSLNECLQVAGPFLDEGQVRCIVDEIKQVITASSARKQERAERAKAEDFDAEEGEMLEEENEQEEEVFGQVGDLLGTLIKTFKASFLPFFQELTSYVMPMWGKDKTAEERRIAICIFDDVAEHCREAALKYYDTYLPFVLEACNDENPDVRQAAVYGLGVCAEFGGSVFKPLVREALSRLDAVIRHPNALHADNVMAYDNAVSALGKICQFHRDSIDAAQIVPAWLSCLPIKGDLIEAKLVHDQLCSMVERSDQELLGPNNQYLPKIVAVFAEVLCAGKDLATEQTASRMINLLRHLQQSLPPSTLASTWSSLQPQQQLALQSILSS >EOY10578 pep chromosome:Theobroma_cacao_20110822:5:35452137:35461656:1 gene:TCM_025911 transcript:EOY10578 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MSSSNDQRSQAESLFHLAKQTQPDSLSLALSRVLSSCSRPELRALSAVLLRKILTPAADSSFLFPLLAETTRAAIKSALLSTLQTEQSKTNVKKLCDTISELASSIVAIGGWPELLPFLFQCVNSPNPNLQESALLIFSRLAQNIGETTETLIPHLNTLHSVFFKCLSNPSSCDARIAALSASISFIQCISNGKDRDTFQDLLPLMMQTLTEALNSGLEATAQEALELLIELAGSEPRFLRRQLMEVVGSMLQIAEAESLEEGTRHLAVEFVITLAEARERAPGMMRKLPQFIRRLFGVLMNMLLDVEDEQDWYNAESEDEDAGETSNYAVGQECLDRLSISLGGNTVVPVASELFPVFLAAAEWQKRHAALIALAQIAEGCSKEAHAASAVLNFSENCTPDILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEQFQKYYDAVMPYLKAILVNANDKANRMLRAKAMECISLVGMAVGKDKFRDDAKQVMEVLMSLQGSQMESDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDADIDDDDESIETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHEEVRKAAVSAMPELLSSAKLAIEKGQSQVRNETYVKQLTDYIIPALVEALHKEPEVEICASMLDSLNECLQVAGPFLDEGQVRCIVDEIKQVITASSARKQERAERAKAEDFDAEEGEMLEEENEQEEEVFGQVGDLLGTLIKTFKASFLPFFQELTSYVMPMWGKDKTAEERRIAICIFDDVAEHCREAALKYYDTYLPFVLEACNDENPDVRQAAVYGLGVCAEFGGSVFKPLVREALSRLDAVIRHPNALHADNVMAYDNAVSALGKICQFHRDSIDAAQIVPAWLSCLPIKGDLIEAKLVHDQLCSMVERSDQELLGPNNQYLPKIVAVFAEVLCAGKDLATEQTASRMINLLRHLQQSLPPSTLASTWSSLQPQQQLALQSILSS >EOY10577 pep chromosome:Theobroma_cacao_20110822:5:35452137:35461444:1 gene:TCM_025911 transcript:EOY10577 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MSSSNDQRSQAESLFHLAKQTQPDSLSLALSRVLSSCSRPELRALSAVLLRKILTPAADSSFLFPLLAETTRAAIKSALLSTLQTEQSKTNVKKLCDTISELASSIVAIGGWPELLPFLFQCVNSPNPNLQESALLIFSRLAQNIGETTETLIPHLNTLHSVFFKCLSNPSSCDARIAALSASISFIQCISNGKDRDTFQDLLPLMMQTLTEALNSGLEATAQEALELLIELAGSEPRFLRRQLMEVVGSMLQIAEAESLEEGTRHLAVEFVITLAEARERAPGMMRKLPQFIRRLFGVLMNMLLDVEDEQDWYNAESEDEDAGETSNYAVGQECLDRLSISLGGNTVVPVASELFPVFLAAAEWQKRHAALIALAQIAEGCSKEAHAASAVLNFSENCTPDILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEQFQKYYDAVMPYLKAILVNANDKANRMLRAKAMECISLVGMAVGKDKFRDDAKQVMEVLMSLQGSQMESDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDADIDDDDESIETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHEEVRKAAVSAMPELLSSAKLAIEKGQSQVRNETYVKQLTDYIIPALVEALHKEPEVEICASMLDSLNECLQVAGPFLDEGQVRCIVDEIKQVITASSARKQERAERAKAEDFDAEEGEMLEEENEQEEEVFGQVGDLLGTLIKTFKASFLPFFQELTSYVMPMWGKDKTAEERRIAICIFDDVAEHCREAALKYYDTYLPFVLEACNDENPDVRQAAVYGLGVCAEFGGSVFKPLVREALSRLDAVIRHPNALHADNVMAYDNAVSALGKICQFHRDSIDAAQIVPAWLSCLPIKGDLIEAKLVHDQLCSMVERSDQELLGPNNQYLPKIVAVFAEVLCAGKDLATEQTASRMINLLRHLQQSLPPSTLASTWSSLQPQQQLALQSILSS >EOY11805 pep chromosome:Theobroma_cacao_20110822:5:40383418:40396116:-1 gene:TCM_026865 transcript:EOY11805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQIHFRHTLGGHPVPCRYEKLRNVGDARPRRRWVKKMSGGLKGLRLSRSRKLKTLCSVVFANRITRIYADIAHRLKMDGTLCPNIIFATHWGLPTLSHTGRLKTNRFSRSGLIRLVLTLLVFTTTEKRIFSSMKIMKIRLWNQINNEFLVDNLVVYIKKDITSFFSIKLIIDELKFRKYR >EOY07053 pep chromosome:Theobroma_cacao_20110822:5:327607:332003:1 gene:TCM_021584 transcript:EOY07053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein, putative MGACCSTQIKYNGCRPHEHDLEEIEDRVAHEDGDTTIRRDGAIVRFQGSSSYTSMYTRQGKKGVNQDAMTVWENFMGEKNVFFCGVFDGHGASGHRIARYICDTLPPKLSSVIRASQPDACQENVDAVAVGQNHGKDDSTGVNKDSDKEDSNHNEILSSWEASLIQAFGEVDEDLMLEESLDSYCSGTTAVIIVKQAEHLIVSNLGDSRAVLCTRDDTNQLVPVQLTVDLKPSIPSEAERIQRYGGRVFAMDEEPNVQRVWMPNQDYPGLAMARAFGDFCLKDHGLSSIPQVSYRRLTSKDEFVVLATDGVWDVLTNNEVIRIVASVKKRSIAAKLLVYYAVQTWRTKYPGSKVDDCAVVCLFLKKRPFVSRSFSDMRQHTGSHLDVTDSSISKDKKTDEGETSESEEISSGFWRNRSQMVISNDFPNLTMRISSTANKRVEYMEETVTEAANTAQVLKSIDKDFDLILVERRHDEESLMLIFLSECTEMAELGAIGDLLVSSDFESMASVAGFQ >EOY11035 pep chromosome:Theobroma_cacao_20110822:5:37628230:37630071:-1 gene:TCM_026310 transcript:EOY11035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alba DNA/RNA-binding protein MAVETVAAAEGDAQKKNRIQVSNTKKPLFFYVNLAKRYIQQHNEVELSALGMAITTVVTIAEILKNNGLAIEKKVMTSTVGMKDENKGRVVQKAKIEIVLGKSEKFDLLMNAANVPPEGASKDEE >EOY07257 pep chromosome:Theobroma_cacao_20110822:5:941767:944441:-1 gene:TCM_021722 transcript:EOY07257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MDSWNYSVPFCKWVDVACSPSSGRVVVLNLENHKVVAPYHLPLETLHSLLESTWKEMDFMNLRVLDTSYNEFVGQIPEQLSTLSKLAILRLTGNSLTGRIPAWIGHLSSLHSLVLSMNNLQGSIPSELGQLSGLGYFQLYGNYLSGIVPPIYNVSSIYFFSVAQNQLQGHLPPDAGLTFPNLGVFACGVNNFTGPIPVSLSNASRLQIIDFTNNSLTGTMPGNLGSLPGLIRLNFDDNQLGTGGIGDLSFLNFLSNCSFLEVLGLAGNRLGGELPSSITNLSNLLQRLTMGENLISGSIPIGIGNLVSLYILGLERNYFSGSVPTVIGKLQKLEELNLEGNRFSGSIPSSLGNLTLLNGLYLHDNKFEGSIPPSLGNCQNLLAINLSSNNLTGITPIQILGLSSLKKCLFISHNFLTGSLPVEVGQLDQLEKLDLSQNKLSGEIPESLGSYTSLESLHLEHNSFGGTIPLSLESLRGIEEIDLSYNNLSGQIPEFLRKIVSLRHLNLSYNDFEGEVSQEGIFANASVISILGKNKLCGGISELYLPPCSTTSSGKNLTLIVISVTINVVTLIFLLFCSFVTYSSVRSHSIPSSSKEWRSGIKPSTARSF >EOY11320 pep chromosome:Theobroma_cacao_20110822:5:38974417:38978445:1 gene:TCM_026547 transcript:EOY11320 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family isoform 1 MPTTQEQNLFLSGLREKHREELENLTLTTQPLRTLKLFVFAVIQWIHQTIRLRAGWFIFSSTLAGSIGILVMTIGRHHEMIQELIHYVVFGAWWLALGVASSIGLGSGLHTFVLYLGPHIAFFTIKAVQCGRVDLKSALYDTIQLNSGPSWLNKNCSEYGPPLFPLEHGSRVPLGSILPQVQLEAILWGIGTALGELPPYFISKAACISGSNLDVMNDFDSSSMENGGNTANHLKQIEYWLLSHSQYLNFFTILVLASVPNPLFDLAGIMSGQFGIPFWKFFLATLLGKAIIKTHIQTVFIISVCNYQLLDFIENELIRVLSFIPGLATILPNLIMNLQTIRDKYLSPSPPVSSNIKVKRWDLSLASMWNTVVWLMLLNFFIKIVTATARNFLKEQQEKELITLSNHYPELNPSASKSI >EOY11321 pep chromosome:Theobroma_cacao_20110822:5:38974714:38978560:1 gene:TCM_026547 transcript:EOY11321 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family isoform 1 MPTTQEQNLFLSGLREKHREELENLTLTTQPLRTLKLFVFAVIQWIHQTIRLRAGWFIFSSTLAGSIGILVMTIGRHHEMIQELIHYVVFGAWWLALGVASSIGLGSGLHTFVLYLGPHIAFFTIKAVQCGRVDLKSALYDTIQLNSGPSWLNKNCSEYGPPLFPLEHGSRVPLGSILPQVQLEAILWGIGTALGELPPYFISKAACISGSNLDVMNDFDSSSMENGGNTANHLKQIEYWLLSHSQYLNFFTILVLASGEEVGFITCFNVEHCCMAHASKLLH >EOY09140 pep chromosome:Theobroma_cacao_20110822:5:26421760:26438401:-1 gene:TCM_024534 transcript:EOY09140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVERVSKENGSISKVDIKKRNLVIVRKVEEIWELNKILGLNFVEDKDEVIQKIVELEVVDIEGRSQLGHAHQYRQSRARDERGQLFFARLGCHWLMCNKFSCVIIGNFG >EOY09549 pep chromosome:Theobroma_cacao_20110822:5:29770473:29771492:1 gene:TCM_024959 transcript:EOY09549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQAKANCRESSTAPSKPRVSLGLEAVVKSMWSCPSMCSEASSHSHASDALGGDQYQAALTAREEALAGIIALKESLGKGNSRPTPGIVIEPFNIKVIPPCKDCQFIDYNWDDLIAQAGSDSTDHVSGPAYEGKKAEDFDSSVPLTENFPGYGVIDRCGGCFGCSNYHFEPPCCKELLPLALHHLFKQTSTTNGGENKAADGALSEKMDSNLKL >EOY10189 pep chromosome:Theobroma_cacao_20110822:5:33406178:33410527:1 gene:TCM_025555 transcript:EOY10189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding alcohol dehydrogenase family protein, putative MSNSSSQLITCKVSLEFSKVVLIKTVLSYCFSLNSEGWDYSYFLLFFATLISLMLVLWSNMAAIVCWEKGEPLKVEEIQVEPPKSSEVRVKMLCASVCHSDILSTRGFPSPLFPRVMGHEGVGVVESICEEVNGLKQGDVVIPTYVAECQTCENCTSENTNLCLTYPLTLNCLMLDGTSRMSIRGQSLYHTFSCATWSEYMVINANYVVKIHPSIAPSHASFLSCGYSTGFGAAWKDAKVVEGARIQGATKIIGIDKNPRKKENGHVFGMTDFINPDGSDKYSICESVRALTDGKGVDYSFECSGVAPLVNEAIESTKIGTGKTILMGIGDHQSLQINFLPLLCGRTLKGCVYGGIKTISDLPILLEKCRNKEIHLDELLTHEVQLEDINKAFELLKQPDCVKVLVKI >EOY09966 pep chromosome:Theobroma_cacao_20110822:5:32004378:32010848:1 gene:TCM_025338 transcript:EOY09966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin related protein 4C MSVKVSVVYDEDVASTSNGALVVSGMVVYDDSQLLTILPIKAEDPLMARGEEVAVQAPIVSQYNDQIRPLLDAIDRLKLLMVMKEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRSQGICTKVPLIMRLQSHQSPTPELHLEYNGKTVSVEESHITTAINLATDEITGHVRDDSEIQKELQEDGVPDLTMVDLPGITRVPVQGQPENIYEQIRDIIMEYIIPEESIILNVLSATVDFSTCESIRMSQKVDKTGERTRAVVTKADRAPEDLFEKVTADDVNIGLGYVCVRNRIGDESYEEARREEARLFQTHPHLSMIDKSIVGVPVLAQKLVQIQANIIARCLPVIVKNISEKLNANVSELERMPKALTSVADAMTAFMRIIEAAKESLRKLLLRGEFDEYPDDTSKHGTARFVEMLNHFSDELRKSKESDSTKDFLIEEIKVLEDAKGIGLPNFLPRNAFLRILQRKVDRISIMPIEFAEKIWDYIDGMVTSVMIRHSEIYYQLKGSTKRAAHNLIGKMREQSMNRMKEIVQMEKLTDYTCNPDYMVECTKLMAQQENFVRNVNAALKKTYGPYRVSLEGFGDIEVEHLRQHCNFVHQAFDLKMRMTAYWKIVLQRLVDSMALHLQYSVHSLVNNDMEEIVHELMGPDGRGIERMLVESPAIAKKREKLKKSIKLMKESKDVVAKIMDRIAGYDD >EOY11089 pep chromosome:Theobroma_cacao_20110822:5:37887703:37905478:1 gene:TCM_026362 transcript:EOY11089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited calcium ATPase MKDSISTEIAPNVHELLQQAIALNTTGTVYKPNSRSLPEISGSPTEKAILSWAVLDLGMNVDNPKQDYDVIQVAAFNSEKKRSGVLIRRKNESATHTHWKGAAEMIVAMCSQYYDRSGTVKVMDDEERTEIGKIIQNMAAKSLRCIAFAHTKIPEDNEPVLGESRLTLVGLVGLKDPCRPGVRRAVESCIDAGVSIKMITGDNVFTAKAIAIECGILQPHEDVNEAVIEGVEFRNYSPEERMEKINKVRVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVTKESSDIVILDDNFTSVVTVLRWGRCVYNNIQKFIQFQLTVNVAALVINFIAALSSGEVPLTAVQLLWVNLIMDTFGALALATERPTDDLMTNPPVGRSKPLISNIMWRNLIAQALYQVAVLLTLQFRGKSVFGVDERVKNTLIFNTFVFCQVFNEFNARKLEKKNIFKGLHKNKLFLGIVGITIILQVVMVEFLKRFANTQRLNWGQWGSCIGLAALSWPIGWLVKWIPV >EOY07798 pep chromosome:Theobroma_cacao_20110822:5:2743077:2745075:-1 gene:TCM_022126 transcript:EOY07798 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441, putative MLAASASASASSMEKTPGSEIQLPPGFRFHPSDEELIVHYLKNKVTSSPLPASIIAEIDLYKYNPWELPSKALFGEDEWYFFSPRDRKYPNGARPNRAAASGYWKATGTDKPILTSCGTESIGVKKALVFYEGRPPRGTKTEWIMHEYRLRETMIWTPKRKGSMRLDDWVLCRVRQKSSTQRNRLGDRDITSHEPANSYLPKLNEVWLSNSNNPNVEMAESYLFSDCPMLPYIFASQNLPCFDTTTSSISFQNSDRSCTSAQEANSDTKKLQISFSSLESLFNPSKRKSMEGDNQATNFVPPSKKLIWDETEKEEVISLSNDCSDLNFYGTDHSEGNNFSPDQWNPIMQYQELNHLEFTEAC >EOY09677 pep chromosome:Theobroma_cacao_20110822:5:30468483:30472389:-1 gene:TCM_025070 transcript:EOY09677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MDLKHICHHHPLVFTQAWRRTGAQKKAYCSGCCERVKGPFYCCTDCKFYLHETCAQLELLPEISHPFHPPHPLILLPKSPNSGSYKCDFCLGGFSGLVYHCASCEFHLDINCASIAGSFDKLEHPVHEHPLILIEKHDKIVKGDCLVCKKELSSGPICKCLDCRYVYLRKKCAELPREIYLFHDRRHPLILLQNPPMHSKSCFCYLCKIQWKGFVYYCYICEFGLTLEDVSSLPVIKAANHDHPWTLLSRPMSFICDFCGTDCDRTPYLCTKCNFIVHKNCISLPCTITIMRRHHPLSHSYSLTGNQFKKCECKICHKEVNTGYGSYYCTASDCNYVSHVNCATDKSIWDPKNEDGRSEGEFMNLVTDVIQTICIRGDVIAAEIKHGFHDDNLILTFSGEVKDDSNGDGCLRPISTPFYGCKQCCGFFLHRNCAELPTEKRHPSHKHLLALTKNEDLVSCHACGHYHHGFSYKCKGRRCFGFQIDIQCSLLSDSFRHASHEHPLFLDHNCEGNCSACSKKIQGFYGNPSLGYKCMQGCKFILDFWCLTLPQIAWYKYNKHPFTLTYDGGSNTDQFYCDISEKERDPNQWFYYCNDSDNTVHPRCILGDLPFIKLGRTLKSFFHPRQLTFVKNIWNCPPCNVCKKLCNVQAIQCTECNILFHWECLCLSLQSN >EOY10075 pep chromosome:Theobroma_cacao_20110822:5:32867689:32869817:1 gene:TCM_025457 transcript:EOY10075 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase-related MAYSFLLKTIIRSSNLGSTTRNFSLVSSQISNHTAKWMQDTSKKSPMELINEVPPIKVEGRIVACEGDSNPALGHPIEFICLDLKEPAVCKYCGLRYVQEHHH >EOY07760 pep chromosome:Theobroma_cacao_20110822:5:2598713:2602596:-1 gene:TCM_022094 transcript:EOY07760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P family protein, putative isoform 1 MATSTGPQDQRKRTLEALERRFAVAKAELHQQQKNKKTSVEEDGKETLSIDSSIANASIASSSNSSSKKDVEENGQAYSRLLEPIHQSLLPANSKFSNNKGSVADKILHELLQSGDSARKYMQGSRSIKIDNWILLDNYVQGRVMSTGSHIRALKKHSKRSRKHMSMKQLKKSGAFELPQAIQKFDTFKPMHEMWKGYMDQLLKTTGKNQLAQCLLGADLHGAFILVAECKITSFTGVSGIMIRETAETFGLITQDDQFRVVPKKVSVFIFQVDCWRIILQGDKLTSRNLGL >EOY07759 pep chromosome:Theobroma_cacao_20110822:5:2599145:2602271:-1 gene:TCM_022094 transcript:EOY07759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P family protein, putative isoform 1 MATSTGPQDQRKRTLEALERRFAVAKAELHQQQKNKKTSVEEDGKETLSIDSSIANASIASSSNSSSKKGNFSFSGPSTSQDVEENGQAYSRLLEPIHQSLLPANSKFSNNKGSVADKILHELLQSGDSARKYMQGSRSIKIDNWILLDNYVQGRVMSTGSHIRALKKHSKRSRKHMSMKQLKKSGAFELPQAIQKFDTFKPMHEMWKGYMDQLLKTTGKNQLAQCLLGADLHGAFILVAECKITSFTGVSGIMIRETAETFGLITQDDQFRVVPKKVSVFIFQVDCWRIILQGDKLTSRNLGL >EOY08210 pep chromosome:Theobroma_cacao_20110822:5:5645766:5653669:1 gene:TCM_022554 transcript:EOY08210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFVEFFVLIEKKKLKHSGNKMVIHSNPSFKEILLSSEGEKIDLEANSDDENESMDEDDTVLAKKDADFESDDEVQVGLIEYEGLPHIYFNCVMYGHTKEVCTKQTVSLASKEYSQESTQGIEAEESIYGPWMIASKRKQRRNEGNVIGKAKTNDKVNQEPDSTMEVDPSSVIQLTTTLDPSRNSMVRRKTSSSFELSRQVAALLEEEATQLMKPEVNTSLVLVSEEPTDGTNAAPMPNSENRLCTWNCHGASDKKFIRVVKDLVKSYAINMLILLEPRISGRLADGTIKQLGFDYSHRVEFVGFSGGIWCLWKENVKLHIIKNHNQCVHMTIEDKPNEFWFFTTVYGNPSPNIRCQLWEELSSFENTVTGPWLLANDFNAFLYSHEKAGGSPRDALWAKILRSKYGMGSSCLPDNIHKPGASTFWIAISQSWPQFKLNIKWALGNGISTRFWTDQWLDDILLVDAAKSIGIEIVDESSVKEYVTAEGELDLDKVVNLIPIEKEYVETLSLIQDVQYSKSMKNLSCTTLEIVPLQPLSGLDFSHRIIKLELCSAYRAELWSILKGLTVAWETGHRRIELQIDNSTAVKVINPTSTVISHNYDLIQVIKRLLMKQWVVKIRHVFREENIVADRMANMGITQEGGFMLFDVPPPEINSFLLHDVADFHLSS >EOY10667 pep chromosome:Theobroma_cacao_20110822:5:35798683:35800262:-1 gene:TCM_025972 transcript:EOY10667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPPGWGPPPGGPPGPPGICGCFDFLCGGICRLISSCFYVLCCCCIFESCCGPMFGGPPGPPGPRPF >EOY08318 pep chromosome:Theobroma_cacao_20110822:5:6347662:6349976:-1 gene:TCM_022660 transcript:EOY08318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MARLIRNKVIPSNLSTRFRNSPSSLLTHPQTISKRSLQTLAYEEMQANPNKPYTHTALILHGLLGSARNWRSFSRNLASCLSTSPSSEWRMVLADLRNHGKSAEIEGLDPPHDMVNAAKDLGNLVNSKGWDWPDVVIGHSMGGKVALQFAQSCVNREYGENVKLPKQLWVLDSVPGKVTSENSDGEVENVLQTLQNLPSMVPSRKWLVNHMIELGFSKSLSEWIGTNLKKSGEQETWAFNLEGAVQMFHSYREMSYWSLLEHPPKGLEIAIVRAEKSDRWDTDVINQLQRLAGQKGDGSAGKISVHVLPNSGHWVHVDNPKGLLEIVAPKVKCLF >EOY08319 pep chromosome:Theobroma_cacao_20110822:5:6347573:6349627:-1 gene:TCM_022660 transcript:EOY08319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MARLIRNKVIPSNLSTRFRNSPSSLLTHPQTISKRSLQTLAYEEMQANPNKPYTHTALILHGLLGSARNWRSFSRNLASCLSTSPSSEWRMVLADLRNHGKSAEIEGLDPPHDMVNAAKDLGNLVNSKGWDWPDVVIGHSMGGKVALQFAQSCVNREYGENVKLPKQLWVLDSVPGKVTSENSDGEVENVLQTLQNLPSMVPSRKEMSYWSLLEHPPKGLEIAIVRAEKSDRWDTDVINQLQRLAGQKGDGSAGKISVHVLPNSGHWVHVDNPKGLLEIVAPKVKCLF >EOY07037 pep chromosome:Theobroma_cacao_20110822:5:265401:267578:-1 gene:TCM_021572 transcript:EOY07037 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MPAQLMPEKTLQCLHVLARDNNPPSSKRLEGKIAIVTGGARGIGAATVRLFAIHGAKVVIADVEDKAGTALADSLDPWVTFVHCDVSLEGDIENLIDSIILRYGRIDILFNNAGVLGDQKKHKSIVDFDIEEFDRVMRVNVRGVALGMKHAARVMIPKGGGCIISTASVAGVMGGLGPHAYTASKHAIVGLTKNTACELGRYGIRVNCISPFGVATSMLVNAWRSSAASDDEEEGERMNWGSPFEQEVEKMEEFVRGLASLKGPTLRAKDIAEAALYLASDESKYVSGHNLVVDGGVTTSRNCVGL >EOY09930 pep chromosome:Theobroma_cacao_20110822:5:31749645:31750603:-1 gene:TCM_025293 transcript:EOY09930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEIVGSIVTKAAEYTISTIKNHVKYLCNHENQVRTLKNQVESLNDARERVQHSVDAAMGNGEEIEHDVDKWLTTVNKKISEEVEKVMQDEEKAKKKCFLGLCPSFWTRYKLSMEAEEEAKAVAELLKQGKFDKVSYRAAPQGIMVACVKGFETFESRTMVFNGIMEALKDASINIIGVYGMGGVGKTTLVKEVAKQ >EOY09929 pep chromosome:Theobroma_cacao_20110822:5:31720420:31750522:-1 gene:TCM_025293 transcript:EOY09929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEIVGSIVTKAAEYTISTIKNHVKYLCNHENQVRTLKNQVESLNDARERVQHSVDAAMGNGEEIEHDVDKWLTTVNKKISEEVEKVMQDEEKAKKKCFLGLCPSFWTRYKLSMEAEEEAKAVAELLKQGKFDKVSYRAAPQGIMVACVKGFETFESRTMVFNGIMEALKDASINIIGGCTGWVALARPR >EOY11263 pep chromosome:Theobroma_cacao_20110822:5:38733155:38735231:-1 gene:TCM_026508 transcript:EOY11263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine-containing phosphotransfer protein 1 isoform 1 MDVVKLQKQLVDYRASLYHEAFLDEQFTQLQELQDENNPDFVVEVVSLFFEDSERLLNELAKALEQQSIDFKRVDAHVHQLKGSSSSIGAQRVQKVCITFRNYCEEQNVEGCLKCLQQVKHEYSLVKTKLETMFKLQQQILAAGGSIPM >EOY11264 pep chromosome:Theobroma_cacao_20110822:5:38732984:38735155:-1 gene:TCM_026508 transcript:EOY11264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine-containing phosphotransfer protein 1 isoform 1 MDVVKLQKQLVDYRASLYHEAFLDEQFTQLQELQDENNPDFVVEVVSLFFEDSERLLNELAKALEQQSIDFKRVDAHVHQLKGSSSSIGAQRVQKVCITFRNYCEEQNVEGCLKCLQQVKHEYSLVKTKLETMFKILAAGGSIPM >EOY09643 pep chromosome:Theobroma_cacao_20110822:5:30325626:30329225:-1 gene:TCM_025041 transcript:EOY09643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MERPIPTRNEAEAAAVVKRRESGHWRSKVEEHGMISDLSGFDNLRAMIEGEATVQRRKRRRKRKRKRKKNKQAQHPLLVDAGKPAIDTEQAGRDEAVRTVEVSKMDLIEIPATDVPQKPAVDTAVKESKMIATVQAVKSRTGGNGDEAGSEDVFGLFSSSPEDGNLISNISSKKSTGKMLSVEATINKDSKTVWRNELKTVVAEETVDVGKREIHAENAKCVETVKSPETEPAQNTVLRRLLRKPRYFDSPNGFWARSFSCGEDHPAAAANCTVQKRLKPCFLCGSLQHNGKHCVQGRDHQANCQEKQDENNLTYIICLRCGDSGHDMFSCRSDYSPDDLKRIQCYVCNGFGHLSCVNVLDTSPAEVSCYNCGQTGHLGSECTKFPKVARGSNAPALCHRCREEGHFARTCTLSRKTEMRRSLGS >EOY09642 pep chromosome:Theobroma_cacao_20110822:5:30325098:30329346:-1 gene:TCM_025041 transcript:EOY09642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MERPIPTRNEAEAAAVVKRRESGHWRSKVEEHGMISDLSGFDNLRAMIEGEATVQRRKRRRKRKRKRKKNKQAQHPLLVDAGKPAIDTEQAGRDEAVRTVEVSKMDLIEIPATDVPQKPAVDTAVKESKMIATVQAVKSRTGGNGDEAGSEDVFGLFSSSPEDGNLISNISSKKSTGKMLSVEATINKDSKTVWRNELKTVVAEETVDVGKREIHAENAKCVETVKSPETEPAQNTVLRRLLRKPRYFDSPNGFWARSFSCGEDHPAAAANCTVQKRLKPCFLCGSLQHNGKHCVQGRDHQANCQEKQDENNLTYIICLRCGDSGHDMFSCRSDYSPDDLKRIQCYVCNGFGHLSCVNVLDTSPAEVSCYNCGQTGHLGSECTKFPKVARGSNAPALCHRCREEGHFARTCTLSRKMLQNTHANKETVHFCTLNNEKELFSTYHLQRTRRVQTEMRRSLGSSSAPPSLCEPQNDAKDEIQERLIINVDQ >EOY09127 pep chromosome:Theobroma_cacao_20110822:5:26291835:26294644:1 gene:TCM_024520 transcript:EOY09127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHICVIHTEIFTENGFHCLNSHYRLITCLIIWMKKEYSVGLLAFEITGGLARSGGLLGFVFRRNPTSVLFGGALLALCTFCLKIWRQGKSSVPGQAALAAVLFWKGFQTYSLLLITSVHIIYFFLCKAVQCCASTPTLPQRSCDCLPVTNHDETA >EOY09781 pep chromosome:Theobroma_cacao_20110822:5:31049540:31051419:1 gene:TCM_025165 transcript:EOY09781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYSLGVVFDPSDRDIVSHYLPMLISGESMSSLGDLQYVIGFADIYSTKPSVFFDVNNGNGLPFLKSNQRFIFTHRQRISKKNANGKRPRRILESHHYDEKLGVGDSGGYWRSSTAEKPILDEQRKEIGFVRTLNFFEFEDAKKSRKDATKTRWLMHEYRLPGDTFQEWVICKIKDTARSPHDEYSDSIWEKELFGKLLLPHSDDNHYHQDEYQSQIQSSTVFNDGNLPSYEVDQLLDDDPFKEVDQLLEINDDNQIQTQSFTVFNKGNLPRYEVDQLLYAHEKEISKDDDPFKEVDQLLEINDDNQIQTQSSTVFNNGNLPRYEVDQLLYAHEKEVSKDNDPFKEVDQLLEINDDNQIADYPFKEMEQLLGMNDNDPIADVDEALATMNSYYLPDLLD >EOY08217 pep chromosome:Theobroma_cacao_20110822:5:5707008:5707945:1 gene:TCM_022559 transcript:EOY08217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRTPLILIRSASSSGERDLSGKGSPFLFAKGLCAHSHFVNILEAWVIVTVGVLCGSSLPIGNSRT >EOY10507 pep chromosome:Theobroma_cacao_20110822:5:35112245:35114127:1 gene:TCM_025849 transcript:EOY10507 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 20 MAEPQCHGMSETRRKGTSKHATMLVEADEPVVAAPAPVPAAPCGACKFLRRKCISGCIFAPHFGSDQGAARFAAVHKVFGASNVSKLLLHIPVNRRHDAVVTISYEAQARLSDPVYGCVSTILSLQQQVASLQAELAMVQNQLINSRFAMANALQSSQQQQQQQQQHIGLLQPAYSNNSSASNSLIHMSNFTSSFDLVAETAPSSQSLDRLDQLSRPCHDDEDDEEESRIPAIFRQ >EOY08958 pep chromosome:Theobroma_cacao_20110822:5:23900222:23902912:-1 gene:TCM_024255 transcript:EOY08958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTWNSGPRNEQVVDDAKQEFQAQATPPQDQNEPIEITEQQFHAQVEGNSNVLVEIVKQQFQGQVEKAVESPMHFYSFRKCKTTTYLRRKNIADVSSIIHDMKRQVIGAHFNIPFAHTCVMIDMNDNQLGVGSNLRVFLDRLAKVDDEQKFVRKNPFGQGPLTKQSESWTYYHNIVSRLTTLRLSQKKKKQKWRLQHYGELEAKSATLWQIPNAVTICF >EOY11643 pep chromosome:Theobroma_cacao_20110822:5:39910735:39913988:-1 gene:TCM_026756 transcript:EOY11643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 1 MSTNPNSHQNYPTPLSPPLPSISKDIELARAMTASSKSSLFELSRSDILYEDEWLIAVNKPQGIYCDSVLASIPRFLSDSTVSDRLSDGNQASPFELHLANRLDRDTSGIMVITKSHKVAAALVKAFTNHMVHKTYVAFCIGSAPKSERITIKSGHGRSKFGAWRVYAAGDVGRKLPGGSIVRDMGTTFEVLSINGQQSYKEPSESVKDEETSVIVEEKAVIDVDAQKDEILVRAFPRSGRTHQIRLHCQYLGIPIRGDVKYEGVYEWKGRRYDAHELHAESLSFQHPVTGFPVMIQAPLPLWASQALQPLTD >EOY11642 pep chromosome:Theobroma_cacao_20110822:5:39910891:39914128:-1 gene:TCM_026756 transcript:EOY11642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 1 MPFFSLPQQSFFLCCPKLLSKFPKTLLFLCPKMSTNPNSHQNYPTPLSPPLPSISKDIELARAMTASSKSSLFELSRSDILYEDEWLIAVNKPQGIYCDSVLASIPRFLSDSTVSDRLSDGNQASPFELHLANRLDRDTSGIMVITKSHKVAAALVKAFTNHMVHKTYVAFCIGSAPKSERITIKSGHGRSKFGAWRVYAAGDVGRKLPGGSIVRDMGTTFEVLSINGQQSYKEPSESVKDEETSVIVEEKAVIDVDAQKDEILVRAFPRSGRTHQIRLHCQYLGIPIRGDVKYEGVYEWKGRRYDAHELHAESLSFQHPVTGFPVMIQAPLPLWASQALQPLTD >EOY11717 pep chromosome:Theobroma_cacao_20110822:5:40123581:40127761:-1 gene:TCM_026803 transcript:EOY11717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 1 MLGDFVPFLANVLVQLGYAGMNITSKLAMESGMKPLILVAYRQIFATLAIAPFAYFLERKTRPKITKHILFQIFLCSLTGATANQVFYFVGLENSSATVACALNNVLPAATFALAALCRQEAVGIKKASGQAKVLGTLVCVGGAMLLSFYHGHTIGIGDSSIHWAYADKMTSKSSSNGSNFFLGPFLVMASAVAWAVWLIIQGQTSKNFPAPYTCTALMCFMASIECTIIGIFSDHKISAWSLSSSMRLIAALYAGIVCNAMTFCVLSWSIQKKGPLYVSVFSPLLLVIVAVLSWALLREKLYVGTVVGSVLIVGGLYAVLWGKDREIKQMKSNELETAEEATKAREKDGKDDLELQLHPQTKGTPQAA >EOY11718 pep chromosome:Theobroma_cacao_20110822:5:40123651:40126385:-1 gene:TCM_026803 transcript:EOY11718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 1 MLGDFVPFLANVLVQLGYAGMNITSKLAMESGMKPLILVAYRQIFATLAIAPFAYFLERKASGQAKVLGTLVCVGGAMLLSFYHGHTIGIGDSSIHWAYADKMTSKSSSNGSNFFLGPFLVMASAVAWAVWLIIQGQTSKNFPAPYTCTALMCFMASIECTIIGIFSDHKISAWSLSSSMRLIAALYAGIVCNAMTFCVLSWSIQKKGPLYVSVFSPLLLVIVAVLSWALLREKLYVGTVVGSVLIVGGLYAVLWGKDREIKQMKSNELETAEEATKAREKDGKDDLELQLHPQTKGTPQAA >EOY11538 pep chromosome:Theobroma_cacao_20110822:5:39549494:39551387:-1 gene:TCM_026680 transcript:EOY11538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein isoform 1 MASLSFIVGIIGNVISILVFASPIKTFWWVVKKKSTENYKGVPYITTLLSTSLWTFYGIINPDGLLVTTVNGAGAIFQLIYVTLFLIYAPKDKKIKTAKLVAVLDVGFLGAVIAVTLLAIHGSMRLTFVGILCAGLTIGMYASPLSAMRTVIRTKSVEYMPFLFSFFLFLNAGVWSAYSLLVKDIYIGVPNAIGFVLGSAQLILYFIFKNKSASAKSPEAMEEEGSAHLVKGGIEMHSLEDDLKNRSLNKGKSLPKPHVSRQNSLQNIMKTVSLTPYELQSSYLLHSDVVDHP >EOY11539 pep chromosome:Theobroma_cacao_20110822:5:39549629:39551407:-1 gene:TCM_026680 transcript:EOY11539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein isoform 1 MASLSFIVGIIGNVISILVFASPIKTFWWVVKKKSTENYKGVPYITTLLSTSLWTFYGIINPDGLLVTTVNGAGAIFQLIYVTLFLIYAPKDKKIKTAKLVAVLDVGFLGAVIAVTLLAIHGSMRLTFVGILCAGLTIGMYASPLSAMRTVIRTKSVEYMPFLFSFFLFLNAGVWSAYSLLVKDIYIGIHTFQVPNAIGFVLGSAQLILYFIFKNKSASAKSPEAMEEEGSAHLVKGGIEMHSLEDDLKNRSLNKGKSLPKPHVSRQNSLQNIMKTVSLTPYELQSSY >EOY11540 pep chromosome:Theobroma_cacao_20110822:5:39549629:39551407:-1 gene:TCM_026680 transcript:EOY11540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein isoform 1 MKMLVPNLVYISYSRKTFWWVVKKKSTENYKGVPYITTLLSTSLWTFYGIINPDGLLVTTVNGAGAIFQLIYVTLFLIYAPKDKKIKTAKLVAVLDVGFLGAVIAVTLLAIHGSMRLTFVGILCAGLTIGMYASPLSAMRTVIRTKSVEYMPFLFSFFLFLNAGVWSAYSLLVKDIYIGVPNAIGFVLGSAQLILYFIFKNKSASAKSPEAMEEEGSAHLVKGGIEMHSLEDDLKNRSLNKGKSLPKPHVSRQNSLQNIMKTVSLTPYELQSSY >EOY09670 pep chromosome:Theobroma_cacao_20110822:5:30446259:30448322:-1 gene:TCM_025065 transcript:EOY09670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MHSRTPLQELLLQRRNTQDDLDRFIPNRSAMDFDYAHYMLTEGRKGIEKPDIIGSPSREAYQKRLAEALNMNRTRILAFKNKPPTSDGDGMIHREMGSPHQSKSTKKRRHISQTSERTLDAPEILDDFYLNLLDWGCNNVLAIALNDKVYLWDASNGSASELVTVSYEDGPITSVSWAPDGRHIAIGLNNSHVQLWDCQVKRQLRTLRGGHHHETRVNTLAWNNHILTTGGMDAKVINNDVRVREHTVACYMGHQLEVCGLKWSSSGKQLASGGNDNLLFIWDRFMAPSNSRTQWLHRLDDHTAAVKAIAWCPFQGNLLASGGGGSDQCIRFWNTHTGVCLNSVDTGSQVCALLWNKHERELLSSHGFTDNQLILWKYPSMRKMAELLGHTSRALYMAESPDGCTVATAAGDETLRLWNVFGTPEVAKPAVKLKPEPFANVCRIR >EOY09671 pep chromosome:Theobroma_cacao_20110822:5:30445987:30448624:-1 gene:TCM_025065 transcript:EOY09671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MNRTRILAFKNKPPTSDGDGMIHREMGSPHQSKSTKKRRHISQTSERTLDAPEILDDFYLNLLDWGCNNVLAIALNDKVYLWDASNGSASELVTVSYEDGPITSVSWAPDGRHIAIGLNNSHVQLWDCQVKRQLRTLRGGHHHETRVNTLAWNNHILTTGGMDAKVINNDVRVREHTVACYMGHQLEVCGLKWSSSGKQLASGGNDNLLFIWDRFMAPSNSRTQWLHRLDDHTAAVKAIAWCPFQGNLLASGGGGSDQCIRFWNTHTGVCLNSVDTGSQVCALLWNKHERELLSSHGFTDNQLILWKYPSMRKMAELLGHTSRALYMAESPDGCTVATAAGDETLRLWNVFGTPEVAKPAVKLKPEPFANVCRIR >EOY09960 pep chromosome:Theobroma_cacao_20110822:5:31938712:31960586:1 gene:TCM_025327 transcript:EOY09960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic release factor 1 (eRF1) family protein isoform 1 MKVVRRDLVPNGPGSVKMIPVDSDDLWSVYNLIATGDSVMARTVRKVLRETAGGRDAERITLKLEIKVEAIDYDKEGSILRIRGKNILENEHVKIGAFHTLELELHRPFVLRKDIWDSLALDVLQQASDPGASADLAVVLMQEGLANILLVGKSMTSTRSRIETSIPRKHGPAIAGYEAARACYGPKHVEIAHERMAVQTLLITDDLFRNSDIATRQKYVNLVNSVKDSGGTAHIFSSMHVSGEQLAQLTGIAAILRFPLPDLEDIEM >EOY09957 pep chromosome:Theobroma_cacao_20110822:5:31938618:31960580:1 gene:TCM_025327 transcript:EOY09957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic release factor 1 (eRF1) family protein isoform 1 MKVVRRDLVPNGPGSVKMIPVDSDDLWSVYNLIATGDSVMARTVRKVLRETAGGRDAERITLKLEIKVEAIDYDKEGSILRIRGKNILENEHVKIGAFHTLELELHRPFVLRKDIWDSLALDVLQQASDPGASADLAVVLMQEGLANILLVGKSMTSTRSRIETSIPRKHGPAIAGYEAALKKFFENVLQAFMKHIDFNVVRCAVIASPGFTKDQFHRHLLLEAERRQLRPIIENKSRIILVHTSSGYKHSLREVLDAPNVMNMIKDTKAAQEVRALKDFFSMLSNDPARACYGPKHVEIAHERMAVQTLLITDDLFRNSDIATRQKYVNLVNSVKDSGGTAHIFSSMHVSGEQLAQLTGIAAILRFPLPDLEDIEM >EOY09959 pep chromosome:Theobroma_cacao_20110822:5:31938712:31947648:1 gene:TCM_025327 transcript:EOY09959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic release factor 1 (eRF1) family protein isoform 1 MKVVRRDLVPNGPGSVKMIPVDSDDLWSVYNLIATGDSVMARTVRKVLRETAGGRDAERITLKLEIKVEAIDYDKEGSILRIRGKNILENEHVKIGAFHTLELELHRPFVLRKDIWDSLALDVLQQASDPGASADLAVVLMQEGLANILLVGKSMTSTRSRIETSIPRKHGPAIAGYEAALKKFFENVLQAFMKHIDFNVVRCAVIASPGFTKDQFHRHLLLEAERRQLRPIIENKSRIILVHTSSGYKHSLREVLDAPNVMNMIKDTKAAQEVRALKDFFSMLSNVRF >EOY09958 pep chromosome:Theobroma_cacao_20110822:5:31938712:31960586:1 gene:TCM_025327 transcript:EOY09958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic release factor 1 (eRF1) family protein isoform 1 MKVVRRDLVPNGPGSVKMIPVDSDDLWSVYNLIATGDSVMARTVRKVLRETAGGRDAERITLKLEIKVEAIDYDKEGSILRIRGKNILENEHVKIGAFHTLELELHRPFVLRKDIWDSLALDVLQQASDPGASADLAVVLMQEGLANILLVGKSMTSTRSRIETSIPRKHGPAIAGYEAALKKFFENVLQAFMKHIDFNVVRCAVIASPGFTKDQFHRHLLLEAERRQLRPIIENKSRIILVHTSSGYKHSLREVLDAPNVMNMIKDTKAAQEVRALKDFFSMLSNDPARACYGPKHVEIAHERMAVQTLLITDDLFRNSDIATRQKYVNLVNSVKDSGGTAHIFSSMHVSGEQLAQLTGIAAILRFPLPDLEDIEM >EOY07338 pep chromosome:Theobroma_cacao_20110822:5:1247880:1248829:-1 gene:TCM_021798 transcript:EOY07338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNFHKLPGMKHSLQGYQQQQNQDPRVVEAIPQLETPLLPHKTSPHLQEQFIQYHMAFTSNTEETRISFLHQPQANSELL >EOY09260 pep chromosome:Theobroma_cacao_20110822:5:27773540:27775463:-1 gene:TCM_024677 transcript:EOY09260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRADGMTNDEVENMIKETEPRATRVASVVRRRIDKVGSEVMIINNISKIINKGVNLEDLINKCTGDNHVLTYDVSDKPIVIEY >EOY09995 pep chromosome:Theobroma_cacao_20110822:5:32155868:32158033:1 gene:TCM_025368 transcript:EOY09995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle non-ATPase 12A isoform 1 KIEDQDAFERDFFQLKPYYTDAGSSRLPPSPQEYPILGLNLLRLLVQNRIAEFHTELELLSATALENPCIKHAVELEQSFMEGAYNRVLSARQTAPHETYVYFMDLLAKTVRDEIAGCSEKAYDYLSIDDARQMLLFSFDQELLEYIKEEHPEWEVKNGFVFLQKAKESAPCKEIPSLQLINQTLSYARELERI >EOY09994 pep chromosome:Theobroma_cacao_20110822:5:32154748:32158224:1 gene:TCM_025368 transcript:EOY09994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle non-ATPase 12A isoform 1 MDPQLTEVSQLFERFKAAFIRNDYDSCANLLSQLKVLLTKFRSLPPLFENTPNAINELLLAREIYEHAVVLSVKIEDQDAFERDFFQLKPYYTDAGSRLPPSPQEYPILGLNLLRLLVQNRIAEFHTELELLSATALENPCIKHAVELEQSFMEGAYNRVLSARQTAPHETYVYFMDLLAKTVRDEIAGCSEKAYDYLSIDDARQMLLFSFDQELLEYIKEEHPEWEVKNGFVFLQKAKESAPCKEIPSLQLINQTLSYARELERIV >EOY09775 pep chromosome:Theobroma_cacao_20110822:5:30993724:30995723:-1 gene:TCM_025158 transcript:EOY09775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFVCSSQTRCCPRERKLRGRKAAIALKGVKRRLLISQRLSKPPPMNTRRRKTVKINATVAQLKREMKEIREEQNSIREGQRQVKKKFDDVLSECEKLRDETELITQQSICTRLRLTLMFQILKARQNNDFTKAAQLTTSLRELIAQQKNQSLHQSDGAKGK >EOY08259 pep chromosome:Theobroma_cacao_20110822:5:5980821:5999880:1 gene:TCM_022604 transcript:EOY08259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase 1, putative MALQISFSVLALTFLLGVASATDELSAIEFSYSGNNGPAKWGNLDPTFSACSSGKKQSPINIQKNQTVPNRSLKPLDRNYKPANATLVNNGFNVGLRFEEYAGELSIDGKNYLLKQMHWHLPSEHRIDGQQFAAELHLVHRAEDNSLAVVAILYLEDDADPFISKLKDGLDKLAKEHCKADEIAEIPIGTMDPKQLKRSSRKYYRYVGSLTTPPCSESVTWSILGKVRSISKEQIAALQTPVKSDCKKNARPCQAMNGRKVELYNELFE >EOY08783 pep chromosome:Theobroma_cacao_20110822:5:20042504:20050276:-1 gene:TCM_023924 transcript:EOY08783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase superfamily protein MIAARRVDKISRLKGTPAPKSMTTVIGGGATPSSSSSSLKALNKESLLRREFLYPKNRLLGNNNRDPGYLSTYHYSSICNHRPTNKVLRNLPGYVKIVEVGPRDGLQNEKEIVPTTVKVQLIKMLVSSGLTVVEATSFVSPKWVPQLADAKDVMDAIQNIQGGRFPVLTPNLKGFEAAVAAGAKEVAIFASASESFSKSNINCSIKDSLARYRDVASAARELSLPVRGYISCVVGCPVEGTVPPSKVAYVAKQLFDMGCSEISLGDTIGVGTPGTVIPMLENVIHVVPIDKLAVHFHDTYGQALSNILISLQMGISTVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGLGVETHVDLEKLMLAGDFICKHLGRPSSSKTAVALSSKKANSKL >EOY09863 pep chromosome:Theobroma_cacao_20110822:5:31381875:31383024:-1 gene:TCM_025234 transcript:EOY09863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kunitz family trypsin and protease inhibitor protein, putative MKTALFLALSFLLWGSTGATDGLDPVLDISGEELRTGTDYYILPVIRGGGGGLTLASTGNETCPLDVVQEQLEVSNGLPLTFSPVNITKGVVRVSTDLNIKFSAATICVQSTVWKLDNDEATQKMVVTTGGVEGNPGIETLSNWFKIERYEDDYKLVFCPGVCDFCRPVCGDLGVFIDAGIRRLALSDVPLKVMFKRA >EOY10278 pep chromosome:Theobroma_cacao_20110822:5:33894819:33901581:1 gene:TCM_025647 transcript:EOY10278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Benzoyl coenzyme A: Benzyl alcohol benzoyl transferase MLQKNYIKGVPRVKEPKGVPNAQVPRGVPSVEVLRGVISTETPSGVLSVEGSRGIPSTEAPRGVPNAETPRNNWTCNISRAPRIMCSHRNSDDGVYSDGRSTSLAFKVRRCEPELVVPAKPTPREYKPLSDIDDREGHRFEYPVIQFYRYSPSMQGRDPARVIRDALAKTLVFYYPYAGRLREGPNRKLTVDCTGEGVLFSEGDADVTLEQFGEELQPPFPCMEELLYDVPGYGGVLNCPLLLIQVTRLKCGGFIFALRHNHTMSDAIGLVQFMSAMGEMARGALAPTISPVWERHLLNARNPPRITCTHHEFEQVIHTNANIITQLDNMVHRSFFFGPKQVSALRRFAPPHRRCSTFDIIAACLWRCHTIALQLDPNEDVGIICVVNARSKFNPPLPLGYYGNAIAYPATLTTVGKLCQNPLWYAIELVKQTKAKVTEEYMKSTADFLVTRGRPALSLTRSFLVSDVTRAKFEDVDFGWGKAAYAGQAKIMDGSHLLPFTNKKGEDGIIMPICLPATLMERFVKELDSMLKDESTVGGM >EOY09473 pep chromosome:Theobroma_cacao_20110822:5:29394426:29402370:1 gene:TCM_024891 transcript:EOY09473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emsy N Terminus/ plant Tudor-like domains-containing protein isoform 2 MDYEVCDSSGTDDDLPPSHQTRVSRRGNIAGNGRSIVGSASYSRMHFDMEAQIHQLEQEAYCSVLRAFKAQSDAITWEKEGLITELRKELRVSDDEHRELLTKVNSDDIIRRIRDWRQAGENQAARLSASQPVHSLLPSPTVSASRKKQKTSQSGQSLPGLSSAKAMHFSSTVSAGNRQFNNRGSVGCLLANEPAEGATFEPLIGRKVWTRWPEDNNFYEAVITDYNPSEGRHALVYDINTANETWEWVDLKEISPDDIRWDGEDPGISYRGGPGFHVAKKSFSRGAPAPGPGRGRGSVKGQFRKEFLPSQNGIVKKVSDDIELLNTETLVKEVERVFDTSQPDPLELEKAKKKLKEHEQALIDAIARLADASDGESDGEQPFSRGQPMERL >EOY09475 pep chromosome:Theobroma_cacao_20110822:5:29394499:29401684:1 gene:TCM_024891 transcript:EOY09475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emsy N Terminus/ plant Tudor-like domains-containing protein isoform 2 MHFDMEAQIHQLEQEAYCSVLRAFKAQSDAITWEKEGLITELRKELRVSDDEHRELLTKVNSDDIIRRIRDWRQAGENQAARLSASQPVHSLLPSPTVSASRKKQKTSQSGQSLPGLSSAKAMHFSSTVSAGNRQFNNRGSVGCLLANEPAEGATFEPLIGRKVWTRWPEDNNFYEAVITDYNPSEGRHALVYDINTANETWEWVDLKEISPDDIRWDGEDPGISYRGGPGFHVAKKSFSRGAPAPGPGRGRGSVKGQFRKEFLPSQNGIVKKVSDDIELLNTETLVKEVERVFDTSQPDPLELEKAKKKLKEHEQALIDAIARLADASDGESGNKYMCT >EOY09474 pep chromosome:Theobroma_cacao_20110822:5:29394547:29402268:1 gene:TCM_024891 transcript:EOY09474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emsy N Terminus/ plant Tudor-like domains-containing protein isoform 2 MHFDMEAQIHQLEQEAYCSVLRAFKAQSDAITWEKEGLITELRKELRVSDDEHRELLTKVNSDDIIRRIRDWRQAGENQAARLSASQPVHSLLPSPTVSASRKKQKTSQSGQSLPGLSSAKAMHFSSTVSAGNRQFNNRGSVGCLLANEPAEGATFEPLIGRKVWTRWPEDNNFYEAVITDYNPSEGRHALVYDINTANETWEWVDLKEISPDDIRWDGEDPGISYRGGPGFHVAKKSFSRGAPAPGPGRGRGSVKGQFRKEFLPSQNGIVKKVSDDIELLNTETLVKEVERVFDTSQPDPLELEKAKKKLKEHEQALIDAIARLADASDGESDGEQPFSRGQPMERL >EOY09733 pep chromosome:Theobroma_cacao_20110822:5:30798192:30799011:1 gene:TCM_025121 transcript:EOY09733 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-like 11, putative MASTSRKIKLMSSDGEAFEIMAKVLEYCEKHTDKERIPENKLKAWDADFVKVDQNTLFGLILAANYLNMKSLLHLTCQTVADMIKGKTPEEIRKIFNIKNDFSREEEEDVRRENQWGFE >EOY11319 pep chromosome:Theobroma_cacao_20110822:5:38962165:38967612:-1 gene:TCM_026546 transcript:EOY11319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase 1 MLSHLLNAVTGDDGSKEMESSSGKIKGTVVLMKKNVLDFNDFQASVLDGVHELLGKRVSLQLISAEKGDAANGLQGKLGKPAYLDNWTLTDASPVAGESKFSVNFDWDEEIGTPGGLLIKNNHHSEFYLKTVSLEHVPDHGPVHFVCNSWVYPGKKYEKDRIFFSNKTYLPHEMPEPLRKYREEELRALRGNGEGELQEWDRVYDYAYYNDLGNPDKGPEYARPVLGGSAQYPYPRRGRTGRPPAKTDPNTESRIPLLMSLNIYVPRDERFGHLKLSDFLAYALKSVVQFIVPELEDLTDRTRNEFDSFKDVDDLYYGGVKLPSGPLLDNIIKNIPLEMLKEIFRTDGEQLLKFPVPQVIQNSRTAWRTDEEFAREMLAGVDPVIIRLLEEFPPTSKLDPKVYGNQNSAITKEHIEHNLEGLTIEEALRTNRLFILDHHDTLMPYLRRVNSTTTKTYASRTVLLLRNDGTLKPLAIELSLPHPNGDPLGAVSKVYTPAEHGVEGSIWQLAKAYVAVNDSGVHQLISHWLNTHAVIEPFVIATNRQLSVVHPIYKLLQPHYRDTMNINAFARQILINGGGILELTVFPGKYAMEMSSVIYKNWNLLDQALPRDLKIRGVAVDDKNSPNGLRLLIKDYPYAVDGLEIWFAIEKWVRDYCSFYYKTDEMVQQDIELQAWWKELREEGHGDKKNEPWWPKMQTREELIDTCTIIIWVASALHAAVNFGQYPYAGYLPNRPTISRRFMPEKGTPEYAELESNPDKAYLKTITAQLQTLLGISLIEILSRHSSDEVYLGQRDTPEWTSDATPLAAFEEFGKRLSGIEERIVEMNKDEQLKNRVGPVNMPYTLLYPTSEGGLTGKGIPNSVSI >EOY11226 pep chromosome:Theobroma_cacao_20110822:5:38395978:38398743:-1 gene:TCM_026466 transcript:EOY11226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase 1 isoform 1 MNALVATNRNFKLAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGELSISELERLTRVFTQKIHDLIGVHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPTDLGGSLGRDAATGRGVLFATEALLNEHGKTISGQKFAIQGFGNVGSWAAQLIHEKGGKIVAVSDITGAIKNSKGIDIPSLLKHVRASKGVKGFHGGDSIDPNSILVEDCDILIPAALGGVINRENANDIKAKFIIEAANHPTDPEADEILSKKGVIILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKTYMTKGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATLLRGWGA >EOY11224 pep chromosome:Theobroma_cacao_20110822:5:38395988:38398514:-1 gene:TCM_026466 transcript:EOY11224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase 1 isoform 1 MNALVATNRNFKLAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGELSISELERLTRVFTQKIHDLIGVHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPTDLGGSLGRDAATGRGVLFATEALLNEHGKTISGQKFAIQGFGNVGSWAAQLIHEKGGKIVAVSDITGAIKNSKGIDIPSLLKHVRASKGVKGFHGGDSIDPNSILVEDCDILIPAALGGVINRENANDIKAKFIIEAANHPTDPEADEILSKKGVIILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKTYMTKGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATLLRGWGA >EOY11225 pep chromosome:Theobroma_cacao_20110822:5:38395357:38401271:-1 gene:TCM_026466 transcript:EOY11225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase 1 isoform 1 MNALVATNRNFKLAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGELSISELERLTRVFTQKIHDLIGVHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPTDLGGSLGRDAATGRGVLFATEALLNEHGKTISGQKFAIQGFGNVGSWAAQLIHEKGGKIVAVSDITGAIKNSKGIDIPSLLKHVRASKGVKGFHGGDSIDPNSILVEDCDILIPAALGGVINRENANDIKAKFIIEAANHPTDPEADEILSKKGVIILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKTYMTKGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATLLRGWGA >EOY11223 pep chromosome:Theobroma_cacao_20110822:5:38396243:38401271:-1 gene:TCM_026466 transcript:EOY11223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase 1 isoform 1 MNALVATNRNFKLAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGELSISELERLTRVFTQKIHDLIGVHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPTDLGGSLGRDAATGRGVLFATEALLNEHGKTISGQKFAIQGFGNVGSWAAQLIHEKGGKIVAVSDITGAIKNSKGIDIPSLLKHVRASKGVKGFHGGDSIDPNSILVEDCDILIPAALGGVINRENANDIKAKFIIEAANHPTDPEADEILSKKGVIILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKTYMTKGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATLLRGWGA >EOY07635 pep chromosome:Theobroma_cacao_20110822:5:2269123:2272347:1 gene:TCM_022020 transcript:EOY07635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit exo70 family protein H4 MSWTWATRRHVYRAQGRCLTQKVKSLTPRSSLPSNGDIDPTSIYFPPNVPLHLKLGQVRRKPKAKLKIPILFLFICRQQKPNKNSFKTLTSSPSQKMPRKGMRSLCFSSRTPSFALSRQSMSPSTTSLSSTPRRRISESMIEQTIDDAATIIMKWDADASAYAKVTSLFYESKKEAMQFIRSVNELQKIMHLLVSEDSGSEKLVLAQNLMQISMKRLQKEFYQILSMNRAHLDPESVSTRSSRTSARSSLSDYDDEGSTEDEIRAAGDSISEVEEVSSMAMSDLKSIADCMIASGYAKECIHIYKIIRKSIIDEGIYKLGIEKMSSSQINKMDWDVLDLKIQNWLEAVKVSIRTLFTGERILCDHVFAMSDSIRESCFTEISKDGAMLLFGFPELAAKTKRSPQEKMFRVLDMYTAMSENWQEIETIFSFESTSAVRSQALNSLVRLSESVRSLLTDFESTIQKDSSKVMIPGGGLHSLTIYSMNYLTLLADYSNVLTDIISDWPPPAKSSLPKSYFDSPYSDDTPVPAISVHIAWLILVLLCKLDGKAKHYKDVSLSYLFLANNLQHVISRVRTSNLQYLLGEEWITKHEAKVRQFAANYERLAWGHVFASLPENPTSSMSPGEAKECFRKFNSSFDDVYWKQSSCLVPDSKLRDEIKLSIARKLVPVYREFYDTQKSTFGDERTARLFVRFSPEDLGNHLSDLFFGTVNSGSSSTSSSTSSHRRRMR >EOY07340 pep chromosome:Theobroma_cacao_20110822:5:1255879:1259837:-1 gene:TCM_021800 transcript:EOY07340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with tetratricopeptide repeat domain MGARCSKFSICWFQSHLKASVLESSDLENGGKGEKNTWPSFSEFSLEQLKAATCGFSSDNIVSEHGEKAPNVVFKGKLDNDRWVAVKRFNKFAWPDSRQFLEEARAVGSLRSERLANLIGCCYEGEERLLVAEFMPIETLAKHLFHWENQPMKWAMRLRVALYLAQALEYCSSQGRALYHDLNAYRILFDNDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSFGTLMLDLMSGKHIPPSHALDLIRSKNFLMLMDSALEGHFSNDDGTELVRLASRCLQYEARERPNAKSLVTSLLSLQKEAEVPSYVLMGIPQGTASPKQPLSLTPFGEACLRLDLTAIHEILEKMGYKDDEGIANELSFQMWTSQMQETLNSKKHGDTAFRAKDFASAVDCYTQFIDVGTMVSPTVYARRCLSYLMNDRPQEALGDAMQAQAVSPEWPTALYLQAACLFSLGMESDGQETLKDSTSLEAKRSKN >EOY08475 pep chromosome:Theobroma_cacao_20110822:5:8902947:8906041:-1 gene:TCM_022930 transcript:EOY08475 gene_biotype:protein_coding transcript_biotype:protein_coding description:NC domain-containing protein-related isoform 2 MVVATTHQKERNTAAAALGNMEKSERAGLPQRSLCRYNIRRKKGSTFSRSKGGPRLHRITTQFSRLYKGDTNMGLLSNRVAKESLKPGDHIYSWRTAYIYAHHGIYVGNDRVIHFTRHGQEVGTGTVLDLLLLSSGPARTQVHCPTCTPPDEGHGVVSSCLNCFLAGGILYRFEYAVSPALFIAKARGGTCTLAVSDPNDLVVHRAKYLLENGFGCYNVFKNNCEDFAIYCKTGLLVLDQATIGQSGQAISIIGGPLAAVLSTPLRLVTTNIYGMAATAVGVYCASRYAADIGMRRDAVKVSVEDLTRRLATGLLQICRSAIYYLKNYMLQKLVYSCL >EOY08476 pep chromosome:Theobroma_cacao_20110822:5:8903179:8905625:-1 gene:TCM_022930 transcript:EOY08476 gene_biotype:protein_coding transcript_biotype:protein_coding description:NC domain-containing protein-related isoform 2 NSTRRNLKELDFRNGAYAVTTSDVKRAQHFRGVKEDPDCTELQLNSPVCTKEIQTWGCYPTGIYVGNDRVIHFTRHGQEVGTGTVLDLLLLSSGPARTQVHCPTCTPPDEGHGVVSSCLNCFLAGGILYRFEYAVSPALFIAKARGGTCTLAVSDPNDLVVHRAKYLLENGFGCYNVFKNNCEDFAIYCKTGLLVLDQATIGQSGQAISIIGGPLAAVLSTPLRLVTTNIYGMAATAVGVYCASRYAADIGMRRDAVKVSVEDLTRRLATGLLQVIEPQVSAAPAH >EOY07112 pep chromosome:Theobroma_cacao_20110822:5:470844:472212:-1 gene:TCM_021624 transcript:EOY07112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF688) [Source:Projected from Arabidopsis thaliana (AT3G51760) TAIR;Acc:AT3G51760] MIFLYMGEGMNEAMEKKRPRKLNFNAPLLSTRRPAGGHIGDKLSCTNSQGGCKDSSNGIPFCWEQAPGKPKNLDESNNVDDAETPRPKLPPSKWRPPEEACQDHNHDHDEGCDADVDDYDDDNNDDVFSDAMEVLSLTQAIDIVEKAEKFRGSSDGLKSKSLEPSDLYGLNLESLDRSDCPSPSFIIERFLPDATALAASSAMNTSLKTKLPYLCNYSESPCVSQAVINRPFSSPKGCGLEILLPWRMKHKLCGVKSPIKESSIIVKPTTSSKQKKLFSSIVAPSGEWRCK >EOY08053 pep chromosome:Theobroma_cacao_20110822:5:4180285:4183352:1 gene:TCM_022373 transcript:EOY08053 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase family protein MGLKEIKRGDHLLILSLGMHVPCFLDNFFKTRQLFNVMQKSGRISKEEQTLSEVLYKRHLSHLDHHSPWLLPSLNSPSPAPLSPHSLLFYTSLFLYHIHTLCFTVGAVGKKEEKNMRVSSSFLASLVLVLVFLVANGDPLVPAVIIFGDSVVDVGNNNNLNTLIKANFPPYGRDFVTHRPTGRFCNGKLATDFTAEYLGFTSYPPAYLSRDARGNDLLTGANFASAASGLYDRTANLYRAIPLTQQLNYYKEYQTKVVNTVGKAKANDIFAGAIHLLSAGSSDYIQNYYINPMLNRVYTPDQFSDILIRSYSTFIQDLYGLGARRIGVTTLPPTGCLPAAITLFGGGSNQCVTRLNQDAVAFNKKLNNTSESLLDKMPGLKLVVFDIYQPLLDMVMKPADNGFFESRRACCGTGTLETSLLCNDRSLGTCTNATSYVFWDGFHPSEAANQVLAGNLLAQGVSLIS >EOY09747 pep chromosome:Theobroma_cacao_20110822:5:30887238:30900291:1 gene:TCM_025136 transcript:EOY09747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling factor18 isoform 2 MELDEWELSAEEWDYLERDAFQKIAQQRNHSSYSSSSFNQNPITHSPSAKAGASSGTLPSSIAPKTKPADQCSKEQLPKLSVKFILHATGNIAAKFSYKQVLVDAFRKIPKAAWNAQERLWMLPLSSLSSAEKVLCEVSGFKVEVENLHPLVQRAIAAASALPDLRVWYDRIPSYIESKLLPFQRDGVRFVLQHGGRALLADEMGLGKTLQAIAVAACIRDSWPVLVLAPSSLRLHWASMIQQWLNIPPSDIVVIFSQVGGSNKGGFTILSSKCKDGIHLDGLFNIISYDLVPKLENVLMASEFKVVIADESHFLKNAQAKRTTTSLPIIKKAQYAMLLTGTPALSRPIELFKQLEALYPDVYRKIYEYGDRYCKGGIFGTYQGASNHEELHNLMKATVMIRRLKKDVLCQLPMKRRQQVFLELTEKDMKKISSLFQELNLVKGKIKARRSEEEVHSLKLIEKNLINKIYTDSAEAKIPAVLDYLETVIEAGCKFLIFAHHQPMIEAIHQFLLKKKVGCIRIDGATPASSRQALVNDFQEKDAIRAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQASSVNIYYLLANDTVDDIIWDVVQNKLETLGQMLDGHENTLEVSTSQQQRSPLKQKTLDSFTKRCNSIDDAGQMLDGHENTLEVSASQQQRSPLKQKTLDSFMKRCNSIDDAEHQSKLKYPRH >EOY09748 pep chromosome:Theobroma_cacao_20110822:5:30890087:30900237:1 gene:TCM_025136 transcript:EOY09748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling factor18 isoform 2 MELDEWELSAEEWDYLERDAFQKIAQQRNHSSYSSSSFNQNPITHSPSAKAGASSGTLPSSIAPKTKPADQCSKEQLPKLSVKFILHATGNIAAKFSYKQVLVDAFRKIPKAAWNAQERLWMLPLSSLSSAEKVLCEVSGFKVEVENLHPLVQRAIAAASALPDLRVWYDRIPSYIESKLLPFQRDGVRFVLQHGGRALLADEMGLGKTLQAIAVAACIRDSWPVLVLAPSSLRLHWASMIQQWLNIPPSDIVVIFSQVGGSNKGGFTILSSKCKDGIHLDGLFNIISYDLVPKLENVLMASEFKVVIADESHFLKNAQAKRTTTSLPIIKARCGEASCKQFTKWNRKLCRKLNMQCCLLELQLYPDQLSCSSRQLEALYPDVYRKIYEYGDRYCKGGIFGTYQGASNHEELHNLMKATVMIRRLKKDVLCQLPMKRRQQQVFLELTEKDMKKISSLFQELNLVKGKIKARRSEEEVHSLKLIEKNLINKIYTDSAEAKIPAVLDYLETVIEAGCKFLIFAHHQPMIEAIHQFLLKKKVGCIRIDGATPASSRQALVNDFQEKDAIRAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQASSVNIYYLLANDTVDDIIWDVVQNKLETLGQMLDGHENTLEVSTSQQQRSPLKQKTLDSFTKRCNSIDDAGQMLDGHENTLEVSASQQQRSPLKQKTLDSFMKRCNSIDDAEHQSKLKYPRH >EOY08995 pep chromosome:Theobroma_cacao_20110822:5:24608637:24612921:-1 gene:TCM_024330 transcript:EOY08995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 MALMATTRTKLCRLLTRTLRPGCSALNGSIRPDPFNCSFSSASSAAAMAPQREAMGLEVLGVKDYEDYRRSLYGEITHKALLVDAVGTLLAPSQPMAQIYREIGEKYGVEYSEIEILNRYRRAYAQPWGRSRLRYVNDGRPFWQYIVSSSTGCSDSQYFEELYNYYTTDKAWHLCDPEAEKVFKALRKAGVKVAVVSNFDTRLRPVLRALNCDHWFDAVAVSAEVEAEKPNPTIFLKACELLGIKPEDAVHVGDDRRNDIWGARDAGCDAWLWGSDVYSFKENLIWSEYLESLHDPPVLLMQVARRIGVQV >EOY08996 pep chromosome:Theobroma_cacao_20110822:5:24608640:24612837:-1 gene:TCM_024330 transcript:EOY08996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 MALMATTRTKLCRLLTRTLRPGCSALNGSIRPDPFNCSFSSASSAAAMAPQREAMGLEVLGVKDYEDYRRSLYGEITHKALLVDAVGTLLAPSQPMAQIYREIGEKYGVEYSEIEILNRYRRAYAQPWGRSRLRYVNDGRPFWQYIVSSSTGCSDSQYFEELYNYYTTDKAWHLCDPEAEKVFKALRKAGVKVAVVSNFDTRLRPVLRALNCDHWFDAVAVSAEVEAEKPNPTIFLKACELLGIKPEDAVHVGDDRRNDIWGARDAGCDAWLWGSDVYSFKEVARRIGVQV >EOY09233 pep chromosome:Theobroma_cacao_20110822:5:27361056:27365555:1 gene:TCM_024636 transcript:EOY09233 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein MGLPNASGDLSTEVEVDAFRRLFPLRFYEKHLSESIRPDARPLGRARDTTIALGAVASANGSALAKIGSTTMLAAIKMEVMTPSTETPDEGCVAIDFHMPPICSPIVRPGRPAEAAPVVSKQLSDTILSSGMISLKELCLVSGKAAWMAYLDIYCLDADGALFDTALLSAVAAFSHLEIPVVSLNDDGKIVLLSEEQEGMSEREPVNKEKRKLTLCSIPFSLTCILHKNYILADPTAEEESIMETLVTIVLDSSSQLVSLYKPGGPVLAYTSAIQDCIAITRQRVKELQKILEEAISVMEVD >EOY11017 pep chromosome:Theobroma_cacao_20110822:5:37464283:37464880:1 gene:TCM_026280 transcript:EOY11017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKRSGSCSSSKCLPPQTVVNIANELHKENCFGRYDLVGNNCEDFSTFCKTGIR >EOY11052 pep chromosome:Theobroma_cacao_20110822:5:37690822:37692002:1 gene:TCM_026321 transcript:EOY11052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center W protein, chloroplastic, putative MATITASNFAAVLPKATLKGSYKVQSSPVLGLPVMATNGRVRCTLEQKRSASSMSLNPSMVASLMAAAAAAMTTTAGPAMALVDERLSTEGTGLPFGLSNNLLGWILFGAFGLIWALYFIYVSSLEEDEDSGLSL >EOY09247 pep chromosome:Theobroma_cacao_20110822:5:27526307:27540602:1 gene:TCM_024654 transcript:EOY09247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVITGGKKQNPFKGKMWEIKGLVSPVKLQSFHWIKVVEEGKDNIEEEIWYRAVVLALSTFFKFTGSCINVIGFVNQGFVY >EOY07829 pep chromosome:Theobroma_cacao_20110822:5:2886838:2888747:-1 gene:TCM_022153 transcript:EOY07829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKQASKVCLFLSIVFSILANHSISATTSEQSYAPAASESENKSLSLESLPFLPNPDSPTTEAEAVAETLSPTYFSFPPSSNIPDVETKPNETLSQENVSNLSNADEPSLETQGEQKLFQDLASPPSVDDPTLESNKENTSLPPSKADPPAVETKESEDLFQENTSLPPSPSVDTPAVETKEDENVSQENLFSAENKDNEIPSQENTSVPSSYSPNVDATSLEENKSPAVAPAYVDNTPALDTSDDGSFTQENHSPVTYVNTPAPETKGEEDITSQDYSSVTPPNTESQNPENVPELNDYSSLPKTAVPAPSEAVSFPESDPTIQMTPSNDETFGLAPTSHQTILPFNYRAEDEPVEPYEDEDSWNGVNGAVAGVLVGACVIGVGGFIYQKRKNDNIRAQYTCLAKKGGV >EOY09940 pep chromosome:Theobroma_cacao_20110822:5:31872754:31875357:1 gene:TCM_025313 transcript:EOY09940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESIKFSGFQILLGEIKCQRFGDVFLNSHPMISLFSIVVDNEFKVVDLWNNGTWFIPFRRSLYSWEKDSYNEILRKLENVFLSIGKFDKLIWVHDSHEPSISFVGRAPLHHRIGISRQPPNDGEFKFNIDGSVWGKPSIASCGGVLQNSASHVVGIFFGPLGFHDSNYAELYAILQALRFYASSPFKDSHLIIESVASNSFADNLAKFGVEKNSMFCARW >EOY11209 pep chromosome:Theobroma_cacao_20110822:5:38357122:38360162:1 gene:TCM_026456 transcript:EOY11209 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-related isoform 2 MAIARTGVYVDDYLEYASTLPAELQRLLNTIRELDERSQSMINHTRQQTKYCLGLAAKRGNGNSYFNNSHEDEDTIEKMRKDIEASQENALSLCTEKVLLARQAYDLIDSHVKRLDEDLTYFAEDLKQEGKIPPDEPAILPPLPIVPKLEKRKHFYGTPQSKRLEYRDRDWDRERDRDFELMPPPGSHKKDFATPLDVEQPIDPNEPTYCVCHQVSFGDMIACDNENCQGGEWFHYACVGLTPETRFKGKWYCPTCRMLPQCQ >EOY11210 pep chromosome:Theobroma_cacao_20110822:5:38357122:38359074:1 gene:TCM_026456 transcript:EOY11210 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-related isoform 2 MAIARTGVYVDDYLEYASTLPAELQRLLNTIRELDERSQSMINHTRQQTKYCLGLAAKRGNGNSYFNNSHEDEDTIEKMRKDIEASQENALSLCTEKVLLARQAYDLIDSHVKRLDEDLTYFAEDLKQEGKIPPDEPAILPPLPIVPKLEKRKHFYGTPQSKRLEYRDRDWDRERDRDFELMPPPGSHKKDFATPLDVEQPIDPNEPTYCVCHQVLPFYSLFCTSNYCCACFHCFFDLKALIQN >EOY10345 pep chromosome:Theobroma_cacao_20110822:5:34284533:34286705:-1 gene:TCM_025719 transcript:EOY10345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MAATANTTSMFFIGFAILVLSCFCLIEAQKGGFSVELIHRDSPKSPLYNPLETASNRVANALRRSFNRAQRFKPSSISTKAVDADLIADSGEYLMNVSIGTPAFDIVAIADTGSDLIWTQCKPCSQCFRQDAPLFDPSKSSTFRTFSCSASQCENLEGSSCSSNNTCRYSVTYGDNSFSNGDVAADTLTLPSTTGRPVAFRNTIIGCGHNNDGTFDENTSGIIGLGGGDVSLISQLGTSIAGKFSYCLLPLSDAGESNKMNFGTDAIVSGAGVVSTPLTKKFPSTFYFLTLEAVSVGSKRIKFTGSSLGTDDGNIIIDSGTTLTLLPEDFYSELESAVASQIKARRVDGPQGLSLCYDATTDFAVPNITIHFTNADVKLAPLNTFVLVSDTVSCFTFSSLQGFAIYGNLAQMNFLVGYDTEKQTVSFKPTDCSKN >EOY07444 pep chromosome:Theobroma_cacao_20110822:5:1582392:1583864:1 gene:TCM_021875 transcript:EOY07444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger protein 10, putative MEQARYWMWTKRRHGLSSHLQASTYAAYDDSWEEQAFAEDAAGSLGGCIWPPRSYSCSFCGREFRSAQALGGHMNVHRRDRARLKQSPTPHGETIHHHDHQNSQSHIQNPFLPMGFQYQPDEVCNFVYNPNPNSDHGTLTSPSTSSRVSPPPTKENCPGKILIPTFSSPIVQERPKTKSPDSSPKSWSNLVADRYYHFSDLSTEGEKSPRILESGCKVKADYVQTDMSVSLNLVVRRARPTTSGSREETVGCKRKRTDTPTLPFFLKPISIERHHLQPADLPELRPSSVDDIDLELRLGDRPKVK >EOY08928 pep chromosome:Theobroma_cacao_20110822:5:23209621:23210818:-1 gene:TCM_024207 transcript:EOY08928 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid-specific 50S ribosomal protein 5 [Source:Projected from Arabidopsis thaliana (AT3G56910) TAIR;Acc:AT3G56910] LFRWCVERKRAFIWLIQNQRTNVFASCKVMALLSFNPLPCLSSSPVSSCATSLPIAVSPISWVRPKAVDHPPSKCPIRTQVVPNKKRLLMTVKASAASTGGEPQPQPPSESKKEALPVEQLPLESESKAQQMMEQKMRMKLAKKIRLRRKRLLRKRKMRKKGRWPPSKMKKLKNV >EOY08927 pep chromosome:Theobroma_cacao_20110822:5:23209117:23210773:-1 gene:TCM_024207 transcript:EOY08927 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid-specific 50S ribosomal protein 5 [Source:Projected from Arabidopsis thaliana (AT3G56910) TAIR;Acc:AT3G56910] MALLSFNPLPCLSSSPVSSCATSLPIAVSPISWVRPKAVDHPPSKCPIRTQVVPNKKRLLMTVKASAASTGGEPQPQPPSESKKEALPVEQLPLESESKAQQMMEQKMRMKLAKKIRLRRKRLLRKRKMRKKGRWPPSKMKKLKNVFLQQWKLIHSSEIVG >EOY11653 pep chromosome:Theobroma_cacao_20110822:5:39953095:39954633:1 gene:TCM_026764 transcript:EOY11653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFQKKRVQLLLCIAGVIILSITAEKCRQLVGEEASSQSGKFTILNCFDMGSGSVACAVKEGVKLYFYNIRSAHVERARNVAIEKAIEDAVSQGMSAKDAAKLAQIEGKKAAKLATRQAKRIIGPIISSGWDFFEAIYYGGTIVEGFLRGTGTLFGAYGGGFLGEQRLGRVGYLVGSHLGSWVGGRIGLMVYDVVNGVHYLLQFVQMEENENHEAPVYEKSEVSEDSYAYENSDIYESPPDESSESEESSSFW >EOY08418 pep chromosome:Theobroma_cacao_20110822:5:8021059:8025069:1 gene:TCM_022842 transcript:EOY08418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin E2 variant 1D-4 MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVEPKKFGLLANWQREYTMEDILTQLKKEMAAPHNRKLVQPPEGTYF >EOY11574 pep chromosome:Theobroma_cacao_20110822:5:39717607:39726388:1 gene:TCM_026712 transcript:EOY11574 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MDPVITGAAANVSSEVAKGIFQEIKRHMRYVIISKQNVDKFEEKLKSLIAKRTSVQQEVDVADRNGEKIKADVEHWCNTVDKVINEEEKKVKDLEDKAKNKCFFGLCPNIKSRYQLSKKAEKGAVVVDNLIQECQFNGVGCLDVPKAIVDTSPNGFETFKSREKVFNDIMEAMKDATISMIGVYGMPGVGKTSLVKEVARQVQEARLFDSVVTVTVAQTPDIQKIQENISELLGLRLEDKSTDVRARRLHERLKKEKTVLIVLDDIWKRLDFKEVGIAFGNQHKGCKILLTSRDQNVLSNEMDVEKRFAIDDLDDEEAWDLFRKMVGTDSVENAELRPTAIEVAKKCARLPLAIVTVARALRNKSLFAWKDALRQLQKPSSSNFTGKFAAIYLAIELSYNHLENELKQAFLLCSLVRRDASTDDFLRYAIGLGLIKGDDTVEEARNKLLTMVSDLKASCLLVDSNTYDLYFDMHDLVYDVAMSIAFKDNHAFALKEVYKDWPHEETTKKCNKIYLRFPNIRELPEELNCPQLVFFHMFIYGYKKVPPNFFKETTNLKVLHLNGMQFSSSPSSIRLLTSLCALCLHQCKLGDIAIIGELNNLKILSFSRSDIKILPKEIGRLVKLSLLDLSYCTELKIISPDVLSNLSKLEELYMDGTLIQWEDGRDANHRSNASLAELKNLSHLTTLEVHITDVEATSGGLFFEGLQKLERYKIFIGNEWNWLLTYEDEYKYSRNVRLQSSTFIDHLDHGIKMLLKKSVSYSFYQTEDDSVAKYPINDNDATNRADFSQLQLGSPQDDDSPKDISFCFEDKNVSTSMPQPELPLSSEKMGFPCLENLQLSSTNVEKIWHNASCNLENLTTLTVWGCGYLKQFLSFSMARRLVHLIHLEIIECQCLREIISTEDVEEESKTIEFPSLESLRIRDCPELKGFIYKSTTEGSQHFSSQALFNDKVAFPSLEEIFISNLRSIKMICQNQLSANSFHKLQRVEVKECPGLLTIFPSNTLRAFQGLQTLVVERCDLLEEVFEIERSNMEETRAATTQLKELLLEDLPNLKYIWKNDPQGIFTFENLQVLSVGWCLNLKNVFPAAAARVLQQLSYVDIHDCGLEEIVSKEDGLETAATFEFDQLSFFRLFNLPELKCFYPEVHTTKWPMLKVFQAFRCGNMKIVGTERFNIIETPNFNGQLESLLIHPQFFSTKKQPWSLDDLPTTVALTMHQGSTVTSMDFHPSNHTLLLVGSANGEITLWELGMRAKLVTKPFKIWEMSTCSMTFQALMVNDAPISVRRVTWSPDGSLVGVAFSKHLIHLYAYLGSNDLIQRLEIDAHIGGVNDLAFAHPFEALRIITCGDDKRIKVWDVMTGQKLFKFRVHDAPVYSICPMDSKQVEHKELMSISCLYFRLDCNNNQSLLLKDAITTNLLNFISTTVDGEIILQQYDMRFTCTNFTPGNFVGFTKKSAGVVSFDMAQNQFLAAGEDSQIKFWHTDHRYPLSFTDANGGLPSLPCVRFSKEGNLLAVTTADNGFKILANAVGLKSLGPNEASSSSA >EOY08587 pep chromosome:Theobroma_cacao_20110822:5:12875058:12882505:-1 gene:TCM_023306 transcript:EOY08587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQISKEFGSSIPEQNQTSQGVRRKMGMINTKIIRFGLLLETVQMENGHRGDQLKEKKRTRISGEVISCHCKANASVMVQDLSCDK >EOY10631 pep chromosome:Theobroma_cacao_20110822:5:35629835:35632183:-1 gene:TCM_025944 transcript:EOY10631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein MGREVSESCIDSLLTEMVSTYCNRFYANKPELAARRIEAIGYQVGHQLSERYTMDRPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRMSIDQSPENGASEDTSIMADNKAVQSMHLYFPCGIIRGALSNLGIPCAVSADISNLPACSFVVRIKA >EOY08495 pep chromosome:Theobroma_cacao_20110822:5:9293222:9313813:-1 gene:TCM_022969 transcript:EOY08495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2016, putative MGRPESCVLFSQTFVHTHLDEYVDEVLFAEPVVITACEFLEQNASSASQAVSLVGATSPPSFALEVFVQCEGETRFRRLCQPFLYTHSSSNVLEVEAVVTNHLVVRGSYRSLSLVIYGNTAQDLGQFNIEFDDSSLPDLVSSADGKLEDLPLALRTINRTFEESLCSLNVISLPVVKLDLSVEVNQLLQLMLKILELANVGYAVHKVLSTVASAASSLISFDLDSNAIHQKYLMSERNKDFKELDHGISEARKNLLELYEALQYKSMNGSSESLTECSFMESEADLASSKQLVEMLLPYFNFNRSSSSFGHHQLSESKNVILGLNVALFLCSSKESCFHFVNCGGMDQLAYLLDHDMQKSTAITLLLLGVIEQATRHSVGCEGFLGWWPREDENIPSGTSDGYSHLLKLLLQKPRHDIASLATYVLHRLRFYEVVSRYEYEVLSILGGLSAAAKGTSVASNKLVGVGSLLKKLLHLVKSHGRIEDPSPVAHASSFLILGQTDILVSYKATSGLIASSNCCFSNWEIDSHLLALLKDRGFLPLSAALLSTTILHSEAEDVVNISMEIVSSIGSIIVSFLFCRSGLVFLLHQPELTATLIHALKGADAMSKEECVPLRYASVLISKGFTCSPQEVGIIVETHLRVVNAIDRLLSSTPQSEEFLWVLWELCGLARSDCGRQALLALSFFPEVLSILIEALHSVKETEPAIKNSGAAPLNLAILHSAAEIVEVIVTDSTATSLSSWIGHAMELHKALHSSPGSNRKDAPTRLLEWIDAGLVYHKNGAIGLLRYAAVLASGGDAHLTSTNILVSDLTDVVDNVIGESSNASDINVMENLGGIISLKSFDGVSLRDSSIAQLTTAFRILAFISENPTVAAALYDEGAIAVIYVVLVNCSFMLERSSNNYDYLVDEGTECNSTSDLLLERNREQSLVDLLVPSLVLLITLLQKLQEANEQHRNTKLMNALLRLHREVSPKLAACAADLSSPYPDSALGFEAVCHLVVSALAYWPVYGWTPGLFHSLLASVQATSSLALGPKETCSLMCLLNDMFPEEGVWLWKNGMPLLSALRSLAIGTLLGPLKERQVDWYLERGHLEKLLNQLMPQLDKIAQIIQHYAISALVVIQDMLRVFIIRIACQKAEHASKLLRPILSWIHDHISDLSSPSDTDAYKVYRFLDFLASLLEHPYSKAVLLGEGFSQILKRVLESCFVATDSDGKQISDCGNSASCGFTLINWCIPVFQSISLLCSSRTFSQNNGRHDMHKFDGLSPKECLLFINQLLKFCQVLPVGKELVSCLQAFKDLGSCAEGRSAFMSALLHGGNSSGGALESESGHEKNGNFHFQNESELRKSPPLLCCWKKLLRSVDSKDSSLAYAIEAVNALSLGSLCFCMDGKSLNMNAVVALKFLFGFPDDMAGIGGLPEENINYIQEFSTLLSSRIINDDYQSPSDMHISMCQVSESVKSLLLLFQISTGTVKVDDTILNEILSLPQNDVQVPLRIHQMAQGNGGKADDDLYLGGFEDKFSWELPETLPDRLPQTALPTRRKLQPADSSTRRARGDNSVTEITNPNAFSRGLGPSTVPPGTTRRDTFRQRKPNTSRPPSMHVDDYVARERSVDGVTNSNAIAVQRVGSSGGRPPSIHVDEFMARQRERQNPAASVAETAAQSKNAAPINGADNEKVNKSKQLKTDLDDDLHGIDIVFDGEESETDDKLPFPQPDDNLQQPASVIVEQSSPHSVVEETESDVNGSSQFSHMGTPLASNVDENAHSEFSSRMSVSRPEMPLTREPSVSSDKKFFEKSEDSKNAISIKNSSRFDSAAGANSSGFSAPVYSNTPPTSVQLPADSRITPQNFYPKSSPQYASNIPGAVGSRGMYEQKVLPNQPPLPPMPPPSAIPPGQSDYLSAVSGSPSLLQSSLSVSDSKFMRTSMSSPSGNTRPPPPLPSTPPPFASSPYNLASVNASTSQPSVYNHSGMGKTELPQSSIGPTIDARLPASAAGLTSYPPPLMQSLVFNRPASIPITPYGSTPAQQQGENPPSMLQNPSIPQSSIQSMHSLAQLQPLQQLQRPLQPAQHLRPSMQSSQQLDQGVSLQTPVQMQMQSLQMLQQSHVSPVNPYHQSQQQEFSPAQQQLQVELSQPQVLQQGGGASQQQQDSGMSLHEYFQSPEAIQSLLRDREKLCQLLEQHPKLMQMLQEKLGQL >EOY07126 pep chromosome:Theobroma_cacao_20110822:5:521828:525022:-1 gene:TCM_021638 transcript:EOY07126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein G1P-related 1 MAARLALHFRSHLLRNLHNQKPPTLATLKSQPLISQLAPVPITTVDHFFTSPTLRFLSTSRRIPTRPKKVDIGARARQLQTRRLWTYALTFSCIAGFVVLVLNNFQEQLVFYVTPTDALEKYTQNPSKTKFRLGGLVLEGSVVQPGSSKEMEFVITDLITDILVRYEGSLPDLFREGHSVVVEGFVKPFTEETKREVSSKSVSGKARSGDCYFSATEVLAKHDEKYMPAEVAAAIEKNKKKIEEGLEGTKESDLLHLPSARFLCLSGLAYMRRKPLFLFYLVRLPVLKSSPVVYGNILELRSSSW >EOY07275 pep chromosome:Theobroma_cacao_20110822:5:1019374:1020439:-1 gene:TCM_021741 transcript:EOY07275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRRTSKRVSFSPDVNERPTILLKHGGSTGRTRGNRRRVVAGIFTFRLVRSSRFSPARLLRRLGAKVARALRFVSMRRNSNSHKVSSSSSNLARSRSLAESIDSHRAEAIEDCIEFLNSSSSLSRSNSVSTCSC >EOY07697 pep chromosome:Theobroma_cacao_20110822:5:2442835:2443808:1 gene:TCM_022064 transcript:EOY07697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGYIPTSICLIAALSKTNKASKHRKQPLGIPPSLPPPTPHPPPPPGSLKLNTDGAAKGKPGPAGIGGVLRDHLGFIKVAFSNHIGIEESNYAAFQAIQEGIRFFSHHEPHPMSWKWKVVLPMPSAGLKTTTRSGA >EOY09244 pep chromosome:Theobroma_cacao_20110822:5:27471042:27474150:1 gene:TCM_024649 transcript:EOY09244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGENFQCVVFNLNAPFDATNKLSLWEDIFSFHSHYIMSWCCAGDFNTIRCLEERTRCTHSGLGMTKFNDFIDLCELTDLPLVGKKFTRYRSNYKCSCINRL >EOY08424 pep chromosome:Theobroma_cacao_20110822:5:8083328:8087505:-1 gene:TCM_022849 transcript:EOY08424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MEFIKGQNIEVPLVLVGHSIGSYISLEMLRRLPKKAVYCIGLYPFLALNLQSKKQSTIVRIAMSRVLSTVLSFLVASFGLLPRQVLRLIFKLSVGKSWSNTALEAGCSHLPQYHTMRNVLFMARTEFSKKHQIGNL >EOY08423 pep chromosome:Theobroma_cacao_20110822:5:8082653:8087532:-1 gene:TCM_022849 transcript:EOY08423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MLRRLPKKAVYCIGLYPFLALNLQSKKQSTIVRIAMSRVLSTVLSFLVASFGLLPRQVLRLIFKLSVGKSWSNTALEAGCSHLPQYHTMRNVLFMARTEFSKLSETPDWEFMRENQDKITFLYGIDDHWGPLKMFEEISKQASGIALSIEREGHTHGFCCTEAGSLWVARHVASLIKNNLAISSW >EOY08422 pep chromosome:Theobroma_cacao_20110822:5:8082909:8087530:-1 gene:TCM_022849 transcript:EOY08422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MEFIKGQNIEVPLVLVGHSIGSYISLEMLRRLPKKAVYCIGLYPFLALNLQSKKQSTIVRIAMSRVLSTVLSFLVASFGLLPRQVLRLIFKLSVGKSWSNTALEAGCSHLPQYHTMRNVLFMARTEFSKLSETPDWEFMRENQDKITFLYGIDDHWGPLKMFEEISKQASGIALSIEREGHTHGFCCTEAGSLWVARHVASLIKNNLAISSW >EOY09234 pep chromosome:Theobroma_cacao_20110822:5:27365606:27368159:1 gene:TCM_024637 transcript:EOY09234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCFREPFLTRFQLDSLNLELTSCAPAVFQFLVGDELALDSGGGCKGCHSTHSNLSFLCPSEVTFSPRKEINGEQNLKSSLLLQSRGPQKSLSPGLVVKEFPSALTLRILLCIPRGRGHGFLTLGALMFEEQLVESWLVLLDWLRSVRRKLKFDNKSKVKRRLEVWPVVGHKYIWRKQRRVTGPHPLRTDLWASALGVNENNDHFSLLETY >EOY08314 pep chromosome:Theobroma_cacao_20110822:5:6295896:6321903:-1 gene:TCM_022654 transcript:EOY08314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin extension protein 1 isoform 2 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRK >EOY08313 pep chromosome:Theobroma_cacao_20110822:5:6320017:6321796:-1 gene:TCM_022654 transcript:EOY08313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin extension protein 1 isoform 2 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >EOY07858 pep chromosome:Theobroma_cacao_20110822:5:3027006:3029320:1 gene:TCM_022180 transcript:EOY07858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase V isoform 2 FRAAEVPIEWEEHYVGDQIDPRTQSFLTWESLESVRRNGVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDNVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEITYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLVGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSAVSMLHHLNLGGSSTTTDFTKAICDHL >EOY07857 pep chromosome:Theobroma_cacao_20110822:5:3026359:3029323:1 gene:TCM_022180 transcript:EOY07857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase V isoform 2 MATQLLRRRATQILSHSNLNPNRTSPLSLARAFSSATDPIRATLFPGDGIGPEIAESVKQVFRAAEVPIEWEEHYVGDQIDPRTQSFLTWESLESVRRNGVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDNVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEITYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLVGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSAVSMLHHLNLHDKADRIQDAILKTIAEGNHRTADLGGSSTTTDFTKAICDHL >EOY07859 pep chromosome:Theobroma_cacao_20110822:5:3026366:3028782:1 gene:TCM_022180 transcript:EOY07859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase V isoform 2 MATQLLRRRATQILSHSNLNPNRTSPLSLARAFSSATDPIRATLFPGDGIGPEIAESVKQVFRAAEVPIEWEEHYVGDQIDPRTQSFLTWESLESVRRNGVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDNVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEITYEEVVIDNCCMHF >EOY08675 pep chromosome:Theobroma_cacao_20110822:5:17258459:17262573:-1 gene:TCM_023671 transcript:EOY08675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMSNEGAKKGRKRSFHLQKCFRRPRERGRRKEQGKTIGILSVRKGRRPREEAVESIKEEGKERKEERSSGHTQSGEGEKGIMWPQSLAMKGKERETEVSVEARKRLS >EOY09881 pep chromosome:Theobroma_cacao_20110822:5:31486320:31489134:-1 gene:TCM_025250 transcript:EOY09881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine phosphatase family protein MGFIIEGDEENDVVLEPPANFSMVEEGIYRSSFPQPCNFAFLETLKLRSIIYLCTEPYPEENLEFLRSHNIRLFQFGIEGKTEPSVATLKNSIRGALKILIDVRNHPVLIHCKRGKHRTGSLVGCLRKLQNWCLSSVFEEYQRFAGIKSRTTDLRFIETFDIISLRQCLHSLIYQYQGYGSKKRRLLYSEETVQKPQITSV >EOY09449 pep chromosome:Theobroma_cacao_20110822:5:29219123:29229704:1 gene:TCM_024866 transcript:EOY09449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin binding cofactor C domain-containing protein isoform 1 MTDPIEPSTSTSDPIPSRSPTTLLHPRREPFEHGLLPIQKLIFTDPVQALTPLKQKHASSSTHRVDSVALADALQISADHARLVLDTLASVLHSESDQLVTARSDDVDSVGADLRDLILFLYIQSYKRLLPRSHKDSAAVADVWPSTSAFDGYLSALSPLQLVRSNSRRFMPSQADEEAHQLSYLQKHLANILSLLSEPVEGEGEESLVLTMEGFEHLGFLIQFGDKGSEGVPLSQAAPFFANSDPDMPAVPVPAAQVHDWLLQNIASSLEHVTEKISAKENGPPSGCDQDVAMADASPSSVKASPSARGPCFIEGVSKSSYVKQASDLKNSSVKVINCHDSVIYILAPLRYATIYGCSDATIVLGAVGKAVRVEHCERVHVIITAKRVCIANCRECVFFLGVNQRPLIVGDNHKLQVAPYNTFYSQLEEHMTEVGIESTVNRWDAPLALGVIDPHDSLSHPAGVSDAQAESATRLDPDQFTNFLIPNWFEGESTGSTKDNPFPLPDTYLTSQQRNQKNLGEIKQILREAPLEENRKRELSCALHVYFKDWLYASGNIRQLYCLQGD >EOY09448 pep chromosome:Theobroma_cacao_20110822:5:29214277:29229790:1 gene:TCM_024866 transcript:EOY09448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin binding cofactor C domain-containing protein isoform 1 MTDPIEPSTSTSDPIPSRSPTTLLHPRREPFEHGLLPIQKLIFTDPVQALTPLKQKHASSSTHRVDSVALADALQISADHARLVLDTLASVLHSESDQLVTARSDDVDSVGADLRDLILFLYIQSYKRLLPRSHKDSAAVADVWPSTSAFDGYLSALSPLQLVRSNSRRFMPSQADEEAHQLSYLQKHLANILSLLSEPVEGEGEESLVLTMEGFEHLGFLIQFGDKGSEGVPLSQAAPFFANSDPDMPAVPVPAAQVHDWLLQNIASSLEHVTEKISAKENGPPSGCDQDVAMADASPSSVKASPSARGPCFIEGVSKSSYVKQASDLKNSSVKVINCHDSVIYILAPLRYATIYGCSDATIVLGAVGKAVRVEHCERVHVIITAKRVCIANCRECVFFLGVNQRPLIVGDNHKLQVAPYNTFYSQLEEHMTEVGIESTVNRWDAPLALGVIDPHDSLSHPAGVSDAQAESATRLDPDQFTNFLIPNWFEGESTGSTKDNPFPLPDTYLTSQQRNQKNLGEIKQILREAPLEENRKRELSCALHVYFKDWLYASGNIRQLYCLQGD >EOY11465 pep chromosome:Theobroma_cacao_20110822:5:39358118:39360478:1 gene:TCM_026632 transcript:EOY11465 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein, putative isoform 2 MNNIMFFNSQRLFPNPNAEVIALSPKTLLATNRFVCEICKKGFQRDQNLQLHRRGHNLPWKLRQRTSTEIRKRVYVCPEPSCVHHNPARALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTKEYKCDCGTIFSRRDSFITHRAFCDALSEENNKANQGLVPNVGSNLQAQVPELIPAIPINNNPNTSAMNISDPFSHDTKSPLQPLSMAGSMFSNNPGNLFSGAKSNVSNPSSSCLQLNANNSSTLFEGNGHLFSGSASMSATALLQKAAQMGATATTGSLNSPLMGKSFTTSMAPPSFVSMQTPQSNQPQMLGADAGFTNQFMQKSQEEIRSDQFFNANGGVDHQSSAVNNMAMFSGVFDQNNALLKSIENDQRRASSNSGLSTPRSGGANPSGLSGFSGDMMTVDFLGIGGSRPRNLLEKQHHHHHQPQQDHLEFGGFAQARLQGLSHFQQHAAVEKPMWKV >EOY11464 pep chromosome:Theobroma_cacao_20110822:5:39356544:39360804:1 gene:TCM_026632 transcript:EOY11464 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein, putative isoform 2 MSNITAGDGGSLSSGNTAGEEVHQQQQQQQQQQQQQEQVNHFHGLNSLPPSTNSNGSTTTPQEQPPPAKKKRNLPGTPDPNAEVIALSPKTLLATNRFVCEICKKGFQRDQNLQLHRRGHNLPWKLRQRTSTEIRKRVYVCPEPSCVHHNPARALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTKEYKCDCGTIFSRRDSFITHRAFCDALSEENNKANQGLVPNVGSNLQAQVPELIPAIPINNNPNTSAMNISDPFSHDTKSPLQPLSMAGSMFSNNPGNLFSGAKSNVSNPSSSCLQLNANNSSTLFEGNGHLFSGSASMSATALLQKAAQMGATATTGSLNSPLMGKSFTTSMAPPSFVSMQTPQSNQPQMLGADAGFTNQFMQKSQEEIRSDQFFNANGGVDHQSSAVNNMAMFSGVFDQNNALLKSIENDQRRASSNSGLSTPRSGGANPSGLSGFSGDMMTVDFLGIGGSRPRNLLEKQHHHHHQPQQDHLEFGGFAQARLQGLSHFQQHAAVEKPMWKV >EOY07359 pep chromosome:Theobroma_cacao_20110822:5:1305755:1306448:1 gene:TCM_021814 transcript:EOY07359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein, putative MDQQALHNTHVKLLGFDLLSLTPSPNNSSTFYLKGNPISRAEIVGVITSRDQKPNKFLKFTLDDGTANVTCVLWLNHLTSPYFSSRQPTTVRVISEAAKRFASDAQVGKVARVRGRITSYRGKLQVTVSDVVIERDPNAEILHWLDCLSLARRRYGG >EOY09395 pep chromosome:Theobroma_cacao_20110822:5:28925625:28941705:1 gene:TCM_024823 transcript:EOY09395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MEELSTLNFVINSIEARLQAKETELKSCGTDEQVADILFCLCMSIDFSSKDFTSFNHLWTIFSSINMSSPNFDGSPCLPFSPMSSPHPTQLAPQLEDSNTIFMNGTLDNLFQNHSLFPMAQPNYSPDAHQSFGFLSNFLQVNQTAASLPEYPLQNETSLLLQNSPEFPYNMSQGITNSFMEQNAEEMICGSDEASNKSSTVKRKQRNTSKNKKQTVETSVKDNSSQSSGGSNETTSNKCRKRRQRRQERVFGVRSSTYRGVSRCRYTDRYEAFLWDNSDRSQKPKTVYIGGYDDEESAARAYDIAALKLWGESAPLNFPMCNYEKDLEEMQFYTKNEYFRSLRRKSRGFAKGASIYRGVSRNSDFKKWQARIGKGKEIKGIYLGTFDTEEEAARAYDVAAIRLKGDNAITNFDINEYDLTSILQSTKLPIGKGASKLLLESSMDDVIRKKRNCTNEQIALVHFEEDDSGSPDPNLVSMHPQQFQNLLAPEDPNMEHQQNLNHSNQQDLNQILNSSLLHGFQNLDELRANPTLLQGFNCFGGDMSNDENLSFNFDVEFPSNVDLDGYLTILEAGEKYNGGLERGIQEMQPFEFPQDITAPENLQFTNQVLHQNPSFNFMQGYDQNPTFPILQGYQNPVELRENLAGTGWRNRLETATEFNGNYNGGTFPIEGIMEGCSAVGSAYDNFSTELSGEVQQMQLRENLQSLLALQGQDSHNLNQGHEDVTTQNLYQEPINFQTNPSSDYLFSGNYNEEVSCNGIFEGLPRVMEIKNNSTGDHDDNFWDSRAALVENPVPGTAGNGVNFFEDIEEDFLSSCLQALNELGPLSF >EOY08724 pep chromosome:Theobroma_cacao_20110822:5:18767100:18782139:1 gene:TCM_023811 transcript:EOY08724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKKRMAFLLSEGNFSLVALFSLQRNSFSLQRETLGFENLHQNWFSLHRECSLARAYLCTKVTLNRNDS >EOY09063 pep chromosome:Theobroma_cacao_20110822:5:25271579:25286916:1 gene:TCM_024415 transcript:EOY09063 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-galactono-1,4-lactone dehydrogenase MFRTLSLKRSFQTHLKTLIHCPQPPPPLPLPFSPIRTLSTASSSDSELRKYLGYTALLIFCGAATYYSFPFPDKAKHKKAQIFRYAPLPDDLHTVSNWSGTHEVQTRHFHQPENLQQLEQLVKESNDKRTKVRPVGSGLSPNGIGLARGGMVNLALMDKVVEVDKEKKRVRVQAGIRVQQLVDEIKEYGLTLQNFASIREQQIGGILQVGAHGTGAKLPPIDEQVISMKLVTPAKGTIELSKEEDPELFYLARCGLGGLGVVAEVTIQCVERQELVEHTSVSNMKDIKKNHKKMLSENKHVKYLYIPYTDTVVVVTCNPVSKWRGPPKFKPKYTKDEAMQHVRELYKESLKKYRAREITSTSPDSNEPDVNEFSFTELRDKLLALDPLNKDHVMKVNHAEAEFWRRSEGYRVEWSDEILGFDCGGQQWVSETCFPAGTLSKPSMKDLEYIEELKQLIEKEEIPAPAPIEQRWTACSQSPMSPASSSAEDDIFSWVGIIMYLPSMDARQRKEITEEFFHYRHLTQAQLWDKYAAYEHWAKIEVPKDKEELEALQARLKNRFPVEAYNKARRELDPNRILSNNMLEKLFPLSDNV >EOY10558 pep chromosome:Theobroma_cacao_20110822:5:35331983:35341159:1 gene:TCM_025892 transcript:EOY10558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein / regulator of chromosome condensation (RCC1) family protein isoform 1 MLTLSQGQKQNPQKSMRKILPSGSNKDLWLAVREGSLADVDSALALSKKNGGNINSRNSFGLTPLHIATWRNHIPIIRRLLEAGADPDARDGESGWSSLHRALHFGHLAVASVLLQSGALITLEDSKCRTPVDLLSGPVLQVFGSGHDSVATEVFSWGSGVNYQLGTGNAHIQKLPCKLDSFHGSIIKLVSASKFHSVAVTARGKVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGTRRVKAIAAAKHHTVIATEGGEVFTWGSNREGQLGYTSVDTQPTPRRVSSLRSRIVAVAAANKHTAVVSKSGEVFTWGCNREGQLGYGTSNSASNYTPRIVEYLKGKVFIGVATAKYHTIVLGADGEVYTWGHRLVTPKRVVIARNLKKSGSTPMKFHRMERLHVVAIAAGMVHSMALTEDGALFYWVSSDPDLRCQQLYSLCEKKMVSISAGKYWAAAATATGDVYMWDGKKGKDKPPVATRLHGVKRATSVSVGETHLLTIGSLYHPVYPPNMPISDQAPKLNNDEVEEFDEEFMFNDSESSSMRSSVHKNVSEEKPVPSLKSLCEKVAAECLVEPRNAIQLLEIADSLGAEDLRKHCEDIVLRNLDYILTVSSQAFASASPDVLANLEKLLDLRSSESWSYRRLPAPTATFPVIINSEEEDSEIEVVRTRDNYKNETRLENEGDRLDSFLQPKDDPNKGISKQVRALWKKLQQIDMLEVKQSGGCILDDQQIAKLQTRSALENSLAELGLPVEKSQSKGSSSVLPDGKGNRKAEVSRKQRRKSKQRVAQVETVSGFSASNIEPYSVKDFSDIEIPQVLTNKEENAMSEGTMADQASKESSFIVQKKDSSVPAKDKSSLQTATKKKNRKGGLSMFLSGALDDTPKQVLPPPPTPRSEGPAWGGAKVSKGSASLREIQDEQSKTQLNQLTGSKNQVEGLSEGRSEGKILLSSFLPSKPIPMVSGQASQSSDVDRSTPPWAASGTPPHLSRPSLRDIQIQQQGKQQQSLSHSPKMRMAGFSVASGQGSPSDSPGMNRWFKPEAEAPSSIRSIQVEERAMKDLKRFYSSVKVVKNQS >EOY10557 pep chromosome:Theobroma_cacao_20110822:5:35331983:35341159:1 gene:TCM_025892 transcript:EOY10557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein / regulator of chromosome condensation (RCC1) family protein isoform 1 MLTLSQGQKQNPQKSMRKILPSGSNKDLWLAVREGSLADVDSALALSKKNGGNINSRNSFGLTPLHIATWRNHIPIIRRLLEAGADPDARDGESGWSSLHRALHFGHLAVASVLLQSGALITLEDSKCRTPVDLLSGPVLQVFGSGHDSVATEVFSWGSGVNYQLGTGNAHIQKLPCKLDSFHGSIIKLVSASKFHSVAVTARGKVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGTRRVKAIAAAKHHTVIATEGGEVFTWGSNREGQLGYTSVDTQPTPRRVSSLRSRIVAVAAANKHTAVVSKSGEVFTWGCNREGQLGYGTSNSASNYTPRIVEYLKGKVFIGVATAKYHTIVLGADGEVYTWGHRLVTPKRVVIARNLKKSGSTPMKFHRMERLHVVAIAAGMVHSMALTEDGALFYWVSSDPDLRCQQLYSLCEKKMVSISAGKYWAAAATATGDVYMWDGKKGKDKPPVATRLHGVKRATSVSVGETHLLTIGSLYHPVYPPNMPISDQAPKLNNDEVEEFDEEFMFNDSESSSMRSSVHKNVSEEKPVPSLKSLCEKVAAECLVEPRNAIQLLEIADSLGAEDLRKHCEDIVLRNLDYILTVSSQAFASASPDVLANLEKLLDLRSSESWSYRRLPAPTATFPVIINSEEEDSEIEVVRTRDNYKNETRLENEGDRLDSFLQPKDDPNKGISKQVRALWKKLQQIDMLEVKQSGGCILDDQQIAKLQTRSALENSLAELGLPVEKSQSKGSSSVLPDGKGNRKAEVSRKQRRKSKQRVAQVETVSGFSASNIEPYSVKDFSDIEIPQVLTNKEENAMSEGTMADQASKESSFIVQKKDSSVPAKDKSSLQTATKKKNRKGGLSMFLSGALDDTPKQVLPPPPTPRSEGPAWGGAKVSKGSASLREIQDEQSKTQLNQLTGSKNQVEGLSEGRSEGKILLSSFLPSKPIPMVSGQASQSSDVDRSTPPWAASGTPPHLSRPSLRDIQIQQGKQQQSLSHSPKMRMAGFSVASGQGSPSDSPGMNRWFKPEAEAPSSIRSIQVEERAMKDLKRFYSSVKVVKNQS >EOY07630 pep chromosome:Theobroma_cacao_20110822:5:2242085:2243758:-1 gene:TCM_022016 transcript:EOY07630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycofamily protein, putative MKRTHVFFPCSNDEEGDCEEFLTPWPPSSSSPPSGQQNLHQLPPCLPSTPHCYDFFPCKPDPRRRLSSRCFYGEEEHEELLNLSLSLGPPQPLSSSSVPCTHQKLSLMNNPNPKKRLLSQSFDGEENHEEGLDLSLSIGRGPASSSGLPSTHKKRLFSWSFDGEEDHEELLDLSLSLGRGPSSSSGSPSTYQKRLFSLSFDCEEDHEELLNLSISLGPGPSSIPESSSSFGLPSTHHELPFTDINPNPVHEQVSTGAQHCRPRPRCRKNPFQNPKAGKTETIAAPYPWATTRRATVHDLNYLLSHGISMISGDVECKSCQETYKIQYNLRGKFKEIKDFISAKKFAMHDRAPPAWMDPTLDSCKNCGSALKPVIPKKREINWLFLLLGIMLGCCKLSDLKYFCKHTKNHRTGAKDRVLYLTYLGLCKQLDPHGPFDV >EOY08179 pep chromosome:Theobroma_cacao_20110822:5:5335814:5339929:1 gene:TCM_022516 transcript:EOY08179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein, putative isoform 2 MLTNKESDWQMIRERQILYSIMGMPEKDVWEDRQHDQMTGNDVLIKSVKAEQNAESVKSSPTCATKYMSGGGGRSRQNLTEAEKEARRLRRILANRESARQTIRRRQALCEKLTLKVADLTRENENLKRAKELALKEYKSQESTNKHLKAQMVKAIKAEEGEAPRELKLAHQISGPSRNYPFYFYNQHPFPPFCWPSIVQSSNPVQTQCEHQNAIVVSSSISAPTNGRLDSSHDQENPINVNGPKTPLYVVPYPWFFSLPDHGNELHLRPCCGPKNNKDETSANNRFSAGCSLKSVVHEEKYNFSLPTEVEKEAYGSIEASSNNQNCTSVRLPSDGSVQCIRYQIKEEVILPTPLCSAGPTFVVEQENTPDVNTEAARVRACHFVGALPEENQESTNYTTKKVLDAAAAAEARKRRKELTKLKNLHGRQCRTHR >EOY08180 pep chromosome:Theobroma_cacao_20110822:5:5335486:5339934:1 gene:TCM_022516 transcript:EOY08180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein, putative isoform 2 MDLERGLASSSLASTPGEAAEGAEAVTADQMEMEAAEILAALAHSKKRDAVAVAAKFSAKWGCKGKRVSRRVSSSESPPSEIGLNQVDPVQSSSDLAEDRAAVDQQQSQVTSTPVVIESIEAEQNSELLNGSHTCAARYTSKCVGKSRQNAEKETLRLHRMLTNKESDWQMIRERQILYSIMGMPEKDVWEDRQHDQMTGNDVLIKSVKAEQNAESVKSSPTCATKYMSGGGGRSRQNLTEAEKEARRLRRILANRESARQTIRRRQALCEKLTLKVADLTRENENLKRAKELALKEYKSQESTNKHLKAQMVKAIKAEEGEAPRELKLAHQISGPSRNYPFYFYNQHPFPPFCWPSIVQSSNPVQTQCEHQNAIVVSSSISAPTNGRLDSSHDQENPINVNGPKTPLYVVPYPWFFSLPDHGNELHLRPCCGPKNNKDETSANNRFSAGCSLKSVVHEEKYNFSLPTEVEKEAYGSIEASSNNQNCTSVRLPSDGSVQCIRYQIKEEVILPTPLCSAGPTFVVEQENTPDVNTEAARVRACHFVGALPEENQESTNYTTKKVLDAAAAAEARKRRKELTKLKNLHGRQCRTHR >EOY08178 pep chromosome:Theobroma_cacao_20110822:5:5335373:5340360:1 gene:TCM_022516 transcript:EOY08178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein, putative isoform 2 MDLERGLASSSLASTPGEAAEGAEAVTADQMEMEAAEILAALAHSKKRDAVAVAAKFSAKWGCKGKRVSRRVSSSESPPSEIGLNQVDPVQSSSDLAEQDRAAVDQQQSQVTSTPVVIESIEAEQNSELLNGSHTCAARYTSKCVGKSRQNAEKETLRLHRMLTNKESDWQMIRERQILYSIMGMPEKDVWEDRQHDQMTGNDVLIKSVKAEQNAESVKSSPTCATKYMSGGGGRSRQNLTEAEKEARRLRRILANRESARQTIRRRQALCEKLTLKVADLTRENENLKRAKELALKEYKSQESTNKHLKAQMVKAIKAEEGEAPRELKLAHQISGPSRNYPFYFYNQHPFPPFCWPSIVQSSNPVQTQCEHQNAIVVSSSISAPTNGRLDSSHDQENPINVNGPKTPLYVVPYPWFFSLPDHGNELHLRPCCGPKNNKDETSANNRFSAGCSLKSVVHEEKYNFSLPTEVEKEAYGSIEASSNNQNCTSVRLPSDGSVQCIRYQIKEEVILPTPLCSAGPTFVVEQENTPDVNTEAARVRACHFVGALPEENQESTNYTTKKVLDAAAAAEARKRRKELTKLKNLHGRQCRTHR >EOY09908 pep chromosome:Theobroma_cacao_20110822:5:31633061:31633855:1 gene:TCM_025276 transcript:EOY09908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSCCGDCCGGGSIGYPDLGYLEKTTTETFVSGVATMKMSYEGPEERFGAENECKQCGFSNCSCK >EOY10149 pep chromosome:Theobroma_cacao_20110822:5:33199736:33203356:-1 gene:TCM_025522 transcript:EOY10149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSWEPVLLSFIILTITLASISIQIVGKLCALHKLNPLSIASNSALRELWGPKCSEKPKGSSPVWSRATPLPVASWPYLELPSTFSFVSLNHGFIHLIICGGLE >EOY08385 pep chromosome:Theobroma_cacao_20110822:5:7220817:7242674:1 gene:TCM_022756 transcript:EOY08385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENAKQRFEDLIVKKKDKKEVETYKSQYSMLEITISSRIILILTTSILVGGFTLISHRATTRDLYLHENQFLLLDFHHELKFLYLRRNHLWNRCSCNTWQRMMPSFRVKLDHFEILRHESVNLPMPSPINLRELYRVTLKQILKEKEKSIVKQSHSEVEKRYYVKFVKDILIKKRRFGEFTTVALIEKCNVINHNKLPPKLKNLDAPPHVIRSNPQFESLEIPTFSTHTKLSIEEPPILECKPLLIHLRYAFLDSSWVSSIQCVQKKGRMTIVFNANNELIPTRIVTRWRIMPFRLCNALITFKIYMMAISFDMVEKCLEVFMDDFSVFELLLVMKVITFVTSTLKYFLQNMESPIRLHLPITHRLIVKLKYPTEKSREFWRRLCVHEENTSPRGWMIALWAYRTVYKTLIEMSPYKLVFEKACHFPVELKHNAYCVIKKLKFDLHVTGEKCLLQFIELDEFHFQAYENAKLYKERTKRWHEKKIIEHHFE >EOY10054 pep chromosome:Theobroma_cacao_20110822:5:32708324:32710322:-1 gene:TCM_025438 transcript:EOY10054 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 78D2, putative MPRLTHSDAHVAVLAFPFSTHAAPLLTIINRLAAASPATLFSFFSTAQSNNSIFSTTSQQTKPNIKAYNVPDGVPDGYVFVGKPHEDIELFMEVAPENLRKGMEVAVAETGRKVSCLVTDAFFWFAKEMAEENGVPWVPFFVSGACPLSSHVYTDTIRQNFGVGGMVGREDETLDFIPGMSNIRIRDLPEGILFGNLESIFSRMVHRMGQVLPEAAAVFINSFEELDPVVTSDMKSKLKKFLNVGPLTLSTPPAPAVPDSYGCLTWLDKQKPATVAYISFGSVATPPPNELVALAEALEASMVPFIWSLRDKSKVHLPNGFLDRANGILVPWAPQIDVLAHGAVGVFISHGGYNSMQESMSSGVPMIVRPFFGDHGLNGRMVEHVWEIGVIVEGGIFTKKCVTSCXXLVLAQEKGKKMRENLKALKELAHRAVGPEGSSTKNFKALLDFVCS >EOY10978 pep chromosome:Theobroma_cacao_20110822:5:37321997:37325421:1 gene:TCM_026249 transcript:EOY10978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MDLTIATTKQPTLSRSLLASTIKIIQIPKSGTLECQRQSPFQVLAEHPTLEQTRQIHAHMVKTHFNHTKLSPSAQLNFLITSYTRNSQPHNTLAIYAYLRRTDYEVDNFMVPAILKACSFVSMTQLGKEIHGFALKNGLIEDVFVSNALIQMYSECRSAVSARLLFDNMDERDVVSWSTMIRSYVRSKLYREALDIVRKMHILQVRPSEVAMISMVNLFAELKDIEMGRAMHAYVTRNLEKMGVHLTTAFIDMYAKSGNLASAGLLFHGLNQKSIVSWTAMIAGYIHCNKLEEGGKLFARMIEERIKPNEITLLSLVVECGFVGALELGKQIHAYISRNGICVSLALATALVDMYGKCGQIRNAKAVFDTVKNKDVMIWSAMIAAYAQAHCIDQALDLFVKMRDSGVRPNQVTMVTVLSLCAEAGALDMGKWVHTYIDRQVVEMDMILQTALIEMYAKCGDIDGAWRLFRESKDQDIGMWNTMMAGFGMHGCGKEALELFSEMERVGARPNDITFIGLLHACSHAGLVKEGRLFFEKMVHDFGLVPKVEHYGCMVDLLGRAGLLDEAYEMIKSMPIRPNTITWSALLAACKLHKNTVLGEMAARQLVYLEPQNCGYNVSMSNIYAVANRWNDVAGVRKAMKNKGMKKEPGLSSIEVDGYVHEFIMGDKAHPQIEKINDMVSEIGKKLKEAGYMADTSAVLKNIDKEEKETALNYHSEKLAMAFGLISTAPGTPIRVVKNLRVCNDCHAATKLLSKIYERVIIVRDRKRFHHFRDGTCSCGDYW >EOY08769 pep chromosome:Theobroma_cacao_20110822:5:19828790:19834199:-1 gene:TCM_023903 transcript:EOY08769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein PPLKVPSCSFFFPPLHFHRSIPSKTLILYKTLNPSPPTITFLFSVAASRSLLI >EOY08736 pep chromosome:Theobroma_cacao_20110822:5:19200870:19204667:-1 gene:TCM_023848 transcript:EOY08736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVYSCVKFKCLVDLEVLKDDEQRFYSSMVIAGSMMNLVIECFLMMTCFETCSAMELPVLMSWIRLVKMMGLGKRNG >EOY07116 pep chromosome:Theobroma_cacao_20110822:5:478007:482617:1 gene:TCM_021627 transcript:EOY07116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKHTGLIKSLLGYPSTSQLMICRFMETLNESVKAQELDEGFKASGFRLQPSAFSLPLSTDPFDLSKIPNKPISIGK >EOY09931 pep chromosome:Theobroma_cacao_20110822:5:31732358:31735269:1 gene:TCM_025295 transcript:EOY09931 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHSRGVGPAPIPVEEFSLEKLVAAIKFMLDPEVKRRAVELAEAMAGEDGVAGAVNALYKHFPGKKSKG >EOY10695 pep chromosome:Theobroma_cacao_20110822:5:35946708:35947950:1 gene:TCM_025998 transcript:EOY10695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDAGNYLEAIAMRVALEWEREKTSVMKESLRDDEVALLEKALEHSMLMGKKEETLAEIELREKLIDDFMVFVGAVENNDVEIAQTFDEKAMMDAIFAMLNSDGNSGGNGEGLGGAYGGHNDLDVAIEGTGRDGGEGSKAGGEGGSCNGDHRK >EOY08787 pep chromosome:Theobroma_cacao_20110822:5:20078162:20082665:1 gene:TCM_023927 transcript:EOY08787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductases, putative isoform 2 MERKEKLNNFIVGDLPTLIYIPDFITDSEQAQLLNNIYQAPVSKWKSLKNRRLQNWGGVVHEKGLLPQDLPPWLAKITKRIYEGSGLFPSAINHVLINEYLSNQGIMPHQDGPAYYPVVAILSLGSPVVMDFTPHSRLQSCKRTLKENVGDKISNGGAVAIEANDGSDNNRPFSVLLMPCSLLIFKDDAYSDYLHGIEDSEVHRFDLAVNEIDSLSLSSSGQAEVMRSDNAKIVSRTANRISLTCRLVLKVHKNLFKF >EOY08786 pep chromosome:Theobroma_cacao_20110822:5:20077805:20082710:1 gene:TCM_023927 transcript:EOY08786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductases, putative isoform 2 MGCWILSTRPILEYFCITLLIALLYHPPPTPPPRAILLSLVFFRFGYNLCSLSPDGESPATLYVNWGMERKEKLNNFIVGDLPTLIYIPDFITDSEQAQLLNNIYQAPVSKWKSLKNRRLQNWGGVVHEKGLLPQDLPPWLAKITKRIYEGSGLFPSAINHVLINEYLSNQGIMPHQDGPAYYPVVAILSLGSPVVMDFTPHSRLQSCKRTLKENVGDKISNGGAVAIEANDGSDNNRPFSVLLMPCSLLIFKDDAYSGKFMSKGLYYLHGIEDSEVHRFDLAVNEIDSLSLSSSGQAEVMRSDNAKIVSRTANRISLTCRLVLKVHKNLFKF >EOY07614 pep chromosome:Theobroma_cacao_20110822:5:2171655:2172464:1 gene:TCM_022002 transcript:EOY07614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGIMYQMQRSTLGALVPNSTCKSFSNGVKFKSKHGIRLVLFDLFNTGTVETTGNGEKLLSFTAALCLLIWPPKACPMENSWPQMEHS >EOY09221 pep chromosome:Theobroma_cacao_20110822:5:27292816:27293820:1 gene:TCM_024627 transcript:EOY09221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METSIQNQKKIDRRNRNAPGRAKGRGGTHPPSLRLCRLSDNPGAGSCQAASLSISDACFDIPINSKNGK >EOY07052 pep chromosome:Theobroma_cacao_20110822:5:323726:325804:1 gene:TCM_021583 transcript:EOY07052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferases MEPTSGKPSLLRNILARALLFGVIVIVVRFAYVVTITGESCNIGDFCFFSLPQNLNFVIPGSGAGVSAVIGNDAVPRSNPRRDLYTSKEWIKAVHFYSSIFQDLISEGYLTPHSKSLCVETPSGQDVFALKEIGVEDSVGIFKKAAKPLVIKGEGHLIPFDDNTFDFIFSGGARLDVSARPSEFASEIARTLKPEGFALVHIQANDTYSFNSFLDLFNSCKLVKMHEIDGFDSSMPYIREIVLKKEFEILHHGDRKMPDGNSYNKCSVPGHKRELVQKAEPLIEEEPLKPWITLKRNINNIKYLPSMVDISFKNRYVYVDVGARSYGSSIGSWFRKQYPKQNKTFHVYAIEADKHFHKQYELKKKRVTLLPYAAWVRNESLSFEINGDPGQEEVNELKDKGRGMGRIQPVKSRQGEFTGEVDEIQGFDFAEWLKNTVTERDFVVMKMDVEGTEFDLIPRLFETGAICLIDEIFLECHYNRWQRCCPGQRSTKYEKNYGQCLELFTSLRKSGVLVHQWW >EOY07927 pep chromosome:Theobroma_cacao_20110822:5:3429712:3432381:1 gene:TCM_022254 transcript:EOY07927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAKTSTIVFSSCPSLSRWRETNSFLPTSFRFSSRPSRFLRFSSKASDSGSFLGDDSFGFFPWSPDNNDVEWVQEERVTLFTSDGLIQIGGSMVPRRLTSSDKKQGKSKMSQKFQRFQESDYMDPNQSLCLGALFDIAATNGLDMGRRLCIIGFCRSIEMLSDVVEDTVLEHGGEVVAAEKASKGGLHEKLTMTVAVPYLWGVPPASETLHLAVRSGGGIVEKVYWQWDFF >EOY07928 pep chromosome:Theobroma_cacao_20110822:5:3429549:3432566:1 gene:TCM_022254 transcript:EOY07928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAKTSTIVFSSCPSLSRWRETNSFLPTSFRFSSRPSRFLRFSSKASDSGSFLGDDSFGFFPWSPDNNDVEWVQEERVTLFTSDGLIQIGGSMVPRRLTSSDKQGKSKMSQKFQRFQESDYMDPNQSLCLGALFDIAATNGLDMGRRLCIIGFCRSIEMLSDVVEDTVLEHGGEVVAAEKASKGGLHEKLTMTVAVPYLWGVPPASETLHLAVRSGGGIVEKVYWQWDFF >EOY08957 pep chromosome:Theobroma_cacao_20110822:5:23888090:23896160:1 gene:TCM_024253 transcript:EOY08957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex subunit 4 isoform 2 MGREKEKENPSQKALNLLRTRLSNPNFVFKPLSDSPDSNYSKLKFIISSSITEACNNSILLLGPRGSGKVAVLELVLSDLLQQYPEAISVIRLNGLLHGDDNCALKEIARQLCMEHQLLFSKVASFDDNSQFLIAMLRECGLAHKTIIFVLDEFDLFAQAKQRLLYSLLDAMQSVTSQAVVIGVSCRLDVDQLLEKRVRSRFSHRKLLFLPPSKEDTERFLEHILSLPKDSSLPHSYTVEFNGRLKNVLADERFKELINTYLSFNSTIGQLMRFQAVSYMD >EOY08954 pep chromosome:Theobroma_cacao_20110822:5:23888187:23898625:1 gene:TCM_024253 transcript:EOY08954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex subunit 4 isoform 2 MGREKEKENPSQKALNLLRTRLSNPNFVFKPLSDSPDSNYSKLKFIISSSITEACNNSILLLGPRGSGKVAVLELVLSDLLQQYPEAISVIRLNGLLHGDDNCALKEIARQLCMEHQLLFSKVASFDDNSQFLIAMLRECGLAHKTIIFVLDEFDLFAQAKQRLLYSLLDAMQSVTSQAVVIGVSCRLDVDQLLEKRVRSRFSHRKLLFLPPSKEDTERFLEHILSLPKDSSLPHSYTVEFNGRLKNVLADERFKELINTYLSFNSTIGQLMRFLFQAVSYMDLDVGFLSLENFKTALSSTQRQPKSECIKDCSILELYLLVCMKRLEVKEQNSYNFNSVMTEYKSIHDFQTSDNYAGNVCLRAFEHLLQRELISFTDNRGHNQSVEFRPVKLLISPAELYHGLKSYRSCPAILLKLIAR >EOY08955 pep chromosome:Theobroma_cacao_20110822:5:23888129:23898626:1 gene:TCM_024253 transcript:EOY08955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex subunit 4 isoform 2 MGREKEKENPSQKALNLLRTRLSNPNFVFKPLSDSPDSNYSKLKFIISSSITEACNNSILLLGPRGSGKVAVLELVLSDLLQQYPEAISVIRLNGLLHGDDNCALKEIARQLCMEHQLLFSKVASFDDNSQFLIAMLRECGLAHKTIIFVLDEFDLFAQAKQRLLYSLLDAMQSVTSQAVVIGVSCRLDVDQLLEKRVRSRFSHRKLLFLPPSKEDTERFLEHILSLPKDSSLPHSYTVEFNGRLKNVLADERFKELINTYLSFNSTIGQLMRFLFQAVSYMDLDVGFLSLENFKTALSSTQRQPKSECIKDCSILELYLLVCMKRLEVKEQNSYNFNSVMTAEYKSIHDFQTSDNYAGNVCLRAFEHLLQRELISFTDNRGHNQSVEFRPVKLLISPAELYHGLKSYRSCPAILLKLIAR >EOY08956 pep chromosome:Theobroma_cacao_20110822:5:23888090:23896160:1 gene:TCM_024253 transcript:EOY08956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex subunit 4 isoform 2 MGREKEKENPSQKALNLLRTRLSNPNFVFKPLSDSPDSNYSKLKFIISSSITEACNNSILLLGPRGSGKVAVLELVLSDLLQQYPEAISVIRLNGLLHGDDNCALKEIARQLCMEHQLLFSKVASFDDNSQFLIAMLRECGLAHKTIIFVLDEFDLFAQAKQRLLYSLLDAMQSVTSQAVVIGVSCRLDVDQLLEKRVRSRFSHRKLLFLPPSKEDTERFLEHILSLPKDSSLPHSYTVEFNGRLKNVLADERFKELINTYLSFNSTIGQLMRFLFQAVSYMD >EOY08307 pep chromosome:Theobroma_cacao_20110822:5:6235579:6236917:1 gene:TCM_022644 transcript:EOY08307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSGECLGSRNLAMSKMDCQESSNVILCVDSTVQGNFVVLFPNSSKIPDKSVTLDVDYNGNSMLPSSKCVDSAIILWMDNIESNVTDSHPIQLSSKPVECFILSGSKPTVSAVRRRCQIRESIREILFNEAIKDGFGSDNSISDEDIAHRNKIILLEANST >EOY08945 pep chromosome:Theobroma_cacao_20110822:5:23737942:23738987:1 gene:TCM_024240 transcript:EOY08945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKLFKIAIKLIKDVFELHSTLDAMTNINDNLVVYDLEQELYVNNVSVLDSVTRLSMMDKILYLIISWSLRLVPSKHSLVTGEDLWFLHHIKAQTPIDLASFIFANMKKIIVGTKTSLIYGQVITRLLQHFNIDISLDDAVSQPKNINLDKATISRIGYCENAKTGTWVHNKAFQKETGNDNDDDEDKPQIALVEQSSSVMPSSSVGNMGTDASLDAMMEKINENTKHLNPLQDTIEDDSTKADHAMTSHLDHIDYYI >EOY10544 pep chromosome:Theobroma_cacao_20110822:5:35275164:35276988:1 gene:TCM_025877 transcript:EOY10544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeic acid 3-O-methyltransferase 1 isoform 2 MENCALSFETYIAKPFYKHLCKSRYLQIEEINIMSTSLPDQQQFISKEEEDCLQAIQFATSTVLPFALKTAIDLDLLEIIAKAGPGCTLSPAEIVSNLPAKNPDAPAIIDRILRVLTAHSILACHLVTDKDGHTKRTYGLASIGRYFLQNEDGISVTPFLNMTLDKRLIDSWNFFKEATLEGGLSIVKGFGMNLFEMAAKDNNFFNTFNQAMSNHTNIVMKKILEIYKGFEGVSQVVDVGGGLGTNLKLIVSKYPQIKGINFDLPLVVKDAPNFPAGVEHVGGDMFTQVPHGEVIFMKWVLHDWGDDQCLKLLKNCYDAISESGKVIILESILPELPMTDLVTTTTLNLDLGLVHLLPGAKERTKKEFETLARDAGFPTLKLVCRAYNYWVIELSKL >EOY10543 pep chromosome:Theobroma_cacao_20110822:5:35272396:35276992:1 gene:TCM_025877 transcript:EOY10543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeic acid 3-O-methyltransferase 1 isoform 2 MENCALSFETYIAKPFYKHLCKSRYLQIEEINIMSTSLPDQQQFISKEEEDCLQAIQFATSTVLPFALKTAIDLDLLEIIAKAGPGCTLSPAEIVSNLPAKNPDAPAIIDRILRVLTAHSILACHLVTDKDGHTKRTYGLASIGRYFLQNEDGISVTPFLNMTLDKRLIDSWNFFKEATLEGGLSIVKGFGMNLFEMAAKDNNFFNTFNQAMSNHTNIVMKKILEIYKGFEGVSQVVDVGGGLGTNLKLIVSKYPQIKGINFDLPLVVKDAPNFPGVEHVGGDMFTQVPHGEVIFMKWVLHDWGDDQCLKLLKNCYDAISESGKVIILESILPELPMTDLVTTTTLNLDLGLVHLLPGAKERTKKEFETLARDAGFPTLKLVCRAYNYWVIELSKL >EOY09423 pep chromosome:Theobroma_cacao_20110822:5:29053689:29056979:1 gene:TCM_024842 transcript:EOY09423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MDSSTHQSYQNQNNQPNSGLLRFRSAPSSLLANFTNNLDCGVNKGSFESDRLISRFMNSSSGNSEIEDKSGTEVGVNYANSQQSYSGLPPHYPRQSSAASSSAMDSSYELLGMDHHSQGKPITSSLMRQSSSPPGLFTNLSVQNGVVLVLIYDYQFFLLSEALIGKRGDLRNSLIIVFEFLVGYGGTRTRGYASMKGLGNYCGVNGTNGELSPSSNRLKNQICFSSRLPSSLGMLSQISEIGNESIRTDGPDDGKLGNSNSDARFYGTGYQYGSWNDSAHLTKNFSGLKRAQDNDRKFFSTNQNGDLGNCVHVLSHHLSLPKTSNEMVAMEKFLHFQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVEYIKDLQKQFKTLSDNRANCKCLHIQKPVPNQIV >EOY09424 pep chromosome:Theobroma_cacao_20110822:5:29053626:29056691:1 gene:TCM_024842 transcript:EOY09424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MDSSTHQSYQNQNNQPNSGLLRFRSAPSSLLANFTNNLDCGVNKGSFESDRLISRFMNSSSGNSEIEDKSGTEVGVNYANSQQSYSGLPPHYPRQSSAASSSAMDSSYELLGMDHHSQGKPITSSLMRQSSSPPGLFTNLSVQNGYASMKGLGNYCGVNGTNGELSPSSNRLKNQICFSSRLPSSLGMLSQISEIGNESIRTDGPDDGKLGNSNSDARFYGTGYQYGSWNDSAHLTKNFSGLKRAQDNDRKFFSTNQNGDLGNCVHVLSHHLSLPKTSNEMVAMEKFLHFQDSVPCKIRAKRGCATHPRSIAERVKIPTFQTCYIPALTNCLLIGYQAIISNFTVFYMIRDQIRNYQIILIHMQKQTNIEVCLHTQAT >EOY09511 pep chromosome:Theobroma_cacao_20110822:5:29602071:29603170:-1 gene:TCM_024927 transcript:EOY09511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MEYKHFGHQHNLRIYQLQPGDHEYRCSGCDSFCSGSAYGCLGCKFFLHEQCGNAGRSLQHPSHPFHHLTLVPYTTHLAGTFACNACGDTGNAFSYCCPLCDVDLHVPCAFLPQIIKHESHLHSLSLTYSLPFPNVSSRFCDICHKLLDCKYWSYNCFACNFAAHALCAAKEMRRETCHGEHVSSSGTTAPQSNEIHLKEDAASGTTQDQPEPAEIQDPTLQAMCELERLKLQMQMTNELAKMMASFNLSSLI >EOY11404 pep chromosome:Theobroma_cacao_20110822:5:39167726:39169354:1 gene:TCM_026591 transcript:EOY11404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTMIFAQTEYYREYCSLVFYGLTIVYLMAWCSQPKPDQTFRWADDVTLKSNSFNDKETFAIALKLIQAYHVL >EOY07728 pep chromosome:Theobroma_cacao_20110822:5:2523566:2529382:-1 gene:TCM_022079 transcript:EOY07728 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein, putative isoform 6 MQQTIGASNNLHRRSGNVLPPPVGEGIGSSISSSAKPVAKTHSNSRESCVDGGTGTGNSTVLPAASSWVMRVSASLSPVPNMSDSSTLLNKRPDAYAGPHVASEVVSTKIPTHDVWRTVTAEESSEIHYNCRTDSLEFSEEYPDGDYQTCTSNRKVDTLSNMTSTPVTCRDHLPDTSASKGMDIAAPTNIESSVNYCCKSSHSSGSFDENFNADQDFQDLSSICTLSHTKNEESVPIIPNSSVPTHTLCSLPRSPSFQEETNEQNVNAPSLPVHGKSMTTEDLLDFDDQQLKGLEDICNLPSASCSISLQHNLNKSSYNTWQQGKIKHQPDLLAHSGIFPMHDEVSFPLTYENLVSSNGFHNYIDGCFADLDRRFDYSNMSGSGNGRCVNDIASVENYTPDVGEDSIISKILSMELDPWEDSLTSPDSLAKLLRETKEQRASVKPPNLRKVTDNNQSRFSFARQDDFSNQASHLDDSLGSMMDLNKCSAHHDFNAINDLCIDKYQNPCSFNFSEESNNLLNNHPFVSSKLSVSKSPASIPPGFPVPNRTPPPGFSTSGRMHLALDAAASHLLQTSVTQINSIGGSGDVEFLDPAILEVGQGVMAMGLNKSGFDTRTSAPHHSRLDHNPGFDTRTSAPRHSSFDHDARLLMQQSLYAHQNQAFQDHSRNRIFQSDDTYTRSPMLLDQSPAYNPFSFPQSTIQQLRNAHMSNGHGGSWNEGRSFSDLRLPELLKNGGLGFNKLTPSYEDMKCQVSSSSNLYNRGFAM >EOY07727 pep chromosome:Theobroma_cacao_20110822:5:2523127:2529997:-1 gene:TCM_022079 transcript:EOY07727 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein, putative isoform 6 MSEKGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDNTEGRCPACRSTYDKEKIVGMAANCERLVAEINSERKQKSQKTKPKVSEGRMHLSNIRVIKRNLVYIIGLPLDLADEDLLQRREYFGQYGKVLKLSISKTANGVIQHSSNNSCCVYVTYSKEEEAVCCIQSVHSSVLEGRSLRACFGTTKYCHAWLRNVPCNIPDCLYLHDYGSQEDSFTKDEIVSAFSRSRMQQTIGASNNLHRRSGNVLPPPVGEGIGSSISSSAKPVAKTHSNSRESCVDGGTGTGNSTVLPAASSWVMRVSASLSPVPNMSDSSTLLNKRPDAYAGPHVASEVVSTKIPTHDVWRTVTAEESSEIHYNCRTDSLEFSEEYPDGDYQTCTSNRKVDTLSNMTSTPVTCRDHLPDTSASKGMDIAAPTNIESSVNYCCKSSHSSGSFDENFNADQDFQDLSSICTLSHTKNEESVPIIPNSSVPTHTLCSLPRSPSFQEETNEQNVNAPSLPVHGKSMTTEDLLDFDDQQLKGLEDICNLPSASCSISLQHNLNKSSYNTWQQGKIKHQPDLLAHSGIFPMHDEVSFPLTYENLVSSNGFHNYIDGCFADLDRRFDYSNMSGSGNGRCVNDIASVENYTPDVGEDSIISKILSMELDPWEDSLTSPDSLAKLLRETKEQRASVKPPNLRKVTDNNQSRFSFARQDDFSNQASHLDDSLGSMMDLNKCSAHHDFNAINDLCIDKYQNPCSFNFSEESNNLLNNHPFVSSKLSVSKSPASIPPGFPVPNRTPPPGFSTSGRMHLALDAAASHLLQTSVTQINSIGGSGDVEFLDPAILEVGQGVMAMGLNKSGFDTRTSAPHHSRLDHNPGFDTRTSAPRHSSFDHDARLLMQQSLYAHQNQAFQDHSRNRIFQSDDTYTRSPMLLDQSPAYNPFSFPQSTIQQLRNAHMSNGHGGSWNEGRSFSDLRLPELLKNGGLGFNKLTPSYEDMKCQVSSSSNLYNRGFAM >EOY07731 pep chromosome:Theobroma_cacao_20110822:5:2523564:2528820:-1 gene:TCM_022079 transcript:EOY07731 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein, putative isoform 6 MEMAEKDNTEGRCPACRSTYDKEKIVGMAANCERLVAEINSERKQKSQKTKPKVSEGRMHLSNIRVIKRNLVYIIGLPLDLADEDLLQRREYFGQYGKVLKLSISKTANGVIQHSSNNSCCVYVTYSKEEEAVCCIQSVHSSVLEGRSLRRSRMQQTIGASNNLHRRSGNVLPPPVGEGIGSSISSSAKPVAKTHSNSRESCVDGGTGTGNSTVLPAASSWVMRVSASLSPVPNMSDSSTLLNKRPDAYAGPHVASEVVSTKIPTHDVWRTVTAEESSEIHYNCRTDSLEFSEEYPDGDYQTCTSNRKVDTLSNMTSTPVTCRDHLPDTSASKGMDIAAPTNIESSVNYCCKSSHSSGSFDENFNADQDFQDLSSICTLSHTKNEESVPIIPNSSVPTHTLCSLPRSPSFQEETNEQNVNAPSLPVHGKSMTTEDLLDFDDQQLKGLEDICNLPSASCSISLQHNLNKSSYNTWQQGKIKHQPDLLAHSGIFPMHDEVSFPLTYENLVSSNGFHNYIDGCFADLDRRFDYSNMSGSGNGRCVNDIASVENYTPDVGEDSIISKILSMELDPWEDSLTSPDSLAKLLRETKEQRASVKPPNLRKVTDNNQSRFSFARQDDFSNQASHLDDSLGSMMDLNKCSAHHDFNAINDLCIDKYQNPCSFNFSEESNNLLNNHPFVSSKLSVSKSPASIPPGFPVPNRTPPPGFSTSGRMHLALDAAASHLLQTSVTQINSIGGSGDVEFLDPAILEVGQGVMAMGLNKSGFDTRTSAPHHSRLDHNPGFDTRTSAPRHSSFDHDARLLMQQSLYAHQNQAFQDHSRNRIFQSDDTYTRSPMLLDQSPAYNPFSFPQSTIQQLRNAHMSNGHGGSWNEGRSFSDLRLPELLKNGGLGFNKLTPSYEDMKCQVSSSSNLYNRGFAM >EOY07725 pep chromosome:Theobroma_cacao_20110822:5:2522335:2530139:-1 gene:TCM_022079 transcript:EOY07725 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein, putative isoform 6 MSEKGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDNTEGRCPACRSTYDKEKIVGMAANCERLVAEINSERKQKSQKTKPKVSEGRMHLSNIRVIKRNLVYIIGLPLDLADEDLLQRREYFGQYGKVLKLSISKTANGVIQHSSNNSCCVYVTYSKEEEAVCCIQSVHSSVLEGRSLRACFGTTKYCHAWLRNVPCNIPDCLYLHDYGSQEDSFTKDEIVSAFSRSRMQQTIGASNNLHRRSGNVLPPPVGEGIGSSISSSAKPVAKTHSNSRESCVDGGTGTGNSTVLPAASSWVMRVSASLSPVPNMSDSSTLLNKRPDAYAGPHVASEVVSTKIPTHDVWRTVTAEESSEIHYNCRTDSLEFSEEYPDGDYQTCTSNRKVDTLSNMTSTPVTCRDHLPDTSASKGMDIAAPTNIESSVNYCCKSSHSSGSFDENFNADQDFQDLSSICTLSHTKNEESVPIIPNSSVPTHTLCSLPRSPSFQEETNEQNVNAPSLPVHGKSMTTEDLLDFDDQQLKGLEDICNLPSASCSISLQHNLNKSSYNTWQQGKIKHQPDLLAHSGIFPMHDEVSFPLTYENLVSSNGFHNYIDGCFADLDRRFDYSNMSGSGNGRCVNDIASVENYTPDVGEDSIISKILSMELDPWEDSLTSPDSLAKLLRETKEQRASVKPPNLRKVTDNNQSRFSFARQDDFSNQASHLDDSLGSMMDLNKCSAHHDFNAINDLCIDKYQNPCSFNFSEESNNLLNNHPFVSSKLSVSKSPASIPPGFPVPNRTPPPGFSTSGRMHLALDAAASHLLQTSVTQINSIGGSGDVEFLDPAILEVGQGVMAMGLNKSGFDTRTSAPHHSRLDHNPGFDTRTSAPRHSSFDHDARLLMQQSLYAHQNQAFQDHSRNRIFQSDDTYTRSPMLLDQSPAYNPFSFPQSTIQQLRNAHMSNGHGGSWNEGRSFSDLRLPELLKNGGLGFNKLTPSYEDMKCQVSSSSNLYNRGFAMFLAFAGIYLEGTWSLPCVEFSCALSQRQTCRTTNQHSNLTQWVRAGSEHRSQRRRIDDYCTHRGVHTLRDLQMEATGAAAPI >EOY07726 pep chromosome:Theobroma_cacao_20110822:5:2522335:2530139:-1 gene:TCM_022079 transcript:EOY07726 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein, putative isoform 6 MSEKGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDNTEGRCPACRSTYDKEKIVGMAANCERLVAEINSERKQKSQKTKPKVSEGRMHLSNIRVIKRNLVYIIGLPLDLADEDLLQRREYFGQYGKVLKLSISKTANGVIQHSSNNSCCVYVTYSKEEEAVCCIQSVHSSVLEGRSLRACFGTTKYCHAWLRNVPCNIPDCLYLHDYGSQEDSFTKDEIVSAFSRSRMQQTIGASNNLHRRSGNVLPPPVGEGIGSSISSSAKPVAKTHSNSRESCVDGGTGTGNSTVLPAASSWVMRVSASLSPVPNMSDSSTLLNKRPDAYAGPHVASEVVSTKIPTHDVWRTVTAEESSEIHYNCRTDSLEFSEEYPDGDYQTCTSNRKVDTLSNMTSTPVTCRDHLPDTSASKGMDIAAPTNIESSVNYCCKSSHSSGSFDENFNADQDFQDLSSICTLSHTKNEESVPIIPNSSVPTHTLCSLPRSPSFQEETNEQNVNAPSLPVHGKSMTTEDLLDFDDQQLKGLEDICNLPSASCSISLQHNLNKSSYNTWQQGKIKHQPDLLAHSGIFPMHDEVSFPLTYENLVSSNGFHNYIDGCFADLDRRFDYSNMSGSGNGRCVNDIASVENYTPDVGEDSIISKILSMELDPWEDSLTSPDSLAKLLRETKEQRASVKPPNLRKVTDNNQSRFSFARQDDFSNQASHLDDSLGSMMDLNKCSAHHDFNAINDLCIDKYQNPCSFNFSEESNNLLNNHPFVSSKLSVSKSPASIPPGFPVPNRTPPPGFSTSGRMHLALDAAASHLLQTSVTQINSIGGSGDVEFLDPAILEVGQGVMAMGLNKSGFDTRTSAPHHSRLDHNPGFDTRTSAPRHSSFDHDARLLMQQSLYAHQNQAFQDHSRNRIFQSDDTYTRSPMLLDQSPAYNPFSFPQSTIQQLRNAHMSNGHGGSWNEGRSFSDLRLPELLKNGGLGFNKLTPSYEDMKCQVSSSSNLYNRGFAM >EOY07730 pep chromosome:Theobroma_cacao_20110822:5:2524468:2530066:-1 gene:TCM_022079 transcript:EOY07730 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein, putative isoform 6 MSEKGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDNTEGRCPACRSTYDKEKIVGMAANCERLVAEINSERKQKSQKTKPKVSEGRMHLSNIRVIKRNLVYIIGLPLDLADEDLLQRREYFGQYGKVLKLSISKTANGVIQHSSNNSCCVYVTYSKEEEAVCCIQSVHSSVLEGRSLRACFGTTKYCHAWLRNVPCNIPDCLYLHDYGSQEDSFTKDEIVSAFSRSRMQQTIGASNNLHRRSGNVLPPPVGEGIGSSISSSAKPVAKTHSNSRESCVDGGTGTGNSTVLPAASSWVMRVSASLSPVPNMSDSSTLLNKRPDAYAGPHVASEVVSTKIPTHDVWRTVTAEESSEIHYNCRTDSLEFSEEYPDGDYQTCTSNRKVDTLSNMTSTPVTCRDHLPDTSASKGMDIAAPTNIESSVNYCCKSSHSSGSFDENFNADQDFQDLSSICTLSHTKNEESVPIIPNSSVPTHTLCSLPRSPSFQEETNEQNVNAPSLPVHGKSMTTEDLLDFDDQQLKGLEDICNLPSASCSISLQHNLNKSSYNTWQQGKIKHQPDLLAHSGIFPMHDEVSFPLTYENLVSSNGFHNYIDGCFADLDRRFDYSNMSGSGNGRCVNDIASVENYTPDVGEDSIISKILSMELDPWEDSLTSPDSLAKLLRETKEQRASVKPPNLRKVTDNNQSRFSFARQDDFSNQASHLDDSLGSMMDLNKCSAHHDFNAINDLCIDKYQNPCSFNFSEESNNLLNNHPFVSSKLSGEFYLMHHLSFGR >EOY07729 pep chromosome:Theobroma_cacao_20110822:5:2523127:2530029:-1 gene:TCM_022079 transcript:EOY07729 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein, putative isoform 6 MSEKGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMEMAEKDNTEGRCPACRSTYDKEKIVGMAANCERLVAEINSERKQKSQKTKPKVSEGRMHLSNIRVIKRNLVYIIGLPLDLADEDLLQRREYFGQYGKVLKLSISKTANGVIQHSSNNSCCVYVTYSKEEEAVCCIQSVHSSVLEGRSLRACFGTTKYCHAWLRNVPCNIPDCLYLHDYGSQEDSFTKDEIVSAFSRSRMQQTIGASNNLHRRSGNVLPPPVGEGIGSSISSSAKPVAKTHSNSRESCVDGGTGTGNSTVLPAASSWVMRVSASLSPVPNMSDSSTLLNKRPDAYAGPHVASEVVSTKIPTHDVWRTVTAEESSEIHYNCRTDSLEFSEEYPDGDYQTCTSNRKVDTLSNMTSTPVTCRDHLPDTSASKGMDIAAPTNIESSVNYCCKSSHSSGSFDENFNADQDFQDLSSICTLSHTKNEESVPIIPNSSVPTHTLCSLPRSPSFQEETNEQNVNAPSLPVHGKSMTTEDLLDFDDQQLKGLEDICNLPSASCSISLQHNLNKSSYNTWQQGKIKHQPDLLAHSGIFPMHDEVSFPLTYENLVSSNGFHNYIDGCFADLDRRFDYSNMSGSGNGRCVNDIASVENYTPDVGEDSIISKILSMELDPWEDSLTSPDSLAKLLRETKEQRASVKPPNLRKVTDNNQSRFSFARQDDFSNQASHLDDSLGSMMDLNKCSAHHDFNAINDLCIDKYQNPCSFNFSEESNNLLNNHPFVSSKLSVSKSPASIPPGFPVPNRTPPPGFSTSGRMHLALDAAASHLLQTSVTQINSIGGSGDVEFLDPAILEVGQGVMAMGLNKSGFDTRTSAPHHSRLDHNPGFDTRTSAPRHSSFDHDARLLMQQSLYAHQNQAFQDHSRNRIFQSDDTYTRSPMLLDQSPAYNPFSFPQSTIQQLRNAHMSNGHGGSWNEGRSFSDLRLPELLKNGGLGFNKLTPSYEDMKCQVSSSSNLYNRGFAM >EOY10886 pep chromosome:Theobroma_cacao_20110822:5:36921629:36926644:1 gene:TCM_026174 transcript:EOY10886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEPTNQKFLERVVSQRALQMGSSFPCQICVVGFLCGVCLTSLFLAALTSLGTYGFGGISFSSISMGISPLNSSSEIINVGTSIDCKFKLKETEKWVVSQQRKTESDDERVSLLTEAWGALLTDKADEESEFLQRFGLSKSSIPNAPHLDNCKLSARVKKRLDTRAGAERFPPWTTWKGSLDMFPATAANEQIRHFRHQAISEGAYPPWIVGSDEENYPLTRKVQRDIWIHQHPVNCRDPTVKFLVADWETLPGFGIGAQFAGMCGLLAIAINEKRVLVTNYYNRADHDGCKGSSRSSWSCYFFPETSQECRDRAFELMQTKEAWEKGIIKGKENYNSKEIWTGRIPRVWGDPWSYLQPTTEINGTLIAFHRKMDRRWWRAQAVRYLMRFQTEYTCGLLNIARHAAFGKEAAKMVLATIDREWPKVITNKPKTDIEEFVWSNHKPWVPRPLLSMHVRMGDKACEMKVVEFEGYMELADHIRKRFPHLNNIWLSTEMQEVIDKTKSYPHWNFYYTNVTRQVRNIAMATYEASLGRKTSTNYPLVNFLMAAESDFFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >EOY10885 pep chromosome:Theobroma_cacao_20110822:5:36922666:36925651:1 gene:TCM_026174 transcript:EOY10885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEPTNQKFLERVVSQRALQMGSSFPCQICVVGFLCGVCLTSLFLAALTSLGTYGFGGISFSSISMGISPLNSSSEIINVGTSIDCKFKLKETEKWVVSQQRKTESDDERVSLLTEAWGALLTDKADEESEFLQRFGLSKSSIPNAPHLDNCKLSARVKKRLDTRAGAERFPPWTTWKGSLDMFPATAANEQIRHFRHQAISEGAYPPWIVGSDEENYPLTRKVQRDIWIHQHPVNCRDPTVKFLVADWETLPGFGIGAQFAGMCGLLAIAINEKRVLVTNYYNRADHDGCKGSSRSSWSCYFFPETSQECRDRAFELMQTKEAWEKGIIKGKENYNSKEIWTGRIPRVWGDPWSYLQPTTEINGTLIAFHRKMDRRWWRAQAVRYLMRFQTEYTCGLLNIARHAAFGKEAAKMVLATIDREWPKVCSS >EOY10887 pep chromosome:Theobroma_cacao_20110822:5:36921629:36926633:1 gene:TCM_026174 transcript:EOY10887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEPTNQKFLERVVSQRALQMGSSFPCQICVVGFLCGVCLTSLFLAALTSLGTYGFGGISFSSISMGISPLNSSSEIINVGTSIDCKFKLKETEKWVVSQQRKTESDDERVSLLTEAWGALLTDKADEESEFLQRFGLSKSSIPNAPHLDNCKLSARVKKRLDTRAGAERFPPWTTWKGSLDMFPATAANEQIRHFRHQAISEGAYPPWIVGSDEENYPLTRKVQRDIWIHQHPVNCRDPTVKFLVADWETLPGFGIGAQFAGMCGLLAIAINEKRVLVTNYYNRADHDGCKGSSRSSWSCYFFPETSQECRDRAFELMQTKEAWEKGIIKGKENYNSKEIWTGRIPRVWGDPWSYLQPTTEINGTLIAFHRKMDRRWWRAQAVRYLMRFQTEYTCGLLNIARHAAFGKEAAKMVLATIDREWPKVITNKPKTDIEEFVWSNHKPWVPRPLLSMHVRMGDKACEMKVVEFEGYMELADHIRKRFPHLNNIWLSTEMQEVIDKTKSYPHWNFYYTNVTRQVRNIAMATYEASLGRKTSTNYPLVNFLMAAESDFFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >EOY10883 pep chromosome:Theobroma_cacao_20110822:5:36921629:36926644:1 gene:TCM_026174 transcript:EOY10883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEPTNQKFLERVVSQRALQMGSSFPCQICVVGFLCGVCLTSLFLAALTSLGTYGFGGISFSSISMGISPLNSSSEIINVGTSIDCKFKLKETEKWVVSQQRKTESDDERVSLLTEAWGALLTDKADEESEFLQRFGLSKSSIPNAPHLDNCKLSARVKKRLDTRAGAERFPPWTTWKGSLDMFPATAANEQIRHFRHQAISEGAYPPWIVGSDEENYPLTRKVQRDIWIHQHPVNCRDPTVKFLVADWETLPGFGIGAQFAGMCGLLAIAINEKRVLVTNYYNRADHDGCKGSSRSSWSCYFFPETSQECRDRAFELMQTKEAWEKGIIKGKENYNSKEIWTGRIPRVWGDPWSYLQPTTEINGTLIAFHRKMDRRWWRAQAVRYLMRFQTEYTCGLLNIARHAAFGKEAAKMVLATIDREWPKVITNKPKTDIEEFVWSNHKPWVPRPLLSMHVRMGDKACEMKVVEFEGYMELADHIRKRFPHLNNIWLSTEMQEVIDKTKSYPHWNFYYTNVTRQVRNIAMATYEASLGRKTSTNYPLVNFLMAAESDFFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >EOY10888 pep chromosome:Theobroma_cacao_20110822:5:36921629:36926644:1 gene:TCM_026174 transcript:EOY10888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEPTNQKFLERVVSQRALQMGSSFPCQICVVGFLCGVCLTSLFLAALTSLGTYGFGGISFSSISMGISPLNSSSEIINVGTSIDCKFKLKETEKWVVSQQRKTESDDERVSLLTEAWGALLTDKADEESEFLQRFGLSKSSIPNAPHLDNCKLSARVKKRLDTRAGAERFPPWTTWKGSLDMFPATAANEQIRHFRHQAISEGAYPPWIVGSDEENYPLTRKVQRDIWIHQHPVNCRDPTVKFLVADWETLPGFGIGAQFAGMCGLLAIAINEKRVLVTNYYNRADHDGCKGSSRSSWSCYFFPETSQECRDRAFELMQTKEAWEKGIIKGKENYNSKEIWTGRIPRVWGDPWSYLQPTTEINGTLIAFHRKMDRRWWRAQAVRYLMRFQTEYTCGLLNIARHAAFGKEAAKMVLATIDREWPKVITNKPKTDIEEFVWSNHKPWVPRPLLSMHVRMGDKACEMKVVEFEGYMELADHIRKRFPHLNNIWLSTEMQEVIDKTKSYPHWNFYYTNVTRQVRNIAMATYEASLGRKTSTNYPLVNFLMAAESDFFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >EOY10882 pep chromosome:Theobroma_cacao_20110822:5:36921629:36927608:1 gene:TCM_026174 transcript:EOY10882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEPTNQKFLERVVSQRALQMGSSFPCQICVVGFLCGVCLTSLFLAALTSLGTYGFGGISFSSISMGISPLNSSSEIINVGTSIDCKFKLKETEKWVVSQQRKTESDDERVSLLTEAWGALLTDKADEESEFLQRFGLSKSSIPNAPHLDNCKLSARVKKRLDTRAGAERFPPWTTWKGSLDMFPATAANEQIRHFRHQAISEGAYPPWIVGSDEENYPLTRKVQRDIWIHQHPVNCRDPTVKFLVADWETLPGFGIGAQFAGMCGLLAIAINEKRVLVTNYYNRADHDGCKGSSRSSWSCYFFPETSQECRDRAFELMQTKEAWEKGIIKGKENYNSKEIWTGRIPRVWGDPWSYLQPTTEINGTLIAFHRKMDRRWWRAQAVRYLMRFQTEYTCGLLNIARHAAFGKEAAKMVLATIDREWPKVITNKPKTDIEEFVWSNHKPWVPRPLLSMHVRMGDKACEMKVVEFEGYMELADHIRKRFPHLNNIWLSTEMQEVIDKTKSYPHWNFYYTNVTRQVRNIAMATYEASLGRKTSTNYPLVNFLMAAESDFFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >EOY10884 pep chromosome:Theobroma_cacao_20110822:5:36922666:36925651:1 gene:TCM_026174 transcript:EOY10884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEPTNQKFLERVVSQRALQMGSSFPCQICVVGFLCGVCLTSLFLAALTSLGTYGFGGISFSSISMGISPLNSSSEIINVGTSIDCKFKLKETEKWVVSQQRKTESDDERVSLLTEAWGALLTDKADEESEFLQRFGLSKSSIPNAPHLDNCKLSARVKKRLDTRAGAERFPPWTTWKGSLDMFPATAANEQIRHFRHQAISEGAYPPWIVGSDEENYPLTRKVQRDIWIHQHPVNCRDPTVKFLVADWETLPGFGIGAQFAGMCGLLAIAINEKRVLVTNYYNRADHDGCKGSSRSSWSCYFFPETSQECRDRAFELMQTKEAWEKGIIKGKENYNSKEIWTGRIPRVWGDPWSYLQPTTEINGTLIAFHRKMDRRWWRAQAVRYLMRFQTEYTCGLLNIARHAAFGKEAAKMVLATIDREWPKVCSS >EOY10380 pep chromosome:Theobroma_cacao_20110822:5:34419147:34420436:1 gene:TCM_025747 transcript:EOY10380 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPP2-A2, putative MNFRKKRVVAAGNKEVFLEHKRKKTWEDASGHKCFMLYPRSLYITWGGHDNWIWNSFKDTSDENIEVAKLSHICWLDVRGKFKLSDLSPGTLYEAVYLVKLTKGASGWELPIKLRLSLPNDRVQERQVSLLQKPRGQWIELNVGNFSTDENGETGETGEVCFDLYEHGGHWKNGLVIKCAILRPKN >EOY09750 pep chromosome:Theobroma_cacao_20110822:5:30905045:30911734:1 gene:TCM_025138 transcript:EOY09750 gene_biotype:protein_coding transcript_biotype:protein_coding description:KRR1 family protein, putative isoform 1 MGVKLFKDNEDAQNDDISKIEINKEYARRFEHNKKREDLQRYEELKKRGHVEESDDESDEDSSSSDGEDEGFDDKTTLRKKDEDFFKALIMVRSHDPRLKEKDVKLFESDDDESSKQEEENEPKEKKDKKAMYLKDVVAKHLMEEGPDFQQHVAVNDLKKKVKSYDEEQEEIKKALLDATEEVDNEDDGDFLRVKETKGKDEGEKEDLGDGEFSKKLEEYFGEDEKMDENTKFLKEFFKNKMWIDKEKTAGDLVVDNEAVDDALRDEEEIERQEGYELEYNFRHEENAEDRVIGYSRKVEGSVRKKESKRKVQRERKEERMRIAEMERKEELKHLKNLKKEEIKERMKKVMEIAGIKRDEECPFSAKDLEEEFDPEEYDKMMKAAFDEKYYDDDDMEFNSDSDGIEKPDFDKEDELLGLPKGWDVLESHEGFLAARERNKHKLQKGGGNDTEGEEEMGEEEKGELKNEEEEEEEEEDDDDDEGKEHKNEDEEDDEERKEEEIEEGKRKRKRKLSIVQKALQEMWEEFYNLDYEDTIGDLKTRFKYVKTKPNRYGLKPKELLTLDEKELNQYVSLKKLAPYTDKEWKVPNSKRYQQKLRIRELLKEKQNYQKAGKKRSRDAAEQSTSRIGGGKEDKKAKLEDSDGNMLNLSNQGKKKRRQASNISESRRKAYGMISSKPKKNKLKH >EOY09751 pep chromosome:Theobroma_cacao_20110822:5:30905045:30910968:1 gene:TCM_025138 transcript:EOY09751 gene_biotype:protein_coding transcript_biotype:protein_coding description:KRR1 family protein, putative isoform 1 MGVKLFKDNEDAQNDDISKIEINKEYARRFEHNKKREDLQRYEELKKRGHVEESDDESDEDSSSSDGEDEGFDDKTTLRKKDEDFFKALIMVRSHDPRLKEKDVKLFESDDDESSKQEEENEPKEKKDKKAMYLKDVVAKHLMEEGPDFQQHVAVNDLKKKVKSYDEEQEEIKKALLDATEEVDNEDDGDFLRVKETKGKDEGEKEDLGDGEFSKKLEEYFGEDEKMDENTKFLKEFFKNKMWIDKEKTAGDLVVDNEAVDDALRDEEEIERQEGYELEYNFRHEENAEDRVIGYSRKVEGSVRKKESKRKVQRERKEERMRIAEMERKEELKHLKNLKKEEIKERMKKVMEIAGIKRDEECPFSAKDLEEEFDPEEYDKMMKAAFDEKYYDDDDMEFNSDSDGIEKPDFDKEDELLGLPKGWDVLESHEGFLAARERNKHKLQKGGGNDTEGEEEMGEEEKGELKNEEEEEEEEEDDDDDEGKEHKNEDEEDDEERKEEEIEEGKRKRKRKLSIVQKALQEMWEEFYNLDYEDTIGDLKTRFKYVKTKPNRYGLKPKELLTLDEKELNQYVSLKKLAPYTDKEWKVPNSKRYQQKLRIRELLKEKQNYQKAGKKRSRDAAEQSTSRIGGGKEDKKAKLEDSDGNMLNLSNQGKKKRRQASNISESRRKAYGMISSKPKKNKLKH >EOY09752 pep chromosome:Theobroma_cacao_20110822:5:30905045:30910128:1 gene:TCM_025138 transcript:EOY09752 gene_biotype:protein_coding transcript_biotype:protein_coding description:KRR1 family protein, putative isoform 1 MYKVFQALGLVSVLVRSHDPRLKEKDVKLFESDDDESSKQEEENEPKEKKDKKAMYLKDVVAKHLMEEGPDFQQHVAVNDLKKKVKSYDEEQEEIKKALLDATEEVDNEDDGDFLRVKETKGKDEGEKEDLGDGEFSKKLEEYFGEDEKMDENTKFLKEFFKNKMWIDKEKTAGDLVVDNEAVDDALRDEEEIERQEGYELEYNFRHEENAEDRVIGYSRKVEGSVRKKESKRKVQRERKEERMRIAEMERKEELKHLKNLKKEEIKERMKKVMEIAGIKRDEECPFSAKDLEEEFDPEEYDKMMKAAFDEKYYDDDDMEFNSDSDGIEKPDFDKEDELLGLPKGWDVLESHEGFLAARERNKHKLQKGGGNDTEGEEEMGEEEKGELKNEEEEEEEEEDDDDDEGKEHKNEDEEDDEERKEEEIEEGKRKRKRKLSIVQKALQEMWEEFYNLDYEDTIGDLKTRFKYVKTKPNRYGLKPKELLTLDEKELNQYVSLKKLAPYTDKEWKVPNSKRYQQKLRIRELLKEKQNYQKAGKKRSRDAAEQSTSRIGGGKEDKKAKLEDSDGNMLNLSNQGKKKRRQASNISESRRKAYGMISSKPKKNKLKH >EOY09186 pep chromosome:Theobroma_cacao_20110822:5:26958941:26967567:1 gene:TCM_024583 transcript:EOY09186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin heavy chain, putative isoform 2 MEYVTRTGFHDLNLASRKAEEAALRRYVAVAWLETLVGPLGISSQPSEKEFISCLRNGLILCNVINKIQPGAVPKVVESNSHAQSLTREFQPPPAYQYFENVRNFLVAIEELKLPAFEACDLERDNLEAGSAAKVVDCILALKSYHEYKQINCGNGNGYYKLTRSPMVMHSATKIHSRSSSESCRRLEMSAICDKQPTSNGEIQKLEGTIVKVLADYMADTKENVDDNLLGSFHERNPDSVKLLKKMILSCLDEQLQDKFPELKSVFKGILKESNGSTLHSTPMALEDVSSFGHFQGSRAGTKKANRNHRHLLKMQEKELLDLKALLSTTKREFEHLQLQLQVDLKDLGSQVEEMSTAALQYYKVVEENRKLYNMVQDLKGNIRVFCRIRPAFCAGTRNAIDFIGEDGSLVILDPLKPQKDGRKVFQFNRVFGPSATQDDVFKDTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPSGGSTEDLGINYLALNDLFEISNQRKDIISYEIQVQMVEIYNEQIRDLLSENSSSTKLEIHSCPRDNGLSLPDATMHTVKSASDVLNLMKFGEVNRVVCSTALNNRSSRSHSILTVHVHGKDASGNMLRSCLHLVDLAGSERVDKSEVTGDRLKEAQYINKSLSCLGDVITALAQKNTHTPYRNSKLTLLLQDSLGGHAKTLMFAHVSPEGDSFGETISTLKFAQRVSTVELGAARLNKESSEVMQLKEQIENLKKALANKEAQSTLSYKIKEPKSPFEKQKATIEKTPPRTRRLGIENGSTKKSEKAMKNLQNSVELKSPIMEESTISDVKLPLTANARAMRRQSLTGIQTSGSDRSRRSSLGGKPTDSSTTPSSNRNAKTPPPVHPSTKTTKRWL >EOY09185 pep chromosome:Theobroma_cacao_20110822:5:26958872:26967577:1 gene:TCM_024583 transcript:EOY09185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin heavy chain, putative isoform 2 MEYVTRTGFHDLNLASRKAEEAALRRYVAVAWLETLVGPLGISSQPSEKEFISCLRNGLILCNVINKIQPGAVPKVVESNSHAQSLTREFQPPPAYQYFENVRNFLVAIEELKLPAFEACDLERDNLEAGSAAKVVDCILALKSYHEYKQINCGNGNGYYKLTRSPMVMHSATKIHSRSSSESCRRLEMSAICDKQPTSNGEIQKLEGTIVKVLADYMADTKENVDDNLLGSFHERNPDSVKLLKKMILSCLDEQLQDKFPELKSVFKGILKESNGSTLHSTPMALEDVSSFGHFQGSRAGTKKANRNHRHLLKMQEKELLDLKALLSTTKREFEHLQLQLQVDLKDLGSQVEEMSTAALQYYKVVEENRKLYNMVQDLKGNIRVFCRIRPAFCAGTRNAIDFIGEDGSLVILDPLKPQKDGRKVFQFNRVFGPSATQDDVFKDTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPSGGSTEDLGINYLALNDLFEISNQRKDIISYEIQVQMVEIYNEQIRDLLSENSSSTKLEIHSCPRDNGLSLPDATMHTVKSASDVLNLMKFGEVNRVVCSTALNNRSSRSHSILTVHVHGKDASGNMLRSCLHLVDLAGSERVDKSEVTGDRLKEAQYINKSLSCLGDVITALAQKNTHTPYRNSKLTLLLQDSLGGHAKTLMFAHVSPEGDSFGETISTLKFAQRVSTVELGAARLNKESSEVMQLKEQIENLKKALANKEAQSTLSYKIKEPKSPFEKQKATIEKTPPRTRRLGIENGSTKKSEKAMNCEDRKGPKTPSVPTRARRLSLEGPRYVKKDNSQINVSEDVSKSLHASTVSVQKYSEFQEAEAVTKQFGDLSSGSSIMDVYFSKAPRSPASSSFQKQAQKVDCRTQIPRLQLPKTPEPQVLARNDIQAVMQSEHSESRMTIGKGSQIRKSLRSTIGKLISGSEKRNLQNSVELKSPIMEESTISDVKLPLTANARAMRRQSLTGIQTSGSDRSRRSSLGGKPTDSSTTPSSNRNAKTPPPVHPSTKTTKRWL >EOY09183 pep chromosome:Theobroma_cacao_20110822:5:26958439:26967802:1 gene:TCM_024583 transcript:EOY09183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin heavy chain, putative isoform 2 MEYVTRTGFHDLNLASRKAEEAALRRYVAVAWLETLVGPLGISSQPSEKEFISCLRNGLILCNVINKIQPGAVPKVVESNSHAQSLTREFQPPPAYQYFENVRNFLVAIEELKLPAFEACDLERDNLEAGSAAKVVDCILALKSYHEYKQINCGNGNGYYKLTRSPMVMHSATKIHSRSSSESCRRLEMSAICDKQPTSNGEIQKLEGTIVKVLADYMADTKENVDDNLLGSFHERNPDSVKLLKKMILSCLDEQLQDKFPELKSVFKGILKESNGSTLHSTPMALEDVSSFGHFQGSRAGTKKANRNHRHLLKMQEKELLDLKALLSTTKREFEHLQLQLQVDLKDLGSQVEEMSTAALQYYKVVEENRKLYNMVQDLKGNIRVFCRIRPAFCAGTRNAIDFIGEDGSLVILDPLKPQKDGRKVFQFNRVFGPSATQDDVFKDTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPSGGSTEDLGINYLALNDLFEISNQRKDIISYEIQVQMMFFSCKNFGNLNVHKLEIHSCPRDNGLSLPDATMHTVKSASDVLNLMKFGEVNRVVCSTALNNRSSRSHSILTVHVHGKDASGNMLRSCLHLVDLAGSERVDKSEVTGDRLKEAQYINKSLSCLGDVITALAQKNTHTPYRNSKLTLLLQDSLGGHAKTLMFAHVSPEGDSFGETISTLKFAQRVSTVELGAARLNKESSEVMQLKEQIENLKKALANKEAQSTLSYKIKEPKSPFEKQKATIEKTPPRTRRLGIENGSTKKSEKAMNCEDRKGPKTPSVPTRARRLSLEGPRYVKKDNSQINVSEDVSKSLHASTVSVQKYSEFQEAEAVTKQFGDLSSGSSIMDVYFSKAPRSPASSSFQKQAQKVDCRTQIPRLQLPKTPEPQVLARNDIQAVMQSEHSESRMTIGKGSQIRKSLRSTIGKLISGSEKRNLQNSVELKSPIMEESTISDVKLPLTANARAMRRQSLTGIQTSGSDRSRRSSLGGKPTDSSTTPSSNRNAKTPPPVHPSTKTTKRWL >EOY09184 pep chromosome:Theobroma_cacao_20110822:5:26958941:26967021:1 gene:TCM_024583 transcript:EOY09184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin heavy chain, putative isoform 2 FFFSTEDIYPERLPKKRKKKKKVGRFEMEYVTRTGFHDLNLASRKAEEAALRRYVAVAWLETLVGPLGISSQPSEKEFISCLRNGLILCNVINKIQPGAVPKVVESNSHAQSLTREFQPPPAYQYFENVRNFLVAIEELKLPAFEACDLERDNLEAGSAAKVVDCILALKSYHEYKQINCGNGNGYYKLTRSPMVMHSATKIHSRSSSESCRRLEMSAICDKQPTSNGEIQKLEGTIVKVLADYMADTKENVDDNLLGSFHERNPDSVKLLKKMILSCLDEQLQDKFPELKSVFKGILKESNGSTLHSTPMALEDVSSFGHFQGSRAGTKKANRNHRHLLKMQEKELLDLKALLSTTKREFEHLQLQLQVDLKDLGSQVEEMSTAALQYYKVVEENRKLYNMVQDLKGNIRVFCRIRPAFCAGTRNAIDFIGEDGSLVILDPLKPQKDGRKVFQFNRVFGPSATQDDVFKDTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPSGGSTEDLGINYLALNDLFEISNQRKDIISYEIQVQMVEIYNEQIRDLLSENSSSTKLEIHSCPRDNGLSLPDATMHTVKSASDVLNLMKFGEVNRVVCSTALNNRSSRSHSILTVHVHGKDASGNMLRSCLHLVDLAGSERVDKSEVTGDRLKEAQYINKSLSCLGDVITALAQKNTHTPYRNSKLTLLLQDSLGGHAKTLMFAHVSPEGDSFGETISTLKFAQRVSTVELGAARLNKESSEVMQLKEQIENLKKALANKEAQSTLSYKIKEPKSPFEKQKATIEKTPPRTRRLGIENGSTKKSEKAMNCEDRKGPKTPSVPTRARRLSLEGPRYVKKDNSQINVSEDVSKSLHASTVSVQKYSEFQEAEAVTKQFGDLSSGSSIMDVYFSKAPRSPASSSFQKQAQKVDCRTQIPRLQLPKTPEPQVLARNDIQAVMQSEHSESRMTIGKGSQIRKSLRSTIGKLISGSEKRNLQNSVELKSPIMEESTISDVKLPLTANARAMRRQSLTGIQTSGSDRSRRSSLGGKPTDSSTTPSSNRNAKTP >EOY11651 pep chromosome:Theobroma_cacao_20110822:5:39950636:39953106:1 gene:TCM_026763 transcript:EOY11651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein, putative isoform 1 MLVLAYVENMKTRLGFEAFKRAGDYAFKLSVTSCNPLLSALVKENEIGDVDYVYKEMIRRRVEVNVISFNIIINGMCKVGKLNKARDAIQDMKAWGFLPDVFTYNALINGYCKKGGIGKMYKADAILKEMIESEVRPNEITFNILIDGFCKDENISAAMRVFEEMRTQGLKPTVVTYNNLINGLCLEGRLDEAIGLLDEMVGLGLKPNVVTYNVLINGFGKKGKMKEATDLFDNIVKKGIAPTVITYNTLIDAYCKDGRMEDAFALHKSMVDRGIFRDVSTYNCLITGLCREGNIPVVRKLINEMINNGLKADVVTYNILIDAFCKEGESRKAARVLDEMVKIGLRPNHVTYNTLMLGHCREGNLRAALNVRTQMEKDGRRANVVTYNVLIKGFCKKGKLEDANGLLNEMLEKGLIPNRTTYEIVKEEMVEKGFVPDIEGHLYSISAS >EOY11650 pep chromosome:Theobroma_cacao_20110822:5:39949776:39952726:1 gene:TCM_026763 transcript:EOY11650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein, putative isoform 1 MYIHSSFRFFSEEPCFQPRLSLMHPRSILFDGKFRLGNIFPGNKHALRLFSSDNIPSPSITELLSKQRWNKLKSHLQNVSPSTLLQQLLDSKTDPDLTLRYFRWSEKEFNLSHSLELSCKLLHSLANAKSFCANSIIADMLVLAYVENMKTRLGFEAFKRAGDYAFKLSVTSCNPLLSALVKENEIGDVDYVYKEMIRRRVEVNVISFNIIINGMCKVGKLNKARDAIQDMKAWGFLPDVFTYNALINGYCKKGGIGKMYKADAILKEMIESEVRPNEITFNILIDGFCKDENISAAMRVFEEMRTQGLKPTVVTYNNLINGLCLEGRLDEAIGLLDEMVGLGLKPNVVTYNVLINGFGKKGKMKEATDLFDNIVKKGIAPTVITYNTLIDAYCKDGRMEDAFALHKSMVDRGIFRDVSTYNCLITGLCREGNIPVVRKLINEMINNGLKADVVTYNILIDAFCKEGESRKAARVLDEMVKIGLRPNHVTYNTLMLGHCREGNLRAALNVRTQMEKDGRRANVVTYNVLIKGFCKKGKLEDANGLLNEMLEKGLIPNRTTYEIVKEEMVEKGFVPDIEGHLYSISAS >EOY11652 pep chromosome:Theobroma_cacao_20110822:5:39950636:39953106:1 gene:TCM_026763 transcript:EOY11652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein, putative isoform 1 MLVLAYVENMKTRLGFEAFKRAGDYAFKLSVTSCNPLLSALVKENEIGDVDYVYKEMIRRRVEVNVISFNIIINGMCKVGKLNKARDAIQDMKAWGFLPDVFTYNALINGYCKKGGIGKMYKADAILKEMIESEVRPNEITFNILIDGFCKDENISAAMRVFEEMRTQGLKPTVVTYNNLINGLCLEGRLDEAIGLLDEMVGLGLKPNVVTYNVLINGFGKKGKMKEATDLFDNIVKKGIAPTVITYNTLIDAYCKDGRMEDAFALHKSMVDRGIFRDVSTYNCLITGLCREGNIPVVRKLINEMINNGLKADVVTYNILIDAFCKEGESRKAARVLDEMVKIGLRPNHVTYNTLMLGHCREGNLRAALNVRTQMEKDGRRANVVTYNVLIKGFCKKGKLEDANGLLNEMLEKGLIPNRTTYEIVKEEMVEKGFVPDIEGHLYSISAS >EOY11100 pep chromosome:Theobroma_cacao_20110822:5:37948997:37952307:1 gene:TCM_026375 transcript:EOY11100 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein MAQQENLFQIPRVKLGSQGLEVSKLGFGCMGLTGVYNSPLSEEEVISIIKDAFAKGITFFDTADAYAAHTNEVLVGKALKQLPREKIQVATKFGIVAMQATGMIVNGSPEYVRSCCEGSLKRLDVDYIDLYYQHRVDTSVPIEETMGELKKLVEEGKIKYIGLSECSPDTIRRAHAVHPITAIQMEWSLWTRDIEDEIVPLCRELGIGIVPYSPLGRGFFCGRAVVESLPAGTFLASHPRFRGENLDKNKNIYTRIEELAKKRQCSPAQLALAWVLQQGDDVVPIPGTTKIKNLDHNIGSLTVKLTAEDLKEISDAVPIDEVAGDRNYDSMRKASWKFANTPPKDFGVSA >EOY09597 pep chromosome:Theobroma_cacao_20110822:5:30094117:30118194:1 gene:TCM_025012 transcript:EOY09597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEALSTSNAAEEKVPGRGLKFNASEGKDDGIGIDKFLRGKVIFISGATGFVGKVLVEKILRSVPNVSKMYLMMRAKDKEAAKQRLKTEAIDFELFKCVKQKYGKYYEAFTMSKLVPVEGNVCQSDLGLEEEIANAIKKGVQIIINSAANTSFYPSCEATLDINAMGPYHLMGFAKMCFKLELFLHLSTAYVNVPRPGRIMEQKLCMTNSMGRESLFSKSPGRFLPTLVLENENKVAAECKKTFANNAVAKKSKELGLQRAKEFGWTNAYVFTKALGEMIIDTMREDIPVVIIRPPAVEGTCKEPFPGWIEGHKSIDPIVSVYGKGKLKGFPGIADNVIDIVPVDMVVNETLAAMTRHGVTRKVDINIYHVSSSISNPLTLQDLCQLFYQHFKSLPCIGANGKHINIQKLKIFASMEEFDAHLLREATTNSSDMNPSGKIETRKFIELAKYLAKVYKPFTFYHYRQTGTPLFRCKLRRTEHLERLWIMILSVKLLTVDICGLYERKLKKLNPTTQNITCDIGVLYKFIGGLANIIALVYDSFSLSSSTFFWDVILFSTNNTQKLLESMSEVEKEKFGFDVKSIDWKHYIVNVHIPGLRRYVMKEKGVSKLTKRVALYDIIVKVIQFYEIILNFPISVVYDKMRYQIMKQRLRSSAV >EOY07720 pep chromosome:Theobroma_cacao_20110822:5:2506134:2508884:1 gene:TCM_022076 transcript:EOY07720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L4/L1 family isoform 2 MAAAAAAVRPLVSVQTIESDMATDACPTVPLADVMKASIRPDIVTFVHDNISKNRRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKINVNQKRYAVASAIAASAIPALVMARGHRIEAVSEMPLVVSDAVEGVEKTSAAIKVLKQVGAYPDVEKAKDSQGIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEVANVERLNLLKLAPGGHLGRFVIWTKSAYEKLDSIYGSFDKPSEKKKGYVLPRAKMVNADLARIINSDEIQSVVKPIKNEIKRAPLKKNPLKNLNVMLKLNPYAKTARRMALLAEAQRVKAKKEKLDKKRKPVSKEEATAIKTAGKAWYQTMISDSDYTEFENFSKWLGVSQ >EOY07721 pep chromosome:Theobroma_cacao_20110822:5:2506456:2508336:1 gene:TCM_022076 transcript:EOY07721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L4/L1 family isoform 2 PGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKINVNQKRYAVASAIAASAIPALVMARGHRIEAVSEMPLVVSDAVEGVEKTSAAIKVLKQVGAYPDVEKAKDSQGIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEVANVERLNLLKLAPGGHLGRFVIWTKSAYEKLDSIYGSFDKPSEKKKGYVLPRAKMVNADLARIINSDEIQSVVKPIKNEIKRAPLKKNPLKNLNVMLKLNPYAKTARRMALLAEAQRVKAKKEKLDKKRKPVSKEEATAIKTAGKAWY >EOY09743 pep chromosome:Theobroma_cacao_20110822:5:30858168:30862997:1 gene:TCM_025133 transcript:EOY09743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonol synthase MEVERVQAIALSSLTKDSIPTEFIRPEEEQPAITTFHGPVPEIPVIDLDDHDQEKVIRLIANASRDWGIFQVVNHGIPFDLIEKLQQVGKEFFDLPQEEKELYAKPNGAQTIEGYGSKLGKDLQGKKNWADHLFHRIWPPSRINYQFWPQNPPSYRAVNEEYAEYMRRVVDKLFKSLSIGLGLAPHAIKEGAGGEEMEYLMKINYYPTCPRPDLALGVASHTDLSAITVLVPNDVPGLQVFKDGLWIDAKYIPGALIIHIGDQIEILSNGKYKAVLHRTTVDKEKTRMSWPVFLEPPGEFVVGPLPQLADEQNPPKYKAKRFKDYSYCKLNKLPQ >EOY09368 pep chromosome:Theobroma_cacao_20110822:5:28633831:28636649:1 gene:TCM_024788 transcript:EOY09368 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-type zinc finger family protein MADYPYSNFFSGWFKFNPLQHYPPPNPPPQQPSSYTQNYFYSSNTTFMTNETNPFFHYQTSSSSPPSPPVREALPLLSLSPTRHDKDEEVQDDEDQDQEHSCTAMDVDKSKGKEEGRLLFTNSAGGASASAAEDETVTVALHIGLPSPSASELASVLSSSSEITDKDGDGDDSGYPVNRLNKGQYWIPTPSQILIGPTQFSCPVCCKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGNGHAAYGIDGLEEDDEPASEVEQDNESMQ >EOY08804 pep chromosome:Theobroma_cacao_20110822:5:20873579:20874412:1 gene:TCM_023991 transcript:EOY08804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSTIFAFKINLMYRYIFMKILKLTPLTHLEITGYYITIFTCQWLIISSDTPTQLTSFTFVFSFLPCFSFFFLFYFFFFALFFFSFPSFSLLYHRSSLFFGLN >EOY09050 pep chromosome:Theobroma_cacao_20110822:5:25118848:25122307:-1 gene:TCM_024389 transcript:EOY09050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MLVIPRASISFRYFNKLTILLSLNALHSVKSKTTIPSIDYSANSSVAQSNWLITKLSTEGKISQVRKLFDQMPDRDKDVITWTALISGYIKLGLIEEARRLFDRVDSKKNVVTWTAMLSGYMRSNRILEAKRLFDEMPVKNVVSWNTMVDGYVQNGMVGKAFELFQEMPERNVVSWNTMLTALAQCGRVEDARGLFDRMPKRDVISWTAMVAGLAKNGKIDEARRVFDRMPERNVVSWNAMITGYSQNMKLDEAFELFERMPERNLSSWNAMITGFIQNGELKRAEKLFDKVPRKNVVSWTTMITGYVQDEQSEEALKIFSKMMAEDGVKPNEGTFVSVLSACSDLAGLFEGQQVHQTLAKTIYQCSEIVVSAIINMYSKCGELNAARRMFDDGLISQRDVVSWNGMIAAYAHHGCGGEAISLFKKMSGFGFKPNGATYVALLSACSHSGMVEDGLRYFDELVRDKSIQVKEDHYACLVDLCSRAGKLKEAFEFIMRLGTKPSASIWEALLAGCHVHGDVNLGKLAAEKILETEPENVGTHMLLSNIYASRGKWRDAGKVRLKMKNKGLKKQPGCSWIEVGNKVHVFVAGAKSRCHANLLYPLLCELHAKMRKAGSIPNNDYMKDDDFLVI >EOY09049 pep chromosome:Theobroma_cacao_20110822:5:25118758:25122309:-1 gene:TCM_024389 transcript:EOY09049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MLVIPRASISFRYFNKLTILLSLNALHSVKSKTTIPSIDYSANSSVAQSNWLITKLSTEGKISQVRKLFDQMPDRDKDVITWTALISGYIKLGLIEEARRLFDRVDSKKNVVTWTAMLSGYMRSNRILEAKRLFDEMPVKNVVSWNTMVDGYVQNGMVGKAFELFQEMPERNVVSWNTMLTALAQCGRVEDARGLFDRMPKRDVISWTAMVAGLAKNGKIDEARRVFDRMPERNVVSWNAMITGYSQNMKLDEAFELFERMPERNLSSWNAMITGFIQNGELKRAEKLFDKVPRKNVVSWTTMITGYVQDEQSEEALKIFSKMMAEDGVKPNEGTFVSVLSACSDLAGLFEGQQVHQTLAKTIYQCSEIVVSAIINMYSKCGELNAARRMFDDGLISQRDVVSWNGMIAAYAHHGCGGEAISLFKKMSGFGFKPNGATYVALLSACSHSGMVEDGLRYFDELVRDKSIQVKEDHYACLVDLCSRAGKLKEAFEFIMRLGTKPSASIWEALLAGCHVHGDVNLGKLAAEKILETEPENVGTHMLLSNIYASRGKWRDAGKVRLKMKNKGLKKQPGCSWIEVGNKVHVFVAGAKSRCHANLLYPLLCELHAKMRKAGSIPNNDYMKDDDFLVI >EOY10133 pep chromosome:Theobroma_cacao_20110822:5:33122804:33123698:1 gene:TCM_025510 transcript:EOY10133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKSRVLGLMLAALCVITSEYSCNGSESDHEALFGLGNDLNDPEKRYGFWNLTGDISPSLLKLRYLEYLDSSLDTFNELDYMELLHQVLVLACWFGYGINCLKKLAGACQNPQMKDYTTLHGASGERYTVPKRRITCGSFTL >EOY08666 pep chromosome:Theobroma_cacao_20110822:5:17100229:17101095:1 gene:TCM_023658 transcript:EOY08666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRRDDSLDAPHNASEGSLDSTAKSQWRLDLGSSKSGQSRIPITWIPLELEEMFRNKENLESSERHENATLVSREEYIRIQQAWKNRKHEDEEDHEEDPEEDQSMCSDQGYNDPNGT >EOY09112 pep chromosome:Theobroma_cacao_20110822:5:26152676:26159044:-1 gene:TCM_024500 transcript:EOY09112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIEVISKLFKDHQSIQHQCCLKSRWVKVEEIPCHIWHEDSFRAITNAWGKFIKIDHNNDEKWRLDFAMILVEVKSLRIIKAFIDISVNGKEYYVRAFVSNILQSNPLIRLANVVEGYSLEDMVWKASKEDGIGLGLSGSILSERADGNNKVPNLVVQEELYGVKKAASFALRRRCQVRKKIHKCLNHDSDVFVTKCQREAELT >EOY07117 pep chromosome:Theobroma_cacao_20110822:5:489542:491725:1 gene:TCM_021628 transcript:EOY07117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein, putative isoform 1 MEGNNGTECSKTSPSKQNQAESESTEENDGESRPKNGGSSSNSTVEENEKKPSVRPYVRSKMPRLRWTPDLHLRFIHAVERLGGQDRATPKLVLQLMNIKGLSIAHVKSHLQMYRSKKIDDPGQVITEHRHLVESGDRNIYNLSQLPMLQGYNNHHHGDSSSSFRYGDASWNGQECLQRNPYSSRSFIDEPRPGLHGTMTERIFGSKSTSNWSNYSLRMGSSSFNALPSWKSHELKNEFPSSHNLESFRTQPRSGAIELNPTSQTQAKVEEHISFGRSIGPSDANKTNAQECKAMKRKAPDCNLDLDLSLRLTQVNEESQRRSKEDDVGSELSLSLYSPSSSSKLSRLKGEDHSKESARRVSTLDLTI >EOY07118 pep chromosome:Theobroma_cacao_20110822:5:489539:491563:1 gene:TCM_021628 transcript:EOY07118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein, putative isoform 1 MEGNNGTECSKTSPSKQNQAESESTEENDGESRPKNGGSSSNSTVEENEKKPSVRPYVRSKMPRLRWTPDLHLRFIHAVERLGGQDRATPKLVLQLMNIKGLSIAHVKSHLQMYRSKKIDDPGQVITEHRHLVESGDRNIYNLSQLPMLQGYNNHHHGDSSSSFRYGDASWNGQECLQRNPYSSRSFIDEPRPGLHGTMTERIFGSKSTSNWSNYSLRMGSSSFNALPSWKSHELKNEFPSSHNLESFRTQPRSGAIELNPTSQTQAKVEEHISFGRSIGPSDANKTNAQECKAMKRKAPDCNLDLDLSLRLTQVNEESQRRSKEDDVGSELSLSLYSPSSSSKLSRLKGEDHSKESARRVSTLDLTI >EOY07115 pep chromosome:Theobroma_cacao_20110822:5:477665:478146:-1 gene:TCM_021626 transcript:EOY07115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein EKQRVAVSTATYTSNNFWLAANVIISSSLNSTLHFQSTIFFLCAFSQFSREKKKIPRGERKAKTCAEKVPSFIYFFYSTIEPCITIVFSASVYLSCGVVQHNIFTTMR >EOY10637 pep chromosome:Theobroma_cacao_20110822:5:35652443:35658381:-1 gene:TCM_025950 transcript:EOY10637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper/zinc superoxide dismutase 1 MVKAVAVLSSSEGVSGTIFFTQEGDGPTTVTGNISGLEPGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDENRHAGDLGNVTVGDDGCVSFSIIDKQIPLTGPLSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLARGIGAQEKPVQYQKPSDCIDRCHGCTVNQCTAGLCVCGCALPLEELYAIKQEKPVQCRKPEECVSRCNGCTANKCTAGLCVCVC >EOY11324 pep chromosome:Theobroma_cacao_20110822:5:38980849:38983696:-1 gene:TCM_026549 transcript:EOY11324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MAIITEEQEPESPKTRENKKPPKKPTSNPNSKPSKPASNPNPNSQTQSPFAFWFYFTLTISLITFLFVSFSSLSPPDPKSWFLSLPSSLRQHYSNGRIIKVQTNPNQSPIEVFVTENGQFSSSENVVVVHGLGLSSFSYSEIIRLLGSKGVHVIALDLPGNGFSDKSRVEIEEGTNGVLGRFKEVYSLIQEKGIFWAFDQMVETGELPYEEIKSRVLVKKSVKVIALGSEEMGRVLGQVIETMGVAPVHLVLHDSAFVMAANWISENPRFIRSVTLIDTGLKPALPLWGLNIPVVGEVVLRFSFVFARLINLCCSKGIGWSELEAHRVLLKGWDARRAVVGIGKKLNYSFDVEEWGGLDGIKGMPMQVLWSSGWSDEWRKEGRRIAEALPKAKFVAHSGGRWPQGDAAGELAENVAQFVSSLPKTVRQVEEEPIPEHIQKMFDEAKDTDHHHHHHHHGQGHGHGHSAHGHDHAHAAGFMDAYGLGHTWGT >EOY08959 pep chromosome:Theobroma_cacao_20110822:5:23966266:23968488:1 gene:TCM_024259 transcript:EOY08959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 1 MALQHTLNIQYRYWLSPAKENKLKPFLLSSSANPNLPKLPFWRGSSIRNGVRTHGMVEEIGKKFAGRELSDSDDEDDDDSSTKKGEMGDAYHFDDDERREWRAKIRDVLCKHPEIQEELDPVEKLNQMQKLLADYPLVVDEDDPDWPEDADGWGFNLGQFFDKITIKNAKKDKDDEDYDSENEVVWQDDNYIRPIKQIKIAEWEETVFKDISPLIILVHNRYKRPKENERVWDELEKAVHVIWNCSLPSPRCVAVDAVVEDALVSALKVSVFPELIFTKAGKILYREQAIRTADELSKMMAFFYYGAAKPPCLDCVGNSQEMIPSVAINR >EOY08961 pep chromosome:Theobroma_cacao_20110822:5:23966252:23967894:1 gene:TCM_024259 transcript:EOY08961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 1 MALQHTLNIQYRYWLSPAKENKLKPFLLSSSANPNLPKLPFWRGSSIRNGVRTHGMVEEIGKKFAGRELSDSDDEDDDDSSTKKGEMGDAYHFDDDERREWRAKIRDVLCKHPEIQEELDPVEKLNQMQKLLADYPLVVDEDDPDWPEDADGWGFNLGQFFDKITIKNAKKDKDDEDYDSENEVVWQDDNYIRPIKQIKIAEWEETVFKDISPLIILVHNRYKRPKENERVWDELEKAVHVIWNCSLPSPRCVAVDAVVEDALVSALKVSVFPELIFTKAGKILYREQAIRTADELSKMMAFFYYGAAKPPCLDCVGNSQEMIPSVAINR >EOY08960 pep chromosome:Theobroma_cacao_20110822:5:23966341:23968488:1 gene:TCM_024259 transcript:EOY08960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 1 MALQHTLNIQYRYWLSPAKENKLKPFLLSSSANPNLPKLPFWRGSSIRNGVRTHGMVEEIGKKFAGRELSDSDDEDDDDSSTKKGEMGDAYHFDDDERREWRAKIRDVLCKHPEIQEELDPVEKLNQMQKLLADYPLVVDEDDPDWPEDADGWGFNLGQFFDKITIKNAKKDKDDEDYDSENEVVWQDDNYIRPIKQIKIAEWEETVFKDISPLIILVHNRYKRPKENERVWDELEKAVHVIWNCSLPSPRCVAVDAVVEDALVSALKVSVFPELIFTKAGKILYREQAIRTADELSKMMAFFYYGAAKPPCLDCVGNSQEMIPSVAINR >EOY07253 pep chromosome:Theobroma_cacao_20110822:5:928004:932146:1 gene:TCM_021718 transcript:EOY07253 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase, putative MLLSCALLYNKPVLNFLLLFNVNTLLLLCIITWLHSHSPVFAATTLHANETDRLALLAIKAQLTQDPLGLTSSWNDSLHFCNWSGVICGHGHQRVITLNLSYHDLVGSLSPYVGNLTFLRGISLEQNYFHGEIPPEVGRLSGLRYLNFSNNSLSGEIPANLSGCSNLIMLRLGFNKLIGKIPYQLGSLQKLERFQLHYNNLSGPIPASLGNLSSVRSLSFSVNSFEGTIPDALGQLKTLNFLGLGLNQLTGIVPPSIFNLSSITIFTLPFNQLHGNLPSNLGFALPNLRVLNIGHNQFTGALPESLSNGSNLLEFDINGSNFTGKVNIDFGGLPVLWSLVLASNPLGRGEADDLDFLNSLTKCRNLQILDLSNDQFGGVIPISFGNLSTELVQLRLGGNKLWGSIPTGIKNLVNLTELTMEQNNLTGNIPAVIGNLRMLRLLDLSENQFSGNLPSSIANISQLYKLHLQSNNFTGNIPSSFGNLTSLQDLDLSQNYLSGAIPKNVIGLSSLTISLNLAQNQLTGLLPSEVSNLKNLGHLDVSENQLSGEIPSGLGSCVTLEQIYMEGNFFEGTIPNSFRFLRGLRDLDLSRNNLSGQIPEYLQRLSLMTLNLSFNEFEGMVPTTGVFKNTTALSIVGNKKLCGGIPELKLSPCRNSNSKKGTLSRRHKFMIAFLSASVGLVLIVSLLIVNRLRKLKREPALPLASASVKKELLPRVSYESLQKATDGFSSENLIGAGSFGSVYKGILDQNENIVAVKVLYLHQPGALKSFMAECETLRNIRHRNLVKLLTACSSVDFQGNEFKALVYEFMPNGSLESWLHPVPNAGGDGMEDDLRILSLTQRANIAIDVACALEYLHHHCQKPIVHRDLKPSNILLDNDMTAHVSDFGLAKFLLEAMERSQTNQSSSAGLKGTVGYAAPEYGMGGMASTYGDIYSYGILLLEMFTGKRPTDETFKDGLDLHNFVKTALPERILEVLDPLFVAGGGGEEEEIANEGGCILVETKKDLLQNSLTEILKIGVACSLEQPRERMKLGDVIKELQLVRGLLLGSDMTQR >EOY09848 pep chromosome:Theobroma_cacao_20110822:5:31312976:31314837:1 gene:TCM_025221 transcript:EOY09848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYSLGVVFDPSDRDIVSHYLPMLISGESMSSLGDLQYVIGFADIYSTKPSVFFDVNNGNGLPFLKSNQRFIFTHRQRISKKNANGKRPRRILECHHYDETLGVGDSGGYWRSSTAEKPILDEQQQEIGFVRTLNFFEFKDEKKSRKDATKTRWLMHEYRLPGDTFQEWVICKIKDTSGSPHDEYSDSIWEKELFGKLLLPHSDENHHHQDEYQSQIQSSTVFNNGNLPSYEVDQLLDDDPFKEVDQLLEINDDNQIQTQSSTVFNNGNLRSFEVDQLLYAHEKEISKDDDPIKDVDQLWEINDDNQIVDYSFKEMEQLLGMNDNDPIADVDKALATMNSYYLPDLLD >EOY10603 pep chromosome:Theobroma_cacao_20110822:5:35562281:35567980:-1 gene:TCM_025929 transcript:EOY10603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phospholipid-binding Copine family protein isoform 1 MGQCLSGDVSGGQQALGHMSMLEGNVGRNDAVDFFFRSRGQHSPSTGIELSLSASKLSDRDITSKSDPMAILYAKRKDGSLKELGRTEVILNNLNPAWVEKIHLTYQFETVQSLVFHVYDVDTVYHNVPVKMLKLSDQDFLGEANCVLSEILTKRNQTVTLNLQSKHGHGGSRNLGMLTVHAEETVASRTIVEMVLRCSQLDNKDLFSKSDPFLRISKIAESVGCVPMYKTEVVNNDLNPVWKPLCLTMQHYGSKDNPLIFECFDFNSSGNHVLIGKIQKSVSELEKLYKEKSGANLVLSSRQGHDKVLKGQLFVDQFIEKPRFSFLDYISSGFQLNFMVAVDFTSSNGLPRNPTSLHYIDPLGRLNSYQQAIMEVGEVIQFYDSDKRFPAWGFGAKTFSNTSLSHCFNLDITNGYQEVEGVEGIMAAYASAIYNVTLSGPTVFGQVIDRAAQLASFSCDSTKYFVLLIITDGVLTDIQETKDAVVKTTDLPLSILIVGVGDADFKQMEILDADDGCPLVSSNGRVATRDIVQFVPMRDVHDFSC >EOY10602 pep chromosome:Theobroma_cacao_20110822:5:35562625:35566851:-1 gene:TCM_025929 transcript:EOY10602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phospholipid-binding Copine family protein isoform 1 MGQCLSGDVSGGQQALGHMSMLEGNVGRNDAVDFFFRSRGQHSPSTGIELSLSASKLSDRDITSKSDPMAILYAKRKDGSLKELGRTEVILNNLNPAWVEKIHLTYQFETVQSLVFHVYDVDTVYHNVPVKMLKLSDQDFLGEANCVLSEILTKRNQTVTLNLQSKHGHGGSRNLGMLTVHAEETVASRTIVEMVLRCSQLDNKDLFSKSDPFLRISKIAESVGCVPMYKTEVVNNDLNPVWKPLCLTMQHYGSKDNPLIFECFDFNSSGNHVLIGKIQKSVSELEKLYKEKSGANLVLSSRQGHDKVLKGQLFVDQFIEKPRFSFLDYISSGFQLNFMVAVDFTSSNGLPRNPTSLHYIDPLGRLNSYQQAIMEVGEVIQFYDSDKRFPAWGFGAKTFSNTSLSHCFNLDITNGYQEVEGVEGIMAAYASAIYNVTLSGPTVFGQVIDRAAQLASFSCDSTKYFVLLIITDGVLTDIQETKDAVVKTTDLPLSILIVGVGDADFKQMEILDADDGCPLVSSNGRVATRDIVQFVPMRDVHGGQISLVEALLQELPGQFLTYMQSRNIKPYGFVA >EOY08505 pep chromosome:Theobroma_cacao_20110822:5:9436799:9438591:-1 gene:TCM_022982 transcript:EOY08505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast RNA-binding protein 33 MHILFFSLQKMSAPTLPMAATVAAAATSLFSNNKLSTLLSSSLHSLPSKPTHKQLKPFNLKTQSLNSLPFSLHLSLPRLHCFAASFDSFLVTEDDRSPGEEYPESPNVEEPPQQEEAEEEKISESGGEEGRLYVGNLPYSMTSSELSEIFNEAGRVANVEIVYDRVTDRSRGFAFVTMASVDDAKEAIRLFDGSQVGGRTVKVNFPEVPRGGEREVMGPKIRRGYKSFLDSPYKIYAGNLGWGVTSQGLRDAFASQPGLLSAKVIYERDTGRSRGFGFVSFESAETVEAALNAMNGVEVEGRPLRLNMAADRAPGTPSPEMERNTKESLESSELLSSVTA >EOY11759 pep chromosome:Theobroma_cacao_20110822:5:40235450:40238309:1 gene:TCM_026836 transcript:EOY11759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 1 ALWCIGSQFSSKLPRWLNLRGRYFSISYANTVLLNSETDPNPPYVDDFEAKIKFLRNNLYPDSLIRVLDKTQDLNSALKIFKWAALQKRFNHTANTYYHIILKLGLAGNITEMDHFCLNWARDKCAGTEEALTVLIDTFVRHSRLNEASRVLVHMTLGGYNPSVNVFNDLLGAFVKEKRDFQHVLFVYKEMVKAGVLPTVDTLNSLLDVLFETNRVESGLDQFRRMRKKGCSPSGRTFEIVIKGLIVNGRVDEAVLILDEMLELGCSPDMGFYTCIVPLFCQENRLEQGMQLFRLMRASNLVPDSLICRKLIRCLCMNLHLDDATNVLQEMIEIGEIPPADSFVDIVNGFCEGEKYIEAIHFLENNCGNLTSPHKALLEGCCRAGKFFLGKDLLEKMSEGGIADCDSWNILIRWICEKVGIKKANELLGRMIVQSLVPDCGTYAALVVGNCKLNKYEDALGLFYYIHSKCWVLDSICYSRLVEALCRLEKITEAVEVYYYMSKSGCLLEATAFNMLIKAACDVGKVDEAIKMRSLAYYSGTSCTSLTYTTIMLGLHKSERAKDVLVMVSQMLIGGCAINAEAYCILIRSMSTLNRFKECALFFKLMLNEGLMPDSEAMHDLLSCLANHSQLHLLSKDINKIISRMEILESAMYNLLINGLWKEGCKSEACLLLDLMLEKGWVPDATTHTLLIGSNVKEVTSRGTTMHENSMEQDNVSNILAEGLGK >EOY11758 pep chromosome:Theobroma_cacao_20110822:5:40235057:40238775:1 gene:TCM_026836 transcript:EOY11758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 1 MVLKLSTLKFSSKLPRWLNLRGRYFSISYANTVLLNSETDPNPPYVDDFEAKIKFLRNNLYPDSLIRVLDKTQDLNSALKIFKWAALQKRFNHTANTYYHIILKLGLAGNITEMDHFCLNWARDKCAGTEEALTVLIDTFVRHSRLNEASRVLVHMTLGGYNPSVNVFNDLLGAFVKEKRDFQHVLFVYKEMVKAGVLPTVDTLNSLLDVLFETNRVESGLDQFRRMRKKGCSPSGRTFEIVIKGLIVNGRVDEAVLILDEMLELGCSPDMGFYTCIVPLFCQENRLEQGMQLFRLMRASNLVPDSLICRKLIRCLCMNLHLDDATNVLQEMIEIGEIPPADSFVDIVNGFCEGEKYIEAIHFLENNCGNLTSPHKALLEGCCRAGKFFLGKDLLEKMSEGGIADCDSWNILIRWICEKVGIKKANELLGRMIVQSLVPDCGTYAALVVGNCKLNKYEDALGLFYYIHSKCWVLDSICYSRLVEALCRLEKITEAVEVYYYMSKSGCLLEATAFNMLIKAACDVGKVDEAIKMRSLAYYSGTSCTSLTYTTIMLGLHKSERAKDVLVMVSQMLIGGCAINAEAYCILIRSMSTLNRFKECALFFKLMLNEGLMPDSEAMHDLLSCLANHSQLHLLSKDINKIISRMEILESAMYNLLINGLWKEGCKSEACLLLDLMLEKGWVPDATTHTLLIGSNVKEVTSRGTTMHENSMEQDNALCDGSFFNGIVIVVMRGDWLLF >EOY08306 pep chromosome:Theobroma_cacao_20110822:5:6225179:6226635:1 gene:TCM_022642 transcript:EOY08306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERPLEEIQARGSGIQGWLRALGYGEQVSIQFLLTTLVPEFHGEAFRAAELGNGRLVDGRRIFIKYAAPGKPLFSSKLGRVVNHQWVKSRKELFPKNAPLSYAKILNKGMSHGDQPSNHDVLVCSIQSPVKEKEPPCSQDKVPIEFIEAVSPVNEGTLPILPINNVPNSRVEDVLLPSEEASIMFNVPLSNMEWVDRSAVRILKQDFLLSGVQSGLALNGISATVRRVKNFQVLVTFYDKRDMLAIIQHHKDLFELWFEDLIPYKQWWASNAGCKWIKLSGVPIHFWHHNFFIALVSHWDRLLL >EOY07867 pep chromosome:Theobroma_cacao_20110822:5:3070170:3070733:1 gene:TCM_022188 transcript:EOY07867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLLVLFLVVMMVSSSCMAGTRRAMIGGIYGQEQRHRAGKGKAVEGRRNTVEDTDKDIDNHHNIPRQEYGNWGSNSPDNGGNGDDGSG >EOY10359 pep chromosome:Theobroma_cacao_20110822:5:34349519:34354202:-1 gene:TCM_025730 transcript:EOY10359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor 1 A-type isoform 2 MDPQAFIRLSIGSLGLRIPGSALNSSKAGIHAFSSPFSCEIRLRGFPVQTTSIPLVSSPEATPDIHSIASSFYLEDSDVKALLTPGCFYNPHAYLEISVFTGRKGSHCGVGVKRQQIGTFKLEVGPEWGEGKPVILFNGWIGIGKNKHENGKPGAELHLRVKLDPDPRYVFQFEDVTMLSPQIVQLQGSIKQPIFSCKFSRDRVAQVDPLSTYWSGSADSLDIETERRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVARSNPGAWLIVRPDICRPESWLPWGKLEAWRERGIRDSICCRFHLLSEAQDGAEVLMSEILISAEKGGEFFIDTDRQMRRAPTPIPSPQSSGDFSALSPIAGGFVMSCRVQGEGKSSKPLVQLAMRHVTCVEDAAIFMALAAAVDLSIEACKPFRRRIRRGSGHSL >EOY10358 pep chromosome:Theobroma_cacao_20110822:5:34349452:34354195:-1 gene:TCM_025730 transcript:EOY10358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor 1 A-type isoform 2 MDPQAFIRLSIGSLGLRIPGSALNSSKAGIHAFSSPFSCEIRLRGFPVQTTSIPLVSSPEATPDIHSIASSFYLEDSDVKALLTPGCFYNPHAYLEISVFTGRKGSHCGVGVKRQQIGTFKLEVGPEWGEGKPVILFNGWIGIGKNKHENGKPGAELHLRVKLDPDPRYVFQFEDVTMLSPQIVQLQGSIKQPIFSCKFSRDRVAQVDPLSTYWSGSADSLDIETERRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVARSNPGAWLIVRPDICRPESWLPWGKLEAWRERGIRDSICCRFHLLSEAQDGAEVLMSEILISAEKGGEFFIDTDRQMRRAPTPIPSPQSSGDFSALSPIAGGFVMSCRVQGEGKSSKPLVQLAMRHVTCVEDAAIFMALAAAVDLSIEACKPFRRRIRRGIFWFRLFKNCSTHLWCQYSLHDTYLSSLQAGAFVQLVRLFCFSVLIGLGEIEFLPPLTLTELPPPLG >EOY10375 pep chromosome:Theobroma_cacao_20110822:5:34410105:34410824:1 gene:TCM_025744 transcript:EOY10375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRSGPSPLVPSLMVGVLGLVIFGPTLQSILEFVLPLFEAGDSESDTFYVVMVLVLLLLLVLVQLLSTFFPTLHMFSSPSVQQTSSSAFDDGFGLGTLILVVLFLVLCKFWSV >EOY11527 pep chromosome:Theobroma_cacao_20110822:5:39522093:39522637:-1 gene:TCM_026672 transcript:EOY11527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALNERIFPFRRGNRRKIYGNQPAQKSSMMEIYIPIANDNMRSTCKGVAPPSSLLPYQKCDPWKQMFSSLIYFINRTAGQDRWRS >EOY10816 pep chromosome:Theobroma_cacao_20110822:5:36677649:36682422:1 gene:TCM_026124 transcript:EOY10816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MMRGWKSTSLQLGNSKSLTSLTYLYLSLSPPVFFYSFQTQFFSTSFSRSKKSKYLFGKIDDALAFFNHMLRTRPRPSIVEFNQFVGAVVRMQHYETAISLLRQMELRGIRHDVYTLNILLNCYCRLHRSDIGFSFLGKMLKLGNKPDTTTFNTLVNGLCVESNIGEAVILFDEIVRNGYQPDLITYNTIINGLCKIGYTSGAIRLFRNMEQSGYLPDTVTYNTIIDSLCKDQLVTEALNLFSEMTAKDILPNVVTYSSLIHAMCDSGQWKEVMRLLTEMVVKNCKLDVVSYGILVDAFCKEGRVSEAHDIVEIMIRQGVDPNTITYTTLMDGYCLQGKMDEARKVLNLMIIKGCVPNIYGCNILVNGYCKLQKIDEAMEVFHEMSRNGLFPNTVTYTTLMNGMCQVGRLSAARELFKEMSARGLVPNTITYSTLLHGLCKHGHVHEAVELFHFMQNSGIEANIVHYSILIDGLCQVGQLHVARKLFYALPVKGLRPNVYTCNIMIKRLCEERLPNEAFELFRKMGVHGFLEDSCSYNTMIKGFLLNDDVSRAMPILHEMVDKGFSADSSTATMLVDLLCRNGGDRSIFELHRKNCEDDQNVSILRLKMWNEVERRYEETFNGAHGVHAVIQLWSFRHDSRLYFALNVVKEEAKSGVATTDGSNGHGASSNLG >EOY11203 pep chromosome:Theobroma_cacao_20110822:5:38333453:38334821:-1 gene:TCM_026451 transcript:EOY11203 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 9, putative MDFCQNVSVSGEYHQEQVLSSPCSKLGATLATTGTLDDLFPAQNTEVDKSLEWLSIFVEDCLSSTGNCIPVAATTNVQNKSTTTATKPAQSLQQKPQQIIPPSLQKFVVPGKARSKRKRVAATTLSKTKMNPFTSWSYQLNSHNQNLHLASSDPPLLQQAYWLADSELIVPKKEDDSNNSSSNMRGNSETEESKKEEMEGEKTVVVCKESLGSLEGNSGQQQQQQPRRCTHCLAQRTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSYLHSNSHKKVMEMRMALLSSIPSEQ >EOY09188 pep chromosome:Theobroma_cacao_20110822:5:26981563:26985639:1 gene:TCM_024585 transcript:EOY09188 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MYGSRGAMLGSGGVSDGYEVGSKRQRMMESNPYFAVSSGMSSYQPYSYGGGFQPPAFPVVRLRGLPFNCTDIDIFKFFAGLDIVDVLLVNKNGRFSGEAFVLFAGSMQVEFALQRDRQNMGRRYVEVFRCKRQDYYHAVAAEVNYEGIYDNDFHGSPPPSRAKRYNDKDQMEYTEILKMRGLPFSVKKPEIVEFFGDFKIVEDRIHIACRPDGKATGEAYVEFASVEEAKRAMCKDKMTIGSRRLCMFPKISWITVLCDARKSPIRFMSVCWGSVLISAHYSFWNCHQIKEKASTMEHYVIALQVVPVTRARSGTGSKFTPKVTHFYRLTVGPMKDTIWNSWVKRHSSTPKRPKPNSDVKALT >EOY09189 pep chromosome:Theobroma_cacao_20110822:5:26982704:26985047:1 gene:TCM_024585 transcript:EOY09189 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MYGSRGAMLGSGGVSDGYEVGSKRQRMMESNPYFAVSSGMSSYQPYSYGGGFQPPAFPVVRLRGLPFNCTDIDIFKFFAGLDIVDVLLVNKNGRFSGEAFVLFAGSMQVEFALQRDRQNMGRRYVEVFRCKRQDYYHAVAAEVNYEGIYDNDFHGSPPPSRAKRYNDKDQMEYTEILKMRGLPFSVKKPEIVEFFGDFKIVEDRIHIACRPDGKATGEAYVEFASVEEAKRAMCKDKMTIGSRYVELFPSTPDEARRAESRSRQ >EOY08759 pep chromosome:Theobroma_cacao_20110822:5:19533870:19537287:-1 gene:TCM_023882 transcript:EOY08759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 98, subfamily A, polypeptide 3 MDFVSTHTLLPKIKIEIRKGKEMHLPLLITISVIAISLAYKLYQRLRFKLPPGPRSWPVVGNLYDIKPVRFRCYAEWAQAYGPIISVWFGSTLNVIVSNSELAREVLKENDQQLADRHRSRSAAKFSRDGQDLIWADYGPHYVKVRKVCTLELFSPKRLEALRPIREDEVTAMVESIFNDCNNPDAESKGKSLPVRKYLGTVAFNNITRLAFGKRFVNSEGIMDDQGQEFKAIVANGLKLGASLAMAEHIPWLRWMFPLEEEAFAKHGARRDRLTRAIMEEHTLARHKSGGAKQHFVDALLTLQDKYDLSEDTIIGLLWDMITAGMDTTVISVEWAMAEIIRNPRVQQKAQEELDRVIGFERVMSETDFSSLPYLQSVAKEALRLHPPTPLMLPHRANANVKIGGYDIPKGSNVHVNVWAVARDPAVWKDPEEFRPERFLEEDVDMKGHDFRLLPFGAGRRICPGTQLGINLVTSMLGHLLHHFSWTPAEGVKPEEIDMLENPGMVAYMRTPLQAMATPRLPSHLYKRVAVDV >EOY10678 pep chromosome:Theobroma_cacao_20110822:5:35819221:35823224:-1 gene:TCM_025978 transcript:EOY10678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 1 member 18 MALAGFPLQLQNCVEVNEVKHWKKELHEKAAFFAMEGFKILVPISLLVLAFSFATASDPSPLQDFCVALRDAQNGAMFSLYFLFVNGKFCKDPKLVKAEDLFFSGLNMPGNTSNQLGSKFTLVNVEQIPGLNTLGISLARIDYAPYGGLNPPHTHPRASEILVVMEGTLYVGFVTSNPNNHLITKVLYPGDVFVFPVGLIHFQFNIGKTNAIAFAGLSSQNPGVITIANAVFGSKSRINPDVLSKAFQLDENVVRYLQSRLCKLVLVGSVMKRFYRPAKTRRDRRLPINQVGFGW >EOY09858 pep chromosome:Theobroma_cacao_20110822:5:31343808:31349496:-1 gene:TCM_025227 transcript:EOY09858 gene_biotype:protein_coding transcript_biotype:protein_coding description:YELLOW STRIPE like 5 MDNNGRGDDRVENGFNPEEDDRKKEMKEAEMSVEQIFESQEVPSWRKQLTMRAFAVSFVLSILFSFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKMLEKSGLLKQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGMSERIAQQSGDTRGVKNPSLGWIIGFLFVVSFLGLFSVVPLRKIMVIDFRLTYPSGTATAHLINSFHTPQGAKLAKKQVKTLGKFFSFSFLWGFFQWFYTAEETCGFFNFPTLGLKAYENKFFFDFSATYVGVGMICPYIINISVLLGGILSWGVMWPLIETRKGDWYPADVSSSNMHGLQGYKVFIAIAMILGDGLYNFFKVLSRTLIGLFHQIRGRQALPIANQPSPDTSKKLSYDDQRRTQLFLKDQIPTWFSVAGYVTIAVISTIVLPHIFHELKWYYILVLYAFAPTLAFCNAYGCGLTDWSLASTYGKLAIFTIGAWAGSHGGVLAGLAACGVMMNIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTAMGCIVSPCVFWLFYKAFDDLGLPNSQYPAPFAVVYRNMSLLGVQGFSALPKNCLVLCYVFFGAAILINLVKDRVGKKWGSYIPLPMAMAIPFYIGSYFAIDMCVGSLILFVWEKINKAKADAFGPAVASGLICGDGIWTLPSSILALAGVKPPICMKFLSRATNARVDKFLGS >EOY07279 pep chromosome:Theobroma_cacao_20110822:5:1037736:1039374:1 gene:TCM_021747 transcript:EOY07279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVETQSGNKYISNVFFVFEANQNLLSVGQLSQSHYALLFKDKSCIIFNPKGEEVLTVKMRNKCFPIDCKHAEHKAYFNAINESELWHRRLSYVDNNSLQNMASHQLVEGLPKITKPK >EOY10161 pep chromosome:Theobroma_cacao_20110822:5:33282116:33287015:1 gene:TCM_025535 transcript:EOY10161 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MAETFAFNIVENVVQKLATVAHQEISLAWGVQSDFQRLNDILTTVKDVLLDAEENQAHTNQLRNWLQKLTDACYDAEDVLDEFEIEALRKQVLKQRNIGKKVSHFFSSSNPLAFRFRMAQKIKKVTLRFGEIAALKANFHLAERHYDTRHVVMGPDRETHSFVQAADIIGRDEDKEKIIKSLMQDPPDGENISVLPIVGIGGLGKTALAKLVLNDEIIDGEFQLKIWVCVSDDFHLKRLIIKIIKAVKKVNEDWSNMDLDQLQKVLRDCLDGKKYLLILDDLWKEDDIKWNELKQLLVEGGRGSKIVVTTRSSRVAEITGTIPTHNLEGLPKEEALSLFLQFAFKKREMNQYSNLVKIGEEIVKSCNGIPLVLKTLGSLLLCKTSEYDWKLVRDSEMWKLMQKENNIFPILKLSYDQLPPYLKQCFAYLSVFPKDYEFNYMELIHFWMAHDLLQSSNESDDPIDIGRRYLNDLSSRSFFQDFDKSLSRQYFFKMHDLLHDLAVLVAKNGCSTINSFKQIITPGIRHLCLENSDFLEKNSCDFFDIDKLCHLRTFRFENMKADANSESFIEKCLSRFQKLRVLTLQGSSLEVVPKRISGLKHLRYLDLSGNTNIKKLPNFMCKLPCLQTLLLIQAGIEELPSNMRYMISLRMLSISTKQKVLSKSGLEYLKSLRYLLIAYCENLEYLFDGIRNLTSLHSLIIVDCKNLIALPQGIKALTALKILVISNCEKLHLNMILASKARGNEDDSQDHHIGSGLRLQTLIIGRLPRLEALPNWLLGESANTLQILVIEECKNLTTLAKWQNLTSLERFSIGECPKLSSLPESMPCLRELSIMHCPILNERCRPETGEDWAKIAHVSNIRIDGSAGGYAVPQRNDYYALSQFKRKMEARNRRGLGQDCAA >EOY11290 pep chromosome:Theobroma_cacao_20110822:5:38841725:38842595:-1 gene:TCM_026522 transcript:EOY11290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKYPTKMVQSFLGLCHRLYDFIIECLATYAMRSVTLGQSSHQASAQETPFEVASLQDKVEPDPAHDHKKVAQEIPFEDASLLDKVEPDPAHDHKKVAQEIPFEDANLQDKVEPDPAHDHKMPAQEMGGHASVSSEPSKEKENEEEAATRAQAPKKMVSINDKVEEMAASRKKSRKKKGTEKMGSFDQEIDEPKPLKSILKPGI >EOY11057 pep chromosome:Theobroma_cacao_20110822:5:37701027:37709138:-1 gene:TCM_026326 transcript:EOY11057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related protein P2 isoform 2 MKRLSLRILFLACLVAFASAQSASDVIAYWTDYNTTENGWTIPSFCAAVVGGKPLEWRSKYGWTGFCGPNGIQGVDSCGKCLNVTNTATGAYETVRIVDTCGTGGLELDLETAFKPIDTDGNGIKQGHLTVDYAFVDCDADDVPDATDVTAYWTDYQPSQNSWEIPSFCAAAVGAKPLEWRSKYGWTGFCGPVGPTGVDACGKCLKVTNTETKDEEIVRIVDTCGTGGLELDLETAFKPIDTNGNGIKQGHLIVDYKIVDCDDDAVLVYSQ >EOY11058 pep chromosome:Theobroma_cacao_20110822:5:37701027:37702456:-1 gene:TCM_026326 transcript:EOY11058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related protein P2 isoform 2 RLSLSILFLACLVAFAAAQSASDVTAYWTDYKPTDNGWELPSFCAGVDGDKPLEWRKKYGWTGFCGPSGSQGVDSCGKCLNVTNTATGAYETVRIVDTCGTGGLELDLETAFKPIDTDGNGIKQGHLTVDYAFVDCDADDVPDATDVTAYWTDYQPSQNSWEIPSFCAAAVGAKPLEWRSKYGWTGFCGPVGPTGVDACGKCLKVTNTETKDEEIVRIVDTCGTGGLELDLETAFKPIDTNGNGIKQGHLIVDYKIVDCDDDAVLVYSQ >EOY09318 pep chromosome:Theobroma_cacao_20110822:5:28242877:28243528:-1 gene:TCM_024741 transcript:EOY09318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPTATRRRSCYRRREVERDSSLESSMELPCSHIGSNSSSNDSSCRNSTTADKEDINRVEVLQTGCSTPKGQRFRIPDTLTCPPAPMKPRVAPKLLSRRSSAITFFAPPDIDLFFFLAFQNVSA >EOY09387 pep chromosome:Theobroma_cacao_20110822:5:28884890:28887659:-1 gene:TCM_024818 transcript:EOY09387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 3 MGKKKKSRVSRDEEEDEVVQEEEENHSSSDDKSLYEVLGVEKTASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDDLAGDVVQDLKDFFRAMYKKVTEADIEEFEANYRGSDSEKKDLIDLYKKCKGNMNKLFCSMLCSDPKLDSHRFKDMLDEAIAAGEFKETKAYQKWAKKISQIKPPTSPLRRKRKSNKQPEADLFAIISERRSERKDRFDSMFSSLISKYGGNADSEPTEEEFEAAQRKVESRKASSKSKRK >EOY09388 pep chromosome:Theobroma_cacao_20110822:5:28884859:28887851:-1 gene:TCM_024818 transcript:EOY09388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 3 MGKKKKSRVSRDEEEDEVVQEEEENHSSSDDKSLYEVLGVEKTASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDAEKRALYDQTGCIDDADLAGDVVQDLKDFFRAMYKKVTEADIEEFEANYRGSDSEKKDLIDLYKKCKGNMNKLFCSMLCSDPKLDSHRFKDMLDEAIAAGLCRRIQRNQSLPEMGKENFSNQATYQSLEEEEEILNLLKKNLKLHRGKLKAVRPPANQSGSDIASYLDFILGLFL >EOY09386 pep chromosome:Theobroma_cacao_20110822:5:28884057:28887871:-1 gene:TCM_024818 transcript:EOY09386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 3 MGKKKKSRVSRDEEEDEVVQEEEENHSSSDDKSLYEVLGVEKTASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDAEKRALYDQTGCIDDADLAGDVVQDLKDFFRAMYKKVTEADIEEFEANYRGSDSEKKDLIDLYKKCKGNMNKLFCSMLCSDPKLDSHRFKDMLDEAIAAGEFKETKAYQKWAKKISQIKPPTSPLRRKRKSNKQPEADLFAIISERRSERKDRFDSMFSSLISKYGGNADSEPTEEEFEAAQRKVESQQLEDSSTAKHGHHEFETFNGRILKDLAVAPEFKTCGKGLRMCSNLFIARSKEWSPLSTSSCGKEWIVKMFDS >EOY10954 pep chromosome:Theobroma_cacao_20110822:5:37199796:37200283:1 gene:TCM_026222 transcript:EOY10954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNIGNSWLLISCMFIVGILLSHDTHMIAATEGVSGSSFDGIPSHDFPSKFNVMPKRRALRPDPPPSPPPSLNPPPHQRFLPAPRSPLSPPIST >EOY10341 pep chromosome:Theobroma_cacao_20110822:5:34273894:34274779:-1 gene:TCM_025715 transcript:EOY10341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASTACFLHHQAFIHAPLIPRSSSPQRHRPNIKPCKLVCSAQNQPLNKDHQAVQGGVSRRSVVDALIVGSAAIGSALAFVGAGYADGFSSGPFKPPGKQSWPELVGEKGDVAAAKIEKENPNVKAVVLLEGTPTDRMFDITRVRVFVNEEGMVTQVPRTG >EOY09345 pep chromosome:Theobroma_cacao_20110822:5:28430864:28432518:1 gene:TCM_024769 transcript:EOY09345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MQCELQALEDNATWSILPLPSDSHAIGCKWVFKVAMNADDTVQRYKARLVAKSNEFLNGDLDEVVYMDLPEGYSIKGEYPLGSKMVYEYGMLGTKPVTTLIDYSHKLSKAVDGKEVLSLFMEKPKQEHMSAAYGPLKYLKLAPGQGIFMKADSYLSILAYSDSDWVGCGDTRKSVTGSTEAEYKSMAAVCYEIMWLKYLLSDLGIKRSAAVKLYCDNQSAFHICRNPVFHERTKHIDMDHHFIREEVLERLIEPVYISTGSLTADLLTKALQPTQYHRLLHKMNIHDIHAPP >EOY09428 pep chromosome:Theobroma_cacao_20110822:5:29073941:29076882:1 gene:TCM_024846 transcript:EOY09428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MATSTRLLHYSNPFFVSIISIIIVYLLIKLCTKPKSSVHYPPSPLALPIIGHLHLLSSSLPKSFQTLARRYGPLMRIHIGQSIFVVVSDAAVAKEVLRIHEGEFASRFEFGPAEYNIYKDAGFITSPYGTYWRFMKKLCMTKLFSGPQLDRFNHIRKEEIMKLLQSLMKRSREGKWCDLSAELASLTNNLIFRMAMGKRFSKDGNEANEMWKLVVEIMGLAAKLGVNEVHGLLKKIDLFGNGKKLREALERYDRLVEEIIKDYEENGLDIGGGNEDLMHILLETYREKNAELKITRDQIKYFILELFIASIDTSSAAIQWAMAELINHPKVFKKVREEIDSVVKSNRLVNESDVPNLPYLQAIAKETLRLHSPVPIFHRECTKDCKINGFDLQVKDRVLINAYAIMRHPEAWSDPDKFLPERFLYNSSGENNGEYLMEIKDQGFWFLPFGGGRRGCAGSLHAYLVTHGTVGALVQCFDWKTKDGEKVDISVGSGFSGAMALPMECYPVLRFDPFQK >EOY08416 pep chromosome:Theobroma_cacao_20110822:5:7955532:7959479:-1 gene:TCM_022833 transcript:EOY08416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine/serine-rich zinc knuckle-containing protein 33, putative isoform 1 MSLYIGHLSSRTRRDELERVFRRFGRCNIRLKDGYGFIVYDYPPNAEKALRALQGRNICGEPLTLTWSNKQPRPLKKFARADQSYDQEPLRVRSSARGRDYGNRKLDINVQHDYKMSIEQPESHGVRLNSADLLNAEIGDQFKEYTREDNHDYGEDLLNEGGQVESNLVDGDRWDGKRHELSDGNNVEHEMEFDRYIGYDKKDDENNRIVYSSGSPAAQSPQKKIVRELISEGTLNHLNDSKAQQACYSCGALGHRKHNCPRENTSGRYFSRFDRRHHDEIGSSSRAKLQQDKDPLTTKRSKDRKESGSGKSGRLIENGSSLFAKETDRAWEKDYRGKKRSRRRGGTPKRHSEKKARPISSPLHPDYNAARSRSKSKSLEHVLRSVSQSRSRSVPSRACSSSTNLRSSPVSYYSRSRSSKSNLRSSCPTSLSLSVSLGRPSSSLLNKGQLNLKGTLDNSTTPESKEIMVFGEPGKGDVELENEKLENRVGPVNIENARLSAKVENEVEKDQGMQIGNSDDHMKPRSVPQVKIPSISISEKGALAAGILSSESLGEIKGSEDFDTLTTEDVMVPPKKTDSELTSSRSTSILPDELSMVMKHYGLEPPDENEMHLSAEAYFGCACLWPWEIVYYRRLKKGPISTENYARRVAQNKEFGIVDKYIRSSSGWGQQNHP >EOY08417 pep chromosome:Theobroma_cacao_20110822:5:7955759:7959646:-1 gene:TCM_022833 transcript:EOY08417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine/serine-rich zinc knuckle-containing protein 33, putative isoform 1 MSLYIGHLSSRTRRDELERVFRRFGRCNIRLKDGYGFIVYDYPPNAEKALRALQGRNICGEPLTLTWSNKQPRPLKKFARADQSYDQEPLRVRSSARGRDYGNRKLDINVQHDYKMSIEQPESHGVRLNSADLLNAEIGDQFKEYTREDNHDYGEDLLNEGGQVESNLVDGDRWDGKRHELSDGNNVEHEMEFDRYIGYDKKDDENNRIVYSSGSPAAQSPQKKIVRELISEGTLNHLNDSKAQQACYSCGALGHRKHNCPRENTSGRYFSRFDRRHHDEIGSSSRAKLQQDKDPLTTKRSKDRKESGSGKSGRLIENGSSLFAKETDRAWEKDYRGKKRSRRRGGTPKRHSEKKARPISSPLHPDYNAARSRSKSKSLEHVLRSVSQSRSRSVPSRACSSSTNLRSSPVSYYSRSRSSKSNLRSSCPTSLSLSVSLGRPSSSLLNKGQLNLKGTLDNSTTPESKEIMVFGEPGKGDVELENEKLENRVGPVNIENARLSAKVENEVEKDQGMQIGNSDDHMKPRSVPQVKIPSISISEKGALAAGILSSESLGEIKGSEDFDTLTTEDVMVPPKKTDSELTSSRSTSILPDELSMVMKHYGLEPPDENEMHLSAEAYFGCACLWPWEIVYYRRLKKGPISTENYARRVAQNKEFGIVDKYIRSSSGWGQQNHP >EOY10135 pep chromosome:Theobroma_cacao_20110822:5:33129208:33133209:1 gene:TCM_025511 transcript:EOY10135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein, putative MGQFTVLGLVLAVLCVITGEYICTGDSHLANCSKPDLEALFDFKSGLNDPENRLSSWQGSNCCQWNGIGCNNSTGAVIMIDLHNPYPINSESSSRYGFWNLSGDISPSLLKLKSLQYLDLSLNTFNDISIPEFLGSSKNLRYLNLSKAGFTGVIPASLGNLSSLQFLDVSSEFGSLSSDSLEWVAGLVSLKHLAMNNVNLSLVGSGLVGMLSRLSFLNELHLSECQIFGSISSLNPVNLTSLSVLDLSFNSFSSGFPDWVVNISSLTYVDLSYCGLAGRIPLGFGELPNLLYLNLAGNSNLSASCYQLLRRSWKKIEVLNLASNKIHGKLPASIGNMTSLTNFDLFDNNVEGGIPSSIGKLCSLKSFDLSGNNLTGSLPQFLEGVQNCVSNMPLPNLMYLRLSNNHLVGTLPEWIGQLQNLIELSLNYNLLEGSIPASLGQLSNLTDLGLGGNELNGTVPDSFGLLSGLSTFDVSSNHLTGFISEAHFSKLSKLKILHLSANSLVVNVSSDWISPFQVRNLDMGSCYLGPSFPTWLRSQKEVKFLDFSNASISGSFPNWFWDISGNLSLLNVSFNQLQGQLPNPLNVAPFADVDFSSNLFEGPIPVPTVEIELLDLSNNQLSGPIPQNMSESMPNLIFLSLSSNQLTGGIPNTIGEMLSLQVIDLSRNKLDGSIPPSIGNCSYLKVLDLRNNNLSGVIPDTLGQLLQLQSLHLNNNNLTGSIPPSFKSLSSLETLDLGNNSLFGSIPLWIGDGFPALRILSLRSNVFSGEIPSKISNLSSLQILDLAENNLTGTIPASLGDLKAIAKEQNIIQYLLYGKYRGLYYEESSIIVLKGQTLKFTKTLSLVTSIDLSGNKLNGDFPEALTKLSGLVVLNLSRNHITGDISGNISDLQQLSSLDLSSNNLSGAIPSGLSSLSFLAYLNLSNNYFSGAIPYVGHLTTFDASSFSGNQGLCGAPLTIKCENNGFDRGGTVEGGSGEEIIDQWFYLSVGLGFAAGILVPLLIISTRKPWVDSYFGLVEKIIDISVLSNIATERHKNGNVRRN >EOY11031 pep chromosome:Theobroma_cacao_20110822:5:37592536:37593716:-1 gene:TCM_026303 transcript:EOY11031 gene_biotype:protein_coding transcript_biotype:protein_coding description:NC domain-containing-related-like protein MPVRRDALRPGDHIYSDRCASIYFHHGIYVGKGTVTKSDDEKEEIDDAVIHFMGVGKSTNRNACKRCGHSSRRIGVVITCLDCFLEGHSLYVYEYDVPYLKLRFKRSGTCSVNPSRPADEVVNTAFDILQNQSFGKKYNFFFNNCEDFATRCKTGEAMSNQFAGLFFGFSLPGVVGYRAAKGIYEAVTED >EOY10388 pep chromosome:Theobroma_cacao_20110822:5:34436303:34442210:-1 gene:TCM_025755 transcript:EOY10388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein MSEGGEGASLEFTPTWVLAAVCTVIVAISLAMERLLHYLGNILKKKQQKPLFEALLKVKEELMLLGFISLLLTVFQSAISKICISREAVTSMLPCKIEGNKETAEHSNSTATTSHFQKYFAFTLSGGTRRLLAETSSASQMGYCGEKNKVPLLSVEALHHLHIFIFVLAIVHVTFCVLTVSFGGLRIRQWKHWEDSIAKENYDPEQMLKKKVTHVREHTFIKEHFLGIGKDSIVLGWLHSFFKQFYASVTKSDYVTLRLGFIMTHCRGNPKFNFHKYMIRALEDDFKQVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFIPFILLLAVGTKLEHVIIQLAHEVAEKHVAIEGELVVQPSDDHFWFNKPRIVLFLIHFILFQNAFEIAFFFWIWVQFGFDSCIMGQIGYIIPRLVIGVFIQVLCSYSTLPLYAIVTQMGSSFKKAIFDEHVQAGLVGWAQKAKKKKGLRAATEAAAQEERPSVAIQMGRVLRNAAAPEEITPSHGSDHGSR >EOY11431 pep chromosome:Theobroma_cacao_20110822:5:39262754:39264175:-1 gene:TCM_026608 transcript:EOY11431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPHWALPSSGQFTVASAYEYLRKQETLHLNSISGTWQWAWRWNGPERIRMFLLQCLHNRLLANQERLRRHLSNDLICRQCNLEEESMLHALRDCRMVSTIDNGGSVCIKNGSFQQNCLTEVLKWWAPPTTDWIVLSTDGPYQKSTKQAAAGGVLRDSLGQWRGGYTMRLRRCTAYWAELWGECRGLKHAWDLGFRRIVLQVDSKIVVQARLN >EOY07801 pep chromosome:Theobroma_cacao_20110822:5:2764114:2768572:1 gene:TCM_022128 transcript:EOY07801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mamallian P58IPK isoform 2 MLNTLSLSWALNSMAWRGLVYTVLILNFALVCQFLLLQPLVSAFDGKPGNAAELFERVSQSIKVKHYSEALNDLNAAIEADPAFSEAHLRRASVLRQLCRYEESEKSYKRFLELKPGNSVAEKELSQLHQAQNALETASSLFDSGDSTKALEYLDKVVLVFSPACSKAKLLKVKLLLAAKDYSIAISETGYILKEDENNLEALLLRGRAYYYLADHDVAQRHYQKGLRLDPEHSELKKAYFGLKNLLKKTKSAEDNVNKGKLRLAAEDYKGALALDPNHLAYNVHLHLGLCKVLVKLGRGKDALNSCSEALNIDGELLEALVQQRGEAKLLTEDWEGAVEDLKSAAQRSPQDMNIREALMRAEKALKMSKRKDWYKILGVSKTASVAEIKRAYKKLALQWHPDKNVDNREEAEEQFREIAAAYEVLGDEEKRSRYDRGEDIEDMGTGGGGFNPFGGGGGQHFTFTFDGGFGGFPGGGGFGFDF >EOY07800 pep chromosome:Theobroma_cacao_20110822:5:2763977:2768689:1 gene:TCM_022128 transcript:EOY07800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mamallian P58IPK isoform 2 MLNTLSLSWALNSMAWRGLVYTVLILNFALVCQFLLLQPLVSAFDGKPGNAAELFERVSQSIKVKHYSEALNDLNAAIEADPAFSEAHLRRASVLRQLCRYEESEKSYKRFLELKPGNSVAEKELSQLHQAQNALETASSLFDSGDSTKALEYLDKVVLVFSPACSKAKLLKVKLLLAAKDYSIAISETGYILKEDENNLEALLLRGRAYYYLADHDVAQRHYQKGLRLDPEHSELKKAYFGLKNLLKKTKSAEDNVNKGKLRLAAEDYKGALALDPNHLAYNVHLHLGLCKVLVKLGRGKDALNSCSEALNIDGELLEALVQRGEAKLLTEDWEGAVEDLKSAAQRSPQDMNIREALMRAEKALKMSKRKDWYKILGVSKTASVAEIKRAYKKLALQWHPDKNVDNREEAEEQFREIAAAYEVLGDEEKRSRYDRGEDIEDMGTGGGGFNPFGGGGGQHFTFTFDGGFGGFPGGGGFGFDF >EOY10005 pep chromosome:Theobroma_cacao_20110822:5:32184681:32190136:-1 gene:TCM_025375 transcript:EOY10005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein, putative isoform 2 MEGVSGRSCGYAGGTVHGGRERYWGPTSCRRLILRLRGPGLRSNFLAERMPACSDWYNLEKSKRVKAFRCGEFDDCIERAESSQGMPPKKREKYARREDAILHALELEKELLRKQGKLDRPSDARSKSSGSAKKDSGGSDISNGKPGNSKSNQSRSQDTSIKGEIVSSPRHLKKDQVGNPIWENDHSENIPRMRGLQDLGLKTACAKQKPTSFGALDVDCTLSPSSRVSSMGRTTHINGGEQMGGASRAKRSRCMYLPAESFDALDYKEVPANQIEMSPSRFVECDSYPFPSHLIEDNTREFLEDIESGSSESASSESESESDSSETEPDMDEDITSLSGATVRMDTRLGAFQRPDTLGSGSVGLEESDESSLSGEMPHFYSHDHLSVHEAVSKWQLKGKRNIRNLAKRSMDAAEIRGYDGPAHGIYHEERGTFRQRLLGQSSRRNHDFDDDVDVAGLSAKDFGTQMVGFDGRGYSRTARDSSRSRNSFNRNVIDWEGMPWENHAAVKREWEDKVWHFDPVFAGHRNFGGRKRSMLIDVDLKVQAGYQKEPVPIVSLMSKLDGKAIIGHPIQIEALQDSSTETLLPTNGYFSNGIINHDGNTSLPPAWRTAKRTNFRVPRPHPPFALGSYEAAEYHSLDHEGKPSFKKYNVGSSEYKAGLVKKSISHVPRSPTDRKFQRKFPKKVSLSSSQKTRTLSSIGIEQNLSSKTTHDSGNCQMDGLIKPESSGPTTVACIPVKLVFSRLLEKINRPPSKAASKAILSTSDVVRDP >EOY10004 pep chromosome:Theobroma_cacao_20110822:5:32184304:32190592:-1 gene:TCM_025375 transcript:EOY10004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein, putative isoform 2 MESGAGSDGGGVGSIVWVRRRNGSWWPGKILGPDELPASHLTSPRTGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDDCIERAESSQGMPPKKREKYARREDAILHALELEKELLRKQGKLDRPSDARSKSSGSAKKDSGGSDISNGKPGNSKSNQSRSQDTSIKGEIVSSPRHLKKDQVGNPIWENDHSENIPRMRGLQDLGLKTACAKQKPTSFGALDVDCTLSPSSRVSSMGRTTHINGGEQMGGASRAKRSRCMYLPAESFDALDYKEVPANQIEMSPSRFVECDSYPFPSHLIEDNTREFLEDIESGSSESASSESESESDSSETEPDMDEDITSLSGATVRMDTRLGAFQRPDTLGSGSVGLEESDESSLSGEMPHFYSHDHLSVHEAVSKWQLKGKRNIRNLAKRSMDAAEIRGYDGPAHGIYHEERGTFRQRLLGQSSRRNHDFDDDVDVAGLSAKDFGTQMVGFDGRGYSRTARDSSRSRNSFNRNVIDWEGMPWENHAAVKREWEDKVWHFDPVFAGHRNFGGRKRSMLIDVDLKVQAGYQKEPVPIVSLMSKLDGKAIIGHPIQIEALQDSSTETLLPTNGYFSNGIINHDGNTSLPPAWRTAKRTNFRVPRPHPPFALGSYEAAEYHSLDHEGKPSFKKYNVGSSEYKAGLVKKSISHVPRSPTDRKFQRKFPKKVSLSSSQKTRTLSSIGIEQNLSSKTTHDSGNCQMDGLIKPESSGPTTVACIPVKLVFSRLLEKINRPPSKAASKAILSTSDVVRDPS >EOY09231 pep chromosome:Theobroma_cacao_20110822:5:27351106:27354578:1 gene:TCM_024634 transcript:EOY09231 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal glutamine amidohydrolase isoform 1 MTTLELQQLNSLDASDFNHTPCYCEENVYFLCKKLCSNGIADAQGSDLFVVFISNDNKQIPLWHQKASNRADGVILWDYHAICIQRKRDGDTHLVWDLDSSLPFPCPLATYVSETVRPSFQLFSEFQRFFRVVHAPIFLCHFASDRRHMKDSEGNWTAQPPTYETIVAEDVGKNLPADLTSAVYSQKHGVVIGEAQLEEFFSQIS >EOY09230 pep chromosome:Theobroma_cacao_20110822:5:27351106:27354575:1 gene:TCM_024634 transcript:EOY09230 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal glutamine amidohydrolase isoform 1 MTTLELQQLNSLDASDFNHTPCYCEENVYFLCKKLCSNGIADAQGSDLFVVFISNDNKQIPLWHQKASNRADGVILWDYHAICIQRKRDGDTHLVWDLDSSLPFPCPLATYVSETVRPSFQLFSEFQRFFRVVHAPIFLCHFASDRRHMKDSEGNWTAQPPTYETIVAEDGSVHNLNEYIEIHAADVGKNLPADLTSAVYSQKHGVVIGEAQLEEFFSQIS >EOY07571 pep chromosome:Theobroma_cacao_20110822:5:2009334:2010006:1 gene:TCM_021971 transcript:EOY07571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >EOY09090 pep chromosome:Theobroma_cacao_20110822:5:25941897:25946039:-1 gene:TCM_024472 transcript:EOY09090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILSTNATNKELWKNVWAGLAPLKVEVFTWRVIRGRVPIKEELVKRNLLERDMALWPLCNKDIESVNHLFFTCPETWRSWSVWMAIWNVQGYMPNNATTFFMAWNYTYVEPSKKKIGLRGVLRDDAGVVKMTFSKLAGCGGANSTEILAINEAMISKPSEAPWRFWHIVSQIKSMSSKLLGWDIKHIPRSGNDMANSLAKDGVGR >EOY10924 pep chromosome:Theobroma_cacao_20110822:5:37030416:37036067:-1 gene:TCM_026193 transcript:EOY10924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine aldolase 1 isoform 5 MVTRMVDLRSDTVTKPTEAMRAAMVTAEVDDDVLGADPTAFQLESEVAKIMGKEAGLFVPSGTMGNLISVLVHCDIRGSEVILGDNSHIHIYENGGISTIGGVHPRPVKNNEDGTMDINLIEAAIRDPRGELVYPTTRLICLENSHANSGGRCLSVAYTDRVGELATKHGLKLHIDGARIFNASVALGVPVHRLVQAADSISVCLSKGLGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGFICAAAFVALQENVGKLEGDHKKAKVLAEGLNQIKGLRVDVAAVQTNIIYFDIVEGSKLTAEKLYKNLEEHGVLVMPEGPARMRIVLHHQISSSDVQYTLSCFQQALTGVQEE >EOY10925 pep chromosome:Theobroma_cacao_20110822:5:37031574:37034659:-1 gene:TCM_026193 transcript:EOY10925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine aldolase 1 isoform 5 MVTRMVDLRSDTVTKPTEAMRAAMVTAEVDDDVLGADPTAFQLESEVAKIMGKEAGLFVPSGTMGNLISVLVHCDIRGSEVILGDNSHIHIYENGGISTIGGVHPRPVKNNEDGTMDINLIEAAIRDPRGELVYPTTRLICLENSHANSGGRCLSVAYTDRVGELATKHGLKLHIDGARIFNASVALGVPVHRLVQAADSISVCLSKGLGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGFICAAAFVALQENVGKLEGDHKKAKVLAEGLNQIKGLRVDVAAVQTNIIYFDIVEGSKLTAEKLYKNLEEHGVLVMPEGPARSVCSLKDLPGFWKTYLYMFLLLLIPEILIKLGCIT >EOY10927 pep chromosome:Theobroma_cacao_20110822:5:37031071:37036080:-1 gene:TCM_026193 transcript:EOY10927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine aldolase 1 isoform 5 MVTRMVDLRSDTVTKPTEAMRAAMVTAEVDDDVLGADPTAFQLESEVAKIMGKEAGLFVPSGTMGNLISVLVHCDIRGSEVILGDNSHIHIYENGGISTIGGVHPRPVKNNEDGTMDINLIEAAIRDPRGELVYPTTRLICLENSHANSGGRCLSVAYTDRVGELATKHGLKLHIDGARIFNASVALGVPVHRLVQAADSISVCLSKGLGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGFICAAAFVALQENVGKLEGDHKKAKVLAEGLNQIKGLRVDVAAVQTNIVSMLVTKLTWMTIYSFAMHSGLEGLWLEL >EOY10923 pep chromosome:Theobroma_cacao_20110822:5:37030404:37036076:-1 gene:TCM_026193 transcript:EOY10923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine aldolase 1 isoform 5 MVTRMVDLRSDTVTKPTEAMRAAMVTAEVDDDVLGADPTAFQLESEVAKIMGKEAGLFVPSGTMGNLISVLVHCDIRGSEVILGDNSHIHIYENGGISTIGGVHPRPVKNNEDGTMDINLIEAAIRDPRGELVYPTTRLICLENSHANSGGRCLSVAYTDRVGELATKHGLKLHIDGARIFNASVALGVPVHRLVQAADSISVCLSKGLGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGFICAAAFVALQENVGKLEGDHKKAKVLAEGLNQIKGLRVDVAAVQTNIIYFDIVEGSKLTAEKLYKNLEEHGVLVMPEGPARMRIVLHHQISSSDVQYTLSCFQQALTGVQEENGN >EOY10926 pep chromosome:Theobroma_cacao_20110822:5:37031013:37036080:-1 gene:TCM_026193 transcript:EOY10926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine aldolase 1 isoform 5 MVTRMVDLRSDTVTKPTEAMRAAMVTAEVDDDVLGADPTAFQLESEVAKIMGKEAGLFVPSGTMGNLISVLVHCDIRGSEVILGDNSHIHIYENGGISTIGGVHPRPVKNNEDGTMDINLIEAAIRDPRGELVYPTTRLICLENSHANSGGRCLSVAYTDRVGELATKHGLKLHIDGARIFNASVALGVPVHRLVQAADSISVCLSKGLGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGFICAAAFVALQENVGKLEGDHKKAKVLAEGLNQIKGLRVDVAAVQTNIIYFDIVEGSKLTAEKLYKNLEEHGVLVMPEGPARMRIVLHHQISSSDVQYTLSCFQVLKHHSRLLPH >EOY10922 pep chromosome:Theobroma_cacao_20110822:5:37030414:37037245:-1 gene:TCM_026193 transcript:EOY10922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine aldolase 1 isoform 5 MVTRMVDLRSDTVTKPTEAMRAAMVTAEVDDDVLGADPTAFQLESEVAKIMGKEAGLFVPSGTMGNLISVLVHCDIRGSEVILGDNSHIHIYENGGISTIGGVHPRPVKNNEDGTMDINLIEAAIRDPRGELVYPTTRLICLENSHANSGGRCLSVAYTDRVGELATKHGLKLHIDGARIFNASVALGVPVHRLVQAADSISVCLSKGLGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGFICAAAFVALQENVGKLEGDHKKAKVLAEGLNQIKGLRVDVAAVQTNIIYFDIVEGSKLTAEKLYKNLEEHGVLVMPEGPARMRIVLHHQISSSDVQYTLSCFQQALTGVQEENGN >EOY10928 pep chromosome:Theobroma_cacao_20110822:5:37031187:37035496:-1 gene:TCM_026193 transcript:EOY10928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine aldolase 1 isoform 5 MVTRMVDLRSDTVTKPTEAMRAAMVTAEVDDDVLGADPTAFQLESEVAKIMGKEAGLFVPSGTMGNLISVLVHCDIRGSEVILGDNSHIHIYENGGISTIGGVHPRPVKNNEDGTMDINLIEAAIRDPRGELVYPTTRLICLENSHANSGGRCLSVAYTDRVGELATKHGLKLHIDGARIFNASVALGVPVHRLVQAADSISVCLSKGLGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGFICAAAFVALQENVGKLEGDHKKAKVLAEGLNQIKGLRVDVAAVQTNIIYFDIVEGSKLTAEKLYKNLEEHGVLVMPEGPARSVCSLKDLPGFWKTYLYMFLLLLIPEILIKLGCIT >EOY10831 pep chromosome:Theobroma_cacao_20110822:5:36718411:36727462:-1 gene:TCM_026133 transcript:EOY10831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNNLMVEFKENMMREFEMTNLELMTYFLGLKFIQTNDYIMLHQRKLILELLRRFKMENCKFVNNPIAANLKLSSSANEDPADPSFFRSIIGRYYYMPWNSHSPTKLP >EOY10282 pep chromosome:Theobroma_cacao_20110822:5:33916285:33942538:1 gene:TCM_025652 transcript:EOY10282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Benzoyl coenzyme A: Benzyl alcohol benzoyl transferase MNYEEQVSIKSSHLAFSSFFAMALLPPTSLVFTVRRHEPELVVPAKPTPRECKLLSDIDDQDGHRFQIRGLHFYRFKPSMQGKDPACIIKEALTKALVFYYPYAGRLREGPNRKLVVDCTGEGVLFIQADADVTLDQFGDSLHPPFPCYKELLYEVPGSNEILNCPLLQIQDIFLQNMKTRIVRSKFNHTMSKAVGQIQFMCAMGEMARGALAPSTPPVRERHLLNARHSPLITCVHDEYDNATLTNGIEMPDNLLHRSFFFGPTQISALYRFVPHNFRCSTFDIVAACLWRCRTKALKLGPNDDVRFICIVNGRSKFNHPLPSGFYGNSLGYPVALTTAGQLCQNPMEYAIELVRQAKAKITEEYMKSTADLMVIRGRPNVNTVRCFMVSDLTRAKFREADFGWGEAEFGEPAYGGDRISFYIPSKNKEGEGGIVVPVCLPAPVMECFVKELDGILSNNEAAVGAENEILRCKI >EOY10993 pep chromosome:Theobroma_cacao_20110822:5:37360066:37364912:-1 gene:TCM_026257 transcript:EOY10993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C13 family MATFINSKTIFRLLVLPCLLLYSSIAHNSSSVEATMHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNTRNKYPAQVFNNENHRLNLYGDNVEVDYRGYEVTVENFLRVLTGRHETSVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKLRFKELLIMVDTCQAATLFNQLQSPGVLAIGSSMRGENSYSHHLDSDVGVSVVDRFTYYTLAFFERLNIYDNASLSSLFSSYNPSMLMSTAYCRRDLYQRRLEEVPVTNFFGSVMETIHTDAAYKALSRKDSGRFKAQMSLDQSVHHHERRTLINVNDQDEILNTKAEDQQGASTCPFTSFYEKLRKGENVDSLVNYGLVAMLPLLGVSSWLFQ >EOY09788 pep chromosome:Theobroma_cacao_20110822:5:31065337:31069211:-1 gene:TCM_025169 transcript:EOY09788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MCTSCQWKEVTRLLNEMVANNCKPNVITYNILVDALCKEGRAFEAQDIVEGMIQKGVEPDIVTYNSLMDGYCLQGEMDEARKLLNFLVSSGCAPNAVTYNIVINGYCKARRINGAVEVFREMTQNGSILDSVTYKTLISGMSLIGTLLAAQVLFKESAHGVVPNMLTYSALLDGLCKHVGQLKVARKLFCALTVKGLHPNVYTYNIMIKWLCKEGLPNEAHELLRKMEVDGCMPNSCSYNTMIKGFLENNDVSRAAEILHEMVHKGFSADVSTAAMVVDLLISDSTGESFCALVQKS >EOY08561 pep chromosome:Theobroma_cacao_20110822:5:10794377:10802687:-1 gene:TCM_023137 transcript:EOY08561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-6F-phosphate phosphohydrolase family protein isoform 3 MNRIAMGARLMIVSDLDHTMVDHHDAENMSLLRFNALWESNYRHDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGNSMVPDDGWVEVLNQKWDRNIVTDETSKFSELTLQAETEQRPHKVSFYVDRTKAQTVMKELSECLEKRGLDIKIIYSGGMDLDILPQGAGKGQALAYLLKKFKAEGKPPSNTLVCGDSGNDAELFSIPEVYGVMVSNAQEELLQWHAENAKGNPNIIHAKERCAAGIVEAIGHFNLGPNASPRDVADFIECKLENVNPGHEVVRFYLFYERWRRGEIDNCEAYIASLKASCDPTAVFVFPSGVERTLHECIHRLRGCHGDQKGKQFRVWVDSVLSTPTGTSTWQVKFDKWELSGDERYSCVTTIILNAKGSSASDGYTWVNVQQKWLEGFEKKDDSTWFF >EOY08562 pep chromosome:Theobroma_cacao_20110822:5:10799504:10802664:-1 gene:TCM_023137 transcript:EOY08562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-6F-phosphate phosphohydrolase family protein isoform 3 MNRIAMGARLMIVSDLDHTMVDHHDAENMSLLRFNALWESNYRHDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGNSMVPDDGWVEVLNQKWDRNIVTDETSKFSELTLQAETEQRPHKVSFYVDRTKAQTVMKELSECLEKRGLDIKIIYSGGMDLDILPQGAGKGQALAYLLKKFKAEGKPPSNTLVCGDSGNDAELFSIPEVYGVMVSNAQEELLQWHAENAKGNPNIIHAKERCAAGIVEAIGHFNLGPNASPRDVADFIECKLENVNPGHEVVRFYLFYERWRRGEIDNCEAYIASLKASCVCLPAFLYF >EOY08560 pep chromosome:Theobroma_cacao_20110822:5:10798076:10801957:-1 gene:TCM_023137 transcript:EOY08560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-6F-phosphate phosphohydrolase family protein isoform 3 MNRIAMGARLMIVSDLDHTMVDHHDAENMSLLRFNALWESNYRHDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGNSMVPDDGWVEVLNQKWDRNIVTDETSKFSELTLQAETEQRPHKVSFYVDRTKAQTVMKELSECLEKRGLDIKIIYSGGMDLDILPQGAGKGQALAYLLKKFKAEGKPPSNTLVCGDSGNDAELFSIPEVYGVMVSNAQEELLQWHAENAKGNPNIIHAKERCAAGIVEAIGHFNLGPNASPRDVADFIECKLENVNPGHEVVRFYLFYERWRRGEIDNCEAYIASLKASCDPTAVFVFPSGVERTLHECIHRLRGCHGDQKGKQFRVWVDSVLSTPTGTSTWQVKFDKWELSGDERYSCVTTIILNAKGSSASDGYTWVNVQQKWLEGFEKKDDSTWFF >EOY08563 pep chromosome:Theobroma_cacao_20110822:5:10799887:10802664:-1 gene:TCM_023137 transcript:EOY08563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-6F-phosphate phosphohydrolase family protein isoform 3 MNRIAMGARLMIVSDLDHTMVDHHDAENMSLLRFNALWESNYRHDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGNSMVPDDGWVEVLNQKWDRNIVTDETSKFSELTLQAETEQRPHKVSFYVDRTKAQTVMKELSECLEKRGLDIKIIYSGGMDLDILPQGAGKGQALAYLLKKFKAEGKPPSNTLVCGDSGNDAELFSIPEVYGVMVSNAQEELLQWHAENAKGNPNIIHAKERCAAGIVEAIGHFNLGPNASPRDVADFIECKLENVNPGHEVVRFYLFYERWRRGEIDNCEAYIASLKASCVCLPAFLYF >EOY10601 pep chromosome:Theobroma_cacao_20110822:5:35546581:35555236:-1 gene:TCM_025928 transcript:EOY10601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phospholipid-binding Copine family protein MSRYESIDKILKVSIKFYLTQLVDISLNQRARGEKEMGNCISGDVGGGKQAIGGVQGRPTANNNAGHNDAVDHFFRARGQNPLFTQIELSISASKLRDRDITSKSDPMTILYVKKRDGTLEELGRTEVILNSLNPAWIEKINVAYQFEIVQHLVFRIYDVDTKFQGIPVKALKLNEQEFLGEATCVLSEIVTKRNRSLTLNLHSRNGPGGSRNLGTLTVHAEENFSSRMAVEMKLRCSQLDNKDMFSKSDPFLRISRLLESGNSVPICKTEVINNNLYPVWRPLYLSMQQFGSKDNPLLIECFDFNSNGDHALIGKLQKSVSELEELYKDRSGANLVFPSSRGQEKVLKGQLFVDEFIEKVQFSFLDYISSGFELNFMVAIDFTASNGNPRNPDSLHYIDPSRRLNSYQQAIMEVGEVIQFYDSDRRFPAWGFGGRAYDGTVSHCFNLNGMNAHEVEGVEGIMAAYANALHNVTLAGPTLFGHVINTAAQIAGQTISNDITKYFVLLIITDGVLTDMQETMEALVRASDLPLSILIVGVGDADFKQMEILDADNGHRLESSTGRLATRDIVQFVPMREVYSCRISVVQALLEELPGQFLSYMRSRDIKPVNA >EOY08818 pep chromosome:Theobroma_cacao_20110822:5:21737948:21745008:1 gene:TCM_024052 transcript:EOY08818 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDPK-related kinase 1 MGLCHGKPTEHQQNQSRDISIPGENDPPANAHSTKSSNFPFYSPSPLPSLFKTSPAISSVNSTPLRIFKRPFPPPSPAKHIRALLARRHGSIKPNEASIPEGNECDIGLDKNFGFSKHFMSHYELGEEVGRGHFGYTCTAKGKKGSLKGHDVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHKNLVQFYDAYEDDDNVYIVMELCRGGELLDRILSRGGKYSEEDAKTVVVQILSVVAFCHLQGVVHRDLKPENFLFTSKQENSPLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPSLSPEAIDFVKRLLNKDYRKRLTAAQALSHPWLANYHEIKIPSDMIICRLVKAYIGSSALRKTALGALAKTLTVPQLAYLREQFTLLGPNKNGFISMQNYKTALMKNSTDAMKDSRVLDYVNMISTLQYRKLDFEEFCAASISVHQLEGMETWEQHARRAYDLFEKDGNRPIMIEELASELGLSPSVPVHVVLQDWIRHSDGKLSFLGFVRLLHGISSRTFQKS >EOY09287 pep chromosome:Theobroma_cacao_20110822:5:28026251:28026820:1 gene:TCM_024712 transcript:EOY09287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEARLQDQFVPAVQAPPTVREPSRYSHRSIETLIVVLAVITIIGVIAGIIARLCGGRHFGGNGENDIEGWIERKCRSCIDGGVTTAAPSPAEGSKPAAEEAKK >EOY07025 pep chromosome:Theobroma_cacao_20110822:5:216750:221272:-1 gene:TCM_021561 transcript:EOY07025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol transporter 1 isoform 1 MTLKWSITVVSYRETIVSMALVGAMIGAASGGWINDAYGRKKATLLADVVFTAGAIVMAVAPDPYILIMGRFLVGLGVGTASVTAPVYIAEASPSEIRGELVSTNVLMITGGQFLSYLVNLAFTQVQGTWRWMLGVSAVPAVIQFALMLCLPESPRWLFMKNDEAQAITVLSKIYDLARLEDEIDHLSASLEEERQKKNTVKYMDVFKSKEMRLAFLAGAGLQALQQFTGINTVMYYSPTIVQMAGFTPNQLALLLSLIVAAMNAAGTVLGIYLIDHVGRKKLALSSLSGVIISLLILSGAFFAQSSGSSSGLFGWAAVLGLALYIAFFSPGMGPVPWTVNSEIYPEQYRGICGGMSATVNWVSNLIVAQTFLSVAEAAGTASTFLILAGIAVLAVVFVIMFVPETQGLTFVEVEQIWKERAWGSSYNIESLLEQENQS >EOY07024 pep chromosome:Theobroma_cacao_20110822:5:216553:221119:-1 gene:TCM_021561 transcript:EOY07024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol transporter 1 isoform 1 MTLESLPGSSGYLDLHPERRMSYFGNPYILGLTVVAGIGGLLFGYDTGVISGALLYIKDDFEVVNHSSFLQETIVSMALVGAMIGAASGGWINDAYGRKKATLLADVVFTAGAIVMAVAPDPYILIMGRFLVGLGVGTASVTAPVYIAEASPSEIRGELVSTNVLMITGGQFLSYLVNLAFTQVQGTWRWMLGVSAVPAVIQFALMLCLPESPRWLFMKNDEAQAITVLSKIYDLARLEDEIDHLSASLEEERQKKNTVKYMDVFKSKEMRLAFLAGAGLQALQQFTGINTVMYYSPTIVQMAGFTPNQLALLLSLIVAAMNAAGTVLGIYLIDHVGRKKLALSSLSGVIISLLILSGAFFAQSSGSSSGLFGWAAVLGLALYIAFFSPGMGPVPWTVNSEIYPEQYRGICGGMSATVNWVSNLIVAQTFLSVAEAAGTASTFLILAGIAVLAVVFVIMFVPETQGLTFVEVEQIWKERAWGSSYNIESLLEQENQS >EOY11679 pep chromosome:Theobroma_cacao_20110822:5:40032835:40033716:1 gene:TCM_026779 transcript:EOY11679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MASLIPNLEHSPDMSPEFKRKKRRKTDETPGPFDRNQGSQRIKRWRTEREQQIYSSKLIEALRRSRRTSSSTPAKEVRETADRVLAVSAKGTMRWSRAILASRLGVGAKTMRKHKKAKVTANRSLRKPEIKGEKRKLPAVERKLKVLGRLVPGGRKLSFSNLLEETSDYIAALEMQVRAMTAITELLAGAGTQPPTDRLGSRINS >EOY09906 pep chromosome:Theobroma_cacao_20110822:5:31625697:31627466:-1 gene:TCM_025274 transcript:EOY09906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthocyanin 3'-O-beta-glucosyltransferase, putative MASNSRQLHFIFFPQLAHGHLIPTVDMARLFAMHGVKVTIVTTPLNALLFASKIQREKQLGFDISTLVIKFPASEVGLPEGCENVSSITSQEMIPKFLKAINLFQQPLERILEELRPDCLVADWMFPWATDIAGKFGIPRLVFHGTSCFALCVVDTLIRHEPFKKISSESEPFDVPGLPDQIKMTRLQLPDYIKDTAETERQKLIDEAIKSELTSYGVIVNSFHELEPAYTQHYSKVMRRKAWQVGPVSLCNMNNEDKAERGNAASIDRHECLRWLDSKKPNSVLYICFGSIFRTSAAQLNEIAKGLEASGQDFIWVVRKVNDEDKEEWLPEGFEERMEGKGLIIRGWAAQVLILDHEAVGGFMTHCGWNSTIESITAGVPMVTWPLCAEQFCNEKLVTEVLKIGVDVGAKEWCRWGDDPSTKFKVMKEDIERAVSRVMVGEEAEEMRSRAKELKNMARKAMEEGGSSYSDLNALLDELRLSCP >EOY10296 pep chromosome:Theobroma_cacao_20110822:5:34023389:34030579:-1 gene:TCM_025668 transcript:EOY10296 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MEKASCLFCGSIPSTTTRTLALSVQRSSFSSSLPLSFSSSSSPVKSICLFVGHKYNYPSTKFQSKQLVCNGSSSSSRSSRKFTTATKKKPRSKSNVASKPKISENDNDGISSKSTSKPSSSCSSTKIIVEELGLLKNQKQEKVKKTKAVNVRTLYQNGDPLGRRDLGKRVIRWISEGMKAMASDFVTAELQGEFLELRQRMGPGLTFVIQAQPYLNAIPIPLGLEAICLKACTHYPTLFDHFQRELRNILQELQQNSVVEDWRETESWKLLKELANSAQHRAIARKITQPKPVQGVLGMDLEKAKAMQGRIDEFTKQMSELLRIERDAELEFTQEELNAVPTPDEGSDSSKPIEFLVSHGQAQQELCDTICNLNAVSTSTGLGGMHLVLFRVEGNHRLPPTTLSPGDMVCVRICDSRGAGATSCMQGFVDNLGEDGCSISVALESRHGDPTFSKFFGKNVRIDRIQGLADALTYERNCEALMLLQKNGLQKKNPSIAVVATLFGDKEDVTWLEKNSYADWNEAKLDGLLQNGTFDDSQQRAIALGLNKKRPILVVQGPPGTGKTGLLKEVIALAVQQGERVLVAAPTNAAVDNMVEKLSNIGLNIVRVGNPARISSAVASKSLAEIVNSKLADYLAEFERKKSDLRKDLRHCLKDDSLAAGIRQLLKQLGKALKKKEKETVREVLSSAQVVLSTNTGAADPLIRRMDTFDLVVIDEAGQAIEPSCWIPILQGKRCILAGDQCQLAPVILSRKALEGGLGVSLLERAATMHEGVLATMLTTQYRMNDAIAGWASKEMYDGELKSSPSVGSHLLVDSPFVKPTWITQCPLLLLDTRMPYGSLSVGCEEHLDPAGTGSFYNEGEADIVVQHVFYLIYAGVSPTAIAVQSPYVAQVQLLRDRLDEFPEAAGVEVATIDSFQGREADAVIISMGVKHAEPGTSGGSGLGMDPMLPSIS >EOY10297 pep chromosome:Theobroma_cacao_20110822:5:34022629:34030819:-1 gene:TCM_025668 transcript:EOY10297 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MEKASCLFCGSIPSTTTRTLALSVQRSSFSSSLPLSFSSSSSPVKSICLFVGHKYNYPSTKFQSKQLVCNGSSSSSRSSRKFTTATKKKPRSKSNVASKPKISENDNDGISSKSTSKPSSSCSSTKIIVEELGLLKNQKQEKVKKTKAVNVRTLYQNGDPLGRRDLGKRVIRWISEGMKAMASDFVTAELQGEFLELRQRMGPGLTFVIQAQPYLNAIPIPLGLEAICLKACTHYPTLFDHFQRELRNILQELQQNSVVEDWRETESWKLLKELANSAQHRAIARKITQPKPVQGVLGMDLEKAKAMQGRIDEFTKQMSELLRIERDAELEFTQEELNAVPTPDEGSDSSKPIEFLVSHGQAQQELCDTICNLNAVSTSTGGMHLVLFRVEGNHRLPPTTLSPGDMVCVRICDSRGAGATSCMQGFVDNLGEDGCSISVALESRHGDPTFSKFFGKNVRIDRIQGLADALTYERNCEALMLLQKNGLQKKNPSIAVVATLFGDKEDVTWLEKNSYADWNEAKLDGLLQNGTFDDSQQRAIALGLNKKRPILVVQGPPGTGKTGLLKEVIALAVQQGERVLVAAPTNAAVDNMVEKLSNIGLNIVRVGNPARISSAVASKSLAEIVNSKLADYLAEFERKKSDLRKDLRHCLKDDSLAAGIRQLLKQLGKALKKKEKETVREVLSSAQVVLSTNTGAADPLIRRMDTFDLVVIDEAGQAIEPSCWIPILQGKRCILAGDQCQLAPVILSRKALEGGLGVSLLERAATMHEGVLATMLTTQYRMNDAIAGWASKEMYDGELKSSPSVGSHLLVDSPFVKPTWITQCPLLLLDTRMPYGSLSVGCEEHLDPAGTGSFYNEGEADIVVQHVFYLIYAGVSPTAIAVQSPYVAQVQLLRDRLDEFPEAAGVEVATIDSFQGREADAVIISMGVKHAEPGTSGGSGLGMDPMLPSIS >EOY10295 pep chromosome:Theobroma_cacao_20110822:5:34022937:34030970:-1 gene:TCM_025668 transcript:EOY10295 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MEKASCLFCGSIPSTTTRTLALSVQRSSFSSSLPLSFSSSSSPVKSICLFVGHKYNYPSTKFQSKQLVCNGSSSSSRSSRKFTTATKKKPRSKSNVASKPKISENDNDGISSKSTSKPSSSCSSTKIIVEELGLLKNQKQEKVKKTKAVNVRTLYQNGDPLGRRDLGKRVIRWISEGMKAMASDFVTAELQGEFLELRQRMGPGLTFVIQAQPYLNAIPIPLGLEAICLKACTHYPTLFDHFQRELRNILQELQQNSVVEDWRETESWKLLKELANSAQHRAIARKITQPKPVQGVLGMDLEKAKAMQGRIDEFTKQMSELLRIERDAELEFTQEELNAVPTPDEGSDSSKPIEFLVSHGQAQQELCDTICNLNAVSTSTGLGGMHLVLFRVEGNHRLPPTTLSPGDMVCVRICDSRGAGATSCMQGFVDNLGEDGCSISVALESRHGDPTFSKFFGKNVRIDRIQGLADALTYERNCEALMLLQKNGLQKKNPSIAVVATLFGDKEDVTWLEKNSYADWNEAKLDGLLQNGTFDDSQQRAIALGLNKKRPILVVQGPPGTGKTGLLKEVIALAVQQGERVLVAAPTNAAVDNMVEKLSNIGLNIVRVGNPARISSAVASKSLAEIVNSKLADYLAEFERKKSDLRKDLRHCLKDDSLAAGIRQLLKQLGKALKKKEKETVREVLSSAQVVLSTNTGAADPLIRRMDTFDLVVIDEAGQAIEPSCWIPILQGKRCILAGDQCQLAPVILSRKALEGGLGVSLLERAATMHEGVLATMLTTQYRMNDAIAGWASKEMYDGELKSSPSVGSHLLVDSPFVKPTWITQCPLLLLDTRMPYGSLSVGCEEHLDPAGTGSFYNEGEADIVVQHVFYLIYAGVSPTAIAVQSPYVAQVQLLRDRLDEFPEAAGVEVATIDSFQGREADAVIISMVRSNTLGAVGFLGDSRRMNVAVTRARKHVAVVCDSSTICHNTFLARLLRHIRYFGRVKHAEPGTSGGSGLGMDPMLPSIS >EOY11098 pep chromosome:Theobroma_cacao_20110822:5:37942008:37944410:1 gene:TCM_046810 transcript:EOY11098 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein MAEEQQRIQIPGVKLGNQGLEVSKLGFGCAGLSGAYSDPLPDDVGITIIKHAFDRGITFFDTSDFYGPKTNEILVGKALKQLPREKVQLATKFGIAKIDATGVIVNGTPEYVRASVEASLKRLDVEYIDLYYQHRVDTSTPIEDTMGELKKLVEEGKIKYIGLSEASPETIKRAHAVHPITAVQMEWSLWTRDIEEGIVPLCRQLGIGIVPYSPLGHGFFGGKAVDESVPADSFMRLLPRFQGENFDRNKILYLKVKKLAEKHGCTTAQLALAWVLHQGDDVVPIPGTTKTKNLDGNIDSLRVKLTEEDLQEICDVIPMNEVAGALMPDGLSHLTWKFANTPPKDSKIST >EOY10322 pep chromosome:Theobroma_cacao_20110822:5:34178455:34178973:1 gene:TCM_025694 transcript:EOY10322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNLGVSTIFILALLFTVGNEVKAQDQGKVCVVPFGLPNCKDATCNSSCQRKFPPKGNGMCQGGATCLCFHPC >EOY07821 pep chromosome:Theobroma_cacao_20110822:5:2833631:2835292:-1 gene:TCM_022143 transcript:EOY07821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKLQVAWWAHAKWPNMNVSVSELSRKPNIGLQPKKIKTAKSDGIWQLSSKGMVKFNVDVEILAIKKAFQMVAASRWATTNCVIVESDSENAVKWANEPTTAPWKHKTTTMLLEFFKTQLKGWNFLKIPRAVNGAAIYLAKAIVERNDEFLWVLAYDGEDYTIPHEMLEH >EOY08558 pep chromosome:Theobroma_cacao_20110822:5:10641802:10643643:1 gene:TCM_023119 transcript:EOY08558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQKMRLLLQSMKTEHCWLHVQKKAPGQFYNHFFFNSEVHIEIMPRTKGPNVVISSTKSFANSWKKSKTAAGTNPGRR >EOY10868 pep chromosome:Theobroma_cacao_20110822:5:36873654:36876657:-1 gene:TCM_026163 transcript:EOY10868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MCGQGSKGKGRGRILKGSGKNNCDNVTLGQSSCNRQNDYGRKKKEEQKCLNSSERKGKDKSSISDEDIRRRDPVFKKEAEEIWEMSKKLGLHILREKEKVIKRSWSLKRQTQMGG >EOY10867 pep chromosome:Theobroma_cacao_20110822:5:36873720:36875314:-1 gene:TCM_026163 transcript:EOY10867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MAYWEIEHFNHLYPLSYYDVIEKNEDVLCKACNLEIYVQAYGCECCKYYLHNQCTKLPYEVLHPLHPQLKLDVKCVTLTDLKNEGQKLKEMAKESKVCPFEQEHKLFFFNVRHKVKHNFSCGICFLPLLGLRHACFRCGYLLHESCLGFPWEMTLPFHPEHPFVNKDPCAWCLACFGPFQYRIIYNCEQCVFINLHLSCANSLWQAIGSKSHQHPLFYFGTECQKPLRQYLWNLSFL >EOY10129 pep chromosome:Theobroma_cacao_20110822:5:33110085:33113047:-1 gene:TCM_046800 transcript:EOY10129 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MEQMKCFSFFNADLLDVSSFDAVVDGRIGVFNTASPFLSQCEGSTGNSPLMYRIAFPRELLDDGAFDSVVDGCIVVSHTASPCYYNVKNPQFQAEMIDPAVKGTLNVLRSCAKVPSIKRVIITSSFGAVVFTGKPLADNVVVDETWFSDPVICEKSKLVDE >EOY10747 pep chromosome:Theobroma_cacao_20110822:5:36269716:36271166:1 gene:TCM_026050 transcript:EOY10747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine/DOPA decarboxylase, putative MLCPGFNVVGFNWISSPAATELESIVLDWMGKLLKLPSSFLFSGTGGGVLHGSTCEATATKLVGIPPSNFRFIETSFSTGFSMSTEQVRLAIEHDIKSGLVPLFLCATIGTTACGAIDPIAELGKVARKYKLWLHIDAAYAGSACICPELRHCLDGVELANSISMNPHKCDISMAKRFAAFVAEDDRFEIVVPRKFVLVASGLSPSKSLKAQN >EOY08028 pep chromosome:Theobroma_cacao_20110822:5:4074196:4077813:1 gene:TCM_022351 transcript:EOY08028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPVPRMALSSSTWMVRLLENQVPATVGGALHDHTGRILGVLAINIGIEELNYAKILCHKKRVSFSSYPPNVQTPMRSL >EOY10090 pep chromosome:Theobroma_cacao_20110822:5:32956094:32961048:1 gene:TCM_025472 transcript:EOY10090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase II family protein isoform 2 MMDFSWLSTILVGAGCLALGYCIGKRHPACLFFSSRGAKDTTISKANKKKNKEPFEIEKLADILEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALDRWEMCAQPKVVVKIDSEEDMLVLQERAKSLNLPTHITIDAGRTQIAPNSRTVMAILGPIEMVDDVTGGLKLL >EOY10091 pep chromosome:Theobroma_cacao_20110822:5:32957175:32961038:1 gene:TCM_025472 transcript:EOY10091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase II family protein isoform 2 MMDFSWLSTILVGAGCLALGYCIGKRHPACLFFSSRGAKDTTISKANKKKNKEPFEIEKLADILEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALDRWEMCAQPKVVVKIDSEEDMLVLQERAKSLNLPTHITIDAGRTQIAPRQ >EOY09562 pep chromosome:Theobroma_cacao_20110822:5:29854053:29858672:-1 gene:TCM_024973 transcript:EOY09562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTREVYEEKLRHGNLYHDPTINPGLGSARCPRCLSLLNPDSDKAEWTITSVLHDATAVVPILKWVGLGFMGGERATFHCVAQAHWAFTCIKRKKKGQVVGTYACWDMSFEAGSGIGGMLSAVHGFNTGIPFLQNRIKGPKWLPFVTGIPLLLMFSGVSAAFGGKTLHYGVPSVACSCFCLLPKLQCAGKSPSPSFGKGQSLPLLVTQFDDLGRLCIRLGLKVHFTSNDYSGSVPIMRTRCYALPKFAQLTVTSYYAASSASHYGISLLTRHVEKTYTTRSQQG >EOY08120 pep chromosome:Theobroma_cacao_20110822:5:4698981:4701794:1 gene:TCM_022446 transcript:EOY08120 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative MNPEDEKRSPSSPPNPPQTLPFPSPLVELETFSTSAPAEKEFIGVPRPLEILQGNPVPPFLSKTFDLVDDTSLDPIISWGPTGESFVVWDPLEFSRLILPRNFKHNNFSSFVRQLNTYGFRKIDTDKWEFANEAFQRGRRHLLKNIQRRKSPQSQQVGNYFGASTEAGRSGLEGEIERLRKEKSVLMQEVVDLQQHHQGTARNVEVVGQRLQAAEKRQKQMVSFLVKLFQNPAFLARLKQKKEQGEFGSPRMRRKFVRHQQLELLNSEPPVEGQLVEYKPDWRNLAISSSAPDSNPISVEQSPDYLPEGLDGIDLGAECLPFQIDNEVVVSDELAVAHGYIRTSELVGEGTSALGSKDSHIKGKNIIGSEQEVIPGYLVSFPEELVKHKSSSEFSSSIGETVAKHEDIWSMGFDVTAGMSSSSNELWGNLESYDIPELGVTGELPDVWDLGFVQTAEDSGTDKWPIEESPFDNPET >EOY10425 pep chromosome:Theobroma_cacao_20110822:5:34720156:34722701:-1 gene:TCM_025792 transcript:EOY10425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVQISQFCHRIDGSDITNSKFESFNIHECPEKCQKIFSMVDAAPPNGFLDEAVTANSQAPGKPWELNPQRLATVQEEVTVEQRFRDAETIVEFALDEDGRLLRNGPSKQLKFSTS >EOY11246 pep chromosome:Theobroma_cacao_20110822:5:38625616:38627396:1 gene:TCM_026490 transcript:EOY11246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISKRTYFHLNDWNVKKVKDFMMSIWNIYNPFWVIETGESWLMDLASNSTCNGKGHLHYNTTKQLCRDEGYRVLRIILM >EOY10241 pep chromosome:Theobroma_cacao_20110822:5:33702581:33703496:1 gene:TCM_025609 transcript:EOY10241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKFTIPFLGTKKLFKTTYSITLCGNTKCAGGCLLSPSKITAFKKSIFYESSSSTSSFPSPTTPLIFSNSFS >EOY10520 pep chromosome:Theobroma_cacao_20110822:5:35159643:35165751:-1 gene:TCM_025858 transcript:EOY10520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF639) [Source:Projected from Arabidopsis thaliana (AT2G21720) TAIR;Acc:AT2G21720] MVQLKHLSSIANHVLQRSAEKLGTSVDNLAEEFDAGWKPEVGDYSRKLVEFCSSKALIKLCQNIEERISNASFSRFTYDMMLAWEKPNAVDEESQTESIAKEKEDIKSPVEVPLEHDDIPLFYSDLMPLLVNDEPSVAEDAFVWLGSLVPLVADIVNGRFTFETLTAPTGNRLFFPAYDKFLKEIDDRMKHLQKQAKPKGVELADDEFILHVEGTASTQRVVRHIGGTSWPGRLTLTNYALYFEASGVVTYEDALKIDLSRDIDHGVKPAATGPWGAPLFDKAIIYESPELPEGVVLEFPEITSSTRRDHWLALTKEVLLMHKFLSEFKVECPIQAWEMHARTILSIIRLHAAREMLRISPPNPTKFLIFALYDELPKGDYVLEQLSESLKRLNSGQPCSASSILRRMNLSESIASSLEAKAVTDEVRKTFAGGEYHNKTSLETAINQTRREEREAAIAKSAIEGLKEEGISENALILMELLKPLKSVFLWFQQILSWERPATTLAVAAAIILIAYKEWVGKAMSSGLLLVLAKMIRARQERLKDKQKEIVVCTASDQTASTRENIVSAHYGFMTICGIIQEATVTILKLHSILVSRAHKHAERVMLAMIGLAILLAVVPLKYLIMTAVFHSAIMTSKLGKYIRNNQGERRLKEWWDSIPPTPVRIVDKAPACPK >EOY10553 pep chromosome:Theobroma_cacao_20110822:5:35314134:35316484:-1 gene:TCM_025889 transcript:EOY10553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin 8, putative MATKILASSSHGFEIECKEIHDSWGRLNQLIRALASRTQLECRRIRETYKDMYGEDLITLLQKTSMTSQRNEPGVSPKTCAALSLWMLDPHERDATVAREAIQQDDTNFKAIVEIFLGRKSSHIALIKQAYQSKYKRQLDQDIVTIEPPHPHQKILVALAASHKAHQADVSQHIAKCDARRLYETGEGSPGAIDEGTVLEIFTKRSIPQLKLTFSCYKHIYGHDYTKSITKGDSWEFEDALKMVIKCICNPPNYYAKTLFTSIKGMTADRGALARVMVSRAEMDMDEIQRVFKIKYGVELREAICDSIPSGDYRDFLLALATKTATTPSKA >EOY10726 pep chromosome:Theobroma_cacao_20110822:5:36143246:36145387:1 gene:TCM_026028 transcript:EOY10726 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441, putative MEEAIVVNKGEDLIDLPPGFRFHPTDEEIITHYLTEKVMNSNFSASAIGEVDLNKCEPWDLPKKAKMGEKEWYFFCQRDRKYPTGMRTNRATEAGYWKATGKDKEIYKGKGCLVGMKKTLVFYKGRAPKGEKSNWVMHEYRLEGKFSYYNLPKGAKDEWVVCRVFHKNIGIKKPIPDQLLRMNSFGDDLMDYASLPPLMDPTYSNKAGSSFTDGQNEFKAIDTTPMPRSSEGNYPGSSNFPTMNNNQNFLQAPNNHYHQTGSSMFNPQIPIQNPILFHQGTPNSAYSHQGRTSGSASSNYSTGFGGNDQAILRALAANNSADTRKADRQCKVEQFSSNQSMVSLSQDTGLSTEINNTEISSVLSKRMELGSSKSYEDIEDLGCLWDY >EOY09317 pep chromosome:Theobroma_cacao_20110822:5:28232891:28237452:-1 gene:TCM_024740 transcript:EOY09317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRKEGGEIIKSQSDFTLTDGAQKGNKPSNVFNASSYIRVLQAINEKFNVQCKTNHVENHLRIVKNTSNTVQNVLAKSGFGWDDNLKMITADRQVYEDEAHLKHEPFINKKIDMFNEMTLVVGKDMATESFAKSFADIDFQTNTEANAMLVDLDKDVDEEMRGR >EOY11772 pep chromosome:Theobroma_cacao_20110822:5:40253830:40257404:-1 gene:TCM_026841 transcript:EOY11772 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein MDYRRYNSAQETNIRDKQQQQDDLAYQDSLIEDLAEDFRLPINHKPTENVDLENVEQATLDTRLNSSNIGFRLLQKMGWKGKGLGKDEQGITEPIRSGIRDPKLGIGKQEEDDFFTAEENIQRRKLDIEVEETEEHAKKREVLAEREQKIQTEVKEIRKVFYCELCNKQYKLAMEFEVHLSSYDHNHRKRFKEMREMHGGSSRDDRQKREQQRQEKEMAKFAQMAGARKQQQELPEESGPAIASAPVTATALADQDQRKALKFGFSSKTSTSKNAFGNAAKKPKFPVASVFGNDSDDE >EOY07186 pep chromosome:Theobroma_cacao_20110822:5:782156:786711:1 gene:TCM_021685 transcript:EOY07186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCVKDDYGRVWDFYSKSFVLVSDFPLQCKMFHSFACYKLPLQEYNKLNFESCAQYIWQHNEFGNWMLSGDDLLRNVCCNGDAYVDELD >EOY07819 pep chromosome:Theobroma_cacao_20110822:5:2805118:2815210:-1 gene:TCM_022140 transcript:EOY07819 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRINKLY4 related 1 MQILKTTMFLLLLLLISAISASGFGSMGPISAAFGEDGFFCAIDASGKQEVICWSKNSSSASSSSSATSSTSSSLSSSDFASQAPQMEALSGGEGFLCGILANTSQVFCWSLMGSGTDLVPSNYKTRAYSYIAAGKNHVCGIRGAYYSDHESGIVDCWEIVRSSNNTLSSKQSSLFYDQSVSNLVFKKIISGEGFSCGGIRDGGLVCWGPNAEFLGVSNVSGSFLALASAKGSICGILDSSGELKCWGDSHSFSNPPVGIRFVSLTAGAHHFCGIREDNHGVECWGSFNFSSVPRGSGFMAFASSDYTTCGIREDDLVLDCWSGNASLPPDYNPPLELCSPGLCQPASCGEAEFAFNASILNEPDLTSLCVRKDLQICSFCGSNCSEGFFLSSSCTRNADRICTACSLCQNSSCRDVCGLQSSLEKHWHHLLRLVIIIGSCASGFLLVLLSWCFLPRVFTTKREEGTKKQFKSCIGKPELDADTTADSFPPLSITPFPGTAQIFRLSELKDATNGFKEFNELGRGSYGFVYKAVLADGRQVAVKRANAATIIHGNNRDFEMELEILCNVRHCNIVNLIGYCSEMGERLLVYEYMPHGTLHDHLHGGLCPLSWSLRLKIALQTARGLEYLHKEVVPPIVHRHVKTSNILLDSEWGARIADFGLLTSIERDLDLSGDMKSDVYSFGIVLLEILSGRKAFDRDYTPPSIIDWALPLIKQGKAAAIIDRFVTLPRNVEPLLKLADVAELAVREDPSERPTMSDSVNLLQQIVKDGLVL >EOY09742 pep chromosome:Theobroma_cacao_20110822:5:30827121:30832850:-1 gene:TCM_025129 transcript:EOY09742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised conserved protein ycf60 [Source:Projected from Arabidopsis thaliana (AT2G47840) TAIR;Acc:AT2G47840] MASLCYSLQLAPKLSPINPKSFPNLTFLNQSKSKVRLARRPTITRMSLNPTPATDRLISAAAYTLPFFNSLQYGRYLFIQYPQLGTLFDPLIPLLSLYKSVPYASFVAFFALYLGVVRNPSFSHYVRFNSMQAVTLDVLLIVPLLLTRILNPGRAGLGYQVMVWGHTGVFVFSCLCFVYGVVWSILGRTPYLPFVADAAGRQV >EOY08612 pep chromosome:Theobroma_cacao_20110822:5:13708866:13719229:-1 gene:TCM_023399 transcript:EOY08612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 4, putative isoform 2 MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNEIFQGNYVDHHVSSREQITLQDTMDGVVYSTSQFGLDERFGDGDTSQIGLLDEELFLDRVAASGHGGVSVADLHGSDEQQKQDPSNSEVMPMDCSGDQVEGLAANSEFVEYDQDPATPGVVEVPNLSVVHESLAGDDHVEPEHHNLTELANFECVENVSSGKANHLHGHNNVVDLSLQNDKNHDAIVIVPPENGSHIRDLEKEQSKPQGNSVHDVVSVEYKSADGTRGGPDGLDRVEDMHNGAMHSMDRADGECAESPSCSNVTFDLEDPARRTCSSSTCVPTSDAYMENDQASHKSEFRNDVETTDNLEESFSPAKTSNPSCPLESPSRPTVIDGEAQACQEPNDSENMKKPVIHEEVSSVQVLGSDNLAAVDQNSVDLSRREEEVRAFGASIEVEGEACQTQMSEPALCDDQLENLNNCAMSDLPAPEMLLSALEGHIDKPSDLLGESTPDKEVFAGDDETGAGMKLISGKKRSITESTLTVESLNSVESFGRPRSRRTAESVPDDDDLLSSILVGRRSSVFKMKPTPPPEIASMKRARSAPRPSASKRKVLMDDTMVLHGDTIRLQLVNTEDIRRIRKKAPCTRPEISLIQRQFLEDEIFTEPIFTGLASDLSCLHSEAYDLRGIRISEGNEVHASSEVAKDPEFSVRPNVDGGGIEGSSVPVICGNDEQAQCAGTSMQTDTQQAEYNDLNAQQDKNAVDDVPQVLRHEPLDGVVEMEIGRGNVEVANATLNEFEVSSPTNLATEDTSNMTAGKISHTVDGSMLNDASCLPPDQKMSTQPGEDAELDMRNDKGTNPTEVLENVVESAVPSETESKATNEFLLEESKAGTSVEVSIDIQADGFAPIENGMNSLATVQTVEGLNGAQNADEIGYGKVGVVDEARVEDALLDHDDKDPICKGSEERKMDSIYSEKVDVVLKNASLNDGETPNFQEVNAVNAEMTSLVDNQAEFEHVAIANDTGLVLVPSVLLLPFSSYG >EOY08611 pep chromosome:Theobroma_cacao_20110822:5:13707816:13719229:-1 gene:TCM_023399 transcript:EOY08611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 4, putative isoform 2 MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNEIFQGNYVDHHVSSREQITLQDTMDGVVYSTSQFGLDERFGDGDTSQIGLLDEELFLDRVAASGHGGVSVADLHGSDEQQKQDPSNSEVMPMDCSGDQVEGLAANSEFVEYDQDPATPGVVEVPNLSVVHESLAGDDHVEPEHHNLTELANFECVENVSSGKANHLHGHNNVVDLSLQNDKNHDAIVIVPPENGSHIRDLEKEQSKPQGNSVHDVVSVEYKSADGTRGGPDGLDRVEDMHNGAMHSMDRADGECAESPSCSNVTFDLEDPARRTCSSSTCVPTSDAYMENDQASHKSEFRNDVETTDNLEESFSPAKTSNPSCPLESPSRPTVIDGEAQACQEPNDSENMKKPVIHEEVSSVQVLGSDNLAAVDQNSVDLSRREEEVRAFGASIEVEGEACQTQMSEPALCDDQLENLNNCAMSDLPAPEMLLSALEGHIDKPSDLLGESTPDKEVFAGDDETGAGMKLISGKKRSITESTLTVESLNSVESFGRPRSRRTAESVPDDDDLLSSILVGRRSSVFKMKPTPPPEIASMKRARSAPRPSASKRKVLMDDTMVLHGDTIRLQLVNTEDIRRIRKKAPCTRPEISLIQRQFLEDEIFTEPIFTGLASDLSCLHSEAYDLRGIRISEGNEVHASSEVAKDPEFSVRPNVDGGGIEGSSVPVICGNDEQAQCAGTSMQTDTQQAEYNDLNAQQDKNAVDDVPQVLRHEPLDGVVEMEIGRGNVEVANATLNEFEVSSPTNLATEDTSNMTAGKISHTVDGSMLNDASCLPPDQKMSTQPGEDAELDMRNDKGTNPTEVLENVVESAVPSETESKATNEFLLEESKAGTSVEVSIDIQADGFAPIENGMNSLATVQTVEGLNGAQNADEIGYGKVGVVDEARVEDALLDHDDKDPICKGSEERKMDSIYSEKVDVVLKNASLNDGETPNFQEVNAVNAEMTSLVDNQAEFEHVAIANDTEFLNVDDDELVEDDDDGMPCGDESRLLENSGWSSRTRSVRDVVYFFPLILTLILVLLAIIFSCTFIRLAHILMFAHTLFSFRAVAKYLQNLFEDEAIHGRKVLSMDSLLDRKTRKEASRMFFETLVRLLKLVSKFVTFFHVPL >EOY08609 pep chromosome:Theobroma_cacao_20110822:5:13706809:13719281:-1 gene:TCM_023399 transcript:EOY08609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 4, putative isoform 2 MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNEIFQGNYVDHHVSSREQITLQDTMDGVVYSTSQFGLDERFGDGDTSQIGLLDEELFLDRVAASGHGGVSVADLHGSDEQQKQDPSNSEVMPMDCSGDQVEGLAANSEFVEYDQDPATPGVVEVPNLSVVHESLAGDDHVEPEHHNLTELANFECVENVSSGKANHLHGHNNVVDLSLQNDKNHDAIVIVPPENGSHIRDLEKEQSKPQGNSVHDVVSVEYKSADGTRGGPDGLDRVEDMHNGAMHSMDRADGECAESPSCSNVTFDLEDPARRTCSSSTCVPTSDAYMENDQASHKSEFRNDVETTDNLEESFSPAKTSNPSCPLESPSRPTVIDGEAQACQEPNDSENMKKPVIHEEVSSVQVLGSDNLAAVDQNSVDLSRREEEVRAFGASIEVEGEACQTQMSEPALCDDQLENLNNCAMSDLPAPEMLLSALEGHIDKPSDLLGESTPDKEVFAGDDETGAGMKLISGKKRSITESTLTVESLNSVESFGRPRSRRTAESVPDDDDLLSSILVGRRSSVFKMKPTPPPEIASMKRARSAPRPSASKRKVLMDDTMVLHGDTIRLQLVNTEDIRRIRKKAPCTRPEISLIQRQFLEDEIFTEPIFTGLASDLSCLHSEAYDLRGIRISEGNEVHASSEVAKDPEFSVRPNVDGGGIEGSSVPVICGNDEQAQCAGTSMQTDTQQAEYNDLNAQQDKNAVDDVPQVLRHEPLDGVVEMEIGRGNVEVANATLNEFEVSSPTNLATEDTSNMTAGKISHTVDGSMLNDASCLPPDQKMSTQPGEDAELDMRNDKGTNPTEVLENVVESAVPSETESKATNEFLLEESKAGTSVEVSIDIQADGFAPIENGMNSLATVQTVEGLNGAQNADEIGYGKVGVVDEARVEDALLDHDDKDPICKGSEERKMDSIYSEKVDVVLKNASLNDGETPNFQEVNAVNAEMTSLVDNQAEFEHVAIANDTEFLNVDDDELVEDDDDGMPCGDESRLLENSGWSSRTRAVAKYLQNLFEDEAIHGRKVLSMDSLLDRKTRKEASRMFFETLVLKTRDYIHVEQEKQIQ >EOY08610 pep chromosome:Theobroma_cacao_20110822:5:13707780:13719247:-1 gene:TCM_023399 transcript:EOY08610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 4, putative isoform 2 MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNEIFQGNYVDHHVSSREQITLQDTMDGVVYSTSQFGLDERFGDGDTSQIGLLDEELFLDRVAASGHGGVSVADLHGSDEQQKQDPSNSEVMPMDCSGDQVEGLAANSEFVEYDQDPATPGVVEVPNLSVVHESLAGDDHVEPEHHNLTELANFECVENVSSGKANHLHGHNNVVDLSLQNDKNHDAIVIVPPENGSHIRDLEKEQSKPQGNSVHDVVSVEYKSADGTRGGPDGLDRVEDMHNGAMHSMDRADGECAESPSCSNVTFDLEDPARRTCSSSTCVPTSDAYMENDQASHKSEFRNDVETTDNLEESFSPAKTSNPSCPLESPSRPTVIDGEAQACQEPNDSENMKKPVIHEEVSSVQVLGSDNLAAVDQNSVDLSRREEEVRAFGASIEVEGEACQTQMSEPALCDDQLENLNNCAMSDLPAPEMLLSALEGHIDKPSDLLGESTPDKEVFAGDDETGAGMKLISGKKRSITESTLTVESLNSVESFGRPRSRRTAESVPDDDDLLSSILVGRRSSVFKMKPTPPPEIASMKRARSAPRPSASKRKVLMDDTMVLHGDTIRLQLVNTEDIRRIRKKAPCTRPEISLIQRQFLEDEIFTEPIFTGLASDLSCLHSEAYDLRGIRISEGNEVHASSEVAKDPEFSVRPNVDGGGIEGSSVPVICGNDEQAQCAGTSMQTDTQQAEYNDLNAQQDKNAVDDVPQVLRHEPLDGVVEMEIGRGNVEVANATLNEFEVSSPTNLATEDTSNMTAGKISHTVDGSMLNDASCLPPDQKMSTQPGEDAELDMRNDKGTNPTEVLENVVESAVPSETESKATNEFLLEESKAGTSVEVSIDIQADGFAPIENGMNSLATVQTVEGLNGAQNADEIGYGKVGVVDEARVEDALLDHDDKDPICKGSEERKMDSIYSEKVDVVLKNASLNDGETPNFQEVNAVNAEMTSLVDNQAEFEHVAIANDTEFLNVDDDELVEDDDDGMPCGDESRLLENSGWSSRTRAVAKYLQNLFEDEAIHGRKVLSMDSLLDRKTRKEASRMFFETLVRLLKLVSKFVTFFHVPL >EOY08944 pep chromosome:Theobroma_cacao_20110822:5:23679052:23681354:1 gene:TCM_024238 transcript:EOY08944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRYLFGALLALHVESKPLISLVHYLYCVFHLITSFTFVFIAPSHGQMRYFISIIKGRGKPVAGVPPQFLIFFIISN >EOY10948 pep chromosome:Theobroma_cacao_20110822:5:37159726:37160233:1 gene:TCM_026213 transcript:EOY10948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNQKVFIDISRLFVFILFNGLLLLHFGFTSKVVARKVPSGSSPHFVASYGLSFKLQVVPNREIQVSPPPPPTPGTIITMLAPAPSPLSGAASSP >EOY09913 pep chromosome:Theobroma_cacao_20110822:5:31646001:31651970:1 gene:TCM_025279 transcript:EOY09913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 MEVSNQVESGNIPVQTFNFRELAAATKNFRQECLLGEGGFGRVYRGTLQATGQVVAVKQLDRNAMQGNNEFLVEVAKLSLLRHPNLVNLIGYCADGDQRLLVYEFMPGGCVEGHLLDIKPGKKPLDWITRMKIAYGAAQGLEYLHDKANPPVIYRDLKSSNVLLDEEFNPKLSDIGLAKLGPSADKMPMQSRVMGTYGYSAPEYSRSGKLTTTADVYSFGVVLLELITGRRAIDTTKPVDEQNLVAWAQPIFREPKKFPDMADPLLKKQFPERGLNQAVAIAAMCLQDEPAARPLMSDVVTALSFLSMATEENSIPPTLPPSISSKLHCISSKLQFLEHSDTGKLKEQHNSVHNADTDSESEHDQNDEVRNSQEGSVSSSRSSSVHTPGKSALTIDHSRRSSDGSASSREESSISSNEGGASLSQKSSAKSLFSLGHASSEETSRDAHGKSDSLSQKSSNNSLFSSSHKYSKESQDASFSPSHEDSRKSPFSSSHKSSRKSPENDDYFSSSSSSDSVDESPSSSRERSSRKLLDKSNYASQKSSMKPQDENVSLGHNSSRKSTDGSVSVSDKSSWKSQDENDSLDHNSSRKLVDGSVSLSRKSSRKSKDGNVSLRRNSSRKSPEGNVSLSRKSSRRSQEGNDSLHHNSSKNSADASVSLSRKSSRKSQHGNVSLHHNSSKGSHDESNSSGSSRKSRRRSMKVNDSLSYISSGGSQDGSEIDLDNTHRRPLTFTKAKTTVYF >EOY08083 pep chromosome:Theobroma_cacao_20110822:5:4322980:4326044:-1 gene:TCM_022398 transcript:EOY08083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MNYFPHQCSFFLLSTLLLYLLPLSFSSPSSPNDTSALTLFRLQADTHGNLLSNWTGHDACSSTWRGVVCSSTGRVTALSLPSLSLRGPITSLFLLDQLRILDLQNNRLNGSVSTLTNCTNLKLVYLADNDFSGEIPPQISLLKRLLRLDLSNNNIGGNIPKEISGLNRLITLRLQNNALTGQIPDFSSSLKNLKELNLTYNEFYGRLPESLLKKFTEQSFTGNEGLCGPSPLPVCSFTGSPPVDASAQTVPSNPSSMPQTPIIGQDKAKARKGLSPGAIVAIVVANCVVFLVVASFVVAYYCGRNRGESVSKAGSESEKRRSGSSYGSEKKAYASGGADSDGTNATDRSKLVFFERRKQFELEDLLRASAEMLGKGSLGTVYKAVLDDGCTVAVKRLKDANPCPRKEFEQYMDVIGKVKHPNVVKLRAYYYAKEEKLLVYDYLPNGSLHSLLHGRLLVKETFSFHALRTDEPYGNRGPGRIPLDWTTRISLVLGAARGLAKIHEEYSAPKIPHGNVKSSNVLLDKNGVALISDFGLSLLLNPVHAIARLGGYRAPEQAEVKRLSQKADVYSFGVLLLEVLTGRAPSQYPSPTRPRVEEEEQAVDLPKWVRSVVKEEWTAEVFDQELLRYKNIEEELVSMLHVGLACVVPQPEKRPTMAEVAKMIEDIRVEQSPLGEDYDESRNSLSPSLATTEDGLAGY >EOY07997 pep chromosome:Theobroma_cacao_20110822:5:3798634:3806838:1 gene:TCM_022315 transcript:EOY07997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMNCTLIANEVIDFVKKKNEGGFLLKVDFKKAYDSVDWTFLQLVMRNIGFGERWRKWVMGCVSNAKVSVLVNGVATEPFKMSRGLRQGCPLSPFLFNIVAKAFSQMMDGGEIVGLCKGIPIGLRGLRISHLQFADDTMIFLKSEIESLVNAKRILRCFQAISGLRINFHKSSLAGIGTNENFVRECAERINYMFEVIPMVYLGLPLKANPNSIQTWKPIIEKFETRLAGWKAKTLSIGGRVALLRSVLSSLPIFYMSIFQIPKRVIKELEKIERRFLWCGSEKKQKIHYIEWSKVCNYKENGGLGIINMEVKNRALLNKWLWRYGSEMGSLWREVIVKKVGGNLINLIPEMSANKRVSTVWKNIIKPLSPTNDFSLQVSTDMQLVVGDGSRILFWADRWTDGGILKDLYPIIFALVRNKDGYIQEFGRWEEEVWVWEVQLRRPTFGWEEDQLTQLKECIEQYHLSRKLKDSLAWKGSRRLRGIYFCTVQRYGRSGQNGVKLGSMLGGLWNEVVFKGIAWDSNQTYEISKLRVATWAKAKWPMKYGEILDTYRFPQLGANLAKEENGRKVEGWCKPAQGEMKFNVDRAAKGSPREAGIGGAMRDEHGHVKIIFSKAIGIGDLNLAKIRAIREAFLLFIASKWNQSHSLIIESDSCNAVKWVNKPMEAP >EOY11217 pep chromosome:Theobroma_cacao_20110822:5:38374403:38378754:-1 gene:TCM_026461 transcript:EOY11217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 2, putative isoform 1 MATSDRILANFTPLEVADSLENLSLDSQAKTTKVPEPFKKDFYGDNGSYMYQQTYGYMPYGAYSVPSSPLPAMGHDGQLHALQEYHYPSPYYQPPMQTSQASASQVEVSTSGVAEQASLSIDTNKGNSSTIASGGGLSGNNGSGSLKPTFKSSSLNPNASYKRGGLPTGNLSQGYQDPRFSYDGIQSTVPWLDMSMSSNGQSKHAANSGFSSYTNNLSSGRNQNVHPFPHVVNLHNARPSSGVAQAYGYMNHMYPNNMAYSHYGSTIRGGSGYGSYSYDSWKKGLGWYTVGNNNKSRGRGYGKENMDGLSELNKGPRVKGYKSQDDFESATLAVKDQNLPLTESNKENNVTLVPDMEQYNKEDFPESYSDAKFFVIKSYSEDDVHKSIKYNVWASTSNGNKKLDAAFCEANDKPDGCPVFLLFSVNTSGQFVGLAEMVGPVDFNKTMEYWQQDKWTGCFPVKWHIVKDVPNTSLRHITLENNENKPVTNSRDTQEVNFEQGIQILKIFKDHSSKTCILDDFEFYEARQKIIQEKKAKHQLLQKQVSNGQPNDDVVTDNEQNSAVAKETTDKSVEAALIEEQPVASAAEVVKSNGDVKPVEENGSVATTEDGPANSVCVASAC >EOY11218 pep chromosome:Theobroma_cacao_20110822:5:38374733:38378883:-1 gene:TCM_026461 transcript:EOY11218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 2, putative isoform 1 MATSDQVADSLENLSLDSQAKTTKVPEPFKKDFYGDNGSYMYQQTYGYMPYGAYSVPSSPLPAMGHDGQLHALQEYHYPSPYYQPPMQTSQASASQVEVSTSGVAEQASLSIDTNKGNSSTIASGGGLSGNNGSGSLKPTFKSSSLNPNASYKRGGLPTGNLSQGYQDPRFSYDGIQSTVPWLDMSMSSNGQSKHAANSGFSSYTNNLSSGRNQNVHPFPHVVNLHNARPSSGVAQAYGYMNHMYPNNMAYSHYGSTIRGGSGYGSYSYDSWKKGLGWYTVGNNNKSRGRGYGKENMDGLSELNKGPRVKGYKSQDDFESATLAVKDQNLPLTESNKENNVTLVPDMEQYNKEDFPESYSDAKFFVIKSYSEDDVHKSIKYNVWASTSNGNKKLDAAFCEANDKPDGCPVFLLFSVNTSGQFVGLAEMVGPVDFNKTMEYWQQDKWTGCFPVKWHIVKDVPNTSLRHITLENNENKPVTNSRDTQEVNFEQGIQILKIFKDHSSKTCILDDFEFYEARQKIIQEKKAKHQLLQKQVSNGQPNDDVVTDNEQNSAVAKETTDKSVEAALIEEQPVASAAEVVKSNGDVKPVEENGSVATTEDGPANSVCVASAC >EOY09501 pep chromosome:Theobroma_cacao_20110822:5:29543517:29544652:-1 gene:TCM_024918 transcript:EOY09501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMFPDMMHDCMEDYMDDIVVKSKKASDHFEDLKRIFERCRKYILRMNPLKCAFGVTVGKFLGFVVHRKGIDVDLAKIKAIQSMPSPVNQRQLKSLLGKVSYIRRFILALGEIIMPFQVLLKKCVPFTWGEPQQQAFKKIKKILTSLTTMIMPIKEESMMLYFTSTPYLIGALLV >EOY08406 pep chromosome:Theobroma_cacao_20110822:5:7808479:7813303:-1 gene:TCM_022818 transcript:EOY08406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMATHMEDIQRVVEGRPIVQESLSSQGQADCQYREVERGHLEISLPDFLKLKPPSFSGSDALEKPQVFLDKMEKICKALGCSSVRSVELAAFRLEDVAQEWYSSLCKGRPTDAAPLAWSEFSAAFLDRFLPLSVCNARTREFETLVQTSSMTVSEYDIKFTQLSRYAPYLVSTEEMKIQRFVDGLVEPLFRVVASQDFTTYSAVVDCAQHIEMRTSESRATRDRAKRTRTEGYQGHRDFNSGGSSSNR >EOY09556 pep chromosome:Theobroma_cacao_20110822:5:29802342:29810403:-1 gene:TCM_024967 transcript:EOY09556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cultured cell, putative isoform 1 MAGKASSSQASVGGLGSGALSHVFIQYPPLRCNIPGSRGFYYDDGNKLLISSTSDQVFSWKTTPFSPLAASTTDSIDEGPICSIRFSLDEKFIAVQRSNILIQFWHRETGETFTHRCKSESENILGFFWTDCPSCDIVVVKTSGLDLFAYDYASKSLALVEARKLNVSWYVYTHESRLVLLASGMQCKTFNGLQLSSAGIIRLPKFEMVMAKSEANSKPVLASEDVYIVTVYGRIYCLQVDRVAMVLHLYRFYRDAVVQQGSLPIYSSKVAVSVVDNVLLVHQVDAKVVILYDIFADSRAPISAPLPVLLRGFPRSNISTSRPSSKESESSEASHTNDHEAIIYGDDWTFLVPDLICDVTNKLLWKIHLDLEAISASSSEVPSVLEFLQRRKLEANKAKQLCLGITQTVILERRPVTMVAKAMDVLVTSYSHSLKTGSYLKGLKTERAPSSVPNVSGPGQGIDVFTNRTDGLGKSIQHESASRVNSVGRPSTYSSSETEDSSSVEPLKTSSNGTKFVAGKVVMGAESCTTEAQSSSFSSQFPGPSSNPLNASVSEQQESQLSSPAISPDEMYKFVFAPIEEEMVGEPSYLVAIILEFCRSASLEKVKVHPNLYVLTIQLLARSERYAELSLFIINKIIEPSKEVALQLLESGRQNFQIRKLGSDMLRQLSLHHDYVLLLVQDGYYLEALRYARKHKVTTIRPSLFLEAAFTSSDSQHLAAVLRFFSDFLPGFRSTTDFFTYYHILNEMNSSVAA >EOY09557 pep chromosome:Theobroma_cacao_20110822:5:29802342:29810403:-1 gene:TCM_024967 transcript:EOY09557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cultured cell, putative isoform 1 MAGKASSSQASVGGLGSGALSHVFIQYPPLRCNIPGSRGFYYDDGNKLLISSTSDQVFSWKTTPFSPLAASTTDSIDEGPICSIRFSLDEKFIAVQRSNILIQFWHRETGETFTHRCKSESENILGFFWTDCPSCDIVVVKTSGLDLFAYDYASKSLALVEARKLNVSWYVYTHESRLVLLASGMQCKTFNGLQLSSAGIIRLPKFEMVMAKSEANSKPVLASEDVYIVTVYGRIYCLQVDRVAMVLHLYRFYRDAVVQQGSLPIYSSKVAVSVVDNVLLVHQVDAKVVILYDIFADSRAPISAPLPVLLRGFPRSNISTSRPSSKESESSEASHTNDHEAIIYGDDWTFLVPDLICDVTNKLLWKIHLDLEAISASSSEVPSVLEFLQRRKLEANKAKQLCLGITQTVILERRPVTMVAKAMDVLVTSYSHSLKTGSYLKGLKTERAPSSVPNVSGPGQGIDVFTNRTDGLGKSIQHESASRVNSVGRPSTYSSSETEDSSSVEPLKTSSNGTKFVAGKVVMGAESCTTEAQSSSFSSQFPGPSSNPLNASVSEQQESQLSSPAISPDEMYKFVFAPIEEEMVGEPSYLVAIILEFCRSASLEKVKVHPNLYVLTIQLLARSERYAELSLFIINKIIEPSKEVALQLLESGRQNFQIRKLGSDMLRQLSLHHDYVLLLVQDGYYLEALRYARKHKVTTIRPSLFLEAAFTSSDSQHLAAVLRFFSDFLPGFRSTTDFFTYYHILNEMNSSVAA >EOY09558 pep chromosome:Theobroma_cacao_20110822:5:29802573:29810373:-1 gene:TCM_024967 transcript:EOY09558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cultured cell, putative isoform 1 MAGKASSSQASVGGLGSGALSHVFIQYPPLRCNIPGSRGFYYDDGNKLLISSTSDQVFSWKTTPFSPLAASTTDSIDEGPICSIRFSLDEKFIAVQRSNILIQFWHRETGETFTHRCKSESENILGFFWTDCPSCDIVVVKTSGLDLFAYDYASKSLALVEARKLNVSWYVYTHESRLVLLASGMQCKTFNGLQLSSAGIIRLPKFEMVMAKSEANSKPVLASEDVYIVTVYGRIYCLQVDRVAMVLHLYRFYRDAVVQQGSLPIYSSKVAVSVVDNVLLVHQVDAKVVILYDIFADSRAPISAPLPVLLRGFPRSNISTSRPSSKESESSEASHTNDHEAIIYGDDWTFLVPDLICDVTNKLLWKIHLDLEAISASSSEVPSVLEFLQRRKLEANKAKQLCLGITQTVILERRPVTMVAKAMDVLVTSYSHSLKTGSYLKGLKTERAPSSVPNVSGPGQGIDVFTNRTDGLGKSIQHESASRVNSVGRPSTYSSSETEDSSSVEPLKTSSNGTKFVAGKVVMGAESCTTEAQSSSFSSQFPGPSSNPLNASVSEQQESQLSSPAISPDEMYKFVFAPIEEEMVGEPSYLVAIILEFCRSASLEKVKVHPNLYVLTIQLLARSERYAELSLFIINKIIEPSKEVALQLLESGRQNFQIRKLGSDMLRQLSLHHDYVLLLVQDGYYLEALRYARKHKVTTIRPSLFLEAAFTSSDSQHLAAVLRFFSDFLPGFRSTTDFFTYYHILNEMNSSVAA >EOY10138 pep chromosome:Theobroma_cacao_20110822:5:33148898:33165054:1 gene:TCM_025515 transcript:EOY10138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes 5 smc5, putative MEEPRVKRLKISRGEDDYLPGNITEIELHNFMTFNHLVCKPGSRLNLVIGPNGSGKSSLVCAIALCLGGEPQLLGRATNIGAYVKRGEESGYIKISLRGYTEEEQSTIVRKINTRNKSEWLYNGKSVPKREILEVIRKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPQLPVQHCALVEKSCELKRYQKAVEKMGESLKQLIALNAEQEKDVERVRQRDELLEKVNYMKKKLPWLKYDMKKAEYLKAQEREKDAEKKLDEAAKILNEFKAPIEKQKQEKAKLDHKCKHISNLMNENVKKRIDLLQKENEAAVQVRGKYKEVEDLRREEDSRKQRILEAERKLAAAEQDLQNLPAYEPPKEEIDKLSSQIVELTSSARQKMQQKKEKEKSLGQMKTALRNCMDSLRDMENTNSKLLRALRNSGAEKIFDAYEWVQLHRHELNKEVYGPVLLEVNVADQVHANFLEGHVAHYIWKSFITQDSSDRDFLVKNLQSFDVPILNYVRDESGRKAPFEISKQMHELGIYSRLDQVFDAPTAVKEVLTSQFGLEHSYIGSDKTDRKADDVAKLGILDFWTPQNHYRWSVSRYDNHISGTVESVRDSRLLLCGLDTGEIEKLRSRKNELENSVADMEEGIKSLQIQQRLLEDEAAKLHKQREEMINIGKREKQKRREMESCVEQRQKKLASLEEVVDLETAVAKLIDQATRSNVQRFKHAIKIKDLLVEAVSCKWSFAEKHMVSIEYDAKIRDLEVNLKQHEKFAHQASLHLEYCKKDVEDCHQQLSAAKRHAETIAIITPELAKLFLEMPTTIEELEAAIQDNISQANSIVFLNRNILQEYEDRQCQIETISAKLEADNKELQKCLADIDALKGNWLPTLRNIVNQINETFSRNFQEMAIAGEVSLDEHDTDFDQFGILIKVKFRQTGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAATQPNTPQCFLLTPKLLPNLEYSEACSILNIMNGPWIEAPSKVWSSGECWGTIAGLVDESRC >EOY09676 pep chromosome:Theobroma_cacao_20110822:5:30467063:30468380:1 gene:TCM_025069 transcript:EOY09676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRHLQSSNRTPSERRKLLNVLDFLKKPNAKEIVSGTRDIINLYEKFKNAIEASFAGTVDALTQKAENYNSFYFILSVVVNGLSMLNSL >EOY11405 pep chromosome:Theobroma_cacao_20110822:5:39170088:39174721:-1 gene:TCM_026592 transcript:EOY11405 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MGHDLSKLRRSSHRRQTQTRIPSLVPSSSSSSSSSPSTAAAAAIDTNNNSTTNNTNFNAGSTQTIPTPSSPSSAAAALSSQPHASSYVFAANAPHPSPSSSSPNHYHPPPPPPPSSSSSLMAPPFFSLAPPPYVDHESAKKIKNDVNIHKDSIRLFLDDNSLDSYLVSFTFDALVDGSITIFYFAKEGPNCIFMPLYPEIYMPKTIPFQKGLAQKFCQPSGTGIDLGFFELGLLSKPSRKEDIFPLVISAQASLPSFSAGAGLDQPPPIVSPHAQITQAVLKKNNEAHFQVKVIRQILWIEGIRYELREIYGIENSSEQGFDDSESGKACVICMTEPKDTAVLPCRHMCMCSGCAKQLRLRSKRCPVCRQPIQELIEIKIRNQPKPAAV >EOY09245 pep chromosome:Theobroma_cacao_20110822:5:27499382:27677965:-1 gene:TCM_024651 transcript:EOY09245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein MQDIVGLLFKYIQLLKQTSVCKRVFEELSAVCETKFHYPDKIQPLNYVIRIASNMEKYPPKDWLVGSSLLSNFNPDVVHMFLREFSPENVRIFWKSKKFEGDTDKVEPWFGTAYTVEKITTSMIQKWMSSAPSDNLQLPAPNMFIPTNLSLKVAQEKVKFPVLLRKSSYSKLWYKPDTMFSVPKAFVNIEFNCPHVRDSPQAEVLANIFVQLLEDYLNEHAYDAQIAGLHYYTSRTDTGFKVTVFGYNDKLNILLETVVDKIANFEVKRDRFAIIKEMMTKGIYNFKYEKPSQLAIEYRSLILRDIKWPWKEKLDVLPNLEVEDLVKFTPIMFSSAFLECFIAGNMERDEAISIIQHVESIFFEGSNPKCQPLFPSLHLTNEVVKFGRGVSYLYSVQGLNPSNENSALLHYIQVHRDDFILNVKLQLFCLIAKEPAYHQLCSIEQLGYINNFYGRYDFGIHGVEFLIQSTMKSPRDIDLSIEAFLEMFENKIYEMTNDEFKGNVNALIDMKLETHKNLWEESLFYWQEIMNGTLKFDRKEAEVEALRQVTQQEFIDFFNEYIKVGSHRKKTLSVRVYGKKHLSEYRSEKSEPLQLHSLRIDDILSFRRSQPHYGSFKGSFSNMKL >EOY07423 pep chromosome:Theobroma_cacao_20110822:5:1512572:1515498:1 gene:TCM_021864 transcript:EOY07423 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein MKELIGSPGTVSGLTLRIAQCAFAAASIGVMVSTSDFSTYTAFCYLIASMGLQLLWSFGLACLDVYALRRKRDLQNPVLVSLFVVGDWVTAMLSLAAACSSAGVIVLYARDLDFCRAQAHLPCRRYEISIILAFITWVLIAMSSHVMFWILASF >EOY08519 pep chromosome:Theobroma_cacao_20110822:5:10076218:10092402:1 gene:TCM_023050 transcript:EOY08519 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MQSYFRARQEPTLDPESLVVDLGLDEETIKSYPKLLYSEAKLHNKDSTSSCCSICLADYKGSDTLRLLPDCNHLFHLKCVDPWLRLHPTCPVCRTSLIPTPLSTPLAEVVPLSSRPGG >EOY09343 pep chromosome:Theobroma_cacao_20110822:5:28401561:28431232:1 gene:TCM_024764 transcript:EOY09343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVNGFVYYSISRKISKSALLLYYEVAMNADDTVQRYKARLVAKRYNQVEGFDYQETFSPVAKQTAVRVFMALATAK >EOY11081 pep chromosome:Theobroma_cacao_20110822:5:37845346:37849359:1 gene:TCM_026354 transcript:EOY11081 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein MAGRGRTSLLNEGNWPQDLLLSILATLPAKSLLRFRCVSKHWRSLIINPGFIEQHLENQQRKEYPQLIFASGTTTDIVLESVAIVDVEVDDKEGAKTRKGFKRRSLNICHLPPDGYCMSNSCDGILCFFGETNLFVYNPGTREFRILQMGKKRSTRGPSYSSDCFGQRFPTHQLVGFGRDQVTKECKIIRLFIPIGEQENHIHECEVFTLSSDAGASWRGLGVVAYFIRPAQQPVFVNGALHWILDIRHANPSEVIVSFDLHTEKFQAISHPSCCSEASDRHMALMGLLSLRSSLCLVQSDYRLQQNIWIMNQSNGVWEKLFAIDWGLMNYRTPLAFPIAELKDGSFFVSHFGENLQIYDPESQSFSEVLIQHERRVVSYAYSESLVPLYGEPLCHEWKITTLLDIVKLRVAWWVKSKWPGDNTVSDIATTHFWLLLQPQREVKSMVSWECPPTSWLKFSTDGAARGCPGHLGIGGVLCDNKGAVKMTFSKKAGWGDVNLAEILAVREPMILFSASSWANSAGIIIESDSKNVVLWMTLPEKTPWKLRQLIFQTVSLKDRVAGWKIKHTPRSGNTVADSLAKSGIDRAHDLLCVFP >EOY08200 pep chromosome:Theobroma_cacao_20110822:5:5553970:5557518:-1 gene:TCM_022540 transcript:EOY08200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLEDNTACDEGNEDLFPTGEDRFDDNSDDGPDEWHDESSDEDWLYDNDILICNNVEGETEHVGGHVKLVMRLVAKTMHASSMYVQRSYLNEENIGKFGRSTKYTRVLSMVCKGDLQSTSAKIIGEIMSHKFQANGVALRPKDIISEMRIQWGLECLYGKAWQAKEDVMRPTVSIDATHLKGRFKGFLFITVCKDAYECVYLVAFGIGHVEDEDSWTWFLSKLRDVIHAGAHANLMRIGPERWARAYSPARQYQMMTSNIAKCVNSCLKHARPMSITVLIEFIRDMFQRWFHDRYDEAIKVTVPLSPWVAKQLRKRFNDAHHFVVKPINRVKFEVKDKKMGGLVNLSKKTCSCLFILCLNIEFIVFFSKCKREAIEFCADYYKTTVLVESYAGSIHSVGHPSEWDIPHHVKQIIILPPPWRGQAGRPRKRKILATGEGSRARRCLQCNRYGHNRQNCPSPFTVPSTNPTPSPSQSAPPRVRQPKPCSSYGQSDHTHNNCPIRKIMFKNISVVTR >EOY09465 pep chromosome:Theobroma_cacao_20110822:5:29303076:29306237:1 gene:TCM_024879 transcript:EOY09465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLIRRDCLTKEDESNRNEGEYVFIVDTDICAVLTISEDMYTNSSDSCQVILKANDLESFVLGRVFECVQK >EOY08704 pep chromosome:Theobroma_cacao_20110822:5:18348643:18355479:1 gene:TCM_023777 transcript:EOY08704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon, unclassified-like protein MADSTKVAQFVHHDRMEWDVDKVNSCFPPYESSLICSLTLSYRRSEDRLVWFTSKTGGYEVKLGYKLLCMLDGNTGTSASSNDDAFKVWKKIWNLHIPRKILVFIWRIFHSCLPTRVALAKRKVAIDTICPLCSQTVETNLHVFWECTFTRAVWLSTKWGFRNVVPYLFQDKRMVAQLGMDMCAQYQIANSGGESVSRPWVEENVSWTLPSGYKLNVDAALIEENGGFIVRDVTGKLVLAGATNLGAVKGATEADLRAVFMGFERRKFILMRLRRTACK >EOY08872 pep chromosome:Theobroma_cacao_20110822:5:22631138:22634507:1 gene:TCM_024147 transcript:EOY08872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSKCFSGETGKGVASEKEDILEYVATYMGKLMDVIENMEKDLRVLIDKNDEMDDDCEDDYVGKRDNYSKEDKGEDNDIPDCNQVDSSTNHATTIVLKDVEYDDPIYDNPSVGDNVACKNKACKFDMRATKLPKRDYWQVLTFHKDVYNHLMKLRLERWAHARSPVRLYKLMTSNIAECINSCLKQARKMPITVLIECIRAMFQCWFHDLHKKVLNLTMPLNP >EOY10697 pep chromosome:Theobroma_cacao_20110822:5:35961231:35962686:-1 gene:TCM_026000 transcript:EOY10697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFIYFCPFDILLGSWKVLVGFYNYQMLKDIIAEKSFLLDEDEMHAF >EOY10281 pep chromosome:Theobroma_cacao_20110822:5:33914059:33915828:1 gene:TCM_025651 transcript:EOY10281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Benzoyl coenzyme A: Benzyl alcohol benzoyl transferase MQGKDPAKVIWEALGKLVFYYPFAGRLREGPNRKLMVDCTGQGVLFVVANADVKLEQFGEALLPPFPCLTQLLYDAPGSRGMLNRPLLLIQVTWMKCSGIIFALRLYHTLSDAVGLFQFISAMAEMAQGAISPSIPPVWERHLLSSRTPPQVTCTHREFDKVDDIKSCSIFAPLENMVHLSFFFNPSHISAIRRFVPSHLRHCSAFEVLTACLWRCRTLALPFDPDEQVRLLCIVIVRSKFNPPLPKGYYGNAIVHPVVVTIAGKLCQNP >EOY09973 pep chromosome:Theobroma_cacao_20110822:5:32039128:32039865:1 gene:TCM_025344 transcript:EOY09973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQKQLFNIVGIQFGMAMAYGYGSLPGDQLMCCTCTDVIVAISSFRCPVIFLWEIGIISFTTRRFS >EOY10373 pep chromosome:Theobroma_cacao_20110822:5:34404396:34409252:1 gene:TCM_025743 transcript:EOY10373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromodomain protein, putative isoform 3 MKGGGRKRVVQSEEAGGGEKEKKRGGTSEQIVESEGLGEKKKEEEGEEEEEEEEEDEEEEEGEEEENNEGKEEERTKLDDGFFEIEAIRRKRVRKGQLQYLIKWRGWPETANTWEPLENLQSCSDVIDAFEESLRSGKQNRKRKRKYGGPHTQSKKKQPRSSATTYNATGLEVSVVDKSLSLVPLDNSGIADLAASSPVTVSAREGETNGSANNVKVANRVKENGSANGSKQIDERKDENDYDTKLSELKGAISSNGVNADKLAMRFQEGKASESDGLANGLQKVDRGESVHSDRRTGAKRRKSGSVKRFKQDLVSSGPNLTPNATPNIHVGYAIADAQIGIEGLGLTADGLSHRPQIDNSVNVPVISKILKPVGFSASVSDNIQDVSVTFLAIRSDGKEVMVDNRYLKANNPLLLINFYEQHLKPHKELGMVSW >EOY10372 pep chromosome:Theobroma_cacao_20110822:5:34404396:34409252:1 gene:TCM_025743 transcript:EOY10372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromodomain protein, putative isoform 3 MKGGGRKRVVQSEEAGGGEKEKKRGGTSEQIVESEGLGEKKKEEEGEEEEEEEEEDEEEEEGEEEENNEGKEEERTKLDDGFFEIEAIRRKRVRKGQLQYLIKWRGWPETANTWEPLENLQSCSDVIDAFEESLRSGKQNRKRKRKYGGPHTQSKKKQPRSSATTYNATGLEVSVVDKSLSLVPLDNSGIADLAASSPVTVSAREGETNGSANNVKVANRVKENGSANGSKQIDERKDENDYDTKLSELKGAISSNGVNADKLAMRFQEGKASESDGLANGLQKVDRGESVHSDRRTGAKRRKSGSVKRFKQDLVSSGPNLTPNATPNIHVGYAIADAQIGIEGLGLTADGLSHRPQIDNSVNVPVISKILKPVGFSASVSDNIQDVSVTFLAIRSDGKEVMVDNRYLKANNPLLLINFYEQHLKYSPTS >EOY10374 pep chromosome:Theobroma_cacao_20110822:5:34404749:34408865:1 gene:TCM_025743 transcript:EOY10374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromodomain protein, putative isoform 3 MKKKKKEKKKKIMKAKKKKGLSLMMGSLRLKLFAVKGFERVSFSISSNGRGWPETANTWEPLENLQSCSDVIDAFEESLRSGKQNRKRKRKYGGPHTQSKKKQPRSSATTYNATGLEVSVVDKSLSLVPLDNSGIADLAASSPVTVSAREGETNGSANNVKVANRVKENGSANGSKQIDERKDENDYDTKLSELKGAISSNGVNADKLAMRFQEGKASESDGLANGLQKVDRGESVHSDRRTGAKRRKSGSVKRFKQDLVSSGPNLTPNATPNIHVGYAIADAQIGIEGLGLTADGLSHRPQIDNSVNVPVISKILKPVGFSASVSDNIQDVSVTFLAISRSDGKEVMVDNRYLKANNPLLLINFYEQHLKPHKELGMVSW >EOY09578 pep chromosome:Theobroma_cacao_20110822:5:29961096:29961722:-1 gene:TCM_024994 transcript:EOY09578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low-molecular-weight cysteine-rich 16, putative MAKLPCNIVMVRFSFILVTLMMCGAVQGQEVCHDVLPAPGGGQCDPQSCKDKCASKHGGTGLCVQDFENLYSCQCSWPCGKR >EOY11735 pep chromosome:Theobroma_cacao_20110822:5:40164376:40169407:-1 gene:TCM_026818 transcript:EOY11735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor C isoform 1 MADHRNANGQPPNGTATGLGSVYSINLENFSKRLKALYSHWNEQKSELWGSSDVLAVATPPPSEDLRYLKSSALNIWLLGYEFPETIMVFTKKQVHFLCSQKKASLLEVVKKSAKEAVEVDVVMHVKAKSDDGTALMDAIFRSIRAQYKGDENDAPVLGYIAREAPEGKLLETWAEKLKSATFQLTDVTNGLSDLFAVKDKEELMNVKKAAYLSYNVMNNVVVPKLENVIDEEKKITHATLMDETEKAIVNPQLAKVKLKPENVDICYPPIFQSGGEFDLRPSTASNEENLYYDSASVILCAVGARYNSYCSNIARTFLIDASPLQSKAYEVLLKAHEAAIGMLKSGSKISAVYQAALSVVEKDSPELILNLTKSAGTGIGVEFRESGLNLNAKNDRVVKAGMVFNVSLGFQNLQCESNKSKNRNFSLLLADTVIVGEQNAEVVTGKSSKAVKDVAYSFNEDEEEEENSVKAETNGSDPFMSKTVLRSDNHEISKEELRRQHQAELARQKNEETARRLAGGSGTGDNRSVAKTSADLIAYKNVNDLPTPRDFMIQIDQKNEAVLLPIYGSMVPFHVATIRTVSSQQDTNRNCFIRIIFNVPGTPFSPHDSNSLKNQGAIYLKEVSFRSKDPRHISEVVQQIKTLRRHVVARESEKAERATLVTQEKLQLAGNRFKPIRLSDLWIRPPFGGRGRKIPGTLEVHVNGFRYSTTRADERVDIMYGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGGKRSAYDPDEIEEEQRERDRKNKINMDFQSFVNRVNDLWGQPQFNGLDLEFDQPLRELGFHGVPYKASAFIVPTSSCLVELVETPFLVVTLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDLKYYESRLNLNWRQILKTITDDPQSFIENGGWEFLNLEASDSDSENSEDSDQGYEPSDIEPESESEDDDSGSESLVESEDEEEEDSEEDSEEEKGKTWEELEREASNADREKGHESDSEEDRRRRKMKTFGKSRAPPSSAISKRSKLR >EOY11736 pep chromosome:Theobroma_cacao_20110822:5:40164376:40169410:-1 gene:TCM_026818 transcript:EOY11736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor C isoform 1 MADHRNANGQPPNGTATGLGSVYSINLENFSKRLKALYSHWNEQKSELWGSSDVLAVATPPPSEDLRYLKSSALNIWLLGYEFPETIMVFTKKQVHFLCSQKKASLLEVVKKSAKEAVEVDVVMHVKAKSDDGTALMDAIFRSIRAQYKGDENDAPVLGYIAREAPEGKLLETWAEKLKSATFQLTDVTNGLSDLFAVKDKEELMNVKKAAYLSYNVMNNVVVPKLENVIDEEKKITHATLMDETEKAIVNPQLAKVKLKPENVDICYPPIFQSGGEFDLRPSTASNEENLYYDSASVILCAVGARYNSYCSNIARTFLIDASPLQSKAYEVLLKAHEAAIGMLKSGSKISAVYQAALSVVEKDSPELILNLTKSAGTGIGVEFRESGLNLNAKNDRVVKAGMVFNVSLGFQNLQCESNKSKNRNFSLLLADTVIVGEQNAEVVTGKSSKAVKDVAYSFNEDEEEEENSVKAETNGSDPFMSKTVLRSDNHEISKEELRRQHQAELARQKNEETARRLAGGSGTGDNRSVAKTSADLIAYKNVNDLPTPRDFMIQIDQKNEAVLLPIYGSMVPFHVATIRTVSSQQDTNRNCFIRIIFNVPGTPFSPHDSNSLKNQGAIYLKEVSFRSKDPRHISEVVQQIKTLRRHVVARESEKAERATLVTQEKLQLAGNRFKPIRLSDLWIRPPFGGRGRKIPGTLEVHVNGFRYSTTRADERVDIMYGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGGKRSAYDPDEIEEEQRERDRKNKINMDFQSFVNRVNDLWGQPQFNGLDLEFDQPLRELGFHGVPYKASAFIVPTSSCLVELVETPFLVVTLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDLKYYESRLNLNWRQILKTITDDPQSFIENGGWEFLNLEASDSDSENSEDSDQGYEPSDIEPESESEDDDSGSESLVESEDEEEEDSEEDSEEEKGKTWEELEREASNADREKGHESDSEEDRRRRKMKTFGKSRAPPSSAISKRSKLR >EOY10740 pep chromosome:Theobroma_cacao_20110822:5:36194146:36197165:1 gene:TCM_026039 transcript:EOY10740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MRIKVLCVLNSAYYVKAIVSILALTKSPLDADCVLDFTSYPYQPSGNCIHGNGKVNLWGSIPSTLCCQNALNTFSEVLAVRAKKTQESSIFIEQDSWRKCDSPFSSQESVSIHSCGFDQFYSGSSQCSSLSLSQIQRNPFYPQAADFCSNLNSSFENTCKNCTDAIAMVVEDLLQLIQGQQNDTERTICNLAVVISVAAANVTDSSFGANLFSCMSSLDDFDSDYIKLKRPLANALLAVFVVIIVLILILVLVKFGNLRKSQSHRKLPKPIPSKDITTWSGLYRFSKAEIENAISVNYKRKSLGRGSAGEVYQGVLPSGQVVAVKQINKGNSSDSFSREVAGLSRIRHPNLVSLLGCCIEGDEQYLVLEYCSAGNLSQHLLRKDCVLPWERRVKILRDCALGLRYLHNYIDGCIVHRDIKLTNILLTEELEPKLSDFGLAKMLGMEESKVFTDVRGTIGYMDPEYMSNAKLTCASDIYSFGIVALQLLSGQKVIELDLDASEQLTRKAKDVSMGKRPLTDFEDPRLRGNLNRTDFEAILQIAVLCVAKSSRGRPTIDVVFDEMEKAWKNTVADMKTGKEIGPSATPQSRSMELISI >EOY10250 pep chromosome:Theobroma_cacao_20110822:5:33770836:33784052:-1 gene:TCM_025626 transcript:EOY10250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 87, subfamily A, polypeptide 6 METWFLIVLTISISLLLKAFLNLFYPSKKLPHTLPPGPPTFPIIGNILWLRKSFFQIEPILRHLGTKLGPMVTIHIGPRPAIFVFDRSLAHQALVQSGSLFSDRPKALPTNKIMSSNQHSISSAFYGPTWRLLRRNLTSEILHPSRIKSYSLARKWVLEILFDGLQSKAETGEAVQVLAQFKYAMFCLLVLMCFGDKLSQDQIKEIEAVLQRVLLGFGRFNILNFWPRVTKVLLRKRWEQFYQLRKDQEDLLIPLIRARKKAKEENLTKKASDDYVLAYVDTLLDLELPEEKRKLEEGEIVSLASEFLNGGTDTTSTALQWIMANLVKYPHVQDKLLLEIKGVVGDGAEEIKEDDLQKMPYLKAVVLEGLRRHPPGHFVLPHCATEDTVLGGFLVPKNGTVNFMVADMGWDPKVWEDPMAFKPERFLRSDDGSGEVFDITGSREIKMMPFGVGRRICPGIGLAMLHLEYFVANLIWKFEWKAMDGDEISLEEKQEFTIVMKTPLRAHISPRER >EOY08315 pep chromosome:Theobroma_cacao_20110822:5:6343152:6344776:-1 gene:TCM_022658 transcript:EOY08315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 family protein isoform 1 MSRRKVREPKEENTNLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETMVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >EOY08316 pep chromosome:Theobroma_cacao_20110822:5:6343543:6344357:-1 gene:TCM_022658 transcript:EOY08316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 family protein isoform 1 RKVREPKEENTNLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETMVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQ >EOY08922 pep chromosome:Theobroma_cacao_20110822:5:23119367:23121919:1 gene:TCM_024196 transcript:EOY08922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic transcription factor 3 isoform 1 MNVEKLMKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDEVVIQFINPKVQASIAANTWVVSGSPQTKKLQDILPGIINQLGPDNLDNLRKLAEQFQKQVPTTGDGATATQEEDDDDVPQLVGDETFEAAAEEGQVAK >EOY08921 pep chromosome:Theobroma_cacao_20110822:5:23119257:23121799:1 gene:TCM_024196 transcript:EOY08921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic transcription factor 3 isoform 1 MNVEKLMKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDEVVIQFINPKVQASIAANTWVVSGSPQTKKLQDILPGIINQLGPDNLDNLRKLAEQFQKQVPTTGDGATATQEEDDDDVPQLVGDETFEAAAEEGQVAK >EOY09565 pep chromosome:Theobroma_cacao_20110822:5:29898741:29902277:1 gene:TCM_024982 transcript:EOY09565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVHRRLVKLPMEILSVGETNAKKSYVLTLSQKYGDVIWIQSKFDLEAWIEVIGRSNITRTHVYDFGTIVPASRLLTLETTCESTCGHGITRPPLPPTLEPKGYQQLFSNVGFLMTSVGNINNLLEVIASRLPPSNTFGSSTSQ >EOY08331 pep chromosome:Theobroma_cacao_20110822:5:6464931:6465117:1 gene:TCM_022675 transcript:EOY08331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTSGGNQVGSSTCNFPELSSSLLYAFGEFLDLHYPINIQCMWWWVNLVT >EOY07732 pep chromosome:Theobroma_cacao_20110822:5:2531526:2534731:1 gene:TCM_022080 transcript:EOY07732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate peroxidase 2 isoform 2 MAKKCYPTVSEEYQKAVQRCKRKLRGLIAEKHCAPIILRLAWHSAGTFDVNTKTGGPFGTIRHPDELAHDANNGLDIAVRLLEPIKEQFPILSFADFYQLAGVVAVEVTGGPEIPFHPGRPDKTEPPPEGRLPEATKGSDHLRDVFGHMGLSDKDIVALSGGHTLGRCHKERSGFEGPWTNNPLIFDNSYFKELLSGEKEGLIQLPTDKALLEDPVFRPLVEKYAADEDAFFADYADSHLKLSELGFADAE >EOY07733 pep chromosome:Theobroma_cacao_20110822:5:2531542:2534287:1 gene:TCM_022080 transcript:EOY07733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate peroxidase 2 isoform 2 MAKKCYPTVSEEYQKAVQRCKRKLRGLIAEKHCAPIILRLAWHSAGTFDVNTKTGGPFGTIRHPDELAHDANNGLDIAVRLLEPIKEQFPILSFADFYQLAGVVAVEVTGGPEIPFHPGRPDKTEPPPEGRLPEATKGSDHLRDVFGHMGLSDKDIVALSGGHTLGRCHKERSGFEGPWTNNPLIFDNSYFKELLSGEKEGLIQLPTDKALLEDPVFRPLVEKYAADEDAFFADYADSHLKLSELG >EOY09053 pep chromosome:Theobroma_cacao_20110822:5:25128595:25135190:-1 gene:TCM_024391 transcript:EOY09053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport protein particle (TRAPP) component isoform 1 MAPAAPRSGDAIFASVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSNVSRCVDFKETAEVIAKVGFKMFLGVTASVTNWDADGTCCSIVLEDNPLVDFVELPDTCQGLYYCNILSGVIRGSLEMVSMKTEVTWIRDMLRGDDAYELQVKLLKQVPEEYPYKDDE >EOY09052 pep chromosome:Theobroma_cacao_20110822:5:25128368:25135480:-1 gene:TCM_024391 transcript:EOY09052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport protein particle (TRAPP) component isoform 1 MAPAAPRSGDAIFASVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSNVSRCVDFKETAEVIAKVGFKMFLGVTASVTNWDADGTCCSIVLEDNPLVDFVELPDTCQGLYYCNILSGVIRGSLEMVSMKTEVTWIRDMLRGDDAYELQVKLLKQVPEEYPYKDDE >EOY11740 pep chromosome:Theobroma_cacao_20110822:5:40182278:40187931:1 gene:TCM_026822 transcript:EOY11740 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 3 MDDGCAVCADTLEWVAYGPCGHREVCSTCIIRLRFICDDCRCCLCKSELKTIFITKFDRLKDLFGGNTSSFLETIVNFLAMGDFTKVINDFSAFAADPIEGQVGPYWYHEGTQAYIDDLDHYKMIKAMCRLSCTVCDKKDEQRNTGSKRRAEFKNIEQLKSHLLNRHRLLMCSLCLEGRKVFMCEQKLYTRAQLKQHIKTGDSEVDGSESERGGFMGHPMCEFCQNPFYGENELYLHMSTEHYTCHICQRRHPGRYEYYRNYDDMEIHFRQEHHLCEDEACLAKKFIVFATESELKRHNAVEHGGRRMSRSKRNAALQIPISFQYRQSYEQDHRVRRHGSQSDSSDSQLSLAMQASLATANAESFHYTSTSGEVVVNNQETIGIGSIVGPFGALATLDSEPSSRYCQALGNSRNGPLEDSSFPPLTAASNSSQQKLRNGSEGSARSSMAACLRRRNNGTLTVPNTAQAWPVTSLQPNMSATGSHQSRPAINFSHLSTNSSSSFKSKHSRIKESLPSSHVSSSQGTFFGLTANFSSLSRSLVGTSKVGHSAIASTPNIVDGGFFDNSLSNFPPVSSAHVPKNAPTSSQPSPKVEDAQSANKALVEKIRASFEFDKDKYSAFKGITAEYRQGVINAEEYLACVHQFGLSHLVLDIARLCPNVQKQRELEEAYNFNMTSSRFHKNGPSNDGGQSKNIKRSKKGKEKCEEDGISGLKHALADGVYNGEKVLQLNQKPYVEEAEVLRKDGHHAAKGKSKVFADEEANPHFPRYSQTLLGSKNGSQPAAGGSNKNLASGGGGHKPRKKASKFLRNRLGDASAAQVPDVGDSDPGPGQIEEKADENREPPEGLPVCGVWRNGGGRRLMGMTQRK >EOY11741 pep chromosome:Theobroma_cacao_20110822:5:40182300:40187349:1 gene:TCM_026822 transcript:EOY11741 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 3 MNSGILGLRGEQSSRTLSSLRVTCSTGIGCLCAVCVWKVFMCEQKLYTRAQLKQHIKTGDSEVDGSESERGGFMGHPMCEFCQNPFYGENELYLHMSTEHYTCHICQRRHPGRYEYYRNYDDMEIHFRQEHHLCEDEACLAKKFIVFATESELKRHNAVEHGGRRMSRSKRNAALQIPISFQYRQSYEQDHRVRRHGSQSDSSDSQLSLAMQASLATANAESFHYTSTSGEVVVNNQETIGIGSIVGPFGALATLDSEPSSRYCQALGNSRNGPLEDSSFPPLTAASNSSQQKLRNGSEGSARSSMAACLRRRNNGTLTVPNTAQAWPVTSLQPNMSATGSHQSRPAINFSHLSTNSSSSFKSKHSRIKESLPSSHVSSSQGTFFGLTANFSSLSRSLVGTSKVGHSAIASTPNIVDGGFFDNSLSNFPPVSSAHVPKNAPTSSQPSPKVEDAQSANKALVEKIRASFEFDKDKYSAFKGITAEYRQGVINAEEYLACVHQFGLSHLVLDIARLCPNVQKQRELEEAYNFNMTSSRFHKNGPSNDGGQSKNIKRSKKGKEKCEEDGISGLKHALADGVYNGEKVLQLNQKPYVEEAEVLRKDGHHAAKGKSKVFADEEANPHFPRYSQTLLGSKNGSQPAAGGSNKNLASGGGGHKPRKKASKFLRNRLGDASAAQVPDVGDSDPGPGQIEEKADENREPPEGLPVCGVWRNGGGRRLMGMTQRK >EOY11742 pep chromosome:Theobroma_cacao_20110822:5:40184086:40187349:1 gene:TCM_026822 transcript:EOY11742 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 3 MCSLCLEGRKVFMCEQKLYTRAQLKQHIKTGDSEVDGSESERGGFMGHPMCEFCQNPFYGENELYLHMSTEHYTCHICQRRHPGRYEYYRNYDDMEVSSHFLFTFLVVVFILRFSIASEILLQIHFRQEHHLCEDEACLAKKFIVFATESELKRHNAVEHGGRRMSRSKRNAALQIPISFQYRQSYEQDHRVRRHGSQSDSSDSQLSLAMQASLATANAESFHYTSTSGEVVVNNQETIGIGSIVGPFGALATLDSEPSSRYCQALGNSRNGPLEDSSFPPLTAASNSSQQKLRNGSEGSARSSMAACLRRRNNGTLTVPNTAQAWPVTSLQPNMSATGSHQSRPAINFSHLSTNSSSSFKSKHSRIKESLPSSHVSSSQGTFFGLTANFSSLSRSLVGTSKVGHSAIASTPNIVDGGFFDNSLSNFPPVSSAHVPKNAPTSSQPSPKVEDAQSANKALVEKIRASFEFDKDKYSAFKGITAEYRQGVINAEEYLACVHQFGLSHLVLDIARLCPNVQKQRELEEAYNFNMTSSRFHKNGPSNDGGQSKNIKRSKKGKEKCEEDGISGLKHALADGVYNGEKVLQLNQKPYVEEAEVLRKDGHHAAKGKSKVFADEEANPHFPRYSQTLLGSKNGSQPAAGGSNKNLASGGGGHKPRKKASKFLRNRLGDASAAQVPDVGDSDPGPGQIEEKADENREPPEGLPVCGVWRNGGGRRLMGMTQRK >EOY10634 pep chromosome:Theobroma_cacao_20110822:5:35638634:35640977:-1 gene:TCM_025947 transcript:EOY10634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWHVACCFHQLTGGTVIVDRPLFDHAPLAWGALGGAPQGMGVECSPGQRSIELAMGALDAPLAWGTRCGALPTREALIWCSFGSLTESPIAECTWGQNEFEPLGNTLLKNTQQTTGSLRISTDNKTNKFNIDGSTKEFMTILHALRCFSTSSYVGSPLIIESDSKIALTWIEKVGQRPWNKWHIFNEIDVLCISLVMVSFQHAYWEGNAFADSLARYGVDRSSHFSAWW >EOY09946 pep chromosome:Theobroma_cacao_20110822:5:31908441:31914773:-1 gene:TCM_025320 transcript:EOY09946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGKADKAGADESNKSGVKQPDGNQHLNQSQASIYRYLTSPRIHSLLTSRSLQQAGRLPSIYNSIKGKEPLLCESFQSLNHEQDINVNDRRSFRTSTASVFHAEHMFPPPSMETPNHGSTITSGHSIGNLNNSVEASNDYLLPPETQQGDWISGSSLSNTNWINWQSSSQITKPKAATAWGPQGFRYQAVLNQILASNNSHLNDDTPLQLQPAKMPIQQGAGSSTKGESSPIQFDGIVPTNPDMRKMTRQDSSPPTPKLPFINWMLPGQDNQGLNNPSNQDQSSHGNQDQNNIGNQGQNTPSNQGKNNSIPLAFGSRSQEIFGTSRGASPIALSPLSSRSLQQAGTSPSIYKSIKGKEPFLCESFQSFNHEQDINVNDQQPFRTSTGSVFHAEHMFSPPSMETPDHGSKLTSGHSTGNLKNFLEASNDYHLPISNLPPKFRLGSSSGLQVPETQKGNWTSGSSLANTDWINWQPSSQITKPEPATALGSQGFEFQASLNQVMASNNSHLSDDPPRQLQPTKAPIQQGFGSSIKEASSPIQFDDNVPSNSNKRKVTWHDSTPPTPKVPFINWMLPGQDNQKVPFINWMLPAQDNQGQNSLGNQGQNTPDNRGDNSSVSNNTPPPPKLPFINWMLPGQGKQGENIPGNQGQNILDIQGKNNSVSNSTPPPSKLPFINWMLPGQGNQGENNRGNQGQNTPDNQGKNNSVSNSTPTPPKLPFINWMLPGQSNQGQNTSDNQGTNNSVSNSTPPPPKLPFINWMLPGQGNQGENHPGDQGQKTLDNQGTNNSVSDSTPPAPKLPFINWMLPGQGNQGENHPGDQGQKTLDNQGTNNSVSDSTPPPPKLPFINWMLPGHSNQGENGLGNQGQETPDSQGKNSSVSNSTPPSPKLPFINWMLPGQGNQGQNSPDNQG >EOY10286 pep chromosome:Theobroma_cacao_20110822:5:33966840:33967785:1 gene:TCM_025657 transcript:EOY10286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinolizidine alkaloid O-tigloyltransferase MQGKDPAKVIREALGKTLVFYYPFAGRLRKGRNRKLMVDCIGEGVLFIKVDADVKLEQFGEELLPPFPCLDQLLYNVPSSRGMLNCPLRLIEVTRMKCGGIIFALRLNHTMSDAAGLFQFISAMAKMAQGAISPSIPPVWERHLLSC >EOY10062 pep chromosome:Theobroma_cacao_20110822:5:32829829:32845913:1 gene:TCM_025451 transcript:EOY10062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MASDHEQPGLSSFGKVGKSSGEIGAEHEPLINGIHSSENYSASAAIFPFLFPALGGLLYGYDIGSTSCATISIESASLSGMSWYDLSSVEIGLITSGSLYGALIGSVLAFNIADFLGRRRELITAAIMYLVGALVTAFAPDLVVMVIGRLVYGIGIGLAMHAAPMYIAETAPSQIRGLLISLKEFFIVLGMVAGYGIGSLLVETVAGWRYMYGASTPLAVIMAIGMWWLPASPRWLLLCAIQGKGDMQELRETAICCLCRLRGQSIGDSASEQVDEILTELSYVGEEKEATLGEVFHGKCLKALIIGAGLVLFQQITGQPSVLYYAASIFQSAGFSAASDATRVSILLGLLKLIMTGAAVVVVDRLGRRPLLLGGVSGMVVSLFLLGSYYLFLDDVPAIAVAALLLYVGCYQLSFGPIGWLMISEVFPLRHRGRGLSIAVLVNFGANALVTFAFSPLEAWLGAGIVFYVFGVIAMLSLLFIFFIVPETKGLTLEEIEAKCL >EOY11010 pep chromosome:Theobroma_cacao_20110822:5:37417367:37420451:-1 gene:TCM_026269 transcript:EOY11010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MQTATLPKLIEMDSKLYKAVMSGDRTSFSELIELDPSILLKVTTQEDTVLHVAAKFNQKKMAEEILKTQPSLVHQRNSKGNTALHVAARLGSEEMVKFFIDFSNISSASRGVEGGAEVEEKLLLRMVNLEKETALHEAVKNDRYRVVNMLIKEDPGLTGFTNDAGESPLFLAVDRKLKYIAKLILQVDECSFDGRNNMNALHAAVVRSKSEVRKLFPYLAMLLMEHPPKAIADLKAYADLNCFRNIFNIPYILINWVLPTRISKAFIKALLKKHPSAIEKCDDYGWTPLHYAAFLGKSNILNLFLTYESDDENKAVSVDSVARIRDREGMTILHVAAKAGRVKALKILAKLCPDIWDMQDNKGRTALHLAADNGEVRAVKFILIKTRKLSNGLVNEQDYEGNTPMHLAAIQGFQSIFELFKRDKRVDKAICNKKGLGILDMIRLHEDLTINEKCWMGLSAARKGSLSSLECTIHRKEQDISVFTTRKSKHPQKPLHFASEAHGEGEEENEDEAVGVVEEIENVIGNLFK >EOY10767 pep chromosome:Theobroma_cacao_20110822:5:36352033:36353232:1 gene:TCM_026065 transcript:EOY10767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein 2 MKGAYFILGFILLILASSFASACDPSPLQDFCVAINDTKKGSVIVNGKFCKDPKLATVNDFFFSGLNIPKNTSNSVGSVVTPVNVDQIPGLNTLGISLVRIDYAPNGGLNPPHTHPRGTEILVVLEGTLYVGFVTSNPNNRLISKILSPGDVFVFPIGLIHFQQNVGKTNAVAFAGLSSQNPGVITIANAVFGSNPPINPDVLTGAFQLDKKVVKYLQSRF >EOY07252 pep chromosome:Theobroma_cacao_20110822:5:922784:925772:-1 gene:TCM_021717 transcript:EOY07252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding-like fold, putative isoform 3 MLGIWDPESAIPLNWLKGHVWTVELDIPVGKSIQFKFVLKTSTGNLLWQPGPDRIFKSWETENTIIVSEDWEEAEYQKLIEEEPSANQDGPVLDSEMAIVAENLTPPKEELVSDMELVSETDSITNLEKEPLQAFSEELATSSGAPSLEEPLAIVAENISYPTENFVANVDNVVLGVKRTDYPNDEALATSNKNHLVAEDLGNIGRVETVQNPATADVEGNLVVHEGSPVLVPGLTPLDTVSTEEANLDEYEKNSITEASIEVNEANYQKMPELDEKQEPEGEPQEEKPTAVSKDEEEQLDNRHIQSRQLAREQPDPDPFQSNVLQSDVQWGRKTLKRLLNSLRFL >EOY07250 pep chromosome:Theobroma_cacao_20110822:5:922222:926051:-1 gene:TCM_021717 transcript:EOY07250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding-like fold, putative isoform 3 MKTLTSSCSKAIIDKHRDKGLSCFNDLSLNRGEVCLFPSKKLVRIRLLRLLSVQHRRLQPVLSSSSLSPDSQVDFETAETQPAEENPSKTVHVKFQLQKECSFGEHFFIVGDHPMLGIWDPESAIPLNWLKGHVWTVELDIPVGKSIQFKFVLKTSTGNLLWQPGPDRIFKSWETENTIIVSEDWEEAEYQKLIEEEPSANQDGPVLDSEMAIVAENLTPPKEELVSDMELVSETDSITNLEKEPLQAFSEELATSSGAPSLEEPLAIVAENISYPTENFVANVDNVVLGVKRTDYPNDEALATSNKNHLVAEDLGNIGRVETVQNPATADVEGNLVVHEGSPVLVPGLTPLDTVSTEEANLDEYEKNSITEASIEVNEANYQKMPELDEKQEPEGEPQEEKPTAVSKDEEEQLDNRHIQSRQLAREQPDPDPFQIGNLETRIEEGVRQ >EOY07251 pep chromosome:Theobroma_cacao_20110822:5:922640:925892:-1 gene:TCM_021717 transcript:EOY07251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding-like fold, putative isoform 3 MLVPFQKASENPAPAFAFCATQAPAACFVIFFLVTRLTGDFETAETQPAEENPSKTVHVKFQLQKECSFGEHFFIVGDHPMLGIWDPESAIPLNWLKGHVWTVELDIPVGKSIQFKFVLKTSTGNLLWQPGPDRIFKSWETENTIIVSEDWEEAEYQKLIEEEPSANQDGPVLDSEMAIVAENLTPPKEELVSDMELVSETDSITNLEKEPLQAFSEELATSSGAPSLEEPLAIVAENISYPTENFVANVDNVVLGVKRTDYPNDEALATSNKNHLVAEDLGNIGRVETVQNPATADVEGNLVVHEGSPVLVPGLTPLDTVSTEEANLDEYEKNSITEASIEVNEANYQKMPELDEKQEPEGEPQEEKPTAVSKDEEEQLDNRHIQSRQLAREQPDPDPFQSNVLQSDVQWGRKTLKRLLNSLRFL >EOY11570 pep chromosome:Theobroma_cacao_20110822:5:39683629:39688424:1 gene:TCM_026706 transcript:EOY11570 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 3 MHQGSTVTSMDFHPSQHTLLLVGSANAEITLWELGMRKRFITKSFKIWDMAACSMTFQGLMVNDTPISVKRVTWSPDGSFVGVSFSKHLIHLYAYPGSNDLIQHLEIDAHVGGVNDLAFAHPNKQLYIVTCGDDKLIKLIYSTAVDGTIILWLYNDLQFRADFVALGHGSTTMLYSADGSRLFTCGTSKDGQSYLAEWYKSQRVVKRIYTGFGKKSAGVVSFDTTQNQFLAAGEDSQIKFWHMDNSKLLTFTDAEGGLPSLPRVRFNKEGNLLAVTIADNGFKILANDVGLRSLKVVDDAIEKTKPWQLAEIVDPLQCRLVNLPDSTDTSSKVVRLLYTNSGFGILALGSNGVQKLWKWPRNEQNPSGEATANVVPQHWQPNSGLLMTNDVSGVNLEEAVPCITLSKNDLYVMSATGGKVSLFNMMTFKVMATFLPPPPASTFLAFHPQNKNIIAIGMDDSSIYIYNVQVDKMKSKLRGHQKRITGLAFSTSLNILVSSGADAHSLAPTTGHAYYLLTSCCSLQLCVWSIFTWVKTKSVAMQMPAGKAPTGDTRVQFHSDGIRLLVVHETQLAIYNASKMERVRQWVSQDVLPAPISYATYSCNSQSVYATFCDGNVGVFDADSLRLICRIASSVHLSQAILKGKQVVYPFVVAAHPKEANQFAIGLSDGSVKVMEPTESEGKWQVSQPVDSGVVNGRMTSSSATSNHTPDQLER >EOY09464 pep chromosome:Theobroma_cacao_20110822:5:29297633:29301373:-1 gene:TCM_024878 transcript:EOY09464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein MDGASSQPKQKRLVLHSVTTPTTPLEQLASAFCATDQSKSHIDFQLAERDSDEPQAPGYEAIPTINQAKDTLLSIVNPHLWRNQCQRFTEKSNGKSYWSQQGSLLSLDHGKLLAEATRNSKVISLCSSRPEQQYPNISRISGTRASSVSSGGRPSNIKTRIKWTVELHKKFVNCVNLLGGAEKATPRAILKLMESNGLTVLQVKSHLQKYRYAKYITGSTQAKPDQGVDDDDLPMLYLKSGMQVKEILQMQLEVQRHLCEQLEIQQNLQQAIQEQGKQIQMMLEQLKKTNKA >EOY09658 pep chromosome:Theobroma_cacao_20110822:5:30397603:30399774:-1 gene:TCM_025054 transcript:EOY09658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonol 3-sulfotransferase, putative MALCGTSEISALKTCEVENGPPKAPAAYKEIISTLPSENGWKVFQQLCFYQCFWCFPLHLEGAMYARHHFKAQPTDVLLCSAPKTGTPWLKALSVAIVTRNSAADNANNLLLNKVPHECIMFLEKDFIKNPTDQERLLRLVATHLPYAALPKSILDAGCKIVYICRDPKDAFVSLWHFARGRSNFGPYWDHVLGYWKASQESPEKVLFMNYEDLLGDTINQVKRLAEFLGHPFSTEEEKQGAVEKVISLCSLENLSNLEINKTGKYGDAEKAIENTVYFRKGEVGDWKNYLTPEMGDQLDTIMEEKLSGSGFTFREPND >EOY09066 pep chromosome:Theobroma_cacao_20110822:5:25302373:25304792:1 gene:TCM_024420 transcript:EOY09066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKVSTSSKTMADQQEEETKPLRDYAVRQLQNLHSSIRRPLIQANIFEIKPSIIQMIQTVVQFGGLPNDDPNAHIVNFLEICDTFKANGVTDDAIRLRLFPFSLRDKAKSWSNSLLASSINTWDDLAKKFLAKFFPPAKTTIDAAVVGALMSKSIDKAYDLLEEIESNNYQWPSERLGTRKIAGMHELDVINTVSTQLTSFAKKIDKLSVNAVQNSFMTWSSSTAKSNFPLGFPSRAPMLEKKPSMEDIFMQFMTKTNAFIQNQATSIRNLEIQVGQLASALNIRPQGILPSDTEPNPRREGKEHCMAITLHNGKENKLPPMLKDPGSFSIPCTISSFKISRALCDLGVRVSIIPLSIARKLGFQEIQPTTVTLQLADRTIRYLVEIIEDVLLKVGHLYILVDFIVLEIEDDVEIPLILRRPFLATAGAIIDVKNDKITFRVGEEEVLINLFNVIQYLYTDGCYKVDSVNEGKGKPISRPSTTQAPTFETKPPPLSS >EOY07855 pep chromosome:Theobroma_cacao_20110822:5:3015319:3016789:-1 gene:TCM_022178 transcript:EOY07855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKNITKKKLKFFHIPRRKEWGRGVLFSNKSLGPNCQDQDSEFDRRPHIPPTPNPPLPIPKHGSYLQRVPSHPIPSHPTHQSGFPSFPLHEEIFISPSV >EOY09155 pep chromosome:Theobroma_cacao_20110822:5:26652816:26658851:1 gene:TCM_024554 transcript:EOY09155 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 domain-containing transcription factor isoform 2 MASTSSSDPGMKAEASGGENSETVIANDQLLLYRGLKKAKKERGCTAKERISKMPPCTAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNVSREDYLASLRRKSSGFSRGISKYRGLSSSRWDSSFGRVSGSEYFNSMQYSDDPATESDYIGGFCIERKIDLTGCIKWWGTNKTCQAEPGAKSSEEAKHACPEDIGSELKTSEWAVQPTEPYQMPCLGTSLEGTKRKGSSASALSILSRSADFKSLQERAVKKQENNADNDENENKNTINKMDHGKAAEKSVNHDTGSDRLGATLGMSAGLSLQKNVFPLTPFLSAPLLTNYNTIDSLVDPVLWTSLVPVLPTGPSRNPEASKTETSSTYTFFRPEG >EOY09154 pep chromosome:Theobroma_cacao_20110822:5:26652935:26657928:1 gene:TCM_024554 transcript:EOY09154 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 domain-containing transcription factor isoform 2 MASTSSSDPGMKAEASGGENSETVIANDQLLLYRGLKKAKKERGCTAKERISKMPPCTAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNVSREDYLASLRRKSSGFSRGISKYRGLSSSRWDSSFGRVSGSEYFNSMQYSDDPATESDYIGGFCIERKIDLTGCIKWWGTNKTCQAEPGAKSSEEAKHACPEDIGSELKTSEWAVQPTEPYQMPCLGTSLEGTKRKGSSASALSILSRSADFKSLQERAVKKQENNADNDENENKNTINKMDHGKAAEKSVNHDTGSDRLGATLGMSAGLSLQKNVFPLTPFLSAPLLTNYNTIDSLVDPVLWTSLVPVLPTGPSRNPEGC >EOY11083 pep chromosome:Theobroma_cacao_20110822:5:37855323:37859293:1 gene:TCM_026356 transcript:EOY11083 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein MQHYSSSIADELFFIEVNYCADFLVKMNVNGNEVFVEPTFSLFDAESTMVALEPGKDGDMVLESMAIVDVEVGDGEGTKTRKGLKRSSSNICHLQSANYLVSNSCNGIICLFGITNVFLYNPGTREFRIVKMQKKEFSPDNSSVDTFVRVFPLYQLVGFGRDQVTKECKIIRLFTPNEENPIHECEVFTLSSDAGASWKGLGEVAYFIRAAQLPVYLNGALHWILDERHANPSEVIVSFDLHTEKFQAISHTSCFSEVSDRSRLHHMELLSLRGSLCLVEGKYDWSSRQLNISIMNQSNGIGEKLFSINRGLMNYRFPLAFPIAELKDGTFLVLRHKKNLEIFDPESQSDSEVLIQHEKSVDYYAYSESLVPLYGVPLVN >EOY11289 pep chromosome:Theobroma_cacao_20110822:5:38838797:38841378:1 gene:TCM_026521 transcript:EOY11289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein isoform 1 MQENNLNHHNCRLLPKRIILVRHGESDGNLDTSAYSTTPDHKISLTEQGRAQARQAGSHLRDLISGHGSCSDWRVYFYVSPYERTLSTLREIGKSFSKKRVIGVREECRIREQDFGNFQVEGRMKVIKETREKFGRFFYRFPEGFLESLWRDIDLNRLHNDPSHDLNLIIISHGLAARVFLMKWFKWTVKQFELLNNLGNCEIRVMELGHGGEYSLAIHHTDEELLEWGLSPEMIEDQKWRIHSDKASWNDHCSYYLNSFFDHQLDSDEDVTKVDIDSDDDSRKN >EOY11288 pep chromosome:Theobroma_cacao_20110822:5:38838753:38841723:1 gene:TCM_026521 transcript:EOY11288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein isoform 1 MQENNLNHHNCRLLPKRIILVRHGESDGNLDTSAYSTTPDHKISLTEQGRAQARQAGSHLRDLISGHGSCSDWRVYFYVSPYERTLSTLREIGKSFSKKRVIGVREECRIREQDFGNFQVEGRMKVIKETREKFGRFFYRFPEGESAADVFDRVSSFLESLWRDIDLNRLHNDPSHDLNLIIISHGLAARVFLMKWFKWTVKQFELLNNLGNCEIRVMELGHGGEYSLAIHHTDEELLEWGLSPEMIEDQKWRIHSDKASWNDHCSYYLNSFFDHQLDSDEDVTKVDIDSDDDSRKN >EOY08382 pep chromosome:Theobroma_cacao_20110822:5:7121584:7123062:1 gene:TCM_022748 transcript:EOY08382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short chain alcohol dehydrogenase MSIAIPQKSKHQPSNIRLEDKVAIITGGASGIGASTVHIFHENGAKVVIADIKDSLGQAIADKLGENVYYIHCDVSNEDDICNLIDTTVSKYGRLDIMYNNAGIMDHAVGGIVDAKKSDLERLFGVNLVGAFLGAKHAARVMIPQRRGCILFTTSVCTAIAGLSTHSYAASKYAILGLAKNLTPDLGQYGVRVNCISPYGVVTSVPGIAEDQRSKVEQRLSDVGNLKGEILKPECVARAALYLGSDEANYVSGLNLLVDGGFSVVNPTMLKFANLV >EOY07657 pep chromosome:Theobroma_cacao_20110822:5:2358574:2361025:1 gene:TCM_022041 transcript:EOY07657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAILLSQTLTSTMLLLVTLFALTATTESYCSDAMATSLLSGGFTRFLYTLHARNFTTATRLTYLAPPDSALMNHNLDEDSLRSHISTDGALTYQSLLSLSPNTTLSTLCNNTSLVVGTDGEKVSINDILVAVPNLYVDGSCVVHGVDGPLVPIPSSPPVDDFPKTRMTTMKSRVVPKRQTLQISVYSKFIRRGNPPSVTDKGGKPNNSQFIYFVLVIFFVSRVSIVSGRALFCIDLVMFMYYLFLMLEVETGTFLVVAVMSNLSSNKQETQVTEDYTSWFSNRYGIASAGEEPASLFDMCYLHPVSDLDYPPQETAYQSEHGRRSEYDCRYWLSEYDSCCGGYWGDDLFSAGEYSRNDDGCNEPVVKTEDCDQSEDQYPSYTSYCEEDLSQPDSYYNPWPCHQYCEDEDSCSCSGEEPRNTDGCRLDELGLCHGIFGYFPCLLREQQRNNNTQ >EOY09724 pep chromosome:Theobroma_cacao_20110822:5:30769666:30773571:1 gene:TCM_025116 transcript:EOY09724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red impaired responsive family protein, putative MIFRSSAVKTIKFMETELDNDVQLEQTDNVTRGEVLDDSTDKGHQLRNDVQLEQTDNDIRGEVLDNATNFEYQLGNDGTMAGSSVQYGSQVEENDKITLNSSGVQLTVVEGDEPYVGQEFENEAAAHAFYSAYGMRMGFITRMNYHNRSKIDGSIVSRALVCNKEGYRKPYRRDVKNVRSRAPTRVGCKAMISIRKMSTGKWVITKFVKEHTHPLAGCQAQKALISNQMPNEDKRVQELTQQLLIERKRSASLRRFIDLLFNHIDEHTQGLSKRIQYIVDSVNKIESEGKNR >EOY10006 pep chromosome:Theobroma_cacao_20110822:5:32193532:32194272:1 gene:TCM_025377 transcript:EOY10006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLHGLKFNSNVALFVRDGEAMMVASFVVGNFTSGVVLMDAKRMLHNSCVYEVELRAVTWAVMMCLESNIMLDEIEVNNLLMAGWISSKNFKGASGLLLKDCVVLLEGMATQIVKHVLRKSNMVAHLVAKFAKDMPINQSIWKDASLIPICLEIL >EOY10703 pep chromosome:Theobroma_cacao_20110822:5:36022592:36034511:1 gene:TCM_026007 transcript:EOY10703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWLRAKKLSVKWKKRELRRIRRVNQLLIAENKRMQELVDDFLLTAEVDNNHVRQMNEAIQGMNDLNLDGNYNITTVNQVQPCCSSGSAADGDNNGYNRGGGDGNNGGGHHE >EOY10779 pep chromosome:Theobroma_cacao_20110822:5:36443053:36450882:1 gene:TCM_026084 transcript:EOY10779 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein MPGNTSNRVGSNVITVNVDKMPGLNTLGVSLVQIDYAPYGGLNPPHTHPRATEILVVMEGTLYVGFVTSNPENRLISKVLNPGDGFVFPVANAVFGSNPATNPDVLVKAFQLDINVVKHLHRHSNLVFQDRVSNEIAKILSLFQWSGEDITRGLVPVEIRNLPMKTSNTGRVFVNGKFCNNTKLAVADDFFSSSLNMPRNTLNPVGSKVTIVNVDQIPGLNIFGISLVRIDYALYGGLNPPHTHPRGIKILVVMEGTLYVGFVTSNPENRFVTKVLNPGDVFVFPIGLIHFQFNKGKLRLSPLLFSTAKMLGL >EOY07012 pep chromosome:Theobroma_cacao_20110822:5:170911:177090:-1 gene:TCM_021551 transcript:EOY07012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein isoform 1 MKNGMIECSVCHSKLVSPTTRGVSRAYDRHKSRVSSKQRVLNVFLVVGDCVLVGFQPILVYMSKVDGRFMFSPISVNFLTEVAKVLFAVVMLIFQARNQKVGEKPLLSISTFMQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKIIMRRRFSVIQWEALALLLIGISVNQLRSLPEGTTALGLPVSTGAYIYTLIFVTVPSLASVYNEYALKSQYETSIYLQNLFLYGYGAIFNFLAILGTAVIKGPSSFDILQGHSKATMLLIFNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFILGISIVFISMHQFFSPLSKVKDEQQNGKLELVDYQDNHRSKESFINMAAGANEEASHRVGSDERQPLLPT >EOY07013 pep chromosome:Theobroma_cacao_20110822:5:170867:177101:-1 gene:TCM_021551 transcript:EOY07013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein isoform 1 MKNGMIECSVCHSKLVSPTTRGVSRAYDRHKSRVSSKQRVLNVFLVVGDCVLVGFQPILVYMSKVDGRFMFSPISVNFLTEVAKVLFAVVMLIFQARNQKVGEKPLLSISTFMQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKIIMRRRFSVIQWEALALLLIGISVNQLRSLPEGTTALGLPVSTGAYIYTLIFVTVPSLASVYNEYALKSQYETSIYLQNLFLYGYGAIFNFLAILGTAVIKGPSSFDILQGHSKATMLLIFNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFILGISIVFISMHQFFSPLSKVKDEQQNGKLELVDYQDNHRSKESFINMAAGANEEASHRVGSDERQPLLPT >EOY11461 pep chromosome:Theobroma_cacao_20110822:5:39333947:39335295:-1 gene:TCM_026629 transcript:EOY11461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-4 MVDEQDQLLPIANVGRIMKQILPPSAKLSKEAKQTMQECATEFVSFVTGEASDKCHKENRKTVNGDDICWALSVLGFDNYAEAIVRYLHKYREAEREKASQNKATSSQDKDEESSEDKNGQPAQQTEAPPTPVTMGDNSVTEPS >EOY07649 pep chromosome:Theobroma_cacao_20110822:5:2327772:2333926:1 gene:TCM_022034 transcript:EOY07649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endomembrane-type CA-ATPase 4 isoform 2 MGKGGEGCGKGEMITSRPTADPDVFPAWAKDIQECEKRYQVNKKLGLSSAEVENRRKIYGYNELEKHEGQSIWSLILEQFNDTLVRILLVAAVVSFVLAWYDGEEGGEMEITAFVEPLVIFLILIVNAFVGVWQENNAEKALEALKEIQSEQATVIRDGVKIPNLPAKELVPGDIIELKVGDKVPADMRVLELVSSTLRVEQGSLTGESEAVNKTNKLVNEDADIQGKRSMVFAGTTVVNGNCFCLVTQIGMETEIGKVHAQIHVAAQSEEDTPLKKKLNEFGEVLTMIIGVVCVFVWLINVKYFLSWEFVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVAKLVAMGGDAGTLRSFRVDGTTYNPSDGKIHDWPSGGMDANLQTIAKIAAICNDAGVTHSDNKFVAHGMPTEAAIKVLVEKMGLPKGSLSGGSAGSDILRCCQWWNEYERRIATLEFDRDRKSMGVIVNSKSGRRSLLVKGAVENLLERSSKMQLCDGSVVPLDQNSRNLVSCALQDVSSCQLRCLGFAYKDELPEFETYDGGDDHPAHDLLLDPSNYSSIESNLTFVGLVGLRVSYIVSMTAFRIVLIIFFSGELIVLAAAFKCLQDPPREEVHQAIVDCKAAGIRVMVITGDNKDTAEAICREIGVFGPTEDISSNSLIGKEFMELLDKKAHLRQSGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVAAIGEGRSIYDNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITAWILFRYLVIGLYVGMATVGVFVIWYTHGSFLGIDLSGDGHTLVRYSQLANWGQCSSWENFTVSPFTAGTQVFSFENNPCDYFQGGKVKAMTLSLSVLVAIEMFNSLNALSEDGSLLTMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSFNEWLLVLAVAFPVILIDEILKFIGRSTSGIQSSRQRPLKPKSE >EOY07650 pep chromosome:Theobroma_cacao_20110822:5:2327968:2333556:1 gene:TCM_022034 transcript:EOY07650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endomembrane-type CA-ATPase 4 isoform 2 MGKGGEGCGKGEMITSRPTADPDVFPAWAKDIQECEKRYQVNKKLGLSSAEVENRRKIYGYNELEKHEGQSIWSLILEQFNDTLVRILLVAAVVSFVLAWYDGEEGGEMEITAFVEPLVIFLILIVNAFVGVWQENNAEKALEALKEIQSEQATVIRDGVKIPNLPAKELVPGDIIELKVGDKVPADMRVLELVSSTLRVEQGSLTGESEAVNKTNKLVNEDADIQGKRSMVFAGTTVVNGNCFCLVTQIGMETEIGKVHAQIHVAAQSEEDTPLKKKLNEFGEVLTMIIGVVCVFVWLINVKYFLSWEFVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVAKLVAMGGDAGTLRSFRVDGTTYNPSDGKIHDWPSGGMDANLQTIAKIAAICNDAGVTHSDNKFVAHGMPTEAAIKVLVEKMGLPKGSLSGGSAGSDILRCCQWWNEYERRIATLEFDRDRKSMGVIVNSKSGRRSLLVKGAVENLLERSSKMQLCDGSVVPLDQNSRNLVSCALQDVSSCQLRCLGFAYKDELPEFETYDGGDDHPAHDLLLDPSNYSSIESNLTFVGLVGLRDPPREEVHQAIVDCKAAGIRVMVITGDNKDTAEAICREIGVFGPTEDISSNSLIGKEFMELLDKKAHLRQSGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVAAIGEGRSIYDNMKAFIRWTTCNSIGIQSSRQGHHEEASSQK >EOY07648 pep chromosome:Theobroma_cacao_20110822:5:2327772:2333926:1 gene:TCM_022034 transcript:EOY07648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endomembrane-type CA-ATPase 4 isoform 2 MGKGGEGCGKGEMITSRPTADPDVFPAWAKDIQECEKRYQVNKKLGLSSAEVENRRKIYGYNELEKHEGQSIWSLILEQFNDTLVRILLVAAVVSFVLAWYDGEEGGEMEITAFVEPLVIFLILIVNAFVGVWQENNAEKALEALKEIQSEQATVIRDGVKIPNLPAKELVPGDIIELKVGDKVPADMRVLELVSSTLRVEQGSLTGESEAVNKTNKLVNEDADIQGKRSMVFAGTTVVNGNCFCLVTQIGMETEIGKVHAQIHVAAQSEEDTPLKKKLNEFGEVLTMIIGVVCVFVWLINVKYFLSWEFVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVAKLVAMGGDAGTLRSFRVDGTTYNPSDGKIHDWPSGGMDANLQTIAKIAAICNDAGVTHSDNKFVAHGMPTEAAIKVLVEKMGLPKGSLSGGSAGSDILRCCQWWNEYERRIATLEFDRDRKSMGVIVNSKSGRRSLLVKGAVENLLERSSKMQLCDGSVVPLDQNSRNLVSCALQDVSSCQLRCLGFAYKDELPEFETYDGGDDHPAHDLLLDPSNYSSIESNLTFVGLVGLRDPPREEVHQAIVDCKAAGIRVMVITGDNKDTAEAICREIGVFGPTEDISSNSLIGKEFMELLDKKAHLRQSGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVAAIGEGRSIYDNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITAWILFRYLVIGLYVGMATVGVFVIWYTHGSFLGIDLSGDGHTLVRYSQLANWGQCSSWENFTVSPFTAGTQVFSFENNPCDYFQGGKVKAMTLSLSVLVAIEMFNSLNALSEDGSLLTMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSFNEWLLVLAVAFPVILIDEILKFIGRSTSGIQSSRQRPLKPKSE >EOY10506 pep chromosome:Theobroma_cacao_20110822:5:35108947:35111756:1 gene:TCM_025848 transcript:EOY10506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR33-9-related protein 3 isoform 1 QLERSKPKQTKPDHSPVSMLPPPPKKSHLNELGPKSQGLNHPLFQCAVLILPWLNPQELATISFTCKTLHQLALSVTLHRSLDASRSFENLPIPFHNTVDQYPYAYFIYTPSQIIPSPSFPYLQRQSWGPNNLLSQLGPNSSQGQALSGSSSSDAEMGCAYFQRSRVADDSMWVSVVGESACVCERCLKVTEDNVIGCPCMELEGAEWMGILSECGPSCRCGLECGNRPSQRGIRVQLKIVRDVRKGWSLYAAQWIQRGQFVCEYAVMHSWYMICIFGDQSLNAVLFAIWLDR >EOY10505 pep chromosome:Theobroma_cacao_20110822:5:35108970:35111756:1 gene:TCM_025848 transcript:EOY10505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR33-9-related protein 3 isoform 1 MLPPPPKKSHLNELGPKSQGLNHPLFQCAVLILPWLNPQELATISFTCKTLHQLALSVTLHRSLDASRSFENLPIPFHNTVDQYPYAYFIYTPSQIIPSPSFPYLQRQSWGPNNLLSQLGPNSSQGQALSGSSSSDAEMGCAYFQRSRVADDSMWVSVVGESACVCERCLKVTEDNVIGCPCMELEGAEWMGILSECGPSCRCGLECGNRPSQRGIRVQLKIVRDVRKGWSLYAAQWIQRGQFVCEYAGELLTTKEARRRQQIYDELASGGHFSSALLVVREHLPSGKACLRINIDATRVGNVARFINHSCDGGNLSTVLVRSSGALLPRLCFFASKDINEDEELTFSYGEIRVKPKGLQCFCGSSCCLGTLPSEHT >EOY09121 pep chromosome:Theobroma_cacao_20110822:5:26226678:26234169:-1 gene:TCM_024509 transcript:EOY09121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase, putative MWGIEGGEIIKSQLQFHVPKYYYNFPLVTRFHSSSMGKSCLVNKCSIMHSTMLLLGLLLAILKPTGAEVGVCYGMMAKNLPPSAEVIALFQQKNIQRMRLYGPDEAALQALGGTNIKLLLDVPNDHLERVAASQGNADQWVQDNVKKYSNVNFQYLAVGNEVKPSDSFAQFLFPAMQNIRNAIVGAGLGNQIKVSTATFFGALKVSFPPSNGNFHPEYQQLLAPVINFLRDNQAPLLVNTYTYFSHIENPGQVSLDYALFTSPSIVVTDGPLQYQNLFDAMLDAFYSALEKNGGGSLDIVVSESGWPSDGGPATSVDNARTYNTNLVRHVNEGTPKKRGKPIDTYLFAMFDENGKEPEYEKHWGLFFPNKQEKYPISFN >EOY11522 pep chromosome:Theobroma_cacao_20110822:5:39516200:39517982:1 gene:TCM_026669 transcript:EOY11522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancy/auxin associated family protein isoform 3 MGLLDQLWDDTVAGPRPDNGLGKLRKHSTFTFRPSSAKADGGSVRSYGDETSEEPTRVTRSIMIVKPPGYQNGSPPISPAGSTPPVSPFSGGRESFRFRRKSTSDAYEKASEVGPRSPHPPYDV >EOY11521 pep chromosome:Theobroma_cacao_20110822:5:39516262:39517973:1 gene:TCM_026669 transcript:EOY11521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancy/auxin associated family protein isoform 3 MGLLDQLWDDTVAGPRPDNGLGKLRKHSTFTFRPSSAKESDGGSVRSYGDETSEEPTRVTRSIMIVKPPGYQNGSPPISPAGSTPPVSPFSGGRESFRFRRKSTSDAYEKASEVGPRSPHPPYDV >EOY11523 pep chromosome:Theobroma_cacao_20110822:5:39516277:39517068:1 gene:TCM_026669 transcript:EOY11523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancy/auxin associated family protein isoform 3 MGLLDQLWDDTVAGPRPDNGLGKLRKHSTFTFRPSSAKESDGGSVRSYGDETSEEPTRVTRSIMIVKPPGYQNGSPPISPAGSTPPVSPFSDILSRKGGLLCLETSP >EOY11524 pep chromosome:Theobroma_cacao_20110822:5:39516277:39517068:1 gene:TCM_026669 transcript:EOY11524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancy/auxin associated family protein isoform 3 MGLLDQLWDDTVAGPRPDNGLGKLRKHSTFTFRPSSAKESDGGSVRSYGDETSEEPTRVTRSIMIVKPPGYQNGSPPISPAGSTPPVSPFSGNNISHFLNYFLLLMPNLSLIYFLKSQDILSRKGGLLCLETSP >EOY07170 pep chromosome:Theobroma_cacao_20110822:5:718447:722381:-1 gene:TCM_021675 transcript:EOY07170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysyl-tRNA synthetase 1 MDSCVSEPEPASSETLSKKYCSSCALLSVALKRERRARERQVREEEKKRQVSTIRTSQNQRQQAADDDTIDPTQYLRNRIKSLALLKESGFNPYPHKFVVSMSITEFVAKFGSLSIGEHVESTEISLAGRIMNKRSSSSKLYFYDLQGNGAKIQVMTDARHSNMDENEFSNYHSGVKRGDIVGISGFPGKSQRGELSIFPKSFAVLTPCLHMLPRHTVTSNCDEAQSKKKTTDHLWTPGMTRNPETYTLRDQETRYRQRYLDLMLNPEVQKVFRTRAKIISYYRNFLDNLGFIEVETPVMTMTAGGAAARPFITHHNELDMKLYMRISLELYLKKLVIGGLDRVYEIGKVFRNEGMDLTHLSEFTMCEFYMAYADYNDLMDLTEKLLSGMVKELTGSYKIKYHGNGFDSEPIEIDFTPPFRRMDLIEELESRANLSIPKDLSSEPANWYLLEACERFDVKCPPPHTTTRLLDKLVGHFLEETCINPTFIINHPETMSPLAKWHRSKPGLTERFELFVNKRELCNAYTELNDPEVQRQRFAEQLKDRQSGDDEAMVLDESFCTALEYGLPPTAGLGMGIDRLAMLLTDSPNAKEVLLFPAMKPQD >EOY10696 pep chromosome:Theobroma_cacao_20110822:5:35955279:35958315:1 gene:TCM_025999 transcript:EOY10696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLGRIIGDGSKINFWEEKWITASFSNLFFHESLHLHLKKTRRFVILGNGPRIVGLEKLNFKEMSLVRNVSSGTASNLFFMIK >EOY09801 pep chromosome:Theobroma_cacao_20110822:5:31116765:31120135:-1 gene:TCM_025177 transcript:EOY09801 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MGGSTEHIVMLPLMAHGHLIPLLALAREIHQRKGFTITIASTPLNIKYLRSTICKDSSSTTDRQSAIHLAELPFCCSGLPPNSDNTENLHVDQIGTLLRSTISLETPFHRLLLDIIERDGKPPMCVISDFFMGWAVDVAKAVGTSSVTFTPLGAYGTLAYWSSWLNVPHGIIDSEEFHLPGFPDTCRFPVSILTPNLRMADGNDSWSRIIQPQILSAFQSSGYLCHTVEELEHLALEWLRKYTNLPVWPVGPLLPQTVLNNSSSSGSFISKQRTGKESGISLERCLEWLDSHRPASVLYISFGSQNAISQSQMMNLATGLESSKTPFIWVIRPPRGFDLIGEFKAEWLPEKFEDRMRESKQGLLVKNWAPQLEILMHKSTGAFLSHCGWNSVLESLSQGVPIIGWPLEAEQIFNCKMLMEEMGVCVELTRGAQSTIEGGKVEKVIQMVMDKEGKGGEMKRKAVETGKKIRTAKRQEGEEKGSSIKALDDFVAAIIAMRKEQCVGAMRNE >EOY07109 pep chromosome:Theobroma_cacao_20110822:5:462177:465715:1 gene:TCM_021621 transcript:EOY07109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor lectin protein kinase-like, putative MASAASLALLFCFFPLLIALAQSVEFIFPGFNASEASLTREGASIIKPTGVLRLTNKAHNVAGHAFYYQPIPMLDRYTSVSRNASSFSTSFVFEIVPSSPGQGGYGLAFTLSPTPSFYRAGTAHYLGIFNSSNDGSETNHIFAVEFDTVNGHNEKDDRRGNHVGIDINSVDSTSLEMAAYFVNGIKEDLALEGEGPVHAWIEYGVQKIVNVTVCPLGKEKPTEPLISGLIDLRSVVKETMYVGFSAATGRKSSSHYILGWSFSTNGEAPTLSISQLPTTPVEKSSSNSFEPQIIALIAALSVVTMLLLVSLISLTLYRRMTRYENLEAWELDYPHRFSYRDLYTATKRFKESELIGVGGFGAVYREIESLGRLRHKHLVNLQGWCKQKNDLRLVYDYIPNWSLDTPLFNRKDNFVLSWARRFDIIKGIGAGLLYLHEEWEQVVIHRDVKSSNVLIDAEMNARLGDFGLARLYDHGTASHTTNVVGTIGYIAPELARNGKASTSIDVFAFGVLLLEIATGRRPTDSGNFFLVDWVMECRQMSRILDAADLKLNSNYVVEEMELILELGLLCSHQRPGCRPTMRQVMQYLNEDGSLPFFDDWGSIDSQSIFETNARFLEVISKDSILTSHSSSIGSISSTSISAGR >EOY07666 pep chromosome:Theobroma_cacao_20110822:5:2374208:2376219:1 gene:TCM_022045 transcript:EOY07666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S24e family protein, putative MNLLRNAIKSHVSVRTIRPMQALPSFLGASPKRFSTGTDEPQPPQPDASVNQFMESASKGFVFAKLHGITKHTMKSDIMSLLEGCNLTADDIKVHYSRSFFPLGMMLRFSSPAAFSNSARTIRRFDRLYRLEKVEASDWDIVRPYNGKTVLLLGIPRNALVEDVERFLSGCDYDPSSIQMVTVPKPGTPVPFRFTTVRFPSQIQAMNACISKNRNVCLNNQLSVRVLH >EOY08618 pep chromosome:Theobroma_cacao_20110822:5:13943994:13948218:-1 gene:TCM_023428 transcript:EOY08618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHSPSLLNPHGLVVGSPSNPLLGLPPAAIASPCQLMVTHNLPANQKLQSPPVHGLPQAMQSAEELHNASTVGNSLGFKGIGLIGAYEVWFIASQKMRVFKWFVDFQMEKESLIILVWKYFPNLKVHLLKKSALLTIAKIAGKSLVVDEAMANALGEALRGDAAPKMQIRPDTYGTNISPRTSYGLVASIAYNISIEEDSEMVEEQGACSVIKEHISVPFGAIVGSEDIEVHPSFSC >EOY09279 pep chromosome:Theobroma_cacao_20110822:5:27961068:27974301:1 gene:TCM_024705 transcript:EOY09279 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase MMIRLLTMSRMRIFEVLRLLVLGCNSLAFVKGLTTLTQYKIEKLTSLDGIHTFQDFVRGGLIEYLDVNEQNNALIALYEGEATSETTHIEIEPFTILGVCAGLIPYPHHNQSPRNTYQCAMGKQAMGSIAYNQFSRMDSVLYLLLYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIVMKSYTAIYQKNYENGTSDRVLRPQRTGPGSERMQILDDDGLAAPGEIIKPNDIYINKESPIHTTGQRVPADGQQDIGYKPAKQTFKGPEGESCVVDRVAFCSDKSENLCIKFIIRHTRRPEVGDKFSSRHGQKGVCGTIIQQEDFPFSETGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGRFYYGSAFGEASGHADRVEAISETLVKRGFSYNGKDFLYSGITGCPLQAYIFMGPIYYQKLKHMVRFSSHYSSGPRVMLTRQPTEGRAGNGGLRVGEMERDCLISYGASLLIFERLMISSDPFEVQVCRKCGLLGYFSHMLKRGICSSCSNGDNISMIKLPYACKLLFQKRASFENPFYLNEFMDTFDW >EOY08628 pep chromosome:Theobroma_cacao_20110822:5:14091654:14103593:-1 gene:TCM_023447 transcript:EOY08628 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing small subunit of acetolactate synthase protein isoform 1 MAAASVSPSPYSTTTIPTQNPPFSRKTTGFLHLRQCSIYYNGFKARSRSLHVVSATTDKANALPLNETVSPATASKVKRHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKAIFTIVVSGTEMILRQVVEQLNKLVNVIKVEDISKEPHVERELMLIKLHADADTRAEVMWLVDIFRAKIVDTSEQFLTIEVTGDPGKMAAVQRNLSKFGIKELARTGKIALRREKLGQTAPFWGFSAASYPDLDSRSTNGGSIRDAKQLLNGNAHTYSKGDVYPVEPYDDFPINQVLDAHWGVLFDEDSSGLQSHTLSMVVNDTPGVLNVVTGVISRRGYNIQSLAVGPAERDELSRITTVVPGTNETIGKLVQQLQKLVDLYEVQDMTHLPFAERELMLVKVAVNTAARRDVLDIASIFRAKAVDVSDHTITLELTGDLNKMVALQRLLEPYGICEVTRTGRVALVRESGVDSTSLRGYSLPF >EOY08629 pep chromosome:Theobroma_cacao_20110822:5:14090579:14103593:-1 gene:TCM_023447 transcript:EOY08629 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing small subunit of acetolactate synthase protein isoform 1 MAAASVSPSPYSTTTIPTQNPPFSRKTTGFLHLRQCSIYYNGFKARSRSLHVVSATTDKANALPLNETVSPATASKVKRHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKAIFTIVVSGTEMILRQVVEQLNKLVNVIKVEDISKEPHVERELMLIKLHADADTRAEVMWLVDIFRAKIVDTSEQFLTIEVTGDPGKMAAVQRNLSKFGIKELARTGKSSGLQSHTLSMVVNDTPGVLNVVTGVISRRGYNIQSLAVGPAERDELSRITTVVPGTNETIGKLVQQLQKLVDLYEVQDMTHLPFAERELMLVKVAVNTAARRDVLDIASIFRAKAVDVSDHTITLELTGDLNKMVALQRLLEPYGICEVTRTGRVALVRESGVDSTSLRGYSLPF >EOY11599 pep chromosome:Theobroma_cacao_20110822:5:39826657:39828924:1 gene:TCM_026734 transcript:EOY11599 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding,nucleic acid binding,helicases, putative MVPLVSTPFVIWFLLLAILLEIDSKEKKTKMRAWRCTSSSSALQLRLLLLGNSFSLSPPIFVSSLKYPFFSTSSTRFKKSKYVFHKIEDALDLFNHMLHTYPRPSIVEFTQVLGAIVRMKHYETAISLLRQMDLVGIRHDVYTLSILVNCFCRLRCSDFGFSLLGKMLKLGIQPDTTTFNTLVNGFCFEGKIAEAVILFDEIVRNGYQPDLITYNTMINGLCKVGYTSGATKLLRNMEQSGCVPDIVTYNTIIDCLCKDKLVPEALNLLSEMRGKGIPQDVVTYNSFIHAMCSSGRWNEVMRLLTEMVANNCKPNIVSYSILVDAFCKEGRVSEACDTIKVMIQQDVDPNTITYTALMGGYCLQGKMEEARRVLNLMITKGCVPNIYSYSIMINGYCKFQKIDEAMELFHEMSRNGLVPNTVTYNTLINGMCHLGRLSAARELFKEMSTRGLVPDMMTYSILLHGLCTHGHVDEAMELFHVMQSNGIEADIVHYSIIIDGLCQVGQLNVARKLFCALPVKGLHPNVYTCNIMIKGLCKEGLLNEAYDLFRKMEVNGCLQDSCSYNTMIKGFFQNNDVSRAVQILHEMVDRGFSADSSTATMVVDLLCKNGGDQSILELLFRNSEDDQNVNMK >EOY11202 pep chromosome:Theobroma_cacao_20110822:5:38325876:38329574:1 gene:TCM_026450 transcript:EOY11202 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein MGNSWFLGILLPIFLCLYLFGGFVNGLGVNWGTMATHKLPPKTVVQMIKDNGIKKVKLFDADSNTMSALAGSDLEVMVAIPNDQLLAMNSYDRAKEWVRRNVTRYNFNGGVNIKYVAVGNEPFLTTYNGSFVNITFPALQNIQNALNDAGVGDSIKATVPLNADVYNSPDSNPVPSAGRFRSDISGIMTQIVDFLSKNSAPFTVNIYPFLSLYGNDDFPFNYAFFDGGTPITDNGIQYTNVFDANFDTLVSALKAVGHGDMTIIVGEVGWPTDGDKNANVANAQRFYNGLMPRLAANTGTPLRPGYIEVYLFGLIDEDAKSIAPGNFERHWGIYRYDGQPKFPLDLSGQNQNRFLIGAQNVEYLPQKWCTFNPNAKDLSKLADNINYACTFSDCTALGYGSSCNNLDANGNASFAFNMYYQVQNQNDMACNFQGLGTVTTQNLSQGTCNFIIQIASSSSSVGPSLVGIAFLTALTSLLL >EOY08131 pep chromosome:Theobroma_cacao_20110822:5:4808679:4820963:1 gene:TCM_022462 transcript:EOY08131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MTFILVTVKYISYMTSNETIYLHIKKLTPKFPSTINGFSRPQLQTPNLRAISLYQIQVTMHYSKSNKSSRANTSSSSGGSASGAGASAATGRHPVYRGVRRRTSGKWVSEIREPRKPNRIWLGTFPTAEMAAIAYDVAALALKGQDAELNFPNSAASLPVPASTSPRDIQAAATSAAAALGAANDALFGNEDNEARNSPVGPDKPTTVDEFVDEDLIFDMPNVLVNMAEGMLLSPPRLDIAPAPAPADDAGDAENLWKFP >EOY10314 pep chromosome:Theobroma_cacao_20110822:5:34128223:34130072:-1 gene:TCM_025688 transcript:EOY10314 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MSSSASTPNPWTPYDTYKDCSQGLCSIYCPQWCYLIFPPPPPFSINDDDDNSGTDFSPLIIAVIGILASVFILVSYYTIISKYCRRRRQDHTRLQLNESRDELNHDGWQAASEGLDEGLIKSITVCKYKKGDGLIEGTDCSVCLSEFQEDESLRVLPKCNHAFHVPCIDTWLKSHSSCPLCRANIASTNSLPNQVAAVAVAAATIQEAPRNVSTLSAFEYQQRNDAISVIQDLEMGVREEAVITLVVSDDVGDMGNAKESSSMIGIGEDGNGIQPLRRSVSMSSSLCQGQVFSVADILRISEEDEDLQMEHHFQSSLGIGSSKQFDGEYCKSNCRNGVLNLVRSPLAMKRSISTGRFMFSRYEKDRNSILPN >EOY10827 pep chromosome:Theobroma_cacao_20110822:5:36703752:36707800:1 gene:TCM_026130 transcript:EOY10827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor, putative MMEHFNFYTTFLHHPLLQLLYALLFILSSIPVSLSEIKNTWVFDDYRSMILFESFGFSKNGHVEISVRNVSWTSRHQKTQPNPSSMGFFIANIHSLRSIWNESESKEGFCVLSRRYGKVIFKFENLTADSTYSGSIEIDDPDKYSLVFGNCQPEFRVSMHVHTEMYNLKEGEKDFLPAGQTPLPRLFFFFFLIYAAFLLIWVFACINQKPRVEKIHLIMAALLLFKCLKMICASEDKMYVRKTGTPHGWDVAFYIFGFFKGIMLFTVIILIGTGWSFLKPYLQEREKQVLMTVIPLQVLENITYVVISETGPATRDWMTWNQLFLLIDIACCCVVFFPIIWSIKSLREASKTDGKAGKNLEKLTLFKQFYIVVMGYLYFTRIMVSAVGAFLNYRLEWMMTVLEEGASLAFYVFIFYNFQPMEKNPYLVINDEEENAAAQMLEEDDSFEL >EOY11557 pep chromosome:Theobroma_cacao_20110822:5:39608887:39611794:-1 gene:TCM_026695 transcript:EOY11557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHVKKYLLLLVMANPDTLYIPFFLLSTLISLLLLNQNISTAKDDDLIRETCTQYIEFLDTCISTLEADPYSRIAITLLTSPTNPLCLPIELKSNVNVTVALDGSGHFKTIGAALDSVPSNTQFPYIILVKEDISASQFVAKDMTIINSAGPEGRQAVALRAYEFKITFYRCSIEAVSLQNSFICLRRLLQGQAYCTGERIQGQQHRNRHSQLHPHFSTGIHSIQSNKFNGTFALSTLYYAEYGNFGPGAHTEGRVNWPGYLLLTDCKDVENSTVKNFICGLLVTSIAALHRLVKLVAALLRGYASKVDTHMSGNSVYWVHVSLIKSSSFAVEIFWFKSKREIKVERRKKGMYCKK >EOY08713 pep chromosome:Theobroma_cacao_20110822:5:18488953:18491682:1 gene:TCM_023790 transcript:EOY08713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMEGRYAGGSRVGHSLLRKHVFSSKNFFLVETINSKRWNPTDHASIMLFGFSENLGVKNSLQVELLALYRGLVLCREYNITRLWIEMDAMVVIRLLQENYRGLYDIRYLLVTIRQLLSQFFFCISHIYREGNQAADLLVNMGHAHQNL >EOY10221 pep chromosome:Theobroma_cacao_20110822:5:33546212:33548757:-1 gene:TCM_025577 transcript:EOY10221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7 MIYDSNEIHIHISEERIIGEMSEMAVDGPVYKKIPVLLHHGKPIVESLFIIEYIDKTWKHNPILPADPYERAMVRSWARLMDETGEGAVVGVPASKFALARLLLEGSKRVLYLEGDQLKKEIEQVSDAMAVLEGMLKGKKFFGGEMVGFLDIAFGWTTVWLEAIEEVAGVEFFNRDKYPHLDNWKNEFKEIHAVKDSLFPMERLVRFFKNNRQSQLKLAAQK >EOY09646 pep chromosome:Theobroma_cacao_20110822:5:30332417:30333868:-1 gene:TCM_025043 transcript:EOY09646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein, putative MRVMVGIDGSDASFYALQWTLDNLFNGLISPAPAVVGGEATLLTLVHVHQPIKHYGFAPSAFPAGPGVLAYASTTLVDSVRKSQEQISAGILSRALKMCKDKIKAETLILEGDPTDMICDISEQMNVDLLVVGSRGLGKIKRALLGSVSDYCAHYAKCPTLIVKPLKEASK >EOY11565 pep chromosome:Theobroma_cacao_20110822:5:39640096:39650781:-1 gene:TCM_026701 transcript:EOY11565 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit TEN1 [Source:Projected from Arabidopsis thaliana (AT1G56260) UniProtKB/Swiss-Prot;Acc:Q6NME7] MASPAIKPGALVTLPELRPSSEFFKEGASLRVTGKLQEYSVETAIAVIADDSATLKVDTQHLRELSFRVGSIFQFIGELHIQPNSEAILQARAGRNVDGIDLNLYHQALQLVRQFQAERVNSATT >EOY08923 pep chromosome:Theobroma_cacao_20110822:5:23159605:23178062:1 gene:TCM_024199 transcript:EOY08923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-arabinofuranosidase 1 MGYHKVPCSVLFLFLFISVCFSYQCLADGVEANQTGQLLIDASEGSGHPIPDTLFGIFFEEINHAGAGGLWAELVSNRGFEAGGSNIPSNIYPWSIIGDESSLIVSTDRSSCFERNKVALRMEVLCDSEGTHICPSGGVGIYNPGFWGMHSTSFLIQNIEQGKSYKVVFYVRSTAAVNISVSFSGSNGLQTLASANIIASASDVSNWTKMEVLLEAKATNQNSRLQLTTTKEGVIWFDQVSAMPLDTYKGQGFRNDLIEMLADIKPRFIRFPGGCFVEGEWLRNAFRWKESIGPWEERPGHFGDVWMYWTDDGLGYFEFLQLAEDLDALPIWVFNNGISHNDQVDTSTILPFVQEALDGIEFARGDPNSEWGAVRAAMGHPEPFDLRYVAIGNEDCGKKNYRGNYLKFYDAIRRAYPDIKMISNCDGSSHQLDHPADFYDFHVYTSASNLFSMSHQFDRTSRTGPKAFVSEYAVTGKDAGTGSLLAALAEAGFLIGLEKNSDVVQMASYAPLFVNSNDRRWNPDAIVFDSYQVYGTPSYWVQHFFIESSGATLLNATLQTNSSMSLVASAITWQTSKDDQTYIRIKVVNFGSNSVNLKISVSGLDPNSVKLSGTKMTVLTSTNLMDENSFKEPTKVVPTESLLKNADKEMTVQLSPHSFTSIDLLKESVSLRITEADSTSVSSI >EOY07519 pep chromosome:Theobroma_cacao_20110822:5:1825859:1829433:1 gene:TCM_021932 transcript:EOY07519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein isoform 1 MKERQRWRAEEDALLHAYVKQYGPREWNLVSQRMNTPLNRDAKSCLERWNNYLKPGIKKGSLTEEEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQLREQKEKNKTVDPIEEGKYDRILETFAEKLVKERHSSAFSMATSNGGFLHTDPPSPAPTLLPPWLSNSSTAPAVRPPSPSVTLTLSPSTVTAAPPIPWLQPERMSENTPVVLGNMVPYGSIPPCGENPLVSELVECCRELEEGQRAWVAHKKEAAWRLRRVELQLESEKACRRREKMEEIESKVKALREEQKATLDRIEAEYREQLEGLRRDAEAKEQKLAEQWAAKHLRLTKFLEQMGCRPRLTEPNGR >EOY07520 pep chromosome:Theobroma_cacao_20110822:5:1826162:1830013:1 gene:TCM_021932 transcript:EOY07520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein isoform 1 MKERQRWRAEEDALLHAYVKQYGPREWNLVSQRMNTPLNRDAKSCLERWNNYLKPGIKKGSLTEEEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQLREQKEKNKTVDPIEEGKYDRILETFAEKLVKERHSSAFSMATSNGGFLHTDPPSPAPTLLPPWLSNSSTAPAVRPPSPSVTLTLSPSTVTAAPPIPWLQPERMSENTPVVLGNMVPYGSIPPCGENPLVSELVECCRELEEGQRAWVAHKKEAAWRLRRVELQLESEKACRRREKMEEIESKVKALREEQKATLDRIEAEYREQLEGLRRDAEAKEQKLAEQWAAKHLRLTKFLEQMGCRPRLTEPNGR >EOY10180 pep chromosome:Theobroma_cacao_20110822:5:33372585:33373511:1 gene:TCM_025549 transcript:EOY10180 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG2-like (avirulence induced gene) family protein MGVENNNCTNLIFTYGTLKRGFSNHVLLQDLMRTGDAVFKGTYRTVEKYPLVCGPYRVPFLLNMPGAGHRVTGELYAVSTRGLARVDELEGTSRGHYERLPIQLMPAGNGNESGNEENEEDLLTCAAESYYAHKSYEKEMWKRNGREGFWIYSEKEAKGYVKRKDRPQNLTFLDHIRIFTSSASD >EOY10126 pep chromosome:Theobroma_cacao_20110822:5:33099387:33101911:-1 gene:TCM_025504 transcript:EOY10126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIDPAVKGTLNVLRPCVKVPSIKRLIITSYVTAALFSGKPLADDLSSFLDQFGSLLNFKCTDEKQSIPVLQVSQERAKSLGVNFTPIEVSLKDTFESLKEKNFL >EOY08784 pep chromosome:Theobroma_cacao_20110822:5:20046038:20047828:-1 gene:TCM_023925 transcript:EOY08784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSNTFKYCLSLELQKHLEIWLKRHSSLALSATRHYFESVNVSNDKNCINLQQNVSFKSSVEQEEQPAKDHTSKVWSVDMHFGYLVASVISPF >EOY08885 pep chromosome:Theobroma_cacao_20110822:5:22726768:22727445:-1 gene:TCM_024158 transcript:EOY08885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATNWSTPPPRTLKLNTDGVAKGKPRLAGNEGVIRDHHGFIRETFSENIGIQDSNFVKFYAIREGISLFFSSPWVTTHSVVVENDSANAINWVQHHCKVLWRMKNTSNSIETFLRRSTRFTFKQIMREANNIADGLAKAGVLRDSNFKAYFQNQ >EOY10238 pep chromosome:Theobroma_cacao_20110822:5:33674478:33680101:1 gene:TCM_025600 transcript:EOY10238 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MEIPTGSAGNLVSEIAKFMFLQIKRRISYVFHHQRRVETFEEKVQRLKERRDRVQHDVNAAKRNAEKIEIGVENWLIEVDKIINERAKEMKDLEDKARNKCFIGLCPNFKSRYQLSKESEKDANAVDELLQQGEFDKVSYRDLPRAIVDASPKDFEAFDSRKQVFNEIMEVLKHPSINIIGVYGTGGVGKTTLVKEVAKKVKGDKLCDVVVMADVTQTLDIQKMQDQIAESLGLKLEEKSVAIRASRLHERLKEEKKILVVLDDIWARLDLKEVGIPIADEHEGCNILLTSRDLNVLLNGMDAQKTFLIDVLKEEEAWDLFKKMAGNSAESPELRSIATKVAEKCAGLPIAISTVARALRNKALFEWKDALRQLQRPSSSNFCGVPADAYAAIELSYNHLKDEELKQAFLLCSLLGHNALIQDMLKYSMGLGLFSSVNTVEEARDRLLTVVSNLKTSCLLLDSYTDQCFDMHDLIRDVAISIASRENRVFALNKEDVQKDWPNVEAMKNCDKISLRYASISKLPDQLKCPKLTFFYMGSRDPSMEMPTNFFNETKNLKVLDLTKMHFLSLPSSISLLSNLGTLCLDQCVLGDIAIIGELKNLEILSLLKSHIEMLPKEIGQLIKLKLLDLTGCTKLKIILPNILSSLSRLEELYMGNSFVQWETEGHTQRSNASLAEFKALSCLTALDVHIPNAKIIPRDLFFEKLQRYKIFIGEAWDWDGQIEYSTLKLQLNTSIRYLNYGLKMLLNKVEHLHLYEMTGVKILLFESEHKECFRQLKNLHIQNGAMIQYIIKHNDVDKIEFLQLHSLTLHGLPKLVSFCSGCDDSTSISPKELPLFNDKDKGSTMLYLFFYKLLYDSYCLSWFQPELNFCIGAVLFVGAANFILSRLYYFAIIMFPKLEKLKLSSINIERIWHYQLPSGSYSMQNLTSLIIEGCDNLKHVLSCSMAECLQQLKSFEVIDCRCIQEIVATEEIKEDGKRATISFPLLNSLKLKALQKLIGFCHENYFLEFPSLKILEIEHCLKLKGFINKSMSKDITIGSTTEALFNEQVAFPNLEKVTISHLRNVKRLWFNQLHADSFYKMKELKVEYCDELLNIFPSFVLGAFQRLEILRVTDCGSLEEVFEHQVHGLEIKDTCDVALQLKEMYLFRLPKLKHVWNKDPQGNISFQALRVVDVWKCWSLKSLFPFSIAKGLPQLESLEITSSGVEEIVSKNEGLEQEIRFEFNQLSFLSLWNLTNLKCFYSGRHTTVWPALKNLKTHRCGKIKILGQLESHIQQPLFHIEKVQSLYSSFGDVHTFYLTHPLPQ >EOY07078 pep chromosome:Theobroma_cacao_20110822:5:379699:381590:1 gene:TCM_021598 transcript:EOY07078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFYAISWSVWLQRNEVVFRGVNWDANQVWENSKLRVAVWAKAKWPHKNGSTIDTYRNPSLGAAITQLKQGRKANGWATPAPREMKFNVDEATKGSPGESGIGGVMRDEHGHIKIMFSKSIGVGDANLAEIIAIREAFILFIASKWGQTKSLIIERDSSNAVKWVNQPTKGPWRLQKWILHVERLKREVISWQINHTFGGNNQLADRLAKAGIQRVQDLINELD >EOY07241 pep chromosome:Theobroma_cacao_20110822:5:901808:905191:1 gene:TCM_021713 transcript:EOY07241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MSCRCFGLFELFKGRNSHDQKQAQEFATDNIRLFSYNSLRSATSDFHPSNRIGGGGFGVVYRGVLRDGTQVAIKTLSAESKQGLREFVTEIDMISNIRHPNLVELIGCCVDDNHRILVYEYLENNSLASVLLGSRSKYIALDWPKRAAICLGTASGLAFLHYEAVPHIVHRDIKASNILLDGNFQPKIGDFGLAKLFPENVTHVSTRVAGTVGYLAPEYALLGQLTKKADVYSFGVLVLELISGRSSSKAAFGVELMLLVEWTWKLKEEERLLDIVDPELTNYPQGEVMRFIKVALFCTQATAHQRPTMKQVVEMLSKDVHLNEKILTKPGVYKGPTSRHLGASGSGTSSFSKTKGKQSADPSSSTNIYSSHSITEMLPR >EOY07242 pep chromosome:Theobroma_cacao_20110822:5:902058:905191:1 gene:TCM_021713 transcript:EOY07242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MTRNKLKGVLRDGTQVAIKTLSAESKQGLREFVTEIDMISNIRHPNLVELIGCCVDDNHRILVYEYLENNSLASVLLGSRSKYIALDWPKRAAICLGTASGLAFLHYEAVPHIVHRDIKASNILLDGNFQPKIGDFGLAKLFPENVTHVSTRVAGTVGYLAPEYALLGQLTKKADVYSFGVLVLELISGRSSSKAAFGVELMLLVEWTWKLKEEERLLDIVDPELTNYPQGEVMRFIKVALFCTQATAHQRPTMKQVVEMLSKDVHLNEKILTKPGVYKGPTSRHLGASGSGTSSFSKTKGKQSADPSSSTNIYSSHSITEMLPR >EOY07240 pep chromosome:Theobroma_cacao_20110822:5:901829:905263:1 gene:TCM_021713 transcript:EOY07240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MSCRCFGLFELFKGRNSHDQKQAQVPDKVLICSCVCCICAWLEFATDNIRLFSYNSLRSATSDFHPSNRIGGGGFGVVYRGVLRDGTQVAIKTLSAESKQGLREFVTEIDMISNIRHPNLVELIGCCVDDNHRILVYEYLENNSLASVLLGNHITPMPSTFGVLMSRNHNFSGSRSKYIALDWPKRAAICLGTASGLAFLHYEAVPHIVHRDIKASNILLDGNFQPKIGDFGLAKLFPENVTHVSTRVAGTVGYLAPEYALLGQLTKKADVYSFGVLVLELISGRSSSKAAFGVELMLLVEWTWKLKEEERLLDIVDPELTNYPQGEVMRFIKVALFCTQATAHQRPTMKQVVEMLSKDVHLNEKILTKPGVYKGPTSRHLGASGSGTSSFSKTKGKQSADPSSSTNIYSSHSITEMLPR >EOY11695 pep chromosome:Theobroma_cacao_20110822:5:40079526:40080321:1 gene:TCM_026789 transcript:EOY11695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLVTDEIKASASEIYHGDEICQEKSKFLLEEVGMPRGLLPLRDIEECGYVKDTGFVWLKQKKSITHKFEKIGKLVSYAPEVTAVVEQSKIKKLTGVKTKELLLWITLSDIYVDDPPTGKITFKTPAGLSRSFPVSAFEIEGEVKDAKEKKKEEKDVNEALEVKEV >EOY11647 pep chromosome:Theobroma_cacao_20110822:5:39922308:39923181:-1 gene:TCM_026760 transcript:EOY11647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAENFPIALLRVGSWQRVSRNEGDLVAKCYFAKRKLVWEFLEHGLKSKIEIQWSDILSLKTVIQEDKPGILEIELNQPPSFHHEIDPQPRKHTQWRMVSDFTGGQAPTFSP >EOY07157 pep chromosome:Theobroma_cacao_20110822:5:665821:666863:-1 gene:TCM_021667 transcript:EOY07157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKHDEEENPPRIYLAAYIIMIGAPKFFGLMWFSLSLFKMELKLPEENHAQTPLVLAIILYYGFAFGFLMPSIIVYGAGSLSLFFNYLWHGSDKAECKETGRFPVCFTAAASILCYILVPASWRLLKNFIGNLVKHPDANGALATALVLPFLLGFCIIQMLLSIPPNSDIEKKSSEIVKSSPNSDIDNIQPKS >EOY10999 pep chromosome:Theobroma_cacao_20110822:5:37389940:37391183:1 gene:TCM_026262 transcript:EOY10999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKIMKDAGWCLLPVCPWLRTFICSSLLPRAFLLLHKSPSVSFSFFFGFAVVLS >EOY07958 pep chromosome:Theobroma_cacao_20110822:5:3631811:3635376:1 gene:TCM_022291 transcript:EOY07958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAGEKPPIIPSIREPFWYKDRSVVSFFEDEIIALTQPFKHSMVGKFSRMPRLNEIRIVFKGIGLVGAYEIHWLDYKHILIHFSKDHDLNRLWMRQAWAQRFNMLGDGTQDGEIGLNKTEIPRERKKNRFNSNEALKIEYEWLVIGKAGKSGAKDSQGVEIVSKEGGNDLMKLSNRFGTFGALEVDEQIDHAKQARIERVNSTMLIPEKKFQIKKNSARKCETVGSLRASPTSHGKRGVIGTQVVRRNEGLPTTVIDEEFPTVVNFDKGSTHARAWDNAKNDYGSFVSMKILGTVTTSNGQWRMLDRTQSNGNEPFSAQVYQSVERVEGSGEHIPELGAELSQDGFCKLAASTAACTHGMMEKTNHAELEVHPMVLCRRKSNSAISVGHTISSSFNEAVGEEGKDGMQDNDSISREERLYGAIPYEGSMEDFVAALLDCGLVDRGFESNPYTWTNSHMFQRLDRVVYNHQWLEYLTITRVQHLNRDGSDHCLLLVSCSKSLEKSPSSFRFLHAWVQHHDFKKFVEANWKLPIHDKGMKAFWRKQLRLKHNLKWWNKAVFGDIFHNLKEVEKGAEVNELMFQQE >EOY10299 pep chromosome:Theobroma_cacao_20110822:5:34039290:34043992:-1 gene:TCM_025670 transcript:EOY10299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein A MTRNTVKISYITKDSARKATFKKRKKGLLKKASELSTLCGIDASVIIYSPYNTQPEVWPSPTEAERVLSKFKKMPKMDQSMEMMSQESFLKRRIELANKQLKKQCRDNREEEITQVMFQCLAGQGLENLNMMDLNDLGWLLEQNMKDIDRRIYMLTKASHSQRSVAAASATMATPEAMLKSGEKVHVDSPEREVSPETEQRQQLIKDLMHSPENIGLDSVLSFGDNNPIAFFP >EOY08292 pep chromosome:Theobroma_cacao_20110822:5:6099034:6099830:1 gene:TCM_022627 transcript:EOY08292 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein MLGKKIVSLKKLAKKVKGMGGGDREQSQYECLLRQFEEMSPTASTPSGFFAVYVGEEEERFVVPTSFLSHPLFKMLLEKSYNEYGFEQRNKLVVPCSVSTFQEVVNAVECCNGKFDFGKLVEEFL >EOY09853 pep chromosome:Theobroma_cacao_20110822:5:31325929:31330594:-1 gene:TCM_025224 transcript:EOY09853 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARC6-like protein isoform 1 MPTFLIIGAFLKCSIAKIGFQKNKVFEGFEALARAQCLLRSTKSLRQMTLLSQIEESLEELAPACTLELLGLPQSPENSDRRQGAIAALRELVRQGLDVETSCQVQDWSSFLSQALSRLLASEVIDILPWDDLAIARKNKKSIESQNQRVVIDFTCFYMALIAHIALGFSSRQTDLINKAKTICECLITSEGNDLKLEEAFCLFLLGQGSEAEVIEKLQLLESSSNPAPKNSITGKEIRGSSSTNSSLEMWLKDAVLSLFPDTRDCSPSLANYFGGERKAPGIRKNKGAPQTMANLSHRSLSTALASERRDFEDSLCRMKSSLHITSTVKQLAPTDLQGSLVPGDNSSGSNVTAASVQLKRNFGVNQNKAWESWLFQRNVTEGLTFVAVLGCIVFTSFKLSGMRLSGVRHMSIWAPSKPRMNISSITRKGDSSLDYDVGSAHIKGSGIGGRITKLLELAKVQFRNPSDARNLQSSCLPASLSTSITAVDRKQMSVEEAEALVRQWQAIKAEALGPSHQVNSLSEALDESMLIQWKALADMARARCCYWRFVLLQLTILRADILLDRNAREMAE >EOY09852 pep chromosome:Theobroma_cacao_20110822:5:31325018:31332191:-1 gene:TCM_025224 transcript:EOY09852 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARC6-like protein isoform 1 MALRNVTLAPIIPSSSCSCCFFFTRSSNQSEILGFETLIKSNGCPFPTVRKRWRSSAIDTRVGIVENAPVSSSSSFSSSRTATVAGTAAVDIPVSCYQLIGVSSQAEKDEIVKSVMNLKSAEVDDGYTMDVLVSRQEVLMDVRDKLLFETEYAGNVKEKIPPKSSLRIPWRWLPAALCLLQEVGEEKLVLELGRAAVQRPDAKPYIHDLLLSMALAECSIAKIGFQKNKVFEGFEALARAQCLLRSTKSLRQMTLLSQIEESLEELAPACTLELLGLPQSPENSDRRQGAIAALRELVRQGLDVETSCQVQDWSSFLSQALSRLLASEVIDILPWDDLAIARKNKKSIESQNQRVVIDFTCFYMALIAHIALGFSSRQTDLINKAKTICECLITSEGNDLKLEEAFCLFLLGQGSEAEVIEKLQLLESSSNPAPKNSITGKEIRGSSSTNSSLEMWLKDAVLSLFPDTRDCSPSLANYFGGERKAPGIRKNKGAPQTMANLSHRSLSTALASERRDFEDSLCRMKSSLHITSTVKQLAPTDLQGSLVPGDNSSGSNVTAASVQLKRNFGVNQNKAWESWLFQRNVTEGLTFVAVLGCIVFTSFKLSGMRLSGVRHMSIWAPSKPRMNISSITRKGDSSLDYDVGSAHIKGSGIGGRITKLLELAKVQFRNPSDARNLQSSCLPASLSTSITAVDRKQMSVEEAEALVRQWQAIKAEALGPSHQVNSLSEALDESMLIQWKALADMARARCCYWRFVLLQLTILRADILLDRNAREMAEIEALLEEAAELVDESEPKNPNYYSTYKIRYILKRQDDGLWKFCGGDIETPS >EOY09741 pep chromosome:Theobroma_cacao_20110822:5:30823860:30839845:1 gene:TCM_025128 transcript:EOY09741 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein Luc7-like 1 isoform 1 MDAMRKQLDVLMGANRNGDVREVNRKYYDRDVCRLFLSGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEEAKAKGVDNYDRELEDAIDRLIVECDRKIGRALKRLEDEDAKAAIAISVSDVTQTPEVLELSKQIKEKLKEADQHDLEGKTDLKIRALEEVEEFRTKRADKQSMLLLDAFNKDRASLPQPLPNPPALAPLPVPAPDPRTQEMINEKLKKAEDLGEKGMVDEAQKALEEAEALKKLPARQEPVLDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIRDKLAELQEERNKSRKLDRHDDRRSKEQSKDCDRESSRDHDRGDSRDRGRDYDRRSRDRDRYYDRDRGHDRDRERDYERSRSYDSRSRRRSRSRSKERSRDYDRHRRDRY >EOY09740 pep chromosome:Theobroma_cacao_20110822:5:30823718:30839652:1 gene:TCM_025128 transcript:EOY09740 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein Luc7-like 1 isoform 1 MDAMRKQLDVLMGANRNGDVREVNRKYYDRDVCRLFLSGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEEAKAKGVDNYDRELEDAIDRLIVECDRKIGRALKRLEDEDAKAAIAISVSDVTQTPEVLELSKQIKEKLKEADQHDLEGKTDLKIRALEEVEEFRTKRADKQSMLLLDAFNKDRASLPQPLPNPPALAPLPVPAPDPRTQEMINEKLKKAEDLGEKGMVDEAQKALEEAEALKKLPARQEPVLDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIRDKLAELQEERNKSRKLDRHDDRRSKEQSKDCDRESSRDHDRGDSRDRGRDYDRRSRDRDRYYDRDRGHDRDRERDYERSRSYDSRSRRRSRSRSKERSRDYDRHRRDRY >EOY10898 pep chromosome:Theobroma_cacao_20110822:5:36943340:36948653:-1 gene:TCM_026181 transcript:EOY10898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein isoform 5 MEAVVVGDVACLDAELLQLQEMSPLALKSNPEFTQKLFEQWLSLPDTNKLVTSLVNDAKAGNPLNVHGNTSSGSTATSNSLPSMFPAGSAPPLSPRSTSGSPRITKQRVGPSNLGSPLKVVSEPMKELIPQFYFKNGRPPPNELKEQCMFRISQFFYGHPDGLQLHEFKLVTKEICKLPSFFSPSLFRKIDVNSTGLITRDAFIDYWVNGNMLTMDIATQIYTILKQPDLTYLTQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIYYYINRSGNGHLTLRELKRGNLIDAMLHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYQIVNRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKPSEPSLEYWFKCIDLDGNGVITRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIKPEDESYITLCDLKGSKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSAEVWDESLEAPF >EOY10901 pep chromosome:Theobroma_cacao_20110822:5:36944254:36949115:-1 gene:TCM_026181 transcript:EOY10901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein isoform 5 MEAVVVGDVACLDAELLQLQEMSPLALKSNPEFTQKLFEQWLSLPDTNKLVTSLVNDAKAGNPLNVHGNTSSGSTATSNSLPSMFPAGSAPPLSPRSTSGSPRITKQRVGPSNLGSPLKVVSEPMKELIPQFYFKNGRPPPNELKEQCMFRISQFFYGHPDGLQLHEFKLVTKEICKLPSFFSPSLFRKIDVNSTGLITRDAFIDYWVNGNMLTMDIATQIYTILKQPDLTYLTQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIYYYINRSGNGHLTLRELKRGNLIDAMLHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYQIVNRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKPSEPSLEYWYILCSFSVDSRVLWILCTDYRV >EOY10899 pep chromosome:Theobroma_cacao_20110822:5:36942272:36949111:-1 gene:TCM_026181 transcript:EOY10899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein isoform 5 MEAVVVGDVACLDAELLQLQEMSPLALKSNPEFTQKLFEQWLSLPDTNKLVTSLVNDAKAGNPLNVHGNTSSGSTATSNSLPSMFPAGSAPPLSPRSTSGSPRITKQRVGPSNLGSPLKVVSEPMKELIPQFYFKNGRPPPNELKEQCMFRISQFFYGHPDGLQLHEFKLVTKEICKLPSFFSPSLFRKIDVNSTGLITRDAFIDYWVNGNMLTMDIATQIYTILKQPDLTYLTQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIYYYINRSGNGHLTLRELKRGNLIDAMLHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYQIVNRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKPSEPSLEYWFKCIDLDGNGVITRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIKPEDESYITLCDLKGSKLSGSVFNILFKRECQPRESG >EOY10902 pep chromosome:Theobroma_cacao_20110822:5:36942507:36949115:-1 gene:TCM_026181 transcript:EOY10902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein isoform 5 MEAVVVGDVACLDAELLQLQEMSPLALKSNPEFTQKLFEQWLSLPDTNKLVTSLVNDAKAGNPLNVHGNTSSGSTATSNSLPSMFPAGSAPPLSPRSTSGSPRITKQRVGPSNLGSPLKVVSEPMKELIPQFYFKNGRPPPNELKEQCMFRISQFFYGHPDGLQLHEFKLVTKEICKLPSFFSPSLFRKIDVNSTGLITRDAFIDYWVNGNMLTMDIATQIYTILKQPDLTYLTQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIYYYINRSGNGHLTLRELKRGNLIDAMLHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYQIVNRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKPSEPSLEYWSGA >EOY10900 pep chromosome:Theobroma_cacao_20110822:5:36944254:36949115:-1 gene:TCM_026181 transcript:EOY10900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein isoform 5 MEAVVVGDVACLDAELLQLQEMSPLALKSNPEFTQKLFEQWLSLPDTNKLVTSLVNDAKAGNPLNVHGNTSSGSTATSNSLPSMFPAGSAPPLSPRSTSGSPRITKQRVGPSNLGSPLKVVSEPMKELIPQFYFKNGRPPPNELKEQCMFRISQFFYGHPDGLQLHEFKLVTKEICKLPSFFSPSLFRKIDVNSTGLITRDAFIDYWVNGNMLTMDIATQIYTILKQPDLTYLTQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIYYYINRSGNGHLTLRELKRGNLIDAMLHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYQIVNRIFSQVPRKFTSKVEGKMGYEDFVYFILAEEDKPSEPSLEY >EOY09700 pep chromosome:Theobroma_cacao_20110822:5:30579168:30585436:-1 gene:TCM_025087 transcript:EOY09700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDGYRYEEENAVCLFSSDEDTGTQIPTQAQSIVEGSGAVMVSELKPVPDVDYLQELLAIQQQGPRAIGFFGTRNMGFMHQELIEILSYALVITKNHIYTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVKTVIEKPYNDHLPLIEASRLCNRDIISNVQQVICFAFHDSKLLMETCQEAKSLRKIVTLFYLD >EOY09699 pep chromosome:Theobroma_cacao_20110822:5:30579357:30583088:-1 gene:TCM_025087 transcript:EOY09699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDGYRYEEENAVCLFSSDEDTGTQIPTQAQSIVEGSGAVMVSELKPVPDVDYLQELLAIQQQGPRAIGFFGTRNMGFMHQELIEILSYALVITKNHIYTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVKTVIEKPYNDHLPLIEASRLCNRDIISNVQQVICFAFHDSKLLMETCQEAKSLRKIVTLFYLD >EOY09698 pep chromosome:Theobroma_cacao_20110822:5:30579055:30585147:-1 gene:TCM_025087 transcript:EOY09698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNWLSGHARRDQEMDGYRYEEENAVCLFSSDEDTGTQIPTQAQSIVEGSGAVMVSELKPVPDVDYLQELLAIQQQGPRAIGFFGTRNMGFMHQELIEILSYALVITKNHIYTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVKTVIEKPYNDHLPLIEASRLCNRDIISNVQQVICFAFHDSKLLMETCQEAKSLRKIVTLFYLD >EOY09697 pep chromosome:Theobroma_cacao_20110822:5:30578964:30585478:-1 gene:TCM_025087 transcript:EOY09697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSLPLPMRLLLPLTPTTRTPSYCSAFLSSPNPNFTFSSHSHHSCPLRRNPHSFSKQKWLSGHARRDQEMDGYRYEEENAVCLFSSDEDTGTQIPTQAQSIVEGSGAVMVSELKPVPDVDYLQELLAIQQQGPRAIGFFGTRNMGFMHQELIEILSYALVITKNHIYTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVKTVIEKPYNDHLPLIEASRLCNRDIISNVQQVICFAFHDSKLLMETCQEAKSLRKIVTLFYLD >EOY07489 pep chromosome:Theobroma_cacao_20110822:5:1729460:1730192:-1 gene:TCM_021910 transcript:EOY07489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent protein kinase inhibitor SMR4 [Source:Projected from Arabidopsis thaliana (AT5G02220) UniProtKB/Swiss-Prot;Acc:Q1JPP8] MESSSSIEEGCTTPKHRIPVAFHCPPPPRKKSPGGAKREPPKNGYFQPPDLDSLFTLQPRREACA >EOY08077 pep chromosome:Theobroma_cacao_20110822:5:4286817:4288016:1 gene:TCM_022393 transcript:EOY08077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTMSKMLYHTNCVLSSQNFFLVVQPCMNLFHLLTAVRRLLDQPLVKRTIGLRTEFKIGMQLTSLFILQQF >EOY08794 pep chromosome:Theobroma_cacao_20110822:5:20411761:20415573:1 gene:TCM_023960 transcript:EOY08794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRSEVVSSLVRVRISYPRTKGRSTPVINWILVELRMKSKGFHLASKCLCCCSEESLLHVIWEGTVAQQVWNFFAKFFQIYVHNPQNVLHILHPWYYSGDYVKPGHIRILLPLLIMWFLWVERNDAKHKELKMYPNRVIWRIMRMLRQLYQDGSSKEAFQNAASGGVLRDHTSTMIFGFFENFGPYSSIQAELMALHRGLLLCNEYNISRVWIEMDAKAIVQMLHKGHKGSSRTRYLLSSIHQCLSGISYRISHIHRQGNQAVDYLSNKGHTHQNLQVFSEAEGELKGMIRLDKSNLPYVHFK >EOY10103 pep chromosome:Theobroma_cacao_20110822:5:32988335:32991792:1 gene:TCM_025481 transcript:EOY10103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 21 isoform 2 MSFQDLEAGYVGPQQDNYLNSSQQKLRQEKGEPSQSVVAGIFKIRTALLGFDRLVNSLGTPKDTSELRDKLHKTRLHIGQLVKETSAKLREASEADQNAEVSPLKKIADAKLAKDFQSALKDLQKAQRLAAEKETAYAPLDSKEVVPLSYAAHEVEVNSPKRLEQQTLLVSKRQEVVLLDNEITFNEAIIEERDQGIKEVQQQISEVNEIFKDLSVLVREQGAMIGKTCVSYILLLEYLTFLLKFLQSFGFECSKMLNVFSL >EOY10102 pep chromosome:Theobroma_cacao_20110822:5:32988064:32993036:1 gene:TCM_025481 transcript:EOY10102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 21 isoform 2 MSFQDLEAGYVGPQQDNYLNSSQQKLRQEKGEPSQSVVAGIFKIRTALLGFDRLVNSLGTPKDTSELRDKLHKTRLHIGQLVKETSAKLREASEADQNAEVSPLKKIADAKLAKDFQSALKDLQKAQRLAAEKETAYAPLDSKEVVPLSYAAHEVEVNSPKRLEQQTLLVSKRQEVVLLDNEITFNEAIIEERDQGIKEVQQQISEVNEIFKDLSVLVREQGAMIDDIGSNIENSHSATVLATSHLKRASKIQRANSSTRCLLVLIFGIILLIFIIVVVA >EOY08613 pep chromosome:Theobroma_cacao_20110822:5:13718736:13727748:-1 gene:TCM_023400 transcript:EOY08613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKLMLSLARFRSAFRVMSAYRNVATVVMGPMGVSGRDTAPHEDHNVTSSTSAPPSSSIEQTINNLYGYMQSMNARQHGGKHKTKLEFEKQISFFLQKPKERFPYSSLIQKSPSPDFLSGRSDSLFQSLQFAFFATQLLNFRCSPNASVSFKARVSTSKRKLGFIYWILEVRCFEVLRAAISVN >EOY07386 pep chromosome:Theobroma_cacao_20110822:5:1412658:1415923:1 gene:TCM_021836 transcript:EOY07386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein MNMEARVGVVVEGGQRALNSAHGTVVDAGARKFLQHQHQQQQHGHSSKQGLNPQIGTIQQLLAGGIAGAFSKTCTAPLARLTILFQVQGMHSDVSALSKASIWREASRIMNEEGVRAFWKGNMVTIAHRLPYSSVNFYAYERYKSFLQSILGLEDQRGNASADLCVHFVGGGLAGMTAASATYPLDLVRTRLAAQRNAIYYRGIWHAFHTICRDEGIFGLYKGLGATLLGVGPSIAISFSVYESLRSYWQLQRPDDSTIMVSLACGSLSGIASSTATFPIDLVRRRMQLEGAAGRARVYTTGLFGTFRHIIQSEGLRGLYRGILPEYYKVVPGVGIVFMTYETLKMLLSRIPTSY >EOY10337 pep chromosome:Theobroma_cacao_20110822:5:34249438:34250966:1 gene:TCM_025710 transcript:EOY10337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TAU 8 MFSIFAFWNYMDKDVIKIKDPSLPHKVVEIANSMAEVKLFKTWSTQFGARVVWALKLKGIQYEAIDEDLSNKSALLLQYNPVYKKVPVLVHNGKPISESLVILEYIEETWKQNPILPEDPLGKANARFWAKFNDEKLLPSIWSAFTKEGKEREEAMGAVLESLKFVEEELKGKKFFGGEKIGLADLVFGWLANLAPVFEEVAGLKILDERYPLLLAWQPEFSEHPIIKDYWPPHDKLVIKYQALYEKYHPAK >EOY09073 pep chromosome:Theobroma_cacao_20110822:5:25656962:25659812:-1 gene:TCM_024442 transcript:EOY09073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSFYVAMKSLHSPSKHRCIATAMKSSQRNKKLRRKKLKRLNASMRRLKVETNEIRKEQEIIKRGQREVREKFEAIHYECEQLRTETDLIIKQSRNTRLRLGLMFQILRARESNDFNKAASLTQLLRELVAKQNNQ >EOY07161 pep chromosome:Theobroma_cacao_20110822:5:682438:685391:-1 gene:TCM_046796 transcript:EOY07161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transport SCO1/SenC family protein MASRAIWRSASRFRNFHVCLCTHTLRQCGSSVSFSPTIADYLRRPSLPFLQPVIPVGAGFKSLGICGRYLSSSAAASTSTSDNQEKSSSSSKANSEGTQSSGGSQQSGSDAGKPVRGGPVSWLSFLLLLATGMGIIFYYDKEKKRHIEEISNASKAVKEGPSAGKAAIGGPFSLINHDDKPVTEKDFLGKWTMIYFGFTHCPDICPDELQKLAAAVDKIKEKAGIEIVPVFISVDPERDTTEQVREYVKEFHPKLIGLTGTSDEIKKVARAYRVYYMKTAEEDSDYLVDHSIVMYLMDPNMEFVKFFGKNSDVDSLTDGVIKEIKQRKLKR >EOY07312 pep chromosome:Theobroma_cacao_20110822:5:1160013:1161547:-1 gene:TCM_021775 transcript:EOY07312 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L21 MPAGHGLRARTRDLFARPFRKKGYIPLSTYLRTYKTGDYVDIKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEVNKQVGNRIIRKRIHVRVEHVQPSRCTEEFKLRKVKNDQLKAEAKAKGEVISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >EOY07097 pep chromosome:Theobroma_cacao_20110822:5:426044:426615:-1 gene:TCM_021609 transcript:EOY07097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERWRGWLRFKHSVLFPTKCMLLKFTSRLRPKAKENRQVLLTLYKDMESCGEYEDIQVMWKMIHSSFPSNGHKTRRSRRPSYFRFCFKPR >EOY08323 pep chromosome:Theobroma_cacao_20110822:5:6355140:6358020:-1 gene:TCM_022663 transcript:EOY08323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLILIRGSLSHSSSFPTSLMASASRQPLHATGAIPPVQHSFQRVISSLPLVFLEHKKQRLPFTKLSGHYGQRNLIVKLMGKASAGKDDIVPAADDEEDGISLGTMKLPSNTDLQRFETLLFQWANSLCQGANVPLPIPLKIDKIPGGARLGFITIGDGKTDVLVYIDCLVFPATDGSGPMFRAIRNGPLKDQSPPGEPRIMRSLLQALQKSVEIARV >EOY09374 pep chromosome:Theobroma_cacao_20110822:5:28813854:28815839:-1 gene:TCM_024808 transcript:EOY09374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGMATVPSLQERPNHFQVRVLTLFIYLSRITRKGSFFKKKQRLGSELPNLSMLPLAASKALPMETVMGERASQPLLQSDMMLNVLMRIDRKLTNQAENMVKIEEKLQ >EOY11090 pep chromosome:Theobroma_cacao_20110822:5:37889430:37892820:1 gene:TCM_026363 transcript:EOY11090 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein MSLRCRKPGEPAMPDADDTPVAKRQQRRWRTVFAAIYSARILVSLYKKVINDKQILRTLSYIAIDIHEHDSSDHHLPSLWVDQKTLTEMVREKNLENLRKLGGVKKIAVSLETDEKDGISGNEADLTHRMDVFGANRYQKPPIKSLLSFIFEAFKDTTIIILLVCAILSLGFGIKQHGVEDGWHDGGSIIFAVFLVVVISAVSNFKQNRQFEKLSNESSDIRVDVVRDGRRQFISVFEVVVGDVVCLKIGDQIPADGLFLDGHSLKVDESSMTGESDHVEINGNNNPFMLSGTKVTDGFGFMLVTSVGMNTAWGKMMSSINRDFDEETPLQARLNKLTSYIGKIGLTVAILVLAVLLIRYLTGNTKDDKGNKEYIRGKTKLDSTMNSVVNIISAAITIVVVAIPEGLPLAVTLTLAYSMKQMMADHAMVRKLSACETMGSATTICTDKTGTLTSNEMKVTEFWLGNECMKDSMSTEIAPNVHELLQQAIALNTTGTVYKPNSRSLPEISGSPTEKAILSWAVLDLGMNVDNPKQDYDLIQVAAFNSAKKRSGVLIRRKNESATHTHWKGAAEMIVAMCSQYYDRGGMVKVMDDEERTEIGKIIQNMAAKSLRCIAFAHTKIPEDNEQVLGESRLTLVGLVGLKDPCRPGVRRAVESCIDSGVNIKMITGDNVFTAKAIAIECGILQPHEDVNEAVIEGIEFRNYSPEERMEKINKVRVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFTSVMTVLRWGRCVYNNIQKFIQFQLTVNVAALVINFIGAISSGEVPLTAVQLLWVNLIMDTFGALALATERPTDDLMTKPPVGRSKPLISNIMWRNLIAQALYQVAVLLTLQFRGKSVFGVDERVKNTLIFNTFVFCQVFNEFNARKLEKKNIFKGLHKNKLFLGIIGITIILQVVMVEFLKRFANTQRLNWGQWGSCIGLAALSWPIGWLVKWIPV >EOY08005 pep chromosome:Theobroma_cacao_20110822:5:3840968:3842301:-1 gene:TCM_022319 transcript:EOY08005 gene_biotype:protein_coding transcript_biotype:protein_coding description:P21, putative MANKAVFSLFLLLVISGWQVQSDITFNFDNECSFSVWLAASPSIGDGDPERGPGTLEIFSMPDPWTGSIWARTKCSYDASLNNFTCETGDCGSGLVQCQSPPPKPPATLLNFGINQNVVTYEVNLNHGFNVPVRIKPVGGSLVGGSGPCPVVDCIKNLKDVCPSPLTALNQNGVYVGCNSPCDALQDPKYCCTGASAGQACQPNEYSKTFKQVCQLAHTYPGDNDPPIYKCSGATSYDVTFCPL >EOY09005 pep chromosome:Theobroma_cacao_20110822:5:24666893:24685602:-1 gene:TCM_024336 transcript:EOY09005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo sac development arrest 7, putative MPIETPQGLASASASPHRSLALVESHSHVVARTSGALKSYLRRYFTEHLPPEWKTREEKYDREEDEEVEVEEKESAKRGSIEKPQGKAPDEEEEEDESGAHELVGIPIAPNDQITKKAGVIFVLEKASLEVAKVGKSFQLLNSDDYANFLRKNKKNPVDYRPDISHQVLADDYSKLAFLCADHSVNLHAKYGERYSLRIPRMGRDIAYDCWSCDLLCAASSPYLYRINLEQGRFLSSLNIQSPALNVVSRRFLWNHAPWISCLWCEDGAVECFDMRMRSSIGRINAVSPAGDADEEVTAIDFVENGGFLMGVGSSAGKVMIYDLRSSSPIRVKDHMYDCHIVKIWDPETGEGMTSIKPTGGAINDICVFNDSGLMLLALDSSQIPAYFIPALGPVPKWCS >EOY09401 pep chromosome:Theobroma_cacao_20110822:5:28956351:28961510:-1 gene:TCM_024826 transcript:EOY09401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MAVQGLEMRFESYDMLLNACINKRRFREGQRVHAHMIKTRYLPPVYLRTRLIIFYGKCDCLREARHVLDEMPERNVVSWTAMISAYSQRGLASEALNLFVQMLRSDTEPNEFTFATVLTSCTGTFGFELGRQIHSFVIKRTLDTHIYVGSSLLDMYAKAGRIHEAREIFNCLPERDVVSCTAIISGYAQLGLDADALELFRRLNLEGMSANYVTYASVLTALSGLAALDLGKQVHNHVLRCQLPFYVVLQNSLIDMYSKCGNLIYSRRIFDNMPERTVISWNAMLVGYSKHGMGREVVELYRLMRNENKVKPDSVTLLGVLSGCSHGGMEDVGLQIFDEMVNGKDGVEPDIEHYGCVVDLLGRAGRVEEAFEFIKKMPFEPTAALWGSLLGACRVHSNIDIGEFVGRRLLEIEPENAGNYVILSNLFASAGRWEDVRMVRDLMMEKAVKKDPGRSWIELDQTLHSFHASDRSHPRREEVLVKLKELSIKLKEAGYVPDLSCVLHDVDEEQKEKILLGHSEKLALTFGLMATSDGVPLRVIKNLRICVDCHNFSKFVSKIYTRQVSLRDKNRFHHIHGGVCSCGDYCSSTGQTNPPNTFESPNTLILTLQASATTTMALTTMLRQRLLSRSFSSHAGPSRWTTPGHQERPNGYLFNRTPPPPGQSRKWEDWELPCYITSFLTIVILGVGLNAKPDLTIETWAHQKALEKLAAESSSSSD >EOY09165 pep chromosome:Theobroma_cacao_20110822:5:26819551:26822088:1 gene:TCM_024566 transcript:EOY09165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIHVFRQVKKYLFNYKYNHIRKSFESYTFTRNILPFVETTQGKLSSCSMGTSCNDLYGHSRIQAVATAHNILWPSH >EOY10807 pep chromosome:Theobroma_cacao_20110822:5:36632677:36636044:-1 gene:TCM_026119 transcript:EOY10807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Li-tolerant lipase 1 isoform 1 MDNSSIFISWMILGLLLTLGNVAPQAEAARAFFVFGDSLVDNGNNNYLATTARADAYPYGIDYPTHRATGRFSNGLNIPDLISEQIGSEPTLPYLSPELTGQKLLVGANFASAGIGILNDTGFQFVNIIRISKQLEYFQQYQQRVSALIGPEQTQRLVNQALILMTLGGNDFVNNYYLVPFSARSRQFSLPDYVVYIISEYRKILVRLHGLGARRVLVTGTGPLGCVPAELAQHSRAGECAVELQRAAALFNPQLVQMLNGLNRELGSDIFIAANAYDMHMDWISNPQAYGFVTSKVACCGQGPYNGIGLCTMASNLCPNRDIYAFWDPFHPSERANRLIVRQIATGSTKYMNPMNLSTIMELDSRV >EOY10806 pep chromosome:Theobroma_cacao_20110822:5:36632600:36637339:-1 gene:TCM_026119 transcript:EOY10806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Li-tolerant lipase 1 isoform 1 MDNSSIFISWMILGLLLTLGNVAPQAEAARAFFVFGDSLVDNGNNNYLATTARADAYPYGIDYPTHRATGRFSNGLNIPDLISEQIGSEPTLPYLSPELTGQKLLVGANFASAGIGILNDTGFQFVNIIRISKQLEYFQQYQQRVSALIGPEQTQRLVNQALILMTLGGNDFVNNYYLVPFSARSRQFSLPDYVVYIISEYRKILVRLHGLGARRVLVTGTGPLGCVPAELAQHSRAGECAVELQRAAALFNPQLVQMLNGLNRELGSDIFIAANAYDMHMDWISNPQAYGFVTSKVACCGQGPYNGIGLCTMASNLCPNRDIYAFWDPFHPSERANRLIVRQIATGSTKYMNPMNLSTIMELDSRV >EOY07619 pep chromosome:Theobroma_cacao_20110822:5:2188091:2190313:-1 gene:TCM_022005 transcript:EOY07619 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MDHKFVSNSFELENTSSNRLSRDKGRMEMEDGRHDFISSLPQDILKRIISLLPLDEAVRTSTFSAVWRSLWVPVLVPCHEEAEGELKEIISMLSKSYDSHRIWKLYLSYQDSKKAASETKYDVFVLATKGVEQELILEFSKREKEAKDFHLKFKPTCPASGAVSFSTLKMLRLRSVNNLGKDLTSALFSSCKFLESLELEKCSGLQSLDIEANDNLQSLKVLDCPDMVNIRVSARHLRSFWYQGVLPQVQLKNTLDLVEVMLDLRDGFCSCEFDCEDVVSFLTSLKEIEILTISSWLLEWLCSGGVIFSRLELRFNKLKELSWMEHSGMNKTKRDSLACFLNICPAMEKLLIKVDPGLNSISCPYFHQYLHEPHLWMDDATVKSNTSRLENLKIVEFWGYKSEEDQFLLLELLLEKANMLESITVTSPENHSWEVAKIPQSQLKQTWNTSNQRKQNAVFSLFRAFFMAVFEEIHVVFCPEKAEICNLSWF >EOY10513 pep chromosome:Theobroma_cacao_20110822:5:35134495:35136304:1 gene:TCM_025854 transcript:EOY10513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYSNINITLLLKLILKMVHLLNDLPFHHIFIYFGCEKKRQKQLACWEDTGGHVSSSRSSPPPSTAVHPCNVKLSLDWTPLSKTRDRERHMGDRGVAKRGMHQGTFQHQTNLFSTLLSLKTFRH >EOY10151 pep chromosome:Theobroma_cacao_20110822:5:33211180:33214399:-1 gene:TCM_025524 transcript:EOY10151 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MAETFAFNIVENDIEKLSSFSYEELRLAWGVQSGLQKLSKTLNIVKAVLLDAEEKQALNNQLRVWLQELKDACYDAEDVLDEFEVEALWRQALKQRSLGDKVSNFFSSSNPLAFRFRMAHKIKKVTERFGEIAALKNNFHLSESHDGSRYVVRLDRETHSFVQASDIIGRDEDKEKIIKTLLQDPTDGEDISVLPIVGIGGLGKTALAKLVFNDECVDRHFELKMWMCVSDDFDLRQLMIKFIKAEKGVLNGDCSNMDLKQLQKILRDCFDEKKYLLVLDDLWNEDHIKWDELKQLLVGGGWGSKIIVTTRSNQVAEIMGTIPTYKLQGKIGEEIAKKCKAVPLVLKILGSLLLSKRSEHDWKLDYEFYDGELIQFWIAHGLLQSSNDNEEPEDIGIENLTSLETLMIVVKNLILKETMIIKISPSGVCKAPFNYWQLEVYQSWRHYPNGFLWDQPTLCSTWVCRASELNIEHCPILNERCKRETGEDWTKIAHVSRIYLDGQSPSGGRWTKGLFRYLKDKS >EOY11571 pep chromosome:Theobroma_cacao_20110822:5:39694538:39695508:1 gene:TCM_026708 transcript:EOY11571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESVGDVAANLSTEAAKGICEKGQQIMRYVKTYEQNIDNFKENLNSLTVKRKSVQQDVDVAERNGKKIKADVEHWCKTVDKVINEGMNEVRDLEDKAKKKCFFGLCPDFNSRYQCSMKAEEGAATVNDLIKQCQFNRVGYLDVPKAVVNASPNGFETFKSRKKVFNDIMEA >EOY10838 pep chromosome:Theobroma_cacao_20110822:5:36741023:36742016:-1 gene:TCM_026138 transcript:EOY10838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein MSCPCPPKMSLVFPMSDGTPVVTELVPGEDSLPYVYQLLEHAVENGDMVIGLDTEWCMKEIKLGVFRSEYRPRVGLLKLCSAFGCVLIRLENSASASDSLKRFFAVKDVMFVGIRMKEDLQNLREEYGIIIRNAVDLSELSAKVLGQPQLSAYGVRELASKVLSIDILPPRSLLSIWAIRSDSFPSLEQVESAATDAYATYKVGRRLLGTES >EOY07592 pep chromosome:Theobroma_cacao_20110822:5:2074969:2077184:-1 gene:TCM_021984 transcript:EOY07592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin depolymerizing factor 4 isoform 2 LVIGGALFLSTFSPPLLPPSIAIPYRRFQTNRKEMANAASGMAVHDECKLKFLELKAKRTYRFIVFKIEEKQKQVIVEKLGEPAQSYEDFAKSLPADECRYAVYDFDFLTPENVQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIKSRAS >EOY07591 pep chromosome:Theobroma_cacao_20110822:5:2074884:2078781:-1 gene:TCM_021984 transcript:EOY07591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin depolymerizing factor 4 isoform 2 MDIKSPLGRVTEIKGPFNIPFLPPRHRRSSLSIYIFSSSSSSVDRDPLSSFPNKPKRDASGMAVHDECKLKFLELKAKRTYRFIVFKIEEKQKQVIVEKLGEPAQSYEDFAKSLPADECRYAVYDFDFLTPENVQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIKSRAS >EOY09068 pep chromosome:Theobroma_cacao_20110822:5:25408798:25412027:-1 gene:TCM_024428 transcript:EOY09068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein / BTB/POZ domain-containing protein MSSFEESLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPDPPSGLDPVGSRMNPASAAAAGSRPGVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEPRPNCSERACWHTHCTSAVDLALETLAAARYFGVEQLALLTQKQLASMVEKASIEDVMKVLIASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSLARRPLITHHHHHHDLTSTADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKTLLELGAADVNYRAGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAALVISREEGSANAPTSTAIYPPMSDEHNSSSSGSNLATLNLDSRLVYLNLGATGSTQMGSRMEGDDDSSHNSQREAMNRHDPTMYHHSHDF >EOY07049 pep chromosome:Theobroma_cacao_20110822:5:306561:315476:1 gene:TCM_021580 transcript:EOY07049 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein MKKQKKECFGGFNPFDLLSEEIIFMILDLLHRNPLDKKSFSLVCKSFYATESNHRRTLKPLRQEHLPAILCRYSNITHLDLTLCSRVTDASLSIISNACTSTLRSVDFSRSRLFSTSGLLGLALNCKNLVEIDLSNGTDLKDSAMAAVAEAKNLEKLWLARCKSITDLGVGCVAVGCRKLRFVCLKWCLGVGDLGVGLIAVKCKQILYLDLSYLPITNKCLSSVLKLQHLEDLVMEGCFGIDDDSLAVLKHGCKSLKSLDVSTCQNITDSGLSSLISGAEGLQQLTLAHGSPVTSSLADCLKKLSLLQSVKLDGCLITYDGLKTIGNWCLSLRELSLSKCLGVTDEGLSSVVTKHKDLRKLDITCCRKITDVSVAHITNSCNFLSSLRMESCTLVSRKAFGLIGQQCHLLEELDLTDNEIDDEGLKSISRCSKLSNLKLGICLNITDEGLIHIGRGCSKLIELDLYRCAEITDLGILAIAQGCPGLEMINIAYCKDITDRSLLSLSKCSCLKTFESRGCSRITSLGLTAIAVGCKELSKLDIKKCHNIDDAGMLPLAHFSQNLRQINLSHSSVTDVGLLSLASISCLQNITILHLKGLTPSGLAAALLACAGLRKVKLQAAFRWLLPHRLFEHLEARGCGIRSQVLEITVGRSNAIEILEDLVGTNTSNTSSINSQTAFPFSNSNPMAASSSFMNMDNINQNRSTSITSWGLSGHQVTDKFGIEIPKFKSLAPSSLPISPAPVSPSSYLVTPPAAFSPTDFLDSPVLFSTSSIFPSPTTGAFAGQTLNWRSNSNDNQQGIKGEHNNFFDFSFQPQPGPSSTSSSTFQSSSNIVSVEQSTWNFSEPMKQPELPVEKAARVKSEFAPMQNFSSEMAPSQTTMQQSNTGSQPAGYNQYNQSTQYTRENRKVQDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRRSSSHAACTNSEISDQSGGTLGNEQTDSFLVHEDTSGSIGEDEFDQASPLSNPGGDDNENEPDAKRWKGENENEGIIGSGSRTVREPRIVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTIGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSGYAINRPSTTNNSNAPMPIRPSAVPSQASNTSYPNSLQTRLPTSGSQPPVTLEMLQNQGSYGFSGFGKPIGSYMSQAQFSEGAFARAKDEPEDDSFFDGFLS >EOY10430 pep chromosome:Theobroma_cacao_20110822:5:34732000:34733245:1 gene:TCM_025796 transcript:EOY10430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H protein MLSLFLAHVAAGPAGYKREVLVGWQNPPQGWVEVNSDGALRRGTNLAAAGGALHGYKGYWLSGFAAKLGRC >EOY10061 pep chromosome:Theobroma_cacao_20110822:5:32805123:32817683:1 gene:TCM_025450 transcript:EOY10061 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MENQDLTPPDEEKVEDMWSSLPPDLLSLIPSNLYAGDNAMFRAVCKTWRSITMAPPLPLPSPFDHADSLFPWLFHIPKSNNGRGKFFHPISNYTCEIDLPAQLVGAVIHFSKYGWLLMARHRVHPFLFNPLTKEIIELPELPLDGIEYVRLMFFTSAPSPDCLVVVMNCRPQGHVWILKLGEGEWEFHYIVRENFDWTACNPILHKGLYYSLDCDGNLGVFALEDIDNSWVTYEMKFPWSHFNSIVQAFLVENEGELLAIFIKKNGQKIHVFKLHPTRNIWEPVPSLGDNMLFVSPGASFSKKALVRGTRNKIYGPNFWDKNGLFCFYSLTTAKYHSFFDNLSSKDSYNTKFLQNCSWFISM >EOY07842 pep chromosome:Theobroma_cacao_20110822:5:2942847:2948424:-1 gene:TCM_022167 transcript:EOY07842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 11, putative MAMHLDSYLGSLSLIFFFAWFLTSPAEAAMKKYQFDIKVKNVNRLCHAKPIVTVNGRFPGPTIFVREGDRVLVNVTNHAQYNMSSHWHGLKQFRNGWADGPAYITQCPIKTEHSYTYNFNVTGQRGTLWWHAHILWLRATIYDTLAMEVEAGKTYLLRIINVVLNDELFFAIAGYNMTVVEIDAIYTKPFTTRVILIAPVPVDNKIVTAILQYKGIPNTVLPSVDTRFWLILLL >EOY09255 pep chromosome:Theobroma_cacao_20110822:5:27688281:27712958:1 gene:TCM_024668 transcript:EOY09255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDNFNLHKVLLGSIDAGAKCHIIIGLPTKKLWGRSDVNWLSAESVGNSEGLFFVWCTKYFSIKHPATNRRFILIMGKIQGLNGNYEIGNIYAPNDEGERSAFWEELLLALRAWDVTWCIEGDFNAIKCIEERTSCTSIDKSMPDFSNFIEESGLMDIPMIKGLLHLVQLSGQCNLYFTFARCKANFIGKTIFQGREIENPNNIREPIANHYENFYGFKVVWKLKELYCGLYKLTEHSIASLEVSFSEFKIWEAINSCEDNKAPGPDEINFCFLKKQWNTIKEEMMKFVVDFQRTNVMNKRVNATFIMLILKCDSPSTPNDYRPISLVKCLYKIISKALTLQLWKVIDEGFLDKVMRLMGFENKWCTWINGCISTAMVSILVNSAFMYGVVNNQLIKGVAIGTAWADMINCKVGKIPSTYLGLFFGAISSSVSVW >EOY08139 pep chromosome:Theobroma_cacao_20110822:5:4930229:4930737:1 gene:TCM_022476 transcript:EOY08139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWIMSWNVCVWGNCIKACTIRKLNQIGKVSSLCSKRKKLDIIMGGSSKNCNTLDEVDWLAAPSMGRFGRLFLCYCEGRFG >EOY07448 pep chromosome:Theobroma_cacao_20110822:5:1604133:1612136:1 gene:TCM_021879 transcript:EOY07448 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-TU receptor, putative MAAETTILILLSLIIQPLLGGSQGLPRFNNNTDQESLLAFKSEISRDPLGVLGTWNLSTSFCDWTGVTCNVTKQRVTGINLENHGLVGIIAPHIGNLSFLNYLNLQNNSFLGNLPQEMGQLFRLRTLILGSNQIQGTIPSSLSLCTRLTLLDLSINRLQGTIPKELGSLSELQDVSLAQNFLTGPIPSSFVNLSSLTNLILMSNGLHGQIPEGLGHLPFLINLQVGLNSISGEIPPSLFNSSSLIALAMAGNRLTGTLPPDMFTTLTSLTTLYLGGNLLSGRIPPSLGNASNLVRVDLSNNSFSGQIPLLWNLPNIQILSLQINKLVNAGNMDFITSLANSTQLQVFSVAENQLTGKLPSSIGNLSRQLSLLVMNNNFFEGSLPGELSNLINLTLIAFERNSLSGSIPPSIGSLPNLQNIYLHQNKFSGEIPESLGNLTYLSEVHLSNNFLEGTIPATLGDCQRLQSLDLSVNLLNGTIPRDIFGIPSFGMILNLSFNSLSGFVPAEVGNLNMIQAIDMANNEISGDIPVTIGDCSSLLYLNMSRNSFQGTIPNSLAKLKGIEYIDLSSNKLTGVIPTSLETLKFLQVLNLSRNQLSGEVPKAGVFRNSTGVSLSGNLKLCGGVPDLGLPKCDSPGKHSGDSKVKIILIALFASVAFIIISMLVLWSVRKKWSGVLEDNDDANSPEGHPRYRHHDLKLATRNFSSEYLIGEGSFGSVYKGIFRDGSLAAIKVFKMGQHGASKSFLAECKALRNIRHRNLVKIISVCSAGDFKALVLPFMPNGNLEQLLHPRSENCEVEKALDINLRLKIAQDVASALAYLHHDCETPVVHCDLKPSNVLLDGEMVAHVGDFGLARILLKNSPKADLSSSLGLKGSIGYMAPEYGIGAGASTRGDVYSFGILILELFTRKRPTDNLFTGDMDFQKWVSMHLPDNLLDIVDHELLQNEWQPAHSDGIATVINFGLMCARKSPEERPTMREVSAMIENVKAKLSE >EOY08654 pep chromosome:Theobroma_cacao_20110822:5:15451106:15454400:1 gene:TCM_023546 transcript:EOY08654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSIREAKDLNVITLDEICGSLLTHELELKEEKEEDKREAKEKKKCTALKASILKVELEELSCDDDEELALVARKFKKLMGKINRRLGRRGFRTDQSASWKIKNKNDSNKNEELICYEYKKPGHFKFECPLLKDKTPKKNKKSKKEMVAIAWSNSDTSSSKAEDEKSEERANIYLMAQDDETEVSLSPCDISIDDLQDKKVENEKGLAIVSIRSDHGRAFENDEFEEFCNKKGLDHNFSAPRTP >EOY10539 pep chromosome:Theobroma_cacao_20110822:5:35234276:35260557:1 gene:TCM_025869 transcript:EOY10539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeic acid 3-O-methyltransferase 1 isoform 2 MQLAMSTTLPMVLKAAIDLDLLEIIAKAGPAGCKLSPIEIASHLPTKNPDASSIIDRILRVLASHSILTCDLATNEDGHVQRLYGLAPVAKYFLHNDDGISLIPTLTTSTDKYLLGAWYHLREATLEGGATPLVKAYGMDLFELAAKNDEISGKFNNTMGNQTAIIMKKVLEIYKGFEGINQLVDVGGGLGINLKLIVSKYPQIKGINFDLPHVVKDAPHFLGVDHVGGDMFIEVPQGEVIFMKLLKNCYNALPKFGKVVVVELVVPESPMTDIVTKNTLTLDAGLFIVVPGAKERTKEEYEALAKKAGFSTFRLVYRCPWCKREDKGRVRGIGKKSWILNF >EOY10538 pep chromosome:Theobroma_cacao_20110822:5:35229266:35239041:1 gene:TCM_025869 transcript:EOY10538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeic acid 3-O-methyltransferase 1 isoform 2 MSSKLDNQNITANEEEEAFHQAMQLAMSTTLPMVLKAAIDLDLLEIIAKAGPAGCKLSPIEIASHLPTKNPDASSIIDRILRVLASHSILTCDLATNEDGHVQRLYGLAPVAKYFLHNDDGISLIPTLTTSTDKYLLGAWYHLREATLEGGATPLVKAYGMDLFELAAKNDEISGKFNNTMGNQTAIIMKKVLEIYKGFEGINQLVDVGGGLGINLKLIVSKYPQIKGINFDLPHVVKDAPHFLGVDHVGGDMFIEVPQGEVIFMKWILHDWGDDRCLKLLKNCYNALPKFGKVVVVELVVPESPMTDIVTKNTLTLDAGLFIVVPGAKERTKEEYEALAKKAGFSTFRLVCRAYSYWWILHDWDDDRCLKLLKNCYNALPKFGKVVVVELIVPESPVTDVVTKNTLTLDICLFNVIPGAKERTKEEYEALARKAGFSTFKLV >EOY11450 pep chromosome:Theobroma_cacao_20110822:5:39311694:39312532:1 gene:TCM_026623 transcript:EOY11450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S27 MVLQNDVDLLNPPAELEKKKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKGD >EOY09515 pep chromosome:Theobroma_cacao_20110822:5:29620050:29621571:-1 gene:TCM_024931 transcript:EOY09515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWKAKTLSMGGRLTLLRLVLSSLLLFYMSLFRMPKKVKCEIEKIQKRFLWGGLNLVCRLHCVSWGSICNYHELGGLGLVDIEVKNNAVLNKWLWRYENEPKGLWRCIVAEKLSLDQKSLTPNLQNNVRIFEIWRNIVKPLYGGDTFSSYIIEGLGVSLGSESRIKF >EOY10395 pep chromosome:Theobroma_cacao_20110822:5:34470669:34476184:1 gene:TCM_025759 transcript:EOY10395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MSLHQLKGSAKDKSLLPEEQQAKIDDVRKLIGPIADKLPVLCSDASISRYLRARNWSTKKASKMLKETLKWRLQYKPEKIRWEDIAHEAETGKIYRANYCDKLGRPVLVMRPGFQNTNSTSGQIKYLVYCMENAIMNLTQDQEQMVWLVDFQRWGMSSISVKVTRETAHILQNHYPERLGLGILYNPPKIFESFWTIVKPFLEPKTYKKVKFVYSDDPKSQKIIEEVFDLDKLDVAFGGRNTAGFDYQAYAQQMKEDDIKKSNVLDSSCTSPPYPSSVLSKSHQLESLDLDHYSNASDKVGLLSGDEATPSNLEHIDEKTRKLSLGCKDVAVGEAAIAKQVQ >EOY10394 pep chromosome:Theobroma_cacao_20110822:5:34470766:34475945:1 gene:TCM_025759 transcript:EOY10394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MSLHQLKGSAKDKSLLPEEQQAKIDDVRKLIGPIADKLPVLCSDASISRYLRARNWSTKKASKMLKETLKWRLQYKPEKIRWEDIAHEAETGKIYRANYCDKLGRPVLVMRPGFQNTNSTSGQIKYLVYCMENAIMNLTQDQEQMVWLVDFQRWGMSSISVKVTRETAHILQNHYPERLGLGILYNPPKIFESFWTIVKPFLEPKTYKKVKFVYSDDPKSQKIIEEVFDLDKLDVAFGGRNTAGFDYQAYAQQMKEDDIKKSNVLDSSCTSPPYPSSVLSKSHQLESLDLDHYSNASDKVGLLSGDEATPSNLEHIDEKTRKLSLGCKDVAVGEAAIAKQVQ >EOY10396 pep chromosome:Theobroma_cacao_20110822:5:34470669:34476184:1 gene:TCM_025759 transcript:EOY10396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MSLHQLKGSAKDKSLLPEEQQAKIDDVRKLIGPIADKLPVLCSDASISRYLRARNWSTKKASKMLKETLKWRLQYKPEKIRWEDIAHEAETGKIYRANYCDKLGRPVLVMRPGFQNTNSTSGQIKYLVYCMENAIMNLTQDQEQMVWLVDFQRWVKPFLEPKTYKKVKFVYSDDPKSQKIIEEVFDLDKLDVAFGGRNTAGFDYQAYAQQMKEDDIKKSNVLDSSCTSPPYPSSVLSKSHQLESLDLDHYSNASDKVGLLSGDEATPSNLEHIDEKTRKLSLGCKDVAVGEAAIAKQVQ >EOY08378 pep chromosome:Theobroma_cacao_20110822:5:7048827:7052144:-1 gene:TCM_022740 transcript:EOY08378 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MCVDSRAINKITIKYRFPIPRLDEMIDQLVGSRVFSKIDLKSGYHQIRMRDGDEWKTVFKTPDGLFEWLVMPFGLSNAPSTFMKVMAEVLKPFLKSFMVVYFDDILIYIHTKEKHLKHLRQVLEVLQKEQLYINLKKCSFMQPEVVYLGFIVSAEGLKPDPEKIRAISEWPAPTSIKEVRSFHGLASFYRRFIRNFSSIMSPITESLKKDGFEWSHSAQKAFERVKALMTKALVLTLPDFEKLFVVECDASHVGIGAVLSQDGRPIEFFMKWLGKPA >EOY08453 pep chromosome:Theobroma_cacao_20110822:5:8645621:8659755:-1 gene:TCM_022904 transcript:EOY08453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative MSSHSEDHQNKRDQPNHHSSPSSDTNNNHQPAAPPPSQPPPPPQPPNNQSPAHGYPPAMRFPPQMVYTHGGPYPAYPPPPHGCNQYPYAQLPPGAPYYNQGYASPQNDRCSGFARGIIAAMFVLIVLTCLSSLITWVVLRPEIPVFHVDNMSVSNFNTSTPFMATWDTNLTLENPNHKLRLYLDKIVGAMFYDDEDSLGSSWLNPIFMETKTKTTMNAIISTNGSAQNAVPIWLTQEMSKDRATGSLTFALRIRIWATFKTGSWWTRSLVIRVLCDDLKVNFVGASGNGALAPGKRDNCDVYA >EOY08393 pep chromosome:Theobroma_cacao_20110822:5:7467553:7472137:1 gene:TCM_022781 transcript:EOY08393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSPKVVNNHKIDRKKVSNRRSLVKKSSILTSMGIFDLMELNRVLTPTNSNLGKRINSRISKPYHMM >EOY07339 pep chromosome:Theobroma_cacao_20110822:5:1253110:1255731:1 gene:TCM_021799 transcript:EOY07339 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein MSSLEMSQIDLEQGTHSRNGSDVSAGEASVCFSDADEGSCYSQFYSTTGGSYDEYSFACASDGQIGDVSDSRRVSSVSDCSVEVEIQRGVPEIKVHLAKVEKDCRICHLGLESNSHESGVPIELGCSCKDDLAAAHKQCAEAWFKIRGNKTCEICHSIARNVVGVTEIESTEQSNEANSSTTTAAVSGAAPHSDSRSFWHGHRFLNFLLACMVFAFFISWLFHFNVPSS >EOY10731 pep chromosome:Theobroma_cacao_20110822:5:36157118:36160431:-1 gene:TCM_026030 transcript:EOY10731 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 47, putative isoform 2 MYDNRNWRYLGFGLVGGRVMGREECSMEYFDVTHKKAKTLERMTRGKGIHERTNDVIRLSSSIVQPPAIFSHTLPPGFRFHPTDEELILHYLKKKLSSSPFPVSIIADVDIYKFDPWELPAKAAFGEKEWYFFSPRDRKYPNGARPNRAAASGYWKATGTDKVIFTSSMVAGGGGVQENIGVKKALVFYKGRPPKGIKTNWIMHEYRLAENPNSNYNNRPVKSKDSSMRLDDWVLCRIYKKSHALSSTSTAAASDQDQEEEETEQFIHDALLPALKSPPSNNTLQPQKSCSFSNLLDAMDYSLLSSFLADNQCNTTGYESSSFSFGNLEQPPVNNYTNSSSSSSMLLQKLPQLNSSIPNMENKLKRQCTSIDEDYLHPSKKLISSCSYSNSASQSDMTQYNFLNQSFFNQSLLLSPHLQFQG >EOY10732 pep chromosome:Theobroma_cacao_20110822:5:36157410:36159943:-1 gene:TCM_026030 transcript:EOY10732 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 47, putative isoform 2 MKHPQSSLPPGFRFHPTDEELILHYLKKKLSSSPFPVSIIADVDIYKFDPWELPAKAAFGEKEWYFFSPRDRKYPNGARPNRAAASGYWKATGTDKVIFTSSMVAGGGGVQENIGVKKALVFYKGRPPKGIKTNWIMHEYRLAENPNSNYNNRPVKSKDSSMRLDDWVLCRIYKKSHALSSTSTAAASDQDQEEEETEQFIHDALLPALKSPPSNNTLQPQKSCSFSNLLDAMDYSLLSSFLADNQCNTTGYESSSFSFGNLEQPPVNNYTNSSSSSSMLLQKLPQLNSSIPNMENKLKRQCTSIDEDYLHPSKKLISSCSYSNSASQSDMTQYNFLNQSFFNQSLLLSPHLQFQG >EOY09394 pep chromosome:Theobroma_cacao_20110822:5:28921535:28925578:1 gene:TCM_024822 transcript:EOY09394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKVLRSLTPKFDHVVVAIEESHDLSDYFFDELMSSLQAHEERLNKAQDKGEEKAFQVKKEADKFENSGGRGRGRSGFHGRGHGERKGRERSTDQRQIKSNIQCYYRKKISHKRIDCWLKQKDDYKHDEQKHVHFIKKTEVEIGKSWRASNCLELVHVDLCGPMDTESFGGSKYFLLFIDDYSQKIWVFFLKFKFETFDNFKKFKTEVENQSGCNINVLSTDRGGEFVSNEFNNFCEEQGIRRELSAPYTPKQNGAAKRKNRTIVEMATSLLVAKGLPNQFRAEAVATTAYLLNVFPTRAVLNCTPYEAWRGMRPWVSYLRVFGCIAYALVKSQTQHKLDEKSEKCIFLGYSSQSKAYRLYNSKNGKIIISRDVIFNEDASWDWSSDGNVAKKQPPIHIEALEIGDPVPARSDATANSNSPSLSPNSNNLT >EOY10855 pep chromosome:Theobroma_cacao_20110822:5:36812282:36822742:1 gene:TCM_026148 transcript:EOY10855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Set domain protein, putative MATNERVRRAINATGALGIPEQEVKPVLEHLLRLFDENWELIESEDYRALIDAYFELKEDKGVQDKENVVVEHGGSSRLSKRLRSQEPEDQASSTKGNSSQILSPEENQKPMVNLREGVTFLKKKDSISSGCSNSCNKVQQQSVTCERKRPFRIISDITKGTENVKISLVDEIGHQDLPKFTYMPGNVIYQDAYVHISLARIADEHCCSGCSGDCLSLPIPCACAHETGGEFAYTPEGKLREEFLQACMSMKQEPQEHHFVYCQDCPLERSKNEHKPDKCNGHLVRKFIKECWRKCGCHIRCGNRVVQRGIACKLQVFLTGEGKGWGVKTLQDLPKGTFVCEYIGEILTNTELDERNMKSSGRERHTYPVTLDADWGSERILKDEEALCLDATFCGNVARFINHRCFDANLIDIPVEVETPDRHYYHLALFTTRDVRASEELTWDYGIDFDDHEHPIKAFQCHCGSAFCRDVKQKRTRLAMHR >EOY07266 pep chromosome:Theobroma_cacao_20110822:5:966736:971237:1 gene:TCM_021729 transcript:EOY07266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTAGAEPSRAEMDPPRCGTLLKGGDEGSDGGNGDGGRVVVVVVEPPSHHGFKEGEGAAEESIYGTPCKMEEMLMIFHTKLLRNPFPTGICRLGEGFLTLARSPPSTSPTCPLRSLYLTHTLAFASFFHLIL >EOY10939 pep chromosome:Theobroma_cacao_20110822:5:37113201:37116715:1 gene:TCM_026204 transcript:EOY10939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MATNNQRTAQEIVINPAPLDVDPLVPAAMGDVSDESAGEPFAPAVTPSEEERTRFNYYRPLHLAALKGNWEAAKGYFPDGDSEAFTEDVSCKGMNALLVASCNGQTKFVEMLVQRMPEKALEKRGPGGYTALHHAAIGGHLKMAKALIRKNPILTQITDNDGKTPLLCAVLLFSRHKLVRYLASKTTNEKPERPFSGCKGGDLMVNLTHMGFHDISLDLIQREPSLVLAESSEGETILQVLAYEPAHFHSGTKLNFWEKWIYQYLCDEDDPLETSDQDLGVEKQSQANEAKTQVPCINKIIDKKLDHERACKLVHLCLEALLDYNERKRNEYFDKQKILHFAARCGVVEIVTASLHYFPDLIFSQHGSLILKNAIQCRQEKIFNLACKKTSLDKMFATMCYEPSISHLAANLPIKRRLSDDSYAASQMQREMQWYKAIERIEHPRMRQLRSEEGKTGFECFSENRNNARECREMDEGYLRFQYACFYSHCHRGVCCSFYSSWRKQ >EOY08504 pep chromosome:Theobroma_cacao_20110822:5:9431478:9436639:1 gene:TCM_022980 transcript:EOY08504 gene_biotype:protein_coding transcript_biotype:protein_coding description:TatD related DNase MAPTRMIDIAVNFTDGMFKGIYNGKQYHVSDIATVLSRAWNAGVDRIIVTGGSLEESKEALAIAETDGRLFCTVGVHPTRCKEFEESGDPEKHFQALLALAKEGIQKGKVVAIGECGLDYDRLHFCPPEIQKKYFEKQFELAYATKLPMFLHMRAAAGDFCEILEQNINKFTGGVTHSFTGSAEDRDKLLSFHNMYIGINGCSLKMAENLDVVRDIPIERMMIETDSPYCEIKSTHAGIKFVKSLWPSKKKEKYDQESLVKGRNEPCLVRQVLEVVAGYKGISIDQLSATLYQNTCRVFFPHDLDAAADALLAGRRESQ >EOY08994 pep chromosome:Theobroma_cacao_20110822:5:24598295:24600217:1 gene:TCM_024329 transcript:EOY08994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKLVAAVKVHMVPSKQIDEIETIQWKLSEMMNTLSNVSGSPRNRGKRTKVSVPKRYERVQDAKELENFRFNILKYFQAMHTESEDKVVMASMYLVGDAKLCWSSKFTDALSAIWTANVETPAPHTTVEEVEEELARMSYIRFLSALQAQLEKMKTEPQRSLMYVKVLLNEKTTKTMLDTRASDTFITSEEEKRCSLKINLTVATTDDFDFVLGLDFMTKAQVIPFPAASCLMFFGERSCVVLATILPKSGKKMISAIQFKKGIKKGEPSYVAMSIYKGENNRNPIHQEVKLVLEKFQNMMPEQLPRVLPPQQAVHHEIELLPRVKPPAKGPYRMAPPKLTELKKQLDKLI >EOY08974 pep chromosome:Theobroma_cacao_20110822:5:24340676:24341522:-1 gene:TCM_024299 transcript:EOY08974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDHSYDGFSCITAGAAAAAAISASSTVDASFRSGYDNDSSPFLGLDPIPGAHYHHHCSLKFDPKILIKFLLIFKDYIELDICEGGNQESQDKGGKTSTTRTVIKMELKAVFLYVLQLLQGYYL >EOY10283 pep chromosome:Theobroma_cacao_20110822:5:33927039:33952402:1 gene:TCM_025653 transcript:EOY10283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Benzoyl coenzyme A: Benzyl alcohol benzoyl transferase MRDLITVAHRGDADVDAKPCGVLIDIQGNSAYRDVEAVVTGPMGVSGHDNSVGIIAKGKITLFLGTLAYDLWRSMTLRPGAWKRREGTAPGASRSEVHLLPSALIGTIECHHALRGGQNSVVRRHEPELVVPAKPTPRECKLLSDIDDQDGHRFQIRGLHFYRFKPSMQGKDPACIIKEALTKALVFYYPYAGRLREGPNRKLVVDCTGEGVLFIQADADVTLDQFGDSLHPPFPCYKELLYEVPGSNEILNCPLLQIQVTRLKCGGFILAHRFNHTMNDAVGQSQFMCAMGEMARGALAPSTPPVWERHLLNARHSPLITCVHDEYDNATLTNGIEIPDNLLHRSFFFGPTQISALRRFVPHNLRCSTFDIVTACLWRCRTKALKLGPNDDVRFICIVNVRSKFNPPLPSGFYGNALGYPAALTTAGQLCQNPMEYAIQLVRQAKAKITEEYMKSTADLMVIRGRPNVNTVRCFMVSDLTRAKFREVDFGWGEAEFGGPAYGGDIISFYIPSKNKEGEGGIVVPVCLPAPVMESFVKELDGILSNDEAAAGAENEILRCKF >EOY10465 pep chromosome:Theobroma_cacao_20110822:5:34920714:34922083:-1 gene:TCM_025821 transcript:EOY10465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase 2A, putative MDKTETFKDNIVPTPQDEAESWDDEFQELKRTLPKEEGWYETPLDFFQGFWCPSAAPKAVISFQKHFQALDSDIIVASVPKSGTTWLKALTFSIVNRNQFRGEENPLLSSGPHQLVPFLENPMDVFISLWFFVDKLHFEHLKPLDEAFELFCKGIYGSGPFFDHVLGYWKVSQENPNKILFLQYEDLKEDIIFHVKRMGKFLGFPFSEEEEKQGVVEEIARTCSFGNLKELDVNKNGVHIYGIPHHTFFRKAEVGDWSNYLTPAIVERLEKLIQENLDGSGLAFKFS >EOY10245 pep chromosome:Theobroma_cacao_20110822:5:33744604:33756837:1 gene:TCM_025620 transcript:EOY10245 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MEIPSNCAANLVSEIAKFMFFQIKRRISYVFHHKREVENFEEKVQRLKERRERVQHDVDAAKRNVEKIEIGVENWLIKVDKMIDEEAKETKDLEDKAKNKCFIGLCPNFKSRYQLSKKAEKDANAVDVLLRQGEFDKVSYRDPPQAMVYASPKDFEAFDSRKQVFDEIMEALKDPSINIIGVYGMAGVGKTTLVKEVAKQIKGDKLFDSVVMANVTQTLDIQKMQDQIAEPLGLKIEEKSVAARASRLHERLKGEKKILVVLDDIWARLELNKVGIPVGDEHKGCNILLTSRNLDVSLNGMDVQKKFRINVLKEEEAWELFKKMAGNGDKSPELPSIATEVAKKCAGLPIAVSTVATALRNKDSFEWEDALRQLQRPSSSNFSEVPEEAYAAIELSYNHLKGEELKQTFLLCSLLGHNVAIQDMLKYSMGLGLFHGVNTVEEARKRLLTVVSKLKTSCLLLDSYTELHFDMHDLIRDVAISIASRDNRVFALNNEDVPKDWPNVEAMKSWDKIGLRYAINLKLPDQLKCPKLTFFYMGSRDPSMEMPTNFFKETKNLKVLDLTEMHFPSLPSSISLLSNLGTLCLDQCVLGDIAIIGELKNLEILSLLDSDIKMLPEEIGKLIKLKLLDLHGCTKLKRITPGILSSLSRLEELYMGNSRVQWEAEGHTQPSNASFAEFKALSCLTTLDVCIPNAKIIPKDLFFENLQIYRIFIGEAWDWDGQIGYSRTRTRTLKLKLDTGIEYLSYGLKMLLNKAENLHLDEMTGVKILLHESEYRESFRMLKNLHIQNGALVQYIIKDNDIVDKIEFLQLQSLILQDLPKLISFCSENNSSNSISPKELPLFNDKIMFPKLEKLKLSSINIERIWHYQPPRGSYSMQNLTSLIIEGCDKLKHILSYSMAECLQQLQSFEILEVEHCLELKGFINKSMSKDITIGSTTEALFNEQVAFPNLEKVTISHLRNVKRLWYNQLHTDSFCQMKELKTEHCDELLNIFPSSVLGVFQRLEILTVTDCEVLEEVFELQAQELEIKDTYGVTFQLKQMYLLRLPKLKHVWNRDPHGNISFQNLQGVDVWQCWSLKSVFPFSIAKGLPQLERLLVQECGVEEIVSKGEGLEQEIWFEFNQLSSLILWIVPNLKCFYPGMHTTVWPVLKNLKTYGCKEMEIFGQLESNMQKPLFHIEKVQAFTVPLEMFIHFSEVIQCLNNVPNFLLVIPQLEVVWLSSDDIAMICGGQFPENLFCHIKVLGISCESAIYPFSFLRRFYNLEELLVGHCSFKELSPSEGNVGEEKEVWMLPKIKKLVLYMFPRLTQLWKQESPLGHICARLETLKVWRCDSLINLGVSSASFENLTSLYVRNCKGMVELITSSEVQSLMRLVTMSIRECEMVKEVVASEADDEATYEIIFTELKCLELHCLASLRSFCSGDHTFKFPSLEQVIVSQCPRLTSFCQGAISTPKLQRVQLAETDHNGRWAGDLNATVEQLYMEQVLSNFIKHI >EOY11038 pep chromosome:Theobroma_cacao_20110822:5:37647304:37652168:1 gene:TCM_026312 transcript:EOY11038 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein MALSTLPIFSSPFLFPLKTPKPFKPQKPLTITCSSQEPKRPFKAPQRPTSRNRKRRPYGTSRRSILKKTFTQEQVKFTASVSDDPHVGIVGGGMAGLVCALSLEKRGVKSTVFDTGMHGLGGRMGTRVIDPQQLIFDHAAQFFTVSDSWFAELVDYWLEKGLVRQWQGLVGALELGGHFVPLPPSPPRFIGVKGMRPLADSLLSETSMVNVVRPCWISKLEPFNGMWHLSENGKPCGQFDAIVIAHNGKCANRLLASSGLPLIAKQMKRLELSSIWALLAAFEDPLPIGDGKVTFEGAFVKGVDSVSWMANNSMKLLGSQSNGPHCWTFFSTAAYGKRNKVPQENIPTATAEKVKTSMLEGVEAALGLPKQSLQRPFYSRVQLWGAALPTNTPGIPCIFDPDGRAGICGDWLLGSSLESAALSGMALGNHIADYLQSDEACPEEFAVGLEKEFQAIQGHDIGQFPGVESSRQDSEMPAYQLAT >EOY08444 pep chromosome:Theobroma_cacao_20110822:5:8489684:8490720:-1 gene:TCM_022885 transcript:EOY08444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) hydroxyproline-rich glycoprotein family, putative MAEKEAQLNGAYYGPSIPPPTRHYHRPGRGSGCGCGCCLLKLLFNIIITAVVVIGLAVLIFWLIFRPNKVKFHVTDASLTEFNFTTNNTLHYNLGVNITVRNPNKRIGIYYDRIEARAYYEDQRFNTLTLTPFYQGHKNTSFLNPVFSGQNLVVLGAEETSKFNEEKTSGIYSIDVKLYLRIRFKVGKVKTGRFKPKISCDLKVPLSSADGSLAGAPETTRCDWDF >EOY08311 pep chromosome:Theobroma_cacao_20110822:5:6253645:6258706:1 gene:TCM_022648 transcript:EOY08311 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein MAATSSYDRLKELKEFDESKIGVKGLSDSGIKTIPDFFKHPPQTLPDLKSKFSQANTNIPIIDLSNVNSPDHHQKIVNQIKEAAKSWGFFQVINHGIPISVLDETIQAIKAFHEQPYEIKSKYYNRDESNGVTYASNNDLYRSEAACWVDSLKAQMGPKPLDIELLPEICRKEVVEWDLSATKVAETVMELLGEGLGLEPGKFKELTFSQTKYVVGHIYPYCPQPDLTMGITSHTDPGVVTVLLQNQIQGLQVKHEDRWVEVKPALGGLIINVGDFLQIVSNGEYKSVEHRVVANSCKEPRISIVEFFNLSKWKGDGYYGPLPELSSAEKPAIYRNFTTQEFLENFYSKGLDSKSLIQKITIRHEVPWGALKAIFNDYGRVLDIFVSRNPNWDGANFAFLRFGVEEGLKRAVAIGNGESIDGRRVCVTVALEGRNGNTYQRRSDGTTGIQAWATFHSEMCS >EOY08652 pep chromosome:Theobroma_cacao_20110822:5:15262699:15263610:-1 gene:TCM_023538 transcript:EOY08652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIIYSELMGTSSKACLGFGGFKRKFRMLVTAEERLLQQGPNRHSPPGPSKPFYENWFIRPPVLSLPLRFLIHCFQK >EOY11462 pep chromosome:Theobroma_cacao_20110822:5:39335343:39341885:-1 gene:TCM_026630 transcript:EOY11462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase, putative MKMRRSCFPRCGFARIMGWLRIVLGILVIIVSISSLFRFYSAGFFVHNEDICRHFYGIKDVYERFDVRALNDRVAEVLRTMESLQEKLETTLQQMEKNKDVLDQTNMTRLEHKKYLEVEVLRPLYSAHIALRQIRLPKIEGNGNGTLKEEPLINTFITEEIRKYITPKENRIVKVNFYGTEKIYNTIGHACVLMKKELQEYMDYDIGSYCKDDWNLAQKLMVGGCDPLPRRRCLTRASKVYQKPYPINESLWKLPDDRNVRWGGYKCRNFGCLSSKNPKRGYTKCTGCFEMEKEKLKWVANSSSLAVDFLIRDVLAIKPGQVRIGLDYGVGTGTFAARMRELNVTIISTALNLGAPFNEIIALRGLIPLYATLNQRLPFFDNTMDLIHTTGFLDGWIDLLLMDFILYDWDRVLRPGGLLWIDRFFCNKKDLDDYMYMFLQFRYKKHKWVLAPKSKDEVYLSAVLEKPPRAI >EOY10441 pep chromosome:Theobroma_cacao_20110822:5:34786811:34788693:-1 gene:TCM_025804 transcript:EOY10441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKCELKALKDNGTWSVIPLPTNSFAIGCKWVFKGKMNVDGAVERYKAWLVLKVATSIDYNHKLSRVTDSDKVADSTRYRQLIVTGIDA >EOY08978 pep chromosome:Theobroma_cacao_20110822:5:24484569:24486307:-1 gene:TCM_024315 transcript:EOY08978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MCALTPFPVCEWPLDSPINHIEQISYTEIFEDLLRFTSTLPPIQLDRSPSCSGDSGNNPIVLKKLNHNARERDRRKKINSLYTSLRSLLPLSEQTKRLSIPATISRVLKYIPELQAHVERLVQKKEEILSRQEEFSCDQENKRKGSSSFAISVSRVSEREVVVQISTFRAFETPLSHVLFNLEEDGLFLTEASCFESFGGRVFYNLHFQVERTYNLEGVVLNEKLLSLYEKRK >EOY08979 pep chromosome:Theobroma_cacao_20110822:5:24484250:24486351:-1 gene:TCM_024315 transcript:EOY08979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MCALTPFPVCEWPLDSPINHIEQISYTEIFEDLLRFTSTLPPIQLDRSPSCSGDSGNNPIVLKKLNHNARERDRRKKINSLYTSLRSLLPLSEQTRLSIPATISRVLKYIPELQAHVERLVQKKEEILSRQEEFSCDQENKRKGSSSFAISVSRVSEREVVVQISTFRAFETPLSHVLFNLEEDGLFLTEASCFESFGGRVFYNLHFQVERTYNLEGVVLNEKLLSLYEKRK >EOY09487 pep chromosome:Theobroma_cacao_20110822:5:29460826:29465256:1 gene:TCM_024902 transcript:EOY09487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microsomal oleic acid desaturase MGAGGRMSVPPTPKKSEFQSLKRVPCSKPPFTLSQVKKAIAPHCFQRSVLRSFSYLVYDFILVSLFYYVATDYFHQLPHPLSYVAWPIYWAVQGSVLTGVWVIAHECGHHAFSDYQWLDDTVGFVLHSSLLVPYFSWKYSHRRHHSNTGSLDRDEVFVPKQKAAIRWWAKYLNNPPGRFLTLTIQLTIGWPLYLAFNVSGRPYERFACHYDPYGPIYNYRERLQIYISDAGVLAITYCLYRLALAKGLAWVVCVYGVPLLVVNAFLVMITYLQHTHPSLPHYDSLEWDWFKGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPVLGEYYQFDGTPVYKALWREAKECIYVEPDEGEHGKGVFWYRNKL >EOY08196 pep chromosome:Theobroma_cacao_20110822:5:5507305:5513004:-1 gene:TCM_022536 transcript:EOY08196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute carrier family 35 member F2 isoform 3 MSFTSSLIASLGVDAPITQSSFTYLTLAVVYGSILLYRGQKLRIPWYWYLALGFVDVQGNYLVNKAFQFSSITSVTLLDCWTVVWAIILTYIFIGTRYSLWQLFGAALCVLGLGLVLLSDAGVGGGDGSKPLLGDALVISGTLFFAMSNVGEEFCVKKKDRVEVISMLGLFGMLVSGVELSIFELRSLESVTWSTDIMLAGIGYTLSGFMFYTITPFVLKLSGATMFNLSLLTSDMWAVVVRILFYQQQVDWLYFLAFALVVVGLVIYSTTEKDPVPAPLLEDANHSVQYQVLNDENMPSRDES >EOY08195 pep chromosome:Theobroma_cacao_20110822:5:5507374:5513157:-1 gene:TCM_022536 transcript:EOY08195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute carrier family 35 member F2 isoform 3 MSWSAAGSWFKSHVTLHNLYRLFLGQVVSFVLALMSFTSSLIASLGVDAPITQSSFTYLTLAVVYGSILLYRGQKLRIPWYWYLALGFVDVQGNYLVNKAFQFSSITSVTLLDCWTVVWAIILTYIFIGTRYSLWQLFGAALCVLGLGLVLLSDAGVGGGDGSKPLLGDALVISGTLFFAMSNVGEEFCVKKKDRVEVISMLGLFGMLVSGVELSIFELRSLESVTWSTDIMLAGIGYTLSGFMFYTITPFVLKLSGATMFNLSLLTSDMWAVVVRILFYQQQVDWLYFLAFALVVVGLVIYSTTEKDPVPAPLLEDANHSVQYQVLNDENMPSRDES >EOY08198 pep chromosome:Theobroma_cacao_20110822:5:5508113:5513034:-1 gene:TCM_022536 transcript:EOY08198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute carrier family 35 member F2 isoform 3 MSWSAAGSWFKSHVTLHNLYRLFLGQVVSFVLALMSFTSSLIASLGVDAPITQSSFTYLTLAVVYGSILLYRGQKLRIPWYWYLALGFVDVQGNYLVNKAFQFSSITSVTLLDCWTVVWAIILTYIFIGTRYSLWQLFGAALCVLGLGLVLLSDAGVGGGDGSKPLLGDALVISGTLFFAMSNVGEEFCVKKKDRVEVISMLGLFGMLVSGVELSIFELRSLESVTWSTDIMLAGIGYTLSGFMFYTITPFVLKLSGATMFNLSLLTSDMWAVVVRILFYQQQAG >EOY08197 pep chromosome:Theobroma_cacao_20110822:5:5507602:5513004:-1 gene:TCM_022536 transcript:EOY08197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute carrier family 35 member F2 isoform 3 MSFTSSLIASLGVDAPITQSSFTYLTLAVVYGSILLYRGQKLRIPWYWYLALGFVDVQGNYLVNKAFQFSSITSVTLLDCWTVVWAIILTYIFIGTRYSLWQLFGAALCVLGLGLVLLSDAGVGGGDGSKPLLGDALVISGTLFFAMSNVGEEFCVKKKDRVEVISMLGLFGMLVSGVELSIFELRSLESVTWSTDIMLAGIGYTLSGFMFYTITPFVLKLSGATMFNLSLLTSDMWAVVVRILFYQQQVDWLYFLAFALVVVGLVIYSTT >EOY08199 pep chromosome:Theobroma_cacao_20110822:5:5507569:5513004:-1 gene:TCM_022536 transcript:EOY08199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute carrier family 35 member F2 isoform 3 MSFTSSLIASLGVDAPITQSSFTYLTLAVVYGSILLYRGQKLRIPWYWYLALGFVDVQGNYLVNKAFQFSSITSVTLLDCWTVVWAIILTYIFIGTRYSLWQLFGAALCVLGLGLVLLSDAGVGGGDGSKPLLGDALVISGTLFFAMSNVGEEFCVKKKDRVEVISMLGLFGMLVSGVELSIFELRSLESVTWSTDIMLAGIGYTLSGFMFYTITPFVLKLSGATMFNLSLLTSDMWAVVVRILFYQQQVDWLYFLAFALVVVGLVIYSTT >EOY09554 pep chromosome:Theobroma_cacao_20110822:5:29782375:29783071:1 gene:TCM_024962 transcript:EOY09554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTGNSNLFFTFQSHTTSSNVTLADGSTFYVLGSGTINPTPSISLSNVLNLPKFSFNLISVSKLTSALNCCISFFPNFCLFQDLTTKRIIGTGRESEGLYYLDT >EOY11459 pep chromosome:Theobroma_cacao_20110822:5:39330172:39331266:1 gene:TCM_026627 transcript:EOY11459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLLFFFPYCYLKSYQYFHIVQMFECKKNFWGRDQFMHSYQAIPVFGLSQMELRSLSPGYNKMRCMALGHIFVHLQTGFWDSKREVGSDFRAFSLAASTQLDPTVSKRWILGSFWFKQFVLGWTAFMCPINGF >EOY07564 pep chromosome:Theobroma_cacao_20110822:5:1983929:1985237:-1 gene:TCM_021967 transcript:EOY07564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFAMIILDGFSRLSNRTRNQELREAFAKFDHVIHDRMTKYTQGFGFVIYANLEHAEKGIKGREAQVVEGFNRVWQLDDCSGF >EOY11531 pep chromosome:Theobroma_cacao_20110822:5:39529579:39532839:-1 gene:TCM_026675 transcript:EOY11531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein MTRLSFIVGVVGNIISVLLFLSPVGTFRRVLRNRSTEEFESLPYICTLLNSALWTYYGVTKPGSFLVATVNGFGVVVEMIYVTLFLIFAPPTMRAKTGMLFGLLDVGFPAATVLATQLILKGDLRIDVIGFLCAGLNIVMYGSPLAAMKTVVTTKSVEYMPFLLSFFVFLNGVVWTFYAVLVEDYFLGVPNGIGFLLGTAQLLLYAIYSRRQRPSKASSHDLEDEWQRERLMSSSSTNLEEKNEV >EOY10305 pep chromosome:Theobroma_cacao_20110822:5:34074085:34076049:-1 gene:TCM_025678 transcript:EOY10305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein, putative MFFQNSNSKTHFKKLIFISKFSIISPFNHQKPPFRYSQNHNYVNVYMKWKKDPFFDSTVHIHKSIELKLIIQLKNLIAKDPNGCIPISAVSKRGEEFDISIKIARFLRQYPSVFEEFRGPEYILPWFRLTQKLLRIIERRKGYLRNARRIRRIGFLEDSKGNVDESFTFMDMEDELKGLAVESEGEKGLRLKRKIEGWLKEFQKLPYVSPYEDFSHLDRNSDAAERRVEGILHELLSLFVEHSAQRKKLLCLKKYFELPQKVHKAFERHPHMFYLSFKNKTCTAILKEAYCGKSSMERHPLLGVRKKYIRLVKESGRILKNSRINNRFIKQEKVEKDLDMDSESDDRTETLELTIALKVASPGPVVSEGLKFLPQCYQSFYFEDPVAAVPLPSEAKKSNKNMKKRLKEEYSIED >EOY11359 pep chromosome:Theobroma_cacao_20110822:5:39076226:39080094:1 gene:TCM_026569 transcript:EOY11359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal adenine nucleotide carrier 1 MGVDLESLSEATSGAIGSLLSTTILYPLDTCKTKYQAEVQAHGQQKYRNLSDVLWEAISTRQILSLYQGLGTKNLQSFIAQFVYFYGYSYFKRLYLEKSGSKSIGTKANLILAAAAGACTAIVTQPLDTASSRMQTSAFGKSKGLWKTLTEGTLSDAFDGLGISLLLTSNPAIQYTVFDQLKQRLLKQKVKEAGKGSSPVVLSAFTAFLLGAASKSVATFLTYPAIRCKVMIQAADPDDDDRTKKDQPRSRKTLSGVIYAIWRREGTLGFFKGLQAQITKTVLSSALLLMIKEKITATTWVLILAIRRYLLLTRGSNSLKHSCSNIGALLGKHQSELDLAASSSK >EOY10654 pep chromosome:Theobroma_cacao_20110822:5:35757848:35760748:1 gene:TCM_025965 transcript:EOY10654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein MVGLFLFNIAERVLEKIALLAGEVLLAFSVKSDLRKLQDTMSSIKAVLLDAERQQHQNEKLRLCMWKLRDIFYDVEDVLDEFECEALRKQIANHPCISVKALKLSYHHLPSPLQRCLAFLSLYEKDHIYYDDDVIQFWMANGLLEYPKQNQEWEDVGGRYLNELRSRCFIQDADYGGFKMHDLIHDLALDVSQKECKTLYRQTENVDEKVRHVSFCDDQSFIKVPLVLKESKHVRTIIAQNLSKELKTIDKSFIKFCVSNFKYLRALDLSYSSLKALPNSVGTLKHLRYRDLSSSRIRKLPSSFYKLRSLQTLRMWDTTLKRLPDNMQSLIRLRYLEITIEATHLKEIRPGCWSSLQYLGLLGCGNLECLFEGMQYLTSLRTLYVAGCSKLVRLPRSLKFLTKLEHLHILRCRAINLHMEPEEKEDQDLALSLKTFSIWGLEALTDLPRLLLEGSARTLQCIQINICGKFEVLPEWLRSLTSLQKLEIRYCPKLTSLLEGMGRLTALRQLKVEGCPTLSERCQRDGGEDWPNFHKSERLRLVADN >EOY07179 pep chromosome:Theobroma_cacao_20110822:5:736746:739884:1 gene:TCM_021678 transcript:EOY07179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNTQSSSSGCFSAVVRRLLCSGSPQTHPSEDIKESTTNGFVGDEAKVQVKASESGPGIVARLMGLDSLPEKNWVQKGNNPGPVTRSRSVNFMDYMLEFDLANAKHRRVKTSASFREVPQGPQLLQHNHNHDFLVVYLDSADKSNEAGLKPRKSEKGDGSSSKHDKQKENLREKVACKGENQEKNKKIAKLKNERRRVSGQHSLKAGSCISGAKDVQINRGANSKAKRPLKMVNQKEASVLTRKKKSQRELKKVEYSENNSEGSSPVSVLNVDDFTAHQENGISESRSLELKSEKKWSSKSVKHDSPAMNFSARISITEGLGKQEYTKRNFESTGIEETEYYMELVGKPCKLTEEDIKFSNWIEPKTVFTFEDFEDICAEFGEQLLDLMMHQGEISCERSPPTLITGPVVSASRLQQGSRVTWQDLDRNLRFLPPSEHRDQGCVFLLHCEHSGRIVVCMKVLRCNLGSCDIQKGGTISPSFYVQTGIDLLCQPPLSTA >EOY08515 pep chromosome:Theobroma_cacao_20110822:5:10040693:10044803:1 gene:TCM_023041 transcript:EOY08515 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 48, putative MARRKVKLAWIANDSARRASLKKRRLGLLKKVSELTTLCGVDACVIIYSPDKTEPVVWPSHDVMQQQLARFQSMPESERQKKMTNQDTYLREKVTKAQEQLTQCQRRNKEVEMTHLMHQINQGKELDELNLSELHGLIWFVEEKINQIRKRIEFFLQVPFAPAGAPYHPHLPLPPQGPAVNETARIGSGSAGHGGDGRTHTEPSLWDQWLIDMMNHNEHKSAGSSRIRSDVGLPYHPFAGSAADDPGMPGHSFAGSSSGAAHTGLPPMSFRQQGAGASDMGLSRASSIGGSGFGPLGSDIGLGLHPLSGDVRRSSAGSELGLPHFRSLGGSSSGAGSDIGLPFDGKTWPNNFSP >EOY08299 pep chromosome:Theobroma_cacao_20110822:5:6189727:6194196:1 gene:TCM_022636 transcript:EOY08299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MLRLTKIQSLLISNQERFRLLSISTLAAIADAQETSPKLQTHMQNPLESHSSLRVDPKFFISALLNCKNIFEIKHVHAQVVANGLLNNLFVTNKLLYIYLQYGALGEAYAFFDGMRERDPFSWSVMVGGFAKAGDFVNCFRTFRELIRCGVQPDNYTLPFVLRVCRDGMDLLMGSLVHGVVLKSGLCLDHFVSSALVDMYAKCRVMEDARRLFDNMPNKDLVIWTVMIGGYAECGNAKESLVLFEWMREEGVVPDKINIVTVANACAKLGAMHKARLIHDYVCRMSFSLDVVLGTAMIDMYAKCGSVDCGREIFDRMQEKNVISWSAMIAAYGYHGQGRKALDLFPMMLSSGIMPNRITFVSLFYACSHAGLVDEGLQLFNMMWDEYAVRPDVKHYTCMIDLLGRAGRLDEALNLIENMTIEKDEGLWGAMLAACRIHKHVELAERAAKSLLELQPQNPGHYVLLSNIYANAGRWEDMAKIRNLMTKRSLKKIPGWTWIEVDNKIYQFSVGDKSHPLSKEIHGLLKSMSEKLELAGYIPDTNFVLHDVDEEVKVGILYTHSEKLAIAFGLIATPKGTPIRITKNLRMQIDFTTSTRGFVHVGTTGNWLTWYWQVMYSTETDLTVDSVLPPNFTTAGANFFTYTALRVLIGADLPTAFEILKVSEAEFPALKGQGISYAMLQFPSVSLNPVHSHPHAAELLFLFIGSLEVGFVDEKNVLHIRTLQAGDLFIFPKGVVHYQYNYGQDPAFAISALGSANAGTISLPRSVFSTNIRDDIIAKSFKIDVSTVQKMKASITPKGKGTVKEPFLR >EOY08402 pep chromosome:Theobroma_cacao_20110822:5:7630946:7633420:-1 gene:TCM_022798 transcript:EOY08402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase 4 isoform 2 MDSQTQTVDRQQQSFLPGTAKQEAGTLSLRPSKKRRRDKGDRHTKVEGRDRRIRIPLTCCSGVFRLTREMGHRTHGQTIQWLLQQSRPDLLLPDPMSCPSRLIGSAPDCMAKGRVRATVVQASTVFLDTPATLDKAERLIAGAAAYGSQLVVFPEAFVGGYPRGVSFEAVAVPSSTEDNEVFLKYHASAIEVPGPEVDRLAKIAVWCSGEKSSLPLYGTTIGKVGGLLYWDNRIPSLRTQLYDKGIEIYCAPTADAREEWRASMIHIAIEGRCFVLSANQFCQRKDYPLPLKCIDGDSNGDLSLDTIICSGGSVIVSPSGTILAGPNYHGESLVSADLDLEEITRAKLEVSETGHIVGPDSVGWAANRPNPVIFPTAVKAEALDELSWSPGL >EOY08401 pep chromosome:Theobroma_cacao_20110822:5:7630666:7633617:-1 gene:TCM_022798 transcript:EOY08401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase 4 isoform 2 MDSQTQTVDRQQQSFLPGTAKQEAGTLSLRPSKKRRRDKGDRHTKVEGRDRRIRIPLTCCSGVFRLTREMGHRTHGQTIQWLLQQSRPDLLLPDPMSCPSRLIGSAPDCMAKGRVRATVVQASTVFLDTPATLDKAERLIAGAAAYGSQLVVFPEAFVGGYPRGVSFEAVAVPSSTEDNEVFLKYHASAIEVPGPEVDRLAKIAGKYKVYLVMGVVERAGFYLFSAILFFDSMGRYLGKHRKLMPIASECAVWCSGEKSSLPLYGTTIGKVGGLLYWDNRIPSLRTQLYDKGIEIYCAPTADAREEWRASMIHIAIEGRCFVLSANQFCQRKDYPLPLKCIDGDSNGDLSLDTIICSGGSVIVSPSGTILAGPNYHGESLVSADLDLEEITRAKLEVSETGHIVGPDSVGWAANRPNPVIFPTAVKAEALDELSWSPGL >EOY08403 pep chromosome:Theobroma_cacao_20110822:5:7630642:7633533:-1 gene:TCM_022798 transcript:EOY08403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase 4 isoform 2 MDSQTQTVDRQQQSFLPGTAKQEAGTLSLRPSKKRRRDKGDRHTKVEGRDRRIRIPLTCCSGVFRLTREMGHRTHGQTIQWLLQQSRPDLLLPDPMSCPSRLIGSAPDCMAKGRVRATVVQASTVFLDTPATLDKAERLIAGAAAYGSQLVVFPEAFVGGYPRGVSFEAVAVPSSTEDNEVFLKYHASAIEVPVWCSGEKSSLPLYGTTIGKVGGLLYWDNRIPSLRTQLYDKGIEIYCAPTADAREEWRASMIHIAIEGRCFVLSANQFCQRKDYPLPLKCIDGDSNGDLSLDTIICSGGSVIVSPSGTILAGPNYHGESLVSADLDLEEITRAKLEVSETGHIVGPDSVGWAANRPNPVIFPTAVKAEALDELSWSPGL >EOY09861 pep chromosome:Theobroma_cacao_20110822:5:31366241:31378136:-1 gene:TCM_025230 transcript:EOY09861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPCALQQTHQDNQKVSEVGKANCSKNSLQLNDSRRSEDSGISSFNLRNIGQRCAILTLPTLGSDGQWRIVAIPLQYLDHNNLFRSGTHLNMNSMHLVSSPLINSVKVDGRKTKKGPQPEVTYSAKQCRARSFSGSNMQHQFRTRTVANKMTKLDEVANNSSCQSSVTCNDSSVFKPKGSTATNPSAMFVDCSEEDKSKKRNSRKKAKKKGKHRKKHLCDVSSTASEVCSEYTRGSSASEICGNNDMNQGMVVSCATSPSNGLLNIADFADSSNGVITSFESPNICISDIDQVDITESIVPSQVQKLPSEYLINDSEIGKEDQQFSRSRVGLERRYPSQVGSLDCIHQEDFSDLHDSLVLDSVSVGSSSEESMSASHIVKPFDNSHENSQSEAPGSNTKKGSFYHQNSLCSISETHDYTQGPKHGLDFSSCDVQMIASGKRGKQFKSVPGSSSTCKLGSIGNLHGGMGTENSHSVWQRVQRHGVEKCNTELKKASPICSGSDVTAKDAPLLKRSSNAANETTLSGTNDKRKLKDKVPRKLKRKVSPASKQEKSSCSRKGSHPNKVNLNAHAKTSSMQKDEMLDVLTALNDQRVIKNVSRSCAQLGFARVETMKSESLNNLQVSPGSMEPCESVCDAASGLNNQCIENQDSLLKKSCVPLDQPNLHEVRAPVYLPHLMVNGVARTEKEFSLAEYGKQSHSSGSVLQKWIPVGIKDPGFTTSVRSASLSTEHSNGPEAEDWTFKNKFEEKVAPCAQNLSSSVDAGTMCSIGKDSGHAISSPENDNHIKNLRNLNACINENENKHNGANFLIDETKEQNLSALATDLNKISKALNDAYRAQMASEAVQMAIGGPIAEFERLLHFSSPVICHSYSSVACQSCLQDQVPSGLLCRHETPNVPLGCLWQWYEKHGSYGLEIRAEDYENPKRLGVDRFEFRAYFVPFLSAVQLFRNSKSHSTPNNTTIASPGVSEGYDTGSTSRDFTNVSHLPILSVLVPQPRTSEPSSHLPVNDVVRSEPSLVSSKNGLSAKSVDMAWSDCLEPVFEYFESEQPQQRRALYEKYSVAWYPIYRIPDGNFRAAFLTYHSLGHLVRRSSKFDYPSLDACIVSPVVGLQSYNAQGECWFQPRHSTVNDFSEIHGLSPSGILKERLRTLKETASLMARAVVNKGDQTSVNRHPDYEFFLSRQR >EOY09860 pep chromosome:Theobroma_cacao_20110822:5:31365974:31378771:-1 gene:TCM_025230 transcript:EOY09860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIQQKMPCALQQTHQDNQKVSEVGKANCSKNSLQLNDSRRSEDSGISSFNLRNIGQRCAILTLPTLGSDGQWRIVAIPLQYLDHNNLFRSGTHLNMNSMHLVSSPLINSVKVDGRKTKKGPQPEVTYSAKQCRARSFSGSNMQHQFRTRTVANKMTKLDEVANNSSCQSSVTCNDSSVFKPKGSTATNPSAMFVDCSEEDKSKKRNSRKKAKKKGKHRKKHLCDVSSTASEVCSEYTRGSSASEICGNNDMNQGMVVSCATSPSNGLLNIADFADSSNGVITSFESPNICISDIDQVDITESIVPSQVQKLPSEYLINDSEIGKEDQQFSRSRVGLERRYPSQVGSLDCIHQEDFSDLHDSLVLDSVSVGSSSEESMSASHIVKPFDNSHENSQSEAPGSNTKKGSFYHQNSLCSISETHDYTQGPKHGLDFSSCDVQMIASGKRGKQFKSVPGSSSTCKLGSIGNLHGGMGTENSHSVWQRVQRHGVEKCNTELKKASPICSGSDVTAKDAPLLKRSSNAANETTLSGTNDKRKLKDKVPRKLKRKVSPASKQEKSSCSRKGSHPNKVNLNAHAKTSSMQKDEMLDVLTALNDQRVIKNVSRSCAQLGFARVETMKSESLNNLQVSPGSMEPCESVCDAASGLNNQCIENQDSLLKKSCVPLDQPNLHEVRAPVYLPHLMVNGVARTEKEFSLAEYGKQSHSSGSVLQKWIPVGIKDPGFTTSVRSASLSTEHSNGPEAEDWTFKNKFEEKVAPCAQNLSSSVDAGTMCSIGKDSGHAISSPENDNHIKNLRNLNACINENENKHNGANFLIDETKEQNLSALATDLNKISKALNDAYRAQMASEAVQMAIGGPIAEFERLLHFSSPVICHSYSSVACQSCLQDQVPSGLLCRHETPNVPLGCLWQWYEKHGSYGLEIRAEDYENPKRLGVDRFEFRAYFVPFLSAVQLFRNSKSHSTPNNTTIASPGVSEGYDTGSTSRDFTNVSHLPILSVLVPQPRTSEPSSHLPVNDVVRSEPSLVSSKNGLSAKSVDMAWSDCLEPVFEYFESEQPQQRRALYEKIQELVRDDVSSRCKMYGDPVHLNSINIHDLHPRSWYSVAWYPIYRIPDGNFRAAFLTYHSLGHLVRRSSKFDYPSLDACIVSPVVGLQSYNAQGECWFQPRHSTVNDFSEIHGLSPSGILKERLRTLKETASLMARAVVNKGDQTSVNRHPDYEFFLSRQR >EOY07757 pep chromosome:Theobroma_cacao_20110822:5:2588242:2593459:-1 gene:TCM_022090 transcript:EOY07757 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP interactive partner 3 isoform 1 WVRWGLKQRTYILPFYHFNSRGYKNHADAKSKRKSPATPRTARQLKTPGPDSDTVSSPNPASKTPKDRSPKVTARKALRSPVSEKQRPSKVSELESQLTQLQDDLKKTKDQLTASESWKRRAIQEAEEAKKQLSAMSAKLEESEQQLMEISASEDDRVEELRKISQDRDRAWQSELEAVQNQHSMDSAALASAMNEIQKLKVQLEKAYESEAIQTKHAESAHAEIQNLRIELTETLSLVEKLKSELTDCRESEAQALEVVSKTQMQPETANETIEKLRSDATKATEAYNTLSLELEQSKARVKSLEGLVSKLQAELVGSSSKISKDPKDDGLPRQNGENEEIQHLKTELNFAKLEVGQLRSALDAAEVRYQEEYIRSTLQIRSAYEQVENIRTQSCQREAELGAELKRTKTDVEELRANLMDKETELQSISEENEGLNLKIEKNQSDERESEHATELKKIEAESTELKANLTAKETELHSVTEQNEMLKMEIKKSEMEGSKVRDESVVLLAAARAAEREALMKLSYVTEEADKSSRRAARVTEQLDAAQATNTEMEAELRRLKVQSDQWRKAAEAAAAMLSTGNNGKHADRTISLDSNYNPIMGSPNSEDMDDDSPKKKNGNMLKKIGGLWKKGQK >EOY07755 pep chromosome:Theobroma_cacao_20110822:5:2588862:2591819:-1 gene:TCM_022090 transcript:EOY07755 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP interactive partner 3 isoform 1 MQTPKARTSSLEVPQRKSPATPRTARQLKTPGPDSDTVSSPNPASKTPKDRSPKVTARKALRSPVSEKQRPSKVSELESQLTQLQDDLKKTKDQLTASESWKRRAIQEAEEAKKQLSAMSAKLEESEQQLMEISASEDDRVEELRKISQDRDRAWQSELEAVQNQHSMDSAALASAMNEIQKLKVQLEKAYESEAIQTKHAESAHAEIQNLRIELTETLSLVEKLKSELTDCRESEAQALEVVSKTQMQPETANETIEKLRSDATKATEAYNTLSLELEQSKARVKSLEGLVSKLQAELVGSSSKISKDPKDDGLPRQNGENEEIQHLKTELNFAKLEVGQLRSALDAAEVRYQEEYIRSTLQIRSAYEQVENIRTQSCQREAELGAELKRTKTDVEELRANLMDKETELQSISEENEGLNLKIEKNQSDERESEHATELKKIEAESTELKANLTAKETELHSVTEQNEMLKMEIKKSEMEGSKVRDESVVLLAAARAAEREALMKLSYVTEEADKSSRRAARVTEQLDAAQATNTEMEAELRRLKVQSDQWRKAAEAAAAMLSTGNNGKHADRTISLDSNYNPIMGSPNSEDMDDDSPKKKNGNMLKKIGGLWKKGQK >EOY07756 pep chromosome:Theobroma_cacao_20110822:5:2588887:2594368:-1 gene:TCM_022090 transcript:EOY07756 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP interactive partner 3 isoform 1 MQTPKARTSSLEVPQRKSPATPRTARQLKTPGPDSDTVSSPNPASKTPKDRSPKVTARKALRSPVSERPSKVSELESQLTQLQDDLKKTKDQLTASESWKRRAIQEAEEAKKQLSAMSAKLEESEQQLMEISASEDDRVEELRKISQDRDRAWQSELEAVQNQHSMDSAALASAMNEIQKLKVQLEKAYESEAIQTKHAESAHAEIQNLRIELTETLSLVEKLKSELTDCRESEAQALEVVSKTQMQPETANETIEKLRSDATKATEAYNTLSLELEQSKARVKSLEGLVSKLQAELVGSSSKISKDPKDDGLPRQNGENEEIQHLKTELNFAKLEVGQLRSALDAAEVRYQEEYIRSTLQIRSAYEQVENIRTQSCQREAELGAELKRTKTDVEELRANLMDKETELQSISEENEGLNLKIEKNQSDERESEHATELKKIEAESTELKANLTAKETELHSVTEQNEMLKMEIKKSEMEGSKVRDESVVLLAAARAAEREALMKLSYVTEEADKSSRRAARVTEQLDAAQATNTEMEAELRRLKVQSDQWRKAAEAAAAMLSTGNNGKHADRTISLDSNYNPIMGSPNSEDMDDDSPKKKNGNMLKKIG >EOY09967 pep chromosome:Theobroma_cacao_20110822:5:32011978:32020918:1 gene:TCM_025339 transcript:EOY09967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin related protein 4C MSALYDEDVASGCNGALVVNGMVVYDDPQPLTILPITAQDPPMAQEEEEVAVQAPIVSQYNDQIRPLLDAIDRLRLLMVMKEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRSQGICTRVPLIMRLQSHQSPTPELHLEYNGKTVPVEESHITTAINLATDEIAGHGKGISNTPLTLVVKKDGVPDLTMVDLPGITRVPVQGQPENIYEQIRDIIMEYITPEESIILNVLSATVDFSTCESIRMSQKVDKTGERTLAVVTKADRAPEDLFEKVTADDVNIGLGYVCVRNRIGDESYEEARREEARLFQTHPHLSRIDKSIVGVPVLAQKLVQIQANIIARCLPVIVKNISEKLNANASELERMPKALTSVADAMAAFMRIIGAAKESLRKLLLRGEFDEYPDDSSKHGTARFVEMLNQFSDELHDAFLRILQTKVDRISNMPIEFTEKVWDCIDGMVTSVLTRHSEIYYQLKVSTKRAAHNLVERMREQSMNRVKEIVQMEKLTDYTCNPDYMVKWTKLMTQQESFHKKVNDAVMYGHSRVSLEGFGDIEVEHLRQHRNVLDQAFDLKVRMTAYWKIVLRRLVDSMALHLQYSVHNLVNNDMEEIVNELMGPDGRGIERMLVESPAIAGKREKLKRSIKLLKESKDVVAKIMDRIAGYDD >EOY07099 pep chromosome:Theobroma_cacao_20110822:5:430872:432455:-1 gene:TCM_021611 transcript:EOY07099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEDVVAEAESLLHPINLSGDEVIEERQNPEAAKQEGGDRAGGFINHLISNLVTGGDADEEKQDEQADKETIPEDKGGGILDHMISNLVTPSSPQAGNITQGKVEAFDVRSENESGFRSEEEVGGGGRGGEGLINNIVSNLFHHSEGEVRESPDENKKEEMVKVGEETKAEKTEGGGGGGGGIIENIVSHLPISLPADDAAPTSDEATILIHIAQD >EOY07100 pep chromosome:Theobroma_cacao_20110822:5:431254:432255:-1 gene:TCM_021611 transcript:EOY07100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEDVVAEAESLLHPINLSGDEVIEERQNPEAAKQEGGDRAGGFINHLISNLVTGGDADEEKQDEQADKETIPEDKGGGILDHMISNLVTPSSPQAGNITQGKVEAFDVRSENESGFRSEEEVGGGGRGGEGLINNIVSNLFHHSEGEVRESPDENKKEEMVKVGEETKAEKTEGGGGGGGGIIENIVSHLPISLPDDAAPTSDEATILIHIAQD >EOY09744 pep chromosome:Theobroma_cacao_20110822:5:30863215:30867012:-1 gene:TCM_025134 transcript:EOY09744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 3, putative MPVDSSSPSSQTVCVTGAGGFIASWIVKLLLEKGYTVKGTVRNPVDYFSPALLIHIYIYMLAEHMEDLANLEKERKIRPVPEIDAFMKVTCAFILHQHYPYAGEMVVGPRKTLFMNEISTGLDSSTTFQIVEYVHNFVHLMEGTVLMVLDLQPAPETFELFDDLVLLSEGYMVYQGPRVELLEFFESLGFKLPPHEGIADFLREEMSAHGVPERRLQLVSNVSGVFSPGVLTALVGSSGAGKTTLMDVLAGRKTRGYIEGDINILGYTKEQKASARVSGYVEQNDINSPQVTVEESLCSLQEFDEEVMRLVEVDTLRNALVGLPGSYGESTEQTKRLTIAVELVANASIIFTDEPTFGPDARTSAIVMKTVRNTVDTGRNCGVQYSPTLFKACDEVTAASETRRLGCIWRKAWTKLADFDRLFPGDLALSLL >EOY10970 pep chromosome:Theobroma_cacao_20110822:5:37294053:37296359:1 gene:TCM_026242 transcript:EOY10970 gene_biotype:protein_coding transcript_biotype:protein_coding description:F7O18.2 protein MGPCTITTVLVGLLSFLNKAQREPIHFSCQIRQPWKALPGLPSPSFLLIFCLSIFFLTMLSSTSLKPITNPKNLVFSSFLNQNPSLFSFHPSFPLSKTLLKPISATLIPSNPQPQQQQLYQPFRPPPSPLPSQFRSLDVAARLEVLANRGGLWFEYAPLIPSLYQEGFSPPSVEETTGISGVEQNRLIVAAQVRESLIQSKTDENVVSFFDTGGSELLYEIRLLSAKQRAEAARFILEHGLDPKGAQDLARAMKDFARRKTDKGWKSFDYQLPGDCLSFMYYRQSREHKNPSEQRTSALRQALKVAESESAKKELLEELECGEDGKEEKEEDLDYGVRVPVVRLKIGEVAEASSVVVLPVCKAEEKDREILQAPLECRSKGDFGVVEAEKGWNRWVVLPGWEPVVGLSNGGVVVAFGDARGLPWKANRWYKEEPILVVADRSRKEVEFDDGFYLVTVDSGELKVDRGSALKETGVKESLGTVVLVVRPPKEETDNQLSDEDWE >EOY07458 pep chromosome:Theobroma_cacao_20110822:5:1650023:1654212:-1 gene:TCM_021891 transcript:EOY07458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein, putative MVQGSLTCRKSGPICQRRIQPLPANFLVSQFSLYLLSLNLSIQTKSNQRVPIMCLKDFLVLFVLIKVAASSNEGFIFNGFSSGLVSGQNKSLELAGVAEVSDGLCRLTNTESFKIGHAFYSVPFRFKNSSNTNAFSFSTTFVFAMVPENFRGHGMAFVLAPSQEFISGQGVASGQHLGLFNRTNNGNPSNHIVAVELDTFLNQEFGDPNGNHVGIDINSLSSVNLTSANDVPESGLLDPVDLVSGEGGQLWVEYDGAKHQLNVTLSRFNTSKPEPLLSVDIDLSPFILDQMYVGFSSATAQLTASSYVLGWSFQIDGKAEDLDLAKLPSVPSASIERSGKKKTTLAVGLSIAGVLFVGVIVSVIFILKRKKDTFVELVEDWEFQFGPHRFAYKDLFIATRGFNEKELLGQGGFGQVFRGELPGSKVQIAVKRIFHKSQQGMKEFIAEIGTIGRLRHPNLARLLGYCRSKDELLLVYDFMPNGSLDKFLYNKPKATLNWNQRFSIIKDVATALAYLHEGWAEVIIHRDIKASNVLLDGEFNGKLGDFGLARCSKLAQDPQTTHVAGTFGYMAPELAKTGKASTSTDVYAFGAFCLEVTCGRRPIKQRASAEEVHLVDWVFKCWKEGDILKTADSKLENDFKVGETDLVLKLGLLCSHNVAAHRPRMSQVILYLRGQASLPENLDLILHTSELVEESSDYSAPSTNDFTRASVTITESFLSRGR >EOY10701 pep chromosome:Theobroma_cacao_20110822:5:36004372:36005284:1 gene:TCM_026005 transcript:EOY10701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSFPWLPHQHSLSALIMTSSLALLRDLPLALTLTYPFLRSSLVFFPWLPHRYPRLAPLFAFAVIEIHDLTMISFLASSLGSSVDSHIDILPWLLCSLLALSRTIDLTMTSFPSLPYRQPPLALTTPSSWLFSGIFPWLSQ >EOY09563 pep chromosome:Theobroma_cacao_20110822:5:29859047:29859933:1 gene:TCM_024974 transcript:EOY09563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive (dirigent-like protein) family protein, putative MAKLLLQHSFTISFLLIILSFFSTFATIESKHFSRSLSPSSLGLKREKLSHLHFYFHDIVAAKNPTAMRVAQANTTSASSPFGAVVMIDDPLTVDPDINSKPVGKAQGIYALASQSDASLLMAYNFAFMEGKYNGSNLSLLGRNTVFSPVREMPIVGGSGVFRLARGYAEARTHTFDLKTGNTVVEYNVYVFHY >EOY11677 pep chromosome:Theobroma_cacao_20110822:5:40016406:40017588:-1 gene:TCM_026777 transcript:EOY11677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTTFSIQKHRPIKFYPVKQYLLSSSNKNCRRKIRQDRLIMKRVLLVLFFLGLVLLHCQADARRFLLGVETQKTGNQVVSDDEPGKSGTDEKPATALGPTVGAKSDDGSSNEDESNNSYGTYGNPSGSSTENHHHYTSDHPPVTGN >EOY10440 pep chromosome:Theobroma_cacao_20110822:5:34771068:34772201:1 gene:TCM_025802 transcript:EOY10440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWRSEAAIGYPAKPPYINAMHVHLHVNIENTRVPVPLIKLRFSAVFGHLQSLFHCFHSLNDSIFRSFVLIS >EOY08701 pep chromosome:Theobroma_cacao_20110822:5:18171844:18177537:-1 gene:TCM_023763 transcript:EOY08701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKNTYLKIQRDITIKYVIINNQPVDSLPHNNIREAPYNTLYFDMVIRRMHTMTPAMCTMWGDAHELGEMGCLAMCTMWGDAHELDEMWSYTS >EOY07616 pep chromosome:Theobroma_cacao_20110822:5:2174060:2180713:-1 gene:TCM_022003 transcript:EOY07616 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein isoform 1 MMSLTPDQFRKVGMGGVTPSPSPFLTPRPERRRADSRGPDWSSNRHDRDKEVNVQVLLRCRPLSEDEQRMNVPRVISCNEHKREVTVLQNVANKQVDRVFTFDKVFGPKAQQRTIYDQAIVPIVNEVLDGFNCTVFAYGQTGTGKTYTMEGGMRNKGGDLPAEAGVIPRAVRQIFDTLEAQNADYSMKVTFLELYNEEITDLLAPEENSRYAEDKQRKPISLMEDGKGCVVVRGLEEEAVYSANEIYTLLERGAAKRRTADTLLNKRSSRSHSVFSITVHIKESAVGDEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSAHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSAHSLEETLSTLDYAYRAKNIKNKPEANQKMSKAVLLKDLYLEMERMKEDVRAARDKNGVYIPHERFAQEEAEKKARMEKIEQLENDLNLSEKQADKFRELYITEQEQRLDLESDLKDCKINLEKSRKELLDLQENHRENSLIERAKELRTDLQHASEDINSLFATLENKDKMEAENRSIVLTFGSQLDQHLKDLHKTILGSISQQHQQLRSMEEQAHSFLASKCDATQALESRIKNMTETHASGVAAMKELANTMQRKGSSDLEQMSSTFSSQIAATEQFLGTAVLEAKEVIEDLQNSLNEQKELLLFSARQQEEGLHRNLISAQEISKATVDFMTDITNQASKLMTTLEETQTKKSQQLTNFGNRFKEEAVREEKQALEKIAAILATLTSNRTAMVEEASRNMKDTGIQDNTILQQQISVLQQVTADAGKEMSKYMEKMESHFMEDTFSVAESRDIMEDGLKECSKRVNDSRQQWENAKSYINELNISSLAEIKSTVKENIRINQTVHEELLSAFSSMDAEFGARTGDIMAAINDSLLRDHQSKKEIDSLTNLCLDHLETVQEKHGESISNIRSEAEKCLVKDYLVDHHTNTTPKKRDIVVPSLASIEEMRTPSLENLKEEENNSEKRSKWGNSDSKIHQQIAREAFSPNRTPFADVN >EOY07615 pep chromosome:Theobroma_cacao_20110822:5:2173387:2180928:-1 gene:TCM_022003 transcript:EOY07615 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein isoform 1 MMSLTPDQFRKVGMGGVTPSPSPFLTPRPERRRADSRGPDWSSNRHDRDKEVNVQVLLRCRPLSEDEQRMNVPRVISCNEHKREVTVLQNVANKQVDRVFTFDKVFGPKAQQRTIYDQAIVPIVNEVLDGFNCTVFAYGQTGTGKTYTMEGGMRNKGGDLPAEAGVIPRAVRQIFDTLEAQNADYSMKVTFLELYNEEITDLLAPEENSRYAEDKQRKPISLMEDGKGCVVVRGLEEEAVYSANEIYTLLERGAAKRRTADTLLNKRSSRSHSVFSITVHIKESAVGDEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSAHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSAHSLEETLSTLDYAYRAKNIKNKPEANQKMSKAVLLKDLYLEMERMKEDVRAARDKNGVYIPHERFAQEEAEKKARMEKIEQLENDLNLSEKQADKFRELYITEQEQRLDLESDLKDCKINLEKSRKELLDLQENHRAAILMLKEKEFVISKFLGSENSLIERAKELRTDLQHASEDINSLFATLENKDKMEAENRSIVLTFGSQLDQHLKDLHKTILGSISQQHQQLRSMEEQAHSFLASKCDATQALESRIKNMTETHASGVAAMKELANTMQRKGSSDLEQMSSTFSSQIAATEQFLGTAVLEAKEVIEDLQNSLNEQKELLLFSARQQEEGLHRNLISAQEISKATVDFMTDITNQASKLMTTLEETQTKKSQQLTNFGNRFKEEAVREEKQALEKIAAILATLTSNRTAMVEEASRNMKDTGIQDNTILQQQISVLQQVTADAGKEMSKYMEKMESHFMEDTFSVAESRDIMEDGLKECSKRVNDSRQQWENAKSYINELNISSLAEIKSTVKENIRINQTVHEELLSAFSSMDAEFGARTGDIMAAINDSLLRDHQSKKEIDSLTNLCLDHLETVQEKHGESISNIRSEAEKCLVKDYLVDHHTNTTPKKRDIVVPSLASIEEMRTPSLENLKEEENNSEKRSKWGNSDSKIHQQIAREAFSPNRTPFADVN >EOY08462 pep chromosome:Theobroma_cacao_20110822:5:8861222:8862621:-1 gene:TCM_022923 transcript:EOY08462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVEAAGRGGGVPFPVVFFDGECETGIGNVVIHPAMDFKAFQSILSRMIGISPHQFSVYIADGNNSHNRVPITGKVNFSAVSRGKDCFFLVVLKRSWRSGTRKGKNEEPSFPAAAMKKELPTNVMLLRRGGGGGNNLMDARVFTGLDEFERRVRDLQMEKERYLVNLGRVNSKFERESKSSVCEECEDAKVVGRAPGFHWCVYDAVTFGFRSHAGPIARPGKGPG >EOY09451 pep chromosome:Theobroma_cacao_20110822:5:29234414:29235095:1 gene:TCM_024868 transcript:EOY09451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNKWNWFHLPCLDLGNTTCNFVYLNSCCSSFWLTYLEVVMERKGTRLLVQALFHPARNMYYAAAAAAAA >EOY08236 pep chromosome:Theobroma_cacao_20110822:5:5852245:5852910:1 gene:TCM_022582 transcript:EOY08236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNVEPTKMTHHTVQPGEQAHPQLPEEPKASDYQQPDDVVHVETGSSEMETCQYDSDRNGREINNEGSSLQGQSSSAPPPVVVDLTKEAMRAQELEEENGRERLRRHRIEVAGRVWIPEIWGQEQLLKDWIDCSAFEASLVPSGIMSARAALIEEGRTANSGRFRIENSC >EOY09214 pep chromosome:Theobroma_cacao_20110822:5:27254631:27262771:-1 gene:TCM_024623 transcript:EOY09214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLKDFNTFKNRTYSASLVEEFYANVAIDKDELKDSNDYIDDGLNVYLNGKEFIVTVVDLGNLLKIESEDGDSEMPENYNPTSLWEIITERKEKYSSKSNAGLIKSLQVRILHYFIASNIHGRGGSFSYISFQDLWLMEHAFNGAPLNLGKFMIERMRGVYRLEKINLSYGNMITSLVQKKEIWSSRYELDKVKSRDQAIYLGSLPKMGYKLDGEKFVKTPKVTLGGESSLPAQLEAAPSQLSNEMVFNLLMRMDGKLTNQAVRMQKIEEKLVELKNVLKEKGKMPHKLTATDISATSSPAPVGQDAEGSAFQVEGHEPEVDQPRKSPSPKPQKEAKSEQEEVSIMVFHQMVREEQAENEAAKRKAEKSVSPKESPELVLVIKEQYGKGKEKAAAALQSKSKPSEQSNGKNPRELLKKGIIHCHSSSNCQKPSTGIAPSSPLSSLR >EOY07108 pep chromosome:Theobroma_cacao_20110822:5:451327:461990:1 gene:TCM_021619 transcript:EOY07108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein, putative MAGSCSWASLIFCIVFFPVFAQPQPPSFIFNDFNGSESKLTLEGASIIRASGALKLTNKSNYAVGHAFYSESIQMLDNKSLPGSPKASSFSTTFVFAIVPPTSGRGGHGLAFTLSPSKQFPGAEAGHYMGIFNKENDGLSSNHVFAVEFDTVNGFNDDSDSDGNHVGININSMYSKETEPAAYFINNTNLKEDMKLESGDPVHAWIEYDGNFVNVTISPLKVEKPSQPLISHRIDLTPLVEETMYVGFSASTGEKSSSHFILGWSFSTGGTARQLNVSQLPVPPPKEKDGSSFDPQVIGLIAALSTVTVLLLGILIYFTLYKRKAKSEELEDWELDCPHRFQYKDLYAATRGFKDSEIIGVGGFGAVYKGVLPTTGAEIAVKKITQNSIQGLREFAAEIESLGRLRHKNLVNLQGWCKQKNDLLLIYEYIPYGSLHSLLFNQKQGFALSWDQRFNIIKGIAAGLLYLHEEWELVVIHRDVKSSNVLIDAGMNARLGDFGLARLYDHGTESHTTNIVGTIGYIAPELARNGKASTRSDVFAYGVLLLEVVSGRKPIDSRNIFLVDWVIECHKAGQILDAMDPKLNSSFVTEEVRLVLLLGLLCSHPKPEVRPSMRKVMRYLNRDDPLPPIDYWESFDSRDELYSKFLEVISSDYKITKSHPSSSTSTSISYSSGT >EOY09460 pep chromosome:Theobroma_cacao_20110822:5:29286934:29289198:1 gene:TCM_024875 transcript:EOY09460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLLPFPQKKAKEEKKQQPSAGSKVISRVCFNSFINVTLQYIFKEKWFIYSVSTHAGTLVSVNHLLCKAHYATDNSLASLAFAHSSLTMSIPNKRFQLIC >EOY09203 pep chromosome:Theobroma_cacao_20110822:5:27087530:27088694:-1 gene:TCM_024601 transcript:EOY09203 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein MLSTTTQGLVTKEELNKLFDHKNKSLNFLEFNLKLPYPANVTIESYLNNYTSLKFKQFNGHNRDARKHVMKFVETFGVTGLDDDLKLK >EOY07148 pep chromosome:Theobroma_cacao_20110822:5:632833:637237:-1 gene:TCM_021658 transcript:EOY07148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein isoform 1 MSDDEREEKELDLTSPEVVTKYKSAAEIVNKALQLVVKDCKPKAKIVDICEKGDVFIREQTGNMYKNVKRKIERGVAFPTCISVNNTVCHISPLASDTSELEEGDMVKIDLGCHIDGFIAIVAHTHVVQDGPITGRQADVVAAVNTAAEVALRLVRPGKKNKDVTDAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNPDTRVDDAEFEENEVYAVDIVASTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKTSRFIFSEINQKFPILPFTARALEEKRARLGLVECVNHDLLQPYPVLHEKPGDYVAHIKFTVLLMPNGSDRVTSHPLQELQPTKTIDDPEIKAWLALGTKTKKKGGGKKKKGKKGDKAEESAEAEPMDEATNGATS >EOY07149 pep chromosome:Theobroma_cacao_20110822:5:633844:636839:-1 gene:TCM_021658 transcript:EOY07149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein isoform 1 MSDDEREEKELDLTSPEVVTKYKSAAEIVNKALQLVVKDCKPKAKIVDICEKGDVFIREQTGNMYKNVKRKIERGVAFPTCISVNNTVCHISPLASDTSELEEGDMVKIDLGCHIDGFIAIVAHTHVVQDGPITGRQADVVAAVNTAAEVALRLVRPGKKNKDVTDAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNPDTRVDDAEFEENEVYAVDIVASTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKTSRFIFSEINQKFPILPFTARSVIVSHLL >EOY08524 pep chromosome:Theobroma_cacao_20110822:5:10290551:10299429:1 gene:TCM_023086 transcript:EOY08524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 8 isoform 1 MNGLAEKLNFSIIIAKTTTRLLSVKVANLSIPAVRLFKAVLSKALRALFFFSMDDSFSSEDDDHLFDLDYHPYARKRPLSSRLDRDEHDETDDEETNRQKLYLVPYRWWKETQRSVADQIGGILYTVLSNDNYADSQIVLELRKEESSGDRVKAEEGVSGRAYALVNEALWLQTLKWHNDSKASENDARNHIVAEDQSQEVFLLQIRLSFSPETNSLLVKISLKDNTVNLYQRACCIFSSESELLQIWDFSGQTSQFVMNEIINLPNISSGKPGKETLLELHVNGFFVTTTETNERSAELSRTENSLGKSQVKTNGSSDNLSLMLTDASPSGSGYRGIGLLGLTGLQNLGNTCFMNSAIQCLVHTPQLVDYFLGDYQKDINYKNPLGMNGELALAFGELLRKLWAPGAVPVAPRMFKCKLAKFAPQFSGYNQHDSQEFLAFLLDGLHEDLNRVKCKPYIEAKDAEGCPDEEVADEYWRNHLARNDSIIVDVCQGQYRSTLVCPVCKKVSVTFDPFMYVTLPLPSTTMRTMTLTVFSTDGIMLPTPFTIAVPKCGRLKDLIDTLSVACSLRNDETLLVAEIYKNQIFRLLDEPSDSLALIRDDDKLVAYRLPKVSETYPLVVFLHQQVERSYSFGVVASNWKPFGVPLVARIQDLSSGSEIRNQFLKLLCPFLMPVEDVVNDYDGNETGNTVNENSKMEDIVSPLVSDSDVGSDSGAENDFRLNTDFKFYLINKLEPLEIKMNKPVSISRFTKKFDVSVHWSEKMMEKYDTCLLSSLPEVFKPQLFTRRPQESISLNKCLEGFLQEEPLGPDDMWYCPRCKKPRQANKKLDLWRLPEILVIHLKRFSYNRFFKNKLETFVDFPIHDLNLSNYISHIDSQLSNCYQLYAISNHYGGMGGGHYTAFVDHGHSRWFEFDDDKVFAVSEDRIKSSAAYVLFYRRVREA >EOY08526 pep chromosome:Theobroma_cacao_20110822:5:10290551:10299429:1 gene:TCM_023086 transcript:EOY08526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 8 isoform 1 MDDSFSSEDDDHLFDLDYHPYARKRPLSSRLDRDEHDETDDEETNRQKLYLVPYRWWKETQRSVADQIGGILYTVLSNDNYADSQIVLELRKEESSGDRVKAEEGVSGRAYALVNEALWLQTLKWHNDSKASENDARNHIVAEDQSQEVFLLQIRLSFSPETNSLLVKISLKDNTVNLYQRACCIFSSESELLQIWDFSGQTSQFVMNEIINLPNISSGKPGKEGELALAFGELLRKLWAPGAVPVAPRMFKCKLAKFAPQFSGYNQHDSQEFLAFLLDGLHEDLNRVKCKPYIEAKDAEGCPDEEVADEYWRNHLARNDSIIVDVCQGQYRSTLVCPVCKKVSVTFDPFMYVTLPLPSTTMRTMTLTVFSTDGIMLPTPFTIAVPKCGRLKDLIDTLSVACSLRNDETLLVAEIYKNQIFRLLDEPSDSLALIRDDDKLVAYRLPKVSETYPLVVFLHQQVERSYSFGVVASNWKPFGVPLVARIQDLSSGSEIRNQFLKLLCPFLMPVEDVVNDYDGNETGNTVNENSKMEDIVSPLVSDSDVGSDSGAENDFRLNTDFKFYLINKLEPLEIKMNKPVSISRFTKKFDVSVHWSEKMMEKYDTCLLSSLPEVFKPQLFTRRPQESISLNKCLEGFLQEEPLGPDDMWYCPRCKKPRQANKKLDLWRLPEILVIHLKRFSYNRFFKNKLETFVDFPIHDLNLSNYISHIDSQLSNCYQLYAISNHYGGMGGGHYTAFVDHGHSRWFEFDDDKVFAVSEDRIKSSAAYVLFYRRVREA >EOY08525 pep chromosome:Theobroma_cacao_20110822:5:10290551:10299429:1 gene:TCM_023086 transcript:EOY08525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 8 isoform 1 MIRLSFSPETNSLLVKISLKDNTVNLYQRACCIFSSESELLQIWDFSGQTSQFVMNEIINLPNISSGKPGKETLLELHVNGFFVTTTETNERSAELSRTENSLGKSQVKTNGSSDNLSLMLTDASPSGSGYRGIGLLGLTGLQNLGNTCFMNSAIQCLVHTPQLVDYFLGDYQKDINYKNPLGMNGELALAFGELLRKLWAPGAVPVAPRMFKCKLAKFAPQFSGYNQHDSQEFLAFLLDGLHEDLNRVKCKPYIEAKDAEGCPDEEVADEYWRNHLARNDSIIVDVCQGQYRSTLVCPVCKKVSVTFDPFMYVTLPLPSTTMRTMTLTVFSTDGIMLPTPFTIAVPKCGRLKDLIDTLSVACSLRNDETLLVAEIYKNQIFRLLDEPSDSLALIRDDDKLVAYRLPKVSETYPLVVFLHQQVERSYSFGVVASNWKPFGVPLVARIQDLSSGSEIRNQFLKLLCPFLMPVEDVVNDYDGNETGNTVNENSKMEDIVSPLVSDSDVGSDSGAENDFRLNTDFKFYLINKLEPLEIKMNKPVSISRFTKKFDVSVHWSEKMMEKYDTCLLSSLPEVFKPQLFTRRPQESISLNKCLEGFLQEEPLGPDDMWYCPRCKKPRQANKKLDLWRLPEILVIHLKRFSYNRFFKNKLETFVDFPIHDLNLSNYISHIDSQLSNCYQLYAISNHYGGMGGGHYTAFVDHGHSRWFEFDDDKVFAVSEDRIKSSAAYVLFYRRVREA >EOY09204 pep chromosome:Theobroma_cacao_20110822:5:27099011:27107409:1 gene:TCM_024602 transcript:EOY09204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited Ca2+-ATPase 11 isoform 2 MDKLLNLKEFEVEPKHSSEEALRRWRKLVTIVKNPRRRFRMIANLDKRSEAEQQKLKIKEKIRVALIVQKAALQFIDAAGPPEYKLTNEVREANFGIEPDELASIVHGHDIKRLKLHGGVEGIARKITVSPDEGVCSDNISTRQKIYGLNCYTEKPPRTFWMFVWDALQDLTLIILMVCAVISIGVGLATEGWPKGMYDGSGILLTLILVVSVTAISDYRQSLQFRELDREKKKIYVQVTRDGRRQQVSIYDLVIGDVVHLGIGDQVPADGLFISGYSLQIDESSLSGEIDPVDIYEQHPFLLSGTKVRDGSGKMLVTAVGMRTEWGKLMETLNEGGEDETPLQVKLNGVATIIGKIGLTFAVLTFVVLTVRFLVEKALQNEFTNWSSTDALTLLNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKQLMDERALVRHLSACETMGSASCICTDKTGTLTTNHMVVNKIWICEKIKDISGNESKNFDELEISEGVFSILLCAIFLNTCAEVVKDEKGKNSILGTPTETALLEFGLLLGGDYDAQQRQVKILKVKPFNSDRKKMSVLVALPEGGIRAFCKGAAEIVLSMCDKVADYSGELVPLSEERVRNITDVINGFASEALRTLCLAFKDVDDTYPENSIPEGDYTLIAVVGIKDPVRPGVKEAVQTCLAAGITVHMVTGDNIYTAKAIAKECGILTADENAIEGPEFSRKSLDEMRDIIPNIQVMARSKPMDKLNLVNQLRNMFGEVVAVTGDGTNDAPALHQSDIGLAMGIAGTEVAKENADVIVMDDNFATIVNVAKWGRAVYINIQKFVQFQLTVNVVALVINFVSACISGSAPLTAVQLLWVNMIMDTLGALALATEPPNDALMKRPPVPRGASFITKPMWRNIIGQSIYQLIVLGVLKFDGKQLLRLTGSDATTVLNTVIFNSFVFCQVFNEINSREIKKINIFRGMFDSWIFIAVMVSTIAFQVVIVEYLGTFASTVPLSWQLWVVCILIGSVSLIVAVILKCIPVERAVVKPKHPDGYDALPSGPGLA >EOY09205 pep chromosome:Theobroma_cacao_20110822:5:27100321:27107313:1 gene:TCM_024602 transcript:EOY09205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited Ca2+-ATPase 11 isoform 2 MFVWDALQDLTLIILMVCAVISIGVGLATEGWPKGMYDGSGILLTLILVVSVTAISDYRQSLQFRELDREKKKIYVQVTRDGRRQQVSIYDLVIGDVVHLGIGDQVPADGLFISGYSLQIDESSLSGEIDPVDIYEQHPFLLSGTKVRDGSGKMLVTAVGMRTEWGKLMETLNEGGEDETPLQVKLNGVATIIGKIGLTFAVLTFVVLTVRFLVEKALQNEFTNWSSTDALTLLNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKQLMDERALVRHLSACETMGSASCICTDKTGTLTTNHMVVNKIWICEKIKDISGNESKNFDELEISEGVFSILLCAIFLNTCAEVVKDEKGKNSILGTPTETALLEFGLLLGGDYDAQQRQVKILKVKPFNSDRKKMSVLVALPEGGIRAFCKGAAEIVLSMCDKVADYSGELVPLSEERVRNITDVINGFASEALRTLCLAFKDVDDTYPENSIPEGDYTLIAVVGIKDPVRPGVKEAVQTCLAAGITVHMVTGDNIYTAKAIAKECGILTADENAIEGPEFSRKSLDEMRDIIPNIQVMARSKPMDKLNLVNQLRNMFGEVVAVTGDGTNDAPALHQSDIGLAMGIAGTEVAKENADVIVMDDNFATIVNVAKWGRAVYINIQKFVQFQLTVNVVALVINFVSACISGSAPLTAVQLLWVNMIMDTLGALALATEPPNDALMKRPPVPRGASFITKPMWRNIIGQSIYQLIVLGVLKFDGKQLLRLTGSDATTVLNTVIFNSFVFCQVFNEINSREIKKINIFRGMFDSWIFIAVMVSTIAFQVVIVEYLGTFASTVPLSWQLWVVCILIGSVSLIVAVILKCIPVERAVVKPKHPDGYDALPSGPGLA >EOY10891 pep chromosome:Theobroma_cacao_20110822:5:36934052:36935635:1 gene:TCM_026178 transcript:EOY10891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISMVVRFELKMLGNVPLLCFSTSTKFVGGVLSFALTHTCFALKTVPGPLFSPCELWFLNKESQKPFGTLATVTIVDYESEGDMMATEPCTREKQAIICPVGDNS >EOY08206 pep chromosome:Theobroma_cacao_20110822:5:5610326:5614370:1 gene:TCM_022547 transcript:EOY08206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKKKKKKKRQGEGGRGKGCGGGDDGSNQSQPSLRILGRPSPPSFLKPPSRKTHNCPSKSSTTMPSS >EOY10776 pep chromosome:Theobroma_cacao_20110822:5:36398233:36425560:1 gene:TCM_026076 transcript:EOY10776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 1 member 18 MRKLMLSLAGFRSAFGVMSAYRDIAAVVTGSMGVPGCDTSPFQDFYVAINDPKHGKILVIMEGTLYVGLVTSNPENHPITKVLNPGDGFLFPVGLIHFQFNVGKTNAVAFAALSSQNPDVITIVNAVFGSNPAINPDVLVKDFQLDINMISPRLLTPFTAFDSSLLFESSPELTWVDSSSRVIIFFRVILSMCLEFLKVSQGLRLELQEVYPTIFSQIIVCRYNKIFEITNRTLILLHERCLAVAAAASAFDPSPLQDFCVAINDTKNGVFVNGKFCKDPKLAVADDFFYSGLNMPGNTSNPVGSNVTTVNVDQIPGLNTLGISLVRIDYAPYGGLNPPHTHPRGTEILVVVEGTLYVGFVTSNPENRLFTKVLNPGDVFVFPIGLIHFQFNIGKTAAVAFAGLSSQNAGVITIAKAVFGSNPPIKPDVLTKAFQLDKNVDRFPASGILMKGVQLFVVASAFLAFAWSLASASDPSPLQDFCVAINDTKDGVFVNGKFCKDPKLAKAEDFFYSGLNIPRNTSNPVGSTVTPVNVAQILGLNTLGISLARIDYAPYGGLNPPHTHPRATEILVVLEGTLYVGFVTSNPENRLITKVLYPGDVFVFPVGLIHFQLNIGKTNAVAFAGLSSQNPGVITIANAVFGSKPPINPDVLTKAFQLDKNIVTSLQSRFWWDNN >EOY07922 pep chromosome:Theobroma_cacao_20110822:5:3344638:3351681:-1 gene:TCM_022244 transcript:EOY07922 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette subfamily B1 isoform 2 MSQDSEEIKTIEQWKWSEMQGLELVSAPPSDPFKTNPSTPTPTTTTNNTHLREQQEKPQQQSQAEAQAYQESVGERREMETSSSSSETKKDGSNNGSGGSGEKPGDLPSVGFGELFRFADGLDYVLMGIGSLGAFVHGCSLPLFLRFFADLVNSFGSNANNMDKMMQEVLKYAFYFLVVGAAIWASSWAGERQTTKMRIKYLEAALNQDIQYFDTEVRTSDVVFAINTDAVMVQDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLAVVPLIAVIGAIHTTTLAKLSAKSQAALSHGGNIVEQTVVQIRVVMAFVGESRTLQAYSSALKVAQKIGYKSGFAKGMGLGATYFVVFCCYALLLWYGGYLVRHHYTNGGLAIATMFAVMIGGLGLGQSAPSMSAFAKAKVAAAKIFRIIDHKPGIDRNSESGLELESVNGLVELKNVDFAYPSRPDVKILNNFSLSVPAGKTIALVGSSGSGKSTVVSLIERFYDPISGEVLLDGHDIKTLKLRWLRQQIGLVSQEPALFATTIKENILLGRPDANQIEIEEAARVANAHSFIVKLPEGFDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADVVAVLQQGSVSEIGTHDELISKGENGVYAKLIRMQEMAHETALNNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTSDFSLSLEASHPNYRMEKLAFKEQASSFWRLAKMNSPEWVYALVGSIGSVVCGSLSAFFAYVLSAVLSVYYNPDHAYMSREIGKYCYLLIGLSSAALLFNTLQHFFWDIVGENLTKRVREKMLAAVLKNEMAWFDQEENESARIAARLALDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLVAVFPVVVAATVLQKMFMKGFSGDLEAAHAKATQLAGEAIANVRTVAAFNSENKIVGLFSSNLQTPLRRCFWKGQIAGSGFGVAQFSLYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFDLLDRKTEVEPDDPDATQVPDRLRGEVELKHVDFSYPSRPDVPIFRDLNLRARAGKTLALVGPSGCGKSSVIALIQRFYEPSSGRVMVDGKDIRKYNLKSLRKHIAIVPQEPCLFGSTIYENIAYGHESATEAEIIEAATLSNAHKFISSLPDGYKTFVGERGVQLSGGQKQRIAIARALVRKAELMLLDEATSALDAESERSVQEALDRACSGKTTIVVAHRLSTIRNAHVIAVIEDGKVAEQGSHSHLLKNYPDGCYARMIQLQRFTHSQVVGMTSGSSSSARPKDDNEREA >EOY07923 pep chromosome:Theobroma_cacao_20110822:5:3345045:3350678:-1 gene:TCM_022244 transcript:EOY07923 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette subfamily B1 isoform 2 MWTGERQTTKMRIKYLEAALNQDIQYFDTEVRTSDVVFAINTDAVMVQDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLAVVPLIAVIGAIHTTTLAKLSAKSQAALSHGGNIVEQTVVQIRVVMAFVGESRTLQAYSSALKVAQKIGYKSGFAKGMGLGATYFVVFCCYALLLWYGGYLVRHHYTNGGLAIATMFAVMIGGLGLGQSAPSMSAFAKAKVAAAKIFRIIDHKPGIDRNSESGLELESVNGLVELKNVDFAYPSRPDVKILNNFSLSVPAGKTIALVGSSGSGKSTVVSLIERFYDPISGEVLLDGHDIKTLKLRWLRQQIGLVSQEPALFATTIKENILLGRPDANQIEIEEAARVANAHSFIVKLPEGFDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADVVAVLQQGSVSEIGTHDELISKGENGVYAKLIRMQEMAHETALNNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTSDFSLSLEASHPNYRMEKLAFKEQASSFWRLAKMNSPEWVYALVGSIGSVVCGSLSAFFAYVLSAVLSVYYNPDHAYMSREIGKYCYLLIGLSSAALLFNTLQHFFWDIVGENLTKRVREKMLAAVLKNEMAWFDQEENESARIAARLALDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLVAVFPVVVAATVLQKMFMKGFSGDLEAAHAKATQLAGEAIANVRTVAAFNSENKIVGLFSSNLQTPLRRCFWKGQIAGSGFGVAQFSLYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFDLLDRKTEVEPDDPDATQVPDRLRGEVELKHVDFSYPSRPDVPIFRDLNLRARAGKTLALVGPSGCGKSSVIALIQRFYEPSSGRVMVDGKDIRKYNLKSLRKHIAIVPQEPCLFGSTIYENIAYGHESATEAEIIEAATLSNAHKFISSLPDGYKTFVGERGVQLSGGQKQRIAIARALVRKAELMLLDEATSALDAESERSVQEALDRACSGKTTIVVAHRLSTIRNAHVIAVIEDGKVAEQGSHSHLLKNYPDGCYARMIQLQRFTHSQVVGMTSGSSSSARPKDDNEREA >EOY07921 pep chromosome:Theobroma_cacao_20110822:5:3343947:3351681:-1 gene:TCM_022244 transcript:EOY07921 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette subfamily B1 isoform 2 MSQDSEEIKTIEQWKWSEMQGLELVSAPPSDPFKTNPSTPTPTTTTNNTHLREQQEKPQQQSQAEAQAYQESVGERREMETSSSSSETKKDGSNNGSGGSGEKPGDLPSVGFGELFRFADGLDYVLMGIGSLGAFVHGCSLPLFLRFFADLVNSFGSNANNMDKMMQEVLKYAFYFLVVGAAIWASSWAEISCWMWTGERQTTKMRIKYLEAALNQDIQYFDTEVRTSDVVFAINTDAVMVQDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLAVVPLIAVIGAIHTTTLAKLSAKSQAALSHGGNIVEQTVVQIRVVMAFVGESRTLQAYSSALKVAQKIGYKSGFAKGMGLGATYFVVFCCYALLLWYGGYLVRHHYTNGGLAIATMFAVMIGGLGLGQSAPSMSAFAKAKVAAAKIFRIIDHKPGIDRNSESGLELESVNGLVELKNVDFAYPSRPDVKILNNFSLSVPAGKTIALVGSSGSGKSTVVSLIERFYDPISGEVLLDGHDIKTLKLRWLRQQIGLVSQEPALFATTIKENILLGRPDANQIEIEEAARVANAHSFIVKLPEGFDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADVVAVLQQGSVSEIGTHDELISKGENGVYAKLIRMQEMAHETALNNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTSDFSLSLEASHPNYRMEKLAFKEQASSFWRLAKMNSPEWVYALVGSIGSVVCGSLSAFFAYVLSAVLSVYYNPDHAYMSREIGKYCYLLIGLSSAALLFNTLQHFFWDIVGENLTKRVREKMLAAVLKNEMAWFDQEENESARIAARLALDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLVAVFPVVVAATVLQKMFMKGFSGDLEAAHAKATQLAGEAIANVRTVAAFNSENKIVGLFSSNLQTPLRRCFWKGQIAGSGFGVAQFSLYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFDLLDRKTEVEPDDPDATQVPDRLRGEVELKHVDFSYPSRPDVPIFRDLNLRARAGKTLALVGPSGCGKSSVIALIQRFYEPSSGRVMVDGKDIRKYNLKSLRKHIAIVPQEPCLFGSTIYENIAYGHESATEAEIIEAATLSNAHKFISSLPDGYKTFVGERGVQLSGGQKQRIAIARALVRKAELMLLDEATSALDAESERSVQEALDRACSGKTTIVVAHRLSTIRNAHVIAVIEDGKVAEQGSHSHLLKNYPDGCYARMIQLQRFTHSQVVGMTSGSSSSARPKDDNEREA >EOY10190 pep chromosome:Theobroma_cacao_20110822:5:33411381:33414544:-1 gene:TCM_025556 transcript:EOY10190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASCFAPVSISGGSHLKAREVWFTKSSTFGKTPSLAVRRKPMPSNRQFSVCAEYRDGSRGGGGDFVAGFLLGGAVFGTLAYIFAPQIRRSLLNEDEYGFRRAKRPIYYDEGLEKTRQTLNAKISQLNSAIDNVSSRLRGGNNSPTVPVETDPEVEATM >EOY10267 pep chromosome:Theobroma_cacao_20110822:5:33852902:33853522:1 gene:TCM_025639 transcript:EOY10267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative MANANLPPLCAKGCGFYSSSQTKNLCSKCYNDFLKELIAKSTAEVKVDPSSAAPNPSVSVDSSSVPTPSKLKNRCESCNKKVGLMGFSCRCGKVLCGVHRYPKEHSCNFDFKTADRLILAEENSLVMADKLESRI >EOY09001 pep chromosome:Theobroma_cacao_20110822:5:24655838:24660774:1 gene:TCM_024334 transcript:EOY09001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo sac development arrest 7-like protein isoform 3 MKKLKMKRKTMMDYEIDEQSQEFLALHPMASKKQPSLVEEHFEPVMDNEDQITSDSDVSEASQSSDDFPVNHKGKRKKSQGPRMYEVKDKRHAEAFWNNVSLAKEDSLPMGERVKALQGDQRVSGLPSGVKLGSGGSRQISFISRSSAKNKEDEEKLTRREKRGVQLLGLKPDRSMFGGRGRGRGRGRKGRR >EOY09000 pep chromosome:Theobroma_cacao_20110822:5:24655839:24660848:1 gene:TCM_024334 transcript:EOY09000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo sac development arrest 7-like protein isoform 3 MKRKLPKVKVNQDLAERILENEEAENEKKDNDGNENKKTSKRKKNALSTEIFKDDRFTQMFENKDYEIDEQSQEFLALHPMASKKQPSLVEEHFEPVMDNEDQITSDSDVSEASQSSDDFPVNHKGKRKKSQGPRMYEVKDKRHAEAFWNNVSLAKEDSLPMGERVKALQGDQRVSGLPSGVKLGSGGSRQISFISRSSAKNKEDEEKLTRREKRGVQLLGLKPDRSMFGGRGRGRGRGRKGRR >EOY08999 pep chromosome:Theobroma_cacao_20110822:5:24655838:24660774:1 gene:TCM_024334 transcript:EOY08999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo sac development arrest 7-like protein isoform 3 MKRKLPKVKVNQDLAERILENEEAENEKKDNDGNENKKTSKRKKNALSTEIFKDDRFTQMFENKDYEIDEQSQEFLALHPMASKKQPSLVEEHFEPVMDNEDQITSDSDVSEASQSSDDFPVNHKGKRKKSQGPRMYEVKDKRHAEAFWNNVSLAKEDSLPMGERVKALQGDQRVSGLPSGVKLGSGGSRQISFISRSSAKNKEDEEKLTRREKRGVQLLGLKPDRSMFGGRGRGRGRGRKGRR >EOY08841 pep chromosome:Theobroma_cacao_20110822:5:22023384:22030769:1 gene:TCM_024082 transcript:EOY08841 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein, putative isoform 3 MAITCPRRRECWEWARVYINYCICSARDEGSFALGLISVISWSVAEIPQIITNYKEKSIEGLSLGFLITWIVGDLFNLFGCILEPATLPTQFYMAVLYTMTTSILAAQTVYYGHIYPRLKYKRVCHKDSKEHQPEAVEKVIDADRLSSPIPLPAINVKSSPGRELYYMSARSLSRSHTPTAGSFLVQRMTPPSHTRSSVEEPLLSAHVSAQSASPPSSKSLLCLVSVLMFIATFNSQLSAFNKLHIEHKIVNQGFVIQIGRKLLQVNAASLGESGIGGGSRVGTFLGWAMATIYMGGRLPQICLNIRRGNVEGLNPFMFMFALVGNSTYVASSILVESTDWSRIRPNLPWLVDAAGCVLLDTFILIQFIYFCYWAPQDAENKHENLNAS >EOY08839 pep chromosome:Theobroma_cacao_20110822:5:22023552:22031113:1 gene:TCM_024082 transcript:EOY08839 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein, putative isoform 3 MAITCPRRRECWEWARVYINYCICSARDEGSFALGLISVISWSVAEIPQIITNYKEKSIEGLSLGFLITWIVGDLFNLFGCILEPATMMDENTFNCLVYAEASDTILYGSSKNMLNPKCSQLYTMTTSILAAQTVYYGHIYPRLKYKRVCHKDSKEHQPEAVEKVIDADRLSSPIPLPAINVKSSPGRELYYMSARSLSRSHTPTAGSFLVQRMTPPSHTRSSVEEPLLSAHVSAQSASPPSSKSLLCLVSVLMFIATFNSQLSAFNKLHIEHKIVNQGFVIQIGRKLLQVNAASLGESGIGGGSRVGTFLGWAMATIYMGGRLPQICLNIRRGNVEGLNPFMFMFALVGNSTYVASILVESTDWSRIRPNLPWLVDAAGCVLLDTFILIQFIYFCYWAPQDAENKHENLNAS >EOY08840 pep chromosome:Theobroma_cacao_20110822:5:22023282:22030959:1 gene:TCM_024082 transcript:EOY08840 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein, putative isoform 3 MAITCPRRRECWEWARVYINYCICSARDEGSFALGLISVISWSVAEIPQIITNYKEKSIEGLSLGFLITWIVGDLFNLFGCILEPATLPTQFYMAVLYTMTTSILAAQTVYYGHIYPRLKYKRVCHKDSKEHQPEAVEKVIDADRLSSPIPLPAINVKSSPGRELYYMSARSLSRSHTPTAGSFLVQRMTPPSHTRSSVEEPLLSAHVSAQSASPPSSKSLLCLVSVLMFIATFNSQLSAFNKLHIEHKIVNQGFVIQIGRKLLQVNAASLGESGIGGGSRVGTFLGWAMATIYMGGRLPQICLNIRRGNVEGLNPFMFMFALVGNSTYVASILVESTDWSRIRPNLPWLVDAAGCVLLDTFILIQFIYFCYWAPQDAENKHENLNAS >EOY11268 pep chromosome:Theobroma_cacao_20110822:5:38763221:38766177:1 gene:TCM_026512 transcript:EOY11268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like kinase in in flowers 3 MKFSLINILKRSTLSTFFTLVAVLTFFEISISTAYCQRISNETLVKDSCPLNFDILRNLVNEDPARTEFGSITTKCQNILGGIHLVQSKYLQTNGYFVPPPITSEACWESYQKLIGEFVNDFDIETSCGYHAEWISKTCMNVTSRAQFESLIPGTKLNKLRYYCTQSLDKSFACGMCTSKLFRLRKVYLDDIDDTAGNISACSWYPNMYTAAFVNQFGPTDRATAKCLFSMEFKPKKSSSRQHRSIIAGVTVGSLVGLLGALAVILFLLMRRRKKNKKEKINPVMEKNDSVKDETSLVFGFGLYSKSTSLIKFKIDEIKNATTNFSRKNIIGMGGYGNVYKGILPDGSEVAIKRFKNCSVAGDANFVHEVEVIASVKHVNLVALRGFCTATVPLGGHQRLIVCDLMQNGSLHDHLFGSGKKKLSWPVRQKIALGTARGLAYLHHGLHPAIIHRDIKASNILLDETFEPKVADFGLAKINSEGTTHLSTRVAGTLGYVAPEYALYGQLTQKSDVYSFGVVLLELLSGKKANSSNEGKILRLTDWAWELVQQGKALDVIEQGMPEIKSPEAMEQYVFIAVLCCHPVLHARPTMDQIVKIFETGFPALSVPGACLVPCNIEVCSD >EOY11596 pep chromosome:Theobroma_cacao_20110822:5:39814792:39817526:-1 gene:TCM_026731 transcript:EOY11596 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase III polC-type, putative isoform 2 MRSVAICLSMLQAPRGGIHGLANFCWESFHSLSGRRVNSSSFKLLASKTYGLEGGYSRRWIRRPVTTKIDGRNKTNRNTKPSNLVHEILDEKVSTSSSTLNLNKTEVSESQQLQYSGIQQMIAENKDLASLVTFIIYDTETSGLSRKEDRIIEIALQDLSGGENSTFQTLVGSNLPSGTSLQALREHYKIPLVGSAHRAMSDVRTLSLVLQMLTFDLKLSPSNLVTRSSTVLEYINNKRKKNSG >EOY11595 pep chromosome:Theobroma_cacao_20110822:5:39814724:39817407:-1 gene:TCM_026731 transcript:EOY11595 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase III polC-type, putative isoform 2 MRSVAICLSMLQAPRGGIHGLANFCWESFHSLSGRRVNSSSFKLLASKTYGLEGGYSRRWIRRPVTTKIDGRNKTNRNTKPSNLVHEILDEKVSTSSSTLNLNKTEVSESQQLQYSGIQQMIAENKDLASLVTFIIYDTETSGLSRKEDRIIEIALQDLSGGENSTFQTLVNPGRYVPNSHVHGITTNMVCRPDVPRMEELIPILLQFVKSRQKPGGYVLWAAHNSFAFDLPFLINEFSRCSYEIPSNWLFMDTLSLARELMKSGGSNLPSGTSLQALREHYKIPLVGSAHRAMSDVRTLSLVLQMLTFDLKLSPSNLVTRSSTVLEYINNKRKKNSG >EOY11803 pep chromosome:Theobroma_cacao_20110822:5:40361815:40367844:-1 gene:TCM_047056 transcript:EOY11803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome A MSSSRPSHSSSNSGRSRHSARIIAQTTVDAKLHANFEESGSSFDYSSSVRVSGDQQPRSDRVTTAYLHQIQKGKFIQPFGCLLALDEKTYKVIAYSENAPEMLTMVSHAVPSVGDHPVLGIGTDIKTIFTAPSSSALLKALGIGEVSLLNPILVHCKTSGKPFYAIIHRVTGSLIIDFEPVKPYEVPMTAAGALQSYKLAAKAITRLQSLPSGSMERLCDTMVQEVFELTGYDRVMAYKFHDDDHGEVVSEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMIVDCHAKHVKVFQDDKLPFDLTLCGSTLRAPHSCHLQYMENMNSIASLVMAVIVNDGDEEGDGPDSAQPQQKRKRLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAIHVNKEIELENQIIEKNILRTQTLLCDMLLRDAPMGIISQSPNIMDLVKCDGAALLYKNKIWKLGVTPSDFQLHEIASWLSEYHMDSTGLSTDSLYDAGFPGALALGDVVCGMAAVRITLKDMLFWFRSHTAAEIRWGGAKHEPGEKDNGRKMHPRSSFKAFLQVVKTRSMPWKDYEMDAIHSLQLILRNAFKDVETTDTNTSAIHSKLSDLKIEGMQELEAVTSEMVRLIETATVPILAVDVDGLVNGWNMKIAELTGLPVDKAIGKHLLTLVEDSSVETVKQMLVLALQGKEEKNIQFEIKTHGSRIEAGPISLVVNACANRDLHENVVGVCFVAQDITGQKIVMDKFTRIEGDYKAIVQNPNPLIPPIFGMDEFGWCSEWNPAMTKLTGWKRDEVVDKMLLGEVFGTHIACCRLKSQDSFVNLGVVLNNAMTGHEPEKVPFGFFARSGKYVECLLCVNKKLDREDAVTGVFCFLQLASHELQQALHVQRLSEQTAMKRLKALAYLKRQIRNPLSGIIFSRKMMEGTELGPEQKRLLQTSTLCQRQLSKILDDSDLDSIIDGYLDLEMIDFTLHEVLVASISQVMMKSNGKGIRIVNDTEEEVMTETLYGDSVRLQQVLADFLLISVNFTPNGGQLVVVASLTKDQLGQSVHLAHLELRITHAGGGVPEALLSQMFGSDGDASEEGISLLISRKLVKLMNGDIQYLREAGRSTFIVTVELAAANRSRT >EOY10246 pep chromosome:Theobroma_cacao_20110822:5:33756971:33759191:-1 gene:TCM_025622 transcript:EOY10246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 87, subfamily A, polypeptide 6, putative METWLIIISSLCIPAILKAVFNLLYPSRRPTHNLPPSPFTFPIIESLSWLPKTFADLEPILRDLHPKFGPMVGIGPHPAIFISDRFLIHQALVQNGAVLADRPPALIACRIINSNQRTIDTTVYGLTWRVLRRNLTAEILNHSRVKSYSHGRKLVLQILKEKLSVKAGEAVLVVNYLRYAMCCLLVLKCFGDKLDESQMKKIKVAQHCVLLNVEVFNEINFWPKVTKILFCKKWKYIFQIHKNQEDLLIPLIKARKKVKKENLSKEKEDKKIEDKEEHIVSYVDTLLDLKLHEEKRKLDEVEMVSLCSEFLNVGTDTTSTALHWITAVILEGQRGQPLAHFVLPHRVTEDVVLNNFLVPRKGTVNFYIAEMGWDPKVWEDPMAFRPDRFLNSDGGEEVFDLTGRKEIKMIPFGAGRRICPAYGLAMLHLEYFVANLVLSFEWKAVDGDDIDLSEKQEFTMVMKNPL >EOY07404 pep chromosome:Theobroma_cacao_20110822:5:1455893:1458905:1 gene:TCM_021849 transcript:EOY07404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated RAB acceptor 1.A1 MDWGNVTAEDLIDALREVDWSSPPRPLSEFFSRFTVPRSYAKWNSRLKCNLYYYRTNYFIMIVLILGMGFLRRPVAILAAILTALSIAFLNDSFAGTFSEKVTRTVRQFSPHLAAKMRPPLTPVIRGRPSAKRAIHICGRPRWVFVFIFSSVSFILWYVSCGLLTVLWALAIALLATILHASFRTPNLKARLNTFREEFRAVWRNYSEL >EOY07911 pep chromosome:Theobroma_cacao_20110822:5:3272346:3275348:-1 gene:TCM_022230 transcript:EOY07911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylphosphatase family isoform 2 PPRRWFQNANTCFAHRFPFRSYTNSPSFHPSLPLCSRFPPFRPPIPSLFPLSFYHFRSLLTSMATPQAANDSSQPSQTKTVRVVIKGRVQGVFYRNWTIENATQLGLKGWVRNRRDGSVEVLLSGSLDSVQEMEQRCRRGPPAAMVTGLRVFPSNDDPGTGFERKPTV >EOY07910 pep chromosome:Theobroma_cacao_20110822:5:3273624:3275565:-1 gene:TCM_022230 transcript:EOY07910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylphosphatase family isoform 2 MASTQQLKPSLILINHQTSTRFLIFLNNKNLHCLPPRRWFQNANTCFAHRFPFRSYTNSPSFHPSLPLCSRFPPFRPPIPSLFPLSFYHFRSLLTSMATPQAANDSSQPSQTKTVRVVIKGRVQGVFYRNWTIENATQLGLKGWVRNRRDGSVEVLLSGSLDSVQEMEQRCRRGPPAAMVTGLRVFPSNDDPGTGFERKPTV >EOY07290 pep chromosome:Theobroma_cacao_20110822:5:1076628:1081940:-1 gene:TCM_021759 transcript:EOY07290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 1 MAEFPKNLSSKRVLKLLKSEKNVQSALALFDSATRHPGYTHSPDVFHHILRRLVDSRLVSHVSRIVQVIEAQKCNCPEDVPLTVIKAYAKNSMPEKALDSFQRMKRIFGCEPGIRSYNTLLNAFAESNRWEQAESFFKYFETVGVKPNLQTYNILIKIACRKEHFEKAKRLLDWIWKMGFHPNVQSYGTLINGLVKGGKLVVAMEVFDEMVNRKVTPDVMCYNLLIDGFFKKRDFVMANEVWERLLEDSSAYPNSVTYNVMINGLCKCGKFDECLRLWERMKKNEREKDLFTYSSMIHGLCEAGKVDGAERVYKEMVESGALVDVVTYNAMLNGYCKAGKFDECFELWKQMEKDGCINVVSFDILIRGLLENGKVDEAISTWRILPERGCNAEASTYAVLIHGLCKNGYLKKALEILKEAELGGSKLDSFGYSSIIDGFCKQGKLGEVAGLISQMVKCGCKLNPYICNPMIHGFIQASRLDDAVQFFKGMDSMGYSPTVASYNILISGLCKAERFREAYCFLKEMLEKGWKPDMITYSSLMKGLFQGKNVDMALSLWHHVLDKAFKPDVIMHNIIIHGLCSVGKVEDALQLYSKMRRRNCAPNLVTHNTIMEGLYKAGEYEKASEIWTRISTDGLQPDIISYNITLKGLCSCGKIQDAVGFLEDALARGILPTVITWNILVRAVL >EOY07289 pep chromosome:Theobroma_cacao_20110822:5:1077702:1081940:-1 gene:TCM_021759 transcript:EOY07289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 1 MAEFPKNLSSKRVLKLLKSEKNVQSALALFDSATRHPGYTHSPDVFHHILRRLVDSRLVSHVSRIVQVIEAQKCNCPEDVPLTVIKAYAKNSMPEKALDSFQRMKRIFGCEPGIRSYNTLLNAFAESNRWEQAESFFKYFETVGVKPNLQTYNILIKIACRKEHFEKAKRLLDWIWKMGFHPNVQSYGTLINGLVKGGKLVVAMEVFDEMVNRKVTPDVMCYNLLIDGFFKKRDFVMANEVWERLLEDSSAYPNSVTYNVMINGLCKCGKFDECLRLWERMKKNEREKDLFTYSSMIHGLCEAGKVDGAERVYKEMVESGALVDVVTYNAMLNGYCKAGKFDECFELWKQMEKDGCINVVSFDILIRGLLENGKVDEAISTWRILPERGCNAEASTYAVLIHGLCKNGYLKKALEILKEAELGGSKLDSFGYSSIIDGFCKQGKLGEVAGLISQMVKCGCKLNPYICNPMIHGFIQASRLDDAVQFFKGMDSMGYSPTVASYNILISGLCKAERFREAYCFLKEMLEKGWKPDMITYSSLMKGLFQGKNVDMALSLWHHVLDKAFKPDVIMHNIIIHGLCSVGKVEDALQLYSKMRRRNCAPNLVTHNTIMEGLYKAGEYEKASEIWTRISTDGLQPDIISYNITLKGLCSCGKIQDAVGFLEDALARGILPTVITWNILVRAVL >EOY07387 pep chromosome:Theobroma_cacao_20110822:5:1416078:1417601:1 gene:TCM_021837 transcript:EOY07387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTAHDIRSDIIFSREGNPGEGSNSLCLAAHHYKFCCDSIGGIQLRMVPRGEANSICLNTLSKTAAFGQLCRKTDQIKGPLRNIAMIPCRKTQRKQNEEDEQSKEMESTNWIPIFHSHIYVFHTLTIN >EOY09312 pep chromosome:Theobroma_cacao_20110822:5:28162730:28172405:-1 gene:TCM_024732 transcript:EOY09312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, putative MHQKLEGKNHPEPNANNNKPYTVRSQPWWCSTQQDASSTDVLGESRTNLAPGKHPNGGLGTQTSESQHGELTDQKICASKGMWLTVLPHPDGKCGDEQPHLQHAVPFMPPTMGEYIAPRTRLELVGHSIACPSYPYADPYYGGAMPPYGPQSLVHPHCLGVHPARMALPLEMAEEPVYVNAKQYHGILRRRQSRAKAELEKKLIKVRKPYLHESRHLHAMRRARGCGGRFLNTKKLDSDASNATPDKGSDTSSNLSSHPTNSLSGKSISSHMSQDVNSSGGHQEVTESELPGTDMQQAFSNSNGKIYINGNGNVCYSHHQGFHFSTSRSLSDKMMEEGDCPRQQHERIVANGVPHRALTIK >EOY11011 pep chromosome:Theobroma_cacao_20110822:5:37421457:37427493:-1 gene:TCM_026270 transcript:EOY11011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MDPKLYKVVITTNIASLQELASSDLTVFLQVTAQEDNILHVAAKYNLEQVAEEIMKYPQLVLLVCQKNSKGDTALHIAARLGSLRTAKVLINCANNMSREIEADVSLIRMVNMEQDTALHDAARNGHYQIMELLIKEAPDLALLTNNVGESPLFIVVDKKHVDVAKLILEVAPDCSFGGRNNMNVLHAAVVRSQDEVVRLSSYLVTLQSPMNYITNMSFKHYAKFSSFGPSTIFQAAIAKSKYKDFIEFLTGKCQSALSETDEYGWTPLHYAVHFGAVDIFQLFLIDSSTAYITDNEGMSVIHIAAREGEVVILEMLAYRFPEIWDLQDNNGQTALHLAVAKGKLDSVKFILDFVLSHDGLINQQDNEGNTALHLATIQRHNHKIFELLMKDSRVDKTATNMEGLTVIDILLLNKEFGYYEKTWITLSVAKNGGLESLEQTINKNGRKVKSIEARKLEQPQQSEGRDPARDRQVEGQRQVANRKPGPAGIRKPTYDQLRHISSINLLVTTLIATVSFAAGFTMPGGYKSDGPDEGMAILSRKTAFRVFVIANALAFCFSTTSMFVHYCKSFVEKLDAVAFYTYITASLTSYGITAMVIAFVSGTYAALADSSGLAKAVLSIG >EOY08487 pep chromosome:Theobroma_cacao_20110822:5:9171598:9174649:-1 gene:TCM_022951 transcript:EOY08487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative MDAPSRIGDRSTSDVVVRLRTQEGRDEWIYCHSDILVEKSKYFADRLSENWPTCQILDSRNCVEVYCQESDFDHHVNVLRLLYVVIHGSVDDLWNGVRNALGILQVAIELGCPQIVTACVNYLEAVPWEESEEEEILRIIPGMGAQVEPILARLQPVNPSAIHGIFISTLRFATSSPPSLMNDLKSSAQEQLEYMVTEDDDAPLLIADDDIKSEVKDCIGRLFSRFNNLLEAFLCEPTESVGEDGRMKSFQSYLGDFLWACQLLAKLEIMREFVNSWVDASDKIVKVMQPSPTVEIIETKLKVVEVVAKVLESIGYGTVILPTAKRLHMVKVWLPFVRVTKPLIDSVTTNDEDSLAFKLDGELWQSLESTFVSIILALPSADQAEILTEWLGNEHVRYPDLTEAFEVWCYRSKVAKRRLPFFGDNHCMTNTL >EOY10498 pep chromosome:Theobroma_cacao_20110822:5:35089852:35095012:-1 gene:TCM_025844 transcript:EOY10498 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB-POZ and MATH domain 4 isoform 2 MPSPLGSPTSSRSVTQTVNGSHRFTIKGYSLAKGMGVGKHIASESFTVGGYQWAIYFYPDGKNPEDNSTYVSVFVALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRAMLETSDFLKDDCLKINCTVGVVVSEIDCPRLHPIQVPESDIGAHFGMLLENEEGSDITFNVFGEKFHAHKLVLASRSPVFEAEFSDRMEEDNNEIVVTDMEPKVFKALLHFIYRDTLIDDEEFLGTSSSCMPSVSDALASKLLAAADKYDLPRLRLMCESVLCKDISVNSVANILALADRYHAMDLKSVCLKFAAENLVGVPEVNEKPKNRERSLSCYALGRF >EOY10497 pep chromosome:Theobroma_cacao_20110822:5:35089756:35095133:-1 gene:TCM_025844 transcript:EOY10497 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB-POZ and MATH domain 4 isoform 2 MPSPLGSPTSSRSVTQTVNGSHRFTIKGYSLAKGMGVGKHIASESFTVGGYQWAIYFYPDGKNPEDNSTYVSVFVALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRAMLETSDFLKDDCLKINCTVGVVVSEIDCPRLHPIQVPESDIGAHFGMLLENEEGSDITFNVFGEKFHAHKLVLASRSPVFEAEFSDRMEEDNNEIVVTDMEPKVFKALLHFIYRDTLIDDEEFLGTSSSCMPSVSDALASKLLAAADKYDLPRLRLMCESVLCKDISVNSVANILALADRYHAMDLKSVCLKFAAENLVAVMRSDGFEYLKENCPSLQSELLKTVAGCEEEFSGGGKSRSVWAQFSDGGDTIDRSVRQQTWENGGEQNRGLWVQLEGGDAGEGSPRQEE >EOY07278 pep chromosome:Theobroma_cacao_20110822:5:1034865:1036896:1 gene:TCM_021745 transcript:EOY07278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIMIKGKEGIIKAKTSTEKMRSSMEIMNQESKNVTAEDHRKKKIVFPMCYNCRFASGKARNKPDRSTKLMSYRA >EOY09830 pep chromosome:Theobroma_cacao_20110822:5:31242142:31244728:1 gene:TCM_025206 transcript:EOY09830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATRGISMTKEDEGEISPEIITVSELNYYIERVDQKMIDLVHKNGKTGEDETNKTFRAETEVLKKDQLSVKRRVNEFMTSQYCQLDDRTCTNLDPLTSLCEESKTLKLEDDEIKHNGLIGLSLGFDKANEHVLKSGCGNQGEDQLKVKSAGLTKDKDHVKKWLNQFDMQFNFSFGQWEENEFTLISESVDSEEEEEVEEPKQEGDSKERFLAKLIEYDSIDELEDEEFICNGGEGCQELKKQDTVEMEDDWMNYLQLDGFDQEFDTNIDGLKEEMSAMMFQDGKYKRVKYNEKKSS >EOY10914 pep chromosome:Theobroma_cacao_20110822:5:36981113:36984197:-1 gene:TCM_026188 transcript:EOY10914 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein MSIPPSSATTPSSSSSSQFTYQTTANPSYFPLPFHLQQQAQPYAPPAQPVPVAPAPVYPAPVAPVPGVYSLPQYQQAQQLFQRDAQIVTPEALESVKAALASSEIEHKAETKKKVIPRKAAGQSWEDPTLAEWPENDFRLFCGDLGNEVNDDVLSKAFARFPSFNMARVVRDKRTGKTKGYGFVSFANPSDLAAALKEMNGKYVGNRPIKLRKSNWKERIDQEALERQRNQNQKKPKPQKKGILHK >EOY10854 pep chromosome:Theobroma_cacao_20110822:5:36801022:36802337:1 gene:TCM_026146 transcript:EOY10854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata-located protein 2, putative MGSTLKPMSLLPQTLILVTFSKIIILLNAETISDYSTLIYKNCTSQTFADSTNSHSESLSSFFQELITKSSQEKFHKTTTGDDNTGISGLFQCRGDLSNNECYKCITSLPEMCNTLCNEAVSARIQLHGCYVHYEADVFMEESSQYELLHKICGAKKAEALGFEEVRDAAFAAVESGVTDGDGYCKENYELLQVIAQCEGDLRPCDCGKCVSIATQIAQEECGSSLSGHIYLDNCFVSYAYYPDGIPDNLNQGREGRNNTGKLLAIVLGGAAALFIGYIFLLSLKKLGKKDDGMYKS >EOY10119 pep chromosome:Theobroma_cacao_20110822:5:33068583:33074387:-1 gene:TCM_025497 transcript:EOY10119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRWVSACQRGRKGLRYLRMDCGPSGATDQDGREVSLGSQRTNNLCDSSKVGSIDNHQENRKGKKNQEKDNTMGQGKSGEGERCFGRGAQSSIDEERSRVVETKLRRQGKVLEQKLINSGLSMSDDIILTNLVKEKDEGRQKRIKSKKSKDKKNKMGQKKIRDQETEEGANEAEQAKIMATREGKVKEALQTMEISRRLGLEFDAERNEVLKQMVEAEERKKQGVSEQGVRCGLGNIYAPNEERYRNEMLEELKQIVTGNDLCWVLRGDFNTVRTEDERMGRGDVRRAVAQFNKFINEVKSNLQLAAGDGKNILFWTDWWIEEGILKDTYPRMYALATNKRGYINEYGGGISLMQANGGQNSMEENCLGKAHSPILLQVKTWKVWSKWKWEWGARWAVPNNMINFFSMWNETEVKRGEYKVWRMAFYAIAWSVWLHRNEMVFKGVVWDADKVYELSKLRVTTWAKAKWPQDYGMVLQTYQDPTLGKIMSKTKEKRAVDDWSKPATGQMKFNVDGAAQGCPRDAGIGGVLRDSDGRIKAIFSKTIGVGDASLAKVRAIREAFFVFAASKWSQTHKLIIESDSKNAVK >EOY08065 pep chromosome:Theobroma_cacao_20110822:5:4208193:4208865:-1 gene:TCM_022380 transcript:EOY08065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAARALRRPASSLSKLVPTLGHFGFKGRSKIDSFSLMDAVGLEFSLHVDLFHSISAPTGGGIELLMAV >EOY08089 pep chromosome:Theobroma_cacao_20110822:5:4402477:4403989:1 gene:TCM_022407 transcript:EOY08089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRSLGSSAACCKCGARVEDMSHAFRDCRPTKLFLLQCSTSIIEEAFFYMSSKDWVLDNIFNTLNNISNAVTYENLPWNLFFIHALWFLWYWRNLRKFDHNFRWPSN >EOY10344 pep chromosome:Theobroma_cacao_20110822:5:34282206:34284485:-1 gene:TCM_025718 transcript:EOY10344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MEQLKHVHLNVNVFGVTTLLPQKEIFLPLFLAHSQHDCTPTFFPSVWALLKPTCNVFPTIILLYLLQAWQLKFITQIFCIALATIISCYFFSHRPSLEAQNDGIGVELIPRDSPKSPFYNQLETTSQRTINALQRSLTHVNHFTPSCISKNEAQSHIIANQGEYLMNISMGTPPFEILAITDTGSDLTWTQCEPCPECYKQDAPLFNPKSSSTYKSEIDAKRVDAPAETLSLWYEAQNDFKVPNITVHSTNADVKLSPLNTFVLVSEGVSCFSFTTISDFSIYGNLAQMNFLVGYDIENQAVSFKPTACTKE >EOY08604 pep chromosome:Theobroma_cacao_20110822:5:13663485:13666876:-1 gene:TCM_023392 transcript:EOY08604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 2, small GTP-binding protein MLKAALGHLKDFLVILQEVGASVKRIHANEGARILSRPPIVTVMGHVNHGKTSLLDALHQTSVAAKEASGITQHLVDFVVCMPSGQSITFLDTLGHAAFSAMPARGATITDIDVLVVVADARVIPQTLEAMAHAKAANVPIVVAVNKCDKPTTQESKSISCFRGFADGGDGWRCSGTLVCGKHVAVGLKWGRIRAIRDMVGKMIQRATPVMLVQIEGLKGLPMAGDDIVVVQSKERARMLSVTRKKKFEKDRLLKISNGRAEALE >EOY09470 pep chromosome:Theobroma_cacao_20110822:5:29338728:29340643:-1 gene:TCM_024886 transcript:EOY09470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein, putative isoform 2 MNSRKIDCQEHLEQNLGFSSVCNFEYVNHDGFQQPWNMGIRIQAPAMEEGSQQENPGAAKTSNTIMSGFLSPASAFYATERCMGFSEYGCQGDRSSYTSQYNKSCNSHLPSFHASGDNFSIESVAQDETNYELRNTFESLVKSQIYCNQYQKSSEKSYKIPCCNSQGSQVSPHDQSNFLGNNAVTVGSHYSVPFRGNQDQRAYCNSYSSPLAQLSIFQQGKQSSNCSSGTFSVSSGNSVSTGAALASKTRIRWTQDLHDKFVECVKRLGGAEKATPKAILKLMDTEGLTIFHVKSHLQKYRIAKYMPDSAEGIP >EOY09469 pep chromosome:Theobroma_cacao_20110822:5:29337173:29340371:-1 gene:TCM_024886 transcript:EOY09469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein, putative isoform 2 MNSRKIDCQEHLEQNLGFSSVCNFEYVNHDGFQQPWNMGIRIQAPAMEEGSQQENPGAAKTSNTIMSGFLSPASAFYATERCMGFSEYGCQGDRSSYTSQYNKSCNSHLPSFHASGDNFSIESVAQDETNYELRNTFESLVKSQIYCNQYQKSSEKSYKIPCCNSQGSQVSPHDQSNFLGNNAVTVGSHYSVPFRGNQDQRAYCNSYSSPLAQLSIFQQGKQSSNCSSGTFSVSSGNSVSTGAALASKTRIRWTQDLHDKFVECVKRLGGAEKATPKAILKLMDTEGLTIFHVKSHLQKYRIAKYMPDSAEGKSDKRSSTSDVTQLDVKTGLHLTEALQLQLDVQRRLHEQLEIQRNLQLRIEEQGRQLKMMIDQQQKTNESLLKKQDLDITPFDHDPSFSLEDVEVSIAENSGDAHFPSKIS >EOY08997 pep chromosome:Theobroma_cacao_20110822:5:24620818:24621767:1 gene:TCM_024332 transcript:EOY08997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQKEKTKNLSLKTPPTKHPKKKPTDSKFTNKITSCQPIGLPDTHPYSNVNTRIVCCQHLGLKALDFICGIDG >EOY11518 pep chromosome:Theobroma_cacao_20110822:5:39503716:39508143:1 gene:TCM_026666 transcript:EOY11518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxia-responsive family protein MAESKSKFESIREWVVDHKLRTVGCLWLSGITGSIAYNWSQPSMKTSVKIIHARLHAQALTLAALAGAAVVEYYDHRNRPKADQYAKFIPVDSYSHKE >EOY10150 pep chromosome:Theobroma_cacao_20110822:5:33203807:33208151:-1 gene:TCM_025523 transcript:EOY10150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein, putative MAESFAFNISENVLSKLANIAYQEIRLAWGVQSDLAKLKTTLTTIKAVLLDAEEKQAHDNQLRVWLQELRDACYDADDVLDEFEIEALRKQVVKQRSIGKKVSHFFSSSNPLAFRFKLAHKIKKVTERFVEIAALKNNFHLIERHDGPGHVVRLDRETHSFVQASEVIGRDEEKERFIKMLMQDPADEEDISVLPIVAIGGLGKTALAKLVFNDGRVDGHFGLTMWVCVSDDFDLKRLVLKIIKAGKEGDGDLGNMDLEQLQRVLRHCLNGKKYLLILDDVWNEDNRKWQELKQLLVGGANGSKIVVTTRSNQVGKMVGTIPPHNLEGLPYDQSLSLFLKFAFKGGEEKRHPNLVKIGEEIVRKCKGVPLVVKTLGSLLFSKFSEGEWNRLKDSEMWELMEKENEIFSVLKLSYDQLPPHLKQCFAYCSLFPKDYGFDEVDTVQFWMAHGLIRPSKKNEDLEDIGRQYLNDLLSRCFFQDYEYGLDFIAFKMHDLLHDLALSVAKNECCTINPSEQNIAQGVRHLCLTNCDSPEEGDFKLLNKVRHWRSFRCTDTNIGPSNKSFIEACLKRFQHLRVLDFKRSNLEVLPKRIGDLKHLRYLDLTNNHSIKKLPNSICKLQNLQTLYLDGCDKLEELPRDMGYLTSLRALTITTKQKLLRGLECLKSLRYLFIQDCKNLEYLFEGIENLTSLQLLGISGCRNLISLPYGLKYLTALHTLVIGDCVKLDLNMTLGFKEKEDDNQDYLVGSSLCLLKLVILGPLPKLEALPQWLLQRSANTLKCLFIAECENLTTLSEWHNLTSLEKLEIMDCPKLSTLPEKMQRLKHLTIQECPVLSERCEPEGGEDWPNIAHVSRIYLDGDEVSSKQSSAP >EOY08280 pep chromosome:Theobroma_cacao_20110822:5:6063576:6064327:-1 gene:TCM_022617 transcript:EOY08280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADGISDASGETFPAADINGELNPGENKDFKVDSVSFEIATSQEIKDRVEAQEKKEKKDSMQTLKTAILVSAVVVAVAGAAFAITKKLKEK >EOY07263 pep chromosome:Theobroma_cacao_20110822:5:958228:958968:-1 gene:TCM_047048 transcript:EOY07263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-specific ribosomal protein 4 MASLLLGAAPVPAQSVNLSSLSRISSSHSQTLGTSLSVSTSSVSLSTASSPSIPYVYCGRGDKKTKKGKRFNHSFGNARPRNKKKGRGPPRVPIPPSPPRKDKFDDDEKIKIEIDESLFSS >EOY09739 pep chromosome:Theobroma_cacao_20110822:5:30814725:30822223:-1 gene:TCM_025127 transcript:EOY09739 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0510 protein INM02 MKTTEVIVAFILTLSLLSFPSLGFQSDELLVDDEEFGLEGAPQPRSPEPIPSRSQPVTTPTRKRHSDLDSDSKIQFSLDHAFGDSDFTPAGTFSARLKTWSHGGQTLTKLRFSRNSFTDEEKEKFKNLLESDDFYRIRLPSNVLSPPGRDFIISSVKARCLPREGLDEHFVIHMEGINVLAVNYGSPGSCPYPRNLKLPAKWSFNSHTVLKNSEQAPRAPVFTEEILGGENGEGEVVPPPERSFWAKYWMYLIPLGLIVMNAITQAMNLPEEQATGQVPAQGQPSGGAMQRGPSSAVRRR >EOY10360 pep chromosome:Theobroma_cacao_20110822:5:34362366:34364738:-1 gene:TCM_025731 transcript:EOY10360 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT4G19200) TAIR;Acc:AT4G19200] MGGGKDKNEGESSDKGLFSNLAGYAAGHYPPPGSYPSHGYPPQGYPPAGYPPPGGYPPPGGYPPAGYPPPGGHPPAAYPPHGGYPPAGYPPHGGYPPAGYPGRLHDPFSFPMAVSLLVHYKFELLSLPIVGLMVFGHGSHGHGVGGLIAGGAAAAAAAYGAHHVAHGAHNLAHGGYYGHGHGKFKHGKFKHGKFGKHGRPGMFGKHKGKFFGYKKWK >EOY10362 pep chromosome:Theobroma_cacao_20110822:5:34362284:34364641:-1 gene:TCM_025731 transcript:EOY10362 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT4G19200) TAIR;Acc:AT4G19200] MGGGKDKNEGESSDKGLFSNLAGYAAGHYPPPGSYPSHGYPPQGYPPAGYPPPGGYPPPGGYPPAGYPPPGGHPPAAYPPHGGYPPAGYPPHGGYPPAGYPGHGSHGHGVGGLIAGGAAAAAAAYGAHHVAHGAHNLAHGGYYGHGHGKFKHGKFKHGKFGKHGRPGMFGKHKGKFFGYKKWK >EOY10361 pep chromosome:Theobroma_cacao_20110822:5:34362284:34364770:-1 gene:TCM_025731 transcript:EOY10361 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT4G19200) TAIR;Acc:AT4G19200] MGGGKDKNEGESSDKGLFSNLAGYAAGHYPPPGSYPSHGYPPQGYPPAGYPPPGGYPPPGGYPPAGYPPPGGHPPAAYPPHGGYPPAGYPPHGGYPPAGYPGHGSHGHGVGGLIAGGAAAAAAAYGAHHVAHGAHNLAHGGYYGHGHGKFKHGKFKHGKFGKHGRPGMFGKHKGKFFGYKKWK >EOY07272 pep chromosome:Theobroma_cacao_20110822:5:1008574:1009377:1 gene:TCM_021738 transcript:EOY07272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRSGFNPYLPESVLLNFSLAKTEEKMEKNSNFPESNYFTIRLFTTSWELWTHVGQLTPKTELDSALSVHPY >EOY07792 pep chromosome:Theobroma_cacao_20110822:5:2714477:2718541:1 gene:TCM_022120 transcript:EOY07792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein MAAKEGSRSLEQTPTWAVAAVCFVLILISIIIEHVIHLIGMWLKKRHKRALCEALEKIKSELMLFGFMSLLLTVGQGVVSDICISKALGATWHPCSKNQESESDKNEDKSSDSDEKHLRRLLTFQDSGGGGRRVLAAAGYDKCAAKGQVPFVSTDGIDQLHIFIFLLAISHVLYCVITLALGRAKMRKWKTWEMETRTVDYQFSHDPERFRFTRDTTFGRRHLSFWSRAPVLLWVVCFFRQFFRSVPKVDYLTLRYGFIIAHLAPQSETKFDFQKYIKRSLEEDFKVVVGINPIIWFFAVLFLLFNTHDGTGTYSYLWLPFLPLIIILLVGTKLLVVITNMGLRIQARGEVVKGTPVVQPGNDHFWFNRPHLLLYLIHFVLFQNAFQLAFLVWTLYEFSLKSCFHEKRGDIIIRTSMGMLIQILCSYVTLPLYALVTQMGSTMKPTIFNERIANALRNWHQEAKKHIEHNQHSGTMTPFSSRSDTPSRGVTPLHLLHNHRGEADSSQTSPRGSNFGNEGWEIEGPDRGQVAEQKHKATNKVDNPSQHEIEIEPYDFSFDQRARK >EOY11287 pep chromosome:Theobroma_cacao_20110822:5:38823413:38838652:-1 gene:TCM_026520 transcript:EOY11287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFPSYAAPKIGKQSSSLFLSSIVNHDNFVCKSLQHEGFRFQRQSPLSWYTLIFSLLTVLSHAIFHIVWVMKEDQWSISDAQWARLIGFLRDQSWTFPSVTYFLVVQVLAAFVALYEIYGSRYGMDPKRVSFWGHLYSFILHIGSHLRVLCCLLLPAVQLVVGISHPSWASLPFFICSSIGLVDWSLTSNFLGLFRSWRYLLLYAGLNIILLYVYQLPMEFSGIFQWLADFIGLYKISAKSEWSEVCSGLSLLLFYIILSWIRCDLIEMDFIMSTRASSLTEQLLPSKHSFFIRESRSGVRHTNILLRRPILRTFGINFFTYGFPISLLALSFWSFHFASLCAFGLLAYVGYVLYVFPSMYHLHRLNGLLLVFILLWAVSTYIFNIAFTVLNKEEWQDMEIWETIGLWHYPIPGFYLLAQFSLGVLVALSNLVNNSVFLYLSDRDGPSSSDDSTLDEREETNVLIVATIVWGLRKTSRALALLLLFLIALKPGLFHALYMAFFLIFLLSHTVGRKIRQCLLLLCEAHFTLLYALQLNLLSTAMEKKDSIAVRILSQLGSFTHANSGDLLKIAVLACFSAIHNHGFEMLLSFSAIVQHTPWPPIGFSILRAGLLKSVILSVYTRASGESQETNFSHERIIGSYLNAIGEKFLSLYRSCGTYIVLLTILLTVYLVTPNYSSFGYLFFLLLWMTGRQLAGKTVKHLWLPLKIYAVAVFLFIYGLCVFPWLRQWLSRMVDLSSAFGYNPEASMLKNIWESLAVLIVMQLYSYERRQSKYFKLRDDVPETGAFTFLKRLLIWHSEKILYLAIVYASLSPISAFGFSYLFGLVICSIAPKASHIPSQLFLVYSALLVMFEYPFQMWGYRAEMFPGQKHSSVSFFLGLQVYKPGFLGVESGLRGKVLVIVACIMQYNVSRWLEKMPRNFENGEWWKDPCALFMSSEIAPNKATIFTGERKGLDANPLLGKKYKARSHSWPSLKTSFSQGMDPIGGYKSKNQSNRCLQESSKDNHKWNRRQIHVLRKERLAMQKASLKIYLKFWIENMFSIFGLEINMIALLLASFAVLNAISLLYIASLAACVLLNRQFIRKVWPMFVFLFASVFTLEYLAIWLNLTSWKHLSPAKAEVLCNDCWRSSDLHFDYCKNCWLGIIVDDPRMLISYYAVFMFSCLKLRADRLSSLSEFQTYKQMMSHSKHASGLSDLSFETKVLWTFLDYLRLYSYCHLLDLVLALILITGTLEYDILHLGYLGFALVFFRIRLQILKKKNSIFKFLRIYNFALIVLSLAYQSPLVGDFCEGKCEMVDHISKVIGLYKYGFQIPSRFALVEIVIFMLVALQSYMFSSLEFDYVSNYLEAEQIGALVSEQEKRAAWKTAQLRHIRKSEEQKRTRNSQVEKIKSEMLNLQIQLHSMSTTNCGNTFPKGKDNRQRNPSVNLNPAQTTPDKRDTGFKKKDRTLNLDLVFTHDTNESPRSERTGVLSAVDSRKQSTDLLFEITELKDTTNDNMFFNSDVRPQGISKSKRNPLISAVQLIGDGVSHVQSLGSIAVMNLVNFLNIEHGKPDLAEHSSDDEVYYEIENQDFGSEHIEQSHSIQSDSERNMSNVACLQIRIILHYIWDQMRSNNDVVCYCCFVLIFLWNFSLLSMVYLAALFLYALCVNTGPSNMFWVIILIYTEVCILLQYLYQIIIQHCGMIFEARLLQELGFPALKIMSSFVISHWPLFLVYLFTLLQSAITASDGEWAVATEFSFTKNNDYQEMAAYNFGFIERIKGLLLPIRNVMEVLIRNPYRYWKSLTQGAETPPYFVQLSMRVNLPPEECIQPETIESKMNKLLKIMHDRRCRENLNNYPASRVRVQSIERSPENVNVALAVFEVLYASPSRKSSFPQWQRSLTPAADVTSEILEAYHAGIFKEIGFPYMILSVIGGGKKDVDLYAYVFCADLVVFFLVAIFYQSVIKNNSEFLEVYQYEDQFPKEFVFILMVIFFLIVLDRIIYLCSFATGKVIFYLFTLVLFTYSITNYAWHMEPSDKHARKFALRAIYLMKLISLALQAIQIRFGIPHESNLYRQFLTSSISQTNFLGFRIYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHGSLFLVKCDADLNRAKHQQGQRQTKMTKFCNGICLFFILICVIWAPILMYSSGNPTNIANPIREASVRIDIKTNSGRLTLFETTLCEKISWNEVKRHIDLDPQGYLDNYNEVDIQLICCQPDASNLWLVPPVVQARYIKSLGWSMNIVFSWQFTRDRPRGKEVVKHELLVADQDLPQSAEVMRVLNGTANSFRMFKIYPRYFRVTGSGDVRFLEQAVDLISGDLVLNRGNPEWWSFYDLGASDGTGCGEFAGPMAIIVSEETPQGIIGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIQFENLPSCDRLLAICEDIYAARAEGELEVEEVLYWTLVSIYRSPHMLLEYTKPD >EOY08813 pep chromosome:Theobroma_cacao_20110822:5:21306384:21649104:-1 gene:TCM_024023 transcript:EOY08813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPFEALYGRRCRSPIGWLEVGERKLLGPELVQDATEKIHMIRQRMLTAQSRQKSYADNRRRDLEFQVGDHVFLKVSPTKGVMRFGKKGKLSPRYIGPFEILEKVGAVAYRLALPPDLSNIHPVFHVSMLRKYNPDPSHVIRYETIQLQDDLTYEEQPVAILDRQVKKLRSKDVALVKVLWRNHTSEEVTWEAEDEMRTKHPHLFDM >EOY10996 pep chromosome:Theobroma_cacao_20110822:5:37381493:37382865:1 gene:TCM_026260 transcript:EOY10996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKLRDHRCHESKNPTKAKKIPIKIKYISSPKMVNASNADEFRAIVQELTGRNSGVGEPSNVPTTLAEEANQVDSPPAKVYDKSLLDTFRDSDVGEPSNVPTTLPEEANHVHSPFQVDSPPAKVVDKNLLDTFQDDMSSLEFDEGFLWRGVPENLFGFQSPFIFV >EOY08962 pep chromosome:Theobroma_cacao_20110822:5:23984559:23986231:1 gene:TCM_024261 transcript:EOY08962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGQNASAGKKKGKEIDAVIEKCYQTYFKDKKDWTSAKFYGAVCETVEEINKKLGSTQLSVPKTTTLELAYGRYKEAAEGELSKEEFQGILQEVLIETGFTGIGAKDILLYLFGIPVTGLMIKKRAALKIHDDLFIPAITSATVFLLAKLKKI >EOY11253 pep chromosome:Theobroma_cacao_20110822:5:38652935:38658580:1 gene:TCM_026497 transcript:EOY11253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMLTRKQLDKVLRWLGYTESQADDQVRWDAPNTVSILDRHDETGSRLVQSMAVGDQCQCINTIEEAVVGKEI >EOY10244 pep chromosome:Theobroma_cacao_20110822:5:33741089:33741660:-1 gene:TCM_025619 transcript:EOY10244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNMSGKAMFLMLVTELMSLEKGTTSLRAANAGGVVVARSTTSLQRREEEAYCTPFLVVNFRKVLTK >EOY08435 pep chromosome:Theobroma_cacao_20110822:5:8257682:8260172:1 gene:TCM_022864 transcript:EOY08435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative isoform 1 MASFLLLSFFSSLLISTTLTVTTTATASTTIKISLSPFPHPPSFDAYQILNNLATSSVSRAHHLKQPTHKIKAKANTTSSLLKTPLFPHSYGGYTISLGIGTPPQTLTFIMDTGSSLSWFPCTSRYICSQCAFPNVDPKKIPTFSPKLSSSKALVGCKNPKCRWLFGPDVESRCQDCEPASKNCTQNCPPYIIQYGLGSTGGLLLVENLVFSQKTFQDFLVGCSIFSNRQPAGIVGFGRRPESLPSQLGVKKFSYCLVSRRFDDTGVSSNMLLETGSGSGDAKTKGLSYTPFYKNQFASHPIFQEFYYVTIRKILVGDKHVKVPYKYLVPGPDGNGGTIVDSGSTFTFMERAVFELVSKEFEKQMGNYSRAHEVENKSGLAPCVNISGHKSISFPELIFQFKGGAKMALPLANYFSFLDVNVVCLMVVTDNIIGQGVSGGPAIILGNFQQQNYYIEYDLANESFGFAKQSCV >EOY08436 pep chromosome:Theobroma_cacao_20110822:5:8257646:8260044:1 gene:TCM_022864 transcript:EOY08436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative isoform 1 MASFLLLSFFSSLLISTTLTVTTTATASTTIKISLSPFPHPPSFDAYQILNNLATSSVSRAHHLKQPTHKIKAKANTTSSLLKTPLFPHSYGGYTISLGIGTPPQTLTFIMDTGSSLSWFPCTSRYICSQCAFPNVDPKKIPTFSPKLSSSKALVGCKNPKCRWLFGPDVESRCQDCEPASKNCTQNCPPYIIQYGLGSTGGLLLVENLVFSQKTFQDFLVGCSIFSNRQPAGIVGFGRRPESLPSQLGVKKFSYCLVSRRFDDTGVSSNMLLETGSGSGDAKTKGLSYTPFYKNQFASHPIFQEFYYVTIRKILVGDKHVKVPYKYLVPGPDGNGGTIVDSGSTFTFMERAVFELVSKEFEKQMGNYSRAHEVENKSGLAPCVNISGHKSISFPELIFQFKGGAKMALPLANYFSFLDVNVVCLMVVTDNIIGQGVSGGPAIILGNFQQQNYYIEYDLANESFGFAKQSCV >EOY08437 pep chromosome:Theobroma_cacao_20110822:5:8257646:8260172:1 gene:TCM_022864 transcript:EOY08437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative isoform 1 MASFLLLSFFSSLLISTTLTVTTTATASTTIKISLSPFPHPPSFDAYQILNNLATSSVSRAHHLKQPTHKIKAKANTTSSLLKTPLFPHSYGGYTISLGIGTPPQTLTFIMDTGSSLSWFPCTSRYICSQCAFPNVDPKKIPTFSPKLSSSKALVGCKNPKCRWLFGPDVESRCQDCEPASKNCTQNCPPYIIQYGLGSTGGLLLVENLVFSQKTFQDFLVGCSIFSNRQPAGIVGFGRRPESLPSQLGVKKFSYCLVSRRFDDTGVSSNMLLETGSGSGDAKTKGLSYTPFYKNQFASHPIFQEFYYVTIRKILVGDKHVKVPYKYLVPGPDGNGGTIVDSGSTFTFMERAVFELVSKEFEKQMGNYSRAHEVENKSGLAPCVNISGHKSISFPELIFQFKGGAKMALPLANYFSFLDVNVVCLMVVTDNIIGQGVSGGPAIILGNFQQQNYYIEYDLANESFGFAKQSCV >EOY09303 pep chromosome:Theobroma_cacao_20110822:5:28119434:28122406:1 gene:TCM_024726 transcript:EOY09303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat superfamily protein MEALFGATAFLPPSKPQHPLLSVKTKPPDKAMYPTLNTVQSCSVSSISNNKELLLSEDWPHLLKLSIGSGNFLLGQAIHAFLVKSNCLYDVFQGNNLINFYAKFKELDGAQKMFDEMPIRNTITWTTLMKGYVDNEDFQSVFRIARDMYFYGEKFNEHTCSVILQACAMVEDLIRGEQIHGLVIKSGTDENVCVGTSLISMYSMNGFLSEAGKVFDNIGLKDVQCLNYMIFEYGKAGCMDKAFWVFVDMLSSGLEPTDYTFTNIISTCSENVGIEEGRQLHGLAVKYGVVNVTSLGNAVITMYGKLGMIEDAERMFGFLSERNLISWTALISGYVRSGFGEKAIDMFLELLDQGICCDSQCLVIVLDGCSECKNLDLGVQLHGFAIKVGYLCDVNVVTALVDMYAKCENLNSAKTVFDGFSSKNVALFNAILAGFLKTDGDDDDEYAMVLFRQLRLGGMKPDLVTFSRLLSLSANQAFLVNGKSLHAYTIKMGFEAHLAVSNALITMYAKCGSVGDACQMFNGMNGHDLVSWNAMVSAYSTHGQGKKALMLFEEMKREGFTPDEITILALLQACSYTGLWDAGLCLFNEMESKYGIRPAIEHFACMVHLLGRAGRLPEAMHFINSSPFPNSPLLWRTLVNVSKLQGNLDFGMLASEKLLDLSPEEAGSYILVSNMYAGSGMLDEAAKVRTAMKDFKLRKEAGCSWIEINNKFHCFVATGKDHPESREIYAKLDLLMDEMKWNNCNTTDAHLIEETLLM >EOY07277 pep chromosome:Theobroma_cacao_20110822:5:1032034:1034320:-1 gene:TCM_021744 transcript:EOY07277 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein MPSSSATLISKCTIFPDQKSNVKTLKLSVSDIPMLSCQYIQKGVLLTAPPISFDDLVVFLKQSISTTLSHFPPLAGRLMTDPDGHVHITCNDAGIEFLVVKSPKVSIHDILCPGDVPNCVKEFFTFDKTLSYSGHFKPLAAVQVTELVDGVFIGSTVNHAVTDGTSFWHFFNTFAEMTKGASKISKTPDFSRNTVFNSQAVLKFPPGGPTVTFAGNEPLRERIFHFTREAILKLKYRANYGRFLTKQTNSEGLGKLRNDSWKSVNGETNGLSNGKVKNSNDEISSFQSLCAQLWRSVTRARKLEITKTTTFRMAVNCRHRLDPKLEPYYFGNAIQSIPTFAPAGELLAKDLGWSADLLHKNVVAHDDGTVRGGVADWEKQPRLFPLGNSDGASITMGSSPRFPMYDNDFGWGRPLAVRSGRANKFDGKISAFPGREGNGSVDLEVVLAPDTMAGIENDAEFMQYVSAIV >EOY08447 pep chromosome:Theobroma_cacao_20110822:5:8523248:8530452:1 gene:TCM_022890 transcript:EOY08447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHGYEILLSPSLPPSPNVADTSVRSSFPDYGHVYINIANICAPYTNNVMYEIMTMAREPIRTPDDADNV >EOY08864 pep chromosome:Theobroma_cacao_20110822:5:22379694:22381035:-1 gene:TCM_024119 transcript:EOY08864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYEWLGHQMIRQLRLLDSPMSANIVENAMACGIRWSEALVGYYVVAGPRILFDLLLETKNLFGFSDSYFLHGENEIIRFPTAPPGFTVI >EOY07180 pep chromosome:Theobroma_cacao_20110822:5:748326:749204:1 gene:TCM_021679 transcript:EOY07180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIRTQPFSFFSSPDDLLIRCLLSDDLSTFIYIVENEGCKIDEEITCGCRQIGSDRRTELKRTPLMLAAMSGSFNVLNYILQSGQVDVDKPSSSDGATALTCAYSAGSPPKIIQALISASKSFSSIPPTANNAKTGQNSSQDREEGSSEHGELNRRFPGQGQEQNMATNTTKANTSRLTYYIARRLTGDFHGRS >EOY07089 pep chromosome:Theobroma_cacao_20110822:5:402327:405827:-1 gene:TCM_021603 transcript:EOY07089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 35 isoform 2 MSVTPRWSRKKPHIPLLAVLLLVFIACSILYNEFSIQQIHESPDHAPHSQETSFTYVKPNLPKGASEVLDRFSSCNTTRNYSGKKIQWVDPDAKSGRRSRVNQESCDVFSGKWVFDNTSYPLYKESDCPYMSDQLACHKHGRSDLQYQYWRWQPRNCNLKRWNATEMWEKLRGKRLMFVGDSLNRGQWISMVCLLQSVIPANKRSISPNAELTIFRAEGSQEWESGSDGNCYNQMTPITLEGYWGSGSDLPTMRMVDKVLSRLGSKVSVINITQLSEYRKDGHPSIYRKFWETLSPQQLANPASYSDCIHWCLPGVPDVWNELIFHFL >EOY07088 pep chromosome:Theobroma_cacao_20110822:5:401982:405830:-1 gene:TCM_021603 transcript:EOY07088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 35 isoform 2 MSVTPRWSRKKPHIPLLAVLLLVFIACSILYNEFSIQQIHESPDHAPHSQETSFTYVKPNLPKGASEVLDRFSSCNTTRNYSGKKIQWVDPDAKSGRRSRVNQESCDVFSGKWVFDNTSYPLYKESDCPYMSDQLACHKHGRSDLQYQYWRWQPRNCNLKRWNATEMWEKLRGKRLMFVGDSLNRGQWISMVCLLQSVIPANKRSISPNAELTIFRAEEYNATVEFLWAPLLVESNSDDPVNHRLAERIIRPDSVLKHSSQWEHADILIFNSYLWWRQGPVKLLWSAEENGACEELNGVGGMELAMGAWADWVASNVIPLKKRVFFVTMSPTHFWSQEWESGSDGNCYNQMTPITLEGYWGSGSDLPTMRMVDKVLSRLGSKVSVINITQLSEYRKDGHPSIYRKFWETLSPQQLANPASYSDCIHWCLPGVPDVWNELIFHFL >EOY08649 pep chromosome:Theobroma_cacao_20110822:5:14890939:14913348:-1 gene:TCM_023509 transcript:EOY08649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLRKDHMHLSLGVVEEVDIEEEFTQAFMDRFLPESMRDTKAQEFETLMQAPGMIVSDYDIQFTQLSRYAPYLVQTKRERIKRFIKGLQGHIDRANSTRCFRGGQARVFTLTPQDAHVSNAVVTDNHFICGYEASVLFDPGSTHSFVSSNFMPKLGKHYEYMDEPLVVTTPLEESYVAEYVFRSCVVPIKDRDTWVDLVLMTTLGFDVILGMDWLASCYANVDYYHKLVKFKFLGEPSFLIYGHSSHLVDSVMATITGEISSIKDQGREYTQKPHSVRAYLDIFVVIFLDDILIYSKSWEEHAQHLRIVLQTLPEHKLYAKFSKCAFWLSSVGFLGHIVSKDGV >EOY09058 pep chromosome:Theobroma_cacao_20110822:5:25223943:25226078:1 gene:TCM_024408 transcript:EOY09058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSPSTRDVVAEEVSTDQISRYNCNPQETIISVQDQGFRLSIGDLENLRSLDEAFKAAQIETPAPPLIQRVPSMLSGNKQFLKYFKPRVIAIGPLHHDDPSLRGAEKLKLKLAAHFLKNHVSRKEILYSKIKMDIANLKKSYDPKEIEQYYDDDEKLTWMFVLDGCAVLQAIYLRHDPQQKDEKGASNELNIKNDLLTFVYLDLFLLENQLPYRVLELLTSSSNNGQRFKESIKSFIDDNVMTTAEMKKEQQRQQQQQKQGKQQKQQQEREPAHLLELLRARLLAKTEEKESPVLSNWCNRLFKHIESSYQKGKTSHHSHTFRNVKELREAGIWLRPSETSCLKDISFNRVCCVGKLMLPPITVDDSTGPKFMNLIAYEMCPDFDNDFAVTSYICFLDSLIDEAEDVKALRDAGILYNGLGSDEEVAKLFNKMNTDLVPSPEIYSKLKTQIQNHCRNMWITYAAQAYHTHFRSPWTFLAFVGAIAALCLSALQTYYTIHPKN >EOY08576 pep chromosome:Theobroma_cacao_20110822:5:11151368:11158035:1 gene:TCM_023158 transcript:EOY08576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGESITIDGMDQDSALSKSEFLSRQEVLRRRSRRVKQLARLYKAHYWNLMEELKRKHKEYYWLYGKSPFKEDEKKSSEENDGNKLGLRSQLKCQISDCKEKAMALTRFCHKHILNDSKQMLYRGCNFPIKSGQTCKKPVLRSINPPHCPVHAQAAEKYLSRALKRAGLNFSSPNKLAPKLHIVVAEYVRQIQTKRRAAQQKAVPKIKIEEKMSES >EOY10274 pep chromosome:Theobroma_cacao_20110822:5:33875281:33876074:-1 gene:TCM_025644 transcript:EOY10274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPCGQHRGNYSFAFLNRGYTNLPLNPMFKCRIEIVNSLPFTEGIIGVALLVQ >EOY11238 pep chromosome:Theobroma_cacao_20110822:5:38501208:38513961:-1 gene:TCM_026478 transcript:EOY11238 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MAGCAIAIGACANVCSEAAKGIFQEIKRHMRYVFVYKKNVDKFEEKMKLLIAKRQSVQLEVVTAKRNVEEIKPHVQQWCDKVDEAINEVVKKVKDLEDKAKNKCFCDLCPNIKYRYQLSKKAEEVIEALKDSTISMIGVYGTGGVGKTTLVNEVARRVQEDKLFGWVAKATVTRTPDIKNIQYEIAEPLGLKLNETESGGERARLLLKRLKEEQQRVLVVLDDIWASLDLQQVGIPLGDQHKGCKILLTTRNGDVLTNEMDVGRIFAIDVLKEKEAWDLFKKMAGDDVENPELRSVATEVAKKCEGLPVAIVTVARALRSKELYAWKDALAQLQRPSTSDRQSGIPAAVFSAIELSYNNLKSEELKQTFLLCGLLGHNARVQDLLRYTMGLSLFENVNTVEGTQNRVLTLVSKLKAFCLLRDSYSDDRFDMHDFDCDVALAIASRDNHAFALKHKGVFDDWPDEERMRNFKMFSLSFDSVEKLPHELECPLLDFFRMGSKDSDVEMPAKFFEKMKNLKVLDLLKMKISSINLPTSLRALCLNQCVLGDMINLGKLKNLEIFSLFRCSSVTLPKEIGQLTKLRLLDLSNCHKLIISAGVLSSLSKLEELSIGTSFVEWDLGSNARLAELKDLSGLTTLDVCIPDADIVLKDLFSEKLQRYNILIGFENDWFDEIEYSRILKLKVNTSIENLDDGVLRLLKKTEALYLKGPEGVKIALNNREGFLHLKHLHIDDASEIQYIMNDTDAVDRIAFQQLRSLKLESLPELIGFCSKNKSGGSTSTPQHESALFGEEMDFPCLEDLSLSGIKVERIWHNQFSIRSGCTQTLTNLSIRYCDDLKQLLSFSMANSLTHLKSFKVEGCKCLREIIFTEDIEEDMIGQIFPKLEVLLLHQLPNLTRFCHGSNCEFPLLEELIIMDCPTFETFISKATVVNEIHIIPSKWPSLKRMKIYGCDKVEIFASENLLCFGESTNQQPLFWVNEKETAQRLPLQLSSMKR >EOY09640 pep chromosome:Theobroma_cacao_20110822:5:30314267:30317823:1 gene:TCM_025039 transcript:EOY09640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding,methyltransferases MEEELRTPKTEVFDDAADDDPPALSSHALAALQEFLKEQSQSLADHETVETEGGGTGSESEVALVTEDWRLSQFWYDPETARTVAQEVLSLCSDSNYKVACIACPTLYAYLKNMDPNISVQLLEYDKRFEQYGSDFTFYDYNQPEDLPLELKHTYQVVVADPPYLSKECLEKVTQTISFLVQPQKSYLLLLTGEVQRDRAAELLGLYPCNFRPQHSSKLGNEFRLFTNYDPGERLGGWGQEN >EOY10279 pep chromosome:Theobroma_cacao_20110822:5:33900406:33907911:1 gene:TCM_025648 transcript:EOY10279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Benzoyl coenzyme A: Benzyl alcohol benzoyl transferase, putative MAATNPSQILMSTTSTMVPQPTSLVFTVRRCEPELVKPARPTPRELKLLSDIDDQECLRTQIPIIQFYKYNPSMQGKDPAKVIREALGKTLVFYYPFAGRLREGPNGKLMVDCTGDGVLFIEADADVKLEQFGEALQPPFPCLDQLLYDVPGSGEILNCPLLLIQVTTMKCGGIIFALRLNHTMADGAGLFQFISAMAEMAQGAISPSILPVWERHLLSCRTPPQVTCTHHEYDKVDDIKSCTNFTPLENMVHRSFFFNPSDITAIRRFVPSHLRHCSTFEVLTACLWRYRTLALQFDPDEQVRLLCIVNVRSKFNPPLPKGYYGNAIVYPVAVTTAGKLCQNPLGYAIELVKQTKKSVTEEYVKSVADFLATKGRPPVSLVRVYLVSDARHAKFSDVDFGWGKAMYGGPAKGVVASFQIPHRNKKGENGLVVPIYLPASAMERFVRELNRMLKDHPAGSRSNSTFIKSTM >EOY07569 pep chromosome:Theobroma_cacao_20110822:5:2000826:2001308:1 gene:TCM_021970 transcript:EOY07569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein isoform 1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >EOY07570 pep chromosome:Theobroma_cacao_20110822:5:2000705:2009913:1 gene:TCM_021970 transcript:EOY07570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein isoform 1 NTPPPTSLFKPPPRIPTPPNSLNSKQKPLSDFQPLETNFHKKMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >EOY09648 pep chromosome:Theobroma_cacao_20110822:5:30339287:30351720:1 gene:TCM_025045 transcript:EOY09648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 12 MTMMTTPPLDQEDEEMLVPHSDIVEGPQPMEVAQVEPASTVENQQVEDPPSMKFTWTIENFSRLNTKKHYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSSTLPYGWSRYAQFSLAVVNQIHHKYSIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEVAVRKILDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPIGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLKKEQEEKEDKRRYKAEAHLYTIIKVARDEDLAEQIGRDIYFDLVDHDKVRSFRIQKQIPFHVFKEEVAKEFGIPVQYQRFWIWAKRQNHTYRPNRPLTSQEEAQSVGQLREVSNKAHNAELKLFLEVEHGQDLRTIPPPDKTREDILLFFKLYDPEKGELRYVGRLLVKLSGKPIEYIAKLNQMAGFAPDEEIELYEEIKFEPCVMCEHLDKRCSFRLSQIEDGDIICFQKSPPTESEEACRYPDVPSFLEYVHNRQIVRFRSLERPKEDDFCLELSKIHTYDDVVERVARKIGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSEMLVHYNQTSDILYYEVLDIPLPELQGLKNLKVAFHHATKDEVVIHNIRLPKQSTVGNVIDELKTKVELSHPNAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFTKETSQNQMQVQNFGEPFFLVIHEGETLAEVKVRIQKKLQVHDEEFTKWKFAFLSLGRPEYLQDSDIVFNRFQRRDVYGAWEQYLGLEHPDNTPKRAYVNQNRHTFEKPVKIYN >EOY07058 pep chromosome:Theobroma_cacao_20110822:5:343027:352648:1 gene:TCM_021589 transcript:EOY07058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-propeller, Kelch repeat type 1, Development/cell death domain, Kelch related, Kelch-type beta propeller, putative MALGREIVGDGHFCFVKADISCFALCVREPSRRSLSVCVLLQTKPTVTLKLYSPLMGTGRKPQTFLVNGNAPYTPNLTNSNVAARNLRKSQLGGVIFGCKDSTFKECLFKQLFGLPAQHFSFVKNIDPGLPLFLFNYTDRKLHGIFEAASRGQMNINPYGWTTDGSEKTQYPAQVQIRIRLHCQPLLEEQFRPIIADNYYCRNHFWFELDHTQTSKLMSLLASLAVSPSTYLHHNMAKWRNIFQALPSTGTNGEGEGFRQLVPEMEHSNHSRKKSDTDVYFDEIKVADEGFKPPAQEVEHFSQSSGKSDSTDFTLFDSLEAHVDAKTTGQDEKDFILIKLKELAQKRKDQDVSLMDNVEDSTVLKGTHFEDSVSSREQMDLVPENEDGACSSSQCQSVIAQLIQGMEELGAFKAEQSMKMIQMEQKLVAAEMEIQKLRDRCLMLESLSNHSVEHVNGKAIEPSEELQLDPTESIFLVGGYDAAQLNGELYVFGGGDGYSWYDTVESYSPSSDEWTRCPSLKEKKGSLAGAALDGKIFAIGGGNGVQSFADVEMLDSILGRWINTRSMLQKRFALAAVELNGAIYATGGYDGNDYLKSAERFDPREHSWTKIASMSTKRGCHSLAVLDEKLYAIGGFDGTKMVPSVEIFDPRLGSWMSGEPINQARGYAVATVVKGSIYVIGGLRAGEDIVDSVECFKEGQGWELKTTKAVGKRCFLSAIALKS >EOY08836 pep chromosome:Theobroma_cacao_20110822:5:21997201:21998345:1 gene:TCM_024077 transcript:EOY08836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRISLVSWLFFDSFIAIFCIINAVSVSGQCQSDQQELLLRLKNGLDSTLSVKLVKWNQSKDCCSWDDVSCDAGGRVIELDLSNQSISGVIDNSSSLFGLLYLQSLNSAYNRFNSTIASRLDELADLSYLNFVEIKTSLNVILSNYVQDKCPLCFVVLVW >EOY07356 pep chromosome:Theobroma_cacao_20110822:5:1300801:1301571:1 gene:TCM_021811 transcript:EOY07356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transporter, putative MVNMVETTSAWNTTGLHVHRKSLLHMSFYWGHKSEILFSGWPGSNSGMYALALMFVFALAVTVEWLSYCSIIKPGANKVAAGFFQTAMHTVRAGLSYMVMLAVMSFNGGVFLSAVFGHAVGFLVFGSRAFRKSGGSEKKPDLPPRK >EOY08607 pep chromosome:Theobroma_cacao_20110822:5:13669571:13684099:-1 gene:TCM_023394 transcript:EOY08607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase, putative isoform 1 MAKKELLVENGEEVCRADFSPSFVFGVATSXXXIEGACNEGSRGASIWDSFSRTEGKIVDGSTGDVAVDHYHRYKEDVDLISKFGFDAYRFSISWSRIFPDGLGTRVNDEGITFYNNLIDALLEKGIQPYVTLYHWDLPLHLHESIGGWLNKQIVKYFAIYADTCFAHFGDRVKNWITINEPLQTAVNGYDTGIFAPGRCEGSSAEPYLAAHHQILAHATAVSIYRSKYKDKQGGQIGLVLDCEWAEANSDKIEDKSAAARRLDFQLGWYMCPLYYGDYPAVMRERIGDRLPKFSQEEKELLGNSLDFIGLNHYTSRFIAHVNNGSEESFFYRAQEMERTVEWEGGEKIGEKAASEWLYVVPWGIRKVLNYIAQTYSNLPIYVTENGMDDEENKSLPLHEILDDKLRVRYFKGYLAAVAQAIKDGADVRGYFAWSLLDNFEWAQGYTKRFGLVYVDYKNGLTRHPKSSAYWFSRFLKGGEKKSGKED >EOY08606 pep chromosome:Theobroma_cacao_20110822:5:13669238:13683985:-1 gene:TCM_023394 transcript:EOY08606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase, putative isoform 1 MAKKELLVENGEEVCRADFSPSFVFGIEGACNEGSRGASIWDSFSRTEGKIVDGSTGDVAVDHYHRYKEDVDLISKFGFDAYRFSISWSRIFPDGLGTRVNDEGITFYNNLIDALLEKGIQPYVTLYHWDLPLHLHESIGGWLNKQIVKYFAIYADTCFAHFGDRVKNWITINEPLQTAVNGYDTGIFAPGRCEGSSAEPYLAAHHQILAHATAVSIYRSKYKDKQGGQIGLVLDCEWAEANSDKIEDKSAAARRLDFQLGWYMCPLYYGDYPAVMRERIGDRLPKFSQEEKELLGNSLDFIGLNHYTSRFIAHVNNGSEESFFYRAQEMERTVEWEGGEKIGEKAASEWLYVVPWGIRKVLNYIAQTYSNLPIYVTENGMDDEENKSLPLHEILDDKLRVRYFKGYLAAVAQAIKDGADVRGYFAWSLLDNFEWAQGYTKRFGLVYVDYKNGLTRHPKSSAYWFSRFLKGGEKKSGKED >EOY08608 pep chromosome:Theobroma_cacao_20110822:5:13669515:13684254:-1 gene:TCM_023394 transcript:EOY08608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase, putative isoform 1 MAKKELLVENGEEVCRADFSPSFVFGVATSXXQIEGACNEGSRGASIWDSFSRTEGKIVDGSTGDVAVDHYHRYKEDVDLISKFGFDAYRFSISWSRIFPDGLGTRVNDEGITFYNNLIDALLEKGIQPYVTLYHWDLPLHLHESIGGWLNKQIVKYFAIYADTCFAHFGDRVKNWITINEPLQTAVNGYDTGIFAPGRCEGSSAEPYLAAHHQILAHATAVSIYRSKYKDKQGGQIGLVLDCEWAEANSDKIEDKSAAARRLDFQLGWYMCPLYYGDYPAVMRERIGDRLPKFSQEEKELLGNSLDFIGLNHYTSRFIAHVNNGSEESFFYRAQEMERTVEWEGGEKIGEKAASEWLYVVPWGIRKVLNYIAQTYSNLPIYVTENGMDDEENKSLPLHEILDDKLRVRYFKGYLAAVAQAIKDGADVRGYFAWSLLDNFEWAQGYTKRFGLVYVDYKNGLTRHPKSSAYWFSRFLKGGEKKSGKED >EOY07427 pep chromosome:Theobroma_cacao_20110822:5:1520203:1523377:-1 gene:TCM_021866 transcript:EOY07427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein MVRCSNCGRIPVPYPLSTGPNCGDQAYKIRCTAGALWFNALKGSYTITSINPLTQRIILQPPSLSGGTCISSDISTQGIQLDDNLPFNITSSNTVLLLNCTNAMLHLQAPINCTSTSICHNYIKDNAAACMNTPLCCVFKTGGSQTAYVVRIHDGGCLAYQSFVNFDTVNPPKKWPEPGLEIEWALPQEPVCKTPLDCKNLLHSKCLADPVNVGSSRCLCNKGFQWDPINGLCQSAKCRPGKRCKNPKKQTVLICGMAAALGGISLAIMIGILVYRQRQRVKKELQKNLIKEREEILNAKNHGKSTRVFTGKEITRATDNFSAANLIGSGGFGEVFKGVLDDGTVTAIKRAKLGNTKGTDQVLNEVRVLCQVNHRSLVRLLGCCVELDLPLMIYEFIPNGTLFDHLHYHLSGKYAPLTWQRRLRIAHQTAEGLAYLHSAAVPPIYHRDVKSSNILLDEKLNAKVSDFGLSRLVETTEAGDSHIHTSAQGTLGYLDPEYYRSFQLTDKSDVYSFGVVLLELLTSKKAIDFNREDENVNLVVYMKNIIDEERLMDVVDPVLKEGATNLELETIIALGLLAASCLDDKRQDRPSMKEVADEIEYIISIATGPFNIEDQIVISISIELVNGSLCIFLVNEIDKSKTSVQIRLMITGNVDISYLSK >EOY07114 pep chromosome:Theobroma_cacao_20110822:5:472437:478164:-1 gene:TCM_021625 transcript:EOY07114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-containing protein isoform 2 MFIEECAIFRGLSDPKLFRRSLRSARQHAVDVHSKIVLAAWLRYERREDELVGTSSMDCCGRNIECPKATLVAGYNPESIYDPCICSRTPQGEFDDDLSMADEECSTSDDSGDMSFCIGDDEIRCIRSNIASLSTPFRTMLCGGFRESRRERINFTNNGISAEGMRAAEVYSRTKRLDCFDPQIVLELLSFSNRFCCDNLKSACDAYLASLVNEMEDALLLIEHGLAENAYLLVAACLQVFLRELPNSMHSPNVMKLFCNSDARERLAQVGHASFLLYYFLSQIAMEEDMKSNTTVMLLERLTECATESWQKQLAYHQLGVVMLERKEYKDAQNWFETAFKSGHIYSLVGAARAKFKRGHKYSAYKLINSLISDYKPVGWMYQERSLYCSGKEKMLDLEMATELDPTLSFPYKYRAVSLLEVNKIGAAISEINKIIGFKVSPDCLELRAWISIAMEDYEGALRDVRALLTLEPNYMMFHGKMHGDHLVELLCPLVQQWSQADCWMQLYDRWSSVDDIGSLAVVHHMLANDPGKSLLRFRQSLLLLRLNCQKAAMRSLRLARNHSTSEHERLVYEGWILYDTGHREEALAKAEESISIQRSFEAFFLKAYALADSSLDQESSLYVIQLLEDALRCPSDGLRKGQALNNLGSVYVDCEKLDLAADCYMNALNIKHTRAHQGLARVFHLKNQRKAAYDEMTKLIEKARNNASAYEKRSEYCDRDMAKSDLIMATQLDPLRTYPYRYRAAVLMDDHKENEAIAELTKALAFKPDLQLLHLRAAFHDSMGAYISAVRDCEAALCLDPNHTETLELYNKVCDQVKEQK >EOY07113 pep chromosome:Theobroma_cacao_20110822:5:472210:478642:-1 gene:TCM_021625 transcript:EOY07113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-containing protein isoform 2 MRTNMQHNIFTTMRSLKLIDGCKGTQVYALNTTGGGGGGGTTAGSGAGGVGEKLFHQLQDHLRANSIRSKSSRNYQASNTPAVVTETLLPYGLPVSDLLEPQIESCLKFVDFIETIADVYRRIENCPQFEKSGMFIEECAIFRGLSDPKLFRRSLRSARQHAVDVHSKIVLAAWLRYERREDELVGTSSMDCCGRNIECPKATLVAGYNPESIYDPCICSRTPQGEFDDDLSMADEECSTSDDSGDMSFCIGDDEIRCIRSNIASLSTPFRTMLCGGFRESRRERINFTNNGISAEGMRAAEVYSRTKRLDCFDPQIVLELLSFSNRFCCDNLKSACDAYLASLVNEMEDALLLIEHGLAENAYLLVAACLQVFLRELPNSMHSPNVMKLFCNSDARERLAQVGHASFLLYYFLSQIAMEEDMKSNTTVMLLERLTECATESWQKQLAYHQLGVVMLERKEYKDAQNWFETAFKSGHIYSLVGAARAKFKRGHKYSAYKLINSLISDYKPVGWMYQERSLYCSGKEKMLDLEMATELDPTLSFPYKYRAVSLLEVNKIGAAISEINKIIGFKVSPDCLELRAWISIAMEDYEGALRDVRALLTLEPNYMMFHGKMHGDHLVELLCPLVQQWSQADCWMQLYDRWSSVDDIGSLAVVHHMLANDPGKSLLRFRQSLLLLRLNCQKAAMRSLRLARNHSTSEHERLVYEGWILYDTGHREEALAKAEESISIQRSFEAFFLKAYALADSSLDQESSLYVIQLLEDALRCPSDGLRKGQALNNLGSVYVDCEKLDLAADCYMNALNIKHTRAHQGLARVFHLKNQRKAAYDEMTKLIEKARNNASAYEKRSEYCDRDMAKSDLIMATQLDPLRTYPYRYRAAVLMDDHKENEAIAELTKALAFKPDLQLLHLRAAFHDSMGAYISAVRDCEAALCLDPNHTETLELYNKVCDQVKEQK >EOY10540 pep chromosome:Theobroma_cacao_20110822:5:35241765:35242972:1 gene:TCM_025870 transcript:EOY10540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDPRSPDFLHHTDHHGSVVINLKLTSSNYCSWSRSFLLALSIRNKTGFIDGSTKQPSLIDGLYSSWTHCNNLNVAWLLESISEPIATNVFYMSSVPEIWQTLKNRFSQLDDTRICNLQFSLCNKTQALRSHIIMIKPFLSVDEAYNLVLREESQGSISMQNQALLETTTMAIVIESKRRPKNDVTCSHCDKNGHVKDKCFRIIGFPPDFKFTKGKGNNIRKAMSTLTNSANKPQIEH >EOY08768 pep chromosome:Theobroma_cacao_20110822:5:19695178:19695948:-1 gene:TCM_023896 transcript:EOY08768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRKDNSPDAPHSASEGSLDSTAMSQWHPNLGTPRSDPSRIPINWIPLELEEWFRNKESFESSESLESEDNTYAREWREKCLKEWHKEQTKEVITKENIHPRKVSVVRHFPLGCGRGAATVSREKYIRIQQAWVKAKMEKSQEVEEDPEQDPSMCSDQGDEDLKDT >EOY10649 pep chromosome:Theobroma_cacao_20110822:5:35693119:35696201:1 gene:TCM_025958 transcript:EOY10649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein MVELFLFNIAERVLERIALLAGEEVLLAFSVKSDLRKLQDTMSSIKAVLLDAERQRHQNEKLRLCMWKLRDIFYDVEDVLDEFECEALRKQIANQPSISVKRLDKIAAEWGRFDLGVTGDNRRVIHRETHSFVNSSNVIGRDVDKKNILNLLMRPSEGRNIPVITIVGIGGLGKTTLAQFVYNDERVIKHFPLRIWDHIYYDDYVIQFWMANGPLEYPKQNQEWEDVGGRYLNELRSRIRKLPSSFYKLRSLQTLRMWDTTLKWLPDSMQSLIRLRYLEITIDATHLKLPRSLKFLTKLEHLHIRQCRAINLHMEPEEKEDQDFALSLKTFSIWGLEALTDLPRLLLEGSARTLQCIQINICGKFEVLPEWLRNLTSLQKLGNRYCPKLTSLPEGMDRLTALRQLKVEGCPTLSERCQRDGGEDWPKISQVQDVEYLACYLSFVMGMPYADLPVK >EOY07452 pep chromosome:Theobroma_cacao_20110822:5:1622406:1623096:-1 gene:TCM_021882 transcript:EOY07452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin inhibitor 1, putative MAENQHSQPSERQPGESTDPTPVLLRTYGCLLGSNTPPKMEWPELVGLTPEEAETKIREDMPRVHIQVVQANSFVTMDFNQGRVRLYLDSSGKVQRPPRIG >EOY07090 pep chromosome:Theobroma_cacao_20110822:5:406465:408712:-1 gene:TCM_021604 transcript:EOY07090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 34 MGEPSQTHKAERGENMAKKQQAMVSAAWDIRTSFQSLVALLIAILVVAAIYLRQTNEQLFESGTTLSGGSLTSRCNLFSGKWVYDNESYPLYKERECTFMSDQLACEKFGRKDLNYRFWRWQPHQCDLPRFNATALLERLRNKRLVFVGDSLNRNQWISMVCLVDSAIPPAFKSMHNNGSINIFKATEYNATIEFYWSPLLVESNSDDPVNHRVPNRIVRVQAIEKHARHWTDADILIFNTYLWWRRRQMNVLWGSFENPEDGIYKAVMLPRVYEMALQTWAQWLEVHVNRNTTQLFFISMSPTHQRADRWGGIQGENCYSETEPVSKEGYVGDGASPRMMRAVDSVLGELKTRGLNVHMMNITQLSDYRKEGHPSIYRKHWETITEEQLSNPKNYSDCIHWCLPGVPDVWNELLYAYILQL >EOY10030 pep chromosome:Theobroma_cacao_20110822:5:32382765:32392521:-1 gene:TCM_025403 transcript:EOY10030 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMV resistance protein N, putative MAVSIVQESSSISRCGYDVFLSFRGVDTRKNFTDHLYMTLVNVGIHTFRDDDEIERGEKIRDEIERAIYESKISIIIFSKNYASSTWCLNELVKIMEHRKFSKHIVLPIFYDVNPSQVKEQTGSFAEAFARHEESFKSEMDVVQRWRAALREVAVLGGMFLEDRYEWQFIQDIIKQVQNKLHHPTLYVPPCVVGIDSLVTCINSWLGDGSNKVGIATICGIGGIGKTTIAKVVYNLNIQRFERYSFLADVREVTQERNGLVRLQRQLISDILKGKVNKIHNSDDGITKIKEAICRRRVLLVLDDVDDSEKITELIGAQIPFHPGSKIIITSRHQCLLSDPFIIQMFDLEASSSYGDLCKVFEVKELAFNESFQLFNWYAFGQNDPIDNYMKYARSVVKHCGGLPLALQILGSSLSGKSINVWKSALEKLKAIPDSKIQKILRISYDSLQDDHDKNLFLDIACVFIGKDKDYTTTILDGCDYYTAIGIENLINRSLLVVNEKNKLMMHQMIRDMGRNIICQESPDLGKRSRLWHKDAFDALRAKIGTKTIKCLTIDLQRLLKDKYAKTATNYSKNPFLVSNEHFLPNLKILNLSHSHALLKILNLSGLYRLERLMLKDCIKLIEVDQSIGDIKTLTVLNLKGCKSLKKLPRTIGSLKSLGELILSGCSTLGDVPRDLQNLKSLRVLNLDGTAICESNSWLSWLSLKRSKELSFFWASLPCSLVKLSLESCRLSDDVMPTDLSYLPSLKSLNLSRNPIHSLPESIIRLTKLDELLLTCCKELQWLPKLPTSGLRVIMSQSLHKISSLPCLLNFKRCIVFGCDKLSEVEGVFKLEPIENFEGEHIKSLFNVDSIGSNKLQIYNYLTDTKMVATPQVFHDSGITSCFAFGSEVPILFEYRSEGSKISFSLSKNSSEKVSWLNLCIVYSLVSDEIFEFLPSVHLVNETKQLVWSYFSSFIGISETNSNTILWLIHWPVKDYQLDNGDLVSLSTSGFNVREFGVTCVSETKVMYEDDTPQYSQETEYIWRGIELKVNEELLKLGSSGNIKVQIYNELEESKMIASPKVLYDCGIISIFDTYDCGYNHAVGNKVSFVVARSSGQHISYLNLVVVLLAEDDKIFDFLSHIEIVNETKDSKWIHHKRFIGIPKARNNIYWFSLWRFMGELEDGDQVSCTVFSDLCVKGCTFDLKHEPYDNLLHKHPSDYQHLRDKTISSYWLFPFFLYHLFRSQRTLYRIQSLNKLE >EOY10563 pep chromosome:Theobroma_cacao_20110822:5:35368543:35372484:-1 gene:TCM_025897 transcript:EOY10563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MQDKSESIVIGEEKVKKEELHFKVKTKDMEPNHEKGEKVEVELELKTKSVEKEKPNHKEDKEDKHKDKDEEKLKKKDKELKEKERKTEDDDEKQKKREEGEEKPKKKDKEKEKKEKNHKDKEVVEEDEDEEIEEKKNEKKHKDKGVEVEEDEEKDEKKKKKDKEEKEKKKEKKHKDKEHEVGEDEETEDKKKKKKDEEYKEKKKEKKQDKEDEVEDDEEKEEKKEKKKNKEEKERKKEKKLKDEEEEGEKEEKKKKDKGGKEKKKEKKQKEKEEEVEEDEDEEKEEKKKEKKKEKKVKEDKVEEDENGEKEEKKKEKKKEKKKDKEDEVEEEKVEEKEEKKKDKKKDKEEKEKKKERKLKDEEGESADVEEKEEKKKKETKKDKDDKEKKKEKKHKDEDEEVGEKKKKKEKEEKKEKKKDKEEKETKHKDEEKSKKKETDEKEKKKHEDGANDMKCETDITSREIEIVDFEKESEGEGEEEKQKGKAKEGKEKEKEKDKKGEKRKLKGKDKSKDLSKLKQRLEKINSKIEALLEKKAEILSQIKEAEGKSGEVAA >EOY10562 pep chromosome:Theobroma_cacao_20110822:5:35368283:35372318:-1 gene:TCM_025897 transcript:EOY10562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MQDKSESIVIGEEKVKKEELHFKVKTKDMEPNHEKGEKVEVELELKTKSVEKEKPNHKEDKEDKHKDKDEEKLKKKDKELKEKERKTEDDDEKQKKREEGEEKPKKKDKEKEKKEKNHKDKEVVEEDEDEEIEEKKNEKKHKDKGVEVEEDEEKDEKKKKKDKEEKEKKKEKKHKDKEHEVGEDEETEDKKKKKKDEEYKEKKKEKKQDKEDEVEDDEEKEEKKEKKKNKEEKERKKEKKLKDEEEEGEKEEKKKKDKGGKEKKKEKKQKEKEEEVEEDEDEEKEEKKKEKKKEKKKKKEKKKDKEDEVEKDEEKEDKKKEKKKEKKKDKEDEVEEEKVEEKEEKKKDKKKDKEEKEKKKERKLKDEEGESADVEEKEEKKKKETKKDKDDKEKKKEKKHKDEDEEVGEKKKKKEKEEKKEKKKDKEEKETKHKDEEKSKKKETDEKEKKKHEDGANDMKCETDITSREIEIVDFEKESEGEGEEEKQKGKAKEGKEKEKEKDKKGEKRKLKGKDKSKDLSKLKQRLEKINSKIEALLEKKAEILSQIKEAEGKSGEVAA >EOY10921 pep chromosome:Theobroma_cacao_20110822:5:37023992:37024835:-1 gene:TCM_026191 transcript:EOY10921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDLISPQNNPNNYPCPIVGSNTIATLVTNNNNNIISSSTVTPTSGTTTTTPPASTTPSRYENQKRRDWNTFCQYLRNHRPPLSLSMCSGAHVLEFLRYLDQFGKTKVHNQTCPFFGLPNPPAPCPCPLRQAWGSLDALIGRLRAAYEEHGGRPEGNPFGARAVRIYLREVRDFQAKARGVSYEKKRKRPKQKVASSAQPPAPPSATLADATAASD >EOY10919 pep chromosome:Theobroma_cacao_20110822:5:37023962:37025233:-1 gene:TCM_026191 transcript:EOY10919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDLISPQNNPNNYPCPIVGSNTIATLVTNNNNNIISSSTVTPTSGTTTTTPPASTTPSRYENQKRRDWNTFCQYLRNHRPPLSLSMCSGAHVLEFLRYLDQFGKTKVHNQTCPFFGLPNPPAPCPCPLRQAWGSLDALIGRLRAAYEEHGGRPEGNPFGARAVRIYLREVRDFQAKARGVSYEKKRKRPKQKVASSAQPPAPPSATLADATAATSVL >EOY10918 pep chromosome:Theobroma_cacao_20110822:5:37023349:37025554:-1 gene:TCM_026191 transcript:EOY10918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDLISPQNNPNNYPCPIVGSNTIATLVTNNNNNIISSSTVTPTSGTTTTTPPASTTPSRYENQKRRDWNTFCQYLRNHRPPLSLSMCSGAHVLEFLRYLDQFGKTKVHNQTCPFFGLPNPPAPCPCPLRQAWGSLDALIGRLRAAYEEHGGRPEGNPFGARAVRIYLREVRDFQAKARGVSYEKKRKRPKQKVASSAQPPAPPSATLADATAAMCFDKWVPFKMLFRGQLGMVVTGMSSS >EOY10920 pep chromosome:Theobroma_cacao_20110822:5:37023266:37025616:-1 gene:TCM_026191 transcript:EOY10920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDLISPQNNPNNYPCPIVGSNTIATLVTNNNNNIISSSTVTPTSGTTTTTPPASTTPSRYENQKRRDWNTFCQYLRNHRPPLSLSMCSGAHVLEFLRYLDQFGKTKVHNQTCPFFGLPNPPAPCPCPLRQAWGSLDALIGRLRAAYEEHGGRPEGNPFGARAVRIYLREVRDFQAKARGVSYEKKRKRPKQKVASSAQPPAPPSATLADATAAKSTI >EOY07454 pep chromosome:Theobroma_cacao_20110822:5:1628808:1629592:-1 gene:TCM_021885 transcript:EOY07454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin inhibitor 1, putative MAENQHSQPSEKQPGESTDSTPVLPSELFAARLSHINGFANLIIQVIRQLVRFMFGTYGSLLGSNTPPKMEWPELVGLTPEEAETKMKEDMPRVHIQVVQANSFVTMDFNQGRARLYLDPSGKVQRPTRIG >EOY11441 pep chromosome:Theobroma_cacao_20110822:5:39279604:39281414:1 gene:TCM_026614 transcript:EOY11441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 1 MSVHKNVLKVLGCCLETRKPIIVYEFAGSKILSTCISATNDEPLPWKCRLKIATGIASAVAYLHTAFSRPVIHRDIKCSNILLDQNNVPKLIDFGLCISIPKGQTHVIDDLVLWRILNLTPEYKDRGYLTEKYDVYMFGMFPIQLLSGQNAGYILARHGIENLKNAINSRIRNEGFALKQLQDCTTLFFRCISSDEEERPTMVEVAKELKRIDQSFPSPC >EOY11440 pep chromosome:Theobroma_cacao_20110822:5:39279520:39282233:1 gene:TCM_026614 transcript:EOY11440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 1 MFTCLKVKRHEDEEEEKEKFFIKNGGVLLEEIFVEDVGYKLYKGFLKDRPSLVKKYNSEWSFLRRNPYTDIAIGSQMSVHKNVLKVLGCCLETRKPIIVYEFAGSKILSTCISATNDEPLPWKCRLKIATGIASAVAYLHTAFSRPVIHRDIKCSNILLDQNNVPKLIDFGLCISIPKGQTHVIDDLVLWRILNLTPEYKDRGYLTEKYDVYMFGMFPIQLLSGQNAGYILARHGIENLKNAINSRIRNEGFALKQLQDCTTLFFRCISSDEEERPTMVEVAKELKRIDQSFPSPC >EOY07530 pep chromosome:Theobroma_cacao_20110822:5:1874988:1879684:-1 gene:TCM_021940 transcript:EOY07530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3741) [Source:Projected from Arabidopsis thaliana (AT5G02390) TAIR;Acc:AT5G02390] MGKHMRHKSAGNPFPHGHPGCMWRMLQVLNYQHWHWRVIKRRLTYKKHEGRKSDAVAENPGDDAQASTADDSSKRRKAEGANSKVEGKKRQSSPASKSSVKSRLKALITEEMSKRKGRGRHRRSSTCPAGSQLTGTGSDLLAEAHTDDPLPEIALNDESPRVGDENKEISSDSSSEDQVLPKSSEEPCTSDENGEECGIMFSGNDSSHEQVDESEKLSIEKAIFCHDLNDRKQAFLKQKSVSSKELTKDGSAHQSKYFTDARDIINMNKGFLLTILQDPGSPLAHYFHKQQAISAKMGITKSGTFPSHGSSSRRGSGPRKQNHVRDGQKPPDHESTEDICRKSMPLKAADHRADGIHQLNQANAEVPDMTTSGSSSNHLKQKSDGNQVAKKRFKHLKQKIKHAIRESKKERHRIAMDAVLHKIPHKKGFSKDLTKDIVDYFKNPSRIRDVFSESSTSKRRIQHERRASSFNESMDRYTQLYESSFNREAKEHISKRIQERREEEMVLPRRSAPKSLGRILSSPELHSYFYQSEDSSDAFSSDMPTTVADSTLSISSSTEQNNLDVSAALDYHSQLGTLGKSESQENLTGIRETLSVSSDQLASNSSTHSKTIAQVGKTSDELGNLIIGDIVSQSEQDSKPEIVVPITKLAEPSPIPLLNFNLEGKTASTPAEISKSQEAGLELTQSHGFPTRLDILADEEHEFKDFPKVAEGRAKFEKVETLKKDLDSDFLKDRLDTKDKDKFKYVRDVLELSGFSGDEALGTWYADDQPVDPFVYEEVKGCIFCEPVCSRDEVGGFCNHPLLFDLINEVLMELYERSYSYCPRPLSSLCHIHPMPLGHHVLEEVWTNISWYLSFETGFDKPLDYVASKDLTRSDGWMNLQAENECLGLELEEVIFYDLLDELF >EOY10326 pep chromosome:Theobroma_cacao_20110822:5:34205412:34205871:1 gene:TCM_025700 transcript:EOY10326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMNQHVSAIFILALLFTVGIEVMAQDQGKVCAVPFGLPNCKDATCKSSCQRKFPPKGNGMCQGGATCLCFHPC >EOY07877 pep chromosome:Theobroma_cacao_20110822:5:3101633:3103152:-1 gene:TCM_022197 transcript:EOY07877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proliferating cell nuclear antigen gene-controlling element binding factor, putative MGSEMALHKFSTANHNHQNSNINSVVSDESTPKTQLALPTRKPVSSLSKDRHTKVNGRGRRVRMPALCAARIFQLTRELGHRSDGETIEWLLRQAEPSIIAATGSGTVPAAHEISCPAGPTMTSASPPSVSCQVHPVGVGSGSVVAGMYTMTAPPPNCRLDLCQPVGFQYSAVGRSGYQHMPFTALLLQPTTAAEEVEERQRVEDEEEEIRHHE >EOY09691 pep chromosome:Theobroma_cacao_20110822:5:30562674:30563290:1 gene:TCM_025083 transcript:EOY09691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MKNMSRQDHVAHLRRKSSGFSRGASMYRGVTRHHQRGRWQASIGRVAGNKDLYLGTFSTREEAAEAYDIAAVKFRGSRNKEMDSNNEASDCNTPALTNGETLQPEVNNGNGSDGS >EOY08409 pep chromosome:Theobroma_cacao_20110822:5:7876110:7879246:-1 gene:TCM_022824 transcript:EOY08409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKLRVRHWVMVKWLKENFSILDGMRAPSNASTFNNCGFSRKVVAWKPLSNGCFKFNVDKTTRGGLGESSIGRALRDEGGRVKILFSKSIGVGDLNRVEILMTK >EOY07306 pep chromosome:Theobroma_cacao_20110822:5:1147203:1149914:1 gene:TCM_021772 transcript:EOY07306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein isoform 1 MADKVFNENILEQIRHGIAQFELVSSPVSSVSTPSVSHSLSAAFLGDSSSRFFARIGPSLGSGSPATKKVEHFSVHKVTGDGRCLFRALVKGMALHKGIALRPQKERDDADELRMAVKEVLCDSGKDRQQYEEALVAITVEESLKRYCQRIQQPDFWGGESELLVLSRLCGQPIIVYIPEHEHRKGGWGSGFIPIAEYGAEFRKGSGKAKPRKVVRLLYSGRNHYDLLV >EOY07307 pep chromosome:Theobroma_cacao_20110822:5:1147239:1149759:1 gene:TCM_021772 transcript:EOY07307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein isoform 1 MADKVFNENILEQIRHGIAQFELVSSPVSSVSTPSVSHSLSAAFLGDSSSRFFARIGPSLGSGSPATKKVEHFSVHKVTGDGRCLFRALVKGMALHKGIALRPQKERDDADELRMAVKEVLCDSGKDRQQYEEALVAITVEESLKRNPCDENLSTAAVTANAFNNLIFGEESQSSWCFQDCAVSQLLSIYLSMSIGRVDGVQVLFPLQNTELSSARAPEKQNPGKS >EOY09206 pep chromosome:Theobroma_cacao_20110822:5:27107359:27112400:-1 gene:TCM_024604 transcript:EOY09206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein MSSTQPPSSSPSSKKQTLFITSLVVLWYSSNIGVLLLNKYLLSNYGFKFPIFLTMCHMSACAFLSYISIVFMKLVPLQPIKSRPQLLKIATLSVVFCCSVVGGNISLRYLPVSFNQAVGATTPFFTALFAYLMTFKREAWVTYAALVPVVAGVVIASGGEPGFHWFGFIMCISATAARAFKSVLQGILLSSEGEKLNSMNLLLYMSPIAVLVLVPAALVMEPNVLEVTLSLGRQHRYMWLLLLVNSTMAYSANLSNFLVTKHTSALTLQVLGNAKGAVAVVISILLFRNPVTVVGIAGYTMTVLGVVAYGEAKRRFR >EOY09956 pep chromosome:Theobroma_cacao_20110822:5:31931432:31934096:-1 gene:TCM_025326 transcript:EOY09956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKESMENLDAASKEMKLGTDIEGLSEKMDVDNIPSVGLVSETAKNGSSTTEIIVESAILMEGGRAEVGNENNVEQNYLLNWEKKDVIFSNKDEREIGDEQGLDLLSTVSQQGSPSYVNTFEESHPSLSYSDHQEISDSLELPISTKAQESDDIHISAAGAIDKASNEALYSKAKVWEQINALRTIVGYEAARKETCTEELKALYLFTGIEPPASFKDTSDVVEVNAKLRFLMSVIGVK >EOY10522 pep chromosome:Theobroma_cacao_20110822:5:35175192:35175836:1 gene:TCM_025860 transcript:EOY10522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAGIMHKIGETLHMGDNKKEEEKHKGEGQHGHGGECKEGHHGGEHKEGQHKEGMMDKIKDKIHGGSAEHGQDGEKKKKKKEKKKHEDGHESSSSSDSD >EOY10523 pep chromosome:Theobroma_cacao_20110822:5:35175186:35175886:1 gene:TCM_025860 transcript:EOY10523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 NQKIYQDTREEKKEKERSEMAGIMHKIGETLHMGDNKKEEEKHKGEGQHGHGGECKEGHHGGEHKEGQHKEGMMDKIKDKIHGGSAEHGQDGEKKKKKKEKKKHEDGHESSSSSDSD >EOY10467 pep chromosome:Theobroma_cacao_20110822:5:34978738:35416985:-1 gene:TCM_025827 transcript:EOY10467 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H MEAVLPIEVEIPSLRVLKEVQLEEAEWVNARYEQLNLIEEKRLTALCHRQLYQKRMMRAYDKKAHSRQFREGELVLKRILPNQHDLRGKWTPNWEGPFVVKKAFSGGALILAEMDGREFSNPVNADAIKKYFKIFKLKT >EOY08160 pep chromosome:Theobroma_cacao_20110822:5:5126860:5130915:-1 gene:TCM_022497 transcript:EOY08160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRDWLIWTGEEGEDPTGFRYVQGKTVSARGRREQDGPRLDHDQCFIRSRLQTICGSIVVVAGREHRRDGSLQVKSPLHQVVDEDLNLLLEYVQLVPHTQNMCNWSLTLKGTSSSSEAASFPFSTLGSLEVLDSGRVVHVDVDVIKLGMQDKKQLMDSLLKVAEEDNENFLRRLSDRTDRVGIEIPMIEVRFKHLAVEGDVYVGSRAFNSYST >EOY09814 pep chromosome:Theobroma_cacao_20110822:5:31159920:31164657:1 gene:TCM_025188 transcript:EOY09814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyl pyrophosphate:dimethylallyl pyrophosphate isomerase 2 MSSLINTASAFRLSRSLASSSSFSCPQPRLFLSSSFALPPRPIPHFSLTSLRASLSFRTEAASATATMGDAPDAGMDAVQRRLMFEDECILVDENDNVVGHESKYNCHLWEKILTGNMLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIGENFLGVRNAAQRKLLDELGIPAEDVPVDQFIPLSRILYKAPSDGKWGEHELDYLLFIVRDVNVHPNPDEVADVKYVNRDQLKELLRKADAGEGGLKLSPWFRLVVDNFLFKWWDHVEKGTLKEATDMETIHKLT >EOY08376 pep chromosome:Theobroma_cacao_20110822:5:7048528:7054213:-1 gene:TCM_022738 transcript:EOY08376 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MVGQARGRGRGNQPQQAELAEMRRMIDDLTRAVQALQRQEPVEARMENPEGDHNPLEIHNLEDDGEFENENPFHEDGPANQAARVGLEGRLLHALDLNGGGIRIEFHCLKQNNMTVEEYTSDFNNLSIRVGLAESNEQITSRYLAGLNHSIRDEMGVVRLYNIEDARQYALSTEKRVLRYGARKPLYGTHWQNNSKARRGYPTSQQNYQGAATINKTNRGATNVEKNDKGKGIMPYGGQNNSGSSTNKGGSNSHIRCFTCGEKGHTSFACPQRRVNLAELGEELEPVYDEYEEEVEEIDVYPAQGESLVVRRVMTTTVNEEAEDWKRRSIFRTRVVCEGKVCDLVIDGGSMENIISKEAVNKLKLPTNKHPYPYKIGWLKKGHEVPVTTQCLVKFTMGDNLDDEALCDVVPMDVGHILVGRPWLYDHDMVHKTKPNTYSFYKDNKRYTLYPLKEETKKSANSKISKITGYLSAENFEAEGSEMGIMYALVTKHLKSDQMGKSPQYPTEIQQLLKEFGELFNKDLPKSLPPLRSIQHAIDLVPGAALPNLPAYRMPPMQ >EOY07376 pep chromosome:Theobroma_cacao_20110822:5:1373758:1374609:-1 gene:TCM_021829 transcript:EOY07376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQCFLLCLILVNTFVAVASENAQTMLRSSLADVQHPPAPAPYNNEKPTTAEAPTVRKLGKHQPKVVKTFGSAPASSPSQAPQPEKDMHRIGESPSTGQTAATVERNNGENVSVEGQTIHLQKHHRSVDKSVAGGGVILGGLATTFLVAVICYIRATGRHKSETHQSTTETTN >EOY11049 pep chromosome:Theobroma_cacao_20110822:5:37684847:37689482:-1 gene:TCM_026320 transcript:EOY11049 gene_biotype:protein_coding transcript_biotype:protein_coding description:DREB2A-interacting protein 2 isoform 1 MAGGHHHVVKVKRETLESCMTCPLCNKLLREATTISLCLHTFCRKCIYEKLSDEGMDCCPVCDIELGCLPVDKLRPDHNLQDIRAKVFPFKRRKIRAPEVMPSTSPPAKRKERSLSSLVVSTPKVPMQTGLTGRRTKATARKRVAAFRGCNFSVEESLKKEDFAEDHPSGTSSPDSSNKISQSKRQVKEAGERFFKDSSMAEPSSERRSNEDTDDVEMLEGKADLWTPLNCLVEAANRKSSKLNSQGLATSKAEPHNAPDCHLYIPETKADLESATVPDGKLCIPKAKSKEHGQNTKVQDEKNGASLISRPVKRRRLRAAAQKRAAASQQAASARVMLDTLGAKCNRKESPIWFSLVASEDQKGDASLPQISACYLRIKDGKMPVSFIQKYLVKKLDLASEAEVEIMCRAQPVLPTLQLHNLVDLWFRTASTAKKVPASVGSSAKDFVMVLSYRRKVQAP >EOY11051 pep chromosome:Theobroma_cacao_20110822:5:37685147:37689752:-1 gene:TCM_026320 transcript:EOY11051 gene_biotype:protein_coding transcript_biotype:protein_coding description:DREB2A-interacting protein 2 isoform 1 MAGGHHHVVKVKRETLESCMTCPLCNKLLREATTISLCLHTFCRKCIYEKLSDEGMDCCPVCDIELGCLPVDKLRPDHNLQDIRAKVFPFKRRKIRAPEVMPSTSPPAKRKERSLSSLVVSTPKVPMQTGLTGRRTKATARKRVAAFRGCNFSVEESLKKEDFAEDHPSGTSSPDSSNKISQSKRQDSSMAEPSSERRSNEDTDDVEMLEGKADLWTPLNCLVEAANRKSSKLNSQGLATSKAEPHNAPDCHLYIPETKADLESATVPDGKLCIPKAKSKEHGQNTKVQDEKNGASLISRPVKRRRLRAAAQKRAAASQQAASARVMLDTLGAKCNRKESPIWFSLVASEDQ >EOY11050 pep chromosome:Theobroma_cacao_20110822:5:37685627:37689722:-1 gene:TCM_026320 transcript:EOY11050 gene_biotype:protein_coding transcript_biotype:protein_coding description:DREB2A-interacting protein 2 isoform 1 MAGGHHHVVKVKRETLESCMTCPLCNKLLREATTISLCLHTFCRKCIYEKLSDEGMDCCPVCDIELGCLPVDKLRPDHNLQDIRAKVFPFKRRKIRAPEVMPSTSPPAKRKERSLSSLVVSTPKVPMQTGLTGRRTKATARKRVAAFRGCNFSVEESLKKEDFAEDHPSGTSSPDSSNKISQSKRQDSSMAEPSSERRSNEDTDDVEMLEGKADLWTPLNCLVEAANRKSSKLNSQGLATSKAEPHNAPDCHLYIPETKADLESATVPDGKLCIPKAKSKEHGQNTKVQDEKNGASLISRPVKRRRLRAAAQKRAAASQQAASARVMLDTLGAKCNRKESPIWFSLVASEDQKGDASLPQISACYLRIKDGKMPVSFIQKYLVKKLDLASEAEVRSPLPGFCFPRKLRMSKFHHSEIEMLCCCNFMLICCYRL >EOY11048 pep chromosome:Theobroma_cacao_20110822:5:37684684:37689759:-1 gene:TCM_026320 transcript:EOY11048 gene_biotype:protein_coding transcript_biotype:protein_coding description:DREB2A-interacting protein 2 isoform 1 MAGGHHHVVKVKRETLESCMTCPLCNKLLREATTISLCLHTFCRKCIYEKLSDEGMDCCPVCDIELGCLPVDKLRPDHNLQDIRAKVFPFKRRKIRAPEVMPSTSPPAKRKERSLSSLVVSTPKVPMQTGLTGRRTKATARKRVAAFRGCNFSVEESLKKEDFAEDHPSGTSSPDSSNKISQSKRQDSSMAEPSSERRSNEDTDDVEMLEGKADLWTPLNCLVEAANRKSSKLNSQGLATSKAEPHNAPDCHLYIPETKADLESATVPDGKLCIPKAKSKEHGQNTKVQDEKNGASLISRPVKRRRLRAAAQKRAAASQQAASARVMLDTLGAKCNRKESPIWFSLVASEDQKGDASLPQISACYLRIKDGKMPVSFIQKYLVKKLDLASEAEVEIMCRAQPVLPTLQLHNLVDLWFRTASTAKKVPASVGSSAKDFVMVLSYRRKVQAP >EOY10987 pep chromosome:Theobroma_cacao_20110822:5:37342328:37346582:-1 gene:TCM_026253 transcript:EOY10987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal transduction histidine kinase isoform 1 MLRALAQGVLISLFVISVSATDNEFPNCNCDDEGLWSIHSILECQKVSDFLIAVAYFSIPIELLYFISCSSVPFKWVLLQFIAFIVLCGLTHLLNGWTYYGPHSFQLMLSVTIAKFLTALVSCATAITLLTLIPLLLKVKVRELFLRQNVLELDQEVGMMKKKKEASSHVRMLTQEIRKSLDKHTILYTTLVELSKTLDLYNCAVWMPNENGSLMNLTHELKASSSRSFHSIPTNDPDVMEIKESEGVRILRPDSALGLASGSGSDEAGAVAAIRMPMLHGSNFKGGTPESVETCYAILVLVLPSSNQGWSEPEMEIVEVVADQVAVALSHAAVLEESQLMRERLSQQNCVLQQARKNAMMASQARNSFQKVMSHGMKRPMHSILGLLSVFQEEKMNFNQKIVIDTLVKTSSVLSTLINDVMEISAKDNGRFPLDMRPFSLHSMIKEASCLAKCLSVYKGFGFEVGVQSSLPHQVIGDEKRTFQVILHMVGYLLDVNNGGGTVLFRVLSDVSSQDKNDKINAWRSNTQDNYLYLRIEIGIRGGSSQADESVSTKHSSGGRHNDDEIKESLNFNMCKKLVQMMQGNIWVSTNSLGFAQSMTLLLRFQIQPYIQRTTFASVNSTEQPNFNSRFRGLRVLLADDDDINRIVTKKLLEKLGCEVTSVSSGFECLSAVSHAENSFRIVVLDLHMPEMDGFEVAMRIRKYRSHNWPLIIALTASAEDNVRERCLQMGMNAVIQKPVVLQGMADEIQRVLQRAGEGI >EOY10988 pep chromosome:Theobroma_cacao_20110822:5:37343622:37347225:-1 gene:TCM_026253 transcript:EOY10988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal transduction histidine kinase isoform 1 MLRALAQGVLISLFVISVSATDNEFPNCNCDDEGLWSIHSILECQKVSDFLIAVAYFSIPIELLYFISCSSVPFKWVLLQFIAFIVLCGLTHLLNGWTYYGPHSFQLMLSVTIAKFLTALVSCATAITLLTLIPLLLKVKVRELFLRQNVLELDQEVGMMKKKKEASSHVRMLTQEIRKSLDKHTILYTTLVELSKTLDLYNCAVWMPNENGSLMNLTHELKASSSRSFHSIPTNDPDVMEIKESEGVRILRPDSALGLASGSGSDEAGAVAAIRMPMLHGSNFKGGTPESVETCYAILVLVLPSSNQGWSEPEMEIVEVVADQVAVALSHAAVLEESQLMRERLSQQNCVLQQARKNAMMASQARNSFQKVMSHGMKRPMHSILGLLSVFQEEKMNFNQKIVIDTLVKTSSVLSTLINDVMEISAKDNGRFPLDMRPFSLHSMIKEASCLAKCLSVYKGFGFEVGVQSSLPHQVIGDEKRTFQVILHMVGYLLDVNNGGGTVLFRVLSDVSSQDKNDKINAWRSNTQDNYLYLRIEIGIRGGSSQADESVSTKHSSGGRHNDDEIKESLNFNMCKKLVQGICSKLPVSLGFFNLVWAILHILVFGYKKQVKKQLLMPLSFRGEIFSYEGV >EOY11555 pep chromosome:Theobroma_cacao_20110822:5:39599231:39605384:-1 gene:TCM_026693 transcript:EOY11555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem 2-B15-like protein MNLDQLPQDCFAQILSLASPIDACRISVLSSTIRVAADSDNVWEKFLPSDYQDILSRLLHPLVYSSKKKLFLRLCNPHLIDGGHKSMALENRSMPIHHVKGDMTDCECHGYKTWMAIGKKRYVLSAKELKTWAENPLFWTWKSFPASRIQSRMLSPDIVHEAHLIVKFVDRAYGLDILPSKVSVEVGDVKSEGTVRLRQHETKKQCLETTWFSNQVEASTSMGFRGTEERVPCKRADGWTEIELGNFYNHGSGDAEVKMCLQEVAGTHLKAGLVVEGIELRPKQFSIIPEVAELKTETNAEKSRVIEGDDRVFSKREDGWREIEVKEFFNGEGDEEVKMSSMEVNKGHQLKGVLIVDGIEVRPKS >EOY10693 pep chromosome:Theobroma_cacao_20110822:5:35926714:36078995:1 gene:TCM_025995 transcript:EOY10693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDAGNYLEAIAMRVALEWEREKTRVMKESLRDDEVALLEKALEHSMLMGKKEETLAEIELREKLIDDFMVFIGAVENNYVEIAQNFDEKAMMDATVAMLNSDGNSGGNGEGLGGAYGGHNDLDVAIEGTERDGGEGSNLKMESYRELLQGRLEIPCSFMCPLRCPLFDLTLSVLHHPTMKSR >EOY07847 pep chromosome:Theobroma_cacao_20110822:5:2977281:2982013:-1 gene:TCM_022171 transcript:EOY07847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated channel 15 isoform 2 MSKMNCNQVPELRTKTFEKTAIGKTFHKKELSRVFSEDYEVVEKTILDPRGPDINRWNKLFLVACLISLFVDPLFFYLPQAKKSMCMTVSLPLEIDLTVTRSVVDAFYIIQIFVRFRTAYVAPSSRVFGRGELVIDPSKIASRYLHKDFWLDIIAAQPLPQVLVWAVIPKLRGSSMVTTKNIVRLIIIFQYLLRLYLIFPLSSQIIKTSGVVTETAWAGAAYNLMLYMLASHVLGASWYLLSLERQEECWRKVCSLPVIDCRYEFFDCRFVGDPARAAWFNSSNISGLCDPRSDFFQFGIYADALQFGVTSSGFFRKYFYCLWWGLRNLSSLGQGLFTSTYVGEIIFAIIIAIVGLVLFALLIGNMQTYLQSTTVRLEEWRIRRTDTEQWMHHRQLPHELKQSVRRYDQYKWVATRGVDEEAILKSLPMDLRRDIKRHLCVDLVRQVPLFDLMDDRMLDAICERLKPCLRTPSTCLVREGDPVNEMLFIVRGHLDSFTTNGGRTGFFNSSRIGPGDFCGEELLTWALDPRPNIVLPSSTRTVKAITEVEAFALVSEDLKFVAAQFRRLHSKQLRHTFRFHSHQWRTWAACFIQAAWFRYKRRKGVAELKKWESMAASSPEQATEQTGAPALPPVASGFASYAAKLAASTRSRGGSRRCGTDFDILGSLQKPNEPDFTVEDR >EOY07846 pep chromosome:Theobroma_cacao_20110822:5:2977286:2982029:-1 gene:TCM_022171 transcript:EOY07846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated channel 15 isoform 2 MASGNSKSVRFQNDPEKTTFTSTKGGHLSKFMSKMNCNQVPELRTKTFEKTAIGKTFHKKELSRVFSEDYEVVEKTILDPRGPDINRWNKLFLVACLISLFVDPLFFYLPQAKKSMCMTVSLPLEIDLTVTRSVVDAFYIIQIFVRFRTAYVAPSSRVFGRGELVIDPSKIASRYLHKDFWLDIIAAQPLPQVLVWAVIPKLRGSSMVTTKNIVRLIIIFQYLLRLYLIFPLSSQIIKTSGVVTETAWAGAAYNLMLYMLASHVLGASWYLLSLERQEECWRKVCSLPVIDCRYEFFDCRFVGDPARAAWFNSSNISGLCDPRSDFFQFGIYADALQFGVTSSGFFRKYFYCLWWGLRNLSSLGQGLFTSTYVGEIIFAIIIAIVGLVLFALLIGNMQTYLQSTTVRLEEWRIRRTDTEQWMHHRQLPHELKQSVRRYDQYKWVATRGVDEEAILKSLPMDLRRDIKRHLCVDLVRQVPLFDLMDDRMLDAICERLKPCLRTPSTCLVREGDPVNEMLFIVRGHLDSFTTNGGRTGFFNSSRIGPGDFCGEELLTWALDPRPNIVLPSSTRTVKAITEVEAFALVSEDLKFVAAQFRRLHSKQLRHTFRFHSHQWRTWAACFIQAAWFRYKRRKGVAELKKWESMAASSPEQATEQTGAPALPPVASGFASYAAKLAASTRSRGGSRRCGTDFDILGSLQKPNEPDFTVEDR >EOY10366 pep chromosome:Theobroma_cacao_20110822:5:34382493:34384020:1 gene:TCM_025737 transcript:EOY10366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGEEINKTEEKKIYCLCRLPVDVLEIILRYLSVLDYIKFRTVSKCWRLAFSTCASSSSIILQQRPERELPWFVVLKTKPEGRKHRGCPVLHTEGLLGNMRSRVAYKTDMPELCGTRILLSKYGWLLLFKGNDNSCSSSSLYFFNPFSKAKIVLPSMDVTKLRSPLFDISAPPTSPDCMVFIAYWVQWKRVMIDLCRKGESNWTSYGGGPGGPIINAVFAKGIWYYLHSDGELSAFDAVHHHYICTPGILGLDFSFYTFSRLVSYAVKRGEQVLLRIHACCGCYEIALSSPHLLVATTINRGPEDPEYLFLTDAEKAMEREREVICVDSFNPDAWCLVYPSADTFECRWHQFISNRIPHYDLREISCHVRNKCSTFLMWFEPVWVEPSPNLTWT >EOY08017 pep chromosome:Theobroma_cacao_20110822:5:3998531:4008461:-1 gene:TCM_022342 transcript:EOY08017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MRIKTISFWLLAFLIPLFSILIALYTVPPPSKSSVTPDSHDSFEVARQSGEFLSLLTRMVSRHHHHHHHHHHHHHHHRGRRKHKCDHNKWTSSLISQYKVSLVLTVDSNGCANFSSVQQAIDVVPDSSPSKTLIVIYSGTYREKVVIHANKSNLIIQGEGFLKTAIEWNDTADSTGGTVYSSSVAIFAPNFTVYNISFKNTAPEPSPGETGKQAVALRIAGDQAAFYNCGFYGAQDTLLDDRGRHYFKGCFIQGSIDFIFGNARSLYEGCVIHSIAKEGTSGVGGCITAQARQSMNEQTGFSFVDCIIRGTGTVWLGRAWGAYATVVFSRTYMSDVVAPDGWNDWRDPTRDQTVLFGEYECLGPGANYTFRASYGKQLIEYEAAAYMNISYIDGNEWLQD >EOY11712 pep chromosome:Theobroma_cacao_20110822:5:40110369:40112458:1 gene:TCM_026798 transcript:EOY11712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactinol synthase 2 MAPNITGTKAAAVPAATKATALAKAASLPRRAYVTFLAGNGDYVKGVVGLAKGLRKVKSQYPLLVAILPDVPEDHRKILVDQGCIVKEIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYCKMIYLDGDIQVFENIDHLFDMEDGSFYAVMDCFCEKTWSHTPQYKIGYCQQCPDKVQWPSQLGPKPPLYFNAGMFVYEPSLRVYDELLRTLKVTPPTPFAEQDYLNMFFRDIYKPIPPVYNLVMAMLWRHPENIELEKVKVAHYCAAGSKPWRFTGKEENMDREDIKMLVSKWWDIYNDESLDYKNFVASGEAEVDRDERTGLQPFLAALSEAGVVDHYINAPSAA >EOY08163 pep chromosome:Theobroma_cacao_20110822:5:5139113:5145840:-1 gene:TCM_022498 transcript:EOY08163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 11 isoform 3 MASALAGDDLARSMSSRRSWAASGSHRSWASASFREVWQPPPEVFNRSGRQDDEEELRWAAIERLPTYDRLRKGMLRQVLDNGRIVHDEVDVTKLGLQDKKQLMDSMLKVVEEDNERFLRRLRDRTDRVGIEIPKIEVRFEHLAVEGDVYVGSRALPTLLNVTLNTIESILGLVRLAPSKKRKIQILKDVSGIVKPSRMTLLLGPPGAGKTTLLLALAGKLDRDLRSSGKVTYCGHELNEFVPQRTCAYIGQHDLHYGEMTVRETLDFSGRCLGVGTRYEMLSELSRREKEAGIKPDSEIDAFMKATALAGQETSLVTDYILKILGLDICADIMVGDEMRRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQICKFMRQMVHIMDVTMVISLLQPAPETYDLFDDIIVLSEGLIVYQGPRENVLDFFEYMGFKCPERKGVADFLQEVTSKKDQEQYWFKKNQPYRYVSSSDFVHGFSSFHIGQQLASDLRVPYDKSRTHPAALVTEKYGISNWELFRACFAREWLLMKRNSFVYIFKTVQITIMSLIALTVYLRTEMPVGTLEDGQKFFGALFFSLINVMFNGMAELAMTVFRLPVFYKQRDFLFYPAWAFGLPIWVLRIPLSLMESGIWIALTYYTIGFAPAASRFFRQFLAFFGIHQMALSLFRFIAAVGRTQVVANTLGTFTLLLVFVLGGFIVAKDDIEPWMIWGYYVSPMMYGQNAIVMNEFLDARWSAKNNDTRINAPTVGKVLLKTRGFFTEDYWFWICVGALFAFSLVFNILFIGALTFLNPLGDSKAVVVNDNENNKTKNPYSAGRRPEGTNQQVRNSSDIVGAAGHAPRKGMVLPFQPLSLAFNHINYYVDMPAEMKTQGIEEDRLQLLRDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYTKNQATFARVSGYCEQNDIHSPNVTVYESLLYSAWLRLSSDIDTKTRKMFVDEVMELVELKPLRNALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSHKLIEYFEAVQGVQKIRDGHNPATWMLEVSAPPVEAQLNVDFADIYANSSLYRRNQELIKELSAPAPGSKDLFFPTKYSQPFLTQCKACFWKQHWSYWRNPQYNAIRFFLTIFIGILFGLIFWNKGEQTTKQQDLMNLLGAMYSAVLFLGATNASAVQSVVAIERTVFYRERAAGMYSELPYAFAQVQI >EOY08162 pep chromosome:Theobroma_cacao_20110822:5:5138123:5146232:-1 gene:TCM_022498 transcript:EOY08162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 11 isoform 3 MASALAGDDLARSMSSRRSWAASGSHRSWASASFREVWQPPPEVFNRSGRQDDEEELRWAAIERLPTYDRLRKGMLRQVLDNGRIVHDEVDVTKLGLQDKKQLMDSMLKVVEEDNERFLRRLRDRTDRVGIEIPKIEVRFEHLAVEGDVYVGSRALPTLLNVTLNTIESILGLVRLAPSKKRKIQILKDVSGIVKPSRMTLLLGPPGAGKTTLLLALAGKLDRDLRSSGKVTYCGHELNEFVPQRTCAYIGQHDLHYGEMTVRETLDFSGRCLGVGTRYEMLSELSRREKEAGIKPDSEIDAFMKATALAGQETSLVTDYILKILGLDICADIMVGDEMRRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQICKFMRQMVHIMDVTMVISLLQPAPETYDLFDDIIVLSEGLIVYQGPRENVLDFFEYMGFKCPERKGVADFLQEVTSKKDQEQYWFKKNQPYRYVSSSDFVHGFSSFHIGQQLASDLRVPYDKSRTHPAALVTEKYGISNWELFRACFAREWLLMKRNSFVYIFKTVQITIMSLIALTVYLRTEMPVGTLEDGQKFFGALFFSLINVMFNGMAELAMTVFRLPVFYKQRDFLFYPAWAFGLPIWVLRIPLSLMESGIWIALTYYTIGFAPAASRFFRQFLAFFGIHQMALSLFRFIAAVGRTQVVANTLGTFTLLLVFVLGGFIVAKDDIEPWMIWGYYVSPMMYGQNAIVMNEFLDARWSAKNNDTRINAPTVGKVLLKTRGFFTEDYWFWICVGALFAFSLVFNILFIGALTFLNPLGDSKAVVVNDNENNKTKNPYSAGRRPEGTNQQVRNSSDIVGAAGHAPRKGMVLPFQPLSLAFNHINYYVDMPAEMKTQGIEEDRLQLLRDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYTKNQATFARVSGYCEQNDIHSPNVTVYESLLYSAWLRLSSDIDTKTRKMFVDEVMELVELKPLRNALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSHKLIEYFEAVQGVQKIRDGHNPATWMLEVSAPPVEAQLNVDFADIYANSSLYRRNQELIKELSAPAPGSKDLFFPTKYSQPFLTQCKACFWKQHWSYWRNPQYNAIRFFLTIFIGILFGLIFWNKGEQTTKQQDLMNLLGAMYSAVLFLGATNASAVQSVVAIERTVFYRERAAGMYSELPYAFAQVKSLYGGGGTTGLLPWLGHYMGL >EOY08161 pep chromosome:Theobroma_cacao_20110822:5:5137954:5146419:-1 gene:TCM_022498 transcript:EOY08161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 11 isoform 3 MASALAGDDLARSMSSRRSWAASGSHRSWASASFREVWQPPPEVFNRSGRQDDEEELRWAAIERLPTYDRLRKGMLRQVLDNGRIVHDEVDVTKLGLQDKKQLMDSMLKVVEEDNERFLRRLRDRTDRVGIEIPKIEVRFEHLAVEGDVYVGSRALPTLLNVTLNTIESILGLVRLAPSKKRKIQILKDVSGIVKPSRMTLLLGPPGAGKTTLLLALAGKLDRDLRSSGKVTYCGHELNEFVPQRTCAYIGQHDLHYGEMTVRETLDFSGRCLGVGTRYEMLSELSRREKEAGIKPDSEIDAFMKATALAGQETSLVTDYILKILGLDICADIMVGDEMRRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQICKFMRQMVHIMDVTMVISLLQPAPETYDLFDDIIVLSEGLIVYQGPRENVLDFFEYMGFKCPERKGVADFLQEVTSKKDQEQYWFKKNQPYRYVSSSDFVHGFSSFHIGQQLASDLRVPYDKSRTHPAALVTEKYGISNWELFRACFAREWLLMKRNSFVYIFKTVQITIMSLIALTVYLRTEMPVGTLEDGQKFFGALFFSLINVMFNGMAELAMTVFRLPVFYKQRDFLFYPAWAFGLPIWVLRIPLSLMESGIWIALTYYTIGFAPAASRFFRQFLAFFGIHQMALSLFRFIAAVGRTQVVANTLGTFTLLLVFVLGGFIVAKDDIEPWMIWGYYVSPMMYGQNAIVMNEFLDARWSAKNNDTRINAPTVGKVLLKTRGFFTEDYWFWICVGALFAFSLVFNILFIGALTFLNPLGDSKAVVVNDNENNKTKNPYSAGRRPEGTNQQVRNSSDIVGAAGHAPRKGMVLPFQPLSLAFNHINYYVDMPAEMKTQGIEEDRLQLLRDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYTKNQATFARVSGYCEQNDIHSPNVTVYESLLYSAWLRLSSDIDTKTRKMFVDEVMELVELKPLRNALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSHKLIEYFEAVQGVQKIRDGHNPATWMLEVSAPPVEAQLNVDFADIYANSSLYRRNQELIKELSAPAPGSKDLFFPTKYSQPFLTQCKACFWKQHWSYWRNPQYNAIRFFLTIFIGILFGLIFWNKGEQTTKQQDLMNLLGAMYSAVLFLGATNASAVQSVVAIERTVFYRERAAGMYSELPYAFAQVAIETIYTAIQTIIYTLLLYSMIGFEWKVGKFLWFYYYILTCFVYFTLYGMMVVALTPGHQIAAIVMSFFLSFWNLFSGFLIPRTQIPVWWRWYYWASPVAWTLYGLVTSQVGDKNALVEVPGQGDISVKDFLKGTLGFEYDFLPAVAAAHIGWCLLFFFVFAYGIKFLNFQRR >EOY11206 pep chromosome:Theobroma_cacao_20110822:5:38347855:38350461:-1 gene:TCM_026454 transcript:EOY11206 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MWYGCKSPVNPAVNVLQQDNWLKKDQQGNKDNINSNATSGTIKISTNPLPLKDRVAIVTGSSRGMGRVIATHLAELGAKLVINYTSSSEQADRVATQINTRYPGDSPRAVTVKADVSDPAQVKLLFDSAEQAFGSEIHVLVNSAGVLDPKYPKIADTSLEDFDRIFSVNTRGAFLCAKEAANRLKRGGGGRIILLSSSMVAALRPGFGAYAASKAAIEAMIKIMAKELKGAGITANCVAPGPIATEMFFAGKSEEMVQRVIDECPHNRLGQSDDVAPVVGFLATDASEWVNGQIIRVNGGYV >EOY08407 pep chromosome:Theobroma_cacao_20110822:5:7825561:7827096:1 gene:TCM_022820 transcript:EOY08407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short chain alcohol dehydrogenase, putative MNAGQSLVAKRLEGKVALITGGASGIGESTARLFVKHGAKVLIADIQDELGHSLCKELGTPDFISYIHCDVTCETDVQNAVDLAVSEYGKLDIMFNNAGIPGDLEIRAITSDIENFKRVLDVNVFGAFLGAKHAARVMIPAKKGCILFTASVASLVCLGISHAYTTSKHAVVGMTKSLSVELGEYGIRVNCISPHAVVTPLLQKTLGILDKRKGEEILSTTAVLKGTILEPEDVAQAALFLASDEAKYLSGVNLPVDGGYSLNNPTWKTGLQNCNENIQPS >EOY07611 pep chromosome:Theobroma_cacao_20110822:5:2137504:2141911:1 gene:TCM_021998 transcript:EOY07611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSISRLYERNGQPTRTPISEVRRSKPPDSPLQHHTRFVKKLTRVEMEERRLKGLCFNCDEPFVRGHQCVNWLKTLGPILWDFTNMWMSFTKLGKQIELYGIKTSKPAQNAEIQVVTAEDYHADLQRLLRDFASLFQEPTSLPPSRTCDHRIILKPGTKPIAVRPYRYPHAQKDEIEQQCSEMLKQGIIQPSQSPFSSLVLLVPKSNGTRHMCIDYRELNAKIIKDKFLIPMIEKLLEELFGAKYFSKLDLWSGYHQIQMNEADVEKMAFRTHHGHFEFRVMPFKLTNEPSTFQALMNEFVHNYGSIATPFIAMLRKNSFIWTDQSSQAFKKLKAAMTTAPVLALLDFSQPFTTECDASNTRIGVVLLHNDKPIAFFNRAMTMRHRHLPAYEKELIGLVKAIKHYRSYLWGKHFIVCTDHYSLKFLLEQKLLTPPQQHWLGEILGYSFSVEYKVGRYNSVADALSRIDSDGAVLLVISMPQLSLFDEIHHKQQNSPEVQSLISSVQEGIAAEPWSFKQRLLFYKHRVYLAPNSLSIQTVLTALHNQGHEGYQKTLFRIAKDFHWNDMKHHIRDFIHACSICQRHKTKTLQPAGLLQLLPVPKHVCSDISLDFVEGLPNSHGTNVILVVVDHFSKYCHLLPVAHPYSAVSIARLFFDNIFKLHGLLETMVNDRDVTFTSAFWKELFCLSDTKLCFNSAYHPQSDDQTKVVNRTVEMNLRCFSSAHPTKWMEWLSWAEYSYNTSFHSSLQTTPFKAMYGRPPPRLLSYCLGISKLDAVDQALQSRDMILKSLRQNLLHAQHKMKTIYDSKHHDIEFQVGDKVLLRLQPYRQLSLANRRHQQLLPKFYGPFTILQRLGPMAYKLEFPPSTKLHLVFHVSCLKVFHEGDNPVSATLPIGFLAESMPLPLAIIDRQVNRNIQEVLIHLQDTSLAEASWETVSSMKERFPHFPLEDKRDLKEGSNVSTSDNPLVYKQFTHGKYKLNQHI >EOY09437 pep chromosome:Theobroma_cacao_20110822:5:29121889:29123470:-1 gene:TCM_024855 transcript:EOY09437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase inhibitor MKIIPFFLCFLFFSTLLSLSLSELCNPYDKKVLLKIKQSLNNPYLLASWNPQTDCCNWYCVECDLKTNRITSLTMFSDKELSGQIPAEVGDLPFLETLEFRKLPNLTGPIQPSIAKLKNLKFLRLSWTNLSGSVPDFLSQLKNLTFLDLSFNNLSGSIPSSLSWLPNLGALHLDRNKLIGPIPYSFGMFHGNVPSLYLSHNGLSGKIPASLGNMDFTAIDLSRNMLEGDASMLFGLNKTTWEVDLSRNRLQFDLSKVEFPKSLARLDLNHNEIRGSIPVGLTAADDLQFLNLSYNRLCGKIPVGGKLQSFDYSAYFHNRCLCGAPLQSCK >EOY09537 pep chromosome:Theobroma_cacao_20110822:5:29729932:29734257:1 gene:TCM_024949 transcript:EOY09537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven in absentia of 2 isoform 1 MAPGGSACKEVVESHPSVADYDIATAKSESNTTTMKSPVSLLGKHGIHSNNGVYELLECPVCTNLMYPPIHQCPNGHTLCSNCKIRVHNCCPTCCYDLGNIRCLALEKVAESLELPCKYQNLGCHDIFPYYSKLKHEQHCRFCPYNCPYAGSECSVTGDISTLVAHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGKQFCLHFEAFQFGMAPVYMAFLRFMGDDNEAKKFSYSLEVGANGRRLIWQGIPRSIRDSHRKVRDSQDGLIIQRNLALYFSGGDRQELKLRVTGRIWKEE >EOY09539 pep chromosome:Theobroma_cacao_20110822:5:29729961:29734257:1 gene:TCM_024949 transcript:EOY09539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven in absentia of 2 isoform 1 MAPGGSACKEVVESHPSVADYDIATAKSESNTTTMKSPVSLLGKHGIHSNNGVYELLECPVCTNLMYPPIHQCPNGHTLCSNCKIRVHNCCPTCCYDLGNIRCLALEKVAESLELPCKYQNLGCHDIFPYYSKLKHEQHCRFCPYNCPYAGSECSVTGDISTLVAHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGKQFCLHFEAFQFGMAPVYMAFLRFMGDDNEAKKFSYSLEVGANGRRLIWQGIPRSIRDSHRKVRDSQDGLIIQRNLALYFSGGDRQELKLRVTGRIWKEE >EOY09538 pep chromosome:Theobroma_cacao_20110822:5:29729886:29734340:1 gene:TCM_024949 transcript:EOY09538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven in absentia of 2 isoform 1 MAPGGSACKEVVESHPSVADYDIATAKSESNTTTMKSPVSLLGKHGIHSNNGVYELLECPVCTNLMYPPIHQCPNGHTLCSNCKIRVHNCCPTCCYDLGNIRCLALEKVAESLELPCKYQNLGCHDIFPYYSKLKHEQHCRFCPYNCPYAGSECSVTGDISTLVAHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGKQFCLHFEAFQFGMAPVYMAFLRFMGDDNEAKKFSYSLEVGANGRRLIWQGIPRSIRDSHRKVRDSQDGLIIQRNLALYFSGGDRQELKLRVTGRIWKEE >EOY10657 pep chromosome:Theobroma_cacao_20110822:5:35772684:35777080:1 gene:TCM_025968 transcript:EOY10657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vamp/synaptobrevin-associated protein 27-2, putative isoform 1 MTTQLLEIQPKELKFVFILKKQCSCSVSLRNNTNQYVAFKVKTTSPKKYCVRPNVGIILPKSVCEFTVTMQAQREAPPDMICRDKFLIQSTIVPAGTTDEDITSAAFVKDSGRYIEDNKLKVALVSPPHSPVLSPINGTMNQGVDYDASIPKEPVLSRVGVLAPPQTVAKVEESKIINFDDLKPTKDVEWKPRKDMFYAEDLKLKEDAELKPRNDGVNGEDLKLTKDAELKPKDNLVNSKELKPAKDVESKPVKDVESKPMEDILDTEELKSVKIKEFDALKDGEVKTLKAVEELKLVKDVEEMKSKLTDLESKLGEAEATIAKLTVESRLSTQERTILQEELALMRKKTNLRKVQVGFPLLFVCMVALVSVFLGCLLRR >EOY10656 pep chromosome:Theobroma_cacao_20110822:5:35772922:35777259:1 gene:TCM_025968 transcript:EOY10656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vamp/synaptobrevin-associated protein 27-2, putative isoform 1 MTTQLLEIQPKELKFVFILKKQCSCSVSLRNNTNQYVAFKVKTTSPKKYCVRPNVGIILPKSVCEFTVTMQAQREAPPDMICRDKFLIQSTIVPAGTTDEDITSAAFVKDSGRYIEDNKLKVALVSPPHSPVLSPINGTMNQGVDYDASIPKEPVLSRVGVLAPPQTVAKVEESKIINFDDLKPTKDVEWKPRKDMFYAEDLKLKEDAELKPRNDGVNGEDLKLTKDAELKPKDNLVNSKELKPAKDVESKPVKDVESKPMEDILDTEELKSVKIKEFDALKDGEVKTLKAVEELKLVKDVEEMKSKLTDLESKLGEAEATIAKLTVESRLSTQERTILQEELALMRKKTNLRKVQVGFPLLFVCMVALVSVFLGCLLRR >EOY08543 pep chromosome:Theobroma_cacao_20110822:5:10401259:10405375:1 gene:TCM_023100 transcript:EOY08543 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative isoform 3 MESENEVTVEEERIVIERTDVEESATEAKKEELNADTKGEGVANLKEASKPGTKSEGMASKAAANVSKSKISKPLKEPGNLTGRNSKNNKVIKDKSNLRSAVPLSRDQRAVLSQSLSFPARRVHGDGLMKSIDGYPEKVDLKHGQEEGTKVQASSNGSLSSLSRLNHPNSRGSIKLDSKPANTNGGGVTARRTTLASLPSNRQALPAKSVPGNVAAKSPSSSESADSKPVTAALLSKEDDDAHSTTSATSRSTRRSSGSGFTFRLEERAEKRKEFFSKLEEKIHAKEVERNNLQAKSKENQEAEIKQLRKSLAFKATPMPSFYKEPPPKVELKKIPTTRAKSPKLGRHKSSVSATNNPSEGDGSSVSPSLNQEQNFSTRRTQTNGNEDNVASKKAVKKSQPKLQSKEITKAEEKPGKSKPRTRRVENTVQDACVGKPEEHQNHPVNLPQLEDAVGVADGTNPAKNGGLISSLANPETMPRQVPVGG >EOY08542 pep chromosome:Theobroma_cacao_20110822:5:10401743:10405780:1 gene:TCM_023100 transcript:EOY08542 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative isoform 3 MESENEVTVEEERIVIERTDVEESATEAKKEELNADTKGEGVANLKEASKPGTKSEGMASKAAANVSKSKISKPLKEPGNLTGRNSKNNKVIKDKSNLRSAVPLSRDQRAVLSQSLSFPARRVHGDGLMKSIDGYPEKVDLKHGQEEGTKVQASSNGSLSSLSRLNHPNSRGSIKLDSKPANTNGGGVTARRTTLASLPSNRQALPAKSVPGNVAAKSPSSSDLCECRSADSKPVTAALLSKEDDDAHSTTSATSRSTRRSSGSGFTFRLEERAEKRKEFFSKLEEKIHAKEVERNNLQAKSKENQEAEIKQLRKSLAFKATPMPSFYKEPPPKVELKKIPTTRAKSPKLGRHKSSVSATNNPSEGDGSSVSPSLNQEQNFSTRRTQTNGNEDNVASKKAVKKSQPKLQSKEITKAEEKPGKSKPRTRRVENTVQDACVGKPEEHQNHPVNLPQLEDAVGVADGTNPAKNGGLISSLANPETMPRQVPVGG >EOY08544 pep chromosome:Theobroma_cacao_20110822:5:10401259:10405375:1 gene:TCM_023100 transcript:EOY08544 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative isoform 3 MSPKEPGNLTGRNSKNNKVIKDKSNLRSAVPLSRDQRAVLSQSLSFPARRVHGDGLMKSIDGYPEKVDLKHGQEEGTKVQASSNGSLSSLSRLNHPNSRGSIKLDSKPANTNGGGVTARRTTLASLPSNRQALPAKSVPGNVAAKSPSSSESADSKPVTAALLSKEDDDAHSTTSATSRSTRRSSGSGFTFRLEERAEKRKEFFSKLEEKIHAKEVERNNLQAKSKENQEAEIKQLRKSLAFKATPMPSFYKEPPPKVELKKIPTTRAKSPKLGRHKSSVSATNNPSEGDGSSVSPSLNQEQNFSTRRTQTNGNEDNVASKKAVKKSQPKLQSKEITKAEEKPGKSKPRTRRVENTVQDACVGKPEEHQNHPVNLPQLEDAVGVADGTNPAKNGGLISSLANPETMPRQVPVGG >EOY08800 pep chromosome:Theobroma_cacao_20110822:5:20578558:20580940:1 gene:TCM_023973 transcript:EOY08800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTTRVSVLVNGNPTRQFGMKRRLRQDCPLSPFLFNITVEVLSNMIKRAKQMGICEEIRIGTQELKISHLQFVDDIVGKVPTTCLGLLLRVNHNSMAFWNSIIEKMEGKLLLEMVKISTFGLTSGLKMALWLKSFRGFLRWPTTKIEELQIMEDMRRMCGDGIFLEKESFRLEVAQWDQLGNLLKDIQISKNLKDEMVWKSETNDLYSLKSFYKRLMACIEKRDNVWKNVWVGLAPHRIEAFVWQLLHEKIKVKDKLVGKGLLQDN >EOY09192 pep chromosome:Theobroma_cacao_20110822:5:27042318:27043195:1 gene:TCM_024592 transcript:EOY09192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWYQRLSKYLIKEGYKNDLVCPCMCIKKSYTRFVMIIVYVDDMNLIGTSEELSKIAKYLKREFEVKDLGKTKLCLSLKLKHKTNGILVHQSAYIDRWLICFNMDKTHPLSTPMVVRSLNPQKDLFHPKKPNKEIFDPKVPQLNAIRALMYLTQRTRSDIAFAINLLACCSFEPT >EOY10541 pep chromosome:Theobroma_cacao_20110822:5:35241766:35260822:1 gene:TCM_025871 transcript:EOY10541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeic acid 3-O-methyltransferase 1 MSSKLDNQNITANEEEEAFHQAMQLAMSTILPMVLKAAIDLDLLEIIAKAGPAGCKLSPIEIASHLPTKNPDASSIIDRILRVLASHSILTCDLATNEDGHVQRLYGLAPIAKYFLHNDDGISLIPTLTISTDKYLLGAWYHLREATLEGGAIPLVKAYGMDLFELAAKNDEISGKFNNTMGNQTAIIMKKVLEIYKGFEGINQLVDVGGGLGINLKLIVSKYPQIKGINFDLPHVVKDAPHFLGVDHVGGDMFIEVPQGEVIFMKWILHDWGDDRCLKLLKNCYNALPKFGKVVVVELVVPESPMTDIVTKNTLTLDAGLFIVVPGAKERTKEEYEALAKKAGFSTFRLVCRAYSYWVMEFHKNVIV >EOY10147 pep chromosome:Theobroma_cacao_20110822:5:33185578:33190723:-1 gene:TCM_025519 transcript:EOY10147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSAKSKSALSETPSKASPATPRVASKVSRGLAKSEPDSPSPLQTTRHSVERSPRSSLNSKPTIDRRSPKVATPPEKPQTRVGKGSELQAQLNAVQEDLKKAKEQISLIEKEKAQAIDELKEAQKAAEEANEKLREALVAQKRAEESSEIEKFRAVELEQAGIEAAQKKDEEWEKEIESVRNQHALDVAALLSTTQELQRVKQELAMTCDAKNQALSHADDATKIAEIHAEKVEILSAELVRLKSLLDSKRETEANENKEVLRLKAEIESLKQELEKAKTHEEKLMMEKEAFIEQLNVDLEAARMAESYAHNVVEEWKSRVEELEMQIEEAKKLERSASESLDSVMKQLESNNYSLHDAESEIAALKEKVGLLEMTIGRQRGDLEESEHHIKLAKEETAEVAKLVESLKSDLETVKEEKTQALNNEKLAASSVQTLLEEKNKLINELENSRDEEEKSKKAMESLASALHEVSAEAREAKEKLLSSETEHENYETQIEDLRLVLKATNEKYETMLDDAKNGIDLLTNTIEQSKNEYQNSKTEWEQKELHLVNCVKESEEENSSLEKEINRLVNLLKQTEEEACASKEEEAQLKESLKEVESEVIYLQEALKEVKTESMKLKESLLDKETELQGVIQENEELRAREAASLKKMEELSKLLEEATMKRQSEENGELTDSEKDYDLLPKVVEFSEENGHGSEEKPKLELPSEQPEEPKKENSLEVNDVSKDEALQTDGAKVENVNGKLKEDESKGKEDDSVEVEFKMWESCKIEKKEFSPEREPEQEFFEEEVESKVVGSEGFDQINGLTESIDDGGNSPSKQQQQKKKKPLLRKFGSLLKKKGSSNHK >EOY10336 pep chromosome:Theobroma_cacao_20110822:5:34243271:34246700:1 gene:TCM_025709 transcript:EOY10336 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP F-box 1, putative METIQCSKRPKNGSFDDSDNETLLPGLPDDLAQLCLSSLFPSLLFSVCHSWRRLLYSPSFPPFFSLYALLSPLQNPTTISHEEVAHRNSIEFFSFDPLSAAWRPLPSPPQNPPLHLLHRHPSFLSRKLPIQSLTVSNHLVLIAATTHNFSPALSSPLLFHPESNRWFYGPQISTPRRWCATGAVRGVAYMASGVVGSYYRGDVARSMEQWDLNQKSESWGWENKAQLKDGRFSREAVDAVGYRGKLCMVNVKGNAVKEGAVYNVELDKWEEMPCGMVAGWNGPAATMDEDVIYVIDEVKGSLSKYDDEKDCWVALIELEQLKRAEQIAAGRGKICAVSANGEKIIVVDVGEERAAARFWEVAPPPGFEVVAAHVLPRITRQQ >EOY11779 pep chromosome:Theobroma_cacao_20110822:5:40295542:40298543:-1 gene:TCM_026850 transcript:EOY11779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTFQRDHRSFQFRLPLIKDAGGPQWPGKENGAMSTWTLADPVRDTALSKWTPSFLGHLSPLALSASQPQVHAQLQPTVTGSLPPCNLAHDQTNDLTIATCTDIFRRCESYIGLARRASRCIEPR >EOY08774 pep chromosome:Theobroma_cacao_20110822:5:19873953:19898549:-1 gene:TCM_023910 transcript:EOY08774 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein, putative isoform 1 MEDDLQNQNPVPHVLLHEQEIATQRIIQAQSQRDAAAGPPSKDGTDVFSERPDPNALKEHLLKMTAEHRAEMASKRGKPTPPEQGDIEIGNGYGVPGGGAYYNASGPNIAAPDKKAGYDFMPSNISRNLGLVNNDVSQKNSELCGGSQIKAASKDLPEYLKQKLRARGILKDGAAKDDLSRSDNNLKTSSPQLMAIEKLPPGWVVEAKDPSTGASYYYNDGTGKSQWERPVETSLSAQVPFATQLVGDWVEAVDETTGHKYFYNTRTNISQWECPDLSQPVALEHPGSRFSENTVYGNLASQSPNLDKCIGCGGWGVGLVQVWGYCNHCTRVLNLPQSQYLSTITDQQQSANTKDRYENKAPKQRSNGKSLPGKGNRKDKRKHAYNDDDELDPMDPSSYSDAPRGGWDCWVERSTATSC >EOY08772 pep chromosome:Theobroma_cacao_20110822:5:19873632:19898549:-1 gene:TCM_023910 transcript:EOY08772 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein, putative isoform 1 MEDDLQNQNPVPHVLLHEQEIATQRIIQAQSQRDAAAGPPSKDGTDVFSERPDPNALKEHLLKMTAEHRAEMASKRGKPTPPEQGDIEIGNGYGVPGGGAYYNASGPNIAAPDKKAGYDFMPSNISRNLGLVNNDVSQKNSELCGGSQIKAASKDLPEYLKQKLRARGILKDGAAKDDLSRSDNNLKTSSPQLMAIEKLPPGWVEAKDPSTGASYYYNDGTGKSQWERPVETSLSAQVPFATQLVGDWVEAVDETTGHKYFYNTRTNISQWECPDLSQPVALEHPGSRFSENTVYGNLASQSPNLDKCIGCGGWGVGLVQVWGYCNHCTRVLNLPQSQYLSTITDQQQSANTKDRYENKAPKQRSNGKSLPGKGNRKDKRKHAYNDDDELDPMDPSSYSDAPRGGWIVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQTKKSSSYWTPLSKKGDGSDGLGDAD >EOY08773 pep chromosome:Theobroma_cacao_20110822:5:19874623:19898167:-1 gene:TCM_023910 transcript:EOY08773 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein, putative isoform 1 MISFMCYNKFNFRDAAAGPPSKDGTDVFSERPDPNALKEHLLKMTAEHRAEMASKRGKPTPPEQGDIEIGNGYGVPGGGAYYNASGPNIAAPDKKAGYDFMPSNISRNLGLVNNDVSQKNSELCGGSQIKAASKDLPEYLKQKLRARGILKDGAAKDDLSRSDNNLKTSSPQLMAIEKLPPGWVEAKDPSTGASYYYNDGTGKSQWERPVETSLSAQVPFATQLVGDWVEAVDETTGHKYFYNTRTNISQWECPDLSQPVALEHPGSRFSENTVYGNLASQSPNLDKCIGCGGWGVGLVQVWGYCNHCTRVLNLPQSQYLSTITDQQQSANTKDRYENKAPKQRSNGKSLPGKGNRKDKRKHAYNDDDELDPMDPSSYSDAPRGGWIVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQTKKSSSYWTPLSKKGDGSDGLGDAD >EOY07669 pep chromosome:Theobroma_cacao_20110822:5:2379005:2382190:-1 gene:TCM_022048 transcript:EOY07669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nfrkb-like protein MRKQIEMSMIAKKRIRVESVPEADDIVNDGEIPDFSNQARLSLALNPNKRGKQVRVSKLKDIGSNVISTRKLGIQSPSIQSGIKRKYLEIDGSMRELSCKEHEAQFCNISRTANRARMGPRSSDGGMEIAERRNPTQRDIEAALALMQLSNDISGLLKTKMQSPSSKEDCLIQRNQNIRKVGGGSTWLQSEYINDDAVEQHNLYKRYQKFGTKEVSLLKRRKAADRTQMGTKVEHDYHRIKAEKDLEEGELPISSLKRKKLPKSSLEKCGLKKVQAEPGLFEGSTACSSFTVSAVGNSTVPEPKPFKKLQFNSICPTAHKGFSFSIIHFLSAIRIAMITPVAKGDPLAFSKYAAKSNPKSVNRSHERKGMSNSQGEQINLPCLTMHEIVERVRWNPGDPCILEAQEPLQDLVRGALKLFSSTTAPPGAKAWKALTLYSKSNKSWSWIGPVAIKPHNNMKETVSSEAWGLPRSTLLKLTNSFADWLKIAQESLQKIRNLPEPPLTLMHQTVNMKERLREVRPRKIVATISRCPEEIRDYFRKEEAVRYSVPERAFSYTALDGRKSAVAPVRRCSGKPSLKCREHFMLKADRPPNITVLTLVRDAAARLPAGMGTRADVCVLIRDSQYIVEDISEEQLSQVVSGALDRLHCEHDPCVQFNRERRLWFYLHGDREEDDFEHDATSSIKKRRRQREIP >EOY09768 pep chromosome:Theobroma_cacao_20110822:5:30955764:30956591:-1 gene:TCM_025150 transcript:EOY09768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSKLNNKDEPFKMMNMWRVSRWLGVETKKWTLVKGGMTKTRRMKEETMIENKRKLRLSFSHARIAENKII >EOY10159 pep chromosome:Theobroma_cacao_20110822:5:33260274:33273481:-1 gene:TCM_025533 transcript:EOY10159 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MAETFAFNIVEKLIEKLATVAYQEISLAWGVQTDFQRLNDILTTVKDVLLDAEENQARNNQLRNWLQKLKDACYDAEDVLDEFQIEAWRRQVLKQRNIGKKVRNFFSSSNPVAFRFRMAHKIKKVTERFGEIAALKANFHLAERHYGTRHLVMGLDRETHSFVQAADIIGRDEDKKKIIKTLMQDPTDGEDVSVLPIVGIGGLGKTALAKLVYNDECVDRHFELKMWVCVSDDFDLKRLMIKIIKAAKGLDGNWSNMDLNQLQKVFRDCLNEKKYLLILDDLWNEDSIKWDELKQLLVQGAKGSKIIVTTRSNQVAEIIGTISTHNLQGLPEKESLCLFLQFASEKGEINQYQNLVKIGEEIVKKCNGVPLVLKTLGSLLLSKTSEDHWEFVRDSEMWKLVQEEKSIFPVLKLSYDQLPPYLKPCFAYLSVFPKDYEFDRMGLIHFWMAHDLLHSFNENEDAEDIVAKNECSTVNCFKQIIAPGIRHLCLDNLDFLEEQSSGFLDVDKLCHLRTFRLENIKEGSNSESFIKNVFQGIEELPKNMRYMINLRMLAISTKQKSLSKYGLENLRSLRQLMIADCDNLEYLFDGIQNLKSLHALTITGCKNLISLPQGFEALIALKVLIIWECEKLHLNMTLGSEGRGKEDDSQDYHIGSRLRLQELAIRGVPKLEVLPQWLLVESANTLRLLVLDECENLTKFQERQNLTSLEVLEITDCPNLSSLPERMQCLKRLDIESCPILSERYKPENGEDWAKISHASSIFIDGNEITSNK >EOY10027 pep chromosome:Theobroma_cacao_20110822:5:32353214:32360098:-1 gene:TCM_025399 transcript:EOY10027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGTSQNGNILLKGLKRITLWAKVKWPYKYDKLNDVIMGSSMAKSGLVLKRDNQCPEWKSSSNGFLKFNIDEVVGKDIGRAGIGGVLRDKERVIRIRFSRVWVSDLEKPPWKLRKEIISLEKCKRLIGRWTAPKIPRKSNGKADELTKADGNKIDNFFMISNQGVKYAKKEL >EOY11211 pep chromosome:Theobroma_cacao_20110822:5:38359746:38364794:1 gene:TCM_026457 transcript:EOY11211 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MMGRNLSPILRRELANLDKDADSRKSAMKALKSYVRDLDSKAIPVFLAQVSETKETGSVSGEYTISLYEVLARVHGVKIVPQIDSIMSTIIKTLASSAGSFPLQQACSKVVPAIARYGIDPTTPEDKKRHIIHSLCKPLTESLLGSQESLSSGAALCLKALVESDNWRFASDEMVNKVCQNVAAALEEKSTQTNAHMGLVMALAKQNALIVEAYARLLIKSGLRISNAGLAEGNSQKRFSAIQMINFLMKWLDPRSMFSEVELIMEEMEKCQSDQMAYVKGAAYEALQTAKKIAQEEGSKLENSCGSVTGSNYGRRDNSRRRNLVTNGDRSPATASPESQTLDSFMESDSLIESPVSMTQISRNMEYDQRSVNRKLWRYENGGVDVSLKDGLFSAVARGSSICDSPFDHHELSNHGSEYTEEFAGFLQRSPRNRLPRSATPSPQRSRSRINVDNLFTTPRKLIRSLQDPNDLNSDYSEKQARRFRSPSSEKFGWSPMANPNGFRRGMIYEVKGNGHLYTDGDEFQGVSESVSSTDDSPADIDVQASCEAVSKNKTETQDFQNEKARKKTVFKMLFGLFFIILAVLTSFLWTEVQDEGFQVVPT >EOY10456 pep chromosome:Theobroma_cacao_20110822:5:34899449:34902088:-1 gene:TCM_025816 transcript:EOY10456 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein isoform 2 MESAMKISSSIIFPINSTPKSNNYNKISYGFRYNGSNSIFKICAVYSNGSVSSSASYGAESRAVGDAHRRRSSLESLFCYDKPIPEERIEEPVGVSLAEKIVGDNLRCTDCQAKGAVLCTTCSGSGLYVDSILESQGIIVKVRCLGHFVLGGSRALLLCHVVEEVAILCAQNAVAEVI >EOY10455 pep chromosome:Theobroma_cacao_20110822:5:34899424:34902007:-1 gene:TCM_025816 transcript:EOY10455 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein isoform 2 MESAMKISSSIIFPINSTPKSNNYNKISYGFRYNGSNSIFKICAVYSNGSVSSSASYGAESRAVGDAHRRRSSLESLFCYDKPIPEERIEEPVGVSLAEKIVGDNLRCTDCQAKGAVLCTTCSGSGLYVDSILESQGIIVKVRCLGCGGSGNIMCSECGGRGHLGPK >EOY10652 pep chromosome:Theobroma_cacao_20110822:5:35752573:35754281:1 gene:TCM_025963 transcript:EOY10652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVEEAEDQDLHLSLKTFSVSWSDALTDLPRLLLEGSASTLQSIKIGECENIEVLPEWLQNLTSLQKLEISYCPNLSSPPEGMDRLTALTQLKIKGCPTLSRRWRPVGGRPVKELPIGIKEQQADLVMDKSTTACTLWKLSLCLLQSREPCIHVRDCDFN >EOY09180 pep chromosome:Theobroma_cacao_20110822:5:26887701:26889222:1 gene:TCM_024577 transcript:EOY09180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLKAFRISCIFGIRVNFPTMKYLKGYARAFISSSKKDTIGRSLYQNGSRFAGIIGGLSPAATLKNDFFCVRKKTNGWFGKSMFFKPPLTNDCKSLVCVALCVVPHYMGKGKPLYWDGMGRSDGLPVAILSVLGSKGKC >EOY11554 pep chromosome:Theobroma_cacao_20110822:5:39593653:39594724:-1 gene:TCM_026692 transcript:EOY11554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQAKTQEMGWHHSQPMLFGAQGGVYSSKGPNLVQVLSPEPISIQAIHLSSSYPFSIFLESFVILLVATISRGSHVRMKIRECSAKCRTHPNLYLFKGKRESKKGGTRRDQKGGTCRDQDHYTPKKVHASDEDNTQKVYASDEDNTDEVVADPAIDTKASTFIAKFHTASLVSEAIHPSTQAEYTYHPSYEGH >EOY10550 pep chromosome:Theobroma_cacao_20110822:5:35301557:35302978:1 gene:TCM_025885 transcript:EOY10550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNEGSVEPSYDEFEPYCKWKKEKKNCISKATDIVEIQLCGFKKEEVKVELEKDVLCISGERPVGRSLQRFLKKIDVSKYDTEDLGAEFEGGILRIRLPMKCSAICFRLGGDNETLGILFSWPQIKTTIWAVAAALLLLLLAFYMYKYSECTNFRNWFE >EOY10300 pep chromosome:Theobroma_cacao_20110822:5:34044546:34045642:-1 gene:TCM_025671 transcript:EOY10300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein A MTRKKMKLSYITKDSARKATFRKRKKGLLKKASELSTLCGIEASVIIYSPYDAQPEVWPSPAGAQRVLSEFKNMPKMDQSMGMMSQKSFLQQRIELANKQLKRQWRDNREKEITQVMFQCLAGQGLENLNMMDLNDLGWLLEQNLKDIDRRISMFPQASQSQGSVATASATMTTPEAMLKSGEKAQVKSPERGVSPETEQRQQLIKDLMHSPEDMGLDSVLSFGDNNPIAFFP >EOY10863 pep chromosome:Theobroma_cacao_20110822:5:36857874:36858410:1 gene:TCM_026159 transcript:EOY10863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQIEYFDHPHPLSFNEAIEQNRNLLCNAYSLEISTQACACKNCKYCLHKTCTTLPYEVPHLSHPSILSSSSRMDVSHSHVMNAETILMGLFTSAIHVISILT >EOY11093 pep chromosome:Theobroma_cacao_20110822:5:37905962:37909212:1 gene:TCM_026367 transcript:EOY11093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKPNWHRIGLTLSLSPTNKIHEMAYSSFSFSLDDQSCCIGLLWWISTCCGPIIVGGALKMDAFGALASPEEENLITMPPTLGRSKPLISTFMGKNLIGQALSQLVVLLTLFNTFVLCQETELDPMGHLYIEIAALS >EOY08803 pep chromosome:Theobroma_cacao_20110822:5:20858576:20861532:1 gene:TCM_023988 transcript:EOY08803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTPKKVKKARTSGNGFDRFKFVLTDALEHHTQALLQKSLVSEKGITIPNLLYENSLCTIRDFKWETLCAQPKVAAMPAVREFYANAYE >EOY07880 pep chromosome:Theobroma_cacao_20110822:5:3113217:3115501:1 gene:TCM_022200 transcript:EOY07880 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MSPGHLVPMIDMARLVATHGSKATVITTPRNISRFQTILNGDHQSGNLQINLLTLDFHFSAADLFETSENLDTLSSRHLSYNFSKAIMTLQPQADDLVSQYKPDAIISDQNIPWTAEIAQNYVIPGLVFHGTCCLNLSLLNGCS >EOY11773 pep chromosome:Theobroma_cacao_20110822:5:40257441:40267663:-1 gene:TCM_026842 transcript:EOY11773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein A MDGGACVTTRDFSPKQTVGCACTNNPLAAHVYVAMTRKKVKLAYITNDSARKATFKKRKKGLLKKVNELSTLCGIEACAIIYSPYDAQPEVWPSPAGAQRVLSDFKKMPEMEQSKKMVSQESFLRQRIAKANEQLKRQCRDNREKEITQVMFQCLVGKGLQNLNMMDLNDLGWLLEQNLKDIDKRIDTLNKASHSRGSATASSGTTMATLDARLKNGEKVQAESSDREVSMETAQRQQWIKDLMHPPEHVGLGSVLPFGDNNPTALWSNAFFP >EOY07706 pep chromosome:Theobroma_cacao_20110822:5:2466195:2467436:1 gene:TCM_022067 transcript:EOY07706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLEFSAWPAQLILYGLLILVDLSIVGSPLTAETPLHPIQKTKRIKPLNLNGKSETWEAPRGDYTSESIDLAWSLGFDDEVIIESGSLSFVNLI >EOY11140 pep chromosome:Theobroma_cacao_20110822:5:38111988:38114325:1 gene:TCM_026406 transcript:EOY11140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein isoform 2 MEEAKVLEAKDGTISVASAFPGHQEAVQDRDHKFLTQAVEEAYKGVECKDGGPFGAVVVRNDEVVVSCHNMVLKNTDPTAHAEVTAIREACKKLNQIELSDCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANLEIKKADGTGAIIAEQVFEKTKEKFTLY >EOY11141 pep chromosome:Theobroma_cacao_20110822:5:38113026:38114153:1 gene:TCM_026406 transcript:EOY11141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein isoform 2 AVQDRDHKFLTQAVEEAYKGVECKDGGPFGAVVVRNDEVVVSCHNMVLKNTDPTAHAEVTAIREVRPKKLIKIELSDCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANLEIKKADGTGAIIAEQVFEKTKEKF >EOY11020 pep chromosome:Theobroma_cacao_20110822:5:37510152:37518593:-1 gene:TCM_026286 transcript:EOY11020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLYKVGGVTPDYVTIKDWFLRHFRHSKRLSMAYSTVASQELPPKATPFPLLLRNPDSTLCKESIIGRCINDVFMKVKCLVLYRKSQHNPTPIDGYFLPTGNALPFLHHDKHSGYIELSQIYNFTTHFDDLSPQTTNPVEGGRTATGNALFNEARLDQSKGRGFPHASDSASSNPFNITVHNIHRKVSRQERNGSALRWGGHQSIDGRRVTVKKVERWRGSLVEGCKVASQQEKRVAKHNELPSRKETPHGVRLRNEKLVTERGELKGCKHVFVITTISDEELEWTKHNAVTLLPIDIAGVDREVRLWVKLKEIPGKLWHINTFKAMAECWGEFLGMDKNLEVYTTGKKILEMEAVQPSGPDILKELSGSDKEVEAEPSFRPCASTGVNGSRNKGSADKDKRLQNGNKRGDKREETFVLCKHNSERSRGGKTGDIDNSEEMIIPFPAPKGVDKAHSHEERELEIRGLQECCKTLKSFLQETPPGMPDREKSGGFQVVRLNLWPLPEDGKSTRRRSCDEKGNALRKVSTTSQKSSKSSRRRRRIKEYLEEDNGARDVEQENNDEGSISYSTFDLEIRSRRWRASTNKINKKGESGLSVPVEAHAS >EOY08835 pep chromosome:Theobroma_cacao_20110822:5:21993283:21997135:1 gene:TCM_024076 transcript:EOY08835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSISLIRFLHFLKIIVITCPRCKECWEWARVYLNYCFCSARDERSFTLGLINIISWSVAKIPHIITNYKEKSVEGLSLSFLITWIVGWVFLLFYHRLFLLLYYLPKGFYHHSICKYLFNVFGCILESATVDGGNDYNGNEKGNKRLEAGPDTPFCKNHNLLLLRHILRSLSLFIYESAPHLSVSSFRSQFPGIGGSQFSQFIVLPWIRTSGSTNIR >EOY11174 pep chromosome:Theobroma_cacao_20110822:5:38227612:38227966:1 gene:TCM_026432 transcript:EOY11174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFIVECSLTSTLICTKALLRNRRSSVQITKSHPIVSISAVFPATIFPFAVPHFVAVFCFCLWHTFSICTSSKHTALIK >EOY08625 pep chromosome:Theobroma_cacao_20110822:5:14083875:14085066:-1 gene:TCM_023445 transcript:EOY08625 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein, putative MQVLKENNKRMMETITQFASSTTITFQPPPMPIENVTNVVKNNENGRNGESTIDPFLNTTNPSIVANPIMVAAKPYPKDYISSKFKQFNGKTGDTLEHVMKLVETLGVIGLDNNLTLKEFSKSLTEKAYTWYVNLTLNLVDS >EOY11074 pep chromosome:Theobroma_cacao_20110822:5:37813447:37817048:-1 gene:TCM_026347 transcript:EOY11074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial sec-independent translocation protein mttA/Hcf106 MEITSITLSLSRPLPPSLPFSSAHSNFMTCSNSISLLNKTKSQNKSVFVVGQPRRRTRAEPAKRGLTCNALFGLGVPELVVIAGVAALVFGPKKLPEVGRSIGKTVKSFQQAAKEFESELKKSPESPTEPSEENPTAVIEENKQDVEVSKESV >EOY11807 pep chromosome:Theobroma_cacao_20110822:5:40424345:40430279:-1 gene:TCM_026870 transcript:EOY11807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDSLPIYLNIVAFLCTAGAIALAILHIYRHLLNYTEPIFQRYIVRIIFMVPVYALMSFLSLVLPQSSIYFNSIREVYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRVLKPSWYLMTCCFPPMPLDGRFIRRCKQGCLQFVILKPILVAVTLILYARGKYKDGNFSPRQAYLYLTIIYTISYTMALYALVLFYMACKDLLQPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGFIKDAEAAAQFQNFIICVEMLIAAVGHLYAFPYKEYAGANIGGSRGLTGSLAHALKLNDFYHDTVHQFAPTYHDYVLYNHNDGDEGTRKYRSRTFVPTGPEMDAVRRNKLMFGNKLDDIQLSSLSSSGTSTPQNPGSVPDSARGDAIKSSLLVDPSNSYTVPYDMSLIDMDLSNYPQKVPAAKETETR >EOY11777 pep chromosome:Theobroma_cacao_20110822:5:40290911:40293980:1 gene:TCM_026848 transcript:EOY11777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat superfamily protein MRPMPFLQKCQTGGTAAPSLLTSKLFPSHTVTHLNNLLNTTARTKSLRHAAQIHSQFVTNSFLSVPFLFNNLLSLYAKSGHISHSLLLFSTAHRVPKGVVSWTTLISHLSRFNTPFEALTLFNHMRSNGVYPNHYTFSAVLPACASTTILLHGQQMHCLISKHGYDTDVFVGSALADMYTKCHNMGLAEKVFVALPERNLVSWNSMIVGCLLNSLHDKALLLFREVIREDFVSPDQVSFSSVLSASANMGALEFGKQIHGMIVKHGLLALSYVKNSLMDMYFKCSLFDEGALLFNTVGARDVITWNVMSMGCVYNENFEEACNYFWVMRRAGISPDEASCSTALHASAHLAALGQGTLIHNQIIKTGFSKNTCIASSLITMYAKCGSLDDARRVFEEIKNRNVVCWTAMIAACQQHGNGNQVIDLFEKMLADGLKPDYITFVCVLSACSHTGRVEEGYAYFNSMEKVHGISPGHEHYACMVDLLGRAGQLDEAKKFIAQMRIKPDSSVWGALLGGCANYGNLELGIEVAGRLFELEPNNPGNYVLLSNMYAHKGKLREADQVRRLMGINRVRKEPGCSWIDVKNKTFVFTVHDKSHSRMDEIYEMLKKVEELVKDKGYVPQKQYAVNSADEDKEQSLWYHSEKIAFAFGLLALPAGAPIRIKKNLRTCGDCHMVMKFASEVFKREIILRDINRFHHFRNGLCSCSDYW >EOY11719 pep chromosome:Theobroma_cacao_20110822:5:40128819:40136353:1 gene:TCM_026805 transcript:EOY11719 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein MASPLSLSLLCHHPIFFFCFSLLFSTLLLPSFPHAVASQCKNRPIIFNFGDSNSDTGGLASGLGFPINLPNGRSFFRRPTGRLSDGRLLIDFLCQSLNASYLSPYLDSLGGSKFTNGANFAVVGSSTLPKYVPFSLNIQVMQFLHFKARSLELVNAGSRNLINEEGFRNALYIIDIGQNDIADSFDKNLSYVQVTKRIPSIITEIKNAVKTLYNQGGRKFWIHNTGPLGCLPQKLWLVQKKDLDPHGCLSSYNSAALLFNEVLRRLCQELRSELADSTIVYVDIYAIKYDLIANSSKYGFSSPLMACCGSGGPPYNYNIRVTCGQPGYQVCNEGSRFLNWDGIHYTEAANSIIASRVLSTAYSSPRTTFDFFCRS >EOY07891 pep chromosome:Theobroma_cacao_20110822:5:3156428:3162883:-1 gene:TCM_022208 transcript:EOY07891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase, putative isoform 1 MVRFDSTIFLGEKLDWSSQSALRALYTIKFLHESGAKVILVSAWSTKINPKLLAAEVVADILSSVLRLKVAALRCISCNMPLERKDLQKADILLLENLSNYKEEAANCSKFAELLSSEVDIFVNDSFFQSHKILASTVGVARFCYASMAGFSFDESLCQLKKTATTNKKPYIAIIGGGNLNNKAAALQFLASRCDALVFVGLMSFQIIHALGHSVPTNLVELEAQKAALDIVQFAHNKNVLILYPKDFWCVNQHLPKQLEVLPAQGILDGWVPVDLGPSSLDEINSLVTNSKKIIWIGPVKFRSSSPYTGGTSKLAQMLYKQCQCECEITIVGSTACEVIKNESSSVSSFNMLENASAVWEFLKGQKLPGVMALDRAYPFEIDWNVAYCDPSRPLVVDIGSGNGLFIMGMTRKRKDLNFLGLEINGKLVKRCLDSVHQSGITNGYFIETNATSTFHSIVSSYPGELVLLSIECPNPDFNKPEHRWRMMQRSLIEAVADLLASKGKVFLQSDVEAVAMRLKDEFLKYGKGKFHVMHDRYDLVINGNTWLKENPFGIHSDWEQHVIDRGAPMYRLMLSKATGLE >EOY07890 pep chromosome:Theobroma_cacao_20110822:5:3156502:3163011:-1 gene:TCM_022208 transcript:EOY07890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase, putative isoform 1 MLKEITFENASLCDGVELEALPHVQTLREFPREELFAKVVMVRFDSTIFLGEKLDWSSQSALRALYTIKFLHESGAKVILVSAWSTKINPKLLAAEVVADILSSVLRLKVAALRCISCNMPLERKDLQKADILLLENLSNYKEEAANCSKFAELLSSEVDIFVNDSFFQSHKILASTVGVARFCYASMAGFSFDESLCQLKKTATTNKKPYIAIIGGGNLNNKAAALQFLASRCDALVFVGLMSFQIIHALGHSVPTNLVELEAQKAALDIVQFAHNKNVLILYPKDFWCVNQHLPKQLEVLPAQGILDGWVPVDLGPSSLDEINSLVTNSKVKRKLISRYHNMTCYFWLNRDLPSFEIVGTGFILRVYYMSMWKIIWIGPVKFRSSSPYTGGTSKLAQMLYKQCQCECEITIVGSTACEVIKNESSSVSSFNMLENASAVWEFLKGQKLPGVMALDRVYRLLCSVFTSPLCLIAYPFEIDWNVAYCDPSRPLVVDIGSGNGLFIMGMTRKRKDLNFLGLEINGKLVKRCLDSVHQSGITNGYFIETNATSTFHSIVSSYPGELVLLSIECPNPDFNKPEHRWRMMQRSLIEAVADLLASKGKVFLQSDVEAVAMRLKDEFLKYGKGKFHVMHDRYDLVINGNTWLKENPFGIHSDWEQHVIDRGAPMYRLMLSKATGLE >EOY08491 pep chromosome:Theobroma_cacao_20110822:5:9274044:9274997:-1 gene:TCM_022965 transcript:EOY08491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVEVSLQKNLTPTIVDDKTRKKVKLREESCSDLSGDGSFVMHDNLSFKEVLMLSRDDVLFSEDNICPDEEIENVNREADSSETEENPDDFRYGVVDLDDESYLVKFMEESDYLRVLLDGPWMVPGHYLMVNPWTPTYMRGSNDLSDLSVVAAWVRFLEMPLHYYHKSIIR >EOY08535 pep chromosome:Theobroma_cacao_20110822:5:10326922:10337790:1 gene:TCM_023090 transcript:EOY08535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint serine/threonine-protein kinase BUB1, putative isoform 2 MPMFILRLGGLESSGLFILGCWDFSFLILSGFFVQFSLENNLWFDFLRASYVNMERKRVISQYRERLDKTLASAELTNSETLKTLVKNQILRHAQHEKEEFSETLLDKRAQEVSNFLDMLRSTSIDDHQVSKSSETSHGEWKLKHDNEEFRVMYREGPHGTPFHTLLVEGYVDGPLDVCLCISWESALYKKWWPQSSFPSFKVTSSTCLQKVQIGEQISLVRVKVAWPLSAREALVHYFFFEYFQDDLIVILVNTISDVSSIDKATHGFTNEGIPEAKDVVRIDLVGGFALQKVTNERSYFRTIANMDMKLDFVPPSLINFISRQLVGNGFRLYQKTVASVSNYDEDYCKALGEPLYTLIHEALYSSNASGEVLEGQERKSEAHLVPNEYLIEGIQDDTHDIKRKVHVNDHAGETPLGKAQDTKRKAFGEIEEEESEESTCLEEGVEAVNQPSTYEFADTNGVNAKKRIYIRPEVEEALGTLEKAISIVRQYGFNAQSRSSSFSDEEPPTLEEGAVEDLAYAADGKVCLKVQVGVEAASIKVAERTLHDSRNSSDINNTRSAGSNSFSREVNHNKVAPATPQQNVSIPVVTNQVALNSIKANGFHENGVHDVKKSTNWRKHRFCCFGFHSG >EOY08536 pep chromosome:Theobroma_cacao_20110822:5:10334093:10337854:1 gene:TCM_023090 transcript:EOY08536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint serine/threonine-protein kinase BUB1, putative isoform 2 MMCPGHPGCVGLYVHKHVEAVSCVKPCLLSTFSWCWVLFLFLIFSLLVGAGGYWVHNMQIVYVFSNNFLILFPRWPQSSFPSFKVTSSTCLQKVQIGEQISLVRVKVAWPLSAREALVHYFFFEYFQDDLIVILVNTISDVSSIDKATHGFTNEGIPEAKDVVRIDLVGGFALQKVTNERSYFRTIANMDMKLDFVPPSLINFISRQLVGNGFRLYQKTVASVSNYDEDYCKALGEPLYTLIHEALYSSNASGEVLEGQERKSEAHLVPNEYLIEGIQDDTHDIKRKVHVNDHAGETPLGKAQDTKRKAFGEIEEEESEESTCLEEGVEAVNQPSTYEFADTNGVNAKKRIYIRPEVEEALGTLEKAISIVRQYGFNAQSRSSSFSDEEPPTLEEGAVEDLAYAADGKVCLKVQVGVEAASIKVAERTLHDSRNSSDINNTRSAGSNSFSREVNHNKVAPATPQQNVSIPVVTNQVALNSIKANGFHENGVHDVKKSTNWRKHRFCCFGFHSG >EOY10290 pep chromosome:Theobroma_cacao_20110822:5:33985307:33999951:1 gene:TCM_025661 transcript:EOY10290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Benzoyl coenzyme A: Benzyl alcohol benzoyl transferase MATPSLVFAVHRQEPELIAPAKPTPHEYKLLSDIDDQEGLRFQIPVIQFYQYSPSMQGKDPARVIREALAQTLVFYYPFAGRLREGPQRKLMVDCTGEGVMFIEADADVTLEQFGDALQPPFPCLEDLLHDVPGSAGVLNCPLLLIQVTRLRCGGFIFALRLNHSMSDAAGLTQFMSAVGEMARGWLAPSIRPVWKRHLLGARDPPRVTCKHREYEEVEGTIVPFDEMAHRSFFFGSAELLALRKLVPLHLRKCSRFELLTACLWRCRTIAIQANLEEEVRIICIVNSRSKFNPPLPSGYYGNAFAFPVAVAKAGKLRQNPLGYALELVKQAKADVTEEYMKSLADLMVIRGRPHFTVVRSYLVSDVTRAGFGDVDFGWGKAVYGGPAKGGVGAIPGLASFLIPSKNKKGEDGIVLPITLPPPAMEIFVKELDGMLKEKPTGIESQSVFISSSL >EOY10700 pep chromosome:Theobroma_cacao_20110822:5:35988426:36002971:1 gene:TCM_026003 transcript:EOY10700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVPNVLEAVGVELARTKAENRRLAMRVRAKKLSVKWKKKELRRIRRVNQLLIAENKRMQELVDDFLLTAEVDNDHVRQMNEAIQGMNDLNLHGNYNITTVNQVQPCCSSGNAADGDNNGYNRGGGDGNNGGGHHE >EOY08662 pep chromosome:Theobroma_cacao_20110822:5:16883335:16887601:1 gene:TCM_023639 transcript:EOY08662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRFLLESVRDAKAQEFETLVQAPRMIVSNYDIQFTQLSRYAPYLVQTERERIKRFIKGLHRPIYRILVSQRFTSYPEVVDAARKIEAGHTEVRVERERSKKNQGEGSSKYRDPSRGEDVNIAGQQGQRDGNLLRGSAFFSPPNQRKNFQFRSPPRSSDFSGVTYKWAMSNGITNSNPRQSGRWGSFCTFCGQIHTGPCNQMTVFCYECGGIGHVKSDCPTHRHNHEMARSSI >EOY10017 pep chromosome:Theobroma_cacao_20110822:5:32249514:32255214:1 gene:TCM_025385 transcript:EOY10017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 87, subfamily A, polypeptide 6, putative MGTCFIIIGLMIAFFISVLLKYLLSLLTKKTTHQLPPGPFAIPFIGNLFWLHKPFPQIMALAPTLHSKYGPIYTLRVGSKPIIIIGSHSLAHQALIVQGAVFSDRPSAPASEKLFSCDQHNITSAFHGPTWLLFRRNLVSNILHSSKIKSYACARKWAIQILIDDLKSQSDFVDNGIQVMEPIQHAIFCLLVYMCFGQKLERKQIKDIRDVQRRVLLSFNRSKMINLFPRFGKIFFHQSWKELLTLRKDQEETLVPLIRARRALKDKNICQESFLPYVDTLFDLNLPEQKRKLEEKEIVTLCSEFFTGGTDTTATSLQWIMANLVKYQHIQDKLFEEIKGVIGDGEVEIKEDDLRKMPYLRAVILEGLRRHPPSLFLVPHSVTKDVLLHGFLVPKDSVVTLTVGEMGRDPKVWENPMEFKPERFLNGDDGEAFDFTGRKEIKMMPFGVGRRMCPAYNLAMLHLEYFVANLVWHFKWSNLKGHDVDLTEKHEFTSVMQNSLQVHITPRLHVN >EOY10680 pep chromosome:Theobroma_cacao_20110822:5:35831612:35845312:1 gene:TCM_025980 transcript:EOY10680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASLRLSYGNHGRKNSSFSSTETSSLGRCKQINGSSPKVVGKKLCLQSRPQQVKLTKTDLVKLKVQMRDSAESLMAAQKAANLTEAEFAQMIMRRNEVGILSYAALNKAFLSWQLSFGVAGSYSGNPGWSDGIQFLYGDCLYPRSGMVNSRIIHYVLLLRNNDILVVNDVT >EOY09870 pep chromosome:Theobroma_cacao_20110822:5:31393351:31398404:-1 gene:TCM_025238 transcript:EOY09870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 53, putative MRSLLWNYQFLCLLLLFYIYAEATTSSSFTSSTPGKICSHNEAAALLQFKQSFLINSSASFTCDADYGIRSYPKTDSWEESTDCCSWDGVTCDSETGQVIGLDLSCSRLYGTIPSNSSIFLLQHLKRLDLSFNDFRNSKISAGFGRFGDLTHLNLSNSLFSGQVPSDISRLSKLISLDLSSFKPLIPDDSQLKLETTALERLVQNLGEVQEFYLDRINMSSVDPSYLMKFSSSLTSLSLRNCELRGKIPESIFQFPNLKFIDLGINQELAIYLPKSNWTNPLEFLNLESTEVLEGLPDSIGNLRSLQFLNLIGCNLQGSIPSTIGNLSEVIALLLSFNNFSGQIPPSVVNLPQLIDLDMSHNQLGGPIPYHASGLSKLVSLYFSDNFLIEAIPSWVLNLPSLQNLYLGNNRFTGDIQAFQSKSLTTIDFGNNRLHGHIPSSIFKLANLALLDLSFNNFSGIVELDMFSELKNLEWLDLSHNNLSLSKNIKVNYTLPKLSSLFLASCNLSEFPNFLRGNKVLIGLDLSENRIHGQIPKWMWDVGKNTLSYLSLSQNFLNGSVEFPWLNILSLQLQFNMLQGVLPEFMKGCQLKALNFNGNRFEGDLPQSLVNCRSLEVLDLGNNNMKGTFPYWLETLPELQVLVLSSNKFHGFVPKSKARAPFPKLRVLDLSNNGFVGPLPAWYIENLKAMAYLDEGYSSVRYMQGSRSYNYSLTLTIKGFTMQLVRILTIFTSIDLSNNNFEGVIPEVLGKLSALKGLNLSSNKIGGDIPPSLGNLTQLEWLDLSSNELVGKIPEELVDLIFLSFIDLSDNQLVGSIPQGKQFNTFENSSYEGNFGLCGRPLSKSCNETPKPPSPTLPLEDDSKSGIRFGWKVALMGYGCGFIFGAAAGYLVFQTGKPKWFINLVGVKQHQKVKKSKQKKATRRAERRN >EOY08579 pep chromosome:Theobroma_cacao_20110822:5:11472056:11475707:1 gene:TCM_023189 transcript:EOY08579 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein MGTICVEPPSLLQDLKITIQNVSLVFPSQQTERKSMFLSNIDKVLNFPVETVHFFPSHKDFPPHLVAEKLKSTLARLLVPYDFLAGRLRSNPETGRLEIDCNAAGIGFAVASSEYTMDSLGELVYPNPAFGQLILKRMDNLEQDDQPLCIVQVTSFRCGGFAMGMFTNHVTFDGLSFKIFLDNLGALAADKPLAVIPCNDRELLAARSPPCVSFPHLELAKFLDSNVNHPVFDATSEALDFKVFRLTSGNIADLKEKAKASGGTHKDARITGFNVVTALVWLCKALSWDEETTQERNNNADRESTLLYAVNIRPRLIPPLPRSYTGNAVLTAYATAKCKEIEEWPFSRLVEMVSEGAKRMTDEYARSAIDWGEIYQGFPNGEFLVSSWWKLGFDEVDYPWGRPRYSCPVVFHRKDIILLFPDVDENNGVNVLVSLPSKEMSKFQSLFLNYLA >EOY09264 pep chromosome:Theobroma_cacao_20110822:5:27797916:27800142:1 gene:TCM_024683 transcript:EOY09264 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-affinity K+ transporter 1, putative MSTVEMEIFSNPQLIVMTIVMFVGGEVFTSVAGHFMSNSKPKQRRAGENVASLRSDSSFNPKNIVISGIESRVIMNPKPGNRQAEEQTQPSAKDILKRKGLNLFTFSLFTTVSTFSNCGFVPTNENMLVFAKNSGLLLILIPQVLQGNSLFPACLRFSIWVLGKVVRKVEYSNYLLKNTRAIGYHHLLPNRRSCYLVFTVFGFTAAQLIFFCAMEWNSEALIGLDPYEKIIGLFFQSVNTRHTGETIVDLSTISAAILVILIVMMSVSLSLSLSFHMA >EOY08480 pep chromosome:Theobroma_cacao_20110822:5:8982272:8983495:-1 gene:TCM_022935 transcript:EOY08480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVNRDVATVVMGPMEVPGRDTLLHVLSIVLKFFYEFLFKDDSWFLKTCNMNTFMISWCTYMFSNLQNLLKDGKLFINA >EOY10485 pep chromosome:Theobroma_cacao_20110822:5:35057626:35060748:1 gene:TCM_025838 transcript:EOY10485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microfibrillar-associated protein 1 MSVTAGVSDTIIAIRDKLRGKIGQTKVKRYWPGKAPEWADDADEEGDIRMARAVALEKAFPSRDDSDVVRKDDPRLRRLAESRIDNRDEIRADHRRIRQAEIVSTEEEENRRNEGVEAEEEDEDALEERRRRIREKLLQREQEETALLEEEEEEEEVEEEEEEESEYETDSEEEHTGIAMVKPVFVPKSERDTIAERERLEAEERAIEEAEKRKLEHRKVETRQIVVEKIREDEEIQKNMELEANVADVDTDDEVNEAEEYEAWKAREIARIKRDREEREAMIKEKEEIEKVRNMTEEERREWERKNPKPAPPPKQKWKFMQKYYHKGAFFQAEADDPAAAVGADNIYHRDFSGPTGEDKMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDPLRAKYNAKMAAVNAPVAKPKGSKKLKDWESK >EOY08011 pep chromosome:Theobroma_cacao_20110822:5:3939846:3943230:1 gene:TCM_022334 transcript:EOY08011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Don-glucosyltransferase 1, putative MGSLSDQLHFVLFPFMAQGHLIPMVDIGRLLAQRNVIVTIVTTPHNAGRVHKSVANATESGHPIRLVQLQFPFKVVGLPEGCENMDMLSSSVENCFKFFSAANMMEEAAQKLFEKLTPRPNCIISDMCLYYTSRIASKFQVPRIAFHGFCCFCLLCLHNIRSSKILESITSDSEYFIVPGLSDKVEFTKVQLPLNYDETWKEILEPMGEADQTSYGIVINTFEELESSYVKEYRKVRKDKAWCIGPVSLSHKDEQDKAQRGNTASINEHHCLKWLDSQEPSSVVYACLGSICNLRPLQMIELGLGLEASTKPFIWVIRGNDTSKEVEKWIKEEGFEERTKGRGLVILGWAPQVLILSHPAIGGFLTHCGWNSTIEGISAGVPLITWPLFADQFSNEKLVVQMLKIGVSLGVKKPLLWGQEEKAGIMLKKEDVKNAVDKLMDEGKEATERRKRAKEFGEKAKKAVEVGGSSYVNMTLLIQDIMQQSHKTCWKSGPTSHAEEI >EOY08687 pep chromosome:Theobroma_cacao_20110822:5:17920340:17924768:-1 gene:TCM_023737 transcript:EOY08687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVFAVIEKEARVRLSAVTGIMFIFDKDAYVLIDSGSDRSYVSTTFASFSDRNLSALEEEIIVHTSLGEQLIRNTCYRHYGLKVGEEEFKVDLILLGIQDFDLILGEHRVLPSCVISAIKALKLVRKGYPAYLAHVIDTSKGEPKLEDVPIVNEFFDVFSDELSRLLPDRELEFSIDLFSVVFLGHVVSGAGIYVDPKKIEAILQWEQSKTVIEIRSFLSLAGYYRRFVQGFSLIVAPLTRLTCKGIKFEWDDVCESQFQELKNRLTFAPILTLPVSWKEFVVYSDASKLGLSTYSIEKLAKLYIDEIVRLHGVPVSIVLDRDPRFTSRFWQKFQDALGTNLRLSTTFHPQTDGQSERTIQTLEDMLRACVIDFTGSWDRHLPLVEFTFQYSIGMAPYEALYGRKCQTPLCWDELGDRKLFNVELIDLTNDKIKIMKGVIQFAKRGKLNPRYIGPFHIIKRIRLVAYRLELPSKLDRIYNVFHVSMLKKYVLDPSQILEAPPIELQEDLKFEV >EOY09478 pep chromosome:Theobroma_cacao_20110822:5:29409662:29413665:-1 gene:TCM_024896 transcript:EOY09478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKASLKFREEKRPVLRAKVPLSILGLPFQSGIVAGESKELTLNLSTFFESGPSIKIAYRPNDTWNPFSLIVKTGTGPFGSPISSSMLMSAEFNLLGPGNPSFMLHFKPQFGDFSIKKSQSSVFDRAVKPRSGVVLEDDSSIEAVDTPAVNGGAGGFFAEKRKLAALNWGDITGILSGMEVAAKTAVPVKGKALLKFRWGMRIPSEMKSGVGRFGDPAAGISNSKIPFLVMDKIGIEHVDSVESKQAISTDSKAGSELGSNADLATACYTVKRQLEALQAENGFLKRAVEDLRREISGGQFGDLNSGTYREMERNGVSRSKMERRNNDKKSTEVDVNEELKKALKGATGA >EOY11526 pep chromosome:Theobroma_cacao_20110822:5:39519297:39522024:1 gene:TCM_026671 transcript:EOY11526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hedgehog-interacting protein MIQSSKIREEGKAMKDMELGFLIFLLLFNLLLPFSSGHTDGVCVSQGGRFPPFSSEGKPPKRVGKGHKDLTLCRVFRKMTCCDAAQTHPALLSIRKLALTGEASPECLQLWELLECSICDPRVGVRPGPPLICTSFCDRVFQACSNAYFSMDAKTQVLAPCGVNDFVCGRASEWTSNGTELCRAAGFAVKQSDVVHGGVEETSCYGGKASLDSIADSWGASQSEKAHKTGNFGLLEEFQQWLHDMPSGERVSWAVGGMVLTAGLLFISKRKSHSQRQKLAAIQRTARRLDGKMNQTSPSTQGNRKGSRR >EOY07620 pep chromosome:Theobroma_cacao_20110822:5:2204809:2209193:-1 gene:TCM_022008 transcript:EOY07620 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MEEEKCDFISSLPSDILRRIISFLALEQAMKTSALSTVWTSLWVPVEVNLREANREVKDIVDLFSKPYDSHQVWKLCLSFQDSKKAPSEMKGEIIALAAKGVDEELYLDFSERQKEQVKNLCLKLEPCCSCHSLLSHPSQAATFSSTLKMLHVRRLSNLSKDLISGLFSSSQFLESLKLEGCNGLQSLDIEANHFLRSLKVIDCPDMVNISVSAINLRSFWYQGILPHQVHLKNTSDLVEVMFDLRNGSGPSEFDCEDVLSLLASLKDIEVLRVSGWLLEWLCSGGVIFRRLDINFNKLKQLSWMDSAMDNTKRDSLACFLNICPSLEKLIIEIDPNRGPLPCPYFHHYWHEPHLWMDYTSVMSNTSQLENLKVVEFMGYTNEEDQSLLIDLLLKKACLLESVTVTSPQHHSWKVAKIPDDQSQLNQTWQSSNQQKQNAVLSLIKFIIRIQNTLFTRIAPSHKNATP >EOY10124 pep chromosome:Theobroma_cacao_20110822:5:33084204:33087962:1 gene:TCM_025502 transcript:EOY10124 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MASLILKKIQLRPGTNLYSDNCNLILKKKKQHVHRASILMKNRVMGIVSGSRFVVLLLLSCYCIKFGTAMDTITSSNSIKDPGAITSNSGVFRFGFFSPANSTDRYVGIWYNIGIPEQSVVWIANRNKPLKDDSGVVMIAEDGNLVVSNGQKEILWSSNVKSPAANTSAQVLDSGNLVLRGITDGASLWESFQQPSDAFVPTMKISTNVRTGEKVQLTSWKSSSDPSNGSFSLGLGPLSIPQVFIWNNTRPYWRTGPWNGLIFTGVEHMYSFYLDGFNLVDDKEGNFYIMFGLVGKSYLLYLYLNSQGKLIQRDWDEGKRDWNISWSAPETECDVYGKCGAFGSCDSQKQPICSCLRGFEPKITKEWTRGNWASGCVRSKPLQCERTNNGSKPSKDGFLKLEKMKVPDFAEWSPTLEDKCKEQCLNNCSCVAYAYDAGIGCMSWSENLIDMQKLSTGGLDLYVRLEHSELDTTKERNRIIIITVVIGIIIIAISTFFLWRRKAKQRVNKAKSEEMLLPNKGDNIDEVELQRLPLLKFEELATATTNFSLSNKLGQGGFGPVYKGTLKDGQEIAVKRLSTGSGQGSEEFMTEVSVISTLQHRNLVRLLGCCVEGGERVLVYEYMPNRSLDAFLFDFGMARIFGGNQNQANTKRVVGTHGYMSPEYAMGGHFSEKSDVFSYGVLLLEIISGRRNTSFYNQEHSSSLLGFAWQLWNEDDIMALPYTDQCHFQEIKRCMHVGLLCVQEFAKDRPTISTVISMLNSEIVDLPTPKQPAFIERMIAVDIESPQNNQNRCSINDVTVTNVEGR >EOY09915 pep chromosome:Theobroma_cacao_20110822:5:31652186:31654832:1 gene:TCM_025280 transcript:EOY09915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMVVTLLSPCNSTLIRGVLIKENSSCPRLFLGIRERILNQHATRCFHVYQNKRLSHRFLVFAATEGSAKSSKSEETVPSWARPDSDEPPPWAQDEGKEYTAQQSFEIPFYVYLLASAVTAIAAIGSIFEYANQRPVFGVVNSDSIFYAPLLGFFAFTGIPTSFEELQFILASLDFSC >EOY09916 pep chromosome:Theobroma_cacao_20110822:5:31652191:31653855:1 gene:TCM_025280 transcript:EOY09916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMVVTLLSPCNSTLIRGVLIKENSSCPRLFLGIRERILNQHATRCFHVYQNKRLSHRFLVFAATEGSAKSSKSEETVPSWARPDSDEPPPWAQDEGKEYTAQQSFEIPFYVYLLASAVTAIAAIGSIFEYA >EOY09914 pep chromosome:Theobroma_cacao_20110822:5:31652185:31654829:1 gene:TCM_025280 transcript:EOY09914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMVVTLLSPCNSTLIRGVLIKENSSCPRLFLGIRERILNQHATRCFHVYQNKRLSHRFLVFAATEGSAKSSKSEETVPSWARPDSDEPPPWAQDEGKEYTAQQSFEIPFYVYLLASAVTAIAAIGSIFEYANQRPVFGVVNSDSIFYAPLLGFFAFTGIPTSAFLWFKSVQAANKEAEEQDRRDGYL >EOY09917 pep chromosome:Theobroma_cacao_20110822:5:31652179:31654886:1 gene:TCM_025280 transcript:EOY09917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMVVTLLSPCNSTLIRGVLIKENSSCPRLFLGIRERILNQHATRCFHVYQNKRLSHRFLVFAATEGSAKSSKSEETVPSWARPDSDEPPPWAQDEGKEYTAQQSFEIPFYVYLLASAVTAIAAIGSIFEYANQRPVFGVVNSDSIFYAPLLGFFAFTGIPTSAFLWFKSVQAANKEAEEQDRRDGYL >EOY11231 pep chromosome:Theobroma_cacao_20110822:5:38409749:38414641:1 gene:TCM_026470 transcript:EOY11231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine-5'-phosphosulfate (APS) kinase 3 isoform 1 MSTMGNSTNIFWQESPIGKLERQKLLNQKGCVVWITGLSGSGEVAKLFADAGLICIASLISPYRKDRDACRAMLPDANFIEVFMNMPLELCEARDSKGLYKLARAGKIKGFTGIDDPYEPPLNCEIEIQQKDGDCPTPGAMAGEVVSYLEEKGYLRDH >EOY11230 pep chromosome:Theobroma_cacao_20110822:5:38409749:38414641:1 gene:TCM_026470 transcript:EOY11230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine-5'-phosphosulfate (APS) kinase 3 isoform 1 MSTMGNSTNIFWQESPIGKLERQKLLNQKGCVVWITGLSGSGKSTLACSLSRELYTRGNLSYILDGDNLRHGLNKDLGFKADDRTENIRRVGEVAKLFADAGLICIASLISPYRKDRDACRAMLPDANFIEVFMNMPLELCEARDSKGLYKLARAGKIKGFTGIDDPYEPPLNCEIEIQQKDGDCPTPGAMAGEVVSYLEEKGYLRDH >EOY11062 pep chromosome:Theobroma_cacao_20110822:5:37743262:37744875:-1 gene:TCM_026335 transcript:EOY11062 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MDMASYRASSQRRVKTPAEEVADMDDLLTEILRRLPTKTLLQFKLVSKQWLSLISSPEFSISHTRFLLNEGLLKPSALFLDVIYKQPPTKFVFLPLNRDTKQLPLLDFMNAPDIKIMQSCTGLLLCTCDYGNQNYFICNPVIKKFKMISLPRPPMLEYQLVGVNLAFDPRKSPYYKIISIWQEVFLEKDEENNCLRHMTSNFSMDIYSSETDSWSVSKIKFTSEVAIQFDHAVFLNGAIHWDSTARESLYFDVGTECLMPMPMPKLRRRYSGPRYFGESGGYLHLAVGRKPAWRLIFRVYELIENYSRWFIMYRVDFEAEMQSFNLIPPYRNYNLFCLTVLCDENKGDSVVAVLESGMTLYYNLRDEEMEVMEVRTDGPKANNYLFDRARFRCCEYFETLARV >EOY07221 pep chromosome:Theobroma_cacao_20110822:5:873261:876926:1 gene:TCM_021704 transcript:EOY07221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Undecaprenyl pyrophosphate synthetase family protein, putative MHFSLQFSLPPVITALSPRSKSFSHQPHHHRIKKLTSHVPKSPLCSTTECALIHQCKEEEEEAFDGRVEDQTLPLGLRPEALPRHVAVIMDGNRRWARLRDLPVGSGYEAGVRSLRKIVELCCEWGIKVLSVFAFSSDNWFRPKVEVEFLMSLFERGMQEDTGIFLRENIRISVIGDLARLPEALQELIINLEEATKNNARFQLIVAVSYSGHYDVVQACQRLALKAKCGLIEPSDINESLIEQELVTKCTEFPYPDLLIRTSGEFRVSNFMLWQLAYAELFFAQSLWPDFGEAEFLKALLAFQKRQRRYGG >EOY09208 pep chromosome:Theobroma_cacao_20110822:5:27143476:27154712:1 gene:TCM_024613 transcript:EOY09208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MACSLWGPSLHEEESRCCIQPGWQCDFYFGYGFDMVEENALNEKSCVQVLRILITKADTEIDELEKDLVLLQSELAWAEHEEWSDICCNTLRAKIDCLDISIRKLRNKDENDIEFYLLMHTEPVEKLNEIVKALLKSFCHGKDEQRQDVVVLDSSCGSPEQSADLHKNQKLRTSDSHFIAKEEFNGPIVTSSKNCTSSKSYTEIEKKKANCSETLATTNVKNVIPHSLAPVADQFDEKKVVTNLDLETTKKQGIKDCGLTLKDKNVIQNSSSKSAQKRMHEPDRAKVKEPAAQVVNNSGLDASKRSADHLNKKSASRLKIESEEATVHTSISASDILILDSSSNPRGKRADLSRKVKDEQPANIIAKDFDSVACKQTTGHSNEMKMLCKHDLKVNGCEVQEHYTTTIGKSKITNSCLNFEHKGNILKADKPANAVVKTISPDTLRHATGLNGKGNNSDSRLGAVGQAESLNCDMEQKLCDFAVKSARKRGIKELKFASTEQKEPSNSFFKGEGKRKDSLQVVKRKESPLSDNEHFALTSLLELQDERGKDKTILQMEGNPQLEEVRMAEIAARDDKLVMNLYMRSQRGKLKIVKTTESISASVEEPSLNSKENVSNSSPISKGKRPWKYANNHTLNYSLSGKITKKTVQHSQYEVEDQSMRLNASQNTMSLPQKKCKKFSSVPIFVEIRGSSVQMNISKLHGNLNGSTAKTDISISEASVDGSCIKGVTPQPFDTSNLMKMKLCDLRAIAKAQKLTKYSGLRKEDLVKQLENRFSC >EOY10777 pep chromosome:Theobroma_cacao_20110822:5:36412615:36416198:-1 gene:TCM_026078 transcript:EOY10777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MKMNKDEGIQDYTDKLLKLVNQLRQLGEEVSDKRIVNKILVSILERFEAKIFSLEDLKDLTKIFVNEIINALLAQEQRIALRDENFVESALVARTKGLKVKGNSSKKNDGKGSKAEEGNKGKATNKYLSCPSCKKRNHIAKYYCKWKATVDAEMSMIIKNGTWTLVDKPVEKNVIGVKWIYRTKLNSDGSINKYKAKPVVKGYAQVYEADYMETYAPIARHNTIRMLVALVAREEWKLFHLDVKLAFLNGYLTEDIYVDQLEGYEQWNSKGYSDSDWAGSLDDSNSTGRFCFSFGSAVFAWNSKKQHVVA >EOY08398 pep chromosome:Theobroma_cacao_20110822:5:7581141:7603879:1 gene:TCM_022793 transcript:EOY08398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKVLTFATSILRHSLLNTELNIRLPQHTIYKRVVKLKYPTKKSREFWRKRCVHLEKIGPKGWMIHFGLIKLPTRL >EOY08973 pep chromosome:Theobroma_cacao_20110822:5:24270407:24271315:1 gene:TCM_024295 transcript:EOY08973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLLTAAMVHKTKPEQVQKRENGQIENSQNITIRVQKLKRFAQNSSALLKPHKKVTPLPHNEETNGISKPISSLIIISSSPSKDKPSNRPISNPHILVPELWIFIKM >EOY09990 pep chromosome:Theobroma_cacao_20110822:5:32110922:32112560:1 gene:TCM_025359 transcript:EOY09990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKNPMETSESPIDYAMWGIKERQDVHSGEQLRLLTTKLKQVIKQESKMVKEKENEILDPAPISYHIPIDYNYSIPLNPQFDPSVPQEKKEEKRAKATLVGRYNTSFKLSDDIIAQAMKYEEEKLRNSQHQNQNQKACQYSLYERLEGWRIDRKRRPNGTTFDIFFEHEKSKGKFRSVAEVISFILHEAYPKRQPNATQGKEENPQVPPGYEEPEKYPIPEHLGGPSSMAKSVI >EOY08070 pep chromosome:Theobroma_cacao_20110822:5:4263817:4267420:1 gene:TCM_022388 transcript:EOY08070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfite exporter TauE/SafE family protein isoform 2 MATRGFLLYLLSGFSVAILSVLFINKNNNMYHNSTLLHSPNVSSVDKDWPELELNWRLVLATVIGFLGSACGTVGGVGGGGIFVPMLTLIVGFDTKSAAAISKCMIMGASASSVWYNLRVPHPTKEVPIIDYDLALLFQPMLMLGITVGVALSVVFPYWLITVLIIILFLSTSSRSFYKATEMWKEETILKKELTRQQETLVNSRGELLIDAEYEPLVPREEKSELNDLVVCSTWYWVLFCLQLPIAVLVFGYEATKLYKEHKKRMSTGNREAICEASIQWSPLNIAFCALCGILGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPIPYALYLMGVSILAGFWGQYFVRKLITILKRASLIVFILSGVIFASALTMGVIGIDTSIQMIHNHEFMGFLDFCSSQ >EOY08069 pep chromosome:Theobroma_cacao_20110822:5:4263817:4267395:1 gene:TCM_022388 transcript:EOY08069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfite exporter TauE/SafE family protein isoform 2 MATRGFLLYLLSGFSVAILSVLFINKNNNMYHNSTLLHSPNVSSVDKDWPELELNWRLVLATVIGFLGSACGTVGGVGGGGIFVPMLTLIVGFDTKSAAAISKCMIMGASASSVWYNLRVPHPTKEVPIIDYDLALLFQPMLMLGITVGVALSVVFPYWLITVLIIILFLSTSSRSFYKATEMWKEETILKKELTRQQETLVNSRGELLIDAEYEPLVPREEKSELQILCFNLRWKRLLILATVWVLFTLIQVIKNDLVVCSTWYWVLFCLQLPIAVLVFGYEATKLYKEHKKRMSTGNREAICEASIQWSPLNIAFCALCGILGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPIPYALYLMGVSILAGFWGQYFVRKLITILKRASLIVFILSGVIFASALTMGVIGIDTSIQMIHNHEFMGFLDFCSSQ >EOY08659 pep chromosome:Theobroma_cacao_20110822:5:16615365:16622235:1 gene:TCM_023615 transcript:EOY08659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVEPLFRAVASRDFTTYSAAVDRAQRIEMRTSESRAARDREKRGKTEGYQGRRDFSSGGSSSSRQGPQRDSRFPQQGSDAPGANIRVGQRTFSSRRQQDSRQSSQVIRSCDTCGRRHSGRCFLTTKTCYGCGQPGHIRRDCPMAHQSPDSVRGSTQPASSAPSVAVSSGREVSGSRGRGADTSSQGRPSGSGHQSSIGRGQARVFALTQQEAQTSNAVVSAFMDLMNRVFKPYLDKFVVVFIDDILIYSKSREEHEQHLKIVLQILREHRFFEKLKACLTTAPVLSLPQGTRGYTMLCDASGVGLGCVLMQHGKQRDLNLRQRRWMELLKDYDCTILHHPGKANVVADALSRKSMGSLAHISIGRRSLVKEIHSLGDIGVRLEVAETNALLAHFRVRPILMDRIKEAQSKDEFVIKALEDPRGKKGKMFTKGTDGVLRYGTRLYVPDSDGLRREILEEAHMAAYVIHPGATKMYQDLKEVYWWEGLKRDVAEFVSKCLVCQQVKAEHQKPAGLLQPLPVPEWKWEHIAMDFVTGLPRTNGGYDSIWIVVDRLTKSAHFLPVKTTYGAAQYARVYVDEIVRLHGIPISIVSDRGAQFTSRFWGKLQEALGTKLDFSTAFHPQTDGQSEWTIQTLEDMLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWLEVGERKLLGPEFVQDATEKIHMIRQRMLTAQSRQKSYADNRRRDLEFQVGDHVFLKVSPTKGVMRFGKKGKLSPRYIGPFEILEKVGVVAYRLALPPDLSNIHPVFHVSMLRKYNPDPSHVIRQVKKLRSKDVASVKVLWRNHTSEEVTWEAEDEMRTKHPHLFDMSRDNIVNSSTLLFVKVVRHPEKAKELRLRTISQSSPEIRIFDTYQEVADN >EOY08660 pep chromosome:Theobroma_cacao_20110822:5:16613369:16624044:1 gene:TCM_023615 transcript:EOY08660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPKTRAASRRAGEQDAPIEMADRPRASTQRGRGRRGRVTRPVGLDTPVSRQEEGQSSGDVDRHPAREGRPTVQESPSSQGQADHQHHEEERGHLDISLPDFLKLKPPTFTGSDASEKPQVFLDKMEKICKSLGCSSVRSVELAAF >EOY08038 pep chromosome:Theobroma_cacao_20110822:5:4114375:4118645:-1 gene:TCM_022361 transcript:EOY08038 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MADTATPTSTAAAAAEVPPPQEAKKATEAPKRWADVEDDPPEESSASLASEEKGAPELDVENLAIDENRKINKFLDEPEDSNIKAVTPWDTPYTSASTFEELKLSPELLKGLYVEMKFEKPSKIQAISLPMILTPPYMDLIAQAHNGSGKTTCFTLGMLSRVDPNLKAPQALCICPTRELAIQNLEVLRKMGKHTGITSECAIPMDSSNYLPINKRPPITAQVVIGTPGTIKKWMSAKKLGVSCVKILVFDEADHMLAEDGFKDDSLRIMRDIERISSNCQVLLFSATFSETVKNFVSRIVKRDHNQLFVKKEELSLESVKQYKVNVPDELSKVTVIKERIFEFGERLGQTIIFVRTRNSASMLHKSLVDLGYDVTTIQGALKQDDRDKIVKEFKDGLTQVLISTDLLARGFDQQQVNLVINYDLPVKHDNRTEPDCEVYLHRIGRAGRFGRKGAVFNLLCGDMDRMIMSKIENHFGTKIAEVADWRNEEDFKAALRSAGLL >EOY11542 pep chromosome:Theobroma_cacao_20110822:5:39557038:39558952:1 gene:TCM_026682 transcript:EOY11542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18 MGIDLVAGGKSKKSKRTAPKSDDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKVNKPPLSLSRLIEFMKGKEDKIAVVVGTVTDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFERARGRRNSKGFRV >EOY07835 pep chromosome:Theobroma_cacao_20110822:5:2908945:2911422:1 gene:TCM_022159 transcript:EOY07835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGRERRRILVGLAVAIFLGVAVYFRLWTIDYSVSSDDAELLRRQFDIANREAMDESAEWRLRFDEEADKASKCAKELEEIKESTEKKEDSTSSNEKLAMLQKENAALLKQVEMLKNELEAEKRRCHSE >EOY07844 pep chromosome:Theobroma_cacao_20110822:5:2949700:2954658:-1 gene:TCM_022168 transcript:EOY07844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase family protein isoform 3 MEMESETQQSRFRRICVFCGSSQGKKSSYQDAAIELGRELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPSARQIIVSAPTAKELVKKLEEYVPCHERVASKLSWEMEQLGYSKTYDISR >EOY07845 pep chromosome:Theobroma_cacao_20110822:5:2950485:2954658:-1 gene:TCM_022168 transcript:EOY07845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase family protein isoform 3 MEMESETQQSRFRRICVFCGSSQGKKSSYQDAAIELGRELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPTKLWKRDLLVQVHARSLYLHLQQRS >EOY07843 pep chromosome:Theobroma_cacao_20110822:5:2949700:2954664:-1 gene:TCM_022168 transcript:EOY07843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase family protein isoform 3 MEMESETQQSRFRRICVFCGSSQGKKSSYQDAAIELGRELETLMVFQFFSMPIQEVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPSARQIIVSAPTAKELVKKLEEYVPCHERVASKLSWEMEQLGYSKTYDISR >EOY07276 pep chromosome:Theobroma_cacao_20110822:5:1027222:1031953:1 gene:TCM_021743 transcript:EOY07276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfoquinovosyldiacylglycerol 2 MSPSTSLSKNPSLSPSFFFAYPSSYSSSSFTFHPPNCVKVLPFSSFSAIPISLVVKRSHFSEPVRWKSERKRRVVVVRASEMTITEVREEEEGGEESPPLIDSENKNSGPRRIALFVEPSPFSYVSGYKNRFQNFIRYLREMGDEVMVVTTHEGVPQEFYGAKLIGSRSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALFIAKLLCVPIVMSYHTHVPVYIPRYTFSWLVKPMWLILKFLHRAADLTLVPSAAIGRDLQAARVTAANKIRLWNKGVDSESFHPQYRSHEMRLRLSNGEPDRPLVIHVGRLGVEKSLDFLKSVMDRLPDARIAFIGDGPYREELEKMFTGMPAVFTGMLQGEELSQAYASGDVFVMPSESETLGLVVLEAMSSGVPVVGARAGGIPDIIPAEQEGKTGFLFNPGDVDDCLSKLEALLRNKELRETIGKAAREEMEKYDWKAATKKIRNEQYNAAIWFWRKKRAQLLRPLQWLARRLFPSQEVNYR >EOY11145 pep chromosome:Theobroma_cacao_20110822:5:38132035:38136698:1 gene:TCM_026408 transcript:EOY11145 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding storekeeper protein-related transcriptional regulator, putative MASEQYDAVLEESQDDDEEPSSSDNDLDENDDVLNGNDDVLNDEEDEELLEEEEDINSPSLLPQPIAAAASAVSSAAIPAVPLAVPSATAVTIAAVPNDESPPDSKRQRIESAVTEKKPPPPSQFDESRRLFQRLWTDEDEIELLQGFLDYTSSKTTSNSSSSHHHHHDTALFYDQIKSKLQLDFNKNQLVEKLRRLKKKYRNVMNKISSGKDFSFKSPHDQATFEISRKIWSNCVGKVEDNVLDDDENNNNNNVNLIDESGEKKNFTPKSAASKKRSRSKGGKMEEKRVLNDGFVITYNNNNNNNLNNRSTNDNGSVEGFGGGGGGGNVAGVIEETVRSCLTPLFKELLGSVIGGGGGGCGGRGISGLAMNAMPLNFGGSMNFGGGGGSGELMDERWRKQQILELEVYSKRLELVQDQIKAALEELRSMGG >EOY07786 pep chromosome:Theobroma_cacao_20110822:5:2685329:2686942:-1 gene:TCM_022115 transcript:EOY07786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKDNSFNCLSSLLTLQIEARRPLPMPDSTCGIKASEILPPKTYAHCGPETASPVSCTRDKWLVFSCIPVNDRLDFTKFMSRFHSNGVANKTPPFTTTLHVPAILPVPTSYAYKDVHHSYSDIKTATTKPLSA >EOY10097 pep chromosome:Theobroma_cacao_20110822:5:32971908:32974508:-1 gene:TCM_025478 transcript:EOY10097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphorylase superfamily protein MLQKLALPLHHFTEQLSFSSPTLSRKIYVNQLRTIDRSYGGWVALMGCQRPIWGVDLEVLLVLGMVLLALVQKSMQLSRSHPMRGVVDKVNDGGGPYVGLVMAYPTEEMALQDSGFFLPNSDIPSIQLAGRRFNIGSINGVDVIYVMTGEQTANAALTVQALLDAFDIQGIVHYGTAGSTNDSLSFGDVSVMNYVAFTSSWKWKEFKSEKGQLPTLTFGAFNFPEKGENLLAKIEFTPVQLYSTGKPMEEMYWLPVDPNWFTIAAQLQNLTLQQCVNDTYCLPETPKVVYGLKGSTADIFLDNAAYRKYLFQQFNVSTVDEESAAIVLTCLTNGVPCIVFRGVSDLAGGNGTLSLASLSSLASINALTVAVEFISLITRESSVVDQ >EOY09918 pep chromosome:Theobroma_cacao_20110822:5:31657491:31659763:1 gene:TCM_025281 transcript:EOY09918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-B10, putative MKSEVSEIEGGGRGGPSLPSPLLDLNALPQDCIAAILSFTTPRDACRLSAVSTVFKSAAESDAVWECFLPSDYRAIIPSSLASKKALYLSLCDNPVLIDGGRKSFSLERGSDKKCYMLSARDLFIVWGDTPTYWRWISIPESRFEEVAELISVCWLEIRGRISISMLSPMTHYKAYLVFKATTSGAYGFDLQSVEASVGLVGTEGQKRTVYLDSERRRRGRFPIAPRGIGLFNRSRFFGLQASVPTTASDDQYPKVRVDGWLEIELGEFFNEGCTDEELEMSVLEIKGGHWKGGLVIQGIEIRPNLCGGSENNAPVPSDLCLNTGILSGQDSKNQDFSASLP >EOY07490 pep chromosome:Theobroma_cacao_20110822:5:1731425:1735591:1 gene:TCM_021911 transcript:EOY07490 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 49, putative MNLRPPQSLAASPLWLSAPAREQTQAAKPKPTLTVKTIFVFNVCPLGFLGFTKSKPNSYKTSLTLKQTTRKPSLVEDKLCCWFGFLISFWLKLVVCESAVMENGQEKAWFDGSEDELVRELLDDETPFFVLPQETVQPESKTSEEEATKRLLSTLYSGPRIEDIENALSVPTWKDQSQAQEQTRLNSILDRGLSKIENKYTLKIKCCGNGMADDGYKWRKYGQKSIKNSPNPRSYYKCTNPRCSAKKQVERSRDDPDTLIITYEGLHLHFPYPYFSLDPPLQDDSLTKKPKKAISEAESQAFGASQTTETQAANINCEPLPSSFEGCPQGIALEGFSQQGLLEDVVPWMIRNPSRNNISSNSSSCSSSHSRSPPASPSSVSWTPNYKTFFDIGINSSIR >EOY08969 pep chromosome:Theobroma_cacao_20110822:5:24103530:24105034:-1 gene:TCM_024271 transcript:EOY08969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCLLCGHSDNHHGTKVPFNAHTINQFYNTLDIENDEYDQFVNGDINLDEVLRSLSILGTEWQVHKGVVISFKANAMDNDYKVWYHFVAMKLLLVKYLSDVTKDRAILLYAIVTKKFIDIGQLIFKNIIMSARSPPNGLWYPSLITALCCQARVVWSPNEELPHPKIPYGGGIIHRFHMCEKTAIGEVHWLHLNHSDIPRISPCLNEWIGLSAAWTTKLGVSKPLKT >EOY10453 pep chromosome:Theobroma_cacao_20110822:5:34890324:34894203:-1 gene:TCM_025814 transcript:EOY10453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein MGIRIFMISFVLTSILFSLLYIPTKLSIPITSFNPMATLNIVQKSNRTYPVTFAYLISASKGDTVKLKRAIRALYHPGNQYLIHLDYEAPAREHRAIAEFVSNDPVFSLAGNVYIVGKPNLVTYRGPTMLATTLHAMSMLLRCCKWDWFINLSASDYPLVTQDDLIHAFSDLPKDLNFIQHTSHLGWKLSKRGKPIIIDPGLYSLNKSEIWWVIKQRTLPTAFKLYTGSAWTVISRSFAEYSIVGWDNLPRTLLLYYTNFVSSPEGYFQTLICNSEGYKNTTVNHDLHYITWDMPPKQHPRSLGLKDFRRMVLSSRPFARKFKRNDPVLDKIDRELLKRRKGKFPYGGWCFENGKKQRACSGFQGENYGILKPGAGSRRLKTLLTKLLSARGFSKRQCRL >EOY08081 pep chromosome:Theobroma_cacao_20110822:5:4308515:4314676:-1 gene:TCM_022396 transcript:EOY08081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase family protein MHSSHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSVDVISGCLKAGMSVARFDFSWRDAEYHQETLENLKAAVKSTKKLCAVMLDTVGPELQVVNKSEKAISLQADATVILTPDEGQEASSELLPINFDGLSEAVKKGDTIFIGQYLFTGSETTSVWLEVSEVKGNDVVCVIKNSATLAGSLFTLHASQIRIDLPTLSDKDKEVISTWGVQNKIDFLSLSYTRHAKDVRHAREFLSKLGDLYQTQIFAKIENIEGLNHFDEILKEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKVSGVIKSHDRVVVCQKVGDASVVKIIELDD >EOY08565 pep chromosome:Theobroma_cacao_20110822:5:10901662:10908767:1 gene:TCM_023143 transcript:EOY08565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid isomerase isoform 1 MNLGCYQTILLNKFVPRNVETKFLSCNPSKSAHSNGKKAGSVKLKYFQVIQRKKGFTLRSKSMLSVDSVVEIDRKEGIGRESKYDAIVIGSGIGGLVAATQLAVKGAKVLVVEKYVIPGGSSGYYQRDGYTFDVGSSVMFGFSDKGNLNLITQALAAVGREMEVIPDPTTVHFHLPNNLSVRVHKEYSEFIEELTSRFPHEKEGILKFYGECWKIFNALNSLQLKSLEEPIYLFGQFFQKPLECLTLAYYLPQNAGDIARKYVKDPQLLSFIDAECFIVSTVNALRTPMINAGMVLCDRHFGGINYPVGGVGGIAQSLAEGLIDQGSEILYRANVTNIILDQGKAVGVRLSDGREFFARTIISNATRWDTFGKLLKGENLPEEEENFQKVYVKAPSFLSIHMGVKADVLPSDTDCHHFVLEDNWTRLEEPYGSIFLSIPTVLDSSLAPKGRHILHIFTTSSIEDWEGLPPKEYEAKKEQIAEEIIGRLEKKIFPGLKSSIVFKEVGTPKTHRRFLARDMGTYGPMPREIPKGLLGMPFNTTGISGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADIGLEKKSLILDTVLLRLLGWLRTLA >EOY08564 pep chromosome:Theobroma_cacao_20110822:5:10902728:10909703:1 gene:TCM_023143 transcript:EOY08564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid isomerase isoform 1 MNLGCYQTILLNKFVPRNVETKFLSCNPSKSAHSNGKKAGSVKLKYFQVIQRKKGFTLRSKSMLSVDSVVEIDRKEGIGRESKYDAIVIGSGIGGLVAATQLAVKGAKVLVVEKYVIPGGSSGYYQRDGYTFDVGSSVMFGFSDKGNLNLITQALAAVGREMEVIPDPTTVHFHLPNNLSVRVHKEYSEFIEELTSRFPHEKEGILKFYGECWKIFNALNSLQLKSLEEPIYLFGQFFQKPLECLTLAYYLPQNAGDIARKYVKDPQLLSFIDAECFIVSTVNALRTPMINAGMVLCDRHFGGINYPVGGVGGIAQSLAEGLIDQGSEILYRANVTNIILDQGKAVGVRLSDGREFFARTIISNATRWDTFGKLLKGENLPEEEENFQKVYVKAPSFLSIHMGVKADVLPSDTDCHHFVLEDNWTRLEEPYGSIFLSIPTVLDSSLAPKGRHILHIFTTSSIEDWEGLPPKEYEAKKEQIAEEIIGRLEKKIFPGLKSSIVFKEVGTPKTHRRFLARDMGTYGPMPREIPKGLLGMPFNTTGISGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADIGLEKKSLILDTVLLRLLGWLRTLA >EOY10219 pep chromosome:Theobroma_cacao_20110822:5:33531860:33533452:-1 gene:TCM_025574 transcript:EOY10219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGTSSSDSNQGSVEGRGSFMLFFAFLRCFLLCFCVFVCYVFCDGNIGEFDADYVRPDAGFHQCFFWVFHFGFMWVKGFEVENELRALCIRFWSMGDFSLAELEG >EOY09010 pep chromosome:Theobroma_cacao_20110822:5:24737538:24741958:1 gene:TCM_024343 transcript:EOY09010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo sac development arrest 7 MANHGGKMKSVSINGVKMYTISSHLRSVAAWLSLKKQRSLRKDKSIYPPQVKVYELRQFSMKFERHLESEIIDFQVLADDYSKLAFLCADRSVNLHAKYGEHYSLRIPSQIPAYFIPALGPVPKWCSSLESLTEELEEGGQTSIYDNYKFLTKEELEKLNLTNLIGTNLLRAYMHGFFIDYRLYKKAKALADPFAYETYIEQRKQEKLEA >EOY07814 pep chromosome:Theobroma_cacao_20110822:5:2795812:2802317:-1 gene:TCM_022137 transcript:EOY07814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTIWYDILFAVNSVSKSLQSKDMCIDIAIEQLKSLSHLLFLPLTSIFLQKIHKNFVPQIRDALLKLVEVSEDPKTKSEANCLATYELEN >EOY07106 pep chromosome:Theobroma_cacao_20110822:5:447304:449023:-1 gene:TCM_021617 transcript:EOY07106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGESSRMIDVEKLISYSDDLVEVLKDKRDVNNLTQCLQHLNDLHSHCDSDSKEVHRLLQEYEEKIEACKKKTEQAKSEVADGAEMESLQKELKQELEKERELMEELRAIGNEISELDRQRVSIEERKQKLRKFEQDKLKEQRKLSMYASITNIIPDLEDKSRISGHIVDRDRKLVEKFEFDPSKMTASDVCDRIWKMINSQ >EOY09393 pep chromosome:Theobroma_cacao_20110822:5:28895487:28905711:1 gene:TCM_024820 transcript:EOY09393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase CLAVATA1, putative MATACIYPFLLSLSFTFFMFCSASTEADTLLSFKAFIDDPKNSLSSWSNTSGVHHCNWTGIICIPTPSLYVSSINLQSLNLSGEISSSICDLPYLSQLNLADNLFDQPIPLHLSECSSLETLNLSNNLIWGTIPDQISQFDALKVLDLSKNHVEGKIPETIGSLVHLQVLNLGSNLLSGSVPFVFGNFTELVVLDLSQNAYLVSEIPTDIGKLEKLELLFLQRSGFLGEIPESFVGLQNLTNLDLSQNNLTGKLPQTLGSSLKKLVSFDISENKLFGLFPRSICDGKGLKFLSLHTNLFSGSIPNSISECLNLEIFQVQNNGFSGGFPSGLWSLPKLMLLRAENNRFSGELPDSISKAAQLEQVQIDNNSFTGKIPQGLGLVNSLYRFSASLNGLSGEIPPNFCDSPVMSIINLSHNTLSGQIPELKKCRKLVSLSLADNSLTGEIPPSLAELPVLTYLDLSDNRLSGSIPQGLQNLKLALFNVSFNQLSGRVPLSLISGLPASFLEGNPGLCGPGLPNSCSDEQPKHHTSGLTTLTCALISIAFAIGTVIVAAGVFVFHRYSKRKSQIGVWRSVFFYPLRLTEHDLIIGMDEKSALGSGGPFGRAYSISLPSGELVAVKKLVNFGSQSSKALKAEVKTLAKIRHKNIVKVLGFCHSDESIFLIYEFLKKGSLGDLICRPDFQLQWILRLRIAIGVAQGLAYLHKDYVPHLLHRNLKSKNILLDTDYEPKLTDFSLDRLVGEVPFQSTMASEFIHSCYNAPEHGYSKKATEQMDVYSFGVVLLELITGRQAEDIESLDSLDIVKWVRRKVNITNGALQVLDPKISNSSQKEMLGALEIAMRCTAVMPEKRPSMFEVVRTLQSLNTRNCLPNLELSTSEDQSLPV >EOY07993 pep chromosome:Theobroma_cacao_20110822:5:3758335:3760031:1 gene:TCM_022310 transcript:EOY07993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin-like protein 1a MEAQVIFGISLAVIFFVSGAKSATFTLNNNCPFTVWPGILTSSGPQLSTTGFELASKVSLILDVPATWSGRLWARTQCTNVNGKFQCATGDCASGQVSCGGAGGIPPVSLAEFTLAANNGQDFYDVSLVDGFNLPLSIAPQGGSGGCTPVSCTANVNAVCPQELQVKGSDGGVVACKSACLAFNQSQYCCTGNFSTPDTCPPTNYSNIFKSQCPQAYSYAYDDKSGLATCTGGANYLITFCP >EOY10551 pep chromosome:Theobroma_cacao_20110822:5:35304162:35304944:-1 gene:TCM_025886 transcript:EOY10551 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein, putative METTYEDFEPLCNWQRAQNCDTLDVHLPGFKRQQLRVQLSSSGIITITGERQLDEAKAIKSRFRKEFPVSKVCQPNQIRAKFCNGVLSLVMPKQVSIISGASGNVTSGKFLRSTMNMNKRVVLEIIIAICWLLAVRAYVQKCCQCSHLGN >EOY10788 pep chromosome:Theobroma_cacao_20110822:5:36526628:36527061:1 gene:TCM_026099 transcript:EOY10788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLDKFVFGLKVKMDIPQKAHELFPSLCLPIKDTSTKLNMPLPLSIIPRPELMHFASFVNSKGKDTWKGHFKDKALDPFIFPEVDINTILN >EOY09276 pep chromosome:Theobroma_cacao_20110822:5:27906724:27910052:-1 gene:TCM_024698 transcript:EOY09276 gene_biotype:protein_coding transcript_biotype:protein_coding description:PCF11P-similar protein 4 MAPEVLPPPGKRPRIVAPIPDFIPSFTNISRSPNQPIPYFHYQNRGRIPSPSPHSFHPMQHQQGQGFMVNNPNPGVNLILPPTMVKPVTVQDFQLQHQKEQGFAVKNPSGGVFSATTVKPVQVHGLNLRQAPISCLLKPYATMNVVPERSMPIENTPPGPPPVAPVSSQLSFPQLSSAVLSELLNSVRAQGLAKPNEETPVEDAVPLEFDAHWLKVRHESVIRSLYSDFPRQCQTCGQRFKTQENHSKHMDWHVRKNREIKKKKVKPSRQWLLTESQWLACAEALTDTDNGDPPVFVRRDSIVGMENDEELAVRADEDQRVCALCMEAFEDFYSDETEDWMYKEAVYMRAPYGSMSAGKDRSQQGPIVHAKCLPQTGKILNNIG >EOY08689 pep chromosome:Theobroma_cacao_20110822:5:17958182:17959591:-1 gene:TCM_023741 transcript:EOY08689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHEFQNNNPHGVRPSHEPAPMDSTRSLSPSHHRRLKAPSLLPPLVSSRSAQRTPIFLSFYSAILSPKLSSLSFSFLQIPAVNTLIFPSQN >EOY09665 pep chromosome:Theobroma_cacao_20110822:5:30421104:30425728:-1 gene:TCM_025062 transcript:EOY09665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MGVLQVLSDGTVFRSKNIQFNMPVIDEKSVHFKDAMFDKSHNLYLRLYKPTSASVPGNNNKLPIIIFIHGGGFCLGSRMWPTCHSSCLRLSSGLNALVVAPDYRLAPEHRLPAAMDDAASAMKWLQGQALSGNTNDAWFGSGEVDFDQVFVVGDSSGGNIAHHLAVRLGAGSTELAPVRVRGYVLLAPFFGGVVRTKSEDGPSEALLNLEILDRFWRLSMPIGETRDHPLANPFGPWSPRLETVTLDPILVIVGGNELLKDRAEDYARRLKDMGKKIEYVEFEGKEHGYFNCDPHSDATNQTLQVISRFMSDNSS >EOY08088 pep chromosome:Theobroma_cacao_20110822:5:4344351:4356917:1 gene:TCM_022403 transcript:EOY08088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEYEILGLSITLLLWVAWAMVTGRHRRLEELGQLPPGPRWWPVVGNIFQLGWAPHESFAKLARQHGPIMTLWLGSMSTVVISSNEVAREMFKNHDVVLAGRKIYEAMKGDFGHEGSLITSQYGSHWRMLRRLCTTEFFVTSRLDAMQGVRERCVDRMVQFVEGAGANGTNSVDVGRFFFLMAFNLIGNLIFSKDLLDTKSERGAKFFYHAGKVMELAGKPNVADFLPFLRCLDPQGIRRKTQFHVKRAFEIAGEFIKERMESMENGDYKGKRKDFLDVLLEFRGDGVEAPSKFSSRTVNVIVFEMFTAGTDTTTSTLEWAMAELLHNPKTMKVVQAELRSTLDPGKKLEEKDIEKLPYLKAVIKETLRLHPPLPFLVPHMAMNSCKMLGYHIPKETQVLVNVWAIGRDSTTWQEPLEFKPERFLEANTVDYKGHHFEFIPFGSGRRMCPAVPLASRLLPMALGSLLHSFDWTLADGLKPEDVDMTERMGISLRKSVPLKAVPIPHKRLLAFAAFFAGVAWASVRRHFQEPGQLPPGPRRWPVAGNIFQLSWREPPHLSITKLACQHGPITTLWLGSMCTVVISSGEVAREMFKNHDVVLAGRKIYESMKGNYGNEGSIITSQYGSHWRMLRRLCTMEFFVSSRLDAMKGVRSKCVDQMVEFIQNASAFGTNAIDIGRFFLLLTFNLIGNLLFSYDLLDPKSERGANLLYHTGKVIEFAGTPNVADFFPILKRFDPQGIRRKAQFHIEHAFRIVREFIEERTETTENGYNGGKTRKDYLDVLLEFDGDDIGKPSRFSSTTINVIVLEMFTAGTDTTAGTLEWAMAELLHNPPILKKLQAELRSTIGSGRKLEEKDIENLPYLNSVIKETLRLHPPLPFLVPHMAMNSCKMLGYKIPKETQILVNVWAIGQDPNTWDDPLEFKPERFLELNNMDYKGQHFEFIPFGSGRRMCPAVSLASRVLPLALGTLLHSFDWILAGGLKPEDMDMTGRMAMTLRKSVPLNVIALPYK >EOY11784 pep chromosome:Theobroma_cacao_20110822:5:40309119:40311083:1 gene:TCM_026852 transcript:EOY11784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTQSNRGQLHYCDWCIYITKVHQFTSFYHLFGYCPAWRWNQHTKIQKTGDGHFRLIIWGLVEFECFFKGEGICPLIFKVVENTLVLNL >EOY08430 pep chromosome:Theobroma_cacao_20110822:5:8130674:8134409:1 gene:TCM_022855 transcript:EOY08430 gene_biotype:protein_coding transcript_biotype:protein_coding description:NC domain-containing-related-like protein MRKGAQLYKNRTPYIHPFLFMDVKLGARLVIILPNPKTSIDFSSQIISNKCRWYNKRGIQEELSKEEQQMGMLTHRINKESLKPGDHVYCWRIGFLYADHGIYVGDDKVIHLLRCCSEGRGSLLDLPLNISMLAQSQPSCPSCTQTKRDGIICSCLNCFLGGRVLRRYEYGVNNAVFIVKVRGGTCTRAVSDSADVAVHRAKYLLDYATNSYKLFMNNSEEFAIYCKTGVALAGYGTPVLKGQAASIPSFLLAACMSAPLHLSKANGLCIAATLFGLYSSLRFILDIRRNGDEVKVIVEDLGIKPRSGLWPVTLLFCFFSMILRYGTIIYLLLHENVNDGWILLKCHSSEEVGQRKLLFQPLSDREPGEAIVASAFASLPVIALIHELEALRMDLPIARD >EOY08300 pep chromosome:Theobroma_cacao_20110822:5:6195517:6198201:-1 gene:TCM_022638 transcript:EOY08300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell wall invertase 2, putative MILFCSSMNTISKFPSLCLLVCYQLVAIINFNNGVEASHRVYPDFQSLSAVSVRQLHRTGYHFQPLKHWINGPMYYKGLCHLFYQYNPKAAVCGNIVWAHSVSKDLLNWQSLELAITPTAPFDIKGFWSGLATILPGYKPVLFYTGIDLRNNEVQNYAVPENASDPYLRKWNKPSGNSIMVADKGMNARDFRDATTAWQANGYWNLLVGSKSDRTGIAYLLKSKDFKKWTKAQPPLHSAPNTGNVQIFILFQSLVKRAWTHLYKGKM >EOY08312 pep chromosome:Theobroma_cacao_20110822:5:6282049:6286695:1 gene:TCM_022650 transcript:EOY08312 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein MAATSSYDRLKELKEFDESKIGVKGLSDSGIKTIPDFFKHPPQTPPDLKSKSSQGNTNIPVIDLSNVSSPDHRQEIVNQIKEAAKSWGFFQVINHGVPIPVLEETIRAIKAFHEKPREIKSKYYKREESQGVMYASNNDLYRAEVACWHDSLQAWMGPKPLDPEDLPAVCRKEMVTWDLSATKVAETVMGLLSEGLGLEEGKFKDLTFSDARVLVGHIYPHCPQPDLTMGITSHTDPGVVTLLLQNQIPGLQVMHGDEWVNIKPVHGGVIINVGDFLQIVSNGEYKSVQHRVLANSCKEPRISVVEFFNLSKWKGDGYFGPLPELLSAEKPAIYRDFSAQEFLENFYTKGLDSTSLIDKITINHD >EOY10870 pep chromosome:Theobroma_cacao_20110822:5:36882434:36883732:1 gene:TCM_026165 transcript:EOY10870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANHWLSSSTGRQQNIMNLIVVRLTSVYQACPMVVFILECLLAGSFSMNPVFLRYPKNCSILFIQHTIFTCNTTMATMISLAVNLNVYRIYKHVTPVMSVNSTYIFAVLGCYPHSNINAISIVSPIF >EOY09042 pep chromosome:Theobroma_cacao_20110822:5:25014278:25043150:1 gene:TCM_024375 transcript:EOY09042 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MSNLFIKQAKHYAVARPSYAPELFQFIASKTPEHDLAWDVGTGSGQAAQSLAEIYKKVIATDTSPTQLELASKLPNIQYQHTSPVMSLAEVEQKVAAASSVDLVTVAQAIHWFDLPVFYKQVKMVLKKPHGLIAAWCYTTPEVNDYVDKILQRFYRNPYWDPQRKLVDHKYIGIDFPFEPVDGADSTGPFEFANERLMGLEDYFTYLRSWSAYQKAKEKGVELLSQDVVEDFKRAWKEDGNNDQKRVNFPVYLRIGKVGL >EOY08411 pep chromosome:Theobroma_cacao_20110822:5:7881866:7932337:-1 gene:TCM_022826 transcript:EOY08411 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein MDAMVVVHKANVTTRYSLRARDNRRDVSIDIHYPCLLYEMALLLLEYLNLDTRFDPPIAMGKIGLPSFFAMIPPFEGLTPLSQNLNFQAKNEGKIDPKVGSVDINGKRKKRTLTGKFIKVKQSKKRAMGNSQSPPANPRFTSASRSFTPKELEDLKSLFASLAAQSQSNGQYISSSVFQVYFGLKGPLGDRMFDLATQGRKDDKLSFEDLVTTKGTYEKGTNDEIEEFIYRLLDVTNDEILTRSDLESVLITMFDHIFHLKGSGPGSRSNCYMVDTFLNAASFSKDHDGCNDKSMSFEDFKSWCSLVPSVKKFLASLFVPPDPGRLGSQVPKLQHLENIDSSVILLRDEYAWHIGGALSQEELEEWKLLYHSALNGLSFNTFLGNVSNNDGPTVLIIKDREGYIFGGYASQPWERHSNFYGDMKSFIFQLYPKASIFRPTGANSNLQWCAVNFSSEAIPNGFGFGGRINHFGLFLSAGFDQGHTFSCTTFNSPCLSKTSQICPEVIECWGVVRKGMEHEKQDVVKGTVLERFKEERHMLNMVGIANASE >EOY06988 pep chromosome:Theobroma_cacao_20110822:5:116827:122355:1 gene:TCM_021539 transcript:EOY06988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase 4 MDKLEELPLTICRLNKKAISNDVVTVGYIMKPSREEDFAKRGAFPMCATPNGLIFLPLTFKIPISTQLEEVDVILHKATDEIVSIELNSFSEPSYRIAFTTGMQELQRNIENHNHCFEVDPLSSIYPVLDRLTIQQILLGLEDLNSGGRCKVRAPHFFKVDRFDEPDLVQRLRDAKLSVPSIVKPQVACGVADAHSMAIVFRVEDFKDLSVPLPAVVQEYVDHSSTLFKVYVLGERVFHAVKKSTPNADILMKSSEINGLRPLLFDSLKSLPTGTGNQHSGGQDLCLDLALVKEAAEWLSRRLGLTIFGFDIVIQEGSGDHVIVDVNYLPSFKEVPDGTAIPAFWDAIKKKVDSKAMKQASVAASHGM >EOY10554 pep chromosome:Theobroma_cacao_20110822:5:35318389:35322872:1 gene:TCM_025890 transcript:EOY10554 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD (Development and Cell Death) domain-like protein MSCLFAKIAMEFEGGLKKKISGKFPEFGAIFMSNASTRVECFERKLFGLPMSSADFVKGVKVGMILFLFEYEKRELHGVFKATSHGELNIIPHAYSSSGKKFPAQVRFTTIWHCHPLQENEFQDAIRNNYFATNRFNFGLSKDQVHRLLRLFDSRKIRVFQSQIFIGGETRKRYHKSSLKKRETEKDKSNHTKSARKKLKRDIDSVSHSNVEPLAYSGKLIVAKDESQLLDSGDSHIECEESDSMHCNCSYCPEGPYSQGSLAFVASHSSASGQELCPHPDHAFQSVPVSVGEELKELETSGNCDIDLGDYIPLLPSDDSDSTDTRPSPESGCVMKDHVGLPFSASGLYENTCQPVPTASPITKVDDVRINENAYSLKSPMHYCQENSNSDFIYQYASVCGLYSDDSNNRSSVFSRLNFFSGVQDKEADTRVEKLVYKTRKQKTCSIEVDKSAQDFMEGLQQMHDKWKKTVIVSRSVERANDHTANKKTSVSMCLSRTSEPDVQDNDISTRLQGRHDKQRNMRETKSPVSGGKRTSVFLRLSRTSEPDVNNSGSATLLQERHDKQRKKQETECPVAGKFITYHIW >EOY11408 pep chromosome:Theobroma_cacao_20110822:5:39181434:39184663:1 gene:TCM_026594 transcript:EOY11408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MEDSNIAAAPPAMPPGLSTAKNHTYHNPHRFYSKSQNHQHGTNLSLNFIIIIIISIILIIAVFAIFLIIALLRRLKSVRKHGSCKESGILNNTSSRFIAHNSINLNSSPDVEARCLNGGSIERTPPSRYRGVQVFTYKELELATDNFSEANVMGSGGFGVVYKGTLADGTVAAIKRLQRDGKREEHAFRMEVDLLSRLNSPYLVELLGYCADQHHRLLIFEFMPNGTLHQHLHHPSSQYRPLDWGTRLRIALDCARALEFLHEHAVPTVIHRDFKCTNVLLDQNLRAKVSDFGLAKMVSDKTNGQISTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLQLLTGRVPVDIKRPPGEHVLVSWALPRLTNRDKVAQMVDPAIQGQYSKKDLIQVAAIAAMCVQPEADYRPLMVDVVQSMIPLVKNCNSINSPGSSRVYRQTSTPKY >EOY07471 pep chromosome:Theobroma_cacao_20110822:5:1678448:1680110:1 gene:TCM_021900 transcript:EOY07471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein, putative MESIRSPYWCYRCNRFIRIRVRSPQDSIHCPDCGGGFIEEIETPSRSPIHQRFPAAAMYSDTPSPALSPSPTATPRFRRARRNAGDRSPFNPVVVLRGPTSETDGVVPERGNNNFELYYDDGSGSGLRPLPARMSEFLMGSGFDRLLDQLSQLEVNGVARFEQPPASKAAIESMPVIKIVGSHVSTESHCAVCKEPFVLDSEAREMPCKHIYHSDCILPWLSIRNSCPVCRHELPTESSGNNLGENEAVRDEEAVGLTIWRLPGGGFAVGRFTGGRRAAEREFPVVFTEMDGGFNNAGAPRRISWAPSGRRSQESRGLGRVFRSFVSFFGRFRSSSSRSGSDSRFTRRSRSSSVFDRSSRRDSDWDFED >EOY09314 pep chromosome:Theobroma_cacao_20110822:5:28187711:28190272:-1 gene:TCM_024735 transcript:EOY09314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF23) [Source:Projected from Arabidopsis thaliana (AT2G33570) TAIR;Acc:AT2G33570] MRKEAAPSSAPSTAASFGKLFVCFETKPLVATLLALTLVMLLWNLPPYYQNLLSTTRPCSAPSLTSAAAATTSLLATNVSLPYTATPVAEKKYYSAPKAKPRDPNKRVFEAYGNAAALFVRMGAYRGGPTTFAVVGLASKPIHVFGRPWYKCEWISNNGSSYRAKAYKMLPDWGYGRVYTVLVVNCTFPFNPNQDNLGGKLMINAYYGESQRKYEKFTALEEAPGSYNESKYHSPFQYEYLYCGSSLYGNLSADRMREWMAYHAWFFGPNSHFVFHDAGGVTPEVRAALDPWVRAGRATMQDIRDQAEFDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPDGNTLESVLNEFSDYTQFTIEQNPMSSVLCLNNSSEQYSRQWGFEKLLFRESRTGIRRDRKYAIQAKNAYATGVHMSENVIGKTLHKTETKIRYYHYHNTITVHQELCREFLPLSAKNNVTWFNKLPYVYDDNMKKLANTIKEFERKTIGNGNLQ >EOY07527 pep chromosome:Theobroma_cacao_20110822:5:1864841:1869291:1 gene:TCM_021937 transcript:EOY07527 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein METQGVKLCTPSKQAIVSKVRVVVRVRPFLPQEIAARNGNSKSCVFLLDNQDSSDEVAVHLKDPNTSRNECYRLDSFFDQEDDNVRRIFYREVNSLIPGIFHGFNATVFAYGATGSGKTYTMQGTKELPGLMPLAMSTILSICQSTGCIAEISYYEVYMDRCYDLLEVKTKEISILDDKDGQIHLRGLSRIPINSMSEFHEVFSCGIQRRKVAHTGLNDVSSRSHGVLVIAVSTPCDDGSGPVTMGKLNLIDLAGNEDNRRTCNEGIRLQESAKINQSLFALSNVIYALNNNKPRVPYRESKLTRILQDSLGGTSRALMVACLNPGEYQESVHTVSLAARSRHISNVVSSAQKLETPKDKVDMEAKLQAWLESKGKTKSAQRMGLFSSRFIGKTPSSVSSAKKLNNNSSCKVKATAKEGASNAKDRGLFVTSRNLFNNEGVVNPNLEGAHLSAKGNKEEKKADVDEIMLESTMDLAEEPPSKEERKTAMQSPLRKVLSPINSIINPGSLKEQSCKDQFFALSYEPNTPKTPSMASATNKFQDVGTPIDKFNAWSSNIKSSLIQEFIEFLNTASREELQGLKGIGSKMTEYILELRVTSPLKSLSDLEKIGLSSKQVYNLFSKAVKGIFNRPEIATPGPSCS >EOY09199 pep chromosome:Theobroma_cacao_20110822:5:27067719:27070076:-1 gene:TCM_024598 transcript:EOY09199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAEVVEKKGKMWVTMGIVRSGKTYCLIEETLFLIEIGALHLLDENGICLSLKEVYEKLSDESGCYWELFEIYRHLKSLGYIVGRHGIPWSVKGLKIKTGTCSLQGSEERNELLEMEPKDTSIIIDLFDNMQINEVSPAFDVYLPNSRFRKSSPGDPSFFLYLSRGNPPSKVEIEALERKQGVVPLKFCHVENGRVSFFSFDRVELAVLP >EOY09198 pep chromosome:Theobroma_cacao_20110822:5:27067308:27071903:-1 gene:TCM_024598 transcript:EOY09198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAEVVEKKGKMWVTMGIVRSGKTYCLIEETLFLIEIGALHLLDENGICLSLKEVYEKLSDESGCYWELFEIYRHLKSLGYIVGRHGIPWSVKGLKIKTGTCSLQGSEERNELLEMEPKDTSIIIDLFDNMQINEVSPAFDVYLPNSRFRKSSPGDPSFFLYLSRGNPPSKVEIEALERKQGVVPLKFCHVENGRVSFFSFDRVELAVLP >EOY09197 pep chromosome:Theobroma_cacao_20110822:5:27067429:27071621:-1 gene:TCM_024598 transcript:EOY09197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDAKDWESCSDNEIYLQDRSEDDGEESYYSSGFLSKLQFRKDISKARWINDLAMAEVVEKKGKMWVTMGIVRSGKTYCLIEETLFLIEIGALHLLDENGICLSLKEVYEKLSDESGCYWELFEIYRHLKSLGYIVGRHGIPWSVKGLKIKTGTCSLQGSEERNELLEMEPKDTSIIIDLFDNMQINEVSPAFDVYLPNSRFRKSSPGDPSFFLYLSRGNPPSKVEIEALERKQGVVPLKFCHVENGRVSFFSFDRVELAVLP >EOY07676 pep chromosome:Theobroma_cacao_20110822:5:2399117:2400625:1 gene:TCM_022055 transcript:EOY07676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin F-box MKNAKADWKNLPTLPLFLILEKLDVPNNLVRFGVVCKYWHSVFNNFLDSKRRSSLTLVPMLLIPSKKRNIGRKLYSLQAKAKIYSIGLPESHTKRSFSCCYGWLAAVDKKLVITLLNPFKGGITIDLPEIEIETYQRSSLGYQHDIKKVFLSIDPLLHPDDYIVVTIYSIYSKLAFYKPGQRSWIYLDKNIMLIHDVIFYKNLVYAIGSWNKIISFDVSIDNLDDTWKSPNLKTVISAQYQRLKDYIYRAHLFESSKGDLFSIYREWGAVDDNDHLVRFTKNFKVYKLVLDDQSGELLEEKEVKNINGDIVFVGNNQTLAVSALDFPEAQPNSIYFTDDYFIGSYYKPYGPRDIGFFNLQNGSMGKHYQFEPQHTFLSPYIWILPSVKCKLGSA >EOY11096 pep chromosome:Theobroma_cacao_20110822:5:37931362:37933768:1 gene:TCM_026371 transcript:EOY11096 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein MAEEQQRFQIPRVKLGNQGLEVSKLGFGCMGLSGAYNDPLPDDVGISIIKHAFDRGITFFDTSDIYGPKTNEVLVGKGLKQLPREKVQLATKFGFAKMEATGVTVNGTPEYVRASVEASLKRLDVEYIDLYYQHRVDTTTPIEDTMGELKKLVEEGKIKYIGLSEASPETITRAHAVHPITAVQMEWSLWTRDIEEEIVPLCRQLGIGIVPYSPLGRGFFGGRAVEESVPANSFLRFFPRFQGENFDRNKILYLKVKKMAEKHGCTTAQLALAWVLHQGDDVVPIPGTTKTKNLDGNIDSLRVKLTEEDLQEICDVIPINEVGGARMPDGLSHLTWKFANTPPKDSKIST >EOY08000 pep chromosome:Theobroma_cacao_20110822:5:3813035:3837604:-1 gene:TCM_022317 transcript:EOY08000 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MPLNLIHILGKWTSSTSSKTGSNLVKQGGSKKEPKPTIHLDDATFPSKSAKGARRIKIMREFSFPPLFDASFPFLLKFVTHNSMASSSSIGGSLPAPEAVQVIVSSLADESPMVREASMASLKDISPLNPLLVLDCCSAVSRGGRRRFGNMAGVFQVMAFGVRALDKKDIDASYMGKLAKIATAEIISSKELNADWQRAAASLLVSIGSHLPDLMIEEIFLHLSGPSSALPAMVQILADFASADAMQFTPRLKGVLSRVLPILGNVRDAHRPIFANAFKCWCQAVWQYNVDFPSDSPLDGDVMSFLNSAFELLLRVWAASRDLKVRISSVEALGQMVGLITRTQLKAALPRLVPTILELYKREQDIALIATYSLYNLLNASLLSETGPPLLDFEELTVILSTLLPVICMNNDSKEHSDFSVGLKTYNEVQRCFLTVGSVYPEDLFTFLLNKCRLKEEPLTFGALCVLKHLLPRSSEAWHNKRPLLLDAVKSLLDEQNLGIGKALSELIVVMASHCYLVGPYAELFVEYLVCHCALSEHDRHDLESSQVKIGSVCPTELRAICEKGLLLLTITIPEMEHILWPFLLKMIIPQAYTGAVATVCRCIAELCRHRSSYNNNMLSDCKARSDIPNPEELFARLVVLLHNPLAREQLATQILTVLCYLAPLFPRNINLFWQDEIPKMKAYVSDPEDLELDPSYQETWDDMIINFLAESLDVIQDTDWVISLGNAFTKQYSLYAPDDEHSALLHRGLGILLQKVNDRGYVRGKIDWMYKQANIAIPTNRLGLAKAMGLVAASHLDAVLDKLKDILDNVGQSIFQRFLAFFSESYRTEDSDDVHAALALMYGYAARYAPSMVIEARIDALVGTNMLSRLLHVHHPTAKQAVITAIDLLGRAVINAAENGAPFPLKRRDQLLDYILTLMGRDETDGFADSSLELLHTQALALNACTTLVSVEPKLTIETRNHVMKATLGFFALPNDPIDVINPLIDNLITLLCAILLTSGEDGRSRAEQLLHILRQIDQYVSSSVEYQRRRGCLAVYEMLVKFRMLCVSGYCALGCRGSCTHSKQIDRTLHGNFSNLPSAFVLPSREALSLGDRVIMYLPRCADTNSEVRKISAQILDQLFSISLSLPRPLGSSVGGDIELSYGALSSLEDVIAILRSDASIDPSEVFNRIVASVCVLLTKDELVGTLHGCMPAICDKIKQSAEGAIQAVIEFVTKRGIELSETDVSRTTQSLLSAVVHVTEKQLRLEVLGAISSLSENTNAKIVFNEVLAAAGRDIVTKDISRLRGGWPMQDAFHAFSQHIVLSVLFLEHLISVLNQTHFTKSDPGKGENSSLLSETQLEDEILQAAIFALTAFFKGGGKVGKRAVEQSYSSVLAALILQFGSCHGLASSGQHEPLRALLTSFQAFCECVGDLEMGKFLARDGEQNEKEKWINLIGDLAGCISIKRPKEVQNICKIFTKSLNRQEKTQREAAAAALSEFVCYSSGFSSLLEEMVEVLCRHVSDESPAVRCLCLRGLVKIPSVHIYQYTNQVLGVILSLLDDLDESVQLTAVSCLLTISMNVKMRADAFAAFGALSNYGVGAHKDAFIEQIHATLPRLILHLHDDDLAVRHACRNTLKRFATLMEIEGLLALFNSHSINSDHRDYEDFVRDFTRQFVQHLSSRVDTYMVSTIQAFDAPWPIIQANAIYVSSSILSLSNDQHILALYFTQVFGLLVSKMSRSADAVVRATSSSAFGLLLKSTNSISWRVARLERADSGRKGHDSESAKK >EOY07999 pep chromosome:Theobroma_cacao_20110822:5:3812767:3836059:-1 gene:TCM_022317 transcript:EOY07999 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MASSSSIGGSLPAPEAVQVIVSSLADESPMVREASMASLKDISPLNPLLVLDCCSAVSRGGRRRFGNMAGVFQVMAFGVRALDKKDIDASYMGKLAKIATAEIISSKELNADWQRAAASLLVSIGSHLPDLMIEEIFLHLSGPSSALPAMVQILADFASADAMQFTPRLKGVLSRVLPILGNVRDAHRPIFANAFKCWCQAVWQYNVDFPSDSPLDGDVMSFLNSAFELLLRVWAASRDLKVRISSVEALGQMVGLITRTQLKAALPRLVPTILELYKREQDIALIATYSLYNLLNASLLSETGPPLLDFEELTVILSTLLPVICMNNDSKEHSDFSVGLKTYNEVQRCFLTVGSVYPEDLFTFLLNKCRLKEEPLTFGALCVLKHLLPRSSEAWHNKRPLLLDAVKSLLDEQNLGIGKALSELIVVMASHCYLVGPYAELFVEYLVCHCALSEHDRHDLESSQVKIGSVCPTELRAICEKGLLLLTITIPEMEHILWPFLLKMIIPQAYTGAVATVCRCIAELCRHRSSYNNNMLSDCKARSDIPNPEELFARLVVLLHNPLAREQLATQILTVLCYLAPLFPRNINLFWQDEIPKMKAYVSDPEDLELDPSYQETWDDMIINFLAESLDVIQDTDWVISLGNAFTKQYSLYAPDDEHSALLHRGLGILLQKVNDRGYVRGKIDWMYKQANIAIPTNRLGLAKAMGLVAASHLDAVLDKLKDILDNVGQSIFQRFLAFFSESYRTEDSDDVHAALALMYGYAARYAPSMVIEARIDALVGTNMLSRLLHVHHPTAKQAVITAIDLLGRAVINAAENGAPFPLKRRDQLLDYILTLMGRDETDGFADSSLELLHTQALALNACTTLVSVEPKLTIETRNHVMKATLGFFALPNDPIDVINPLIDNLITLLCAILLTSGEDGRSRAEQLLHILRQIDQYVSSSVEYQRRRGCLAVYEMLVKFRMLCVSGYCALGCRGSCTHSKQIDRTLHGNFSNLPSAFVLPSREALSLGDRVIMYLPRCADTNSEVRKISAQILDQLFSISLSLPRPLGSSVGGDIELSYGALSSLEDVIAILRSDASIDPSEVFNRIVASVCVLLTKDELVGTLHGCMPAICDKIKQSAEGAIQAVIEFVTKRGIELSETDVSRTTQSLLSAVVHVTEKQLRLEVLGAISSLSENTNAKIVFNEVLAAAGRDIVTKDISRLRGGWPMQDAFHAFSQHIVLSVLFLEHLISVLNQTHFTKSDPGKGENSSLLSETQLEDEILQAAIFALTAFFKGGGKVGKRAVEQSYSSVLAALILQFGSCHGLASSGQHEPLRALLTSFQAFCECVGDLEMGKFLARDGEQNEKEKWINLIGDLAGCISIKRPKEVQNICKIFTKSLNRQEKTQREAAAAALSEFVCYSSGFSSLLEEMVEVLCRHVSDESPAVRCLCLRGLVKIPSVHIYQYTNQVLGVILSLLDDLDESVQLTAVSCLLTILDSSPNDAVEPILLNLSVRLRNLQISMNVKMRADAFAAFGALSNYGVGAHKDAFIEQIHATLPRLILHLHDDDLAVRHACRNTLKRFATLMEIEGLLALFNSHSINSDHRSDYEDFVRDFTRQFVQHLSSRVDTYMVSTIQAFDAPWPIIQANAIYVSSSILSLSNDQHILALYFTQVFGLLVSKMSRSADAVVRATSSSAFGLLLKSTNSISWRVARLERADSGRKGHDSESAKK >EOY08001 pep chromosome:Theobroma_cacao_20110822:5:3813091:3831171:-1 gene:TCM_022317 transcript:EOY08001 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MASHCYLVGPYAELFVEYLVCHCALSEHDRHDLESSQVKIGSVCPTELRAICEKGLLLLTITIPEMEHILWPFLLKMIIPQAYTGAVATVCRCIAELCRHRSSYNNNMLSDCKARSDIPNPEELFARLVVLLHNPLAREQLATQILTVLCYLAPLFPRNINLFWQDEIPKMKAYVSDPEDLELDPSYQETWDDMIINFLAESLDVIQDTDWVISLGNAFTKQYSLYAPDDEHSALLHRGLGILLQKVNDRGYVRGKIDWMYKQANIAIPTNRLGLAKAMGLVAASHLDAVLDKLKDILDNVGQSIFQRFLAFFSESYRTEDSDDVHAALALMYGYAARYAPSMVIEARIDALVGTNMLSRLLHVHHPTAKQAVITAIDLLGRAVINAAENGAPFPLKRRDQLLDYILTLMGRDETDGFADSSLELLHTQALALNACTTLVSVEPKLTIETRNHVMKATLGFFALPNDPIDVINPLIDNLITLLCAILLTSGEDGRSRAEQLLHILRQIDQYVSSSVEYQRRRGCLAVYEMLVKFRMLCVSGYCALGCRGSCTHSKQIDRTLHGNFSNLPSAFVLPSREALSLGDRVIMYLPRCADTNSEVRKISAQILDQLFSISLSLPRPLGSSVGGDIELSYGALSSLEDVIAILRSDASIDPSEVFNRIVASVCVLLTKDELVGTLHGCMPAICDKIKQSAEGAIQAVIEFVTKRGIELSETDVSRTTQSLLSAVVHVTEKQLRLEVLGAISSLSENTNAKIVFNEVLAAAGRDIVTKDISRLRGGWPMQDAFHAFSQHIVLSVLFLEHLISVLNQTHFTKSDPGKGENSSLLSETQLEDEILQAAIFALTAFFKGGGKVGKRAVEQSYSSVLAALILQFGSCHGLASSGQHEPLRALLTSFQAFCECVGDLEMGKFLARDGEQNEKEKWINLIGDLAGCISIKRPKEVQNICKIFTKSLNRQEKTQREAAAAALSEFVCYSSGFSSLLEEMVEVLCRHVSDESPAVRCLCLRGLVKIPSVHIYQYTNQVLGVILSLLDDLDESVQLTAVSCLLTISMNVKMRADAFAAFGALSNYGVGAHKDAFIEQQIHATLPRLILHLHDDDLAVRHACRNTLKRFATLMEIEGLLALFNSHSINSDHRDYEDFVRDFTRQFVQHLSSRVDTYMVSTIQGF >EOY11109 pep chromosome:Theobroma_cacao_20110822:5:37980304:37984180:1 gene:TCM_026383 transcript:EOY11109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein, putative MFDGVPDQFHQFIASSAAAAAAAAVAAARTTTLPLPLSFPPLHLANSSNGFTSFDTLYTSNSHNQVPPQLQQQQPHFLHPLHPQHQTQKNEEKEENTGLVRMNMEIERERSMPESIDNHHHHHHPWSNDEVLALLRIRSSIENWFPEFTWEHVSRKLAELGFKRSAEKCKEKFEEESRYFNSINCSKNYRLFSELEELCQGENPPPPHHNQQVVGATEKNKNVEKSREDEDNMGQNLEDDSRNIDEYQTTAGNNAPEDNERVVENKADNKNSSNRKRKRQKKFEMIKGFCEDIVNKLMNQQEEMHNKLLEDMVKRDEEKVAREEAWKKQELDRINQELELRAKEQAIAGDRQATIIKFLSKFASTGSSKCFRRSNEALFKVPNDSNPPSTSSSLVPAQNPNPIVNAQSQGDQVSSTTLSTMVLGHQNSGSCPTDNNQIKATSMTENQAPENPNPKTLTSSALALAPKNPNPVNAQSNPSPPTSSVTVNKAPLTPTSNDKEDLGKRWPRDEVLALINLRCSLYNNGDHDKEGAAIKAPLWERISQGMSELGYKRSAKRCKEKWENINKYFRKTKDVNKKRSLDSRTCPYFHQLSTLYNQGTLIAPSEGLENRPALPENHSAALPESGNDNSSQRGPAKDSTVHFSEGETNMVQVPAFEFEF >EOY10789 pep chromosome:Theobroma_cacao_20110822:5:36535834:36540111:1 gene:TCM_026102 transcript:EOY10789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSTSASHISPPIFDGKNYQVWAVKMETFLRAYDLWTAIETEEEPAALRDNATINQIKQHSEKVAKRYRALSFIQAAVSEKIFSRIMSCKTAKKTWTKLEEDYLVCFIVLMVSINVVFELSFDVLVCMYTNTDTC >EOY09572 pep chromosome:Theobroma_cacao_20110822:5:29930909:29931530:-1 gene:TCM_024988 transcript:EOY09572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFRSNGSQNALHSTSERSLDSTARSQWRPSPGSQESGQSRILIGWIPPGLEIMFRSGKNLESSESLGSEDNTEI >EOY09439 pep chromosome:Theobroma_cacao_20110822:5:29139240:29141144:-1 gene:TCM_024858 transcript:EOY09439 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L6-1 MAPKRKTPVKCRNPDLIRGVGKYSRSKMYHKRGLWAIKAKNGGVFPRHDPKPKAPAAAEKLPKFYPADDVKKPLLNKRKPKPTKLRASITPGTVLILLAGRFMGKRVVFLKQLTSGLLLVTGPFKINGVPLRRVNQSYVIATSTKVDISGLNVEKFDDKYFAKEVEKKKKKGEGEFFEAEKEDKKKLPDDKKEDQKSVDAALVKSIEGVPALKAYLAARFSLKSGMKPHELVF >EOY09330 pep chromosome:Theobroma_cacao_20110822:5:28341565:28358067:-1 gene:TCM_024752 transcript:EOY09330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLEVLIIVRSGERLRMSLEMKGNRGYGLGNIYAPKREWKRQEFWLFLYDFVRSFGVAWILGGDFNTIRNEDEHSRVSNISNSCFQFSCFVKGLNLVDLPLLEDKYTWGNNRKVPIFSHLDMFLLDFEISLCMLMNKAMEIWLVKGFPRIYTLSINKTGKVVEFEMWVKNIWSWKVNLCKNLLGREMDQWDRFMMLINNACLSKDLRDNLVRKESLSSTYSAKSCCEWACSINVIFGSDFANAIKWDALVRDDKGKSNRLDMKSEKRLEDMGGGLVQLVGILTLKESKKKNPNAGAEEDFEWLKRSAIGLLHDLSQFDRIQDKHAREARRRTNTTESLKVNGEECEEPKVIRQMIRNHFVKLYGACLKVFVRKIRCEIRKLSKSSRNALDEPFSEREIWDTIFNCDRDKAPGLDGYNLNFFKRQWSIVKRDIMDFMEFFFQRWELAFGLKINFNKSNLFGVGIEQNIPRTWADSVCCLVRSLPSTYLGLPLGANPCNLQMWQSLIQRVEAGLNEWKSKLLSMGGKVIILRAMLASLPLYYMSLFKLSQGVIKELEKMQRRFLWCRGGSKDKIHMGNGLTIPPTKHDLRAILEAFTIFAALKWAETHSLEFESDSLNAVKWSNNPNRAPWKYRRWMLRIENLKGRIKEWNVVHTLRSANNAADMLAKKGVMRESDLFHVYL >EOY09067 pep chromosome:Theobroma_cacao_20110822:5:25404133:25405392:1 gene:TCM_024427 transcript:EOY09067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKLWNFLSFSFSFFVACFPLKDRQPHNIFHCKNESSTRKIGNNFHWKPYFATWIGNNYLPFIFSTIPWAVKLKTCPFCSLLARVWFLLLNIL >EOY09153 pep chromosome:Theobroma_cacao_20110822:5:26620734:26624364:-1 gene:TCM_024552 transcript:EOY09153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 71, subfamily B, polypeptide 10, putative MIESISQSSAYETPINLTQKMMALTIHIIREVALCKSALDKQLGTNKFQELVHEAFSIMGSFFAFDFFPYVGYIIDKTTGLHGRLEKTFRDLDLLYQKVIDDHLHIERHVKLGS >EOY09753 pep chromosome:Theobroma_cacao_20110822:5:30910541:30911675:-1 gene:TCM_025139 transcript:EOY09753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQWQIVQRHEFAENGEGSFQANNPLSSVQDVTGCKKMPTPASHHAILVFIIPVLTAFIQVKFQTGDHLTDINIMLPETIQSILYTLYVQLSNSANIQKDSSKHHANGLRYTSCPTMPCSEDESTFASNFHRCNLI >EOY08261 pep chromosome:Theobroma_cacao_20110822:5:6017023:6018474:1 gene:TCM_047049 transcript:EOY08261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein, putative MGCVSSKLVKKEIKREILLNNGGDYVNHVVSLKSSTYGVLKLDNEIEQQEEVGISETKRVQRSPPREEPEVINAWELMEDLEEDGTIKRSPKSRVFARGVGQKDVRSPLKFLNQIGSPLKMRRFGGKENKGRVDGKSDFSPKSILRVNNSLDGSCKAVLKLSYPVKRTRSEGFEGGDSGFSARRRSFSPLFDPELVALYEKELSEEEEQIKRIISPEPEIRKWKKSQDSKAILQVFEEKCPAAGENAVVIYTTTLRGIRKTFEECNTVRSIIESYDIQMFERDISMDSGFKEELRKLTGTKEVKVPLVFVKGRLIGGVEEIVKLEEEGKLEILFNGIPRAIPGCEGCAGVRFVMCTECNGSCKVLDGDQKKIRCGECNENGLVQCPICC >EOY08155 pep chromosome:Theobroma_cacao_20110822:5:5116125:5118395:1 gene:TCM_022495 transcript:EOY08155 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ / Sec63 Brl domains-containing protein MAASEENSALFPIFVLTIMALPLVPYTLVKILNAFSKKTMTIHCQCSVCSRSGKYRKSIFKRISNFSTCRNLTLVLLWIIMVMLIYYIKHTSHEIKVFEPFRILGLEPGASDLDIKKAYRRLSIQYHPDKNPDPGTQLFC >EOY10592 pep chromosome:Theobroma_cacao_20110822:5:35509635:35512817:-1 gene:TCM_025921 transcript:EOY10592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cap-binding protein isoform 2 MEISEKKEAEINNSSKDSNNNSNIKVEFTDSDTNNMNKEAEERQARELKAGLHPLKHKYVFWYTRRTPGVRTQTAYEDNIKKIVDFSTVEGFWVCYCHLARPSTLPSPTDLHLFKEGIRPLWEVIIEGLTFKLCVPITVSIKLYNSCQILNILFKMMPMCFVIKFGISQDSANCNGGKWIIRFKKVVSGRFWEDLVLALVGDQLDYGDNICGAVLSIRFNEDILSVWNRNASDHQAVMALRDSIKRHLKLPHGYVMEYKPHDASLRDNSSYRNAWLRG >EOY10591 pep chromosome:Theobroma_cacao_20110822:5:35509256:35513070:-1 gene:TCM_025921 transcript:EOY10591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cap-binding protein isoform 2 MEISEKKEAEINNSSKDSNNNSNIKVEFTDSDTNNMNKEAEERQARELKAGLHPLKHKYVFWYTRRTPGVRTQTAYEDNIKKIVDFSTVEGFWVCYCHLARPSTLPSPTDLHLFKEGIRPLWEDSANCNGGKWIIRFKKVVSGRFWEDLVLALVGDQLDYGDNICGAVLSIRFNEDILSVWNRNASDHQAVMALRDSIKRHLKLPHGYVMEYKPHDASLRDNSSYRNAWLRG >EOY11352 pep chromosome:Theobroma_cacao_20110822:5:39056474:39059041:-1 gene:TCM_026565 transcript:EOY11352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant glycogenin-like starch initiation protein 5, putative MAVQSRSDYPKLFTLSLILLPSSLMILVVFFGSKNDISDTVKQAQTDSQVFTYPRWFDLVREEIDGKKIKVGLVNFDDEEPAYKMPGSVVSTVHVRFDHVSKAIKWGDFFPEWIDEDQKFSLPLCPAIPMPRLEDYRDLDVVVARVPCDGWTGKSGLRDVFRLQVNLVVANLLVESGWVMEPDVKRVVYAVFVGSCGPMSEIFRCDDLLRKAGDHWVYKPELRRLKQKVLMTLGSCQIAQPYAKTGKEVWRYYLAEDKVKKQEYSVFHQKEAYVTILHSSEAYVCGAIALAQSIILSNSTRDPFFNLLSNSTRDLLLLHDENISPKSLTGLRAAGWKTRQIERIRSPFAKKGSYNEWNYSKLRIWLLTWYDKVIFIDADILVLKNMDDLFVYPPLSAAGNYDKTLFNSGIMVVEPSLCMFEDLMMKSFEVGSHNGGDQGFLNEVFTWWHRLPSKVNFLKIFQRNGSRQNIRDDLSAIHYLGLKPWMCYRDYDCNWDVKEKQIFASDKAHEKWWQVYDAMPENLQQYCGLTENMDCTIKKWRKIASYLRLPDEHWRIDVKDPRQYNLVQ >EOY10249 pep chromosome:Theobroma_cacao_20110822:5:33766268:33770789:-1 gene:TCM_025625 transcript:EOY10249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 87, subfamily A, polypeptide 6 METWFLFLLTISISLLLKAFLNLFYPSKKLLHTLPPGPATFPIIGGILWLRKSFFQIEPILRDLRPKLGPMVTINIGPRPTIFVFDRSLAHQALVQSGSLFSDRPKALPTNKIMNTNQHSISSAFYGPTWRLLRRNLTSEILHPSRIKSYSHARKWVLEILFDGLQLKANTGEAVQVLAQFKYSMFCLLVLMCFGDKLSQDQIKEIEAVQQRVLLGFGRFNILNFWPRVTKVLLRKKWEQLYQLRKDQEDLLIPLIRARKKAKEENLNKKESDDYVLAYVDTLLDLELPEDKRKLEEGEIVTLASEFLNAGTDTTSTALQWIMANLVKYPYVQDKLLLEIKGVVGDGAEEIQEDDLQKMPYLKAVILEGLRRHPPGHFVLPHCVTEDTVLGGFLVPKNGTVNFMVADMAWDPKVWEDPMAFKPERFLRSDDSSGEVFDITGSREIKMMPFGVGRRICPGIGLALLHLEYFVANLIWKFEWKAIDADEISLEEKQEFTTVMKTPLRAHMSPRER >EOY08998 pep chromosome:Theobroma_cacao_20110822:5:24652665:24660848:1 gene:TCM_024333 transcript:EOY08998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo sac development arrest 7, putative MANHGGKMKSVSINGVKMYTISSHLRSVAAWISLKKQRSLRKDKNHTERVELIQDLRFETATSKIKITPDGEFLIASGIYPPQVKVYELRQFSMKFERHLESEIIDFQVLADDYSKLAFLCADRSVNLHAKYGKHYSLRIPRMGRDIAYDCWSCDLLCAASSPDLYRINLEQGEGMTSIEPTAGAINDICVFNDSGLMLLALDSTQIPAYFIPALGSVPKWCSSLESLTEELEEGGQTSIYDNYKFLTKEELEKLNLTNLIGTNLLRAYMHGFFIDYRLYKKAKAFADPFAYETYIE >EOY10567 pep chromosome:Theobroma_cacao_20110822:5:35382536:35389602:-1 gene:TCM_025900 transcript:EOY10567 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MVFKSKIKWVALFVLTLSLGSLVAHLSMTKFSSMNLVQYSAKDALSHDFPNIGSPVGRNKRLWGSVRSLESLQPYANPRNSYPVPNDNNNGFIYAKIFGGFEKIRSSICDLVTISRLLNATLVIPEIQESTRSKGISYKFKSFSYLYDEEQFIASLKNDVIIVKSLPEYLKAARRKNEFPTFKPKNSASPSFYVKEILPSLKKAKVVGLIITDGGCLQSILPPSMSEFQRLRCRVAFHALQFRPEIQILGRQMVERLQAWGQPFLAYHPGLVRDTLAYHGCAELFQDVHTELIQYRRDQMIKQGIINEELSVDSHLRRENGSCPLMPEEVGLLLRAMGYPSKTIIYLAGSETFGGQRLLIPLRAMFANLVDLTSLCSKTELLELIGPEAPLPPDTFQIPHPKSEEQLKEEWNKAGPRPRPLPPPPDRPIYQHEKEGWYGWITESDKEPGPSPMDLRMKAHRLLWDALDYIVSVEADAFFPGFNNDGSGWPDFSGLVIGQRLYERASSRSYRPDRKIIAALFKSTRDDMYHPKRNWTLSAKEHLNRSLSEDGLIRQSLLAKPTSFLSHPLPECSCRISSVEITKQTKDKDGGFLYGGEEECPKWMQSAGAEGVKYDDTESAEDDNDVVEQLESDGADVTSSLTSQIDNDEEWDPDD >EOY10566 pep chromosome:Theobroma_cacao_20110822:5:35382446:35389564:-1 gene:TCM_025900 transcript:EOY10566 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MVFKSKIKWVALFVLTLSLGSLVAHLSMTKFSSMNLVQYSAKDALSHDFPNIGSPVGRNKRLWGSVRSLESLQPYANPRNSYPVPNDNNNGFIYAKIFGGFEKIRSSICDLVTISRLLNATLVIPEIQESTRSKGISYKFKSFSYLYDEEQFIASLKNDVIIVKSLPEYLKAARRKNEFPTFKPKNSASPSFYVKEILPSLKKAKVVGLIITDGGCLQSILPPSMSEFQRLRCRVAFHALQFRPEIQILGRQMVERLQAWGQPFLAYHPGLVRDTLAYHGCAELFQDVHTELIQYRRDQMIKQGIINEELSVDSHLRRENGSCPLMPEEVGLLLRAMGYPSKTIIYLAGSETFGGQRLLIPLRAMFANLVDLTSLCSKTELLELIGPEAPLPPDTFQIPHPKSEEQLKEEWNKAGPRPRPLPPPPDRPIYQHEKEGWYGWITESDKEPGPSPMDLRMKAHRLLWDALDYIVSVEADAFFPGFNNDGSGWPDFSGLVIGQRLYERASSRSYRPDRKIIAALFKSTRDDMYHPKRNWTLSAKEHLNRSLSEDGLIRQSLLAKPTSFLSHPLPECSCRISSVEITKQTKDKDGGFLYGGEEECPKWMQSAGAEGVKYDDTESAEDDNDVVEQLESDGADVTSSLTSQIDNDEEWDPDD >EOY08622 pep chromosome:Theobroma_cacao_20110822:5:13993597:13997687:-1 gene:TCM_023432 transcript:EOY08622 gene_biotype:protein_coding transcript_biotype:protein_coding description:LETM1 and EF-hand domain-containing protein 1 isoform 4 MASRVISRRRSCVFSSPIRPTSLIRGFSWFEHRLSSTSEDSRGSGWLASHPYQNTDSKKVALPSVPNNELSYFLAAQFHRSNSFGISKSGYQVGNLDFVSPLGLRWFSQSARSASTATAGQPQLGSGNDGSEQQAPKKVKEASPEECDQAVEGLSTVKAKAKAKQVQDSPKSAQSIIKKVWAAFLGIGPALRTVASMSREDWAKKLRHWKDEFKSTMQHYWLGTKLLWADIRISSRLLVKLANGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQDTVKEMAKEIQNSRSGDVKKTAEDLDEFMNKVRTGAGVSNDEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPYGTDAYLRYMLRKRLQEIKNDDKMIQAEGVESLSEAELRQACRDRGLLGLLSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFSVSGKVRPEEAVQATLSSLPDEVVDTVGVTALPSEDSVSERRRKLEFLEMQEELIKVYYVNALLDVPCSGGGRGGGRG >EOY08619 pep chromosome:Theobroma_cacao_20110822:5:13988216:13997613:-1 gene:TCM_023432 transcript:EOY08619 gene_biotype:protein_coding transcript_biotype:protein_coding description:LETM1 and EF-hand domain-containing protein 1 isoform 4 MASRVISRRRSCVFSSPIRPTSLIRGFSWFEHRLSSTSEDSRGSGWLASHPYQNTDSKKVALPSVPNNELSYFLAAQFHRSNSFGISKSGYQVGNLDFVSPLGLRWFSQSARSASTATAGQPQLGSGNDGSEQQAPKKVKEASPEECDQAVEGLSTVKAKAKAKQVQDSPKSAQSIIKKVWAAFLGIGPALRTVASMSREDWAKKLRHWKDEFKSTMQHYWLGTKLLWADIRISSRLLVKLANGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQDTVKEMAKEIQNSRSGDVKKTAEDLDEFMNKVRTGAGVSNDEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPYGTDAYLRYMLRKRLQEIKNDDKMIQAEGVESLSEAELRQACRDRGLLGLLSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFSVSGKVRPEEAVQATLSSLPDEVVDTVGVTALPSEDSVSERRRKLEFLEMQEELIKEEEEEEEEEQAKLKESISNEKDVALKEMAASTAKEAQELAKAKTLEKHEQLCELSRALAVLASASSVSREREEFLRLVKKEIQLYNSMVEKEGTKGEEEAKKAYKAARKDSDRTAGKAIGDKVSSALIDRVDAMLQKLEKEIDDVDAKIGDHWRLLDRDYDGKVTPEEVASAAMYLKDTLGKEGIQELISNLSKDREGKILVEDMVKLGSETEDADTAEAGRS >EOY08621 pep chromosome:Theobroma_cacao_20110822:5:13989534:13997687:-1 gene:TCM_023432 transcript:EOY08621 gene_biotype:protein_coding transcript_biotype:protein_coding description:LETM1 and EF-hand domain-containing protein 1 isoform 4 MASRVISRRRSCVFSSPIRPTSLIRGFSWFEHRLSSTSEDSRGSGWLASHPYQNTDSKKVALPSVPNNELSYFLAAQFHRSNSFGISKSGYQVGNLDFVSPLGLRWFSQSARSASTATAGQPQLGSGNDGSEQQAPKKVKEASPEECDQAVEGLSTVKAKAKAKQVQDSPKSAQSIIKKVWAAFLGIGPALRTVASMSREDWAKKLRHWKDEFKSTMQHYWLGTKLLWADIRISSRLLVKLANGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQDTVKEMAKEIQNSRSGDVKKTAEDLDEFMNKVRTGAGVSNDEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPYGTDAYLRYMLRKRLQEIKNDDKMIQAEGVESLSEAELRQACRDRGLLGLLSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFSVSGKVRPEEAVQATLSSLPDEVVDTVGVTALPSEDSVSERRRKLEFLEMQEELIKVYYVNALLDVPCSGGGRGGGRGTSQVKRIY >EOY08620 pep chromosome:Theobroma_cacao_20110822:5:13988656:13997687:-1 gene:TCM_023432 transcript:EOY08620 gene_biotype:protein_coding transcript_biotype:protein_coding description:LETM1 and EF-hand domain-containing protein 1 isoform 4 MASRVISRRRSCVFSSPIRPTSLIRGFSWFEHRLSSTSEDSRGSGWLASHPYQNTDSKKVALPSVPNNELSYFLAAQFHRSNSFGISKSGYQVGNLDFVSPLGLRWFSQSARSASTATAGQPQLGSGNDGSEQQAPKKVKEASPEECDQAVEGLSTVKAKAKAKQVQDSPKSAQSIIKKVWAAFLGIGPALRTVASMSREDWAKKLRHWKDEFKSTMQHYWLGTKLLWADIRISSRLLVKLANGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQDTVKEMAKEIQNSRSGDVKKTAEDLDEFMNKVRTGAGVSNDEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPYGTDAYLRYMLRKRLQEIKNDDKMIQAEGVESLSEAELRQACRDRGLLGLLSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFSVSGKVRPEEAVQATLSSLPDEVVDTVGVTALPSEDSVSERRRKLEFLEMQEELIKEEEEEEEEEQAKLKESISNEKDVALKEMAASTAKEAQELAKAKTLEKHEQLCELSRALAVLASASSVSREREEFLRLVKKEIQLYNSMVEKEGTKGEEEAKKAYKAARKDSDRTAGKAIGDKVSSALIDRVDAMLQKLEKEIDDVDAKIGDHWRLLDRDYDGKVTPEEVASAAMYLKDTLGKEGIQELISNLSKDREGKILVEDMVKLGSETEDADTAEAGRS >EOY11133 pep chromosome:Theobroma_cacao_20110822:5:38080304:38081515:-1 gene:TCM_026401 transcript:EOY11133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein, putative MSVAVLDGNTVIRFIEAKEAFGNCVDEYFKMLDSNGDGGISRDELEEGLGRIFTMELESKTKENIDRFYSTIFERFDEDRDGRIDCNEFESLMREIMLAMARGIGDLPIIVALDQDSLLMMAVKHELAGT >EOY07320 pep chromosome:Theobroma_cacao_20110822:5:1182506:1186013:1 gene:TCM_021782 transcript:EOY07320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ-related MEQPLLSEKRSESEESERLSSYQYVGRTGSVIPTASLAGTEVSIEEIRSATSFSGHYPPSIHAPLISSPEPHPNEQVVLHQGTYTTDYGAYSNDFQRQLLDEVEIRELLIDHIGHRCCWGSRPARTWKINAVEDCNVYVGTLETFLEEREVVRETEPYLGGKIDGKDNSPELGIWELDLRSQFPVLFVPYKETRIKIPHSETVEKCSGCAGRGDIACPTCNADQERGFYKENQMSQCPACYGRGLIAHRDGSDTICTRCDGKGKIPCATCTSRGLLKCETCHGSGSLLTCKIAIVKWKTLSTRKVSATSGAASVPDEVFHRAKGVQLCNTQAHQCTPAFFADSFFLNKFSSDVIADRAFVPPTARVICERHTISVVPVTRVTMSHHRQSFSFYIVGFSRDVYLKDYYPARFCWGLCPCLEWLKL >EOY08395 pep chromosome:Theobroma_cacao_20110822:5:7500661:7513135:1 gene:TCM_022785 transcript:EOY08395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSITSKQVCEKLRIIHEGTSQVKGSKIALLTHSYEMFKMEHGEDITNMFDRFTNITNKLSQLGKPIPEHELVKRLLRSLPKSWKPKVIAIREAKDLNIITLDEICGSLLTHELELKEEEEEDQRESKEKKNSIALKANILEK >EOY07231 pep chromosome:Theobroma_cacao_20110822:5:885060:886538:1 gene:TCM_021708 transcript:EOY07231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-harvesting complex II protein Lhcb4 MAATAAAAATSSFMGTRLPDVYTSSGRVVARFGLGRKKAARKVSKPTSDRPLWYPGAVAPEWLDGSLVGDYGFDPFGLGKPAEYLQYELDSLDQNLAKNVAGEIIGTRTEVSDVKATPFQPYSEVFGLQRFRECELIHGRWAMLATLGALTVEWLTGVTWQDAGKVELVEGSSYLGQPLPFNISTLIWIEVLLIGYIEFQRNAELDPEKRLYPGGKFFDPLGLASDPEKKATLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIIDTFTS >EOY08302 pep chromosome:Theobroma_cacao_20110822:5:6203447:6207635:1 gene:TCM_022640 transcript:EOY08302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMGGFGRTFSIKGYSSDTFIPRHTFHLTSHLYPILVGFPDPRVTTDRGFLHFADDLQRIHHFSIMVLLEQRVSGTIADKVIRSVKFDRSHRVEVIGFLEGIQVLWIEHLQILIIRNHDQCIHLNVRDGFGESWLLIAVYGHLDHKTKRALWAELSSFAKHVTCPWLLSRDFNAFLYAHEKVGGSSQGSKFCLYFQRLISAYGLIDLGFKGSKYTWKRGLVSERIDWAICNTDWRLKFHEATVQHLPRVKSDHRPLLISLEARGVTDQSLRFQFQAAWLSHSKFSDFVKQNWDSSSDIQGALKKFSDSAHVWNREVFGNIFSEKKRILARLLGIEKELETRQSRYLQELEVKLPSVDQIEIINGMLGDFWACSGEKTHGGKRFGFEKVTIDEQCLLDETVMATGDSNQDPLGDGLSTQFWKDIWLEDTSFFEQGHVLSIVLSENCCVREFLLDIGEWDREKLAAYLLGDLVNKILMVLPPSLSLKPDTPYWAPSASGVFTVVSTYELLRKDYPNYLGQQSRKWAIAWKWDGP >EOY07062 pep chromosome:Theobroma_cacao_20110822:5:360453:362175:1 gene:TCM_021591 transcript:EOY07062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tautomerase/MIF superfamily protein MPCLYISTNLNLDEVDTGPIFSETTKAVASIIGKPENFVMVILKGSVAISFNGNKDPAAYAEVVSMGGINREVKRKLIATLGTILENMLSIPRTRFFLKVYDTTAGLKISKI >EOY07140 pep chromosome:Theobroma_cacao_20110822:5:604042:605926:-1 gene:TCM_021653 transcript:EOY07140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphate dependent pyruvate decarboxylase family protein MVSQTGGRHCSSETDTCFAPGPVSPTVINSSEATLGRHLARRLVHVGVTDVFSVPGDFNLTLLDHLIAELELNLIGCCNELKAGYAADGYAWSRGVGACVVTFTVGGLSVLNGIAGAYSESLPLICIVGGPNSNDFGTNRILHHTIGLPDFSQELRCFETVTCHQAIISCNLAVIPHPTFSLEPVPLSLSPTLSNKMGLEAAVEATAESLNKAVKPGFSRAVSTAFCAEIVESADAYLFAGPTFNDHSSVGYSPLLKKVKAINVQPDRVVIANGRAFGCVLMKDFLGALAKRVKHNNTADENYHMIFVTEGHPLKASPREPLRVNILFQNIQNLLSSETAVIAETGDSWFNCQKLKLARGCG >EOY10849 pep chromosome:Theobroma_cacao_20110822:5:36764132:36788301:1 gene:TCM_026141 transcript:EOY10849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit isoform 1 MMKEKHEEVEDVASASSDSFILDSDGDEPSTSGQDDGLHLEESLTEQEIEELISEFLEVESKAAEAQETLEKESLAKVETEVREELAQTLQGDDLDTAVADEMATFIEQWEGVLDELETESAQLLEQLDGAGIELPSLYKWIESQVPNGCSTEAWKRRAHWVGSQVTSEIVESVADAEKHLQTQRPVRRKHGRLLEEGASGFLQKKLSSDASQEAVTENSDIDWSSFMKICSDGLTKDGTRFGSKNWASVYLASTPQQAALMGLKFPGVNEVEEIEDIDGSTANPLVADAIENEGDLILSDEQRKNFRKVNEEDDANIDRKFHLHLKRRRHQRRSKQVMESNLDRSKPLEDDSNSISNKENQEDREGVPNSENGVTCQNLKTDVPESFESCKLDRTWSISDGMSLGHVESDMSDSGKPRGSKRSNEDKEGNGDNKKARTFIIASDDEADTTMKDELVSSKLEDRSTLLEKSDDAVGVESNSSERLTEKFSCTACHKLATEVLQHPLLKVIICMDCKCLLEEKMHTKDADCSEGYCGWCGQGNDLISCKSCKTLFCTKCIRRNIGEECLLEAQASGWQCCFCLPSLLQKLTSELERAMGCRDTMVSSSDSESENSDADINTAISSKRKRKKKIRRILDDAELGEETKRKIAIEKERQERLKSMQFSAKYNMINSPSCNRNLSDEASVEVLGDAITGYIVNVRREDGEEAVRIPQSISAKLKVHQIAGIRFLWENIIQSITKVRSGDRGLGCILAHTMGLGKTFQVIAFLYTAMRGVDLGLKTALIVTPVNVLHNWRQEFMKWRPSEVKPLRVFMLEDVPRERRAELFARWKAKGGVFLIGYSAFRNLSLGKHVKDRHMAREICLGLQDGPDILVCDEAHTIKNTKADTTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTHEDVKIMNQRSHILYEQLKGFVQRMDMSVVKKDLPPKTVFVIAVKLSPLQRKLYKRFLDVHGFTNDSSSNEKIRKSFFAGYQALAQIWNHPGILQFKEDRGYITREDAAEADDSSSDENIDYNVTVGGWMEGQKVLNGKSWLRSLMHQ >EOY10848 pep chromosome:Theobroma_cacao_20110822:5:36763629:36788336:1 gene:TCM_026141 transcript:EOY10848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit isoform 1 MMKEKHEEVEDVASASSDSFILDSDGDEPSTSGQDDGLHLEESLTEQEIEELISEFLEVESKAAEAQETLEKESLAKVETEVREELAQTLQGDDLDTAVADEMATFIEQWEGVLDELETESAQLLEQLDGAGIELPSLYKWIESQVPNGCSTEAWKRRAHWVGSQVTSEIVESVADAEKHLQTQRPVRRKHGRLLEEGASGFLQKKLSSDASQEAVTENSDIDWSSFMKICSDGLTKDGTRFGSKNWASVYLASTPQQAALMGLKFPGVNEVEEIEDIDGSTANPLVADAIENEGDLILSDEQRKNFRKVNEEDDANIDRKFHLHLKRRRHQRRSKQVLCLITFLSYGYLTSVRAKNIELIVVATNKNSRKDLKVMESNLDRSKPLEDDSNSISNKENQEDREGVPNSENGVTCQNLKTDVPESFESCKLDRTWSISDGMSLGHVESDMSDSGKPRGSKRSNEDKEGNGDNKKARTFIIASDDEADTTMKDELVSSKLEDRSTLLEKSDDAVGVESNSSERLTEKFSCTACHKLATEVLQHPLLKVIICMDCKCLLEEKMHTKDADCSEGYCGWCGQGNDLISCKSCKTLFCTKCIRRNIGEECLLEAQASGWQCCFCLPSLLQKLTSELERAMGCRDTMVSSSDSESENSDADINTAISSKRKRKKKIRRILDDAELGEETKRKIAIEKERQERLKSMQFSAKYNMINSPSCNRNLSDEASVEVLGDAITGYIVNVRREDGEEAVRIPQSISAKLKVHQIAGIRFLWENIIQSITKVRSGDRGLGCILAHTMGLGKTFQVIAFLYTAMRGVDLGLKTALIVTPVNVLHNWRQEFMKWRPSEVKPLRVFMLEDVPRERRAELFARWKAKGGVFLIGYSAFRNLSLGKHVKDRHMAREICLGLQDGPDILVCDEAHTIKNTKADTTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTHEDVKIMNQRSHILYEQLKGFVQRMDMSVVKKDLPPKTVFVIAVKLSPLQRKLYKRFLDVHGFTNDSSSNEKIRKSFFAGYQALAQIWNHPGILQFKEDRGYITREDAAEADDSSSDENIDYNVTVGEKTRNVNDSLHEKSDYGFIQKGWWKDLLHENNYKELDYSGKMVLLLDIITMCSDVGDKALVFSQSIPTLDLIELYLSRLTRRGKNGKCWKKGKDWYRLDGRTESSERQKLVEKFNAPMNKRVKCTLISTRAGSLGINLYAANRVIIVDGSWNPTYDLQAIYRAWRYGQTKPVFAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISKEEMLHLFEFGDDENFDTLMELSEENGNQNLTCEVGKSLKQKMPLSHGSCSSDKLMESLLGKHHPRWIANYHEHETLLQENEDEKLSKEEQDMAWEVYRKTIEWEEVQRVSVDESAAERKPAVSDVSPPKPEPEPIHLTQPRGIFRSRIVQRKCTNLAHLLTLRSQGTKMGCSTVCGECGQEISWEDLNRDGKTAR >EOY10850 pep chromosome:Theobroma_cacao_20110822:5:36766798:36785898:1 gene:TCM_026141 transcript:EOY10850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit isoform 1 MMKEKHEEVEDVASASSDSFILDSDGDEPSTSGQDDGLHLEESLTEQEIEELISEFLEVESKAAEAQETLEKESLAKVETEVREELAQTLQGDDLDTAVADEMATFIEQWEGVLDELETESAQLLEQLDGAGIELPSLYKWIESQVPNGCSTEAWKRRAHWVGSQVTSEIVESVADAEKHLQTQRPVRRKHGRLLEEGASGFLQKKLSSDASQEAVTENSDIDWSSFMKICSDGLTKDGTRFGSKNWASVYLASTPQQAALMGLKFPGVNEVEEIEDIDGSTANPLVADAIENEGDLILSDEQRKNFRKVNEEDDANIDRKFHLHLKRRRHQRRSKQVMESNLDRSKPLEDDSNSISNKENQEDREGVPNSENGVTCQNLKTDVPESFESCKLDRTWSISDGMSLGHVESDMSDSGKPRGSKRSNEDKEGNGDNKKARTFIIASDDEADTTMKDELVSSKLEDRSTLLEKSDDAVGVESNSSERLTEKFSCTACHKLATEVLQHPLLKVIICMDCKCLLEEKMHTKDADCSEGYCGWCGQGNDLISCKSCKTLFCTKCIRRNIGEECLLEAQASGWQCCFCLPSLLQKLTSELERAMGCRDTMVSSSDSESENSDADINTAISSKRKRKKKIRRILDDAELGEETKRKIAIEKERQERLKSMQFSAKYNMINSPSCNRNLSDEASVEVLGDAITGYIVNVRREDGEEAVRIPQSISAKLKVHQIAGIRFLWENIIQSITKVRSGDRGLGCILAHTMGLGKTFQVIAFLYTAMRGVDLGLKTALIVTPVNVLHNWRQEFMKWRPSEVKPLRVFMLEDVPRERRAELFARWKAKGGVFLIGYSAFRNLSLGKHVKDRHMAREICLGLQDGPDILVCDEAHTIKNTKADTTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTHEDVKIMNQRSHILYEQLKGFVQRMDMSVVKKDLPPKTVFVIAVKLSPLQRKLYKRFLDVHGFTNDSSSNEKIRKSFFAGYQALAQIWNHPGILQFKEDRGYITREDAAEADDSSSDENIDYNVTVGEGEKKENITSQARIAS >EOY11421 pep chromosome:Theobroma_cacao_20110822:5:39230903:39238056:-1 gene:TCM_026601 transcript:EOY11421 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacid aminotransferase-like PLP-dependent enzymes superfamily protein isoform 2 MGDQKQEEIEVIHSWSAPRSLSTSLMYSFAQRDDIEVLDEPLYGTFLNVTGVERPYREELLSKMECDGNKVVKEIIYGPGGKKYRFCKHIAKQRVPGLPIDLLQKGKHFILIRNPVAVLPSFDKVVPPSILELGLGELVSIYSELCALGKPPPVIDAADLQQNPEATLRGLCEDLNIPFQNAMLRWEAGPKLIDGLWAPWWYKSVHNSTCFTPTRKYPRAFPFSFYDLLEQSLPFYNMLRRHVRHTSSLLKSPLPVPDLPVPENEKLLAWVGDEIVPRESAKVSVFDSVVQGGDAVWEGLRVYSGKIFKLEEHLDRMFDSAKALAFDNVPTRDEIKEAIFRTLNANGMFDNTHIRLTLTRGKKCFLNGNPLFMTM >EOY11420 pep chromosome:Theobroma_cacao_20110822:5:39228511:39238645:-1 gene:TCM_026601 transcript:EOY11420 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacid aminotransferase-like PLP-dependent enzymes superfamily protein isoform 2 MGDQKQEEIEVIHSWSAPRSLSTSLMYSFAQRDDIEVLDEPLYGTFLNVTGVERPYREELLSKMECDGNKVVKEIIYGPGGKKYRFCKHIAKQRVPGLPIDLLQKGKHFILIRNPVAVLPSFDKVVPPSILELGLGELVSIYSELCALGKPPPVIDAADLQQNPEATLRGLCEDLNIPFQNAMLRWEAGPKLIDGLWAPWWYKSVHNSTCFTPTRKYPRAFPFSFYDLLEQSLPFYNMLRRHVRHTSSLLKSPLPVPDLPVPENEKLLAWVGDEIVPRESAKVSVFDSVVQGGDAVWEGLRVYSGKIFKLEEHLDRMFDSAKALAFDNVPTRDEIKEAIFRTLNANGMFDNTHIRLTLTRGKKVTSGMSPAFNLYSCTLIVLPEWKPPVYDNVSGITLVTATTRRNSPNNLDSKIHHNNLLNNILAKVEGNNASADDAIMLDKDGYVSETNATNIFLVKKGRVLTPHADCCLPGITRATVMELVVKEKFVLEERRISLSEFHTADEVWTTGTMGELSPVVKIDGRIIGDGKVGPVTRRLQDAYKKLTEDSGVPIPTNNES >EOY08825 pep chromosome:Theobroma_cacao_20110822:5:21871311:21873974:-1 gene:TCM_024065 transcript:EOY08825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMIKGKVWTKSSTEPCKKQNSITLSTVEVEYISLGSCCAQILWIRQQLNDFGKTMHKVPIYCDNMNAINISKNPVQHSRTKHIEIRHHFTRDHMLKGDIEIDFVDTFHQLADIFIKPLNKEQFCRIRKDLGMVNASEV >EOY09339 pep chromosome:Theobroma_cacao_20110822:5:28377414:28381817:-1 gene:TCM_024758 transcript:EOY09339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate synthase MNFSSLALDPSPVATSRWAHQRPALHFHHHRRNFVVCSLKPAASSSLSVAESAASESLSRIGSLSQVSGVLGSQWGDEGKGKLVDILAGHFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEETLCVIGNGVVVNLPGLFKEIDGLEANGVSCKGRILVSDRAHLLFDFHQVVDGLREAELAKSLIGTTRRGIGPCYSSKVIRNGIRVSDLRHMDTFAQKLDILLSDAASRFPGFNYTPEMLKEEVENYKRFAERLEPFIADTVHVMNESIAQKKRILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVVGDLIGVVKAYTTRVGSGPFPTEILGQGGDLLRFAGQEFGTTTGRPRRCGWLDIVALKFCCQVNGFSSLNLTKLDVLSDLPEIKLGVAYKQVDGTPVQSFPADLRLLEQLKVEYEVLPGWNCDISSIRNYSDLPKGAQQYVERIEELVGVPVHYIGVGPGRDALIFK >EOY11747 pep chromosome:Theobroma_cacao_20110822:5:40210739:40215105:-1 gene:TCM_026828 transcript:EOY11747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Interleukin-1 receptor-associated kinase, putative isoform 1 MATDLNAELSQKTAIFGLKVWEVIGIVVALFIIVILSLLSFCLTSRKKSRARNKIPLTQIPSVSKEIKEVRVEQVSTNEFVPRDGILLTIHDKSSDKESDKVLVHLGMGKSKNGDNSSQSGSFHHLDKDGAGSQSGEEGISGTVTVCKPSSSHPITAPSPLVGLPEFSHLGWGHWFTLRDLELATNRFSKDNVLGEGGYGVVYQGHLINGTPVAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTNRMLVYEYVNNGNLEQWLHGAMRQHGYLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVVLLEAITGRDPVDYGRPSHEVYSLFPAHHGLLLELSKFGRLAENDGGKQEVRGSGRPKY >EOY11746 pep chromosome:Theobroma_cacao_20110822:5:40210291:40214776:-1 gene:TCM_026828 transcript:EOY11746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Interleukin-1 receptor-associated kinase, putative isoform 1 MATDLNAELSQKTAIFGLKVWEVIGIVVALFIIVILSLLSFCLTSRKKSRARNKIPLTQIPSVSKEIKEVRVEQVSTNEFVPRDGILLTIHDKSSDKESDKVLVHLGMGKSKNGDNSSQSGSFHHLDKDGAGSQSGEEGISGTVTVCKPSSSHPITAPSPLVGLPEFSHLGWGHWFTLRDLELATNRFSKDNVLGEGGYGVVYQGHLINGTPVAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTNRMLVYEYVNNGNLEQWLHGAMRQHGYLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVVLLEAITGRDPVDYGRPSHEVNLVDWLKMMVGSRRSEEVVDPNIEVRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESEEYPIPREDRRHRRNHGSSLEIDSQRDNSDTDRSDYPASRSESKRMQS >EOY08523 pep chromosome:Theobroma_cacao_20110822:5:10173528:10178055:-1 gene:TCM_023073 transcript:EOY08523 gene_biotype:protein_coding transcript_biotype:protein_coding description:F26K24.5 protein MVVKMMRWRPWPPLVSKKYEVKLIVRRLEGWDLVGEGSEKSQKLTVEIRWKGPKASLSSLRRTVKRNFTKEVDGVDENGAVVWDEEFQTVCSLSAYKENVFHPWEIAFSVLNGLNQGPKNKVPVVGTVSLNLAEYASAAEQKEFELNIPLILSNGAAEPGPQLCISLSLLELRTAQDTTEPVQRALVPVASPSQSCETVSMEKDELSAIKAGLRKVKIFTEYVSTRRAKKACREDECSEGRCSARSDDGEYPLDTDSLDDFDEGESDEVKDDSVVRKSFSYGTLASANYAGGSFYSSMRINEEGEDWVYYSNRKSDVGCSNVEDSAASVSEPSLLQSSKRSILSWRKRKLSFRSPKAKGEPLLKKAYGEEGGDDIDFDRRQLSSDESHAHGWHKTDEDSSANRSSVSEFGDDNFAIGSWEQKEVVSRDGHMKLQAQVFFASIDQRSERAAGESACTALVAVIADWFQNNRDLMPIKSQFDSLIREGSLEWRNLCENETYRERFPDKHFDLETVLQAKLRPLSVVPRKSFIGFFHPEGMDEGRFDFLHGAMSFDNIWDEISRAGAECPNTGEPQVYIVSWNDHFFILKVEPEAYYIIDTLGERLYEGCNQAYILKFDCNTVIHKLPNVAQSSDDKSTSDQQIATAAAEPKNSQVQQVNRKEEGPAAGAIATKPEESIKSEEREEVVCQGKESCKEYIKSFLAAIPIRELQADIKKGLMASTPLHHRLQIDFNYTEFLQSLPETSATPMTTATQMTTATPLSVEVPLTEVAA >EOY08933 pep chromosome:Theobroma_cacao_20110822:5:23327700:23330524:-1 gene:TCM_024217 transcript:EOY08933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral organ boundaries domain family protein MASSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFANVHKVFGASNVTKLLNELHPSQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLSCAKSELSKYQSLGITGHAGLIAAAAAAATATANTHQNLGINLIGSGGGGGREHHYHHQFFPRDHQQQMIRSFDAGSNYDASLLAMNVSAGIGQLSQYQQPRAAAGDDRRTIDPS >EOY11708 pep chromosome:Theobroma_cacao_20110822:5:40098397:40101410:-1 gene:TCM_026795 transcript:EOY11708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein isoform 2 MLQCIFLLSDSGEVMLEKQLTGHRVDRSICDWFWDHAISQGDAFKSQPVIASPTHYLFQVVREGITFLACTQVEMPPLMGIEFLCRVADVLSDYLGGLNEDVIKDNFVIVYELLDEMIDNGFPLTTEPNILREMIAPPNIVSKVLSVVTGNSSNVSDTLPGATGSCVPWRAADPKYANNEVYVDLVEEMDAVINRVKKLKSTPIYVKPQLTSDAGKCRVNVLVGIRNDPGKTVDSITVQFQLPPCILSADLTSNHGTVNILSNKICSWSINRIPKDKAPSLSGTLVLESELDRLHVFPTFRVGFRIMGVALSGLQIDKLDLRTVPNRLYKGFRALTRAGEFEVRS >EOY11707 pep chromosome:Theobroma_cacao_20110822:5:40098400:40101295:-1 gene:TCM_026795 transcript:EOY11707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein isoform 2 MLQCIFLLSDSGEVMLEKQLTGHRVDRSICDWFWDHAISQGDAFKSQPVIASPTHYLFQVVREGITFLACTQVEMPPLMGIEFLCRVADVLSDYLGGLNEDVIKDNFVIVYELLDEMIDNGFPLTTEPNILREMIAPPNIVSKVLSVVTGNSSNVSDTLPGATGSCVPWRAADPKYANNEVYVDLVEEMDAVINRDGVLVKCEIYGEVQVNSHLSGLPDLTLSFANPSILDDVRFHPCVRFRPWESHQILSFVPPDGEFKLMSYRVKKLKSTPIYVKPQLTSDAGKCRVNVLVGIRNDPGKTVDSITVQFQLPPCILSADLTSNHGTVNILSNKICSWSINRIPKDKAPSLSGTLVLESELDRLHVFPTFRVGFRIMGVALSGLQIDKLDLRTVPNRLYKGFRALTRAGEFEVRS >EOY10709 pep chromosome:Theobroma_cacao_20110822:5:36078712:36080235:1 gene:TCM_026014 transcript:EOY10709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDAGNFLEAIPMGLALEWEREKTRLMKESLKHDEVAFLEKELERSMLIVKREEMLQEIKIEEKLVDDFMVFIGAVENNDVEIAQNFDEKAMMDAIISMLKGDGNSGWNGEGFGGIYGESYDLDVTIEGAERDGGEGSNSGGEGGSCDGDRLK >EOY07765 pep chromosome:Theobroma_cacao_20110822:5:2612705:2616923:-1 gene:TCM_022097 transcript:EOY07765 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 1 MGRKGKWLSSLKKAFSPESKAKRNQKSKAQFSEKQVHLGPSGSDAATLEAVKLSPPPQPEEVKLTEAEAEQSRQTYPVAVATAADDAVAPAVPAQAAVEVVWRQLNTGARFAGKSEEEAAAIKIQTAFRGYLAKRALRALRGLFRLKSLMEGPVVKRQAASTLRCMQTLSRVQCQIRTRRIRMTEENQALQRQLLQKHAKDLVNLQMGEEWDDSLQSKEQLEASLLSKHEAAMRRERAMAYSFTHQQTWKNSSRSMSPLFMDPNNPSWGWSWLERWMAARPWEGRGMTEKEQSNDQSSVKSARSNFGGEISKSYARYQLNLDKQSPKASQKPSQTSSLRSPSTPKQASIPARKLKSASPRSSVVGPDDDTRSMISVQSERNRRHSIAGSSVRDDESLASSPSLPSYMVPTESARAKTRLQSPLGLEANGTPEKEPIASAKKRLSYPPSPARPRRHSGPPKVDSSITKTEVAVVNGGGS >EOY07006 pep chromosome:Theobroma_cacao_20110822:5:157744:160407:1 gene:TCM_021547 transcript:EOY07006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase MANTMTHPPLLFLALLFLFFNSSVAAAMKLNVISYGAKADGTTDSTKAFLAAWTQACASTIPASIYVPKGRFLLRNVDFRGQCNNAAISIRIDGTLVAPMDYRVIGNVDSWLSFENVDGVSIYGGKLDGKGTGLWACKNSGKSCPSGATSLSISNSKNIVIMGLTSLNSQMFHIVINGCHNVKMQGVKVSAPGNSPNTDGIHVQLSSSVTILNSRIQTGDDCISIGPGATNLWMENIACGPGHGISIGSLGKDQIEAGVQNVTVKTATFIGTQNGVRIKSWARPSNGFASNIVFQHVVMKNVQNPIVIDQNYCPGYKNCPDQVSGVKVSDVTYQDIHGTSATEVAVKFDCSSKHPCTGIRLEEVKLTYKNEAAQASCSHAGGTVSGLVQPTSCL >EOY09657 pep chromosome:Theobroma_cacao_20110822:5:30375253:30376309:1 gene:TCM_025052 transcript:EOY09657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase 17 MSLFAIATRKLFDNSSSPLLTKNPHLCVPSFEGYGQKADIREPGVPLIATHTPYTCLPKSVLDCSPDHCKIVYICRDAFVSLFHYAAKRRPTENEPISLEVAFHLFCEGKSFFGPCWDHILEYWKASQERPDKVMFLKYEDMLNDSVLYVKKLAEFMGYPFSSDEEQVGAVQKIVDLCSFENLSNLEINKTGKRYADDSEATNKYFFRKGKIGDWQNYLTSCRNVRVQEPDHQTRVKTLKNEKSPPIFFTRYD >EOY08616 pep chromosome:Theobroma_cacao_20110822:5:13933860:13943938:1 gene:TCM_023426 transcript:EOY08616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQTRNLKTIDANLWLLNSGASNHMTPNVKLFVEIDDQYCSKVEIGNDVYLQATRKGLVPIQTSSGARYEFEVLFVLEITKNLLSVGQMLKHNYVLLLKDMSCTIYAPNGDYMMNISMKQNCFPVNWKYACLQATKVHSNLTSLWHKRFGHCNYNSLIQLSNSGLVDKLPKLSECGPICQTCQLGKIAQKPYPTTSFSKSKDKLNLIHSDVGGPLSEESLNGSRHFVTFIDDLTRFCWIFFMKQKLETLSWFQKCKARAELKFGMKIKNRSWNWEQSQATDLNEFIDVSNYQHTDSQILVGDEIVDEALVRGVRSIQNIYERCHMAITELNSYEDTAVHEH >EOY07537 pep chromosome:Theobroma_cacao_20110822:5:1894288:1897136:-1 gene:TCM_021944 transcript:EOY07537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin 3 MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >EOY11696 pep chromosome:Theobroma_cacao_20110822:5:40080700:40083914:-1 gene:TCM_026790 transcript:EOY11696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein, putative MPPKRKPTTAPATEDPAFPGLEPGSQVEISSNDPGFRGSWYIGTIIKRVSKRNPNKFLVEYTHLFQDEAGTKPLKETINAADLRPLAPRERARKFKFGEEVDAYHHDGWWEGMITKELENGKFHVYFKRSKEQLEFGEDQLRLHREWINGSWKPPLEEDEQVEEKVSEEKEVKPNNGKTEEMISNSTIIENNSDKEVIEGKLESDKAVTQEKLEWDNAATEEKLESDKAGSGEKLECGEITTEEKFSEGAHVEVTSDEDGFEGAWFAATIVKAMGKDRYLIQYESLRTEDDTDFLKEEFDTLHIRPCPPEIVVADRFKKLDEVDAFYNDGWWVGLVSKVLSDSKYEVFFRTTNEKMKFKHSELRLHQDWIDGKWVAASQAL >EOY07181 pep chromosome:Theobroma_cacao_20110822:5:751456:752568:1 gene:TCM_021680 transcript:EOY07181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNKNTGGYGAKLNVNLARLGTDSLKRYCKLYNIDNMGSDSSREQMLNAVQQHFASQPLLHEQQVIPEFVDAIRTRPKDKKN >EOY09468 pep chromosome:Theobroma_cacao_20110822:5:29320628:29329251:-1 gene:TCM_024883 transcript:EOY09468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESSWPSSSYDGIYQVTQHMASTQQSEGDCLSKDHFSSLPDRVHLDLKQNDFTDLLNIWDKWGAITRANFDRKYGHIARLLKVQIDEHLLKAIVQFWDPSYRCFVFNKIDMKTGHRRKLAKMMGITSAEVDQNLRKKGDNECIPWSFLRSYIMKQRDTEQGQLVMALGIYGLVIFPKVLGHIEVRIIDFFEQVVNKANPSPSILAETLRSLNYCRRKGEGRFVGCAQLLSIWIVSHFECKVDKFRKPFHPQTAPIREFCESEWPENRTKEQWISRLRELMSVEVTWRAPWMPHHPVLYKCGNEPWVQLMGPWGAISYAPIMVRRQFGSEQFVPMTHRLNTLEFAYEEPGFLKRIEEIAQAWKKTSRVDQGRYTDEVTIGYQIWHDQRVKDVVYPKEDVLRGPVDPEPRDALLESELARKKSEAENASWKQRYEDLQKECEKMKREVSEQRKKVRKMEGKYESLNDKFSTTTSELQREIQVRENRGNELQTHNDGLRRQVRFQQESIQLLRQEYEELEGVMTTYQQEYERLKQQSTRIQEWGESYRQAYTEKYDQMDYLVWQMREVAYKARSMAWKTDILRSQIFPVGKQEQQLIKYLDERARIMEEEQRERMDRMERAQEEMREQLAKMMKLMMSLSKGKRAIEEPAPSENPPAQDSGNQREDPPYPPGFTPPHAQTSQRVHPQVMPSVYYNAPPPMGHQPTHGQFGPYLGVNPIEPIHVPDLDDPKEQEKLRKDSSQTRENEKDQKKYDLLEERLRAIEGVDRFGTMDATELCLVPDVLIPAKFKVPEFEKYDETKCPMAHITMNCRKMAAQSHDDKLLIHLFQDSLTGSAARWYVQLDRNRIKTWKDLARAFIAQYKHVAELAPDRLSLQTMEKKQSENFKEYAQRWRDTAAQVQPPLTDKEMTVLFINTLRAPFYERLIGNATKNFTDLVLSGEIIEGAIKSGKIEGHEVASSKKGSTPRKKEGDVQAVAHDSQQAHNFNLYYPYPPYQPFYPHIGNITQNPYVYQPIPQPTFQTNVLPQTPPPRPIASTNNPGHGQRGPKTTPERPKFDHIPVPYTTLLPQLIEKRLLTQTPLEPLRPPFPKWYDPNAHCDYHFGIQGHSTENCTALKHKVQALIKAGLLNFTKKDSSSVDGNPLLNHGRPTVNAIHEGMIRRVKKGIDEIQTPMDKVFEALSKINAITPEPIDTKELGHDLAYSCKFHMGAIGHSIQNCDGFRRKLQELMDSSIIEFYEGAEENLVGTIYGDTPAEVASSSFGANKPKPLTIFYEENKSPMNDTSPTMIRNGITIEVPSPFPYKNDKAVPWNYECNILGTASSAPQASFEDITGVGGITRSGRCYSPEVAERVEKGKPAQGEGGLKKADTFSKDQVDEFVVAPNNEVKSPVTEKEAGEFLKFIKHSEYSVVEQLTKMPAPISLLSLLLNSEAHKNALLKVLNQAYVAQDISVEKLDHIVGNITVGNFIAFNDEEIPPGGRGSNKALHITIKCKDHAVPRVLVDNGSALNVMPRSTLTKLLVDVSYMRPSRMVVRAFDGTTREVVGDIELPIKIGPCIFEVQFQVMDIAPSYNCLLGRPWIHMAGAIPSSLHQKVKFIAEGQLISVCAEEDILAIQPSSAPYVEATEEVPECSFRSFEFVNATYVGERKVIPTPRLSVATKMGVKQTVGKGCRVGLGLGKNLQGINRPLTPMKNEERFGLGYKPTKEERRKLTAQKKIKRMAQLEGKKEEFGERTIPHLYETFRSAGFIHPEAPPKVNQVLRIFDELSIHMIRDEEPDGKIPVVYPVLPGEELSNWTATELPIIFKSSKM >EOY10710 pep chromosome:Theobroma_cacao_20110822:5:36080710:36081825:1 gene:TCM_026016 transcript:EOY10710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFCIIASQPRSHFLLRGIALFLSLLSLGLRQACKLNSFLHVEKGLLFLYAPFYLCSVKEPLSSFSTEKAELWC >EOY07970 pep chromosome:Theobroma_cacao_20110822:5:3671819:3673006:-1 gene:TCM_022298 transcript:EOY07970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP1,6 MPFRNIAVGRPEEAAHPDALKAALAEFISTLIFVFAGSGSSMAYNKLTDNGASTPAGLVAASLAYGFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLLRGILYWIAQLLGSTVACLLLKFATNGLSIPAFGLSSGVGVWNAFVFEIVMTFGLVYTVYATAVDPKKGSVGVIAPLAIGLIVGANILAGGAFEGASMNPAVSFGPALVSWTWKNHWVYWAGPLIGGGIAGLVYEFFFISHTHEQLPATDY >EOY11298 pep chromosome:Theobroma_cacao_20110822:5:38865117:38866691:1 gene:TCM_026529 transcript:EOY11298 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MIMGRGIVGDIITSLILFYGLISQLVPDCFTECIELWQERLKNYFFPSIRIIFNEFNSSLCHRSIAYIAIEYYLSSKSVARVTKLKAESLKRKGPLLFSSDDYEDVEDEFEGVKVTCGERIIVFTTNHVDKLDPALIRRGRMDMHIELSYCTLGGFKVLAKNYLNLDSHPLFEKIGNLLEEVNMTPAEVSEHLICGRVGRDPKACLESLIEALETAKEKKINE >EOY10227 pep chromosome:Theobroma_cacao_20110822:5:33562542:33565700:-1 gene:TCM_025581 transcript:EOY10227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGPDLKLTEKSEAMVKVVLTNKKKGNLAQYQEDKGVQGNMDIEVDVTEHMNCSELEMIDVECQDATEYSSSFDDTESGDENGLVVNDDLEVESPLYDTRLFRSLFDGCDGPLQMGKRRLTDHWRRFIHPLMWRCKWLEVKLHDFKSQALKYERELAKYGQSEQFEFGKVTFQGFDAELQAFPSRIQKKEVMKRKKRKRVEDTADVASYMSDHNIFSYYESKKSVVAASALDDDWGEENKTINGYDDVGGWPFFKSRDGDTWSEQILRNIEVLRSRIQKLKTRMDKLMTESPQKFSSINMLSSVVPCDVLNNSRNHLSPEKGDGNSSQCTTSQHESECDMRDNFMPGSAVSSHGVVAHLPDMIRSMSRRLLEISCENVEGEILIPNQAAKEELCNFGSAISEQAEKPHISRRS >EOY10225 pep chromosome:Theobroma_cacao_20110822:5:33562093:33566277:-1 gene:TCM_025581 transcript:EOY10225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGPDLKLTEKSEAMVKVVLTNKKKGNLAQYQEDKGVQGNMDIEVDVTEHMNCSELEMIDVECQDATEYSSSFDDTESGDENGLVVNDDLEVESPLYDTRLFRSLFDGCDGPLQMGKRRLTDHWRRFIHPLMWRCKWLEVKLHDFKSQALKYERELAKYGQSEQFEFGKVTFQGFDAELQAFPSRIQKKEVMKRKKRKRVEDTADVASYMSDHNIFSYYESKKSVVAASALDDDWGEENKTINGYDDVGGWPFFKSRDGDTWSEQILRNIEVLRSRIQKLKTRMDKLMTESPQKFSSINMLSSVVPCDVLNNSRNHLSPEKGDGNSSQCTTSQHESECDMRDNFMPGSAVSSHGVVAHLPDMIRSMSRRLLEISCENIEGEILIPNQAAKEELCNFGSAISEQAEKPHISRRS >EOY10226 pep chromosome:Theobroma_cacao_20110822:5:33562063:33566561:-1 gene:TCM_025581 transcript:EOY10226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGPDLKLTEKSEAMVKVVLTNKKKGNLAQYQEDKGVQGNMDIEVDVTEHMNCSELEMIDVECQDATEYSSSFDDTESGDENGLVVNDDLEVESPLYDTRLFRSLFDGCDGPLQMGKRRLTDHWRRFIHPLMWRCKWLEVKLHDFKSQALKYERELAKYGQSEQFEFGKVTFQGFDAELQAFPSRIQKKEVMKRKKRKRVEDTADVASYMSDHNIFSYYESKKSVVAASALDDDWGEENKTINGYDDVGGWPFFKSRDGDTWSEQILRNIEVLRSRIQKLKTRMDKLMTESPQKFSSINMLSSVVPCDVLNNSRNHLSPEKGDGNSSQCTTSQHESECDMRDNFMPGSAVSSHGVVAHLPDMIRSMSRRLLEISCENAPGDTFSSQSNLMIREGPDGSKN >EOY09899 pep chromosome:Theobroma_cacao_20110822:5:31587682:31588106:-1 gene:TCM_025267 transcript:EOY09899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTPPILECLPVIAFSLAFTPKSLNCKIFLFFLLSLLITTAKSNSSVFFILLSLMALHVRGSFFFFLCL >EOY09282 pep chromosome:Theobroma_cacao_20110822:5:28003724:28004758:1 gene:TCM_024709 transcript:EOY09282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEDSPSAENMRGNSLVRDLPWLKPLLQTEFYRSCECKSSKQCNFYCRDCMGSPFCENCNNDPHKHEGHGSLQVYKSSSRPGIRIRGIKNLMDVSDIQPYLCNSFKLVYIHRKRKQEHESRINGRKGDKCEACGYELQCSTSKFCSIECKVNGVKDVEEETSTEEVHATATTRPTCQPLAQADPNSFRKRKRKGIPQRSPFL >EOY07119 pep chromosome:Theobroma_cacao_20110822:5:491775:493221:-1 gene:TCM_021629 transcript:EOY07119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPKDQTSPNPEQMISPEGSNPPSSLDIVELTSSLQATEPRRAISLHKRSASDSLVILRKPYLVGQSSSSLVTETQKWGRSFEPTMDPKKMKRWTYLHTHTMKLLLANRVSAQKSRLRRMEYIEKLNKDIETEQAKIAELAPQVSYYKHRRAMLQKENDEIKQKIEFLEKEEARKEAEYQALKDERDMLALTYFLQQEGL >EOY08388 pep chromosome:Theobroma_cacao_20110822:5:7392336:7396334:-1 gene:TCM_022767 transcript:EOY08388 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MVASSLISAVTRRLEGKVALITGGASGIGKCTAKVFAHHGAKVVIADIQDELGHSVCEAVGPSNCSYVHCNVTDEDQIKNAVDKAVATHGKLDIMFNNAGITDPIKARIIDNEKSDFERVLSVNVTGVFLGIKHAARVMVPARSGSIISTASVSSTVGAAATHAYCASKHAVLGLTRNAAVELGQFGIRVNCLSPFALATPLATEVLGINDEELEKGMGLVANLKGVTLKAEDIANAALYLASEEGRYVSGHNLFVDGGFTIFNPSLSMFQYPDNS >EOY08790 pep chromosome:Theobroma_cacao_20110822:5:20296167:20298859:1 gene:TCM_023948 transcript:EOY08790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYVSTSRCQPFWLVLGHGLNKGGGATLALEVAVLLLLPNYCAVCSSPSTFNVILTMIQLDLYGIPTGGSTPSDIEANPKREGKEHVMAITLSSGSEVGSSNQAETQDVLVKVDKFILLVDFVVLDIEEVKGVPIMLRRPFLHTAKAFINVEKKELTLRVQIISVTSEVFIENHRKDPLETPLVAKSERDDDEFFMYVHMLDVPSRVLRTQFKSLDFSSTSSF >EOY08075 pep chromosome:Theobroma_cacao_20110822:5:4281679:4283303:-1 gene:TCM_022391 transcript:EOY08075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-51 MDWNGTLRTFVSRPEPSLNFLYNYNYDQYPGMEMKHPGLVEAVHGLVPALDKNSYNNQEKKKRLTTDQLDSLERSFQEEIKLDPDRKMKLSRELGLQPRQIAVWFQNRRARWKAKQLERLYDALKQEYDVISREKQKLQEEVMKLKGMLREQATKNPGSTGYTDMSGEETVESTSIRCSNKPRVVANHHHQIAECNYVFNVDEYNPISSPYWAVQLPSYP >EOY11056 pep chromosome:Theobroma_cacao_20110822:5:37697991:37700454:-1 gene:TCM_026325 transcript:EOY11056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon, unclassified-like protein MATTGISVASPPAFTGVNYPFWVVKKRSYLKAFDLWDVVESGRGKHSEYRDKLLKLVNQLRMLQQEVTDQRMVNKILVSMSDKFESKGLSAIGACWKSVQKPKKTKPEAQVAIAKQESNQSLFTNIDTSFISKVKIGNREYMKILGVGIVVVETTSGMRYTTSVHYVPEADHNLLSVGQLADEHYALLFKDKVCTVFDPCGVKMFTVQMKNNCYPMNLKDTMHMAFSLKAYQVLPNQKGCVQPINMESRLGRYCWVYFLKQKSNALKIFTKVKALVENFSSLTIKTLKSDNGTEFATAEFEKFLAEHGLPKKFWAKALNTANYTKNKVYTRVLSQKTPFDLWFGYKPSVAHLKVFGCICFAKIPDERRYKFDAKSKLTVHNG >EOY09548 pep chromosome:Theobroma_cacao_20110822:5:29765586:29767425:1 gene:TCM_024958 transcript:EOY09548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNWDESADRLRPSVGHLVFKQNPIIMSMVPAGESNSKGKAVASSEPKPEFKCPRCCKIFHSAPALCGHQNAHRLVKEPMNISPVLAAVLNNPLPPDDKPELITPSPKPAGINPTVGTKELISKQPSAGNVSPRYHPYKRFGKEPIQDNLVKETCSNNNPDKEAEGGEDFMKQLIQKDAQPQYHPFERVLPEYSQDEYPLPQCLMTMDLLGEWMPISGFGDHGHLHGTSTGRTLSDPFLRIHPMEAGEAAGLEIGSKTSPGHLDLELKLGF >EOY10266 pep chromosome:Theobroma_cacao_20110822:5:33843148:33847031:1 gene:TCM_025638 transcript:EOY10266 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC-rich sequence DNA-binding factor-like protein with Tuftelin interacting domain MDEYQEMERFGMENDFEDGQWINGEFYYKKRKQKRTQTKDDVLYGVFASDTDSEDDDSSSSRKRRKDFGKKPDLTKPVNFVSTGTVMPNQEIDENSKEENDNDVFDDNDNDSRPGLGSGVGLGFGGNDSLRKSDGNDGGVEDDDHSFLPTAFGRKIKEGAQRREKERERLRMEKKSLGGRREVGGGHGDVGGFEKHTKGIGMKLLEKMGYKGGGLGKNEQGIVAPIEAKLRPKNMGMGFNDFKEAKLPGLQQLDEKKSVNQQPVGRVKERLWSKNAKGRKKQQYVTVEELLVKKQEEGVEVVQKVIDMRGPQVRVLTNLENLDAEEKARENDVPMPELQHNLKLIVDLAELDIQKIDRDLRNEKETALSLQKEKEKLEIEAARQKQQLANMEQIASVLALIEEENSSGKLTLESLEKSFRDLQRNYADDYKLCNLSCIACSFALPLFIRMFQGWDPLENPSYGMEVISAWKDVLQREDSYDIWEDVTTPYCQLVSEVVLPAVRISGINTWEPRNPEPMLGFLELWEKLLPSSIRDMILDTVVMPKLSRAVDSWNPRKETVPIHVWVHPWLLMLGQKLEGLYQTIRMKLSNVLDAWHPSDPSAYAILSPWKTVFDSVSWEQLMRQYIVPKLQIALQEFQINPADQKLDQFYWVMSWASAIPIHLMVDLMEKFFFVKWLQVLYHWLCSKPDFEEIKNWYMGWKGLLPQELLANESIRNQLNCGLEMMVQAADHVPVVQPGLRENVTYLKVREQRQFEAQQRAAAHVQQPVAAGLGATVQMDGVPEMSLKEVVEAYAQQHELLFKPKPGRMHNGQQIYGFGNISVIVDSLNQKVYAQKEDGWSLVSLDDLLKMHYNSLARRH >EOY09891 pep chromosome:Theobroma_cacao_20110822:5:31523650:31531204:-1 gene:TCM_025258 transcript:EOY09891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase MHLGRSVCTTFFLLQLFLLCCGAKKLPYECQKPSSCGQILNISHPFGLIGDPRNCGEKPRYQLECENNNTIFNSKDLKYYVLAIDYQNSTIRVVDAGLLQRNNCSSLPFRSFSSCDLEDDGLYLMAMATVLYLSCENPVNSSHYIDTRPCTHGVYNSSNRSSMERQYYSYVMLGYREVSEIADHCKIDMVVKSSSPTIYSENLSYADIHNELLYGIQLWYGEPNPNPFGFLSKIPDFFFFITTSLPLYAAPLIVLLMFAERLCGLPLVIAFLIYKWRRRHLSMFDMVEDFLQSQNNLTPIKYSFSEIKKMTNGFKNKLGEGGYGSVYKGKLRSGCMVAVKILGKSKCNGQEFINEVATIGRIHHVNVVQLIGFCAERSKRALVYEFMANGSLEKYIFSNESSTSLSCKKMYEISIGVARGIEYLHKGCDMQILHFDIKPHNILLDENFTPKVSDFGLAKLYPIEDSMVPQTAARGTMGYMAPELFYKNIGGISYKADVYSFGMLLMEMVGRRKNLNAFAEHSSQIYFPSWVFDQLTLGKEIEIGEITDEEKEIVKKMVIVSLWCIQMKPSNRPSMNKVVEMLQNEAECLQMPPAPFNLV >EOY07138 pep chromosome:Theobroma_cacao_20110822:5:592556:593968:-1 gene:TCM_021651 transcript:EOY07138 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing-like protein MKDQGQFGGSSRSHSQCRSSAKSIRAINSEGKQYYESIIEEVASLLQGAIKVGFGSIKLWDRENTKVVCLFIQREFHRNHYQGDNQSVASGSRNSMSYILLDATKQPSFLSVFDKSGFKSPDHFLVAYKPRKGNFAAYMGDMTMEEIEIFIGSVLNGDIQFTRT >EOY09137 pep chromosome:Theobroma_cacao_20110822:5:26363282:26367948:1 gene:TCM_024529 transcript:EOY09137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase 4, putative MKGKCFGRCEPPTFAILCISSLCYLLPICFASYAEVGNEIPFTYTEGTGKGPKEWGHINPHWKLCDTGKLQSPIDLLSEKVQVLPKLGKLKRDYKPAPAVVKNRGHDITVRWEGDAGKININGTDYKLLQCHWHSPSEHTFNGTRHELELHIVHLSAHGEIAVVAIVFKYGRPDRFLARLFHHIKTMGNEEKNLGMVNPGDIKFGSRKYYRYIGSLTVPPCTEGVVWTIVKKVRTATREQVRALRDAVHDGFEANARPTQRLDERPVLFYAPRMNGGSA >EOY09517 pep chromosome:Theobroma_cacao_20110822:5:29634918:29637914:1 gene:TCM_024934 transcript:EOY09517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEELAALPHLYSHPTTGPGKEKEVIVAPMAKMNNGLHIMQKEKKKTVAPCFGHEETVKKRRSGWGQVGKGQARVAELALRSAIARIQCWNPPSGRRRNGKPRVLTSSIVTPKKNFGRPLKTYWPCGSAYKILVKVLASKLRMVIGTVTGEHQFAFVRAIFSFSDGIEDSNFAESLAVNVGISLFLSTHCSIQQSLVVEGDSKNMPYAGLLTMELHLDV >EOY08219 pep chromosome:Theobroma_cacao_20110822:5:5752090:5758398:1 gene:TCM_022564 transcript:EOY08219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSLLMGREPRRWDFLKGLIETRFFRDIRRKEGKEHVKAITLKNIKEVESTPKQAEPKVDRVTNETFRENHPNDTLDASLVIDSKRHDENVIECVNLLDAPSCVMRPQFEITLNGWRVCIDYQKLNKAIRKGHFPLSFIDQMLDRLAGKEFYCFLDGCSVRLEERKIFYSIYYASKTLTKTQMYYTTTKKELFTIVYAFDKFCAYLVGTKVIMYTDHVAIKYLIDKKDAKPRLIRWILLLQEFDLKIRNRKGMENQVTDHLSRFENDNQVDYVFKLVKALALPTNDAKVVSKFLKKKIFTKFGTQRAIINDKGNHFSNKYLEAILAKYGVKNKIAIAYHSQTSEQVEVSNREIKRILEKIVSLSRKD >EOY07670 pep chromosome:Theobroma_cacao_20110822:5:2382512:2383031:1 gene:TCM_022049 transcript:EOY07670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKMSSSLTSCLLSCSICLIYWTLGIRKVSVWKIEDCFNCLEVIKPIEFSLKGTMQERNGKWTCKLFKEDIKAKILNCKSLFLQ >EOY11681 pep chromosome:Theobroma_cacao_20110822:5:40043005:40045171:-1 gene:TCM_026782 transcript:EOY11681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAWVQILRNTREIPVSNPYGFSRFFSRSTPFVVKVGIPEFLNGVGKGVETHVVKLESEIGDFQKLLVTRTLKLKKLGIPCKHRKLILKYAHKYRLGLWRPRAESLKA >EOY07766 pep chromosome:Theobroma_cacao_20110822:5:2619959:2622792:-1 gene:TCM_022098 transcript:EOY07766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase MGSVERSKKKVQLWKKAIVHFSLCFVMGFFTGFAPTGKDSIFSSRVATENKSQISPQPVQTLNQSATAVHSSNVSRSLRAETPVPVPAKSKELESPKQVDTHEVIKLPDRRLIIVVTPTSTKDQFQGVLLRRLANTIKLVPQPLLWIVVEGKSDSNEVSEILRKTGIMYRHLVFKENFTDPEAEQNHQRNMALKHIEHHKLSGIVHFAGLSNVYDLDFFKELRQIEVFGTWPMALLSANKRKVVIEGPVCDSSQVIGWHLRKMNNHTDAETDAEPKPPIHISSFAFNSSILWDPERWGRPTSVQGTSQNSLKFVKQVVLEDETKLKGIPPEECSKIMLWRLRFPIGVVPRDLVKTASLLDVTVTQR >EOY09834 pep chromosome:Theobroma_cacao_20110822:5:31262660:31268303:1 gene:TCM_025210 transcript:EOY09834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (MYND type) family protein / programmed cell death 2 C-terminal domain-containing protein MVRKIISLKMIENCDSDGGCLKCFHRCAKLDVKSMKMQEMQGQLFSPGLEYCRNTQIVRHAESWNVSALEAVVAGRMSKVELKLFLLFEILVRCTPTCNNELQLNIVSEYQILLKYSNSYELISCAFRFGLSLLGIAFLQSLSSQGNVAIETVQGAALDFILTHSLIAQKLMGDADKKSWASFQERIAKAPEQVLRYCRSASSKPLWPLSGGRPSKADIARCSYYGGPLCFEFQILPQLVYFFGVKDEDHSLDWATIAVYTCEASCEGIGYKEEFAWVQLSPSTNFP >EOY08856 pep chromosome:Theobroma_cacao_20110822:5:22162439:22167353:1 gene:TCM_024099 transcript:EOY08856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHTFSRVKVNSPQAVTMVCEKCTPSCKNSTPYFNSVFPMNTEFYNDSYNIKDYSIAESSHGANYTKCFRLSKQGSAQGTVLQKNYTKGIPSTEVPKGVPSMEALRGIQIVEMPWGVPSTKALRRVQSVETPKNKHKTAV >EOY09526 pep chromosome:Theobroma_cacao_20110822:5:29688892:29690306:1 gene:TCM_024942 transcript:EOY09526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRIRNSGPRSISPPPDHLSSILRLPPSAPREKPAFSPTKAMMTASDPLSSSLSDQQAPHVMVSRINARLSSFDNGADSKDAIFSASSYAQDSWSFSSNESFGHGIFGQTQTSTSSSASSSLSYSGRWCEEERAIPLKKRRVVMISYETKQSKEIQIMKKDALGRKREMGEMEGFERCNRGNGKGWRCNKMRVKGHRLCKHHLEMQRMRSMNCPSRNQGDSFAGGANQMRENKRVRVVKARSMSSLLRDSVPLLY >EOY09829 pep chromosome:Theobroma_cacao_20110822:5:31234060:31234780:1 gene:TCM_025205 transcript:EOY09829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain GLABROUS 8, putative MDIARGNIGSSDDEHKASTCHEKGRIYHRHSTHQIQTLEAFFKECAHPDENQRRELSKELGLEPKQIKFWFQNKRTQTKARKERAENSILRASKESLLYENTAMREALAKMVCVCCGSPLLPEPQAGHGLHQLELENTQLKQGLEKLTKFLANYINMHDHY >EOY08559 pep chromosome:Theobroma_cacao_20110822:5:10718394:10719081:-1 gene:TCM_023124 transcript:EOY08559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGSVGAGFMAVFAVSGSVVFIAREVHKRLLSDFMKKIESELGGSAKCQVKKRVRFADDVMEPSSNNKEYRKRNRSSLAKQAKVGDVDEYDHPHQLLEMDDIMPLNRQVLYKGLLEYKTLKTHDASNFL >EOY09506 pep chromosome:Theobroma_cacao_20110822:5:29563143:29573892:-1 gene:TCM_024922 transcript:EOY09506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein, putative MATSPVVKLLLVLIALFGAACTNVQCFYFNFPDFQDEDRKDLDLSENSTIFRDAMQVTPDLNGDITNTPGRAYYGKKFRLWSKKKGIASFNSTFVINISNKDSPEVGEGLAFVLTADKSVPGNSYGQWLGMVNASTNGTSQARIVGVEFDTRKSYEEDLDDNHVGLDINSCYSILQESLSSYNINLSVGVDVRVVVQYDGKNLTVFISKGNETVNATSPAFSVPLDLSAYLPESVYVGFSASTGNFTQLNCVRAWEFQGLDVDEDSNLLWVWIAVPVISLALISGLAFYMYWSGRFKKDDLEGAYPNIEDHIKSSTAPRRFRLKELKRATGNFNHKNKLGKGGFGTVYKGIWKEKEVAVKRVSKKSNQGSQEFIAEVTTIGNLNHKNLVKLIGWCYENRELLLVYEYMPNGSLDKFIFYDEKAGIEESNQPTLSWETRLIIIKGVAEALDYLHNGCEKRVLHRDIKSSNIMLDSEFNARLGDFGLARTIQQREKTHHSTIEIAGTPGYMAPETFLISRATVETDVYSYGVLLLEVVCGRKPGNQSELNNYNNSIVNWLWEFYRRGRITDAVDSKLDGDFVDKEVESALILGLACCHPNPHYRPSMRTVLAVLTGEADPPQVPHERPSFVWPAMPPVFSQSDYSLTGSQFSTISDLSGR >EOY10111 pep chromosome:Theobroma_cacao_20110822:5:33036341:33041778:-1 gene:TCM_025489 transcript:EOY10111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVNFYQSPDDIDGQHLHGFRRRYTLATLDHTQGATQRVEQSYGTAGLACTHEGGAYMNAHLLPRIVHAAHHQVITYDKWIDAMKNELDALEYNKTWTVVSFPDGAHTVGRKWVYKIKLKANGSIERYKAPLVANGNSQLERFDSHETLSPIAKHTTGSVLQLLECLEQITLCLQKLKNGEFVALLVYVDDIIIASSCVEATDKFMDKPTTGHLQATYRVLKYLKKAPGQGILLSSTSDIHLTVYINSDWAGCRDTGRPVTSFTVFLGHSLISWKSKKQGVVARCSAKAEYRAMVIACSEVVWLLCLLKDFDSNCLAAATMYCDNQVALHISRNPTFHERTKHIEVDYHFIREKMQAGVILP >EOY07498 pep chromosome:Theobroma_cacao_20110822:5:1759018:1760238:1 gene:TCM_021918 transcript:EOY07498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFIRKKVEEGRDKMLNCVWQILKCEFCRRSYVAVMLKMKVEHSESMKVLFSLSIAILGLLYCRLLSVHFSTIDLPGLLTFSK >EOY11123 pep chromosome:Theobroma_cacao_20110822:5:38033241:38042746:1 gene:TCM_026391 transcript:EOY11123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine/ornithine decarboxylase MALLIIWETRTLLYNLSSSFVYRGIINSLLSSPKSFQTMVGPAMVTEKRVAATRLSKDELIAFIQSIILNEQQEEMGPFYVLDLGVVRSLLDAWTLNLPMVQPFYAVKCNPNPAFLKEMAALGTSFDCASRAEIQTILSLGVSPDRIVFANTCKPESHIKYAAKVGVNLATFDSKCELEKIKKWHPKCALLMRIQVPETSGAAFLFGSKFGALPEEIVPLLQSAQAAELNVVGVSFHIGSRAINYHAFEEAILAAKTTFETAAQLGLPKMHILNIGGGFTSGPKFTEAASAVKVALQKYFPNGPATGLKIMAEPGRFFANEPFTLATSILGKRIRNEIKEYWINDGMSGSMNYLKYDHDDVICTPLANYGSNDVMTCKGLKTSDSTVFGPTCDPEDTVLEGYPLPDLQVNDWLVFHNLGAYTSSRGNDFNGFKTSAIPTYLANFGCFGFFFVIPLSPRHIRSLLPGAFARPFRGKSTRWPCSSDCLSSRWGDFQGQSHFATSSSNGAKPSSPTFHSYMAPELVSPEGLGIKLNPSKLLHIFKFAEELSISKLYYVRKCCKNYEIIFKELVKILHRKAN >EOY08582 pep chromosome:Theobroma_cacao_20110822:5:11912795:11913948:-1 gene:TCM_023237 transcript:EOY08582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGLMEPLFRAIASKDFDTYSTPVDYTQRIEMRSNESRVAKDSVKRAKIEGHQGRRDFSSVFGGSKIQGRVVKLSIVAILVGYDMKDDAFVLQEFVSCHSPIFGP >EOY09108 pep chromosome:Theobroma_cacao_20110822:5:26114674:26122016:1 gene:TCM_024495 transcript:EOY09108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apurinic endonuclease-redox protein, putative isoform 2 MNQASPLGFKTLINLSSFAAAPRSLGVGRFVPSRGKVMGSKRRSFSTSSSIDDQNIDKKPKGVIDNNNPISDKPLLKNFKDDPGKIEAMTVQQLRTALRSAGVPAKGSKRDLVSALQCYLAKEIDGGSSFLADKQDPSNYDESISIRMEAGSIEDQVQDVNTISKVSRVQRSRRTLNQMQIKGETVEVDTKIVTIEQKLSVKTGRASGRKPSQTKRKVSSDVDSKDVSAENGVTLTVNQSEPWTIFAHKKPQKGWIAYNPRIMRPPPPMGNPKFVKVLSWNVNGLRALLKLEGFSALQLAERENFDVLCLQETKLQEKDVKSIKQSLIEGYENSFWTCSNSKLGYAGTAIISRIKPLSVRYGLGKSDHDSEGRVVTAEFDSFYLLSVYVPNSGDGLRRLTYRITEWDPALSNYIKELEKSKPVILTGDLNCAHEEIDIYNPAGNKRSAGFTIEERQSFGTNFLSRGFVDTFRKQHPGAVGYTYWGYRHGVRKTNKGWRLDYFLVSEAIADDVHDSYILPDVMGSDHCPIGLTLKV >EOY09109 pep chromosome:Theobroma_cacao_20110822:5:26114671:26118747:1 gene:TCM_024495 transcript:EOY09109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apurinic endonuclease-redox protein, putative isoform 2 MNQASPLGFKTLINLSSFAAAPRSLGVGRFVPSRGKVMGSKRRSFSTSSSIDDQNIDKKPKGVIDNNNPISDKPLLKNFKDDPGKIEAMTVQQLRTALRSAGVPAKGSKRDLVSALQCYLAKEIDGGSSFLADKQDPSNYDESISIRMEAGSIEDQVQDVNTISKVSRVQRSRRTLNQMQIKGETVEVDTKIVTIEQKLSVKTGRASGRKPSQTKRKVSSDVDSKDVSAENGVTLTVNQSEPWTIFAHKKPQKGWIAYNPRIMRPPPPMGNPKFVKVLSWNVNGLRALLKLEGFSALQLAERENFDVLCLQETKLQEKDVKSIKQSLIEGYENSFWTCSNSKLGYAGTAIISRSDMA >EOY10416 pep chromosome:Theobroma_cacao_20110822:5:34586727:34589069:-1 gene:TCM_025776 transcript:EOY10416 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MSSTISSAADAIASNEDLLTEILLRLPAKPLLKFKFVSKEWFSLISSSQFCLFHARRCRDNASFTAAVLLFHCDTSHTPPTGFHVVPLKNQCSKVPFFDYLGDSDVRIMQSCNGLLLCRSCDKFQYLSYFICNPSTNKIRIVSFPQAEVDGFVYAVNLAFDPLKSVHYKIISIRRLVWVAPRFQIDIYSSKTDSWSVKVLNFTKPDDVWLNCGVFCNGAIHWEGGDRSSLYLDVENMCLKAMPMPARMLDAPEGSYGESNRFLGESRGHLHLTVNYKPLCLQFNIFEMAADYSDWFLKYRMNLETVVKGFPEIPLYPDEEGGNQVSALCVIRSKIDEVSTVVVFVNGKAISYDLHDGTLTKLCDLEPCPKIHGHQSNYEAFHVYQYFETLSCV >EOY07391 pep chromosome:Theobroma_cacao_20110822:5:1425253:1429575:-1 gene:TCM_021842 transcript:EOY07391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulata-related 1 isoform 1 MSGFGLLHLVSVKNDVFLSKLWGQDLSFVKSSSKRFLFSVKKKQRLVILSLSQPPEAGPQSGAATTIVTKESSGNNIRKEEVRILGSGNEEAKIDEGNGGGFFDGNGGNGKFNNGGGRGGGGGGDGDDGEKGDPEEEEFGPIMKFEEVLKETEARGATLPADMMEAAKSVGIRKLLLLRYLDLQGSSWPLGFAMRSWGMLRNRMLADPSFLFKIGTEIVIDSCCATLAEVQKRGKDFWAEFELYVADLLVGVVVNIALVGMLAPYARIGQPSISTGFLGRMQHAYGALPSSVFEAERPGCRFTVKQRIGTYFYKGVLYGAVGFACGIIGQGIANLIMTAKRSMKKSEEDIPVPPLVKSAALWGVFLAVSSNTRYQIINGLERLVEASPLAKQVPPVAMAFTVGVRFANNIYGGMQFVDWARWSGVQ >EOY07393 pep chromosome:Theobroma_cacao_20110822:5:1425390:1429421:-1 gene:TCM_021842 transcript:EOY07393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulata-related 1 isoform 1 MSGFGLLHLVSVKNDVFLSKLWGQDLSFVKSSSKRFLFSVKKKQRLVILSLSQPPEAGPQSGAATTIVTKESSGNNIRKEEVRILGSGNEEAKIDEGNGGGFFDGNGGNGKFNNGGGRGGGGGGDGDDGEKGDPEEEEFGPIKKRGKDFWAEFELYVADLLVGVVVNIALVGMLAPYARIGQPSISTGFLGRMQHAYGALPSSVFEAERPGCRFTVKQRIGTYFYKGVLYGAVGFACGIIGQGIANLIMTAKRSMKKSEEDIPVPPLVKSAALWGVFLAVSSNTRYQIINGLERLVEASPLAKQVPPVAMAFTVGVRFANNIYGGMQFVDWARWSGVQ >EOY07392 pep chromosome:Theobroma_cacao_20110822:5:1425390:1429397:-1 gene:TCM_021842 transcript:EOY07392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulata-related 1 isoform 1 MSGFGLLHLVSVKNDVFLSKLWGQDLSFVKSSSKRFLFSVKKKQRLVILSLSQPPEAGPQSGAATTIVTKESSGNNIRKEEVRILGSGNEEAKIDEGNGGGFFDGNGGNGKFNNGGGRGGGGGGDGDDGEKGDPEEEEFGPIMKFEEVLKETEARGATLPADMMEAAKSVGIRKLLLLRYLDLQGSSWPLGFAMRSWGMLRNRMLADPSFLFKIGTEIVIDSCCATLAEVQKRGKDFWAEFELYVADLLVGVVVNIALVGMLAPYARIGQPSISTGFLGRMQHAYGALPSSSVFEAERPGCRFTVKQRIGTYFYKGVLYGAVGFACGIIGQGIANLIMTAKREHEEVRRGHTCSTSCEECSFVGCFSCSFFQYSISDH >EOY10420 pep chromosome:Theobroma_cacao_20110822:5:34655377:34660584:-1 gene:TCM_025784 transcript:EOY10420 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MVTTRSSSRLRVKITSAETVANNEDLFQEILPRLPTKTLLKFKLVSKPWLSLISSTHFSLSHTRFLQNNHSLKPHALFLDILYEKLPSKFMFLHLNPDINPLPSFNFIDVRCVKIIQSCIGLLLWVSVSDDGLRFFVCNPTTKKFKVISIPSRQEIYNSYDYVANSMGLDLSKSTKLFLDNDASVNLAFDPIVRFCQHGVNLAFDPYKSPHYKISSIWREVLFSKDPENTTFYIFPRYSVDIYSSETNSWSVSKIEFNSKKYIHADHAVFFKGAIHWDCSDTQSWYIDVNNECLKTMPMPRVNKGYRYFGESGGHLHLAVATEFPHLEFTIFEMEADYSSWSSKYNVTLLVVPRRRSRIQSYLLSYALQCDEKQGDSIVIALSNDKGYSYNLESGTVQKLWCSNVKKYEHDHTSRFHALQYFESLSCI >EOY09601 pep chromosome:Theobroma_cacao_20110822:5:30156105:30184803:-1 gene:TCM_025019 transcript:EOY09601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jojoba acyl CoA reductase-related male sterility protein MGLWCLSSFSVAPSKFATVCNNCNSWGKKNHFVHCQGSGNAINTSGISSVLREISEMVNADRGATVMDAGSLVMSLNGNSQADIAIKELVPCGGSTTSLVELQEGIGIVKFLRGKDFFITGSTGFLAKVLIEKILRTVPDVGKIFLLIKAKNREAAMERLKSEIINAELFKCLQQTYGNSYKNFMLSKLVPVVGNVCESDLGLDGDLADMIAKEVDIIVNSAAKTTFDERYDVAIDVNTKGARHLMGFAKKCQKLELFLQVSTAYVNGQRQGRIMEKPFDIGDCIARENLISETTPTSIPELDVEDELLLALNSKKGFDDTEVAQKMKELGLERARKYGWQDAYAFTKAMGEMMINNMRGEIPVVIIRPSVIESTCKEPFPGWMEGNRMMDPLVLCYGKGQLTGFLADPNGVLDVVPADMVVNATLAAIARHGMTPKPDISIYHIASSVVNPLVFQDLARLLYEHYSSSPFLDSKGSPIHVPSVKLFNSMEDFSAHLWRDATQKAGLTAVASWGGKLSHKLETICRKSVEQAKYLANKYEPYTFYGGRFDNSNTERLMEIMSEEEKRNFGFDVESIDWKDYIKKVHIPGLKRHVLKGRGMCT >EOY10365 pep chromosome:Theobroma_cacao_20110822:5:34374128:34377622:-1 gene:TCM_025735 transcript:EOY10365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRILQGFRALLASPAVSTSSSATAAAKFSSASAAAAKPAATKVSRKPKKPTTSKPKADKPAAPRTTNRPTGISKVTPVSPALGQFLGAQQASRTEAVKQIWTYIKSHNLQVVFGEGMMFFPEFQQREMDGETERSQMRKDKYGRMVENWCKTDKPLCTAENDNPVFTQSHDFYVDDRVSFSAGLYFAQISYNPSNKREIFCDEKLKAIFYGKEKIGFLEIGKLLTGHFVKTD >EOY11073 pep chromosome:Theobroma_cacao_20110822:5:37805719:37811128:-1 gene:TCM_026346 transcript:EOY11073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein MAGNQQEGLGDDFFEQILAVPPGYGGGAGGGGASEVGGATLPMVLQLGSSGGPAGGSGGDGGGGGFRGMGIGVGMGMMPLGLNLEHGFLRHEDGVVVDSNNNNSNNNNNNASCSAASAVSGISERDSMHMTSLFPPFGQMQTQQIRPSPPPPQPPPQLHQQFHSQPTSGPVAAAPHPPAIRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPSCNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGAAGAVAQLVADVPLSSVEGDGIEGGTQPAWEKWSNDGTEQQVAKLMEEDIGAAMQFLQSKALCIMPISLASAIFRTHQPDAPTIVKPESSTPS >EOY08650 pep chromosome:Theobroma_cacao_20110822:5:15001993:15012682:1 gene:TCM_023518 transcript:EOY08650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MICLSCTMVIYSWPHVWCTPSTQHHGHDAPNTVVHVPVIPSPCSCYYDSISPCSSHILHKAMREIDIISS >EOY11044 pep chromosome:Theobroma_cacao_20110822:5:37673854:37679378:1 gene:TCM_026317 transcript:EOY11044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MAPDASAALAVRQKVQNFLNAACTGNLDLLKKVASQLDDGKGLAKTVADIKDANKRGAIHFAAREGKTEVCKYLLEELKLDVDTKDEDGETPLLHAARQGHTVTAKYLLEHGANPSIPSDLGATALHHSAGIGNIELLKYLLAKGVEVDSQSDSGTPLVWAAGHGQHDASKVLLEHHANPNAETEDNITPLLSAVAAGSLACLDLLIQAGAKVNVIAGGATPLHIAADIGSPELVNSLLKAGADPNVIDEDGQKPIQVAAGRGQRGAVEILFPLTSKIDTIPEWTVDGILEFMQSEANKQMEEMKNLKEAKAARDTTLPTTDLPEVTPEAKKRAAEAKSRGDEAFKRKDFQMAVDAYTQAIDLDPTDATLHSNRSLCWIRLGQAEHALTDAKACRALRPDWPKACYREGAALRLLQKYDEAANAFYEGVKLDPENKELVNAFREAVESGRKFHSTDKDKS >EOY10486 pep chromosome:Theobroma_cacao_20110822:5:35062488:35064185:1 gene:TCM_025839 transcript:EOY10486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEEFAEEVNNSLQPPPFVEVICKSSGKKSRFAAGTKAGFAVSLINRKLDIGNPFALHIEAVKQGEEPISFGPDAVLVNYGNGWKLQTVTEADFPGTRKGEGFRPVSAQIPIVKNFDGSHSTKRTSKPGISYLYIAKILLAFVLMFVLAGILMLGLENLPRLILFINSSM >EOY09099 pep chromosome:Theobroma_cacao_20110822:5:26046486:26051682:-1 gene:TCM_024485 transcript:EOY09099 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MHSIKPICKNTTFTGLGDKNNKQNKVSTISLAVKNQSSNQTTEKIHGKVSPRRGNNPVSSEGRDGDQNYGPICPGCGIFMQDKDPNLPGYYQERKVFGRKVAANEDEDDLLEYFGDELEGLDDDVEEEEEEEEEDFVDDIEGNDAKEDNLGEGDEFDWDSDEWEAKFIKGGDDLEFDGFAPATVGYGNITEENMEKGKRKRLSKAVRKRMAREAKDEEEVTVCARCHSLRHHGQVKNQSTENLIPDFDFDRLITTRLIKPTGNAGATVVVMVVDCVDFDGSFPKRAAKSLFKLLEEGQTDSKLSKKLPKLVLVATKVDLLPSQISPTRLDRWVRHRAKAGGAPKLNGVYLVSSRKDLGVKNLLSFIKELAGPRGNVWVIGAQNAGKSTLINAFAKKEKANTTRLTEAPVPGTTLGILRIGGILSAKAKMYDTPGLLHPYLMSMRLNRDEQKMVEIRKELKPRTYRVKVGQAVHVGGLMRLDLNHASVETIYVTIWASPNISLHLGKVENADEIQKKHVGIRLQPPIGEDRASELGKWEEREVKVSGSSWDANSIDIAAAGLGWFSLGLKGEATLALWTYDGIEITLREPLVLDRAPFLERPGFWLPKAISDAIGNQSKLESQKRKKKIEQSTDYLSEVSA >EOY09098 pep chromosome:Theobroma_cacao_20110822:5:26047500:26053144:-1 gene:TCM_024485 transcript:EOY09098 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MLTYLQSARLKIPTLNFSTLNHPSVSLSPFPPKPTFTSATIPLPTSLEQHPGDGSSSENHHCTASAVQLIFPSQSIIVNTASHPLHTTFHFIVVSSKAFTPGKRRSSFTPISNLNTWFPWTTLLIILLAGSAMVITGADLVVGVDNSMAKTSFDLHQSLKSWYVEKMAFLLSATIVKLSPKLFHNTRGNTQENPEATICFFPGLGDKNNKQNKVSTISLAVKNQSSNQTTEKIHGKVSPRRGNNPVSSEGRDGDQNYGPICPGCGIFMQDKDPNLPGYYQERKVFGRKVAANEDEDDLLEYFGDELEGLDDDVEEEEEEEEEDFVDDIEGNDAKEDNLGEGDEFDWDSDEWEAKFIKGGDDLEFDGFAPATVGYGNITEENMEKGKRKRLSKAVRKRMAREAKDEEEVTVCARCHSLRHHGQVKNQSTENLIPDFDFDRLITTRLIKPTGNAGATVVVMVVDCVDFDGSFPKRAAKSLFKLLEEGQTDSKLSKKLPKLVLVATKVDLLPSQISPTRLDRWVRHRAKAGGAPKLNGVYLVSSRKDLGVKNLLSFIKELAGPRGNVWVIGAQNAGKSTLINAFAKKEKANTTRLTEAPVPGTTLGILRIGGILSAKAKMYDTPGLLHPYLMSMRLNRDEQKMVEIRKELKPRTYRVKVGQAVHVGGLMRLDLNHASVETIYVTIWASPNISLHLGKVENADEIQKKHVGIRLQPPIGEDRASELGKWEEREVKVSGSSWDANSIDIAAAGLGWFSLGLKGEATLALWTYDGIEITLREPLVLDRAPFLERPGFWLPKAISDAIGNQSKLESQKRKKKIEQSTDYLSEVSA >EOY08679 pep chromosome:Theobroma_cacao_20110822:5:17475097:17476321:-1 gene:TCM_023690 transcript:EOY08679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLRNYMKGKEKQRIKERKIVGEEEKRNKTSGLFLTRFCCTLREEKRAFSCNCWRKKKLKNFEKNWSCLLVVCVGKLDSFVLPILRSFVAGNLSWKSANFRAKNGNKKGEKMRLLVTDFEKKGSEEAMVVKRGSHGGEGSDGLERKKKEKT >EOY08728 pep chromosome:Theobroma_cacao_20110822:5:18929771:18932051:1 gene:TCM_023828 transcript:EOY08728 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MEKMKVEVTSRKTIKPSIPTPHHLRTFNLSLLDQDVPAIHYGSVVFFYPSDNTARSSISQKSQSLKNSLSRILLHFYPLAGQVKDAVTIECNDQGACFIEARTGCQLNDFLTHPDPGFLKELVPSTDPKAIRSTLACILLVQLTSFTCGGTAVAVCVSHKFADASSLCTFIQSWTAMSSREYGRVVVPKLVGASLLPPIHKLITSTPPPTTRNCATKRFVFHKSQIANLKAKVAATMGKQQRPANVEIVLAIVLRCASAASQSKYGSSRQSALLNVVNLRKRMVPPLPGNTIGNLIWTYPVLFQESDVQLHQIVAKMKDAFTNVCNDKLKTIKSKKGHTEVQESRKQIAQLLNGKLVDTNTYTCTNLCSYPLHEMDFGWGKPLWVTSPSNFKNLIVLLDSKWGDIEAWVTLDELEMATFERDQELLAVASSNPSALVSYSRM >EOY09454 pep chromosome:Theobroma_cacao_20110822:5:29245129:29251163:-1 gene:TCM_024871 transcript:EOY09454 gene_biotype:protein_coding transcript_biotype:protein_coding description:STRUBBELIG-receptor family 2, putative MDTELLCFYFTVIVFSAALFVESEATTDQLDVVALNGLYKALNSPPQLRGWKLYGGDPCGELWTGVACSGSAVIHLNVPLLNLSGYLSQLDNLHNLKYLDLSSNNIQGEIPYGLPLNVTHINMACNSLSKKIPHSLSFLKNLRHLNLSHNLLSGPIGDVFTSLQSLKTMDLSDNNFTGDLPKSFGSLTNLTELFLQNNNFTGSVVYLSELPLTYLNLEDNHFSGIIPMQFQSIPNLWIWGNRFDVAAIYPPWNFPLNSVPREKNISSPPTTEQSAVVDYLSPEVSEEKKKSLSPVGIACVVVGVALMALCAALFFAFHVNRSHAKRLKSLDSSNSTLHSLPISTTIDCSTTAQAASPPFFSISSPSPLIPRHTPPVHPTSSEKTSRRKSFSRKRKFATTAKVFTVAELQLATNSFSEEKLLGEGSLGSVYKAEFPDGQVFAVKNINMVSLSYHEEEHFMAVIQMASQLRHPNIVRLIGYSVEHEQHLLVYEYVRNLSLDDALHNEVFKPLSWGLRVCIALGIARALDYLHSTFSPPVTHCNIKAANILLDEELMPHICDCGLAILRPFTSNAVKIKASEIAIWDSGYIAPEHGEPGSDNTKSDVYAFGVLLLELLTGRRPFDSSRPREEQSLVHWALFRLHDSESLEQMVDPSIKRTFPARSLSRFADIVSLCIQVLTRGRFLLKFNSEQTENIMKQVTLTFMCLCSTNKKWMGDLLIEVASFLSKMMKNNLLNFCGLNLKMQPEKEFRQPMSEIVESLTRLLQTMGLTKSSATDGAEVDPLERSFCSTQTCFKGSPPAPHMSPNLTSGSLSHGFLFPM >EOY09595 pep chromosome:Theobroma_cacao_20110822:5:30075962:30079541:1 gene:TCM_025010 transcript:EOY09595 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MDVRITSRETVQPSSQEVHLLKPFKLSFLDQLIPAFYVPLIFFYTQSSNSHFDSAQILARLKESLSKTLNQFYPLSGRTIDNFCIDYYREGVPYIEARVNGCLSDYLQSTELEKLNHLIPCEPFCYFSDPAVIPQLAVQVNIFDCGGIALAMCCSHKIIDASTISAFLRSWAAFSRGSNGEIPHPDLLEASSRLFPPMDSIPPNFLSSTRTLWFKEERYKTRRFVFDANAIATLMFKAKSKSLEHPSRVEALTAFIWKYAMLACASASGILKPSVLCQAVNLRHKMKPRLPDYSVGNLIRFAASAYKPADKDIELHHLAYLLREAMENYNTDYLQSLQGDEGFKVISEKANQVAEFAAKGNAQVYGFSSWLNSGMHQVDFGWGKPSWIGIPGVVSPAFTSLTFLKEIGQEKAVEAWVTLDEKKMTIFEHDHEFLAFASPNPHYDKYAMGLPILHSGFSSSRVPGVLFYFTQTLNCCVLKEVEIWLHDSASVTGLFCKPSPHVQTHCMFFFVQRFFRLQSTLVFELGCQSIFYVVVHADCFPMLCSL >EOY10055 pep chromosome:Theobroma_cacao_20110822:5:32727669:32733538:1 gene:TCM_025440 transcript:EOY10055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum retention defective 2B MNIFRLAGDMTHLLSIVVLLLKIRTMKSCAGISLKTQELYVIVFLTRYLDLFTRYISFYNTVMKLVFIGTSICIVWYMRYHKVVKQTYSKDQDTFRHYFLIPPCFLLALLIHRDFNVMEVLWTFSLYLEALAIIPQLVLLQRSRNIDNLTGNYIFFLGMEVNWYGIGKARFNIGLPGNYSSNLHSVFMISAYRALYLINWIYRFFMENFKFRWIPWISGLVQTALYADFFYYYIKSWKNHEKLKLPA >EOY07054 pep chromosome:Theobroma_cacao_20110822:5:331927:335362:1 gene:TCM_021585 transcript:EOY07054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/hydrogen exchanger 15-like protein MSKQTPEGERIKESILGIILLLVLGCAFAGEFIGVHAFVGPTLLGLVLPDRSALAATLVDEVESFVTFAILPFFFLSYGLSTELHSINARAYFALQFLVPFGFSAKFLGITLVSVFYCDFVLKDAIILGLILSSIGITDVQFYRRSFQLELIDYRCFGVMITSSIVMAGFSSYVVKALYDPFRSVHNQEYVPSIINLLEASNPTRESQMCVNLLHLIELAAGVSPAAVHQSWETRYHRSLPRRHHPHVKPRHSLYKPTESIINAFRIFERNNESLKPCLIIIPIHIKWNSGGIATESPEFRNVNLKVIVNAPCSVGILVDRGSQGGPRSVQGCWCLYRVSVLFIGGPDDREAVSCATRMANHPHVNVTVIRLTALLEIADNTEEKRLDSVTIHTFKKTNVTREKAAYKEEILADSAETCNLIHSMENEFDLILVGRRTESPLLLG >EOY08433 pep chromosome:Theobroma_cacao_20110822:5:8154051:8158775:-1 gene:TCM_022858 transcript:EOY08433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase family protein, putative MEETKSRFKRICVFCGSSSGKKASYQEAAVELGKELVERRIDLVYGGGSVGLMGLVSQAVHDGGRHVLGVIPRTLMPREITGETVGEVRAVSDMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGYYNSLLSFIDKAVDEGFISPTARRIIVSAPTAKQLVRQLEDYEPEYDEVTSKLVWDEVDRLSYVPESRVTT >EOY08250 pep chromosome:Theobroma_cacao_20110822:5:5934499:5938762:1 gene:TCM_022598 transcript:EOY08250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 1 MRTCSLRGTSILELSSTSPNFNSFYGSPKHPYARVTPSLSLKSCHFTVRCDVSFRPCIDIHKGKVKQIVGSTLTDSKEDGLILVTNFESDKSAAEFAKLYKNDGLKGGHVIMLGADPLSKAAAIEALHAYPGGLQVGGGINLDNCLSYIEEGASHVIVTSYVFNNGQMDLERLKGLVCVVGKQRLVLDLSCRKKEDKYAIVTDRWQKFSDVYLDEEVLHFLANYADEYLVHGVDVEGKNLPLSTSLLLASTKLLLITTLRSSMWIIPAEMNWCPTAMAILAQTCGAFILWLGIDKELVALLGEHSPVGSLYFCLLDGVLVPNLIPVTYAGGVTVMDDLETIKEAGKGRVDVTVGSALDIFGGNLSYSDVVAWHDSNRRGHKQDF >EOY08251 pep chromosome:Theobroma_cacao_20110822:5:5934463:5938794:1 gene:TCM_022598 transcript:EOY08251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 1 MRTCSLRGTSILELSSTSPNFNSFYGSPKHPYARVTPSLSLKSCHFTVRCDVSFRPCIDIHKGKVKQIVGSTLTDSKEDGLILVTNFESDKSAAEFAKLYKNDGLKGGHVIMLGADPLSKAAAIEALHAYPGGLQVGGGINLDNCLSYIEEGASHVIVTSYVFNNGQMDLERLKGLVCVVGKQRLVLDLSCRKKEDKYAIVTDRWQKFSDVYLDEEVLHFLANYADEYLVHGVDVEGKKLGIDKELVALLGEHSPIPVTYAGGVTVMDDLETIKEAGKGRVDVTVGSALDIFGGNLSYSDVVAWHDSNRRGHKQDF >EOY07621 pep chromosome:Theobroma_cacao_20110822:5:2209923:2215360:1 gene:TCM_022009 transcript:EOY07621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MYSLWNNNESMLELKSRHLCYNYELESMREALLGEMGMHQETTNVLYQLLSDACQERDEAREQLKRSVAEISELKKLVNKLLPSNSAEISSVVSHVQPDGRNQEILKRNLDIRGSDTVSEAHNNYSDDSSAANSISRTLFNASLTDSSNLALLKKSFVQDSGSHIRMGKFSSKDMAVNPASKVITTLVKGKPLPEKGRFLEAVLDTAPLLETLMITGQLPKWRNPPSLASNLIANDDHGLIKQRAVISSKSATPVCTMLNYKKCSNSFNFESLGHASMQRRFKLLLVAAATIFRRICTAARSSLIDTQKASNIHIHSSPDSPSPILNAPQVFDEVSDLDVVSATSIIGSFSKQHQHKEAILLFSRMLFNDIRPNEFTFGTVIHSSTLLKDLNIGKQLHACAIKMGLNTNVFVGSASLDFYSKLSTIEEAKRVFEDTHQPNVVSYTTLISGYMRNKRFEEALWLFKETPERNVVSWNAMIGGFSQTGHNEEAVNLFTRMLREGVMPTQTTFPCAIIAAANIAAHGMGKSFHACVFKCLGDQLNVFTGNALISFYAKCGSMEDSLLVFNKLHSRNIVSWNALICGYAQNGRGKEAIEFFEKMLVSGFRPNDVTLLGLLWACNHAGLVAEGYSYFNKARAEEPNLLKPEHYACVVDLLSRSGHFKEAEEFIQHLPFEPGIGFWKALLGGCQIHSNTELGEFAAKKIMALDPEDVSSYIMLSNAHVAAGRWEIASTLRREMKEKQMKRIPGCSWIEVRNQVHVFLSRDRKHSQMDEIHRILRFCIQHSQDSEASSFLMEFYI >EOY10711 pep chromosome:Theobroma_cacao_20110822:5:36091076:36102521:1 gene:TCM_026017 transcript:EOY10711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSDGSSRSFLSSANPPCWEFFLFSSKSLVTLLPDVRNILENVEVELGRKKAENRCMARWLRAKNLSVKRKKKAQRRIKRVNHLLIAENRRMKELVDVFLLTAEADNNHVREMNAAIQGMNDLNLDGNYNITTVNQVQPCSSSGSAADGDNNGYNREESAAVCEETELKKELQRVVKTILEEDDIGLTTIEATRILCNLAELKPKKTVGLRLDDKVLLEKFKCPLSG >EOY10058 pep chromosome:Theobroma_cacao_20110822:5:32772601:32774013:1 gene:TCM_025446 transcript:EOY10058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPMTPGEKYVKCFDGHALRIFSSSHFMDFNLIIQSDSKVGLSWVTNNSQRPWEYWQIFNEMDALSHMIGNITFIHVPREGNSLAKLGVDRSSTFSASW >EOY07262 pep chromosome:Theobroma_cacao_20110822:5:956399:958506:1 gene:TCM_021726 transcript:EOY07262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactosylceramide 4-alpha-galactosyltransferase, putative isoform 1 MAVRNLFRMSSYQLFLCSVKLPIFSTISLFFTISVAAVFFIIYENILTSNLSMYPEHITTTEIITALSTKSEGQSRSIFSSKPLQINTEGKVEGVDNENLDPLIPPSNVTREERIAWFQKKLPELELFKSNNLSSQFHDQVLGFFDRDCTIRYFMIWLSPAQSFGPREFAALDSLFKASPKGCLMILSPSLDSRQGYRILKPLIDGGFKVLAVTPDLHFLVKNTPAEGWLDEMKNGSKDPGNISLSYNLSNLIRLAILYKYGGVYLDVDFIILKDFMGLRNAIGAQSIDPITRKWTRINGAAMIFNDAHPLLLDFLQEFAMTFDGSKWGHNGPYLVSRVIGRVGNAPGYNLTILPPKTFYPMTWTNIDRLFKKPETEAELQWVEDTLVTLKSSTQAIHLWNHRTRDFMIEEGSVIERLISAYCVICQQRNNQQILPI >EOY07261 pep chromosome:Theobroma_cacao_20110822:5:956606:958504:1 gene:TCM_021726 transcript:EOY07261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactosylceramide 4-alpha-galactosyltransferase, putative isoform 1 MAVRNLFRMSSYQLFLCSVKLPIFSTISLFFTISVAAVFFIIYENILTSNLSMYPEHITTTEIITALSTKSEGQSRSIFSSKPLQINTEGKVEGVDNENLDPLIPPSNVTREERIAWFQKKLPELELFKSNNLSSQFHDQVLGFFDRDCTIRYFMIWLSPAQSFGPREFAALDSLFKASPKGCLMILSPSLDSRQGYRILKPLIDGGFKVLAVTPDLHFLVKNTPAEGWLDEMKNGSKDPGNISLSYNLSNLIRLAILYKYGGVYLDVDFIILKDFMGLRNAIGAQSIDPITRKWTRINGAAMIFNDAHPLLLDFLQEFAMTFDGSKWGHNGPYLVSRVIGRVGNAPGYNLTILPPKTFYPMTWTNIDRLFKKPETEAELQWVEDTLVTLKSSTQAIHLWNHRTRDFMIEEGSVIERLISAYCVICQQRNNQQILPI >EOY07238 pep chromosome:Theobroma_cacao_20110822:5:895749:899703:1 gene:TCM_021711 transcript:EOY07238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMKERDEELALFLEMRRREKEKEKNNNLLSLHNSEQLNAPLGSNVNVNGNGGGGSPISKIVSAVPVRKTAADIFLNSENEKSDYDWLLTPPGTPLFPSLEMESQKTLMSQIGMSNARPTALKTRLANHREEPASKSTLAPKQQTLSAGLNSSTNLNKRPSSSGGPKSASRPATPTGRPTLPTATKPTRSSTPTSRATLPSTKPAASTARSSTPTRSTARSSTPTARPSLPASKSTSRSATPTRRPATSSSTPIASAPTGRSSSVTRSAPTTSSVPRSAPLTSSVMKSAPPMSSATKSAPTTSKNSVPSRGTSPTVKSRPWKPSEMPGFSLDTPPNLRTSLPERPASATRGRPAAGGTRSASVEASSNGRPRRQSCSPARSRASSGSVCGNGSSIRSARRADTNGCDNDSPVVIGTKMVERVVNMRKLVPPKQDDNPRNNPTAKLSASLDSSGFGRTLSKKSLDMALRHMDIRRSIPGNQRPLMTNVPASSIYSVRSGSTKSRTVSVSDSPLATSSNASSEPSVNNNSFCIDGIEMEYNDLSSERGNSSPTSQPAR >EOY11067 pep chromosome:Theobroma_cacao_20110822:5:37764619:37775708:-1 gene:TCM_026341 transcript:EOY11067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MEIKPFLHEHFLSSYFVDNRHEKCAKCTQEIDDDEFSAYSCESCNFWLHSHCAQQQLPVQITHPLHSEHCLELFSFELNPFICDKCACIACDFKYSCKRCSFNLDYICALKTNEEGRWRCERKEQRSTIQHFIHLHDLSLFNYRKTCLFYYNCSWCKEPLSGRSYGCLDCYFFLHESCTWEMPKTLQHPFHPSHRLFLQFGNLSNRCNACKGQLRTLYSSDQRYDNNRHYCCHECNFWLHLSCARYLPTLKNSCHEHDLTHSLSHHMLTCNVCGENCDKSIRCACCRCVQCDLNFHFKCASISYEVKHKSHRHELFLKDFVREDDLGEYYCDICEEKRNSTLHAYYCEKCKYVAHMECVLNEQKSTKKSLPSIPRSMNTEFDHGATTLKVDGKVSIEKVMEQGESKQGSPEFLSELGGTIDTRIYKSGQLKMQVEYFNHEHPLDFHEVFEENGKPTCQVCKLEICGPSYVCKTCGYWCLHKACYELPPEVLNPLHPHPLSLLTRYPDILPGRFICDECGDISEGFLYFCFECRQFKVDVKCGGLSGPRNQGQRLKEMDRKTKISHANHEHMLVLGNAKKGHYCSYCQLEIFGLAYCCLDCDDIYILHESCLDFPEKMQHPFHPLHLLVVNRDFYELCDFCGYGTLAIVYSCFECDLHLHVPCLNSLRQALRFKFGTHKLDFYYIGIGSQMLKEMCWCLRCDESCTGPFYFCKEAYISFHLECFPMPQTVKSTHHIHPLVLKNSFVEDDSGEYYCDICEEKRFREYHIYYCEECQGMFIAHIECVFSQVEEVLSLLVPRARKNSVELEEKIENRLKGINDRLGRQRKMATVTLGVRSLYQACLMVALIAIASFMNHALGRYPRSRNILSIRLTIFTCNLAIFGPLAMLAKCNVCGDNCDASGRCAFYRCVQCDLNFHFKCASIPSKVKHESHRHKFFFKDFVREDDLG >EOY11713 pep chromosome:Theobroma_cacao_20110822:5:40113590:40114411:1 gene:TCM_026799 transcript:EOY11713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSVGIAVISVALLALNLISCSSGVEQETLMTICFPTENQEFCENLLMSDPRTSSADLPLLSVISIQLTEKQAKENHLSFMQLHDNATDPSMKDPLNKCVGIYEEMISKVEEAYQLSMAKRYKDISQLAETTKLAYQCENGIPLKNNASMEISETMILTSETSDYVNIYIARQ >EOY10120 pep chromosome:Theobroma_cacao_20110822:5:33074513:33078175:-1 gene:TCM_025498 transcript:EOY10120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKKVMLKKKMKEHERELEREVERNRRLGRERVRVRDWSDYRSSGKIAREGEGWTRAPKKRETKYAFVQCRFEDELLRAIRYDQNIKLDGRFLTVKEADKAKDGSKGRNQMVQKKMEEFIRERGLPSYREVVTNGMRGDTISKTGIDLSKVDGIKVKITGEEVEWLRRSALGHLKSQICYREVQSYLQKKGIMVLMRQAGDLNVIVTFGDKGEMEVLLDQYYDIFSIWFKDIKLYNMEKDERRYKVWVKIEELPFHLWHLKMFEAIGNCWGKFLKVDQETERKSRLDVAVIKVEVMSKRNVPVNQQIIVNGKAYIMRTSIIREESCDMERQACDETFCKAWACLEPISVEQREVRWVEK >EOY10765 pep chromosome:Theobroma_cacao_20110822:5:36336863:36348253:1 gene:TCM_026063 transcript:EOY10765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 1 member 18 MTKFIPYFGGHFVNCKSKKETILALVIMKGHYFLVAFAFLALASSLASAYDPSPLQDICVAINDTKTGIFVNGKFCKDPKLATAEDFFFSGLNVPRNTTNPVGSVVTPVNVDQILGLNTLGISLVRIDYAPYGGQNPPHIHPRGTEILVVLEGTLYVGFVTSNPDNRLISKVLYPGDVFVFPIGLIHFQQNVGKTNAVAFAGLSSQNPGVITIANAVFGSNPPINPDVLTRAFQLDNKVVKYLQSRFWWKNK >EOY10002 pep chromosome:Theobroma_cacao_20110822:5:32179622:32182999:1 gene:TCM_025373 transcript:EOY10002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATALPSTAWHTIKLQPQSPLPLRRRPLLIQSFRRSDFDTFARRMASGEAWKDAWRTANDGFEQFVFEAKKTAERLDRRYSVSRRVSSVVRSATDRAREIDRELEIGLRWRTFTMDFSRNWPTYRKQLNDFLDTPLGRSFATIFFLWFALSGWLFRFLILATWILPFAGPLLIGAVANNLVIKGACPACKRQFVGYKNQIIRCASCGNIVWQPEGDFFRRDSRGTNSRKSEPEIIDVEFEEK >EOY09078 pep chromosome:Theobroma_cacao_20110822:5:25817560:25823426:1 gene:TCM_024459 transcript:EOY09078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISTKVVDEADADNFMESETLSTTTESSFENQNGRNRGRAEPGKKMKKLRSIKLSRMPSTRKGRSSSSQLRTVLSGYAASSEQSTPIEMSDASPNHIDPTSSSDAKEENFQELQLTLTRRSSFKPVRAVTRKSSMKLRRPQLRKSSGGTDLKKKSQSIRPVSFESSNSSRRDVSFQDDQHPIIPSSEVDSAPHYLESTSSCDMKKEQLQASPSHSESSFDSSDQNKKISTNEKQKLAYPGNESNRVLRTCALGPMRRLTKMASLKSKRPSTKKCSEISSISDPSIERATCSSTLKDSKFPDRVEIKPGGSESDGNAVLNVCRYSYCSLHGHNHGNKPPLKRLVSMRRRVAKTQKSLKPHRQSSGKAKHSGKKKKRLQTEKGVFNGDPGVAVQQTTVDIQEIPSVTGKEGSNFVNLAGSVPGELLYPDSSNEENLHQNNNPIKVEFKERSVDCSGVGAEQHKENFDTPDTKTKIEEIGHPNCRDVSSEEFGDATQLDKLSLRPDKTISTCNQVVPVDEEAHRDVDEDKASSLNLEEYKGDLGKDVKKLETVSTGRSFELPNGLFSLASVSGMMEEPTSASEEKNGDSELDHGILEAADSTAASTTDAACKTDKENQKNFTFWKLIYQHMVTGLDAEFETQKPLPGVNLKEQVENLHNACENKDSCQEISQTDQAMSIEDHEARNRKIEFSQSDAIKLVQQAFDKILSEIPDHSSDDQLVASEITSDEDFLLTKQDEGKEASISISSASIEDCMVQDHEEKQLQTDNKVASEEVKVAQIEGKKSDKQMPNSWSNLKKIIILKRFVKSLEKVRNLKPRKSWNLPMNRDPEAEKIHLRHQNMKGRKNTEEWMLDHALRQVISTMAPSQKRKVAMLVQAFETIIPLPENGNDMRSNAAASSPTTSVQAHIESLVHNGDSVQNENGSEILPGKSSYPQMSFKDDHNQVNESQMAHQEIQKASPEPKVTSLLCGCTEQSLCIAASQMSGTDMMKEDTGAVDDNDGKDVSISMDAQPKFVDLSLSELEEHRLSDKSLNNEDAVRISHEKFFPENEEVIQKISKDEISILDSEVSNGGSEFNVQKKDLESSDLINSADQHPGKPESQTEVGEGAQPKYKFLSYPLAQFESNFAADVSKSERQKYMRLWYLIYKHMVSGSATEDGSQPLHNGADEEVQGDAASKFSIEKNADCQGSFAVGQDMMENYTTGSQNIECHNHEIIKLVEEAIDEIPLPDIQDDTSDNQSVTGDAIPDQVLSEKKHGEEVHIISSSTGSAEEDSEEARNITTEVRSTLNSEEKTLKSKNVSSQKEATRETEEGNKSKKRVQRNWSNLKKLILLRRFVKALEKVREFNPRAPQYLPLDPAPESEKVLLRHQNMEDRRNAEEWMLDYALQKVVAKLTPERKRRVELLVEAFETVIPTIS >EOY09762 pep chromosome:Theobroma_cacao_20110822:5:30944498:30948850:1 gene:TCM_025146 transcript:EOY09762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MNWCFKVKKRSNDETFFMENGAMLLEELIAFCNGKSNPIQNFSAEELKIATNNYGEGRCFLRSHDNFNSIVDYSETVLQMLTETYPERTWGADYYNLYEGYLKDRPVSVKKYISIDVLSKIFKDIAIGSQMSAHKNVLKLLGCCLETKYPIIVYEFVGTRILSDFLCDANGAQCQPLPWRCRLKIAVDLASAVEYLHTAFSKPVILHRDIRSSNVILDQDNVPKLIDFALSISIPECQLHVEEFESKYLLTLDVFNFGVLLLQLLSGKKKLEHLPFTIRMDSLEHLAENNQSSGVIDDRIIEEGIEQQQLLDFARLVRRCFSEETGTYLYYIWLNFDNCMFGKVRGKPRVENCNGLANAVAYLHTALSRPFIHPDIRSSNTILDQDNVPKLIDFSLSNSIHEGQSHVEGIVGVGGLVWGVAWGGFVVGWRVSTFAPDQALMGYLTEKADVHSFGKILFQLLRGQRPSYYINVDSIVLDSVKHWVENNQFSRVVDHRIAIEGILQEQLLDFTTLAREGEERPKIIKVARELSLFN >EOY11793 pep chromosome:Theobroma_cacao_20110822:5:40327866:40334369:1 gene:TCM_026856 transcript:EOY11793 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein isoform 2 MGIKGLTKLLADNAPKAMKEQKFESFFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGIKPVYVFDGQPPDLKKQELAKRYSKRADATEDLQQAMEAGNKEDIEKFSKRTVKVTKQHNEDCKRLLRLMGVPVIEASSEAEAQCAALCKSGKVYAVASEDMDSLTFGAPRFLRHLMDPSSRKVPVMEFEVAKVLEELNLTMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIEHILQNINKERYSIPDDWPYQEARQLFQEPLVCTDDEQLEMKWNAPDDEGLITFLVNENGFNGDRVTKAIEKIKAAKNKSSQGRLESFFKPVGNTSIPIKRKETPQNIPKETTNKKLKAGGGKKKK >EOY11791 pep chromosome:Theobroma_cacao_20110822:5:40327790:40334364:1 gene:TCM_026856 transcript:EOY11791 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein isoform 2 MGIKGLTKLLADNAPKAMKEQKFESFFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGIKPVYVFDGQPPDLKKQELAKRYSKRADATEDLQQAMEAGNKEDIEKFSKRTVKVTKQHNEDCKRLLRLMGVPVIEASSEAEAQCAALCKSGKAILSHHLFLFQVYAVASEDMDSLTFGAPRFLRHLMDPSSRKVPVMEFEVAKVLEELNLTMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIEHILQNINKERYSIPDDWPYQEARQLFQEPLVCTDDEQLEMKWNAPDDEGLITFLVNENGFNGDRVTKAIEKIKAAKNKSSQGRLESFFKPVGNTSIPIKRKETPQNIPKETTNKKLKAGGGKKKK >EOY11792 pep chromosome:Theobroma_cacao_20110822:5:40327866:40334369:1 gene:TCM_026856 transcript:EOY11792 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein isoform 2 MGIKGLTKLLADNAPKAMKEQKFESFFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGIKPVYVFDGQPPDLKKQELAKRYSKRADATEDLQQAMEAGNKEDIEKFSKRTVKVTKQHNEDCKRLLRLMGVPVIEASSEAEAQCAALCKSGKFQVYAVASEDMDSLTFGAPRFLRHLMDPSSRKVPVMEFEVAKVLEELNLTMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIEHILQNINKERYSIPDDWPYQEARQLFQEPLVCTDDEQLEMKWNAPDDEGLITFLVNENGFNGDRVTKAIEKIKAAKNKSSQGRLESFFKPVGNTSIPIKRKETPQNIPKETTNKKLKAGGGKKKK >EOY11794 pep chromosome:Theobroma_cacao_20110822:5:40327790:40332047:1 gene:TCM_026856 transcript:EOY11794 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein isoform 2 MGIKGLTKLLADNAPKAMKEQKFESFFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGIKPVYVFDGQPPDLKKQELAKRYSKRADATEDLQQAMEAGNKEDIEKFSKRTVKVTKQHNEDCKRLLRLMGVPVIEASSEAEAQCAALCKSGKVYAVASEDMDSLTFGAPRFLRHLMDPSSRKVPVMEFEVAKVLEELNLTMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIEHILQNINKERYSIPDDWPYQEARQLFQEPLVCTDDEQLEMKWNAPDDEGLITFLVNENGFNGDRVTKAIEKIKAAKNKSSQGRLESFFKPVGNTSIPIKRKAYWLP >EOY11795 pep chromosome:Theobroma_cacao_20110822:5:40327890:40332122:1 gene:TCM_026856 transcript:EOY11795 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein isoform 2 MGIKGLTKLLADNAPKAMKEQKFESFFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGIKPVYVFDGQPPDLKKQELAKRYSKRADATEDLQQAMEAGNKEDIEKFSKRTVKVTKQHNEDCKRLLRLMGVPVIEASSEAEAQCAALCKSGKVYAVASEDMDSLTFGAPRFLRHLMDPSSRKVPVMEFEVAKVLEELNLTMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIEHILQNINKERYSIPDDWPYQEARQLFQEPLVCTDDEQLEMKWNAPDDEGLITFLVNENGFNGDRVTKAIEKIKAAKNKSSQGRLESFFKPVGNTSIPIKRKGTKCMLQFPKPMLKSKILSPQVCKFSRPKGFGSMPTLGPDFKHSAAFSRGVCFGT >EOY11645 pep chromosome:Theobroma_cacao_20110822:5:39918351:39920429:1 gene:TCM_026758 transcript:EOY11645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Antigenic heat-stable 120 kDa protein MGSSSVITPEDVLESLMNDGTIDALRLKIINQLKANEELKNTTIRMAEQSKVLNTPGAEKQTKRELFDALRQELETPVLERASKSVWDLILDSNGLGKEISETVERVFCKLSGREPPLFPHPNDESQPEKEADDEKGKGKEKENENEKENSNSKSKKRNFSEMNAEGSATEVAGKSSDTPAAPDDAT >EOY11745 pep chromosome:Theobroma_cacao_20110822:5:40199085:40210034:-1 gene:TCM_026826 transcript:EOY11745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 9 MAQMVGTDEIESLRIELSEVGRSLRSSFQLHASSLRSSSALSSGKDDAGVEYALQWAAIERLPTFERLRSSLVDKDNDGDNNGGMANGEGKRVIDVTKLGALERHIFMEKLIKHIENDNLQLLRKIRNRIDVVGVKLPTIEVRYKDLHVEAECEVVQGKPLPTLWTSFQRFFSDVVRLSGAKSHEAKISIINHASGIIRPGRMTLLLGPPGCGKTTLLKALSGNLDQSLKVTGEVSYNGYRLEEFVPQKTSAYISQSDLHIAEMTVRETLDFSARCQSVGSRADIMMEVSRREMEAGILPDADADTYMKAISVKGLKRTLQTDYILKILGLDICADTFVGNAMIRGISGGQKKRLTTGEMIVGPTKSLFMDEITNGLDSSTAFQIVTCLQQLAHITDATLLVSLLQPAPETFDLFDDIILMAEGKIVYHGPRDNILEFFKDCGFRCPERKGVADFIQEVISKKDQAQYWHSTELPYSYFSVDMFAGKFKESSLGKKLDEDLSVPYDKSHSHKNALSFTQYSLSKWELFRACMSRELLLMKRNSFVYVFKTSQLIVVAIITMTVFLRSRMHIDVLHGNYYMGALFFALVILLVDGIPEMSMTVARLEVFYKQKELRFYPAWAYAIPASILKIPLSFLESLVWTCLTYYVIGYSPEAWRFFRHFLLLFAVHLASISMFRFLAAVFQTAVASMTAGSFAILYVFLFGGFIITRSSMPVWLKWGFWVSPLTYGEIGLSVNEFLAPRWQRISSNSTIGGELLESRGLNFGGYLFWISLGALLGFTLVFNIGFTLALSFLKSPGSSRAIISHEKLSRIQGREDSSNGALGKEKSTNSPANNIKEPSKGRMVLPFEPLTVAFQDLQYYIDTPLEMRERGFSQKKLQLLSDITGVLRPGILTALIGVSGAGKTTLLDVLAGRKTTGYTEGEIKIGGYPKVQETFARISGYCEQSDIHSPQITVEESVMFSAWLRLAPQIDSKTKAEFVNQVLETIELDEIKDALVGIPGVSGLSTEQRKRLTIAVELVANPSVIFMDEPTTGLDARAAAIVMRAVKNVADTGRTIVCTIHQPSIDIFEAFDELILLKTGGRIIYSGPLGKHSSRVIDYFEAISGVPKIQTNYNPATWMLEVTSASAEAEKGLDFAQIYKDSALYESNRELVRQFSTPPPGSRDLHFPTRFSRNAWGQFKSCLWKQHLSYWRSPSYNLNRIIHTSVASLLFGILFWKQGQKIDNQQNLFNILGSMFTAAIFLGISNCSSVLPYVATERTVMYRERFAGMYSAWSYALAQVTVEIPYLFIQSVIFVIITYPMIGYYGSAYKVFWYLYAMFCTMLYFNYLGMSLVSLTPNFILASILSSIFYTLFNLFAGFLIPQPQIPGWWIWLYYLNPTSWTMNGMLTSQYGDIDKEIMIFGETKTVASFLKDYFGFHHDRLGISALVLIAFPLALASIFAYCVGRLNFQRR >EOY07396 pep chromosome:Theobroma_cacao_20110822:5:1434089:1441173:-1 gene:TCM_021845 transcript:EOY07396 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MRSQRLTSQSSTLFLVAISLCFSLSSSRNPNILQRGSSLSVEDDSDMLTSADNTFTCGFYNVGENAYYFSIWFTNSKEKTVVWMANRDKPVNGKGSRVSLLRDGALVLKDVDGSTTWETNTSSTDVQKAELLDNGNLVLKDSTEEIMLLDISFFFFDTDNVLRLMYDGPDISSVYWPNIDLNVFQNGRTNYNSTKIAVLDDMGRFLSSDRLDFNASDWGFGIKRRLTIDYDGNLRLYSLNNRTGLWIISWKAVMQHCLVHGLCGRNGICVYTPETKCSCPPGYEMADPSNWSKGCKPMFSAICSHSQQVRFVKLQHVDFYGFDSSYTTEISFDSCKKKCLEDCQCQAFSYRLSGEGRCYTKVELFNGYQSPNFSGNIYLKLPLSIESSEDIILNGTNYICNSSESIMKIGISSMYGIGGKRMRWVYLYSFASAIGLIEMLLIVSSWWLLIRRRGVQAVVEEGYRVISRQFMKFTYMELKKATKNFKEELGKGASGAVFKGVLADERVVAVKKLGGAYQMEEVFQAEVSTIGKINHMNLVRMWGFCSESRHRLLVYEYVENKSLDKLLFTENFLGWKERFKVAVGTAKGLAYLHHECLEWVIHCDVKPENILLDGEFEPKISDFGLAKLSQRGHKNSEFSRIRGTKGYMAPEWALNLPITAKVDVYSYGVVILELVKGIRLSNWVVEDGEEQETELTRFVRVTKRKIQSEEAAWMEDAVDTRLNGEFSKIQAAKMVEIGISCVEEDRSKRPTMDSIVQALMASKMVEIGISCVEEDRNKRPTMDSIVQALMACEDVSRIRTPDNLSVII >EOY09178 pep chromosome:Theobroma_cacao_20110822:5:26875671:26883323:-1 gene:TCM_024574 transcript:EOY09178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIELMRRSWPGKLGRDRDEFKLNVNGSSKNASQNAAGGGVLRDHSSNIIFGFLKNFGPQNSLQVELMALYRGLHLCIEHNVSRVWIEMDAQIVVQMIQGDLKGSYKIRYLLESIRKYLQVM >EOY11801 pep chromosome:Theobroma_cacao_20110822:5:40355024:40356650:1 gene:TCM_026861 transcript:EOY11801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein 10 MEAFVALFLTTFALVLGAAVADPDLLQDICVADLSSGVKVNGFPCKNPSSVGADDFFFAGLAKPGFTNNTMGSLVTGANVEKIPGLNTLGVSLSRIDYAPGGLNPPHTHPRATEVVFVLEGELEVGFITTSNVLISKSIRKGEIFVFPKGLVHFQKNIGMMPASVIAAFNSQLPGTQSLAATLFAATPTVPDDVLSKAFQIGTKQVQKIKSRLAPKK >EOY07924 pep chromosome:Theobroma_cacao_20110822:5:3369715:3376464:-1 gene:TCM_022246 transcript:EOY07924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEVEVCDNVGSQKVMSGPLPLVEEVVSGCNGMDNMVHGSSGFGSKDMIMRNGLEVSYVDVVRKKASVASTFCGGSDRGKQVVIVSVESTPGDDAAEFCEAGGFGPTVLVLEGYYALYRVSFKAYGCDVLLPLSGYFLLYEVFLPSLLLLAPF >EOY07102 pep chromosome:Theobroma_cacao_20110822:5:437357:439877:1 gene:TCM_021613 transcript:EOY07102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma interferon responsive lysosomal thiol reductase family protein, putative MASPRFFFAFFLSTSMLFLLSISPCHAQNVTLSLYYETLCPYCADFIVNHLVKLFDKGLNSIVNLRLVPWGNAVMQRDGNFVCQHGPDECVLNAIDACTITIYPEVERHFRFILCVERLALENKVNEWVNCFDMTGLGRVPVDCYKSGYGNMLEKHYAAETAQLNPPHKFVPWVLVNGQPLEEDFKSFVSYVCKAYQGKLVPEACHQSLPLMNNSLKKASLLNADTPFSITLLLIFGIHFWLLSALIEQ >EOY09880 pep chromosome:Theobroma_cacao_20110822:5:31479133:31479690:1 gene:TCM_025249 transcript:EOY09880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C2H2 type) family protein MTGKAKPKKHTAKEIATKVDAATTNRGGGKAGLADRSGVEKGGHAKYECPHCKTTAPDLKSMQIHHDAKHPKIPFEESKLVNRHAGHVADCSKSRPGVRGSFKK >EOY11250 pep chromosome:Theobroma_cacao_20110822:5:38639361:38644384:-1 gene:TCM_026494 transcript:EOY11250 gene_biotype:protein_coding transcript_biotype:protein_coding description:A_TM021B04.11 protein MGTLAYYCNLSSCPSLRLSTFRIVGSSEIGVSRRQVLEQVDKELNKGDERAALTLVRDLQGKPGGLRCFGAARQVPQRLYTLDELRLNGIETTSLLSPVDATLGSIERNLQLAAILGGVAAWNAFGFSPQQILFISLGFLFLWTLDSVSFNGGVGSSVLDTIGHTFSQKYHNRVIQHEAGHFLIAYLVGILPRGYTLTSLEALKKEGSLNIQAGTAFVDFEFLEEANAGKVSATTLNRFSCIALAGVATEYLLYGYAEGGLADINKLDALLKGLGFTQKKADSQVRWSVLNTILLLRRHEAARGQLAEAMSLGKSVGSCIDIIEDNINDDDI >EOY07327 pep chromosome:Theobroma_cacao_20110822:5:1212325:1215193:-1 gene:TCM_021788 transcript:EOY07327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active isoform 1 MKQGLLLWSPCYLSPLSFHSLSHRIPSTKHAPQLPPISASIDSTDTQQRQQQLSARERRQLRNERRESKAGYSWREEVEERLIKKPKKRYTSWTEELNLDNLAHLGPQWWVVRVARIRGLETAEVVARSLARNFPDIEFKMYTPAVQEKKRLKNGSISIKPKPLFPGCVFLKCVLNKEIHDFIRECDGVGGFVGSKVGNTKRQINKPRPVSDDDMEAIFKQAKEEQEKADQAFQEEQEGEKTLTADKLNVEYNLDSNGVTTSILDSKPKRQSRKRYDTVANRAKSSKLVPGSMVRVVSGTFAEFLGSLEKLNRKTGKATVGFTLFGKESLVELDVKDIVLETK >EOY07328 pep chromosome:Theobroma_cacao_20110822:5:1212846:1215138:-1 gene:TCM_021788 transcript:EOY07328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active isoform 1 MKQGLLLWSPCYLSPLSFHSLSHRIPSTKHAPQLPPISASIDSTDTQQRQQQLSARERRQLRNERRESKAGYSWREEVEERLIKKPKKRYTSWTEELNLDNLAHLGPQWWVVRVARIRGLETAEVVARSLARNFPDIEFKMYTPAVQEKKRLKNGSISIKPKPLFPGCVFLKCVLNKEIHDFIRECDGVGGFVGSKVGNTKRQINKPRPVSDDDMEAIFKQAKEEQEKADQAFQEEQEGEKTLTADKLNVEYNLDSNGVTTSILDSKPKRQSRKRYDTVANRAKSSKLVPGSMVRVVSGTSLEKLNRKTGKATVGFTLFGKESLVELDVKDIVLETK >EOY09825 pep chromosome:Theobroma_cacao_20110822:5:31214100:31214854:1 gene:TCM_025201 transcript:EOY09825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMMLKVILLESHRHGHTNREVSKNPKPTVVDRSGEDKIVAELMDGKKKLWLRKKPKKCWCKWGLNEI >EOY07787 pep chromosome:Theobroma_cacao_20110822:5:2687212:2690242:-1 gene:TCM_022116 transcript:EOY07787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein MLPFSYKVTSVSLALFVCVFLNFNKTIATTEFDFGTLTLSSLKLLGDAHLNNGSVRLTRDLQVPYSGAGRALYSKPVRFRQPGTHYPCSFSTFFSFSITNLNPSSIGGGLAFVISPDGDFVGAGGGSLGLLDDQGKALGFVAVEFDTLMDVEFQDLNGNHVGLDLNSVVSSQVGDLGALDIDLKSGNLVNSWIEYDASIGVFNISVSYSNLKPKEPMLSIALNLDQYVNDFMYVGFSGSTQGSTEVHSIEWWSFSASFESNSGSGSGSTSSPPPPTASLMNPTANSVKSPPPSLAPSGSDSVSSTQQKSSKSSSSCHNQLCKQGPGAVAGVVTAGAFFLALFAGALIWVYSKKFKHVKKSESFASEVIKMPKEFSYKELKAATRCFNANRIIGHGAFGTVYKGILPDNGDIVAVKRCSHSSQGKNEFLSELSIIGTLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLDKALFEARTPLPWPHRQKILLGVASALAYLHQECDHQVIHRDVKTSNIMLDEGFNAKLGDFGLARQVEHDKSPDATVAAGTMGYLAPEYLLTGRATDKTDAFSYGAVVLEVASGRRPIERDANAAAKVGVSGNLVEWVWSLHREGRLLTAADARLEDQFDEAEMRRVLLVGLACSHPDPLARPTMRSVVQMLVGEAEVPIVPRAKPSMSFSTSHLLLSLQDSVSDCNGMITLSSSSSENSYIGTDLV >EOY06986 pep chromosome:Theobroma_cacao_20110822:5:108406:111201:-1 gene:TCM_021537 transcript:EOY06986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIDLSLTSHSFLFLLISSVSFFLATLLVPSCTKVYVLVGMIRSSSSSKPHNHKNWSESEVPKTKAMKKRKEKQGSRRAFQHVKNTATSSSSSSSLSSSSSGSIEAPRGCLRFLLSHSSSSASTTNIKTPFNRNCATTAHLISKTPKSAPISRPPHSKPFPFINQNSSSKLGRVKKSQSSKKPTSETPPFLDSNNAAPETESLSVSQDLLKLPEEELQFTPVAVRLVNRSSVSKLSSPAEASMLWLLSPCHEDDDDDKENSAPLHGLLEPKTLPYPSSPLSDLGLSLDLCSFSNNTSDTSNSSCNKCQRSTNNMLISTQLPHFQVCLDSLSDYALVSSSPNDTPYSRAVPLKEEAKYCYNIEGGCSPFSTDTLGSENVMQTPTSDSSLERHAGLSCSSAKDHKRYHFHSDQLLSVAGDLGTESLSPKRHVSIWDTTSSSFQFDRLTTPSNSMELPPFQKILGDQSLWTSNSTFENVSQSQMRISWREGLVSRIFEMDELDSCRCLSDEEEELNVNSGDPLKPCQSLEINVDVGNVPTLKNGFGSTEFVHGESREKLQSPVQGSCAESISTDGGGLARSEDSDWSLCYKNNLFEV >EOY07470 pep chromosome:Theobroma_cacao_20110822:5:1674593:1677332:-1 gene:TCM_021899 transcript:EOY07470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fes1A MAKDEPNWDGLLKWSIAHSDGTRPTRNLSEEDRRWFMEAMQSQSVDVIKRMKEITLVMQTPEHVLEAQGVTSADIEDMLDELQEHVESIDMANDLHSIGGLVPLLGYLKNSHANIRAKAAEVVSTIVQNNPRSQQLVMEANGLEPLLSNFTCDPDVTVRTKALGAISSLIRHNKPGIAAFRLANGYAALRDALGTENVRFQRKALNLIQYLLNENSSDCSVVSELGFPRIMLHLASSEDAEVREAALRGLLELARDKTGGDNGGLGEDNEKLKQLLEERIKGISVMSPEDLGAAREERQLVDSLWSTCYTEPSSLREKGLLALPDEDAPPPDVASKHFEPPLRGWAANHAGDSKSGAEKQKTPLLLGPSPPTDGANI >EOY07384 pep chromosome:Theobroma_cacao_20110822:5:1404147:1408647:1 gene:TCM_021834 transcript:EOY07384 gene_biotype:protein_coding transcript_biotype:protein_coding description:POX family protein, putative isoform 2 MAEGFEPYHVPQQSRRDKLRIVAQNHPACVEATAATLSGCSALLPLYDPSLLSSDLLTCAANASATAGSHDFHHQTNHLSASASGKNSPVCVVKEEGVNFMGFVGGIVNASSSSSTSHHPYLDPQSSLPLNPSSIQDMNNNPFLYTPQNLQSLRDFDHSYNNGGEVVVYKPEALSLNHESSTTGQGLSLSLSSHNAHQNNLPLELNLQRFESAIYSDKVTASGYVVPGIVGASASTSNEVSRSSVPLGPFTGYASILKESRFMRPAQQLLEELCDVGRGSYAEKITPDSSLMEPPLENLSPAGIVDDPLGGGDGGESRRKKSRLISMLDEVYKRYKQYYQQMQAVVASFEYVAGLGNAAPYANLALKAMSKHFRCLKSAITNQLQFTNKAHGQISPGKEEGPRFGSTDRSLYSRPVHNAGFLEHQPVWRPQRGLPERAVTVLRAWLFEHFLHPYPTDTDKLMLAKQTGLSRSQVCVKLVYQCKSKALEAYGGRNTHARNTASSKSFAKGGQKCQQVK >EOY07383 pep chromosome:Theobroma_cacao_20110822:5:1403541:1409393:1 gene:TCM_021834 transcript:EOY07383 gene_biotype:protein_coding transcript_biotype:protein_coding description:POX family protein, putative isoform 2 MAEGFEPYHVPQQSRRDKLRIVAQNHPACVEATAATLSGCSALLPLYDPSLLSSDLLTCAANASATAGSHDFHHQTNHLSASASGKNSPVCVVKEEGVNFMGFVGGIVNASSSSSTSHHPYLDPQSSLPLNPSSIQDMNNNPFLYTPQNLQSLRDFDHSYNNGGEVVVYKPEALSLNHESSTTGQGLSLSLSSHNAHQNNLPLELNLQRFESAIYSDKVTASGYVVPGIVGASASTSNEVSRSSVPLGPFTGYASILKESRFMRPAQQLLEELCDVGRGSYAEKITPDSSLMEPPLENLSPAGIVDDPLGGGDGGESRRKKSRLISMLDEVYKRYKQYYQQMQAVVASFEYVAGLGNAAPYANLALKAMSKHFRCLKSAITNQLQFTNKAHGQISPGKEEGPRFGSTDRSLYSRPVHNAGFLEHQPVWRPQRGLPERAVTVLRAWLFEHFLHPYPTDTDKLMLAKQTGLSRSQVSNWFINARVRLWKPMVEEIHMLETRQALKASQKEDRNANKSSDHLSSANSLASENPSTSTQRVQDTPSKRTRSELPDLPAGSEPLNLSYNSLSSHPHVGVGVSMAGGSGGVSLTLGLHQNNGIGLSEPFPINAAQRFGLGLEVSSEGYVIGGFEAQNRHFGRDVIGGQLLHDFVG >EOY10284 pep chromosome:Theobroma_cacao_20110822:5:33952386:33958954:-1 gene:TCM_025654 transcript:EOY10284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCMVMGNGHNVLFWQDEWIEGVILKDKFPRMFALASNKTGSVNEFGAWINGDWRWKINLRQSIFDWESAQWSGLLQMIAGITPLCRHLTCIFIILERAQWSGFLSFVQIFVLGEKDVRVVRRLMILDGG >EOY11117 pep chromosome:Theobroma_cacao_20110822:5:38013724:38015786:1 gene:TCM_026388 transcript:EOY11117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S3Ae MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFNERNIGKTLVTRTQGTKIASEGLKHRVFEVSLADLQKDEDQAYRKIRLRAEDVQGRNVLTNFWGMDFTTDKLRSLVRKWQTLIESHVDVKTTDNYSLRLFCIAFTKRRANQVKRTCYAQSSQIRQIRRKMREIMVNQASSCDLKELVAKFIPEVIGREIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSEDVGVKLERPADETTAEGETEVVGA >EOY10766 pep chromosome:Theobroma_cacao_20110822:5:36349233:36351949:1 gene:TCM_026064 transcript:EOY10766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSEMNQEPDFEVPNQEEDNPQLQESINLDSFNNIIVLLCMLMVEAEDNGGADETDESTDDGELDCEEAVRVQKIGKRGPSGETVNGVMPFSNENTILDNNIATLEGDTATLKDNTASDEGNEDCFLPVKIDLMTIQMIGLINGMIKFR >EOY09836 pep chromosome:Theobroma_cacao_20110822:5:31269388:31270611:-1 gene:TCM_025212 transcript:EOY09836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYSLGVVFDPSDRDIVSHYLPMLISGESMSSLGDLQYVIGFADIYSTKPSVFFDVNNGNGLPFLKSNQRFIFTHRQRISKKNANGKRPRRILESHHYDEKLGVGDSGGYWRSSTAEKPILDEQRKEIGFVRTLNFFEFEDAKKSRKDATKTRWLMHEYRLSGDTFQEWVICKIKDTSGSPHDEYSDSIWEKELFGKLLLPHSDENHHHQDEYQSQIQSSTVFNDGNLPSYEVDQLLDDDPFKEVDQLLEINDDNQIQTQSFTVFNKGNLPRYEVDQLLYAHEKEISKDDDPFKEVDQLLEINDDSQIADYPFKEMEQLLGMNDNDPIADVDEALATMNSYYLSDLLD >EOY07132 pep chromosome:Theobroma_cacao_20110822:5:538096:547250:-1 gene:TCM_021645 transcript:EOY07132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLCSMEKGAVTNDKLVVPYSLIRKKANGETPAKLDSFFASDGDEDSESEASEAPEFASIGDIIILMDSTSPVFMALIAKTMAKLENVLTRPLFGVYFPKDRVLTTALKMFIEPLLCMKLKIDSGNDNNGNEKNNKRLKAGVDTPCYRNHNLLLLRHIQPSLPCASKESAPHLSVSSFRRCFRAWNTLGAFALGTPLGTSMLGKPLGSSRLRTPLSVFMFRVFLRLKNLGRSALGTPLTLLVSMCTNASFLILYGHIKRDYPTKGDESNENKGECAFVAEGPFPKSISAIDLTTTQVHWPFGGIKCWTMLDASPLIIQSLADCSNWCHNHDTILDFLHAKASNLIFICCFPSAIRGLHSSTSL >EOY09134 pep chromosome:Theobroma_cacao_20110822:5:26340525:26344510:1 gene:TCM_024525 transcript:EOY09134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase, putative MMCCKKAFAFLIFASLVLSCTSFRPTRIHSQSDEGDEFNYDEGSGRGPSRWGSLKPEWQTCSTGNLQSPIDIPVGSVLLSPALGDLQTSYASSPAVLKNRGHDIAVMWNGDAGKVDINGTDYKILQCHWHSPSEHTFDGTRHDLEIHIVHQNANNQIAVVSMVFQFGQPDPFLSALLSSIKTVGGGEKELGNVNPGDIGFVGRQYFRYTGSLSTPPCTEGVIWTVFQQVKTASTEQVQALKDALTEQFKENSRPTQPLGGRLVSFYEPGETDA >EOY09378 pep chromosome:Theobroma_cacao_20110822:5:28850738:28854341:-1 gene:TCM_024813 transcript:EOY09378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKVCFSEAIMIIFVAALLSVATTVSAQDSATAPSPSMDTGAAFSLPVSGVAVAFSLIVCLLALKQ >EOY11545 pep chromosome:Theobroma_cacao_20110822:5:39563483:39565914:-1 gene:TCM_026684 transcript:EOY11545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAASSSSATSHSSSDSSSDSSSSHAKRRRHHSNRRDRDRDSLKIRKKSRSLGKRRRRKHRCHSSDDSYSSSGSDYSRSNGSSDSEHESNHSKRHKKSDRPKKLKQKERNKSHRHKRHKHKVKEKPQDEGSSSPVQLSKSFLGVTRMIVYAVVLSLAKRSCFPSVPRECKILVTSALRSCIL >EOY11544 pep chromosome:Theobroma_cacao_20110822:5:39563520:39565871:-1 gene:TCM_026684 transcript:EOY11544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAASSSSATSHSSSDSSSDSSSSHAKRRRHHSNRRDRDRDSLKIRKKSRSLGKRRRRKHRCHSSDDSYSSSGSDYSRSNGSSDSEHESNHSKRHKKSDRPKKLKQKERNKSHRHKRHKHKVKEKPQDEGSSSPVQLSKFLGRDKDDSVRRSAVSGKKILLKLDKSKEDKAAESKRNELLKFLNASFD >EOY10655 pep chromosome:Theobroma_cacao_20110822:5:35762300:35765044:-1 gene:TCM_025966 transcript:EOY10655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein MASMAGSSISMQPRHTLATTRVSGLKLVSFMNQGRSSLSFNLRPMPARLRISCAAKPETVDKVCAIVRKQLALPNDKPVTGDSKFADLGADSLDTVEIVMGIEEEFGIAVEEDNAQSITTVQDAADLVEKLCSEKSA >EOY10443 pep chromosome:Theobroma_cacao_20110822:5:34805481:34844123:-1 gene:TCM_025806 transcript:EOY10443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 44, putative MRLKITFLLLLFLLPLALANLTVGFYRSSCPRAESIVRAAVQRRFSRDRSITAALLRMHFHDCFVRGCDASILIDSTSRTPSEKDAGPNLTVRGFELIDEAKKDVEAACRSTVSCADIITLATRDAVFLAGGPFYAVPTGRRDGLVSDPDVVNLPGPTLSVSQAFQTFRAKNMTLNDMVTLLGAHTVGVAHCSFFLDRITSFQGTGRPDPTMDPALVAKLRNVCGVASASNPDPTAFLDQGTSFTVDNQFFKQIRLRRGVMQIDQELANDGLSRLIVSGFASNATLFRTRFAQAMVKMGRIQVLVGKAGEIRRNCRVFNPRRRAF >EOY06959 pep chromosome:Theobroma_cacao_20110822:5:22606:29768:1 gene:TCM_021521 transcript:EOY06959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAGRPPDPSNPPPPPVSSFSMLQGTNQNTKDPTNPQPPVNNVGLQATDVQKPPVSPRAQKKSFLSVAAGEKPPIIPTNREPFWYRDRPAVAFFEDEIVALAQPFKHSMVGKFSRMPKLNDIRAAFKGIGLVGVYEIRWLDYKHILIHLSNEQDLNRLWMRQAWFIANQKMRVFKWSPDFQPEKESSLVPVWISFPNLRAHLYEKSALLMIAKSVGRPLFVDEATANGTRPSVARVCVEYDCQQPPLEQIWIVSRDRRTGDITGGFQQKVDFAKLPNYCTHCCHVGHSASTCLVMGHRMEKANNSNAQPYTGRKQAENDGKEVANKPTGDLMSCKGTDRKNIEERPTAADTVPGEDVAAAAEKKTKNPSREVPLKLFPRWQEVGSLDRPAVQVSIDAETVLENEGKEQYSSLNRFTVLGSVEKEENDEQQQMEKQGQKDDENSALERKNLLSGPPVAVADRQDTGAVKTCGFQAGRRLGSVNPKPKQQQFFSSKQVEDTADVVHITAVEEQTMFAVNKNDNSISVGAEFGADFPVKPQAVDGGMQESSHVNRVHGQRENFFGNRETSPAGKSYAIEPPVVPAAGKSDVRCSLKGERDMKLTTPAEVVPAFAETLMHGDGQQKAERGSGAKHESGASNKNNFLGALQCIAERQDNVTIGISEMHEGLKMGSNVFKANMQQQIMDDKGEKLVTVGKEANFSNSATSRFSGHGRLDDVDGTGYVLDDSALLNRDQQNIQRGGENTQKITVRKHKLKKKAKPVLTGLVPVMVIENDEVFLKEAEPAASPQLVSCHSSLAEENVLVSKIAAEKYERQEGHDENDPNMGLNLTVCGFNKELSFVPSNAGTSSFNSHAAHAKKDDPGGDNLEISSMQPLADSDSVNLEVHPCIARRRKSESSLDHEYVRRRLGFETVISNVSHKIWIFCSEEIGCEILLDHVQYLHVKITVPWLSHPIFSSLVYAKCTRQERLELWNCLRSISWDMQGPWMVGGDFNSILSSAERLHGAHPHSGSMEDFATMLLDCGLLDAGYEGNNFTWTNNHMFQRLDRVVYNHEWADCFNNTRIQHLNRDGSDHCPLLISCNNTVQRGPSNFRFLHAWTHHHDFIPFVEKSWRVPMQATGMLVFWQKQQRLKRDLKWWNKQIFGDIFHNLKLAEAEAAERELHFQQDPSILNRNLMHKAYAKLNRQLSIEESFWQQKSGVKWLVEGERNTKFFHMRMKKKRVRGHIFRIQDQEGNIFEEPSLIKNSAVDFFQNLLKAENCDLSSHLSFADDIVIFTNGCRSSLQKILNFLQEYEQVSGQQVNHQKSCFITANGCALSRRQIISHTTGFHHKTLPVTYLGAPLHKGPKKVFLFDSLISKIRDRISGWENKILSPGGRITLLRSVLSSQPMYLLQVLKPPVTVIEKIERIFNSFLWGDSNDGKKLHWTVWSKITFPVSEGGLDIRNLRDVFEAFSLKLWWRFQTCNSLWTKFLRTKYCLGRIPHFVQPKLHDSQVWKRMIVGRDVALQNIRWRIGKGELFFWHDCWMGDQPLATLCPSFHNDMSHVHKFYNGDVWDIEKLSSCLPTSLVDEILQIPFDRSQEDVAYWALTSNGDFSLWSAWEAIRQRQTPNALFSLIWHRSIPLSISFFLWRVLNNWIPVELRMKDKGIHLASKCVCCRSEESLIHVLWENPVATQVWFFFAKSFQIYVSKPNHISQIIWAWFFSGDYTRNGHIRILIPLFICWFLWLERNDAKHRHMGMYPNRVIWRIMKLLNQLYAGSLLKQWQWKGDTDIATMWGFKFPPKYCTSPQIIYWIKPFIGEYKLNVDGSSKSNLNAAGGGVLRDHTGKLAFAFSENLGPLPSLQAELHALLRGLLLCKERNITNLWIEMDALVAVQMVQQSQKGSHDIRYLLESIRLCLRSFSYRISHIYREGNQAADFLSNKGQTHQSLCVFSEAQGELIGILKLDKLNLPYVRFR >EOY10705 pep chromosome:Theobroma_cacao_20110822:5:36034890:36037573:-1 gene:TCM_026009 transcript:EOY10705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASTIVATAITSAVSASSPRIITVVVAISGAATVTARLNLVHSSNILVSIEVEIIHSLDSFIHLANMIVICFGRKQKIVDQFLHAFIRRNNHLAMRLFSAFVLANSTPTSSRTLEPRKDPREEPRGWHGESQERLSIWKRRERCHGELNGLSESQKRTEELREDVDVGVNGRSQRRGKEGCHGEVMDLNYSKSEQRSQTRMSMWEPRENTKEELREGYVNVRAKGRSRRRAKEDVMIRAKRGSRAKVNRGAKGGNISVRAKGRSQRGAKEDVTMVFNRRKSLVWVPSFPSIDGLQWPED >EOY08589 pep chromosome:Theobroma_cacao_20110822:5:12993046:12993216:1 gene:TCM_023320 transcript:EOY08589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein AVFMDLMNRVFHPYLDKFVIVFIDDILVYSKNDDEHAVHLRIMLQTLRERQLYAKF >EOY09602 pep chromosome:Theobroma_cacao_20110822:5:30172351:30172983:1 gene:TCM_025020 transcript:EOY09602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHTIPFGPTYSHNLQSTNPLLPCQCSFQYLTNMSVLQGDQSGLEGIIEYLNFVEICLKQNTVLMGFTNWLDFGFDKVDFGWGKPVWNGISGTAGSCTRDFTILQKASWSNGGIEAWITLSENEMEILENDPEFLAFASPNPSISTP >EOY09544 pep chromosome:Theobroma_cacao_20110822:5:29752630:29758344:-1 gene:TCM_024955 transcript:EOY09544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein 4 isoform 1 MEGGDLNNVRTPLLSSEGEKLIQNGQTEYFYSVDALKCEFFSKLPDRVRSGLDPETPFHMDLSKTTGLIEGEKEYYEKQFATLRSFEEVDSLELPHVIDEAQGHEEQAQHERAINFSNWANGFLLAFKIYATVKSGSLAIAASTLDSLLDLLAGGILWFTNLSMKSINIYKYPIGKLRMQPVGIIIFAAVMATLGFQVLVEAIEQLIKDKPSDKMTSLQLIWLYSIMLTATALKLVLWFYCRSSGSKIVRAYAKDHYFDVVTNLVGLIAAVLGDKFYWWIDPVGAIILALYTITNWSGTVLENAVSLVGQSAPPEVLQKLTYLVLRHHPLIKRVDTVRAYTFGVLFFVEVDIELPEDLPLKEAHAIGESLQIKIEELPEVERAFVHLDFECEHKPEHSVLNRLPSTQP >EOY09545 pep chromosome:Theobroma_cacao_20110822:5:29752414:29759107:-1 gene:TCM_024955 transcript:EOY09545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein 4 isoform 1 MEGGDLNNVRTPLLSSEGEKLIQNGQTEYFYSVDALKCEFFSKLPDRVRSGLDPETPFHMDLSKTTGLIEGEKEYYEKQFATLRSFEEVDSLELPHVIDEAQGHEEQAQHERAINFSNWANGFLLAFKIYATVKSGSLAIAASTLDSLLDLLAGGILWFTNLSMKSINIYKYPIGKLRMQPVGIIIFAAVMATLATALKLVLWFYCRSSGSKIVRAYAKDHYFDVVTNLVGLIAAVLGDKFYWWIDPVGAIILALYTITNWSGTVLENAVSLVGQSAPPEVLQKLTYLVLRHHPLIKRVDTVRAYTFGVLFFVEVDIELPEDLPLKEAHAIGESLQIKIEELPEVERAFVHLDFECEHKPEHSVLNRLPSTQP >EOY07724 pep chromosome:Theobroma_cacao_20110822:5:2520365:2521524:-1 gene:TCM_022078 transcript:EOY07724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSFTLHTKQGGAVAQTADENISLDMHGKFPKQYPCFELLVVNLPTSRVPIYQVGFQECILEIEMK >EOY11060 pep chromosome:Theobroma_cacao_20110822:5:37736830:37738060:1 gene:TCM_026333 transcript:EOY11060 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein, putative MLLVNCQFIIVIFMNGKFCKDLKLATAEDFLFSRLNVPRNTVNLVGSVVTPVNVDQILGLNTLGISLVCIDYAPNGGINPPQTYPHPTEILIVLEGTLRVGFVTFNPDNCLISKVFYLRDVFVFPIGLIHFQQNVRKTNVVAFTGLSSQNPEVITVANAVFGYSPPIDLDVLTRVF >EOY09033 pep chromosome:Theobroma_cacao_20110822:5:24977980:24982054:1 gene:TCM_024370 transcript:EOY09033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2 subunit beta MADDEVKDEVAEITPFDPTKKKKKKKVVIQDPADDSVDKLAEKTEALSVSDGLDFTSMKKKKKKQVEASTLNEESGDAGDDFDDHIDGDEEGDGIVLQQRYPWEGSDRDYEYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPDHVMAFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYINEYVICLGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRNTGT >EOY08580 pep chromosome:Theobroma_cacao_20110822:5:11561349:11562008:-1 gene:TCM_023196 transcript:EOY08580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATKIAFLKRRKSSRLAEKSKPVTTFSRQEPLEVSDTPLPDPLSLELPSISSPQPLDVQYGTNESSPSSSSEDA >EOY10706 pep chromosome:Theobroma_cacao_20110822:5:36042779:36053181:-1 gene:TCM_026010 transcript:EOY10706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNQGNVIYDHDRLIHEEMNYNLSELQQLHLNLITTLNIEQKQIYQCIKQSVDNDEGQMIFVYGHGGTGKTYLWNTIISSIRSVGKIVLAVASSGIASLLLPGGRTAHSRFKIPLDINEYSTCQIKKETQLARLVQACSLIVWDEAPMVHRHCFEALDRTLKDILNQDSNEAIDKPFGGKTLLLGGDFRQILPVIESGRKTDIINATINRSPLWSKCKVFKLKTNMRLLKPNLSEESRKEIETFAQWLLDVGDGNLHSTSMVINGDESDYIPLPNDLLVPIIHNPINDIVFSVYNDFYKFHADPKYLRQRAIVTPYNKATNSINAYALDLLPGYTKTYFGHDSISQTSDQVSNHELLYPTEFLNSLKFSGLPDHALHLKIGLSISITPLQHLSSLWRYQVHNL >EOY08834 pep chromosome:Theobroma_cacao_20110822:5:21953343:21960196:1 gene:TCM_024073 transcript:EOY08834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLSRDRIRFGLKTEDPRSQPVDTLPQNQNVLPGAFPRLSYRHTLFNENHEHDTNGEALDDDEVEVEVPESDEEDTDRNIGGPYIRLTKEEKLRIWKPWKNTLIVKLLDHYLIIRRWCLRFRSDEALVESVATRVCLLGMPREYYDKEILARISNKIGKTIKIDRTTSSQLRGKFARLWMGVDKMCCKYGFQNYFKVKANGYSGGIWVFWNAEVIEVEVLAYSSQLTHLLLNPSKEQWLLTEIYGSPLVKERKHLWDSLKLASNDQDIPWMVIGDFNQIISPDEKHGRNSVNLTQCNQLLNCMSYCNLYDFEASGFKFTWWNKKEGLDYTQVRLDRVFVNDRWHVMFPNVVAINLPRTHSDHHPVLVRCSSPSMLPDLNKFRFKEARTSHPSFDAYLRGSFPPSLNHTLITLIPKVERLENVSQFCPISLCSVRMKLLSKILVDRLRPILTELRRNTQSSFIPRLQASDNIIVVQKAIHTMWIMKRKKGALVIKIDLEKAYDRVKWSFLQEVLIEIGLPLNWISLIMNIVQNPTFFVLWNGTPMLHGGVTKATYFDICSKVGRKLEQWSNKFLSMAGRVSLAQAVTSTMASYIMQTTLLPNNVAMEINKLNMNFIWGQVSGKRKIHAINWHTLCLPKELRGLQIKETKKFNLALLAKLGW >EOY10675 pep chromosome:Theobroma_cacao_20110822:5:35810229:35816467:1 gene:TCM_025975 transcript:EOY10675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase family protein MENVILTGVEGMVRPKTKIVCTLGPASRSVEMIEKLLKAGMNVARFNFSHGSHAYHQETLDNLRTAMNNTCIPCAVMLDTKGPEIRTGFLRDGKPIQLTQGEKITITTDYSIKGDENLISMSYKKLAEDLKPGSVILCSDGTITFTVLACDKELGLVHCRCENSAVLGERKNVNLPGVVVDLPTLTEKDKEDILQWGVPNKIDIIALSFVRKGSDLVEVRKLLGEHGNSIILMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKMMIMKANILGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGSYPDLAVQTMARICKEAENFINYGDLFKRIMETASTPMSPLESLASSAVRTGNCIKASIILVLTRTGTTAKLVAKYRPSMPILSMIIPEITTDSLDWSCSDEAPARHCLIFRGLIPVLSSGSAKASYSESIEETTKFALQHAKERGLCKPGDSIVALHPSVIKILTV >EOY10803 pep chromosome:Theobroma_cacao_20110822:5:36612505:36615179:-1 gene:TCM_026116 transcript:EOY10803 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein MLMASSSTFFGLSMVLVFLLALESIAPLAEARAFFVFGDSLVDSGNNNYLATTARADAPPYGIDYPSRRPTGRFSNGLNIPDLIGEQIGLSESPLPYLSPEFNGQKMLVGANFASAGVGILNDTGVQFVNIIRMSGQLEYFKEYKRRLTALVGSKQAHQLVSQALVLITVGGNDFVNNYYLVPYSARSRQYKLPDYVKFLISEYRRLLMRLYKLGARRVLVTGTGPMGCVPAELAMRGTNGGCSEELQRAASLYNPQLAQMLNGLNKNIGRDVFVSANTQKMHNDFVSDPRAFGFTTSQIACCGQGPYNGLGLCTRLSNLCPNRALYAFWDPFHPSEKANRLIVQQIMTGSTEYMNPMNLTTIMALDSRI >EOY09288 pep chromosome:Theobroma_cacao_20110822:5:28028158:28036689:-1 gene:TCM_024713 transcript:EOY09288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase family protein MDSAADSVSKSGKMGGQVCQICSDNVGLTADSEPFVACHVCAFPVCRPCYEYERKDGTQSCPQCKTKYKRHKGSPPIAGEEVEDAGANNVANNSNHTAGTQGEKYKKAERTLSWDTNYSRGEDVAPPNYDKEVPLNHIPFLTNGSSVSGELSAASPARISMASPESGIRGKGNIRLADPAREFGSSGFGNVAWKERIDGWKIKPEKNAVPMSVSNAPSEGRGGGDFDASTDVVMDDSILNDEARQPLSRKVSVPSSRINPYRMVIVLRLIILCIFLHYRITNPVRNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYSIEPRAPEWYFSQKIDYLKDKVQPAFVKERRAMKREYEEFKVRINGLVAKAQKVPDEGWVMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDSDGNELPRLVYVSREKRPGFLHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSRALREAMCFMMDPNLGKSVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGLLSSCFGGSRKKISKTSRKDANKKKSGKNVNSTVPIYNLEDIEEGVEGAGFNDENSLLMSQMTLEKKFGQSAVFVASTLMENGGVPQSATPESLLKEAIHVISCGYEDTTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPQRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYINTTIYPITAIPLLAYCTLPAVCLLTGKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYLFKWTTLLIPPTTLLIINLVGVVAGVSYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRMPTIVVVWAILLASIFSLLWVRIDPFTTQVTGPDVEQCGINC >EOY11086 pep chromosome:Theobroma_cacao_20110822:5:37864656:37866898:-1 gene:TCM_026359 transcript:EOY11086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter 2 MELPSNLLPDEASPEWMNKGDNAWQLTAATLVGLQSIPGLVILYGSMVKKKWAINSAFMAFYAFAMVLVCWVGWGFRMSFGDKLVFFLGKPAVALDEKFLLGRAFLGYFPTATMVFFQSVFAAITLVLIAGALLGRMNFRAWILFVPLWFTFSYTIAAFSIWCPEGWLAKLGVIDLAGGFVIHLSAGVAGFTAAFWVGPRAQKDRDSFPPNNIILMLAGAGLLWMGWSGFNGGATFAASTVSALAVLNTHVCTATSLLTWLLLDSFFFGKPSVLGAIQGMITGLVCITPAAGVVQCWAAILMGIISGSVPWYTMMVLHKKVKLLKLVDDPMAIFHTHAIAGGLGGILTGFFAVPKLCRLFYMVPDWEKYLGLAYGLQNGRTSAGFKQMGIQLAAMLFVIVLNIVTTSIICWFIGLIVPLRLSDEELEIGDDTVHGEQAFALWHDGERFVTSKHNKVYDTDDFSHVGSESIGEVQMV >EOY07137 pep chromosome:Theobroma_cacao_20110822:5:586234:590398:-1 gene:TCM_021650 transcript:EOY07137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphate dependent pyruvate decarboxylase family protein MDTKIGSVDVCKPSSNDVGSPPNGIVATIQGSVSPTVINSSEATLGRHLARRLVQVGVTDVFSVPGDFNLTLLDHLIAEPELNLIGCCNELNAGYAADGYARSRGVGACVVTCTVGGLSVLNAIAGAYSESLPLICIVGGPNSNDFGTHWILHHTIGLPDFSQELRCFQTVTCYQAVVNNLEDAHELIDTAISTSLKESKPVYISISCNLAAIPHPTFSLEPVPFSLSPKMSNKMGLEAAVEATAEFLNKAVKPVLVGGPKLRVAKACEAFVKLADTSGYALAVMPSAKGLVPEHHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFSDDSSVGYSLLLKKEKAIIVQPDRVVIANGPAIGSVSMKDFLGALAKRLKHNNTAYENYHRIFFTEGHPLEASPRELLRVNILFQHIQNLLSSETAVIVETGDSWFSCQRLKLPRGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRIIACIGDGSFQIPAVIFCPVVTAQDVSTMLRCGQNTIIFLINNGGYTVEVQIHDGPYNVIKNWDYAALVDAIHNGEGNCWTTKVFCEEELIEAIDMAIGAKKDCLCFIEVIVHKDDTSKDVLEFGTRVSIVNSRPPNSQ >EOY11774 pep chromosome:Theobroma_cacao_20110822:5:40259268:40259697:1 gene:TCM_026843 transcript:EOY11774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGNKQKKSFSFFGFFKGKKGRTRLDQDHYTYSGGEDVWSTRKVYPSDEDSTHRVVAEPGIDKKASDFIANFRASLVSEAVHPQHAV >EOY09560 pep chromosome:Theobroma_cacao_20110822:5:29845292:29846540:1 gene:TCM_024971 transcript:EOY09560 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein, putative MEKNERETHDFMNVESFSQLPFIRPAPIKEKGIRLFGKEFGGDSATASNESESAENNEDTTKENENGDNSRRFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSAMVHNSLSDAHIYGLVNYRLGSAPTPAMTYPSWNNSISSSSSRFYGNHGSFSQPPINGSPLGLWRIPATVQSNSSFNRDRSSSHPLPLFAGDELKPSQVVGGGGSSSQSRYVYESKSGVQDHVSLDLHL >EOY08520 pep chromosome:Theobroma_cacao_20110822:5:10114371:10119263:-1 gene:TCM_023060 transcript:EOY08520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKEKARFDNGSRFSMLENDQGEDVEVVEEVDHKVTKVKEGTYPMQGTDKGHSRNSIPKFRQVDGSNWFLIAVYGNSNPAGRKRLWEKLLVFASELYGPWLVTDDFNAFLFSHEKMGGSQRDHRPLVIELSNKQNTQVQAKFHFQDVLQAQTVTLVKKLYSKENEWSPTYPIRELVASVELVNERDLGHVQVLTTSGMFTVASAYEYLRTALLQEFALDSSGMWNVACISDQVSKREEVFIGGKPPPVGCYVVNLERACRHSLGLVTAGGAICDENGQWQAGYAVKLGSCLAYKVELWGLYYGLKTCMGFKAQKTLAPS >EOY08631 pep chromosome:Theobroma_cacao_20110822:5:14390437:14391230:1 gene:TCM_023471 transcript:EOY08631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELLKDYGCTILHHPGKAKGIVDALSQKSMGSMAHIAMERRSIVQGLQDMDAQARDTFAMTILGSLGEHVFEFDGLLRYGSQIYKSDLDGLKEEILEEAHVTAYAVHPRATKMYHDLRSVYWWQRLKKDVKGYVSRCSVC >EOY08982 pep chromosome:Theobroma_cacao_20110822:5:24514145:24516623:1 gene:TCM_024319 transcript:EOY08982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMSRDPRVEKGNEVASEKEELPLSVRDHLNIFLSVRDQLHIFQQEMQVLIDNLMQRTFDLEAAILSNKKILAEIELKVDELMKK >EOY07469 pep chromosome:Theobroma_cacao_20110822:5:1672618:1674345:1 gene:TCM_021898 transcript:EOY07469 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative MNSKETFSENEEAPTYVPSIPVPNVQELVRKDPLQVPQRYVRDLEDIPKDTDISHLSSLIPVIDLSLLFFFLKGFLSLLLMGNEEKLEKINLACQEWGFFQVVNHGVAKEELQKMKDAAAEFFELPMEEKNKYAMPSNDIHGYGHAYVVSEEQILDWSDVLILVVHPSHYRKLKFWPKSPKGFEEIIEEYPNAIRKVATELFQSLSLIMGMDKEALLGLHKLLVQACRVNYYPACSKPDQVLGVSPHSDTSTITILMQEDYMWSNGKYKSIEHRATANHKKAGISYASFLVPHDEVEIEPLDHMLNSQSPQMYKKVNYGDYLRSSMTVKMEGKAHTKMARIEALA >EOY11562 pep chromosome:Theobroma_cacao_20110822:5:39632299:39635715:-1 gene:TCM_026699 transcript:EOY11562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein, putative MYPLSSIRQAQLFSTSALLQRFSSFNWVSQASSNGSGFLGCLKSFSAGAKFYENVGNPRTNLDQQSVNNNVSAIYASSFRSFRHLSYQRHFETGWDPKRQRGFEIARGSETMNLVRKVLEEDGKSIFGGSQFLRYKNFEQDADFVHIKLMRNNTFVTVTDSNGNKKCGASSGQLSELKGGAKVSRYAAEATAEHVGRLARNMGIKSVVVRVKGFTHFKKKRQAILSFREGFSDSRSDNPVVHIEDTTRRPHNGCRLPKKRRV >EOY07397 pep chromosome:Theobroma_cacao_20110822:5:1434089:1437283:-1 gene:TCM_021846 transcript:EOY07397 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MSSQSVTSTSSTLFLVAIFVCFSLSSSRNPNFMQKGSSLSVEDNSDLLISADNTFACGFYSVGENAYCFSIWFTNSKEKTVVWMANRDKPVNGKGSRVSLLRDGAFVLTDVDGSTTWETNTGTTDVQKAELLDNGNLVLMDSSGKILWQSFDFPTDTLLPHQPITRSKKMISRLGRGNYSAGYFNFYFDNDNVLRLMYDGPDTSTLYWPDVDNTVTQNGRTGYNNTRIAVLDDMGRFLSSDSLQFNASDLGFGIKRRLTIDYDGNLRLYSLNNGTGLWTITWEALLQPCLVRGICGRNGICISTPKLPRCSCLPGYEMTDPSNWNAGCKPRFSITCSQSQQLKFVEFQHMNFYGNDINIYSNKTLDWCKKKCKEDCQCQAFNYRLTGEVKCHLKAALFNGCKSSTVKVSTYLKLPLNIEISEYIILNDTNLTCSSRGYKVPVTGFSSTYMARGKKLRWVYLYCFASAVGVIEFIFLASGWWFLFRRHDVLVAVEEGYRALTSQFRTFSYTELKKATKSFKEELGRGASGVVFKGVLADERVIAVKKLGDAYQMEEVFRAEVSTIGKINHMNLVRMWGFCSESKHRLLVYEYVENKSLDKLLFSENFLGWKERFKVALGTAKGLAYLHHECLEWVIHCDVKPENILLDGEFEPKISDFGLSKLFQKGFNNSKFSRIRGTKGYMAPEWASNLPITAKVDVYSYGVVILELVKGIRLSNWVVEDGEDQEAELTRFVRVVKRKIQSEEATWIEDVVDTRLNGQFSRTQIAKMVEIGISCVEEDRNKRPTMDSIVQALMACEDVSRIRTPDNLSVII >EOY07937 pep chromosome:Theobroma_cacao_20110822:5:3510125:3511235:-1 gene:TCM_022269 transcript:EOY07937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein MEGGGDGSKKGLEGTGLDLPQNKHGNLKSASSDQNLRDLLLHIKSSKTSTVINYGASWCGVCSQILPAFCRLSNQFPKLSFIYADIDECPETTQHIRYTPTFLFYRDGERVDEMFGAGEERLQDRLWLHS >EOY07929 pep chromosome:Theobroma_cacao_20110822:5:3435951:3437965:-1 gene:TCM_022255 transcript:EOY07929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 36 MGRAPCCDKANVKKGPWSPEEDAKLKEHIEKQGTGGNWIALPPKAGLKRCGKSCRLRWLNYLRPNIKHGEFSDDEDRIICTLYASIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLFGMAPQSHRKPHHVHHASFSSLLQSSSTSSPSPSPPLSSPSSTLLYNCSNNTYNTPARSFRCFEVSYSSNFSNSSASCVTAASVLQPRESLLGPTQHYRLQDGLQMFGGEASCSSSDGSCSNQISQNKELEYEYGGARGSNGANNGEQMGMHSYFCNEADESQTLMASNGGCANGWSEKSSNGLWGETVPLDYGFEEIKQLICTSSCSSFIFDENKAEEKVMYY >EOY08539 pep chromosome:Theobroma_cacao_20110822:5:10342032:10345791:-1 gene:TCM_023092 transcript:EOY08539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MRNVIRFQPFRTESRTDLSRLYFARLEPLHLSTLPKPQKTKKPPQTNLKNQAETCSIPSLFHEITEVLGAVNLNSDDIPSGFSISNKSNCRELQFMEESLSRRSAVCQNSQEKSKLVEKEENVTVLDDTQMGNSVEFDVSPVVHKITKIVRAENALVSMENQLEKSGFSFEPEIVEKVLKRCFKVPHLAFRFFSWVKLREGFRHTTGTFNTMLYIAGEAKEYGLVERLLEEMKEKSCEKDIKTCTILISQYGKSKLIGKALEVFENMRRCGCEPDAAAYRMMIRALCNAEKCDIAFEFYKEMVEKEMSLDLNLYKMLLNCLAKSGNNAAVHLVADNMMRVSQVPEQEVYGYVLKSFCISGRIREALELIRDLKEKDLSLDPQYFETLVKGLCRADRIADALEIVDIMKRRQLVSQKVYEIIINGYLRRKDLSKALDLFKSMQESGYLPTASAYTELMQHLFRLNKYQKGCDLYNEMLQKGVEPDSVAIMAMVAGHVRQKHISEAWEVFKSMEDRSIRPTWTAYSIFIKELCKIARTDEIFRVLSKMQEAKIVIRDEIFHWVISCMERKGETDNVEKVKQMQRKCKLQSQKGEVFSNNALKEQEPLKVLEHNLSEPERTTDYHLVQPLSKAYNVHDLEEVCRILSSSNEWCIAEEALERCNIQFTPELVVEILRRSTLYGRSALNFFSWVGKQVGYWHTTETYNMAIKISGCGKDFINMRRLFYEMKRRGCLTTPNTWAIMILQYGRTGMTEIALRTFAEMKTERFNPTASTYKYLIISLCGKKGRKVDEAIKIFQEMIHAGHNPDKELLETYLGCLCEVGKLLEARSCTDSLSKVGFTVPLRYSLYIRALSRAGRLEEALEMLDNVGTEQATLDLYVYGSLVHGLLRKGRFEQALAKVDSMKQAGIYPTVHVYTSLIVHFFKERQMERALGIFAKMKKEGCQPTIVTYSAMIRGYMNMGKVVDAWNVFHHMRLKEPKPDFKTYSMFISCLCRIGRSEEAMQLLSEMPNSGILPSTVNFQTVFFGLNRQGRHDLAQIVLQRKSALKSERKL >EOY11260 pep chromosome:Theobroma_cacao_20110822:5:38719034:38724743:-1 gene:TCM_026505 transcript:EOY11260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin chaperone subunit family protein, putative MARKKQSHQAKDHKQQNPSQETHDPVKVSTFTESYKPLSRQSSMEDANEKLQNLKSLNALLLKEAVEKRQQIESLVHAMEALEAELSERKELEVEESEKNLSLEFQHGLLWVYMNTQMREMGAGREREIGELKSKVDGLMGSLENESQRLSLVCKERDLARSDFELQVKESSLMKEKLMKMEKNERKFVEEIEKLKVGYDRLVGEKEELEKVKSSVVKDRDVLEKNMEDMVKKVESLRREIEGVVREKKGIEMEKNEQRVNIDQMEKEMRKMSEVIMSLRKEEGILRSKVFELEKNCGEAMDREAERAIEIGALVEEKRAKERSIERLRKEKDSVSKLLEMTMVESDDMQRRIEKLLEESDITRRVLEMNEKELNDLQRKIEELVGDKIEIEKVKISRENENSELRNEVSELRNVVNRLQEACEDHEKKDKELISEVSRFRNSFDQVTLERDNALKGLDEEKQNGVNLRTKVSEVQKLLEKTAEELAQKRAEWQNLIKEKQGMESHFGSMSEDKDKLQKDLLEAKRSINDLRAKMESTSINYERALTMLKNTATLLCRSKDENDRKVKEEAAITEQKLEDEIQPYAAELEAIKQAFKNKEKTSQDLKQKVEFMEKSMVEAQKKKSFWTLVSSATTLLAAISVAYAARGR >EOY07518 pep chromosome:Theobroma_cacao_20110822:5:1819376:1825414:-1 gene:TCM_021931 transcript:EOY07518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERIFPEGGIIEGRRGMPRRIVGPRLRGPGRGDCSPRFAAQGDESDVDSTFCCAQKRLSDVSDKLFHQDPKHTYTGNCWAKTGNKSRKGYLWNHKADLTCQTCCKIEGDPPLIFFTMNPTAPCSPRR >EOY09649 pep chromosome:Theobroma_cacao_20110822:5:30351865:30354575:-1 gene:TCM_025046 transcript:EOY09649 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0184 protein AAEL002161, putative MLKVETRLTISKMEDLITDFSKTLGSFCNHLQSSCDALKQSIDRRPIPLDSASSTFVQCLNRRVSNSTADLNLLDSMSFGTVSFEELLGHCYQIFNKNQTDLLDLEDRLKPLGYFPQLEIDDDEEEEEVLDSKERSFAVTNSVMKSLEEDPLLDESLSLKNFGLSDVCLATLASQELAFATTIFASIDAIFLSTANHKVDDSDLSFGENMKYYGDKASNTKGTYKPATDTFEVTKGEVENELHQVDAPRPAIQVSKDGYEGLPSYMTSLASWEDLLAAVEKINSSLSKKEKTKGYNYFHQDEIESLDLGPKGRAYLLLLVRMNHLVVETIDGLISYRVL >EOY11220 pep chromosome:Theobroma_cacao_20110822:5:38384296:38391068:1 gene:TCM_026463 transcript:EOY11220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein MPELRSGARRSKRLDDLQPPQPVDQAENWVLPAQNRTRRRVGGRGRGNAAAVAKGPSPAVPTRPTAAGRGRGIRLIDLDPEPCQVLPEAAPLAAAEPALNRVEVVADKDIAMEGGSADKIMGVEEEASTTPVPERVQVGNSPVYKIERKLGKGGFGQVYVGRRVSGGSDRTGPDAIEVALKFEHRNSKGCNYGPPYEWQVYNTLNGCYGIPWVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSMSPTMAACIAVEAISILEKLHLKGFVHGDVKPENFLLGQPGSADEKKLYLIDLGLASKWKDAHSGQHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPAPFRQFLEAVTNMKFDEEPNYAKLISFFESLIEPCTPLRPIRIDGALKVGQKRGRLVINLEEDEQPKKKIRLGSPATQWISVYNARRPMKQRYHYNVADSRLRQHIDKGNEDGLFISCVASAANLWALIMDAGTGFTCQVYDLSTVFLHKDWIMEQWEKNYYISSIAGANNASSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGFSDQVVELDFLYPSEGIHRRWESGYRITSMAATADQAAFILSIPKRKMMDETQETLRTSAFPSAHVKEKWAKNLYIASICYGRTVC >EOY08328 pep chromosome:Theobroma_cacao_20110822:5:6432831:6434336:-1 gene:TCM_022671 transcript:EOY08328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSSLTRSLLFSFIFLFCDLIRGFLEGFPRVSPFFLLGLLFLRHFLDLVKSGEAGMARVMNQLDV >EOY09974 pep chromosome:Theobroma_cacao_20110822:5:32039958:32051382:1 gene:TCM_025345 transcript:EOY09974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MACPKNSFLYNGSHCACQVGHFLNLSANSCTLYGGSSVIQTDSGIDYYAVSLPQTIFSFDSIKKFTQSQAVFLEATVVMLLSWLVFCFFLRFTKLGDGRNVWFRIRWWISRLDVCFATRHWLDDQKLVVKRKTELGGTFSIASWILFTGLFAALLYQIIEKRSIEVHNVKATNAPDLASFRNDMEFNMTTISSMSCSNLQRPTTLLTGSSGFIEGKVSPLSNFLNFSCHNRSLGPTITLKCSNCRLTQDFMSISWQFVDLPNSPASAVGFQFNVTAKDHSGRGHVSYVSGTLKNGSNFDNTPVTFRGVDTNILIFNLFPRVYRSANDLRLIQPLFHEFVPGSILSETSQLRASLESASNGQINTTLYINYLSSYIIEIERQNIIGAVGFLADLGGLYCISMGIFLYLLVQCEYRIKKLRNEDSILRRIRNRRKAQERWDKVRKYVMYTWGCSALDNDNHSKEVSGCGSFIISSTHGNGSIREGGSSRKRRHLSNMDINFSKQASVPSEKNTNLEQPCSQEVISCKAGSMSSPEGRFYSRSEPPLKRDIPGSTKDQRRHFIGSNDAVAVPHQAVSLGDDVIPAPPPLEFKSGSQIELSDVEKNFQRLYDYNVMLREKFVATQSLLRALASKSSNPRTERQT >EOY10752 pep chromosome:Theobroma_cacao_20110822:5:36283941:36286091:-1 gene:TCM_026054 transcript:EOY10752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 113, putative MGGVAWTEEEDHLLKKCIEQYGEGKWHRVPLLAGLNRCRKSCRLRWLNYLRPNIKRGSFAKEEVQLIIKLHRLLGNRWSLIAGRLPGRTANDVKNYWNCHLSKKLNIGQETEEKENAINAVIRPQPGGNATTGSVKPRSQDIVPNSQLTIEESSMSTPFHFVEVAQQGQELVKEEDSSLGVEEPGILGDMRTDIGQFHELNILSTDEGCGKWDWDDLVLDMDLWTDSM >EOY09057 pep chromosome:Theobroma_cacao_20110822:5:25219990:25221991:1 gene:TCM_024407 transcript:EOY09057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFRKGEPSWKIQLPVYQCDQEESQFSSREVENFSCFRALKESSKDKSVPAQSSKSKPLIQRVPSTLSERKDYKKYFEPRVVAIGPLHHKNSRLQPAEKAKLDLAVQFTNERDVSEQELYKKIKKEMRDLKKCYRPKDIEDYDDEELAWMFFVDGCAALHAMHYTRLEKQKDLNIKVDLLAFAQLDLFMLENQLPFRVLELLMSSVKNGKHLRESINLFIDDKIKKTLAAGTEQPPLEAGDQEHTHLLGLLRERLLANPKQTKKPKNTLIGQVLRSLGPTEADKTFRSINELKEAGIRVSRSETSSLRDVNFSVGFLGTLTIPRIVADDSTGSKFMNLVAYEMCPDFENDFGVTSYLCFLDSLIDKAQDVKELRHAGMLLNYMGSDEEVAHLFNNITTDLVPDLGMYRGVTEDIRKYCDNPWTTCIAKAYYTHFSTPWSILAFLGAVLGLIFTAIQAYYSMRRKG >EOY10237 pep chromosome:Theobroma_cacao_20110822:5:33669218:33669854:1 gene:TCM_025598 transcript:EOY10237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGTEISILKPPNEISFSGLLKSNDSAALEPQINLEILSDFSHQPLERKLPDQELGTLLVLSDFSQSHSSWPETVTFLHSSGCRSRYSLEILRDFSHQPLERKLPDQKLGTLLVLSDFSQSHSSWPETMRLLHSSGYRSRFVRGLCG >EOY08817 pep chromosome:Theobroma_cacao_20110822:5:21540022:21555066:1 gene:TCM_024040 transcript:EOY08817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METFGVWDEIGGGGTRAVTGEIAGTLRAAIGGGGGTGMLVDSAIGVALKEDAADFSSMESGRLCLGQPFPLPVDLVIEGSTGILDKIGYLQATTGLKYLERRVEFYTADGALMSKNVVDAYNLLEEMTSNNYQLPSKRSSPRKAIGAYEIDALSVTPRTLIWWLLELIGYQLRFQRSPIIPFGQLGKLGLDKGSNNTGWPFLEEMGNFYRCPVYRYSKKLSSFEGFTRHGSRCREKIRRQLRPRLSCPRMLAFLFVRYVEMAITLRSGKEVEGVSEKSIESSKEHVDDDKDCN >EOY07131 pep chromosome:Theobroma_cacao_20110822:5:537454:539599:1 gene:TCM_021644 transcript:EOY07131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLKKEKRVFKMSSLGTVAAEICRVKRLNCLKVGNPFSMDFHQIAETGQWGDFSAQVGPSSTPHFPRLCWTWGPPRINFRQVSLPVRFWMLRPQRASLTWSYPPPKETRFCHLNLCRMEMLST >EOY11561 pep chromosome:Theobroma_cacao_20110822:5:39624488:39632111:1 gene:TCM_047054 transcript:EOY11561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D P2 MSSERLIAGDAMRSDETMHNPTAALPWSGSFRQCGENDSIFEELPKAMIVSVSRPDTGDMSPMLLSYTIEVQYKQFKWRLLKKASQVLYLHFALKKRVIIEEFHEKQEQVKEWLQSLGIVDQVAVVQDDDEPDDGAIPLHHEGSSRNRNVPSLAALPILRPTLGGQQIVSDKAKVAMQGYLNHFLGNMDIVNSREVCKFLEVSKLSFSWEYGPKLKEGYVMVKHLSQVSGDDDIGCFPCQWFSCWNNSWKKVWAVLKPGFLALLEDPFDTKLLDIVVFDVLPMSNEDVKSPVYLADQIKERNPLFYAFKVSCGSRSINLRVTSNGKAKEWVAAINYAGIRAPDGWCYPHRFGSFAPPRGSNEDGSQAQWFIDGKAAFEAIAASIEKAKSEIFITGWWLCPELYLRRPFQSNSSSRLDELLEAKAKQGVQIYILLYKEVSIALKIKSLCSKKLLRNIHENVRVLRYPDHLSTGIYLWSHHEKLVIVDYQICFIGGLDLCFGRYDTVEHRVGDCPPFTWPGKDYYNPRESEPNSWEESMKDELERGKYPRMPWHDVHCALWGPPCRDVARHFVQRWNHAKRNKAPHEQTIPLLMPQHHMVLPHYMGNREIDIESKGEDHQKDLSRQDSFSSRSPFEDIPLLLPQESDGLLVSNGDQKLNGLLSKHDPLSKEHGDHGSSLSSHDSEVDSLGSDTQIKVTADDHHSMDPRSNLESNEMPQSDMEVSDEWWETTVNDNNDASADEYGETGPRTACHCQVIRSVSQWSAGTSQTEDSIHSAYCSLIEKAEHLIYIENQFFISGLAEDEIIQNRVLEALYRRILRAYKEQKCFRVVVVIPLLPGFQGGLDDTGAATVRALVHWQYRTISREKTSILHNLNAKLGHKTWDYISFYGLRSYGRLSDGGPAATSQVYVHSKLMIIDDRIALIGSSNINDRSLLGSRDSEIGVVIEDKEFLESTMNGEPWKAGKFAHSLRCALWSEHLGLHQGEISKISDPVLEQTYRKLWLATAEANSMIFQDEKLGHTTIDLGVAPEKLETKENGEVETEDPMERLKLIQGHLVLFPLKFMCQEDLRPVFNESEFYASPQVFH >EOY11101 pep chromosome:Theobroma_cacao_20110822:5:37952714:37955126:-1 gene:TCM_026376 transcript:EOY11101 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MSEQSSRVNVHSKLTAVSSKPVEPGKTHLLTPLDQAMGQHSLHLVFYYEKNPFGSFDLDPIRVSLSETLSLYPTVTGRLTRGESGNWEVKCNDSGVRVLRAKVSVGIDEWLRYGNGMEERDLAAWEDMPENPNTWSPFRIQVTELEGGGVAIGLNCSHMNADLTSLVLFFKTWTEAHRQQAIVYPPVFSSALHGRAVPVSDSKSAEHYVAKSFVEAPSVKMASTAFKFSNSVIKKCLSEIHVTCPDATPFDLLAALFWIPVARLNGSKHDHTHSISICIDFRRLLKEPLSYGYFGNALHFSVLSLGEKDLIPGELGHVAEAVHGHIAGMKEEEILSVMDWLESRKGEGGKYAQPFRMYGPELTCVSMEHMINGDQSLMYATMFEDNVRPAHVACHVGNVEGEGLIMVMPSPEGALARTVMVTLPEEEIAKLCEDEAILRLEPTMLLSGGL >EOY07590 pep chromosome:Theobroma_cacao_20110822:5:2069890:2073241:-1 gene:TCM_021983 transcript:EOY07590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MSSSTCFKCYPWSYSPSLPFFQKPTSYLSISFSSTQQNQTRFPLSHNFTPTQLLDTLRRQNDESSALRLFDWASKQPNFTPNLSIYEELLTRLGKHGSFDSMKHILQQMKLSGCELRRGTFLILVESYADFDLYDEILDVVELMESEFGLKSDTHFYNFLLNVLVDGNKLKLVEAAHNGMVSRGVKPDVSTFNILIKALCNAHQIRPAILMMEEMPSYGLSPDEKTFTTIMQGFIDEGNLDGALRIREQMVEAGQQVTNVTVNVLVHGFCKEGRIEEALDFIQIMTNEGFYPDQFTFNTLVNGLCKAGYVKHALEIMDAMLQDGFDLDIFTYNSLISGLCKIGEIEEAVEILNQMMLRDCSPNTVTYNTLISTLCKENQVEEATELARVLTSKGIFPDVCTFNSLIQGLCLTRNHSIAMELFEEMKNKGCQPDEFTYNMLIDSLCCRGKLEEALSLLKEMESGGCARNVITYNTLIDGFCKNKRIQDAEEIFDEMEIQGVSRNSVTYNTLIDGLCKSRRVEEAAQLMDQMLMEGLKPDKFTYNSLLTYFCRAGDIKKAVDIVQTMTSNGCEPDIVTYGTLIGGLCKAGRVDVATRVLRTVQMKGMALTPHAYNPVIQALFRRKRTNEAMRLYREMLEKGDPPDAISYKIVFRGLCNGGGPIGEAVDFVVEMIQKGFLPEFSSFYMLAEGLCSLSMEDTLVKLIDMVMEKANCSDSEVSIIRGFLRIRKFQDALAILGNILDSKKPKKSFSLR >EOY08615 pep chromosome:Theobroma_cacao_20110822:5:13918687:13925950:1 gene:TCM_023422 transcript:EOY08615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MKLKDDMKLMVATRLLEKRARTWWNLVKSRFTTPLTWSNFLQEFDDQYYTYFHQKEKKREFLSLKQGSLTIKEYEACFNELMSYVPNLVKTEQDQANYFEEGLQNEIRDRMTVMGKEPYKEVVQMALRAEKLATENRKIRAEFAKRRNPNISSNQPLKKGKDFSASGSATTFSIAST >EOY11475 pep chromosome:Theobroma_cacao_20110822:5:39380958:39382165:1 gene:TCM_026636 transcript:EOY11475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soybean gene regulated by cold-2, putative MEFRPLEINVISGKDLKDVNLFTKMDVYAVVSINGDHRTSQKTPVDKDCGSNPKWNYTMRFTVDEANARQNRLNLVFRLKSERQLGDKDIGVVQVPIKELLDHNNADEKVEQNVSYSVRLPNGKAKGVLDFSYKFGEKFSKPVPQPPVPGAEAMYVDKHGEKPAMAYPPPPVGYPGRSSGHPPPPPAGAYPPPPHGMAGYPYPPPGGAYQPYGYPAPGYGYPGYPPAAQVGYGYPPVQKPQKPKKSGMGAGLGLGLAGGLLGGMLIGDMVGDAYEAGIEDGLDFDF >EOY08688 pep chromosome:Theobroma_cacao_20110822:5:17925806:17941420:1 gene:TCM_023738 transcript:EOY08688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANSLSLRSILDANKLTGPNFINWFHNIKIVLKQEKKAYVLDGLVLEELSDDATNEENEAYRAYMDDLDQATCVMLASMALNLQKQHEAMNALDIILNLTKMFN >EOY09164 pep chromosome:Theobroma_cacao_20110822:5:26783401:26785410:-1 gene:TCM_024565 transcript:EOY09164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor protein, putative MESMASDSNKGLLDYVRKSTPPPFLMKTYMLVEDPITDDVISWNADGTGFVVWQPAEFARDLLPTLFKHSNFSSFVRQLNTYGFRKVATSRWEFCNEMFRKGDRELLCNIRRRKAWANKQQTAATIQVSPQDSDEDQKSSSTSSSSGHNSLVDENKRLKKENGVLSLELTSMKRKCKELLDLVAKYAQFEEEKEDESPKLFGVRLEVEGERERKRRRAEISESASILLSQSCK >EOY11198 pep chromosome:Theobroma_cacao_20110822:5:38307440:38311313:1 gene:TCM_026448 transcript:EOY11198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 2 MYSLFHSLPSNNLQGRASFTPPFYFSFFLYVSNSKASSFTVNIDNPKKRLQQQGEEQSSFWRQKMYHHHHQHQGKNIHPSSRMPIPPERHLFLQGGNGPGDSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKTVMKLMGIPGLTLYHLKSHLQKYRLSKNLHGQANNGSNKIGAVAMAGDRMSEANGTHVNNLSIGPQANNGLQIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGRQNLGSVGLEAAKVQLSELVSKVSNQCLNSAFSDLKDLQGLCPQQTQATPPTDCSMDSCLTSCEGSQKEQEIHNNGMCLRPYNTSGALLEQREIAEDPLLPQTELKSFEDIKENKMFLSSLGKDAERRMFFADRSSSDLSMSVGLQGEKGNGGNSSSFSEAKFKGRNEDDSFLDRGNKRADEVNRLPYFATKLDLNVHEENDAASSCKQFDLNGLSWN >EOY11200 pep chromosome:Theobroma_cacao_20110822:5:38308501:38311169:1 gene:TCM_026448 transcript:EOY11200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 2 MYHHHHQHQGKNIHPSSRMPIPPERHLFLQGGNGPGDSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKTVMKLMGIPGLTLYHLKSHLQKYRLSKNLHGQANNGSNKIGAVAMAGDRMSEANGTHVNNLSIGPQANNGLQIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGRQNLGSVGLEAAKVQLSELVSKVSNQCLNSAFSDLKDLQGLCPQQTQATPPTDCSMDSCLTSCEGSQKEQEIHNNGMCLRPYNTSGALLEQREIAEDPLLPQTELKSFEDIKENKMFLSSLGKDAERRMFFADRSSSDLSMSVGLQGEKGNGGNSSSFSEAKFKGRNEDDSFLDRGNKRADEVNRLPYFATKLDLNVHEENDAASSCKQFDLNGLSWN >EOY11199 pep chromosome:Theobroma_cacao_20110822:5:38308501:38311169:1 gene:TCM_026448 transcript:EOY11199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 2 MYHHHHQHQGKNIHPSSRMPIPPERHLFLQGGNGPGDSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKTVMKLMGIPGLTLYHLKSHLQKYRLSKNLHGQANNGSNKIGAVAMAGDRMSEANGTHVNNLSIGPQANNGLQIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGRQNLGSVGLEAAKVQLSELVSKVSNQCLNSAFSDLKDLQGLCPQQTQATPPTDCSMDSCLTSCEGSQKEQEIHNNGMCLRPYNTSGALLEQREIAEDPLLPQTELKSFEDIKENKMFLSSLGKDAERRMFFADRSSSDLSMSVGLQGEKGNGGNSSSFSEAKFKGRNEDDSFLDRGNKRADEVNRLPYFATKLDLNVHEENDAASSCKQFDLNGLSWN >EOY10093 pep chromosome:Theobroma_cacao_20110822:5:32963113:32966582:1 gene:TCM_025474 transcript:EOY10093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative MAPPKKKKNSQIKATSSGTKKRSKTGSTSVSIDFYLSVRMREKYNLNEELEWVDDIPECPVYRPSMEEFQDPLAYLQTIAQEASKYGICKIVSPWKASVPAAHVLTKEIKGFKFKSYIQPLRLHEWNRNDKASFDMSQTNHNFRSFEKLANKEFAKRFPHSTNLSPVDMEKEYWHEMGRGNNKVEYAVNIDGSAFSSDPNDQLGQSKGNLKTLPKLPNSTLRLLSYSIPGVTEPMLYIGMIFSTFAWHVEDHYLYSINYHHSGAPKSWYSVPGTSAPEFHKVVMDCVYAPEVLLGGGEDGASALLAEKTTMFPPNILRENGVPVYKAVQMAGDYVITFPRAHHAGFSQGFSIGEAVNFADGGWFPWGTMAGEEYARLSKQVILSNEELLCKEAISLFNSSNDESSDHPPTELACQSSTKMYFIKHIENLYNNLRHLCNLEAAFSYSENDLQSIICPHCTRGCYLTFFECDSCPNYGCLFHEFNSRNCTRPSCLGRMVINLRQDIWEVVAAYEKLRKGSSEQDVQQVDQLPNLSSCIKEGLARSDKVDSRGMKPKRADFETIVPFPKDCKKPRTKGRLQRTDPELRWGSSIK >EOY08016 pep chromosome:Theobroma_cacao_20110822:5:3995306:3998393:1 gene:TCM_022341 transcript:EOY08016 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase family protein MEDETADSRNKARLAILELANMMSVPMSLNAVVRLNVPDAIWQGGANTPLSATQILSRVLPSGGGDPENLQRILRMLTSYGVFAEHLDSECPERRFSLTDIGKTLVTDADGLSCAPYVLQHHQDALMRVWPLMHEAVLDPTSEPFVKVNGEAAYSYYGKKPEMNGLMQKAMSGVSVPFMRAILNSYDGFKGVKRLVDVGGSVGECLRMILQKHPHVEEGINFDLPEVVAKAPTIPGVSHVGGDMFKSIPSGDGIFMKWVLVTWTDDECKLIMENCYKALPVGGKLIACEPVLPKDSDDSHRTRALLEGDIFVMTIYRTKGKHRTEEEFKQLGLSAGFPHFRAFCFDDFYSVLEFQK >EOY07996 pep chromosome:Theobroma_cacao_20110822:5:3791716:3792976:1 gene:TCM_022314 transcript:EOY07996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin-like protein 1a MMRLYLLFGLSLALLMSGAHMATLNIRNNCPYTIWPGTLTGGGGAQLPNTGFELAPQASNSINVPAPWTGRLWARTQCSTSSGSFSCATANCGSGQVACNGAGAVPPASLVEFTLAANGGQDFYDVSLVDGFNLPVSITPQGGSGPTCTTTSCAANVNSVCPSELAVRGSDGNIIACKSACVAFNQPQYCCTGDFDSPETCEPSNYSRIFKGQCPQAYSYAYDDPSSTFSCTGGPNYLITFCP >EOY10049 pep chromosome:Theobroma_cacao_20110822:5:32701863:32703485:-1 gene:TCM_025437 transcript:EOY10049 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 78D2, putative isoform 1 MLQQVTGSGPHVAVLVFPFGTHAAPLLTITRRLASAAPDTLFSFFSTAQSNAYLFSTSHHNTTLPNIKAYNVSDGAPEGYVFVGEPLEDVELFMTVAQENFRKGILEVAVAETERKLSCLVTDAFLWFGKDLAEENGVPWVPFFVSGACPLSSHVYTDTIRQNFGVGGMVGREDETLDFIPGMSNIRIRDLPEGILFGNLESIFSRMVHRMGQVLPEAVAVFINSFEELDPVVTSDMKSKLKKFLNVGPLTLSTPPAPAVPDSYGCLTWLDKQKPATVAYISFGSVATPPPNELVALAEALEASRVPFIWSLKDKSKVHLPNGFLDRPNGILVPWAPQIDVLAHGAVGVFISHGGYNSMQESMSSGVPMIVRPFFGDHGLNGRMVEHVWEIGVIVEGGIFTKKCVTSCLDLVLAQEKGKKMRENLKALKELAHRAVGPEGSSTKNFKALLDFVCS >EOY10050 pep chromosome:Theobroma_cacao_20110822:5:32701343:32705781:-1 gene:TCM_025437 transcript:EOY10050 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 78D2, putative isoform 1 MLQQVTGSGPHVAVLVFPFGTHAAPLLTITRRLASAAPDTLFSFFSTAQSNAYLFSTSHHNTTLPNIKAYNVSDGAPEGYVFVGEPLEDVELFMTVAQENFRKGILEVAVAETERKLSCLVTDAFLWFGKDLAEENGVPWVPFFVSGACPLSSHVYTDTIRQNFGVGGILFGNLESIFSRMVHRMGQVLPEAVAVFINSFEELDPVVTSDMKSKLKKFLNVGPLTLSTPPAPAVPDSYGCLTWLDKQKPATVAYISFGSVATPPPNELVALAEALEASRVPFIWSLKDKSKVHLPNGFLDRPNGILVPWAPQIDVLAHGAVGVFISHGGYNSMQESMSSGVPMIVRPFFGDHGLNGRMVEHVWEIGVIVEGGIFTKKCVTSCLDLVLAQEKGKKMRENLKALKELAHRAVGPEGSSTKNFKALLDFVCS >EOY08737 pep chromosome:Theobroma_cacao_20110822:5:19313808:19314732:-1 gene:TCM_023856 transcript:EOY08737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFNERTLIVEESIHVLFDDANSSLRKENVVDDEIDILQEKVEELELDNENKEDEDQAQELDEQPNDTTKEKEPTHPRGMGRVKPTVGTKWVFKNKMDGDGDIIRNKARLVAKGYSQKEGIDFDETYALVVGLDAIRMLLSFACYINFKLF >EOY08137 pep chromosome:Theobroma_cacao_20110822:5:4908520:4920174:1 gene:TCM_022474 transcript:EOY08137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREVRSDLLFVGLMELVEDVVGVNSQNDKIKLHELISTPGELSQPIIKDDEDVVLFLLKQRNVPAVYIIIKERHTNVRANVMLLEEAVNMDSK >EOY08946 pep chromosome:Theobroma_cacao_20110822:5:23763691:23764366:1 gene:TCM_024241 transcript:EOY08946 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein, putative MDNSLGSLQHSKAAKKAKSKKKKNNNKPIKVVYISNPMKVKTSASKFRALVQELTGQDAELPDPTKFTDTDDDDVGSNQKVPDAVKNSTDDHALEVPRVGDQAVHHHEQPTRAHHDVPFETFDEVFTPQMLREFDRVLASEPFLMNNLDALRSL >EOY11415 pep chromosome:Theobroma_cacao_20110822:5:39205466:39205881:1 gene:TCM_026597 transcript:EOY11415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRKLQLFKCRTCLLFPPLSSMPPIISFCSINLLIFISGSNYVELMTMKSALHIFYHSVWRVKKISSC >EOY09828 pep chromosome:Theobroma_cacao_20110822:5:31230860:31233010:-1 gene:TCM_025204 transcript:EOY09828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease E inhibitor RraA/Dimethylmenaquinone methyltransferase MALVTTAEVCDANPQLIVSGELRALQPIFQIYGRRPVFSGPIVTLKVFEDNVLIREFLEEKGNGRVLVVDGGGSLRCAILGGNPVVQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALHSHPMKANKKGIGEKHVPITIAGTRICNGEWLYADTDGILISKTELSV >EOY09290 pep chromosome:Theobroma_cacao_20110822:5:28039700:28044910:-1 gene:TCM_024715 transcript:EOY09290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MALQIRQLIQHFIIVFSLFSRYNALKEDPRYNYYKEVVAGYDPQAYPSYVSTLEDGEFKDLIRPSAEVLSLSRLGEVDIITSTSTKTVNVDDFGATGDGDDDTQAFEEAWKEACSSKGTVVLEVPEGKSYLLRPVRFSGPCKSNLTIQIYGTIEASDDRSDYKEDSRHWLIFDSVHNLLVEGGGNINGNGKIWWQNSCKINKDLPCKDAPTALTFYKSKNLVVKDLNIQDAQKIHVSFDKCTNVQASNLTVTAPEKSPNTDGIHVTHTQNIQITNSVIGTGDDCISIVSESQNVQAMDISCGPGHGISIGSLGSRNSRAYVSGVTVDRAKLSGTTNGVRIKTWQGGSGSASNIKFQNIEMFNVTNPIIINQNYCDQDKPCKEQSSAVQVKNVLYKNIKGTSASEVAIKFDCSESHPCQGIVLQNVYLQEQGHETAKAICNNVKLSEEDVFPQCP >EOY08432 pep chromosome:Theobroma_cacao_20110822:5:8143872:8149593:1 gene:TCM_022857 transcript:EOY08432 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-cysteine peroxiredoxin B MACSAASTTTALISSNPRSFSAKSKPISQSLTLPNSFSGVRAPLLSRVARSVSFSRTSHSRKSLVVKASSELPLVGNTAPDFEAEAVFDQEFIKVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFEKLNTEILGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSYGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKEYFAAI >EOY07926 pep chromosome:Theobroma_cacao_20110822:5:3421703:3424846:-1 gene:TCM_022253 transcript:EOY07926 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein MTEACGKISLENPKEGRRFSGSTGTLMPVIESKIVSVNTMKPLPPHQIGEIWIRGPTIMQGYFNNPEATKLAMDEKGWLRTGDLGYFDEQGQLFVVDRIKELIKCNGFQVAPAELEGLLLSHPEIVDVVVIPFPDVKAGEVPMAYIVRAPNSSLNEDDVKIFIAEKVAPYKRLRRVTFLNSVPRSASGKILRRELIQKVRSKI >EOY11530 pep chromosome:Theobroma_cacao_20110822:5:39528106:39529239:-1 gene:TCM_026674 transcript:EOY11530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METISLGNKMPTAYEVLEDFNFPIGLLPKGVVGYDLDSSSGKFSAFLNGSCSFSLEGSYQLKYKNTIKGYISKGKLSSLEGVSVKLWFMWVNIVEVSRRGDDLEFSVGIAGANFPIDNFEECPRCGCGLNCNDQQVRKMRKNPFVSSY >EOY08809 pep chromosome:Theobroma_cacao_20110822:5:21228969:21235042:1 gene:TCM_024016 transcript:EOY08809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein MSQANKSRSHQRWGYCLPNFIQKTLKTKTTESKSTEHTLISLPTSKTWSKKTPSSYSYHTTSMQPENSELYRFLAQNGVGSYGFPANLEFPVMQSFSSSSTYYPLEMSGVTTETTPQDRALAALKNHKEAEKRRRERINSHLNRLRSLLPCNSKTDKASLLAKVVQRVKELKQQTLELTELEAFPSEADEITVLSCDYTIDGRLIFKASLCCEDRSDLLPELIEILKSLHLKTLKAEMATLGGRIRNVLIVAADKDHSIESVHFLQNALKSLLERSNSSDRSKRRRVLDHKIMV >EOY06967 pep chromosome:Theobroma_cacao_20110822:5:73535:76131:-1 gene:TCM_021527 transcript:EOY06967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-regulated gene involved in organ size MRVELSGLNRRFPRGIFNLQDRYSNSIMDMRAGKVPTSVVERKKVEYHRSFSQGGARRLLPVSYFSLESLLLLVCLTASLLILPLILPPLPPPPFLLLLLPIGILAVLMILAFMPSNVRDITYTYM >EOY07107 pep chromosome:Theobroma_cacao_20110822:5:449426:451272:-1 gene:TCM_021618 transcript:EOY07107 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor, putative MMESENFPLLWPVDDFMNPTIDQFELHDLNMSAHFNGCEFSSSFTTREDSSDISSISHFSTMFSGEVFQFPACDDQLQVTVPLEDFSVVPLEGLESMLTDGIEDLYNCLDETSEESFQSLPLSSHGQDVWSPSPSVRSSEASTDVTSMQSSLTMPGVAMEIDNQLSIFHLLKAYGEAREKNQTQLAEVIMRCVGEKASPVGETWERIAFSLSQSIQNQSDYLMQESSRNFETAFRAFYQMFPYGRFAHFAANSAILEAMPNDADMLHIVDFDIGEGIQWPPLIEAMAWQHKTLRLTSIRWGEEDFAHSPWRFEDTRRQLCDHARSLGLKLKVEEMGIEDLANELKKMKKRGGGREWLAFNCMVGLPHMGKGKSRKFVNQFLNVAKELLASSVPRNRGIITFGDGDACAKLKDCSGFGTFFDGHLMHYQAILESMQSNFAEHLMQARMAMESLFVGPNICPQAWFQKWKEIEETRHFQAETALEGLRVSTERLMEAKGTVRERENLFEVSIGGNCGNELALEWRGTTLVRVSSWRQDQS >EOY10992 pep chromosome:Theobroma_cacao_20110822:5:37357787:37360170:1 gene:TCM_026256 transcript:EOY10992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVATNGKEPSLGEKPVEGMADEKDEICIPMAMLHRKFSRSPDAFIFRVPYQLRQVNERAYEPRVISIGPYHRGKAHLRAMEIHKWSYLKMLLQRRKEDSPVRYVEAMRNEEKAARSCYAETVYMSAQEFVEMLVLDGCFIIELIRKFACDELKDEHDDLFKRNFNLSIVGQELLLVENQLPFFVLDQLFKMTKTENEEEAFNHMALRFFSGIVPGPGIRVGNYRRSVRKKRKCILHLLGLVQANWLPSPEGIKRSEQPVTDRKWNFIRSAKELLDVGIKFKKASGENSLFDIKFEKGRFQIPTLTIYHDSERIFRNFIAYEQFNEGPTYVMDYTRFMDCLINYGDDVALLSHSGIIVNWLGSNEEVAHMFNKLNDFVYLSTSNFYYSELFNDVNKYCRGRWTLWKIKLRNKYFDTPWDWVLTSIIAGAILLLLTLVQTVFAVLSYFKQGN >EOY08347 pep chromosome:Theobroma_cacao_20110822:5:6762359:6766158:1 gene:TCM_022706 transcript:EOY08347 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRNA-decapping enzyme-like protein MSQTGKLMPNLDQQSTKMLNLTVLQRIDPFIEEILITAAHVTFYEFNIDSNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVENLLGDFEYEVQVPYLLYRNAAQEVNGIWFYNPRECEDVANLFSRILNAYSKVPAKPKVSSSKSEFEELEAVPSMSVIEGPLEPPATASPATDAPEDSSFVNFFSAAMNLGTNAPNGANSIQPYHSISTTPLSSHVPPAVSTPASAPSVSSLTLSAPPSLDSLSSSNRVTNLVKPSAFFAPPPSSSSSSLIMPPLSSSMPTASALHPPLNLQRPYGTPMLQPFPPPTPPASLTPGVPPTLHDGPLISRDKVRDALLMLVQDDQFIDMFYQALQKSGISALTHDSICPDC >EOY10676 pep chromosome:Theobroma_cacao_20110822:5:35816750:35818827:-1 gene:TCM_025976 transcript:EOY10676 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MGDRMVGRYWCYMCSQMVNPTIEPETKCPFCETGFVEEMTSMRQYSNDNGVDLGSMNTLSLWAPILLGLIGGLGSAQLRITGREQINDSNSQDAVEDELGREFESLLRRRRRTSASALRMLQEMRTVAASESENSENGRERSGRMILFDPFNDEALIVQGSFGFNQGQNSTHRAASSFGDYLIGPGWDLLLRYLAENDPNRYGTPPAQKETVEAMPTVTVEDNVQCSICLEDIEIGSEAKEMPCKHKFHGGCIVPWLELHSSCPVCRYQLPSDDSKIEANVSRNSEGRVGTNDTQSGSGVGTGRRYWIPIPWPYDGLLTLPGSQNGSTSAPSSGAMPGSASAGQTEDT >EOY09561 pep chromosome:Theobroma_cacao_20110822:5:29847548:29852376:1 gene:TCM_024972 transcript:EOY09561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEHTNMAVKSAIFIFSPFICTLAFMSAREIEREGELGMHRPLCFEVNSNPDEAMWEDPLALFGYLCTCKGAFEDR >EOY08934 pep chromosome:Theobroma_cacao_20110822:5:23398147:23400685:-1 gene:TCM_024222 transcript:EOY08934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic transcription factor 3 MNVEKLMKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFINPKVQASIAANTWVVSGSPQTKKLQDILPGIINQLGPDNLDNLRKLAEQFQKQVPTAGAEATATQEEDDDDVPQLVGGETFEAAAEEGQAAK >EOY10335 pep chromosome:Theobroma_cacao_20110822:5:34235915:34238409:-1 gene:TCM_025708 transcript:EOY10335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein MGQVLDKFHGKEWRKKQIRKISDKVFERIKNQSGRATLTFEDLYISILLVYNDINKRLPGPHFDPPSKEQVRSMMQEYDFNLDGEIDQEEFVKFIQQLTTDTFIVVSQGLIVTLVVAPTVAMATKKATEGVPGVGKVVQKLPNSIYASLVTLAIVWFQTSREGI >EOY07467 pep chromosome:Theobroma_cacao_20110822:5:1666406:1668888:-1 gene:TCM_021896 transcript:EOY07467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 3 MIQAAAKLSRSAIAVSGNSRLGHISRTSSRPLRFLHDGINGPSANPVAVQMINYALSHARSQKSDESYAQGMLILEQCLSTQLSESDGQFAQNSIGTVLLAMSTLLYERGSFEEAIEKLRRVQDLTQSSLGVRVAAAEALVGLYLQLGQDDTSSVLTDKCLELLDKDDLKRNSGSKEFVVARAKAVKGLVELVNGNLESATSFFQGLQDNEDCNGTVALSYGEFLHTTQNFSLAKELYQKIIEGVLKKEDFSDTNSLAVCNMAPDEVLLAATFALGQLESHMGNFGAAEEILTKALTKTEEYFGSHHPKVGVVLTCIALMFRTKARQEHSSALLIQEGLYRRAIEFLKAPPLESKGLEHWNISLA >EOY07466 pep chromosome:Theobroma_cacao_20110822:5:1665223:1668901:-1 gene:TCM_021896 transcript:EOY07466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 3 MIQAAAKLSRSAIAVSGNSRLGHISRTSSRPLRFLHDGINGPSANPVAVQMINYALSHARSQKSDESYAQGMLILEQCLSTQLSESDGQFAQNSIGTVLLAMSTLLYERGSFEEAIEKLRRVQDLTQSSLGVRVAAAEALVGLYLQLGQDDTSSVLTDKCLELLDKDDLKRNSGSKEFVVARAKAVKGLVELVNGNLESATSFFQGLQDNEDCNGTVALSYGEFLHTTQNFSLAKELYQKIIEGVLKKEDFSDTNSLAVCNMAPDEVLLAATFALGQLESHMGNFGAAEEILTKALTKTEEYFGSHHPKVGVVLTCIALMFRTKARQEHSSALLIQEGLYRRAIEFLKAPPLESKETKLDQRDISALARGGYAEVLCVQQNRKTEGEKMKTWADAAWRNRRMSLADALDISKPSSKVPVIDARISRVL >EOY07465 pep chromosome:Theobroma_cacao_20110822:5:1665078:1669152:-1 gene:TCM_021896 transcript:EOY07465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 3 MIQAAAKLSRSAIAVSGNSRLGHISRTSSRPLRFLHDGINGPSANPVAVQMINYALSHARSQKSDESYAQGMLILEQCLSTQLSESDGQFAQNSIGTVLLAMSTLLYERGSFEEAIEKLRRVQDLTQSSLGVRVAAAEALVGLYLQLGQDDTSSVLTDKCLELLDKDDLKRNSGSKEFVVARAKAVKGLVELVNGNLESATSFFQGLQDNEDCNGTVALSYGEFLHTTQNFSLAKELYQKIIEGVLKKEDFSDTNSLAVCNMAPDEVLLAATFALGQLESHMGNFGAAEEILTKALTKTEEYFGSHHPKVGVVLTCIALMFRTKARQEHSSALLIQEGLYRRAIEFLKAPPLESKETKLDQRDISALARGGYAEVLCVQQNRKTEGEKMKTWADAAWRNRRMSLADALDISKPSSKVPVIDARISRVL >EOY08048 pep chromosome:Theobroma_cacao_20110822:5:4163342:4168561:-1 gene:TCM_022370 transcript:EOY08048 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein isoform 1 MELSLFPSHQNSLTLLHKPLFSVPFSGKRLPKKSSFLLPCLCTLSSSDDTSKPAILSLQDEGRRALVGSLLTAAAAMYVCDVAEAVSTSRRALRGAKIPESEFTTLPNGLKYYDLKVGSGLKAVKGSRVAVHYVAKWRGITFMTSRQGLGVGGGTPYGFDVGQSERGNVLKGLDVGVEGMRVGGQRLLIVPPELAYGKKGVQEIPPNATIELDVELLAIKQSPFGTPVKVIEG >EOY08049 pep chromosome:Theobroma_cacao_20110822:5:4163439:4168517:-1 gene:TCM_022370 transcript:EOY08049 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein isoform 1 MELSLFPSHQNSLTLLHKPLFSVPFSGKRLPKKSSFLLPCLCTLSSSDDTSKPAILSLQDEGRRALVGSLLTAAAAMYVCDVAEAVSTSRRALRGAKIPESEFTTLPNGLKYYDLKVGSGLKAVKGSRVAPYGFDVGQSERGNVLKGLDVGVEGMRVGGQRLLIVPPELAYGKKGVQEIPPNATIELDVELLAIKQSPFGTPVKVIEG >EOY10516 pep chromosome:Theobroma_cacao_20110822:5:35137718:35146570:-1 gene:TCM_025856 transcript:EOY10516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, putative isoform 1 FDQFKLPIDQIDNTSHSLLESIGSSAKAWGSNKAINFPNKIENIADDEAYDWTVNIIDECLERVDLYTDEFQKIRKKQEETGRVNGADADTDGFQVVHGKKKKKGAGGLVRDSAGDIVGGKECGASSSSEVKVKKGALAAGTTAKAKVPFHIPTIRRPQEEYNILVNNSNQPFEHVWLQRSEDGQRFVHPLDKLSVMDFVDTDIANIEPINPPSIESTPFKLVEEVKDLKELVAKLLSVNEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIHVGPYLREVFKDPTKKKVMHGADRDIVWLQRDFGIYLCNLFDTGQASRVLKLERNSLEYLLHHFCGVTANKEYQNADWRLRPLPDEMIRYAREDTHYLLYIYDLMRIKLLSMPKESEHCDAPLVEVYKRSSEVCLQLYEKELLTENSYLHIYGLLGAGFNAEQLAIVAALCEWRDIIARAEDESTGYVLPNKTLLEIAKQMPVTASKLRRLLKSKHPYVERNLGSVVTIIRHSMQNAIVFEEAAQQLRMGRVLNASEEHVVVNEGAKILPPETSTDLTMANDKTEITDGGMVGPACPQNNGSSIAGSDRNKNRFSFEQPIANGPSMYARESLAISGQSGEINACTVMPPSAKIDTGATVQVLKKPSRGFGAFLGNAATKKKFEADKKDKEESKLEQIRSSVNLSFHSFLGTEEQSKPAVKEPNRVPEVSQPEEPPAVVATESTLEDIIMLEDNSNKEESIDGSPEVTGTPGGESSVAPSFETNKEDMAISLSELSTSFEQCFETSNQNRKMVKVKKSKEPSGLQIKPFDYEAARKQARFGEDAEEESGSQLNSAGKKKCSAVGRLQIEDGSKQFPQARRRQAFPASGNRSATFR >EOY10515 pep chromosome:Theobroma_cacao_20110822:5:35139411:35147030:-1 gene:TCM_025856 transcript:EOY10515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, putative isoform 1 MESQSEPSKSFQSLQALASGPLSSSVSSLSSSSQTLPSNQDFHFFYNFDQFKLPIDQIDNTSHSLLESIGSSAKAWGSNKAINFPNKIENIADDEAYDWTVNIIDECLERVDLYTDEFQKIRKKQEETGRVNGADADTDGFQVVHGKKKKKGAGGLVRDSAGDIVGGKECGASSSSEVKVKKGALAAGTTAKAKVPFHIPTIRRPQEEYNILVNNSNQPFEHVWLQRSEDGQRFVHPLDKLSVMDFVDTDIANIEPINPPSIESTPFKLVEEVKDLKELVAKLLSVNEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIHVGPYLREVFKDPTKKKVMHGADRDIVWLQRDFGIYLCNLFDTGQASRVLKLERNSLEYLLHHFCGVTANKEYQNADWRLRPLPDEMIRYAREDTHYLLYIYDLMRIKLLSMPKESEHCDAPLVEVYKRSSEVCLQLYEKELLTENSYLHIYGLLGAGFNAEQLAIVAALCEWRDIIARAEDESTGYVLPNKTLLEIAKQMPVTASKLRRLLKSKHPYVERNLGSVVTIIRHSMQNAIVFEEAAQQLRMGRVLNASEEHVVVNEGAKILPPETSTDLTMANDKTEITDGGMVGPACPQNNGSSIAGSDRNKNRFSFEQPIANGPSMYARESLAISGQSGEINACTVMPPSAKIDTGATVQVLKKPSRGFGAFLGNAATKKKFEADKKDKEESKLEQIRSSVNLSFHSFLGTEEQSKPAVKEPNRVPEVSQPEEPPAVVATESTLEDIIMLEDNSNKEESIDGSPEVTGTPGGESSVAPSFETNKEDMAISLSELSTSFEQCFETSNQNRKMVKVKKSKEPSGLQIKPFDYEAARKQARFGEDAEEESGSQLNSAGKKKCSAVGRLQIEDGSKQFPQARRRQAFPASGNRSATFR >EOY10517 pep chromosome:Theobroma_cacao_20110822:5:35137475:35146570:-1 gene:TCM_025856 transcript:EOY10517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, putative isoform 1 FDQFKLPIDQIDNTSHSLLESIGSSAKAWGSNKAINFPNKIENIADDEAYDWTVNIIDECLERVDLYTDEFQKIRKKQEETGRVNGADADTDGFQVVHGKKKKKGAGGLVRDSAGDIVGGKECGASSSSEVKVKKGALAAGTTAKAKVPFHIPTIRRPQEEYNILVNNSNQPFEHVWLQRSEDGQRFVHPLDKLSVMDFVDTDIANIEPINPPSIESTPFKLVEEVKDLKELVAKLLSVNEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIHVGPYLREVFKDPTKKKVMHGADRDIVWLQRDFGIYLCNLFDTGQASRVLKLERNSLEYLLHHFCGVTANKEYQNADWRLRPLPDEMIRYAREDTHYLLYIYDLMRIKLLSMPKESEHCDAPLVEVYKRSSEVCLQLYEKELLTENSYLHIYGLLGAGFNAEQLAIVAALCEWRDIIARAEDESTGYVLPNKTLLEIAKQMPVTASKLRRLLKSKHPYVERNLGSVVTIIRHSMQNAIVFEEAAQQLRMGRVLNASEEHVVVNEGAKILPPETSTDLTMANDKTEITDGGMVGPACPQNNGSSIAGSDRNKNRFSFEQPIANGPSMYARESLAISGQSGEINACTVMPPSAKIDTGATVQVLKKPSRGFGAFLGNAATKKKFEADKKDKEESKLEQIRSSVNLSFHSFLGTEEQSKPAVKEPNRVPEVSQPEEPPAVVATESTLEDIIMLEDNSNKEESIDGSPEVTGTPGGESSVAPSFETNKEDMAISLSELSTSFEQCFETSNQNRKMVKVKKSKEPSGLQIKPFDYEAARKQARFGEDAEEESGSQLNSAGKKKCSAVGRLQIEDGSKQFPQARRRQAFPASGNRSATFR >EOY07304 pep chromosome:Theobroma_cacao_20110822:5:1137911:1146656:-1 gene:TCM_021770 transcript:EOY07304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl Co-enzyme a carboxylase carboxyltransferase alpha subunit MASMSYSPAAFTGTAASDLLRSSSNGVSGIPLKTLGKARFTVKGRDATVAAKMRKVKKHEYPWPADPDPNVKGGVLTHLSPFKPLKEKQKPVTLDFEKPLVALEKKIIDVRRMANETGLDFSDQIISLENKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHVFSITDKFVELHGDRAGYDDPAVVTGIGTIDGRRYMFMGHQKGRNTKENIQRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKSAKASPKAAEKLRITARELSKLQICDGIIPEPLGGAHADPAWTSQQIKAAINETMDELTAMDTEKLLKHRMLKFRKLGGFQEGVPVDPKKKVNMKRKEETVGRTSKAELEGEVEKLKQQILKAKESSTKPPELALKDMIEKLKKEVDHEYSEAVKAMGLKDRLAMLREEVSKVNSKDQLMNPVIMDKIEKLKHEFNQGLAAAPNYTTLKYKLDMLKEFSKAKSLSEAATLKQEVNKKFNEVMGQPEINEKFEALKAEVQNSGASSFVDLDQGLKDKILNMKKEVELEIINALESLGLDVEVVKSNAKVLGDWALLAVFKDKVENLNEEINKKIENVVHSSELKNMMESLKLEIAKAGKTPNTESKSKIKALEQQIKQRLSEAISSSELKGKHEELKAEIFEAIQSSGGTDGSLQKETKYEEPRVEINLGANRSFA >EOY08489 pep chromosome:Theobroma_cacao_20110822:5:9239967:9255324:1 gene:TCM_022960 transcript:EOY08489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant U-box 24 MDDIEVPKYFVCPISLQIMKDPVTAITGITYDRESIEQWLLKGKNTSCPVTQQPLPRDSDLTPNHTLRRLIQAWCTENASLGVDRIPTPKPSVDKFHFRKLIKELDKHPDSKMEALRELDLLAAKNERNRKYMVEAGVPKAMLSFIVNCFKEDCVNGLEEALSVLSLIRIPSAEANLSLNEKDEIIKSLIWVLGCESKTQVTVKSHAALVLKTIIETASSILLERLEPQFFETAVGVLTQRSRVTQQGMNAALHVLLDVCPWGRNRLMMVESGAVSALIELELGSPERRTTELILGILFHLCSCADGRAEFLSHKGGVAVVTKRIMRVSPTADDRAVLILSLISKFSATNLVLHEMLEVGTVTKLCMLLQGDGATYLKDKAMEILRSHSDEWQKFRCINITLLTRYIK >EOY09843 pep chromosome:Theobroma_cacao_20110822:5:31297730:31301901:-1 gene:TCM_025216 transcript:EOY09843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPDVFRRPYEVAADGIHARYWNGQDNVKLQALLDTFRGGNFQLPGDATKMVLVLIANNILFGQDYRRWVTPWLLSLVEDIVAWNVFPWGHYVWKLTLDYLLKGFEVPDLSVTKETRLRYNIYGFAWVIQAMKAISTLRKIVAPSDEALREYFVDLDVPLSEGNKYVPVGHMEDRSDWGLGARQKRRSLKEKRASGGMKRMRTAAALLDHGPTVSEPPTSPPQMQSGNDLSFTEARTGPQALIGPAQPQTANESAYAKVTTGPKASIDPAPPQTTNEPPLTQSRTVNDGAVITRQLRRIMRKHEKDMLELKASIQSLNVAKQTIKDRIVATVEIQSSSPESSAVHHGAVEISNLTERARLKMASKYMANPFVDPLVTRRDVRDKIVEDYEAFKKKEFERRNVGILGDQGADFFITLEDPNEEMTSEHIDACLSLLCKRMTRSKSKLYTTCACMVDTIFFINTIRMLHIEFPIEDARAKMQIPDELQGYVEGERPTYAKKWEDADFILAPCNVGGHWVVGKIDLMRWTIKVVDSTRTSDAKDNGVRAGQMTPLTTMMSFICHQAGYFNNIRRKRQDLDIHLPKAKVHRQNDSVSCVCS >EOY10293 pep chromosome:Theobroma_cacao_20110822:5:34015124:34018855:1 gene:TCM_025666 transcript:EOY10293 gene_biotype:protein_coding transcript_biotype:protein_coding description:BolA-like family protein MAKTLVTTRPYIIFSSTKTNIFVKSFPLFTHSSKSFTSQATLLATKNHSNSGLFWSSDINKPINNDDKNNRVVSKTGFGILGHRKFSIKATHVNDPGSIDSPLMQSMEKKIKEHLNAESVIVKDASGDGRHVCIDVVSSAFEGQSIVNRQRMVYKAIWEELQSTVHAVDQMTTKTPSEATSQK >EOY09542 pep chromosome:Theobroma_cacao_20110822:5:29740496:29749546:1 gene:TCM_024953 transcript:EOY09542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSGVSKNQSDGGLSMTNGSQDEIQDPSVHPWGGLTTTRDGSLTLLDCYLCSKSLKGLQNVFDSARARERERELLYPDACGGGGRGWISQGIASYGRGHGTRETCALHTARLSCDTLVDFWSALGEETRQSLLRMKEDDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFLYEVSDDTVQADWRQTFADTVGTYHHFEWAVGTGEGKSDIMEFENVGMNGSVQVNGLDLGSLSACYITLRAWKLDGRCSELSVKGHALKGQQCVHCRLVVGDGYVTITRGESIRRFFEHAEEAEEEEDDDSMDKDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKEIITLEKQMKLLEEEEKEKREEEERKERKRTKEREKKLRRKERLKGKEREKEKQCAESSITPVAPDVSKEESSPSIEVEENIAISCRDSVSDTGDIIVSRPGSPDIEEQFLDGHSTSSLQNHSFDSPDAEGTKEKDGNGSFTMEQSKFSRRRLKFRKDGPFDPSPKWSDRRRFAAVSESAPVNRSEPRYQIENFEAPSRSINGLNRQLRISSAKPNGRNCGVKYTEKFLCSNGRVDRYDFYSCSCSQHNEYRAKIEPLVSATRVGREPKSVSKSESAVDMSKQVYRGNKYNRQDYMREDCGKLKNKIIAGTNPSGRDSLHSKKVWEPTEAQKKYPRSNSDTDITLRSSTYSEGAGPDNNFVKSSGETCSSEASVNLGEIDHEHSKANKSRNSSIAMDEDCHVEQQDQCSSLNAVYEEVGICSNRNPTLNGISHSMMSSTSNSDNCSSCLSEGDSNTSSSNHGNLESSSTSDSEDASQQSDGRDTSVCHQNGFSEVQVKGMDKKQDVNGGVALGSQALFGNTPDGRGNKVPGNPLTKTAENSDNGKPTAVMGSQHQGMFTSVHNQHIQFPVYQAPSTMGYYHQNPVSWPASPANGLMPFPPNPYLYAGPLGYGLNGNSRLCMPYGTLQHLATPLFNPGPVPVYQPVSKVNGLYSEEQTQIPKPGTTKEAFTEVNTERVVPGRLHPTEQAANGEGRQNDVSAKLHTDNTSFSLFHFGGPVALSTGCKSNPVPLKDEIVGELSSQFSVDHVENGHACNKKETTIEEYNLFAASNGIRFPFF >EOY09541 pep chromosome:Theobroma_cacao_20110822:5:29740484:29749701:1 gene:TCM_024953 transcript:EOY09541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPGLAQRNEQYSNASFGFWCKHSDDVSYNQLQKFWSELSFQARQELLRIDKQTLFEQARKNMYCSRCNGLLLEGFSQIVMYGKSLLQEGIAANLHYNRSGVSKNQSDGGLSMTNGSQDEIQDPSVHPWGGLTTTRDGSLTLLDCYLCSKSLKGLQNVFDSARARERERELLYPDACGGGGRGWISQGIASYGRGHGTRETCALHTARLSCDTLVDFWSALGEETRQSLLRMKEDDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFLYEVSDDTVQADWRQTFADTVGTYHHFEWAVGTGEGKSDIMEFENVGMNGSVQVNGLDLGSLSACYITLRAWKLDGRCSELSVKGHALKGQQCVHCRLVVGDGYVTITRGESIRRFFEHAEEAEEEEDDDSMDKDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKEIITLEKQMKLLEEEEKEKREEEERKERKRTKEREKKLRRKERLKGKEREKEKQCAESSITPVAPDVSKEESSPSIEVEENIAISCRDSVSDTGDIIVSRPGSPDIEEQFLDGHSTSSLQNHSFDSPDAEGTKEKDGNGSFTMEQSKFSRRRLKFRKDGPFDPSPKWSDRRRFAAVSESAPVNRSEPRYQIENFEAPSRSINGLNRQLRISSAKPNGRNCGVKYTEKFLCSNGRVDRYDFYSCSCSQHNEYRAKIEPLVSATRVGREPKSVSKSESAVDMSKQVYRGNKYNRQDYMREDCGKLKNKIIAGTNPSGRDSLHSKKVWEPTEAQKKYPRSNSDTDITLRSSTYSEGAGPDNNFVKSSGETCSSEASVNLGEIDHEHSKANKSRNSSIAMDEDCHVEQQDQCSSLNAVYEEVGICSNRNPTLNGISHSMMSSTSNSDNCSSCLSEGDSNTSSSNHGNLESSSTSDSEDASQQSDGRDTSVCHQNGFSEVQVKGMDKKQDVNGGVALGSQALFGNTPDGRGNKVPGNPLTKTAENSDNGKPTAVMGSQHQGMFTSVHNQHIQFPVYQAPSTMGYYHQNPVSWPASPANGLMPFPPNPYLYAGPLGYGLNGNSRLCMPYGTLQHLATPLFNPGPVPVYQPVSKVNGLYSEEQTQIPKPGTTKEAFTEVNTERVVPGRLHPTEQAANGEGRQNDVSAKLHTDNTSFSLFHFGGPVALSTGCKSNPVPLKDEIVGELSSQFSVDHVENGHACNKKETTIEEYNLFAASNGIRFPFF >EOY09519 pep chromosome:Theobroma_cacao_20110822:5:29642537:29644495:1 gene:TCM_024936 transcript:EOY09519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 2 MSSSIANSSFILSTILLTFLVYQSKAQLSATFYATTCPNASSIVRSVIQQALQSDIRIGASLIRLHFHDCFVDGCDASILLDNSANIQSEKDAAPNTNSTRGFNVVDNIKTALENSCPGIVSCADVLALAAESSVSLQGGPSWSVLLGRRDSLTANQAGANSSIPSPFEGLSNITSKFSAVGLNTNDLVALSGAHTFGRAQCRLFSNRLYNFSGTGNPDPTLDSSYLTTLRQICPQSGSGFNVANLDPTTPDTFDSNYFTNLQNNQGLLQSDQELFSTAGAPTISIVNTFSGNQTAFFQSFAQSMINMGNISPLTGSSGEIRADCKKVNGS >EOY10216 pep chromosome:Theobroma_cacao_20110822:5:33523132:33535311:-1 gene:TCM_025570 transcript:EOY10216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLLLLYAAGKEVMAKNPPGKTCADAIKLAGCKNDYCLKLCKQTYGDNIYVKGACLCEQRQPSPKHAPFA >EOY10856 pep chromosome:Theobroma_cacao_20110822:5:36825277:36827435:1 gene:TCM_026150 transcript:EOY10856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSATVTILLILRRKYVDACVSHAMLVVLNVLLKARMARSTAVCNDLVVEDDTGEYYCDICEEKRNPEHHVYYCEQCTYIAHIKCVLIIEEETSSAEDISHLVCKSGESCGNMDSKALLENITEQKAEQQSEFPAPQVRPIVALIVILFSMNLVLDYQKRYNVHFIRYTNWRLHKAEISLFNAIFVVVFFAQVTLAIVVSFILTSTSIINALYPQEGPSNRVPTSMIFTIVGKMAAV >EOY08368 pep chromosome:Theobroma_cacao_20110822:5:6992287:6995376:-1 gene:TCM_022729 transcript:EOY08368 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein, putative MEPTQNSPSSKLHQPSIPLENSPWTSHFYPHQSWINSYNNPQDHPLHPSMFFPSNHPSRNFNLNQDEDEELDDQTSIDNTENPNQNPHENPLDHEREPMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLGGDSGDKGLLLSFEDESGKCWRFRYSYWNSSQSYVLTKGWSRYVKEKQLDAGDIILFERHRTDGDRLFIGWRRRGAAVAVAAAADGGNAAMGNSGVGGGNEGWGRGLHQGHPYLGHIQGHGANVPYQPDCLHAGSIAQNQAPAGNPKRLLRLFGVNLECQLDESEPSTPDSSSVSSQGPTHQSYNSNYMDITFSRDINHMRNHRG >EOY07789 pep chromosome:Theobroma_cacao_20110822:5:2703022:2704511:-1 gene:TCM_022118 transcript:EOY07789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMLITTQIFPSNNLSPALYLSTPPPHTHTLSLSMADNLSLCLMGAMDRLWFHQIILFPEPFSLYFPKTLKPVQQPNSESTTTSTSPSSSLSLSSLPEDIPTVVSSPPDQQNSAISSPSTPPDDSRSEEEGVKKRPTRASLSCSRSRSHSSSPSTQKGRKNHRHSTSCSPGGKLQKSMSCRSLKDLELEEVKGFMDLGFIFKKENLNARMISVVPGLLRLGFLKTKQKTELNLAADELPKDDDIEPEETGVVRPYLSETWLIKRPDSPLLNLRVPRVYAAADMKKHLKFWARTVASVAQQEC >EOY07409 pep chromosome:Theobroma_cacao_20110822:5:1471694:1475186:-1 gene:TCM_021854 transcript:EOY07409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil phosphoribosyltransferase MKGKPRKVPNWTQKSDPKETAMPKKEKGLSRKGESNSNYTRLGYNWPGEIEIIGCMACRINFSLRCSSSDTPRFVPTCHPCKNPTLLLPPFKPHSNPIKLSFSSSRWLRRRRMGFVTVNSHMATEEKPVSEDRMLVFVPPHPLIKHWISVLRNEQTPCPIFRNAMAELGRLLIYEASRDWLPTVAGEIQSPMAIASVEFVDPREPVAIVPILRAGLSLAEHASSILPATKTYHLGVSRDEETLLPTVYLNKLPDKFPAGSRVFVVDPMLATGGTIVAALDLLKERGIDNKQMKVISAVAAPPALQKLSEKFPGLHVYTGIIDPTVNEKGFIIPGLGDAGDRSYGT >EOY07453 pep chromosome:Theobroma_cacao_20110822:5:1623909:1626877:-1 gene:TCM_021883 transcript:EOY07453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein MCFRFTVLLALLISFAAACDVDFIYNGFRSSKLSLDGIAKFTSNGLLKLTNETWRQKGQAFYPNPVNFKNSTNGSVFSFATTFVFAIISEYPNLSGHGIAFVIAPTRGLPGTLPSQFLGLFNDSNNGNAANHVVAVELDTIQSNEFADINENHVGIDINGLKSDKSFPAGYYEDGTRQFRNLTLISGQRMQVWVEYHGLEKRMDVTLAPINVPKPKTPLLSLSRDLSSILNNEMYVGFSSSTGSVLTSHYVLGWSFKINGQAQELTLSQLPKLPRLGRKKRSRFLTIGLPLILVSSVLAAVSGVAYFIRRKRKFAEVVEDWELEYGPHRFKYKDLYVATKGFKDKELVGAGGFGRVYRGVLPTSKLEIAVKRVSHESRQGMKEFVAEIVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYDQPNVTLNWRQRFRVIKGVASGLFYLHEEWDQVVIHRDVKASNVLLDGELNGRLGDFGLARLYDHGKDPQTTRIVGTLGYLAPEHTRTGKATPLTDVFAFGAFLLEVACGRRPIQAQSPTEDVILIDWVYSCWYKGDILEAKDPKLGTDYVAEEVELVLKLGLLCSHSEPEARPTMRQCVQFLEGDIPLPEISSLTLSSGGLAFAHREGFDDFAMSYTSSIYKGFSQSSSVAESLLSGGR >EOY08741 pep chromosome:Theobroma_cacao_20110822:5:19362366:19368015:-1 gene:TCM_023862 transcript:EOY08741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIRPNQSGRIWPAGALSSIGKERQISRHMFVSPCALMASCLILATLFRSSQNKGAIYVFILVSAIERKPSRLAGLLINSEDQKMTIYLNSGRSRQPAS >EOY11025 pep chromosome:Theobroma_cacao_20110822:5:37558940:37560115:-1 gene:TCM_026294 transcript:EOY11025 gene_biotype:protein_coding transcript_biotype:protein_coding description:NC domain-containing-related-like protein MRTVRRDALRPGDHIYSDRKLRLYFHHGIYVGDDMVIHLMGPSKIYNKPPCKKCGFKPQAGIFKTCLDCFLEGHSLYRYEYDVSYLKLVFKRRGSCSTWDCKPEDEVVETAHRLLESKSFGNYNFFLNNCEDFAVYCKTGVAMSNQTAGLFGFNLLGVVGYAATKGIYEAVAD >EOY08705 pep chromosome:Theobroma_cacao_20110822:5:18356281:18357020:1 gene:TCM_023778 transcript:EOY08705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKREEKQGGRKRDLRRGGMLSLEETSDVVAGLDADEWDGGQRWLVVCLGETGVKGLVQRLDSFWKMSYAI >EOY07947 pep chromosome:Theobroma_cacao_20110822:5:3558345:3561150:1 gene:TCM_022279 transcript:EOY07947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVCIACLLPLFLVPIVNILPLLFHYIMGKIYKLFGWEYRKPERAPPACPYKPAAKTENVKKVGPETVPGSIPESSSKPVEVTDGKQD >EOY07581 pep chromosome:Theobroma_cacao_20110822:5:2035976:2037661:1 gene:TCM_021977 transcript:EOY07581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monovalent cation:proton antiporter-like protein MFLGVALSITAFPVLARILAELKLLTTHVGQTAVAAAAFNDVAACILLALAVALAGNSSGGGTKSPSISIWYRSPDHDSVMDEACICLTLAGVMISGFMTDLLGIYSIFDAFVYGLTIPKGGEFAAKLKERIEDFVAGLLLPLYNASSGEDFGDVCSGHAVYDPRERVVGIWRSKGLVGHIVLNIRREKKGTVSSTVQKFPMMKYLRFSPDGSLHHFHHNSSSHGHQLVQELDQFALAEFRSNESIQCEERVESNVAEEVLRIAQSRGVRDSSRRKGAAPITYGINRELYVQRVKSWELWQIF >EOY08756 pep chromosome:Theobroma_cacao_20110822:5:19482052:19497539:-1 gene:TCM_023874 transcript:EOY08756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein / protein phosphatase 2C ( PP2C) family protein isoform 1 MGLDIVQPNTCVRGCCSSDSIPLHLPPSSYILLSPIARGAESVVYEAILDGKRVAVKKPILSTSDELDKFHKELQLLCKLHHPGIATLVAAHARPPNYMFFFQFYEERNLAHKLHVQEWTPDIDHALTITLQLAKALQYLHNQGIIHRDVKPANVLLDQNSSAHLADFGLAEYKKDLRGVSTENWRSSGKPTGGFHKKNMVGTLIYMAPEILRKEIHTEKSDVYSFGVSINELLTGVIPYTDIRAEAQAHTVLEMNYTEQQLTAAVVSGGLRPVLPGIVSGVPASMLSLIQKCWDANPQNRPSFNDIVLELDGILEQRKRMEKEDLSLQKSSISHGHQVMESINNLHTYQENINWSTQGQCLSKRASAEVHSGFGKWLDSSDESLTYHPVLSWGSFATCGRRESMEDTHFLMPYLCNEKDVHVFGIFDGHRGAAAAEFSAQALPEFLKILGSTCSPADALLEAFVRTDVAFRNELDSNRNFKRVTQKDWHPGCTAAAALIVRNKLFVANAGDCRTILCRAGCPLALSRDHVASCLEERDRVVSAGGKVKWQVDTWRVGPAALQVTRSIGDDDLKPAVTAEPEITETVLLLEDEFLVMASDGLWDVVSNMEVISIIRDTVKEPAMCSKRLATEAAERGSRDNITVIVVFLRPVSTAERVY >EOY08757 pep chromosome:Theobroma_cacao_20110822:5:19480998:19497748:-1 gene:TCM_023874 transcript:EOY08757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein / protein phosphatase 2C ( PP2C) family protein isoform 1 MGLDIVQPNTCVRGCCSSDSIPLHLPPSSYILLSPIARGAESVVYEAILDGKRVAVKKPILSTSDELDKFHKELQLLCKLHHPGIATLVAAHARPPNYMFFFQFYEERNLAHKLHVQEWTPDIDHALTITLQLAKALQYLHNQGIIHRDVKPANVLLDQNSSAHLADFGLAEYKKDLRGVSTENWRSSGKPTGGFHKKNMVGTLIYMAPEILRKEIHTEKSDVYSFGVSINELLTGVIPYTDIRAEAQAHTVLEMNYTEQQLTAAVVSGGLRPVLPGIVSGVPASMLSLIQKCWDANPQNRPSFNDIVLELDGILEQRKRMEKEDLSLQKSSISHGHQVMESINNLHTYQENINWSTQGQCLSKRASAEVHSGFGKWLDSSDESLTYHPVLSWGSFATCGRRESMEDTHFLMPYLCNEKDVHVFGIFDGHRGAAAAEFSAQALPEFLKILGSTCSPADALLEAFVRTDVAFRNELDSNRNFKRVTQKDWHPGCTAAAALIVRNKLFVANAGSCRKLS >EOY11739 pep chromosome:Theobroma_cacao_20110822:5:40180245:40180905:1 gene:TCM_026821 transcript:EOY11739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERVAKMLLISILVLVVLASNAEGRRLKEEEVDHPQNFLGGFGTSGGFVPTPGGGVGFGLGPSVFCAFPGTGCVRVQPTIPGGTTGTGTGTGTGTGTGTPP >EOY10528 pep chromosome:Theobroma_cacao_20110822:5:35202626:35208480:1 gene:TCM_025865 transcript:EOY10528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded-RNA-binding protein 4, putative MYKSKLQELCQKKAWDLPEYDTTKQGQDHNPRFEAAVVVNGMSFQSQNPVKSAKEAQNDAARVAFLHFTSPPLPNPGSSNVTANFDSNIENRRTVQPGRQETNRLSQVNETGSVCKDNHRVKDIQHLYKNQLQVFARKRNVDLPVYSCECEGPPHASRFRCKVTFNEQTYESLEFFPTIKEAEHAAAKIALSSLSPDAFQEEDFSFYKNLLQELTQKEGCPLPVYTTTRSGEAHASTFVSIVEVKGNVFTGQEAKTKKHAEVLAAKVAYMKLKERKSNRGSMVINPAYQERQVPVLSLSHSRSNVNADTQQNFGPKACTLFNPSSTTREDQHEDIVYGTFRNHLLSIPFPQPEMTTDWQSSSSTSSLYDPLLPEDDLSMSNLPSNHSATTNSVTNSITMEPVAMSTVPCNKVIVHPRVPNLNFPAGSTLLPMSDENWVAFKLESQPNQ >EOY08215 pep chromosome:Theobroma_cacao_20110822:5:5661845:5672633:-1 gene:TCM_022557 transcript:EOY08215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRETDVKKINFRTHHGHFKFLTDALSTFQTFMNDVFKQFLHKFALIFFNDLLIYNRTWAKHILHLQIVFTLLRQHCLFLKHSKYLFAQTPISYLRHVISSRGVETNLKRWQQCFNGHNQIPFDHYEASLVSQGKEGNHWTYHDKQIFFKNKVYLPTSSSLLQAIISIIHAQCHEWCQKTLQRVSTDFYWTGMKRQVEDMVKTCEICQRNKSDHLQPTGILQPLPIPTQVWSDISMDFINGLPPSNGKTVLLVVVDHSSKNSHFLPLAHPHSTVTVA >EOY07103 pep chromosome:Theobroma_cacao_20110822:5:440267:443112:1 gene:TCM_021614 transcript:EOY07103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-interferon-inducible lysosomal thiol reductase, putative MLSKMAFCKLAFPVLVMACLLFPFTFPSHVSVRNDAGVKVSTSNSSQKVNVSIYYEALCVRCAKFIVNKLEDVFENGLISIINLRLVPWGASYISKSNNTIICKHGQDECQLNTIQACAIYVWPDVNKHYGLIYCIEFLAIEERQKEWESCFKSLGLPQKHILDCYNSGKGRTLELAYANETAHLSPRHAFTPWVVVNNQSIGNDYENFAAYICKAYKGNASTESCKSLPSNINSTSIAKKLTSSTPTKKMLSL >EOY08074 pep chromosome:Theobroma_cacao_20110822:5:4277906:4279957:1 gene:TCM_022390 transcript:EOY08074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L24 MVLKTELCRFSGAKIYPGKGIRFVRSDSQVFLFSNSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRTTKKPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAAKQQKTGGKGNIPKGSAPKGPKLGGGGGKR >EOY09883 pep chromosome:Theobroma_cacao_20110822:5:31501892:31504155:1 gene:TCM_025253 transcript:EOY09883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit XI MAAASPMASHLKSSFTSPISRALVSPKVPFASPLRVLPSRRQSSFTIRAVQSEKPTYQVIQPINGDPFIGSLETPITSSPLIAWYLSNLPAYRTAVSPLLRGIEVGLAHGFLLVGPFVKAGPLRSTPVAGGAGSLAAAGLVVILSICLSMYGVASFKEGEPSTAPSLTLTGRKKEPDQLQTAEGWASFTGGFFFGGISGVTWAYFLLYVLNLPYFFK >EOY09385 pep chromosome:Theobroma_cacao_20110822:5:28877600:28879945:-1 gene:TCM_024816 transcript:EOY09385 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIMA-related kinase 7 isoform 1 MCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMAGLISKINRSSISPLPILYSSTLKQIIKSMLRKNPEHRPTAAELLRHPHLQPYLLRCRNPSSVYLPIKPTNSPKEMTPRKSLSSKPGSGKDRGVQHAGVSNGQGNIHALQSFADVQLSSSPSCEKPTSTASTEDNLVTKRVDPTSCTVEISNSISDSKDMSTDSEVSVSNGDKQAHYNLIPQKDADVQSPSETAFNSQHDEKEEPTSEHTQNLPEADIKSVSRKEETFCDMQVLEEAAKEVLDMQILGRSGDSSKLTVSSISCDDKNGFPDDGSSSSTVYETDVERRCSSNKTSSPKAKTEGADTSYLSSESNGVLPCKNEAGATSDNNTCSFQTEKEGARPINLSPSDVSLLSRLTALSGDEIRSVWENPSQQRADALESLLELCARLLKQDKLDELAGVLRPFGEEVVSSRETAIWLTKSLMSAQKCDWQ >EOY09381 pep chromosome:Theobroma_cacao_20110822:5:28868143:28883073:-1 gene:TCM_024816 transcript:EOY09381 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIMA-related kinase 7 isoform 1 MESDNGDVKSKMEDYEVIEQIGRGAFGAAFLVLHKLEKKKYVLKKIRLAKQTEKFKRTAHQEMELIAKLNNPYIVEYKDAWVDKGNSICIVTGYCEGGDMAELIKKARGMHFPEEKICKWMTQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLNTEDLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMAGLISKINRSSISPLPILYSSTLKQIIKSMLRKNPEHRPTAAELLRHPHLQPYLLRCRNPSSVYLPIKPTNSPKEMTPRKSLSSKPGSGKDRGVQHAGVSNGQGNIHALQSFADVQLSSSPSCEKPTSTASTEDNLVTKRVDPTSCTVEISNSISDSKDMSTDSEVSVSNGDKQAHYNLIPQKDADVQSPSETAFNSQHDEKEEPTSEHTQNLPEADIKSVSRKEETFCDMQVLEEAAKEVLDMQILGRSGDSSKLTVSSISCDDKNGFPDDGSSSSTVYETDVERRCSSNKTSSPKAKTEGADTSYLSSESNGVLPCKNEAGATSDNNTCSFQTEKEGARPINLSPSDVSLLSRLTALSGDEIRSVWENPSQQRADALESLLELCARLLKQDKLDELAGVLRPFGEEVVSSRETAIWLTKSLMSAQKCDWQ >EOY09382 pep chromosome:Theobroma_cacao_20110822:5:28877597:28883146:-1 gene:TCM_024816 transcript:EOY09382 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIMA-related kinase 7 isoform 1 MAELIKKARGMHFPEEKICKWMTQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLNTEDLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMAGLISKINRSSISPLPILYSSTLKQIIKSMLRKNPEHRPTAAELLRHPHLQPYLLRCRNPSSVYLPIKPTNSPKEMTPRKSLSSKPGSGKDRGVQHAGVSNGQGNIHALQSFADVQLSSSPSCEKPTSTASTEDNLVTKRVDPTSCTVEISNSISDSKDMSTDSEVSVSNGDKQAHYNLIPQKDADVQSPSETAFNSQHDEKEEPTSEHTQNLPEADIKSVSRKEETFCDMQVLEEAAKEVLDMQILGRSGDSSKLTVSSISCDDKNGFPDDGSSSSTVYETDVERRCSSNKTSSPKAKTEGADTSYLSSESNGVLPCKNEAGATSDNNTCSFQTEKEGARPINLSPSDVSLLSRLTALSGDEIRSVWENPSQQRADALESLLELCARLLKQDKLDELAGVLRPFGEEVVSSRETAIWLTKSLMSAQKCDWQ >EOY09383 pep chromosome:Theobroma_cacao_20110822:5:28877600:28880939:-1 gene:TCM_024816 transcript:EOY09383 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIMA-related kinase 7 isoform 1 MSSKICMCCMDCTGDFGLAKLLNTEDLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMAGLISKINRSSISPLPILYSSTLKQIIKSMLRKNPEHRPTAAELLRHPHLQPYLLRCRNPSSVYLPIKPTNSPKEMTPRKSLSSKPGSGKDRGVQHAGVSNGQGNIHALQSFADVQLSSSPSCEKPTSTASTEDNLVTKRVDPTSCTVEISNSISDSKDMSTDSEVSVSNGDKQAHYNLIPQKDADVQSPSETAFNSQHDEKEEPTSEHTQNLPEADIKSVSRKEETFCDMQVLEEAAKEVLDMQILGRSGDSSKLTVSSISCDDKNGFPDDGSSSSTVYETDVERRCSSNKTSSPKAKTEGADTSYLSSESNGVLPCKNEAGATSDNNTCSFQTEKEGARPINLSPSDVSLLSRLTALSGDEIRSVWENPSQQRADALESLLELCARLLKQDKLDELAGVLRPFGEEVVSSRETAIWLTKSLMSAQKCDWQ >EOY09384 pep chromosome:Theobroma_cacao_20110822:5:28877600:28879921:-1 gene:TCM_024816 transcript:EOY09384 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIMA-related kinase 7 isoform 1 MTPRKSLSSKPGSGKDRGVQHAGVSNGQGNIHALQSFADVQLSSSPSCEKPTSTASTEDNLVTKRVDPTSCTVEISNSISDSKDMSTDSEVSVSNGDKQAHYNLIPQKDADVQSPSETAFNSQHDEKEEPTSEHTQNLPEADIKSVSRKEETFCDMQVLEEAAKEVLDMQILGRSGDSSKLTVSSISCDDKNGFPDDGSSSSTVYETDVERRCSSNKTSSPKAKTEGADTSYLSSESNGVLPCKNEAGATSDNNTCSFQTEKEGARPINLSPSDVSLLSRLTALSGDEIRSVWENPSQQRADALESLLELCARLLKQDKLDELAGVLRPFGEEVVSSRETAIWLTKSLMSAQKCDWQ >EOY09524 pep chromosome:Theobroma_cacao_20110822:5:29665076:29667470:1 gene:TCM_024940 transcript:EOY09524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox from MELALSLGDPSKPFSFLDKAPKLSSKDLGFCMGLGNGFRSQEKGDAFEGESRGEATRDGHDKRVSSDPPLQLDLLPFSPVPRSQPPSQLRFPWLTDNQTGSSEGQGRGLDVNRLPVVAVMDEAEDGAAMSSPNSAVSSFQMDFGIRNGSGRGKRDLEVENERASSRASDDDENGSTRKKLRLSKEQSAFLEESFKEHNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELQELRALKTSQPFYMQLPATTLTMCPSCERVATTSTTANSTAAAATTTTNGSAAAAAAGSNSDAKTGVLPLTKTRGYPFSPLPTHVTQSQPQPQAHQAAS >EOY07747 pep chromosome:Theobroma_cacao_20110822:5:2564041:2566896:1 gene:TCM_022085 transcript:EOY07747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MNIPRPSPCSSASSPTSSSSSSSFPSTLHWLSPPPPSATTATTTSKPARLCRLEATAHQQTQPTADFSLSTDRKTNNDQTLLSLLRQRKTEQAWDHYSQSPHLPTPTCLSRLVAQLSYQTTPLSLTRARSIITRLRNERQLHRLDANSLGLLASASAKSGHTLYASSLIKSMLRSGYLPHVKAWSAVVSRLSTESPSESINLFDSVTRRVRRFADPTIVADSKPDTAAYNAVLNACANLGDKSKFLKLFEEMSEWGCEPDVLTYNVMIKLLARADRKDLLVFVLERIVEKRIRLCMTTLHSLVAAYVGFDDLETAERIVQAMREQRNDLCKILRDANLEDLNQAEEDEEEEEEEENRKDLSQNEKDDIVFEKLLPNSIKPSSEARLLPKVYAPNSRIYTTLMKGYMKAGRVSDTVRMLEAMRHQGDKASHPDHVTYTTVVSAFVKAGLMDRAREVLAEMTRIGVPANWITYNILLKGYCQQLQIDKAKELLREMADDAEIKPDVVSYNILIDGCILIDDSAGALAFFNEMRERGIAPTKISYTTLMKAFALSGQPKLANKVFDEMLRDPRVKVDLVAWNMLVEGYSRLGLVEEAKKIIQRMKEKGFYPNVATYGSLANGISLARKPGEALLLWKEIKERCKMKKEGDNPCSDSSSPPPLKPDEGLLDTLADICVRAAFFKKALEIVACMEENGIPPNKTKYKKIYVEMHSRMFTSKHASQARQDRRIERKRAAEAFKFWLGLPNSYYGSEWHFEPIDR >EOY09212 pep chromosome:Theobroma_cacao_20110822:5:27245919:27255311:-1 gene:TCM_024622 transcript:EOY09212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MTTLPHPLPLKLTLQSPDSTHSTRPKSLVLCKKRKNDTAFEDQKSGFVDYDKGQHEVSTRVSGLRKADIPKRYRLRVEGDRFQKDWTVSEVVDQIQELNHWENVEPVLNRWVGRFARKNFPFLIKELTQRGAIEHSVKVFDWMKNQKNYCARNDIYNMMIRLHARHNRTDQARGLFFEMQKWRCKPDAETYNALIHAHGRAGQWRWAMNIMEDMLSAAIPPSRSTYNNLINACGSSGNWREALKVCKKMTENGVGPDLVTHNIVLSAYKNGAQYSKALSYFELMKGTNIRPDTTTLNIVINCLVKLGQYGKAIDIFNSMREKRADCHPDIVTFTSIIHLYSVSGQMENCKAVFNTMLAEGIRPNIVTYNTLMAAYASHGMSEEAFAVFEQIKHNGFRPDVVSFTSLLNAYGRSQQPDKAREVFVIMKRDNCKPNLVSYNALIDAYGSNGLLAEAVEVLRHMEQDGIHPNIVSICTLLAACGRCGQKVKIDAVLSAAELRGIKLNTVAYNSAIGSYMNFGEFEKAVALYKSMRKRNVMPDSVTYTVLISGYCKMSKYGEALGFLDDMVALKLPLTKEVYSSLICVYSKQGQVAEAESMFNMMKVASCCPDVVAYTAMLHAYNAAENWEKACALFLDMETNDVQPDSVACSALMRAFNKGGRPSKVLVLAEYMREKAIPLNDATFFEMVSACSMLRDWKTTMDLINLMEPLFPLVSIGLMNQLLHLVGKSGKIESMMKLFYKMIASGAAVNFNTYSILLKNLLAAGNWRKYIEVLQWMEDAGIQPSNGMFVDIVSFSQKGCGAEYADKIRERLESMRRSGDQNSSGMVASPLSTSPLIIGSEV >EOY09213 pep chromosome:Theobroma_cacao_20110822:5:27246464:27255038:-1 gene:TCM_024622 transcript:EOY09213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MTENGVGPDLVTHNIVLSAYKNGAQYSKALSYFELMKGTNIRPDTTTLNIVINCLVKLGQYGKAIDIFNSMREKRADCHPDIVTFTSIIHLYSVSGQMENCKAVFNTMLAEGIRPNIVTYNTLMAAYASHGMSEEAFAVFEQIKHNGFRPDVVSFTSLLNAYGRSQQPDKAREVFVIMKRDNCKPNLVSYNALIDAYGSNGLLAEAVEVLRHMEQDGIHPNIVSICTLLAACGRCGQKVKIDAVLSAAELRGIKLNTVAYNSAIGSYMNFGEFEKAVALYKSMRKRNVMPDSVTYTVLISGYCKMSKYGEALGFLDDMVALKLPLTKEVYSSLICVYSKQGQVAEAESMFNMMKVASCCPDVVAYTAMLHAYNAAENWEKACALFLDMETNDVQPDSVACSALMRAFNKGGRPSKVLVLAEYMREKAIPLNDATFFEMVSACSMLRDWKTTMDLINLMEPLFPLVSIGLMNQLLHLVGKSGKIESMMKLFYKMIASGAAVNFNTYSILLKNLLAAGNWRKYIEVLQWMEDAGIQPSNGMFVDIVSFSQKGCGAEYADKIRERLESMRRSGDQNSSGMVASPLSTSPLIIGSEV >EOY10632 pep chromosome:Theobroma_cacao_20110822:5:35636041:35638599:1 gene:TCM_025945 transcript:EOY10632 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein, putative MDTSLRSQVLVLVFLVLVLLSPSCYAGILKTCQFDAIYQLGDSISDTGNLIREDPLSPFGRLPYGQNFFKDATGRCSNGLLMIDFLALSTGIPFLEPYLNSDALLTRGHGVNFAVAGSTALPVEILAENNVLAPVTNTSLRRQLDWMFSYFNGICRDQEDCFKKLKTSLFMVGEIGGNDYNYALFQGKTFEEVRSMMPKVVQAIKDAVTRVVGYGATRVIVPGNFPIGCLPIYLTGFQSNHSAAYDGFHCLKGLNKLAIHHNNLLKRAIKELRKDLPNAIIVYGDYYNAFLQLLRKAELLGFDTKSTQKACCGIGGDYDYTLTRMCGAPGVPVCSDPDQYVSWDGVHLTQKAYKFMAGWLIRYIYPELQCRA >EOY08599 pep chromosome:Theobroma_cacao_20110822:5:13492687:13493804:1 gene:TCM_023371 transcript:EOY08599 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCHC-type integrase MELLKDYYCTILYHPGKANMVADALSQKLMGSLAHISMDKRSLIREMHSLGDMGVHLEVSEANALLVHFRVRPILMDRIKEAQRST >EOY09455 pep chromosome:Theobroma_cacao_20110822:5:29251335:29254893:1 gene:TCM_024872 transcript:EOY09455 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein MAEESSRNQSRNGNGKEEEEEDDYMGDLSQFLPPQPSNPSKLSSKKKKSKKLNWQEQRKLERERKQLEEDEKTLAKIDAPIPQSNIGFKLLKQMGYTPGSALGKEGSGRAEPVGLNIRRSRAGIGREDPLKEKRKREEIEFERKKKKEEALMAEFGSWQKSQWRNRRVVVNYKKAKAALDQLENKEVVVPKKNEDEEEGEQDEEEEEEVTEEDLQDILMKLRDEYQYCPFCGFQYESMEALLSDCPGTNEDDH >EOY08685 pep chromosome:Theobroma_cacao_20110822:5:17780960:17787352:1 gene:TCM_023723 transcript:EOY08685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKHAFSGVSLNLGRFMIERMRKACKLEKINLPYGNIITSLVRKKGIWSSRNKADKVKSRDQAIYLASLPKMGYKLDGETFVKTPKVAPRKKTSLPTHLEASSSQFSNEMLFNLFMRIDGKLTDQGVRMLKIEEKLTELENVLKEKEKPPSEPAAADTSATPSLAEG >EOY08335 pep chromosome:Theobroma_cacao_20110822:5:6639438:6641197:1 gene:TCM_022690 transcript:EOY08335 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 90 MEDMPPGFRFYPTEEELISFYLHHKLESEREDLSRLMDRVIPIVNIYEFNPWDLPQLSLYLCHKDPEQWFFFIPRQESEARGGRPKRLTTTGYWKATGSPGCVYSSTNRPIGVKRTMVFYNGRAPNGRKTEWKMIEYKAIVEAAASSNGATPTLRHEFSLCRVYKKSKCLRSFDRRPPAEGVQIRDPAADNQGQAAVGAAAACHQSPQMAERTISSPESSSSGDHGNPSQTGESSNSSAMAVDFNEPFWDLLDGF >EOY07884 pep chromosome:Theobroma_cacao_20110822:5:3137322:3142221:-1 gene:TCM_022204 transcript:EOY07884 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, CDC48 protein isoform 1 MSNQAESSDSKGTKRDFSTAILERKKAPNRLVVDEAINDDNSVVSLHPDTMEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPPEYCVVAPDTEIFCEGEPVRREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVSNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRYREGKEKKG >EOY07883 pep chromosome:Theobroma_cacao_20110822:5:3118482:3142119:-1 gene:TCM_022204 transcript:EOY07883 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, CDC48 protein isoform 1 MSNQAESSDSKGTKRDFSTAILERKKAPNRLVVDEAINDDNSVVSLHPDTMEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPPEYCVVAPDTEIFCEGEPVRREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVSNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPVAKEVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRRDNPEAMEEDVEDDVAEIKPAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFAETGSRAAASDPFAASAGGADEDDLYS >EOY08404 pep chromosome:Theobroma_cacao_20110822:5:7678048:7700897:1 gene:TCM_022803 transcript:EOY08404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon-like protein MFPLRWQIDHGHPPREAEVDVAGSLGRWGWIRLGRPTNATPLAWSEFSVAFLDRFLPLSVRNARAREFETLVQTSSMTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVEPLFRAVASRDFTTYSAAVDRAQRIEMRTNESRAARDRAKRGKTEGYQGRRDFSSGGSSSSRQGPQRDSRLPQQGSDAPGANIRVGQRTFNSRRQQDSRQSSQVIRSCDTCGRRHSGRCFLTTKTCYGCGQPGHIRRDCPMAHQSPDSARGSTQPASSAPSVAVSSGREVSGSRGRGAGTSSQGKPSGSGHQSSIGRGQARVFALTQQEAQTSNAVVSGILSVCNMNARVLFDPGATHSFISPCFASRLGRGRVRREEQLVVSTPLKEIFVAEWEYESCVVRVKDKDTSVNLVVLDTLDFDVILGMNWLSPCHASVDCYHKLVRFDFPGEPSFSIQGDRSNAPTNLISVISARRLLRQGCIGYLAVVKDSQAKIGDVTQVSVVKEFVDVFPEELPGLPPEREVEFCIDLIPDTRPISIPPYRMAPAELKELKDQLEDLLDKGFIRPSVSPWGAPVLFVKKKDGSLRLCIDYRQLNKVTVKNKYPLPRIDDLFDQLQGAQCFSKIDLRSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >EOY08474 pep chromosome:Theobroma_cacao_20110822:5:8897894:8903201:1 gene:TCM_022929 transcript:EOY08474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8e family protein MNHSEQRARPLWEPRKKNNFLAITGKKKLDDYLILSAPFMKRISLSPRFNIVESIRRRFTSLSLSVFLPQSKDQSFVAMPQGDYIELHRKRHGYRLDFFERKRKKEARQVHERSAKAQKALGIKGKMIAKKNYAEKALMKKTLAMHEESSNRRKVDDQVQDGAIPAYLMDRENTTRAKVLSNTIKQKRKEKAGKWEVPIPKVRPVAEDEMFKVLRSGKRKTKQWKRMVTKCTFVGPGFTRKPPKYERFIRPSGLRFTKAHVTHPELKCTFNLDIIGVKKNPNGPMYTSLGVMTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >EOY08297 pep chromosome:Theobroma_cacao_20110822:5:6181027:6189675:1 gene:TCM_022635 transcript:EOY08297 gene_biotype:protein_coding transcript_biotype:protein_coding description:125 kDa kinesin-related protein isoform 2 MSGRHEKEKGVNVQVLLRCRPFSEEELRNNAPQVVTCNEYVREVAVSQNIAGKHIDRVFTFDKVFGPSAQQKDLYEQAVVPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRAKTGPNGELPAEAGVIPRAVKQIFDTLESQNAEYSVKVTFLELYNEEITDLLAPEEISKVALEEKQKKQLPLMEDGKGGVLVRGLEEEIVTSASEIFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSTLIKDLYGEIERLKAEVYAAREKNGVYIPKERYYQEESERKAMADQIEQIGVLLETHQKQLEELQDKYVAQVQQCSDLSGKLETTEKNLNETSKLLANSEEELKKCHYVLREKEFIISEQKKAENALAHQACVLRSDLEKALKDNASLFLKIGREDKLNADNRVVVNNFQLELAQQIGSLCNLVASSVSRQSEHLQSVEKLCHSFMTIHDKAILDMKKKVTAARALHVSHMEAVQNVVRLHKASSNAALEEISTLAFSNVHSIEEFLLSEASKAASMFDDLQGTLATHQGEMALFARELRQRFHVSIEQTKDISDYTNGILDKLSEEALRVQNHAVQADELQMKSIVSFQKAYEEQSKSDAEKLIADMTNLVYSHVRRQKELVDERLVNIRESVVASKTFLDGHVSSMECITTDAKRKWQEFAMQAENEAKDSADYSAAKHCRMEALLQQCVSTAESAFKHCKHTQESVNEMGSKHVSDITSLIRNASDANEQHDAEVDSTRVAAEQDGLKNIEDTIYYIDSVSEQEQGITSGILDTVKAHGKSLETFQDDHSSQATSIRQRAEETFQQRYLDYEASGTTPTRSEQDVLSKGSIESLRAMPMEALVEEFRENNSYESFEPKELKASLIPRSPLSQIN >EOY08298 pep chromosome:Theobroma_cacao_20110822:5:6183547:6189572:1 gene:TCM_022635 transcript:EOY08298 gene_biotype:protein_coding transcript_biotype:protein_coding description:125 kDa kinesin-related protein isoform 2 ETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSTLIKDLYGEIERLKAEVYAAREKNGVYIPKERYYQEESERKAMADQIEQIGVLLETHQKQLEELQDKYVAQVQQCSDLSGKLETTEKNLNETSKLLANSEEELKKCHYVLREKEFIISEQKKAENALAHQACVLRSDLEKALKDNASLFLKIGREDKLNADNRVVVNNFQLELAQQIGSLCNLVASSVSRQSEHLQSVEKLCHSFMTIHDKAILDMKKKVTAARALHVSHMEAVQNVVRLHKASSNAALEEISTLAFSNVHSIEEFLLSEASKAASMFDDLQGTLATHQGEMALFARELRQRFHVSIEQTKDISDYTNGILDKLSEEALRVQNHAVQADELQMKSIVSFQKAYEEQSKSDAEKLIADMTNLVYSHVRRQKELVDERLVNIRESVVASKTFLDGHVSSMECITTDAKRKWQEFAMQAENEAKDSADYSAAKHCRMEALLQQCVSTAESAFKHCKHTQESVNEMGSKHVSDITSLIRNASDANEQHDAEVDSTRVAAEQDGLKNIEDTIYYIDSVSEQEQGITSGILDTVKAHGKSLETFQDDHSSQATSIRQRAEETFQQRYLDYEASGTTPTRSEQELILVALLPSYVLVQIIFVSVSLV >EOY07333 pep chromosome:Theobroma_cacao_20110822:5:1224831:1227050:-1 gene:TCM_021792 transcript:EOY07333 gene_biotype:protein_coding transcript_biotype:protein_coding description:High cyclic electron flow 1 MVAAAAATASSQLLLSSSRSLSRLSPIQLCVFDSKTLVSCPSNTIKRRHVAGGVRCMAVETASDAVTKKNGFEIQALTSWLLMQEQAGVIDAELTIVMSSISMACKQIASLVQRASISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLRSSGRTGIIASEEEDVPVAVEESFSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADISDDSTLDTTEQRCVVNVCQPGSNLLAAGYCMYSSSIIFVLTLGNGVFAFTLDPMYGEFVLTQENIQIPKAGKIYAFNEGNYQLWDDKLKKYIDDLKDPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDIQPVEIHQRVPLYIGSTEEVEKLEKYLA >EOY07554 pep chromosome:Theobroma_cacao_20110822:5:1963129:1966653:-1 gene:TCM_021961 transcript:EOY07554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock cognate protein 70-1 isoform 2 MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPVNTVFDAKRLIGRRFSDASVQSDIKLWPFKVISGPGDKPMIVVSYKGEEKQFAAEEISSMVLMKMREIAEAFLGFAVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTVKDEKIGAKLPPADKKKIEDAIEQAIQWLDSNQLAEADEFEDKMKELESICNPIIAKMYQGAGADMGGMDEDVPAGGNGAGPKIEEVD >EOY07555 pep chromosome:Theobroma_cacao_20110822:5:1964366:1966585:-1 gene:TCM_021961 transcript:EOY07555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock cognate protein 70-1 isoform 2 SFFIFFFVDTAKEEKVMAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPVNTVFDAKRLIGRRFSDASVQSDIKLWPFKVISGPGDKPMIVVSYKGEEKQFAAEEISSMVLMKMREIAEAFLGFAVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQ >EOY07825 pep chromosome:Theobroma_cacao_20110822:5:2871328:2872491:-1 gene:TCM_022148 transcript:EOY07825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin-like superfamily protein, putative MVHFSTILIIGTGINPARSFGATVMYKQDKPLNDHWIFCVRPFIGATFVAIYHQYILRAAAAKAIGSFRSSSAMCHTSLYHLALVFNVPGHPKGNDAGNDAEETSETKKPEETSAVDVKERLK >EOY10879 pep chromosome:Theobroma_cacao_20110822:5:36911239:36916745:1 gene:TCM_026172 transcript:EOY10879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin-12 MLFQVGGQGARPTFFEMAAAQQLPSSLRGALTYSIGVLALRRPFLHKVLDYEDEFFALLMLVLETHSLRTTDASFSESLYGLRRRAAKIRTKQADIRSKSSDGIQHSGLERRQRVLSVVFLVVLPYFKSKLHSIYNKEREARLQASLWGPGDGRFEDVDYFDEGEASIASRTSTETEGSMRTRLAKNIQKIIGACYPWVHATTEGLTFSYQLLYLLDATGFYSVGLHALGIHVCRATGQELMDTSSRISKIRSRERERLRGPPWLKAVQGTLLKCAYAVLDYAQTGLIAAVFIFKMMEWWYQSAEERMSAPTVYPPPPPPPPPKVAKDGIPLPPDRTICPLCSQKRANPSVVTVSGFVFCYACIHKYVSQYKRCPVTLMPADVDQIRRLFHDV >EOY10446 pep chromosome:Theobroma_cacao_20110822:5:34854318:34856324:1 gene:TCM_025808 transcript:EOY10446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFDSNGKVEDTMGIGFKIVPNLELKLGKKEAGMKSHICFPMGPAYVARAWSNQDKDLNAMDPALTVFALTPFLAQEAAF >EOY07035 pep chromosome:Theobroma_cacao_20110822:5:257269:258239:-1 gene:TCM_021570 transcript:EOY07035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMKEEESIQEYTDKLLKMVNQLKMLGHEVTDQKIVNKILVSILDNFESKVTSLEDYKDLTRILVKELISTLLAFE >EOY10972 pep chromosome:Theobroma_cacao_20110822:5:37298693:37301277:1 gene:TCM_026244 transcript:EOY10972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A 2A, IIA,PLA2A, putative MATDGKLITILSIDGGGVRGIIPRTILAFLESQLQKLDGDNARIADYFDFIAGTSTGGLVTAMLTSPNENNRPLFAAKDINKFYLQESPHIFPQESESPQQLVAMYNLSWYTTITKWVKSVWNKYSEPVYHSVEVFIRRIERAALRPKYDGFYLHKKIKEMLRDRRLSETLTNVIIPSFDIKLLQPIVFSTLKARHDDLENALLSDVCISTSAAPYFLPPYYFEINSSIGTKKFNMVDGGVAANNPTLLAISEVTREISSNREVPCLSNMDYGKLLVLSLGTGSSKSDEKLEVGDGQSWGLFSWFMGPNGTNPLFDVVLTATDDMVDIYLSVFFQGSSFKDNYLRIQIDSLKYTQAAADNSSQENLQNLEKIANELLKKPVAAVNLETGLYEPIEEAGTYEDALIKFAKRLTDERRHRQANCST >EOY08636 pep chromosome:Theobroma_cacao_20110822:5:14623999:14625179:-1 gene:TCM_023490 transcript:EOY08636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFGSQTHSYFFGPMPTMVASSPKVGFYWVFVLIGSLFPSCLLYCFLLRSWEET >EOY07385 pep chromosome:Theobroma_cacao_20110822:5:1409976:1411182:1 gene:TCM_021835 transcript:EOY07385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMATIVYAEGRQRPGAKGRPSISHFFFGAPEGGGRERERERGYFALVQSSELETDRHRERERGKKSVVHC >EOY08730 pep chromosome:Theobroma_cacao_20110822:5:18938261:18944279:1 gene:TCM_023830 transcript:EOY08730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTPPAKAYQPSLLWRHITKPLNLTSKYHDLVTAGFGHSLRNIYTICFWTNTWVGNSSLSIQFPRIFALAKDKKASIVDTGKWINNKWT >EOY10036 pep chromosome:Theobroma_cacao_20110822:5:32512749:32526662:-1 gene:TCM_025417 transcript:EOY10036 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMV resistance protein N MAVSMVHHQEFSLSDSQYTYDVLLSFRGTDTRKNFTDHLYMALVQARIHTFRDADEIGRGENIKNEIERAIYESKISIIVFSKIYASSTWCLNELVKIMKHRKFSKHIVLPIFYGVNPSQVKKQTGSFAEAFARHEESFKSEMDMVQRWRAALRDVADLEGMLLEDGLFDDVMPSDLSYLPSLKSLNLSRNPIHSFPESIIHLTKLDELLLTCCKELKWLPMLPTSDLHVIMSQLLYKISSLPCLLNLKRCVVFGCEKLTEVQGVFMLKPIENFEVEQIKSLFTTDSIRSTELQIYNYLTDTKMVFHDSSITSCFVSRSEVPILFEYRSQGFAISFSLPQNPSEKVSWLNLCIVYSLVSDEIFEFLPSVHIVNETKKLTCSYLSSFIGIPETNSNTILWLIHWPVMDYQLENGDLVSCKLSTFSLNIREFGVTCVSKTKVMYEDDTPQYSQENEDIWREIELKDEELLKLGSSENIKVQIYNDLEESTMIASPKFTCHSYDLSEDTCSRHANHAIGNKVSFVVARSSGQHIGYLKLVAILYAEEDNIFDFLSRIEIVNKTKDSKWIFYKHFIGIPKVKNNIYWFSLWRFMDELEDGDQVNCTVFSNLSVNRSTVDLEYEPDDDLLHKCNSGYQHLRGHTPIVDADMDFSFDMIGIKTVMIVPFIYVTYEDQIKRCGEKVKDQLRRLYEMFDEKVIRKMKNKSVKEKDKEKKLKLWL >EOY10199 pep chromosome:Theobroma_cacao_20110822:5:33432298:33436746:1 gene:TCM_025558 transcript:EOY10199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein MVFRDSLKETKMNVEGAEYESPVTAGLQGHVSLQPPMSFNHDLRVSQDDWHLLAYILLANKTNMKGVGQGLLDKEVRALTLGYIGLNGKKPLIVARSGENHDGQASDNSLLPGLNDDMALDILARSSRSDYPNLACLNKRFRSLIGSGYLYKLRCQLGVIEHWVYLACNMMPWEAFDPVTEKWMRLPRIPCDECFNYADKESLAVGTELLVFGRELSGFAIWMYSLITHDWSRCPLMNLPRCLFGSSSLGEIAIVAGGSDKYGNVLKSAELYNSELGTWQTLPDMNFPRKLCSGFFMDGKFYVIGGMSSHVDGLNCGEEYNIETRSWRTIENMYYGNNGGAFHPAMRSPPLVAVVNNQLYAADQATNEVKKYDKINNSWNVVKRLPVRADSSNGWGLAFKACGNSLLVIGAGGHGGRDDGVIILHSWDPERGNRDGPEWDVLAVKERAGAFVYNCAVMGC >EOY10348 pep chromosome:Theobroma_cacao_20110822:5:34302373:34303167:-1 gene:TCM_025722 transcript:EOY10348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSTIERLHLALREKATNNMGALSPSLARKSSFTNSKSCLQLSNVDYFHTEKREDKTTYVCNLFQGYLSSC >EOY11659 pep chromosome:Theobroma_cacao_20110822:5:39975293:39982015:-1 gene:TCM_026768 transcript:EOY11659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein [Source:Projected from Arabidopsis thaliana (AT5G26850) TAIR;Acc:AT5G26850] MAQYSYIFATLDEMVHATLDNYELDTHAGDDNERGEPHHNWVDEVVRCEGRGAIVARDASPSNMIIRPQPEKKDPSLLTREETETPKVWAQICIQRMVELAKESTTLRQILDPMFVYFDSRQHWVSQQGLAMVVLSDMSYWEASGDQQLILAAVIRHLDHKNVAHDPQLKSYIVQVAAALARQIRSRGVLAEIGFVSDLCRHLRKSFQANLESVGEQELDLNILLQNSIEDCLLEIAKGIDDAQTLFNMMAISLEKLPSSGVVARATIGSLMILAHMISLALVSSRLQQVFPEALLVQLMKAMLHPNVEARVGAHQIFSALLIPSSNRPRHEVASMRSGYVYEPRRWRSNNASAFSSISALLEKLRREKDGIKMEKNSYCSHDDLKGKDNVEEDWKQGHVLKSSPNIYSITSIIDRTAAPNMVEAEPYIMKLTEDQIMQLLSAFWIQATLPDNLPSNIEAISHSFVLTLISLRLKNINDSLVVRFFQLPLSLKNISLDPSNGMLTPALQRSIFMLSMGMLMFVAKIHQIPDLNDLIKSIVPFDADPYLGISEDLQVFLRPQADVRGYGSVTDNQLASSLLMELRDKLDESNKVMMDILVQNLSTVTELEIDDLTKQLFEPFTPDDAFMFGPRSILDLDHDEMISQSKESLSFDEDVQTSSLLEDDARSEASVLDLSRFIPKVPASPSISHVISIGQLLESALEVAGQVAATSVSTSPLPFDTMASRCEAFGTGTRKKLSN >EOY11658 pep chromosome:Theobroma_cacao_20110822:5:39974570:39981941:-1 gene:TCM_026768 transcript:EOY11658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein [Source:Projected from Arabidopsis thaliana (AT5G26850) TAIR;Acc:AT5G26850] MGFISRKIFPACGSMCVCCPALRSRSRQPVKRYKKLLSEIFPKSPDAPPNERKIAKLCEYAAKNPFRIPKIAKYLEERCYKELRHEHIKFINIVTEAYHKLLCMCKEQMAYFAVNLLNVVGELLDNSKQDAMRILGCQTLTKFIYSQADGTYTHNIEKFVPKVCKLSREDGEEHQRRCLRASSLQCLSAMVWFMAQYSYIFATLDEMVHATLDNYELDTHAGDDNERGEPHHNWVDEVVRCEGRGAIVARDASPSNMIIRPQPEKKDPSLLTREETETPKVWAQICIQRMVELAKESTTLRQILDPMFVYFDSRQHWVSQQGLAMVVLSDMSYWEASGDQQLILAAVIRHLDHKNVAHDPQLKSYIVQVAAALARQIRSRGVLAEIGFVSDLCRHLRKSFQANLESVGEQELDLNILLQNSIEDCLLEIAKGIDDAQTLFNMMAISLEKLPSSGVVARATIGSLMILAHMISLALVSSRLQQVFPEALLVQLMKAMLHPNVEARVGAHQIFSALLIPSSNRPRHEVASMRSGYVYEPRRWRSNNASAFSSISALLEKLRREKDGIKMEKNSYCSHDDLKGKDNVEEDWKQGHVLKSSPNIYSITSIIDRTAAPNMVEAEPYIMKLTEDQIMQLLSAFWIQATLPDNLPSNIEAISHSFVLTLISLRLKNINDSLVVRFFQLPLSLKNISLDPSNGMLTPALQRSIFMLSMGMLMFVAKIHQIPDLNDLIKSIVPFDADPYLGISEDLQVFLRPQADVRGYGSVTDNQLASSLLMELRDKLDESNKVMMDILVQNLSTVTELEIDDLTKQLFEPFTPDDAFMFGPRSILDLDHDEMISQSKESLSFDEDVQTSSLLEDDARSEASVLDLSRFIPKVPASPSISHVISIGQLLESALEVAGQVAATSVSTSPLPFDTMASRCEAFGTGTRKKLSNWLAHENHQNGAADKFLPAVLADDRHMTLRKITSEGAFNGPVSRLDPCLAMRLPPASPFDNFLKAAGC >EOY11657 pep chromosome:Theobroma_cacao_20110822:5:39973589:39981998:-1 gene:TCM_026768 transcript:EOY11657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein [Source:Projected from Arabidopsis thaliana (AT5G26850) TAIR;Acc:AT5G26850] MGFISRKIFPACGSMCVCCPALRSRSRQPVKRYKKLLSEIFPKSPDAPPNERKIAKLCEYAAKNPFRIPKIAKYLEERCYKELRHEHIKFINIVTEAYHKLLCMCKEQMAYFAVNLLNVVGELLDNSKQDAMRILGCQTLTKFIYSQADGTYTHNIEKFVPKVCKLSREDGEEHQRRCLRASSLQCLSAMVWFMAQYSYIFATLDEMVHATLDNYELDTHAGDDNERGEPHHNWVDEVVRCEGRGAIVARDASPSNMIIRPQPEKKDPSLLTREETETPKVWAQICIQRMVELAKESTTLRQILDPMFVYFDSRQHWVSQQGLAMVVLSDMSYWEASGDQQLILAAVIRHLDHKNVAHDPQLKSYIVQVAAALARQIRSRGVLAEIGFVSDLCRHLRKSFQANLESVGEQELDLNILLQNSIEDCLLEIAKGIDDAQTLFNMMAISLEKLPSSGVVARATIGSLMILAHMISLALVSSRLQQVFPEALLVQLMKAMLHPNVEARVGAHQIFSALLIPSSNRPRHEVASMRSGYVYEPRRWRSNNASAFSSISALLEKLRREKDGIKMEKNSYCSHDDLKGKDNVEEDWKQGHVLKSSPNIYSITSIIDRTAAPNMVEAEPYIMKLTEDQIMQLLSAFWIQATLPDNLPSNIEAISHSFVLTLISLRLKNINDSLVVRFFQLPLSLKNISLDPSNGMLTPALQRSIFMLSMGMLMFVAKIHQIPDLNDLIKSIVPFDADPYLGISEDLQVFLRPQADVRGYGSVTDNQLASSLLMELRDKLDESNKVMMDILVQNLSTVTELEIDDLTKQLFEPFTPDDAFMFGPRSILDLDHDEMISQSKESLSFDEDVQTSSLLEDDARSEASVLDLSRFIPKVPASPSISHVISIGQLLESALEVAGQVAATSVSTSPLPFDTMASRCEAFGTGTRKKLSNWLAHENHQNGAADKFLPAVLADDRHMTLRKITSEGAFNGPVSRLDPCLAMRLPPASPFDNFLKAAGVAHIDIAELDEIILQIVFGG >EOY11675 pep chromosome:Theobroma_cacao_20110822:5:40011510:40013728:-1 gene:TCM_026775 transcript:EOY11675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, putative MQHTAPCMIMLLILSLRTSMADDCSAGNGGSQFASTIVVDKSGKGNFNSIQSAIDSIPSNNAQWIKVRINPGVYTEKVVIPIDKPCIVLEGQDRSVTTITFYAHDRTDRSCTFTSFADNIVAKGITFKNSYNHLLLLERLSSERPIPGVSQAVAARILGDKSAFFQCGFLGLQDTLWDAIGRHYFYQCHIEGAVDFIFGYGQSLYEDCSINVTAGAFSSQIPLGYITAQGRQSSNDPSGFVFKRGEIFGNTQPYLGRAYGPYSRVIFQETTMNAEVVSEGWDAWRYPGKEENFMYAEVNCQGAGSDTSRRVPWEKKLNPSQLNQFSRSSFIDNDGWIGRLP >EOY11508 pep chromosome:Theobroma_cacao_20110822:5:39474183:39477741:1 gene:TCM_026658 transcript:EOY11508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heparan-alpha-glucosaminide N-acetyltransferase MAEIKAEPAQRHTLAIPMADDSAQKPNKTQRVASLDIFRGLTVALMILVDDAGGEWPVIGHAPWHGCNLADFVMPFFLFIVGMAIPLALKRIPGKGKAIQKVGFRTLKLLFWGLLLQGGYSHAPDKLTYGVDMKMIRFCGILQRIAFAYLVVALAEIFLKDAQPKDVSAGHFSVFRLYCWHWLVGACILIMYLALLYGTYVPDWQFTVQNKDSADYGKVFTVACNVRGKLDPPCNAVGYIDREVLGINHMYQRPAWRRSRACTVNSPYEGPFKDAAPSWCHAPFEPEGILSSISAVLSTIIGVHFGHVLVHLKGHSERLRQWIMMGIALLILGIVLHFTAIPLNKQLYTFSYVCVTSGAAALVFSAIYILVDIWDLKLVFLPLKWIGMNAMLVYVMAAEGIFAGFINGWYYQDPHNTLVYWIQKHIFIGVWHSRRVGILLYVIFAEILFWAIIAGILHRSGIYWKL >EOY06992 pep chromosome:Theobroma_cacao_20110822:5:127063:130866:1 gene:TCM_021542 transcript:EOY06992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast outer envelope protein 37 isoform 1 MVEPSPPNPVYMAPAVTPPGAGDGDPLFLPPSPPSPSPKRPPWVRVTSEFDSGSLIFFHKVSCKVFDNLAKLKLSFNNNTKREISHPHLALTSKHLSVHYNVEDQEALIKTSFHVAPRLHFKTAHFLKAQQSEVTMVANLADPGYALELSSPVPYVGLPRATFKFPIGEVSLEGREDEEVKRTFAVNGIIKGQVLNGVCAANYKDEELKLRYTYKDEALSFIPSISLPSNALSFSFKRRFSPSDKLSYWYNFDSNYWSLVYKHKYGKDFKFKAGYDSEVRAGWASLWIGYGTLLPSQQVGEEGGRAKTAPMKLKVQFTLQVPQDDIKSSALMFRVKKRWDI >EOY06993 pep chromosome:Theobroma_cacao_20110822:5:127063:130866:1 gene:TCM_021542 transcript:EOY06993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast outer envelope protein 37 isoform 1 MVEPSPPNPVYMAPAVTPPGAGDGDPLFLPPSPPSPSPKRPPWVRVTSEFDSGSLIFFHKVSCKVFDNLAKLKLSFNNNTKREISHPHLALTSKHLSVHYNVEDQEALIKTSFHVAPRLHFKTAHFLKAQQSEVTMVANLADPGYALELSSPVPYVGLPRATFKFPIGEVSLEGREDEEVKRTFAVNGIIKGQVLNGVCAANYKDEELKLRYTYKDEALSFIPSISLPSNALSFSFKRRFSPSDKLSYWYNFDSNYWSLVYKHKYGKDFKFKAGYDSEVRAGWASLWVGEEGGRAKTAPMKLKVQFTLQVPQDDIKSSALMFRVKKRWDI >EOY10808 pep chromosome:Theobroma_cacao_20110822:5:36641796:36643988:1 gene:TCM_026120 transcript:EOY10808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I subunit E-2-like protein MATCNIACAASGFVLKSSLAPKPSSTPRNTVAFSSKHGNNISRRLVVRAAEEGPPPPPPPPPTPPEASTTTTTTAPAEGEAKPAPKAAKPPPIGPKRGAKVSNPFTFLPIQEICLYIPEPGTWSQVKILRRESYWYNTVGSVVTVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEIQEVD >EOY08454 pep chromosome:Theobroma_cacao_20110822:5:8750964:8758414:-1 gene:TCM_022913 transcript:EOY08454 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MPPKTRAASRRAGEQDAPIEMADRPRASTQRGRGRRGRVTRSVGLDTPVSRQEEGQSSGDVDRHPARGITIEDLAAGLQGVNRVVEMMVTRMEDIQRVVEGRPTVQESHSSQGQADHQHHEEDRGHLDISLPDFSSLSLQHFQGLMHRRNPRFSWIRWRKFVKPWDVLVFDFKLTVSEYDIKFTQLACYAPYLVSTEEMKIQRFVDGLVEPLFRAVASQDFTTYSAAVDRAQRIEMRTSESRAARDRAKRGKIEGYQGRRDFSSGGSSSSRQGPQRDSRFPQQGSDAPGANIRVGQRTFSSRRQQDSRQSSQVIRSCDTCGRRHSGRCFLTTKTCYGCGQPGHIRRDCPMAHQSPDSARGSTQPASSAPSVAVSSGQEVSGSRGRGAGTSSQGRPSGSGHQSSIGRGQARVFALTQQEAQTSNAVVSSILSVCNMNARVLFDPGATHSFISPCFASRLGRGRVRREEQLVVSTPLKEIFVAEWEYESCVVRVKDKDTSVNLVVLDTLDFDVILGMNWLSPCHASVDCYHKLVRFDFPGEPSFSIQGDRSNAPTNLISVISARRLLRQGCIGYLAVVKDSQAKIGDVTQVSVVKEFMDVFPDELPGLPPEREVEFCIDLIPDTRPISIPPYRMAPAELKELKDQLEDLLDKGFIRPSLNKVTVKNKYPLPRIDDLFDQLQGAQCFSKIDLRSGYHQLRIRNEDIPKTAFRTRYGHYEFLVMSFGLTNAPVAFMDLMNRVFKPYLDNVAFLGHVVSKEGIQVDTKKIEVVEKWPRPTSVTEIRSFVGLAGYYRRFVKDFSKIVAPLTKLTRKDTKFEWSDACENSFEKLKACLTTAPVLSLSQLKRHEQNYPIHDLEMAAIVFALKIWRHYLYGETCEIYTDHKSLKYIFQQRDLNLRQRRWMELLKDYDCTILYHPGKASVVADALGQKSMGSLAHISICRRSLVREIHSLGDMGVRLEVAETNALLAHFRVRPILMDRIKEAQSKDEFVIKALEDPRGRKGKMFTKGTDGVLRYGTRLYVPDGDGLRREILEEAHMVAYVVHPGATKMYQDLKEVYWWEELKRDVAEFVSKCLVCQQVKAEHQKPAGLLQPLPVPEWKWEHIAMDFVTGLPRTSGGYDSIWIVVDRLTKSAHFLPVKTTYGAAQYARVYVDEIVRQHGIPISIVFDRGAQFTGRFWGKLQEALGTKLDFSTAFHPQTDGQSERTIQTLEDMLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWLEVGERKLLGPELVQDATEKTHMIRQTMLTAQSRQKSYADNRRRDLEFQVGDHVFLKVSPTKGKYNPDPSHVIRYETIQLQDDLSYEEQPVAILDRQVKKLRSKDVALVKVLWRNHTSEEVTWEAEDEMRTKHPHLFDM >EOY09910 pep chromosome:Theobroma_cacao_20110822:5:31634255:31642263:-1 gene:TCM_025277 transcript:EOY09910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic ABC protein 11 isoform 3 MASTVFLSSSLGLFTSATSSKNCDLGTKRSKCCWFNKKRKEQKNVICACVAPPRNLGRDGFSGSKLTESSKSENLSKEVKNENGSDVLIECRNVYKSFGEKHILQGVSFKIRHGEAVGIIGPSGTGKSTILKIIAGLLAPDKGEVYVRGRKRSGLISDDELSGLRIGLVFQSAALFDSLTVRENVGFLLYEHSGMPDEQISKLVTENLAAVGLKGVEDRLPSELSGGMKKRVALARSIICDITKESIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHTKGEDALGKPGKIASYVVVTHQHSTIRRAVDRLLFLHEGKVVWQGMTDEFTTSTNPIVQQFASGSLDGPIRY >EOY09909 pep chromosome:Theobroma_cacao_20110822:5:31634320:31642098:-1 gene:TCM_025277 transcript:EOY09909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic ABC protein 11 isoform 3 MASTVFLSSSLGLFTSATSSKNCDLGTKRSKCCWFNKKRKEQKNVICACVAPPRNLGRDGFSGSKLTVDLKNCAVQLSGLCLIANSVFAYESSKSENLSKEVKNENGSDVLIECRNVYKSFGEKHILQGVSFKTWLTLLGRQLMTPLGFRWQFSAELIDSFDWCSSRCALVVLILDVLQSWHGEAVGIIGPSGTGKSTILKIIAGLLAPDKGEVYVRGRKRSGLISDDELSGLRIGLVFQSAALFDSLTVRENVGFLLYEHSGMPDEQISKLVTENLAAVGLKGVEDRLPSELSGGMKKRVALARSIICDITKESIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHTKGEDALGKPGKIASYVVVTHQHSTIRRAVDRLLFLHEGKVVWQGMTDEFTTSTNPIVQQGTLMHTDTCISKVCMSAHAHREFLYS >EOY09911 pep chromosome:Theobroma_cacao_20110822:5:31634829:31642257:-1 gene:TCM_025277 transcript:EOY09911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic ABC protein 11 isoform 3 MASTVFLSSSLGLFTSATSSKNCDLGTKRSKCCWFNKKRKEQKNVICACVAPPRNLGRDGFSGSKLTESSKSENLSKEVKNENGSDVLIECRNVYKSFGEKHILQGVSFKIRHGEAVGIIGPSGTGKSTILKIIAGLLAPDKGEVYVRGRKRSGLISDDELSGLRIGLVFQSAALFDSLTVRENVGFLLYEHSGMPDEQISKLVTENLAAVGLKGVEDRLPSELSGGMKKRVALARSIICDITKESIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHTKGEDALGKPGKIASYVVVTHQHSTIRRAVDRFSEIMMLYCFC >EOY09985 pep chromosome:Theobroma_cacao_20110822:5:32085632:32088661:1 gene:TCM_025354 transcript:EOY09985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYFEHEKSKAKFRSVTEVIKFILHGAYPKNKQNSKQGKLEERSAVIMGVFTYESEVVTAIPPAKMFKACILDGDKLIPKIVPQAFKNVEYIEGNGEPGSIKKVTFGEGSQFNYMIEKVEALDKDNFVYSYSVIEGDALMKTLEKITYETKLEPSPAGGSICKTTSKYYTIGDFEIKEEGIKAGKEKALGIFKAVEAYLLANPDAY >EOY08811 pep chromosome:Theobroma_cacao_20110822:5:21265489:21285698:-1 gene:TCM_024019 transcript:EOY08811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGKGLLPFLFNWAMPILSLLGHVHLYAYIYFSFLFSSFLFFFFSFFSFSLSLPFLSSRPAASCLSFFFVSHVLVLEVFIPLAWVSILRKQKPFG >EOY08703 pep chromosome:Theobroma_cacao_20110822:5:18341858:18345170:-1 gene:TCM_023776 transcript:EOY08703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHSRCNKKLLGVLTLSNFMVDHGSFNTKGLNAQSILAFNSCTLTQGHSAGRQKSFTKKDEMLQYKLEPIPFLEELVRKIKEGKKLLTMDIKRLLPFETNRIDFVNGVAAEAKEYFGSNQDEYGGMYFPCGKAWATHYILEKKHFFYLH >EOY10327 pep chromosome:Theobroma_cacao_20110822:5:34208341:34209177:1 gene:TCM_025701 transcript:EOY10327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRQATAWSLRDNQKQGDPKRGTADSVDRVRFVGGENVGDEGAMIQGKEPDGEEDNDGQEKKTDRTQQLSNGFRYGFTLVTQQERS >EOY09706 pep chromosome:Theobroma_cacao_20110822:5:30659778:30666780:1 gene:TCM_025101 transcript:EOY09706 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative MDKSGSGCGSKKENTDKGRSLIDLVFSWSFGDALNESLYKGQVKKIPETFKSSSEYLHSFVAPLIEETHADLLSGMKRVSQAPSRQLDSVTRDKRYKPPKDLIYKIVLKRDSKKSDLATYQPQSGDLVTLTDVRPKCTSDLNRSKMSYLLAYVQGVKEDPDELFIRSSKPIMIEEDMQRKENISQQKPTFFFVFLINMTTNIRIWKALHPDPKGGNLNMINKVVQMNGADEEDCPMCLSEKKSGTVLPFNSKGLNDSQEAAIISCINTQACHHQNTVKLVWGPPGTGKTRTVGSLLFALLRMKCGAITCAPTNIAVVEVASRLMSLVKGTLKYDTYGFGDIVLFGNGKRMKIDDHEDLLDVFLDYRVEILDKCFSPYCGWRTSLVSMIDFLEDPERQYSQYLANRELENQKTEEENCDENLKGKDSTNHKLEGKNCDVNLKNKKSKNSWRKVINETLKQKETKKKHVASKTENRLKPDEKQGTHGAFLEKKNAQEAGAETCKEDPITLQEFIKKRFCVFYERLKFCVVNLYTHLPTHLVSLELVKTMMIALDLLGSLETLLNRPKSDKGLKIALNDTETESEIGHFAKLRVARKHCLQRLKSLPLSFPVPEFSEKIIIKNFCLDNACLLFCTASSSFKLNPKRTVPLELLVIDEAAQLRECESTIPFQLPGLRHAVLIGDEHQLPAMTQSKSSGQAEFARSLFERLVLLGQKKQLLNVQYRMHPAISSFPNKEFYDGKILDAPNVKDRSHEKHFLHGSMYGTYSFINVTCGKEQFDHLHSRKNMVEVAVVCKLVANLFKEFTGTRQRVCIGVISPYKAQVHAIQEKLENKYSECADSGFTVSVRSVDGFQGGEEDVIIISTVRCNINGSIGFLSNHQRANVALTRARHCLWILGNEATFIKSGSVWKKLVTDARRRGCFYDADEDKHLAQAITTALFELKQFDSLMSMDSPLFKEAKWRVCLSNDFKKSVASIKNPELLKQILNLLEKLSSGWRQTPEQKNHRKNKQIAVGGSSGLLEVYPVNGSLNLLWSVDVIKENSHFIQILTVWDMLPSLDLPKVAKNLEALFGKYTVNKMIHCKCKCLEGNLVVPMRWPMKDCLMQSVGREDDIMSRSFASLSLANKSSASHADSKVRSRGKWRLKQLDCSQNRDQ >EOY10952 pep chromosome:Theobroma_cacao_20110822:5:37185084:37186811:-1 gene:TCM_026218 transcript:EOY10952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein with PDZ domain, putative MLGVHHPYLGIEFTNLYAVDLATSEIIIQQFPHISNGVMVEKVAAESPAAHAGLLPSDVNIEFRGNVIRSSPELFGMVWDKTGESLEILVMRAYLGLCLTLIFVVHEVEQDYYNS >EOY08333 pep chromosome:Theobroma_cacao_20110822:5:6521451:6525024:1 gene:TCM_022680 transcript:EOY08333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSGVCIKGSFYNDYERDFYGILVYIIELEYFRIRNRVVLFKCHWFDIEKGIKVDPLHGLVKIKCNSILASNEPFVLVAQAHQVYYSSYPSRKRDQRDWWAVFKTKARSRYNIPSSGDGENEIDLNEEVYQEDVSISMNATPSEELDNLTVLASGDYEEVNLLIDDEDNDMQRDEDEEDDMEGDENEDDDEEEDELEDDASETLSDDSDNNEEHEFDYSESE >EOY08332 pep chromosome:Theobroma_cacao_20110822:5:6467630:6469796:1 gene:TCM_022676 transcript:EOY08332 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 90 MEDMPPGFRFYPTEEELVSFYLHNKLEGKREDLNRLMDRVIPVVDIYDFNPWDLPHFSVYLCHKDPEQWFFFIPRQESEARGGRPKRLTTSGYWKATGSPGYVYSSNSRPIGVKRTMVFYNGRAPSGKKTEWKMNEYKAIEGEASSPNAAPPTLRQEFSLCRVYKKSKCLRSFDRRPPPGVKIGEAVPRHGNGFGVGKSDHQGTEIADRTTIASSPESSSSGDNHHANRPNQTRESNSSRMVVDDECSFWDLDGFWDPVN >EOY09249 pep chromosome:Theobroma_cacao_20110822:5:27554026:27588450:-1 gene:TCM_024657 transcript:EOY09249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein, putative MPGGSLLVPTSELACGDAIENKEEQCDDEDSLDLDGTDDADSVPPPQQASTFNSRESLQEPRTDKREYRRIVLGNSLQVLLISDPDTDKSAACMYVSIGHLSDPDGCEGLAHLLMRVLLLAYASEKYPGKDSYCQYIVEHGGYTEGILYPNQTDYHFNINNDCFEEALERFSQIFIKPLMSTEAAMREIKTVESASKPSQLGKSSLS >EOY07429 pep chromosome:Theobroma_cacao_20110822:5:1537191:1538562:-1 gene:TCM_021870 transcript:EOY07429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGLIPFVYRAIVQHKNGREGVLATWFSESPSASYMRLPTGDSGRFQVSDKQVFQSDYGDFSASSPSSMAASSTTQIILSTGVQSPVCRLTSRRVVA >EOY07476 pep chromosome:Theobroma_cacao_20110822:5:1703584:1706552:1 gene:TCM_046799 transcript:EOY07476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 17 MSSVLLTSSAFIRVFLLALCAVSLLPDLAVAKHAGITRHYNFDIRMQNVTRLCQTKSIVTVNGQFPGPRIIAREGDRLLIKVVNHVQYNVTLHWHGIRQLRTGWADGPAYITQCPIQTGQSYVYNFTITGQRGTLFWHAHISWLRATLYGPIVILPKRHASYPFPQPFKEVPIIFGEWWKADTETIINQAMATGGAPNISDAFTINGLPGPSYNCSAKETFKLKVKPGKTYLLRLINAALNDELFFRIANHTVTVVEADAVYVKPFKTDIVLITPGQTTNVLLKAKSKTSGANFALSARPYATGPATFDNTTTIGILEYEKSASASNSNNKKLPLLKAKLPEFNDSTYAMKFNQKIRSLATAKFPAKVPKNVDRRFFFTVGLGILPCSQKQTCQGPNNTRPAAAVNNVSFVQPNIALLQAHFFNRSKGVYTTNFPANPPFKFNYIGTPPKNIMLDSGTKVMVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVLGQGVGNFDSKKDPAKFNLVDPAERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWVVNDGKGRKQKLLPPPADIPKC >EOY09897 pep chromosome:Theobroma_cacao_20110822:5:31572677:31573725:1 gene:TCM_025264 transcript:EOY09897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSAYGVTLFLLFLLFSAYVFNYNEATSMAQVNGSSEMVPLVEEKMMKMMMFNESRRKLGSFQICAVCTCCGGAKGLCLPSPCCYAINCNIPNRPFGFCSFTPKTCNCFGCHL >EOY11580 pep chromosome:Theobroma_cacao_20110822:5:39757533:39761454:1 gene:TCM_026720 transcript:EOY11580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHFVLICHGSDMMISLLFWNLLGDDACVFRLRLSGGSACLPGIEVKRKLMFNGLRGAEGDTNSKQVRCFPCIFMEHVMAGSSNPALVIQTATRVGSILLNDAMPYANQNLSVLSSARTPDIWVPQDVLPAPLSYAAYFCNN >EOY10368 pep chromosome:Theobroma_cacao_20110822:5:34387963:34389152:1 gene:TCM_025739 transcript:EOY10368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MTGVCGQADVWGDGVVPEVSAHLEGALNISLDGVYHSPVGSDDVSTPWYGSPAVVEQWIHHLLA >EOY07039 pep chromosome:Theobroma_cacao_20110822:5:272603:273223:-1 gene:TCM_021575 transcript:EOY07039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASTIDKIIIKITPDNKLKMKIKVGKQSRELIMQLLSTSNTRQVPCLFSKIFLIRYGTRTHIFLLKLASLAYRLQNMGRYIVYITNDLSDLSA >EOY09589 pep chromosome:Theobroma_cacao_20110822:5:30007332:30008297:-1 gene:TCM_025002 transcript:EOY09589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSEHIDACLSLLCKRMTGPKSKLYTTRACMVDTIFFDTIRMLHTEFSIEDARAKMQISDELRGYVEGERPTYAKKGEDVDFILAPCNVGGHWVVAKIDLVRWTIKVVDSAITLDAKDNGVRAGQMTPLTTMMPFICHQAGYFNNIRRKRQDLTSMSLDIHLPKAKVHRQNDSVSCGMFMIGYIEHILQSENIRIK >EOY08947 pep chromosome:Theobroma_cacao_20110822:5:23765182:23767556:1 gene:TCM_024242 transcript:EOY08947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLERQESFFKFITLYPLLHFLGFVFFSFWLVMMRKCLAHPQFCSPMERCFTLQGGWLYGAGMNTVG >EOY10967 pep chromosome:Theobroma_cacao_20110822:5:37263422:37264201:1 gene:TCM_026237 transcript:EOY10967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTFQSFGAGCLMGFVLSSCCTTSSGDSRNANRRNLRGFHHRVGSSTSQEIPMTRCCRRCSLVSFPLGDFMTNLMTAAP >EOY07544 pep chromosome:Theobroma_cacao_20110822:5:1920510:1923904:-1 gene:TCM_021951 transcript:EOY07544 gene_biotype:protein_coding transcript_biotype:protein_coding description:XB3 in, putative MGQKLSCGENHETSLFSAVQTGDLEMVQAMVEAEPNTLKRTTRYGRSSILHVAAVYGQIEVLSFLLDRCPNSDILNRHRQTPLMLAAMHGKTDCVKMLIQRGAYVLMFDSLQGRTCLHYVAYYGHFDCLQALLSAAHSSPLADSWGFARFVNIRDENGATPLHLAARQGWSNCVHALLDNGALVCASTGGNGYPGSTPLHFAASGGSIECIRKLLAWGADRLQPDSYGRIPYLIALKHKHEACAALLDPASAEPLVWPLPLRFISDLNPEAKELLEKALMEANREREKAILQDTIHALPCASDSEVEADHDTTSEASDVDVCCICFDQLCTIEIRQCGHRMCAHCTLALCCHKKPNPLTSSPVVPICPFCRRGITQLAVAKINNNEAEAAEASPPLRLSCGNITNNDTGPEFSPSKPIKSRKSNFSEGSSSLKGLSAISSFGKMGSRGRKSAS >EOY10559 pep chromosome:Theobroma_cacao_20110822:5:35347545:35350300:1 gene:TCM_025893 transcript:EOY10559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein MEPVKEAILPGLPDDLALRCLAKLSHGYDGVLEAVSKRWRDLIRSSEYANYKANQGWLGDWLFVVTERSNQWVAYDRTADIWHPLPKIPTEYDGLQHFGMSSVCVGKRLLVIGGSYAPRDTAYPRQPPMITNDVLQFDPFKKQWARLTSMQTPRSHFACCVMSGKVYVAGGRNTAHPRGLALAEVYDPISDRWEELPPMLNPQMDCLGISYKGKFHVLSDQIGLAEQTPSEIFDPSNETWCTVDDIWPFSRAMQFAVQVMGNDQVYTVVDWGESLIKARDPVRGEWITVGAVPPVVLPDHFRELEAFGYGFAALRNELYVLGGKVLKWEEVGAGRFDVVKLAGVRVCDPSQRPLNWREIRPMCRPAGGSVLGCTSLEEENSS >EOY11443 pep chromosome:Theobroma_cacao_20110822:5:39285361:39295853:1 gene:TCM_026616 transcript:EOY11443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSSFTRRTQTKDEGPLLKNGSMLLEKLIASCNGKCNPIRTFSAEELSKATNVFYNCCLNAPQN >EOY10658 pep chromosome:Theobroma_cacao_20110822:5:35777473:35782900:-1 gene:TCM_025970 transcript:EOY10658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger MVAPQLAAVVSKLQTLSTSDHASVVSLNIFVALLCTCIVIGHLLEENRWMNESITALVIGLCTGVIILLTSGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFITIMLFGAVGTLISCTVISLGVIQFFKEMDIGSLDIGDFLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLTNINPRIALEFIGSFFYLFLASTFLGVIVGLVSAYIIKTLYFGRHSTDREFALMMLMAYLSYIMAELFYLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVAETFIFLYVGMDALDIEKWRFVSDSPGTSVAVSSVLLALVMAGRAAFVFPLSFLSNLARKSASEKISFRQQIIIWWAGLMRGAVSMALAYNQFTRLGHTQLRANAIMITSTITIVLFSTVVLLHINAPNMFGSCCLIPKYTSMLSDPSTPKSVTVPLLGSGQDSFEDGLGGIPRPSSIRALLTTPTHTVHHYWRKFDDAFMRPVFGGRGFVPFVPGSPTERSEHNQPQWQ >EOY09759 pep chromosome:Theobroma_cacao_20110822:5:30928553:30933611:1 gene:TCM_025143 transcript:EOY09759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome kinase substrate 4, putative MDQPRVMTTVNGGGAGSRQHALELKSSLSYVSFPHRKSTPGVDVSADEDTEISIFDAQRYFNESNNDARVCKRVSPLNLPNLDRISSDGCDLSALSRFSSASSATDGYGFGRTYRVRSFHATPTASSEASWNSQTGLLSNPPCAIAVSMTMKNPTIDGKKKESATGTGTIKWLWGRRCPCSSKKSVQVEPKTSLSLNQKLKLEDQKSLGTKSSSWGDKREEILLACNPQRISLENQFHSSSLGQRVVASATARPLMISNGSGTAGFTFPVLNQQPISSHVKMAVNRNNTNSLEVDDEDTARDSLEVFRPSEESSSISVSKKLASRTTITDDDVGSDTSSDLFEIESFSTTTQGQTTPYAMYHLRDALDEASSSFNARRSIAGPTNGSGFGCQYSPVMTECYEPSEASIDWSVTTAEGFERGSVGVSEAEEVSIAAHGNGGKKKSGNGLLSCRCEKAVSVGPNPVKYVPPQGQATTTSKHVGNVNKPPLARLSLPFAA >EOY11669 pep chromosome:Theobroma_cacao_20110822:5:40000168:40003418:-1 gene:TCM_026772 transcript:EOY11669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein, putative isoform 3 MSSFDPLFLSSACFIIVKHCVEVELKLSQRRQRPLKERAKKRIHHTHKKMGSLGAETAKKKAMWLYPKVSGFNPSERWGHSACYSNGVVYVFGGCCGGLHFGDVLMLNLNTMVWNTLVTVGQGPGPRDSHSAVLVGTKMMVFGGTNGSKKVNDLHVLDLASKEWIRPQCNGAAPSPRESHTATLIGEDKVVIFGGSGEGEANYLNDLYVLDLRTMRWTSPQVRGHIPVPRDSHSAVAIGNKLVVYGGDCGDRYHGDVDVFDMDTSTWSRLAVQGSLPGVRAGHAAVNIGTKVLIIGGVGDKHYYNDVWVLDVNACSWAQLDICGQQPQGRFSHTAVFSESDIAIYGGCGEDERPINELLVLQLGAQHPNGRYNISMCKTFGSHWNQEKRRFLRVAPNNLKTIYFGDNDIARQGAHEPAQEAKHSSQLNSDTSNPKRRRTANSKAWEVESEQEEHSLSLSQHSSPSQSDQEQAPAQKPTDSTGSEGLNLFKQFHHIPNNFQPNNVPNNHKETRYMVHKTQQDLQFIREHQNLQKPEQYLHVVHPGRQGTQYLAGEQKHLDAGPIHNLVKHQFFGSKAYNILHLMECLNFLV >EOY11668 pep chromosome:Theobroma_cacao_20110822:5:39999377:40003555:-1 gene:TCM_026772 transcript:EOY11668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein, putative isoform 3 MSSFDPLFLSSACFIIVKHCVEVELKLSQRRQRPLKERAKKRIHHTHKKMGSLGAETAKKKAMWLYPKVSGFNPSERWGHSACYSNGVVYVFGGCCGGLHFGDVLMLNLNTMVWNTLVTVGQGPGPRDSHSAVLVGTKMMVFGGTNGSKKVNDLHVLDLASKEWIRPQCNGAAPSPRESHTATLIGEDKVVIFGGSGEGEANYLNDLYVLDLRTMRWTSPQVRGHIPVPRDSHSAVAIGNKLVVYGGDCGDRYHGDVDVFDMDTSTWSRLAVQGSLPGVRAGHAAVNIGTKVLIIGGVGDKHYYNDVWVLDVNACSWAQLDICGQQPQGRFSHTAVFSESDIAIYGGCGEDERPINELLVLQLGAQHPNGRYNISMCKTFGSHWNQEKRRFLRVAPNNLKTIYFGDNDIARQGAHEPAQEAKHSSQLNSDTSNPKRRRTANSKAWEVESEQEEHSLSLSQHSSPSQSDQEQAPAQKPTDSTGSEGLNLFKQFHHIPNNFQPNNVPNNHKETRYMVHKTQQDLQFIREHQNLQKPEQYLHVVHPGRQGTQYLAGEQKHLDAGPIHNLLGAEVRGKVDAAFDSGFLMTATVNGKIFRGVLFAPGLGVISRGPMHAQSPASTSQVAAAAQPYLSSSNSEPYKSSQPPTMRIVPESGHSSRTAQVNRPNSVTRATSSAAKDPKLRSDLRDVVLTLGGPGTSHV >EOY11667 pep chromosome:Theobroma_cacao_20110822:5:39999121:40005354:-1 gene:TCM_026772 transcript:EOY11667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein, putative isoform 3 MLCFCSPVRVFVVSHDIFTYLLGKRKGDIDLKAPIFSCLLTLIVDISLGLFMSSFDPLFLSSACFIIVKHCVEVELKLSQRRQRPLKERAKKRIHHTHKKMGSLGAETAKKKAMWLYPKVSGFNPSERWGHSACYSNGVVYVFGGCCGGLHFGDVLMLNLNTMVWNTLVTVGQGPGPRDSHSAVLVGTKMMVFGGTNGSKKVNDLHVLDLASKEWIRPQCNGAAPSPRESHTATLIGEDKVVIFGGSGEGEANYLNDLYVLDLRTMRWTSPQVRGHIPVPRDSHSAVAIGNKLVVYGGDCGDRYHGDVDVFDMDTSTWSRVLISLSWSHNLAVQGSLPGVRAGHAAVNIGTKVLIIGGVGDKHYYNDVWVLDVNACSWAQLDICGQQPQGRFSHTAVFSESDIAIYGGCGEDERPINELLVLQLGAQHPNGRYNISMCKTFGSHWNQEKRRFLRVAPNNLQKTIYFGDNDIARQGAHEPAQEAKHSSQLNSDTSNPKRRRTANSKAWEVESEQEEHSLSLSQHSSPSQSDQEQAPAQKPTDSTGSEGLNLFKQFHHIPNNFQPNNVPNNHKETRYMVHKTQQDLQFIREHQNLQKPEQYLHVVHPGRQGTQYLAGEQKHLDAGPIHNLLGAEVRGKVDAAFDSGFLMTATVNGKIFRGVLFAPGLGVISRGPMHAQSPASTSQVAAAAQPYLSSSNSEPYKSSQPPTMRIVPESGHSSRTAQVNRPNSVTRATSSAAKDPKLRSDLRDVVLTLGGPGTSHV >EOY07936 pep chromosome:Theobroma_cacao_20110822:5:3503038:3510059:1 gene:TCM_022268 transcript:EOY07936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine adenosyltransferase 3 MDTFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKAKVNYEKIVRDTCRGIGFTSADVGLDADNCKVLVNIEQQSPDIAQGVHGHLSKKPEEIGAGDQGHMFGYASDETPELMPLTHVLATKIGAKLTEVRKNKTCPWVRPDGKTQVTVEYRNEGGAMVPIRVHTVLISTQHDETVTNEQIAADLKEHVIKPVIPAKYLDDNTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKDILELIKENFDFRPGMISINLDLMRGGKFRYQKTAAYGHFGRDDPDFTWEVVKPLKPKA >EOY11124 pep chromosome:Theobroma_cacao_20110822:5:38044219:38047867:-1 gene:TCM_026393 transcript:EOY11124 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-MYC downregulated-like 1 MGESSDSVSIDIDMVPFGGKEFLVKTSRGSLSVFVCGDQEKPALITYPDVALNYMSCFQGLFVCPDAASLLLHNFCIYHIDAPGHELGANVISSDVPLLSVDDLADQVAEVLDFFGLKRVLCLGVTAGAYILTLFAMKYKERVLGLILVSPVCKAPTWAEWLYNKVLMNLLYFYGMCGVLKECLLQRYFSKELRSSVHGTESEIIQACLKLLDERQSLNVMRFLEAINKRHDLTDDLKKLRCKTLIFVGESSPFRTESVHMSAKIGRKNCTLVEVKACGSLVTEEHPLAMLVPIEFFLMGFGFHRQPHFATSSSNGSNPSSPSSHSCIAPELLSPEGLGIKLKPIKTRADI >EOY11463 pep chromosome:Theobroma_cacao_20110822:5:39342197:39349254:1 gene:TCM_026631 transcript:EOY11463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METVQLDLNHNLAEEPSPMQLLPPGSLDVSDIFGDPQISPRVGDKYQVEIPPMITGSEHLRLLMDPVDSEGSPYLAHSFLLGLPVPLMWTYEQDIDFEVEGQGGLSKPDDGTKVDESVKSKKCRKAQSSKRKKNSELSAEQSDARLVYEKESNAENLECGMACKTNLFRPCEGKSSHLIPGSSCDSWSNTEVDGFLLGLYVFGKDFGQIKRFIGNKEMGDILSFYYGAFYRSDRYRRWSDGQKRRSRKNIYGRKIFTGWRQQELLSRLLTCVPDESKNNLLEVSRSFVEGRTSLENYVCYLKTTVGICALVEAVGIGNGKADLTGLAMEPPKTTQASPEIPSGKACSSLTSGDIIRFLTGGFRLSKARCNDIFWEAVWPRLLARGWHSEQPKNQCSVSSKHYLVFLLPGVKKFSRRKLVKGNHYFDSVSDVLSKVASEPKLLEIDAEGSGIRSCNEENGWVPGESSDQDDPPDRKPCYLKPRVSILSSNHMKFTVVDSSLVHGGKASKMRELRYAPIDLRFSSKPMQKDTQDSWKVNANHMLSKGEKCVTNAHHCEGIIASSTARHIKFTIVDTSLLHGGKACSVRELRYLPVKFEISSEINNSSGGSEDNSSDDSSYDHKPKIADRLSSHGSVATDTKPSSEPVKADNLLKGDSNHSEGKYDNESSSQNPKNSWTADQGLLIHQDEKTNISEDTRSKRIIKHHFSRRAKFSHSVNLVPPTKRRKLTAHTNTATSPLAENFSALSINLASPMKRQRLNACAKTETSHLTENISSNPINLVSPMKRRRLNACADTEQSHLTEKISADISEQAGLCCALQSQDEGSNDVPHVSHFQEKVSPISFSADGNPESMDTPHGSSEKLQSQLAIDSNPPLVPLDSQNGEPETAEADGSEFIIVNTYADLLETATNVCGEEQQPIMNPRRQSRRSRPLTTRVLEALESGFLNMKRTQKVKHVQAQAIQFASPSRKARSRVKTTSKHGNAGGKTVDKKEGKGMDGTLSHSEDIISKAPEVAH >EOY10207 pep chromosome:Theobroma_cacao_20110822:5:33490307:33492195:1 gene:TCM_025564 transcript:EOY10207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein MTLANGNAHQVTSNGDVSKTFKIFVGYDPREDLAYEVCQHSILKRSSIPVEITPIVQSDLRKKGLYWRERGQLESTEFSFSRFLTPYLANYDGWAMFVDCDFLYLADIKELTELIDDKYAIMCVHHDYSPKETTKMDGAVQTVYPRKNWSSMVLYNCGHPKNKGLTPEVVNTQTGAFLHRFQWLEDDEIGSVPFVWNFLEGHNKVVENDSTTFPKAVHYTRGGPWFDAWKNCEFADLWLNEMQEYMEKNKFNAI >EOY07719 pep chromosome:Theobroma_cacao_20110822:5:2503432:2505358:1 gene:TCM_022075 transcript:EOY07719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein MLQHQIVQSPARLGLTNPNSPSLQNPTPPKYPSSQPQPQPPPQQQQQPSSTNLSATTTSSALLSLLPPLPRAQSLLLQMASLASKLFEVSPNRTVWVSAFRGSLPSFLSSQIQTTPPPPLESTPTSTKEVLSLFTSLQTQLFEAVAQLQEILDLQDFKQKIAREIRSNDGAILAFANKLKEAERVLDMLVDDYSDYRRPKRLKLEEDGGGGDDDSSTTTVASQLNLSDILSYAHRISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYAFADLDVGLPKAVEAKEKAVEAIIEPPPAQPVDTNPLANLAALQGLLPPNFTVPSGWKPGMPVELPTNLPVPPPGWKPGDPVPLPPLDSLPIPRMEEPHLRPVPPPGLHKPPEPIQVRHVELDILDPDDDSSDYSSDEGSSDDED >EOY09832 pep chromosome:Theobroma_cacao_20110822:5:31253597:31259961:1 gene:TCM_025208 transcript:EOY09832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MKWKLWKTTAFLYHLQQIGSLHSVLHVQKRPFYFHFLSSSSSSSPFSSIASTKTFKKPAKGNGKKYEFHNIVDALTLFNLLAHASPRPCIVEFNKLLGAIVGMKHYAIVVSLCKQMELLGIIHDAYTLNILINCFCHLRRVDFGFSVLGKMLKLGYKPHLVTFSTLVKGFCMEHKVAQAARLFNEMVLTGYQPDLYIYTIIVNGFCKIGDTSGAVRMLRKMEERGFQPDIVAFSAVIDSLCKNKLITEALDLASEMKGKGIQADVVTYSSLIRAMCSSGRWDDAMRLFNEMDCKNLKPNVVTYNILVDALCKEGKVSEALGIFEIMTRSGIQPNVVTYSELINGYRLRNEMDEARKVFDSMLTCGCEPNVFSYNIMINGYCKTNRIDEALKLFHEMTQKGPTPDIATYTTLMSGMFQVGRLLDAQELFEDMCSCGQVPNSMTYSVLLDGVCKHGRIDEALRLFQAMQNSGTEPYIVHYNILIDGMIEAGRHKVAWELFSNIFVTGLKPTLPTYNIMIKGLCNKGFPEKAYDLFRKMEVDDCLPNSISYNITIRGFLQSNDMLRAMQILHEMASKGFSTDASTANMLVDLLSVNGEDQSTYEISGGDKSYLLRQQRICTYLKITGPPLKLLLEIMEGSDLLKQSALLRYEVHYAHIKPLLSAKQIALVHCCELLWIGSRFEHQVGAIAAGRKFLPFLAVRMILGIFFGSGEVQVYRQSGFHLKLNRGLMLKSYLTLLSKEYSPQFLNS >EOY11164 pep chromosome:Theobroma_cacao_20110822:5:38212250:38212650:1 gene:TCM_026427 transcript:EOY11164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRCTIAWAWRNPPNTSLSMFLRVALQREHGTHESMYVFKGCITARARHASYDMF >EOY09564 pep chromosome:Theobroma_cacao_20110822:5:29895038:29895946:1 gene:TCM_024981 transcript:EOY09564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein MATMLTTQSSIFFLILFTIISFSALAIAQQSDEVFSRYISPSSLGLKQEKLSHLHFYFHDIVSGKNSTAVRVAEAPSTNSSSSFFGAVVMMDDPLTIKPDVNSKMVGRAQGIYAFASQTDFSLLMVLNFVFTQGKYNGSTLSVLGRNKVVSTVREMPIVGGSGVFRFARGYAQARTYSNSQTDSIVEYNVYVFHY >EOY10063 pep chromosome:Theobroma_cacao_20110822:5:32845915:32847944:-1 gene:TCM_025453 transcript:EOY10063 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 73C5 MTPMIDIARLLAQQGVIVTIVTTPHNAARFKSILDRAIESGLSIRLVEFRFPCAESGLPEGCENFDMLPSFSLALNFFQAANMLKKPVQKLFEELMPRPSCIISDVFLSYTLDIANRFQIPRIVFHGVCCFLLLCLHNLRVSKILERVTSESEYFVVPNMPDTAEFTKAQIPEVMYGNLKEFSEERRKADLASYGVVINTFEEMEPEYVKEYRKVRGNKAWCIGPVSLCNKDASDKAERGNKASVEEHRRLRWLDSQKPGSVVYACLGGLSNVIPSQSIELGLGLEASNRPFIWVIRGSDPSKEVEKWISEDGFEERTKGRGLVIRGISAGVPLITWPLFADQFANEKMAVQILKAGVKVGVEVPVRWAEEEKVGVLVKKRDVEESIERLMDGGEEGEERRKRAKKLGEMAEKAVETGGSSHLNITQLIQDIMQIDCDKKQDST >EOY11029 pep chromosome:Theobroma_cacao_20110822:5:37583712:37585968:-1 gene:TCM_026301 transcript:EOY11029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MAGGSDNFSLSGPKHLNSIDWNNAHHRRSVAASLVAGVYVLEADRQANRRDSQSLAPPWWEFFHFKLIRQLVDDADHCIFGAIFEYKPPKYANYRKDSTGRSPRYVIAFRGTLIKLDSFARDLELDIQIIRNGLHQTTRFGIAMKAVRDKVAEVGDSNVWLTGHSLGAAMAMLAGKTMARTGKFLEAFLFNPPFFSAPIERIKDKKVKHGLRFAGSVITAGLAIASATKGKYNNASNNGNGYEENLFSVISGWIPCLFVNQADHICSEYIGYFEHRKKMEEIGAGAIARFASQHSLGGLVMNMMGVQGVETAEPLHLLPSANLTVNLNPSKDFMGAHVLCQWWRPDLNLNCGVYKYK >EOY08699 pep chromosome:Theobroma_cacao_20110822:5:18131290:18132350:-1 gene:TCM_023757 transcript:EOY08699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREKKKTKKERRKKKRKKGEKMSVKWSEGKRFGRRKGRAFGLGCVASIEMREGERFGHRKGRALGLGCATPIVGREEERFESFWAWLYGADSKERMRKI >EOY09617 pep chromosome:Theobroma_cacao_20110822:5:30249295:30250532:-1 gene:TCM_025028 transcript:EOY09617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MVFSPNVDPKLFDPNRHDSTFSGYADDLVCLLDQLHVNLTIYLGHSMAAMVGCMAAINRPQLFPHLILLSGSPRYNNDEGYQGGFERSQIDAIYRNIDENFLGWPGEDETRDALDVATTVFSSDLRTRLPQVLVPCTIIQSREDNVVPESEACYMKSKIGGDAEVMILDPHGHFPHLTAYHLLFKVLKVALHLKE >EOY10521 pep chromosome:Theobroma_cacao_20110822:5:35165932:35171529:1 gene:TCM_025859 transcript:EOY10521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel tetramerisation domain-containing protein / pentapeptide repeat-containing protein MMKDSDLSSLIRLNIGGKKFCTTIDTLTCREPESMLAAMFSGRHTLCQDSEKGYVFVDRDGKHFRHILNWLRDGVVPTLTDSGYSELIREAEYYQLLGLIEGISSVLNKRKEDDDLGAELTRTDIIKCIQSERVRFRGVNLSGLDLSKLDLSFVDFSFACLKSVFFSRANLQCAKFRDVDAEGSIFHNATLRECEFTGANLRGALLAGANLQSANLQDACLIDCSFCGADLRSAHLQTADLTNANLEGANLEGANLKGAKLNNANLKGANLQRAYLRHVNLRDTHLEGAKLDGANLLGAIRQ >EOY10771 pep chromosome:Theobroma_cacao_20110822:5:36378787:36380473:1 gene:TCM_026070 transcript:EOY10771 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MKALSNLRHSFSMSSTEPPTKFMLLPLNRDTKQLPLLDFINAPDIKIMQSCNGLLLCTSDYDHRSYFICNPVIKKFKMVSFPRPPMLEYQLVDFWSVSKIKFTSEVAIQFNHAVFFNDAIHWDSAAKESLCFDVEIECSKHSLVFESATTSTLLNNSTGL >EOY07576 pep chromosome:Theobroma_cacao_20110822:5:2018776:2023004:1 gene:TCM_021973 transcript:EOY07576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger 20 isoform 1 MPFNITSIKTSSNGAWQGDNPLDFAFPLLIVQTTLILVLSRFLAFLLKPLRQPKVIAEIVGGILLGPSAFGRNEDYLHRIFPSWSMPVLETVASIGLIFFLFLVGLELDLSSIRRSGRRAFGIAFAGISLPFVCGIGVAFVLRKTVDGADKVGYGQFLVFMGVALSITAFPVLARILAELKLLTTQLGETAMAAAAFNDVAAWILLALAVALAGNGSGHHKSPLISVWVLLSGVAFVAFMFLVIRPAMKWVACRCSPDRDAVDEAYICLTLAGVMVSGFITDLIGVHAIFGAFIFGLTIPKEGEFAERLIERIEDFVSGLLLPLYFASSGLKTNVAKISGGEAWGLLGLVISTACAGKIIGTFAVAMMYSMPVRESLALGVLMNTKGLVELIVLNIGKEKKVLNDETFAILVLMALFTTFVTTPTVMAIYKPARGFSALARRKLRDLANTDESKDELRVLACLHGLSNVPSIISLIESTRSTKKSQLKLFVMHLVELTERSSSIIMVQRARRNGLPFIKRLRRGEWQDRVAGAFQAYSQLGRVKVRPTTAISALSSMHEDICHVAETKQVTMIVLPFHKQWRLEGEQRTVENVGHGWRLVNQRVLKNAPCSVAVLVDRGFGNGGQTPGPTTTESHRVCILSWRAR >EOY07574 pep chromosome:Theobroma_cacao_20110822:5:2018768:2023003:1 gene:TCM_021973 transcript:EOY07574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger 20 isoform 1 MPFNITSIKTSSNGAWQGDNPLDFAFPLLIVQTTLILVLSRFLAFLLKPLRQPKVIAEIVGGILLGPSAFGRNEDYLHRIFPSWSMPVLETVASIGLIFFLFLVGLELDLSSIRRSGRRAFGIAFAGISLPFVCGIGVAFVLRKTVDGADKVGYGQFLVFMGVALSITAFPVLARILAELKLLTTQLGETAMAAAAFNDVAAWILLALAVALAGNGSGHHKSPLISVWVLLSGVAFVAFMFLVIRPAMKWVACRCSPDRDAVDEAYICLTLAGVMVSGFITDLIGVHAIFGAFIFGLTIPKEGEFAERLIERIEDFVSGLLLPLYFASSGLKTNVAKISGGEAWGLLGLVISTACAGKIIGTFAVAMMYSMPVRESLALGVLMNTKGLVELIVLNIGKEKKVLNDETFAILVLMALFTTFVTTPTVMAIYKPARGFSALARRKLRDLANTDESKDELRVLACLHGLSNVPSIISLIESTRSTKKSQLKLFVMHLVELTERSSSIIMVQRARRNGLPFIKRLRRGEWQDRVAGAFQAYSQLGRVKVRPTTAISALSSMHEDICHVAETKQVTMIVLPFHKQWRLEGEQRTVENVGHGWRLVNQRVLKNAPCSVAVLVDRGFGNGGQTPGPTTTESHRVCILSWRAR >EOY07575 pep chromosome:Theobroma_cacao_20110822:5:2018847:2024648:1 gene:TCM_021973 transcript:EOY07575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger 20 isoform 1 MPFNITSIKTSSNGAWQGDNPLDFAFPLLIVQTTLILVLSRFLAFLLKPLRQPKVIAEIVGGILLGPSAFGRNEDYLHRIFPSWSMPVLETVASIGLIFFLFLVGLELDLSSIRRSGRRAFGIAFAGISLPFVCGIGVAFVLRKTVDGADKVGYGQFLVFMGVALSITAFPVLARILAELKLLTTQLGETAMAAAAFNDVAAWILLALAVALAGNGSGHHKSPLISVWVLLSGVAFVAFMFLVIRPAMKWVACRCSPDRDAVDEAYICLTLAGVMVSGFITDLIGVHAIFGAFIFGLTIPKEGEFAERLIERIEDFVSGLLLPLYFASSGLKTNVAKISGGEAWGLLGLVISTACAGKIIGTFAVAMMYSMPVRESLALGVLMNTKGLVELIVLNIGKEKKVLNDETFAILVLMALFTTFVTTPTVMAIYKPARGFSALARRKLRDLANTDESKDELRVLACLHGLSNVPSIISLIESTRSTKKSQLKLFVMHLVELTERSSSIIMVQRARRNGLPFIKRLRRGEWQDRVAGAFQAYSQLGRVKVRPTTAISALSSMHEDICHVAETKQVTMIVLPFHKQWRLEGEQRTVENVGHGWRLVNQRVLKNAPCSVAVLVDRGFGNGGQTPGPTTTESHRVCILSWRAR >EOY07573 pep chromosome:Theobroma_cacao_20110822:5:2018847:2024648:1 gene:TCM_021973 transcript:EOY07573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger 20 isoform 1 MPFNITSIKTSSNGAWQGDNPLDFAFPLLIVQTTLILVLSRFLAFLLKPLRQPKVIAEIVGGILLGPSAFGRNEDYLHRIFPSWSMPVLETVASIGLIFFLFLVGLELDLSSIRRSGRRAFGIAFAGISLPFVCGIGVAFVLRKTVDGADKVGYGQFLVFMGVALSITAFPVLARILAELKLLTTQLGETAMAAAAFNDVAAWILLALAVALAGNGSGHHKSPLISVWVLLSGVAFVAFMFLVIRPAMKWVACRCSPDRDAVDEAYICLTLAGVMVSGFITDLIGVHAIFGAFIFGLTIPKEGEFAERLIERIEDFVSGLLLPLYFASSGLKTNVAKISGGEAWGLLGLVISTACAGKIIGTFAVAMMYSMPVRESLALGVLMNTKGLVELIVLNIGKEKKVLNDETFAILVLMALFTTFVTTPTVMAIYKPARGFSALARRKLRDLANTDESKDELRVLACLHGLSNVPSIISLIESTRSTKKSQLKLFVMHLVELTERSSSIIMVQRARRNGLPFIKRLRRGEWQDRVAGAFQAYSQLGRVKVRPTTAISALSSMHEDICHVAETKQVTMIVLPFHKQWRLEGEQRTVENVGHGWRLVNQRVLKNAPCSVAVLVDRGFGNGGQTPGPTTTESHRVCILSWRAR >EOY08590 pep chromosome:Theobroma_cacao_20110822:5:13044289:13048463:-1 gene:TCM_023328 transcript:EOY08590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPKTRAASRRAREQDVPIEMADRPRASTQRGRGRRCRVTRPVGLDTPVSRQEEGQSSSDVDRHPARGITIEDLAAGLQGVNRVVEMMATRMEDIQRVVEGRPTVQESPSSQGQADHQHHEEERGHLDISLPDFLKLKPPTFSGSDASEKPQVFLDKMEKICKALGCSSVRSVELAAFQLEDVAQEWYSSLCRGRLTNATPLAWSEFSVAFLDRFLPLSVRNARAREFETLVQTSSMTVSEYDIKFTQLARYAPYLVSTEEMKIQRXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDDLFDQLQGAQCFSKIDLRSGLYGFDEPSVQTLFG >EOY10162 pep chromosome:Theobroma_cacao_20110822:5:33286992:33290871:-1 gene:TCM_025536 transcript:EOY10162 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MSHKLSSPSASLFPFPPPSSPPQKFMAETFAFNIVEKLIEKLATVAYQEISLAWGVQTDFQRLNDILTTVKDVLLDTEENVAQNNQQRNWLQKLKDACYGAEDVLDEFQIEALRKQVLKQRSIGKKVHSFFSSSNPVAFRFRMAHKIKKVTERFGEIAALKANFHLAGRHYDTRYLVTGLDRETHSFVQAADIIGRDEDKEKIIKTLMQDPTDGEGISVLPIVGIGGLGKTALAKLVYNDECVDRHFELKMWVFRDCLDEKKYLLILDDLWNEDHIKWDELKQLLVQGAKGSQIVVTTRSSQVAEIMSTISTHNLQGLPEKESLCLFLQFASKKGEINQHQNLVKIGEEIVKKCSGVPLVLKTLGSLLLSKTSEDDWEFVRDSEMWKLVQEEKSIFPVLKLSYDQLPPYLKPCFAYLSVFPKDYEFDQMALIHFWMAHDLLHSSNENEDAEDIGERYLNDLSSRSFFQDFAQSLSFQSFKMHDLLHDLALSVAKNECSTVNCFKQIIAPGIRHLCLDSLDFFEEQSSGFLDVDTLGHLRTFRLENMKEGSNSESFIKKCLSRFQNLRVLDLQGSSFEVLPKRVGRLKHLRYLDLNDNSKIKKLPNFVCKLPCLQTLLLYCEGIEELPKNMRYMINLRMLVISTKQRSLSKNGFENLKSLRHLMIGNCKNLEYLFDGIQNLKSLHALIISGCKNLISMPQGFEALIALKVLVIWECEKLHLNMTLGSEGRGKEDDSQDYHIASRLRLQELVIGGVPKLEVLPEWLLVESANTLRVLALGECENLTKFPERQNFTSLEGLGITDCPNLSSLPERMQCLKQLVIERCPILIERYNPENGEDWAKISHASRIRIDGNEITSNK >EOY09493 pep chromosome:Theobroma_cacao_20110822:5:29498123:29499935:1 gene:TCM_024909 transcript:EOY09493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEAADANPSNVQVQHVTKKSSDELLRKFAELEGDDDDKGPARKELRVVVKRRKRSTGTALRRREGDYSQCESPSGNGSTSLAERKWLLPPAVTRRSALLRQLGIGRSQIRAREIRNRSLFGTIEKTWRKTIEGASKVFMEKHYNRHRRLVNDVV >EOY08264 pep chromosome:Theobroma_cacao_20110822:5:6024344:6038744:1 gene:TCM_022609 transcript:EOY08264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MAADLFRKDLFKTNDNSNRLRKKTDANIEVDSTGYRQLIGKLLYLTFTKPNITYFVQVLSQFMDQPTEEHLLTAHGALKHLKSAPGQGILMDAYSDLKITAYCDNDWAGCPDSRKSVTGYSIFFFGNFLVNWKSKKQSVVARSFTEAEYRSMESACCEVIGLKNLLADFGLNQGITVRLYFDN >EOY11477 pep chromosome:Theobroma_cacao_20110822:5:39389350:39392647:-1 gene:TCM_026638 transcript:EOY11477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative MSSSGETLPQSDPDSSPGPTQALNQNPLTIHHVSFNQDNTCFSAATDCGFLVFSTEPYGPQFRRDFNAGLSLVSMLFRFQLFALVGSSPSPAAANTNADTKALLWDDNVSRCVGELSFRSPIRSLRLRRDTIVVALLHKIYVYNLSDFKLLHQLETTSNPKGLCEVSQVTGPMVLVCPGLQKGAVRVENYGSKRSTFINAHSSNITCLALSYDGRVLATASTKGTLIRVFNALDGTLIQEVRRGADRAEIFSLAFSSTAQWLAVSSDKGTVHVFSLKVDSVVLGNDRSSSASESPLSNQSALSSLSILKGVLPKYFSSEWSVAQFRLPEGTHYIVAFGQQKNTVMIIGMDGSFLRCKFDPVNGGQMTQLEAHNFLKPEETFSKSD >EOY08121 pep chromosome:Theobroma_cacao_20110822:5:4702660:4705748:-1 gene:TCM_022447 transcript:EOY08121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA processing Brix domain protein MMRIKTPKKGRVKRELEKRAPKLVETGKKTLILQGTKTSSILNAVLSEICHLKKGGVVRYTRKNDNIRPFESGGETSLEFFSLKTDCSIFVYGSHSKKRPNNLVIGRTYDHHIYDLVEVGVENFKSIESFTYDKKLAPLMGSKPFIAFVGEGFENVDELKHLKEVLLDLLRGEVVENINLAGLDRAYVCTAISSNKVYLSHCALRLKKSGTVVPRMELVEVGPSMDLVVRRHRLPNEGLRKEAMKTAKDQPKKKIKNVSTDAVQGTIGKIYIPDQKIGDMALPNKAKGVKRERREAKKKEANEHASKKQKEESE >EOY07497 pep chromosome:Theobroma_cacao_20110822:5:1757959:1759976:1 gene:TCM_021917 transcript:EOY07497 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0161 protein MAVINSLNNHKPFLPLSTQPKNPNFISINFDPRIPNQISGFRFPAHRNLTANGSLQNSDQNTPQGDEVESLGVKAALSVLKFYKREISPLIPKSCRFVPTCSEYSMEAYKKYGVVKGTVLTAWRLCRCNPLGGSGFDPPRWFDEERPTEE >EOY09900 pep chromosome:Theobroma_cacao_20110822:5:31588492:31598570:-1 gene:TCM_025268 transcript:EOY09900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDMKSIDCIPLVTERKSWSSCLCRLIPATALLCVIYFIGSSFVAPENKEKAFTWGVADILQTSKVENCKNQCRPPGSEPLPEGIITKTSNLQLRPLWGFPKKDDTSSSLFAVAVGIKQKDLVHEMVKKFLSSGFAVMLFHYDGIVDEWKSFEWNDQVIHVSARNQTKWWFAKRFLHPDVVSEYSYIFLWDEDLGVEDFHPKKYVSIVESERLEISQPALDPAKSEVHHQITARGRKSMVHRRTFKHRANGRSCDGQSKAPPCTGWIEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDMQLGYCAQGDRTKNIGVVDAEYIVHYNRPTLGGTAEKNHSTVEGGHRNKKSSHSHWKDPRVEVRRQSYIELDIFRKRWEKAVKNDKCWVDPYQ >EOY09901 pep chromosome:Theobroma_cacao_20110822:5:31589153:31595950:-1 gene:TCM_025268 transcript:EOY09901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDMKSIDCIPLVTERKSWSSCLCRLIPATALLCVIYFIGSSFVAPENKEKAFTWGVADILQTSKVENCKNQCRPPGSEPLPEGIITKTSNLQLRPLWGFPKKDDTSSSLFAVAVGIKQKDLVHEMVKKFLSSGFAVMLFHYDGIVDEWKSFEWNDQVIHVSARNQTKWWFAKRFLHPDVVSEYSYIFLWDEDLGVEDFHPKKYVSIVESERLEISQPALDPAKSEVHHQITARGRKSMVHRRTFKHRANGRSCDGQSKAPPCTGWIEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDMQLGYCAQGDRTKNIGVVDAEYIVHYNRPTLGGTAEKNHSTVEGGHRNKKSSHSHWKDPRVEVSV >EOY11088 pep chromosome:Theobroma_cacao_20110822:5:37873647:37883164:1 gene:TCM_026361 transcript:EOY11088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited calcium ATPase MSLRSRKPSEFPSMLLQEDPPPPASKHYRRRWRVAVTAITVTRFLVGLTKKVVEKNAELLRSLSFVTIDIEGSGDELVPILDVDPQGLAKMVKEKSLQSLTDQYGGVKQVATLLQSDLKKGINGEEIDLVLRTKVFGANKYQKQPAKSFFSFVLEAVKDTIIIILLVCAVLSLAFGIKRHGPKEGWYDGGSIIVAVFLVVVVSAVSNYRQSKQFEELSHESSDIRVQVVRDGRHHPVSIFKLVVGDLVSLKIGDQIPADGLFVEGHSLKVDESSMTGESDHVEVNEKNPFLLSGTKVTDGYGYMLVTSVGMNTAWGEMMSSIRRDLNEETPLQARLSKLTTYIGNIGLSVAILVLLVLLIRYFTGHTKDENGKSQYNGSKTKFDDVMDSVVGIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMRDNAMVRKLSACETMGSATIICTDKTGTLTLNEMKVTEFLLGKESIDNSPSSEIAANILQLLSEGVGLNTTGTVYKPNSTSVPEIYASPTEKAILSWAVIDLGLNIDEPKQSCELIHVEAFNSEKKRSGVLIRRSNDRVLATHWKGAAEMILAMCSYYYDKNGISNSMNDEERMQIGKVIESMADKSLRCIAFAHTNVIQENEQISTKLEETGLTWLGLVGLKDPCRPGVKEAVESCKKAGVNIKMITGDNMHTAKAIAFECGILDSHDNLHDEAVVEGVQFRNYSPEERKKKVENILVMARSSPFDKLLMVQSLKKNGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFTSVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFVAAVSSGEVPLTAVQLLWVNLIMDTLGALALATEQPTNDLLKKRPVGRTEPLITRVMWRNLIAQALYQVAILLILQFKGKSIFGVAEKVKDTLIFNTFVLCQIFNEFNARNLEKKNIFKGIQRNKLFLAIIGITLVLQAVMVEFLKKFANTERLDWKQWVVCIGIAAVSWPIGWLVKCIPVDGQLPKQRASSS >EOY09505 pep chromosome:Theobroma_cacao_20110822:5:29556840:29562697:1 gene:TCM_024921 transcript:EOY09505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein MEREKSFKYYSQEPDSPHTWNADFGFTNASDRRYAFSRQSSFHQSQKDPQTPRISNFSNDSMKPFLSRTASSIDIPPGFYSFDEVKEGLLEAKRSAVAEKLSVLDSFLWIFRVIRTGNRQMKRLLIMISLNVAYSTAEFAIGLFTGRIGLVSDAFHLTFGCGLLTFSLFAMATSRRKPDHVYTYGYQRLEVLSAFTNALFLLFMSFSLAVEALHAFIQDESEHKHYLIVSAVTNLLVNLIGVWFFRNYARINLVMRFMDGPKNCATDAAMLCLNHGNLAMLCLICLVYRKPEDMSYHSVCLHVLADSIRSAGLILASWFLSLGVNNAEVLCLGLVSGAVFMLVLPLFKATAGVLLQTAPPNIPSSALSKYWRQITSHEDVTEVSQARFWELVPGHVVGSISLQMKKEMDDRPILEYVHSLYHELGIHDLTVQTDYL >EOY09580 pep chromosome:Theobroma_cacao_20110822:5:29972138:29978395:1 gene:TCM_024996 transcript:EOY09580 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 3 PLDGHFLRYRIQSDRKVAVCSVHPSEQATLQCLGCVKAKIPVAKSYHCSPKCFSDAWQHHRVLHDRAASAVNENGNEEEEIFGRFNSSGSGVINASLTGSASSTSLTNGSTPLYPAAVTQRSGGETWFEVGRSKTYTPTADDIGHVLKFECVVVDVETKLPVGHPNTILTSRVIPAPSPSPRRLIPVSGADMMGHLDSDGRISSSGTFTVLSYNILSDSYASSELYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQSDHFEEFFAPELDKHGYQALYKRKTNEVYTGNTHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTEVAVQTTQKKAALNRLVKDNVALIVVLEAKFSNQGADNPGKRQLLCVANTHVNVQQELKDVKIWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHSLLARIA >EOY09581 pep chromosome:Theobroma_cacao_20110822:5:29972138:29977542:1 gene:TCM_024996 transcript:EOY09581 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 3 PLDGHFLRYRIQSDRKVAVCSVHPSEQATLQCLGCVKAKIPVAKSYHCSPKCFSDAWQHHRVLHDRAASAVNENGNEEEEIFGRFNSSGSGVINASLTGSASSTSLTNGSTPLYPAAVTQRSGGETWFEVGRSKTYTPTADDIGHVLKFECVVVDVETKLPVGHPNTILTSRVIPAPSPSPRRLIPVSGADMMGHLDSDGRISSSGTFTVLSYNILSDSYASSELYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQSDHFEEFFAPELDKHGYQALYKRKTNEVYTGNTHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTEVAVQTTQKKAALNRLVKDNVALIVVLEAKFSNQGADNPGKRQLLCVANTHVNVQQELKDVKIWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHSLLAMGKVDPLHPDLLIDPLAILRPHSKLTHQLPLVSAYSSFARGVGL >EOY09579 pep chromosome:Theobroma_cacao_20110822:5:29971273:29978271:1 gene:TCM_024996 transcript:EOY09579 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 3 MLSVLRVHLPSDIPIVGCELTPYVLLRRPDKSVTTDDVPELAPLDGHFLRYKWYRIQSDRKVAVCSVHPSEQATLQCLGCVKAKIPVAKSYHCSPKCFSDAWQHHRVLHDRAASAVNENGNEEEEIFGRFNSSGSGVINASLTGSASSTSLTNGSTPLYPAAVTQRSGGETWFEVGRSKTYTPTADDIGHVLKFECVVVDVETKLPVGHPNTILTSRVIPAPSPSPRRLIPVSGADMMGHLDSDGRISSSGTFTVLSYNILSDSYASSELYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQSDHFEEFFAPELDKHGYQALYKRKTNEVYTGNTHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTEVAVQTTQKKAALNRLVKDNVALIVVLEAKFSNQGADNPGKRQLLCVANTHVNVQQELKDVKIWQVHTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHSLLAMGKVDPLHPDLLIDPLAILRPHSKLTHQLPLVSAYSSFARGVGLGLEQQRRRMDPTTNEPLFTNCTRDFIGTLDYIFYTADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCMPRPRR >EOY10745 pep chromosome:Theobroma_cacao_20110822:5:36249291:36250868:1 gene:TCM_026048 transcript:EOY10745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine/DOPA decarboxylase MLCSGFNVVGFNWISSPAATELESIVLDWMGKLLKLPSSFLFSGTGGGVLHGSTCEAAVCTLAAARDKALKELGGWENITKLMVYASDQTHFTFQKAAKLVGIPPSNFRFIETSLSTGFSMSSDQVRLAIEHDIKSGLVPLFLCATIGTTACGAIDPIAELGKVAREYKLWLHIDAAYAGSACICPELRHFLDGVELANSVSMNPHKWFLTNMDCCCLWIKEPRFLVDSLSTDPEILRNKASEFKAVLDYKDWQVALSRRFRALKLWIVIRRHGLANLVYHIRSDISMAKRFEAFVAKDDRFEIVVPRKFALVCFRLKPKQELEGAELNCRLLEAINSSGRAFMTHAVVGGIYVIRCAIGTTMTEERHVDALWKLIQEKAQGLLME >EOY11646 pep chromosome:Theobroma_cacao_20110822:5:39921282:39921758:-1 gene:TCM_026759 transcript:EOY11646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLSNSPTGFSNGVAEGNLSREGNPANYTSNVTAFYGQDMVDNPAVIMSNNLSNSNGTLISPHAACWPQPLVPNSNVDLQPGNNSFNSSVVPDLAMQYFSNPHPFNEFNDWAWKSG >EOY07367 pep chromosome:Theobroma_cacao_20110822:5:1340243:1341552:-1 gene:TCM_021820 transcript:EOY07367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tau class glutathione transferase GSTU45 MAEEVKVFGAWGSPFSRRVELALQLKGVQYEYIEEDVHKNKSPLLLKYNPVHKKVPVLLHNGKPIAESLVILEYIEETWKGNPILPEDPYDRAMARFWAKFIDEKCLPAIWKAGWSPESEREKPVEEACECLKTLESALGGKRFFGGDKVGMVDIAANFIGYWLRLIQEVTGMELLSAEKFPELFKWTDEFVSCSVVKGSLPPRDKLLAFFKARIAETMD >EOY10769 pep chromosome:Theobroma_cacao_20110822:5:36359172:36362528:-1 gene:TCM_026068 transcript:EOY10769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 1 member 18 MMKGAQILVAFVLLALASSLASAFDPSPLQDFCVAINDTKNGVFVNGKFCKDPKLVNAEDFFFSGLNKQGNTSNQLGSKVTLVNVGQISGLNTLGISLARVDYAPYGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPDNRLITKVLYPGDVFVFPVGLIHFQFNIGNTNAVAFSGLSSQNPGINTIAKAVFGSNPAINPEVLAKAFQLDKNIVNQLQSRLRIMKERMKQMSQCCDEATREDGDVCTTEAIKDDGELDCEEAVRVENIGKCWDLHVKCPRGKGYQILLSRQNCYYKHF >EOY08581 pep chromosome:Theobroma_cacao_20110822:5:11789451:11797648:-1 gene:TCM_023221 transcript:EOY08581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLAMPFKHSVIEKFTNMPCMQEIRMTFKGIELVGAYEIWWLDYKYVLIFLTNEHDFNRIWICQVWFIVNKKIRVFKWSLKFQLEKESSVVPVWFSFPNLPVHLHEKSALMMIARTVDKPFFVDEATANRSRPSVARVCVEYDCQKPPLDHVWIVSHDRETKAMTGGFSQKKAKPVLANLVSVLNADKDEGSSMQFLDKTNDHIEEHQWPDRKIMATADSGDGCVNIPQSKLEPVVNISRAQYFRMRLDFDKVVSNCSHKIWVFSSVEVCNEVLLDHIQCLHVKLSLPWLPHLLFTSFIYAKCTRQKRMELWNSLRLLSLDMQGPCMVGGNFNAIVSCAERLNGAPPHGGSMEDFAAMLLDCGLLDTSFERNNFTWTNNDMFQRLNRVVYNPKWAESYAKLNSQLSIKEFFWQQKSRVKWLVKGELNTKFFHMRMQKKKDSISSGSQECSL >EOY10243 pep chromosome:Theobroma_cacao_20110822:5:33722438:33728475:1 gene:TCM_025615 transcript:EOY10243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLLSNRYFHVLSFSSKRYNFVLEKLQLHLEICRTLFVFVVCSKSEMEILEAELRECASNLSLPLVEWEFISMDRVHVTPNHLVMMNSANAKERLCFSQTKNMFYVISGNGYSPRKRETDIKPCEVSVGIPGSECPSEHLGSCHGLEESFSCLQIVLMIYFQVRIDHMALALSKTFRSPMLHKLIYKGVTLVQLNKSYIDFCKSILIPPVGILEFSSMCKVLNDQPHLMRTKLFDLKDDDLDSMISKLVGVSLLLEQQIWIKEHSLCKI >EOY10694 pep chromosome:Theobroma_cacao_20110822:5:35938772:35941764:1 gene:TCM_025996 transcript:EOY10694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDAENVLEAKKAEMAMMQEEKICVEEWLTAAEIELEETKREHAKYKDMEKLANDLQDFVLEAGGNDVHDNRQINLDEDTMKALLEEAVRDFAEEMNKAHSRGCRPADGDNGGGGREGGNGGGRPE >EOY08725 pep chromosome:Theobroma_cacao_20110822:5:18782141:18785955:-1 gene:TCM_023814 transcript:EOY08725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSHYHKPPIQTIYQNTRGRGLPKGTMKKEREKMMERPTERAKRDEREKKIRKKRKVTASYLKEKKEREKSVLREKMKPAKLRRKERRKEKEMKEKKEREEAVGCE >EOY11572 pep chromosome:Theobroma_cacao_20110822:5:39695735:39702846:1 gene:TCM_026710 transcript:EOY11572 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein MKAEKTFAIDVLDNEEAWNLFRKMAGNSVERAELRSIAIEVAKKCARLPLAIATVANALSNKPSYVWKDALLQLQKPSSRNLSRKFADAYLAIELSYKHLESEEHKQTFLLCSLLRRDGSIDDLLKYAIGLGLINGVDTVEEVRSRLLTMVRDLKASCLLLDGNTNKLPFDMHDLVYDVAMSIASKDNHVFALNKKDVLKDWPDEETMKKCNMINLGFPGIKELPDELNCPQLIFFYMISKDGSLKMPPNFFRQTTNLKVLDLTEMHFSSLPPSICLLTSLRTLCLDHCELGDITIIGELKNLEILSLFRSNFRILPKEIGRLVKLKLLDLSYCTELKIIPPGVLPSLSILEELYMLGTFIQWEVREHANQRSNASLVELKNLSCLTALEVHILDAGAMPGGLFFEELQKLERYKIVIGNHDEDEFYQDVHEYSRILILNTISIDHLDHRLDMFWKKIEALRVYKDFDIENDSVTKYIINDNDAVDKIEFPQLRSLVFQDLPHLISFCSKDKSASTSMPRHELSLFSEKNVFPASVARVLPQLRDLCIHDCGVEEIVSIGEGLETTVTFEFNQVSFLSLWKLPELKCFYPGVHTIKWPMLKEFYTFGCGKTTILGTKHFSIVDTPNVNWQQLQSQLISLDEKQAWSLDDIPTTVVLTMHQGSTVKSIDFHPSHHTLLLVGSANGEITLWELGMRERLVTKPFKIWEMSTCSLTFQALMVNDTSISVSRVTWSPDGSLAGVAFSKHLTHLYAYPGSNDLIQSLEIDAHVGGVNDLAFTHINKRLCIVTCGDDKLLKVWDSMTGRKLFNFEGHDAPVYSICPVDRYERLIYSITVDGTITSWMYDGRRCQADLVAPGQGCSTMLVSANRMRLFTCGTSKDGQSFLAEWCQYQQVVERIYEGFTMKSAAVVSFDIGQNQFLAAGEDSQIKFWHVDNSNLLTFIDAEGGLPSLPRVRFNKPGNLLAVTTADNGFKILANDVGLRSLRANEVSSSAA >EOY11318 pep chromosome:Theobroma_cacao_20110822:5:38951560:38955585:-1 gene:TCM_026545 transcript:EOY11318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase 1-like protein MKKNVSDFNVLGSSAVDSVHELVGQQVTLQLISAENADPVIGNGGKLGKQEALENWNLTVTPPVAGDSSYSVTFEWDEEFGTPGAIIVRKNHSAEFYLKTITLKDVPGEERHHRQGCFVLLQTVQRLEKLKLVPEYLTFNCFHGAKDESSPHLTAFAKSFSKITLRDAHLDATNNCKAFHGALISAVLESIIPTDKEKSNRMRPFSS >EOY09798 pep chromosome:Theobroma_cacao_20110822:5:31105327:31108643:1 gene:TCM_025175 transcript:EOY09798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILCSESSSMTPYLRSEFLRLLLILLYGVGVAVVLIFYGRAPYRTVLLPLEEFDKVIHSPRVCCIMCGEINPWNVDHNVINSLPKVSRPQALWVRLIWAKYDYGRYTCLNKLRRLGSSSFWSAIGDVWNAFKTNVRWAIGNEKTIWFWMDLWLRDQTLTDIDRSRGFIFQNNSLVKDFVMADGERDFNILNYYLPPKELTLLSETIVPQHSSTQDMWYWAIYNFFSYELLRKQKNFNSTNVFGIWRLIYTLIAAIQEERLINVDNGRDSSAFKEEVFISWRAPEVDWISLNTNGACQASTEQSAAGGVLRDSPSHWKSGFAMRLRKCTAYQAKLGGFRKIVL >EOY08592 pep chromosome:Theobroma_cacao_20110822:5:13137319:13159493:1 gene:TCM_023339 transcript:EOY08592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNMRQLNVKVMHTLHCTLDDNDYKRVSKCESAKEIWKKLEELYRETKKEKELEEKLYESQCSTCAKAIRDEESIEIQSSIQFESWLMALKELKEESLPIKARKSYPTNSDRGT >EOY10684 pep chromosome:Theobroma_cacao_20110822:5:35879522:35883596:1 gene:TCM_025986 transcript:EOY10684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSGGNYADVTMITCSNGSSSRIEKQKCPTYSSSNGMKIEKRPAGEEIIAQTKRSSSLPNSGLKKAKKSLKRMKVEVAEKRGETRLMMELLKEEAKAKGIAIEKIRTDNERMKRQNVLKLEKDECIRQFINHMLVIFEAEDNVLMLD >EOY07841 pep chromosome:Theobroma_cacao_20110822:5:2942068:2942792:-1 gene:TCM_022166 transcript:EOY07841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 11 MNNITFVMPKIGLLQAHYFNIKEVFRTNFPDRPPVQFNYTGAPLTANRGTSLGTGLSKVAFNSTIELVLQDTNLLTVESHPFHLHGFNIFIVGSGVGNFNLSKDPANVWFMHCHLELHTMWGLKMAFVVENGKSPEESIIPPPKDLAPY >EOY09593 pep chromosome:Theobroma_cacao_20110822:5:30043568:30052637:-1 gene:TCM_025008 transcript:EOY09593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase (PAP2) family protein MESIAAWQGVALCGIFSWIVISTYFNVTKKIRSLLQPRVAHRVVTGTSIILKIQKHQHKLWDGLFSVLSSIVSVPFYTAFLPLLFWSGHGKLARQMTLLMAFCDYMGNCIKDVVSAPRPSCPPVRRITATKDEEDNALEYGLPSSHTLNTVCLSGYLLYYVLSSAQSRDACVELAAVAFAGLLVGLIGVGRIYLGMHSLIDIIGGLAVGLVILAFWLTVHEYVDGFIVSGQNVTSFWAALSFLLLFAYPTPELPTPSFEYHTAFNGVAFGIVAGIQQTYHQFHHEAVPRIFTSQLTIPAFLGRMLVGIPTILIVKFCSKALAKWILPVVSNTLGIPIKSTSYIPMLNGSAIEKKSSEIKQSSYVQKMLFFSRQDLFDVDTGIRFLQYAGLAWSVVDLVPSLFSYLRL >EOY11166 pep chromosome:Theobroma_cacao_20110822:5:38215657:38219111:1 gene:TCM_026429 transcript:EOY11166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTTYTSTKLFFNVSLKFTIFLKLLKLLTTWLLFLVSSAAPSPSSAASFLVAVRLLLPPNVNTIVLKVPLLKRALHLLEQWHSLQESVS >EOY09982 pep chromosome:Theobroma_cacao_20110822:5:32062628:32069256:-1 gene:TCM_025349 transcript:EOY09982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 MAQLMRAPTICFNPKLFPKHTRNRMALNANNMTSSLNNNTNNRKLPILLFDIMDTIVRDPFYHDIPAFFRMSFKELIECKHPTAWIEFENGVINEEELAGKFFKDGRPFDLEGKRKPDPYFYLAVVEHLKVDPVSCIFIDDRIKNVEAAVGIGITGLHFKNPDLLRQDLERLGVDISIDGHVSENQLKPAEGQT >EOY09981 pep chromosome:Theobroma_cacao_20110822:5:32062243:32069277:-1 gene:TCM_025349 transcript:EOY09981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 1 MAQLMRAPTICFNPKLFPKHTRNRMALNANNMTSSLNNNTNNRKLPILLFDIMDTIVRDPFYHDIPAFFRMSFKELIECKHPTAWIEFENGVINEEELAGKFFKDGRPFDLEGLKNCMRRGYSYIDGIEQLLQALKQNNYEMHAFTNYPIWYKIIEDKLNISNYLSWTFCSCIYGKRKPDPYFYLAVVEHLKVDPVSCIFIDDRIKNVEAAVGIGITGLHFKNPDLLRQDLERLGVDISIDGHVSENQLKPAEGQT >EOY07154 pep chromosome:Theobroma_cacao_20110822:5:656833:657775:1 gene:TCM_021664 transcript:EOY07154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSAYGNRWDSSETSRDQIYKLQDLQSRNMPVPNNEPLEIEALICDFHKFNTFYMV >EOY07442 pep chromosome:Theobroma_cacao_20110822:5:1570268:1573751:-1 gene:TCM_021874 transcript:EOY07442 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MAGAGPGCKNQRWSLQGMTALVTGGTRGIGYAIVEELAALGAVVHTCSRNQTEINERLQEWQSKGFKVSGSVCDLSSREQREKLMETVSSVFYGKLNILVNNAGITMLKPCVEHTLEDYSTVMSTNVEAPYHLSQLAHPFLKASGNGSIVFISSVAGSLALPRLSAYSASKGAINQITKNLACEWAKDNIRTNTVSPWGVKTTIMIPKPDSPYVEEFIRLIAGTAMPRTGEPEEISSLVAFLCLPAASYITGQVISVDGGSMFHCNDIDQSVEEQDSGQKLVTLRMKLICKSKRVCYHICQLALPLLRLSRNGSIAGVVASPYLSLYAGSFLPSLEAVLIMTLHLWKF >EOY07443 pep chromosome:Theobroma_cacao_20110822:5:1571862:1573767:-1 gene:TCM_021874 transcript:EOY07443 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MAGAGPGCKNQRWSLQGMTALVTGGTRGIGYAIVEELAALGAVVHTCSRNQTEINERLQEWQSKGFKVSGSVCDLSSREQREKLMETVSSVFYGKLNILVNNAGITMLKPCVEHTLEDYSTVMSTNVEAPYHLSQLAHPFLKASGNGSIVFISSVAGSLALPRLSAYSASKGAINQITKNLACEWAKDNIRTNTVSPWGVKTTIMIPPDSPYVEEFIRLIAGTAMPRTGEPEEISSLVAFLCLPAASYITGQVISVDGGYTAGGCWPFQNFSFTSFGP >EOY10407 pep chromosome:Theobroma_cacao_20110822:5:34530928:34536447:-1 gene:TCM_046805 transcript:EOY10407 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MDNNLGEWFLAKQDEGKSAGLKTRVWIESKIIWRIAFPSMLARVTAFGMVIVTQSFLGHIGEVELATYALIQSILVRFVNGVLIGMSSATETLCGQAFGAEHYHMMGIYLQRSWIVDGATATILVPLFLLATPLLRLLGQEEQIAKAAGTISLWFIPMLYNMVFSLTIQMYLQAQLKNLVVGWLSTASFLLHLLLSWLMVYKLNWGVSGAMGALNISSWAMVIGEFVYIFGGWCPHTWKGFTKAAFYDLMPVVKLSVASGLMICLELWYNAILVLLAGYMKNATISIDAFSICINMNAWEFMICLGFLGASIVRVANELGRGNAKAVKFSIKTILGTSISFGVFFWILCLVFGHRLSYLFTTNEEVAKAVSSLSTLLAFSILLNSVQPVLSGVATGAGMQSTVAIVNLGCYYAVGIPVGVVLGYVLDFEVRGLWVGLLSGVVLQTVILSVIVWRTDWEEQVRRAYVRLNRWLLKPEAEDNRGPYLA >EOY08076 pep chromosome:Theobroma_cacao_20110822:5:4283661:4287136:-1 gene:TCM_022392 transcript:EOY08076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTPTITKDKEKKYYGNWALWVLNEQGPHLVFFCQQEQGQSFISCGKDGQIYGESARFSCYLNKQKGQNRRRLKHKST >EOY09433 pep chromosome:Theobroma_cacao_20110822:5:29101281:29103326:1 gene:TCM_024851 transcript:EOY09433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 93, subfamily D, polypeptide 1, putative MKRFVKIIQEKAEAGEVVDIGVELMRLTNNIISRMLLSKRCSDKENEANEVQTLVKEMNSLGPKFNLSDSLWFCKNLDLQGYRKRLKDVRDKYDILMEKIILEHKEARKKNGARGDKIKDVLDILIDISEDENAEMKLTRENIKAFVMNFFGAGIDTSAVTIGWGLAELINHPSVMEKEQKETDSVVRKNRILEESDIENVPYLQAIVKETLRLHPAGPLVVRESKEDCIIGDYQIPEGTRLFVNLWALSKDPKQWERPLEFVPERFLCEPWRQGKNQFLDVRGQHFSLLPFGSGRRSCLRASLALQVIPTVFGIIIQCFDWKVDGVNDTVNMEEKAGLTLVRAHPLVCHPVARPSPFPSI >EOY09559 pep chromosome:Theobroma_cacao_20110822:5:29811137:29814672:-1 gene:TCM_024968 transcript:EOY09559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S9, putative MLSRLLPKPSHFRLLPLLSSKSQPYPYSPQHPPISLLRFLSTNQNNNNDNKKDQSFSNPWKISPDNDGKFDSLFSDESGNLAGFSSVTESPTAAGEEDGGAGKKSGEGDWLVGEEDKAWSFGEEEKGDGVFDLKGVRDFVETGGETSVAQSDDSVEEQKNFEEEEKQLTAVLKGPNRAFGDLIAASGITDAMLDSLIALKDLEGVDGLPPLSVIEDMRYEKNTRKSMRAEIELLKMEEIAKARVRQVDDKGRAYGTGRRKCSVARVWVQPGDGKFIINDKQFDVYFPMLDHRAALLRPFSETKTLGLWDINCTVKGGGVTGQVGAIQLGISRALQNFEPELRPPLRAAGFLTRDPRVVERKKPGKAKARKSFQWVKR >EOY07896 pep chromosome:Theobroma_cacao_20110822:5:3170096:3172870:1 gene:TCM_022213 transcript:EOY07896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase-like protein AC3.5 MEKYFGNAYRGDPGVPHASPDRFVNIWIGSAAFSALTWFNPYMWQLSNQFNWHDKAMLFEQYHWKKAMAKKQPYKFKWNEYMDKDHRDSYYFNWPLVFLYGFIPSSQSIMLPSLLPLSFRWI >EOY08802 pep chromosome:Theobroma_cacao_20110822:5:20851294:20854975:1 gene:TCM_023987 transcript:EOY08802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDECEDSFLRLETCLILAPILSLPNNSGGYVVYCDASKVGLGCVLMQNGRVIMYASRQLKRHKKNYSTHDLEMAMIVFALKIWRH >EOY07961 pep chromosome:Theobroma_cacao_20110822:5:3643942:3645160:1 gene:TCM_022293 transcript:EOY07961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 27, putative MAYETAAAMHGESLRKGPWHEDEDERLITFVKLLGSRRWDYVARVSGLKRSGKSCRLRWLNYLRPNIKHGQISAEEEKIILQLHERWGNKWSMIARRLPGRTDNEIKNYWRTHLRKKALIQEQENFQFGGDNGKQLFLFQEDGSSAQNYNGESYKPCKDILDTENSCYDVVGLSDFTLTSSPYQTRLSDWIPEFPSDQSEIKSQHCNSSDSCYCYPAWISEENDLWGCSGSLWNME >EOY10408 pep chromosome:Theobroma_cacao_20110822:5:34540368:34547602:-1 gene:TCM_025768 transcript:EOY10408 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MEGDISEKLLAGTENTEVEEVRFREKLWTETKTMWVVAGPAIFTRFSTFGVTVISQAFAGHIGATELAAYSLCFTVLLRFGNGVLLGMASALETLCGQAFGAKQYHMLGIYLQRSWLVLFMTAFCLLPLYIFATPLLIALGQDEKIAEVAGYVSNWFIFVVFSFIVSFTCQMFLQAQSKNMIIAYLAAFSIGIHIFLSWLLAMKLNFGLTGAMLSTVLAYWLPNIGQILFVTCGGCKDTWKGFSMLAFKDLWPVVKLSLSSGAMLCLELWYNTILVLLTGNLKNAQVAIDALAICLNINGWEMMISLGFLAAASVRVSNELGRGSSKAAKFSIMTTTLTSLAIGFVLFVLFLILRGRLAYIFTESEEVASAVADLSPLLACSILLNSVQPVLSGVAVGAGWQGIVAWVNIASYYLVGIPIGVVLGYVLDMEVKGVWIGMLLGTLLQTVVLVVITWKTDWDKQVILARTRVNKWFVPESKEESSNTENGA >EOY07767 pep chromosome:Theobroma_cacao_20110822:5:2623389:2634919:-1 gene:TCM_022099 transcript:EOY07767 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding Elongation factor Tu family protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGTLKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSGYPPLGRFAVRDMRQTVAVGVIKSVDKKDASGAKVTKSAAKKSGNFVDQFLYAVVCVWFGLRLAKLSEFDCEQISKVTRDPSNRNAACKIIGAGILDQNKLCKGFEPSLTNYQLPFVLNFDQELSSPKQDIAISNCRLHRINAKVTDTCAVMLNSVWALPLL >EOY07665 pep chromosome:Theobroma_cacao_20110822:5:2366276:2368660:-1 gene:TCM_022044 transcript:EOY07665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum sensitive 3 MDWDWLFEFLKGMVKPVAALSVVLMAVLLSFMQKLGLEKEMIYSILRAFLQLSVIGFVLQFIFNQENSGWIVLAYLFMVSVAGYTAGQRAKHVPRGKYVAGISILAGTAVTMFLLVILNVFPFTPRYVIPVAGMMVGNAMTVTGVTMKRLRDDIKIQMNLVETALALGATPRQATLEQVKRALVIALSPVLDNAKTVGLISLPGAMTGLIMGGASPIEAIHLQIVVMNMLIGASTVSSIMSTYLCWPAFFTKAYQLETKVFSSD >EOY11361 pep chromosome:Theobroma_cacao_20110822:5:39087148:39093811:-1 gene:TCM_026571 transcript:EOY11361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAENDDEEGFADFKFVSSSSPPTTATITANDDDDWGDFMNSSNAISRTESLPVNQFHFDPFPNSSPPTQPGSAPSRVESVKNHWAKLNGALPLSIFGEEEKDEEGSGAVDSGFNGATATFSCPKKDGSLKGKGSDLNDLLADLYKQSERGKEGNAFGSGLDVKKEVDMNPKVETWNWNGLNLELNGSVLKVDGLDLSVNASALDKKEENLESNGAGMERKEGNLGLNGLDPGSNGPVLHQNGSMLDSNGGSSDLVYEEEEDDDGWEFRGAESKAEAGVENVKSDQSEPISHFSVTALIWDPLSTNVSGLNSNDNGVNSNVSRLNSSLVDENEEFGDDDGWDFKTAESEARSGTGSTKVDGREQENPKGVEFGAGFGNGVNGPSDLIGTPGGISNKPGEWDVGFSFAPSFGTQSLQNDTKNGVISSSIDNNIDSDEMSWAFKDTIPGNGSKTKEEPNVADASSSGVEDLLFDSHIQGNEERVEKHKGALPLSIFGDAEPEPNDSLRYEDVSIHKPTSPITVMEDTHSNISINDLISSLYSQAEKNASLNHISNPSEDGLLSSQTVVGSNLVNDDSDFNDDSWEFKGADSGTQGENQNSLHGYGDSYEKYSTKTWLDEYVDFYSKMATELCFVALIHLDNMKKDQSIATPSREDAEVQAIEEEIQGLYNELYKEGILSKEVASENLQSISIDLGEFAKILQGKKLQVLESEYHLSEKLLLAEKDLRTATGLLKHAASTLKILKLGSFEDQSNYISTWLTILSVCALELKHGALIWKQSLQKNIHSQLLSKSQGRQYILALGEIYRVVKIVESSTKLYKPWIMFSSEHPTNILSLVRECSTLWSSSGLEEALQSLSDPTDLKYDIEALLGSIQSIHDLDAHELYKQVFSGQESTCCLSGLGAGSVPGMKMVVWDGRHYFVTIVNMWANLISRDPPKLPHIHASK >EOY11362 pep chromosome:Theobroma_cacao_20110822:5:39087565:39093684:-1 gene:TCM_026571 transcript:EOY11362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAENDDEEGFADFKFVSSSSPPTTATITANDDDDWGDFMNSSNAISRTESLPVNQFHFDPFPNSSPPTQPGSAPSRVESVKNHWAKLNGALPLSIFGEEEKDEEGSGAVDSGFNGATATFSCPKKDGSLKGKGSDLNDLLADLYKQSERGKEGNAFGSGLDVKKEVDMNPKVETWNWNGLNLELNGSVLKVDGLDLSVNASALDKKEENLESNGAGMERKEGNLGLNGLDPGSNGPVLHQNGSMLDSNGGSSDLVYEEEEDDDGWEFRGAESKAEAGVENVKSDQSEPISHFSVTALIWDPLSTNVSGLNSNDNGVNSNVSRLNSSLVDENEEFGDDDGWDFKTAESEARSGTGSTKVDGREQENPKGVEFGAGFGNGVNGPSDLIGTPGGISNKPGEWDVGFSFAPSFGTQSLQNDTKNGVISSSIDNNIDSDEMSWAFKDTIPGNGSKTKEEPNVADASSSGVEDLLFDSHIQGNEERVEKHKGALPLSIFGDAEPEPNDSLRYEDVSIHKPTSPITVMEDTHSNISINDLISSLYSQAEKNASLNHISNPSEDGLLSSQTVVGSNLVNDDSDFNDDSWEFKGADSGTQGENQNSLHGYGDSYEKYSTKTWLDEYVDFYSKMATELCFVALIHLDNMKKDQSIATPSREDAEVQAIEEEIQA >EOY10034 pep chromosome:Theobroma_cacao_20110822:5:32451918:32468801:-1 gene:TCM_025411 transcript:EOY10034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKGRKRARQCRMVGANGSNRHLMRRPIEATLVFMSLLKRPIALLHSHSKSLVIMAYFVKWGNWGLGMDKEEGFVVLDDFFVVTVSFFYSNGTIVDKSQVAILDGIVHLNGVFVVMNANNKQSLKESLQEAASVSNRNPTPSAAKGKPAAPLVLKTASLEIDIMSKEGIQTRENNVALMESSGMATQRNLHAPVEIALASQTWIETIPITTSLLLPQQRANVEKIGVGINGVARVRECPKVDEGSHVRTEDFTSSIEMELEIREEDIMQD >EOY09123 pep chromosome:Theobroma_cacao_20110822:5:26250419:26253936:-1 gene:TCM_024512 transcript:EOY09123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVCVVDQSASTQEIRETKGVTKQISNLNEKEPLFAFMDGLKPWAKQELQRRDVRDLMQAIVVTKSLIETWAIAMCKKHVAIMMEKEGASNESDNYCVKRPNERSQLESLVLHKEDKTYQTTYIVREKSQYNGK >EOY07394 pep chromosome:Theobroma_cacao_20110822:5:1429693:1430354:1 gene:TCM_021843 transcript:EOY07394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLCFNLHFSSCKLSFWRFRSCALEEFFCLFIILIIIDVPLFFSNQQLFLLFRILTRPQSGAPLPGNVGNGSILPSFEHLVSLLKRAFGFHSDINLVPDIESVRTGLYHV >EOY10941 pep chromosome:Theobroma_cacao_20110822:5:37145676:37150337:1 gene:TCM_026209 transcript:EOY10941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGCDGGDGTNSVPGGGGDGGGGGVDGVGGGGGGGEGQILRIGGILKKSLEPITLEDELETASLLAWIV >EOY08470 pep chromosome:Theobroma_cacao_20110822:5:8881197:8885681:1 gene:TCM_022927 transcript:EOY08470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase irlC isoform 2 MLQQHQHYLQSAATAAPPLPPPATSTPPSSTAGEAPPKQVALAMDRLGHAARLIADIRLGADRLLEALFVAAQPHQSSKPLHLFRQEDDSMRQHLQDLRTIGRQLEESGVLNESLRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDEQNGQGTDSVSKKHRSSQALLEDNQDNLSDCKTLSDVLTSLEKDMPNLKVLTYERLDWLKRASSLPASANDNTMEVPKHNFHSSSILRPGPQTVVSMDKVAVIELLFPSVFRAVVSLHPAGSTDPDSVAFFSPDEGGSYIHARGSSVYHVYRHITEHAAVALQYFLGIRSETALHSLLHWICSYRSLFTDICSKCGRLLAMEKQSALLLPPVHRPYRTFSPSKVSSTQAIASTGDQSCSAPGAYHIGCFSDEA >EOY08471 pep chromosome:Theobroma_cacao_20110822:5:8881660:8885528:1 gene:TCM_022927 transcript:EOY08471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase irlC isoform 2 MPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDEQNGQGTDSVSKKHRSSQALLEDNQDNLSDCKTLSDVLTSLEKDMPNLKVLTYERLDWLKRASSLPASANDNTMEVPKHNFHSSSILRPGPQTVVSMDKVAVIELLFPSVFRAVVSLHPAGSTDPDSVAFFSPDEGGSYIHARGSSVYHVYRHITEHAAVALQYFLGIRSETALHSLLHWICSYRSLFTDICSKCGRLLAMEKQSALLLPPVHRPYRTFSPSKVSSTQAIASTGDQSCSAPGAYHIGCFSDEA >EOY08010 pep chromosome:Theobroma_cacao_20110822:5:3931044:3931789:-1 gene:TCM_022331 transcript:EOY08010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWAQVVSRKEPYTTESCSAHHLNSALDRLVHNFLASTLQGRISCLRHVSVEDLWMMETIESQFGVNIAEYMIMRIRQVVMREETTLPYGNISSTLVKKKGIWSNRYLANWTSHKPRNLSFRWLVKGDMT >EOY09062 pep chromosome:Theobroma_cacao_20110822:5:25238380:25239269:1 gene:TCM_024411 transcript:EOY09062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCSQSKDQVLHGSHVATKSRGKSTVKDDKKIAMKTEMCHAEMVKQVTNERQGHGLDLRKEKLEAIEEEHESEDEPFLFSR >EOY10405 pep chromosome:Theobroma_cacao_20110822:5:34516811:34526369:-1 gene:TCM_025766 transcript:EOY10405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance pump, putative MKAYEDLMKQKQHIDIMVVGISSQAKLDDRVGLNASIECVRSKEILQMKQIEKIVERIARGVKANDMDERLLGTEPEENSILRRVWEESKKLWRVGFPSMLARVTSFGMFVVTQAFIGRIGEVQLAAYALIQVITVRFANGILLGMSSATETLCGQAFGAKQYHMLGIYLQRSWIINLFTTAVLLPVFIFASPIFKLLGEDDDIASDAGYISLWFIPMLYFFVFSLTIQKYLQCQLRNMIVGWISAGTFILHVLLSWIFVSKLNWGIPGAMSSMIISSWLVVIGEFIYIFGGWCPNTWKGFTFAAFLDLFPVVKLSISSGVMLCLELWYYAILVLLAGYMKNAAISIDAFSICLNIIAWQLMMFLGFLSAASVRVSNELGRGNAKAAKFSMKVIICTSLCIGVFFWALCLIFGHQFGYLFTSDEEVAKSVADLSVLLSFSVLLNSVQPILSGKHNNRVAIGAGRQSMVAYVNICSYYVVGVPLGILLGYVAKMEVKGIWIGMIIGVATQTIVLGYITSRTDWDEQVNKASERLNKWFLRPSETSDEHLSEE >EOY07878 pep chromosome:Theobroma_cacao_20110822:5:3106014:3109978:1 gene:TCM_022198 transcript:EOY07878 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor rpoD, putative MEAARNLLSPPPTFSTRTQLKSSVFSTSSSSVLMFHEQAAPAATSIPITSVARHFPTSVLLQEQRDDYRPLPVLHFFKEDKAYPGATDKQQIENGASLNEENASNNFGQLGFEKKLLQWPDLTQLLSLLESEENPSSTSNMQSVAADTKEIVDVEPSNIVALAKKALSASKQAASLSEDLKLDLDDSLSNSLGSANSCTLPVEKEEVVTVRSTRRLERQSKRRRVQPKVTIRETYSSRRTDVRRKLSEGFDPNDPLRLFLWGPETKQLLTAKEESELSNQVQNLMRLEKVKSKLQSQFGREPTLVEWAEAIGLRCSALQAELHSGNSSRDKLIYANLRMVVHIAKQYQSRGLSLQDLLQEGSMGLMKSVERFKPQVGCRFATYAYWWIRQTIRKSIMQHSRTVRLPENVHTLLSKVLDAKKSYIREGNHCPSKEELARRVGITVEKLDKLLFTTRMPLSMQQSVWVDQDITFQEVTPDTGIEIPDVSVAKQLMRQHVRNLLSVLSPRERTIIKLRFGIEESKENSLSEIGNMFGLSKERVRQLESRALYKLKQCLNKHGLGAYVDLLV >EOY08397 pep chromosome:Theobroma_cacao_20110822:5:7573410:7581136:-1 gene:TCM_022792 transcript:EOY08397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPTEAAQALAAFFAAMAGQAQTGQVPPVVPPTTPLVPPPIQDVSISKKLKEARQLGCVSFTGELDATVAKDWINQVSETLSDIGLDDDMKLMVATRLLEMRARTWWNSVKSRFATPQIWSDFLREFDGQYFTYFHQKEKKKEFLSLKQRNLIVEEYETRFYELMLYVPDLVKSEMDQASYFEEGLRNEIRERMTVTGREPHKEVVQMALQAEKLATENRRIRTEFAKRKNPGMSSSQPVKRGKESTTSGSTTSVSVTSPRPPFPPSQQRLSRFTRSAMTGSGKSFGGSDRCRNCGNYHSGLCRGPTRCFQCGQTGDIRSNCPQLGRATVVASSPPARTDMQRRDSSGLPPRQGVAIWSGVIRFAKREKLNPRYIGPFRIIERIGPVAYRLELPPELDRIHNVFHISMLKKYVPDPSHILETPPIELHEDLKFEVKPVRILDRKDRVLRNKSIPMVKVLWKNARMEEMTWEVESQMRNQYPHLLFESGGESSDKGKEIASEDQ >EOY07395 pep chromosome:Theobroma_cacao_20110822:5:1429837:1433256:-1 gene:TCM_021844 transcript:EOY07395 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MEIPLFYIILVLAPFLACALAGLPGLSTLSPGNSLAVENEDDYLVSPNGTFTGGFYKVGTNAYCFSIWFTNSADKTVVWMANRDRPVNGKQSRLTLHDSGNLVLLDADGSIVWSSNTVSSAAVEVRLLETGNLVLINREKNVVIWESFDFPTDTLLASQRFTRNTTLVSMRSRGTYLLGFYNLKFDDNNVLDLLYNGPLLSSVYWPNSVSTIFYNGRTPYNSSRIAVLNEIGSFKSSDNFRFNASDYGVGPKRRLTLDYDGILRLYSLDESTGSWKLSWRPGGLDSCLVQGLCGVNGICTYNPLPTCTCPTGFKRNNPSDWSKGCSPLFNLTCDPAELDFVELHKTDYYGFDLNTYQAGIALETCREKCLNDCKCKGFGYALDGKGQCFPKGALRNGYRNPSNRMIMHIKVPKGMVKSIEETEAVTTNVLDCSTGQLVLENNSPDDRKTNKNGYMKYLIAFAASVAGIEMICTGLGWWYVFRNHADNEESVDAGYIALALGFKRFTYSELKRATKNFKKEIGKGGFGTVYKGVLDDDRVVAVKRLEGVLQGHAQFWAEVSVIGKINHRNLVKLWGFCAENEHKILVYEYVENGSLDKILFFDSPKVLGWDQRYNIAVGTAKGLAYLHEECLEWVLHCDVKPQNILIDSHFEPKVADFGMSMLFKDGNDRGFSKVRGTRGYLAPEWMMNLKIDAKADVYSYGIVLLELLTGKSASGLESATSLDTECSDLVELTTESIREEELEKIIDPKLKDKYNKEQLKRMVEVALLCVKDDRSTRPAMSKVVELLMEMMSENQMKILKRSTD >EOY10347 pep chromosome:Theobroma_cacao_20110822:5:34299792:34301875:1 gene:TCM_025721 transcript:EOY10347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein MHTSNLISSSHVTFSKSTHQYYPTKRIENPKNFSYPPGFLNKSTPHSPKLCNFHKIHCYPFGKIQGLGTKRTPFSYIHENPSRKPGSRIPRSAAASESNPEGEAEAAEVAAKSKAKTLQLALVFGFWYFQNIVFNIYNKKALNVFPFPWLLASFQLFAGSIWMFVLWSLKLQPCPKITKPFIIALLGPALFHTIGHISACVSFSKVAVSFTHVIKSSEPVFSVVFSSFLGDTYPLKVWLSIVPIVLGCSLAAITEVSFNFQGLWGALISNVGFVLRNIYSKKSLQNFKEVNGLNLYGWISIISLLYLFPVAVIVEGSQWVQGYHKAIETVGKASTFYIWVLLSGIFYHLYNQSSYQALDEISPLTFSVGNTMKRVVVIVSTVLVFRNPVRPLNALGSGIAILGTFLYSQATAKKKSAGGEKKS >EOY09296 pep chromosome:Theobroma_cacao_20110822:5:28091062:28093975:1 gene:TCM_024723 transcript:EOY09296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-harvesting complex II protein Lhcb7 MAQIGPQLRLSSISSSFFNGGGGEDRRSLSTFLSLKPPSNLNSAKPRSFCKASWQELAGVLVFSAIPFTVVKAIAYSPLGESLQRQLLEKKKEAVQNSSKFRALADKARNERITPPVDVRLKNLRICALGILFVYQLSFWYGEDRPRWLGPIRYDYPEYLTGELPGDYGFDIAGLSKDPVDFQKYFNFEILHARWAMLAALGVVVPEILDLSGFSHFVEPVWWRVGYSKLQGETLDYLGIPGLHLAGGQGVIVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDINYPGGVLFDPLNLSKDPVAFEELKVKEIKNGRLAMVAWLGFYSQAALTGKGPVQNLLEHLSDPFHNNLFSILKSM >EOY09069 pep chromosome:Theobroma_cacao_20110822:5:25466006:25473671:1 gene:TCM_024432 transcript:EOY09069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRWAQHIFEWEWNEKIVWLSFLSPYLWVPIFLSRFMGVFFLRLITSFCITNLCLQDSTSIASVGCVYMGEFPKRISYGLAKSIRTKPLSWFQPFIRCKEPPKKKKEGPTLN >EOY10046 pep chromosome:Theobroma_cacao_20110822:5:32688365:32692559:-1 gene:TCM_025435 transcript:EOY10046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance-like protein MYNILDHIPAAAQCIFYTFDRILDPDKKVEKLKNEVDKLKNARNQVQKSVDVAIKNEQEILEGVHRWFIEVDKKLTEEEVKITKAEETARKRCFLESFPNFMSRYHLRKEVDKEAKAIAQLLKDGEFDGGAHIPASDISFKSREHALTEIINALKDANVNMIGVYGLAGVGKTTLVKEVARQAKESKLFDALAMVDVTKASDTENIQEELADQIDLVFTDNINITRLRADRIRQKLKSTKTLVILDDIRSRLNLKAIGIPFGHKLVACKILLTSRDLNVLSSEMGVENNFGISVLAEEEAWDLFKKMAGDGVEHPRLRSTAKEVSRGCACLPLAIVTVAKVLKNKSFIQRKAALNQLKWHNPSNLTEGTSSRNLTGIPADVYSAIKLSYDYLESEEHRLTFLLCSLLGRKATMFNLLTYAMGLGLFQGIITLEEAGVKVEALINQLKCSYLLRDGFAHWQFSIHDIVRDVAMSIPFRGGNILSMTNEVTLKDWEDKIFAKNCTAISLLDNEPMELPDELNCERLQFLHISCKVSSLNVPGGFFARTSELKVLDLTNMNFSSLPSSITQLANLCTLHLDKCLLKDIAIIGELKDLEILSLQRATIEELPEEIKQLTKLRSLNLSKTKIKAIPANLLSSLSRLEQLYLCESFVE >EOY09992 pep chromosome:Theobroma_cacao_20110822:5:32137657:32142344:-1 gene:TCM_025365 transcript:EOY09992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT5G17210) TAIR;Acc:AT5G17210] MERKAIVLCNVVGFLGILSAVTGFAAEATRIQASQVKFISPTQCQYPRSPALGLGLTAAVALLIAQIIINSATGCICCKRTRQTWNSNWTKALVFYVVSWFTFVIAFLLLLTGSALNDQHGEESVYFGNYYCYVVKPGVFAGGAVLALASVTLGIFYYLTVNMAKDSTGPWGNAPVPNQGGIAMGQPQFPPQSSQDPVFVHEDTYIRRQFT >EOY07917 pep chromosome:Theobroma_cacao_20110822:5:3314894:3319181:-1 gene:TCM_022238 transcript:EOY07917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein, putative MLKTLFPFKTKRTKKRENEKFSVSRAGGKSNKRDKQPNHAWKSILRVSFFVPLLRLAILLCSLMSIRLFIERVYMAIVILCVKVLRKKRYTRYSLEAMKDVELNKSHPLLLVQIPMYNEKEVYKLSIGSACGLSWPSPRLIVQVLAVSTNEVLRELIEFECRKWIEKGVNVKYETRNNRNGYKAGALKEGLEKHLFSVVNADGGLVTHLREMSLDYHFSVEQEVGSSTCSFFGFNGTAGVWRIPAIKDAGGWKDRTTVEDMDLAVRASLKGWKFLFVGDLAATYRYQRHRWSCGPANLFRKMTKEIIFCEEIPCHLCILLHEDDNCTLATISILNAVCTPRSIHLLIFWILFENVMSLHRTKAAIIGLLEANRVNEWVVTEKLGNTGKQKNSTKMLKKSRFRVGEERIHVLELVLGIFMLYRAIYGRDHFFLYLSLQAVAFFVMGLGYVGTFASN >EOY08541 pep chromosome:Theobroma_cacao_20110822:5:10392248:10397467:1 gene:TCM_023099 transcript:EOY08541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium dependent protein kinase 1 MGNSCITLRKDGLFQTISNSIWWSRPAEVGNEDKKQIGNEAHSESTEAEAVQNQPPEEMKIVKEETGREQQGKSQEETQRQQSKSAPQRLNSKPSQLAGFNKEETKVEPGKPRKPHNVKRQSCAGLQVDSVLQTKTGHLKEYYNLGRKLGHGQFGTTFLCVEKGSGKECACKSIAKRKLTTQDDVDDVRREIQIMHHMAGHPNVISIKGAYEDSVAVHVVMELCAGGELFDRIVKRGHYTERKAAELARTIVGVVEACHSMGVMHRDLKPENFLFVNEEEDSPLKAIDFGLSIFFKPGDILSDVVGSPYYVAPEVLRKRYGPEADVWSAGVIIYILLSGVPPFWGETEQEIFDEVLHGDLDFTSDPWPSISDSAKDLVRKMLVRDAKKRITAYEVLRHPWVQVDGVAPDKPLDSIVLSRMKQFSAMNKLKKMALRVIAQRLSEEEIAGLKEIFKMIDTDNSGQITYEELKAGLKKFGANLAESEFHALMQAADVDNSGTIDYEEFIAATLHLNKIEREDHLFAAFSYFDRDGSGYITQDELQKACQEFGIEDISLDEMIQEVDQDNDGRIDYNEFVAMMQKGNPEFGMKGPQGKGFSIGFREALPVC >EOY10932 pep chromosome:Theobroma_cacao_20110822:5:37046109:37052698:-1 gene:TCM_026196 transcript:EOY10932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast J-like domain 1 MALSMSNVFHCPKPKVSPKNSIPNSSISKSSCSFLRFPGRFAFPKTKIVICAASSAAGSSSPDSDLNPYEVLGVSPIEGFEKVKQVYTRKRKEADKRGDEATAALLEKAYDKLMMAQLMNRKKGVTYGSFKVSKDVKYADKQPIVPWGPRFSKSSVQDRRINLAISAVFTAWIVIKRNAEYKPLQFLAFAFVYRLFEKLKAFEPPVSPTFTEEGEDDGRGLRMGKRLLRSLALVFGCVALSSLAYTGLLNLIEYVGSYIPAVLYNNQELIITTASAVALYIMGSYYR >EOY07459 pep chromosome:Theobroma_cacao_20110822:5:1654250:1656678:-1 gene:TCM_021892 transcript:EOY07459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein, putative MYFKNFLVVFVLIQVAAASSSGFVFQKFDRGSLELSGVAEVRDDGLLRLTNAAPSQFGQAFYSVPFGFKNSSNGSVSSFSTTCVLAIAPDLGNGMALVLAPSKKFIMGQGLGLFNLSNNGSSSNHIVAVELRTFQNQEVDDIDDNHVGIAINSLTSAISGHAGYVSIGSSESRHVDLASGERIQLWVEYDGTKHQLNVTLSPIHLSKPKVPLLSMDIDLSPIIVEQMYVGFTSATGQQVSSLYVLGWSFQIEGKAQDLDLDKLPSLPGLGKSRKKQVILAVVLPLTGVLLVAVTISAMVFLAFRNKRAVVPDISEDWEVQFGSHRFPYEDLLVATGGFDEKELLGQGGFGQVFRGELPGSKVQVAVKRISHQSHQGTKEFISEICTIGRLRHPNLVKVLGYSRVNNELLLVYEYMPNGSLDKFLHNKPEVTLDWDQRFRIIKDVASALAFLHDEWTEVIIHRDIKPSNVLLDGDLNGKLGDFGLAICSNIAQGPQTTHIAGTFGYMAPELPKTGMPSTSTDVYAFGAFCLEVVCGRRPIKSQASADEVHLADWVFKCWKEGDLLKTIDSTLGKDIKVGEINLVMKLGLLCTHKVAAVRPRMPEIILYLKEQASLPESLDAILQEYAKEPGDYSAARANDSTAIMTVTESFLSRGR >EOY09029 pep chromosome:Theobroma_cacao_20110822:5:24950734:24958360:1 gene:TCM_024365 transcript:EOY09029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKMEKLVQKPCEVSKGIRGKNVCRGFAAVVTGSMGVSNIDISSSVIPYAIKIAKGMSFLLAPLYLGFVYKRMDSFVVPNPKVQLHVSSISSNDVWLRFIHSKRNKTSSQGKSTPSGEVEGLNISEFESGEESCDHTNDYESSDKSIEERLPKHEYLSLGGEVDGNAI >EOY11014 pep chromosome:Theobroma_cacao_20110822:5:37441431:37452615:-1 gene:TCM_026274 transcript:EOY11014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MNLESGSLSAACLSWKLFDWDGLAIITMGPCKLPILCKKKSIAWAMDPELYEAAASGNLNFLKRMDPNLHVFQVTKQQQNTVLHIAVKFKQVEFCQHILISSSSLLLKCNSKGESPLHVAAKIGCLEIAELLVDCAKQLQRDVESSGVSALRKPLRMVNLEKDTALHAAVRNGHFAVAKCLMEADQGLLGLVNAANASPLCLAIEGGFSRIASLILETFPKSLNGDINMKTALRSAVFHSQHDIVKILLKNVPNSRNETDQIGWSPLHYAALYGDLKSTQLLLQGNSSTAYIVDQDGTSALHVAAFRGHTNVVELIVQCCPDVHEVTDKKGRTVLHVAVISGQEKMVRHILEMPRLCGIINEKDNEGNTALHLAVIYKRDNIVTILARNRGMERAAVNNNLLTAYDIFSLQPRKLSLLTAKIHYWLRGTHGLPALQKWVNTNLKREMIGETGEDTNILFARGKHDETSISNTTNEASDETVKRSRLEIHLLIATLIATVTFQAAFTVPGGYKEDGPDKGMAQSIQKAPFKAFLIFNTIAFIFSIATVYIQFATSKFSYYLRSRYASLAEVMIFIAVLGMLLAFASGVYVELANSNGLRLMGYILVGCFLLVYYACWFLDPISMQIPGLQQPRKYLRDLLFRYGII >EOY09705 pep chromosome:Theobroma_cacao_20110822:5:30655557:30657781:-1 gene:TCM_025100 transcript:EOY09705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A regulatory B subunit family protein MFKGKRSIDKRKIKSSCRVTVFEKILLEINRFSQFCTYVSCAQRNLTKFSNVFFRESIDLVSSSLFRALPHSSTNATVAIQFGEFYYINRRNGIDDIPFQDPSWPHLELVYRFFSSLLKSPMAYPQLAAPCIKPSFILKLFDLFQSEDRKERGALVSVLQVIYRKFGVHRKLIRNSVFNVFYCCANEKHNGVPHLLLFLRSIVVEEEDDDDLRV >EOY07003 pep chromosome:Theobroma_cacao_20110822:5:141877:145909:-1 gene:TCM_021545 transcript:EOY07003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 2 MEKQQSFHAVTTEKQSSSQGCMEKQQSFKQSTARGVVEKQLSFRGVTNDRQPSGHGLMVKQKSFRGVMEKQKSFRVVMERQLSFIGAGERRKNRESPGKRGDSPLHLAARTGNLSRVREILQNSDGPNAKEFLAKQNLEGETPLYVAAENGQAVIVAEMLKHVDLETASITARNGYDPFHVAAKQGHVEVLEELLGTFPNSAMTTDLSCTTALHTAAAKGHIDVVNLLLETDSDLAKIARNNGKTVLHSAARNGHLEVVKSLLSKDPSTGFRVDRKGQTALHMAVKGQNEEIVLELLKPDPSVLGLEDNRGNTALHIAIKKGHTEIVRCLLSVERININATNKAGESPLDLAEKMGTPELVSILKEAGATNSKDHGKPPNAAKQLKQTVSDIKHDVQSQLQQTRQTGFRVQKIAKRLKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYVEDMKEGVSLGQAHIANKTPFIVFFVFDSLALFISLAVVVVQTSVVVIEQKAKKQLVFVINKLMWLACLFISVAFISLTYVVVGKQSRWLAVCATVIGSSIMLTTIGSMCYFVILHRMEESKLRSIRKAESRSRSFSMSMASEPEILNSEYKRMYAL >EOY07004 pep chromosome:Theobroma_cacao_20110822:5:141877:144774:-1 gene:TCM_021545 transcript:EOY07004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 2 MTTDLSCTTALHTAAAKGHIDVVNLLLETDSDLAKIARNNGKTVLHSAARNGHLEVVKSLLSKDPSTGFRVDRKGQTALHMAVKGQNEEIVLELLKPDPSVLGLEDNRGNTALHIAIKKGHTEIVRCLLSVERININATNKAGESPLDLAEKMGTPELVSILKEAGATNSKDHGKPPNAAKQLKQTVSDIKHDVQSQLQQTRQTGFRVQKIAKRLKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYVEDMKEGVSLGQAHIANKTPFIVFFVFDSLALFISLAVVVVQTSVVVIEQKAKKQLVFVINKLMWLACLFISVAFISLTYVVVGKQSRWLAVCATVIGSSIMLTTIGSMCYFVILHRMEESKLRSIRKAESRSRSFSMSMASEPEILNSEYKRMYAL >EOY10716 pep chromosome:Theobroma_cacao_20110822:5:36119082:36120656:1 gene:TCM_026023 transcript:EOY10716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLKFLVKSQFPMAMAVNRTFRARADNGRTLFLANQGKMGGRERVKINGPLAYHMLKTQRILINGEPDIENENYPDNPSLPVNREMGQRRKPYHILHWDQV >EOY09263 pep chromosome:Theobroma_cacao_20110822:5:27792694:27796011:-1 gene:TCM_024682 transcript:EOY09263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFKCCKTETGKGDASEKEDISEYVATYMGELIDVTKNMEKDLRVLINKVLPAKCEAYDYIRWSLGLMKLVENVVRMDDDCEEDDIIDWNNEMDNDCEDDYVGIHDDCLKDDKGEDNDIPDCNHADVSIEHATTIVLEDVQCDDHNTTIILKDVECDSLVYGNPIVGDNGICSLNDNESREVFPSKVELKQALSLLALKWHFKIRVNKSCHARFEVVCKDKACKFAMCGTKLLEGDYCQVCMFHKVHMCTVDGLQGGYRIASTRLIGELMSPKL >EOY08963 pep chromosome:Theobroma_cacao_20110822:5:23986509:23991609:1 gene:TCM_024262 transcript:EOY08963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl inositol monophosphate 5 kinase 4 MNKEQSSVLRAWEATVRKTQAAKKRANSIFGTISMANAAEDDPEDDHDKSVSISGDAHFAEKILPNGDYYTGHWYDNFPNGQGKYLWTDGCMYVGEWNRGKTMGRGRFSWPSGATYEGEFKSGYMDGTGIYTGSNGDTYKGQWVMNLKHGHGLKNYSNGDWYDGEWRRGLQEGHGKYQWKDGDHYVGEWKNGVICGKGTFVWSNGNKYDGYWEDGMPKGNGTYQWPDGSFYVGNWSKDPDEQNGTYYPSESSLAANLEWDPKHVFNELADCKICPGERVSILPSQKKLAIWYSTKGGDKPRRMSVDGRVSVGIERPFDKMNMWETDGDGHDLGEVRRDLDCELLGVHHDDTNPKFNLGLPLKAPKPGKRQGETISKGHKNYELMLNLQLGIRHSAGRPAPATSLDLKASAFDPKEKIWTRFPPEGSKYTPPHQSSEFKWKDYCPVVFRTLRKLFKVDPADYMISICGNDALRELSSPGKSGSFFYLTDDDRYMIKTMKKSEVKVLLRMLSAYYNHVRSFENTLVIKYYGLHCVKLTGPTQKKVRFIIMGNLLRSEYTIHRRFDLKGSSLGRITEKPASEIDDTTILKDLDLNFIFKLQKAWFQEFCRQIDRDCEFLEQERTMDYSLLVGLHFREISTHGELIPCGRRTPSGNSENESAPRLSRTDVDQLLLDPKRWASIKLGANMPARVERTIRKPESELQLVGEQTGECYEVVMFFGIIDILQDYDITKKLEHAYKSIHYDPTSISAVDPKQYSKRFRDFIFRVFAEDT >EOY11788 pep chromosome:Theobroma_cacao_20110822:5:40322492:40325894:-1 gene:TCM_026855 transcript:EOY11788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MSVTNYVLWCYILSYSGPLLSALPLPFPPASTSLLISNMGPEEMTKSEYKEKGGFRASAFVFVLVALENMGFVANMVSLVLYFGYVMYFNISAAANTLTNFMGSTFLLSLLGGFISDTFLSRFTTSLLFGFFEVLGLALVTIQAYSKDLHPTYCGKSSCIKGGMAVMLYASLALLALGSGGVKGALPALGGDQFDQKDPKEAKALARFFNWLLLSTTLGACIGVTGIVWVSTEKDWYWGFFISTVATFIGFVVLALGKPYYRLREPTPSDSPIIRIAQVIAVAIKNRRLALPENPDELFEMNEKEKVFREETISHTNQFRCLDKAAIVTNDSKLTPWTVCTVTQVEEVKILTRMLPILGSTIIMNTCMAQLQTFSVQQGNIMDRHLGKKEVPAPSIPVIPLAFMSILIPIYELFFVPFARKITHHPSGITQLQRIGVGLVLSAISMTVAGVVEVKRRNQALKDITKPISLFWLSFQYGIFGIADMFTLVGLLEFFYKEAPVCMRSLSTSFTWLSLSFGYFLSTLFVNIINSVTKRITPSKQGWLHGLDLNQNNLNLFYWFLAILSSLNFVNYLYWASWYKYTPEEPDPDTKPKDLSTGPPLAKEENTLDGGAKETNDAPSSVQTEDAKQ >EOY11790 pep chromosome:Theobroma_cacao_20110822:5:40322942:40325702:-1 gene:TCM_026855 transcript:EOY11790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MSVTNYVLWCYILSYSGPLLSALPLPFPPASTSLLISNMGPEEMTKSEYKEKGGFRASAFVFGLALVTIQAYSKDLHPTYCGKSSCIKGGMAVMLYASLALLALGSGGVKGALPALGGDQFDQKDPKEAKALARFFNWLLLSTTLGACIGVTGIVWVSTEKDWYWGFFISTVATFIGFVVLALGKPYYRLREPTPSDSPIIRIAQVIAVAIKNRRLALPENPDELFEMNEKEKVFREETISHTNQFRCLDKAAIVTNDSKLTPWTVCTVTQVEEVKILTRMLPILGSTIIMNTCMAQLQTFSVQQGNIMDRHLGKKEVPAPSIPVIPLAFMSILIPIYELFFVPFARKITHHPSGITQLQRIGVGLVLSAISMTVAGVVEVKRRNQALKDITKPISLFWLSFQYGIFGIADMFTLVGLLEFFYKEAPVCMRSLSTSFTWLSLSFGYFLSTLFVNIINSVTKRITPSKQGWLHGLDLNQNNLNLFYWFLAILSSLNFVNYLYWASWYKYTPEEPDPDTKPKDLSTGPPLAKEENTLDGGAKETNDAPSSVQTEDAKQ >EOY11789 pep chromosome:Theobroma_cacao_20110822:5:40322942:40325702:-1 gene:TCM_026855 transcript:EOY11789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MNEKEKVFREETISHTNQFRCLDKAAIVTNDSKLTPWTVCTVTQVEEVKILTRMLPILGSTIIMNTCMAQLQTFSVQQGNIMDRHLGKKEVPAPSIPVIPLAFMSILIPIYELFFVPFARKITHHPSGITQLQRIGVGLVLSAISMTVAGVVEVKRRNQALKDITKPISLFWLSFQYGIFGIADMFTLVGLLEFFYKEAPVCMRSLSTSFTWLSLSFGYFLSTLFVNIINSVTKRITPSKQGWLHGLDLNQNNLNLFYWFLAILSSLNFVNYLYWASWYKYTPEEPDPDTKPKDLSTGPPLAKEENTLDGGAKETNDAPSSVQTEDAKQ >EOY10132 pep chromosome:Theobroma_cacao_20110822:5:33120985:33122709:-1 gene:TCM_046802 transcript:EOY10132 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MYDLKKTEHLLALDGANERLHLFKAELLEEGAFDSVVDGCIGVFHTASPCYYNAENPQAEMIDPAVKGTLNVFRSCVKVPSIKRVIITSSLGAVVFTGKPLADNGVIDETWFSDPVICQKSKELLVLTYHQVQVM >EOY11283 pep chromosome:Theobroma_cacao_20110822:5:38811708:38812700:1 gene:TCM_026517 transcript:EOY11283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF679) [Source:Projected from Arabidopsis thaliana (AT5G27370) TAIR;Acc:AT5G27370] MVLPKVNLAQSAHKALPGSANLANLLPTGTVFAFQAIVPSFSNNGRCEAAHKYMTLGVIILCSLACFLSSFTDSFVGEDGKLYYGIATYNGLYVFNDDNDDALDLEKDSANEMLKKYRLTAKDFVHACCSLTLFLVIACSSFDVQRCYFPNPGPNGDALMTNLPLAAGILASGLFMLFPTKRRGIGYADRLESDHGDKKEEKESLKKGDKELLPRSKTTPN >EOY08727 pep chromosome:Theobroma_cacao_20110822:5:18846330:18861064:-1 gene:TCM_023820 transcript:EOY08727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFEEKMKEAMARGYRPSKVLGVRDFLPSCGKGATLVIREECVRIQQAWFKDKMGKCQVVEEDSKEDSSMCSDQGDDIPKDI >EOY08249 pep chromosome:Theobroma_cacao_20110822:5:5931857:5934331:-1 gene:TCM_022597 transcript:EOY08249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide (PPR) repeat-containing protein, putative MKKNLLKPLQNPQSKSPQPLPPLSPLLDSPQTPTPSLSLFPTIPQPHHQNQLFSFLKTYLTHQPLTPKTLLHFLKIKLHHHPVFTHYDFQVFSWASTIDSFHHDHSTYLWMAHSLASSHRFSQLRSLLSFIAANPCPCSPGIFSCPQMEPLFRFVIDSFCRARKLNDAVFAFETMKKLIDGRPSVVIYNVLINGYLKNGDFDKALGFYERMERDRVKPDVYTFNTLINGYCRNGKFESGLELFREMKEKGCSPNVVTFNTLIRGFFRERKVDEAVKMAYEMIQLGCDFSSVTCEILVNGLCKEGRLLEACDLVIDFSRRMLLPKGFDYCDLMEELCGKGNGRAFEVVNELWIKGNVPSLIACTTLIEGLRRLGRREDAFRLMDKMLKDGIVPDIMTFNCLMQDLCDLGRTMDANKFRLVASSKGLEPDEVTYNILIYGYTREGRRKEGEILVDEMLDKGFIPDIARYNRLMDGLSNSKSLTLKKVSACRRSA >EOY07372 pep chromosome:Theobroma_cacao_20110822:5:1355852:1362039:1 gene:TCM_021824 transcript:EOY07372 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO guanyl-nucleotide exchange factor 7 MDDGAFTHQKQVDSTQQQHYQFKLCLPVPELKAKSFWVLKSVRSLCYRGLESNGCCLKRLEYSGMIVNNSVFCASPGFFEEEKAEMEGLVEKSEGFEDKNESFNENGVQMGTFAKLLEDKGRESSSSSDFLTSETTGHEEEHSHSSSEEESASPPSLGWPVQKNAETEDCSSSNCSEDGKKPPSDDRKLEKQGSTVSEIELMKERFAKLLLGEDMSGCGNGVCTALAISNAITNLCATLFGQIWRLEPLPVEKKAMWRREMEWLLSVSDHIVELIPSWQTFPDGSKLEVMTCRPRSDLYINLPALRKLDNMLLEILDSFVDTEFWYVDQGILAPEADGSTSFRKALQRQEEKWWLPVPRVPPGGLHENSRKQLQHKRDCTNQILKAAMAINSITLADMEVPESYLESLPKNGRASLGDLIYRYISSDLFTPECLLDCLDLSSEHQAIEIANRVEASIYLWRKKTNSKPVNNTTRSSSKSSWEMVKDLMVDAEKREILADRAESLLLCLKQRFPGLPQTTLDMSKIQYNKDVGKSILESYSRVLESLAFNIVARIDDLLYVDDLTKHSDQFSSLTRVGVIGQKSLSIPYSVPFSSTPYRTAFTTPNFSPAQLVSPAKGERSPYLTSSKFQQRGIGVKKVLTDYLSIDVRGKECSNSNEGTESERVDAAGECGKSSPDNEALKLAPCAEAAQDQNAAVSASCCDQVRKIGRSPSCLCAVMLSNTAKASGIKPEIAITIPKRCNIANRPVGYKCGPYTLP >EOY11139 pep chromosome:Theobroma_cacao_20110822:5:38107352:38110844:1 gene:TCM_026405 transcript:EOY11139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MELRCGLKEREMELRCGSSAEARGTVKHWLVLKEGQNDGKYRTKCCVVCKETVESGPRLGCSQCEDIYHKGCVFSALSDQEIVHPFHHEHSLYLCDQMHFDATELVCNFCDKACNSPFFSCISCELCIHVRCATLPEVIAGKFVKIHHEDHIHPLVLIENHSYKLEQAVCYCCSEPLVDSIFVCIDCKFYLHKNCVSPVKISHPCHRKHSLLLHVAAAFEPVSCKVCQRTESRQLFYRCSPCNFNLHTECVSPSPFVDNASHEHPFTCLLRHQSFICDACGTQGDCAPYHCRTCNLLVHKECISLPRRFKITRHHHLLYHTYFLEEHEFKKWDCKICHNEVNAEHGSYNCSLCNYVVHVNCANDVADLDGLIMTRSKDKWPCKNLAFLFDESISFIVIKEVEFEGHKIAKEIRHFSHVHDLALTGEIGDDKRCDGCMLSISTSSYGCSLCDFFLHKSCAELPREKHHWLHEHPFKLSSDTIFKCNWCHHESSGFSYYCGKCDMNLFLRCERISEEYTIQAHEHPLVFYHNYDGECNACGDHIDYAFKCKDCDFALDIQCLSLPYSKQHKCDQHPLILTYHGSNDALSNCYCDICEEERDSNRWFYGCAICNTSVHPKCVLEKYQFIKLGSYYKDEHPHLLTYVQKIYCYPKCFKCDKPCLDLALECIEFGCKYVVHWECIKPSRLCFTRKMGRKREDGNQEED >EOY08965 pep chromosome:Theobroma_cacao_20110822:5:24018066:24019642:-1 gene:TCM_024266 transcript:EOY08965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFQFMSLGCKIDNIINNKAGLYVFKINGQNHYKIGSLLPIVVFLIVGNIPKFAQLLHMKLKMKFQIVTYTIEFQKLGLPHVHILLWLHHDHKCLSSEDIDRIISAELPANHVDLIRYKVVAEFMLYGPSGLS >EOY07823 pep chromosome:Theobroma_cacao_20110822:5:2849883:2853530:1 gene:TCM_022145 transcript:EOY07823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase MEIAVKESTIVCPAEETPNRRLWVSNFDLVMIMYHISTVYFYKPNGSTNIFDTKVLKESLSKILVPFYPIAGRLGYDENGRLEIICNAKGVLFTEAESSSIMDDLVQDFTDGSKVPQLVPKIDYSGGISSYPLLGLQVTTFKCGGISLGVAVQHTLLDGSSGLHFINSWANTVGGLSPSISPFLDRTLLRARNPPTPKFHHVEFEPSPSLQTMFSTSESQQSPKPSIVSVFKITTDQLNTLKAKVNESSNSNTKYSTYSILTAHIWRCATKARDLLEDQQLKLTMPVDGRNRLHPPLPPGYFGNVIVMAALVALSGDLLSESFIDTVKRIHKILKEMDDEYLRSSIDYIEKAPDINVIRRGPQTMRCPNLSVNSWIWLPIHDADFGWGRPIFMRPANIVHEGKVYILPSPTKDGSVTLVTRLETSHMKLFGKLLYEF >EOY07200 pep chromosome:Theobroma_cacao_20110822:5:824166:825390:1 gene:TCM_021692 transcript:EOY07200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein MAIQLNHFRASTLKQEKQPQPPFFTSSKTRTFRVAAASSNALQLIQSGEVKPIPPKDAATAMNSEGFRLLDIRPEWEREKAHVKGSVHVPLFVKDMDNSPITLLKKWVHFGYIGLWTGQNFTMINPNFIREVEAAVPDKDAKLLVACGEGLRSMMATSKLYEGGYKNLGWLAGGFNRAADGDFPEVEGPEKLQYATLGGASYYFFQLLLLLQAVGKE >EOY07898 pep chromosome:Theobroma_cacao_20110822:5:3173068:3175661:1 gene:TCM_022214 transcript:EOY07898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumenal 15.0 kDa protein 2 isoform 2 MAFLQYPSPSFRITSIPTYSVPIRASSRLSLPVTPSLNSSTPRNWVPDFRAKSLNWIFSGALALSLSLPGIGFAEAKVGVNKPELLPKEFTSVIDVAGFLSDGQEKRLAEEIGNIEKDTGFKLRVLAQNYPDTPGLAIKDFWQVDDRTIVFVADPTFGNILNFNVGASVDLDIPRSFWSRLAGKYGNMFYWKEKGEDASIEAAVLAISNCLREPVGPNNCSENHVMLFLD >EOY07897 pep chromosome:Theobroma_cacao_20110822:5:3172829:3176270:1 gene:TCM_022214 transcript:EOY07897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumenal 15.0 kDa protein 2 isoform 2 MAFLQYPSPSFRITSIPTYSVPIRASSRLSLPVTPSLNSSTPRNWVPDFRAKSLNWIFSGALALSLSLPGKSINLQWMVLDCFQCCFFYYICKIKRFHEPNLVGIGFAEAKVGVNKPELLPKEFTSVIDVAGFLSDGQEKRLAEEIGNIEKDTGFKLRVLAQNYPDTPGLAIKDFWQVDDRTIVFVADPTFGNILNFNVGASVDLDIPRSFWSRLAGKYGNMFYWKEKGEDASIEAAVLAISNCLREPVGPNNCSEVK >EOY09344 pep chromosome:Theobroma_cacao_20110822:5:28406124:28416133:-1 gene:TCM_024765 transcript:EOY09344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRTHLPPKIHYGELGKAAIRRKTTAHKRKNNDFELVAPTKIRVGKSGEVPLVPENWGDEIIRERGEDHFWEEVRAKQVDEHPSESVGYDWNRLCSKAPPHRRSGILGPPILKFRFERGEFPLSATKLGSNSQFVHSWDEWVTKVLKNPSYVKLLSSVGILDAVRITSKLNIRREKRIDVWRAILARWSTFSHTMITAWGEFTFTLEDVCVLLELPCIENDDFHSIKLSEEEFNAKGIEIDSPEYPDHKYELVALTIFWLARHVLLRCPDDGSLYKRLDLQQLKTVELAGRYKVLTYVDVSFIQMCLWERFGTCAPVPNAYLFASFSVNNPLSKNNYRAWAWHDRLPRGNVLEVMDVTKEFNPRPYVQPINGFGGDRNILSVEIYSPFRVARQFGFDQPAPPDSSSSISFSSCVSSFFMARLQLRLDKLKSCTIPAFDRVGTNTSGWFAYWMECVEEWRSFIMPLTNPRACLYTPPISNFDVSLRLISLKKKRNVNEEKYDAPCVLTHQTKHVHQKRETTARVELNLIEEEETFEAETEEESCDFERSDESDDESVDVDKAEVEGELTPFDDFIDLDVLFPNHVQSSTMNQAIRDEVVPDTETIPAIEVVLEATPNVEVIHDVGVDTDDVRAIPMTLHASSSPVPKHRDASSAFSTQVAYTEQSGEFWSTSFIKNVDVICLMMEVLGKALAISHAPLMSTSLEELQQMLQDFDDACNF >EOY11149 pep chromosome:Theobroma_cacao_20110822:5:38148754:38149432:-1 gene:TCM_026411 transcript:EOY11149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stable protein 1 MEEAKGVVKHVLLAKFKDETTAEKIEELIKGYANLVNLIEPMKAFQWGKDVSIENLHQGFTHVFESTFESTEGIAEYVAHPVHVQFANLFLGHLEKVLVIDYKPTIARC >EOY10599 pep chromosome:Theobroma_cacao_20110822:5:35538120:35540610:1 gene:TCM_025926 transcript:EOY10599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1639) [Source:Projected from Arabidopsis thaliana (AT3G03880) TAIR;Acc:AT3G03880] MEKEERGQKTCKTIEPELFLQWGNRKRLRCVRVKDPQKISHKSNAVIRRRITSRLLEKESSPFSQPNHRLTRNSEAAILRSGTTEHRKTLSSEKEDRYYTTRGSSVGLVDENGKVADSNNGEDNKGLVWPKLFITLSSKEKEEDFMAMKGCKPPQRPKKRAKIIQRSLLLVSPGAWLTDMCQERYEVREKKSSKKRPRGLKAMGSMESDSD >EOY10182 pep chromosome:Theobroma_cacao_20110822:5:33378667:33382304:-1 gene:TCM_025550 transcript:EOY10182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 1 MEGNDNSTPKDYYKLLEVDYDATDEKIRLNYRKLALKWHPDKHKGDSAVTAKFQEINEAYKVLMDPDKRFEYDLTGIYEIDKYTLREYLARFKGMILTCNGLGISHTSI >EOY10181 pep chromosome:Theobroma_cacao_20110822:5:33377160:33382247:-1 gene:TCM_025550 transcript:EOY10181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 1 MEGNDNSTPKDYYKLLEVDYDATDEKIRLNYRKLALKWHPDKHKGDSAVTAKFQEINEAYKVLMDPDKRFEYDLTGIYEIDKYTLREYLARFKGMILTCNGLGISHTSIWTQQLTETNDFVDE >EOY07642 pep chromosome:Theobroma_cacao_20110822:5:2292613:2294140:1 gene:TCM_022027 transcript:EOY07642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCANHLTRSKHGEKNFYLFSSLDSSIFRSFHCSCLTSLTTRISSTHPEKKKERISSNVVVVGRGLSKARGLLACLLLC >EOY09434 pep chromosome:Theobroma_cacao_20110822:5:29104501:29107183:1 gene:TCM_024852 transcript:EOY09434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 93A3 MADFQPYIILFLIWLVSLILVRRIFTKSRTTSRLPPSPMAIPIIGHLHLLSPIPHQALHKLSTKNGPLMHVLLGSVPCVVASTPEMAKEFLKTHESSFSNRPKTAAVDYLTYGSTDFSFAPYGPYWKFMKKICMSELLSGRMLDQFLPVRREELRQFLQFLMKKVNASEKVDVGGELVRLTNNIVSRMTMNQTCSNDEDEAEEVRKLVQAIAELSGQFNLSDFIWFCKNLDLQGMNKKLKEVRDKFDAMMDKIIREHEEARKRKEDGGDAVKDLLDILLDISEDESSEMRLTRENIKAFILDIFAAGTDTSAVTTEWALAELINHPNIMEKARAEIDIVVGRNRIVEESDTTNLPYLQAIVKETLRLHPTGPMIVRESSEHCTVGGYDIPAKTRLFVNVWAIGRDPYHWENPLEFRPERFVSIEESGKSQLDVRGQHFHLLPFGSGRRSCPGTSLALQVVQTSLAAMIQCFDWKVNGADGTVDMKEGPGLTLPRAHPLICIPVPRLNPFPSF >EOY10860 pep chromosome:Theobroma_cacao_20110822:5:36842931:36846682:1 gene:TCM_026154 transcript:EOY10860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNSPAREKRSFDSHEHTLFHSYVEKGTTKQRCDVCRKEIYGLVYACETCKFSRHRWCAERRMPSEITHPSHSMHKLKLQDESSDFLCERCFHNSRGPRYHCYSCDIDVDLACASSTKDQLTREDQGLIPAGRARNRIKHFSHGEPLALFKYRKTTKYYELDCSWCDKRLSGMSYGCFKSGPYGCRFFLHESCMSKIPTRLQHPFHRQHYLYVQYYNPFYQFSCNACNCPLLLSLTAYYACHECNFYLHISCARLLPTLNHKCHKHSLTYFSRSTEKRFNCNACGGDCDSKKSKEGGFYRCVQCNFNIHFRCLPISPIAKHRYHRHFLILHDGIIEDDIREYYCDICEEERNPKHHIYYCKKCTYIGHVECVVKEVSDSEVRKMMDLTKRVQLSDGKIPKLKETAGNYMDKNQLFEMQEVHLLLKDLQQEEKEKKKEKKELREKDEDEESSSDDEEDEETDDIDDEWTSEEEEDGDKEEMNEEEEEDEEKEGKDEQKMEEEERLEENEESSDEEKEEEDDKLKETSEEEKKEFKNKEEKHEEEDEEKEGENEEHMEEEEGLEENEENSDEEKEEEDDKLKEMSEEEKKEFKNKEEKHEVEDEKKEGKNEEHMEEDEEGELEENEESSDEEEDESEDGNEEKNEKNEANQGKASTSINGTKKMSAHPLKPSKTLALEIEHFSHWHPLSLFHLTKKYEYENCKACRQELNGTVYICKTCEFPLFYYGLHKACAELPYELIHPFHSLHYLTLLPQFPYSETRCFLCDECGEFSGGFVYLCMDCQFKIDVKCAMLVASKNGCQKPKRRGKETKLLHFSHQHMLVLGNLGNKSAACCGCNLPILGLAYCCLDCLYFIHESCLRTLPQEIQHPFHPLHPLVAFHDPNDRKCHACKLEFRLSDFI >EOY08357 pep chromosome:Theobroma_cacao_20110822:5:6840491:6841844:-1 gene:TCM_022715 transcript:EOY08357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLILCHEPFRCHEASPLSSQAMPCESCSVLSPALPCGGCPVLSHTAKPFFSRLELCHGRGSAMLSRAVKPLFSHPKLCPTDVLPCLTLSDLSRACPFLSTNRIVGL >EOY09158 pep chromosome:Theobroma_cacao_20110822:5:26700931:26702856:1 gene:TCM_024559 transcript:EOY09158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone synthase MALPENFGRRKPAQSLATILSIGKATPAYCISQADFPDYYFRVTKSEHMTQLKEKFKRICEKSMISKRHFVLTEEIINKNPNISTYSSPSLDIRQQILAAEVPKLAMEAASKAIQEWGQPKSQITHLIFSAVSGVDMPGADYRLTKLLGLPSSVKRVMMYFQGCYAGGAILRMAKDIAENNTGARVLVVSSEILLGIFRAPNEHDTPSLIGNAILGDGAAAMIIGADPNALKERPLFQIVSASENIIPDSHGAIEGHIHEAGLSINLSRDVSKLIADNIDKCLAEALSPISNNDWNSFFWIVHPGGNAILDQIEIKLGLKREKLLTTRHVLSEFGHMSSATVFFILDEMRRRSMEVGKATGEGLEWGFLLGLGAGITVDTVVLRSFPTNTTH >EOY09194 pep chromosome:Theobroma_cacao_20110822:5:27051964:27058446:1 gene:TCM_024594 transcript:EOY09194 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyltransferase, putative MLTTLYINPTEAGFVHIHQRIHIAISGTQRDSSAFFPKMPNQENSVAVVMVPFPAQGHLNQLLHLSRIVLSYGIPVHYVATPTHNRQAKIRAHGWDPVATANFHFHDCQVPPFLSPPPDPSSAIKFPSHLQPCFDACWHLCQPVTELLRMLSSQARKVIVIHDSMMGSVVQEVGSIANAESYTFHTVSAFSLFFYVWESIGKPEVNAEMPDEGDVPSLEGCFTKDFLEFIALQFQYLRDNAGIIYNTSKVIEGPYLELLGGIMRGKQHWALGPFNPVRIPGKNSSASRHFCLEWLDKQATNSVLYVSFGTTTAMDDEQIKELAIGLRKSNQKFIWVLRDADKGDVFNGEVRRPELPQGYEDSVKDTGLVVRDWAPQLEILAHPATGGFVSHCGWNSCMESITMGVPIGAWPMHSDQPRNAVLITKLLKVGIAVKDWERRDGTVTAPIVEDAVKRLMASKEGDEMRKRAAEVGGAVRQSVAEGEVSQKERDSFIAHITRK >EOY07874 pep chromosome:Theobroma_cacao_20110822:5:3091986:3095901:1 gene:TCM_022193 transcript:EOY07874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MKCFIFPSWDKKDESKTPKSVSTRSMNSIFTDREIGRSGSELNSQNVSGVSNESMGRSSFPSMSQRPSNLRVFTVSELKSATKNFSRSVMVGEGGFGCVYKGFLKSPDDSSQKIEVAVKQLGKRGLQGHKEWVTEVNVLGVVEHPNLVKLVGYCAEDDERGIQRLLIYEYMPNRSVENYLSVRSETTLSWEMRLKIAQDAARGLAYLHEGMDFQIIFRDLKSSNILLDEQWNAKLSDFGLARLGPSEGLTHVSTAVVGTMGYAAPEYIQTGRLTSKIDVWSYGVFLYELITGRRPLDKNRPKNEQKLLDWVKPYLSDAKKFQFILDPRLKGKCQLKSAQRLATVANRCLVRNPKSRPKMSEVLEMVNRVVEASAGSGSPEPLLKTLPSVETSGETGRKHRRRIIDFRSGEKFVWPWTPKLVRAC >EOY10786 pep chromosome:Theobroma_cacao_20110822:5:36523344:36524570:1 gene:TCM_026097 transcript:EOY10786 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein MKGVQLFLVASAFLAFAWSLASASDPSPLQDFCVAINDTKDGVFVNGKFCQDPKLAKAEDFFYSGLNIPRNTSNPLGSTVTQVNVAQILGLNTLGISLARIDYAPYGGLNPPHTHPRASEILVVLEGTLYVGFVTSNPENRLITKVLYPGDVFVFPVGLIHFQLNIGKTNAVAFAGLSSQNPGVITIAKAVFGSNPPINPDVLTKAFQLDKNIVTSLQSRFWWDNN >EOY08656 pep chromosome:Theobroma_cacao_20110822:5:16120341:16136510:-1 gene:TCM_023572 transcript:EOY08656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRKRERKNRERGRAKRMVLLHFEEGKREFLLQFWRKKNAENFEAGKLIFLKLIAGIFEVSMADFGAENSRFLLLKLCEFAAGIFGGFAAIKGSKVLPICVEKLELFAANLFRKIVQKNWSCLYRKIGVVCYRKIGAVCVEKSELFAANLCRKIGQHCAANLREKK >EOY09543 pep chromosome:Theobroma_cacao_20110822:5:29750070:29752057:-1 gene:TCM_024954 transcript:EOY09543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSLLLPCSFLHPSPPRLSLPRLQSQPRHINSDSSRHDICHASPPCLSFQSNFSCKSLCSHQSLAGTANASNEGAVSVINIEDFYEKDWSFLDSDDLNSEQVRQNIDRITSAGEIEETSRVLVSIGSEGFVDHLVESSPSQLLLVVHDSILILAGIKEKYDEVKCWQGELIGVPEKWSPLDVVFLYFLPALPFKLDQIFTLLAKRCSPGARLVISHPQGRAVLQQQGKQFPDIIVANLPDKTTLQRVAADHSFEMTEFEDEPGFYLAVLKFIKANN >EOY08890 pep chromosome:Theobroma_cacao_20110822:5:22797869:22801013:1 gene:TCM_024168 transcript:EOY08890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYALSNSRPQCSSTCCLVQNYNSQASSNMIFASILSELDEVAFYNGPWATWRKVPVANKTYIRIPFRYASTLLQKFGASSTQLEFDVKTWHEIVKGVGHGLCSISPTWNSRYASCETSFRTIRWSSRYASSISFETYGHSSDMSIIFNINSTSDGNDGENPRDDDDDNEGVIPMDDSVDVEDAIDEASGEDDI >EOY07201 pep chromosome:Theobroma_cacao_20110822:5:825681:827894:-1 gene:TCM_021693 transcript:EOY07201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein MAGTGVVAVYGNGAITETHKSPFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTPADEENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRVLRNMDDDEVFSFAKKIQSPYDLVMQTKQLGRLPVVHFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPARRARAIVQAVTHYSDPDVLAEVSCGLGEAMVGLNLNDKKVERFAARSE >EOY11213 pep chromosome:Theobroma_cacao_20110822:5:38367330:38369721:-1 gene:TCM_026459 transcript:EOY11213 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 MASLLSFSLPKPNIIKASSASPATTTLPIPEALDEKFGRKGIKFSESNNIPFVELTVRNGSSLRLRIPDAHVTSYKPKVYWKDDGLEEILYTVPAGGGDSTKAKGGIGLVINDASDKSSKGSLLSGAGWTVKDADNDSIDALQVELSCTAGTLNISYVVSLYPLSIATAVIVKNNGRKDVTLTSAILSHLNFKKRGRTAINGLKGCSYCSHPPLSSPFELLSPSEAMKSESSGWFGSENEKKPGVWTTQDVPITILKNKLSRVYAAPPSERLKAIYNTPPSKYETLDQDRELFFRVIRMGFEDIYLSSPGSLSEKYGKEYFICTGPASMMVPLVVKPGENWRGAQVIEHDNL >EOY09059 pep chromosome:Theobroma_cacao_20110822:5:25226631:25229315:-1 gene:TCM_024409 transcript:EOY09059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene cyclase MDTLLRTHNKLELLPQLHGFSEKACNLSSVRVQNQEPKFGPKKSYFKRGRGNTCVKASSTALLEMVPETKKENLEFELPIYDPSKGTVVDLAVVGGGPAGLAVAQRVSQAGLSVCSIDPSPKLIWPNNYGVWVDEFEAMDLLDCLDTTWSGAVVYIDDQKKKDLGRPYGRVNRKQLKSKMLQKCISNGVKFHQAKVIKVIHEESKSLLICNDGVTVQATVVLDATGFSRCLVQYDKPYNPGYQMAYGILAEVEEHPFDVDKMVFMDWRDSHLINNKELRERNSKIPTFLYAMPFSSDRIFLEETSLVARPGVPMNDIKERMVARLRHLGIKVKSIEEDEHCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVAESIVRCLDPGKSFLGNKLSAEVWRDLWPIERRRQREFFCFGMDILLKLDLPATRRFFDAFFDLEPHYWHGFLSSRLFLPELIVFGLSLFSHASTTSRLEIMAKGTLPLVNMINNLVQDRE >EOY09294 pep chromosome:Theobroma_cacao_20110822:5:28061141:28067918:-1 gene:TCM_024718 transcript:EOY09294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed imbibition 2 isoform 1 MTVTPRISINDGNLVVHGKTILTGVPDNIVLTPGSGVGLVAGTFIGATASDSKSLHVFPIGVLEGLRFMCCFRFKLWWMTQRMGTCGKDVPFETQFMLVESKEEDDPNAPTIYTVFLPLLEGQFRAVLQGNDKNEIEICLESGDNAVETNRGLYLVYMHAGTNPFEVINQAVTAVEKHMQTFLHREKKKVPSFLDWFGWCTWDAFYTDVTAEGVEEGLKSLSEGGTPPRFLIIDDGWQQIENKPKDSDCVVQEGAQFASRLTGIKENAKFQKNGQDSEQISGLKHVVDKAKQHHDVKYVYVWHALAGYWGGVKPAAAGMEHYDTALAYPVQSPGVMGNQPDIVMDSLAVHGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRSYVQALEASIARNFCDNGCIACMCHNTDGIYSTKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHAAARAIGGCAIYVSDKPGNHNFELLKKLVLPDGSVLRAQLPGRPTRDCLFADPARDGISLLKIWNVNKCSGVVGVFNCQGAGWCKITKKTRIHDASPGTLTGSVCVNDVDSITQVAGADWNGETVVYAHRSGNSRGGGTVT >EOY09293 pep chromosome:Theobroma_cacao_20110822:5:28060331:28068630:-1 gene:TCM_024718 transcript:EOY09293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed imbibition 2 isoform 1 MTVTPRISINDGNLVVHGKTILTGVPDNIVLTPGSGVGLVAGTFIGATASDSKSLHVFPIGVLEGLRFMCCFRFKLWWMTQRMGTCGKDVPFETQFMLVESKEEDDPNAPTIYTVFLPLLEGQFRAVLQGNDKNEIEICLESGDNAVETNRGLYLVYMHAGTNPFEVINQAVTAVEKHMQTFLHREKKKVPSFLDWFGWCTWDAFYTDVTAEGVEEGLKSLSEGGTPPRFLIIDDGWQQIENKPKDSDCVVQEGAQFASRLTGIKENAKFQKNGQDSEQISGLKHVVDKAKQHHDVKYVYVWHALAGYWGGVKPAAAGMEHYDTALAYPVQSPGVMGNQPDIVMDSLAVHGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRSYVQALEASIARNFCDNGCIACMCHNTDGIYSTKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHAAARAIGGCAIYVSDKPGNHNFELLKKLVLPDGSVLRAQLPGRPTRDCLFADPARDGISLLKIWNVNKCSGVVGVFNCQGAGWCKITKKTRIHDASPGTLTGSVCVNDVDSITQVAGADWNGETVVYAHRSGEVVRLPKGASVPVTLKVLEYELFHFCPVKEITTNISFAPIGLLDMFNSSAAVEQFEVQTVANREPELFDGEVSSELTTSLSSNRSPTATIKLKVRGCGQFGAFSSQRPLKCTVGNTETDFNYDLATGLVTLTLPVAPEEKYRWPIEIQV >EOY08771 pep chromosome:Theobroma_cacao_20110822:5:19872022:19873156:-1 gene:TCM_023909 transcript:EOY08771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLEEARLLQFYTRLWHQDALEHHSNTREHNGAVLKENGAPYIGHDAKEGSVITPHKFPKIKILMGFWKLRLLEAL >EOY11252 pep chromosome:Theobroma_cacao_20110822:5:38651415:38652888:1 gene:TCM_026496 transcript:EOY11252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTDKRMNCIDRVHFDSPVAVIRPPPHSDLSKQRHEALQRVDRELSKCNFRTALSLYPQTPSTPTQWPPRLQNRQTDLSNESNDCSYFYEDYRLLCLHDEAGHSPIGYLLGVLPKCYRTSSIEELHDDRFTAGSVDESLQASVRSISNRVTNSLFLQFLTSFA >EOY09305 pep chromosome:Theobroma_cacao_20110822:5:28152024:28155249:1 gene:TCM_024729 transcript:EOY09305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLSRKGRKRRSNLDRVKFGALLPNESSQGSCTCLACRFHIAMLCIHDFHTKFATSSFLQSQRRIRSIPQYKLNSRILSFLR >EOY11197 pep chromosome:Theobroma_cacao_20110822:5:38303792:38304157:-1 gene:TCM_026447 transcript:EOY11197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGTNIMLYFIFTNSLAHFLQDSSCPFHAYIPGHFHLLASQVVNLIILGPGLKRCAPKGFNPM >EOY08667 pep chromosome:Theobroma_cacao_20110822:5:17176246:17192930:-1 gene:TCM_023662 transcript:EOY08667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, Ty3-gypsy subclass, putative MGSLAHISIGRRSLVREIHSLGDIGVRLEVAETNALLAHFRVRPILMDKIKEAQSKNEFVIKALEDPQGRKGKMFTKGTDGVLRYGTRLYVPDGDGLRREILEEAHMAAYVVHPGATKMYQDLKEVYWWEGLKRDVAEFVSKCLVCQQVKAEHQKPAGLLQPLPVPEWKWEHIAMDFVTGLPRTSGGYDSIWIVVDRLTKSAHFLPVKTTYGAAQYARVYVDEIVRLHGIPISIVSDRGAQFTSRFWGKLQEALGTKLDFSTAFHPQTDGQSERTIQTLEDMLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWLEVGERKLLGPELVQDATEKIHMIRQRMLTAQSRHKSYADNRRRDLEFQVGDHVFLKVSPTKGVMRFGKKGKLSPRYIGPFEILDKVGTVAYRLALPPDLSNIHPVFHVSMLRKYNPDPSHVIRYETIQLQDDLTYEEQPVAILDRQVKKLRSKDVASVKVLWRNHTSEEVTWEAEDEMRTKHPHLFDM >EOY11075 pep chromosome:Theobroma_cacao_20110822:5:37817626:37819882:1 gene:TCM_026348 transcript:EOY11075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial editing factor 20 MHSLPRFSCLPLLNLKSPIPSHHIKQIHAQLIINGLKEPSFLAKLIENYCFSPSPQNTKYAQLVNKQFDTQSLFLFNTLLRCSQPKVSIITFANWVSKGHLVFDDFTFIFVLGACARSHSLSTLWLGRQIHVKALKFGVMSNLLVETTLIHFYAKNKDILSARRVFDEMTERSSVTWNAIIKGYCSQKERAKECCREALVLFRDMLNDVSGVKPTDTTMVCVLSACSQLGELYSGACIHGFIEKTFFRPENDVFIGTGFVDMYAKCGCINSALCVFRLMRVKNVLTWTAMGTGLAVHGRGEEALELLDAMEGSGVKPNPVTFTSLFSACCHAGLVEQGLHLFHSMGSRFCLKPQIQHYGCIVDLLGRAGHLNEAYDFIIEMPMKPDAILWRSLLSACNVHGDVVMAEKVGKILLRLKPPNSYVDMATTSEDYVALSNVYASAGRWQQVEMVRKKMKLKRVETEPGGSSIQTISNHLDRL >EOY07056 pep chromosome:Theobroma_cacao_20110822:5:338275:338793:1 gene:TCM_021587 transcript:EOY07056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTYDTKAVFALPLIVHLGGTNLLKFESSEYGVLSCLFAPQTASLEPSIPRLRC >EOY09553 pep chromosome:Theobroma_cacao_20110822:5:29781143:29782060:1 gene:TCM_024961 transcript:EOY09553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAESVPEKKSMVVADVIPVMTKITEHKLDGSNYLDWSKTVRLYLRSIDKDDHITNDPPTDNTRQT >EOY08843 pep chromosome:Theobroma_cacao_20110822:5:22032782:22034597:-1 gene:TCM_024084 transcript:EOY08843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALAFKLPYCSPLYSPRHSTPPCPLHFHNYNPKFFPSLPLSPSPIRTCAHSKGHRRSESLGVSYRYSSSADSKDDENCSFDEAVSLFNQREYYKCHDLLEDLWNNAEDPTRTLIHGILQCAVGFHHLFNQNHRGAMMELGEGLCKLRKMNFESGPFYDFEQDIAAVLDFIYNTQIELAACGDDLCVTMEQSERSYLLLGGYAAGQHLYHLQADPNQVMYIVFCPQRSYGSAQASAPSPRVRLPILQAAERHLSV >EOY07710 pep chromosome:Theobroma_cacao_20110822:5:2472948:2475215:1 gene:TCM_022070 transcript:EOY07710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spo11/DNA topoisomerase VI, subunit A protein isoform 1 MADKKKRRRAYSDSEDASQPFKHLLKSDDQILQILKSFSSTSSSSTSKPLTLADLSLSSTCREVSDLSLSSVQSAIESLVLQLTHQILSGQGFSFTVPSRSSSNQLYVPEVDRIVLKDKCTIRPFAHISSVRKTTITARILSLIHQLCLKNIHVTKRDLFYTDVKLFQDQLQSDAVLDDVSCMLGCTRSSLNVIAAEKGVVVGRLIFSDNGDMIDCTKMGMGGKAIPPNIDRVGDMQSDALFVLLVEKDAAYIRLAEDRFYNRFPCIIVTAKGQPDVATRLFLKKMKTELKLPVLALVDSDPYGLKILSVYGCGSKNMSYDSANLTTPDIKWLGIRPSDLDKYNIPEQCRLPMTEQDIKTGKDLLEEEFVKKNPGWVEELNLMVKTKQKAEIQALSSFGFQYLSEVYLPLKLQQQDWL >EOY07711 pep chromosome:Theobroma_cacao_20110822:5:2473377:2475214:1 gene:TCM_022070 transcript:EOY07711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spo11/DNA topoisomerase VI, subunit A protein isoform 1 MADKKKRRRAYSDSEDASQPFKHLLKSDDQILQILKSFSSTSSSSTSKPLTLADLSLSSTCREVSDLSLSSVQSAIESLVLQLTHQILSGQGFSFTVPSRSSSNQLYVPEVDRIVLKDKCTIRPFAHISSVRKTTITARILSLIHQLCLKNIHVTKRDLFYTDVKLFQDQLQSDAVLDDVSCMLGCTRSSLNVIAAEKGVVVGRLIFSDNGDMIDCTKMGMGGKAIPPNIDRVGDMQSDALFVLLVEKDAAYIRLAEDRFYNRFPCIIVTAKGQPDVATRLFLKKMKTELKLPVLALVDSDPYGLKILSVYGCGSKNMSYDSANLTTPDIKWLGIRPSDLDKYNIPEQCRLPMTEQDIKTGKDLLEEEFVKKNPGWVEELNLMVKTKQKAEIQALSSFGFQYLSEVYLPLKLQQQDWL >EOY08925 pep chromosome:Theobroma_cacao_20110822:5:23174869:23176618:1 gene:TCM_024201 transcript:EOY08925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYKCMKLLLCSFLVHVFAHSGCVIGYCYPSSLFWPLSVKSFAAPSTLYFLHRYLLHRLDLWFTSPDVRLPKNRSSVFVGFFFCLLISDTSRLLR >EOY07286 pep chromosome:Theobroma_cacao_20110822:5:1067887:1070233:1 gene:TCM_021756 transcript:EOY07286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide transporter 1 MAEEDIYTKDGTTDFRNKPAIRNKTGTWKACPYILGNDCCERLAYYGINTNLVNYLKFQLNQRNVAAVSNVTNWSGTCYVMPLIGAFLAEAYWGRYWTIASFSIIYVFGMTISTMSASIHGLKPACKNNVCHPTGLQTGVFFLGLYLIALGTAGIKPCVSSFGADQFDDSNEAVKKKKSSFFNWFYFSVNIGALVAGSVLVWIQTNVGWAWGFGIPAVAMAVAVASFFSGTRLYRNQKPGGSPLTRIFQVLVASFRKVRVEVPADKSLLYETADEESAVKGSRKLDHTKQLSQMGTLFVLQGNTMDLHMGGSFEIPSASLSLFDTISVIFWVPVYDRFIVPLARKFTGHKNGFTQLQRIAIGLVISIFAMLAAGTLELVRLRQVKKHNYYELKHMPMSIFWQVPQYFIIGCAEVFTFIGQLEFFYKQAPDAMRRLCSALSLTTAALGNYLSTFLVNIVTDFSTRHGNPGWIPDNLNYGHLHYFFWLLAVLSLLNLGVYLVVARSYTYKKPVLSIN >EOY08988 pep chromosome:Theobroma_cacao_20110822:5:24543511:24547754:1 gene:TCM_024325 transcript:EOY08988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MLNLDGSLNRCKARLVAKGYNQLPGVDYEETFAPVARYDTIRLLLALAAGLKWNVYHLDIKSAFLNGILEEEIYIEQPEGFELSSGENKVYKLHKALYGLKQAPRRWYSRINDHLIHRGFVKSQNEAILYTLESGNKLLLIVSLYVDDLLVTGNCEQALQNFKSHMQTKFEMSDLGLMRYFLGLEVHHLRTDIWLSQQNYISKVLKKFQMSDCKSVSTPSIANNKLCADSSNQLEDPSAYRRLIVCLLYICASRPDIQFSVAFLSRFMQAPTDQHMIAAKRIIRYLKKTEFYGIHYTKSVEFALCGYTNSDFARNSEDAKSTSSYLFTLGNGPFSWNSHKQSVVAQSLAESEYVAAAEAANQALWLRKLLADIKFEQKFPTDLFIHNKSFKSHEGMVFYSFHLKAGRTQRFECQELSKKLLSLYE >EOY08670 pep chromosome:Theobroma_cacao_20110822:5:17237968:17251732:1 gene:TCM_023669 transcript:EOY08670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPKDVQKPLEKAIRDEAHKSLDYALKTILRCAESTAKFWKAMKGLGMANTALSRLVITRVEIDMHHIKGLTREMMKLPLIRDIASTSIYESIKVKVSQEYYKKYEKTLNNVIHSETSVYYITFLLHIFKILIRSFMLGEDSGNFIREHVVKLSIRVKRLYPKSAIRSTSILDIPWRTLLDTSDQVIIAHKRGAKTDIKPYEISVGISGNECLWDIAVIVTDSKRVPDRDTDKL >EOY11514 pep chromosome:Theobroma_cacao_20110822:5:39497425:39502759:-1 gene:TCM_026665 transcript:EOY11514 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MSRSSRTLYVGNLPGDTRMREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDPRDAEDAIRARDGYNFDGYRLRVELAHGGRRPSSSDRHSSYSGSSSRGPARRSDYRVLVTGLPSSASWQDLKDHMRKAGDVCFSQVFRDRGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFGRAYIRVKEYDSRYDSRHSYSRSPSHSPYSRSPSRSHSYSSRSRRRSRSKSPRAKHSRQSPSVSRSLSPRSRSVSPARSYSRSGSLRQSGDWISRSRSRSPTASPCGKHVSRSPLKDSPRRSQSRSRSISRSLSSSVQSD >EOY11517 pep chromosome:Theobroma_cacao_20110822:5:39497610:39502923:-1 gene:TCM_026665 transcript:EOY11517 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MSRSSRTLYVGNLPGDTRMREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDPRDAEDAIRARDGYNFDGYRLRVELAHGGRRPSSSDRHSSYSGSSSRGPARRSDYRVLVTGLPSSASWQDLKDHMRKAGDVCFSQVFRDRGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFGRAYIRVKEYDSRYDSRHSYSRSPSHSPYSRSPSRSHSYSSRSRRRSRSKSPRAKHSRQSPSVSRSLSPRSRSVSPARSYSRSRSRSRSPTASPCGKHVSRSPLKDSPRRSQSRSRSISRSLSSSVQSD >EOY11516 pep chromosome:Theobroma_cacao_20110822:5:39498794:39502374:-1 gene:TCM_026665 transcript:EOY11516 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MSRSSRTLYVGNLPGDTRMREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDPRDAEDAIRARDGYNFDGYRLRVVELAHGGRRPSSSDRHSSYSGSSSRGPARRSDYRVLVTGLPSSASWQDLKDHMRKAGDVCFSQVFRDRGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFGRAYIRVKEYDSRYDSRHSYSRSPSHSPYSRSPSRSHSYSSRSRRRSRSKSPRAKHSRQSPSVSRSLSPRSRSVSPARSYSRSGSLRQSGDWMLGT >EOY11515 pep chromosome:Theobroma_cacao_20110822:5:39497614:39502781:-1 gene:TCM_026665 transcript:EOY11515 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MSRSSRTLYVGNLPGDTRMREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDPRDAEDAIRARDGYNFDGYRLRVELAHGGRRPSSSDRHSSYSGSSSRGPARRSDYRVLVTGLPSSASWQDLKDHMRKAGDVCFSQVFRDRGGMTGIVDYTNYDDMKYAIRKLDDSEFRNAFGRAYIRVKEYDSRYDSRHSYSRSPSHSPYSRSPSRSHSYSSRSRRRSRSKSPRAKHSRQSPSVSRSLSPRSRSVSPARSYSRSGSLRQSGDWMLGT >EOY10457 pep chromosome:Theobroma_cacao_20110822:5:34903558:34907191:1 gene:TCM_025817 transcript:EOY10457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger family protein isoform 2 MLRAKPHLPPWPSTSSNNDFLASFTLSSTEKLTFAVLLVPLLRLPTLNTRLPRVSFALALYPVFSSGWYWCNYETNLINYAPLAKSPSTSYKTKFSPTLLISPNYGYPAPTENQEIVLRELFLQHMQRSSGNLVLSGYNSIGDNQQDCKLLEKLDDYKAKCSYLKSNNPCVSQGYVDYLYLFYCNFGRFPLLGHCLLVLWLLVLFYLLGNTASEYFCYSLESLSSLLKLSPTLAGVTLLSLGNGAPDVFSSIASFMDSGTEDVGLNTVLGGAFFVTCVVVGTISTLTHRKRVQVNKPAFVRDVCYILLVLASLSLILVYGKINLWGAMTFSSMYILYVIIVYIMYIFWNSGGMENIDSDSSYNSGLSIPLLTGIEKVELDCLEEGNLEDNNGVGFKKRCFCLRLLAPCSMLLFILQMPLDLPRRLTIPIACEERWSKPVAVVSVALAPILISVLWDLQDDNLSFNTSLLVYGIGFLFGITFGVLAYLTTENSSPPKKCLFPWLAGGFIMSVIWSYIIAQELVGLLISIGYLTGISHSILGLTVLAWGNSLGDLITNLTMALNGGPKGAQVAISGCYAGPIFNILFGLGISMIGSAWFGYPSPVQIPKDPYLLETLGFQVAALLWALLVLPMRDMRLDGVLGGGLFLIYLTSISLRLIQVVGPIQLHTVT >EOY10458 pep chromosome:Theobroma_cacao_20110822:5:34904170:34906425:1 gene:TCM_025817 transcript:EOY10458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger family protein isoform 2 MGTLVSISEHKSKRYIIFLNISFLLVACVFLMICFRSSGNLVLSGYNSIGDNQQDCKLLEKLDDYKAKCSYLKSNNPCVSQGYVDYLYLFYCNFGRFPLLGHCLLVLWLLVLFYLLGNTASEYFCYSLESLSSLLKLSPTLAGVTLLSLGNGAPDVFSSIASFMDSGTEDVGLNTVLGGAFFVTCVVVGTISTLTHRKRVQVNKPAFVRDVCYILLVLASLSLILVYGKINLWGAMTFSSMYILYVIIVYIMYIFWNSGGMENIDSDSSYNSGLSIPLLTGIEKVELDCLEEGNLEDNNGVGFKKRCFCLRLLAPCSMLLFILQMPLDLPRRLTIPIACEERWSKPVAVVSVALAPILISVLWDLQDDNLSFNTSLLVYGIGFLFGITFGVLAYLTTENSSPPKKCLFPWLAGGFIMSVIWSYIIAQELVGLLISIGYLTGISHSILGLTVLAWGNSLGDLITNLTMALNGGPKGAQVAISGCYAGPIFNILFGLGISMIGSAWFGYPSPVQIPKDPYLLETLGFQVAALLWALLVLPMRDMRLDGVLGGGLFLIYLTSISLRLIQVVGPIQLHTVT >EOY09065 pep chromosome:Theobroma_cacao_20110822:5:25288607:25301793:1 gene:TCM_024419 transcript:EOY09065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEAEADFNQVISGTNRTRINEKESQPPHHIQKVTQKLHPKKKNHYFVRCIKEQKVVNNKRKKERQGKLRELWQKPHLRERRRREFSLCKALLERKREKRRKQTFKGRLIFGENLTKL >EOY08244 pep chromosome:Theobroma_cacao_20110822:5:5904854:5905567:1 gene:TCM_022591 transcript:EOY08244 gene_biotype:protein_coding transcript_biotype:protein_coding description:GCN5-related N-acetyltransferase MENTNSLKEEHRFQVRKLELSDKSKGFIELLQQLTVCDSVSDKEFEDRFKEISTYGDDHLVCVIEDDFSGKIIATGSVFIEKKFIRNCGKVGHIEDIVVDGNARGLQLGKKIVGFLLDHARSMGCYKVILDCSVDNKGFYEKCGFKQKEIQMVKYFV >EOY10468 pep chromosome:Theobroma_cacao_20110822:5:34980760:34986933:-1 gene:TCM_025829 transcript:EOY10468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDEHSERMDKIEKKQEEIMGQLSKILELMSTDKGKRVAGIFGAPEDVQQTEANTDPVYPPRFTPPPARNASIPMASIGQYPFFGISLYIFQFPLPSKIPNNECEDDSDSGFEVNFEKDMPRLNIDIVAHKLPLKPECKPIKQKLRRIKPEMFLKIKEEVKKQFDAGFLEVAKYPEWVANIVPMPKKNGKNAGATYQQAMVTFFHDMMHREVEVYVDDMIVKARKIEDHTTNLKRLFKRLREFQLRLNPAKCIFGVTSKKLLGFVINKRGTEVDPDKLLRKHNLGAWNEECQVTFDNVKEYLLSLLVLVPPVAERPLLLYLTVNEGSMGCVLGQHDETGKVARWQMLLSEYDIVYVSQKAIKGSAITDFLAKRVEKDYEPMEFEFSDEDLMSICQTSEEKSEKKEN >EOY07378 pep chromosome:Theobroma_cacao_20110822:5:1379882:1381136:1 gene:TCM_021831 transcript:EOY07378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCPHFTYQRSQPAPTLLAYIAHLFTPQARIVSGLSNSSSQHPPKSALVTLVACFLIYQRICFISLFLGVIMIMICHFHMSPTVIYLGLVYKVSQDLIIGSFLIEPLSMVSLVKILSRALLQVAASAGAMNHTPTTGRGLLQYHEILETPKFGVLWLARWLLDSILFKT >EOY09421 pep chromosome:Theobroma_cacao_20110822:5:29037985:29039652:1 gene:TCM_024839 transcript:EOY09421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTLTLQPQHLNHLLFLNIFFLADLNDNTTSLPTYKTISALLPCRRHLLCHYAIAMSSPSSSLMPRATICVLLTVVVVACHWPLHQLDIQNAFLHGNLNEEVYMQLPLGY >EOY11079 pep chromosome:Theobroma_cacao_20110822:5:37836675:37840328:-1 gene:TCM_026352 transcript:EOY11079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein MSEGGLTVLDGTHLRSLNLFLPELNGSVTGAQLLEIADSKASSSLFGLSLPQKVKASALSRVTAGAGDDDVTFRKKELAGEKASKVLSDYISAIADGLKDDPLVVSILDGNTLKLFLEDEDDYAMLAENLFTDLDIEDKGKIRKSEIRKALVQMGVEMGIPPFAEFPLLNDILKKHGAEGQQELGQAQFAELLQPILQETADALTEKHIVVIQNIKVVNGSDLRKLLADEKQFNDVIQRVLQEKKSGKDELGKTELIRSFLEKHGKDLGLPPVESNEAATLLYDAVFSEVESEESPAQVEDEFREYVKDVLKKFAEQLEANPIYCDLDN >EOY10768 pep chromosome:Theobroma_cacao_20110822:5:36357923:36359105:1 gene:TCM_026067 transcript:EOY10768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRRTIAGMKILKMIFKESLCFDVETECLMPMPMREPIRWYNGFRYFGESRGYLHLVIGRKPAWRLIFRIYEMLVDYSDWFIKYRIDLEAEMQSFNLIPSYRNYNTFCLVVRSDDDKGDSVVAVLESGMTLYYNFRDEEMEDYQVVRGISLVNYVLSPTIIDLGFLAVALAALSSQNPGVITIAINAVFGSDPAINPDLLAKAFKLDRKMVKNLQSKF >EOY11345 pep chromosome:Theobroma_cacao_20110822:5:39031512:39036806:1 gene:TCM_026560 transcript:EOY11345 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MCSESCDRSVLDEVEENTNSMDEDTISNTNQEISPAQGPTLPILQKVINLSNSIQNLKMEHEILSNQVKGITTDSFPGHDVVGTIQLLNNEYELLKKKYLDESSERKRLYNEVIELKGNIRVFCRCRPLNQCEVVNGSSSVVEFDSSQDTELQIISSDSSKKQFKFDHVFRPEDGQEVVFAQTKPIVTSVLDGYNVCIFAYGQTGTGKTFTMEGTPENRGVNYRTLEELFRVSGQRGGVMRYELFVGMMEVYNEKIRDLLGENSNRPTKKLEIKQAAEGTQEVPGLVEAPVYSTEEVWELLKSGSRVRSVGATNANELSSRSHCLLRVTVRGTNLINGQKTRSHLWLVDLAGSERVGKIEVEGERLKESQFINKSLSALGDVISALASKTGHVPYRNSKLTHMLQSSLGGDCKTLMFVQISPSDADLGETICSLNFASRVRGIEGGPARKQVDLSELYKYKQMAEKLKHDEKETKKLQDNVQSLQLRLAAREHICKSLQEKVRDLENQLAEERKTRLKQETRAFAAAASSRPSLTQAAERTKMEKKPPLGPSKLRLPLRRLTNFMPPASPMPTNRKTARIAMAASDDKENYPKTVMAPPTNTKALLKPRRVSIAVRPSTTTTQVIQPKRRVSIATLQPHSYMTTPLRSSASGFNNGGTMDRPSLVRDPRKARYSRLFSPLPEFRNPSETTPAATRSSSKFMGSPPPPAQAGSWKPRHPTVVALQRKSVVWSPLKLRGMQSQRKSSLLPLRPSALRE >EOY11346 pep chromosome:Theobroma_cacao_20110822:5:39031980:39036433:1 gene:TCM_026560 transcript:EOY11346 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MCSESCDRSVLDEEENTNSMDEDTISNTNQEISPAQGPTLPILQKVINLSNSIQNLKMEHEILSNQVKGITTDSFPGHDVVGTIQLLNNEYELLKKKYLDESSERKRLYNEVIELKGNIRVFCRCRPLNQCEVVNGSSSVVEFDSSQDTELQIISSDSSKKQFKFDHVFRPEDGQEVVFAQTKPIVTSVLDGYNVCIFAYGQTGTGKTFTMEGTPENRGVNYRTLEELFRVSGQRGGVMRYELFVGMMEVYNEKIRDLLGENSNRPTKKLEIKQAAEGTQEVPGLVEAPVYSTEEVWELLKSGSRVRSVGATNANELSSRSHCLLRVTVRGTNLINGQKTRSHLWLVDLAGSERVGKIEVEGERLKESQFINKSLSALGDVISALASKTGHVPYRNSKLTHMLQSSLGGDCKTLMFVQISPSDADLGETICSLNFASRVRGIEGGPARKQVDLSELYKYKQMAEKLKHDEKETKKLQDNVQSLQLRLAAREHICKSLQEKVRDLENQLAEERKTRLKQETRAFAAAASSRPSLTQAAERTKMEKKPPLGPSKLRLPLRRLTNFMPPASPMPTNRKTARIAMAASDDKENYPKTVMAPPTNTKALLKPRRVSIAVRPSTTTTQVIQPKRRVSIATLQPHSYMTTPLRSSASGFNNGGTMDRPSLVRDPRKARYSRLFSPLPEFRNPSETTPAATRSSSKFMGSPPPPAQAGSWKPRHPTVVALQRKSVVWSPLKLRGMQSQRKSSLLPLRPSALRE >EOY09816 pep chromosome:Theobroma_cacao_20110822:5:31170432:31174965:1 gene:TCM_025190 transcript:EOY09816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase family protein MGFKYAWSSWSLLVLVFAAEFALHGFSYGEKAPNYSFIQEATSAPIVSFYDYIVIGGGTAGCPLAATLSRNANVLVLERGGSPYVNTTKIRKENFLSTLTDRSPDSFSEAFISEDGVANDRPRVLGGGTVINAGFYSHAETSFLKQNGMDEALANDSYEWVERKLVHKPVVLQWQSAVTDGLLEAGVVPNNGFTYDHINGTKIGGSILDGNGNRHTAADLLEYADPKRIKVYLHAVVHNIVFTTKVGSRPKAQGVIFYDAKGARHTAFLKSGSRSEIISSAGAIGSPQLLMLSGIGPAPQLEALGIKVVLNQTLVGQGMADNPLNGLIIPSPVPVELSLPEIVGITELSNYIESASGFDFSALSVAQSPANFNQVEKSPMASQEAMDNSPTNLGFIVEKFNGPISEGYLELQSTNVSDNPKVRFNYFQAPEDLRKCVQGVKTIINVVNSKSYSRFRYSNTTTQDLLNMMASMSVNLRPRHPNSTVSLEQYCIDTVMTFWHYHGGCQVGKVVDRDYKVFGVDRLRVIDGSTFNFSPGTNPQATLMMLGRYMGRRILQSRGK >EOY11606 pep chromosome:Theobroma_cacao_20110822:5:39839766:39841460:-1 gene:TCM_026738 transcript:EOY11606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein binding protein, putative MDREGGSHGGSCYYSVLGIRKDASFSDIRTAYRKLALKWHPDRYARNPGVAGEAKRRFQQIQEAYSVLSDETKRSMYDAGFYDPLEEEDDDFCGFMQEMISMMNNVKDEGDSFEDLQRMFAEMVGGDGLSFDVNTDTSETKRARVSKGNAAKRNAKRKLFNLLVFNNDPPL >EOY08483 pep chromosome:Theobroma_cacao_20110822:5:9121601:9148634:-1 gene:TCM_022948 transcript:EOY08483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRFQTYKDVWKEAKKSVNCNTQTETETLKHLIKKALKRCHNASNQKGQPQRLLIKRYWSYETLNFFSFPSFSINERAEICRNLTRRAAIGWWWCCCFCQHSEKASLNHGFGLQIKYPIKLPIGKSARLSGGNVLSYKLILGWLNLNNWSRPPKARGTWPLYIQKTDRLGRHWRLLCQASSTIAL >EOY07708 pep chromosome:Theobroma_cacao_20110822:5:2470982:2473485:1 gene:TCM_022069 transcript:EOY07708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 2 MAISLSTSLMPNTFQSNRNPKHLLTRVLLNQPSNFSLKHRENENKISTRRSPLVASTISGSTGVPSSGVSLDTADSLDTVKVFDLNGNGIPISDLWKDRKAVVAFARHFGCVLCRKRADYLASKKDVMDKSGVALVLIGPGSIEQAKTFAAQTKFKGEVYADPSHSSYEALIFVSGVTTTFTPKAGLKIIQLYMEGYRQDWKLSFEEDTVKRGGWQQGGILVAGPGKRNISYIHKDKEAGDDPDIEDILKACCS >EOY07709 pep chromosome:Theobroma_cacao_20110822:5:2470982:2473594:1 gene:TCM_022069 transcript:EOY07709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 2 MAISLSTSLMPNTFQSNRNPKHLLTRVLLNQPSNFSLKHRENENKISTRRSPLVASTISGSTGVPSSGVSLDTADSLDTVKVFDLNGNGIPISDLWKDRKAVVAFARHFGCVLCRKRADYLASKKDVMDKSGVALVLIGPGSIEQAKTFAAQTKFKGVYADPSHSSYEALIFVSGVTTTFTPKAGLKIIQLYMEGYRQDWKLSFEEDTVKRGGWQQGGILVAGPGKRNISYIHKDKEAGDDPDIEDILKACCS >EOY09939 pep chromosome:Theobroma_cacao_20110822:5:31846847:31863230:-1 gene:TCM_025311 transcript:EOY09939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLANFFSNNYLSILITFDDYRNFKSVSYFIMSTEDDTAAAALVEEALTEDADSQAKKPPHRESSQSLSHEQDINVKDHTSTESVFHAEHMFLPPSTETPNQGSTLSSGHSIGNLNNSLEASGDYLLPQETQQENWISGSSLAYTDWINWEPSPHVATELGSQRFGFQALLNQVIASKNSHLYGDTPSQPQPAKMPIHQGVGSCTKGESVPIQFDSIVPTNPDKRKATGQDSTPPPPKLPFINLMTLGQGNQGRNNLTNQDPNTPGNEGLKSPENRGLTTFGNQDPNTLGNQGQNNSVPLASGSRSQGYGFQASLNQVMTSNYSHLNDDTPHQFQRAKLPIRRGVRSNTEGVSSPIQFDGIVPNNPNKRKMTWRDSTPPPPKLRFINWMLPRQGNQGKSSLGNQDQNSPGNQDPNTLDNPSPKSPETQSPKSPGSQSPKSFENQGQNIPVNQDPKTPENQGLNTLGNQDQKSPGNQDRNTPGNVGPNNSVPTTSGSRNFKSISYFIMSTEDNIAAAASAEEAVKLKADSQEDMEGKADKAGADESNKSGVNQPDGNQHLNQFPASIYMAERNEEIFGTSPATSPRIHSLLTSPPLQQAGTLPSIYNSIKTQQGNWMSGSSLASTNWINCQPSSQITKPEPATALGSQGFGFQVLLNQAMASNNSHLYDDIPRQFQQAKLPIRHGVGSSTKGKSSPIQFDGIVPSNPDKRKMTWQDSTPSPPKLPLINLMLLGQGNQSQINPDNQGPKTPGNQGRVTSGNQGSNTLDNQGVKNLENQSRNTPSNQCRSNSDPTTFGSRSQGLGFQIFLNQLMPLNGTPQLQPAKLPIRREVGSSTKGISSPIQFDGIVPTNPNKRKMTRQDSTPPPPKIPFINWMLPGQGNQDENSSGNQSQNTHGNQGPKSLGNQESNTFDNQGSKSPRNQGSNTLGNQGQKSLDNEGRNTPNNEGQNKSVPTASGSRSKAIPNKVYDPSYEAMGLPVDPHLRMFLARCESAENKDSDKKDDGGSKTMARKT >EOY08767 pep chromosome:Theobroma_cacao_20110822:5:19629546:19637140:1 gene:TCM_023893 transcript:EOY08767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASPGVLLDRWHHFNSHSCRSNGRRIKSSFGAQRMASPGVNSTGWHHIHNRNLEQSPNGWHHLKYSKMGCINALQAGIIGRTNTTILIYHHPCKHT >EOY08184 pep chromosome:Theobroma_cacao_20110822:5:5400111:5405305:1 gene:TCM_022523 transcript:EOY08184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCFIIILNRMVIGIKFGWDCEIFCWQLIKGRIGVKDCLANKGITSDPTATCPLFKKEREKISQLFFLCDYTWPMWQWRGQLWNVDWISYTGLLICFLS >EOY09404 pep chromosome:Theobroma_cacao_20110822:5:28968591:28974057:-1 gene:TCM_024828 transcript:EOY09404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MEPKARTTETRSNGTTSDGDEAVALESLQFTDEIHRLISAPSTDNASSFTALLELPAPQAVELLHSPESAKLIAAPAPNVEDIKGSFHFPSNTGLIERAARFSVFAGDSNNNNNKTGSAETTSNNSSQNPQKEVKSEPAETESCQPLVSDPTVEKRSIKRKDREKKVKGSTKKSKTAANESSEDAEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVEFLSMRLAAVNPRIDFNLDSIFTAESGSLMDGNFPSMVMPLMWPEVQVNGNRQQYQQQWHFDAIQQPIWQHCTRIK >EOY09403 pep chromosome:Theobroma_cacao_20110822:5:28968808:28973663:-1 gene:TCM_024828 transcript:EOY09403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MEPKARTTETRSNGTTSDGDEAVALESLQFTDEIHRLISAPSTDNASSFTALLELPAPQAVELLHSPESAKLIAAPAPNVEDIKGSFHFPSNTGLIERAARFSVFAGDSNNNNNKTGSAETTSNNSSQNPQKEVKSEPAETESCQPLVSDPTVEKRSIKRKDREKKVKGSTKKSKTAANESSEDAEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVEFLSMRLAAVNPRIDFNLDSIFTAESGSLMDGNFPSMVMPLMWPEVQVNGNRQQYQQQWHFDAIQQPIWVREEVCNNYITPENSLLSYDSSANSATLHSNQVKMEL >EOY09405 pep chromosome:Theobroma_cacao_20110822:5:28968467:28973792:-1 gene:TCM_024828 transcript:EOY09405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MEPKARTTETRSNGTTSDGDEAVALESLQFTDEIHRLISAPSTDNASSFTALLELPAPQAVELLHSPESAKLIAAPAPNVEDIKGSFHFPSNTGLIERAARFSVFAGDSNNNNNKTGSAETTSNNSSQNPQKEVKSEPAETESCQPLVSDPTVEKRSIKRKDREKKVKGSTKKSKTAANESSEDAEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVEFLSMRLAAVNPRIDFNLDSIFTAESGSLMDGNFPSMVMPLMWPEVQVNGNRQHWKPLISQDRRQEMSVCCY >EOY10018 pep chromosome:Theobroma_cacao_20110822:5:32255686:32261491:-1 gene:TCM_025386 transcript:EOY10018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQWCLWKYVNSKSYSMVMSGLCPSIPFLFVGIISENLSYVLRYTSVATVMLHQKQMKRWLYGTLSCLLKTKFTPAYCIEVDDFIEI >EOY08286 pep chromosome:Theobroma_cacao_20110822:5:6080619:6082562:-1 gene:TCM_022623 transcript:EOY08286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTKYTDEEAARPVGFKFETVDLSLSLSSLKVYNGRLRLKFLLHCIGSSTRKHKEETVLLSSEPGVPQTSWDDICQETGGTQMLT >EOY09497 pep chromosome:Theobroma_cacao_20110822:5:29524637:29528951:1 gene:TCM_024913 transcript:EOY09497 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein, putative MDRAIKAAILNQQSNYLFLRAAFFHSTPVLEHKRRTYWQFGKRPRRLRGKQELLRNVSAYADFLFESWKDEFGEEDPSSSRGPSWFKKQYSKGSRKDWPGNRGFQYRGRRPFDFCEDDDDDIETIFRSAFGGNRFFYWSFINEEHHQWRSSSRYSNNYEKSWNWRYRFNEDSDSSTESGSSESSDQDTAADRRALGLSASGPLTLEDVKNAYRISALKWHPDRHHGSSKAVAEEKFKLCNSAYQSLCDKLAMN >EOY10995 pep chromosome:Theobroma_cacao_20110822:5:37367783:37382235:-1 gene:TCM_026259 transcript:EOY10995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin family protein with Dil domain MYFDGKIPLHNALISLLQGTPVNIIVGSHIWVEDADEAWIDGQVSKINGNNAEIETSDGKKVTANLSKIYPKDVEAPAGGVDDMTKLSYLHEPGVLQNLKIRYELNEIYTYTGNILIAINPFQRLPHIYDAHMMQQYKGAPFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRVATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEIERYKLGNPKTFHYLNQSRCYELVGVSDAHDYLATRRAMDIVGISEKEQEAIFRVVASILHLGNIDFAKGKEVDSSVPKNEQAKFHLKTTAELLMCDAKALEDALCKRVMITPEEVIKRSLDPQSAAVSRDGLAKTIYSRLFDWLVNKINKSIGQDPNSKSLIGVLDIYGFESFKANSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFANKLYQTFKAHKRFIKPKLSRTDFTIAHYAGEVLYQSDQFLDKNKDYVVPEHQDLLNASKCSFVAGLFPPLPEETSKSSKFSSIGSRFKLQLQQLMETLNSTEPHYIRCVKPNNLLKPAVFENVNIMQQLRCGGVLEAIRISMAGYPTRKPFFEFTNRFGLLNPEALEGNYDEKAACKKILEKAGLKGFQIGKTKIFLRAGQMAELDARRAEVLSNAAKTIQRRIRTHISRKRFLALRKAAIDLQSVCRGRLACKIYDNIRRQAAALKIQKNTRRYQAREAYKKLHISALILQTGLRTMAARKEFRFRKLNKAATLVQARWRCCRDATYYKKLKRGCIVTQTRWRGRVARKELRKLKMAARETGALKEAKDKLEKNVEELTWRLQLEKRLRTDLEEAKAQEIGKLQNSLQEMQKKIDETNALLVKEREEAKKAIAEAPPVIQEKEVLVEDTEKVESLTAEVESLKASLDSEKQRADDAEGKYNELQESSEERRKKLEETEKKVQQLQETLRGLEEKLTNLESENQVLRQQSVSMAPNKFLSGRSRSILQRGSESGHLEVRAPLDLHSPSINHRDLSEVEEKPQKSLNEKQQENQELLIRCIAQHLGFAGNRPIAACIIYKCLLQWRSFEVERTSVFDRIIQTIGHAIETQDNNDILAYWLSNASTLLLLLQRTLKASGAAGMTPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGGINSGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSRSVANTVAQQALIAHWQGIVKSLGNFLNTLKANYVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYKATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSTDVIANMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDLSKSMEQIDIADIEPPPLIRENSGFSFLLPRSD >EOY08972 pep chromosome:Theobroma_cacao_20110822:5:24256697:24259661:1 gene:TCM_024293 transcript:EOY08972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLILGLLDGDKAKKMRIAIINTGTRRNINGGGGNSDIEESKQIFKVIKLIGSEIDDPCSWWKAVHFMYLPNLLFTWL >EOY07182 pep chromosome:Theobroma_cacao_20110822:5:754012:763001:-1 gene:TCM_021681 transcript:EOY07182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAERKCVLITKLKISNMNKEILNEEGANPVDMAERKCVLITKLRMSNKNKEILNEEGADSTGDSNKEGHGVKLNVNLVKLGTDSLRRYCKFYNIDNIDSDSSRNKCSMLSAVQQHFASQPPLDEQQVIPNFVDAARRLHKDGKPKDRVIHHAIAGLILLRQIYIYIIYGFWWLTDDLAAVGYLLLFYDVEISKDQNDAGITGVLDSEGYAVLPFVQANVQVAKGDWRGYLHHRVLTIAAGLHLQPVDSRPFSFQLNQLWHSPFNKLLSSKALHGEKIKLKMSDKNKEIPANFHADLNVNLAKLWIDSYGRYCKLFKIDNIDSHSSREQVLDVVQQHIVS >EOY08740 pep chromosome:Theobroma_cacao_20110822:5:19359982:19362455:-1 gene:TCM_023861 transcript:EOY08740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MGFQGGVADEESGTELSTKSKFRYNSPLVQVSLIGLVCFCCPGMFNALSGMGGGGQVDPTAANNANTALYTSFAVFGILGGGIYNVLGPHLTLAAGCSTYVLYAGSFLYYNHHKHQAFAIAAGGLLGVGAGLLWAGEGAIMTSYPPPNRKGTYISLFWSIFNMGGVIGGLIPFILNYHRNEATSVNDATYIGFMCFMSAGTLLSFAILPPNKVVRDDGTRCTNVKYSSVSTEAVEILKLFFNWKMLLIVPAAWASNFFYSYQFNNVNGLLFNLRTRGLNNVFYWGAQMLGSIGIGYILDFSFQSRRMRGFVGIGVVALLGSAIWGGGLANQLPYSHDHPPTRLDFKESAFAGPFVLYFSYGLLDAMFQSMVYWIIGALADDSQTLSRYSGFYKGVQSAGAAVAWQVDTHKVPLLSQLIVNWSLTTVSYPLLVLLVMLAVKDDKKTEEETPKEATVPSSNKDINTANST >EOY10303 pep chromosome:Theobroma_cacao_20110822:5:34063826:34064312:-1 gene:TCM_025676 transcript:EOY10303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mads box protein, putative MTRKKVKLSYITKNSARKATFKKRKKGLLKKASELSALCGTEASVIIYSLSNTQPEVWPSPAGAQQMLSEFKKMPKMDQSMGMMSQESFLRERITKDNIQLKR >EOY09623 pep chromosome:Theobroma_cacao_20110822:5:30268498:30270202:-1 gene:TCM_025030 transcript:EOY09623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 7 isoform 1 MATATYPPPPPFYRLYKDYLQNPKSAPEPPPPIEGTYVCFGGSYTTDDLLPSLEEQGVRQLYPKGPNVDFKKELRSLNRELQLHILELADVLVERPSQYARRVEEISLIFKNLHHLLNSLRPHQARATLIHILELQIQRRKQALEDIKSLGMWLEFLGKEAMWLWSQNWLWFGCANSCRREEAQR >EOY09624 pep chromosome:Theobroma_cacao_20110822:5:30268411:30270219:-1 gene:TCM_025030 transcript:EOY09624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 7 isoform 1 MATATYPPPPPFYRLYKDYLQNPKSAPEPPPPIEGTYVCFGGSYTTDDLLPSLEEQGVRQLYPKGPNVDFKKELRSLNRELQLHILELADVLVERPSQYARRVEEISLIFKNLHHLLNSLRPHQARATLIHILELQIQRRKQALEDIKSRREEAQRLLKESLGTLDGQ >EOY09622 pep chromosome:Theobroma_cacao_20110822:5:30268496:30270404:-1 gene:TCM_025030 transcript:EOY09622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 7 isoform 1 MATATYPPPPPFYRLYKDYLQNPKSAPEPPPPIEGTYVCFGGSYTTDDLLPSLEEQGVRQLYPKGPNVDFKKELRSLNRELQLHILELADVLVERPSQYARRVEEISLIFKNLHHLLNSLRPHQARATLIHILELQIQRRKQALEDIKRYVAGVSWKGSHVVMEPELVVVWLC >EOY09079 pep chromosome:Theobroma_cacao_20110822:5:25825807:25828654:-1 gene:TCM_024460 transcript:EOY09079 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAP57 isoform 2 MPEVELSRSEKKKHKKKALLDSETKESDQDFMIKPQSTTPSIDTSQWPILLKNYDRLNVRTGHYTPLPCGFSPLKRPLAEYIRYGVLNLDKPSNPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHSKVPDVAKVARALETLTGAVFQKPPLISAVKRQLRIRTIYESKLLEYDADRHLVVFWISCEAGTYVRTMCVHLGLILGVGGHMQELRRVRSGISGEKDNMVTMHDVMDAQWVYDNYRDESYLRRVIMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEVGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPTASTKKKLIAEGKLDKHGKPNDSTPKEWLRNVVLPAGGDSMVASLAASAEPAVKDSDTAVVEKEITVVEKEKEKKKKGKGEEDGEGHKRKLDGSSDSAVPVVAKKAKVEEAEVEVEKKEKKKKKKDKEDSDAETEQKTEKGKKKKNKDKVESSSPDTEKSEKKKKKKSKESEEVTAVVNGANDAGADKSEKKKKKKKNKDAVEEKEMLNYEGTCVSLWEWPALLASYEYWGFEGL >EOY09080 pep chromosome:Theobroma_cacao_20110822:5:25826111:25828678:-1 gene:TCM_024460 transcript:EOY09080 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAP57 isoform 2 MPEVELSRSEKKKHKKKALLDSETKESDQDFMIKPQSTTPSIDTSQWPILLKNYDRLNVRTGHYTPLPCGFSPLKRPLAEYIRYGVLNLDKPSNPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHSKVPDVAKVARALETLTGAVFQKPPLISAVKRQLRIRTIYESKLLEYDADRHLVVFWISCEAGTYVRTMCVHLGLILGVGGHMQELRRVRSGISGEKDNMVTMHDVMDAQWVYDNYRDESYLRRVIMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEVGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPTASTKKKLIAEGKLDKHGKPNDSTPKEWLRNVVLPAGGDSMVASLAASAEPAVKDSDTAVVEKEITVVEKEKEKKKKGKGEEDGEGHKRKLDGSSDSAVPVVAKKAKVEEAEVEVEKKEKKKKKKDKEDSDAETEQKTEKGKKKKNKDKVESSSPDTEKSEKKKKKKSKESEEVTAVVNGANDAGADKSEKKKKKKKNKDAVEE >EOY08770 pep chromosome:Theobroma_cacao_20110822:5:19828794:19843478:-1 gene:TCM_023904 transcript:EOY08770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLGDEHFGKSKEIVPENQESEYLEFDSRNREARKTDVKPCKVSIDIPDNECLSGRCGDCHGFDESSG >EOY10770 pep chromosome:Theobroma_cacao_20110822:5:36362595:36365298:1 gene:TCM_026069 transcript:EOY10770 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein, putative MKGAHLLLAYSLLALSSSFAYASGPSPLQDFCVANGDVKDVLFGSDQMPKQGVFEYVMKHAKRPNTWVAEDLINPKVFVNGKFCEDPKLAKAEDFTVSGLNVPRNTSNPVGSTVTPVNVAQIPGLDTLDNRLITQILYLGDLFVFPVGLIHFQFNVGKTNVVAFAALRSQNPGVITIANAVFAANPPINPDVLVKAFQ >EOY10537 pep chromosome:Theobroma_cacao_20110822:5:35225136:35225752:1 gene:TCM_025868 transcript:EOY10537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase, putative MDSLPDQQKMSQNELDFLLALRIATAPTLPLWILHDWGDEQCLKLLKNCFEALPENGKVVIVESLTPEFPMTDIVTKITFELDVSVLHMLPGAKERTKQEFEALAREAGFKTLNVVCRIYSYWVMELCKNVNIAN >EOY08782 pep chromosome:Theobroma_cacao_20110822:5:20035544:20036427:1 gene:TCM_023923 transcript:EOY08782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTWVLSKKKQMSLRKGLACLSLLLLMLLQFETPCFAGVYDHNHNRFRAGSGASLSPNFKSQGGFKGSNTAKDGDDIFGAEQRKVYTGPNPLHNR >EOY11520 pep chromosome:Theobroma_cacao_20110822:5:39512516:39514586:1 gene:TCM_026668 transcript:EOY11520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein MCLSVGLTFAHDEFKTACVLTRKGRPTTIVPQLPNRTPETAPPPNPGKLYDFKKALPDVLAEITEPNFLDWHRNLRIVLQSKKKSYYFKKTLSEVPAEDAEGFAIHFSKLKGFAFQFSKIFEMVIPPPVRPPRITKFLKPYVLKMHFTNKYVSAQVIHSPTATVASSASSQEKALRASMESTRDVAAAAKIGKLLGERLLLKEIPAVSVFLKREQKYHGKVKAVIDSLKEAGVKLL >EOY08632 pep chromosome:Theobroma_cacao_20110822:5:14457864:14466063:-1 gene:TCM_023478 transcript:EOY08632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding dehydrogenase family protein isoform 2 MVGNKQVVLNNYVQGWPKEGDFRLQCTETEIESIPNGSEAVLLKNLYLACDPYMRHRMCDNHISQPGTIFKSSFTPGSVLVGYGVSRVIQSTHPDFKEGDHVWGLTGWEEYTMIPNPEKLFKITYTGVPLSYYLGVLGVPGIAAHVGFFNLCSPKEGETVYVSTASGGVGQLVGQFAKMMGCYVVGSTSTKEKVDLAKDKFGFSDAFNYKEEHDLNAALTRYFPEGIDIYFDNVGGRMLDEVLLHMKAHGRVAACGMISQYNLEEPEGIRNLFSMIPRRVEIKGYIETDFHHIYPQYHELAIKYLKEGSLDYVEDVAEGLENAASAYVGIFHGRNVGKQIIRVASE >EOY08633 pep chromosome:Theobroma_cacao_20110822:5:14457864:14466072:-1 gene:TCM_023478 transcript:EOY08633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding dehydrogenase family protein isoform 2 MVGNKQVVLNNYVQGWPKEGDFRLQCTETEIESIPNGSEAVLLKNLYLACDPYMRHRMCDNHISQPGTIFKSSFTPGSVLVGYGVSRVIQSTHPDFKEGDHVWGLTGWEEYTMIPNPEKLFKITYTGVPGIAAHVGFFNLCSPKEGETVYVSTASGGVGQLVGQFAKMMGCYVVGSTSTKEKVDLAKDKFGFSDAFNYKEEHDLNAALTRYFPEGIDIYFDNVGGRMLDEVLLHMKAHGRVAACGMISQYNLEEPEGIRNLFSMIPRRVEIKGYIETDFHHIYPQYHELAIKYLKEGSLDYVEDVAEGLENAASAYVGIFHGRNVGKQIIRVASE >EOY10699 pep chromosome:Theobroma_cacao_20110822:5:35973261:35984274:1 gene:TCM_026002 transcript:EOY10699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDAGNILEAIAVGLALEWERERTRLMKELLRNYEGALLEKALKRSMLMAKKKEMLAEIESQEKLIDDFMVFIEAVENNDVEIAQNFDEKAMMDAIVRMLNGDGNSGGNGEGFGGVYGGNNDLEVAIEGTGRDCGEGSNAGGEGGSRKVLVFHSAGFNLFGGVVHLYVGWIGKKDLSFFSCVELNLGVESSACCFNSSLPDQFDQAIRFKFFPWDDQIFTSLDHS >EOY07952 pep chromosome:Theobroma_cacao_20110822:5:3583473:3587339:1 gene:TCM_022284 transcript:EOY07952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative MILTEKTGGGSRREMKSFFLAVLSSRLFMVFATIIIMSVNGSGYMFGLYSNDIKTTLGYDQTTLNLLSFFKDLGGNLGILSGLIYEVVPPWVVLSMGSVLNFFGYFMIWLSVTSRTAKPQVWQMCLYMWIAANSQSFPNTGALVTCVKNFPGSRGCVLGLLKGFIGLSGAIMTQFLYIALGLAGFIMVMIILQNRLSFTRTEYIGSASVVLILLLLPLVIVIKEEFNVSTSKTQASNTHSQLNVVIENPPAVPAKPAEATKEAFNCIKKMFKPPDRGEDYTILQAIFSIDMLILFIATACGTGGALTVIDNLGQLGKSLGYPTHSISAFISLVSIWNFLGRVLAGYLSEIVLTKYNFPRPLMLTFVILLSCVGHLLIAFAVPNSLYFASVMTGFCLGAQLPLLCAIISELFGLKHYSTMYNVGSVSSPVGSYIFNVRVAGHLYDKEAVKQTEALGRTRKPGQDLNCSGAKCYRLAFLIITAATLFGSIVSLILVFRTRTFYKGDIYKKFKEEVVESQAGVAPTGHGGLSLREMEDNAIVTVPEKTALSDG >EOY11054 pep chromosome:Theobroma_cacao_20110822:5:37695900:37696452:1 gene:TCM_026323 transcript:EOY11054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRIGKKKRRMGVTKEKETQLQSSSRRRRSAFPGPHLFFTVKIEKKLVRCPLEQKPSAISMSLNPSMVASLMAAAAAAMTTTAGPAMALVDERLSTEGTGLPFGLSNNLLG >EOY11276 pep chromosome:Theobroma_cacao_20110822:5:38780060:38785047:1 gene:TCM_026515 transcript:EOY11276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter ERD6 14 MGEEAATVTQSLLGRRGHGGGGQLQTVSSVTTTLVLSTFVAACISFGFGCVMGYSSPTQSAIMEDLDLSIAEFSLFGSMLNIGSILGALVSGRTTDLLGRKCTMWVLNLFYIMGWFAIAFAKVPWLLDAGRLLLGFRNGIAGYLVPLYVAEITPKNLRGRFSGLVQTMGVIGLSMMYIIGPFFSWRILALIVIIPSPLQLPLLFFIPESPRWLANVGREKEFEKALLSLRGEKVNIFEEATTIKDYTESLKCFSWGGILDLFQRKYAHPLIIGIGLMALQHSGGANAYAYYSGVIFVSAGLSKYIGLSTLAVVEILMSLLDTSLIDKFLHVEYASAASSLEYHSFYSYHKQDYNWWGERTPILALISIWLYMGSYQVGMEGIPWIIVAEIFSINIKGAAGSISSLIGNLFSWIVSYNFNFLFQWSSAGTFFIFSAICGLNAIFAATMVPETKGRTLEEIQASLTSI >EOY11151 pep chromosome:Theobroma_cacao_20110822:5:38155354:38160421:1 gene:TCM_026413 transcript:EOY11151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase isoform 1 MEEKSLIAKDVTELVGKTPLVYLNNVVDGCVARIAAKLEAMEPCSSVKDRIGYSMIADAEEKGLIKPGESILIEPTSGNTGIGLAFMAAAKGYRLIITMPSSMSLERRMVLRAFGAELVLTDPARGMKGAVQKAEEIMEKTPNSYILQQFENPANPKIHYEATGPEIWKGTGGKVDFLVSGIGTGGTVTGAGKYLREQNSDVKLIGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLEVNLLDEVVQVSSEEAIETAKQLALKEGLLVGISSGAAAAAAIEIAKRPENAGKLIVVVFPSFGERYLSSVLFESVKREAENMVFEP >EOY11152 pep chromosome:Theobroma_cacao_20110822:5:38155354:38160470:1 gene:TCM_026413 transcript:EOY11152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase isoform 1 MEEKSLIAKDVTELVGKTPLVYLNNVVDGCVARIAAKLEAMEPCSSVKDRIGYSMIADAEEKGLIKPGESILIEPTSGNTGIGLAFMAAAKGYRLIITMPSSMSLERRMVLRAFGAELVLTDPARGMKGAVQKAEEIMEKTPNSYILQQFENPANPKIHYEATGPEIWKGTGGKVDFLVSGIGTGGTVTGAGKYLREQNSDVKLIGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLEVNLLDEVVQVSSEEAIETAKQLALKEGLLVGISSGAAAAAAIEIAKRPENAGKLIVVVFPSFGERYLSSVLFESVKREAENMVFEP >EOY06977 pep chromosome:Theobroma_cacao_20110822:5:86170:89901:-1 gene:TCM_021530 transcript:EOY06977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase MSRTSVTMKLQLSSSTPVNIQTKLPILGLGRYHQRYSPKLPSNFQSTSISNSHQTPSPILLHPPVNQSVAAIVFGEGSDSRLYPLTKRRSEGAIPIAANYRLIDAVVSNCINSNINKIYALTQFNSTSLNSHLSRAYSGAGLGKEGFVEVIAAYQSPEDQDWFQGTADAIRRCLWVLEEYPVAEFLVLPGHHLYKMDYQKLIEFHRNRKSDVTIVASNDITVRDQDSGFGLLKINTENQVIQYTLKSDREHINYSVAETLTRFNDTSYNNVPSMGIYLINRATMVNLLNKHFRKANDFESEVIRGAISLGMKVQAYLFEGYWEDMRSIEAFYQANMECIKKSDMGYNFYDRDSPVYTMPRYLPPTIISDAVITDSVIGDGCILNRCKIKGTVVGMRTKVGDGAIVEDSVIMGSDIYQIEDGHESGLAVEEKGIGIPIGIGENTQIRKAIVDKNARIGKNVKIINRDNVEEGGREADGYIISGGIMVILRSAEIPDDSIL >EOY09518 pep chromosome:Theobroma_cacao_20110822:5:29639867:29641559:1 gene:TCM_024935 transcript:EOY09518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTLDKMKGIEIRRHTMEWNPSLARKGARLSNKWIKSLPQYSWLAGYKSETVTLFVNQDSVLKPDHLSYFILALPAMRFI >EOY11488 pep chromosome:Theobroma_cacao_20110822:5:39416015:39420307:-1 gene:TCM_026645 transcript:EOY11488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 family protein MGYCSQILYMIFIIFLLISLDSSHILSADALLDAAEGFTVHGLQQFYFMGESGGNQNKIEKVSGQDENEQKEALIVQKFRALLGLKSTKGRIPLNGAAECFSPSPSPSPNSIEAETSVPAPAPALPMHAHFHSPTPRSSTMPLPKRIHKENTDKGRVRRILVPVLVSAGAAFLACLVGLICFCGKIRKHRKKSTKPILTYSRKGRIRGKSQYTSSQNSSSNVSLNPSLDLFCLHTLGVDLERQPACLKQSAETGKTSTIDKRKESNKEVALPESDNASSSSTREITSVHEDVESARYDSDGGHCSPPDKVIPIECHSSDDESFHSFADSRSSNVRLSNVSAGSLSDTSENALNVTKVSPSPQHSFASPSMSPAMSGRHESPDCQKNFTAPPPPPPPPPPPPPPLPPVVLISPLNSSLSATKARLKASSSSTLLDVTPARNSNSSSGSNQNQANPSDIPLSPEKPSKPLPSSTGIPPPPCPPPFLKGNNNPVKGPPPFLKGNNNPVKGPPPPPTQLPQYTPLGKDGAPLPKLKPLHWDKVRAAPDRSMVWDKLRSSSFELDEEMMESLFGYNIHNSMKNDETKSKTPSPSQHVLEPKRLQNITILLKALNVTVEQACNALMKGNGLVLQQLEALVKMVPTKEEETKLSSYKGDINELGSAEKFVKVLLSIPFAFLRAEAMLYRETFEDEVIHLKNSFSMLQEACKELRSSRLFLKLLEAVLKTGNRMNVGTIRGGAIAFKLDALLKLADVKGTDGKTTLLHFVVQEIIRSEGIRVSDSIMGKINQGNRSRTAEEKEEDYRRMGLDLVSGLSTELYHVKKTATLDLDVLASSVSNLSDGKAKLQHLVQEELSTDEESGNFVRSMNSFLNYAEKNLKELQEDEHRVLLQVREITEYFHGDVSKLDEANPLRIFVIVRDFLGMLDHVCKELRSLKVPSCPSPLAPFR >EOY11068 pep chromosome:Theobroma_cacao_20110822:5:37764619:37768025:-1 gene:TCM_026342 transcript:EOY11068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MNTEFDHGATSLKVDGKVSIEKVMEQGKWEQSNPEFLSELGETIDTSIYKSQQLKMQINHFNRNHPLNFHEVLEEDENPGCQACKLEILTQAYICKKCEYYLHKACTDLHPEFKVDVKCAGMSAPRNQGQRLKEMYRKTKISHFSHEHMLVLGNAKKHYYCSYCQLEIFGLAYCCLDCIYVLHVSCLGFPEEMQHPFHPLHLLVANMADYCVVCNACDIRILGINYSCFECGLEVEEVLSWLVPRIRKKSVELE >EOY10121 pep chromosome:Theobroma_cacao_20110822:5:33076192:33078075:1 gene:TCM_025499 transcript:EOY10121 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-domain-1 13-like protein MGKHRAKKEISGEKLMFNTREAHPKFLWDDTVEDNINEDKLLQLPLFKIEELAIATNNFNLSNKLEQCGFVAVYRAVAKKEKKKILAYKCLPNESLDAFILDPTKQELLDWKTRLNIIKGISRGLLYLHRDSSGYMSPEYAMEGHFSEKFDVFSYGVLLLEIINGRRNTSFYNNEHFSLAMAATHSLVVESDSANAINWVQHHCKVPWRMKNISNAIETLLRKSIRITFKHVMREANKVADGLAKAGVLRYSNFKSYFQNQQGEST >EOY09884 pep chromosome:Theobroma_cacao_20110822:5:31504202:31506426:1 gene:TCM_025254 transcript:EOY09884 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MIICLLNHTWEKSQSKFNLLRRGNIFDNYCQVWEVDETRVEDELLESSIFSIDVHASFTYPNQDCDDEEDDLDIECYDSVTIRRTHEVQFDYMNSDPRSAIRDMLVSMHVPVEDFMIAEISKCAGRMSTKDCYKNRKVLRMCVSIDVMVGELPFPIDKPTTTPASKEAIRRLEKVVIENPMACAICLDDLSIGSEAKRMPCSHLFHGRCIVHWLGMSKFCPICRFEMPS >EOY11338 pep chromosome:Theobroma_cacao_20110822:5:39012883:39016794:1 gene:TCM_026554 transcript:EOY11338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MKSEWIFQKLTPHLPSCFSSILSPFRTQKLYQFPSSDAPKLALNHIGISLLLSISGKQGFVLLGSSIHASLIKNPEICKPAGGFRNSDNALLVWNSLLGMCSKCGTLTDLTKLFDEMPMKDTVSWNTMISGFLRNGEFDNGFRYFKQMRKSGFCSFDQATLTTILSACDGVEFCCVNKMMHGLLFLNGYEREISVGNALITSYSKCGCLSSGRQVFDEMFERNVITWTAMISGLVQNELYEESLELFNEMRLGSVCPNSLTYLSSLMACSGLQALNEGRQIHGLLWKLGIQSELCIESSLMDMYSKCGSVNDAWQIFESAQDLDEVSMTVILVGLAQNGFEEQAKRFFVRMFESGIEIDPNMLSAVFGIFGEDTSLGLGKQIHSLIIKRNFGCNSYVSNGLINMYSKCGDLEESVKVFSRMSQRNSISWNSIIAAFARHGDGYRALQLYEEMRSEGIEPTDVTFLSLLHACSHVGLVEKGMELLKSMTEVHGILPRAEHYASVVDMLGRAGLLNEAKTLIEGLPFKPDVLVWQALLGACGIHGDFEMGKYAADQLLIATPESPVPYVSMANICSLRGKWKERARTIKRMKEVGVVKETGISWIETEKKVHSFVVQDRIHPQAEAVYGVLKELFRLMLDEGYVPNESFTFSYIDQDARGGCTNLDRNCSGISKADFKFQGLKLNLKQNHEISLKLPFQASNAESVTTLYSSKFKVCTVTDVLITPALANPSTRAFASRLICLKAMPVLVLIKVSMAFGANFFF >EOY09835 pep chromosome:Theobroma_cacao_20110822:5:31264226:31265451:-1 gene:TCM_025211 transcript:EOY09835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYSLGVVFDPSDRDIVSHYLPMLISGESMSSLGDLQYVIGFEDIYSTKPSVFFDVNNGNGLPFLKSNQRFIFTHRQRISKKNANGKRPRRILESHHYDETLGVGDSGGYWRSSTAEKPILDEQQKEIGFVRTLNFFEFKDEKKCRKDATKTRWLMHEYRLPGDTFQEWVICKIKDTSRSPHDDYSDSIWEKELFGKLLLPHSDENYDHQDEYQSQIQSSTVFNDGNLPSFEVDQLLDDDPFKEVDQLLEINDNNQIQTQSSTVFNNGNLPRYEVDQLLYAHEKEVSKDDDPFKEVDQLLEINDDNQIADYPFKEMEQLLGMNDNDPIADVDEALATMNSYYLQDLLG >EOY10418 pep chromosome:Theobroma_cacao_20110822:5:34605789:34609558:-1 gene:TCM_025779 transcript:EOY10418 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MVTTRSATRLRAKITSVEKVVNSEDLLQEILLRLPTKTLLRFKLVSKPWLSLISSTQFSLAHTRFLQNNRSLKPHAFFLDVLYKKLPSKFKFLRLNPNIKRLPPFDFIDAPRIRIIQSCVGLLLCVSESDYGLRYFICNPATKKFKVISVYEHEVIYNSYKYVANNLGLDPSKSAKLFMDYDQRASVSLAFDPTIEVRRHAGVNLAFDPLISPHYKIFSIWQQLFFGKDPESTTCYIFPTYFIDIYSSETKSWSASKINFNSKHNINIDRAVFFNGAIHWDCADTQSWYIDVNNECLKTMPMPGVHSGFRYFGESGGHLHLVVATGFSQLKFKIFEMEADYSNWYLKYNVHLEAETFTVVPRRQQNRMRSYLLSCVVESDEDEGDSLLVVLPNGFAISYNLEDGKVKRLRCSNIDKDGHDGGRFHAFPYFETLSCL >EOY07894 pep chromosome:Theobroma_cacao_20110822:5:3166774:3167583:1 gene:TCM_022211 transcript:EOY07894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDMAKMNQRTYSFRFTAKSAMKQLKNIFLSIFWWQTQGDRTQEIVELKNGEPKKFFVSLSYLTYPPFMKLLDAAEEEYGFNQKGALVIPCEATELEKILS >EOY07879 pep chromosome:Theobroma_cacao_20110822:5:3109972:3113174:1 gene:TCM_022199 transcript:EOY07879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MSTEKLSQMLKEAVSSNRTSLTNQKTAAKITTILIKSGLKPFKTNPSLLSNLDSNVTSLILSNPNLPLQSCTLFFNFLKTNLSLTSQKPSLKTHIAIILRLYKAGKFKEIKEVLKFIIFDDNLRYPVEEMISLVGFECNDSNFLEKFCDLYFRVCVDNKMFEEANGVFGYMEKMGFKIDERSCILYLIALKKCGKGDSLLGVFRRMVESGVEVSVYSMTIVIDGLCNRGEVEKGRELMNEMVNKGVKANVITYNTILNAYIKTKDFGVVTEVLRLMEKENVEYNAATYTVLIEYFGSVGKHEDVEKLFDEMRERRVEMDVHLYTSMISWHCRRGNIKRAVSLFDDLTEKGLVPNAHVYGALIDGLCKTEQMEAAQMMVNDMQSQGIDVNQVVFNTLLHGYCRKGMMDDALSRLAAMEKKGLQPDVFTYNTIASGMSKLKRHEEAKRWLFMMVEKGVTPNVVNFTTLIDIHCKEGNFVEAKRLFQQMERRGERPDTITYNALIDGYTKKGKLKDAYKVRDEMEAKGRIPDVYTYTSLVHGECIYGKVDEAMKLFNEMHQKGLVPNVVTYTAIISGLSKEGRSDEAFRLYNEMINVGHMPDQRVYSALVGSLHTDFNQRTFLFKKNACERICLPNHVAQDSLKASMAELEASLRCWSSHPTAIDAAPGKYVSTVGNQIIWLWNPCKFVSFMTSIINVTKLYAAWIALDLMFLLKSVCLLREVSSVDEEDLRETETPNFIMNFSGL >EOY09535 pep chromosome:Theobroma_cacao_20110822:5:29702842:29729446:-1 gene:TCM_024946 transcript:EOY09535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spindle pole body component 98 isoform 2 MEEEDQRKVTDLVIELVRRLLSQQNSQNPNLNSPHFSQSLRYALRILSSRLTPSISPDADAIAESIKRRLATQGNSSDALTFADLYTKFASKNGPGSVNNKWAVLYLLKIVSEDRKTAKNSMDSSFSLPNLGLNDDEMGNNLRVLNGKDNREKGWKNGVLLVSKDPENLREISFREFRNLVKEENEVSEEVLVRDVLYACQGIDGKYVKFDSTLDGYALSDLVKVPRATRIIVRKLCELGWLFRKVKGYISESMDRFPAEDVGTVGQAFCAALQDELSEYYKLLAVLEAQSMNPVPLVSETASSGNYLSLRRLSVWFAEPMVKMRLMAVLVDKCKVLRGGAMAGAIHLHAQHGDPLVHDFMRRLLRRVCSPLFEMVRSWVLEGELEDIYAEFFIVGQPVKAESLWREGYRLHAGMLPSFISQSLAQRILRTGKSINFLRVCCDDRGWADATTEAAAAAGTTTRRGGLGYGETDALESLVMEAAKRIDKHLLDVIYKRYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPELSEPANTISSFKLAGLLESAIRSSNAQYDDPDILDRLRVRMMPHNTGDRGWDVFSLEYDARVPLDTVFTESVMTRYLRIFNFLWKLRRVEHALIGAWKTMKPNCITSHAFTKLQRAVKLQLLSTLRRCQVLWDEMNHFVTNLQYYIMFEVLEVSWSNFSNEMEVAKDLDDLLAAHEKYLHSIVEKSLLGERSQTLYKSLFVLFDLILQFRSHADRLYEGIHELQSRTVESSSNSRDKSKSSRQRKDKSSEPGSWISEGRKALTQRASEFLQNMGQDLDALATEYTSLLEGFLAQLPVQQHIDLKFLLFRLDFTEFYSRQHPTV >EOY09536 pep chromosome:Theobroma_cacao_20110822:5:29714868:29718666:-1 gene:TCM_024946 transcript:EOY09536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spindle pole body component 98 isoform 2 MEEEDQRKVTDLVIELVRRLLSQQNSQNPNLNSPHFSQSLRYALRILSSRLTPSISPDADAIAESIKRRLATQGNSSDALTFADLYTKFASKNGPGSVNNKWAVLYLLKIVSEDRKTAKNSMDSSFSLPNLGLNDDEMGNNLRVLNGKDNREKGWKNGVLLVSKDPENLREISFREFRNLVKEENEVSEEVLVRDVLYACQGIDGKYVKFDSTLDGYALSDLVKVPRATRIIVRKLCELGWLFRKVKGYISESMDRFPAEDVGTVGQAFCAALQDELSEYYKLLAVLEAQSMNPVPLVSETASSGNYLSLRRLSVWFAEPMVKMRLMAVLVDKCKVLRGGAMAGAIHLHAQHGDPLVHDFMRRLLRRVCSPLFEMVRSWVLEGELEDIYAEFFIVGQPVKAESLWREGYRLHAGMLPSFISQSLAQRILRTGKSINFLRVCCDDRGWADATTEAAAAAGTTTRRGGLGYGETDALESLVMEAAKRIDKHLLDVIYKRYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPELSEPANTISSFKLAGLLESAIRSSNAQYDDPDILDRLRVRMMPHNTGDRGWDVFSLEYDARVPLDTVFTESVMTRYLRIFNFLWKLRRVEHALIGAWKTMKPNCITSHAFTKLQRAVKLQLLSTLRRCQVLWDEMNHFVTNLQYYIMFEVLEVSWSNFSNEMEVAKDLDDLLAAHEKYLHSIVEKSLLGERSQTLYKSLFVLFDLILQFRSHADRLYEGIHELQSRTVESSSNSRDKSKSSRQRKDKSSEPGSWISEGRKALTQRASEFLQNMGQDLDALATDLVSGIVVSLAHNAKCGGLYTFTRKIDYFS >EOY11484 pep chromosome:Theobroma_cacao_20110822:5:39401245:39402179:-1 gene:TCM_026642 transcript:EOY11484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A 7 MESTAKPAGGRRGERKKAVSKSVKAGLQFPVGRIARFLKKGRYAQRYGAGAPIYLAAVLEYLAAEVLELAGNAARDNKKNRINPRHLLLAVRNDEELGKLLQGVTIASGGVLPNINPVLLPKKTSASSESDKVSKPKSPKKA >EOY09727 pep chromosome:Theobroma_cacao_20110822:5:30776615:30777263:1 gene:TCM_025119 transcript:EOY09727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLARYHFTHLNGRYDTDVGYHFTLFFSNIFRSNGRYDADVGYHFTHSNGYYDTPLHPLLLFLLLQ >EOY08595 pep chromosome:Theobroma_cacao_20110822:5:13336700:13350696:-1 gene:TCM_023358 transcript:EOY08595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLVLFVVAILDQRKKLSYVEFTLLEMYPCIQASMMFSLVTKTMDELFHYYRNMLQLLSHVGESGQEYPTSIGQGTSGGCRDSVASTLIGKDSKCTKKRLDRFKNHRLNTRSKVLKTELEKYVFKHVDDEGFDDDEFDVLMWWKFNQFRFLVLVAIACDVLAILVSTVASESIFNTNGHVLDAYRSSLTLKVM >EOY08821 pep chromosome:Theobroma_cacao_20110822:5:21749312:21749593:1 gene:TCM_024054 transcript:EOY08821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 FGINEAQFISFMGFGINEAQFISFMGLFFPINWS >EOY10940 pep chromosome:Theobroma_cacao_20110822:5:37135786:37141510:1 gene:TCM_026206 transcript:EOY10940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MRDVSDESAGEPFAPAVTPSEEERTRYNYYRPLYLAALEGNWEAADGYFRDNVPDAFFAQISCTGMNALLVASCNGHTKFVEMLVERMPEKALEMPGPGGYTALHHAAIGGNLKMAIALIRRNPQLTQITDNGGKTPLLLAVSIFPEHKLVAYLTRSTTIEKPEIPFSGCMAGDLMVNLTHMGFHDISLYLIRREPNLALATSSEGETILQVLAYEPAHFHDETKLNFWERWIYQYFAVPDEDDPFETSDQDLVVEKQSETNEAKTQVYLCVKQVLWRTIKKLVPCINKVQEKKLSHQRACKLVHLCLHALVDYDELKRNDYFDNQKILHHAACCGVVEIVTASFRYFPDLIFSKHGSQILKNAIQYRQENIFNLACKSTALDKMLATVCFEPSISHLVAELPKNRELADHSCASTEMQRELQWFKMIDSIQHPRLKQLKKEGKTAFQCFLENHKQMRENAEEWMKDTSNSSMLVSTLIATVVFAAAFTVPGGNNEQGIPNFLNDTSFTVFVYSDALALFSSVTAILMFLSILTSSYKEKDFLRALPKRMLIGLASLFFAIATMMVAFGAGLAIVLRERFKWVWGPIMFLASFPATLFIKLQLPLFIEMVQSTYGSIFRRGKIW >EOY08047 pep chromosome:Theobroma_cacao_20110822:5:4160003:4162855:-1 gene:TCM_022369 transcript:EOY08047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein MISTELNGKPLFICIFIFCLLLLGHHCSSQRTCPSCGSIEIPYPLSTHPNCGDPGYSLRCDSQSQKLFFDALNGSSYLVTRIMASFHRMVVQPSPWLPSKCVTQDMPVSEGLWLNQTLPFNITSSNTIFLFNCSPRLLVSPLNCTPTSLCHRYLESSGHVEAKRALQCASGLDLCCTFTAGGMPSAYKIRLHSSGCKGFRSILHLDPEKPANEWEEGLEIQWAPPPEPVCQSQPDCSGPSKCSPAGAKGLSRCLCNEGYNWDPVLGTCLRKKRNNRASVGLKVSVGVISFFTLALATAAITYRKCWRNSNQAKLMKVREDMLKSSNGGKPAKMFRLKEVKKATNGFSRDRVLGSGGFGEVYKGELPDGTVVAVKSAKVGNIKSTEQVLNEVGILSQVNHKNLVRLLGCCVEAEQPLMIYEYISNGTLYDHLHGKYSTFLDWKTRLKIALQTAEALAYLHSAAYTPIYHRDVKSTNILLDGDFNAKVSDFGLSRLASPGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSYGVVLLELLTSQRAIDFSRDQDDVNLAIYVSQRASDGAIMEVMDQRLLGEEPSVNMRKSVKLFSELAFVCLGEKKADRPGMKAVVQNLQCIIQIIDQENVDKEVSVEIM >EOY08971 pep chromosome:Theobroma_cacao_20110822:5:24194631:24195673:-1 gene:TCM_024288 transcript:EOY08971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSEIPLIFLGQYLSNAPKFDDHQILCHGHDFVDGVASVAATVVATIAATAIDASVGTGFDHGCSLTLGIFTIAGTRRLGRCPFHGAVQLAWSLDLKSESCPPRFSFVWFCYVLVFSEAEIVSIWKAYSTGIGGPLVRRRDPSAPRAVWRNAGETQMHALGIHGVYRPIHYETLIHLLAS >EOY09402 pep chromosome:Theobroma_cacao_20110822:5:28961684:28968551:-1 gene:TCM_024827 transcript:EOY09402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MNVPCQYGETTCTRFQLYQTQISYYFPNQCRKQSKNMGAHPIPQLTVVTLMFLPTIIQSHPSTYSTISVTDFGATGDGKHYDTSAIQSAIDTCHNSTTKPCYVTFPPGTYLTATVFLKSNVVLNIPKGSAILGGTKLEDYPKAWDRWYVILAENASDVGITGGGVVDGQGSEFVVKFDKRKNVMVSWNQTGACWGDECRPRLVGFLDSTNVRVWNVTLTQPAYWCLHIVRCENTSIHDVSIYGDFYTPNNDGIDIEDSNNTLITRCHIDTGDDALCPKTYTSPLHNLTATNCWIRTKSSAIKLGSASWFEFKNLVFDNITIVDSHRGLGFQIRDGGNVSDITVSNINISTRYYDPSWWGRAEPIYVTTCPRDSNSAEGSISNVNFINITANSENGIFLSGSKGGLLRNLRFINMNLTYKRWTNYVGGLVDYRPGCQGLVNHSAAGIIMEHIDGLDVENVNMRWFDGRTVQWDNPLDFTPSTVNNISLLNFHSGFYSQ >EOY09661 pep chromosome:Theobroma_cacao_20110822:5:30408379:30410692:-1 gene:TCM_025057 transcript:EOY09661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MAKQKKLPFLVHVQSTTCAFQLVHVDIWGPYETPTLSGQRYFLIVVDDFTRFTWVFLMSNKSDVLTIILSFNNHVQKQFELQIKCIRSNNGLEFRLSDFFAKTGIIHHLSSVDTPQQNGIVERKHRHILTVARALMHQSSVPICLWGDAILTAVHIINRVPTKILQNKSPFELIFHKAPTYEHLRVFGCLCFVSTLPQYRKKLDKIASKFIFLEYPNYIKGYKHDEQENTFSQTPNLHAKYPNVFYSNSENLQYQDQVHAENHSPSPESGPQTLITIVSNELAHDSPMIVSMPTAIDSVSDADDNDFDDRNTNLPIRRSTRFKQIPKYLEAYDVDLSSHSNIVTAHPISKHLSASKLSLEQKTFTISLSKIHEPNTYHQAANHFHWRDAMSVELKALQDNGTWSIVPWPANSHVIGCKWIYKVKLNEHGEVELYKARLVAKGYSQIIGFDYQDTFSLVAKQTTVRVFFALAVVHNWSLSQLDVNNAFLNGDL >EOY07021 pep chromosome:Theobroma_cacao_20110822:5:207525:210399:-1 gene:TCM_021558 transcript:EOY07021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MLRAGRHGGRDLFSNLLKISSCSEFFLHSSPFSSTPRTATNYPLSLTKQLPQVSASKAVSSSAVRSIAALLSAPTVQCKDFDDLLKDYKDKLNSKLVLHILMNYKQLGRVKTLEFFSWAGTQTGFQFDDCVIEYMADFLGRRKLFDDIKCLLLTILSHKGGLSCRVFSICIRFLGRQGRVAEALSLFQEMETTFRCKPDNIVCNNILYVLCKKETSGELIDVALTIFHRIDVPDTYSYSNILVGLCKFGRLETALEVFHKMHRAGLVPTRSAVNVLIGQLCLLSAKEGAIEKVRVKNVSRPFTILVPNVSSKKGAIEPAVSVFRKAHDSGLLPSAFVIVQLLSELCRLEKLEEAYKILKVVEQRKMSCLEEGYSVLVQAFCERNLVEKASFLFGRTLSLGVKPKLVVYNSMICMLCKAGILDDAERVFKIMNKERCLPDNVTYNALVNAYCEARNWKAAYSLLTEMLGLGLTPNFHTFTLVDKLLRENGQVDLCFKLEGKLETQILLKHCKVGQLEVAYQKLNLMIGKGFHPPVYVRDAFQQAFQNRQFPLTLPRVEGEIWDKPDMLPRITRQELPDGTAQIKMESSRIIAWFLKHQGDAFLELFEAMLD >EOY10239 pep chromosome:Theobroma_cacao_20110822:5:33689675:33690607:1 gene:TCM_025604 transcript:EOY10239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein phosphatase, putative MLAVLDCNFKELSPYGGNVIEENEVRMPSKIRNLMLDYLPKIIHLWKQDSPLDHICASLETLKVWRCNSLINLASSSTSFQNLTTLDVWNCKEIAELITASKVQSLVHLVEMTIRKCETMKEVVADEGDDEATYEIIFRELKRLELQCLPSLRSFCTGNYTFRFPSLEQVIVSECLSLKSFCQGALSTPKLERVQLNRTDSEGRWAGDLGATIEQLYMEQNVQISEEKTEDTI >EOY09369 pep chromosome:Theobroma_cacao_20110822:5:28664849:28679103:-1 gene:TCM_024794 transcript:EOY09369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSSAGPLNIYRNDYEIELQMRQIQQEKRDCLTQGHILTLPERVHLDLQQNDFTEMIGIWEQWRHAHWDNFQRMTTVEMDQHLKKKGDTECLPWSFLNGYIKKHMEDEQGLIAFAMAIYGLVVFPKVLGHVEVSVIDFFDQVTRSINPAPSILAETFKSLNFCRRKGEGRFIGCA >EOY08870 pep chromosome:Theobroma_cacao_20110822:5:22610789:22618857:1 gene:TCM_024144 transcript:EOY08870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKISRLHLQTSTSRELVPKYQDIHAMYQDLVFEIPNSIMQAFKYRDLLAEDQDIISRLQFPESKCSSTKTKLQYLETCFSLRMPRMNKYRDMQYRYWDLKYWDLY >EOY11649 pep chromosome:Theobroma_cacao_20110822:5:39939878:39949611:-1 gene:TCM_026762 transcript:EOY11649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease 1 MLKLLSSSSSRVHCLPTATPSLLVKPDSNSVFHAVGRLTGLTRRSPTNSLFRAFFCSESSDGSGSNSGSEPVVEVEVKGVESESNGSDSKASSAIVPTYPRPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKVLAALQESRKRQAPYAGAFLLKDEPGTESSPSSETEKSVYDIKGKELFNRLHEVGTLAQISSIQGDQVILIGHRRLRITEMVSEDPLTVKVDHLKEKPYDKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYSQHIGDFNFPRLADFGAAISGANKLQCQQVLEELDVHKRLQLTLELVKKEMEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERLEPNREKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVLRAQTILDEDHYGLTDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGHSIARALNRKFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVDNIPNPLLDRMEVIAIAGYITDEKMHIARDYLEKTARETCGIKPEQVEITDAALLALIENYCREAGVRNLQKHIEKIYRKIALRLVREGSSNESAISGEVVQPAEATAEVVDESDQHAGAKKTGVEVESVGDNSHEHTSETFVQVDTVQTSDQPKDSKDATDAEKLQEAEVTKAVEKVLVDNSNLADFVGKPVFHAERIYDQTPVGVVMGLAWTAMGGSTLYIETTQVEQREGKGALHCTGQLGDVMKESAQIAHTLARAILQEKEPDNPFFSNSELHLHVPAGATPKDGPSAGCTMITSMLSLAMKKPVRKDLAMTGEVTLTGRILPIGGVKEKTIAARRSEVKTIIFPSANRRDYDELAPNVKEGKYQSRAQICDHCSSTKSGFPTDTGNNKRQKFVVNQNICDRSIFLL >EOY07087 pep chromosome:Theobroma_cacao_20110822:5:399926:402222:1 gene:TCM_021602 transcript:EOY07087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brassinosteroid signaling positive regulator family protein MAGEKKTTLRGCIKKSKGPWIVHRATKDGGIVTRYRFPTENERQKNKQRERRRRAVAQNIFAGLKEHGNYKLPKHADANDLLKALCQEAGWHVEEDGTIYRKKTVSSTMAASVENGEAKDQDYCTCNDHGNVLPASGTFLSVGQSQECSGINLVLSLSVSNSLTRNVS >EOY09983 pep chromosome:Theobroma_cacao_20110822:5:32081217:32083042:-1 gene:TCM_025352 transcript:EOY09983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGQKIDLFDLANGSQLILLRRCRIDLYGENGLYFAKSFFKQIAGNVGADQNLRKMIWANLASPQVEVLYWQVLKGKLVVSRGNNLTSQKLNNQMRVVRGCLGEAEISGVLKDNIRNIKILFSKSMGIVDSNLVEIVAIKEVFLLYTASSWACTYELIIELDSSNVVKWSNMTHLLLHGG >EOY09583 pep chromosome:Theobroma_cacao_20110822:5:29980166:29981487:-1 gene:TCM_024998 transcript:EOY09583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMFFSTFYSMPTNDILYSNQPPRNRICLRSDRISQRAPTLVLSREHRYPKTTGSVLPGEHTRMVLPKEHHVGCSSGSIDPWSQIGASQARPGEGGRI >EOY10787 pep chromosome:Theobroma_cacao_20110822:5:36525184:36526359:1 gene:TCM_026098 transcript:EOY10787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSCRSFHGVFSGCVIHKTTFLESDSMIIPVEFAHEEAENKIIASLTARISARLASPQPAFFKKVILTAPLLSRKTPPIPRWPGHPLAAPSVLNLSQPAGGHSQETMLLTFLFEVGAEAKKGVVAISKMALSPGHWDLTYHATLNLTISNTFNKSHIFPSKIISFL >EOY09281 pep chromosome:Theobroma_cacao_20110822:5:28000957:28003514:-1 gene:TCM_024708 transcript:EOY09281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATKYIIPAVLGSFAIAYVCDQLIADKKIFGGTTPSTVSNKEWWEETDKKFQAWPRTAGPPVVMNPISRQNFIVKAGSES >EOY10381 pep chromosome:Theobroma_cacao_20110822:5:34421793:34423515:1 gene:TCM_025750 transcript:EOY10381 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPP2-A2, putative MLKSSQVMKKQNNIFITIGCCLKLKKAVATDTNKVLPEHKRKKTWENAKSGHKCFVLYAWSLDICWSDHDYWIWDSFTETSDEEIEVAKLRTVCWLHVKGKFKISDLSPGTVYEVVYVVMLMKGASGWELPIKLKLSLPNGKVQERQVSLLRMPKGKWMEVSLGYFCTEENGETRDVCYLISMKLGAIGKMDLLSRVPF >EOY10369 pep chromosome:Theobroma_cacao_20110822:5:34389153:34391295:-1 gene:TCM_025740 transcript:EOY10369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISTPQGSTRSFVLLTIKGFGAPLGSSRSGVVFGQGMMFFPEFQQRERVGETERFIESQQQEEDLCDEKLKAIFDGKEKIGFLEIGKLLTRHFVKTDWPLLLYLALGFPTKNEVAPVILNLTLFNVCSCLHFVFRAELAGVGLGKVLATLV >EOY08042 pep chromosome:Theobroma_cacao_20110822:5:4130315:4134141:1 gene:TCM_022364 transcript:EOY08042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) binding protein 7 MAVPSAVTMTASPASLYVGDLHPDVSDGQLFDAFSEFKSLASVRVCRDSSTGRSLCYGYVNFISPQDAHHAIEAKNHSLLNGKMIRVMWSLRDADARKSGVGNVFVKNLSDTIDNVGLQELFRMFGNVISCKVATFEDGKSKGYGFVQFELEESANDAIEKLNGSTLGDKQIYVGKFMKKSDRVMLSPDVKYTNLYMKNLDADITEELLQDKFSKFGEIASLFVAKDENGSSRGFGFVNFESPDDAKRAMEAMNGSQLGSKVLYVARAQKKAEREQILRRQFEEKRKAQVMKYKASNVYVKNIDDDVTDEELKEHFSQCGTITSSKLMRDDKGISKGFGFVCFSTPEEATKAVSTFHGHMFHRKPLYVAIAQRKEDRQAHLQLHYAQRTAGLAGPSTAVLPGGYPPLYYTASPGVVSPVPPRPGMMYQPLGLRAGWRANAFTPPTRPAFQPSSLPMVPSATRQTRQNRARMNGHALLQGGSYSVPQLQQPAQSGTTLKDQSNQQRVGQAKYVPNGRGREVNRGSGVPPAASNSVAAVSQGSETLSSMLAAASPEQQKTILGERLYPLVQKHQPDLVPKITGMLLEMDNSELLLLLESPESLGAKVEEAVEVLKLSNAKVSGQDALHPSFLSAEVAVN >EOY09156 pep chromosome:Theobroma_cacao_20110822:5:26658977:26672659:1 gene:TCM_024555 transcript:EOY09156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone and stilbene synthase family protein MISKRHFVLTEEIINKNPNISTYSSPSLEISQQILAAEVPKLAMEAASKAIQEWGQPKSQITHLIFSAGSGMEMPGADHRLTKLLGRPSVKRVMMYFQGCYAGGTILRMAKDIAESNAGARVLVIISDITLPTFRAPNEHNIPSLISKAIMGDGAAAMIRGADPNVLKERPLFQIVSATEKIIPDSVGAIKGHMHEAGHGIHLTRDVPKLIANNIDKCLAEALSPRSINDWNSFFWIVHPLGNAILDQIEIKLGLKRINF >EOY09292 pep chromosome:Theobroma_cacao_20110822:5:28054715:28060319:1 gene:TCM_024717 transcript:EOY09292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MPQYARYLSNPRVSSHSPPAYLSRYPSANTFTLAFVLKACSNLLAFEEGQQVHARVFRSGFGSNPFVQTGLVNFYAKCENMGLAKKVFYEIPERNLVAWSTMISGYAMMGSVNEAFGAFREMQKVGILPDEVTMVSVISACAAAGALDIGRWVHAYIEKQMIEIDIMLSTALVNMYAKCGCIEKAKELFERMPVKDQKAWSSMIVALAVHGLAEEALEAFSRMEESKVKPNHVTFVGILAACAHSGLVSEGRRYWSSMIESGIEPSIEHYGCMIDLLCRASLVGEAYNFVQTMPFSPNAVIWRTLLVGCQKNKMLHKGEIAAEQLLALEPLNTENYILLSNLYASVSQWEKMSHVRKMMKEKGIKGVPGCTSIEIDGFVHEFVMGDWSHPEEKEIRQVLKDISERVSGSGHEPHISDVLHNVADEEKGTYLCEHSERLAIAYGLLKTKAPAPIRIVKNLRICSDCHEVTKIISKIYGREIIVRDRVRFHKFVNGTCSCIDYW >EOY08148 pep chromosome:Theobroma_cacao_20110822:5:5084414:5087261:1 gene:TCM_022490 transcript:EOY08148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 3 isoform 2 MDLHLKQWRNQHESEQQPSAKIPKLLLDPHHQHQQQQQQHPSESSALPLFVPEPNSKISSNLSAVPDSTTRFPRMGSYFSLAQWQELELQALIYRYMLAGATVPPELLQPIKKSLLHSPAYFLHHPLQHYSHYQPALLQSGYWGRAAMDPEPGRCRRTDGKKWRCSRDVVAGQKYCERHMHRGRNRSRKPVEMPTSSTTANNNATAAFGGASGAGVGAIGCGALKVTSPIPASPLAVVANGTNNFGLSGPSPPIDLLHLNHSSSESKNEHKGLFEAQNEVNNRSDGHILRPFFDDWPRSQQDPDNTGTSASPMSSATCLSISMPGNSSSDVSLKLSTGNVDQLGPRDSERNWAAGWATNQVASMGGPLAEALRSSTSNSSPTSVLQQLPRGSASETSYVNT >EOY08147 pep chromosome:Theobroma_cacao_20110822:5:5084000:5087244:1 gene:TCM_022490 transcript:EOY08147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 3 isoform 2 MDLHLKQWRNQHESEQQPSAKIPKLLLDPHHQHQQQQQQHPSESSALPLFVPEPNSKISSNLSAVPDSTTRFPRMGSYFSLAQWQELELQALIYRYMLAGATVPPELLQPIKKSLLHSPAYFLHHPLQHYSHYQPAWYWGRAAMDPEPGRCRRTDGKKWRCSRDVVAGQKYCERHMHRGRNRSRKPVEMPTSSTTANNNATAAFGGASGAGVGAIGCGALKVTSPIPASPLAVVANGTNNFGLSGPSPPIDLLHLNHSSSESKNEHKGLFEAQNEVNNRSDGHILRPFFDDWPRSQQDPDNTGTSASPMSSATCLSISMPGNSSSDVSLKLSTGNVDQLGPRDSERNWAAGWATNQVASMGGPLAEALRSSTSNSSPTSVLQQLPRGSASETSYVNT >EOY08833 pep chromosome:Theobroma_cacao_20110822:5:21939203:21940456:1 gene:TCM_024071 transcript:EOY08833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRYDARRQLYGQSGIILQEFEAQSRESGNDQKGELPKQPFEVQHLLDGFKELVSEELPSVLPPIRRVQLAIGSVPAASLPNVPAYRMPLLQ >EOY10679 pep chromosome:Theobroma_cacao_20110822:5:35825792:35830874:1 gene:TCM_025979 transcript:EOY10679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFMMKTKLSLSRPYHVNVHDVRPQSFYDERDCKDSCKIRARQAEEKIRYENTAIRDGNDKRGTKRQGREKVIWESRQEELSIFEQGNQQSRLLQAEVMEADLAKLEVQMRDSAETLMAAREAADLTESEFALLIRRRNGLMDNLMRRKNKFLKMKGIEAFLMLKLGFL >EOY07943 pep chromosome:Theobroma_cacao_20110822:5:3544257:3546401:1 gene:TCM_022276 transcript:EOY07943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase protein 32 isoform 2 MALFLSLLLILMFPSTNADWPPSPGYWPSSKFRPMSFYNGFRNLWGPGHQSADQNALTIWLDRTSGSGFKSVRPFRSGYFGASIKVQPGYTAGVITAFYIFWSPKEIIFLVDDVPIRRYPKKSAATFPLRPMWVYGSIWDASSWATEDGKYKADYRYQPFVAKYTNFKANGCSAYAPAWCRPVSASPFRSGGLTRQQYRAMRWVQRNHMVYDYCKDPKRNHALTPECWT >EOY07942 pep chromosome:Theobroma_cacao_20110822:5:3540811:3546391:1 gene:TCM_022276 transcript:EOY07942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase protein 32 isoform 2 MFMVYRIVSFLSSWLSSTFLMALFLSLLLILMFPSTNADWPPSPGYWPSSKFRPMSFYNGFRNLWGPGHQSADQNALTIWLDRTSGSGFKSVRPFRSGYFGASIKVQPGYTAGVITAFYLSNNEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGKIIGREMKFHLWFDPTQDFHHYAIFWSPKEIIFLVDDVPIRRYPKKSAATFPLRPMWVYGSIWDASSWATEDGKYKADYRYQPFVAKYTNFKANGCSAYAPAWCRPVSASPFRSGGLTRQQYRAMRWVQRNHMVYDYCKDPKRNHALTPECWT >EOY08987 pep chromosome:Theobroma_cacao_20110822:5:24540715:24541860:1 gene:TCM_024324 transcript:EOY08987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDKLPKLSNNASICSVCQLGKISRKPFPLSSSNRTKSKLELIHSDIGGPLSEESLNGSKFYLLFIDDMTRWSWIFFMKFKSEVFTLFKNFKTRVEFETGHRIKTLRTDNGGEYTSNEFTQFLNQQGIAHQLTAPYTHQQNGVSERKNRIVMDMSKCLLYH >EOY07873 pep chromosome:Theobroma_cacao_20110822:5:3083802:3091163:-1 gene:TCM_022192 transcript:EOY07873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic ABC protein 12 isoform 2 MREVRPHALFFLLVVLSLFPFARSVDGDDYSQTKNPAYLPLVTDLIYRRLSNLTVTLSNDISRNLGFCIKNAKADWNGAFNFSRNLNFLTNCVKKTKGDLTHRLCTAAEIKFYFSSLFAKGTETINYVKPNKNCNLTTWVSGCEPGWGCYADQNQHFELKNSTYMPTRTQNCQPCCEGFFCPRGLACMIACPSGSYCPLAKLNTSSGVCDPYNYQIPPGLPNHSCGGADMWADVASSSEIFCSPGSYCPSTINRVTCSSGHYCRMGSTSQLPCFKLTTCNPNTSNQDIHGYGIMLFVGMSLLLLIIYNCSDQVISTRERRQAKSREAAARHARETAQARERWKAAKNIAKKGGWQQQLSRTFSRAKSTRRQEQQKNFGLGKSVKIDTQSPPVPPRVSGQSSTDSKAKKKEPSSLTKMLHSLEEDPKSHEGFNLNIRDKNIKKQAPRGKQLHTQSQIFKYAYGQIEKEKAQQNKNLTFSGIISMATDNDIRTRPVIEISFKDLTLTLKGKQKHLLKCVTGKLMPGRVSAVMGPSGAGKTTFLSALTGKATGCNVTGLILINGKNEPIQSYKKIIGFVPQDDIVHGNLTVEENLRFSARCRLSADMAKPDKVLVIERVIESLGLQAVRDSVVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTTGLDSSSSQLLLRALRREALEGVNICMVLHQPSYALFKMFDDLILLAKGGLTAYHGSVKKVEEYFAGMGINVPDRVNPPDHFIDILEGIVKPTGVTREQLPIRWMLHNGYPIPSDMLQLADGLTTPSAGSNPSTSGAAAVGQSFAGELWEDVKFNVEVKRDQIQNDYSKSKDLSNRRTPGIARQYRYFLGRLNFLKF >EOY07872 pep chromosome:Theobroma_cacao_20110822:5:3081829:3091359:-1 gene:TCM_022192 transcript:EOY07872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic ABC protein 12 isoform 2 MREVRPHALFFLLVVLSLFPFARSVDGDDYSQTKNPAYLPLVTDLIYRRLSNLTVTLSNDISRNLGFCIKNAKADWNGAFNFSRNLNFLTNCVKKTKGDLTHRLCTAAEIKFYFSSLFAKGTETINYVKPNKNCNLTTWVSGCEPGWGCYADQNQHFELKNSTYMPTRTQNCQPCCEGFFCPRGLACMIACPSGSYCPLAKLNTSSGVCDPYNYQIPPGLPNHSCGGADMWADVASSSEIFCSPGSYCPSTINRVTCSSGHYCRMGSTSQLPCFKLTTCNPNTSNQDIHGYGIMLFVGMSLLLLIIYNCSDQVISTRERRQAKSREAAARHARETAQARERWKAAKNIAKKGGWQQQLSRTFSRAKSTRRQEQQKNFGLGKSVKIDTQSPPVPPRVSGQSSTDSKAKKKEPSSLTKMLHSLEEDPKSHEGFNLNIRDKNIKKQAPRGKQLHTQSQIFKYAYGQIEKEKAQQNKNLTFSGIISMATDNDIRTRPVIEISFKDLTLTLKGKQKHLLKCVTGKLMPGRVSAVMGPSGAGKTTFLSALTGKATGCNVTGLILINGKNEPIQSYKKIIGFVPQDDIVHGNLTVEENLRFSARCRLSADMAKPDKVLVIERVIESLGLQAVRDSVVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTTGLDSSSSQLLLRALRREALEGVNICMVLHQPSYALFKMFDDLILLAKGGLTAYHGSVKKVEEYFAGMGINVPDRVNPPDHFIDILEGIVKPTGVTREQLPIRWMLHNGYPIPSDMLQLADGLTTPSAGSNPSTSGAAAVGQSFAGELWEDVKFNVEVKRDQIQNDYSKSKDLSNRRTPGIARQYRYFLGRVTKQRLREAQMQAVDLMILLLAGACLGTLAKVNDETFGSLGYTYTVIAISLLCKIAALRSFSLDKLQYQRESASGISSLAYFLSKDTVDHFNTIFKPVAYLSMFYFFSNPRSTFQDNYIVLLCLVYCVTGIAYILAILLAPSLAQLWAVLLPVVLTLTANQDKDSAIVKYFGNFCYTKWALEAFVIANAERYSGVWLITRCGSLNQSGYDLHDWNLCLIILVINGIVARILAFFMLVTFRKK >EOY08455 pep chromosome:Theobroma_cacao_20110822:5:8803732:8805376:-1 gene:TCM_022917 transcript:EOY08455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative MSPAQKNMASGEPKDCSSILQLALTVLGTVTGMTILIVGISMASKYAPQPQQQPLILKIHSLSVSGLNASNFLTAASWDATLLFANQNSVLELAIDGFGSSLYYNYSNPISCAVMKAMHLGPKKQRLAQMKFNSTQCGEEQPFIDDRVLEGIRKDEIKGEMSFHIGMKLKVFYRTGILGWDYELKPNCPRVDVELVAGTGDGGVTFDPPKICLFPLRN >EOY07667 pep chromosome:Theobroma_cacao_20110822:5:2376569:2378880:-1 gene:TCM_022046 transcript:EOY07667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MNTLLLKSIIQRNSCFKPLLFFLSQSIPFSSYKLKLNPPSKVLRIMDIMSPKPTPTPRQNHLRLIQDFLQSDSDQFTAQHFVNDFVYSDSPTENLPIFFNEILAPPVTNKDISKFNPIVLSNAISSCGSKRNLYGGIQYHCLAIKTGFFPNVYVGSSLVTSYGKCGELEEAYKVFDEMPVKNVVSWTTIIAGFAQEWQIDMCLELYNMMKNLTLKPNDFTLTSLLRACTGSGALGQGRSAHCQVIQMGFDSYSYICNALISMYCKCGSVEDAMFIFKKMAGNKDIVSWNSMIAGYAQHGLAMEAIDLFEKMKEEKIKPDSITFLGVLSSCRHAGLVEQGRVYFDSMVVHGVEPALDHYSCVVDLLGRAGLLKEAREFILKMPICPNAVIWGSLLSSCRLHGIIWVGIEAAESRLLLEPGCAATHVQLANLYASARRWDQAARVRKLMKDKGLKTSPGYSWIEVKNEVYRFRAEDKSNSKVTEIHGVLGRLVNHMRTLDYGQQMHEEEVDDALCSTI >EOY07430 pep chromosome:Theobroma_cacao_20110822:5:1547654:1552039:1 gene:TCM_021871 transcript:EOY07430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIINKMCVHGEKMEHMTVVEKILRSMTPKFNYVVCAIDESKDLDDLSIDELQGSLLVHEQKLSFQDKGEQALKASSNNSSSTSNSSDQGRGKDSSKENGGRADFAKIEENVSLLMVCHANEETHKNLWYLDTSCINHKFRDKSVFSYLNESYRDIVKFGDNFQVSMIGKGKVTIQTKENLVHYITIVLFVSKLKTNLLDMGKLPKKGYVIFIKNGVCKIKDEKLGLIVQTSEFWWIKDTSSKEYGGWSPLTSSFSSTFKDVVKEPKWQKAMDDEISAIKRNNIWELTDLLSNQKTIRVKWVYKTKFEENGEVDKYKPCLVAKGYKPKYGVDYKEIFVPVSRRDTIRLGPLQGIVWFGSILCAPLQLKWLKAFPSGGAKGLGFDPRDQKVNTPIEFGLKLTRDPERKKVDSTLYKQIVGSLMYMDAIRPDIMHVVSLISKYMESSREVHVLVANVLWNMDCSTRKGEKSDLICFTDSDYARDRDDRKSTLGFVFMLGSGAISWSSRKQPIVTLSTIEAKFVAATSCACQVVWLKRILEDLCLRNEKPIVIYCDNSSTIKLSNNPVLHERNKHINVRYHFLRDLCNNGIIDLVFCKSKDQVDDILNKPLKQARCVCEASKNAWSMLFKECCSREGIAEVLKFIYS >EOY09304 pep chromosome:Theobroma_cacao_20110822:5:28123974:28126199:-1 gene:TCM_024727 transcript:EOY09304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein MLTLQGAITNGENDQTQDHNRDHHDHDDDEHIRDIHALTPPHPPAVNRGRWETGSHRSSSLSVSSEAGSTENFTTMSREFNALVLAGSSIENNDSDSINTLNNHNHLTRIGEEEVPEETNPLAIVPDNNPFDQGPEQRRAESGGSLAASSSGHAEVSVLRVKKEEVESKISAWQNAKVAKINNRFKREDAIINGWESEQVQKATSWMKKVERKLEEKRARALEKMQNDVAKAHRKAEERKASAEAKRGTKVARVLEIANLMRAVGRAPAKRSFF >EOY09476 pep chromosome:Theobroma_cacao_20110822:5:29402592:29404107:-1 gene:TCM_024893 transcript:EOY09476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLISSAAPKSVNTSIEKVKLLDVSSCLVNRSWLLNCLLSSIVTYLLWFSCKAVFFVRIFPPFF >EOY07494 pep chromosome:Theobroma_cacao_20110822:5:1749252:1754607:-1 gene:TCM_021915 transcript:EOY07494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPHKPGRLPTKGRIKSWCLTNATCVHFVVILRRQRAHLFFSCPLWEKVMQLCCLTRDIGDWNNEFACVKHRLKGKTILECLCLHKIWTERNGRLHIRDVVESSEISVQRHANPTLAQIKQHSEVVAKRYKALISLQLTVSKTKVMQIMNLSKQFEVMKMKEEENIQEYTDKLLQLVNQLRMLGQKETEGKAAVAEEANKSEEVLFMAKADDDITNKCTWLIDIGCSNQLTGNANLFTELDESYRARVKIGNSLYMKILGVGTIGVTTVASMKFIHEVHYVPKADQNLLNIGQLDDNGYALLFNNRQYTIFDPVGAELLTVSMRNKCYPMDLLESKQQAFYSEYDSLELWHKHVGYVNYNSLPTMASKELMLVFGCVCYAQIPDVKRSKFDSKAFTTAHIGYGEKSKGFTQAPTLLHLTTTKRILRYVKGIADFGLRYVRQDSGELVGYSDNDWASVVAQSTTKAEYIATATALNQLRWLRKILADLGFKQDNGTVIFVDNISAIAIAKIQYTMGEPSISRPWGNVKRVTFKSGNRVSDGNGKQLKVGRLKKDSSKCGTVSSLLLITKYDKKSSRIIAAKPNSLCLQLHAIDGPARYISDAKGRDFQGFSRLPQSQP >EOY11070 pep chromosome:Theobroma_cacao_20110822:5:37790784:37791914:1 gene:TCM_026344 transcript:EOY11070 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA transcriptional regulator family protein isoform 1 MAFEKDLNLDATALRLGLPGTSEEQTSASGKSNKRALPDMNEESVSGDNSNVADARKCDQETAPPSKAQVVGWPPIRSYRKNCLQPKKTEAEAAGIYVKVSMDGAPYLRKIDLKVYKGYPELLKALEDMFKFKVGEYSEREGYNGSEFVPTYEDKDGDWMLVGDVPWEMFTNSCKRLRIMKGSEARGLGCVV >EOY11071 pep chromosome:Theobroma_cacao_20110822:5:37790761:37792198:1 gene:TCM_026344 transcript:EOY11071 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA transcriptional regulator family protein isoform 1 MAFEKDLNLDATALRLGLPGTSEEQTSASGKSNKRALPDMNEESVSGDNSNVADARKCDQETAPPSKAQVVGWPPIRSYRKNCLQPKKTEAEAAGIYVKVSMDGAPYLRKIDLKVYKGYPELLKALEDMFKFKVNIQRGKDTMDQNLYPPMKIKMVTGCWLEMFHGKCSQIPAKG >EOY10287 pep chromosome:Theobroma_cacao_20110822:5:33968663:33975612:1 gene:TCM_025658 transcript:EOY10287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMTLREKLTKPKGTKTVSEYFQNLRSVIDELALVNSPVSEDDLVIHALNEIRSDFKQIVVSVRARESFITFEELLDKFTDYEEVIKKQESSTDIIILSAHHATKPISSHSDLPKHFRPSHNTWFASLSTFSKHSSSTNFKTFQMSKIGNNYQALIVMEKALDKFRIINGSAGGTGGCQKERE >EOY07998 pep chromosome:Theobroma_cacao_20110822:5:3807404:3808909:1 gene:TCM_022316 transcript:EOY07998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRPSVKQMQVFESISYGRIPEEHQDKFLPKAQIGVLNQSRVDVDYEPFHFVNIQDINVLLVDEDEIVDEAPVRGVRSIQDIHQRCQLAMTKPSSFVENFFDEHCVKHQALRDVENQGEIGIIHYNKGEQIVDTMTKVLYPLTASSDGQTEFTFATQLVVVQADIIEILSSVTRVNSTRDVGGTAPALLQATWPEPQFVVAQLKLPEEVEHCVRAQSLPHPWRGNVNGICCLGANSNPVFGN >EOY11205 pep chromosome:Theobroma_cacao_20110822:5:38342241:38347714:-1 gene:TCM_026453 transcript:EOY11205 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA binding protein 2 MATSTSGNPIPNSSLPLHDRVAIVTGASRGIGRAIALHLASLGAKLVINYTSNKVQADLVTAEINSSFLGNTPLALTVQADVSDPSQVKSLFDIAEQFFNSQPYISVNSAGVSNDKGISVADTPVEEFDRILRNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKNQQ >EOY08014 pep chromosome:Theobroma_cacao_20110822:5:3955755:3960083:1 gene:TCM_022338 transcript:EOY08014 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MNMESCEPHFLFVPLMCPGHIIPLMDIARLLGERNVAVTMVITPLNATRFGSVIERALKSGLPINFLQLQFPSTEVGLPKGCESIDRLPSLDLVGKFLTAQSMLQEPLEEALQGMKPFPSCIVTDKNIPWIATTASKFQIPRILFDGTSSFTHICSHNLHKSMVYEHFSDSEPFVIPGLPHRIEFTKAQLPSSFNPGSNKDLMAFRQTVKASEAGAFGVLLNTFEELETEYVKAYREATGFKVWCIGPVSLCNREILDKAERGRIPAIDENQCMKWLDFQAAGSVIYVCFGTLNRIPSLQLIEIAIALEASNRPFIWVLREGYKREEMETWLDEVGYEERIKGRGLLIRGWASQVLILSHQAIGGFLTHCGWNSTLEGICAGVPMITWPLFAEQFYNEKLIVQVLDIGVGVGTQQVVMHFGENDKSGALVKAEDIKKAIDKLMDAGEEGEQRRKKAAELAATAEKAVAQGGSSYLNITLLIEDVMQKPKFNQTKF >EOY10200 pep chromosome:Theobroma_cacao_20110822:5:33437691:33438723:-1 gene:TCM_025559 transcript:EOY10200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARVSSSGRVGGDEDKINVLNSESRAFIKVEGFRSPISKMPWSMKRIRYALRASLQASITADFSPTHCWDFYNSALKLPERNALIMNTVLGKCNRQLGMGKWRPDELQASEVKYYRRDT >EOY07803 pep chromosome:Theobroma_cacao_20110822:5:2773024:2779100:1 gene:TCM_022131 transcript:EOY07803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MTRDAMINTANGMISTSSSTANAQSPGLKTYFKTPEGRYKLHYEKTHPSGLLHYAHGKTVTQVTLAHLKDKPAPSTPTAPSSSFSASSGVRSAAARWLGSGNGSRALGFVGGNGGSKSISSTSRMGSLGASSLSNSMTNTNFDGKGTYLIFNVSDAIFISDLNSQDKDPIKSIHFSNSNPVCHAFDQEAKDGHDLLIGLNSGDVYSVSLRQQLQDVGKKLVGAQHYNKDGSVNNSRCTSIAWVPGGDGAFVVAHADGNMYVYEKNKDGAGDSSFSVIKDQTQFSVAHARYSKSNPIARWHVCQGSINSIAFSFDGSYLATVGRDGYLRVFDYSKEQLVCGGKSYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDSYWSSPNSDGTGETVMYRFGSVGQDTQLLLWDLEMDEIVVPLRRGPPGGSPTYSTGSQSSHWDNVCPLGTLQTAPSIRDVPKISPVVAHRVHTEPLSGLSFTQESVLTVCREGHIKIWMRPGVAESQSINSETVLSTSSKDKTLLSSKVGSSSYKQ >EOY07079 pep chromosome:Theobroma_cacao_20110822:5:385737:387684:-1 gene:TCM_021599 transcript:EOY07079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNNLNLLIQGIADILSCIGRKSSEKLPCPLFVFVFLFNKREHIVHELLTTMCTNSCKQSEFCLSVTMVHPCNWNNRVTLAFEFLSFYYYCYYYHLRKKFVGFQNFCLFFPDKN >EOY10280 pep chromosome:Theobroma_cacao_20110822:5:33908513:33910804:-1 gene:TCM_025650 transcript:EOY10280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFESSLPLLTGVVGALICADLLLEDGKGMCMVVGNGHNVLFWQDEWIEGVILKDKFPRMFALASNKTGCVNEFGAWVNGDWRWKINLRRSIFYWERAQWTGLLQMIAAVQMRLYGKLFGLVLLRQRWKHFAGRLLEGEVQLKVNWLGDELFITIHLVAFYASKNWKRSTTCFLSVLKHGKIWAAWCKLWDISWVSPPDPSTFFLAWNSVHFVKQNCEIWKMSFFAIVWFIWLARNEVIFNGSNWDSVQTFEIARIRIACWSKSKWKEDCHDFEDSYRCPQHVNVAIKKDQRRNSVVWKKPSTEFLKFNVDGVARENPGKCEIGGVLRDDQGRILIKFYKNVGLGVANQAEFLAIKEVFLIFAASRWVTTHGLIIESDSTTAIKWIKDPASAPWRLRNHVLHLLSLASKVNQWDIQHILRSGNSIADSLAKAEVERVDDLLIVHPVENNFELSTF >EOY08508 pep chromosome:Theobroma_cacao_20110822:5:9499517:9503776:-1 gene:TCM_022987 transcript:EOY08508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MINTLSDETNDALSTLQYEIRELKTQVNLLVITVGNASGRSRDRGEMAKVSKPKRYEGVRNAKELENFLFDMEQYFRAVCTESEEDKMAMASMYLTRDAKLWWCSKFINAFSVICIANVETPPPHTIVKEAKEKPARMGSIRFLSTLQALH >EOY11059 pep chromosome:Theobroma_cacao_20110822:5:37714713:37716374:-1 gene:TCM_026330 transcript:EOY11059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related protein P2 MKRLSLSILFLACLVAFAAAQSASDVIAYWADYKATDNGWTIPPFCAEVDGDKPLEWRSQHGWTAFCGPNGPQGVDSCGKCVNVTNTATGAYETVRIVDTCGADGLVMDLETAFKPIDTDGRGYQNGHLTVDYEFVDCEAVDGDTDDDVPDATNVIAFWADYNATNNGWVIPPFCAAVDGDKPLEWRSKYGWTAFCGPVGPTGVDACGKCLKITNTETKDEETVRIVDTCGIDGLVLDLETAFKPIDTNGNGINRGHLILDYKVVDCDDDAVLVYSQ >EOY09106 pep chromosome:Theobroma_cacao_20110822:5:26090851:26092418:1 gene:TCM_024491 transcript:EOY09106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWKLMLSLVGFRSTFGVMSAYWDVAMVVTGSIGVLGRDNMHGVLPHYRLTHSCICAMFCRCSSDSMKILTVCLLCRGRSGCSMSLLTPTISGPNFITSIRPYKRKRSMMQ >EOY07536 pep chromosome:Theobroma_cacao_20110822:5:1890503:1894013:-1 gene:TCM_021943 transcript:EOY07536 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIE2/ALG10 family MGRIAVAAIVSVWVIPISILVNRIVPDPYMDEIFHIPQAQQYCNGNFRSWDPMITTPPGLYYLSLVHVAALFPGNYFLQLASSFPEVCSTAALRSLNGVLAVLCSILVYEIITHLRPALDDRKATLFAAVLALYPLHWFFTFFYYTDVASLTAVLAMYLACLKKKYLFSALLGAMAVVIRQTNIIWMLFVTCSGVIDITLAHQRDSAKVYNLKANKGISPSTLITSVNVSSNLRKRKSRGNSEANKHSFYRTNTSSTSQTSGLLYEIQAIVFTAWRIKWELLVSFSPFFFVLLAFVGFLICNGSVVLGAKEAHTVSPHFAQIMYFSIVSALFAAPLHFTIGQALDLFWSFWKNRLLGFFLGFLALIASLLSVHFFSIAHPYLLADNRHYTFYLWRKIINFHWSMKYLLVPVYVYSWFSIFHLLDKTQRRIWVLVYFLATSAVLVPAPLIEFRYYTIPFYFYMLHTGINDCQSLLLMGILYTVLNVFTMRMFLFRPFHWDHEPGVQRFIW >EOY07587 pep chromosome:Theobroma_cacao_20110822:5:2065063:2065711:-1 gene:TCM_021981 transcript:EOY07587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead box protein G1, putative MLLALFTSLLHRLFLRWPVLLYAATWTVLLTAVVAIASFSPELAFVSAISQSSSFSKECGIEGSVRVPIDVPGEKLCLPGHLFGRSKIDWIVPPVFAAVVVTGSAWVVRGMGLWEFDETH >EOY10343 pep chromosome:Theobroma_cacao_20110822:5:34279871:34281266:-1 gene:TCM_025717 transcript:EOY10343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASTACFFHHQAFVHAPLIPRSSSPQRHRLNIKPSKLVCRAPNQPLNKDHQAVQGGVSRRSVVDALIFGSAAIGSALTFVGAGYAAGFSFGPFKPPGKESWPELVGEKGEVAAAKIEKENPNVKAVLLFEGDIADMRFDFGRVRVVVDADGIVTQVPRIG >EOY08143 pep chromosome:Theobroma_cacao_20110822:5:4992262:5002716:-1 gene:TCM_022483 transcript:EOY08143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILDGFGIGLGNGKKSSFWDEEWIEGHILMHFLSRIYALAVKKKGKIAHFAREFEDKLIWKGSIDGSYSSKCFCRVALHNNTTVVGYWRQIWSRLTPFKVEILCWQLVKGRLAVTDSIARRGTISETFATCLLCRKEREILFDDGLIWRIAWYSIIWTIWISRNDVTFKGSNPIANRKTKLQLEWSKLEAGWLKFNIDGASKGKPDESGVGGIWWDENGSSIILFLKLVSISDSNKAELFVVKEMVVLFAALEWSSSLSLLLECDSQNVIKWIQNTLDVP >EOY08062 pep chromosome:Theobroma_cacao_20110822:5:4192031:4192781:1 gene:TCM_022375 transcript:EOY08062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRFSVTIDEELFNKHNEPDKASIVYVLEIKQKYDAYRDMQMYGQEMEDSTCSTRGKRARRLIGKERDKQGNTAFNELE >EOY10240 pep chromosome:Theobroma_cacao_20110822:5:33696050:33707070:-1 gene:TCM_025607 transcript:EOY10240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMGWDARSRNLGPIFPRAKKKVKEENLSKEKKDKKIEDKEEHIVSYVDTLLDLKLHEEKRKLDEGEMVSLCSEFLNTSIDTTSTVLHWIMANLVKYPHVQEKLFEKIKGVVGDGKEEGIVNFFIAEMGWDPKVWEDLMAFRLNRFLNSDGGEEVFNITGSKEIKMIPFGARRRMCLAYGLAMLHLEYFVASLILSFEWKVVDGDDIDLLEKQEFTMTVFSCWFDGCWGLVIVVLGVLGFEVPDSSVTKETQLRYNIYGFAWVIQFWAMEAILALQKIVAPFGPKDNVYPRMCRWDCNQKSKDFYKAIKKLESSDHLWALESLEPTADEAFLEYFMDVHVPLSEGHDLKKKRTYGGTKRMRIVAALVDELSGPELMDEGDDRGQGSEQPFDHAPAAPEPPLVLLKCIIEMTCRLRKRRQVLKYRSVQLNRRQLMSRRSSSTDRSGYTTDSKRADDKDIKVVTLTQSRIVNDEVVTTRQLRRMVRKHEKEMLELKASIQSLSGPSHGVGLEHDDANDGQHHEPGVDIDDDVFGANGNHVTHVDDVINEAVVVDVTFQSDDVEGEHVPLLESIIDASAGGDGEPDSVVAERKHLPQVDAFVEAVARAIVLYRGSTPDAVEVRSSSPESSAVHHGAVKISDPTKRAQLKMASKYMASPFVDPLVTRRDVRDKILDDYEAFKKDESTRVEVEAVHYHACVVDTIFFDTIRMLHTKFPTEDARAIMQIPDELRGYVEGERPTYSKKWEDVDFILAPCNVGGHWVVAKIDLVRWAIKVVDSVRTSDAKDNGVRAGQMTPLTTMMPFICHQASYFNNIRQKRRDLMPMPLDIHLPKAKVHRQNDSVSCGMFMIRYMDHILQSENIRVK >EOY11674 pep chromosome:Theobroma_cacao_20110822:5:40004912:40005448:1 gene:TCM_026773 transcript:EOY11674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENSKGIVLFGFMGKYVCFGLGVWGKKSFEVRVILLLSLMKSQQPWMAKSLV >EOY10790 pep chromosome:Theobroma_cacao_20110822:5:36540116:36541014:-1 gene:TCM_026104 transcript:EOY10790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQVLNLMREFEVLKMKEDEVMKDYVDKLMKIVNQVRLLGAELSDARIVEKVLVSIPERFESKILALEESKDLTAMSLQELVNALQALEQRRAIRSEVSSDMRSDSALVARHKGGAGSGTKKTEVEKKERDKKSGDGKRQKAKFLLM >EOY08775 pep chromosome:Theobroma_cacao_20110822:5:19881699:19887376:1 gene:TCM_023912 transcript:EOY08775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGTKVRALERELDNVSSKEDDEQAMRLSSEQESQPQEGKEEKAMMATRSDNDSSTLDDDETKVELKANL >EOY07104 pep chromosome:Theobroma_cacao_20110822:5:443537:445236:1 gene:TCM_021615 transcript:EOY07104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-interferon-inducible lysosomal thiol reductase precursor, putative MEASSSTIYIPLAKGSFSIVQSYYKMANVRLFCIIVASLPFMFVSASHSSLDYDNAKTLSPAINNEKVNLSLYYETLCPYCASFISDNLVKVFQNDLDTIVNLRLVPWGNAFIVGNRTQCQHGEEECYLNTIHSCVIYLWPDVKTHFEFIDCTEKQNLKRGPVTTKEELWEPCCEKLILSEDRIKECYNSGYGNKLQRQYANETASLNPPHDYVPWVVVNNQPIRDDFVNFVKYVCQAYKGDHVPVACKAQSSNVSSAKMANKIHPGCYTTEIFRNSAPPAAAKTGPGTK >EOY11091 pep chromosome:Theobroma_cacao_20110822:5:37894623:37902581:1 gene:TCM_026364 transcript:EOY11091 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein, putative MSLRCRKPGKPAVPDADDTPVAKHQLRRWRSVFAAIYSTRILVSLYKKVIKEKQILRTLTYIAIDICDSNSSDVHLPSLCVNQKTLTEMIREKNLDNLRKLGGVKQIAASLETDEKDGISGNEADLAHRVDVFGANRYQKPPIRSFLSFIFEAFKDTNVIIRSVCAILSLGFGIKQHGIEEGWYDGGSIIFTVFPVVIVSTVSNFMQNRQFEKHLKESSDKRVEVVRDGHRQFISVSEVVVGDVVFLKTGDQIPADGLFLDGHPLKVDESSMTGESDHVEINGSNNPFVLSGTKVSEGFGSMLVTSVGMNTACREIISSVNRDLYEETLLQARLNKLTSYIRKIVLAVAILVHAVLLIRYFTGNTKDDKGNKEYFQGKTKLDGMMNIVVDIISAAVTIVAVAIPDGWPRAVTLTLAYSMKQMMADHALVRKPSACETMGSATTICTDKTGTLTINEMKVTEFWLGNECMKDSISTEIAPNVHELLQQAIALNTTGTVCKPNSRSLPEISGSPTEKAILSWAVLDLGMSVDNLKQDYDLIQVAAFNSEKKRSGVLIRRKNESATHTHWKGAAEMIVAMCSQYYDRSGTVKVINDEERSEIGKMIQNMAFKSLRCIAFAHKRIPEDKEQVLGESRLTLLGLAGLKDPCRPGVRRAVESCIDAGVNIKMITGDNVFTAKAIAIECGILNPHEDMNEAVIEGVQFRNYSPEERKEKINKVRVMARSSPFDKLLMVQCLKQNGHVVAVTGDGANDAPALTEADIGLSMGIQGTERKLRSLVINSITAASSGEVPLTAVHLLWVNLIMDTFGALALATERPTDDLLTKPPVSRPKPLISKIMWRNLIAQALYQVAVLLALQFMGKSIFDVDERVKNTLFSNTFVLCQVFNEFNARTIEKKNIFKGLHKNGLFLGITVMTILVQVVLVEFLNRFADTQRLNWGQWGFCIGLAALSWPIGWLVKWIPV >EOY10959 pep chromosome:Theobroma_cacao_20110822:5:37227797:37228468:1 gene:TCM_026228 transcript:EOY10959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATISYFLVFIMLIQGTIIFSNSIPMVDASRGLAYLENLTPPLSPPPSSKPSKSLDKTLPSRPPSPKANRPRTDSKTN >EOY07796 pep chromosome:Theobroma_cacao_20110822:5:2718579:2720205:-1 gene:TCM_022122 transcript:EOY07796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin 4 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >EOY08082 pep chromosome:Theobroma_cacao_20110822:5:4318190:4322182:1 gene:TCM_022397 transcript:EOY08082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase family protein MASSVDDDFSLLDDHQTNHNHHHVLHHHHHPSYASIPAPPPDNHDAEDDSDSAFRGANFDNNNNNVTSSDVRIEKRRDQEEINDGVLKRPKQSTTEYRKDREEWSDAAIGCLLEAYMEKLTQLNRGNLRGRDWEDVAAAVSERCEKQSKSVEQCKNKVDNLKKRYKLERHRMSDGGVTVSHWPWFKKMEEIVGNSLPVKAVPDEDKGGASPGTAVRQSKRYASATPSSAGQIISMKSKSPKWRRVVFKISGAALACTGPHNIDPKVAMLIAREVAIACRLGVEVAIVVGGRNFFCGDTWVSATGLDRSTAYQIGMMASVMNSILLQSSLEKMGVQTRVQTAFSVQEVAEPYSRQRAIRHLEKGRVVIFGGIGAGTGNPLFSTDTAAALRASEIHAEAVLKGTNVDGVYDCHSQDNNATFEHISFRELVSRGATAMDMMALTFCEENGIPVVVFNLLQPGNISKALCGDQVGTLIDQTGRIS >EOY11156 pep chromosome:Theobroma_cacao_20110822:5:38174790:38178432:-1 gene:TCM_026420 transcript:EOY11156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-rRNA-processing protein TSR2, putative METKKLTAESTLIFQEGIGLILSRWWALTAAVENEWGGRDSRGKANMLYSDVISFFTNTKRAEPLYIDDLENILREGLHSLNTDVDDGSIEEVAEKLMIMHEECLEGNYQSVEKLRTTNPPPVAHVRPSTEEDEDDDNDDDEDDSMDADNTTNMMVDVPNSHSSLNPVSMPTDEPGPNQAAEAADGWVVVSSRRNKGRRN >EOY08658 pep chromosome:Theobroma_cacao_20110822:5:16497977:16528263:-1 gene:TCM_023606 transcript:EOY08658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSLARFQLTFRVMNVYQDVVVVVTGSMGVSGLDNSIGIRAFGFKVILKIYNVLRYAGDTHTISRRDSSPDASHSIREGSLDSTAKSRWQPKPSSPKSAYSNNINISMRDSLFRESKEYVKEMGKLRIAEGGIRPRKGVSVVQHYPPGCGVM >EOY07259 pep chromosome:Theobroma_cacao_20110822:5:950816:952019:-1 gene:TCM_021724 transcript:EOY07259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISSEITREQDEENTYFINSEVEKESIRWIIQYQKSLYWSSSSSSSLSSSAASSSSVSSCRSSSLLELMRSGSTSLKRLFEMEHTSLLTHFEDYSGSPMTKSIPLWGSDTDGEVQDPWESIKQTGPFHSFETGTPSGFASDGRFMEKDFSFRNRRTKNGNHRLTRKKSFRRLPGFGLWRCTGFRIRLRLRRLRTMICGKMF >EOY11138 pep chromosome:Theobroma_cacao_20110822:5:38104674:38106501:1 gene:TCM_026404 transcript:EOY11138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S24e family protein MADKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRPNVSKAELKEKLSRMYEVKDPNSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKAGDAAKKK >EOY07840 pep chromosome:Theobroma_cacao_20110822:5:2938316:2941736:1 gene:TCM_022165 transcript:EOY07840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein MAFLRLGSKSEAFHREGHSWICTSGLPSDVSINIGEMSFHLHKFPLLSRSGLLSKLIEESSSGDGSSFSLRLNDIPGGAKAFELISKFCYGVKIELTALNVVSIRCAAEYLQMTDDYGDGNLVMQTESFLNEVLGNWADSIRALETCEEVLPYAEELHIVSRCIDSLAMKACADPSLFNWPLPGRVTKQSPENIGLWNGISTATKTQPTGEDWWYEDVSFLSLPLYKRLILAIESRGMRPENIAASVVHYARRYLPLMNRQSSFNDANHVNPGTTISNPSEADQRALLEEIVGLLPNKKGVTSSKFLIKLLRTAMVLHASPSCKENLEKRVGAQLDQALLVDLLIPNMGYSETLYDIDCVQRILDHFMLVEQAAAITTPPCIVEEAQLMNGSDSLTPMTMVATLMDGFLAEVAPDVNFKLPKFEALAATIPNYARPLDDGLYHAIDVYLKAHPWITDLEREQLCRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSISSWFFVSDNLENSQNPDGNIGLLKNDGSYTMNSAQDCATGGQDDVKHRVSELEKECLSMKEELQKLVKTKRSWRNFTRRLGFNKKSHSCCPKGSKPTNLRAPPSSMNRQQNYENIEVVPGSLKVN >EOY10338 pep chromosome:Theobroma_cacao_20110822:5:34251083:34254523:-1 gene:TCM_025711 transcript:EOY10338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MKTFNTLHEARHQILYSSITKALSSVSNSKQLHKIHSIIITLGLENSVLFSGKLISKYAQFKDPTSSLSVFHRVSSTSNVYQWNSVIRALTHNGLFSKALGFYTQMRKMDVLPDKYTFPSVANSCAALVDIEMGKVVHENVLDMGLGSDLYIGNALVDMYARFGCLAEALKVFNGMPERDVVSWNSLISGYSANGYWEEALEVYNMARMAGIMPDCYTVSSVLPACGGLVDVKEGEVVHCLVEKIGLHRDVVVSNGLLSMYFKFNRLVDARRIFDEMVVRDTVSWNTLICGYSQMELFKESILLFMQMVNKFEPDLLTITSVLCACGHLRDLEFGKFVHEYMKRSRYESDTTADNILIDMYSKCGDLLASREVFDRMICRDSVSWNSIINGYFQYGKYDEAVKLFRIMKIDSKVDSITCVMLLSASTQLADKDLGKKIHCDVTKLGFDSDIIINNAMIDMYAKCGQINDSMKIFEYMKTHDRVSWNTIITACVQSGDFTLGLKLIHQMRTEGLRADVATILGILPMCFFLAAKRQGQEIHGCIFRLGFETDVPVGNALIEMYSKCCSLTISLEVFDSMKVRDVVTWTTMISAYGMYGEGKKALRAFAEMEATGVIPDHVAFVAIIYACSHSGLVEEGLASFDRMKKVYNLEPRIEHYACVVDLLSRSGLISKAEEFIYSMPMKPDASIWGSLLSACRSCGNIEVAQRVSERILELNSNDTGYYVLASNVYAILGKWDQVRMIRKSIKARGLKKDPGCSWIEIKRRLYVFGTGDKFFEQFDEVTKLLGIISGLMAKEGYVADLRYVLHDIEEDEKRDLLCGHSERLAIAFGLLNTKPGTPLHIMKNLRVCGDCHTVTKYISMIMQREILVRDANRFHIFKNGICSCGDHW >EOY10095 pep chromosome:Theobroma_cacao_20110822:5:32968650:32969096:1 gene:TCM_025476 transcript:EOY10095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSQAQNRQFIDYSTTKNGALPAQMNNITVTKAMFDSKYSLIQTIFKRQANFANLNPFKHSTVALLEL >EOY09031 pep chromosome:Theobroma_cacao_20110822:5:24963900:24967804:-1 gene:TCM_024367 transcript:EOY09031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSMQIKLKKYLLSSCSNLGYVWLISHGECNLVGQPMRKMASGYENAIIFLEVDMCTSHPYHNKPLYAESCEYKPKEFMSFYDCYFFRQQELKLWDKLW >EOY11167 pep chromosome:Theobroma_cacao_20110822:5:38216811:38219253:-1 gene:TCM_026430 transcript:EOY11167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein, putative MEIDLSKITLRPFKLEDADDFLSFAGDEQVTRHLRWKTLTSKEEALTHIKDVCIPHPWRRSICIEDRSIGFVSIFPFSGDDRCSANFGFGVAVKYWGHGVATKAVKMAASQFFVDFPGVVRLEAFVDVDNKASQRIVEKAGFQKEGMLRKYAHLKGKLRDLDSMHKTRERATGSKKKAWRKKRKYELGRQPANTKSAQVVKLQDCKENSWGSEAVTRENPYS >EOY09207 pep chromosome:Theobroma_cacao_20110822:5:27126584:27127248:-1 gene:TCM_024608 transcript:EOY09207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKLNNLSILVALVSSMVAASMLPSPVAAEVINIAPSPYADGFQLTIPPEPEPGFYQFLEECTKKMSQKCGQNVVGSIFGDTVTSDECCQELVRMGKTCHDDMVKFFVSLPELKLNASDVYAEGEQVWNDCVSRAASPSPSPSL >EOY09924 pep chromosome:Theobroma_cacao_20110822:5:31678567:31692918:-1 gene:TCM_025285 transcript:EOY09924 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative isoform 1 MDFVVGVVSSIVKAAAAYTTSTIKNHFKYLCNDENQVRTLKNQVERLKDARERVQHSVDTAKGNGEEIQHDVYTWLTTVNKKIAEEVEKVMQDEEKAKKKCFLGLCPCFWTRYKLSVDAEEEAKAVAELLKQGKFNGVSYRAVPQGTTVASVKDFEAFESRTLVFNGIMEALKEPSFSIVGVHGMGGVGKTTLVKEVARQVKEGKLFDSVVIATVTQTLDVKDIQNQVAELLGLKFEEQSRVGRAHRLRERLQKEKKILVVLDDIWERLDLEEVGIPFGNEHEGCKILLTSRHLDVLSSGMETQKNFAVGLLNENEAWDLFKKMAGDCVESCDLQPTAIKVAKKCAGLPIAIATVARALRNKRLFEWENALRELKWPSSRSKGITAGVYLPIELSYNYLEDEEVKFPFLLCSLIGHNGSVECLLSYIMGLGSFRCINTIKEARNKVLTVVSKLKASCLLLDGYNDEQFDIHDVVRDVAISIASRDHHMFVLRDGDVQKEWPDQERMKNCSVIFLSSPNISELPDELECSHLSFFFMNNEGSVHIPANFFRGTERLKVLHLARMQFQSLPVSINLLTNLHTLRLNRCALEDITIIGKLKKLEILSLAGSDIKALPREIAQLTRLRLLDLSHCAELKIIPPNILSNLSKLEELYLEDSFVRWDDGVLGSERRNASLEELKHLSHLTTLYVNIPNAQMIPECLFSETLDRYKIFIGDGAWDRFSVYECSRTLRFKLDTSIYLDHGVKMLLKKTEDLYLDELEGIKNVVAELNIGEDFPHLKKLHIQNGLEVQYIATEKTQFSQLQSMTLQDLPQLISFCSEDRMSSTSQQEQGNTSTKPLFNKQIVFPQLTSLRLSSIKTQRIWHSQLSETYCFCLPNLKTIIIEGCHYLQHLLLPSVARNLVHLEQFEIVDCKCLREIIFTGEIKEQEDVICFPQLNSLVIKDLQNLLNFCSGNYNIEFPLLKVLTIEQCPKLKEFISETKMEGKHESSIQALFNKKAAVPSLERMTFSQLSNVKKMFHDELLAGSFSKLKEMTVSFCDELLALFPSNMLGVLQSLESLKVNRCDSLEQMFEVGVGGLNLKETHAVDSQLRELHIIGLPKLKHVWNKDPQGSLTFQNLRNVWVVSCKSLKNLFPASIAKDLPQLEYLTISDCGVEEIVSAGDGLEQPVRFKFPRVYSLALTNLTELKCFYPGQHTILWPMLKKLETACATLIKIIASEGFSIHDRREFIKGQSLFFGEEVIPKLEELRLSKMDDIAMISDVQFPADFFHHIKVFEVGGSNFPIFLVQKLHNLENIKLSHCDFEKLVFCEGDVGEKPDAGILSRIRKLNLIYCENLRYIWKKDSELGHILSNLETLEVVGCDDSIFFGPSSASFRNLTTFEVQWCKKMIYLFPPSVVQSLVQLTKMTIQYCERMTAIVANEGDENVITFGKLKYLELHCLQSLASFCRGSYTFTFPSLEDLIVNNCTSLKVFSQGVLSTPQLQRVKESCYDNKGRWAGDLNTTIQQLYTKMAGYHDRYNLELSDTFSELMETWNRNPQEILDFKNLGRLKVCNCSSLKYIFTPSMVLSIKQLWDLQVKECPSMEVVFVEHGVEEEATTDKFTFPKLNDIKIESCSNLTSFYLGSRALKFPRLGTIRIVDCPKMSTFAASSYSRDKEKETGGDGSEKGDITATFFSDKVVCPKLYDLDLSSINVQKIWHIQHLASSFHVHNLTRITINGCHNLKYLFPSFMVKHFMQLHSLSILDCKIMEEVILMEGLTEEEKMSSMLLIVNCDSLNKLQIVNCDSLEEIFEPQALIANQSHAITTTQSIVVETETKFVFPRVTDLRLEKLPKLKSFYPKTLATEWPSLKKMEVIECHEVEIFAAECPCFGETHTESQVKISNQQPLFWVDEITFPILEELRLKQNDTVKGTWHSQVLSTKCFKKLKVLELMCIPEKSTVLSYCFIQSLPNLEKLVLSDASFCQIFQSEELRDEERHALTLTRLSELRLSKLPELTHLWEEGFRPIPIFYNLRILEVVECGKLKTLVPSLVSFENLTNLEVSRCHGFINLIACSTAKSLVLLERVSITDCEIIEEIIACGGDEMEGGIVFTRLKYLQLSCLPSLASFCLGDHRFEFPVLGKMIVRECPKMKIFCQGDLSTPKLKQVQLTEEEEKGRWEGDLKTTIKQLFEEMNAGNSEVTEVTN >EOY09923 pep chromosome:Theobroma_cacao_20110822:5:31677922:31695056:-1 gene:TCM_025285 transcript:EOY09923 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative isoform 1 MDFVVGVVSSIVKAAAAYTTSTIKNHFKYLCNDENQVRTLKNQVERLKDARERVQHSVDTAKGNGEEIQHDVYTWLTTVNKKIAEEVEKVMQDEEKAKKKCFLGLCPCFWTRYKLSVDAEEEAKAVAELLKQGKFNGVSYRAVPQGTTVASVKDFEAFESRTLVFNGIMEALKEPSFSIVGVHGMGGVGKTTLVKEVARQVKEGKLFDSVVIATVTQTLDVKDIQNQVAELLGLKFEEQSRVGRAHRLRERLQKEKKILVVLDDIWERLDLEEVGIPFGNEHEGCKILLTSRHLDVLSSGMETQKNFAVGLLNENEAWDLFKKMAGDCVESCDLQPTAIKVAKKCAGLPIAIATVARALRNKRLFEWENALRELKWPSSRSKGITAGVYLPIELSYNYLEDEEVKFPFLLCSLIGHNGSVECLLSYIMGLGSFRCINTIKEARNKVLTVVSKLKASCLLLDGYNDEQFDIHDVVRDVAISIASRDHHMFVLRDGDVQKEWPDQERMKNCSVIFLSSPNISELPDELECSHLSFFFMNNEGSVHIPANFFRGTERLKVLHLARMQFQSLPVSINLLTNLHTLRLNRCALEDITIIGKLKKLEILSLAGSDIKALPREIAQLTRLRLLDLSHCAELKIIPPNILSNLSKLEELYLEDSFVRWDDGVLGSERRNASLEELKHLSHLTTLYVNIPNAQMIPECLFSETLDRYKIFIGDGAWDRFSVYECSRTLRFKLDTSIYLDHGVKMLLKKTEDLYLDELEGIKNVVAELNIGEDFPHLKKLHIQNGLEVQYIATEKTQFSQLQSMTLQDLPQLISFCSEDRMSSTSQQEQGNTSTKPLFNKQIVFPQLTSLRLSSIKTQRIWHSQLSETYCFCLPNLKTIIIEGCHYLQHLLLPSVARNLVHLEQFEIVDCKCLREIIFTGEIKEQEDVICFPQLNSLVIKDLQNLLNFCSGNYNIEFPLLKVLTIEQCPKLKEFISETKMEGKHESSIQALFNKKAAVPSLERMTFSQLSNVKKMFHDELLAGSFSKLKEMTVSFCDELLALFPSNMLGVLQSLESLKVNRCDSLEQMFEVGVGGLNLKETHAVDSQLRELHIIGLPKLKHVWNKDPQGSLTFQNLRNVWVVSCKSLKNLFPASIAKDLPQLEYLTISDCGVEEIVSAGDGLEQPVRFKFPRVYSLALTNLTELKCFYPGQHTILWPMLKKLETACATLIKIIASEGFSIHDRREFIKGQSLFFGEEVIPKLEELRLSKMDDIAMISDVQFPADFFHHIKVFEVGGSNFPIFLVQKLHNLENIKLSHCDFEKLVFCEGDVGEKPDAGILSRIRKLNLIYCENLRYIWKKDSELGHILSNLETLEVVGCDDSIFFGPSSASFRNLTTFEVQWCKKMIYLFPPSVVQSLVQLTKMTIQYCERMTAIVANEGDENVITFGKLKYLELHCLQSLASFCRGSYTFTFPSLEDLIVNNCTSLKVFSQGVLSTPQLQRVKESCYDNKGRWAGDLNTTIQQLYTKMAGYHDRYNLELSDTFSELMETWNRNPQEILDFKNLGRLKVCNCSSLKYIFTPSMVLSIKQLWDLQVKECPSMEVVFVEHGVEEEATTDKFTFPKLNDIKIESCSNLTSFYLGSRALKFPRLGTIRIVDCPKMSTFAASSYSRDKEKETGGDGSEKGDITATFFSDKVVCPKLYDLDLSSINVQKIWHIQHLASSFHVHNLTRITINGCHNLKYLFPSFMVKHFMQLHSLSILDCKIMEEVILMEGLTEEEKMSSMLLIVNCDSLNKLQIVNCDSLEEIFEPQALIANQSHAITTTQSIVVETETKFVFPRVTDLRLEKLPKLKSFYPKTLATEWPSLKKMEVIECHEVEIFAAECPCFGETHTESQVKISNQQPLFWVDEITFPILEELRLKQNDTVKGTWHSQVLSTKCFKKLKVLELMCIPEKSTVLSYCFIQSLPNLEKLVLSDASFCQIFQSEELRDEERHALTLTRLSELRLSKLPELTHLWEEGFRPIPIFYNLRILEVVECGKLKTLVPSLVSFENLTNLEVSRCHGFINLIACSTAKSLVLLERVSITDCEIIEEIIACGGDEMEGGIVFTRLKYLQLSCLPSLASFCLGDHRFEFPVLGKMIVRECPKMKIFCQGDLSTPKLKQVQLTEEEEKGRWEGDLKTTIKQLFEEMNAGNSEVTEVTN >EOY10242 pep chromosome:Theobroma_cacao_20110822:5:33710754:33721873:-1 gene:TCM_025612 transcript:EOY10242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGQLSKILELMSTDKGKRVAGSSSVSKDVQQTEANTDPVYPPGFTLPLARNASIPMPSIGQYSFFGMLVPTGPPPTYAQQRPIRGASPSDPISVPDLDDLKEQEKLNSFRKKVQRMMDESRIEFYVEASRPAVNMMAKDSTHPTKIKPLTIFYEQRGEFVENRTHAQMTIEVPKPFPYKDDKAVPWNYNFNVQVLKARKWMVESQDNATNITSVGRITRSGCCYTPEALENLKKEKGKEKEQNLREEKVQSQESIDGSKGPVTKKKLQSSSSSSNTLYIFQFPLPSKIPNNECEDDNDSGFEVNFEKDNKKEVKIGTTLVPIEKEKLIKLLHEYVNVFAWSYQDMPRLNTDIVAHKLPLKPECKLIKQKLRRMKLEMLLKIKEDVKKQFDAGFLEVAKYLEWVANIVPVPNKDGKVRMCVDYRDLNRASPKDNFPLPHIDTLVDNTTRHSMFSFMDGFSGYNQIKMALEDREKITFITMWGTFCYKVMPFGLKNAGVTYQRAMVTLFHDMMHREVEVYVDDMIVKARKTEDHATNLERLFKRLRKFQLRLNPAKCTFGVTSRKLLGFVISERGQKLTRIRFKQSVICLLPKCRKKLEDSWEAIKGSAITDFLAEMVEENYKPMEFEFPDEDLMSVCQTNEEESEEKENWKMFFDEASNALGHGIGVVLVSLEGGHYPIIAKLSFFCTNNVAEYETCVMGLQAAIERKIHILKVFGDFALVIYQLRGEWETCDSKLVRYHKFISKLIENFDKICFTHLPREENQMADALAMLAVMFKVGTDVKIQPIMINLRECPTHCSSVEEEVDGKPWYHDIVHYLKFQQYLKQSSKNDKKTIKRLAMNFFLDRDILYKRSRDQVLLRCVDSAEA >EOY09489 pep chromosome:Theobroma_cacao_20110822:5:29478680:29486051:-1 gene:TCM_024905 transcript:EOY09489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein MPCRAMVQQAVHGGAPATYAKEMERLSAKESLLLAFKDAGGFEALVTGKTTDMQRIDVNERITGLERLNPTPRPTTSPFLEGRWNFEWFGFGSPGLSAAKIIFERFPSTLAKLPKMDLLLKDGYAKVTAHFKLLNSIESKCILSTRVSIEGPLRMKEEYVEGILESPTVVEETIPEQLKSAYSQAVNTVQQLPVVIRDAVASGLRVPLSGTFQRLFMISYLDEEILIIRDAAGIPEVLTRLDPPSSTMAETSPDYES >EOY07652 pep chromosome:Theobroma_cacao_20110822:5:2341088:2343937:-1 gene:TCM_022036 transcript:EOY07652 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 2 MEIEEFPSEEQQGLVLPTASSSIPILDPFVLSFNNLNYDVKVGRKSWFPFYRKNRNVSSENETRSLLKDISGEAREGEIMAILGASGSGKSTLIDALANRIAKHSLMGSITLNGEVLGSGILKVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRSLSKSKKKARVQALIDQLGLRSAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPILLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVIMSIHQPSSRILGLLDRLIILSHGQALYSSSPANLSQFFSDFGHPIPEKENPSEFALDFIRELEGAPSGTQNLVEFNKSWQTLTNVNDNIASNRPSLALKDAISRSISRGKLVSGAIATSDLDHSSSVPSFANPFWIEVLVLSRRLLTNSRRMPAKFGVRLGTIMVTGIVLATMFWQLDDSPSGVQERLGCIAISIAAIFFNCITEVPTFIQERYIFMRETAYNAYRRSSYVLARSLIHIPLLFILSLFFSIITFWAVGLAGGLSGFLFFFFTTLASFWAGSSFAAFLSGLVPDVFLAFVIGIAIVSYFLFLCGFLVSRDRIPKYWIWLHYTSLVKYPYEALLQNEFLDPTKCFARGVQLFDQSALGTLPTSTKNDLLSSMGNVLGRNITSSTCLTTGKDILMQQGITKLSKWNRVWIIIAWGFFFRILFYLTLLFGSKSKRK >EOY10010 pep chromosome:Theobroma_cacao_20110822:5:32200421:32203399:-1 gene:TCM_025380 transcript:EOY10010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSETRPVPRRESPWGLPEGEHRQPKAHRCNDRAEDVIQACFEGNPFKTVPGPFKLFWQCMRSKPGEEPTEPYTYLQLDPPKREACSQGPVNMASVMCSVGSTCLYKWR >EOY08037 pep chromosome:Theobroma_cacao_20110822:5:4112147:4114496:1 gene:TCM_022360 transcript:EOY08037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic release factor 1 (eRF1) family protein, putative MKILQQNLALNQPGSVKIILEEEDDLWLAYNLFAKGDTIVADTTRKVIHNKSSANGKRKDSTRVKTKLEIRITDVDYEKDSSKVRVRGRNLVANELMAYGAYHTLEIEMNKEFDLSKKHWDSDAIDTLHEGSEKASGADLAVVLFQQWSAQVFLIGHKVTTLAKVEASKTNNKTASNKFFEKVFQAFVKHVDFNTVRCVVLGSPDSTKEEFRGYLFQEAQRLKMKRVEENKSRFVMVNIGNKNSLKEVLHDNEVMGLIKDTKAVMEIRAYKQFSDLLLTDSDRACYGPRSVETAQEMMAIETLLIMDELLRNKEIALRKKYMELVKSVKKAGGKAFLFSSMHVSGEQLAQLTGIAAILRFPLPQLDELVL >EOY10635 pep chromosome:Theobroma_cacao_20110822:5:35645076:35649732:-1 gene:TCM_025948 transcript:EOY10635 gene_biotype:protein_coding transcript_biotype:protein_coding description:DegP protease 1 MFEMLNYTKFYCIFLFDAYGYVVANYHLIRGASDLKVTLADQSTYDAKVVGFDQDKDVAVLRVGAPKDKLIPAPVGISSDLLAGQKVYAIGKPCGLDHTLTAGFISGLRRDISSAATGRPIQDVRQTDAAIDPVDTAGDIVDQLVKFGKVTRPVLGIKFVPDQSVEQLGVSGVLVLDAPANGPVGNINIRYIYPSLLRSS >EOY11116 pep chromosome:Theobroma_cacao_20110822:5:38009301:38013593:1 gene:TCM_026387 transcript:EOY11116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylyltransferase superfamily protein MVSKTEETQLNRLENQVDNGGGGAWEYLCLVRKLKVRRSEKVLKHGLSILNDPKKRSALGPEEWTLYEQVAIAAMDCQCLDVAKDCIKVLQKKFPESKRVGRLEGMLLEAKGSWAEAEKAYSSLLEDNPLDQVIQKRRVAMAKAQGNISGAIECLNKYLEIFMADHDAWRELAEIYVSLQMYKQAAFCYEELILSQPTVPLYHLAYADVLYTLGGLENLQTAKKYYASTIDLTGGKNTRALLGICLCTSAIAQLSKGRNKEDKESPELQSLAATALEKDYKQRASDKLGLLTSALRSLKI >EOY10125 pep chromosome:Theobroma_cacao_20110822:5:33090280:33099179:-1 gene:TCM_025503 transcript:EOY10125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein MAPVTFKRNYRCSQSLQQFYSGGPFAVSSDGSFIACACVESIKIVDSSNASTKSTIEAESGTITALALSPSDKLLFSAGHSRQIKVWDLDTLKCLRSWKGHDGPIMGMACHASGGLLATSGADRKVLVWDVDGGFCTHYFKGHKGVVSSIMFHPDVNKTLLFSGSDDATVRVWDLLAKKCVATLEKHFSAVTSMAVSEDGWTLLSAGRDKVVNLWDLHDYRCKSTVPTYEVLEAVCIINSGSCFALSMGAFRQQSGKRKSGSTGDYFITAGERGIVRIWNSEGAVCLYEQKSSDVTVSSDVDEDSTMGFTSAVMLPLDQGLLCVTADQQFLFYNPEEHLEENWNLKLTKRLVGFNDEIVDMKFLDDEEQYLAVATNLEQVRVYDLSSMSCSYVLAGHTDTILCLDTSLSSYGRRLIVTGSKDNSVRLWEAERRCCIGLGIGHMGAVGAVAFSKKCRDFFVSGSSDRTIKVWSLNGLSDDIEQPINLKAKAVVAAHDKDINSLAVAPNDSLVCSGSQDRTACVWRLPDLVSVVKFIGHKRGIWSVEFSPVDQCVITASGDKTVKIWAIADGSCLKTFEGHTSSVLRASFLTRGTQFVSCGADGLVKLWTIKTNECIATYDQHEDKVWALAVGKKTEMLATGGSDAVINLWHDSTAADKEEAFRKEEEGVLRGQELENAVLDAEYMKAIQIALELRRPHKLFELFSGLCRKRDAEDQIDKALHALSKEEFHLLFEYAREWNTKPKLCHIAQFVLFRAFNILPPTEIIEIKGIGELLEGLIPYSQRHFSRIDRLIRSTFLLDYTLMGMSVIGPVTQGDLKTRSLLHSGKDMDDAPSTDKADEDQKQVSEGLKDKASAKKRKSRKSGEGSHSHKKVKGVAHANNGAIPLQA >EOY07820 pep chromosome:Theobroma_cacao_20110822:5:2817165:2819711:1 gene:TCM_022141 transcript:EOY07820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDLAKDFTDGSKIPQLVPKIDYSGGISSHPLLGLQIAANFGWGRPIFMRPANIVHEGKAYILPSSNKDGSLTLVTRLEISHMKLFGKLLYEL >EOY07561 pep chromosome:Theobroma_cacao_20110822:5:1974553:1976394:1 gene:TCM_021963 transcript:EOY07561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDDQQSVANQESGWFSIFQGILLQPFPSPQQVPPFAYMEGKEELIPNARQTKATGDNIPDSLIRAKSYKANREIVTEASNSYVMTINSKGLLQETNMDTPKALWRVRLGSAFRTVLACTIVGCTTLYGPEPVRRFLTFPAFSYVTTILIVSDTTLGDALRGCWHVLYASIQVLLPSMLSLWLIGPARFSHGLAAMAVALSSFAIALPDSTHLMAKRIAFGQTLIVYVDAVIQGAETGVLMHPIHVASNSALGAWLLFWQCCFHTLTYPTSRQVAN >EOY11446 pep chromosome:Theobroma_cacao_20110822:5:39293905:39298215:1 gene:TCM_026619 transcript:EOY11446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MKNGDMKTLNRAPEHVDRGYLTEKVDVYEFGLLLFELLSGQELMSFCWEREIHYHRYLRIEMLINALHNGIRNEGIDQFQDFETLILKCTSFQEEERPTMIEVAKELRRIDKFALLLIYGGILLMPGTLSCPIVMFSISAEEIIAFCNDRSNPIRHFSAKELLRAINNFDARQIFVRKGRYTLYKGSLKDRPIFVKKYCYGDDPRFDFAFKDIAIGSQMSVHKNVLKVLGLETKIPPIVYEFAGTEILSPCISAADVQPLSWKCRLKIAIGIVDAVAYLHNAFSRPVIHREIDCSNIILDQNNVPKL >EOY08511 pep chromosome:Theobroma_cacao_20110822:5:9784031:9786718:1 gene:TCM_023008 transcript:EOY08511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVFKWSPEFEAEKESAMVPVWISFPNLKTHLYEKSTLLLIAKTVGKPLYVDEATANGSRPSVARVCIEYDWHKESECMVLGNRPSKRKSSDKNVMDPLQQLNGMVVKEGEKYLNRAELSQNWEPKQGRTESTNSIPIGKNNFLSLPLMAEQCEMEEGENRGLSEDQQMSRIALLRREAAAEQSVGAKNRNGKRESGSAEAVAAVSVKSKSVLSNVHETFHENGNHAQAEVSKNNKKGQRRTEKIAKFSAKPQSVEGSAQVSFHVNGAHRQTGNTAAEREMAKDQLITAAEKDGTSLHEDLRAGADQNSKKYFVESPMQQRVTGKRDLDLTAPAEEDKMLLHQPVQKDAGENQKNSFLNPPTRTETLLHTRRDSVAENRRDSVAVGEEPRVAGEDRETGRDWVEITKSRSKTVDGAVYKSQQQSTARVAECSLHREGEQLPVSANNRETWDVIAPGGAEQTLASAAGMPAARHGQRDPTGETTGSKERDLPMPMLADGMSMQDFLQEEKAKIFFMQSKVWAVTFAHEKDQQRPENGLADPMKSPIAMLGQPNEQPNKCQQSEHMVIQPNGQPNIFQQLAYMIEGSGEHSPIEGQFTSQTKNINAHHRVISSKADIPPTQESPLGKCIHNKELSDVPSFPSFSETKFTKIEVHPRIRHRRHSDTEVSIDKILSLASNKAVDMGENDEDSNENAISVNFAASWERERYF >EOY11485 pep chromosome:Theobroma_cacao_20110822:5:39403603:39410909:-1 gene:TCM_026643 transcript:EOY11485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysyl-tRNA synthetase 1, putative isoform 2 MGGNDAPSDQVVQKLIEMGFENSDAIEAVKAVGPSVDDAVEYVLNGCRRNSHSTSTSSQCSSRNGKSKRSMSSLQSKGLMRQSSIWDHFQSTSKPKRSKGYDAPDGIVSRSQVSHSPVQEQKGSFPFVNNQLETVPEPFPVCCSEEPDIGSNWEPKVNSLLQKHFGYSSLKSFQKEALAAWLTHQDCLVLAATGSGKSLCFQIPTLLTGKVVVVVSPLISLMHDQCLRLSKHGVSACFLGSGQPDSSVEQKAMRGMYNIIYVCPETILRLIKPLQRLAESRGITLFAIDEVHCVSKWGHDFRPDYGRLSILRESFSATNLKFLKFDIPIMALTATATVQVRQDILDSLRMSKETKIVLTSFFRPNLRFSVKHSRTSESSYETDFCQLIDLYARRKMIGEKKQALISQESDSDQSSASDLNDIEESDIDESDNENSSKQNSSISSREKQMSVEYLENEVDVFPCVEYLDVVNGEFSGFPHREEWGSQGSFETIDPPNNPEEWLRLLQESLEQGPTIVYVPTRKETLSIAKYLCKCGVKAAAYNAALPKSHLRQVHKEFHENSLEVVVATMAFGMGIDKLNVRRVMHYGWPQSLEAYYQEAGRAGRDGKLADCILYVNLSRVPTLLPSKRSKDQTKQAYKMLSDCFRYGMNTSCCRAKTLVEYFGEDFSNEKCLLCDVCVNGPPNKQDLKEEANILMQIIAARYAENSFMDCSYDNIEQQKFLEKPNFRTFVNKIREQSQKFIATDLLWWKGLARIMEAKGYIREGDDKIHVQIKFPEPTKRGLEFLHYESAEAFHVYPEADMLLSMRKPRVYSSFSDWGKGWADPEIRRQRLEKIRSSNRKPGKPGKPRMRKWRKHSSDLSTSRGRISAKLSKLKCSRLVD >EOY11486 pep chromosome:Theobroma_cacao_20110822:5:39404020:39410441:-1 gene:TCM_026643 transcript:EOY11486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysyl-tRNA synthetase 1, putative isoform 2 MGGNDAPSDQVVQKLIEMGFENSDAIEAVKAVGPSVDDAVEYVLNGCRRNSHSTSTSSQCSSRNGKSKRSMSSLQSKGLMRQSSIWDHFQSTSKPKRSKGYDAPDGIVSRSQVSHSPVQEQKGSFPFVNNQLETVPEPFPVCCSEEPDIGSNWEPKVNSLLQKHFGYSSLKSFQKEALAAWLTHQDCLVLAATGSGKSLCFQIPTLLTGKVVVVVSPLISLMHDQCLRLSKHGVSACFLGSGQPDSSVEQKAMRGMYNIIYVCPETILRLIKPLQRLAESRGITLFAIDEVHCVSKWGHDFRPDYGTATVQVRQDILDSLRMSKETKIVLTSFFRPNLRFSVKHSRTSESSYETDFCQLIDLYARRKMIGEKKQALISQESDSDQSSASDLNDIEESDIDESDNENSSKQNSSISSREKQMSVEYLENEVDVFPCVEYLDVVNGEFSGFPHREEWGSQGSFETIDPPNNPEEWLRLLQESLEQGPTIVYVPTRKETLSIAKYLCKCGVKAAAYNAALPKSHLRQVHKEFHENSLEVVVATMAFGMGIDKLNVRRVMHYGWPQSLEAYYQEAGRAGRDGKLADCILYVNLSRVPTLLPSKRSKDQTKQAYKMLSDCFRYGMNTSCCRAKTLVEYFGEDFSNEKCLLCDVCVNGPPNKQDLKEEANILMQIIAARYAENSFMDCSYDNIEQQKFLEKPNFRTFVNKIREQSQKFIATDLLWWKGLARIMEAKGYIREGDDKIHVQIKFPEPTKRGLEFLHYESAEAFHVYPEADMLLSMRKPRVYSSFSDWGKGWADPEIRRQRLEKIRSSNRKPGKPGKPRMRKWRKHSSDLSTSRGRISAKLSKLKCSRLVD >EOY10263 pep chromosome:Theobroma_cacao_20110822:5:33823885:33826678:1 gene:TCM_025636 transcript:EOY10263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKADSSGESQWHVGEDNTVKETGVGTKRSCPVLDSIVASKPPSTTGDVEDFEEEGEIVEESDSDHDINETVLGGPHIRLTKEEKRRIRRLWRNTLIVKLLGREISYTYLCNRVKQLWSLGPWIIADHYLTIRRWSPDFCSEDASIDSVSAWIRLSGRWQRIEYQGLRLLCFHCGEFGHNVENCPVKKKEAEGFTEDEALNLSKLDKILEKDYESSKYGPWMHAKKSYRRSVASRMDGGQKSKFKTDNHERSYKCAPCSGSRFFVLEEDNNDQDDVEIVPNTMEQKSFAPIVPNTKNTVMGVKERTNLKKSVQDSPQISNGEPISSRSKGKAVVSGAAGSKYTWWIKRDGQEFIRERLDGAVVNEAWCDIFPYTQVVNLPRIHSNHHPLLVKRSNISPDRQASKNFRFENAWLSHPSFADFIKQN >EOY09496 pep chromosome:Theobroma_cacao_20110822:5:29509553:29520813:-1 gene:TCM_024912 transcript:EOY09496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ac-like transposase THELMA13 MRKQLLRGGKFFHIRCYAHILNLIVQDGLKEVDSAIQKGRESIKYVKGSQGRKQKFLECVSLVNLNAKRDLKQDVPTRWNSTFLMLESALYFRLGFSHLEISDSNFKHSPSRDEWDRIEKLSKFLSVFYEITCVFSGTKYPTADLHFPSIFMARMILEEHMSGDDVYLKNMATQMFVKFKKYWSQFSLILTIAVIFDPRYKIQFMEWSYTKLYGSNSAEFKKVKDHLFALYDEYAVKVSNTPSSLNDTSFDGKKVQKGKNKFLKEFDNFQREFGTTKNKSQLEQYLDEQRIETTIELDILQFWKKNQFRYPEVSAMARDILAIPVSTVASESAFSVGAYVKTDMNNLTADVINLEIGKEEMSLAECSNTIDVD >EOY10350 pep chromosome:Theobroma_cacao_20110822:5:34322614:34327493:-1 gene:TCM_025727 transcript:EOY10350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 3 MVGQSRKWMMLIATTWVQAFTGTNFDFSSYSSTLKTVLGISQVQLNYLSVASDMGKAFGWCSGVSLMYLPLWVVMFMAAFLGLLGYGVQWFVIKQVITLPYFLVFLLCLVAGCSICWFNTVCFVLCIRNFANSRALALSLTISFNGVSAALYTLIANAINPDDDTLYLFLNALVPLLASSLALIPILRQPPLQLLSTDAVSQDSFIFIILNVLAVITGLYLLLLNSLSSEASRARILLVGALILLLLPLCLPGIVCGRNWARHNIHTSFCLDGSTFSLVDPDDLELHKELLGSDYNNSLSVSNSFCVTNREGFFKKVMEKGRLTVLGEEHPARLLVHRWDFWLYYLAYFCGGTIGLVYSNNLGQIAQSRGFYSQISTVVTLYSSFSFFGRLLSAAPDFLRDKVYFARTGWLAVALVPTPIAFFLLAASGSEVALHAGTAMIGLSSGFVFSAAVSITSELFGPNSASVNHNILITNIPIGSLLYGLLAALVYDSNVKSYSQENSLGEAMVCMGRDCYQKTFIYWGCISLLGLISSFLLFLRTKPAYDHLERNRSRAQYS >EOY10351 pep chromosome:Theobroma_cacao_20110822:5:34322614:34327496:-1 gene:TCM_025727 transcript:EOY10351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 3 MVGQSRKWMMLIATTWVQAFTGTNFDFSSYSSTLKTVLGISQVQLNYLSVASDMGKAFGWCSGVSLMYLPLWVVMFMAAFLGLLGYGVQWFVIKQVITLPYFLVFLLCLVAGCSICWFNTVCFVLCIRNFANSRALALSLTISFNGVSAALYTLIANAINPDDDTLYLFLNALVPLLASSLALIPILRQPPLQLLSTDAVSQDSFIFIILNVLAVITGLYLLLLNSLSSEASRARILLVGALILLLLPLCLPGIVCGRNWARHNIHTSFCLDGSTFSLVDPDDLELHKELLGSDYNNSLSVSNSFCVTNREGFFKKVMEKGRLTVLGEEHPARLLVHRWDFWLYYLAYFCGGTIGLVYSNNLGQIAQSRGFYSQISTVVTLYSSFSFFGRLLSAAPDFLPRCTLPGPGG >EOY10352 pep chromosome:Theobroma_cacao_20110822:5:34322833:34324880:-1 gene:TCM_025727 transcript:EOY10352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 3 DDTLYLFLNALVPLLASSLALIPILRQPPLQLLSTDAVSQDSFIFIILNVLAVITGLYLLLLNSLSSEASRARILLVGALILLLLPLCLPGIVCGRNWARHNIHTSFCLDGSTFSLVDPDDLELHKELLGSDYNNSLSVSNSFCVTNREGFFKKVMEKGRLTVLGEEHPARLLVHRWDFWLYYLAYFCGGTIGLVYSNNLGQIAQSRGFYSQISTVVTLYSSFSFFGRLLSAAPDFLRDKVYFARTGWLAVALVPTPIAFFLLAASGSEVALHAGTAMIGLSSGFVFSAAVSITSELFGPNSASVNHNILITNIPIGSLLYGLLAALVYDSNVKSYSQENSLGEAMVCMGRDCYQKTFIYWGCISLLGLISSFLLFLRTKPAYDHLERNRSRAQYS >EOY11743 pep chromosome:Theobroma_cacao_20110822:5:40187240:40190275:1 gene:TCM_026823 transcript:EOY11743 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthetase 1 MDTFLFTSESVNEGHPDKLCDQVSDAVLDACLEQDPESKVACETCTKTNMVMVFGEITTKAKVDYEKIVRDTCRNIGFVSDDVGLDADKCKVLVNIEQQSPDIAQGVHGHLTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGICPWLRPDGKTQVTVEYQNDNGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPDKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKISDKEILKIVKENFDFRPGMISINLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQD >EOY09896 pep chromosome:Theobroma_cacao_20110822:5:31558742:31569575:1 gene:TCM_025263 transcript:EOY09896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAATTSNSQSSLHSILQTHAFDQTREVDLGPLVKVIESILQNAAAASVSKGAYEGLSDMIGSLENFDGMRQVLDDIRSISCEMSCNLSDVEATTMELLKRLRNYSWNAKVVLAVAAFASSIGELLMLVNHRTTDPIAKSVDLLKGHSFKLDINVLKQLLKAMMDVVNVNFALLVPTLSKIPKEAPSMKDAMEYFPTATYKILSVIVQIASILSKREQIIDSTIKSLAYEVSCVNYVLQKKLERCKIDAEQGEKYHKHDETEYQRDEDVSELIPKIGFWELFGKIKQHMKIQVPEKLRKKHVLFLISDLDISFEEIKVLDRLYQKNNQRYEILWLPIADLTTPYEKTKFSELKQLMKWGAVEPSKIGPAFIEYIKKEWHFIKNPIAVSLNEEGEVTCRNALPMLWTWGNLAFPFTDEKEHHFWNKIDEKNGWKLELLLDEQIDLDIPPRMRSVTFVCLFGGENISWIQAFTEKVKNATAGVSFKLVYVGKNKREGLPSHLLSPDIHVIDSEFQWQFWTRLETVMVTANGEMALTIMSNYKDWKKDTTGPLFLEALRNYCTYKIPDIHGCINVHLPVVGEIPGIVSCPTCSKEMEMYYTYRCCSE >EOY09869 pep chromosome:Theobroma_cacao_20110822:5:31390747:31393177:-1 gene:TCM_025237 transcript:EOY09869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEAAKTARESLDQAFHISNILDTGLDRHTLSVLIALCDLGLNPEALAAVVKELQREPCPLPPTPAAPSSFP >EOY08726 pep chromosome:Theobroma_cacao_20110822:5:18836789:18837497:1 gene:TCM_023819 transcript:EOY08726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDQTMLIRALGCPYTLEPLIMKCGMLSQKDHIFPPHLALSLVRDCLNQDLNVLKLKSKRSKQILKPSTPFIVH >EOY07553 pep chromosome:Theobroma_cacao_20110822:5:1958888:1961877:1 gene:TCM_021960 transcript:EOY07553 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein MGDSLLTALSMENHHPSTLLSMDSSASSHDELDLEMNRQIVLSRPPDINLPLSAERSPPPQQWNSDQCDILDVGLHSQVYETESYLTVPKPGRKCAKRVDSIWGAWFFFSFYFKPALNDKSKAKIIRDSNGVTGFDKSDLKLDVFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSADKGFVRSHRMQRKHYRGLSNPQCVHGIEVIPSPNLMALDEEEQKRWMDLTGRDLNFTIPPEASDFSSWRNLPNTDFELERPPTIKSVSNSHSKKLLNGSGLNLSTQPSSHSNGDGMDLSLVSNKRRKDFFPHGSDEDFILSVIPPSDRIPDMEIHPSEPLWLNDFSGVMKNVYGPVTAAKTIYEDEAGYLIIISLPFVDLQRVKVSWRNTLTRGIIKVSCVSTSRMPFIKRHDRTFKLTDPASEHCPPGEFVREIPLSTRIPEDANIEAYYDRPGSVLEIMVPKLRVMPEEHEVRVCLRPNLGGSDLMLK >EOY09426 pep chromosome:Theobroma_cacao_20110822:5:29063710:29066361:1 gene:TCM_024844 transcript:EOY09426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uv-b-insensitive 4, putative MADSRDRITRAVDIAEVFARRRSGPLGILSDEAQELLASPVQRPVTRRPMGVAVTTSTSRGGGLRRGSSFGTPRSGIRIGRNLYRSPAPGRENAPMGVMGRGRGRLTGSVLPSWYPRTPLRDITAVVRAIERRRARLGEGERQILESPTSQDERVLNSNLSSGVQLEHNFSTPASTARMKPCPQSVRNVSKILLNVTNQNGEESEILTPQKKLLNSIDTVEKAVLEELQKMKRTPSAKKAERQMKVRTLMSMR >EOY09679 pep chromosome:Theobroma_cacao_20110822:5:30480840:30483497:1 gene:TCM_025072 transcript:EOY09679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MIRTHIIFDIFAASRLISLCTDPSFGTTLLDYAFKVLSQVETPNPFIYNALIKGFSACQNPHKSFHFYTQLFRANILPDYISFPFLVRACAQLESLDMGVQAHGQIIKHGFENNVYVQNSLVHMYSTCGDVTAANAIFQRMTCLNVVSWTSMIAGLNKVGDVEMARKLFDTTPEKNLVTWSIMISGYAKNSYFEKAVELFQVLQEEGVQANETVMVSVISSCAHLGAIELGEKAHEYIFRNNLSLNVILGTALVDMYARCGNIEKAIGVFEELPERDVLSWTALIAGLAMHGYAERALWFFSEMVKSGLKPRDISFTAVLSACSHRGLVGKVLELFESMKRDFGIEPRLEHYGCVVDLLGRAGKLAEAEKFVLEMPVKPNAPIWGALLGARRIHRNAEIAERVGKILIPPLPEHSGYYVLLSNIYARTNRWENVEGMRQMMKEKGVKKPSGYSLIEVDGKVHNFTMGDKSHPEIDMIERTWEEILKKLRLAGYSGNASDALFDIDEEEKESALHRHCEKLAIAFGIMRTKASIGIQLVKILWVCEDCHTPTKLISKVFERELIVRGRNQFHHFRHGTCSCMDYW >EOY09016 pep chromosome:Theobroma_cacao_20110822:5:24901968:24903540:1 gene:TCM_024356 transcript:EOY09016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDYSQFEIGFGKSLMMFWPKFNLFRISYCRLKLMRITFIFLKVLMAFGLENEAVRVSLLHGSPLLTLDIAIQEIIFEETRLDLDKSPQVGHLDILKVIPRTFEGLIAGHVAWPIEPLGHVCHS >EOY11618 pep chromosome:Theobroma_cacao_20110822:5:39862378:39863241:-1 gene:TCM_026743 transcript:EOY11618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3511) [Source:Projected from Arabidopsis thaliana (AT3G05725) TAIR;Acc:AT3G05725] MEEYFRRPSYRPAAAGVGGRNPEISDSNMLKYVNKVYFGRSSQPPALDPSPFDRKQNAKKKRKEESRTTSKSWWNEPKMKRKRRLAKYKMFALEGKLKESLKKGSRWIKKKYCKIVHGY >EOY10934 pep chromosome:Theobroma_cacao_20110822:5:37064946:37066561:1 gene:TCM_026198 transcript:EOY10934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase kinase 1 MSETLKNKYQVCEEIGRGRFGTISRVFCPITQASFACKSIDKRLLTDPTDRECLENEPKIMTLLSPHSNIVQIHDMFESEDTLQLILELCQPYTLYDQILQPGLSESKAASYVQQITSGLAHCHRFGIVHRDIKPDNIFFDFRGKLKIGDFGSATWLGELGTVDGLVGTPYYVAPEVVMGRAYNEKADVWSAGVVLYVMLAGVPPFYGETAEEIFEAVLRGNLRFPTRIFRSVSAEAKDLLRKMICRDVSKRLSAEQVLRHPWILNGGETTSMD >EOY08232 pep chromosome:Theobroma_cacao_20110822:5:5815904:5825967:-1 gene:TCM_022577 transcript:EOY08232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster biosynthesis family protein MLRLAAATAYPTPSITPNCLTEEKGKFDELIEDKGVRILIDPKALMHVIGTKMDFVDDKLRSEFIFINPNSKGLCGCGGESFMTTAGTSGGAAK >EOY07156 pep chromosome:Theobroma_cacao_20110822:5:662413:663406:1 gene:TCM_021666 transcript:EOY07156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSMYLRLVLQFVLSGALIVISMVQLAFQEYHKNLNLPLSTISLWISFITTDFHFTTNAKIFRTLT >EOY07606 pep chromosome:Theobroma_cacao_20110822:5:2133601:2135845:1 gene:TCM_021996 transcript:EOY07606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein MENNQGHGSLGRFKPYFAAIFLQVGLSGMDVLSKAALNQGMSNYALVVYRHAIATVVMVPFAAVLDKKVRPRMTLPIFIKIMVLGLLEPVIDQNLYYVGMKYTTATFASAMYNILPAITFVMAWVLRLEKVNLRSIRGHGKVVGTLATVAGAMVMTLMKGPVLELFWTKGSTNHQAAAQNGTDIHHTIKGGLMITVGCFSYACFVILQAVTLETYPAELSLTVWICLMGTLEGTIAALIMERGNAAIWAIKWDTKLLTAAYSGIVCSGLAYYIQGVIMKDRGPVFVTAFSPLCMVIVAIMSTFILAEQMYLGRVIGAIIIIVGLYLVLWGKSNEYKSPPPLIQQQIEPAKIDMAKEEKESFDHQPIIIDEPSRETSIRDEKLHENSDLVPV >EOY09842 pep chromosome:Theobroma_cacao_20110822:5:31290596:31296529:1 gene:TCM_025215 transcript:EOY09842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGVRSDLSFVGLMKLIEDVVGVNSEIDEIELHALISTPGELSRPIIKDDEDVALILLEQRNVLAVYVSIKGRQTNVMSHGEVGQHGNQLNQNEIYNVSHIPQHSVRNPQQWQWRYAQEFVQPSTQTTFTEQLAAQFRSGCASNQFLASLEQMQRSGETVECVMPLSNENTIIEDNNVRLEGDTATLEYNTAFDEGNEDLFANGEYRFNHTSDDGLEQSQDDSSDDDCLYDSDIPICNNVEGETEPVGGVDVGDVQCDDPIYNNPIAGENGILSFDTLLDDNDQKRENARISRMWVIAGAERFSFQTITTKESTCAEDRLYKGRIFSSKVELKRALNMLVIKEKFAIRVKGSCKTRYEVGCKDKACKFSVRATKLPDRGEYWKVQTFHKVHTCTVDGLQGRFLTTSVKMIGELMSYKIRANGVALRPKDIICEMRVQWGLECLYGSIRPIGHPSEWDIPPYVKQIVVLPPPWLGQAGRPRKRRISSAGEGSRARRCSQCKRYGHNRHNCPYPFSVPSTNLAPSPSQSVTPRLLRPKAGSSCRQTVTQAITVQYEGQCLKM >EOY07671 pep chromosome:Theobroma_cacao_20110822:5:2383253:2384037:-1 gene:TCM_022050 transcript:EOY07671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein, putative MEKKSEEAESESGVYELPGEPAVVINGVPEINPNCNTLVLSNVEKEDGESQKDEGCGEWLEGREVRKLFGERYYRGSVIQFDKETGWYRVEYEDGDSEDLDWHELEEVLLPVDITIPLKAVAVKLLKKNQKAAIHKPGNLVAAGSAIQKAKHVGKKGKMSEAHKEA >EOY11583 pep chromosome:Theobroma_cacao_20110822:5:39772048:39774280:1 gene:TCM_026723 transcript:EOY11583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrially targeted single-stranded DNA binding protein isoform 1 MSSLASRCAKLLRISVPTAPNSSLGVQRTSKLWCSTGSVGSENDEGKGNEMEEEIDDFLGDKSDKREPMLQGVNPASGWNYRGVHRAIICGKVGQAPIQKILRNGRTVTIFTVGTGGMYDQRIIRDQNTPQPAQWHRIAVHNDALGAYAVQQITKNSSVYVEGDIETRVYNDSINGEVKYIPEICIRRDGRIRLIKTGEGVSNISFDDLREGLL >EOY11584 pep chromosome:Theobroma_cacao_20110822:5:39772057:39774384:1 gene:TCM_026723 transcript:EOY11584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrially targeted single-stranded DNA binding protein isoform 1 MSSLASRCAKLLRISVPTAPNSSLVAGVQRTSKLWCSTGSVGSENDEGKGNEMEEEIDDFLGDKSDKREPMLQGVNPASGWNYRGVHRAIICGKVGQAPIQKILRNGRTVTIFTVGTGGMYDQRIIRDQNTPQPAQWHRIAVHNDALGAYAVQQITKNSSVYVEGDIETRVYNDSINGEVKYIPEICIRRDGRIRLIKTGEGVSNISFDDLREGLL >EOY11372 pep chromosome:Theobroma_cacao_20110822:5:39121635:39125371:-1 gene:TCM_026579 transcript:EOY11372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLISMRNIIVKPNEKYAKDCLDFFFSIQTKDTRRKLHLLRSIRMKTQMPDKRRYSRTCILILEPKPKKASS >EOY07653 pep chromosome:Theobroma_cacao_20110822:5:2344032:2347300:-1 gene:TCM_022037 transcript:EOY07653 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 2 MELQQFSSEAQFSSSPTLEHLLKRDEITGKSDDHQVLDIPNTSSSIPALAPFLLSFNNLTYDVKVGPKSTFPCCGNTTIVSPDNKTKSLLNDITGEAREGEIMAILGASGSGKSTLIDALANKIDRHSLKGSVTLNGEALESGLLKVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRSLSKSKKKARVQALIDQLGLRNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPILLFLDEPTSGLDSTSAYMVVKVLQRIAQSGSIVIMSVHQPSSRIIGLLDSLIFLSHGQTLYSGSPANLPRFFNEFGHPFPENGNPSEFALDFIRELEETPFGTQKLVEFNKSWQASMNSNSNASNKPNLALRDAIKRSISRGKLVTGAVATIDVNLTSLVPSFANPFWVEVLVLFKRLVTNSRRIPEVFGVRLGAIIITGIILATMFWQIDNSPRGVQERLGCIAISISTIVYTCTTEAPEFIKERYIFMRETAYNAYRRSSYVLARSFISIPSLIILSLVFSTITFWAIGFSGGLSGFFFYFLTTLATFWAGSSFVAFLAGLFPDFFISFVIAIATTSYFLFFCGFLVSRDRLPKYWIWFHYTSLVKYPYEALLQNEFLQDPSKCFARGAQIFEQTTLGALPTSLKNDLLTSMGNVLGMNVTGSTCILMGKDIVKLQGLTDLNKWNCVWITMAWGFFYRILFNLTLLFGSKNKRN >EOY07420 pep chromosome:Theobroma_cacao_20110822:5:1494423:1497017:-1 gene:TCM_021862 transcript:EOY07420 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein MASEIRFFELNTGAKIPSVGLGTWQSEPGLLGAAVAAAVKIGYRHIDCAQIYGNEKEIGLVLKKLFEDGVVKREELFITSKLWCTDHAPEDVPAALDRTLQDLQLDYVDLYLIHWPIRMKKGSVGFKPENLLQPDIPSTWRAMEALYDSGKARALGVSNFSSKKLQDLLEVARVPPAVNQVECHPSWQQTKLRELCKSKGIHLSGYSPLGSPGTTWLKSEVLKLPILNMVAQKLGKTPAQVALRWGLQMGHSVLPKSTHEERIKENFDVFDWSIPEDLFAKFSEIEQARLVRGNSFAHETLSPYKSVEELWDGEI >EOY09195 pep chromosome:Theobroma_cacao_20110822:5:27062714:27064856:1 gene:TCM_024595 transcript:EOY09195 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyltransferase, putative MANHTSHQSYGSINHKQAPVAVIVVPFPGQSHLNQLLQLCCLLSSHKIPVHYVCSNIHNRQVKLRAHGLNSSQISLLTFHDLAIPPFISPPPNPRATTKFPTHLQPSFEAYLHLRHPVGALVSELSQSAERIIIIHDSVIASIIQDAASIPNAEIYAFQSISPFTLFYNIWEARGKPFQLEAEVAEIQNKLPSLDGCFSSEFVNFVTYQYQFMNFQAGELYNTCRLIDGTYINLLAKLQTNVNRKQWAIGPLNPLKIHEQSNPTRRHKCLEWLDKQTPKSVLYICFGSSTSMPDDQINELAIGLEQSKTKFIWVLRDADKGDISTEVRQLKLPEAFEARTERSGMVLRDWAPQLEILGHPSTGGFMSHCGWNSCMESISMGVPIAAWPMHSDQPRNTVLVTQVLQIGLVVKDRQRMEDKVTSSDIKEAVRRLMASKEGETMRKRAEELGEAVREQSAAQGGVSRVELESFIAHITR >EOY09135 pep chromosome:Theobroma_cacao_20110822:5:26353180:26359104:1 gene:TCM_024527 transcript:EOY09135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid movement impaired1 MAKEYAAGRRNSNTQLLEELEALSQSLYQSHTSATRRTASLALPRTSVPSVSSTDEATEAQFEAKSSTKPRSRRMSLSPWRSRPKPDDEADQKDQARRSNQPNRLKEQAASKEKKGIWNWKPIRVLSHLGMQKLSCLLSVEVVTAQGLPASMNGLRLSVCVRKKETKDGAVNTMPSRVSQGAADFEETLFIRCHVYCTQGNGKQLKFEPRPFLIYLFAVDADELDFGRNSVDLSLLIQESVEKSYEGTRVRRWDMTFNLSGKAKGGELIVKLGIQIMEKDGGIGIYNQAEGLKSSKSKNFSSSFARKQSKTSFSVPSPRMTSRSDAWTPSQTGMTADLQGLDDLNLDEPAPASSSVAIEKSEEPEKMEDVDLPDFEVVDKGVEIQEKEAGVAESEETGEDKSASSEVVKEIVHDQLHMTRLTELDSIAQQIKALESMMGEEKIAKTDEETESQRLDADEETVTREFLQMLEDEGSNELKLNQTDIPPLQLDRAEDSSESDSKIYLPDLGNGLGCVVQTRDGGYLASMNPSDSLVARKDTPKLAMQMSKPMVLPSDKSMSGFEVFQKMAAVGLEKLSSQILSLMPQDELMGKTAEQIAFEGIASAIIQGRNKEGASSSAARTIAAVKSMANAMSTGRKERIATGIWNVNENPLTAEEILAFSLQKIEGMAVEALKVQAEMVEEEAPFDVSALIGKTATDNGKDQDQTLVSAIPLENWIKNYSSISSEAELGDPETLTLAVVVQLRDPLRRYEAVGGPVLALIQASRADIKTNKYDEEKRFKVTSLHVGGLKVRTAGKRNIWDTERHRLTAMQWLVAYGLGKSGRKGKHVLSKGQDMFWSISSRVMADMWLKTMRNPDVKFAK >EOY11077 pep chromosome:Theobroma_cacao_20110822:5:37826928:37829302:-1 gene:TCM_026350 transcript:EOY11077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATPase subunit F family protein MAGRAQIPTCNSALIAMIADEDTVTGFLMAGVGNVDLRRKTNYLIVDSKTTVKAVEDAFKEFTTKDDIAIILISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASGRR >EOY10112 pep chromosome:Theobroma_cacao_20110822:5:33045135:33046117:1 gene:TCM_025490 transcript:EOY10112 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLP-like protein 423, putative MHGYISEDTLVEVPAAVIWEVYRGLQLGKLVNELIRDVIGEVEVVQGDGSVGTIVKVTFPPGTPGPSYMKEMFTKIDDEIRLKETEIIEGGFKEVGFDLYRIRLQILEKDAESSIVRSSVEYEIDDKLEEIASQVTTQPLKIMAEVIGKYLKERSST >EOY07900 pep chromosome:Theobroma_cacao_20110822:5:3178925:3179777:-1 gene:TCM_022216 transcript:EOY07900 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MAESKRGLMMFRPFFQKLRKGYWVSAFRESPALNHAGFDEDMSVAKTVPDDVKEGFFTVFAVKGKETQRFVIELDQLTNPALLSLLDQAWEEYGFQQKGALSLPCRPHELQAILEHSNKSNAGTESRATCNATILESY >EOY07707 pep chromosome:Theobroma_cacao_20110822:5:2469066:2470826:-1 gene:TCM_022068 transcript:EOY07707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine kinase MAAITRFSKPLALSHIRRCLCSSSAAAAVSDESNPHVTSPFGNYKITRRDPSERNVQWVFLGSPGVGKGTYASRLSNLLGVPHIATGDLVREELASSGPLSKQLTEIVNQGKLVSDEIIISLLAERLEAGEAKGQSGFILDGFPRTINQAEILEGVTDIDLVVNLRLPESVLIEKCLGRRICSQCGKNFNVASINVKGQNGNPGISMAPLLPPSHCMSKLVTRSDDTEKVVKERLRIYNEKSQPLEDYYLNKGKLMEFDLPGGIPESWPKLLEALNLDDFEERQSAAA >EOY09271 pep chromosome:Theobroma_cacao_20110822:5:27858788:27859526:1 gene:TCM_024691 transcript:EOY09271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQGPIFIGSSMSPTTSLVVPSKALTTMRLVLIYDTSTGNLVKVERGMTFKAEPLSTKTLGTAWSLHLMVMCSALLLPRPPDGISSSLKAMKFPTVMFPTMWSNFSTDISCAT >EOY10220 pep chromosome:Theobroma_cacao_20110822:5:33539070:33540140:-1 gene:TCM_025576 transcript:EOY10220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7 MPEVAGDGQEVKLVGAWYSPYVHRVAWVLKLKGIQYEFVEENLRDLNKKSSFLLDCNPVYKKVPVLLHHGKPIVESLFIIEYIDETWKHNPIFPTDPYDRAMARFWARLMDEMLLEGSKRALCAEGDQLKKEIEQVSDAMEVLEGMKKGKKFFGGETVGFLDVAFGWTTIWLEAIEEVAGVEFFNRDKYPHLDNWKSEFKQIHVVKDSLFPMEKLVRFFKKYRQSQLKLAAEK >EOY07626 pep chromosome:Theobroma_cacao_20110822:5:2230738:2232639:1 gene:TCM_022013 transcript:EOY07626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPWRMAQPAIAGVLFYAMVFFFLKTLRDSFFLGSRPDLRFESHLLLTEILQRPVAMADDTLKEGILVSHQMPLPLTNMRRSIKSAS >EOY09440 pep chromosome:Theobroma_cacao_20110822:5:29143207:29161495:-1 gene:TCM_024859 transcript:EOY09440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L6 family protein, putative MIPQLKAFDFIEALTKYEQEHKPNPKASGHTQIPSYRFIIGLDHYLNFTNKYIPKDLDYGQDSGEFNVGRKWTQNIAKFIARSRKGPEEKTKPSFCSIKSLGFCVIIFPTSKPHRAPAGIRQEMAPKRKTPVKTRNPDLIRGVGKYSRSKMYHKRGLWAIKAKNGGVFPRHDPKPKAPAAAEKLPKFYPADDVKKPLFNKRKPKPTKLRASITPGTVLILLAGRFMGKRVVFLKQLTSGLLLVTGPFKINGVPLRRVNQSYVIATSTKVDISGVNVEKFDDKYFAKEAEKKKKKGEGEFFEAEKEDKKKLPDDKKEDQKAVDASLIKAIECVPDLKPYLAARFSLKSGMKPHELVF >EOY09366 pep chromosome:Theobroma_cacao_20110822:5:28596193:28597098:1 gene:TCM_024785 transcript:EOY09366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFLSYIYWYRSNYVCLQLQPRRVASRHLLPPSVDLHQRSMSINQFRHCSNLLLETCLVETFGFPQRTWIYTKFKSVTKNNKLRTGCHLLIQNKKLKKKTEEREREKTWGWSMQNIAA >EOY10942 pep chromosome:Theobroma_cacao_20110822:5:37151566:37153153:1 gene:TCM_026210 transcript:EOY10942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAKSCLLMILVLPLGLQLSHCQPMVSQAGEAASQAGETASRLKKFSPQKSKRIGSPCWRTSS >EOY08175 pep chromosome:Theobroma_cacao_20110822:5:5228441:5232035:1 gene:TCM_022508 transcript:EOY08175 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ calmodulin-binding motif family protein MEVIETKTAVSNFDLQSKSPFYNISSSDLQNSGFRVGSEPLDFFSMDSPKEASESDTPSGNNGGGLEMPMESLIDGRDFSGSNLASSGASSHSNAAVKVQKVYRSYRTRRRLADSAVVAEELWWLVLDYARLNHSTISFFNYLKPETAVSRWNRVGLNASKVGKGLSKDAKAQKLAFQHWIEAIDPRHRYGHNLHIYYDEWSKDDAGQPFFYWLDIGDGKEIDLKECPRSKLRQQCIKYLGPQERENYEFIVVEGKIIHKQTRNVLDTSKGLKEGKWIFVMSTSKKLYAGAKKKGMFHHSSFLAGGATLAAGRLVAEHGFLKSISAYSGHYRPTEDSLKSFLSFLKENGVNLSEVEICRAADDSDAYDEGKPSSGGTTVLVSTSSVPTERGIDNEEKNLLSESSETNQTETRNNYKRTLSGGLQSPKAEVPEKAILQRINSKKAAKSYQLGHQLSLKWSTGAGPRIGCVADYPVELRQQALEFVNLSPRTPHTRSPILSPRMPRTPTTPSAYRRPGGLAPTTAQPISYDHNADGTSAT >EOY07634 pep chromosome:Theobroma_cacao_20110822:5:2255360:2259197:1 gene:TCM_022019 transcript:EOY07634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L29 family protein MARIKVHELRNKSKTELLSQLTDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKSALREAYKKKKFLPLDLRPKKTRAIRRRLTKHQASLKTEREKKREMYFPLRKYAIKV >EOY08577 pep chromosome:Theobroma_cacao_20110822:5:11271059:11294483:-1 gene:TCM_023171 transcript:EOY08577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRKYYERLVTTFYLNATTIFKDNPTHDEEEEYAHEDMFVTSVMGKDIHINIDMISHILYVFNLGEATNEENSDNRDGEPLVALTIMGDTSFFQSLEPRLMAHICTSTKLIISSIEELRGCVNPLERDVETLAQLRDIDAFLPITLVNPPTMSSTQANPSTNPNTLVYPFDPLTSPMDPSLDLSP >EOY10561 pep chromosome:Theobroma_cacao_20110822:5:35359427:35368010:1 gene:TCM_025896 transcript:EOY10561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein MLLRIIVEGSRKKTRMTRPRLFIFLMGLLLCCCFEISAKAEYMKYKDPKQSLPVRVQDLLDRMTLEEKIGQMVQIDRKVASAEVMKKYFIGSLLSGGGSVPAPQASAKAWIDMVNEFQKGCLSTRLGIPMIYGIDAVHGHNNVYNATIFPHNIGLGATRDPELVKKIGASTALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPEVVEAMTEIVPGLQGDIPANSPKGVPFVAGQKNVAACAKHYVGDGGTTQGINENNTVIDRHGLVSIHMPGYYTSIIKGVSTIMVSYSSWNGIKMHANRDLITDFLKNTLRFRGFVITDWEGLDRITSPPHANYSYSIQVGIKAGIDMVMVPFNYTEFIDGLTFQVKNNIIPMSRIDDAVMRILRVKFVMGLFENPLADYSLVDQLGSQEHRELAREAVRRSLVLLKNGQSTDHPLLPLPKKTSKILVAGSHADNLGYQCGGWTIEWQGLSGNNLTSGTTILTAVKNTVDSSTKVVYKKNPDAEFVKSNDFSYAIVVVGEHPYAETQGDSMNLTIADPGPSTITNVCGAVKCVVIIISGRPVVIQPFLNSIDALVAAWLPGTEGQGVADVLFGDYGFTDKCYRNCSSEKAEHVKYKDPKQPLNVRIKDLIGRMTLEEKIGQMVQIERAVASAEVMKKYFIGSVLSGGGSVPAPKASAKTWLNMVNEFQKGSLSTRLGIPMIYGIDAVHGHNNVYKATIFPHNIGLGATRDPALVKKIGAATALEVRATGIPYAFAPCLAVCRDPRWGRCYESYSEDHKIVQAMTEIIPGLQGDIPSNSRKGVPFVAGKKNVAACAKHYVGDGGTTRGINENNTVIDRHGLLSIHMPAYYNSIIKGVSTVMTSYSSWNGVKNHANHEMVTNFLKKTLRFRGFVISDWEGIDRITSPPHANYTYSILASINAGLDMIMVPNNYKEFIDGLTYLVKNKFIPMSRIDDAVKRILRVKFVMGLFEDPLADDSLVDQLGSQEHRELAREAVRKSLVLLKNGDSADAPLLPLPKKAPKILVAGSHANNLGYQCGGWTIEWQGQGGNNITDGTTILTAIKKTVDPKTKVVYKEKPDAEFVKSNDFSYAIVVVGEHPYAETNGDSLNLTIPEPGPSTIGNVCGAVKCVVVVISGRPVVIQPYVRYIDAIVAAWLPGSEGQGVADVLFGDYGFTGKLSFTWFKTVDQLPMHVGDSHYDPLFPFGFGLTTKPTKA >EOY07050 pep chromosome:Theobroma_cacao_20110822:5:315853:317289:-1 gene:TCM_021581 transcript:EOY07050 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein, putative MSNPDEPTKNNHAGSPVPAETPTADVENPTPAAGFGVSAITRRWKREDLLKRGSLVARGLALLFSLLSFIIMASNKHGDRKNFDNYEEYRYLLAIAILSTLYTGVQALRHVHEISTGKQIFERRISAMVDFLGDQVVAYLLISSASAAIPMTNRMREGQDNIFTDSSASAISMSVFAFLSLALSAMVSGYNLSTQSYI >EOY08135 pep chromosome:Theobroma_cacao_20110822:5:4885093:4889179:-1 gene:TCM_022472 transcript:EOY08135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSPDSYQNSREIGNSFKSIITRMRSSAADGETNYVINIMEVNEDRLASMHQKISEPPRLLTMAAGRSSCCIFRVPESLIDVNGKAYQPRIVSIGPYHRGKPQLRMIEEHKWRYLGFLLRRMKEKGLGLEDFFKAIQPLEMKARECYSETIQLSSDEFLEMIVLDGCFIIELFRKVGNLVPFEPDDPIVSMLWILPFFYRDFLRVENQIPFFLLQCLFDLTKMPGEKSGRSLSKLALEFFNNAVQRPDEVIAKYHDLKCHHLLDLVRASFIPSDEDHEQREVSAPTLMIHSVSKLRRAGIKLNPRKADSFLVIKFRRGVIEMPPITIDDFMSSLLLNCVAFEQCHRSRIKHFTDYATLLDCLVNTYKDVEYLCQSNVFENYFGTEGEVARFINDMGKETAFDINNCYLSKLFSDVHQYYRNSWHVQWASFKHTYFQTPWSFISALAALILLLLTIAQTFFTIYSVYKPDKS >EOY09749 pep chromosome:Theobroma_cacao_20110822:5:30899806:30903600:1 gene:TCM_025137 transcript:EOY09749 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding,nucleic acid binding,helicases, putative MMGRWKGALRSTTHLQQMGNAKSTISILFLLFTRRPILHLKRAGCFYFQISCSYATISSPDTETSVNVRGKGKHQFQFDNIGEALTLFNRMIHSHPRPSIIEFSRLLGAVVRIKDYVTAVSLIRQMELLGIQHNVYTLTILINCFCHLQCVDFGFSLLGKMFKLGLQPNVITFSTLINGLCIVSKVARAVMLFNDMVREGYQPNLISYSTIVNGLCKIGDTSGAIRLLRMMEQRGCAPNIVTYTTIIDSLCKDENVTEALKLLSEMRGKGIPSNIVTYSSLIHAMCNLGQWKEVTSLLKEMVANNVMPNVVTCNILLDALCKEGLVSEAHDVVKKMSQEGVGSDIVTYNTLMDSCCLRSEIYEARKIFDLLVGRGCAPNIVSYNALFSGYCKAKRVDEAMNLFHEISDKGFIPDVVTYTILINGMCEIGRLSAAQELFKEMSANGQIPDQMTYSALLDGLCKHGHVHEALEFFQAMHNSGLEPSIFHYSILLDGLCQVREFKLARQLFRQIFAKALHPNIYVYTIMIKALFEEGLPNEAQELFRKMEEGGCLANSCSYNTMVQGFLQNNDMSSVMQILHEMVDKGFSLDAPMAVMLVDFLSTDETNQTFPEVVYKNIEDYQIVNVK >EOY07632 pep chromosome:Theobroma_cacao_20110822:5:2250538:2254048:-1 gene:TCM_022018 transcript:EOY07632 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronic acid decarboxylase 1 isoform 2 MKQLHKQSSVNHRRDEEIPSNQTPPYSPKSLKQPRSLPRSINYLFKEQRLLFILVGILIGSTFFILQPTLSRLGPTEVRSSIPRSFSTDTNTTTTTATISHTQDASGSYSADSNKPFHGKVGRVPVGIGRRRMRIVVTGGAGFVGSHLVDKLIGRGDEVIVIDNFFTGRKENVVHLFGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGERSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPMTVYGDGKQTRSFQYVSDLVDGLVALMEGEHVGPFNLGNPGEFTMLELAEVVKETIDPSATIEYKPNTADDPHMRKPDISKAKELLNWEPKISLREGLPLMVSDFRNRILNEDEGKGA >EOY07633 pep chromosome:Theobroma_cacao_20110822:5:2251248:2254403:-1 gene:TCM_022018 transcript:EOY07633 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronic acid decarboxylase 1 isoform 2 MKQLHKQSSVNHRRDEEIPSNQTPPYSPKSLKQPRSLPRSINYLFKEQRLLFILVGILIGSTFFILQPTLSRLGPTEVRSSIPRSFSTDTNTTTTTATISHTQDASGSYSADSNKPFHGKVGRVPVGIGRRRMRIVVTGGAGFVGSHLVDKLIGRGDEVIVIDNFFTGRKENVVHLFGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGERSCYDEGKRTAETLTMDYHRGAGIWYGWLLSYLGFLCCHFFSRIFKYKVT >EOY07134 pep chromosome:Theobroma_cacao_20110822:5:562107:566229:-1 gene:TCM_021647 transcript:EOY07134 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase GSO1, putative MRISLVSWLFFISFVAIFFSINAVSVSGQCQSDQQELLLGLKNGLNSTLSVKLVKWNQSTDCCSWDGVSCDAGGRVIALNLSNESISGVIDNSSSLFSLHNLQSLNLAYNSFNSTIPSTFDELANLSYLNLSNAGFKGQIPVAISRMTRLVTLDLSTLNFPGDVQLKLENPNLRMLVQNLSKLEELHLDGVNISAQGKEWCQPISASLSKLQVLSMSNCYLSGPIEPHLQNLKNLSVIHLDKNNLSATVPTFLAKLSNLTSLRLSSCGLHGIFPKEILQVRTLQSLDIHENEKLQGSLQEFPYNGSLRILLLSGTNFSGSLPQSIGNLVNLTRLDLSNCNFSGAILYSFPNLQQLVYLDLSFNSFTGQIPPFNMSKNLASIDLSHNKLTGEIQSYDWEGLQNLTYIDLSHNALHGNIPSYLFALPLLKTVMLSNNQFDGTVLNFPNVRQSLLDILDLSGNQLQGPIPMSVFELRGLHVLSLSSNKFNGTIWLGDIQKLVNLTHLDLSHNKLSVDATGSYSTFSSFPKFSRLELASCRLKVFPDLKNQSRLTYLDLSDNQISGEVPNWIWNVADGFLQHLNLSFNRLVGLQKPYQMPLLNVLDLHSNNLSGNIPTLPTSASYLDYSRNNFTSTLPPNIGSNLSYTIFFSLSSNGLTGFIPDSICDAVYLQVLDLSNNNLSGRIPNCLIAREVSLGVLNLGGNSLDGNIPDAFPSHCSIQTLNVNSNELQGKIPRSLVRCKELEVLDLGNNHINDSYPCRLNNISSLRVLVLRSNEFHGEIGCPVNTGTGSKLQIIDIAHNSFNGRLPEKLLTTWEAMMVDEDEAQLNVKRLQFEFLQGSGLYYLDGVTVTIKGLTVDLVKILTLFTSIDFSCNKFEGPIPDVIGEFKALYFLNLSQNALTGAIPPSLGKLHQLESLDLSSNHLIGQIPPQLANLNFLSFLNVSNNKLVGGIPTGTQLQSFPNASFEKNAGLCGPPLEVQCQSPAAIEDSPSNSWTGSHIDWNFISIETGFFFGLGIVIAPLIFWKRWRIWYYKHIDRALFRLLPRLVLKNKNHGRRAHRSQRRRL >EOY09647 pep chromosome:Theobroma_cacao_20110822:5:30335284:30337938:-1 gene:TCM_025044 transcript:EOY09647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein, putative MRVMVGIDESDESFHALRWTLDKLFDGMSTRTAPPEEANQEEAANLLTLLHVQKAFHNYGIPVGAGASAFYVPYSIEESVRKSQNQISKELLFHASQMCKDKSIKVKSLILEGDPKEKICQVIEQMQVDLLVVGSRKLGKIKRALLGSVSDYCAHNANCPVLIVKPPQEASK >EOY07513 pep chromosome:Theobroma_cacao_20110822:5:1792037:1792750:1 gene:TCM_021926 transcript:EOY07513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELILKRLYQIMCAYSDKRVLEYGQGSVVFWVGWQWTQKPMKMEEFKWERMQRRRGDPHGRRNVKQVSPVCDGGALSPFDKDAPTWLCPDFVSAPCTFAPPSLDTFYLSLCHGRVQLILIINLCYDNKLVKCLIYNFYLCQNFHFRIQLKFIIGSVSASAS >EOY07268 pep chromosome:Theobroma_cacao_20110822:5:981800:989889:-1 gene:TCM_021731 transcript:EOY07268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative MILEILCGQSAVLFSQMNFSDVLFPLLLLANQLGIMRIERNSSDDRGCKAAAGNMFSKLGCVVTNWIRYHIYFSRGALFISGLVFSHGLAEHAVMCILTSYFMENWKKTDLRQAAAVVNVEEGASTIMAIIVSYISDAYFCRFKVIVHTTGLCIIGLLLLGVSTWISPEVDVRLLYPVVILLAVGKAGRDHPLKAFIVDQLTDSKPNQAIDKEQVEARKNFWWRVAWSLGLVASFCLSTSSLRCSFFTSALVMAVAYLWFLSGINFYCYKKPEGSPLTVVYKVFKAAVLKRHLAYPTSANGYFKNDRDQLLLWPIVPFFRWLDKASIVESSSCQLKLEEQEITGRLCSVVQVKQVKCLLTLVPIWTTFAVYGLVEATGRTFFVAQANDMDDNIGSLSVVCLFLTLKSFVSFLVSFLFQLLIPKWLSGAKRQCIILVRIGLGMVFSIFSCIAAWQVEVHRMNLIKEEKFSDQYTRLTITITIFWLAPQFTLLGLMGGFAEDGIRDLIYNYHVADQSKKLHDSSFRDCTLAIGNFLNILFAFIFRAWFRDNINNSRLDKYFLMLAMLTIGNLCLYFLAALVWYRKFWPEEETQQEKVKLNLDVTLEDALTDSVTDNSLSNNFFYCTIINRLI >EOY09849 pep chromosome:Theobroma_cacao_20110822:5:31317091:31318081:1 gene:TCM_025222 transcript:EOY09849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycoprotein family MTEQQKIHPVVDVEAPAPTVPLVPPGSATSEKGSPVQHRLPQRTIPVIHTRPPKKRSCCCKCICWTISLIVLLLIILGATVGILYLAFRPKLPKYSIDSLRISDLRLNFDMTLYAKFDVKITANNPNKKIGIYYEQGGRLSVWYTNSKLCQGSLPKFYQGHQNITKLDVVLTGQTEAGSTLMSALQEQQQTGQIPLDLKVDAPVAIKLGKLKMRKVRILGDCKLVVDSLSANNIISIKASNCKFRLTL >EOY09235 pep chromosome:Theobroma_cacao_20110822:5:27366656:27374138:1 gene:TCM_024638 transcript:EOY09235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-chelatase subunit chl isoform 2 MASLVSSPFTLPSSKPDQISSLSQKHFFLHSFLPKKTNNQPNSKSSLKVKCAVTGNGLFTQTTPEVRRIVPEKKDNLPTVKIVYVVLEAQYQSSLSNAVQSLNQTSNFALFEVVGYLVEELRDESTYKTFCKDLEDANIFIGSLIFVEELALKVKAAVEKERDRLDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFKLFKRKKQGAGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMISGSYVPALKGTKIDYSDPVLFLDSGIWHPIAPSMYDDVKEYLNWYGTRRDVNEKLRGPNAPVIGLVLQRSHIVTGDESHYVAVIMELEARGAKVIPIFAGGLDFSGPVERFLIDPVTKKPMVNSVVSLTGFALVGGPARQDHPRAVEALMKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKSHALHKRVEQLCTRAIKWAELKRKSKTQKKLAITVFSFPPDKGNVGTAAYLNVFASIYSVLKDLEKDGYNVEGLPETAEALIEDVIHDKEAQFNSPNLNVAYKMSVREYQGLTPYATALEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFVEKIFKADAVLHFGTHGSLEFMPGKQVGMSDVCYPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDSGRGQQIVNSIISTAKQCNLDKDVQLPDEGEEISAKERDLVVGKVYSKIMEIESRLLPCGLHVIGEPPSAMEAVATLVNIAALDRPEDAIISLPAILAGSVGRNIEDVYRGSDKGILKDVELLRQITEASRGAISAFVERTTNKKGQVVDVADKLSSILGFGINEPWIQYLSSTKFYRADRENLRVLFEFLGECLKLVVADNELGSLKQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTAAAMQSAKIVVDRLIERQKVDNGGKYPETIALVLWGTDNIKTYGESLGQVLWMIGVRPVADTFGRVNRVEAVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDETVEQNYVRKHAFEQAQALGIEVREAATRVFSNASGSYSSNVNLAVENSSWNDEKQLQDMYLSRKSFAFDCDAPGAGMTEKRKVFEMALSTADATFQNLDSSEISLTDVSHYFDSDPTNLVQNLRKDGKKPSAYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMSSGYEGAREIEKRLTNTVGWSATSGQVDNWVYEEANSTFIQDENMLNRLMSTNPNSFRKLVQTFLEANGRGYWETSEDNIERLRQLYSEVEDKIEGIDR >EOY09236 pep chromosome:Theobroma_cacao_20110822:5:27367681:27373162:1 gene:TCM_024638 transcript:EOY09236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-chelatase subunit chl isoform 2 MASLVSSPFTLPSSKPDQISSLSQKHFFLHSFLPKKTNNQPNSKSSLKVKCAVTGNGLFTQTTPEVRRIVPEKKDNLPTVKIVYVVLEAQYQSSLSNAVQSLNQTSNFALFEVVGYLVEELRDESTYKTFCKDLEDANIFIGSLIFVEELALKVKAAVEKERDRLDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFKLFKRKKQGAGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMISGSYVPALKGTKIDYSDPVLFLDSGIWHPIAPSMYDDVKEYLNWYGTRRDVNEKLRGPNAPVIGLVLQRSHIVTGDESHYVAVIMELEARGAKVIPIFAGGLDFSGPVERFLIDPVTKKPMVNSVVSLTGFALVGGPARQDHPRAVEALMKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKSHALHKRVEQLCTRAIKWAELKRKSKTQKKLAITVFSFPPDKGNVGTAAYLNVFASIYSVLKDLEKDGYNVEGLPETAEALIEDVIHDKEAQFNSPNLNVAYKMSVREYQGLTPYATALEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFVEKIFKADAVLHFGTHGSLEFMPGKQVGMSDVCYPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDSGRGQQIVNSIISTAKQCNLDKDVQLPDEGEEISAKERDLVVGKVYSKIMEIESRLLPCGLHVIGEPPSAMEAVATLVNIAALDRPEDAIISLPAILAGSVGRNIEDVYRGSDKGILKDVELLRQITEASRGAISAFVERTTNKKGQVVDVADKLSSILGFGINEPWIQYLSSTKFYRADRENLRVLFEFLGECLKLVVADNELGSLKQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTAAAMQSAKIVVDRLIERQKVDNGGKYPETIALVLWGTDNIKTYGWKQLVLKSLAGPGLMLLLIALEFSEIYS >EOY11316 pep chromosome:Theobroma_cacao_20110822:5:38935943:38943796:-1 gene:TCM_026543 transcript:EOY11316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase 1 MILGGVLNELTGKKKSQTIKGTVVLMKKNVLDFNAIGSSAVDNVLELVGQQVTLQLVSAQNADPANENAGKLGKLAALESWNLTFTPPIAGETQYKVSFEWDEQFGAPGAIIIRNNHAAEFYLKTITLEDVPGQGRTHFVCNSWIYPDRQYKKPRVFFANKTYLPHETPAPLRKYREEELKVLRGDGKGQLKSGDRVYDYAVYNDLGNPDLSSKLVRPILGGSAEYPYPRRGRTGRPPSRSDPNTESRVYIPGLFSTYVPRDEQFGHLKLTDFIAYNLKALVTNVIPAFEAFVNFTPNEFDSFKEVDNLYFNGIQLPTEALNKLTSNIPLPMIKEMFRMDGQQLLKFPVPQAIEDRNKPTAWRTDEEFAREMLAGVNPLLIQLLKEFPPTSKLDPQLYGNQNSSITKKDIEYNLDGLSVEEALRSNKLFILDHHDTVIPYLRGINNTSAKTYASRTLLLLRGDNTLKPVAIELSLPHPTGDKFGVVSKVYTPVAHGVEGSIWQFAKAFVAINDCTHHQLISHWLNTHAVLEPFVIATNRQLSVVHPIYKLLHPHFRDTMTINALARELLVNAGGVIETTFCPGKYSMEMSSEIYKSWNFLEQALPNDLKKRGIAVDDANSLHGLRLLIEDYPYAVDGLKIWFAIEKWELREVGHGDKKDEPWWPKMQTREELIESCTIIIWIASALHAAVNFGQFAYAGFSPNRPTLSRRLMPEKGTPEYAELEKNPEKVFFRTITSQLQTFIGMSVVEVLSKHASDEVYLGQRASPNWTADAIPLAAFEAFHKRLAEIEEEIIRMNKDKKLKNRVGPVNVPYTLLYPTGEVGICGKGIPNSISI >EOY11654 pep chromosome:Theobroma_cacao_20110822:5:39961031:39962592:1 gene:TCM_026765 transcript:EOY11654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotianamine synthase 3 MVCEKDPLVQKVCELYEQISGLESLKPSRDVNMLFTQLVVTCMPPSPIDVTKLCKRIQEIRSKLIRLCGEAEGLLESHFSTILGSYENPPHHLNIFPYYSNYLKLSLLEFNILTKHCSNLPSKVAFVGSGPLPLTSIVLASFHLTTTSFHNYDIDPSANSKALQLVSSNPDLSERMFFHTTDIMDVTNALKDYDVVFLAALVGMDKDEKVRVIDHLAKYMAPGAVLMLRSAHGARAFLYPVVDPCDLRGFEVLSIFHPTDEVINSVVIARKFPMTKHSADQQQPMKLPNKFFDIEMFNPLNHVNLMEELDIQEQLS >EOY10682 pep chromosome:Theobroma_cacao_20110822:5:35857185:35859534:-1 gene:TCM_025984 transcript:EOY10682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESSWPSSSYDGIYQVTQHMASTQQSEGDCLSKDHFSSLPDRVHLDLKQNDFTDLLNIWDKWGATTRANFDRKYGHIARLLKVQVDEQLLKAIVQFWDPSYRCFVFNKVDMVPTIEEYSALLQIDLDNPDKIYWRGQKTGHRRKLAKMMGITSAEVDQNLRKKGDNECIPWSFLRSYIMKQRDTEQGQLVMALAIYGLVIFPKVLGHIEVGIIDFFEQVVNKANPSPSILAETLRSLNYCRRKGEGRFVGCAQLLSIWIVSHFECKVDKFRKPFHPQTAPIREFCESEWPENRTKEQWISRFRELMSVEVTWRAPWMPHHPILYKCGNEPWVTLMGPWGAISYAPIMVRRQFGSEQFVPMTHRLNTLEFAYGESGFLKRIEEIAQAWKKTSRVDQGRYTDEVTTGYQIWHDQRVKDVVYPKEDALRGPVDPEPRDALLESELARKKSEAENASWKQRYEDLQKECEKMKREVSEQRKKVRKMEGKYENLNDKFSATTSELQREIQVRENQGNELQTHNDGLRRQVRFQQESIQLLRQEYEELEGVMTTYQQEYERLKQQSTKIQEWGESYRQAYTEKYNQMDYLVWQMREVAYKARSMAWKTNILRSQIFPVGKQEQQLIKYLDEVYSHYNKIGEYF >EOY07282 pep chromosome:Theobroma_cacao_20110822:5:1050246:1050921:-1 gene:TCM_021751 transcript:EOY07282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEEREMKAFPLQKTNNFLALLFHLAPFFCCSLLLVAGAVTKLLLLLLLQQPSWKRPGAHHFACTMHI >EOY08823 pep chromosome:Theobroma_cacao_20110822:5:21838271:21843003:1 gene:TCM_024059 transcript:EOY08823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLAFLVELAMVAKRFRKLMGQRDRRLAKKGYKREQSSSWKNISKNDSNKKEDIICYECKKPGHFRSECPMLKEETPKKNKKSKKAMVVATWSDSDVLSSDVEEEKVERRANLCLMARDDESGYLLLLVIFQLMKFKNNMNASMMNLKSWHQNIRL >EOY10646 pep chromosome:Theobroma_cacao_20110822:5:35685606:35692769:1 gene:TCM_025955 transcript:EOY10646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein isoform 1 MAESFLFNIAERVLEKIALLAVEEVRLLFNVENDLEELQDTMTHIKAVLLDAERQQHQNEALRLSIWKLRDLFDDAEDVIDEIECEALRKRVVNYPSTSIKVRCLPSCFVPLAFSSKMGHKIKEINKRIDTIATEWDRFKLVTHQVDNRRVIHRETYSFVNSSDVIGRDEDREKIINLLKEPSDESGNIPVIPIVGIGGLGKTTLAQFVYNDERVIKLFSLRIWVCVSEEFDLRRLLKEMICSVSKKNCDDSQIDILQTQLRSLLNENNFLLVLDDVWNEDRAKWIQFKNLLMSMGNLSRSKIIVTTRSLKVASIMSSCDSYVLKGLFYEDCLTLFTKWAFNDGDERRYPNLMRIGKKIVEKCKGVPLAVRTLGSLLFSKTDEREWILLRDNEIWRLEQSENDILPVLKLSYHYLPSHLQRCLTYLSLFPKDYLYDTDYIIQFWMAYGLLASSNQNEEWEDIGITYFKELWLRCFVQDVTDHGSFYRFKMHDLIHDLTLNLSQRECLTVNRQQMKVIEKVRHLSFSLDSPLGVPQSLKKLKRVRTIVVPPLPFSTENRSIDESFVNACILNFKYLRLLDLSYTLLEELPESIGTLKHLRYLDLSLCRRMRKIPSSICKLQSLLTLRLFGVPLIEVPESLQSLISLRFLEITTDALLLRVIQPGCWSSLQFLFLHKCDRLESIFDGMQHLTSLRRLGIRGCVRLISLPRSLKFLTKLEEINIKSCQKINLCMEVEEAEDQDLHLSLKAFSVLWSDALTDLPRLLLKGSANTLQSIQIIGCKNFEVLPEWLQNLTSLQKLEISYCRKLSSLPEGMDRLTALRLLKIKGCPTLSERCRRDGGADWPKISHVQEVEVDL >EOY10647 pep chromosome:Theobroma_cacao_20110822:5:35686556:35689737:1 gene:TCM_025955 transcript:EOY10647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein isoform 1 MSMGNLSRSKIIVTTRSLKVASIMSSCDSYVLKGLFYEDCLTLFTKWAFNDGDERRYPNLMRIGKKIVEKCKGVPLAVRTLGSLLFSKTDEREWILLRDNEIWRLEQSENDILPVLKLSYHYLPSHLQRCLTYLSLFPKDYLYDTDYIIQFWMAYGLLASSNQNEEWEDIGITYFKELWLRCFVQDVTDHGSFYRFKMHDLIHDLTLNLSQRECLTVNRQQMKVIEKVRHLSFSLDSPLGVPQSLKKLKRVRTIVVPPLPFSTENRSIDESFVNACILNFKYLRLLDLSYTLLEELPESIGTLKHLRYLDLSLCRRMRKIPSSICKLQSLLTLRLFGVPLIEVPESLQSLISLRFLEITTDALLLRVIQPGCWSSLQFLFLHKCDRLESIFDGMQHLTSLRRLGIRGCVRLISLPRSLKFLTKLEEINIKSCQKINLCMEVEEAEDQDLHLSLKAFSVLWSDALTDLPRLLLKGSANTLQSIQIIGCKNFEVLPEWLQNLTSLQKLEISYCRKLSSLPEGMDRLTALRLLKIKGCPTLSERCRRDGGADWPKISHVQEVEVDL >EOY11371 pep chromosome:Theobroma_cacao_20110822:5:39120537:39121551:1 gene:TCM_026578 transcript:EOY11371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQKTLQFKPQFLHLENNLIFNPFLETTLSLQSFNCSSKSPIFTPSGLFSHKTQIQKPIICARKSRRRYGSERSTKFVLELISILASNLKILPQPLDLVVESLVGADGGGLEFLNGFKGGSFNGWRRRTRRTNWKKILGFLGFLGFCVLCLLFGKELRSELLFGGLGFGFFLIALIKEWRRGLKDWIFGFCCVAVLVGLGLRGNEAMKWIKQTKVSSSSPMVENVRRGKRGGRWAL >EOY11787 pep chromosome:Theobroma_cacao_20110822:5:40315307:40320864:-1 gene:TCM_026854 transcript:EOY11787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein MRSIKLQFLIQALAFSLSRFGEQRKAKMKLFCVGVFAAFVFCKVVAGFLDFNETELSFIEAYEYGVSKLNYNPLMVGLTLIPSAGAQGAVCLDGTLPGYHWHRGYGSGANSWLIQLEGGGWCNNIRTCVYRKKTRRGSSTYMEKQIPFTGILSEKAEENPDFFNWNRVKLRYCDGASFTGDSENKAAQLQFRGQRIWLAAMEDLMSKGMRYAKQALLSGCSAGGLAAILHCDEFRNLFPRTTKVKCLSDAGLFLDAIDVSGGHTLRSLYSGVVGLQGVQHNLPRICTNHLDPTSCFFPQNLISHIQTPLFILNAAYDSWQIQSSIAPPSADPHGYWHDCRLNHAKCSASQIRFLQGFRTQMLNAIKGFSMSRQNGLFINSCFAHCQTERQDTWFADDSPEIRNKPIAIAVGDWYFDRAGVRSIDCPYPCDKTCHNLVFR >EOY07239 pep chromosome:Theobroma_cacao_20110822:5:900239:901069:-1 gene:TCM_021712 transcript:EOY07239 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein MSKLTPNKANGLDMENHSWGQNLQEVTVSIPVSQGTRSRDVICDIKKKYLKIELKGQAPILDGELFGTVKPDECYWSLEDQSMISVFLTKCDKSNWWKSLLKGGPEIDTQKAEPEPSKLSDLDFETRSAVEKMMFDQRQKQLGLPTSQEIENQEMLKKFMAQNPNFDFSNAKMM >EOY09807 pep chromosome:Theobroma_cacao_20110822:5:31128523:31130281:-1 gene:TCM_025180 transcript:EOY09807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYSLGVVFDPSDRDIVSHYLPMLISGESMSSLGDLQYVIGFEDIYSTKPSVFFDVNNGNGLPFLKSNQRFIFTHRQRISKKNANGKRPRRILESHHYDEKLGVGDSGGYWRSSTAEKPILDEQRKEIGFVRTLNFFEFEDAKKSRKDATKTRWLMHEYRLPGDTFQEWVICKIKDTSRSPHDDYSDSIWEKELFGKLLLPHSDENHDHQDEYQSQIQSSTVFNDGNLPSFEVDQLLDDDPFKEVDQLLEINDDNQIQTQSSTVFNNGNLPRYEVDQLLYAHEKEVSKDDDPFKEVDQLLEINDDDQIADYPFKEMEQLLGMNDNDPIADVDEALATMNSYYLQDLLG >EOY09868 pep chromosome:Theobroma_cacao_20110822:5:31388016:31392174:-1 gene:TCM_025236 transcript:EOY09868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein isoform 1 MDKQKVTVTGYIDERKVLKVVRRTGRKAELWPFPYDSEYYPYASRYLDESTYASSYNYYRHGYNESVHGYFPDQAYSTVPDQTVHLFSDDNVHAYCNVM >EOY09867 pep chromosome:Theobroma_cacao_20110822:5:31387998:31388941:-1 gene:TCM_025236 transcript:EOY09867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein isoform 1 MFGWLYGKTRFSNAMSIVELLVHMDCEGCEKRIRRAISKIDGVDSLEIDMDKQKVTVTGYIDERKVLKVVRRTGRKAELWPFPYDSEYYPYASRYLDESTYASSYNYYRHGYNESVHGYFPDQAYSTVPDQTVHLFSDDNVHAYCNVM >EOY08588 pep chromosome:Theobroma_cacao_20110822:5:12879937:12885005:1 gene:TCM_023307 transcript:EOY08588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYQGVYWLVCHQFFSNQANICYQKTYLLVTNSFSRITQAKNKNPYLSLPESPKPSFSSFLYFSFSFLSFLSPLFLSFFPFSFISFFSPFFQLISFIFFPRVWFSLQNKAFFFQMHGGKVKWCGSMKMALGGWKMKKKISFGWR >EOY08282 pep chromosome:Theobroma_cacao_20110822:5:6070546:6073153:1 gene:TCM_022619 transcript:EOY08282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MTSIPWNTQLRELAKQCQYLQALTLYRQMLRCGSTPNAFSFPFALKSSVSLSLPFSGQQLHCHVIKSGCCPEPFVLTSLISMYCKFNSVENARKVFDENPISNQLTVCYNALLSGYALTFRVFEGFSLFCKMREMGVSVNSVTILGLIPMFSEPGYFSAGMSFHCCCVKLGLNLDFSVSNCLLTMYVKCGAIEFGRKLFDEMPKKGLITWNAMISGYAQNGLASNVLELYKKMKACRNCADAVTFVGVLSSCAHLGAISVGREVEQRIEFSGLSLNPFLNNALINMYARCGNLVKARAIFDGMLVKSVVSWTAIIGGYGMHGYGEIAVELFDEMIKGGIRPDGAAFVSVLCACSHAGLTEKGLDYFVEMETKHRLQPGPEHYSCVVDLLGRAGRLYDALELIKSMQVKPDGAVWGALLGACKIHHNVEIAELAFEQVIELEPTNIGYYVLLSNIYAEAENLEGVLKVRVMMRERNLKKDPGCSHLEYKGRVHLFLCGDRSHPQSVEIYRMVDELETLVRKLVGCKNNQERRSKELFLGMGVHSEKLAIVFGLLNSEPGTEIVVIKNLRVCEDCHLFLKGVSKIVDRQLVVRDATRFHHFRDGLCSCKDYW >EOY07168 pep chromosome:Theobroma_cacao_20110822:5:703808:705212:1 gene:TCM_021673 transcript:EOY07168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Response to aba and salt 1, putative MTPGGSSTSTNHNAGSFDAFFQVWLERQEQYLDELLSVQQRSHEAREDDLKDLITRVLSHHQQYYEEKSRVAHRNIFLVFSPTWLSSFERASLWIAGFKPGFALKLVSNSVQDLSQEQSERIKRLMAETRVEERVLNDELARVQESIAAPPLLEIARKRARLMNAEIAGEQAALASLRKAVEEVVAAADLLRMTTAMRVVEILNPVQNVNFLTAATQLLVKLRNWGLQKDGERKE >EOY10938 pep chromosome:Theobroma_cacao_20110822:5:37102456:37106769:1 gene:TCM_026203 transcript:EOY10938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCVCVFTPDDVYWLSFVVVTELCDAAEFLWLSKEVFVGGDYYAIVLNSKWHGAVEASIWPMEPPQRRTTAAVEEDGCKLAEREEKTGKREGKTHS >EOY08556 pep chromosome:Theobroma_cacao_20110822:5:10620727:10626743:1 gene:TCM_023117 transcript:EOY08556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein, putative MFRATPTAEQVESPPYPPPYPPLTGIPSMPPEVVQTLAAFFIAMAGQAQTGQVPPIVPPTTPTCTQKAHLKRYPTRLYDRGCVSFTGELDPTVAKNWINQVLKTLSDMRLEDDMKLMVATRLLEKRARTGWNSGNLTVEEYEARFNELMLYVPDLVKSKQDQASYFEEGLRNEIRERMTVIGREPHKEVVQMALRAEKLANENRRMRAEIAKRRNLSGSSSQQPKRGKDLMASGSITSALITSSRPLVSQTQQRPLRFSRSEMTTSEKSFEGFDRCRHCEKYHVGLCRKLVRCFHCDQLGHYRSDCPQLGRAIVAVPSPSARTNIQRKDSTEVQPR >EOY09251 pep chromosome:Theobroma_cacao_20110822:5:27579083:27581059:1 gene:TCM_024659 transcript:EOY09251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVSTPPTSKKEGIFGQGWIMNMSSRLETKSEVIVWMANKVLSVGSSSRGKMDSRLSSLRFSFVFHSIS >EOY10342 pep chromosome:Theobroma_cacao_20110822:5:34276645:34276974:1 gene:TCM_025716 transcript:EOY10342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKTLVKMARCLLIGKGLPTRFWAEALNTAVNLLNYLPTKALESKSAFKA >EOY07101 pep chromosome:Theobroma_cacao_20110822:5:432525:435962:-1 gene:TCM_021612 transcript:EOY07101 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA pseudouridine synthase B MASINFNPFESWFRKPQNPIQPVNLPSLADSFLPKTSSNSPNFASINASSLFKKKPKKPDEDSDKPGPYKQMLDQFFWECENLPDYRHTPEVENILNEDPLFEKKENPTEEEVKENEKWWQDFRSSPVVQFLARAEEIADEINRMELKENDEPYRSEDKKLWQALPHVIGLDGRPMPRKAIKTRKESDDKFWDFAKQFFFGLWGFRQRPYPPGRPIDVAQAIGYKRLEKRYYDYIMRSGGWYYKDRLGRTRGPCELITLKTAWGAGIIDKHTFIWGEDMDEWAPIHMVYGLEPAIATWEVRLGAAATAFLHKLQKGIPPWVPLKGHEKKTYKQLQEEAIESKRRDLAVLKANDGIWPGVRIPSHTLFLWASGSEMTTLLESDHMPNKYISKDLRRKLAKAIPGLRPWEVLSVEQAMDEITYGGEWYREPLGSYTTGPPYIRHWNKDVKRIFRIFYNLSSQVYNKLERTIPGFNAIMEKVQADAAARDDRRKAKREAQKKAEEAAIYGSTENDP >EOY09376 pep chromosome:Theobroma_cacao_20110822:5:28831164:28837297:1 gene:TCM_024811 transcript:EOY09376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGMAPSNLLVSTEKKSGSEKGASSRRDNCGRIDTMPSMQRGNRVSQIICSSYLLRHGGYGACGVRIRGCDGSLQKTLAAFSGDGGIEMSQRKGVMHGGRLSMPLFGFIWLHQNEMHKMEIFTCLIIESDSTNAKPTSTSWRLRSHTWNIEYMGNKIGEWRIRHTLRKANYMAYKLAKEGVQRVENILVVVEHNGWAAFCRIWWRNGLQHQQPAVTRSTSLRRCSLSFLAVSCLSPDLVVRWSTAPTIDGHSIFLPSPTFSLLPCCGLPFVGSSGAMVGSTNNRRSLGLSPFVGVLSPSFSTAARLVSPLSSLGRSSFSPYLPPLGPVSKIPSPATESFFFGIGFPPDLSLSLPSPTGAGSFFVPVANPSPNSLSL >EOY08296 pep chromosome:Theobroma_cacao_20110822:5:6179586:6180698:1 gene:TCM_022634 transcript:EOY08296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNWVNRKESVVYFQAWNGFASSLHNRDVWRMAFYAIVWPLWLTRNDLVFKGKKWDGCQIFDLVKVRMAWWVHARWPQSNVSVNELVRTSNAGVVSRKVGKKRNTEAWKKPQNGWLKFNIDKASEGNLRQSSIRGILEIATWVSNPNEIPWRMKKEIIQIHQLLAKVSEWKISHTLRSTNEEADLLAKGGCTTIEFIVG >EOY09934 pep chromosome:Theobroma_cacao_20110822:5:31775395:31778141:-1 gene:TCM_025302 transcript:EOY09934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein phosphatase MVKHFAQLHHLSILDCKIMEEVILMEGLTEEERMSKMLFPTLDYLMLKDLPKLRRFCYETDNEFPLLRTLNLINCPILKTFTSNSVIDEVGDEPQIDQNAQGNNSALFNEKVVFPGLKTLTIKAMRSCRRIWQDQLTVNSFCKLNNIWVEGCGALLNTFPFNMMERLEELNKLQIVNCDSLEEIFEPQALIANQSHAITATQSIVVETETKFVFPKMTYLRLDKLPKLKSFYSKTHVTEWPSLKKMEVIECHKVEIFASKYPYFEETDAASQVEISNQQPLFQVNEITFPILEELSLKQDDIVKGTWHGQVLSTKCFRKLKVLQLISIPEKSTALPYCFIQSLPNLEKLVLSDVSFCQIFWSEELSDEERHASSLTRLSELRLSKLPELTNLWKEGFQPIPAFCNLRILQVLECDKLKTLVPSLVSFKNLKNLEVSRCYGFINLITCSTAKSLMVLERMSITDCEMIEEIIACGGDEMQGGIVFTRLKYLQLSCLPSLASFCLGDHNFEFPVLQKVIVRECPKMKIFCQGDLSTPKLKQVQLTEDEEKVRWENDLKTTVKQMFEEMNVQNSEVAEVIV >EOY08295 pep chromosome:Theobroma_cacao_20110822:5:6158606:6169702:-1 gene:TCM_022632 transcript:EOY08295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSQSLNSQTLYPKGRAVGGTEHSWCRAVLGGTGIAVLAVLTSKHPDVSRLRNALHKLQNSHPILRSRLHYDPSASTFSFVTSPSPFVQVKSINHFTTCSILENLYNQENQNISPLQLILEHELNQNAWTSTCHHPNNPSFCTKNDVFFASIYALPGARWVLVLRLHASACDRTTAVSLLRELLTLMGTEEEETGSQGQKEMMNKGEVSLAIEDLIPKGKAKKTLWARGVDMLGYSVNSLRLTNLKFKDAKSPRSSQVVRLLISPDDTERILAGCKARGIKLCGALGAAGLIAAHTSNCRSDHQRKKYGIVTLTDCRSILEPPLSNHHFGFYHSAILNTHVIKGVEKLWELAKKMYTAFTNYKSCNRHFSDMADLNFLMGRAMENPGLTSSSSLRTSLISVFEDTVVDESNDQQKQVGLEDYMGCGSAHGIAPSIAIFDTIRDGQLDCVCIYPSPLHSREQMQELVDNMKCILVDAGKNANAHES >EOY07580 pep chromosome:Theobroma_cacao_20110822:5:2035419:2035871:-1 gene:TCM_021976 transcript:EOY07580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESRQSEITKDHVPSSPRPSKQIRHVVSRSNSKLNLPLLKFIGQGKQYAAHDFSTSTELYHDIRSTLRQ >EOY10349 pep chromosome:Theobroma_cacao_20110822:5:34321020:34322446:1 gene:TCM_025726 transcript:EOY10349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRFLNTSGLPAGGSKPNAVSCTSGSKLFLCFCFFSLTVLRFCFFSMPVVCFLQSPVSSCFICFLIKYFSFSSSTEELLLPVPKQRFVPFCSMIIFFSCTGSSTKRFVKLSDTISVSLLSHWFSLLVTALIAFINFCHESPSSTFSKFFNVNIFDFPNFSRFNKETRSSFAVRCGILCKSLILRDCGLQRGSELSGLERMAPLQIASDFPQMPRPQG >EOY07848 pep chromosome:Theobroma_cacao_20110822:5:2984190:2987134:-1 gene:TCM_022172 transcript:EOY07848 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MSATSASSLILPCLNPKTLSLSNPRPISLFLFSLSHKLHAKPLFCSALQSFELLTKKSSSSRFVRNVAISSEYGQEEDLFVWTVPSSLVVESAGNVEMVEVIYDKVTGRSRGFGFVTMSTAEEVEAAAQQFNGYELEGRALRVNSGPPPPRREEFSPRGSRGAPALGASNRVYVGNLSWGVDDLALETLFSEQGKVVEAKVVYDRESGRSRGFGFVTYNSAEEVDSAIKSLNGVDLDGRPIRVSVAESRPRRQF >EOY07849 pep chromosome:Theobroma_cacao_20110822:5:2984265:2987027:-1 gene:TCM_022172 transcript:EOY07849 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MVEVIYDKVTGRSRGFGFVTMSTAEEVEAAAQQFNGYELEGRALRVNSGPPPPRREEFSPRGSRGAPALGASNRVYVGNLSWGVDDLALETLFSEQGKVVEAKVVYDRESGRSRGFGFVTYNSAEEVDSAIKSLNGVDLDGRPIRVSVAESRPRRQF >EOY07550 pep chromosome:Theobroma_cacao_20110822:5:1945005:1950179:-1 gene:TCM_021957 transcript:EOY07550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein MKRTQFPYSPDRSWLLLVLVISIISLTFLLALTFTQSESSSSETDFSFHQPKFTFSERDYRRLPKLPRFAYLISGTKGDGPRVKRLLQSVYHPRNYYVLHLDLEASDSERLELAKYVKSEGVFREFGNVMVIGKADLVTYKGPTMIASTLHAVAILLKKAKEWDWFLNLSASDYPLMSQDDIVHIFSYLPRDLNFLEHTSSIGWKEYQRARPIIIDPGLYHSKKSGVFWAKEKRSLPASFKLFMGSEWVVLTKSFLEFCVWGWDNLPRTLLMYYTNFLSSPEGYFHTVICNNKDYQNTTVNHDLHYIRWDNPPKQHPMTLTLEHFDDMVQSGAPFARKFAKDDPVLNKIDKELLRRSYGQLTPGGWCVGGSYSGKDPCVVYGNPNAVKPSVSSKRLEKLLVKLLDSESFRSKQCK >EOY09055 pep chromosome:Theobroma_cacao_20110822:5:25209135:25216161:1 gene:TCM_024404 transcript:EOY09055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase isoform 2 MEAAPIQSESFKLGFIGAGKMAESIARGVVQSGVLPPNRISTAINSNPNRGIAFQSIGVSLLPHNSDVVEDSDIVIFSVKPQVVKNVVLQLRPLLSKKKLLVSIAAGVKLKDLQEWAGHGRFIRVMPNTPSAVGEAASVMSMGGAATEEDGELVAKLFGSVGKIWRADEKLFDAITGLSGSGPAYIYLAIEALADGGVAAGLPRELALGLASQTVLGAASMAINSGKHPGQLKDEVTSPGGTTIAGIHELEKSGFRGTLMNAVVAATKRSQELSKS >EOY09056 pep chromosome:Theobroma_cacao_20110822:5:25209745:25215990:1 gene:TCM_024404 transcript:EOY09056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase isoform 2 HFLCETPSVKNVVLQLRPLLSKKKLLVSIAAGVKLKDLQEWAGHGRFIRVMPNTPSAVGEAASVMSMGGAATEEDGELVAKLFGSVGKIWRADEKLFDAITGLRYMFLKILSGSGPAYIYLAIEALADGGVAAGLPRELALGLASQTVLGAASMAINSGKHPGQLKDEVTSPGGTTIAGIHELEKSGFRGTLMNAVVAATKRSQELSKS >EOY10587 pep chromosome:Theobroma_cacao_20110822:5:35482127:35486180:-1 gene:TCM_046807 transcript:EOY10587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like E1, putative MNEGERGSKGYLPLFETVPARGCLPFQLFSASTFIGTCFIWVYRASYIPGVEGKTERWAWIGLFLAELWFSFYWSLTLVRRWNPVFRYTFKDRLSSRYNQEALPSVDIFVCTADPGIEPPIMVINTVLSVMAYDYPTEKISVYLSDDGSSDLTFYAMLEAARFSQIWLPFCRKLKVEPRSPEAFFSNAAEPPDDSVIAEEWLSIKKSYEDLKIGIETITKLGRIPADMRKEHKGFREWDFVSRKPLPTLVYVAREKRPQYHHNYKTGAMNALIRVSARISNAPIILNVDCDMYSNNSEAIKNAMCFFMDEEKGLEIAYVQYPQSFYNLSKNDLYSSSLRVVVELELPGFDANGGPSYIGTGCFHRRESLCGKKYSKDFNVEWRNVNKKVQESARVLEDTCKVLASCTYEQNTDWGNEMGLKYGCPLEDIITGLSRQCRGWRSIYYNPERKAFLGVVPTTLLQYLVQHKRWAEGDLQIFLSKYCPLIYGHGRIPLKLQLSYCPYLLWAVNCFATLYYVTVPSLCLLKGIPLFPKMSSPWVLSFTYVLFANCAYSLGEVIWCGGTIQEWLNDQRMWMFKRTSSYFFAFFDTILKLFGFSESAFVITEKVADEQTSQRYEQEVMEFGTPSLMFKILATLALLNLFSCAGGIKKVVTHYDHIKTLDQFGLQMLLCSLLVYLNLPVYQGLFFRKDSGKMPASVTYQSIIYALLACTVAMY >EOY08078 pep chromosome:Theobroma_cacao_20110822:5:4288323:4293891:-1 gene:TCM_022394 transcript:EOY08078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-A15 MGSSLSNLTEGLNGTAMGPGLGDIPESCVACVFTYLTPPEICNLARLNRAFRGAASSDSVWEKKLPSNYQDLLDLMPPERYQNLSKKDIFALLSRPIPFDDGNKEVWLDRVTGRVCMAISAKGMAITGIDDRRYWNWVSTEESRFHIVAYLQQIWWFEVDGVVKFPLPADIYTLSLRLHLGRFSKRLGRRVSSFEHTHGWDLRPVKFELSTADGQLASCEHCLDDNEQDYEYGNLKRGCWIEYKVGQFIVSDSEPATEVRFSMKQIDCTHSKGGLCVDSVFIIPTDLRERKRRGVLK >EOY07141 pep chromosome:Theobroma_cacao_20110822:5:612975:617649:-1 gene:TCM_021655 transcript:EOY07141 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein MEIRPPPLSFVILSTLLLLYAEAKTIDPYKVLGVEKNAGQREIQKAFHKLSLQYHPDKNKNQGAQEKFAEINNAYDILSDEEKRKNYDMYGDEKGAPGFGAGHPGDGGGYTYFTSGGPGQSGFTSGSGGWQHMGRQGSSRTFSFSFGGPGGSRSFGGGGGPSSFGFDLNDIFSGFFRGGMKDQGQFGGFGGSSRSQSQSRSSPKSIRAINSEVFRKEIADQGMTWLLLSYTPSLQGKQYYESIIEEVASLLQGAIKVGSINCETESTFCKDLGMHPGRTPRLLVYSYKGSEKGSLEEYKGDLIAKNIKTFCQEHLPRFSKRISLNHLDLSSSNVETYPRVMLFSTKKDTPVIWRVLSGLYHKRFIFYDAEVHDVSDPAVKKLGVDALPAIIGCLSNGEKQILKSGISVKDLKSAIQDLSTLLDSFEKNNKKVASSQTRKMQTDSTEGQLPLLMASNFEALCGEKTPLCIIGAFRSSRAREKLDSLLSKVSQKSLSRRQNQSVASGSRDSISYMLLDATKQPSFLSAFDKSGFKSSDNIVVAYKPRKRKFAAYMGDMTMEEVEMFIGSVLNGDVQFTRTRQKPVLK >EOY10056 pep chromosome:Theobroma_cacao_20110822:5:32734340:32735114:-1 gene:TCM_025441 transcript:EOY10056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSCRWKMLNNLNSTRHNDIACNFTKLRKANEAYFFTIVPQAYSTAEQMEGSTKSGYWKATGETEQIDHHNEIVGFKRIFVFYCGEAPTAKESSWMMNEFSVNPSLVQDNELDLRTNVTKFCIAYVKLGPIFAYRA >EOY10861 pep chromosome:Theobroma_cacao_20110822:5:36848259:36855665:-1 gene:TCM_026155 transcript:EOY10861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYFVIRDRSPNCTTSYIIVIKQYKHNVTREVRRCTHGVILVVVLGIVVLAPFLLGDQGDAITEAITELLSSVDLLLLPIILLLTIRFFSSDRGSFIPSISSTGEPDSIHLVSCSPFGVALFLVAPQTLGSSLPHTTFTSLVVMMTPIFDSASI >EOY11510 pep chromosome:Theobroma_cacao_20110822:5:39482387:39483899:-1 gene:TCM_026661 transcript:EOY11510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translationally-controlled tumor protein MLVYQDLLTADELLSDSFSHKEIENGMLWEVEGKWVIQGAVSVDTGANPSAEDGGEDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTFMKRYIKNLTPKLEPEKQELFKKNIEGATKYLLSKIKDFQFFVGESMHDDGSLVFAYYKEGATDPTFLYFAYGLKEVKC >EOY10387 pep chromosome:Theobroma_cacao_20110822:5:34435275:34436182:1 gene:TCM_025754 transcript:EOY10387 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA-box-binding protein MAADRVLQSSHVSCSGVVPAIENVVSSVDLGCSLDLKAIALRARNTEYNPERFAALMMRIRESRTTALIFSSGKMVCTGAETEQQSRLAARKYARIIQKLGFDVKFKDFQIQNIVASCDLPFSVNLTHLGNSHYESSVYEPELFPGLIYRMKQSKVMIKIFSSGKIVVAGTHDRKEIFKAFDNIYPILMSFRIDK >EOY08516 pep chromosome:Theobroma_cacao_20110822:5:10044546:10046020:1 gene:TCM_023043 transcript:EOY08516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKIFSKTLCATDINRRCAVGMNYFKMERFPKLQGHYKVDFVVKDESGRKWIFCCSTRITKNPNHPKHPKPVLIKEWIPFVRSKKLCVGDRVTIYAELDEIGSTNYRVKVDKRTSPSKAASSHVMNHNPDGDNSSSSHNSDNESKATFQPSRDLLPEVLNRDLGGTTAATGSSFDKEQLPTNHSIFQVIKCYKTERSLSLELTLKPTMTRGSTAATSSCIYTEQTPNPHSTSRDIKCDKITRPSLNLSLELALTPTMTGRPHHAYMQWTEPKTMNFFGS >EOY10304 pep chromosome:Theobroma_cacao_20110822:5:34070252:34075965:1 gene:TCM_025677 transcript:EOY10304 gene_biotype:protein_coding transcript_biotype:protein_coding description:TatD related DNase, putative MKELSIKILSISLAVFSIISSEANLKSMAMKMKLFDAHCHLQDQRIIDKAPQLIATAYGAGVVYFAVNGITEKDWHLVKEMSDEYCSVIPNFGLHPWFVQGKSPSWFSTLKEFFEANPSAAVGEIGLDKSPLAPKGVDFADQIEVFKKQIKLAKELERPASVHCLDAFPELLRIMKDIGPFPSGVILHSFQGPPEVVPELTKLGSYFSFSGHLMPLQENKARKVVKAVPLDRILLETDSPDGLPKNPLFLVPGNDTLNQPANVHSVLIYVASLLEMSKEELAEISYKNAVRLFSFQGSKIPLE >EOY10215 pep chromosome:Theobroma_cacao_20110822:5:33522688:33534960:1 gene:TCM_025569 transcript:EOY10215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNIQVSAIFMLLLLLYAAGKEVMAKNPPGKTCAETIKLAGCKNDNCLKLCKQTHGFLGWKDF >EOY08164 pep chromosome:Theobroma_cacao_20110822:5:5148028:5148604:1 gene:TCM_022499 transcript:EOY08164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYKTNVKCLKYIFFSFSLFSFLSSQLTMLFYVDPLMVESGSLVARSSSVEERRRAQGNTKSDIPMVRFGQGTSSRYLLCCLPSLFVIICRLRIEKNLVREGERMLGRERKKLYLI >EOY09786 pep chromosome:Theobroma_cacao_20110822:5:31058400:31064309:1 gene:TCM_025167 transcript:EOY09786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2358) [Source:Projected from Arabidopsis thaliana (AT1G65230) TAIR;Acc:AT1G65230] MSGASVSSALAATVSASAWIHRSISNGKCLFSSKQLKGRAHPSQSHSHHHQHHPFLLRVTNKSSRTEVSADPRIERTEGDKIVDGMDFGELCDEFECISSPLVESTARQLVRDILELREGNRALGTYAVSVKYKDPVRSFTGRKKYKRPLWITGAVENPSVKVQEMVMLSTSVLSIKWTIQGKPKSFLASIGGDLIIRVNSQFTLNQISGQVIEHEEFWDLSSSSVIAQGFFWTSRRLFATIEAGKDLADGAKDLSTRFSSKQENLEVYPDPSGDPTKFFQRDDGFQRDVYQIALFLAVLYFVIQFLRTTL >EOY09787 pep chromosome:Theobroma_cacao_20110822:5:31058500:31064243:1 gene:TCM_025167 transcript:EOY09787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2358) [Source:Projected from Arabidopsis thaliana (AT1G65230) TAIR;Acc:AT1G65230] MSGASVSSALAATVSASAWIHRSISNGKCLFSSKQLKGRAHPSQSHSHHHQHHPFLLRVTNKSSRTEVSADPRIERTEGDKIVDGMDFGELCDEFECISSPLVESTARQLVRDILELREGNRALGTYAVSVKYKKVQEMVMLSTSVLSIKWTIQGKPKSFLASIGGDLIIRVNSQFTLNQISGQVIEHEEFWDLSSSSVIAQGFFWTSRRLFATIEAGKDLADGAKDLSTRFSSKQENLEVYPDPSGDPTKFFQRDDGFQRDVYQIALFLAVLYFVIQFLRTTL >EOY11245 pep chromosome:Theobroma_cacao_20110822:5:38609064:38623888:-1 gene:TCM_026489 transcript:EOY11245 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MEAVVTGAAANVTSEGAKRIFQEIKRVFVYKKNVGEFEEKLKTLTAKRESVQKEVDAAKSNVEEIKPDVQHWCDKVDKAINEQEKKVKDVEDKAKNKCFFDLCPNIKSRYQLSKKAEEAAAVVDELSQQGGFDRVGYRNVSEVPEAPKNFRAFDSRKEIFDRVIEALKDSTISMIGVYGTGGVGKTTLVNEVARRVQKDKLFGSVATATVTQTPEIERIQYQVAERLRLNLNGTKSREERARLSSERLTKEERVLVVLDDIWACLDLQQVGIPLGDQHKGCKILLTTRNRDVLTNEMDVGRIFAIDVLKEKEAWDLFKKMAGDDVENPELRSVATEVAKKCEGLPVAIVTVARALRSKELYAWKDALAQLQRPSTSDRQSGIPAAVFSAIELSYNNLKSEELKQTFLLCGLLGHNARVQDLLRYTMGLSLFENVNTVEGTQNRVLTLVSKLKAFCLLRDSYSDDRFDMHDFDCDVALAIASRDNHAFALKHKGVFDDWPDEERMRNFKMFSLSFDSVEKLPHELECPQLTFFSMGSKDSDVEMPAKFFEKMKNLKVLDLLKMKFSSINLPTSLRALCLNQCVLGDMINLGKLKNLEIFSLFGCSGVMLPQEIGQLTKLRLLDLSDCHKLIIPAGVLSSLSKLEELYIGTSFVEWDLGSNARLAELKDLSGLTTLNVCIPDADIVLKDLFSEKLRRYNIVIGSETDWYERIEYSRILKLKVGTSIDNLGDGVLRLLKETEALYLKGPKGVKIALNNREGFLHLKHLHIEDAWEIQYIMNDTDPVDRIAFQQLRSLKLESLPELIGFCSKNKSGGSTSTPQHESALFGEEMDFPCLEDLSLQFINVERIWHNQFSIRSGCTQTLTNLSIWYCDNFKHLLSFSMAKSLTHLKSFNVEGCKCLREIIFTEDIEEDMIGQIFPKLEVLQLHQLPNLTRFCHGSNCEFPSLEELIIMNCPTFETFISKVTVVSEIHIIPSKWPSLKRMKVHRCDKVEIFASENLLSFGESTNQQPLFWVNEVTFPNLEELTLSGNDIMKEIWHGQLRAECDEGKRCIVFTRLKYLKLSCLPTLASFCLGDQIFEFPALENMIVTACPKMKIFCRGDLSTPQLRKVISKEKGWRVKKEEGWWEVDLKTTVKWMFENKIAYCDVRLSDTSELMKIWSRNPQEILTFKYLENLEVDDCSTLRFLFTLSMVLGLPQLRKLIVKNCIVMEHIITEEESDEQVANETVFPLLRSITLEYCENLASFYQGSKSLEYPSLEKVVVSDCPKMFTFASAFSREQRIEIIDEGNTTRLSKGIADIVFLDNTMDFPCLADLSLWGINVERIWSNQFSIRSDYTQTLTNLRIGYCDNLKHLLSSSMAKSLTHLKSFIVMRCKCLREIIFTEDIKDNMMDQIFPKLEHLQLEGLPELTRFCHGSHCEFPLLKELIIGNCPTFETFISKSTLVNEIHFIPSKWPSLERMRVYGCDKVEIFASENLLSFRESTNQQPLFWVNEVTFPNLEELTLEGNGIMKEIWHGQLRAECDEGKQCIVFTRLKSLKLSCLPALASFCLGDQIFEFPALENMIVTACPKMKIFCQGDLSTPQLQKVISKEDEDEDDEDEGEEKRWWEGDLKTTIKWMFEEKIGYSNLRLSDTSELMKIWSGNPQEILPFKYLEFLEVHDCSSLRWLFTHPTALSLPRLRTLKVKNCIVMEHIIKEEGPDEQVASFYQGNKILEFPSLEDLEVVGCPQMFAFASAFSRELRIETIDDIDGGNTTWVSKGIVDTAFFDNTVAFPRLDFLRIEGLGQLRKIWDDKVAMNSFCKLRYFTVKDCERLSNIFPFNMMERLQELYELEIVNCDSLEEIIGPQGLNSNESHAVTATELKLSELPELTHLSKEEIPLGEVVFNNLEYLEVSRCGKLKNLVPSSTSFENLETLKVSECHGLMNLVALPVAKSMVLLREMRITDCQMLEATIASTSDEVMDGIIFSDLRTLELGGLPSVSRFCSGNYTLGFRSLEKVIMRQCPKMEIFSKGELSTPKLHGIRLTEGEYVGLWEGNLNATIQQLFKKKNVQNCEEETKVSL >EOY11489 pep chromosome:Theobroma_cacao_20110822:5:39425001:39431033:1 gene:TCM_026646 transcript:EOY11489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Respiratory burst oxidase B MEIRHSKHESWSDTESTSSNRVGYSGPLSGPILMNNKKSSSKKSARFKDGDDEYVEITLDIREDSVSVQNIKGGDPETAMLATRLEKRPSFGSQLSFRIRQVSQELKRMTSTKAAAPFNKVDRSKSGAARALQGLKFMTTKNVGSEGWSEVEKRFDELSVDGSLLKSLFGQCIGMNESKEFASELFEALARRRGITSSAISKAELREFWEQISDHGFDARLQTFFDMVDKDADGRITEEEVKEIIALSASANKLSKIQESAEEYAALIMEELDKDNLGYIEIYNLETLLLQAPSQSTNLVTDSRILSRLLSEKLVPTKERNPIKRWGRGLAYFLEDNWKRIWVLALWISICAGLFTWKFIQYKHRAVFDVMGYCVTTAKGAAETTKFNMALILLPVCRNTITWLRSRTKLGVVVPFDDNINFHKVVALGIAIGVGLHAGAHLTCDFPRLLHATDEEYEPMKPFFGEKRPNNYWWFVKGTEGWTGVAMVVLMAIAYILAQPWFRRNRLNLPKPIKKLTGFNAFWYSHHLFVIVYALFIVHGYFLYLSKKWYKKTTWMYLAVPMLLYACERLIRAFRSGYKSVKILKVAVYPGNVLSLHMSKPQGFKYTSGQYIFVNCAAVSPFQWHPFSITSSPADDYLSIHIRTLGDWTSQLKALFVKVCQPPSVNQSGLLRADIGKGENKPRLPKLLIDGPYGAPAQDYKKYDVLLLVGLGIGATPLISIVKDVLNNIKQQKEMEEGIENGVKNNKRKPFATKRAYFYWVTREQGSFEWFRGVMNEVAEYDRDRMIELHNYCTSVFEEGDARSALITMLQSLHHAKSGVDIVSGTRVKTHFARPNWHKVFKHVAVNHANQRVGVFYCGAPGLTGELRRLAQDFSRKTSTKFDFHKENF >EOY11110 pep chromosome:Theobroma_cacao_20110822:5:37992234:37999505:1 gene:TCM_026384 transcript:EOY11110 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIMA-related serine/threonine kinase 1 isoform 1 MEQYEILEQIGKGAFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEMELISKIRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGMLFSEEKLCKWLVQLLMALDYLHLNHILHRDVKCSNIFLTKDQDIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSLKPAFKAFDMQALINKINKSIVAPLPTKYSGAFRGLIKSMLRKNPELRPSAEELLRHPHLQPYVLKVHLKINNPRRNTLPAHWPAANVKKTRFSDPVDVHFSAYRERRRSFTNDRTLNPSISGAEQDSVCSTKGIHDDAPGYLNERFEDLSIDSSHEGTVICKPITSKVSSITKTPRRSLTKASATPKRRTEPLKNRDSFPVSRTPIKKSLPTTRRASLPLLTRAKVRESPPISNAGILHCIQSPDVSVNAPRIDKIAEFPLASYENAFFPIHKTSSISARGSSGSPQFVDRSITKDKCTVQICDRAPIKPHFTDAWQGIQRSMFQVDGEDGTDSSDQNATAGASSRTSSDMQRRKFDPSSYQQRAEALEGLLEFSARLLQQERYDELGVLLKPFGPGKVSPRETAIWLTKSFKENTAKQED >EOY11111 pep chromosome:Theobroma_cacao_20110822:5:37994789:37999003:1 gene:TCM_026384 transcript:EOY11111 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIMA-related serine/threonine kinase 1 isoform 1 MQALINKINKSIVAPLPTKYSGAFRGLIKSMLRKNPELRPSAEELLRHPHLQPYVLKVHLKINNPRRNTLPAHWPAANVKKTRFSDPVDVHFSAYRERRRSFTNDRTLNPSISGAEQDSVCSTKGIHDDAPGYLNERFEDLSIDSSHEGTVICKPITSKVSSITKTPRRSLTKASATPKRRTEPLKNRDSFPVSRTPIKKSLPTTRRASLPLLTRAKVRESPPISNAGILHCIQSPDVSVNAPRIDKIAEFPLASYENAFFPIHKTSSISARGSSGSPQFVDRSITKDKCTVQICDRAPIKPHFTDAWQGIQRSMFQVDGEDGTDSSDQNATAGASSRTSSDMQRRKFDPSSYQQRAEALEGLLEFSARLLQQERYDELGVLLKPFGPGKVSPRETAIWLTKSFKENTAKQED >EOY11706 pep chromosome:Theobroma_cacao_20110822:5:40096499:40098945:1 gene:TCM_026794 transcript:EOY11706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein isoform 2 MRAIGKWLPYLTRSYPRVDPPFQLAFLRHSLLLLLVSALAKPKRRNAMALILSSCIFSEVGLHDFAFAQPSVGLREYIDTFDGYSFKYPQNWIQVRGAGADIFFRDPYVLDENLSVEMSSPSSSRYKTVEDLGPPQEAGKKVLKQYLTEFMSTRLGVRRESNILSTSSRVADDGKLYYQVEVNIKSYANTNELAVMPQDRVPRLEWNRRYLSVLGVENNRLYELRLQTPENVFVEEENDLRQVMDSFRVNKVTS >EOY11705 pep chromosome:Theobroma_cacao_20110822:5:40096499:40098535:1 gene:TCM_026794 transcript:EOY11705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein isoform 2 MYHNVIDPHLIYAKLYPFVPAASHARYRKMATILDSLLPPSRPTLPTRLSTPFPSSSSCISTRKTQKTKAFALPRRNAMALILSSCIFSEVGLHDFAFAQPSVGLREYIDTFDGYSFKYPQNWIQVRGAGADIFFRDPYVLDENLSVEMSSPSSSRYKTVEDLGPPQEAGKKVLKQYLTEFMSTRLGVRRESNILSTSSRVADDGKLYYQVEVNIKSYANTNELAVMPQDRVPRLEWNRRYLSVLGVENNRLYELRLQTPENVFVEEENDLRQVMDSFRVNKVTS >EOY08459 pep chromosome:Theobroma_cacao_20110822:5:8845008:8848072:-1 gene:TCM_022920 transcript:EOY08459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo sac development arrest 12, putative MDMCLLKVESGHTVVGRGSGGEDMDKTHRAKTAEGWRERGTERYGPDSLGVCRIGHVTSNEAKTMANELSNSPPNLDDGELWLPSDIFLNEAPSKFKPLLPHHLPFSCMDDLAPRFASLSLPKHQQKLPKATNFERLKEPVCCGSMNGSGFKGGQSLYGFRTGPFLGGTKPVHEFQFLKPTPAQVESYVEARARVLQRQQNRLIQNRVFPFQASGFNTNKYGLGGGLVRECGGTGVFHPRTVNTDLNASTTFHYKKKQSVRNRQLQESQVSSMKRVGVTVAKQEDCYYHLPAEMGLPRDWTY >EOY08310 pep chromosome:Theobroma_cacao_20110822:5:6242029:6245268:1 gene:TCM_022647 transcript:EOY08310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell wall invertase 2 MEVSKFLLIYLFFCYFCVLSNHNNGVEASHKVYQGLKSKTAVKVKQLHRTGYHFQPPRNWINDPNGPMYYKGIYHLFYQYNPKGAVWGNIVWAHSVSKDLINWEALEPAIYPSKPFDIKGCWSGSATVLPGNKPVIFYTGIDPQGKQIQNYAIPSNSSDPYLRQWTKPTDNPIAVAGFKMNKTAFRDPTTAWKIDEHWRMLVGGRRNQRGIAHLYRSRDFKKWTKAQHPLHSVPNTGMWECPDFYPVALFGEAGQNTSAFGPNVKHVLKVSLDATRFEYYTLGEYFPSRDKYVVDMDSIDGRDGLRYDYGNFYASKSFFDPVKDRRILWGWANESDTPQDDVSKGWAGIQTIPRKVWLDPNRKQLLQWPVEEIETLRSQNVRLSEKKLKLGDRIEVEEITAAQADVDVTFSIPNLDKAEPFDPSWTNAQELCSLKGSSVQGGVGPFGLLTLASQKLEEYTPVFFRVFKAQYKHVVLLCSDARSSSLRNIGLYKPSFAGFVDVDLSEKKQLSLRSLIDHSVVESFGAGGKTCITSRVYPTLAVFGDAHLFAFNNGTETIIVDLNAWSMRKPNKMNN >EOY10256 pep chromosome:Theobroma_cacao_20110822:5:33803459:33805093:1 gene:TCM_025632 transcript:EOY10256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polymerase delta 4 MENNQKTRPSSRNHRWNAGFDKFQTKEPIRRNKILNFEFKQKLWEGEGESRMATTSKNMKVFYRQKKNSSRGGITKSKSSKSTKNPSPKHAATFGSNITQPFALTSPGGSLDLKDDFDEQEEVLRQFDMNMAYGPCLGITRMARWERAQRLGLNPPKEIENLLKGGKVKLESLFDGRV >EOY08824 pep chromosome:Theobroma_cacao_20110822:5:21869622:21888937:-1 gene:TCM_024064 transcript:EOY08824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHQEAQLTPPKIEQTAEEAHPDKGKATDTDPKAKKTASKGKKTMATKTKTFRRRQFVRLALASTQSSSKSIDPNLFH >EOY08889 pep chromosome:Theobroma_cacao_20110822:5:22793833:22796703:1 gene:TCM_024167 transcript:EOY08889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-like protein MGSRLAFREMSVYQGTAVVVTSSRGVPDRDSIFWELPYWHSNLIRHNLDVMHIEQTVFENLFNTLLDIKGKSKDNLKAILNLKTYCKQLDLDLVEHNGKFFQPKSAFTLTKEQINDYKAIEINILLNCEELEPYIEKYDEETRKVIPNISNGELEKSRETNFESWLRNFVNIPRIVENNKDQVDSHVENISYCPSRLVSESGLEEVEPILELEEENQRGYDDEFEKEEREKEEDKYLFEESKEQNVVVEGLEFNYSSSDDSTNDESEDIQGDIPPLVPPSALPTTIEPPSTQPTTAMPPSIQPIIVEPPSTQPITIMPHSIQLLIVEPPSTQPIIIMPHSIQLLTIKPLLT >EOY09947 pep chromosome:Theobroma_cacao_20110822:5:31915706:31917728:1 gene:TCM_025321 transcript:EOY09947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCNYCDLGGLGIIDIGLKNRALLNKWLWCFCNDSNSLWKQVIVEKLGNKEDRLMSRPAQGVRVSGFWKSITKPLDGSCCFNNFVLDGHLESQVLVREFDDKLIWKGNTSGDYNTRSLYKAVHNNTEYASNWRQIWSVLAPFKTEIFYWKLVRGRLAVKDSLVSKGILSDALIICLLCKKERETIGHLFFTCECTWLLWKWWGSYGMLIGLHMGILSRVFYLGSGLAAAWKMENYGWLIKSLNLSKLEWPGGAMQNASSALVKTISKVAKFNTDGASRGIPDKSGIDGPSSLLLRKLLFSLLHRSGAPLPLFAVMKCLEKVQYWQIRYVPCSANGEADVLTKSGVQRAHGLLWINTEAIGNLRNNLPLGNIDAADC >EOY09152 pep chromosome:Theobroma_cacao_20110822:5:26586401:26590490:-1 gene:TCM_024550 transcript:EOY09152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase d, s-formylglutathione hydrolase MEMKPTEIGSSKMFGGYNKRYKHYSPTLGCSMTFYIYLPPSPSPSHKFPILYWLSGLTCTDENFIIKSGAQRAASAEGVALIAPDTSPRGLNVEGEADSWDFGVGAGFYLNATQEKWKNWRMYDYVVKEMPKLLSENFPQLDTSKASISGHSMGGHGALTVYLKNLDKYKSVSAFAPIANPINCPWGQKAFTNYLGNDKAAWEEYDATFLISKFHDVSATILIDQGEDDKFLHDQLLAHKFEEACRSVNAPILLRLQPGYDHSYFFISTFIDDHIRHHAQALRL >EOY10059 pep chromosome:Theobroma_cacao_20110822:5:32774381:32776311:1 gene:TCM_025447 transcript:EOY10059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKEATTEEERQSYSLPPCISQPYPWLVISHGKYNQRQTFFSVSQHRYYTKIIPEMRNKLICGSSFGWLVLVDRVSPNCFLLNLSSMETIQLPPLNFKLAIGILTAPPSDPNCRILLIDGNHDFIFCSPGDSEFSKQKVEDFLYSMTTLGGKIYCLTLPEYSLLTMEFEGSSLRFTKLNTIRNESNIFHIEDNRSYLIEFFGEMLLVCKYLSLKSFEWTHDIGVFKFDFCGREWVEVKSIGDNAIFLTDDFYGTCYPVVDSITRNSIYYTYSEDKNLYVYDLEDQSITTHLPCPIVSRPCSLHYWCMLSTANTS >EOY09600 pep chromosome:Theobroma_cacao_20110822:5:30153128:30155878:1 gene:TCM_025018 transcript:EOY09600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVICQTPMKLHSLDKNFRGSRKKQEKNAEEEQSYDIMAAGGKKFGIGENDPRGNEACRMHVSPVDTWDPSGLILYYRF >EOY09273 pep chromosome:Theobroma_cacao_20110822:5:27890775:27892129:1 gene:TCM_024695 transcript:EOY09273 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain, IPR003441-like protein MEQNYQHNKLTKMQLDDATQNDEYLNSFPPGVRFFPSDEELLQHYLKNKVLNQPIFPNIIQEVNLYQHHPLELTGMYKPLTETEWYFFTCRDRKHSKGCRPNRATPRGFWKQSGVEKPIPLDSEDAIGYKKWLIFYEKENEQVKRTDWKMHEYRIHDTISSPNKESAGNWLKVDGWVLCRIFKKKEKNNEEETETFNPEVKDHDSIFDDYFSLTAENNFPYQKNNDCFDDLEEFDLKFFCK >EOY10713 pep chromosome:Theobroma_cacao_20110822:5:36102473:36104098:-1 gene:TCM_026019 transcript:EOY10713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNINHCQLNFNCKFNACGAGFPLQLQNCAQVNEVKHRKWELHEVYPENSRKKITLDLKAALFAMEGFKILLPISPFVLAFSVATASDPSPLQDFFVALKDAQNGVFVNGNFCKDPKLVKAEDFFFSGLNIPGNTSNQLGSKVTPVNVEQIPGLGTLAISLAWIDFAHYGKTNAVAFAGLSSQNPGVITIANAVLGSKSSINPDILSKAFQLDKNVVTYLQSRFWWNND >EOY10702 pep chromosome:Theobroma_cacao_20110822:5:36005418:36006298:1 gene:TCM_026006 transcript:EOY10702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARWLRAKKLSVRRKKKELRRIKRVKQLLIAANKRMQELVDDFLFTAEADNKHVRQMNEAIQGMNDLSLDGNYNITTVNQVQPCCNSGSTTDGDNNSYNHGGGGGNSRGYGGGHDGRRHE >EOY11585 pep chromosome:Theobroma_cacao_20110822:5:39776342:39777210:1 gene:TCM_026724 transcript:EOY11585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase-like 20 MVPAVIVFLFVLVTQVSAFRFCPKCGKMEVPYPLSTDENCGDPRYRIYCNNGVLEFMSAQGFYYNILSINPWSSKLVISPPLIQENTCYSSDLGVGGLVLDENLPFNISTRNTVMLFNCSDSILLSPLNCSSSSFCRQFEDAGKGGSGCRGTLCCHFLKDASMTSHRIRVRLGGCTAYTSVVGKKPNDPIDTWNYGIELQWLPPF >EOY08287 pep chromosome:Theobroma_cacao_20110822:5:6082850:6084698:-1 gene:TCM_022624 transcript:EOY08287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein G isoform 3 MSRSGQPPDLKKYMDKKLQIKLNANRMVVGTLRGFDQFMNLVVDNTVEVNGNERTDIGMVVIRGNSVVTVEALEPVGKMQ >EOY08289 pep chromosome:Theobroma_cacao_20110822:5:6083018:6084592:-1 gene:TCM_022624 transcript:EOY08289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein G isoform 3 MDKKLQSKFIFIFKLNANRMVVGTLRGFDQFMNLVVDNTVEVNGNERTDIGMVVRNSVVTVEALEPVGKMQ >EOY08288 pep chromosome:Theobroma_cacao_20110822:5:6083018:6084592:-1 gene:TCM_022624 transcript:EOY08288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein G isoform 3 MDKKLQSKFIFIFKLNANRMVVGTLRGFDQFMNLVVDNTVEVNGNERTDIGMVVIRGNSVVTVEALEPVGKMQ >EOY11076 pep chromosome:Theobroma_cacao_20110822:5:37819368:37824178:-1 gene:TCM_026349 transcript:EOY11076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-inducible protein CPRF-2, putative MDRVFSVEEISDHFWSSSSAVSAADDDKKPGSKMNRSASEWAFQQFLREEADRKGEDKKEEEEEAKEELKKKENGVMTSSNGGLENKDKNNIPADSEEYQAFLKTKLNLACAAVAMSRASFLKSQDSAARADSGSQASNSPQLGSKATSKGAGNKDGNAPVEIPSLPNGQKKSVAQVRPSTSGSSRELSEEDEVEGENETMENMDPADAKRVRRMLSNRESARRSRRRKQAHLTELETQVGQLRVENASLMKRLADISQKYNEAAVDNRVLKADVETLRAKVKMAEETVKRITGLNPLLHGSPEISTMAMSSFDGSPSDTSTDAAVPVQDGPKHPLYRAAANNPIFAHDLRVSNALADISSAENIQPNSGGSTVPGNKIGWTASLQRVASLEHLQKRIRGGVSPCGPKSNREQ >EOY11082 pep chromosome:Theobroma_cacao_20110822:5:37852723:37854489:1 gene:TCM_026355 transcript:EOY11082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLTNVFVYNPGTREFQILQRGKKRSSRGPSYSSDCFGQQFPTHQLVGFGRDQVTKECKIIRLFIPKEEQENHIHECEVFALSSDPEASWRGLGVVAYFIRPAQQPVLVNGPLHWILDVRHANPSEVIVSFDLHTENSKQYHTQVVAQKPQIVGILWGYRL >EOY08064 pep chromosome:Theobroma_cacao_20110822:5:4203900:4208123:1 gene:TCM_022379 transcript:EOY08064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MGDGNKNCFACCFSTTTTTSSSSSIKLLVFIVPLILVSFFVFILVPKNSTWVLISSLPSSSSSAAAAASNGSEKVGAVAHAEGSEKVLDLRSRVGTVDVHGSLEEAALSDEAALNRPASPPLAVEAVTQIQELNETKEESLNISIPDSDRLNTSMTGIQNTTTPRNESIALPLNQSRQVRTKLDKLEAGLQRARAAIKEAKNGSLLQDPDYVPIGPMYWDAKAFHRSYLEMEKQFKIFVYKEGEPPVFHDGPCKSIYSMEGNFIHKMDVDSNFQTKDPEKAHVFYLPFSVAKMVRFVYLRDSRDFSPIRRTVVDYVNLIAEKYPYWNRSLGADHFMLACHDWGPEASFSLPYLQKNSIRALCNANTSEKFNPVKDVSIPEINLLTGKLTGLIGGPSASRRPILAFFAGGVHGPIRPILLEHWEGKDEDIRVHKYLPKGVNYYEMMKNSKYCICPSGYEVASPRIVEALYNGCVPVLISKSYVPPFSDVLRWKSFSVVVSVDDIPKLKEILTKISSRQYIRMQRRVLQVRRHFAFNSPPKRFDVFHMILHSIWLRRLNVRISDDHGVMPN >EOY07256 pep chromosome:Theobroma_cacao_20110822:5:940719:941711:-1 gene:TCM_021721 transcript:EOY07256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MSNGSLYQWLHPGGNDRYQTKKLSLIQRLDIAIDVASALDYLHHQCETPIVHCDLKPSNLLLDENMTAHVGDFRLARFLFDSSDNPSSNQTISIKLKGSIGYIPPEYGIGGQASIHGDIYSYGILLLEMFTGKSPTDDMFKDDQSLHNFVEVALPEHVMDVVDLSMLSEEENAEETELIMMRKPHPRVSAASMVEEFVVPVMKMGLSCSAASPTERMIMTFVVNKLNDIKDRFLKLKRNNERRMRRR >EOY09871 pep chromosome:Theobroma_cacao_20110822:5:31428419:31429353:1 gene:TCM_025241 transcript:EOY09871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLARFKELTIMWNNIKMASNCERVWKTAMFAITWTIWIGRNEVVFHNKVWDKELIWKLIKLRVAMWVKVRWQDTASSITDIYRFPAIGLNQQRDENIRPLTVWEKSGANAIKFNVDGAANGSPGEAGIGGLLRNEKGEVLIKFSKAIGRGDLNLAEYLSIKEAFILFSNSIWAHNHSFVIKSDSRNAIRWINDPSKTPWRLRK >EOY10570 pep chromosome:Theobroma_cacao_20110822:5:35393945:35396708:-1 gene:TCM_025903 transcript:EOY10570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MGKSSVVIYNVSKQQYTPTFKIFFFLLPISLAFTSFLLIFIYIYSTSRVFTNPQASPYLEPATNSSIFEQLFSFSTDNEETIPFSIDNTAEDLFFDLPRTASYAKQNQWSIGLGDLFGLFSGYNMSNTEIYHDTDIFLEDYKEMNKSFKIFVYPHKPDDPFANVLLPVDFDPKGHYASELYFKKALVNSHFITKDPNEADFFYMPFSIADMRHDPRIGPEGLQDFIKDYISNISHKYPYWNRTGGADHFHVACHSIGRIAMDKAVEAKENSIQVVCSSTYFAAGYFPHKDVSMPQIWPKEQDPKKLVSSKRNQLAFFAGQVNSPVRAALLKHWRNDTEVYAHFGRLETDDGEQQLRSKFCLHVKGFEVNTARVTDALHYGCVPVILANHYDLPFADILNWKSFSVVVHYMDIPVLKKILQGISLEEYSWLQSNVLKVRKHFKWNVPPVDYDAFYMAMYELWLRRSSVRVRLSASREYI >EOY08127 pep chromosome:Theobroma_cacao_20110822:5:4747532:4749442:1 gene:TCM_022452 transcript:EOY08127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF868) [Source:Projected from Arabidopsis thaliana (AT2G36470) TAIR;Acc:AT2G36470] MRSIASCYSEHAIKVSDSYCSGPSTQAYLSPNLAPSTPNAITCIYKAKLSSQRNLLITLTWCNNLIGQGLIINVDESLSTPSKFKSNSHQLRKNKGSKTFKSCNSEIEVFWDVSGAQYINGPEPSTRFSVIVLVDSELCLLLGDMNEELQIEKIQSGQPAANFSLVSRSENFSGSTVYSTKAQFCDTGLAHDILIKCSGEEEGWRNPVLSVCIDQKKIFQVKRLRWNFRGNQIIFLDGLLVDMMWDLHDWLFKQTSGYAVFMFRTRSGLDSRLWLEEKGSLEQKEKERAEFSLLICAY >EOY10234 pep chromosome:Theobroma_cacao_20110822:5:33618741:33634737:-1 gene:TCM_025591 transcript:EOY10234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MPYPMALILEGLRRYPPAHFVLPHRVTEDAVLNNFLVPRKGIVNFFIAELGWDPKVWEDPIAFRLERRMCPAYGLAMLHLEYFVANLVLSFEWKSVDGDDIDLSEKQELTTVMKNPLQAHIYPRNLHSKFGRIVRIGSHPAIFVSDRSLNHQALIQNGAIFADRSSALTTGGIASSNQHTINTAAYGPLWGILRRSLTSEILNPSRAKSYSPARKWVLQVLRKQLTLKVGDAILVEDILIPLIMARKKVKEDKEVKYEGEHILSYVDTLLDLQLPEEKRKLDEGEIVSLCSEFLNAGTDTTSTALHWIMSNLVKYPHIQEKLFMEIRRVVGDGEEVKEDDLQKMPYLKAVILEGLRRHPPAHFLLPHRVTEDIVLNHILVPREGTVNFFTAEVGWDPKVWDDPVVFKPERFVSSDGGEEMFDITGSTEIKMIPFGAGRGICPAYGLAMLHLEYFVANLVWFFEWRPVDGDDIDLSDTEEFTIVMKNLLQACMYPRLR >EOY08309 pep chromosome:Theobroma_cacao_20110822:5:6239579:6240987:1 gene:TCM_022646 transcript:EOY08309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLESYLGRPSSTKGFISPNSAFCCFCCTEIETVNHLFFSCTESWKIWASWCCIWGISWVALANAWEFFCAWVDLVQDVAKNKIWKMAFFVITWSIWLFRNKMVFNGKSWDELQLMDIIKTRIACWSKARWDNPCLSFLDLFRNLELGAVCPKKKSDKKNLDWIKSLPDELKFNVDGAAKGCFGETGIGGVLRDYEGRIKLQFPKATGRGDSNLAELLAIKEAFLLFAASPWVNSYLLIIESDSSNAVK >EOY06958 pep chromosome:Theobroma_cacao_20110822:5:2666:7872:1 gene:TCM_021520 transcript:EOY06958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVISASVDSLQDLLPLHGSSDASKQKPSHAQAETISGNANNLSKSMDTASNALNAPTNDKAVEGTRFDDDQTIAENAQLFMVRKPTMRKKAKPALVNLVSAMNIEEADVVLQQVDPTATCTRVETMEAEGSSDNFSQPEPGICMFNKETESIPSNTVIHHSPKQEKRQLELHECIRTLDGKRWSSNRRIHPTESFHPNLSMINCLLWNVRGIAGTAVQRRLKKLKLMHKVKLLVVLEPMVNTSRINYIKRRLGFDNALSNCSHKIWLFCSNEICCEVVLDQIQCLHVKLSSPWLPHPVYTSFVYAKCTRLERRELWSNLRIISDSMQAPWLVGGDFNSIVSCDERLHGAIPHDGSMEDLSSTLLDCGLLDAGFEGNSFTWTNNRMFQRLDRVVYNHEWAEFFSSTRVQHLNRDGSDHCPLLISCSNTNARGPSTFRFLHAWTKHHDFLPFVEKSWNAPTQASGMTALWYKQQRLKRDLKWWNKHIFGDIFKTLRLAEAEAEQRELIFQQNPSAINRDLMHKAYAKLNRQLRKRMHWAAWNKITFPCSEGGLDIRNLNDVFDAFTLKLWWRFQTCDSLWTHFLKTKYCLGRIPHYVHPKLHDSLVWKRMIRGREVAIRNIRWKIGKGDLFFWHDCWMGNQPLAELRALLRGLLLCKERHIENLWIEMDALAVIQLIQHSQKGSHDIRYLLESIRKCLSCISYRISHIFREGNQAADYLANEGHSHQNLCVITEAQGELHGMLKLDRLNLPYVRFK >EOY08554 pep chromosome:Theobroma_cacao_20110822:5:10549048:10563240:-1 gene:TCM_023112 transcript:EOY08554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRPFPTLDEAHNLVLREESQRSLHIQSQPLIEASAMATYGDNKKRNKNDLVCSHSEKKGHLKDKCFRSNNGLEFDLESFYSSKGVIHQNSCVGTPQQNGVVEKKHQTLLMAMQQELTALEENATWTIMPLFIGAHIVGCKWVYKLKLHANGTIEWYKARLVAKGYSQKEALAVSVGYLDEDLITIAHRRDTEVDAKPYRVSICIRGNECLSRRRGGCHGLDESFRGGEKTDIKALRGFGWHSGLSLSRRSVFVRCRWFRGLNWEHHSWLRPRENCPLSLPVGIFVLNKRLAKRAFVGLLVLLQKHEGTGNWELGPGQGFPVKVFCPPPFNLHLSHLLAI >EOY08220 pep chromosome:Theobroma_cacao_20110822:5:5759076:5761743:1 gene:TCM_022566 transcript:EOY08220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRSKEWKMTKGVPLYFKANTMKNDYKLWYHFLAARLLFTKYFSDMTKDNAILLYVIVSRTSINVGQLVFNSIVQAIHSPYDGLWYPSLITTLCKKVGVIWERSEVILHPKVPLDVGIIRRFYTHGHSTARGSSSLASRHPPLQQHPQHFSMS >EOY08796 pep chromosome:Theobroma_cacao_20110822:5:20455232:20459790:-1 gene:TCM_023965 transcript:EOY08796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFEEKKKKVKNTSLAMVDEHFEFVIGELDFAEVINYLCKGNGEWKMSKGLLVSFKGNQLYEAYKCWLYFIAARVLLVKHVSDITKDRTLLLYCILIRKAIDLGRTLYNTILRFANTPREGIWTTWLKILWVLVLLGGIVKVWRCTYGTCAMFSSNNSSDTLHSTSKASLDSTVRSQ >EOY11028 pep chromosome:Theobroma_cacao_20110822:5:37575642:37576514:-1 gene:TCM_026299 transcript:EOY11028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDCGLIDEGKLDCFNVPFFNPSLDDVQFLVDKEGSLTTEFIDTIAVVIGGQNGHWMSPESRIKGYRCFSEPILSHHFGEEMMDKLYDKATLILVEDFKHGKQATKIINIAVVLKLKEL >EOY10774 pep chromosome:Theobroma_cacao_20110822:5:36386562:36388564:1 gene:TCM_026073 transcript:EOY10774 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein MKGAHLLLAYSLLVLSSSFAYASDPSPLQDYCVAINDAKDGVFVNGKFCKDPKLAKAEDFFFSGLNIPRNKSNPVGSTVTPVNVAQIPGLNTLGISLVRIDYAPNDGLNPPHTHPRATEILVVLEGTLYVGFVTSNPDNRLITKILYPGDVFVFPIGLIHFQFNVGKTNAVAFAALSSQNPGVITIANAVFGANPPINPDVLVKAFQLDKNVVKNLQSKFWWANN >EOY09280 pep chromosome:Theobroma_cacao_20110822:5:27989591:27990582:1 gene:TCM_024707 transcript:EOY09280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASRYENAIVFIERDMCTTHPYHNKSFFVETNVNEYLIWRVFIDDGSLVNLKLLSTLKALKINLKGLFHPMTITFFNKKEIKTLGQVMVSFKMGLFMTRLASTLLMLMWPIIPS >EOY10312 pep chromosome:Theobroma_cacao_20110822:5:34102884:34106977:-1 gene:TCM_025684 transcript:EOY10312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C3 MVAEASSTTSSPIKTVVVLVQENRSFDHMLGWFKTLNPEIDGVTGSESNPISTSDPNSAQLTFQDTAGYVDPDPDHSFQAIYEQVSGKPWDTNNPDPNPEIKMNGFVQNAERTQAGLSETVMNGFKPEAVPVFKELVTEFAVCDRWFASLPASTQPNRLYVHSATSHGAMSNNTQQLIEGFPQKTIFESLEENGYSFGIYYQSFPSTAFYRTLRRLKYVDNFHQYDLSFKRHCEKGKLPNYVVIEPRYFDILTAAANDDHPSHDVSEGQKLVKEIYEALRSSPQWNEILFLVIYDEHGGFYDHVPTPTGVPSPDDVVGPEPYNFKFDRLGCRVPAIMVSPWIERGTVLHRPSGPDPTSEFEHSSIAATVKRIFNLKEFLTKRDAWAGSFDIVVNRSSPRTDCPEKLPEPVRMRDTDAKETAKLSDFQEELVQAAAALNGDHLKDIYPFKLVENMTVSSGLKYVEDAFKKFYDDGQKAKEINGAGDSLSADAQPTRTTTKTFMQKVFSCLVCDQ >EOY11261 pep chromosome:Theobroma_cacao_20110822:5:38719325:38720604:1 gene:TCM_026506 transcript:EOY11261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSLTRIASAACEFKLIKMVLTVKALEGSITRKHFKASPTFKSPFYLFSLSKVKPFFQPGRYHASEYKMVKS >EOY11066 pep chromosome:Theobroma_cacao_20110822:5:37761863:37762800:-1 gene:TCM_026340 transcript:EOY11066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related protein PR-4B MDKVNTVSRLLVFLVSLVGAAVAEQCGWQAGGTICPDNLCCSQYGWCGNTDAYCLPENNCQSNCKSSGPGGETATVTSTYHFYNPEQHGWDLMAVSAYCSTWDASKPFSWRSKYGWTAFCGPVGPTFPAACGRCLRVTNTRTNAQEIVRIVDRCSNGGLDLDVGVFNRLDTDGVGYAQGHLTVKYEFVNCGDGFNPLLSSVVDDSSK >EOY09447 pep chromosome:Theobroma_cacao_20110822:5:29213934:29216060:1 gene:TCM_024865 transcript:EOY09447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFRRFSLLLFYPIGFLVSIGILRGFHRLCSPFGMFVLVGPDFSGRCLNYKGMKPPRPYKDKTKGRLLLSGVAFTGMGYSLRVLLGTTSELASIAAAGAYEEALPFRSVSRIIWIRFITGLGAYAVLHHAYTGILGFRL >EOY08033 pep chromosome:Theobroma_cacao_20110822:5:4098030:4100061:-1 gene:TCM_022356 transcript:EOY08033 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein MATMLVPPWLESLLSTAFFTVCRTHGDAARGECNMYCLDCKGDAFCYYCRSSKHKDHQVIQIRRSSYHDVVRVTEIQKVLDISGVQTYVINSARVLFLNERPQPKSGKGVAHLCEICGRSLLDPFRFCSLGCKVSVCLSLFASLHIVFFFSSSTSFTTHHIWSHFVNLHNSTTCSSSLISYLVGIKRNGNASFTLEAKNDVVMDKREDMSREEEELREGSQQDIYPPTPPPPPSSARRRKGIPHRAPFGS >EOY11105 pep chromosome:Theobroma_cacao_20110822:5:37966974:37968447:1 gene:TCM_026379 transcript:EOY11105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose 5-phosphate isomerase, type A protein MASLSLQLSSLHHTSTTPLILRSTPLNRRPPTQKPFSIRAQSAPVLSQDDLKKLAADKAVESVKSGMILGLGTGSTAAFVVDKLGQLLSTGELSDIIGIPTSKRTQEQAASLNIPLTTLNKHPHIDLAIDGADEVDPNLDLVKGRGGALLREKMVEAASSSFIVVADDSKLVSGLGGSGLAMPVEVVQFCWKYNLVRLEGLFKDLGCEAKLRLVGDGSEKPYVTDNGNYIVDLYFKNPIKDGFGAGKEISALEGVVEHGLFLGMATSVIIAGKTGVEIMTK >EOY08482 pep chromosome:Theobroma_cacao_20110822:5:9118544:9121554:-1 gene:TCM_022947 transcript:EOY08482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum activated malate transporter family protein MDIESTAQEKARFCGIACGSAKALPDMLKAKVISIAKSIMKLGQDDPRRLIHSLKVGLALTLVSLLYYARPLYDGFGVSGMWAVLTVVVVFEFTVGGTLSKSLNRGFATFSAGALGIGADYFAGLFGKQGEPIVLGILVFLLATAATFSRFFPRIKARYDYGVLIFILTFSLVAVSGYRVDELVVLAHQRLSTIIMGGATCMLISIFVCPVWAGEDLHRAVASNLEKLASYLEGFGGAYFQYDGSGVVSKDGKSFHQGYKSILNSKNSEESLANFARWEPGHGRFRLRHPWKHYLKIGALARQCAYQIEAINGCIDSDIQASQEFKSKIEGSCKRMSAECGKALKALATAMKTMTDPSSTNPHVENSKAAMKDLKFALKAASLEDEDFLAIVPAATVASILVEIVKSVEKISEAANELSQLARFKKVEPTVSPEKPQQLLHRGIIQPVLDADSNDNVVIAIHEISTDSPENEKPGHQVPKPGQRFMEV >EOY08739 pep chromosome:Theobroma_cacao_20110822:5:19356122:19359699:-1 gene:TCM_023860 transcript:EOY08739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFLQRYSHQLFTLQSWSAWVFLLQSCIWGQYQLGREGIPWIIMAELEASSVRLGSSTTTFDIIFKWSSAFLEMYHS >EOY09594 pep chromosome:Theobroma_cacao_20110822:5:30055793:30062236:-1 gene:TCM_025009 transcript:EOY09594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPAVSELEQVTLKEKPLDAGIFIDPAVKNDGVGVEKFLQGKVFFYRWCDWILWKSFKCAVLVEKILRTMPGVAKIYLMIRAKDKEVAKQRLKNEIVDIELFKCLKEAYGEHYEAFMWNKLVPVVENVGDSNLGIYDVALEIKAIGLCNAVRFFVLSSADSVKRDSRQGRFMEEKVRTRDIMGRETFRLVDPVILYYGKGKLTVFPLNPNCVVAIVPADIVVNATLAAMTKHVLLAKKADINIYHLLLLFQNQ >EOY07026 pep chromosome:Theobroma_cacao_20110822:5:221753:226259:1 gene:TCM_021562 transcript:EOY07026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MRYHSGACIYDRCVIRFEVDLLRDRSNFSFQRLKSELAWSDLAWPLKEMVRCRNLFLRKHRRWPHFAYKTKWNQTFTQKQAMLSFKQLVAVAQDNLPPPILLSTLVRSFSLYNVHPTPQAYHFLIKTLIQNLHFNHIPSVLHHLEHVEKFQTPEYIFADLITTYGIANRIQDAVDIFYRIPKFRCVPSAYSLNSLLALLCRNQYSLKLVPQVLLKSLLMNIRVEESTLRILVSALCRMNKVSYAIDILQRMIDEGLGVNDKVCSFILSSICAKADLDGEDVMGLWRELGKLGFCPAMSDYNCLIRFLVKKGRGLDALDFLNQMKSVGIKPGIVSYTMALNGVIAEGDYMLADELFDELLMLGLVPDVYTYNAYIDALCKQNKVEEGIKMVACMEELRCKPNVLTYNMLLEAICKVGEISRAMELVKEMKYKGIEMNLVSYTVIIDGLVSKGEILEAHGLVEEVLHKCFCHQSLAFDEVICGLCQRGLVCEALELLRKMVAKNVSPGARGWEALLLSSESKINFANTTLIESAIRPQPLGLAT >EOY09032 pep chromosome:Theobroma_cacao_20110822:5:24968413:24969325:-1 gene:TCM_024368 transcript:EOY09032 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein, putative MQVLEENNKWMMEIITQFASSIATASQPPPMLIKNVANVVNNNENKGNGESTPDPLLNTTNPSVIGNLVMIAYSTSIQSLVTKKELEKMLDQKNKSLNFLEFDLKLLYLVEVATKPYLKDYANSKFKPFNGKTSNA >EOY09007 pep chromosome:Theobroma_cacao_20110822:5:24682698:24697404:1 gene:TCM_024339 transcript:EOY09007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo sac development arrest 7 MTQNGSEQITLVKVQKLCLKTGIRSTSTPRTWWGFSWTAYERGTVTQLYTYLRGEMFGEVSPEKLMLSLAGFRSAFGVMSAYRDVAAVVTGSMGVPVESFCCSSPRPQLPAYHAKLGSFISSSFFSLQPPSHNHALPTASLSPQAPRPYSFLAEILHRKGRRKNMANHGGKMKSVSINGVKMYTISSHLRSVAAWISLKKQRSLRKDKNHTERVELIQDLRFETATSKIKITPDGEFLIASGIYPPQVKVYELRQFSMKFERHLESEIIDFQVLADDYSKLAFLCADRSVNLHAKYGKHYSLRIPRMGRDIAYDCWSCDLLCAASSPDLYRINLEQGRFLSSLNTQSPALNVVSRSMLHGLVVCGGEDGAVECFDMRMRSSIGRINAVSPAGDADEEVTAIDFDENGGFLMGVGSSAGKVLIYDLRSSSPIRVKDHMYGKPILDIKWHSTLNFERPKLITTDCHIVKIWDPETGEGMTSIEPTAGAINDICVFNDSGLMLLALDSSQIPAYFIPALGPVPKWCSSLESLTEELEEGGQTSIYDNYKFLTKEELEKLNLTNLIGTNLLRAYMHGFFIDYRLYKKAKALADPFAYETYIEQRKQEKLDAERRNRITMKRKLPKVKVNQDLAERILENEEAENEKKDNDGNESKKTSKRKKNALSTEIFKDDRFTQMFENKDYEIDEQSQEFLALHPMASKKQPSLVEEHFEPVMDNKDQITSDSDVSEASQSSDGFPVNHKSKRKKSQGPRMYEVKDKRHAEAFWNNVSLAKEDSLPMGERVKALQDDQRVSGLPSGVKLGSGGSRQISFISRSSAKNKEDEEKLTRREKRGVQLLGLKPDRSMFGGRGRGRGRGRRGRR >EOY09450 pep chromosome:Theobroma_cacao_20110822:5:29229972:29232915:-1 gene:TCM_024867 transcript:EOY09450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein MAGIAGACYSTLSCTSNSRRSWLELKTYHGRAISGIPARRRNLSIRAEVNFVNAEEAKKLIADEGYAVLDVRDKSQFDRAHIKSCYHVPLFIENQDNDPGQSFLSSFLLLNSAHFFSCLSPSFLGTIIKRTLHNNFSGLFFGLPFTKPNPHFVQSVKSQFSPDSKLLLVCQEGLRSAAAANKLEQAGFQKIACMTSGLQTAKPGTFDSVGSKELQDAGKAGLVTIQGKISAVLGTVLICAFLFITLFPDQAEKLLQMFPAS >EOY11339 pep chromosome:Theobroma_cacao_20110822:5:39017839:39020427:1 gene:TCM_026555 transcript:EOY11339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein MKSLCLCSSIPLILPLSATALDLNGRNFTNFTIRSPRRRFSPRLTVNCRSRAGEDPSPVLSSSTAFAVLGIHPNCSTAELKAAFRAKVKEYHPDVNRGGGDSDTMIRRVIQAYEILSNYSRSEIIESLFLPFHFSVVILWNIFGGSMFTVGCALCRECLDPFDSPECEAFDVFVNEVLCVGKGCPYSCVKRAPRAFTYDPSTGTARATSQGHAEDYQVQLAVGQCPRSCIHFVTPSQRIILEELLDSILDKPFDTSAEADLLYSLIVKAKFENNRYQKPKKQPKTSTKNVDWF >EOY08255 pep chromosome:Theobroma_cacao_20110822:5:5953364:5971101:-1 gene:TCM_022601 transcript:EOY08255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNCLVLDLKIIKVMKTDGKILEYQAPIKVQQVLSDFSGHALSDSFSGLQHLRPDAKLLRGQLYYLVPVPSPHQKGEKKKVRFSTPEVNDEQGSAGVVRIKLIISKQELQELLQKERVSVHDMISQIQSKESTKGVDKSDGGDNCKGWKPVLESIDEVN >EOY08680 pep chromosome:Theobroma_cacao_20110822:5:17527132:17541221:-1 gene:TCM_023698 transcript:EOY08680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRFSPLFLNPSLLYSSAFLVTHRCGTIPHPGGKCRIADTFLGRISPLAIAFLIHSLCHFSYCFKRKFLTVFGVSELSLLSRLLLISKLFFITKPFC >EOY10217 pep chromosome:Theobroma_cacao_20110822:5:33525683:33526379:1 gene:TCM_025571 transcript:EOY10217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MKNIQVSTIFMLLLLLFAAGKEVTAMGLPGKTCASTIKLAGCKNDNCLKLCKQTHGESIYVKGACFGDGCLCLHLC >EOY10218 pep chromosome:Theobroma_cacao_20110822:5:33524294:33526498:1 gene:TCM_025571 transcript:EOY10218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MKNIQVWAIFMLLVLLFAAGKEVTAMGLPGKTCASTIKLAGCKNDNCLKLCKQTHGESIYVKGACFGDGCLCLHLC >EOY08134 pep chromosome:Theobroma_cacao_20110822:5:4885005:4885848:1 gene:TCM_022471 transcript:EOY08134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRHSVPISNPMEQCCFTEHLAFVTRFYNFSELISLSCILQRVSPQVLETSKFLGILE >EOY07600 pep chromosome:Theobroma_cacao_20110822:5:2106986:2108260:1 gene:TCM_021990 transcript:EOY07600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A 12 MDAGAKVKKGAGGRKGGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYSQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKNDKAPKEPKSPSKATKSPKKSPKKA >EOY10118 pep chromosome:Theobroma_cacao_20110822:5:33067040:33069368:1 gene:TCM_025496 transcript:EOY10118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine kinases,protein kinases,ATP binding,sugar binding,kinases,carbohydrate binding MSLVLHRSCYSLEFGTALDTTTSSKSIKDPEAITSSNGAFRLGFFSIVNSTSSCVGVWYSIGRPENSVIWVANRDKPLKDNSGVVMISEDGNLDLKGQKEILWSSNVKNRAANVSAQVLDFGKLVLQDNSTDGVNLWESFQQPSNAFCERINSGNESGGEDGFLKLERTKVPDFAEWLATLEDKCKDQCLNNCSCIAYAYDPGPHITDLGSKA >EOY08506 pep chromosome:Theobroma_cacao_20110822:5:9441289:9448311:1 gene:TCM_022983 transcript:EOY08506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFNVDGVVKGCPGSTGFEGILRDPSGEVKATFFKSVGMADSNLAEIRAKTRVFLIFLASTWNEEYHLVIESDSINVVKWTEIRALHHRACTNGFYKLNAIKRCGAPEINREFPLEFRAIWKGNIAKIIVAGLAVYVQSPVISQLVHDPNKNFSHDKVS >EOY11219 pep chromosome:Theobroma_cacao_20110822:5:38379749:38382697:-1 gene:TCM_026462 transcript:EOY11219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-1-phosphate uridylyltransferase MATPTPTRSPELRRDPVTNRWVVFSPARAKRPSDFKSKSSENPNHNSSSCPFCIGNEHQCAPEIFRVPNEPNWKHRVIENLYPALSRNLEYPIGQNGDSSIDLGGWGRVVPGFGLHDVVIETPVHSVQLSDLSPSELGDVLIAYKRRIEQIKGFDSIKYVQVFKNHGASAGASLSHSHSQILSLPVVPPSVSARIGSMKEYFNQTGKCSLCEVQSKDVLINETSQFISLAPFAASFPFEIWIIPRDHSSHFDELDNEKAVDLGGLLKLMLRKMSLQLNNPPFNFMIHTSPLQLTNSELRYSHWFLQIVPQLTGVGGFELGSGCYINPVFPEDAAKLLREVNVPIEG >EOY07645 pep chromosome:Theobroma_cacao_20110822:5:2312527:2315234:1 gene:TCM_022030 transcript:EOY07645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor family protein MTKTTLLLLLFCLLSLLSSTTAEIKTLTINSDSRPMILFEKFGFTHTGHVAIAVSSVLVSSSVNAPNPDSSRLGFFLLSEESLLQVLLEIQQNPHFCVLDSHYIQRLFTFSDLSPPPLSRFDRSYPVSSPNEYSLFFSNCAPETRVSMNVRTEIYNLDRDGSKDFLSAGLTQLPSLYTFFSILYLGFLAYWIYVCYTNKRSVHRIHLLMAGLLLFKALNLICAAEDKHYVKVTGTPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQEREKKVLMIVIPLQVLANIASVVIGETGPFIKDWVTWNQVFLLVDIICCCAIILPIVWSIRSLRETSKTDGKAARNLAKLTLFRQFYIVVIGYLYFTRIVVFALRTIAAYKYQWVSNAAEETASLVFYLVIFYMFRPVEKNEYFILDEEEEEAAELALRDEEFEL >EOY10874 pep chromosome:Theobroma_cacao_20110822:5:36900870:36902631:1 gene:TCM_026170 transcript:EOY10874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHAFVVVMPFMNPVLDFHGRWHSHFIRNTPLHLILNHFFDVFCLACRGPTRTDSIVYNCQQCRRISLHFLCANSLWRPLESRSHPHPLFYFGTECQKLFKLFDEFSERGFPFQCYKCYKLCIGVPFYRCVLCQINFHLKCVPIPYFIKSKCHIHPFTLRDCFVEDDSEKYFCDVCKEERHPKDHTYFCEECQGLFVAHIECVLHNMVEEIAPAEEPSSNLVLDFENISPRLRVKLSTDELTEEQQSDEENPAMKE >EOY09427 pep chromosome:Theobroma_cacao_20110822:5:29066794:29072057:1 gene:TCM_024845 transcript:EOY09427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 712, subfamily A, polypeptide 1 MAIISSDILYYPLFLIWFITALLVHSFIKRFLKPSRTRVPDPPSPPALPFVGHLHLVSSVLPKSFQALAGRYGPLMQIRLGASSCVVVSNATVAKEIFKTQELNFSSRPEFGSSEYFIYRGSRFVLAQYGDYWRFMKKLCMTRLLAVPQLDLFTDIRDQEKVKLVESVMKCCKEGKPCDLSSELTTLTNNTICRMAMSTRCSGNDNDAAEIKELIRTCLQLSGKISVGDVLGPLKILDFSGNGQKLKAALLKYDRLADRIIKEHQEEAMKGLDKNQRKDLLDILLEVCKDPTAEVKISMKDIKSFLLDIFMAGTDTSSAAMQWAMGKLINHPKAFQKLRDEINSVVGPNRLVKESDVPNLPYLRAVVRETLRLHPSAPLIIRECAEDCKVNGFIVKAKTRVLVNVFAVMRDPDSWTNPNEFDPERFLESSDERIGEHQMEFKGQNFRYLPFGSGRRGCPGASLAMLVMHAAVGSLVQCFDWKVKDGEKVDLSLGSGFASEMARPLVCYPIARFNPF >EOY07477 pep chromosome:Theobroma_cacao_20110822:5:1707523:1714690:1 gene:TCM_021905 transcript:EOY07477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 17 MGSVLLTSSAFFRALFLALCAVWLLPDLALAKHAGITRHYKFNIRMQNVTRLCQTKSIVTVNGQFPGPRIIAREGDRLLIKVVNHVQYNVTLHWHGIRQLRTGWADGPAYITQCPIQTGQSYVYNFTITGQRGTLFWHAHISWLRATLYGPIVILPKRHASFPFPQPFKEVPIIFGEWWKADTETIINQAMATGGAPNISDAFTINGLPGPSYNCSAKETFKLKVKPGKTYLLRLINAALNDELFFRIANHTVTVVEADAVYVKPFKTDIVLITPGQTTNVLLKAKSKAPGANFALSARPYATGIATFDNTTTIGILEYEKSASASNSKNKKLPLLKAKLPQFNDSTYAMKFNQKLRSLATTKFPVKVSKNVDRRFFFTVGLGILPCSQNQTCQGPNNTRPAAAVNNVSFVQPNIALLQAHFFNRSKGVYTTNFPANPPFKFNYTGTPPRNIMLDSGTKVVVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVLGQGVGNFDPKKDPAKFNLVDPAERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWVVNDGKGRKQKLLPPPADLPKC >EOY11368 pep chromosome:Theobroma_cacao_20110822:5:39111768:39115330:-1 gene:TCM_046818 transcript:EOY11368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase 1 MAKSLEFGAFMEKFTLQPSPSLQQLPLSGLTFAVKDIFDIEGYVTGFGNPDWARTHSAATTTAPAVMDLLKGGATCLGKTVMDEMAYCMYGVNKHYGTPTNPCAPDRVPGGSSSGSAVAVGAMLVDFSLGTDTGASVRVPASYCGILGFRPSLGAISTVGVVPMSQSFDTVGWFARDPVILNRVGRVLLHLPDVDPIKPNHIIIAEDCFHLSSVPSDRTAQILVKSIRKLFGGQCVKHVILGDYVKDKVPSLQHFMDKENEDQEDNIPSLAALSSAMRLLQRYEFKNNHAEWVAKVNPDFGPGISERIWDAIKVTGENVDVCHSVRTELCAALTDLLGDHGILALPTTPGEPPKVQANPSTLDLFFSKPFSLLSIAGVSGFCQVSIPLGMYHNVPVAISLAAKHGSDAFLLNLVETLYDSLKEQIELLTE >EOY08887 pep chromosome:Theobroma_cacao_20110822:5:22748781:22752388:-1 gene:TCM_024161 transcript:EOY08887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 22 MATNENLPPNVIKQLAKELKSLDESPPEGIKVGVNDDDFSIIYADIEGPAGTPYENGVFRMKLLLSHDFPHSPPKGYFLTKIFHPNIATNGEICVNTLKKDWNPSLGLRHVLIVVRCLLIEPFPESALNEQAGKMLLENYDEYARHARLYTGIHAKPKPKFKSGAICESTTALNVDQSNTSVLNVDQKNAASGAAALSLPYPLAQSITATKGGNNQDQQSIFPTTETGVSGTALVGTAGPALKKEGLTKVQADKKKMDARKKSLKRL >EOY08207 pep chromosome:Theobroma_cacao_20110822:5:5614456:5616936:1 gene:TCM_022548 transcript:EOY08207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHDCCVRRESHVPFPHHKLLSLFLPFVFFFWGGGGVGVVGGSSFLSVSLPITFLLLYINIPFVHFVPHLALSFLLLLLVVRNQSFSFLGQSYLTNLLEKGHYREFEKVLFHSHGKAELKPVPAELLHNERE >EOY07325 pep chromosome:Theobroma_cacao_20110822:5:1204766:1206710:1 gene:TCM_021786 transcript:EOY07325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta 8 MREILHIQGGQCGNQIGAKFWEVVCTEHGIDSTGKYNGDSDLQLERINVYYNEASCGRYVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPNGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEEYEEDEEEYQE >EOY08400 pep chromosome:Theobroma_cacao_20110822:5:7625141:7630035:1 gene:TCM_022797 transcript:EOY08400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iaa-amino acid hydrolase 4, putative MRKTKQIGSGKVKKIQLPVSKTQADLNVLIPDFLLPDSTRFPKSFFSRSDQRDSKTFLSQLSKFLSKLSSAQLMGFIFCLLLSTFLRQSWALETPSGIELEPLTQELLESARKPVFFEWMREVRRKIHEYPELGFEEHKTSQVIRTELDSLGISYKWPVAKTGVVATIGSGAKPVFSLRADMDGLPIKELVKWEHKSKVDGKMHACGHDSHVAMVLGAAKLLQAKRDELKGTVKLVFQPGEEGYAGAYHMLQDGSLDDIDAIFALHVLPAYPSGILSSRPGAMTSGAGLFSAIIQGKGGHAALPHLNRDPIVAASFAIVALQQIVSRETDPLEARVVTVGFIEGGSVENVIPESVRFGGTFRSLTNEGLSYLQKRIKEVIEMQAAVHQCTATLDFLEDVPLPYPVLSNDEALYEHAKKVGETLVGEANMHLHPVITGAEDFSFFSLKTKAAMFGLGIRNETLKSDQALHSPYFFLDESALPIGAAFHAAVAVSYLDSHVVIQEVLT >EOY10969 pep chromosome:Theobroma_cacao_20110822:5:37283261:37293952:-1 gene:TCM_026241 transcript:EOY10969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein, putative MNANMRAVTIFIIGFLTLAATGITFCDGKSSVLCIESERQALLKFKQDIIDRSNRLSAWADGGDCCNWVGVSCDNLTGHVYKLDLRPSSISDYASDAEIGVYWRSLLRGRINPSLLLLKHLSHLDLSLNNFGGLQIPQFLGSMESLTYLDLSKAGFGGALPHQLGNLSKLQHLNLGVTNFRYPLVEARNLQWLSGLSSLQYLDLSGVDLSKATDWLQVTNKLPSLVELHLSACFLDNDPSPITVNYTSLSTLDLSNNYIFPSVPMWIFSLGSLVSLDLSVNSFEGLIPNSFQNMSSLKFLDLSINSFNSSIPGWLFSLNHLEFLSLRGNLLQGKIPTAIGNLSSIISLDLAGNQLEGILPTSVENLFNLRQLDLSDNKIDQETSEVLQSLSRCCSDDLRSLNMANNNLTGHLSDELGQFKSLSNLFLSQNSISGLIPASLGNLSSLKYIDISDNQLDGSLPQSLGQLMSLEYLNIAYNLLEGVVSEVVFSNLTRLRVFKATQNKLKFEAKSSWAPPFQCQTIEMGYWFLGPKFPTWLQFQTDLSTLDISSAGISDVVPSWFWNFTPKLVSLNISHNQLEGEIPFLSVHKLVDLRSNRFTGPLPRVLPDVATLFFSNNSFSGSLSHFLCDYELGEPKLFLLQLETNLLSGDIPDCWEKWRGIQVLNMGNNNLTGKIPDSLGSLGFMFLNLRNNKLSGELPLSLQNNTRLFMLDVGENQFSGSIPKWMGESLSNLVILSLRSNSFAGHIPEELCQLSSLQILDLGDNKISGAIPKCFKDFTAMATKPNNTDAVIDFFVEGEFIRSELLVMKGRVNEYSTTLSLVTTMDLSNNNLVGEIPKELASLAGLQFLNLSRNSFTGRIPDHIGNMRLLESLDFSKNHLQGSIPASFSNLNFLSHLNLSYNNLRGRIPTSTQLQSFDRFSYIGNQLCGPPVTENCSGKIETPTNVTNEGGHEEDEGWFEKYGIYVTVVLGYVVGFWGVVAPLYFIKSWGLAYYEKVDAIGRKLSNLWGRLVPNCT >EOY08386 pep chromosome:Theobroma_cacao_20110822:5:7242831:7244806:1 gene:TCM_022758 transcript:EOY08386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIHMALQKSIVAEGQSTNRPPLFDDSNYPYWSTRMSIYIRAINYEMWDVITDGTFMPSTVNVVTNELMPKPRSEWIEAETKKVQINFKAINTLHCTLTPTEFNKISSGTTAKQVWKKLRTIHEETYQVKESKIALLTHNYEMFKMEYGEDITSMFDRFTNITNKLTQLGKPIPEHELVKRLLRSLPKSWKPKVTAIREAKDLNIITLNGICGSLLTHEIELKEEEEEEDQREAKEKKKSIALKASILEEELEELFYDDDEELALIARKFRKLMGKRN >EOY11723 pep chromosome:Theobroma_cacao_20110822:5:40137180:40144114:-1 gene:TCM_026808 transcript:EOY11723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase isoform 1 MSVSFSHFLIPFSESAIARSISLFPDAANNFQSLRGSRLALYVGADNFCRRYCGLKLQVLKRLNIQQRNRKHGPANEFKSIRNQDKDQSENPSRNLANDELFSEAASSISSPNDSAEEHTRSTSQPKESSLPSSQNVILKKPDASLELPSSFVQKHSLNLNVDDHHRGSSLCIAVIGATGELARNKIFPALFALYYSGFLPENVGIFGYSRKNLTDEDLRSLIASTLTCRIDHQQNCEDKMDVFLSRTYYLNGGYDNKEGMSKLNARMEQIEGGYRANRIFYLSVPQEALLDVASSLANNAQTKKGWNRIIIEKPFGFDALSSQWFTKSLLSNFEEKQIYRIDHLLGRNLIENLTVLRFSNLVFEPLWSRTYIHNVQVLLSEDLGMQTGRYFDGYGVIRDIVHSHMLQTIALLAMEPPVSLDGEDIRNEKVKVLRSIRKLEPSDVILGQYKATSGDKVDVSLSSLTPTFFAAALYIDNGRWDGVPFLIKAGVGLIKHRVEIRIQFNHVPGNLYRERFGHNTDLATNELILRDAPDEAILVKINNKIPGLGLQLDASELNLLYKDKYNVEVPDSYEHLLLDVIDGDSHLFLRSDELAAAWSILTPVLQEIDRNNIAPELYELGGRGPVGAYYLWAKHGVRWADD >EOY11724 pep chromosome:Theobroma_cacao_20110822:5:40137875:40143407:-1 gene:TCM_026808 transcript:EOY11724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase isoform 1 MSVSFSHFLIPFSESAIARSISLFPDAANNFQSLRGSRLALYVGADNFCRRYCGLKLQVLKRLNIQQRNRKHGPANEFKSIRNQDKDQSENPSRNLANDELFSEAASSISSPNDSAEEHTRSTSQPKESSLPSSQNVILKKPDASLELPSSFVQKHSLNLNVDDHHRGSSLCIAVIGATGELARNKIFPALFALYYSGFLPENVGIFGYSRKNLTDEDLRSLIASTLTCRIDHQQNCEDKMDVFLSRTYYLNGGYDNKEGMSKLNARMEQIEGGYRANRIFYLSVPQEALLDVASSLANNAQTKKGWNRIIIEKPFGFDALSSQWFTKSLLSNFEEKQIYRIDHLLGRNLIENLTVLRFSNLVFEPLWSRTYIHNVQVLLSEDLGMQTGRYFDGYGVIRDIVHSHMLQTIALLAMEPPVSLDGEDIRNEKVKVLRSIRKLEPSDVILGQYKATSGDKVDVSLSSLTPTFFAAALYIDNGRWDGVPFLIKAGVGLIKHRVEIRIQFNHVPGNLYRERFGHNTDLATNELILRDAPDEAILVKINNKIPGLGLQLDASELNLLYKDKYNVEVPDSYEHLLLDVIDGDSHLFLRSDELAAAWSILTPVLQEIDRNNIAPELYELGGRGPVGAYYLWAKHGVRWADD >EOY07505 pep chromosome:Theobroma_cacao_20110822:5:1771585:1776114:-1 gene:TCM_021922 transcript:EOY07505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding family protein MAPNDPKQSGGFFSSIANSLSNFGSAMTKSVNGLLGYEGLEVVNPEGGTEDAEEEARRGRWKQEERDSYWKMMQKYIGSDVTSMVTLPVLIFEPMSMLQKMVELMEYSYLLDLADECEDPYMRMVYTTSWAISVYYAYQRTWKPFNPILGETYEMVNHGGITFIAEQVSHHPPMSAGHAENEHFIYDISSKVKTKFLGNSIDIYPLGRTRVTLKRDGVVLDLVPPPTKVNNLIFGRTWVDSPGEMVMTNLTTGDKAVLYFQPCGWFGAGRYEIDGYVYNAAEEPKILMTGKWNESMSYQPCDSEGEPLPGTELKEAWKVADAPKNDKFQYTYFAHKINSFDTAPQKLLASDSRLRPDRYALEQGDLTKAGLEKSSMEERQRAEKKNREEKGHKFTPKWFDFTNEVATTPWGDLEVYQYNGKYTQHRAAIDSSSSGEEIDVRSIEFNPWQYEDLAAN >EOY07833 pep chromosome:Theobroma_cacao_20110822:5:2899865:2900869:-1 gene:TCM_022157 transcript:EOY07833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 family protein MPPKFDPTQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKVMRPRSMAKDLRGTVKEILGTCVSVGCMVDGKDPKDLQEEISEGEVDVPLE >EOY08785 pep chromosome:Theobroma_cacao_20110822:5:20055789:20058974:-1 gene:TCM_023926 transcript:EOY08785 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP-like protein MLPLPFFSSLSLSFFCFVVVLPLPFFFSFSFFFSFSFLFLLCHVRSLFFFFSFSFSIPQAPHSLPSLSFFLSFSLSPLSFLPPFFLVALTFLLLSSSSFSILADPPLSSFSLCLFFLRRRKSGALRSKLIGPRVRIRSSFLEVCKRWDMSLLVKNALNLLRVHQQHQFVETPSTPPFKASESFIPNAMILNFLMRIDEKLNEQAKRERKMEERILKIEERLQNIETSLLKKKQKAKNPYNFFFQRFNADLIPHLISDLDNQTLCAEPTMEEVKEVVYAIDKDSVAGPDAFSSLFYQQCWHIIADDLLIAVRDSFTSSTMPRGVTSTSLVLLAKKSIAESWSDFRPISLCTVFNKIITKLLVNQLAKVLSSLISDNQSGFVSGRLISDNILLAQELVGKIDYKARGGNVILKLDMMKAYDRLNWDFLYLILEHFGFSSQWIDMIKRCISNYWFSLLVNGHLVGYFKSEKGLCQGDSILPLLFILAAEYLSRGINSIFAQYKSLHFYSRRSLNISHLAFADDIMIFTNGSKSALEKVLGFL >EOY08822 pep chromosome:Theobroma_cacao_20110822:5:21820592:21827907:1 gene:TCM_024058 transcript:EOY08822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRLESSLRCALEEAIFLNRTFVMPSRMCINPIHNNKGILHNLANATAEERWAASSCAMDSLYDLDLISETVPAILDNSNVWHLILSTSMKLGARGVAHVEGLKRVDLKEDSRYSNLLLINRTASPLSWFMECKDRNNRSAVMLPYSFLPSMAAEKLRNAADQIKTLLGDYYAIHVRRGDKIKTRKDRYGVERSLHPHLDRDTRAEFILRRIQKWVPAGRTLFIASNERTPGFFSPLSVRYKLAYSSNYSQILDPLIENNYQLFMIERLILMGAKTFIKTFKEDDTDLSLTDDPKKNTKMWQIPVYTLDGEGS >EOY08066 pep chromosome:Theobroma_cacao_20110822:5:4217320:4222365:1 gene:TCM_022381 transcript:EOY08066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVELKALEDNGTWSIVPLPSNCNVIGYKWVYKVKLNADGNVERYKARLVVKGYNQIKGFDHQDTFNPVGQRNNKSDVKEFLKSEFKLKDLGKVKYILGLEITRSLEGISICQRKYALDLMEEQGLLGTKLVLSQFTEKPGIHHLAAAHRVLKYIKKAPGQGILMKSKCNLRIFGYSDSDWAGCLDTRKSVTGYCIFVGDSLVSWKSKKQSVMARNSAKAECRSMAATCCEIIWLRSLMADFGINHSETVNLYSDSQSAIQISKNHVLHEKTKHIEMDCHFIRDKVLARLINPLHISTQSQLSDIFTKALQPRQFYNLLNKMNVHDIHSSS >EOY07096 pep chromosome:Theobroma_cacao_20110822:5:423531:425537:1 gene:TCM_021608 transcript:EOY07096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferretin 1 isoform 2 MFLRSVSSISVQTKHGDNLISSLRGSPALSSSSFGSAFPSSSALGFPQRKPGRAFGVSASLETNDFALTGVVFQPFEEVKKKELDIPVAPQVSLARQKYTDECEAAINEQINVEYNVSYVYHSLYAYFDRDNIALKGLAKFFKESSEEEREHAEKLMEYQNIRGGRVKLHSILMPPSEFEHAEKGDALYAMELALSLEKLTNEKLLSLHNVAERNHDAQMSEFIEREFLSEQVSSTIKKISEYVAQLRMVGKGHGVWHFDQM >EOY07095 pep chromosome:Theobroma_cacao_20110822:5:423757:426000:1 gene:TCM_021608 transcript:EOY07095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferretin 1 isoform 2 MFLRSVSSISVQTKHGDNLISSLRGSPALSSSSFGSAFPSSSALGFPQRKPGRAFGVSASLETNDFALTGVVFQPFEEVKKKELDIPVAPQVSLARQKYTDECEAAINEQINVEYNVSYVYHSLYAYFDRDNIALKGLAKFFKESSEEEREHAEKLMEYQNIRGGRVKLHSILMPPSEFEHAEKGDALYAMELALSLEKLTNEKLLSLHNVAERNHDAQMSEFIEREFLSEQVEAIKKISEYVAQLRMVGKGHGVWHFDQMLLHEGDAA >EOY09248 pep chromosome:Theobroma_cacao_20110822:5:27553087:27574670:-1 gene:TCM_024656 transcript:EOY09248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein MLWIKANKSIGKIKENRWWMEKWKLNPMAVCKVPRSISIYVPSELKFNVDSQAHGKLDSARWVSVLRDSNGQVGSNGLIVESNSKITISKVKESKLCPWKVCNVRDLCKAIQANELACLESLDEIQSLVENKFQDIRNSNQRGFQSTGLPFKSEHLMILVKAVPIKERHKLTVAWPITPSRHHYKEGPCHYLSRVIGHKGEGSVYHTLQKLEKALSNDIEAWTKSFVDFLSWFYPISHWKELVTSEDKKGNEMIIFIMYKPQYFVTHGKAT >EOY09334 pep chromosome:Theobroma_cacao_20110822:5:28367270:28368658:1 gene:TCM_024756 transcript:EOY09334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MESYRRSPLKPWKKGPTRGKGGPQNASCQYRGVRQRTWGKWVAEIREPKKRTRLWLGSFATAEEAAMAYDEAARRLYGPEAYLNLPHLQPNSNPPNKLQKFKWIPSKNFVSMFPSCGLLNINAQPSVHVIHQRLQELKKNGVLNQTGSSSSSSCESKTDIQIINDKTPAEDPQMKEKDVEISSDKMAGAYEDKPQIDLHEFLQQLGILREEKQSEGAETTESLTATDSSIKDYDEVAVFAENSFNWDAMIEMHGVADYQGAEASFQVHDAQEDLTFPASIWNF >EOY08036 pep chromosome:Theobroma_cacao_20110822:5:4111201:4112845:-1 gene:TCM_022359 transcript:EOY08036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein, putative MATVALMLIAASLLMGCSTQTKATVVPALQVINLGGKVLCQDCTKGYGEWAQGSQPIKGCKVSVTCMDDRSRIMYYGSDETDEQGQFNMVVNKFINGKELQAKSCLVRLVSSPHPTCNIPSNFAGGQRGVKLPIRPTVVYRDLVQYQLDTFFYTTPRCDKPADTHDSFECHGNNNY >EOY10452 pep chromosome:Theobroma_cacao_20110822:5:34887434:34888869:-1 gene:TCM_025813 transcript:EOY10452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoprenylcysteine carboxyl methyltransferase (ICMT) family, putative MPKTLFPLSFLSSVLLRISRTFPLQDCNVFHQSSRSKVVQTHSNFNFREMNPLSQFLLAILFFHSSEYILAVAIHGISNVSLTSLLVSKEYALAMVFSLVEYYFEVLLFPGLKEHLWVSNLGLAMVVIGEIIRKLAIVTAGRSFTHLIKRYHEEHHKLVTDGVYGFVRHPSYCGFLVWSVGTQIMLCNPIATIGFAVVVWQFFAKRIPYEEYFLRQFFGADYEEYARRVPSGVPFVK >EOY10110 pep chromosome:Theobroma_cacao_20110822:5:33023984:33042587:-1 gene:TCM_025488 transcript:EOY10110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 107 MPSIHTNISQTTLIKGHWDMMGRSPCCDENGLKKGPWTPEEDSILVDYIQKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSEEEEQTIINLHAVLGNKWSAIATHLPGRTDNEIKNFWNTHLKKKLLQMGIDPVTHRPRTDLNILANLPQLLAAANFSSLMNIPLDNALRLQSDAAQLAKIQLLHNILQVLGSSSSTTTPTMEAMNLLAGPSLRENQLYELLRMNSQLEENRNLPFAFAPHELTQLQSNFLNLEASQHQPHQFSEYHQPMKDSKICSNNNDQFASSSSSPVIPTSTPQLPALVPASPGHPTTHVNQTENKINPNDISNPSSTSTTFEAWGDLMDDEASDSYWRDIIDQASSQSWTIS >EOY10233 pep chromosome:Theobroma_cacao_20110822:5:33614626:33618739:1 gene:TCM_025587 transcript:EOY10233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein phosphatase MKELKVEYCDELLNIFPSFVLGVLHKLEILRVTDCGSLEEVFEHHDQGLETKDTCVVAFQLKELILFRLPKLKHVWNKDPQGNISFQTLRKVKVRGCWSLKSLFPFSIAKGLPQLESLLVEESGVEEIVSKNEGLEQEIWFEFNQLSFLKLWQLTNLKCFYPGMHTTVWPVLKKLWTYCCGKIKIFGQLESHIQQPLFLIEKVIPQLEEVSFRSDDIAMICDGQFPSDFFCHMKLLQITCYLDESAVFPFFFLQRFYNLEVLGVIGCNFKELSPYEGNCSEDKEVRMLSKLRKLKLDSLQKIIHLWKQESPLGHMCASLETLEVWRCDSLINLGASSAPFDNLISLDVWKCRGIVELITSSKVQSLVRLVTMKIRECEMMKEVVASDEDDESTYEIIFRELKHVELHGLPSLRSFCSGNYSFNFPSLEQVIVSECPSLKSFCRGALSTPKLERVQPKRTDYKGRWAGDLDATIEQLYMEQNVQISEEKTEGTI >EOY11150 pep chromosome:Theobroma_cacao_20110822:5:38149769:38154709:1 gene:TCM_026412 transcript:EOY11150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji family protein / zinc finger family protein MEQLKKMSARWVPDQACRPIIDDAAVFYPTVEEFEDTLAYIEKIRPEAESYGICRIVPPPSWTPPCLLKDKDIWEHAKFSTRVQQVDLLQNREPMRKKSRSRKRKRSRHSRMGATGRRANSSSESKITYEADEQFGFHSGLDFTLEEYQRYADEFKEMYFRRDSKPRVDEYRKWEPSWEDIEGEYWRIVEQPTDEVQVYYGADLETGTFGSGFPKASSMLTGTGSDAYIYAMSGWNLNNFPRLPGSVLSFEGCDISGVVVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGDPKIWYGVPGSHASSLEAAMRKHLPDLFEEQPDLLHGLVTQLSPSVLKAEGVPVYRAVQRSGEFVLTFPRGYHSGFNCGFNCAEAVNVAPVDWLEHGQHAVELYSEQHRKTSLSHDKLLLGSARQAIKALRELFVLGRETPGNLRWNLVCGKDGMLSKAVRMRVQMEEKRVKRLPPHLPLLKMEKDFDLENERECFSCFYDLHLSACSCKCSPERFACLKHVKNFCSCQDEDRFVLLRYTIYELQMLVKALEGGLDAVKVWASEDLGPKNNSVTDVETLLNFFKQEKICGFDEEREPDLKRIKIVTPTPHQDQEYQSNK >EOY07834 pep chromosome:Theobroma_cacao_20110822:5:2904272:2905431:1 gene:TCM_022158 transcript:EOY07834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF584 [Source:Projected from Arabidopsis thaliana (AT5G03230) TAIR;Acc:AT5G03230] MASRKIFFGSKPSYIYPTMELDDGNVNHPSSDHHNHLEFDEADVWNSNESTTTTLDAKKPLPTSRTSSKKLLRKMEVSDRRSQMASASLPVNIPDWSKILKDEYREHGKSDEDVEDDDDDVDHDHDGRVPPHEYLARRRGASFSVHEGIGRTLKGRDLRRVRNAIWKKTGFED >EOY07121 pep chromosome:Theobroma_cacao_20110822:5:512091:513842:1 gene:TCM_021635 transcript:EOY07121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase MARIPPSMSIETEMECGRKEVVGSQTLQRIAEQLQCYKTPSLIEELENVTGRNMGSKFGIMDHVEGENGLFTHANWRKRRAAVLICLFEGKQGELRVILTKRSMKLSSHPGDVALPGGKMEEGDDDDSATALREAMEEIGLDSYLVQVVAKLEPFISQNQLRVVPVVGLLAKIEDFSPVLNCDEVDAVFDVPLEMFLKGGNSGKQGGLGDLETVDNHRCEERAWKSWKYVFHCFDCETEQGAFTICGLTASILIRAASVVYQQIPCFSSNLPDFQQLQRALSNAA >EOY08849 pep chromosome:Theobroma_cacao_20110822:5:22048797:22049801:-1 gene:TCM_024087 transcript:EOY08849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPKRSKPSSSGSFDRSKFISVEAFTRYHTSLINQRPIPERGIEIPILPYKEINDMIHDRYWCQFCHQPDATVVPVVREFYANVVEHVDGVAFVRGAQWKTSHDEPVSFKRSVMKKELQVWLHFVAARLLSSTHISDVTKDRAVLIYAIVAHKSIDVGKVISHAILHTGRTKRDGIGFPSLITALCARAGVQWSDKEQLQQPKLPITMGILQRLEEFTQVAGSSS >EOY09054 pep chromosome:Theobroma_cacao_20110822:5:25141045:25143565:-1 gene:TCM_024394 transcript:EOY09054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSASVAFASQSSKATKRKWKYQEDVALVSLEFSTIQPQTSALRSEDTSTRKKRRLNEVCDPVTFESSITIATIMGENLKELGSILLNLLLPLGIENREEWRLWLLPFR >EOY07579 pep chromosome:Theobroma_cacao_20110822:5:2026346:2035840:1 gene:TCM_021975 transcript:EOY07579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monovalent cation:proton antiporter, putative MEQTHQHLRVKLYKNPSALHEFSASEKSVVAINFSLVTTMAINGTSVRTSSDGVWQGENPLNYAFPLLILQTTIVLFTSRFLAVLLKPLRQPKVVAEIVGGILLGPSALGRNKDFLHLVFPAWSTPILESAASIGLLFFLFLVGLELDLTVIRQSGRKAFSIAIAGMSVPFIFGAALSLLLHKAVNGEERVSIGQYILFLGVALSITAFPVLARILAELKLLTTQVGQTAMAAAAFNDLAAWILLALAVALASNGSSEAHKNPLISVWVLISGVAFVAFMLILVRPLMNWLARQCSPEHDFAIDEAYICLTLSGVMLSGFITDLIGIHAIFGAFIFGLTIPKGEFAAKLIKRIEDFVAGLLLPLYFASSGLKTDVTKIRGVEAWGLLALVITTACAGKILGTFVAAMLCMVPARESLALGLLMNTKGLVELIVLNIGREKKVLNDEMFAILVLMALFTTFMTTPAVMAIYKPLPRMTSQTQRRLERAMPPAENAEDELRILACIHGPGNVPSLINLIESIRTTKKSQLKLYVMHLVELTDRSSSIVMVQQAQMNGFPFVNRFRRGKSYDQIAATFGAYGQLGRICIRHLTAISTLSTMHEDICHVAEDRRVAMIILPFHKLWRGVEEETMENFGNGWRGVNQRVLKTAPCSVAVLVDRGYGRESEQVAESANVVPKRVGILFIGGPDDREALELGGMMAEHQPVQVTLVRFLQNDDNKTDKELDEYAVAEFIRRWEGSIKYEEKAVTDIAEAALAIGQSREYELLVVGKGQLPSSTELADNYIEHAELGHVGDVLASDGGMLPSTLVIQQQNAVVAEDVTDVKMI >EOY09089 pep chromosome:Theobroma_cacao_20110822:5:25941879:25956002:-1 gene:TCM_024471 transcript:EOY09089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEPVVVVLEESTTGTSLENYVEEVHHEEDSMEEGHNMVQPSRVSTSEMIKVRKSISEGLGDTIKLAIGKIGSIETVQVTHQVQVGNGNIYAPTDAREKVEMFVELMGKVRESDLPWLLGSDFNSVLKMEKRLGATADDRDIEFFQQVVNELGLIDLPLKGDSPSIKEAAAIHFESLYGQRRVLELQNWDIDFHKVPEHLANGLEIMFIEDEVKEAIFSCDGNKA >EOY08399 pep chromosome:Theobroma_cacao_20110822:5:7608452:7625046:-1 gene:TCM_022794 transcript:EOY08399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METIRDPSSSGSSQLISSRGQRNNLLQENMLLTYGDIEVELEKEAKLIGKFNFGEKGAEDNLLFEKNGRCEGRGKVDQEKCMGFSLIPHDGSTRPLDDSL >EOY11308 pep chromosome:Theobroma_cacao_20110822:5:38887118:38892202:-1 gene:TCM_026536 transcript:EOY11308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein, putative MAQSPFTLLLLKRLSLSSKIPLKLNNFSPSPIFTTTTSKPFSSASPQPAVSTTQDPPKPTSLSARMSFVFDQIDQIERQRQQQSVQYDDTLQRIRAWRQSKKEAQATQQQFQPKEQSPESGIAKNDASTNDFTLSDSPELTESRNGDALRRIRAWRESKHGENKEISEGETAKNEANSSVFSTDPVAELGELERQKGNEVELVHPWPEWIELMERLVQQNYFDHKRRDEGKMVEELGFDLSNVVEEVKDNAGIDFKDFRTVQTACLNFGKDRFDILRSLSRQDVQNLVGFGCPSADKKVVFSAKLLRKHVHLDEGDVCSSCSLRNSCERAYLLTNKEDEARTIDVMRVLLTYGFHSMNGSVFNESVIKQKSVKNVVRKLLHEVVKLSAVPIDPNLPPPVIKKPPPKVKQPPPPPKKRVGRDDIEMKKGDWLCPKCDFMNFAKNTVCLQCDAKRPKRQLLPGEWECPECNFLNYRRNMACFHCDCKRPPDAFMESKVQEMQPGPRTRLEKVAHRPEVSNAWNFDFDDDESDGADVAAFEYADSPVMSEASPLDNQTHGGKFRGLEDDFDTAGRASRVHERKYSDIDSSKHGMGFDDFDDEDDIDSYEIDTQRNNPRRKASYSDKEVFSEPEDIKGSNNSSPARRGTRFPSHSNSSKHMHKNVALSGSEDDELDFDSDEELSVHPKWKSSHVADSKHRGRGASKDLSFGSDEDLDLDSDGDDGFGNFRSKGRRQEKRSYGRGNFQRGGSSDFEGGSVSDLEGPHSRRNGSRGSKTGSGRRGNNVRDPGDYNFRKDSRSWSNTKMDDGRNYPGDHFDKSYRGSRGDKGRLRGDDYSRQRKNNNMQKFKGPRQYGVFENRRRGRSHEYSIGSDDPSEFRNSRRVIER >EOY09377 pep chromosome:Theobroma_cacao_20110822:5:28841349:28845534:1 gene:TCM_024812 transcript:EOY09377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTSNLLPLLIENQYLNLVPMKIIPNPSTRNYDPNAKCDYHIGVIGHLTKKCKQLKEKIENLIKDGILSLELMECWKSALPQIRLLLGS >EOY11680 pep chromosome:Theobroma_cacao_20110822:5:40036909:40042561:1 gene:TCM_026781 transcript:EOY11680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNDSRESDLVVDLENGDNTSSDEDEIKEHALDEEMDRDEVAGQDGSTWRGEGLNIPSKSSFISGGVKVIDQAGRGSKEKKISGEKKQKRGSKKPTKPPRPPGAPSLVDEADIKLVREICELSRLRRARYERIKALRKMRADKASLSKSNVFAMIVTILFICVIVFQGIDFLIFRHLGFSCMMLIGQSSPYCIPKVRANLINVPVIIHFVLTQSKSYRYNLEVRTGAACGITFLVHCHLLQTALI >EOY10308 pep chromosome:Theobroma_cacao_20110822:5:34082837:34084503:1 gene:TCM_025681 transcript:EOY10308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLELKNHALLNKCLWRYANEPDSLWRKVIWAKNRLDPGSMLPSSKPHRPSLFRNQISKSLQLSNRYHDLVTMNFGSAPPKVESFCWQVLKGKMGAKSILMERGLMIDDEAK >EOY11444 pep chromosome:Theobroma_cacao_20110822:5:39287999:39289116:1 gene:TCM_026617 transcript:EOY11444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MRVHKNVLKVIGCCLETEIPTIVYEFAGTKRLSTCISATNVQPLSWKFRLKIAIGLANAVAYLHTAFSRPVIHRGSTGWWVPPEYWARGYITKKVDVYQFGLFLFELLSGKGLVYLPRDDTLDTGELSVERFIDAVDSRIKNEGIDLEQLSDFATLIVRCTSYDEENRPTMIEVGKELKQIDQSFTSLC >EOY09659 pep chromosome:Theobroma_cacao_20110822:5:30402993:30408377:1 gene:TCM_025055 transcript:EOY09659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase 17 MEPSGAADSLDKEEVSPEKMERVREFMSTLPAENGWRAFQPLCLYQGFWSFPYFLEGIILAQQHFKPKPGDIFVCSAPKSGTTWLKAVTFAIVTRKHFDNSSSPLLTKGPHHCVPTFVGFGQKADIREPGVPLIATHAPYPSLPKSVLDCSSDHCKIVCICREPKDAFVSMFHFAAKRRFKEIEPISLEEAFHLFCEGKSVFGPCWDHILEFWKASQERPDKAISDEEQVGAVQKIVDLCSFENLSNLEINKTGKRYAGDSEATNKSFFRKGKVGDWQNYLTMAQRLDKIMKQKLSGSGLTFELQCRITGDNILQTRLLSTPLGLFPPFKSCAQKWYHLAQGSDLRHCQKVNIKEGKRQAALKS >EOY10707 pep chromosome:Theobroma_cacao_20110822:5:36053278:36070700:-1 gene:TCM_026012 transcript:EOY10707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLDIIVVVEESLKMSDQYLCNLRPFQQGIFVKVRIVRIWESIDPSRPDTLLSLDFLAIDAQRGAMHFMIRSSDASQFRPQLKEGCLYSIKKFQINNKKTAFNPIPSNIMGIFTKNTVIQTIQDNLDAYPANFIGALKSITTIEKVRLQNRTSDVKKRDLYIEDLSGNTLKVVLYGDKAEAIDEDQLLDKFISPIVIVAATTIKKYMTTKFYMDLNIPETMLHKQRYEKEPFSVQIINIHMSPQQLSTKEYEIKDSTLADINNADPTEVVHLLKPFLDNPDQEWHTGIAATTSKSSTPPPLTLGSSASKVTTEKAKEQLLPILMKAEMNREDVYSSLSTFNSDQYTFRKTFMSAF >EOY07838 pep chromosome:Theobroma_cacao_20110822:5:2922465:2926759:-1 gene:TCM_022163 transcript:EOY07838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 19 MITFMDSKEKLKEMEKCLDSQLWHACAGGMVQMPSVNAKVFYFPQGHAEHACGPVDFRNCPRIPAYVLCRVASVKFMADPETDEVFAKIGLIPVSTNDPDFEDDGIGSIHGNETQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCIGIRRAKRCIGGGPESSSGWNATGGNCVVPYGGFSAFLREDESKLMRNGSTNGLNSNSNLMGKRKVRPEQVIEAATLAANGQPFEVVYYPRASTPEFCVKASLVKAALQIRWCSGMRFKMAFETEDSSRISWFMGTISSVQVADPLRWADSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLSPFSPPRKKLRLPQHPDFPLDSQLPMPTFSGNLLGPSSPFGCLPDHTPAGMQGARHAYYGLSLSDLHLKKLQSGLFPAGFPPPDHAATPNRTSNGGPIIQKPSMSENVSCVLTMAHSTQNCKKTDDAKTPQLVLFGQPILTEQQISLSCSADTVSPVLTGNSSSEGNVDKMANFSDGSGSALHQQGLPERSSCEGFPWYKDNRQEAETNLETGHCKVFMEAEDVGRTLDLSFLGSYDELYRKLADMFGIENSETLSHVLYRDVTGAVKHIGDEPFSDFMKTARRLTILMDSSSDNVGEYKGRNKH >EOY07528 pep chromosome:Theobroma_cacao_20110822:5:1869365:1870154:-1 gene:TCM_021938 transcript:EOY07528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallothionein 2A, putative MSCCGGNCGCGTGCKCGSGCGGCKMNPDISFAEKTTTETLVLGVAPQKVHFEGAEVGFGAESGCDNGCKCGDNCTCNPCTCK >EOY09525 pep chromosome:Theobroma_cacao_20110822:5:29673022:29676629:-1 gene:TCM_024941 transcript:EOY09525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb:CAB82953.1, putative MADTAKYMPINGGTTLVTDFRSLFSIIKTRRTVAFFMFAFVGFTVFLAFSPSSNSSAPWFTNIFSTSSTTSATTSADSYRSQFSSVFDYFFSNTSSSPQQQQGLNFTSYPNNTRSNSTLSQLANPREELPFDKNTTKTQSLNTNKEVASSKNTTFQSPKTNKNNTQSLVLHANQTTLSPPPVYKNPPRTSSQTEKKENSDKAQVLKANQTTIVTEKAPVAANQSTNSPAKSDSVNKVSSGKGEKSVAQKGVVSNYTASLTKKQGNGKNQGNGSGSRLPAKRGIENLIESLMNCDLFDGEWVKDNSYPLYKPGSCSFIDEQFSCVPNGRPDRDFQKLKWKPKGCTLPRLNGGHMLELLRGKRLVFVGDSLNRNMWESLVCILRGAAKNPKNVFEAHGRHYFRGEASYSFIFKDYNCTLEFFVSPFLVREWEMPDKNGTKKETLRLDLVGKSSDQYKSADILIFNTGHWWTHEKTSKGKDYYQEGSHVYNELNVLEAFRRALTTWARWVDARVNPMKSMVFFRGYSASHFSGGQWNSGGACDSETEPIRNETYLTPYPSKMLVLESVLKGMKTHVTYLNITRLTDFRKDGHPSIYRKHQKQQMSEEERIAPLKYQDCSHWCLPGVPDSWNELLYAELLVKENKMRQHQRRAR >EOY08591 pep chromosome:Theobroma_cacao_20110822:5:13109875:13115704:-1 gene:TCM_023336 transcript:EOY08591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHMDLSYMNSLRCKLSKMTHPFLYHVQINRPLANAWQGLSIDIDFGIRFEVLKLTLVSQASLARLEC >EOY09436 pep chromosome:Theobroma_cacao_20110822:5:29119525:29121016:-1 gene:TCM_024854 transcript:EOY09436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase inhibitor MNVLPFFLCFLLFSTFCSPSLSEHCNSHDKKVLLQIKRALNNPYLLASWDPKTDCCDWYCLECDPTTHRVVSLTMFSDDRLTGQIPYEVGDLPYLETLLFRHLPNLNGTIQPSIAKLKNLKTLRLSWTNLSGAVPDFLSQLKNLTYLDLSFNNLSGTIPSSLSSLPNLEALHLDRNKFTGSIPESLGMFQGKAPDLFLSHNKLTGTIPVSLGNMDFNTIDLSRNMLEGDASMLFGSKKTTREIDLSRNMFQFDLSKVEFPKSLARLDLNHNKIMGNIPPGLTGVDLQFMNVSYNRLCGQIPVGGRLQSFDYYTYFHNRCLCGAPLESCK >EOY07832 pep chromosome:Theobroma_cacao_20110822:5:2896043:2899207:-1 gene:TCM_022156 transcript:EOY07832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLFLLKPSQGRSIRKLDDQLHHGSLYSRAQSQINLQAIALIAASLMAKGSRKMYNWIEVAPAPIIYPQKPSNSPGLETIVEDVAEEYDDNS >EOY09051 pep chromosome:Theobroma_cacao_20110822:5:25122978:25127661:-1 gene:TCM_024390 transcript:EOY09051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMPWVMTLWMAEMVWIALSGWVSSCLTIADEVADSLRSGDIGPFHVG >EOY09873 pep chromosome:Theobroma_cacao_20110822:5:31444684:31446252:-1 gene:TCM_025243 transcript:EOY09873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNDSATLFNSWNAVAIKEGEISIWKMAFYTVVWSLWLFRNEIVFNGKHWDQDQLFELIKLQVASWAKAKWPFLQNSELDIYRTPKAEVHIKKQKQDRLEMRWKEPEEGKMKFNVDGAANGCPGEAGIASRWRSTHIMVVESDSKNAVQWINEPKKAPWRMRKWIFHIEMLKRKMVQWEVQHVSREANQQADNLAKSGIGRVQDLLNVLGEATNIND >EOY08545 pep chromosome:Theobroma_cacao_20110822:5:10406819:10418281:-1 gene:TCM_023101 transcript:EOY08545 gene_biotype:protein_coding transcript_biotype:protein_coding description:FASCICLIN-like arabinogalactan protein 17 precursor MDSSIYGVSSSKIFLFFYFFFFVSFAIAALPQNPSGKSFSSSASGQINSNSVLVALLDSHYTELAELVEKALLLQTLEEAVGKHNITIFAPRNEALERQLDPEFKRFLLEPGNLKSLQTLLMFHIIPKRVGSHQWPDPKTGPVKHNTLCNDHLNLTSKSTGKKTVDSAELIRPDDVIRPDGVIHGIQQLLIPRSVIEDFNKRRNLRSISAVLPEGAPEVDPRTHRLKKPAPVPVGAPPVLPIYEAMAPGPSLAPAPAPGPGGPHHHFDGESQVKDFIHTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVITVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHIIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAQEADGSVKFGHGEGSAYLFDPDIYTDGRISVQGIDGVLFPEEETQTVQKPAAVKVASKPRRGKLLEVGCWMLGTLGQGLRFRSCQ >EOY11414 pep chromosome:Theobroma_cacao_20110822:5:39194150:39195862:-1 gene:TCM_026596 transcript:EOY11414 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MSCTAKHGIVSLLLFFLFVLLSPPPSAAQPINQSDERYSYARFSPSMAIIVVVLIAALFFMGLFSIYIRNCSDSNANSVRPVAAGAGRSRRGTRGLEASVIETFPTMVYSEVKVHKIGKGALECAVCLNEFEDDETLRLIPKCDHVFHPECIDAWLASHNTCPVCRANLAPQPGDPVSQPTELNNTAAEVDLEAQNDDGESETDEERRRNNNVNCDVEAQVAPEVEVISLNRTLNRNRTRGSRSSRARKLFFPRSHSTGHSLVQPGENTDRFTLRLPAEVRKQFMKRELNRALSMVVLPRERSSRRGYRTGEDGGSGRGKSFRRLERLDQGVKSDRWVFSMTPPFFSRASSMKSPKVAANDGEGSSSSSPVGPVGDSSRPPV >EOY11413 pep chromosome:Theobroma_cacao_20110822:5:39193017:39195862:-1 gene:TCM_026596 transcript:EOY11413 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MSCTAKHGIVSLLLFFLFVLLSPPPSAAQPINQSDERYSYARFSPSMAIIVVVLIAALFFMGLFSIYIRNCSDSNANSVRPVAAGAGRSRRGTRGLEASVIETFPTMVYSEVKVHKIGKGALECAVCLNEFEDDETLRLIPKCDHVFHPECIDAWLASHNTCPVCRANLAPQPGDPVSQPTELNNTAAEVDLEAQNDDGESETDEERRRNNNVNCDVEAQVAPEVEVISLNRTLNRNRTRGSRSSRARKLFFPRSHSTGHSLVQPGENTDRFTLRLPAEVRKQFMKRELNRALSMVVLPRERSSRRGYRTGEDGGSGRGKSFRRLERLDQGVKSDRWVFSMTPPFFSRASSMKSPKVAANDGEGSSSSSPVGPVGDSSRPPV >EOY11108 pep chromosome:Theobroma_cacao_20110822:5:37977384:37978449:-1 gene:TCM_026382 transcript:EOY11108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-induced 5NG4-like protein MFFTFYKGVEINIWSTNENLLQHHQLATSRRPWRRSSNDPGKFILGVVKISVRFPYLNSSAALTCIMGSIQSAVVALCTERNWSQWKLSAFAGIIGSILGGALIVCGFDVVLWGKAKEMKQNTQLIPTAEGREEWRTWKSQKQVPLIAFSLQQNVF >EOY08301 pep chromosome:Theobroma_cacao_20110822:5:6199222:6202349:1 gene:TCM_022639 transcript:EOY08301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKEYFLEGMKTLLRVRRMNQSLRWSAMSDEEDFEYGSIKGFPSIIIESLRVLLDDPWVIMGHYLTVHPSTPNFSTDMQDLTTVAAWVRFPGILLHMYHKSILRRITSLIGRLLKIGYNTGAENKGKFARVAVELDLSKPLKAKGNRLKSYVCNQPKIARLGGSGSRFTVLDMVDSGTSNVDISGAQQTILTNKVQPNPMTNPHDRKVEWSQKSLLTIVANGLTSIGIVPITSLTDTALALQVSKEVELAPKGGLTTWCRKAINCLTVGYLDPLSQHGPSNLQVEVCVPTITTLDQTKHSVVIPKDKAGDSSAIVHVMDNRSTRLTKAESSGGVILSKGEEKQSSKIGNIRLQRSSLKKKARAKHNGSQSFSSSLSLLKEKIIQPSINLKEGKVDVGHGALADDECQISLVKEVNMEREKDKPALNLGLGLPSVKGREKSQLWIWGLGYHRLEGEREANVGFGAWVTAGNQTVGFGADHGSDPAVVWASQA >EOY11424 pep chromosome:Theobroma_cacao_20110822:5:39238743:39242728:-1 gene:TCM_026602 transcript:EOY11424 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative isoform 1 RVNDGGTRAGSEGSSGSPPAFRFPRHGNHRYSAQFSEIGKGNEYMKKFLKKIITEVESRNGYVSDDLYEEYVPYMTSSKDFNLFKETGRILKCISFLFHADCVELSSCPSSRNLVVELQCSLNMLEGDTGELQEFMPEIILGADVIYDPSCLPHLIRVLAILLSKKKSCDKNQKGSLRNSSTEVTCADGKANSSSQGQVLNAHGSDSSSSHAYDISITGVNNAASLGSRADPVAYIASVIRNIDTFNHFLALADQANLTISDLTSTLRPLDLLPYMRSYDRSSIRLFTVTSK >EOY11422 pep chromosome:Theobroma_cacao_20110822:5:39238441:39242942:-1 gene:TCM_026602 transcript:EOY11422 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative isoform 1 MMAERELDPKAPPGLHLLSAFLAMETTDTLLSLARECGGGKITDEVQTFICNECLDFSIGKGNEYMKKFLKKIITEVESRNGYVSDDLYEEYVPYMTSSKDFNLFKETGRILKCISFLFHADCVELSSCPSSRNLVVELQCSLNMLEGDTGCSIWPSCLFLSELILSFPHIFLGKSCFEVGSGVGLVGICLAYVKASKVILSDGDLSTLANMKFNLEMNHINTETDLPETSTENPKLVKCIHLPWESASEKELQEFMPEIILGADVIYDPSCLPHLIRVLAILLSKKKSCDKNQKGSLRNSSTEVTCADGKANSSSQGQVLNAHGSDSSSSHAYDISITGVNNAASLGSRADPVAYIASVIRNIDTFNHFLALADQANLTISDLTSTLRPLDLLPYMRSYDRSSIRLFTVTSK >EOY11423 pep chromosome:Theobroma_cacao_20110822:5:39238899:39242728:-1 gene:TCM_026602 transcript:EOY11423 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative isoform 1 MMAERELDPKAPPGLHLLSAFLAMETTDTLLSLARECGGGKITDEVQTFICNECLDFSIGKGNEYMKKFLKKIITEVESRNGYVSDDLYEEYVPYMTSSKDFNLFKETGRILKCISFLFHADCVELSSCPSSRNLVVELQCSLNMLEGDTGCSIWPSCLFLSELILSFPHIFLGKSCFEVGSGVGLVGICLAYVKASKVCYVIE >EOY08391 pep chromosome:Theobroma_cacao_20110822:5:7408702:7409926:1 gene:TCM_022771 transcript:EOY08391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALQKLVVAKGQSTNRPPLFDGFNCPYWSTRMLIYIRAINYEMWDIIIDGPFIPSTLNVVTNEMIPKQRIIHEETCQVKESKIALLTYNYEMFKMEPGEDITRMLDRFTNITNKLSQLGKPILEYEVVKRLIRSLPKNWKPKV >EOY07925 pep chromosome:Theobroma_cacao_20110822:5:3387593:3397782:-1 gene:TCM_022248 transcript:EOY07925 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein, putative MFRYLFCTKERYNLRKKKSNLINLFNYFWQVDVTPLYNCLFLLSQTLFKSSKKQASQSPNPISCKQTLAMAKSFNPKTQTYTSPRPPIKLPTNPELSLTSFIFESTSSIPHETALIDSSNSNETLTFLQLKAQVSSLAYALHHQFHIAKSDVVLILAPNSIRFPISFLAIISLGAIATTANPSFTFNEISKQVNDCRPKLIITIPELYSKVHQFNVPLIFLQSSSSSTNLFLDFSQNPKFSYYSDVIQKYTPPSNFRPYVVRQNDVAALMYSSGTTGTSKGVMLTHKNFIASSLNFTADQDRYNEGRVVCLCFLPMFHGFGSVLSFAQLRRGNVLVSMAKFELEKVLAAVEKFGVTLLFAVPPVMVSLAKRGEVTKHDLSSLRQIISSAAPLSGDVIETCAKNLAHVEIFQGYGMTEACGKISLENPKEGRRFSGSTGTLMPVIESKIVSVNTMKPLPPHQIGEIWIRGPTIMQGYFNNPKATKLAMDEKGWLRTGDLGYFDEQGQLFVVDRIKELIKCNGFQVAPAELEGLLLSHPEIVDVVVIPFPDVKAGEVPIAYIVRAPNSSLNEDDVKIFIAEKVAPYKRLRRVTFLNSVPRSASGKILRRELIQKVRSKI >EOY10109 pep chromosome:Theobroma_cacao_20110822:5:33017504:33021558:1 gene:TCM_025487 transcript:EOY10109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tho complex subunit 7/Mft1p MLGKGRKVSSRGETVAANYAFGPAEDDIIIKHRLLTRTTTTRGEPPLKKLQKKFTSFVLEVEKDEDNYNECAKLSKSFLQELSTFEIPLLKSKAVIDANLREKENFNELKDEINRQILQAQTDIEDLKKQLEESKIERQHKEECEAIRKLISAQPPRSETQKSITELEKEIAAWEAENTAGSRLLELRKKQFALLLHVVDELQNTIEEEQKSLIEEMRMVTEEQKSGMEDANGGSEAMAVD >EOY09340 pep chromosome:Theobroma_cacao_20110822:5:28385738:28389935:-1 gene:TCM_024760 transcript:EOY09340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger 19 MATNNGTAAPVCPAPMKATSNGAFQNENPLDFALPLLIVQIILVVTLTRVLAFMLRPLRQPRVIAEIIGGILLGPSALGRNKEFMNKIFPKRSMTVLDTLANIGLLFFLFLVGLELDIRAIRRTGKKALGIAAAGISLPFVLGIGTSFVLRSTINKGVGEIAFLVFMGVALSITAFPVLARILAELKLLTTDVGRIAMSAAAVNDVVAWILLALAIALTGSNNSPLVSVWVLLCGAAFVLFAIFLLKPILTLMARRCLDGEPVKELYICITLSLVLAAGFVTDTIGIHALFGAFVVGIIVPKDGPFAGVLIEKIEDLVSGLFLPLYFASSGLKTNVATIKGGQSWGLLVLVIFNACFGKIVGTIGVSMLFKVPFREALTLGFLMNTKGLVELIVLNIGKDRKVLNDQSFAILVLMALFTTFITTPIVMAIYKPARKGEPYKHRRIHRKDFDTELRILACFHSTRNIPTLINLIESSRGIQKRGHLCVYAMHLMELSERSSAITMIHKARKNGLPFWNKKRQDQDQMVIAFEAYQQLSSVTVRPMTAISAFNSIHEDICTSADRKRAALILMPFHKHQRLDGSMESLGYSFHLVNQRVLRHAPCSVGILVDRGLGGTTQVVASEVSYSVVVPFFGGQDDREALAYGMRMAEHPGINLTVLKFTAKPGMSLDAKADVGAESDKDNEILSEFISLSKRNESIGHEEKIVGSKEDIIAALRSMSKSSLFLVGRMSPTMPLTERSTDCPELGHLGSYLASSDFSTTSSILVVQQYDPSTNTMEQAQFDGNEVSNAPLPDAV >EOY09680 pep chromosome:Theobroma_cacao_20110822:5:30488377:30491301:1 gene:TCM_025073 transcript:EOY09680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MNISSNSSSSSKVLNTLRLKNPKLLLLESCKNLSQLKIIHGHMIRTHIIFDIFAASRLISLCTDPSFGTALLDYAFKIFSQIETPNLFIFNALIKGFSACQNPHQSFHFYTQLLRANILPDNLSFPFLVRACAQLESLDMGIQAHGQIIKHGFESNVYVQNSLVHMYSTCGDIKAANAIFQRMTFLNVVSWTSMIAGLNKVGDVEMARKLFDTMPEKNLVTWSIMISGYAKNSYFEKAVELFQVLQEEGVQANETVMVSVISSCAHLGAIELGEKAHEYIFRNNLSLNVILGTALVDMYARCGSIEKAIGVFEELPERDVLSWTALIAGLAMHGYAERALWFFSEMVKSGLKPRDISFTAVLSACSHGGLVGKGLELFGSMKRDFGIEPRLEHYGCVVDLLGRAGKLAEAEKFVLEMPVKPNAPIWGALLGACRIHRNAEIAERVGKILIPLLPEHSGYYVLLSNIYARTNRWENVESMRQMMKEKGVKKPPGYSLIEVDGKVHNFTMGDKSHPEIDMIERTWEAILKKIRLAGYSGNTSDALFDIDEEEKESALYRHSEKLAIAFGIMRTKASMPIRIVKNLRVCEDCHTATKLISKVFERELIVRDRNRFHHFRHGTCSCMDYW >EOY09723 pep chromosome:Theobroma_cacao_20110822:5:30758280:30766302:-1 gene:TCM_025115 transcript:EOY09723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase, putative MAKKKHVLKEDEEGGSSSKQVSREDFPPDFVFGVATSSYQIEGGCKEGGRGASIWDVFAHTEGKIIDGSNADEAVDHYHRYKEDIDLISELGFSAYRFSISWPRIFPDGLGTKVNEEGIAFYNNVIDALLEKDIEPYVTLHHWDLPLHLHDSMGGWLNKQIVEYFAIYADTCFAHFGDRVKTWTTINEPLHIAVGGYDTGTSAPGRSENSSTETYLAAHHMILANAAAVSLYHSKYKDKQGGQIGIVLDCEWAEANSEKLEDKCAAVRRVEFQLGWFLHPLYFGDYPKSMRERLGDQLPEFTEEDQELLSSFMDFVGLNQYTSRLVSHAAESAEEGHFHKAQQIEKIVEWEDGEMIGEKAASDWLYVVPWGMRKVLNYIADAYSNLPIYITENGMDDEENDTAPLPEMLDDKLRVRFYKGYLAAVAQAINDGVDVRGYFAWSLLDNFEWSHGYTKRFGLVYVDYKNGLTRHPKSSAMWFKQFLKGGGSNKDNEE >EOY10311 pep chromosome:Theobroma_cacao_20110822:5:34087214:34088881:1 gene:TCM_025683 transcript:EOY10311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFANFNAAASPVLTGENYPIWVAKMNAYLHAFNLWEIVDVGGNRLEMRQSNPTIAHLKQHSEEIAKKYKALYCIHFAIFNLIFTHIIDCGFAKEAWDKLKVEFHGSDRTCQIQVLNLLREFEVLKMNNEESVKDYLEKVLKVVNQLSLLGENLLERRIVNKFLISLPKKFETKKSTLEDSKDMSTMTMTKLVNALPAQEQKRAFRQKDHVKNALLACASEKKNGDKRREAKGSIPSMSCNQLSHMKKVCKNKTDQGEEKAVVVEEHEVNEEVLFMAKMPERSISSRAWEDMSALQQHVASFDQDNDGIIYPWETYKDKHGSDSRTYDSEG >EOY10785 pep chromosome:Theobroma_cacao_20110822:5:36519844:36520792:1 gene:TCM_026096 transcript:EOY10785 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein MTSSIQASTCQETHQIQLDQMSLLSMLTKYQDFNTLGISLVRIDYAPYGGLNPPHTHPRGTEILVVVEGTLYVGFVTSNLENRLFTKVLNPGDVFVFPIGLIHFQFNIGKTKAVAFAGLSSQNAVVITIAKAVFGSNPPINPDVLTKAFQLDKTVVSYLQSRFWSD >EOY07211 pep chromosome:Theobroma_cacao_20110822:5:845070:847033:1 gene:TCM_021697 transcript:EOY07211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASNAINTRVLASKNALADQRNGRVGEAKTFDFSLTGASSRSCKYVLTTLMQKILKFYESDTMERLRISFGMKFYVCCKSIYKMAKSCLYNWQHTCFVILQGLTMLLLVLFPSIPPLFFEAFNFRPLIAMEKAVDFLWNEEALLLLAKQ >EOY09531 pep chromosome:Theobroma_cacao_20110822:5:29696441:29698357:-1 gene:TCM_024944 transcript:EOY09531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aha1 domain-containing protein isoform 1 MAKYGEGDKRWIVEDRPDGANVHNWHWSETDCLPWSKTFFTKSLSNLTILDGEAKLHIKTKKVEKVDGEAYVNVRKGKIIPGYEISVNLSWEGEAKDGEGKTLLKVDGNVEIPYISDENADEDPEVKVTVKDEGPIGKTLKEAMLTKGKPLVLEKVRDYVKTMAKGGPAKDELEAKKLAPKNSNSSCNSAGVSKEKVVVEKEVKKEAKKEGKKGFKTITMSEKFNCRAKDMYEILMDENRWKGFTQSNARISKDVGGQFSIFDGSVTGSNLELQEGKLIVQRWRFGSWPDGIDSTVRLVFDEPEPGVTIIKLTHTDVPEEDRYGNATVVENTERGWRDLIFNKIRAVFGFGI >EOY09530 pep chromosome:Theobroma_cacao_20110822:5:29696145:29698061:-1 gene:TCM_024944 transcript:EOY09530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aha1 domain-containing protein isoform 1 MAKYGEGDKRWIVEDRPDGANVHNWHWSETDCLPWSKTFFTKSLSNLTILDGEAKLHIKTKKVEKVDGEAYVNVRKGKIIPGYEISVNLSWEGEAKDGEGKTLLKVDGNVEIPYISDENADEDPEVKVTVKDEGPIGKTLKEAMLTKGKPLVLEKVRDYVKTMAKGGPAKDELEAKKLAPKNSNSSCNSAGVSKEKVVVEKEVKKEAKKEGKKGFKTITMSEKFNCRAKDMYEILMDENRWKGFTQSNARISKDVGGQFSIFDGSVTGSNLELQEGKLIVQRWRFGSWPDGIDSTVRLVFDEPEPGVTIIKLTHTDVPEEDRYGNATVVENTERGWRDLIFNKIRAVFGFGI >EOY09529 pep chromosome:Theobroma_cacao_20110822:5:29696404:29698244:-1 gene:TCM_024944 transcript:EOY09529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aha1 domain-containing protein isoform 1 MAKYGEGDKRWIVEDRPDGANVHNWHWSETDCLPWSKTFFTKSLSNLTILDGEAKLHIKTKKVEKVDGEAYVNVRKGKIIPGYEISVNLSWEGEAKDGEGKTLLKVDGNVEIPYISDENADEDPEVKVTVKDEGPIGKTLKEAMLTKGKPLVLEKVRDYVKTMAKGGPAKDELEAKKLAPKNSNSSCNSAGVSKEKVVVEKEVKKEAKKEGKKGFKTITMSEKFNCRAKDMYEILMDENRWKGFTQSNARISKDVGGQFSIFDGSVTGSNLELQEGKLIVQRWRFGSWPDGIDSTVRLVFDEPEPGVTIIKLTHTDVPEEDRYGNATVVENTERGWRDLIFNKIRAVFGFGI >EOY09776 pep chromosome:Theobroma_cacao_20110822:5:30998162:31014725:-1 gene:TCM_025159 transcript:EOY09776 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-type ATPase transporter MSRFHVGGKVVDKVDLLRRKHKAWRLDVWPFAILYVLWLTIVVPSIDFVDAAIVFGGLVVTHILVLLFTAWSVDFKCLVQYSKVNDIRLADACKITPAKFSGSKEVVPLHFRKQVASSSSATEAEEIYFDFRKQCFIYSKEEETFSKLPYPTKETFGYYLKSSGHGSDAKVLVAAEKWGRNVFEYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLSELRRVRVDSQTLMVHRCGKWLKLSGTDLLPGDVVSMGRSSGQNGEDKSVPADMLILAGSAIVNEAILTGESTPQWKVSISGRGLEEKLSAKRDKNHILFGGTKILQHTADKSFPLKTPDGGCLAVVLRTGFETSQGKLMRTILFSTDRVTANSWESGLFILFLVVFAIIAAGYVLKKGLEDPTRSKYKLFLGCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFCGVVGLSGSSDLESDMTKVYPRTVEILASCHALVFVDNKLVGDPLEKAALKGIDWSYKSDEKAVPKKGSGNAVQIVQRHHFASHLKRMSVVVRVQEEFFVFVKGAPETIQDRLTDLPPSYVETYKKYTRQGSRVLALAYKSLPDMTVSEARSLERDTVECGLTFAGFAVFNCPIRADSSTILSELKNSSHDLVMITGDQALTACHVAGQVHIVSKPALILGPVKNGEEYDWVSPDETERIRYSEKEVEALSETHDLCIGGDCIEMLQQTSAVLRVIPFVKVFARVAPEQKELIMTTFKTVRRITLMCGDGTNDVGALKQAHVGVALLNAVPPTKSESSSPGTSKDESTKSLKLKKSKPSVEATGKAVSLNAEASSKGKVATRSESSNHTASNRHLNAAEMQRQKLKKLMDEMNEEGDGRSAPIVKLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLPTLSAARPHPNVFCSYVFLSLMGQFAMHLFFLISSVKEAEKYMPEECIEPDSEFHPNLVNTVSYMVSMMIQVATFAVNYMGHPFNQSIPENKPFLYALVAAVGFFVVITSDLFRDLNDWLKLVPLPLGLRDKLLLWALLMFLGCYLWERLLRWAFPGKIPAWRKRQRVAAANSEKKQV >EOY09216 pep chromosome:Theobroma_cacao_20110822:5:27274047:27276177:-1 gene:TCM_024625 transcript:EOY09216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNGFIGSFPLFLESRVTLWVSWLVLGFVCSRLMLFGIVISMFINDFQSSLLSFFGFLEVSICVFQIWVLYFPLYAFLFSIYLVRLLEPYFYYLVLICFSFI >EOY09831 pep chromosome:Theobroma_cacao_20110822:5:31246309:31252542:1 gene:TCM_025207 transcript:EOY09831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aaRS and biotin synthetases superfamily protein, putative MSALLDSCKLLDESSSALSTIAVVVAALSCEAARANLSAFDLTDSGDGSVAKDDIGVASDIKVLLNGSKLVASSNKGDDKINIDSFSKIPVVNGNVREAVKSLHSVIRVVSNTGDKLGGKVLHLGFELRNLGESSLERVRLNLGSVGIEGIKGIFEKDCLSEESLRNGVQLAVEAGLEKDYLKLVKEVDLVLGIVWKIVAWEAVTAFFVLEGGEVLSEKSGGQRGEADGGNVKAEKKKKKKILLGKGTSFIVEVIKNSVMSKVEGLEKVVEDLLSFLDPKSPEFDGLLKKMKEILESNESRRIPKTPKGTRDFAKEQMAIRKKAFSIITKVFERHCATALDTPAFELKETLTGKYGEDSKLIYDLADQGGELCSLRYDLTVPFSRYVAMNGLMSFKRYHIDKVWRRDNPSKGRYREFYQCDFDIAGQYEKMGPDFEVVRILSEVLNSLNIGDYEIKLNHRKLLDGVLEICGVPPAKFRTICSSIDKLDKQSFEQVKKEMVEEKGLSVETADKIGTFVKIRGPPLELLSKIMGGSEGTELLKHNASKEALGDLSVLFEALDKSRCIDKVVFDLSLARGLDYYTGVIFEAAFKGGVQVGSIGAGGRYDNLIGNFGTKQVPAVGMSLGIERVLTIMEEKAQNQAVRATETQLLVAVLGDKLSIAAELVSELWDVDVKAEYKVHKKVMKHIEYAINSKIPWMIIVGERELNEGIVKLKNIETTEEEVIPRSKLVEEVQQRLKP >EOY10288 pep chromosome:Theobroma_cacao_20110822:5:33975659:33982298:1 gene:TCM_025659 transcript:EOY10288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Benzoyl coenzyme A: Benzyl alcohol benzoyl transferase isoform 1 MNYEEQVSIKSSHLAFSSFFAMALLPPTSLVFTVRRHEPELVVPAKPTPRECKLLSDIDDQDGHRFQIRGLHFYRFKPSMQGKDPACIIKEALTKALVFYYPYAGRLREGPNRKLVVDCTGEGVLFIQADADVTLDQFGDSLHPPFPCYKELLYEVPGSNELLNCPLLQIQVTRLKCGGFIFAHRFNHTMSDAAGLIQFMCAMGEMARGALAPSTPPVWERHLLNARHSPLITCVHDEYDNATLTNGIEMPDNLLHRSFFFGPTQISALRRFVPHNLRCSTFDIVTACLWRCRTKAMKLGPNDDVRFICIVNARSKFNPPLPSGFYGNALGYPVALTTAGQLCQNPMEYGIELVRQAKAKITEEYMKSTADLMVIRGRPNVNTVRCFMVSDLTRAKFREVDFGWGEAEFGGPAYGGDRISFYIPSKNKEGEGGIVVPVCLPAPVMESFVKELDGILSNNEAVVGAENEILSKDEVVAGVENGILPKDEAAARVENEILSKDEAAVGAENRILILSKDEAAAGAEK >EOY10289 pep chromosome:Theobroma_cacao_20110822:5:33975833:33977861:1 gene:TCM_025659 transcript:EOY10289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Benzoyl coenzyme A: Benzyl alcohol benzoyl transferase isoform 1 IKSSHLAFSSFFAMALLPPTSLVFTVRRHEPELVVPAKPTPRECKLLSDIDDQDGHRFQIRGLHFYRFKPSMQGKDPACIIKEALTKALVFYYPYAGRLREGPNRKLVVDCTGEGVLFIQADADVTLDQFGDSLHPPFPCYKELLYEVPGSNELLNCPLLQIQVTRLKCGGFIFAHRFNHTMSDAAGLIQFMCAMGEMARGALAPSTPPVWERHLLNARHSPLITCVHDEYDNATLTNGIEMPDNLLHRSFFFGPTQISALRRFVPHNLRCSTFDIVTACLWRCRTKAMKLGPNDDVRFICIVNARSKFNPPLPSGFYGNALGYPVALTTAGQLCQNPMEYGIELVRQAKAKITEEYMKSTADLMVIRGRPNVNTVRCFMVSDLTRAKFREVDFGWGEAEFGGPAYGGDRISFYIPSKNKEGEGGIVVPVCLPAPVMESFVKELDGILSNNEAVVGAENEILSKDEAAVGAENRILILSKDEAAA >EOY09333 pep chromosome:Theobroma_cacao_20110822:5:28365390:28366742:1 gene:TCM_024755 transcript:EOY09333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVLCHHHHPCVSARFIHMHAATSIRVIIPIQPVWFCQEDPLVAECDPGFCRDFCLWISLIVQGTNMMCTGQSVAFFVLMLRRLCLYIESVQERFLVYIAFEGYWGGVGRPGILSFLYRFLDTGHVVLIQGEKIPIRHVRWFRNMNIFAERGREGSKAWEKGEGSPGNQVVDGRKQHVKNSGARESEKCCEVGFLHGKIQFMSTSHS >EOY07572 pep chromosome:Theobroma_cacao_20110822:5:2011817:2014370:-1 gene:TCM_021972 transcript:EOY07572 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein MTNPLDMSLDDLIKSNRKSGLTRTRPPLNSGNGPSRRFPNRAANRTAPYSKPVQAPDTTWQHDMFVDDGAGFPSAAGRASSIETGTKLYISNLDYGVSNEDIKELFSEVGDMKRYSVHYDRSGRSKGTAEVVFSRRTDAAVAFKRYNGVQLDGKPMKIEIVGTNVATPVALPPATNGKFANPNGVPRSGQGRGSFSGRSRGPGRGARRGRGQGRGQGEKVSAEDLDADLENYHKEAMQTE >EOY07015 pep chromosome:Theobroma_cacao_20110822:5:180514:182415:1 gene:TCM_021553 transcript:EOY07015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIMSSSGSKQQPSSSKPPKTPNPITHPDLLNPNPAAAKSNIIKMSPPPNPLGLTPQDVVLSRASHLTRQELLKRRLQHLKQLSRCYRDHYWALMEDVKIQYRDYYWKFGVSPFRHELNHDPADGDIGANPSNNIEGSADNNLVNNNNYNINVNVNNHNNTSLDFKNNHRCLFVGCKFKAMALTSFCHLHILSDSKQKLYKACTYVIKSAQAGPITCGKPILRSTVPSLCTVHFQKAQKHVNRALKKAGLNVASSSKLAPKFHVIVAEYVHQIQAKRRAAPKGDTSKPTIKEESAS >EOY08334 pep chromosome:Theobroma_cacao_20110822:5:6525651:6527284:1 gene:TCM_022681 transcript:EOY08334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSEERNKAMTEAKTNNIRDCKGMSREWITNEIWDLLIDTVWGTKEWKDKSKKTRQNRLKAKEGSIPKHTGGSVPFVVHAKRMEMYNSVISQKYGEDSSSQPEFDLNAWIEAI >EOY10636 pep chromosome:Theobroma_cacao_20110822:5:35649198:35652778:1 gene:TCM_025949 transcript:EOY10636 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-N-debenzoyl-2'-deoxytaxol N-benzoyltransferase, putative MEVQIIDRETIKPSSPTPDHLRTHKLCLFDLLASPIYIPTLLFYSARDGSPTNSISDNLKKSLSKALTHFYPLAGRIKDDLTIDCNDDGATFIEAQVARDMSFVLEEPDIEVLQQLLPCDPLQHLPQTQPSTDQVLLAVQVNHFACGGMAICVCISHVVADASAAANFLKGWAEVACGADIIEGVIYDCSSLFPLRDMSLFYRVMEILLHKTNSGSPEVITKRFLFDGSKIAALRNEMGDELNSYHPTRVEAVTALIWEALVAATAENVTTSPILEASNIVNLRKRMNPPLPPQCIGNVCFVSMVSTPTENIENRTSLARKIHESIKEIDDDYIRKIFTSDAECFHNLMEKIFEEMKKSSNIGMFRFSSWCRFPFYETNFGWGKPIWFGTAFAINRNGCLLDTSDGEGIEAWISLTKEEMAQLEQQPGILAHAAFKPSI >EOY08510 pep chromosome:Theobroma_cacao_20110822:5:9751002:9752802:1 gene:TCM_023006 transcript:EOY08510 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 48, putative MARKKVKLAWIVNDSARKASLKKRRLGLLKKVNELTTLCGVNACVIICSPDETEPVVWPSQDVVQQQLVRFQSMPELERQKKMMNQETYLREKVTKEQEQLTKCQRRNKEIQMTHFMHQINQGKGLNELNLSELLGLTWFVEEKIKEIRKRIEFFQQVSFAPAGAPHHPHLPFPPQGPAVNETARIGSGSACHGGDGRTSTEPLLWDQWFIDMMNQNELKSAGSSSIRSDMGLPYHPLAGIAADDPGLPRHSFAAGSSGSAYMGLPHMSSRHQGAGAIDMGLSCGRSVSGSSFGPFRIDTGLGLHPSGGEVGSSSARSKLGLPPLRRFEGSSSGVGSDNGLPFDGKTWPNNFSP >EOY10222 pep chromosome:Theobroma_cacao_20110822:5:33549745:33553790:1 gene:TCM_025578 transcript:EOY10222 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta' MHRSSHTLPCEELAYCFPLNVQLSPCKSRNIRKIGLLVINLKYRRTYSSQSLLEPVSERLITSSQFLNHPSQSSSRQSTCYQKFASETHSILQSSSLQHWFKNWQEQRKQKLTASTFSGAIGFWPCRRAQLWLEKIGAIEPFSGNLATCWSNIKEEEALERYKLITGNTVSFPEFQVYGKMDAEEGWLAASPDGLVDRFVYGLPLRGVLEIKCPFFGGDMSKASPWRRIPLYCIPQAQGLMEIMDRDWMDFYVWTPKGSSLFRIYRDVEYWDVLKVALSDFWWKHVQPAKEICSKYVITDPLRELKSLRPAPRHELLSYIVYESKRVVDNSNLLIREINGQLKN >EOY08201 pep chromosome:Theobroma_cacao_20110822:5:5565077:5566072:1 gene:TCM_022543 transcript:EOY08201 gene_biotype:protein_coding transcript_biotype:protein_coding description:A20/AN1-like zinc finger family protein, putative isoform 1 MAEEHRCQAPEGHRLCVNNCGFFGSPATMNLCSKCYRDFRLKEQQEASSIKSSLSSSPTSSSTVVESVSQVPLLTLPEVNGESPVPAVEIAPATAEQRPQQQPNRCMVCRKRVGLTGFRCKCGITFCGSHRYPENHGCSFDFKTIGREEIARANPVVKAEKLEKI >EOY08202 pep chromosome:Theobroma_cacao_20110822:5:5564999:5565933:1 gene:TCM_022543 transcript:EOY08202 gene_biotype:protein_coding transcript_biotype:protein_coding description:A20/AN1-like zinc finger family protein, putative isoform 1 MAEEHRCQAPEGHRLCVNNCGFFGSPATMNLCSKCYRDFRLKEQQEASSIKSSLSSSPTSSSTVVESVSQVPLLTLPEVNGESPVPAVEIAPATAEQRPQQQPNRCMVCRKRVGLTGFRCKCGITFCGSHRYPENHGCSFDFKTIGREEIARANPVVKAEKLEKI >EOY08745 pep chromosome:Theobroma_cacao_20110822:5:19401253:19402991:-1 gene:TCM_023866 transcript:EOY08745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 KEGTTAHPTISLLPLHFLYITLSSFPFLSSLFSQKFLQSLPNSVIPTQGKNERDPS >EOY08744 pep chromosome:Theobroma_cacao_20110822:5:19401267:19402989:-1 gene:TCM_023866 transcript:EOY08744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 KEGTTAHPTISLLPLHFLYITLSSFPFLSSLFSQKFLQSLPNSVIPTQKIKQIMEISIEKEWTDRMREK >EOY09141 pep chromosome:Theobroma_cacao_20110822:5:26444161:26448695:1 gene:TCM_024536 transcript:EOY09141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase, putative MKNCNNSYWKPAFSFFLLSCLVLSVSACSQSEEGHEFNYDEASGRGPSRWGLLKPEWRNCSDGRMQSPIDIGTVQPRPQLGDLQRNYTSAPAVLENRVVDVAVVWRGNAGNITINGTVYYVVNCHWHSPSEHTFNRTRFALELHLVHQSAQNLTAVVAILYQLGAADPFIARLRPFITTLENVERVPLGPIDPESIGLPGRKYYRYNGSLTTPPCSEGVLWTVFPQIKTVSRSQVEALRNVLPPENRNNSRPTQPLNNRTVLLYDPARMGTFTLKTDD >EOY07428 pep chromosome:Theobroma_cacao_20110822:5:1523955:1530973:-1 gene:TCM_021867 transcript:EOY07428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MLPLLILTMAMLVSTDPVMALKLCPNCGRMSVPYPLSTGPDCGDPWYKVRCNAGTLRLDGLNGSSYMITSINPMTQTLIIRPPGFAQNTCMAADFGSQGIQLDSNLPFNITSTNTVMIMNCSIAVLQEYAALNCSSTSICHDYIKGNQEARAKCRALPVCCWFVTGGTVHAHRIRVRPERCSAYQSFVNLDMNLPVSKWPAPGLEIEWLTPEEPRCKLPEDCNGLLNSVCLPDPVNVGQRRCLCKSGFQWDPIHGICRDVKCKHGRSCKHQKKKTSILGGAAFAGGAILIGLIITIVIIYKQRKHCEREAEVSLTKAHKDLLISNTGKLAKFFTSKEIAKATNDFSKDKLLGSGGFGEVFKGILDDGTRIAVKRAKLGNAKGVDQIINEVRILCQVNHRNLVKLLGCCVELQQPLLIYEYIPNGNLFDHLHMAFSGKGVTLSWHRRLGIAYQTAQGLAYLHSSVVPPIYHRDIKSSNILLDENLDAKVSNFGLPRLGLSDVSHVTTCAQGTLGYLDPEYYLNFQLTEKSDVYSFGVVLLELLTSKKAIDFNREEEDVNLVVFARKILKEERFMDIIDPNLIQGAGKMELETMKALGFLAESCLNERRQNRPSMKAVAQEIESLMSVVNRAFGSEPIAVMMISTCMRRAMLQPERCGI >EOY10113 pep chromosome:Theobroma_cacao_20110822:5:33047974:33049896:1 gene:TCM_025491 transcript:EOY10113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHRHLSQDTLVAVPATVVWDVYCGLKLGRLVDKLLRDVVGTVKVIEGNGGVGTLIRLTFPPGTGYKKFTKIDDENRVKETEVIEGGSSIEYEVDDKLAEIASHMYCDDFLMLCVTKYVLSSKYVIVRVAFEWTLSRKRTSTVGFEAAIVWDVYRSLDLEMHADELRGDVVGKVEFVEGDGGVGSIVKVTFPLDKHVNLR >EOY10589 pep chromosome:Theobroma_cacao_20110822:5:35491037:35500239:-1 gene:TCM_025919 transcript:EOY10589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like E1 MAKDDYVPLFETRPVKGRILFRLFAASIFVGICFICIYRVRFLPEEGKVERWTWIGLFLAELWFSFYWFLTAVCRWDSVYRLPYKDRLSQRFDKELPGVDIFVCTADPLIEPPSLVINTVLSVMAYDYPPEKLSIYLSDDGGSDLTFYAMLEAATFSKQWLPFCKKFKVEPRSAEAYFRTAFEPREDPVKAREWLSVRKLYEDMKMRIETTTKLNRIPDDIRKQHKGFREWDFILIDGRDPNAVDVEGRPLPTLVYLAREKRPQFHHHFKAGAMNALIRVSSRISNGPIVLNVDCDMYSNNSESIKNSLCFFMDEEKGDEFAYVQYPQNFENLTKNELYGSSFRVINKLELPGLDANGGPCYIGSGCFHRREALCGKKYDKDCKVDWKRLHERKVKESASVLEETGKVLACCTFEQNTQWGKEMGLTYGCPAEDVITGLTIQCRGWKSIYLIPQREDFLGVSPTTLLQMLIQHKRWTEGHLQIFLSRYCSLLYGHKKIPLKLRLAYCPYNLWAANCLATLYYVAVPCLCLLKDISLFPKTSTLWVVPFAYVAFAHRVHSLGEFLWCGGTFQGWFNDQRMWMFKRTTSYFFAFFDTILNLLGFSKAAFVITAKVADDDVSKRYEQELIEFGATSPMFDILATLAMLNLFSSLGAMKKVILEADHSKVLDLFGLQILLCLLLVTINFPVYQALFFRKDNGRMPSSVTYKSIGFALLACTLAMY >EOY10735 pep chromosome:Theobroma_cacao_20110822:5:36174615:36177393:-1 gene:TCM_026035 transcript:EOY10735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small basic intrinsic protein 1 MGPIKMAIWDMLITFMWVFFASTFGLLTSLIATAIGVQTVTWAPIVITTVIIFVFVFIFNIIGGYLGGASFNPTGTASFYAAGVGDDSLISMALRFPAQAAGAVGGALAIMEVIPEQYKHMIGGPSLQVDTHTGAIAEGVLTFLITFAVLLIILRGPHSEMFKAWLLAIATVALVLAGTSYTGPSMNPANAFGWAYVNSWHNTWDQFYVYWICPFIGAILAAWVFRLFFPPSPVKVKKA >EOY07313 pep chromosome:Theobroma_cacao_20110822:5:1162078:1164651:-1 gene:TCM_021776 transcript:EOY07313 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MLQIRLSKGPSADAGGSVKPLPVETVTVACPDHLVLADLPVAKSIGAATSSSLVKTVGRRSRRQLGERVHFCVRCDFPIAIYGRLSPCEHAFCLDCARSDSICYLCDERIQKIQTIKMMEGILICAAPHCLKSFLKKTEFEFHIHESHADLLLPNAEKEDGKESEVLSAKQPTGLDTTVRGPPRPVISPGSNPQLYDTEEKARRQQPREQLPSRPMMQPKGAPAFGQVQNLPSESQPDNNLPPGFDRPGPHNHFQQGFDRQGTPQPESSQFSDKQQGVLSENQFSEYPPMHSMQPPNFVMPMNSNPMFAPYGVPPFPTDGAQPFYGAPYEMARPSSAPDVGSEQGSLLGFPPGPVGGINYPTGYPQPWNGGQPGAPFEAPPGGHMMGDAFANYQGDYGRNPGGLPMIPPPPSANKGMEAVQGSNSMDPRDGKGILAPQPMQVPPPPPPLPHHMPQLKRGNFHSGDMGRDGQGFGWQHENRDGFGGSQD >EOY11013 pep chromosome:Theobroma_cacao_20110822:5:37433744:37436349:-1 gene:TCM_026272 transcript:EOY11013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MGKCHSTLSEIDEYGWTPLHYAVHFGAVDIFQLFLIDSSTAYIRDNEGMSVIHIAAREGEVVILEMLAYRFPEIWDLQDNNGQTALHLAVARGKLDSVKFILDFVLSHDGLINQQDNEGNTALHLKTIQRHDHKIFELLMKDSRVDKTATNMEGLTVIDILLLNKEFGYYEKTWITLSVAKNGGLESLEQTINKNSRKVKSIEARILEQPQQSEGKDSARDRRHNLLVTTLIATVSFAAGFTMPGGHKSDGPDEGTAMLSRKTAFRSFVEKLDAVAFYTYITASLTSYGITAMVIAFVSGTYAALADSFGLAKAVLSIGCSFFGLQLLVYLK >EOY09323 pep chromosome:Theobroma_cacao_20110822:5:28288391:28292765:1 gene:TCM_024747 transcript:EOY09323 gene_biotype:protein_coding transcript_biotype:protein_coding description:C3HL domain class transcription factor isoform 1 MCCGSERLKQTPSPTTSNISFEDSRHPSVDMNHLTVETEDAFASLLELAANNDVEGFKLSIERDPSGVDEVGLWYGRQKGSKQMVNEERTPLMVAATYGSIDVIKLILSSSDADVNRVCGHDKSTALHCAASGGAVNAIDVVKLLLAAGADANMVDANGHLPVDVVVVPPKLQALKLTLEELLATESSVLERNLRVSTAVANSSSPPLSPSQENGSPSSGSDSPMKSKSTDAPISSASEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCARRVCFFAHTAEELRPLYVSTGSAVPSPRSSTSGATAMDFAAALSLLPGSPSSVSVMSPSPFTPPMSPSANGMSHSNVGWPQPNVPALHLPGSNLQSSRLRSSLNARDIPNEDFNLLPDFDVQQQQLINELSSLTQPSMSSNSLNRSGRLKTLTPSNLDDLFSAESSSPRYSDQALASAVFSPTHKSAVLNQFQQQQSMLSPINTNFSPKNIEHPLLQASLSGRMSPRNVEPISPMGSRVSMLAQREKQQQFRSLSSRELGSGSTAIVGSPVNSWSKWGSSNGKPDWAVNADELGKLRRSSSFELGNGEEPDLSWVQSLVKESPTEIKDKMAAPVSGVAPNVSSSEGSNLNTQIDPVDHAVLGAWLEQMQLDQLVAQQN >EOY09322 pep chromosome:Theobroma_cacao_20110822:5:28288828:28292739:1 gene:TCM_024747 transcript:EOY09322 gene_biotype:protein_coding transcript_biotype:protein_coding description:C3HL domain class transcription factor isoform 1 MCCGSERLKQTPSPTTSNISFEDSRHPSVDMNHLTVETEDAFASLLELAANNDVEGFKLSIERDPSGVDEVGLWYGRQKGSKQMVNEERTPLMVAATYGSIDVIKLILSSSDADVNRVCGHDKSTALHCAASGGAVNAIDVVKLLLAAGADANMVDANGHLPVDVVVVPPKLQALKLTLEELLATESSVLERNLRVSTAVANSSSPPLSPSQENGSPSSGSDSPMKSKSTDAPISSASEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCARRVCFFAHTAEELRPLYVSTGSAVPSPRSSTSGATAMDFAAALSLLPGSPSSVSVMSPSPFTPPMSPSANGMSHSNVGWPQPNVPALHLPGSNLQSSRLRSSLNARDIPNEDFNLLPDFDVQQQQLINELSSLTQPSMSSNSLNRSGRLKTLTPSNLDDLFSAESSSPRYSDQALASAVFSPTHKSAVLNQFQQQQSMLSPINTNFSPKNIEHPLLQASLSGRMSPRNVEPISPMGSRVSMLAQREKQQQFRSLSSRELGSGSTAIVGSPVNSWSKWGSSNGKPDWAVNADELGKLRRSSSFELGNGEEPDLSWVQSLVKESPTEIKDKMAAPVSGVAPNVSSSEGSNLNTQIDPVDHAVLGAWLEQMQLDQLVAQQN >EOY09321 pep chromosome:Theobroma_cacao_20110822:5:28288596:28292739:1 gene:TCM_024747 transcript:EOY09321 gene_biotype:protein_coding transcript_biotype:protein_coding description:C3HL domain class transcription factor isoform 1 MCCGSERLKQTPSPTTSNISFEDSRHPSVDMNHLTVETEDAFASLLELAANNDVEGFKLSIERDPSGVDEVGLWYGRQKGSKQMVNEERTPLMVAATYGSIDVIKLILSSSDADVNRVCGHDKSTALHCAASGGAVNAIDVVKLLLAAGADANMVDANGHLPVDVVVVPPKLQALKLTLEELLATESSVLERNLRVSTAVANSSSPPLSPSQENGSPSSGSDSPMKSKSTDAPISSASEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCARRVCFFAHTAEELRPLYVSTGSAVPSPRSSTSGATAMDFAAALSLLPGSPSSVSVMSPSPFTPPMSPSANGMSHSNVGWPQPNVPALHLPGSNLQSSRLRSSLNARDIPNEDFNLLPDFDVQQQQLINELSSLTQPSMSSNSLNRSGRLKTLTPSNLDDLFSAESSSPRYSDQALASAVFSPTHKSAVLNQFQQQQSMLSPINTNFSPKNIEHPLLQASLSGRMSPRNVEPISPMGSRVSMLAQREKQQQFRSLSSRELGSGSTAIVGSPVNSWSKWGSSNGKPDWAVNADELGKLRRSSSFELGNGEEPDLSWVQSLVKESPTEIKDKMAAPVSGVAPNVSSSEGSNLNTQIDPVDHAVLGAWLEQMQLDQLVAQQN >EOY11447 pep chromosome:Theobroma_cacao_20110822:5:39298436:39299894:1 gene:TCM_026620 transcript:EOY11447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MTEERSQNDAASSWKFFRYDVDHFNQKLCTSSHIWRNLTDAWSNPVRHFSAKELLRATTNYDTSQIFVEDTAIGSQMSVHKNVFKVIGCCLETEVPTIVYEFAGTNIFSTCISGTDVQLLPWKSRLKIAIGLANVLAYLHTAFSRPVIHRDIKSSNIILDQNNAPKLIDFRLCISIPEGQSPLEGDVIRRTEWLTPPENWSTSYLTEKADVYQFGMLLIEF >EOY08711 pep chromosome:Theobroma_cacao_20110822:5:18413068:18415293:-1 gene:TCM_023784 transcript:EOY08711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSKTQYLFVRDLEILWTRLRERYDHTKTVILPQAQYDWQHLKLQSNLRPYHGKGKKPVKKHDTSICHRCGMSRHYSRTCRTPKHFVDLYQASLKDKGKRIKTHAIENSTALTNVETNNASVKMTRLAFVEVRTFLEVSDLFKTLIAKIRHQNDKKQPRLRI >EOY09735 pep chromosome:Theobroma_cacao_20110822:5:30802475:30803403:1 gene:TCM_025123 transcript:EOY09735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVENANTGALAAAKNANPDALAQTDCRIINKTGKALTFVVSKDWVGKLGYSRLVLGKQDSDGPLLVHFGEIPPDNSSGGSVGFVVYRYKHKDGVQKDWCIAWSNKGERNKVWTRIDQPDANWYKLVDLKDSECNYNDPGKILKAHVEIEAAKTHAKLTATLSLFK >EOY07604 pep chromosome:Theobroma_cacao_20110822:5:2123873:2126997:1 gene:TCM_021994 transcript:EOY07604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSRGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADSDSRAEPQTIKINQPDQAAGATVAAQKSACCGS >EOY08935 pep chromosome:Theobroma_cacao_20110822:5:23403100:23416224:1 gene:TCM_024223 transcript:EOY08935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRMGTFAQLIPMPIYKRGKDGTSRLELITTQSWEVETFSIHFTTQSWECKDLLNPHHNTNHGNVETFLIHIHNTHMQKQFNQPQSSS >EOY08096 pep chromosome:Theobroma_cacao_20110822:5:4486267:4488279:-1 gene:TCM_022418 transcript:EOY08096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 WVSVFNLGLTDFRFFCLLLSLPYLLHPYKPLLLLLPSVRVWSRLLTTGNQTKSSFILFLLVFSSSVASWGCSVLLFYPLYRIMGREMVIESWFGSSWWNPRKSASESTDKVVLGILAFEVTRLMSKVVNLWHALDGREILKLREEIADSIGIQRLVSDDENYLMDLALNEVIENFGNLAKSVARLGKKCTDPVYRRFEHFINDPILNNFEWFGWEYRWKKMERKVKKMERFVAVTMQLTQELEVLAELEQTLRRMQRNAESDRVKLLESQQKVMWQRQEVKNLREMSPWIRTYDYIVRLLLRSLLTILERIKIVFGTNQMATVDGNNDFESMNTDCLSRSHSFSTLIPSSVYPSDNNICGFSSGPLGRSFSKSVQITHKYRTNSKHLQCHHQSTALHGKHQHLKIKRSSHVGVGPFKGCLSAGSDSPILESCKPIGTGSMRFSRPYTKTIDNINNSKMESSSCSNKIYSKLSIFNTKCLLN >EOY08094 pep chromosome:Theobroma_cacao_20110822:5:4485237:4488049:-1 gene:TCM_022418 transcript:EOY08094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGREMVIESWFGSSWWNPRKSASESTDKVVLGILAFEVTRLMSKVVNLWHALDGREILKLREEIADSIGIQRLVSDDENYLMDLALNEVIENFGNLAKSVARLGKKCTDPVYRRFEHFINDPILNNFEWFGWEYRWKKMERKVKKMERFVAVTMQLTQELEVLAELEQTLRRMQRNAESDRVKLLESQQKVMWQRQEVKNLREMSPWIRTYDYIVRLLLRSLLTILERIKIVFGTNQMATVDGNNDFESMNTDCLSRSHSFSTLIPSSVYPSDNNICGFSSGPLGRSFSKSVQITHKYRTNSKHLQCHHQSTALHGKHQHLKIKRSSHVGVGPFKGCLSAGSDSPILESCKPIGTGSMRFSRPYTKTIDNINNSKMESSSCSNKIYSKLSIFNTKCLLNAPPSTLGDAALALRYANVIILIEKLVSSPHLIGHDARDDLYNMLPTTMRNALRVKLKSYAKTLASFIYDASLAAEWSLALVRILEWLAPLAHNMIRWQSERNFEEQHVVSRSNVLLVQTLYFANQAKTEAAITELLVGLNYVYRIERAHNEKAVHDSAGSGGCTQYLIKRDDIR >EOY08095 pep chromosome:Theobroma_cacao_20110822:5:4485575:4488100:-1 gene:TCM_022418 transcript:EOY08095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDLALNEVIENFGNLAKSVARLGKKCTDPVYRRFEHFINDPILNNFEWFGWEYRWKKMERKVKKMERFVAVTMQLTQELEVLAELEQTLRRMQRNAESDRVKLLESQQKVMWQRQEVKNLREMSPWIRTYDYIVRLLLRSLLTILERIKIVFGTNQMATVDGNNDFESMNTDCLSRSHSFSTLIPSSVYPSDNNICGFSSGPLGRSFSKSVQITHKYRTNSKHLQCHHQSTALHGKHQHLKIKRSSHVGVGPFKGCLSAGSDSPILESCKPIGTGSMRFSRPYTKTIDNINNSKMESSSCSNKIYSKLSIFNTKCLLNAPPSTLGDAALALRYANVIILIEKLVSSPHLIGHDARDDLYNMLPTTMRNALRVKLKSYAKTLASFIYDASLAAEWSLALVRILEWLAPLAHNMIRWQSERNFEEQHVVSRSNVLLVQTLYFANQAKTEAAITELLVGLNYVYRIERAHNEKAVHDSAGSGGCTQYLIKRDDIR >EOY11683 pep chromosome:Theobroma_cacao_20110822:5:40050856:40056920:1 gene:TCM_026784 transcript:EOY11683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSVEGLKDDSDFEMECNIAESNEEDFEYGSIKGFPSITVFDKRQEMLARRWQNSVIMQMLNHTISYWSLCVRVAYLWRPKAMQDLTAEATWVRFPSIPLHMYHKSIPRRITSLIGRLLKIDYNTGAEKKGLPRVCFTCGVFGHTKEMLVSRRKIRGMDKKLASTANQKSQDQWGFEIKIFSATMIIGVANELTSSGATPITSLTDTTSALQAFKEVELTLKFDSRINDSINADELVEHNDQVTMADEGGGREYNMKKAKAKNNGSQSFPSSLSLLKEKTVQPNINLTEGKASVGHGTIADDECQMSMVNKEEVFWLQKSKANWLTPGDKNTRTDYERLTASICDKEVREALFAMKPMKTLSINGIHALFFQNQWNVVGSSVVKYVQTVLIGESFSPSRGVRQGDPHSPYLFVLCIERLSHAINIAMEQGLWKPIKLGRHCPPISHFFFVDDLILYAEASVDQMEIINGVLGDFCACLGEKEFLSDNGEWDQEKLSACLPSDLVNKILMVLPPSLSFKPNTLYLASSASGVFTVASTYELLQNDDPNYIGKLVPMGRKLSMIKGLVAASYHVVTIPCTRSSPNGYKREMLVGWQNPSQGWVAMNSDGALRHSTSLAATSGVLCDYNDFWLGGFAAKLGRCSSYRAELRGVLHSLRIARDKGFRKIWLQVDNKIMVQAITTSVSHPCENSDLLNTIHSLLQLD >EOY11043 pep chromosome:Theobroma_cacao_20110822:5:37671798:37673045:1 gene:TCM_026316 transcript:EOY11043 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-phosphatidylinositol-4,5-bisphosphate phosphodiesterase epsilon-1 MVKEGEPKTKSITSSSSSLSSSTSLCDSETEDLQRMPLVPSQLMKNKRCLSKQLSMCETSRDIAWEKRRRQILRQERGKNGIIVTNGLTDEDLHELKGCIELGFGFKEEEGQKLCNTLPALDLYFAVNRQLSPSPVSTPQSGGSSSTSSLGGRSSSFGSPTSESDWKICSPGDNPQQVKTKLRHWAQAVACSVMQSS >EOY07864 pep chromosome:Theobroma_cacao_20110822:5:3058706:3060796:1 gene:TCM_022184 transcript:EOY07864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTDHHHRFGQLRSTSQILKKTAVQFTAHPFAFLFLSFLLLSFRSLVESGSLLLTSFIDRDPSFKSLLSRLDLHPSHPHARLHPTRRPTRRPFLHLTRVGTLDDDFFSSDDDHRDRSPFGSFPNRPLNGTPVFLSNFDTKLGFSDFVADNGILLPEIVRYGVKFKAASFDYENNEGEQQEERIVDFQFVYKGLELGRRDAAALFFLVSFLSAAYGWVILGFTAIYSLVLGVLFVTVVNDLIGRFVSFFGAFWDGSKMGLKRLTGFVLMKWAVRDAVTQLLGLWYFGEIEDHYSFFKLFVRLKLMPFSVMSPWIRGFEKEISGFLFTWFLVDTLVSFAFSLAAWIAIVDSRRTGREIIKEGCYLMSTMLNQAIQIKCYEAIVGGSLARWVLTFIGVGKLLQRVGGMGGENWRL >EOY08461 pep chromosome:Theobroma_cacao_20110822:5:8855748:8860727:1 gene:TCM_022922 transcript:EOY08461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGAFPKLDDSALNNLTKPVEENEVKEALFEMKPLKAPGIDGFPALFFHSQWQVEKKSMLKNLPSTTPAMYQHLSLWILVNVQVTFIQKIWANIRASLLYTNERMQTSSLTWKRKSQASLTVVIPSKTYKKIKAHYNIHKPGASTFWTAITQSWPQFKPNIKWAMGNEISIRLWTDQWLDDIILVNVAKSIGIEIIDESSVKEYVTTEGEWDLDRVVNLIPPKVCNRLHETIPPTNNVEQDKPFWAYTPSGIFTMSSAYKVLRGLSLSNTSLDNKIWKLIRS >EOY09568 pep chromosome:Theobroma_cacao_20110822:5:29915397:29916372:-1 gene:TCM_024985 transcript:EOY09568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein MAKTLSELLSSTSLFLFTLLFFSTTLASTKSNGFSNILSPAKLGLKQEKLSHLHFYFHDIVSGRKPSAVRVASAAMTNKSETGFGAVVMADDPLTVAPERNSKLVGKAQGIYASAAQDEVGLLMVMNFAFTEGKYNGSSLSLLGRNTVFWTVREMPIVGGSGLFRFARGYAQAKTHTFDTKTGDAVVEYNVYVFHY >EOY08067 pep chromosome:Theobroma_cacao_20110822:5:4217359:4227971:1 gene:TCM_022382 transcript:EOY08067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein, putative MGEGFKNWFAKTSLSLKVILFAVLLILVSGSASLFVQRRSNWALIYAVPTSSSSNTNLAAVQRPSLHAKECDSPVDLHSEVVVSVDDHSRKEALSDEAALSKSVSPTIDAQSLQVQQFNGRNEDNPNMSISENFSSTTPLKENESIDPPLKHRRRRVYTKLDRLEDGLRKARAAIKKAMNGSQLQDPDYIPDGPIYRNAKFFHRSYLEMEKKFKIYVYKEGELPLFHDGPCRLLYTMEGQFINKMEVNKKFRTYNPEKAHVFYLPYSVTRMVQYNWVRGTPMKRLGGIVLDYVNVIAGKYPFWNRSLGADHFMLSCHDWGPATSFYVPDLVKNSIRALCNANTSERFNPMKDVSIPEISLKSSRLEGLIGGPSPSQRTILAFFAGGNHGFVRPILFRHWEKKDPAIRVHNYLPRRVPYYDLMRQSKYCLCPSGYEVASPRVVEALYNGCVPVLISKSYVPPFSDVLNWKMFSVMVSLEDIPNLKKILMSIPERQYIKMQKRVVQVRRHFELHVTPKRFDVFHMILHSIWLRRLNVKVSNEPGNILD >EOY07389 pep chromosome:Theobroma_cacao_20110822:5:1420367:1421993:-1 gene:TCM_021839 transcript:EOY07389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF617 [Source:Projected from Arabidopsis thaliana (AT2G37880) TAIR;Acc:AT2G37880] MTKFDALRRLIPCFYPTPTNSIATITPTKKRLSTSLRDDLDDPITITNQDQDKDSQDSTNTTDLETTTLAPARPSRSMVIGTIFGQRKGHVWFCIQHDRLSTKPSLLLELPIPTHQLVQEMRYGIVRIALECDHSELSACPLHSVPLWTMYCNGRKIGFATKRKASHHNLLMLKTMQSTTVGAGVIPAGFGSSGSEEIMYMRANYEHVVGDADSESFHLVNPDECTGQELSVFLMRSSSNLLTIRSHHHSYSGYVF >EOY10859 pep chromosome:Theobroma_cacao_20110822:5:36837632:36841940:1 gene:TCM_026153 transcript:EOY10859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MEIKLASHEHSMHYYCFHETDDKQCHKCREEICGAAYACLRCQLWLHESCAKALEHLPREITHPLHSQHHLMLDWSGVFTREFICDICLKISSGTNYTCSRCNFELDLVCAFSTNDDQQAMKKERSSADRDKQIIQHYCHRDPLVLYKHSSKEEHDYNCSWCDKPLTGIFYGCKGCRFFLHEFCTNKIPKTLNHPFHPSHPLRLHFVDSNTNCNACTQLIRQRTASTYSYCCQECNFNLDFDCAKLFPTLKHECHDHYLTYFGLNNFKDKIIKYYLECNTCHELCLDSLYRCVQCDLNLHLKCVPIPPSAEHRYHIHLLVLENSIKEDDFGEYYCDICEKERDPTHEVYYCRKCTYIAHIQCVLNEDETSAGKVSSSAPESMDSEAFVEKEMEQFETIYDDLQQTLVRPLIHEHLLKFCEATEKFEPQYCTACRLILSGPGYICEECPLYIQGYYLHEKCSQLPNEIQHPLHSHHVLNLYTRHPSMGDFIICDECGDFFRGFFYLCEECDFELDLKCAMQAGPKRGLSTLKEAERETELFHFSHRHKLVFGNFRDPTYERQCNFCRLQIFGPTYYCFLCGWILHESCLRLPQVMQVPLHPQHMSILSSTRYGCCLACALKLLSAGYSYICEECHLSFHITCANSLRRPLKRKSHMHDLYCFGTEFDRFFAMYSTSIDFYTAYFCSHCGEVCSGQPFYRCLECYINFHIECVPIPQIVKSKSHIHPFTLKDSFIEDDLGEYYCDVCEKERHLSDGIYYCEECQGLFVAHIECVLLEEEEVLSYLVPRESERERKKRTRKAYLARRRQISNLQKAIDHEEQLQGI >EOY10203 pep chromosome:Theobroma_cacao_20110822:5:33459000:33464301:-1 gene:TCM_025561 transcript:EOY10203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 5 MNNGGGGGGGGGGGGAGSGGGGGMGTGGGMMAMRSSPFTVSQWQELEHQALIFKYMMAGLPVPHDLVLPIQKSFESISHRFFHHPTIECDFAVGYCSFYGKKVDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQTMTQSSSTVTSLTVSGSSGGTGSFQNLPLHAFGSTQGTASGTSQSHYHMESIPYGIPSKDYRYLQGLKPEVGEHSFFSEASGSNRSLQIETQLDNTWPLMQSRVSSYPQSKSSGNPILQNDCPQHSFFSNEFNSGEPVKHEGQSLRPFFDEWPKPRDSWSALEDERSNQTSFSTTQLSISIPMASSDFSTTSSRSPHDS >EOY08623 pep chromosome:Theobroma_cacao_20110822:5:14006268:14011342:1 gene:TCM_023436 transcript:EOY08623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLEKMKYEEVKRTREDEGAVLQARVLPRYKIKIGFIIEYIPSIVRGRLWRWVTLWLLALVEDIDAWNAFPWGHYVWRLIEDCLLQWFEVPLKTSDK >EOY09262 pep chromosome:Theobroma_cacao_20110822:5:27784513:27787554:-1 gene:TCM_024680 transcript:EOY09262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSIRRLLRMMIVMPLVENTLGDAIRWDIVSDEDCDVVYGEITLDNDFDIPSVALALGTSFNEPRLLILIDLRAGHQVDLFFYKQPEEAKQQEEEKAIAPDYAFLAPE >EOY09809 pep chromosome:Theobroma_cacao_20110822:5:31132735:31133699:-1 gene:TCM_025183 transcript:EOY09809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation protein SH3-like family protein MKYNPRVSSSRRKNRKAHFTAPSSVRRILMSAPLSSDLRSKYNVRSMPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGINPSKVVITKLRLDKDRKSLLDRKAKGRAAADKDKGTKFTAEDIMQSVD >EOY11802 pep chromosome:Theobroma_cacao_20110822:5:40357485:40361222:-1 gene:TCM_047055 transcript:EOY11802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl/glutaminyl-tRNA synthetase, class Ic MEEQGSKQFSFPPDSPPLSVIAAAKIAGIDLPIQTSSSSSSSSSPTLSFSNGLKLHGTNVILRYVGRLATIRNFYGHDAFHAAQIDEWLEYAPILSFGSEFENACGFLDTYLEKRTFLVSHYFSIADISIWSGLAGTGLRWESMRKSNKYPNLVRWYNSVSAEYSDTLKQVTAFYVGKKGLGKPVAAKQKEQKSVNGDISDKAKVASRPSAEVDLPDAEIGKVRLRFAPEPSGYLHIGHSKAALLNQYFAQRYQGEVILRFDDTNPTKESNEFVENLIKDVETLGIKYQKITYTSDYFPKLMEMAEKLIQEGKAYVDDTPREEMQKERMDGIESKCRNNSVEENLKLWKEMIAGSERGLRCCLRGKLDMQDPNKSLRDPVYYRCNPVSHHRIGSKYKIYPTYDFACPFVDAEEGITHALRSSEYHDRNAQYYRIQEDMGMHKVLIYEFSRLNLVYTLLSKRKLLWFVQNGKVDGWDDPRFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAVIEERRVLLTLTNGPNEPFVRIIPRHKKYDGAGEKATTYTRRIWIDYADAECVSVDEEVTLMDWGNAIVKDIIKDQDGNITQLVGILHLEGSVKTTKLKLTWLAETSELVNLSLVEFDYLITKKKLEEGEDFLDVLNPCTKREIAAVGDSNMRNLKQGEILQLERKGYFRCDVPFVRASKPVVLIAIPDGRQQSVLK >EOY07828 pep chromosome:Theobroma_cacao_20110822:5:2884184:2886727:-1 gene:TCM_022151 transcript:EOY07828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar membrane ATPase 10, putative MEALPTNYKSPRGNQNDMVYLGSLCDANSLFSSLASVKQASFSFALKSSDKNLDTLFKEIMEASRGQGGIQQLLAAEQEAQHIVNAARNAKMTRLKQAKEEAEKEIAEHRAQAEEEFQRKVAESSGDSGANVKRLEQETEAKIHHLNLEAARISDDVVQMLLKHVTTVKN >EOY08709 pep chromosome:Theobroma_cacao_20110822:5:18371552:18374096:-1 gene:TCM_023780 transcript:EOY08709 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger C1A, putative MSTGRNTHWCYRCRRPVLLQGRDAVCCYCRGGFVQELDDMVHISPLDFFGLDGDEDRIQRFGLMDAFSALMRQRLADRNFNHNIRGRTDSIPENTSPFGPLLIFGGQIPFRLSGNGGFEALFNGAPGIGFTRGNAGDYFIGPGLEELFEQLSANDRRGPPPASRSSIDAMPTIKISRRHLHSDSHCPVCKDKFELGSEARQMPCNHIYHSDCIIPWLEQHNSCPVCRQELPPQGPGSSRNYHSSGQSSSSSLGTNSSGRESRENHSRRNPFSYFWPFRSSNSSSSHNGAAGSSSPSVHENNHSMGYSGWPFD >EOY07865 pep chromosome:Theobroma_cacao_20110822:5:3063682:3064226:-1 gene:TCM_022186 transcript:EOY07865 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein MKGKTLRACLSKWRKMGSRVIPCGSCEYCYQWAKWPSMHQESSIPRDVPKGHLVVYVGENYKRFVIKITLLKHPLFKALLDQAQDEYDFTTDSKLCIPCDESLFLDVVRCASSPEVGKLSHCL >EOY09479 pep chromosome:Theobroma_cacao_20110822:5:29416528:29419248:1 gene:TCM_024897 transcript:EOY09479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-structural maintenance of chromosome element 4, putative MTRIIKRSNSSETDANDSDHQHFSDRRTLRSRYLAVKNLIFDERDDMCRADSAKFNSIFNEVESLHQHVQKPREQIADAEALLDITNSLVTSVKATNGNGITVVDFVNSLLRDFAKQSGLGSSSSSRQGGRTLIDYKKIGIEVSHVFRSSPGCRTMIGPMNTQLNQRRASVCRKRVRPTENVHPAEVDDADTQKRTNTDGNMSTMFDILRMHRRVRLEHLVLNRSSFAQTVENLFTLSFLVKDGRAEIKVDEKGFHLVSPRNAPAARAVTSREVVYSHFVFRFDFNDWKRMTNYVEVGQELMPNRDQVDVSSKFHSDTYNGQFEATEPTTPIRKLSRNRGLVLQEQ >EOY08153 pep chromosome:Theobroma_cacao_20110822:5:5101940:5121470:1 gene:TCM_022493 transcript:EOY08153 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ / Sec63 Brl domains-containing protein MSSARAHAIGGRKNKGQATSFPFSSRTLGYCLAIKIGLQMGIALPPFLLNIDGASGGILLLGIVGVCILLPLVLTVIYLSRSAKYTGNYVMHQTLSAYCYFMKPSLAPSKVLDVFIKAAEFMEIPVRQSDGEPLLKLFMLVRSELNLDLKNIRQEQAKFWKQHPAFVKTALIPRTARGHGWLRPAIGVVELSQNIIQAVPLSARKIVGGSSEGIAPFLQLPYFNEAMVKKIARKKMRTFQDFCGMTLEDRVELLTKVAGLSTGETKDVEMVLEMMPSLTMDVTCETEGEEGIQEGDIVMMHAWITLKLGSSLVASFSHAPYFPFHKEENFWLLVADSVSNDVWISQKVSFMDEAVAITAASKAIQELKEGSGASLKETNAAVREAVEKVRKDKLKDEIMKQSRAGTRTGPLSEEGSVMEEGIGEEEEEEDGYDDY >EOY09527 pep chromosome:Theobroma_cacao_20110822:5:29692196:29693833:1 gene:TCM_024943 transcript:EOY09527 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCRKC thioredoxin 1 isoform 1 MSVLAANSQILYREFYQRDQQQQLWNSGSCMLLQKNCGYFGFDRRNGKWKKNIARRDWRVEALWPDLSRPSAVEMEPINDCDQLDQILLRAQELSQPILIDWMAAWCRKCIYLKPKLEKLAAEYNTKIKFYCVDVNKVPQGLVKRGNISKMPTIQVWKDGEMKEEVIGGHKAWLVIEEVRQMIQKFV >EOY09528 pep chromosome:Theobroma_cacao_20110822:5:29692032:29693898:1 gene:TCM_024943 transcript:EOY09528 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCRKC thioredoxin 1 isoform 1 MSVLAANSQILYREFYQRDQQQQLWNSGSCMLLQKNCGYFGFDRRNGKWKKNIARRDWRVEALWPDLSRPSAVEMEPINDCDQLDQILLRAQELSQPILIDWMAAWCRKCIYLKPKLEKLAAEYNTKKCQQFRYGRMER >EOY07856 pep chromosome:Theobroma_cacao_20110822:5:3020550:3024378:1 gene:TCM_022179 transcript:EOY07856 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHE ammonia lyase 1 METIAQNGRQNGSLESFCTTASTATKGAVVDPLNWGATAESLKGSHLDEVKRMVAEYRKPSVKLGGETLTISQVAAIATRDLGVKVELSEDARAGVKASADWVLDGMNKGTDSYGVTTGFGATSHRRTKQGAALQKELIRFLNAGIFGNGTESCHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLLNHNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPKGESLDAQEAFCVAGIDTGFFVLQPKEGLALVNGTAVGSGLASMVLFEANILAVLSEILSAIFAEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYVKAAKKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNARLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQYLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSSTYLVALCQAIDLRHLEENLRNTVKNTVSQIAKKILTTGANGELHPSRFSEKDLLKAVDSEYVFAYIDDPCSATYPLMQKLRQVLVEHALANGENEKNASTSIFQKVAAFEEELKAILPKEVESARLSLENGNAGIPNRIQECRSYPLYKFVREELGTGLLTGENVKSPGEEFDKVFTAMCQGKIIDPMLECLKEWNGAPLPIC >EOY11053 pep chromosome:Theobroma_cacao_20110822:5:37693875:37696422:-1 gene:TCM_026322 transcript:EOY11053 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding,nucleic acid binding,helicases, putative MLRTRPRPAIVSAIVGMKHYETAISLLRQMEFFGIRRDVYTFSILLNCFCRLHRSDLGFSLLGKMLKLGIQPNTTTFTTLANGLCVEGKLAEAVLLFDEIVRNGYKPDLITYNTIINGLCKVGYTSGAIRLLRKTEQSGYLPDIVTYSSVIDRLCKDKLVTEALNLFSEMTGKGIPPNVEVTRLSTEMVANNCEPDVVFYSMLVDAICKEGMVSEAHDIVEGMIQQGVDPNTITYSTLMDGYCLQGRTEEARKVLNLMITTGCIPNVCSYYILVNGFCKLKKKDEAIELSHEMSKNGLVPNTVTYNALINGMCQHGHVHEAVELFHIMQNRGIEANIVQCSILIDGLCQVGQLNVARKLFCAVPLKGLHPDVFTYSIMIKGLCKEGLPNEAYELFRTMEVSDCSQDSCSYNTMIKGFFPNVDASRAMKIIHEMVDKGFSADSSTATMLLDLSCRNGGDQSIFELLRRNYEDDQNVNIK >EOY07775 pep chromosome:Theobroma_cacao_20110822:5:2646985:2650249:-1 gene:TCM_022105 transcript:EOY07775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin alpha isoform 1 MADDSAASHRRDPIKSSVGNVAAQRRRQNAVTVGKERRESLVRAKRLCRVGPSADGDVPVEGNDMITDEEEFLLEAQTSSAVEDLKSTVAYQGKGAMQKRVNALRELRRLLSKSEFPPIEAALKAGAIPLLVQCLSFGSQDEQLLEAAWCLTNIAAGKPEETKALLPALPLLIAHLGEKSSLPVAEQCAWALGNVAGEGEELRNVLLSQGALPPLARMMLPNKGSTVRTAAWALSNLIKGPDPKAATELIRVDGVLDAILRHLRKSDEELATEVAWVVVYLSALSNFATGVLVKTDVLHLLVERLATSNSLQLLIPVLRSLGNLVAGDSHTTSTILVPGLEITDGVIKVLVKCLKSEHRVLKKEASWVLSNIAAGSVEHKQLIYSSEAVPLLLHLLSTAPFDIRKEVAYVLGNLCVAPTGGDGKPNLIQEHLVSLVQRGCLSGFIDLVRSADVEAARLGLQFMELVDMGEFTYAAHLFSLLLI >EOY07774 pep chromosome:Theobroma_cacao_20110822:5:2646370:2652899:-1 gene:TCM_022105 transcript:EOY07774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin alpha isoform 1 MADDSAASHRRDPIKSSVGNVAAQRRRQNAVTVGKERRESLVRAKRLCRVGPSADGDVPVEGNDMITDEEEFLLEAQTSSAVEDLKSTVAYQGKGAMQKRVNALRELRRLLSKSEFPPIEAALKAGAIPLLVQCLSFGSQDEQLLEAAWCLTNIAAGKPEETKALLPALPLLIAHLGEKSSLPVAEQCAWALGNVAGEGEELRNVLLSQGALPPLARMMLPNKGSTVRTAAWALSNLIKGPDPKAATELIRVDGVLDAILRHLRKSDEELATEVAWVVVYLSALSNFATGVLVKTDVLHLLVERLATSNSLQLLIPVLRSLGNLVAGDSHTTSTILVPGLEITDGVIKVLVKCLKSEHRVLKKEASWVLSNIAAGSVEHKQLIYSSEAVPLLLHLLSTAPFDIRKEVAYVLGNLCVAPTGGDGKPNLIQEHLVSLVQRGCLSGFIDLVRSADVEAARLGLQFMELVLRGMPSGEGPKLVEREDGIDAMERYQFHENEDLRNMANGLVDKYFGEDYGVDE >EOY11483 pep chromosome:Theobroma_cacao_20110822:5:39397170:39400827:-1 gene:TCM_026640 transcript:EOY11483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 14, putative MFSSRVSPAAAGDTKKEAPVAVGDGKKPCCGCLGRDSIANAAAKVDRAVVNLSVSQGIYGISTRRNICSGTIIDADGTILTCAHVVADFQGMRSTINGKVDVTLQDGRKFEGRVVNVDLHSDIAIVKMKSKTPLPTAKLGSSSNLRPGDWVIAMGCPLSLQNTITVGIVSCVDRASSDLGLGGMHREYLQTDCAMNMGNSGGPLVNLDGEIVGVNIMKMAAADGLSFAVPVDSVFKIIEHFKNSWRVFRPWLGLTMVDLNEMNIAQLRERGDQFPQVEKGILVPMVSLCSPADRAGLRPGDVVVKFDGKPVESMTEIVPIMENKIGKPLKVVVKRANDEEVTLTVIPAEANPYMLEAVTHLYVNFF >EOY07622 pep chromosome:Theobroma_cacao_20110822:5:2215938:2220811:1 gene:TCM_022010 transcript:EOY07622 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS3-complementing gene 1 isoform 1 METQRIIEFPHKNMDKRPRKRPRLTWDMPPPLPPPKVLPAIYCGQEFGNGGIANFSYPNNMFYRGIPCNGSPPWRPDDKDGHYVFAVGENLTPRYRILTKMGEGTFGQVLECFDNEKQEVVAIKIVRSIHKYREAAMIEIDVLQRLARHDVGGARCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVRELGRQILESVAFMHDLQLIHTDLKPENILLVSSEYIKVPDYKFLSRSTKDGSYFKNLPKSSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMVLRADRRAEKYFRRGTRLDWPDGATSKESLRAVWKLPRLPNLIMQHVDHSAGDLIDLLQGLLRYDPAERLKAGEALRHPFFTRDHRSMFVIWWPYVNCVHPMISGLRSDVQEEQ >EOY07623 pep chromosome:Theobroma_cacao_20110822:5:2216082:2220801:1 gene:TCM_022010 transcript:EOY07623 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS3-complementing gene 1 isoform 1 METQRIIEFPHKNMDKRPRKRPRLTWDMPPPLPPPKVLPAIYCGQEFGNGGIANFSYPNNMFYRGIPCNGSPPWRPDDKDGHYVFAVGENLTPRYRILTKMGEGTFGQVLECFDNEKQEVVAIKIVRSIHKYREAAMIEIDVLQRLARHDVGGARCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVRELGRQILESVAFMHDLQLIHTDLKPENILLVSSEYIKVPDYKFLSRSTKDGSYFKNLPKSSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMVLRADRRAEKYFRRGTRLDWPDGATSKESLRAVWKLPRLPNLIMQHVDHSAGDLIDLLQGLLRYDPAERLKAGEALRHPFFTRDHRSLNKKGMIMMF >EOY08888 pep chromosome:Theobroma_cacao_20110822:5:22752542:22760464:1 gene:TCM_024162 transcript:EOY08888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQPRKGILVNQFFWSGAWFENYYEIQTINTIKTSNKWQTNKKEIESQHPPLTEVQYTHKNTGIRATPLRMRAPELGENVSSKYIKMIVEQNNYTNLNLHTISQQLDKVEILVENQPQRTQNLSTGENRIETPKSSQPVFTPFEVPKKYHHEQQNQFLKEIQDRIDALESQNSRIIILETPTQQSRTIHTIETQSYSEELDDQQINKMIKKTERYKTAFTVPFGQYEWNVMPFGLKIASSEFQRILLKFYIYS >EOY10424 pep chromosome:Theobroma_cacao_20110822:5:34715405:34716427:1 gene:TCM_025791 transcript:EOY10424 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZCF37, putative MFYPFFCGAFHHQKEDDDELWSTPASTPKKSRRKKDSKNPYSTRGLDQFSALLAELEEKRQQIYSQMGSEGIVRFVYKNSNDCVPVVVKLKDKKEEKNKTEDTRDHHPESCVSEVVEKLPTPSESDEKIEQKKSFSWNIVKSQDWRRPSYYIPAVIISILLFLVFFGRSVAILCTCMGWYVVPTISGEGSNLRTSMKKKDYVRKLSGNKMVSGKLSSPKNNKFGAIRDKSPR >EOY10962 pep chromosome:Theobroma_cacao_20110822:5:37240297:37240834:1 gene:TCM_026232 transcript:EOY10962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASISYFLVFIMLIQGTIIFSNSIPMVEASRGLAYLENLTPPPPPSSSSSQLGRTLPSGPPSPTANSPSIDSKPIDPHKHP >EOY11106 pep chromosome:Theobroma_cacao_20110822:5:37971364:37973186:-1 gene:TCM_026380 transcript:EOY11106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Slit protein C-product, putative MASYKIFAFTALLLVLFPIAAKGDGDLSPALAPFYDRLCDEVECGKGTCKADISYRLNYICECDAGWKRTRDDDDNDDVRFLPCVIPNCTLDYSCQPAPPPVPEKEVPHNSSFFDPCYWTYCGEGTCNKTATYKHVCECRPGYSNLLNKTYFPCYSQCTLGSDCSRLGITVADQTSTPDGGKAYTFLPGKLHWVAVLMMSMAMVLWK >EOY07127 pep chromosome:Theobroma_cacao_20110822:5:525941:526804:-1 gene:TCM_021639 transcript:EOY07127 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEBP (phosphatidylethanolamine-binding protein) family protein MATDQDQFRLVSPAINHEGRLPRKYTDEGQGTKKNLSPPLEWYNVPEGTRTLALVVEDIDAPDPSEPIVRWTCWVVVNIPPTLKGLPEGFSGKEEEAGGDYAEIKEGSNDHKVPGWHGPKLPSHGHRFQFRLFALDDELKLGNKVTKEKLLDSIEGHMLGEAEFTTKF >EOY07129 pep chromosome:Theobroma_cacao_20110822:5:529669:530489:1 gene:TCM_021641 transcript:EOY07129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH140 MDYFPSPNLCSSSSFAGSPSVANNRNNNNNSKEKKKAGKKTKGAVKLSTDPQSVAARERRHRISDRFKILQSMVPGGTKMDTVSMLDEAIHYVKFLKTQIWLHQAMINFVDDDPSSFFAGSFPVQGDFYSPNNTNPNPAAALQPSQLLPLPDSCFQDDQWTMPYDVYMKNQ >EOY08952 pep chromosome:Theobroma_cacao_20110822:5:23872205:23878722:-1 gene:TCM_024251 transcript:EOY08952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKERGVGMYKYSSDHSINCPHLIPYSCTTSIPFTLYVDDLLVTSNNTEVLHQFLQHLANKFYLKDLGNLNYFLGIEAISTSNGLFNTSIFKIS >EOY09088 pep chromosome:Theobroma_cacao_20110822:5:25940617:25941877:1 gene:TCM_024470 transcript:EOY09088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGLQYNFFPTDFYYPRPQSVPADAGRVAAVTIQTQKKEVGDDLEWPRSLGFRVQQGNKIQGSKAGVSMRIQDQNEGTAYIQDQGKLVTNLPKQHSWFILIPEEL >EOY11360 pep chromosome:Theobroma_cacao_20110822:5:39080844:39086009:1 gene:TCM_026570 transcript:EOY11360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane Yip1 family protein MMSGGYTSIDNQKVSGSVPAVSDPGHVTVKFADSSLQTFPPTGAQGKISSGAQPPRDADDTFSRPAGGSDEPQGSGWFRTFTVGAYKPYFDVDTSDVLDRLKESLFPFRGTFTEKTATNPDLYGPFWICTTLIFVAASIGTFVTYIAHKLKKKEWDYDINLVTWSAGVFYGYVTVVPLALYVVLKYFSAPSGLVQLFCLYGYSLFVFIPALCLSVVPLEIFRWVIAGVAGFMSATFVALNLRAHIKSAGERWFLIVASIFLLQLALAVK >EOY07605 pep chromosome:Theobroma_cacao_20110822:5:2129988:2131173:-1 gene:TCM_021995 transcript:EOY07605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRKAKNLKAGVYFWLVELVLRPSWRKTIYEVNEKNPIDLLVAHNCLWPVGCRSSSIDIGPRLISGPRRCVALICAIVTTSLLGLQIVTFSPTQSKRRPRCLVASLHHKSSTGSPYLVVDKYLKAIHDYPMCVICPQTYSTPQHACAQFLCLQCSHYLEQKRSSEMWFEYKLMVSLSLS >EOY10889 pep chromosome:Theobroma_cacao_20110822:5:36926761:36931915:-1 gene:TCM_026176 transcript:EOY10889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 1 MEDLSKYSHSPAHLAVARRDYAGLRRIISTLPRLAKAGEVNTEAESLESELQADAVSTVIDRRDVPGRETPLHLAVRLRDPISAEILMGAGADWSLQNEHGWSALQEAVCSREEAIAMIIARHYQPLAWAKWCRRLPRIVASAARIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYTSEDGNLSLPPGSLIVLAHKEKEVTNALEGAGAQPTESEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERSEMVGNWKAKVYDMLHVTVSVKSRRVPGAMTDEELFSVDDEERLANGGENDEYDDVLTAEERMQLDSALRMGNSDGFGDDDEHGVLDCQENGSGGCYENCESNGVAKEKKSWFGWNKKVSKNSDDPEDSKILKKFSKLAPEGSNQKQVDSQKSSSEFTKEDTVDGKKGKDKGSKKKKKKGGITDAKHESEYKKGLRPVLWLTPDFPLKTEELMPLLDILANKVKAIRRLRELLTTKLPLGTFPVKVAIPIVPTIRVLVTFTKFEELQPMEEFSTPLSSPAHFQDAKSKESEGSTSWISWMRGSRGGQSSDSDSHRYKDEVDPFHIPSDYTWVDANEKKRRMKAKKAKSKKHKRQAAAKGGDGARQVNEEVEE >EOY10890 pep chromosome:Theobroma_cacao_20110822:5:36926948:36931917:-1 gene:TCM_026176 transcript:EOY10890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 1 MEDLSKYSHSPAHLAVARRDYAGLRRIISTLPRLAKAGEVNTEAESLESELQADAVSTVIDRRDVPGRETPLHLAVRLRDPISAEILMGAGADWSLQNEHGWSALQEAVCSREEAIAMIIARHYQPLAWAKWCRRLPRIVASAARIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYTSEDGNLSLPPGSLIVLAHKEKEVTNALEGAGAQPTESEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERSEMVGNWKAKVYDMLHVTVSVKSRRVPGAMTDEELFSVDDEERLANGGENDEYDDVLTAEERMQLDSALRMGNSDGFGDDDEHGVLDCQENGSGGCYENCESNGVAKEKKSWFGWNKKVSKNSDDPEDSKILKKFSKLAPEGSNQKQVDSQKSSSEFTKEDTVDGKKGKDKGSKKKKKKGGITDAKHESEYKKGLRPVLWLTPDFPLKTEELMPLLDILANKVKAIRRLRELLTTKLPLGTFPVKVAIPIVPTIRVLVTFTKFEELQPMEEFSTPLSSPAHFQDAKSKESEGSTSWISWMRGSRGGQSSDSDSHRYKDEVDPFHIPSDYTWVDANEKKRRMKAKKAKSKKHKRQAAAKGGDGARQVNEEVEE >EOY11716 pep chromosome:Theobroma_cacao_20110822:5:40119421:40123038:-1 gene:TCM_026802 transcript:EOY11716 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor A1F MGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >EOY10690 pep chromosome:Theobroma_cacao_20110822:5:35905712:35907201:1 gene:TCM_025992 transcript:EOY10690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPRDFIAVALEFELEMQREKKREMEESLRAAQVALRKKERGLSVRRRKTQGMRAKEAAKEKLIVDFMVFVEAVENNDLETAQKFDENAMMKAIVTMMGSNGGDGGDSGGFAGGYGDGNVTEIDVNPEEKAVMDATVATVNVGNYGGHNGGFVGDYGGISNNLEKAQNIDKEAMMAAGIEERNSDASEGSDGGGYGACVSGNRRE >EOY07902 pep chromosome:Theobroma_cacao_20110822:5:3201294:3202338:-1 gene:TCM_022219 transcript:EOY07902 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MARQNERPRGNGRGKGQDVGPAHWVGWHFDATTIHVPHGDRHNSLLGWKTKCEKRHVGLVMLRLLTEKLLRVISIFPSRGTDHSIVEFVEDVEETKMVPKDVKEGHFAVIAVKGGKQKRFILELSCLRNPAFLTLLEQAKEEYGFRQKGVLVLPCEPEELQKILEDSTKIYR >EOY11195 pep chromosome:Theobroma_cacao_20110822:5:38292991:38303526:1 gene:TCM_026445 transcript:EOY11195 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MEEGNSNDSKVNNVARAIVAALDWNSTPDARKAAVSYLESIKAGDIRILANTSFLLVKKNWSSEIRLHAFKMLQHLVRLRWEEFGPLERKNFANVAVELMSEIADPCEEWALKSQTAALVAEMVRREGLNLWQELLPSLVSLSSQGPVQAELVSMMLRWLPEDITVHNEDLEGDRRRLLLRGLTQSLPEILPLLYTLLERHFGAVLSEVSRQQLEIAKQHAAAVTATLNAVNAYAEWAPLPDLAKYGIIHGCGFLLSSPDFRLHACEFFKLVSPRKRPADDAASEFDSAMNSIFQILMNVSREFLVRSSSTGGAIDESDCEFAEYVCESMVSLGSSNLQCIVGDSTTLSLYLLQMLGFFQHFKLALHYQSLQFWLALMRDLMSKPKLHSAGDGSAVTNVDSTSAQVDSEKRKILSFLNDDICSAILDISFQRMLKKEKLMTGTALSLGVLELWSDDFEGKGDFGQYRSRLLDLIKFIASNKALVAGAKISERIIMIIKNLLNSPMPAQDLVVMESMQVALENVVSSIFDGSNEFAGGSSEVHLALCRIFEGLLRELLSLNWTEPALVEVLGRYLDAMGPFLKYFPDAVGSVINKLFELLNSLPFVVKDPSTSSARHARLQICTSFIRMAKAADKSILPHMKGIADTMAYLRREGCLLRGEHNLLGEAFLVMASAAGIQQQQEVLAWLLEPLSQQWIPIEWQNNYLSEPLGLVRLCSDTAFMWSLFHTVTFFEKALKRSGMRKGNLNLQNSSTASSTPHPIAAHLSWMLPPLLTLLRAIHSLWSPSIFQTLPGEIKAAMSMSDVERSSLLGGGNPKLSKGALTFIDGSQFDVNKEGYTEPNEADIRNWLKGIRDSGYNVLGLSTTIGDPFFQFMDIDSVALALIENIQSMEFRHTRQLVHSILIPLVKSCPPDMWEVWLEKLLHPLFVHCQRALSCSWSSLLHEGRAKVPDNHGILTGSDLKVEVMEEKLLRDLTREICLLLSTMASPGLNAALPNLEHSGHFGRVDMSSLKDLDAFASSSMVGFLLKHKSLAIPVLQISLEAFTWTDSEAVTKVCSFSAAVVLLAIFTNNVELQEFVSRDLFSAVIRGLALESNAVISADLVNLCREIFIYLCDRDTAPRQILLSLPSVSPNDLHAFEEALAKTASPKEQKQHMRSLLLLASGNNLKALAAQKSVNIITNVTTRPRGSVNVPENRIDEGDTNHTIGLAAIL >EOY11196 pep chromosome:Theobroma_cacao_20110822:5:38293003:38301227:1 gene:TCM_026445 transcript:EOY11196 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MEEGNSNDSKVNNVARAIVAALDWNSTPDARKAAVSYLESIKAGDIRILANTSFLLVKKNWSSEIRLHAFKMLQHLVRLRWEEFGPLERKNFANVAVELMSEIADPCEEWALKSQTAALVAEMVRREGLNLWQELLPSLVSLSSQGPVQAELVSMMLRWLPEDITVHNEDLEGDRRRLLLRGLTQSLPEILPLLYTLLERHFGAVLSEVSRQQLEIAKQHAAAVTATLNAVNAYAEWAPLPDLAKYGIIHGCGFLLSSPDFRLHACEFFKLVSPRKRPADDAASEFDSAMNSIFQILMNVSREFLVRSSSTGGAIDESDCEFAEYVCESMVSLGSSNLQCIVGDSTTLSLYLLQMLGFFQHFKLALHYQSLQFWLALMRDLMSKPKLHSAGDGSAVTNVDSTSAQVDSEKRKILSFLNDDICSAILDISFQRMLKKEKLMTGTALSLGVLELWSDDFEGKGDFGQYRSRLLDLIKFIASNKALVAGAKISERIIMIIKNLLNSPMPAQDLVVMESMQVALENVVSSIFDGSNEFAGGSSEVHLALCRIFEGLLRELLSLNWTEPALVEVLGRYLDAMGPFLKYFPDAVGSVINKLFELLNSLPFVVKDPSTSSARHARLQICTSFIRMAKAADKSILPHMKGIADTMAYLRREGCLLRGEHNLLGEAFLVMASAAGIQQQQEVLAWLLEPLSQQWIPIEWQNNYLSEPLGLVRLCSDTAFMWSLFHTVTFFEKALKRSGMRKGNLNLQNSSTASSTPHPIAAHLSWMLPPLLTLLRAIHSLWSPSIFQTLPGEIKAAMSMSDVERSSLLGGGNPKLSKGALTFIDGSQFDVNKEGYTEPNEADIRNWLKGIRDSGYNVLGLSTTIGDPFFQFMDIDSVALALIENIQSMEFRHTRQLVHSILIPLVKSCPPDMWEVWLEKLLHPLFVHCQRALSCSWSSLLHEGRAKVPDNHGILTGSDLKVEVMEEKLLRDLTREICLLLSTMASPGLNAALPNLEHSGHFGRVDMSSLKDLDAFASSSMVGFLLKHKSLAIPVLQISLEAFTWTDSEAVTKVCSFSAAVVLLAIFTNNVELQEFVSRDLFSAVIRGLALESNAVISADLVNLCREIFIYLCDRDTAPRQVSFGSNLHKF >EOY09603 pep chromosome:Theobroma_cacao_20110822:5:30186705:30190396:-1 gene:TCM_025021 transcript:EOY09603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jojoba acyl CoA reductase-related male sterility protein MGALFLNSFSVSPSKLATVSNNCNSWRKKNIFVHCQGSGNAVKTSGVSSVLRERSKMVNADRGATVMDAGSLVMSPNGNSQADIALKDLVPYGGSTTSLVELQEGIGIVKFLRGKDFFITGSTGFLAKVLIEKILRTVPDVGKIFLLIKAKNREAAMERLKSEIINAELFKCLQQTYGNSYQNFMLSKLVPLVGNICESDLGLDGDLADMIAKEVDIIVNSAANTTFDERYDVAIDINTRGACHLMCFAKKCQKLKLFLQVSTAYVNGQRQGRIMEKPFDIGDCIARENLISETTPRSIPELDVEDELTLALNSKKGFDDTEVAHKMKELGLERARKYGWQDTYVFTKAMGEMMINNMRGEIPVVIIRPSVIESTCKEPFPGWMEGNRMMDPIVLCYGKGQLTGFLVDPNGVLDVVPADMVVNATLAAIARHGVTPKPDINIYHIASSVVNPLVFQDLARLLYEHYNSSPFLDSKGSPIHVPSMKLFNSMEDFSAHLWRDATQRTGLTAVASWSGKLSQKLETICRKSVEQAKYLANIYEPYTFYAGRFDSSNTERLMEIMSEEEKRNFGFDVGSMDWKDYIKNVHIPGLRRHVMKGRGMCT >EOY11722 pep chromosome:Theobroma_cacao_20110822:5:40136389:40137114:-1 gene:TCM_026807 transcript:EOY11722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESGRKKRKMGNQEEEEDDEEEEEEDDEEEEEEKVEKFFALIRSTREMRDRLRNVPNGSKEEEERKKQEEKQVAAVWNPKFQPEDFMEDSKPKNLLAVNEAGPSSNREQEQGQDTKEGGEDGGLDLKLSL >EOY10949 pep chromosome:Theobroma_cacao_20110822:5:37160333:37163659:1 gene:TCM_026214 transcript:EOY10949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDNVNGKTIMATCYLIFRGEGSLKHAILAKDAKTPPPPPSPMINVRIPPPPPPPPSGNVIIHQSAPPPILSPPPPPLPSLPPPTPLQGPASVKPGASSEMDVNSCLLAFLLIHALLFSSQSDLTVEAAESVSGSFSDPFPSHDFYKLKVVSRRIQRPPPPSPMIHAPYHFKSPPPRPPPPSLWQPPL >EOY07875 pep chromosome:Theobroma_cacao_20110822:5:3096892:3101524:1 gene:TCM_022194 transcript:EOY07875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-type peptidase, putative isoform 1 MIMYQQDSDIVHWFLGCDPFYHSAYNSDIIQHDAGDIYHHAHYFRDHTDTESTQIENDEVIARTLQEEFSHLAVSEASKSFHSGEQQLQSYSEPHDWHSSSTGDYYYSGYEYGQDGSSDLVPLSSCSSPSDSEDFSCSLELTDGYLLDDDEVGKRLNQMIPIPHVPRINGEIPSINEAMSDHERLLNRLQAYGFVELKVQGDGNCQFRALSDQLYHTPDNHKYVRRQVVNQLKSHPETYEGYVPMDYADYLKKMSKSGEWGDHVTLQAAADSYGVRIFVITSFKDTCYIEILPNFQKSKGVIFLSFWAEVHYNSIYIQGDLPSVEVPRKRKWWNFGN >EOY07876 pep chromosome:Theobroma_cacao_20110822:5:3098782:3101782:1 gene:TCM_022194 transcript:EOY07876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-type peptidase, putative isoform 1 TESTQIENDEVIARTLQEEFSHLAVSEASKSFHSGEQQLQSYSEPHDWHSSSTGDYYYSGYEYGQDGSSDLVPLSSCSSPSDSEDFSCSLELTDGYLLDDDEVGKRLNQMIPIPHVPRINGEIPSINEAMSDHERLLNRLQAYGFVELKVQGDGNCQFRALSDQLYHTPDNHKYVRRQVVNQLKSHPETYEGYVPMDYADYLKKMSKSGEWGDHVTLQAAADSYGVRIFVITSFKDTCYIEILPNFQKSKGVIFLSFWAEVHYNSIYIQGDLPSVEVPRKRKWWNFGN >EOY06960 pep chromosome:Theobroma_cacao_20110822:5:30396:44990:1 gene:TCM_021522 transcript:EOY06960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKMQEIRQAFKGIGLTGAYVIRWLDYKHILIHLSNEQDFNRIWTKQQWFIANQKMRVFKWSPDFEAEKESPIVPVWISFPNLKAHLYEKSALLLIAKTVGKPLFIDEATSNASRPSVARVCVEYNCRNAPVEEIWIVIKDRVTGTVTGGYAQKVEFSKMPDYCEHCGHVGHSVSTCLVLGNRSENLRKEKLSNVHSKSLAGKKQTENDDKGLDSKPMDDLKRNKETDRKISEERPMMTGRNTEATAEKRNKILNREVLAKHSLQWQAVGHLGQPKFNGFKGAERHLEDEGTKQFQNVNRFSALGSVQDTENEEQIREGKQILMGESSGKDKQGKDGIDLIFKSEGHQKLNGEVLNASGNHQAAVEKDATFNVTKSAGEEVLPKVPHVHGARGMAGKSLDILEETVPETRVSRDSTKEFIEESGQELHQERVNKENRGISFDNAENSSNQLHGAMEKANNAVLHGDIQLQRERGGPQMEKDETEKFTAMTDTELLPPAAGSIAAIGMSAHESVQVGAGAKIKGVSLELSNRAPSFLHRGRHHCSEIAQEDLNMSEGGTSQTRQVINDEREKIFSAGEATSISAVASSRGPADGRLEVSSATKQVFVDNMLFTGNHGNTQRSFENVQKITVRKHQLKKKAKPVLASLVPVMDVNNDEVFLKESEPNETHQGAGQEQYWSPAKASIAAEKNSEEQLNNPQSEPGNCALNMETDSIPSNTILYCNPEQEKSRADHEFFSMQPHAEQESDNLIFHPRNLRRRKSDSSLYSHDNWNSLNASEPAKFTLPWLSHPIFSSFVYAKCTRQERIELWNFLRSVSWDMYGPWMVGGDFNSILSSAERLHGANPHNGSMEDFATMLLDCGLHDAGYEGNNFTWTNNHMFQRLDRVVYNHEWADCFNHTRVQHLNRDGSDHCPLLISCENTAQRGPSNFRFLHAWTHHHDFTPFVERSWRVPIQATGMLAFWQKQQRLKRDLKWWNKQIFGDIFHNLKLAEAEAATRELHFQQDPSLINRNLMHKAYAKLNLQLDDIVIFTNGCRSSLQKILNFLQEYEQVSGQQVNHQKSCFITTNGCALSRRQIISHTTGFHHKTLPVTYLGAPLHKGQKKVILFDSLISKIRDRISGWENKILSPGGRITLLRSVLSSQPMYLLQVLKPPVTVIEKIERLFNSFLWGDSCDGKKLHWTAWSKITFPVSEGGLDIRNLRDVFEAFSLKLWWRFQTCNSLWTRFLRTKYCLGRIPHLVQPKLHDSQVWKRMIVGRDVALQNIRWRIGKGELFFWHDCWMGDQPLATLFPSFHNDMSHVHKFYNGDEWDIVKLNSYLPTSLVDEILQIPFDRSQEDVAYWALTSNGEFSFWSAWEIIRQRQTPNALLSFNWHRSIPLSISFFLWRVLNNWIPVELRMKDKGIHLASKCVCCRSEESLIHVLWENPVAKQVWNFFAKSFQIYVSKPKHISQIIWAWFFSGDYTRNGHIRILIPLFICWFLWLERNDAKHRHMGMYPNRVIWRIMKLLNQLHAGSLLKQWQWKGDTDIATMWGFKYPPKYCQSPQIISWIKPFIGEYKLNVDGSSKSSQNAAGGGVLRDHTGKLAFAFSENLGPLPSLQAELHALLRGLLLCKERNITNLWIEMDALVAVQMVQQSQKGSHDIRYLLESIRLCLRSFSYRISHIYREGNQAADFLSNKGQTHQSLCVVSEAQEFPSLPTMHGLPSGRPPDPNQAWPATHQLQQSTATHQQPSTAPLPQPHSCQQVNGSQIQRPSSPRSQKKSFLSIITGEKPSVVPLTRDPFVFKDRPAAAFFEDEIQTLAKPFKLSLVGKFSRMPKLQDVRAAFKGIGLAGAYEVRWLDYKHVLIHLSNEQDFNRIWTKQNWFIATQKMRVFKWTPEFEPEKESAVVPVWISFPNLKAHLFEKSALLLIAKTVGKPLFVDEATANGSRPSVARVCVEFDCRQPPLDQVWIVVQNRKTGEITNGYSQRVEFAQMPAYCDHCCHVGHKETDCILLGNKARPPGITKQPNSRLEDGGRRVGSKEDGEFTTEKRKNIENSKKPQNDKILYPEEPPKHQKRGQPANKGSTSGTKIWQGKKVQSDKASKDENISVSNRFHIISEEEEDEHSRTAQNGKEKKEKNKEKDEGGKTEGIRRGTTEERTTGAEIQTGSGKPEGAEMTATPSALSQILEDNTQGTLHEYGVHGQLQKLVEERDRHAEKESENPRIQNNKIIIKSQQKDNAEQHIRVRLQKEETQKKSTARTAGPSLQAADMLRPAKTISGEPTDLPTQAAPTLHGVTQLETDTEDQIISVETLKQATTGHPENTAKQNNKAKAEGGKMITIAPTKLHSERNDAHVRDQEKTHPSENHESAREFVGATVEGEDPAAIGSTPHHNPQVHVERNKDVGGQEPSMHATPEETLLQKACQTNLRQSFLNNIIESSTQVMALRQDQGHYMTEHRPEVSYSLVDTREGSGEHVPIEEEGKRKEDNDLISPNMESASSKCLFNKEPSDIPSFSGNSQAEVEVHPRERRRRHSDTAIPLRNSLSSATEEAIVLGGNDEDSDGDSISRMEFNCEVLLDHPQCLHVRLTIPWLDFPIFTTFVYAKCTRSERTPLWDSLRGLAADMEGPWLVGGDFNVILKREERLYGADPHEGSMEDFASALLDCGLLDGGFEGNPFTWTNNRMFQRLDRMVFNHQWINKFPITRIQHLNRDGSDHCPLLLSCSNSSEKAPSSFRFLHAWTLHHNFNMSVEEPNLQEVKEVVFGMDPESAAGPDGFSSHFYQQCWDIIAYDLFDAVKDFFQGADIPQGVTSTTGLNALYDQYPSLHYSSGCSMPISHLAFADDVIIFANGSKSALQRILAFLQEYEELSGQRINPQKSCVVTHTNMASSRRQIILQATGFSHRPLPITYLGAPLFKGHKKVILFNDLVAKIEERITGWENKILSPGGRITLLRSTLSSLPIYLLQVLKPPIIVLERINRLFNNFLWGGSASSKRIHWASWGKIALPIAEGGLDIRNLEDVFKAFSMKLWWRFRTTNSLWMQFMRAKYCGGQLPTHVQPKLHDSQTWKRMVTISSITEQNIRWRVGHGKLFFWHDCWMGEEPLVIRNQEFASSMAQVSDFFLNNSWDIEKLKSVLQQEVVEEIAKIPINASSNDRAYWTPTPNGDFSTKSAWQLSRERKVVNPTYNYIWHKSVPLTTSFFLWRLLHDWVPVELKMKSKGFQLASRCRCCKSEESLMHVMWDNPVANQVWSYFAKVFQIHIINPCTINHIISAWFYSGDYSKPGHIRTLVPLFILWFLWVERNDAKHRNLGMYPNRIVWKILKLIHQLFQGKQLQKWQWQGDKQIAQEWGIILKAVAPSPPKLLFWNKPSIGEFKLNVDGSSKYNLQTAAGGGLLRDHTGSMIFGFSENFGSQDSLQAELMALHRGLLLCIDHNVTRLWIEMDAKVAVQMINEGHQGSSRTRYLLASIHRCLSGISFRISHIFREGNQAADHLSNQGYTHQNLQVISQAEGQLRGILRLDKINLAYVRFK >EOY08392 pep chromosome:Theobroma_cacao_20110822:5:7412260:7413026:1 gene:TCM_022772 transcript:EOY08392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKRNQRLARRGFGKDQGSSWRTKNKNYSNKKEELTCFECKKPGHFKSECPLLKEETPKRNKKSNKAMVATTWSDNDTLSSEAKEEKAEERANLCLMALDDESVVSSSPCNISINELQDEYECLHDEFEKLVSKYKTLKKKSAFLESYLDKIKLDFDAVFEQRNFLQIKLEHSKIEF >EOY10229 pep chromosome:Theobroma_cacao_20110822:5:33569064:33570530:-1 gene:TCM_025583 transcript:EOY10229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQAMGSASGLLSWWDEEFFKMEKVIMDQRFILTVGSMNGLNLRVRIGNIYVHNVDSEGDALWETLRGIFSEENVPWCIGANFNVVRYAEKRIGVESKKKPMVEFAEFIEDCGFTDLPMTRGKYT >EOY07136 pep chromosome:Theobroma_cacao_20110822:5:575218:586182:-1 gene:TCM_021649 transcript:EOY07136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphate dependent pyruvate decarboxylase family protein MDTKIGSLDVCKPSSTDVGSPPNGTVATIQGSVSPTVINSCEATLGRHLARRLVQVGVTDVFSVPGDFNLTLLDHLIAEPELNLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTNRVLHHTIGLPDFSQELRCFQTVTCYQAVVNNLEDAHELIDTAVSTALKESKPVYISISCNLAAIPHPTFSSEPVPFSLSPKLSNKMGLEAAVEATAEFLNKAVKPVLVGGPKLRVAKACEAFVELADASGYALAVMPSAKGLIPEQHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVIANGPAFGCVLMKDFLRALAKRVKHNNTAYENYHRIFVPEGHPLKASPREPLRVNVLFQHIQNLLSSDTAVIAETGDSWFNCQKLKLPRGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVIACIGDGSFQVTAQDVSTMLRCGQNTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVDAIHNGEGNCWTTKVFCEEELIEAIEMATGAKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >EOY08838 pep chromosome:Theobroma_cacao_20110822:5:22003456:22015054:1 gene:TCM_024080 transcript:EOY08838 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein MSKNLAYIDLSHNKLTGEVQSYDWKGLQNLTHIDLSHNSLYGNIPSSLLALQLLKKVKLSNIQFNGKVLDVPNAPQSLLDTLDLSSNQLQGPIPNYSTLASFPKITRLELASCGLKVFPDLKNQARLTYLDLSDNQISGEVPNWIWNVGNGLLQHLNLSFDQLVSLQKPYQIPGLSVLDLHSNKLSVLDLSNSSLTGAIPKCLIERIVSLGVPNLRGNSLSGNIPDAFLSHCSIQTLNVNGYELEGKIPRSLARCKMLEVLDLGNNRISDSFPCHLKNISTLRILVLRANEFHGKIGCPAIMAPRPKHQIVDIAHHSFNGRLPEKWLTTWEAMMVTMKGLTMELVKILTVFSSIDFLCNKFEGLIPNVIGEFKALYALNLSHNAFTGAIPPSLRKLQQLESLDLSSNNLCGSIPQQLAKLNFLAFLDLSHNQLGGRIPKGNQFRWLSDDSFDNNGGLCGPPLEAKCQSPDSPSLEILKLGSRSTRAEAGSDIRWNFISADIGFFSGLGIVIAPLIFWKRWRIWYYKHIDRALFSLFPRLESSPESEEEAQATMERSRESMKRFLASSFVVFSCFCARDEGSFALGLISIISWSVAEIPQIITNYKEKSVQGFSLGFLITWIVGDLFNLFGCILEPATLPTQYHMAVLYTMTSSILAAQTVYYGHIYPRLKFKGVCHKGSKEHQPEAVEKVGEQINNLDVKQVIDADRLSSPIPLPAINVKSSPGREFYYMSARSLSTSHTPTEGSFLARRMTTPFHTRNSVEEPLLSAHVSTQTASPPSTKSLLCLVSALMFIATFNFQLSAFNKLHLKPEIINQGFAIQIGRKLLQVNAASLGESGIGGGSRVGTFLGWAMAAIYMGGRLPQICLNIRRGNVEGLNPFMFVFALVGNSTYVASILVKSTDWSRIRPNLPWLVDAGGCVLLDIFILIQFLYFCYWAPQGAEYKHENLNAS >EOY09494 pep chromosome:Theobroma_cacao_20110822:5:29500011:29506778:1 gene:TCM_024910 transcript:EOY09494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein MFSWLARIALACWRPVSRYARMNKDNNNSNNDEVEEDSSSLRDSLLWCRDLEKHSYGDFSFAVVQANEIIEDHSQVETGKDATFVGVYDGHGGPDASRFISDHLFQNLIRLARANGTISEDILRSAFSATEDGFLTLVRRTCGIKPLIAAIGSCCLVGVIWRGTLYIANLGDSRAVIGYLGRSNKIVAEQLTRDHNASIEEVRQELRSLHPDDSHIVVMKHGVWRIKGIIQVSRSIGDAYLKRPEFSLDPSFPRFHLSEPIRRPVLTAEPSMCTRVLQPSDKFLIFASDGLWEHLTNQQAVEIVYNYPRAGIAKRLIKTALNEAARKREMRYDDLKKVDKGIRRFFHDDITVVVIFIDHESLGAKMSVPEQSVRGFVDTTGPSNFNIL >EOY08035 pep chromosome:Theobroma_cacao_20110822:5:4108792:4110804:-1 gene:TCM_022358 transcript:EOY08035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MATRLVRKLPSSSSTFSSSLITKSTAEISPPLVGHGGSILRWASSSSSSSSSTATTSSNSSSSKQEKKITDRLSAVIDAVNDRKLPPELRGQRNAVRSETDIINVVEQRIWHSMEEGQFENLPGKGKPLNLNTNPHADPAEDTLYRILSKNGCAPEWVELNKEIRNKVSEWRVALKKAWESKCNGDHNKWVECSETLKMQLCDINDKVFRYNLIVPFGRQMFGLKWEKEVARLEE >EOY11556 pep chromosome:Theobroma_cacao_20110822:5:39606075:39607514:-1 gene:TCM_026694 transcript:EOY11556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-B15, putative MKRTGLRKDICNFIAPSFVFCEPSFYSFSWKFRYNQQIPIGKVQQSNSFAFGFDSFIGLLQKNRKKKKKMECLDMNVLPESCVAVILSLTSPADACKSSLVSSTFQSASESDSVWERFLPSDYGEIVSKASDNLEFSSKKELYLLLCNPVLVADGKMSFKLERSTGRISYILSARELSITWSNDPMYWVWKSIPESRFAEVAELKTVSWLEIHGKIRSKTLTPNTRYGAYLLLKITDRAFGLDLIPSEISLEVGNGAKFTNTAYLRSQDSKKQRMEDLFYGNRKQMLKSRVIEGEDRVLSEREDGWMEIELGEFFNGEGDKAVKMSLMEVKGHQLKGGLIVEGIELRPKS >EOY07354 pep chromosome:Theobroma_cacao_20110822:5:1297548:1298566:-1 gene:TCM_021809 transcript:EOY07354 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein MSLIPSIFGNRIIFDPFSSDIWAPLNSNDEISTFANAQIDWKETPEAHVIRADLPGLKKEEVKVEIEEGRVLQLSGERSVEKEDKNEKWHRIERGRGKFLRRFRLPENAKVDEVKATMENGVLTMTMPKVEEKKTKLKSIDISN >EOY11112 pep chromosome:Theobroma_cacao_20110822:5:37999762:38002066:1 gene:TCM_026385 transcript:EOY11112 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 19, putative MGKASRWLVNFLLGRKEDKGKRKNISISFEEGRVTTPSATPPATPFKRRWSFGKLASKERAHKSSRSLDSMTATPLVKQAVLGLEKRHDNTRVLAMAMTSATKRKTKATSAASLISKAVEDAAATRIQAAFRSYLARKALHALRGLVKLQALVRGHLVRKQTTATLRRMHALMAIQVRARFQRIQMAEEPRPAVKSRSSRYGRFPQEMGFKRAQREAVDINLYEKCGIVKSQNGFMNHSQIERIEHGITTYYSGELSISKREQKYEEFSFTTHNSPRHSPPMSKPTPGRSSFSSHEYPYMPNYLTNTESSRAKVRSQSEPKQRPAWNSKAKGKKTTSAEEMDDNIQQHYSSSQSKGVADENQEPWFVTLYRSTRTPKDNEGDTSVPTSYSEYRKSLVTNEVSQVNFSAVESSSVRFLGFSLKLHSLTHFWFTYLQPHATLF >EOY08866 pep chromosome:Theobroma_cacao_20110822:5:22512938:22520820:-1 gene:TCM_024130 transcript:EOY08866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein MASLLQLFSLFFPCLLIYTASSNQIPKHYIVYMGSSSEVNDEAAESDHLQLLSTVIPRQDSGRISLIHHYNHALRGFSAMLTENEASELAGHDGVVSLFPDSVLQLHTTRSWDFLEGQSRPRFSHGSYQHKSSYDVIIGMIDGGIWPESPSFRDEGMGEIPTRWKGVCMEGPDFKKSNCNRKLIGARYYNVLHTSNGNKTTQMRVDKSPRDTVGHGTHTASTAAGAQVDNASYNGLAQGTARGGSPNARIAMYKACSEDGCPSSTTLKAIDDAIKDGVDIISISIGMSSLLQSDYLKDPIAIGAFHAEQLGVMVVCSGGNEGPDPFTIINAAPWIFTVAASNIDRDFQSTVLLGNGRTFQGSAINFSNLTRSETYPLAYGKDIAAKFSPISEARSCYPGSLDPERVKGKVIICVDSFPIVSREIKKLVAEDAQAKGLILINENGKGAPFDSGAFPFTEVGSATGYKILKYINSNKNPTATILPTADVPRHRPAPVVAYFSSRGPSVLAENILKPDIMAPGVAILAAVIPKVVQGKKPLEYAIKSGTSMACPHITGASAFIKSVHPKWTSSMIRSALMTTATVYDNMGKPLTNSSGSFATPHETGVGEISPLKALNPGLVFETTSEDYLKFLCYSGSSEKTIRSMAKTNFKCPRKSSDNLISSINYPSISISRLDKTRGFRTITRSVTNVGLLNVTYTATAQAPLGLKVKVLPKTITFVENVRRVPFRVSFDGRGASTGYNFGSLTWSGGPYSVRMVFAVNVK >EOY11295 pep chromosome:Theobroma_cacao_20110822:5:38855177:38857182:-1 gene:TCM_026526 transcript:EOY11295 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-ATPase 1, putative MVVTYLQASFQLKHSNSVAQERLKNYFFPSIRITFDEFTSGLYHRSDAYIAIEYTLAPNLLHEQPGLETRLSVIWSSTKIGKAWKRGYLLYGPPGTGKSTLILVMSNLLSYDIHDLELITIKDNAYLKTLLINSSRKGIFVVEDIDCSFDITQKQEK >EOY10313 pep chromosome:Theobroma_cacao_20110822:5:34118329:34122060:-1 gene:TCM_025686 transcript:EOY10313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C4 MVSESSSSSSYPIKTIVILIQENRSFDHMLGWFKSLNPDINGVTGSESNPISTSDSNSSRIFFKDNAEYVDPDPGHSIQAIYEQVYGKPWSSDHDPNPPHEPTMNGFAQNAERTEKGMAEAVMKGFRPEAIPVYKELALNFAICDRWFASVPASTQPNRMFVHSATSHGSTSNDTMKLIQGFPQKTIFESLEESGLSFGIYYQYPPSTLFFRNLRKLKYLKNFHQFDLHFKEHCEEGKLPNYVVVEQRYFDLLSVPANDDHPSHDVSEGQKFVKEVYEALRASPQWNEMLLVIAYDEHGGFYDHVPTPTIGVPSPDDIIGPEPYYFKFDRLGVRVPTFFISPWIEPGTVIHGPSGPYPTSEFEHSSIPATVKTIFNLKEFLTKRDAWAGTFECVINRENPRTDCPVTLTEPVKMRDAAAKETAKLSEFQQELVQMAAVLSGDHKKKIYPHKLVENMTVAEAVKYVDGAFKKFCHECQKAKERGIDESEIVDLGELPQRLNSKSVVRKMFSCFIWDN >EOY08719 pep chromosome:Theobroma_cacao_20110822:5:18628231:18630831:1 gene:TCM_023801 transcript:EOY08719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFHESSQLSFPSLDIQFEAGESTLECLLNTFGGCFQRSPSPLFPHSPPLKHDILLSYCASSCEIATKTTTLLRHYHLSFFLSVLSSLFLFHLRDFSLLSLQSSQDTCLSLSSTTSCCPVMLTCL >EOY08420 pep chromosome:Theobroma_cacao_20110822:5:8064310:8065519:1 gene:TCM_022847 transcript:EOY08420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate family protein 13, putative MGKKMKLPFLSKNTIQAKSSWPWPSCHQPRTLSFRTDDSLFKTINSAYLDAIIEAETPESWFSNSSESASFSTASDESGGDPVETVIRGLRSERLFFEPGETSSILEEAKPSGLPFKESVVLSMESQDPFADFRKSMEEMVEAHGLKDWGGLEELLCWYLRVNGKSNHGYIVGAFVDLLVGLALSSTAIPCCSNCSHSPSSPLSFYTSSSSEDSSTTPCVSSLEAEEEIDNTSHCLSSLLEAEKEITEDKDDASSSLDV >EOY07956 pep chromosome:Theobroma_cacao_20110822:5:3613789:3615247:1 gene:TCM_022289 transcript:EOY07956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIQALKRVLTFDSWSPRRHVVFPKVSIRKERSLHYLGTDAANDLTSPSMVKNPPRAGMSLLGAPPGPADLRSTSAFLSPNFPAKLLLKVFE >EOY11511 pep chromosome:Theobroma_cacao_20110822:5:39486333:39489771:1 gene:TCM_026662 transcript:EOY11511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease m50 membrane-bound transcription factor site 2 protease, putative MRVNRARRFGAARLPLRASLPSPSHRVAAASNAVSCWYCDLKISAFNEPLFRFGRNHAAILKLWFSIGTGFGLTALVGVTLILIWQLFLRSNAQLSNLFSSLLFGFSPSLRISLSDAGYLFVSTLFSVSVHEFGHAVALASEGIQLEYIAVFLAVLFPGALVAFDHDLLQALPRLTALRVYCAGIWHNAVFCAVCGLLLFLKPVILFPFYIHAESPLVLDVAPASPLSEFLSPGDAIVSLDGVRIHDVQEWVEMTSLLDKKIRQNSSDLHYFKGFGTVDNRKGYCVPNALLEDSKKVQLADSQSICPNDLVAFVRIHCFDPGKLEDVGDEDGHRGRRENELCLNAKDIVKLGKCGEGLGTVMINGSRCICSQDESCLSPVQLPGLMWVEITYSRPYSTECLHLRSSLLDSNTSDAVEQNCGGTFVFVGDVISMAHSVQLTVYQPRWGIFLGEYLPNKLEKSLICTFHVSLTLALLNSLPVYFLDGESILEVTLSHFTSLSPRKMRTVLQICLVGGTFISVLAFLRIFFINFL >EOY07515 pep chromosome:Theobroma_cacao_20110822:5:1807505:1810656:-1 gene:TCM_021928 transcript:EOY07515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic ABC protein 9 MAVESSKPTVEINGLRFTYPGIDGHPPPGSAPLIDDFSLTLNAGNRCLLVGSNGAGKTTILKIMGGKHMVEPHMVRVLGRSAFHDTVLASSGELCYLGGEWRRDVAFAGFEVPIQMDISAEKMIFGVAGIDPKRRAELIKVLDIDLSWRMHKVSDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARADLLHFLRKECEERGATIIYATHIFDGLEKWPSHIVYVAHGKLQLAMPMDEVKEISNLSLMRTVESWLRKERDEERQKRKERKAHGLPEFENQIEGSRVTGDPARAAVRALNNGWAAGRLHSTVAGEENFLFSSNRVLRQ >EOY09902 pep chromosome:Theobroma_cacao_20110822:5:31597544:31604436:-1 gene:TCM_025269 transcript:EOY09902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component MIGWEDVCKVVVAMVPLYVALMLGYGSVKWWRIFTAEQCDAINRLVCYFTLPLFTVEFTTHIDPFQMNYRFIGADTISKLVIVVVLAFWAKCSSKGSYCWSITSFSLSTLTNALVVGVPLMKAMYGQTGVDLVVQSSVVQAIIWLTILLFVLEFRRSGVSISSATKDGNEQEKDVEGNTDNAGVLSTRPSFWNLMKVVGMKLAMNPNSYACVIGLAYACVANRWHFEMPSIMEGSILIMSKAGTGTAMFSMGTFMALQEKIIACGTSLTIFGMVLRFIAGPAAMAIGAIAVGLHGAVLRVAIIQAALPQSITSFIFAKEYGMHAEVLSTAVIFGTIVSLPVLVAYYAILESVH >EOY08130 pep chromosome:Theobroma_cacao_20110822:5:4771173:4774626:-1 gene:TCM_022457 transcript:EOY08130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein, putative MEMEDHHHHQYAMADLRQLMNGRPTHFQGIPQAPELFSSGHRNLPPPQPHHHQHHPHTFEMMQMVGRQVGHELMPRGLHHDFPADSTANNATPTAAAVAVTSASTPSASCGFDGEATAFGGDGGTGRWPRQETLTLLEIRSRLDPKFKEANQKGPLWDEVSRIMSEEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGETSNSVSGPETQLIGNNFRFHGTPNSNTQANQDVYHSQKLCDSLSLSNSSDFDTSSSDDNDLSTAGPMENDSSEKRKKKRGSRSWKAKIKEFIDSQMRKLMERQEAWLEKLTKTLEQKEQERVLREEEWRKEEAARIDREHKFWAKERAWIEARDAALMEALQNLTGKQLKVTSPEELMATEMQNHSENQNENGSETINNTVKADGWQESEISRLIQLRTSMESRFHQGACSEEILWEEIAAKMACLGFDRSALMCKEKWNSISAYLMKTKESNKKRKENSRGCGYYQNNEALYSQGRAYCEINEQGSETVRLQANDGSSPSNSNVGNAVNDSCFRFLMADGENLWENYGLKLSKGENQ >EOY10741 pep chromosome:Theobroma_cacao_20110822:5:36215127:36220151:-1 gene:TCM_026042 transcript:EOY10741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein MFCSSNLGTLLISPSAVSVRPTFYQICKKKTAQGYHSIPYMVALSSAMMLLYYGILKTNAVLIISINVIGCAIEIIYLVLYIIYAPKREKVSTMKFILLFNMGGYGLIILLTNLLTEGSKRVTVMGWICAVYNVAVFASPLSIMRHVVRTKSVEHMPFSLSLFLTLCATMWFFYGLFVMDFFIALPNVLGFLFGIAQMILYVTYKNPNKDVEMQQEVDTELKLSSLEDANPCKADQQQEIREAC >EOY09720 pep chromosome:Theobroma_cacao_20110822:5:30739529:30742060:-1 gene:TCM_025111 transcript:EOY09720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MSVSYCCIHYLSYPTRNLRAIAPKEVLMIKLQKRYAHIRHSFDELPLQDIYSLNSQLGSYVRNGNIQATWTLFCHMHLSCCDLNAYSFSSVLSACSSLPGTKHGKQVHGLMIKTGVDAGTVAKTALMNLYSKYGCLGDSVRAFEEIELKDVVTWNALISSFLRQGLAKEALDVFATMRRERVQLSEFTLCSVLKSCASLKAFEQGKQIHGLVVVFGRDLVILSTALIDFYSDVECISEALKVFSSLNNMMDNVICNSLIRGCFKNRKFREAFSIMSKMRPNVVALTSALGACSENVDLWIGKQVHCVALRYGFTDDTQLCNVILDMYAKCGKILNARSLFDGILHKCVVSWTSMIDAYGSHGHGLAALELFKQMRVEGKGVVPNSVTFLAVLSACGHSGQVEEGRECFNSMREKYGLNPDQEHYACFIDVLGRAGQIGEAWSLLDDMIKNGIKPTALTWSALLNACSLNQDIARGEFAAKHLLELEPDKPGNYVLLSNFYAAVGRWDSVDNLRDIMRKKGLSKEAGSSRVTVKRYNESCSIRENHIARAVL >EOY07584 pep chromosome:Theobroma_cacao_20110822:5:2047393:2054951:-1 gene:TCM_021979 transcript:EOY07584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MAGNYRFVMDQKDIVRTLITTVGSFTQDRLINKEQRIQHKEQCAERLAAEDGSTDKDMEVRYSDQAVLANLDWGIEALEEAINTSNVETKHARLDYAEKMLQVCAMLTSDQKTAGVPNSYLSAWAHLNLSYLWKLRNNVHNPVLHILQMFIIDPFFARIDFAPELWKELFLPHMSSIVGWYSEARHRLMMEAIPDSSDLSFTADFDQFFNESLIFSMRPDQVEKLKKLEQLYGESLDENTRLFAKYYKDCMNSDSTASKKVMAPMLPIAEPPMTPLHEVSRSIPDYVKFGPILPKSAGFSPVLKSKHGRREGNNRMMSATSVYSHNTDESAAWDPHDGVPEENEDDSECEPNDASIDYEDQCNEVQSSKGMKMTKDKEIGSALQPKKLRNRTHSPSIFSPLDTPKTSSSKSSSPKPEGNSRKESKSILRLLSCRITDSSDPTSLPISPCKSNDHSISSGESDGEVIEMQKSCRKNRGRAHSMSYDNVNIRTSEHSSQNESSEGNHSCVSLPLSEKLTPQSRPPKDFVCPITGQIFNDPVTLETGQTYERKAIEEWLNRGNTTCPITRQPLSSSALPKTNYVLKRLITSWKEQHPELAQEISYSETHRNSFSSPLGKEIVLVSPSPKSSKVPGCKSIDDYVNQRSKRFIRAAVATSPTSVISQASVETIINGLKPFVPCLCTSNNLQECEAAVLAIARLWKESKGDAAVHSYLSKPTIVNGFVEILSASLDREVLRTSICILSELIFTNENVGETLTSVDSDIDCLAALLKNGLAEAAVLIYQLRPAIAQLSSHDLVPSLVQMVLHKNEESDDLPSVMEPSDAAIAMLEQILMGGDEKSRSFNALSVISANGIPRLVKCLDRMEIRRSIISILLCCMRVDKSCRNLIATGIELSYVLELFHAGNDSIRGICIDFLFKLVQLNRRTLSNQMLEIIRTEGAFSTMHTFLVYLQMAPMEHQPAIATLLLQLDLLVEPRKMSIYREEAIEALIEALHRKDFPNQQMIVLDALLSLSGRFTSAGESYIEVWLLKMAGFDQPYNALIKTNLLQKHEKDLNETMEGEEKAAYLWERRVAFVLCNHEKGSIFKALEECFKSNSLKMAKSSLVIASWLTYMLSTLPDTGVSQAARESLLDEFINVLQSSKNLEEKILAALALKTFINDPAALEELGKYAKGIYKTLRKLKRNSVVATDILKALMNLSSVNATELWSCTDIVELDSSTNGEVLCMLHLKGCLITSHSDGSIKVWDSGKRGLRLVQEAREHMKAVTCLYVPSSGDRLYSGSLDKTIRIWALKPEEIHCIQVHDVKEAVHDLSANSKFACFISQGNGVKVYNWTGTPKHITFNKHVKCLAITGDKIYCGCSGYSIQELDLCSSTWSTFYSGTRKLLGKQTINALHIDDGLLYAGGSAVDGIAGKVFSRSSKAVMGSFPTAFDIQQIAVNNDFIFTATKCGIIEVWLKERVTRVASIKMGSKGHAKITSLASDKDGGMLFAGSSDGKIQAWSLD >EOY08294 pep chromosome:Theobroma_cacao_20110822:5:6131241:6134063:-1 gene:TCM_022629 transcript:EOY08294 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA zinc finger domain-containing protein C1393.08 isoform 2 MSEFDQNPTPKTPEPKVRPAGGTEYSWCRAVPGGTGITVLSLLLSNPPDISLLEAALCRLQVSHPILRSRLHFDTCRNTFSFITHRNPHAKIQSFDLPSTSHILQSLSGDPNIDSHQFLLEHELNRNSWNLPDGDQADRDVFFVSLYTLSETRWVVVFRLHTSACDRAAAVALLKELLELVGGGRSKVEEIAKGNDEKEVELSLGIEDLIPSGKANKPFWARGVDMLGYSLNSFRLANLNFVDANSARRSQVVRLQMNPDETDGLVAVSDELQSRGIKLCGALAAAGLIAARSTKAYPEHQREKYAVVTLTDCRSILDPVLGSNHLGFYHSAILNTHDVTAHEQVWELARRCYMSFSNAKNNDKHFTDMNDLNFLMCKAIDNPGLTASSSMRTAFISVFEDPVIDESNELHQKIGLVDYVGCSSVHGVGPSLAIFDTIQDGCLDCACVYPAPLHSREQMQQLIDSMKSILADAGINVENNS >EOY08293 pep chromosome:Theobroma_cacao_20110822:5:6116368:6134088:-1 gene:TCM_022629 transcript:EOY08293 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA zinc finger domain-containing protein C1393.08 isoform 2 MSEFDQNPTPKTPEPKVRPAGGTEYSWCRAVPGGTGITVLSLLLSNPPDISLLEAALCRLQVSHPILRSRLHFDTCRNTFSFITHRNPHAKIQSFDLPSTSHILQSLSGDPNIDSHQFLLEHELNRNSWNLPDGDQADRDVFFVSLYTLSETRWVVVFRLHTSACDRAAAVALLKELLELVGGGRSKVEEIAKGNDEKEVELSLGIEDLIPSGKANKPFWARGVDMLGYSLNSFRLANLNFVDANSARRSQVVRLQMNPDETDGLVAGCKSRGIKLCGALAAAGLIAARSTKAYPEHQREKYAVVTLTDCRSILDPVLGSNHLGFYHSAILNTHDVTAHEQVWELARRCYMSFSNAKNNDKHFTDMNDLNFLMCKAIDNPGLTASSSMRTAFISVFEDPVIDESNELHQKIGLVDYVGCSSVHGVGPSLAIFDTIQDGCLDCACVYPAPLHSREQMQQLIDSMKSILADAGINVENNS >EOY10802 pep chromosome:Theobroma_cacao_20110822:5:36609832:36612403:1 gene:TCM_026115 transcript:EOY10802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 FFLVSLVIFILICNFVFFLFSTFRFHYCMNLSIFVFFISFFFLAFKFLTFVIHFVTFRFRPLTQRLYYILARRNQVVHGETSMTGFVC >EOY10801 pep chromosome:Theobroma_cacao_20110822:5:36610211:36612430:1 gene:TCM_026115 transcript:EOY10801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MNLSIFVFFISFFFLAFKFLTFVIHFVTFRFRPLTQRLYYILARRNQVVHGETSMTGFVC >EOY10791 pep chromosome:Theobroma_cacao_20110822:5:36543803:36544957:1 gene:TCM_026105 transcript:EOY10791 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein MKGVQNFLVVFALLTLAFSLASASDPSSLQDFCVALNDTKHAIFVNGKFCKDPKLATADDFFFSGLDKAGNTSNAVGSRVTPVNVDQIPGLNTLGISLARIDYAPYGGLNPPHTHPRATEILAVKKGTLYVGFVTSNPDNKFFTKILKTGDVFVFPVGLIHFQFNIGKTNAIAFAGLSSQNPGVITIANAVFGSNPPINPDVLAKAFKLDKKVVEFLQTQF >EOY11351 pep chromosome:Theobroma_cacao_20110822:5:39052370:39055831:-1 gene:TCM_026564 transcript:EOY11351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant glycogenin-like starch initiation protein 4, putative MAAKFLNSNQKLFILSLIVLSLSVIILVAFFGSKYNIFDTVKPQIERQVFTYPRWLDLIKEEIDGKKIKVGLVNFGDEEAAYRVHGSGVNTVHVRFDRVSEASKWVDFFPEWIDEDQKSGPPTCPEIPMPPLEDYWDLDVVVAKVPCDGWTGKSGLKDVFRLQVNLVVANLLVRSGWVMEPDVKRAVYAVFIGNCGPMPEIFRCDDLSRKAGDHWVYKPELRRLKQKVVMPLGSCQIAQPYGITGKEAWRYYSLPKEKRKKLKYSTFNQREAYVTILHSSEAYVCGAIALAQSIILSNSTRDLLLLHDENISPKSLTGLRAAGWKTRQIDRIRSPFAQKGSYNEWNYSKLRIWLLTWYDKVVFIDADILVLKNMDSLFIYPPLSAAGNDQTLFNSGIMVVEPSLCMFEDLMVKSFKVDSYNGGDQGFLNEVFTWWHRLPSKVNFLKIFQRNGSREDIPDDLSAIHYLGLKPWMCYRDYDCNWDMKERQIFASDQAHEKWWRVYDAMPENLQHYCGLTENMDWRIKKWRKIAKNLRLPDEHWRISVTDPRQYNLVQ >EOY07951 pep chromosome:Theobroma_cacao_20110822:5:3578351:3583289:-1 gene:TCM_022283 transcript:EOY07951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADPGKMEEGLAHEEGAPDQERKDKRDGKVEKEEDGAADRIGNDKEERVATTHVEQQNLEEQPLVKQKTKRIATLDAFRGLTVVLMILVDDAGGAYPRIDHSPWNGCTLADFVMPFFLFIVGVAIALALKKVPKIKDAIKKISLRTLKLLFWGVLLQGGYSHAPADLAYGVDMKQIRWCGILQRIALVYFIVALIETLTRKRRPTVLEPGHLSIFTAYRWQWIGGFVAFVIYMITTYSLYVPHWSFVVDNDDEATRYTVKCGMRGHLGPACNAVGYVDREVWGINHLYSSPVWQRLKACTLSSPGSGPFRENAPSWCRAPFEPEGLLSSILAILSGTMGIHYGHVLIHFKGHFERLKQWVSMALGLLIVAIILHFTDAIPINKQLYSFSYVCFTAAAAGIVFSAFYVLIDVWGFRTPFLFLEWIGMNAMLVYVLGAQGILAAFVNGWYYESSNNTLVYWIQKHVFINVWHSERLGTLLYVIFAEIAFYGVLSGILHKLGIYWKL >EOY10414 pep chromosome:Theobroma_cacao_20110822:5:34574089:34577802:-1 gene:TCM_025773 transcript:EOY10414 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MKKTKPSSAGISSAETIAHNQDLLTQILIRIPPKPLFKLKSVSKRWLSLISSIQFSHSHSLHHQNQGFLTPTALFLGIGNYYRLPFGLPVLPLNPETQVPVLDFIVDPQFKILQSCNGLLLGHCYYKSDEGSRYFICNPSTHKFRIISLPVSQLGSLRAVNLAYDPIKSPYYKIICVRKLISPSNRFFIYIYSSKSDAWDASWISFQANEYIRFDYAVFCNGVIHWNSNGRKSLRFDVENKILKKIPMLAPMFQAPEESEDEDSRYFGESRGHLHLGVTYMPFCLKVNVFEMAADYSHWFLKYCLKLDDAMKILPDLRSFFIENYFGFPVLSVIRSEGEESKVVILVDYKAICYELKDGILLRVYDLKQYPETLNRCPLNYIGIQSFQYFETLAWV >EOY07163 pep chromosome:Theobroma_cacao_20110822:5:688883:691571:-1 gene:TCM_021670 transcript:EOY07163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) [Source:Projected from Arabidopsis thaliana (AT5G01360) TAIR;Acc:AT5G01360] MGEKGSSHHSIAPAHTLYPTVELNSSHALPPTMSFAASPSTIMMKTYKGKLPLSIITVIVCSFAFLALLYAERLSFLSSSSILKSTSCARRSVVATAKDKTAEKNVENPEIDDRFEFDPEECNVANGKWVFNRSVKPLYTDRSCPYIDMQFACVRNGRLDFDYRHWEWQPEDCNLPRFRPELALKKLRGKRLVFSGDSLQRNQWESFVCMIEWTIPPEKKSMKRGRVRSVFKAKEYNATIEFYWAPFLIESNTDIDVLEPKKRILKVDSVANHSKHWEGADILAFNTYVWWMSGLRLKTLWGSFANGEEGYTVLDTPVAYKVGLKTWANWIDSTINPNKTRVFFTTISPVHTRSQDWGKEDGLKCFNETKPVMKKKFWGSGSDKKMMSVVDGVLKKMKVPVTVLNITQLSEYRIDAHSSIYTETGGRLLTDEEKADPRRHADCIHWCLPGVPDTWNQILLAHL >EOY11401 pep chromosome:Theobroma_cacao_20110822:5:39158374:39159092:1 gene:TCM_026588 transcript:EOY11401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKRALVALLLALACSATLFTQGLAAAAANDEQLSSAHLANFWRPWGRKTWPAFPPVPSHGKSPPGGPAQDPKIAKCFDSFKSVGSCLKPPIAADCCAALGAIQSECAGLSIGPINSAMLDPLLKQFCSGNGGAPAPPA >EOY09187 pep chromosome:Theobroma_cacao_20110822:5:26968324:26970992:-1 gene:TCM_024584 transcript:EOY09187 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL06B08.8 protein MGHYQRYNQLKKGGDEEAGTLVFVWTNPGYYRRRRPKLFSILLLSLLSCSFILAPYFFSSSSALSHLYSFGVQNEGLDGDTAVKAPLCSSISNGTICCDRSHLRSDICFMKGDVRTHSPSSSVFLYSSKNSDGFINYVSSIVDDGEEEEDDELQHEKIKPYTRKWETSIMDTIEELDLISKRGNLGVHHPCDVVHNVPAVFFSTGGYTGNVYHEFNDGIVPLYITSQHFNKKVVFVILEYHNWWVMKYGDILSHLSNYPTIDFSGDNRTHCFTEAIVGLRIHDELTVDSSLMNGNKSIVDFRNLLDRAYWPRIRGLIQDEEREAQEKKISLRPTSGSASDIGKKVQYQPRRPKLVILSRDGSRAITNENMLVKTAEEIGFQVQVLRPERTTELAKIYRVLNSSDVMIGVHGAAMTHFLFMKPGSVFIQVIPLGTDWAAETYYGEPARKLHLKYIGYKIMPRESSLFDEYDRDDPVLTNPSSLTKKGWQYTKKIYLDGQTVTLDLIRFRTRLVRAYDHITGMHRRSRLQSH >EOY08555 pep chromosome:Theobroma_cacao_20110822:5:10584144:10591560:-1 gene:TCM_023114 transcript:EOY08555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFVSPPRLLTRLMMITESMRLTPLLFPLLQTGIGLACNHRYVRSTADSELMGISRKACSGLGGSFSKVSNADNDRGGVVTGEESERLG >EOY11347 pep chromosome:Theobroma_cacao_20110822:5:39036621:39042110:-1 gene:TCM_026561 transcript:EOY11347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3E MASYDLTPRIAPNLDRHLVFPLLEFLQERQLHPDEQILKAKIELLNKTNMVDYAMDIHKSLYHTDDVPQDMIERRVEVVARLKALEDAAAPLVTFLQNPNAVQELRADKHYNLQMLNDRYLIGPDQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSLSALWGKLAAEILMQNWDIALEELNRLKEIIDSKSFSSPLNQVQSRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTSAPHLLRYLATAFIVNKRRRPQFKDFIKVIQLEQYSYKDPITEFLACVYVNYDFDGAQRKMRECEEVILNDPFLGKRVEEGNFSTVPLREEFLENARLFIFETYCRSHQRIDMGVLAEKLNLNYEEAERWIVNLIRNSKLDAKIDSETGAVTMEPNRPNVYEQLIDHTKALQGRTYKLVSQILEHVQAQPAR >EOY11084 pep chromosome:Theobroma_cacao_20110822:5:37859337:37861288:1 gene:TCM_026357 transcript:EOY11084 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein MAGRGGTNLKNDYWPKDLLLSILATLPAKSLLRFKCVSMHWRSLIINPYFIEQHLEKQQKKDYPQLVFASRASKPDMVLESMAIVDVEVGDEEGTKVRKGFRRSSSSICHLPCAHCFLSNSCDGILCFFGITSVFVYNPGTREFRTVKMQKKGFSPVFSSSVDFFVRIFPKDFLVGFGRDQVTKECKIIRLFTPKEENHIHECEVFTLSSDAGASWRGLGEVAYFIRAAQLPVYLNGALHWILDIRHANPSEVIVSFDLHTEKFQAISHPSCYSEVSDRSQLKHMELLPLRSSLCLLEGKYYWPSRQLNIWIMNQSNGIWEKLFSINRGLTKNGIPLACPTAELKDGTFFVLRNWKNLQIFDPESQSDSEVLIQHEKYVDCYAYSESLVPLYGEPLVD >EOY08661 pep chromosome:Theobroma_cacao_20110822:5:16668620:16674661:1 gene:TCM_023620 transcript:EOY08661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPDESRLQVPLFTYSWELPMPPYINRGFKSPFTYSWELPMKPNINWGFWFPFLNQISIIDNYYFVHKDHT >EOY10624 pep chromosome:Theobroma_cacao_20110822:5:35606155:35606669:-1 gene:TCM_025938 transcript:EOY10624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRSNSKQLQQQDSGQKDGATTSAPAVSSPVCPAPAAPTTVADPSSTTTTSSPAMIPTTQPQEEEHRSKRPRSASFLSNAL >EOY11244 pep chromosome:Theobroma_cacao_20110822:5:38586626:38609065:1 gene:TCM_026488 transcript:EOY11244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine-5'-phosphosulfate (APS) kinase 3 MSTMGNSTNIFWQESPIGKLERQKLLNQKGCVVWITGLSGSGKSTLACSLSRELYTRGKLSYILDGDNLRHGLNKDLGFKADDRTENIRRVGEVAKLFADTGLICIASLISPYRKDRDACRAMLPDANFIEARLLEDGLHEHVRQEIQKAFTSLHVLERLKIFSPYLDLISLHSRSYIQPGFTGIDDPYEPPLNCEIEIQQKDGDCPTPGAMAGEVVSYLEEKGWDVYQQASGSNM >EOY10712 pep chromosome:Theobroma_cacao_20110822:5:36096894:36101878:1 gene:TCM_026018 transcript:EOY10712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MESNLEAFTIWEVVESDVEPVQRRVNPTLAQIRQFEEDKVKRPNIKCRAYNQQGHVEKLCNKSKNVEEKSTVVAQHQSDDNDVMFMAKQADSDFRNDTWLLDSGVQTIDGMKSISKVYYALHVSQNLLSARQLLNENFELLFEDKACNVKDPFGKELFTMKMKNKCFPLNWSAAQYTAYKVTVVDDELWHRRYGHVNHSYLKQMVLHNLVNGLPNVSKPDKLCSTCQFGKQNRIAFPKTRSWTATQKLQLVHTDLRGLMRTLSLNEITYSPHQNGVSEKKNKIMIEMALCLLFEMNLPKFLWAEVANTANYLLNMTQTKSLGKKTPYEAWFGFKPTVTHLKVFRGTISLEDIYEICHIAITEPQSYSEAAANEHWKQAMEAEMMMILKNNTWSLVDKPKNRKVIGVTWIFRTKLNFDASINKFKDRLVVKGFSQVYRVDFLETFAPVARHDTIRLLIALAAKEKWLIWHLDIKSAFLNGTISENIYVNQREGFVEPGKEDKVCKLTKALYGLKQALRAWYERMDNYLQSQGFVRIVSEHTLYVKSTDDVIQLIVYLYVDDLLITSPSGDFLDDFKNKMKKEKYAGVLLKKFSMGECKPVSTPLVVGSKLSKEAGGVEANVVQYKRIIGSLLYLSASKLDIMFATSFFSRFMQAPTNLHLMAPKRVLNAIFSWNLKKQDIVTQSSAEAKYVLAAAATNQALWLRKIFLDLKVKLEEPIILWVDNQSTIAMAENPVMHGRTKHIRVKFHAIREAVRNKDILIRYCNTHDQVSNILTNTLSKEKFEELRVKLGICKIDLPGVY >EOY10325 pep chromosome:Theobroma_cacao_20110822:5:34184323:34190128:-1 gene:TCM_025696 transcript:EOY10325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPM1, putative isoform 1 MALSATDLLLGRILSIMENEASLLSGVHDEINELKLELTSMRSFLEDADRTRVVQSKAENDWVVGVREIAHQVEDIIDKYMYDMNRQQQWRWGYTFTSFFLKGIQVPQNLWMKDRFAKKLQDINKEIKSIPERSQRYGVYQLEVKDKNLQGMLGNCDLNWLKNESESSLFLKDDDLVGIKKTQRELLGWLTNGDLERTVISVTGMGGLGKTTLVANTFNKQVVNQHFDFCAWTTVSQQYAIEELLRSMIKEIYMKANEQTPVNLNALSYRDLGEKLEKYLQAKRYLIVLDDVWSLNLWQQISRVLPNGRNGSRIMLTTRMKEVAFFQFGIVNHVLELKPLRDKEAWTLFCMKAFPSNLGQCPPYLDSLARNLAEKCKGLPLAIVALGGLLSSKKFLAEWRNVHDNLNWELSNNPELEAVKCILLLSYYHLPYRLKHCFLYCCIFPEDYLIRRNRLIRLWMAEGFVEPVTGATPEVVAERYLTELISRGLLQVTKRNESGRPQTCKMHDILRELAVSISETEKFVAISDRKEAVVVGDNGIHRLSIEVRDKEMKAGKGISKLRSLFVFAVDEISKSSCNSLPSGFKLLRVLDLQDAPINQLPGEVVNLFNLRYLNLTGTQVKELPKSIGKLCNLQSLFLKETQIEELPPGIVKLKNLRHLIVYRFNIRGTDYDRWVGMRLPSNIFLVKSLQVLTFAEAGDTFIKNLSKMTQLKRLCFANVKEANEKDLCFSIGKISLLRYLMVMSCNKEERLKMDQLVEAPPCLEKLVLAGKLEKLPHWFKSLQNLTCLRLHWSRLREEGFLTHIQALPNLGRITLVNAFEGERLCFLQGFQKLKVLRIQKFPGLKDIVINKGVMPGLQELNIRECPKFVKLPHAWGSDLPDLRKVCLRDVSSEIIEQICGAQSMAQPAIRVILLSRVEDNDDESNFKWVYRTYD >EOY10324 pep chromosome:Theobroma_cacao_20110822:5:34184323:34190125:-1 gene:TCM_025696 transcript:EOY10324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPM1, putative isoform 1 MALSATDLLLGRILSIMENEASLLSGVHDEINELKLELTSMRSFLEDADRTRVVQSKAENDWVVGVREIAHQVEDIIDKYMYDMNRQQQWRWGYTFTSFFLKGIQVPQNLWMKDRFAKKLQDINKEIKSIPERSQRYGVYQLEVKDKNLQGMLGNCDLNWLKNESESSLFLKDDDLVGIKKTQRELLGWLTNGDLERTVISVTGMGGLGKTTLVANTFNKQVVNQHFDFCAWTTVSQQYAIEELLRSMIKEIYMKANEQTPVNLNALSYRDLGEKLEKYLQAKRYLIVLDDVWSLNLWQQISRVLPNGRNGSRIMLTTRMKEVAFFQFGIVNHVLELKPLRDKEAWTLFCMKAFPSNLGQCPPYLDSLARNLAEKCKGLPLAIVALGGLLSSKKFLAEWRNVHDNLNWELSNNPELEAVKCILLLSYYHLPYRLKHCFLYCCIFPEDYLIRRNRLIRLWMAEGFVEPVTGATPEVVAERYLTELISRGLLQVTKRNESGRPQTCKMHDILRELAVSISETEKFVAISDRKEAVVVGDNGIHRLSIEVRDKEMKAGKGISKLRSLFVFAVDEISKSSCNSLPSGFKLLRVLDLQDAPINQLPGEVVNLFNLRYLNLTGTQVKELPKSIGKLCNLQSLFLKETQIEELPPGIVKLKNLRHLIVYRFNIRGTDYDRWVGMRLPSNIFLVKSLQVLTFAEAGDTFIKNLSKMTQLKRLCFANVKEANEKDLCFSIGKISLLRYLMVMSCNKEERLKMDQLVEAPPCLEKLVLAGKLEKLPHWFKSLQNLTCLRLHWSRLREEGFLTHIQALPNLGRITLVNAFEGERLCFLQGFQKLKVLRIQKFPGLKDIVINKGVMPGLQELNIRECPKFVKLPHAWGSDLPDLRKVCLRDVSSEIIEQICGAQSMAQPAIRVILLSRVEDNDDESNFKWVYRTYD >EOY07893 pep chromosome:Theobroma_cacao_20110822:5:3165843:3166902:-1 gene:TCM_022210 transcript:EOY07893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMIITFISFIIFMVSCVLTEMLIILVIVAHKTCRCSSSIAFSCRKTFDLIKMLFATLIQALAFMHGVASQLEPTLWRHCLSKTLLLRQMICLHSAALFDESTECYANIATSVCLVLIRIQTTESVAVKGKRTTDGSCLILDCGRKLLYRIIMLELSESWTRYTSNVHARINLSTMVHASAKGRFSCIVVLLAGQFMQGFSLDKTKDEVKVEFQD >EOY08363 pep chromosome:Theobroma_cacao_20110822:5:6888273:6905189:-1 gene:TCM_022720 transcript:EOY08363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 8, putative MDQVTTPTRHQLPSTPVIIKRELCYTLFFVSILISSIILFNLGGSSEQLVFFRFGFFSQKLKPDQQRPSLGACDYSYGRWVREENYPIQLYDENCPFLDPGFRCRQNGRADVEYLKWRWQPDGCDLPRFNASEFLERSRNGRVVFAGDSIGRNQWESLICMLAQAVTNRSTIFEENGNPITKHKGYLSIKFQDYNLTVEYYRTPFLVIINRPPKDSPAHVRATIRVDELHWYSRRWTGANVLVLNTGHWWNKEKTVKMGCYFQQGGKVNMTMDVMEGLRRSLQTIKSWATQNLNPERSHVFLRSYSPVHYRNGSWNEGGLCDAEIEPEKTYTMLKAEPWNNKYISDVIKQMKYGNWKVQLLNITYLTEFRKDGHPSRHREPGTPANAPQDCSHWCLPGIPDTWNELLYAHLVSMGFRTK >EOY09472 pep chromosome:Theobroma_cacao_20110822:5:29390994:29391591:-1 gene:TCM_024890 transcript:EOY09472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKISSINLTAFVALILVHILIMCSPLCLCHGEVPPGERYQMSRKLLSAVASFPAGENKLNGAMKEPKKAVEPSLRKAPPSVPNPTQN >EOY07647 pep chromosome:Theobroma_cacao_20110822:5:2321801:2322720:-1 gene:TCM_022033 transcript:EOY07647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSVCISSCLNDARDPRVPVRATYVNLYKWPESDAEFVRSRSSGMHGHPRVVDGISCRQMYLRSYRFSRKETVPEKTVKCFGRVKDKVAHGKRRNSSQKRRIRRKCFVWRKVKVVFFRFFNRLLSCSASVDVVDQRNAFF >EOY10994 pep chromosome:Theobroma_cacao_20110822:5:37365066:37367576:1 gene:TCM_026258 transcript:EOY10994 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated ubiquitination effector family protein, putative MKSRRMSHDNFVHTALSCDDIMCNILLRLPPESISKLIIVSKRWLHLICSSSFHHSYLSRWKVGFNLLGFFVCNSLYLGRPQGGARRPHSEPALPLLSTSREGDDIKFSGILKQLGYFIDSSNGLLLCGRHPKAYYVWDPVTKQQNKLPRPRVYFEELCMALIVEDSPDNDICYRVIRAKCESRFDEINIVTIETFSSKTSTWSYSKLTCSSTISLSPWTSATVIGGVIHWYAAQGNVAIYDPDHEEKRIALVKLPGTYDFDEQVLGESSDGCLQYGWSCKAGLEIWVLEKDVGGYTSLFSSNKQSNVNWTKRYKLNFKIMWKKNPTVATKLITRSKETEILSFLPQNSESVFIRSGSNIFLYHFKTERVEVVQYQGRGSSILWDFSKVVPYFKRAWPHSALCGIGNSRT >EOY08116 pep chromosome:Theobroma_cacao_20110822:5:4635907:4636636:1 gene:TCM_022438 transcript:EOY08116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNSRFLIKEITEEVEHRIKDNTHLFYELISEILRIKFYFKEGSVVPKLVLGDTLRWASNRVCQGC >EOY07326 pep chromosome:Theobroma_cacao_20110822:5:1206848:1208740:-1 gene:TCM_021787 transcript:EOY07326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin family protein, putative MATFSISNYFTVLTFIIFHFKILAADQSSSFSFKSFGKDPNFVSNIALYGDARVANDGSWVQLTNSVSGSAGKVMYKKPIKLVEGKVRNFASFSTYFSFSMSHENGDGLAFVMVPRSFNLNVLHNSSFGVSLGLEKRNRGAVAVEFDTFKDARYGDLNGNHVGVDVGSLVSVKARNLSSANLVLNNGEKLHSWIDYEASSKRLEIRLNQSGSTRPEDPLLSYSIDLSKLWNDEDLFVGLSASNRNSSQTCFIYSWSFKLRQVPNWMHSQPLNPEAIAKNPKPLTTAHKSSNCFWRVLAVFIFGGACGALTASCVLYLWTILGDRRPVAPEECGVHPVDFEYKKFKVAEDEEAVKVGKK >EOY08329 pep chromosome:Theobroma_cacao_20110822:5:6448302:6449538:1 gene:TCM_022673 transcript:EOY08329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pro-like protein MGMYCSMDAIELCLVPDVVIPPKFKVPDFEKYDGTKCPVTHITMYCRRMAAYAHDDKLLIHCFQDSLTGAAAKWYVQLDRNRIHTWKDLARAFVAQYKHVTDMAPDRLSLQNTEKKTTESFKEYAQRWRNVASQVQPPLTEKETTVMFVNTLRAPYYERLVGSATKNFADMVISGEMIETAIKQGKIEGGDMANTRKGGTFKRKEGEAQAITSGQHQGGTYNPYQPYLPYPYYPAVHNTSQNPYPYPPMPNAFPNPYPYNPIQRTPYPPASTPVTASTTQQTTPSNNHTTGESRGWRNKQEKVQFDPIPIPYAELFTQLVANHLVAPLYIEPLKPPFPRWNPEL >EOY07903 pep chromosome:Theobroma_cacao_20110822:5:3206215:3207575:-1 gene:TCM_022220 transcript:EOY07903 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MFRPFLQKIRKGFHVSLSRGQAVINDVEVDEEINVATPMSDDVGAGYFTVFAVQGKETQRFVIELDNLTNPAFLSLLEQAGEEYGFHQKGVLSLPCRPQELQKILQDWEAEHADTEAGAGCFMCEVLKCFLILMDSVCFVNLVLCSELSGAAPVSSRGSVEARS >EOY09574 pep chromosome:Theobroma_cacao_20110822:5:29946398:29947220:-1 gene:TCM_024991 transcript:EOY09574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein MAKTLSELLSSTSLFLFTLLFFSTTLASTKSNGFSNILSPAKLGLKQEKLSHLHFYFHDIVSGPKPSAVRVASAAMTNKSATGFGAVVIIDDPLTVAPERNSKLVGKAQGIYASAAQDEFGLLMVMNFAFTKGKYNGSSLSLLGRNTVFSTVREMPIVGGSGLFRFARGYAQAKTHTFDTKTGDTVVEYNVYVFHY >EOY07342 pep chromosome:Theobroma_cacao_20110822:5:1273157:1275567:-1 gene:TCM_021802 transcript:EOY07342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFSESIISPCFPEENWVVEIKDILENNGEAKEVVVSVFEVPEQLRAANPVAYAPQLLAFGPYHHFRPKLYQMQRFKVAAAVKAQKDWLVVDFQQLVAQIEGLEFLIRTCYQRHLNIHADTLAWILAIDGLSLLKVLHSALYYYKNLPGSFGKNPFAEFSERTPDLDMILRDMLMLENQIPMIVLKAIAEKRPGSDNLQILVDFCETLSPLQLTFKPSTSEVVKHKHLLDLFYQMIICPKEVINTSSKEEKEDELQDSTMEIPSNPDCSVFKKLLATLSKLQFRFAALPEKLMTLLLSSLELLGITPHDFFDRDRSWIPTASQLNNAGLKFSTCDGINHIKYDNQTLYLPVITLNSAPDPENILSSKLTSEVILRNLVAYESLSKDKSESLPFTRYTQLMNGIIDNDEDVNLLKKANVIKGDLYSVEIAGLFNGLSESIEPKDRDINIDEAITKVNRYYDNTLRVKTNKILKKYIYSSWRFLTFLASFLLLALLALETFCDFYTCRVMRFKAA >EOY08284 pep chromosome:Theobroma_cacao_20110822:5:6076517:6080481:-1 gene:TCM_022621 transcript:EOY08284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase activating protein with PAK-box/P21-Rho-binding domain MTEVLQSSPSHFSSPSSSTSTPHALINSSVSTVESIAQDSDQEEERRQEEERKERDREGDQLSLLTLLVAAFRKSLIGCSISDSKELCSMEIGLPTNVRHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASANVFGVSTESMQLSFDSRGNSVPTILLLMQRHLYAQGGLQAEGIFRINAENSQEEYVREQLNRGIIPDGIDVHCLAGLIKAWFRELPSGVLDSLPPEQVIQSQSEEECARLVRLLPPTEAALLDWAINLMADVVQLEHLNKMNARNVAMVFAPNMTQMSDPLTALMYAVQVMNFLKTLIIRTLKEREDSLVDSTPVSRLEPSDKNGQQSSSQLHKDVNEVKNESEGEKVFVAQEPAMESPTHSAEENLTAESNSESFLTSIENICAGNRSLVDNCPCTVVSQVNSLANGLEEGGLRSTSGKSRTGQSSVSSLKKGSKKVNEWSICHVAGPVEKSKGTKIVGRINSRAELFEAWR >EOY11092 pep chromosome:Theobroma_cacao_20110822:5:37905933:37908018:-1 gene:TCM_026366 transcript:EOY11092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFAESRQVSFFFSEEIATYVYRICYIKNFLKVMLCAEQTVERLTVIIMRSLQLRSEVHSIDVETAGVYTRMMV >EOY10333 pep chromosome:Theobroma_cacao_20110822:5:34229254:34232245:1 gene:TCM_025706 transcript:EOY10333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin chaperone subunit family protein MATASSSTSTDPKLDLTKQIRTHEVAIAELSSLYSSQTVYQKNGNLFFRTTIQKATSSEQKQLDSAKAKLEKLNSQ >EOY11416 pep chromosome:Theobroma_cacao_20110822:5:39208412:39209677:1 gene:TCM_026598 transcript:EOY11416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTKLAGIKKWSSKPNPHGAHFLQTLAMTNHGSLGKWPPLFLMSLTDSLRNAAYMHAFDHQLSLSLTPKP >EOY11328 pep chromosome:Theobroma_cacao_20110822:5:38990360:38991583:1 gene:TCM_026551 transcript:EOY11328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIMGREIVSDVLTGLILLCGTISELVLDSLIECIELWQERLKNYFFPSIRITFDELTSNLYHHNVTMHI >EOY08208 pep chromosome:Theobroma_cacao_20110822:5:5626954:5633458:1 gene:TCM_022551 transcript:EOY08208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRDHRHRHENSRHFVRTGRDKIGGKFTRAARFNDRTNQWRWSREEMERWRNRLFTVFVGNLLDVYLPKTKNRGIAKSAFVRYRNEWELWRAINVGKRVTIKGRSLVVKVADIARNRVEGIKEKVGPRSYRDVLRARVNDNYSVEQRPNREEDKADVTTEFVVACKESKKVSERLKELAWKMREQKDGNQAASFKSWTECSVQIAEAENDWLKSSAVGRPRAGFSPEEIQREIFDTWFEDIRPYHEWMEERRVLVWVRLEDILLHLWQKSFFQAIVKITSKLKITLLNRVSVEGVNYWIRAFTIGIAGFINADSANNKSTMEKRKNGIAEHMVLSPAEEEEAYSDSSKEHGGVEKFLNLEKKRENKEVLKENKGVGLSTGSGPRKINKLNAEDKRKMIKEVRAKQGLGEMKPNSCEVEGSKMNTMLKARTNTVVGSEARRLNSDNRFTVLRGGEVKHKDEDGRNGKEGGATVKDSVLKSVEKQSSGKKRPVQEKRVKFDDATEEVVQPRELILKKDKGLRKDEKKRALWKLIKAEKPSMVFIQETKMESITGSLFDRLWRGDEVEGKVVEVEGRSSGILSLWQKKFFKLKECKTERNFIMLIGRVNGIDYRCGFINIYAFNDKGKRKELWDELNELMSNMEVWWIIRGDFNTVRFEDEQIGTGNVGRSSAQFDEFINYTGLVDLPLKGAKYTWCNNWQAVAFSKLDRVLIDRDSKEMIRIVTEEEVWQTVNNCDGRKAPGPDGYNMNFFKKQWRIVKGKVMEFVNHFFTMGKLEPGINNSFITLIPKVRNPVEMKDYRPINLVGIFYKIMAKILANKIKVVIDGMVGNNQFAFMEGRQLTNAVLVANELIDLIKKEKTNDTMIFYYLNLDQIRNIKKVLRIFQSMSGLKKNFAKNSLMGIDMELDIMEEWAKMIGCKNESLPSSYLRLPLGANHRSKQAAVKRELVKKGIINSADAFCPLCNDFIETVDHLFVGCKSVTSLWYDWCKEWGLAWTVWIGKNEVVFHNKVWDKELIWELIKLRVAMWVNVRWHDTTSSIIDIYRYPAVSQPDTLLELVTTAAMFR >EOY09725 pep chromosome:Theobroma_cacao_20110822:5:30773828:30776050:-1 gene:TCM_025117 transcript:EOY09725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF565) [Source:Projected from Arabidopsis thaliana (AT1G65420) TAIR;Acc:AT1G65420] MACQVGRMYLQAGLSILENEVLESSCMTLATCAIQRWIIEPSICGQASKIGLKSALFPKKSFSTRKRHGWKIAFALDTGGVSGDGGEDSLNSDSSNLGGTRLGRIVSAGSRQLLEKLNSARKNFPMKVFLLLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPPSLKTGRLQSFVVMVNYWKAGVCLGLFVDAFKLGS >EOY08729 pep chromosome:Theobroma_cacao_20110822:5:18937729:18938188:1 gene:TCM_023829 transcript:EOY08729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALFSNFINIVELVDLPLSGGLFTWSDNRDDPTKCRLDRFLLSSKIVLQFPSLVQKVLPRSTSSHNPISLAVDHLN >EOY07651 pep chromosome:Theobroma_cacao_20110822:5:2334145:2341254:1 gene:TCM_022035 transcript:EOY07651 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein MSFLGSAIKSSGKPVTGDNFPLFNSSKQMELQKYTKRAKPTVSPTLAELLMHVDDAQNDASGNNTPVDHRVLELGYACSSLPSSQPFVLSFNNLTYSVKVRKKLALPFCGEGSKLDSNEIHSKILLNDISGEAREGEIMAVLGASGSGKSTLIDALANRIAKESLKGSLTLNGEVLESRLLRVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRSLSKKKKKARVQALIDQLGLRSAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVIMSVHQPSYRILSLLDRLIFLSHGHTVYSGSPGNLPHFFAEFGHPIPENENRTEFALDLIRELEETPGGTKSLVEFNTSWQARKNPRSGFSCKPNLSLKDAISASISRGKLVSGATNDSNLTSSVPTFANPFWIEMIVIAKRSMTNSKRMPELFGIRLGAVLVTGIILATMFWKLDNSPKGIQERLGFFAFAMSTTFYTCAEAIPVFLQERYIFMRETAYNAYRRSSYVLAHSLISIPALVVLSISFAATTFWAVGLAGGLSGFFFFFLTIFASFWAGSSFVTFLSGIVAHVMLGFTVVVAILAYFLLFSGFFISRDRIPLYWIWFHYISLVKYPYEAVLQNEFDDPTKCFVRGVQMFDNTPLGAVPVSLKLKLLQSMSSVLGVNITGSTCVTTGRGILVQQGITDISKWNCLWIIIAWGFLFRILFYFTLLLGSKNKRR >EOY08173 pep chromosome:Theobroma_cacao_20110822:5:5211514:5215800:1 gene:TCM_022506 transcript:EOY08173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase, seedling isozyme MAEKCFKYVILGGGVAAGYAAREFAKQGVQPGELAIISKEAVAPYERPALSKGYLFPEGAARLPGFHVCVGSGGERLLPEWYKEKGIELILSTEIVKADLPANTLVSAAGETFKYQILIIATGSTVIRLTDFGVQGADAKNIFYLREIDDADELIEAIKAKKNGKAVIVGGGYIGLELGAAMKINNFDVNMVYPEPWCMPRLFTSGIAAFYEGYYANKGIKIIKGTVAVGFTSDSNGEVKEVKLKDGRVLEADIVVVGVGGRPLTTLFKGQVEEEKGGIKTDACFKTSVPNVYAVGDVATFPMKLYNDMRRVEHVDHARKSAEQAVKAIKASEEGKSVDEYDYLPFFYSRSFDLAWQFYGDNVGDTVLFGDNDPQSPKAKFGSYWIKEGKVIGAFLEGGSAEENQAIAKVAKLQPTVGDLEALTNEGLSFACKI >EOY09664 pep chromosome:Theobroma_cacao_20110822:5:30412187:30413884:1 gene:TCM_025060 transcript:EOY09664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase 17, putative MGDRNRYDTSTSPLLSKISHDCIPTLAKLTKEPDSREPGIPLVGTHTPYTALPKSILDSDCKIVYICREPKDAFVALYHFLAKRAPKKNEFVSLEEAFDLFCQGKSFYGPYLDHVLGFWKASQARPGKVLFPKYEDMMKDTAPYVKRLAEFMGYPFSLEEEEAGAVQRIVDLCGFENMSSLEVNKSGLRKPHEVKVENSFYFRKGRIGDWKEYLTPEMAERLDKMMEQKFAGTGLTFLVSC >EOY09138 pep chromosome:Theobroma_cacao_20110822:5:26408681:26414191:-1 gene:TCM_024532 transcript:EOY09138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESEKAMRMDQMDKVQQEMKEQLAKMMELIMNISKGKTVVEELANQASQQTSSNNNSTYQPPGTTFGVNPVNPIKVPNLDDTREQEKLNKAPVHFNSLKPLYPKWYDANAHCDYHYGIQGHSTENCTAQKYSYIHLGKILNSSSE >EOY11165 pep chromosome:Theobroma_cacao_20110822:5:38214878:38217976:1 gene:TCM_026428 transcript:EOY11165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKGFKSHHTREVNKKLGHSLCSNSMSPTLHCNSVSEISPAPAYHRRRGRSRRIRSNGRRCILIFAKGVVCIQP >EOY10718 pep chromosome:Theobroma_cacao_20110822:5:36128246:36130983:1 gene:TCM_026025 transcript:EOY10718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease MLLPHHPSSIPDSLWRSRFNLHHLSTQLHRLSIPYTATFNDRPTTLFKTNQHSFSTLPSKNHHPLLLTTKCTPQSLALPVVSHDEGENSVETVKNQLPQEPQKQYKEKSFWGAVSLIIGTAVGPGMLGLPAATIKSGPLPSTIAILLSWVYVISSIILVAELSFAAMEEDGLAEVSFTGLATKALGGHFGAFVAVIYASLSFSLLVACVSGIGSIVCQWFPWMNLVLAHALFPLAVGTVIMFFPFKAIDFTNRLLCFLMLFSITALVAIGLSVARANVLGSFAHASWSLSSILPAIPVTVLTLGFHVITPFICKIAGNSVSEARKAVLIGGAVPLIMVLSWNLIVLGLAGTTSVTSPKDPISLLLSVNPSALSAVQGFAFSALATSLIGYAVSFPKQLLDTLELILAKTSLEKQNCYQSQLVSTGDGSGRVGFVIYSGQEDCGKIGKVSFGSNSIAASEYELLLRMTELKSFEMFVMPLVLTAPVLIASFFRSTFSRALDFAGVYANCFLFGILPPAMAYIQQSKKKLRVVYPPGRRCHTCTTVQHCCHFGDLALDGQQVDLIEKRIVCSQTDTKDTSAISLTEEAQLLK >EOY08183 pep chromosome:Theobroma_cacao_20110822:5:5387403:5388264:-1 gene:TCM_022521 transcript:EOY08183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein ENISTQIELDKDYFCRGHILSTLSSNLYDTFYTAKIARELWEVLEKKYGNEDESLHNFIIIKYIDFKMTNNKSVVEQAHLFRVLIHELN >EOY09591 pep chromosome:Theobroma_cacao_20110822:5:30021436:30026400:-1 gene:TCM_025006 transcript:EOY09591 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MRTSWADSVTNSASEIAVSDNNSVPRPTRSTYVPPHLRNRPASDALILSGPATERTGFSGTAGGSQWSRWSGGGGPKPEFGRLGQGYAGAGRGGGGGGGWNNRSGGWDRREREVNPFGDDDVVDNTEQAFNEQENTGINFDAYEDIPVETSGENVPPPVNTFADIDLGEVLNQNIRRCKYVKPTPVQRHAIPIALGGRDLMACAQTGSGKTAAFCFPIISGIMREQYVQRPRVARTVYPLALILSPTRELSGQIHEEAKKFSYQTGVKVVVAYGGASINQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPNRGVRQTMLFSATFPREIQRLASDFLSNYIFLAVGRVGSSTELIVQRVEFVHELDKRSHLMDLLHAQRENGIHGKHALTLVFVETKKGADSLEHWLCMNGFPATTIHGDRTQQERELALRSFKSGKTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNEGNLSLARPLAELMQEANQEVPAWLTRYASRAPYSGNKNRRSGGGRFGGRDFRREGSFSRNLDYYGGGNSGSAYGVPSNYGGGYAPGVTSAWD >EOY07457 pep chromosome:Theobroma_cacao_20110822:5:1644834:1650021:1 gene:TCM_021888 transcript:EOY07457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFFTIIWSIWLYRNEMIFDGKMWDLLKVMDIVKLRVAWWVKFKWPGDNVVSDIATTPLLASAPTSKRKVKSMVSWECPLAVWLKFNTDEAAKGCPGHLGIGGVLRANKGVVKVTFSKKAGWGDANLAEILAVREAMILFFASSW >EOY08419 pep chromosome:Theobroma_cacao_20110822:5:8026559:8028125:1 gene:TCM_022844 transcript:EOY08419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase MSDTLPPPRGSVVIRSVWSDNLESEFELIRSVIDGFPIISMDTEFPGIVVRPISPGGGGGGQYARPGDARAHYVSLKANVDLLKLIQIGLTFSDGNGNLPDLGTKNQYIWEFNFKDFNVANDAHAHDSVELLRRQGIDFEKNRELGIDSVRFAELMMSSGLVLNDAVTWVTFHCAYDFGYLVKCLTGELLPDQLTDFLDVVRMFFGDRVYDVKHLMRFCAGLYGGLDRASKALGVERVIGKSHQAGSDSLLTLDAWLEVKKRYFGKEDSVEKYANVLYGLEVDSL >EOY09717 pep chromosome:Theobroma_cacao_20110822:5:30708838:30715259:1 gene:TCM_025108 transcript:EOY09717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METLLKHYWDIFEPWFVSLTPYSVEQFIQADQDTLDRWRLDYATILVKVRSLQDILPFTPIEVNGKGCQVRTTIKEVLNCEAIPRGDEPFSESSDNGPTEFSRGRVQKAEAEGTEKGKKGIGMVESSLQVEESFKEGASNSNFKKGDTVERKGVKTNSVEAQDQLVGDEAMKSVESNLEEREQLGLLNKSGPIIEMVAKMVRAQSVSLDGSNVGNQNHGSDNEEGTDQSKTYGYKLYKENDEEEVGRLGSQMIGISGTVLRQEVDSKNEKGQSTHLEEKIKGKNKREMSIPNDAGEVGRVVVVRIPECEDDTEVGDEDNDNETKLIFEMSRLMRFEFVKGEEEVLRYFASMEEDKVEGVTSM >EOY07907 pep chromosome:Theobroma_cacao_20110822:5:3227468:3229347:-1 gene:TCM_022224 transcript:EOY07907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAFLRGCNLWNVVETDPELAPLRENATPAQVNKYEENIAKRYRALSFIHSTVSESVFSRIIGSETAKQAWDKLEDEFLGFARSKQIRLQHLRREFEFLRMKEN >EOY08452 pep chromosome:Theobroma_cacao_20110822:5:8630320:8636505:1 gene:TCM_022902 transcript:EOY08452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNFETEKESSIVPIWISFPNLKAHLFEKLALLLIVKAIGNPLYVDKATTNGTWPSVARFCIEYDCLKAPLNSVWIVVSKRGLEDMSGGYLHAIMEEDLEEQNQAPKQGQIEVSSAYANEIIFSSKSGDAAKCREGVVVEIHGDVTDESSSPQKEVTGCHREMEEEQSTGAESMHVISELNGREKLAIFFANVQTEKGEVQEHFHEQGKFTQTGYDAKERGRTEPEGGKPQMAPAIGNFEVQDKRDKQKSASGTSIKMATLASDGTSHAWRQEGAGINFNNNRLEPLTQAATRLHGDEQTRTTMRELPLGSAASGPNVLLEVHVQGKKGQPCNGMGERDSDWIAIAEHDGTLQLKTLHEGAVRCEMSTVADGIMAQDSMQARIGGKEAKIGAARNQKKKKIKIKQKLVDKAASSVEKIENGSGGQNVTVDMAEDKAVDRWDNDEASDEDAISVNFAASWECEKIPPSGDGT >EOY09409 pep chromosome:Theobroma_cacao_20110822:5:29004901:29009766:-1 gene:TCM_024834 transcript:EOY09409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSAKREGKREMVEHFSHQHLLESSWRRNKYDAARCSGCWKEVRDLGYCCPEFECKFYLHKTCAKLAPKINHPFHPPHPLILLAKAPYVRCFCNFCGWLCMGFVYRCAACEFHLDVNCANLQVSVAGNFEKLEHFSHEHPLIFNEKYNKKVYGDCQGCRKPLSGPIYRCLDCSKFDLHKECAELPLELNHPFHPSHPLILLPISPNFPSTVRICNFCHSICAAFVYHCSSCNFYLDTGCALLKQFLAGKFLKLEYFCHKHPLTFFEMHKNEIKDPCSACGKLLSGPIYSCIDCGFHLHKTCAQLPLKFDHPFHCFCEDLLVLQAEWLNPSQATCSFCNSGLRGLIYHCVSCKFNLHITCALLLPLNTEKTLVIQHFSHVHQLIFVEKHGDEVNGSCRGCGKVLSGPIYSCVECKFHLHKKCAQLPREIDHSSHRKHSLVLLAEPPSHQKGCSCYLCKKQCKGFVYYCSDCDFGNLLEDVEDVSLPRDIKVETHEHPFKLLLRPISFTCDFCGINGDRTPYVCSECDLVIHKNCIRLPSAIKIMRHPHRITFQHFLRQKKSNKRMCNICQEEVNTEYGSYYCYICHQYIAHVRCATDDYIWDETNPDDEDQRPKGALSLITSVIEEVRHGEDVIAAVIKHAFHQHILILTLGGEVKDDRSCNGCMRPLSTPFFSCEQCQFFLHKKCVELPSVKQHPLHRHPLVLYKPNNAEYPRTCSACLQLHHGFTYNCEKCNFHIDIDCSLLSDTLKHESHGAHHLYLDHRYEGNCSASGEHITFRTGAYRCKHCESFALSFKCIKLPRTASYKYDKHLLTLTYFDRVDPNQCYCDICEEERDPKYWFYHCAYCDTSVHPQCVLGELLYIKLGSIYIHHVHPHRLTFVRKIWDCPPCSICGELCINQALECTKLGCNFIIHWKCKNSSQASIQKQISYRTTQLDDEDQRLNVNEEIRFEEDGIVTSIKLPVHEHFLILGDEVKVDNICDACMKPVSAPFYGCERCLFFLHKNCAELPRQKRHPFHRHQLTLKESDPAVVDDVSTCSACLRSHHGFAYVCNRCEFQIDIQCSLLSDTLRHPSHEHLLLFHPNYTGHCSACSSNIYDKGVYRCGSGCEFVLDFRCSTEPPKVKSKFHEHPVNLIYHDDSDQHYCGICEEKRHPKHWFYHCADCYNSFHPECVLGELPYIKLGSTYNYFGHRHFLTFVEKMNNSLPCNICRKLCKNQALECKKSECGFIIHWKCRGQGWQTVRPTKRRS >EOY09044 pep chromosome:Theobroma_cacao_20110822:5:25077700:25079575:-1 gene:TCM_024383 transcript:EOY09044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSNERHPGEVRVPMPRIEHSPFITDERAKLKSALREAQKQESDSYQRAKPLIQRVPPVLIGIKEDFKKYFEPRLVALGPLHHGKPQFEQAEHTKRKLAALFANENETTDEVLFNKIMAEIRDLKQCYNPEDIKDYDDEKLAWMFFVDGCAVLYAVHYGLQGKFKKLNTKADLLVFAQLDLFLLENQLPYRVLNILIGSTKEPQMWEQSITEFVGNNLITNIPDGKKSQHTDEEEKQEYTHLLERLRTKLLTGKKEESSSSMIGRLLLSCGDIRKHRKTFRSVKELKESGIGVGPSETNNLKNISFYCNFLGSLKMPRILVDDSTASKFLNLVALEMCRDFENDFAVTSYLYFLDSLIDTAEDVKEMRVTGMLHNYLGSDEEVADLFNKLSRDLVPDQAMYKDVTDNIHKYCNNPCTTAMAQAYYTHFSSPWTFLGFLGAIIGLLFSAIQAYYSFPDNK >EOY08013 pep chromosome:Theobroma_cacao_20110822:5:3946964:3949983:1 gene:TCM_022336 transcript:EOY08013 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MALNSFQPHFVLIPFMCQGHLIPLIDIARLLAERNVIVTIITTPQNAARLSISIDHAIESGLPIRVLQLHFPAAEAGLPEGCETLDNLPSMHLMSNFYSALSMLQQPLETMFEELKPRPCCIIHDRNFTWIAEIASKFQIPRISFDGKSCFTLLCCHNISTSKVHECVSDGEPFVVPGLSDRIEFTTGQLPGNLNPGFNMKETAKKIIAAEEEAYGLIVNSFEELEAEYVESYKKLKKQKVWCVGPVSLCNKDNFDKAQRGKEALTDENQCLKWLDSWPPSSVIYVCFGSLNRLTPPQLIELGLALEASNRPFIWVIRGGYKKGEMEKWLAEDGFEERIKGQGLLIRGWAPQVLILSHPSIGGFLTHSGWNSTLEGICAGVPMLTWPLFAEQFLNEKLLVQILKVGVRVGVEVAVQMGEEEFGVLVKREDVKKAVESLMDEGEEGEDRRKRARKLAMVAKKAVEEGGSSYFNMTLLIEDIVQQATSPASA >EOY10971 pep chromosome:Theobroma_cacao_20110822:5:37296364:37298218:-1 gene:TCM_026243 transcript:EOY10971 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative MEVESDLRKVLPAEEHDYDRAKDLKAFDDTKAGVKGLVDAGVATIPRIFFMPPEDILSAQLDDQIDGLQVPVIDLGGINLDPAAHTDIVEMIRYASEKWGFFQIINHGIPQDVMNKVIAGVRRFHEQPKEVKMDFYSRENEKKVRFNSNYDLYKAKSANWRDTLFCVMAPNPPPPEEYPVVCRDILIEYSEHVQSLGQILLGLLSEALGLNPAHLADMGCMEGHGFVCHYYPACPEPERTLGHAKHCDPDFLTILLQDQIGGLQVLHEDHWIDVPPLEGALIINIGDLLQLISNDKFRSVEHRVLAKRAGPRISVACLFTTHFQPFNKLYGPIKELLSADSPPLYKETLIKDYLNSFCSMGLDNDSALDFLRM >EOY11212 pep chromosome:Theobroma_cacao_20110822:5:38365164:38367557:-1 gene:TCM_026458 transcript:EOY11212 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 5, putative MACSSLFSPNFTPFSSPTPTSHHLCYHPHLPSTSLQRSNNRREFPLASVASIPYQPINVDYLEGEFSGHGVTFEGIGDSCVAKMGLDNGSTATLMLPSGLITSYKAPMWHGGTVELLHTSVSEGDEGEAVIQGGVSLALNCEGDSEVSWSPSTWALRDIRGNSKNSIKVELISRENMVETRHIVTLQEDVLSSEITVSNSKSSPLQWTGSIISHLTVSSPDATYALGLEGSNFLNMPPFLSNFGIIPPDFDLENDSYIGQLWSQMGLKRFCSGLGQRNQKNASEAEKKQRESEEMEGEEEGYKQLKEQMSRIYTSAPRFFTLIDRGRRNSVEVGREGFDELYMFSPGSSHEIYGEYAFICVGQSATLKPIILGPGEVWRGCQHLHNPNM >EOY11619 pep chromosome:Theobroma_cacao_20110822:5:39863621:39868231:1 gene:TCM_026744 transcript:EOY11619 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase l1 MNGHDFELEKARLLSLALEFGFDEQSAKKSLDRLISLYGDDGRDFITVEHCGDDFLAALAETMQDSEDWDDDLQAVESEACGALNNMFDKNALCNGKIDSNRNERIYINIIDDSPERKKQTNFMELDSSSDDEVLDLRISKKKGDSSTLSSRLDQSSCVGSKTSVTQGSVSSISGKKHFSTTSMGGHGTLSYEELQALDDFELANVVIFGNRSFRPLQHQACKSSLVKQDCFILMPTGGGKSLCYQLPATLKPGVTVVISPLLSLIQDQIITLNLKFGIPATFLNSQQSASQAAAVLQELRKDNPSCKLLYVTPERVVGNQSFLEVLKCLHRKGQLAGFVVDEAHCVSQWGHDFRPDYRGLGCLKQHFPNVPVMALTATATHSVREDILNALRIPHALVLKTSFDRPNLKYEVIGKAKDSLKQLGQLLQDRFKNQCGIVYCLSKNECAEVSNFLNEKCKIRTVYYHAGLAARQRVTVQKKWYEGEVQIVCATIAFGMGIDKPDVRFVIHNTMSKSIESYYQESGRAGRDNCPAVCIALYQKKDFSRVVCMLRNGQGSKSKSFKTAMAQAQKMQQYCELKDECRRQMLLEHFGESFDRKDCKHGSNPCDNCLRTS >EOY11184 pep chromosome:Theobroma_cacao_20110822:5:38258320:38262316:1 gene:TCM_026437 transcript:EOY11184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein isoform 1 MNFVECRVFEVQCLHVPVCDRTPFEGLVKVVEETLRLEHASRPNSPIYLVGDSFGGCLALAVAARNPTIDLVVILVNPATSFGRSQLQPLFPILKAFPDELHVTIPYILSLVMGEPLKMATVGVEGRLPPTQKIEQLSDNLTALLPRLSCLADIIPKETLVWKLKLLKSASAYANSRLHAVKAEVLVLASDKDNMLPSREEAHRLKNLLPNCEIRFFKDNGHTLLLEDSLNLLTVIKGTSKYRRSRRHDYISDFLPPSMSEYRYAFGEVTGLLRFASCSAMFSTLEDGKIVQGLAGVPNEGPVLFVGYHMLMGLDLPCLIEAFLREKNIMVRGIAHPELFWGKFRSAGNEFAFPDWVKVMGALPVTANYLFRALSTKAHVLLYPGGQREALHYKGEQYKLFWPNQPEFVRMAARFGATIVPFGTVGEDDIAELVLDYHDLMKIPGVSDFINKARQDAIKIRDETKGEVANQELFIPGMLPKLPGRFYYLFGKPIKLKGREDLLENREDANELYLQVKSEVEQCISYLLKKREEDPYRSIIDRTIYRALYSPLDQVPSFEP >EOY11183 pep chromosome:Theobroma_cacao_20110822:5:38255992:38262466:1 gene:TCM_026437 transcript:EOY11183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein isoform 1 MASAVTLRVSPCFGVNPKFKPHFRVRAERLGGGDLSVLSSDGVSVNGAGSVIGEKEKKGSLIDGGNGRLKPKVEKKLVKEMVSEELEVLWDDGYGTNTVKDYLDRAKDMIKPDGGPPRWFCPVECGRPVKGSPVLLFLPGLDGVGIGLILHHKALGKVFEVQCLHVPVCDRTPFEGLVKVVEETLRLEHASRPNSPIYLVGDSFGGCLALAVAARNPTIDLVVILVNPATSFGRSQLQPLFPILKAFPDELHVTIPYILSLVMGEPLKMATVGVEGRLPPTQKIEQLSDNLTALLPRLSCLADIIPKETLVWKLKLLKSASAYANSRLHAVKAEVLVLASDKDNMLPSREEAHRLKNLLPNCEIRFFKDNGHTLLLEDSLNLLTVIKGTSKYRRSRRHDYISDFLPPSMSEYRYAFGEVTGLLRFASCSAMFSTLEDGKIVQGLAGVPNEGPVLFVGYHMLMGLDLPCLIEAFLREKNIMVRGIAHPELFWGKFRSAGNEFAFPDWVKVMGALPVTANYLFRALSTKAHVLLYPGGQREALHYKGEQYKLFWPNQPEFVRMAARFGATIVPFGTVGEDDIAELVLDYHDLMKIPGVSDFINKARQDAIKIRDETKGEVANQELFIPGMLPKLPGRFYYLFGKPIKLKGREDLLENREDANELYLQVKSEVEQCISYLLKKREEDPYRSIIDRTIYRALYSPLDQVPSFEP >EOY07032 pep chromosome:Theobroma_cacao_20110822:5:245462:246200:1 gene:TCM_021566 transcript:EOY07032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASTRPGKERRAKSLGWLCHRVWLSCPISQPCGFTSRTVSHVLKYSLNCVIRPSFKVHTWTQYTCAPSQPSAITQSPTTSMLLGSNLNSVWLDSPVSTRIICWHRGGPWNHHSRSLASSLTTVLVPPCASAL >EOY08722 pep chromosome:Theobroma_cacao_20110822:5:18745690:18747764:1 gene:TCM_023809 transcript:EOY08722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDNEYKVWYHFLKAKMLPIKHLSDVTKDRAMLLYAIILGKSIDIGQLIFNSIVHTTRSIRDGLWYPSFITGLCKQVGLQWTSNEELLHLIVPLDKGIIHRFHTHEHSTTSGSSSFAPRPPLH >EOY10681 pep chromosome:Theobroma_cacao_20110822:5:35848061:35852559:-1 gene:TCM_025982 transcript:EOY10681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGFSGYNQIKMAPEDMEKTTFVTMWGTFCYKVMPFGLKNAGATYQRAMVALFHDMMHKEIEVYVDDMIAKSHTERDHTVNLKKLFERLRKFQLKLNPAKCTFGVTSGKLLGFIVSEKGIEVDPDKIRAIQELPPPKTQKEVRGFFGRLNYIARFISQLTCKCDPIFKLLRKRDPGEWNEECQIAFNKIKEYLTNPPVLMPPTVGKPLILYLTVNKDSMGCVLGQHDETGKKERAVYYLSKKFMEIARWQVLLSEYDIVYVSQKSIKGSAIADFLADRANEDYESVSFDFPDEDLMAVLHIEKVGPNELNPWKVYFDGASNALGHGIGAVLISPNGKYYPATARLNFNCTNNMAEYEALVLGLQAAIDIKADAIDVYGDSVLVICQMKGEWETRDPKLVPYKKLVTELSKQFKEISFNHLPREENQIADALATLAAMFKIKEAADVRPFDLEVREVSAHCLNVEEEVDGKPWYHNIMQYIKHQTYPENVTDNDKRTLRRLAMGFFLSGEVLYKRSRDQVLLRCVDVAEANKIMKEVHEGTCGAHANGHMLVRQIMRAVAIDYFTKWVEAASYANVTQKVVCKFIQKEIICRYGLPKRIITDNASNLNGAMVKDVCTKFKIKHHNSTTYRPKMNGAVEAANKNIKKIVEKMTEVYKDWHEKLPFALHAYRTSVRTSTGATPYSLVYGAEAVLPVEVEIPSLRVLMETELEDAEWVRSRYEQLNLIEEKMLAALCHGQMYQRRRMRAYEKKVHPKQFREGELVLKRILPNQTDFRGKWMPNWEGPYVVKKAFSGGALILANMDGGDLPNPINADASPEPKAKSNVKGEGT >EOY09295 pep chromosome:Theobroma_cacao_20110822:5:28074395:28077719:-1 gene:TCM_024720 transcript:EOY09295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKLVRKPCEVSKSIREKNVCRGFATVVTDSMGVRGRDNYWLNYSDNQVSQIDFRLSHEFYQIT >EOY07135 pep chromosome:Theobroma_cacao_20110822:5:566492:575000:1 gene:TCM_021648 transcript:EOY07135 gene_biotype:protein_coding transcript_biotype:protein_coding description:APRATAXIN-like MLAAIASFFSFLSLLINAKKLLVLDQALGFIYKCVKGQTLPCRLCLSRCFLSNQGIGDCFSSFHHHESQVEEEAHEKIEEKAQKDEAEIQVDRSNLSRPTGFSVKKEGEEEKEKGKPIVAMLVGAPGSGKSTFCDNVMRASSRPWVRICQDTINNGKAGTKLQCLNSAAASLKGGKSVFIDRCNLDKEQRLDFVKLGGPQVEVHAVVLDLPAKLCISRIVKRTGHEGNLQGGKAAAVVNRMLQNKELPNLSEGFSRITFCQNEADVQAAVNTYTALGSLDILPHGCFGQKNPNAKTQLSILKFLKRPHETNSQDSEPSQLSKGKGPCSKSQETVSSLSGAASQKIKVSEDPVAGFISQHDDDFPTLAFPSISTADFQFNLEKASNIIVEKVEEFIDKLGNARLVLVDLTHGSKILSSVRAKAGEKNIDSKRFFTFVGDITQLYSKGGLRCNVIANAANWRLKPGGGGVNAAIFNAGGPALEAATTDRAKSLLPGNALVVPLPSISPLYSKEGVTHVIHVLGPNMNPHRPNCLDNDYTKGCKVLRDAYSSLFEGFLSIAKTQVKFPSRSSQSIQLELSISEDKTENILRNHFSHSDQEIKEYGNYESDRSKKCKESENEVVVVMGKEQEMVLSLSGAASEKIKVIEEPAASSISQRGFDVPTLAFPSISTADFKFNLEKASNIIVEKIEEFMDRLGNARLVLVDLTHRSEILSLVRAKAAQKNIDSKRFFTFVGDITRLNSEGGLHCNVIANAANWRLKPGGGGVNAAIFNAGGSGLEAATKNQAMSLLPGTAVVVPLPSISPLSIREGMTHVIHVLGPNMNPERPNCLDDDYIKGCKILQDAYSSLFEGFLSIAKTQVKFPKRSSKSILSEPSVPEDKIIGTMTKTWAPWVQSLYNIAMQPEKYKDEVLEASDDVVVLNDKYPKAKQHLLVLARFEGLDCLADARKEHLQLLTTMHAMGLKWAEKFLHEDAALVFRLGYHSVPSMRQLHLHVISQDFDSKHLKNKKHWNSFNAAFFRDSLDVIEEVSNHGKATLQDDNRLMSMELRCHRCRSAHPNMPRLKSHISNCQAPFPAALQENGRLVLAQSNSGCDP >EOY07593 pep chromosome:Theobroma_cacao_20110822:5:2079573:2080211:-1 gene:TCM_021985 transcript:EOY07593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQRPNRHQRKPSQSVFVSFEDLSAPLSDNATDNKLPAPPTNQAPPSLPVRARALPPPPPTAAPAPAETKENVAKDDNEPKNATS >EOY09569 pep chromosome:Theobroma_cacao_20110822:5:29919605:29921583:1 gene:TCM_024986 transcript:EOY09569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation protein SH3-like family protein, putative MPAGHGLCARTRDLFARPFREKGYIPLSTYLRTYKIGDYVDIKVNGAVHKVMPHKFYHGCTGRVWNVTKRAIGVEGNGVEAVSAFWGVTPYISSTVRETPIVGGSGLFRFARGYAEARTHSREAKTRSCVYVFHY >EOY10454 pep chromosome:Theobroma_cacao_20110822:5:34896958:34899084:1 gene:TCM_025815 transcript:EOY10454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gd2b, putative MQKFHMQTQEQRIPSTSIIQRQEPSIKIEATVAGRFLRKIFQIIFYIQLFLVSILVIALTIRGLINASNTHRFHPKKWYPPLLVSTASGGIVSFIWQWISFHYPSKAVKVAFWVSPLLTCAVGVLHVLIGSPVSLAIGTIAVVSGVIQSLYACWVNPRFAYATKILKVSTSFPPDGTTTFVTLSLIICVIYSAFLVTGIGGATATGTGLDILFIIFILLSFTWSMQVIKNLLYVTISRVRYMNFACGVDMNTQIAFRDTIKHLVGSVCIGSALVPVFGTIRGSARAMSLVAGGTDEFLFSCANCYSGVASTLIKYGNRWGFVHVGVYNKGFVQASANTWEMFQRAELISLIDSDLTGVFCFLSGLAVGSICTLAGGTWALIVHKSYATEVSIYAFLIGYFMCRIALAWQQACVSAYFVAYAENPQSLRFDSTIPVRIQELQRHRV >EOY07371 pep chromosome:Theobroma_cacao_20110822:5:1345727:1355759:-1 gene:TCM_021823 transcript:EOY07371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione s-transferase-like protein MAEEVKVVDACGSPFICSVKLTLQLEGVQYEYIEEDVHKNKSPVLLKYNPVLKKVPVVIHNGKPIAKSLDILEYVEETWEGNHIWPEDPYDRAVARCCKLIDEEVFLWCVCGACLRNGKQGEARRISEKSHWKMNPFILKSRIIWSLDNAYIHVRFPNLGKAQKKLSLVVPPVNPMGGSDRVPGPGAGMYPTRGDVGGGSMLLGPNDPRWLGGVGGEPGFTGAQPLALSLSLSLCVCSAYIILENLFWIGLFETGVFLLVHVLIHLAPLVFLVLSPIDLSGASKLDVSQLQFGPMGLILMYLSFQQYQLKVSLLLKVHLLIRKLIKVFPFQNCDPQIKAVSILSVGGTNALKWSFKKFWSLEETDIGSGQVLCNHMFIKVD >EOY11579 pep chromosome:Theobroma_cacao_20110822:5:39748022:39757438:-1 gene:TCM_026719 transcript:EOY11579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVHIERMTGTNHENTKLLVPPKHSSSYNLHITIYIPKVPIPKLLPKGLLKFVKFVNFVPRRNHVRSGDDENGSKSQKKHGAKSRKSFRKKVGGMFSNVFGNKKSSPETDSRSSSGENGEVYWNGDWDQMENSKKIVERSVGMGSAKITRKKSSANDLNGGVQQMVVATGLGISKSRMFKEMLEDEDKEEEKVKQEMLEDEHKEEERVEQQDKEEERVEQQVTVTKFLSKRTNYGLQLTKAEINKAGVGDNAGLSIIVRDANTVETRRTVMGKQEKKSNELPMGISRTTPTTRRKLKIAWSMKKMMGGETKKQELCKKRILMGMRCRPIATIQYDENGVLLPEIIP >EOY07715 pep chromosome:Theobroma_cacao_20110822:5:2494665:2496466:-1 gene:TCM_022073 transcript:EOY07715 gene_biotype:protein_coding transcript_biotype:protein_coding description:2,3-bisphosphoglycerate-independent phosphoglycerate mutase MPMDIQAGIGSLTSHGFWRTSQKSTSPYPCSSFKIFCSKKGSRQPQENGDNGGDKFSTDWDKAWTNFRKQSKKSIFSRFFPDKYVTWNPRQSNYPLSEEVDPIKRTERSNLMLWTSPGFTLVGATIIVAFLLLYTILAPVK >EOY10817 pep chromosome:Theobroma_cacao_20110822:5:36684051:36684689:1 gene:TCM_026125 transcript:EOY10817 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein MATTSSTPGSSSDLSITVESKPSESRLSELGIKSWPKWGCPPGKYLLKFDAEEMCYLLKGKVKVYPKGSSEFVEFGAGDLVTIPQGLSCTWDVSAPIDKHYKFASSSSS >EOY08686 pep chromosome:Theobroma_cacao_20110822:5:17793900:17800530:1 gene:TCM_023726 transcript:EOY08686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSSLDENPPFAPEPQKKQPSPSNSVEVSIMDVFHQMVREEQVEKEAAKAHAQKSAFIEPAHIAEEQSSKEKEKVAIAPQAKSKPSSKGMKIMATKTKFLKRRKSSNIAEKAKPLVICSPQDLLEVSNKSSPEPSPQKSPPEPSPEPLNVKYFTNESSPSSYLEDA >EOY08317 pep chromosome:Theobroma_cacao_20110822:5:6346176:6347371:1 gene:TCM_022659 transcript:EOY08317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDILEHFIDIPDDEGDIPEAWPAENLTATMDDVEHIHEAWLEETFMDNTDDGDIDGANRDISILDASFLD >EOY07672 pep chromosome:Theobroma_cacao_20110822:5:2383985:2386878:1 gene:TCM_022051 transcript:EOY07672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MRIANPLLNKLQPPPTTFTSKTQESLRTLHPSNTNLNYGAYGHIIQHFTDHSHPRQAKQLHARIVLSSVTPDNFLASKLISFYSKINHLPQAHHLFDKIPNKNTFSFNALLIAYSQHNMFADTLRLFSSVDNVIRPDNYTVTCLLKALSGSFCDKRLAKEVHCFVLRGGFNEDIFVSNGLITYYSKCEEFGLARIVFDKMGERDIVSWNSMISGYSHGGFYEECKALYREMVDSLECRPNGVTVLSVLQACGQSNDLILGMEVHQCIVENRIEMDVSVCNALIGLYAKCGSLDYARELFEGMSDKDEVTYGALIYGFMFHGFSDKAMELFCELKLPGLSTWNSVISGLFQNKQYDRILDLVREMQSCGFRPNTVTLSSILPTFSYFSNLKGGKEIHAYAVRNNYDRSIYVATALIDTYAKLGFLCGAQRVFDQSKCRSLIIWTAIIAAYSAHGDVNAALGYFHEMLNNGIQPDPVTFTAVLSACAHSGMVDEAQKIFDAMLEEYGISPSVEHYACMVGVLSRAGRLSEAKEFISKMPIEPSAKVWGALLNGASVCADAELGKFICDRLFEMEPENTGNYIIMANLYSKAGRWKEADMIREKMRNAGLKKIPGASWIETSGGLQSFIAKDRSSERTEEIYVLLEGLLGLMKEEGYTLHDEYDEENVYV >EOY09087 pep chromosome:Theobroma_cacao_20110822:5:25914998:25916564:-1 gene:TCM_024468 transcript:EOY09087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLRNRGVLPENGDCLCVWCKDREDQGNHVLLLCNFAWIVWCKIFQRWDIQWVIPNSIEHLFRYLIWWTVFMAKSWNKGGWLFVVRCYGFYWLTRNDSIFNDKVLDGDDFGVSSK >EOY08578 pep chromosome:Theobroma_cacao_20110822:5:11459738:11471746:1 gene:TCM_023187 transcript:EOY08578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKCQLFILGKWQTSPIKNKKSPAMWKGLKNLRPVICEWHKIKYSTMSHKMEELQVELHDLDIEWQRDRALGPNGYNMNFVKMTWPMVKGDLMRFVADFYLKGRLGHGDRGGLWIVDLEIKNRALLNKWLLRFAPSNGWLKFNVDGASSRGSGDTAIGGILRDSYGTISIRFSKSLGLTDSNKAKLMAIREVFHIFCKSSYYNRYKLWIESDSMNAVY >EOY07517 pep chromosome:Theobroma_cacao_20110822:5:1814531:1817619:-1 gene:TCM_021930 transcript:EOY07517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MGACWSNRIKSVSPSTTGVNSTSASRNGNNLSGSSSRVSSASVPQAPRSEGEILQSSNLKVFTFSELRTATRNFRPDSVLGEGGFGSVFKGWIDEHSLTATKPGTGIVIAAKRLKQEGFQGHKEWLAEINYLGQLHHPNLVKLIGYCLEDEHRLLVYEFMARGSMENHLFRRGSHFPPLSWGIRMKVALGAGKGLAFLHNAKPQVIYRDFKTSNILLHSNYNAKLSDFGLARDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDIYSFGVVLLEMLSGRRAIDKNRPQGEHNLVEWAKPYLTNKRRIFRVLDTRLQGQYSLNRVQKVANLALQCLAVEPKFRPSMDEVVTELEQFQEPGDMPKSVQKEHHVKVQSHSNGKPTTFPKPSASPLRV >EOY07271 pep chromosome:Theobroma_cacao_20110822:5:1004733:1007546:1 gene:TCM_021737 transcript:EOY07271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 6 MVMGAHRRFEPISKCSNEGRSNQTVAADLDGTLLLSRSAFPYFMLVALEAGSLVRALVLLASVPFVYFTYLFISESVAINTFIFITFAGLKVKDIELVSRSVLPKFYAEDVHPESWRVFSSFGKRYIITASPRIMVEPFVKTFLGADKVIGTELEVTKSGRATGFTIKPGVLVGEHKRAAISKEFGMKLPDLGLGDRETDHDFMSLCKEGYMVPRSKCDPLPRNKLLSPIIFHEGRLVQRPTPVAALLTFLWLPIGFILSLLRVYLNIPLPERIARYNYKILGIKLIVKGNPPPAPKKGQSGVLFVCNHRTVLDPVVTAVALGRKISCVTYSISKFTEIISPIRAVALSREREKDAANIKRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQTVFHGTTVRGHKLLDPYFVFMNPMPTYEITFLNQLPTELTCKGGKSAIEVANYIQRVLAGTLGFECTNLTRKDKYAMMAGTDGRVPSKKEKEQEKEKA >EOY10399 pep chromosome:Theobroma_cacao_20110822:5:34496389:34506829:1 gene:TCM_025762 transcript:EOY10399 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein MSSDTFDGQILTEKLSKLNNSQQSIESLSRWCITHRKKAKQIVETWDKLFNSSQKEQRVSFLYLANDILQNSRRKGSEFVNEFWKVLPGALKHVYENGDEYGKKAVTRLVDIWEERKVFGSRGQNLKDEMLGKNPPPPPPPLSVNNGKSSNPIKIVKRDAHAVRIKLAVGGLPEKILTAYQSVLEDNQNEDIALNKCNAAVQQLHKIGEDVESSLAQGNQNESALLDELQQQEIALQQCIEQLENVETIRATLIFQLKEALQEQESKLELIHSQLQVARGQIEQASNVRKRLTLPTVPGHLSATTISTAEGAIVVEQNLPSAQPTGTPPHPHHAQPVLSFAPSMTTEEDNKKAAAAAVAAKLAASTSSAQMLTSVLSSLVAEEAASMNGSLKSGGFTSGLSMFPPEKRPKLEKPMPVSDASNSDVSSTAYFSPLQQQAMTNMPLAPSTSVQPMSQGNQIQAPFASAPPPPPPPLSPANPPASQYVQSTGMMVGVMPYGYGANTLPPPPPLPPHIAMSLARPSSQPLQQLQSQSLQQPQSQPQQQPATGGFYRPPGIGFYGQNPQSTPPVPRQ >EOY08975 pep chromosome:Theobroma_cacao_20110822:5:24353315:24354895:-1 gene:TCM_024300 transcript:EOY08975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPKRSREEKGRSPTTLAKKQKCPREDPLIIHFPSREERKIFHEEYATSKNKAKFLDKNILARMGYEYNAKANDWIKKDGAQDMVQVEQPVPEIPLA >EOY09815 pep chromosome:Theobroma_cacao_20110822:5:31165816:31166332:-1 gene:TCM_025189 transcript:EOY09815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRHLRRLSRARRDLQKLLPVFLQKMQNLQQHLRRQMGRRVVTQQHLTHQRKLGNLLLRVPSPAANFLVD >EOY07324 pep chromosome:Theobroma_cacao_20110822:5:1198686:1200739:1 gene:TCM_021785 transcript:EOY07324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yos1-like protein MGFWTLLEGLLLFANALAILNEDRFLALRGWTLAEIQGGRRNTLKGQIIGLIHACQFLRLPLILFNIITIVVKLFTG >EOY11282 pep chromosome:Theobroma_cacao_20110822:5:38805884:38810002:1 gene:TCM_046816 transcript:EOY11282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MSREGMEEGGLSCSLIVKEKPQDGVGDCSGAGQGETRISSSATTTVVLSTFVAVCGSYVFGTAIGYSSPAQTGIVDDLGLSVAQYSLFGSILTIGAMIGAVMSGRIADYIGRKCTMGFSEVFCIVGWLAILFSKAAWWLDLGRLLVGYGMGLLSFVVPVYIAEVTPKNIRGGFTSVHQLMICCGVSITYLIGAFASWRTLALIGTIPCLMQLLGLFFIPESPRWLAKIGKWKECEAALQRLRGDNADISDEASEIRDYTEQLQQLSEASIFDLFQRKYAWSLMVGVGLMVLQQFGGVNGIAFYASAIFISAGFSGSVGMIAMVVVQIPMTALAVVLMDKSGRRPLLLVSAAGTCLGCFLVGLSFFLQDLQQWKEGTPILSLVGVLVYTGSFSLGMGGIPWVIMSEIFPINMKGSAGSLVTLVSWLGSWIISFAFNFLLKWSSAGTFFIFASICGLTVLFVAKLVPETKGRTLEEIQASINPVSLER >EOY08614 pep chromosome:Theobroma_cacao_20110822:5:13764882:13784135:-1 gene:TCM_023404 transcript:EOY08614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKHQDTEQGQLAMALGIYGLVIFPKVLGHKEVGIIDFFEQVINKVNPSPSILAKTLRSLNYYRRKKKGRFVGCAQLFSIWIISHFECKVKWFKKPFHPQSAPIKEFCDSEWPKNKTKEQWISKLRKLISVEVTWRASWMPRHPVMYKC >EOY07531 pep chromosome:Theobroma_cacao_20110822:5:1881849:1884677:1 gene:TCM_021941 transcript:EOY07531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poltergeist like 4, putative MGNSVRKLSHCCSCNGESHEMTTHIMPHPTQDFVGHSFCYVRPDPARLSSKVHSLNDCSSSTATKATTFCSISGASVGANITTVPLASSPLPDRSSTFESSELFASLTLQPVPRGSSHCWSGPMERGFLSGPIDKFQRSLSHGSFNSKKKSLSLMKSFKRAISNKMLKASVSDKELSSDTERSNENFLVKTNPSSRGSLSVDDHGDQNDDDDDGDDGDQFSMKSQNLQWAQGKAGEDRTHLVVSEEDGWIFVGIYDGFSGPDAPDHLLSNLFNAVFEELKGLLWNDGKAESDEASGLDSFEGKENSGKSLKKWKWEWDSERLELSTNSMESVDHSDVLKALSEALSKTEEAYLKVADLKPELHVMGSCVLVALLNGEDVYLMNVGDSRAILAQKAGSMTKPEIETPFNLISLQLTMDHNADVEEEVERIRKEHPDDDEAVVNERVKGYLKVTRAFGAGFLKQPKWNDALYEIFRINYVGTSPYISSSPSLYHYKLSPDDRFLILSSDGLYQYFTNQQVVSEVEWFTASFPDGDPAQHLVEEVLIRAAKKAGLEFHELVEIPPGERRMYHDDVSVIIISLEGRIWRSSVNRNTS >EOY10089 pep chromosome:Theobroma_cacao_20110822:5:32949034:32953407:-1 gene:TCM_025471 transcript:EOY10089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target of Myb protein 1 isoform 1 MDKNLMDKVSAFGERLKIEGAEVGRKVSAGMSSMSFKVKELFQGPNQADKLVEDATSETLDEPDWALNLEICDMINHEKISSVELIRGIKRRIMMKSPRAQYLSLVLLETCVKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALTLIEAWGESTSELRYLPVYEETYKSLKSRGIRFPGRDNESLAPIFTPPRSVSAQEVDASLAQQFQHDMQLQRDIPVQTFTAEQTKEAFDVARNSIELLSTVLSSSPQQDALEDDLTTTLVQQCRQSQSTVLRIIETAGDNEAMLFEALNVNDEIQKALSKYEELKKPSLVAHEPEPAMIPVAVEPDDSPHHAKEDALIRKPAGTRHGTHGGSNDDMMDDLDEMIFGKKGGGSSESGQDSKKQQAPKDDLITF >EOY10088 pep chromosome:Theobroma_cacao_20110822:5:32948955:32953308:-1 gene:TCM_025471 transcript:EOY10088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target of Myb protein 1 isoform 1 MDKNLMDKVSAFGERLKIEGAEVGRKVSAGMSSMSFKVKELFQGPNQADKLVEDATSETLDEPDWALNLEICDMINHEKISSVELIRGIKRRIMMKSPRAQYLSLVLLETCVKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALTLIEAWGESTSELRYLPVYEETYKSLKSRGIRFPGRDNESLAPIFTPPRSVSAQEVDASLAQQFQHDMQLQRDIPVQTFTAEQTKEAFDVARNSIELLSTVLSSSPQQDALEDDLTTTLVQQCRQSQSTVLRIIETAGDNEAMLFEALNVNDEIQKALSKYEELKKPSLVAHEPEPAMIPVAVEPDDSPHHAKEDALIRKPAGTRHGTHGGSNDDMMDDLDEMIFGKKGGGSSESGQDSKKQQAPKDDLITF >EOY08172 pep chromosome:Theobroma_cacao_20110822:5:5189947:5190383:-1 gene:TCM_022502 transcript:EOY08172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGFISFLLLSILLLANFGSEPMIVEATKTCHIFLEYLPGCTVDECTHICSNNYGVSAVGRCVDNVECHCDHPC >EOY09196 pep chromosome:Theobroma_cacao_20110822:5:27064918:27066730:1 gene:TCM_024596 transcript:EOY09196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLKRKFWHTHQTGGFMSHCGWNSYMENIRMGVPIAAWPMHSDQPMNALLVKLLLKIELIVWEWAPRELKVTSSAIKERVQCCLYRKVLESLLTMKVVGNFPVRNSTTRLFYLWCSYP >EOY07904 pep chromosome:Theobroma_cacao_20110822:5:3215456:3215925:-1 gene:TCM_022221 transcript:EOY07904 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MFKPFFQKIQKGFPVSASRGLALSDDAITVPDDVEEGYFTVFTVQEIETQRFVIKLDNPINPACLSLLEQARDEHGFQQKGALSVPCRPQELRQILEDRYYQEKDATECWAAYDVK >EOY07315 pep chromosome:Theobroma_cacao_20110822:5:1171127:1172787:-1 gene:TCM_021778 transcript:EOY07315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein MKTVIPWTTVWIVISWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMLACSLLSYVAIAWIKVVPMQSIGSRKQFLKITGLSLVFCFSVVLGNVSLRYLPVSFNQAIGATTPFFTAVFAYVMSKKMERWVTYLTLIPVVAGVIIASGAEPSFHLFGFLMCVSATAARALKSVLQAILLSSEEEKLNSMNLLMYMAPIAVAILLPATLLMERNVVAITVALARKDIKIVYYLFFNSSLAYLVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPISLIGMLGYSLTVFGVVLYSESKKGNK >EOY08596 pep chromosome:Theobroma_cacao_20110822:5:13456864:13466148:1 gene:TCM_023366 transcript:EOY08596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit A, putative MADSATVIDVDDHPKVPKTDSQDQPKRTGKRKRASWVSETLSDEQREAQIKELYQEMDGLYGYYKEVMEQKSGFGMGFGLGLVESGPLNSVVAVLMEESDLPLSRLVEAIHEKVKDSMGNVSLAAVKSAVLFVGQRVKYGLGSEDADILEDDANSSLWCWETRDVKLMPKSVRATLKIRRTCRKKINERFTAVSAMITLLQKWENDQNYKHDFMKASEKLLKVLSEAEIRLLMSNMLQKSGAEMAEKEAKREEKLLIKQFERNRREIEKEKKKVDRELQKEKLQNEKERKRLQEEVEKDERRREREEAEMRKQLRKQQEEVERDQRRREKEEAELKKQLSIQKQASLMERFLKKCKTSPRQIEQLTKPATFCPSTQKSEKVPEAVTLLMDTTLSSKGETYMDDLRKLHLSSWRHLGHFLRSNQKQCWGMRRKPKTELFKELKLTANKGSSHDELSVERIIDGWGEENSDDRSCFNPDISAADVKCCGRKQLLQFDKSYRPAFFGIWPKKSNVVGPRCPLRKDPDLDYDVDSDEEWEEEEPGESLSDCDKDEEEESFEGCSKADDEDESEDGFFVPDGYLSENEGVQVDGTGTDVALEETKSSPMSEQDGQNEEFYTFLRQQKYLNSLTEHALQKNQPLIILNISHEKTSVLMAEDLTNTCKLELTCLQALSMRACPDGSPVEISVDSIADDNQEACLSSSKASTTPVLTVAPILDSDMPLIVSTIQSCSLGINRLVESLQQKFPSIPKSQLKTKVREISEFSDNRWQVKKEILQKLGIPISPEKGGGRTKTIAAFFSKRCLPPSDKSISPIDTSPQQLLKPSSAAQEQQSYTYNHT >EOY07308 pep chromosome:Theobroma_cacao_20110822:5:1150471:1153635:1 gene:TCM_021773 transcript:EOY07308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Josephin family protein MEGASNGGMLYHEVQESKLCAVHCVNTVLQGPFFSEFDLAALASDLDTKERQMMLEGTAAAGDFLSEESHNVSLGGDFSIQVLEKALEVWDLQVIPLDCPVAEPAQIDPELENAFICHLHDHWFCIRKVNGEWYNFDSLYAAPQHFSKFYLSAYLDSLKGSGWSIFLVRGNFPKECPISSSEASNRYGQWLSPEDAERITKSCNLTQTQRNDIDQLPPEPVFSLDGTGVQISDIEDEDLKAAIAASLMDSSPATASVEASTSKTENKDSQEKTA >EOY08390 pep chromosome:Theobroma_cacao_20110822:5:7400418:7401407:1 gene:TCM_022769 transcript:EOY08390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pol polyprotein-like protein MFGEELKKVQVVEKILNSVPRKFEATIASLLQSKDLPDISITEIVNTLQAAELRISARDETSVEEALLAKNKGNAFVEIFTKKNSREKDRKFSHAENCWFKPDAKCKICNQLGHADKVCKNKPIVREKTPQTTDKVEVAEETLFMASTISQYKIENDKWLLDSRCSNHMTAPKVEFINLDKDYMSRVNIGNGVYLHAMGKVTVKVKSLSRFRYISEVLLVPSITQIFVEHWIDGKELICLAFQRLGMHNV >EOY10409 pep chromosome:Theobroma_cacao_20110822:5:34554298:34558824:-1 gene:TCM_025770 transcript:EOY10409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Co-chaperone GrpE family protein MATLLKTLPFKSPASLPPRVTPISLISPKPFRVCLKQRIARTSCPFRFSSTPSLRFVKLVPLASNGGETETTEAQQEVQEPQIEDSSDGAVAVEEDAGVDESSDVEEISSSAIVSLLQSYKEALASNDESKVADIEAFLKSIEDEKVDLENKMASLLEELSIEKDRIIRISADFDNFRKRTERERLSLVTNAQGEVLENLLPVLDNFERAKAQIKVETEGEEKINNSYQSICKQFMEILGSLGVEPVETVGNPFDPMLHEAIMREDSTEFEEGIILQEFRKGFKLGDRLLRPSMVKVSAGPGPAKPEQGESSGGADVGETTETSETVRSNETAESSETSETNPGAESS >EOY08762 pep chromosome:Theobroma_cacao_20110822:5:19569233:19571724:-1 gene:TCM_023888 transcript:EOY08762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein MGHDFPYRQGPNQIRSGSTIYPSHPPIFCFLPQKHNSMASATLTLLSPLFPNSLNSSLKLRISTVPRNGSSTLMQENLKFDEKVSLRREVLKGLAMLPLLVLGAAPVSEAREVEVGSYLPPSPTDPSFVVFKASPKDTPALRAGNVQPYQFLIPPSWKQSRVANILSGNYCQPKCAEPWVEVKFEDEKQGKVQVVASPLIRLTNKPNASIEEIGSPEKVIASLGPFVTGNSYDPDELLETSVEKRGDQTYYKYVLETPFALTGSHNLAKATAKGSTVVLFVASATDKQWPTSQKTLKAMLDSFEV >EOY08434 pep chromosome:Theobroma_cacao_20110822:5:8158874:8202980:1 gene:TCM_022859 transcript:EOY08434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METEKKRERGMEKLVQKPCEVSKGVRGKNVYRGFAAVVTGSMGVPGRDTIMLWSFSRTILPRTTSLYALLAWASSLYLLLLCIITLGTLTLCIVPLCANPLGITNLMFLPYAHFFGMHYLLRNLLWTSPPFPQPFCVKISWIVLIVSCPCLGPLGPSPLIVVFQGPYNILLSFIALLSTT >EOY09919 pep chromosome:Theobroma_cacao_20110822:5:31662651:31663792:1 gene:TCM_025282 transcript:EOY09919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTWSSSKTTRTQNLSQTSSENTKCQLINQTTCQPHGIPHKDRTKLLLYYSYRWYPQVMHVSVLPEKWCAPKFILR >EOY10228 pep chromosome:Theobroma_cacao_20110822:5:33567087:33588491:-1 gene:TCM_025582 transcript:EOY10228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 87, subfamily A, polypeptide 9, putative MHCQNSEDIIMDGYVVPQYGTVNFIVGDMGLDPKVWEDPMSFKLERFLSDENDGKTFDITRSKEIKMIPFGAGRRICPGHALAMLHLEYFVANPVWSFEWKAVDGDDFNMEAKQGFVVTIKKALQANLLPRFWRKLLLYILIFSTF >EOY11039 pep chromosome:Theobroma_cacao_20110822:5:37652136:37653199:-1 gene:TCM_026313 transcript:EOY11039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Winged-helix DNA-binding transcription factor family protein MAYATNSAAAPVAKKKSAGAKKPKSASSHPPFLEMISDAIVTLKEKTGSSQYAITKFIEEKHKQLPSNFRKLLLVQMKKFVASGKLVKVKASYKLPPASAAAKKPAAAKPKATSSTAAKPKPRTAKATTKPKAKTKVATPVKPKAKTTVKPKATPKAKAKPAAKPAKAAKTAKKSSPGKKVKAPAKKKAAVAKKPRSVKSPAKKATPKKTKK >EOY07562 pep chromosome:Theobroma_cacao_20110822:5:1976626:1978116:-1 gene:TCM_021964 transcript:EOY07562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFYAIVWSVWLYRNDTVFRRVTWNVDQVFDLLKQRVATWAPAKWHHEYGVVLDTERYPAEGTVIKRRMRTRIVEEWSKPRKGEMKYNVDGAAPGCPGKVGIGGIMRDEEGNTKIVFSKAIGVEDASVAEVRAVREAFLTFAGSKLVATYSLIIESDSKNAVKWTNKPSEAPRRLRKWILHIERLKKEEKKWVMFLCLCLDTWKGLYLYVLRFNERFHDDDGFSFEGQPQIVNINESGVLF >EOY10065 pep chromosome:Theobroma_cacao_20110822:5:32850338:32854243:1 gene:TCM_025455 transcript:EOY10065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MPKNSNSPDKTILFVILEVANIAKYKSISIHLSLFLDEDPYQLGSTVVVSGNELNDTDRQALLEFKAKIVGDELGILHSWNNSVHFCQWCGVKCGLGRQRLTKLDLRSLKLMGSSSPYIGSLSFLKVLNLRDNSFSQELPQEIGRLGRLRELELRGNFLGAGVNGLSGIVPPSIFNLSMIKTLDISSNQFHGSLPSKLGITMPVLETFYIYYVHGAIKYQVPFPLVTGNLQKQQELDLGINSLSGVIPPSLGNLKMLNQLGLNHNNLHGTIPSSLGKCENLVALDLSDNNLSGTIPPGVAGLSSLSMYLDLSSNQLTGVLPIEIGNLKNLGMVQTDGVFENASATWVQGNNKLCGGTPDFQLPSCEGSFGSVYKGVLEENGTVIATKVLNLFSPGSSRRFMAECKALRNIRHRNLVKILTACSGFDYHGNDFKALVYEFMVNGSLEDWLHPSFDSNESEESVKKLNFYQRTNVAIDVACALGYLHHHCETSIVHCDLKPSNILLDDQFIGHVEYGMGSEVSTNGDVYSHSILSLEMFSGMRPTDEVFKEGLNLHNLVKAALPE >EOY07285 pep chromosome:Theobroma_cacao_20110822:5:1065225:1067255:1 gene:TCM_021755 transcript:EOY07285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSIKYPLQWAVASTDSHSYGGVPLTGKDGEGELNTANINPAFSVLEVIGIYLLYRICLDVIKILYIIYILFYNFT >EOY08285 pep chromosome:Theobroma_cacao_20110822:5:6079796:6080710:1 gene:TCM_022622 transcript:EOY08285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRFEKREDQFRLPQRPFFKQIMGRLLLYSELSYCCSRANEMLPSKHLMQEVFQQPDTWFAGIKEMIIDMIMICIV >EOY08721 pep chromosome:Theobroma_cacao_20110822:5:18710755:18725845:-1 gene:TCM_023805 transcript:EOY08721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 45 MYDDVTCTSLWTRFMRAKYYTGRISRQIQLKLHDSQTWKRMLASCPVTGQHIRWWIGKAELLFWHQCWMGDEPLVNHFLSFASSMIRMSNFYHNGTWNVGKLNDGLPDDVVAKILKIPIDESQVDEAYWAPTSDDRFTTKEGNQAADLLSNQGYTHQNLHVFSQAEGQLKDENQPRHLFYYFVEAEIDPASKPLVLWLNGGPGYSSVGAGAFCEHGPFRPSADILLKNEYSWNKEANMLYLESPAGVGFSYSANKSFYTSVNDEMTARDNLAFLEKWLAKFPEYKNRDLFITGESYGGHYVPQLAELVSQSTNKFNLRGIAIGNPLLEYNADFNSRAEFYWSHGLISDTTYEALTSVCNYSQYRRERDSGSLTPVCSAVANQVSREISSFVDIYDVTLDVCLSSLFSQSKILTELEPEEEVDVCVEDKTVQYLNRKDVQEAFHAQLVGITAWTVCSDVLKYKMQDLEIPTIHILDRLVRSGIRVWVYSGDQDSVIPLIGTRILINGLAKELGLNTSVAYRVWFAGRQVASWTQGYGDILTFATIRGASHEAPFSQPQRSLVLFKAFLAGKPLPETSLIDEQMEHK >EOY10825 pep chromosome:Theobroma_cacao_20110822:5:36694980:36699589:1 gene:TCM_026128 transcript:EOY10825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter 1,2 MASLTCTASDLVPLLSSSSSANATALASFLCTRFSTISDQLSDATHAIDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNIMNIMLTNVLDAAAGALSYYLFGFAFAFGSPSNGFIGRHFFGLKAYPSPSGDYSFFRYQWAFAIAAAGITSGSIAERTQFVAYLMYSSFLTGFVYPIVSHWFWSGDGWASATRSENLLFGSGVIDFAGSGVVHMVGGIAGLWGALIEGPRLGRFDRAGRSVALRGHRYGGGGGYYGQWSAIGRTAVTTTMAGCTAGLTTLFSKRLLVSNWSVIDVCNGLLGGFAAITSGCSVVEPWAAIICGFVAAWVLIGFNILASKWKYDDPLEAAQLHGGCGAWGLLFTGLFATEAYVNEVYPGRPGRPYGLFMGGGGKLLGAQIIQILVIAGWVTATMGPLFYVLHKMKLLRISENDETAGMDLTRHGGFAYAYHDAEDLSLTPGFMMTKIEPTNRSPSGSNNTLQYKWKAISPFCSSEGTVHQFGDLQLEGIQSIPESSLEFANDKALLLAKSLRGALLTLFYLSTFLSALTIIGLVLLGRQPKSEEK >EOY09431 pep chromosome:Theobroma_cacao_20110822:5:29086946:29093320:1 gene:TCM_024849 transcript:EOY09431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRMEDNENIRDFYGKMMGLVNQLRLLGRDITEERLVNKMLVSLLEKYESKVSSLEDSRDLAQVTLKELVNALEVLEQRRVFRQRSAADFALVAKTKNVKMSGNSFKGSAVDKKSKGKNFGENRQGDKKKEFPPCPHYKKTNHTEKFCCECKAAVVEQVKEDTDEVIFMATIRDDSSKTNIWLLNSACSHHLTGNKSLFTTLDTSFKSKVKIGDGNYLDILGIGTVNVDTVSRSKTIISVHYVPSANHNLLSVGQLGKEHYALLFKDEVCIVIYPNGDELCTVAMKNNCYPLNLASIAHMALYNELDMSEIWHRKFGHVNYSFLSFMSLENLVEGLLGITKPNKLCSACQFEKQSRKPFPKVSRWKSTRKLELVHIDLSGPMKTLSLSGSKFYIVFIDDFTRLYDPKLNKVFVSRDVVFDEDQSWNWPNARANQFDFTIVVDNVDPSEIHFATAKRVLRYVKGIVDYGLVYMKQKSSQLQKRLILLHPEAANCV >EOY08600 pep chromosome:Theobroma_cacao_20110822:5:13548160:13565449:-1 gene:TCM_023381 transcript:EOY08600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITSWDYAYDVDPQNGAPVVIRTFKTKWWDKFDDRKYDHQFLNNWFNKNPKFCKMGKLSQETVRFLQAKSNASALLAQAKNKTEYKRIMVEMLNSLDSDEEQEQEEDSTTSKETTDLS >EOY08425 pep chromosome:Theobroma_cacao_20110822:5:8088893:8091777:1 gene:TCM_022850 transcript:EOY08425 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein J, putative MLGEFITRLLILILGYAYPALECFKTVEKNKVEIEELRFWCQYWIVVAFLTVFERIGDLFISWLPMYGELKLTLLIYLWYPKTKGTGYVYDTLLRPYMVRHETEVDRKIQELRARAWDFALYYWQNCTELGQTKFFEMFQYLAGQSSRLKHGNQKSDQQNPPNGSRSQSGKSKNWPPTTINRAVVEFPKSKLVHFQPDSQSEHDQSDDDSIPDSPCNSKLRQARFKPRRTKPHN >EOY10136 pep chromosome:Theobroma_cacao_20110822:5:33133260:33136452:1 gene:TCM_025512 transcript:EOY10136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of ribosome, putative MALSSASVFSHPLSISTASRRPLTGFHRRHHGSPSFATLSSPSSEPSSSSSPPPAVATTPSSTESILSSTIQQPKPFVESSRPHDSSSLNYALANPNGNPMVRFARSTESNIEKTIFDFRFLAILAVGGSLAGSLLCFLNGCVYIVDAYKVYWTCCVKGIHTGKMVLRLVEAIDVYLAGTVMLIFGMGLYGLFISNVNPDVPADVDRALKGSSLFGMFAMKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVTIATGMDLLSYSVCIFLSSASLYILHHLHKPE >EOY11277 pep chromosome:Theobroma_cacao_20110822:5:38785356:38789636:1 gene:TCM_046811 transcript:EOY11277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative MGWLAIAFSKEAWSLYLGRLSLGFGTAVFNYVGPVYVADITPKNLRGGFSSLVQAITICSISVAYFVGSITYWRTLALIDVIPCLIPVLCLFFVPESPRWLAKNGRDNEFKTSLQCLRGMSADISQEAAEIQDYTQNLQRSSEDKILDVFSSKYAHSVIVGVGLMLLQQLGGYKGFAYYANSIFYLAGFPSTIGIIAVAVTQIVMNILGILLIDSSGRRPLLMVSVVGTFFGCFLTGVSFLLQDLHSLEKITPFIALIGILMYVGFYSLGMSGIPWIIMSEIFPVNIKGSAGSLCNLVNWFCSWVVSYTFNFLLEWSSAGTFFIFSGISGLAIIFILKLVPETKGRTLEEIQASMTRGAR >EOY07764 pep chromosome:Theobroma_cacao_20110822:5:2610642:2611905:-1 gene:TCM_022096 transcript:EOY07764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein MQKSRDLSDASEMVAPLIAGLAVAAAAYAGRYSIQAWQAFKARPPTARIRRFYEGGFQPIMTKREAALILGVRESTPAEKIREAHRRVMVANHPDAGGSHYLASKINEAKDMMLGKAKGGSSAF >EOY09669 pep chromosome:Theobroma_cacao_20110822:5:30440452:30444072:-1 gene:TCM_025064 transcript:EOY09669 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX (SYG1/Pho81/XPR1) domain-containing protein / zinc finger (C3HC4-type RING finger) protein-related MKFGETFKEYLHGDQEWFLEKFSHVEYKRLKKVLKSCRTCKALPDSNNKTEQREEEENPTLSQFCQCQSCPVCDQMFFTELMREAMDIAGCFSSRARHLLHLHVATGMQRYVLRLRQCFKNDHQALVQEGQMLIEYISMNAIAIGKILKKYDKVHSSVNGKNFKSKMRAEHLELLQSPWLVELGAFYLNFNGSDGGEFIQFSGSFVCDLSATEPVMTMMLPNSIKLEYDLTCAICLEIVFNPYALSCSHLFCKSCACSAASVMIFQGLKATSPDSKCPICREAGVYANAVHMLELDLVIKRRHKDYWKERLIAERAEMVKQSKEYWELQTKYVIGY >EOY08338 pep chromosome:Theobroma_cacao_20110822:5:6650958:6655662:-1 gene:TCM_022695 transcript:EOY08338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 55 family protein MAMTIEGLLGLLLCFQLVYCYKFWACALYNNWWPMLSALMYVLVPMPCLFFGGGSTQFLISRDGGGWIDAAKFLTGASAVGSFAIPIILRHAHMISTGAMFIEFTSFIIFVCTVLCFHRASLEDDW >EOY10339 pep chromosome:Theobroma_cacao_20110822:5:34256962:34268320:1 gene:TCM_025712 transcript:EOY10339 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MDDSMEERFLAQKEEDTTDHDDLKRRLWIESKTIWRIAFPSILSRVTSFGMIIVTQSFIGHISEVELATYALVQSILIRFMYGIVIGMSSATETLCGQAFGAGHHHMMGIYLQRSWIVDGITATILAPLFIFATPILRLLGQEEELAVAAGPIALWFIPMIYSFVMSLTISMFLQAQLKNLIVGWVSTAAFVFHVLLSWMFVNKFNWGVNGAMAAMIISGWTSVVGQVVYVFGGWCPNTWKGFSKAAFCDLLPVVKLSISSGVMICLELWYYSILVLVAGYMKNATIAIDAFSICLNINGWEFMVCLGFLVAASVRVSNELGKGNAKAVKFAIKTILGTSTCIGVVLWILCLVFRNQISYLFSSNEEVAEAVSSLSILFAFSILLNSVQPVLSGIATGAGLQSMVAYVNLGSYYVIGIPIGILLGYVAHLQVKGLWIGLLSGVAMQSLILSWIVWKTDWDEQVRKASERLNRWLLKPPEEDNGSPTHA >EOY10252 pep chromosome:Theobroma_cacao_20110822:5:33788455:33802605:-1 gene:TCM_025628 transcript:EOY10252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 87, subfamily A, polypeptide 6, putative METWFIILVTISISLLFRALINLLSSHSENPSQTLPPGPANIPIISNILWLRRTFSELEPILRNLHNKLGPMVTLNILSRPAIFISDRSLAHQALIQSGALFADRPEPPFIAKIVTCNQHNINSAPYGPTWRLLRRNLTAQILHPSRIKSYSHARKWVIQILLESLISHSKTGEPVRAVDHFQYAMFCLLVLMCFGDKLSQEQIKEIENVARRLLIGMGGRFNLLNFWPSLTRFLLHKRWKEFFQTVEDQEKVLVRLIRARKKVKEERLSKSKEDKEEADDDEYLLAYVDTLLDLQLPEGQRNLTEQEIATLSSEFLSAGTDTTSTALQWIMANMVKYPHVQEKLLMEIKGVVGDGEEEVKEDDLQKMPYLKAVILEGLRRHPPGHFVLPHSVREDTVLGGFSVPKNATINFLVAEMGRDPKVWEDPMSFKPERFLSSGNKSGEVFDITGSREIKMMPFGVGRRICPGLGLAMLHLEYFVANLVWKLEWKAMDGDEVSLEEKQEFTVGMKTPLQAHIHPRKR >EOY08108 pep chromosome:Theobroma_cacao_20110822:5:4532817:4534534:-1 gene:TCM_022426 transcript:EOY08108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein MAASLTVSRFLSPTVLSFSATTTKLYPKSFSSKLSFSPRSLNLHRRPSKPISFSTKTTQISASISVGDKLPDATLSYFDADGELQTTSVSSLTAGKKVVLFAVPGAFTPTCSQKHLPGFVEKSGELKAKGVDTIACVSVNDSFVMRAWKENLGINDEVLLLSDGNGEFTKKIGCELDLSDKPVGLGVRSRRYALLAENGVVKVLNLEEGGAFTFSGAEDILKVL >EOY10208 pep chromosome:Theobroma_cacao_20110822:5:33500077:33504228:1 gene:TCM_025566 transcript:EOY10208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tocopherol methyltransferase MTSAFQASYSPLPYFPRACQRPPVRRPSVSFSSSRTCQSPARFMSIRVNAAASTTSDGSALRDGIAEFYDESSGLWEDIWGDHMHHGFYDPDSNVSGSDNRSAQIRMIEESLRFAGISDDPAKRPKTIVDVGCGIGGSSRYLARKYGAKCRGITLSPVQAGRANVLAEAEGLADRVSFQVADALKQPFPDGQFDLVWSMESGEHMPDKAKFVNELVRVAAPGGKIIIVTWCHRDLLPSEESLQPWEKKLLNRICNAYYLPEWCSTADYVKLLQSLSLQDIKAADWSQHVAPFWPAVIRSALTWKGFTSLLRSGLKTIKGALVMPVMIEGYKKGVIKFAVITCQKPE >EOY07475 pep chromosome:Theobroma_cacao_20110822:5:1698219:1701317:1 gene:TCM_046798 transcript:EOY07475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 17 MGSVLLTSSAFIRLLLLALCAVSLLPDLALAKHAGITRHYKFDIRMQNVTRLCQTKSIVTVNGQFPGPRIIAREGDRLLIKVVNHVQYNVTLHWHGIRQLRTGWADGPAYITQCPIQTGQSYVYNFTITGQRGTLFWHAHISWLRATLYGPIVILPKRHASYPFPQPFKEVPIIFGEWWKADTETIINQAMATGGAPNISDAFTINGLPGPSYNCSAKETFKLKVKPGKTYLLRLINAALNDELFFRIANHTVTVVEADAVYVKPFKTDVLLITPGQTTNVLLKAKSKTPGANFALSARPYATGPATFDNTTTIGILEYEISASASNSNNKKLPLLKAKLPQFNDSTYAMKFNQKIRSLATAKFPAKVPKNVDRRFFFTVGLGILPCSQNQTCQGPNNTRPAAAVNNVSFVQPNIALLQAYFFNRSKGVYTTNFPANPPVKFNYTGTPPKNIMLDSGTKVVVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVLGQGVGNFDPKKDPAKFNLRDPAERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWVVNDGNGRKQKLLPPPADLPKC >EOY07120 pep chromosome:Theobroma_cacao_20110822:5:495474:496532:-1 gene:TCM_021630 transcript:EOY07120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAASPPPLLSMAPDDPQQDDHLLFSRDRHFALHEILTCKNVIRPSKFRHINSPCVRPLDLYGTLGLSLGSWSRRPGPAFCHIRVKIESAMRWQLQNGGDRE >EOY10755 pep chromosome:Theobroma_cacao_20110822:5:36299327:36300361:-1 gene:TCM_026058 transcript:EOY10755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWATNNGSPLPKQNPHFFIWAATTRKPTPSNIKPPSHSNFVPYFFMQNFGLFCLLFYPLLSVSSLLFHSPLFLFHLDSSIPITHIYFQDFCSRFQGCQ >EOY10158 pep chromosome:Theobroma_cacao_20110822:5:33252566:33259290:1 gene:TCM_025532 transcript:EOY10158 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MAETFAFNIVESLVQKLATVAYQETSLAWGVQSDFQRLNDILTTVKDVLLDAEEIQAHNNQLRNWLQNLKDACYDAEDVLDEFKIEALRKQVLRQRSIGKKVSHFFSSSNPLAFRFRMAQKIKKVTLRFGEIAALKANFHLAERHYDTRHVVMGLDRETHSFVQAADIIGRDEDKEKIIRALMQDPTDGENICVLPIVGIGGLGKTALAKLVLNDEIIDGEFQLKMWVCVSDDFHLKRIIIKIIKAAKKINGDWSNMDLDQLQKVLRHSLDGKKYLLILDDLWNEDNIKWNELKQLLVGGGRGSKIVVTTRSSRVAEIMGTIPTHNLEGLPEKDALSLFLQFAFKKREMNQYSNLVKIGEEIVKSCNGIPLVLKTLGSLLLGKTSEYDWKLVRDSEMWKVMQKENNVFPVLKLSYDQLPPYLKLCFAHLSVFPKDYEFNYMELIHFWMAHDLLQSSNENDDPIDIGCRYLNDLSSRSFFQDFDKSLSKQYFFKMHDLLHDLAVSMAKHECSRINSFKQIITSGIRHLYLENSDFFKKNSCDFFDIYKLCHLRTFRFENMKADAKSESFIKKCLSKFQKLRVLTLQGSSLEVVPKRISGLKHLRYLDLSSNSNIKKLPNFICKLLCLQTLLLIHAGIEELPSNMRYMISLKMLSISTKQKDLSKNGLEYLKSLRYLLFASCENLEYLFDGIQNLTSLHTLIIVDCKNLIALPQGIKALTALKILVISNCEKLHLNMTLASKARGKEDDSQDHHIGSGLRLQTLIIGWLPRLEALPNWLLGESANTLQILVIEECKNLTTLAEWQNLTSLERFSIEECPKLSSLPESMPCLRELSIMHCPSLNERCRPQTGEDWAKIAHVSNIRIDGSAGGYAVPQRNDYYALSHFKRKMGTRNRRELGQDCAA >EOY11632 pep chromosome:Theobroma_cacao_20110822:5:39887215:39888376:-1 gene:TCM_026750 transcript:EOY11632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAATSSKLKCFTCFFNLIFVAIFFSNMNSGKAQNLLPARSFAKAFFCFNNRYIYTGCDEAFRLSESGNLNVPREATNIFCTGPCLAETQLVLQCVDNVLSDFTFYNKATVRDIRDVLRAGCSYTSRRGNFDVENYFQGEISKSPGLREFMTSLSSLTLIIGFHILMI >EOY07975 pep chromosome:Theobroma_cacao_20110822:5:3694081:3697795:-1 gene:TCM_022301 transcript:EOY07975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab gtpase c2a, alpha,atrab,atrab18b,atrabc2a,rabc2a MGSSSGQSSGSYDLSFKILLIGDSGVGKSSLLVSFISASVGDLAPTIGVDFKIKLLTVGGKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRETFTNLSDVWAKDVELYSTNQDCVKMLVGNKVDRDSERAVSRDEGIALAKELGCMFLECSAKTRENVEQCFEELALKIMEVPSLLEEGSAVGKRNILKQKPEYHAPPGGSCCS >EOY11080 pep chromosome:Theobroma_cacao_20110822:5:37842946:37844994:1 gene:TCM_026353 transcript:EOY11080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASHDSSVSKLSRSLIIDQDFIEQHLSNQQKKDPQILFASADVRGQQIALKSMVINVDGADEGTGAAVIDGLRMTIEDLPQKFFSMMYSCDGVFCFYGAEAIIVCNPSTREIQRWAYGVDSHFWKPLDWLCPEVSRRKLGEAPYSVSLSSAKRPVHVNGAIYWITSFRRHPLEAIVSFDLHTEKFKAIPHPSCLDNDFKRYEAALKPLRNSLCLAELTNANKRMNIWIFMKGCNSSPGLNDKMGTWEMLHSIDLEIREHDWPMRIPIAGHKNGMLSTNYYGYWVQLYNPKRRMFTNVLSHWRTFAPTAYFESLVPLSAKKKRVN >EOY10442 pep chromosome:Theobroma_cacao_20110822:5:34795871:34798433:-1 gene:TCM_025805 transcript:EOY10442 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 11 MCFVFFSYILNLGFSFKTIFLLLLFFITVMEDQASDPNSPSHGSERSEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGSVGDANVFYWFQNRRSRSRRRQRQLQASLAGDHQQRNQAQVPGGAIQYENSTAAMGFANCPSFANSSPSSFLVGSSSSCGVMGDDGFENLLSMSGQMGFQEIGQSSSVTSVLCPSETSNLHYQSGFITVFINGVPTEVPRGPLDMKAMFGQDVVLVHSSGVPVPMNEFGFLLQSLQPGESYFLVSRPT >EOY10364 pep chromosome:Theobroma_cacao_20110822:5:34370017:34374326:1 gene:TCM_025734 transcript:EOY10364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MALALPPLNFKPQCSSSSSSSSSSTNYRPAVILPGLGNNTGDYKKLELTLQEYGVPTVVAKVSRIDWLRNAAGLVDPNYWKGTLQPRPVLDWYLKRVDDAVQEAKELAQGGTLSLIGHSAGGWLARVYMEEFGFSHISLLLTLGTPHLPPPKDLQGVIDQTRGLLYYVEKHCKKAVYTPELKYVCIAGRYLQGARLVSDSKLDADSVVSIDPDQPISDVAVVKNKTDSTSVSTTFRARFVGQGYKQISLAYFMSMFSQVCGQADVWGDGVVPEESAHLEGALNISLDGVYHSPVGSDDVSRPWYGSSPVVEQWIHHLLN >EOY08205 pep chromosome:Theobroma_cacao_20110822:5:5603948:5606687:1 gene:TCM_022546 transcript:EOY08205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial acyl carrier protein 2 MAAKGAIISGARVFSQSATAYSPNTLEKHEVTSRVLDLLRSISLIDPSKVSATTGFKNDLQLVEVNMAVEEEFAVEIPDNDAQKIATTCHLMDFIAAHPHAKWDSFRG >EOY09689 pep chromosome:Theobroma_cacao_20110822:5:30552352:30553186:1 gene:TCM_025081 transcript:EOY09689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKVESASAMALHKSKRKSVSFIAAKRRSTISAHNTKQEKKKRGPMGRKTWCCTRSVGRSRKKSSLEAIVQKRERKKKMKAQVKEMEAFVSHMRTQMDCGSRLLLEGEATVEEFKALVAQMEEENKLHFMMILRGELLADHIQFTILP >EOY09159 pep chromosome:Theobroma_cacao_20110822:5:26706711:26707527:1 gene:TCM_024560 transcript:EOY09159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEAVAKGYHPRKVSIVWDFPPFTEKGVTILNLQSDVEKQQGENEEYVGNPRETEDDSDYDLSMSSD >EOY08738 pep chromosome:Theobroma_cacao_20110822:5:19350082:19351269:-1 gene:TCM_023859 transcript:EOY08738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVDNFYDLVVHHKCEVLTIRNVDLDRYLYIELVNDIFEGMVDDSTFGIGMSVLINVQHPKSNEIGNVHVVDDTVTVDDTIDVNVGVNVVAKVKVNVDVNLNADRMVGSGLGTCRLRVGERSSSGRGSIDIDSGRSSGRVEKGSLVDCLCNSQGNFEDNVEEDNDVDRLSNIGSDGDEGNKASSGSDREGRTIDRSMRSILTHLMSLGR >EOY10677 pep chromosome:Theobroma_cacao_20110822:5:35819102:35825783:1 gene:TCM_025977 transcript:EOY10677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATP synthase catalytic subunit-related / V-ATPase-related / vacuolar proton pump-related MGFQDMDKTLKLGLGEVRQARALVQRLGDAREPQQQATVMGPPLQKINLISCGPMAHVSDIKLIRTDTTLDLSQKAEKVKTLEGIQ >EOY11155 pep chromosome:Theobroma_cacao_20110822:5:38172525:38174476:-1 gene:TCM_026419 transcript:EOY11155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxal oxidase-related protein MIKSLLCSLSFSYLFSLVTSQVLPLNPAGRQGAWQLLHASVGISAMHMQLLHNNKVIIFDRTDFGPSNLSLPSGLCRIDPSDIVLQTDCTAHSILYDISTNAFRPLMVQTDTWCSSGAVLPNGTLVQTGGYNDGDRNIRTFTPCTDEYCDWIEFPQSLLQRRWYASNQILPDGRIIIVGGRRQFNYEFYPQNIEGKSSSSSTDHIFGLNFLRETNDYQMENNLYPFLHLLPDGNLFIFANTRSILLDYNQNRVVKEFPQIPGGDPRNYPSSGSSVLLPLDDQNEAIEPEIMVCGGAPKGSFTQATQGNFIRATSTCGRLKVSHANPSWVMEDMPVPRVMGDMILLPSGDVLIINGAEMGTAGWELGRGPVTRPIIYRTSDDRPDWRFSVMSPSPRPRLYHSSATLLTDGRILVGGSNPHVFYNFTNVEFPTDLSLESFSPPYLSPEYDPIRPQILSLDEKIRYGKSFWLSFSVKDYLTASVLSVRIVAPSFTTHSFAMNQRMVVLKIVGVTTLLPPAPYAYGLSMVGPSTAAIAPPGYYLLFVLHAGIPSSGMWVKIE >EOY09555 pep chromosome:Theobroma_cacao_20110822:5:29785604:29786608:1 gene:TCM_024966 transcript:EOY09555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFASTIYNIGHIKSIVHINFFLFSTSIFFFKAHCFKRFITFICYFFKKNYCISWTNFIQSFMYPINFVIVE >EOY10087 pep chromosome:Theobroma_cacao_20110822:5:32945539:32948730:-1 gene:TCM_025469 transcript:EOY10087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKLEEDKRNKAKMEELKKGIEDMAQGKEVVGNEIRRPNCQTGTRMITIKTNVAEEDMSWVQSSAVGRLRERVDYRTVQSGLAREGIVVQIRIPEGMTVLVTLDLKEEIEVRLWVRLGEVLIHSWHMNTFKAIVGCWGEFLAIDRNTCRSERFDQANILVKVRSRAIIPSQVRIEVEGRQYSICVSMVEWEASCDLKAYTKGKEIIENDEEIHREIGSDDGGGGEEKVTTVERKQEAKCCKLIYQDNLL >EOY11239 pep chromosome:Theobroma_cacao_20110822:5:38506929:38507439:1 gene:TCM_026479 transcript:EOY11239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLAVIIHTFQAESKRQVDTIKLTGFSDSDWAGSVEDSKSTAGYIFHLGNAAFSWYSGKQDVVAQSTAEAEYIAAAAATNQAIWLRKLLCDMNFNQNEATEVFVDNKSAIAIAKNPVHHGRTKHINVKYHAIREAEKEGEVSIQQCNS >EOY07122 pep chromosome:Theobroma_cacao_20110822:5:514534:518118:1 gene:TCM_021636 transcript:EOY07122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter 2 MAVEQLAYTAHEIAPAVPPWLNKGDNAWQMIASTLVGIQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLICWVLLCYRMAFGDELLPFWGKGAPALGQKYLVDRAKIPESKHRITNGNYEITEPFYPMATLVYFQFTFAAITLILLAGSVLGRMNIKAWMAFVPLWLIFSYTVVAFSLWGGGFLYRWGVIDYSGGYVIHLASGIAGLTAAYWVGPRIKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANIDSSIAVLNTNVAAATSLLVWTSLDVVFFGKPSVIGAVQGMMTGLACITPGAGLVQSWAAIVMGMLSGSIPWVSMMILHKRCSLLQKIDDTLGVFHTHAVAGLLGGLLTGLLAEPDLCKLILPTDTRGAFYGENGGVQFLKQIVAALFVIGWNVASTTIILLVIRLFIPLRMPDNQLEIGDDAVHGEEAYALWGDGEKYDPTRHGWHTSSHSEVTAPSPYVNGARGVTINL >EOY07405 pep chromosome:Theobroma_cacao_20110822:5:1463903:1464166:-1 gene:TCM_021851 transcript:EOY07405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKSIIPSSADPKLLCVWTNILEKNTGLSRLILLSQTEAQVPGSLLQLAVCLDILVLPWVKVKPDSIKRKQGSMTNHFACRVLAAPG >EOY07406 pep chromosome:Theobroma_cacao_20110822:5:1462156:1464715:-1 gene:TCM_021851 transcript:EOY07406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKPPSNTVISSFTRTAAVAVVYVTVLAWFCAEATAHETYQQHETPSRCQSLCPIQVMLHSFLLLTPQLCSSPLLPLIISRPHYYVSCHSHYNDL >EOY09546 pep chromosome:Theobroma_cacao_20110822:5:29760148:29761163:1 gene:TCM_024956 transcript:EOY09546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane and coiled-coil domain-containing protein 1 MATPQFLSSFKYSDSLTVVAISFCTAVVCEAISWLLIYRTNSYKSLKSSIDKAAKKLETMKTDQNPNKLSNKKSKTKKIDRVETSLKESSRDLSLFKFKSGAVVALVLIVVFGFLNSLFEGKVVAKLPFKPIGIVMKMSHRGLQGEDSTDCSMVFLYFLCSISIRTNLQKFLGFSPPRGAAGAGLFPMPDPKTN >EOY09210 pep chromosome:Theobroma_cacao_20110822:5:27158332:27164016:-1 gene:TCM_024615 transcript:EOY09210 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein MVGSIDTLHHSLCSNGSIHNCNGLEEKLDELRRLLGKTDGDPLRIVSVGAGAWGSVFAALLQDSFGQFREKVQIRIWRRPGKTVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLFADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISNYWKERITVPIIISLSKGIEAALEPVPHIITPTQMINRATGVPIDNILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSRDMGDSISGKGMIQGVSAVGAFYELLSQSSLSVLHPEGNKPVAPVELCPILKTLYKILITREKSSQAILQALRDETLNDPRDRIEIAQSHAFYRPSLLGQP >EOY07908 pep chromosome:Theobroma_cacao_20110822:5:3244426:3245170:-1 gene:TCM_022227 transcript:EOY07908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASVDYTISPIVATASRHAKPNSVLALPMLTNLNSKLMPLGNSLFSLSLSKSISNYMREVKTLSDEIAVSGAPMNNDELVIKVLSGLDPEYTELTIAIWARDSLIGLEELYDKLLAQEVFLKHEGTKQESMVIIAQLNQCSNTSYGN >EOY08924 pep chromosome:Theobroma_cacao_20110822:5:23164455:23165664:1 gene:TCM_024200 transcript:EOY08924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSQSKLLITRWIIPHFHGRDLIFNWICMEFSYFSCYRLVDFALCVLFGLSYSVCISLLVIGLCSFQFVGLGWFLRYLICSIIWKNFITECIMLFLLAITVDMRRAFVF >EOY10426 pep chromosome:Theobroma_cacao_20110822:5:34723029:34725815:-1 gene:TCM_025793 transcript:EOY10426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin-1 MESSILESIGQEIIGVMSPVSLCMLLVVLLVYSLSPSNPFSSSSPAPIRTAANLVYLENPSDTAAQKLEGALLNALVFVILIAIVTFVLVLLYYYNFTNFLKNYMRFSAFFVLGTMGGSIFLSIIQHFSIPIDSVTCFLLLFNFTVVGVLSVFSGGMPIVLRQGYMVLLGIIVATWFTKLPEWTTWALLVALALYDLVAVLAPGGPLKLLVELASSRDEELPALVYEARPTVSRNEGNQRSSLGLLVGGVSDSGSVELQAVSNGNARRDGSENGSSSEYAAIQVRSSENVEGERSPLVGHLRERYSSDSNSSEYSTVVCNRESEIVVDEEMSPLVDLLGMDDEREQPRRDGTGDSVIASRGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSVCRRALPALPISITLGVIFYFLTRLLMEPFVVGTATNLMMF >EOY07988 pep chromosome:Theobroma_cacao_20110822:5:3726322:3728881:-1 gene:TCM_022306 transcript:EOY07988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-expansin 3 precursor MASPSKAFSATTLLLLAVIIMLLLPECHADHPVKKTSSIHHVVRRVGNPVVSLKHKGRPPFKPGPWKQGHATFYEGGSGTFGGACGYEDVVKMGYGLNTAALSAALFNNGQKCGACFEIKCVDSSEWCKPGQPSLIVTATDSCPPNYDLPSDNGGWCNPPRAHFDLAKPAFGQIAEYQGGIVPVQYRRVPCKKQGGIRFTVTGNPYFNLVSVWNVGGAGDVISVHVLKGDNKQTWIPLKRNWGQKWETDAKLVGEDLSFRVRTSDGKTSTSWHVAPKNWQFDQTFLGSKNFRGLP >EOY07334 pep chromosome:Theobroma_cacao_20110822:5:1228208:1229577:1 gene:TCM_021793 transcript:EOY07334 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAR1 protein MASFKFPVFLFISSLFLHASLAEIICEDLPKDVCAFSIASSGKRCLLETAVVKDGNVEYQCRTSEVVVERMAEYIESDECVRACGVDRSSIGISSDSLLEPQLTAKLCAPACYQKCPNIVDLYFNLAAGEGVFLPDLCHAQRTNPRRTMFELLSSGAAPGPVSSEPGSLVGEAPAPAPL >EOY08449 pep chromosome:Theobroma_cacao_20110822:5:8533347:8546901:1 gene:TCM_022892 transcript:EOY08449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWSIWPFYIINHDKQLDFKEERETGEMQKGICHSKGAKLAESTGCIAMSIFDGTLKAQPLKTATQAIVINLKLLQLTT >EOY08039 pep chromosome:Theobroma_cacao_20110822:5:4121868:4124065:-1 gene:TCM_022362 transcript:EOY08039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKNKYKNSSRKAHCKVVILSTFKERTVRISTPDLTKEAKEEHRHDTARVAVATRVLTLRLYLCYIWDPTIFQREILQYNIKVKGIVFAIVIFCLSKKDMGSVNPLSSRSSQCLLTRSAKWQLDTSLLAKAENELETQVGIKGSASGGVGHKKPHHAICKPLSRKHCDYAQPRLSQYRKKPWRLYGSGLSCSSGHSLCPTFGKSLQDLCLSGPLMNMPSLMYHLVLVRRCVQLLLFELRVTKHWLDRLLHGLTLPLSFFAKQRITMAKTKP >EOY07976 pep chromosome:Theobroma_cacao_20110822:5:3700159:3702845:1 gene:TCM_022302 transcript:EOY07976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein MDRDWGSKPGSGGAASAQTEAMDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKEAPAQPQPHKRKVSVRKTVKIGRPGYRVTKQYDPETKQRSLLFQIEYPEIEDNTKPRHRVMSSYEQRVQPFDRRYQYLLFAAEPYEIIAFKVPSTEIDKSTPKFFSHWDPDSKMFTLQVYFKTKPLEANKPQPPPPANGTAAPGAPPRPLPPPPHAPPPPPPPPQGLPNPPRAPPPPVPGSLPPPPPPMGNGPRPMPPGGTPMAPPPPPGGSGTMANFTPRPPTIPPPQGFPGQQMQGHPPPPPPNMGQ >EOY07521 pep chromosome:Theobroma_cacao_20110822:5:1837028:1842150:-1 gene:TCM_021933 transcript:EOY07521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVDIDGPTLRKESDKEKDEGNLEKDGAQQTKTVDTGVSTLGKKFDKGKAEKNQESGDARMTKMVDSGDSTSIKESDKDKAEENLESDGAQQITKMVDTDDSTLGKGSDKEKTEENLESDGARQPKVTDTDNSTPQKESDKGKAIENPKSDGAQQPKINETATHGIVIEAEPLAWKPPADQVVIKPAYIEGQRCGLPEGWYVEQRPRTTPKYIGKIDQFYYEPGTRKQFRSLKAVLRHLQVTGQQHEQQAQAGQENNEQSTMQQHEQLAQVGQENDEQTTKEQYELLARASQENDKQVTRQQDKHTSEVSKSSKGKILKNKRGRKKKQAASGYEFDHANPLEKITLVLNTDVENDRCQHGEQFEALKSSKGKISKNKCGRKKKQPASTSASGFEFDYANPPKKVTWTLNTNVENDRWIPSIGETMAPEAVKDLWAETFVSLTKKN >EOY06987 pep chromosome:Theobroma_cacao_20110822:5:111356:115169:1 gene:TCM_021538 transcript:EOY06987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine-ribohydrolase 2 MAAAEAKKIIIDTDPGIDDAMAIFLALRSPEVEVIGLTTIYGNVYTTLATRNALHLLEVAGRTDIPVAEGSHVTITKGTKLRIADFVHGADGLGNQNFPPPKGKPIDMSAAAFLVEQANLYPGKVTVVALGPLTNIALAIQLDPSFTKNVGQIVLLGGAFAVNGNVNPAAEANIFGDPDAADIVFTSGADVLAVGINVTHQVVLTDADRDKLARSIGKFAQYLCKILEVYFSYHHDVYSTKGVYLHDPTAMVAAINPSLLTYMEGAVRVQTNGITRGLTILYNKQKRFAEITEWSDQPTVKVAVTVDAPAVVKLVMERLMDS >EOY10346 pep chromosome:Theobroma_cacao_20110822:5:34289104:34294569:-1 gene:TCM_025720 transcript:EOY10346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear matrix protein 1 MAAKQMEEIQRKLSILNYPRANAPAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQNLQGDAMDRDEETSRIQYLAEIAKFLGITTTIDTEAIQGRGSYEDRTEMLHLIVDLVEASICADNPEWSVDEQVAKDIQLIDAIAEKQALIFSEECKLFPADVQIQSIYPLPDVSELESKLSEQSKILSNLQQKVDDLASKHAYNPDEEYAEVESKLRADLESFLETARSFNMIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALSVGSSETIAGEPSPVTRIISECESALTFLNRDLGILSASIAREQGEQVNS >EOY08132 pep chromosome:Theobroma_cacao_20110822:5:4875053:4876059:-1 gene:TCM_022468 transcript:EOY08132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNHPNSGQTNFESASNLRSQVALNRINKARSGGQIGLNGGFNASKSGFQGEVEGSTPKLERFKIRTVETGQVVKDEEHK >EOY07839 pep chromosome:Theobroma_cacao_20110822:5:2926696:2931977:-1 gene:TCM_022164 transcript:EOY07839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLKLTPFPSLSRATCRTVTEEHDGNYETWCNLQYLEYWINSMGSRITLDSVLLPKWGTSEWKVSLIFLLSVSSELPLLGITEKEGKVSPQASPSPDQQELVCAFWTLA >EOY09246 pep chromosome:Theobroma_cacao_20110822:5:27520265:27523239:1 gene:TCM_024653 transcript:EOY09246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVFDTFGRSLGEKVNHSKIVFYYARNVGNNLLRHLEQRLGFSLTHDLGTYLDIPINHGWRCLKHYQYMVERVQKKLTGWKTNTLFFVGRLTLVQSILLSMSCYVMKALVILQAIYEKIGKKNCMMFFWGSMGLIGKFILLNAHRYIGQKSLVA >EOY11458 pep chromosome:Theobroma_cacao_20110822:5:39328364:39329850:1 gene:TCM_026626 transcript:EOY11458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MACFVSFSISVPLCSLQIPLKDRYPRKSGLCRLPGLPTTKTASYFPPPWSSNKGIFSVSGQHHPTILSKHFDEIVSCSLYVSSCTHMVITGYWVGPDIDDGWGFVEASIDQSS >EOY07316 pep chromosome:Theobroma_cacao_20110822:5:1173436:1179361:-1 gene:TCM_021779 transcript:EOY07316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutral/alkaline non-lysosomal ceramidase MKMVSKDCCCMERPSMVIWFSFLLFLLLQIGGSLSASNCLVGLGSYDITGPAADVNMMGYANIEQIASGIHFRLRARAFIVAEPHGNRVVFVNLDACMASQIVTIKVLERLKARYGELYTEKNVAFSGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEKSIIQAHENLRPSSILINKGELLDAGINRSPSAYLNNPADERSKYKYNVDKEMTLIKFVDEEWGPIGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFEQTGFMTDFRSWPFNNSATDGIPRRVSSLVPNLHDKRNELIELAASFKSSQGQSATRFLSVARRVRNALRRADRPQFVSAFCQSNCGDVSPNTLSAFCRDTGLPCDFNHSTCNGKNEQCYGRGPGYPDEFKSTEIIGKRQFRKAVELFNKATEKLKGKVGYQHAYLDFSNLEVSVPKMGGGSAVVKTCPAALGFAFAAGTTDGPGAFDFTQGDDKGNAFWRLVRNLLKTPNQEQINCQKPKPILLDTGEMKQPYDWAPSILPVQILRIGQLVILSVPAEFTTMAGRRLRDAVKTVLTSGSNRQFDSNVHIVIAGLTNTYSQYVTTFEEYEVQRYEGASTLYGPHTLNAYIQEFKKLATALISGASVEPGPQPPDLLDKQISLLPPVVLDATPPLVNFGDVKDDVPFNTTFKQGDIVSVTFWSACPRNDLMTEGTFALVQYLQDHKTWIPAYDDDDFCLRFKWSRPAKLSPQSYATIEWWIPESVVSGVYRIRHFGASKSLLGSVRHFAGSSSAFVVEKPAEIS >EOY10588 pep chromosome:Theobroma_cacao_20110822:5:35486204:35490989:-1 gene:TCM_025918 transcript:EOY10588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like E1 MAKDDYVPLFETRPVKGRILFRLFAASIFVGICFICVYRVRFLPVEGKVERWTWIGLFLAELWFSLYWFLTTVCRWNSVYRFPYKDRLSQRFEKELPGVDIFVCTADPLIEPPSLVINTVLSVMAYDYPPEKLSIYLSDDGGSDLTFYAMLEAATFSKQWLPFCKKFKVEPRSPEAYFRTAFEPVNDPVKAREWLSVKDMKLRIETTTKLNRIPEDIRKQHKGFREWDFVSSKRDHQTILQILIDGRDPNAVDVEGRPLPTLVYLAREKRPQFHHHFKAGAMNALIRVSSRISNGPIILNVDCDMYSNNSMSIKDSLCFFMDEEKGDEFAYIQYPQNYENLTKNELYSGSFRVAKKLEFPGLDANGGPCYIGSGCFHRREALSGKKYDKGCKVDWKRLNDNMVEESVSVLEETCKVLASCTFEQNTQWGKEMGLKYGCPVEDIITGLSIQCRGWKSIYLNPQREAFLGVAPTALLQMLIQHKRWSEGHLQIFLSRYCSLLYGHKKIPLKLRLAYCPYNLWAANCLATLYYVAVPCLCLLKDISLFPKTSSLWVLPFAYVAFAHRVHSLGEFLWCGGTFQGWYNDQRMWMFKRTTSYFFAFVDTILKLLGYSKAAFVITAKVADDDVSKRYEQELIEFGATSPMFDILATLAMLNLFSSLGAIKKVILEADHSKVLDLFGLQILLCLLLVTINFPVYQALFFRKDNGRMPSSVTYKSIVFASLACALSMY >EOY11442 pep chromosome:Theobroma_cacao_20110822:5:39283249:39284514:1 gene:TCM_026615 transcript:EOY11442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MPHSYFFTISAALLGKMFACLKVKRHEDNQTFFTKNGAALLEELIAFCNGRSNPIRHFSTNELLTATNYYDANQMFLQSGFYKLYKGSLNYRPIFVKKYHNYRIWRGVAAKDIAVGSQMSVHKNVLKVLGCCLETETPIIVYEFAGTESLSTCISATNVEPLPWKCRLKIAVGIANAVAYLHTAFSRPVIHRSIHCSSLVLDQNNVPKLIDFGLCISIPEGQSHVKDAIRGRAEWVSPEYWDRGHLTEKADVYLFGKLLIELLTGQQAVHFMMEHDITDIGKVSAEKLSNSVDSRIKNEGIDQEQLLDFATLSLRCISFEEEKRPTMIEVGKELRQIDQACPLPC >EOY07314 pep chromosome:Theobroma_cacao_20110822:5:1165643:1168942:-1 gene:TCM_021777 transcript:EOY07314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant uncoupling mitochondrial protein 1 MVADQKGKSDISLAGTFASSAFAACFAEICTIPLDTAKVRLQLQKKAVGGDAAALPKYRGLLGTVGTIAREEGLAALWKGVIPGLHRQCLFGGLRIGMYEPVKNFYVGKDHVGDAPLTKKILAALTTGALGITVANPTDLVKVRLQAEGRLPPGVPRRYSGALNAYSTIARQEGVAALWTGLGPNIARNAIINAAELASYDQVKQTILKIPGFTDNVVTHLFAGLGAGFFAVCIGSPVDVVKSRMMGDSTYKNTLDCFIKTFKSDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQAKKFVRNLESS >EOY08209 pep chromosome:Theobroma_cacao_20110822:5:5636084:5637314:1 gene:TCM_022552 transcript:EOY08209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRMVQRMDVRVKRASVVYLGMKKGRLRKWMLHIEVLKKRVVDWKIRHMLREGNREADLLANEGVGREVDLIEFYHHM >EOY07545 pep chromosome:Theobroma_cacao_20110822:5:1924484:1925614:-1 gene:TCM_021952 transcript:EOY07545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein, putative MAVFCKGDEVEVCSKEEGFLGSYYEATVISRLKDSHYKVQYKTLVEEEDQSRPLVEIVTADEVRPVPPKTIGEVTWFFFYLQRVDAFDNDGWWVGRITGRQGSRYLVYFPTTGDEILYPVSQLRNNLEWCDGNWVSSK >EOY10943 pep chromosome:Theobroma_cacao_20110822:5:37153466:37154081:-1 gene:TCM_026211 transcript:EOY10943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLAPMIFRPIISSISFRKGSYDLNQARHLLIKVLLFTSRLLLARRLYCHRHRHLHHQDHCAITTVAATYVNLALLMLLIRKTCLFAR >EOY07046 pep chromosome:Theobroma_cacao_20110822:5:286981:293465:1 gene:TCM_046793 transcript:EOY07046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron regulated 1 protein MERQLLLAQELRPPSSLLKNLYAAHFLARWGARMWEFSVGLYMISVWPDSLLLAAIYGAVESASTALFGSVIGRWVDRLTYVKVLKLWLVTQNLSLIIAGCAVMALLVFSSLKVTNLVAFISLVILTNISGAVGVLSTLAGTILIEREWVVVISEGHPPGLLTEMNSVIRRIDLTCKLVAPVITGFIISFVSLKASAMTLALWTTITVWVEYWLFMSVYNGIPALGESSLRRISEVSPSDVAEESTSASQEIPDSLSNNGENSAAAGKNSGSKFIEWVAKVPYIDAWRVYLQQDVVLPGVALALLYFTVLSFGTLMTAALEWEGIPAFVIGIARGISASIGIAATVVYPMLQSRILTLRTGLWSVWSQWNCLLLCVASIWVKNSHLSAYMLMGGVATSRLGLWMFDLSVIQQMQDLVPESNRCIVGGVQSSLQSTLDFMGYVMGILISNPRDFWKLSMMSFCAVTLAAFLYTFHLYRIRKHLFHLEKLLSLVQ >EOY11018 pep chromosome:Theobroma_cacao_20110822:5:37480906:37485374:1 gene:TCM_026283 transcript:EOY11018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MTNSRQLLLHQTKLNGFHGRIFAGNMTKSTTLVVTGNETDQRALLEFKRKIIEDNFGFMHSWNNTVHFCQWYGVKCSRRHERVTMLDLGSLKLVGSISPSIGNLSFLRVLNLRNNSFNQAIPQEIGRLRRLRELMLQNNHLRGAIPSNLSSCTRLAAINFSSNLLTGEIPGALGLLSNLIRFSLGENDLRGGIPPSLGNLSSLQYIYLHSNRLSGVMPEALGRLKNLVALAVRENEISGVIPASIFNLSNIKRLYIATNQIQGRLPPDLGITMPQIEILAVEDNQFIGSFPDSISNASNLVHLTAGENKLSGPLPSFEKLDKLSRFIIMGNLLGSMTATDLNFLCTLNNASRLELLEIGENNFGGELPDCIGNLSRNLKVLNIQRCRIWGRIPSGIANLINLEVLAASYNQLSGSIPLGIGRLQKLSIVFAAGNSLSGAIPPNFGNLTMLTKLGLSDNNLQGNIPSSIGKCEILVGLSLAKNNLSGSIPPEVIGLSSLSIVLNLSSNSLTGVLPVEVENMKNLGELSVSQNRLSGVLPDSLGSCVRLERLLLDGNFFEGPIPSSLSSLRGLEALDISDNNLSGEIPKFLVSLESLQYLNLSFNDFEGMVPIEGVFKNASATFVEGNNKLCGGTLELHLPSCNLKTSNRRWNNSLKLKIVVVFAVLGVILVSTFLLILWFRPKKEKSTATTFAENSLLNLSYQSLLKATEGFSSMNLVGSGSFGSVYKGILEDSGVVVAVKVLNLICRGASRSFMAECEVLKNIRHRNLVKVLTAVSGIDYQGNDFKALIYEFMQNGSLEDWLHPSVGMNEANEVARNLNLLQRLNVAIDVGCALEYLHHYCETPIVHCDLKPSNILLDDEMVSHVGDFGLAKFIISDMQNNTSSLSSSLGLRGTFGYAPPEYGLGSVVTSYGDVYSYGILLLEMFTGKKPTDEMFKENLNLHNFVRTALPDQVAEITDPILLQESFRGERMTSNTRNQSNQRDNRLLQCLNSILEIGVACSIDLPTERMDMTHVVAELCSIRDKLLPTRSLRSTAAGTR >EOY07398 pep chromosome:Theobroma_cacao_20110822:5:1441220:1446133:-1 gene:TCM_021847 transcript:EOY07398 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MEILLIFKKKKKATGVVDLMVFEELYSSHYALHIGVAFIQQWQKFLSPGDAVAMRSQSYSSLSSTLFLIVISVCFSLASSRNPNILPRGSSLSVEHDSDLLISADNTFTCGFCSVGENAYCFSIWFTNSKEKTVAWMANRDKPVNGKGSRVSLQQDGAFVLKDVDGSTTWETNTSSTDVQKAELLDNGNLVLMDSSGKILWQSFDFPTDTLLPQQLFTKSKKLISKLRGGNYATGYFHFLFDNDNVLRLMYDGPDVSSVYWPNIDTNVFTNGRTNFNSTRIAILDDMGRFFSSDWMQFNASDSGSGIKRRLTMDHDGNLRLYSLNNGTGLWTVSWKAVMQQCLVHGLCGRNGMCIYAPEPKCSCPPGYEMDDPSNWNKGCKPRFTRSCSHSQQVRFVKIQHADFYGFDSSSLTNISLESCQTKCLEDCRCQAFGYKLSGDAKCYIKIELFNGHQSASFPSDIYLKLPLSIETSEPIVLNDTNRICNSSESTITIGASSSMYGAGGKRVRWVYLYSFASVIGAIEMLFIMSSWWLLFRRRDVHAVVEEGYRVISSQFMKFTYIELKKATKNFREELGQGASGAVFKGVLEDERVVAVKKLENAYQMEEVFRAEVSTIGKINHMNLVRMWGFCSESRHRLLVYEYVENKSLDKLLFAENILGWKERFKIALGSAKGLAYLHHECLEWVIHCDVKPENILLDGDFEPKISDFGLAKLSQRGRKNSEFSRIRGTKGYMAPEWALNLPITAKVDVYGYGVVILELVKGIRLSNWVEEDGEGQETELTRFVRVIKGKGQGEETAWIEDAVDTRLNGQFSRIQAAKMIEIGISCVEEDRNKRPTMDSIVQALMECEDVSRI >EOY09968 pep chromosome:Theobroma_cacao_20110822:5:32012252:32014622:1 gene:TCM_025340 transcript:EOY09968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like family protein, putative VRFVTNELFFFFSFLPLCSLVMGSSSFLSFFLFFLFAPITRFKQRPSLLSRELWSILSFWSTLVSLNQGKVTFPSIQEEITFPLTIAI >EOY07779 pep chromosome:Theobroma_cacao_20110822:5:2657033:2663608:1 gene:TCM_022107 transcript:EOY07779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine biosynthesis 4 MAGVREITAAELLHGTTSQTLFLQRNLSIKRGNLLWGKLCNPSRMGYMFNTKGVSLRCSAQSKPRATASGNVRTSLVDEQPGLIEKPAQEVIHFYRVPLIQESANDELLKLVQTKVSNQIVGLKTEQCFNIGLDSNISSEKLSTLKWILGETYEPENLATESLLEKKRQKGVNAVIVEVGPRLSFTTAWSSNAVSICQSCGLTEVTRMERSRRYLLYSKGVLQEHQINEFAAMVHDRMTECVYSQKLTSFETSVVPEEVRFVPVIEKGRKALEEINQKMGLAFDEQDLQYYTRLFMEDIKRNPTNVELFDIAQSNSEHSRHWFFTGKIVIDGQPMDRTLMQIVKSTLKANPNNSVIGFKDNSSAIKGFLAYRLRPVKPGTACPLNETTREIDVLFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVIAATAGYTTGNLNLEGSYAPWEDPSFTYPSNLASPLEILIEASNGASDYGNKFGEPLIQGFTRTFGMRLPSGERREWLKPIMFSAGIGQIDHTHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACVEMGEDNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRAIVVGDHTMSVLEIWGAEYQEQDAILVKPESRNLLESICARERLSMAVIGTINGEGRVVLVDSLANEKCRASGLPPPPPAVDLELEKVLGDMPQKSFEFKRVAYAREPLDIAPGVTVMDSLKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLSDVAVIAQSYVDFTGGACAIGEQPIKGLLDPRAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLEGEGAAMYDAAIALSEAMIELGIAIDGGKDSLSMAAHAGGEVVKAPGNLVISAYVTCPDITKTVTPDLKLGEDGVLLHIDLAKGKRRLGGSALAQVFDQIGNECPDLDDVSYLKRVFEGVQDLLGDGMISAGHDISDGGLLVCALEMAFAGNCGIVLDLASQGKSVFQSLFAEELGLILEVSKNNLDSVVRKLSSMDVSAELIGQVTTLPMIELKVDGITHLNEKTSLLRDMWEDTSFQLEKLQRLASCVELEKEGLKFRHEPSWALSFTPSFTDEKYMTATLKPKVAIIREEGSNGDREMSAAFYAAGFEPWDVTMSDLLNGAISLHDFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLLNQFQEFYKRPDTFSLGVCNGCQLMALLGWVPGPQVGGVFGAGGDPSQPRFVHNESGRFECRFTSVTIKDSPAMMFKGMEGSTLGVWAAHGEGRAYFPDDGVLDRVLHSDLAPLRYCDDDGNPTEAYPFNLNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQYPWYPKDWNVDKKGPSPWLRMFQNAREWCS >EOY11684 pep chromosome:Theobroma_cacao_20110822:5:40060720:40062449:1 gene:TCM_026785 transcript:EOY11684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRTPSHVLTYLISPPRRIPTTSAGYCRKSCGFLLKFSFQTVQPQKRKKKQSMETKATDSNAVIRPQTTSSTTEKLKLLSSGHPKNHHLGTNIEEETNCGHRRIDDRNDTSSEATRRNADVAHLPAIFISVSVSFFSMYWTEL >EOY07332 pep chromosome:Theobroma_cacao_20110822:5:1222076:1224290:-1 gene:TCM_021791 transcript:EOY07332 gene_biotype:protein_coding transcript_biotype:protein_coding description:High cyclic electron flow 1, putative MVQEISTSSWKFLFSQSHGSISTLLCLNLHHANHVQTFVHRISTGVQCKAVELPLPAASETQVQNKKIASLLHRSSIINLTGGQATINIQGEDQKKLDVISNELFCNCLRSSGRTGIIASEEEDVPVAVEETYSGNYIVVFDPMYGSANIDTALTTASIFGIYGPDEQCLIDLDDSSTHTA >EOY09789 pep chromosome:Theobroma_cacao_20110822:5:31069388:31070101:-1 gene:TCM_025171 transcript:EOY09789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPGCCSYATMIKGFLENNGVSRAAEILHEMVHKGFSTDVSTAATVVDLLISDLTGESFCALVQKR >EOY11778 pep chromosome:Theobroma_cacao_20110822:5:40293850:40295174:-1 gene:TCM_026849 transcript:EOY11778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGGRLVDVKVERAWRWSSDFGKLMGWVEGEDKHWKDFFNVSVKRNKERKRCLSSLLHPSHPFPTFPPSPQVL >EOY07255 pep chromosome:Theobroma_cacao_20110822:5:935203:936476:-1 gene:TCM_021720 transcript:EOY07255 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-TU receptor, putative MKLTCLFFQGILLLWMSSCSESAMIPAFANESDRLALLDFKNRVTQDPLHVMDSWNNSVHFCSWVGVTCSPSNGRVVILNLKGQKLVGSIPPSIGNLTFLTRINLVNNNFRGEIPQEIGRLLRLQHLNLSYNSFGGQIPTNLTQCTELAIIHIGFNGLIGQIPD >EOY07218 pep chromosome:Theobroma_cacao_20110822:5:862174:868303:1 gene:TCM_021702 transcript:EOY07218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Envelope ADP,ATP carrier protein MGIKLGGGENDYSPISVESGGPNISTSVGPLRSLLPSLSATKPPLALSLLCPSSTLQIPNPSQSTMREEKPIFRLQSIPGLTPFETARNEPFLGKAWLAYGFKDGSGSARNFACLSMAEKREQRRGSAPTPGQLLNHPLAALAYVPKDVAIFAAGAIAGAAAKTVTAPLDRIKLLMQTHGVRAGQESAKKAIGFIEAIVLIGKDEGIKGYWKGNLPQVIRVVPYSAVQLFAYETYKKLFKGKDGELSVIGRLAAGACAGMTSTFITYPLDVLRLRLAVEPGCRTMSEVALTMLQEEGFASFYYGLGPSLLGIAPYIAVNFCIFDLVKKALPENYRQKTQASLLTAVVSAACATLTCYPLDTVRRQMQMRGTPYKSVLEAIPGIVECDGVIGLYRGFVPNALKNLPNSSIRLTTFDIVKRLIAASEKEFQKIVGENSHKEKQETNAEQS >EOY09239 pep chromosome:Theobroma_cacao_20110822:5:27400050:27402215:1 gene:TCM_024640 transcript:EOY09239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFPSPLERTVASALLLLSTPPSKLMISCCDGERRGKIMSEERLSLMSSSESKSCTSSLTTDQLSSRRQKLRIIAAVARCHEIKLKVVKKRRSKIYRSSNNRKIPSFKSLISSNMATEMSCLSSGSSGISSARIQLKTTKAEKMQAAKQRKRRSGGSTHMRRRAEAILKLLSGGCFSEVNIRRVLGDSPDTSKALRMLLKQEEVKRSGTGGQKDPYIYTVINFDATFNCLFFIRFELRNNISIVPS >EOY09346 pep chromosome:Theobroma_cacao_20110822:5:28433417:28436585:-1 gene:TCM_024770 transcript:EOY09346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELKYFSHKHPLMLIQKQMHAPTADSTSGGEEEEAAYCFLCEDRVEGPSYCCNDCQFYLHNTCAEFELHPEINHPFHPKHPLILRPKPPHRVGIYLYWFCHTGRRGFGYHCASCNFSLDVNCALFQLSMIGNFPKLERLIRKHPLIFIEKHNRKDRGDCIGCKEPLSFPIYRCLDCYFHLHKECAELPLEITHTYHRKHPLTLLADPSTHPGKCSCYLCKLTFKGFVYHCSICKLGIRVADAFPLRMVTVTSHEHPFTLRQRSNMPTFSGEVQVVNNCDGCMRPISAPFYRCEQGEFFLHMNCAELPRQKQHLSRTHLLTLTNDELAYCWACHRHHQGFSYKCDKKYCDFSVDITCSLLSDTLWHPSHEHLLFLDHKYSGDCSACQSPISYSYRLGAYRCKHCKFVLDFNCITLPNTAWYKYDKHHLTLRYHDNSDPSQHFYDICEEKRDPNHWFTTVQIVITLFILNVFLGISHLASLVAHTDTVIIHTPSLLSKGFGTVLGVVYAVSFAMDRPLNVKNLDATLWSIGNAAGL >EOY11586 pep chromosome:Theobroma_cacao_20110822:5:39777100:39781802:-1 gene:TCM_026725 transcript:EOY11586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein MSQAEVSRMKPPLVPLATLIGRELRNEKVEKPFVKFGQAALAKKGEDYFLVKPDCQRVPGNPATTFSVFAIFDGHNGISAAIFAKENLLSNVLSAIPQGISREEWLQALPRALVAGFVKTDIEFQQRGETSGTTVTFVVIDGWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENAEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSAVAAKSCRGLPADLAAKLVVKEALRSRGLKDDTTCLVVDIIPCDHPVLPPTPRKKQNVFSSLLFGKRSQNSTNKTTNKLSVVGVVEELFEEGSAMLAERLGKDFPMNTNSGIFKCAVCQVDQPPSEGLTVNSGPFFSPASKPWEGPFLCTNCRKKKDAMEGKRPSRPTVTT >EOY08984 pep chromosome:Theobroma_cacao_20110822:5:24521642:24525786:-1 gene:TCM_024321 transcript:EOY08984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYDRISNLELEIQWLLEEMQQDIFSEQTWNTIKGLRKDLWQLLKASKRVWHQKSHIKWYLKGDRNMCFFHNVALARRRANFISKISHQGRDLECPSEIKDRNVNHFEGLYGFKPICQLKELNCGLSKLEGHTVVVLEIPFIESEIWEVINSCDGIKASEPDGFNFCFVKRQWSTIKENVMNFVIEFETIGSMAKGVNASFITLIPKCEIPSSLNDYRSINLVGCLYKIISKALALRLRKEIDEVVGINQFAFI >EOY10142 pep chromosome:Theobroma_cacao_20110822:5:33175925:33178469:1 gene:TCM_025517 transcript:EOY10142 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MASPDNARAVCVMDASGHLGSALVHLLLHRGYTVHAAVQHHGEFQSFGGLPCSNEKLKVFHADLFDYHSITNALEGCCGLFYCFEPPSDHSTYDEFMAEVEVRAAHNVLEACARTDTVEKVVFTSSVTAVIWNEVRNTSSTDLDERNWSDINFCKKFKLWHALSKTLAEKSAWALAMDRGINMVTINAGLLMTPDLTITNPYLKGAAEMYEDGVFVTVDLRFIADAHISVFEDISAYGRYLCFNHVINRSHDADKIAHMLLPSSHSSPPQSSNDKIIYQQRLSNKKLNNMMMEYENEQLVTN >EOY07293 pep chromosome:Theobroma_cacao_20110822:5:1088656:1090014:1 gene:TCM_021762 transcript:EOY07293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIPIKQFPYLYLCSTIETFHPIVFKLAFISIRVCEFMYVFLFASIFLFKTVIVQIISPHPQILHVHTITLLDYLSLSNQSFYLVYLFVPNMFISLTTTFFFFC >EOY08733 pep chromosome:Theobroma_cacao_20110822:5:19127176:19127746:1 gene:TCM_023842 transcript:EOY08733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRTRAKIKNICEYVTFISQIEPKNIDEALDDDYWMLAMQEELNRFERCQVWQLVPMPNDYQIVGTN >EOY11045 pep chromosome:Theobroma_cacao_20110822:5:37679695:37681510:1 gene:TCM_026318 transcript:EOY11045 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 (Prenylated rab acceptor) family protein, putative MPPTTTTYGTITSNPTSTSSRAKHTRRPWPELFSFSSLSLPSSSTDAKARIKLNLSYFRVNYVIIMLTILFLTLLWHPVSMIIFLITFIFWWFFYLFNDNPVVVFNKTVDDNVVLGGLSFATVVLLVLTHVGVNVLVGLIIGVVVVGVHAGFRGTEDLGFGGEEEEENGLLSVVGSQPLRPTSGYNRI >EOY10966 pep chromosome:Theobroma_cacao_20110822:5:37263387:37269752:-1 gene:TCM_026236 transcript:EOY10966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein, putative MHFPGARYAVILLLGVGFSQFHPSPSWRVAGIGCPFFIGVEGHAEGVGSRLSWGSLLKVVHAFSLLRLLAFRAMQPRLATAISYCDGKSNVLCIESERQALLKFKHDLIDHSNRLSSWVEGDYEDCCKWVGVLCDNRTGHVNQLHLGFLIPDEHAPDAVWEAYGRSKLGRKINPSLLDLKHLSFLDLSNNYFDGMQIPEFIGSLKRLTYLNLSGASFGGAIPHKLGNLSKLHYLDLGHNFLLEAKTLQWVSSLPSLRYLDLSRVNLSKATDWLQVTNKLPSLVELHLSGCIDLNNDPSPVSVNYTSLAVLDLSSDMLSSIPTWIFSLPSLVSIDLSGNSIGTVIPNGFRNMSSLKFLDLSYSLSSPSIPSWLSNLNHLQFLGLSSIGLQGNIWSAIGNLSSVTHLDLSDNMLEGIILKFLESLCNLREIDLSWNEIDHDVSEIIQSLSRSLEVLDVSDNQLTAPIPMRGLSSLKYLDVCNNRINESLPQSVGQLGNLEILDVSNNMLEGNVSEMHFSNLTRLRFLKASNNMLTFKPKPSWIPPFSCKVIELGHWHLGPQFPQWLQFQKNLYVLDISHAGISGIIPTWFSTFSTYSESINLSHNQLVSGISYLPDSLLLDLSSNQLSGEIPDCWENRITSILNLANNNLTGIIPRSFGSMPSLESLNLRSNNLFGKIPSALQDFAKLMILDLSENQFNGSIPAWMGQKLSQLVVLNLRSNNFHGNIPDQICALHSLQILDPGADRAIPKCLSNLSAMATKNKTQFPVTSDGMTTIIWDARVVMKGREDDYSTTLGLVTSIDLSANNLTGEISKELGNLMGLRSLNLS >EOY08512 pep chromosome:Theobroma_cacao_20110822:5:9838652:9845741:-1 gene:TCM_023016 transcript:EOY08512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPPRRGRPPLTRSVGRGRGRSQRHQPDTVEEESAASTIRATPAAEQADSPPHPPSPQPPTGIPSMPTEAAQALAAFFAAIVGQAQTGQVPPVVPPTTPLVPPPIQDVSISKKLKEARQLGCVSFTGELDATVAKDWINQVSETLSDMGLDDDMKLMVATRLLEKRARTWWNSVKSRSATPQTWSDFLREFDGQYFTYFHQKEKKREFLSLKQGNLTVEEYETRFNELMLYVPDLVKSEQDQASYFEEGLRNEIRERMTVIGREPHKEVVQMALRAEKLATENRRIRTKFAKRRNLGMSSSQPVKRGKDSATSGSTTSISVTSPRPPFPPSQQRPSRFSRSAMTGSGKSLGGFDRCRNCGNYHSGLCRGPTRCFQCGQTGHIRSNCPQLGRATVAASSPPTRTDI >EOY11497 pep chromosome:Theobroma_cacao_20110822:5:39449674:39450288:1 gene:TCM_026650 transcript:EOY11497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid alkalinization factor 1, putative MAVNCKLLVICAMIITAVVAATTRTVDASGEHERILGWIPTGSPCKGSIAECLAGEEFQLDSEISRRILATAQYISYGALQRNTVPCSRRGASYYNCQPGAQANPYSRGCNRITRCRS >EOY08063 pep chromosome:Theobroma_cacao_20110822:5:4192891:4199188:1 gene:TCM_022377 transcript:EOY08063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MSTVIHPQNHPLRRHHFPPKPPPPPPHPSLSLPKPKSHHRHLLLSSTTSLPRHTLSKPLIFNDINSPLSLLHLSVQHSDADLAKAVHACSLKSQEDTHLGNSLVLAYLKLGLLNHSFKVFTFLSCPSVVTYSSLISGFAKSSQGNEAIKLFMKMRNEGIMPNEFTFVAILTACIRVLELELGFQVHGLVIKMGFLDRVFVANALMGLYGKFNGALGFVYKMFDEMPHRDVASWNTVISSLVKQGMYEKAFELSGVMQGIGSFRADFFTISTVLSACEGSNALMKGKEVHAHAIRIGLVGNLSVNNALIGFYSKCGSVGDVVALFESMPVRDVITWTEMISAYMEFGLVDFAVEVFDKMPEKNCVSYNALMAGFCRNGEGLKAVKLFIEMVEEGLELTDFSLSSVINACALVMDAKTSEQIHGFCVKFGFRSNACVEAALLDMCMRCGRMADAEKMFCMWPSELDSSVVCTSMVCGYARNGQPDNAISFFLRRRLEGTMDMDDVTLTSVLGVCGTLGFEEMGEQIHCHALKIGFVSDLVVLNSVISMYAKCGNMNGAIKVFNNMPIRDVVSWNALIAGHILHRQGEEALAVWSMMEEADIKADTITLILVILAYRHTNSDLVDNCRKLFLSMKTNYNIEPTPQHYASFVSVLGRWSLLEEAEKMIDKMTAEPKASAWRALLDSCRIHLNTTIGKRVAKHILAMKPRDPPTYILVSNLYSASGRWHCSDTIREDMREKGFRKHPARSWIIHQNKVHSFYARDKSHPQTKDIYSGLEILVLECVKAGYVPDTSFVLHEVEEHQKKDFLLYHSAKLATTYGLLMSRPGEPIRIVKNILLCGDCHTFLKFVSVVTRREIFLRDASGFHCFRSGQCSCKNYW >EOY07264 pep chromosome:Theobroma_cacao_20110822:5:961175:964773:-1 gene:TCM_021727 transcript:EOY07264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 1 MLPPKQAEEAIVSNFSETEHETREEEKEDEQHSMFSVKSFLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQVFYGLLGSWTAYLISVLYIEYRSRKEKENVSFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIASLVHGQVDGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSASAVYWAFGDQLLNHSNAFSLLPKNRFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTRSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRKASARQNAAEKPPFFMPSWTAMYVFNAFVVVWVLVVGFGFGGWASMTNFIRQIDTFGLFAKCYQCKPPTPVPGAAAQHH >EOY07265 pep chromosome:Theobroma_cacao_20110822:5:961356:965540:-1 gene:TCM_021727 transcript:EOY07265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 1 MLPPKQAEEAIVSNFSETEHETREEEKEDEQHSMFSVKSFLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQVFYGLLGSWTAYLISVLYIEYRSRKEKENVSFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIASLVHGQVDGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSASAVYWAFGDQLLNHSNAFSLLPKNRFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTRSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRKASARQNAAEKPPFFMPSWTAMYVFNAFVVVWVLVVGFGFGGWASMTNFIRQIDTFGLFAKCYQCKPPTPVPGAAAQHH >EOY08383 pep chromosome:Theobroma_cacao_20110822:5:7212093:7213662:1 gene:TCM_022754 transcript:EOY08383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short chain alcohol dehydrogenase MTSPGISALASPGGRLQGKVAVITGGASGIGASTARLFHENGAKVVIADIQDSLGQALADELGENASYIHCDVSNEDDISNLVDTAIVKHGKLDIMYNNAGVLDRPFSSILETTKWDLDLVVGVNLAGAFLGAKHAARVMIPQRKGCILFTASATTAIAGMASYAYTASKYGIVGLAKNLAAELGQYGIRVNCVSPFIVATPMSAPTEGDIPKVEAISSATGNLKGELLKAEGVAQAVLFLASDDANYASGLNVVLDGGFSIVNPTMMKAFKLVP >EOY09516 pep chromosome:Theobroma_cacao_20110822:5:29628508:29630915:-1 gene:TCM_024932 transcript:EOY09516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRIRKRQVPLPFSSISPVPLPSDPNFNRPPVVQLPLQQQQPPLPTPRFDLRPSSDRPNHPIGQLQTQGRDSSAFPAGTTTHGEDNNNNKKPKKKEDYLVLKQGGGGEEEERGGEGEKSNDTREKSVLGAELGSGFPPQSSSSHQALGRWGEGEKAFPLKKRRGSFERRGNYDDDTIMEKDNNKKVTNINKMKTKMNKKFVQQQNDNHEQEEKEIKDSTASVDSNPSSAKKRGRGGALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRSRSLAGSNAAKKEEQQPNNDHHQPLSNSSPSQQLQAKQTKCELLGESGENGNEQEEDQKPLMMTKKKVKLGMVKARSISSLLGQNDNAIAVADDNN >EOY09703 pep chromosome:Theobroma_cacao_20110822:5:30639114:30648843:-1 gene:TCM_025096 transcript:EOY09703 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MMLTVFFISLLLFLLLSALLFKFAISDGDFTLTSKRHVKREEIEDKVVWITGASRGIGEILAKQLASLGAKVILSARNEAELERVRKQLTGKYAPEQVKILPLDLTSGEDSLKEAVEKAESSFPGVGVDYMIHNAAYERPKTTALDVTEEGLKATFNVNMSSAAGKTPAPGQAVYSASKYALNGYFHTLRSELCQKGIKVTVVCPGPIETSNGSGATTSRTKFSSEKRLSAERCAELTIIAASHSLKEVWISYQPVLAVMYLVQYMPTIGFWLMDKVGGRRVEAAAEKGNTYSLSLLFGKKKAA >EOY08507 pep chromosome:Theobroma_cacao_20110822:5:9448830:9454746:-1 gene:TCM_022984 transcript:EOY08507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit A-10, putative MAMQTLYLKEHDGIVHNPMGQLASVPTLPWWSALGSQSVCGESCGQFKTLLMEHPSSGDQLTSTKQAGRATEQQLNKANPTQFTIFPGDCKNSGDGHKPQAVISLQSAPSEHHARFELGFRQPMVCAKYPYMDQCYGVFSTYGPQISGRVMLPLNVATEDGPIYVNAKQYNGIIRRRQSRAKAVLENKVTKARKPYMHYSRHLHAMRRPRGCGGRFLNTKCSNSGKDGIKMNKAAEGKLWHYAVSQNSEVLQSDSGTLNSSKEANGGGSTLSGSEVTSVYSRGDLEHFPINHLGLSVHTLPRMVDNGRGTVMPSKWVATGDNCCNLKV >EOY11294 pep chromosome:Theobroma_cacao_20110822:5:38854615:38855327:-1 gene:TCM_026525 transcript:EOY11294 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MILNFDDEHKSKSKVTLSRFLNFIDGIWSACDGERIIVFTTNHVNKLDPALIRMRRMDMHIELSYCTLGGFKVLAKNYLKLDSHPLFEKIGNLFKVVNMTPDVSEHLLHGRVGRDPKACLESLIEALETVKEEKIEEEDIKTLETAEE >EOY08231 pep chromosome:Theobroma_cacao_20110822:5:5810318:5811304:1 gene:TCM_022576 transcript:EOY08231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNANPNTSKSFLLKIFLRTLPSYWWSSDVSFHLGSLPMKLTFASFLGKYIILIYLKLETLEFRVKTLPLY >EOY10781 pep chromosome:Theobroma_cacao_20110822:5:36469141:36471768:1 gene:TCM_026089 transcript:EOY10781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTIHFQFNIGKTKVVAFAGLSNQNARVMTRKNCRLWLTPTNQSGCSHKGLPVGQECGYLSSVPVLVRLKTNLRAKSKPRSLLLVLNNVAINFLHESHIVLLTVIQ >EOY07064 pep chromosome:Theobroma_cacao_20110822:5:365697:366880:1 gene:TCM_021593 transcript:EOY07064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated RAB acceptor 1.B4 MSSSAPPVLPISTTQSAESQPPVATPAFRVFISHLNDSLRNGFSQRRSWSELVDRSAFSKPESFSEAALRVRKNYSYFRVNYLSVIGLILAFSLLSHPFSLLLLLGLLCSWIFLYLFRPADQPLVLFGRTFSDRETLGILIIFSVFVVFLTTVGSLLISALMVGFGLVCAHGAFRVPEDLFLDEQEPAATGFLSFLGGAASNAAAAAAPAVAARV >EOY08941 pep chromosome:Theobroma_cacao_20110822:5:23659216:23675459:1 gene:TCM_024235 transcript:EOY08941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVYREEIKCAESIFSFLLISFCILSLKNQFFVSKVSVLHKSLNFCLSRTNFFFSQNRFFTIDPYLRTNFFFSRIDSSCVVDFAYASLYIEQHRGSDFSILTTSLLMEYFHFDKNFNHLFIRTWQNGNFKKLEEESMISLERPFIKEKVWETIQTCHGNKALGLEGYNLNFFKSQWQVVKEQVMKFMNEFYNIEKLGKRLNSSFVFLIPKISNQTIVNEFKHQLVKDVVQDCGQATCKLFNTCNQGGYSLMGIGVDMSVVGRWMKGKDNFMQNGIITLCLPRATIRSIPNIPYVPFSNTSQCRKRILKGSKGEKAYSKSASKRWILLGEEL >EOY11154 pep chromosome:Theobroma_cacao_20110822:5:38160800:38168645:-1 gene:TCM_026417 transcript:EOY11154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MKKREKMKHIFKKLHIGSSHEPNRTAINDTSPSPSASCATDHQQTMSGNSPGSPSTTSMSSSPVTTTTVPVSTSMGLSTQTPTLAANNRASDYMLTEEEFQVQLALAISASNSEDPEKDQIRAATLLSLGSHHRMDLGLGRDKDDVAAEVLARQYWEYNVLDYEERVVDGFYDVYGLSTDSGIQGKMPSLADLETNLGNSGFEVVIVNRTVDPALEELLQIVHCIALDCPATNVGILVQRLAELVTGHMGGPVKDANVILARWTERSTELRTSRHTSVLPIGSINIGLSRHRALLFKVLADSIRLPCRLVKGSHYTGVEDDAVNIIKLEDEREFLVDLMAAPGTLIPADILSVKDTTFKPYNPIISNISTLQSSDDGVYSRAKPLNGEGSSQNPAINNSLPLDWGSTSGNAESLPSLSGASGDSGVGSSGLSNRVTPNQLDHLPSTAIGTSVYKGSRGTNVVGDGMRKNVNVVPYGQSSQEDPKNLFADLNPFQIKGTGKSSLQNKPTETKADEFQRQRNNVVVGRPPVPLMWKNRPAYNEVPQKKDYNYMEGLFPKINREPNDFNQSSSASTSSTKPEKVYPHGFNSPGDFDISNRDNKIRSSSSGTGSSLASTTSQFNSSPLAEDAGTEFKEENLRNGQDLQNNTGNLANEQDNEIGFHDHRKYTQERYTGNNLKLKDRENLSSSVDSSIGRVDQLFDDADVGECEIPWEDLDIGERIGLVGSYGEVYHADWNGTEVAVKKFLDQDFSGAALAEFKREVCE >EOY11153 pep chromosome:Theobroma_cacao_20110822:5:38160797:38169802:-1 gene:TCM_026417 transcript:EOY11153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MKKREKMKHIFKKLHIGSSHEPNRTAINDTSPSPSASCATDHQQTMSGNSPGSPSTTSMSSSPVTTTTVPVSTSMGLSTQTPTLAANNRASDYMLTEEEFQVQLALAISASNSEDPEKDQIRAATLLSLGSHHRMDLGLGRDKDDVAAEVLARQYWEYNVLDYEERVVDGFYDVYGLSTDSGIQGKMPSLADLETNLGNSGFEVVIVNRTVDPALEELLQIVHCIALDCPATNVGILVQRLAELVTGHMGGPVKDANVILARWTERSTELRTSRHTSVLPIGSINIGLSRHRALLFKVLADSIRLPCRLVKGSHYTGVEDDAVNIIKLEDEREFLVDLMAAPGTLIPADILSVKDTTFKPYNPIISNISTLQSSDDGVYSRAKPLNGEGSSQNPAINNSLPLDWGSTSGNAESLPSLSGASGDSGVGSSGLSNRVTPNQLDHLPSTAIGTSVYKGSRGTNVVGDGMRKNVNVVPYGQSSQEDPKNLFADLNPFQIKGTGKSSLQNKPTETKADEFQRQRNNVVVGRPPVPLMWKNRPAYNEVPQKKDYNYMEGLFPKINREPNDFNQSSSASTSSTKPEKVYPHGFNSPGDFDISNRDNKIRSSSSGTGSSLASTTSQFNSSPLAEDAGTEFKEENLRNGQDLQNNTGNLANEQDNEIGFHDHRKYTQERYTGNNLKLKDRENLSSSVDSSIGRVDQLFDDADVGECEIPWEDLDIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDFSGAALAEFKREVRIMRRLRHPNVVLFMGAVTRPPSLSIITEFLPRGSLYKILNRPQCQIDEKRRIKMALDVARGMNCLHTSIPTIVHRDLKSPNLLVDKNWTVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLRLPWSGMNPMQVVGAVGFQNRRLDIPKEVDPLVARIIWECWQTDPNLRPSFAQLAVALKPLQRLVIPSHQDQSSSPLPQEISVNSTP >EOY07269 pep chromosome:Theobroma_cacao_20110822:5:991066:992039:1 gene:TCM_021733 transcript:EOY07269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGHAPSHPLTIPSPSLPIKSPTTMHQGFWPPTPWCQNPPSPGCPNFWTTRLFKKTHTKIKGEIYQQEDPATETKAKKTNTKQEKKKRVRSEK >EOY07769 pep chromosome:Theobroma_cacao_20110822:5:2639109:2640979:-1 gene:TCM_022103 transcript:EOY07769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVQNLLQLPSSCIQVLQMSIHLHKIQVFQQFILFHNLLSPFGNFLQPHHHVFKFFNCQYSFTDSSTVNIPSSNNSRSSTVDPISQSSFFNWTTPVAFLFLISQSTQSVRKRYEIHVIN >EOY08308 pep chromosome:Theobroma_cacao_20110822:5:6237009:6237517:1 gene:TCM_022645 transcript:EOY08308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKEAWDDSEKSENKGMDICDRLRAIKPKIKAWQKVNYDQVHSHIDLFESDIQCKMVALQESQVDDKLRSDIVKLKTNLWDLYRLEERSW >EOY08290 pep chromosome:Theobroma_cacao_20110822:5:6086158:6086949:-1 gene:TCM_022625 transcript:EOY08290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein ALSFGFPNDQSLLSVEQRESECMSKRGHGSTQSLENEIGWKQGKDRGRRESCCWDVYKSRWPPYLPPPEVLGIESSQGRVYSDTTCHEVITLSPKG >EOY10154 pep chromosome:Theobroma_cacao_20110822:5:33227729:33232502:-1 gene:TCM_025527 transcript:EOY10154 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MAESFAFNIAENVLSKLANIAYQEIRLARGVQSDLVKLKTTLTTIKAVLLDAEEKQAHDNQLRVWLQELRDACYDAEDVLDEFEIEALRKQVVKQRSIGKQVSHFFSSSNPLAFRFRMAHKVKKVTERFVEIAALKNNFHLIERHDGPGHVVRLDRETHSFVQASEVIGRDEEKERIIKMLMQDPADEEDISVLPIVGIGGLGKTALAKLVFNDGRIDRHFGLKMWVCVSDDFDLKRLVLKIIKAGKEGDGDLGNMDLEQLQKVLRDCLKGNKYLLILDDVWSEDNRKWQELKQLLVGGASGSKIVVTTRSNQVTKIVGTIPPQNLEGLPYDQSLSLFLKFAFKRGEEKQHPNLVKIGEDIVKKCKGVPLVVKTLGSLLFSKISEHEWRLVKDSEMWELMEKENEIFSVLKLSYDQLPPHLKQCFAHCSVYPKDYAFVEFELIQFWMAHGLLQSSKKNEDPEDIGRQYLNHLSSRCFFQDYQDKGFLIEFKMHDLLHDLALSVAKNECSTLNSFEQNIPQGVRHVYLDNCDSLEEKAFELLNKAGHVRTFMLPDVDTGPGNKSFMETCLKRFQHLRVLELSRSNLEILPKGIGNLKHLRFLYLCDNPNIKKLPNSICKLQNLQTLLFAGCYKIEELPREMRYLINLRFLSLTTKQRDLRGLEHLKSLRTLCIINCKNLEYLFEGIQNLTSLQTLCISRCRNLTSLPHGLKYLTALQTLSIRVCVKLDLNMTMGFEGKEEDNQDYLVDSGLCLQRLGITGPLPNLEALPQWLLQGSANTLKQLDIERCENLTTTPEWKTLTSLERLWIFKCPKLSTLPEKMQRLKRLSIIECPILSERCKPETGEDWPSIAHVSRICLDGAEISSKELSTP >EOY07547 pep chromosome:Theobroma_cacao_20110822:5:1937475:1940061:-1 gene:TCM_021955 transcript:EOY07547 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding with one finger 2.4, putative MVFTSIPAYLDPANWQQHPNHQPGASSGASAHQLPPPPPPPPPPQPHGGGGAGSIRPGSMADRARMANIPMPEVATKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKAGSSSKSPVSGDRQTASGSSSTISSNSGSTADILGLGPQLPPLRFMAPLHHLSEFGGSDIGLNYGTISAPLGGASDLTFQIGSALASGGAGGGGGAAAAPGTSLLTMSGLDQWRLQQQAPQFPFLGGLESSSGLYQFESGGVEPSGYGGGAGHQVRPKISSSSATQLASVKMEDNNQQELNLSRQFLGVPGNDQYWSGTAWTDLSSFSSSSTSNPL >EOY11598 pep chromosome:Theobroma_cacao_20110822:5:39823918:39826494:1 gene:TCM_026733 transcript:EOY11598 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding,nucleic acid binding,helicases, putative MHFLFCFAIASPSTWELLFSFSYPFLFFFLFPRFNKSRYAFHKIDDALVSFDHMLRTHPRPCIVEFTQVLGAIVRMKHYETAVSLSRQMDFLGIRHDVYTLNILVNCFCSLHRTDFGFSLLGKMLKLGIQPDTTTFNTLVNGLCVEGKIAEAVILFDGIVRNGCQPDLITYGTVMNGLCKIGYTTGAIRLLRNMKQSGIVPNTVTYNTTIDCLCKDKLVPEALNLLSEMRGKGIPPDVVTYNSFIHAMCSLGQWNEVMRLLTEMVANNCKPNIVSYSILVDAFCTEGRVSEACDIVEGMIRRGVDSDTITYNALMDGYCLQGKMDEARKILNLMITKGCVPNVYVPNTVTYTALINGMCQVGRLGAARELHKEMSARGLVPNTVTYSTLLHGLCKHGHVHEAAELFHVMQSNGIEANIVHYSILIDGLCQVGQLNVARKLFHSLPGKGLHPNVYTCDIMIKVLCKEGLPNEAYDLFRKMEVNGCLQDSCSYNTMIKGFFQNNDVSRAVQILHEMVDKGFSAGSSTATMVVDLLCKNGGDQSILELFLRNSEDDQNVNMK >EOY07291 pep chromosome:Theobroma_cacao_20110822:5:1076716:1077911:1 gene:TCM_021758 transcript:EOY07291 gene_biotype:protein_coding transcript_biotype:protein_coding description:8-amino-7-oxononanoate synthase MIALKAIQASFTPSQHALFHTRRFAYSTKSSILCLSKSNDSEPDSDPQSSEGDTRKQELLAQIAMLQAQKVRLTDYLDEKSAYLNQFGEEANAEFDKVGEDALKGLEEAGERIMENIESQMHAFEESQEMNRQEIEKNEGQLAQFEGQIEKDRNEGLFFKNLTEKKPVDKAKAKQEAEKIKEITKEKAGSKVRRNIYLALIALLVIEIADSFISSSDWRKVAVLGAILVALFSQFTYEQTLLSEAEKSEKKDENKK >EOY10953 pep chromosome:Theobroma_cacao_20110822:5:37195796:37197534:1 gene:TCM_026220 transcript:EOY10953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNIGNSWLVISCVLIVGILLSHDTHMIVATESVSGSSFDGIPSHDFPSKFNVVSKEKVIKPDPPPPPPPAGGGPIQPISSNLLSSPP >EOY08218 pep chromosome:Theobroma_cacao_20110822:5:5711764:5713449:1 gene:TCM_022560 transcript:EOY08218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centroradialis MSRAAEPLVVGRVIGDVLDSFIPSITMTVTFNNKRVFNGHEFYPSTVATKPRVEIEGGDMRTFFTLVMTDPDVPGPSDPYLREHIHWIVTDIPGTTDATFGREVVSYEIPRPNIGIHRFVFVLFKQKRRQMITSPSSRDNFSTRGFAAENDLGLPVAAVYFNAQRETAARRR >EOY09297 pep chromosome:Theobroma_cacao_20110822:5:28095829:28100863:-1 gene:TCM_024724 transcript:EOY09297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 19 MGNCCATPSTGSHEKKNKGKKKQNPFSIDYGQHHQGNGGHKLSVLKDPTGTEIEQSYELGRELGRGEFGITYLCTDKVTGDTFACKSISKKKLRTAVDIDDVRREVEIMKHLPKHPNIVSLKDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAVVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFKPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSFIDFKRDPWPKVSDNAKDLVRKMLNPDPKQRLTAQEVLDHPWLQNAKKAPNVSLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEVAGIKEVFQLMDTGNRGKINIDELRVGLHKLGHTIPDADLQILMEAGDVDRDGYLDYGEFVAISVHLRKMGNDEHLKKAFEFFDRNQSGFIEIEELRDALADEVETNSEEVISAIMHDVDTDKDGRISYDEFAAMMKAGTDWRKASRQYSRERFNSLSLKLMRDGSLQSNNEPR >EOY08976 pep chromosome:Theobroma_cacao_20110822:5:24410091:24416319:1 gene:TCM_024308 transcript:EOY08976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYAFFHGDLSKEMYMKLLPGYAKLVFFNLSNEAIDKIKQSVNVHLKYLGPHHYFLGLELSGSTQGICGKMKYVLDVIADISFSVAKLATSLMEQYLKLTNADGKLLLEPSS >EOY08816 pep chromosome:Theobroma_cacao_20110822:5:21460229:21472021:-1 gene:TCM_024034 transcript:EOY08816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALLMTWPMLINLPVIMAQRRSTQSLVTSLTSIVLNLKSLIKGINGLAGEWDLFTLHINKTINMFKQISIEFPYHKNNSHLWFHTKFLPTLFFDLCLNFKVSWPCPSGIVTLAS >EOY08710 pep chromosome:Theobroma_cacao_20110822:5:18394120:18402793:1 gene:TCM_023783 transcript:EOY08710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNVYRDIAADVTGSKGVTAKPIDEEPLAFNNPKGFGGLMLGQLAVKRSVLVGETSIRELAAYLLNHGAMMTQEFCSDEETSSILETLCAKAKESVFNTLEADDDKTGVGIEDLEIFQFDDNETKGIYNEVADFSKLLQSHDLNLAKSQKSLRFSSVRSMSGFYGALLSPLYEEIDNGDTNYDTKNIVAKNGDDNDKNSSCTNDDNKAGGLVKSMSFSVQNYNHESRGIFFGNMSEDEWEMFLKSFEKLLPRVFEGVKCMCAKQRLGTSCKF >EOY07909 pep chromosome:Theobroma_cacao_20110822:5:3270094:3270558:-1 gene:TCM_022229 transcript:EOY07909 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MGKAKNFGVLKKGSIGMIRFIAGKLQSSLPLSKTIREGHFVVIATEGPEPKRFVIKLDHLNNPEFLKLLKQAEEEFGFYREGAIELPCRPDELQRILGI >EOY08810 pep chromosome:Theobroma_cacao_20110822:5:21241599:21242959:1 gene:TCM_024017 transcript:EOY08810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCIRHCETDFMLFHDLGIAGLPNVKLMVTYGGHWVDDTYKGGETRVRGVGSDLSFSGLVKLVQEVVGVNSHSNEIELHALLNHTVGVSCAVIRDDEDVESILRDKRNSELEDDCEDDYVGEHDDYSEDDKDEHNDISDCNHVDGSTEHARTVVLEDVQCDDHATTIKLEDVEGANLIYDSPIALENGIRSPDDSNQERLNAGLSCQWIIPGVDMISFQIVTTKESRLMDNHLYRRKVFPSKAKCK >EOY11587 pep chromosome:Theobroma_cacao_20110822:5:39783625:39790449:-1 gene:TCM_026726 transcript:EOY11587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin heavy chain, putative MATESNLQFSVISVLEEVLQQQGTPISDINLASRKIEESSLRRYEAAGWLRKKVGVVGGKDLPAEPSEEEFRLGLRSGIILCNVLNKVQPGAVPKVVEGACDSVIIPDGAALSAFQYFENVRNFLVAIEEMGIPTFEASDLEQGGKSSRIVNCVLALKSYSEWKQSGGIGSWKYSANGKPSNFGTGKPFVRKSSEPFTNSMSRTMSLGEKSVESLCSDQSDLSEAGSVRSLHMLVRAALSDKKQEEIPTVRSFCSYKNISNSDFSQDGIRNLFAAKYANLLPIVESMFNKVLEEFERRLASQNELTKTTTKDMEESVPDNLLSRTASSDDKVEVEAPAEEMIEDESSAESEKNELYDGKCNADEESIRHLLKQQTLFEQQQEDILELKHRLHATKASMQFLQRMCQEEFVNLGKHLHTLAYAASGYQRVLEENRKLYNQVQDLKGNIRVYCRVRPFLNGQSNSLSSVDNIDDSTIAIITPSKYGKEGRKSFTFNKIFSPSVTQAEVFSDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPKELTEEELGVNYRALSDLFLLSNQRKETISYDISVQMLEIYNEQVRDLLSADEIRNSSQNGINVPDASLVPVSSTFDVINLMNLGQKNRAVSATAMNDRSSRSHSCLTVHVQGKDLTSGNILRGSMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLASKSSHVPYRNSKLTQLLQDSLGGQAKTLMFVHIAPEYEALGETISTLKFAERVATVELGAAKVNKDSGEVRELKEQIASLKAALARKEGMPEYLQHTQSSSPESRPKLESSASLPKLQSFSDLSNGLANTENDSSSTSRRDSLEIQEMLANPSLWPPLSSPAVTVKDDDRDSVPGDWVDKVMVKRHSNMSKDRNPSASREAGNRQLPDKFNQSYIRGPTKIYPEQNLNKFTTNRKGNQDYDLQRTRSEVGSTDDSDLEAATSDCSENDSVWQSNIPKITSGPNGLASKPKKQQLRTVKSTEFRSSIPSLIPSPSMRKSSNGVNPNLHKGKRKTGSTK >EOY08886 pep chromosome:Theobroma_cacao_20110822:5:22744143:22747321:1 gene:TCM_024160 transcript:EOY08886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRQSSSSSRRQNSIGCMCAISNLLFKHYNRSKFLISGKKQAKEAPESATKAPEQTLKAANLPRSPTLAAELRRSNSVETPPNTLVARLMGVDKFPRTPESTANKRRKLLCALEKCDEDLKALQRIIELVNTSVSVEHNSERRTLQHHQKQQLKKKPGQEDTAGACCFLDRFTKESIDDARSHQDDAGSPLWRSKAMVQSVDEVCKDIAWGERREIGRIGFALQDQICKDLIDEIVREMGHRFTYSLPFEACKRGLAF >EOY11738 pep chromosome:Theobroma_cacao_20110822:5:40176700:40178520:1 gene:TCM_026820 transcript:EOY11738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class III peroxidase 70 MQTLNSSCFCFTTFFLAIFLTISFSSATLKVGFYQSSCPSAEAAVRKAVDKAVSRNPGMAAALIRMHFHDCFVRGCDASVLLDSTPGRPSEKEHPANNPSLRGFEVIDQAKAEIEAQCPRTVSCADILAFAARDSAYKVGGIKYAVPSGRRDGRVSLRDEGFGNLPPPFFNAKQLEDNFARKGLNLDEMVTLSGAHSIGVSHCSSFSNRLFSFNATHLQDPSLDPEFARQLRKRCPAPGSSRDPTVPLDVLSPHRLDNKYYEVLKNHHGLLTSDQTLLSSRATAKIVRENARHGKAWAKKFTAAMVKMGYIDVLTGSKGEIRKNCRVVN >EOY10933 pep chromosome:Theobroma_cacao_20110822:5:37054745:37055963:-1 gene:TCM_026197 transcript:EOY10933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 15, putative MARAPCCEKMGMKKGPWTPEEDRILISYIQRHGHGNWRALPKQAGLPRCGKSCRLRWINYLRPDIKRGNFTSEEQETIFKLHQVLGNRWSAIAARLPGRTDNEIKNYWHGHLKKKLKQAAAGSMDCKAIMEARIALHHQRDQSPGLMKPSISSQHSPEPTCSTSTPKPDTEELALTGEQHGAVQESAGVQFPFSHVSMVETMKNNGPNVDGNRDFWYKLFMENSNEAHGNLG >EOY09942 pep chromosome:Theobroma_cacao_20110822:5:31880679:31885823:-1 gene:TCM_025315 transcript:EOY09942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTQDDTAAAALVEEALTEDADSQAKKRPRRESSQSLSHEQDINVNDHTPTESVFHAEHMFLPPSTETPNQGSTLSSGHSIGNLNNSLEASNDYLLPQETQQENWMSGSSLAYTDWINWEPSPQIATELGSQRFGFQALLNQVIASNNSHLYGDIPCQPQQAKMPIQQGVGSSTKGESSPIQFDGIVPANPEKRKITWQDSTPPPPKLPFINLMMLGQGSQGQKSTGNQGRNRRNNQDSDAPGNQGPKSLENQGLTTFDSQDPNSPNYQGSNTLGNQGRTNRVPLASGSRSQEFGFQALLNQVMTSNYSHMNDDTPHHLQRAKLPIQRGVESSTKGVSSPIQFDGIVPNNLNKRKMTWRDSTPPPPKLPFIDWMLQGQGNRGKNSPGNQDGNSSDNQDPNTLDNPDSKSPENQVLSDPGNQSPKSFENQDLNTTPNNQDPKNPENQDQHTQGNQDQKSPGSQGRNTPGNAGQNNSVPTSSGSRSKAILNSVYDPSYEAMGLPIDPHLRCFLLRHKNAENKDSDKKDDGGLETMIRKT >EOY09988 pep chromosome:Theobroma_cacao_20110822:5:32099987:32101678:1 gene:TCM_025357 transcript:EOY09988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSALSESDHSLIHSQTMEWLLSDDPIETTESHDISDWLILVRGARVSSPIREGLNVHSGEQLRLLTKNLRQVIKYEVKMVKEKEEYQILNPTPISYHVSPEHISLSQKVGSSAQQEKKEKRAKATLVGRNNTSFKLPDECIAHAMKFEEEKLQKLKDQKEKVCQYSLFERLEGWRIDRKKRPYGVNFDMVI >EOY11648 pep chromosome:Theobroma_cacao_20110822:5:39932323:39939868:-1 gene:TCM_026761 transcript:EOY11648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSHRYQPKNTQQPGTFPISSLEIGSWKKQAGGSVILTAGIQFGWQNIVWEIIELGQKRISFKWTDIEKLKVFNEGGLSGLAIKLNCRPKFSCCHGNFPNTRKSMWITTEDFTDGEAERQWHIVKCSPMAIEKYVQRLLQADSRLLGLSIEGSAATGFNQNENQNLEERVCYNVDASPSHTTTNSLGQQIQSSGLTSPSEVNESENKSFDPADIYKVDFDYDLASSIRVYKETLIQLYILYCMPKAKPMLGQYGGSFHCFERQKGDSNNEEMFWQLHE >EOY07008 pep chromosome:Theobroma_cacao_20110822:5:165036:167070:1 gene:TCM_021549 transcript:EOY07008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase interacting family protein, putative MGRRRGTRYSPKPYKSDHPVAAATPLANLSLNFVLLHDWWLGRAQPRGLAIGGFECRGRQGQRVFCSAAIAKRHDATTLETADGITVAISGFINTSRTHQNGFPLEFCSHFLYGFPYDWEEYASQYSNEESAGRGTQASMTSHSNAVSFLPASLDNLPATRIRDLLMFSAGDSENSFLKRTITDHVLGKLSTHASQDARTSVDSDMGNTHSNTCSYSADGENSNCHKKVKVNRNHMDDNNISDSRSTITVESQNDVQSKMGVSILTRPTGVTTRSMTRLKYLTQKQEGLSSKSSVKHIKAV >EOY09767 pep chromosome:Theobroma_cacao_20110822:5:30948923:30949877:1 gene:TCM_025148 transcript:EOY09767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMRSERTKTNMLRVEKEKMQIALAWPYVFHACIAFFADDLTNMYSKSHNNSFRRTHCRGRQHQRHYIPSRFRDVARQKSYDVIDKIIYCKYV >EOY07826 pep chromosome:Theobroma_cacao_20110822:5:2873898:2879951:1 gene:TCM_022149 transcript:EOY07826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase MEVTMKESTMVCPAEETPNQRLWVSNLDLVVTRYHICTVYFYKPNGSSDFFDTKVLKESLGKILVPFYPIAGRLGYDENGRLEIICNAKGVLFIEAETTSIMDDLVKEFKDDSEGPQLVPKIDYSGGISSYPLLGLQVTTFKCGGISLGVSTQHTMVDGSSGLHFINSWANTVRGCSPNIAPFLDRTLLRARHPPIPKFRHVEFEPSPSLQTMFSTSECQPSPKPSIVSIFTITADKLNALKAKVNGNSNSNTKYSTYSILTAHIWRCATKARDLLEDQQLKLNMPVDGRNRLHPPFPPGYIGNVIFMAALVALAGELLSESFIDTVKRIHKILKEMDDEYLRSEIDYIEKAPDIEAIRRGSQTMRCPSLVINSWILLPIHEADFGWGRPIFMRPANIVHEGIVYILPSPTKDGSLTLVTRLETSHMKLFGKLLYEF >EOY09817 pep chromosome:Theobroma_cacao_20110822:5:31176194:31181263:1 gene:TCM_025191 transcript:EOY09817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline (GMC) oxidoreductase family protein, putative MQPIPYKRPRSFWILIEFKELFEVVSYRKNMGLKYAWSSWRFLLLVFIAEFAFHGFTYGEKAPNYSFIQEATSAPQVSLYDYIIIGGGTAGCPLAATLSRNATVLVLGRWGSPYVNTTKIRIENALSTLTDTSPDSFSEAFISEDGVANIRARVLGGGTVINAGFYSRAETFFLMQKGLNEALANDSYEWVERKLVSKPVVLQWQSAKITFTTKAFNKFTIMAVGLRPKAQGVIFYDAQGVKHTAFLKNESMSEIISSAGAIGSPQLLLLSGIGPAPQLEALGIKVVLNHAIVGQGMADNPLNGLMIPSPVPVQLSLVISVGITKLGNYIEFGSGFDNPEVRFNYYQAPEDLRKCVPGMKTVIKVVNSKSYSRFRDNNTTTQDLLNMMASMPLTLRPKHPNSTASLEQYCIDTVMTIWHYH >EOY07048 pep chromosome:Theobroma_cacao_20110822:5:299540:303014:1 gene:TCM_046795 transcript:EOY07048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron regulated 1 protein MSQPLPAQEQRPPSSLLKYLYAAHFLARWGARMWEFSVGLYMISVWPDSLLLAAIYGAVESASTALFGPIIGRWVDRLTYVKVLKLWLVTQNLSFIIAGCAVMALLVFSSLKVTNLVAFISLVILTNISGAVGVLSTLAGTILIEREWVVVISEGHPPALLTEMNSVIRRIDLTCKLVAPVITGFIISFVSLKASAMTLALWTIITVWVEYWLFMSVYSGIPALGESSLRRISEVSPSDVVEESTSASQDVVEESTSASREIPDSLSNDGENSAAARKNSWSKFIEWVSKVPYIDAWRVYLQQDVVLPGVALALLYFTVLSFGTLMTATLQWEGIPAFVIGIARGISASIGIAATVVYPMVQSRILTLRTGLWSVWSQWICLLLCVASIWVKNSHLSAYMLMGGVATSRLGLWMFDLSVIQQMQDLVPESNRCIVGGVQSSLQSTLDFMGYVMGILISNPRDFWKLSMMSFCAVTLAAFLYTFHLYRVRKHLFHLEKLLSLVQ >EOY11234 pep chromosome:Theobroma_cacao_20110822:5:38460035:38483598:-1 gene:TCM_026473 transcript:EOY11234 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MEGCAIGPCANVCSEAAKGIFQEIKRHMRYVFVYKKNVDKFEGKMKSLIAKRQSVQLEVETAERNVEKIKPEVKLWCDRVDKAINEDVKTVKDLEDKAKNKCFFDLRPNIKSRYQLSKKAEEGAAAVDELLQQGGFDKVGYRDVPEAPEAPKNFRAFDSRKEIFDRVIEALKDSTTSMIGVYGTGGVGKTTLVNEVARRVQEDNLFGWVAKATVTRTPNIEKIQDEIAESLKLELKEKSIQERARLLLARLKQEKKKILIVLDDIWARLDLEQVGIPSEDQQEGCKILLTSRNQNVLTNEMDAKKTFVMDVLEEKEAWELFKKMAENDFENRNPFRSVATEVAKKCEGLPVSIVTVARALRNKDIHAWKDASLKFQRPSPSNFTGIPRAVYSAIELSYNNLESGELKQAFLLCGVLGHNARVEDLVRYGMGLRLFDNVKTVEDTRNRVLTLVSDLKAFCLLRDSYSHERFDLHDFDCDVALAIASRDNHAFALKHNGVFDDWPDEERMRKFKMLSLSFDSVEKFPHELECPQLDFFCMGSNDSGVEMPINFFQKMENLKVLDLIKMKFSSISLPTSLRTLCLNQCVLGDMVNLGKLKNLEILNLSGSDIEMLPKETGQLTKLRLLDVSDCYNLKIPAGVLSNLSKLEELYMGRSFERWNQGSDARLDELRDLPYLTTLSARIPDAKIVPYDLFSLFAEKLERYRIFIGNDLYWHGEIEFPRAVKLILNTNINQLDDGLQCLLMKSTAFYLEGLQGAKIVFSKVGNREGLLHLKHIHIRFASEIQYIMKDNDAIDKIEFQQLRSLKLRRLPELISFCSKNRSGGSTSTPQHDLALFGEEMKFPSLEKLELASLNVERIWPNQFSIISDYPQNLTNLTVEDCHNLKHILSFSVSRSLTHLKSFVINRCNGLKEIIFTEDIKEDMMSQVFPKLELLEFQYLPNLTRLCHGSNFEFPLLKELFIRDCLTYKTFISKSTLTNEIPIIQEVEENNAEINVPHLFNEKMKFPCLEKLQVDSLNVERIWSNQFSIISDYSQNLTSLSIQNCHNLKHILSFSVSRTLAHLKSFEIKGCKCLKEIISTEDIEEDMMSQAFLKLELLGLRDLPNLTRFCHGSKFEFPLLKELIIGDCPAFEAFFSKSIVVTFPNLKELILERNGIMKEIWRGQFRAECHEVKGRIAFTLLKCLKLSDLPTLASFCSGDQIFEFPTLEKMIVRECPKMKIFCQGDLSTSQLQKVKLSEFEDEEKGWWEGDLNNTIKRMFEEKVGYCNLRLSDTSELMEIWSRNPQEILSFKYLEKLEVSDCSTLRCLFTLSMALSLPQLREMEVKSCTEMEHIIIEEGPHKQVSNKIVFPVLRSIALKSCADLASFYQGSKMLEFPSLERVKVVGCSQMFAFASAFSREQRREMIDDGGNTTRLSKGIADAVFFDNMVAFPSLYYLVMEGMGELRKIWDDKVTMNSFCNLQYLMVKDCERLSNIFPLNMVERLQKLNQLNIVNCDSLEEIIEPQGLKSNESHAATVTELRLSELPELTHLSKEEIPLGEVIFQLEILKVLRCGKLKSLVPSSVSFKKLILLEVSKCHSLMNLVTLSVAKSMVLLEQMSITDCQMLEEIIAFTSDEVMDGIIFSQLWYLELGGLPSLSRFCSGNYSLGFPSLENVITRQCPKMEIFSKGELSAPKLQEIKSTEGEYVGLWEGNLNTTIQQLFKEKGIVGAMPNKAYQAFQARPSTREGMVVALFWDTL >EOY11042 pep chromosome:Theobroma_cacao_20110822:5:37665561:37669188:-1 gene:TCM_026315 transcript:EOY11042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein MATRNYFVFSIVWFSLIFSVIHVSSQPLAVDCGSKGGKDEDGRTWEPDTKYLLPSNSSVQLQAGYQDPSLLSTVPYMNARIFTSPATYQFPIKMKERYLVRFHFYPSAYLNFNISNSYISVVAAGVTLMNNFSAAITCQALTQAYLVKEYSLAPMDTKVLNITFTPSTNYTNSFAFINGIELIAMPNLFGMTNLVGYGSSSVDVSTENLQMMFRLNVGGQSISPSKDAGGLLRSWYNDDPYLFGAAMGVNLEASKNLRVDYKDLPKSSAPEDIYRTARAMGRDSQLNLKFNLTWLFQVDANFTYIVRLHFCEFQLDKVNQRVFNVYINNQSAQADPTPADIIAWTNGKGVPIYKDYAIVVSDGPGDEMVRIDITPSTASKPEYYDSQLNGVEIFKLNDGSKNLGGSNPQPSAMLLQAESEPKKSFKSNNVVIGGATGGAAAAFVVVALIVVYTKKKRVHGVESHTSSWLPLYGNSHTTGSKSHGKSHSSHLSTLAQGLSRHFSISEIKQATKNFDESNVIGVGGFGKVYKGAIDGGTKVAIKRSNPSSEQGINEFQTEIEMLSKLRHKHLVSLIGFCEDGGEMCLVYDYMARGTFREHIYNNKKSHLSWKQRLEICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDENWVAKVSDFGLSKTGPDMNQGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEALCARPALNPSLPKEQVSLADWALHCQKKGVLEDIIDPHLKGKIDPECLKKFADTAEKCLSDHGLERPHMGDVLWNLEFALQLQETADGSKGGGSSSTGDQGSVRSTDSSVRSQRQGVHVGNLSLGSEHEVNEEVNNESAIFSQLVNPKGR >EOY07543 pep chromosome:Theobroma_cacao_20110822:5:1918361:1921360:1 gene:TCM_021950 transcript:EOY07543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQREEGWPLGLQPLNARIGLVRTRDFSGSVSFSTLLTASPSSSSISSSDLDTQSTGSFFHDNSITLGSLLGASSFLELSRRSTRRRTTETLRDQKSRPWLFSLCSKLSTDAVDTNNAHSLGHFLEVERRAANIYRRNQTPTAYGPDDFSQVMHTSEPNSLFVGDQIAPGSNAALSAEDGRKSDRELLEHGNGYGVPLLLSCLCGQLIK >EOY10183 pep chromosome:Theobroma_cacao_20110822:5:33384409:33388483:-1 gene:TCM_025551 transcript:EOY10183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MACSTAKEAWDRLRDEFQGSDRTRQLQILNLMREFEILRMKESNSVKEYIGKVMKIVNQHGHIEKVCKNKFENREKSPQASKRAEIAEETLLMAQTEPKVVEANQWLLDSGVSSHMTPYVELFAELGTQHRSYVKIVLLVLEITQNLLNVGQMVDDGYELLFKDHSCKIYDPRDKYLMTVAMKRNCFPIDFNDASTSGLMENLPSLTKSKHVCSTCQFGKLTRKPYPHASSSRSVGKLDLVHIDVGGPMSEVSLNGNKFFLIFVDDLSRMCWIYFMKAKSEHIPEEHKDKLQSKVVMGVFIGYSQHSKAYRVYHVDSGKISISRNVSFDEGSSWNWDKPQHTRVSATNTLSAFQNISDIELDEDELIDKAPVRGEIGYSQLVGIDCRDTFAPVARLDTIRLLIDLSAALDWKIYHMDVKSAFLNGKPNEEIFIEQPRGFEVGDRQNKVYKLHKALYGLKQAPRAWYSRIDAYILAKGFYKSLNEATLYVKKIKNEVAVIVSLYVDDTLITGADQASIGEFKSTMKKEFHMSDLGQMKYFLGLEIHQSKESITLSQINYIQKVLKRFKMAECKPTSTPLVAHEKLSLNSGEILESRNDYRSLTECLLYICSTHREIMFAVSYLSRFMQRPSQLHLVAAKRVLRYLRGTTCHGINFIKNETI >EOY10254 pep chromosome:Theobroma_cacao_20110822:5:33795627:33797753:-1 gene:TCM_025630 transcript:EOY10254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 89A2 MKSSFCQQTQITNNTCRSLKSSFIYPPHNPLSTQSNMETWFVILVTISISLLFRALINLLSSHSENPSQTLPPGPANIPIISNILWLRRTFSELEPILRNLHNRLGPMVTLNILSRPAIFISDRSLAHQALIQTGALFADRPEPPFIAKIVTCNQHNINSAPYGPTWRLLRRNLTAQILHPSRIKSYSHARKWVLQILLESLISHSKTGEPVRAVDHFQYAMFCLLVLMCFGDKLNQEQIKEIESIQRRLLIDVGGRFNLLNFWPNLTRFLLHKRWKEFCQALEVQEKVLVRLIRARKKVKEERLSKSKDDKEEADDDEYLLAYVDTLLDLQLPEGQRNLTEQEIATLSSEFLNGGTDTTSTALQWIMANMVKYPHVQEKLFMEIKGVVRDGEEEVKEDDLQKMPYLKAVILEGLRRHPPGHFVLPHSVREDTVLGGFSVPKNATINFMIAEMGWDPKIWEDPMSFKPERFLSSGNKSGEVFDITGTREIKMMPFGVGRRICPGLGLAMLHLEYFVANLVWNLEWKAMDGDEVSLEEKQEFTVGMKTPLQAHIYPRKR >EOY10033 pep chromosome:Theobroma_cacao_20110822:5:32444670:32449093:-1 gene:TCM_025409 transcript:EOY10033 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMV resistance protein N MCLTIDLQRLLEEKYGKTVANHSKNPFFMSNELDIETKAFAKMQRLTLLQPDYIKVKGDYKNIPKGLIWLCWHGFPKEYLSTDLDISRLVVLEMRNNSLKHVWHNSKECIIFGCEKLTEVEDVIKLEPIENFEVEQIESLFDMNSIRSIELHIYNYITDTNKVTTPQVFYNSSITSCFVFGSEVPILFEYRSKGSQIYFSLSQNPSEKVSWLNLCIVYSLASQEIFEFLPSVHIVNETKQLTWFYFSSFIGIPKSNSNIILWLIHWPVKDYQMENGDLVSCKLLSSGLDIKEFGVTYVSEKKVIREDDS >EOY08387 pep chromosome:Theobroma_cacao_20110822:5:7354151:7355303:-1 gene:TCM_022766 transcript:EOY08387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDAQSMILHLKELFDKEGLTERYEISKELVRCKMAESSSVRPHMLKMIGLIERLGQLGLAMDHELTTLPELLNMLDMAERSIRKDKGSLLLVSSSKAHTKQQNKKAQKGKKGPKIKMSKILAEEVTTWMDYLKH >EOY10837 pep chromosome:Theobroma_cacao_20110822:5:36736724:36740976:-1 gene:TCM_026137 transcript:EOY10837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKPLLSSIISSSEGRYREGYSLLSRLGLNEFWTKLSLVEMALKGMGSTEADVEGGIGLSGQPRLVPAIVLGLFGKILGQYYGVKLKWKRLSAAKEGRVRLEGNNSQFKIEEDVITTSLVAIRFSEEELIPLDHFFIRFLGNISFSTMSCPPKMSLVLPMSDGTPLVTELVPGEDLHLYIYQLLEYAVESGDMVIGLDTEWCMKEIKLGVFRTEYRHCVGLLKLCSAFGCVLIRLENSASASDSLKRFFAVKDIMFVGVRMKEDLQNLREEYGIIIRNAVDLSEVSAKVLGQPELSAYGVRELASKVLSIDILPRSLLSIWTIRADSFPSLEQVESAATDAYATYKVGRRLLGSGVDAFLLPLQKE >EOY10795 pep chromosome:Theobroma_cacao_20110822:5:36559894:36565959:-1 gene:TCM_026108 transcript:EOY10795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MFLGFGLSPFSLNISILHVNAPLDMERSLSCRLFTVFILIHVLLVHQSIGLNSEGQYLLDIKSKLVDKFNYLGNWNPNDPTPCGWEGVNCTTIDYYNPVVQSLNLSSMNLSGFLSPSIGGLVQLTSLDLSSNGLSRNIPEEIGNCSSLEVLNLNNNKFEAHIPKELGSLSSLTTLNIFNNRLSGPLPDEIGNLSSLTQLVAYSNNFSGSLPSSLGNLKRLKSFRAGENLLTGSLPSEIGSCESLQYLGLAQNALTGEIPKEIGMLKNLKELILWDNQLSGSIPQELGNCTNLSILALYDNKLFGMVPKELGNLMNLKWLYLYRNQLNGTIPREIGNLSFAEEIDFSENMLTGEIPVEFSKIKGLRLLYLFENQITGLIPVELTTLKNLTRLDLSINSLSGPIPMGFQYLTELIMLQLFDNSLSGSIPQKLGVSSSLWVVDLSDNQLEGRIPPHLCRNSNLIFLNLGSNKLTGNIPSGVTNCKKLVQLLLVGNSLTGSFPSSLCKLVNLSAVELGQNKFSGPIPSEIGNCKTLQRLHLSYNYFTSKLPREIGNLSQLVTFNVSSNSLTGTIPPETFNCKMLQRLDLSRNRFTGSLPGEVGTLSQLELLKLSDNNLSGTITPALGNLIRLTELQMGGNSFSGNIPAELGALSSLQIALNLSYNNLSGVIPPELGNLVLLENLLLNNNHLTGEIPGSFGNLSSLLGSNFSYNDLTGPIPSLPRLQNMSISSFFENKGLCGGPLCGCNPPQSSFSLLPDTKNKGTRLGKVVAIVAAAVGGVSLILIVVIIYFMRRPVEIVAPLQEKPSAARVSDIYFSPKEGFTFQDLLAATDNFDERFVVGRGACGTVYKAVLPRGHVIAVKKLASNREGNNNVDNSFRAEILTLGNIRHRNIVKLYGFCYHQGSNLLLYEYMSRGSLGELLHGASCNLDWRTRFLIALGAAQGLAYLHHDCKPRIFHRDIKSNNILLDDKFEAHVGDFGLAKVIDMPQSKSMSAIAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRTPVQPLDQGGDLVTWVRNYIRDHSLSPAILDARLNQQDESTISHMIIVLKIALICTSMSPFERPTMREVVLMLIESNRRESHFDTSPSHDTD >EOY09941 pep chromosome:Theobroma_cacao_20110822:5:31877320:31879098:1 gene:TCM_025314 transcript:EOY09941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLSTWIRKDENHSSPLYEIKKRFYLLLVRDGLITSKITHTLRTTNSMADTLAKAGVERQQDLRMVDYEQLLRPFCFLSYWKRWRWREI >EOY07063 pep chromosome:Theobroma_cacao_20110822:5:362750:365243:1 gene:TCM_021592 transcript:EOY07063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tautomerase/MIF superfamily protein MPCLNLSTNVNLDGVDTSAVLSEATSSVAKLIGKPEAYVMIVLKGSVPMSFGGTEQPAAYGELVSIGGLNPDVNKKLSAAIAAILETKLQVPKSRFFLKFYDTKGSNFGWNGSTF >EOY09692 pep chromosome:Theobroma_cacao_20110822:5:30565730:30566577:1 gene:TCM_025084 transcript:EOY09692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKGESGSAMALHKSKRNSVSFTAAKGRSTISAHKTKQEKKKRGPMGSKTRCCTWAVGRSPKKSSLEKREKKKMKARVTEMEAFVSDMRTQVDSERRLLLEGEATVEEFKALVAQKREENKLHFMMILRDELLADHIQFNILP >EOY07368 pep chromosome:Theobroma_cacao_20110822:5:1342484:1343537:-1 gene:TCM_021821 transcript:EOY07368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tau class glutathione transferase GSTU45 MAEEVKLFGAWGSPFSRRVEIALELKGIPFEYIEENVSDDKFKSPLLLKYNPIHKKVPVLLHNGKPVLESLIILEYVDETWKDNPILPEDPHERTMARFWARFIDEKCMPPVWKALLGTGEEREKALEEVRECLKTLEGVLNGKRFFGGETIGLLDIAANFIAFWLRVIQEVSGLEFLTADKCPVLIKWCDEFVSCNPVKEHLPPRDKLIAFMKNWLSGDGWTD >EOY10019 pep chromosome:Theobroma_cacao_20110822:5:32269816:32275108:-1 gene:TCM_025390 transcript:EOY10019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 1 MTQELSIPLPNSESRPGSESSTVISVFIADPYVLLRMTDGSILLLVGDLATCTVSINTPTTFEGSKKMVSACTLYHDKGPEPWLCKASTNAWLSTSIRESIDGADGRPHDQGDIYCVICYESDALEILDVPNFNCVFSVEKFASGRTHLVDAYTLESSRDSEKVINKSSEELTGQGRKKNVQNLKVVELAMQRWEEMSNGTLSQRITSFKNISGSQGFFLSGSRPGWFIVLCDGSIVASTVLHNVSCNHGFINVTSQGILKIFQMPSASNYDNYWPVQKIPLRGTPHQLTYFAERNLYPIIVSVPVASFV >EOY08634 pep chromosome:Theobroma_cacao_20110822:5:14504513:14507253:-1 gene:TCM_023481 transcript:EOY08634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMMVREMDGDTVRLCARCGGMHMSWVRWDVRLCAQCGGIHISWVRWDVRLCARCGEMNMSWVRWDVRLCARRGGMHMSWTMAPAMSNSPSVTLTFST >EOY10094 pep chromosome:Theobroma_cacao_20110822:5:32966449:32970681:-1 gene:TCM_025475 transcript:EOY10094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein, putative MAKRDKGKSAKFEMEENESGPLGSKQVLEISSSDDEEANEDLSLKIVEKALLVKAAKLNERNDAVSGDRDVLSVVDLTSSSSEEGEADVAGTSGVAEEADFDLKVNKTVTIKKKKKTKSEKVIITEDGDKAEMIEKAETVEEAEMAKAAETIESVDPNTVDISDNIVLRKLLRGPRYFDPPDSSWQTCFNCGEEGHMAVNCTSASKRKKPCFVCGSLDHGARQCSKAQDCFICKKSGHRAKDCPDKHKSGSKNGKICLRCGDSGHDMFSCWKDYSHGDLKEIQCYICKNFGHLCCVNFVDTSASEVSCYRCGQLGHTGLSCGKSRGDTKETTDNASPSICYKCGEGGHFARECPNGTVSSSSCYKCGEGGHFARECTAKAGRRNHISSTPNVRPRKENKELLGYNSAPHDQGKRPKRKKLQYEEKGFSVPRKVKQRGGWVAEDPGDFSHRKSKRNHWNSPSTPSNEGHKKSSLTSGSRMSGSQSSKQKNSHRFSASRFGNFDDDEPRRMYNWR >EOY10956 pep chromosome:Theobroma_cacao_20110822:5:37216027:37216703:1 gene:TCM_026225 transcript:EOY10956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNIGNSWLLISCVLIVGILLSHDTHMIVATESVSGSSVDEIPSHDFPFKFNVVSREKALKPDPPPPPPPTGGPSIHSK >EOY08723 pep chromosome:Theobroma_cacao_20110822:5:18755064:18758334:-1 gene:TCM_023810 transcript:EOY08723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase 45 MKSGKWIAFVTLVHACLTVVESLPKADKIVALPGQPRANFQQFSGYLTVDEKQKRALFYYFVEAETMPASKPLVLWLNGGPGCSSIGAGALTEHGPFKPNGETMEKNKYSWNKEANMLYLESPAGVGFSYSANNSFYANLNDEVAARDNLVFLERWFVKFPEYKNRDFYITGESYAGHYVPQLAQLIIHSNLKLNLKGIAIGNPLLEFATDMNSVDQYYWSHGLISDSAYELLTSFCNSSRLMREVIRRSISSDCISVYSEVSTELTKSIDQYDVIADVCLSSGQSPMAILSHSLLSGPQHSSQADGLSQQQVSVKEDPCIQDKTTKYLNRKDVQKALHAQLVGTTSWNLCNKFVQYMDNLETPTIHIVGSIVKSGIPVMVYSGDQDSVIPFTGTRTLVYKLAKALGLNTTVPYRPWFEGKQVGGWTQVYGDILSFATIRGASHTAPASQPERSLALFKAVLAGKPLPEA >EOY09442 pep chromosome:Theobroma_cacao_20110822:5:29171198:29184899:-1 gene:TCM_024862 transcript:EOY09442 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein MATSRGNMNNSIQLDHHQHNQHHHHQNQQQQQQQQHQHQIQEHHQNQIPYAMMQSSSSSSIPGNFISKDTGAYDLGELDQALFLYLDGQDPSTIQEQRHNSGMRPPTLNIFPSQPMHVEPPLSTKTSRGLVSPATSGSKRPSEPSMELANARNDASGSAPDQPAKAVKREGNRKGPTSSSEQEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIRLTQLEQELQRARAQGMFFGGGVLGGEQGLPVGINNISSDAAVFDIEYARWLEEHHRLMCELRAAVQEHLPENELRIFVDNCLAHFDELMNLKSMVAKTDVFHLVSGMWKTPAERCFTWMGGFRPSELIKVILNQIEPLTEQQIMGICALQQSTQEAEEALSQGLEALNQSLSDIITSDSLSCPPNMTNYMGQMAIAMNKLATLEGFVRQADNLRHQTIHRLHQILTTRQAARCFLAIAEYFHRLRALSSLWLARPRQEQ >EOY10153 pep chromosome:Theobroma_cacao_20110822:5:33216460:33227011:-1 gene:TCM_025526 transcript:EOY10153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat containing protein, putative MVESFAFNIAENVLSKLANIAYQEIRLAWGVQSDLEKLKTTLTTIKAVLLDAEEKQAHDNQLRVWLQELRDACYDADDVLDEFEIEALRKQVVKQRSVGKKVSHFFSSSNPLAFRFKMGHKIKKVTESFVEIAALKNNFHLIERHDGPGHVVRLDRETHSFVQASEVIGRDEEKERIIKMLMQDPADEEDISVLPIVGIGGLGKTALAKLVFNDGRVDMHFGLKMWVCVSDDFDLKRLVLKIIKAGKEGDGDLGNMDLEQLQKVLRDCLKGNKYLLILDDVWSEDNRKWQELKQLLVGGANGSKIVVTTRSNQVGKTVGTIPPHKLEGLPYDQSLSLFLKFAFKGGEEKQHPNLVKIGEEIVKKCKGVPLVVKTLGSLLFSKISEHEWKLVKDSETWELMEKENEIFSVLKLSYDQLPPHLKQCFAYCSLFPKDYGFNEFDTVQFWMAHGLIQTSKNNEDLEDIGRQYLNDLLSRCFFQDYEYGLDFIEFKMHDLLHDLALSVAKNECCTINASKQNIVQGVRHLCLTNCDSPGESDFKLLNKVRHWRSFRCADTNIGPTNKSFIEACLKRFQHLRVLDLEGSNLEVLPKRIGDLKHLRYLDLSNNHNIKKLPNSICKLQNLQTLYLDGCDKLEELPRDMGYLISLRAWTLTTNQKLLRGLECLKSLRYLCIRDCKNLEYLFEGIENLTSLQLLGIYGCRNLISLPNGLKYLTALHTLIIGDCAKLDLNTTVGLKEKEDDNQDYLVGSGLCLQTLGIVGPLPKLEALPQWLLQGSANTLKHLTIGGCENLTTSPEWKNLTLLEKLEIMDCPKLSTLPEKMQRLKKLWIKDCPVLSERCKPESGEDWPNIAHVSRIYLDGDEVSSKQSSAP >EOY07640 pep chromosome:Theobroma_cacao_20110822:5:2290130:2292213:-1 gene:TCM_022025 transcript:EOY07640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable membrane-associated kinase regulator 3 [Source:Projected from Arabidopsis thaliana (AT2G37380) UniProtKB/Swiss-Prot;Acc:Q9ZUS8] MATSQASCNHADEDYIDMEVSSSSNFLCYSISSPPQSREFEFQMCSVSRDGETSTSPADELFYKGKLLPLHLPPRLQMVQKLLKSSNSTFETKTEAPFEENSAVSFITGSATLSTNTSTPLESCSISPSESCRVSSELNPDDYFFEWSTELNGFIGNDSKKSWSRKLKQSSISQKLKASRVYLKSLFSKSACSDESCAKAACNAEAENVSKSKDRLNKYTKMAKKNPFGKIDNDRFKISSIIMKSIDKEFAEEAANSHRRSFSGAIQRHSAIKSSSTSTSSSGSSSSSSSFSFSSSGFCDLQLLKRSNSANSEIESSIEGAIAHCKQSQQPPSSRKTASELGVCSLSVSKIAVSGDQERPGLCSI >EOY11243 pep chromosome:Theobroma_cacao_20110822:5:38576898:38586531:-1 gene:TCM_026487 transcript:EOY11243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METVSVVSISIASNLVDSTVGQIKNQIGYVFNYERKVRQLQDQVEKLRYAKQRVQHSVDAAKRKGKEIETVVDHWLACVEHLVQEVDTLTDEELKQKKCFIGLCPNVKSRYRLGKKATKEAFAVDELLIMGKFDRVSYSTAPQEIMIAPTRDFETFKSRVNVFKKIMEELKGHTPDMFKIHGVIADFFDLRFEERTMVGKALRLRDRLKKVKRILIVLDDIWSRLYLEDVGIPFEDEHRGCKILLTSRNGNVLKDMHDQESFIGLLSGEEAWNLFKKIAGEGVETAELRSIAIKIANACAGLPIAIATVGRALRNKSLFEWIEALHRLRRPSSPDLPVYSTIELSFKFLHSEELRLTFLICSQMSHEALVLDLMQCTIGLGLFLDRHSIKETRDAVLARDTFPSFEELWLEQNAFMKKIWLGQLPAVQYFPRLKVLKLIRLPKQSTILSSCFFWSFSYIPKLIVSDASFTEIFQWEDLDVSKCHGLINLISSSTTNSMEQLEIMSIIDCKVIEEIIAVEYDDGKDDIAFSKLKYLGLQSLPSLTSFYSGNDTFNLPSLDELIVRECSTLENFSTGELIALMLQRVHLTEEEDSGYSVQNLNATIEDMHKKKAC >EOY11503 pep chromosome:Theobroma_cacao_20110822:5:39463124:39466867:1 gene:TCM_026655 transcript:EOY11503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein MAVQWIDRGDLWKNKARVLQLQLRQRFRVAVDRHRRHRHSMFADRYVSSTVQRWLRRFRDFRRDSLPSSSAFYRKRVSKDFNVEEDSVILRTLQAVAVPLIGNVCHVFMNGLNRVQVYGLEKLHDALLNRPKNKPLVTVSNHVASVDDPFVIASLLPPRVLLDAQNLRWTLCASDRCFSNPVTSAFFRSVKVLPVSRGDGIYQKGMDMAISKLNSGGWVHIFPEGSRSRDGGKTVRSSKRGVGRLVLDADNTPIVLPFVHTGMQDVMPIGANFPRIGKTVTVLIGDPIHFDDLLNVEEPVDASRGKLYDAVASRIGHHLQNLKVQVDKLVLEQSIQLENHHINGAKRTADILHQVDWDPFGLGSNECMLDESSGQETEVQLKPNAVTSSEECSPDDHRYFRMGFSFEGGIASRIRSYMDPTELMGFAARGLFMNPRAKENSASIRDIRPLRVWKQFLEANLLVQQWNSC >EOY07694 pep chromosome:Theobroma_cacao_20110822:5:2435853:2437071:1 gene:TCM_022062 transcript:EOY07694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 GQAKALSSPRPMVSRPTNDRRIGSGVEPHLYGSTRALLNIEEFRSYHN >EOY09072 pep chromosome:Theobroma_cacao_20110822:5:25539061:25540276:1 gene:TCM_024438 transcript:EOY09072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKGQSMMRLSLFTIENYPLWRMRFENFIQSIDLDIWDIILEGLHVPTKLVDSVRHVKKKAEWDDKDRKLVQLNHKTIKALFCALSESEFNRVTTSTLAKDIWDILKNCYDRTNQVKESKISFLTLDYELFKIKEEKPIKEMYEIFTKIIEGLKALGKEFPNA >EOY09471 pep chromosome:Theobroma_cacao_20110822:5:29380356:29384325:1 gene:TCM_024889 transcript:EOY09471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGPSYLKTLLPLHAFSLKSPSSTLNSMKIKTLIHTLIYAQVCRLSRAFSKAKSIAIQIYKESKPIHFISNSKTSKKSYKHKKLFFGSFRLHYNWCSSHVTPVPAPVLEGCTATHLYYDSTWNSVISTEQCDDQDTVESELSGYLQWLEEKKVNGNSTAETDINEIDRLAEMFIADCHEKFRLEKQESYRRFQEMLARSM >EOY09722 pep chromosome:Theobroma_cacao_20110822:5:30751675:30758143:1 gene:TCM_025114 transcript:EOY09722 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 domain-containing transcription factor MASMNNWLAFSLSPQELPSQTVDQDHHSQTAVSRLGFNSDDISGADVSGECFDLTSDSSAPSLNLPPPFGILEAFNRNNQSQDWNMKGLGMNSDGNYKTSSELSMLMGSSCNGQSLDQSNQEPKLENFLGNHSFSNHQQNKLHGCNTMYNTTTGEYMFPNCSLQLPSEDTTNARTSNGGDDNDNNNNKNNNNNTNINTGNGSSSIGLSMIKTWLRNQPAPPQPEAKNNGGASQSLSLSMSTGSQTGSPLPLLTSSTGGGSGGESSSSDNNKQQKTPTGMDSESGAIEAMPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGTTTTTNFPISNYEKELEEMKHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESSTLPIGGAAKRLKDVEQAEMALDVQRVDDDNMSSQLTDGINNYGAAHHGWPTIAFQQAQPFSMHYPYGQRVWCKQEQDSDANHTFQDLHQLQLGSTHNFFQPSVLHNLMAMDSSSMEHSSGSNSVIYCNGGGGDAAGSNGASGSYQAVGYGGNGGYVIPMGTVVASDSNQNQGNGFGDNEVKTLGYETMYGSADPYHPRNLYYLSQQSSTGGVKASSYDQASACNNWVPTAVPTIAQRSSNMAVCHGAPTFTVWNDT >EOY07886 pep chromosome:Theobroma_cacao_20110822:5:3146049:3148212:-1 gene:TCM_022206 transcript:EOY07886 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MEKNHQKPHAIMIAYPLQGHVIPSVQLAMKLASKGFTITFVNTQSIHHQITKSHPNTTTSDAEDIFTEARKSGLDIRYNVVSDGFPLGFDRSLNHDQFLEGVLHVMSAHIDELVANTIKTNPPPTCLIADTFFVWSSMISNKYNLVNVSFWTEPALVFTLYYHLDLLRSNGHFASIDNRDDVIDYIPGVRAVEPKDLMSYLQASDISTVVHRIIFKAFEDVKKADFIICNTVQELEHETISALNKKQPTYAIGPIFRTGFTKSVVATSLWSESDCTQWLDTKRNGSVLYISFGSYAHASKNDIAEIAHGLLLSGVSFIWVLRPDIVCSGETDFLPVGFEENIKGTGMIVPWCCQIAVISHPAVGGFLTHCGWNSILESVWCTVPLICFPLLTDQFTNRKLAVDDWKIGLNLSDKKQINRDEVVEKIHRLISRKSGDDLRKNIKEVKKKLENALSTVGSSEENFNQFICDVQIKTKKKRASANHA >EOY07258 pep chromosome:Theobroma_cacao_20110822:5:949100:951723:1 gene:TCM_021723 transcript:EOY07258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactosylceramide 4-alpha-galactosyltransferase, putative MTVKKVASHEVFGCRLVSRAKSPVVTVILFALLIFFMFADSLVSNISLHSAAFDEKEDSEVLQARKFIRKMKSDVSAVQLDVSTQRKIINVDSEHHDSPVSSSVVREEQGTGRFRRKLPENEIFRSDELTKKFHGRVLEFFNQKCEVQFFMTWISTVESFGRREMLSVESVFKAHPHGCLMILSRTMDSVNGYRILKPLLDRCFKVLAVTPDMPFLLKNTPAEAWFDAIKSGKKDPGEIPLAQNLSNLMRLAVLYKYGGVYLDTDFIVLKSFKGLKNTIGAQSIHAESKNWTRLNNAVLVFDMNHPLLFKFIEEFALTFDGNKWGHNGPYMVSRVARRVEGRPGYNFTILPPMAFYPVDWIKIGSLFKMPKARADSRWVEAKLLQLTRETYGVHLWNKQSSKLMVEQGSVMGRLISENCVLCEHIYSS >EOY08871 pep chromosome:Theobroma_cacao_20110822:5:22626139:22629879:1 gene:TCM_024145 transcript:EOY08871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin sip2.1, putative MARARLIVYDFLMSFMWVWSGILVKMFVSKALGMGNQPKAEILKGAFSIINMFFFAFLGKITKGAAYNPLTVFSSAVSGDFSHFLFTVGARIPAQVIGSITGVRLIIETFPGIGLGPRLNVDIHRGALTEGFLTFAIVIISLGLARKIPGSFFMKTWITSVSKLALHILGSDLTGGCMNPASVMGWAYARGDHITKEHILVYWLAPIEATLLAVWTFRLVVKPITEEKEKVKAKSDHSSAFPSLGKLDYSREGASWVWQNGCLTPSPYPTARPISAVV >EOY07092 pep chromosome:Theobroma_cacao_20110822:5:415866:418245:1 gene:TCM_021606 transcript:EOY07092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDQILNKVGSYWLGQKANKEINSVGDDINSLSTSIEGGAKWLVNKIKGKMQKPLQELLKEYNLPIGIFPRDATNYEFNEETGKLTVFIPTVCEVGFKDSSVLRFFTTVTAYLEKGKLADIEGMKTKVVIWVKVTSITSDGSKLYVTAGMKKSRNREAYEVSRDGVSVDKF >EOY11027 pep chromosome:Theobroma_cacao_20110822:5:37572772:37574594:-1 gene:TCM_026298 transcript:EOY11027 gene_biotype:protein_coding transcript_biotype:protein_coding description:NC domain-containing-related-like protein MRSVSRGALRPGDHIFSGRMLHLYFHHGIYVGKGTVTKSNNEKEEIDDAVIHFLGIGKSRSESPCERCGHSSRRIGVVITCLDCFLEDHSIYVYKYNVPYLMLCLKRSGTCTVWSSKPADEVIQTAFSLLEKNSFGNYNFFGNNCEDFATYCKTGVATSNQTAGVLFGFGVPGIIGYNVFKGIFYRN >EOY09718 pep chromosome:Theobroma_cacao_20110822:5:30715403:30723016:1 gene:TCM_025109 transcript:EOY09718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLTSQNVVLNIVTYTAFINGMCHVERLSVAQELFKGISAHDLVPNMVTYAILLCDGYCLDGIPIDGLSQVGQLRVGKKLFYVLTVKRLHPSFYTKDFINEGYQMKHISCLEKWK >EOY08027 pep chromosome:Theobroma_cacao_20110822:5:4070391:4071780:-1 gene:TCM_022350 transcript:EOY08027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASMELKDMEPVENKDFPSDLISQRHPLLVISHGKQHQKKTFFSISSDRHYPGIIPEVENKLICTSSFGWLVLREDSHECCVLNLESREKIQLPALDMNSSFCILTASPSDCNCYIVFIHGKDNKIIFKFCRPGDQEFSSQTFEGFPCSLSSVAFFGGKIICSLYPESFLLTAEIVGSTLQFSDLITKEVPPFVSAPKLLSQSHLVEFSGEMLLVYRFRFISGSCWDMGFTVFKLDFSKRALEEVISIGENAIFLDEDHGICCPATD >EOY10259 pep chromosome:Theobroma_cacao_20110822:5:33807789:33815433:-1 gene:TCM_025634 transcript:EOY10259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 family protein MSTRSQASLCSRFLSQTLQSRSKTLPSFYRKISTFPHFPAKQTPKSSLSSKGAHFSTASSTEVRDLLAEVEREKRREKEDRTRKGLDTKDIDEEDQEDYMGVMPLIEKLEKEKLKDTGNLDMYEEPTDSDSDEDEDDERFGPEAVQKRIEEFEKKHKRHEELLKDFTEAETLDEAFKCMRKIDKFEEKHFRLRPEYRVIGELMNRLKVAEGKEKFILQQKLNRAMRLIEWKEAYDPNNPSNYGVIQLEQVGQSDDLVENAGQEEEEQMVRGAAADDNDDEEFDDMKEKDNILLEKLNAIDKKLEEKLADLDHTFGKKGKLLEEEIRDLAEERNALIEKKRKPLYRKGFDVRLIDVNRTCKVTKGGQVIKYTALLACGNYHGVVGYAKAKGAAVPIALQKAYEKCFQNLHYIERHEEHTIAHAVQTAYRKTKVYLWPAPTTTGMKAGKTVEIILNLAGFKNVKSKVVGSRNPHNTVKALFKALNAIETPKDIQEKFGRTVVEKYLLH >EOY09688 pep chromosome:Theobroma_cacao_20110822:5:30541332:30543330:-1 gene:TCM_025079 transcript:EOY09688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein MVLVESIATTSLLGHRPLCGIISIKDVSCKQKSSSYCRFPSSEFIGKRIVESPLPRLIVGRLINLPIKALAMELTKEVHAYRDEERTPRNWNYQIDTSVGQKPCSWPPENRADNASLHNPLLRQERMGCGWLGALFEWEGVIIEDNPQLEKQAWLALAEEEGKSPPLAFILRRIEGMKNEQAISEVLCWSRDPAQLRRMAARKEEIYQALQGGIYRLRNGSQELVNALTRYKIPMALVSTRPRKVIETAIRATGIEGFFSVIVTAEDVHRGKPDPEMFVYAAQLLKFIPERCIVFGNSNQTVEAAHDARMKCVAVASKHPVYELGAADLVVSRLNKLSIVDLKNLADIESAEFGSGEPELELEMEEEEYGPSTSLAVDDIFW >EOY09704 pep chromosome:Theobroma_cacao_20110822:5:30651405:30653215:-1 gene:TCM_025099 transcript:EOY09704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A regulatory B subunit family protein MGENSWKGKRSIDKRKIKSSCRVTVFEKILLEINNFSQLCTYVSCAQRNLTKFSNVFFQESIDLVSSNLFRALPHSFTNAAVAIRFEESYYINRRNGVDDIPFQDPSWPHLELVYRFLSSLIKSPMTDPQLAAPCIKPSFILKLLDLFQSEDRRERGALVSVLQVIYRKFGVHRKLIRNSFFNVFYCCANEKHNAIPHLLLFLRSIVVEEEDDDDLGVQEEFRLFLVRGLIPLYKSKWLVLFYLHLNSCIQKFVEKDCKLADTVIQGMLRHWPTVDSAREYFFLDGVERVLEETQPDRFQLFMIPLFLRIANCLSSPHFKVAEKGFSLFNVHGNIQNLIKQYLDVILPIIFTDLEESAMNHWRHEIQKLAHDVSNSLMYIDPELYEECLMHLK >EOY09599 pep chromosome:Theobroma_cacao_20110822:5:30129910:30133553:-1 gene:TCM_025014 transcript:EOY09599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQPSGDIAKGPMPFSSDTTMFVDNTASDQGEDDWFPISEDCFDDDFDDKHNDCLEDDWGDNSDILNCNHAEGSKEHARAIDLGDVQCDGLIYNSPIVGDNGICSLETLLNDSVQESVNAGVYRPWLILGIKKAVKNTYPNAHQGLCDYHSQKNLKNKFKRDDVAIIFTLARDCYKEFIRDMFQHWFHDRHEQAVNVNTDLSPWATR >EOY10376 pep chromosome:Theobroma_cacao_20110822:5:34411205:34413942:1 gene:TCM_025745 transcript:EOY10376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MTSSSTPKTLVKTLLKTPQSLKTKSQAKELHAQFLKTQPHSPSSTSILISMYTNFNLLHDSLFLFNSLSSPPLLAWKSITKCLANSGLFLNSLTCFVQMRGLGIYPDHKILPFVLKACAFIKNLRLGESIHGCIIRLGLDFDLFTGNALLNMYAKFQSLEVNGGHKVFTFNMLDGMPKGNELCGRLAQKKGRNVFQLDSVRKVFDMMPKRDVVSWNTVIAGNAQNGMYEVALRMVREMGNANMKPDSYTLSSVLPIFAEYVDVMKGKEIHGYAIRHRFDSDWYIGSSLVDMYANCSRIEDSCRVFNLFPQRDDISWNSIIAGCVQNGMFDKGLNLFRQMLTAKVKPRDVSFSSIMPACAYLTTLHLGKELHGYIIRGGFDDNVFVASSLVDMYAKCGNIKAARWIFDQMEYHDMVSWTAIIMGYALHGHAHEALLLFKQMEMDGVKPNYVSFIAVFTACSHAGLTDEAWRHFNCMTQNHGITPGLEHYAAMADLLARAGKLEEAYEFISSMHIAPTGSIWSTLLSACRVHKNLELAEKVAKRLFEVDPENVGAYVLMSNIYAAAQRWKDAAKMRSSLKKKGIRKEPACSWIEVKNRVHTFMSGDKSHPLYERIYKAWKDLLEQMEREGYIPDTSEVCHDVEEEQKKYLLFSHSERLALAFGIISTPTGTTLRITKNIRVCVDCHTAIKFMSKISQREIIVRDNSRFHHFKDGKCSCRDYW >EOY10092 pep chromosome:Theobroma_cacao_20110822:5:32961113:32962177:-1 gene:TCM_025473 transcript:EOY10092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSPGYVFDPTDREIVSYYLPRLIAGRGNTAFLGNLSYFFNVRDVYSRKPSLLFETSNNGERKPLPFMKGNQRFFFSSRRQRVAETNSDWKSYRRTVQEEGQGEPQGCWKRNSVTQPIFDDQNEEKKILGCVNLLGFFEYRIGENNKKEDIKSNWIMYEYYLLADRFQDWVICKIKDKNRSEEDEFDARLLHRLFSPKETVVADGSQTETQPVPSQSSNLVQARRDFDLNELPRDSPSP >EOY07529 pep chromosome:Theobroma_cacao_20110822:5:1871279:1874780:1 gene:TCM_021939 transcript:EOY07529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYSQIQPDLWPHSSALESTKSGAPLGSQGRLDLLLIWKELLSSPPLLLAHASLFLRLTPCACFQHEYLQAPYLFSVHAIRRVQRFQLAANPIKEVKANKLFETNKHVKTGSSGTKLLK >EOY11299 pep chromosome:Theobroma_cacao_20110822:5:38866693:38871288:-1 gene:TCM_026530 transcript:EOY11299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MFACFNVKRYEDNQTFFIKNGGRLLEELITFCNGKSNPIRHFSAKELLIATNNYDPRQIFVENFGYQLYKGSLNDRLIFVKKYGYLWGSEDNAYKDIAIGSQMSVHKNVLKVTGCCLETEIPTTVYEFAGTKILSTCISTTNVEPLRWKCRLKIAIGIANAIAYLHNAFSRPVIHRDINCSNIILDQNNVPKLIDFGLSVSIPEGKSDIEDPLTRRTLDVAPEYCVRGYITEKVDVFQFGLLLIELLSGYQTVLCTATRCSDQKHVEMLVNGVDSRIKNEGIDMKQLQDFATLILRCTSYDEEQRPTMIEVAKELRRIDQSFPSPSEAAREDCYGNKILHSLVGTLIWLKEGYGKILFMNLVEKCICEVHEGQPEPLTWKSRIRIAMDVATAVAYLHTALSRPIIHREGKSHVEDAISGITGYMAAEVWEGSKINEKADVYSFGRLLFELLTGTNNASQYYAAEDAIVEEFVQSYVESNRLIEIVDQNISSEGINRDELVAFANIVPSCTKENPEDHPTITDVAKQLRQLHKASPCISAIYFTSISLIYTSYISLDSCSYIH >EOY07260 pep chromosome:Theobroma_cacao_20110822:5:953247:955244:1 gene:TCM_021725 transcript:EOY07260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha 1,4-glycosyltransferase family protein MSPQKKFSVRSMLGPFRRMSKKSLTYRLISRMAKSPVLSTISLAAILFFIYADSLMSNLPVHNANIKTGEVFPSTQTQTTPVVEGEGQVATSLNSLPVAAATTIQERKIEETENEDPLIPPFKASVDERMEWFRRKLPELEILKSNELSERFHGRVLELFNNKCSAQFFMVWLSTAQSFGPREFLAVDSLFSTAPDGCLLILSSSMDSPKGYRILKPLIDRGFNVLAVTPDLPFLVKNTPAEPWLDDLKSGNMDPGSIPLFNHLSDLIRLAVLYKYGGVYLDTDFIFLKDLSGLRNAIGAQSINQQTKKWTRLNGAVMIFDIHHPVLVEFLREYATTFNGNRWGHNGPYLVSRVLERLRENSEYNITVFPPKAFYPVDWIKIAKLFKKPETEADLKWADDTLADISNDSYVVHLWNKRSRELKIEEGSVMARMIAEHCNICENIYDP >EOY10371 pep chromosome:Theobroma_cacao_20110822:5:34397946:34400000:1 gene:TCM_025742 transcript:EOY10371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane MALNPQLFQNGMPIPFTNEMLVLVRDGVEFEVDKIPGSNGGRVKARGAIYLSNIRMVFVATHPDGNFFAFDMPLFPYSPWVEDLDNVNEGSPVLKPKALVGLSQNLALLLRLLRLSLATSFVVYPFLLKFPSEYLGQIVLHIEADLYIYSSSFMQLYVHDEKFNQPIFHCNNISGHVEPVVPENEHRALYSTHSFKILFKEGGCGTFVPLFLNLISSVRQYNQQVNPGPEPRMDPLQAAQTPVDEMMRHAYIDPNDPTRIFLQQPDTQSQLRRRTYQSQPVEGSM >EOY11550 pep chromosome:Theobroma_cacao_20110822:5:39579244:39583774:-1 gene:TCM_026689 transcript:EOY11550 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MALFRSNKNIFSLTEDLLVRVREKLATESDRKSFRLVCREFHRIDLLTRKHLRVLRIEFLPSLLQKHPQLQSLDLSACPRIDDRVVSFLLTRVGPGSNSPGWANWTRGLKCLVLSRTTGLRFTGLEMLARACPCLKSVDVSYCCGFGDREAAALSCAVGLRELKMDKCLHLSDVGLAKIAVGCLKLEKLSLKWCMDITDLGVDLLCKKCLDLKYLDVSYLKVTNESLHSIASLLKLEVLGLVACPLIDDAGLQFIGHGGPLLRVIDVSRCEGVSSSGLISVVRGHSNLLELNAGYCLSELSTTLLHWIKNLKHLEMIRIDGARIFESSFPVISTNCKSLIEIGLSKCVGVTNMGIMRLVSGCINLRVLNLTCCHSITDAAISAIADSCRNLVCLKLESCHMITEKGLCQLGSSCLLLEEIDLTDCCGVNDKGLEYLSRCSQLLCLKLGLCTNISGKGLSYIGSNCTKIHELDLYRCSGIGDDGLEALSRGCKKLAKLNLSYCKEVSDRGLGYIGHLEELSDLEMRGLFKITGVGLEAVAAGCKRLADLDLKHCDKVDDSGYWALAYYSRNLRQINLSYCGISDIALCMVMGNLTRLQEAKLVHLGNVTVEGFELALRACCIRIKKVKLLAPLRLLLSSEILETLHARGCIIRWD >EOY11665 pep chromosome:Theobroma_cacao_20110822:5:39990909:39995081:-1 gene:TCM_026770 transcript:EOY11665 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT zinc finger,PAPA-1-like conserved region, putative MEGFGGLGFSNVSNATRKRRSNTSRRPRSESQPQSELHDFSSLSSTPPSDNNLANVEDGAYGESDEASNDDSFQGSNVQRHGGVDSKRSSEGVLAPTNWKSTSMVGSFGIVSDGQGNEKKVKKVKLKVGGVIRTIDANTVSDGASGVGSSSTKSSHFSDAPRSMRKSIIKDNSDDHSLTSEKESSLRGVPWKDFPKGRLGVRQVDYSRGRIPAETTNETDKHEPVRKSKRVPKKRSLEGVLDDAEVDDEIRYLEKVKTTRVTADYDVEHGEDGGRKQRKISKVVNRNVGGLYVLDVEDYGSSRMGKEAKKSRSGRTSEDTDYMEEDEESVSDVEPENRRKRAKMEIVDFLGDSKKEMTVTTRQRALQAGKHISSSFGVSPIEFPNGLPPAPPKKQKEKLSEVEQQLKKAEAAQRRRMQVEKAARESEAEAIRKILGQDSSRKKREDKIKKRQEEMAQEKVANSMILASDFVRWVMGPSGTVVTFPDEVGLPSIFDPKPCSYPPPREKCAVPSCTNPYKYRDSKSKLPLCSLRCYKAIHKKMSPLAAC >EOY08915 pep chromosome:Theobroma_cacao_20110822:5:23022299:23029036:-1 gene:TCM_024189 transcript:EOY08915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFIKAWKEIEDDTVLIYKLSLDCLLNIKRVLKCFQAILRLKINFHKNYFFEVGVNQHQIGGYAERIRCKPDTLLTTYLGLPLEGRVNSLKVWQLVVEKFESKLFMWKSRYLSMKGKLTFLKSILRSLPIFLMSILQILVSIKEKLARIQRSFFFKEDQWIVEKCIMLIGLPYVFIRILEDWVLWTCPYKPGSIY >EOY10937 pep chromosome:Theobroma_cacao_20110822:5:37092023:37096314:1 gene:TCM_026201 transcript:EOY10937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MATNNQSTDQDTFVPLDVDPLYQAAGRDVSDESAERPFALTAAIISEEERIRFKYYHQLYLAALKGNWEAAKGYFQDNDPNVFTAEISSTRMNALLVASCNGHTKLVEMLVKRMPKEALEKRGPGGYTALHHAAIGGHLKMAKGLIRKNPRLTQITDNSGNTPLLCAVLLFSRHKLVRYLASATTIEKPERPFSGCKAGDLMVNLTHMGFHVPVHDEDDPLETSDQDLVVEKQSQTNEAKTQVYQGVKEVLWRRSKYLVPCIYKVGEKKLGHERACKLVHLCLKALLNYNERERAKYFNEQEILRYAACCGVVEIVTASLHYFPDLIFSKHGRMILKNAIQWRQEKIFNLACKSTALDKMLATQCYKPSISHLAAELPTNRQLSVDSCAALQMQREVQWYKAIESIQHPRMKQLTSAKGKTAFDCFSENRKQMRENAEKWMKDTSDSCMLVSTLIATVAFAVAFTVPGGNNEQGIPIFLNKTSFRVFVYSDALALFSSVTSILMFLSILTSSYKEEDFHRALPKRMIIGLASLFFAIATMMVAFGAALAIVLSERLKWVWGPIIFSASFPATLFIMLQLPLFIEMVQSTYGSIFQREKIWKLSGHRDAADPLY >EOY10869 pep chromosome:Theobroma_cacao_20110822:5:36877704:36880743:1 gene:TCM_026164 transcript:EOY10869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MEIKLASHEHSMQYHCFHETDDILCDKCSKKICGAAYACLSCQLWLHESCAKALEHLPREITHPLHSQHHLILDWSGITNEFICDICLKISSGTNYVCCRCDFELDLVCAFSAHDDQQAMKKKERSSADRDKQIIQHYCHRDPLVLYKHSSKEGNDSNCSWCDKPLTGIFYGCKGCRFFLHEFCTNKIPKTLNHPFHPSHPLRLHFVDSNTNCNACTQLIRQRTASTYSYCCQECNFNLDFDCAKLFPTLKHECHDHYLTYFGLNNFKDKIIKYYLECNTCHELCLDSLYRCVQCDLNLHLKCVPIPPIAEHGYHTCHQLVLENSIKEDDFGEYYCDICEEERDPTHQVYYCKKCTYITHIQCVLNKDKTSAGKVSSSAPESIDSEAFVEKEMEEFGTIDDHLQQTLVRPLIHEHPLKFCEATEKFEHQYCRACRLTLSGPGYICEECPLYIHGYYLHDKCSHLPSEIQHPLHSHHGLNLYTRPPHMVDFIICDECGDISPGFIYLCEECDFKLDVKCAMRAVPKSELSTLKEAERETELFHFSHKHKLLFCNFRDPTYKRQCSFCRLQIFGPTYYCFRCGWVLHESCLKLPQVMQVPIHPQHILALSYARYGFCHACALKLLSAGYSYSCEECYLSFHITCADSLRRALKRDSHMHDLYYFGTEFQRFFAMYSDFIDIFAGYFCSHCGEICSGQAFYRCLECHINFHLECVTLPQTVKSKNHVHHLIFKDSFIEDDLGEYYCDACEKERHPNDHIYYCEECQGLFVTHIECVLLKVNL >EOY09575 pep chromosome:Theobroma_cacao_20110822:5:29952686:29953891:1 gene:TCM_024992 transcript:EOY09575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGMGLLTMHLQATALKVSQILQSKSWESGPPGRLKMRISWMFFSLLLLLLASAEFGPALATRGVYAARIPEHTCHKVIGTSICELQKCIQECSKEPAGAGDCRDNICYCSYYCKDPPM >EOY10560 pep chromosome:Theobroma_cacao_20110822:5:35351193:35355407:1 gene:TCM_025894 transcript:EOY10560 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein MATTTQKLVVEVIDARNLVPKDGHGASSPYVVIDYYGQRKRTSTVTRDINPTWNEVLQFNVEKPSDVFNDMLELDVYHDKNHGPTNRKNSLGRIKLSSGQFVKKGEEALIYYPLEKKYLLSWVQGEIGLKIYYADEVGPPPPVAGQPVEETKAEEVKAEPPSSSAAAPVSSDQQPETAEVKPDDTPASSVEGNPAPALSEQPAEGEKAKEAVPAGEENGAAEGEKPEEGGDQVDPEADSQSAQHDHHDDDIVLEPTSANWAPTPQPEIMASTISGSIPEIKVARTTSAPPPITRPATPTNFASSVDPPDHTPIERSSFDLVEKMHYVFVKVVKAGSLPTNGNPVVKIAISGCRVTSKPARKAMYFEWDQTFAFARDTPETSSFLEISVWADAGGANFLGGVCFDATEMPLRDPPDSPLAPQWYRLEGGGAHRGDLMLATWIGTQADEAFPDSWKSDTAGNLINSRAKIYQSPKLWYLRASVLEAQDILPLTALKEGSFQIKVQLGFQVQKTKVSVSRNGNPSWNEDLMFVAAEPFGDHLIFYLEYRQSKGPVTLGVVGISLTAIERRVDDRKVISRWFNLEDPKNEKKGYKGRVHLSLCFDGGYHVMDEAAHVCSDYRPTARQLWKPAVGTLELGIIGCKNLLPMKTINSKGFTDAYTVAKYGSKWVRTRTVSDSLDPKWNEQYTWKVYDPCTVLTIGVFDSWGALDIDGGKEATRPDSRMGKVRIRISTLEMGKVYKNTYPLVMLGNRGVQKMGELELAVRFVRAAPTLDFLHVYSQPLLPLMHHIKPLGMAQQEMLRSTTVKLLAAHLSRSEPPLRSDVVRYMLDADSHTFSMRKVRANWSRIVNVLSGLIDIVRWIENTRAWKNPTATILVHALLVMLVWFPDLIVPTIAFYVFVIGVWNYRFRSRDKLPHFCPKISLADTVDRDELDEEFDTMPSTRSPEIIRVRYDKLRAVGARVQNILGDFATQGERVQALVTWRDPRATGIFVGLCFVVAFMLYLVPPKMVAMAFGFYYFRHPLFRDRMPSPAMNFFRRLPALSDRIM >EOY09157 pep chromosome:Theobroma_cacao_20110822:5:26688689:26690371:1 gene:TCM_024558 transcript:EOY09157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone synthase MALPENFGRQKQAQSAATILSIGTANPVFCISQIDYPDYYFRVTKSEHMTQLKGKFKQICEKSMIRKRHFVLTEEILKKNASISTYDDPSLDARREIAFAQVPKLAMEAASKAIQEWGQPKSQITHLIFSSLSGMDMPGADYHLTKLLGLPSSVKRIMLNFQPCYASGTILRMAKDIAENNAGARILVVSTEISIGAFHGPNEHDIASLIGQAIVGDGAAAMIVGADPDVLNERPLFQIVSTAQNIIPGSGGAIEGHVREAGYSIQLSKDVPKLIANNLESCLSEALSPISINDWNSFFWIVHPGGSAILDQIEIKLGLKKEKLLATRHVLSEFGNMSVACVLFILDEMKKKSLEKGKATTGEGLEWGLLIGFGSGITLETVVLRSFPITITHP >EOY09367 pep chromosome:Theobroma_cacao_20110822:5:28612980:28613834:1 gene:TCM_024787 transcript:EOY09367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMSSASNFVPEKHPPERGNSLPLFGDPSKKVIPCWAAIGPTRRSVGAQIHYARPASGSPQGEIQNAPF >EOY10078 pep chromosome:Theobroma_cacao_20110822:5:32884858:32890159:1 gene:TCM_025460 transcript:EOY10078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIMHEYLSSCLLFMLIRNLLFHLMAKTCFTSKVHYGGAPGKIAFGKKRKNATTASLFKKMQVRRNGVISLVLEN >EOY09771 pep chromosome:Theobroma_cacao_20110822:5:30976528:30977248:1 gene:TCM_025154 transcript:EOY09771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFTLCSWESDESGSKSISAKGLGTRRGSTKWVSPSALGKVTSQPANRFQPRVSAQEGDPPNGVQPLLSAQEGEAKLRIWEK >EOY10223 pep chromosome:Theobroma_cacao_20110822:5:33553660:33557274:1 gene:TCM_025579 transcript:EOY10223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVLRLISPVRGDSFWLFGSGLVTIIRLSSKGDKKPHWRPGIFMKTAYGKSLSNVMRGNTKHKRLMPWILYNQHYEVVAYCIT >EOY08720 pep chromosome:Theobroma_cacao_20110822:5:18633228:18640869:1 gene:TCM_023802 transcript:EOY08720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGQQAGCSVPKKQGYGAKASESWTLQCQEDEVAVHGAASKVLNTKEARLWCQGIRELGVTAPKSEGHDASTIFFSFFFSYLI >EOY08187 pep chromosome:Theobroma_cacao_20110822:5:5466058:5466575:1 gene:TCM_022527 transcript:EOY08187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYMRILFNNIRIPTFFTDSLQSLSFPNHVNFIAWLVHHQILLQTQHLASEFPFTRFTAAQYQYHCHEQSTVPGKLLRHCSCRRNSPHLFLFSFCFCLIV >EOY11262 pep chromosome:Theobroma_cacao_20110822:5:38724838:38732787:1 gene:TCM_026507 transcript:EOY11262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine-uridine preferring nucleoside hydrolase family protein MYAFVWNHEVRRLFSQRVMRVVWVVAMIGGLGAFVSFVDPDHHLHQPHRILVDTDVDTDDVFALFYLLKQDRTQFHLQGITINANGWSDAGHAINHVYDILFMMDRDDIPVGVGGEGGILPNGTILPNVGGYQPIIDQEMSTAGECRYRQAIPVARRGRLDVNSNYGLRKAFLPQGSRRYTPLQQPTAQEVMIDAISSGPTTVFLLGAHTNFAIFLMSNPDLKKNVKHIYVMGGSVRSNCPKNDSSDNSGECPNIGNLYPQDSNPYAEFNIFSDPFAAYKVFHSGIPVTLVPLDATNTIPLSRSFFMEFERRQDTYEAKYCFQALKIIRDTWLSDVFHEQYCMWDSFLVGVALSIMRNSHNGENEFAEMQYMNISVVTSNEPYGISDGSNPLITGNSMPKFKVDKDGVHSGHVQMGMQDPFCIQKGKGKCQDGYTKEDTGEDAVRVLVAMKAKSNHDNGSSLQKEFYKSFLRVINSPKQTGRFDIRKQYPFHREVLHKPDFGKKMSGKPVVFDMDMSAGDFLALLYLLKLPVEKINLKGILISSTGWATSATIDVVYDILHMMGHDDIPVGLGKAFAVGQANPAFSAIGDCKYSKAIPYGSGGYLDSDTLYGHARDLPRSPRRYTAENSVKFGASRDTDHPELRQPSALDVWKSIVDSLDPGSKITLLTNGPLTNLAEIILSENASSMIQKHQAWTSGPNDDTVYFYLLMQGVYIVGGHIAYLYDSGKGNLFTVPSNEYAEFNMFLDPLAAKEVFTSSLDITLVPLQMQRRVSSFSTILNRMNVTAPTPEALFVQRLLSRLWQLQQEYYRYHHMDIFLGEILGAVVLAANPHLNQTFRLKPLKVLGDGDITKIGEIIIDKEQGKQVHVLENVNPQAYYDHFAMVLGDHRQSAVLGSFHEQERMWNSPAVKTNMSCLHLDELIQADQYMEKHLIVNLADLVWLTSN >EOY07912 pep chromosome:Theobroma_cacao_20110822:5:3286298:3288915:-1 gene:TCM_022233 transcript:EOY07912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter 2.4 MTETENEPGRSMHGVSAKEQAFAFSVTSPTIPTDPTAKFALPVDSEHKAKVFNLLSFAKPHMVTFHLSWLSFFTCFISTFAAAPLVPIIRDNLNLTKSDISNAGIASVSGSIFSRLVMGAVCDLLGPRYGCAFLIMLSAPTVFCMSFVSSAGGYVAVRFMIGFSLATFVFCQYWMSTMFNGKIIGLVNGTAAGWGNMGGGATQLLMPLIFELIKQAGATPFTAWRIAFFIPGWLHVIMGILVLTLGQDLPDGNLGTLQKKGDVIWYAITNYRTWIFVLLYGMSLGVELTTDNVIAEYFFDRFNLKLHTAGTIAATFGMANIFARPMGGFLSDIAARRFGMRGRLWNLYILQTLGGIFCICLGRANYLSLSIFSMILFSIGAQAACGATFGIIPFISCRSLGVISGLTGAGGNFGSGLTQLLFFTSSKYSTATGIFHMGIMIVACTLPVTLVHFPQWGSMFFPASKHGEKCSEEHYYGSEWNEEEKEKGLHHGSLKFAENSRSERGRRISSAPKPPTSTPRDV >EOY11605 pep chromosome:Theobroma_cacao_20110822:5:39829628:39832168:1 gene:TCM_026736 transcript:EOY11605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MMRAWKCTSSSSALQLRLLLLGNSFSLSPPIFVSSFQYPFFSTSSPRFNKCKYVFHKIDDALDLFNHMLRTHPRPCIVEFTQVLGAIVRMKHYETAVSLSRQMDLFGIRHDVYTFNILLNCFSRLHRTDFGFSLLGKMLKLGIQPNIITFTTLVNGLCFEGKIAQAVMLFDEIVRNGYQPNLITHNTIINGLCKVGYTTGAIRLLRNMERSGCLPDIVTYNTIIDSLCKDKLVAEALNLLSEMTGKGILSDVVTYSCLIHAMCNLGQWKEVMRLLTEMVANNCKLDVVSYGILVDAFCKEGRVSEARDIVEVMIQQGVDLDTITYTTLMDGYSLQGKMDEARKVLNLMITKGCVPNVCSYNIMVNGFCKLQKIDEAKELFDEMSRNGIVPSTVTYTALINGMCQVGRLGAARELYKEMSARGLVPNTVTYSTLLHGLCKHGHVHEAVELFHVMQSNGIEANIVHYSILIDGLCQVGQLNVARKLFCALPVKGLHPNVYTFNIMIKGLCKEGLPNEAYDLFRKMEMNGCSLDSCSYNTMIKGFFQNNEVSRAVQILHEMVGKGFSADSSTATMVVDLLCRNGGDQSILELLPRNSEDRSKRQHDMTCYPSNKNSTLKVFLCCKEFL >EOY10569 pep chromosome:Theobroma_cacao_20110822:5:35392893:35393763:1 gene:TCM_025902 transcript:EOY10569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFTKEEKRKEGTANLVCLEPNPNGKCMATESVLATSIKSLSSIKHVRWPFPTSHPGPASPVPLQFNSFSCHYYLWKQLMQT >EOY09145 pep chromosome:Theobroma_cacao_20110822:5:26456106:26457057:-1 gene:TCM_024539 transcript:EOY09145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSTYIATASPIFNGENYPICAVNIKTYLKAFDLWEVVEVEREPPVMCHANPTMAQPKQHSEEVAK >EOY11676 pep chromosome:Theobroma_cacao_20110822:5:40014160:40015923:-1 gene:TCM_026776 transcript:EOY11676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase-2, putative MHHLQFFLHSMLVLFLFMSTRADARKIKYDVQDAIAKTITVDQSGHGDFITIQKAIDSIPSKNSVWIHIHVKAGIYNEKLKIPRDKPRILLGGESRRSTVVQFGDGGTSIESSTFSLDAEEFLAMDITFKNTHNLQPGNPITWAPAALINADKAAFYRCGFIGVQDTLTDSQGRHYFENCYIEGAMDFIWGNGRSIYQRCILNATASLLGRGGTAYITAQARDSTTDASGFVFKYCSVFGTGPAYLGRAFRQYARVLFYQTQMANIIVPEGWSAWNNVGKEDSIVYAEVDCEGPGADKSKRVPWEKNLSPQDLSYLINVDSFINEGGWIQRQPTIHPRTC >EOY08553 pep chromosome:Theobroma_cacao_20110822:5:10542435:10549046:1 gene:TCM_023111 transcript:EOY08553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSKFMPWWLCCVIDQIKSHSANDLKAAMSKARGVTLPLYNKTFCFHVWNPKRGLNYQKRIGERAKKYP >EOY11476 pep chromosome:Theobroma_cacao_20110822:5:39382811:39387945:-1 gene:TCM_026637 transcript:EOY11476 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MIFGEGIVVDVLTSLMLFCGTISKLVPDYLTERIKLWQERLKNYFFPSIRITFDEFTSGLCHRSDAYIAIEYYLSSKSIARVTRLKAESYKRKTPLLFSSNHYEDIEDEFEGIKPASFDSFAMYPEKKKEIINDLIAFNDSDSDNKRKSKSKVTLSRFLNFVDGIWSACGGERIIVFTTNYVDKRDPALIRRGRMDMHIELSYCILGRFKVLAKNYLNLDSHPLFEKIGNLLEEVNMTPADVYEHLLHGRVGIDPKACLESLIEALETAKEEKIEEEDAKTLETVEEEEIEEEIVEEEEIEEEDAKTFETVEEEEIEEEYFYGDGFAVRVPSEFEGIMEPEDFNGGASLSGDKAKPRTFAARFASTDGSEVLSVVIRPTNQLKITFLEDCVLMFSITARLASRAYLNCCNVRNICSVQICRGKNKSLGYRSISTY >EOY09877 pep chromosome:Theobroma_cacao_20110822:5:31459720:31462437:-1 gene:TCM_025247 transcript:EOY09877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adipose-regulatory protein, putative MEEQEQEVEAYSVIPKPADWLTSLISLQADVLYNCFITLASPFSTFLSVASESYHRAEETTACVGTAVQEVPSEITHASIILLKRVALGLLGAAQVCMLLVLVMVLAALVGIGLVQTWLEEPVFVREKLYFDYTEVNPKAVLCFGGSGFDCSSHRKRQMAVPVGHTFHVYLVLLVPESDFNTHIGVFQLTAELLSSNGNVIAKSSQPVMLWFRSLPVRLARTFLMGLPLLLGISSETQKIKIEILRYKEGHPRTEAVRVILAPRAGTSLLPQLYDAQIIMNSQLPWNKQLLRNWKWTLYVWTSLHVYIMFLVILIGCCKPLFFPVITAGFSNRDEGEAGEEESIEPVISGRNDQSEVSDLLSKWYHSRRKHKATFLHKNFSDIAGSSASSMSVTREDTSGVIEEDVGDSESVCLGG >EOY09903 pep chromosome:Theobroma_cacao_20110822:5:31606421:31613635:1 gene:TCM_025270 transcript:EOY09903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIDLPLAFSNGNKQIVRCCVRVWINNSRRQTVAGKHLTASESTRDTLACCLLKTNKCQMVQQSPTSQGQQPVE >EOY08427 pep chromosome:Theobroma_cacao_20110822:5:8097713:8100719:-1 gene:TCM_022852 transcript:EOY08427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWPPTGRRTPPTPPHWLPNPSIHIGSAHTHSTPPPLTHGCLEKPPVIPLMLDPSIHKDRPTAVFFENEIQTLTQPFSYSIIALMWIAKIIGKSLYVDEATANGSRPSVAQAMENASNVTIQKHKKMRCRKLAERMEKSVIKGGGLVLSDESQPDESEVRPLSASCMHEGTLGSSDESGFNKENSLVPSHTDVSHANLEVHPMVLYRSKRVPLWDCVRTVSAGIEGPWLARGDFNVILNRTEKLHGATPHGGLMEDFATTLLDCGLLNGGFEGKPYTWTNSHML >EOY09965 pep chromosome:Theobroma_cacao_20110822:5:31993698:31996898:1 gene:TCM_025336 transcript:EOY09965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin related protein 4C MRAGYHEDVASGSNGVLAVNHTVVCDNPQPLTILPITAQDPLMARKEEEVAVQAPIISQYNDQIRPLLDAIDRLRLLMVMKEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRSQGICTRVPLIMRLQSHQSPAPELHLEYNGKIVPVEESDITTAINLATDEIAGHGKGISNTPLTLVVKKDGVPDLTMVDLPGITRVPVQGQPENIYEQIRDIIMEYITPEESIILNVLSATVDFSTCESIRMSQKVDKTGERTLAVVTKADRAPEDLFEKVTADDVNIGLGYVCVRNRIGDESYEEARREEARLFQTHPHLSRIDKSIVGVPVLAQKLVHIQANIIARCLPVIVKNINEKLNANASELERMPKALTSVADAMAAFMRIIGAAKESLRKLLLRGEFDEYPDDSSKHGTARLVEMLNQFSDELHESEDNDPTKDFLIEEIKVLEDAQGIGLPNFLPRSAFLRILQTKVDRISNMPIEFAEKVWDCIDGMVTSVLTRHSEIYYQLKVSTKKAAHNLVERMREQSMNRVKEIVQMEKLTDYTCNPDYMVKWTKLMTQQESFHKKVNDAVMYGHSRVSLEGFGDIEVEHLRRHRNVLHQAFDLKVRMTAYWKIVLRRLVDSMALHLQYSVHNLVNNDMEEIVNELMGPDGRGIERMLVESPAIAGKREKLKRSIKLLKESKDVVAKIMDRIAGYDD >EOY10598 pep chromosome:Theobroma_cacao_20110822:5:35527678:35528866:-1 gene:TCM_025925 transcript:EOY10598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLIRKKKPSAVLQFRILSVSLALLLLTAWLSLLGIASLLLSMIIFFFLAKYFISPSNAIAVSLLCCGLSSSVPTSVSFSFSHEPPSSRLSLIFSTSLRSQDQWQIC >EOY10107 pep chromosome:Theobroma_cacao_20110822:5:33009682:33014590:1 gene:TCM_025484 transcript:EOY10107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein MVNLKISHRPSICYRPIQPSDLAILEQIHSDVFPIRYESEFFQNVVNGRDIVSWAAVDRSRPNGQSDELIGFVTARIVLARDSEIADLLRYDSSKVDQTLVYVLTLGVVDAYRNLGIATALIRKVIKYASSIPVCRAIYLHVISYNNPAIHLYKKMSFKCVRMLHGFYLINGQHYDSYLFVYYVNGGRSPCSPLFDVLSLMGWSPPGCGRELVTVVLSCMKSGLKSVAAKLRQNEEKMVKWHKCKETRGLISTQNKGTIKTECSGCGYV >EOY09422 pep chromosome:Theobroma_cacao_20110822:5:29038088:29039302:-1 gene:TCM_024840 transcript:EOY09422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTMKISHSALGTKHPLYDIAALKHFFLVVLANSIIEVALLDGARSMPVTLLFVLQVPLTPIACLHP >EOY09011 pep chromosome:Theobroma_cacao_20110822:5:24741546:24775330:1 gene:TCM_024344 transcript:EOY09011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar essential protein-related MVRPYAIKGKKRKMREEKYDREEDEEVEVEEKESAKRGSIEKPQGEAPDEEEEEDESGAHELVGIPIAPNDQNTKKAGVIFVLEKASLEVAKVGKSFKLLNSDDHANSCEKIRRILPITDLTLLISAPFLPQALLAILDSPINKAGRLQAVYVKTEKGVLFVVKPHVRIPRTYKRFSGIILQLLQQHKITAVGKRESLLRLIKNPVTQYFPVNSRKIGGFSYSSEKLVKMNKYVDAVGDDVNLVFVVGAMAHGKTETEYVDDFIAISGYPLSAATCVARITEALAEKWNILIGFLGVKLNVVQGLTIMS >EOY10736 pep chromosome:Theobroma_cacao_20110822:5:36181296:36183060:1 gene:TCM_026036 transcript:EOY10736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 111 MNDLMTKSFMSYVDLKKEAMKDLEAGPDYDLEMSSTANTMDQNLGLFLEEAEKVKQEMAVIRELLGKLQESNEESKSLHKQESLKALRNKINNDIVTVQKKARTIKSQLEEMDRANAANKRLSGCKEGTLAYRTRIAVTNGLRNKLKELMMDFQGLRQKMMTEYKETVGRRYYTVTGENPDEEIIEKIISDGNGGEEFLTRAIQEHGRGKVLETVVEIQDRHDAAQEIEKSLLELHQVFLDMAVMVEAQGEQMDDIEHHVMNASHYVKDGSKELNTAKQYQRSSRKWMCIGIILLLLIVLVIIIPIATSFSSS >EOY07045 pep chromosome:Theobroma_cacao_20110822:5:286384:289818:1 gene:TCM_021578 transcript:EOY07045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLIKKEKNPQKKKRKKPKERSLPGATKLQHLAKATAQHLNKTGKNAKPTAQNRRIVSEDKSKNKMESSSASQRLPLSDVVSDCVKRWFKDTLKEAKAGDVNMQVLVSQMYYSGYGVPRDAQKGRIWMTRASRLRSSVWKVSDKHPGYNASDSDSDEMKGDS >EOY09097 pep chromosome:Theobroma_cacao_20110822:5:26045632:26047500:1 gene:TCM_024484 transcript:EOY09097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-induced protein, putative MALVAGGRSTLNPNAPLFIPAVYRQVEDFSPEWWQLVTTSTWYRDYWLSQHQDEDGFYDNAEDDGFDGNDIADLLPDTFDLVADEDLSGMDLQFEEFIQSYENEMESASPPLPSNGGLEKDTETLMKNLSLLQSSPRSSSVPAKYAEKPAKTVNPKYSPRCIQQPR >EOY09136 pep chromosome:Theobroma_cacao_20110822:5:26355158:26355639:-1 gene:TCM_024528 transcript:EOY09136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFPAICKALTIKYQQPSNSQTILLALKQKLESWGYVFLPTVRGDCYGGIVAKRKEGEIRVRHNKFPKSLWFEKPCGAESGFHSMPPTPAPGVSHLYLTNQDVALL >EOY10104 pep chromosome:Theobroma_cacao_20110822:5:32993603:32998337:-1 gene:TCM_025482 transcript:EOY10104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor protein HSF8, putative MDSLHDSISAANAAAATNGNTVPPFLSKTYDMVEDPSTNSVVSWSSGNNSFVVWKVPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTISRRKPANVHNNQQPQVQSSSVGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQTTDNQLQTVGQRVQLMEQRQQQMMSFLAKAMQSPGFLSQLVQQQNESNRRLTGGNKKRRLPRQDEENLTGEHGAISPNGQIVKFQPSLNEAAKAMLHQILKMNTSSRLESSMNSPGAFMIDGVPPSNAVDSGSSSSRISGVTLSEVPPASGQSYLQAESGFPDTCPSTATHLTTEHTKVDQISEINKSQKDAAFPNFPQMQGIVPGNTVEFTDASLAGSERGNTEYVDQMSAGLNGGMPVETDDFSTDHDMDILLEGTPKLPAINDVFWEQFLTTSPLTEDTDEITSSSLENGANIEQESLLVQENGWDKIPHMNHLTEQIGLLTSDSRRG >EOY20506 pep supercontig:Theobroma_cacao_20110822:scaffold_543:846:2034:1 gene:TCM_046360 transcript:EOY20506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin A8, ALPHA 1.11,EXPA8 MATTSMQITLSFLFLFCLLGICSCFHGSNRDDGGWQTAHATFYGGADATGTMGGACGYGNLYSQGYGTTTAALSTALFNNGLTCGACYELRCNDDPKWCISRTITVTATNFCPPNYALSSDNGGWCNPPREHFDLAEPAFLQIAEYRAGIVPVLFRRVSCVKKGGIRFTLNGHSYFNLVLITNVGGAGDITSASIKGSKTGWLPMSRNWGQNWQNNAYLNGQSLSFKLTASDGRTITKYNVVPAGWQFGQTYEGDQF >EOY20381 pep supercontig:Theobroma_cacao_20110822:scaffold_186:1:2806:1 gene:TCM_046265 transcript:EOY20381 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein, putative DLDEETPLQARLNMLTSYIGKIGLTVAILVLAVLLIRYFTGYTKDDKGNKEYIHGKTKLDSIMNSVVNIISAAITIVVVAIPEGLPLAVTLTLAYSTKQMMADHALVRQLSASETMGSATTICTDKTGTLTLNEMKVTELWLGKECMKDSISTEIAPNVHELLQQAIALNTTGTVYKPNSRSLPEISGSPTEKAIHGLSGTVKVMDDEERSEIGKIIQNMAAKNLRRAVESCIDAGVNIKMITGDNVFAAKDIAIECGILHSHEDMNEAVIEGVQFRNYSPEERMEKINKVRVMARSSPFDKLLMVQCLKQNGHVVAVIGDGTNDAPALKEPDIGLSIQGTKVARESSDVVILDDNLHLCALVINSITAASSGEVPLTAVHLLWVNLIMDTFGALALATERPTDDLLTKPPVSRPKPLISKIMWRNLIAQALYQVAVLLALQFMGKSIFDVDERVKNTLFSNTFVLCQVFNEFNARKLEKKNIFKGLHKNGLFLGITGMTILVQVVLVEFLNRFVATQRLNWGQWGSCIGLAALSWPIGWLVKWIPV >EOY20270 pep supercontig:Theobroma_cacao_20110822:scaffold_107:11486:19861:1 gene:TCM_046200 transcript:EOY20270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein MGNPSQFLSGYFKVFTKAAGNRKSEKSKGKHARIKCPAAIPEEICCQFSLPEIRAATNNFHPNLFIGKGGFGTVYKGIVDDGTLVAVKRLRQDTAYGLKEFRTEVQLLCQLCHQHLVSLIGYCNDKDEKIVVYELMKNGSLGDHLYGRGYDPLPWKQRLEILLCARRAYDSTLDENELHLADCGKIAPECFKIFVDIAYCCINKKGDTRPEMGEVELMLELALEMQEKADS >EOY20268 pep supercontig:Theobroma_cacao_20110822:scaffold_107:1024:2483:1 gene:TCM_046198 transcript:EOY20268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein MGNPSHFLPSCFRAGNRKPEISKGNEKHARIAALPEEICRQFSLTEIKAATNNFHPKSLIGKYGFGKVYKGIVDDGTLVAIKRFSPLAALGLDEYRTEVQLLCQLRHEHLVPLIGYCNDKDEMILVCKLMINGALLHHLYGSGYNPLPWKHRLKICIGAARGLHYLHTGVKHAVIHCDVNSGNIVLGDKWVSKLSGFTLSKMRPQPSYSSTSKASKKIDSRLVGATSYVDPKYDKGCELSEKCDVYSFGVVLFEVLCARPVVDPTLDEDEKFLVHWVRRCIGEGTIYNIIDPYLMGRIAPGCFKIFVDIAYCCTSEKGDTRPDMGEVEQMLELALEMQEKADSELVDIDPHGKCMYEEVTFSIPVSDHSL >EOY20269 pep supercontig:Theobroma_cacao_20110822:scaffold_107:3346:11438:1 gene:TCM_046199 transcript:EOY20269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein MGNPSRFLPSCFKVITKTAGHRKSEISKGNEKHAKIQYPAALPEEIYRYRQFSLTEIKAATNNFHPKSLIAEGLFGNVFKGIVDDGNFVAVKRFFPDSVQDALNEFQTEVKLLCQLRHQHLVSLIGYCNDKDEKILVYQHMKNGTLRDHLYGCNYDPLPWKQRLEICIGAARGLHYLHTGAKHAVIHSNVNSSNILLDDKWVSMLSSFGLSKMRPQPSYSNTTKVLKKINSRLVGTVGYVDPEYLRGCELSEKCDVYSFGVVLFEVLCARRSYDSTLDENEQFLVYWVRWCIGEGIIYNIIDSYLKGKIALECLKIFVDIAYCCTNEKGDTRPDMGEVELMLELALEMQEKADTELVDVDPHAALPEEICRQFSLPEIRAATNNFHPNLFIGKGGFGTVYKGIVDDGTLVAVKRLRQDTAYGLKEFRTEVQLLCQLCHQHLVSLIGYCNDKDEKIVVYELMKNGSLGDHLYGRGYDPLPWKQRLEILLCARRAYDSTLDENELHLADCVRGYIGEGTIYNIIDPYLKGKIAPECFKIFVDIAYCCINKKGDTRPEMGERLEICIGAARGLHYLHTGAKHAVIRSNVNSSNILLDDKWVSMLSSFGLSKMCPQPSYSNTTKVLKKINSRLVGTVGYVDPEYLRGCELSEKCDVYSFGVVLFEVLCTRRSYDSTLDENEQFLVYWVRWCIGEGIIYNIIDSYLKGKIAPECLKIFVDIAYCCTNEKGDTRPDMGEVELMLELALEMQEKADTELVDVDPHGKYMYEEVSFCISISD >EOY20443 pep supercontig:Theobroma_cacao_20110822:scaffold_304:2060:3991:1 gene:TCM_046325 transcript:EOY20443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MDERLRSAALSGNIDALYYLIRDDADVLQRIDEMAFVDTPLHIAAAAGHTDFAMELMNLKPSFARKVNQCGFSPLHLALPNKQEKMVAHLLLIDKDLVRVKGREGHTPLHHAAKEGNVPLLSQFLDQCPNSILDLTIRKDTAVHIAAQNNHLEAFKAILRRLPTVYEVRILNLEDKDGNTVLHIAASNNQRQRNPIRGRGKTIKTKQPIVTGDMALLCFPLLGSYLTLYCQPITTQCVVPMVETCEMIKLLIKSQKVDWNKVNQSGFTALPVLEAPAGDDSRESVSMLKHAKVPPLIFLGKMLLQSRCFTEIITDILEMKTDTINTLLVVLALILSMTYQAVLSPPAGA >EOY20471 pep supercontig:Theobroma_cacao_20110822:scaffold_36:35619:38255:-1 gene:TCM_046079 transcript:EOY20471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of rudimentary protein, putative MLPLLANRHTIVLMQTSQNRAPRTFVDYDSISQAMDIIRELYERKLKKLNPATQNITYDIGVLYNFIDGLADMIALVQKGQFKWMPFHHVIKDCDFRRTFTRPWSH >EOY20472 pep supercontig:Theobroma_cacao_20110822:scaffold_36:38661:42131:-1 gene:TCM_046080 transcript:EOY20472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory laccase isoform 1 MTVILGRRFGFTDRTLCMLMSTIKGTMALPFIGTNFTYEVILSDEIGTLWWHAHSDWTRGSVHGAFVILPAENETYPFPTPDADQTIILQSWYNRDYKELIDEATSNGTAVASADAYAINGHLGDTYACANETLFRMQVDYQKTYLLRIINAAMNEQKFFAIANHSLTVVAQDASYVQMFTNDYIMISPGQTMDVLVIANQNMGQYYMATRPFSDSGAPPRNNITRGVFQYTNSVGGLNASLVTLPAMTDLDAAANFTRRIKNSNVTQNPPMKVPMDIDRRVYIAIASNNLPCDNCPILPTRLAASLNNVSFDFPQIDILQAYYNRSISGVFTEDFPLQPPEFYNFTGDLTGLNPNADLGTKAIVLNYGEAVEIVLQTTSTGPAGSHPIHLHGFSFYWVGTGSGNFNNVTDPSSYNLVDPPLINTVHVPLRGWVAVRFFATNPGVWFMHCHFERHSSWGMDTVFIVKNGTTVDTSILPPPASGMPRCSGT >EOY20473 pep supercontig:Theobroma_cacao_20110822:scaffold_36:38720:40659:-1 gene:TCM_046080 transcript:EOY20473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory laccase isoform 1 MQVDYQKTYLLRIINAAMNEQKFFAIANHSLTVVAQDASYVQMFTNDYIMISPGQTMDVLVIANQNMGQYYMATRPFSDSGAPPRNNITRGVFQYTNSVGGLNASLVTLPAMTDLDAAANFTRRIKNSNVTQNPPMKVPMDIDRRVYIAIASNNLPCDNCPILPTRLAASLNNVSFDFPQIDILQAYYNRSISGVFTEDFPLQPPEFYNFTGDLTGLNPNADLGTKAIVLNYGEAVEIVLQTTSTGPAGSHPIHLHGFSFYWVGTGSGNFNNVTDPSSYNLVDPPLINTVHVPLRGWVAVRFFATNPGVWFMHCHFERHSSWGMDTVFIVKNGTTVDTSILPPPASGMPRCSGT >EOY20475 pep supercontig:Theobroma_cacao_20110822:scaffold_36:48049:50285:1 gene:TCM_046081 transcript:EOY20475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory laccase, putative isoform 2 MGSQKQGIILWLSGLLLLNIFLFSRADVHSYEIFLQESEFTKLCSTKSILTVNGSFPGPDIRVRRGDTVFVNVHNQGSQAVSMEEGVKESIAGSDDLIQPKRNFTYEIKLSDEIGTLWWHAKSAWASATVHGAFVILPAANEDYPFPAPTSDQTIILGSWFRQELTEANEPIAPGAADAYTINGHPGDTYGCRDDTIYHQQVDYQSVYLLRMVNAAVNETMVFSIAFHSFTIIGQNGAYTRRSFSNSLRIAPGQTLDVLFCANQNLGHYNMTARPSSGGHVTTGIIQYTTTGS >EOY20474 pep supercontig:Theobroma_cacao_20110822:scaffold_36:48216:50320:1 gene:TCM_046081 transcript:EOY20474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory laccase, putative isoform 2 MGSQKQGIILWLSGLLLLNIFLFSRADVHSYEIFLQESEFTKLCSTKSILTVNGSFPGPDIRVRRGDTVFVNVHNQGSQAVSMEWEGVKESIAGSDDLIQPKRNFTYEIKLSDEIGTLWWHAKSAWASATVHGAFVILPAANEDYPFPAPTSDQTIILGSWFRQELTEANEPIAPGAADAYTINGHPGDTYGCRDDTIYHQQVDYQSVYLLRMVNAAVNETMVFSIAFHSFTIIGQNGAYTRRSFSNSLRIAPGQTLDVLFCANQNLGHYNMTARPSSGGHVTTGIIQYTTTGS >EOY20371 pep supercontig:Theobroma_cacao_20110822:scaffold_15:204738:214983:1 gene:TCM_045983 transcript:EOY20371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein-like protein MPTEAAQALAAFFAAMAGQAQTGQVPPVVPPTTPLVPPPVQDVSISKKLKEARQLGCVSFTGELDATVAKDWINQVSKTLSDMRLDDDMKLMVATRLLEKRARTWWNSVKSRSATPQTWSDFLREFDGQYFTYFHQKEKKREFLSLKQGNLTVEEYETRFNELMLYVPDLVKSEQDQASYFEEGLRNEIRERMTVTGREPHKEVVQMALRAEKLAIENRRIRTEFAKRRNPGMSSSQPVKRGKDSAISGSTTSVSVTSPRPPFPPSQQRPSRFSRSAMTGSGRSFGGSDRCRNCGNYHSGLCREPTRCFQCGQTGHIRSNCPRLGRATVVASSSPARTDIQRRDSSGLPPRQGVAIRSGVESNTPAHPPSRPQTRTSTRVFAVTEDEAQVRPGAVTGTISLFDKDAYVLIDSGSDRSYVSTTFASIADRNLSPLEEEIVIHTPLGEKLVRNSCYRDCGVRVGEEEFRGDLIPLEILDFDLILGMDWLTAHRANVDCFRKEVVLRNSKGAEIVFVGKRRVLPSCVISAIKASKLVQKGYSTYLAYVIDTSKREPKLEDVPIVSEFPDVFPDDLPGLPPDRELEFPIDLLSGTAPISIPPYRMAPAELKELKVQLQELVDKGFIRPSISPWGAPVLFVKKKDVTPVVEARRVTPGVVLEFTSRRTPT >EOY20369 pep supercontig:Theobroma_cacao_20110822:scaffold_15:11020:17397:-1 gene:TCM_045967 transcript:EOY20369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein LLLSLVIYFITTRFGHNHHVNWWVSSSFRQTFRWWANGGGGGLCNHGVGFFGYEANEAGIMGLRGIRAKLIYKNAKF >EOY20370 pep supercontig:Theobroma_cacao_20110822:scaffold_15:191688:199044:1 gene:TCM_045981 transcript:EOY20370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAYEQAQHMNKHVLWYPQSLKVLRCLIKSNGAKAPNKVQKVSTLQSSEGAKAPNKVQKVLRHLIKFKRCKGT >EOY20387 pep supercontig:Theobroma_cacao_20110822:scaffold_195:4728:6451:-1 gene:TCM_046272 transcript:EOY20387 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MVADNLPRDLIIEILSRLPVKSLLRFRCVHRTWLCLLKSSYFITKHVQNPNNKDHGFLFIKYTDVSGMSRYKLLTHDASEVSLDLKIPFPSWASVVGSCNGLVCLYIDHPFPCTKILLYNPALRVHKIIPESTLSRPKSGRLHHVTFGLGYDSVNNDFKVVRVASSESHKEGKLVSSTQAEVHTLGMNSWKEVAISSGVNFEVYGPQAFFNGAIHWLGMVLKNKNITQVIVSFEVSTEVFKLFPLPDFVLRERWVTWTDVYKNLLCVVRTEDGAYCEIWVMEEYGVPESWTRLHAIQLSLSYPLWFLGLGMNGKLVFENLWGLTVYDPDNEEIKNVKFDHPIGMFTIVTYIESLVSL >EOY20517 pep supercontig:Theobroma_cacao_20110822:scaffold_812:411:628:1 gene:TCM_046366 transcript:EOY20517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein ATPKAVLEVMDIDGLSLFHVKSHLQKYRLGKFSVKEWQDTAKN >EOY02201 pep chromosome:Theobroma_cacao_20110822:2:42307365:42311822:-1 gene:TCM_011905 transcript:EOY02201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent phosphohydrolase isoform 1 MAVNTSINCAPPLHRFRRRRLPITFISHASSSSSRPICFTGAATLRLVSVHSQKPGSESSAIMSNTGVPSRLSSSSTASAIDFLTLCHRLKTTKRKGWINHGIKGPESIADHMYRMALMALIAGDLPDVNRERCIKIAIVHDIAEAIVGDITPSDGVPKEEKSRREQAALTDMCKILGGGMRAEEIQELWAEYESNASLEANLVKDFDKVEMILQALEYEMEHGKVLDEFFLSTAGKFQTEIGKSWAAEINSRRNSQLADKAN >EOY02202 pep chromosome:Theobroma_cacao_20110822:2:42307225:42311876:-1 gene:TCM_011905 transcript:EOY02202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent phosphohydrolase isoform 1 MAVNTSINCAPPLHRFRRRRLPITFISHASSSSSRPICFTGAATLRLVSVHSQKPGSESSAIMSNTGVPSRLSSSSTASAIDFLTLCHRLKTTKRKGWINHGIKGPESIADHMYRMALMALIAGDLPDVNRERCIKIAIVHDIAEAIVGDITPSDGVPKEEKSRREQAALTDMCKILGGGMRAEEIQELWAEYESNASLEANLVKDFDKVEMILQALEYEMGKFQTEIGKSWAAEINSRRNSQLADKAN >EOX97358 pep chromosome:Theobroma_cacao_20110822:2:2074859:2082103:-1 gene:TCM_006405 transcript:EOX97358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein isoform 3 MALSPNFHSIFATSQSSSKSASPKLPASHSSLTNLHFPNKTVRGNSYNLSRTSASDEKWRANVSFFPAFLNKGKDAKALKEELLEAIAPLDRGADATLEDQQRVDQIARKLEAVSPTKEPLKSDLLNGKWVLIYTTSKSILQTQRPKFLRSTANYQAINTDTLRAQNMDSWPFFNQVTADLTPLNARKVAVKFDSFKIGDTYQGTWKSSW >EOX97356 pep chromosome:Theobroma_cacao_20110822:2:2074847:2077181:-1 gene:TCM_006405 transcript:EOX97356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein isoform 3 MALSPNFHSIFATSQSSSKSASPKLPASHSSLTNLHFPNKTVRGNSYNLSRTSASDEKWRANVSFFPAFLNKGKDAKALKEELLEAIAPLDRGADATLEDQQRVDQIARKLEAVSPTKEPLKSDLLNGKWVLIYTTSKSILQTQRPKFLRSTANYQAINTDTLRAQNMDSWPFFNQVTADLTPLNARKVAVKFDSFKIGGLIPIRAPGRARGELEITYLDEELRISRGDKGNLFILKMADPSYRVPV >EOX97359 pep chromosome:Theobroma_cacao_20110822:2:2074859:2082103:-1 gene:TCM_006405 transcript:EOX97359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein isoform 3 MALSPNFHSIFATSQSSSKSASPKLPASHSSLTNLHFPNKTVRGNSYNLSRTSASDEKWRANVSFFPAFLNKGKDAKALKEELLEAIAPLDRGADATLEDQQRVDQRPKFLRSTANYQAINTDTLRAQNMDSWPFFNQVTADLTPLNARKVAVKFDSFKIGGLIPIRAPGRARGELEITYLDEELRISRGDKGNLFILKMADPSYRVPV >EOX97357 pep chromosome:Theobroma_cacao_20110822:2:2075566:2077167:-1 gene:TCM_006405 transcript:EOX97357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein isoform 3 MALSPNFHSIFATSQSSSKSASPKLPASHSSLTNLHFPNKTVRGNSYNLSRTSASDEKWRANVSFFPAFLNKGKDAKALKEELLEAIAPLDRGADATLEDQQRVDQIARKLEAVSPTKEPLKSDLLNGKWVLIYTTSKSILQTQRPKFLRSTANYQAINTDTLRAQNMDSWPFFNQVTADLTPLNARKVAVKFDSFKIGGLIPIRAPGRARGELEITYLDEELR >EOX98528 pep chromosome:Theobroma_cacao_20110822:2:6087028:6094033:1 gene:TCM_007270 transcript:EOX98528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase family protein isoform 1 MRLNGEIYDGEEGEEERQKLVVGYALTLKKKKSFLQPKFERLACNKGILFVAIDLSRPLSDQGPFDLILHKLSGKDWCQIIEDYRQTNPEVTVLDPPDAIRHLYNRQSMLQDVVDLNLSDFHGKVGVPRQMVITKDPLSIPDKVIKAGLKLPLVAKPLVVDGSTKSHELFLAYDQFSLSELEPPLVLQEFVNHGGVLFKIYIVGDAIKVVRRFSLPNVSKCELAKVAGVFPLPRVSSAAACADDADLDPGIAELPPQPLLERLAKELRHRLGLQLFNIDMIREHGTRDIFYVIDINYFPGYGKMPDYEEIFTDFLLSFVQSKYKKRPVA >EOX98529 pep chromosome:Theobroma_cacao_20110822:2:6087132:6094109:1 gene:TCM_007270 transcript:EOX98529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase family protein isoform 1 MRLNGEIYDGEEGEEERQKLVVGYALTLKKKKSFLQPKFERLACNKGILFVAIDLSRPLSDQGPFDLILHKLSGKDWCQIIEDYRQTNPEVTVLDPPDAIRHLYNRQSMLQDVVDLNLSDFHGKVGVPRQMVITKDPLSIPDKVIKAGLKLPLVAKPLVVDGSTKSHELFLAYDQFSLSELEPPLVLQEFVNHGGVLFKIYIVGDAIKVVRRFSLPNVSKCELAKVAGVFPLPRVSSAAACADDADLDPGIAELPPQPLLERLAKELRHRLGLQLFNIDMIREHGTRDIFYVIDINYFPGYGKMPDYEEIFTDFLLSFVQSKYKKRPVA >EOX98530 pep chromosome:Theobroma_cacao_20110822:2:6087132:6094109:1 gene:TCM_007270 transcript:EOX98530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase family protein isoform 1 MRLNGEIYDGEEGEEERQKLVVGYALTLKKKKSFLQPKFERLACNKGILFVAIDLSRPLSDQGPFDLILHKLSGKDWCQIIEDYRQTNPEVTVLDPPDAIRHLYNRQSMLQDVVDLNLSDFHGKVGVPRQMVITKDPLSIPDKVIKAGLKLPLVAKPLVVDGSTKSHELFLAYDQFSLSELEPPLVLQEFVNHGGVLFKIYIVGDAIKVVRRFSLPNVSKCELAKVAGVFPLPRVSSAAACADDADLDPGIAELPPQPLLERLAKELRHRLGLQLFNIDMIREHGTRDIFYVIDINYFPVGSVSPLGL >EOX98531 pep chromosome:Theobroma_cacao_20110822:2:6090139:6094109:1 gene:TCM_007270 transcript:EOX98531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase family protein isoform 1 MGRGMRIWVTLELTFADYRQTNPEVTVLDPPDAIRHLYNRQSMLQDVVDLNLSDFHGKVGVPRQMVITKDPLSIPDKVIKAGLKLPLVAKPLVVDGSTKSHELFLAYDQFSLSELEPPLVLQEFVNHGGVLFKIYIVGDAIKVVRRFSLPNVSKCELAKVAGVFPLPRVSSAAACADDADLDPGIAELPPQPLLERLAKELRHRLGLQLFNIDMIREHGTRDIFYVIDINYFPGYGKMPDYEEIFTDFLLSFVQSKYKKRPVA >EOX96855 pep chromosome:Theobroma_cacao_20110822:2:256074:259946:1 gene:TCM_006006 transcript:EOX96855 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 3 MLVSLSKLVSKSTGLISEQVDLLLSCLSQENPGQLRVTALRCLHLIFVKEGCCSPVNVHVIKTLFTIADEPELPSVMQCGALQILHKILLYTLPILPSFKMLEFAQLLAILENASQSPIMSKSLAALCVLTDVSTKLWAKSESESFVVCSSPLPSRVISLIMERLSSLIKALPNTCQTNSRICQEVKSLLNLMLQLVGEHPDLGAMVLDEMSSFIEYFVNLEENFMAIRQIDTSEIMDSEGEKWKVFRSKLLSIIHTFVAACLQNLNEAGAITTNVFDKLKLLVELLHHGRVFDCYTRTIYSLLLHSHLFGKIDIFLIKHPFKHELATLEHASKMLSERDNWHAYKAGIYAACQGAWIIATFIFAQLMTRVQSDSCYCWLKLLVQFSYSEAKVQLSLLPKRQSILVGSLDMNELLAPFKDNLGEVGKDAEGNNNEPNYRDVLVAAYHNLSSSLETLETVVISGKKFCFQRWFFTLRAKFLAAAGEILEVLDTSKEKNVSNFIEVQNGALASLVCLQKTTELSFRLKRIAKELDLISSSFVGIDVESSKIIATLALNCSLLAFTAGFPLFFPNLPAYKNLRICDHEDSKQNYLSSMLLQDLLGRLLHIDNEISMYLCRLLDNGGHPKKCFHLQSRNQILKSGHEVRDILNIIRYAVSTVVRLQSETNRMQNEVSISHVTKTGIELLLDIIKKWLQIPFQVPKHFFKIRVSFQKPSHSERNCEQMEWDCQPWESEDMVEMNEKLFHYVTECAKKTSYGKCVRDDDINGDQVVNGFVCFEPNAKGQGFSNCVLDVSHFPVGSYRIKWYSCCIDNQGSYWSILPLNFGPVFTVQQSHVI >EOX96853 pep chromosome:Theobroma_cacao_20110822:2:254470:259947:1 gene:TCM_006006 transcript:EOX96853 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 3 MDKISAACAMEWSIQLDKALRSNNPARAVEAILQTGSRLEQWRQEPEATKAICSIFGLVPGEDRLFANTILLRLADAFQSGDKNIRLSVVRIFLKNSRYDRSKKNRKRTRATFLNGRVYNHAELLRRVKVVFDTGDVESRALALILFGCWADFAKDSAEIRYLVLSSMVSSYVMEVKASLFAAGCFCELANDFASVVLEMLVNMMASSETLPAVRLAGANVFTRMVCSYSVSSRAYKTGVKLVSDSSEQNFVVAMLVSLSKLVSKSTGLISEQVDLLLSCLSQENPGQLRVTALRCLHLIFVKEGCCSPVNVHVIKTLFTIADEPELPSVMQCGALQILHKILLYTLPILPSFKMLEFAQLLAILENASQSPIMSKSLAALCVLTDVSTKLWAKSESESFVVCSSPLPSRVISLIMERLSSLIKALPNTCQTNSRICQEVKSLLNLMLQLVGEHPDLGAMVLDEMSSFIEYFVNLEENFMAIRQIDTSEIMDSEGEKWKVFRSKLLSIIHTFVAACLQNLNEAGAITTNVFDKLKLLVELLHHGRVFDCYTRTIYSLLLHSHLFGKIDIFLIKHPFKHELATLEHASKMLSERDNWHAYKAGIYAACQGAWIIATFIFAQLMTRVQSDSCYCWLKLLVQFSYSEAKVQLSLLPKRQSILVGSLDMNELLAPFKDNLGEVGKDAEGNNNEPNYRDVLVAAYHNLSSSLETLETVVISGKKFCFQRWFFTLRAKFLAAAGEILEVLDTSKEKNVSNFIEVQNGALASLVCLQKTTELSFRLKRIAKELDLISSSFVGIDVESSKIIATLALNCSLLAFTAGFPLFFPNLPAYKNLRICDHEDSKQNYLSSMLLQDLLGRLLHIDNEISMYLCRLLDNGGHPKKCFHLQSRNQILKSGHEVRDILNIIRYAVSTVVRLQSETNRMQNEVSISHVTKTGIELLLDIIKKWLQIPFQVPKHFFKIRPLIGSELFVFNTDTRNQNEISVLPGFHLSLNLCLQLRNAPPEFPLRLTKLYCLLHCRVSFQKPSHSERNCEQMEWDCQPWESEDMVEMNEKLFHYVTECAKKTSYGKCVRDDDINGDQVVNGFVCFEPNAKGQGFSNCVLDVSHFPVGSYRIKWYSCCIDNQGSYWSILPLNFGPVFTVQQSHVI >EOX96854 pep chromosome:Theobroma_cacao_20110822:2:254398:261562:1 gene:TCM_006006 transcript:EOX96854 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 3 MDKISAACAMEWSIQLDKALRSNNPARAVEAILQTGSRLEQWRQEPEATKAICSIFGLVPGEDRLFANTILLRLADAFQSGDKNIRLSVVRIFLKNSRYDRSKKNRKRTRATFLNGRVYNHAELLRRVKVVFDTGDVESRALALILFGCWADFAKDSAEIRYLVLSSMVSSYVMEVKASLFAAGCFCELANDFASVVLEMLVNMMASSETLPAVRLAGANVFTRMVCSYSVSSRAYKTGVKLVSDSSEQNFVVAMLVSLSKLVSKSTGLISEQVDLLLSCLSQENPGQLRVTALRCLHLIFVKEGCCSPVNVHVIKTLFTIADEPELPSVMQCGALQILHKILLYTLPILPSFKMLEFAQLLAILENASQSPIMSKSLAALCVLTDVSTKLWAKSESESFVVCSSPLPSRVISLIMERLSSLIKALPNTCQTNSRICQEVKSLLNLMLQLVGEHPDLGAMVLDEMSSFIEYFVNLEENFMAIRQIDTSEIMDSEGEKWKVFRSKLLSIIHTFVAACLQNLNEAGAITTNVFDKLKLLVELLHHGRVFDCYTRTIYSLLLHSHLFGKIDIFLIKHPFKHELATLEHASKMLSERDNWHAYKAGIYAACQGAWIIATFIFAQLMTRVQSDSCYCWLKLLVQFSYSEAKVQLSLLPKRQSILVGSLDMNELLAPFKDNLGEVGKDAEGNNNEPNYRDVLVAAYHNLSSSLETLETVVISGKKFCFQRWFFTLRAKFLAAAGEILEVLDTSKEKNVSNFIEVQNGALASLVCLQKTTELSFRLKRIAKELDLISSSFVGIDVESSKIIATLALNCSLLAFTAGFPLFFPNLPAYKNLRICDHEDSKQNYLSSMLLQDLLGRLLHIDNEISMYLCRLLDNGGHPKKCFHLQSRNQILKSGHEVRDILNIIRYAVSTVVRLQSETNRMQNEVSISHVTKTGIELLLDIIKKWLQIPFQVPKHFFKIRPLIGSELFVFNTDTRNQNEISVLPGFHLSLNLCLQLRNAPPEFPLRLTKLYCLLHCRVSFQKPSHSERNCEQMEWDCQPWESEDMVEMNEKLFHYVTECAKKTSYGKCVRDDDINGDQVVNGFVCFEPNAKGQGFSNCVLDVSHFPVGSYRIKWYSCCIDNQGSYWSILPLNFGPVFTVQQSHVI >EOX99395 pep chromosome:Theobroma_cacao_20110822:2:10961177:10964911:-1 gene:TCM_008076 transcript:EOX99395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin B1, BETA 1.5 isoform 2 RFILILQNSRLKQVVAPPVDTMQRRRGFIGVVALCCLVLLECLMVSGKVPAPGKVSDLHWHPATATWYGSPDGDGSDGGACGYGSLVDVKPLRARVGAVSPVLFKSGEGCGACYKVRCLDKSICSRRAVTIIVTDECPGGYCANGRTHFDLSGAAFGRMAINGESAQLRNRGELPVVYRRTPCKYPGKNIAFHVNEGSTDYWLSLLVEFEDGDGDVGSMHIREVTFGVPHRTAPCLFLIRPSD >EOX99394 pep chromosome:Theobroma_cacao_20110822:2:10961780:10964992:-1 gene:TCM_008076 transcript:EOX99394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin B1, BETA 1.5 isoform 2 MQRRRGFIGVVALCCLVLLECLMVSGKVPAPGKVSDLHWHPATATWYGSPDGDGSDGGACGYGSLVDVKPLRARVGAVSPVLFKSGEGCGACYKVRCLDKSICSRRAVTIIVTDECPGGYCANGRTHFDLSGAAFGRMAINGESAQLRNRGELPVVYRRTPCKYPGKNIAFHVNEGSTDYWLSLLVEFEDGDGDVGSMHIREANSNEWLEMNHLWGANWCIIRGPLKGPFSVKLTTLATGRALSARDVIPRNWSPKATYTSRLNFF >EOY01390 pep chromosome:Theobroma_cacao_20110822:2:39252987:39275342:-1 gene:TCM_011296 transcript:EOY01390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gcn4-complementing protein, putative isoform 2 MAAFIKLEDSPMFQKQLCSLEFTADELKDRCQRLYKGSKKFMAALGEAYNGETSFADSLEAFGGGQDDPVSVSIGGPIMSKFINAFRELASYKELLRSQVEHVLIDRLMHFMTVDLQEAKESRRRFDKAINAYDQAREKFVSLKRNTRGDIVAELEEDLQNSKSAFERSRFNLVNALMNIEAKKKYEFLESISAIMDAHLRYFKLGYDLLSQLEPFIHQVLTYAQQSKELANAEQDKLEKRIQEFRTQAEIDSLRASSNLEPSTSADRIHVIGMNSDKNIEAIMQSSTNGEVQTIKQGYLLKRSSSLRGDWKRRFFVLDSQGTLYYYRNKGIKPMGSHHHYTGSAEQNSGVFARFRARHNRSSSFNEETLGCHTVDLHTSTIKMDAEDTDLRLCFRIISPLKTYTLQAENGADRMDWVNKITAVITSLLNSHILQQHVDNNDYACRATSDVRSLDSLGSLEIDRIGNRAEPVSSVFREIPGNDICAECSAPEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVKVWEPSIVELFRTLGNAYCNSVWEGSLLKNERVDDTNAISTSIIKPCAKDAISHKEKYIHAKYVEKLLIIRDGTLPGVPPNSTNIWQAVKTDNLREVYRLIAMSDTNIINTTFDDVVSIESYHHIDAQDSSLDGHKEERKQYDPSACQRIKDSNDPGNCLQGCSVLHLACQCGNPVMVELLLQFGADINMRDFHGRTPLHYCISIGNNTFAKHLLRRGARPSIKDGGGLSALERAMEKGAITDEELFILLSESQ >EOY01391 pep chromosome:Theobroma_cacao_20110822:2:39253040:39275120:-1 gene:TCM_011296 transcript:EOY01391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gcn4-complementing protein, putative isoform 2 MAALGEAYNGETSFADSLEAFGGGQDDPVSVSIGGPIMSKFINAFRELASYKELLRSQVEHVLIDRLMHFMTVDLQEAKESRRRFDKAINAYDQAREKFVSLKRNTRGDIVAELEEDLQNSKSAFERSRFNLVNALMNIEAKKKYEFLESISAIMDAHLRYFKLGYDLLSQLEPFIHQVLTYAQQSKELANAEQDKLEKRIQEFRTQAEIDSLRASSNLEPSTSADRIHVIGMNSDKNIEAIMQSSTNGEVQTIKQGYLLKRSSSLRGDWKRRFFVLDSQGTLYYYRNKGIKPMGSHHHYTGSAEQNSGVFARFRARHNRSSSFNEETLGCHTVDLHTSTIKMDAEDTDLRLCFRIISPLKTYTLQAENGADRMDWVNKITAVITSLLNSHILQQHVDNNDYACRATSDVRSLDSLGSLEIDRIGNRAEPVSSVFREIPGNDICAECSAPEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVKVWEPSIVELFRTLGNAYCNSVWEGSLLKNERVDDTNAISTSIIKPCAKDAISHKEKYIHAKYVEKLLIIRDGTLPGVPPNSTNIWQAVKTDNLREVYRLIAMSDTNIINTTFDDVVSIESYHHIDAQDSSLDGHKEERKQYDPSACQRIKDSNDPGNCLQGCSVLHLACQCGNPVMVELLLQFGADINMRDFHGRTPLHYCISIGNNTFAKHLLRRGARPSIKDGGGLSALERAMEKGAITDEELFILLSESQ >EOY01392 pep chromosome:Theobroma_cacao_20110822:2:39253821:39274041:-1 gene:TCM_011296 transcript:EOY01392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gcn4-complementing protein, putative isoform 2 MSKFINAFRELASYKELLRSQVEHVLIDRLMHFMTVDLQEAKESRRRFDKAINAYDQAREKFVSLKRNTRGDIVAELEEDLQNSKSAFERSRFNLVNALMNIEAKKKYEFLESISAIMDAHLRYFKLGYDLLSQLEPFIHQVLTYAQQSKELANAEQDKLEKRIQEFRTQAEIDSLRASSNLEPSTSADRIHVIGMNSDKNIEAIMQSSTNGEVQTIKQGYLLKRSSSLRGDWKRRFFVLDSQGTLYYYRNKGIKPMGSHHHYTGSAEQNSGVFARFRARHNRSSSFNEETLGCHTVDLHTSTIKMDAEDTDLRLCFRIISPLKTYTLQAENGADRMDWVNKITAVITSLLNSHILQQHVDNNDYACRATSDVRSLDSLGSLEIDRIGNRAEPVSSVFREIPGNDICAECSAPEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVKVWEPSIVELFRTLGNAYCNSVWEGSLLKNERVDDTNAISTSIIKPCAKDAISHKEKYIHAKYVEKLLIIRDGTLPGVPPNSTNIWQAVKTDNLREVYRLIAMSDTNIINTTFDDVVSIESYHHIDAQDSSLDGHKEERKQYDPSACQRIKDSNDPGNCLQGCSVLHLACQCGNPVMVELLLQFGADINMRDFHGRTPLHYCISIGNNTFAKHLLRR >EOX99881 pep chromosome:Theobroma_cacao_20110822:2:17657141:17679176:-1 gene:TCM_008861 transcript:EOX99881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 1 MYGKAAICLTFVILFLVSCSIYVGTVDLRSYFFPLLQSPPVLRSLCATGRPLRVYMYDLPRKFHVGMLDRRSSEEAAPVTMENLPPWPSNSGIKRQHSVEYWLMASLLYDGQDEDGREAVRVLDPEKADAFFVPFFSSLSFNTHGHNMTDPETEIDRHLQVELLEFLQQSKYYQRSGGRDHVIPMTHPNAFRFLREQLNASILIVVDFGRYPKTMSSLSKDVVAPYVHVVDSFTDDDPLDPYESRTTLLFFRGNTVRKDEGKIRVKLAKILAGSDDVHYEKSVATPKNIKMSTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSDKIELPYEDEIDYTEFSIFFSMKEALEPGYLVNHLRQFPKNRWVQMWKLLKNISRHYEFQYPPKKEDAVNMLWRQVKHKLPGVQLAVHRSRRLKVPDWWRRKR >EOX99880 pep chromosome:Theobroma_cacao_20110822:2:17657014:17679176:-1 gene:TCM_008861 transcript:EOX99880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 1 MYGKAAICLTFVILFLVSCSIYVGTVDLRSYFFPLLQSPPVLRSLCATGRPLRVYMYDLPRKFHVGMLDRRSSEEAAPVTMENLPPWPSNSGIKRQHSVEYWLMASLLYDGQDEDGREAVRVLDPEKADAFFVPFFSSLSFNTHGHNMTDPETEIDRHLQVELLEFLQQSKYYQRSGGRDHVIPMTHPNAFRFLREQLNASILIVVDFGRYPKTMSSLSKDVVAPYVHVVDSFTDDDPLDPYESRTTLLFFRGNTVRKDEGKIRVKLAKILAGSDDVHYEKSVATPKNIKMSTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSDKIELPYEDEIDYTEFSIFFSMKEALEPGYLVNHLRQFPKNRWVQMWKLLKNISRHYEFQYPPKKEDAVNMLWRQVKHKLPGVQLAVHRSRRLKVPDWWRRKR >EOX99882 pep chromosome:Theobroma_cacao_20110822:2:17657049:17660879:-1 gene:TCM_008861 transcript:EOX99882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 1 MYGKAAICLTFVILFLVSCSIYVGTVDLRSYFFPLLQSPPVLRSLCATGRPLRVYMYDLPRKFHVGMLDRRSSEEAAPVTMENLPPWPSNSGIKRQHSVEYWLMASLLYDGQDEDGREAVRVLDPEKADAFFVPFFSSLSFNTHGHNMTDPETEIDRHLQVELLEFLQQSKYYQRSGGRDHVIPMTHPNAFRFLREQLNASILIVVDFGRYPKTMSSLSKDVVAPYVHVVDSFTDDDPLDPYESRTTLLFFRGNTVRKDEGKIRVKLAKILAGSDDVHYEKSVATPKNIKMSTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSDKIELPYEDEIDYTEFSIFFSMKEALEPGYLVNHLRQFPKNRWVQMWKLLKNISRHYEFQYPPKKEDAVNMLWRQVKHKLPGVQLAVHRSRRLKVPDWWRRKR >EOX97456 pep chromosome:Theobroma_cacao_20110822:2:2322464:2329533:1 gene:TCM_006459 transcript:EOX97456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin b2,4 isoform 1 MRRSKENYPGHFAPPNGHDGLGMGGVKMVKDMGQNQRRALSSINQNIIGASLHHPCLVKKREFAGKDALCNKKSALEQRPNARSLATETDTKNQTKLTLKPGGLEDCIITNAEEFEDASDVMVPMFVKHVEAVLDETDGMEFEMEDVEDSIIDIDRNDSKDPLAVVEYVDDIYAYYRETEVSYCVSPNYMDRQFDINEKMRAILIDWLVEVHYKFQLMDETLFLTINLIDRFLERCTVIRKKLQLVGMTAMLLACKYEEVSVPLVEDFVLISDKAYTRKDVLDMEKLMVNTLQFSMSVPTPYVFIRRFLKAAQSDKKLEFLSFFLIELCLVEYEMLKFPPSLLAAAAIYTAQCSICRFKNWSKTSECHTKYSEDQLLECSKLMVTYHQKAGSGNLTGVHRKYSAYKFGYSAKSEPAQFLLDL >EOX97457 pep chromosome:Theobroma_cacao_20110822:2:2324718:2327035:1 gene:TCM_006459 transcript:EOX97457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin b2,4 isoform 1 MVPMFVKHVEAVLDETDGMEFEMEDVEDSIIDIDRNDSKDPLAVVEYVDDIYAYYRETEVSYCVSPNYMDRQFDINEKMRAILIDWLVEVHYKFQLMDETLFLTINLIDRFLERCTVIRKKLQLVGMTAMLLACKYEEVSVPLVEDFVLISDKAYTRKDVLDMEKLMVNTLQFSMSVPTPYVFIRRFLKAAQSDKKLEFLSFFLIELCLVEYEMLKFPPSLLAAAAIYTAQCSICRFKNWSKTSECHTKYSEDQLLECSKLMVTYHQKAGSGNLTGVHRKYSAYKFGYSAKSEPAQFLLDL >EOY00569 pep chromosome:Theobroma_cacao_20110822:2:33611525:33622566:1 gene:TCM_010465 transcript:EOY00569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MIRWWISALQLAELFVSSMVHLLYGFYIFSTAVAGDLSQALNEWFIKPNANIEVKREDPSGTNVDALPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGQVDYGEEHSKACGHSQFGRIYEQGHYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFKGYESTSENWVLSITSLSGAFNGTTRTYLDGMQPEDGRMMKPICLLQLCRLGVIIYDWLDIPWLKAYYNFGFDHFSTSWKKMGLWGLVDCLLGNAGPFATGDWILPDLTIQGSIRQNCHLQTFPNTFYFSYATKRTRKILGVTVPSGILGIHPLLFIRVLQMSQWRHPPDVSPPYKGYRDEDWQDNDGALNMISMTHPRLPIEHPSHFVVNDSECLPLQPGIWYYKIVEADHILFIVNRERAGFQYDLIYDSIFERCRKHVVRKTLLTLPNQAPE >EOY00570 pep chromosome:Theobroma_cacao_20110822:2:33618803:33622425:1 gene:TCM_010465 transcript:EOY00570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 GIFGFGKGRLGGLSYFAGAEKKDERVLVPDLGSLTSIYDRVLGGFRARELFYYLKGGQVDYGEEHSKACGHSQFGRIYEQGHYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFKGYESTSENWVLSITSLSGAFNGTTRTYLDGMQPEDGRMMKPICLLQLCRLGVIIYDWLDIPWLKAYYNFGFDHFSTSWKKMGLWGLVDCLLGNAGPFATGDWILPDLTIQGSIRQNCHLQTFPNTFYFSYATKRTRKILGVTVPSGILGIHPLLFIRVLQMSQWRHPPDVSPPYKGYRDEDWQDNDGALNMISMTHPRLPIEHPSHFVVNDSECLPLQPGIWYYKIVEADHILFIVNRERAGFQYDLIYDSIFERCRKHVVRKTLLTLPNQAPE >EOY00572 pep chromosome:Theobroma_cacao_20110822:2:33618197:33621336:1 gene:TCM_010465 transcript:EOY00572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MIRWWISALQLAELFVSSMVHLLYGFYIFSTAVAGDLSQALNEWFIKPNANIEVKREDPSGTNVDALPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGQVDYGEEHSKACGHSQFGRIYEQGHYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFKGYESTSENWVLSITSLSGAFNGTTRTYLDGMQPEDGRMMKPICLLQLCRLGVIIYDWLDIPWLKAYYNFGFDHFSTSWKKMGLWGLVDCLLGNAGPFATGDWILPDLTIQGSIRQNCHLQTFPNTFYFSYATKRTRKILGVTVPSGILGIHPLLFIRVLQMSQWRHPPDVSPPYKGYRDEDWQDNDGALNMISMTHPRLPIEHPSHFVVNDSED >EOY00571 pep chromosome:Theobroma_cacao_20110822:2:33618197:33621336:1 gene:TCM_010465 transcript:EOY00571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MIRWWISALQLAELFVSSMVHLLYGFYIFSTAVAGDLSQALNEWFIKPNANIEVKREDPSGTNVDALPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGQVDYGEEHSKACGHSQFGRIYEQGHYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFKGYESTSENWVLSITSLSGAFNGTTRTYLDGMQPEDGRMMKPICLLQLCRLGVIIYDWLDIPWLKAYYNFGFDHFSTSWKKMGLWGLVDCLLGNAGPFATGDWILPDLTIQGSIRQNCHLQTFPNTFYFSYATKRTRKILGVTVPSGILGIHPLLFIRVLQMSQWRHPPDVSPPYKGYRDEDWQDNDGALNMISMTHPRLPIEHPSHFVVNDSECLPLQPGIWLVLLISNKLTCSRYQ >EOY00115 pep chromosome:Theobroma_cacao_20110822:2:25977281:25980265:-1 gene:TCM_009634 transcript:EOY00115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-binding periplasmic protein MAIEVCSEISSAGISPRISFSHDLNQKDDAESIEEHHQQRLDTSLLDSGSDFDFCFGNSFVQELPSADELFSNGKILPIEIKKKPLLVAKHVHRQSQPVPSPPRQTTTDNSGKKRLKEFLSMSIDADDKPASKSFWQFKRSSSLNCESTRSKSLIRSLQFLSRSNSTGSAPNPKSTMLSKETQKQHLQKQPSLSRKSSVSSSSGAFYTYSSTQKPPLKKNCGAYGNGVRVSPVLNLPQPFISNATVSFFGFGSLFCNGKVKKKKR >EOX98352 pep chromosome:Theobroma_cacao_20110822:2:5463833:5471587:1 gene:TCM_007134 transcript:EOX98352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at inner membrane of chloroplasts 21 isoform 2 MQTLLLPATRSGICAVAIGPLPPHRHRPPPSLSRPNFLTSLETQIPKPLLSPLASYDPLNVKRSKLFLSRVSSSPVSPSFTSPNDDTEKAKLAQVSKRLESTSRYFKRLGNLGFWGQLVCTVVSAVILSFSVVITGKITSPATFYATAGGIVAAFISIFWSFGYIRLSEKLKRTANDPSKAPPRADVVKSLKNGIVLNLLGMGAAILGMQATVGLLVAKALTSSTNPYYQGISPGYSPVLALDVFLVQASANTILSHFLGLVFSLELLRSVTLPQSESIPIPRVA >EOX98353 pep chromosome:Theobroma_cacao_20110822:2:5468311:5471584:1 gene:TCM_007134 transcript:EOX98353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at inner membrane of chloroplasts 21 isoform 2 MQTLLLPATRSGICAVAIGPLPPHRHRPPPSLSRPNFLTSLETQIPKPLLSPLASYDPLNVKRSKLFLSRVSSSPVSPSFTSPNDDTEKAKLAQVSKRLESTSRYFKRLGNLGFWGQLVCTVVSAVILSFSVVITGKITSPATFYATAGGIVAAFISILQASANTILSHFLGLVFSLELLRSVTLPQSESIPIPRVA >EOX99289 pep chromosome:Theobroma_cacao_20110822:2:9956201:9958054:1 gene:TCM_007919 transcript:EOX99289 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MDSPYAAKILDNIHVSAPAGSVPTTTLPLTYFDIPWFPCAPMQSLFFYEYPHPTSHFMQTTLPTLKQSLSLTLQHFFPLAAKLVCPPPPLEPNILYTDGDSVPLTIAESAADVNHIKANYARDINLLHPFVSLLPPSTMSSHGIRVLPIMALKVTVFPNAAICIGMAHYHVAADGSAFMHFVRSWSSVCKSKGNSTCLDYVSQRPSHSRDEIKDPAGCNDVALKGYWNWVSSWRENLGPTKEMPMDKVRASFALTRAQIEKLKQWLTTQCNGNDLEGLHISTFVVACSMMWICMVKSQESEISANCHDDDKFYYFLFAVDCRSRIEFPLPVTYFGNCLAPGIVRAKKGELMGDSGIVTAAKAIARKVKEMESGALKEVEKGPSNLEEMLASGHYLGVSGSPKLGIYGMDFGWGRPTKVEFIHLDDGGSLSLAECRDEPGGIEVSLVLSKDQMDSFTAHFGESLRKAF >EOY00081 pep chromosome:Theobroma_cacao_20110822:2:25213472:25217789:-1 gene:TCM_009545 transcript:EOY00081 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD+ transporter 2 isoform 2 MGSGGGGQSSHPQSLSIREFICHGGAGATAGAIAATFMCPLDVIKTRLQVHGLPEASQSGARGSVIITSLQHIIKNDGLKGLYRGLSPTILALLPNWAVYFTLYEQLKGLLTSHEDNGGQLTIGANMVAAAGAGAATAITTNPLWVVKTRLQTQGMRTGVVPYTGVLSALRRIVHEEGLRGLYSGVLPSLAGISHVAIQFPAYEKIKSYMAKKENTTVDHLSPADVAIASSISKVLASIMTYPHEVCFGWTCSIYSTATETPSLQKLSCSWIEYSTCLFFFINLSYIPISYQQVIRSRLQEQGQVRNSEVHYAGVVDCIRKVFQKEGLPGFYRGCATNLLRTTPSAVITFTS >EOY00080 pep chromosome:Theobroma_cacao_20110822:2:25213087:25217915:-1 gene:TCM_009545 transcript:EOY00080 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD+ transporter 2 isoform 2 MGSGGGGQSSHPQSLSIREFICHGGAGATAGAIAATFMCPLDVIKTRLQVHGLPEASQSGARGSVIITSLQHIIKNDGLKGLYRGLSPTILALLPNWAVYFTLYEQLKGLLTSHEDNGGQLTIGANMVAAAGAGAATAITTNPLWVVKTRLQTQGMRTGVVPYTGVLSALRRIVHEEGLRGLYSGVLPSLAGISHVAIQFPAYEKIKSYMAKKENTTVDHLSPADVAIASSISKVLASIMTYPHEVIRSRLQEQGQVRNSEVHYAGVVDCIRKVFQKEGLPGFYRGCATNLLRTTPSAVITFTSYEMIHRFLDQVLPPDAKNSEAHPKPDGQVKSQPDTRGNMEENKQSQTHSNKITPSIPLGNK >EOX98281 pep chromosome:Theobroma_cacao_20110822:2:5186597:5191958:1 gene:TCM_007081 transcript:EOX98281 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein MRLITVLIIAVLLFGFGSALKEGQTCVADRNCDPGLHCETCLANGNVRPRCTRIQPLNPTSQVKGLPFNRYSWLTTHNSYARFGERSATGSLILAPTNQQDSVTSQLNNGVRGLMLDMYDFQNDIWLCHSFGGQCFNYTAFQPAINVLKEVQAFLQAKPSEIVTIIIEDYVTSPRGLTKVFDAAGLRKFWFPVSRMPKNGGKWPTVDDMVQKNQRLVVFTSKSDKEASEGIAYQWRYMVENQYGNGGMVAGSCPNRAESPAMNATSRSLVLVNYFPDTPDVTQACKHNSAPLFRMVNTCYVAAGNRWPNFIAVDFYKRSDSGGAPEALDVANGHLVCGCGNIVYCKENMAFGVCDVPEAGVAPAPGAVAHDSSFALLVTRPTQFQWVLGVILVAIGLQL >EOY00015 pep chromosome:Theobroma_cacao_20110822:2:23245094:23247540:-1 gene:TCM_009365 transcript:EOY00015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative isoform 1 MQNDKGSAVYGDLAAKQSHDLQSDDIYSSSTTSSSAATASLFGLYHPRLLMQQHQDMINRHNLCLTRLRDAAKEAEALRHENTALRSVNRDLNKQLSALIQASVQNHFASSDYNTTPFELVNALRGLCLGDGGVGEEEVCDESPTSVMEGAVDVERVMLPKSISVRSNGYLKMMSQAGASHRGKTRGPTRPGNATQLSGAKVYVQGGKKEEEPLELEVYNQGMFKTELCNKWQETGACPYGDHCQFAHGIEELRPVIRHPRYKTEVCRMVLAGDVCPYGHRCHFRHALTEQEKFMGQLKPRTR >EOY00014 pep chromosome:Theobroma_cacao_20110822:2:23212068:23247540:-1 gene:TCM_009365 transcript:EOY00014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative isoform 1 MQNDKGSAVYGDLAAKQSHDLQSDDIYSSSTTSSSAATASLFGLYHPRLLMQQHQDMINRHNLCLTRLRDAAKEAEALRHENTALRSVNRDLNKQLSALIQASVQNHFASSDYNTTPFELVNALRGLCLGDGGVGEEEVCDESPTSVMEGAVDVERVMLPKSISVRSNGYLKMMSQAGASHRGKTRGPTRPGNATQLSGAVNVQQKVYVQGGKKEEEPLELEVYNQGMFKTELCNKWQETGACPYGDHCQFAHGIEELRPVIRHPRYKTEVCRMVLAGDVCPYGHRCHFRHALTEQEKFMGQLKPRTR >EOY00013 pep chromosome:Theobroma_cacao_20110822:2:23244950:23247284:-1 gene:TCM_009365 transcript:EOY00013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative isoform 1 MQNDKGSAVYGDLAAKQSHDLQSDDIYSSSTTSSSAATASLFGLYHPRLLMQQHQDMINRHNLCLTRLRDAAKEAEALRHENTALRSVNRDLNKQLSALIQASVQNHFASSDYNTTPFELVNALRGLCLGDGGVGEEEVCDESPTSVMEGAVDVERVMLPKSISVRSNGYLKMMSQAGASHRGKTRGPTRPGNATQLSGAVNVQQKVYVQGGKKEEEPLELEVYNQGMFKTELCNKWQETGACPYGDHCQFAHGIEELRPVIRHPRYKTEVCRMVLAGDVCPYGHRCHFRHALTEQEKFMGQLKPRTR >EOX98336 pep chromosome:Theobroma_cacao_20110822:2:5422823:5425621:-1 gene:TCM_007124 transcript:EOX98336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclase family protein isoform 1 MTPLFLLLLLSSAFISTATTAYPSIPGTDSTTDCGLSGGDENLIPIRREVYGNGRIFDISHRYTADMPSWESKDGIGQFLWLPKSMKNGSLANNSEMKLPTHTGTHLDAPGHVIDRYFDAGFDVDTLDLEVLNGPALLVDVPRDKNITAEVMESLKIPKGIRRVLFRTLNTDRRLMFKKEFDTSYVGFMKDGAEWLVKNTDIKFVGIDYLSVAAFDDLIPSHLVFLEGRDIILVEGLKLDDILPGIYSVHCLPLRLLGAEGSPTRCILIK >EOX98337 pep chromosome:Theobroma_cacao_20110822:2:5423141:5425782:-1 gene:TCM_007124 transcript:EOX98337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclase family protein isoform 1 MTPLFLLLLLSSAFISTATTAYPSIPGTDSTTDCGLSGGDENLIPIRREVYGNGRIFDISHRYTADMPSWESKDGIGQFLWLPKSMKNGSLANNSEMKLPTHTGTHLDAPGHVIDRYFDAGFDVDTLDLEVLNGPALLVDVPRDKNITAEVMESLKIPKGIRRVLFRTLNTDRRLMFKKEFDTSYVGFMKDGAEWLVKNTDIKFVGIDYLSVAAFDDLIPSHLVFLEGRDIILVEGLKLDDILPGIYSVHCLPLRLLGAEGSPTRCILIK >EOX97871 pep chromosome:Theobroma_cacao_20110822:2:3750336:3753896:-1 gene:TCM_006794 transcript:EOX97871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferulic acid 5-hydroxylase 1 MDFLQTLASLQSSSIFFLLSLLVFFIFVLCRSRKVAYPPGPKGYPIIGNMNMMDQLTHRGLARLAKQYGQGGLLHLRMGKLHIVAVSTPEMAREVLQTQDSIYSNRPANDAIIYLTYDRADMAFANYGPFWRQMRKICVMKVFSRKRAESWASVREEVGSTVETVMKKLGSPVNLGELVFALTRNITYRAAFGSLSRDGQDEFVKILQEFSKLFGAFNFADFFPWLGWIHGKEFRKRLVKARASLDGFIDHIIDEHLAKRKKYGKDHDIEEDMVDELMAFYSEDVSKGDYDESSQPTIRLTKDNIKAIIMDVMFGGTETVASAIEWAMAELMKSPEDLKKVQQELADVVGLNRVVHESDLEKLTYLKCAIKETLRLHPPIPLLLHETAVDSVLAGFRVPAKSRIMISAWAIGRDPSSWKDPDAFKPSRFLNDAAPDFKGSSFEFIPFGSGRRSCPGMQLGLYGLELSVAHLLHCFNWELPDGMKPSELDMNDLFGLTAPRASRLVAVPSYRLSCPFPGLEN >EOX99666 pep chromosome:Theobroma_cacao_20110822:2:13361779:13364537:1 gene:TCM_008414 transcript:EOX99666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGLRLSNLVQKNIDLLCNVNDGVHMEMTRMPFGGSLFVRNMGREPCVGYHHVIRQGRSVMPGEYHLITRKRKKKKKERLIK >EOX97830 pep chromosome:Theobroma_cacao_20110822:2:3618128:3620359:1 gene:TCM_006760 transcript:EOX97830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-binding factor A family protein isoform 1 MPHVLLHHHLPITTVHLKPCSFPTPKPTAHIHLRTNPTTGATIKCMANPRRVKMVAKQIRRELSDMLLTDKVLQYAVLPEAALGADRYLSSLTTISDVEVSTDLQVVKVYVSVFGDERGKEIALAGLKSKSKYVRSELGKRMKLRLTPEIRFIEDESLERGSRVIAILDKIKAEKKLATDEDEEEFESSDSPQEDRDWEGDDPDEEDIIYVK >EOX97829 pep chromosome:Theobroma_cacao_20110822:2:3618078:3620274:1 gene:TCM_006760 transcript:EOX97829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-binding factor A family protein isoform 1 MPHVLLHHHLPITTVHLKPCSFPTPKPTAHIHLRTNPTTGATIKCMANPRRVKMVAKQIRRELSDMLLTDKVLQYAVLPEAALGADRYLSSLTTISDVEVSTDLQVVKVYVSVFGDERGKEIALAGLKSKSKYVRSELGKRMKLRLTPEIRFIEDESLERGSRVIAILDKIKAEKKLATDEDEEEFESSDSPQEDRDWEGDDPDEEDIIYVK >EOX99903 pep chromosome:Theobroma_cacao_20110822:2:18018507:18027963:1 gene:TCM_008898 transcript:EOX99903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon, Ty3-gypsy subclass-like protein MWYQDLRAVYWWEGLKKHVVDYVSRCLICQQVQREYILTATRFSASLTLSTQEFLATARNRALQPLPILEWKWEHVDMDLVIGMPQTIRGYDSIWVIVDLLTKCVTDMILLLLTRTSLVLRSLQKNTLLFAVSSALSFSGNLDFIVLIDFFFCSSPIFYHVFHESTHVFDCSNLLPCPLLKVF >EOY00463 pep chromosome:Theobroma_cacao_20110822:2:32744348:32745801:-1 gene:TCM_010340 transcript:EOY00463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MENGLGSSRINRCLIERERRRNMKTLYANLFALLPPQPSKMSVPELVDQATAYVKHLQKKLEKYKQMKVQLEDKRATAYTIRPPVLNIRDLGSNLEVHLITGLNMEFALSDFISILQEEGAEIVSATCHHTGDRAIYTILSQAIYPRIGIATSSVHERLTSLIC >EOX99018 pep chromosome:Theobroma_cacao_20110822:2:8080490:8086595:1 gene:TCM_007649 transcript:EOX99018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAD20392.1 isoform 4 MMLAWEAPCVECEGRVKETSSTNGEVEDDEGGSLFYSSSMTMAVQVDDKKTVGQEAFARIAPVCAAVADIITVHNLFDALTNSSGHRLHFLVYDKYLRSLDKVIKAAKNSLGCSLSNLPLSEVEIILDVEGAVPTQPVLQHVGISAWPGRLTLTNFALYFESLGVGVYDKAVRYDLETDLKQVIKPELTGPLGARLFDKAVMYKSTVTEPVYFEFPEFKGNSRRDYWLDISLEILHAHRFVRKNNFKETQQSEVLARAILGILRYRAVREAFQFFASQYKTLLSFNLAESLPGGDVILETLSSRLALLSANASPRNVKQLPTSSPVSLLALSQLGFILQKDAMLDGEALIVGDFCVGETNPLEIAVKQSISDTGSAEAAQATVDQVKVEGIDTNFAVMKELLFPVIGLATRLELLAAWKDPLKSTIFLMLTCCAIIRGWIRYILASVFVFFAIIMLWRRHFNKGKPLEAFRITPPPNRNAVEQLLTLQEAISQLEALIQTGNVILLKIRALLFAVLPQATDRVALLLVLMAVVLAFVPLRYLVLFVFLEAFTRELPYRRESSDRWMRRLREWWFRIPAAPVQLIRADDKKKK >EOX99016 pep chromosome:Theobroma_cacao_20110822:2:8079815:8086595:1 gene:TCM_007649 transcript:EOX99016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAD20392.1 isoform 4 MEGMWENFMRNNQSTLKSLFQRKKSSSNDEESPESSPRTIPQLSPLANSVVSRCSKILKIPTEELQHRFDIELPESVKQLFTYARNFLEFCSYQTLHKVSRNPDYLSDPEFRRLTYEMMLAWEAPCVECEGRVKETSSTNGEVEDDEGGSLFYSSSMTMAVQVDDKKTVGQEAFARIAPVCAAVADIITVHNLFDALTNSSGHRLHFLVYDKYLRSLDKVIKAAKNSLGCSLSNLPLSEVEIILDVEGAVPTQPVLQHVGISAWPGRLTLTNFALYFESLGVGVYDKAVRYDLETDLKQVIKPELTGPLGARLFDKAVMYKSTVTEPVYFEFPEFKGNSRRDYWLDISLEILHAHRFVRKNNFKETQQSEVLARAILGILRYRAVREAFQFFASQYKTLLSFNLAESLPGGDVILETLSSRLALLSANASPRNVKQLPTSSPVSLLALSQLGFILQKDAMLDGEALIVGDFCVGETNPLEIAVKQSISDTGSAEAAQATVDQVKVEGIDTNFAVMKELLFPVIGLATRLELLAAWKDPLKSTIFLMLTCCAIIRGWIRYILASVFVFFAIIMLWRRHFNKGKPLEAFRITPPPNRNAVEQLLTLQEAISQLEALIQTGNVILLKIRALLFAVLPQC >EOX99019 pep chromosome:Theobroma_cacao_20110822:2:8079815:8086152:1 gene:TCM_007649 transcript:EOX99019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAD20392.1 isoform 4 MEGMWENFMRNNQSTLKSLFQRKKSSSNDEESPESSPRTIPQLSPLANSVVSRCSKILKIPTEELQHRFDIELPESVKQLFTYARNFLEFCSYQTLHKVSRNPDYLSDPEFRRLTYEMMLAWEAPCVECEGRVKETSSTNGEVEDDEGGSLFYSSSMTMAVQVDDKKTVGQEAFARIAPVCAAVADIITVHNLFDALTNSSGHRLHFLVYDKYLRSLDKVIKAAKNSLGCSLSNLPLSEVEIILDVEGAVPTQPVLQHVGISAWPGRLTLTNFALYFESLGVGVYDKAVRYDLETDLKQVIKPELTGPLGARLFDKAVMYKSTVTEPVYFEFPEFKGNSRRDYWLDISLEILHAHRFVRKNNFKETQQSEVLARAILGILRYRAVREAFQFFASQYKTLLSFNLAESLPGGDVILETLSSRLALLSANASPRNVKQLPTSSPVSLLALSQLGFILQKDAMLDGEALIVGDFCVGETNPLEIAVKQSISDTGSAEAAQATVDQVKVEGIDTNFAVMKELLFPVIGLATRLELLAAWKDPLKSTIFLMLTCCAIIRGWIRYILASVFVFFAIIMLWRRHFNKGKPLEAFRITPPPNRNAVEQLLTLQEAISQLEALIQTGNVILLKIRALLFAVLPQC >EOX99013 pep chromosome:Theobroma_cacao_20110822:2:8079815:8086595:1 gene:TCM_007649 transcript:EOX99013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAD20392.1 isoform 4 MEGMWENFMRNNQSTLKSLFQRKKSSSNDEESPESSPRTIPQLSPLANSVVSRCSKILKIPTEELQHRFDIELPESVKQLFTYARNFLEFCSYQTLHKVSRNPDYLSDPEFRRLTYEMMLAWEAPCVECEGRVKETSSTNGEVEDDEGGSLFYSSSMTMAVQVDDKKTVGQEAFARIAPVCAAVADIITVHNLFDALTNSSGHRLHFLVYDKYLRSLDKVIKAAKNSLGCSLSNLPLSEVEIILDVEGAVPTQPVLQHVGISAWPGRLTLTNFALYFESLGVGVYDKAVRYDLETDLKQVIKPELTGPLGARLFDKAVMYKSTVTEPVYFEFPEFKGNSRRDYWLDISLEILHAHRFVRKNNFKETQQSEVLARAILGILRYRAVREAFQFFASQYKTLLSFNLAESLPGGDVILETLSSRLALLSANASPRNVKQLPTSSPVSLLALSQLGFILQKDAMLDGEALIVGDFCVGETNPLEIAVKQSISDTGSAEAAQATVDQVKVEGIDTNFAVMKELLFPVIGLATRLELLAAWKDPLKSTIFLMLTCCAIIRGWIRYILASVFVFFAIIMLWRRHFNKGKPLEAFRITPPPNRNAVEQLLTLQEAISQLEALIQTGNVILLKIRALLFAVLPQATDRVALLLVLMAVVLAFVPLRYLVLFVFLEAFTRELPYRRESSDRWMRRLREWWFRIPAAPVQLIRADDKKKK >EOX99015 pep chromosome:Theobroma_cacao_20110822:2:8080490:8086595:1 gene:TCM_007649 transcript:EOX99015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAD20392.1 isoform 4 MTMAVQVDDKKTVGQEAFARIAPVCAAVADIITVHNLFDALTNSSGHRLHFLVYDKYLRSLDKVIKAAKNSLGCSLSNLPLSEVEIILDVEGAVPTQPVLQHVGISAWPGRLTLTNFALYFESLGVGVYDKAVRYDLETDLKQVIKPELTGPLGARLFDKAVMYKSTVTEPVYFEFPEFKGNSRRDYWLDISLEILHAHRFVRKNNFKETQQSEVLARAILGILRYRAVREAFQFFASQYKTLLSFNLAESLPGGDVILETLSSRLALLSANASPRNVKQLPTSSPVSLLALSQLGFILQKDAMLDGEALIVGDFCVGETNPLEIAVKQSISDTGSAEAAQATVDQVKVEGIDTNFAVMKELLFPVIGLATRLELLAAWKDPLKSTIFLMLTCCAIIRGWIRYILASVFVFFAIIMLWRRHFNKGKPLEAFRITPPPNRNAVEQLLTLQEAISQLEALIQTGNVILLKIRALLFAVLPQATDRVALLLVLMAVVLAFVPLRYLVLFVFLEAFTRELPYRRESSDRWMRRLREWWFRIPAAPVQLIRADDKKKK >EOX99014 pep chromosome:Theobroma_cacao_20110822:2:8079815:8086488:1 gene:TCM_007649 transcript:EOX99014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAD20392.1 isoform 4 MEGMWENFMRNNQSTLKSLFQRKKSSSNDEESPESSPRTIPQLSPLANSVVSRCSKILKIPTEELQHRFDIELPESVKQLFTYARNFLEFCSYQTLHKVSRNPDYLSDPEFRRLTYEMMLAWEAPCVECEGRVKETSSTNGEVEDDEGGSLFYSSSMTMAVQVDDKKTVGQEAFARIAPVCAAVADIITVHNLFDALTNSSGHRLHFLVYDKYLRSLDKVIKAAKNSLGCSLSNLPLSEVEIILDVEGAVPTQPVLQHVGISAWPGRLTLTNFALYFESLGVGVYDKAVRYDLETDLKQVIKPELTGPLGARLFDKAVMYKSTVTEPVYFEFPEFKGNSRRDYWLDISLEILHAHRFVRKNNFKETQQSEVLARAILGILRYRAVREAFQFFASQYKTLLSFNLAESLPGGDVILETLSSRLALLSANASPRNVKQLPTSSPVSLLALSQLGFILQKDAMLDGEALIVGDFCVGETNPLEIAVKQSISDTGSAEAAQATVDQVKVEGIDTNFAVMKELLFPVIGLATRLELLAAWKDPLKSTIFLMLTCCAIIRGWIRYILASVFVFFAIIMLWRRHFNKGKPLEAFRITPPPNRNAVEQLLTLQEAISQLEALIQTGNVILLKIRALLFAVLPQATDRVALLLVLMAVVLAFVPLRYLVLFVFLEAFTRELPYRRESSDRWMRRLREWWFRIPAAPVQLIRADDKKKK >EOX99017 pep chromosome:Theobroma_cacao_20110822:2:8079815:8086595:1 gene:TCM_007649 transcript:EOX99017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAD20392.1 isoform 4 MEGMWENFMRNNQSTLKSLFQRKKSSSNDEESPESSPRTIPQLSPLANSVVSRCSKILKIPTEELQHRFDIELPESVKQLFTYARNFLEFCSYQTLHKVSRNPDYLSDPEFRRLTYEMMLAWEAPCVECEGRVKETSSTNGEVEDDEGGSLFYSSSMTMAVQVDDKKTVGQEAFARIAPVCAAVADIITVHNLFDALTNSSGHRLHFLVYDKYLRSLDKVIKAAKNSLGCSLSNLPLSEVEIILDVEGAVPTQPVLQHVGISAWPGRLTLTNFALYFESLGVGVYDKAVRYDLETDLKQVIKPELTGPLGARLFDKAVMYKSTVTEPVYFEFPEFKGNSRRDYWLDISLEILHAHRFVRKNNFKETQQSEVLARAILGILRYRAVREAFQFFASQYKTLLSFNLAESLPGGDVILETLSSRLALLSANASPRNVKQLPTSSPVSLLALSQLGFILQKDAMLDGEALIVGDFCVGETNPLEIAVKQSISDTGSAEAAQATVDQVKVEGIDTNFAVMKELLFPVIGLATRLELLAAWKDPLKSTIFLMLTCCAIIRGWIRYILASVFVFFAIIMLWRRHFNKGKPLEAFRITPPPNRNAVEQLLTLQEAISQLEALIQTGNVILLKIRALLFAVLPQATDRVALLLVLMAVVLAFVPLRYLVLFVFLEAFTRELPYRRESSDRWMRRLREWWFRIPAAPVQLIRADDKKKK >EOX97071 pep chromosome:Theobroma_cacao_20110822:2:1096760:1100188:1 gene:TCM_006178 transcript:EOX97071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bile acid sodium symporter/ transporter MSLTLRLSPFLYPPQNHLKFTFRPHPSKPTVLSPKKFTSPPTIKCVRGNSEHLPLTPAKPRLENVLSTAASLYPLYVTVGGIVACLQPSTFSWFVKRGPGSYSLTLGLIMLAMGLTLELKDLLNLFIQRPLSILFGCVAQYTIMPTFGMVISKTLGLSPSLSVGLILLACCPGGAASNVVTLIARGDVPLSTVLTVCTTLGAVIATPLLTMILAGTYVPVDAVGLSISTLQVVVAPVLLGSYIQSSFPVVVKIVTPFAPLFAVLASSLLACSVFSENVVRLKSSMVNATLASDATLINRLQSILSGELGVVILSVLLLHFAGFLVGYLSAAICRFREPERRAISIEVGMQNSALGVVLATSHFTSPVVALPPAMSAVIMNIMGSSLGFFWRHINRSDPGTSQELDEK >EOY02129 pep chromosome:Theobroma_cacao_20110822:2:42045545:42047700:-1 gene:TCM_011857 transcript:EOY02129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like transcription factor family protein, putative isoform 2 MINMDYAKKMRRCHEYVEALEEERRKIQVFQRELPLCLELVTQAIEACKKEISGGSTTTDYMQGQSECSEQTSSDGPVLEEFIPIKRSSDCSEEDDEQESRKSKDHDTNTKEKNVAAADKKKSDWLRSVQLWNNNQSPDPPLQEGAGKSGSAVEVKRNGGAFQPFHREKTVEKSVPSVGKANASATSTSTTESGSRGGGGGEANNGNTNSKKEEKEGQPQRKQRRCWSPELHRRFLHALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQVCSTEI >EOY02128 pep chromosome:Theobroma_cacao_20110822:2:42045014:42047579:-1 gene:TCM_011857 transcript:EOY02128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like transcription factor family protein, putative isoform 2 MINMDYAKKMRRCHEYVEALEEERRKIQVFQRELPLCLELVTQAIEACKKEISGGSTTTDYMQGQSECSEQTSSDGPVLEEFIPIKRSSDCSEEDDEQESRKSKDHDTNTKEKNVAAADKKKSDWLRSVQLWNNNQSPDPPLQEGAGKSGSAVEVKRNGGAFQPFHREKTVEKSVPSVGKANASATSTSTTESGSRGGGGGEANNGNTNSKKEEKEGQPQRKQRRCWSPELHRRFLHALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPTVHNNANAQAPQFVVVGGIWVPPPEYAPMAATTASGETASVTPTNGIYTPVAAPLPKLPQPSGAIVQRPQRSQSEERGSHSEGRVHSNSPSTSSSTHTTTDSPLF >EOY02130 pep chromosome:Theobroma_cacao_20110822:2:42045780:42047700:-1 gene:TCM_011857 transcript:EOY02130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like transcription factor family protein, putative isoform 2 MINMDYAKKMRRCHEYVEALEEERRKIQVFQRELPLCLELVTQAIEACKKEISGGSTTTDYMQGQSECSEQTSSDGPVLEEFIPIKRSSDCSEEDDEQESRKSKDHDTNTKEKNVAAADKKKSDWLRSVQLWNNNQSPDPPLQEGAGKSGSAVEVKRNGGAFQPFHREKTVEKSVPSVGKANASATSTSTTESGSRGGGGGEANNGNTNSKKEEKEGQPQRKQRRCWSPELHRRFLHALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQAGLIRLCQISAFEQTLQLD >EOX98029 pep chromosome:Theobroma_cacao_20110822:2:4318901:4321084:1 gene:TCM_006893 transcript:EOX98029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQPALVVFCERNASLVRSPVRPCAFHVKIKSCALFYSRGRELGNTTQSRPQIRSLPDSVSHEEDWECAILEVSFPVRKLFDRKGCGFVCFDVCLEIMLDTHA >EOX97780 pep chromosome:Theobroma_cacao_20110822:2:3407031:3409434:1 gene:TCM_006706 transcript:EOX97780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin, MIP family, PIP subfamily MSVTVAEDGVLSMEDKQKSMSAFLLTWHHATSGGVVEMRHRGIGVVEDEESIYGRNKVQPFASTPTPGKVTEEKHPKASLSSKVLSLEELFSLEVWRASLAELLGTAVLVFSLDTIVISTLQTETKTPNLVMSVLIAFVVAVLLLATYPISGGHINPIVTFAALLTGLVSISRAAIFILAQSVGGILGALALKAVVSSGIERTYSLGGCTVTIVAPGPHGPTVIGLETSQALWLEIICSFVFLFASVWMAFDRRQAKAVGRVMVCVILGIVLGLLVYISTTVTATKGYSGAGLNPARCLGPAVVRGGHLWDKHWIFWIGPAIGCVAFALYVKMIPREHTHSY >EOY00410 pep chromosome:Theobroma_cacao_20110822:2:32113826:32127801:1 gene:TCM_010277 transcript:EOY00410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptor protein complex AP-1, gamma subunit isoform 2 MNPFSSGTRLRDMIRAIRACKTAAEERAVVRKECAAIRAAINENDQDYRHRNLAKLMFIQMLGYPTHFGQMECLKLIASAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFINPAASLLKEKHHGVLITGVQLCTDLCKVSSEALEYFRKKCTDGLVKTLRDIANSPYAPEYDIAGITDPFLHIRLLKLLRILGQGDADASDCMNDILAQVATKTESNKNAGNAILYECVETIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAMMVDAQAVQRHRATILECVKDSDASIQKRALELVYLLVNENNVKPLTKELIEYLEVSDQEFKGDLTAKICSLVEKFSPEKIWYIDQMLKVLSEAGNFVKDEVWHALIVVISNATDLHGYTVRALYRALQTSTEQETLVRVAVWCIGEYGDMLVNNVGMLDIEDPITVTESDAVDAIEVAIKRHSSDLTTKAMALIALLKLSSRFPSCSERIRDIIVQNKGNLVLELQQRSIEFNCILQKHQNIRSALVERMPVLDEATFSGRRAGSLPSAVSTSSTGAPRNLPNGIAKPAAAPIADLLDLSSDDVPAPSSSGGDFLQDLLGVDLSPASAPSGTSQPPKAGTDVLLDLLSLGTLPPAQSSSSTSDILSSSQDNKAPLANLNGLTSLSSLSPNATSPASAASMMDLLDGFGPSPQKHEENGPAFPSLVAYESSSLRMTFNFSKQPGNPQTTLIQATFTNLSPNVYNDFLFQAAVPKFLQLHLDPASSNTLPASGNGSISQNLKVTNSQHGKKSLVMRIRIAYKMNNKDVLEEGQISNFPRDL >EOY00411 pep chromosome:Theobroma_cacao_20110822:2:32113946:32128006:1 gene:TCM_010277 transcript:EOY00411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptor protein complex AP-1, gamma subunit isoform 2 MNPFSSGTRLRDMIRAIRACKTAAEERAVVRKECAAIRAAINENDQDYRHRNLAKLMFIQMLGYPTHFGQMECLKLIASAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFINPAASLLKEKHHGVLITGVQLCTDLCKVSSEALEYFRKKCTDGLVKTLRDIANSPYAPEYDIAGITDPFLHIRLLKLLRILGQGDADASDCMNDILAQVATKTESNKNAGNAILYECVETIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAMMVDAQAVQRHRATILECVKDSDASIQKRALELVYLLVNENNVKPLTKELIEYLEVSDQEFKGDLTAKICSLVEKFSPEKIWYIDQMLKVLSEAGNFVKDEVWHALIVVISNATDLHGYTVRALYRALQTSTEQETLVRVAVWCIGEYGDMLVNNVGMLDIEDPITVTESDAVDAIEVAIKRHSSDLTTKAMALIALLKLSSRFPSCSERIRDIIVQNKGNLVLELQQRSIEFNCILQKHQNIRSALVERMPVLDEATFSGRRAGSLPSAVSTSSTGAPRNLPNGIAKPAAAPIADLLDLSSDDVPAPSSSGGDFLQDLLGVDLSPASAPSGTSQPPKAGTDVLLDLLSLGTLPPAQSSSSTSDILSSSQDNKAPLANLNGLTSLSSLSPNATSPASAASMMDLLDGFGPSPQKHEENGPAFPSLVAYESSSLRMTFNFSKQPGNPQTTLIQATFTNLSPNVYNDFLFQAAVPKFLQLHLDPASSNTLPASGNGSISQNLKVTNSQHGKV >EOX96996 pep chromosome:Theobroma_cacao_20110822:2:870424:874655:-1 gene:TCM_006126 transcript:EOX96996 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Uncharacterised conserved protein /.../277 (InterPro:IPR016971); Has 70 Blast hits to 70 proteins in 19 species: Archae - 0; Bacteria - 0; Metazoa - 1; Fungi - 0; Plants - 66; Viruses - 0; Other Eukaryotes - 3 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G57680) TAIR;Acc:AT1G57680] MPLTRYSADAFGVLTICLVALLILLGLVCIAYSFYLRSRVLRQGFIQLSYFSGPWIIRITFIFFAIWWGLGEIIRLNFLRRQGRVLNALNLKWQENVCKCYIVSNLGFAEPCLFLTLVFLLRAPLQKMDTGILSRKWNGKTAGYVFLYCLPLFVLQLILIVIGPELNKDRRDLPLYFTRTAAPQYSDDVSLCTYPLLNTILLGLFATVLTAYLFWLGRRILKLVINKGLQKRVYTLIFSVTSFLPLRVLLLGLSVLSEPEQFLFEALAFSAFLVLLCCALVCIFMLVYCPIADCLALGNLHDLEARRRVVLDDQNDTVSLIANQSHIEGSLGISPERNSDASTKRGSISFRTFEKDETSAGAFVELSLFSPSRDATPPGSPPLLGWPMRPPIHVHGP >EOX96998 pep chromosome:Theobroma_cacao_20110822:2:870682:874676:-1 gene:TCM_006126 transcript:EOX96998 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Uncharacterised conserved protein /.../277 (InterPro:IPR016971); Has 70 Blast hits to 70 proteins in 19 species: Archae - 0; Bacteria - 0; Metazoa - 1; Fungi - 0; Plants - 66; Viruses - 0; Other Eukaryotes - 3 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G57680) TAIR;Acc:AT1G57680] MPLTRYSADAFGVLTICLVALLILLGLVCIAYSFYLRSRVLRQGFIQLSYFSGPWIIRITFIFFAIWWGLGEIIRLNFLRRQGRVLNALNLKWQENVCKCYIVSNLGFAEPCLFLTLVFLLRAPLQKMDTGILSRKWNGKTAGYVFLYCLPLFVLQLILIVIGPELNKDRRDLPLYFTRTAAPQYSDDVSLCTYPLLNTILLGLFATVLTAYLFWLGRRILKLVINKGLQKRVYTLIFSVTSFLPLRVLLLGLSVLSEPEQFLFEALAFSAFLVLLCCALVCIFMLVYCPIADCLALGNLHDLEARRRVVLDDQNDTVSLIANQSHIEGSLGISPERNSDASTKRGSISFRTFEKDETSAGAFVELSLFSPSRDATPPGSPPLLGWPMRPPIHVHGP >EOX96997 pep chromosome:Theobroma_cacao_20110822:2:870662:874680:-1 gene:TCM_006126 transcript:EOX96997 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Uncharacterised conserved protein /.../277 (InterPro:IPR016971); Has 70 Blast hits to 70 proteins in 19 species: Archae - 0; Bacteria - 0; Metazoa - 1; Fungi - 0; Plants - 66; Viruses - 0; Other Eukaryotes - 3 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G57680) TAIR;Acc:AT1G57680] MPLTRYSADAFGVLTICLVALLILLGLVCIAYSFYLRSRVLRQGFIQLSYFSGPWIIRITFIFFAIWWGLGEIIRLNFLRRQGRVLNALNLKWQENVCKCYIVSNLGFAEPCLFLTLVFLLRAPLQKMDTGILSRKWNGKTAGYVFLYCLPLFVLQLILIVIGPELNKDRRDLPLYFTRTAAPQYSDDVSLCTYPLLNTILLGLFATVLTAYLFWLGRRILKLVINKGLQKRVYTLIFSVTSFLPLRVLLLGLSVLSEPEQFLFEALAFSAFLVLLCCALVCIFMLVYCPIADCLALGNLHDLEARRRVVLDDQNDTVSLIANQSHIEGSLGISPERNSDASTKRGSISFRTFEKDETSAGAFVELSLFSPSRDATPPGSPPLLGWPMRPPIHVHGP >EOX97000 pep chromosome:Theobroma_cacao_20110822:2:870682:872323:-1 gene:TCM_006126 transcript:EOX97000 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Uncharacterised conserved protein /.../277 (InterPro:IPR016971); Has 70 Blast hits to 70 proteins in 19 species: Archae - 0; Bacteria - 0; Metazoa - 1; Fungi - 0; Plants - 66; Viruses - 0; Other Eukaryotes - 3 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G57680) TAIR;Acc:AT1G57680] MPLTRYSADAFGVLTICLVALLILLGLVCIAYSFYLRSRVLRQGFIQLSYFSGPWIIRITFIFFAIWWGLGEIIRLNFLRRQGRVLNALNLKWQENVCKCYIVSNLGFAEPCLFLTLVFLLRAPLQKMDTGILSRKWNGKTAGYVFLYCLPLFVLQLILIVIGPELNKDRRDLPLYFTRTAAPQYSDDVSLCTYPLLNTILLGLFATVLTAYLFWLGRRILKLVINKGLQKRVYTLIFSVTSFLPLRVLLLGLSVLSEPEQFLFEALAFSAFLVLLCCALVCIFMLVYCPIADCLALGNLHDLEARRRVVLDDQNDTVSLIANQSHIEGSLGISPERNSDASTKRGSISFRTFEKDETSAGAFVELSLFSPSRDATPPGSPPLLGWPMRPPIHVHGP >EOX96999 pep chromosome:Theobroma_cacao_20110822:2:870662:874680:-1 gene:TCM_006126 transcript:EOX96999 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Uncharacterised conserved protein /.../277 (InterPro:IPR016971); Has 70 Blast hits to 70 proteins in 19 species: Archae - 0; Bacteria - 0; Metazoa - 1; Fungi - 0; Plants - 66; Viruses - 0; Other Eukaryotes - 3 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G57680) TAIR;Acc:AT1G57680] MPLTRYSADAFGVLTICLVALLILLGLVCIAYSFYLRSRVLRQGFIQLSYFSGPWIIRITFIFFAIWWGLGEIIRLNFLRRQGRVLNALNLKWQENVCKCYIVSNLGFAEPCLFLTLVFLLRAPLQKMDTGILSRKWNGKTAGYVFLYCLPLFVLQLILIVIGPELNKDRRDLPLYFTRTAAPQYSDDVSLCTYPLLNTILLGLFATVLTAYLFWLGRRILKLVINKGLQKRVYTLIFSVTSFLPLRVLLLGLSVLSEPEQFLFEALAFSAFLVLLCCALVCIFMLVYCPIADCLALGNLHDLEARRRVVLDDQNDTVSLIANQSHIEGSLGISPERNSDASTKRGSISFRTFEKDETSAGAFVELSLFSPSRDATPPGSPPLLGWPMRPPIHVHGP >EOX99307 pep chromosome:Theobroma_cacao_20110822:2:10075340:10087444:-1 gene:TCM_007941 transcript:EOX99307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding isoform 4 MDKGRQVFAVDLLERYAAKGRGVITCMAAGNDVIVLGTSKGWVIRHDFGVGDSYDFDLSAGRPGEQSIHRVFVDPGGSHCIATVVGSGGADTFYTHAKWNKPRILSRLKGLVVNAVAWNRQQITEASTREVILGTDNGQLYEIAVDEKDKREKYIKPLFELAELPEAIMGLQMETAILSNGTRYYVMAVTPTRLYSFTGIGSLETVFASYLDRAVRFMELPGEIPNSELHFFIKQRRAVHFAWLSGAGIYHGGLNFGAQHSSPDGDENFVENKALLDYKKLSNGGEVVKPSSMAVSEFHFLLLIGNKVKVVNRISEQIIEELQFDQASDSNSRGIIGLSSDATAGLFYAFDQNSIFQVSVNDEGRDMWKVYLDMKEYAAALANSRDPLQRDQIYLVQAEAAFTSRDFLRAASFYAKINYILSFEEITLKFIGVGEQDALRTFLLRKLDNLAKDDKCQITMISTWATELYLDKINRLLLEDDTALENRNSEYQSIIREFRAFLSDCKDVLDEVTTMRILESYGRVEELVYFASLKEQYEIVVHHYIQQGEAKKALEVLRKPVVPIDLQYKFAPDLITLDAYETVESWMASNNLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEFCVHRLHNEDPGIHNLLLSLYAKQEVDSALLHFLQCKFGKGRLNGPDFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQVDPELAMAEADKVEDDEDLRKKLWLMVAKHVIEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNKQIEQLKEEMNDATHGADNIRNDISALAQRYAVIDRAEECGICRRKILAVGGDYRMTRVYTAVGPMAPFYVFPCGHAFHAHCLIAHVTRCTNESQAEYILDLQKQLTLLGSEARRESNGGITDESITSMNPADKLRSQLDDAVASECPFCGELIIREISLPFILPEEAQLVASWEIKQQNLGNQRSISLPL >EOX99310 pep chromosome:Theobroma_cacao_20110822:2:10076757:10087654:-1 gene:TCM_007941 transcript:EOX99310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding isoform 4 MDKGRQVFAVDLLERYAAKGRGVITCMAAGNDVIVLGTSKGWVIRHDFGVGDSYDFDLSAGRPGEQSIHRVFVDPGGSHCIATVVGSGGADTFYTHAKWNKPRILSRLKGLVVNAVAWNRQQITEASTREVILGTDNGQLYEIAVDEKDKREKYIKPLFELAELPEAIMGLQMETAILSNGTRYYVMAVTPTRLYSFTGIGSLETVFASYLDRAVRFMELPGEIPNSELHFFIKQRRAVHFAWLSGAGIYHGGLNFGAQHSSPDGDENFVENKALLDYKKLSNGGEVVKPSSMAVSEFHFLLLIGNKVKVVNRISEQIIEELQFDQASDSNSRGIIGLSSDATAGLFYAFDQNSIFQVSVNDEGRDMWKVYLDMKEYAAALANSRDPLQRDQIYLVQAEAAFTSRDFLRAASFYAKINYILSFEEITLKFIGVGEQDALRTFLLRKLDNLAKDDKCQITMISTWATELYLDKINRLLLEDDTALENRNSEYQSIIREFRAFLSDCKDVLDEVTTMRILESYGRVEELVYFASLKEQYEIVVHHYIQQGEAKKALEVLRKPVVPIDLQYKFAPDLITLDAYETVESWMASNNLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEFCVHRLHNEDPGIHNLLLSLYAKQEVDSALLHFLQCKFGKGRLNGPDFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQVDPELAMAEADKVEDDEDLRKKLWLMVAKHVIEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNKQIEQLKEEMNDATHGADNIRNDISALAQRYAVIDRAEECGVLFILISDVRCR >EOX99309 pep chromosome:Theobroma_cacao_20110822:2:10075340:10087654:-1 gene:TCM_007941 transcript:EOX99309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding isoform 4 MDKGRQVFAVDLLERYAAKGRGVITCMAAGNDVIVLGTSKGWVIRHDFGVGDSYDFDLSAGRPGEQSIHRVFVDPGGSHCIATVVGSGGADTFYTHAKWNKPRILSRLKGLVVNAVAWNRQQITEASTREVILGTDNGQLYEIAVDEKDKREKYIKPLFELAELPEAIMGLQMETAILSNGTRYYVMAVTPTRLYSFTGIGSLETVFASYLDRAVRFMELPGEIPNSELHFFIKQRRAVHFAWLSGAGIYHGGLNFGAQHSSPDGDENFVENKALLDYKKLSNGGEVVKPSSMAVSEFHFLLLIGNKVKVVNRISEQIIEELQFDQASDSNSRGIIGLSSDATAGLFYAFDQNSIFQVSVNDEGRDMWKVYLDMKEYAAALANSRDPLQRDQIYLVQAEAAFTSRDFLRAASFYAKINYILSFEEITLKFIGVGEQDALRTFLLRKLDNLAKDDKCQITMISTWATELYLDKINRLLLEDDTALENRNSEYQSIIREFRAFLSDCKDVLDEVTTMRILESYGRVEELVYFASLKEQYEIVVHHYIQQGEAKKALEVLRKPVVPIDLQYKFAPDLITLDAYETVESWMASNNLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEFCVHRLHNEDPGIHNLLLSLYAKQEVDSALLHFLQCKFGKGRLNGPDFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQVDPELAMAEADKVEDDEDLRKKLWLMVAKHVIEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNKQIEQLKEEMNDATHGADNIRNDISALAQRYAVIDRAEECGL >EOX99308 pep chromosome:Theobroma_cacao_20110822:2:10075343:10087628:-1 gene:TCM_007941 transcript:EOX99308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding isoform 4 MDKGRQVFAVDLLERYAAKGRGVITCMAAGNDVIVLGTSKGWVIRHDFGVGDSYDFDLSAGRPGEQSIHRVFVDPGGSHCIATVVGSGGADTFYTHAKWNKPRILSRLKGLVVNAVAWNRQQITEASTREVILGTDNGQLYEIAVDEKDKREKYIKPLFELAELPEAIMGLQMETAILSNGTRYYVMAVTPTRLYSFTGIGSLETVFASYLDRAVRFMELPGEIPNSELHFFIKQRRAVHFAWLSGAGIYHGGLNFGAQHSSPDGDENFVENKALLDYKKLSNGGEVVKPSSMAVSEFHFLLLIGNKVKVVNRISEQIIEELQFDQASDSNSRGIIGLSSDATAGLFYAFDQNSIFQVSVNDEGRDMWKVYLDMKEYAAALANSRDPLQRDQIYLVQAEAAFTSRDFLRAASFYAKINYILSFEEITLKFIGVGEQDALRTFLLRKLDNLAKDDKCQITMISTWATELYLDKINRLLLEDDTALENRNSEYQSIIREFRAFLSDCKDVLDEVTTMRILESYGRVEELVYFASLKEQYEIVVHHYIQQGEAKKALEVLRKPVVPIDLQYKFAPDLITLDAYETVESWMASNNLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEFCVHRLHNEDPGIHNLLLSLYAKQEVDSALLHFLQCKFGKGRLNGPDFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQVDPELAMAEADKVEDDEDLRKKLWLMVAKHVIEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNKQIEQLKEEMNDATHGADNIRNDISALAQRYAVIDRAEECGICRRKILAVGGDYRMTRVYTAVGPMAPFYVFPCGHAFHAHCLIAHVTRCTNESQAEYILDLQKQLTLLGSEARRESNGGITDESITSMNPADKLRSQLDDAVASECPFCGELIIREISLPFILPEEAQLVASWEIKQQNLGNQRSISLPL >EOX97135 pep chromosome:Theobroma_cacao_20110822:2:1336297:1339189:-1 gene:TCM_006232 transcript:EOX97135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat only 4 MKCLLILYNPDFGSAFDEIFLSLPQFLGNDSSTLSAWSCMVTEKLIWQLAPMLSETSPLELKICCAEALSMLNVGSVLNSSRMIDETEALMFCLAKLVEAEDGEFQYNCLMTIMEITAVAESDLDLRCKTFKTNSPRAEAIVEQLLRVIKELEDHPKLQVPAIKSIAEAMEEARVRTAIQQLLTRKGMPHRHGVSQHPELKELFIQFCPLSCLVLDIPATFDDITMSIFQAEAEWIDGNGSLTFADFLLVALAFRLSLCCLKSGAVAAVTVRASSTPETNAAQSTEQVSNPAIRASCALPLIENRWVLQQATRNLLATTEADFTTHLCFGATASAQFCQNCCDYLLLAIPSVTSRPPIIYFS >EOX97969 pep chromosome:Theobroma_cacao_20110822:2:4102051:4105653:-1 gene:TCM_006848 transcript:EOX97969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome BC1 synthesis MPSVPIPTSFFNGTYQGPSAKVIFSAAASVAATAMLVRSVVREFVPHELRDFMFLKIKNLLASLSSELTLVIEEYDNLNHNLLYKAAELYLEATIPPDTKRIRVTMPRKEGKISLSLEKNQEIIDKFNGVQVKWRFVSKNIRSKYIQGSDPYNPVVKSEILFFDLSFHKKHKEMILNEYMQHILAKAKEMKEKKKTLKLFTLKYEMMPGRNGDMWQSVNLDHPATFQTLAMDSEMKQKIVEDLERFVKRKEYYKRVGKAWKRGYLLFGPPGTGKSSLIAAMANYLNFDIYDLELTEIRGNSELRKLVISTGNKSILVVEDIDCSLELQDRLAQARAAAAQSSRHIRVPQYQLTLSGLLNFIDGLWSSCGDERIIVFTTNHKERLDPALLRPGRMDMHIHMSYCTPCGFKMLASNYLGIPEHPLFLEIEELLEISKVTPAEVGEQLMKDEVPEIVLPGLIEFLEAKLEEGREAEAEAGESQSRVAQAAEVGDKLEDKQGKSKKQEDRGNQQTLMIQ >EOY01598 pep chromosome:Theobroma_cacao_20110822:2:40187910:40193058:1 gene:TCM_011451 transcript:EOY01598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKIKDIDLMLPTSQPTNHFRQALAGGKGRRIEEPSFHVDHGDDDVRPHLSRCKRTLNKMSCGDGDIEPLCSTHSCVFGSTAVGTSHLFLSLSLSVVVKGWGCLHKTASGLMPLLPYFMADCGDRPFGTTPCSFPPYDSKTLDIVPKERGLLVVSFNTWPRFPSCNARHAFPQTWLIRTALCNEVTWSESKPMKMGRP >EOX98198 pep chromosome:Theobroma_cacao_20110822:2:4831307:4832382:1 gene:TCM_007010 transcript:EOX98198 gene_biotype:protein_coding transcript_biotype:protein_coding description:CUB and sushi domain-containing protein 3, putative MGFTHAHGLILVLIAASMLGGSMGSKDWGHSSNYTGWGWGWGSGWGSHNNQTLNQTEGPNKIIVGGSENWHYGFNYSEWAFQNAPFYFNDTLVFKYDPPSNNTFPHSVYLFHDFWSYLNCDLKRAKMIASPTQGGGDGFEFVLKRWRSHYFACGERNGVHCKAGGMRFMVVPLLRWHN >EOX97396 pep chromosome:Theobroma_cacao_20110822:2:2143733:2159283:-1 gene:TCM_006423 transcript:EOX97396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Separase, putative MPPPTESSLLSDLQTSDDSQRLHSIVSDYLRPVSTLANSKKTSKKADQTTVRSLAKQFLSFLSKSFPIIYNRLYIQNPSQQQRDILSPFYDTYRQCLTCLDFISSQLAGGPHMVQIQRLKLVYCLQAWGRYEEGESESFRVLERLRGEADSEGKFVPSIDVGGGDSKFGSVVVEAVASVVKNVAMGQSKDCGKYERVLALLEEVRPWCSLFRELESVAIEKSHNVLVTFLGRCCRFLVEEMNHFGEDLIRRFYVECLAEYSRSTTKDQVYKFARRICSSLFSLEGSESSVRIDLVTFVLASISRECKVEMDNGAIEFVELADYCANKCRAADTLSFNLKFGRISQATTPVDLILRLYATGLEFTDDFTTSKGAEDNSAIEVLFIERDKLHNLSALLGSLRHYFNIGEKETCISSDIEYKNSVNQMHLQPGSKGQCSMTCKDRKACIVMYYNTQKFLCQPLAELVNSEKKRILAEIEALTDSSKLYTIQDAFYQFCDSFFSLKRCTSESEREEFDDDEVLVASVIAAGFILSIGTKLKMQKSVCLIKQIIGSGWIQSQGLKYLFVSLHNIGVLMYRSKQMKEALKALKLSYRASWMNIQRLCEMFTHKKGFDDNLSEDAIRGLITDACTRSAFLLEVLHVCGNLKVKRIIVESLENWSLAENLFRQLPGPIPLIKQWVKIQCKLHKNVDVEDSAPTLCCMLLSSTKVSKRTIGIILEQELLAYQELNHGYPDFCQRMQNKVIDLLMQDVYATENSPLWKARILIRKGRALRINGIEALKNCILCLSEAISIMKNFYGETPILGTTACHQLAAAYCLRALCTQEAEPNSEQVYQDICAALDMWLSIFVPDSCSMDDEFKMVSGNTLPLLYNILDLLSVKGWTKLHSNIHQLIIRLYKQNNMQIGKCLANLWECRRLSHALCVSPVNEAFIATLSEHCGETSKSIDFWIGCLSGSQPGLLGFQQNLICFFNTFTHRFKTHERDFKSAVPVNNVKQIVSELISSDPVRSHSLFLAGYLYYDLCERCVSSGQLFEGLSYAKEAFQLRSQLFKRKFAFSIEEQVEKCNETGDIGEIALKVINGPKDLQVQRTVASELWSFDSSSWDLCGCYLSPWNVLQCYLESILQVGYINEMTGNGVEAETFLVWGKSISCSQSLPLFEATFSSVLGKLYRKKQLWHFAEKELQSAKQILVGSSSYYSCIKCRLMLEINLDQQLGDLFCNLFDSTIINNSKERLSHAEFLYKSALEKLNHSEWKRISFDEENDENITIKTTTINSEDVAGNAANHPANQPEAVGARKSRKTKNVSKSVLKEQYVIPEQSSRVTRSRFRSSQNQSLNSTGEAQVGLAKHSNGNVVSKLCDTCSEKESLFKKGSCRDELRNETACICKRTKCWQCLPTEIMKSGLLNYFINMKWEYAHRKLLVRVLTGIGKCLEYHGQTHELHKVVWQSISVLVSRKRITQTCSSAHDTFLLDLIGREILGDVFAVERAAILYSIGWITVKNIHSKDTRAVCCDLSNVQLSKTVHWLKLAFVLCREVPVLFQKVSRLLSAIYLLSATTELFSLPSCKALSESHWASYFHQASLGTHLNYQFFPNTCGRPNAQCFVDSRDSHAIGSSCLHTETSTLLRLAPESVKDLEQFVMNFYACLPCTAIICISLLGHAYTSLLQELLLNPSCIHAWMLLSRLNSNNQPVVLLLPLDSVLEEVSDDAAPDDDNARACQNLRQHMNSGKKWHCPWGSTVVDDVAPAFKGILEENFITTSNFLIEDTKSTRSLWWMIRKKVDQQLGKLLSNLEDSWLGPWRHVLLGDCLDCKSLNMVHKKLVRDLKSKCKMDINESFLKLVLGGAKYDIEEACFSWQCLKEGCYIGRLEHPGEEICRSNGIDKVSALASQLIHEAVNELHLADTISREPIILVLDYDVQMLPWESIPILRQQEVYRMPSVGSISLTLERSWHYQEQVGRNAAVFPLIDPLDAFYLLNPSGDLSSTQAEFENWFRDQNFEGKAGTVPTAEELATALKSHDLFLYFGHGSGEQYLSRKEIQELDKCAATLLMGCSSGSLVLNGCYMPRGISLSYLRAGSPVTIANLWEVTDKDIDRFGKAVLSAWLSERLEPADCSQCDQLVKEFEAMKIRGRSKGTSRKKVASSNIDETSNGDSLKNTCDHRPKIGSFVGRARETCTLPFLNGASPVCYGVPTGIRRKKDLSPNS >EOY01369 pep chromosome:Theobroma_cacao_20110822:2:39171852:39178454:1 gene:TCM_011282 transcript:EOY01369 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADA2 2A isoform 1 MGRSRTVSRSTEDDLNQSRSKRKRTAYGGVENLELPPSGVGQVANEAKGPALYHCNYCNKDISGMVRIKCAVCPDFDLCVECFSVGAEVTPHKGNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGFGNWTEVAEHVGTKSKSQCIDHYNAIYMNSPCFPLPDLSHVMGKSREELVAMAKGNGQVRKEFTAHGEHTLKEESSVAAKVKYDAPRKDDPAYQSSSSLTGEAHIDSSSGNSFQGSGKKTNLAQSKDGIKLEEPQADRSIGEKKLRVSTDEEPSMTELSGYNFKRQEFEIEYDNDAEQLLADMEFKDTDTKPEHELKLRVLHIYSTRLDERKRRKDFILERNLLYPDPFERNLSPEEKEIYQRYKVFMRFHSKEEHEELLKSVIEEHRIVKRIQDLQEARAAGCRTAAEANKFIEQKRKKEAEENAQRLRESVQAGPSGKVLLHGSPQGVMRGSTSLQTISKESSTVIGGATTLDDWDITGFIGADLLSDTEKKLCSEIRILPSHYLSMLQTLSVEIMKGNIGKKSDAHNLFKVEPSKVDRVYDMLVKKGIAQA >EOY01370 pep chromosome:Theobroma_cacao_20110822:2:39171946:39178454:1 gene:TCM_011282 transcript:EOY01370 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADA2 2A isoform 1 MGRSRTVSRSTEDDLNQRSKRKRTAYGGVENLELPPSGVGQVANEAKGPALYHCNYCNKDISGMVRIKCAVCPDFDLCVECFSVGAEVTPHKGNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGFGNWTEVAEHVGTKSKSQCIDHYNAIYMNSPCFPLPDLSHVMGKSREELVAMAKGNGQVRKEFTAHGEHTLKEESSVAAKVKYDAPRKDDPAYQSSSSLTGEAHIDSSSGNSFQGSGKKTNLAQSKDGIKLEEPQADRSIGEKKLRVSTDEEPSMTELSGYNFKRQEFEIEYDNDAEQLLADMEFKDTDTKPEHELKLRVLHIYSTRLDERKRRKDFILERNLLYPDPFERNLSPEEKEIYQRYKVFMRFHSKEEHEELLKSVIEEHRIVKRIQDLQEARAAGCRTAAEANKFIEQKRKKEAEENAQRLRESVQAGPSGKVLLHGSPQGVMRGSTSLQTISKESSTVIGGATTLDDWDITGFIGADLLSDTEKKLCSEIRILPSHYLSMLQTLSVEIMKGNIGKKSDAHNLFKVEPSKVDRVYDMLVKKGIAQA >EOY01368 pep chromosome:Theobroma_cacao_20110822:2:39171852:39178454:1 gene:TCM_011282 transcript:EOY01368 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADA2 2A isoform 1 MGRSRTVSRSTEDDLNQSRSKRKRTAYGGVENLELPPSGVGQVANEAKGPALYHCNYCNKDISGMVRIKCAVCPDFDLCVECFSVGAEVTPHKGNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGFGNWTEVAEHVGTKSKSQCIDHYNAIYMNSPCFPLPDLSHVMGKSREELVAMAKGNGQVRKEFTAHGEHTLKEESSVAAKVKYDAPRKDDPAYQSSSSLTGEAHIDSSSGNSFQGSGKKTNLAQSKDGIKLEEPQADRSIGEKKLRVSTDEEPSMTELSGYNFKRQEFEIEYDNDAEQLLADMEFKDTDTKPEHELKLRVLHIYSTRLDERKRRKDFILERNLLYPDPFERNLSPEEKEIYQRYKVFMRFHSKEEHEELLKSVIEEHRIVKRIQDLQEARAAGCRTAAEANKFIEQKRKKEAEENAQRLRESVQAGPSGKVLLHGSPQGVMRGSTSLQTISKESSTVIGGATTLDDWDITGFIGADLLSDTKKLCSEIRILPSHYLSMLQTLSVEIMKGNIGKKSDAHNLFKVEPSKVDRVYDMLVKKGIAQA >EOY01371 pep chromosome:Theobroma_cacao_20110822:2:39172757:39178454:1 gene:TCM_011282 transcript:EOY01371 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADA2 2A isoform 1 MYGFGNWTEVAEHVGTKSKSQCIDHYNAIYMNSPCFPLPDLSHVMGKSREELVAMAKGNGQVRKEFTAHGEHTLKEESSVAAKVKYDAPRKDDPAYQSSSSLTGEAHIDSSSGNSFQGSGKKTNLAQSKDGIKLEEPQADRSIGEKKLRVSTDEEPSMTELSGYNFKRQEFEIEYDNDAEQLLADMEFKDTDTKPEHELKLRVLHIYSTRLDERKRRKDFILERNLLYPDPFERNLSPEEKEIYQRYKVFMRFHSKEEHEELLKSVIEEHRIVKRIQDLQEARAAGCRTAAEANKFIEQKRKKEAEENAQRLRESVQAGPSGKVLLHGSPQGVMRGSTSLQTISKESSTVIGGATTLDDWDITGFIGADLLSDTEKKLCSEIRILPSHYLSMLQTLSVEIMKGNIGKKSDAHNLFKVEPSKVDRVYDMLVKKGIAQA >EOY01367 pep chromosome:Theobroma_cacao_20110822:2:39171738:39184096:1 gene:TCM_011282 transcript:EOY01367 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADA2 2A isoform 1 MGRSRTVSRSTEDDLNQSRSKRKRTAYGGVENLELPPSGVGQVANEAKGPALYHCNYCNKDISGMVRIKCAVCPDFDLCVECFSVGAEVTPHKGNHPYRVMDNLSFPLICPDWNADEEILLLEVFLYTIIAAFLRQIFFLIDQYIRGWLSLFQGIEMYGFGNWTEVAEHVGTKSKSQCIDHYNAIYMNSPCFPLPDLSHVMGKSREELVAMAKGNGQVRKEFTAHGEHTLKEESSVAAKVKYDAPRKDDPAYQSSSSLTGEAHIDSSSGNSFQGSGKKTNLAQSKDGIKLEEPQADRSIGEKKLRVSTDEEPSMTELSGYNFKRQEFEIEYDNDAEQLLADMEFKDTDTKPEHELKLRVLHIYSTRLDERKRRKDFILERNLLYPDPFERNLSPEEKEIYQRYKVFMRFHSKEEHEELLKSVIEEHRIVKRIQDLQEARAAGCRTAAEANKFIEQKRKKEAEENAQRLRESVQAGPSGKVLLHGSPQGVMRGSTSLQTISKESSTVIGGATTLDDWDITGFIGADLLSDTEKKLCSEIRILPSHYLSMLQTLSVEIMKGNIGKKSDAHNLFKVEPSKVDRVYDMLVKKGIAQA >EOY02136 pep chromosome:Theobroma_cacao_20110822:2:42074837:42076577:-1 gene:TCM_011862 transcript:EOY02136 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 domain class transcription factor MDGSCIDESTTSGDSISISPSNLSPLPPATKSPDSLCRVGSGASVIVDSEAGVEAESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAAKAYDIAAQRFRGRDAVTNFKHLRETEEDDIEMAFLNSHSKAEIVDMLRKHTYNDELEQSRRSYGFDGNGKRVVRHDGGFGSFGLELKAREQLFEKAVTPSDVGKLNRLVIPKQHAEKYFPLQSGSASSKGVLLNFEDVTGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLKAGDIVSFQRSTGLEKQLYIDWKTRTGLGSGLENPVGPVQMVRLFGVNIFKIPGSENVGIVGCIGKRTREMELLELECSKKQRVIDAL >EOY00856 pep chromosome:Theobroma_cacao_20110822:2:35556597:35559358:-1 gene:TCM_010779 transcript:EOY00856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 1 isoform 1 MASSAVALEDVPSVDIMTELLRRFKCSSKTDKRLILIGPPGSGKGTQSPIIKDDYCLCHLATGDMLRAAVAAKTLLGIKAKESMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLQKQGTKIDKVLNFAIDDSILEERITGRWIHPSSGRTYHTKFAPPKVPGVDDPESKVLFACT >EOY00855 pep chromosome:Theobroma_cacao_20110822:2:35555392:35559506:-1 gene:TCM_010779 transcript:EOY00855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 1 isoform 1 MASSAVALEDVPSVDIMTELLRRFKCSSKTDKRLILIGPPGSGKGTQSPIIKDDYCLCHLATGDMLRAAVAAKTLLGIKAKESMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLQKQGTKIDKVLNFAIDDSILEERITGRWIHPSSGRTYHTKFAPPKVPGVDDVTGEPLIQRKDDTPAVLKSRLEGFHRQTEPVIDYYGSKGILANLHAERPPNEVTSEVLKALASFLVSK >EOX97985 pep chromosome:Theobroma_cacao_20110822:2:4167345:4172859:1 gene:TCM_006860 transcript:EOX97985 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsJ-like methyltransferase family protein MGKVKGKHRLDKYYQLAKEHGYRSRASWKLVQLDSKFSFLNSAHAVLDLCAAPGGWMQVAVQRVPVGSLVLGLDLVPIAPIRGAIALQQDITKSECKSKIKRVMEEHGAPAFDVVLHDGSPNVGGAWAQEAMSQNALVIDSVKLATQFLAPKGTFVTKVFRSQDYSSVLYCLKQLFEKVEVDKPAASRSASAEIYLLGLRYKAPAKIDPRLLDVKHLFQGSVEPQKKVIDVLRVTKQKRHRDGYEDGETISKKVSTAADFIRSDSPLEILGSVTSITFGDPASLPIKDHSSTTEEIKALCDDLRVLGKQDFKCLLKWRLQLRKALSPSEKATSSTPATDVDKGEEENEDDKLLNEMEELTYAMERKKKRAKKLVAKRQAKDKSRKATGMQIDALEDGYIDHELFSLSSIKGKKDLAAVDSTEYDDGNNDLRGSEDEENQDKTEEESSSDIDSDEERRRYDEQIEEILDHAYEQYVAKKDGSTKQRKRAKEAYSDQLEGGDGDDIILSDHDSDKDEADPEANPLLVPLDDGEGPTQEEITNRWFGQDIFAEAVEQGDLGKYDSDDAMEVDNQVDRLPVPERAKEKKRQDERQIADKVKEKKTNNASGLNRSQLQAPRAEDDFEIVPAPATDSSDDSSSDDSEDEDVDTKAEILACAKKMLRKKQREQILDDAYNKYMFDYEGLPKWFLEEERRHHQPIKPVTKEEIAAMRAQFKEINARPAKKVAEAKARKKRVAMKKLEKVRQKANSISDQTDISDRSKRKQIEQLYKKAMPKKIQKEYVVAKKGVQVKAGKGKVLVDRRMKKDSRARGMRKSGKGGSKKGKNGKVRKGKGSVKASGNKENKGKKGVRA >EOX98888 pep chromosome:Theobroma_cacao_20110822:2:7655675:7660757:-1 gene:TCM_007560 transcript:EOX98888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase cx32 isoform 2 MDTAVDPTKRSSLNYPQGNSLSYFLNQTSEDSVFVIFLVAEFCLNRTKPKSSLLMLQQVEEGKSTRKKSFFRMGLCWGSPADNPTPSTTGHLSSVTSQTASNTISSGTSRGSNISRSSGFSALSGDEAFPNGQILPTPNLRIFSFAELRAATKNFRPDMVLGEGGFGKVFKGWLDEKAPGKNGSGNLVAVKKLNSESLQGFEEWQSEVNFLGRLYHPHLVRLLGYCWEDKELLLVYEFMQKGSLENHLFGRGATVKSLEWDIRLKIAIGAAKGLSFLHTSDKQVIYRDFKASNILLDGSYTAKISDFGLAKFGPSASQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDPNRPSGRHNLVDWVKPYLSDRRKLKSLMDHRLEGKYPSKAAFRIAHLALKCLAPEPKHRPSMKEVVETLEQIESANEKPKEPRNRSARHTTSRHGRQPLQHQSPLAPKHEAGRAYQNPPRVR >EOX98889 pep chromosome:Theobroma_cacao_20110822:2:7655823:7659777:-1 gene:TCM_007560 transcript:EOX98889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase cx32 isoform 2 MLQQVEEGKSTRKKSFFRMGLCWGSPADNPTPSTTGHLSSVTSQTASNTISSGTSRGSNISRSSGFSALSGDEAFPNGQILPTPNLRIFSFAELRAATKNFRPDMVLGEGGFGKVFKGWLDEKAPGKNGSGNLVAVKKLNSESLQGFEEWQSEVNFLGRLYHPHLVRLLGYCWEDKELLLVYEFMQKGSLENHLFGRGATVKSLEWDIRLKIAIGAAKGLSFLHTSDKQVIYRDFKASNILLDGSYTAKISDFGLAKFGPSASQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDPNRPSGRHNLVDWVKPYLSDRRKLKSLMDHRLEGKYPSKAAFRIAHLALKCLAPEPKHRPSMKEVVETLEQIESANEKPKEPRNRSARHTTSRHGRQPLQHQSPLAPKHEAGRAYQNPPRVR >EOX97030 pep chromosome:Theobroma_cacao_20110822:2:976222:979636:-1 gene:TCM_006149 transcript:EOX97030 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 1 MMGERIPPGSYFQYPPSGVPASPHRPSSLPTDRERYLAELLAEKQKLVPFTQVLPLCTRLLNQEIRRVSGVNPSFMDHERFEHDSPFRSLGQHPNGRQMDLEGWSVMQTEENGHLQRVVPIQAASMGWPGLPGVPTTPIVKRVVRLDVPVDKYPSYNFVGRILGPRGNSLKRVEAVTECRVYIRGKGSVKDSVKEEKLKDKPGYEHLNEPLHVLVEAEFPEDMINSRLDYAVAILENLLKPVDESLDNYKKQQLRELALLNGTLREESPRMSPSMSPSMSPFNSTGMKRAKTGI >EOX97029 pep chromosome:Theobroma_cacao_20110822:2:976258:979614:-1 gene:TCM_006149 transcript:EOX97029 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 1 MMGERIPPGSYFQYPPSGVPASPHRPSSLPTDRERYLAELLAEKQKLVPFTQVLPLCTRLLNQEIRRVSGVNPSFMDHERFEHDSPFRSLGQHPNGRQMDLEGWSVMQTEENGHLQRVVPIQAASMGWPGLPGVPTTPIVKRVVRLDVPVDKYPSYNFVGRILGPRGNSLKRVEAVTECRVYIRGKGSVKDSVKEEKLKDKPGYEHLNEPLHVLVEAEFPEDMINSRLDYAVAILENLLKPVDESLDNYKKQQLRELALLNGTLREESPRMSPSMSPSMSPFNSTGMKRAKTGI >EOX99832 pep chromosome:Theobroma_cacao_20110822:2:17127724:17141562:1 gene:TCM_008797 transcript:EOX99832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARELYANAFEHYDGLAFVQGKQVPFHSQAINDFYKTLDIENNGQYLGNHQDLNKFILVLCVESAQWKLSKGKSIPFKASAMKEDIKDKSKKLLHLKPPISVGLIQRSYDPFTIGENVISSGLRSSNEVDVLSSANYMGMDMNNFPTLPDPPSIGVKLKRLESMNKSYGSLEEGENHVTSQSNAVGQHVARQNIGHCEHGSMAATTP >EOX97400 pep chromosome:Theobroma_cacao_20110822:2:2175028:2179597:1 gene:TCM_006426 transcript:EOX97400 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 9, putative isoform 1 MGREERRMEIDLSLKIDAKEEEEEEEEEEEEEVEEEEKDVEEAKETMEEDDNQDREVMTAIAATGEVEVGAPLEFSLQENMKTEELSVLQMEMSRMKEENKVLRKVVEKTMQDYYDLQMKFAAIQQNNQKKDPQIFLSLSGNENSSQEQQANPRTSNVNNQKQGSPSQDDNDEENELGLSLRLQTISSQREIRQGDQKEDQRKELESQEITSNVASVQNKLDQSHLSAITSHAASPPNRKARVSVRARCQTATMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILITTYEGTHNHPLPVGATAMASTASAAAASFMLLDSSNPLSNGIPNITQATLPYQNPHLINSVNPSNNVRNMTLNDPSKGIVLDLTNNHHFDHHQLPITASSSSHSSAHQQAFPWMPSRLNYHNANPLPSNAFATSRTNEREWKSDEDKSLAENVTAIASDPKFRVAVAAAITSLINKESQNTHRIPIASSFVGREGERGSSSTNNWVLESLSGTGKPIQHSP >EOX97401 pep chromosome:Theobroma_cacao_20110822:2:2177149:2179492:1 gene:TCM_006426 transcript:EOX97401 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 9, putative isoform 1 MGREERRMEIDLSLKIDAKEEEEEEEEEEEEEVEEEEKDVEEAKETMEEDDNQDREVMTAIAATGEVEVGAPLEFSLQENMKTEEMEMSRMKEENKVLRKVVEKTMQDYYDLQMKFAAIQQNNQKKDPQIFLSLSGNENSSQEQQANPRTSNVNNQKQGSPSQDDNDEENELGLSLRLQTISSQREIRQGDQKEDQRKELESQEITSNVASVQNKLDQSHLSAITSHAASPPNRKARVSVRARCQTATMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILITTYEGTHNHPLPVGATAMASTASAAAASFMLLDSSNPLSNGIPNITQATLPYQNPHLINSVNPSNNVRNMTLNDPSKGIVLDLTNNHHFDHHQLPITASSSSHSSAHQQAFPWMPSRLNYHNANPLPSNAFATSRTNEREWKSDEDKSLAENVTAIASDPKFRVAVAAAITSLINKESQNTHRIPIASSFVGREGERGSSSTNNWVLESLSGTGKPIQHSP >EOX97329 pep chromosome:Theobroma_cacao_20110822:2:1998732:2003442:-1 gene:TCM_006388 transcript:EOX97329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPRDLSRSRSRSPFYRRRHSPSPVGHRYGRRSRRDRSRSPYSSYSYSRRKSRSISPRRRKSRSPIARHHKSRSPTPKRFKRQRSRSSSLSPTHKSSSPSLGLIERKNASEKLKKQEEEKKRRQQEAELKLIEEETAKRVEEAIQKKVEESLNSEELKQEIRRRLEEGRRRLNDEVAAQLEKEKEGALLEARRKEEQARKEKEELEKMLEENRKRVEEAQRREAVEQQQREEERYRELEELQRQKEVAMKRKKQQEEEERLNQMKLLGKNKLRPKLSFALGSK >EOX97328 pep chromosome:Theobroma_cacao_20110822:2:1998406:2003412:-1 gene:TCM_006388 transcript:EOX97328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPRDLSRSRSRSPFYRRRHSPSPVGHRYGRRSRRDRSRSPYSSYSYSRRKSRSISPRRRKSRSPIARHHKSRSPTPKRFKRQRSRSSSLSPTHKSSSPSLGLIERKNASEKLKKQEEEKKRRQQEAELKLIEEETAKRVEEAIQKKVEESLNSEELKQEIRRRLEEGRRRLNDEVAAQLEKEKEGALLEARRKEEQARKEKEELEKMLEENRKRVEEAQRREAVEQQQREEERYRELEELQRQKEVAMKRKKQQEEEERLNQMKLLGKNKLRPKLSFALGSK >EOX97326 pep chromosome:Theobroma_cacao_20110822:2:1998567:2003442:-1 gene:TCM_006388 transcript:EOX97326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPRDLSRSRSRSPFYRRRHSPSPVGHRYGRRSRRDRSRSPYSSYSYSRRKSRSISPRRRKSRSPIARHHKSRSPTPKRFKRQRSRSSSLSPTHKSSSPSLGLIERKNASEKLKKQEEEKKRRQQEAELKLIEEETAKRVEEAIQKKVEESLNSEELKQEIRRRLEEGRRRLNDEVAAQLEKEKEGALLEARRKEEQARKEKEELEKMLEENRKRVEEAQRREAVEQQQREEERYRELEELQRQKEVAMKRKKQQEEEERLNQMKLLGKNKLRPKLSFALGSK >EOX97327 pep chromosome:Theobroma_cacao_20110822:2:1998798:2002745:-1 gene:TCM_006388 transcript:EOX97327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPRDLSRSRSRSPFYRRRHSPSPVGHRYGRRSRRDRSRSPYSSYSYSRRKSRSISPRRRKSRSPIARHHKSRSPTPKRFKRQRSRSSSLSPTHKSSSPSLGLIERKNASEKLKKQEEEKKRRQQEAELKLIEEETAKRVEEAIQKKVEESLNSEELKQEIRRRLEEGRRRLNDEVAAQLEKEKEGALLEARRKEEQARKEKEELEKMLEENRKRVEEAQRREAVEQQQREEERYRELEELQRQKEVAMKRKKQQEEEERLNQMKLLGKNKLRPKLSFALGSK >EOX97330 pep chromosome:Theobroma_cacao_20110822:2:1998798:2002745:-1 gene:TCM_006388 transcript:EOX97330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPRDLSRSRSRSPFYRRRHSPSPVGHRYGRRSRRDRSRSPYSSYSYSRRKSRSISPRRRKSRSPIARHHKSRSPTPKRFKRQRSRSSSLSPTHKSSSPSLGLIERKNASEKLKKQEEEKKRRQQEAELKLIEEETAKRVEEAIQKKVEESLNSEELKQEIRRRLEEGRRRLNDEVAAQLEKEKEGALLEARRKEEQARKEKEELEKMLEENRKRVEEAQRREAVEQQQREEERYRELEELQRQKEVAMKRKKQQEEEERLNQMKLLGKNKLRPKLSFALGSK >EOY00344 pep chromosome:Theobroma_cacao_20110822:2:31476589:31477497:1 gene:TCM_010194 transcript:EOY00344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated protein 3, putative isoform 2 MELRFTLLLLVFFFCTAQVSSETKIEEHQVPQVVQGPNRRLLPFVDCGGLCKVRCSLHSRQNVCARACRTCCARCKCVPPGTAGNREMCGRCYTDMRTHGNKPKCP >EOY00345 pep chromosome:Theobroma_cacao_20110822:2:31476735:31477680:1 gene:TCM_010194 transcript:EOY00345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated protein 3, putative isoform 2 MELRFTLLLLVFFFCTAQQVSSETKIEEHQVPQVVQGPNRRLLPFVDCGGLCKVRCSLHSRQNVCARACRTCCARCKCVPPGTAGNREMCGRCYTDMRTHGNKPKCP >EOY01242 pep chromosome:Theobroma_cacao_20110822:2:38492317:38546476:1 gene:TCM_011187 transcript:EOY01242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endomembrane protein 70 protein family isoform 1 MKNLGNCPTFLILGVLFLCCLSHVRSDASDHRYKDGDPVPLYANKVGPFHNPSETYRYFDLPFCSPDHLKEKKEALGEVLNGDRLVNAPYKLNFREERDSYVVCKTKLSKDEVAIFRKAVDKDYYFQMYYDDLPIWGFIGKVDKEGKADPSEYKYFLYKHIQFDILYNKDRVIEISARMDPHSLVDLTEDKEVDAEFMYTVKWKETETPFEKRMDKYSMSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYGQDEEAADDQEETGWKYIHGDVFRFPKFKSLFAAALGSGTQLFTLTVFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYCQLEGKNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYRATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPPLPWYRSAIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYRSIKCE >EOY01241 pep chromosome:Theobroma_cacao_20110822:2:38542656:38546595:1 gene:TCM_011187 transcript:EOY01241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endomembrane protein 70 protein family isoform 1 MKNLGNCPTFLILGVLFFCCLSHVRSDASDHRYKDGDPVPLYANKVGPFHNPSETYRYFDLPFCSPDHLKEKKEALGEVLNGDRLVNAPYKLNFREERDSYVVCKTKLSKDEVAIFRKAVDKDYYFQMYYDDLPIWGFIGKVDKEGKADPSEYKYFLYKHIQFDILYNKDRVIEISARMDPHSLVDLTEDKEVDAEFMYTVKWKETETPFEKRMDKYSMSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYGQDEEAADDQEETGWKYIHGDVFRFPKFKSLFAAALGSGTQLFTLTVFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYCQLEGKNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYRATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPPLPWYRSAIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYRSIKCE >EOY00777 pep chromosome:Theobroma_cacao_20110822:2:35027706:35035400:-1 gene:TCM_010695 transcript:EOY00777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MLNSYKLILTLYLHFISSVVSQVTEFTSLDCGSTSNYTDPSTGLGWSSDIDIMKHGKLIQVKNPNGNWMQYRTRRDFPSDNKKYCYNLSTKERRRYLVRATFQYGSPENEDAYPKFGLYLDATEWATVIIQDASRIYVNEMIIRAPSDSIDVCICCATTGSPFISTLELRPLNLSMYATDYEDNFFLNVAARVNFGALTKDVVRYPDDPYDRIWESDLDRRQNFLVGVAPGTERIRTSKNIDIMTREYPPVKVMQTAVVGTKGELSYRLNLEGFPANARAYAYFAEIEDLAPNETRKFRLQEPYIPDYSNAVVNIVENANGSCTLYEPSYMNVSLDFVLSFSFTKTNDSTRGPLLNAIEISKYQQIAAKTDRRDVTALNSLRSMSAESVWANEGGDPCVPAHWEWVICSSTAPPRITKIALSGQNVKGQIPSEFNHMDELTELWLDSNSLTGPLPDMSNFINLKIVHLENNKLTGQLPSYLGRLPNLQELYIQNNSFSGEIPQALLNRKITFNYEGNAGLRNEAQRKMRLKLILGTSIGVLAVLLVLFLGSLVLFRNLRRKMSHQKCDENGHALHSRAKPSTTYSIARGHLLDEGVAYYIPLSELEEATNNFSKKIGKGSFGSVYYGKMKDGKEVAVKTMGDSSSHLNKQFVTEVALLSRIHHRNLVPLIGYCEEAHQRILVYEYMHNGTLRDHIHGSINQKQLDWLARLQIAEDAAKGLEYLHTGCSPSIIHRDVKTSNILLDINMRAKVSDFGLSRQAEEDLTHVSSVARGTVGYLDPEYYASQQLTEKSDVYSFGVVLLELISGKKPVSVEDFGAELNIVHWARSLINKGDVISVVDPFLVGNVKIESIWRIAEVAIQCVEQHGYSRPKMQEIILAIQDAIKIEKGNEGNKKLASGGSRGQSSRKTLLASFLEIESPDLSNGCLVPSAR >EOY00778 pep chromosome:Theobroma_cacao_20110822:2:35028193:35035080:-1 gene:TCM_010695 transcript:EOY00778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MKHGKLIQVKNPNGNWMQYRTRRDFPSDNKKYCYNLSTKERRRYLVRATFQYGSPENEDAYPKFGLYLDATEWATVIIQDASRIYVNEMIIRAPSDSIDVCICCATTGSPFISTLELRPLNLSMYATDYEDNFFLNVAARVNFGALTKDVVRYPDDPYDRIWESDLDRRQNFLVGVAPGTERIRTSKNIDIMTREYPPVKVMQTAVVGTKGELSYRLNLEGFPANARAYAYFAEIEDLAPNETRKFRLQEPYIPDYSNAVVNIVENANGSCTLYEPSYMNVSLDFVLSFSFTKTNDSTRGPLLNAIEISKYQQIAAKTDRRDVTALNSLRSMSAESVWANEGGDPCVPAHWEWVICSSTAPPRITKIALSGQNVKGQIPSEFNHMDELTELWLDSNSLTGPLPDMSNFINLKIVHLENNKLTGQLPSYLGRLPNLQELYIQNNSFSGEIPQALLNRKITFNYEGNAGLRNEAQRKMRLKLILGTSIGVLAVLLVLFLGSLVLFRNLRRKMSHQKCDENGHALHSRAKPSTTYSIARGHLLDEGVAYYIPLSELEEATNNFSKKIGKGSFGSVYYGKMKDGKEVAVKTMGDSSSHLNKQFVTEVALLSRIHHRNLVPLIGYCEEAHQRILVYEYMHNGTLRDHIHGSINQKQLDWLARLQIAEDAAKGLEYLHTGCSPSIIHRDVKTSNILLDINMRAKVSDFGLSRQAEEDLTHVSSVARGTVGYLDPEYYASQQLTEKSDVYSFGVVLLELISGKKPVSVEDFGAELNIVHWARSLINKGDVISVVDPFLVGNVKIESIWRIAEVAIQCVEQHGYSRPKMQEIILAIQDAIKIEKGNEGNKKLASGGSRGQSSRKTLLASFLEIESPDLSNGCLVPSAR >EOY02030 pep chromosome:Theobroma_cacao_20110822:2:41731365:41736404:-1 gene:TCM_011787 transcript:EOY02030 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 28, putative isoform 1 MGKSPAKWIKTLLLGKKSSKSNLSKGREKLNSANKGEVLVSSKVTVSDLSVDPPSISAPILVTSARNVMESETDIPTKLPNDGGNIPSVKEDGSDNAISNLGNLEDPERIRLDQAAAKAQAAFRGYLARRAFRTLKGIIRLQALIRGHLVRRQAVATLCCTWGIVKFQALARGQKVRCSDVGVEVQKKHLLLGSKSSDSYRVSTSAQVKNLSNNAFVQKLLASLPAALPLRLQYGPEEPNLSWQWLQRWTRSRFWEAPSQPIKSLASKSKTKGDANGQGKTRRSVRKLSNAKVENGSSRSNLEYEKPKRGLRRVFGNTADSAREHSQNELEKVKRNLRKLSDSAKEVFDKSEVVNEKTKQTLKKTSSSDAPDVSEQESTEKMIDVTATLSEPSNLEADLKLSTLDASPDESNISPTVDLLPAENNGEIENMQVTEELSSKDEQVSDESSKANQRRASFPAKIDNQENGLNSMPKVPSYMAPTESAKARLRGQGSPRFTRDVVEKNGLNRRYSLPSSTTNNLSSLSPRAQRLVQVAGKGAIRNEKSLSSSRDANDKVVRAEWKR >EOY02027 pep chromosome:Theobroma_cacao_20110822:2:41731233:41737220:-1 gene:TCM_011787 transcript:EOY02027 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 28, putative isoform 1 MGKSPAKWIKTLLLGKKSSKSNLSKGREKLNSANKGEVLVSSKVTVSDLSVDPPSISAPILVTSARNVMESETDIPTKLPNDGGNIPSVKEDGSDNAISNLGNLEDPERIRLDQAAAKAQAAFRGYLARRAFRTLKGIIRLQALIRGHLVRRQAVATLCCTWGIVKFQALARGQKVRCSDVGVEVQKKHLLLGSKSSDSYRVSTSAQVKNLSNNAFVQKLLASLPAALPLRLQYGPEEPNLSWQWLQRWTRSRFWEAPSQPIKSLASKSKTKGDANGQGKTRRSVRKLSNAKVENGSSRSNLEYEKPKRGLRRVFGNTADSAREHSQNELEKVKRNLRKLSDSAKEVFDKSEVVNEKTKQTLKKTSSSDAPDVSEQESTEKMIDVTATLSEPSNLEADLKLSTLDASPDESNISPTVDLLPAENNGEIENMQVTEELSSKDEQVSDESSKANQRRASFPAKIDNQENGLNSMPKVPSYMAPTESAKARLRGQGSPRFTRDVVEKNGLNRRYSLPSSTTNNLSSLSPRAQRLVQVAGKGAIRNEKSLSSSRDANDKVVRAEWKR >EOY02029 pep chromosome:Theobroma_cacao_20110822:2:41731821:41735825:-1 gene:TCM_011787 transcript:EOY02029 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 28, putative isoform 1 MGKSPAKWIKTLLLGKKSSKSNLSKGREKLNSANKGEVLVSSKVTVSDLSVDPPSISAPILVTSARNVMESETDIPTKLPNDGGNIPSVKEDGSDNAISNLGNLEDPERIRLDQAAAKAQAAFRGYLARRAFRTLKGIIRLQALIRGHLVRRQAVATLCCTWGIVKFQALARGQKVRCSDVGVEVQKKHLLLGSKSSDSYRVSTSAQVKNLSNNAFVQKLLASLPAALPLRLQYGPEEPNLSWQWLQRWTRSRFWEAPSQPIKSLASKSKTKGDANGQGKTRRSVRKLSNAKVENGSSRSNLEYEKPKRGLRRVFGNTADSAREHSQNELEKVKRNLRKLSDSAKEVFDKSEVVNEKTKQTLKKTSSSDAPDVSEQESTEKMIDVTATLSEPSNLEADLKLSTLDASPDESNISPTVDLLPAENNGEIENMQVTEELSSKDEQVSDESSKANQRRASFPAKIDNQENGLNSMPKVPSYMAPTESAKARLRGQGSPRFTRDVVEKNGLNRRYSLPSSTTNNLSSLSPRAQRLVQVAGKGAIRNEKSLSSSRDANDKVVRAEWKR >EOY02028 pep chromosome:Theobroma_cacao_20110822:2:41731450:41736747:-1 gene:TCM_011787 transcript:EOY02028 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 28, putative isoform 1 MGKSPAKWIKTLLLGKKSSKSNLSKGREKLNSANKGEVLVSSKVTVSDLSVDPPSISAPILVTSARNVMESETDIPTKLPNDGGNIPSVKEDGSDNAISNLGNLEDPERIRLDQAAAKAQAAFRGYLARRAFRTLKGIIRLQALIRGHLVRRQAVATLCCTWGIVKFQALARGQKVRCSDVGVEVQKKHLLLGSKSSDSYRVSTSAQVKNLSNNAFVQKLLASLPAALPLRLQYGPEEPNLSWQWLQRWTRSRFWEAPSQPIKSLASKSKTKGDANGQGKTRRSVRKLSNAKVENGSSRSNLEYEKPKRGLRRVFGNTADSAREHSQNELEKVKRNLRKLSDSAKEVFDKSEVVNEKTKQTLKKTSSSDAPDVSEQESTEKMIDVTATLSEPSNLEADLKLSTLDASPDESNISPTVDLLPAENNGEIENMQVTEELSSKDEQVSDESSKANQRRASFPAKIDNQENGLNSMPKVPSYMAPTESAKARLRGQGSPRFTRDVVEKNGLNRRYSLPSSTTNNLSSLSPRAQRLVQVAGKGAIRNEKSLSSSRDANDKVVRAEWKR >EOY01070 pep chromosome:Theobroma_cacao_20110822:2:37353235:37355309:1 gene:TCM_011014 transcript:EOY01070 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein, putative MEIAQSREHAPLSHREDNSGQEEAEAGSPHEALFLVLAYLPLFELLAMSEVCMSLRDAVEKDVLPWLNIIVERPLNLRLSDEILMKVASKANGRLRTLALINCAWISDDGLQRVIDKNPLINELYVPGCTGLTPNGVIRAVQKLSEHHHSLKRLQINGIYNMKKEHLETLHYYMLTNQTKQQVQKKQRPLLYHNFRKFQAYRWDEFGRIIDVEICPRCSEVRMVFDCPREECRRTREQYSLIDCRMCKFCIPRCEECGRCVKPEDLEVAVCTDTLCSDCWVQLSKCNFCNKPCCGQHADLQISSSGSTEWICGVCHDQFLTGSDDVEQ >EOY00561 pep chromosome:Theobroma_cacao_20110822:2:33535104:33536102:1 gene:TCM_010456 transcript:EOY00561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANKQQISFSLAFLAVALFVLAGQATARREILNAEVGGAANPKDAVEMILNELISSTQRVKNKVSVLGNSQMVQACDKAYASSLESLNKAMGLVKGTAGIDTGNLKASITAALHGYDNCDYAFAESTRPSPFIPNNANLKSLVSQCLELTSKLANNN >EOX98800 pep chromosome:Theobroma_cacao_20110822:2:7279778:7283091:1 gene:TCM_007486 transcript:EOX98800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine rich splicing factor, putative isoform 3 MSHFGRSGPPDITDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVERLDGRVVDGREITVQFAKYGPNAERIHKGRIIESFPRSRYRSRSRSPRKRHRDDNYKDRDYRRRSRSRSYDRHERDRYRGKDKDYRRRSRSRSASPDYSKGRGRGRYDDDRRSSSRSMSASPARRSVSPRKSPSPRKASPPRGGSPDRHSRDGRTPSPRSVSPRGRPAESRSPSPRNSDVDE >EOX98799 pep chromosome:Theobroma_cacao_20110822:2:7279778:7282709:1 gene:TCM_007486 transcript:EOX98799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine rich splicing factor, putative isoform 3 MSHFGRSGPPDITDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVERLDGRVVDGREITVQFAKYGPNAERIRKTSACLASTRHKGRIIESFPRSRYRSRSRSPRKRHRDDNYKDRDYRRRSRSRSYDRHERDRYRGKDKDYRRRSRSRSASPDYSKGRGRGRYDDDRRSSSRSMSASPARRSVSPRKSPSPRKASPPRGGSPDRHSRDGRTPSPRSVSPRGRPAESRSPSPRNSDVDE >EOX98798 pep chromosome:Theobroma_cacao_20110822:2:7279778:7283091:1 gene:TCM_007486 transcript:EOX98798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine rich splicing factor, putative isoform 3 MSHFGRSGPPDITDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVERLDGRVVDGREITVQFAKYGPNAERIRKTSACLASTRHKGRIIESFPRSRYRSRSRSPRKRHRDDNYKDRDYRRRSRSRSYDRHERDRYRGKDKDYRRRSRSRSASPDYSKGRGRGRYDDDRRSSSRSMSASPARRSVSPRKSPSPRKASPPRGGSPDRHSRDGRTPSPRSVSPRGRPAESRSPSPRNSDVDE >EOX98802 pep chromosome:Theobroma_cacao_20110822:2:7279728:7282722:1 gene:TCM_007486 transcript:EOX98802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine rich splicing factor, putative isoform 3 MSHFGRSGPPDITDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVERLDGRVVDGREITVQFAKYGPNAERIHKGRIIESFPRSRYRSRSRSPRKRHRDDNYKDRDYRRRSRSRSYDRHERDRYRGKDKDYRRRSRSRSASPDYSKGRGRGRYDDDRRSSSRSMSASPARRSVSPRKSPSPRKASPPRGGSPDRHSRDGRTPSPRSVSPRGRPAESRSPSPRNSDVDE >EOX98801 pep chromosome:Theobroma_cacao_20110822:2:7279728:7283686:1 gene:TCM_007486 transcript:EOX98801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine rich splicing factor, putative isoform 3 MSHFGRSGPPDITDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVERLDGRVVDGREITVQFAKYGPNAERIRKTSACLASTRHKGRIIESFPRSRYRSRSRSPRKRHRDDNYKDRDYRRRSRSRSYDRHERDRYRGKDKDYRRRSRSRSASPDYSKGRGRGRYDDDRRSSSRSMSASPARRSVSPRKSPSPRKASPPRGGSPDRHSRDGRTPSPRSVSPRGRPAESRSPSPRNSDVDE >EOY01801 pep chromosome:Theobroma_cacao_20110822:2:40942293:40945683:1 gene:TCM_011614 transcript:EOY01801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial MinE 1 MGDFKLSPNAVNHEVESFLLNAINMSFFERLNLAWKIVFPSPAAKRCSNANIAKQRLKMILFSNRCAVSDEAKQKIVKNIVPALSDFVEMESKDKVQLSVSTDSDLGTIYPNPIKNNYLNFINYLNIF >EOY01480 pep chromosome:Theobroma_cacao_20110822:2:39601495:39608545:-1 gene:TCM_011351 transcript:EOY01480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoalcoholphosphotransferase 1 isoform 2 MGYIGAHGVAALHRYKYSGVDHSYLAKYVLQPFWSRFVNFFPLWMPPNMITLTGFMFLVTSALLGYIYSPHLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFETLAFGSTAMCGRDSFWFWVISAVPFYGATWEHYFTNTLILPVINGPTEGLALIYTCHFMTAIVGAQWWAQQFGKSMPLFSWVPFVNEIPTYRAVLYVMIAFGVIPTVAFNISNVHKVVQARKGSMLLALAMLYPFAVLMGGVLVWDYLSPSDIMGNYPHLIILGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPLAIANALTARLNDGVPLVDEVWVLLGYCVFTDSITLLTLCHISCS >EOY01479 pep chromosome:Theobroma_cacao_20110822:2:39600275:39609147:-1 gene:TCM_011351 transcript:EOY01479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoalcoholphosphotransferase 1 isoform 2 MGYIGAHGVAALHRYKYSGVDHSYLAKYVLQPFWSRFVNFFPLWMPPNMITLTGFMFLVTSALLGYIYSPHLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFETLAFGSTAMCGRDSFWFWVISAVPFYGATWEHYFTNTLILPVINGPTEGLALIYTCHFMTAIVGAQWWAQQFGKSMPLFSWVPFVNEIPTYRAVLYVMIAFGVIPTVAFNISNVHKVVQARKGSMLLALAMLYPFAVLMGGVLVWDYLSPSDIMGNYPHLIILGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPLAIANALTARLNDGVPLVDEVWVLLGYCVFTASLYLHFATSVVHEITAALGIYCFRITRKEA >EOY00268 pep chromosome:Theobroma_cacao_20110822:2:30648047:30649023:1 gene:TCM_010097 transcript:EOY00268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLVKSKDQAIYLGSLPKMGYKLDGEKYVKTPKAPSGKESSLIAQPETVSSQFSNEILFTLLMRMDGKLTDQGVRMLKIEEKLVELEKVLKEKGKMPIEPAATDTSATPSPTPTGQDAEGSTF >EOX99518 pep chromosome:Theobroma_cacao_20110822:2:11742339:11743363:-1 gene:TCM_008192 transcript:EOX99518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFAKRFTFFFLLMLSSYVQIQARESKFFSKIFHLGARISPDEVPTPTPAPAPAPEPANSESQDPYYGLYGQGSGMFPPAKDPVSTTHTPTTATTFENDLLAEELEDEKFETGYEKNSYNNNGYTTRNYNYNNGYTTSNHNNNGYTTSNYNNNGYSSSYKTNGYASNYNNNGYETERQGMSDTRSVEGGKYYYDVENVNYYPEGYESGKETSKNEGYYGNTENSNEFNSMGEFQESQEEYVP >EOY00691 pep chromosome:Theobroma_cacao_20110822:2:34513253:34517435:1 gene:TCM_010622 transcript:EOY00691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 71, subfamily B, polypeptide 10, putative MAFGTSFQKSAFHDNDRLEEMLREAMVVLGSFFASEYFPYVGWIVDRLTGLHGRLERVFHKLDGLFEQVIDAHLNPGRQRHDEFEYIVDVLLRIQVDKIEFGEGPLTKDGIKAVIMDLFLAGADTSAATVNWAMAELARNPRVTKKAQDEVRTFAGKNGIVTEDEIVRLQYLKMVVKETLRLHPPAALLLPRETTSHFRINGYDILPKTLIQINVWAISRDPRYWKNAEEFFPERFSDSSIDFKGQHCEFLPFGGGRRSCPGMYLATRTVELALANLLHCFDWKLPFGMKGKDIDMEEAAGPSLTISKKTALHLVPVDRVPRSLEDHMK >EOX98525 pep chromosome:Theobroma_cacao_20110822:2:6076817:6081320:-1 gene:TCM_007269 transcript:EOX98525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase family protein isoform 1 MAFASDSILARGLIIERATKFGLNNEAFGAKIVRCRASGNGGKVKGKVRVEVKAAVQIGGLEEVKKAKEELGFDVVSERELREKGFLGMRKTKLVSTIGPACCSMEDLEKLALEGMNVARFNMCHNTRDWHRDVIKKIKRLNEEKGFCISVMIDTEGSQIHVLDHGAPSSVKAEEGSIWSFTTQKSDGSCPFTVQANYKGFSEGIEVGDVLVIDGGMASFEVIEKVGNDLRCQCTDSGLFLPRAKFSFWRDGKLVERNYELPTLSKKDWADIEFGVSEGVDFIALSFVNDADSVRQLKNYLYMRSCRSTRVLAKIESLESLQKLEEIVKASDGIMVARGDLGVEIPYEQIPTVQEEITHVCRELNKPVIVASQLLESMVEYPTPTRAEVADVSEAVRQYADALMLSGESAIGPYGQKALSVLQMASS >EOX98524 pep chromosome:Theobroma_cacao_20110822:2:6076545:6081745:-1 gene:TCM_007269 transcript:EOX98524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase family protein isoform 1 MTHDSPMATTSLLNLLHGLLLSLPTAQQENDWPSMAFASDSILARGLIIERATKFGLNNEAFGAKIVRCRASGNGGKVKGKVRVEVKAAVQIGGLEEVKKAKEELGFDVVSERELREKGFLGMRKTKLVSTIGPACCSMEDLEKLALEGMNVARFNMCHNTRDWHRDVIKKIKRLNEEKGFCISVMIDTEGSQIHVLDHGAPSSVKAEEGSIWSFTTQKSDGSCPFTVQANYKGFSEGSKAISNGIEVGDVLVIDGGMASFEVIEKVGNDLRCQCTDSGLFLPRAKFSFWRDGKLVERNYELPTLSKKDWADIEFGVSEGVDFIALSFVNDADSVRQLKNYLYMRSCRSTRVLAKIESLESLQKLEEIVKASDGIMVARGDLGVEIPYEQIPTVQEEITHVCRELNKPVIVASQLLESMVEYPTPTRAEVADVSEAVRQYADALMLSGESAIGPYGQKALSVLQMATNNLGVDAIFVYTKYGQMASLLSRNRPYPPIFAFTSDNSTRMALNLQWGVIPILVDLSDDTEANISRTIDLIRTKGLLKTGDVVLVVSDLTPAHLNSTAFQSIQVKTVG >EOX98526 pep chromosome:Theobroma_cacao_20110822:2:6076380:6081320:-1 gene:TCM_007269 transcript:EOX98526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase family protein isoform 1 MAFASDSILARGLIIERATKFGLNNEAFGAKIVRCRASGNGGKVKGKVRVEVKAAVQIGGLEEVKKAKEELGFDVVSERELREKGFLGMRKTKLVSTIGPACCSMEDLEKLALEGMNVARFNMCHNTRDWHRDVIKKIKRLNEEKGFCISVMIDTEGSQIHVLDHGAPSSVKAEEGSIWSFTTQKSDGSCPFTVQANYKGFSEGIEVGDVLVIDGGMASFEVIEKVGNDLRCQCTDSGLFLPRAKFSFWRDGKLVERNYELPTLSKKDWADIEFGVSEGVDFIALSFVNDADSVRQLKNYLYMRSCRSTRVLAKIESLESLQKLEEIVKASDGIMVARGDLGVEIPYEQIPTVQEEITHVCRELNKPVIVASQLLESMVEYPTPTRAEVADVSEAVRQYADALMLSGESAIGPYGQKALSVLQMASS >EOX98527 pep chromosome:Theobroma_cacao_20110822:2:6076962:6081320:-1 gene:TCM_007269 transcript:EOX98527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase family protein isoform 1 MAFASDSILARGLIIERATKFGLNNEAFGAKIVRCRASGNGGKVKGKVRVEVKAAVQIGGLEEVKKAKEELGFDVVSERELREKGFLGMRKTKLVSTIGPACCSMEDLEKLALEGMNVARFNMCHNTRDWHRDVIKKIKRLNEEKGFCISVMIDTEGSQIHVLDHGAPSSVKAEEGSIWSFTTQKSDGSCPFTVQANYKGFSEGIEVGDVLVIDGGMASFEVIEKVGNDLRCQCTDSGLFLPRAKFSFWRDGKLVERNYELPTLSKKDWADIEFGVSEGVDFIALSFVNDADSVRQLKNYLYMRSCRSTRVLAKIESLESLQKLEEIVKASDGIMVARGDLGVEIPYEQIPTVQEEITHVCRELNKPVIVASQLLESMVEYPTPTRAEVADVSEAVRQYADALMLSGESAIGPYGQKALSVLQMASS >EOY00876 pep chromosome:Theobroma_cacao_20110822:2:35649961:35653764:1 gene:TCM_010796 transcript:EOY00876 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein isoform 1 MKLDTSGLESAEPVWATFNELADGFSAAPSFELPNTTDFDGFQKEAIQMVKPAKGTTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGMRFSVGSGSPYAYGVLDSGYRYDMSVEEAAELARRAIYHATFRDGASGGVASVYHVGPNGWKKLSGDDVGELHYKYYPVMPSTVEQEMVEVAGA >EOY00877 pep chromosome:Theobroma_cacao_20110822:2:35650020:35652739:1 gene:TCM_010796 transcript:EOY00877 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein isoform 1 MKLDTSGLESAEPVWATFNELADGFSAAPSFELPNTTDFDGFQKEAIQMVKPAKGTTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGMRFSVGSGSPYAYGVLDSTGMICQLKKLQSWQEEL >EOY00875 pep chromosome:Theobroma_cacao_20110822:2:35650006:35653295:1 gene:TCM_010796 transcript:EOY00875 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein isoform 1 MKLDTSGLESAEPVWATFNELADGFSAAPSFELPNTTDFDGFQKEAIQMVKPAKGTTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGMRFSVGSGSPYAYGVLDSGYRYDMSVEEAAELARRAIYHATFRDGASGGVASVYHVGPNGWKKLSGDDVGELHYKYYPVMPSTVEQEMVEVAGA >EOY01727 pep chromosome:Theobroma_cacao_20110822:2:40740128:40742182:1 gene:TCM_011564 transcript:EOY01727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MLTRRLKPLLKPLSNSHLRLIATESTHPQLTNHPNPPNPITPINQSTLLKVCTILYQQQNSPDSRLHSSLSSSNPSINPEFFLQVCNTHPYSWRPIYRFFLYTRKLPHFTHNSLTFNKMLDVIGKSRNIELFWETCQEMGKLGLVNDKTFRIALKTLASARELKKCVEFFHLMNEFRVGYKLETLNKVVESLCKDKFVEEAKFVTFKLKEWVKPNGVTYKWLIWGFCDVGDLIEASKVWNLMVDEGLEPDVEAVETMMETFFKTNKFDEAMKAFQMMRVERMDDLGLSSYRLVIKWMCKRGKVEQANGVFEEMRQRGIQADNLTLASIIYGLLARGRIREAYRIAEGIEKPDISVYHALIKGLLRLRKASEATQVFREMINRGCEPIMHTYIMLLQGHLGKRGRKGHDPLVNFDTIFVGGLVKAGKTLEATKYVERTMKGGMEVPRFDYNKFLHYYSNEEGVAMFEEVGKKLREVGLFDLADILERYGQKMATRDKRRERYGQ >EOX99249 pep chromosome:Theobroma_cacao_20110822:2:9358459:9361032:-1 gene:TCM_007846 transcript:EOX99249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein isoform 4 MNPTQLHQSEPDLPLPHLASLRIQPTSPRVPSNATPTAGSQRRIAIAVDLSDESAYAVRWAVQNYLRPGDAVILLHVRPTSVLYGADWGSIQLQITPNATTNHENATAITSGHPDGESQQKLENDFDIFTTTKANTLAQPLVDAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGAARRTSKGRLGSVSDYCVHHCICPVVVVRFPEEEESEIGGAEKVMKKKMVGEDVELQPVPEEELEYHDAEEEHRGNPKI >EOX99247 pep chromosome:Theobroma_cacao_20110822:2:9359250:9360819:-1 gene:TCM_007846 transcript:EOX99247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein isoform 4 MNPTQLHQSEPDLPLPHLASLRIQPTSPRVPSNATPTAGSQRRIAIAVDLSDESAYAVRWAVQNYLRPGDAVILLHVRPTSVLYGADWGSIQLQITPNATTNHENATAITSGHPDGESQQKLENDFDIFTTTKANTLAQPLVDAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGAARRTSKGRLGSVSDYCVHHCICPVVVVRFPEEEESEIGGAEKVMKKKMVGEDVELQPVPEEELEYHDAEEEHRVAGKKGIEMHNECPGND >EOX99250 pep chromosome:Theobroma_cacao_20110822:2:9358805:9360819:-1 gene:TCM_007846 transcript:EOX99250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein isoform 4 MNPTQLHQSEPDLPLPHLASLRIQPTSPRVPSNATPTAGSQRRIAIAVDLSDESAYAVRWAVQNYLRPGDAVILLHVRPTSVLYGADWGSIQLQITPNATTNHENATAITSGHPDGESQQKLENDFDIFTTTKANTLAQPLVDAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGAARRTSKGRLGSVSDYCVHHCICPVVVVRFPEEEESEIGGAEKVMKKKMVGEDVELQPVPEEELEYHDAEEEHRARDERQSKNMKTCWWQRSLRILCGDGFECFVYMDSNRTLGFSLTCVPFS >EOX99248 pep chromosome:Theobroma_cacao_20110822:2:9359272:9360819:-1 gene:TCM_007846 transcript:EOX99248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein isoform 4 MNPTQLHQSEPDLPLPHLASLRIQPTSPRVPSNATPTAGSQRRIAIAVDLSDESAYAVRWAVQNYLRPGDAVILLHVRPTSVLYGADWGSIQLQITPNATTNHENATAITSGHPDGESQQKLENDFDIFTTTKANTLAQPLVDAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGAARRTSKGRLGSVSDYCVHHCICPVVVVRFPEEEESEIGGAEKVMKKKMVGEDVELQPVPEEELEYHDAEEEHRDA >EOY01131 pep chromosome:Theobroma_cacao_20110822:2:37796217:37797253:1 gene:TCM_011073 transcript:EOY01131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFVDNVKVGIPMLQQFPPNDLMSQNSFSLYLEVRNQHLTSEEVDSRHEEGDAAADDTEMFCGNSEILENNNLESRMEAKPQQTDKLRSAR >EOX97510 pep chromosome:Theobroma_cacao_20110822:2:2533933:2535440:-1 gene:TCM_006510 transcript:EOX97510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-like protein isoform 1 MAMANQGMGQDILKLGIVDVEYKRIPCEYKNQNLAVRVEESSQKPNYLAIKLLFQGGQTEIVGMDVAQVSSDKVGSSNWNFMSRNYGAVWDTSRVPTGALQFRFVVTSGFDGKWIWAKSVLPADWKTGVIYDSGVQITDIAKEGCSPCDDGSWR >EOX97509 pep chromosome:Theobroma_cacao_20110822:2:2533561:2535554:-1 gene:TCM_006510 transcript:EOX97509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-like protein isoform 1 MALFLCLLFVLVSSANACDRCVHQSKAAYFSKASALSSGACGYGSLALGLSGGHLAAGVSSLYKDGAGCGACFQIRCINSTLCSSKGTRVTLTDLNHNNQTDFVLSSRAFMAMANQGMGQDILKLGIVDVEYKRIPCEYKNQNLAVRVEESSQKPNYLAIKLLFQGGQTEIVGMDVAQVGSSNWNFMSRNYGAVWDTSRVPTGALQFRFVVTSGFDGKWIWAKSVLPADWKTGVIYDSGVQITDIAKEGCSPCDDGSWR >EOY00242 pep chromosome:Theobroma_cacao_20110822:2:30396620:30399447:-1 gene:TCM_010069 transcript:EOY00242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 2 MKRAKLDSLVSPSRLRLVQFLMGVLFLYLLFMSFEIPHVFKTGYGSGSGGFFTDTLPRPLFLESEEDFTDKSAPARPANDPDPVRQPGSRTPERKMREFKKVSGLLFNESSFDSNDSKDEFSVLHKTARHAFVVGKKLWDDLQSGQNKSDSEPGQQNQGRNRTESCPHSISLSGSEFMSRGRILVLPCGLTLGSHITVVGLPHWSHAEYDPKIAVLKEGDESVMVSQFMMELQGLKTVDGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGSALRCEGWKSRADEETVDGQVKCEKWIRDDDNGLEESKATWWLNRLIGRKKKVVLEWPYPFAEGKLFVLTLSAGLEGYHLNVDGRHVTSFPYRTGFVLEDATGLSLNGDLDVHSVFAASLPTSHPSFAPQKHLERLSKWKAPPLPDGNVELFIGILSAGNHFAERMAVRKSWMQHKLIRSSKVVARFFVALPYSGCKIYYEV >EOY00241 pep chromosome:Theobroma_cacao_20110822:2:30395214:30399644:-1 gene:TCM_010069 transcript:EOY00241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 2 MKRAKLDSLVSPSRLRLVQFLMGVLFLYLLFMSFEIPHVFKTGYGSGSGGFFTDTLPRPLFLESEEDFTDKSAPARPANDPDPVRQPGSRTPERKMREFKKVSGLLFNESSFDSNDSKDEFSVLHKTARHAFVVGKKLWDDLQSGQNKSDSEPGQQNQGRNRTESCPHSISLSGSEFMSRGRILVLPCGLTLGSHITVVGLPHWSHAEYDPKIAVLKEGDESVMVSQFMMELQGLKTVDGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGSALRCEGWKSRADEETVDGQVKCEKWIRDDDNGLEESKATWWLNRLIGRKKKVVLEWPYPFAEGKLFVLTLSAGLEGYHLNVDGRHVTSFPYRTGFVLEDATGLSLNGDLDVHSVFAASLPTSHPSFAPQKHLERLSKWKAPPLPDGNVELFIGILSAGNHFAERMAVRKSWMQHKLIRSSKVVARFFVALNGRKEVNVELKKEAEYFGDIVIVPYMDNYDLVVLKTVAICEYGVRTVAAKYIMKCDDDTFVGVDAVIKEAKKVGDKSLYIGNMNYYHKPLRNGKWAVTYEEWPEEDYPPYANGPGYIVSSDIAQFIVAEFEKHKLRLFKMEDVSMGMWVEKFNSSKPVEYQHSLKFCQFGCIDDYYTAHYQSPRQMLCMWDKLLNQGKPQCCNMR >EOY01979 pep chromosome:Theobroma_cacao_20110822:2:41581951:41586014:-1 gene:TCM_011754 transcript:EOY01979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein isoform 3 MSADLYSERPLFGGAISTTFPLRFQDVSNIRQVPDHQLLDYKHEVGDDGSAVWFLQDLAVEQDGEGFTLLEQSAAVEAPGLRYRNIPAVVTTAVGQMAVSKGRQGREAQNIIKVYLANLRLKEVGTDVLITAYEPILIHPLSQSASAVGAGLAVPAMQSGLVPMVEVFKLAVTSFKFVFSMVLSYEIEATCL >EOY01978 pep chromosome:Theobroma_cacao_20110822:2:41584714:41585979:-1 gene:TCM_011754 transcript:EOY01978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein isoform 3 MSADLYSERPLFGGAISTTFPLRFQDVSNIRQVPDHQEVFVDPARDESLIFELLDYKHEVGDDGSAVWFLQDLAVEQDGEGFTLLEQSAAVEAPGLRYRNIPAVVTTAVGQMV >EOY01977 pep chromosome:Theobroma_cacao_20110822:2:41582233:41586093:-1 gene:TCM_011754 transcript:EOY01977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein isoform 3 MSADLYSERPLFGGAISTTFPLRFQDVSNIRQVPDHQEVFVDPARDESLIFELLDYKHEVGDDGSAVWFLQDLAVEQDGEGFTLLEQSAAVEAPGLRYRNIPAVVTTAVGQMAVSKGRQGREAQNIIKVYLANLRLKEVGTDVLITAYEPILIHPLSQSASAVGAGLAVPAMQSGLVPMVEVFKLAVTSFKVNDWSLFTPV >EOY01447 pep chromosome:Theobroma_cacao_20110822:2:39493740:39498039:1 gene:TCM_011330 transcript:EOY01447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein PROTODERMAL FACTOR 2 isoform 6 MKTQHERQENTQLRTENEKLRADNMRFREALSTASCPNCGGPTAVGQMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVNYPLLSSPMPPRPLDFGAQPGTGEMYGAGDLLRSISAPSEADKPMIIELAVAAMEELIRMAQMGEPLWMTSLDGTTSMLNEEEYIRTFPRGIGPKPTGFKCEASRETAVVIMNHINLVEILMDVHQWSTVFSGIVSKASTLDVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRESYYVRYCKQHAEGTWAVVDVSLDNLRPSPTVRCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWIATLDRQCERLASVMATNIPTGDVGDGRKSMLKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCADPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTTASAGGIGDAGSAGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCENA >EOY01448 pep chromosome:Theobroma_cacao_20110822:2:39491680:39498048:1 gene:TCM_011330 transcript:EOY01448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein PROTODERMAL FACTOR 2 isoform 6 MKTQHERQENTQLRTENEKLRADNMRFREALSTASCPNCGGPTAVGQMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVNYPLLSSPMPPRPLDFGAQPGTGEMYGAGDLLRSISAPSEADKPMIIELAVAAMEELIRMAQMGEPLWMTSLDGTTSMLNEEEYIRTFPRGIGPKPTGFKCEASRETAVVIMNHINLVEILMDVHQWSTVFSGIVSKASTLDVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRESYYVRYCKQHAEGTWAVVDVSLDNLRPSPTVRCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWIATLDRQCERLASVMATNIPTGDVGVITNQDGRKSMLKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDEGIGDAGSAGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCENA >EOY01446 pep chromosome:Theobroma_cacao_20110822:2:39493532:39498039:1 gene:TCM_011330 transcript:EOY01446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein PROTODERMAL FACTOR 2 isoform 6 MKTQHERQENTQLRTENEKLRADNMRFREALSTASCPNCGGPTAVGQMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVNYPLLSSPMPPRPLDFGAQPGTGEMYGAGDLLRSISAPSEADKPMIIELAVAAMEELIRMAQMGEPLWMTSLDGTTSMLNEEEYIRTFPRGIGPKPTGFKCEASRETAVVIMNHINLVEILMDVHQWSTVFSGIVSKASTLDVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRESYYVRYCKQHAEGTWAVVDVSLDNLRPSPTVRCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWIATLDRQCERLASVMATNIPTGDVGEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCADPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTTASAGGIGDAGSAGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCENA >EOY01443 pep chromosome:Theobroma_cacao_20110822:2:39491600:39498048:1 gene:TCM_011330 transcript:EOY01443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein PROTODERMAL FACTOR 2 isoform 6 MPAGVMIPARNMPSMISGNGNVGGFGTSSGLTLGQPNMMEGQLHPLEMTQNTSESEIARMRDEEFDSTTKSGSENHEGASGDDQDPRPKKKRYHRHTQHQIHEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKTQHERQENTQLRTENEKLRADNMRFREALSTASCPNCGGPTAVGQMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVNYPLLSSPMPPRPLDFGAQPGTGEMYGAGDLLRSISAPSEADKPMIIELAVAAMEELIRMAQMGEPLWMTSLDGTTSMLNEEEYIRTFPRGIGPKPTGFKCEASRETAVVIMNHINLVEILMDVHQWSTVFSGIVSKASTLDVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRESYYVRYCKQHAEGTWAVVDVSLDNLRPSPTVRCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWIATLDRQCERLASVMATNIPTGDVGVITNQDGRKSMLKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCADPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTTASAGGIGDAGSAGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCENA >EOY01445 pep chromosome:Theobroma_cacao_20110822:2:39491600:39497559:1 gene:TCM_011330 transcript:EOY01445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein PROTODERMAL FACTOR 2 isoform 6 MPAGVMIPARNMPSMISGNGNVGGFGTSSGLTLGQPNMMEGQLHPLEMTQNTSESEIARMRDEEFDSTTKSGSENHEGASGDDQDPRPKKKRYHRHTQHQIHEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKTQHERQENTQLRTENEKLRADNMRFREALSTASCPNCGGPTAVGQMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVNYPLLSSPMPPRPLDFGAQPGTGEMYGAGDLLRSISAPSEADKPMIIELAVAAMEELIRMAQMGEPLWMTSLDGTTSMLNEEEYIRTFPRGIGPKPTGFKCEASRETAVVIMNHINLVEILMDVHQWSTVFSGIVSKASTLDVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRESYYVRYCKQHAEGTWAVVDVSLDNLRPSPTVRCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWIATLDRQCERLASVMATNIPTGDVGVITNQDGRKSMLKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRSANSSQSNMLILQESCADPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTTASAGGIGDAGSAGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCENA >EOY01444 pep chromosome:Theobroma_cacao_20110822:2:39493337:39497081:1 gene:TCM_011330 transcript:EOY01444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein PROTODERMAL FACTOR 2 isoform 6 MFQPNMMEGQLHPLEMTQNTSESEIARMRDEEFDSTTKSGSENHEGASGDDQDPRPKKKRYHRHTQHQIHEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKTQHERQENTQLRTENEKLRADNMRFREALSTASCPNCGGPTAVGQMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVNYPLLSSPMPPRPLDFGAQPGTGEMYGAGDLLRSISAPSEADKPMIIELAVAAMEELIRMAQMGEPLWMTSLDGTTSMLNEEEYIRTFPRGIGPKPTGFKCEASRETAVVIMNHINLVEILMDVHQWSTVFSGIVSKASTLDVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRESYYVRYCKQHAEGTWAVVDVSLDNLRPSPTVRCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWIATLDRQCERLASVMATNIPTGDVGVITNQDGRKSMLKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCADPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTTASAGGIGDAGSAGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCENA >EOX98022 pep chromosome:Theobroma_cacao_20110822:2:4302855:4307412:1 gene:TCM_006888 transcript:EOX98022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein isoform 1 SCPPPPSLHQHLHRHPHSTTVYSSIHRQPNLPAITTPDPLIRTQNAKSTSLLHHSTTTTNKTKNPPQESDNDQQQHQNSVHPNDNKPTTRQLIIPPHEKLNILEMSLASKRPPLFPGSIYANSLLPLQSVLRTRNDTQHLENDNDEDDEDEEVMIMRALEIRRKVTAVVFKGAMKKGKFGITYSTNLVNRLSEFIDHVMIEAAALKRLPEFEDSTFNVRAKAVIDDSNVVPLIRWLKHNDLSYPKIAKLICMSKGNLDSIRRLVEWLKTVHVKAEFLGVTLLKSGDDILQRSIEELDEIVEYLESNGVKGDWMGFVISRCPKLLSYSIEEVKTRVEFYLNMGMNANDFGTMVFDYPGALGCFTLEEMNQKVNYLKEFGLSTEYVGRLLAFRPELMGCSIEEKWKPLVKYLYYLGISRDGMRRMLTIKPMVFCFNFETTIAPKVQFFRDLGVRDDAIGNMLVKFPPLLTYSLHKKIRPVVIFLMTKAGVTEKDIGKVIALGPELLGCSIGNKLEVNMKYFLSLGIRHRQLGEMIADFPKLLRYKVDLLYPKYRYLRRTMVRPLQDVIEFPRFFSYSLEERIIPRHKIMVENRVNFKLRYMLACTDEEFNGRVADKVERRRRFESGLLDNALADSQTAEGSLGKAAVINCPASENAILNFPVSDYSMERTVQK >EOX98021 pep chromosome:Theobroma_cacao_20110822:2:4302989:4306593:1 gene:TCM_006888 transcript:EOX98021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein isoform 1 MSLASKRPPLFPGSIYANSLLPLQSVLRTRNDTQHLENDNDEDDEDEEVMIMRALEIRRKVTAVVFKGAMKKGKFGITYSTNLVNRLSEFIDHVMIEAAALKRLPEFEDSTFNVRAKAVIDDSNVVPLIRWLKHNDLSYPKIAKLICMSKGNLDSIRRLVEWLKTVHVKAEFLGVTLLKSGDDILQRSIEELDEIVEYLESNGVKGDWMGFVISRCPKLLSYSIEEVKTRVEFYLNMGMNANDFGTMVFDYPGALGCFTLEEMNQKVNYLKEFGLSTEYVGRLLAFRPELMGCSIEEKWKPLVKYLYYLGISRDGMRRMLTIKPMVFCFNFETTIAPKVQFFRDLGVRDDAIGNMLVKFPPLLTYSLHKKIRPVVIFLMTKAGVTEKDIGKVIALGPELLGCSIGNKLEVNMKYFLSLGIRHRQLGEMIADFPKLLRYKVDLLYPKYRYLRRTMVRPLQDVIEFPRFFSYSLEERIIPRHKIMVENRVNFKLRYMLACTDEEFNGRVADKVERRRRFESGLLDNALADSQTAEGSLGKAAVINCPASENAILNFPVSDYSMERTVQK >EOX98023 pep chromosome:Theobroma_cacao_20110822:2:4302805:4305777:1 gene:TCM_006888 transcript:EOX98023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein isoform 1 MLSCPPPPSLHQHLHRHPHSTTVYSSIHRQPNLPAITTPDPLIRTQNAKSTSLLHHSTTTTNKTKNPPQESDNDQQQHQNSVHPNDNKPTTRQLIIPPHEKLNILEMSLASKRPPLFPGSIYANSLLPLQSVLRTRNDTQHLENDNDEDDEDEEVMIMRALEIRRKVTAVVFKGAMKKGKFGITYSTNLVNRLSEFIDHVMIEAAALKRLPEFEDSTFNVRAKAVIDDSNVVPLIRWLKHNDLSYPKIAKLICMSKGNLDSIRRLVEWLKTVHVKAEFLGVTLLKSGDDILQRSIEELDEIVEYLESNGVKGDWMGFVISRCPKLLSYSIEEVKTRVEFYLNMGMNANDFGTMVFDYPGALGCFTLEEMNQKVNYLKEFGLSTEYVGRLLAFRPELMGCSIEEKWKPLVKYLYYLGISRDGMRRMLTIKPMVFCFNFETTIAPKVQFFRDLGVRDDAIGNMLVKFPPLLTYSLHKKIRPVVIFLMTKAGVTEKDIGKVIALGPELLGCSIGNKLEVNMKYFLSLGIRHRQLGCD >EOX96870 pep chromosome:Theobroma_cacao_20110822:2:317572:320288:-1 gene:TCM_006019 transcript:EOX96870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein D isoform 1 MGSSNGKGRSSWWRMEKEMEKGKVVVEKVRGKSTVTRSFSKYPLKFIIPRKVGPSETDAVWIYSVTYGGGIVSGDSISVEFTIGDGCTAVLTTQASTKVYKSLGSKCSEQVLEARIGSGALFAVIPDPVTCFSTARYSQKQVFRVASDSSLVIVDWFTSGRHESGEKWDFELYRSANHIFSEGNQPVFLDTVLLEKSSPANIAERMHGYQVIAMVIIYGPKLKLVQDQVQENVKRMMSQQLHIPSTSLGHHAKTNSDNCLTRPAFIASCSVFGPKGIGVVVRIASTTTESVYRFLQHQLAGMEPLVGVPPYH >EOX96869 pep chromosome:Theobroma_cacao_20110822:2:317354:320309:-1 gene:TCM_006019 transcript:EOX96869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein D isoform 1 MGSSNGKGRSSWWRMEKEMEKGKVVVEKVRGKSTVTRSFSKYPLKFIIPRKVGPSETDAVWIYSVTYGGGIVSGDSISVEFTIGDGCTAVLTTQASTKVYKSLGSKCSEQVLEARIGSGALFAVIPDPVTCFSTARYSQKQVFRVASDSSLVIVDWFTSGRHESGEKWDFELYRSANHIFSEGNQPVFLDTVLLEKSSPANIAERMHGYQVIAMVIIYGPKLKLVQDQVQENVKRMMSQQLHIPSTSLGHHAKTNSDNCLTRPAFIASCSVFGPKGIGVVVRIASTTTESVYRFLQHQLAGMEPLVGVPPYH >EOY01713 pep chromosome:Theobroma_cacao_20110822:2:40694525:40702848:-1 gene:TCM_011548 transcript:EOY01713 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family MDPQQQREAATPSRPPPPPRPAQSVYSFPIIVIVFAFIVIASSAMIPPSSNIKNTLSILHQVPEGHVGVYWRGGALLKTITEPGFHLKMPLITQHEPVLVTLQTDQVRDIPCGTKGGVMINFEKIEVVNRLRKEYVYETLLNYGVHYDNTWIYDKIHHEINQFCSSHTLQQVYIDVFDQIDEKMKDALQGDCTRYAPGIEILSVRVTKPTIPESIRRNYEQMEEERTKDVEDCNEGVEANGGVEDGNEVGEFPYLVPGPSLKNERQKVVEKESETQKKMAISEAEKTATVSKILMEQKLMEKESARRQQEIENQMYIAREKSLADADFYRVMKEAEANKLKLTPEFLELKFIEAIAYNTKIFFGDKIPNMVMDQRLLGNFLQNASRQVAMERQLKKQPKQVKCYFTQNK >EOY00258 pep chromosome:Theobroma_cacao_20110822:2:30513708:30515052:-1 gene:TCM_010086 transcript:EOY00258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFPKWRQALALSCSSFTPAPTDKLLRSSLYPPSTLSFLHKTPHISDGSTGKETEVIDEPFFVDLLGEESDEYWFVGLLIKFLHSIFKKVSQRANKASHLFYLLQYRSTRVFLLATISLSKLFMR >EOX98142 pep chromosome:Theobroma_cacao_20110822:2:4673550:4677311:-1 gene:TCM_006971 transcript:EOX98142 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MPRPFFHKLILSSTLQDKKLRIPDNFVKKFRDELSVAAALTVPDGHVWRVGIKKADNKVWFQEGWQEFVERYYIRVGYFLIFRYEGNSAFSVSIFNLINSEINYQSNPLIGSQYNHGKQYPFEELEDDECISPALQNLFGGTKLNNCINWTGEVNLHTSKGVNNQPIRGAELPKPKKPGRKKRKFDPNEQDSSVGHEDEVEMRFRFYESASARKRTVTAEERERAINAAKAFEPTNPFCRVVLRPSYLYRGCIMYLPSCFAEKHLSGVSGFIKLQLPDGKQWPVRCLYRGGRAKFSQGWYEFTLENNLGEGDVCVFELLRSREFVLKVTVFRVLESTGLMNRPQ >EOX98140 pep chromosome:Theobroma_cacao_20110822:2:4673548:4677314:-1 gene:TCM_006971 transcript:EOX98140 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MPRPFFHKLILSSTLQDKKLRIPDNFVKKFRDELSVAAALTVPDGHVWRVGIKKADNKVWFQEGWQEFVERYYIRVGYFLIFRYEGNSAFSNLFGGTKLNNCINWTGEVNLHTSKGVNNQPIRVKLHSSGAELPKPKKPGRKKRKFDPNEQDSSVGHEDEVEMRFRFYESASARKRTVTAEERERAINAAKAFEPTNPFCRVVLRPSYLYRGCIMYLPSCFAEKHLSGVSGFIKLQLPDGKQWPVRCLYRGGRAKFSQGWYEFTLENNLGEGDVCVFELLRSREFVLKVTVFRVLESTGLMNRPQ >EOX98141 pep chromosome:Theobroma_cacao_20110822:2:4673925:4677020:-1 gene:TCM_006971 transcript:EOX98141 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MPRPFFHKLILSSTLQDKKLRIPDNFVKKFRDELSVAAALTVPDGHVWRVGIKKADNKVWFQEGWQEFVERYYIRVGYFLIFRYEGNSAFSVSIFNLINSEINYQSNPLIGSQYNHGKQYPFEELEDDECISPALQNLFGGTKLNNCINWTGEVNLHTSKGVNNQPIRVKLHSSGAELPKPKKPGRKKRKFDPNEQDSSVGHEDEVEMRFRFYESASARKRTVTAEERERAINAAKAFEPTNPFCRVVLRPSYLYRGCIMYLPSCFAEKHLSGVSGFIKLQLPDGKQWPVRCLYRGGRAKFSQGWYEFTLENNLGEGDVCVFELLRSREFVLKVTVFRVLESTGLMNRPQ >EOX98143 pep chromosome:Theobroma_cacao_20110822:2:4673500:4675045:-1 gene:TCM_006971 transcript:EOX98143 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 KKADNKVWFQEGWQEFVERYYIRVGYFLIFRYEGNSAFSNLFGGTKLNNCINWTGEVNLHTSKGVNNQPIRGAELPKPKKPGRKKRKFDPNEQDSSVGHEDEVEMRFRFYESASARKRTVTAEERERAINAAKAFEPTNPFCRVVLRPSYLYRGCIMYLPSCFAEKHLSGVSGFIKLQLPDGKQWPVRCLYRGGRAKFSQGWYEFTLENNLGEGDVCVFELLRSREFVLKVTVFRVLESTGLMNRPQ >EOY01322 pep chromosome:Theobroma_cacao_20110822:2:39016771:39022112:1 gene:TCM_011255 transcript:EOY01322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MKKHHVLGCLLFWFLSLLCFFTFQVSSQSGPDSSVMEKLKTSLKIPSSLDWSNPDPCQWDNVRCENNRVTRIQIPNKNVGGILPPDLKNLSQLTVFEVMNNQISGQIPSLAGLGQLQEANFHNNNFSSFPSDFFAGLTSLTSVYLDYNPFEPWEIPESVKEATSLKAFSANKANIKGRFPGLFDPVTFPTLTELHLAMNNLEGELPAEFSASMIQSLWVNGQSLNGTIEVIQSMSSLTEVWLHGNQFSGPLPDFSKLTQLGNLSLRDNRFTGVVPLSLVKLTSLYIVNLTNNELQGPTPKFPDTVIVDMTAGSNRFCLDMPGVACDERVNILLFIMEAVGYPENFANSWIGNDPCNNWLGISCAQGNIVSVIFSKKGLTGTISSNFAKLTSLTTLDLSGNNLTGTIPTELTTLPKLNRVDVSNNRLYGKIPSFRQNVAIITDGNPNIGKDGVPTPEGRSPGGSPGGGGGGSSSGSGEKKSNTGTVVGSVIGAVGGLGLLALGICLYARKGKRSSRVQSPTTVVIHPHHSGDQEGVKITVAGSSINGGSETFSHTSSGPSDVHMVEAGNMVISIQVLRNVTNNFSEENVLGRGGFGTVYKGELHDGTKIAVKRMESGVVSEKGLAEFKSEIAVLTKVRHRHLVALLGYCLDGNERLLVYEYMPQGTLSRHLFNWKDEGLKPLEWTRRLTIALDVARGVEYLHGLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPVDGKHSVETRLAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMELITGRKALDETQPEESLHLVTWFRRMHTNKDTFRKAIDKTIQLDEETLASISTVSELAGHCCAREPYQRPDMSHVVNGLSSLAELWKPAEPDSDDIYGIDLDLTLPQALKKWQAFEGNSNLDDSSSFLASTDTTQTSIPCRPSGFADSFASADAR >EOY00909 pep chromosome:Theobroma_cacao_20110822:2:35836462:35841146:1 gene:TCM_010822 transcript:EOY00909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 1 MGAEKKWLFTLFSTTFLSILLLLLYSISAFSSPRPFPSLVQHGLHYPPAFGYYIFGGRGDKDRIFRLLLAVYHPRNRYLLQLGADASDEERYRLALALKSVPAIRSFGNVDVIGKPDRFSYMGSTHIAATLHAAAVLMKLDRGWDWFIALSALDYPLVTQDDLSHVFSSVRRDLNFIDHTSDLGWKEGQRIQPIVVDPGLYLARRTQIFHATEKRQMPDAFKVFTGSQWVVLSRSFLEFCLFGWDNLPRTLLMYFNNVMLAEESYFHSVICNSPEFKNTTVNGDLRYMIWDSPPKMEPHFLNITDYDQMAQSGAAFARQFQKDDPVLDMVDEKILNRGRNRAAPGAWCTGRKSWWMDPCSQWGDVNVLKPGPQAKKFEETIINLLDDWNSQSNQCT >EOY00908 pep chromosome:Theobroma_cacao_20110822:2:35836537:35841152:1 gene:TCM_010822 transcript:EOY00908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 1 MGAEKKWLFTLFSTTFLSILLLLLYSISAFSSPRPFPSLVQHGLHYPPAFGYYIFGGRGDKDRIFRLLLAVYHPRNRYLLQLGADASDEERYRLALALKSVPAIRSFGNVDVIGKPDRFSYMGSTHIAATLHAAAVLMKLDRGWDWFIALSALDYPLVTQDDLSHVFSSVRRDLNFIDHTSDLGWKEGQRIQPIVVDPGLYLARRTQIFHATEKRQMPDAFKVFTGSQWVVLSRSFLEFCLFGWDNLPRTLLMYFNNVMLAEESYFHSVICNSPEFKNTTVNGDLRYMIWDSPPKMEPHFLNITDYDQMAQSGAAFARQFQKDDPVLDMVDEKILNRGRNRAAPGAWCTGRKSWWMDPCSQWGDVNVLKPGPQAKKFEETIINLLDDWNSQSNQCT >EOX97200 pep chromosome:Theobroma_cacao_20110822:2:1569557:1587498:1 gene:TCM_006288 transcript:EOX97200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target of rapamycin MMQGLHHQQQQLAALLTVALPKDTTATATATSSSSSSFTPSTSTPTTTTTPAVSTNSDESDSARLAAINSLHRAIRYPPNSILVAHSASFLAQGFSQLLSDKSYSVRQAAAIAYGALCAVVCSIPIGSSGRQNHVMLGSLVDRFIGWALPLLSNISAGDGTTELALEALREFLSVGDVGGIERYALSILKACQELLEDERTSLTLLHRLLGVLTLISLKFSLSFQPHFLDIVDVLLGWALVPDLAESDRQVIMDSFLQFQKHWVGNLQFSLGLLFKFLGDMDVLLQDATHGTPQQFRRLLALLSCFCTVLQSTASGLLEMNLLEQISEPLSKMLPRLLGCLSVVGKKFGWSKWIEDSWKCLTLLAEILRERFSTFYSLAVDILFQSLDLDSTSRLVGAGKITSFQVHGVLKTNLQLLSLQKLGLLPSSVQKILHFDAAISQLRLHPNHLVTGSSAATYVFLLQHGNDEIVQQAMTLLTEELQLLKGLLGNILGHGEGVNSVGDTRSYSKCELFALIKFDLKVLLTSVSLCGHNTLIVQPKNATLYLQRSENLIYFIIEKLNPFDLPIQFCVELQVNVIKTLDRLSMVKFLSKCSIRNQSGHIPTGDVAAEKVLNDNSFRDVHSAMIVEYLRECGTLLGKALHVSSPVSVKVVALEWVQRFCENLISICENSKMDTNFYEEFGYVSQFGNTIFSILEAAFDREPKVRLHVTLALELLLQARLMHPLYFNSVSEVVLEKLGDPDNDIRNAYVRLLSHVLLTTIYIYGIHHIGAFSNSRPRALMLGNNSNLYWKQVFSLKQLPQQLNSQQLVSILSYISQRWKVPLSSWIQRLIHTCRSSKDGILGQLEETGILGVNDLWMDIKVEEDALEKLCFVNNLAGAWWAIHEAARYCISTRLRTNLGGPTQTFAALERMLLDVAHVLQLDSEQNDGSLSIIGSSGAHLLPMRLLLDFVEALKKNVYNAYEGSAVLPSASRQSSLFFRANKKVCEEWFSRICEPMMNAGLALQCHDATIQYCTLRLQELKSLVMSAFKEKSQAQVTENLHNMKEKYIGDILRVVQHMSLALCRNHQSEALIGLQKWVSVTFSPLLLDEDQSMNHNGIFGPFQWITGLIYQAEGQYEKAASHFAHLLQTEESLSTMGSDGVQFAIARIIESYTAVSDWKSLESWLLELQTLRAKHAGKSYSGALTTAGNEMNAIHALARFDEGDLQAAWAYLDLTPKSSSELTLDPKLALQRSEQMLLQALLLQIEGNVDKVPHELQKAKSMLEEMLSVLPLDGLAEAAACATQLHCIFAFEEGYELTGNQGKCQEHMASQGKSKLSQSVLSSYLQPLRPLIKGIHQDCNPWLKILRVYRAIFPTSPVTLKLSMNLSSLARKQGNLMLANCLNSYVRDHVLSCSQERYPNLLILNLQYEEILLLYAENKIEDAFVNIWSFLRPCLCSSALIVNDVDDGKLKAKACLKLSNWLRRDYCSMSFENIVLRMLADLNVANVSSIGTGGHCFSDMDLSSKLSLDVIIEEIVGTATKLSTQLCPTMAKSWISYASWCFSQAKSSVVNQHEKCLHLYSFSPVLVSELAPERFKMTEDEIQGVESVIMPLFQERDDMEHVDDRAEQWNFCSDPAEMLRTDNPSKALVQQVVDMMEAAAGAPGAENSGGERLSATLTSQLRSSLQLASIGVEETDITYVIDKLIDVWWSLRKRRVSLFGYAAHGFIQYLLHSSTKLCDGQLSGDVCEPLKQTAGSYTLRATLYVLHILLNYGLELKDTLEPDLSTVPLLSWQDVTPQLFARLSSHPEEVVRKQIEGLLVMLAKLSPWSIVYPTLVDINAYEEKPSEELQHILGCLRELYPRLVQDVQLVINELGNVTVLWEELWLSTLQDLHMDVMRRINVLKEEAARIAENATLNQSEKNKINAAKYSAMMAPIVVALERRLASTSTKPETPHELWFHQEYKEQLKSAILSFKTPPASAAALGDVWRPFDNIAASLASYQRKSSVSLGEVAPQLAMLSSSDVPMPGLEKQVTASESDGGRTSTLQGIVTIASFSEQVTILSTKTKPKKLVILGSDGKTYTYLLKGREDLRLDARIMQLLQAINSFLHSSSTTNHNLLGIRYYSVTPISGRAGLIQWVDNVTSIYSIFKSWQNRVQLAQLSALGAGNAKNSVPPVPRPSDMFYGKIIPALKEKGIRRVISRRDWPHEVKRKVLLDLMKEVPKHLLHQELWCASEGFKAFSSKLKRYSRSVAAMSMVGHILGLGDRHLDNILMDFSSGDVVHIDYNVCFDKGQRLKVPEIVPFRLTQTIEAALGLTGIEGTFRANCEAVVGALRKNKDILLMLLEVFVWDPLIEWTRGDFHDDAAIGGEERKGMELAVSLSLFASRVQEIRVPLQEHHDLLLVTLPAVESTLERFGDVLNQYELVSALFYRADQERSNLILHETSAKSIVAEATCNSEKTRASFEIQAREFNQAKNLVAEKAQQAASWIEQHGRILDALRGNLIPEINACINLSGMADALSLTSAVPVAGVPLTIVPEPTQAQCYDIDREVSQLISELDRGLSSAVMALQAYSLALQRVLPLNYLTTSAVHGWGQVLQLSANAVSSDILSLARRQAAELIAKVHGDNLEFMKSSHDDLCFKVEKYAVEIEKVEEECAELVNSIGTETESKAKDRLMSAFMRYMQSAGLVRKEDANSSLQSGESKYDGTRASRTRGELEEKKDKVLSVLSTAVRSLYDDVKHRVLDMYSHTGRAQNENSRLQSDLGTVFSEFEEQVEKCILVAGFVNELWQQIGGDMLGVDRDLYYPKYYSEGNWASIFKTILLCCKNLVGEMTEVVLPDVMRSAVSFNTEVMDAFGLISQIRGSVDTALEQLVEVELERASLVELEQNYFVKVGCITEQQLALEEAAMKGRDHLSWEEAEELASQEEACRVQLDQLHRTWNQRDMRTSSLIKREAEIKNSLVSCENHFQSLINGEDFRESHHSRSKVLLAILVKPFSELESVDKALSSLSSSVAPRADEIPNLVDFMSSGHSVSESVWNFGTLLSSHSFFIWKIGVLDSILDSCIHDVASSVDQNLGFEQLFNVVKRKLEIQLKEYLGRYLKIRVAPALLSWLDKENEHLKLLTEGAKEPGTDHIRKDAMAVKRVQLMLEEYCNTHETARAARSAASLMKRQVNELKEALRKTILEIVQMEWMHDVGLTHSHSCRILFQKFFSSDDELYPIVLNLSRPKLLETMQAVVSKVARSIEGLQSCEHTSLAAEGQLERAMGWACGGPNSGGTGNSSSKASGIPPEFHDHLMRRRHLLQEAREKASNIVKICMSILEFEASRDGIFQIPREVYALSTGGDSRTWQQAYFSALTKLEVAYHSFTRTEQEWKLAQSNMEVASSGLYSATNELCIASLKAKSASGDLQSTVLAMRNYACEASVALSAFARVSRGHTALTSESGSMLEEVLAITEDLHDVHNLGKEAAAAHHSLMEDLSKANAILLPLESVLSKDVSAMTEAMARERETKMEVSPIHGQAIYQSYGLRIRETCQTFKPSVPSLAFSVKELHSLLTRLARTASLHAGNLHKALEGLGESQEVKSQGISLSRPDLAGDATESDERAGESISTSGSGSTKDFVGLTGLSLQDKEWISPPDSIGGSIAESGIISNGTSLSDSINDPAEVMEKIWLVSNHKTANDSQNFVPSSQSDYDEISQSGQRSSNNMEMNNSDTSSVKSATGEPNEYLKAVASVNDEAVSAPLESSQPSNKENLDVKFGVKDEVSTSRKVELGDEDHGVPVPNTHTASRIARGKNAYALSVLKRVEMKLDGQDITERREISIAEQVDYLLKQATSVDNLCSMYEGWTPWI >EOY02149 pep chromosome:Theobroma_cacao_20110822:2:42123031:42129017:-1 gene:TCM_011872 transcript:EOY02149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane Fragile-X-F-associated protein MLVERRRVMSWRRVGKSLQALVAHALLFSFTLLLALKLHHAVSRSWWVVFAPLWLFHAVVARGRFSLPAPSMPHDRHWAPFHAVIAMPLLVAFELLLCIRLDNSYVVNLKIVFLPLLAFEIAILIDNIRMCRALMPGDEESMSDEVIWETLPHFWVAISMVFFIAATTFTLLKLCGDVAALGWWDLFINFGIAECFAFLVCTKWYNPAIHRNSHLGESTPSSMTIRYLDWNRGFVVSSDEDRHQNSRMCNLQDIGGHVMKIPFIGFQIMLFMHLEGTPPSARNISPPVLFSPLLLLQGAAVILAAYRLIEKIVILVHGGAGSGRYFDVSSKVLEFFGFLHHGSRLLGWWSIDEGSREEQARLYCSEASGYNTFSPDVVKKMPKSDLVEEIWRLRAALSEQTEITKFSQQEFERLQNEKILCRVCFEEQINVVLLPCRHHVLCSTCCERCKRCPICRVSIEERLPVYDV >EOX97259 pep chromosome:Theobroma_cacao_20110822:2:1742796:1745115:1 gene:TCM_006337 transcript:EOX97259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain amino acid transaminase 2 isoform 1 MLLLISYTASEQPVGFLTYLSFLLIAAKIMAPAPVSFTSVTSRDGEETYADIDWDQLGFALTPADYMYVMKCSNKEDFPQGQLVCYGNIEISPFSAVLNYGQGLIEGLKAYRTENGGVLLFRPDENAKRMQMGAQRMCLPSPSVEQFVEAIKQTVLANKRWVPPHGKGSLYLRPLLMGSGPVLGLVPAPECTFMISGTPIGNHFLTNHGAANLYIEDKLPRASPGGTGSIKSITNYSPVFEAVSQAKAKGFSDVLFLDAATGKYIEEVSSSNVFIVKGNVISTPPINGTVLPGITRKSIMELALDMGYQVEEGNIPVEDLMSADEVFCTGTVVIVTPVGSITYQDTRAEYKTGEGTVCNKLRATLSGIQMGLIQDKKGWTVALD >EOX97258 pep chromosome:Theobroma_cacao_20110822:2:1742505:1745115:1 gene:TCM_006337 transcript:EOX97258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain amino acid transaminase 2 isoform 1 MLLLISYTASEQPVGFLTYLSFLLIAAKIMAPAPVSFTSVTRDGEETYADIDWDQLGFALTPADYMYVMKCSNKEDFPQGQLVCYGNIEISPFSAVLNYGQGLIEGLKAYRTENGGVLLFRPDENAKRMQMGAQRMCLPSPSVEQFVEAIKQTVLANKRWVPPHGKGSLYLRPLLMGSGPVLGLVPAPECTFMISGTPIGNHFLTNHGAANLYIEDKLPRASPGGTGSIKSITNYSPVFEAVSQAKAKGFSDVLFLDAATGKYIEEVSSSNVFIVKGNVISTPPINGTVLPGITRKSIMELALDMGYQVEEGNIPVEDLMSADEVFCTGTVVIVTPVGSITYQDTRAEYKTGEGTVCNKLRATLSGIQMGLIQDKKGWTVALD >EOX97260 pep chromosome:Theobroma_cacao_20110822:2:1742796:1745115:1 gene:TCM_006337 transcript:EOX97260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain amino acid transaminase 2 isoform 1 MLLLISYTASEQPVGFLTYLSFLLIAAKIMAPAPVSFTSVTRDGEETYADIDWDQLGFALTPADYMYVMKCSNKEDFPQGQLVCYGNIEISPFSAVLNYGQVPPHGKGSLYLRPLLMGSGPVLGLVPAPECTFMISGTPIGNHFLTNHGAANLYIEDKLPRASPGGTGSIKSITNYSPVFEAVSQAKAKGFSDVLFLDAATGKYIEEVSSSNVFIVKGNVISTPPINGTVLPGITRKSIMELALDMGYQVEEGNIPVEDLMSADEVFCTGTVVIVTPVGSITYQDTRAEYKTGEGTVCNKLRATLSGIQMGLIQDKKGWTVALD >EOX97663 pep chromosome:Theobroma_cacao_20110822:2:2994974:2997242:-1 gene:TCM_006625 transcript:EOX97663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein isoform 2 MDDGELDFLNQEVFSGNMADIPSSCSMDSFFDELLNDSHACTHTHTCNPPGPDNSHTHTCFHVHTKIVPAPTEDKAAIDDTAESREKKSKKRPLGNREAVRKYREKVKARAASLEDEVVRLRALNQQLLKRLQGQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSTTNVNMMNLPGAYVMNPCNVQCNDQMYCLHPGAD >EOX97658 pep chromosome:Theobroma_cacao_20110822:2:2994192:2997319:-1 gene:TCM_006625 transcript:EOX97658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein isoform 2 MDDGELDFLNQEVFSGNMADIPSSCSMDSFFDELLNDSHACTHTHTCNPPGPDNSHTHTCFHVHTKIVPAPTEDKAAIDDTAESREKKSKKRPLGNREAVRKYREKVKARAASLEDEVVRLRALNQQLLKRLQGQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSTTNVNMMNLPGAYVMNPCNVQCNDQMYCLHPGADGKTGEVAELNGQGFNVCEFDNLPCLANQNSGEKELSTYGVGSAGSNGNSSGTKRRKDFREGGISLMFQYCEPFVGELQVSLPAVVACDSLGSYKLACIAFSNSYSGFA >EOX97659 pep chromosome:Theobroma_cacao_20110822:2:2994974:2997381:-1 gene:TCM_006625 transcript:EOX97659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein isoform 2 MDDGELDFLNQEVFSGNMADIPSSCSMDSFFDELLNDSHACTHTHTCNPPGPDNSHTHTCFHVHTKIVPAPTEDKAAIDDTAESREKKSKKRPLGNREAVRKYREKVKARAASLEDEVVRLRALNQQLLKRLQGQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSTTNVNMMNLPGAYVMNPCNVQCNDQMYCLHPGADGKTGEVAELNGQGFNVCEFDNLPCLANQNSGEKELSTYGVGSAGSNGNSSGTKRRKGVAWLGFDAISGLLSLWFKAYNL >EOX97662 pep chromosome:Theobroma_cacao_20110822:2:2995163:2997139:-1 gene:TCM_006625 transcript:EOX97662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein isoform 2 MDDGELDFLNQEVFSGNMADIPSSCSMDSFFDELLNDSHACTHTHTCNPPGPDNSHTHTCFHVHTKIVPAPTEDKAAIDDTAESREKKSKKRPLGNREAVRKYREKVKARAASLEDEVVRLRALNQQLLKRLQGQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSTTNVNMMNLPGAYVMNPCNVQCNDQMYCLHPGADGKTGEVAELNGQGFNVCEFDNLPCLANQNSGEKELSTYGVGSAGSNGNSSGTKRRKGAHAATAG >EOX97657 pep chromosome:Theobroma_cacao_20110822:2:2995277:2996634:-1 gene:TCM_006625 transcript:EOX97657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein isoform 2 MDDGELDFLNQEVFSGNMADIPSSCSMDSFFDELLNDSHACTHTHTCNPPGPDNSHTHTCFHVHTKIVPAPTEDKAAIDDTAESREKKSKKRPLGNREAVRKYREKVKARAASLEDEVVRLRALNQQLLKRLQGQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSTTNVNMMNLPGAYVMNPCNVQCNDQMYCLHPGADGKTGEVAELNGQGFNVCEFDNLPCLANQNSGEKELSTYGVGSAGSNGNSSGTKRRKGAHAATAG >EOX97661 pep chromosome:Theobroma_cacao_20110822:2:2995277:2996634:-1 gene:TCM_006625 transcript:EOX97661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein isoform 2 MDDGELDFLNQEVFSGNMADIPSSCSMDSFFDELLNDSHACTHTHTCNPPGPDNSHTHTCFHVHTKIVPAPTEDKAAIDDTAESREKKSKKRPLGNREAVRKYREKVKARAASLEDEVVRLRALNQQLLKRLQGQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSTTNVNMMNLPGAYVMNPCNVQCNDQMYCLHPGADGKTGEVAELNGQGFNVCEFDNLPCLANQNSGEKELSTYGVGSAGSNGNSSGTKRRKGAHAATAG >EOX97660 pep chromosome:Theobroma_cacao_20110822:2:2994192:2996995:-1 gene:TCM_006625 transcript:EOX97660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein isoform 2 MDDGELDFLNQEVFSGNMADIPSSCSMDSFFDELLNDSHACTHTHTCNPPGPDNSHTHTCFHVHTKIVPAPTEDKAAIDDTAESREKKSKKRPLGNREAVRKYREKVKARAASLEDEVVRLRALNQQLLKRLQGQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSTTNVNMMNLPGAYVMNPCNVQCNDQMYCLHPGADGKTGEVAELNGQGFNVCEFDNLPCLANQNSGEKELSTYGVGSAGSNGNSSGTKRRKGAHAATAG >EOY00039 pep chromosome:Theobroma_cacao_20110822:2:23555202:23561254:-1 gene:TCM_009405 transcript:EOY00039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase 2 isoform 5 MSEAECLLLVKMPEIQLGAHTVRSHGVKVARAHMHDWLILLLLIVIDVILNVIEPFHRFVGEDMMTDLEYPLKDNTVPFWAVPIIAIILPFSVILVYYFIRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKGVFDPVTKNVMCTGLRSVIKEGHKSFPSGHTSWSFAGLGFLALYLSGKIRVFDRRGHVAKLCIVFLPLLIAALVGISRVDDYWHHWQDIFAGGLLGITVSSFCYLQFFPPPYDVEGLGTSCVFSDVGRVSKWQSIQRHQWSKCTTIRA >EOY00041 pep chromosome:Theobroma_cacao_20110822:2:23554736:23559800:-1 gene:TCM_009405 transcript:EOY00041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase 2 isoform 5 MMTDLEYPLKDNTVPFWAVPIIAIILPFSVILVYYFIRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKGVFDPVTKNVMCTGLRSVIKEGHKSFPSGHTSWSFAGLGFLALYLSGKIRVFDRRGHVAKLCIVFLPLLIAALVGISRVDDYWHHWQDIFAGGLLGITVSSFCYLQFFPPPYDVEGWGPHAYFQMLAESQNGNPSNAINGQNVQQSELESVYVESQHGRELSRANTHDSSPILDGMNDGRRY >EOY00038 pep chromosome:Theobroma_cacao_20110822:2:23554680:23561381:-1 gene:TCM_009405 transcript:EOY00038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase 2 isoform 5 MPEIQLGAHTVRSHGVKVARAHMHDWLILLLLIVIDVILNVIEPFHRFVGEDMMTDLEYPLKDNTVPFWAVPIIAIILPFSVILVYYFIRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKGVFDPVTKNVMCTGLRSVIKEGHKSFPSGHTSWSFAGLGFLALYLSGKIRVFDRRGHVAKLCIVFLPLLIAALVGISRVDDYWHHWQDIFAGGLLGITVSSFCYLQFFPPPYDVEGWGPHAYFQMLAESQNGNPSNAINGQNVQQSELESVYVESQHGRELSRANTHDSSPILDGMNDGRRY >EOY00040 pep chromosome:Theobroma_cacao_20110822:2:23554906:23562498:-1 gene:TCM_009405 transcript:EOY00040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase 2 isoform 5 MMTDLEYPLKDNTVPFWAVPIIAIILPFSVILVYYFIRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKGVFDPVTKNVMCTGLRSVIKEGHKSFPSGHTSWSFAGLGFLALYLSGKIRVFDRRGHVAKLCIVFLPLLIAALVGISRVDDYWHHWQDIFAGGLLGITVSSFCYLQFFPPPYDVEGWGPHAYFQMLAESQNGNPSNAINGQNVQQSELESVYVESQHGRELSRANTHDSSPILDGMNDGRRY >EOY00036 pep chromosome:Theobroma_cacao_20110822:2:23554574:23566318:-1 gene:TCM_009405 transcript:EOY00036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase 2 isoform 5 MGVGAPISKVTPNLFHWMELGLSHDIVKMPEIQLGAHTVRSHGVKVARAHMHDWLILLLLIVIDVILNVIEPFHRFVGEDMMTDLEYPLKDNTVPFWAVPIIAIILPFSVILVYYFIRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKGVFDPVTKNVMCTGLRSVIKEGHKSFPSGHTSWSFAGLGFLALYLSGKIRVFDRRGHVAKLCIVFLPLLIAALVGISRVDDYWHHWQDIFAGGLLGITVSSFCYLQFFPPPYDVEGWGPHAYFQMLAESQNGNPSNAINGQNVQQSELESVYVESQHGRELSRANTHDSSPILDGMNDGRRY >EOY00042 pep chromosome:Theobroma_cacao_20110822:2:23554906:23562215:-1 gene:TCM_009405 transcript:EOY00042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase 2 isoform 5 MMTDLEYPLKDNTVPFWAVPIIAIILPFSVILVYYFIRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKGVFDPVTKNVMCTGLRSVIKEGHKSFPSGHTSWSFAGLGFLALYLSGKIRVFDRRGHVAKLCIVFLPLLIAALVGISRVDDYWHHWQDIFAGGLLGITVSSFCYLQFFPPPYDVEGWGPHAYFQMLAESQNGNPSNAINGQNVQQSELESVYVESQHGRELSRANTHDSSPILDGMNDGRRY >EOY00037 pep chromosome:Theobroma_cacao_20110822:2:23554729:23562230:-1 gene:TCM_009405 transcript:EOY00037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase 2 isoform 5 MPEIQLGAHTVRSHGVKVARAHMHDWLILLLLIVIDVILNVIEPFHRFVGEDMMTDLEYPLKDNTVPFWAVPIIAIILPFSVILVYYFIRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKGVFDPVTKNVMCTGLRSVIKEGHKSFPSGHTSWSFAGLGFLALYLSGKIRVFDRRGHVAKLCIVFLPLLIAALVGISRVDDYWHHWQDIFAGGLLGITVSSFCYLQFFPPPYDVEGWGPHAYFQMLAESQNGNPSNAINGQNVQQSELESVYVESQHGRELSRANTHDSSPILDGMNDGRRY >EOY00479 pep chromosome:Theobroma_cacao_20110822:2:32945272:32955016:-1 gene:TCM_010364 transcript:EOY00479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase 2, CA2 isoform 2 MAGRFSKCMLLCCSAKVSKEEDMGSGSYEEAIAALSKLLSDKADLQSVAAAKIMQITAELEAAADPNQFDPVKRIETGFLHFKKEKYEKNPDLYGELAKGQSPKFLVFACSDSRVCPSHILDFQPGEAFMVRNIANMVPPYDKTKYSGVGAAIEYAVLHLKVENIVVIGHSCCGGIKGLMSIPDDGTTASDFIEQWVSICAPAKTKVKSECNDLSFSEQCTNCEKEAVNVSLGNLLTYPFVREAVVKKSLVLKGAHYDFVDGKFDLWNLDFNITPTLAV >EOY00480 pep chromosome:Theobroma_cacao_20110822:2:32945366:32949798:-1 gene:TCM_010364 transcript:EOY00480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase 2, CA2 isoform 2 MGSGSYEEAIAALSKLLSDKADLQSVAAAKIMQITAELEAAADPNQFDPVKRIETGFLHFKKEKYEKNPDLYGELAKGQSPKFLVFACSDSRVCPSHILDFQPGEAFMVRNIANMVPPYDKTKYSGVGAAIEYAVLHLKVENIVVIGHSCCGGIKGLMSIPDDGTTASDFIEQWVSICAPAKTKVKSECNDLSFSEQCTNCEKEAVNVSLGNLLTYPFVREAVVKKSLVLKGAHYDFVDGKFDLWNLDFNITPTLAV >EOY00481 pep chromosome:Theobroma_cacao_20110822:2:32945264:32949800:-1 gene:TCM_010364 transcript:EOY00481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase 2, CA2 isoform 2 MGSGSYEEAIAALSKLLSDKADLQSVAAAKIMQITAELEAAADPNQFDPVKRIETGFLHFKKEKYEKNPDLYGELAKGQSPKFLVFACSDSRVCPSHILDFQPGEAFMVRNIANMVPPYDKTKYSGVGAAIEYAVLHLKVENIVVIGHSCCGGIKGLMSIPDDGTTASDFIEQWVSICAPAKTKVKSECNDLSFSEQCTNCEKEAVNVSLGNLLTYPFVREAVVKKSLVLKGAHYDFVDGKFDLWNLDFNITPTLAV >EOX99891 pep chromosome:Theobroma_cacao_20110822:2:17809905:17811373:-1 gene:TCM_008874 transcript:EOX99891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLIFILFMFFSKLNCPLHVQVFMNMPLELCKARDSKSCLKLARAGKIKGFTSIDNPYEPPQNCEDNILSFFSYRNTAKRWRLPHTRCYGEGSSFLLGRERVFATSFIKLSVDLSKEVAF >EOX98302 pep chromosome:Theobroma_cacao_20110822:2:5255145:5257793:1 gene:TCM_007093 transcript:EOX98302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin delta-3 isoform 1 HLLEVLQTRGESIRSPGSRHGLIPLAIPLSENLSGAVTALLRWPTAPPGMEMPVVEVRKHGVWLLANNVDQFIHRILVEEDVHGSNGELFHASSEAGKKLYTRGDFAESQISSLDVYILKKVGLFPDVLEHKVMRHFEEGDQVRNVWFWSLVLDFECACGYANFFLSLFDNYGWKVSALVTGEFYTKKELFPGFGRPFVFNAEVLLKVGRTSEAKDSARVALKSPWWTLGCAYQDVASIAQWEDEQIEYIKEKVTEEGRQEDLKKGKAPAQVALDEAAFLLDLASIEGTWGDYVDRIAECYKEAGLNAIADFILYRD >EOX98303 pep chromosome:Theobroma_cacao_20110822:2:5255912:5257793:1 gene:TCM_007093 transcript:EOX98303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin delta-3 isoform 1 MYSLSFHCLDLSSWNCCYSHRMEMPVVEVRKHGVWLLANNVDQFIHRILVEEDVHGSNGELFHASSEAGKKLYTRGDFAESQISSLDVYILKKVGLFPDVLEHKVMRHFEEGDQVSALVTGEFYTKKELFPGFGRPFVFNAEVLLKVGRTSEAKDSARVALKSPWWTLGCAYQDVASIAQWEDEQIEYIKEKVTEEGRQEDLKKGKAPAQVALDEAAFLLDLASIEGTWGDYVDRIAECYKEAGLNAIADFILYRD >EOX98301 pep chromosome:Theobroma_cacao_20110822:2:5254037:5257667:1 gene:TCM_007093 transcript:EOX98301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin delta-3 isoform 1 MRAGVVGVVHRTPFATSLPLRHVRARVTCSSSSGHISFIKDVAATRPPENLHHLLEVLQTRGESIRSPGSRHGLIPLAIPLSENLSGAVTALLRWPTAPPGMEMPVVEVRKHGVWLLANNVDQFIHRILVEEDVHGSNGELFHASSEAGKKLYTRGDFAESQISSLDVYILKKVGLFPDVLEHKVMRHFEEGDQVSALVTGEFYTKKELFPGFGRPFVFNAEVLLKVGRTSEAKDSARVALKSPWWTLGCAYQDVASIAQWEDEQIEYIKEKVTEEGRQEDLKKGKAPAQVALDEAAFLLDLASIEGTWGDYVDRIAECYKEAGLNAIADFILYRD >EOY01161 pep chromosome:Theobroma_cacao_20110822:2:37956413:37961275:1 gene:TCM_011095 transcript:EOY01161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MKLISAALSFFTKMLVSLRSLFHINRRIPVCVRVSHPFPLFQNSRPLNFFPPSNNSIIVCPFILLTSFFYMMKFPFGTKCNSNTHIFLDDFNRESICKIIQQDQWNDPKIVTLFDSSLAPIWVSKILVGLKQEPKLALKFFKWAKTHKGFGHTSESYCILVHILFYGRMYSDASAILKEFILLRQRVVLPGCDFFDVLWSTRNVCRYGFGVFDALFSVLVDLGMLEEASQCFSKMKRYRVLPKVRSCNALLHRLSKTGRRDQSRRFFAEMIGVGVAPSVFTYNILIDYMCKEGELDTARMLFGQMKQIGLTPDIVTYNSLIDGYGKVGLLDEVIFLFEEMKSVECAPDIITYNALINCFCKFQRMPQAFEFFREMRNKGLKPNVVTYSTLIDAFCKEGMMQQGIKFLVDMRRVGLLPNVFTYTSLIDATCKAGSLTEALKLANEMLQENVDLNIVTYTTIIDGLCEAGRTKEAEEIFRAMLKAALKPNVHIYTALAHGYMKVKKMEHALNLLKEMKEKSIKPDLLLYGTIIWGLCNQDKIEETKVVMSEMKESRLSSNPVIYTTVMDSYFKAGKTAEALNLLEEMSDLGIEVTVVTFCVLVDGLCKTGLVLEAINYFNRMSEFNLQPNVAAYTVLIDGLCKNNFIQAAKNMFDEMLSKNLVPDKTAYTALIDGNLKHGNFQEALNLQNEMIEMGIELDLPAYTSLVWGFCQCGQLQQARKFLDEMIRKHILPDEILCIGVLRKYYELGHVDEAIELQNEMAKRGLITSPIHYAVPSVQP >EOY01163 pep chromosome:Theobroma_cacao_20110822:2:37956413:37960878:1 gene:TCM_011095 transcript:EOY01163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MKLISAALSFFTKMLVSLRSLFHINRRIPVCVRVSHPFPLFQNSRPLNFFPPSNNSIIVCPFILLTSFFYMMKFPFGTKCNSNTHIFLDDFNRESICKIIQQDQWNDPKIVTLFDSSLAPIWVSKILVGLKQEPKLALKFFKWAKTHKGFGHTSESYCILVHILFYGRMYSDASAILKEFILLRQRVVLPGCDFFDVLWSTRNVCRYGFGVFDALFSVLVDLGMLEEASQCFSKMKRYRVLPKVRSCNALLHRLSKTGRRDQSRRFFAEMIGVGVAPSVFTYNILIDYMCKEGELDTARMLFGQMKQIGLTPDIVTYNSLIDGYGKVGLLDEVIFLFEEMKSVECAPDIITYNALINCFCKFQRMPQAFEFFREMRNKGLKPNVVTYSTLIDAFCKEGMMQQGIKFLVDMRRVGLLPNVFTYTSLIDATCKAGSLTEALKLANEMLQENVDLNIVTYTTIIDGLCEAGRTKEAEEIFRAMLKAALKPNVHIYTALAHGYMKVKKMEHALNLLKEMKEKSIKPDLLLYGTIIWGLCNQDKIEETKVVMSEMKESRLSSNPVIYTTVMDSYFKAGKTAEALNLLEEMSDLGIEVTVVTFCVLVDGLCKTGLVLEAINYFNRMSEFNLQPNVAAYTVLIDGLCKNNFIQAAKNMFDEMLSKNLVPDKTAYTALIDGNLKHGNFQEALNLQNEMIEMGIELDLPAYTSLVWGFCQCGQLQQARKFLDEMIRKHILPDEILCIGVLRKYYELGHVDEAIELQNEMAKRGLITSPIHYAVPSVQP >EOY01162 pep chromosome:Theobroma_cacao_20110822:2:37956413:37961508:1 gene:TCM_011095 transcript:EOY01162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MKLISAALSFFTKMLVSLRSLFHINRRIPVCVRVSHPFPLFQNSRPLNFFPPSNNSIIVCPFILLTSFFYMMKFPFGTKCNSNTHIFLDDFNRESICKIIQQDQWNDPKIVTLFDSSLAPIWVSKILVGLKQEPKLALKFFKWAKTHKGFGHTSESYCILVHILFYGRMYSDASAILKEFILLRQRVVLPGCDFFDVLWSTRNVCRYGFGVFDALFSVLVDLGMLEEASQCFSKMKRYRVLPKVRSCNALLHRLSKTGRRDQSRRFFAEMIGVGVAPSVFTYNILIDYMCKEGELDTARMLFGQMKQIGLTPDIVTYNSLIDGYGKVGLLDEVIFLFEEMKSVECAPDIITYNALINCFCKFQRMPQAFEFFREMRNKGLKPNVVTYSTLIDAFCKEGMMQQGIKFLVDMRRVGLLPNVFTYTSLIDATCKAGSLTEALKLANEMLQENVDLNIVTYTTIIDGLCEAGRTKEAEEIFRAMLKAALKPNVHIYTALAHGYMKVKKMEHALNLLKEMKEKSIKPDLLLYGTIIWGLCNQDKIEETKVVMSEMKESRLSSNPVIYTTVMDSYFKAGKTAEALNLLEEMSDLGIEVTVVTFCVLVDGLCKTGLVLEAINYFNRMSEFNLQPNVAAYTVLIDGLCKNNFIQAAKNMFDEMLSKNLVPDKTAYTALIDGNLKHGNFQEALNLQNEMIEMGIELDLPAYTSLVWGFCQCGQLQQARKFLDEMIRKHILPDEILCIGVLRKYYELGHVDEAIELQNEMAKRGLITSPIHYAVPSVQP >EOY01160 pep chromosome:Theobroma_cacao_20110822:2:37956108:37961570:1 gene:TCM_011095 transcript:EOY01160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MKLISAALSFFTKMLVSLRSLFHINRRIPVCVRVSHPFPLFQNSRPLNFFPPSNNSIIVCPFILLTSFFYMMKFPFGTKCNSNTHIFLDDFNRESICKIIQQDQWNDPKIVTLFDSSLAPIWVSKILVGLKQEPKLALKFFKWAKTHKGFGHTSESYCILVHILFYGRMYSDASAILKEFILLRQRVVLPGCDFFDVLWSTRNVCRYGFGVFDALFSVLVDLGMLEEASQCFSKMKRYRVLPKVRSCNALLHRLSKTGRRDQSRRFFAEMIGVGVAPSVFTYNILIDYMCKEGELDTARMLFGQMKQIGLTPDIVTYNSLIDGYGKVGLLDEVIFLFEEMKSVECAPDIITYNALINCFCKFQRMPQAFEFFREMRNKGLKPNVVTYSTLIDAFCKEGMMQQGIKFLVDMRRVGLLPNVFTYTSLIDATCKAGSLTEALKLANEMLQENVDLNIVTYTTIIDGLCEAGRTKEAEEIFRAMLKAALKPNVHIYTALAHGYMKVKKMEHALNLLKEMKEKSIKPDLLLYGTIIWGLCNQDKIEETKVVMSEMKESRLSSNPVIYTTVMDSYFKAGKTAEALNLLEEMSDLGIEVTVVTFCVLVDGLCKTGLVLEAINYFNRMSEFNLQPNVAAYTVLIDGLCKNNFIQAAKNMFDEMLSKNLVPDKTAYTALIDGNLKHGNFQEALNLQNEMIEMGIELDLPAYTSLVWGFCQCGQLQQARKFLDEMIRKHILPDEILCIGVLRKYYELGHVDEAIELQNEMAKRGLITSPIHYAVPSVQP >EOX97205 pep chromosome:Theobroma_cacao_20110822:2:1601254:1603402:-1 gene:TCM_006295 transcript:EOX97205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MRNDGGETMVMERNSLHKRPNLSSLFQQSEPSLFSVKNSVVVEDVFPNSKSSASLASPRNSNCTTTSGEGSPYIMSPWNQPSPYNKSPWITPSPLENDFGQNGLIGSIVREEGHIYSLAASRDLLYTGSDSKNIRVWKSLKEFSGFKAKSGLVKAIIVLGDRIFTGHQDGKIRVWNVSSTNPSVHKRFGSLPTLKDIIKSSVKPKNYVEVRRKRSVLRIKHFDAVSCLSLNEELGLLYSGSWDKTLKVWLLANSKCLESIDAHDDAINSVVAGFDSLVFTGSADGTVKVWKRELQGQGTQHFLVQLLLKQENAVTALAVSQESAVLYCGSSDGLVNFWEREKHLSHGGVLRGHKMAVLCLATAGNLVFSGSADKSICVWRREQGGIHTCLSVLTGHTGPVKCLAVEEDHQTSTKADRKWIVYSGSLDKSVKVWRVSEHAPDLKEIKHGYLNGYAANDDAVMGKR >EOX98288 pep chromosome:Theobroma_cacao_20110822:2:5207423:5213393:-1 gene:TCM_007084 transcript:EOX98288 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 MQGQGGPIDSFPETVNIDEGSSPNNASIGQPNSLHNMLNPVETRLSNHTVSAGGTMHGNAVTPDVQSFSGWSSGEPSSRLRLQNQVMQDVLNHHVNDDGTKMECGWSSSFGVCAGAAPRSEERRIEPPNVFFPGRLNGRSGNQVRSGPIYLQGSSSNHIPQSVNLNEGFISSSGNGGSVVGTGLGPNLHNSGGLEREQMSNACVSSDNVGSSSGSSNYFGEESNDGSGSSLGSWGLSCKRKALEGTSGQSYSAGTSSCFQQIENAAWHNGSARNDASSSLSLSTPSRNFLNVSPPDQSNPRVGLGMRGVVADAFPSSSVRRANLGNQQESLPFSLSSTGVAGHSSFGSSTQSRSSPFSDSLDLRPTAAIAGNSCSPPTQPHMRTISFVPRNVHPFAWNNTSNSRTGNPSSSINSGERAAALRDEPNIRHIPRNNAEHPMFVPAIEMRNVAQDPTGWSLASGNISTSGGVPSSSRPGPSSSVHPLPTPAWIPPHNPSIHNQQRLSEFAPWSLFPPIDSESGGHSGHFPPLSSVPSASSQETVVPSGSNGQGNNQPYPRSAFLLERQGDDVLGMPQSLRALAADIEGRHRLISEIRQVLNAMRRGENLRIEDYMLFDPFIYHGMAETHDRHRDMRLDVDNMSYEELLALEERIGDVSTGLSEETILKLMKQQKYSSTTTESPQELEPCCICQEEYADGDDTGTLDCGHDFHTNCIKQWLMLKNLCPICKTTALLK >EOX98284 pep chromosome:Theobroma_cacao_20110822:2:5207642:5215727:-1 gene:TCM_007084 transcript:EOX98284 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 MQGQGGPIDSFPETVNIDEGSSPNNASIGQPNSLHNMLNPVETRLSNHTVSAGGTMHGNAVTPDVQSFSGWSSGEPSSRLRLQNQVMQDVLNHHVNDDGTKMECGWSSSFGVCAGAAPRSEERRIEPPNVFFPGRLNGRSGNQVRSGPIYLQGSSSNHIPQSVNLNEGFISSSGNGGSVVGTGLGPNLHNSGGLEREQMSNACVSSDNVGSSSGSSNYFGEESNDGSGSSLGSWGLSCKRKALEGTSGQSYSAGTSSCFQQIENAAWHNGSARNDASSSLSLSTPSRNFLNVSPPDQSNPRVGLGMRGVVADAFPSSSVRRANLGNQQESLPFSLSSTGVAGHSSFGSSTQSRSSPFSDSLDLRPTAAIAGNSCSPPTQPHMRTISFVPRNVHPFAWNNTSNSRTGNPSSSINSGERAAALRDEPNIRHIPRNNAEHPMFVPAIEMRNVAQDPTGWSLASGNISTSGGVPSSSRPGPSSSVHPLPTPAWIPPHNPSIHNQQRLSEFAPWSLFPPIDSESGGHSGHFPPLSSVPSASSQETVVPSGSNGQGNNQPYPRSAFLLERQGDDVLGMPQSLRALAADIEGRHRLISEIRQVLNAMRRGENLRIEDYMLFDPFIYHGMAETHDRHRDMRLDVDNMSYEELLALEERIGDVSTGLSEETILKLMKQQKYSSTTTESPQELEPCCICQEEYADGDDTGTLDCGHDFHTNCIKQWLMLKNLCPICKTTALLK >EOX98289 pep chromosome:Theobroma_cacao_20110822:2:5208209:5213381:-1 gene:TCM_007084 transcript:EOX98289 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 MQGQGGPIDSFPETVNIDEGSSPNNASIGQPNSLHNMLNPVETRLSNHTVSAGGTMHGNAVTPDVQSFSGWSSGEPSSRLRLQNQVMQDVLNHHVNDDGTKMECGWSSSFGVCAGAAPRSEERRIEPPNVFFPGRLNGRSGNQVRSGPIYLQGSSSNHIPQSVNLNEGFISSSGNGGSVVGTGLGPNLHNSGGLEREQMSNACVSSDNVGSSSGSSNYFGEESNDGSGSSLGSWGLSCKRKALEGTSGQSYSAGTSSCFQQIENAAWHNGSARNDASSSLSLSTPSRNFLNVSPPDQSNPRVGLGMRGVVADAFPSSSVRRANLGNQQESLPFSLSSTGVAGHSSFGSSTQSRSSPFSDSLDLRPTAAIAGNSCSPPTQPHMRTISFVPRNVHPFAWNNTSNSRTGNPSSSINSGERAAALRDEPNIRHIPRNNAEHPMFVPAIEMRNVAQDPTGWSLASGNISTSGGVPSSSRPGPSSSVHPLPTPAWIPPHNPSIHNQQRLSEFAPWSLFPPIDSESGGHSGHFPPLSSVPSASSQETVVPSGSNGQGNNQPYPRSAFLLERQGDDVLGMPQSLRALAADIEGRHRLISEIRQVLNAMRRGENLRIEVWFLIRAMLMLP >EOX98285 pep chromosome:Theobroma_cacao_20110822:2:5207788:5215705:-1 gene:TCM_007084 transcript:EOX98285 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 MQGQGGPIDSFPETVNIDEGSSPNNASIGQPNSLHNMLNPVETRLSNHTVSAGGTMHGNAVTPDVQSFSGWSSGEPSSRLRLQNQVMQDVLNHHVNDDGTKMECGWSSSFGVCAGAAPRSEERRIEPPNVFFPGRLNGRSGNQVRSGPIYLQGSSSNHIPQSVNLNEGFISSSGNGGSVVGTGLGPNLHNSGGLEREQMSNACVSSDNVGSSSGSSNYFGEESNDGSGSSLGSWGLSCKRKALEGTSGQSYSAGTSSCFQQIENAAWHNGSARNDASSSLSLSTPSRNFLNVSPPDQSNPRVGLGMRGVVADAFPSSSVRRANLGNQQESLPFSLSSTGVAGHSSFGSSTQSRSSPFSDSLDLRPTAAIAGNSCSPPTQPHMRTISFVPRNVHPFAWNNTSNSRTGNPSSSINSGERAAALRDEPNIRHIPRNNAEHPMFVPAIEMRNVAQDPTGWSLASGNISTSGGVPSSSRPGPSSSVHPLPTPAWIPPHNPSIHNQQRLSEFAPWSLFPPIDSESGGHSGHFPPLSSVPSASSQETVVPSGSNGQGNNQPYPRSAFLLERQGDDVLGMPQSLRALAADIEGRHRLISEIRQVLNAMRRGENLRIEVWFLIRAMLMLP >EOX98286 pep chromosome:Theobroma_cacao_20110822:2:5207642:5215705:-1 gene:TCM_007084 transcript:EOX98286 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 MQGQGGPIDSFPETVNIDEGSSPNNASIGQPNSLHNMLNPVETRLSNHTVSAGGTMHGNAVTPDVQSFSGWSSGEPSSRLRLQNQVMQDVLNHHVNDDGTKMECGWSSSFGVCAGAAPRSEERRIEPPNVFFPGRLNGRSGNQVRSGPIYLQGSSSNHIPQSVNLNEGFISSSGNGGSVVGTGLGPNLHNSGGLEREQMSNACVSSDNVGSSSGSSNYFGEESNDGSGSSLGSWGLSCKRKALEGTSGQSYSAGTSSCFQQIENAAWHNGSARNDASSSLSLSTPSRNFLNVSPPDQSNPRVGLGMRGVVADAFPSSSVRRANLGNQQESLPFSLSSTGVAGHSSFGSSTQSRSSPFSDSLDLRPTAAIAGNSCSPPTQPHMRTISFVPRNVHPFAWNNTSNSRTGNPSSSINSGERAAALRDEPNIRHIPRNNAEHPMFVPAIEMRNVAQDPTGWSLASGNISTSGGVPSSSRPGPSSSVHPLPTPAWIPPHNPSIHNQQRLSEFAPWSLFPPIDSESGGHSGHFPPLSSVPSASSQETVVPSGSNGQGNNQPYPRSAFLLERQGDDVLGMPQSLRALAADIEGRHRLISEIRQVLNAMRRGENLRIEDYMLFDPFIYHGMAETHDRHRDMRLDVDNMSYEELLALEERIGDVSTGLSEETILKLMKQQKYSSTTTESPQELEPCCICQEEYADGDDTGTLDCGHDFHTNCIKQWLMLKNLCPICKTTALLK >EOX98287 pep chromosome:Theobroma_cacao_20110822:2:5207772:5213678:-1 gene:TCM_007084 transcript:EOX98287 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 MQGQGGPIDSFPETVNIDEGSSPNNASIGQPNSLHNMLNPVETRLSNHTVSAGGTMHGNAVTPDVQSFSGWSSGEPSSRLRLQNQVMQDVLNHHVNDDGTKMECGWSSSFGVCAGAAPRSEERRIEPPNVFFPGRLNGRSGNQVRSGPIYLQGSSSNHIPQSVNLNEGFISSSGNGGSVVGTGLGPNLHNSGGLEREQMSNACVSSDNVGSSSGSSNYFGEESNDGSGSSLGSWGLSCKRKALEGTSGQSYSAGTSSCFQQIENAAWHNGSARNDASSSLSLSTPSRNFLNVSPPDQSNPRVGLGMRGVVADAFPSSSVRRANLGNQQESLPFSLSSTGVAGHSSFGSSTQSRSSPFSDSLDLRPTAAIAGNSCSPPTQPHMRTISFVPRNVHPFAWNNTSNSRTGNPSSSINSGERAAALRDEPNIRHIPRNNAEHPMFVPAIEMRNVAQDPTGWSLASGNISTSGGVPSSSRPGPSSSVHPLPTPAWIPPHNPSIHNQQRLSEFAPWSLFPPIDSESGGHSGHFPPLSSVPSASSQETVVPSGSNGQGNNQPYPRSAFLLERQGDDVLGMPQSLRALAADIEGRHRLISEIRQVLNAMRRGENLRIEDYMLFDPFIYHGMAETHDRHRDMRLDVDNMSYEELLALEERIGDVSTGLSEETILKLMKQQKYSSTTTESPQELEPCCICQEEYADGDDTGTLD >EOY00280 pep chromosome:Theobroma_cacao_20110822:2:30914940:30917833:-1 gene:TCM_010123 transcript:EOY00280 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0392 protein RCOM_0530710 MDSEQRRKRKRIYRPYSRAQFLSVRSLILCLSFLVFLLFLSSDRFPIRTVSFHPVLSVPHFSILSKSSLQDSFHGKLRLPLRVEDRVLFPDHLLLLVSSKINQADKLDCVYYKVLNDSREMIAEETVQQGVLSIDEYDGFRSIVRCPLPPLNYSAAVDLRRRGHGVAYDWSFRINQTVHSWDRMVYEAAIDGKTAVVFVKGLNLRPHKESDPAQFRCQFGLRNWDKGGGFVLMTEAVAAAQEVVRCFLPRSIRNNPDKGQGIRVTVVHVGESDAEREPMPSVLKIHNSRPYEHRRNHRGQKEDMPMPSVARLYNSKSYQKKRKRGKYELCVCTMLWNQAPALREWIMYHAWLGVERWFIYDNNSDDGIQEEIEELDFRDYNVSRHTWPWIKTQEAGFSHCALRARNECKWVGFFDVDEFYYFPRHHRRALLGQNLLRSLVANYSSSRTIAEIRTACHSFGPSGLSSPPSQGVTVGYTCRLQSPERHKSIVRPDLLKDTLLNVVHHFQLRKGFKYLNVPESSIIINHYKYQVWETFRAKFFRRVATYVVDWQENQNQGSKDRAPGLGTEAIEPPNWRLQFCEVWDTGLRDFVLANFANPATGGLPWEKALL >EOY00100 pep chromosome:Theobroma_cacao_20110822:2:25651467:25667152:-1 gene:TCM_009592 transcript:EOY00100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein, putative isoform 2 MATHNLAVILENPFNDSQFLLLKQTPPPKFGEDEYDSYVDSHLWDLPSTLLNLQENASHPGILIQGEESFSDKIDMTKFDVELGLNRVLEQVGFKVSDVGEWSLFKYVEEAEFGPGFPVNTVFVMGQLLDGNQSCQGLCKWMSTESCLSLLLEVKPCNDRLGPLVVVGLINDSLRSVARKLPPALRYQEYPPGVILVPMQSRTAKPFHTTNLVIFAPENVSDTSGDYSFVAYGDALIVDPGCRHEYHEELKKIVTCLPKKLIVLVTHHHCDHVEGLSIIQKCNPDATLLAHENTMRRIGKSDWSLGYTSVSGDEDIWVGGQRLTVIFSPGHTDGHVALLDVSTNSLIVGDHCVGQGSAALDITSGGNMTEYFQTTYKFMELSPHALISMHGRINLWPKNLLCGYLKNRRSREAHILKAIENGAETLFDIVANVYSGVDRSFWIAAASNVRLHVDHLAQQKKLPKEFSVQKFHKTWVPFFLRWTCAYLSSRFMFKCLTLKISRFLIAGTVAGIAVYLIR >EOY00102 pep chromosome:Theobroma_cacao_20110822:2:25651740:25667196:-1 gene:TCM_009592 transcript:EOY00102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein, putative isoform 2 MATHNLAVILENPFNDSQFLLLKQTPPPKFGEDEYDSYVDSHLWDLPSTLLNLQENASHPGILIQGEESFSDKIDMTKFDVELGLNRVLEQVGFKVSDVGEWSLFKYVEEAEFGPGFPVNTVFVMGQLLDGNQSCQGLCKWMSTESCLSLLLEVKPCNDRLGPLVVVGLINDSLRSVARKLPPALRYQEYPPGVILVPMQSRTAKPFHTTNLVIFAPENVSDTSGDYSFVAYGDALIVDPGCRHEYHEELKKIVTCLPKKLIVLVTHHHCDHVEGLSIIQKCNPDATLLAHENTMRRIGKSDWSLGYTSVSGDEDIWVGGQRLTVIFSPGHTDGHVALLDVSTNSLIVGDHCVGQGSAALDITSGGNMTEYFQTTYKFMELSPHALISMHGRINLWPKNLLCGYLKNRRSREAHILKAIENGAETLFDIVANVYSGVDRSFWIAAASNVRLHVDHLAQQKKLPKKFHKTWVPFFLRWTCAYLSSRFMFKCLTLKISRFLIAGTVAGIAVYLIR >EOY00101 pep chromosome:Theobroma_cacao_20110822:2:25652513:25667139:-1 gene:TCM_009592 transcript:EOY00101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein, putative isoform 2 MATHNLAVILENPFNDSQFLLLKQTPPPKFGEDEYDSYVDSHLWDLPSTLLNLQENASHPGILIQGEESFSDKIDMTKFDVELGLNRVLEQVGFKVSDVGEWSLFKYVEEAEFGPGFPVNTVFVMGQLLDGNQSCQGLCKWMSTESCLSLLLEVKPCNDRLGPLVVVGLINDSLRSVARKLPPALRYQEYPPGVILVPMQSRTAKPFHTTNLVIFAPENVSDTSGDYSFVAYGDALIVDPGCRHEYHEELKKIVTCLPKKLIVLVTHHHCDHVEGLSIIQKCNPDATLLAHENTMRRIGKSDWSLGYTSVSGDEDIWVGGQRLTVIFSPGHTDGHVALLDVSTNSLIVGDHCVGQGSAALDITSGGNMTEYFQTTYKFMELSPHALISMHGRINLWPKNLLCGYLKNRRSREAHILKAIENGAETLFDIVANVYSGVDRSFWIAAASNVRLHVDHLAQQKKLPKGFSVENFIDSLVTFESLVGAFE >EOX96833 pep chromosome:Theobroma_cacao_20110822:2:185526:187737:-1 gene:TCM_005989 transcript:EOX96833 gene_biotype:protein_coding transcript_biotype:protein_coding description:20S proteasome alpha subunit C1 isoform 1 MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGTAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKVDDHVACAVAGIMSDANILINTARVQAQRYTYAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNFGFQLYMSDPSGNYGGWKAAAIGANNQAAQSMLKQDYKDDITREEAVQLALKVLSKTMDSTSLTSEKLELAEVFLLPSGKVKYQVCSPDSLSKLLVKFGVTQPAAEAS >EOX96834 pep chromosome:Theobroma_cacao_20110822:2:185697:187661:-1 gene:TCM_005989 transcript:EOX96834 gene_biotype:protein_coding transcript_biotype:protein_coding description:20S proteasome alpha subunit C1 isoform 1 MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGTAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKVDDHVACAVAGIMSDANILINTARVQAQRYTYAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNFGFQLYMSDPSGNYGGWKAAAIGANNQAAQSMLKQDYKDDITREEAVQLALKVLSKTMDSTSLTSEKLELAEVFLLPSGKVKYQVCSPDSLSKLLVKFGVTQPAAEAS >EOX99410 pep chromosome:Theobroma_cacao_20110822:2:11033323:11035827:1 gene:TCM_008091 transcript:EOX99410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MAERRELGLPRTSACSLKEQLARTTLNNVRSQGHTYIELREDGKRFIFFCTLCLAPCYSDSVLLDHLKGSLHSGRLAAAKVTLLGTNPWPFNDGVLFFGKLNEKEKRLAGLHGNQNRLLEFHNNDDNLAIVEYVGSEVSSYRKNVNCRAGDSDLLIPGVLIKDEISDLKVRFIGFGKIAARFCEKDGVLNEISRIWCEWLGKEVPRNDDKLKAPKHGFAVVTFVYNCDLGRKGLLDDVKSLLTSGSPTGLENGDSASRKRKKSFSDPEDISESLSNQYDSSGEDSSASNITSSRLALDRYDDQLLLTRFISSKAIRRELRRQQRIAAERMCDICQQKMLPEKDVATLMNLNTGKLVCSSRNVNGAFHVFHTSCLIHWILLCEVERIENHSVNPKARRRSRRKNGAKSNDMGVHFGS >EOX99409 pep chromosome:Theobroma_cacao_20110822:2:11033323:11035937:1 gene:TCM_008091 transcript:EOX99409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MAERRELGLPRTSACSLKEQLARTTLNNVRSQGHTYIELREDGKRFIFFCTLCLAPCYSDSVLLDHLKGSLHSGRLAAAKVTLLGTNPWPFNDGVLFFGKLNEKEKRLAGLHGNQNRLLEFHNNDDNLAIVEYVGSEVSSYRKNVNCRAGDSDLLIPGVLIKDEISDLKVRFIGFGKIAARFCEKDGVLNEISRIWCEWLGKEVPRNDDKLKAPKHGFAVVTFVYNCDLGRKGLLDDVKSLLTSGSPTGLENGDSASRKRKKSFSDPEDISESLSNQYDSSGEDSSASNITSSRLALDRYDDQLLLTRFISSKAIRRELRRQQRIAAERMCDICQQKMLPEKDVATLMNLNTGKLVCSSRNVNGAFHVFHTSCLIHWILLCEVERIENHSVNPKARRRSRRKNGAKSNDMGKDGETKATGTLISSVLCPECQGTGIDVEGDELEKPDVSLSQVCISDLKTIRCCCTRKLAGVCFFQNE >EOX99406 pep chromosome:Theobroma_cacao_20110822:2:11033205:11036448:1 gene:TCM_008091 transcript:EOX99406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MAERRELGLPRTSACSLKEQLARTTLNNVRSQGHTYIELREDGKRFIFFCTLCLAPCYSDSVLLDHLKGSLHSGRLAAAKVTLLGTNPWPFNDGVLFFGKLNEKEKRLAGLHGNQNRLLEFHNNDDNLAIVEYVGSEVSSYRKNVNCRAGDSDLLIPGVLIKDEISDLKVRFIGFGKIAARFCEKDGVLNEISRIWCEWLGKEVPRNDDKLKAPKHGFAVVTFVYNCDLGRKGLLDDVKSLLTSGSPTGLENGDSASRKRKKSFSDPEDISESLSNQYDSSGEDSSASNITSSRLALDRYDDQLLLTRFISSKAIRRELRRQQRIAAERMCDICQQKMLPEKDVATLMNLNTGKLVCSSRNVNGAFHVFHTSCLIHWILLCEVERIENHSVNPKARRRSRRKNGAKSNDMGKDGETKATGTLISSVLCPECQGTGIDVEGDELEKPDVSLSQMFRYKIKVSDARRAWMKSPEMLENCSTGFHFRSQSGEMVQEKILPLKLLHFYSADKYESGTSLVG >EOX99408 pep chromosome:Theobroma_cacao_20110822:2:11033323:11035986:1 gene:TCM_008091 transcript:EOX99408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MAERRELGLPRTSACSLKEQLARTTLNNVRSQGHTYIELREDGKRFIFFCTLCLAPCYSDSVLLDHLKGSLHSGRLAAAKVTLLGTNPWPFNDGVLFFGKLNEKEKRLAGLHGNQNRLLEFHNNDDNLAIVEYVGSEVSSYRKNVNCRAGDSDLLIPGVLIKDEISDLKVRFIGFGKIAARFCEKDGVLNEISRIWCEWLGKEVPRNDDKLKAPKHGFAVVTFVYNCDLGRKGLLDDVKSLLTSGSPTGLENGDSASRKRKKSFSDPEDISESLSNQYDSSGEDSSASNITSSRLALDRYDDQLLLTRFISSKAIRRELRRQQRIAAERMCDICQQKMLPEKDVATLMNLNTGKLVCSSRNVNGAFHVFHTSCLIHWILLCEVERIENHSVNPKARRRSRRKNGAKSNDMGKDGETKATGTLISSVLCPECQGTGIDVEGDELEKPDVSLSQHVSMLMNMTSLVLSDVQI >EOX99407 pep chromosome:Theobroma_cacao_20110822:2:11033323:11035986:1 gene:TCM_008091 transcript:EOX99407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MAERRELGLPRTSACSLKEQLARTTLNNVRSQGHTYIELREDGKRFIFFCTLCLAPCYSDSVLLDHLKGSLHSGRLAAAKVTLLGTNPWPFNDGVLFFGKLNEKEKRLAGLHGNQNRLLEFHNNDDNLAIVEYVGSEVSSYRKNVNCRAGDSDLLIPGVLIKDEISDLKVRFIGFGKIAARFCEKDGVLNEISRIWCEWLGKEVPRNDDKLKAPKHGFAVVTFVYNCDLGRKGLLDDVKSLLTSGSPTGLENGDSASRKRKKSFSDPEDISESLSNQYDSSGEDSSASNITSSRLALDRYDDQLLLTRFISSKAIRRELRRQQRIAAERMCDICQQKMLPEKDVATLMNLNTGKLVCSSRNVNGAFHVFHTSCLIHWILLCEVERIENHSVNPKARRRSRRKNGAKSNDMGKDGETKATGTLISSVLCPECQGTGIDVEGDELEKPDVSLSQVCISDLKTIRCCCTRKLAGMFRYKIKVSD >EOY01758 pep chromosome:Theobroma_cacao_20110822:2:40833501:40835714:1 gene:TCM_011584 transcript:EOY01758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein isoform 2 MTRLLPLFFIFSLFFSLSSSKTLKRDVKALNEIKASLGWRVVYAWVGDDPCGDGNLPPWSGVTCSLQGDYRVVTELEVYAVSIVGPFPIAVTNLLDLTRLDLHNNKLTGPIPPQIGRLKRLKILNLRWNKLQDVLPAEIGELKSLTHLYLSFNSLKGEIPKELATLPELRYLYLHQNRFSGRIPAELGTLQKLQHLDVGNNHLVGTIRQLIRIDGCFPSLRNLYLNDNYLTGGVPAELASLTNLEILYLSNNKLSGVIPSEVAHLPRYLDHNQFA >EOY01759 pep chromosome:Theobroma_cacao_20110822:2:40833183:40835557:1 gene:TCM_011584 transcript:EOY01759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein isoform 2 MTRLLPLFFIFSLFFSLSSSKTLKRDVKALNEIKASLGWRVVYAWVGDDPCGDGNLPPWSGVTCSLQGDYRVVTELEVYAVSIVGPFPIAVTNLLDLTRLDLHNNKLTGPIPPQIGRLKRLKILNLRWNKLQDVLPAEIGELKSLTHLYLSFNSLKGEIPKELATLPELRYLYLHQNRFSGRIPAELGTLQKLQHLDVGNNHLVGTIRQLIRIDGCFPSLRNLYLNDNYLTGGVPAELASLTNLEIL >EOY01757 pep chromosome:Theobroma_cacao_20110822:2:40833183:40835969:1 gene:TCM_011584 transcript:EOY01757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein isoform 2 MTRLLPLFFIFSLFFSLSSSKTLKRDVKALNEIKASLGWRVVYAWVGDDPCGDGNLPPWSGVTCSLQGDYRVVTELEVYAVSIVGPFPIAVTNLLDLTRLDLHNNKLTGPIPPQIGRLKRLKILNLRWNKLQDVLPAEIGELKSLTHLYLSFNSLKGEIPKELATLPELRYLYLHQNRFSGRIPAELGTLQKLQHLDVGNNHLVGTIRQLIRIDGCFPSLRNLYLNDNYLTGGVPAELASLTNLEILYLSNNKLSGVIPSEVAHLPRLTSLYLDHNQFAGRIPEALYKHPFLKEMYIKGNSFRAGVNPIGVHTVLELTDSEFLV >EOY00107 pep chromosome:Theobroma_cacao_20110822:2:25863581:25865345:-1 gene:TCM_009618 transcript:EOY00107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEAVVIVPIEGEEFLTLAVGWSTPLAFIYMHGEWDLDKVKGVKVMELIVPVEGDGLVRATIRTLVDESVHASAGEKFKDYFTEPSARATTLLQGDGHLILESLAGHNQVDSTVACPRERMESYDDNPSYLESASSKFTYNKEVSVVPSFSRTNLAELKVHPLVWHRRHLNTAASIGRIISLASEKAVDMGENDGVFDDDSISVMLLDHVQCLQVKLSMPWLELPLLASFIYVKCTRSKWMWLWDCLRSLAVDIHTAWFVGGDFNTVLHSVERLNGAVPHGGCMEDFAATLLDCGLKDVGYEGNPFTWTILECFSGWIEWRNNHQWADYFSSTRIQHLNKDGSDHCPLLISCTKL >EOX97132 pep chromosome:Theobroma_cacao_20110822:2:1323359:1327317:1 gene:TCM_006229 transcript:EOX97132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHGIYCIISLLRLPLILPSPLVFVPRPTASTADSHFEGFDAEDDDAVDEEPLDHHAIRSPPLTQSDSQPTPDPETQSNSDPNPVPTSDPLPQSDLQKPSTTTFEYWDEDEFEGLPVEQPPPEPPKVTENATPDDSDPKTTPKPQETAVSKKSFMVEIICGAFLIAFVINYFTGKRENENLALAWAAKFATKGSIFEKNFSLLGVGEGEDSPLLLKEGQNVFKFYASGRRYCQGLLATMELKSRHDLISKLFNLVVPCKDEISFEVYMNEEAMDQVVFAMAKKKAAKGMQKEVRDLQRFAGLVANPSGRKWVAEELSVISESKEVAGDLITEAVLEQVFGDKAFEKYGKDFISMHFSDQHPGTHKKMLLFKFGLPDANRMADMTRLVALVPYYIDLIGRYKLSSQARSKTEAARVKAAQEAYKELQNARQEALQKKKAERKKMLEEAEAKLSADAVRKKEAKDRTRQMKKAMPRMKMTRGH >EOX99361 pep chromosome:Theobroma_cacao_20110822:2:10392845:10396877:-1 gene:TCM_007996 transcript:EOX99361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.5 MTCGLEPIVPILRWSFCNIRSTTILMEPAQAVTSNGNGSYDYDIISWFDSVSENAAMFQRETLHRILEQNYGVEYLKKWFEDIKIQEMDACALESLYTSLVPLASHADLEPYIQRIADGDTAPILTHQPITTLSLSSGTTEGRQKFVPFTRHSSQTTLQIFMLAAAYRSRVYPIRNGGRILEFIYSSKQFKTKGGLTAGTATTHYYASEEFKIKQEKTKLFTCSPEEVISDGDYKQSTYCHLLLGLFFSYQVEFITSTFAYSIVQAFASFEEHWKEICNDIKEGTLSSRITLPRMRKAVLDIISPNPSLASQIEEICEESQALDWYSLIPKLWPNAKYVYSIMTGSMQPYSKKLRHYAGTLPLVSAEYGSTESWIGVNLDPSLHPKDVTFAVIPTFSYFEFIPLYRQEQDWTSGAVDFIEDKPVPLSQVKVGQKYEIVLTTFTGLYRYRLGDVVEVAGFHNGTPKLNFICRRKLILTVNIDKNTEKDLQLVVERGSQLLSKYRAELVDFTSYADVVHQPGHYIIYWEIKGDVEERVLGECCREMDASFVDHGYVVSRRTNSIGPLELCIVERGTFKKILDHFIGNGAALSQFKTPRCTSNQMLLAILNVCTIKRFRSTAYS >EOX98309 pep chromosome:Theobroma_cacao_20110822:2:5274347:5279311:-1 gene:TCM_007096 transcript:EOX98309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) binding protein 8 MAQVQVQGQNGSVNNGAGGGNNQFVTTSLYVGDLDLSVTETQLYEYFTQMGQVVTVRVCKDLSTRRSLGYGYVNYSNPQDAARALDLLNFTLLNGKPIRIMYSNRDPSLRKSGAGNIFIKNLDKGIDHKALHDTFSAFGNILSCKVATDSSGQSKGYGFVQFDNEESAQKAIEKLNGMLLNDKQVYVGHFVRKQERDTAISKTKFNNVYVKNLSESTSDEDLKNIFGEFGTITSAVVMRELDGKSKCFGFINFENADDAARAVESLNGKKLDDKEWFVGKAQKKSEREVELKLRFEQTMKEAADKFQGANLYVKNLDDSISDENLKELFSQYGTITSCKVMRDPNGISKGSGFVAFSTPEEASRALVEMNGKMIVSKPLYVALAQRKEDRRARLQAQFSQMRPVAMPPSVAPRMPMYPPGGPGLGQQIFYGQAPPAIFPPQPGFGYQQQLVPGMRPGGAPMPNFFVPMVQQGQQGQRPGGRRAGAVQQSQQPVPLMQQQMLPRGRVYRYPPGRGLPDVSMPNVAGGMLSVPYDMGGMPMRDVPLSQPIPIGALASALANASPDQQRTMLGENLYPLVEQLEPDAAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRSVAQQQQTGEQLASLSLNDNLVS >EOY01746 pep chromosome:Theobroma_cacao_20110822:2:40786583:40789295:1 gene:TCM_011576 transcript:EOY01746 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein, putative MEQNQEKKFVCKFCHKRFPCGKSLGGHIRTHMNNENSAVAEEGAAELTINKLLCSSNARNIERAAEPEAGGQSGYVLRENPKKTKRFTDSGNSSVVKEMVCKECGKGFQSLKALCGHMACHSEKDRVFQKFEDHSGNSEKQKLIMDSQSDTETSAPSRRRRSKRIRYKTIGVYSNNSVSLANGSSSASEIEQEQEEVAMCLMMLSRDAGCKKGLNSVADSSDNNSVVLEAKSSSIDLKITIKNDTKCVSNGGDFLKMKMPRDCKLKSAKSGPSSENSDSGYFTNGPKKVESDVSVDGFLRNVGLKKLKVESGSGFEDFDAKIGKSSSNFKCMKAEFPKDLVSEVGDNQADRALTKYDLRKSAKKDYYSPEFLCNNSPKGNKYECLTCNKTFDSHRALGGHRASHTKVNDCSESIHESGEDSLANDSFPVPMTDSKVTKSSHHNKSLSTPRGSSGNAEKRLGSKKNKGHECPFCFRVFKSGQALGGHKRSHFVGGSEDRTVVIKQDTAEMPGLIDLNLPAPVEEDAMGNAGFMPW >EOY01907 pep chromosome:Theobroma_cacao_20110822:2:41329240:41330765:1 gene:TCM_011697 transcript:EOY01907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein isoform 1 MIQDLKSMAFANVPALQFKTCDVLGSNSKCLNFLPLQNRNLFVKTLVVEAKANTRTESAKIRNRRMRKKFNGNPRRPRLSVFCSDKQLYAMLVDDKNKKCLFYGSTLQKSIRDNPPCTIIEAAKRVGEKLVKACVDLNINEISYYDRNGFARGERMQAFEIAIAQHGFLPR >EOY01905 pep chromosome:Theobroma_cacao_20110822:2:41328560:41330598:1 gene:TCM_011697 transcript:EOY01905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein isoform 1 MIQDLKSMAFANVPALQFKTCDVLGSNSKCLNFLPLQNRNLFVKTLVVEAKANTRTESAKIRNRRMRKKFNGNPRRPRLSVFCSDKQLYAMLVDDKNKKCLFYGSTLQKSIRDNPPCTIIEAAKRVGEKLVKACVDLNINEISYYDRNGFARGERMQAFEIAIAQHGFLPR >EOY01906 pep chromosome:Theobroma_cacao_20110822:2:41328898:41330754:1 gene:TCM_011697 transcript:EOY01906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein isoform 1 MIQDLKSMAFANVPALQFKTCDVLGSNSKCLNFLPLQNRNLFVKTLVVEAKANTRTESAKIRNRRMRKKFNGNPRRPRLSVFCSDKQLYAMLVDDKNKKCLFYGSTLQKSIRDNPPCTIIEAAKRVGEKLVKACVDLNINEISYYDRNGFARGERMQAFEIAIAQHGFLPR >EOY02042 pep chromosome:Theobroma_cacao_20110822:2:41774377:41778843:1 gene:TCM_011794 transcript:EOY02042 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRIP and coiled-coil domain-containing protein 2, putative isoform 1 MAMQTGVGLSKILILAGAGYTGTILLKNGKLSDIIGELQSLVRGLENSGEQSDDSDALLAQVRRLSTEIRQLASARQIHVLNGDSGGKLTSLVVPVATLGALSYGYMWWKGISFSDLFWVTKRSMAIAVENLTKNLDSVSDALSAAKKHLTQRIQNLDDKMETQKEISKSIQESVEEARSNLSNIEYDLDALQRMISGLDGKIGSLEYKQDLATAGVWYLCNVVGGKKTKMPEALQDQLKLSVKSRNLLTNSGTPTPKGLKDVADIFSGSANNSGTDAIVQDGIDNLDEEPRSLQRSISAR >EOY02044 pep chromosome:Theobroma_cacao_20110822:2:41774481:41778265:1 gene:TCM_011794 transcript:EOY02044 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRIP and coiled-coil domain-containing protein 2, putative isoform 1 MAMQTGVGLSKILILAGAGYTGTILLKNGKLSDIIGELQSLVRGLENSGEQSDDSDALLAQVRRLSTEIRQLASARQIHVLNGDSGGKLTSLVVPVATLGALSYGYMWWKGISFSDLFWVTKRSMAIAVENLTKNLDSVSDALSAAKKHLTQRIQNLDDKMETQKEISKSIQESVEEARSNLSNIEYDLDALQRMISGLDGKIGSLEYKQDLATAGVWYLCNVVGGKKTKMPEALQDQLKLSVKSRNLLTNSGTPTPKGLKDVADIFSGSANNSGTDAIVQDGIDNLDEEPRSLQRFVS >EOY02043 pep chromosome:Theobroma_cacao_20110822:2:41774354:41778372:1 gene:TCM_011794 transcript:EOY02043 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRIP and coiled-coil domain-containing protein 2, putative isoform 1 MAMQTGVGLSKILILAGAGYTGTILLKNGKLSDIIGELQSLVRGLENSGEQSDDSDALLAQVRRLSTEIRQLASARQIHVLNGDSGGKLTSLVVPVATLGALSYGYMWWKGISFSDLFWVTKRSMAIAVENLTKNLDSVSDALSAAKKHLTQRIQNLDDKMETQKEISKSIQESVEEARSNLSNIEYDLDALQRMISGLDGKIGSLEYKQDLATAGVWYLCNVVGGKKTKMPEALQDQLKLSVKSRNLLTNSGTPTPKGLKDVADIFSGSANNSGTDAIVQDGIDNLDEEPRSLQREHFWRAMLLY >EOX98068 pep chromosome:Theobroma_cacao_20110822:2:4446151:4452104:-1 gene:TCM_006917 transcript:EOX98068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 2, small GTP-binding protein isoform 2 MAWRGVGKKGINASLIRALASTPLGHVARINSASTADLAVKSNLISVKCKCTPDFSFSSFLSRSRYCKVLKNEALIRYFHASSELLARKKNEEALGLKIHKKEKPRGKFVKREKKTQPPVEAPYVSKLKKSSKSLQEKTVEIFDGMTIVELAKRTGERIAALQDILINVGESVDSEFDPLSIDIAELIAMELGASVKRIHASEGAEILSRPPIVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFVVRMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMAHAKAANVPIVVAVNKCDKPAANPDRVKIQLASEGLLLEEMGGDIQVVEVSAIKKTGLDNLEEALLLQAEMMNLKARLDGLAQAYVVEARLDKGRGPLATAIVKAGTLVCGQYVVVGLEWGRIRAIRDMVGKAIEQATPATPVEIEGLKGLPMAGDDIIVVQSEERARMLSAGRKKKFDKDRLLKISSGRAEALEQSEEVPQRAEMPIIVKADVQGTVQAVTDALKTLNSPQVFVNVVHVGVGPISQSDVDLAQACGACIIGFNVKSPPSSLSMAATQAGIKILMHSVIYHLLEAIGNMIVDKAPGTFETQVAGEAEVLDIFELKGKSKAKGGDVKIAGCRVIDGCVSRSSTMRLLRSGEVVFEGSCTSLKQEQHDVEKVGKGNECGLVLCNCDNFRVGDIIQCLEQVVRKPKFISSESGVVRIEC >EOX98069 pep chromosome:Theobroma_cacao_20110822:2:4446496:4451849:-1 gene:TCM_006917 transcript:EOX98069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 2, small GTP-binding protein isoform 2 MGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFVVRMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMAHAKAANVPIVVAVNKCDKPAANPDRVKIQLASEGLLLEEMGGDIQVVEVSAIKKTGLDNLEEALLLQAEMMNLKARLDGLAQAYVVEARLDKGRGPLATAIVKAGTLVCGQYVVVGLEWGRIRAIRDMVGKAIEQATPATPVEIEGLKGLPMAGDDIIVVQSEERARMLSAGRKKKFDKDRLLKISSGRAEALEQSEEVPQRAEMPIIVKADVQGTVQAVTDALKTLNSPQVFVNVVHVGVGPISQSDVDLAQACGACIIGFNVKSPPSSLSMAATQAGIKILMHSVIYHLLEAIGNMIVDKAPGTFETQVAGEAEVLDIFELKGKSKAKGGDVKIAGCRVIDGCVSRSSTMRLLRSGEVVFEGSCTSLKQEQHDVEKVGKGNECGLVLCNCDNFRVGDIIQCLEQVVRKPKFISSESGVVRIEC >EOX97865 pep chromosome:Theobroma_cacao_20110822:2:3724512:3728286:1 gene:TCM_006788 transcript:EOX97865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein isoform 2 MSSDSRSRSRSRSRSPMDRKIRSDRFSYRNAPYRRESRRGFSQNNLCKNCKRPGHYARECPNVAICHNCNLPGHIASECTTKSLCWNCREPGHTASNCPNEGICHTCGKAGHRARDCTAPPMPPGDVRLCNNCYKPGHIAADCTNDKACNNCRRPGHLARDCTNDPICNLCNVAGHVARQCPKANIIGDRGGGGRSGGYRDHRDIVCRNCHQLGHMSRECMGPLMICHNCGGRGHMAYECPSGRYMDRYPRRY >EOX97863 pep chromosome:Theobroma_cacao_20110822:2:3724483:3728514:1 gene:TCM_006788 transcript:EOX97863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein isoform 2 MSSDSRSRSRSRSRSPMDRKIRSDRFSYRNAPYRRESRRGFSQNNLCKNCKRPGHYARECPNVAICHNCNLPGHIASECTTKSLCWNCREPGHTASNCPNEGICHTCGKAGHRARDCTAPPMPPGDVRLCNNCYKPGHIAADCTNDKACNNCRRPGHLARDCTNDPICNLCNVAGHVARQCPKANIIGDRGGGGRSGGYRDHRDIVCRNCHQLGHMSRECMGPLMICHNCGGRGHMAYECPSGRYMDRYPRRFLNGVSLDGLHPLPMVSSFESKNASPAWFSRSL >EOX97864 pep chromosome:Theobroma_cacao_20110822:2:3724460:3728283:1 gene:TCM_006788 transcript:EOX97864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein isoform 2 MSSDSRSRSRSRSRSPMDRKIRSDRFSYRNAPYRRESRRGFSQNNLCKNCKRPGHYARECPNVAICHNCNLPGHIASECTTKSLCWNCREPGHTASNCPNEGICHTCGKAGHRARDCTAPPMPPGDVRLCNNCYKPGHIAADCTNDKACNNCRRPGHLARDCTNDPICNLCNVAGHVARQCPKANIIGDRGGGGRSGGYRDHRDIVCRNCHQLGHMSRECMGPLMICHNCGGRGHMAYECPSGRYMDRYPRRY >EOX99949 pep chromosome:Theobroma_cacao_20110822:2:19197944:19200393:-1 gene:TCM_009021 transcript:EOX99949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-ACP thioesterases B MATFSCPISFPFRCSFNGNHNHNHRVDIKINGTHTGPIKLDTLNEIAAVVKADSTLANVHENGYICQEKIRQRIPTQKQLVDPYRQGLIIERGVGYRQTVVIRSYEVGPDKTATLESLLNLFQETALNHVWMSGLLSNGFGATHGMMRNNLIWVVSRMHVQVHHYPIWGEVVEIDTWVGASGKNGMRRDWLIRSQATGITYARATSTWVMMNEQTRRLSKMPEEVRGEISPWFIEKQAIKEDAPEKIVKLDDKAKYVNSDLKPKRSDLDMNHHVNNVKYVRWMLETIPDKFLESHQLSSIVLEYRRECGSSDKVQSLCQPDEDRILANGLEQSLLENIVLASGIMLGNGHLGSLGMKTYGFTHLLQIKGDSQNDEIVRGRTRWKKKQSTMPYST >EOX97201 pep chromosome:Theobroma_cacao_20110822:2:1587779:1589018:1 gene:TCM_006290 transcript:EOX97201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSALVDIWSNELGKLREKGQTLFSSDSSPISAESTQVVGSQEKSSTEVARAFVGRVMRVSSTLVPCSEGSVSMLVQCFSP >EOX98349 pep chromosome:Theobroma_cacao_20110822:2:5449146:5452358:-1 gene:TCM_007131 transcript:EOX98349 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase isoform 1 MASLKTPTLKNPPLSLSSKSRLPSAFSATFPNNIRFARSLPQRRFLIITASAASFSNKPTVLVAEKLGEAGLTLLKQFTNVDCSYNLSPEELCTKISLCDALIVRSGTKVNREVFESSGGRLKVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLAAMARNVAQADASVKAGKWQRNKYVGVSLVGKTLAVLGFGKVGSEVARRAKGLGMHVISHDPYAPADRARAIGVELVSFEVAIATADFISLHMPLTAATSKMLNDETFAKMKKGVRIVNVARGGVIDEEALVRALDAGIVAQAALDVFTEEPPKQDSKLVQHERVTVTPHLGASTMEAQEGVAIEIAEAVVGALKGELAATAVNAPMVPAEVLTELKPYVELAEKLGRLAVQLVAGGSGVKTVKVSYASSRAPDDLDTRLLRAMITKGIIEPISSVFVNLVNADYTAKQRGLRITEERIILDGSPESPLESIQVQIANVESKFASAISESGEIKVEGRVKDGIPHLTKVGSFEVDVSLEGSIILCRQVDQPGMIGRVGSILGEENVNVSFMSVGRIAPRKQAVMAIGVDEQPSKESLKKIGEVPAIEEFVFLKL >EOX98350 pep chromosome:Theobroma_cacao_20110822:2:5448774:5452319:-1 gene:TCM_007131 transcript:EOX98350 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase isoform 1 MASLKTPTLKNPPLSLSSKSRLPSAFSATFPNNIRFARSLPQRRFLIITASAASFSNKPTVLVAEKLGEAGLTLLKQFTNVDCSYNLSPEELCTKISLCDALIVRSGTKVNREVFESSGGRLKVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLAAMARNVAQADASVKAGKWQRNKYVGVSLVGKTLAVLGFGKVGSEVARRAKGLGMHVISHDPYAPADRARAIGVELVSFEVAIATADFISLHMPLTAATSKMLNDETFAKMKKGVRIVNVARGGVIDEEALVRALDAGIVAQAALDVFTEEPPKQDSKLVQHERVTVTPHLGASTMEAQVSNLLNAYIHPSFPLRCIIVIHNCCFTYLVTSPLQVLTELKPYVELAEKLGRLAVQLVAGGSGVKTVKVSYASSRAPDDLDTRLLRAMITKGIIEPISSVFVNLVNADYTAKQRGLRITEERIILDGSPESPLESIQVQIANVESKFASAISESGEIKVEGRVKDGIPHLTKVGSFEVDVSLEGSIILCRQVDQPGMIGRVGSILGEENVNVSFMSVGRIAPRKQAVMAIGVDEQPSKESLKKIGEVPAIEEFVFLKL >EOX97598 pep chromosome:Theobroma_cacao_20110822:2:2801236:2803124:1 gene:TCM_006577 transcript:EOX97598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3353) [Source:Projected from Arabidopsis thaliana (AT2G20920) TAIR;Acc:AT2G20920] MAAATLSVRPNRLTPVSPIPRPPVHLPNQTHSSLRPAKTEPWRAATIVHFRGALATRAGSRADDSAPFEMSVENALKLLGVSESASFDDILRAKNSIVASIKDDQEAIAQVEAAYDMLLMRSLTQRRAGKVVDRSIRYADVKPVNPPRMGSMPQWVQTTAKKLPVSVETPSTGELGIQAGVYGALMVLTYVNGASTSTGIPYAGPDVPGLILASSFGASLYFMTKKNVKLGKWGSKREEPKNPLWGRGAAICYKVTTLYMMLAILLQMLLWFR >EOX97595 pep chromosome:Theobroma_cacao_20110822:2:2801412:2804379:1 gene:TCM_006577 transcript:EOX97595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3353) [Source:Projected from Arabidopsis thaliana (AT2G20920) TAIR;Acc:AT2G20920] MAAATLSVRPNRLTPVSPIPRPPVHLPNQTHSSLRPAKTEPWRAATIVHFRGALATRAGSRADDSAPFEMSVENALKLLGVSESASFDDILRAKNSIVASIKDDQEAIAQVEAAYDMLLMRSLTQRRAGKVVDRSIRYADVKPVNPPRMGSMPQWVQTTAKKLPVSVETPSTGELGIQAGVYGALMVLTYVNGASTSTGIPYAGPDVPGLILASSFGASLYFMTKKNVKLGKATVITIGGLVAGAVVGSAVETWLQVDVVPFFGIHSPATVVNDGRVKSNTK >EOX97596 pep chromosome:Theobroma_cacao_20110822:2:2801412:2804379:1 gene:TCM_006577 transcript:EOX97596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3353) [Source:Projected from Arabidopsis thaliana (AT2G20920) TAIR;Acc:AT2G20920] MAAATLSVRPNRLTPVSPIPRPPVHLPNQTHSSLRPAKTEPWRAATIVHFRGALATRAGSRADDSAPFEMSVENALKLLGVSESASFDDILRAKNSIVASIKDDQEAIAQVEAAYDMLLMRSLTQRRAGKVVDRSIRYADVKPVNPPRMGSMPQWVQTTAKKLPVSVETPSTGELGIQAGVYGALMVLTYVNGASTSTGIPYAGPDVPGLILASSFGASLYFMTKKNVKLGKATVITIGGLVAGAVVGSAVETWLQVDVVPFFGIHSPATVVTMSLLLSYCIHALWNYVR >EOX97597 pep chromosome:Theobroma_cacao_20110822:2:2801412:2803900:1 gene:TCM_006577 transcript:EOX97597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3353) [Source:Projected from Arabidopsis thaliana (AT2G20920) TAIR;Acc:AT2G20920] MAAATLSVRPNRLTPVSPIPRPPVHLPNQTHSSLRPAKTEPWRAATIVHFRGALATRAGSRADDSAPFEMSVENALKLLGVSESASFDDILRAKNSIVASIKDDQEAIAQVEAAYDMLLMRSLTQRRAGKVVDRSIRYADVKPVNPPRMGSMPQWVQTTAKKLPVSVETPSTGELGIQAGVYGALMVLTYVNGASTSTGIPYAGPDVPGLILASSFGASLYFMTKKNVKLGKATVITIGGLVAGAVVGSAVETWLQVDVVPFFGIHSPATVVSEFILFSQFLVSLYLR >EOX99631 pep chromosome:Theobroma_cacao_20110822:2:12876195:12886167:1 gene:TCM_008353 transcript:EOX99631 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF GTPase-activating protein MHFAKLDDSPMFRKQVTLSPPCTSLLVFELEGRWRHCRFILLVCFSKFCSYLAELSQVIYFDCRLIQCMEESAELLRERSLKFYKGCRKYTEGLGEGYDGDIAFASALEMFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVETELNDRLLQFVNFDLLEVKEARKRFDKASLIYDQAREKFLSLRKSTKSDIANVLEEELHNARSTFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYARQSRERSNYEQAALNERMQEYKRQVDRESRWSSNGSNGSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKPSGSGSQLSGQRNSSELGSGLLGRWLSSHHHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQAPERCLPSSPMGSGHHRSASESSSFESSDFDHTAVEEYTSERNLASAHNERQSRGSQHQRSCLKNEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVISLFQSLGNTFANSVWEELLHSRSAFHVDLTLTGFYKSDKPQLLLTGKPCHSDSISVKEKFIHAKYAEKLFVRKSKDKQHPHSVAQQIWEGVRANDKKAVYRYIVNCEADVNAVYEQSLGSSLTLAKVMLLQEHANVSNSCYVAGDSLDRSSSSSFSLVATSEGQTMDDLDGCTLLHLACETADIGMLELLLQYGANINAADSRGQMPLHRCILKGKAAIAKLLITRGADPQALNSEGKTSLELAVESDFDDSEVLALLSDANG >EOX98724 pep chromosome:Theobroma_cacao_20110822:2:6850549:6854690:-1 gene:TCM_007420 transcript:EOX98724 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase II isoform 3 MADLAFRQSGSRSSFVIKNVGKSRAALISGEGDLLSYSNGNGASTNGTLFSDKSVGIEEQQDAVAFGTLSADTAPISNGFPIDNDEFDLDSPTEGFASVPEAIEDIRRGKMVVVVDDEDRENEGDLIMAAQLATPEAMAFIVKHGTGIVCVSMQEEDLERLQLPLMVNQRENEEKLRTAFTVTVDAKHGTTTGVSAHDRATTVLALASKDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASADLAMLAGLDPVAVLCEVVDDDGSMARLPKLRQFAERENLKIISIADLIRYRRKRDKLIDRAGAARIPTMWGPFTAYCYRSILDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLGLAMKQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANVELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYSGLKGYGLAIAGRVPLLTPITKENKRYLETKRAKMGHVYGLDFNGRLNSLIIGGNGNTTPADAAAES >EOX98725 pep chromosome:Theobroma_cacao_20110822:2:6851762:6854689:-1 gene:TCM_007420 transcript:EOX98725 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase II isoform 3 MADLAFRQSGSRSSFVIKNVGKSRAALISGEGDLLSYSNGNGASTNGTLFSDKSVGIEEQQDAVAFGTLSADTAPISNGFPIDNDEFDLDSPTEGFASVPEAIEDIRRGKMVVVVDDEDRENEGDLIMAAQLATPEAMAFIVKHGTGIVCVSMQEEDLERLQLPLMVNQRENEEKLRTAFTDAKHGTTTGVSAHDRATTVLALASKDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASADLAMLAGLDPVAVLCEVVDDDGSMARLPKLRQFAERENLKIISIADLIRYRRKRDKLIDRAGAARIPTMWGPFTAYCYRSILDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLGLAMKQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANVELGLPVDSREYGIGAQVLPHQSHTK >EOX98723 pep chromosome:Theobroma_cacao_20110822:2:6851072:6855934:-1 gene:TCM_007420 transcript:EOX98723 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase II isoform 3 MASLNVSSPSTAALSRPQASKSFKLFNGLHSTNLFSANGYMADLAFRQSGSRSSFVIKNVGKSRAALISGEGDLLSYSNGNGASTNGTLFSDKSVGIEEQQDAVAFGTLSADTAPISNGFPIDNDEFDLDSPTEGFASVPEAIEDIRRGKMVVVVDDEDRENEGDLIMAAQLATPEAMAFIVKHGTGIVCVSMQEEDLERLQLPLMVNQRENEEKLRTAFTVTVDAKHGTTTGVSAHDRATTVLALASKDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASADLAMLAGLDPVAVLCEVVDDDGSMARLPKLRQFAERENLKIISIADLIRYRRKRDKLIDRAGAARIPTMWGPFTAYCYRSILDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLGLAMKQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANVELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYSGLKGYGLAIAGRVPLLTPITKENKRYLETKRAKMGHVYGLDFNGRLNSLIIGGNGNTTPADAAAES >EOX98805 pep chromosome:Theobroma_cacao_20110822:2:7295705:7297379:-1 gene:TCM_007491 transcript:EOX98805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dbj:BAA96220.1 MEQEKGKGSEERWGGAIANLTEMALNLDSLQKLLLKKAVFVDEDTFAKASLTSEQARTIKVLEQRVETLEREVDAAITAAARARSEKRQAEAAQKAAELRAQEVTKELENTTKVFELHTEELRAKQEEISKRDKEIKLLEAIIQTLGGKDSRSTVG >EOY00539 pep chromosome:Theobroma_cacao_20110822:2:33334303:33338787:-1 gene:TCM_010428 transcript:EOY00539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSVVEIWNTLRQNFTQPDDTRVCNLQHTLENVSQGTRIFDLYFIEWKGIWEELRSYGPLPHCVCGRRDPNYLKKYTDRYQKDMVFKFLNGLNESFFTIRS >EOX98700 pep chromosome:Theobroma_cacao_20110822:2:6751508:6753713:1 gene:TCM_007397 transcript:EOX98700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANSHILVLAFFIALSFSGIDVALGARRLLQGTSPEFPNLPPFRGFQFPPYNGPYPEYRLPAIPGNVPPGFPSFPFFSPPPALPNNTP >EOX99241 pep chromosome:Theobroma_cacao_20110822:2:9348611:9351451:-1 gene:TCM_007844 transcript:EOX99241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frataxin MASRLPLLRRISKLLRPLPSSPVRPSRALLLLGSSRPSHSPWSFASSRRFCSDPLNLPHDSQGAAAIDYRSVLQEDEFHRIANSTIHDLQEKLEEYGDIVQIDGFDVDYGNEVLTLKLGALGTYVLNKQTPNRQIWLSSPVSGPSRFDWDFNAQAWVYRRTKANLLKLLESELEKLCGEPVNIS >EOX99522 pep chromosome:Theobroma_cacao_20110822:2:11916683:11931399:-1 gene:TCM_008214 transcript:EOX99522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like transcriptional regulator, putative isoform 4 MDSGGVSGGGGSSEGEKKKPPEGETKVKRKMKTASQLEILEKTYAMEMYPSEATRAELSVQLGLSDRQLQMWFCHRRLKDRKAPPVKRRRKDSSLPAQVVGVAGEEMGGGEAENEHGSDVSSLFGPGLHLRRAVPIPGMAVPRYYEMTHSMAELELRAITFVELQLGEPIRDDGPMLGMEFDPLPPGAFGAPIGASTAVQQKQPGQPFETKIYERLDTKAVKGSVRAVHEYQFLPEQPSVRTETYERVALSYHYGSPTDDPHARASSLSTGCSFVHGNEKVPSGYGFSGQMPNLNLLPQQSRQGHLLPTASGEYDNCSRKNSLTNTTVDAIIGAHPISALESPFVSSDRRVNLDEDALRMERKRKSEEARIAREVEAHEKRIRKELEKQDILRRKREEQIRKEMERHDRERRKEEERLLREKQREEERYQREQRRELERREKFLMKESIRAERMRQKEELRKEKEAARLKAANERAIARKLAKESMELIEDERLELMELAASSKGLSSTLSLDFEILQNLDIFRDKLCVFPPKGVQLKRSFSIEPWNSSEESIGNLLMVWRFLITFADVVGLWPFTLDELVQAFHDYDPRLLGEIHVALLRSIIKDIEDVARTPSTGLGASQNNAANPGGGHLQIVEGAYAWGFDIRSWQGHLNMLTWPEILRQFALSAGFGPQLKKRNIEQAYLRDENEGNDGEDIITNLRNGAAAENAVAIMQERGFSNPRRSRHRLTPGTVKFAAFHVLSLEDSDGLTILEVAEKIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVRSPYRKDPADAEAILSAARERIRVLKSGFVGEDAEGAERDEDSESDIAEDLEVDDLGAEINPKKEMLNSEGSSSCDAKTILGNEKEICEILETPQGEVRNVCKALSSPTAGGLDEVKYIDAPVEQSMDAAGICNGAANAGLEDTEIDESKLGEPWVQGLMEGDYSDLSVEERLNALIALISIAIEGNSIRVVLEERLEAANALKKQMWAEAQLDKRRMKEEFVLRTNFSSHMGNKMEPSLMMSSAECRQSPQIISDRKNNESSVDLVVQQECLNNPQNDQNYLNNVPSEGNMPIQDFSIGPDNLQYPQPGCAAERSRSQLKSYIGHKAEEMYVYRSLPLGQDRRHNRYWRFITSASWNDPGCGRIFVELLDGRWRLIDTEEGFDTLLSSLDVRGVRESHLHAMLQKIEMSFKEAVRRNKLHVNMERQNGDTIKKEANEMASGPDWNVSFESPSSTVSGSDSDMSETSTSFSIELCRNEIEKNDALKRYRDFEKWMWKECFSLSSFCATKYGRRRCKQLLGVCDSCFNIYFFEDNHCPSCHRTDIASRSMLNFSEHVAQCAKKLQLGPGFALDGLVISPLRIRLTKLQLALVEVSIPFEALQSAWTEGYRNFWGMKLYSSTTAEELLQVLTLLESSITRDYLSSNFETTRELLSPSILSGGVGDDSTNLETVPVLPWIPKTTAAVALRLIEFDAAISYTLKQRAETHKGAGECMFPSKDAVVKNNQDHERMQTTNRVEYLQEASWVDVGIGFSGSGRGRGRGRGRGVTRGGRSQRRPTGSRSEFGKRITTTDNEGLVPVLGWKSRSRGRGGRKRGRRSARSRPKPAKRMVEIAGERENPKEIMEKSSRNLATNTWNGDEVTRLKVRTADNASSSERSEYNDENGQATGDEYDYLAGEDYAGGFNGKADDVMEGSEYNIDGDEDDDGEERDDIAEGEQGNFIVGGYINENSDEEEIRNGDDPEDSDPYVKQYGYSTEASSDFSE >EOX99526 pep chromosome:Theobroma_cacao_20110822:2:11921296:11931012:-1 gene:TCM_008214 transcript:EOX99526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like transcriptional regulator, putative isoform 4 MDSGGVSGGGGSSEGEKKKPPEGETKVKRKMKTASQLEILEKTYAMEMYPSEATRAELSVQLGLSDRQLQMWFCHRRLKDRKAPPVKRRRKDSSLPAQVVGVAGEEMGGGEAENEHGSDVSSLFGPGLHLRRAVPIPGMAVPRYYEMTHSMAELELRAITFVELQLGEPIRDDGPMLGMEFDPLPPGAFGAPIGASTAVQQKQPGQPFETKIYERLDTKAVKGSVRAVHEYQFLPEQPSVRTETYERVALSYHYGSPTDDPHARASSLSTGCSFVHGNEKVPSGYGFSGQMPNLNLLPQQSRQGHLLPTASGEYDNCSRKNSLTNTTVDAIIGAHPISALESPFVSSDRRVNLDEDALRMERKRKSEEARIAREVEAHEKRIRKELEKQDILRRKREEQIRKEMERHDRERRKEEERLLREKQREEERYQREQRRELERREKFLMKESIRAERMRQKEELRKEKEAARLKAANERAIARKLAKESMELIEDERLELMELAASSKGLSSTLSLDFEILQNLDIFRDKLCVFPPKGVQLKRSFSIEPWNSSEESIGNLLMVWRFLITFADVVGLWPFTLDELVQAFHDYDPRLLGEIHVALLRSIIKDIEDVARTPSTGLGASQNNAANPGGGHLQIVEGAYAWGFDIRSWQGHLNMLTWPEILRQFALSAGFGPQLKKRNIEQAYLRDENEGNDGEDIITNLRNGAAAENAVAIMQERGFSNPRRSRHRLTPGTVKFAAFHVLSLEDSDGLTILEVAEKIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVRSPYRKDPADAEAILSAARERIRVLKSGFVGEDAEGAERDEDSESDIAEDLEVDDLGAEINPKKEMLNSEGSSSCDAKTILGNEKEICEILETPQGEVRNVCKALSSPTAGGLDEVKYIDAPVEQSMDAAGICNGAANAGLEDTEIDESKLGEPWVQGLMEGDYSDLSVEERLNALIALISIAIEGNSIRVVLEERLEAANALKKQMWAEAQLDKRRMKEEFVLRTNFSSHMGNKMEPSLMMSSAECRQSPQIISDRKNNESSVDLVVQQECLNNPQNDQNYLNNVPSEGNMPIQDFSIGPDNLQYPQPGCAAERSRSQLKSYIGHKAEEMYVYRSLPLGQDRRHNRYWRFITSASWNDPGCGRIFVELLDGRWRLIDTEEGFDTLLSSLDVRGVRESHLHAMLQKIEMSFKEAVRRNKLHVNMERQNGDTIKKEANEMASGPDWNVSFESPSSTVSGSDSDMSETSTSFSIELCRNEIEKNDALKRYRDFEKWMWKECFSLSSFCATKYGRRRCKQLLGVCDSCFNIYFFEDNHCPSCHRTDIASRSMLNFSEHVAQCAKKLQLGPGFALDGLVISPLRIRLTKLQLALVEVSAFFFTPLLRFEAKTL >EOX99524 pep chromosome:Theobroma_cacao_20110822:2:11918479:11931915:-1 gene:TCM_008214 transcript:EOX99524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like transcriptional regulator, putative isoform 4 MDSGGVSGGGGSSEGEKKKPPEGETKVKRKMKTASQLEILEKTYAMEMYPSEATRAELSVQLGLSDRQLQMWFCHRRLKDRKAPPVKRRRKDSSLPAQVVGVAGEEMGGGEAENEHGSDVSSLFGPGLHLRRAVPIPGMAVPRYYEMTHSMAELELRAITFVELQLGEPIRDDGPMLGMEFDPLPPGAFGAPIVGASTAVQQKQPGQPFETKIYERLDTKAVKGSVRAVHEYQFLPEQPSVRTETYERVALSYHYGSPTDDPHARASSLSTGCSFVHGNEKVPSGYGFSGQMPNLNLLPQQSRQGHLLPTASGEYDNCSRKNSLTNTTVDAIIGAHPISALESPFVSSDRRVNLDEDALRMERKRKSEEARIAREVEAHEKRIRKELEKQDILRRKREEQIRKEMERHDRERRKEEERLLREKQREEERYQREQRRELERREKFLMKESIRAERMRQKEELRKEKEAARLKAANERAIARKLAKESMELIEDERLELMELAASSKGLSSTLSLDFEILQNLDIFRDKLCVFPPKGVQLKRSFSIEPWNSSEESIGNLLMVWRFLITFADVVGLWPFTLDELVQAFHDYDPRLLGEIHVALLRSIIKDIEDVARTPSTGLGASQNNAANPGGGHLQIVEGAYAWGFDIRSWQGHLNMLTWPEILRQFALSAGFGPQLKKRNIEQAYLRDENEGNDGEDIITNLRNGAAAENAVAIMQERGFSNPRRSRHRLTPGTVKFAAFHVLSLEDSDGLTILEVAEKIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVRSPYRKDPADAEAILSAARERIRVLKSGFVGEDAEGAERDEDSESDIAEDLEVDDLGAEINPKKEMLNSEGSSSCDAKTILGNEKEICEILETPQGEVRNVCKALSSPTAGGLDEVKYIDAPVEQSMDAAGICNGAANAGLEDTEIDESKLGEPWVQGLMEGDYSDLSVEERLNALIALISIAIEGNSIRVVLEERLEAANALKKQMWAEAQLDKRRMKEEFVLRTNFSSHMGNKMEPSLMMSSAECRQSPQIISDRKNNESSVDLVVQQECLNNPQNDQNYLNNVPSEGNMPIQDFSIGPDNLQYPQPGCAAERSRSQLKSYIGHKAEEMYVYRSLPLGQDRRHNRYWRFITSASWNDPGCGRIFVELLDGRWRLIDTEEGFDTLLSSLDVRGVRESHLHAMLQKIEMSFKEAVRRNKLHVNMERQNGDTIKKEANEMASGPDWNVSFESPSSTVSGSDSDMSETSTSFSIELCRNEIEKNDALKRYRDFEKWMWKECFSLSSFCATKYGRRRCKQLLGVCDSCFNIYFFEDNHCPSCHRTDIASRSMLNFSEHVAQCAKKLQLGPGFALDGLVISPLRIRLTKLQLALVEVSIPFEALQSAWTEGYRNFWGMKLYSSTTAEELLQVLTLLESSITRDYLSSNFETTRELLSPSILSGGVGDDSTNLETVPVLPWIPKTTAAVALRLIEFDAAISYTLKQRAETHKGAGECMFPSKDAVVKNNQDHERMQTTNRVEYLQEASWVDVGIGFSGSGRGRGRGRGRGVTRGGRSQRRPTGSRSEFGKRITTTDNEGLVPVLGWKSRSRGRGGRKRGRRSARSRPKPAKRMVEIAGERENPKEIMEKSSRNLATNTWNGDEVTRLKVRTADNASSSERSEYNDENGQATGDEYDYLAGEDYAGGFNGKADDVMEGSEYNIDGDEDDDGEERDDIAEGEQGNFIVGGYINENSDEEEIRNGDDPEDSDPYVKQYGYSTEASSDFSE >EOX99525 pep chromosome:Theobroma_cacao_20110822:2:11918206:11931012:-1 gene:TCM_008214 transcript:EOX99525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like transcriptional regulator, putative isoform 4 MYPSEATRAELSVQLGLSDRQLQMWFCHRRLKDRKAPPVKRRRKDSSLPAQVVGVAGEEMGGGEAENEHGSDVSSLFGPGLHLRRAVPIPGMAVPRYYEMTHSMAELELRAITFVELQLGEPIRDDGPMLGMEFDPLPPGAFGAPIGASTAVQQKQPGQPFETKIYERLDTKAVKGSVRAVHEYQFLPEQPSVRTETYERVALSYHYGSPTDDPHARASSLSTGCSFVHGNEKVPSGYGFSGQMPNLNLLPQQSRQGHLLPTASGEYDNCSRKNSLTNTTVDAIIGAHPISALESPFVSSDRRVNLDEDALRMERKRKSEEARIAREVEAHEKRIRKELEKQDILRRKREEQIRKEMERHDRERRKEEERLLREKQREEERYQREQRRELERREKFLMKESIRAERMRQKEELRKEKEAARLKAANERAIARKLAKESMELIEDERLELMELAASSKGLSSTLSLDFEILQNLDIFRDKLCVFPPKGVQLKRSFSIEPWNSSEESIGNLLMVWRFLITFADVVGLWPFTLDELVQAFHDYDPRLLGEIHVALLRSIIKDIEDVARTPSTGLGASQNNAANPGGGHLQIVEGAYAWGFDIRSWQGHLNMLTWPEILRQFALSAGFGPQLKKRNIEQAYLRDENEGNDGEDIITNLRNGAAAENAVAIMQERGFSNPRRSRHRLTPGTVKFAAFHVLSLEDSDGLTILEVAEKIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVRSPYRKDPADAEAILSAARERIRVLKSGFVGEDAEGAERDEDSESDIAEDLEVDDLGAEINPKKEMLNSEGSSSCDAKTILGNEKEICEILETPQGEVRNVCKALSSPTAGGLDEVKYIDAPVEQSMDAAGICNGAANAGLEDTEIDESKLGEPWVQGLMEGDYSDLSVEERLNALIALISIAIEGNSIRVVLEERLEAANALKKQMWAEAQLDKRRMKEEFVLRTNFSSHMGNKMEPSLMMSSAECRQSPQIISDRKNNESSVDLVVQQECLNNPQNDQNYLNNVPSEGNMPIQDFSIGPDNLQYPQPGCAAERSRSQLKSYIGHKAEEMYVYRSLPLGQDRRHNRYWRFITSASWNDPGCGRIFVELLDGRWRLIDTEEGFDTLLSSLDVRGVRESHLHAMLQKIEMSFKEAVRRNKLHVNMERQNGDTIKKEANEMASGPDWNVSFESPSSTVSGSDSDMSETSTSFSIELCRNEIEKNDALKRYRDFEKWMWKECFSLSSFCATKYGRRRCKQLLGVCDSCFNIYFFEDNHCPSCHRTDIASRSMLNFSEHVAQCAKKLQLGPGFALDGLVISPLRIRLTKLQLALVEVSIPFEALQSAWTEGYRNFWGMKLYSSTTAEELLQVLTLLESSITRDYLSSNFETTRELLSPSILSGGVGDDSTNLETVPVLPWIPKTTAAVALRLIEFDAAISYTLKQRAETHKGAGECMGRGGRKRGRRSARSRPKPAKRMVEIAGERENPKEIMEKSSRNLATNTWNGDEVTRLKVRTADNASSSERSEYNDENGQATGDEYDYLAGEDYAGGFNGKADDVMEGSEYNIDGDEDDDGEERDDIAEGEQGNFIVGGYINENSDEEEIRNGDDPEDSDPYVKQYGYSTEASSDFSE >EOX99523 pep chromosome:Theobroma_cacao_20110822:2:11918479:11931915:-1 gene:TCM_008214 transcript:EOX99523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like transcriptional regulator, putative isoform 4 MDSGGVSGGGGSSEGEKKKPPEGETKVKRKMKTASQLEILEKTYAMEMYPSEATRAELSVQLGLSDRQLQMWFCHRRLKDRKAPPVKRRRKDSSLPAQVVGVAGEEMGGGEAENEHGSDVSSLFGPGLHLRRAVPIPGMAVPRYYEMTHSMAELELRAITFVELQLGEPIRDDGPMLGMEFDPLPPGAFGAPIGASTAVQQKQPGQPFETKIYERLDTKAVKGSVRAVHEYQFLPEQPSVRTETYERVALSYHYGSPTDDPHARASSLSTGCSFVHGNEKVPSGYGFSGQMPNLNLLPQQSRQGHLLPTASGEYDNCSRKNSLTNTTVDAIIGAHPISALESPFVSSDRRVNLDEDALRMERKRKSEEARIAREVEAHEKRIRKELEKQDILRRKREEQIRKEMERHDRERRKEEERLLREKQREEERYQREQRRELERREKFLMKESIRAERMRQKEELRKEKEAARLKAANERAIARKLAKESMELIEDERLELMELAASSKGLSSTLSLDFEILQNLDIFRDKLCVFPPKGVQLKRSFSIEPWNSSEESIGNLLMVWRFLITFADVVGLWPFTLDELVQAFHDYDPRLLGEIHVALLRSIIKDIEDVARTPSTGLGASQNNAANPGGGHLQIVEGVYAWGFDIRSWQGHLNMLTWPEILRQFALSAGFGPQLKKRNIEQAYLRDENEGNDGEDIITNLRNGAAAENAVAIMQERGFSNPRRSRHRLTPGTVKFAAFHVLSLEDSDGLTILEVAEKIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVRSPYRKDPADAEAILSAARERIRVLKSGFVGEDAEGAERDEDSESDIAEDLEVDDLGAEINPKKEMLNSEGSSSCDAKTILGNEKEICEILETPQGEVRNVCKALSSPTAGGLDEVKYIDAPVEQSMDAAGICNGAANAGLEDTEIDESKLGEPWVQGLMEGDYSDLSVEERLNALIALISIAIEGNSIRVVLEERLEAANALKKQMWAEAQLDKRRMKEEFVLRTNFSSHMGNKMEPSLMMSSAECRQSPQIISDRKNNESSVDLVVQQECLNNPQNDQNYLNNVPSEGNMPIQDFSIGPDNLQYPQPGCAAERSRSQLKSYIGHKAEEMYVYRSLPLGQDRRHNRYWRFITSASWNDPGCGRIFVELLDGRWRLIDTEEGFDTLLSSLDVRGVRESHLHAMLQKIEMSFKEAVRRNKLHVNMERQNGDTIKKEANEMASGPDWNVSFESPSSTVSGSDSDMSETSTSFSIELCRNEIEKNDALKRYRDFEKWMWKECFSLSSFCATKYGRRRCKQLLGVCDSCFNIYFFEDNHCPSCHRTDIASRSMLNFSEHVAQCAKKLQLGPGFALDGLVISPLRIRLTKLQLALVEVSIPFEALQSAWTEGYRNFWGMKLYSSTTAEELLQVLTLLESSITRDYLSSNFETTRELLSPSILSGGVGDDSTNLETVPVLPWIPKTTAAVALRLIEFDAAISYTLKQRAETHKGAGECMKFPSKDAVVKNNQDHERMQTTNRVEYLQEASWVDVGIGFSGSGRGRGRGRGRGVTRGGRSQRRPTGSRSEFGKRITTTDNEGLVPVLGWKSRSRGRGGRKRGRRSARSRPKPAKRMVEIAGERENPKEIMEKSSRNLATNTWNGDEVTRLKVRTADNASSSERSEYNDENGQATGDEYDYLAGEDYAGGFNGKADDVMEGSEYNIDGDEDDDGEERDDIAEGEQGNFIVGGYINENSDEEEIRNGDDPEDSDPYVKQYGYSTEASSDFSE >EOY00494 pep chromosome:Theobroma_cacao_20110822:2:33001237:33004351:-1 gene:TCM_010375 transcript:EOY00494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MLGTPLLSVSFPFGGYKIARNILICDSEIRIHGEVAMSNQLKWVNHANASGSRSSHDTMGTQVLISVLGSLIELSISKHVRFFGLFSCQYFAISKHHRVSNNSIQCTLAPEVMTADSYAKANVDKWYLKTFGKDLHNLPFPISLSTFIPRIQAIPLVSDSNSQPSLDGSSSGSNPLIEHPPFALGSAYISQYEQLKQLIGTYHGTKRLRCSKNVFEQEAGFIVDRKNKTVKDIMRTTKHLGKKNYHSKNLVTERNRRKKIKDGLFKLRALVPKISKVDRTTILIDVIEYIGTLLEEEKKLQEELRKIEEEDCKKSNAELKCAKLDRLHKDNMSAVTSGLAKMAKDKVHVEVNQITKREFLIKLCYELKQGGFAKLMEGIDSLGLQVIDANVTTFNGKVLNIFKVEVRLSRWLTSIEIEC >EOX99198 pep chromosome:Theobroma_cacao_20110822:2:8993288:8995571:1 gene:TCM_007798 transcript:EOX99198 gene_biotype:protein_coding transcript_biotype:protein_coding description:3R-linalool synthase MHGEDVMEEAKKFSAEHLKSSLGKIGSNLAFQVQQSLQVPLHWKMPRIEARTFIDVYQKDDSKNSILIELAKLDFNLVQAVHQQELKELATWWRDLGFKEKMSFSRDRLMENYIWAMGIIFEPQFSKCRIYLTRFVCILTAIDDMYDVYGMLDELELFTRAVNQWDIGAMKDLPEYMKPCYLALFNFVNELGHDILIDHGLDITHYIRQEWKKLCASYLTEARWFYNGHTPVLEEYLENAWISVGGPAAIVHAYILQAGSMTEKSLDYCFKQGGELIYWSSLITRLADDLGTSKAESARGDVAKSTECYMLETGASKEEARDHIKELMAHSWKKLNEESYKNLLPRSMINMCLNMARTAQCIFQHGDGIGTSTGVTKDLLISLIAEPITAE >EOY01495 pep chromosome:Theobroma_cacao_20110822:2:39680032:39687489:1 gene:TCM_011364 transcript:EOY01495 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease, putative MGNKKEKMKILLTIASVAAISIFFTAQLYRRRRRLKRPQSCCYLHSEPKPQQSFKRVLADNSYSPFNHFNRQTDSVNEKSSSLTHPYEAEITALMENPQLLFLKTAIDYFDTKMGMQMNDSYVWVETESQLSQLAQVLSKEQAFAVDTEQHSLRSFLGFTALIQISTEKEDYLVDTIALHDAMGILRPVFADPNICKVFHGADGDIVWLQRDFHIYVVNLFDTAKACDVLSKPQRSLAYLLETYCGVAKNKILQREDWRQRPLSEEMVQYACTDAHYLLYIANCLISELKQQDHEYSSCPDDKFNFVLEASRRSNMICLQLYAKEIEDFPGESAALSILSRQLNSQGGAPSTSGETKFQGLVRRLCAWRDLMARVHDESTRYILSDYAIVALSERVPTTQADIYDTIIQADLNIDSSNLSSSLPSPSPLVCSHWIDVHQLIQDNSSNLDKIFPVILQICLGPNGSCPLSLFNYALLMSSSLKLETRIVSKQNGFKNPKQVAKKASRELFIQKFSCKSPVYHNCRIYANDGRLLCYCDRRKLEWYLCRDLAKLVEDDPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRIHFPEHLKSHRSHDIVLLCVDCHEVAHAAAEKHKKQIAGEFGIPLYVRKVVDSNQAPIISGSSDSMNSKDSGVSPLQLRTAAKALLRHGPEMPPSRREELTQIVMRYYGGREISKEDLERALVVGMSPHERRRLEKKKGLSLKHSTRILPDKKQENNNVIKMISTTSDPPEVDNPDGSDFTADVSYATRVDTLKEDMDFCIVTDTDTSNPPTCSDFGVVTVSTTVYNGVNSHSTEISDAKSVCVVISDGNICERSTQNDIVDSSCAGYDGNIPLKHNSKLSLLGHGPHGKQVVDHILNEYGEEGIRQFCQRWRQVFVEAVRPSFLPAGWDVLHSGKREFGEFSVYKPDKKGAD >EOY01290 pep chromosome:Theobroma_cacao_20110822:2:38833133:38835451:1 gene:TCM_011228 transcript:EOY01290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 17 MVTMASMASHFRTRAMDLRCLAVVFCAVLVPSFAELQRFEHPIKSDGSLSFLVVGDWGRKGLYNQSEVAFQMGRIGERLDIDFVISTGDNFYDNGLKGVYDPAFRESFTKVYTAKSLQKQWYSVLGNHDYRGDAVAQLSPILRKIDKRWLCLRSFILDTEIVEFIFVDTTPFVDSYFVEPDHVYDWRGVEPRRTYVSHLLEDVELALRKSTAKWKIVVGHHAIRSVGHHGDTPELIKYLLPILKANNVDLYINGHDHCLEHITSLDSSIQYLTSGGGSKAWRGDIEHRDNDALKFVYDGQGFMSMKMTQTSAAFAFYDVVGKVLHKWKIPKQLHSAV >EOY00887 pep chromosome:Theobroma_cacao_20110822:2:35687889:35691321:-1 gene:TCM_010806 transcript:EOY00887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein isoform 2 MASWRTASQFLVLLFLLLLTKSMFLQAAESNYSSVNIGSCIEWERKALLEFRKGLKDPSGWLSSWVGEDCCNWTGVSCSNKTGNVVKLDLQSPDVCSSVGESPADNDRSCLGGTLNPSLLNLTYLSYLDMSWNNFQAIPVPEFIGSLKNLRYLDLSEASFNGKVPPSLGNLSNLEYLDLSMYLFPLRLWASDLNWLFGLSCIKYLDLGNMNLSKAATNWLQAVNMLPSLTEFHLSICELNGFPESLTFVNFTSLAVLDLSFNNFSSSIPSWLFNISTLKEVDLYSCEFKGSIPKVSRGSLCNLWWMDLSDNVISGEINEFIEALSGCSNNTLDYLDLSANNLEGNLPESLGFLKYLDYLQLAQNSFSGSLPRSIGNLSSLTVLDLSFNLMNGTILESIGQLTRLNVLNLYGNSWEGIITENLFQNLSRLSSFYLSSMSKSVIFNLRRDWIPSFSLDCIVVSDCQLGPAFPSWLRTQVDVSELTLSSAGISDAIPDWFWSLTSRLWWVDLSDNQLRGKLPYSVSFVNDIAVQVDLGFNLLEGSIPLWPNVTDLSLRNNFFSGPIPSNIGQAMSKVENLDLSRNFLKGSIPSSINKMENLSFLDLSSNDLSGIIPSRLQGLRNLMVLDLSKNNLSGGVPSSLCSLTSLIFLKLSSNNLSGELSTTLQNCSGLLSIDLGENRFSGTILDLVSDNLFSLSYLGLRANILTGSIPEQLCKFPNLHIIDLAQNNLSGAIPKCLGNLEAFTDLGPYFDEPPSTEHISFSEHVEIVSKGRKYEYSKIIPLVNTLDLSSNNLVGEIPDHITELSALGTLNLSWNHLTGKIPENIANLQRLETLDFSHNNLSGPIPPSMSSMTLLNYLNLSFNNL >EOY00886 pep chromosome:Theobroma_cacao_20110822:2:35687862:35696865:-1 gene:TCM_010806 transcript:EOY00886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein isoform 2 MKLRWGKKEPIKLNFTESNYSSVNIGSCIEWERKALLEFRKGLKDPSGWLSSWVGEDCCNWTGVSCSNKTGNVVKLDLQSPDVCSSVGESPADNDRSCLGGTLNPSLLNLTYLSYLDMSWNNFQAIPVPEFIGSLKNLRYLDLSEASFNGKVPPSLGNLSNLEYLDLSMYLFPLRLWASDLNWLFGLSCIKYLDLGNMNLSKAATNWLQAVNMLPSLTEFHLSICELNGFPESLTFVNFTSLAVLDLSFNNFSSSIPSWLFNISTLKEVDLYSCEFKGSIPKVSRGSLCNLWWMDLSDNVISGEINEFIEALSGCSNNTLDYLDLSANNLEGNLPESLGFLKYLDYLQLAQNSFSGSLPRSIGNLSSLTVLDLSFNLMNGTILESIGQLTRLNVLNLYGNSWEGIITENLFQNLSRLSSFYLSSMSKSVIFNLRRDWIPSFSLDCIVVSDCQLGPAFPSWLRTQVDVSELTLSSAGISDAIPDWFWSLTSRLWWVDLSDNQLRGKLPYSVSFVNDIAVQVDLGFNLLEGSIPLWPNVTDLSLRNNFFSGPIPSNIGQAMSKVENLDLSRNFLKGSIPSSINKMENLSFLDLSSNDLSGIIPSRLQGLRNLMVLDLSKNNLSGGVPSSLCSLTSLIFLKLSSNNLSGELSTTLQNCSGLLSIDLGENRFSGTILDLVSDNLFSLSYLGLRANILTGSIPEQLCKFPNLHIIDLAQNNLSGAIPKCLGNLEAFTDLGPYFDEPPSTEHISFSEHVEIVSKGRKYEYSKIIPLVNTLDLSSNNLVGEIPDHITELSALGTLNLSWNHLTGKIPENIANLQRLETLDFSHNNLSGPIPPSMSSMTLLNYLNFCSSPRNGYGEDKNGDLEGEDRSEKLWFYASMALGFAIGFWVVCGSLVIKRSWRRAYFKFVDEMKDRLFVVIAVSIARFRKKVVGGS >EOY01750 pep chromosome:Theobroma_cacao_20110822:2:40797917:40798260:1 gene:TCM_011579 transcript:EOY01750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLKSHQHEQIATPIMVLVNGNGIALSEGMNLDQKTDFIKKWKMFPRLCYQKGAFPGNILALCLLLT >EOY00662 pep chromosome:Theobroma_cacao_20110822:2:34376839:34381458:-1 gene:TCM_010576 transcript:EOY00662 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein MLMASLFPILVTTIFAISLFSLTPSLVLARKPCHFPAIFNFGDSNSDTGGLSAAFGQAGPPNGESFFGGPAGRYSDGRLVIDFIAQSLGLPYLSAFLDSVGTNFTHGANFATAGSTIRPQNTTLQQSGFSPISLNVQYYEFHDFLVRSQVVRKRGGVYETLLPKEEDFSNALYTFDIGQNDLTAGYFSNMSTEEVKAYVPDVLNQFQTIIQYIYGQGGRYFWIHNTGPVGCLPYVMERIPVLAGQIDENGCASPFNEVAQFFNLGLKKTVEQLRKDLPLAAITYVDVYSVKYSLISQGRKHGFVQPLRTCCGHGGKYNYNKNLGCGGKISKHGKEVLVGAPCKDPSTYVNWDGVHFTQAANQYIFEHIVNGSFSDPPIPLNMACQRKGN >EOX97139 pep chromosome:Theobroma_cacao_20110822:2:1361997:1365859:1 gene:TCM_006238 transcript:EOX97139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MQTSQNRAPRTFVDYDSISQAMDSIRGLYERKLKKLNPATQNITYDIGVLYNFIDGLADMIALIQKGHFKWMPFHHVIKDCDSRRTFTRPWSH >EOX97138 pep chromosome:Theobroma_cacao_20110822:2:1362080:1364725:1 gene:TCM_006238 transcript:EOX97138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MQTSQNRAPRTFVDYDSISQAMDSIRGLYERKLKKLNPATQNITYDIGVLYNFIDGLADMIALIQKGHFKWMPFHHVIKDCDSRRTFTRPWSH >EOY00760 pep chromosome:Theobroma_cacao_20110822:2:34919090:34920293:1 gene:TCM_010681 transcript:EOY00760 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MKTAKTKLPIVKYFLLSLSLSFLLLFLFFSLRPRRTQSPLTTTTTNRPSSSAVSSSEDLKIRPGYTSYDSYIQRQLNKTLNPKLRKVWTTRDWDRKIQVFSRFFHELKLQNLLSNSSKTLCIGARVGQEVEALKRVGVSDSIGIDLVPCPPLVLKGDFHNQPFDNETFDFEFSNVFDHALYPHKFVAEIERTLKPDGVCVLHVALSKRADKYSANDLYSVKPLVKLFQRSELVHVRRVDGFGLDTEVVFRKKNAIQGS >EOY00176 pep chromosome:Theobroma_cacao_20110822:2:28376870:28378471:-1 gene:TCM_009873 transcript:EOY00176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain 1A MASSIVSSATIGSVNRASPAQGSMVAPFSSLKSALAFPPNNDITSLASNGGSIQCMQLWPPLGKKFETLSYLPDLTSGQLGKEVDCLLRSHWIPCSEFELEHGFVYRENHRSPGYYDGRYWTMWKRPIFG >EOX98260 pep chromosome:Theobroma_cacao_20110822:2:5092847:5096012:-1 gene:TCM_007064 transcript:EOX98260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid 8'-hydroxylase 4 isoform 3 METVSILLYVILFLSTLLSYPFLRKQKRGLIPMNRFKLPPGSMGWPYVGETLQLYSQDPNIFFSTKQKRYGEIFKTHILGCPCVMLASPEAARFVLVTHARLFKPTYPKSKEKMIGPYALFFHQGEYHTRLRKLVQSSLAPDTIRKLIPGIEDIAVSALESWAGSGQVINTFYEMKKTAYHKALLARKRLNQILGEIICERKEKGLVEKDLLGHLLNFKDEKGQTLTEDKIADNIIGVLFAAQDTTASVITWILKFLRDDPRLLEAVKAEQKAIYDSNDGGKRPLTWAQTRSMPLTCNELAKLEALILIHHLVTKFRWEVVGSQSGIQYGPFPVPQQGLPTRFWKDSSRDVCPLVTDLGKNSSSIAATKLFCS >EOX98258 pep chromosome:Theobroma_cacao_20110822:2:5092463:5095836:-1 gene:TCM_007064 transcript:EOX98258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid 8'-hydroxylase 4 isoform 3 METVSILLYVILFLSTLLSYPFLRKQKRGLIPMNRFKLPPGSMGWPYVGETLQLYSQDPNIFFSTKQKRYGEIFKTHILGCPCVMLASPEAARFVLVTHARLFKPTYPKSKEKMIGPYALFFHQGEYHTRLRKLVQSSLAPDTIRKLIPGIEDIAVSALESWAGSGQVINTFYEMKKFSFNVGILSIFGHLDRGYREKLKENYRIVDKGYNSFPTNIPGTAYHKALLARKRLNQILGEIICERKEKGLVEKDLLGHLLNFKDEKGQTLTEDKIADNIIGVLFAAQDTTASVITWILKFLRDDPRLLEAVKAEQKAIYDSNDGGKRPLTWAQTRSMPLTYRVVLESLRMASIISFTFREAVVDVEYKGYLIPKGWKVMPLFRNIHHNPEFFSDPHDFDPSRFEVAPKPNTFMPFGNGVHACPGNELAKLEALILIHHLVTKFRWEVVGSQSGIQYGPFPVPQQGLPTRFWKDSSRDVCPLVTDLGKNSSSIAATKLFCS >EOX98259 pep chromosome:Theobroma_cacao_20110822:2:5093111:5095650:-1 gene:TCM_007064 transcript:EOX98259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid 8'-hydroxylase 4 isoform 3 METVSILLYVILFLSTLLSYPFLRKQKRGLIPMNRFKLPPGSMGWPYVGETLQLYSQDPNIFFSTKQKRYGEIFKTHILGCPCVMLASPEAARFVLVTHARLFKPTYPKSKEKMIGPYALFFHQGEYHTRLRKLVQSSLAPDTIRKLIPGIEDIAVSALESWAGSGQVINTFYEMKKTAYHKALLARKRLNQILGEIICERKEKGLVEKDLLGHLLNFKDEKGQTLTEDKIADNIIGVLFAAQDTTASVITWILKFLRDDPRLLEAVKAEQKAIYDSNDGGKRPLTWAQTRSMPLTYRVVLESLRMASIISFTFREAVVDVEYKGYLIPKGWKVMPLFRNIHHNPEFFSDPHDFDPSRFEVAPKPNTFMPFGNGVHACPGNELAKLEALILIHHLVTKFRWEVVGSQSGIQYGPFPVPQQGLPTRFWKDSSRDVCPLVTDLGKNSSSIAATKLFCS >EOY01567 pep chromosome:Theobroma_cacao_20110822:2:40070731:40073764:1 gene:TCM_011427 transcript:EOY01567 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein isoform 2 MIFSSMSKANVRRHILEKNRSPKEKEKPAQSVLSKHLKKIYPIGLQRSTSSLSLSSLSLSLSQNSNDSSLTDHSSTPLEQKISLALSLIAPHHERREFVVPVVKSVQHHHHQQQQQPSQDPGSGELRRCNWVTKNSQLFELLALSGMLMDYNWTEILKRKELYREAFSGFDPEIVAKMGDKEINEISSDKAIMLAESRVRCIVDNAKCILKIVREYGSFSSFMWGYVNYKPTINRYKYPRNVPLRTPKAEAISRDLLKRGFRFVGPVIVCSFMQAAGLTIDHLVDCFRYSECVGLAERPWRHI >EOY01566 pep chromosome:Theobroma_cacao_20110822:2:40070762:40073853:1 gene:TCM_011427 transcript:EOY01566 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein isoform 2 MIFSSMSKANVRRHILEKNRSPKEKEKPAQSVLSKHLKKIYPIGLQRSTSSLSLSSLSLSLSQNSNDSSLTDHSSTPLEQKISLALSLIAPHHERREFVVPVVKSVQHHHHQQQQQPSQDPGSGELRRCNWVTKNSDKVYVSFHDEQWGVPVYDDNQLFELLALSGMLMDYNWTEILKRKELYREAFSGFDPEIVAKMGDKEINEISSDKAIMLAESRVRCIVDNAKCILKIVREYGSFSSFMWGYVNYKPTINRYKYPRNVPLRTPKAEAISRDLLKRGFRFVGPVIVCSFMQAAGLTIDHLVDCFRYSECVGLAERPWRHI >EOY00810 pep chromosome:Theobroma_cacao_20110822:2:35342504:35379004:1 gene:TCM_010745 transcript:EOY00810 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRP72 RNA-binding domain isoform 2 MAPKPKEKPKAAPSPSQPPPPIEDLFTSLNRHIQRSEFTQAVKVANQVAPGDEDAIRCKVVALIKGDNIEEALSAIQSAQKVSFDFSFYKAYCLYRQNKLDEALVFLEKQDKTHSSMLLEAQILYRLGKMDACVDICRNLQRAKIDSLEINLVAGLISAGRVSEVQGTLDTLKVKATSSFELAYNIACSLIEGNKHKDAEQLLLTARRIGQETLTEENLADDDIEIELAPIAVQLAYVQQLLGHTQEAVGAYTDIVNRNLADEPSLAVAVNNLIAMKGPKDISDSLRKLDRLKEKDSQKFQLAHAIDLKLSPKQKETIYANRVLLLIHANKMDQARELVAFLPQLFPDSVMPVLLQAAVLVRENKAGKAEEMLGQFAEKFPEKSKIIFLARAQVAAAAGHHQIAAESLAKVPDIQHMPATVATLVALKERAGDINGAAAVLDSAIKWWKSAMTEDNQLSVIMQEAASFKLRHGKEEDAALLYEELVKSHGSIEALVGLITTVAHVNVDKAEAYEKQLKPLPGLNGVDVDGLERTSGAKHVEDAPHGGLAEAQEDGKIKEKSKKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAAQVRGSQGAVVREKSEASASATNSNSSNLKSNQATSSKGVSQNAEPSRPQSKSSRKKSRN >EOY00809 pep chromosome:Theobroma_cacao_20110822:2:35342504:35378887:1 gene:TCM_010745 transcript:EOY00809 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRP72 RNA-binding domain isoform 2 MAPKPKEKPKAAPSPSQPPPPIEDLFTSLNRHIQRSEFTQAVKVANQVLSVAPGDEDAIRCKVVALIKGDNIEEALSAIQSAQKVSFDFSFYKAYCLYRQNKLDEALVFLEKQDKTHSSMLLEAQILYRLGKMDACVDICRNLQRAKIDSLEINLVAGLISAGRVSEVQGTLDTLKVKATSSFELAYNIACSLIEGNKHKDAEQLLLTARRIGQETLTEENLADDDIEIELAPIAVQLAYVQQLLGHTQEAVGAYTDIVNRNLADEPSLAVAVNNLIAMKGPKDISDSLRKLDRLKEKDSQKFQLAHAIDLKLSPKQKETIYANRVLLLIHANKMDQARELVAFLPQLFPDSVMPVLLQAAVLVRENKAGKAEEMLGQFAEKFPEKSKIIFLARAQVAAAAGHHQIAAESLAKVPDIQHMPATVATLVALKERAGDINGAAAVLDSAIKWWKSAMTEDNQLSVIMQEAASFKLRHGKEEDAALLYEELVKSHGSIEALVGLITTVAHVNVDKAEAYEKQLKPLPGLNGVDVDGLERTSGAKHVEDAPHGGLAEAQEDGKIKEKSKKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAAQVRGSQGAVVREKSEASASATNSNSSNLKSNQATSSKGVSQNAEPSRPQSKSSRKKSRN >EOX99901 pep chromosome:Theobroma_cacao_20110822:2:17997898:18001619:1 gene:TCM_008895 transcript:EOX99901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIVYSELMGISSKACSGFGGFTRKSWMSIMIRIELPFLVHIKNTTFPIEIVHSDVRGPYAIPTIKEHEGEFNLIEFYEKHGIVHQLSCVKASQQNGVVERKHQYLLVVARALMFQSSLPICFWGETILIVTHIINKISTKFLHDKSSYELLFSKPPSYDHLKVFGSYALYPLKHKTKGNLIKKTIKCVFVRYPSGIKVYNVYDISANKFLISRNIVFHEHIFPFQTSENTIDHHSFPQTLGVHDFNFDISAPNVVNFTPNLHSSSETSSCTPIPSPETSSPSKFIDISQNSIESILEHSLASSVSAPINLITDIISLPRKSCRPRHVPKYFETYHIDLPSTSYHVTSHLITKILSFGCKLMFKVKINANGTMERYKARLVAKGYNQVEGFYYQETFSPITKQTTIKVFMATVAIKRDFIALLVYVDDIVIASINAQLTNQEKKYLSSQFKLRDLGTVKYFLRFEIARGPKGISICQKNYTLDLLDEYGLLGTKLVTTPIDYSHKLIKDIDRIEVANPTRYK >EOY00751 pep chromosome:Theobroma_cacao_20110822:2:34884904:34889718:-1 gene:TCM_010675 transcript:EOY00751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein isoform 1 MDATTSGTPTIQYHNIPDQPITAIVAAPVPTFQRQVRHCFGDSTPGEFPLAANPSIVLHVLTACNLDPQDLAKLEATCSFFRQPANFAPDYELSISELAALDMCQKRAIFKPMTDEERQNLKQRCGESWKLVLRFLLAGEACCRREKSQAIAGPGHSIAVTSTGVVYSFGSNSSGQLGHGTTEEEWRPRQIRSLQGIRIIQASAGAGRTMLISDAGRVYAFGKDSFGEAEYGVQGTKLVTTPQPVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGKDSKLGHQTEPNDVEPQPLLGALENIPVVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGSRTDEKHPRLIEQFQLLNLQPVVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSKVKAVHVATGDYTTFVVSDDGDVYSFGCGESASLGHNSVAEGQGNRHANVLSPELVTSLKQVNERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELVNNQTERGNPERVDIDLN >EOY00752 pep chromosome:Theobroma_cacao_20110822:2:34884946:34889718:-1 gene:TCM_010675 transcript:EOY00752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein isoform 1 MCQKRAIFKPMTDEERQNLKQRCGESWKLVLRFLLAGEACCRREKSQAIAGPGHSIAVTSTGVVYSFGSNSSGQLGHGTTEEEWRPRQIRSLQGIRIIQASAGAGRTMLISDAGRVYAFGKDSFGEAEYGVQGTKLVTTPQPVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGKDSKLGHQTEPNDVEPQPLLGALENIPVVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGSRTDEKHPRLIEQFQLLNLQPVVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSKVKAVHVATGDYTTFVVSDDGDVYSFGCGESASLGHNSVAEGQGNRHANVLSPELVTSLKQVNERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELVNNQTERGNPERVDIDLN >EOX98761 pep chromosome:Theobroma_cacao_20110822:2:7140709:7149440:-1 gene:TCM_007464 transcript:EOX98761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGIMENSPTAIAPLLLRNMVTSIFIYADKSLLNLAEKYKLLELIRAVVITFFLFFLRLLPSLIPSTLHPQADHYTFKHPQNHSYVAAAYGVGDSGIARALSQLLSIVNDIPVSSRKYEIVRSLAERLIEENRKEDVEALREVNRTVLSAAFARTLRQLEAAMVELGQDTVGLDAPEPGPVRYRLNRVLRAIRSVGDGVWSRVGMGREDVNRSGNSAQKLAAELLWLAQKLAACGFEEEAVERWASTSKMAWLSLSAEPRVQCSLVKVSAFLFKQAKDMGLEVEETEEGNKENLRQTKLKMLTSWLPLLCRASNGTDAPVLSISERAELEKLLEETIEMLEQEEQEQVLSLWLHHFTHSPSSDWPNLHESYARWCTTSRKLLLLH >EOX98764 pep chromosome:Theobroma_cacao_20110822:2:7063223:7149422:-1 gene:TCM_007464 transcript:EOX98764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGIMENSPTAIAPLLLRNMVTSIFIYADKSLLNLAEKYKLLELIRAVVITFFLFFLRLLPSLIPSTLHPQADHYTFKHPQNHSYVAAAYGVGDSGIARALSQLLSIVNDIPVSSRKYEIVRSLAERLIEENRKEDVEALREVNRTVLSAAFARTLRQLEAAMVELGQDTVGLDAPEPGPVRYRLNRVLRAIRSVGDGVWSRVGMGREDVNRSGNSAQKLAAELLWLAQKLAACGFEEEAVERWASTSKMAWLSLSAEPRVQCSLVKVSAFLFKQAKDMGLEVEETEEGNKENLRQTKLKMLTSWLPLLCRASNGTDAPVLSISERAELEKLLEETEEGNKENL >EOX98762 pep chromosome:Theobroma_cacao_20110822:2:7062449:7149214:-1 gene:TCM_007464 transcript:EOX98762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGIMENSPTAIAPLLLRNMVTSIFIYADKSLLNLAEKYKLLELIRAVVITFFLFFLRLLPSLIPSTLHPQADHYTFKHPQNHSYVAAAYGVGDSGIARALSQLLSIVNDIPVSSRKYEIVRSLAERLIEENRKEDVEALREVNRTVLSAAFARTLRQLEAAMVELGQDTVGLDAPEPGPVRYRLNRVLRAIRSVGDGVWSRVGMGREDVNRSGNSAQKLAAELLWLAQKLAACGFEEEAVERWASTSKMAWLSLSAEPRVQCSLVKVSAFLFKQAKDMGLEVEETEEGNKENLRQTKLKMLTSWLPLLCRASNGTDAPVLSISERAELEKLLEETIEMLEQEEQEQVLSLWLHHFTHSPSSDWPNLHESYARWCTTSRKLLLLH >EOX98763 pep chromosome:Theobroma_cacao_20110822:2:7140446:7149214:-1 gene:TCM_007464 transcript:EOX98763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGIMENSPTAIAPLLLRNMVTSIFIYADKSLLNLAEKYKLLELIRAVVITFFLFFLRLLPSLIPSTLHPQADHYTFKHPQNHSYVAAAYGVGDSGIARALSQLLSIVNDIPVSSRKYEIVRSLAERLIEENRKEDVEALREVNRTVLSAAFARTLRQLEAAMVELGQDTVGLDAPEPGPVRYRLNRVLRAIRSVGDGVWSRVGMGREDVNRSGNSAQKLAAELLWLAQKLAACGFEEEAVERWASTSKMAWLSLSAEPRVQCSLVKVSAFLFKQAKDMGLEVEETEEGNKENLRQTKLKMLTSWLPLLCRASNGTDAPVLSISERAELEKLLEETIEMLEQEEQEQVLSLWLHHFTHSPSSDWPNLHESYARWCTTSRKLLLLH >EOX97832 pep chromosome:Theobroma_cacao_20110822:2:3624849:3627777:1 gene:TCM_006764 transcript:EOX97832 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLN phosphoribosyl pyrophosphate amidotransferase 1 MASTAKLSSLSSSSTLSKPISKNSSFSLNPPQKAPFSLFQETLPKPSAFPHKTVLHTQKPQFSISSKNPISDFFSTNKSDPEDGFVSSFSDDDDKPREECGVVGIFGDPEASRLCYLALHALQHRGQEGAGIVAVNNNVLQSVTGVGLVSEVFNESKLDQLPGEMAIGHVRYSTAGSSMLKNVQPFVAGYRFGSVGVAHNGNLVNYRALRAMLEDNGSIFNTSSDTEVVLHLIAISKARPFFLRIVDACEKLEGAYSMVFATEDKLVAVRDPYGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVYPGEVLVVDKKDGVQSLCLMPHPEPKQCIFEHIYFALPNSVVFGKSVYESRHIFGEILATEAPVDCDVVIAVPDSGVVAALGYAAKAGVAFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRGVLEGKRVVVVDDSIVRGTTSSKIVRLIKEAGAKEVHMRIASPPIIGSCYYGVDTPSSEELISNRMSVEEIREFIGCDSLAFLPFDSLKKMLGNDSPNFCYACFSGKYPVMPREVKVKRVGDFLDDGLNGPIDSIDGGWVKGPRNFNIEKEIDPLYQQSKI >EOX98359 pep chromosome:Theobroma_cacao_20110822:2:5490583:5496268:1 gene:TCM_007139 transcript:EOX98359 gene_biotype:protein_coding transcript_biotype:protein_coding description:UNC-50 family protein isoform 3 MLPTVSKTRPSSSTSRPNPMFPQYLRRIVKWQQMDIEYTFWQMLHLCTAPKVVYQHTKYHKQTKNQWARDDPAFVVICSLLLAVATVAYCAAYDHSAAHAVFVVISVLLFHFLLAGVFLATCCWSLTNAYLREEAPNSHVVEQRVEWLYAFDVHCNSFFPMFVMLYVIHYFLSPLLVAHGFIPVLLSNLLFMVAASYYHYLNFLGYDVLPFLERTTFFLYPIGVVIVLSPILILGGFNPSRYFMNIYFSQRL >EOX98361 pep chromosome:Theobroma_cacao_20110822:2:5490368:5495897:1 gene:TCM_007139 transcript:EOX98361 gene_biotype:protein_coding transcript_biotype:protein_coding description:UNC-50 family protein isoform 3 MLPTVSKTRPSSSTSRPNPMFPQYLRRIVKWQQMDIEYTFWQMLHLCTAPKVVYQHTKYHKQTKNQWARDDPAFVVICSLLLAVATVAYCAAYDHSAAHAVFVVISVLLFHFLLAGVFLATCCWSLTNAYLREEAPNSHVVEQRVEWLYAFDVHCNSFFPINTLFSVTSSGSPWFYTCTAVKSSFHGGSFLLSLPQLFRL >EOX98360 pep chromosome:Theobroma_cacao_20110822:2:5490368:5495897:1 gene:TCM_007139 transcript:EOX98360 gene_biotype:protein_coding transcript_biotype:protein_coding description:UNC-50 family protein isoform 3 MLPTVSKTRPSSSTSRPNPMFPQYLRRIVKWQQMDIEYTFWQMLHLCTAPKVVYQHTKYHKQTKNQWARDDPAFVVICSLLLAVATVAYCAAYDHSAAHAVFVVISVLLFHFLLAGVFLATCCWSLTNAYLREEAPNSHVVEQRVEWLYAFDVHCNSFFPMFVMLYAHGFIPVLLSNLLFMVAASYYHYLNFLGYDVLPFLERTTFFLYPIGVVIVLSPILILGGFNPSRY >EOX98642 pep chromosome:Theobroma_cacao_20110822:2:6588869:6592346:1 gene:TCM_007353 transcript:EOX98642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein MGGGKRFAVLLCAEDSDYVKKRYGGYYGVFVEMLAEEGETWDVFRVASGHFPDEDKIGDYDGFVITGSCNDAHGNDVWICKLIALLKKLDSLKKKVLGICFGHQILGRALGGKTGRAISGWDIGVTTIHLSSSSSKLFSSLKIPATLSVIECHRDEVRELPLKAEVMAWSEKTGVEMFRYGDHMMGIQGHPEYTKDILLHLIDRLLQRSFIADSYADELKANLGKVEPDKDAWKKLCTSFLNEGSLGRLW >EOX97930 pep chromosome:Theobroma_cacao_20110822:2:4007524:4013512:1 gene:TCM_006829 transcript:EOX97930 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO-activating enzyme 2 isoform 2 MASQEQLSAIKGAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRVRPNISITPYHANVKESRFNVDFFKEFDVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHLKGKTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNLENDLNVHSNDAANSSEHSDVFEYRKDEDIEEYGRRIYDHVFGHNIEVALSNEETWKNRNKPRPIYSKDVLPEKLSEQNGNMEKGCVTDDVSAMASLGLKNPQDVWSLGENSRVFLEALRLFFLKREKEIGNLTFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLIKDSNNYRMTYCLEHPSRKMLLMPVEPYEPNKSCYVCSETPLSLEVNTHRSKLRDFVEKIVKAKLGMNFPLIMQGASILYEVGEDLEEDMVAIYAANLEKLLVGLCLLSRIFSRSSHAISISSIGKNLMRRRNLMECFSLDGLNLLLIRTRISLLEMVKVHPMLYQQRRVWRPRRTLQFRKFQR >EOX97929 pep chromosome:Theobroma_cacao_20110822:2:4007644:4012165:1 gene:TCM_006829 transcript:EOX97929 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO-activating enzyme 2 isoform 2 MASQEQLSAIKGAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRVRPNISITPYHANVKESRFNVDFFKEFDVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHLKGKTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNLENDLNVHSNDAANSSEHSDVFEYRKDEDIEEYGRRIYDHVFGHNIEVALSNEETWKNRNKPRPIYSKDVLPEKLSEQNGNMEKGCVTDDVSAMASLGLKNPQDVWSLGENSRVFLEALRLFFLKREKEIGNLTFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLIKDSNNYRMTYCLEHPSRKMLLMPVEPYEPNKSCYVCSETPLSLEVNTHRSKLRDFVEKIVKAKLGMNFPLIMQGASILYEVGEDLEEDMVAIYAANLEKVFFFYTSAQKKCDDCDLFLFTGLIRAAFSSY >EOX97928 pep chromosome:Theobroma_cacao_20110822:2:4007395:4013648:1 gene:TCM_006829 transcript:EOX97928 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO-activating enzyme 2 isoform 2 MASQEQLSAIKGAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRVRPNISITPYHANVKESRFNVDFFKEFDVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHLKGKTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNLENDLNVHSNDAANSSEHSDVFEYRKDEDIEEYGRRIYDHVFGHNIEVALSNEETWKNRNKPRPIYSKDVLPEKLSEQNGNMEKGCVTDDVSAMASLGLKNPQDVWSLGENSRVFLEALRLFFLKREKEIGNLTFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLIKDSNNYRMTYCLEHPSRKMLLMPVEPYEPNKSCYVCSETPLSLEVNTHRSKLRDFVEKIVKAKLGMNFPLIMQGASILYEVGEDLEEDMVAIYAANLEKALSELPSPVTSGTVLTVEDLQQEFSRNINIKHREEFDEEKEPDGMLLSGWVEPPVDKDKNKPIGNGESTSNALPAEESLEAKKDVTIQEISEVTEIATGTKRKLSEVSKGTTPDHSGLSDETRNHNQLEKLDVDDEDDELMISDDWESLTKKKRL >EOY00840 pep chromosome:Theobroma_cacao_20110822:2:35492182:35494593:1 gene:TCM_010769 transcript:EOY00840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein MRSIEDKGCYNLGPAQEISSSSAISFEFHKGNGTNRASHHRTALGKPTPSKWDDAQKWLVGLSRGRDKSQSKTTPRNSNADDRRLIAPVPQKEQDYSSSEDEEAAQANGFAAAMSSNFEGETKKVDCDEAIWRINKLAENSTSAVRSICVRDMGTEMTPIASQEPSRTATPIRATTPAARSPISSGSSTPVRCQHGVPGAEGYQAGLTSTEGRGETNAAARGNAPNGPYGQESMIHENSNSDQARKQNTLETRATAWDEAERAKYMARYKREEVKIQAWENHEKRKAEMEMKKMEVKAERLKARAQERCSNKLAATRRIAEEKRANAEAELNEKAMRTSERADYIRRTGHLPSSFSFKLPSLCW >EOY01545 pep chromosome:Theobroma_cacao_20110822:2:40006874:40011352:1 gene:TCM_011413 transcript:EOY01545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MDIGEKDKYELEKRNENHINYQAPGMSPDWQFGGANLTSTPMSLVSSDNPMAIGSSCASASVVDSFGTSLWEHTSNSQNLGFCDINAQNGASSSNGMGIGKGGNSLRSTIDRPFDMSWNAASSMLRGGIFLPNATGILPQSLSQLPADSAFIDRAARFSSFNGGNFSDMVNPFGIPESMGLYTRGVGLMQGPQDIFAISGMKSVSVVESEKSKLNSTEASKDASLQVENRATQESPLKNEKKSESLVPSNEEAKQGNGGSGNESDEAEFSGGIGGQDEPSALDDLSLEPSAKGLSSKKRKRSVQDAEVDQVKGGEPPVEAAKDNAENQKKGDQNQTTTINKTTGKQGKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLAKDIIQSRAGPSSLGFSPDMSVGYPSLHPSQPGLVQAALPVMGNTSDVIRRTLSSQFTPMTGGYKEPNQLSNAWEDDLHNVVQMNYGAGAPSDSQDVNGSLPSGHLKVEL >EOY01546 pep chromosome:Theobroma_cacao_20110822:2:40006920:40011352:1 gene:TCM_011413 transcript:EOY01546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MDIGEKDKYELEKRNENHINYQAPGMSPDWQFGGANLTSTPMSLVSSDNPMAIGSSCASASVVDSFGTSLWEHTSNSQNLGFCDINAQNGASSSNGMGIGKGGNSLRSTIDRPFDMSWNAASSMLRGGIFLPNATGILPQSLSQLPADSAFIDRAARFSSFNGGNFSDMVNPFGIPESMGLYTRGVGLMQGPQDIFAISGMKSVSVVESEKSKLNSTEASKDASLQVENRATQESPLKNEKKSESLVPSNEEAKQGNGGSGNESDEAEFSGGIGGQDEPSALDDLSLEPSAKGLSSKKRKRSVQDAEVDQVKGGEPPVEAAKDNAENQKKGDQNQTTTINKTTGKQGKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLAKDIIQSRAGPSSLGFSPDMSVGYPSLHPSQPGLVQAALPVMGNTSDVIRRTLSSQFTPMTGGYKEPNQLSNAWEDDLHNVVQMNYGAGAPSDSQDVNGITPFFMS >EOY01549 pep chromosome:Theobroma_cacao_20110822:2:40006927:40011251:1 gene:TCM_011413 transcript:EOY01549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MDIGEKDKYELEKRNENHINYQAPGMSPDWQFGGANLTSTPMSLVSSDNPMAIGSSCASASVVDSFGTSLWEHTSNSQNLGFCDINAQNGASSSNGMGIGKGGNSLRSTIDRPFDMSWNAASSMLRGGIFLPNATGILPQSLSQLPADSAFIDRAARFSSFNGGNFSDMVNPFGIPESMGLYTRGVGLMQGPQDIFAISGMKSVSVVESEKSKLNSTEASKDASLQVENRATQESPLKNEKKSESLVPSNEEAKQGNGGSGNESDEAEFSGGIGGQDEPSALDEVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLAKDIIQSRAGPSSLGFSPDMSVGYPSLHPSQPGLVQAALPVMGNTSDVIRRTLSSQFTPMTGGYKEPNQLSNAWEDDLHNVVQMNYGAGAPSDSQDVNGSLPSGHLKVEL >EOY01544 pep chromosome:Theobroma_cacao_20110822:2:40006564:40011355:1 gene:TCM_011413 transcript:EOY01544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MDIGEKDKYELEKRNENHINYQAPGMSPDWQFGGANLTSTPMSLVSSDNPMAIGSSCASASVVDSFGTSLWEHTSNSQNLGFCDINAQNGASSSNGMGIGKGGNSLRSTIDRPFDMSWNAASSMLRGGIFLPNATGILPQSLSQLPADSAFIDRAARFSSFNGGNFSDMVNPFGIPESMGLYTRGVGLMQGPQDIFAISGMKSVSVVESEKSKLNSTEASKDASLQVENRATQESPLKNEKKSESLVPSNEEAKQGNGGSGNESDEAEFSGGIGGQDEPSALDDLSLEPSAKGLSSKKRKRSVQDAEVDQVKGGEPPVEAAKDNAENQKKGDQNQTTTINKTTGKQGKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLAKDIIQSRAGPSSLGFSPDMSVGYPSLHPSQPGLVQAALPVMGNTSDVIRRTLSSQFTPMTGGYKEPNQLSNAWEDDLHNVVQMNYGAGAPSDSQDVNGVSTIRSLESRTLILLLQVYSRQLHYGLLPATLILHS >EOY01547 pep chromosome:Theobroma_cacao_20110822:2:40007851:40010646:1 gene:TCM_011413 transcript:EOY01547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MDIGEKDKYELEKRNENHINYQAPGMSPDWQFGGANLTSTPMSLVSSDNPMAIGSSCASASVVDSFGTSLWEHTSNSQNLGFCDINAQNGASSSNGMGIGKGGNSLRSTIDRPFDMSWNAASSMLRGGIFLPNATGILPQSLSQLPADSAFIDRAARFSSFNGGNFSDMVNPFGIPESMGLYTRGVGLMQGPQDIFAISGMKSVSVVESEKSKLNSTEASKDASLQVENRATQESPLKNEKKSESLVPSNEEAKQGNGGSGNESDEAEFSGGIGGQDEPSALDDLSLEPSAKGLSSKKRKRSVQDAEVDQVKGGEPPVEAAKDNAENQKKGDQNQTTTINKTTGKQGKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLAKDIIQSRAGPSSLGFSPDMSVGYPSLHPSQPGLVQAALPVMGNTSDVIRRTLSSQFTPMTGGYKEPNQLSNAWEDDLHNVVQMNYGAGAPSDSQDVNGITPFFMS >EOY01548 pep chromosome:Theobroma_cacao_20110822:2:40006861:40011251:1 gene:TCM_011413 transcript:EOY01548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MDIGEKDKYELEKRNENHINYQAPGMSPDWQFGGANLTSTPMSLVSSDNPMAIGSSCASASVVDSFGTSLWEHTSNSQNLGFCDINAQNGASSSNGMGIGKGGNSLRSTIDRPFDMSWNAASSMLRGGIFLPNATGILPQSLSQLPADSAFIDRAARFSSFNGGNFSDMVNPFGIPESMGLYTRGVGLMQGPQDIFAISGMKSVSVVESEKSKLNSTEASKDASLQVENRATQESPLKNEKKSESLVPSNEEAKQGNGGSGNESDEAEFSGGIGGQDEPSALDEVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLAKDIIQSRAGPSSLGFSPDMSVGYPSLHPSQPGLVQAALPVMGNTSDVIRRTLSSQFTPMTGGYKEPNQLSNAWEDDLHNVVQMNYGAGAPSDSQDVNGSLPSGHLKVEL >EOX97171 pep chromosome:Theobroma_cacao_20110822:2:1443438:1446012:-1 gene:TCM_006259 transcript:EOX97171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELFIKRLTQTDIDKRLAIPTNSLVYFPGFRGNHSVELKVKDKSHRLWTFRCSIRKKRYLKPVFSSGWLEFIRNNNLRIGDKVSVRLEQGHLSGVEYGIEVQRKIRLLGKDVWADVL >EOY01732 pep chromosome:Theobroma_cacao_20110822:2:40742102:40747376:-1 gene:TCM_011565 transcript:EOY01732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quasimodo2 like 2 isoform 6 MRSPWLNKLSLIFGPRPPVSWLLLCFVSVLALIAVFGSSSSNTFDSVTSTPVPEIYTNYRRLKEQAAVDYFELRTLSLGASRQRELDLCAKERENYVPCYNVTANLLVGFKDGEEFDRHCEASRQGKRCLVRPPKDYKIPLRWPAGRDVIWSGNVKITKDQFLSSGSMTKRLMLLEENQIAFHSEDGLIFDGVKDYSRQIAEMMGLGSDSDFFQAGVRSVLDIGCGFGSFGAHLVSLQLMALCIAAYEATGSQVQLALERGLPAMIGNFVSRQLPHPSLSFDMVHCAQCGIVWDKKEGMFLIEVDRLLKPGGYFILTSPTSKPQGSATGMKKRNMLTPLEQFTEKICWSLIAQQDETFIWQKTADAHCYTSRKQNDVPLCKEGRDAPYYQALMPCVIGASSKRWIPIQNRSSSSHLSSAELEVHGVSPEDFFDDLQVWQSALKNYWSLLTPLIFSDHPKRPGDEDPLPPFNMVRNVMDMNAHYGGLNAAFLEEKKSVWVMNVVPVSARNTLPLILDRGFPGVLHDWCEPFPTYPRTYDMLHANGLLSHLSSERCSLMDLFVEMDRILRPEGWVVLSDKLGAIEWARAHATQIRWDARVIDLQNGSDQRLLVCQKPFVKK >EOY01729 pep chromosome:Theobroma_cacao_20110822:2:40741960:40747542:-1 gene:TCM_011565 transcript:EOY01729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quasimodo2 like 2 isoform 6 MRSPWLNKLSLIFGPRPPVSWLLLCFVSVLALIAVFGSSSSNTFDSVTSTPVPEIYTNYRRLKEQAAVDYFELRTLSLGASRQRELDLCAKERENYVPCYNVTANLLVGFKDGEEFDRHCEASRQGKRCLVRPPKDYKIPLRWPAGRDVIWSGNVKITKDQFLSSGSMTKRLMLLEENQIAFHSEDGLIFDGVKDYSRQIAEMMGLGSDSDFFQAGVRSVLDIGCGFGSFGAHLVSLQLMALCIAAYEATGSQVQLALERGLPAMIGNFVSRQLPHPSLSFDMVHCAQCGIVWDKKEGMFLIEVDRLLKPGGYFILTSPTSKPQGSATGMKKRNMLTPLEQFTEKICWSLIAQQDETFIWQKTADAHCYTSRKQNDVPLCKEGRDAPYYQALMPCVIGASSKRWIPIQNRSSSSHLSSAELEVHGVSPEDFFDDLQVWQSALKNYWSLLTPLIFSDHPKRPGDEDPLPPFNMVRNVMDMNAHYGGLNAAFLEEKKSVWVMNVVPVSARNTLPLILDRGFPGVLHDWCEPFPTYPRTYDMLHANGLLSHLSSERCSLMDLFVEMDRILRPEGWVVLSDKLGAIEWARAHATQIRWDARVIDLQNGSDQRLLVCQKPFVKK >EOY01735 pep chromosome:Theobroma_cacao_20110822:2:40742625:40747542:-1 gene:TCM_011565 transcript:EOY01735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quasimodo2 like 2 isoform 6 MRSPWLNKLSLIFGPRPPVSWLLLCFVSVLALIAVFGSSSSNTFDSVTSTPVPEIYTNYRRLKEQAAVDYFELRTLSLGASRQRELDLCAKERENYVPCYNVTANLLVGFKDGEEFDRHCEASRQGKRCLVRPPKDYKIPLRWPAGRDVIWSGNVKITKDQFLSSGSMTKRLMLLEENQIAFHSEDGLIFDGVKDYSRQIAEMMGLGSDSDFFQAGVRSVLDIGCGFGSFGAHLVSLQLMALCIAAYEATGSQVQLALERGLPAMIGNFVSRQLPHPSLSFDMVHCAQCGIVWDKKEGMFLIEVDRLLKPGGYFILTSPTSKPQGSATGMKKRNMLTPLEQFTEKICWSLIAQQDETFIWQKTADAHCYTSRKQNDVPLCKEGRDAPYYQALMPCVIGASSKRWIPIQNRSSSSHLSSAELEVHGVSPEDFFDDLQVWQSALKNYWSLLTPLIFSDHPKRPGDEDPLPPFNMVRNVMDMNAHYGGLNAAFLEEKKSVWVMNVVPVSARNTLPLILDRGFPGVLHDWCEPFPTYPRTYDMLHANGLLSHLSSERCSLMDLFVEMDRILRPEGWVVLSDKLGAIEWARAHATQIRWDARVIDLQNGSDQRLLVCQKPFVKK >EOY01728 pep chromosome:Theobroma_cacao_20110822:2:40741944:40747458:-1 gene:TCM_011565 transcript:EOY01728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quasimodo2 like 2 isoform 6 MRSPWLNKLSLIFGPRPPVSWLLLCFVSVLALIAVFGSSSSNTFDSVTSTPVPEIYTNYRRLKEQAAVDYFELRTLSLGASRQRELDLCAKERENYVPCYNVTANLLVGFKDGEEFDRHCEASRQGKRCLVRPPKDYKIPLRWPAGRDVIWSGNVKITKDQFLSSGSMTKRLMLLEENQIAFHSEDGLIFDGVKDYSRQIAEMMGLGSDSDFFQAGVRSVLDIGCGFGSFGAHLVSLQLMALCIAAYEATGSQVQLALERGLPAMIGNFVSRQLPHPSLSFDMVHCAQCGIVWDKKEGMFLIEVDRLLKPGGYFILTSPTSKPQGSATGMKKRNMLTPLEQFTEKICWSLIAQQDETFIWQKTADAHCYTSRKQNDVPLCKEGRDAPYYQALMPCVIGASSKRWIPIQNRSSSSHLSSAELEVHGNPEDFFDDLQVWQSALKNYWSLLTPLIFSDHPKRPGDEDPLPPFNMVRNVMDMNAHYGGLNAAFLEEKKSVWVMNVVPVSARNTLPLILDRGFPGVLHDWCEPFPTYPRTYDMLHANGLLSHLSSERCSLMDLFVEMDRILRPEGWVVLSDKLGAIEWARAHATQIRWDARVIDLQNGSDQRLLVCQKPFVKK >EOY01733 pep chromosome:Theobroma_cacao_20110822:2:40742270:40747588:-1 gene:TCM_011565 transcript:EOY01733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quasimodo2 like 2 isoform 6 MRSPWLNKLSLIFGPRPPVSWLLLCFVSVLALIAVFGSSSSNTFDSVTSTPVPEIYTNYRRLKEQAAVDYFELRTLSLGASRQRELDLCAKERENYVPCYNVTANLLVGFKDGEEFDRHCEASRQGKRCLVRPPKDYKIPLRWPAGRDVIWSGNVKITKDQFLSSGSMTKRLMLLEENQIAFHSEDGLIFDGVKDYSRQIAEMMGLGSDSDFFQAGVRSVLDIGCGFGSFGAHLVSLQLMALCIAAYEATGSQVQLALERGLPAMIGNFVSRQLPHPSLSFDMVHCAQCGIVWDKKEGMFLIEVDRLLKPGGYFILTSPTSKPQGSATGMKKRNMLTPLEQFTEKICWSLIAQQDETFIWQKTADAHCYTSRKQNDVPLCKEGRDAPYYQALMPCVIGASSKRWIPIQNRSSSSHLSSAELEVQGVSPEDFFDDLQVWQSALKNYWSLLTPLIFSDHPKRPGDEDPLPPFNMVRNVMDMNAHYGGLNAAFLEEKKSVWVMNVVPVSARNTLPLILDRGFPGVLHDWCEPFPTYPRTYDMLHANGLLSHLSSERCSLMDLFVEMDRILRPEGWVVLSDKLGAIEWARAHATQIRWDARVIDLQNGSDQRLLVCQKPFVKK >EOY01731 pep chromosome:Theobroma_cacao_20110822:2:40742098:40747542:-1 gene:TCM_011565 transcript:EOY01731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quasimodo2 like 2 isoform 6 MRSPWLNKLSLIFGPRPPVSWLLLCFVSVLALIAVFGSSSSNTFDSVTSTPVPEIYTNYRRLKEQAAVDYFELRTLSLGASRQRELDLCAKERENYVPCYNVTANLLVGFKDGEEFDRHCEASRQGKRCLVRPPKDYKIPLRWPAGRDVIWSGNVKITKDQFLSSGSMTKRLMLLEENQIAFHSEDGLIFDGVKDYSRQIAEMMGLGSDSDFFQAGVRSVLDIGCGFGSFGAHLVSLQLMALCIAAYEATGSQVQLALERGLPAMIGNFVSRQLPHPSLSFDMVHCAQCGIVWDKKEGMFLIEVDRLLKPGGYFILTSPTSKPQGSATGMKKRNMLTPLEQFTEKICWSLIAQQDETFIWQKTADAHCYTSRKQNDVPLCKEGRDAPYYQALMPCVIGASSKRWIPIQNRSSSSHLSSAELEVHGVSPEDFFDDLQVWQSALKNYWSLLTPLIFSDHPKRPGDEDPLPPFNMVRNVMDMNAHYGGLNAAFLEEKKSVWVMNVVPVSARNTLPLILDRGFPGVLHDWCEPFPTYPRTYDMLHANGLLSHLSSERCSLMDLFVEMDRILRPEGWVVLSDKLGAIEWARAHATQIRWDARVIDLQNGSDQRLLVCQKPFVKK >EOY01730 pep chromosome:Theobroma_cacao_20110822:2:40742153:40747542:-1 gene:TCM_011565 transcript:EOY01730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quasimodo2 like 2 isoform 6 MRSPWLNKLSLIFGPRPPVSWLLLCFVSVLALIAVFGSSSSNTFDSVTSTPVPEIYTNYRRLKEQAAVDYFELRTLSLGASRQRELDLCAKERENYVPCYNVTANLLVGFKDGEEFDRHCEASRQGKRCLVRPPKDYKIPLRWPAGRDVIWSGNVKITKDQFLSSGSMTKRLMLLEENQIAFHSEDGLIFDGVKDYSRQIAEMMGLGSDSDFFQAGVRSVLDIGCGFGSFGAHLVSLQLMALCIAAYEATGSQVQLALERGLPAMIGNFVSRQLPHPSLSFDMVHCAQCGIVWDKKEGMFLIEVDRLLKPGGYFILTSPTSKPQGSATGMKKRNMLTPLEQFTEKICWSLIAQQDETFIWQKTADAHCYTSRKQNDVPLCKEGRDAPYYQALMPCVIGASSKRWIPIQNRSSSSHLSSAELEVHGVSPEDFFDDLQVWQSALKNYWSLLTPLIFSDHPKRPGDEDPLPPFNMVRNVMDMNAHYGGLNAAFLEEKKSVWVMNVVPVSARNTLPLILDRGFPGVLHDWCEPFPTYPRTYDMLHANGLLSHLSSERCSLMDLFVEMDRILRPEGWVVLSDKLGAIEWARAHATQIRWDARVIDLQNGSDQRLLVCQKPFVKK >EOY01734 pep chromosome:Theobroma_cacao_20110822:2:40742680:40746632:-1 gene:TCM_011565 transcript:EOY01734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quasimodo2 like 2 isoform 6 MRSPWLNKLSLIFGPRPPVSWLLLCFVSVLALIAVFGSSSSNTFDSVTSTPVPEIYTNYRRLKEQAAVDYFELRTLSLGASRQRELDLCAKERENYVPCYNVTANLLVGFKDGEEFDRHCEASRQGKRCLVRPPKDYKIPLRWPAGRDVIWSGNVKITKDQFLSSGSMTKRLMLLEENQIAFHSEDGLIFDGVKDYSRQIAEMMGLGSDSDFFQAGVRSVLDIGCGFGSFGAHLVSLQLMALCIAAYEATGSQVQLALERGLPAMIGNFVSRQLPHPSLSFDMVHCAQCGIVWDKKEGMFLIEVDRLLKPGGYFILTSPTSKPQGSATGMKKRNMLTPLEQFTEKICWSLIAQQDETFIWQKTADAHCYTSRKQNDVPLCKEGRDAPYYQALMPCVIGASSKRWIPIQNRSSSSHLSSAELEVHGVSPEDFFDDLQVWQSALKNYWSLLTPLIFSDHPKRPGDEDPLPPFNMVRNVMDMNAHYGGLNAAFLEEKKSVWVMNVVPVSARNTLPLILDRGFPGVLHDWCEPFPTYPRTYDMLHANGLLSHLSSERCSLMDLFVEMDRILRPEGWVVLSDKLGAIEWARAHATQIRWDARVIDLQNGSDQRLLVCQKPFVKK >EOY00032 pep chromosome:Theobroma_cacao_20110822:2:23532662:23534652:-1 gene:TCM_009398 transcript:EOY00032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHFDLFGQLRAKEAWDALYHGTFSLKYEKLISWDKPKSSFVKLNVDGSAKGQPGVAVAGGINRDENGVWLAGFAYNIGNFFFTHSRNTGFVSRVEVMLEDPNFHLLKGIKEILHGNWDCSLSYIHREANHFEFSSTALKMLNVELLSVHDLLKFRTCKRETQEEKGYPVSFPPRT >EOX96946 pep chromosome:Theobroma_cacao_20110822:2:634737:641699:-1 gene:TCM_006073 transcript:EOX96946 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 23, putative isoform 1 MSTVTESQSLEAETETETEWESESESEPPKEPCLRNWLDLPRDVTASILLRLGAIEIIESAQKVCTQWRNISRDRSLWRSIDMRNAGDLHDMPYNLEVMCRHAIDRSCGGLIDINVEYFGTDDVLAYITQRTTHLRRLRLVQCWGISDEGLSEAASKFPLLEELEIYSGNTGKDAIEAVGRCSPLLKTFKYNQVAIRDPYFKDDEEALAIAQNMRGLHHLQLLGNKLTNHGLQAILDGCPYLESLDLRRCYFVNLDKDLGKRCAEQIKNLRHPSDSTHDYEFTPGVTDEVKEFWMSERSPSDNISYSTRENRKERKRTMTTAEGETRNWLELPLDVTASILSRLGAFEILKSAQNVCSQWRKICKDPLMWRSIDMHNLGYIAAEDRVLEMMCVHAVDRSCGHLLDINIEYFGTDELLLNIAERSSHLKRLRLVSCYNISDEGLSKAASKLPFLEELEISYCTISKDALETVGRCFPRLKSFKFNIRGCRRFRLESDDEALAIAQTMPELHHLQLFGNKLTDDGLQAILNGCPHLESLDLRQCFNVSLVGNLEKRCVERMKNLRRPYDSTHDYEFDAEVHDTGSSDEDYPSGISDIDLMSDDYDDYFEFSGASDFSDYDDEYLFFG >EOX96945 pep chromosome:Theobroma_cacao_20110822:2:635746:641699:-1 gene:TCM_006073 transcript:EOX96945 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 23, putative isoform 1 MSTVTESQSLEAETETETEWESESESEPPKEPCLRNWLDLPRDVTASILLRLGAIEIIESAQKVCTQWRNISRDRSLWRSIDMRNAGDLHDMPYNLEVMCRHAIDRSCGGLIDINVEYFGTDDVLAYITQRTTHLRRLRLVQCWGISDEGLSEAASKFPLLEELEIYSGNTGKDAIEAVGRCSPLLKTFKYNQVAIRDPYFKDDEEALAIAQNMRGLHHLQLLGNKLTNHGLQAILDGCPYLESLDLRRCYFVNLDKDLGKRCAEQIKNLRHPSDSTHDYEFTPGVTDEVKEFWMSERSPSDNISYSTRENRKERKRTMTTAEGETRNWLELPLDVTASILSRLGAFEILKSAQNVCSQWRKICKDPLMWRSIDMHNLGYIAAEDRVLEMMCVHAVDRSCGHLLDINIEYFGTDELLLNIAERSSHLKRLRLVSCYNISDEGLSKAASKLPFLEELEISYCTISKDALETVGRCFPRLKSFKFNIRGCRRFRLESDDEALAIAQTMPELHHLQLFGNKLTDDGLQAILNGCPHLESLDLRQCFNVSLVGNLEKRCVERMKNLRRPYDSTHDYEFDAEVHDTGSSDEDYPSGISDIDLMSDDYDDYFEFSGASDFSDYDDEYLFFG >EOX98254 pep chromosome:Theobroma_cacao_20110822:2:5063467:5064954:1 gene:TCM_007059 transcript:EOX98254 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Kinase binding protein CGI-121 (InterPro:IPR013926); Has 275 Blast hits to 275 proteins in 139 species: Archae - 0; Bacteria - 5; Metazoa - 98; Fungi - 109; Plants - 42; Viruses - 0; Other Eukaryotes - 21 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G34412) TAIR;Acc:AT4G34412] AGKLDPEVAFLNASLIPDVFPVLAAAHKTLIVKSRESLTTRTLHSELVYNYSGSKHITESLKRCGISDNSSYVLAARFNASPDEMKAVEKLINGKEIDLEELEGRADQAQIQKHYKITGPELGVSTLADAITCRIAARDAL >EOX98253 pep chromosome:Theobroma_cacao_20110822:2:5062755:5064834:1 gene:TCM_007059 transcript:EOX98253 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Kinase binding protein CGI-121 (InterPro:IPR013926); Has 275 Blast hits to 275 proteins in 139 species: Archae - 0; Bacteria - 5; Metazoa - 98; Fungi - 109; Plants - 42; Viruses - 0; Other Eukaryotes - 21 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G34412) TAIR;Acc:AT4G34412] MQPKTLASITKALIVALAEQRIEMKVFEINGNTLSLALFTDVTNSKELLDSMQAGKLDPEVAFLNASLIPDVFPVLAAAHKTLIVKSRESLTTRTLHSELVYNYSGSKHITESLKRCGISDNSSYVLAARFNASPDEMKAVEKLINGKEIDLEELEGRADQAQIQKHYKITGPELGVSTLADAITCRIAARDAL >EOY02163 pep chromosome:Theobroma_cacao_20110822:2:42168179:42176039:-1 gene:TCM_011880 transcript:EOY02163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein isoform 1 MIVRVGFVVAASIAAFAVKQLNVKNSKSSTSLAKSSENGEASFEEHPNEGDNKKQFAYSNDSLKKKDGEKEEEEEDVKLISSIFNRVNGSQPDIGDEDILPEFEDLLSGEIEYPLSADKFARAEREKIYETEMANNASELERLRNLVKELEEREVKLEGELLEYYGLKEQESDIFELKRQLKIKTVEIDMLNITISSLQSERKKLQEDIAHGASVKKELEVARNKIKELQRQIQLDANQTKAQLLFLKQQVSGLQAKEQEAIKNDAEVEKKLKAVKELEMEVMELRRKNKELQHEKRELTVKLDAAEAKIAALSNMTETEIDVRAREEVSNLRHANEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPEGKISARDLNKSLSPKSQETAKQLLLEYAGSERGQGDTDIESNFSHPSSTGSEDLDNASIYSSNSRYSSLSKKPSLIQKLKKWGRSKDDSSAVSSPARSLSGGSPSRISMSQHSRGPLEALMLRNAGDGVAITTFGKNEQEFTDSPETPTIPNIRTQVSSGDSPNSVATSFHLMSRSVDGSLEEKYPAYKDRHKLALEREKQIKQKAQQARAERFGDKSNFSSKAEREKPVILPPKLAQIKERTVFPGDSSGQSNDDKAVDSQTISKMKLAHIEKRPPRVPRPPPKPAGGTSAGVNTTTTGQPPAPPPLPCALPPLPPPPPPGGPPPPPPPPGSLPREAGSGDKVHRAPELVEFYQTLMKREAKKDTSSLISPTSNPSDARSNMIGEIENRSSFLLAVKADVETQGDFVQSLATEIRAASFTSIEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLVKLEKQISSFVDDPSLPCEAALKKMYKLLEKVEQSVYALLRTRDMAISRYKEFGIPVNWLLDSGVVGKIKLSSVQLARKYMKRVASELDLLTGPEKEPNREFILLQGIRFAFRVHQFAGGFDAESMKAFEELRSRVHSQMGEDNKPEA >EOY02159 pep chromosome:Theobroma_cacao_20110822:2:42169179:42174196:-1 gene:TCM_011880 transcript:EOY02159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein isoform 1 MIVRVGFVVAASIAAFAVKQLNVKNSKSSTSLAKSSENGEASFEEHPNEGDNKKQFAYSNDSLKKKDGEKEEEEEDVKLISSIFNRVNGSQPDIGDEDILPEFEDLLSGEIEYPLSADKFARAEREKIYETEMANNASELERLRNLVKELEEREVKLEGELLEYYGLKEQESDIFELKRQLKIKTVEIDMLNITISSLQSERKKLQEDIAHGASVKKELEVARNKIKELQRQIQLDANQTKAQLLFLKQQVSGLQAKEQEAIKNDAEVEKKLKAVKELEMEVMELRRKNKELQHEKRELTVKLDAAEAKIAALSNMTETEIDVRAREEVSNLRHANEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPEGKISARDLNKSLSPKSQETAKQLLLEYAGSERGQGDTDIESNFSHPSSTGSEDLDNASIYSSNSRYSSLSKKPSLIQKLKKWGRSKDDSSAVSSPARSLSGGSPSRISMSQHSRGPLEALMLRNAGDGVAITTFGKNEQEFTDSPETPTIPNIRTQVSSGDSPNSVATSFHLMSRSVDGSLEEKYPAYKDRHKLALEREKQIKQKAQQARAERFGDKSNFSSKAEREKPVILPPKLAQIKERTVFPGDSSGQSNDDKAVDSQTISKMKLAHIEKRPPRVPRPPPKPAGGTSAGVNTTTTGQPPAPPPLPCALPPLPPPPPPGGPPPPPPPPGSLPREAGSGDKVHRAPELVEFYQTLMKREAKKDTSSLISPTSNPSDARSNMIGEIENRSSFLLAVKADVETQGDFVQSLATEIRAASFTSIEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLVKLEKQISSFVDDPSLPCEAALKKMYKLLEKVEQSVYALLRTRDMAISRYKEFGIPVNWLLDSGVVGKIKLSSVQLARKYMKRVASELDLLTGPEKEPNREFILLQGIRFAFRVHQFAGGFDAESMKAFEELRSRVHSQMGEDNKPEA >EOY02166 pep chromosome:Theobroma_cacao_20110822:2:42167064:42174585:-1 gene:TCM_011880 transcript:EOY02166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein isoform 1 MIVRVGFVVAASIAAFAVKQLNVKNSKSSTSLAKSSENGEASFEEHPNEGDNKKQFAYSNDSLKKKDGEKEEEEEDVKLISSIFNRVNGSQPDIGDEDILPEFEDLLSGEIEYPLSADKFARAEREKIYETEMANNASELERLRNLVKELEEREVKLEGELLEYYGLKEQESDIFELKRQLKIKTVEIDMLNITISSLQSERKKLQEDIAHGASVKKELEVARNKIKELQRQIQLDANQTKAQLLFLKQQVSGLQAKEQEAIKNDAEVEKKLKAVKELEMEVMELRRKNKELQHEKRELTVKLDAAEAKIAALSNMTETEIDVRAREEVSNLRHANEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPEGKISARDLNKSLSPKSQETAKQLLLEYAGSERGQGDTDIESNFSHPSSTGSEDLDNASIYSSNSRYSSLSKKPSLIQKLKKWGRSKDDSSAVSSPARSLSGGSPSRISMSQHSRGPLEALMLRNAGDGVAITTFGKNEQEFTDSPETPTIPNIRTQVSSGDSPNSVATSFHLMSRSVDGSLEEKYPAYKDRHKLALEREKQIKQKAQQARAERFGDKSNFSSKAEREKPVILPPKLAQIKERTVFPGDSSGQSNDDKAVDSQTISKMKLAHIEKRPPRVPRPPPKPAGGTSAGVNTTTTGQPPAPPPLPCALPPLPPPPPPGGPPPPPPPPGSLPREAGSGDKVHRAPELVEFYQTLMKREAKKDTSSLISPTSNPSDARSNMIGEIENRSSFLLAVKADVETQGDFVQSLATEIRAASFTSIEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLVKLEKQISSFVDDPSLPCEAALKKMYKLLEKVEQSVYALLRTRDMAISRYKEFGIPVNWLLDSGVVGKIKLSSVQLARKYMKRVASELDLLTGPEKEPNREFILLQGIRFAFRVHQFAGGFDAESMKAFEELRSRVHSQMGEDNKPEA >EOY02161 pep chromosome:Theobroma_cacao_20110822:2:42166851:42174371:-1 gene:TCM_011880 transcript:EOY02161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein isoform 1 MIVRVGFVVAASIAAFAVKQLNVKNSKSSTSLAKSSENGEASFEEHPNEGDNKKQFAYSNDSLKKKDGEKEEEEEDVKLISSIFNRVNGSQPDIGDEDILPEFEDLLSGEIEYPLSADKFARAEREKIYETEMANNASELERLRNLVKELEEREVKLEGELLEYYGLKEQESDIFELKRQLKIKTVEIDMLNITISSLQSERKKLQEDIAHGASVKKELEVARNKIKELQRQIQLDANQTKAQLLFLKQQVSGLQAKEQEAIKNDAEVEKKLKAVKELEMEVMELRRKNKELQHEKRELTVKLDAAEAKIAALSNMTETEIDVRAREEVSNLRHANEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPEGKISARDLNKSLSPKSQETAKQLLLEYAGSERGQGDTDIESNFSHPSSTGSEDLDNASIYSSNSRYSSLSKKPSLIQKLKKWGRSKDDSSAVSSPARSLSGGSPSRISMSQHSRGPLEALMLRNAGDGVAITTFGKNEQEFTDSPETPTIPNIRTQVSSGDSPNSVATSFHLMSRSVDGSLEEKYPAYKDRHKLALEREKQIKQKAQQARAERFGDKSNFSSKAEREKPVILPPKLAQIKERTVFPGDSSGQSNDDKAVDSQTISKMKLAHIEKRPPRVPRPPPKPAGGTSAGVNTTTTGQPPAPPPLPCALPPLPPPPPPGGPPPPPPPPGSLPREAGSGDKVHRAPELVEFYQTLMKREAKKDTSSLISPTSNPSDARSNMIGEIENRSSFLLAVKADVETQGDFVQSLATEIRAASFTSIEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLVKLEKQISSFVDDPSLPCEAALKKMYKLLEKVEQSVYALLRTRDMAISRYKEFGIPVNWLLDSGVVGKIKLSSVQLARKYMKRVASELDLLTGPEKEPNREFILLQGIRFAFRVHQFAGGFDAESMKAFEELRSRVHSQMGEDNKPEA >EOY02165 pep chromosome:Theobroma_cacao_20110822:2:42167504:42174585:-1 gene:TCM_011880 transcript:EOY02165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein isoform 1 MIVRVGFVVAASIAAFAVKQLNVKNSKSSTSLAKSSENGEASFEEHPNEGDNKKQFAYSNDSLKKKDGEKEEEEEDVKLISSIFNRVNGSQPDIGDEDILPEFEDLLSGEIEYPLSADKFARAEREKIYETEMANNASELERLRNLVKELEEREVKLEGELLEYYGLKEQESDIFELKRQLKIKTVEIDMLNITISSLQSERKKLQEDIAHGASVKKELEVARNKIKELQRQIQLDANQTKAQLLFLKQQVSGLQAKEQEAIKNDAEVEKKLKAVKELEMEVMELRRKNKELQHEKRELTVKLDAAEAKIAALSNMTETEIDVRAREEVSNLRHANEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPEGKISARDLNKSLSPKSQETAKQLLLEYAGSERGQGDTDIESNFSHPSSTGSEDLDNASIYSSNSRYSSLSKKPSLIQKLKKWGRSKDDSSAVSSPARSLSGGSPSRISMSQHSRGPLEALMLRNAGDGVAITTFGKNEQEFTDSPETPTIPNIRTQVSSGDSPNSVATSFHLMSRSVDGSLEEKYPAYKDRHKLALEREKQIKQKAQQARAERFGDKSNFSSKAEREKPVILPPKLAQIKERTVFPGDSSGQSNDDKAVDSQTISKMKLAHIEKRPPRVPRPPPKPAGGTSAGVNTTTTGQPPAPPPLPCALPPLPPPPPPGGPPPPPPPPGSLPREAGSGDKVHRAPELVEFYQTLMKREAKKDTSSLISPTSNPSDARSNMIGEIENRSSFLLAVKADVETQGDFVQSLATEIRAASFTSIEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLVKLEKQISSFVDDPSLPCEAALKKMYKLLEKVEQSVYALLRTRDMAISRYKEFGIPVNWLLDSGVVGKIKLSSVQLARKYMKRVASELDLLTGPEKEPNREFILLQGIRFAFRVHQFAGGFDAESMKAFEELRSRVHSQMGEDNKPEA >EOY02160 pep chromosome:Theobroma_cacao_20110822:2:42167061:42176039:-1 gene:TCM_011880 transcript:EOY02160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein isoform 1 MIVRVGFVVAASIAAFAVKQLNVKNSKSSTSLAKSSENGEASFEEHPNEGDNKKQFAYSNDSLKKKDGEKEEEEEDVKLISSIFNRVNGSQPDIGDEDILPEFEDLLSGEIEYPLSADKFARAEREKIYETEMANNASELERLRNLVKELEEREVKLEGELLEYYGLKEQESDIFELKRQLKIKTVEIDMLNITISSLQSERKKLQEDIAHGASVKKELEVARNKIKELQRQIQLDANQTKAQLLFLKQQVSGLQAKEQEAIKNDAEVEKKLKAVKELEMEVMELRRKNKELQHEKRELTVKLDAAEAKIAALSNMTETEIDVRAREEVSNLRHANEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPEGKISARDLNKSLSPKSQETAKQLLLEYAGSERGQGDTDIESNFSHPSSTGSEDLDNASIYSSNSRYSSLSKKPSLIQKLKKWGRSKDDSSAVSSPARSLSGGSPSRISMSQHSRGPLEALMLRNAGDGVAITTFGKNEQEFTDSPETPTIPNIRTQVSSGDSPNSVATSFHLMSRSVDGSLEEKYPAYKDRHKLALEREKQIKQKAQQARAERFGDKSNFSSKAEREKPVILPPKLAQIKERTVFPGDSSGQSNDDKAVDSQTISKMKLAHIEKRPPRVPRPPPKPAGGTSAGVNTTTTGQPPAPPPLPCALPPLPPPPPPGGPPPPPPPPGSLPREAGSGDKVHRAPELVEFYQTLMKREAKKDTSSLISPTSNPSDARSNMIGEIENRSSFLLAVKADVETQGDFVQSLATEIRAASFTSIEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLVKLEKQISSFVDDPSLPCEAALKKMYKLLEKVEQSVYALLRTRDMAISRYKEFGIPVNWLLDSGVVGKIKLSSVQLARKYMKRVASELDLLTGPEKEPNREFILLQGIRFAFRVHQFAGGFDAESMKAFEELRSRVHSQMGEDNKPEA >EOY02162 pep chromosome:Theobroma_cacao_20110822:2:42167064:42174580:-1 gene:TCM_011880 transcript:EOY02162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein isoform 1 MIVRVGFVVAASIAAFAVKQLNVKNSKSSTSLAKSSENGEASFEEHPNEGDNKKQFAYSNDSLKKKDGEKEEEEEDVKLISSIFNRVNGSQPDIGDEDILPEFEDLLSGEIEYPLSADKFARAEREKIYETEMANNASELERLRNLVKELEEREVKLEGELLEYYGLKEQESDIFELKRQLKIKTVEIDMLNITISSLQSERKKLQEDIAHGASVKKELEVARNKIKELQRQIQLDANQTKAQLLFLKQQVSGLQAKEQEAIKNDAEVEKKLKAVKELEMEVMELRRKNKELQHEKRELTVKLDAAEAKIAALSNMTETEIDVRAREEVSNLRHANEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPEGKISARDLNKSLSPKSQETAKQLLLEYAGSERGQGDTDIESNFSHPSSTGSEDLDNASIYSSNSRYSSLSKKPSLIQKLKKWGRSKDDSSAVSSPARSLSGGSPSRISMSQHSRGPLEALMLRNAGDGVAITTFGKNEQEFTDSPETPTIPNIRTQVSSGDSPNSVATSFHLMSRSVDGSLEEKYPAYKDRHKLALEREKQIKQKAQQARAERFGDKSNFSSKAEREKPVILPPKLAQIKERTVFPGDSSGQSNDDKAVDSQTISKMKLAHIEKRPPRVPRPPPKPAGGTSAGVNTTTTGQPPAPPPLPCALPPLPPPPPPGGPPPPPPPPGSVKADVETQGDFVQSLATEIRAASFTSIEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLVKLEKQISSFVDDPSLPCEAALKKMYKLLEKVEQSVYALLRTRDMAISRYKEFGIPVNWLLDSGVVGKIKLSSVQLARKYMKRVASELDLLTGPEKEPNREFILLQGIRFAFRVHQFAGGFDAESMKAFEELRSRVHSQMGEDNKPEA >EOY02164 pep chromosome:Theobroma_cacao_20110822:2:42169179:42174196:-1 gene:TCM_011880 transcript:EOY02164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein isoform 1 MIVRVGFVVAASIAAFAVKQLNVKNSKSSTSLAKSSENGEASFEEHPNEGDNKKQFAYSNDSLKKKDGEKEEEEEDVKLISSIFNRVNGSQPDIGDEDILPEFEDLLSGEIEYPLSADKFARAEREKIYETEMANNASELERLRNLVKELEEREVKLEGELLEYYGLKEQESDIFELKRQLKIKTVEIDMLNITISSLQSERKKLQEDIAHGASVKKELEVARNKIKELQRQIQLDANQTKAQLLFLKQQVSGLQAKEQEAIKNDAEVEKKLKAVKELEMEVMELRRKNKELQHEKRELTVKLDAAEAKIAALSNMTETEIDVRAREEVSNLRHANEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPEGKISARDLNKSLSPKSQETAKQLLLEYAGSERGQGDTDIESNFSHPSSTGSEDLDNASIYSSNSRYSSLSKKPSLIQKLKKWGRSKDDSSAVSSPARSLSGGSPSRISMSQHSRGPLEALMLRNAGDGVAITTFGKNEQEFTDSPETPTIPNIRTQVSSGDSPNSVATSFHLMSRSVDGSLEEKYPAYKDRHKLALEREKQIKQKAQQARAERFGDKSNFSSKAEREKPVILPPKLAQIKERTVFPGDSSGQSNDDKAVDSQTISKMKLAHIEKRPPRVPRPPPKPAGGTSAGVNTTTTGQPPAPPPLPCALPPLPPPPPPGGPPPPPPPPGSLPREAGSGDKVHRAPELVEFYQTLMKREAKKDTSSLISPTSNPSDARSNMIGEIENRSSFLLAVKADVETQGDFVQSLATEIRAASFTSIEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLVKLEKQISSFVDDPSLPCEAALKKMYKLLEKVEQSVYALLRTRDMAISRYKEFGIPVNWLLDSGVVGKIKLSSVQLARKYMKRVASELDLLTGPEKEPNREFILLQGIRFAFRVHQFAGGFDAESMKAFEELRSRVHSQMGEDNKPEA >EOX98778 pep chromosome:Theobroma_cacao_20110822:2:7177461:7180096:-1 gene:TCM_007469 transcript:EOX98778 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 85A2-like protein MTMACQLFKQCHVTHSKHDYGVSPTLNSGMSQIPSMIPSVPCHQLLIWRVTDSLHGVSPTLNAVVSHIPTMACHQLLTLASHRFPPWRLTNSERCRLTHFQHDVSQTLNPALRQPSNIWPAIAGPFPALDSHLSPFNSPPYQGFLLAKFLHHKGFYITFVNTEYNHKRLRKSRGPNSLDGLPDFCFETIRDDLPPSDADAAQDIRSLCDSIAKNCFAPFPRELGISDIGFCTTRACANLCYAQIPRLVEEGLTPATDASVITKEYLETVIDWIPGIRNIHFRDLPSDDALDGPPATLPPIYTIGPLHLLVDQIRDDHELKPIASNLWTEQTECIKWLDSKRLNSVVYVNFGSVAVMTPEQLIEIAWGLAIGKKQFLSIIRPDLVTDQQTNCRFACTEWGIGMEVDNNVKRDKVEMLVRELMEGEKGAEIKAKAMEWKKNAEEASRPGGCSSQNLEKLFTNVLVSEKHINHLKMDKNKH >EOY01176 pep chromosome:Theobroma_cacao_20110822:2:38066685:38068465:-1 gene:TCM_011110 transcript:EOY01176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MVTVFRNSLSCQANRVAAVVMLLLLSSACQAKLSSTFYEKSCPNALSTIRKPIRTAIARERRMAASLIRLHFHDCFVQVLVFPSEKLAPPNNHSVRGFEVIDQAKSAVEKVCPGVVSCADILAVAARDASVYVGGPSWTVKLGRRDSTTASPCLACSDLPRPNDSLKRLISRFRAKGLSARDMVALSGAHTIGQAQCFTFQERIYSNGSNIDAGFASKRRLRCPATPGDGKSNLAALDLVTPNSFDNNYFKNLLQKKGLLQSDQVLFSGGSTDDIVSEYSRNPCTFKSDFATAMIKMGNIKPPTGSAGIIRKICSQVNN >EOX99659 pep chromosome:Theobroma_cacao_20110822:2:13144549:13148289:-1 gene:TCM_008390 transcript:EOX99659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 6 MDRRPTESPVYTRRWSSDSGSGSTGAAVDSPTLSPARHQPHHSRSSSATGISSIKRTQNFAAKAAAQRLAQVMASQTTDDDDDENDGDDLGFRYSAPPPLALSRNVNATATTGGAGNKAAMNSTRIGRSPSPALARNFLEEAPTVRSTSAGRSPVSLRVAPPVPPPSKTSLRTAVSLPSEPPKNQQPEKRFASDIGFNSKDTGDQHEASALRDELDMLQEENENVLDKLRHEEEQCKDVEARVRELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKDAKQTKDVVDTEILSLRSEVENAKDEVTAVIRQLHGAESEVKALRSMTQRMILTQKEMEEVVLKRCWLARYWGLAARYGICADIALSKYEYWSSLAPLPFEVVVSAGQKAKEEFSEKGDDENEKRSKLVEDLNDLTGEGNIESMLSVEMGLKELASLKVPALQEKKCWCYVHSTALINFWLCFEG >EOX99656 pep chromosome:Theobroma_cacao_20110822:2:13143530:13148580:-1 gene:TCM_008390 transcript:EOX99656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 6 MDRRPTESPVYTRRWSSDSGSGSTGAAVDSPTLSPARHQPHHSRSSSATGISSIKRTQNFAAKAAAQRLAQVMASQTTDDDDDENDGDDLGFRYSAPPPLALSRNVNATATTGGAGNKAAMNSTRIGRSPSPALARNFLEEAPTVRSTSAGRSPVSLRVAPPVPPPSKTSLRTAVSLPSEPPKNQQPEKRFASDIGFNSKDTGDQHEASALRDELDMLQEENENVLDKLRHEEEQCKDVEARVRELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKDAKQTKDVVDTEILSLRSEVENAKDEVTAVIRQLHGAESEVKALRSMTQRMILTQKEMEEVVLKRCWLARYWGLAARYGICADIALSKYEYWSSLAPLPFEVVVSAGQKAKEEFSEKGDDENEKRSKLVEDLNDLTGEGNIES >EOX99654 pep chromosome:Theobroma_cacao_20110822:2:13142049:13148580:-1 gene:TCM_008390 transcript:EOX99654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 6 MDRRPTESPVYTRRWSSDSGSGSTGAAVDSPTLSPARHQPHHSRSSSATGISSIKRTQNFAAKAAAQRLAQVMASQTTDDDDDENDGDDLGFRYSAPPPLALSRNVNATATTGGAGNKAAMNSTRIGRSPSPALARNFLEEAPTVRSTSAGRSPVSLRVAPPVPPPSKTSLRTAVSLPSEPPKNQQPEKRFASDIGFNSKDTGDQHEASALRDELDMLQEENENVLDKLRHEEEQCKDVEARVRELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKDAKQTKDVVDTEILSLRSEVENAKDEVTAVIRQLHGAESEVKALRSMTQRMILTQKEMEEVVLKRCWLARYWGLAARYGICADIALSKYEYWSSLAPLPFEVVVSAGQKAKEEFSEKGDDENEKRSKLVEDLNDLTGEGNIESMLSVEMGLKELASLKVEEAIVHALAQQRRANSVRLSLSDIKSPRDPKFMEAFVEEGLMELRKLGIEHRLWEASRKEIDQDNSTSKKFAK >EOX99658 pep chromosome:Theobroma_cacao_20110822:2:13144549:13148289:-1 gene:TCM_008390 transcript:EOX99658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 6 MDRRPTESPVYTRRWSSDSGSGSTGAAVDSPTLSPARHQPHHSRSSSATGISSIKRTQNFAAKAAAQRLAQVMASQTTDDDDDENDGDDLGFRYSAPPPLALSRNVNATATTGGAGNKAAMNSTRIGRSPSPALARNFLEEAPTVRSTSAGRSPVSLRVAPPVPPPSKTSLRTAVSLPSEPPKNQQPEKRFASDIGFNSKDTGDQHEASALRDELDMLQEENENVLDKLRHEEEQCKDVEARVRELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKDAKQTKDVVDTEILSLRSEVENAKDEVTAVIRQLHGAESEVKALRSMTQRMILTQKEMEEVVLKRCWLARYWGLAARYGICADIALSKYEYWSSLAPLPFEVVVSAGQKAKEEFSEKGDDENEKRSKLVEDLNDLTGEGNIESMLSVEMGLKELASLKVPALQEKKCWCYVHSTALINFWLCFEG >EOX99653 pep chromosome:Theobroma_cacao_20110822:2:13142078:13148526:-1 gene:TCM_008390 transcript:EOX99653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 6 MDRRPTESPVYTRRWSSDSGSGSTGAAVDSPTLSPARHQPHHSRSSSATGISSIKRTQNFAAKAAAQRLAQVMASQTTDDDDDENDGDDLGFRYSAPPPLALSRNVNATATTGGAGNKAAMNSTRIGRSPSPALARNFLEEAPTVRSTSAGRSPVSLRVAPPVPPPSKTSLRTAVSLPSEPPKNQQPEKRFASDIGFNSKDTGDQHEASALRDELDMLQEENENVLDKLRHEEEQCKDVEARVRELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKDAKQTKDVVDTEILSLRSEVENAKDEVTAVIRQLHGAESEVKALRSMTQRMILTQKEMEEVVLKRCWLARYWGLAARYGICADIALSKYEYWSSLAPLPFEVVVSAGQKAKEEFSEKGDDENEKRSKLVEDLNDLTGEGNIESMLSVEMGLKELASLKVEEAIVHALAQQRRANSVRLSLSDIKSPRDPKFMEAFELSPEESEDVLFKEAWLTYFWRRAKAHGIEDDTAKERLKFWISRSGHSPSSHDAVDVEEGLMELRKLGIEHRLWEASRKEIDQDNSTSKKFAK >EOX99655 pep chromosome:Theobroma_cacao_20110822:2:13143752:13148289:-1 gene:TCM_008390 transcript:EOX99655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 6 MDRRPTESPVYTRRWSSDSGSGSTGAAVDSPTLSPARHQPHHSRSSSATGISSIKRTQNFAAKAAAQRLAQVMASQTTDDDDDENDGDDLGFRYSAPPPLALSRNVNATATTGGAGNKAAMNSTRIGRSPSPALARNFLEEAPTVRSTSAGRSPVSLRVAPPVPPPSKTSLRTAVSLPSEPPKNQQPEKRFASDIGFNSKDTGDQHEASALRDELDMLQEENENVLDKLRHEEEQCKDVEARVRELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKDAKQTKDVVDTEILSLRSEVENAKDEVTAVIRQLHGAESEVKALRSMTQRMILTQKEMEEVVLKRCWLARYWGLAARYGICADIALSKYEYWSSLAPLPFEVVVSAGQKAKEEFSEKGDDENEKRSKLVEDLNDLTGEGNIESMLSVEMGLKELASLKVEEAIVHALAQQRRANSVRLSLSDIKSPRDPKFMEAFV >EOX99657 pep chromosome:Theobroma_cacao_20110822:2:13143732:13148526:-1 gene:TCM_008390 transcript:EOX99657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 6 MDRRPTESPVYTRRWSSDSGSGSTGAAVDSPTLSPARHQPHHSRSSSATGISSIKRTQNFAAKAAAQRLAQVMASQTTDDDDDENDGDDLGFRYSAPPPLALSRNVNATATTGGAGNKAAMNSTRIGRSPSPALARNFLEEAPTVRSTSAGRSPVSLRVAPPVPPPSKTSLRTAVSLPSEPPKNQQPEKRFASDIGFNSKDTGDQHEASALRDELDMLQEENENVLDKLRHEEEQCKDVEARVRELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKDAKQTKDVVDTEILSLRSEVENAKDEVTAVIRQLHGAESEVKALRSMTQRMILTQKEMEEVVLKRCWLARYWGLAARYGICADIALSKYEYWSSLAPLPFEVVVSAGQKAKEEFSEKGDDENEKRSKLVEDLNDLTGEGNIES >EOX99758 pep chromosome:Theobroma_cacao_20110822:2:15536210:15542382:-1 gene:TCM_008631 transcript:EOX99758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase isoform 2 MDSFSSSFNSFLNHFRASANSFLSQFEPLALLLAPLLTLLVARFLQSFLSVIHEKGLKATFLGFFMSFIKLIPGVKSYVDAEKQKVVDKLHSGSKSIREKWRTDLPTTGLGVGVIDEMKDEKSKDVDWQGKCSGTVYIGGSESEGHFSLINEACSMFAHTNPLHLDVFKSVARFEAEVVAMTAALLGSKEKASGGQVCGNMTSGGTESILLAVKTSRDYMKMKKGITKPEMIIAESAHSAYDKAAQYFNIKLRRVPVDKEFQADVKAIRQHINRNTILIVGSAPGFPHGIIDPIEELGKLAYSYGVCFHVDLCLGGFVLPFAHKLGYPIPSFDFTVMGVTSISVDVHKYGLAPKGTSVVLYRDHDIRKHSYLHHSSTCASC >EOX99757 pep chromosome:Theobroma_cacao_20110822:2:15537628:15542299:-1 gene:TCM_008631 transcript:EOX99757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase isoform 2 MDSFSSSFNSFLNHFRASANSFLSQFEPLALLLAPLLTLLVARFLQSFLSVIHEKGLKATFLGFFMSFIKLIPGVKSYVDAEKQKVVDKLHSGSKSIREKWRTDLPTTGLGVGVIDEMKDEKSKDVDWQGKCSGTVYIGGSESEGHFSLINEACSMLFAHTNPLHLDVFKSVARFEAEVVAMTAALLGSKEKASGGQVCGNMTSGGTESILLAVKTSRDYMKMKKGITKPEMIIAESAHSAYDKAAQYFNIKLRRVPVDKEFQADVKAIRQHINRNTILIVGSAPGFPHGIIDPIEELGKLAYSYGVCFHVDLCLGGFVLPFAHKLGYPIPSFDFTVMGVTSISVDVHKYGLAPKGTSVVLYRDHDIRKHQFVAGY >EOX99755 pep chromosome:Theobroma_cacao_20110822:2:15536234:15542498:-1 gene:TCM_008631 transcript:EOX99755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase isoform 2 MDSFSSSFNSFLNHFRASANSFLSQFEPLALLLAPLLTLLVARFLQSFLSVIHEKGLKATFLGFFMSFIKLIPGVKSYVDAEKQKVVDKLHSGSKSIREKWRTDLPTTGLGVGVIDEMKDEKSKDVDWQGKCSGTVYIGGSESEGHFSLINEACSMFAHTNPLHLDVFKSVARFEAEVVAMTAALLGSKEKASGGQVCGNMTSGGTESILLAVKTSRDYMKMKKGITKPEMIIAESAHSAYDKAAQYFNIKLRRVPVDKEFQADVKAIRQHINRNTILIVGSAPGFPHGIIDPIEELGKLAYSYGVCFHVDLCLGGFVLPFAHKLGYPIPSFDFTVMGVTSISVDVHKYGLAPKGTSVVLYRDHDIRKHQFVAVTEWSGGLYVSPTIAGSRPGGLIAGAWAAMMSLGQEGSKMPF >EOX99754 pep chromosome:Theobroma_cacao_20110822:2:15536234:15542498:-1 gene:TCM_008631 transcript:EOX99754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase isoform 2 MDSFSSSFNSFLNHFRASANSFLSQFEPLALLLAPLLTLLVARFLQSFLSVIHEKGLKATFLGFFMSFIKLIPGVKSYVDAEKQKVVDKLHSGSKSIREKWRTDLPTTGLGVGVIDEMKDEKSKDVDWQGKCSGTVYIGGSESEGHFSLINEACSMFAHTNPLHLDVFKSVARFEAEVVAMTAALLGSKEKASGGQVCGNMTSGGTESILLAVKTSRDYMKMKKGITKPEMIIAESAHSAYDKAAQYFNIKLRRVPVDKEFQADVKAIRQHINRNTILIVGSAPGFPHGIIDPIEELGKLAYSYGVCFHVDLCLGGFVLPFAHKLGYPIPSFDFTVMGVTSISVDVHKYGLAPKGTSVVLYRDHDIRKHQFVAVTEWSGGLYVSPTIAGSRPGGLIAGAWAAMMSLGQEGYLENTRNIMEASKMIQNGFEFISHFLLNSLILR >EOX99756 pep chromosome:Theobroma_cacao_20110822:2:15536210:15542382:-1 gene:TCM_008631 transcript:EOX99756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase isoform 2 MDSFSSSFNSFLNHFRASANSFLSQFEPLALLLAPLLTLLVARFLQSFLSVIHEKGLKATFLGFFMSFIKLIPGVKSYVDAEKQKVVVDKLHSGSKSIREKWRTDLPTTGLGVGVIDEMKDEKSKDVDWQGKCSGTVYIGGSESEGHFSLINEACSMLFAHTNPLHLDVFKSVARFEAEVVAMTAALLGSKEKASGGQVCGNMTSGGTESILLAVKTSRDYMKMKKGITKPEMIIAESAHSAYDKAAQYFNIKLRRVPVDKEFQADVKAIRQHINRNTILIVGSAPGFPHGIIDPIEELGKLAYSYGVCFHVDLCLGGFVLPFAHKLGYPIPSFDFTVMGVTSISVDVHKYGLAPKGTSVVLYRDHDIRKSINLLLLLSGREGFMCLQP >EOX99753 pep chromosome:Theobroma_cacao_20110822:2:15535413:15542388:-1 gene:TCM_008631 transcript:EOX99753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase isoform 2 MDSFSSSFNSFLNHFRASANSFLSQFEPLALLLAPLLTLLVARFLQSFLSVIHEKGLKATFLGFFMSFIKLIPGVKSYVDAEKQKVVDKLHSGSKSIREKWRTDLPTTGLGVGVIDEMKDEKSKDVDWQGKCSGTVYIGGSESEGHFSLINEACSMFAHTNPLHLDVFKSVARFEAEVVAMTAALLGSKEKASGGQVCGNMTSGGTESILLAVKTSRDYMKMKKGITKPEMIIAESAHSAYDKAAQYFNIKLRRVPVDKEFQADVKAIRQHINRNTILIVGSAPGFPHGIIDPIEELGKLAYSYGVCFHVDLCLGGFVLPFAHKLGYPIPSFDFTVMGVTSISVDVHKYGLAPKGTSVVLYRDHDIRKHQFVAVTEWSGGLYVSPTIAGSRPGGLIAGAWAAMMSLGQEGYLENTRNIMEASKMIQNGIKEIPELFIIGRPDMTIVAFGSAVLDIFEVNDIMSCKGWHLNALQRPNSIHICITLQHVPVVDFFIQDLSEAVQTVKENPGPISGGLAPIYGAAGRMPDRGMVEELLVNYMDGTC >EOX98468 pep chromosome:Theobroma_cacao_20110822:2:5883602:5884501:1 gene:TCM_007224 transcript:EOX98468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLCKRRFNNFSISMYKYLPSSCTRPVQLIIAQGVFYLLLRMEQRTKATNISITLLLTILISSSSVHSRKLAGSPSPSPINLELIAGMRRPGSLPLACHSKCNQCKPCMPVEVSIRAAEFQEHEYYPQVWQCICQENIYPP >EOX98595 pep chromosome:Theobroma_cacao_20110822:2:6301481:6306811:1 gene:TCM_007307 transcript:EOX98595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein isoform 2 MSPEYFDAHITPLGWQQVDNLRKHVHECGLAKRIDLVITSPLLRTLQTTVGVFGGEGYTDRMDIVPLMVANAGNSGRAAISSLNCPPIIAVELCREHLGVHPCDKRRNISDYQFLFPAIDFSLIESDEDTWWKADVRETKEEVAARGLKFLNWLWTRKEKEIAIVTHSGFLFHTLSAFGNDCHPLVKKEICQHFANCELRSLVIVDRSMMGLDPSATNYPGKIPSGLDLPSDVVDEKA >EOX98594 pep chromosome:Theobroma_cacao_20110822:2:6301125:6307001:1 gene:TCM_007307 transcript:EOX98594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein isoform 2 MLLGPTPCFIGINLTPELTRRQRNSLSFLASSSSSSFFSAASITIPVRSQSTSSPLRCSPLHPLSPCRSEMDTTAGLSLFPMHRCKTLHLVRHAQGIHNVEGDKNYKAYMSPEYFDAHITPLGWQQVDNLRKHVHECGLAKRIDLVITSPLLRTLQTTVGVFGGEGYTDRMDIVPLMVANAGNSGRAAISSLNCPPIIAVELCREHLGVHPCDKRRNISDYQFLFPAIDFSLIESDEDTWWKADVRETKEEVAARGLKFLNWLWTRKEKEIAIVTHSGFLFHTLSAFGNDCHPLVKKEICQHFANCELRSLVIVDRSMMGLDPSATNYPGKIPSGLDLPSDVVDEKA >EOX98596 pep chromosome:Theobroma_cacao_20110822:2:6302828:6306826:1 gene:TCM_007307 transcript:EOX98596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein isoform 2 MSPEYFDAHITPLGWQQVDNLRKHVHECGLAKRIDLVITSPLLRTLQTTVGVFGGEGYTDRMDIVPLMVANAGNSGRAAISSLNCPPIIAVELCREHLGVHPCDKRRNISDYQFLFPAIDFSLAKSDEDTWWKADVRETKEEVAARGLKFLNWLWTRKEKEIAIVTHSGFLFHTLSAFGNDCHPLVKKEICQHFANCELRSLVIVDRSMMGLDPSATNYPGKIPSGLDLPSDVVDEKA >EOY01677 pep chromosome:Theobroma_cacao_20110822:2:40577927:40583601:-1 gene:TCM_011519 transcript:EOY01677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 B MDALSSSDGDSSIVNGEKSNENEEQWKAEEAIGGNAQALQALRELIVFPVLYSREARKLGLKWPRGLLLYGPPGTGKTSLVRAIVHESGAHLIVLSPHSVHRAHAGESEKILREAFSEASSHANSGKPSVIFIDEIDALCPRRDSRREQDVRLASQLFTLMDSNKPSPTSIPRVVVVASTNRVDAIDPALRRSGRFDAEVEVTTPNEEERFQILKLYTKKVPLDPNVDLQAVAASCNGYVGADLEALCREATMLAVKRSTDIGENPGALILTMEDWKHAKSVVGPSITRGVTVEIPKVSWEDVGGLKDLKKKLRQAVEWPIKHLAAFARLGISPMRGILLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSMYVGEGEALLRNTFRRARLAAPSIIFFDEADVVAAKRGGSSRSNAAVGERLLSTLLTEMDGLEQAKGILVLAATNRPHAIDAALMRPGRFDLVLYVPPPDLEARYEILRVHTRNMKIGDDVDLRRIAEDTELFTGAELEGLCREAGIVALRENISATMVEKHHFQTVKESLKPALTREEIESYSSFMKDQALMSPAGQQQGMRHKSSSLGVAFPIKVGVLSVILLAAGKYIFMQTGQTPHELVVT >EOY00221 pep chromosome:Theobroma_cacao_20110822:2:29937571:29942159:1 gene:TCM_010013 transcript:EOY00221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein, putative isoform 2 MAASAAATASSDATATTSSEGPVLNLINKRLRALRKKYNRILQMEESVSQGKPLNKEQEEVLRSKPAVSALIDELEKLRQPLSSAVSEEISLALQCQTIFPDETASEAQQDETEVQEQQPNEPDHAVEDLLNLLYFGSIFDVKSQNDFTSTMLTRTHERGCCLTYDYVTDDATDLLSEKDLDLISMLSGLLTSRPADSSLSHKNALHRCLHHAKLWLSNSDQPIEPNADVSYAGLRERLNKIMALDYFTTTPEMKAPVEVAAVAAGTYTTFQVPVHGVPISVPVQAEGSVGQYQQKEDTSNYQEAETGDNQYSAAEELQKVKNWR >EOY00220 pep chromosome:Theobroma_cacao_20110822:2:29937084:29942278:1 gene:TCM_010013 transcript:EOY00220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein, putative isoform 2 MAASAAATASSDATATTSSEGPVLNLINKRLRALRKKYNRILQMEESVSQGKPLNKEQEEVLRSKPAVSALIDELEKLRQPLSSAVSEEISLALQCQTIFPDETASEAQQDETEVQEQQPNEPDHAVEDLLNLLYFGSIFDVKSQNDFTSTMLTRTHERGCCLTYDYVTDDATDLLSEKDLDLISMLSGLLTSRPADSSLSHKNALHRCLHHAKLWLSNSDQPIEPNADVSYAGLRERLNKIMALDYFTTTPEMKAPVEVAAVAAGTYTTFQVPVHGVPISVPVQAEGSVGQYQQKEEDTSNYQEAETGDNQYSAAEELQKEELEIENHAPEDITVQDEQGTLQVDVEHNQRDVEPKEQQYVPRRPYQNQRGGRGTGGGRRGYSNGRGGRGSGRGGGAYQNGRSQYYDQPGNYYSRNYYNNRGRGGRGGGNPYNNHGSAVQGGHVSADVGVAS >EOY02195 pep chromosome:Theobroma_cacao_20110822:2:42278491:42284125:-1 gene:TCM_011900 transcript:EOY02195 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA arginine adenosine deaminase, putative isoform 1 MYNSYSISSSVLSFRSNGSVSFSFNDYSSNLLNSSIEKTTSPCSSCCSCCGCCCATFATHALPISSSFLYGLRQSALVQCSPSRRLILPARRRYFLRFPSCDFDHAPSEVSTASFVMRKTKGRFRCMVSEENSARHWLGGVDAAEGMISLLSEEVDADCFSAEKNRTSYKIVEVEKRKNYDSECSSQKKEREQVEKTRSYVSQCNNGNKKRMQVEERGSHVNKHDWEKNENVGSGLLGSDSKHENESITIESREESKRKTERASALRAENRRGRTKSSSCSSYYSLSSSGDLESDTDLPDQEEQFVEESLSGHVTELIRNENSRTEGWVAEGFKKDNVGGSTVDWDLRKKSEKKLAEVSTEEIQSGAKSSQEYSRRVKNDESAYKKRSSSHEQLDDKGWEIRKQHSQTDNQVIGQSESRKKSQDVAEISKIHVSNAGATSQKLQFTGREANVKVSEIRDSQRLTESRMKIEEEDTTLVQSRSESRKKIWEEDTTMAQSSFQQTRKQHQQKGERIIGQLELRRKSECLSEINEAKNKKTSILQSETHKKKQDDTSSLYFTSNPETKKQGKDQKPPQRIESGKGLQAVTNISVIHADNIEMVTNSQTSSGKRLIEHESNLTSGLGLISDRSERHNGRVEQIKSRKENGKSVSSSWEEAEEASSFPSSLSLVSEAREQQLDVDVMGTEKRSTQAVLMPPESQVIAGGLQCDDSMTRISTQKASFETSESGSTSSYLHSTGRTTFAPHEPCKREMSETYGESINLTMCEDSLGSAQRLEESSLQFVGEFVEKARHDVLTSEVQQGNRSSDSNSAYNADKQGQDILGQYSKEELKMKKHDSRQSSKGSGAKGPSDEMWDVTDPSVQDLPEVEILQKTSTSEHAVVKRTGRSLWSLMADVIRLRWGSRAQTPSSGARSGGRTSPNESAGSETWFSGREPDENSEENLRRERGSMASEVITYQLGPGTQGEGDVSDSKRSTDKITQLEGNISPSSNMLDTGSASEGTSLTSQKEKHDGSSFVIASGKEMAQSSIQPLPARSIRRSPVVEGISETDRTDILGSGSIGVMERPLGARLTEASGSQVKDGELKQRKLQRVKQVPRDKFDEWEEAYTLEREQRKMDEMFMKEALLEAKKAADSWEVPVGAVLVQHGKIIARGRNLVEELRDSTAHAEMICIREASSTIRSWRLAAFS >EOY02194 pep chromosome:Theobroma_cacao_20110822:2:42278491:42284289:-1 gene:TCM_011900 transcript:EOY02194 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA arginine adenosine deaminase, putative isoform 1 MRKTKGRFRCMVSEENSARHWLGGVDAAEGMISLLSEEVDADCFSAEKNRTSYKIVEVEKRKNYDSECSSQKKEREQVEKTRSYVSQCNNGNKKRMQVEERGSHVNKHDWEKNENVGSGLLGSDSKHENESITIESREESKRKTERASALRAENRRGRTKSSSCSSYYSLSSSGDLESDTDLPDQEEQFVEESLSGHVTELIRNENSRTEGWVAEGFKKDNVGGSTVDWDLRKKSEKKLAEVSTEEIQSGAKSSQEYSRRVKNDESAYKKRSSSHEQLDDKGWEIRKQHSQTDNQVIGQSESRKKSQDVAEISKIHVSNAGATSQKLQFTGREANVKVSEIRDSQRLTESRMKIEEEDTTLVQSRSESRKKIWEEDTTMAQSSFQQTRKQHQQKGERIIGQLELRRKSECLSEINEAKNKKTSILQSETHKKKQDDTSSLYFTSNPETKKQGKDQKPPQRIESGKGLQAVTNISVIHADNIEMVTNSQTSSGKRLIEHESNLTSGLGLISDRSERHNGRVEQIKSRKENGKSVSSSWEEAEEASSFPSSLSLVSEAREQQLDVDVMGTEKRSTQAVLMPPESQVIAGGLQCDDSMTRISTQKASFETSESGSTSSYLHSTGRTTFAPHEPCKREMSETYGESINLTMCEDSLGSAQRLEESSLQFVGEFVEKARHDVLTSEVQQGNRSSDSNSAYNADKQGQDILGQYSKEELKMKKHDSRQSSKGSGAKGPSDEMWDVTDPSVQDLPEVEILQKTSTSEHAVVKRTGRSLWSLMADVIRLRWGSRAQTPSSGARSGGRTSPNESAGSETWFSGREPDENSEENLRRERGSMASEVITYQLGPGTQGEGDVSDSKRSTDKITQLEGNISPSSNMLDTGSASEGTSLTSQKEKHDGSSFVIASGKEMAQSSIQPLPARSIRRSPVVEGISETDRTDILGSGSIGVMERPLGARLTEASGSQVKDGELKQRKLQRVKQVPRDKFDEWEEAYTLEREQRKMDEMFMKEALLEAKKAADSWEVPVGAVLVQHGKIIARGRNLVEELRDSTAHAEMICIREASSTIRSWRLADTTLYVTLEPCPMCAGAILQARVDTLVWGAPNKLLGADGSWIRLFPDGRGGGNGSEPTDKPAAPVHPFHPKMTIRRGILASECADTMQQYFQLRRKNKEKNAERPPSPSCLPITSHPSKIITKMHDIFHVMFCL >EOY02193 pep chromosome:Theobroma_cacao_20110822:2:42278161:42284660:-1 gene:TCM_011900 transcript:EOY02193 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA arginine adenosine deaminase, putative isoform 1 MYNSYSISSSVLSFRSNGSVSFSFNDYSSNLLNSSIEKTTSPCSSCCSCCGCCCATFATHALPISSSFLYGLRQSALVQCSPSRRLILPARRRYFLRFPSCDFDHAPSEVSTASFVMRKTKGRFRCMVSEENSARHWLGGVDAAEGMISLLSEEVDADCFSAEKNRTSYKIVEVEKRKNYDSECSSQKKEREQVEKTRSYVSQCNNGNKKRMQVEERGSHVNKHDWEKNENVGSGLLGSDSKHENESITIESREESKRKTERASALRAENRRGRTKSSSCSSYYSLSSSGDLESDTDLPDQEEQFVEESLSGHVTELIRNENSRTEGWVAEGFKKDNVGGSTVDWDLRKKSEKKLAEVSTEEIQSGAKSSQEYSRRVKNDESAYKKRSSSHEQLDDKGWEIRKQHSQTDNQVIGQSESRKKSQDVAEISKIHVSNAGATSQKLQFTGREANVKVSEIRDSQRLTESRMKIEEEDTTLVQSRSESRKKIWEEDTTMAQSSFQQTRKQHQQKGERIIGQLELRRKSECLSEINEAKNKKTSILQSETHKKKQDDTSSLYFTSNPETKKQGKDQKPPQRIESGKGLQAVTNISVIHADNIEMVTNSQTSSGKRLIEHESNLTSGLGLISDRSERHNGRVEQIKSRKENGKSVSSSWEEAEEASSFPSSLSLVSEAREQQLDVDVMGTEKRSTQAVLMPPESQVIAGGLQCDDSMTRISTQKASFETSESGSTSSYLHSTGRTTFAPHEPCKREMSETYGESINLTMCEDSLGSAQRLEESSLQFVGEFVEKARHDVLTSEVQQGNRSSDSNSAYNADKQGQDILGQYSKEELKMKKHDSRQSSKGSGAKGPSDEMWDVTDPSVQDLPEVEILQKTSTSEHAVVKRTGRSLWSLMADVIRLRWGSRAQTPSSGARSGGRTSPNESAGSETWFSGREPDENSEENLRRERGSMASEVITYQLGPGTQGEGDVSDSKRSTDKITQLEGNISPSSNMLDTGSASEGTSLTSQKEKHDGSSFVIASGKEMAQSSIQPLPARSIRRSPVVEGISETDRTDILGSGSIGVMERPLGARLTEASGSQVKDGELKQRKLQRVKQVPRDKFDEWEEAYTLEREQRKMDEMFMKEALLEAKKAADSWEVPVGAVLVQHGKIIARGRNLVEELRDSTAHAEMICIREASSTIRSWRLADTTLYVTLEPCPMCAGAILQARVDTLVWGAPNKLLGADGSWIRLFPDGRGGGNGSEPTDKPAAPVHPFHPKMTIRRGILASECADTMQQYFQLRRKNKEKNAERPPSPSCLPITSHPSKIITKMHDIFHVMFCL >EOX97690 pep chromosome:Theobroma_cacao_20110822:2:3057244:3063028:1 gene:TCM_006636 transcript:EOX97690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin A isoform 4 MGFFSTVLGFCGFGVGISAGLVIGYYFFIYFQPSDVKDPEIRPLVEQDSETLQRMLPEIPLWVKNPDYDRVDWINKFLEYMWPYLDKAICATAKNIAKPIIEEQIPKYKIDAVEFETLTLGSLPPTFQGMKVYVTDEKELIMEPLIKWAGNPNVTVAVKAFGLKATVQVVDLQVFALPRITLKPLVPSFPCFANIYVSLMEKPHIDFGLKLIGADLMSIPGLYRFVQELIKDQVANMYLWPKTLQVPILDPAKAFKRPVGILHVKVVRAMKLRKKDLLGASDPYVKLKLTEDKLSSKKTTVKHKNLNPGWNEEFDMLVKDPETQALEFNVFDWEQVGKHDKMGMNVVPLKELTPEEPKVMTLELLKNMDLNDPQNEKSRGQLVMELTYKPLKDDEIPKTFEESKTVQKAPEDTPDGGGVLVVIVHEAQDVEGKHHTNPYVRVLFRGEEKKTKHVKKNRDPRWEEEFAFMLDEPPTNDKLHMEVLSSSSRIGLLHPKCSSLYLDLEDCTRILLFISRGAL >EOX97691 pep chromosome:Theobroma_cacao_20110822:2:3057244:3063708:1 gene:TCM_006636 transcript:EOX97691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin A isoform 4 MGFFSTVLGFCGFGVGISAGLVIGYYFFIYFQPSDVKDPEIRPLVEQDSETLQRMLPEIPLWVKNPDYDRVDWINKFLEYMWPYLDKAICATAKNIAKPIIEEQIPKYKIDAVEFETLTLGSLPPTFQGMKVYVTDEKELIMEPLIKWAGNPNVTVAVKAFGLKATVQVVDLQVFALPRITLKPLVPSFPCFANIYVSLMEKPHIDFGLKLIGADLMSIPGLYRFVQELIKDQVANMYLWPKTLQVPILDPAKAFKRPVGILHVKVVRAMKLRKKDLLGASDPYVKLKLTEDKLSSKKTTVKHKNLNPGWNEEFDMLVKDPETQALEFNVFDWEQVGKHDKMGMNVVPLKELTPEEPKVMTLELLKNMDLNDPQNEKSRGQLVMELTYKPLKDDEIPKTFEESKTVQKAPEDTPDGGGVLVVIVHEAQDVEGKHHTNPYVRVLFRGEEKKTKHVKKNRDPRWEEEFAFMLDEPPTNDKLHMEVLSSSSRIGLLHPKVLVATLLACCVVIISFNSVYLPDFSMSFYRHTCIKLCCLDLDLGVGHGLMFDS >EOX97692 pep chromosome:Theobroma_cacao_20110822:2:3057244:3063708:1 gene:TCM_006636 transcript:EOX97692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin A isoform 4 MSMPLVSVTFFPCPSTCVYCLYSENCLFSVVLYVKVDWINKFLEYMWPYLDKAICATAKNIAKPIIEEQIPKYKIDAVEFETLTLGSLPPTFQGMKVYVTDEKELIMEPLIKWAGNPNVTVAVKAFGLKATVQVVDLQVFALPRITLKPLVPSFPCFANIYVSLMEKPHIDFGLKLIGADLMSIPGLYRFVQELIKDQVANMYLWPKTLQVPILDPAKAFKRPVGILHVKVVRAMKLRKKDLLGASDPYVKLKLTEDKLSSKKTTVKHKNLNPGWNEEFDMLVKDPETQALEFNVFDWEQVGKHDKMGMNVVPLKELTPEEPKVMTLELLKNMDLNDPQNEKSRGQLVMELTYKPLKDDEIPKTFEESKTVQKAPEDTPDGGGVLVVIVHEAQDVEGKHHTNPYVRVLFRGEEKKTKHVKKNRDPRWEEEFAFMLDEPPTNDKLHMEVLSSSSRIGLLHPKESLGYIDINLSDVVSNRRINERYHLIDSKNGRIQIELQWRTK >EOX97689 pep chromosome:Theobroma_cacao_20110822:2:3057121:3063730:1 gene:TCM_006636 transcript:EOX97689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin A isoform 4 MGFFSTVLGFCGFGVGISAGLVIGYYFFIYFQPSDVKDPEIRPLVEQDSETLQRMLPEIPLWVKNPDYDRVDWINKFLEYMWPYLDKAICATAKNIAKPIIEEQIPKYKIDAVEFETLTLGSLPPTFQGMKVYVTDEKELIMEPLIKWAGNPNVTVAVKAFGLKATVQVVDLQVFALPRITLKPLVPSFPCFANIYVSLMEKPHIDFGLKLIGADLMSIPGLYRFVQELIKDQVANMYLWPKTLQVPILDPAKAFKRPVGILHVKVVRAMKLRKKDLLGASDPYVKLKLTEDKLSSKKTTVKHKNLNPGWNEEFDMLVKDPETQALEFNVFDWEQVGKHDKMGMNVVPLKELTPEEPKVMTLELLKNMDLNDPQNEKSRGQLVMELTYKPLKDDEIPKTFEESKTVQKAPEDTPDGGGVLVVIVHEAQDVEGKHHTNPYVRVLFRGEEKKTKHVKKNRDPRWEEEFAFMLDEPPTNDKLHMEVLSSSSRIGLLHPKESLGYIDINLSDVVSNRRINERYHLIDSKNGRIQIELQWRTK >EOX99788 pep chromosome:Theobroma_cacao_20110822:2:16385492:16388762:-1 gene:TCM_008720 transcript:EOX99788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFYTYRDALDIEFHNQKCVGKILFPFCLKSIGNRRNVKQLSLILLFNYFTCGYYFDVIEENNINDKCEINRFTDDWGCGVANFEEKRARKLMGALVNVLEWLEDPADLWQEPQK >EOY01880 pep chromosome:Theobroma_cacao_20110822:2:41253858:41257111:-1 gene:TCM_011679 transcript:EOY01880 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 1 MAGQRNDYGKRSHFQSDYVGNGGGGGKRRNAGEESEQRGIGSEDTVYRYLCHVKRIGSIIGRGGEIVKQLRSDSKSNIRITEAMPGCEERIVMIYSSSEETNPFGDGDELVSPAQDALFRVHDRVVADELPGDEDLEEQTQVVTVRMLVASDQIGCVIGKGGQVIQNIRSETHAQIRVLSNEHLPACALSSDELLQIIGEPSVVRRALYHVASRLHDNPSRSQHLLLSSLSNVNQPGGMYMNAPLIGSYGNYSSRRDDASAREFSLRLVCPVGNIGGVIGKGGGIIKQIRQESGASIKVDSSAAEGDDCIIFISTKEFFEDPSPTINAALRLQSRCSEKTERESGDSVITTRLLVPSSQVGCLIGKGGAIISEMRSATRASIRILSKENLPKVAFEDEEMVQITGGLDVASNALSQIISRLRANIFEREGAQATFLPVLPYIPVSLDMSDGSKYGNKDGQPRNRGYSSYSGGYSSGDLSASDSYGIYSGSLWR >EOY01883 pep chromosome:Theobroma_cacao_20110822:2:41252994:41257111:-1 gene:TCM_011679 transcript:EOY01883 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 1 MAGQRNDYGKRSHFQSDYVGNGGGGGKRRNAGEESEQRGIGSEDTVYRYLCHVKRIGSIIGRGGEIVKQLRSDSKSNIRITEAMPGCEERIVMIYSSSEETNPFGDGDELVSPAQDALFRVHDRVVADELPGDEDLEEQTQVVTVRMLVASDQIGCVIGKGGQVIQNIRSETHAQIRVLSNEHLPACALSSDELLQIIGEPSVVRRALYHVASRLHDNPSRSQHLLLSSLSNVNQPGGMYMNAPLIGSYGNYSSRRDDASAREFSLRLVCPVGNIGGVIGKGGGIIKQIRQESGASIKVDSSAAEGDDCIIFISTKEFFEDPSPTINAALRLQSRCSEKTERESGDSVITTRLLVPSSQVGCLIGKGGAIISEMRSATRASIRILSKENLPKVAFEDEEMVQITGGLDVASNALSQIISRLRANIFEREGAQATFLPVLPYIPVSLDMSDGSKYGNKDGQPRNRGYSSYSGGYSSGDLSASDSYGIYSGSLWR >EOY01881 pep chromosome:Theobroma_cacao_20110822:2:41251545:41257134:-1 gene:TCM_011679 transcript:EOY01881 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 1 MAGQRNDYGKRSHFQSDYVGNGGGGGKRRNAGEESEQRGIGSEDTVYRYLCHVKRIGSIIGRGGEIVKQLRSDSKSNIRITEAMPGCEERIVMIYSSSEETNPFGDGDELVSPAQDALFRVHDRVVADELPGDEDLEEQTQVVTVRMLVASDQIGCVIGKGGQVIQNIRSETHAQIRVLSNEHLPACALSSDELLQIIGEPSVVRRALYHVASRLHDNPSRSQHLLLSSLSNVNQPGGMYMNAPLIGSYGNYSSRRDDVALQLKEMIALYSYLQDPSPTINAALRLQSRCSEKTERESGDSVITTRLLVPSSQVGCLIGKGGAIISEMRSATRASIRILSKENLPKVAFEDEEMVQITGGLDVASNALSQIISRLRANIFEREGAQATFLPVLPYIPVSLDMSDGSKYGNKDGQPRNRGYSSYSGGYSSGDLSASDSYGIYSGSLSGGDIYGSHGGRNSGRGLSNQNPVSHRKHGY >EOY01884 pep chromosome:Theobroma_cacao_20110822:2:41251112:41256718:-1 gene:TCM_011679 transcript:EOY01884 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 1 MAGQRNDYGKRSHFQSDYVGNGGGGGKRRNAGEESEQRGIGSEDTVYRYLCHVKRIGSIIGRGGEIVKQLRSDSKSNIRITEAMPGCEERIVMIYSSSEETNPFGDGDELVSPAQDALFRVHDRVVADELPGDEDLEEQTQVVTVRMLVASDQIGCVIGKGGQVIQNIRSETHAQIRVLSNEHLPACALSSDELLQIIGEPSVVRRALYHVASRLHDNPSRSQHLLLSSLSNVNQPGGMYMNAPLIGSYGNYSSRRDDASAREFSLRLVCPVGNIGGVIGKGGGIIKQIRQESGASIKVDSSAAEGDDCIIFISTKEFFEDPSPTINAALRLQSRCSEKTERESGDSVITTRLLVPSSQVGCLIGKGGAIISEMRSATRASIRILSKENLPKVAFEDEEMVQITGGLDVASNALSQIISRLRANIFEREGAQATFLPVLPYIPVSLDMSDGSKYGNKDGQPRNRGYSSYSGGYSSGDLSASDSYGIYSGSLSGGDIYGSHGGRNSGRGFIDLISHRSKPSNPCYQTIIYMS >EOY01886 pep chromosome:Theobroma_cacao_20110822:2:41254230:41256661:-1 gene:TCM_011679 transcript:EOY01886 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 1 MPGCEERIVMIYSSSEETNPFGDGDELVSPAQDALFRVHDRVVADELPGDEDLEEQTQVVTVRMLVASDQIGCVIGKGGQVIQNIRSETHAQIRVLSNEHLPACALSSDELLQIIGEPSVVRRALYHVASRLHDNPSRSQHLLLSSLSNVNQPGGMYMNAPLIGSYGNYSSRRDDASAREFSLRLVCPVGNIGGVIGKGGGIIKQIRQESGASIKVDSSAAEGDDCIIFISTKEFFEDPSPTINAALRLQSRCSEKTERESGDSVITTRLLVPSSQVGCLIGKGGAIISEMRSATRASIRILSKENLPKVAFEDEEMVQITGGLDVASNALSQIISRLRANIFEREGAQATFLPVLPYIPVSLDMSDGSKYGNKDGQPRNRGYSSYSGGYSSGDLSASDSYGIYSGSLVSLSWDPFTNISLQRCVSILLLGFIWLFWVLSKVQYLHVRMIHQLHISDGLHA >EOY01882 pep chromosome:Theobroma_cacao_20110822:2:41251897:41257111:-1 gene:TCM_011679 transcript:EOY01882 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 1 MAGQRNDYGKRSHFQSDYVGNGGGGGKRRNAGEESEQRGIGSEDTVYRYLCHVKRIGSIIGRGGEIVKQLRSDSKSNIRITEAMPGCEERIVMIYSSSEETNPFGDGDELVSPAQDALFRVHDRVVADELPGDEDLEEQTQVVTVRMLVASDQIGCVIGKGGQVIQNIRSETHAQIRVLSNEHLPACALSSDELLQIIGEPSVVRRALYHVASRLHDNPSRSQHLLLSSLSNVNQPGGMYMNAPLIGSYGNYSSRRDDASAREFSLRLVCPVGNIGGVIGKGGGIIKQIRQESGASIKVDSSAAEGDDCIIFISTKEFFEDPSPTINAALRLQSRCSEKTERESGDSVITTRLLVPSSQVGCLIGKGGAIISEMRSATRASIRILSKENLPKVAFEDEEMVQITGGLDVASNALSQIISRLRANIFEREGAQATFLPVLPYIPVSLDMSDGSKYGNKDGQPRNRGYSSYSGGYSSGDLSASDSYGIYSGSLWR >EOY01885 pep chromosome:Theobroma_cacao_20110822:2:41251876:41256718:-1 gene:TCM_011679 transcript:EOY01885 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 1 MAGQRNDYGKRSHFQSDYVGNGGGGGKRRNAGEESEQRGIGSEDTVYRYLCHVKRIGSIIGRGGEIVKQLRSDSKSNIRITEAMPGCEERIVMIYSSSEETNPFGDGDELVSPAQDALFRVHDRVVADELPGDEDLEEQTQVVTVRMLVASDQIGCVIGKGGQVIQNIRSETHAQIRVLSNEHLPACALSSDELLQIIGEPSVVRRALYHVASRLHDNPSRSQHLLLSSLSNVNQPGGMYMNAPLIGSYGNYSSRRDDASAREFSLRLVCPVGNIGGVIGKGGGIIKQIRQESGASIKVDSSAAEGDDCIIFISTKEFFEDPSPTINAALRLQSRCSEKTERESGDSVITTRLLVPSSQVGCLIGKGGAIISEMRSATRASIRILSKENLPKVAFEDEEMVQITGGLDVASNALSQIISRLRANIFEREGAQATFLPVLPYIPVSLDMSDGSKYGNKDGQPRNRGYSSYSGGYSSGDLSASDR >EOY01879 pep chromosome:Theobroma_cacao_20110822:2:41253397:41257129:-1 gene:TCM_011679 transcript:EOY01879 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 1 MAGQRNDYGKRSHFQSDYVGNGGGGGKRRNAGEESEQRGIGSEDTVYRYLCHVKRIGSIIGRGGEIVKQLRSDSKSNIRITEAMPGCEERIVMIYSSSEETNPFGDGDELVSPAQDALFRVHDRVVADELPGDEDLEEQTQVVTVRMLVASDQIGCVIGKGGQVIQNIRSETHAQIRVLSNEHLPACALSSDELLQIIGEPSVVRRALYHVASRLHDNPSRSQHLLLSSLSNVNQPGGMYMNAPLIGSYGNYSSRRDDASAREFSLRLVCPVGNIGGVIGKGGGIIKQIRQESGASIKVDSSAAEGDDCIIFISTKEFFEDPSPTINAALRLQSRCSEKTERESGDSVITTRLLVPSSQVGCLIGKGGAIISEMRSATRASIRILSKENLPKVAFEDEEMVQITGGLDVASNALSQIISRLRANIFEREGAQATFLPVLPYIPVSLDMSDGSKYGNKDGQPRNRGYSSYSGGYSSGDLSASDSYGIYSGSLSGGDIYGSHGGRNSGRGCCPIKTQFHIGSMGISPRLCSCRQQT >EOY00447 pep chromosome:Theobroma_cacao_20110822:2:32453367:32459031:-1 gene:TCM_010313 transcript:EOY00447 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD domain-containing metal-dependent phosphohydrolase family protein, putative isoform 4 MGTSWNEEGTSFYSLSCQDRRHSKHIHDNVHGNIYLDPFFLKLVDTEQFQRLRDLKQLGLTHMVYPGAVHSRFEHSLGVYWLAGEAVHTIKAHQGSEINIERNDIRTVKLAGLLHDVGHGPFSHLFEREFLPRVLKGSKWSHEDMSVRMIDYIVDAHYIEIDSAILKNVKEMVLASSETASQKIMSEKRFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCNFQFQRLLETMRVMGDEICYRAKDYLTIHKLFATRADLHRTVYTHAKVKAIELMVVDALTLANDELSISASIHEPAKFCKLDDSILRQIETSDKQELKKARDLILRIRRRDLYQFCNEYSVPKDKQENFKDITPQDIVCSQENGGTTLKEEDVTVSVVKIDLTRGSSNPLESIKFFQDYESDYKFPIRDDGISHLLPTFCQDMIVRVYSKKPELVGAVSEAFENFQLKTYGMKAQVHDTPEKKKRRK >EOY00450 pep chromosome:Theobroma_cacao_20110822:2:32454967:32458783:-1 gene:TCM_010313 transcript:EOY00450 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD domain-containing metal-dependent phosphohydrolase family protein, putative isoform 4 LQLPCTYKRFYSPQGTSYLSLLEENDPNRSFHFSSLLCFALFKFTLLKQASAVRREWERVGTRRGPASTHCPARIDDTRSTSMTTSTATSTLIRFFLKLVDTEQFQRLRDLKQLGLTHMVYPGAVHSRFEHSLGVYWLAGEAVHTIKAHQGSEINIERNDIRTVKLAGLLHDVGHGPFSHLFEREFLPRVLKGSKWSHEDMSVRMIDYIVDAHYIEIDSAILKNVKEMVLASSETASQKIMSEKRFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCNFQFQRLLETMRVMGDEICYRAKDYLTIHKLFATRADLHRTVYTHAKVKAIELMVVDALTLANDELSISASIHEPAKFCKLDDSILRQIETSDKQELKKARDLILRIRRRDLYQVSFNNICK >EOY00448 pep chromosome:Theobroma_cacao_20110822:2:32454506:32458692:-1 gene:TCM_010313 transcript:EOY00448 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD domain-containing metal-dependent phosphohydrolase family protein, putative isoform 4 MGTSWNEEGTSFYSLSCQDRRHSKHIHDNVHGNIYLDPFFLKLVDTEQFQRLRDLKQLGLTHMVYPGAVHSRFEHSLGVYWLAGEAVHTIKAHQGSEINIERNDIRTVKLAGLLHDVGHGPFSHLFEREFLPRVLKGSKWSHEDMSVRMIDYIVDAHYIEIDSAILKNVKEMVLASSETASQKIMSEKRFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCNFQFQRLLETMRVMGDEICYRAKDYLTIHKLFATRADLHRTVYTHAKVKAIELMVVDALTLANDELSISASIHEPAKFCKLDDSILRQIETSDKQELKKARDLILRIRRRDLYQFCNEYSVPKDKQENFKDITPQDIVCSQENGGTTLKEEDVTVSVVKIDLTRGSSNPLERYLRKNIMYLMKALMKALFLLLSSLTDLLLII >EOY00449 pep chromosome:Theobroma_cacao_20110822:2:32453390:32458692:-1 gene:TCM_010313 transcript:EOY00449 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD domain-containing metal-dependent phosphohydrolase family protein, putative isoform 4 MGTSWNEEGTSFYSLSCQDRRHSKHIHDNVHGNIYLDPFFLKLVDTEQFQRLRDLKQLGLTHMVYPGAVHSRFEHSLGVYWLAGEAVHTIKAHQGSEINIERNDIRTVKLAGLLHDVGHGPFSHLFEREFLPRVLKGSKWSHEDMSVRMIDYIVDAHYIEIDSAILKNVKEMVLASSETASQKIMSEKRFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCNFQFQRLLETMRVMGDEICYRAKDYLTIHKLFATRADLHRTVYTHAKVKAIELMVVDALTLANDELSISASIHEPAKFCKLDDSILRQIETSDKQELKKARDLILRIRRRDLYQFCNEYSVPKDKQENFKDITPQDIVGRSSF >EOY01655 pep chromosome:Theobroma_cacao_20110822:2:40511103:40516477:1 gene:TCM_011501 transcript:EOY01655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 2 isoform 2 MASLSFTHFLSLSRWNSNSIYHPAPNLVQPQDFWLQNKWCLSAKKNGAISTQEEPSENGVVVKKKASRTTRRTPTRTRKKAKDDVPEENSELVVKNDAAKEESTSRSSEANKKTRRSRKKVASASTSVDEQKTEKKVRRRRTKKKDDSMEEQQSESEISDIEDSTLMTNSGDESEEDLELHIDEGEDISYTYGWPPLVCCFGAAQHAFVPSGRPANRLVDYEIHERMKDAIWAAEKFVRAPGGSAGSVALALASLGGKVAFMGKLGDDDYGQAMLCYLNVNNVQTRSLLIDSRRATAVSQMKVSKRGRLRMTSLRSCAEDSLSKSEINIDVLKEAKMFYFSTHSLLDRNMRSTTLKAIKMSKKLGGVIFYDVNLPLPLWQSDEETKIFIQEAWNLADVIEVTKQELEFLCGIKPTEEFDTKNNARSKFIHYDHEVVKPLWHENLKVLFVTNGTSKIHYYTKEQNGAAHGMEDAPITPFTCDMSASGDGIVAALLRMLTVQPDLITDKGYLECTIKYAIDCGVIDQWLVARTRGFPPREGIEKEGEEEKEEEEEAVPDLNGIRSVTEREYRTLVESIDGSLSHANV >EOY01653 pep chromosome:Theobroma_cacao_20110822:2:40511138:40515039:1 gene:TCM_011501 transcript:EOY01653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 2 isoform 2 MASLSFTHFLSLSRWNSNSIYHPAPNLVQPQDFWLQNKWCLSAKKNGAISTQEEPSENGVVVKKKASRTTRRTPTRTRKKAKDDVPEENSELVVKNDAAKEESTSRSSEANKKTRRSRKKVASASTSVDEQKTEKKVRRRRTKKKDDSMEEQQSESEISDIEDSTLMTNSGDESEEDLELHIDEGEDISYTYGWPPLVCCFGAAQHAFVPSGRPANRLVDYEIHERMKDAIWAAEKFVRAPGGSAGSVALALASLGGKVAFMGKLGDDDYGQAMLCYLNVNNVQTRSLLIDSRRATAVSQMKVSKRGRLRMTSLRSCAEDSLSKSEINIDVLKEAKMFYFSTHSLLDRNMRSTTLKAIKMSKKLGGVIFYDVNLPLPLWQSDEETKIFIQEAWNLADVIEVTKQELEFLCGIKPTEEFDTKNNARSKFIHYDHEVVKPLWHENLKVLFVTNGTSKIHYYTKEQNGAAHGMEDAPITPFTCDMSASGDGIVAALLRMLTVQPDLITDKGYLECTIKYAIDCGVIDQWLVARTRGFPPREGIEKEGEEEKEEEEEAVPDLNGIRSVTEREYRTLVESVS >EOY01654 pep chromosome:Theobroma_cacao_20110822:2:40510701:40516281:1 gene:TCM_011501 transcript:EOY01654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 2 isoform 2 MASLSFTHFLSLSRWNSNSIYHPAPNLVQPQDFWLQNKWCLSAKKNGAISTQEEPSENGVVVKKKASRTTRRTPTRTRKKAKDDVPEENSELVVKNDAAKEESTSRSSEANKKTRRSRKKVASASTSVDEQKTEKKVRRRRTKKKDDSMEEQQSESEISDIEDSTLMTNSGDESEEDLELHIDEGEDISYTYGWPPLVCCFGAAQHAFVPSGRPANRLVDYEIHERMKDAIWAAEKFVRAPGGSAGSVALALASLGGKVAFMGKLGDDDYGQAMLCYLNVNNVQTRSLLIDSRRATAVSQMKVSKRGRLRMTSLRSCAEDSLSKSEINIDVLKEAKMFYFSTHSLLDRNMRSTTLKAIKMSKKLGGVIFYDVNLPLPLWQSDEETKIFIQEAWNLADVIEVTKQELEFLCGIKPTEEFDTKNNARSKFIHYDHEVVKPLWHENLKVLFVTNGTSKIHYYTKEQNGAAHGMEDAPITPFTCDMSASGDGIVAALLRMLTVQPDLITDKGYLECTIKYAIDCGVIDQWLVARTRGFPPREGIEKEGEEEKEEEEEAVPDLNGIRSVTEREYRTLVESGTGWRGGWVSFAIQEAFNP >EOX98344 pep chromosome:Theobroma_cacao_20110822:2:5438964:5441008:-1 gene:TCM_007128 transcript:EOX98344 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor B1A, putative MGAKISRIAKRFGFLPQCRVRILMVGIDASGKTTILYKLKLGDLLKTKPTVGIQTHSLLRDLMADWKSDDNQERKKEGNCFNVETIECKNICFDIWDIGGQSKIRPLWRHYFLNVQGVIFVVDSTDRERISEARNELHWILSDNELANASLLVFANKQDLQNAMTSSEVADKLGLHCLGQRPWYIQGTSAHSGCGLYEGLDWLSKNISLKAESFSYSHIPHLFSHRNHQNEIDVTLIELEKEIVIF >EOX99081 pep chromosome:Theobroma_cacao_20110822:2:8409889:8414416:1 gene:TCM_007697 transcript:EOX99081 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative MSFLLEFLKCALCCEDEHVDGSCEDECSDVSDNETSFSNIYSTTRIYNTFSSWVSTPSSSIDESSSVTRTRNYPQHYLNSSLSSSLLNPTRKESTWQSRPSDVPVEYRVVLGSETNNPSSIGSLYLKSVDRIYQVPQNPRSSGTYNIHSSPTPTKPPQSSTKLILAPCSPSPSSLKPPTSSPKPPASSKLSPSSPKPPTPSKPSMWSPKPSSSSSKPSSSSSMPSSSSSNPLPSLKPTLSPVPSYFVDQQGKGKYTWADPKDTLPIYKIPKDIEDLIKRDIVPEVLVKALSPSTYQDYFAALLYAEDYYIEKWSNFELMNVSLELHPASIYQKSGQNKYSNASNEMDNKTFVVFKVDSLSKKRPFLLSRDFVFAQPSGKKIEPFQGVIYRVVKSTTILVEFEEKFHSQHDPTCRYNIRFSFNRVCLKRAHQAIAAASTPAPLFQKFLFPNSVSQNLMPTSTSFHLYNHKLGQNEKSAVRRILNFRGPPPFLVKGPLCATCNDGSKSFSKQLSRTGLVIKEAVLQIYQRHQGSKILVCAPINSTCDMIMRSLNLEIPVSDMFRANAAFREREGVPIDILPSCLYEEETDCFTCPSLTELRNFRVILSTFISSYRLYDAGITAGHFSYIFLVDASSATEPETMVALANLADECTTVLVTGAPGNRSTCVRSDIARQKGLRRSYFERLCELGPYKNDNPMFTAQLAKSVS >EOY02008 pep chromosome:Theobroma_cacao_20110822:2:41663082:41666073:-1 gene:TCM_011772 transcript:EOY02008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MVLVLAIGDLHIPHRAPDLPPKFKSMLVPGKIQHIICTGNLCVKEVHDYFKSLCPDLHVTRGEFDEDSRYPENKTLTIGQFKLGICHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSFTYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATTRSAQ >EOY02007 pep chromosome:Theobroma_cacao_20110822:2:41663099:41666092:-1 gene:TCM_011772 transcript:EOY02007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MVLVLAIGDLHIPHRAPDLPPKFKSMLVPGKIQHIICTGNLCVKEVHDYFKSLCPDLHVTRGEFDEDSRYPENKTLTIGQFKLGICHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSFTYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATTRSAQ >EOX97801 pep chromosome:Theobroma_cacao_20110822:2:3525890:3526544:1 gene:TCM_006734 transcript:EOX97801 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MASRTPGIIKAKQFVRSILLSPETTDHVPRGHFVVYVGETQKKRFVVPISYLKHPSFQILLVQAEEEFGFDHPIGSLNIPCIEEVFLDITCSL >EOY00376 pep chromosome:Theobroma_cacao_20110822:2:31893528:31896368:-1 gene:TCM_010242 transcript:EOY00376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside transporter, putative MVFSGVPATDGGLEPDSESAPLVRSPLKPAKPEDKFHLAYIIYFTLGVGFLLPWNVFITAVDYFSYLYPEASVDRVFAVVYMLIGLGCLLIIVFYAHKSEAYVRINVGLGLFVVSLLVVPVMDAVYIRGRVGLYDGFYVTVVVVGLAGVADALVQGGLIGAAGELPERYMQAIVAGTAGSGVLVSVLRILTKAVFPQDADGLRKSANLYFSTSIVVMVICIVLYNVAHRLPIMKYYKELKMEAVKEEKEEKGPLTGPVWRAALWNIVGTVKWYGFGIILIYVVTLSIFPGYITEDVHSLILRDWYPVLLITGYNVFDLVGKSLTAVYLLENTKVAIAACVVRLLFFPLFIGCLHGPQFFRTEIPVSILTCLLGLTNGYLTSVLMILAPKSVQIQHAETAGIVMVLFLVIGLASGSVIAWFWVI >EOX99432 pep chromosome:Theobroma_cacao_20110822:2:11181752:11186316:-1 gene:TCM_008112 transcript:EOX99432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme family protein, putative isoform 1 MDIGQVEDPTTVLIGSEKAGVDSKGKSKICYDKKWEDHVKDASASEQGNSVSVAGSEDSNNPLKSSTSGSINSNNLNSSNSDISYHDGDNDCDEDCDDYADDVSDYGDNDDFLYDDDYSIMQSHFDNVDLPPGVEASIPWLKDPAPIVNVPSGLGASTGPGPAESMKSTTSNLAGSKVKSASTSTSIVPRESGCDQKEEGNEEHDVMQTFQSFKHFDVVDDFSDHHYSNLTLSGEKQPKEWAKRIQDEWKILEKDLPDTIYVRVYEARMDLLRAVIIGPSGTPYHDGLFVFDCFFPPKYPNEPPMVYYYSGGLRLNPNLYNCGKVCLSLLGTWHGQQTEMWVPGQSTMLQVLVSIQALILNDRPFFNEPGYETSYVGAEGDRRSRKYNEDVFVLSLKTMIYTLRRPPKHFEAFVAGHFRSRAHDIMVACKAYKEGAKVGCVVVKDGVPDADKIEKGSSEDFKGTMQKMINLVVKEFVKNGSTDCEQFQASRS >EOX99431 pep chromosome:Theobroma_cacao_20110822:2:11181395:11186314:-1 gene:TCM_008112 transcript:EOX99431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme family protein, putative isoform 1 MDLRVDETSISKRLKQTQILLCDAMDIGQVEDPTTVLIGSEKAGVDSKGKSKICYDKKWEDHVKDASASEQGNSVSVAGSEDSNNPLKSSTSGSINSNNLNSSNSDISYHDGDNDCDEDCDDYADDVSDYGDNDDFLYDDDYSIMQSHFDNVDLPPGVEASIPWLKDPAPIVNVPSGLGASTGPGPAESMKSTTSNLAGSKVKSASTSTSIVPRESGCDQKEEGNEEHDVMQTFQSFKHFDVVDDFSDHHYSNLTLSGEKQPKEWAKRIQDEWKILEKDLPDTIYVRVYEARMDLLRAVIIGPSGTPYHDGLFVFDCFFPPKYPNEPPMVYYYSGGLRLNPNLYNCGKVCLSLLGTWHGQQTEMWVPGQSTMLQVLVSIQALILNDRPFFNEPGYETSYVGAEGDRRSRKYNEDVFVLSLKTMIYTLRRPPKHFEAFVAGHFRSRAHDIMVACKAYKEGAKVGCVVVKDGVPDADKIEKGSSEDFKGTMQKMINLVVKEFVKNGSTDCEQFQASRS >EOY00693 pep chromosome:Theobroma_cacao_20110822:2:34520559:34523098:-1 gene:TCM_010623 transcript:EOY00693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSTAADEEADAVLSDVESDEPIPIVIKEPSRDDVSVEKFREILAELEREKQAREATENSKSELQVSFNRLKALAHEAIRKRDECARQRDEALREKEEALRSNENVLAQLAEANKIKDDVTKQREDLAKQLEEATKGKDGLRSEIETSAHMLVSGIEKISGKVSNFKNFAAGGLPRSQKYTGLPSVAYGVIKRTNEIVEELVKQMETTAKSRNEAREQMEQRNYEIAIEVSQLEATISGLREEVAKKSNLTENLEKNIAEKDGKFVEIEKEMSEKINWAENESMELRNLASEYDDKLKSLESKMELQRPLLVDQLNFVSKIHESIYDAIKIVDADNMDQSDVSESFFLPQETDLEENIRACLAGMESIYELTRILVGKTKDLVEEKNHEVKSLNETVGRLIKEKEHIGSLLRSALSKRMTSENKSKTNELFQTAENGLREAGIDFKFSKLIGDGNKAEAQDTEQDEIYTLAGALENIVKTSQLEIIELQHSVEELRIECT >EOY00694 pep chromosome:Theobroma_cacao_20110822:2:34520445:34523098:-1 gene:TCM_010623 transcript:EOY00694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSTAADEEADAVLSDVESDEPIPIVIKEPSRDDVSVEKFREILAELEREKQAREATENSKSELQVSFNRLKALAHEAIRKRDECARQRDEALREKEEALRSNENVLAQLAEANKIKDDVTKQREDLAKQLEEATKGKDGLRSEIETSAHMLVSGIEKISGKVSNFKNFAAGGLPRSQKYTGLPSVAYGVIKRTNEIVEELVKQMETTAKSRNEAREQMEQRNYEIAIEVSQLEATISGLREEVAKKSNLTENLEKNIAEKDGKFVEIEKEMSEKINWAENESMELRNLASEYDDKLKSLESKMELQRPLLVDQLNFVSKIHESIYDAIKIVDADNMDQSDVSESFFLPQETDLEENIRACLAGMESIYELTRILVGKTKDLVEEKNHEVKSLNETVGRLIKEKEHIGSLLRSALSKRMTSENKSKTNELFQTAENGLREAGIDFKFSKLIGDGNKAEAQDTEQDEIYTLAGALENIVKTSQLEIIELQHSVEELRAESSVLKEHVEAQAKEINQRMRRIEELEEKERVANESVS >EOY00692 pep chromosome:Theobroma_cacao_20110822:2:34518271:34523228:-1 gene:TCM_010623 transcript:EOY00692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSTAADEEADAVLSDVESDEPIPIVIKEPSRDDVSVEKFREILAELEREKQAREATENSKSELQVSFNRLKALAHEAIRKRDECARQRDEALREKEEALRSNENVLAQLAEANKIKDDVTKQREDLAKQLEEATKGKDGLRSEIETSAHMLVSGIEKISGKVSNFKNFAAGGLPRSQKYTGLPSVAYGVIKRTNEIVEELVKQMETTAKSRNEAREQMEQRNYEIAIEVSQLEATISGLREEVAKKSNLTENLEKNIAEKDGKFVEIEKEMSEKINWAENESMELRNLASEYDDKLKSLESKMELQRPLLVDQLNFVSKIHESIYDAIKIVDADNMDQSDVSESFFLPQETDLEENIRACLAGMESIYELTRILVGKTKDLVEEKNHEVKSLNETVGRLIKEKEHIGSLLRSALSKRMTSENKSKTNELFQTAENGLREAGIDFKFSKLIGDGNKAEAQDTEQDEIYTLAGALENIVKTSQLEIIELQHSVEELRAESSVLKEHVEAQAKEINQRMRRIEELEEKERVANESVEGLMMDIAAAEEEISRWKSAAEQEAAAGRAVEQEFLTQLSAVKQELEEAKQAMLESEKKLKFKEETAAAAMGARDAAEKSLRLADMRASRLRDRVEELSRQLEEFETREDSRGRNGSRYVCWPWQWLGLDFVGFRKPEMQQQSSNEMELSEPLSEPLL >EOY00696 pep chromosome:Theobroma_cacao_20110822:2:34519294:34523086:-1 gene:TCM_010623 transcript:EOY00696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSTAADEEADAVLSDVESDEPIPIVIKEPSRDDVSVEKFREILAELEREKQAREATENSKSELQVSFNRLKALAHEAIRKRDECARQRDEALREKEEALRSNENVLAQLAEANKIKDDVTKQREDLAKQLEEATKGKDGLRSEIETSAHMLVSGIEKISGKVSNFKNFAAGGLPRSQKYTGLPSVAYGVIKRTNEIVEELVKQMETTAKSRNEAREQMEQRNYEIAIEVSQLEATISGLREEVAKKSNLTENLEKNIAEKDGKFVEIEKEMSEKINWAENESMELRNLASEYDDKLKSLESKMELQRPLLVDQLNFVSKIHESIYDAIKIVDADNMDQSDVSESFFLPQETDLEENIRACLAGMESIYELTRILVGKTKDLVEEKNHEVKSLNETVGRLIKEKEHIGSLLRSALSKRMTSENKSKTNELFQTAENGLREAGIDFKFSKLIGDGNKAEAQDTEQDEIYTLGLKDL >EOY00695 pep chromosome:Theobroma_cacao_20110822:2:34518908:34523098:-1 gene:TCM_010623 transcript:EOY00695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSTAADEEADAVLSDVESDEPIPIVIKEPSRDDVSVEKFREILAELEREKQAREATENSKSELQVSFNRLKALAHEAIRKRDECARQRDEALREKEEALRSNENVLAQLAEANKIKDDVTKQREDLAKQLEEATKGKDGLRSEIETSAHMLVSGIEKISGKVSNFKNFAAGGLPRSQKYTGLPSVAYGVIKRTNEIVEELVKQMETTAKSRNEAREQMEQRNYEIAIEVSQLEATISGLREEVAKKSNLTENLEKNIAEKDGKFVEIEKEMSEKINWAENESMELRNLASEYDDKLKSLESKMELQRPLLVDQLNFVSKIHESIYDAIKIVDADNMDQSDVSESFFLPQETDLEENIRACLAGMESIYELTRILVGKTKDLVEEKNHEVKSLNETVGRLIKEKEHIGSLLRSALSKRMTSENKSKTNELFQTAENGLREAGIDFKFSKLIGDGNKAEAQDTEQDEIYTLAGALENIVKTSQLEIIELQHSVEELRIECT >EOX98000 pep chromosome:Theobroma_cacao_20110822:2:4213563:4216800:-1 gene:TCM_006871 transcript:EOX98000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome-interacting basic-helix-loop-helix 1, putative isoform 6 MNRALPEMLQCSDMTVLERQRARLKWQQEQLQQQQLQQQEQQQSYFSELSGVFSSQPSHVEGFQGGLMSGDSVLGDMVMTRQLKPDPGLETAWPELVKVDMPGMGFGPCGYGNGPSFDMNYAISRTSSCPPAVAAAVAGEVVEVKGKESVVSEKIGSAVGRESFKKRKVDKLQNLKVVAEDDSKRIKACAEEGESKITGPNTNKSSSNNNNNKKESSTDTSKENSKVSEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFNVENLFAKEVFPSCTTNFPTVGMSSEMANPPYLQVSPVQHVVSCCGLEMGMNTPDMAPRRTISAPVSIPDASFLDSSCFPQIQPSATWDVELQNLYNVAFDQGRSTSFPSQPFTGSIEASNLKMEM >EOX98003 pep chromosome:Theobroma_cacao_20110822:2:4213785:4216406:-1 gene:TCM_006871 transcript:EOX98003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome-interacting basic-helix-loop-helix 1, putative isoform 6 MSGDSVLGDMVMTRQLKPDPGLETAWPELVKVDMPGMGFGPCGYGNGPSFDMNYAISRTSSCPPAVAAAVAGEVVEVKGKESVVSEKIGSAVGRESFKKRKVDKLQNLKVVAEDDSKRIKACAEEGESKITGPNTNKSSSNNNNNKKESSTDTSKENSKVSEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFNVENLFAKEVSPVQHVVSCCGLEMGMNTPDMAPRRTISAPVSIPDASFLDSSCFHIVSVSAIYRYIKLTRLC >EOX98004 pep chromosome:Theobroma_cacao_20110822:2:4214539:4216780:-1 gene:TCM_006871 transcript:EOX98004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome-interacting basic-helix-loop-helix 1, putative isoform 6 MNRALPEMLQCSDMTVLERQRARLKWQQEQLQQQQLQQQEQQQSYFSELSGVFSSQPSHVEGFQGGLMSGDSVLGDMVMTRQLKPDPGLETAWPELVKVDMPGMGFGPCGYGNGPSFDMNYAISRTSSCPPAVAAAVAGEVVEVKGKESVVSEKIGSAVGRESFKKRKVDKLQNLKVVAEDDSKRIKACAEEGESKITGPNTNKSSSNNNNNKKESSTDTSKENSKVSEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVELL >EOX98002 pep chromosome:Theobroma_cacao_20110822:2:4214583:4216482:-1 gene:TCM_006871 transcript:EOX98002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome-interacting basic-helix-loop-helix 1, putative isoform 6 MNRALPEMLQCSDMTVLERQRARLKWQQEQLQQQQLQQQEQQQSYFSELSGVFSSQPSHVEGFQGGLMSGDSVLGDMVMTRQLKPDPGLETAWPELVKVDMPGMGFGPCGYGNGPSFDMNYAISRTSSCPPAVAAAVAGEVVEVKGKESVVSEKIGSAVGRESFKKRKVDKLQNLKVVAEDDSKRIKACAEEGESKITGPNTNKSSSNNNNNKKESSTDTSKENSKVSEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFNVENLFAKEVFPSCTTNFPTVGMSSEMANPPYLQVSPVQHVVSCCGLEMGMNTPDMAPRRTISAPVSIPDASFLDSSCFPVIFSAPYHCCALPSLLQYSPCFSL >EOX98005 pep chromosome:Theobroma_cacao_20110822:2:4214207:4216797:-1 gene:TCM_006871 transcript:EOX98005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome-interacting basic-helix-loop-helix 1, putative isoform 6 MNRALPEMLQCSDMTVLERQRARLKWQQEQLQQQQLQQQEQQQSYFSELSGVFSSQPSHVEGFQGGLMSGDSVLGDMVMTRQLKPDPGLETAWPELVKVDMPGMGFGPCGYGNGPSFDMNYAISRTSSCPPAVAAAVAGEVVEVKGKESVVSEKIGSAVGRESFKKRKVDKLQNLKVVAEDDSKRIKACAEEGESKITGPNTNKSSSNNNNNKKESSTDTSKENSKVSEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFNVENLFAKEVSPVQHVVSCCGLEMGMNTPDMAPRRTISAPVSIPDASFLDSSCFPQIQPSATW >EOX98001 pep chromosome:Theobroma_cacao_20110822:2:4214541:4216482:-1 gene:TCM_006871 transcript:EOX98001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome-interacting basic-helix-loop-helix 1, putative isoform 6 MNRALPEMLQCSDMTVLERQRARLKWQQEQLQQQQLQQQEQQQSYFSELSGVFSSQPSHVEGFQGGLMSGDSVLGDMVMTRQLKPDPGLETAWPELVKVDMPGMGFGPCGYGNGPSFDMNYAISRTSSCPPAVAAAVAGEVVEVKGKESVVSEKIGSAVGRESFKKRKVDKLQNLKVVAEDDSKRIKACAEEGESKITGPNTNKSSSNNNNNKKESSTDTSKENSKVSEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFNVENLFAKEVFPSCTTNFPTVGMSSEMANPPYLQVSPVQHVVSCCGLEMGMNTPDMAPRRTISAPVSIPDASFLDSSCFPITRCNAANSTLCNM >EOY01802 pep chromosome:Theobroma_cacao_20110822:2:40948665:40951204:-1 gene:TCM_011615 transcript:EOY01802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRWRAAAPLLFNHLTKTPFPSHKFLTHSLPLKGRPKPLCLPPSLNPPLRFLFTRPFSGIPSQVPVYTSDSEDGSPDFYHQNHVFVSQEEEEEETGKIPIKAYFRCTSIDLKSMQAENLSNIVPPSSRSSNYIGLRYCDFPTDITGRCQRLTNDKEALFSLVIAIGMKDKVSSCRYMVVFQYGSAVLFNIQDHEIESYLEIVRIHASELLPEMRRDDYAVKEQPWLAKDMQGGPDFVVLQTLDTDTIRIIGPQEIKQGIDLLGTPNSSSLDLPVSTSYVTDNTFSDRREKGVNVFVSWGVREGR >EOY00379 pep chromosome:Theobroma_cacao_20110822:2:31912534:31913445:-1 gene:TCM_010247 transcript:EOY00379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAWRWSSLKRDDDHEGHYAFNVNENLTPKYKILSKMSKGTFGRVLECWDRQYVAIKVDILQHLAKMIKELHVMCRFEIGLITVITFVWAGVFHVIYGVWVVYLLNSA >EOX99374 pep chromosome:Theobroma_cacao_20110822:2:10803260:10805608:-1 gene:TCM_008054 transcript:EOX99374 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase METSKFGEATLQGQAEIWQYMFSFADSMALKSAVELRIADIIHSHGGAITLSQIASCIGGSPPPDNSYLARIMRLLVRRKVFSVQNPSDGGEPLYGLTLSSTWLIHDSDLTLAPMVLMENHPWQMAPWHCFSECVKEGGIAFKKAHGREIWDFASENPEFNRLFNDGMACTSKIVTRAILTGYKEGFSCIGSLVDVGGGIGGLISEIVKANPHIKGVNFDLPHVVSTAPAYHGVCHVGGDMFHAIPDADAVMMKWILHDWGDEDCIKILRNCRKAIPRENGKVIIVECVLKPDGTDPFDDMGFIFDLLMIAHSSGGKERTELEWKKILEEGGFPRYKIINIPAFASIIEAYPE >EOX99876 pep chromosome:Theobroma_cacao_20110822:2:17596979:17600989:-1 gene:TCM_008856 transcript:EOX99876 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELMO/CED-12 domain-containing protein isoform 4 MSVVRTQGSCVAIRSLSPSSSINRCSHGSATSDAATCGTPAWIGKGLTCVCFKRKGAYERICINLTPLQAKRLKRLKHRMKVYFDGSRPDHQEALRALWSATYPGQELQGLISDQWKEMGWQGRDPSTDFSRGAGFISLENLLFFAKTFSTSFQRLLKKQGGKRAAWEYPFAVAGVNITFMIMQMLDLDASKPRTFIRSVFLQMLSENEWAFDLLYCVAFMVMDKQWLERNATYMEFNDVLKSTRAQLERELLMDDVLRIEDMPSYSLLC >EOX99874 pep chromosome:Theobroma_cacao_20110822:2:17596496:17601206:-1 gene:TCM_008856 transcript:EOX99874 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELMO/CED-12 domain-containing protein isoform 4 MSVVRTQGSCVAIRSLSPSSSINRCSHGSATSDAATCGTPAWIGKGLTCVCFKRKGAYERICINLTPLQEERLKRLKHRMKVYFDGSRPDHQEALRALWSATYPGQELQGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQRLLKKQGGKRAAWEYPFAVAGVNITFMIMQMLDLDASKPRTFIRSVFLQMLSENEWAFDLLYCVAFMVMDKQWLERNATYMEFNDVLKSTRAQLERELLMDDVLRIEDMPSYSLLC >EOX99873 pep chromosome:Theobroma_cacao_20110822:2:17596496:17599838:-1 gene:TCM_008856 transcript:EOX99873 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELMO/CED-12 domain-containing protein isoform 4 MWSGKRSLVNGSCACPNSKTTPSLLFLEFVGYIVTERVDENLVSRVSVNGSKPLGQSSDGGPYHLCCEPVIVNLDGYVKTKKSLQWLYADAATCGTPAWIGKGLTCVCFKRKGAYERICINLTPLQEERLKRLKHRMKVYFDGSRPDHQEALRALWSATYPGQELQGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQRLLKKQGGKRAAWEYPFAVAGVNITFMIMQMLDLDASKPRTFIRSVFLQMLSENEWAFDLLYCVAFMVMDKQWLERNATYMEFNDVLKSTRAQLERELLMDDVLRIEDMPSYSLLC >EOX99875 pep chromosome:Theobroma_cacao_20110822:2:17596677:17601206:-1 gene:TCM_008856 transcript:EOX99875 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELMO/CED-12 domain-containing protein isoform 4 MSVVRTQGSCVAIRSLSPSSSINRCSHGSATSDAATCGTPAWIGKGLTCVCFKRKGAYERICINLTPLQAKRLKRLKHRMKVYFDGSRPDHQEALRALWSATYPGQELQGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQRLLKKQGGKRAAWEYPFAVAGVNITFMIMQMLDLDASKPRTFIRSVFLQMLSENEWAFDLLYCVAFMVMDKQWLERNATYMEFNDVLKSTRAQLERELLMDDVLRIEDMPSYSLLC >EOX98108 pep chromosome:Theobroma_cacao_20110822:2:4576675:4577656:-1 gene:TCM_006942 transcript:EOX98108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKDLKAFEFGGPKNHGNLAHPKGKKLARFPLQVGFHILKRRVSKLTIGSFFPKRKTKQRIRDSQLEHKEKRLFRSRYSARRTTMGLMLMSLGCVQCTNGIFHVLLRGTSTTICMVSSSLLLIGFSTFDSIVSDIFGEDELEKYTMYNIMIINYNL >EOY01026 pep chromosome:Theobroma_cacao_20110822:2:36914548:36916014:-1 gene:TCM_010958 transcript:EOY01026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVRGELLRRGLINRNVALCSVCNKDIETVDHLFLRCYDSWRVWNLCNDAWAYNWVLLDVVREPFCARARAVLKKEKPKVDWVNPSNECMKFNLDKVARGCSRAVRIGGVLRDNNGTHEIIVESDSINAVKWWNDSSFALWQMRINQLADRLTKERVDCSVDLVQIFNQVCFLLLFYFP >EOX98381 pep chromosome:Theobroma_cacao_20110822:2:5567811:5577818:-1 gene:TCM_007157 transcript:EOX98381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant basic secretory protein (BSP) family protein MLIIFLPLFSVKFPIPMLLRNSACQFPRNFCTNLKPTIHYRNTNTETHGSPNFHPCFSVDTSNPAWYGCCRLRCYQPGCNNSRRHRIRQPARSRIHEATYGIGLEIHLENQQNDPRADKKNNILIVSLNVVDTLPPQIPAVTSGNMIQVTDKHIEAFSGDQLKPEFNGVLYHEMNHVWQWDGNGQQDKTLRGLIEGIADFVKLKANYVPAGWVQPGKGDKWYDGYSVTARFLDNCEGLRTGFVAELNRMMKDGYSTDFFFQLLDKTVDQLWSDYKNQTRN >EOX98818 pep chromosome:Theobroma_cacao_20110822:2:7327246:7329800:-1 gene:TCM_007497 transcript:EOX98818 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase 2, putative MASLKVQICCLLAYATFLSQMISCQSLPKEHVALFTLGDSLFDPGNNNYINTTNDYRANFWPYGRTFFGYPTGRFSDGRLIQDFIAEYAGLPLIPAFLQSGNHRFIYGVNFASGGAGALVETHQGLVIDLKTQVIYFKKVEKSLRQEVGDAEAKRLLSRAVYLISIGANEYLSRNSSISDEGYVAMVMGNLTLALKEIYKIGGRKFGFPGMAPLGCSPFLRSQAGGNGSCFEEVNKISQLHQRELPKTLKKLEEQLPGFKYSVYNFYKTISERLNNPSKYGFKDATTACCGSGVYRGTYSCGGKRGIKEYELCENSSENFFFDSYHPSEKAFQQFAQEMWSGGSDVISPYNIKQLFEA >EOY01961 pep chromosome:Theobroma_cacao_20110822:2:41515265:41520561:-1 gene:TCM_011738 transcript:EOY01961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 2 ILRSCKNGRSRRVWELTKPKFRSGAKKGEEQMKIICWVCLVFVLTKVGALSEEPQLSSARVVFQTNYGDIEFGFFPSVAPKTVDHIFKLVRLGCYNTNHFFRVDRGFVAQVADVAGGRSAPMNEEQRREAEKTIVGEFSNVKHVRGILIQTVHNPHFQCFLEMPLILIGSIQFLVKSLKVMRL >EOY01959 pep chromosome:Theobroma_cacao_20110822:2:41515099:41520763:-1 gene:TCM_011738 transcript:EOY01959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 2 METLFIFSSLEGKCILRSCKNGRSRRVWELTKPKFRSGAKKGEEQMKIICWVCLVFVLTKVGALSEEPQLSSARVVFQTNYGDIEFGFFPSVAPKTVDHIFKLVRLGCYNTNHFFRVDRGFVAQVADVAGGRSAPMNEEQRREAEKTIVGEFSNVKHVRGILSMGRYSDPDSAQSSFSMLLGDAPHLDRQYTIFGKVTKGDETLRKLEELPTRREGIFVMPKERITILSSYYYDTEMESCEKERGILKRRLAASAVEIERQRMKCFP >EOY01960 pep chromosome:Theobroma_cacao_20110822:2:41515977:41520468:-1 gene:TCM_011738 transcript:EOY01960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 2 MKIICWVCLVFVLTKVGALSEEPQLSSARVVFQTNYGDIEFGFFPSVAPKTVDHIFKLVRLGCYNTNHFFRVDRGFVAQVADVAGGRSAPMNEEQRREAEKTIVGEFSNVKHVRGILSMGRYSDPDSAQSSFSMLLGDAPHLDRQQYTIFGKVTKGDETLRKLEELPTRREGIFVMPKERITILSSYYYGY >EOX98159 pep chromosome:Theobroma_cacao_20110822:2:4716882:4718724:-1 gene:TCM_006985 transcript:EOX98159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGDNLKDRFPRIYALARNKNGCINEFGHWEHDLWRRDVELRRMPFGWEEQRWSQFRAIVEEYHLNKEMEDKLLWKRSASGNYTVKAFCKELMHSDGSSREGWKELRVAIWAKAKRLYAYSAVLDIYRNPRLAPRMIKITNSRQVEEWVKPSQGKMKFNVDGAAKRSPGETEIGGVLRDENGSFKITFSKAIGMGDASLAEDCLYDSVLIMLTDVYSCANFLVIND >EOY01420 pep chromosome:Theobroma_cacao_20110822:2:39376922:39377716:-1 gene:TCM_011313 transcript:EOY01420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHLWCKMRRIWRSVSARFKPHKPTATGGGKGGAISSNASGLSKLQDDVNMCGYKDVQVMWNLLNTS >EOX97650 pep chromosome:Theobroma_cacao_20110822:2:2971722:2974900:-1 gene:TCM_006618 transcript:EOX97650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol synthase 1 isoform 2 MANKPKPRSRQLSVYLYIPNIIGYIRILLNCYAFARCFSSKRLFAVLYFLSFVCDALDGWCARKFNQASTFGAVLDMVTDRISTACLLVILSQVYRPSLVFLSLLALDIASHWLQMYSTFLVGKASHKDVKDSSSWLFKLYYGNRMFMGFCCVACEVLYITLFLIAKNHTENLMAVIVTTLKQGSPLSFLVALSLLGCSIKQVINFIQLGVDDDDDVFNMWLWGLNVFCLLTAGV >EOX97651 pep chromosome:Theobroma_cacao_20110822:2:2972227:2974409:-1 gene:TCM_006618 transcript:EOX97651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol synthase 1 isoform 2 MANKPKPRSRQLSVYLYIPNIIGYIRILLNCYAFARCFSSKRLFAVLYFLSFVCDALDGWCARKFNQASTFGAVLDMVTDRISTACLLVILSQVYRPSLVFLSLLALDIASHWLQMYSTFLVGKASHKDVKDSSSWLFKLYYGNRMFMGFCCVACEVLYITLFLIAKNHTENLMAVIVTTLKQGSPLSFLVALSLLGCSIKQVINFIQMKTAADACVLYDTEKKQKP >EOX97649 pep chromosome:Theobroma_cacao_20110822:2:2972156:2974900:-1 gene:TCM_006618 transcript:EOX97649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol synthase 1 isoform 2 MANKPKPRSRQLSVYLYIPNIIGYIRILLNCYAFARCFSSKRLFAVLYFLSFVCDALDGWCARKFNQASTFGAVLDMVTDRISTACLLVILSQVYRPSLVFLSLLALDIASHWLQMYSTFLVGKASHKDVKDSSSWLFKLYYGNRMFMGFCCVACEVLYITLFLIAKNHTENLMAVIVTTLKQGSPLSFLVALSLLGCSIKQVINFIQMKTAADACVLYDTEKKQKP >EOY00621 pep chromosome:Theobroma_cacao_20110822:2:33966949:33968021:-1 gene:TCM_010509 transcript:EOY00621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGYAIIKQYREANVSVTSGENGVMRLSLDMLTRMQGNLLYSDVTVFDFNDAKFVGVGFIVCNDDEDIILASANHQIYGSSVEEVELMALAWSLSCCILENVKVRHVLTDCLNVVNWVCTNKVNGGLRNIIEDYNEKC >EOX99626 pep chromosome:Theobroma_cacao_20110822:2:12833431:12835134:-1 gene:TCM_008347 transcript:EOX99626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQQDFELLYEDWERYKELIHRYPHHGFPMWFQVQTFYNGLSGHLRTTIDAITRGALMARIDGVYDFLGERAANNYPLLVVQPMHKRVAGIHDVDVFWAGVDSRITLTPTLTTLGGGAIQTSLRAQRTLPSDTEVNPRREGKEKVTAITLKCEKKVENSVRQANLQDKPVENEIVSETVDKQIQEKEAKATPPPPPFP >EOX98018 pep chromosome:Theobroma_cacao_20110822:2:4281662:4283498:-1 gene:TCM_006884 transcript:EOX98018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESLIIHISFCMGSCPANTSHLSQLIQATDVLSTLSVTLYCFTLHGTQTTALVILNRKGCINHIHTINRHFPSAYSLLYPYSYRAMAFLFLEELSLFIFQEIN >EOX98697 pep chromosome:Theobroma_cacao_20110822:2:6742820:6743687:1 gene:TCM_007394 transcript:EOX98697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNCFILAFFMALSFSSINVGQAARHLLQLPPLPPMPTLPGATLPPLPSIPNLPQPTIPTLPTTLPSLPKPGTLPPLPTIPTAPKVALPPLPSLPLVPTIPTTIPSVPFFSPPPAKTSP >EOX98998 pep chromosome:Theobroma_cacao_20110822:2:8052466:8054765:-1 gene:TCM_007642 transcript:EOX98998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase family protein isoform 1 MANIDIDGILKELPNDGRVPKTKIVCTLGPASRSVLMIEKLLRAGMNVARFNFSHGSHEYHQETLNNLRAAMHNTGILCAVMLDTKGPEIRTGFLKDGKPVQLKEGQEITVTTDYDIKGDEKMICMSYKKLAVDLKPGNTILCADGTITLTVLSCDPAGGTVRCRCENTAMIGERKNVNLPGIVVDLPTLTEKDKEDILGWGVPNNIDMIALSFVRKGSDLVNVRKVLGPHAKQIQLMSKVENQEGVINFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGSYPELAVKIMARICNEAESSLDYGAIFKEMIRSTPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDERPARHSLIYRGLVPLLAEGSAKATDAESTEVILEAALTSATEKGLCKPGDAVVALHRIGAASVIKICIVK >EOX98999 pep chromosome:Theobroma_cacao_20110822:2:8052131:8055406:-1 gene:TCM_007642 transcript:EOX98999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase family protein isoform 1 MANIDIDGILKELPNDGRVPKTKIVCTLGPASRSVLMIEKLLRAGMNVARFNFSHGSHEYHQETLNNLRAAMHNTGILCAVMLDTKGPEIRTGFLKDGKPVQLKEGQEITVTTDYDIKGDEKMICMSYKKLAVDLKPGNTILCADGTITLTVLSCDPAGGTVRCRCENTAMIGERKNVNLPGIVVDLPTLTEKDKEDILGWGVPNNIDMIALSFVRKGSDLVNVRKVLGPHAKQIQLMSKVENQEGVINFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGSYPELAVKIMARICNEAESSLDYGAIFKEMIRSTPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDERPARHSLIYRGLVPLLAEGSAKATDAESTEVILEAALTSATEKGLCKPGDAVVALHRIGAASVIKICIVK >EOX98997 pep chromosome:Theobroma_cacao_20110822:2:8052248:8055857:-1 gene:TCM_007642 transcript:EOX98997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase family protein isoform 1 MANIDIDGILKELPNDGRVPKTKIVCTLGPASRSVLMIEKLLRAGMNVARFNFSHGSHEYHQETLNNLRAAMHNTGILCAVMLDTKGPEIRTGFLKDGKPVQLKEGQEITVTTDYDIKGDEKMICMSYKKLAVDLKPGNTILCADGTITLTVLSCDPAGGTVRCRCENTAMIGERKNVNLPGIVVDLPTLTEKDKEDILGWGVPNNIDMIALSFVRKGSDLVNVRKVLGPHAKQIQLMSKVENQEGVINFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGSYPELAVKIMARICNEAESSLDYGAIFKEMIRSTPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDERPARHSLIYRGLVPLLAEGSAKATDAESTEVILEAALTSATEKGLCKPGDAVVALHRIGAASVIKICIVK >EOX97917 pep chromosome:Theobroma_cacao_20110822:2:3968097:3971405:-1 gene:TCM_006823 transcript:EOX97917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 3 isoform 2 MQKFTQKIVQMMKDEYLFESQGGPIILSQIENEYEPESKSFGSAGQAYVKWAANMAVGLDTGVPWVMCKEYDAPDPVINTCNGFYCDYFSPNKPYKPTLWTEAWTGWFSDFGGPNYLRPVEDLALAVARFIQKGGSFVNYYMYQGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYDHLKELHKAIKSCEKALVTADPNVIALGSYEQAHVFTSKSGGCAAFLSNYNTRSDARVAFNNMHYDLPRWSISILPDCQNVAFNTAKVRIKTSQVQMLPTNVKLQSWETFTEDVYSVEDESPMTANGLLEQLNITRDTSDYLWYTTSVHINSAESFLHRGKLPTLSVQSAGHGMRVFINGQLSGSAFGTRQNRRFTFTENINLHPGMNKISILSIAVGLPNIGPHFETWDTGVLGPVVLHGLDEGQRDLTWQKWSYKVGLKGEVNNLGSPNSIPSVDWIQGSLAAQKQHPLTWYKAFFDAPEGDDPLALDMGSMGKGQVWINGQSIGRYWTASANGNCSECSYVGSFRQTKCHFGCGNPTQQWYKLPKN >EOX97915 pep chromosome:Theobroma_cacao_20110822:2:3967303:3972540:-1 gene:TCM_006823 transcript:EOX97915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 3 isoform 2 MGATSASQFFLCIAMVFLSVKVTQCSVSYDRKALIIDGQRRILLSGSIHYPRSTPQMWEGLIQKAKDGGLDAIDTYVFWNLHEPSPGNYNFEGRYDLVRFIKLVKKAGLYVNLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKQAMQKFTQKIVQMMKDEYLFESQGGPIILSQIENEYEPESKSFGSAGQAYVKWAANMAVGLDTGVPWVMCKEYDAPDPVINTCNGFYCDYFSPNKPYKPTLWTEAWTGWFSDFGGPNYLRPVEDLALAVARFIQKGGSFVNYYMYQGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYDHLKELHKAIKSCEKALVTADPNVIALGSYEQAHVFTSKSGGCAAFLSNYNTRSDARVAFNNMHYDLPRWSISILPDCQNVAFNTAKVRIKTSQVQMLPTNVKLQSWETFTEDVYSVEDESPMTANGLLEQLNITRDTSDYLWYTTSVHINSAESFLHRGKLPTLSVQSAGHGMRVFINGQLSGSAFGTRQNRRFTFTENINLHPGMNKISILSIAVGLPNIGPHFETWDTGVLGPVVLHGLDEGQRDLTWQKWSYKVGLKGEVNNLGSPNSIPSVDWIQGSLAAQKQHPLTWYKGLF >EOX97918 pep chromosome:Theobroma_cacao_20110822:2:3968440:3972540:-1 gene:TCM_006823 transcript:EOX97918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 3 isoform 2 MTERLLSLMGKGEFSFLGLYIIPEAPLRQMWEGLIQKAKDGGLDAIDTYVFWNLHEPSPGNYNFEGRYDLVRFIKLVKKAGLYVNLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKQAMQKFTQKIVQMMKDEYLFESQGGPIILSQIENEYEPESKSFGSAGQAYVKWAANMAVGLDTGVPWVMCKEYDAPDPVINTCNGFYCDYFSPNKPYKPTLWTEAWTGWFSDFGGPNYLRPVEDLALAVARFIQKGGSFVNYYMYQGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYDHLKELHKAIKSCEKALVTADPNVIALGSYEQAHVFTSKSGGCAAFLSNYNTRSDARVAFNNMHYDLPRWSISILPDCQNVAFNTAKVRIKTSQVQMLPTNVKLQSWETFTEDVYSVEDESPMTANGLLEQLNITRDTSDYLWYTTSVHINSAESFLHRGKLPTLSVQSAGHGMRVFINGQLSGSAFGTRQNRRFTFTENINLHPGMNKISILSIAVGLPNIGPHFETWDTGVLGPVVLHGLDEGQRDLTWQKWSYKVGLKGEVNNLGSPNSIPSVDWIQGSLAAQKQHP >EOX97914 pep chromosome:Theobroma_cacao_20110822:2:3967153:3972601:-1 gene:TCM_006823 transcript:EOX97914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 3 isoform 2 MGATSASQFFLCIAMVFLSVKVTQCSVSYDRKALIIDGQRRILLSGSIHYPRSTPQMWEGLIQKAKDGGLDAIDTYVFWNLHEPSPGNYNFEGRYDLVRFIKLVKKAGLYVNLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKQAMQKFTQKIVQMMKDEYLFESQGGPIILSQIENEYEPESKSFGSAGQAYVKWAANMAVGLDTGVPWVMCKEYDAPDPVINTCNGFYCDYFSPNKPYKPTLWTEAWTGWFSDFGGPNYLRPVEDLALAVARFIQKGGSFVNYYMYQGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYDHLKELHKAIKSCEKALVTADPNVIALGSYEQAHVFTSKSGGCAAFLSNYNTRSDARVAFNNMHYDLPRWSISILPDCQNVAFNTAKVRIKTSQVQMLPTNVKLQSWETFTEDVYSVEDESPMTANGLLEQLNITRDTSDYLWYTTSVHINSAESFLHRGKLPTLSVQSAGHGMRVFINGQLSGSAFGTRQNRRFTFTENINLHPGMNKISILSIAVGLPNIGPHFETWDTGVLGPVVLHGLDEGQRDLTWQKWSYKVGLKGEVNNLGSPNSIPSVDWIQGSLAAQKQHPLTWYKAFFDAPEGDDPLALDMGSMGKGQVWINGQSIGRYWTASANGNCSECSYVGSFRQTKCHFGCGNPTQQWYHVPRSWLKSTQNLLVVFEEIGGDASKISLVKRSVTNN >EOX97916 pep chromosome:Theobroma_cacao_20110822:2:3967151:3971869:-1 gene:TCM_006823 transcript:EOX97916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 3 isoform 2 MQKFTQKIVQMMKDEYLFESQGGPIILSQIENEYEPESKSFGSAGQAYVKWAANMAVGLDTGVPWVMCKEYDAPDPVINTCNGFYCDYFSPNKPYKPTLWTEAWTGWFSDFGGPNYLRPVEDLALAVARFIQKGGSFVNYYMYQGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYDHLKELHKAIKSCEKALVTADPNVIALGSYEQAHVFTSKSGGCAAFLSNYNTRSDARVAFNNMHYDLPRWSISILPDCQNVAFNTAKVRIKTSQVQMLPTNVKLQSWETFTEDVYSVEDESPMTANGLLEQLNITRDTSDYLWYTTSVHINSAESFLHRGKLPTLSVQSAGHGMRVFINGQLSGSAFGTRQNRRFTFTENINLHPGMNKISILSIAVGLPNIGPHFETWDTGVLGPVVLHGLDEGQRDLTWQKWSYKVGLKGEVNNLGSPNSIPSVDWIQGSLAAQKQHPLTWYKAFFDAPEGDDPLALDMGSMGKGQVWINGQSIGRYWTASANGNCSECSYVGSFRQTKCHFGCGNPTQQWYHVPRSWLKSTQNLLVVFEEIGGDASKISLVKRSVTNN >EOX99210 pep chromosome:Theobroma_cacao_20110822:2:9081555:9084934:1 gene:TCM_007807 transcript:EOX99210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein, putative isoform 2 MAVCCDLEVDVNGEETFLVDKKIVCSYSGRLTKLFGESTNAKRNKVVFHDFPGGAENFELMSRFCYNKGKIDVNPSNILFLYSAAQFMEMNNSVSGTCNLLEKTKKSFEEISYWTWPDLLVALKHCQDLQPIATSSGLLEKCLDSLVGRLAISSEASPCASTSSPDSSGFRLSCDSRSTESLKNSFSRANWWFEDLLVLSPDLIGTLIELMVSRKHNHVMISRFLFHYQKSKFYTASSDEKCKVLEIVIDMLYTLDPISISCKSLFGILRVVLSLKISKSCRSKLESMIGSQMDQATLDNLLIPSPCGSTYLYDVNLVLRFLKAFRHGGGWQLSPMRMKKVASLIDLYIAEVAPDPCLKSSKFLALLVALPDSARDSWDELYHAMDIYLEVHAGLSEDEKMKICCALNYEKLSTEACIHLSQNAKFPSKNSPCTFNDIQAKAKKDEATKQVVLYSGRLDISADNEMLRAHLQRMQWRVVELEKVCKKMQTQMAKIMKSKVSSRSAARPLPRLCS >EOX99211 pep chromosome:Theobroma_cacao_20110822:2:9081267:9084297:1 gene:TCM_007807 transcript:EOX99211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein, putative isoform 2 MLMERRLSWWTSTVGQKFIALVLLLLEITMSTIFTKKIVCSYSGRLTKLFGESTNAKRNKVVFHDFPGGAENFELMSRFCYNKGKIDVNPSNILFLYSAAQFMEMNNSVSGTCNLLEKTKKSFEEISYWTWPDLLVALKHCQDLQPIATSSGLLEKCLDSLVGRLAISSEASPCASTSSPDSSGFRLSCDSRSTESLKNSFSRANWWFEDLLVLSPDLIGTLIELMVSRKHNHVMISRFLFHYQKSKFYTASSDEKCKVLEIVIDMLYTLDPISISCKSLFGILRVVLSLKISKSCRSKLESMIGSQMDQATLDNLLIPSPCGSTYLYDVNLVLRFLKAFRHGGGWQLSPMRMKKVASLIDLYIAEVAPDPCLKSSKFLALLVALPDSARDSWDELYHAMDIYLEVHAGLSEDEKM >EOX99209 pep chromosome:Theobroma_cacao_20110822:2:9081276:9085347:1 gene:TCM_007807 transcript:EOX99209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein, putative isoform 2 MAVCCDLEVDVNGEETFLVDKSVVFTVVPLTFNFPPALQHCGAKVHSSCSSSSGNHHVHDFYERLRVAFMALITAHFPSLLGLTKLFGESTNAKRNKVVFHDFPGGAENFELMSRFCYNKGKIDVNPSNILFLYSAAQFMEMNNSVSGTCNLLEKTKKSFEEISYWTWPDLLVALKHCQDLQPIATSSGLLEKCLDSLVGRLAISSEASPCASTSSPDSSGFRLSCDSRSTESLKNSFSRANWWFEDLLVLSPDLIGTLIELMVSRKHNHVMISRFLFHYQKSKFYTASSDEKCKVLEIVIDMLYTLDPISISCKSLFGILRVVLSLKISKSCRSKLESMIGSQMDQATLDNLLIPSPCGSTYLYDVNLVLRFLKAFRHGGGWQLSPMRMKKVASLIDLYIAEVAPDPCLKSSKFLALLVALPDSARDSWDELYHAMDIYLEVHAGLSEDEKMKICCALNYEKLSTEACIHLSQNAKFPSKSTVQALISQQLKLKNLLQGTNNTKLYTDSPCTFNDIQAKAKKDEATKQVVLYSGRLDISADNEMLRAHLQRMQWRVVELEKVCKKMQTQMAKIMKSKVSSRSAARPLPRLCS >EOX98689 pep chromosome:Theobroma_cacao_20110822:2:6719583:6720084:1 gene:TCM_007386 transcript:EOX98689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MACYRFPFFILPFLLITLSLRSSNTILVGARRFLETSVPEKPELPKPELPEIPPFPKVELPKPELPDFPKPEIPKVPELPKPELPKTPELPKVPELPKPELPKVP >EOX99667 pep chromosome:Theobroma_cacao_20110822:2:13382750:13393024:-1 gene:TCM_008415 transcript:EOX99667 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING finger protein, putative MTMVPAENLSNQHHLPHGGGGGFYGCCGSSYTESFGLMSRVHGYDYHSEACLGSDLVANSMAEDESRTNSLNEERSSSKDNNQEEKDEGWLQLGIGGQATRYDSSKHDQGDPTARRGGLIELDLLPGGSSQQARPLAPTFNMPEFRAPRPPVMHSFSTSLFFQHQQGSSSTFPQGEINWAFRPISQNIAAAPSSSSSSSSLVPLGSHFARPFQVQLGMDVAGPSSDVRIIDPPRRPHSGIWFMLQASQNQAKEPFLPQIPKSYLRIKDGKMTVRLLMKYLVNKLRLDSESEIEITCRGQQLVPYLTLQNVRDQIWSSRDAVTLLPETSTADHVMVLHYGRSA >EOX99528 pep chromosome:Theobroma_cacao_20110822:2:11972394:11973688:-1 gene:TCM_008223 transcript:EOX99528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-1-phosphate uridylyltransferase, putative MEHISAKYIVENIATSFMSMDIKVENEQPLLENEEAGERVQEEQPTIPENATSAPQKQPKTRKQKVMRKTFKGTAVLSKLLPTGSALTFQLLSPALTNQGQCKTEVSHYLTLGLVGFCAVLCFFLSFTDSFRDERGKVRYGVATFKGLWVMDGPVKLSAEEADKYRLKFIDFFHALVSIMIFTSLALFDQNVVKCFYPTPSEETKELLTVLPASIGAIGSVLFVSFPTKRNGIGNPLSKN >EOX99674 pep chromosome:Theobroma_cacao_20110822:2:13467901:13469687:-1 gene:TCM_008422 transcript:EOX99674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 IFHKPQPASGKPPPEGLVGHSPPYPPPPTLLGYTHTHHSPLPTTPPGYQGYFYEGYPPSAPPPPPPLLPPRNDYHHNGCSSFLTGCLAALCCCCLLEDCFF >EOX99673 pep chromosome:Theobroma_cacao_20110822:2:13467983:13469727:-1 gene:TCM_008422 transcript:EOX99673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSYHHHHIFHKPQPASGHSPPYPPPPTLLGYTHTHHSPLPTTPPGYQGYFYEGYPPSAPPPPPPLLPPRNDYHHNGCSSFLTGCLAALCCCCLLEDCFF >EOY01969 pep chromosome:Theobroma_cacao_20110822:2:41553652:41556034:1 gene:TCM_011748 transcript:EOY01969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mucin-related protein MHSFNRIAKFLTKPTIDSIHSKLAKPQFNPTTLFKTFSTKPPQNDKDDSWNDAWEAAWLPDDISPKNRAPWEADVNFPSNDEQSATKMVLSSDVDAETKAFVEDMNENWNERRKSPKQKQNEEAEKERKGEGGGLYSLENMKNDYRLKKQRIHAGLWMKEIDKLEEAKLGDSADDIDKLLDSCSEIFDSGNADLENSKLLSTSEFKNKPDGWETTSKAPDGNVWEMSQREEDILLQEFDRRIAYCKFQIASFIKTHIFSRRRPIDGWRYMIEEIGPNARKGKGSVSRLPSLSDASTQPFKEDKIQIGSSLTPWKGRLLH >EOX96917 pep chromosome:Theobroma_cacao_20110822:2:502200:517032:-1 gene:TCM_006054 transcript:EOX96917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription activators MAKSRQHYFSQDLSLSPTTGRSREWEGPSRWTEYLGPDTTSSMTSTSSRYMNSDGQVQSLGGGSHKGLNMQWVGQLIEVAEGLMAKMYRLNQILDYPDPIGHAFSEAFWKAGVFPNHPRICILLSKKFPEHFSKLQLERVDKAGLDALSDSAEVHLQSLEPWVWLLLDLMEFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLLAEKLPRKMMLQVYNLLHAMSRNDRDCDFYHRLVQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPMRAQDLANVTAYREWVLLGYLVCPDELLRVTSIDIALVVLKENLVLTLFRDEYVLLHEDYQLYVLPRILESKKMAKSGRTKQKEADLEYSVAKQVEKMISEVHEQALVSCDAIHRERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQCEVIWYFQHVGIASSKSKGARLVPVDIDPNDPTIGFLLDGMDHLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKTLFQQIVQHLENIPKPQGENISAITCDLSEFRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSMHGSLKKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGVAGSFPECASRIVPEEVTKIERDAVLYVESLIESIMGGLEGLINILDSEGGFGALEMQLLPEQAASYLNNASRASIPSAKSPKGAVGYPLPGHESYPENNNSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNFRRRLLTVLKTDNDLQRPSILESLIRRHMNIVHLAEQHISMDLTQGIREVLLSETFSGPISSLHVFDKPAEQHSGSATEVVCNWYIENIVKDMSGAGILFTPMHKCFKSTRPVGGYFAESVTDLRELQAFVRIFGGYGVDRLDRMMKEHTAALLNCIDTSLRSNRELLEAVAGSMHSGDRIEREACLKQIVDLDTIIGFCIEAGQALAFDKLLAEAAGAVLEEGAPLIYSLLAGVVKHIPEEIPEKREIRRMRGVANSVALAGDHDSEWVRSILEEVGGANDGSWSLLPYLFATFMTSNIWNTTGFNVDTGGFNNNIHGLARCISAVIAGSEYVRLAREHHQRQLLSNGHAGDSLDPDIRVSAEASIKAAMQLFVKFSAGIVLDSWNEANRSHLVAKLIFLDQLSDISPYLPRSSLETHVPYAILRSIYSQYYANSPLMPLALLSASPRHSPSVSLAHASPVMRQPRGDLTPQYSANDSGYFKGSSSYSQEHLYDAESGSLRSAANKHRNVRRSGPLDYSSSRKVKNPEGSASGSTGPSPLPRFAVSRSGPISYK >EOX98045 pep chromosome:Theobroma_cacao_20110822:2:4361548:4367266:-1 gene:TCM_006902 transcript:EOX98045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer gamma-2 subunit / gamma-2 coat protein / gamma-2 COP, putative isoform 2 MAQPLVKKDDDRDDEADYSPFLGIEKGAVLQEARVFNDPQLDPRRCSQVITKLLYLLNQGETFTKVEATEVFFSVTKLFQSRDIGLRRMVYVMIKELSPSADEVIIVTSSLMKDMTSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVNKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESANNTQTGDRPFYDFLEGCLRHKAEMVIFEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKHRSLMNFLSNILREEGGFEYKKAIVDSIVILIRDIPEAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKYIRYIYNRVHLENATVRAGAVSTLAKFGAMVDALKPRIFVLLRRCLFDNDDEVRDRATLYLNTLGGDGAVVETGEDVKEFLFGSLDIPLVNLENSLKNYELSEESFDIDSVPKEVKTQPLAEKKAPGKKPTGLSAPPTGPPSTVDAYERLLSSIPEFANFGKLFKSSAPVELTEAETEYAVNVVKHIFDGHVVFQFNCTNTIPEQLLENVTVIVDASEAEEFAEVATKPLRSLPYDSPGQTFVAFEKPEGVSAVGKFSNMLRFIVKEVDPSTGEAEEDGVEDEYQLEDLEVVAADYMLKVGVSNFRNAWESMGADCERVDEYGLGPRDSLAEAVNAVINLLGMQPCEVSIQCLFFFGIYAVDMDMHFTFTFLTALHWTVKYHVVNCFFTAIPV >EOX98044 pep chromosome:Theobroma_cacao_20110822:2:4360532:4367540:-1 gene:TCM_006902 transcript:EOX98044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer gamma-2 subunit / gamma-2 coat protein / gamma-2 COP, putative isoform 2 MAQPLVKKDDDRDDEADYSPFLGIEKGAVLQEARVFNDPQLDPRRCSQVITKLLYLLNQGETFTKVEATEVFFSVTKLFQSRDIGLRRMVYVMIKELSPSADEVIIVTSSLMKDMTSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVNKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESANNTQTGDRPFYDFLEGCLRHKAEMVIFEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKHRSLMNFLSNILREEGGFEYKKAIVDSIVILIRDIPEAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKYIRYIYNRVHLENATVRAGAVSTLAKFGAMVDALKPRIFVLLRRCLFDNDDEVRDRATLYLNTLGGDGAVVETGEDVKEFLFGSLDIPLVNLENSLKNYELSEESFDIDSVPKEVKTQPLAEKKAPGKKPTGLSAPPTGPPSTVDAYERLLSSIPEFANFGKLFKSSAPVELTEAETEYAVNVVKHIFDGHVVFQFNCTNTIPEQLLENVTVIVDASEAEEFAEVATKPLRSLPYDSPGQTFVAFEKPEGVSAVGKFSNMLRFIVKEVDPSTGEAEEDGVEDEYQLEDLEVVAADYMLKVGVSNFRNAWESMGADCERVDEYGLGPRDSLAEAVNAVINLLGMQPCEGTEVVPSNSRSHTCLLSGVYIGNVKVLVRLQFGIDGPKDVAMKLAVRSEDEAVSDAIHEIVASG >EOY01051 pep chromosome:Theobroma_cacao_20110822:2:37129294:37130526:-1 gene:TCM_010984 transcript:EOY01051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein HSRKSDNLHGYKSNSTSSHEATKTISTSPQAQQSKETTLRTPTRISKKPLLCFQNVKTLAMKPLLSHLFLTFLFFILHPIVTVSSPDNDSSTTATNATDFIRTSCSATLYPDLCYTSLSGYANAIQQDPARLARTAIGVSLSKTRHMAVYVSNLSREADYGADPRASAALHDCFSNMGDAVDEIRGSLKQMRQLVAPGSESFRFQMGNVQTWMSAALTDEDTCTDGFEDVAEGPMKTDVCQRTGKVKKFTSNALALVNSYAEKGTN >EOX97694 pep chromosome:Theobroma_cacao_20110822:2:3071674:3073020:1 gene:TCM_006638 transcript:EOX97694 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB domain class transcription factor MGRSPCCEKAHTNKGAWTKEEDDRLIAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLNRGIDPATHRPLNEPAAQDVTTISFSGAKEEKEKMNNPSGFANIDEKKNPVQERCPDLNLDLRISPPYHQSQPEPLRTGGRNLCFVCSLGVKNSKDCTCSIATAGSSSSNSNSGYDFLGLKTGFLDYRSLEMK >EOY00084 pep chromosome:Theobroma_cacao_20110822:2:25352761:25355030:-1 gene:TCM_009557 transcript:EOY00084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MSAYRDIAAIVTDSRMVSGRDNSCGIKAKGKITLFLGTLAYDLWRSMTLHPRAWKRKEGTAPIGTLCFLDVDVHPLLDQLGGAEVVLDRIDQILWKRNRLRPLLEQHLLAGQVPPIVPQDTPTVPSMPDISISKKLKEARQLGYVSFTGELDPTIAKDWINQVSETLSDMRLEDDMKLIVATRLLEKRARTWWNSMREFLDLKQGNLTVEEYEARFNKLMLYLPDLVKSEQDQASYFEEGLHNEIRERMAVIGRKPHKEVVQMALRAEKLANENKRMRAEIVKRRNPSGSSSQQPKRDKDSMASGSTTSASITSSRPLVLQTQQRPSRFSRSEMTTSDKSFGGFDKCRHCGKYHVGLCRKLVRCFHCDQLGHYRSDCPQLGRATIAVPSPSARTNIQRKDSTEVQSRQEVTIQSDVESNTLAYPPPRPQTRTSTRAFAVVEDEARVQPRENE >EOX98626 pep chromosome:Theobroma_cacao_20110822:2:6515376:6522609:1 gene:TCM_007341 transcript:EOX98626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein MEAQNAESFYLKSGKPTSLFIFCILLFGLLPLSKATTYDNTNFNFTAFDPNVHGIVYEGDASPSDNGIQLTFNLRDKGLNGSCGRATYYKPMHLWHNSSGKVVLADFTTQFSFVIDSLRNSSKADGFAFFLAPNGSKIPPYSGGGLFGLENIDPTYINYRFVAVEFDTFTNNWDNAMSDHVAIDLNTVQKSLTSRPWWWSDTENGGKVDAFISYNSTTKNLSVVLLDTDDYTLKNSTSLSTILDFSIYLPEWVTFGFSGTTGALFEIHTIYSWNFSSSLPVATNKSYQTNPPTPAPAAATNSTSPADNPRKKSRTWLWVILGVAGGIFALLLVLTLVWFFCWREKYRGKEVETEMVTAPRKFSCKELRFATSNFADEGLLGEGGFGKVYLGFLRDMNCNIAVKKTTPQSQQGVKEYASEVKTISRLRHRNLVQLIGWCHDNQEFLIVYEFLPNKSLDYHLFREPCLLTWEKRYKIAMGLASALFYLHEECEQCVLHRDIKSSNVLLDLSFNAKLGDFGLARLVEHGQGSQTTIMLGTDGYVAPECLETFKAIKESDVYSFGVVALEIATGKQAIAVIDRNGKRLKTKLVEWVWELYGRESIFDAADPRLSDNYDKEQMERLILVGLACAHPNYFARPSITQVVDILGFKAQVPVLPLDMPVPTYIAAHQATIAGSSASSSSQISASNRSQAQFSGTSSTSDSLKVGTGTPATNTK >EOX99160 pep chromosome:Theobroma_cacao_20110822:2:8773936:8777245:-1 gene:TCM_007755 transcript:EOX99160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF604) [Source:Projected from Arabidopsis thaliana (AT4G15240) TAIR;Acc:AT4G15240] MYFKRKRKPVFNSPSTPSRFLFYFKKMLKILNLTPSRIKDLLLIFSLFISIFLVFRHPQAPLPLATTVPFPSSTCRHHLFFSIACSSNSFPRRSSYIRLWYTPRATRAVAFLDQPVSSLVDPTLPPVMVSGDTKSFPYTFKGGLRSAIRVARVVKEAVERNETGIRWFVFGDDDTVFIVDNLVKVLSKYDHEKWFYVGSNSESYEQNLKYSFDMAFGGGGFAISYSLGKVLARVLDSCLMRYAHLYGSDARVWSCLAELGVGLTHERGFHQVDMRGNLFGMLTAHPLSPLVSLHHLDAMEPVFPNMSKTQALEHFFKAVNVDSSRILQQTVCYDRFNSLTVSVAWGYAIQFYEGNQLLPDLLSLQKTFSPWKRGANVGAHFMFNTREFPKDSCKRPLGFFLESVGSDKNVVWSIYTRYSDGNCVRTDAIKNLKEVKVVSQKLELDMEQIMAPRRQCCEILPSYNESMVINIRKCGIDELISMNA >EOX99162 pep chromosome:Theobroma_cacao_20110822:2:8773400:8777143:-1 gene:TCM_007755 transcript:EOX99162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF604) [Source:Projected from Arabidopsis thaliana (AT4G15240) TAIR;Acc:AT4G15240] MYFKRKRKPVFNSPSTPSRFLFYFKKMLKILNLTPSRIKDLLLIFSLFISIFLVFRHPQAPLPLATTVPFPSSTCRHHLFFSIACSSNSFPRRSSYIRLWYTPRATRAVAFLDQPVSSLVDPTLPPVMVSGDTKSFPYTFKGGLRSAIRVARVVKEAVERNETGIRWFVFGDDDTVFIVDNLVKVLSKYDHEKWFYVGSNSESYEQNLKYSFDMAFGGGGFAISYSLGKVLARVLDSCLMRYAHLYGSDARVWSCLAELGVGLTHERGFHQVDMRGNLFGMLTAHPLSPLVSLHHLDAMEPVFPNMSKTQALEHFFKAVNVDSSRILQQTVCYDRFNSLTVSVAWGYAIQFYEGNQLLPDLLSLQKTFSPWKRGANVGAHFMFNTREFPKDSCKRPLGFFLESVGSDKNVVWSIYTRYSDGNCVRTDAIKNLKEVKVVSQKLELDMEQIMAPRRQCCEILPSYNESMVINIRKCGIDELISMNA >EOX99161 pep chromosome:Theobroma_cacao_20110822:2:8773252:8777160:-1 gene:TCM_007755 transcript:EOX99161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF604) [Source:Projected from Arabidopsis thaliana (AT4G15240) TAIR;Acc:AT4G15240] MYFKRKRKPVFNSPSTPSRFLFYFKKMLKILNLTPSRIKDLLLIFSLFISIFLVFRHPQAPLPLATTVPFPSSTCRHHLFFSIACSSNSFPRRSSYIRLWYTPRATRAVAFLDQPVSSLVDPTLPPVMVSGDTKSFPYTFKGGLRSAIRVARVVKEAVERNETGIRWFVFGDDDTVFIVDNLVKVLSKYDHEKWFYVGSNSESYEQNLKYSFDMAFGGGGFAISYSLGKVLARVLDSCLMRYAHLYGSDARVWSCLAELGVGLTHERGFHQVDMRGNLFGMLTAHPLSPLVSLHHLDAMEPVFPNMSKTQALEHFFKAVNVDSSRILQQTVCYDRFNSLTVSVAWGYAIQFYEGNQLLPDLLSLQKTFSPWKRGANVGAHFMFNTREFPKDSCKRPLGFFLESVGSDKNVVWSIYTRYSDGNCVRTDAIKNLKEVKVVSQKLELDMEQQIMAPRRQCCEILPSYNESMVINIRKCGIDELISMNA >EOX99163 pep chromosome:Theobroma_cacao_20110822:2:8774896:8777135:-1 gene:TCM_007755 transcript:EOX99163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF604) [Source:Projected from Arabidopsis thaliana (AT4G15240) TAIR;Acc:AT4G15240] MYFKRKRKPVFNSPSTPSRFLFYFKKMLKILNLTPSRIKDLLLIFSLFISIFLVFRHPQAPLPLATTVPFPSSTCRHHLFFSIACSSNSFPRRSSYIRLWYTPRATRAVAFLDQPVSSLVDPTLPPVMVSGDTKSFPYTFKGGLRSAIRVARVVKEAVERNETGIRWFVFGDDDTVFIVDNLVKVLSKYDHEKWFYVGSNSESYEQNLKYSFDMAFGGGGFAISYSLGKVLARVLDSCLMRYAHLYGSDARVWSCLAELGVGLTHERGFHQVDMRGNLFGMLTAHPLSPLVSLHHLDAMEPVFPNMSKTQALEHFFKAVNVDSSRILQQTVCYDRFNSLTVSVAWGYAIQFYEGNQLLPDLLSLQKTFSPWKRGANVGAHFMFNTREFPKDSCKRPLGFFLESVGSDKNVVWSIYTRYSDGNCVRTDAIKNLKEVKVVSQKLELDMEQVLIN >EOX99159 pep chromosome:Theobroma_cacao_20110822:2:8773936:8777245:-1 gene:TCM_007755 transcript:EOX99159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF604) [Source:Projected from Arabidopsis thaliana (AT4G15240) TAIR;Acc:AT4G15240] MYFKRKRKPVFNSPSTPSRFLFYFKKMLKILNLTPSRIKDLLLIFSLFISIFLVFRHPQAPLPLATTVPFPSSTCRHHLFFSIACSSNSFPRRSSYIRLWYTPRATRAVAFLDQPVSSLVDPTLPPVMVSGDTKSFPYTFKGGLRSAIRVARVVKEAVERNETGIRWFVFGDDDTVFIVDNLVKVLSKYDHEKWFYVGSNSESYEQNLKYSFDMAFGGGGFAISYSLGKVLARVLDSCLMRYAHLYGSDARVWSCLAELGVGLTHERGFHQVDMRGNLFGMLTAHPLSPLVSLHHLDAMEPVFPNMSKTQALEHFFKAVNVDSSRILQQTVCYDRFNSLTVSVAWGYAIQFYEGNQLLPDLLSLQKTFSPWKRGANVGAHFMFNTREFPKDSCKRPLGFFLESVGSDKNVVWSIYTRYSDGNCVRTDAIKNLKEVKVVSQKLELDMEQIMAPRRQCCEILPSYNESMVINIRKCGIDELISMNA >EOX98842 pep chromosome:Theobroma_cacao_20110822:2:7457465:7458774:-1 gene:TCM_007522 transcript:EOX98842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light harvesting complex photosystem II subunit 6 MAATSGTVINGLGSPFLCGGKRSQALLGARVATPASGTRKLVVVAAAAPKKSWIPGVRGGGNFINPEWLDGSLPGDYGFDPLGLGKDPAFLRWYREAELIHGRWAMTAVVGIFVGQAWSGVPWFEAGADPGAIAPFSFGSLLGTQLILMGWVESKRWVDFFNPQSQSVEWATPWSKTAENFANATGEQGYPGGKFFDPLGLAGTIQNGVYIPDFDKLERLKLAEIKHARIAMLAMLIFYFEAGQGKTPLGALGL >EOY01166 pep chromosome:Theobroma_cacao_20110822:2:37961885:37966378:1 gene:TCM_011096 transcript:EOY01166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGSRIPVQHYNNSFIATSLHDLNTVDSRPSDIDAVDAADALDHHDHDHHDSAAVECMHESYRNSLPIHGVGAEEEDRSSLDNSDSSRGAFNILTIEDVSPMESARARFLQIIVDHFINDHVIEVVDNESSADYNTQSGQDKLNKRKTRDIQYEGDPRFALPLMYVANLYETLVNDVNMRIASLNGIRDKTIGVALEAAGGLYRRLAKKFPKKGSCIYKRRELATSLETRTRFPELVIQEEKRVRFVVVNGLDIVERPNNVPIEDAEWFKRLTGRNEVAISAQDYKFYSPRHKYRRVPSNTVSNISALPTFSGTDSSSPMSTPQGFHTVNEQQTPSKHHIPPLSHQPQFHPIHQNHHQPVHQNQHTAHFPQNHQCGPPSHLPEISHAHPSSTMSQHIACLQPLAGGHVGARLHVMVRHEFTYFSVAILLFQFLIVNCFHLAALAHTIGDDFREKGGKVMLLTLYFMAICISI >EOY01165 pep chromosome:Theobroma_cacao_20110822:2:37962036:37968014:1 gene:TCM_011096 transcript:EOY01165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGSRIPVQHYNNSFIATSLHDLNTVDSRPSDIDAVDAADALDHHDHDHHDSAAVECMHESYRNSLPIHGVGAEEEDRSSLDNSDSSRGAFNILTIEDVSPMESARARFLQIIVDHFINDHVIEVVDNESSADYNTQSGQDKLNKRKTRDIQYEGDPRFALPLMYVANLYETLVNDVNMRIASLNGIRDKTIGVALEAAGGLYRRLAKKFPKKGSCIYKRRELATSLETRTRFPELVIQEEKRVRFVVVNGLDIVERPNNVPIEDAEWFKRLTGRNEVAISAQDYKFYSPRHKYRRVPSNTVSNISALPTFSGTDSSSPMSTPQGFHTVNEQQQTPSKHHIPPLSHQPQFHPIHQNHHQPVHQNQHTAHFPQNHQCGPPSHLPEISHAHPSSTMSQHIACLQPLAGGHVGARLHVMPTSPAKFCDECGAPYLRETSKFCSECGIKRLGI >EOY01167 pep chromosome:Theobroma_cacao_20110822:2:37962085:37968075:1 gene:TCM_011096 transcript:EOY01167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGSRIPVQHYNNSFIATSLHDLNTVDSRPSDIDAVDAADALDHHDHDHHDSAAVECMHESYRNSLPIHGVGAEEEDRSSLDNSDSSRGAFNILTIEDVSPMESARARFLQIIVDHFINDHVIEVVDNESSADYNTQSGQDKLNKRKTRDIQYEGDPRFALPLMYVANLYETLVNDVNMRIASLNGIRDKTIGVALEAAGGLYRRLAKKFPKKGSCIYKRRELATSLETRTRFPELVIQEEKRVRFVVVNGLDIVERPNNVPIEDAEWFKRLTGRNEVAISAQDYKFYSPRHKYRRVPSNTVSNISALPTFSGTDSSSPMSTPQGFHTVNEQQTPSKHHIPPLSHQPQFHPIHQNHHQPVHQNQHTAHFPQNHQCGPPSHLPEISHAHPSSTMSQHIACLQPLAGGHVGARLHVMPTSPAKFCDECGAPYLRETSKFCSECGIKRLGI >EOY01164 pep chromosome:Theobroma_cacao_20110822:2:37961621:37968024:1 gene:TCM_011096 transcript:EOY01164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGSRIPVQHYNNSFIATSLHDLNTVDSRPSDIDAVDAADALDHHDHDHHDSAAVECMHESYRNSLPIHGVGAEEEDRSSLDNSDSSRGAFNILTIEDVSPMESARARFLQIIVDHFINDHVIEVVDNESSADYNTQSGQDKLNKRKTRDIQYEGDPRFALPLMYVANLYETLVNDVNMRIASLNGIRDKTIGVALEAAGGLYRRLAKKFPKKGSCIYKRRELATSLETRTRFPELVIQEEKRVRFVVVNGLDIVERPNNVPIEDAEWFKRLTGRNEVAISAQDYKFYSPRHKYRRVPSNTVSNISALPVRSVPSFPRIICVIFGQCGLTFSGTDSSSPMSTPQGFHTVNESICSKFNLISDFANLLLLLENQQQTPSKHHIPPLSHQPQFHPIHQNHHQPVHQNQHTAHFPQNHQCGPPSHLPEISHAHPSSTMSQHIACLQPLAGGHVGARLHVMPTSPAKFCDECGAPYLRETSKFCSECGIKRLGI >EOX97038 pep chromosome:Theobroma_cacao_20110822:2:1001820:1005901:1 gene:TCM_006154 transcript:EOX97038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycinamide ribonucleotide (GAR) synthetase isoform 1 MEFFLSLGVVGAQNRIPKLGSENSERLEVKQATAKQTAAHRKIFTWFAHISSQALDKYKLLALYDFDVVQRVVVLVIGGGGREHALCYALQRSPSCDAVFCAPGNAGISNSGNATCIPDLDISDSSAVVSFCRKWSVGLVVVGPEAPLVSGLANDLVEAGIPTFGPSAEAAALEGSKNFMKSICDKYGIPTAKYQTFSDASAAKQYIKEQGAPIVIKADGLAAGKGVIVAMTLEEAYEAVDSMLVEGIFGSAGCQVIVEEFLEGEEASFFALVDGENAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTKELQSLVMESIILPTVKGMSAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMVRLESDLAKVLLAACKGELDGVSLNWSPGSAMVVVMASKGYPGSYEKGTVIQNLEEAELVAPSVKIFHAGTALDSDGSFIATGGRVLGITAKGRDLEEARDRAYQAVEEINWPEGFYRRDIGWRALPQKQFATNA >EOX97039 pep chromosome:Theobroma_cacao_20110822:2:1002398:1006016:1 gene:TCM_006154 transcript:EOX97039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycinamide ribonucleotide (GAR) synthetase isoform 1 MAFTTLNFSPSLKLVTNNNGFFGFSKSFFPSNLFLGNSSFSLGALSFTTNHQSSGRLNINGSRWSSTAFRCFAQKSEPSVSVDGHGSKGTSERVVVLVIGGGGREHALCYALQRSPSCDAVFCAPGNAGISNSGNATCIPDLDISDSSAVVSFCRKWSVGLVVVGPEAPLVSGLANDLVEAGIPTFGPSAEAAALEGSKNFMKSICDKYGIPTAKYQTFSDASAAKQYIKEQGAPIVIKADGLAAGKGVIVAMTLEEAYEAVDSMLVEGIFGSAGCQVIVEEFLEGEEASFFALVDGENAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTKELQSLVMESIILPTVKGMSAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMVRLESDLAKVLLAACKGELDGVSLNWSPGSAMVVVMASKGYPGSYEKGTVIQNLEEAELVAPSVKIFHAGTALDSDGSFIATGGRVLGITAKGRDLEEARDRAYQAVEEINWPEGFYRRDIGWRALPQKQFATNA >EOY01475 pep chromosome:Theobroma_cacao_20110822:2:39588469:39589329:1 gene:TCM_011348 transcript:EOY01475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPDQRSRHELTLEPYSIPRLLSPDPFFQTPHSLPHFPAFSPSLKIHPNLPNGQTLSPSFSTPQKKKKPLFPRRLTHSLPIMNRRTRSLARHSLTGTETFLKYLKPGALARLRDSRISARSHRISSLFQISPSSPPSGGGQTFSASIDGFPCFAATARVYGPRCLQRKKLLAAKGMLFLNSTQSALDLADPVVDLLTSE >EOY01499 pep chromosome:Theobroma_cacao_20110822:2:39701425:39708089:-1 gene:TCM_011369 transcript:EOY01499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phosphotriesterase superfamily protein, putative MDKETTNTINKDQLCRRLEFTEDDILPSIEPMEEQNLEQDKVFCCSCQGTRRNDKRDDNVKSHSPETRFGCLAVLKVSRYDDKLRVTKFIAEHTHALASPRKRMFLRSQRAINLPQAVELEIADCSGIAPKESVGSCASITMKIFHSFKLLFFVLFLSQNIIQSFQQLPEGDDLHKKYYQIDLPQVTGPESIAFDCKNQGPYVGVSDGRILKWQGPNLGWKEFAIPSSDRDRKLCDGSTNPDLEPTCGRPLGLKFNSATCDLFIADAYFGLLLVGRSGGVAQQLATSAEGVPFKFTNALDIDTNTGVVYFTDSSIIFQRRHYFLSTITFDRSGRLLKYNFRTKEVTVLYKGLAFPNGVALSKDNSFLLVAETTKMRILKFNLDSTTDSYTPEQFAQLPKMPDNIKRNDKGEFWVALNSGRGRIQNEGETKLSSETTIPWFTLDPVGVKYDQEGKIMKQLDGNGGIRFDSVSEVEELNGKLYIGSVVKPYVGVLYT >EOX98733 pep chromosome:Theobroma_cacao_20110822:2:6898857:6907009:-1 gene:TCM_007426 transcript:EOX98733 gene_biotype:protein_coding transcript_biotype:protein_coding description:LMBR1-like membrane protein isoform 1 MLVFYLISLPLTMGMVILTLKYFAGPDVPTYVFFTVGYTWFCSFSIIILVPADIWTTIVDHSSGGISFFWSLSYWSTFLLTWAVVPTIQGYEDAGDFTMAERLKTSIHGNLVFYLCVGSIGLVGLILFIIFRKNWSGGILGFAMACSNTFGLVTGAFLLGFGLSEIPKGIWKNVDWTVSQKVLSHKVAKMAVKLDDAHQEFSNVIVVAQATSNQISKRDPLRPYMNIIDSMLHQMLKEDPSFKPQGGRFGENDMDYDTDEKSMATLRRRLRIAREEYCRYRSEYMSFVLEALELEDTVKNYERRDATGWKFISSFRPERKGRLGASLDMLEFIWRCVLRKQLEKLLAIILGCMSAALLLAEATILPNGVDLSLFSILINSVGKQEMLVQVAAFIPLMYMCVCTYYSLFKIGMLMFYSFTPKQTSSVSLLMICSMVARYAPPISYNFLNLIHLPGNRKTIFEKRMGNIDDAVPFFGKGFNKIYPLIMVIYTLLLVTNFFDRVIDYFGNWKLFKFQDEADDTDGFDPSGLIILQKERSWLERGHKVGEHVIPLARNFNGMSIEIEPGSNKTDKAVTDTSVQSAIEIGKGDQLKPLKEEAQHDTSKEAISKKYFGIRAHQNIQASNKNSTQKDLTSLTVDAGNSESAMTPPIPSGGLASKWESMKSGLLNFKSNLEAKKFLPLRQTRENTMSSGASSSESLDEIFQRLKRPTLDLRDYGAENDLFEHD >EOX98732 pep chromosome:Theobroma_cacao_20110822:2:6898729:6907092:-1 gene:TCM_007426 transcript:EOX98732 gene_biotype:protein_coding transcript_biotype:protein_coding description:LMBR1-like membrane protein isoform 1 MLVFYLISLPLTMGMVILTLKYFAGPDVPTYVFFTVGYTWFCSFSIIILVPADIWTTIVDHSSGGISFFWSLSYWSTFLLTWAVVPTIQGYEDAGDFTMAERLKTSIHGNLVFYLCVGSIGLVGLILFIIFRKNWSGGILGFAMACSNTFGLVTGAFLLGFGLSEIPKGIWKNVDWTVSQKVLSHKVAKMAVKLDDAHQEFSNVIVVAQATSNQISKRDPLRPYMNIIDSMLHQMLKEDPSFKPQGGRFGENDMDYDTDEKSMATLRRRLRIAREEYCRYRSEYMSFVLEALELEDTVKNYERRDATGWKFISSFRPERKGRLGASLDMLEFIWRCVLRKQLEKLLAIILGCMSAALLLAEATILPNGVDLSLFSILINSVGKQEMLVAAFIPLMYMCVCTYYSLFKIGMLMFYSFTPKQTSSVSLLMICSMVARYAPPISYNFLNLIHLPGNRKTIFEKRMGNIDDAVPFFGKGFNKIYPLIMVIYTLLLVTNFFDRVIDYFGNWKLFKFQDEADDTDGFDPSGLIILQKERSWLERGHKVGEHVIPLARNFNGMSIEIEPGSNKTDKAVTDTSVQSAIEIGKGDQLKPLKEEAQHDTSKEAISKKYFGIRAHQNIQASNKNSTQKDLTSLTVDAGNSESAMTPPIPSGGLASKWESMKSGLLNFKSNLEAKKFLPLRQTRENTMSSGASSSESLDEIFQRLKRPTLDLRDYGAENDLFEHD >EOX98734 pep chromosome:Theobroma_cacao_20110822:2:6898798:6906881:-1 gene:TCM_007426 transcript:EOX98734 gene_biotype:protein_coding transcript_biotype:protein_coding description:LMBR1-like membrane protein isoform 1 MLVFYLISLPLTMGMVILTLKYFAGPDVPTYVFFTVGYTWFCSFSIIILVPADIWTTIVDHSSGGISFFWSLSYWSTFLLTWGYEDAGDFTMAERLKTSIHGNLVFYLCVGSIGLVGLILFIIFRKNWSGGILGFAMACSNTFGLVTGAFLLGFGLSEIPKGIWKNVDWTVSQKVLSHKVAKMAVKLDDAHQEFSNVIVVAQATSNQISKRDPLRPYMNIIDSMLHQMLKEDPSFKPQGGRFGENDMDYDTDEKSMATLRRRLRIAREEYCRYRSEYMSFVLEALELEDTVKNYERRDATGWKFISSFRPERKGRLGASLDMLEFIWRCVLRKQLEKLLAIILGCMSAALLLAEATILPNGVDLSLFSILINSVGKQEMLVQVAAFIPLMYMCVCTYYSLFKIGMLMFYSFTPKQTSSVSLLMICSMVARYAPPISYNFLNLIHLPGNRKTIFEKRMGNIDDAVPFFGKGFNKIYPLIMVIYTLLLVTNFFDRVIDYFGNWKLFKFQDEADDTDGFDPSGLIILQKERSWLERGHKVGEHVIPLARNFNGMSIEIEPGSNKTDKAVTDTSVQSAIEIGKGDQLKPLKEEAQHDTSKEAISKKYFGIRAHQNIQASNKNSTQKDLTSLTVDAGNSESAMTPPIPSGGLASKWESMKSGLLNFKSNLEAKKFLPLRQTRENTMSSGASSSESLDEIFQRLKRPTLDLRDYGAENDLFEHD >EOX98431 pep chromosome:Theobroma_cacao_20110822:2:5768403:5770987:1 gene:TCM_007199 transcript:EOX98431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein isoform 1 MSGALRKNSSIIRLLPKQPFTDFTDPINRIHPFLRNQTHTQTREYFRFPNVLRKVKEYEISPSLFASSFSSSSSSSTRVGLVGWYLGMVKSWPVLTKSVTSSLIYIAADFSSQTISKLSSEPYDLVRTSRMAGYGMLILGPSLHFWFNLMSKLFPKRDLITTFKKMAMGQLLYGPAMTVVFFSLNACLQGERSGEIVARLQRDLVPTMLNGVMYWPFCDFITFRFIPVHLQPLVSNSFSYLWTVYMTYMASLAKPATIAC >EOX98432 pep chromosome:Theobroma_cacao_20110822:2:5768404:5771004:1 gene:TCM_007199 transcript:EOX98432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein isoform 1 MSGALRKNSSIIRLLPKQPFTDFTDPINRIHPFLRNQTHTQTREYFRFPNVLRKVKEYEISPSLFASSFSSSSSSSTRVGLVGWYLGMVKSWPVLTKSVTSSLIYIAADFSSQTISKLSSEPYDLVRTSRMAGYGMLILGPSLHFWFNLMSKLFPKRDLITTFKKMAMGQLLYGPAMTVVFFSLNACLQGERSGEIVGMLSLRPLSLSLFLHSVVLFFAHSSSSGCGLTFNLEIFSWCYSFWQPLVSNSFSYLWTVYMTYMASLAKPATIAC >EOY00011 pep chromosome:Theobroma_cacao_20110822:2:22849577:22861142:1 gene:TCM_009337 transcript:EOY00011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSHFWRKLQEALGIILYFSMAFLPQTDGQSECTIQTLEDMLGAIVLDFRVAWNSYLPLAEFAYNNSY >EOX97953 pep chromosome:Theobroma_cacao_20110822:2:4077385:4083358:1 gene:TCM_006843 transcript:EOX97953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal-dependent decarboxylase family protein isoform 2 MAAATHLLSHSPSLPKTLNHPLTQNPFSKFPILPLKPSQNVFKRHSLSIKAVLSQNPAKTLTPTFQHCFTKSPDGFLYCEDTKVQDIMEKVEKRPFYLYSKPQITRNVEAYTEALEGLKNSIIGYAIKANNNFKILQHLKKLGCGAVLVSGNELELALRAGFDPTKCIFNGNGKILEDLIIAAQEGVFVNVDSEFDLENIVAAARIAGRKVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKAHPNELKLVGAHCHLGSTITKVDIFRDAAVLMVNYIDEIRAQGFEVDYLNIGGGLGIDYYHSGAVLPTPRDLIDTVRELVLSRNLNLIIEPGRSLIANTCCLVNHVTGVKTNGTKNFVVIDGSMAELIRPSLYDAYQHIELVSPAPPDAEVSTFDVVGPVCESADFLGKERKLPTPAKGTGLVVHDAGAYCMSMASTYNLKMRPPEYWVEEDGSVTKIRHGETFEDHMRFFEGL >EOX97955 pep chromosome:Theobroma_cacao_20110822:2:4077839:4083602:1 gene:TCM_006843 transcript:EOX97955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal-dependent decarboxylase family protein isoform 2 MAAATHLLSHSPSLPKTLNHPLTQNPFSKFPILPLKPSQNVFKRHSLSIKAVLSQNPAKTLTPTFQHCFTKSPDGFLYCEDTKVQDIMEKVEKRPFYLYSKPQITRNVEAYTEALEGLKNSIIGYAIKANNNFKILQHLKKLGCGAVLVSGNELELALRAGFDPTKCIFNGNGKILEDLIIAAQEGVFVNVDSEFDLENIVAAARIAGRKVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKAHPNELKLVGAHCHLGSTITKVRELVLSRNLNLIIEPGRSLIANTCCLVNHVTGVKTNGTKNFVVIDGSMAELIRPSLYDAYQHIELVSPAPPDAEVSTFDVVGPVCESADFLGKERKLPTPAKGTGLVVHDAGAYCMSMASTYNLKMRPPEYWVTNC >EOX97954 pep chromosome:Theobroma_cacao_20110822:2:4077839:4083602:1 gene:TCM_006843 transcript:EOX97954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal-dependent decarboxylase family protein isoform 2 MAAATHLLSHSPSLPKTLNHPLTQNPFSKFPILPLKPSQNVFKRHSLSIKAVLSQNPAKTLTPTFQHCFTKSPDGFLYCEDTKVQDIMEKVEKRPFYLYSKPQITRNVEAYTEALEGLKNSIIGYAIKANNNFKILQHLKKLGCGAVLVSGNELELALRAGFDPTKCIFNGNGKILEDLIIAAQEGVFVNVDSEFDLENIVAAARIAGRKVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKAHPNELKLVGAHCHLGSTITKVDIFRDAAVLMVNYIDEIRAQGFEVDYLNIGGGLGIDYYHSGAVLPTPRDLIDTVRELVLSRNLNLIIEPGRSLIANTCCLVNHVTGVKTNGTKNFVVIDGSMAELIRPSLYDAYQISWERRENFQPQLRELA >EOX97699 pep chromosome:Theobroma_cacao_20110822:2:3095308:3102197:1 gene:TCM_006642 transcript:EOX97699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MILSKIRRNPSAFLHFNSFSTSFKPSSPCVNSYNASKLLSKHFKAGRIQEAEKLFGQIPQRNVVLWSVVIHGYSINGHHMKSMESYLHMRNSRLFPNSFTVVGLLVGIQDLQLGQSIHGLILKCGLDFDLVVCTAMLNAYAKCGNITDSYKLFEGLQNPGLVSCNAMIAGFVNNELFEEAVLLFKKLRKCGLVPNVATALSIIQGGVGLGLRNICKLIHGLIVKFGLGSDIRVNNSVLDMYSCLMDLDAATTIFDGMELKDVISWTTMIGLLVNLEYATAALELFCKMKDSGVSYDAIVFMNLVSACAILGDLRKGKQTHAQAVVCGFVSELPLVNSIMAMYSKCGDVDSSRILFDQSTQKSLVSWAAIVSGYVQNGFSREALNLFIKFRLEEYYLPDSVMLMGALTASSDMVDFELCQQLHCCAFEAGFFSYRSVQNTLISAYSKCGNMKLAYLVLKEMGYLKDVVSWNAIINGYGINGQGETALALYHEMREGMEDADSATYLSILNACSHAGLINDGLMIFNKMVEDHKIRPSQEHYGCIIDLLARAGCLSDASGIVSQIGIGPNAWRALLNGCMLHGNVEVAEFAARKVLELEPRESDEVVLLSNVYASVGRFQDAEALRLDMQKKALIKNPGVSLLCRIPYDGG >EOX99462 pep chromosome:Theobroma_cacao_20110822:2:11407056:11412617:-1 gene:TCM_008143 transcript:EOX99462 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding,protein kinases,protein serine/threonine kinases isoform 1 MGVILTESNDSSSAHDPLFPWLTSIKKALDEWYSGNRTGADLDNLLSDCISTFKHNAKYRNDLRFLKIWFIYLEGSKDFESVFREIEENEICIGHSLLYEWYAYFLEAKGKWKEAHTVYQIGISRKADPLEKLKGAQSLFVERMSDRLNGSSFGKIDGSEPAEFGKKFINPWSTFTIEELSKKIHPQITKYDGYHLSKKVYSGKVALSSLKKSSRNKIIEIGGKKYQIKGCAGQGGFAQVFKAYIDSNPDDVVALKIQKPAFPWEFYMYRQLDERILDKQRSNFGSAQKIHIYSDCSILVCDYLSHGTLQDAINSYVVTGKSMEEVLCIYYTTEMLYMLETLHRVGIIHGDFKPDNLLIRYSKDDLSEDGFKDRTGPWHDQGLCLVDWGRGIDLHLFPDNTEFTGGHIWPVCCCPYDAA >EOX99463 pep chromosome:Theobroma_cacao_20110822:2:11407056:11412266:-1 gene:TCM_008143 transcript:EOX99463 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding,protein kinases,protein serine/threonine kinases isoform 1 MSDRLNGSSFGKIDGSEPAEFGKKFINPWSTFTIEELSKKIHPQITKYDGYHLSKKVYSGKVALSSLKKSSRNKIIEIGGKKYQIKGCAGQGGFAQVFKAYIDSNPDDVVALKIQKPAFPWEFYMYRQLDERILDKQRSNFGSAQKIHIYSDCSILVCDYLSHGTLQDAINSYVVTGKSMEEVLCIYYTTEMLYMLETLHRVGIIHGDFKPDNLLIRYSKDDLSEDGFKDRTGPWHDQGLCLVDWGRGIDLHLFPDNTEFTGDCRTSGFRCIQMQEKKPWTFQVDTYGLCVVVHMMLHNTYMEIEKKASDDDYIYLPKSSFKRYWNVNLWKDLFTKLLNVSPGNNDAELLQSLRKSFLEYMCDNPPLVNKLKELLVKQRASLCCA >EOX99461 pep chromosome:Theobroma_cacao_20110822:2:11406783:11412627:-1 gene:TCM_008143 transcript:EOX99461 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding,protein kinases,protein serine/threonine kinases isoform 1 MGVILTESNDSSSAHDPLFPWLTSIKKALDEWYSGNRTGADLDNLLSDCISTFKHNAKYRNDLRFLKIWFIYLEGSKDFESVFREIEENEICIGHSLLYEWYAYFLEAKGKWKEAHTVYQIGISRKADPLEKLKGAQSLFVERMSDRLNGSSFGKIDGSEPAEFGKKFINPWSTFTIEELSKKIHPQITKYDGYHLSKKVYSGKVALSSLKKSSRNKIIEIGGKKYQIKGCAGQGGFAQVFKAYIDSNPDDVVALKIQKPAFPWEFYMYRQLDERILDKQRSNFGSAQKIHIYSDCSILVCDYLSHGTLQDAINSYVVTGKSMEEVLCIYYTTEMLYMLETLHRVGIIHGDFKPDNLLIRYSKDDLSEDGFKDRTGPWHDQGLCLVDWGRGIDLHLFPDNTEFTGDCRTSGFRCIQMQEKKPWTFQVDTYGLCVVVHMMLHNTYMEIEKKASDDDYIYLPKSSFKRYWNVNLWKDLFTKLLNVSPGNNDAELLQSLRKSFLEYMCDNPPLVNKLKELLVKQRASLCCA >EOX97682 pep chromosome:Theobroma_cacao_20110822:2:3036194:3043484:-1 gene:TCM_006633 transcript:EOX97682 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat,HECT-domain isoform 2 METRSRKRAEASSTAPSSSSSPSGPTTRSNKRARLTSSSSAASAATNTTTTRSRSSRTAAALMEPTTTESSSGSRRDRRSSKANQTTTSDSPNLASDKGKEKEHDLRIRDRDRDNRDNNNNNSNHPERSLGLNMDTSGGDEDDNDSEGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSTAMGSASSSHQSGRLKKILSGLRADGEEGRQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYRAVSCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADYVMEAVPLLTNLLQYHDSKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQAASLISTSSSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDILSGSGVSANSSVPPALSRPAEQIFEIVNLANELLPPLPQGTISLPASSNIFVKGSIVKKSPASTSGKQEDTNGNAPEVSAREKLLSDQPELLQQFGMDLLPVLIQIYGSSVSSPVRHKCLSVIGKLMYFSSAEMIQNLLSVTNISSFLAGVLAWKDPHVLVPSLQIAEILMEKLPGTFSKMFVREGVVHAVDQLVLIGNQSTTPAQASSVEKENESVSGTSSRSRRYRRRNGNSNPEGSSVEESKNPASVNIGSPPSSVEIPTANSNLRTAVSASAKAFKDKYFPSDPGAVEVGVTDDLLHLKNLCMKLNAGVDDQKTKAKGKSKASGSRLADFSAGKEEYLIGVISEMLAELSKGDGVSTFEFIGSGVVAALLNYFSCGYFSKERISDVNLPKLRHQALKRFKSFISVALSSGVDDGSIAPMTVLVQKLQNALSSLERFPVVLSHSSRSSGGSARLSSGLSALSQPFKLRLCRAQGEKSLRDYSSNVVLIDPLASLAAVEEFLWPRVQRSDTSQKPIVSVGNSESGNTPSGAGASSPSTSTPALTTRRHSSRSRSSVNIGDVARKVPSQEKSTSSSKGKGKAVLKPAQEESRGPQTRNAARRRAALDKDAPMKPVNGDSTSEDEELDMSPVEIDDALVIEDDDISDDEDDDHEDVLRDDSLPVCMPDKVHDVKLGDSAEDGTPAPATSDSQTHAASGSSSKAAAVRGSDSADFRSAYGARGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPPFGSSNEPPKLIFTAGGKQLNRHLTIYQAIQRQLVLDEDDDERYAGSDFISSDGSRLWSDIYTITYQRADSQADRTSVGGSGSAAASKSTKSGSSNSNSDPQTHRMSLLDSILQGELPCDLERSNPTYNILALLRVLEGLNQLAPRLRAQIVSDNFAEGKISNLDELSTTGSKVPYEEFINGKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVGLGMWRSNSTWDKSVMEIDGDEEKNGKAAGSATIEGDIIQAPLGLFPRPWPPNVDASEGSQFCTVIEYFRLVGRVMAKALQDGRLLDLPLSTPFYKLVLGQELDLHDILSFDTEFGKTLQELHLLVCRKQYLESMGGDNSDVIADLRFRGAPIEDLCLDFTLPGYQDYILKPGDENVDINNLEEYISLVVDATVKTGIMRQMEAFRAGFNQGF >EOX97685 pep chromosome:Theobroma_cacao_20110822:2:3034925:3043484:-1 gene:TCM_006633 transcript:EOX97685 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat,HECT-domain isoform 2 METRSRKRAEASSTAPSSSSSPSGPTTRSNKRARLTSSSSAASAATNTTTTRSRSSRTAAALMEPTTTESSSGSRRDRRSSKANQTTTSDSPNLASDKGKEKEHDLRIRDRDRDNRDNNNNNSNHPERSLGLNMDTSGGDEDDNDSEGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSTAMGSASSSHQSGRLKKILSGLRADGEEGRQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYRAVSCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADYVMEAVPLLTNLLQYHDSKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQAASLISTSSSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDILSGSGVSANSSVPPALSRPAEQIFEIVNLANELLPPLPQGTISLPASSNIFVKGSIVKKSPASTSGKQEDTNGNAPEVSAREKLLSDQPELLQQFGMDLLPVLIQIYGSSVSSPVRHKCLSVIGKLMYFSSAEMIQNLLSVTNISSFLAGVLAWKDPHVLVPSLQIAEILMEKLPGTFSKMFVREGVVHAVDQLVLIGNQSTTPAQASSVEKENESVSGTSSRSRRYRRRNGNSNPEGSSVEESKNPASVNIGSPPSSVEIPTANSNLRTAVSASAKAFKDKYFPSDPGAVEVGVTDDLLHLKNLCMKLNAGVDDQKTKAKGKSKASGSRLADFSAGKEEYLIGVISEMLAELSKGDGVSTFEFIGSGVVAALLNYFSCGYFSKERISDVNLPKLRHQALKRFKSFISVALSSGVDDGSIAPMTVLVQKLQNALSSLERFPVVLSHSSRSSGGSARLSSGLSALSQPFKLRLCRAQGEKSLRDYSSNVVLIDPLASLAAVEEFLWPRVQRSDTSQKPIVSVGNSESGNTPSGAGASSPSTSTPALTTRRHSSRSRSSVNIGDVARKVPSQEKSTSSSKGKGKAVLKPAQEESRGPQTRNAARRRAALDKDAPMKPVNGDSTSEDEELDMSPVEIDDALVIEDDDISDDEDDDHEDVLRDDSLPVCMPDKVHDVKLGDSAEDGTPAPATSDSQTHAASGSSSKAAAVRGSDSADFRSAYGARGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPPFGSSNEPPKLIFTAGGKQLNRHLTIYQAIQRQLVLDEDDDERYAGSDFISSDGSRLWSDIYTITYQRADSQADRTSVGGSGSAAASKSTKSGSSNSNSDPQTHRMSLLDSILQGELPCDLERSNPTYNILALLRVLEGLNQLAPRLRAQIVSDNFAEGKISNLDELSTTGSKVPYEEFINGKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVGLGMWRSNSTWDKSVMEIDGDEEKNGKAAGSATIEGDIIQAPLGLFPRPWPPNVDASEGSQFCTVIEYFRLVGRVMAKALQDGRLLDLPLSTPFYKLVLGQELDLHDILSFDTEFGKTLQELHLLVCRKQYLESMGGDNSDVIADLRFRGAPFDIASLQIFTSQELDYLLCGRRELWEAETLADHIKFDHGYTAKSPAIVNLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSASAAASNGTGPSESADDDLPSVMTCANYLKLPPYSTKEIMYKKLVYAISEGQGSFDLS >EOX97687 pep chromosome:Theobroma_cacao_20110822:2:3035702:3043484:-1 gene:TCM_006633 transcript:EOX97687 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat,HECT-domain isoform 2 METRSRKRAEASSTAPSSSSSPSGPTTRSNKRARLTSSSSAASAATNTTTTRSRSSRTAAALMEPTTTESSSGSRRDRRSSKANQTTTSDSPNLASDKGKEKEHDLRIRDRDRDNRDNNNNNSNHPERSLGLNMDTSGGDEDDNDSEGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSTAMGSASSSHQSGRLKKILSGLRADGEEGRQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYRAVSCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADYVMEAVPLLTNLLQYHDSKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQAASLISTSSSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDILSGSGVSANSSVPPALSRPAEQIFEIVNLANELLPPLPQGTISLPASSNIFVKGSIVKKSPASTSGKQEDTNGNAPEVSAREKLLSDQPELLQQFGMDLLPVLIQIYGSSVSSPVRHKCLSVIGKLMYFSSAEMIQNLLSVTNISSFLAGVLAWKDPHVLVPSLQIAEILMEKLPGTFSKMFVREGVVHAVDQLVLIGNQSTTPAQASSVEKENESVSGTSSRSRRYRRRNGNSNPEGSSVEESKNPASVNIGSPPSSVEIPTANSNLRTAVSASAKAFKDKYFPSDPGAVEVGVTDDLLHLKNLCMKLNAGVDDQKTKAKGKSKASGSRLADFSAGKEEYLIGVISEMLAELSKGDGVSTFEFIGSGVVAALLNYFSCGYFSKERISDVNLPKLRHQALKRFKSFISVALSSGVDDGSIAPMTVLVQKLQNALSSLERFPVVLSHSSRSSGGSARLSSGLSALSQPFKLRLCRAQGEKSLRDYSSNVVLIDPLASLAAVEEFLWPRVQRSDTSQKPIVSVGNSESGNTPSGAGASSPSTSTPALTTRRHSSRSRSSVNIGDVARKVPSQEKSTSSSKGKGKAVLKPAQEESRGPQTRNAARRRAALDKDAPMKPVNGDSTSEDEELDMSPVEIDDALVIEDDDISDDEDDDHEDVLRDDSLPVCMPDKVHDVKLGDSAEDGTPAPATSDSQTHAASGSSSKAAAVRGSDSADFRSAYGARGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPPFGSSNEPPKLIFTAGGKQLNRHLTIYQAIQRQLVLDEDDDERYAGSDFISSDGSRLWSDIYTITYQRADSQADRTSVGGSGSAAASKSTKSGSSNSNSDPQTHRMSLLDSILQGELPCDLERSNPTYNILALLRVLEGLNQLAPRLRAQIVSDNFAEGKISNLDELSTTGSKVPYEEFINGKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVGLGMWRSNSTWDKSVMEIDGDEEKNGKAAGSATIEGDIIQAPLGLFPRPWPPNVDASEGSQFCTVIEYFRLVGRVMAKALQDGRLLDLPLSTPFYKLVLGQELDLHDILSFDTEFGKTLQELHLLVCRKQYLESMGGDNSDVIADLRFRGAPFDIASLQIFTSQELDYLLCGRRELWEAETLADHIKFDHGYTAKSPAIVNLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKVTELD >EOX97681 pep chromosome:Theobroma_cacao_20110822:2:3032910:3043798:-1 gene:TCM_006633 transcript:EOX97681 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat,HECT-domain isoform 2 METRSRKRAEASSTAPSSSSSPSGPTTRSNKRARLTSSSSAASAATNTTTTRSRSSRTAAALMEPTTTESSSGSRRDRRSSKANQTTTSDSPNLASDKGKEKEHDLRIRDRDRDNRDNNNNNSNHPERSLGLNMDTSGGDEDDNDSEGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSTAMGSASSSHQSGRLKKILSGLRADGEEGRQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYRAVSCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADYVMEAVPLLTNLLQYHDSKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQAASLISTSSSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDILSGSGVSANSSVPPALSRPAEQIFEIVNLANELLPPLPQGTISLPASSNIFVKGSIVKKSPASTSGKQEDTNGNAPEVSAREKLLSDQPELLQQFGMDLLPVLIQIYGSSVSSPVRHKCLSVIGKLMYFSSAEMIQNLLSVTNISSFLAGVLAWKDPHVLVPSLQIAEILMEKLPGTFSKMFVREGVVHAVDQLVLIGNQSTTPAQASSVEKENESVSGTSSRSRRYRRRNGNSNPEGSSVEESKNPASVNIGSPPSSVEIPTANSNLRTAVSASAKAFKDKYFPSDPGAVEVGVTDDLLHLKNLCMKLNAGVDDQKTKAKGKSKASGSRLADFSAGKEEYLIGVISEMLAELSKGDGVSTFEFIGSGVVAALLNYFSCGYFSKERISDVNLPKLRHQALKRFKSFISVALSSGVDDGSIAPMTVLVQKLQNALSSLERFPVVLSHSSRSSGGSARLSSGLSALSQPFKLRLCRAQGEKSLRDYSSNVVLIDPLASLAAVEEFLWPRVQRSDTSQKPIVSVGNSESGNTPSGAGASSPSTSTPALTTRRHSSRSRSSVNIGDVARKVPSQEKSTSSSKGKGKAVLKPAQEESRGPQTRNAARRRAALDKDAPMKPVNGDSTSEDEELDMSPVEIDDALVIEDDDISDDEDDDHEDVLRDDSLPVCMPDKVHDVKLGDSAEDGTPAPATSDSQTHAASGSSSKAAAVRGSDSADFRSAYGARGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPPFGSSNEPPKLIFTAGGKQLNRHLTIYQAIQRQLVLDEDDDERYAGSDFISSDGSRLWSDIYTITYQRADSQADRTSVGGSGSAAASKSTKSGSSNSNSDPQTHRMSLLDSILQGELPCDLERSNPTYNILALLRVLEGLNQLAPRLRAQIVSDNFAEGKISNLDELSTTGSKVPYEEFINGKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVGLGMWRSNSTWDKSVMEIDGDEEKNGKAAGSATIEGDIIQAPLGLFPRPWPPNVDASEGSQFCTVIEYFRLVGRVMAKALQDGRLLDLPLSTPFYKLVLGQELDLHDILSFDTEFGKTLQELHLLVCRKQYLESMGGDNSDVIADLRFRGAPIEDLCLDFTLPGYQDYILKPGDENVDINNLEEYISLVVDATVKTGIMRQMEAFRAGFNQVFDIASLQIFTSQELDYLLCGRRELWEAETLADHIKFDHGYTAKSPAIVNLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSASAAASNGTGPSESADDDLPSVMTCANYLKLPPYSTKEIMYKKLVYAISEGQGSFDLS >EOX97684 pep chromosome:Theobroma_cacao_20110822:2:3034487:3043757:-1 gene:TCM_006633 transcript:EOX97684 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat,HECT-domain isoform 2 METRSRKRAEASSTAPSSSSSPSGPTTRSNKRARLTSSSSAASAATNTTTTRSRSSRTAAALMEPTTTESSSGSRRDRRSSKANQTTTSDSPNLASDKGKEKEHDLRIRDRDRDNRDNNNNNSNHPERSLGLNMDTSGGDEDDNDSEGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSTAMGSASSSHQSGRLKKILSGLRADGEEGRQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYRAVSCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADYVMEAVPLLTNLLQYHDSKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQAASLISTSSSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDILSGSGVSANSSVPPALSRPAEQIFEIVNLANELLPPLPQGTISLPASSNIFVKGSIVKKSPASTSGKQEDTNGNAPEVSAREKLLSDQPELLQQFGMDLLPVLIQIYGSSVSSPVRHKCLSVIGKLMYFSSAEMIQNLLSVTNISSFLAGVLAWKDPHVLVPSLQIAEILMEKLPGTFSKMFVREGVVHAVDQLVLIGNQSTTPAQASSVEKENESVSGTSSRSRRYRRRNGNSNPEGSSVEESKNPASVNIGSPPSSVEIPTANSNLRTAVSASAKAFKDKYFPSDPGAVEVGVTDDLLHLKNLCMKLNAGVDDQKTKAKGKSKASGSRLADFSAGKEEYLIGVISEMLAELSKGDGVSTFEFIGSGVVAALLNYFSCGYFSKERISDVNLPKLRHQALKRFKSFISVALSSGVDDGSIAPMTVLVQKLQNALSSLERFPVVLSHSSRSSGGSARLSSGLSALSQPFKLRLCRAQGEKSLRDYSSNVVLIDPLASLAAVEEFLWPRVQRSDTSQKPIVSVGNSESGNTPSGAGASSPSTSTPALTTRRHSSRSRSSVNIGDVARKVPSQEKSTSSSKGKGKAVLKPAQEESRGPQTRNAARRRAALDKDAPMKPVNGDSTSEDEELDMSPVEIDDALVIEDDDISDDEDDDHEDVLRDDSLPVCMPDKVHDVKLGDSAEDGTPAPATSDSQTHAASGSSSKAAAVRGSDSADFRSAYGARGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPPFGSSNEPPKLIFTAGGKQLNRHLTIYQAIQRQLVLDEDDDERYAGSDFISSDGSRLWSDIYTITYQRADSQADRTSVGGSGSAAASKSTKSGSSNSNSDPQTHRMSLLDSILQGELPCDLERSNPTYNILALLRVLEGLNQLAPRLRAQIVSDNFAEGKISNLDELSTTGSKVPYEEFINGKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVGLGMWRSNSTWDKSVMEIDGDEEKNGKAAGSATIEGDIIQAPLGLFPRPWPPNVDASEGSQFCTVIEYFRLVGRVMAKALQDGRLLDLPLSTPFYKLVLGQELDLHDILSFDTEFGKTLQELHLLVCRKQYLESMGGDNSDVIADLRFRGAPFDIASLQIFTSQELDYLLCGRRELWEAETLADHIKFDHGYTAKSPAIVNLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSASAAASNGTGPSESADDDLPSVMTCANYLKLPPYSTKEIMYKKLVYAISEGQGSFDLS >EOX97683 pep chromosome:Theobroma_cacao_20110822:2:3036194:3043484:-1 gene:TCM_006633 transcript:EOX97683 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat,HECT-domain isoform 2 METRSRKRAEASSTAPSSSSSPSGPTTRSNKRARLTSSSSAASAATNTTTTRSRSSRTAAALMEPTTTESSSGSRRDRRSSKANQTTTSDSPNLASDKGKEKEHDLRIRDRDRDNRDNNNNNSNHPERSLGLNMDTSGGDEDDNDSEGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSTAMGSASSSHQSGRLKKILSGLRADGEEGRQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYRAVSCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADYVMEAVPLLTNLLQYHDSKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQAASLISTSSSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDILSGSGVSANSSVPPALSRPAEQIFEIVNLANELLPPLPQGTISLPASSNIFVKGSIVKKSPASTSGKQEDTNGNAPEVSAREKLLSDQPELLQQFGMDLLPVLIQIYGSSVSSPVRHKCLSVIGKLMYFSSAEMIQNLLSVTNISSFLAGVLAWKDPHVLVPSLQIAEILMEKLPGTFSKMFVREGVVHAVDQLVLIGNQSTTPAQASSVEKENESVSGTSSRSRRYRRRNGNSNPEGSSVEESKNPASVNIGSPPSSVEIPTANSNLRTAVSASAKAFKDKYFPSDPGAVEVGVTDDLLHLKNLCMKLNAGVDDQKTKAKGKSKASGSRLADFSAGKEEYLIGVISEMLAELSKGDGVSTFEFIGSGVVAALLNYFSCGYFSKERISDVNLPKLRHQALKRFKSFISVALSSGVDDGSIAPMTVLVQKLQNALSSLERFPVVLSHSSRSSGGSARLSSGLSALSQPFKLRLCRAQGEKSLRDYSSNVVLIDPLASLAAVEEFLWPRVQRSDTSQKPIVSVGNSESGNTPSGAGASSPSTSTPALTTRRHSSRSRSSVNIGDVARKVPSQEKSTSSSKGKGKAVLKPAQEESRGPQTRNAARRRAALDKDAPMKPVNGDSTSEDEELDMSPVEIDDALVIEDDDISDDEDDDHEDVLRDDSLPVCMPDKVHDVKLGDSAEDGTPAPATSDSQTHAASGSSSKAAAVRGSDSADFRSAYGARGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPPFGSSNEPPKLIFTAGGKQLNRHLTIYQAIQRQLVLDEDDDERYAGSDFISSDGSRLWSDIYTITYQRADSQADRTSVGGSGSAAASKSTKSGSSNSNSDPQTHRMSLLDSILQGELPCDLERSNPTYNILALLRVLEGLNQLAPRLRAQIVSDNFAEGKISNLDELSTTGSKVPYEEFINGKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVGLGMWRSNSTWDKSVMEIDGDEEKNGKAAGSATIEGDIIQAPLGLFPRPWPPNVDASEGSQFCTVIEYFRLVGRVMAKALQDGRLLDLPLSTPFYKLVLGQELDLHDILSFDTEFGKTLQELHLLVCRKQYLESMGGDNSDVIADLRFRGAPIEDLCLDFTLPGYQDYILKPGDENVDINNLEEYISLVVDATVKTGIMRQMEAFRAGFNQGF >EOX97686 pep chromosome:Theobroma_cacao_20110822:2:3034501:3043787:-1 gene:TCM_006633 transcript:EOX97686 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat,HECT-domain isoform 2 METRSRKRAEASSTAPSSSSSPSGPTTRSNKRARLTSSSSAASAATNTTTTRSRSSRTAAALMEPTTTESSSGSRRDRRSSKANQTTTSDSPNLASDKGKEKEHDLRIRDRDRDNRDNNNNNSNHPERSLGLNMDTSGGDEDDNDSEGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSTAMGSASSSHQSGRLKKILSGLRADGEEGRQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYRAVSCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADYVMEAVPLLTNLLQYHDSKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQAASLISTSSSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDILSGSGVSANSSVPPALSRPAEQIFEIVNLANELLPPLPQGTISLPASSNIFVKGSIVKKSPASTSGKQEDTNGNAPEVSAREKLLSDQPELLQQFGMDLLPVLIQIYGSSVSSPVRHKCLSVIGKLMYFSSAEMIQNLLSVTNISSFLAGVLAWKDPHVLVPSLQIAEILMEKLPGTFSKMFVREGVVHAVDQLVLIGNQSTTPAQASSVEKENESVSGTSSRSRRYRRRNGNSNPEGSSVEESKNPASVNIGSPPSSVEIPTANSNLRTAVSASAKAFKDKYFPSDPGAVEVGVTDDLLHLKNLCMKLNAGVDDQKTKAKGKSKASGSRLADFSAGKEEYLIGVISEMLAELSKGDGVSTFEFIGSGVVAALLNYFSCGYFSKERISDVNLPKLRHQALKRFKSFISVALSSGVDDGSIAPMTVLVQKLQNALSSLERFPVVLSHSSRSSGGSARLSSGLSALSQPFKLRLCRAQGEKSLRDYSSNVVLIDPLASLAAVEEFLWPRVQRSDTSQKPIVSVGNSESGNTPSGAGASSPSTSTPALTTRRHSSRSRSSVNIGDVARKVPSQEKSTSSSKGKGKAVLKPAQEESRGPQTRNAARRRAALDKDAPMKPVNGDSTSEDEELDMSPVEIDDALVIEDDDISDDEDDDHEDVLRDDSLPVCMPDKVHDVKLGDSAEDGTPAPATSDSQTHAASGSSSKAAAVRGSDSADFRSAYGARGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPPFGSSNEPPKLIFTAGGKQLNRHLTIYQAIQRQLVLDEDDDERYAGSDFISSDGSRLWSDIYTITYQRADSQADRTSVGGSGSAAASKSTKSGSSNSNSDPQTHRMSLLDSILQGELPCDLERSNPTYNILALLRVLEGLNQLAPRLRAQIVSDNFAEGKISNLDELSTTGSKVPYEEFINGKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVGLGMWRSNSTWDKSVMEIDGDEEKNGKAAGSATIEGDIIQAPLGLFPRPWPPNVDASEGSQFCTVIEYFRLVGRVMAKALQDGRLLDLPLSTPFYKLVLGQELDLHDILSFDTEFGKTLQELHLLVCRKQYLESMGGDNSDVIADLRFRGAPFDIASLQIFTSQELDYLLCGRRELWEAETLADHIKFDHGYTAKSPAIVNLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSASAAASNGTGPSESADDDLPSVMTCANYLKLPPYSTKEIMYKKLVYAISEGQGSFDLS >EOX97688 pep chromosome:Theobroma_cacao_20110822:2:3034501:3043787:-1 gene:TCM_006633 transcript:EOX97688 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat,HECT-domain isoform 2 METRSRKRAEASSTAPSSSSSPSGPTTRSNKRARLTSSSSAASAATNTTTTRSRSSRTAAALMEPTTTESSSGSRRDRRSSKANQTTTSDSPNLASDKGKEKEHDLRIRDRDRDNRDNNNNNSNHPERSLGLNMDTSGGDEDDNDSEGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSTAMGSASSSHQSGRLKKILSGLRADGEEGRQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYRAVSCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADYVMEAVPLLTNLLQYHDSKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQAASLISTSSSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDILSGSGVSANSSVPPALSRPAEQIFEIVNLANELLPPLPQGTISLPASSNIFVKGSIVKKSPASTSGKQEDTNGNAPEVSAREKLLSDQPELLQQFGMDLLPVLIQIYGSSVSSPVRHKCLSVIGKLMYFSSAEMIQNLLSVTNISSFLAGVLAWKDPHVLVPSLQIAEILMEKLPGTFSKMFVREGVVHAVDQLVLIGNQSTTPAQASSVEKENESVSGTSSRSRRYRRRNGNSNPEGSSVEESKNPASVNIGSPPSSVEIPTANSNLRTAVSASAKAFKDKYFPSDPGAVEVGVTDDLLHLKNLCMKLNAGVDDQKTKAKGKSKASGSRLADFSAGKEEYLIGVISEMLAELSKGDGVSTFEFIGSGVVAALLNYFSCGYFSKERISDVNLPKLRHQALKRFKSFISVALSSGVDDGSIAPMTVLVQKLQNALSSLERFPVVLSHSSRSSGGSARLSSGLSALSQPFKLRLCRAQGEKSLRDYSSNVVLIDPLASLAAVEEFLWPRVQRSDTSQKPIVSVGNSESGNTPSGAGASSPSTSTPALTTRRHSSRSRSSVNIGDVARKVPSQEKSTSSSKGKGKAVLKPAQEESRGPQTRNAARRRAALDKDAPMKPVNGDSTSEDEELDMSPVEIDDALVIEDDDISDDEDDDHEDVLRDDSLPVCMPDKVHDVKLGDSAEDGTPAPATSDSQTHAASGSSSKAAAVRGSDSADFRSAYGARGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPPFGSSNEPPKLIFTAGGKQLNRHLTIYQAIQRQLVLDEDDDERYAGSDFISSDGSRLWSDIYTITYQRADSQADRTSVGGSGSAAASKSTKSGSSNSNSDPQTHRMSLLDSILQGELPCDLERSNPTYNILALLRVLEGLNQLAPRLRAQIVSDNFAEGKISNLDELSTTGSKVPYEEFINGKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVGLGMWRSNSTWDKSVMEIDGDEEKNGKAAGSATIEGDIIQAPLGLFPRPWPPNVDASEGSQFCTVIEYFRLVGRVMAKALQDGRLLDLPLSTPFYKLVLGQELDLHDILSFDTEFGKTLQELHLLVCRKQYLESMGGDNSDVIADLRFRGAPFDIASLQIFTSQELDYLLCGRRELWEAETLADHIKFDHGYTAKSPAIVNVCFFHNTSI >EOX99551 pep chromosome:Theobroma_cacao_20110822:2:12168177:12171371:-1 gene:TCM_008255 transcript:EOX99551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vascular plant one zinc finger protein isoform 3 MQNNSKNKCISASHQLMVDNAKNRLNNLQERFTDLQAARKEGRAGDVAVLEEQVYQSLREWKAELCAPSPATSLLGGSLGSFSDDINRLLQLYEEEDDASSPLKGPAVLKPETDVQSLNPCNLPVVPEDYLVNHELQQHGLQGFDPCKVSTSALETTAVGNDINYQLDYHPFDLQQEFDHGPLIGANGTEDCVKDASPNILPNVSPPPSAFMGPKCALWDCTRPAQGSDWYYDYCSSFHATLALNEDPPGMTPILRPGGISLKDNLLLDALRAKTQGKNVGIPQCEGAATMKSPWNATELFDLSLLEGEIIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMKEFGGQKRSYYMDPQPSGQYEWHLFEYEIYGCDAFALYRLELKLANEKKSPKVKVSKDSLADLQKKMG >EOX99554 pep chromosome:Theobroma_cacao_20110822:2:12167496:12171229:-1 gene:TCM_008255 transcript:EOX99554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vascular plant one zinc finger protein isoform 3 MVDNAKNRLNNLQERFTDLQAARKEGRAGDVAVLEEQVYQSLREWKAELCAPSPATSLLGGSLGSFSDDINRLLQLYEEEDDASSPLKGPAVLKPETDVQSLNPCNLPVVPEDYLVNHELQQHGLQGFDPCKVSTSALETTAVGNDINYQLDYHPFDLQQEFDHGPLIGANGTEDCVKDASPNILPNVSPPPSAFMGPKCALWDCTRPAQGSDWYYDYCSSFHATLALNEDPPGMTPILRPGGISLKDNLLLDALRAKTQGKNVGIPQCEGAATMKSPWNATELFDLSLLEGEIIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMKEFGGQKRSYYMDPQPSGQYEWHLFEYEIYGCDAFALYRLELKLANEKKSPKVKVSKDSLADLQKKMGRLTAEVPGDDRSPGKGKTKASKKADARNVSSAQD >EOX99550 pep chromosome:Theobroma_cacao_20110822:2:12168074:12170659:-1 gene:TCM_008255 transcript:EOX99550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vascular plant one zinc finger protein isoform 3 MQNNSKNKCISASHQLMVDNAKNRLNNLQERFTDLQAARKEGRAGDVAVLEEQVYQSLREWKAELCAPSPATSLLGGSLGSFSDDINRLLQLYEEEDDASSPLKGPAVLKPETDVQSLNPCNLPVVPEDYLVNHELQQHGLQGFDPCKVSTSALETTAVGNDINYQLDYHPFDLQQEFDHGPLIGANGTEDCVKDASPNILPNVSPPPSAFMGPKCALWDCTRPAQGSDWYYDYCSSFHATLALNEDPPGMTPILRPGGISLKDNLLLDALRAKTQGKNVGIPQCEGAATMKSPWNATELFDLSLLEGEIIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMKEFGGQKRSYYMDPQPSGQYEWHLFEYEIYGCDAFALYRLELKLANEKKSPKVKVSKDSLADLQKKMGRLTAEVPGDDRSPGKGKTKASKKADARNVSSAQD >EOX99552 pep chromosome:Theobroma_cacao_20110822:2:12167426:12171134:-1 gene:TCM_008255 transcript:EOX99552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vascular plant one zinc finger protein isoform 3 MVDNAKNRLNNLQERFTDLQAARKEGRAGDVAVLEEQVYQSLREWKAELCAPSPATSLLGGSLGSFSDDINRLLQLYEEEDDASSPLKGPAVLKPETDVQSLNPCNLPVVPEDYLVNHELQQHGLQGFDPCKVSTSALETTAVGNDINYQLDYHPFDLQQEFDHGPLIGANGTEDCVKDASPNILPNVSPPPSAFMGPKCALWDCTRPAQGSDWYYDYCSSFHATLALNEDPPGMTPILRPGGISLKDNLLLDALRAKTQGKNVGIPQCEGAATMKSPWNATELFDLSLLEGEIIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMKEFGGQKRSYYMDPQPSGQYEWHLFEYEIYGCDAFALYRLELKLANEKKSPKVKVSKDSLADLQKKMGRLTAEVPGDDRSPGKGKTKASKKADARNVSSAQD >EOX99553 pep chromosome:Theobroma_cacao_20110822:2:12167435:12171410:-1 gene:TCM_008255 transcript:EOX99553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vascular plant one zinc finger protein isoform 3 MQNNSKNKCISASHQLMVDNAKNRLNNLQERFTDLQAARKEGRAGDVAVLEEQVYQSLREWKAELCAPSPATSLLGGSLGSFSDDINRLLQLYEEEDDASSPLKGPAVLKPETDVQSLNPCNLPVVPEDYLVNHELQQHGLQGFDPCKVSTSALETTAVGNDINYQLDYHPFDLQQEFDHGPLIGANGTEDCVKDASPNILPNVSPPPSAFMGPKCALWDCTRPAQGSDWYYDYCSSFHATLALNEDPPGMTPILRPGGISLKDNLLLDALRAKTQGKNVGIPQCEGAATMKSPWNATAELFDLSLLEGEIIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMKEFGGQKRSYYMDPQPSGQYEWHLFEYEIYGCDAFALYRLELKLANEKKSPKVKVSKDSLADLQKKMGRLTAEVPGDDRSPGKGKTKASKKADARNVSSAQD >EOX98434 pep chromosome:Theobroma_cacao_20110822:2:5774614:5778854:-1 gene:TCM_007201 transcript:EOX98434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease family protein isoform 1 MGEEGMVSDDKSTVVKANPKLTVLPLIALIFYEVSGGPFGVEDSVRAGGGPLLSLLGFLIFPLIWSIPEALVTAELATSFPENGGYVIWISSAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSFPIFNNLIARIPALLGITVSLTYLNYRGLHIVGFSAVSLAAFSLFPFLVMGILSIPRIKPKQWLVVDFKKVEWRGYFNSMFWNLNYWDKASTLAGEVENPSKTFPKALLGAVVLVVSSYLIPLLAGTGALNSSSSEWTDGYFAEVGMLIGGFWLKWWIQAAAAMSNLGLFEAEMSGDAFQLLGMSEMGMLPAIFASRSKYGTPTVSILCSATGVIFLSWMSFQEILEFLNFLYSIGMLLEFAAFIKLRVKKPDLHRPYRVPLQTFGVTMLCLPPALLLVLVMCLASVKTFVVSGMVIIVGIFLYPALVHAKNRKWTQFDIEQLVVPSNNLDVPQPHQEVTDEASVSLLPVLDPLEIGRELSETSMEGVQKLE >EOX98435 pep chromosome:Theobroma_cacao_20110822:2:5774837:5778135:-1 gene:TCM_007201 transcript:EOX98435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease family protein isoform 1 MGEEGMVSDDKSTVVKANPKLTVLPLIALIFYEVSGGPFGVEDSVRAGGGPLLSLLGFLIFPLIWSIPEALVTAELATSFPENGGYVIWISSAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSFPIFNNLIARIPALLGITVSLTYLNYRGLHIVGFSAVSLAAFSLFPFLVMGILSIPRIKPKQWLVVDFKKVEWRGYFNSMFWNLNYWDKASTLAGEVENPSKTFPKALLGAVVLVVSSYLIPLLAGTGALNSSSSEWTDGYFAEVGMLIGGFWLKWWIQAAAAMSNLGLFEAEMSGDAFQLLGMSEMGMLPAIFASRSKYGTPTVSILCSATGVIFLSWMSFQEILEFLNFLYSIGMLLEFAAFIKLRVKKPDLHRPYRVPLQTFGVTMLCLPPALLLVLVMCLASVKTFVVSGMVIIVGIFLYPALVHAKNRKWTQFDIEQLVVPSNNLDVPQPHQEVTDEASVSLLPVLDPLEIGRELSETSMEGVQKLE >EOX99642 pep chromosome:Theobroma_cacao_20110822:2:13047023:13052061:1 gene:TCM_008374 transcript:EOX99642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 2 isoform 4 MDYNDAAMATHGSAPSVTCKKSIGYGAVDSGDELYRELWHACAGPLATVPRQGDLVFYFLQGHIEQVEASRNQVSDQQTPAYDLPPKILCRVVNVQFKAESDTDEVFAQVSLLPLPKQDENSVEKEGELPPAQRARVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSKQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSLFVSSKKLVAGDAFIFLRGETGELRVGVRRAMRQASNVSSSVISSQSMHIGVLATAWHAVSTGTMFTVYYKPRTSPAEFIVPFHKYMESIKNNYSIGLRFKMRFEGEEAPEQRFSGSVIGYEDADPIRWPGSKWRCLKVHWDETSPFHRPDRVSPWKVEPALPPAVDVLPNSRLKRSHANVASSPSDSLVLTREGSSKTTMDSSLDNGFRRTLQGQKSLSQRDTLAESKYPSEGQDQTEMGFGKQRLAPQDTLPQVIHGEKSTSPTPVSWTLQKLYKFGQPSVEQNSSNIDQLKTDIFDKGHLFELPGCPQTMMHSSASVNLLGAEEKKLNYQDYFPVRPGAVEGYNRPHGSDFQQQPGKWLLPLLPITHSGKLPPVDILNSQPLSSQLKDNVKSEGDGICKLFGISLVSNTMPTELSVPHTKSIPKPLRQIASDHPQDSGCDLMLEQSKCSKFDEIALGDDEEGKPVHTSEELPGDILRKFQGGSARTCIKVHKQGIAVGRSVDLTKFNGYDELIAELDRTFEFDGELISPNKKWLVVFTDDEDDHGRSIRK >EOX99641 pep chromosome:Theobroma_cacao_20110822:2:13047023:13052061:1 gene:TCM_008374 transcript:EOX99641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 2 isoform 4 MDYNDAAMATHGSAPSVTCKKSIGYGAVDSGDELYRELWHACAGPLATVPRQGDLVFYFLQGHIEQVEASRNQVSDQQTPAYDLPPKILCRVVNVQFKAESDTDEVFAQVSLLPLPKQDENSVEKEGELPPAQRARVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSKQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSLFVSSKKLVAGDAFIFLRGETGELRVGVRRAMRQASNVSSSVISSQSMHIGVLATAWHAVSTGTMFTVYYKPRTSPAEFIVPFHKYMESIKNNYSIGLRFKMRFEGEEAPEQRFSGSVIGYEDADPIRWPGSKWRCLKVHWDETSPFHRPDRVSPWKVEPALPPAVDVLPNSRLKRSHANVASSPSDSLVLTREGSSKTTMDSSLDNGFRRTLQGQKSLSQRDTLAESKYPSEGQDQTEMGFGKQRLAPQDTLPQVIHGEKSTSPTPVSWTLQKLYKFGQPSVEQNSSNIDQLKTDIFDKGHLFELPGCPQTMMHSSASVNLLGAEEKKLNYQDYFPVRPGAVEGYNRPHGSDFQQQPGKWLLPLLPITHSGKLPPVDILNSQPLSSQLKDNVKSEGDGICKLFGISLVSNTMPTELSVPHTKSIPKPLRQIASDHPQDSGCDLMLEQSKCSKFDEIALGDDEEGKPVHTSEELPGDILRKFQGGSARTCIKVVHKQGIAVGRSVDLTKFNGYDELIAELDRTFEFDGELISPNKKWLVVFTDDEDDMMLVGDDPWKEFCTMVRRIFIYTGEEIKRMDARPFNPKVEENSPGKDQKTNLMHRASVSG >EOX99643 pep chromosome:Theobroma_cacao_20110822:2:13047505:13051224:1 gene:TCM_008374 transcript:EOX99643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 2 isoform 4 MDYNDAAMATHGSAPSVTCKKSIGYGAVDSGDELYRELWHACAGPLATVPRQGDLVFYFLQGHIEQVEASRNQVSDQQTPAYDLPPKILCRVVNVQFKAESDTDEVFAQVSLLPLPKQDENSVEKEGELPPAQRARVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSKQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSLFVSSKKLVAGDAFIFLRGETGELRVGVRRAMRQASNVSSSVISSQSMHIGVLATAWHAVSTGTMFTVYYKPRTSPAEFIVPFHKYMESIKNNYSIGLRFKMRFEGEEAPEQRFSGSVIGYEDADPIRWPGSKWRCLKVHWDETSPFHRPDRVSPWKVEPALPPAVDVLPNSRLKRSHANVASSPSDSLVLTREGSSKTTMDSSLDNGFRRTLQGQKSLSQRDTLAESKYPSEGQDQTEMGFGKQRLAPQDTLPQVIHGEKSTSPTPVSWTLQKLYKFGQPSVEQNSSNIDQLKTDIFDKGHLFELPGCPQTMMHSSASVNLLGAEEKKLNYQDYFPVRPGAVEGYNRPHGSDFQQQPGKWLLPLLPITHSGKLPPVDILNSQPLSSQLKDNVKSEGDGICKLFGISLVSNTMPTELSVPHTKSIPKPLRQIASDHPQDSGCDLMLEQSKCSKFDEIALGDDEEGKPVHTSEELPGDILRKFQGGSARTCIKVFLIQSIDNFG >EOX99640 pep chromosome:Theobroma_cacao_20110822:2:13024266:13070055:1 gene:TCM_008374 transcript:EOX99640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 2 isoform 4 MDYNDAAMATHGSAPSVTCKKSIGYGAVDSGDELYRELWHACAGPLATVPRQGDLVFYFLQGHIEQVEASRNQVSDQQTPAYDLPPKILCRVVNVQFKAESDTDEVFAQVSLLPLPKQDENSVEKEGELPPAQRARVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSKQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSLFVSSKKLVAGDAFIFLRGETGELRVGVRRAMRQASNVSSSVISSQSMHIGVLATAWHAVSTGTMFTVYYKPRTSPAEFIVPFHKYMESIKNNYSIGLRFKMRFEGEEAPEQRFSGSVIGYEDADPIRWPGSKWRCLKVHWDETSPFHRPDRVSPWKVEPALPPAVDVLPNSRLKRSHANVASSPSDSLVLTREGSSKTTMDSSLDNGFRRTLQGQKSLSQRDTLAESKYPSEGQDQTEMGFGKQRLAPQDTLPQVIHGEKSTSPTPVSWTLQKLYKFGQPSVEQNSSNIDQLKTDIFDKGHLFELPGCPQTMMHSSASVNLLGAEEKKLNYQDYFPVRPGAVEGYNRPHGSDFQQQPGKWLLPLLPITHSGKLPPVDILNSQPLSSQLKDNVKSEGDGICKLFGISLVSNTMPTELSVPHTKSIPKPLRQIASDHPQDSGCDLMLEQSKCSKFDEIALGDDEEGKPVHTSEELPGDILRKFQGGSARTCIKVHKQGIAVGRSVDLTKFNGYDELIAELDRTFEFDGELISPNKKWLVVFTDDEDDMMLVGDDPWKEFCTMVRRIFIYTGEEIKRMDARPFNPKVEENSPGKDQKTNLMHRASVSG >EOY00300 pep chromosome:Theobroma_cacao_20110822:2:30988689:30993288:1 gene:TCM_010136 transcript:EOY00300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 7 MGESIVDASNKEVKIGEMASSNPAFEVSVSFGRFENDSLSWEKWSSFSPNKYLEEVEKCATPGSVAKKKAYFEEHYKKIAARKAELQAQEKPMESKPFNSDDQNCGDLVGKSNGQCSNEGDKQETNWLSEVSDTHFDEHNEEPEIAIKSQNSSAEGVKEKIDSRVESQVIEKIESRVESEEKEEMDSAVESPKLIESEETAPDEAVLVKEAVETLPKGSQDEKELPQNSEKDIKDTPKFKHKNLKLGHLAKSDKITPANKERNETRIKKKPASPVTKTPQFSTPKASKPTSTPTTPSASRTPSKTKTTSSYSLPKTKIPSMGESKKVVPRSLHMSLSLGPSGSGLASLPATRKSLIMEKMGDKDIVKRAFKTFQSNYHQLKPSSQEQYAASKQVPAKGREARVSTLMTPQKENGGSPRASGMEKKNAKAAPSYFGLKTDEWEDRRKEFSKKLEEKPNGREAERKYPQTKSKDNRDAEIKKLRQSLNFKATPLPGFYHGQRTSKSPLDKVFPAVVSFCSIAHSSILIQIPT >EOY00301 pep chromosome:Theobroma_cacao_20110822:2:30988226:30994566:1 gene:TCM_010136 transcript:EOY00301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 7 MGESIVDASNKEVKIGEMASSNPAFEVSVSFGRFENDSLSWEKWSSFSPNKYLEEVEKCATPGSVAKKKAYFEEHYKKIAARKAELQAQEKPMESKPFNSDDQNCGDLVGKSNGQCSNEGDKQETNWLSEVSDTHFDEHNEEPEIAIKSQNSSAEGVKEKIDSRVESQVIEKIESRVESEEKEEMDSAVESPKLIESEETAPDEAVLVKEAVETLPKGSQDEKELPQNSEKDIKDTPKFKHKNLKLGHLAKSDKITPANKERNETRIKKKPASPVTKTPQFSTPKASKPTSTPTTPSASRTPSKTKTTSSYSLPKTKIPSMGESKKVVPRSLHMSLSLGPSGSGLASLPATRKSLIMEKMGDKDIVKRAFKTFQSNYHQLKPSSQEQYAASKQVPAKGREARVSTLMTPQKENGGSPRASGMEKKNAKAAPSYFGLKTDEWEDRRKEFSKKLEEKPNGREAERKYPQTKSKDNRDAEIKKLRQSLNFKATPLPGFYHGQRTSKSPLDKIGTKTDIHR >EOY00304 pep chromosome:Theobroma_cacao_20110822:2:30989606:30994518:1 gene:TCM_010136 transcript:EOY00304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 7 MGESIVDASNKEVKIGEMASSNPAFEVSVSFGRFENDSLSWEKWSSFSPNKYLEEVEKCATPGSVAKKKAYFEEHYKKIAARKAELQAQEKPMESKPFNSDDQNCGDLVGKSNGQCSNEGDKQETNWLSEVSDTHFDEHNEEPEIAIKSQNSSAEGVKEKIDSRVESQVIEKIESRVESEEKEEMDSAVESPKLIESEETAPDEAVLVKEAVETLPKGSQDEKELPQNSEKDIKDTPKFKHKNLKLGHLAKSDKITPANKERNETRIKKKPASPVTKTPQFSTPKASKPTSTPTTPSASRTPSKTKTTSSYSLPKTKIPSMGESKKVVPRSLHMSLSLGPSGSGLASLPATRKSLIMEKMGDKDIVKRAFKTFQSNYHQLKPSSQEQYAASKQQVPAKGREARVSTLMTPQKENGGSPRASGMEKKNAKAAPSYFGLKTDEWEDRRKEFSKKLEEKPNGREAERKYPQTKSKDNRDAEIKKLRQSLNFKATPLPGFYHGQRTSKSPLDKIGTKTDIHR >EOY00298 pep chromosome:Theobroma_cacao_20110822:2:30989166:30994518:1 gene:TCM_010136 transcript:EOY00298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 7 MGESIVDASNKEVKIGEMASSNPAFEVSVSFGRFENDSLSWEKWSSFSPNKYLEEVEKCATPGSVAKKKAYFEEHYKKIAARKAELQAQEKPMESKPFNSDDQNCGDLVGKSNGQCSNEGDKQETNWLSEVSDTHFDEHNEEPEIAIKSQNSSAEGVKEKIDSRVESQVIEKIESRVESEEKEEMDSAVESPKLIESEETAPDEAVLVKEAVETLPKGSQDEKELPQNSEKDIKDTPKFKHKNLKLGHLAKSDKITPANKERNETRIKKKPASPVTKTPQFSTPKASKPTSTPTTPSASRTPSKTKTTSSYSLPKTKIPSMGESKKVVPRSLHMSLSLGPSGSGLASLPATRKSLIMEKMGDKDIVKRAFKTFQSNYHQLKPSSQEQYAASKQVPAKGREARVSTLMTPQKENGGSPRASGMEKKNAKAAPSYFGLKTDEWEDRRKEFSKKLEEKPNGREAERKYPQTKSKDNRDAEIKKLRQSLNFKATPLPGFYHGQRTSKSPLDKIGTKTDIHR >EOY00303 pep chromosome:Theobroma_cacao_20110822:2:30988226:30993288:1 gene:TCM_010136 transcript:EOY00303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 7 MGESIVDASNKEVKIGEMASSNPAFEVSVSFGRFENDSLSWEKWSSFSPNKYLEEVEKCATPGSVAKKKAYFEEHYKKIAARKAELQAQEKPMESKPFNSDDQNCGDLVGKSNGQCSNEGDKQETNWLSEVSDTHFDEHNEEPEIAIKSQNSSAEGVKEKIDSRVESQVIEKIESRVESEEKEEMDSAVESPKLIESEETAPDEAVLVKEAVETLPKGSQDEKELPQNSEKDIKDTPKFKHKNLKLGHLAKSDKITPANKERNETRIKKKPASPVTKTPQFSTPKASKPTSTPTTPSASRTPSKTKTTSSYSLPKTKIPSMGESKKVVPRSLHMSLSLGPSGSGLASLPATRKSLIMEKMGDKDIVKRAFKTFQSNYHQLKPSSQEQYAASKQVPAKGREARVSTLMTPQKENGGSPRASGMEKKNAKAAPSYFGLKTDEWEDRRKEFSKKLEEKPNGREAERKYPQTKSKDNRDAEIKKLRQSLNFKATPLPGFYHGQRTSKSPLDKVFPAVVSFCSIAHSSILIQIPT >EOY00302 pep chromosome:Theobroma_cacao_20110822:2:30987661:30994566:1 gene:TCM_010136 transcript:EOY00302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 7 MGESIVDASNKEVKIGEMASSNPAFEVSVSFGRFENDSLSWEKWSSFSPNKYLEEVEKCATPGSVAKKKAYFEEHYKKIAARKAELQAQEKPMESKPFNSDDQNCGDLVGKSNGQCSNEGDKQETNWLSEVSDTHFDEHNEEPEIAIKSQNSSAEGVKEKIDSRVESQVIEKIESRVESEEKEEMDSAVESPKLIESEETAPDEAVLVKEAVETLPKGSQDEKELPQNSEKDIKDTPKFKHKNLKLGHLAKSDKITPANKERNETRIKKKPASPVTKTPQFSTPKASKPTSTPTTPSASRTPSKTKTTSSYSLPKTKIPSMGESKKVVPRSLHMSLSLGPSGSGLASLPATRKSLIMEKMGDKDIVKRAFKTFQSNYHQLKPSSQEQYAASKQVPAKGREARVSTLMTPQKENGGSPRASGMEKKNAKAAPSYFGLKTDEWEDRRKEFSKKLEEKPNGREAERKYPQTKSKDNRDAEIKKLRQSLNFKATPLPGFYHGQRTSKSPLDKIGTKTDIHR >EOY00299 pep chromosome:Theobroma_cacao_20110822:2:30988239:30995493:1 gene:TCM_010136 transcript:EOY00299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 7 MGESIVDASNKEVKIGEMASSNPAFEVSVSFGRFENDSLSWEKWSSFSPNKYLEEVEKCATPGSVAKKKAYFEEHYKKIAARKAELQAQEKPMESKPFNSDDQNCGDLVGKSNGQCSNEGDKQETNWLSEVSDTHFDEHNEEPEIAIKSQNSSAEGVKEKIDSRVESQVIEKIESRVESEEKEEMDSAVESPKLIESEETAPDEAVLVKEAVETLPKGSQDEKELPQNSEKDIKDTPKFKHKNLKLGHLAKSDKITPANKERNETRIKKKPASPVTKTPQFSTPKASKPTSTPTTPSASRTPSKTKTTSSYSLPKTKIPSMGESKKVVPRSLHMSLSLGPSGSGLASLPATRKSLIMEKMGDKDIVKRAFKTFQSNYHQLKPSSQEQYAASKQVPAKGREARVSTLMTPQKENGGSPRASGMEKKNAKAAPSYFGLKTDEWEDRRKEFSKKLEEKPNGREAERKYPQTKSKDNRDAEIKKLRQSLNFKATPLPGFYHGQRTSKSPLDKIGTKTDIHR >EOY01386 pep chromosome:Theobroma_cacao_20110822:2:39229189:39238988:-1 gene:TCM_011292 transcript:EOY01386 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 1 isoform 1 MGKTIQVSRFPSNVHAEEVKTFLELYTGKETVYALKIRQQKNGGRAYAIVQFTKSTDAELIIRLTNQRLYYGSSYLKAREMENDIVPKPRTFLHTMEGVTLHFGCQVSNEKFYVLWEEVDVTVNFGMGMRKLQFLLSHHCVEYRLDLFYENIWQIELHRPRNQTSKYLLIQLFGAPRIYEKDVRSSGLVFENPLLNYFMDVPDDQWVRTTDFTQSSCIGQSSVLCLELPYYLQLPNFRENFAYYKESEGKFVLESGSSYSRNLNLVPIVGPSLGIDLPYEILFKINLLVQNGCIPGPALDANFYRLVNPCRIDKVYIDHALEKLYYLKECCFEPSKWLLEQYKGYIASKKNPGSPVISLDDGLVNVRRVQITPSRVYFCGPEINVSNRVLRHFHDDIDNFLRITFVDEELEKIHSTDLLTRNRITEIYGRILSTLKNGIVIGDKQFEFLAFSSSQLRENSAWMFASREGLTAADIRTWMGEFSKIRNVAKYAARLGQSFSSSTETLSVAKDEIEIIDDVEIKRDGINYVFSDGIGKISAKFAKKVAAKCRLNGRTPSAFQIRIGGFKGVVAVDPTSSKKLSLRGSMSKYESENTKLDVLAWSKYQPCFLNRQLITLLSTLGVPDHAFEEKQREVVDQLNAILTDPLKAQEALELMSPGENTNILKEMLLCGYEPDAEPFLSMMLQTFRASKLLELRTKSRIFVANGRSMMGCLDETRTLDYGQVFVQVSGSRSEQRFIVQGKVVVAKNPCLHPGDVRVLTAVNVPDLHHMVDCVVFPQKGSRPHPNECSGSDLDGDIYFVCWDPELIPSKQIPPMDYSPAPTKPLEHEVTIEEVEEYFTNYIVNDSLGIIANAHTVFADREPRKAMSSECKWLAKLFSIAVDFPKTGVPAEIPQELRVKEYPDFMEKPDKPTYQSYNVIGKLFREVKDLAPNECFIRSLTRERLERFYDPDMEVDGYEDYVDDAFYHKSNYDYKLGNLMEYYGIKTEAEILSGGIMKMSRSFTKQRDAEAIGVAVRSLRKEARSWFNEKGNGLDSEADDLYAKASAWYHVTYHPSYWGLYNEGMNRNHFLSFPWCVYDKLILIKKKVTSRRNSRLSSLESLFARGLRLH >EOY01385 pep chromosome:Theobroma_cacao_20110822:2:39229964:39240160:-1 gene:TCM_011292 transcript:EOY01385 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 1 isoform 1 MGKTIQVSRFPSNVHAEEVKTFLELYTGKETVYALKIRQQKNGGRAYAIVQFTKSTDAELIIRLTNQRLYYGSSYLKAREMENDIVPKPRTFLHTMEGVTLHFGCQVSNEKFYVLWEEVDVTVNFGMGMRKLQFLLSHHCVEYRLDLFYENIWQIELHRPRNQTSKYLLIQLFGAPRIYEKDVRSSGLVFENPLLNYFMDVPDDQWVRTTDFTQSSCIGQSSVLCLELPYYLQLPNFRENFAYYKESEGKFVLESGSSYSRNLNLVPIVGPSLGIDLPYEILFKINLLVQNGCIPGPALDANFYRLVNPCRIDKVYIDHALEKLYYLKECCFEPSKWLLEQYKGYIASKKNPGSPVISLDDGLVNVRRVQITPSRVYFCGPEINVSNRVLRHFHDDIDNFLRITFVDEELEKIHSTDLLTRNRITEIYGRILSTLKNGIVIGDKQFEFLAFSSSQLRENSAWMFASREGLTAADIRTWMGEFSKIRNVAKYAARLGQSFSSSTETLSVAKDEIEIIDDVEIKRDGINYVFSDGIGKISAKFAKKVAAKCRLNGRTPSAFQIRIGGFKGVVAVDPTSSKKLSLRGSMSKYESENTKLDVLAWSKYQPCFLNRQLITLLSTLGVPDHAFEEKQREVVDQLNAILTDPLKAQEALELMSPGENTNILKEMLLCGYEPDAEPFLSMMLQTFRASKLLELRTKSRIFVANGRSMMGCLDETRTLDYGQVFVQVSGSRSEQRFIVQGKVVVAKNPCLHPGDVRVLTAVNVPDLHHMVDCVVFPQKGSRPHPNECSGSDLDGDIYFVCWDPELIPSKQIPPMDYSPAPTKPLEHEVTIEEVEEYFTNYIVNDSLGIIANAHTVFADREPRKAMSSECKWLAKLFSIAVDFPKTGVPAEIPQELRVKEYPDFMEKPDKPTYQSYNVIGKLFREVKDLAPNECFIRSLTRERLERFYDPDMEVDGYEDYVDDAFYHKSNYDYKLGNLMEYYGIKTEAEILSGGIMKMSRSFTKQRDAEAIGVAVRSLRKEARSWFNEKGNGLDSEADDLYAKASAWYHVTYHPSYWGLYNEGMNRNHFLSFPWCVYDKLILIKKKVTSRRNSRLSSLESLFARGLRLH >EOY01384 pep chromosome:Theobroma_cacao_20110822:2:39226957:39238535:-1 gene:TCM_011292 transcript:EOY01384 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 1 isoform 1 MGKTIQVSRFPSNVHAEEVKTFLELYTGKETVYALKIRQQKNGGRAYAIVQFTKSTDAELIIRLTNQRLYYGSSYLKAREMENDIVPKPRTFLHTMEGVTLHFGCQVSNEKFYVLWEEVDVTVNFGMGMRKLQFLLSHHCVEYRLDLFYENIWQIELHRPRNQTSKYLLIQLFGAPRIYEKDVRSSGLVFENPLLNYFMDVPDDQWVRTTDFTQSSCIGQSSVLCLELPYYLQLPNFRENFAYYKESEGKFVLESGSSYSRNLNLVPIVGPSLGIDLPYEILFKINLLVQNGCIPGPALDANFYRLVNPCRIDKVYIDHALEKLYYLKECCFEPSKWLLEQYKGYIASKKNPGSPVISLDDGLVNVRRVQITPSRVYFCGPEINVSNRVLRHFHDDIDNFLRITFVDEELEKIHSTDLLTRNRITEIYGRILSTLKNGIVIGDKQFEFLAFSSSQLRENSAWMFASREGLTAADIRTWMGEFSKIRNVAKYAARLGQSFSSSTETLSVAKDEIEIIDDVEIKRDGINYVFSDGIGKISAKFAKKVAAKCRLNGRTPSAFQIRIGGFKGVVAVDPTSSKKLSLRGSMSKYESENTKLDVLAWSKYQPCFLNRQLITLLSTLGVPDHAFEEKQREVVDQLNAILTDPLKAQEALELMSPGENTNILKEMLLCGYEPDAEPFLSMMLQTFRASKLLELRTKSRIFVANGRSMMGCLDETRTLDYGQVFVQVSGSRSEQRFIVQGKVVVAKNPCLHPGDVRVLTAVNVPDLHHMVDCVVFPQKGSRPHPNECSGSDLDGDIYFVCWDPELIPSKQIPPMDYSPAPTKPLEHEVTIEEVEEYFTNYIVNDSLGIIANAHTVFADREPRKAMSSECKWLAKLFSIAVDFPKTGVPAEIPQELRVKEYPDFMEKPDKPTYQSYNVIGKLFREVKDLAPNECFIRSLTRERLERFYDPDMEVDGYEDYVDDAFYHKSNYDYKLGNLMEYYGIKTEAEILSGGIMKMSRSFTKQRDAEAIGVAVRSLRKEARSWFNEKGNGLDSEADDLYAKASAWYHVTYHPSYWGLYNEGMNRNHFLSFPWCVYDKLILIKKKVTSRRNSRLSSLESLFARGLRLH >EOX99621 pep chromosome:Theobroma_cacao_20110822:2:12764848:12769730:1 gene:TCM_008341 transcript:EOX99621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Otubain, Ubiquitin thioesterase Otubain, Peptidase C65, otubain isoform 1 MQQQSAFHAEEAKKVPFVGDKEPLTMLAAEYESGSPILLEKIKLLGQQYAAIRRTRGDGNCFFRSFMFSYLEYVLESQDHAEVDRIKGNVEECRKTLQSLGYADFTFEDFFALFLEQLDSVLQGNEDSISQDELIIRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLTNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDNGGVSVNHHDFVPTSGGHSNATSGSTEPVSPFITLLYRPGHYDILYPK >EOX99620 pep chromosome:Theobroma_cacao_20110822:2:12765300:12769730:1 gene:TCM_008341 transcript:EOX99620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Otubain, Ubiquitin thioesterase Otubain, Peptidase C65, otubain isoform 1 MQNREGVVADGEKESAVSIPVSEFDDWAKFADDDIMQQQSAFHAEEAKKVPFVGDKEPLTMLAAEYESGSPILLEKIKLLGQQYAAIRRTRGDGNCFFRSFMFSYLEYVLESQDHAEVDRIKGNVEECRKTLQSLGYADFTFEDFFALFLEQLDSVLQGNEDSISQDELIIRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLTNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDNGGVSVNHHDFVPTSGGHSNATSGSTEPVSPFITLLYRPGHYDILYPK >EOX99618 pep chromosome:Theobroma_cacao_20110822:2:12764897:12769730:1 gene:TCM_008341 transcript:EOX99618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Otubain, Ubiquitin thioesterase Otubain, Peptidase C65, otubain isoform 1 KVGSRSLSKRFLFDLRIAKTTPNSSNSANFEWLFIFLQGIVHNKSRQEAKDDDIMQQQSAFHAEEAKKVPFVGDKEPLTMLAAEYESGSPILLEKIKLLGQQYAAIRRTRGDGNCFFRSFMFSYLEYVLESQDHAEVDRIKGNVEECRKTLQSLGYADFTFEDFFALFLEQLDSVLQGNEDSISQDELIIRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLTNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDNGGVSVNHHDFVPTSGGHSNATSGSTEPVSPFITLLYRPGHYDILYPK >EOX99622 pep chromosome:Theobroma_cacao_20110822:2:12764765:12769799:1 gene:TCM_008341 transcript:EOX99622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Otubain, Ubiquitin thioesterase Otubain, Peptidase C65, otubain isoform 1 MQNREGVVADGEKESAVSIPVSEFDDWAKFADDDIMQQQSAFHAEEAKKVPFVGDKEPLTMLAAEYESGSPILLEKIKLLGQQYAAIRRTRGDGNCFFRSFMFSYLEYVLESQDHAEVDRIKGNVEECRKTLQSLGYADFTFEDFFALFLEQLDSVLQGNEDSISQDELIIRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLTNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDNGGVSVNHHDFVPTSGGHSNATSGSTEPVSPFITLLYRPGHYDILYPK >EOX99617 pep chromosome:Theobroma_cacao_20110822:2:12765709:12769182:1 gene:TCM_008341 transcript:EOX99617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Otubain, Ubiquitin thioesterase Otubain, Peptidase C65, otubain isoform 1 MQNREGVVADGEKESAVSIPVSEFDDWAKFADDDIMQQQSAFHAEEAKKVPFVGDKEPLTMLAAEYESGSPILLEKIKLLGQQYAAIRRTRGDGNCFFRSFMFSYLEYVLESQDHAEVDRIKGNVEECRKTLQSLGYADFTFEDFFALFLEQLDSVLQGNEDSISQDELIIRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLTNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDNGGVSVNHHDFVPTSGGHSNATSGSTEPVSPFITLLYRPGHYDILYPK >EOX99623 pep chromosome:Theobroma_cacao_20110822:2:12764946:12768912:1 gene:TCM_008341 transcript:EOX99623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Otubain, Ubiquitin thioesterase Otubain, Peptidase C65, otubain isoform 1 MQNREGVVADGEKESAVSIPVSEFDDWAKFADDDIMQQQSAFHAEEAKKVPFVGDKEPLTMLAAEYESGSPILLEKIKLLGQQYAAIRRTRGDGNCFFRSFMFSYLEYVLESQDHAEVDRIKGNVEECRKTLQSLGYADFTFEDFFALFLEQLDSVLQGNEDSISQDELIIRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLTNATVEQVCTVVFEGFNMLC >EOX99619 pep chromosome:Theobroma_cacao_20110822:2:12764764:12769730:1 gene:TCM_008341 transcript:EOX99619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Otubain, Ubiquitin thioesterase Otubain, Peptidase C65, otubain isoform 1 KKGVLFTVVTACSKKKKVGSRSLSKRFLFDLRIAKTTPNSSNSEWLFIFLQGIVHNKSRQEAKDDDIMQQQSAFHAEEAKKVPFVGDKEPLTMLAAEYESGSPILLEKIKLLGQQYAAIRRTRGDGNCFFRSFMFSYLEYVLESQDHAEVDRIKGNVEECRKTLQSLGYADFTFEDFFALFLEQLDSVLQGNEDSISQDELIIRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLTNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDNGGVSVNHHDFVPTSGGHSNATSGSTEPVSPFITLLYRPGHYDILYPK >EOX99616 pep chromosome:Theobroma_cacao_20110822:2:12764855:12769740:1 gene:TCM_008341 transcript:EOX99616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Otubain, Ubiquitin thioesterase Otubain, Peptidase C65, otubain isoform 1 MQNREGVVADGEKESAVSIPVSEFDDWAKFADDDIMQQQSAFHAEEAKKVPFVGDKEPLTMLAAEYESGSPILLEKIKLLGQQYAAIRRTRGDGNCFFRSFMFSYLEYVLESQDHAEVDRIKGNVEECRKTLQSLGYADFTFEDFFALFLEQLDSVLQGNEDSISQDELIIRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLTNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDNGGVSVNHHDFVPTSGGHSNATSGSTEPVSPFITLLYRPGHYDILYPK >EOX98161 pep chromosome:Theobroma_cacao_20110822:2:4724104:4725117:1 gene:TCM_006987 transcript:EOX98161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMMALTTLRPPQFQVLVLAARTYQLSPLEDLALATMLVRPNRLFSVNDKSRELVLTSEKYGTVNWVFIAAEYDLIYEEGVRQWMIQQNQPDQVEEIKGSGHMVMMCKPIELFNLLLSIAMKYKPEEGKDHFAV >EOX98162 pep chromosome:Theobroma_cacao_20110822:2:4723693:4725056:1 gene:TCM_006987 transcript:EOX98162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMMALTTLRPPQFQVLVLAARTYQLSPLEDLALATMLVRPNRLFSVNDKSRELVLTSEKYGTVNWVFIAAEYDLIYEEGVRQWMIQQNQPDQVEEIKGSGHMVMMCKPIELFNLLLSIAMKYKPEEGKDHFAV >EOX98163 pep chromosome:Theobroma_cacao_20110822:2:4723951:4725090:1 gene:TCM_006987 transcript:EOX98163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMMALTTLRPPQFQVLVLAARTYQLSPLEDLALATMLVRPNRLFSVNDKSRELVLTSEKYGTVNWVFIAAEYDLIYEEGVRQWMIQQNQPDQVEEIKGSGHMVMMCKPIELFNLLLSIAMKYKPEEGKDHFAV >EOX97455 pep chromosome:Theobroma_cacao_20110822:2:2318767:2321487:-1 gene:TCM_006458 transcript:EOX97455 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 15 isoform 1 MRMQLKRAKRRTDTQDMELAMDMMVVFSKKDDRNADSAILERLANKLELHTIADLKAETVALRKLKKQRGGQNESIQQITDLLGKFKQIAGIDESVSLDGPISTRTLQRCQSSMVPNEFLCPITLEIMTDPVIVATGQTYERESIRKWLNSNHRTCPKTGQTLDHLSLAPNFALRNLIRQWCEKNNVELPKKDRYASSDNYSAELMEEISSLVQDLSSSQPDVRRDAIVKIRMLSKENPENRILIANNGGIPRLVQLLPYPDSNIQEHTVTALLNLSIDETNKRLIAREGAIPAIIEILQNGTDEARENSAAALFSLSMLDENKVLVGTFNGIPPLVDLLQNGTVRGKKDAATALFNLSLNQANKSRAIKAGIIPPLLHLLEDKNLGMIDEALSILLLLVSHPEGRNEIGRLSFIKTLVEIIRSGTPKNKECAVSVLLELGLNNSSLTLAALQFGVYEPLREITITGTNRAQRKANSLLQHMSKCEHIP >EOX97454 pep chromosome:Theobroma_cacao_20110822:2:2318737:2322369:-1 gene:TCM_006458 transcript:EOX97454 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 15 isoform 1 MERQIVTDSSSSNVGDGSEVVRELMEVIETVGSYAEFRKTQRKECLNLVRRLKLLVPLLEEIRGLDESVSGFALDSLGNLRKALLGAKKLLKNCNYGSKIYLAMESEAVMCRFHAVYDKLNQALDDLPYDELGVPIEVKEQVELMRMQLKRAKRRTDTQDMELAMDMMVVFSKKDDRNADSAILERLANKLELHTIADLKAETVALRKLKKQRGGQNESIQQITDLLGKFKQIAGIDESVSLDGPISTRTLQRCQSSMVPNEFLCPITLEIMTDPVIVATGQTYERESIRKWLNSNHRTCPKTGQTLDHLSLAPNFALRNLIRQWCEKNNVELPKKDRYASSDNYSAELMEEISSLVQDLSSSQPDVRRDAIVKIRMLSKENPENRILIANNGGIPRLVQLLPYPDSNIQEHTVTALLNLSIDETNKRLIAREGAIPAIIEILQNGTDEARENSAAALFSLSMLDENKVLVGTFNGIPPLVDLLQNGTVRGKKDAATALFNLSLNQANKSRAIKAGIIPPLLHLLEDKNLGMIDEALSILLLLVSHPEGRNEIGRLSFIKTLVEIIRSGTPKNKECAVSVLLELGLNNSSLTLAALQFGVYEPLREITITGTNRAQRKANSLLQHMSKCEHIP >EOX99759 pep chromosome:Theobroma_cacao_20110822:2:15550638:15574817:1 gene:TCM_008633 transcript:EOX99759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMLIYKGGDSTIPISEGVKLVLEEFQDMMPEQLPKALPPRQAIDHEIELLPNVKPLAKRPYRMAPLRASGHFQTHKVNLFLNKHPHTIPNYLTYFNLRDQVLTSKRDRRLVRKYEGLVQSTTKMGKTPYKIDPPKWMKVHLVFHVNNLKSFHIDPANANRSQAMKATITTKPPSQRRVEEILAERMTTINRRPT >EOY01280 pep chromosome:Theobroma_cacao_20110822:2:38782704:38788448:-1 gene:TCM_011221 transcript:EOY01280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule associated protein (MAP65/ASE1) family protein isoform 1 MLAIGSPLATSVLGSPLATSVRTSTSWNALLRELQQIWSDIGESEADKDRMLLELERECLEVYRRKVEEAANAKARLHQSVAAKEAEVATLMAALGDLNVHSPTEKKMASLKEKLASVSPLLEDLRMKREERIKQFADIKTQIEKISGEISGYNYPNDINSLTLEDQDLSLRRLTEFQTHLQTLQKDKSDRLRKVLEYVNEVHLLCGVLGLDFSQTVSDVHPSLQKANQEQSTNISNGTFEGLEQTINKLKTERRIRIQKLRDIVAALFELWNLMDSPQAEKNVFSRATSVLKLSEAEVTEPGVLSTEMIAQASAEVEKLTKLKASRMKELVMKRRSELEEICRMTHIEPDASTAAEKSNALIDSGLVDPSELLANIEAQITKAKDEVLSRKEIMDRIDRWLSACEEENWLEDYNQDQNRYNAGRGAHINLKRAEKARITISKIPAMVDNLINRTLAWEEEKKMLFLYDGVRLVSILEDYKLTRKQREEEKKRFRDQKKIQDLLLTEREAMYGSKPSPRKSNSFRKPNGYRANGNGSMTPTPRRNSVGGGTPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEDTVSTYTSVCGSELGSPPHS >EOY01282 pep chromosome:Theobroma_cacao_20110822:2:38782841:38788486:-1 gene:TCM_011221 transcript:EOY01282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule associated protein (MAP65/ASE1) family protein isoform 1 MLAIGSPLATSVLGSPLATSVRTSTSWNALLRELQQIWSDIGESEADKDRMLLELERECLEVYRRKVEEAANAKARLHQSVAAKEAEVATLMAALGDLNVHSPTEKKMASLKEKLASVSPLLEDLRMKREERIKQFADIKTQIEKISGEISGYNYPNDINSLTLEDQDLSLRRLTEFQTHLQTLQKDKSDRLRKVLEYVNEVHLLCGVLGLDFSQTVSDVHPSLQKANQEQSTNISNGTFEGLEQTINKLKTERRIRIQKLRDIVAALFELWNLMDSPQAEKNVFSRATSVLKLSEAEVTEPGVLSTEMIAQASAEVEKLTKLKASRMKELVMKRRSELEEICRMTHIEPDASTAAEKSNALIDSGLVDPSELLANIEAQITKAKDEVLSRKEIMDRIDRWLSACEEENWLEDYNQDQNRYNAGRGAHINLKRAEKARITISKIPAMVDNLINRTLAWEEEKKMLFLYDGDQKKIQDLLLTEREAMYGSKPSPRKSNSFRKPNGYRANGNGSMTPTPRRNSVGGGTPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEDTVSTYTSVCGSELGSPPHS >EOY01283 pep chromosome:Theobroma_cacao_20110822:2:38784154:38788486:-1 gene:TCM_011221 transcript:EOY01283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule associated protein (MAP65/ASE1) family protein isoform 1 MLLELERECLEVYRRKVEEAANAKARLHQSVAAKEAEVATLMAALGDLNVHSPTEKKMASLKEKLASVSPLLEDLRMKREERIKQFADIKTQIEKISGEISGYNYPNDINSLTLEDQDLSLRRLTEFQTHLQTLQKDKSDRLRKVLEYVNEVHLLCGVLGLDFSQTVSDVHPSLQKANQEQSTNISNGTFEGLEQTINKLKTERRIRIQKLRDIVAALFELWNLMDSPQAEKNVFSRATSVLKLSEAEVTEPGVLSTEMIAQASAEVEKLTKLKASRMKELVMKRRSELEEICRMTHIEPDASTAAEKSNALIDSGLVDPSELLANIEAQITKAKDEVLSRKEIMDRIDRWLSACEEENWLEDYNQDQNRYNAGRGAHINLKRAEKARITISKIPAMVDNLINRTLAWEEEKKMLFLYDGVRLVSILED >EOY01281 pep chromosome:Theobroma_cacao_20110822:2:38782244:38788051:-1 gene:TCM_011221 transcript:EOY01281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule associated protein (MAP65/ASE1) family protein isoform 1 MLAIGSPLATSVLGSPLATSVRTSTSWNALLRELQQIWSDIGESEADKDRMLLELERECLEVYRRKVEEAANAKARLHQSVAAKEAEVATLMAALGDLNVHSPTEKKMASLKEKLASVSPLLEDLRMKREERIKQFADIKTQIEKISGEISGYNYPNDINSLTLEDQDLSLRRLTEFQTHLQTLQKDKSDRLRKVLEYVNEVHLLCGVLGLDFSQTVSDVHPSLQKANQEQSTNISNGTFEGLEQTINKLKTERRIRIQKLRDIVAALFELWNLMDSPQAEKNVFSRATSVLKLSEAEVTEPGVLSTEMIAQASAEVEKLTKLKASRMKELVMKRRSELEEICRMTHIEPDASTAAEKSNALIDSGLVDPSELLANIEAQITKAKDEVLSRKEIMDRIDRWLSACEEENWLEDYNQDQNRYNAGRGAHINLKRAEKARITISKIPAAMVDNLINRTLAWEEEKKMLFLYDGVRLVSILEDYKLTRKQREEEKKRFRDQKKIQDLLLTEREAMYGSKPSPRKSNSFRKPNGYRANGNGSMTPTPRRNSVGGGTPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEDTVSTYTSVCGSELGSPPHS >EOX99959 pep chromosome:Theobroma_cacao_20110822:2:19471320:19485725:1 gene:TCM_009052 transcript:EOX99959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPFEALYGRRCRSPIGWLEVGERKLLGPELVQDATEKINMIRQRMLTAQSRQKSYADNRRRDLEFQVGDHVFLKVSPTKGVMRFGKKGKLSPRYIGPFEILEKVGAVAYRLALPPDLSNIHPVFHVSMLRMYNPDPSHVIRYETIQLQGDLTYEEQPISI >EOX98562 pep chromosome:Theobroma_cacao_20110822:2:6201705:6204715:1 gene:TCM_007289 transcript:EOX98562 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUB1 conjugating enzyme 1 isoform 1 MIKLFKVKEKQREIAENANGKAPVKKQSAGELRLHKDISELNLPKTCSISFPNGKDDLMNFEVTIRPDEGYYLGGTFLFSFQVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLFHLFTQPNYEDPLNHDAAAVLRDNPKLFESNVRRAMAGGYVGQTFFTRCM >EOX98561 pep chromosome:Theobroma_cacao_20110822:2:6201665:6204731:1 gene:TCM_007289 transcript:EOX98561 gene_biotype:protein_coding transcript_biotype:protein_coding description:RUB1 conjugating enzyme 1 isoform 1 MIKLFKVKEKQREIAENANGKAPVKKQSAGELRLHKDISELNLPKTCSISFPNGKDDLMNFEVTIRPDEGYYLGGTFLFSFQVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLFHLFTQPNYEDPLNHDAAAVLRDNPKLFESNVRRAMAGGYVGQTFFTRCM >EOX97932 pep chromosome:Theobroma_cacao_20110822:2:4015356:4019351:-1 gene:TCM_006831 transcript:EOX97932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein MLNNRKNLSPQTLPHNLARRRGMEIEKKPKTYQTNLFLSSLLSSSPSLSSSPSMAILLVLLYTFISCPTIFALSSSGPFTPQDNILIDCGTNSPATLPDGRVFKTDQQASQFLKTKEGVQVSVPSADVPSPLYLTARVFVQEATYTFQLSRPGFHWVRLHFFPIKASQFDLQQSTFSVSADKYVLLHNFKVDNNTTPILKEYLLNMNDPTLTLKFTPMKNSVAFINAIEVVSAPDSLIADEGTSLFPVNNFAGLSKYSYQVVYRLNMGGPLITSQNDTLGRTWTPDTDYLQDKKFAKNASAATTTIKYPGTLTPLIAPATVYSSLIQMADAKTVRPNFNVTWQLEVDTAFDYMIRMHFCDIVSKALNDLYFNVYINGKMAISGLDLSSLTGGLAVPYYKDIVVNASLFTNGLTVQIGPLNQDTGLTNAILNGLEVLKISNSVDSLDGEFGVDGRTGIGNKGTVAAVGFAMMFGAFVGLGAMVIKWKRRPQDWQKRNSFSSWLLPLHAGDTSFLSKTSVGSHKSNFYSSTLGLGRYFSLSELQEATKNFDSSAIIGVGGFGNVYLGVIDDGSKVAVKRGNPQSEQGITEFQTEIQMLSKLRHRHLVSLIGYCDENNEMILVYEYMSNGPFRDHLYGKELPPISWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDDAFVAKVADFGLSKDTPMGQNHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLETLCARPAINPQLPREQVNLAEWAMQWKRKGLLEKIIDPHLVGAISPESMKKFAEAAEKCLAEYGVDRPTMGDVLWNLEYALQLQEAFTEGKSEDETKSSTTAAASPSIVVPSSSSPSENNRPVSHPEDNKGPAKVQAMDDHSGTAMFAQFQGLNGR >EOX98317 pep chromosome:Theobroma_cacao_20110822:2:5323334:5324731:1 gene:TCM_007104 transcript:EOX98317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF579) [Source:Projected from Arabidopsis thaliana (AT2G15440) TAIR;Acc:AT2G15440] MKSNSNAKLILLHPSIHKSSTSSHRFFLFFFVTFFTLAFTATLFTTTTISNSTTPSLSTASASSLPSSVTTALLHYASTATVNTTTHHMSSSELSLIASTLHNCPSSPCRFLVFGITHESLLWHSLNIHGHTVFLDESEYLVSSFERNHPEIEAYDVQYTTKVSQMSDLLSLAKSHIENECKPVQNLLFSDCKLAINDMPNHIYYLSWDVILIDGPRGYFPDAPGRMAPIFTAGVLARSKKKGRKTHVFVHDFERDVESILSEEFLCEENLVETVDSLGHFVVEKGVSDGMMSGFCKNSTSSSPLSVSLSSGEDDD >EOX99236 pep chromosome:Theobroma_cacao_20110822:2:9335013:9341957:-1 gene:TCM_007841 transcript:EOX99236 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative isoform 2 MLLKKDYYLSMVSYAMASLRGRILSTFFISSSANHMFFPSFSLYALFLCFGFTCCFARDTITFDNPINSSGESLISASEKFELGFFTPNGSSHGGRFVGIWYYRMEPRTIVWVANRDKSVSNSTAWVFGISNEGNLMLSDGSSPSYTLTSPEGISAPSKMTLKLMDSGNLVLSEGPDNGSARVVWQSFLHPTDTFLPGMKFTEDLKLTSWKSQHDPASGPYVFRQDETERGNEYIITNNDLMPYWKSGLSGKFITNDEIPNFISLFLQNGTPQYCPLQKQNPINISSNCSGTLPQSYDYNNTRLVMDFAGKLRFFERHNQTDAWSSNWWEPINRCSVFDACGNFGSCNKENKVPCKCLPGFQPQSPDNWNKGDFSEGCTRKSPVCGQHKVEEFLKLSKMKVQKPTSIFSVNDKNQCRSRCLKYCACHAYSYTEVETYLRSRVSNFTCGIWIDDLKNIQESYTDGGLDLYLRVQRSEIESGSRTCETCGTNIIPYPLSTGLSCGDPMYFSFNCQTETDTGEISLNASGQHYRVTSINLKTQRFSIQVQNAENCRGRDSMEKLLQLPGSSPFFVSSACNATRDNFSTDSLSEAKLFYEVEIGWKPPLEPICGSSEDCEDLPNSSCNVAADGKNRCSCNGSFQWDPSRWRCTPNSHWNRRRGRPEKYLIFLGVTAAMLFILCTAFALYHKRRRRMISRQGNLEFSLYNSERRVIDFINSGDFRDDDKTDIDVPYFDLESILVATDNFAEANKLGQGGFGPVYKGKLPRGQEIAVKRLSRGSGQGLEEFKNEVVLIAKLQHRNLVRLLGYCVKGYEKMLIYEYMPNKSLDSFIFDRTRSVLLNWEKRIDIILGIARGMLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARIFEGEQTEASTEKVVGTYGYMSPEYALDGFFSIKSDVFSFGVVLLETISGKRNTGFYQAEQPLSLLGFAWRLWEDDKALDLAEPALRKTCNANEFLRCVNVGLLCVQEDPCVRPTMSDVLFMLGSETASLPIPEQPAYVVRRALCSSASSTNKQQWNSELTASLEEGR >EOX99237 pep chromosome:Theobroma_cacao_20110822:2:9336104:9341446:-1 gene:TCM_007841 transcript:EOX99237 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative isoform 2 MVSYAMASLRGRILSTFFISSSANHMFFPSFSLYALFLCFGFTCCFARDTITFDNPINSSGESLISASEKFELGFFTPNGSSHGGRFVGIWYYRMEPRTIVWVANRDKSVSNSTAWVFGISNEGNLMLSDGSSPSYTLTSPEGISAPSKMTLKLMDSGNLVLSEGPDNGSARVVWQSFLHPTDTFLPGMKFTEDLKLTSWKSQHDPASGPYVFRQDETERGNEYIITNNDLMPYWKSGLSGKFITNDEIPNFISLFLQNGTPQYCPLQKQNPINISSNCSGTLPQSYDYNNTRLVMDFAGKLRFFERHNQTDAWSSNWWEPINRCSVFDACGNFGSCNKENKVPCKCLPGFQPQSPDNWNKGDFSEGCTRKSPVCGQHKVEEFLKLSKMKVQKPTSIFSVNDKNQCRSRCLKYCACHAYSYTEVETYLRSRVSNFTCGIWIDDLKNIQESYTDGGLDLYLRVQRSEIESGSRTCETCGTNIIPYPLSTGLSCGDPMYFSFNCQTETDTGEISLNASGQHYRVTSINLKTQRFSIQVQNAENCRGRDSMEKLLQLPGSSPFFVSSACNATRDNFSTDSLSEAKLFYEVEIGWKPPLEPICGSSEDCEDLPNSSCNVAADGKNRCSCNGSFQWDPSRWRCTPNSHWNRRRGRPEKYLIFLGVTAAMLFILCTAFALYHKRRRRMISRQGNLEFSLYNSERRVIDFINSGDFRDDDKTDIDVPYFDLESILVATDNFAEANKLGQGGFGPVYKGKLPRGQEIAVKRLSRGSGQGLEEFKNEVVLIAKLQHRNLVRLLGYCVKGYEKMLIYEYMPNKSLDSFIFDRTRSVLLNWEKRIDIILGIARGMLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARIFEGEQTEASTEKVVGTYGYMSPEYALDGFFSIKSDVFSFGVVLLETISGKRNTGFYQAEQPLSLLGFAWRLWEDDKALDLAEPALRKTCNANEFLRCVNVGLLCVQEDPCVRPTMSDVLFMLGSETASLPIPEQPAYVVRRALCSSASSTNKQQWNSELTASLEEGR >EOX99238 pep chromosome:Theobroma_cacao_20110822:2:9337402:9341533:-1 gene:TCM_007841 transcript:EOX99238 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative isoform 2 MLLKKDYYLSMVSYAMASLRGRILSTFFISSSANHMFFPSFSLYALFLCFGFTCCFARDTITFDNPINSSGESLISASEKFELGFFTPNGSSHGGRFVGIWYYRMEPRTIVWVANRDKSVSNSTAWVFGISNEGNLMLSDGSSPSYTLTSPEGISAPSKMTLKLMDSGNLVLSEGPDNGSARVVWQSFLHPTDTFLPGMKFTEDLKLTSWKSQHDPASGPYVFRQDETERGNEYIITNNDLMPYWKSGLSGKFITNDEIPNFISLFLQNGTPQYCPLQKQNPINISSNCSGTLPQSYDYNNTRLVMDFAGKLRFFERHNQTDAWSSNWWEPINRCSVFDACGNFGSCNKENKVPCKCLPGFQPQSPDNWNKGDFSEGCTRKSPVCGQHKVEEFLKLSKMKVQKPTSIFSVNDKNQCRSRCLKYCACHAYSYTEVETYLRSRVSNFTCGIWIDDLKNIQESYTDGGLDLYLRVQRSEIESGSRTCETCGTNIIPYPLSTGLSCGDPMYFSFNCQTETDTGEISLNASGQHYRVTSINLKTQRFSIQVQNAENCRGRDSMEKLLQLPGSSPFFVSSACNATRDNFSTDSLSEAKLFYEVEIGWKPPLEPICGSSEDCEDLPNSSCNVAADGKNRCSCNGSFQWDPSRWRCTPNSHWNRRRGRPEKYLIFLGVTAAMLFILCTAFALYHKRRRRMISRQGNLEFSLYNSERRVIDFINSGDFRDDDKTDIDVPYFDLESILVATDNFAEANKLGQGGFGPVYKGKLPRGQEIAVKRLSRGSGQGLEEFKNEVVLIAKLQHRNLVRLLGYCVKGYEKMLIYEYMPNKSLDSFIFDRTRSVLLNWEKRIDIILGIARGMLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARIFEGEQTEASTEKVWLHVSRVRT >EOX99831 pep chromosome:Theobroma_cacao_20110822:2:17119225:17127629:-1 gene:TCM_008796 transcript:EOX99831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISWHRLAMTSFDSALVLYSWPFVSFDAHTINQFYNTLDIENNEYGQIVNGEVDLDEGLGSISFLGIEWKLHKGVLTSLKAIEEIMRAYVAHVSMDMATFLTLLADPNLNDSDDDDEEDDV >EOX98859 pep chromosome:Theobroma_cacao_20110822:2:7554384:7554961:-1 gene:TCM_007537 transcript:EOX98859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGIVSLRRRMRRETSGRGEKEVALSLIQAPANRQMRCQESTPLLSSLSSWPACELTFGSAYLASPAPVF >EOX99648 pep chromosome:Theobroma_cacao_20110822:2:13126247:13131293:-1 gene:TCM_008386 transcript:EOX99648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 45 isoform 1 MLLLPWRTVALGFIVLEVWFCVQVECSLALFDRITQLPGQPKVGFQQYSGYVTVDEKKQRALFYYFAEAEVDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGEVLVKNEYSWNREANMLYLEAPIGVGFSYSTDASSYEAVDDKITARDNLVFMQNWFLKFPQYRNRSLFITGESYAGHYIPQLAELMLQFNRKDKLFNLKGIALGNPVLEFAIDFNSRAEFFWSHGLISDSTYKMFTTSCNYSRYVSEYYRDAVSPICSRVMRQVSTETSRFVDKYDVTLDVCISSMLSQSKVLTPQQVGETIDVCVEDETANYLNRQDVQKALHARLVGVRKWAVCSNVLDYELLDLEIPTITIVGSLVKAGIPVMVYSGDQDSVIPLTGSRTLVNRLAKELGLKTTVTYRVWFEGQQVGGWTQVYGNILSFATIRGASHEAPFSQPERSLVLFKAFLEGRPLPEAF >EOX99650 pep chromosome:Theobroma_cacao_20110822:2:13126667:13131540:-1 gene:TCM_008386 transcript:EOX99650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 45 isoform 1 MLLLPWRTVALGFIVLEVWFCVQVECSLALFDRITQLPGQPKVGFQQYSGYVTVDEKKQRALFYYFAEAEVDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGEVLVKNEYSWNREANMLYLEAPIGVGFSYSTDASSYEAVDDKITARDNLVFMQNWFLKFPQYRNRSLFITGESYAGHYIPQLAELMLQFNRKDKLFNLKGIALGNPVLEFAIDFNSRAEFFWSHGLISDSTYKMFTTSCNYSRYVSEYYRDAVSPICSRVMRQVSTETSRFVDKYDVTLDVCISSMLSQSKVLTPQQVGETIDVCVEDETANYLNRQDVQKALHARLVGVRKWAVCSNVLDYELLENTEHVIVIWLIVVLITYVLKCSGDQDSVIPLTGSRTLVNRLAKELGLKTTVTYRVWFEGQQVGGWTQVYGNILSFATIRGASHEAPFSQPERSLVLFKAFLEGRPLPEAF >EOX99647 pep chromosome:Theobroma_cacao_20110822:2:13127214:13131530:-1 gene:TCM_008386 transcript:EOX99647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 45 isoform 1 MLLLPWRTVALGFIVLEVWFCVQVECSLALFDRITQLPGQPKVGFQQYSGYVTVDEKKQRALFYYFAEAEVDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGEVLVKNEYSWNREANMLYLEAPIGVGFSYSTDASSYEAVDDKITARDNLVFMQNWFLKFPQYRNRSLFITGESYAGHYIPQLAELMLQFNRKDKLFNLKGIALGNPVLEFAIDFNSRAEFFWSHGLISDSTYKMFTTSCNYSRYVSEYYRDAVSPICSRVMRQVSTETSRFVDKYDVTLDVCISSMLSQSKVLTPQQVGETIDVCVEDETANYLNRQDVQKALHARLVGVRKWAVCSNVLDYELLDLEIPTITIVGSLVKAGIPVMVYSGDQDSVIPLTGSRTLVNRLAKELGLKTTVTYRVWFEGQQVGGWTQVYGNILSFATIRGASHEAPFSQPERSLVLFKAFLEGRPLPEAF >EOX99649 pep chromosome:Theobroma_cacao_20110822:2:13127207:13131540:-1 gene:TCM_008386 transcript:EOX99649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 45 isoform 1 MLLLPWRTVALGFIVLEVWFCVQVECSLALFDRITQLPGQPKVGFQQYSGYVTVDEKKQRALFYYFAEAEVDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGEVLVKNEYSWNREANMLYLEAPIGVGFSYSTDASSYEAVDDKITARDNLVFMQNWFLKFPQYRNRSLFITGESYAGHYIPQLAELMLQFNRKDKLFNLKGIALGNPVLEFAIDFNSRAEFFWSHGLISDSTYKMFTTSCNYSRYVSEYYRDAVSPICSRVMRQVSTETSRFVDKYDVTLDVCISSMLSQSKVLTPQQVGETIDVCVEDETANYLNRQDVQKALHARLVGVRKWAVCSNVLDYELLENTEHVIVIWLIVVLITYVLKCSGDQDSVIPLTGSRTLVNRLAKELGLKTTVTYRVWFEGQQVGGWTQVYGNILSFATIRGASHEAPFSQPERSLVLFKAFLEGRPLPEAF >EOX97125 pep chromosome:Theobroma_cacao_20110822:2:1291513:1311644:1 gene:TCM_006225 transcript:EOX97125 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase, putative isoform 3 MAPKKKPQKQSNRKPNSSSKASQASSSAPRLQISAENENRLRRLLLNSGHSAQSQTDSNPVQDSLSKPQKAKKLKAVYEKLSCEGFSNDQIEFALSSLKDGATFEAALDWLCLNLPQNELPLKFSSGTSFQSDGGPISVISFKHEDWTPSVDASTRIKENLQGLSVRTKGSADEDSLNTCQPSQADWIRQYMEQQEEDESKTWEDETSDEDSVKEVSGPRPYDVIAKEYHAARLEATNAKERGDKKGQEKAGNIIRKLKQELSALGLSDDILASDFLYERASACVSEGMLTRSIPAEHPEVISLGDEGGDSAASVMFFGEATDDVNDTESSEEFSTKSIPSLLPAQEVVSENMSEDVEIGDFFLEDDSTNDALLSEVLKLQKKEKMKELYSEKNLEKLDGIWKKGEPKKIPKAVLHQLCQRSGWEAPKFNKMPGKGKTFAYSVSVLRKASGRGKSRKAGGLITLQLPHEQEAFESAEDAQNRVAAYALCQLFPDLPIQLVVTEPYSSLSFRWKEGESLTKIEDSEEDRRAGFVDWLLNADDSRLKAPSDNKSALDEFQKPYIEENKTLSSAVADPIAERTSHAKEVESLYLRQEEENRKKTQKYKEMLKTRAALPIAGLKNDILQLLKENNVLVVCGETGSGKTTQVPQFILDDMIESGRGGHCNIVCTQPRRIAAISVAERVADERCEPSPGSNGSLVGYQVRLDNARNEKTKLLFCTTGILLRKLVGDKSLTGVSHIIVDEVHERSLLGDFLLIVLKNLIEKQSAHGTPKLKVILMSATVDSDLFSRYFGFCPVITAQGRTHAVTTCFLEDIYESINYHLASDSPASLRYETSTKDMSGPVNNRRGKKNLVLSAWGDDSLLSEDYVNPHYDSSSYQSYSEQTQKNLKRLNEDVIDYDLLEYLVCHVDETCGEGAILIFLPGVVEIYTLLDRLAASYQFGGPSSDWLLPLHSSIASSEQKKVFLNPPNGIRKVIIATNVAETSITIDDVVYVIDCGKHKENRYNPQKKLSSMVEDWISRANAKQRRGRAGRVKPGICFCLYTQHRFEKLMRPYQVPEMLRMPLVELCLQIKLLSLGHIKPFLSKALEPPKEEAMNSAISLLYEVGAIEGDEELTPLGHHLAKLPVDVLIGKMLLYGGIFGCLSPILSISAFLSYKSPFLYPKDEKQNVERAKLALLSDKLDGSSDSNDGDRQSDHLLMMVAYRKWEKILREKGVNAAKQFCNKYFLSSSVMYMIRDMRIQFGTLLADIGFINLPKNYQIGAKKKENLDMWFSNYSQPFNRHSHHSAVVKAILCAGLYPNVAATELGITGVALSRLKHSPATKGHPVWYDGRREVHIHPSSINSSLKAFQHPFIVFLEKVETNKVFLRDTTIISPFSILLFGGLINIQHQSGLVAIDGWLKLTAPAQTAVLCKELRSALHSILKELIKKPENATIVDNEVVKSMIHLLLEEDKPLNS >EOX97127 pep chromosome:Theobroma_cacao_20110822:2:1292688:1312076:1 gene:TCM_006225 transcript:EOX97127 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase, putative isoform 3 MAPKKKPQKQSNRKPNSSSKASQASSSAPRLQISAENENRLRRLLLNSGHSAQSQTDSNPVQDSLSKPQKAKKLKAVYEKLSCEGFSNDQIEFALSSLKDGATFEAALDWLCLNLPQNELPLKFSSGTSFQSDGGPISVISFKHEDWTPSVDASTRIKENLQGLSVRTKGSADEDSLNTCQPSQADWIRQYMEQQEEDESKTWEDETSDEDSVKEVSGPRPYDVIAKEYHAARLEATNAKERGDKKGQEKAGNIIRKLKQELSALGLSDDILASDFLYERASACVSEGMLTRSIPAEHPEVISLGDEGGDSAASVMFFGEATDDVNDTESSEEFSTKSIPSLLPAQEVVSENMSEDVEIGDFFLEDDSTNDALLSEVLKLQKKEKMKELYSEKNLEKLDGIWKKGEPKKIPKAVLHQLCQRSGWEAPKFNKMPGKGKTFAYSVSVLRKASGRGKSRKAGGLITLQLPHEQEAFESAEDAQNRVAAYALCQLFPDLPIQLVVTEPYSSLSFRWKEGESLTKIEDSEEDRRAGFVDWLLNADDSRLKAPSDNKSALDEFQKPYIEENKTLSSAVADPIAERTSHAKEVESLYLRQEEENRKKTQKYKEMLKTRAALPIAGLKNDILQLLKENNVLVVCGETGSGKTTQVPQFILDDMIESGRGGHCNIVCTQPRRIAAISVAERVADERCEPSPGSNGSLVGYQVRLDNARNEKTKLLFCTTGILLRKLVGDKSLTGVSHIIVDEVHERSLLGDFLLIVLKNLIEKQSAHGTPKLKVILMSATVDSDLFSRYFGFCPVITAQGRTHAVTTCFLEDIYESINYHLASDSPASLRYETSTKDMSGPVNNRRGKKNLVLSAWGDDSLLSEDYVNPHYDSSSYQSYSEQTQKNLKRLNEDVIDYDLLEYLVCHVDETCGEGAILIFLPGVVEIYTLLDRLAASYQFGGPSSDWLLPLHSSIASSEQKKVFLNPPNGIRKVIIATNVAETSITIDDVVYVIDCGKHKENRYNPQKKLSSMVEDWISRANAKQRRGRAGRVKPGICFCLYTQHRFEKLMRPYQVPEMLRMPLVELCLQIKLLSLGHIKPFLSKALEPPKEEAMNSAISLLYEVGAIEGDEELTPLGHHLAKLPVDVLIGKMLLYGGIFGCLSPILSISAFLSYKSPFLYPKDEKQNVERAKLALLSDKLDGSSDSNDGDRQSDHLLMMVAYRKWEKILREKGVNAAKQFCNKYFLSSSVMYMIRDMRIQFGTLLADIGFINLPKNYQIGAKKKENLDMWFSNYSQPFNRHSHHSAVVKVYIPMWLPLSWALLE >EOX97128 pep chromosome:Theobroma_cacao_20110822:2:1296161:1312076:1 gene:TCM_006225 transcript:EOX97128 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase, putative isoform 3 MCYLWIKYVIMDAQNRVAAYALCQLFPDLPIQLVVTEPYSSLSFRWKEGESLTKIEDSEEDRRAGFVDWLLNADDSRLKAPSDNKSALDEFQKPYIEENKTLSSAVADPIAERTSHAKEVESLYLRQEEENRKKTQKYKEMLKTRAALPIAGLKNDILQLLKENNVLVVCGETGSGKTTQVPQFILDDMIESGRGGHCNIVCTQPRRIAAISVAERVADERCEPSPGSNGSLVGYQVRLDNARNEKTKLLFCTTGILLRKLVGDKSLTGVSHIIVDEVHERSLLGDFLLIVLKNLIEKQSAHGTPKLKVILMSATVDSDLFSRYFGFCPVITAQGRTHAVTTCFLEDIYESINYHLASDSPASLRYETSTKDMSGPVNNRRGKKNLVLSAWGDDSLLSEDYVNPHYDSSSYQSYSEQTQKNLKRLNEDVIDYDLLEYLVCHVDETCGEGAILIFLPGVVEIYTLLDRLAASYQFGGPSSDWLLPLHSSIASSEQKKVFLNPPNGIRKVIIATNVAETSITIDDVVYVIDCGKHKENRYNPQKKLSSMVEDWISRANAKQRRGRAGRVKPGICFCLYTQHRFEKLMRPYQVPEMLRMPLVELCLQIKLLSLGHIKPFLSKALEPPKEEAMNSAISLLYEVGAIEGDEELTPLGHHLAKLPVDVLIGKMLLYGGIFGCLSPILSISAFLSYKSPFLYPKDEKQNVERAKLALLSDKLDGSSDSNDGDRQSDHLLMMVAYRKWEKILREKGVNAAKQFCNKYFLSSSVMYMIRDMRIQFGTLLADIGFINLPKNYQIGAKKKENLDMWFSNYSQPFNRHSHHSAVVKAILCAGLYPNVAATELGITGVALSRLKHSPATKGHPVWYDGRREVHIHPSSINSSLKAFQHPFIVFLEKVETNKVFLRDTTIISPFSILLFGGLINIQHQSGLVAIDGWLKLTAPAQTAVLCKELRSALHSILKELIKKPENATIVDNEVVKSMIHLLLEEDKPLNS >EOX97126 pep chromosome:Theobroma_cacao_20110822:2:1291513:1312827:1 gene:TCM_006225 transcript:EOX97126 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase, putative isoform 3 MAPKKKPQKQSNRKPNSSSKASQASSSAPRLQISAENENRLRRLLLNSGHSAQSQTDSNPVQDSLSKPQKAKKLKAVYEKLSCEGFSNDQIEFALSSLKDGATFEAALDWLCLNLPQNELPLKFSSGTSFQSDGGPISVISFKHEDWTPSVDASTRIKENLQGLSVRTKGSADEDSLNTCQPSQADWIRQYMEQQEEDESKTWEDETSDEDSVKEVSGPRPYDVIAKEYHAARLEATNAKERGDKKGQEKAGNIIRKLKQELSALGLSDDILASDFLYERASACVSEGMLTRSIPAEHPEVISLGDEGGDSAASVMFFGEATDDVNDTESSEEFSTKSIPSLLPAQEVVSENMSEDVEIGDFFLEDDSTNDALLSEVLKLQKKEKMKELYSEKNLEKLDGIWKKGEPKKIPKAVLHQLCQRSGWEAPKFNKMPGKGKTFAYSVSVLRKASGRGKSRKAGGLITLQLPHEQEAFESAEDAQNRVAAYALCQLFPDLPIQLVVTEPYSSLSFRWKEGESLTKIEDSEEDRRAGFVDWLLNADDSRLKAPSDNKSALDEFQKPYIEENKTLSSAVADPIAERTSHAKEVESLYLRQEEENRKKTQKYKEMLKTRAALPIAGLKNDILQLLKENNVLVVCGETGSGKTTQVPQFILDDMIESGRGGHCNIVCTQPRRIAAISVAERVADERCEPSPGSNGSLVGYQVRLDNARNEKTKLLFCTTGILLRKLVGDKSLTGVSHIIVDEVHERSLLGDFLLIVLKNLIEKQSAHGTPKLKVILMSATVDSDLFSRYFGFCPVITAQGRTHAVTTCFLEDIYESINYHLASDSPASLRYETSTKDMSGPVNNRRGKKNLVLSAWGDDSLLSEDYVNPHYDSSSYQSYSEQTQKNLKRLNEDVIDYDLLEYLVCHVDETCGEGAILIFLPGVVEIYTLLDRLAASYQFGGPSSDWLLPLHSSIASSEQKKVFLNPPNGIRKVIIATNVAETSITIDDVVYVIDCGKHKENRYNPQKKLSSMVEDWISRANAKQRRGRAGRVKPGICFCLYTQHRFEKLMRPYQVPEMLRMPLVELCLQIKLLSLGHIKPFLSKALEPPKEEAMNSAISLLYEVGAIEGDEELTPLGHHLAKLPVDVLIGKMLLYGGIFGCLSPILSISAFLSYKSPFLYPKDEKQNVERAKLALLSDKLDGSSDSNDGDRQSDHLLMMVAYRKWEKILREKGVNAAKQFCNKYFLSSSVMYMIRDMRIQFGTLLADIGFINLPKNYQIGAKKKENLDMWFSNYSQPFNRHSHHSAVVKAILCAGLYPNVAATELGITGVALSRLKHSPATKGHPVWYDGRREVHIHPSSINSSLKAFQHPFIVFLEKVETNKVFLRDTTIISPFSILLFGGLINIQHQSGLVAIDGWLKLTAPAQTAVLCKELRSALHSILKELIKKPENATIVDNEVVKSMIHLLLEEDKPLNS >EOX99232 pep chromosome:Theobroma_cacao_20110822:2:9329076:9332983:-1 gene:TCM_007840 transcript:EOX99232 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative isoform 3 MKRTSRWSVVHILSVILFCYTILILSSPHCCSARDNITIKSRLGYAQTLVSAGNRFELGFFDPSRSSNVKRYVGIWYTTNPQTVVWVANRGKPLSDNSGVLSIAGGDLKVSDDKGIVYWHTDLGLKRLNLVAKLEDTGNFVLLEYRSKGKILWQSFDQPTDTFLYGMKMDENFKLTSWTSKEDPAPGNFTFKKDPAEESQFVVMEKIITHWRSMEPETGKIFESDVMPSTILNFFDLSNNRPEVYSDKRLVMSFTGDLQYWQLDIDTKNWSLMLWFPKDICGVFNFCGNFGICNSKNKLPCKCLPGFKPKLPEKWKAGIFSDGCSRKNTSCDNDFLSFKRMKVRNPDSFEAKDEKECREMCLSNCQCQAYSYVRQRDTVLCLTWTEDLKDLQDDQDGGYDVNVRVVLSDIGATGRNCETCGTNLVPYPLSTGPKCGDPMYARFYCNNDTDHLSFNAPSGSYNVISVDPEARIFVIQMQSEKPDNCFFMKSSGSRILQLNESSQFNVTSWCSGDLGNFTTDSSLNDTVAVGISWKPPLEPTCTSSAECKDWPHSTCNKTGNGPKRCLCNANFRWDGLALNCTPEGGQSAESFHSNKLLLLILGLSLATAMALFCAVVSICVWRRKVVKRRAKQRKAALHRYDTERGVKELIDSNKFKEQDETGIDVPFFDFESIIAATDNFSEENKLGKGGFGPVYKGKFPGGQEIAVKRLSSVSGQGLEEFKNEVVLIAKLQHRNLVRLLGYCIRREEKILLYEYMPNKSLDSWIFG >EOX99234 pep chromosome:Theobroma_cacao_20110822:2:9329813:9334712:-1 gene:TCM_007840 transcript:EOX99234 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative isoform 3 MKRTSRWSVVHILSVILFCYTILILSSPHCCSARDNITIKSRLGYAQTLVSAGNRFELGFFDPSRSSNVKRYVGIWYTTNPQTVVWVANRGKPLSDNSGVLSIAGGDLKVSDDKGIVYWHTDLGLKRLNLVAKLEDTGNFVLLEYRSKGKILWQSFDQPTDTFLYGMKMDENFKLTSWTSKEDPAPGNFTFKKDPAEESQFVVMEKIITHWRSMEPETGKIFESDVMPSTILNFFDLSNNRPEVYSDKRLVMSFTGDLQYWQLDIDTKNWSLMLWFPKDICGVFNFCGNFGICNSKNKLPCKCLPGFKPKLPEKWKAGIFSDGCSRKNTSCDNDFLSFKRMKVRNPDSFEAKDEKECREMCLSNCQCQAYSYVRQRDTVLCLTWTEDLKDLQDDQDGGYDVNVRVVLSDIGATGRNCETCGTNLVPYPLSTGPKCGDPMYARFYCNNDTDHLSFNAPSGSYNVISVDPEARIFVIQMQSEKPDNCFFMKSSGSRILQLNESSQFNVTSWCSGDLGNFTTDSSLNDTVAVGISWKPPLEPTCTSSAECKDWPHSTCNKTGNGPKRCLCNANFRWDGLALNCTPEGGQSAESFHSNKLLLLILGLSLATAMALFCAVVSICVWRRKVVKRRAKQRKAALHRYDTERGVKELIDSNKFKEQDETGIDVPFFDFESIIAATDNFSEENKLGKGGFGPVYKAMTPG >EOX99230 pep chromosome:Theobroma_cacao_20110822:2:9327707:9335808:-1 gene:TCM_007840 transcript:EOX99230 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative isoform 3 MKRTSRWSVVHILSVILFCYTILILSSPHCCSARDNITIKSRLGYAQTLVSAGNRFELGFFDPSRSSNVKRYVGIWYTTNPQTVVWVANRGKPLSDNSGVLSIAGGDLKVSDDKGIVYWHTDLGLKRLNLVAKLEDTGNFVLLEYRSKGKILWQSFDQPTDTFLYGMKMDENFKLTSWTSKEDPAPGNFTFKKDPAEESQFVVMEKIITHWRSMEPETGKIFESDVMPSTILNFFDLSNNRPEVYSDKRLVMSFTGDLQYWQLDIDTKNWSLMLWFPKDICGVFNFCGNFGICNSKNKLPCKCLPGFKPKLPEKWKAGIFSDGCSRKNTSCDNDFLSFKRMKVRNPDSFEAKDEKECREMCLSNCQCQAYSYVRQRDTVLCLTWTEDLKDLQDDQDGGYDVNVRVVLSDIGATGRNCETCGTNLVPYPLSTGPKCGDPMYARFYCNNDTDHLSFNAPSGSYNVISVDPEARIFVIQMQSEKPDNCFFMKSSGSRILQLNESSQFNVTSWCSGDLGNFTTDSSLNDTVAVGISWKPPLEPTCTSSAECKDWPHSTCNKTGNGPKRCLCNANFRWDGLALNCTPEGGQSAESFHSNKLLLLILGLSLATAMALFCAVVSICVWRRKVVKRRAKQRKAALHRYDTERGVKELIDSNKFKEQDETGIDVPFFDFESIIAATDNFSEENKLGKGGFGPVYKGKFPGGQEIAVKRLSSVSGQGLEEFKNEVVLIAKLQHRNLVRLLGYCIRREEKILLYEYMPNKSLDSWIFDESFSQQLDWETRFNIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDAEMNPKISDFGLARMIQGKQAEANTLRVVGTYGYMAPEYALDGLFSVKSDVFSFGVVMLEIVSGKKNMRFYQVEHAPSLIGYAWRLWEEGKALDLMDETMRASCNASEFLRWVHVGLLCVQEDPSDRPTMSNVVVLLGSETVSLPIPKQPAFVTRRTLPTTASTSSKAESGFEITSTVEEGP >EOX99233 pep chromosome:Theobroma_cacao_20110822:2:9328410:9334712:-1 gene:TCM_007840 transcript:EOX99233 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative isoform 3 MKRTSRWSVVHILSVILFCYTILILSSPHCCSARDNITIKSRLGYAQTLVSAGNRFELGFFDPSRSSNVKRYVGIWYTTNPQTVVWVANRGKPLSDNSGVLSIAGGDLKVSDDKGIVYWHTDLGLKRLNLVAKLEDTGNFVLLEYRSKGKILWQSFDQPTDTFLYGMKMDENFKLTSWTSKEDPAPGNFTFKKDPAEESQFVVMEKIITHWRSMEPETGKIFESDVMPSTILNFFDLSNNRPEVYSDKRLVMSFTGDLQYWQLDIDTKNWSLMLWFPKDICGVFNFCGNFGICNSKNKLPCKCLPGFKPKLPEKWKAGIFSDGCSRKNTSCDNDFLSFKRMKVRNPDSFEAKDEKECREMCLSNCQCQAYSYVRQRDTVLCLTWTEDLKDLQDDQDGGYDVNVRVVLSDIGATGRNCETCGTNLVPYPLSTGPKCGDPMYARFYCNNDTDHLSFNAPSGSYNVISVDPEARIFVIQMQSEKPDNCFFMKSSGSRILQLNESSQFNVTSWCSGDLGNFTTDSSLNDTVAVGISWKPPLEPTCTSSAECKDWPHSTCNKTGNGPKRCLCNANFRWDGLALNCTPEGGQSAESFHSNKLLLLILGLSLATAMALFCAVVSICVWRRKVVKRRAKQRKAALHRYDTERGVKELIDSNKFKEQDETGIDVPFFDFESIIAATDNFSEENKLGKGGFGPVYKGKFPGGQEIAVKRLSSVSGQGLEEFKNEVVLIAKLQHRNLVRLLGYCIRREEKILLYEYMPNKSLDSWIFVDIWHQNMR >EOX99231 pep chromosome:Theobroma_cacao_20110822:2:9328410:9334712:-1 gene:TCM_007840 transcript:EOX99231 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative isoform 3 MKRTSRWSVVHILSVILFCYTILILSSPHCCSARDNITIKSRLGYAQTLVSAGNRFELGFFDPSRSSNVKRYVGIWYTTNPQTVVWVANRGKPLSDNSGVLSIAGGDLKVSDDKGIVYWHTDLGLKRLNLVAKLEDTGNFVLLEYRSKGKILWQSFDQPTDTFLYGMKMDENFKLTSWTSKEDPAPGNFTFKKDPAEESQFVVMEKIITHWRSMEPETGKIFESDVMPSTILNFFDLSNNRPEVYSDKRLVMSFTGDLQYWQLDIDTKNWSLMLWFPKDICGVFNFCGNFGICNSKNKLPCKCLPGFKPKLPEKWKAGIFSDGCSRKNTSCDNDFLSFKRMKVRNPDSFEAKDEKECREMCLSNCQCQAYSYVRQRDTVLCLTWTEDLKDLQDDQDGGYDVNVRVVLSDIGATGRNCETCGTNLVPYPLSTGPKCGDPMYARFYCNNDTDHLSFNAPSGSYNVISVDPEARIFVIQMQSEKPDNCFFMKSSGSRILQLNESSQFNVTSWCSGDLGNFTTDSSLNDTVAVGISWKPPLEPTCTSSAECKDWPHSTCNKTGNGPKRCLCNANFRWDGLALNCTPEGGQSAESFHSNKLLLLILGLSLATAMALFCAVVSICVWRRKVVKRRAKQRKAALHRYDTERGVKELIDSNKFKEQDETGIDVPFFDFESIIAATDNFSEENKLGKGGFGPVYKGKFPGGQEIAVKRLSSVSGQGLEEFKNEVVLIAKLQHRNLVRLLGYCIRREEKILLYEYMPNKSLDSWIFDESFSQQLDWETRFNIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDAEMNPKISDFGLARMIQGKQAEANTLRVVGTYGYMAPEYALDGLFSVKSDVFSFGVVMLEIVSGKKNMRFYQVEHAPSLIGYVSRDKIFCSLVHFNFPFSMPTAIFLILFQAWRLWEEGKALDLMDETMRASCNASEFLRWVHVGLLCVQEDPSDRPTMSNVVVLLGSETVSLPIPKQPAFVTRRTLPTTASTSSKAESGFEITSTVEEGP >EOX99235 pep chromosome:Theobroma_cacao_20110822:2:9329847:9332979:-1 gene:TCM_007840 transcript:EOX99235 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative isoform 3 MKRTSRWSVVHILSVILFCYTILILSSPHCCSARDNITIKSRLGYAQTLVSAGNRFELGFFDPSRSSNVKRYVGIWYTTNPQTVVWVANRGKPLSDNSGVLSIAGGDLKVSDDKGIVYWHTDLGLKRLNLVAKLEDTGNFVLLEYRSKGKILWQSFDQPTDTFLYGMKMDENFKLTSWTSKEDPAPGNFTFKKDPAEESQFVVMEKIITHWRSMEPETGKIFESDVMPSTILNFFDLSNNRPEVYSDKRLVMSFTGDLQYWQLDIDTKNWSLMLWFPKDICGVFNFCGNFGICNSKNKLPCKCLPGFKPKLPEKWKAGIFSDGCSRKNTSCDNDFLSFKRMKVRNPDSFEAKDEKECREMCLSNCQCQAYSYVRQRDTVLCLTWTEDLKDLQDDQDGGYDVNVRVVLSDIGATGRNCETCGTNLVPYPLSTGPKCGDPMYARFYCNNDTDHLSFNAPSGSYNVISVDPEARIFVIQMQSEKPDNCFFMKSSGSRILQLNESSQFNVTSWCSGDLGNFTTDSSLNDTVAVGISWKPPLEPTCTSSAECKDWPHSTCNKTGNGPKRCLCNANFRWDGLALNCTPEGGQSAESFHSNKLLLLILGLSLATAMALFCAVVSICVWRRKVVKRRAKQRKAALHRYDTERGVKELIDSNKFKEQDETGIDVPFFDFESIIAATDNFSEENKLGKGGFGPVYKVFFSGLSELLSHLFLMVPLSLHRL >EOX99500 pep chromosome:Theobroma_cacao_20110822:2:11677751:11679527:1 gene:TCM_008181 transcript:EOX99500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein, putative isoform 3 MDSISTPPSHRSNPNSQTKSASRLARIGCSVIESEEQKRPTIPHLSLDHISPSPKKTTTPTSPSAVSLRSSTNSLPLQELLLLSPASPLRRSRSRLADRIEMAEEGASEQGSSRRRCKSRAAQMGLLGCGSPRNNRRSRRRMEMELREDRDLVLGDEMGKPRKRRHSGKSKKEKLSLVPCLPSSCSSPKAEDSEKGNLDRMGEMIGDLVMWRDVAKSSLWFGFGCLCFFSSFFTKGVTFSIFSLISQIGLLFLGVSFFSNSICHNVEKRNEFKLSEEDFLKLARLILPATNLAISKTRELFSGEPSMTLKVAPLLLLGAEYGHIITLRRLCAFGI >EOX99499 pep chromosome:Theobroma_cacao_20110822:2:11677625:11680155:1 gene:TCM_008181 transcript:EOX99499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein, putative isoform 3 MDSISTPPSHRSNPNSQTKSASRLARIGCSVIESEEQKRPTIPHLSLDHISPSPKKTTTPTSPSAVSLRSSTNSLPLQELLLLSPASPLRRSRSRLADRIEMAEEGASEQGSSRRRCKSRAAQMGLLGCGSPRNNRRSRRRMEMELREDRDLVLGDEMGKPRKRRHSGKSKKEKLSLVPCLPSSCSSPTEDSEKGNLDRMGEMIGDLVMWRDVAKSSLWFGFGCLCFFSSFFTKGVTFSIFSLISQIGLLFLGVSFFSNSICHNVEKRNEFKLSEEDFLKLARLILPATNLAISKTRELFSGEPSMTLKVAPLLLLGAEYGHIITLRRLCAFGFFLSFSLPKLYSCYSSPISQKAGYMKQWVIEAWGACSHKKVVAASAATAFWNLSSVKTRVFTAFISLVIIRYYRQHQVQKSDEEEAEELEVERPEQALVVAGEKSQKN >EOX99498 pep chromosome:Theobroma_cacao_20110822:2:11677751:11680102:1 gene:TCM_008181 transcript:EOX99498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein, putative isoform 3 MDSISTPPSHRSNPNSQTKSASRLARIGCSVIESEEQKRPTIPHLSLDHISPSPKKTTTPTSPSAVSLRSSTNSLPLQELLLLSPASPLRRSRSRLADRIEMAEEGASEQGSSRRRCKSRAAQMGLLGCGSPRNNRRSRRRMEMELREDRDLVLGDEMGKPRKRRHSGKSKKEKLSLVPCLPSSCSSPKAEDSEKGNLDRMGEMIGDLVMWRDVAKSSLWFGFGCLCFFSSFFTKGVTFSIFSLISQIGLLFLGVSFFSNSICHNVEKRNEFKLSEEDFLKLARLILPATNLAISKTRELFSGEPSMTLKVAPLLLLGAEYGHIITLRRLCAFGFFLSFSLPKLYSCYSSPISQKAGYMKQWVIEAWGACSHKKVVAASAATAFWNLSSVKTRVFTAFISLVIIRYYRQHQVQKSDEEEAEELEVERPEQALVVAGEKSQKN >EOY01295 pep chromosome:Theobroma_cacao_20110822:2:38862423:38865191:1 gene:TCM_011232 transcript:EOY01295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 3 isoform 1 MAFPSSRRTIHISVLLISAWLWLSSESSVAELQRFQHPVKPNGSLSFLVVGDWGRKGLYNQSKVAFQMGLVGQKLDIDFVISTGDNFYEDGLTGVDDPAFNQSFTAIYTAPSLKKQWYNVLGNHDYRGDVEAQLSPILREKDKRWLCLRSFILKAGKENCSILTFSVLNSSILTTVVMSLAEFVEFFFVDTTPFVDKYFTDPGDDVYDWKGVSPREDYLSNLLKDVDAALKKSNAKWKIVVGHHTIKSAGHHGVTKELVEQLVPILEANSVDMYINGHDHCLEHISDKNSQIQYLTTGGGSKAWKDDVHRWDPKELKFYYDGQGFMSMQMTQRKAHIAFYDIYGKVLHTWNISKELHSDA >EOY01294 pep chromosome:Theobroma_cacao_20110822:2:38862514:38865464:1 gene:TCM_011232 transcript:EOY01294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 3 isoform 1 MAFPSSRRTIHISVLLISAWLWLSSESSVAELQRFQHPVKPNGSLSFLVVGDWGRKGLYNQSKVAFQMGLVGQKLDIDFVISTGDNFYEDGLTGVDDPAFNQSFTAIYTAPSLKKQWYNVLGNHDYRGDVEAQLSPILREKDKRWLCLRSFILKAEFVEFFFVDTTPFVDKYFTDPGDDVYDWKGVSPREDYLSNLLKDVDAALKKSNAKWKIVVGHHTIKSAGHHGVTKELVEQLVPILEANSVDMYINGHDHCLEHISDKNSQIQYLTTGGGSKAWKDDVHRWDPKELKFYYDGQGFMSMQMTQRKAHIAFYDIYGKVLHTWNISKELHSDA >EOX97095 pep chromosome:Theobroma_cacao_20110822:2:1162847:1170451:-1 gene:TCM_006197 transcript:EOX97095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 MKFKMLGKKPCRKRRQRGKVEKPSSDEMDEKRRAWPRGMSKPLRRRRGRLPGSKHAASSSQVPSRKGPKGEEFRHKDLQHYHFLNWRVLEEQLCSLLGIDGPPVVGSIYPEHEMLSTRNDVEADLSNQEIQLANGDDNVFEQDQANRGYNNHDGLSLMDVVEANADSENYLEHEILWTRNDAVANLGGQEIEVTTSDDFEFEQAQANSESNNHDGSSLMDVVEANADSENYLEHGILWNRNDVEANLGGQENEVTTSDDFELEQDQANRDSYNHDGLSLMDVLAWVFLITNFTLELPSAVFDQLSSKDHPQYALIMMLISFIALMACIAELTYKGKKERVTWQWKGRVPWFYCPRTGKPFCSLWDVIAFASAFLQCVVIAINYSFISRHLNGPIKTSALPIVFALGLLCSKYFEKPGRNRGGNPDGASAAALVQVRVEP >EOX97447 pep chromosome:Theobroma_cacao_20110822:2:2298458:2299561:1 gene:TCM_006455 transcript:EOX97447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQYKAICITVILSSSVLFGGLLLGGVLLESIISVYAREESSPQGNMRTHINSQSDFLIPESFFALHPKGECVKGNRTKHETNKKMKKKTKN >EOY00699 pep chromosome:Theobroma_cacao_20110822:2:34555070:34560879:1 gene:TCM_010629 transcript:EOY00699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copine family isoform 1 MGGKSSKESSGGRYPTSRSAGSDAWSPYVHPPQSAYPPENSYYTPQHRYGPPPSYNYGSRTPQLHKSLERKYSRIADNYQTLDQVTAALAQAGLESSNLIVGIDFTKSNEWTGARSFNRRSLHHIGNGQNPYEQAISIIGQSLSAFDEDNLIPCYGFGDASTHDQDVFSFYPEERFCNGFEEVLARYREIVPQLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQENRTIDAIVKASEFPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNMDPSRKQAEFALSALMEIPSQYKATIELGLLGRRMGNTSERVPLPPLYGTSSFNTPKSYSRSSSFQQNASPYSRSSSFQQNASYSHTSNFQHNSPPYSGYNTSASSTTGPSSSSLYDHQVCPICLGNPKDMAFGCGHQTCCDCGEDLQLCPICRSTIQTRIRLY >EOY00700 pep chromosome:Theobroma_cacao_20110822:2:34555070:34560604:1 gene:TCM_010629 transcript:EOY00700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copine family isoform 1 MGGKSSKESSGGRYPTSRSAGSDAWSPYVHPPQSAYPPENSYYTPQHRYGPPPSYNYGSRTPQLHKSLERKYSRIADNYQTLDQVTAALAQAGLESSNLIVGIDFTKSNEWTGARSFNRRSLHHIGNGQNPYEQAISIIGQSLSAFDEDNLIPCYGFGDASTHDQDVFSFYPEERFCNGFEEVLARYREIVPQLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQENRTIDAIVKASEFPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNMDPSRKQAEFALSALMEIPSQYKATIELGLLGRRMGNTSERVPLPPLYGTSSFNTPKSYSRSSSFQQNASPYSRSSSFQQNASYSHTSNFQHNSPPYSGYNTSASSTTGPSSSSLYDHQVCPICLGNPKDMAFGCGHQTCCDCGEDLQLCPICRSTIQTRIRLY >EOY00701 pep chromosome:Theobroma_cacao_20110822:2:34555780:34560877:1 gene:TCM_010629 transcript:EOY00701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copine family isoform 1 MGGKSSKESSGGRYPTSRSAGSDAWSPYVHPPQSAYPPENSYYTPQHRYGPPPSYNYGSRTPQLHKSLERKYSRIADNYQTLDQVTAALAQAGLESSNLIVGIDFTKSNEWTGARSFNRRSLHHIGNGQNPYEQAISIIGQSLSAFDEDNLIPCYGFGDASTHDQDVFSFYPEERFCNGFEEVLARYREIVPQLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQENRTIDAIVKASEFPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNMDPSRKQAEFALSALMEIPSQYKATIELGLLGRRMGNTSERVPLPPLYGTSSFNTPKSYSRSSSFQQNASPYSRSSSFQQNASYSHTSNFQHNSPPYSGYNTSASSTTGPSSSSLYDHQVCPICLGNPKDMAFGCGHQTCCDCGEDLQLCPICRSTIQTRIRLY >EOX98909 pep chromosome:Theobroma_cacao_20110822:2:7738926:7740625:1 gene:TCM_007575 transcript:EOX98909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine kinase MAICFQFQSPLKPINIPPSSTKKAPIFRCKASFSTTITTEPEPVFTSVKSFAPATVANLGPGFDFLGAAVDGLGDFVSVSLDTSVRPGHVSISEISGSSKLSSNPLFNCAGIAAIAAMKMLNIRSFGISLKLEKGLPLGSGLGSSAASAAAAAVAVNELFGAKLGADQLILAGLESEAKVSGYHADNIAPAVMGGFVLIKSYEPLELKPLIFPNNQELFFILVSPEFEAPTKKMRAALPAEIGMPQHVWNCSQAGALVASVLEGDVAGLGKALSSDKIVEPKRAPLIPGMEGVKKAAIETGAFGCTISGAGPTAVAVIDNEDKGKVIGEKMVEAFLKEGNLRSVSMVKRLDRVGARLVDSFPR >EOX98519 pep chromosome:Theobroma_cacao_20110822:2:6060877:6063280:1 gene:TCM_007264 transcript:EOX98519 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; BEST Arabidopsis thaliana protein match is: short hypocotyl in white l /.../(TAIR:AT1G69935.1); Has 40 Blast hits to 40 proteins in 10 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 40; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G33780) TAIR;Acc:AT4G33780] MSSTVTLSPTFLAGFPYRKPPSHFFSNPKILLLKTHSFQLLLASRRIPNFPQGTDNLVDGPRNWGRSITSEFDDDQEGDEDEDEDEDEDEDEDRSLDLLIRFVQNVFRKISKRARKAVRAVLPVSISTKLVGFSVNGVLMLAFLWVLKAFLEVVCTLGSIVFVSVLLIRGIWMGVTYVQESRDQRINELVDDQRAWTGTHPAT >EOX99743 pep chromosome:Theobroma_cacao_20110822:2:15373091:15374214:1 gene:TCM_008610 transcript:EOX99743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPVKAEKFQAMNKYRKSDQFLYNLILHLLAGLACSLFFSYAFSFPPLCSSMKHFFLISLPCIWSSFSNPKCLFVVVNVIVIFLVGESRFTGTNSSPAGDIYNEYIERSRSLRGVPVSTPQEKTRQEKRVEEVEKEAESKQVVNRGDDKEVKEEDEELVEVKEVMKSDEKEEKEEEKEVEVKEQDRVVQETYGVAAEEAYAEKEKDTVEEEAGLPAEELNKRVEQFIARVNKQRWLEAQLLVCCRA >EOY00078 pep chromosome:Theobroma_cacao_20110822:2:25190391:25193414:1 gene:TCM_009541 transcript:EOY00078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 2 MAAVSLLVLIVLSQFVLLHFAGAEEENSYHHRRNCPPFPCGKLGEIGFPYTPRDRPECGLFVVGGCEGNIQKVQLKQGERWYQVDSISQAGTVTIYDEVLAKQLETKDCESLKNLSLSFPNLPYVTFQILSNLTLCKCNSPLNTSKMQEFSYAKCKNSTIYYSQPQGMPKPPGEDQYDRLSSLCNCPIIELPFTHLPPDKKHNSHLFRMLTAKVSVGVTVSQNKNLGLKLGLGIGCPVTLIILLLSIYVFRYRYKHKYASSNFLRRNGLSSPSSKSDLERSNTIGFGLLIFTYSELVEATNNFDDEKELGDGGFGTVYYGKLRDGREVAIKRLYQHNCRRLEQFINEVEILTRLRHKNLVSLYGCTSRRSRDLLLVYEFIPNGTVADHLHGDRAQSGLLTWPIRMSIAIETASALAYLHTSDIVHRDVKTNNILLDDNFSVKVADFGLSRLFPHDVTHISTAPQGTPGYVDPEYHQCYQLTGKSDVYSFGVVLIELISSMPAVDITRHRQEINLANLAINKIQKCAFDELIDPNLGYKSDEEVTRMTTSVAELAFRCLQQEKEMRPSMEEVLEELQRIQSEDYRLENVQEEEHTDTEVPGGVQPPPSPPNGDHIALLKNIRPPPSPISVTDKWASRSTTPDASG >EOY00077 pep chromosome:Theobroma_cacao_20110822:2:25173591:25193414:1 gene:TCM_009541 transcript:EOY00077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 2 MVEKGRRIYTLGWSTCATFGKWPRLGNSLKFNSLRYEADNMDNPSNPQMNQILLLNLSSTLFPVIHFFIFSSFFLAKKALAVDKNFTICSEPSTCGRHNIKFPFFIQERRCGYPGFNIFCRNSTDPILSLPDGDYIIHDIFYQNQSFRVSKAVAFDGDAVCSHSIANLSIPEDRLSLPPNQTEILFLFNCNLTMPWTWELSQYKVYCSAENETNATLALFNNDPKLNSASKSCKKTVVAPVAFYEGERGVENMLNRGFVLKWIASNCSICEASGGKCGFDYSTYHFKCFCPDRPHAWHCRPENKNLGLKLGLGIGCPVTLIILLLSIYVFRYRYKHKYASSNFLRRNGLSSPSSKSDLERSNTIGFGLLIFTYSELVEATNNFDDEKELGDGGFGTVYYGKLRDGREVAIKRLYQHNCRRLEQFINEVEILTRLRHKNLVSLYGCTSRRSRDLLLVYEFIPNGTVADHLHGDRAQSGLLTWPIRMSIAIETASALAYLHTSDIVHRDVKTNNILLDDNFSVKVADFGLSRLFPHDVTHISTAPQGTPGYVDPEYHQCYQLTGKSDVYSFGVVLIELISSMPAVDITRHRQEINLANLAINKIQKCAFDELIDPNLGYKSDEEVTRMTTSVAELAFRCLQQEKEMRPSMEEVLEELQRIQSEDYRLENVQEEEHTDTEVPGGVQPPPSPPNGDHIALLKNIRPPPSPISVTDKWASRSTTPDASG >EOY02119 pep chromosome:Theobroma_cacao_20110822:2:42016671:42019062:-1 gene:TCM_011852 transcript:EOY02119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12/ ATP-dependent Clp protease adaptor protein ClpS family protein isoform 1 METAIRGRLTLSPNTVFNPKPGEKQSLCKGPCTNRGILMAISTTGAGRGAGVLEKPVIERTTPGRESEFDVRKSRKIAPPYRVILHNDNFNKREYVVQVLMKVIPGMTLDNAVNIMQEAHYNGLAVVIICAQADAEEHCMQLRGNGLLSSIEPASGGC >EOY02120 pep chromosome:Theobroma_cacao_20110822:2:42015287:42017788:-1 gene:TCM_011852 transcript:EOY02120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12/ ATP-dependent Clp protease adaptor protein ClpS family protein isoform 1 MAISTTGAGRGAGVLEKPVIERTTPGRESEFDVRKIAPPYRVILHNDNFNKREYVVQVLMKVIPGMTLDNAVNIMQEAHYNGLAVVIICAQADAEEHCMQLRGNGLLSSIEPASGGC >EOY01516 pep chromosome:Theobroma_cacao_20110822:2:39803629:39807635:-1 gene:TCM_011383 transcript:EOY01516 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein isoform 1 MVGLTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKQEGNLPLYGFHDPESFVHSIQKPRVIIMLVKAGPPVDQTIKTLSVYLEKGDCIIDGGNEWYENTERREKAMAELGLLYLGMGVSGGEEGARHGPSLMPGGSYEAYKYIEDILLKVAAQVPDSGPCVTYVGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNEELHQVFSEWNKGELLSFLIEITADIFGIKDDKGEGYLVDKVLDKTGMKGTGKWTVQQAAELSIAAPTMASSLDARFLSGLKEERVEAAKIFTSRGFSNILADVAVDKKKLIDDVRQALYASKICSYAQGMNLIRAKSIEKGWGLKLGELARIWKGGCIIRAVFLDRIKKAYDRNPDLANLLVDPEFAKEIIERQSAWRRVVSLAINSGISTPGMSSSLAYFDSYRRERLPANLVQAQRDYFGAHTYERIDVSGSFHTEWFKIAKQSKI >EOY01517 pep chromosome:Theobroma_cacao_20110822:2:39804034:39805491:-1 gene:TCM_011383 transcript:EOY01517 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein isoform 1 MVGLTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKQEGNLPLYGFHDPESFVHSIQKPRVIIMLVKAGPPVDQTIKTLSVYLEKGDCIIDGGNEWYENTERREKAMAELGLLYLGMGVSGGEEGARHGPSLMPGGSYEAYKYIEDILLKVAAQVPDSGPCVTYVGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNEELHQVFSEWNKGELLSFLIEITADIFGIKDDKGEGYLVDKVLDKTGMKGTGKWTVQQAAELSIAAPTMASSLDARFLSGLKEERVEAAKIFTSRGFSNILADVAVDKKKLIDDVRQALYASKICSYAQGMNLIRAKSIEKGWGLKLGELARIWKGGCIIRAVFLDRIKKAYDRNPDLANLLVDPEFAKEIIERQSAWRRVVSLAINSGISTPGMSSSLAYFDSYRRERLPANLVQAQRDYFGAHTYERIDVSGSFHTEWFKIAKQSKI >EOX97107 pep chromosome:Theobroma_cacao_20110822:2:1207550:1210812:-1 gene:TCM_006207 transcript:EOX97107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVSFVALMACIVSSLTKVKGKELLESGETGYLGCIVQELASLLVPAARSSDLLVLSFNVYQQPAIVILFIDTWTALSTHVLCQSSLLANSALPDASHMADMIRLVALVPYHTNLTGQFKLSSQKGQLEVTLWLDPKLKQLE >EOX98083 pep chromosome:Theobroma_cacao_20110822:2:4501465:4502324:-1 gene:TCM_006928 transcript:EOX98083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMEKVWLQNERKTDRDREDSPLSDGPPSWKLTSAKSEMGNSARYMAYSYFRNFGEPESFIVSFTVHLTKIEL >EOX99305 pep chromosome:Theobroma_cacao_20110822:2:10063022:10067306:1 gene:TCM_007939 transcript:EOX99305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 4-2 MGVDEEKSEGEGKVWSLCRMPFWQTSSSNGNGSSSSSSSSSSMGNNNVYQQSQTSQLGERSTATSSNAVSSMAKSLLPTRRRLRLDPPNKLYFPYEPGKQVRSAIGIKNTSKSHVAFKFQTTAPKSCYMRPPGGILAPGESLIATVFKFVEPPENNEKPMEQKSRVKFKIMSLKVKGETDYVPELFDEQKDQVAVEQILRVVFLDPERPCPALEKLKRQLAEAEAALEARKRPPEETGPRIVGEGLVIDEWKERRERYLARQQVEGVDSA >EOX99426 pep chromosome:Theobroma_cacao_20110822:2:11125157:11129693:1 gene:TCM_008105 transcript:EOX99426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of cell wall MASGSSGRGNSGGSKGFDFGSDDILCSYEDYGNQESSNGSHAEPVVGTNSSAKDFHKGRAARSIFPPNAYSQPEDSFSTDVTATVEKTMKKYADNLMRFLEGISSRLSQLELYCYNLDKTIGEMRSDLVRDHVDADLKLKSIEKHLQEVHRSVQILRDKQELAETQKELAKLQLVQKESSSSSHSQSTEERASPPASDSKKTDHTSDMQSQQLALALPHQVAPPQQPVVPHSQASPQNLTQQSYYIPPNQLSNSQAQVQAPAPAPVPTPAPAPAPAPIQHPQSQYLPSDSQYRTPQIPDISRMPPQPTQSQVNQVPPVQSFPQYQQQWPQQLPQQVPQQQSSMQPQMRAPSTPAYPPYPPTQSTNPSLPEALPNSLPMQVPYSGVPQPVSSRADTIPYGYGLPGRTAPQQPQQIKGTFGAPPAEGYTAPGPHPPLPPGSAYMMYDSEGGRPLHPPQQPHFSQGGYSPANVSLQTPQTGTGPNVMIRNTSHSQFIRSHPYSDLIEKLVSMGFRVDHVASVIQRMEESGQPVDFNAVLDRLNVHSSGGSQRGGW >EOX98047 pep chromosome:Theobroma_cacao_20110822:2:4372649:4375223:1 gene:TCM_006904 transcript:EOX98047 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase G3F isoform 2 MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGVNVEEAFQCIAKNALKSGEEEEIYLPDTIDVASSSQPRSTGCEC >EOX98049 pep chromosome:Theobroma_cacao_20110822:2:4373215:4374648:1 gene:TCM_006904 transcript:EOX98049 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase G3F isoform 2 KTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGVNVEEAFQCIAKNALKSGE >EOX98048 pep chromosome:Theobroma_cacao_20110822:2:4372582:4375130:1 gene:TCM_006904 transcript:EOX98048 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase G3F isoform 2 MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVSEKKARAWCASKGNIPYFETSAKEGVNVEEAFQCIAKNALKSGEEEEIYLPDTIDV >EOX98510 pep chromosome:Theobroma_cacao_20110822:2:6015746:6016572:1 gene:TCM_007254 transcript:EOX98510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSKGRKAKKQSKLMKIVCSPIRLLSKARAFYVKNIEECAGGVGRGGGVVCPAPPAASRLHKSFSVNSSKASDDEEFRQLLRAASKRGIDSKVQSSGMKQTTAGSGGMGMRSYSVGIGKIGRIDEDKPCSFEEEEIDAMLYPRSRSYAARRNVVVYR >EOX99243 pep chromosome:Theobroma_cacao_20110822:2:9353841:9358288:1 gene:TCM_007845 transcript:EOX99243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein, putative isoform 1 MVRFSCFNAHIQKPKKTVQSSVEAMHKTLEDSSRVQAPKDFNKATVMDSFLPTAEPIAEIHESVKPIMNSSSVCHRWKSEEIKHEIDLDTDTRVHQKWHHLKKSRSLGSRLCLEGRVPGKIDTDDETDQGFSSDSHDHGLVEADGSKHTVVSQKALCSESAQVSSNHVNNELVFSMGDPQHSEKDGHDNSDLRLSGEGANGSGDRTPNNAPVIVKSCSMPNIVASVLTPGGHSPFKYLTRRPRSFEDVHVLNMGRKEITIYEVDTEVMREQGRDAITDKNQKNNFENSYYDGYDSYSYSASAKDWILPVSDEVNPVKILQEDLPVSDWNELTGKDFKIKRIEEWVNDLEHCSPLEETYELYHPNDHVKEEPVVSNGSTAAKADVKVTPGMETAKRYISSLSASAATAQLANHGLVVIPFLSAFVSLKVLNLSGNAIARITAGALPRGLHMLNLSKNNISTIEGLRELTRLRVLDLSYNRIFRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTVLDLRFNKISTAKCLGQLAANYNSLQAISLEGNPAQKNVGDEHLKKHLQGLLPHLVYFNRQAIKVSTLKDAAERSVRLGISAHQFDRGLRSEHKATRKSNHGSATHRPSSSSTHGHKSQATVSPRQSRGRHGRLPASGTKATNNHRNHYFDLSSKLLNFRSELSMRRTRSEGTLGPL >EOX99244 pep chromosome:Theobroma_cacao_20110822:2:9353841:9358288:1 gene:TCM_007845 transcript:EOX99244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein, putative isoform 1 MVRFSCFNAHIQKPKKTVQSSVEAMHKTLEDSSRVQAPKDFNKATVMDSFLPTAEPIAEIHESVKPIMNSSSVCHRWKSEEIKHEIDLDTDTRVHQKWHHLKKSRSLGSRLCLEGRVPGKIDTDDETDQGFSSDSHDHGLVEADGSKHTVVSQKALCSESAQVSSNHVNNELVFSMGDPQHSEKDGHDNSDLRLSGEGANGSGDRTPNNAPVIVKSCSMPNIVASVLTPGGHSPFKYLTRRPRSFEDVHVLNMGRKEITIYEVDTEVMREQGRDAITDKNQKNNFENSYYDGYDSYSYSASAKDWILPVSDEVNPVKILQEDLPVSDWNELTGKDFKIKRIEEWVNDLEHCSPLEETYELYHPNDHVKEEPVVSNGSTAAKADVKVTPGMETAKRYISSLSASAATAQLANHGLVVIPFLSAFVSLKVLNLSGNAIARITAGALPRGLHMLNLSKNNISTIEGLRELTRLRVLDLSYNRIFRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTVLDLRFNKISTAKCLGQLAANYNSLQAISLEGNPAQKNVGDEHLKKHLQGLLPHLVYFNRQAIKVSTLKDAAERSVRLGISAHQFDRGLRSEHKATRKSNHGSATHRPSSSSTHGHKSQATVSPRQSRGRHGRLPASGTKATNNHRNHYFDLSSKLLNFRSELSMRRTRSEGTLGPL >EOX99245 pep chromosome:Theobroma_cacao_20110822:2:9354610:9358288:1 gene:TCM_007845 transcript:EOX99245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein, putative isoform 1 MVRFSCFNAHIQKPKKTVQSSVEAMHKTLEDSSRVQAPKDFNKATVMDSFLPTAEPIAEIHESVKPIMNSSSVCHRWKSEEIKHEIDLDTDTRVHQKWHHLKKSRSLGSRLCLEGRVPGKIDTDDETDQGFSSDSHDHGLVEADGSKHTVVSQKALCSESAQVSSNHVNNELVFSMGDPQHSEKDGHDNSDLRLSGEGANGSGDRTPNNAPVIVKSCSMPNIVASVLTPGGHSPFKYLTRRPRSFEDVHVLNMGRKEITIYEVDTEVMREQGRDAITDKNQKNNFENSYYDGYDSYSYSASAKDWILPVSDEVNPVKILQEDLPVSDWNELTGKDFKIKRIEEWVNDLEHCSPLEETYELYHPNDHVKEEPVVSNGSTAAKADVKVTPGMETAKRYISSLSASAATAQLANHGLVVIPFLSAFVSLKVLNLSGNAIARITAGALPRGLHMLNLSKNNISTIEGLRELTRLRVLDLSYNRIFRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTVLDLRFNKISTAKCLGQLAANYNSLQAISLEGNPAQKNVGDEHLKKHLQGLLPHLVYFNRQAIKVSTLKDAAERSVRLGISAHQFDRGLRSEHKATRKSNHGSATHRPSSSSTHGHKSQATVSPRQSRGRHGRLPASGTKATNNHRNHYFDLSSKLLNFRSELSMRRTRSEGTLGPL >EOX99242 pep chromosome:Theobroma_cacao_20110822:2:9353753:9358227:1 gene:TCM_007845 transcript:EOX99242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein, putative isoform 1 MVRFSCFNAHIQKPKKTVQSSVEAMHKTLEDSSRVQAPKDFNKATVMDSFLPTAEPIAEIHESVKPIMNSSSVCHRWKSEEIKHEIDLDTDTRVHQKWHHLKKSRSLGSRLCLEGRVPGKIDTDDETDQGFSSDSHDHGLVEADGSKHTVVSQKALCSESAQVSSNHVNNELVFSMGDPQHSEKDGHDNSDLRLSGEGANGSGDRTPNNAPVIVKSCSMPNIVASVLTPGGHSPFKYLTRRPRSFEDVHVLNMGRKEITIYEVDTEVMREQGRDAITDKNQKNNFENSYYDGYDSYSYSASAKDWILPVSDEVNPVKILQEDLPVSDWNELTGKDFKIKRIEEWVNDLEHCSPLEETYELYHPNDHVKEEPVVSNGSTAAKADVKVTPGMETAKRYISSLSASAATAQLANHGLVVIPFLSAFVSLKVLNLSGNAIARITAGALPRGLHMLNLSKNNISTIEGLRELTRLRVLDLSYNRIFRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTVLDLRFNKISTAKCLGQLAANYNSLQAISLEGNPAQKNVGDEHLKKHLQGLLPHLVYFNRQAIKVSTLKDAAERSVRLGISAHQFDRGLRSEHKATRKSNHGSATHRPSSSSTHGHKSQATVSPRQSRGRHGRLPASGTKATNNHRNHYFDLSSKLLNFRSELSMRRTRSEGTLGPL >EOX99246 pep chromosome:Theobroma_cacao_20110822:2:9353736:9358251:1 gene:TCM_007845 transcript:EOX99246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein, putative isoform 1 MVRFSCFNAHIQKPKKTVQSSVEAMHKTLEDSSRVQAPKDFNKATVMDSFLPTAEPIAEIHESVKPIMNSSSVCHRWKSEEIKHEIDLDTDTRVHQKWHHLKKSRSLGSRLCLEGRVPGKIDTDDETDQGFSSDSHDHGLVEADGSKHTVVSQKALCSESAQVSSNHVNNELVFSMGDPQHSEKDGHDNSDLRLSGEGANGSGDRTPNNAPVIVKSCSMPNIVASVLTPGGHSPFKYLTRRPRSFEDVHVLNMGRKEITIYEVDTEVMREQGRDAITDKNQKNNFENSYYDGYDSYSYSASAKDWILPVSDEVNPVKILQEDLPVSDWNELTGKDFKIKRIEEWVNDLEHCSPLEETYELYHPNDHVKEEPVVSNGSTAAKADVKVTPGMETAKRYISSLSASAATAQLANHGLVVIPFLSAFVSLKVLNLSGNAIARITAGALPRGLHMLNLSKNNISTIEGLRELTRLRVLDLSYNRIFRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTVLDLRFNKISTAKCLGQLAANYNSLQAISLEGNPAQKNVGDEHLKKHLQGLLPHLVYFNRQAIKVSTLKDAAERSVRLGISAHQFDRGLRSEHKATRKSNHGSATHRPSSSSTHGHKSQATVSPRQSRGRHGRLPASGTKATNNHRNHYFDLSSKLLNFRSELSMRRTRSEGTLGPL >EOY02157 pep chromosome:Theobroma_cacao_20110822:2:42165955:42169636:1 gene:TCM_011878 transcript:EOY02157 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 4 MSAFLLSSPALFPRFNSNSSLLSPLRRRISQVILVKAASSVNDKNDNVDSSSSSTRLITFLGKGGSGKTTSAVFAAQMLLEPLNQLKQADARLNLTQGVLEGVVGEELGVLPGMDSMFSALALVRLLGLFGRWAQRNHQKDKFDVIIYDGISTEETLRLIGASSKARLYLKYLRSLAEKTDLGRLAGPSLLRLVDEAMGISGKPSQLNGTMSAEIWDSLERILEVGSSTFSEPHQFGCFLVMNPNIPTSISSALRYWGCTMQAGTQVSGAFAIASPDLDVESMENVKKSFFPLPLAFIPNLSMGSPQDWNAIMLSNTSEGARDLLSLPAGQKYSIVSSINFDVARKSVTLLMPGFDKSEIKLYQYRGGSELLVEAGDQRRVIFLPPEIQGKVGGAKFTDRSLVITIR >EOY02154 pep chromosome:Theobroma_cacao_20110822:2:42163789:42168641:1 gene:TCM_011878 transcript:EOY02154 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 4 MSAFLLSSPALFPRFNSNSSLLSPLRRRISQVILVKAASSVNDKNDNVDSSSSSTRLITFLGKGGSGKTTSAVFAAQHYAMSGLSTCLVLHGQDRTAEYLLNCKIESSPIVYRDNLSVVRLETTEMLLEPLNQLKQADARLNLTQGVLEGVVGEELGVLPGMDSMFSALALVRLLGLFGRWAQRNHQKDKFDVIIYDGISTEETLRLIGASSKARLYLKYLRSLAEKTDLGRLAGPSLLRLVDEAMGISGKPSQLNGTMSAEIWDSLERILEVGSSTFSEPHQFGCFLVMNPNIPTSISSALRYWGCTMQAGTQVSGAFAIASPDLDVESMENVKKSFFPLPLAFIPNLSMGSPQDWNAIMLSNTSEGARDLLSLPAGQKYSIVSSINFDVARKSVTLLMPGFDKSEIKLYQVSSVLNSPILLCSLIVLKYRGGSELLVEAGDQRRVIFLPPEIQGKVGGAKFTDRSLVITIR >EOY02155 pep chromosome:Theobroma_cacao_20110822:2:42166003:42168653:1 gene:TCM_011878 transcript:EOY02155 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 4 SAFLLSSPALFPRFNSNSSLLSPLRRRISQVILVKAASSVNDKNDNVDSSSSSTRLITFLGKGGSGKTTSAVFAAQHYAMSGLSTCLVLHGQDRTAEYLLNCKIESSPIVYRDNLSVVRLETTEMLLEPLNQLKQADARLNLTQGVLEGVVGEELGVLPGMDSMFSALALVRLLGLFGRWAQRNHQKDKFDVIIYDGISTEETLRLIGASSKARLYLKYLRSLAEKTDLGRLAGPSLLRLVDEAMGISGKPSQLNGTMSAEIWDSLERILEVGSSTFSEPHQFGCFLVMNPNIPTSISSALRYWGCTMQAGTQVSGAFAIASPDLDVESMENVKKSFFPLPLAFIPNLSMGSPQDWNAIMLSNTSEGARDLLSLPAGQKYSIVSSINFDVARKSVTLLMPGFDKSEIKLYQYRGGSELLVEAGDQRRVIFLPPEIQGKVGGAKFTDRSLVITIR >EOY02156 pep chromosome:Theobroma_cacao_20110822:2:42166003:42168607:1 gene:TCM_011878 transcript:EOY02156 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 4 MDSMFSALALVRLLGLFGRWAQRNHQKDKFDVIIYDGISTEETLRLIGASSKARLYLKYLRSLAEKTDLGRLAGPSLLRLVDEAMGISGKPSQLNGTMSAEIWDSLERILEVGSSTFSEPHQFGCFLVMNPNIPTSISSALRYWGCTMQAGTQVSGAFAIASPDLDVESMENVKKSFFPLPLAFIPNLSMGSPQDWNAIMLSNTSEGARDLLSLPAGQKYSIVSSINFDVARKSVTLLMPGFDKSEIKLYQVSSVLNSPILLCSLIVLKYRGGSELLVEAGDQRRVIFLPPEIQGKVGGAKFTDRSLVITIR >EOX97909 pep chromosome:Theobroma_cacao_20110822:2:3950931:3955065:1 gene:TCM_006820 transcript:EOX97909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 10 MGSSSSSPSLAAFAVIVLALVLSSAVLCNGGKTSVYVRNVEKTVDMPLDSDVFGLPSGYNAPQQVHITQGDHVGKAVIVSWVTDDEPGSSTVLYWSENSKQKKMAEGKVKTYKFYNYTSGYIHHCTIRNLEYNTKYYYMVGVGHTMRKFWFTTPPEVGPDVPYTFGLIGDLGQTFDSNSTLTHYEQNPRKGQTVLFVGDLSYADNYPNHDNVRWDTWARFVERSVAYQPWIWTTGNHEIDFAPEIGETKPFKPYTHRYHVPYRASKSTAPFWYSIKRASAYIIVLSSYSAYGMYTPQYQWLKGELPKVNRSETPWLIVLMHSPWYNSYNYHYMEGETMRVMYEPWFVQYKVDVVFAGHVHAYERSERVSNIAYNIVNGICTPVKNQSAPVYITIGDGGNIEGLATNMTEPQPAYSAYREASFGHAIFDIKNRTHAYYSWHRNHDGYAVEADSMWFFNRFWHPVDESATSQ >EOY00082 pep chromosome:Theobroma_cacao_20110822:2:25263211:25308955:1 gene:TCM_009549 transcript:EOY00082 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MNCVNFYNVSRRRAFRKRQRSRTRQVVIGVLRKLLVCTNGKERNRYVSLAGFRLAFWIMSVNRDVAAIVMGLREDPGRDNSIEKRARTWWNSVKSRFATPQTWSDFLREFDGQYFTYFHQKEKKREFLSLKQGNLTVEEYETRFNELMLYVPDLVKSEQDQASYFEEGLRNEIRERMTVNGREPHKEVVQMALRAEKLAIENRRIRIEFAKRRNPGMSSSQPVKRGKDSAISGSTTSVSVTSPRPPFPPSQQRPSRFSRSDMTGSGKSFGGSDRCRNCGNYHSGLCREPTRCFQCGQTGHIRSNCPRLGRATVVASSSPARTDIQRRDSSGLPPRQGVAIPSGVESNTPAHPPSRPQTRTSTRVFAVTEDEAQVRPGAVTGTMSLFDKDAYVLIDSGSDRSYVSTTFVSIVDRNLSPLEEEIVIHTPLGEKLVRNSCYRDCGVRVGEEEFRGDLIPLEILDFDLILGMDWLTAHRANVDCFRKEIVLRNSEGAEIVFVGKRRVLPSCVISAIKASKLVQKGYSTYLAYVIDTSKGEPKLEDVSIVSEFPDVFPDDLPGLPPDRELEFPIDLLPGTAPISIPPYRMAPTELKELKVQLQELVDKGFIRPSISPWGAPILFVKKKDGTLRLCIDCRQLNRMTIKNKYPLPRIDDLFDQLQGATVFSKVDLRSGYHQLRIKEQDVPKTAFRTRYGHYEFLVMPFGLTNAPAAFMDLMNRVFHPYLDKFVIVFIDDILVYSRDNDEHAAHLRIVLQTLRERQLYAKFSKCEFWLQEVVFLGHIVSRTGIYVDPKKVEAILQWEQPKTVTEIRSFLGLAGYYRRFVQGFSLVAAPLTRLTRKGVKFVWDDVCENRFQELKNRLTSAPVLTLPVNGKGFIVYSDASKLGLGCVLMQDEKVVAYASRQLKRHEANYPTHDLELAAVVFALKIWRHYLYGEHCRIFTDHKSLKYLLTQKELNLRQRRWLELIKDYDLVIDYHLGKANVVADALSRKSSSSLAALQSCYFPALIEMKSLGVQLRNGEDGSLLANFIVRPSLLNQIKDIQRSDDELRKEIQKLTDGGVSEFRFGEDNVLMFKDRVCVPEGNQLRQAIMEEAHSSAYALHPGSTKMYRTIRENYWWPGMKRDVAEFIAKCLVCQQVKAEHQRLVDTLQSLPVPEWKWEHVTMDFILGLPRTQRGKDAIWVIVDRLTKSAHFLAVHSTYSIEKLAQLYIDEIVRLHGVSVSIVSDRDPRFTSRFWPKFQEALGTKLKFSTAFHPQTDGQSERTIQTLEDIFQSSIGMAPYEALYGRKCRTPLCWDEVGERKLVSVELIELTNDKIKVIRERLKVAQDRQKSYADKRRKGLEFEIDDKVFLKVYPWKGMIRFAKRGKLNPRYIGPFRIIERIGPVAYRLELPPELDRIHNVFHVSMLKKYVPDPSHVLEAPPIELHDDLKFEVQPVSILDRKDLVLRNKSISMVKVLWKNARMEEMTWEVEHQMRNQYPHLFVESGKRRAFRKRQRSRTRQVVIGVLRKLLVCTNGSV >EOX99932 pep chromosome:Theobroma_cacao_20110822:2:18488986:18501055:1 gene:TCM_008954 transcript:EOX99932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein MAGYKPEDDYDYLFKLVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATKSLNIDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHATYENAARWLKELRDHTDPNIVVMLIGNKSDLRHLVAVSIEDGKSFAERESLYFMETSALDATNVENAFIEVLTQIYRIVSKRAVETGGDAAASSVPSRGETINVKDEGSVLKRIGCCSN >EOY00890 pep chromosome:Theobroma_cacao_20110822:2:35726643:35741989:-1 gene:TCM_010809 transcript:EOY00890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNYISCTLATPLIKSGKAARVILPGGEIRQFRESIKAAELMLECPNFFLTNSQSLHIGRRFSALSADEELEFGNVYIMFPMKRVNSMVTAADMAMLFMAANSAAKRISGGKVRILPESGNVGGQESSTECEDGGSRLSLEGVDEGFPVAEFKYRLSVCRSRKPSLETIKEEPVRSR >EOY01348 pep chromosome:Theobroma_cacao_20110822:2:39138029:39144422:1 gene:TCM_011272 transcript:EOY01348 gene_biotype:protein_coding transcript_biotype:protein_coding description:F23A5.27 isoform 2 MGLASIGTASEGMQLCIFDLRRGQHEGQELDKILFFFPADLPFSTQLSVIGLSEGLITFTRIFSPEAACEVIEAERHSHVFYEAEPDIWMVMVVEKSKELEAIWRIDALREVLKEIHSLFMMFHGSIRALLDKEPSGELTRAHLYPFIMDYLRACQKRSPLDECCWDFLVGKKLQLPSFRDCLKERRTVQMLTVGREAAIEVQTLVRVLELCAGNTPCSSLILFQDLLVSTTLSPEDTINLFTYAVLRLTPHALSSGASSWSYLRKGNSSSHVATVSTLAPSGSVSEQFYGSRDTSPAGDNRYRITRPLQHDKWFKGKDGFLSTDIWGMDAGSLNVTTPTVWLRQTEERMYLCAYQYRSLTLILLIPFSSILNGEQGVSIVKQQLLENILCPPIEPFALVVSACLDCKASLKILKVEEKLSKGWGGENAYHVSGYRYLLVDGNREISRASPPAKVTTLTKESLLALNRLREEVDSEKSRAKWDNPGHDKDLEVCIRAKNNAWAIARITGGKELYMVLEKANETLLYASDAVEKFSNRYCSGAFSLD >EOY01349 pep chromosome:Theobroma_cacao_20110822:2:39137960:39144439:1 gene:TCM_011272 transcript:EOY01349 gene_biotype:protein_coding transcript_biotype:protein_coding description:F23A5.27 isoform 2 MGLASIGTASEGMQLCIFDLRRGQHEGQELDKILFFFPADLPFSTQLSVIGLSEGLITFTRIFSPEAACEVIEAERHSHVFYEAEPDIWMVMVVEKSKELEAIWRIDALREVLKEIHSLFMMFHGSIRALLDKEPSGELTRAHLYPFIMDYLRACQKRSPLDECCWDFLVGKKLQLPSFRDCLKERRTVQMLTVGREAAIEVQTLVRVLELCAGNTPCSSLILFQDLLVSTTLSPEDTINLFTYAVLRLTPHALSSGASSWSYLRKGNSSSHVATVSTLAPSGSVSEQFYGSRDTSPAGDNRYRITRPLQHDKWFKGKDGFLSTDIWGMDAGSLNVTTPTVWLRQTEERMYLCAYQYRSLTLILLIPFSSILNGEQGVSIVKQQLLENASLKILKVEEKLSKGWGGENAYHVSGYRYLLVDGNREISRASPPAKVTTLTKESLLALNRLREEVDSEKSRAKWDNPGHDKDLEVCIRAKNNAWAIARITGGKELYMVLEKANETLLYASDAVEKFSNRYCSGAFSLD >EOY01351 pep chromosome:Theobroma_cacao_20110822:2:39137960:39144439:1 gene:TCM_011272 transcript:EOY01351 gene_biotype:protein_coding transcript_biotype:protein_coding description:F23A5.27 isoform 2 MGLASIGTASEGMQLCIFDLRRGQHEGQELDKILFFFPADLPFSTQLSVIGLSEGLITFTRIFSPEAACEVIEAERHSHVFYEAEPDIWMVMVVEKSKELEAIWRIDALREVLKEIHSLFMMFHGSIRALLDKEPSGELTRAHLYPFIMDYLRACQKRSPLDECCWDFLVGKKLQLPSFRDCLKERRTVQMLTVGREAAIEVQTLVRVLELCAGNTPCSSLILFQDLLVSTTLSPEDTINLFTYAVLRLTPHALSSGASSWSYLRKGDNRYRITRPLQHDKWFKGKDGFLSTDIWGMDAGSLNVTTPTVWLRQTEERMYLCAYQYRSLTLILLIPFSSILNGEQGVSIVKQQLLENASLKILKVEEKLSKGWGGENAYHVSGYRYLLVDGNREISRASPPAKVTTLTKESLLALNRLREEVDSEKSRAKWDNPGHDKDLEVCIRAKNNAWAIARITGGKELYMVLEKANETLLYASDAVEKFSNRYCSGAFSLD >EOY01353 pep chromosome:Theobroma_cacao_20110822:2:39137960:39144439:1 gene:TCM_011272 transcript:EOY01353 gene_biotype:protein_coding transcript_biotype:protein_coding description:F23A5.27 isoform 2 MGLASIGTASEGMQLCIFDLRRGQHEGQELDKILFFFPADLPFSTQLSVIGLSEGLITFTRIFSPEAACEVIEAERHSHVFYEAEPDIWMVMVVEKSKELEAIWRIDALREVLKEIHSLFMMFHGSIRALLDKEPSGELTRAHLYPFIMDYLRDFLVGKKLQLPSFRDCLKERRTVQMLTVGREAAIEVQTLVRVLELCAGNTPCSSLILFQDLLVSTTLSPEDTINLFTYAVLRLTPHALSSGASSWSYLRKGDNRYRITRPLQHDKWFKGKDGFLSTDIWGMDAGSLNVTTPTVWLRQTEERMYLCAYQYRSLTLILLIPFSSILNGEQGVSIVKQQLLENASLKILKVEEKLSKGWGGENAYHVSGYRYLLVDGNREISRASPPAKVTTLTKESLLALNRLREEVDSEKSRAKWDNPGHDKDLEVCIRAKNNAWAIARITGGKELYMVLEKANETLLYASDAVEKFSNRYCSGAFSLD >EOY01355 pep chromosome:Theobroma_cacao_20110822:2:39137960:39144439:1 gene:TCM_011272 transcript:EOY01355 gene_biotype:protein_coding transcript_biotype:protein_coding description:F23A5.27 isoform 2 MDKSKELEAIWRIDALREVLKEIHSLFMMFHGSIRALLDKEPSGELTRAHLYPFIMDYLRDFLVGKKLQLPSFRDCLKERRTVQMLTVGREAAIEVQTLVRVLELCAGNTPCSSLILFQDLLVSTTLSPEDTINLFTYAVLRLTPHALSSGASSWSYLRKGNSSSHVATVSTLAPSGSVSEQFYGSRDTSPAGDNRYRITRPLQHDKWFKGKDGFLSTDIWGMDAGSLNVTTPTVWLRQTEERMYLCAYQYRSLTLILLIPFSSILNGEQGVSIVKQQLLENASLKILKVEEKLSKGWGGENAYHVSGYRYLLVDGNREISRASPPAKVTTLTKESLLALNRLREEVDSEKSRAKWDNPGHDKDLEVCIRAKNNAWAIARITGGKELYMVLEKANETLLYASDAVEKFSNRYCSGAFSLD >EOY01352 pep chromosome:Theobroma_cacao_20110822:2:39137960:39144439:1 gene:TCM_011272 transcript:EOY01352 gene_biotype:protein_coding transcript_biotype:protein_coding description:F23A5.27 isoform 2 MGLASIGTASEGMQLCIFDLRRGQHEGQELDKILFFFPADLPFSTQLSVIGLSEGLITFTRIFSPEAACEVIEAERHSHVFYEAEPDIWMVMVVEKSKELEAIWRIDALREVLKEIHSLFMMFHGSIRALLDKEPSGELTRAHLYPFIMDYLRDFLVGKKLQLPSFRDCLKERRTVQMLTVGREAAIEVQTLVRVLELCAGNTPCSSLILFQDLLVSTTLSPEDTINLFTYAVLRLTPHALSSGASSWSYLRKGNSSSHVATVSTLAPSGSVSEQFYGSRDTSPAGDNRYRITRPLQHDKWFKGKDGFLSTDIWGMDAGSLNVTTPTVWLRQTEERMYLCAYQYRSLTLILLIPFSSILNGEQGVSIVKQQLLENASLKILKVEEKLSKGWGGENAYHVSGYRYLLVDGNREISRASPPAKVTTLTKESLLALNRLREEVDSEKSRAKWDNPGHDKDLEVCIRAKNNAWAIARITGGKELYMVLEKANETLLYASDAVEKFSNRYCSGAFSLD >EOY01358 pep chromosome:Theobroma_cacao_20110822:2:39138125:39142015:1 gene:TCM_011272 transcript:EOY01358 gene_biotype:protein_coding transcript_biotype:protein_coding description:F23A5.27 isoform 2 MGLASIGTASEGMQLCIFDLRRGQHEGQELDKILFFFPADLPFSTQLSVIGLSEGLITFTRIFSPEAACEVIEAERHSHVFYEAEPDIWMVMVVEKSKELEAIWRIDALREVLKEIHSLFMMFHGSIRALLDKEPSGELTRAHLYPFIMDYLRDFLVGKKLQLPSFRDCLKERRTVQMLTVGREAAIEVQTLVRVLELCAGNTPCSSLILFQDLLVSTTLSPEDTINLFTYAVLRLTPHALSSGASSWSYLRKGNSSSHVATVSTLAPSGSVSEQFYGSRDTSPAGDNRYRITRPLQHDKWFKGKDGFLSTDIWGMDAGSLNVTTPTVWLRQTEERMYLCAYQYRSLTLILLIPFSSILNGEQGVSIVKQQLLENVGSYTCYISYLPSAFPFINQFI >EOY01354 pep chromosome:Theobroma_cacao_20110822:2:39138038:39144381:1 gene:TCM_011272 transcript:EOY01354 gene_biotype:protein_coding transcript_biotype:protein_coding description:F23A5.27 isoform 2 MGLASIGTASEGMQLCIFDLRRGQHEGQELDKILFFFPADLPFSTQLSVIGLSEGLITFTRIFSPEAACEVIEAERHSHVFYEAEPDIWMVMVVEKSKELEAIWRIDALREVLKEIHSLFMMFHGSIRALLDKEPSGELTRAHLYPFIMDYLRDFLVGKKLQLPSFRDCLKERRTVQMLTVGREAAIEVQTLVRVLELCAGNTPCSSLILFQDLLVSTTLSPEDTINLFTYAVLRLTPHALSSGASSWSYLRKGNSSSHVATVSTLAPSGSVSEQFYGSRDTSPAGDNRYRITRPLQHDKWFKGKDGFLSTDIWGMDAGSLNVTTPTVWLRQTEERMYLCAYQYRSLTLILLIPFSSILNGEQGVSIVKQQLLENASLKILKVEEKLSKGWGGENAYHVSGYRYLLVDGNREISRASPPAKVTTLTKA >EOY01356 pep chromosome:Theobroma_cacao_20110822:2:39137960:39144439:1 gene:TCM_011272 transcript:EOY01356 gene_biotype:protein_coding transcript_biotype:protein_coding description:F23A5.27 isoform 2 MDKSKELEAIWRIDALREVLKEIHSLFMMFHGSIRALLDKEPSGELTRAHLYPFIMDYLRDFLVGKKLQLPSFRDCLKERRTVQMLTVGREAAIEVQTLVRVLELCAGNTPCSSLILFQDLLVSTTLSPEDTINLFTYAVLRLTPHALSSGASSWSYLRKGNSSSHVATVSTLAPSGSVSEQFYGSRDTSPAGDNRYRITRPLQHDKWFKGKDGFLSTDIWGMDAGSLNVTTPTVWLRQTEERMYLCAYQYRSLTLILLIPFSSILNGEQGVSIVKQQLLENASLKILKVEEKLSKGWGGENAYHVSGYRYLLVDGNREISRASPPAKVTTLTKESLLALNRLREEVDSEKSRAKWDNPGHDKDLEVCIRAKNNAWAIARITGGKELYMVLEKANETLLYASDAVEKFSNRYCSGAFSLD >EOY01350 pep chromosome:Theobroma_cacao_20110822:2:39137960:39144439:1 gene:TCM_011272 transcript:EOY01350 gene_biotype:protein_coding transcript_biotype:protein_coding description:F23A5.27 isoform 2 MGLASIGTASEGMQLCIFDLRRGQHEGQELDKILFFFPADLPFSTQLSVIGLSEGLITFTRIFSPEAACEVIEAERHSHVFYEAEPDIWMVMVVEKSKELEAIWRIDALREVLKEIHSLFMMFHGSIRALLDKEPSGELTRAHLYPFIMDYLRDFLVGKKLQLPSFRDCLKERRTVQMLTVGREAAIEVQTLVRVLELCAGNTPCSSLILFQDLLVSTTLSPEDTINLFTYAVLRLTPHALSSGASSWSYLRKGDNRYRITRPLQHDKWFKGKDGFLSTDIWGMDAGSLNVTTPTVWLRQTEERMYLCAYQYRSLTLILLIPFSSILNGEQGVSIVKQQLLENILCPPIEPFALVVSACLDCKASLKILKVEEKLSKGWGGENAYHVSGYRYLLVDGNREISRASPPAKVTTLTKESLLALNRLREEVDSEKSRAKWDNPGHDKDLEVCIRAKNNAWAIARITGGKELYMVLEKANETLLYASDAVEKFSNRYCSGAFSLD >EOY01357 pep chromosome:Theobroma_cacao_20110822:2:39138125:39142015:1 gene:TCM_011272 transcript:EOY01357 gene_biotype:protein_coding transcript_biotype:protein_coding description:F23A5.27 isoform 2 MGLASIGTASEGMQLCIFDLRRGQHEGQELDKILFFFPADLPFSTQLSVIGLSEGLITFTRIFSPEAACEVIEAERHSHVFYEAEPDIWMVMVVEKSKELEAIWRIDALREVLKEIHSLFMMFHGSIRALLDKEPSGELTRAHLYPFIMDYLRDFLVGKKLQLPSFRDCLKERRTVQMLTVGREAAIEVQTLVRVLELCAGNTPCSSLILFQDLLVSTTLSPEDTINLFTYAVLRLTPHALSSGASSWSYLRKGNSSSHVATVSTLAPSGSVSEQFYGSRDTSPAGDNRYRITRPLQHDKWFKGKDGFLSTDIWGMDAGSLNVTTPTVWLRQTEERMYLCAYQYRSLTLILLIPFSSILNGEQGVSIVKQQLLENILCPPIEPFALVVSACLDCKV >EOX99548 pep chromosome:Theobroma_cacao_20110822:2:12152686:12160697:1 gene:TCM_008253 transcript:EOX99548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPKVLQKVAFIRIKSWAKLDEENDMDDEEDKGVQGEDEKVEEDENNGWARSSHEQDSMALGLDDDEPVEVIESTSRPHRSGDIAVKRKILLANLPLLAKMSN >EOY00006 pep chromosome:Theobroma_cacao_20110822:2:22765292:22770250:1 gene:TCM_009324 transcript:EOY00006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEVKCHSQKSTKSKVVEICPLSILSWDSHHSSMGETKCHSQNLRKFEDFRNYLSYVHDEYEINVVILASKC >EOY01664 pep chromosome:Theobroma_cacao_20110822:2:40537084:40538515:-1 gene:TCM_011509 transcript:EOY01664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVHIRQLSLIQGQKSSLQYGIWLYCIDPMPLINMQFFGHCCSALWLLFYSYLVKLNLRGLFLIYLCGGYLFVTYYHYFFQVSKLTIDSLFCFSPLSKLLSILGLYLYST >EOX97001 pep chromosome:Theobroma_cacao_20110822:2:876739:878062:1 gene:TCM_006128 transcript:EOX97001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFWPSQAQPLRVTSLSPRIFLSGDRIQFSPLVSTPLPRGITSTLHPCGALKQRSHLTLLLPFSLPRASSFL >EOX96989 pep chromosome:Theobroma_cacao_20110822:2:837921:846257:1 gene:TCM_006113 transcript:EOX96989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESWEHKNLRNELIREMKLARKLQAYLKASKEACELQKQILSSQGKALSIINCSTSSTAAKPKYIAHSPPTRKGSCQSEDSDHDFKEQYYGRHTRTIASDVMPPRLPKILAPSDTVGDGDGYDKQDSKSSLQSSVNNPVDQTCISSTEVTSELPNSELNQNEFPNPSYTSPLTILYEYQVRKNCKVLNRKKDVLLLLSCYPMIMIDKFDSKKWITDKLLDVPLTNDIERALPGDIVENLYRPTEADLRPLLEVEQNIIAGKTSKSRGSPSHSEGAAMETENELQPMPARCKTQVEDTELSTKETLCITISGRDNQNGAKVHGVFHLQKGFWLGGCSDAVGLTPEYSDHLCSLGNLLLMEDDYDENMNLDHFTLPFLITVDMEIRMEDHLFSWKLFHRIVGEVSLSPSIQQMAACMVKECRGNLLAILLMAKDIENVVNALTFVWDHMNKKTRHCIEFYILYHKGKKINRFELIQCWVKENVVGTYDEGDRILQSLIDIFLLNNFVVLNCVELQGEIYDVLVNLVIPQSQMHPLCLMKGGLRLIKPPKEELWWDAKEIHLMDNKLSDLPESPTCPSLFKLYLQKNLDLMAIPSCFFKHMPLLEILDLSHTSIKSLPESLSSLVKLREFLLKGCELFTQLPSHVGELKNLEKLDLDGTQIIDLPAEIGHLSKLKILRVPFYGYMNCSKTMLRRDTIIPHGTISGLSELTELSIEVDPDDERWNATVVSRVPEEVEAHFDKGDKCLKFVKGKDIPAEMRMALNHNTAFFLEGHATARSLSDFGIENSRQLKFCLLTECNEVQTIIDWAEFPEEQTDALGNLQDLNIYYMKNLRSIWRGTVHKNWLASLKFLALHKCPRLSTIFTPDRIANLASSEEVIVEHRPQLTSLASLIGRASCNSAPQPNCFLPSLKRISLLYVPKLISISCGLHIASKLEKVGFYNCLKLKSLSTMEMSSENLKVIKGERHWWEALEWKNSEWGNRLDYLHSIFSPLIKEREVKAQLREERIMLHAST >EOX99440 pep chromosome:Theobroma_cacao_20110822:2:11241509:11244905:-1 gene:TCM_008122 transcript:EOX99440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIASVLKIATKVVPFKLDYLQLINICDMGFAFCLSLHFLLIKCVHQQLKETEHSSAIPFIANQSNRVDTSNGQLRTTHLEAAIQKGS >EOX99904 pep chromosome:Theobroma_cacao_20110822:2:18024118:18025034:-1 gene:TCM_008899 transcript:EOX99904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCTFVIAPVDDKFHDDINWIVRLSVWYVVMAYPTSFLLRSMGGLVLGPDKICLFDVCPVDGLVFGFNGWSRVEVDDNGDW >EOX97092 pep chromosome:Theobroma_cacao_20110822:2:1156281:1157970:1 gene:TCM_006194 transcript:EOX97092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryogenesis-associated protein MRGSIDQGPYLNEMGDGRVTAAGSEPRDIVPEEMSNEHMIHSKKEEDTISDKGTNPDLTDRLYSNKHIMRQAEHNVKDLIVPTCRSAFSLE >EOX97278 pep chromosome:Theobroma_cacao_20110822:2:1803778:1807959:-1 gene:TCM_006350 transcript:EOX97278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline iminopeptidase isoform 2 MRLAIKFVSKSNFLFTHYSLPTIPSSRPRFPRSLASISNNFTFSGKKKSSLWVQRVSYKTETQLSDLMESGKEIQELNRNLYPAVEPYSTGFLKVSDIHTIYWEQSGNPNGHPVVFLHGGPGGGTSPSNRTFFDPEFYRIILFDQRGAGKSTPHACLEENTTWDLIDDIEKLREHLEISEWQVFGGSWGSTLALAYSQSHPDKVTGLVLRGIFLLRKKEIDWFYEGGAAAIYPDAWEPFRDLIPENERESFVTAYHKRLNSNDLETQCAAARAWTKWEMMTAHLLPNDDNIKRGDDDNFSLAFARIENHYFVNKGFLPSDSFLLDNIDKIRHINTTIVQGRYDVCCPMMSAWDLHKAFPEADFKVVSDAGHSANEPGIAAELVAANEKLKNLLKN >EOX97280 pep chromosome:Theobroma_cacao_20110822:2:1803943:1807711:-1 gene:TCM_006350 transcript:EOX97280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline iminopeptidase isoform 2 MESGKEIQELNRNLYPAVEPYSTGFLKVSDIHTIYWEQSGNPNGHPVVFLHGGPGGGTSPSNRTFFDPEFYRIILFDQRGAGKSTPHACLEENTTWDLIDDIEKLREHLEISEWQVFGGSWGSTLALAYSQSHPDKVTGLVLRGIFLLRKKEIDWFYEGGAAAIYPDAWEPFRDLIPENERESFVTAYHKRLNSNDLETQCAAARAWTKWEMMTAHLLPNDDNIKRGDDDNFSLGRYDVCCPMMSAWDLHKAFPEADFKVVSDAGHSANEPGIAAELVAANEKLKNLLKN >EOX97279 pep chromosome:Theobroma_cacao_20110822:2:1803948:1807310:-1 gene:TCM_006350 transcript:EOX97279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline iminopeptidase isoform 2 MESGKEIQELNRNLYPAVEPYSTGFLKVSDIHTIYWEQSGNPNGHPVVFLHGGPGGGTSPSNRTFFDPEFYRIILFDQRGAGKSTPHACLEENTTWDLIDDIEKLREHLEISEWQVFGGSWGSTLALAYSQSHPDKVTGLVLRGIFLLRKKEIDWFYEGGAAAIYPDAWEPFRDLIPENERESFVTAYHKRLNSNDLETQCAAARAWTKWEMMTAHLLPNDDNIKRGDDDNFSLAFARIENHYFVNKGFLPSDSFLLDNIDKIRHINTTIVQGRYDVCCPMMSAWDLHKAFPEADFKVVSDAGHSANEPGIAAELVAANEKLKNLLKN >EOX99508 pep chromosome:Theobroma_cacao_20110822:2:11710812:11712943:-1 gene:TCM_008188 transcript:EOX99508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MTVELRALEDNGTWSIVPLPPNSHAIGSKWVYKVKMNANGSVERYKARLVAKGCNQREDLEETVHMQLPQGFSVKGEYPIDTQLVCKLHKSLYGLKQASRQWNSKFTTSLLQYGFKQSLLDYSLFTLNTSSAEFVAL >EOY01000 pep chromosome:Theobroma_cacao_20110822:2:36444969:36450264:1 gene:TCM_010904 transcript:EOY01000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFTMPSYHGSIDLHGCAIYGVNHFAHPICSVSRGDVRTRNVGIELGFWVSLGYLMQALALLTSYTGCHKLRSFA >EOY00162 pep chromosome:Theobroma_cacao_20110822:2:27801878:27808054:-1 gene:TCM_009817 transcript:EOY00162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQGLIKVGILNFEKKPEQNINNNPLPNHAGAGVNAIEGELYVKRNIWEVETPMQKVFEALVKADMLEVWLECPDVNDSRDIQGPYYLYHKGCVGHLIQDCSSFRKEVQRMMDESRIEFYVEVSRSAINMMAKDSTHPTKIKPLTIFYELRGESVEDKTHAKMTIEVPKPFPYKDDKAVLWNYNCNIVGKGYLAGAKLGRELQGIRRTIRVTKNEERFGLGYKPTKKEREEMIAERRREGLAHFKGHELENHGMTYPHLYISFRSGGCIFPESLTIGSRESVSTLGEAFSYLSICATEESKEQPGNVDGIPTTYLGPPKLKLSSWTTMSLLVTYDSILKIPNNECEDDNDSGFEVNFEKGTSVSEIDDTENVKDYDLTPDLLRLVEQEGRQIVPHQETLEMINLGNEENKKEVRIGTTLVPIEKEKLIKLLHEYEDVFAWSYQVMPRLNTDIVAHKLPLKPECKPIKQKLRRMKPEMLLKIKEKVKKQFDAGFLEVAKYPEWVANIVPVPKKDGKVRMCVDYRDLNRDSPKDNFPLPHINTLVDNTARHSMFSFMDGFSGYNQIKMALEDREKTTFITMWGTFCYKVMPFGLKNAGVTYQRAMVTLFHDMMHREVEVYVDDMIVKAHKTEDHATNLERLFKRLRKFQLRLNPTKCTFGVTSGKLLGFLVSERGIEVDPDKVQAICDLPPPKTSFVNTNPGAWNEECQVAFDKVKEYLLSPPVLVPPVAVRPLFLYLTVNEGSMGYVLGQHDETGRVVRWQVLLSEYDIVYVSQKAIKGSAILDFLAERVEEDYEPMEFEFPDEDLMSICQTNEEESEEKENWKMFFNGASNALGHGIGVVLVSLEGDQYPVIAKLNFYCTNNVAEYEACAMGLQAVIERKIHILEVYGDYALVIYQLRGEWETCDSKLVRYHKYVSKLIKNFNKIRFTHLPREDNQMADALTTLAAMFKVGADVKIQPVMINLRECPTHCSSVEGEVDGKPWYHDILHYLKFQ >EOX98716 pep chromosome:Theobroma_cacao_20110822:2:6826864:6829693:1 gene:TCM_007413 transcript:EOX98716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFDGKIWDPTNVLDIIKLRLACWAKSKWPDHNTSITDFICAPSSAFAPISRKQTKSKVSWECPPISWFKFNTDKAARGCPGHLGIGGVLQDETGVVKLTFSKKAGWGDANLAKVLAVREAMVLFVASSWVNSNNIIIESDSKNVVSWISNPSKALWRLRQLILQIHSLKNRVAGGWLIKHISRSGNETADSLAKSRVDRTHDLLRLYP >EOY01792 pep chromosome:Theobroma_cacao_20110822:2:40914016:40917259:1 gene:TCM_011608 transcript:EOY01792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 61 protein, putative MKKGRGSKAAAIATILFCLLLLWFHTMSLSSISRINNITATTTSSSTTTTVFPTKISQEKTRVAMQTVKSQNCQPMQRAQQVQLPLTSQISCNRTYLRYDLCSINGPTVLDPTTSTFFTMGSTSIVQVEKIKPYPRKYEDYIMAQIKELSLTSGPTSPPCKIQHDAPALVFSAGGYTGNFFHDFNDGFIPLFITASSIFPNRDFIMVVSQARDWWPSKYADLLKVFSQHPVVTLEKDSATHCFPSATLGLMSHGFMTIDHTLIPSSKTFMHFRHLLEKAYDHGRSSISSPHHRPNSLSRPRLILVSRKHNVARTIMNQEEVIQVMKEIGFDVIVFEPRRDTSLDESYALINSSHAMVGVHGAGLTHALFLRPRSMFIQVVPIGVDWAADVFFGRVARGINLEYIEYKIGVEESSLVKKYGKDNMLLKDPYALQKKGWPIEIMDIYLKEQNVKLDLLRFRKSLEGAYHLAKKFMHKEG >EOX98206 pep chromosome:Theobroma_cacao_20110822:2:4881119:4882932:-1 gene:TCM_007020 transcript:EOX98206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1645) [Source:Projected from Arabidopsis thaliana (AT2G15760) TAIR;Acc:AT2G15760] MEMEVVVPVPAVDFNFDSTCSSPYMTAPSSPQRFGNFFFSAPTSPTRASSFYRDDVSHATSSSSAVPFQWEEKPGTPKRKGFDGRVDREDKSNNDDDDGDGCEDFEFNFSGQLERTSLSAEELFDGGKIRPLKPPPGYEQFSSTVSSPRSPKSPRSTTFRKKDVDPFEAAIEKTRRRGLVLKEPPQQKQEQEQTNIQSQQRGRERISGSSSSSVSYNYVHKKSRSLSPFRVSDIMFEQEENSSQSEKTIASTTSNPKSYVSSILSAISFSKGNRKWKLKDFLLFRSASEGRATSKDPLRKYALLSKKEPEDVKAASFRSTESLGSVSSSRRRAPVSAHELHYTVNRANSEEMRRKTFLPYKQGLLGCLGFNPGMHEISRGIGSLTRG >EOX97311 pep chromosome:Theobroma_cacao_20110822:2:1957709:1960869:1 gene:TCM_006377 transcript:EOX97311 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH/CRA/RING-U-box domains-containing protein isoform 1 MELNTIKDAFDRVAKRQKLFSSKSQEVVDQVGHEIEQALAKIQASNDPLSPIDLKSILTELKLKLNTMDPLHQLEGLQKERNINLSKYPKLLEKSFNPDISKAYRNVDFDFHIVNQILASHFYRQGLFDLGDCLINEAGEPEAIAIRSHFLEMYQILEALKVKNLEPALNWITANREKLKQNGSTLELKLHRLQFLEIIQKGTKGDALSYARTYLSPFASLHMNEFQKLVVCIIWVGKLDSCPHAELMAPAHWEEFTVELTQHFCSLVGQSSPSPLSVAIAAGIEGLPTLLKLANVMAAKKQEWLAIKQLPVPVELGKEFQFHSIFVCPVSRDQCSEENPPMLMPCLHVLCKQSIMKLSKSSSRIFKCPYCPTEASAAQCRQLYF >EOX97310 pep chromosome:Theobroma_cacao_20110822:2:1957646:1960949:1 gene:TCM_006377 transcript:EOX97310 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH/CRA/RING-U-box domains-containing protein isoform 1 MELNTIKDAFDRVAKRQKLFSSKSQEVVDQVGHEIEQALAKIQASNDPLSPIDLKSILTELKLKLNTMDPLHQLEGLQKERNINLSKYPKLLEKSFNPDISKAYRNVDFDFHIVNQILASHFYRQGLFDLGDCLINEAGEPEAIAIRSHFLEMYQILEALKVKNLEPALNWITANREKLKQNGSTLELKLHRLQFLEIIQKGTKGDALSYARTYLSPFASLHMNEFQKLVVCIIWVGKLDSCPHAELMAPAHWEEFTVELTQHFCSLVGQSSPSPLSVAIAAGIEGLPTLLKLANVMAAKKQEWLAIKQLPVPVELGKEFQFHSIFVCPVSRDQCSEENPPMLMPCLHVLCKQSIMKLSKSSSRIFKCPYCPTEASAAQCRQLYF >EOX98853 pep chromosome:Theobroma_cacao_20110822:2:7505829:7512234:-1 gene:TCM_007530 transcript:EOX98853 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGD2-like defense response protein 1 isoform 2 MYKLLACCSMASGISLQPKMVQASLGVQKQGNGHCTNVPRNVNLEKLQNNYLFPEISKRELQHLDKYPSAKVISLGIGDTTEPIPEKISLSMANYAHALSTAEGYRGYGAEQGNQVLRKAIAETFYKNVLIRDQEVFVSDGSQCDISRLQLLLGSKVTIAVQDPSFPAYIDSSVIIGQAGDFQDKTGKYQNIVYMQCGPENNFFPDLTTTPRTDIIFFCSPNNPTGHAATRKQLQQLVEFARDNGSIIIFDSAYAAYITDDSPKSIFEIPGAKEVAIEISSFSKFAGFTGVRLGWTVVPEELLFSSGFPVINDFNRIVCTCFNGASNIAQAGGLACLSSEGFQRNRTTECLLENSQAICSVIDYYKENTKILVNTFASLGLKAYGGVNAPYVWVHFPGLKSWNVFSEILEKTHIITVPGSGFGPGGAEYIRVSAFGQRERIMEASWRLEKLISNEKALFNSVENKE >EOX98854 pep chromosome:Theobroma_cacao_20110822:2:7505769:7512136:-1 gene:TCM_007530 transcript:EOX98854 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGD2-like defense response protein 1 isoform 2 MYKLLACCSMASGISLQPKMVQASLGVQKQGNGHCTNVPRNVNLEKLQNNYLFPEISKRELQHLDKYPSAKVISLGIGDTTEPIPEKISLSMANYAHALSTAEGYRGYGAEQGNQVLRKAIAETFYKNVLIRDQEVFVSDGSQCDISRLQLLLGSKVTIAVQDPSFPAYIDSSVIIGQAGDFQDKTGKYQNIVYMQCGPENNFFPDLTTTPRTDIIFFCSPNNPTGHAATRKQLQQLVEFARDNGSIIIFDSAYAAYITDDSPKSIFEIPGAKEVAIEISSFSKFAGFTGVRLGWTVVPEELLFSSGFPVINDFNRIVCTCFNGASNIAQAGGLACLSSEGFQAICSVIDYYKENTKILVNTFASLGLKAYGGVNAPYVWVHFPGLKSWNVFSEILEKTHIITVPGSGFGPGGAEYIRVSAFGQRERIMEASWRLEKLISNEKALFNSVENKE >EOY01839 pep chromosome:Theobroma_cacao_20110822:2:41096252:41101425:1 gene:TCM_011642 transcript:EOY01839 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MEVRSENNVQVRCDKIPSQVIPRTRLQVWFFRVCSTILLWTCLVQLVAVGELWHPRLLTGLTNRISWITRPPLRVQQSLHSPPPLVPLRNYKSNGFLKVSCNGGLNQMRAAICDMVTVARLLNLTLVVPELDKTSFWADPSDFEDIFDVRHFIDSLRDEVRIVKRLPKRFSRKHGFEAFKMPPVSWSNEKYYFEQILPLFSKYKVVHFNRTDTRLANNGISLNLQKLRCRVNFQGLKFTPQIETLGYKLVRILQERGPFVALHLRYEMDMLAFLGCTHGCTVEEAEELKRLRYAYPWWREKEIVSEERRQQGLCPLTPEEAALILQALGFDNETQIYIASGEIYGSERRLAPLRAAYPRIVKKETLLDPVELKQFQNHSSQMAALDFMVSIASNTFIPTYDGNMAKVVEGHRRYLGFKRSILPDRKKLVELLDLHENGTLQWNEFALAVRQAHENRMGQPFRRRTIPEKPKEEDYFYANPQECLCEGKNCDDLLGPNNSSTLQ >EOY01838 pep chromosome:Theobroma_cacao_20110822:2:41096046:41100833:1 gene:TCM_011642 transcript:EOY01838 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MEVRSENNVQVRCDKIPSQVIPRTRLQVWFFRVCSTILLWTCLVQLVAVGELWHPRLLTGLTNRISWITRPPLRVQQSLHSPPPLVPLRNYKSNGFLKVSCNGGLNQMRAAICDMVTVARLLNLTLVVPELDKTSFWADPSDFEDIFDVRHFIDSLRDEVRIVKRLPKRFSRKHGFEAFKMPPVSWSNEKYYFEQILPLFSKYKVVHFNRTDTRLANNGISLNLQKLRCRVNFQGLKFTPQIETLGYKLVRILQERGPFVALHLRYEMDMLAFLGCTHGCTVEEAEELKRLRYAYPWWREKEIVSEERRQQGLCPLTPEEAALILQALGFDNETQIYIASGEIYGSERRLAPLRAAYPRIVKKETLLDPVELKQFQNHSSQMAALDFMVSIASNTFIPTYDGNMAKVVEGHRRYLGFKRSILPDRKKLVELLDLHENGTLQWNEFALAVRQAHENRMGQPFRRRTIPEKPKEEDYFYANPQECLCEGKNCDDLLGPNNSSTLQ >EOX99293 pep chromosome:Theobroma_cacao_20110822:2:9978415:9981333:1 gene:TCM_007924 transcript:EOX99293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVFEEENVVWGRRARLDNDDRSFVATKECGGCKEYGERDGDISSSKRRKGRPKGRKNFENRSKPTDGGRVLRSNSRMVEGVVKSQMEDRNDVASDTIQEEAEVVWSVKERLGID >EOX97298 pep chromosome:Theobroma_cacao_20110822:2:1880279:1884033:-1 gene:TCM_006367 transcript:EOX97298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Palmitoyltransferase TIP1, putative MLGLASRETTSERLWTVQGRGGSVFCFDEWHSRTCKYDFNPVPLQWAALNNFPDIAQYIIEHGGNVNAIDNNKQTALQWAAVRGSIAVADVLLLNGARVEATDIHGYWAVHVAARYGQTAFLNHIVAKYHADYDAPDKDGRCPLHWYWSMCSRPSELYALYTPVFRKAFVITPVQLAYVKGHQQITIFLWALSVVRVMLGVSDSMWSVTLLLSTFLILDAVVLIAATTLTTVQAAQIARNITTNELSNAICYGYLHGPDGQFMNPYNHGCQKNSTDFLIRGYRDDEVARPPLQRVAS >EOY00111 pep chromosome:Theobroma_cacao_20110822:2:25921849:25923625:1 gene:TCM_009626 transcript:EOY00111 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein, putative MAELEYQANTKTNSATARLKLFGFSVSEDDDVSDSSTTTKAPSSGSPEPGGFPAPGDRKYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQATRSAAVSFSRNPMISAFAPPPHLLASTTPVVLPAAAGPSWVYLPRGGPPPFHVSHGCVIPTGGGGGGGGVVGRGPGALPYGGGVVGDSGLVVGPQVMQSRAHHGRDVDGPSLSRFSKGDGGPSFDDALGLDLHLSLAPAGP >EOY00022 pep chromosome:Theobroma_cacao_20110822:2:23369315:23377124:1 gene:TCM_009376 transcript:EOY00022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHHKCEVPHCPDPGTLMDRLRPEIFSFVLQSRLVVFSYIPHHLSVQHFFHNREENVLQRSPPYGVYLPLLLSPYSFLVVPLHFSHSLVESVGLLSRSS >EOX99877 pep chromosome:Theobroma_cacao_20110822:2:17602274:17604034:-1 gene:TCM_008857 transcript:EOX99877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeoyl-CoA 3-O-methyltransferase MAVSSLKYKSLIVHRPILEYILEKNAYPKEHEQLKELREATAEKYGKKSIMNVPADEAQFLSMLLKVMNAKKTLEIGVFTGYSLLATALALPDDGQITAIDLDKEAYETGLPFIKKAGVEHKINFVHSDAFLVLNDLINGENEETLDFIFVDAEKKDYMKLHEQVLKLVKVGGIIAYDNTLWFGSVAYEEEKDEMPEFVWGTREYVVQFNSFLAADPRIELSLLSIGDGVTLCRRLH >EOX99029 pep chromosome:Theobroma_cacao_20110822:2:8163887:8166659:1 gene:TCM_007657 transcript:EOX99029 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RNF25 MLHECTDQRVDSIKFHKLEIGGHSLRNDFLSQLVVNSANCSSHLVPISQLVVTKSNVNWSLTLSLPTIIPTQQSNSTTPLLPYQPQALGIPKLYHHHDIKTKPVPWLSPTSSTFSPPRFSSASQYPLLFLDIQNKNKVTMPSSLVPTPSHPTQYLRSLLASSRPFLRGELESVDKNLPSLVAVLRSVGAGECWHKHGSFLDHLVDVYRILKIWKAQDPVCLCGLFHSAYSNAYVNLAIFDPSTGREVVRGHVGEAAERLIHLFCIVPRQPLIHDDLLFKYSDSELVEHLKLSEISLKNAKEKGLFNEEEVWRKKLRGLLPENGIVVKHIKTGEDVLVSRRIVAIFLLMTIADFSDQLFGFQDVLFENFDGRLEFSGNNYVGFWPGNGKPGLWLNSASRMGAIYSLIVREEEIFVERRKRTGGVGVETERDEDIELVVPPVFENCTKILGAKEQIEARDLYWEAVCDDSKGGQERAEALLLGSIEKNSFVGEPHVVLAQVYLTKGRFEEAEKEAEKGLTLMLEWSSPWDKRMSWDGWIAWARVLLMKAKEKSWPQTSWGILNLGLVK >EOY01717 pep chromosome:Theobroma_cacao_20110822:2:40715160:40716931:-1 gene:TCM_011554 transcript:EOY01717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein MKIDPAILSHTKLEAMAEGQCRLFAFLIRPPNLKEFSPLSLMASTNALQASYSLMVKPHNVTHLLHDLGGAARGIRARTRRTNCGSLGVLASQGPSGRQRAPPGVDTRIHWDNEDEGWIGGSSKSQQTEEKLGAEEQQKNLLGEKFADLLNDSSDSHYQFLGVSAEADLEEVKAAYRRLSKEYHPDTTSLPLKAASEKFMKLREVYNVLSSEESRRFYDWTLAQEAASRKAEKLRIRLEDPYQQDLRNYKPKPDMVDRLGGRNMELSDQAMTALTFDVFVIIFAICCIIYVLVFKEPYY >EOX97190 pep chromosome:Theobroma_cacao_20110822:2:1560350:1561863:-1 gene:TCM_006285 transcript:EOX97190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of unknown function (DUF716) [Source:Projected from Arabidopsis thaliana (AT1G49470) TAIR;Acc:AT1G49470] MDVSSFSSTFERYKTLHTRETEPSMGTFVGHLVPGLALTFLGLWHTINNIRNYCLKGSTKFTVRFWYPFNGPLSRFKHLELIFILSFSLLAIFMQILDYPFLRFAFELDSFEHASMFLHLAIFAGFTLSAELTQSSEILSAVPGILVASVFGQELFLLHFHSADHVGLEGHYHWLLQLIVSVSLLAALAAMLLPTSFSTVLVLSISVVFQGCWFMNMGFMLWTPEFVPRGCIMQLAESSSDSMHGAVTCESHEADMRARALANLQFSWILSGILIFTGLTCLKFSKKCTLGAQSTEYEQLQVKGSDVPITIDSFKRADP >EOY01575 pep chromosome:Theobroma_cacao_20110822:2:40126572:40129780:-1 gene:TCM_011438 transcript:EOY01575 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein MDQRTKAGYEESEFVDKMEVKQKYGNVDVNHTAIAGIKAEKMKTVAARQASPLACVKFWVVVLSTMLLILWVCAIQLATLDDKIMVPKSAFYFPYSFPSQRVYKSNGYLIISPNGGLNQKRLGICDMVVIARYLNVTLILPKFAHGSYWNDTSTFADIFDVNNFITSLRDEVRILRELPPEQKRKAESEPLYYMFPMSFASLEYYYGRVLPRIQKHEILLFAQTDARLANNGLPDELQKLRCRVNYKALKFTQPIEETGRKIVTLLRQNGPFLVLHLRYEQDVLAFTGCVEGLTKEEAAAVKEMRYSHDGWWHKPIDSKKKRERGSCPLTPEETALVFQALGIDRNTTIYIASGEIYQEEKRMADLASAYPNLVRKQTILKPEELKPFLNHADQMAALDYIVAIESDIFVPTFVGNMAKAVEGHRRYLGFKTTISLDRKLLVSLIDQYKNGTLNWDEFSLLVKKTHEGRKGKPGRRMEIPEHPRQEDYFYSNPQECLPPVAQPSTIT >EOY01289 pep chromosome:Theobroma_cacao_20110822:2:38828764:38832183:1 gene:TCM_011227 transcript:EOY01289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin 5, putative MGGKLCLRSSYCIRTHLRSFSSFLKRKGEGEEEERGGMSTVKIPPVAPSARDDAMQIYRAFKGIGCDSAAIINILAHRNAIQRSHIQQEYETMYTEELRKRLSSELNGHFKKAILLWMQEPGARDANIVKNALKGTVKDHKAVTEIICSRTSSQIGQLKRAYFTHIGTNLEDDIESEASGDHKKLLLAFISTSRYEGPEYDEIVVENDAKALHKAAKKFGLEEKTFIQIFSERSRAHLAAVSICYQKMYKKPLEKAIRDEAHKSFEYALKTILRCAESTAKFYAKALRKAMKGLGTADTALIRIVVTRAEIDMHHIKAEYRKKYGKTLNDAVHSETSGHYRTFLLSLLGANH >EOY00921 pep chromosome:Theobroma_cacao_20110822:2:35889447:35899615:-1 gene:TCM_010832 transcript:EOY00921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MANLVEAYACTPSTERGRGILISGDAKSNSILYCNGRSVFIRYLDHPLDVAVYGEHGYPVTVARYSPNGEWIASADVSGTVRIWGTHNDFVLKNEFKVLSGRIDDLQWSPDGLRIVASGEGKGKSFVRAFMWDSGSTVGDFDGHSRRVLSCHFKPTRPFRVATCGEDFLVNFYEGPPFKFKLSHREHSNFVNCIRFSPDGSKLISVSSDKKGIIYDAKTGETIGELSCEDGHTGSIYAVSWSADGKHVLTVSADKTAKIWEIFEDGSGKVKKTLECPGSGGVEHMLVGCLWQNDHLVTVSLGGTINLFSASDPDKPPLLLSGHMKNITTLTVLKSNPKMILSSSFDGSILKWIQGVGYSGKLKRKDSSQIKCLAAVKEEIITSGYDNKVWRIPLHEEECGVAEHIDVGSQPKDLCYAINSPDLALVSTDSGVVLLKGLQVLSNINLGFTVTASVISPDGSEVIVGGQDGKLHIYSVSGDSLTEKATLEKHRGAISVIRYSPDVSMFASADLNREAVVWDHVSKEVKLNNMLYHTARINCLAWSPDSTMVATGSLDTCVIIYEVGKPPSRHITIKNAHPGGVYGLVFTDDSSVVSSGEDACIRVWRVERQ >EOY00922 pep chromosome:Theobroma_cacao_20110822:2:35890666:35899416:-1 gene:TCM_010832 transcript:EOY00922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MANLVEAYACTPSTERGRGILISGDAKSNSILYCNGRSVFIRYLDHPLDVAVYGEHGYPVTVARYSPNGEWIASADVSGTVRIWGTHNDFVLKNEFKVLSGRIDDLQWSPDGLRIVASGEGKGKSFVRAFMWDSGSTVGDFDGHSRRVLSCHFKPTRPFRVATCGEDFLVNFYEGPPFKFKLSHREHSNFVNCIRFSPDGSKLISVSSDKKGIIYDAKTGETIGELSCEDGHTGSIYAVSWSADGKHVLTVSADKTAKIWEIFEDGSGKVKKTLECPGSGGVEHMLVGCLWQNDHLVTVSLGGTINLFSASDPDKPPLLLSGHMKNITTLTVLKSNPKMILSSSFDGSILKWIQGVGYSGKLKRKDSSQIKCLAAVKEEIITSGYDNKVWRIPLHEEECGVAEHIDVGSQPKDLCYAINSPDLALVSTDSGVVLLKGDSLTEKATLEKHRGAISVIRYSPDVSMFASADLNREAVVWDHVSKEVKLNNMLYHTARINCLAWSPDSTMVATGSLDTCVIIYEVGKPPSRHITIKNAHPGGVYGLVFTDDSSVVSSGEDACIRVWRVERQ >EOY00926 pep chromosome:Theobroma_cacao_20110822:2:35890666:35899443:-1 gene:TCM_010832 transcript:EOY00926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MANLVEAYACTPSTERGRGILISGDAKSNSILYCNGRSVFIRYLDHPLDVAVYGEHGYPVTVARYSPNGEWIASADVSGTVRIWGTHNDFVLKNEFKVLSGRIDDLQWSPDGLRIVASGEGKGKSFVRAFMWDSGSTVGDFDGHSRRVLSCHFKPTRPFRVATCGEDFLVNFYEGPPFKFKLSHREHSNFVNCIRFSPDGSKLISVSSDKKGIIYDAKTGETIGELSCEDGHTGSIYAVSWSADGKHVLTVSADKTAKIWEIFEDGSGKVKKTLECPGSGGVEHMLVGCLWQNDHLVTVSLGGTINLFSASDPDKPPLLLSGHMKNITTLTVLKSNPKMILSSSFDGSILKWIQGVGYSGKLKRKDSSQIKCLAAVKEEIITSGYDNKVWRIPLHEEECGVAEHIDVGSQPKDLCYAINSPDLALVSTDSGVVLLKGLQVLSNINLGFTVTASVISPDGSEVIVGGQDGKLHIYSVSGDSLTEKATLEKHRGAISVIRYSPDVSMFASADLNREAVVWDHVSKEVKLNNMLYHTARINCLAWSPDSTMVATGSLDTCVIIYEVGKPPSRHITIKNAHPGGVYGLVFTDDSSVVSSGEDACIRVWRVERQ >EOY00925 pep chromosome:Theobroma_cacao_20110822:2:35892223:35899179:-1 gene:TCM_010832 transcript:EOY00925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MANLVEAYACTPSTERGRGILISGDAKSNSILYCNGRSVFIRYLDHPLDVAVYGEHGYPVTVARYSPNGEWIASADVSGTVRIWGTHNDFVLKNEFKVLSGRIDDLQWSPDGLRIVASGEGKGKSFVRAFMWDSGSTVGDFDGHSRRVLSCHFKPTRPFRVATCGEDFLVNFYEGPPFKFKLSHREHSNFVNCIRFSPDGSKLISVSSDKKGIIYDAKTGETIGELSCEDGHTGSIYAVSWSADGKHVLTVSADKTAKIWEIFEDGSGKVKKTLECPGSGGVEHMLVGCLWQNDHLVTVSLGGTINLFSASDPDKPPLLLSGHMKNITTLTVLKSNPKMILSSSFDGSILKWIQGVGYSGKLKRKDSSQIKCLAAVKEEIITSGYDNKVWRIPLHEEECGVAEHIDVGSQPKDLCYAINSPDLALVSTDSGVVLLKGLQVLSNINLGFTVTASVISPDGSEVIVGGQDGKLHIYSVSGDSLTEKATLEKHRGAISVIRYSPDVSMFASADLNREAVVWDHVSKELCLAGEA >EOY00924 pep chromosome:Theobroma_cacao_20110822:2:35890666:35899443:-1 gene:TCM_010832 transcript:EOY00924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MANLVEAYACTPSTERGRGILISGDAKSNSILYCNGRSVFIRYLDHPLDVAVYGEHGYPVTVARYSPNGEWIASADVSGTVRIWGTHNDFVLKNEFKVLSGRIDDLQWSPDGLRIVASGEGKGKSFVRAFMWDSGSTVGDFDGHSRRVLSCHFKPTRPFRVATCGEDFLVNFYEGPPFKFKLSHREHSNFVNCIRFSPDGSKLISVSSDKKGIIYDAKTGETIGELSCEDGHTGSIYAVSWSADGKHVLTVSADKTAKIWEIFEDGSGKVKKTLECPGSGGVEHMLVGCLWQNDHLVTVSLGGTINLFSASDPDKPPLLLSGHMKNITTLTVLKSNPKMILSSSFDGSILKWIQGVGYSGKLKRKDSSQIKCLAAVKEEIITSGYDNKVWRIPLHEEECGVAEHIDVGSQPKDLCYAINSPDLALVSTDSGVVLLKGLQVLSNINLGFTVTASVISPDGSEVIVGGQDGKLHIYSVSGDSLTEKATLEKHRGAISVIRYSPDVSMFASADLNREAVVWDHVSKEVKLNNMLYHTARINCLAWSPDSTMVATGSLDTCVIIYEVGKPPSRHITIKNAHPGGVYGLVFTDDSSVVSSGEDACIRVWRVERQ >EOY00923 pep chromosome:Theobroma_cacao_20110822:2:35891079:35899411:-1 gene:TCM_010832 transcript:EOY00923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MANLVEAYACTPSTERGRGILISGDAKSNSILYCNGRSVFIRYLDHPLDVAVYGEHGYPVTVARYSPNGEWIASADVSGTVRIWGTHNDFVLKNEFKVLSGRIDDLQWSPDGLRIVASGEGKGKSFVRAFMWDSGSTVGDFDGHSRRVLSCHFKPTRPFRVATCGEDFLVNFYEGPPFKFKLSHREHSNFVNCIRFSPDGSKLISVSSDKKGIIYDAKTGETIGELSCEDGHTGSIYAVSWSADGKHVLTVSADKTAKIWEIFEDGSGKVKKTLECPGSGGVEHMLVGCLWQNDHLVTVSLGGTINLFSASDPDKPPLLLSGHMKNITTLTVLKSNPKMILSSSFDGSILKWIQGVGYSGKLKRKDSSQIKCLAAVKEEIITSGYDNKVWRIPLHEEECGVAEHIDVGSQPKDLCYAINSPDLALVSTDSGVVLLKGLQVLSNINLGFTVTASVISPDGSEVIVGGQDGKLHIYSVSGDSLTEKATLEKHRGAISVIRYSPDVSMFASADLNREAVVWDHVSKELCLAGEA >EOX99996 pep chromosome:Theobroma_cacao_20110822:2:21654054:21664260:-1 gene:TCM_009224 transcript:EOX99996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADRPRASTQRGRGRRGRVTRPVGLDTPVSRQEEGQSSGDVDRHPARGITIEDLAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNRGVEMMATRMEDIQRVVEGRPTVQESPSSQGQADHQHHEEERGHLDISLPDFLKLKPPTFSGSDASEKPQVFLDKMEKICKALGCSSVRSVELAAFQLEDVAQEWYSSLCRGRPTNATPLAWSEFSVAFLDRFLPLSVRNARAREFETLGQYSKFLDFVICQGGETSGKGKGITPEDY >EOY00294 pep chromosome:Theobroma_cacao_20110822:2:30969267:30980084:1 gene:TCM_010131 transcript:EOY00294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase complex E1 alpha subunit, ALPHA,E1 ALPHA isoform 1 MALSHLATSSSRSAALLRPLSSSIYLRRPISTDTTPITVETSVPFTSHQCEAPSRSVETNPKELLTFFRDMALMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITKKDCIITAYRDHCTFVGRGGTLLEVFSELMGRQAGCSRGKGGSMHFYKKDSNFYGGHGIVGAQVPLGCGLAFAQKYSKDENVTFALYGDGAANQGQLFEALNISALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDALAVKQACKFAKEHALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQRSVIQLKE >EOY00293 pep chromosome:Theobroma_cacao_20110822:2:30969169:30980132:1 gene:TCM_010131 transcript:EOY00293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase complex E1 alpha subunit, ALPHA,E1 ALPHA isoform 1 MALSHLATSSSRSAALLRPLSSSIYLRRPISTDTTPITVETSVPFTSHQCEAPSRSVETNPKELLTFFRDMALMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITKKDCIITAYRDHCTFVGRGGTLLEVFSELMGRQAGCSRGKGGSMHFYKKDSNFYGGHGIVGAQVPLGCGLAFAQKYSKDENVTFALYGDGAANQGQLFEALNISALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDALAVKQACKFAKEHALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERIRKLVLSHDLATEKELKDIEKEVRKEVDDAIAQAKESPMPEPSELFTNVFVKGLGVESFGADRKEVRATLP >EOX98076 pep chromosome:Theobroma_cacao_20110822:2:4478654:4482828:1 gene:TCM_006925 transcript:EOX98076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MHRRKMKLSLELACFIFLSALSFTLAASPSASTNSSAKCPMDLNYVTRIPWNSSVCRNFHPNSTSKTEIAKQNCCVSLLLVFGIGLAQHLKETSLFQLPNLPTSVSCLQDFQSKLNSLSLPGNLASLCFEPMQFVITPDLCAHIQTTQDWVAKLGESTALDQACRSDLSDLTACDTCLRAGNEVQTKLVSLDGNSNHSTDCFNFIVLYAAGIANKFGPESDGTVECAFALTLNKQSSSASERRSVLVFGLTGAGVALFVTFSLLGLYFWYEKKFTKKNNSGSDSNFYDLEEQESRPKLRPNTGSIWFKLHDLEKATDNFSQKNFIGRGGYGFVYKGVLPDGTVVAVKRIIESEFQGDEEFCNEVEIISNLKHRNLVPLRGCCMINGDENYDEGGSGRYLVYDYMPNGNLDDHLFPSKMESKPLSWPQRKNIILDVAKGLAYLHYGVKPAIYHRDIKATNILLDADMRARVADFGLAKQSKEGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALDLSSSGSPRAFLVTDWAWSLVKAGKIEEALDRSLINNGDSVSSNPKAIMERFLQVGILCAHVMVALRPTILDALKMLEGDIEVPGIPDRPMPLGHPKFYGDGNAFSISPTLSGPQLCTGDMLRSLKRDNDSSGFSMRKDFKHSILFVMLSFSAKTSIAYDIVHFAAELNCKLNSFKERHVGNWEYRTP >EOX98077 pep chromosome:Theobroma_cacao_20110822:2:4478667:4482989:1 gene:TCM_006925 transcript:EOX98077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MHRRKMKLSLELACFIFLSALSFTLAASPSASTNSSAKCPMDLNYVTRIPWNSSVCRNFHPNSTSKTEIAKQNCCVSLLLVFGIGLAQHLKETSLFQLPNLPTSVSCLQDFQSKLNSLSLPGNLASLCFEPMQFVITPDLCAHIQTTQDWVAKLGESTALDQACRSDLSDLTACDTCLRAGNEVQTKLVSLDGNSNHSTDCFNFIVLYAAGIANKFGPESDGTVECAFALTLNKQSSSASERRSVLVFGLTGAGVALFVTFSLLGLYFWYEKKFTKKNNSGSDSNFYDLEEQESRPKLRPNTGSIWFKLHDLEKATDNFSQKNFIGRGGYGFVYKGVLPDGTVVAVKRIIESEFQGDEEFCNEVEIISNLKHRNLVPLRGCCMINGDENYDEGGSGRYLVYDYMPNGNLDDHLFPSKMESKPLSWPQRKNIILDVAKGLAYLHYGVKPAIYHRDIKATNILLDADMRARVADFGLAKQSKEGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALDLSSSGSPRAFLVTDWAWSLVKAGKIEEALDRSLINNGDSVSSNPKAIMERFLQVGILCAHVMVALRPTILDALKMLEGDIEVPGIPDRPMPLGHPKFYGDGNAFSISPTLSGPQLCTGDMLRAQLQAQ >EOY00941 pep chromosome:Theobroma_cacao_20110822:2:35961845:35968131:-1 gene:TCM_010843 transcript:EOY00941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein, putative isoform 2 MGIPDSSLLDLIEKVRSWISWGASDISCFPGEEFGRLKNGVCKKMCCECDMKFADEFSHRYRCQSCGRWLCSECVERYESRVVVVVAAEQSANVKGNDFSRMMSVKSCKFCCDGVKARRESGGRKYCEKVHPSESPRESPEPPSPCSVNSESIKSDHLARYLEARDCRFSLQAVTGKSMTSFSAHPSPVSTRRSPSRSDEEDADDSGKHFLSPWAEYCHDVSDLDSSSISARHEFYSFKSVGSSPSVSPSRNNFTPYRVGHSVQRRQEGSPMAQYVGPFDQENMAVLRKPETGSEEPENTDDYSDDMSVFRNHYAKSQKPLDFENNGLIWYPPPPEDENDEAESSFFTYDDEDDDIGDSGAMFSSSSSLSSMFPAREKQNEGNKEPLRAVIRGHFRALVSQLLQGEGIKVGKEDNAGDWLDIVTAIAWQAANFVKPDTSRGGSMDPGDYVKVKCMASGTPSESTLVKGVVCTKNIKHKRMTSQYKNPRLLLLGGALEFLKVPNQLASFNTLLQQENDHLKMIIAKIEALRPNVLLVEKSVSSYAQEYLLAKEISLVLNVKRPLLERIARCTGALICPSIDNLSAKQLGHCELFRLEKVTEEHEMANQFNKKPSKTLMFFEGCPRRLGCTVLLRGRSREELKKVKHVVQYAVFAAYHLSLETSFLADEGATLPKMKVKRSIAVPEKTQTDNAISVVPSSSSPSSFNLIVNASAQDDASLSHNPGHGGLESLSEPYDQSHFFPSSGGSFLDACNDDLAHDEGLDMCSLEQFKDLKMSTMLPCDIRDFSRSELQETMSEEERHLGEIHEMAKFEKIDEDEASSEYFSATDTHQSILVSFSSRCVLKGTVCERSRLLRIKFYGSFDKPLGRYLRDDLFDQASCCRSCNEPAEGHVICYTHQQGNLTINVRRLSSLKLPGERDGKIWMWHRCLRCAHIDGVPPATHRVVMSDAAWGLSFGKFLELSFSNHATANRVATCGHSLQRDCLRFYGFGNMVAFFRYSPIDILSVHLPPSMLEFSGDAKQEWIRKDAAELMVKMEMLYADISDVLDHIEQKSNSASCQSSNASELPNHIMELRDQLRKERNDYNGLLQPVVMETSPLGLAAVDILELNRLRRSLLIGSHVWDRQLHSLDSLLKKGSAVKADVDHIKDGKPEAHEPNACRSSDSQEPPKNDIGLEQNSSLTTLESVVPEESNLALCHQKREEDVHPDESIPSPASTLSEKIDSAWTGTDLLTLKVQPPEASQGDGPQAGSIRPTSKIDNLALRKIASPMRLHSFDSVLRFQERIQKGLYPSSLHFLTLRSFHASGEYRSMVRDPVSNVMSTYSYTLPLEAQKLNLLLSSTPTLITSASHMAEGARLLLPQRGHSDIVIAVYDSDPASIIAYALSSKEYEEWVADKSHENGGGWSVSDRSKEDSVASNFSPWQSFGSLDLDYIHYRSFGSEDASSSVGALFADTKRSPHLTVSFGDDSSAAGGKVKFSVTCYFAKQFDSLRRKCCPSELDFLCSLSRCQKWSAQGGKSNVYFAKSLDERFIIKQVQKTELESFDEFAPEYFKYLTDSLSSGSPTCLAKILGIYQVCPITVLL >EOY00940 pep chromosome:Theobroma_cacao_20110822:2:35960187:35969206:-1 gene:TCM_010843 transcript:EOY00940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein, putative isoform 2 MGIPDSSLLDLIEKVRSWISWGASDISCFPGEEFGRLKNGVCKKMCCECDMKFADEFSHRYRCQSCGRWLCSECVERYESRVVVVVAAEQSANVKGNDFSRMMSVKSCKFCCDGVKARRESGGRKYCEKVHPSESPRESPEPPSPCSVNSESIKSDHLARYLEARDCRFSLQAVTGKSMTSFSAHPSPVSTRRSPSRSDEEDADDSGKHFLSPWAEYCHDVSDLDSSSISARHEFYSFKSVGSSPSVSPSRNNFTPYRVGHSVQRRQEGSPMAQYVGPFDQENMAVLRKPETGSEEPENTDDYSDDMSVFRNHYAKSQKPLDFENNGLIWYPPPPEDENDEAESSFFTYDDEDDDIGDSGAMFSSSSSLSSMFPAREKQNEGNKEPLRAVIRGHFRALVSQLLQGEGIKVGKEDNAGDWLDIVTAIAWQAANFVKPDTSRGGSMDPGDYVKVKCMASGTPSESTLVKGVVCTKNIKHKRMTSQYKNPRLLLLGGALEFLKVPNQLASFNTLLQQENDHLKMIIAKIEALRPNVLLVEKSVSSYAQEYLLAKEISLVLNVKRPLLERIARCTGALICPSIDNLSAKQLGHCELFRLEKVTEEHEMANQFNKKPSKTLMFFEGCPRRLGCTVLLRGRSREELKKVKHVVQYAVFAAYHLSLETSFLADEGATLPKMKVKRSIAVPEKTQTDNAISVVPSSSSPSSFNLIVNASAQDDASLSHNPGHGGLESLSEPYDQSHFFPSSGGSFLDACNDDLAHDEGLDMCSLEQFKDLKMSTMLPCDIRDFSRSELQETMSEEERHLGEIHEMAKFEKIDEDEASSEYFSATDTHQSILVSFSSRCVLKGTVCERSRLLRIKFYGSFDKPLGRYLRDDLFDQVTHFRFCVPSCENMGSMFELYINRFSLQASCCRSCNEPAEGHVICYTHQQGNLTINVRRLSSLKLPGERDGKIWMWHRCLRCAHIDGVPPATHRVVMSDAAWGLSFGKFLELSFSNHATANRVATCGHSLQRDCLRFYGFGNMVAFFRYSPIDILSVHLPPSMLEFSGDAKQEWIRKDAAELMVKMEMLYADISDVLDHIEQKSNSASCQSSNASELPNHIMELRDQLRKERNDYNGLLQPVVMETSPLGLAAVDILELNRLRRSLLIGSHVWDRQLHSLDSLLKKGSAVKADVDHIKDGKPEAHEPNACRSSDSQEPPKNDIGLEQNSSLTTLESVVPEESNLALCHQKREEDVHPDESIPSPASTLSEKIDSAWTGTDLLTLKVQPPEASQGDGPQAGSIRPTSKIDNLALRKIASPMRLHSFDSVLRFQERIQKGLYPSSLHFLTLRSFHASGEYRSMVRDPVSNVMSTYSYTLPLEAQKLNLLLSSTPTLITSASHMAEGARLLLPQRGHSDIVIAVYDSDPASIIAYALSSKEYEEWVADKSHENGGGWSVSDRSKEDSVASNFSPWQSFGSLDLDYIHYRSFGSEDASSSVGALFADTKRSPHLTVSFGDDSSAAGGKVKFSVTCYFAKQFDSLRRKCCPSELDFLCSLSRCQKWSAQGGKSNVYFAKSLDERFIIKQVQKTELESFDEFAPEYFKYLTDSLSSGSPTCLAKILGIYQVSVKHLKGGKETKMDFMVMENLFFRRSISRVYDLKGSARSRYNPDTTGTNKVLLDMNLLEALRTEPIFLGSKAKRSLERAIWNDTSFLASVAVMDYSLLVGVDEEREELVLGIIDYMRQYTWDKHLETWVKASGILGGPKNASPTIISPKQYKKRFRKAMTTYFLTVPDQWTS >EOY00939 pep chromosome:Theobroma_cacao_20110822:2:35960187:35969206:-1 gene:TCM_010843 transcript:EOY00939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein, putative isoform 2 MGIPDSSLLDLIEKVRSWISWGASDISCFPGEEFGRLKNGVCKKMCCECDMKFADEFSHRYRCQSCGRWLCSECVERYESRVVVVVAAEQSANVKGNDFSRMMSVKSCKFCCDGVKARRESGGRKYCEKVHPSESPRESPEPPSPCSVNSESIKSDHLARYLEARDCRFSLQAVTGKSMTSFSAHPSPVSTRRSPSRSDEEDADDSGKHFLSPWAEYCHDVSDLDSSSISARHEFYSFKSVGSSPSVSPSRNNFTPYRVGHSVQRRQEGSPMAQYVGPFDQENMAVLRKPETGSEEPENTDDYSDDMSVFRNHYAKSQKPLDFENNGLIWYPPPPEDENDEAESSFFTYDDEDDDIGDSGAMFSSSSSLSSMFPAREKQNEGNKEPLRAVIRGHFRALVSQLLQGEGIKVGKEDNAGDWLDIVTAIAWQAANFVKPDTSRGGSMDPGDYVKVKCMASGTPSESTLVKGVVCTKNIKHKRMTSQYKNPRLLLLGGALEFLKVPNQLASFNTLLQQENDHLKMIIAKIEALRPNVLLVEKSVSSYAQEYLLAKEISLVLNVKRPLLERIARCTGALICPSIDNLSAKQLGHCELFRLEKVTEEHEMANQFNKKPSKTLMFFEGCPRRLGCTVLLRGRSREELKKVKHVVQYAVFAAYHLSLETSFLADEGATLPKMKVKRSIAVPEKTQTDNAISVVPSSSSPSSFNLIVNASAQDDASLSHNPGHGGLESLSEPYDQSHFFPSSGGSFLDACNDDLAHDEGLDMCSLEQFKDLKMSTMLPCDIRDFSRSELQETMSEEERHLGEIHEMAKFEKIDEDEASSEYFSATDTHQSILVSFSSRCVLKGTVCERSRLLRIKFYGSFDKPLGRYLRDDLFDQASCCRSCNEPAEGHVICYTHQQGNLTINVRRLSSLKLPGERDGKIWMWHRCLRCAHIDGVPPATHRVVMSDAAWGLSFGKFLELSFSNHATANRVATCGHSLQRDCLRFYGFGNMVAFFRYSPIDILSVHLPPSMLEFSGDAKQEWIRKDAAELMVKMEMLYADISDVLDHIEQKSNSASCQSSNASELPNHIMELRDQLRKERNDYNGLLQPVVMETSPLGLAAVDILELNRLRRSLLIGSHVWDRQLHSLDSLLKKGSAVKADVDHIKDGKPEAHEPNACRSSDSQEPPKNDIGLEQNSSLTTLESVVPEESNLALCHQKREEDVHPDESIPSPASTLSEKIDSAWTGTDLLTLKVQPPEASQGDGPQAGSIRPTSKIDNLALRKIASPMRLHSFDSVLRFQERIQKGLYPSSLHFLTLRSFHASGEYRSMVRDPVSNVMSTYSYTLPLEAQKLNLLLSSTPTLITSASHMAEGARLLLPQRGHSDIVIAVYDSDPASIIAYALSSKEYEEWVADKSHENGGGWSVSDRSKEDSVASNFSPWQSFGSLDLDYIHYRSFGSEDASSSVGALFADTKRSPHLTVSFGDDSSAAGGKVKFSVTCYFAKQFDSLRRKCCPSELDFLCSLSRCQKWSAQGGKSNVYFAKSLDERFIIKQVQKTELESFDEFAPEYFKYLTDSLSSGSPTCLAKILGLCKTPERWQRNKNGFYGDGEPIFQKKYLKGI >EOY00938 pep chromosome:Theobroma_cacao_20110822:2:35961015:35968131:-1 gene:TCM_010843 transcript:EOY00938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein, putative isoform 2 MGIPDSSLLDLIEKVRSWISWGASDISCFPGEEFGRLKNGVCKKMCCECDMKFADEFSHRYRCQSCGRWLCSECVERYESRVVVVVAAEQSANVKGNDFSRMMSVKSCKFCCDGVKARRESGGRKYCEKVHPSESPRESPEPPSPCSVNSESIKSDHLARYLEARDCRFSLQAVTGKSMTSFSAHPSPVSTRRSPSRSDEEDADDSGKHFLSPWAEYCHDVSDLDSSSISARHEFYSFKSVGSSPSVSPSRNNFTPYRVGHSVQRRQEGSPMAQYVGPFDQENMAVLRKPETGSEEPENTDDYSDDMSVFRNHYAKSQKPLDFENNGLIWYPPPPEDENDEAESSFFTYDDEDDDIGDSGAMFSSSSSLSSMFPAREKQNEGNKEPLRAVIRGHFRALVSQLLQGEGIKVGKEDNAGDWLDIVTAIAWQAANFVKPDTSRGGSMDPGDYVKVKCMASGTPSESTLVKGVVCTKNIKHKRMTSQYKNPRLLLLGGALEFLKVPNQLASFNTLLQQENDHLKMIIAKIEALRPNVLLVEKSVSSYAQEYLLAKEISLVLNVKRPLLERIARCTGALICPSIDNLSAKQLGHCELFRLEKVTEEHEMANQFNKKPSKTLMFFEGCPRRLGCTVLLRGRSREELKKVKHVVQYAVFAAYHLSLETSFLADEGATLPKMKVKRSIAVPEKTQTDNAISVVPSSSSPSSFNLIVNASAQDDASLSHNPGHGGLESLSEPYDQSHFFPSSGGSFLDACNDDLAHDEGLDMCSLEQFKDLKMSTMLPCDIRDFSRSELQETMSEEERHLGEIHEMAKFEKIDEDEASSEYFSATDTHQSILVSFSSRCVLKGTVCERSRLLRIKFYGSFDKPLGRYLRDDLFDQASCCRSCNEPAEGHVICYTHQQGNLTINVRRLSSLKLPGERDGKIWMWHRCLRCAHIDGVPPATHRVVMSDAAWGLSFGKFLELSFSNHATANRVATCGHSLQRDCLRFYGFGNMVAFFRYSPIDILSVHLPPSMLEFSGDAKQEWIRKDAAELMVKMEMLYADISDVLDHIEQKSNSASCQSSNASELPNHIMELRDQLRKERNDYNGLLQPVVMETSPLGLAAVDILELNRLRRSLLIGSHVWDRQLHSLDSLLKKGSAVKADVDHIKDGKPEAHEPNACRSSDSQEPPKNDIGLEQNSSLTTLESVVPEESNLALCHQKREEDVHPDESIPSPASTLSEKIDSAWTGTDLLTLKVQPPEASQGDGPQAGSIRPTSKIDNLALRKIASPMRLHSFDSVLRFQERIQKGLYPSSLHFLTLRSFHASGEYRSMVRDPVSNVMSTYSYTLPLEAQKLNLLLSSTPTLITSASHMAEGARLLLPQRGHSDIVIAVYDSDPASIIAYALSSKEYEEWVADKSHENGGGWSVSDRSKEDSVASNFSPWQSFGSLDLDYIHYRSFGSEDASSSVGALFADTKRSPHLTVSFGDDSSAAGGKVKFSVTCYFAKQFDSLRRKCCPSELDFLCSLSRCQKWSAQGGKSNVYFAKSLDERFIIKQVQKTELESFDEFAPEYFKYLTDSLSSGSPTCLAKILGIYQVSVKHLKGGKETKMDFMVMENLFFRRSISRVYDLKGSARSRYNPDTTGTNKVLLDMNLLEALRTEPIFLGSKAKRSLERAIWNDTSFLASVAVMDYSLLVGVDEEREELVLGIIDYMRQYTWDKHLETWVKASGILGGPKNASPTIISPKQYKKRFRKAMTTYFLTVPDQWTS >EOY01332 pep chromosome:Theobroma_cacao_20110822:2:39092776:39096698:1 gene:TCM_011263 transcript:EOY01332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MILLASKRSLYPSALLRNSLEGSACSHPIYGQQDYSWCNFSKSWSEIEKKALLKFKEDLTDPSDRLSSWIGEDCCKWSGVGCNKKTGRVTKLDLRNPYDQIFFQDFSPLPTFDSRLGGKLNPSLLELKYLDYLDLSLNNFENNSIPKLIGSLKKLTYLNLSLSSFVGLIPPHLGNLSNLRFLDLQASSGFDYYPPNIWVSDLNWISKISSLKYLNLGYVNLSLASTSWLQAVNKLPSLEELHFPSCDLKTFPLSLPVVNFSSILVVNLEQNNFGSSMPRWLFNMSTLVSLNLGYNGISDSLNDIDWRHLCNLRALDLSVNKINGELNRFVDSLSKCGNIALETLYLYSNNVGGQLPESLRHLKHLRSLLVSNNSFSGSLPASIGNLSCLEELDVSTNNLNGFVPESIGQLSKLVRLFLGSNYWGGVLSETHFLRLKALKYFTISSENQSLEFDVRPKKWVPPFSLKAISISKCRIGPEFPAWLQTQKELESLILAAAAISDEIPDWFWQLSPQLGYLDLYNNKLRGKLPRSLKFAPDAYRVVVDLSSNQFEGLLPLCSNVNILSLRKNLFSGPIPKDIGLQLQMLESLDLSENSLNGSIPSSISRLDNLQIISLSNNQLTGKIPQWESSEFLWAIDLSNNNLSGSIPSSICSLPWLYTLKLSGNNLSGELSSLQNCQNLSELSLANNQFSGDIPRWMGEVLPFLSILSLRGNMFSGKIPENLCDLVDLHILDLGRNNLSGQIPPCLGNLRGLRNLASYTPFKPGNRPVYLDEMEFYVKGRILKFTLILDLVNIIDLSRNNLQGEIPKGIASLSTLGTLNLSRNQLTGKIPENIGSLQQLETLDLSCNHLSGPIPASMSSMTSLNHLNLSYNDLSGQIPSVNQFQTLNDPSIYEGNGKLCGPPLRTNCSTPTSDNHPTKDQDDDTPAMLWFYIAMAVGFIVGFWAVCGTLIIKKSWRHAYFRFVDEMIDRIYVSYAVNVAPLLKKLRGKRN >EOY00466 pep chromosome:Theobroma_cacao_20110822:2:32774804:32782978:1 gene:TCM_010343 transcript:EOY00466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESSWPSSSYDGIYQVTQHMASTQQSEGDYLSKDHFSSLPDRVHLDLKQNDFTDLLNIWDKWGATTRANFDRKYGHIARLLKVQVDEQLLKAIMQFWDPSYRCFVFNKVDMVPTIEEYSALLQIDLDNPDKIY >EOX96977 pep chromosome:Theobroma_cacao_20110822:2:783099:790359:-1 gene:TCM_006098 transcript:EOX96977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding,DNA binding, putative isoform 3 MGRKNSRRKKEDIAEDYCFFCKDGGLLRVCDYRKCLKSFHPQCVGRDDSLLQTEERWFCGWHFCFICSKPAKFHCFSCPSAVCGRCLCDAEFALVKGKRGFCNTCLELVLLIEDKKDANSNGLKVDFNDRETYEFFFKGYWKLVKEKEGLTSEQVHSSYQLLKDGKNYDFQANNYGEEEASDFKDDGQLTVSEDDDLSDNQVQRRKRKGVKLSLTKRKGKPNKKEFLGWASKQLTEFLTSAGKSVMQELSQHDVATIVTEYCREHKLFDPEKKKKVVCDERLQSLLGRKSVNKNGIYKLLAVHFAENWEQSEDSVGFSSKEDDDNILVPCKRQRKSSPDQKFEEKEIALNPRQGYWAAIVSANIKLVYLKRSLVQELSKQLDTFYGKMMGSFVRVKSDPNDYLQKNSHMLVQVKGIKETSKEGMNSIILLQVSNMVNDVPVCKLSDDDFTEEECEDLCQRMRSRRLERPTVVELEQKARSLHKDITKHWIMRELMPAEQSRLIHEIPEVVADVAEPEPASENSPREDKEEHKTLSESTLRSVSRIQTSILENNGVSCCRNDGMDDAEAKQQPTKAFISDDHLHHSKFIATRVEGDKTERNQHFQQVKQSCPGTSVPQLLPKQFSDGQTNTVYQEKQHSDAAGEKVNQPANIGEVEKQVEVIMLSDDEKDAKVAVSQQPFEDRDWYCISPTGNIKGPYSMTVLKQWSESSCCQLQFKVLKSGQRPEEAVLLTDAIPRIFNS >EOX96979 pep chromosome:Theobroma_cacao_20110822:2:783099:790363:-1 gene:TCM_006098 transcript:EOX96979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding,DNA binding, putative isoform 3 MGRKNSRRKKEDIAEDYCFFCKDGGLLRVCDYRKCLKSFHPQCVGRDDSLLQTEERWFCGWHFCFICSKPAKFHCFSCPSAVCGRCLCDAEFALVKGKRGFCNTCLELVLLIEDKKDANSNGLKVDFNDRETYEFFFKGYWKLVKEKEGLTSEQVHSSYQLLKDGKNYDFQANNYGEEEASDFKDDGQLTVSEDDDLSDNQVQRRKRKGVKLSLTKRKGKPNKKEFLGWASKQLTEFLTSAGKSVMQELSQHDVATIVTEYCREHKLFDPEKKKKVVCDERLQSLLGRKSVNKNGIYKLLAVHFAENWEQSEDSVGFSSKEDDDNILVPCKRQRKSSPDQKFEEKEIALNPRQGYWAAIVSANIKLVYLKRSLVQELSKQLDTFYGKMMGSFVRVKSDPNDYLQKNSHMLVQVKGIKETSKEGMNSIILLQVSNMVNDVPVCKLSDDDFTEEECEDLCQRMRSRRLERPTVVELEQKARSLHKDITKHWIMRELVLLQNQINRANEKGWRRELSEYTDRMLLLQMPAEQSRLIHEIPEVVADVAEPEPASENSPREDKEEHKTLSESTLRSVSRIQTSILENNGVSCCRNDGMDDAEAKQQPTKAFISDDHLHHSKFIATRVEGDKTERNQHFQQVKQSCPGTSVPQLLPKQFSDGQTNTVYQEKQHSDAAGEKVNQPANIGEVEKQVEVIMLSDDEKDAKVAVSQQPFEDRDWYCISPTGNIKGPYSMTVLKQWSESSCCQLQFKVLKSGQRPEEAVLLTDAIPRIFNS >EOX96978 pep chromosome:Theobroma_cacao_20110822:2:783926:789900:-1 gene:TCM_006098 transcript:EOX96978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding,DNA binding, putative isoform 3 MGRKNSRRKKEDIAEDYCFFCKDGGLLRVCDYRKCLKSFHPQCVGRDDSLLQTEERWFCGWHFCFICSKPAKFHCFSCPSAVCGRCLCDAEFALVKGKRGFCNTCLELVLLIEDKKDANSNGLKVDFNDRETYEFFFKGYWKLVKEKEGLTSEQVHSSYQLLKDGKNYDFQANNYGEEEASDFKDDGQLTVSEDDDLSDNQVQRRKRKGVKLSLTKRKGKPNKKEFLGWASKQLTEFLTSAGKSVMQELSQHDVATIVTEYCREHKLFDPEKKKKVVCDERLQSLLGRKSVNKNGIYKLLAVHFAENWEQSEDSVGFSSKEDDDNILVPCKRQRKSSPDQKFEEKEIALNPRQGYWAAIVSANIKLVYLKRSLVQELSKQLDTFYGKMMGSFVRVKSDPNDYLQKNSHMLVQVKGIKETSKEGMNSIILLQVSNMVNDVPVCKLSDDDFTEEECEDLCQRMRSRRLERPTVVELEQKARSLHKDITKHWIMRELVLLQNQINRANEKGWRRELSEYTDRMLLLQMPAEQSRLIHEIPEVVADVAEPEPASENSPREDKEEHKTLSESTLRSVSRIQTSILENNGVSCCRNDGMDDAAAGATNEKLLVYLFQKQSSNPRKHLFLMIIFITLNLLLLELKVIKQKGINIFNK >EOY02040 pep chromosome:Theobroma_cacao_20110822:2:41769547:41772202:-1 gene:TCM_046729 transcript:EOY02040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase 46 isoform 2 MWKRMRFLLAFRVISCFFLFFFFCSASAELIKALPGQPANVTFKQYSGYIVTEPKHGRALFYYFVEVDSANPGLHPLTLWLNGGPGCSSLGFGAFSEHGPFQPGENGHLIKNEYSWNLESNMLYLESPIGVGFSYSNTSSDYLGVNDTITAGENLIFILNWFKKFPQYRDSDLYLSGESYAGHYIPQLAALILSYNKRFSGRPIKLKAIALGNPLLDLEISVNNAEFLWSHGVISDEMLMLRKTVCSESRSLKESLHRNLSKQCIDVLNKEQEEIGSYTDPGDLILPICLSSTVLGQTVYQGALNRLHAKLAMTSAVASDPCLGDRIYQYLNTPKVQEALHANTTHLPSDWEFCGGHLVYQRESLEINIIPLLSKLLRRSIPILLFNGDQDSKIPLTQTRIIANMLAEELKLVPFGSYAPWYDKTQVGGWTQSFGQARKGKNVTYLTFATVRGAAHEVPYTSPSQALTLFRAFLRGSPLPRIPSEKKT >EOY02041 pep chromosome:Theobroma_cacao_20110822:2:41769456:41772380:-1 gene:TCM_046729 transcript:EOY02041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase 46 isoform 2 MWKRMRFLLAFRVISCFFLFFFFCSASAELIKALPGQPANVTFKQYSGYIVTEPKHGRALFYYFVEVDSANPGLHPLTLWLNGGPGCSSLGFGAFSEHGPFQPGENGHLIKNEYSWNLESNMLYLESPIGVGFSYSNTSSDYLGVNDTITAGENLIFILNWFKKFPQYRDSDLYLSGESYAGHYIPQLAALILSYNKRFSGRPIKLKAIALGNPLLDLEISVNNAEFLWSHGVISDEMLMLRKTVCSESRSLKESLHRNLSKQCIDVLNKEQEEIGSYTDPGDLILPICLSSTVLGQTVYQGALNRLHAKLAMTSAVASDPCLGDRIYQYLNTPKVQEALHANTTHLPSDWEFCGGHLVYQRESLEINIIPLLSKLLRRSIPILLFKRIEACAIWELCSLV >EOX98247 pep chromosome:Theobroma_cacao_20110822:2:5047766:5051233:1 gene:TCM_007054 transcript:EOX98247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVISVKVKDLLGRLTLQEKVRLLEKVRLLVNNTALVPRLGIKGSTARDQRVRMVVISVRVKDLLGKLTLQKKVRLLANNAAPVPRLGIKGYEWWRVLIFPNSSMRENSYFS >EOY01855 pep chromosome:Theobroma_cacao_20110822:2:41165077:41177601:-1 gene:TCM_011660 transcript:EOY01855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 16, putative MGRSPSFKKEGLKRGPWTAEEDKILTAYIQEHGHGSWRALPEKAGLQRCGKSCRLRWINYLRPDIKRGEFSSQEEQIIIQLHALLGNRWSAMAAHLPKRTDNEIKNHWNTHLKKRLIKMGIDPMTHKPRADASIFPSGPGKSASNLTHMAQWESARLEAEARLVRESKQVVPNPIQRKTQFPPTSGQLINNIAAATSGRPRCLDVLKAWQGVVAGMFAFSSQDLGSPTSTLSFPNGTVRAPVNGLAGYTDGEMGPHKSSSTGLRGTASTELDGQDSLKCVDHMSNQMEELEEKILDSFVELQHVTDSAGDAWFEDSFRIVNENVPLADIMEDLSGALIFNSGVLNSSIGDQKSIESCNDNMVENSNCWNSVLNFVNSSPYGSPVF >EOX97569 pep chromosome:Theobroma_cacao_20110822:2:2701686:2708543:-1 gene:TCM_006554 transcript:EOX97569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative isoform 1 MEKKLTASSHLFVTVFLSGFATFIVIPAITDVTMFALCPGTDECSLAIYLSGLQQAMIGLGTALMMPLIGNLSDQYGRKALLTLPMTLSIIPLAILACSRTTNYYYAYYALRTLTAMICEGSINCLALAYLADNISDSQRASAFGILSGVSSGAFVCGTLAARFLSTGSTFQVATFVSMLAVVYMRIFLEESIPDQVDGMTQPILKEGEDVIQKDGNAPRKMPVYKKIPSVGDIISLLKSSPSFSQAAVVAFFNSLAEGGMISSLMYYLKARFHFNKNQFADLMLIAGIISTISQLFLMPLLVSPLGDGKLLSIGLLVSCANAILYSIAWSDWVPYAATALSIMMVFAPPSLRSIASKQAGAREQQGKAQGCISGISSLANIIAPLVFSPLTALFLSEDAPFHFPGFSIICIAITLMIAFIQSLMIGSAPSTSSDKNSSNCMEV >EOX97568 pep chromosome:Theobroma_cacao_20110822:2:2701524:2708693:-1 gene:TCM_006554 transcript:EOX97568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative isoform 1 MEKKLTASSHLFVTVFLSGFATFIVIPAITDVTMFALCPGTDECSLAIYLSGLQQAMIGLGTALMMPLIGNLSDQYGRKALLTLPMTLSIIPLAILACSRTTNYYYAYYALRTLTAMICEGSINCLALAYLADNISDSQRASAFGILSGVSSGAFVCGTLAARFLSTGSTFQVATFVSMLAVVYMRIFLEESIPDQVDGMTQPILKEGEDVIQKDGNAPRKMPVYKKIPSVGDIISLLKSSPSFSQAAVVAFFNSLAEGGMISSLMYYLKARFHFNKNQFADLMLIAGIISTISQLFLMPLLVSPLGDGKLLSIGLLVSCANAILYSIAWSDWVPYAATALSIMMVFAPPSLRSIASKQAGAREQGKAQGCISGISSLANIIAPLVFSPLTALFLSEDAPFHFPGFSIICIAITLMIAFIQSLMIGSAPSTSSDKNSSNCMEV >EOX98084 pep chromosome:Theobroma_cacao_20110822:2:4512060:4515451:1 gene:TCM_006929 transcript:EOX98084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPSCTTSSVNGFYNLLTRGLEELDCSFLSCNFMSIQFLQKVLSSLRSFHSQLTVLVQKLHLPVGEKWLDEYMDESSRLWEACLVLKSGISGMESYYSSGGNIASSLDHHPHFNPQFSRQVIRAIIGCQREIVGLEEENRNLMVTRARQVALRFDENVPIESKLNGFNGFRGVLYAMRNVSSLLLMILLSGLVYYCPELSFVNREHEGNVIFGSAFMVSIARLHQRVSNEMEQIGGQPGIMLYEFREARIAVEEVREELERIVKYGSEVEIEDKINNLKSCFGLLRCGVETIIGQIDDFFDEIVEGRKKLLDMCTHSQVFAAATVWELREEGNVFMRKTQKNGNGLREGEYDSCFEEKQHYYLKIRKNKKNI >EOY01691 pep chromosome:Theobroma_cacao_20110822:2:40623850:40630757:-1 gene:TCM_011530 transcript:EOY01691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatases superfamily protein isoform 3 MRELRLIFLPSLLILATLEEAASHGDQPLSKIAVQKATFSLNHQAYIKVSPTVLGLKGQNADWVTVEFSSPNPSVDDWIGVFSPSNFSASSCPAPADNPWVSPPLLCSAPIKYQYANYSTPKYKDTGKGSLKLQLINQRSDFSLVLFSGGLLNPKVVAVSAKVAFSNPNAPVYPRLAQGKVWNEMTVTWTSGYGIGEAVPFVEWSWKGGLPIHSPAGTLTFDSNSMCGEPAMTVGWRDPGFIHTSFLKELWPNTLYTYRLGHVLSDGTYVWSQQYSFKAPPYPGQNSLQRVVIFGDMGKDEADGSNEYNDFQHGALNTTKQLIRDLNNIDIVFHIGDICYANGYLSQWDQFTAQVEPIASTVPYMIASGNHERDWPGSGSFYGTKDSGGECGVLAETMFYIPAENRAKFWYSTDYGMFRFCVADTEQDWREGTEQYQFIEHCLASVDRQKQPWLIFLAHRVLGYSSATFYADTGSFGEPMGRESLQKLWQKHKVDIAIYGHAHHYERTCPIYQNICTNKEKNHYRGTLSGTIHVVAGGGGAGLAEFTTLNTKWSFFKDLDYGFVKLTAFDQSNLLFEYKKSSDGKVYDSFTISRNYRDILACTVDSCPSMTLAS >EOY01693 pep chromosome:Theobroma_cacao_20110822:2:40625635:40630551:-1 gene:TCM_011530 transcript:EOY01693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatases superfamily protein isoform 3 MRELRLIFLPSLLILATLEEAASHGDQPLSKIAVQKATFSLNHQAYIKVSPTVLGLKGQNADWVTVEFSSPNPSVDDWIGVFSPSNFSASSCPAPADNPWVSPPLLCSAPIKYQYANYSTPKYKDTGKGSLKLQLINQRSDFSLVLFSGGLLNPKVVAVSAKVAFSNPNAPVYPRLAQGKVWNEMTVTWTSGYGIGEAVPFVEWSWKGGLPIHSPAGTLTFDSNSMCGEPAMTVGWRDPGFIHTSFLKELWPNTLYTYRLGHVLSDGTYVWSQQYSFKAPPYPGQNSLQRVVIFGDMGKDEADGSNEYNDFQHGALNTTKQLIRDLNNIDIVFHIGDICYANGYLSQWDQFTAQVEPIASTVPYMIASGNHERDWPGSGSFYGTKDSGGECGVLAETMFYIPAENRAKF >EOY01694 pep chromosome:Theobroma_cacao_20110822:2:40624068:40630381:-1 gene:TCM_011530 transcript:EOY01694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatases superfamily protein isoform 3 MRELRLIFLPSLLILATLEEAASHGDQPLSKIAVQKATFSLNHQAYIKVSPTVLGLKGQNADWVTVEFSSPNPSVDDWIGVFSPSNFSSASSCPAPADNPWVSPPLLCSAPIKYQYANYSTPKYKDTGKGSLKLQLINQRSDFSLVLFSGGLLNPKVVAVSAKVAFSNPNAPVYPRLAQGKVWNEMTVTWTSGYGIGEAVPFVEWSWKGGLPIHSPAGTLTFDSNSMCGGEPAMTVGWRDPGFIHTSFLKELWPNTLYTYRLGHVLSDGTYVWSQQYSFKAPPYPGQNSLQRVVIFGDMGKDEADGSNEYNDFQHGALNTTKQLIRDLNNIDIVFHIGDICYANGYLSQWDQFTAQVEPIASTVPYMIASGNHERDWPGSGSFYGTKDSGGECGVLAETMFYIPAENRAKFWYSTDYGMFRFCVADTEQDWREGTEQYQFIEHCLASVDRQKQPWLIFLAHRVLGYSSATFYADTGSFGEPMGRESLQKLWQKHKVDIAIYGHAHHYERTCPIYQNICTNKEKNHYRGTLSGTIHVVAGGGGAGLAEFTTLNTKWSFFKDLDYGFVKLTAFDQSNLLFEYKKSSDGKVYDSFTISRNYRDILACTVDSCPSMTLAS >EOY01692 pep chromosome:Theobroma_cacao_20110822:2:40624082:40630204:-1 gene:TCM_011530 transcript:EOY01692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatases superfamily protein isoform 3 MIFSGMRELRLIFLPSLLILATLEEAASHGDQPLSKIAVQKATFSLNHQAYIKVSPTVLGLKGQNADWVTVEFSSPNPSVDDWIGVFSPSNFSASSCPAPADNPWVSPPLLCSAPIKYQYANYSTPKYKDTGKGSLKLQLINQRSDFSLVLFSGGLLNPKVVAVSAKVAFSNPNAPVYPRLAQGKVWNEMTVTWTSGYGIGEAVPFVEWSWKGGLPIHSPAGTLTFDSNSMCGEPAMTVGWRDPGFIHTSFLKELWPNTLYTYRLGHVLSDGTYVWSQQYSFKAPPYPGQNSLQRVVIFGDMGKDEADGSNEYNDFQHGALNTTKQLIRDLNNIDIVFHIGDICYANGYLSQWDQFTAQVEPIASTVPYMIASGNHERDWPGSGSFYGTKDSGGECGVLAETMFYIPAENRAKFWYSTDYGMFRFCVADTEQDWREGTEQYQFIEHCLASVDRQKQPWLIFLAHRVLGYSSATFYADTGSFGEPMGRESLQKLWQKHKVDIAIYGHAHHYERTCPIYQNICTNKEKNHYRGTLSGTIHVVAGGGGAGLAEFTTLNTKWSFFKDLDYGFVKLTAFDQSNLLFEYKKSSDGKVYDSFTISRNYRDILACTVDSCPSMTLAS >EOX98058 pep chromosome:Theobroma_cacao_20110822:2:4401418:4407650:1 gene:TCM_006909 transcript:EOX98058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 2 MEISSLLGTGFHLQILTFPSPSSFPRIPSLSPPTPRASISNLNSATSTTATPVKEPNPTRPHSKSYLQRKSALLEVQQSSDLNSALQNFGGILKPQDLNVIIRHFGKLGKWHHLSELFAWMQQHGKTNGSSYSSYIKIMGKKLSPIKALEIYNSIPDESTRINVFICNSLLSSLVRNGKFESGIKLFDKMKQDGLTPDSVTYNTLLAGCIKIKHGHSKALELIKELKYNGLKMDSVMYGTLLAVCASSGLHEEAQNYFNQMREEGHSPNLYHYSSLLNAYSYDGNYCKADELVEQMKSSGLVPNKVILTTLLKVYVRGGLFEKSTKLLAELEALGYAEDEMPFCLLMDGLSKAGRLDEARSVFVEMQQKCVKSDGYSHSIMISALCRAGLFEEAKELAQDFEAQYNKYDLVMLNTMLCAYCRAGEMESVMQTMKKMDELAISPDYNTFHILIKYFCKEKLYLLAYKTMEDMHGKGYHPEEELCSSLIFQLGKMKAHLEAFSVYNMLRYSKRTMCKALHEKILHILIAGQLLKDAYVVVKDNAELISQPAITKFATAFMKLGNINMINDVLKVLHGSGYKIDQGLFQMAISRYLGQPEKKELLLQLLQWMPGHGYVVDSSTRNMILKNSQLLGRQLTAEILSKQHMMSKVSRLLIENETVKKMMYVGDVDESDEARWSIDPSAAAHSTMGEALYVASKPELVYHRGRNGRSGTENATI >EOX98059 pep chromosome:Theobroma_cacao_20110822:2:4402385:4406593:1 gene:TCM_006909 transcript:EOX98059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 2 MEISSLLGTGFHLQILTFPSPSSFPRIPSLSPPTPRASISNLNSATSTTATPVKEPNPTRPHSKSYLQRKSALLEVQQSSDLNSALQNFGGILKPQDLNVIIRHFGKLGKWHHLSELFAWMQQHGKTNGSSYSSYIKIMGKKLSPIKALEIYNSIPDESTRINVFICNSLLSSLVRNGKFESGIKLFDKMKQDGLTPDSVTYNTLLAGCIKIKHGHSKALELIKELKYNGLKMDSVMYGTLLAVCASSGLHEEAQNYFNQMREEGHSPNLYHYSSLLNAYSYDGNYCKADELVEQMKSSGLVPNKVILTTLLKVYVRGGLFEKSTKLLAELEALGYAEDEMPFCLLMDGLSKAGRLDEARSVFVEMQQKCVKSDGYSHSIMISALCRAGLFEEAKELAQDFEAQYNKYDLVMLNTMLCAYCRAGEMESVMQTMKKMDELAISPDYNTFHILIKYFCKEKLYLLAYKTMEDMHGKGYHPEEELCSSLIFQLGKMKAHLEAFSVYNMLRYSKRTMCKALHEKILHILIAGQLLKDAYVVVKDNAELISQPAITKFATAFMKLGNINMINDVLKVLHGSGYKIDQMAISRYLGQPEKKELLLQLLQWMPGHGYVVDSSTRNMILKNSQLLGRQLTAEILSKQHMMSKVSRST >EOX99637 pep chromosome:Theobroma_cacao_20110822:2:12893602:12895798:-1 gene:TCM_008358 transcript:EOX99637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVGRFFLARPGDVHQQESDSIALLSGPPSCGKTSLLFQFAINSALECSSSNRKVVFICNRRRLENKPPYLYQGIDPSSDVFQRIKMKYADDDDGIKKYFAAFHLHDTFPAAVIVDDFGDFFQERSCQERYGNPRGRDLAMVRTLALCQNAITHACKKGPCKLLLSDTHHGDSPRLLFIYKRWIPAIFTVKGDGTGSFLLKYNKYSGNGSSKSERIAKYSIAFQYLFLEEITEDGDDEQ >EOX99638 pep chromosome:Theobroma_cacao_20110822:2:12893600:12895540:-1 gene:TCM_008358 transcript:EOX99638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIIIFCVCSYFMGNVKFCSGKTSLLFQFAINSALECSSSNRKVVFICNRRRLENKPPYLYQGIDPSSDVFQRIKMKYADDDDGIKKYFAAFHLHDTFPAAVIVDDFGDFFQERSCQERYGNPRGRDLAMVRTLALCQNAITHACKKGPCKLLLSDTHHGDSPRLLFIYKRWIPAIFTVKGSCFKSLNLCL >EOY01328 pep chromosome:Theobroma_cacao_20110822:2:39060647:39088390:1 gene:TCM_011260 transcript:EOY01328 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 2 isoform 6 MSLPPIECMYITEEILREGKSGNSNFSFSSSVPMLRFLYELCWTMVRGELPFQKCKAVLDAVEFTERVSEDELGSCFADIVTQMAQDLTMAGEYRTRLIKLAKWLVESSVVPLRLFHERSEEEFLWEAEMIKIKAPDLKVKEVRVNTRLLYQQTKFNLLREESEGYAKLITLLFRGSEDSTQNASTARIGVIKSLIGHFDLDPNRVFDIVLECYELQPDKDAFLQLIPIFPKSHASQILGFKFQYYQRMEVNTPTPFGLYKLTALLVKEEFIDLDSIYTHLLPKDDETFEQFNSFSTKRLDEANKIGKINLAATGKDLMEDEKQGDVTIDLFAALDMETEAVAERTPELENNQTLGLLTGFLSVDDWYHARILFDRLSPLNPVAHVQICKGLFRLIEKSISLAYDIVRQTHLQNFGSPSGPGVDNMDTSTSASSSFIDLPKELFQMLATVGPHLYSDTLLLQKVCRVLRGYYLSALELVASAGGVSNAETAAGGYQNPRLHLKEARSRVEETLGACLLPSLQLVPANPAVGQEIWEVMNLLPYEVRYRLYGEWEKDDERNPTILAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIHQMANVQFTENLTEEQLDAMAGSETLRFQATSFGVTRNNKALIKSTNRLRDSLLPKDEPKLAIPLLLLLAQHRSLVVINADAPYIKMVSEQFDRCHGTLLQYVEFLCSAVTPAAAYAQLIPSLDDLVHVYHLDPEVAFLIYRPVMRLFKCQGSSDVFWPLDDNETGNITMAYSESESKDDLSRVILDLGPPRKPIVWSELLDTVKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNRYESEIAKQHAALKALEELPDNSSSAINKRKKDKERIQEALDRLTSELHKHEENVASVRRRLTYEKDKWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKADESIYEHECGNMPGFAVYYRYPNSQRVTYGQFIKVHWKWSQRITRLLIQCLESTEYMEIRNALIMLTKISSVFPVTRKSGINLEKRVAKIKSDEREDLKVLATGVAAALAARKSSWVTDEEFGMGYLELKPATSLASKSLAGNTVSVQNGSSINVSQSEAAGARAVALGTQQSDVNLVKDQIPRTKSDGRLERAENASLGKSDLKTKGGTSANGSDAVLSVVLATSQAGTGKSLENQKQLDESSNKLDEHLAKVPAKNSAELESKASAKRSAPAGSLTKTQKQDPGKDDGKSGKAVGRTSVTCVIDRDVPSHTEGRQGKDDGSELPDASRPSSRIVHSPRHDSSATVSKSSDKLQKRTTPVEETDRLTKRRKGDVELKDLDGEVRLSDRERSTDPQLADFDKPGTDELTSHRAVDKPLDRSKDKGSERHDRDYRERLERPEKSRADDILTEKSRDRSIERYGRERSVERSTDRNLERLGDKAKDERSKDERSKVRYADTSTEKSHVDDRFHGQSLPPPPPLPPHMVPQSVNATGRRDDDPDRRFGSTRHSQRLSPRHEDKERRRSEENSLVSQDDGKRRREDDFRERKREEREGLSMKVEERDRDRERDREKASLLKEDVDANVAKRRKLKREHLPSEPGEYSPIAPPPPPLAIGMSQSYDGRDRDRKGSMMQRGGYLEEPGMRIHGKEAASKMARRDTDPMYDREWDDEKRQRPEPKRRHRK >EOY01326 pep chromosome:Theobroma_cacao_20110822:2:39060636:39088421:1 gene:TCM_011260 transcript:EOY01326 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 2 isoform 6 MSLPPIECMYITEEILREGKSGNSNFSFSSSVPMLRFLYELCWTMVRGELPFQKCKAVLDAVEFTERVSEDELGSCFADIVTQMAQDLTMAGEYRTRLIKLAKWLVESSVVPLRLFHERSEEEFLWEAEMIKIKAPDLKVKEVRVNTRLLYQQTKFNLLREESEGYAKLITLLFRGSEDSTQNASTARIGVIKSLIGHFDLDPNRVFDIVLECYELQPDKDAFLQLIPIFPKSHASQILGFKFQYYQRMEVNTPTPFGLYKLTALLVKEEFIDLDSIYTHLLPKDDETFEQFNSFSTKRLDEANKIGKINLAATGKDLMEDEKQGDVTIDLFAALDMETEAVAERTPELENNQTLGLLTGFLSVDDWYHARILFDRLSPLNPVAHVQICKGLFRLIEKSISLAYDIVRQTHLQNFGSPSGPGVDNMDTSTSASSSFIDLPKELFQMLATVGPHLYSDTLLLQKVCRVLRGYYLSALELVASAGGVSNAETAAGGYQNPRLHLKEARSRVEETLGACLLPSLQLVPANPAVGQEIWEVMNLLPYEVRYRLYGEWEKDDERNPTILAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIHQMANVQFTENLTEEQLDAMAGSETLRFQATSFGVTRNNKALIKSTNRLRDSLLPKDEPKLAIPLLLLLAQHRSLVVINADAPYIKMVSEQFDRCHGTLLQYVEFLCSAVTPAAAYAQLIPSLDDLVHVYHLDPEVAFLIYRPVMRLFKCQGSSDVFWPLDDNETGNITMAYSESESKDDLSRVILDLGPPRKPIVWSELLDTVKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNRYESEIAKQHAALKALEELPDNSSSAINKRKKDKERIQEALDRLTSELHKHEENVASVRRRLTYEKDKWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKADESIYEHECGNMPGFAVYYRYPNSQRVTYGQFIKVHWKWSQRITRLLIQCLESTEYMEIRNALIMLTKISSVFPVTRKSGINLEKRVAKIKSDEREDLKVLATGVAAALAARKSSWVTDEEFGMGYLELKPATSLASKSLAGNTVSVQNGSSINVSQSEAAGARAVALGTQQSDVNLVKDQIPRTKSDGRLERAENASLGKSDLKTKGGTSANGSDAVLSVVLATSQAGTGKSLENQKQLDESSNKLDEHLAKVPAKNSAELESKASAKRSAPAGSLTKTQKQDPGKDDGKSGKAVGRTSVTCVIDRDVPSHTEGRQGGTTNVPSAVTSNGKDDGSELPDASRPSSRIVHSPRHDSSATVSKSSDKLQKRTTPVEETDRLTKRRKGDVELKDLDGEVRLSDRERSTDPQLADFDKPGTDELTSHRAVDKPLDRSKDKGSERHDRDYRERLERPEKSRADDILTEKSRDRSIERYGRERSVERSTDRNLERLGDKAKDERSKDERSKVRYADTSTEKSHVDDRFHGQSLPPPPPLPPHMVPQSVNATGRRDDDPDRRFGSTRHSQRLSPRHEDKERRRSEENSLVSQDDGKRRREDDFRERKREEREGLSMKVEERDRDRERDREKASLLKEDVDANVAKRRKLKREHLPSEPGEYSPIAPPPPPLAIGMSQSYDGRDRDRKGSMMQRGGYLEEPGMRIHGKEAASKMARRDTDPMYDREWDDEKRQRPEPKRRHRK >EOY01325 pep chromosome:Theobroma_cacao_20110822:2:39060630:39088469:1 gene:TCM_011260 transcript:EOY01325 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 2 isoform 6 MSLPPIECMYITEEILREGKSGNSNFSFSSSVPMLRFLYELCWTMVRGELPFQKCKAVLDAVEFTERVSEDELGSCFADIVTQMAQDLTMAGEYRTRLIKLAKWLVESSVVPLRLFHERSEEEFLWEAEMIKIKAPDLKVKEVRVNTRLLYQQTKFNLLREESEGYAKLITLLFRGSEDSTQNASTARIGVIKSLIGHFDLDPNRVFDIVLECYELQPDKDAFLQLIPIFPKSHASQILGFKFQYYQRMEVNTPTPFGLYKLTALLVKEEFIDLDSIYTHLLPKDDETFEQFNSFSTKRLDEANKIGKINLAATGKDLMEDEKQGDVTIDLFAALDMETEAVAERTPELENNQTLGLLTGFLSVDDWYHARILFDRLSPLNPVAHVQICKGLFRLIEKSISLAYDIVRQTHLQNFGSPSGPGVDNMDTSTSASSSFIDLPKELFQMLATVGPHLYSDTLLLQKVCRVLRGYYLSALELVASAGGVSNAETAAGGYQNPRLHLKEARSRVEETLGACLLPSLQLVPANPAVGQEIWEVMNLLPYEVRYRLYGEWEKDDERNPTILAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIHQMANVQFTENLTEEQLDAMAGSETLRFQATSFGVTRNNKALIKSTNRLRDSLLPKDEPKLAIPLLLLLAQHRSLVVINADAPYIKMVSEQFDRCHGTLLQYVEFLCSAVTPAAAYAQLIPSLDDLVHVYHLDPEVAFLIYRPVMRLFKCQGSSDVFWPLDDNETGNITMAYSESESKDDLSRVILDLGPPRKPIVWSELLDTVKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNRYESEIAKQHAALKALEELPDNSSSAINKRKKDKERIQEALDRLTSELHKHEENVASVRRRLTYEKDKWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKADESIYEHECGNMPGFAVYYRYPNSQRVTYGQFIKVHWKWSQRITRLLIQCLESTEYMEIRNALIMLTKISSVFPVTRKSGINLEKRVAKIKSDEREDLKVLATGVAAALAARKSSWVTDEEFGMGYLELKPATSLASKSLAGNTVSVQNGSSINVSQSEAAGARAVALGTQQSDVNLVKDQIPRTKSDGRLERAENASLGKSDLKTKGGTSANGSDAVLSVVLATSQAGTGKSLENQKQLDESSNKLDEHLAKVPAKNSAELESKASAKRSAPAGSLTKTQKQDPGKDDGKSGKAVGRTSVTCVIDRDVPSHTEGRQGGTTNVPSAVTSNGNAVSAPPKGKDDGSELPDASRPSSRIVHSPRHDSSATVSKSSDKLQKRTTPVEETDRLTKRRKGDVELKDLDGEVRLSDRERSTDPQLADFDKPGTDELTSHRAVDKPLDRSKDKGSERHDRDYRERLERPEKSRADDILTEKSRDRSIERYGRERSVERSTDRNLERLGDKAKDERSKDERSKVRYADTSTEKSHVDDRFHGQSLPPPPPLPPHMVPQSVNATGRRDDDPDRRFGSTRHSQRLSPRHEDKERRRSEENSLVSQDDGKRRREDDFRERKREEREGLSMKVEERDRDRERDREKASLLKEDVDANVAKRRKLKREHLPSEPGEYSPIAPPPPPLAIGMSQSYDGRDRDRKGSMMQRGGYLEEPGMRIHGKEAASKMARRDTDPMYDREWDDEKRQRPEPKRRHRK >EOY01327 pep chromosome:Theobroma_cacao_20110822:2:39060647:39088390:1 gene:TCM_011260 transcript:EOY01327 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 2 isoform 6 MSLPPIECMYITEEILREGKSGNSNFSFSSSVPMLRFLYELCWTMVRGELPFQKCKAVLDAVEFTERVSEDELGSCFADIVTQMAQDLTMAGEYRTRLIKLAKWLVESSVVPLRLFHERSEEEFLWEAEMIKIKAPDLKVKEVRVNTRLLYQQTKFNLLREESEGYAKLITLLFRGSEDSTQNASTARIGVIKSLIGHFDLDPNRVFDIVLECYELQPDKDAFLQLIPIFPKSHASQILGFKFQYYQRMEVNTPTPFGLYKLTALLVKEEFIDLDSIYTHLLPKDDETFEQFNSFSTKRLDEANKIGKINLAATGKDLMEDEKQGDVTIDLFAALDMETEAVAERTPELENNQTLGLLTGFLSVDDWYHARILFDRLSPLNPVAHVQICKGLFRLIEKSISLAYDIVRQTHLQNFGSPSGPGVDNMDTSTSASSSFIDLPKELFQMLATVGPHLYSDTLLLQKVCRVLRGYYLSALELVASAGGVSNAETAAGGYQNPRLHLKEARSRVEETLGACLLPSLQLVPANPAVGQEIWEVMNLLPYEVRYRLYGEWEKDDERNPTILAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIHQMANVQFTENLTEEQLDAMAGSETLRFQATSFGVTRNNKALIKSTNRLRDSLLPKDEPKLAIPLLLLLAQHRSLVVINADAPYIKMVSEQFDRCHGTLLQYVEFLCSAVTPAAAYAQLIPSLDDLVHVYHLDPEVAFLIYRPVMRLFKCQGSSDVFWPLDDNETGNITMAYSESESKDDLSRVILDLGPPRKPIVWSELLDTVKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNRYESEIAKQHAALKALEELPDNSSSAINKRKKDKERIQEALDRLTSELHKHEENVASVRRRLTYEKDKWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKADESIYEHECGNMPGFAVYYRYPNSQRVTYGQFIKVHWKWSQRITRLLIQCLESTEYMEIRNALIMLTKISSVFPVTRKSGINLEKRVAKIKSDEREDLKVLATGVAAALAARKSSWVTDEEFGMGYLELKPATSLASKSLAATSQAGTGKSLENQKQLDESSNKLDEHLAKVPAKNSAELESKASAKRSAPAGSLTKTQKQDPGKDDGKSGKAVGRTSVTCVIDRDVPSHTEGRQGGTTNVPSAVTSNGKDDGSELPDASRPSSRIVHSPRHDSSATVSKSSDKLQKRTTPVEETDRLTKRRKGDVELKDLDGEVRLSDRERSTDPQLADFDKPGTDELTSHRAVDKPLDRSKDKGSERHDRDYRERLERPEKSRADDILTEKSRDRSIERYGRERSVERSTDRNLERLGDKAKDERSKDERSKVRYADTSTEKSHVDDRFHGQSLPPPPPLPPHMVPQSVNATGRRDDDPDRRFGSTRHSQRLSPRHEDKERRRSEENSLVSQDDGKRRREDDFRERKREEREGLSMKVEERDRDRERDREKASLLKEDVDANVAKRRKLKREHLPSEPGEYSPIAPPPPPLAIGMSQSYDGRDRDRKGSMMQRGGYLEEPGMRIHGKEAASKMARRDTDPMYDREWDDEKRQRPEPKRRHRK >EOY01329 pep chromosome:Theobroma_cacao_20110822:2:39060647:39087921:1 gene:TCM_011260 transcript:EOY01329 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 2 isoform 6 MSLPPIECMYITEEILREGKSGNSNFSFSSSVPMLRFLYELCWTMVRGELPFQKCKAVLDAVEFTERVSEDELGSCFADIVTQMAQDLTMAGEYRTRLIKLAKWLVESSVVPLRLFHERSEEEFLWEAEMIKIKAPDLKVKEVRVNTRLLYQQTKFNLLREESEGYAKLITLLFRGSEDSTQNASTARIGVIKSLIGHFDLDPNRVFDIVLECYELQPDKDAFLQLIPIFPKSHASQILGFKFQYYQRMEVNTPTPFGLYKLTALLVKEEFIDLDSIYTHLLPKDDETFEQFNSFSTKRLDEANKIGKINLAATGKDLMEDEKQGDVTIDLFAALDMETEAVAERTPELENNQTLGLLTGFLSVDDWYHARILFDRLSPLNPVAHVQICKGLFRLIEKSISLAYDIVRQTHLQNFGSPSGPGVDNMDTSTSASSSFIDLPKELFQMLATVGPHLYSDTLLLQKVCRVLRGYYLSALELVASAGGVSNAETAAGGYQNPRLHLKEARSRVEETLGACLLPSLQLVPANPAVGQEIWEVMNLLPYEVRYRLYGEWEKDDERNPTILAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIHQMANVQFTENLTEEQLDAMAGSETLRFQATSFGVTRNNKALIKSTNRLRDSLLPKDEPKLAIPLLLLLAQHRSLVVINADAPYIKMVSEQFDRCHGTLLQYVEFLCSAVTPAAAYAQLIPSLDDLVHVYHLDPEVAFLIYRPVMRLFKCQGSSDVFWPLDDNETGNITMAYSESESKDDLSRVILDLGPPRKPIVWSELLDTVKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNRYESEIAKQHAALKALEELPDNSSSAINKRKKDKERIQEALDRLTSELHKHEENVASVRRRLTYEKDKWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKADESIYEHECGNMPGFAVYYRYPNSQRVTYGQFIKVHWKWSQRITRLLIQCLESTEYMEIRNALIMLTKISSVFPVTRKSGINLEKRVAKIKSDEREDLKVLATGVAAALAARKSSWVTDEEFGMGYLELKPATSLASKSLAGNTVSVQNGSSINVSQSEAAGARAVALGTQQSDVNLVKDQIPRTKSDGRLERAENASLGKSDLKTKGGTSANGSDAVLSVVLATSQAGTGKSLENQKQLDESSNKLDEHLAKVPAKNSAELESKASAKRSAPAGSLTKTQKQDPGKDDGKSGKAVGRTSVTCVIDRDVPSHTEGRQGGTTNVPSAVTSNGKDDGSELPDASRPSSRIVHSPRHDSSATVSKSSDKLQKRTTPVEETDRLTKRRKGDVELKDLDGEVRLSDRERSTDPQLADFDKPGTDELTSHRAVDKPLDRSKDKGSERHDRDYRERLERPEKSRADDILTEKSRDRSIERYGRERSVERSTDRNLERLGDKAKDERSKDERSKVRYADTSTEKSHVDDRFHGQSLPPPPPLPPHMVPQSVNATGRRDDDPDRRFGSTRHSQRLSPRHEDKERRRSEENSLVSQDDGKRRREDDFRERKREEREGLSMKVEERDRDRERDREKASLLKEDVDANVAKRRKLKREHLPSEPGEYSPIAPPPPPLAIGMSQSYDGRDRDRKGSMMQRGGYLEEPGMRIHGKEAASKMARRDTDPYP >EOY01330 pep chromosome:Theobroma_cacao_20110822:2:39060647:39085117:1 gene:TCM_011260 transcript:EOY01330 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 2 isoform 6 MSLPPIECMYITEEILREGKSGNSNFSFSSSVPMLRFLYELCWTMVRGELPFQKCKAVLDAVEFTERVSEDELGSCFADIVTQMAQDLTMAGEYRTRLIKLAKWLVESSVVPLRLFHERSEEEFLWEAEMIKIKAPDLKVKEVRVNTRLLYQQTKFNLLREESEGYAKLITLLFRGSEDSTQNASTARIGVIKSLIGHFDLDPNRVFDIVLECYELQPDKDAFLQLIPIFPKSHASQILGFKFQYYQRMEVNTPTPFGLYKLTALLVKEEFIDLDSIYTHLLPKDDETFEQFNSFSTKRLDEANKIGKINLAATGKDLMEDEKQGDVTIDLFAALDMETEAVAERTPELENNQTLGLLTGFLSVDDWYHARILFDRLSPLNPVAHVQICKGLFRLIEKSISLAYDIVRQTHLQNFGSPSGPGVDNMDTSTSASSSFIDLPKELFQMLATVGPHLYSDTLLLQKVCRVLRGYYLSALELVASAGGVSNAETAAGGYQNPRLHLKEARSRVEETLGACLLPSLQLVPANPAVGQEIWEVMNLLPYEVRYRLYGEWEKDDERNPTILAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIHQMANVQFTENLTEEQLDAMAGSETLRFQATSFGVTRNNKALIKSTNRLRDSLLPKDEPKLAIPLLLLLAQHRSLVVINADAPYIKMVSEQFDRCHGTLLQYVEFLCSAVTPAAAYAQLIPSLDDLVHVYHLDPEVAFLIYRPVMRLFKCQGSSDVFWPLDDNETGNITMAYSESESKDDLSRVILDLGPPRKPIVWSELLDTVKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNRYESEIAKQHAALKALEELPDNSSSAINKRKKDKERIQEALDRLTSELHKHEENVASVRRRLTYEKDKWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKADESIYEHECGNMPGFAVYYRYPNSQRVTYGQFIKVHWKWSQRITRLLIQCLESTEYMEIRNALIMLTKISSVFPVTRKSGINLEKRVAKIKSDEREDLKVLATGVAAALAARKATSQAGTGKSLENQKQLDESSNKLDEHLAKVPAKNSAELESKASAKRSAPAGSLTKTQKQDPGKDDGKSGKAVGRTSVTCVIDRDVPSHTEGRQGGTTNVPSAVTSNGNAVSAPPKGKDDGSEL >EOX97179 pep chromosome:Theobroma_cacao_20110822:2:1514656:1515195:-1 gene:TCM_006272 transcript:EOX97179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIVSKMIDEGDMKQLTITKNFDGEPFPSAAHGGKMRVIDEQRTLWRFTYKVKSTNKRVLSGPWEQFVQNNSVRVGDTVAIDNNDRWSSGAAEYKIEVISRGS >EOY01945 pep chromosome:Theobroma_cacao_20110822:2:41439224:41441582:-1 gene:TCM_011723 transcript:EOY01945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingoid base hydroxylase 2 MGFTVSDELLGTFVPIVVYWIYSGIYMCLGSFEDYRLHSKKDEDEKNLVSKQTVVKGVLLQQTVQAVVAILLFTVTGSDAGASAGQPSSLIVLARQFVTAMLVMDTWQYFLHRYFHHNKFLYRHLHSQHHRLVVPYAFGALYNHPLEGLLLDTVGGALSFLLSGMSPRTSIFFFSFATIKTVDDHCGLLLPGNLFHIFFRNNSAYHDVHHQLYGSKYNFSQPFFIMWDRILGTYMPYSLEKRADGGFEARPTKEYKDD >EOY00677 pep chromosome:Theobroma_cacao_20110822:2:34449163:34453767:-1 gene:TCM_010603 transcript:EOY00677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase phosphatase 1 isoform 1 MLGQEEKDRHAGAAATARKPYLRSVSWTDRSPTKPNPKPPQNTKGRSCLPPLSITRRPVEEWPKAGSDDLGVWPNPQTPRGSVKPPESPGSNMEFQLRRDKLAFYDKECSRIADHIFLGSDAVAKNREILRKNGITHVLNCVGFVSPEYFKNDLVYKTLWLQDSPSEDITSILYDVFDYFEDVREQGGRVLVHCCQGVSRSTSLVIAYLMWREGQSFEDAFQYVKAARGVTNPNTGFAFQLLQCQKRVHAVPASPNSVLRVYRMAPHSSYDPLHLVPKMLNHPGKQGLDSRGAFIVHVPSAIYIWIGKKCNQVMSSCAGLAANQVVRYERAQGPIVSIREGEEPLEFWDALATGQLSADGCDRAEARNVDNLTSNNDKMASSNKIWVGEKKVDDYDLDFELFHKALAGGVVPPFSVSTAESETCLPSRENGWGRLRQKFANGVMKEFVNSSKLGCKLTPVSDRSDMVIDIHRDSEDNVSLSSPSSPSIFPCGSPDSFDCFPDSSPIRSPGPCEEVEPSVTLFDSPLPPRSHCSSPNSFSSFVASSPKFSSKSPTLSPSTSDYASSFTFSPSSSNWSDLSYLSSRQPSPSGLEATDLFSVKNVSSPDNSCLPCKETFPSPTQTFSSDLTSRAANTCLPCKGTSPSIAERRGSHPPPRMLLPSVDEAGPRNLVRSWSFSLPDLDDDVMNQLDCNQYEPEDNREELMLDSEAVAASLESHSGTEDKRGYGECHPQFGGIFEKGSGVTTSALYHWPTLNKVEMNGSHILESGATYMLLAPDASLGTSDSGVLYVWLGREVVCEKGQSQFVGCEETYKDSHPHWESVGRDFLNKMDLPLNASIQKKGYGKWEGRG >EOY00678 pep chromosome:Theobroma_cacao_20110822:2:34448633:34453056:-1 gene:TCM_010603 transcript:EOY00678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase phosphatase 1 isoform 1 MLGQEEKDRHAGAAATARKPYLRSVSWTDRSPTKPNPKPPQNTKGRSCLPPLSITRRPVEEWPKAGSDDLGVWPNPQTPRGSVKPPESPGSNMEFQLRRDKLAFYDKECSRIADHIFLGSDAVAKNREILRKNGITHVLNCVGFVSPEYFKNDLVYKTLWLQDSPSEDITSILYDVFDYFEDVREQGGRVLVHCCQGVSRSTSLVIAYLMWREGQSFEDAFQYVKAARGVTNPNTGFAFQLLQCQKRVHAVPASPNSVLRVYRMAPHSSYDPLHLVPKMLNHPGKQGLDSRGAFIVHVPSAIYIWIGKKCNQVMSSCAGLAANQVVRYERAQGPIVSIREGEEPLEFWDALATGQLSADGCDRAEARNVDNLTSNNDKMASSNKIWVGEKKVDDYDLDFELFHKALAGGVVPPFSVSTAESETCLPSRENGWGRLRQKFANGVMKEFVNSSKLGCKLTPVSDRSDMVIDIHRDSEDNVSLSSPSSPSIFPCGSPDSFDCFPDSSPIRSPGPCEEVEPSVTLFDSPLPPRSHCSSPNSFSSFVASSPKFSSKSPTLSPSTSDYASSFTFSPSSSNWSDLSYLSSRQPSPSGLEATDLFSVKNVSSPDNSCLPCKETFPSPTQTFSSDLTSRAANTCLPCKGTSPSIAERRGSHPPPRMLLPSVDEAGPRNLVRSWSFSLPDLDDDVMNQLDCNQYEPEDNREELMLDSEAVAASLESHSGTEDKRGYGECHPQFGGIFEKGSGVTTSALYHWPTLNKVEMNGSHILESGATYMLLAPDASLGTSDSGVLYVWLGREVVCEKGQSQFVGCEETYKDSHPHWESVGRDFLNKMDLPLNASIQIVTEGKEPEQFLNLFNCFIVPEG >EOX98550 pep chromosome:Theobroma_cacao_20110822:2:6142072:6145276:-1 gene:TCM_007278 transcript:EOX98550 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MASSEAEEKPPEVKPFQVERQRGEQAAKQKIVKRSWKESKKMWAIAGPAVLVSVSQFSIGFVTVAFAGHLGELELAAVTVTTNVIEGFVFGIMLGMGSALETLCGQAVGAGQHNMLGIYLQRSWIISGVTALCLMPCYILASPILKLLRQDKDISELAGKYCRMVIPQFFAYAMNFPIQKFLQSQSKVWVMTIISVVGLGCHVLLNWALVTKLHLGLLGAAMAGNISWWLQVTAMVIYVVSGFFPDSWNGLSPLAFKSLGGFMKLSLASAVMLCLELWYYTAIILMVGYLKNPTIAVDAISICMNMQLWTSMVTLGFNAAVSVRVSNELGAGHPKAAKFSIVVAVLTSLVVGITFTAAVLATKHDFPKLFTDKPVVIKETSKLGYFLAATIFLNSILPVLHGVAVGAGWQLYVGLINIACYYIFGIPAGALLGFKFKLGVRGIWSGMLVGTVLQTTILLFVMLRASWRKEAVQAEERLRTWGGSTDQTPETSLESSAG >EOY01789 pep chromosome:Theobroma_cacao_20110822:2:40887677:40893682:-1 gene:TCM_011605 transcript:EOY01789 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-box transcription factor TBX5, putative isoform 1 MGTKVQSKSYLPGYYSMRDLNEDSNSCSWPLYYGDKTLTNGQYYNGFFPRAIADAYPGYDKDALKRTMLEHEAIFKNQVSELHRLYRIQRDLMDEIKKKELQKSRIPIEPSLSSSPLASQITTEDPHKWHIPSFPVANSVCARPSISGVADSHSPLSSVKGSSIQAGPFQPQNGGNSKDVEVLECRPTKVRRKMFDLQLPADEYIDTEEAEQFRDDTASGMSSYLPNGNGKIGPESGGKLLHGDVGKTGQQGDASRSDQCLRGTNSLADLNEPVQIEETNGSAYPELLGHDPYHGGRELPAKPKQELLGLPKGISVNFHHQSDNRSINTIHFENNGNARGFFSHVFEAGHTKSNSMSVSQGFQPEKLPVSSQQAQVLFDKAHDPPTFLLTDQSKADLSRDRMLHCLEVPERNREISNNSHPESIMTSNVPSLNPFASSDMVKPWSHSVSSWEKPSSSLSQKSISVQTPPYLNSSGPFSKSSVISPQSNGIFGEKWQVSSNSRLNPGFGSELPNRNGFYYGSSSASKETGIRFPSISYEYLNCSNDSKGASEQFPTHGSTKPYNCSNSVDMKSTNDVNLNVVLSNSSSNEPVSQRGPQIDGGRKHEDRLPGLPWLRAKPACKNEATSAGRDLNVGELSFSQSSPKHSTNKNETGNCFSQIFTQNMKSVSFSNNVEASRSEISECLHNKKILGIPIFDKPYVSKNESSYTSPYVSVPQPSEGEAENKGRNRLLDINLPCDVNVPDVSQDVVAEDSATEKEPDTKLSSFRHQIDLNSCVTEDEASFVASVPITCVKMTGGIDLEAPLVPEPEDVIHGEELLEKARELPLQSAQSKDDFLQDELIKSAAEAIVAISSSGEYSHFDDVNRYSSETSMTDPLNWFVETISSFGEDLESKFEALLRGKDGDRDESSSEEIDYFESMILNLEETKEEDYMPKPLVPENFKVEETGTTSLLTPRTRKGQGRRGRQRRDFQRDILPGLASLSRHEVTEDLQTFGGLMRATGHSWHSGLTRRNSTRNGCGRGRRRSVTSPSPALAAATTCAPLMQQLNNIEVGLEDRSLTGWGKTTRRPRRQRCPAGNPPSLALT >EOY01790 pep chromosome:Theobroma_cacao_20110822:2:40888149:40896534:-1 gene:TCM_011605 transcript:EOY01790 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-box transcription factor TBX5, putative isoform 1 MGTKVQSKSYLPGYYSMRDLNEDSNSCSWPLYYGDKTLTNGQYYNGFFPRAIADAYPGYDKDALKRTMLEHEAIFKNQVSELHRLYRIQRDLMDEIKKKELQKSRIPIEPSLSSSPLASQITTEDPHKWHIPSFPVANSVCARPSISGVADSHSPLSSVKGSSIQAGPFQPQNGGNSKDVEVLECRPTKVRRKMFDLQLPADEYIDTEEAEQFRDDTASGMSSYLPNGNGKIGPESGGKLLHGDVGKTGQQGDASRSDQCLRGTNSLADLNEPVQIEETNGSAYPELLGHDPYHGGRELPAKPKQELLGLPKGISVNFHHQSDNRSINTIHFENNGNARGFFSHVFEAGHTKSNSMSVSQGFQPEKLPVSSQQAQVLFDKAHDPPTFLLTDQSKADLSRDRMLHCLEVPERNREISNNSHPESIMTSNVPSLNPFASSDMVKPWSHSVSSWEKPSSSLSQKSISVQTPPYLNSSGPFSKSSVISPQSNGIFGEKWQVSSNSRLNPGFGSELPNRNGFYYGSSSASKETGIRFPSISYEYLNCSNDSKGASEQFPTHGSTKPYNCSNSVDMKSTNDVNLNVVLSNSSSNEPVSQRGPQIDGGRKHEDRLPGLPWLRAKPACKNEATSAGRDLNVGELSFSQSSPKHSTNKNETGNCFSQIFTQNMKSVSFSNNVEASRSEISECLHNKKILGIPIFDKPYVSKNESSYTSPYVSVPQPSEGEAENKGRNRLLDINLPCDVNVPDVSQDVVAEDSATEKEPDTKLSSFRHQIDLNSCVTEDEASFVASVPITCVKMTGGIDLEAPLVPEPEDVIHGEELLEKARELPLQSAQSKDDFLQDELIKSAAEAIVAISSSGEYSHFDDVNRYSSETSMTDPLNWFVETISSFGEDLESKFEALLRGKDGDRDESSSEEIDYFESMILNLEETKEEDYMPKPLVPENFKVEETGTTSLLTPRTRKGQGRRGRQRRDFQRDILPGLASLSRHEVTEDLQTFGGLMRATGHSWHSGLTRRNSTRNGCGRGRRRSVTSPSPALAAATTCAPLMQQLNNIEVGLEDRSLTGWGKTTRRPRRQRCPAGNPPSLALT >EOY00092 pep chromosome:Theobroma_cacao_20110822:2:25561936:25566924:1 gene:TCM_009578 transcript:EOY00092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MPLDLCCHLRMMVHFLIHPLIHRDSKPMICPQSHLLCLMHLQSSNQYDICPRFSWIHIRGFRSKWRWYIFKVEG >EOY00091 pep chromosome:Theobroma_cacao_20110822:2:25557936:25566924:1 gene:TCM_009578 transcript:EOY00091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLTKASLQTLHFLYNFNLKVQSLRLDLCCHLRMMVHFLIHPLIHRDSKPMICPQSHLLCLMHLQSSNQYDICPRFSWIHIRGFRSKWRWYIFKVEG >EOY00093 pep chromosome:Theobroma_cacao_20110822:2:25560023:25566924:1 gene:TCM_009578 transcript:EOY00093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MPLDLCCHLRMMVHFLIHPLIHRDSKPMICPQSHLLCLMHLQSSNQYDICPRFSWIHIRGFRSKWRWYIFKVEG >EOX98358 pep chromosome:Theobroma_cacao_20110822:2:5488658:5489694:1 gene:TCM_007138 transcript:EOX98358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 73, putative MDQDFDRVKGPWSPEEDELLRKLVLRLGPRNWSLISKSIPGRSGKSCRLRWCNQLSPEVEHRAFTPEEDETIVRAHARYGNKWATIARLLNGRTDNAVKNHWNSTLKRKLSMEDGDSLQETGKRSAKSQRSASSNNMGSPSGSDVSDLGLNVAESERSGVSTELTLGRSSNESSELNNDNSSSEKVLLAQKHEMGTKTATVDPNLMAAMQEMIRKEVRDCMAEFGFQSEGVRNAGFKRIGIRRID >EOX98878 pep chromosome:Theobroma_cacao_20110822:2:7613278:7615438:-1 gene:TCM_007550 transcript:EOX98878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase 3 isoform 2 MKDLSASWVLENPSNGDPDNLKGPQVLQLKDSYQPSKTFEGMVEHFIDDHQLEEGEIQSLQRASWSPVKFKRQILRQKRRDFRTAELIRQDKEADKQMQATAAIESLTNMDTVGKGKYSLWRKDFENPNSDSTLKLMRDQIIMAKAYANIAKSKNQNALYNSLLICVRESLRVIGEANSDAELHPSALDHAKAMGHALSIAKDQLYDCRTVARKLRAMLQSAEDNVGSLKKRSAFLIQLAAKTVPKPLHCLPLQLAADYFIDGYQNKKDLNKEKLEDPSLYHYAIFSDNVLATSVVVNSTVLHANEPEKHVFHIVTDKLNFAAMKMWFILNAPEKATIQVENIDDFKWLNSSYCSVLRQLESSRVKEYYFKANHPSSLSVGSDHLKYRNPKYLSMLNHLRFYLPEVYPKLHKILFLDDDIVVQKDLTPLWDVDLQGMVNGAVETCKESFHRFDKYLNFSNPKISENFDSNACGWAFGMNIFYLKEWRKRNITGIYHRWQDMFPEYCD >EOX98876 pep chromosome:Theobroma_cacao_20110822:2:7612769:7616324:-1 gene:TCM_007550 transcript:EOX98876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase 3 isoform 2 MQATAAIESLTNMDTVGKGKYSLWRKDFENPNSDSTLKLMRDQIIMAKAYANIAKSKNQNALYNSLLICVRESLRVIGEANSDAELHPSALDHAKAMGHALSIAKDQLYDCRTVARKLRAMLQSAEDNVGSLKKRSAFLIQLAAKTVPKPLHCLPLQLAADYFIDGYQNKKDLNKEKLEDPSLYHYAIFSDNVLATSVVVNSTVLHANEPEKHVFHIVTDKLNFAAMKMWFILNAPEKATIQVENIDDFKWLNSSYCSVLRQLESSRVKEYYFKANHPSSLSVGSDHLKYRNPKYLSMLNHLRFYLPEVYPKLHKILFLDDDIVVQKDLTPLWDVDLQGMVNGAVETCKESFHRFDKYLNFSNPKISENFDSNACGWAFGMNIFYLKEWRKRNITGIYHRWQDMNEDRTLWKLGTLPPGLITFYNLTYPLDRKWHVLGLGYDPALNQTEIENAAVIHYNGNYKPWLDLAIAKYKSYWSKYVKVDNYYLRLCNISE >EOX98880 pep chromosome:Theobroma_cacao_20110822:2:7612769:7615823:-1 gene:TCM_007550 transcript:EOX98880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase 3 isoform 2 MQATAAIESLTNMDTVGKGKYSLWRKDFENPNSDSTLKLMRDQIIMAKAYANIAKSKNQNALYNSLLICVRESLRVIGEANSDAELHPSALDHAKAMGHALSIAKDQLYDCRTVARKLRAMLQSAEDNVGSLKKRSAFLIQLAAKTVPKPLHCLPLQLAADYFIDGYQNKKDLNKEKLEDPSLYHYAIFSDNVLATSVVVNSTVLHANEPEKHVFHIVTDKLNFAAMKMWFILNAPEKATIQVENIDDFKWLNSSYCSVLRQLESSRVKEYYFKANHPSSLSVGSDHLKYRNPKYLSMLNHLRFYLPEVYPKLHKILFLDDDIVVQKDLTPLWDVDLQGMVNGAVETCKESFHRFDKYLNFSNPKISENFDSNACGWAFGMNIFYLKEWRKRNITGIYHRWQDMNEDRTLWKLGTLPPGLITFYNLTYPLDRKWHVLGLGYDPALNQTEIENAAVIHYNGNYKPWLDLAIAKYKSYWSKYVKVDNYYLRLCNISE >EOX98877 pep chromosome:Theobroma_cacao_20110822:2:7612796:7616716:-1 gene:TCM_007550 transcript:EOX98877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase 3 isoform 2 MEAGSRSFWRFDSVLLTCFIFVFFSIVNADANISDNKTTGRGLKAYQPQYDRKDIDIIATYSDASGTVRTSRVKMKDLSASWVLENPSNGDPDNLKGPQVLQLKDSYQPSKTFEGMVEHFIDDHQLEEGEIQSLQRASWSPVKFKRQILRQKRRDFRTAELIRQDKEADKQMQATAAIESLTNMDTVGKGKYSLWRKDFENPNSDSTLKLMRDQIIMAKAYANIAKSKNQNALYNSLLICVRESLRVIGEANSDAELHPSALDHAKAMGHALSIAKDQLYDCRTVARKLRAMLQSAEDNVGSLKKRSAFLIQLAAKTVPKPLHCLPLQLAADYFIDGYQNKKDLNKEKLEDPSLYHYAIFSDNVLATSVVVNSTVLHANEPEKHVFHIVTDKLNFAAMKMWFILNAPEKATIQVENIDDFKWLNSSYCSVLRQLESSRVKEYYFKANHPSSLSVGSDHLKYRNPKYLSMLNHLRFYLPEVYPKLHKILFLDDDIVVQKDLTPLWDVDLQGMVNGAVETCKESFHRFDKYLNFSNPKISENFDSNACGWAFGMNIFYLKEWRKRNITGIYHRWQDMNEDRTLWKLGTLPPGLITFYNLTYPLDRKWHVLGLGYDPALNQTEIENAAVIHYNGNYKPWLDLAIAKYKSYWSKYVKVDNYYLRLCNISE >EOX98875 pep chromosome:Theobroma_cacao_20110822:2:7612535:7617005:-1 gene:TCM_007550 transcript:EOX98875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase 3 isoform 2 MTYVFFSIVNADANISDNKTTGRGLKAYQPQYDRKQEEDASSAALILNDSEKDIDIIATYSDASGTVRTSRVKMKDLSASWVLENPSNGDPDNLKGPQVLQLKDSYQPSKTFEGMVEHFIDDHQLEEGEIQSLQRASWSPVKFKRQILRQKRRDFRTAELIRQDKEADKQMQATAAIESLTNMDTVGKGKYSLWRKDFENPNSDSTLKLMRDQIIMAKAYANIAKSKNQNALYNSLLICVRESLRVIGEANSDAELHPSALDHAKAMGHALSIAKDQLYDCRTVARKLRAMLQSAEDNVGSLKKRSAFLIQLAAKTVPKPLHCLPLQLAADYFIDGYQNKKDLNKEKLEDPSLYHYAIFSDNVLATSVVVNSTVLHANEPEKHVFHIVTDKLNFAAMKMWFILNAPEKATIQVENIDDFKWLNSSYCSVLRQLESSRVKEYYFKANHPSSLSVGSDHLKYRNPKYLSMLNHLRFYLPEVYPKLHKILFLDDDIVVQKDLTPLWDVDLQGMVNGAVETCKESFHRFDKYLNFSNPKISENFDSNACGWAFGMNIFYLKEWRKRNITGIYHRWQDMNEDRTLWKLGTLPPGLITFYNLTYPLDRKWHVLGLGYDPALNQTEIENAAVIHYNGNYKPWLDLAIAKYKSYWSKYVKVDNYYLRLCNISE >EOX98879 pep chromosome:Theobroma_cacao_20110822:2:7612769:7615982:-1 gene:TCM_007550 transcript:EOX98879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase 3 isoform 2 MQATAAIESLTNMDTVGKGKYSLWRKDFENPNSDSTLKLMRDQIIMAKAYANIAKSKNQNALYNSLLICVRESLRVIGEANSDAELHPSALDHAKAMGHALSIAKDQLYDCRTVARKLRAMLQSAEDNVGSLKKRSAFLIQLAAKTVPKPLHCLPLQLAADYFIDGYQNKKDLNKEKLEDPSLYHYAIFSDNVLATSVVVNSTVLHANEPEKHVFHIVTDKLNFAAMKMWFILNAPEKATIQVENIDDFKWLNSSYCSVLRQLESSRVKEYYFKANHPSSLSVGSDHLKYRNPKYLSMLNHLRFYLPEVYPKLHKILFLDDDIVVQKDLTPLWDVDLQGMVNGAVETCKESFHRFDKYLNFSNPKISENFDSNACGWAFGMNIFYLKEWRKRNITGIYHRWQDMNEDRTLWKLGTLPPGLITFYNLTYPLDRKWHVLGLGYDPALNQTEIENAAVIHYNGNYKPWLDLAIAKYKSYWSKYVKVDNYYLRLCNISE >EOY00836 pep chromosome:Theobroma_cacao_20110822:2:35473143:35481782:1 gene:TCM_010767 transcript:EOY00836 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein isoform 1 MDSDSDSDGSHISATPPRDPFPPPRRPPPPPPKPPTSSYKSKIKPSSHSETISKLKKPSPKHTKPEPPPKSIPQTEPPPLPSPIGTLPFQIRRPPNQPHPVSTVRSLETLPAGFFSTHRASFSKIQKPSLSFEPEITPQKTTERKISISDFSDSSKTSNKKLPNLIRADAPLPPVNLQKRSVEGNFVKLNINGYKRKFTTKGKKTNSYSSKSKYFKRSKRRVKSEVNTESICDEEGLVAEIKQQPKSEKNIKFESIKAAISAVRKEASDENLVRLLKAMYGYDSFRDGQVEAIKMVLAGKSTILVLPTGAGKSLCYQIPAVVLPGITLVVSPLVALMIDQLKQLPPVIRGGLLSSSQGPEEAAETQRLIQEGSIKVLFVSPERFLNADFLSIFSATTFVSLVVVDEAHCVSEWSHNFRPSYMRLRASLLRAELNVECILAMTATATTTTLHSVMSALEIPSTNLIQKAQLRDNLRLSVSLSGNRMKDMLKLMKSSPFSEAQSIIVYCKFQSETDLISRHLCDNNISAKSYHSGMMARDRSRIQELFCANKIRVVVATVAFGMGLDKRDVGAVIHYSLPESLEEYVQEIGRGGRDGRLSYCHLFLDDVTYYKLRSLMHSDGVDEYAVNKFLCQVFADDTNSHGKVCSLIKESASRKFDMKEEVMLTLLTHLELGETQYLHLLPQLNVTCTLNFHKTSPTLLADKDTAVAAILKKSEIKQGQYALDIPTVANSIGVAPSDLLNHLQNLKVKGEITYELKDPAYCYKIVEVPSDFCSLSALLTRWLLDIENCKVWKLDTVYSAVVFAVDACEKAHGCNVSQQTSCLQQRVLDYFKGDNNPDVLDKMTHNSPFLRADIKVFLQSNSHIKFTPRAVARIMHGIGSPAYPSSTWSKSHFWGKVYTDRFQSSYECSEGRTYEFCWERCTFVCLVSCENASN >EOY00838 pep chromosome:Theobroma_cacao_20110822:2:35473024:35479490:1 gene:TCM_010767 transcript:EOY00838 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein isoform 1 MDSDSDSDGSHISATPPRDPFPPPRRPPPPPPKPPTSSYKSKIKPSSHSETISKLKKPSPKHTKPEPPPKSIPQTEPPPLPSPIGTLPFQIRRPPNQPHPVSTVRSLETLPAGFFSTHRASFSKIQKPSLSFEPEITPQKTTERKISISDFSDSSKTSNKKLPNLIRADAPLPPVNLQKRSVEGNFVKLNINGYKRKFTTKGKKTNSYSSKSKYFKRSKRRVKSEVNTESICDEEGLVAEIKQQPKSEKNIKFESIKAAISAVRKEASDENLVRLLKAMYGYDSFRDGQVEAIKMVLAGKSTILVLPTGAGKSLCYQIPAVVLPGITLVVSPLVALMIDQLKQLPPVIRGGLLSSSQGPEEAAETQRLIQEGSIKVLFVSPERFLNADFLSIFSATTFVSLVVVDEAHCVSEWSHNFRPSYMRLRASLLRAELNVECILAMTATATTTTLHSVMSALEIPSTNLIQKAQLRDNLRLSVSLSGNRMKDMLKLMKSSPFSEAQSIIVYCKFQSETDLISRHLCDNNISAKSYHSGMMARDRSRIQELFCANKIRVVVATVAFGMGLDKRDVGAVIHYSLPESLEEYVQEIGRGGRDGRLSYCHLFLDDVTYYKLRSLMHSDGVDEYAVNKFLCQVFADDTNSHGKVCSLIKESASRKFDMKEEVMLTLLTHLELGETQYLHLLPQLNVTCTLNFHKTSPTLLADKDTAVAAILKKSEIKQGQYALDIPTVANSIGVAPSDLLNHLQNLKVKGEITYELKDPAYCYKIVEVPSDFCSLSALLTRWLLDIENCKVWKLDTVYSAVVFAVDACEKAHGCNVSQQTSCLQQRVLDYFKGDNNPDVLDKMTHNSFISP >EOY00834 pep chromosome:Theobroma_cacao_20110822:2:35473136:35485420:1 gene:TCM_010767 transcript:EOY00834 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein isoform 1 MDSDSDSDGSHISATPPRDPFPPPRRPPPPPPKPPTSSYKSKIKPSSHSETISKLKKPSPKHTKPEPPPKSIPQTEPPPLPSPIGTLPFQIRRPPNQPHPVSTVRSLETLPAGFFSTHRASFSKIQKPSLSFEPEITPQKTTERKISISDFSDSSKTSNKKLPNLIRADAPLPPVNLQKRSVEGNFVKLNINGYKRKFTTKGKKTNSYSSKSKYFKRSKRRVKSEVNTESICDEEGLVAEIKQQPKSEKNIKFESIKAAISAVRKEASDENLVRLLKAMYGYDSFRDGQVEAIKMVLAGKSTILVLPTGAGKSLCYQIPAVVLPGITLVVSPLVALMIDQLKQLPPVIRGGLLSSSQGPEEAAETQRLIQEGSIKVLFVSPERFLNADFLSIFSATTFVSLVVVDEAHCVSEWSHNFRPSYMRLRASLLRAELNVECILAMTATATTTTLHSVMSALEIPSTNLIQKAQLRDNLRLSVSLSGNRMKDMLKLMKSSPFSEAQSIIVYCKFQSETDLISRHLCDNNISAKSYHSGMMARDRSRIQELFCANKIRVVVATVAFGMGLDKRDVGAVIHYSLPESLEEYVQEIGRGGRDGRLSYCHLFLDDVTYYKLRSLMHSDGVDEYAVNKFLCQVFADDTNSHGKVCSLIKESASRKFDMKEEVMLTLLTHLELGETQYLHLLPQLNVTCTLNFHKTSPTLLADKDTAVAAILKKSEIKQGQYALDIPTVANSIGVAPSDLLNHLQNLKVKGEITYELKDPAYCYKIVEVPSDFCSLSALLTRWLLDIENCKVWKLDTVYSAVVFAVDACEKAHGCNVSQQTSCLQQRVLDYFKGDNNPDVLDKMTHNSPFLRADIKVFLQSNSHIKFTPRAVARIMHGIGSPAYPSSTWSKSHFWGRYTQIDFKAVMNAAKAELMSFVGKDAPLYKERRWDLKQLVGSGGMPSSHSATVAALATAIGFQEGFGGALFAIALTLACVVMYDATGVRLQAGRQAEVLNQIVYELPAEHPLAESRPLREVLGHTPPQVIAGGFLGIVTAVSGKLIVLATS >EOY00837 pep chromosome:Theobroma_cacao_20110822:2:35473024:35481067:1 gene:TCM_010767 transcript:EOY00837 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein isoform 1 MDSDSDSDGSHISATPPRDPFPPPRRPPPPPPKPPTSSYKSKIKPSSHSETISKLKKPSPKHTKPEPPPKSIPQTEPPPLPSPIGTLPFQIRRPPNQPHPVSTVRSLETLPAGFFSTHRASFSKIQKPSLSFEPEITPQKTTERKISISDFSDSSKTSNKKLPNLIRADAPLPPVNLQKRSVEGNFVKLNINGYKRKFTTKGKKTNSYSSKSKYFKRSKRRVKSEVNTESICDEEGLVAEIKQQPKSEKNIKFESIKAAISAVRKEASDENLVRLLKAMYGYDSFRDGQVEAIKMVLAGKSTILVLPTGAGKSLCYQIPAVVLPGITLVVSPLVALMIDQLKQLPPVIRGGLLSSSQGPEEAAETQRLIQEGSIKVLFVSPERFLNADFLSIFSATTFVSLVVVDEAHCVSEWSHNFRPSYMRLRASLLRAELNVECILAMTATATTTTLHSVMSALEIPSTNLIQKAQLRDNLRLSVSLSGNRMKDMLKLMKSSPFSEAQSIIVYCKFQSETDLISRHLCDNNISAKSYHSGMMARDRSRIQELFCANKIRVVVATVAFGMGLDKRDVGAVIHYSLPESLEEYVQEIGRGGRDGRLSYCHLFLDDVTYYKLRSLMHSDGVDEYAVNKFLCQVFADDTNSHGKVCSLIKESASRKFDMKEEVMLTLLTHLELGETQYLHLLPQLNVTCTLNFHKTSPTLLADKDTAVAAILKKSEIKQGQYALDIPTVANSIGVAPSDLLNHLQNLKVKGEITYELKDPAYCYKIVEVPSDFCSLSALLTRWLLDIENCKVWKLDTVYSAVVFAVDACEKAHGCNVSQQTSCLQQRVLDYFKGDNNPDVLDKMTHNSPFLRADIKVFLQSNSHIKFTPRAVARIMHGIGSPAYPSSTWSKSHFWGRYTQIDFKAVMNAAKAELMSFVGKDAPLFA >EOY00835 pep chromosome:Theobroma_cacao_20110822:2:35473024:35481826:1 gene:TCM_010767 transcript:EOY00835 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein isoform 1 MDSDSDSDGSHISATPPRDPFPPPRRPPPPPPKPPTSSYKSKIKPSSHSETISKLKKPSPKHTKPEPPPKSIPQTEPPPLPSPIGTLPFQIRRPPNQPHPVSTVRSLETLPAGFFSTHRASFSKIQKPSLSFEPEITPQKTTERKISISDFSDSSKTSNKKLPNLIRADAPLPPVNLQKRSVEGNFVKLNINGYKRKFTTKGKKTNSYSSKSKYFKRSKRRVKSEVNTESICDEEGLVAEIKQQPKSEKNIKFESIKAAISAVRKEASDENLVRLLKAMYGYDSFRDGQVEAIKMVLAGKSTILVLPTGAGKSLCYQIPAVVLPGITLVVSPLVALMIDQLKQLPPVIRGGLLSSSQGPEEAAETQRLIQEGSIKVLFVSPERFLNADFLSIFSATTFVSLVVVDEAHCVSEWSHNFRPSYMRLRASLLRAELNVECILAMTATATTTTLHSVMSALEIPSTNLIQKAQLRDNLRLSVSLSGNRMKDMLKLMKSSPFSEAQSIIVYCKFQSETDLISRHLCDNNISAKSYHSGMMARDRSRIQELFCANKIRVVVATVAFGMGLDKRDVGAVIHYSLPESLEEYVQEIGRGGRDGRLSYCHLFLDDVTYYKLRSLMHSDGVDEYAVNKFLCQVFADDTNSHGKVCSLIKESASRKFDMKEEVMLTLLTHLELGETQYLHLLPQLNVTCTLNFHKTSPTLLADKDTAVAAILKKSEIKQGQYALDIPTVANSIGVAPSDLLNHLQNLKVKGEITYELKDPAYCYKIVEVPSDFCSLSALLTRWLLDIENCKVWKLDTVYSAVVFAVDACEKAHGCNVSQQTSCLQQRVLDYFKGDNNPDVLDKMTHNSPFLRADIKVFLQSNSHIKFTPRAVARIMHGIGSPAYPSSTWSKSHFWGRYTQIDFKAVMNAAKAELMSFVGKDAPLFA >EOX98312 pep chromosome:Theobroma_cacao_20110822:2:5299702:5301735:1 gene:TCM_007099 transcript:EOX98312 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 73B4, putative MGSEIPQVHMFFFPLMAHGHMIPTVDMAKVFATRGVKTTIVTTPLNASFFTKTIERSKESGIDIGIKILKFPAVEAGLPEGCENADLIPTSQDESGDMLGKFFKATFMLQEPLEQLLQECKPDCLVADMFFPWATDAANKFGIPRLVFHGTSFFSLCASESMRLYEPHKKVQSDSEPFVVPNLPGDIKLTKKQLPDYMKQDAETDFTKMVKASKESELRSYGVVVNSFYELEDTYADCYRNILGRKAWHIGPVSLCNRATEDKAERGKKSAIDEHECLKWLDSKEPNSVVYICFGSMANFTSAQLKEIAMALEASEQQFIWVVRKQKNNEEEEDWLPEGFEKRMEGKGLIIRGWAPQVLILDHEAVGGFVTHCGWNSTLEGVSAGVSMVTWPVFAEQFYNEKLVTQVLKIGVGVGAQQWARTVGDFVKREAIEKAVKEIMKGDRAEEMRNRAKALAEAAKGAIAKGGSSYSDLNALIEELSMHRH >EOX98243 pep chromosome:Theobroma_cacao_20110822:2:5032292:5035896:1 gene:TCM_007050 transcript:EOX98243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRLTDIRKSFVGLGLSGAYNVRWMNYKHILINLSNEQDFNRIWRKQTWFIANQKMRVFKWTPDFETEKESSIVPVWISFPNLKAHLFEKSALLLIAKAIGYPLYVDEATANGTRPSVARVCIEYDCLKAPLDSVWIVVSKRGLEDMSGGYLQKYWDRKVGRSAPKKGDSNRRELSNQSKKNKQPDETLGTKEGEKPRTAREGEKELKRAEQSKQKKQWQVVGKVGSSGAKKTMENEDEPGFDVRHAGVPTSNKFQVIMEEDIEEQNRASKQGQIEVNNAHASEINFSAKSGVATKRREGAVVKIHGDVMDGRSSRQKEMANFHRTGKRKRSSRQGQKACT >EOX98745 pep chromosome:Theobroma_cacao_20110822:2:6962628:6964576:-1 gene:TCM_007436 transcript:EOX98745 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXORDIUM like 2 MASIYCLATLLLFCFIHLSSSELVQEQPLVLKYHNGPLLKGKITVNFIWYGKFTPIQRSIIVDFVNSLSTDKTTLPSSSSWWKTTEKYKGGASNLGVGKQILLENYPLGKILKNPHLPALASKFNGVNTINIILTAKDVSVEGFCMRCGTHGSTRVGRGPIRGTYIWVGNSETQCPGQCAWPFHQPIYGPQTPPLVAPNGDVGVDGMVINLATLLANTVTNPFNNGYFQGPANAPLEAVSACTGIFGSGSYPGYPGNLLVEKSTGASYNANGINGRKYLLPAMWDPQTSTCKTIV >EOY01127 pep chromosome:Theobroma_cacao_20110822:2:37772909:37776806:1 gene:TCM_011069 transcript:EOY01127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDYDTRLCLECRTTCQLLHAEALAASEQIPPQTIPALHAELLGRPKGNLVTAVDQPALLQASLQGPKCIPVKHGVVFGQPLVKCQSSISKHFPQSIVSVQDVSSGFGAWPSNNIGTEAPSSSLGGFSSQNCNMLIDLLQQKQQRQLQKPQQQQSTAPERSRSINVRPSCLVVPSQSSASFQAGNSAVSVNQTGSFSRTPVIDYSILSSQSNNSSLNIGQVSDGNLQTTGVLSGRQAQASSMTLQASRHLPGFVHNTFDVQGPYGGTKSGEVLDQAHFSNLGYFNKEACLPTRFAVNEFQSPVSSSSSRGKVFAENSGTRVKQEPRMEFVDNVKLGIPMLQQFPPNDLTSVFTE >EOX96910 pep chromosome:Theobroma_cacao_20110822:2:493965:497615:1 gene:TCM_006051 transcript:EOX96910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 1 MKTRKSTTLKYHSSPSSPSVSDIATTQQQNLASFSNMARKSSLFKQTLIATAFFILAIYALFTTFFHTPLPVSDTVSPSDDAADVSSVEFPERRADGSGSVGKVKVFMYDLPHKFTYGLIQQHGLARGGSPVDDVTTLKYPGHQHMHEWFLFADLAPPESDRLGSPIVKVADPEEADLFYVPVFSSLSLIVNAGRPPGTGSGYSDEQMQEELVEWLNGQEYWKRNNGWDHVIIAGDPNALYRVVDRVKNAVLLVADFGRLRPDQGSLVKDVIIPYSHRISAYTGDFGVEERKTLLFFMGNRYRKEGGKIRDLLFQILESEEDVIIKHGTQSRENRRAASHGMHTSKFCLNPAGDTPSACRELNEPNCSCLCSNQTGIISSL >EOX96909 pep chromosome:Theobroma_cacao_20110822:2:493950:497615:1 gene:TCM_006051 transcript:EOX96909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 1 MKTRKSTTLKYHSSPSSPSVSDIATTQQQNLASFSNMARKSSLFKQTLIATAFFILAIYALFTTFFHTPLPVSDTVSPSDDAADVSSVEFPERRADGSGSVGKVKVFMYDLPHKFTYGLIQQHGLARGGSPVDDVTTLKYPGHQHMHEWFLFADLAPPESDRLGSPIVKVADPEEADLFYVPVFSSLSLIVNAGRPPGTGSGYSDEQMQEELVEWLNGQEYWKRNNGWDHVIIAGDPNALYRVVDRVKNAVLLVADFGRLRPDQGSLVKDVIIPYSHRISAYTGDFGVEERKTLLFFMGNRYRKEGGKIRDLLFQILESEEDVIIKHGTQSRENRRAASHGMHTSKFCLNPAGDTPSACRLFDAIVSLCVPVIVSDNIELPFEDIIDYKKFSVFVETTAALKPGYLVSLLRQVPAEKIIEYQKAMKEVKQYYDYTVPNGTVNEIWRQVAQKLPLIKLMINRDKRLVNDTRLPSGWGFLLLLMRVVKFAIVEGFLAYVVVE >EOX96908 pep chromosome:Theobroma_cacao_20110822:2:494041:497603:1 gene:TCM_006051 transcript:EOX96908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 1 MKTRKSTTLKYHSSPSSPSVSDIATTQQQNLASFSNMARKSSLFKQTLIATAFFILAIYALFTTFFHTPLPVSDTVSPSDDAADVSSVEFPERRADGSGSVGKVKVFMYDLPHKFTYGLIQQHGLARGGSPVDDVTTLKYPGHQHMHEWFLFADLAPPESDRLGSPIVKVADPEEADLFYVPVFSSLSLIVNAGRPPGTGSGYSDEQMQEELVEWLNGQEYWKRNNGWDHVIIAGDPNALYRVVDRVKNAVLLVADFGRLRPDQGSLVKDVIIPYSHRISAYTGDFGVEERKTLLFFMGNRYRKEGGKIRDLLFQILESEEDVIIKHGTQSRENRRAASHGMHTSKFCLNPAGDTPSACRLFDAIVSLCVPVIVSDNIELPFEDIIDYKKFSVFVETTAALKPGYLVSLLRQVPAEKIIEYQKAMKEVKQYYDYTVPNGTVNEIWRQVAQKLPLIKLMINRDKRLVKMELNEPNCSCLCSNQTGIISSL >EOY01783 pep chromosome:Theobroma_cacao_20110822:2:40876373:40879964:1 gene:TCM_011602 transcript:EOY01783 gene_biotype:protein_coding transcript_biotype:protein_coding description:UvrB/uvrC motif-containing protein isoform 4 MVQSLSLSTLTTSGTTAVYGSEVVGRRHYEIVGKAHVKSGIGRQSLWHGYVSSFYFKGNPSLLRKRNLRVKAGWPFKGGDQELGASSERSETANEDILIFFFQLDLATQVQRALNLEEYEIAQQLRNKLTEVEAEILKQKEAKRGLSSKSEAQDKAISIVRLRGDLQNAIETENYALAAKLRDDISKLEAESLAASAKALAYENAQYAFRLGQKVQHKIFGYRAVVCGMDPVCCESSSWMETAQVEKLSRGSNQPFYQQVLVDVYADPNLLVAYVPEENLLAPEQPDLRRFDHPYISFLFYGMDAAGDFIPIKQLREKYNRPRHEIPLNPEGDEGGGITGNKG >EOY01786 pep chromosome:Theobroma_cacao_20110822:2:40876297:40879441:1 gene:TCM_011602 transcript:EOY01786 gene_biotype:protein_coding transcript_biotype:protein_coding description:UvrB/uvrC motif-containing protein isoform 4 MVQSLSLSTLTTSGTTAVYGSEVVGRRHYEIVGKAHVKSGIGRQSLWHGYVSSFYFKGNPSLLRKRNLRVKAGWPFKGGDQELGASSERSETANEDILIFFFQLDLATQVQRALNLEEYEIAQQLRNKLTEVEAEILKQKEAKRGLSSKSEAQDKAISIVRLRGDLQNAIETENYALAAKLRDDISKLEAESLAASAKALAYENAQYAFRLGQKVQHKIFGYRAVVCGMDPVCCESSSWMETAQVEKLSRGSNQPFYQVLVDVYADPNLLVAYGKPLQFCCLLNSF >EOY01782 pep chromosome:Theobroma_cacao_20110822:2:40876296:40880098:1 gene:TCM_011602 transcript:EOY01782 gene_biotype:protein_coding transcript_biotype:protein_coding description:UvrB/uvrC motif-containing protein isoform 4 MVQSLSLSTLTTSGTTAVYGSEVVGRRHYEIVGKAHVKSGIGRQSLWHGYVSSFYFKGNPSLLRKRNLRVKAGWPFKGGDQELGASSERSETANEDILIFFFQLDLATQVQRALNLEEYEIAQQLRNKLTEVEAEILKQKEAKRGLSSKSEAQDKAISIVRLRGDLQNAIETENYALAAKLRDDISKLEAESLAASAKALAYENAQYAFRLGQKVQHKIFGYRAVVCGMDPVCCESSSWMETAQVEKLSRGSNQPFYQVLVDVYADPNLLVAYVPEENLLAPEQPDLRRFDHPYISFLFYGMDAAGDFIPIKQLREKYNRPRHEIPLNPEGDEGGGITGNKG >EOY01785 pep chromosome:Theobroma_cacao_20110822:2:40876390:40879936:1 gene:TCM_011602 transcript:EOY01785 gene_biotype:protein_coding transcript_biotype:protein_coding description:UvrB/uvrC motif-containing protein isoform 4 MVQSLSLSTLTTSGTTAVYGSEVVGRRHYEIVGKAHVKSGIGRQSLWHGYVSSFYFKGNPSLLRKRNLRVKAGWPFKGGDQELGASSERSETANEDILIFFFQLDLATQVQRALNLEEYEIAQQLRNKLTEVEAEILKQKEAKRGLSSKSEAQDKAISIVRLRGDLQNAIETENYALAAKLRDDISKLEAESLAASAKALAYENAQYAFRLGQKVQHKIFGYRAVVCGMDPVCCESSSWMETAQVDVYADPNLLVAYVPEENLLAPEQPDLRRFDHPYISFLFYGMDAAGDFIPIKQLREKYNRPRHEIPLNPEGDEGGGITGNKG >EOY01784 pep chromosome:Theobroma_cacao_20110822:2:40876296:40880098:1 gene:TCM_011602 transcript:EOY01784 gene_biotype:protein_coding transcript_biotype:protein_coding description:UvrB/uvrC motif-containing protein isoform 4 MVQSLSLSTLTTSGTTAVYGSEVVGRRHYEIVGKAHVKSGIGRQSLWHGYVSSFYFKGNPSLLRKRNLRVKAGWPFKGGDQELGASSERSETANEDILIFFFQLDLATQVQRALNLEEYEIAQQLRNKLTEVEAEILKQKEAKRGLSSKSEAQDKAISIVRLRGDLQNAIETENYALAAKLRDDISKLEAESLAASAKALAYENAQYAFRLGQKVQHKIFGYRAVVCGMDPVCCESSSWMETAQVEKLSRGSNQPFYQFLRRIF >EOX97014 pep chromosome:Theobroma_cacao_20110822:2:904996:930601:1 gene:TCM_006138 transcript:EOX97014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-gamma 3 isoform 3 MALVLHAGKNNKNAVKALIAAQYCDVEVKLAENFEMGVTNKSPEFLKMNPIGKVPVLETPEGPVFESNAIARYVTRIKADNPLFGSTLIDYGHIEQWTDFAAMEIDVNISKWLYPRLGYGVHLPLAEEHAIASLKRALDALNTHLASNTYLVGHSVSLADIVMTCNLYLGFSQIMTKSFTSEFPHVERYFWTMVNQPNFKKILGEVKQAESVPPVASKKPAAQPKETKPKAKNEPKKEPKKEVEKPAKAEAAEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKEGYSLWFCDNKYNEENTVSFVTMN >EOX97012 pep chromosome:Theobroma_cacao_20110822:2:904907:908770:1 gene:TCM_006138 transcript:EOX97012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-gamma 3 isoform 3 MALVLHAGKNNKNAVKALIAAQYCDVEVKLAENFEMGVTNKSPEFLKMNPIGKVPVLETPEGPVFESNAIARYVTRIKADNPLFGSTLIDYGHIEQWTDFAAMEIDVNISKWLYPRLGYGVHLPLAEEHAIASLKRALDALNTHLASNTYLVGHSVSLADIVMTCNLYLGFSQIMTKSFTSEFPHVERYFWTMVNQPNFKKILGEVKQAESVPPVASKKPAAQPKETKPKAKNEPKKEPKKEVEKPAKAEAAEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNEENTVSFVTMNKVGGFLQRMDLARKYAFGKMLVIGSEPPFKVKGLWLFRGQEIPQFVLDECYDMELYEWKKVDISDEAQKERVSQMIEDCEPFEGEPLLDAKCFK >EOX97013 pep chromosome:Theobroma_cacao_20110822:2:905267:907891:1 gene:TCM_006138 transcript:EOX97013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-gamma 3 isoform 3 MALVLHAGKNNKNAVKALIAAQYCDVEVKLAENFEMGVTNKSPEFLKMNPIGKVPVLETPEGPVFESNAIARYVTRIKADNPLFGSTLIDYGHIEQWTDFAAMEIDVNISKWLYPRLGYGVHLPLAEEHAIASLKRALDALNTHLASNTYLVGHSVSLADIVMTCNLYLGFSQIMTKSFTSEFPHVERYFWTMVNQPNFKKILGEVKQAESVPPVASKKPAAQPKETKPKAKNEPKKEPKKEVEKPAKAEAAEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGILVVSVIVLSSQ >EOX97468 pep chromosome:Theobroma_cacao_20110822:2:2369364:2373278:1 gene:TCM_006469 transcript:EOX97468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MLTFQLSVVALLVICIAHWVYRWRNPKCNGILPPGSMGIPLIGETLQLLVPSNSFDIPPFLKTRLQRYGPIFRTNVAGRAVVVSAEPEFNHFIFQQHGRLVESWYLDLFAKLFKQGENRPDGAYVHKYVRNLALSHFGVESLKGKLLPLLEAMVRETFSAWSNQESIEVKDAVSAMAFNFATREIYSSDAENFSQSLRDMFISLARGLMSFPINIPGTTYNKCLKYHKAALDILSVLVKARRASPDRMLKGDVLDHVLEDMKSEKFLTEDFIAQLMFGLSFVSFDSISWAATLLIKLLGDNPLVLEELTAEHDAILKKRENPNSSITWEEYKSMTFTLQVINETLRLGSISPGLLRRARKDIQVNGYTIPKGWAIMLVSSAVQMNPDIYKDPLAFNPWRWKDLEPSVISKNYMPFGSGIKQCAGSEYSKLFLATFIHVLVTKYRWIKIRGGGVTRIPLLRLKSGLCIKILEKNN >EOX98924 pep chromosome:Theobroma_cacao_20110822:2:7796924:7801474:-1 gene:TCM_007589 transcript:EOX98924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESDSEMVAFPLLTTPIESNYRACTIPYRFPSDNPRKATPTEIAWIDLFRNSIPSFKKRAESDTTVADAPTKAEKFAQRYGEILNDLKKDPESHGGPPDCILLCRLREQVLRELGFRDIFKKVKDEENAKAISLFPEVVCLNDNIEDGGKRLENLVRGIFAGNIFDLGSAQLAEVFSRDGMSFLASCQNLVPRPWVIDDLDTFKAKWGKKSWKKAVIFVDNSGADIILGILSFARELLRRGTQVVLAANDLPSINDVTYPELVEIISKLKDKNGKLVGVDTSNLLIANSGNDLPVIDLTRVSQELGYLASDADLVILEGMGRGIETNLYAQFKCDSLKIGMVKHQEVAQFLGGRLYDCVFKYNEVLG >EOX97215 pep chromosome:Theobroma_cacao_20110822:2:1642254:1644551:-1 gene:TCM_006306 transcript:EOX97215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MAILLKPHVKTPRLLLSLASFSFSSSYSTPSPPSSDQPDPIATVTSILTHHRSKSRWSTILTLFPSGFTPSQFSQITLQLKNNPHLALRFFLFTEQKSLCNHNLSSYSTIIHILSRARLKTRARELIRVAIRTPGMENEPTYLKLFELLVKTYNECGSAPFVFDLFVKSCLQMKKLDGSIEIVRMLMSRGISPQLSTCNALIGEVSKCRGAKRGYEVYKEVFGVGNGERESNVKRVLKVRPNVHTFNALMLCFYREGLLEKVEEVWSEMESLGCVANGYSYSVLMAALCEEGKVREAEELWEEMRVKGLEPDIVAYNTMIGGFCKHGEIMRAEELYREMGLNGIQATCVTYENLINGYCKVADIYSAMLIFKDMCRKGFKPQGLTVEALVRGLCDKGRVLEALETMRVAVRVLGVYPSGKSYVFLIKGLCEERKMEEALKLQAEMVGKGFKPDPEIYDIFIDGYLRQGNEKMVTMLRKEVIETQKEQEKN >EOX97216 pep chromosome:Theobroma_cacao_20110822:2:1642657:1644551:-1 gene:TCM_006306 transcript:EOX97216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MAILLKPHVKTPRLLLSLASFSFSSSYSTPSPPSSDQPDPIATVTSILTHHRSKSRWSTILTLFPSGFTPSQFSQITLQLKNNPHLALRFFLFTEQKSLCNHNLSSYSTIIHILSRARLKTRARELIRVAIRTPGMENEPTYLKLFELLVKTYNECGSAPFVFDLFVKSCLQMKKLDGSIEIVRMLMSRGISPQLSTCNALIGEVSKCRGAKRGYEVYKEVFGVGNGERESNVKRVLKVRPNVHTFNALMLCFYREGLLEKVEEVWSEMESLGCVANGYSYSVLMAALCEEGKVREAEELWEEMRVKGLEPDIVAYNTMIGGFCKHGEIMRAEELYREMGLNGIQATCVTYENLINGYCKVADIYSAMLIFKDMCRKGFKPQGLTVEALVRGLCDKGRVLEALETMRVAVRVLGVYPSGKSYVFLIKGLCEERKMEEALKLQAEMVGKGFKPDPEIYDIFIDGYLRQGNEKMVTMLRKEVIETQKEQEKN >EOX97217 pep chromosome:Theobroma_cacao_20110822:2:1641604:1644410:-1 gene:TCM_006306 transcript:EOX97217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MAILLKPHVKTPRLLLSLASFSFSSSYSTPSPPSSDQPDPIATVTSILTHHRSKSRWSTILTLFPSGFTPSQFSQITLQLKNNPHLALRFFLFTEQKSLCNHNLSSYSTIIHILSRARLKTRARELIRVAIRTPGMENEPTYLKLFELLVKTYNECGSAPFVFDLFVKSCLQMKKLDGSIEIVRMLMSRGISPQLSTCNALIGEVSKCRGAKRGYEVYKEVFGVGNGERESNVKRVLKVRPNVHTFNALMLCFYREGLLEKVEEVWSEMESLGCVANGYSYSVLMAALCEEGKVREAEELWEEMRVKGLEPDIVAYNTMIGGFCKHGEIMRAEELYREMGLNGIQATCVTYENLINGYCKVADIYSAMLIFKDMCRKGFKPQGLTVEALVRGLCDKGRVLEALETMRVAVRVLGVYPSGKSYVFLIKGLCEERKMEEALKLQAEMVGKGFKPDPEIYDIFIDGYLRQGNEKMVTMLRKEVIETQKEQEKN >EOY01582 pep chromosome:Theobroma_cacao_20110822:2:40156196:40160557:-1 gene:TCM_011444 transcript:EOY01582 gene_biotype:protein_coding transcript_biotype:protein_coding description:18S pre-ribosomal assembly protein gar2-related, putative isoform 2 MHDIKGNDGDSDPSLYLDNTRGGWPALKLDCSISVNDFANGNEKEVRDFVTSNSPSLKNMDSFQNSVFYLDKSVMECELPELVVCYKESTYHVVKDICIDEGVPTQDKFLFETGMDEKIDCNFLPSEKEQDSQLMTEKLETDMCMQDVSMSPGENQSGKDIDNECGSNKKVDTDTCMQDVSLSLEKNESNKGIPNQCDSKDLMLTRVVKGDAMKMVTDDVSKELFTLGELLSMSELSKVNSEAMSSDCKSDGIEQQSFQSSSKKEVMVMPPLVSAVEESKDSNEEAIVSVPALVSATEELDSGKGEAILISPAQVSTSEESTSSSLVNEVSYDNKLETGSITFNLDSSAPTSSKDECHHNLDSEPLGTGSTPKLEVAADQSISNNLQQGIGESSFSAAGLVTGLISYSGPVAYSGSLSLRSDSSTTSTRSFAFPILQSEWNCSPVRMAKADRRHYRKHKGWRHGLLCCRF >EOY01584 pep chromosome:Theobroma_cacao_20110822:2:40156059:40161096:-1 gene:TCM_011444 transcript:EOY01584 gene_biotype:protein_coding transcript_biotype:protein_coding description:18S pre-ribosomal assembly protein gar2-related, putative isoform 2 MHDIKGNDGDSDPSLYLDNTRGGWPALKLDCSISVNDFANGNEKEVRDFVTSNSPSLKNMDSFQNSVFYLDKSVMECELPELVVCYKESTYHVVKDICIDEGVPTQDKFLFETGMDEKIDCNFLPSEKEQDSQLMTEKLETDMCMQDVSMSPGENQSGKDIDNECGSNKKVDTDTCMQDVSLSLEKNESNKGIPNQCDSKDLMLTRVVKGDAMKMVTDDVSKELFTLGELLSMSELSKVNSEAMSSDCKSDGIEQQSFQSSSKKEVMVMPPLVSAVEESKDSNEEAIVSVPALVSATEELDSGKGEAILISPAQVSTSEESTSSSLVNEVSYDNKLETGSITFNLDSSAPTSSKDECHHNLDSEPLGTGSTPKLEVAADQSISNNLQQGIGESSFSAAGLVTGLISYSGPVAYSGSLSLRSDSSTTSTRSFAFPILQSEWNCSPVRMAKADRRHYRKHKGWRHGLLCCRF >EOY01581 pep chromosome:Theobroma_cacao_20110822:2:40156426:40161280:-1 gene:TCM_011444 transcript:EOY01581 gene_biotype:protein_coding transcript_biotype:protein_coding description:18S pre-ribosomal assembly protein gar2-related, putative isoform 2 MKLDNEQVLCHSITGHKSDSKPYSFLADTKPFENKDKPLDSTGLNAEGVVKENQNGVMHDIKGNDGDSDPSLYLDNTRGGWPALKLDCSISVNDFANGNEKEVRDFVTSNSPSLKNMDSFQNSVFYLDKSVMECELPELVVCYKESTYHVVKDICIDEGVPTQDKFLFETGMDEKIDCNFLPSEKEQDSQLMTEKLETDMCMQDVSMSPGENQSGKDIDNECGSNKKVDTDTCMQDVSLSLEKNESNKGIPNQCDSKDLMLTRVVKGDAMKMVTDDVSKELFTLGELLSMSELSKVNSEAMSSDCKSDGIEQQSFQSSSKKEVMVMPPLVSAVEESKDSNEEAIVSVPALVSATEELDSGKGEAILISPAQVSTSEESTSSSLVNEVSYDNKLETGSITFNLDSSAPTSSKDECHHNLDSEPLGTGSTPKLEVAADQSISNNLQQGIGESSFSAAGLVTGLISYSGPVAYSGSLSLRSDSSTTSTRSFAFPILQSEWNCSPVRMAKADRRHYRKHKGWRHGLLCCRF >EOY01583 pep chromosome:Theobroma_cacao_20110822:2:40156059:40160565:-1 gene:TCM_011444 transcript:EOY01583 gene_biotype:protein_coding transcript_biotype:protein_coding description:18S pre-ribosomal assembly protein gar2-related, putative isoform 2 MHDIKGNDGDSDPSLYLDNTRGGWPALKLDCSISVNDFANGNEKEVRDFVTSNSPSLKNMDSFQNSVFYLDKSVMECELPELVVCYKESTYHVVKDICIDEGVPTQDKFLFETGMDEKIDCNFLPSEKEQDSQLMTEKLETDMCMQDVSMSPGENQSGKDIDNECGSNKKVDTDTCMQDVSLSLEKNESNKGIPNQCDSKDLMLTRVVKGDAMKMVTDDVSKELFTLGELLSMSELSKVNSEAMSSDCKSDGIEQQSFQSSSKKEVMVMPPLVSAVEESKDSNEEAIVSVPALVSATEELDSGKGEAILISPAQVSTSEESTSSSLVNEVSYDNKLETGSITFNLDSSAPTSSKDECHHNLDSEPLGTGSTPKLEVAADQSISNNLQQGIGESSFSAAGLVTGLISYSGPVAYSGSLSLRSDSSTTSTRSFAFPILQSEWNCSPVRMAKADRRHYRKHKGWRHGLLCCRF >EOX96942 pep chromosome:Theobroma_cacao_20110822:2:615704:616988:-1 gene:TCM_006071 transcript:EOX96942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein isoform 3 MGLSVQERAEAAIPVTTLSPPEGNTTFLDDTTWCVALAGVSQIDLQNALDWACGLGMADCIAIQEGGQCYEPDTLVSHASYAFNNYYQQNGNSDIACNFGGTATLTKNNPSYGKCLYSAPGSVGSAAPPPLSKYQSSFLWWEIVGVLLLLYKGS >EOX96940 pep chromosome:Theobroma_cacao_20110822:2:615682:617896:-1 gene:TCM_006071 transcript:EOX96940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein isoform 3 MRKVAALVLNCLLLMGCYLAAGGDSSGTVLFLFLIPTMGLSVQERAEAAIPVTTLSPPEGNTTFLDDTTWCVALAGVSQIDLQNALDWACGLGMADCIAIQEGGQCYEPDTLVSHASYAFNNYYQQNGNSDIACNFGGTATLTKNNPSYGKCLYSAPGSVGSAAPPPLSKYQSSFLWWEIVGVLLLLYKGS >EOX96941 pep chromosome:Theobroma_cacao_20110822:2:615759:617945:-1 gene:TCM_006071 transcript:EOX96941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein isoform 3 TIISSVLIKPHFVSLKQLERGRGCVNSFSVSEEGLAKVKKHPPMRKVAALVLNCLLLMGCYLVVPTMGLSVQERAEAAIPVTTLSPPEGNTTFLDDTTWCVALAGVSQIDLQNALDWACGLGMADCIAIQEGGQCYEPDTLVSHASYAFNNYYQQNGNSDIACNFGGTATLTKNNPSYGKCLYSAPGSVGSAAPPPLSKYQSSFLWWEIVGVLLLLYKGS >EOX99059 pep chromosome:Theobroma_cacao_20110822:2:8305334:8309482:-1 gene:TCM_007679 transcript:EOX99059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MFNMGSLSGIIQRPLVAAAAVAVASVSVDFSVKLPSPSSDTCSTSEQIETWSNLLQESKSSLVDHISASKLANLSFVTRIRVPVPSVNFPVPNSGWNFASNALCSSVASSPLLVNLYRSAELAKASKPAAFTESIPTSAPDVLYRWHLPEPSAIDVSGTSDCSSAKSRTVVVLLGWLGSKQKHLKRYAEWYTSRGYHAITFTFPMIDIISYQAGGKAERNIDMLVNHLADWLEEEHGKSLVFHTFSNTGWLTYGAILEKFQKQDPSLMGRIRGCIVDSAPVAAPDPQVWASGFSAAFLKKHSFATKGFASSSESDVGPLVGKREVSEPKPAVTEAALLLVLEKFFEVVLSLPAVSRRLSDVLGLLSSGQPTCPQLYIYSSADRVIPADYVESFIEKQRRSGRVVRACNFVSTPHVDHFRNEPKLYTSQLSQFLEDCVLTCCKQS >EOX97461 pep chromosome:Theobroma_cacao_20110822:2:2340183:2345076:-1 gene:TCM_006462 transcript:EOX97461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase 1 isoform 1 MSTSRRSVPLLKTLITQRTHRRTVTYMPRPGDGAPRPVTLIPGDGIGPLVTNAVEQVMEAMHAPVYFEKYEVHGEMKCVPQEVIDSIKKNKVCLKGGLKTPMGGGVSSLNMQLRKELDLYASLVNCCNLPGLPTRHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPSIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGKEKIVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVILEGMDRTKDLGGDSSTQEVVDAVIAKLD >EOX97460 pep chromosome:Theobroma_cacao_20110822:2:2340595:2345239:-1 gene:TCM_006462 transcript:EOX97460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase 1 isoform 1 MSTSRRSVPLLKTLITQRTHRRTVTYMPRPGDGAPRPVTLIPGDGIGPLVTNAVEQVMEAMHAPVYFEKYEVHGEMKCVPQEVIDSIKKNKVCLKGGLKTPMGGGVSSLNMQLRKELDLYASLVNCCNLPGLPTRHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPSIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGKEKIVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVILEGMDRTKDLGGDSSTQEVVDAVIAKLD >EOX97459 pep chromosome:Theobroma_cacao_20110822:2:2342143:2345002:-1 gene:TCM_006462 transcript:EOX97459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase 1 isoform 1 MSTSRRSVPLLKTLITQRTHRRTVTYMPRPGDGAPRPVTLIPGDGIGPLVTNAVEQVMEAMHAPVYFEKYEVHGEMKCVPQEVIDSIKKNKVCLKGGLKTPMGGGVSSLNMQLRKELDLYASLVNCCNLPGLPTRHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPSIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGKEKIVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVILEGMDRTKDLGGDSSTQEVVDAVIAKLD >EOX99443 pep chromosome:Theobroma_cacao_20110822:2:11298935:11300008:1 gene:TCM_008127 transcript:EOX99443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MELQMVYSVHKEMERSPCSSAKIERKIIEKNRRNHMKNLYSRLNSLLPRHTSKWILQEPLSLPDQIDEAVNYIQSLQTRLKESREKKESLMGRKRSHRCTTATAAEAAAVSKSPEISINETDSAMEVVLMTGQDCQFMFYEMIRILHEEGAQVLNANFSVLGNTIVHIVHAEIGAHIFTFGAEKIKEKLNKFVHNSSSERQLQQELWDFEIHPETWDFPIM >EOX99442 pep chromosome:Theobroma_cacao_20110822:2:11298935:11300008:1 gene:TCM_008127 transcript:EOX99442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MELQMVYSVHKEMERSPCSSAKIERKIIEKNRRNHMKNLYSRLNSLLPRHTSKEPLSLPDQIDEAVNYIQSLQTRLKESREKKESLMGRKRSHRCTTATAAEAAAVSKSPEISINETDSAMEVVLMTGQDCQFMFYEMIRILHEEGAQVLNANFSVLGNTIVHIVHAEIGAHIFTFGAEKIKEKLNKFVHNSSSERQLQQELWDFEIHPETWDFPIM >EOX99444 pep chromosome:Theobroma_cacao_20110822:2:11298935:11300008:1 gene:TCM_008127 transcript:EOX99444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MELQMVYSVHKEMERSPCSSAKIERKIIEKNRRNHMKNLYSRLNSLLPRHTSKEPLSLPDQIDEAVNYIQSLQTRLKESREKKESLMGRKRSHRCTTATAAEAAAVSKSPEISINETDSAMEVVLMTGQDCQFMFYEMIRILHEEGAQVLNANFSVLGNTIVHIVHAEQRTAAATRAMGLRNPS >EOY02047 pep chromosome:Theobroma_cacao_20110822:2:41779186:41783227:-1 gene:TCM_011795 transcript:EOY02047 gene_biotype:protein_coding transcript_biotype:protein_coding description:SsDNA-binding transcriptional regulator isoform 2 MLQLQFLSSPPLSAQSLNPNSNTNLLSSFSSLKSTQTHAFKLNPLSLTPKPSKFSLKCRQSEYFDQQQRFSNSSSTASPPASGLPPRFYVGHSVYKGKAALTVEPRAPEFVPLDSGAFKISREGFVLLQFAPAAGVRQYDWSRKQVFSLSVTEIGALISLGARESCEFFHDPFKGKSEEGWHAFANSIKPEEASRVNNANPRYGGDYEWSR >EOY02046 pep chromosome:Theobroma_cacao_20110822:2:41779155:41783229:-1 gene:TCM_011795 transcript:EOY02046 gene_biotype:protein_coding transcript_biotype:protein_coding description:SsDNA-binding transcriptional regulator isoform 2 MLQLQFLSSPPLSAQSLNPNSNTNLLSSFSSLKSTQTHAFKLNPLSLTPKPSKFSLKCRQSEYFDQQQRFSNSSSTASPPASGLPPRFYVGHSVYKGKAALTVEPRAPEFVPLDVFSLSVTEIGALISLGARESCEFFHDPFKGKSEEGKVRKVLKVEPLPDGSGHFFNLGVQNRLLNLDENIYIPITRAEFTVLNSAFSFILPYLLGWHAFANSIKPEEASRVNNANPRYGGDYEWSR >EOY02045 pep chromosome:Theobroma_cacao_20110822:2:41779190:41783205:-1 gene:TCM_011795 transcript:EOY02045 gene_biotype:protein_coding transcript_biotype:protein_coding description:SsDNA-binding transcriptional regulator isoform 2 MLQLQFLSSPPLSAQSLNPNSNTNLLSSFSSLKSTQTHAFKLNPLSLTPKPSKFSLKCRQSEYFDQQQRFSNSSSTASPPASGLPPRFYVGHSVYKGKAALTVEPRAPEFVPLDSGAFKISREGFVLLQFAPAAGVRQYDWSRKQVFSLSVTEIGALISLGARESCEFFHDPFKGKSEEGKVRKVLKVEPLPDGSGHFFNLGVQNRLLNLDENIYIPITRAEFTVLNSAFSFILPYLLGWHAFANSIKPEEASRVNNANPRYGGDYEWSR >EOX99615 pep chromosome:Theobroma_cacao_20110822:2:12756002:12761955:-1 gene:TCM_008340 transcript:EOX99615 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD (Development and Cell Death) domain-like protein MDSLGLNSWFMTKKKGKKFKSQGPPKNHPNVSKKMKKRYMKNKKKKRDKKAASTSNSGSSPRSVPDLVVAPSATFTTSTTFVLPTASNCGVQEKRKVKENGEKIFECIFMCNGKTKPECYRNCVFGLPAGKLEVVKKIKPGTKIFLFDFNLKLLYGIYKAISEGELDLEPTAFNGKFPAQVRFKIIKDCLPLNESAFRNAIKDNYQGFKFNQELSENSNSLFSLFNVQTYAAAAPTVPNVAPPRSFPGHSQRSGNRYLSGFHHSEALVARSGHVNPHLLHKPYEPETPMAHIQSSIESLGSNQQAAHHHTADPHYLTEAHKAYLPEKASSSAHDLYQRYGVRMRQRELLVMEVSTIHHNCQSPKEIASQPEKITSHYYSQNDPPAAPYHSSQTHAIMPPYAVALSSGAAYYASPTTEDQNLAQDVAGGARNVT >EOX99108 pep chromosome:Theobroma_cacao_20110822:2:8538188:8539194:1 gene:TCM_007722 transcript:EOX99108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamidase 2 MSMASSLKCSSYKKYEIRKRDPNPKTSALLVIDMQNYFSSMAKPILNNAITTINLCRQASIPVFFTRHCHKSPADYGMLGEWWDNDLIFDGTVDSELIPEIGQLARANEVVEKNTYSAFQNTRLQDMLLEKGVEEVIVTGVMTNLCCETTAREAFVKGFRVFFSTDATATSDLELHEATLKNMAYGFAYLVDCKGLQQGLFGNEIN >EOY01737 pep chromosome:Theobroma_cacao_20110822:2:40755815:40760999:1 gene:TCM_011569 transcript:EOY01737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 40 MHMREGLALAIALVVLGIQAGLSEINRASFPKGFVFGTASSAFQYEGAVKEDGRGPTIWDIFSHSGIIFDGTNADVAVDQYHRYAEDVQLMKDMGMDAYRFSIAWSRIFPNGTGEINQAGVDHYNNLINALLAQGIEPYVTLYHWDLPQALEDKYIGWLDPQIIKDFAMYAETCFEKFGDRVKHWITFNEPHTFTIQGYYVGLEAPGRCSSILCKVGNSSTEPYIVAHNVLLSHATVADIYRKNYKMKQLFASLWSMSMQATSVIHIIYKKSYHLRSIVSVQDKQNGSVGISLDVKWYEPETNATENIEAAERAQDFQLGWFLDPLIFGDYPSSMRSRVGSRLPNFTKSESALLKGSFDFIGINHYTTYYARENATNSLDDLLNDSVTDADAYTIPFKDGKPIGDKANSIWLCIVPRGMRSLMTYIKEKYGNPLVIITENGMDDPNSPFIPIEDALKDEKRIKYHTDYLTNLLAAMEEDGCNVKGYFAWSLLDNWEWIAGFSSRFGLYFVDYNDNLKRYPKDSVSWFKNFLASA >EOX97197 pep chromosome:Theobroma_cacao_20110822:2:1562655:1566219:-1 gene:TCM_006286 transcript:EOX97197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MIRDSSKALFPSFNNMKAHKVLSSQILKRKKLKTVIPHSSALCSSTSQLVTSDQSQTASSQISPELLIESVRSSQWHFIKHQSSDLNPSVISTVLLNLHKTPELALQFTSHIEFQRLDVKTRCLAIAVASRLPSPKPTLQLLKQTIYSDIASVTVIFDELALARDRLGISTTILFDLLIRACCEMKRVDEGLECFYMMKDKGLIPKIETCNDMLSTFLKLNRTESAWVLYAEMFKMRIKSSIYTFNIMINVLCKEGKLKKAKEFVNFMENLAVKPNVVTYNTLIHAYCSRGRVEGARLVLNAMRSKGIELDSYTYSSLISGMCKEKRLEEASEMFEKMKEMGLVPSAITYNTLIDGYCNYGDLEKAFGYRDEMVERGILPTVSTYNLLVHALFMECKMGQADDLVKEMREKGLVADEITYNILINGYSRCGNVKKAFSFHDEMLTKGIQPTQVTYTSLIFVLSRRNRMKEADDLFEKIMSKGVAVDVVMFNALIDGHCANGNMERAFSLLKKMDKLNVSPDDVTYNTLMQGHCRKGRVEEARELLDEMKRRGIKPDHVSYNILISGYSRKGEMKDALRVRDEMLSIGFNPTLLTYNALIQGFCKNQEGDLAEDLLKEMVSKGITPDDSTYLSLIEGMGTIDHSVESCNPC >EOX97193 pep chromosome:Theobroma_cacao_20110822:2:1563052:1566219:-1 gene:TCM_006286 transcript:EOX97193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MIRDSSKALFPSFNNMKAHKVLSSQILKRKKLKTVIPHSSALCSSTSQLVTSDQSQTASSQISPELLIESVRSSQWHFIKHQSSDLNPSVISTVLLNLHKTPELALQFTSHIEFQRLDVKTRCLAIAVASRLPSPKPTLQLLKQTIYSDIASVTVIFDELALARDRLGISTTILFDLLIRACCEMKRVDEGLECFYMMKDKGLIPKIETCNDMLSTFLKLNRTESAWVLYAEMFKMRIKSSIYTFNIMINVLCKEGKLKKAKEFVNFMENLAVKPNVVTYNTLIHAYCSRGRVEGARLVLNAMRSKGIELDSYTYSSLISGMCKEKRLEEASEMFEKMKEMGLVPSAITYNTLIDGYCNYGDLEKAFGYRDEMVERGILPTVSTYNLLVHALFMECKMGQADDLVKEMREKGLVADEITYNILINGYSRCGNVKKAFSFHDEMLTKGIQPTQVTYTSLIFVLSRRNRMKEADDLFEKIMSKGVAVDVVMFNALIDGHCANGNMERAFSLLKKMDKLNVSPDDVTYNTLMQGHCRKGRVEEARELLDEMKRRGIKPDHVSYNILISGYSRKGEMKDALRVRDEMLSIGFNPTLLTYNALIQGFCKNQEGDLAEDLLKEMVSKGITPDDSTYLSLIEGMGTIDHSVESCNPC >EOX97192 pep chromosome:Theobroma_cacao_20110822:2:1564173:1566125:-1 gene:TCM_006286 transcript:EOX97192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MIRDSSKALFPSFNNMKAHKVLSSQILKRKKLKTVIPHSSALCSSTSQLVTSDQSQTASSQISPELLIESVRSSQWHFIKHQSSDLNPSVISTVLLNLHKTPELALQFTSHIEFQRLDVKTRCLAIAVASRLPSPKPTLQLLKQTIYSDIASVTVIFDELALARDRLGISTTILFDLLIRACCEMKRVDEGLECFYMMKDKGLIPKIETCNDMLSTFLKLNRTESAWVLYAEMFKMRIKSSIYTFNIMINVLCKEGKLKKAKEFVNFMENLAVKPNVVTYNTLIHAYCSRGRVEGARLVLNAMRSKGIELDSYTYSSLISGMCKEKRLEEASEMFEKMKEMGLVPSAITYNTLIDGYCNYGDLEKAFGYRDEMVERGILPTVSTYNLLVHALFMECKMGQADDLVKEMREKGLVADEITYNILINGYSRCGNVKKAFSFHDEMLTKGIQPTQVTYTSLIFVLSRRNRMKEADDLFEKIMSKGVAVDVVMFNALIDGHCANGNMERAFSLLKKMDKLNVSPDDVTYNTLMQGHCRKGRVEEARELLDEMKRRGIKPDHVSYNILISGYSRKGEMKDALRVRDEMLSIGFNPTLLTYNALIQGFCKNQEGDLAEDLLKEMVSKGITPDDSTYLSLIEGMGTIDHSVESCNPC >EOX97191 pep chromosome:Theobroma_cacao_20110822:2:1562655:1566219:-1 gene:TCM_006286 transcript:EOX97191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MIRDSSKALFPSFNNMKAHKVLSSQILKRKKLKTVIPHSSALCSSTSQLVTSDQSQTASSQISPELLIESVRSSQWHFIKHQSSDLNPSVISTVLLNLHKTPELALQFTSHIEFQRLDVKTRCLAIAVASRLPSPKPTLQLLKQTIYSDIASVTVIFDELALARDRLGISTTILFDLLIRACCEMKRVDEGLECFYMMKDKGLIPKIETCNDMLSTFLKLNRTESAWVLYAEMFKMRIKSSIYTFNIMINVLCKEGKLKKAKEFVNFMENLAVKPNVVTYNTLIHAYCSRGRVEGARLVLNAMRSKGIELDSYTYSSLISGMCKEKRLEEASEMFEKMKEMGLVPSAITYNTLIDGYCNYGDLEKAFGYRDEMVERGILPTVSTYNLLVHALFMECKMGQADDLVKEMREKGLVADEITYNILINGYSRCGNVKKAFSFHDEMLTKGIQPTQVTYTSLIFVLSRRNRMKEADDLFEKIMSKGVAVDVVMFNALIDGHCANGNMERAFSLLKKMDKLNVSPDDVTYNTLMQGHCRKGRVEEARELLDEMKRRGIKPDHVSYNILISGYSRKGEMKDALRVRDEMLSIGFNPTLLTYNALIQGFCKNQEGDLAEDLLKEMVSKGITPDDSTYLSLIEGMGTIDHSVESCNPC >EOX97195 pep chromosome:Theobroma_cacao_20110822:2:1562671:1566203:-1 gene:TCM_006286 transcript:EOX97195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MIRDSSKALFPSFNNMKAHKVLSSQILKRKKLKTVIPHSSALCSSTSQLVTSDQSQTASSQISPELLIESVRSSQWHFIKHQSSDLNPSVISTVLLNLHKTPELALQFTSHIEFQRLDVKTRCLAIAVASRLPSPKPTLQLLKQTIYSDIASVTVIFDELALARDRLGISTTILFDLLIRACCEMKRVDEGLECFYMMKDKGLIPKIETCNDMLSTFLKLNRTESAWVLYAEMFKMRIKSSIYTFNIMINVLCKEGKLKKAKEFVNFMENLAVKPNVVTYNTLIHAYCSRGRVEGARLVLNAMRSKGIELDSYTYSSLISGMCKEKRLEEASEMFEKMKEMGLVPSAITYNTLIDGYCNYGDLEKAFGYRDEMVERGILPTVSTYNLLVHALFMECKMGQADDLVKEMREKGLVADEITYNILINGYSRCGNVKKAFSFHDEMLTKGIQPTQVTYTSLIFVLSRRNRMKEADDLFEKIMSKGVAVDVVMFNALIDGHCANGNMERAFSLLKKMDKLNVSPDDVTYNTLMQGHCRKGRVEEARELLDEMKRRGIKPDHVSYNILISGYSRKGEMKDALRVRDEMLSIGFNPTLLTYNALIQGFCKNQEGDLAEDLLKEMVSKGITPDDSTYLSLIEGMGTIDHSVESCNPC >EOX97196 pep chromosome:Theobroma_cacao_20110822:2:1562023:1566203:-1 gene:TCM_006286 transcript:EOX97196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MIRDSSKALFPSFNNMKAHKVLSSQILKRKKLKTVIPHSSALCSSTSQLVTSDQSQTASSQISPELLIESVRSSQWHFIKHQSSDLNPSVISTVLLNLHKTPELALQFTSHIEFQRLDVKTRCLAIAVASRLPSPKPTLQLLKQTIYSDIASVTVIFDELALARDRLGISTTILFDLLIRACCEMKRVDEGLECFYMMKDKGLIPKIETCNDMLSTFLKLNRTESAWVLYAEMFKMRIKSSIYTFNIMINVLCKEGKLKKAKEFVNFMENLAVKPNVVTYNTLIHAYCSRGRVEGARLVLNAMRSKGIELDSYTYSSLISGMCKEKRLEEASEMFEKMKEMGLVPSAITYNTLIDGYCNYGDLEKAFGYRDEMVERGILPTVSTYNLLVHALFMECKMGQADDLVKEMREKGLVADEITYNILINGYSRCGNVKKAFSFHDEMLTKGIQPTQISALHGPFCDMGNAMVFVSGLEMKPEVKPDSLLGHQQPCFIL >EOX97194 pep chromosome:Theobroma_cacao_20110822:2:1564173:1566125:-1 gene:TCM_006286 transcript:EOX97194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MIRDSSKALFPSFNNMKAHKVLSSQILKRKKLKTVIPHSSALCSSTSQLVTSDQSQTASSQISPELLIESVRSSQWHFIKHQSSDLNPSVISTVLLNLHKTPELALQFTSHIEFQRLDVKTRCLAIAVASRLPSPKPTLQLLKQTIYSDIASVTVIFDELALARDRLGISTTILFDLLIRACCEMKRVDEGLECFYMMKDKGLIPKIETCNDMLSTFLKLNRTESAWVLYAEMFKMRIKSSIYTFNIMINVLCKEGKLKKAKEFVNFMENLAVKPNVVTYNTLIHAYCSRGRVEGARLVLNAMRSKGIELDSYTYSSLISGMCKEKRLEEASEMFEKMKEMGLVPSAITYNTLIDGYCNYGDLEKAFGYRDEMVERGILPTVSTYNLLVHALFMECKMGQADDLVKEMREKGLVADEITYNILINGYSRCGNVKKAFSFHDEMLTKGIQPTQVTYTSLIFVLSRRNRMKEADDLFEKIMSKGVAVDVVMFNALIDGHCANGNMERAFSLLKKMDKLNVSPDDVTYNTLMQGHCRKGRVEEARELLDEMKRRGIKPDHVSYNILISGYSRKGEMKDALRVRDEMLSIGFNPTLLTYNALIQGFCKNQEGDLAEDLLKEMVSKGITPDDSTYLSLIEGMGTIDHSVESCNPC >EOX97789 pep chromosome:Theobroma_cacao_20110822:2:3458085:3458530:1 gene:TCM_006721 transcript:EOX97789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVQERDRNDKPLSLDLSSINCNVSFWHTRPRVLRFKNLLSINHNGWQTKTHDNCHKIGVEN >EOX98816 pep chromosome:Theobroma_cacao_20110822:2:7317072:7319000:-1 gene:TCM_007495 transcript:EOX98816 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL lipase 1, putative MDHRFGTPYLVLYACLVNLIGCRGLPAKNHVPLFIFGDSLFDAGNNNYVDIPPSAQANYWPYGETFFKYPTGRFSDGRIIPDFIAEYANLPLIPPYLQPGSNKFIGGVNFAFGGSGALIETAQGYGINLRTQVSYFEEIEKLWRQMLGDAEAKKLISKAVYLISMGVNDYMVHFGTNSTVLESYSREEYVGMVIGNLTVEIKEIYKKGGRKFGFVNAGALDCTPAIGALEAGTRGPCNENATALIELHNAAFSNALQELESQLEGFKYATHDLYTSWSERIHNPTKYGSTACCGTGPYRGIPSCGGRKPVKEYQLCGNASEYVFFDSTHLTEKANQQLAELMWSGSPNITGPYNLKMLFDI >EOY01915 pep chromosome:Theobroma_cacao_20110822:2:41353138:41357392:1 gene:TCM_011702 transcript:EOY01915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 6 MTIKERRYFENVSQKKKIVVFESQKEKLIEAAPEELLVQGGRRKKQWNGTTHQWDAALRANGSQTAELWPQRCEVGRQVEDERVCRSEERLLVEGGNNRLLILANSAELMSESEDSLRRVHVNRQGYEDENWDKVDEVPLIAHERNKKGGSHCEGKVPRLSQIQRQACLKSQSLQQSIQEDTCGCMQRSKTRLTQIRRLARSGNHVLGKEDSAGCSHGKLPGGITRLSQIRRQARSTNNCSLQERTEEHMHGHLDCQYHEDLQKVDAAAEQCAKLDSCQVIGTSSSSCSVNAENVDKMIFEKGTLRLSQLRRKARLGNADLVAKRNTG >EOY01918 pep chromosome:Theobroma_cacao_20110822:2:41353139:41357391:1 gene:TCM_011702 transcript:EOY01918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 6 MTIKERRYFENVSQKKKIVVFESQKEKLIEAAPEELLVQGGRRKKQWNGTTHQWDAALRANGSQTAELWPQRCEVGRQVEDERVCRSEERLLVEGGNNRLLILANSAELMSESEDSLRRVHVNRQGYEDENWDKVDEVPLIAHERNKKGGSHCEGKVPRLSQIQRQACLKSQSLQQSIQEDTCGCMQRSKTRLTQIRRLARSGNHVLGKEDSAGCSHGKLPGGITRLSQIRRQARSTNNCSLQERTEEHMHGHLDCQYHEDLQKVDAAAEQCAKLDSCQVIGTSSSSCSVNAENVDKMIFEKGTLRLSQLRRKARLGNADLVAKRNTG >EOY01917 pep chromosome:Theobroma_cacao_20110822:2:41353454:41356303:1 gene:TCM_011702 transcript:EOY01917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 6 MTIKERRYFENVSQKKKIVVFESQKEKLIEAAPEELLVQGGRRKKQWNGTTHQWDAALRANGSQTAELWPQRCEVGRQVEDERVCRSEERLLVEGGNNRLLILANSAELMSESEDSLRRVHVNRQGYEDENWDKVDEVPLIAHERNKKGGSHCEGKVPRLSQIQRQACLKSQSLQQSIQEDTCGCMQRSKTRLTQIRRLARSGNHVLGKEDSAGCSHGKLPGGITRLSQIRRQARSTNNCSLQERTEEHMHGHLDCQYHEDLQKVDAAAEQCAKLDSCQVIGTSSSSCSVNAENVDKMIFEKGTLRLSQLRRKARLGNADLVAKRNTG >EOY01916 pep chromosome:Theobroma_cacao_20110822:2:41353138:41357392:1 gene:TCM_011702 transcript:EOY01916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 6 MTIKERRYFENVSQKKKIVVFESQKEKLIEAAPEELLVQGGRRKKQWNGTTHQWDAALRANGSQTAELWPQRCEVGRQVEDERVCRSEERLLVEGGNNRLLILANSAELMSESEDSLRRVHVNRQGYEDENWDKVDEVPLIAHERNKKGGSHCEGKVPRLSQIQRQACLKSQSLQQSIQEDTCGCMQRSKTRLTQIRRLARSGNHVLGKEDSAGCSHGKLPGGITRLSQIRRQARSTNNCSLQERTEEHMHGHLDCQYHEDLQKVDAAAEQCAKLDSCQVIGTSSSSCSVNAENVDKMIFEKGTLRLSQLRRKARLGNADLVAKRNTG >EOY01920 pep chromosome:Theobroma_cacao_20110822:2:41353749:41355174:1 gene:TCM_011702 transcript:EOY01920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 6 MTIKERRYFENVSQKKKIVVFESQKEKLIEAAPEELLVQGGRRKKQWNGTTHQWDAALRANGSQTAELWPQRCEVGRQVEDERVCRSEERLLVEGGNNRLLILANSAELMSESEDSLRRVHVNRQGYEDENWDKVDEVPLIAHERNKKGGSHCEGKVPRLSQIQRQACLKSQSLQQSIQEDTCGCMQRSKTRLTQIRRLARSGNHVLGKEDSAGCSHGKLPGGITRLSQIRRQARSTNNCSLQERTEEHMHGHLDCQYHEGNLLGDIDRTRKACMN >EOY01919 pep chromosome:Theobroma_cacao_20110822:2:41353299:41355897:1 gene:TCM_011702 transcript:EOY01919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 6 MTIKERRYFENVSQKKKIVVFESQKEKLIEAAPEELLVQGGRRKKQWNGTTHQWDAALRANGSQTAELWPQRCEVGRQVEDERVCRSEERLLVEGGNNRLLILANSAELMSESEDSLRRVHVNRQGYEDENWDKVDEVPLIAHERNKKGGSHCEGKVPRLSQIQRQACLKSQSLQQSIQEDTCGCMQRSKTRLTQIRRLARSGNHVLGKEDSAGCSHGKLPGGITRLSQIRRQARSTNNCSLQERTEEHMHGHLDCQYHEDLQKVDAAAEQCAKLDSCQVIGTSSSSCS >EOY01609 pep chromosome:Theobroma_cacao_20110822:2:40247742:40258865:-1 gene:TCM_011459 transcript:EOY01609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTHKPVMEMVKKREEKVVIGVADSFSSDFLGLLVNPYHDVDEKSRLSVEDLVGQCKTFYTAGQEDSPGFSNPHRTEEMTRLNRFGAVGTTHEGQSFKDVSTTHRKGKEIWQQVLNKDEGEDCEFGEIDVIGLGSYLQKIKVVDGWAYRVGPPIGCGELEGSYGVNMVDCGGGLVILPRNMGSFSKHWEFGSTSEMEKANQVEFMYEENLNGEKSCGLIKPIFKKTLVVNCGEAGLGLEGEKSNGWKNKVVKLNDRMNLTEETIKQSGGGGSISRETSNNVDEGLTLVNMIKKMKKSKSKSSFRINGGLIRRGLVMRSGKNMKLNKAKCEKIEMVNKMDLVQMGDKGRPDSVEKGVQGIIKGKEPIGRGKAGKNAKEVVYGESNESLPEIMTSETDDQLENSNLKKEGETTWNTACKLGLFFVDSKEAVTNEFTRLEREMMAEWTIRVDALGGKEMYKGVWVDSDGASREERLKIELWDTLIEKMREFEVPWCLGGDFNVVLRLDERLGAYSDHKEMEASQNFVNTDELVDLPMADSKFAWANNRDDVVFSSLDRFLVDELMLERFQFMRQWCLPSSLSYHNSVVLGEKCVQWGPKLFRFFNHWLEDNGFQEVFAEAWKKSTSSRKRKNMIDCLKINGMVAEDPQGIREEVTRYFEKLYEDQNVVELRDWELEIKMLSNGFARSLEEPFSKKELYRNEMVFDGSTWDACKVMEIIKIRMAWWVKCKWPQDNLDTLEIVRFSHLVTILTKREKVKVQVQWKIPPNGWVKFNIDGAAKGCPGPLGIGGVLRNEKGIVKMLFSKTGGWGDANLTKMLAIREALILFMAADWCHPFDFFIETDFINAITWVLKPLSSPWSLRNLMLKIKALLSKIPRWQIIHTSRFGNELADSLAKLGVERATDLLQVLL >EOX99251 pep chromosome:Theobroma_cacao_20110822:2:9410321:9413502:1 gene:TCM_007852 transcript:EOX99251 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair metallo-beta-lactamase family protein isoform 3 MEEGLISVDRWAEGSQAYFLTHLHADHTQGLTSSWAKGPIFCSSLTAKLFPFKFPNFTLSLLRVLDLGSWHSLSLISPSIGSKITVQVMAIDAHHCPGAVMFLFRGEFGCLLNTGDFRWEKNSERAKLGSEMLLNALQDDVVDVLYLDNTYCNPSYEFPSREVAAQQVVDIITSHPEHDIIIGVDTLGKEDLLLHISNALNTKIWVWPERLQTMHLLGFHDIFTTKTSVTRVRAVPRYSFSIETLEGLNTMRPTIGIIPSGLPWVVKPIEGDDKLFGSLLVARYNRSKVSSKGGKQNDKMDGNLGSVKRFHKYIYSVQYSDHSCYQEIEEFIKLVHPANMKGIVSSSSCYVDPLYYFGRLCGKNQPLQGLHHQHQKKERGDRVVPVHTNSKFRSGCYSGVEKKRKKVVGRLGVHLSWVSVLRRAQRGVKLAENECSN >EOX99254 pep chromosome:Theobroma_cacao_20110822:2:9410137:9413264:1 gene:TCM_007852 transcript:EOX99254 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair metallo-beta-lactamase family protein isoform 3 MEEGLISVDRWAEGSQAYFLTHLHADHTQGLTSSWAKGPIFCSSLTAKLFPFKFPNFTLSLLRVLDLGSWHSLSLISPSIGSKITVQVMAIDAHHCPGVVDIITSHPEHDIIIGVDTLGKEDLLLHISNALNTKIWVWPERLQTMHLLGFHDIFTTKTSVTRVRAVPRYSFSIETLEGLNTMRPTIGIIPSGLPWVVKPIEGDDKLFGSLLVARYNRSKVSSKGGKQNDKMDGNLGSVKRFHKYIYSVQYSDHSCYQEIEEFIKLVHPANMKGIVSSSSCYVDPLYYFGRLCGKNQPLQGLHHQHQKKERGDRVVPVHTNSKFRSGCYSGVEKKRKKVVGRLGVHLSWVSVLRRAQRGVKLAENECSN >EOX99252 pep chromosome:Theobroma_cacao_20110822:2:9410146:9413652:1 gene:TCM_007852 transcript:EOX99252 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair metallo-beta-lactamase family protein isoform 3 MEEGLISVDRWAEGSQAYFLTHLHADHTQGLTSSWAKGPIFCSSLTAKLFPFKFPNFTLSLLRVLDLGSWHSLSLISPSIGSKITVQVMAIDAHHCPVMFLFRGEFGCLLNTGDFRWEKNSERAKLGSEMLLNALQDDVVDVLYLDNTYCNPSYEFPSREVAAQQVVDIITSHPEHDIIIGVDTLGKEDLLLHISNALNTKIWVWPERLQTMHLLGFHDIFTTKTSVTRVRAVPRYSFSIETLEGLNTMRPTIGIIPSGLPWVVKPIEGDDKLFGSLLVARYNRSKVSSKGGKQNDKMDGNLGSVKRFHKYIYSVQYSDHSCYQEIEEFIKLVHPANMKGIVSSSSCYVDPLYYFGRLCGKNQPLQGLHHQHQKKERGDRVVPVHTNSKFRSGCYSGVEKKRKKVVGRLGVHLSWVSVLRRAQRGVKLAENECSN >EOX99253 pep chromosome:Theobroma_cacao_20110822:2:9410146:9413652:1 gene:TCM_007852 transcript:EOX99253 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair metallo-beta-lactamase family protein isoform 3 MEEGLISVDRWAEGSQAYFLTHLHADHTQGLTSSWAKGPIFCSSLTAKLFPFKFPNFTLSLLRVLDLGSWHSLSLISPSIGSKITVQVMAIDAHHCPGAVMFLFRGEFGCLLNTGDFRWEKNSERAKLGSEMLLNALQDDVVDVLYLDNTYCNPSYEFPSREVAAQQIWVWPERLQTMHLLGFHDIFTTKTSVTRVRAVPRYSFSIETLEGLNTMRPTIGIIPSGLPWVVKPIEGDDKLFGSLLVARYNRSKVSSKGGKQNDKMDGNLGSVKRFHKYIYSVQYSDHSCYQEIEEFIKLVHPANMKGIVSSSSCYVDPLYYFGRLCGKNQPLQGLHHQHQKKERGDRVVPVHTNSKFRSGCYSGVEKKRKKVVGRLGVHLSWVSVLRRAQRGVKLAENECSN >EOX99566 pep chromosome:Theobroma_cacao_20110822:2:12252945:12255608:-1 gene:TCM_008267 transcript:EOX99566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein NEP1-like, putative MCQTPYVSPGRRRRIKTTLPVACVLQNAGEVKTIIPFQETQAISILFLGQCSIMLWWAQVITPWEVVKLLGLQATTAMGCKIQGFSLVAYIFLNFDKMAFRATGHWKSMITPLGRTHSRSFASSTTPKLKQYSTTANAAHNPRPYNKYSISGEYAPVYIVMGMLVVAISIAAHTAKQHLMHAPGVVISKKKRESISEADHPDQALASADKFLNKSFLRKVAHIQDNKRTLPDPSRPDPFTRPRTAETLKTVGVDPSHH >EOY01952 pep chromosome:Theobroma_cacao_20110822:2:41476198:41477536:1 gene:TCM_011730 transcript:EOY01952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFVSTYCCDDLQHVVYQPRLEPVSRYPTPRFVQVELTISLRVDRKCHYSLNDQFIDLEDEGPFSSEEFLRFDLDVLRDHHRAYQVLAPVLGRLLVASNVFLTFDPIIDDIIRHGLKIENWASNRGREVLILCAELWGTVVEHIEYQEEAVLLGRALEESASESGMVPAKESSVKRMLKRVRVEAGECDQKAGQNIKKRLVKVEDCVICLEEFKVGSVALQMPCSHTFHGDCIEKWLKQSHYCPVCRFEMPTE >EOX99749 pep chromosome:Theobroma_cacao_20110822:2:15393696:15398122:1 gene:TCM_008615 transcript:EOX99749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRKKGKAINPLARHAHEHEWMAYVEFWAPGVEDPLDIGLHDVILTINSQPKFYLHSTHNPQQVIKVELLGWMHRKFRQNSSEPLKDFAIGHSCNCLTGQSSLDDQQFYSKPNYGTKPFRQPQRDHLRKSFAGVEAARVEEDYEEESSSAISELFHGFLAIGTLGSDPNIPDPSTPTFAISVENITEKETEVTENELKLINDELEKVLGAEVKEEGCNDSSGRNSHVSTGRSSHGSTITLSGKPMEGPDTNGNGTIVCPLQGYLFGSAIELSETTTVAKKEHRTSLGELFQRTKITEENFGSKYDKEEKRPEKEGDKSAVHIMKKMLKKKMLNASRSSTAATGGNIDSASAETKLHKILHMFHRKVHPESSTATYKHDKPQKNENKKGILYDGGHENGGHTLEDEDIMLFPQRALSKNMRRYKSQSNPPQFTISCNDSNGNRECWIKTDADCKPGVGALKGRSNFQVWFSNYHPHTTNETNPKIVVGNNAVRAARNTFS >EOY00850 pep chromosome:Theobroma_cacao_20110822:2:35529343:35539246:-1 gene:TCM_010776 transcript:EOY00850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease isoform 2 MRLTPREVEKLGLHNAGYLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKNVAELMDIGQRLLGRKQVLPAVPHLLDSVQVEGTFPDGTKLITIHGAIASENGNLELALRGSFLPVPSPEKFLEMEDKTIPGDMIFKGGTIMLNLGRKAVSIKVTNTGDRPIQVGSHYHFIEVNPFLVFDRRKAYGMRLNIPAGTATRFEPGECKTVVLVSIGGRKVIRGGNGIVDGPVDDANVEIVMETIKREGYGNLEDANASEGVTGEDSALSTIISCEKYANMYGPTTGDKIRLGDTNLYAEIERDFAVYGDECVFGGGKVIRDGMGQSCGHPPAESLDIAITNAVIIDYTGIFKADIGIKNGLIVALGKAGNPDTMDGVFPNMIIGVNTEVIAGEGLLVTAGAIDCHVHFICPQLVHEAISSGYTTLIGGGTGPADGTRATTCTPAPLQMKLMLQSTDEFPLNFGFTGKGNGSKPDELHEIIKAGAMGLKLHEDWGTTPAAIDTSLTVAEQFDVQVNIHTDTLNESGFVEHTIAAFKERTIHAYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPYTSNTIDEHLDMLMVCHHLDKDIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVISRTWQTAHKMKSQRGPIGPSGSDSDNIRIKRYVAKYTINPAIANGIAEFVGSVEVGKLADLVLWKPSFFGAKPEMVIKGGAVTWANMGDPNASIPTPQPVLSRPMFGAFGEAGSANSLAFISKAALDCGIKGLYGLKKRVEAVGNTRSLTKLDMKLNDALPIITVDPETYTVTADGEVLTCAAATTVPLSRNYFLF >EOY00852 pep chromosome:Theobroma_cacao_20110822:2:35529914:35539277:-1 gene:TCM_010776 transcript:EOY00852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease isoform 2 MRLTPREVEKLGLHNAGYLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKNVAELMDIGQRLLGRKQVLPAVPHLLDSVQVEGTFPDGTKLITIHGAIASENGNLELALRGSFLPVPSPEKFLEMEDKTIPGDMIFKGGTIMLNLGRKAVSIKVTNTGDRPIQVGSHYHFIEVNPFLVFDRRKAYGMRLNIPAGTATRFEPGECKTVVLVSIGGRKVIRGGNGIVDGPVDDANVEIVMETIKREGYGNLEDANASEGVTGEDSALSTIISCEKYANMYGPTTGDKIRLGDTNLYAEIERDFAVYGDECVFGGGKVIRDGMGQSCGHPPAESLDIAITNAVIIDYTGIFKADIGIKNGLIVALGKAGNPDTMDGVFPNMIIGVNTEVIAGEGLLVTAGAIDCHVHFICPQLVHEAISSGYTTLIGGGTGPADGTRATTCTPAPLQMKLMLQSTDEFPLNFGFTGKGNGSKPDELHEIIKAGAMGLKLHEDWGTTPAAIDTSLTVAEQFDVQVNIHTDTLNESGFVEHTIAAFKERTIHAYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPYTSNTIDEHLDMLMVCHHLDKDIPEDVAFAESRIRAETIAASGSDSDNIRIKRYVAKYTINPAIANGIAEFVGSVEVGKLADLVLWKPSFFGAKPEMVIKGGAVTWANMGDPNASIPTPQPGFVKADVWSIWRGWKCELLGFHQQGCFRLWNQRVIWTQEKGGSCWQYQKSDQA >EOY00851 pep chromosome:Theobroma_cacao_20110822:2:35529343:35539277:-1 gene:TCM_010776 transcript:EOY00851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease isoform 2 MRLTPREVEKLGLHNAGYLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKNVAELMDIGQRLLGRKQVLPAVPHLLDSVQVEGTFPDGTKLITIHGAIASENGNLELALRGSFLPVPSPEKFLEMEDKTIPGDMIFKGGTIMLNLGRKAVSIKVTNTGDRPIQVGSHYHFIEVNPFLVFDRRKAYGMRLNIPAGTATRFEPGECKTVVLVSIGGRKVIRGGNGIVDGPVDDANVEIVMETIKREGYGNLEDANASEGVTGEDSALSTIISCEKYANMYGPTTGDKIRLGDTNLYAEIERDFAVYGDECVFGGGKVIRDGMGQSCGHPPAESLDIAITNAVIIDYTGIFKADIGIKNGLIVALGKAGNPDTMDGVFPNMIIGVNTEVIAGEGLLVTAGAIDCHVHFICPQLVHEAISSGYTTLIGGGTGPADGTRATTCTPAPLQMKLMLQSTDEFPLNFGFTGKGNGSKPDELHEIIKAGAMGLKLHEDWGTTPAAIDTSLTVAEQFDVQVNIHTDTLNESGFVEHTIAAFKERTIHAYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPYTSNTIDEHLDMLMVCHHLDKDIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVISRTWQTAHKMKSQRGPIGPSGSDSDNIRIKRYVAKYTINPAIANGIAEFVGSVEVGKLADLVLWKPSFFGAKPEMVIKGGAVTWANMGDPNASIPTPQPVLSRPMFGAFGEAGSANSLAFISKIVESKGYMDSRKGWKLLAIPEV >EOY00853 pep chromosome:Theobroma_cacao_20110822:2:35531384:35539002:-1 gene:TCM_010776 transcript:EOY00853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease isoform 2 MRLTPREVEKLGLHNAGYLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKNVAELMDIGQRLLGRKQVLPAVPHLLDSVQVEGTFPDGTKLITIHGAIASENGNLELALRGSFLPVPSPEKFLEMEDKTIPGDMIFKGGTIMLNLGRKAVSIKVTNTGDRPIQVGSHYHFIEVNPFLVFDRRKAYGMRLNIPAGTATRFEPGECKTVVLVSIGGRKVIRGGNGIVDGPVDDANVEIVMETIKREGYGNLEDANASEGVTGEDSALSTIISCEKYANMYGPTTGDKIRLGDTNLYAEIERDFAVYGDECVFGGGKVIRDGMGQSCGHPPAESLDIAITNAVIIDYTGIFKADIGIKNGLIVALGKAGNPDTMDGVFPNMIIGVNTEVIAGEGLLVTAGAIDCHVHFICPQLVHEAISSGYTTLIGGGTGPADGTRATTCTPAPLQMKLMLQSTDEFPLNFGFTGKGNGSKPDELHEIIKAGAMGLKLHEDWGTTPAAIDTSLTVAEQFDVQVNIHTDTLNESGFVEHTIAAFKERTIHAYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPYTSNTIDEHLDMLV >EOY00313 pep chromosome:Theobroma_cacao_20110822:2:31038079:31039331:1 gene:TCM_010144 transcript:EOY00313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHTSNFGCVRWCVSLTCISPLYLLWYARVVSECTIPMCTVSLLQHTLGPRGVSLTCGLSLFRQSLID >EOX97519 pep chromosome:Theobroma_cacao_20110822:2:2548809:2554309:1 gene:TCM_006514 transcript:EOX97519 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MITTKKLIRVAIKWQKIAAIGRNRITSARTNKKMDSASHSNKSSAVDKSDFVIYTMDEKRFVIPLAFLSNIVFHELLKMSEEEFGLPRDGPIKLLSDSVIINYIVSLMQFVRIIVLWQVRCRGTSLGSSCVLIGTEQIKNSHSNSSITLSGKQAIMISTKKLIRMARRWRKITAIGRKRITSPRTNGKMVAADGSNKSSVAYKGHFVVYTMDKKRLVIPLAYLSNSIFQELFKMSEEFGLSSDGPITLPCDSVTMSYIVLLVQRGLAKDLEKAVLNSMTGHRCSSYTTFFHE >EOX98457 pep chromosome:Theobroma_cacao_20110822:2:5836487:5838907:-1 gene:TCM_007215 transcript:EOX98457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNVHSTWRTDGKTALTWIIPKLLVGCGITRESSFKSNQKCHVRGRVVKRGRVKGEGDLFILESNEDNHRTGVPHVANNGVSQELAVFLEANQQ >EOY01143 pep chromosome:Theobroma_cacao_20110822:2:37838411:37840896:1 gene:TCM_011079 transcript:EOY01143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil dna glycosylase isoform 1 MLLRVCSSPCSLQARAMAASSKTITDFFQANPGPAKRQKLSTPSDDHQPFPSLTAEQKSRMEFNKCVAKSKRNLKICSQKVSQSKVEGSGFVKLEELLVEDTWLEALPGELQKPYANNLCKFVESEISSGSVPIYPPQHLIFNALNSTPFHRVKAVIIGQDPYHGPGQAMGLSFSVPEGVKVPSSLVNIFKELKQDLGCSIPSDGNLEKWAVQGVLLLNTVLTVRKHQANSHAKKGWEQFTDAIIRTISQKKEGVIFLLWGNSAQEKSRLYTSVNSAQHVCGWGICD >EOY01142 pep chromosome:Theobroma_cacao_20110822:2:37837319:37842152:1 gene:TCM_011079 transcript:EOY01142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil dna glycosylase isoform 1 MLLRVCSSPCSLQARAMAASSKTITDFFQANPGPAKRQKLSTPSDDHQPFPSLTAEQKSRMEFNKCVAKSKRNLKICSQKVSQSKVEGSGFVKLEELLVEDTWLEALPGELQKPYANNLCKFVESEISSGSVPIYPPQHLIFNALNSTPFHRVKAVIIGQDPYHGPGQAMGLSFSVPEGVKVPSSLVNIFKELKQDLGCSIPSDGNLEKWAVQGVLLLNTVLTVRKHQANSHAKKGWEQFTDAIIRTISQKKEGVIFLLWGNSAQEKSRLIDQKKHHILKAAHPSGLSANRGFFGCRHFSRTNQLLEQMGIPPIDWQL >EOY01895 pep chromosome:Theobroma_cacao_20110822:2:41282112:41286695:-1 gene:TCM_011687 transcript:EOY01895 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 65 MGRVKLKIKKLENTNGRQVTYAKRKHGIMKKANELSILCDIEIILLMFSPTGKPSLCSGKRSSIEEIIAKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNVHEFLGTSTQTIEDLTNQARLLQTQLSEIHRRLSCWTNLDKINNVEHLGQVEDTLKEYLNQIQAHKENLGKQQLLSLECTSQFQNEMHVPFRMGAEQQLQPLAWIPNNDSRHMALPEDPNLITHRDVECSASSSFGSYSGYFGTAKSSELSSSGQENGMLNDLSGNPSLQLQLGGQYPYLSYNPNILNDAKFSPVAEMNFQETPADYNVNGVLEGPRAGYDTTQGSWASTSGPCAVTMFDEPLYSRQLN >EOY00191 pep chromosome:Theobroma_cacao_20110822:2:29107409:29114778:1 gene:TCM_009939 transcript:EOY00191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKIISLKCHSAYGARQQHSDVDYENLVNWELSFLGVLKAINSYCFSLLDHQLKCIKSLNGTRQREFRRDYCFEYPLFDLLWR >EOX98941 pep chromosome:Theobroma_cacao_20110822:2:7852034:7854614:1 gene:TCM_007601 transcript:EOX98941 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl-coenzyme A reductase 1 isoform 1 MEARRRSSTKPIQSLKPTKTIPLEDHAKASDALPLPLYITNAVFFTLFFSVVYFLLSRWREKIRTSTPLHVVNFSEIAAIFALVASFIYLLGFFGIDFVQSLILRPSADVWNSEDDEEENEVLLRKEDARKVPCGQALDCSLPPLPPSAPIVNAQKVFDEKHVTVTTEEDEEIIKSVVAGTTPSYSLESKLGDCKRAAAIRREALQRLTGKSLSGLPLDGFDYESILGQCCEMPVGYVQIPVGIAGPLLLNGKEYSVPMATTEGCLVASANRGCKAIHLSGGATSVLLKDGMTRAPVVRFGTAKRAADLKLYLEDPDNSETLAVVFNRSSRFARLQGIKCAIAGKNLYLRFSCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNYCSDKKPAAVNWIEGRGKSVVCEAIIKGDLVRKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVTAVYIATGQDPAQNVESSHCITMMEAINDGKDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKESPGANSRVLASIVAGAVLAGELSLMSALAAGQLVRSHMKYNRSSKDVSKASS >EOX98939 pep chromosome:Theobroma_cacao_20110822:2:7852034:7855090:1 gene:TCM_007601 transcript:EOX98939 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl-coenzyme A reductase 1 isoform 1 MEARRRSSTKPIQSLKPTKTIPLEDHAKASDALPLPLYITNAVFFTLFFSVVYFLLSRWREKIRTSTPLHVVNFSEIAAIFALVASFIYLLGFFGIDFVQSLILRPSADVWNSEDDEEENEVLLRKEDARKVPCGQALDCSLPPLPPSAPIVNAQKVFDEKHVTVTTEEDEEIIKSVVAGTTPSYSLESKLGDCKRAAAIRREALQRLTGKSLSGLPLDGFDYESILGQCCEMPVGYVQIPVGIAGPLLLNGKEYSVPMATTEGCLVASANRGCKAIHLSGGATSVLLKDGMTRAPVVRFGTAKRAADLKLYLEDPDNSETLAVVFNRSSRFARLQGIKCAIAGKNLYLRFSCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNYCSDKKPAAVNWIEGRGKSVVCEAIIKGDLVRKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVTAVYIATGQDPAQNVESSHCITMMEAINDGKDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKESPGANSRVLASIVAGAVLAGELSLMSALAAGQLVRSHMKYNRSSKDVSKASS >EOX98940 pep chromosome:Theobroma_cacao_20110822:2:7851967:7854554:1 gene:TCM_007601 transcript:EOX98940 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl-coenzyme A reductase 1 isoform 1 MEARRRSSTKPIQSLKPTKTIPLEDHAKASDALPLPLYITNAVFFTLFFSVVYFLLSRWREKIRTSTPLHVVNFSEIAAIFALVASFIYLLGFFGIDFVQSLILRPSADVWNSEDDEEENEVLLRKEDARKVPCGQALDCSLPPLPPSAPIVNAQKVFDEKHVTVTTEEDEEIIKSVVAGTTPSYSLESKLGDCKRAAAIRREALQRLTGKSLSGLPLDGFDYESILGQCCEMPVGYVQIPVGIAGPLLLNGKEYSVPMATTEGCLVASANRGCKAIHLSGGATSVLLKDGMTRAPVVRFGTAKRAADLKLYLEDPDNSETLAVVFNRSSRFARLQGIKCAIAGKNLYLRFSCSTGDAMGMNMVSKGVQNVLDFLQTDFPDMDVIGISGNYCSDKKPAAVNWIEGRGKSVVCEAIIKGDLVRKVLKTSVESLVELNMLKNLTGSAMAGALGGFNAHASNIVTAVYIATGQDPAQNVESSHCITMMEAINDGKDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKESPGANSRVLASIVAGAVLAGELSLMSALAAGQLVRSHMKYNRSSKDVSKASS >EOY01776 pep chromosome:Theobroma_cacao_20110822:2:40863672:40867022:1 gene:TCM_011599 transcript:EOY01776 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 88A1, putative isoform 1 MSNSDGMHSHRHVALLPSSGMGHLLPFLRLAGSLISQRCQVTLITTHPIVSLAESQLISAFLSAFPQVSEKKFTLLPLDPLTANCNDPFKLQWETIRRSAHLLSPLLSSLSPPLSFIITDMTLMSSVVSVTANLCLPNYILFTTSARMFSLFAYFPSIAESKTDGGSSRFGDEIRVPGLGSPIPVSSLPSTLLDLNSFFTKNFSDNSRSIKNVNGVLINSFEGLEKQSLEMLTVGKAMEGLPPVFPVGPLLPLEFEGQSSFSPLKWLEGQKERSVVYVSFGSRTPMSKEQIRELGTGLVLSGYKFVWVVKSKVVDKEEDESLDEILGQELKEKVMNNGLVVKEWVNQWKILSHKAVGGFISHCGWNSVVEAAWHGVPVLGWPQHGDQMINAEVIEGGGWGLCMKSWGWVSDIVVKGEEIGDRIKELMGSETLKSTAARISEEARQAVGVGGSCENMLKELFQSWKKTEQDGIIN >EOY01773 pep chromosome:Theobroma_cacao_20110822:2:40862795:40867062:1 gene:TCM_011599 transcript:EOY01773 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 88A1, putative isoform 1 MSNSDGMHSHRHVALLPSSGMGHLLPFLRLAGSLISQRCQVTLITTHPIVSLAESQLISAFLSAFPQVSEKKFTLLPLDPLTANCNDPFKLQWETIRRSAHLLSPLLSSLSPPLSFIITDMTLMSSVVSVTANLCLPNYILFTTSARMFSLFAYFPSIAESKTDGGSSRFGDEIRVPGLGSPIPVSSLPSTLLDLNSFFTKNFSDNSRSIKNVNGVLINSFEGLEKQSLEMLTVGKAMEGLPPVFPVGPLLPLEFEGQSSFSPLKWLEGQKERSVVYVSFGSRTPMSKEQIRELGTGLVLSGYKFVWVVKSKVVDKEEDESLDEILGQELKEKVMNNGLVVKEWVNQWKILSHKAVGGFISHCGWNSVVEAAWHGVPVLGWPQHGDQMINAEVIEGGGWGLCMKSWGWVSDIVVKGEEIGDRIKELMGSETLKSTAARISEEARQAVGVGGSCENMLKELFQSWKKTEQDGIIN >EOY01775 pep chromosome:Theobroma_cacao_20110822:2:40862287:40866284:1 gene:TCM_011599 transcript:EOY01775 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 88A1, putative isoform 1 MSNSDGMHSHRHVALLPSSGMGHLLPFLRLAGSLISQRCQVTLITTHPIVSLAESQLISAFLSAFPQVSEKKFTLLPLDPLTANCNDPFKLQWETIRRSAHLLSPLLSSLSPPLSFIITDMTLMSSVVSVTANLCLPNYILFTTSARMFSLFAYFPSIAESKTDGGSSRFGDEIRVPGLGSPIPVSSLPSTLLDLNSFFTKNFSDNSRSIKNVNGVLINSFEGLEKQSLEMLTVGKAMEGLPPVFPVGPLLPLEFEGQSSFSPLKWLEGQKERSVVYVSFGSRTPMSKEQIRELGTGLVLSGYKFVWVVKSKVVDKEEDESLDEILGQELKEKVMNNGLVVKEWVNQWKILSHKAVGGFISHCGWNSVVEAAWHGVPVLGWPQHGDQMINAEVIEGGGWGLCMKSWGWVSDIVVKGEEIGDRIKELMGSETLKSTAARISEEARQAVGVGGSCENMLKELFQSWKKTEQDGIIN >EOY01774 pep chromosome:Theobroma_cacao_20110822:2:40862287:40866284:1 gene:TCM_011599 transcript:EOY01774 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 88A1, putative isoform 1 MSNSDGMHSHRHVALLPSSGMGHLLPFLRLAGSLISQRCQVTLITTHPIVSLAESQLISAFLSAFPQVSEKKFTLLPLDPLTANCNDPFKLQWETIRRSAHLLSPLLSSLSPPLSFIITDMTLMSSVVSVTANLCLPNYILFTTSARMFSLFAYFPSIAESKTDGGSSRFGDEIRVPGLGSPIPVSSLPSTLLDLNSFFTKNFSDNSRSIKNVNGVLINSFEGLEKQSLEMLTVGKAMEGLPPVFPVGPLLPLEFEGQSSFSPLKWLEGQKERSVVYVSFGSRTPMSKEQIRELGTGLVLSGYKFVWVVKSKVVDKEEDESLDEILGQELKEKVMNNGLVVKEWVNQWKILSHKAVGGFISHCGWNSVVEAAWHGVPVLGWPQHGDQMINAEVIEGGGWGLCMKSWGWVSDIVVKGEEIGDRIKELMGSETLKSTAARISEEARQAVGVGGSCENMLKELFQSWKKTEQDGIIN >EOY01772 pep chromosome:Theobroma_cacao_20110822:2:40863672:40867022:1 gene:TCM_011599 transcript:EOY01772 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 88A1, putative isoform 1 MSNSDGMHSHRHVALLPSSGMGHLLPFLRLAGSLISQRCQVTLITTHPIVSLAESQLISAFLSAFPQVSEKKFTLLPLDPLTANCNDPFKLQWETIRRSAHLLSPLLSSLSPPLSFIITDMTLMSSVVSVTANLCLPNYILFTTSARMFSLFAYFPSIAESKTDGGSSRFGDEIRVPGLGSPIPVSSLPSTLLDLNSFFTKNFSDNSRSIKNVNGVLINSFEGLEKQSLEMLTVGKAMEGLPPVFPVGPLLPLEFEGQSSFSPLKWLEGQKERSVVYVSFGSRTPMSKEQIRELGTGLVLSGYKFVWVVKSKVVDKEEDESLDEILGQELKEKVMNNGLVVKEWVNQWKILSHKAVGGFISHCGWNSVVEAAWHGVPVLGWPQHGDQMINAEVIEGGGWGLCMKSWGWVSDIVVKGEEIGDRIKELMGSETLKSTAARISEEARQAVGVGGSCENMLKELFQSWKKTEQDGIIN >EOY01771 pep chromosome:Theobroma_cacao_20110822:2:40863672:40867022:1 gene:TCM_011599 transcript:EOY01771 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 88A1, putative isoform 1 MSNSDGMHSHRHVALLPSSGMGHLLPFLRLAGSLISQRCQVTLITTHPIVSLAESQLISAFLSAFPQVSEKKFTLLPLDPLTANCNDPFKLQWETIRRSAHLLSPLLSSLSPPLSFIITDMTLMSSVVSVTANLCLPNYILFTTSARMFSLFAYFPSIAESKTDGGSSRFGDEIRVPGLGSPIPVSSLPSTLLDLNSFFTKNFSDNSRSIKNVNGVLINSFEGLEKQSLEMLTVGKAMEGLPPVFPVGPLLPLEFEGQSSFSPLKWLEGQKERSVVYVSFGSRTPMSKEQIRELGTGLVLSGYKFVWVVKSKVVDKEEDESLDEILGQELKEKVMNNGLVVKEWVNQWKILSHKAVGGFISHCGWNSVVEAAWHGVPVLGWPQHGDQMINAEVIEGGGWGLCMKSWGWVSDIVVKGEEIGDRIKELMGSETLKSTAARISEEARQAVGVGGSCENMLKELFQSWKKTEQDGIIN >EOX97234 pep chromosome:Theobroma_cacao_20110822:2:1684541:1688622:-1 gene:TCM_006319 transcript:EOX97234 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MEDSTSGGEEDYYYSSDRESLDGLENEDSDFQWAPSKGPTTKVITKESLLAAQREDLRRVMDMLSLREHHARTLLIHYRWDVEKLLAVLVEKGKADLFAEAGVSVVECEDTGSPLLSSSTAMCDICMEELPGDTMTKMACGHRFCNDCWTEHFVVKINEGQSRRIRCMAHKCNAICDEAVVRSLVGKKHPDLAEKFDRFLLESYIEDNRMVKWCPSTPHCGNAIRVEDDEFCEVECSCGLQFCFSCLSEAHSPCSCMMWELWTKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSRIAGHSCGRYKEDREKKTERAKRDLYRYMHYHNRYKAHTDSFKLESKLKETILEKVSISEERESRLRDFSWVTNGLYRLFRSRRVLSYSYPFAFYMFGEELFKDEMTNDEREIKQHLFEDQQQQLEANVEKLSMFLEEPFDQYTDDKVMEIRMQVINLSVIIDTLCKKMYECIENDLLGSLQCNTHNIAPYKSKGIEKASELAVCWNNKASTTADTCFPSDCGTSGKHDRPISLGSADDNGCPSQKRPKKETYGGAFFDLNLPAEVLDRN >EOX97235 pep chromosome:Theobroma_cacao_20110822:2:1685116:1688203:-1 gene:TCM_006319 transcript:EOX97235 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MEDSTSGGEEDYYYSSDRESLDGLENEDSDFQWAPSKGPTTKVITKESLLAAQREDLRRVMDMLSLREHHARTLLIHYRWDVEKLLAVLVEKGKADLFAEAGVSVVECEDTGSPLLSSSTAMCDICMEELPGDTMTKMACGHRFCNDCWTEHFVVKINEGQSRRIRCMAHKCNAICDEAVVRSLVGKKHPDLAEKFDRFLLESYIEDNRMVKWCPSTPHCGNAIRVEDDEFCEVECSCGLQFCFSCLSEAHSPCSCMMWELWTKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSRIAGHSCGRYKEDREKKTERAKRDLYRYMHYHNRYKAHTDSFKLESKLKETILEKVSISEERESRLRDFSWVTNGLYRLFRSRRVLSYSYPFAFYMFGEELFKDEMTNDEREIKQHLFEDQQQQLEANVEKLSMFLEEPFDQYTDDKVMEIRMQVINLSVIIDTLCKKMYECIENDLLGSLQCNTHNIAPYKSKGIEKASELAVCWNNKASTTADTCFPSDCGTSGMLTSLLEINTHMHT >EOX97238 pep chromosome:Theobroma_cacao_20110822:2:1685307:1688602:-1 gene:TCM_006319 transcript:EOX97238 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MEDSTSGGEEDYYYSSDRESLDGLENEDSDFQWAPSKGPTTKVITKESLLAAQREDLRRVMDMLSLREHHARTLLIHYRWDVEKLLAVLVEKGKADLFAEAGVSVVECEDTGSPLLSSSTAMCDICMEELPGDTMTKMACGHRFCNDCWTEHFVVKINEGQSRRIRCMAHKCNAICDEAVVRSLVGKKHPDLAEKFDRFLLESYIEDNRMVKWCPSTPHCGNAIRVEDDEFCEVECSCGLQFCFSCLSEAHSPCSCMMWELWTKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSRIAGHSCGRYKEDREKKTERAKRDLYRYMHYHNRYKAHTDSFKLESKLKETILEKVSISEERESRLRDFSWVTNGLYRLFRSRRVLSYSYPFAFYMFGEELFKDEMTNDEREIKQHLFEDQQQQLEANVEKLSMFLEEPFDQYTDDKVMEIRMQVINLSVIIDTLCKKIGYHLQV >EOX97240 pep chromosome:Theobroma_cacao_20110822:2:1685303:1688105:-1 gene:TCM_006319 transcript:EOX97240 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MQVITKESLLAAQREDLRRVMDMLSLREHHARTLLIHYRWDVEKLLAVLVEKGKADLFAEAGVSVVECEDTGSPLLSSSTAMCDICMEELPGDTMTKMACGHRFCNDCWTEHFVVKINEGQSRRIRCMAHKCNAICDEAVVRSLVGKKHPDLAEKFDRFLLESYIEDNRMVKWCPSTPHCGNAIRVEDDEFCEVECSCGLQFCFSCLSEAHSPCSCMMWELWTKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSRIAGHSCGRYKEDREKKTERAKRDLYRYMHYHNRYKAHTDSFKLESKLKETILEKVSISEERESRLRDFSWVTNGLYRLFRSRRVLSYSYPFAFYMFGEELFKDEMTNDEREIKQHLFEDQQQQLEANVEKLSMFLEEPFDQYTDDKVMEIRMQVINLSVIIDTLCKKMYECIENDLL >EOX97236 pep chromosome:Theobroma_cacao_20110822:2:1684567:1687810:-1 gene:TCM_006319 transcript:EOX97236 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MAHKCNAICDEAVVRSLVGKKHPDLAEKFDRFLLESYIEDNRMVKWCPSTPHCGNAIRVEDDEFCEVECSCGLQFCFSCLSEAHSPCSCMMWELWTKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSRIAGHSCGRYKEDREKKTERAKRDLYRYMHYHNRYKAHTDSFKLESKLKETILEKVSISEERESRLRDFSWVTNGLYRLFRSRRVLSYSYPFAFYMFGEELFKDEMTNDEREIKQHLFEDQQQQLEANVEKLSMFLEEPFDQYTDDKVMEIRMQVINLSVIIDTLCKKMYECIENDLLGSLQCNTHNIAPYKSKGIEKASELAVCWNNKASTTADTCFPSDCGTSGKHDRPISLGSADDNGCPSQKRPKKETYGGAFFDLNLPAEVLDRN >EOX97237 pep chromosome:Theobroma_cacao_20110822:2:1684507:1688602:-1 gene:TCM_006319 transcript:EOX97237 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MEDSTSGGEEDYYYSSDRESLDGLENEDSDFQWAPSKGPTTKVITKESLLAAQREDLRRVMDMLSLREHHARTLLIHYRWDVEKLLAVLVEKGKADLFAEAGVSVVECEDTGSPLLSSSTAMCDICMEELPGDTMTKMACGHRFCNDCWTEHFVVKINEGQSRRIRCMAHKCNAICDEAVVRSLVGKKHPDLAEKFDRFLLESYIEDNRMVKWCPSTPHCGNAIRVEDDEFCEVECSCGLQFCFSCLSEAHSPCSCMMWELWTKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSRIAGHSCGRYKEDREKKTERAKRDLYRYMHYHNRYKAHTDSFKLESKLKETILEKVSISEERESRLRDFSWVTNGLYRLFRSRRVLSYSYPFAFYMFGEELFKDEMTNDEREIKQHLFEDQQQQLEANVEKLSMFLEEPFDQYTDDKVMEIRMYECIENDLLGSLQCNTHNIAPYKSKGIEKASELAVCWNNKASTTADTCFPSDCGTSGKHDRPISLGSADDNGCPSQKRPKKETYGGAFFDLNLPAEVLDRN >EOX97239 pep chromosome:Theobroma_cacao_20110822:2:1684567:1688585:-1 gene:TCM_006319 transcript:EOX97239 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MDMLSLREHHARTLLIHYRWDVEKLLAVLVEKGKADLFAEAGVSVVECEDTGSPLLSSSTAMCDICMEELPGDTMTKMACGHRFCNDCWTEHFVVKINEGQSRRIRCMAHKCNAICDEAVVRSLVGKKHPDLAEKFDRFLLESYIEDNRMVKWCPSTPHCGNAIRVEDDEFCEVECSCGLQFCFSCLSEAHSPCSCMMWELWTKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSRIAGHSCGRYKEDREKKTERAKRDLYRYMHYHNRYKAHTDSFKLESKLKETILEKVSISEERESRLRDFSWVTNGLYRLFRSRRVLSYSYPFAFYMFGEELFKDEMTNDEREIKQHLFEDQQQQLEANVEKLSMFLEEPFDQYTDDKVMEIRMQVINLSVIIDTLCKKMYECIENDLLGSLQCNTHNIAPYKSKGIEKASELAVCWNNKASTTADTCFPSDCGTSGKHDRPISLGSADDNGCPSQKRPKKETYGGAFFDLNLPAEVLDRN >EOX97233 pep chromosome:Theobroma_cacao_20110822:2:1684326:1688572:-1 gene:TCM_006319 transcript:EOX97233 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MEDSTSGGEEDYYYSSDRESLDGLENEDSDFQWAPSKGPTTKVITKESLLAAQREDLRRVMDMLSLREHHARTLLIHYRWDVEKLLAVLVEKGKADLFAEAGVSVVECEDTGSPLLSSSTAMCDICMEELPGDTMTKMACGHRFCNDCWTEHFVVKINEGQSRRIRCMAHKCNAICDEAVVRSLVGKKHPDLAEKFDRFLLESYIEDNRMVKWCPSTPHCGNAIRVEDDEFCEVECSCGLQFCFSCLSEAHSPCSCMMWELWTKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSRIAGHSCGRYKEDREKKTERAKRDLYRYMHYHNRYKAHTDSFKLESKLKETILEKVSISEERESRLRDFSWVTNGLYRLFRSRRVLSYSYPFAFYMFGEELFKDEMTNDEREIKQHLFEDQQQQLEANVEKLSMFLEEPFDQYTDDKVMEIRMQVINLSVIIDTLCKKMYECIENDLLGSLQCNTHNIAPYKSKGIEKASELAVCWNNKASTTADTCFPSDCGTSGKHDRPISLGSADDNGCPSQKRPKKETYGGAFFDLNLPAEVLDRN >EOX98147 pep chromosome:Theobroma_cacao_20110822:2:4685550:4688064:1 gene:TCM_006975 transcript:EOX98147 gene_biotype:protein_coding transcript_biotype:protein_coding description:CSL zinc finger domain-containing protein MRRTIMVTQMMVVMTMMRMMIAAIADDTNGVFSPCEDSKVHKSDGFTFGLAFSKKESFFFDNVQLSPCDSRLALASKMAPLAVFRPKVDEISLLTINGSIPLVAGGFMVAFAGRKYAARSFPVMVADDRNTITSFTLVLEFHKGTLQNLHWKSFGCDSCSGESAVCLNNQDCAIPTPKCGHTGCSLGIQLAFSGTDKNLEPLNSWYEVNKIRQYSLYGLYSDLRDSITNSIPGFIPHQ >EOY01929 pep chromosome:Theobroma_cacao_20110822:2:41382312:41386871:1 gene:TCM_011709 transcript:EOY01929 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 1 MSDHLVLYVDRLVRPVPVQSLESEAGPSTEISGPSCSVEDKEKEVVRGGEEEEDEEEEEEPLIHAAECRICQEEDSIKNLETPCACSGSLKYAHRKCVQHWCNEKGDIICEICHQPYQPGYTAPPRPHTEETAIDIGGGWTISGTPLDLRDPRLLAIAEAERQFLEAEYDEYAASNASGAAFCRSAALILMALLLLRHALTVPDADGDDDVSTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLQSGQRRGMQFTIASGPAATPHQADCGWTHCTTEETVEGRLLDELGPLVLNQSTEKLQL >EOY01928 pep chromosome:Theobroma_cacao_20110822:2:41382698:41385625:1 gene:TCM_011709 transcript:EOY01928 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 1 MSDHLVLYVDRLVRPVPVQSLESEAGPSTEISGPSCSVEDKEKEVVRGGEEEEDEEEEEEPLIHAAECRICQEEDSIKNLETPCACSGSLKYAHRKCVQHWCNEKGDIICEICHQPYQPGYTAPPRPHTEETAIDIGGGWTISGTPLDLRDPRLLAIAEAERQFLEAEYDEYAASNASGAAFCRSAALILMALLLLRHALTVPDADGDDDVSTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLQSGQRRGMQFTIASGPAATPHQESV >EOY01931 pep chromosome:Theobroma_cacao_20110822:2:41382844:41385900:1 gene:TCM_011709 transcript:EOY01931 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 1 EEEEDEEEEEEPLIHAAECRICQEEDSIKNLETPCACSGSLKYAHRKCVQHWCNEKGDIICEICHQPYQPGYTAPPRPHTEETAIDIGGGWTISGTPLDLRDPRLLAIAEAERQFLEAEYDEYAASNASGAAFCRSAALILMALLLLRHALTVPDADGDDDVSTFFSCLVSCSFSCFELLDFSCHATSWLGPSVYYSAEGKDSRKQQRWPQHRLLLCYNLGNVGVCSLPLHQDQRRLHTRKVFDLRGIHEGLALTLCW >EOY01932 pep chromosome:Theobroma_cacao_20110822:2:41382340:41385320:1 gene:TCM_011709 transcript:EOY01932 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 1 MSDHLVLYVDRLVRPVPVQSLESEAGPSTEISGPSCSVEDKEKEVVRGGEEEEDEEEEEEPLIHAAECRICQEEDSIKNLETPCACSGSLKYAHRKCVQHWCNEKGDIICEICHQPYQPGYTAPPRPHTEETAIDIGGGWTISGTPLDLRDPRLLAIAEAERQFLEAEYDEYAASNASGAAFCRSAALILMALLLLRHALTVPDADGDDDVSTFFSVTFLASSCWISLAMLHHGLGHQYITAPKAKTGYCLITSTLI >EOY01930 pep chromosome:Theobroma_cacao_20110822:2:41382271:41386732:1 gene:TCM_011709 transcript:EOY01930 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 1 QIPPVFSARRPVPVQSLESEAGPSTEISGPSCSVEDKEKEVVRGGEEEEDEEEEEEPLIHAAECRICQEEDSIKNLETPCACSGSLKYAHRKCVQHWCNEKGDIICEICHQPYQPGYTAPPRPHTEETAIDIGGGWTISGTPLDLRDPRLLAIAEAERQFLEAEYDEYAASNASGAAFCRSAALILMALLLLRHALTVPDADGDDDVSTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLQSGQRRGMQFTIASGPAATPHQADCGWTHCTTEETVEGRLLDELGPLVLNQSTEKLQL >EOY02020 pep chromosome:Theobroma_cacao_20110822:2:41706949:41707868:1 gene:TCM_011780 transcript:EOY02020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger-like protein MASATYQRSDLPTPSFVQVELTITFRLNFQLHYCSTDQIIDLNEEGPLSSQETLGFDLRVLRNRDQVFQILGPVLRRHHIHSSPSFDDIIDDIIQHVRRLGNLGSNKGRQVLALHTEISRTIVQHVNHEEDEDLIGRALEESASEFEASNYNMVPAKESSVKKMLKRVRVEGGDCDQKGGENIEERLVKADDCVICLEELKVGSDASQMPCSHTFHGDCIEKWLMQSHYCPVCRFEMPTE >EOY00076 pep chromosome:Theobroma_cacao_20110822:2:25035819:25039359:-1 gene:TCM_009536 transcript:EOY00076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLGTGLEFRRVRGEDRFYNPAKARRANQNQQTDQLWRAQSDVTPTQSKDKPVVAHMNRHSEKGVGSTDHPKPMLVSAFEPVVSPLSNLERFLDSIMPSVPALYPSKTTMRGWRRCDVEFQPYFVLGNLWESFKEWSAYGAGVPLILNDCDSVVQYYVPYLSGIQIYGDSMKLSAKSRQPGEDSDSDFRDSSSDGSSDCEPERGANVLREKRNHHMASEISLRMDRLSMGDQQIALQEDLSTDEGESVNSQGCLIFEYLERDPPYSREPLADKISDLAFRFPELKTLRSCDLLSSSWISVAWYYYHFCLA >EOY00075 pep chromosome:Theobroma_cacao_20110822:2:25035365:25061137:-1 gene:TCM_009536 transcript:EOY00075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLGTGLEFRRVRGEDRFYNPAKARRANQNQQTDQLWRAQSDVTPTQSKDKPVVAHMNRHSEKGVGSTDHPKPMLVSAFEPVVSPLSNLERFLDSIMPSVPALYPSKTTMRGWRRCDVEFQPYFVLGNLWESFKEWSAYGAGVPLILNDCDSVVQYYVPYLSGIQIYGDSMKLSAKSRQPGEDSDSDFRDSSSDGSSDCEPERGANVLREKRNHHMASEISLRMDRLSMGDQQIALQEDLSTDEGESVNSQGCLIFEYLERDPPYSREPLADKISDLAFRFPELKTLRSCDLLSSSWISVAWYPIYRIPTGPTLKDLDACFLTYHYLHTPIEGGQSAQAPVLTYPNDMDGVPKMSLPVFGLASYKFKGSLWTPNGASNRHLANNLFQAADNWLRLLQVNQPDFTFFCRR >EOY01145 pep chromosome:Theobroma_cacao_20110822:2:37842705:37846565:1 gene:TCM_011080 transcript:EOY01145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MTSFLSSHGCNWSVGVSEHVCKGRGRFYKVPSLGCCGPYYFPSGGFSFSQGQGQYLASTSQSRGRCGPVFSSAVDDDGVDPDDAEDGNDKKESSQGETGGINSEMLRENLERIVGRDDSTFNGLDLATLIRNKYGRSYDVQLIKKEFMGRNLLALNVMWKYMEQRSFPLTEEEYLLRLDDVANTLKCWGAVSHIRNSLAKLKERPRIGKV >EOY01146 pep chromosome:Theobroma_cacao_20110822:2:37842705:37846565:1 gene:TCM_011080 transcript:EOY01146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MTSFLSSHGCNWSVGVSEHVCKGRGRFYKVPSLGCCGPYYFPSGGFSFSQGQGQYLASTSQSRGRCGPVFSSAVDDDGVDPDDAEDGNDKKESSQGETGGEFMGRNLLALNVMWKYMEQRSFPLTEEEYLLRLDDVANTLKCWGAVSHIRNSLAKLKERPRIGKV >EOY01144 pep chromosome:Theobroma_cacao_20110822:2:37842705:37851294:1 gene:TCM_011080 transcript:EOY01144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MTSFLSSHGCNWSVGVSEHVCKGRGRFYKVPSLGCCGPYYFPSGGFSFSQGQGQYLASTSQSRGRCGPVFSSAVDDDGVDPDDAEDGNDKKESSQGETGGINSEMLRENLERIVGRDDSTFNGLDLATLIRNKYGRSYDVQLIKKEFMGRNLLALNVMWKYMEQRSFPLTEEEYLLRLDDVANTLKCWGAVSHIRNSLAKLKERPRIGKAVSIFIDMDESGGRANEWIYK >EOX97081 pep chromosome:Theobroma_cacao_20110822:2:1112100:1113022:-1 gene:TCM_006182 transcript:EOX97081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFSSLVSSSLIISQPNVRISHQTYQGSDGRRLYKPRTVPTMRFPSSFCRLRNDNPSQSPTPLPFPFGSLWGENIVSVPCFHIFIF >EOY01635 pep chromosome:Theobroma_cacao_20110822:2:40406435:40411004:1 gene:TCM_011482 transcript:EOY01635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVTGVSDPDRMLKKSFHRRNDSGELDVFEAARYFSGYNEAASYNCATFTQKIMREERQPWRGGRISLDVPMRNPFPQQTHAVEKQIKEKKYKQPSSPGGRLASFLNSLFNQTGSKKKKSKSTTQSMKDEEESPGGRRKRRSSISHFRSSSTVDTKSFYSSSSSGFRTPPPYAHTPTKSYKDFRSYSDHKQVVTLSKYSIGQTKSTALANEVLEDKRNTTDYSWLDEKFKFNDGYSEKHKNNLGTRHQEKDRNWADRYPSEEKEFKKFNEVDDGADSDSSSDLFELQNYDLGIYSSGLPVYETTHMDSIKRGAPISNGAL >EOX97885 pep chromosome:Theobroma_cacao_20110822:2:3819201:3819753:1 gene:TCM_006802 transcript:EOX97885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWGALYLQIRMLTGELLVRDPLFIQFQVGPHLANDKCQGMQIQVVLLLGMQCQGGHHLAKGLPLLMPVLDGLHPGKGQHQDVRILVMWRPLKIQACQSFNS >EOX96844 pep chromosome:Theobroma_cacao_20110822:2:214202:218619:-1 gene:TCM_005998 transcript:EOX96844 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein isoform 1 MKALRAARSLSSSYPPRLLARLYSAQPQQRHDDSDESHHQNNTDSVFDSAQFSIPETPGSAPQVQQPTWDVLHRQRVERLVFNEDFHADKFSQEEEEGRRRILAKALLEAALEGPEEDAENDREVKEEDQKSLSVGIIGAPNAGKSALTNFMVGTKVAAVSRKTNTTTHEVLGVMTRGDTQICFFDTPGLMLNSSGYLHKDMKVRVESAWSSVDLYDALIVIFDVHRHLTRPDSRVIRLIKRMGAEANSKQKRILCMNKVDLVEKKKDLLKVAEQFKDLPGYERVFMISGLKGSGVGDLTKYLMEQAAVKRPWDEDPLTMSEEVMKNISLEVVRERLLDHVHQEIPYGIEHCLVDWKELRDGSLRIEQHFITNKLSQRKILVGKNGSKIGRIGIEANEELRSIFKRDVHLMLQVRLKT >EOX96843 pep chromosome:Theobroma_cacao_20110822:2:214286:218597:-1 gene:TCM_005998 transcript:EOX96843 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein isoform 1 MKALRAARSLSSSYPPRLLARLYSAQPQQRHDDSDESHHQNNTDSVFDSAQFSIPETPGSAPQVQQPTWDVLHRQRVERLVFNEDFHADKFSQEEEEGRRRILAKALLEAALEGPEEDAENDREVKEEDQKSLSVGIIGAPNAGKSALTNFMVGTKVAAVSRKTNTTTHEVLGVMTRGDTQICFFDTPGLMLNSSGYLHKDMKVRVESAWSSVDLYDALIVIFDVHRHLTRPDSRVIRLIKRMGAEANSKQKRILCMNKVDLVEKKKDLLKVAEQFKDLPGYERVFMISGLKGSGVGDLTKYLMEQAVKRPWDEDPLTMSEEVMKNISLEVVRERLLDHVHQEIPYGIEHCLVDWKELRDGSLRIEQHFITNKLSQRKILVGKNGSKIGRIGIEANEELRSIFKRDVHLMLQVRLKT >EOX99021 pep chromosome:Theobroma_cacao_20110822:2:8110992:8115312:1 gene:TCM_007651 transcript:EOX99021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein MDLDQWIVKVKEGQHLLEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRVIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFLWTCPGNFVWSKLLLGY >EOY01343 pep chromosome:Theobroma_cacao_20110822:2:39125044:39127975:1 gene:TCM_011268 transcript:EOY01343 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 SLGAVPRTNGIANWTINVSDIRTVKVSNISLAASQRDIKEFFSFSGDIQYVEMRRETENAQVAYVTFKDSQGADTAMLLTGATIVDLSVNITPVEDYQLPPEALVSNMAEDVMSTMLAKGFVLGKDAINKAKAFDERHHFTSNASAAVTSIDQKMGLSEKLSIGTAVVNEKMREMNEIFQVSEKTKSAFAVAEQKASSAGTAIMSNRYVSTGALWLSNAFSAVAKAAEDVGMLTKEKVEKAEEEKKEIIYRERTGIISDFAQFHLDESSAAEPTIVPVDSTDSKLGMI >EOY01342 pep chromosome:Theobroma_cacao_20110822:2:39123956:39127975:1 gene:TCM_011268 transcript:EOY01342 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MIRTVKVSNISLAASQRDIKEFFSFSGDIQYVEMRRETENAQVAYVTFKDSQGADTAMLLTGATIVDLSVNITPVEDYQLPPEALVSNMENKPAVTDSTVKKAEDVMSTMLAKGFVLGKDAINKAKAFDERHHFTSNASAAVTSIDQKMGLSEKLSIGTAVVNEKMREMNEIFQVSEKTKSAFAVAEQKASSAGTAIMSNRYVSTGALWLSNAFSAVAKAAEDVGMLTKEKVEKAEEEKKEIIYRERTGIISDFAQFHLDESSAAEPTIVPVDSTDSKLGMI >EOY01341 pep chromosome:Theobroma_cacao_20110822:2:39123745:39128051:1 gene:TCM_011268 transcript:EOY01341 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MSVPLDHTNQSLGAVPRTNGIANWTINVSDIRTVKVSNISLAASQRDIKEFFSFSGDIQYVEMRRETENAQVAYVTFKDSQGADTAMLLTGATIVDLSVNITPVEDYQLPPEALVSNMENKPAVTDSTVKKAEDVMSTMLAKGFVLGKDAINKAKAFDERHHFTSNASAAVTSIDQKMGLSEKLSIGTAVVNEKMREMNEIFQVSEKTKSAFAVAEQKASSAGTAIMSNRYVSTGALWLSNAFSAVAKAAEDVGMLTKEKVEKAEEEKKEIIYRERTGIISDFAQFHLDESSAAEPTIVPVDSTDSKLGMI >EOX99300 pep chromosome:Theobroma_cacao_20110822:2:10039879:10040445:1 gene:TCM_007933 transcript:EOX99300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-responsive family protein, putative MSSTNRAWVAASIAAVEGLKDQGFCRWNYTMRSLHHHAKNHVRSISQTKKLSSSSSAMVSSKGIDEKTKQAEESLRKVMYLSCWGPN >EOY01240 pep chromosome:Theobroma_cacao_20110822:2:38438407:38456591:-1 gene:TCM_011181 transcript:EOY01240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger 7 isoform 3 MIRCLRTMGYSWGYLILLYIFVQISRCIVVGALYPFLRYFGYGLDLKEAAILIWSGLRGAVALSLSLSVKRASDRSLNLSSETGSKFVFFTGGIVFLTLFVNGSTTQFILHFLDMDKLSAAKKRILDYTKYEMLNKALEAFEDLGDDEELGPADWPTVKRYIASLNNLEGDHVHPHIALDPTNLKDIRIRLLNGVQSAYWGMLDEGRITQSTANLLMQSVDEAIDAASDEPLCDWKGLKSNVHFPNYYKFIQTSMFPQKLVTYFTVERLESACCVCAAFLRAHRIARRQLHDFIGDSLIASDVINESEAEGEEARKFLEDVHITFPQILRVVKTRQVTYSVLNHLIDYIQNLEKVGLLEEKEMLHLHDAVQTDLKKLLRNPPLVKIPKITDLISVHPLLGALPSTARKPLEASTKETMKTRGVTLYKEGSKPKGIWLISNGVVKWTSKTRRNKHSLHPTFTHGSTLGLYEVLIGKPYMCDMITDSVVLCFFIESDRILSLLRSDRAVEDFLWQESAIVLAKLLVPQIFEKMGLQDLRALIAERSMMTIYIRGETIEVPHQSIGFLLEGFIKPFNVQDELITSPAVLWPSHGIQSFRNADTSGDTTASFSHQQSWNQFETKGSIIYQAETRARVIIFDIATHEADTVLQRSSSSFNHSHRTLSREHGGLMSWPEHFYNAKQHVQNHGATDQQANRLSARAMQLSIFGSMVDVRRRSRSLSRMNLFKPAHSLSYPRVPSYPGHPLVSVRSEGAATLRKNLEARKFTGQIPPPQVKDSHTKEGHVDDDSSDESGADEEILVRIDSPSSLSFRQAS >EOY01238 pep chromosome:Theobroma_cacao_20110822:2:38437901:38456715:-1 gene:TCM_011181 transcript:EOY01238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger 7 isoform 3 MEEVKENMYVLPLRMLEESSSRSSSSSSDPVDAVIFVGISLVLGIASRHLLRGTRVPYTVALLIIGIGLGSLEYGTSHKLGKIGDGIRLWNSIDPDLLLAVFLPALLFESAFSMEVHQIKRCMAQMLLLAGPGVLISTFCLGSALKLIFPYEWNWTTSLLLGGLLSATDPVAVVALLKELGASKKLNTIIEGESLMNDGTAIVVYQLFYKMVMGQSFNWQAVIEFLAKVSLGAVGIGIAFGIASVLWLGFIFNDTVIEIALTLAVSYIAYFTAQEGVDVSGVLAVMTLGMFYAAVAKTAFKGDGQQTLHHFWEMVAYIANTLIFILSGVVIAEGVLGNDKMFENHGYSWGYLILLYIFVQISRCIVVGALYPFLRYFGYGLDLKEAAILIWSGLRGAVALSLSLSVKRASDRSLNLSSETGSKFVFFTGGIVFLTLFVNGSTTQFILHFLDMDKLSAAKKRILDYTKYEMLNKALEAFEDLGDDEELGPADWPTVKRYIASLNNLEGDHVHPHIALDPTNLKDIRIRLLNGVQSAYWGMLDEGRITQSTANLLMQSVDEAIDAASDEPLCDWKGLKSNVHFPNYYKFIQTSMFPQKLVTYFTVERLESACCVCAAFLRAHRIARRQLHDFIGDSLIASDVINESEAEGEEARKFLEDVHITFPQILRVVKTRQVTYSVLNHLIDYIQNLEKVGLLEEKEMLHLHDAVQTDLKKLLRNPPLVKIPKITDLISVHPLLGALPSTARKPLEASTKETMKTRGVTLYKEGSKPKGIWLISNGVVKWTSKTRRNKHSLHPTFTHGSTLGLYEVLIGKPYMCDMITDSVVLCFFIESDRILSLLRSDRAVEDFLWQESAIVLAKLLVPQIFEKMGLQDLRALIAERSMMTIYIRGETIEVPHQSIGFLLEGFIKPFNVQDELITSPAVLWPSHGIQSFRNADTSGDTTASFSHQQSWNQFETKGSIIYQAETRARVIIFDIATHEADTVLQRSSSSFNHSHRTLSREHGGLMSWPEHFYNAKQHVQNHGATDQQANRLSARAMQLSIFGSMVDVRRRSRSLSRMNLFKPAHSLSYPRVPSYPGHPLVSVRSEGAATLRKNLEARKFTGQIPPPQVKDSHTKEGHVDDDSSDESGADEEILVRIDSPSSLSFRQAS >EOY01239 pep chromosome:Theobroma_cacao_20110822:2:38438407:38456591:-1 gene:TCM_011181 transcript:EOY01239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger 7 isoform 3 MEEVKENMYVLPLRMLEESSSRSSSSSSDPVDAVIFVGISLVLGIASRHLLRGTRVPYTVALLIIGIGLGSLEYGTSHKLGKIGDGIRLWNSIDPDLLLAVFLPALLFESAFSMEVHQIKRCMAQMLLLAGPGVLISTFCLGSALKLIFPYEWNWTTSLLLGGLLSATDPVAVVALLKELGASKKLNTIIEGESLMNDGTAIVVYQLFYKMVMGQSFNWQAVIEFLAKVSLGAVGIGIAFGIASVLWLGFIFNDTVIEIALTLAVSYIAYFTAQEGVDVSGVLAVMTLGMFYAAVAKTAFKGDGQQTLHHFWEMVAYIANTLIFILSGVVIAEGVLGNDKMFENHGYSWGYLILLYIFVQISRCIVVGALYPFLRYFGYGLDLKEAAILIWSGLRGAVALSLSLSVKRASDRSLNLSSETGSKFVFFTGGIVFLTLFVNGSTTQFILHFLDMDKLSAAKKRILDYTKYEMLNKALEAFEDLGDDEELGPADWPTVKRYIASLNNLEGDHVHPHIALDPTNLKDIRIRLLNGVQSAYWGMLDEGRITQSTANLLMQSVDEAIDAASDEPLCDWKGLKSNVHFPNYYKFIQTSMFPQKLVTYFTVERLESACCVCAAFLRAHRIARRQLHDFIGDSLIASDVINESEAEGEEARKFLEDVHITFPQILRVVKTRQVTYSVLNHLIDYIQNLEKVGLLEEKEMLHLHDAVQTDLKKLLRNPPLVKIPKITDLISVHPLLGALPSTARKPLEASTKETMKTRGVTLYKEGSKPKGIWLISNGVVKWTSKTRRNKHSLHPTFTHGSTLGLYEVLIGKPYMCDMITDSVVLCFFIESDRILSLLRSDRAVEDFLWQESAIVLAKLLVPQIFEKMGLQDLRALIAERSMMTIYIRGETIEVPHQSIGFLLEGFIKPFNVQDELITSPAVLWPSHGIQSFRNADTSGDTTASFSHQQSWNQFETKGSIIYQAETRARVIIFDIATHEADTVLQRSSSSFNHSHRTLSREHGGLMSWPEHFYNAKQHVQNHGATDQQANRLSARAMQLSIFGSMVDVRRRSRSLSRMNLFKPAHSLSYPRVPSYPGHPLVSVRSEGAATLRKNLEARKFTGQIPPPQVKDSHTKEGHVDDDSSDESGADEEILVRIDSPSSLSFRQAS >EOY00284 pep chromosome:Theobroma_cacao_20110822:2:30922993:30928099:-1 gene:TCM_010125 transcript:EOY00284 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 4 MSFKNRSPPSPQAQPQPQPQDLKQRVITCLNKLSDRDTLALASAELESIARNLTLDSISPFLNCIHNTDSSSKSPVRRQCVSLLALLSHSHGNALSPHLSKMVSTVARRLRDPDSAVRSACVEATTAMSSHITKPPFSVLSKPLIEMLVVEQDVNSQIGAAMCLAAAIESAPDPETEQLRKVLPKLGKLVRNESFKAKAAVFGVIGSVASVGGARSKGVLGWLVPCAVESLSSEDWATRKAAAEALGKVAVAEKELATEYKAACVTALGNKRFDKVKIVRETMNRSLDLWKEVPGVCEEASASSQSESSSIDNGSIGCFPSVTKSANDAGLRTPQSKKAVPVSRSPPSDASPVPTAKKETPLKSNNRNRNTSIFGRLDRTKPSDWKIEIAEPKFLFSKASCDDNIEESDLGVSRSRENGDSRNSRLETKRVLFGKVRDEKVQKFGGMRSRSRVVPFHDEENLDVDDDNAAVEVDENPRDIENLSLIHEQLAQIEDQQSNLLNLLQKFIGSSQNGINSLETRVNGLEMALDEISYDLAVSSGRIPNMDSADNTCCKLPGAEFLSPKFWRKTEGRFSISRLSSSGRVLSLNAVHNTPDKDSCAESYKPEVSQRYLRQSRGGFVMNPLADACSDIRENSGFYSNRILKNTIQNAERVQVGNASGPDGTSSVPCTAPMNLSSSCL >EOY00281 pep chromosome:Theobroma_cacao_20110822:2:30923544:30927807:-1 gene:TCM_010125 transcript:EOY00281 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 4 MSFKNRSPPSPQAQPQPQPQDLKQRVITCLNKLSDRDTLALASAELESIARNLTLDSISPFLNCIHNTDSSSKSPVRRQCVSLLALLSHSHGNALSPHLSKMVSTVARRLRDPDSAVRSACVEATTAMSSHITKPPFSVLSKPLIEMLVVEQDVNSQIGAAMCLAAAIESAPDPETEQLRKVLPKLGKLVRNESFKAKAAVFGVIGSVASVGGARSKGVLGWLVPCAVESLSSEDWATRKAAAEALGKVAVAEKELATEYKAACVTALGNKRFDKVKIVRETMNRSLDLWKEVPGVCEEASASSQSESSSIDNGSIGCFPSVTKSANDAGLRTPQSKKAVPVSRSPPSDASPVPTAKKETPLKSNNRNRNTSIFGRLDRTKPSDWKIEIAEPKFLFSKASCDDNIEESDLGVSRSRENGDSRNSRLETKRVLFGKVRDEKVQKFGGMRSRSRVVPFHDEENLDVDDDNAAVEVDENPRDIENLSLIHEQLAQIEDQQSNLLNLLQKFIGSSQNGINSLETRVNGLEMALDEISYDLAVSSGRIPNMDSADNTCCKLPGAEFLSPKFWRKTEGRFSISRLSSSGRVLSLNAVHNTPDKDSCAESYKPEVSQRYLRQSRGGFVMNPLADACSDIRENSGFYSNRILKNTIQNAERVQVGNASGPDGTSSVPCTAPMNLSSISNRFSA >EOY00282 pep chromosome:Theobroma_cacao_20110822:2:30923528:30927807:-1 gene:TCM_010125 transcript:EOY00282 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 4 MSFKNRSPPSPQAQPQPQPQDLKQRVITCLNKLSDRDTLALASAELESIARNLTLDSISPFLNCIHNTDSSSKSPVRRQCVSLLALLSHSHGNALSPHLSKMVSTVARRLRDPDSAVRSACVEATTAMSSHITKPPFSVLSKPLIEMLVVEQDVNSQIGAAMCLAAAIESAPDPETEQLRKVLPKLGKLVRNESFKAKAAVFGVIGSVASVGGARSKGVLGWLVPCAVESLSSEDWATRKAAAEALGKVAVAEKELATEYKAACVTALGNKRFDKVKIVRETMNRSLDLWKEVPGVCEEASASSQSESSSIDNGSIGCFPSVTKSANDAGLRTPQSKKAVPVSRSPPSDASPVPTAKKETPLKSNNRNRNTSIFGRLDRTKPSDWKIEIAEPKFLFSKASCDDNIEESDLGVSRSRENGDSRNSRLETKRVLFGKVRDEKVQKFGGMRSRSRVVPFHDEENLDVDDDNAAVEVDENPRDIENLSLIHEQLAQIEDQQSNLLNLLQKFIGSSQNGINSLETRVNGLEMALDEISYDLAVSSGRIPNMDSADNTCCKLPGAEFLSPKFWRKTEGRFSISRLSSSGRVLSLNAVHNTPDKDSCAESYKPEVSQRYLRQSRGGFVMNPLADACSDIRENSGFYSNRILKNTIQNAERVQVGNASGPDGTSSVPCTAPMNLSSRDFQLNWNGS >EOY00285 pep chromosome:Theobroma_cacao_20110822:2:30922997:30928099:-1 gene:TCM_010125 transcript:EOY00285 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 4 MSFKNRSPPSPQAQPQPQPQDLKQRVITCLNKLSDRDTLALASAELESIARNLTLDSISPFLNCIHNTDSSSKSPVRRQCVSLLALLSHSHGNALSPHLSKMVSTVARRLRDPDSAVRSACVEATTAMSSHITKPPFSVLSKPLIEMLVVEQDVNSQIGAAMCLAAAIESAPDPETEQLRKVLPKLGKLVRNESFKAKAAVFGVIGSVASVGGARSKGVLGWLVPCAVESLSSEDWATRKAAAEALGKVAVAEKELATEYKAACVTALGNKRFDKVKIVRETMNRSLDLWKEVPGVCEEASASSQSESSSIDNGSIGCFPSVTKSANDAGLRTPQSKKAVPVSRSPPSDASPVPTAKKETPLKSNNRNRNTSIFGRLDRTKPSDWKIEIAEPKFLFSKASCDDNIEESDLGVSRSRENGDSRNSRLETKRVLFGKVRDEKVQKFGGMRSRSRVVPFHDEENLDVDDDNAAVEVDENPRDIENLSLIHEQLAQIEDQQSNLLNLLQKFIGSSQNGINSLETRVNGLEMALDEISYDLAVSSGRIPNMDSADNTCCKLPGAEFLSPKFWRKTEGRFSISRLSSSGRVLSLNAVHNTPDKDSCAESYKPEVSQRYLRQSRGGFVMNPLADACSDIRENSGFYSNRILKNTIQNAERVQVGNASGPDGTSSVPCTAPMNLLPLKFISNY >EOY00286 pep chromosome:Theobroma_cacao_20110822:2:30922618:30928099:-1 gene:TCM_010125 transcript:EOY00286 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 4 MSFKNRSPPSPQAQPQPQPQDLKQRVITCLNKLSDRDTLALASAELESIARNLTLDSISPFLNCIHNTDSSSKSPVRRQCVSLLALLSHSHGNALSPHLSKMVSTVARRLRDPDSAVRSACVEATTAMSSHITKPPFSVLSKPLIEMLVVEQDVNSQIGAAMCLAAAIESAPDPETEQLRKVLPKLGKLVRNESFKAKAAVFGVIGSVASVGGARSKGVLGWLVPCAVESLSSEDWATRKAAAEALGKVAVAEKELATEYKAACVTALGNKRFDKVKIVRETMNRSLDLWKEVPGVCEEASASSQSESSSIDNGSIGCFPSVTKSANDAGLRTPQSKKAVPVSRSPPSDASPVPTAKKETPLKSNNRNRNTSIFGRLDRTKPSDWKIEIAEPKFLFSKASCDDNIEESDLGVSRSRENGDSRNSRLETKRVLFGKVRDEKVQKFGGMRSRSRVVPFHDEENLDVDDDNAAVEVDENPRDIENLSLIHEQLAQIEDQQSNLLNLLQKFIGSSQNGINSLETRVNGLEMALDEISYDLAVSSGRIPNMDSADNTCCKLPGAEFLSPKFWRKTEGRFSISRLSSSGRVLSLNAVHNTPDKDSCAESYKPEVSQRYLRQSRGGFVMNPLADACSDIRENSGFYSNRILKNTIQNAERVQVGNASGPDGTSSVPCTAPMNLSSKLVNS >EOY00283 pep chromosome:Theobroma_cacao_20110822:2:30922687:30928046:-1 gene:TCM_010125 transcript:EOY00283 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 4 MSFKNRSPPSPQAQPQPQPQDLKQRVITCLNKLSDRDTLALASAELESIARNLTLDSISPFLNCIHNTDSSSKSPVRRQCVSLLALLSHSHGNALSPHLSKMVSTVARRLRDPDSAVRSACVEATTAMSSHITKPPFSVLSKPLIEMLVVEQDVNSQIGAAMCLAAAIESAPDPETEQLRKVLPKLGKLVRNESFKAKAAVFGVIGSVASVGGARSKGVLGWLVPCAVESLSSEDWATRKAAAEALGKVAVAEKELATEYKAACVTALGNKRFDKVKIVRETMNRSLDLWKEVPGVCEEASASSQSESSSIDNGSIGCFPSVTKSANDAGLRTPQSKKAVPVSRSPPSDASPVPTAKKETPLKSNNRNRNTSIFGRLDRTKPSDWKIEIAEPKFLFSKASCDDNIEESDLGVSRSRENGDSRNSRLETKRVLFGKVRDEKVQKFGGMRSRSRVVPFHDEENLDVDDDNAAVEVDENPRDIENLSLIHEQLAQIEDQQSNLLNLLQKFIGSSQNGINSLETRVNGLEMALDEISYDLAVSSGRIPNMDSADNTCCKLPGAEFLSPKFWRKTEGRFSISRLSSSGRVLSLNAVHNTPDKDSCAESYKPEVSQRYLRQSRGGFVMNPLADACSDIRENSGFYSNRILKNTIQNAERVQVGNASGPDGTSSVPCTAPMNLSSSLTGMEAELFRTIVRLRTGASDNQSSKVK >EOX98505 pep chromosome:Theobroma_cacao_20110822:2:6001139:6002171:-1 gene:TCM_007250 transcript:EOX98505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle progression protein 1 MSSMAQSVLMALTVTVNQFASSNVHAVHRKQGKSPPPTTSKPKPSTTTPITAGAATSDTIGIGRRGLILSAVAAAPQLNDSRTELLKKYLKKSEENKAKNDKERQDNYYKRNYKDYFEFVEGTLKGKSEEQLTESEKGILDWLKANK >EOX99424 pep chromosome:Theobroma_cacao_20110822:2:11113695:11114997:1 gene:TCM_008103 transcript:EOX99424 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein POPTRDRAFT_270504 MAETKRICTFLLRLIAFGTTLSAAIIMASSRERTTVLTLSFEAKYSDAPAFKYFVIANAIVSIYGFLLLFLPSESLLWRLVVALDVVFTMLLTSSISAALAIAYVGKKGNPNAGWLPICDQVTKYCNQVKGALVVGFISVIIYMLLLLYSIHRVLNPLLVEKS >EOY01794 pep chromosome:Theobroma_cacao_20110822:2:40926058:40928499:1 gene:TCM_011610 transcript:EOY01794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT2G03350) TAIR;Acc:AT2G03350] MEKALTKVGSLKVGGLWISKKAKEEFSNITEDLSTFSNTVEEKAKWIFNKLKGKPLKSLPDLLREYNLPPGLFPQNVVCYEFDESKAKLIVYLSSPCEVSFKDSSVIRYATRVKGILLRGKLTGIEGMKTKVLVWVKVTSVAVESCKSDKVWFTAGVKKSRPKDAYEAPRDAVRVEEF >EOY00371 pep chromosome:Theobroma_cacao_20110822:2:31848713:31851281:1 gene:TCM_010233 transcript:EOY00371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIYHNPSFKQALMSSEGEKLFSDANMDLEDDLSDENGIGDDGEADSLGTEGSRVDDFLLETIDGVPSIRISNHKQAELAKRWQRSVIIDYNTIFEQQGKFTRIAVELDPSKPLLPKFFLNGKLQKIEYEGLFRVCFNFGVYGHAKETCNIQMRATDDSVQRECNTKKPQLELSPFRPWMLVTRRKPRGVELKPNSSRHPNSRTQTQSGSRFSILCEEDKYNALLKGKNGARDQISHQNRTSTVTVEHSGRLFLHNKEDKPPDRKRVVKINKGLLKKKAKMKSTNQKTPISNLLLDECRQNERKAGDFEIVRTDIEGAKDDSFVRILRDFVKQFKLNMLALVEPRISGVLQIELLEDWVLTDRIVPVNGTYQWCMDTYQLPPGDYFERSYHSLQIQLEVPS >EOY00742 pep chromosome:Theobroma_cacao_20110822:2:34799239:34812320:-1 gene:TCM_010665 transcript:EOY00742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARQILVLALVFIALVGLVSAGEASSGSKGGAEAATPINDDTIGNTDEASAPTTGDEAAAVVEGPVGSEDEAKNASAAQPPSSGATTLGVSAVAGAAAVASYLINSNMHLVVGDGNHIHFWLDKWILKELFSRIFALARNKERYIEIRAIREAFLLFIALKWCQTHSLIIELESDSSNAVKWVTQPTL >EOX99961 pep chromosome:Theobroma_cacao_20110822:2:19573028:19574301:-1 gene:TCM_009061 transcript:EOX99961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRSLLNAAVLLYTIPPDTPYRNRLRICIRAFFGLCYSLFLPSAPVFSALPSPRGESVFSVITLRHSLSFLAWFGFVTR >EOX97442 pep chromosome:Theobroma_cacao_20110822:2:2288278:2292672:1 gene:TCM_006452 transcript:EOX97442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 1 MGTTKLTLALLKNTKNPKLAWQLFKRIQSLPTDSSFLPSVPTISRILIRSNMLQEIDHLHHLLLSSQPQLNPLSSLISLVKLLARSGFFDRAFSQFQSIRTKFPQNPPSICLYNVLFECCIKERCSDYVLWLYKDMVGAGVSPQTYTFNLLICGLCDLGHLDDARELFDKMSEKGCVPNEFSFGILVRGYCRFGLADKGVELLDDMRRFEIRPNRVVYNTLISSFCKEGKTDDAEKLVERMREDGLFPDVVTFNSRISALCRAGKILEASRIFRDMQMDEELGLPRPNVITYNLMLEGFCKQGMLEEAKTLVESMEKKGDLMNLESYNIWLLGLLRNAKLVEAQLVLKDMIYKGVEPNIYSYNVVMDGLCKNGMLSDARMVMGFIISSGLSPDTVTFSTLLHGYCCKGRLYAANSILHEMMRNGCFPNTYTCNILLHSLWKEGKISEAEDLLQKMNEKGYGVDTVTCNIVIDGLCKSGKLDKAMEIGNEMWTHGSAALGNLGNSFIGLVDDANSSKQCIPDLVTYSIIISALCKAGRLDEAKKKFKEMMGKNLQPDSVIFDIFIHIFCKEGKISSAFRVLKDMEKKGCNKSLQTYNSLILGLGSKNQIFEIYGLVDEMRERGITPNVCTYNNIIRCLCENGKMQDTTSILDEMLQKGINPNISSFRMLIEAFCKACDFGVAQELFEIALSICGHKEALYKLMFNELLVGGQLSEAKLVFEAALYRSFHLGGFLYKDLIEKLCKDKKLEEASRILHKMINKGYKFDPATFMPVVDELGKRGNKHEADELAEKMMEMASDGRVGNKIYLNAREPIHRKEIKFGGDDWQTIVHRDDGSGIALKALKRVQKGWGQGSISRLQPHKNKFHDYWEGDG >EOX97443 pep chromosome:Theobroma_cacao_20110822:2:2289302:2292454:1 gene:TCM_006452 transcript:EOX97443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 1 MREDGLFPDVVTFNSRISALCRAGKILEASRIFRDMQMDEELGLPRPNVITYNLMLEGFCKQGMLEEAKTLVESMEKKGDLMNLESYNIWLLGLLRNAKLVEAQLVLKDMIYKGVEPNIYSYNVVMDGLCKNGMLSDARMVMGFIISSGLSPDTVTFSTLLHGYCCKGRLYAANSILHEMMRNGCFPNTYTCNILLHSLWKEGKISEAEDLLQKMNEKGYGVDTVTCNIVIDGLCKSGKLDKAMEIGNEMWTHGSAALGNLGNSFIGLVDDANSSKQCIPDLVTYSIIISALCKAGRLDEAKKKFKEMMGKNLQPDSVIFDIFIHIFCKEGKISSAFRVLKDMEKKGCNKSLQTYNSLILGLGSKNQIFEIYGLVDEMRERGITPNVCTYNNIIRCLCENGKMQDTTSILDEMLQKGINPNISSFRMLIEAFCKACDFGVAQELFEIALSICGHKEALYKLMFNELLVGGQLSEAKLVFEAALYRSFHLGGFLYKDLIEKLCKDKKLEEASRILHKMINKGYKFDPATFMPVVDELGKRGNKHEADELAEKMMEMASDGRVGNKIYLNAREPIHRKEIKFGGDDWQTIVHRDDGSGIALKALKRVQKGWGQGSISRLQPHKNKFHDYWEGDG >EOX98053 pep chromosome:Theobroma_cacao_20110822:2:4382857:4384060:-1 gene:TCM_006906 transcript:EOX98053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSFLSFFAFLSLLLFANTIAAARKDAGEYWRAVMREQAMPEAIEALVRIDAATSSKEKTDCHTPTSFELKEEKILVEAFEPRPNEGEKKSFADYFEPRPNVSAYGDDADLKAEKSSSFTKDRPSIPAYGDDAGLKGEKKSFVSDFEPGPNITVYHD >EOY00493 pep chromosome:Theobroma_cacao_20110822:2:32997276:33001692:1 gene:TCM_010374 transcript:EOY00493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MSESEETSNSSSTSEKESPGSPLPPNDSTSDGDESTHSSSNSEDSGYYDSSTAAPPSPNSSNSSPSSDDDSNEQSLSPPSDTDSPDTSTPPSPPEKDSPLQSTLSPPSEESPPQSTSTSSSSQSPPSPSKQQSPPPSPHKQQSPPSLNSPSKESSQPSPEKSPPSSSSDHPPNSSPSPPPPFSRTSSKSPPPPNSTSIPSFAFSPPSLNGSTISSLPGNFSPQPLAPPPQSPLKTPSNAGGGSSYSRSSNDSQNKEAKNPHYEVAVGVAVAGVFIIAFVALVFFLRRRRKRRPRPYTDYMPPPANFSVATDVFIGHSSRVDATYYNSQLHGQQHSNLVNSFGSQRGQNYHGPDSGIIASSKTFFAYEELVEMTNEFSRQNIIGEGGFGCVYKGWLPDGRAVAVKQLKAGSGQGEREFRAEVEIISRVHHRHLVSLVGYCIAENQRLLIYDFVPNKTLEHHLHGNLRVLDWAKRVKIAVGAAKGLAYLHEDCHPKIIHRDIKSANILLEDDFEVADFGLAKLNDTTQTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVVLLELITGRKPVDPTQPLGDESLVEWARPLLINALETGDFSELVDPRLEKHYVESEMFRMIEAAAACVRHSAAKRPRMVLVARALDFEGESDLSNGVKFGQSTVYDSGQYSQEIKKFRRMALGGDNSSEFDMYSGEYDSKKVSRGQQNYWQSRYSSGDFTSGESETQAFKPISGEYRGASGNYGGGRFSQR >EOY01553 pep chromosome:Theobroma_cacao_20110822:2:40026119:40037987:-1 gene:TCM_011418 transcript:EOY01553 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase isoform 3 MWRSLVKQAAYREKFKFVAEPYTCSLSSCSATGFSQDSVFANKVRASEARANGFYGCLFPGFRQIGVFSSQKDDLGKFSLNQPGDPLRFSGKFWNLKGYASAAEAVISTEEDMSGSEEIHELMEAMAREEKKMSFLVQPKKVAEGMGVAKYNTLKKRQIKIETEAWEEAAKEYQELLMDMCEHKLAPNLPYIKSLFLGWFEPLRDSIVGEQELCKENCRISHAAYFNELSADMMAVVTMHKLMGLLMTNTAGTGGIRVVQAACQIGEAIEHEAKIQSFLGKTKKKKTTDKKPDGESEPVTNKQEKLVKDQEKLRKKVTQLLKKQKVHQVRKIVKGHDTSKSWGQEAHVKVGCRLIQLLIENAYIQPPVDQLGDCPPDIRPAFVHSLKTITKDGNKGSRRYGVIECDLLVRKGLEKTARHMVIPYMPMLVPPQNWTGYDRGAYLFLPSYVMRTHGAKQQRETVKRTPRKQLEPIFEALDTLGNTKWRINKRVLGVVDRLWANGGRVASLVDREDVPLPEKPDTEDEAEIQKWKWKVKAANKQNNERHSLRCDVELKLAVARKMRDEDGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYEGRIAFTENHLDDIFDSADRPLEGRRWWLSAEDPFQCLAACINLSEALRSSSPETTISHMPVHQDGSCNGLQHYAALGRDKLGAASVNLVAGEKPADVYSGIAARVVDIMRRDAEKDPAINPHALHARLLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERCAIADDTEMFVASCYAARTTLTALGEMFQAARSIMNWLGECAKVISSENQPVRWVTPLGLPVVQPYRQLGRHLIKTSLQVLTLQRETDKVMAKRQRTAFPPNFVHSLDGSHMMMTAVACKKAGLNFAGVHDSYWTHACDVDEMNRILREKFVELYEAPILENLLESFQKSFPSLNFPPLPERGDFDLREVLESPYFFN >EOY01554 pep chromosome:Theobroma_cacao_20110822:2:40025863:40037987:-1 gene:TCM_011418 transcript:EOY01554 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase isoform 3 MWRSLVKQAAYREKFKFVAEPYTCSLSSCSATGFSQDSVFANKVRASEARANGFYGCLFPGFRQIGVFSSQKDDLGKFSLNQPGDPLRFSGKFWNLKGYASAAEAVISTEEDMSGSEEIHELMEAMAREEKKMSFLVQPKKVAEGMGVAKYNTLKKRQIKIETEAWEEAAKEYQELLMDMCEHKLAPNLPYIKSLFLGWFEPLRDSIVGEQELCKENCRISHAAYFNELSADMMAVVTMHKLMGLLMTNTAGTGGIRVVQAACQIGEAIEHEAKIQSFLGKTKKKKTTDKKPDGESEPVTNKQEKLVKDQEKLRKKVTQLLKKQKVHQVRKIVKGHDTSKSWGQEAHVKVGCRLIQLLIENAYIQPPVDQLGDCPPDIRPAFVHSLKTITKDGNKGSRRYGVIECDLLVRKGLEKTARHMVIPYMPMLVPPQNWTGYDRGAYLFLPSYVMRTHGAKQQRETVKRTPRKQLEPIFEALDTLGNTKWRINKRVLGVVDRLWANGGRVASLVDREDVPLPEKPDTEDEAEIQKWKWKVKAANKQNNERHSLRCDVELKLAVARKMRDEDGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYEGRIAFTENHLDDIFDSADRPLEGRRWWLSAEDPFQCLAACINLSEALRSSSPETTISHMPVHQDGSCNGLQHYAALGRDKLGAASVNLVAGEKPADVYSGIAARVVDIMRRDAEKDPAINPHALHARLLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERCAIADDTEMFVASCYAARTTLTALGEMFQAARSIMNWLGECAKVISSENQPVRWVTPLGLPVVQPYRQLGRHLIKTSLQVLTLQRETDKVMAKRQRTAFPPNFVHSLDGSHMMMTAVACKKAGLNFAGVHDSYWTHACDVDEMNRILREKFVELYEAPILENLLESFQKSFPSLNFPPLPERGDFDLREVLESPYFFN >EOY01555 pep chromosome:Theobroma_cacao_20110822:2:40027601:40036534:-1 gene:TCM_011418 transcript:EOY01555 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase isoform 3 MWRSLVKQAAYREKFKFVAEPYTCSLSSCSATGFSQDSVFANKVRASEARANGFYGCLFPGFRQIGVFSSQKDDLGKFSLNQPGDPLRFSGKFWNLKGYASAAEAVISTEEDMSGSEEIHELMEAMAREEKKMSFLVQPKKVAEGMGVAKYNTLKKRQIKIETEAWEEAAKEYQELLMDMCEHKLAPNLPYIKSLFLGWFEPLRDSIVGEQELCKENCRISHAAYFNELSADMMAVVTMHKLMGLLMTNTAGTGGIRVVQAACQIGEAIEHEAKIQSFLGKTKKKKTTDKKPDGESEPVTNKQEKLVKDQEKLRKKVTQLLKKQKVHQVRKIVKGHDTSKSWGQEAHVKVGCRLIQLLIENAYIQPPVDQLGDCPPDIRPAFVHSLKTITKDGNKGSRRYGVIECDLLVRKGLEKTARHMVIPYMPMLVPPQNWTGYDRGAYLFLPSYVMRTHGAKQQRETVKRTPRKQLEPIFEALDTLGNTKWRINKRVLGVVDRLWANGGRVASLVDREDVPLPEKPDTEDEAEIQKWKWKVKAANKQNNERHSLRCDVELKLAVARKMRDEDGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYEGRIAFTENHLDDIFDSADRPLEGRRWWLSAEDPFQCLAACINLSEALRSSSPETTISHMPVHQDGSCNGLQHYAALGRDKLGAASVNLVAGEKPADVYSGIAARVVDIMRRDAEKDPAINPHALHARLLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERCAIADDTEMFVASCYAARTTLTALGEMFQAARSIMNWLGECAKVISSENQPVRWVTPLGLPVVQPYRQLGRHLIKTSLQVLTLQRETDKVMAKRQRTAFPPNFVHSLDGSHMMMTAVACKKAGLNFAGLVNFPV >EOY00061 pep chromosome:Theobroma_cacao_20110822:2:24381360:24401894:-1 gene:TCM_009482 transcript:EOY00061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVKTMTNQLISIICSNLGPNRTLRSTFMPLFWFWEKLKASLFQPSLLIFMLTLAMSHSLVPLLI >EOY00189 pep chromosome:Theobroma_cacao_20110822:2:29066074:29070774:-1 gene:TCM_009937 transcript:EOY00189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRPRPMVACRYPILSRILVDPSITQTTGFRLVIRVTSINRDIATVVMGPREVLGRDTYSLVTSNGDHGCEKIVNLVCGTQAKTKKKCKSKENVLWWRIEGSDG >EOX98588 pep chromosome:Theobroma_cacao_20110822:2:6288567:6292833:-1 gene:TCM_007305 transcript:EOX98588 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease proteolytic subunit 6 isoform 1 ASAISASLSLSLASRARPTCITSFSPRNSTRLIVSALPSPYGDSSTMGLSSSTSGLPLKIDKKALHDSNLSYGAVEAKKGNPPVMPAVMTPGGPLDLSTVLFRNRIIFIGQPINSEVAQRVISQLVTLASIDEDADILVYLNCPGGSTYSVLAIYDCMSWHNWSLFQIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQSGCGGHVEDVRRQVNEAVQSRHKIDKMYAVFTGQTIEKVQQYTERDRFLSVSEAMEFGLIDGVLETEY >EOX98589 pep chromosome:Theobroma_cacao_20110822:2:6288775:6292791:-1 gene:TCM_007305 transcript:EOX98589 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease proteolytic subunit 6 isoform 1 RARPTCITSFSPRYINSTRLIVSALPSPYGDSSTMGLSSSTSGLPLKIDKKALHDSNLSYGAVEAKKGNPPVMPAVMTPGGPLDLSTVLFRNRIIFIGQPINSEVAQRVISQLVTLASIDEDADILVYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQSGCGGHVEDVRRQVNEAVQSRHVISSSLYFAFF >EOX98587 pep chromosome:Theobroma_cacao_20110822:2:6288448:6292960:-1 gene:TCM_007305 transcript:EOX98587 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease proteolytic subunit 6 isoform 1 MVASAISASLSLSLASRARPTCITSFSPRNSTRLIVSALPSPYGDSSTMGLSSSTSGLPLKIDKKALHDSNLSYGAVEAKKGNPPVMPAVMTPGGPLDLSTVLFRNRIIFIGQPINSEVAQRVISQLVTLASIDEDADILVYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQSGCGGHVEDVRRQVNEAVQSRHKIDKMYAVFTGQTIEKVQQYTERDRFLSVSEAMEFGLIDGVLETEY >EOY00841 pep chromosome:Theobroma_cacao_20110822:2:35496151:35503344:1 gene:TCM_010770 transcript:EOY00841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MAAGRHGGYRDNEIRDRDSDFEVTRREFAISKEFEPSRNGSRDNERGRVREARDRDRARVRQKDIKEREVINGGYRSSSSRSDSGASSGGDGGSRGPRRCEFSLRVVDKEPGELSSESGSEDAIESESGVKGSEVEEVMENGARSPAGRKRKFSPIVWDRDDKDLSNLSKSRNSPAFIALPPPPPLPKVYRKSPSSIPGGAVQISPVRDSKSHKSQSPSPVAAAETGGYSAQPSPVDLDLSLPKEPGNDQDTEQLEDEDYVPTRHISSSRWAAGDSSPGDEGEIVEDEEMPKRRKKLPLSESSHNRVRNKSVTPELGELKRESSEGIRVRSSESDERGAHSKSGSGDDYPGNDSEKGDYMDIDNEHDRNDSSVSQSDTDSENENDSRGTPEPPAPLLRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMETMKQAFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNQGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGARQYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQLDKIFRILGTPNETIWPDFSKLPGVKVNFVKHQYNLLRKKFPATSFTGTPVLSDAGFDLLNKLLTYDPEKRITAEAALNHEWFREVPLPKTKAFMPTFPAQHAQDRRMRRMLKSPDPLEEQRRKELQQGELGTGGLFG >EOY00842 pep chromosome:Theobroma_cacao_20110822:2:35496287:35499556:1 gene:TCM_010770 transcript:EOY00842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MAAGRHGGYRDNEIRDRDSDFEVTRREFAISKEFEPSRNGSRDNERGRVREARDRDRARVRQKDIKEREVINGGYRSSSSRSDSGASSGGDGGSRGPRRCEFSLRVVDKEPGELSSESGSEDAIESESGVKGSEVEEVMENGARSPAGRKRKFSPIVWDRDDKDLSNLSKSRNSPAFIALPPPPPLPKVYRKSPSSIPGGAVQISPVRDSKSHKSQSPSPVAAAETGGYSAQPSPVDLDLSLPKEPGNDQDTEQLEDEDYVPTRHISSSRWAAGDSSPGDEGEIVEDEEMPKRRKKLPLSESSHNRVRNKSVTPELGELKRESSEGIRVRSSESDERGAHSKSGSGDDYPGNDSEKGDYMDIDNEHDRNDSSVSQSDTDSENENDSRGTPEPPAPLLRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMETMKQAFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNQGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGARQYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQLDKIFRILGTPNETIWPDFSKLPGVKVNFVKHQLPALGDSGIIYCGRNF >EOY00844 pep chromosome:Theobroma_cacao_20110822:2:35496729:35499471:1 gene:TCM_010770 transcript:EOY00844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MAAGRHGGYRDNEIRDRDSDFEVTRREFAISKEFEPSRNGSRDNERGRVREARDRDRARVRQKDIKEREVINGGYRSSSSRSDSGASSGGDGGSRGPRRCEFSLRVVDKEPGELSSESGSEDAIESESGVKGSEVEEVMENGARSPAGRKRKFSPIVWDRDDKDLSNLSKSRNSPAFIALPPPPPLPKVYRKSPSSIPGGAVQISPVRDSKSHKSQSPSPVAAAETGGYSAQPSPVDLDLSLPKEPGNDQDTEQLEDEDYVPTRHISSSRWAAGDSSPGDEGEIVEDEEMPKRRKKLPLSESSHNRVRNKSVTPELGELKRESSEGIRVRSSESDERGAHSKSGSGDDYPGNDSEKGDYMDIDNEHDRNDSSVSQSDTDSENENDSRGTPEPPAPLLRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMETMKQAFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNQGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGARQYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQLDKRFLESLEHQMRQYGLIFPSCLESRSILSSISFQLWVILVWLSGLHW >EOY00843 pep chromosome:Theobroma_cacao_20110822:2:35496192:35499530:1 gene:TCM_010770 transcript:EOY00843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MAAGRHGGYRDNEIRDRDSDFEVTRREFAISKEFEPSRNGSRDNERGRVREARDRDRARVRQKDIKEREVINGGYRSSSSRSDSGASSGGDGGSRGPRRCEFSLRVVDKEPGELSSESGSEDAIESESGVKGSEVEEVMENGARSPAGRKRKFSPIVWDRDDKDLSNLSKSRNSPAFIALPPPPPLPKVYRKSPSSIPGGAVQISPVRDSKSHKSQSPSPVAAAETGGYSAQPSPVDLDLSLPKEPGNDQDTEQLEDEDYVPTRHISSSRWAAGDSSPGDEGEIVEDEEMPKRRKKLPLSESSHNRVRNKSVTPELGELKRESSEGIRVRSSESDERGAHSKSGSGDDYPGNDSEKGDYMDIDNEHDRNDSSVSQSDTDSENENDSRGTPEPPAPLLRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMETMKQAFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNQGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGARQYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQLDKIFRILGTPNETIWPDFSKLPGVKVNFVKHQLPALGDSGLAFWPPLVTVQ >EOY00892 pep chromosome:Theobroma_cacao_20110822:2:35741757:35759611:1 gene:TCM_010810 transcript:EOY00892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quiescin-sulfhydryl oxidase 1 isoform 4 MSVVYWILILNLWILKATSLQAGSRTVLREIGSNNGGGAGSYPKDYAVELNITNFDEVLKDTPATYAIVEFFAHWCPACRNYKPHYEKVARLFNGPEAVHPGIILMTRVDCALKINTKLCDKFSVGHYPMLFWAPPTKFASAGWEPNQAKSEIRLIDDGRTAERLLNWINKQIGSSYGLDDEKFENEHLPSNISDPGQIARAIYDVEEATTTAFDIILVHKMIKSETRASLIKYLQLLVAHHPSRRCRKGSAEVLVNFDDLCPPDMWSSDRHEVATNNVKGVLSNFQICGKEVPRGYWMFCRGSKNYTRGFSCGLWVLMHSLSVRIEDGESQFAFTAICDFIHNFFICEECRQHFYEMCSRVTSPFSKARDFALWLWSAHNEVNERLMKEEASLETGDPKFPKIIWPPKQLCPSCYHSRGSKDKGSSQIEWDQDEVFKFLISYYGNTLVSLYKEKGFLAEEGTKATLDDLVASTNAVVVPLGAALAIALASCAFGALACYWRSQQKNRKPRRSWN >EOY00894 pep chromosome:Theobroma_cacao_20110822:2:35744108:35759046:1 gene:TCM_010810 transcript:EOY00894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quiescin-sulfhydryl oxidase 1 isoform 4 MLFWAPPTKFASAGWEPNQAKSEIRLIDDGRTAERLLNWINKQIGSSYGLDDEKFENEHLPSNISDPGQIARAIYDVEEATTTAFDIILVHKMIKSETRASLIKYLQLLVAHHPSRRCRKGSAEVLVNFDDLCPPDMWSSDRHEVATNNVKGVLSNFQICGKEVPRGYWMFCRGSKNYTRGFSCGLWVLMHSLSVRIEDGESQFAFTAICDFIHNFFICEECRQHFYEMCSRVTSPFSKARDFALWLWSAHNEVNERLMKEEASLETGDPKFPKIIWPPKQLCPSCYHSRGSKDKGSSQIEWDQDEVFKFLISYYGNTLVSLYKEKGFLAEEGTKATLDDLVASTNAVVVPLGAALAIALASCAFGALACYWRSQQKNRKYYHQLHSLKNI >EOY00891 pep chromosome:Theobroma_cacao_20110822:2:35741886:35759633:1 gene:TCM_010810 transcript:EOY00891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quiescin-sulfhydryl oxidase 1 isoform 4 MSVVYWILILNLWILKATSLQAGSRTVLREIGSNNGGGAGSYPKDYAVELNITNFDEVLKDTPATYAIVEFFAHWCPACRNYKPHYEKVARLFNGPEAVHPGIILMTRVDCALKINTKLCDKFSVGHYPMLFWAPPTKFASAGWEPNQAKSEIRLIDDGRTAERLLNWINKQIGSSYGLDDEKFENEHLPSNISDPGQIARAIYDVEEATTTAFDIILVHKMIKSETRASLIKYLQLLVAHHPSRRCRKGSAEVLVNFDDLCPPDMWSSDRHEVATNNVKGVLSNFQICGKEVPRGYWMFCRGSKNYTRGFSCGLWVLMHSLSVRIEDGESQFAFTAICDFIHNFFICEECRQHFYEMCSRVTSPFSKARDFALWLWSAHNEVNERLMKEEASLETGDPKFPKIIWPPKQLCPSCYHSRGSKDKGSSQIEWDQDEVFKFLISYYGNTLVSLYKEKGFLAEEGTKATLDDLVASTNAVVVPLGAALAIALASCAFGALACYWRSQQKNRKPRRSWN >EOY00893 pep chromosome:Theobroma_cacao_20110822:2:35741845:35759073:1 gene:TCM_010810 transcript:EOY00893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quiescin-sulfhydryl oxidase 1 isoform 4 MSVVYWILILNLWILKATSLQAGSRTVLREIGSNNGGGAGSYPKDYAVELNITNFDEVLKDTPATYAIVEFFAHWCPACRNYKPHYEKVARLFNGPEAVHPGIILMTRVDCALKINTKLCDKFSVGHYPMLFWAPPTKFASAGWEPNQAKSEIRLIDDGRTAERLLNWINKQIGSSYGLDDEKFENEHLPSNISDPGQIARAIYDVEEATTTAFDIILVHKMIKSETRASLIKYLQLLVAHHPSRRCRKGSAEVLVNFDDLCPPDMWSSDRHEVATNNVKGVLSNFQICGKEVPRGYWMFCRGSKNYTRGFSCGLWVLMHSLSVRIEDGESQFAFTAICDFIHNFFICEECRQHFYEMCSRVTSPFSKARDFALWLWSAHNEVNERLMKEEASLETGDPKFPKIIWPPKQLCPSCYHSRGSKDKGSSQIEWDQDEVFKFLISYYGNTLVSLYKEKGFLAEEGTKATLDDLVASTNAVVVPLGAALAIALASCAFGALACYWRSQQKNRKYYHQLHSLKNI >EOX99423 pep chromosome:Theobroma_cacao_20110822:2:11101389:11103130:-1 gene:TCM_008102 transcript:EOX99423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-N-acetylmuramoyl-pentapeptide-transferase, putative MKKSGLFAASVAAASATAISASSSPSSPNFACNSNFQFSSEDKKEHESSAIKKSPSKDKFAPRFDGLRFIETLITAHR >EOY01054 pep chromosome:Theobroma_cacao_20110822:2:37167170:37175331:-1 gene:TCM_010987 transcript:EOY01054 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H MKPEMLLKIKEEVKKQFDAGFLEVAKYPEWVANIVPVPKKDGKVRMCVDYRDLNRASPKDNFPLPHIDTLVDNTARHSMFFFMDGFSGYNQIKMAPEDREKTTFITMWGTFCYKVMPFGLKNAGATYQRAMVTLFHDMMHKEVEVYVDDIIVKACKTEDHATNLERLFKRLRKFQLRLNPAKCTFGVTSGKLLGFIVSERGIEVDPDKVQAIRDLPPPKMQKEVRGFLGRLNYIARFISQLTLKCDPIFKLLRKHNPGAWNEECQVAFNKVKEYLLSPPVLAPPVVGRPLLLYLTVNEGSMGCVLGQHDETGKKERAVYYLSKKFTKYESKYSSLEKMCCALAWTAHRLRQYMLYHTTWLIAKLDPIKYIFEKPSLSGRVARWQVLLSEYDIVYVSQKAIKGSAIADFLAERVEEDYEPMEFEFPDEDLMSICQTSGEESEKENWKMFFDGASNALGHGIGVVLVSPEGDHYPVIAKLNFYCTNNVAEYEACVMGIQAAIERKIHILEVYGDSALVIYQLRGEWETRDSKLVRYHKYVSKLIENFDEICFNHLPREENQMADALAMLAAMFKVGTNVKIQPIMINLRECPAHCFSVEEEIDGKPWYHDIVHYLKFQQYPDQSSENDKKTIRRLAMNFFLDGNILYKRSRDQTLLRCVDSTEARRIVEEVHEGVCGAHASGHKLARQVMRAGYYWLTLEKDCIDFARKCHKCQIYADRIHTPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXASPWPFSMWGMDVIGLITPKASNGHRFILVAIDYFTKWVEAASYANVTQKVVCKFIQKEIICRYGFSEMIITDNTSNLNGSMMKEVCAKFKIKHHNSTPYRPKMNGAVEAANKNIKRIIEKMTDIYKDWHEKLPFALHAYRTTVRTSTGATPFSLVYGMEAVLPIEVEIPSLRVLKEVQLEEAEWVNARYEQLNLIEEKRLTALCHGQLYQKRMMRAYDKKAHSRQFREGELVLKRILPNQHDLRGKWTPNWEGPFVVKKAFSGGALILAEMDGREFSNPVNADAVKKYFA >EOX96949 pep chromosome:Theobroma_cacao_20110822:2:658194:660537:1 gene:TCM_006076 transcript:EOX96949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I subunit K MAATAMTTLPQFSGLRPRISAAPVRGLAAVQPMRRKGKGALGARCGYIGSPTNLIMVTTTSLMLFAGRFGLAPSANRKATAGLKLEARDSGLQTGDPAGFTLADTLACGSVGHIIGVGIVLGLKNIGAL >EOX99331 pep chromosome:Theobroma_cacao_20110822:2:10184078:10187089:1 gene:TCM_007964 transcript:EOX99331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferases,folic acid binding isoform 1 MLNKMLACGKVYISESRNRAALELIERAAAKLFPEAAIVNKFVDVTYNRVGYTVVSRLTSEPSQDSFPLKGAVFAIVKAALETIDFELHSGTHPRLGVVDHICFHPLGHTTLDQAAAIAKSLAADIGSKLQVPIFLYGAANEGGRLLDSIRRELGYFKPNFGGIQWSGGTRSESLPLKPDAGPAQVSPAKGVIVIGASHWVANYNVPVLSTDIAAVRRIAKQVSERGGGLPSVQAMGLAHDDRVTEVACNLLEPSKVGGDKVQLEVERLAKEERLSVGKGYFTDLSQDKIIESYIKLSSS >EOX99332 pep chromosome:Theobroma_cacao_20110822:2:10185328:10187089:1 gene:TCM_007964 transcript:EOX99332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferases,folic acid binding isoform 1 MLNKMLACGKVYISESRNRAALELIERAAAKLFPEAAIVNKFVDVTYNRVGYTVVSRLTSEPSQDSFPLKGAVFAIVKAALETIDFELHSGTHPRLGVVDHICFHPLGHTTLDQAAAIAKSLAADIGSKLQVPIFLYGAANEGGRLLDSIRRELGYFKPNFGGIQWSGGTRSESLPLKPDAGPAQVSPAKGVIVIGASHWVANYNVPVLSTDIAAVRRIAKQVSERGGGLPSVQAMGLAHDDRVTEVACNLLEPSKVGGDKVQLEVERLAKEERLSVGKGYFTDLSQDKIIESYIKVMKLQLL >EOY01568 pep chromosome:Theobroma_cacao_20110822:2:40075410:40076069:1 gene:TCM_011429 transcript:EOY01568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAARDDQEEPDKVRFVHVIVTWVMLTYKTHKDPNNSHPSASNLVSRMLTHGKKCSSWLAATHSNRQ >EOY00718 pep chromosome:Theobroma_cacao_20110822:2:34751310:34757521:-1 gene:TCM_010656 transcript:EOY00718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terminal EAR1-like 1, putative isoform 1 MGDTGPVRFPGNLDPTAQEFWPAQIPVCQPQIPLFRPPQLYYPYATPPAVPICDGGVAQFHTPVPAPAPTAYVTSSMVVAPQPPLPPPTAAATRALVLTLVPCDVSESKVRKELEVFGEVRGVQMERVREGIVTVHFYDLRHAEKALKEIREQHMQQQTRVRNQCVAAAAGCEPGEINACAPLPPSARGLIAGRAVWAHFIIPASNAVPDGNNQGTVVVFNLDPGVSTSKLKEIFQAYGPVKELRETPLKKHQKFVEFFDVRDAAKALREMNGKEINGKQVVIEFSRPGGYSRKFFNSNNASNVNAFAACANSISLTTNNYHTRNSEYPSSPPAPPPPPASLSRKFSGGFSSNIPPRSFLSQSQSPTKKASNSSKGNPNGNRNSKASVEASVVEEKVGGGGPKKNAKKNQNNQWTVSISNASKQQQCRGRPWKGRQAKKFDPRFLISEDAMVESSRKDSRTTVMIKNIPNKYSQKLLLNMLDNHCIHCNEQIADGDEQPLSCYDFVYLPIDFNNKCNVGYGFVNMTSPQATWRLYKAFHHQHWEVFNSRKICEVTYARVQGLEALKEHFRNSKFPCEMDHYLPVVFSPPRDGKQLTEPLPIVGQKQQQQSIISGPSANANEEEEEEEEDDDDDDDHSVDEVCNDDKVPGDGGGGSANTPQESNANDPNHFNYCDSDTVDQHEKPSVTASAAKGQAAAMLVMIK >EOY00719 pep chromosome:Theobroma_cacao_20110822:2:34752372:34755270:-1 gene:TCM_010656 transcript:EOY00719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terminal EAR1-like 1, putative isoform 1 MGDTGPVRFPGNLDPTAQEFWPAQIPVCQPQIPLFRPPQLYYPYATPPAVPICDGGVAQFHTPVPAPAPTAYVTSSMVVAPQPPLPPPTAAATRALVLTLVPCDVSESKVRKELEVFGEVRGVQMERVREGIVTVHFYDLRHAEKALKEIREQHMQQQTRVRNQCVAAAAGCEPGEINACAPLPPSARGLIAGRAVWAHFIIPASNAVPDGNNQGTVVVFNLDPGVSTSKLKEIFQAYGPVKELRETPLKKHQKFVEFFDVRDAAKALREMNGKEINGKQVVIEFSRPGGYSRKFFNSNNASNVNAFAACANSISLTTNNYHTRNSEYPSSPPAPPPPPASLSRKFSGGFSSNIPPRSFLSQSQSPTKKASNSSKGNPNGNRNSKASVEASVVEEKVGGGGPKKNAKKNQNNQWTVSISNASKQQQCRGRPWKGRQAKKFDPRFLISEDAMVESSRKDSRTTVMIKNIPNKYSQKLLLNMLDNHCIHCNEQIADGDEQPLSCYDFVYLPIDFNNKCNVGYGFVNMTSPQATWRLYKA >EOY00246 pep chromosome:Theobroma_cacao_20110822:2:30414870:30418009:1 gene:TCM_010073 transcript:EOY00246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor protein kinase family protein MGGQLLWLLLGLTIITTASGGKRSISEECDPGDLKGLISFKGGIRMDASGRLAKWVGHSCCKWEGVSCNNATGRVTEIHLPGFISTDDFVFQSQMEGWLSPSITFLTSLQVLDLGGLTGLTGKIPPLIGHLQNLRKLHLYGNKLKGSVPESIGKLLKLEELHLHENKLSGFLPPSLGCLKNLNALLLHSNRFTASIPDSFTNLTNLIYLDLHSNSLTGHIPENVGELQLLKELDLSDNFLCGEIPPSVNNLTTISVMYLDSNHLEGEIPFPSTFRQMPSLGFLRLQNNHLGGRIPPNFGYLVSLQRVSLANNKFEGAIPPSLANLEALTELYLSGNKLSGLVPKSIGQLSHLILLSISHNLIQGPLPDEMSALQNLQTLDLSFNLLNLTSIPTWLAELPSLSRLYLAGCGIKGQIPDFLRSTPSPIQELDLSVNHLTGGIPAWIGSLTQLYSLNLSRNYLVSNIPDSVADFQELGVLDLHSNKITGSLDQVFKIGNSFPDGSLTYIDLSDNSFTTGIEQIGVGTQQRIEYLNLSHNLLEGQLPTSMGQLKALQSLDLSYNKLGFSLAEAIANLSILETLKLQRNHFTGKIPVEFLNLKNLKDLDLSDNLLVGEIPAGKPLSDFPQSSFTGNKGLCGKPLSPCKS >EOX97266 pep chromosome:Theobroma_cacao_20110822:2:1762404:1766364:1 gene:TCM_006342 transcript:EOX97266 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein with a domain of Uncharacterized protein function isoform 3 MAVEYKCCETDFFIHILLIVLLVLFAGLMSGLTLGLMSMSLVDLEVLAKSGTPKDRKHAAKILPVVKKQHLLLCTLLIYNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCSRHGLAIGATVAPMVQVLVWICFPVAYPISKLLDFLLGHGHVALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELTEKAAKDAMTPISETFAIDINVKLDRELMSLILEKGHSRVPVYYEQPTNIIGLILVKNLLTIHPEDEVPVKSVTIRRIPRVQETLPLYDILNEFQKGHSHMAVVVRQCNKTEQPPSSNSAGSPLPEVKVDIDGDKASQENTLRRKRSLKKWTKGVDSDILHLNGNPLPKLPEEEEAVGIITMEDVIEELLQEEIFDETDHHYEDS >EOX97265 pep chromosome:Theobroma_cacao_20110822:2:1762576:1766623:1 gene:TCM_006342 transcript:EOX97265 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein with a domain of Uncharacterized protein function isoform 3 MAVEYKCCETDFFIHILLIVLLVLFAGLMSGLTLGLMSMSLVDLEVLAKSGTPKDRKHAAKILPVVKKQHLLLCTLLIYNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCSRHGLAIGATVAPMVQVLVWICFPVAYPISKLLDFLLGHGHVALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELTEKAAKDAMTPISETFAIDINVKLDRELMSLILEKGHSRVPVYYEQPTNIIGLILVKNLLTIHPEDEVPVKSVTIRRIPRVQETLPLYDILNEFQKGHSHMAVVVRQCNKTEQPPSSNSAGSPLPEVKVDIDGDKASQENTLRRKRSLKKWKSFPATSNSFKSGSRSKKWTKGVDSDILHLNGNPLPKLPEEEEAVGIITMEDVIEELLQEEIFDETDHHYEDS >EOX97267 pep chromosome:Theobroma_cacao_20110822:2:1762812:1766568:1 gene:TCM_006342 transcript:EOX97267 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein with a domain of Uncharacterized protein function isoform 3 MAVEYKCCETDFFIHILLIVLLVLFAGLMSGLTLGLMSMSLVDLEVLAKSGTPKDRKHAAKILPVVKKQHLLLCTLLIYNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCSRHGLAIGATVAPMVQVLVWICFPVAYPISKLLDFLLGHGHVALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELTEKAAKDAMTPISETFAIDINVKLDRELMSLILEKGHSRVPVYYEQPTNIIGLILVKNLLTIHPEDEVPVKSVTIRRIPRVQETLPLYDILNEFQKGHSHMAVVVRQCNKTEQPPSSNSAGSKYQPLIGIFSSNFPLNHDLAAHVSCTCDANFLFMLGGHSGFYCSVFVSYLFVFSSLKIGPLPEVKVDIDGDKASQENTLRRKRSLKKWKSFPATSNSFKSGSRSKKWTKGVDSDILHLNGNPLPKLPEEEEAVGIITMEDVIEELLQEEIFDETDHHYEDS >EOX98338 pep chromosome:Theobroma_cacao_20110822:2:5425965:5429616:-1 gene:TCM_007125 transcript:EOX98338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclase family protein MLQKMTKTMIPLPLPYLILLLSTTIPTTATTSAYPSVPQDCTLSTGDEKLVPVRREVYGDGRIFDISHRYTTDMLTWGSNDGLGQFLWLPASMKNGSLANNSEMKMPTHTGTHVDAPGHMIDRYFDAGFDVDTLDLEVLNGPALLVDVPRDKNITAEVMESLQIPKGVRRVLFRTLNTDRRLMFTKEYDSNYVGFTTDGARWLVKNTDIKLVGIDFLSVAAHDHLVSAHLEFFESREIILVEGLKLDDVPLGIYSVHCLPLRLLGAEGSPIRCILMK >EOY01767 pep chromosome:Theobroma_cacao_20110822:2:40853364:40854557:1 gene:TCM_011594 transcript:EOY01767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSLKVNFWTNCTNNGQKESTLELYFVFINPSCEESIGALKQEFALDLLGEGSMCCIFSTRFLSLPNLPFFHSALLLVLTMEQSLSLSLVFFSIASNLSVSSTFLSVWFEGKENRESTEGTIAKVVISSRHAGDGGENKGVSDV >EOY00518 pep chromosome:Theobroma_cacao_20110822:2:33189737:33194323:1 gene:TCM_010402 transcript:EOY00518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDQAMNPDQPHVQPYRPTDSTHKAINSAITSSHCAYTCKFDFAKLECSLLSRFGTPPPSLFLLCFHLHFVRRSTNNFNGQYCEAVPQWSLIVLASFKALKSISS >EOY01477 pep chromosome:Theobroma_cacao_20110822:2:39591775:39598348:1 gene:TCM_011349 transcript:EOY01477 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MVKLDRICREANVMLIFARSYGLTGLVRISVKEHAVIESKPDHFLDDLRLNNPWPELRGFAEAIDLNVQDPVAHKHIPYVVILVKMADEWIKSHGGSLPSTREEKREFKELLKARMVAMDEDNYKEAIDASFKVFAPRGISSDLQQIIIDSCAEVASNSSDFWVMVAALKEFIANEGGGEAPLEGSIPDMTSSTEHYVNLQKIYQAKSEADFLVIEKRVRNILKKIGRDPNSIPKATIKSFCKNARKLKVCRYRLIEDEYNNPSLPELQKYLTDEDYSIAVGFYILLRAVDRYAANFNSFPGQFDGGMDEDISRLKTTAVGLLNDFGCNGLTLTEDLINEMCRFGAAELHAVAAFIGGIASQEVIKLITKQFVPMSGTYVFNGIDHKSQLLSLY >EOY01476 pep chromosome:Theobroma_cacao_20110822:2:39591289:39598131:1 gene:TCM_011349 transcript:EOY01476 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MAEPKTKYDRQLRIWGEQGQAALEKASICLLNCGPTGSETLKNLVLGGVGSITIVDGSKVELGDLGNNFMVDESSLGQSKAKCVCSFLQELNDAVKAKFIEEYPEALIDTNPSFFSQFTLVVATQLVEESMVKLDRICREANVMLIFARSYGLTGLVRISVKEHAVIESKPDHFLDDLRLNNPWPELRGFAEAIDLNVQDPVAHKHIPYVVILVKMADEWIKSHGGSLPSTREEKREFKELLKARMVAMDEDNYKEAIDASFKVFAPRGISSDLQQIIIDSCAEVASNSSDFWVMVAALKEFIANEGGGEAPLEGSIPDMTSSTEHYVNLQKIYQAKSEADFLVIEKRVRNILKKIGRDPNSIPKATIKSFCKNARKLKVCRYRLIEDEYNNPSLPELQKYLTDEDYSIAVGFYILLRAVDRYAANFNSFPGQFDGGMDEDISRLKTTAVGLLNDFGCNGLTLTEDLINEMCRFGAAELHAVAAFIGGIASQEVIKLITKQFVPMSGTYVFNGIDHKSQLLSLY >EOX97644 pep chromosome:Theobroma_cacao_20110822:2:2959969:2961065:1 gene:TCM_006613 transcript:EOX97644 gene_biotype:protein_coding transcript_biotype:protein_coding description:S phase kinase-associated protein 1 MASTSKKIMLRSSDGEAFEVEEAVAVESQTIKHMIEDDCADNEIPVPNVTSKILAKVLEYCKKHVDAAADKEKIPEDDLKVWDADFVKVDQNTLFDLILAANYLNIKSLLDLTCQTVAEMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >EOY01640 pep chromosome:Theobroma_cacao_20110822:2:40432900:40443328:1 gene:TCM_011486 transcript:EOY01640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATX1, putative isoform 2 MEHDNRSSSICTPPDLLPYLARSQIRKKRSTRCEIMQNKNGPGLKRPRSKDLTCDAEASNLVQGNRVNPKHGFPHTASKEENEKLSVASVVHSKSVGEDNNYSSAKDGFQLKSVDFSDHHGEKEVKSKFVSSQNAKSTRVANSTSKELDRSGDTELEGFVFPVKTNAKAGDSPVPNDSLGINDVHLFAPDTLDFAEDDCTNLASSARDTTSSVKEELTSANVVISDGLVTESHQEDEVGTANSNTGSEKSDSDSVSQEMAKLMMTVLLPQAIPLLKESSKKKREIINPYKVLPHVVNSRVNNIETNHLLNLPSSAIILTEEAHAEQDRRMHTQSIDHGSVVPNLEHVNSVILDSFEDDQGGDHVAKQAVSFSKSVEVDQTSFNKDTYHSNIQEQLVSINVKQETSDCCDEISENQDTICHKEVNMALNKKPHGSDITMSESASGHVSLIMKAFSEDIQGVCVNLDENSADIENHSMEKKPKNALNCAKAVDTNDNNPSGIGSPVQLSGKDISADTRTPKTNSSYQAQHKVYTRKKVSKQAYSTRKYTGPLSESIIYRNTGDDYAPNVSATTGISLVSKSCHSSDEKPCNRDICDATDMLEGQSYGLPVEKTTTNCKPEMSNMPPVLSNRNQKLVCASKAKDASYLLVPSVSLERGFQENCHKERLEHRSTVENGCPASCQNQVTSVFDTNRSKAREVQGSSDVNHCRDVELNCDLRGIVNLVGSYFHPLPISSVWLCTKGNEIHICVLCGLLVDKDRTLFLYRVSIEEPSIGCPSFVGYTSVTLTFSEIDSERCGLQFTPDGQCLVLLDGIKTPYCREGIIDCICSICSSGCSNENGVKIVQVNHGYVSLVAKLETVESVQCILVCENNYLVAAGTSGRLHLWVMNSTWSAWTEEFILPAGDCLSPCVVELKRIPKCARLVIGHNGIGEFVVWDILKRLILSRFSASGNPIKQFLPISLFSWQPVFSYADMNGRIDEIFTTTKILFSEHKDCFFPPLEGEDIALWLLLSTVSDFEDQYERLPSNCQANPARSWRLALLVKDRVILGSTLDPRAAAIGASFDHGIIGRDDGLVYMWELSTGTRLGVLHHFKGGSVSCIATDDLRPDVVAVAADDGQLLIYLHSQENFAK >EOY01641 pep chromosome:Theobroma_cacao_20110822:2:40432703:40441940:1 gene:TCM_011486 transcript:EOY01641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATX1, putative isoform 2 MEHDNRSSSICTPPDLLPYLARSQIRKKRSTRCEIMQNKNGPGLKRPRSKDLTCDAEASNLVQGNRVNPKHGFPHTASKEENEKLSVASVVHSKSVGEDNNYSSAKDGFQLKSVDFSDHHGEKEVKSKFVSSQNAKSTRVANSTSKELDRSGDTELEGFVFPVKTNAKAGDSPVPNDSLGINDVHLFAPDTLDFAEDDCTNLASSARDTTSSVKEELTSANVVISDGLVTESHQEDEVGTANSNTGSEKSDSDSVSQEMAKLMMTVLLPQAIPLLKESSKKKREIINPYKVLPHVVNSRVNNIETNHLLNLPSSAIILTEEAHAEQDRRMHTQSIDHGSVVPNLEHVNSVILDSFEDDQGGDHVAKQAVSFSKSVEVDQTSFNKDTYHSNIQEQLVSINVKQETSDCCDEISENQDTICHKEVNMALNKKPHGSDITMSESASGHVSLIMKAFSEDIQGVCVNLDENSADIENHSMEKKPKNALNCAKAVDTNDNNPSGIGSPVQLSGKDISADTRTPKTNSSYQAQHKVYTRKKVSKQAYSTRKYTGPLSESIIYRNTGDDYAPNVSATTGISLVSKSCHSSDEKPCNRDICDATDMLEGQSYGLPVEKTTTNCKPEMSNMPPVLSNRNQKLVCASKAKDASYLLVPSVSLERGFQENCHKERLEHRSTVENGCPASCQNQVTSVFDTNRSKAREVQGSSDVNHCRDVELNCDLRGIVNLVGSYFHPLPISSVWLCTKGNEIHICVLCGLLVDKDRTLFLYRVSIEEPSIGCPSFVGYTSVTLTFSEIDSERCGLQFTPDGQCLVLLDGIKTPYCREGIIDCICSICSSGCSNENGVKIVQVNHGYVSLVAKLETVESVQCILVCENNYLVAAGTSGRLHLWVMNSTWSAWTEEFILPAGDCLSPCVVELKRIPKCARLVIGHNGIGEFVVWDILKRLILSRFSASGNPIKQFLPISLFSWQPVFSYADMNGRIDEIFTTTKILFSEHKDCFFPPLEGEDIALWLLLSTVSDFEDQYERLPSNCQANPARSWRLALLVKDRVILGSTLDPRAAAIGASFDHGIIGRDDGLVYMWELSTGTRLGVLHHFKGGSVSCIATDDLRPDVVAVAADDGQLLIYLHSQENFAK >EOY01639 pep chromosome:Theobroma_cacao_20110822:2:40432453:40442075:1 gene:TCM_011486 transcript:EOY01639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATX1, putative isoform 2 MAKTKEGEEVAKSDGLEIISIGSLYKGPWDKKYWSSSRGKDRYPYPVGYQAVRAHNGITYKTEIHEGPKGPLFVISCDGQSCSGQTPDIAWEKFQKTGCPHLKIWHGKRFSCRIDGVEFFGFKNPLIQRLLRELVANVNGTAERSLLSSRFCNGASIMEHDNRSSSICTPPDLLPYLARSQIRKKRSTRCEIMQNKNGPGLKRPRSKDLTCDAEASNLVQGNRVNPKHGFPHTASKEENEKLSVASVVHSKSVGEDNNYSSAKDGFQLKSVDFSDHHGEKEVKSKFVSSQNAKSTRVANSTSKEVRLLDRSGDTELEGFVFPVKTNAKAGDSPVPNDSLGINDVHLFAPDTLDFAEVDHNINADDCTNLASSARDTTSSVKEELTSANVVISDGLVTESHQEDEVGTANSNTGSEKSDSDSVSQEMAKLMMTVLLPQAIPLLKESSKKKREIINPYKVLPHVVNSRVNNIETNHLLNLPSSAIILTEEAHAEQDRRMHTQSIDHGSVVPNLEHVNSVILDSFEDDQGGDHVAKQAVSFSKSVEVDQTSFNKDTYHSNIQEQLVSINVKQETSDCCDEISENQDTICHKEVNMALNKKPHGSDITMSESASGHVSLIMKAFSEDIQGVCVNLDENSADIENHSMEKKPKNALNCAKVNRDFYDFQLDANNHVSAAVDTNDNNPSGIGSPVQLSGKDISADTRTPKTNSSYQAQHKVYTRKKVSKQAYSTRKYTGPLSESIIYRNTGDDYAPNVSATTGISLVSKSCHSSDEKPCNRDICDATDMLEGQSYGLPVEKTTTNCKPEMSNMPPVLSNRNQKLVCASKAKDASYLLVPSVSLERGFQENCHKERLEHRSTVENGCPASCQNQVTSVFDTNRSKAREVQGSSDVNHCRDVELNCDLRGIVNLVGSYFHPLPISSVWLCTKGNEIHICVLCGLLVDKDRTLFLYRVSIEEPSIGCPSFVGYTSVTLTFSEVSFGGRICCNSSAIFIIDSERCGLQFTPDGQCLVLLDGIKTPYCREGIIDCICSICSSGCSNENGVKIVQVNHGYVSLVAKLETVESVQCILVCENNYLVAAGTSGRLHLWVMNSTWSAWTEEFILPAGDCLSPCVVELKRIPKCARLVIGHNGIGEFVVWDILKRLILSRFSASGNPIKQFLPISLFSWQPVFSYADMNGRIDEIFTTTKILFSEHKDCFFPPLEGEDIALWLLLSTVSDFEDQYERLPSNCQANPARSWRLALLVKDRVILGSTLDPRAAAIGASFDHGIIGRDDGLVYMWELSTGTRLGVLHHFKGGSVSCIATDDLRPDVVAVAADDGQLLIYLHSQENFAK >EOX98011 pep chromosome:Theobroma_cacao_20110822:2:4238959:4246896:-1 gene:TCM_006877 transcript:EOX98011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MGKSSSKCIFLGYANGVKGYKVYDLTANKALISRNVIFHENVFLFHFSQQNSQASTFYYSLSTLNKEDGGFIALLVYVNDIIIATSSSQLAIDVKTYLGSRFKLKDLKAIKYFLGLEVARSFKGIFICQRKYTLDLLQEHGLLGAKPATTPTTRKIGFCDGFFHHRKTCASYGNLSVFEVFEEFTWSRSVIGFCLFLGESLVSWKSKKQQVVAISFTKAEYKALAFTCCEVLWLRSLLSDFGILHTSPISLYTDSQSAIHICKNPVLHERTKYIEMDYHFIREKVSSGFIVRIHVCTNIQLADLFTKTLQPQAFLSLPSKMNMNNIYTSS >EOX98623 pep chromosome:Theobroma_cacao_20110822:2:6510401:6511195:1 gene:TCM_007338 transcript:EOX98623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein, putative MKGLVVGVVVGAWALILGLGFIWFISRRKRHKDNKLDDHINDVFFAGGFQTGIGPKKFSLVELVKVTSNFKDELKLGEGGFGAVYRGYLRELDTYVAVKRVSKASKQGIKEYASEVTIISRLRHKNLVKLIGWCHEKGELILVYEFMANGSLDAHLFKGKSLLTWEVRFKIVQGLASALFYLHEEGDHCVLHRDIKTSNVMLDSSFNAKLGDFGLARKS >EOX99396 pep chromosome:Theobroma_cacao_20110822:2:10998184:11000479:-1 gene:TCM_008082 transcript:EOX99396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWLEEKEGEEKERENLILYGLASTPASDSTHTKKVASFDPFYANITITVSHLGKAVMFEFSTIAKGLGEADVNGMMKPVLDMFHKLWLKATRMESEGREESMSPPKP >EOX98357 pep chromosome:Theobroma_cacao_20110822:2:5476822:5478263:-1 gene:TCM_007137 transcript:EOX98357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTGRPKDGNTQLALAEQKVGLPPRPPLAASTAIVEYEKPVFKEDEEDLEVKLRRIIENVPVRVSNTSGSSAGSGSGDFHQYRQMKWKEQDRLARMDADYQKRKEIAEFNLRREEKIKAAEERTAKKRLKRQKKKQRKKEKKMKSGAEGEENKKEESSDDAGDSDNDEEAAQ >EOX98786 pep chromosome:Theobroma_cacao_20110822:2:7217087:7218553:1 gene:TCM_007480 transcript:EOX98786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMQGMPKFAEKLYAIPTMKKVILSIFGLYLHKLLALGNLKETARTLIKHEIGNGLFFWYDGGYLLALSLLMAEIQQMCSPISICSADEIVVWQAAKSGMSKASDTCGAVHAENASKLWADLKEQFA >EOX99476 pep chromosome:Theobroma_cacao_20110822:2:11501870:11506206:1 gene:TCM_008157 transcript:EOX99476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 4 MHGKEILHKMKLKAGLFSSGADTGKGKSKMSKHITHGFHCMKGKSNHDMEDYVVSEFKKKDDSELGLFAIFDGHLGHDVAKYLQVHLFDNILKEHDFWTEMEDAIRRAYHSTDAEILDKARLLGRGGSTAVTAILINGQKLVVANVGDSRAVICKNGVAEQLSVDHEPSKEKRMIESRGGFVSNLPGDVPRVDGQLAVARAFGDKSLKLHLSSEPDVTAVPIGDDVMSNQEAVDTIKNIKDAQSAAKLLIEAAVSKKSKDDISCIVVRFH >EOX99478 pep chromosome:Theobroma_cacao_20110822:2:11501870:11506206:1 gene:TCM_008157 transcript:EOX99478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 4 MHGKEILHKMKLKAGLFSSGADTGKGKSKMSKHITHGFHCMKGKSNHDMEDYVVSEFKKKDDSELGLFAIFDGHLGHDVAKYLQVHLFDNILKEHDFWTEMEDAIRRAYHSTDAEILDKARLLGRGGSTAVTAILINGQKLVVANVGDSRAVICKNGVAEQLSVDHEPSKEKRMIESRGGFVSNLPGDVPRVDGQLAVARAFGDKSLKLHLSSEPDVTAVPIGDDVEFIILASDGIWKVNVKPRSSGHYQKHKGCSISSKALDRGSSF >EOX99475 pep chromosome:Theobroma_cacao_20110822:2:11502061:11506006:1 gene:TCM_008157 transcript:EOX99475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 4 MHGKEILHKMKLKAGLFSSGADTGKGKSKMSKHITHGFHCMKGKSNHDMEDYVVSEFKKKDDSELGLFAIFDGHLGHDVAKYLQVHLFDNILKEHDFWTEMEDAIRRAYHSTDAEILDKARLLGRGGSTAVTAILINGQKLVVANVGDSRAVICKNGVAEQLSVDHEPSKEKRMIESRGGFVSNLPGDVPRVDGQLAVARAFGDKSLKLHLSSEPDVTAVPIGDDVEFIILASDGIWKVMSNQEAVDTIKNIKDAQSAAKLLIEAAVSKKSKDDISCIVVRFH >EOX99474 pep chromosome:Theobroma_cacao_20110822:2:11501769:11506940:1 gene:TCM_008157 transcript:EOX99474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 4 MHGKEILHKMKLKAGLFSSGADTGKGKSKMSKHITHGFHCMKGKSNHDMEDYVVSEFKKKDDSELGLFAIFDGHLGHDVAKYLQVHLFDNILKEHDFWTEMEDAIRRAYHSTDAEILDKARLLGRGGSTAVTAILINGQKLVVANVGDSRAVICKNGVAEQLSVDHEPSKEKRMIESRGGFVSNLPGDVPRVDGQLAVARAFGDKSLKLHLSSEPDVTAVPIGDDVEFIILASDGIWKVMSNQEAVDTIKNIKDAQSAAKLLIEAAVSKKSKDDISCIVAEAGSTENSQMCWALDIYSAVSA >EOX99479 pep chromosome:Theobroma_cacao_20110822:2:11501870:11506176:1 gene:TCM_008157 transcript:EOX99479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 4 MSRKVVGKNAFISKHAGAEMLNSHLKAGLFSSGADTGKGKSKMSKHITHGFHCMKGKSNHDMEDYVVSEFKKKDDSELGLFAIFDGHLGHDVAKYLQVHLFDNILKEHDFWTEMEDAIRRAYHSTDAEILDKARLLGRGGSTAVTAILINGQKLVVANVGDSRAVICKNGVAEQLSVDHEPSKEKRMIESRGGFVSNLPGDVPRVDGQLAVARAFGDKSLKLHLSSEPDVTAVPIGDDVEFIILASDGIWKVMSNQEAVDTIKNIKDAQSAAKLLIEAAVSKKSKDDISCIVVRFH >EOX99480 pep chromosome:Theobroma_cacao_20110822:2:11501870:11506206:1 gene:TCM_008157 transcript:EOX99480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 4 MSRKVVGKNAFISKHAGAEMLNSHLKAGLFSSGADTGKGKSKMSKHITHGFHCMKGKSNHDMEDYVVSEFKKKDDSELGLFAIFDGHLGHDVAKYLQVHLFDNILKEHDFWTEMEDAIRRAYHSTDAEILDKARLLGRGGSTAVTAILINGQKLVVANVGDSRAVICKNGVAEQLSVDHEPSKEKRMIESRGGFVSNLPGDVPRVDGQLAVARAFGDKSLKLHLSSEPDVTAVPIGDDVEFIILASDGIWKVNVKPRSSGHYQKHKGCSISSKALDRGSSF >EOX99477 pep chromosome:Theobroma_cacao_20110822:2:11502443:11505628:1 gene:TCM_008157 transcript:EOX99477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 4 MHGKEILHKMKLKAGLFSSGADTGKGKSKMSKHITHGFHCMKGKSNHDMEDYVVSEFKKKDDSELGLFAIFDGHLGHDVAKYLQVHLFDNILKEHDFWTEMEDAIRRAYHSTDAEILDKARLLGRGGSTAVTAILINGQKLVVANVGDSRAVICKNGVAEQLSVDHEPSKEKRMIESRGGFVSNLPGDVPRVDGQLAVARAFGDKSLKLHLSSEPDVTAVPIGDDVEFIILASDGIWKVNVKPRSSGHYQKHKGCSISSKALDRGSSF >EOY00880 pep chromosome:Theobroma_cacao_20110822:2:35664308:35664739:1 gene:TCM_010799 transcript:EOY00880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLESPQDHYLFSTPMKYRQDNLLDRFLSTASFGCCHCVFIVFFIFTPVKRIASQKHSSIIVVFIAKTPRSHPISLYVLAIHT >EOY00068 pep chromosome:Theobroma_cacao_20110822:2:24753459:24754259:-1 gene:TCM_009514 transcript:EOY00068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H protein, putative MDDPSCPQCHPDIESASHMLRDCLLITALWCRIFTQSLQDGAFGASVGKSAGGGTLRDSHGMWNGGFSLKVGKCTAYREELWGVYEGLLLAWDLGYRKIDL >EOY02013 pep chromosome:Theobroma_cacao_20110822:2:41683570:41686794:1 gene:TCM_011775 transcript:EOY02013 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose transporter 3 isoform 1 MELHDAGLRRVFVLAFCVAGIWSAYIYQGVLQETLSTKRFGPDGKRFEHLAFLNLAQNVVCLVWSYIMIKLWSSSSSGGAPWWTYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYGIRYTLPEYICTFLVAGGVSTFALMKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSITARYPKTSAWDIMLGMNLWGTIYNMIYMFGWPHGSGFEAVQFCRQHPEAAWDIFLYCLCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSLLSGNPLSGKQWGCVLMVFSGLSYQIYLKWRKLQRLQKKRKA >EOY02014 pep chromosome:Theobroma_cacao_20110822:2:41683571:41686821:1 gene:TCM_011775 transcript:EOY02014 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose transporter 3 isoform 1 MELHDAGLRRVFVLAFCVAGIWSAYIYQGVLQETLSTKRFGPDGKRFEHLAFLNLAQNVVCLVWSYIMIKLWSSSSSGGAPWWTYWSAGITNTIGPAMGIEALKYISYPAQQVLAKSSKMIPVMLMGTLVYGIRYTLPEYICTFLVAGGVSTFALMKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSITARYPKTSAWDIMLGMNLWGTIYNMIYMFGWPHGSGFEAVQFCRQHPEAAWDIFLYCLCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSLLSGNPLSGKQWGCVLMVFSGLSYQIYLKWRKLQRLQKKRKA >EOY00095 pep chromosome:Theobroma_cacao_20110822:2:25567385:25573829:1 gene:TCM_009581 transcript:EOY00095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor serine/threonine kinase, putative MRFKLSFLSLMNIYILIFFFVLRKSLAVDENFTVCSEPSTCGRHNIKFPFFIQERRCGYPAFNISCRNSTDAILSLPDGDYIIHDIFYQNQSFQVSKAVAFDRDAVCSHSIPNISIPEDWLSLAPNQAEIFLLFNCNLTVPSTWELSQHKVNCSAENETNATLALFNNDPKLNFASNICEASGGKCGFDYSTYHFKCFCPDRPHAWHCTPGNSTGFTIKVAAASSIAGIVVLIILAFCFIKKFSSEDSMFIRKTKTEADKKIEAFLKDNVFLAPKRYRHSDIKKITNSFQYKLGQGGYGDVYRGKLLDGRHVAVKILKKSQRNGEEFMNEVASISRTSHVNVVTLLGFCFEGHRRALIYEFVPNGSLEKFIFQEKAGHRQLEWETLYQIAVGIARGLQYLHRGCNTRILHFDIKPHNILLDADFCPKISDFGLAKLCPEKESAISMTGARGTAGYIAPEVFSRNFGRVSHKSDVYSYGMMILEMVGGRKNINVEVDRTSEIYFPHWIHDRIELDEELGLQGIIDGDDQERVRKMIIVSLWCIQTDPSNRPPMSRVVEMMEGNIDSLNIPPKPFLSSPPTSPDANSTSRLIA >EOX96809 pep chromosome:Theobroma_cacao_20110822:2:95335:97115:1 gene:TCM_005967 transcript:EOX96809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDQIRPGEAPDINSFFLCLWCPRPNARPLCFFFSFVRYSSMPFAPPIFSCWFFFFVLFSLSSRAGQEFGSCMSLPKAL >EOY01230 pep chromosome:Theobroma_cacao_20110822:2:38408317:38410702:1 gene:TCM_011174 transcript:EOY01230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase 4 isoform 2 MPENSVPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMDAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLQDSEAAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPAKAVEMVDENTICVAAILGSTLGSSQVIAQYYQLIRLGYEGYRNVMENCHENAMVLKEGLEKTGRFNIVSKDHGVPLVAFSLKNNKRHDEFEISEMLRRFGWIVPAYTMPADAQHITVLRVVIREDFSRTLAERLVLDIKKVLHELDTLPAKVNAKLAMAGEENGNNGTVKKSAIETQREITAYWMKYVSERKTNKNKIC >EOY01229 pep chromosome:Theobroma_cacao_20110822:2:38407896:38410693:1 gene:TCM_011174 transcript:EOY01229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase 4 isoform 2 MVLSKTASESDVSIHSTFASRYVRASLPRFKMPENSVPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMDAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLQDSEAAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPAKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLIEKNKETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRSKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYRNVMENCHENAMVLKEGLEKTGRFNIVSKDHGVPLVAFSLKNNKRHDEFEISEMLRRFGWIVPAYTMPADAQHITVLRVVIREDFSRTLAERLVLDIKKVLHELDTLPAKVNAKLAMAGEENGNNGTVKKSAIETQREITAYWMKYVSERKTNKNKIC >EOY01237 pep chromosome:Theobroma_cacao_20110822:2:38433251:38439175:1 gene:TCM_011180 transcript:EOY01237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, putative isoform 2 MLGTCMLIGDGILTPAISVLSAMDGLRAPFPSVSKSLVEALSAVVLFVLFLLQKFGTSRVSFLFSPIMGAWTLSTPLVGIYSIIHHYPSIFKALSPHYIIRFFWRNGKEGWLMLSGTILCITGSEALFADLGHFNRSSIQIAFLFTIYPSLVLTYAGETAYLIKNPNDHKDAFYKSIPKIVYWPIFIIATSAATVASQSLISATFSVIKQSVVLGYFPRVKVVHTSSNKEGEVYSPEVNYILMVFCVGVILIFGDGQDIGNAFGVVVSLVMLITTILLTLVMIIIWRTPPWLVAMYFFIFFTMEGVYVSAVLTKIPEGGWIPFAISFILAFIMFGWYYGRQRKIEYELTHRIDMERLGVLLSDPSVRRVPGLCFFYTNIQNGLTPILGHYVKNMRSLHKVTIFTTLRYILVPKVAPQERIAVKQLSPKGVYACVIQYGYADSLNLEGDDFVSQVTDSLRVHIENCSCCLDSDPSQVQEEISELREAKMAGVIHIRGKARFHVGKNSSLFDRYTLALYEVLHNNCRSALPALGVPLPQRLEVGMFYEA >EOY01236 pep chromosome:Theobroma_cacao_20110822:2:38433251:38439175:1 gene:TCM_011180 transcript:EOY01236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, putative isoform 2 MDIQSEAARTEVVADSASTNGHHCDYLHYPQGSTAMVQSTDNKDTFSWSNLILAYKTLGVVFGGLVTSPLYVYPSMTLNSPTEEDYLGIYSIMFWTLTLIGVVKYASIALKADDQGEGGTFALYSLLCRNMNIGILSSKHSYLNSSRSRSVLHEGTKGKSRLGKVFETSIVARRLLLFIAMLGTCMLIGDGILTPAISVLSAMDGLRAPFPSVSKSLVEALSAVVLFVLFLLQKFGTSRVSFLFSPIMGAWTLSTPLVGIYSIIHHYPSIFKALSPHYIIRFFWRNGKEGWLMLSGTILCITGSEALFADLGHFNRSSIQIAFLFTIYPSLVLTYAGETAYLIKNPNDHKDAFYKSIPKIVYWPIFIIATSAATVASQSLISATFSVIKQSVVLGYFPRVKVVHTSSNKEGEVYSPEVNYILMVFCVGVILIFGDGQDIGNAFGVVVSLVMLITTILLTLVMIIIWRTPPWLVAMYFFIFFTMEGVYVSAVLTKIPEGGWIPFAISFILAFIMFGWYYGRQRKIEYELTHRIDMERLGVLLSDPSVRRVPGLCFFYTNIQNGLTPILGHYVKNMRSLHKVTIFTTLRYILVPKVAPQERIAVKQLSPKGVYACVIQYGYADSLNLEGDDFVSQVTDSLRVHIENCSCCLDSDPSQVQEEISELREAKMAGVIHIRGKARFHVGKNSSLFDRYTLALYEVLHNNCRSALPALGVPLPQRLEVGMFYEA >EOX99239 pep chromosome:Theobroma_cacao_20110822:2:9344895:9345991:1 gene:TCM_007842 transcript:EOX99239 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box type zinc finger family protein, putative MKSCELCKLAARTYCESDQASLCWNCDAKVHGANFLVARHVRCLLCHTCQSVTPWRAAGAKLGHTVSVCERCVNGGDREESEAENDDEDDDDDDDEEEEEVDSDDDVSVDDDVEEDGDNQVVPWSTVVITPPPSSSSSSSDDSSGGEREVSESTNLFSLKRLRENASDLLSQDDPDPSPSKRKYSYRTVWGTLCRPDDDAVSVDSVRLLKDQPIQPDGSLQFQADSSPRGAASTESLGKLGPDKIQ >EOX99284 pep chromosome:Theobroma_cacao_20110822:2:9884972:9889241:-1 gene:TCM_007908 transcript:EOX99284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLFIHKHKNGELDEESMRILFEYKQQISQNLENSENLEAQNEIFAHVMGHNKAGHVSLYERGATASD >EOX99285 pep chromosome:Theobroma_cacao_20110822:2:9887166:9888820:-1 gene:TCM_007908 transcript:EOX99285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNRVFYCVQASGHIQFSNSDSVDDGIALKKLCYKLYDSAYLHYNRLYIAQLGTQEKATEAYDIVAIKFRGTSALPDLKKLLDIDFENVKASSSSNPQNHPLVHQFR >EOX98167 pep chromosome:Theobroma_cacao_20110822:2:4731038:4732331:-1 gene:TCM_006990 transcript:EOX98167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C 55, putative MSTQDKVDSCNNILSLDNERILKKGRFISKQICTETFEQNLDDKVQVFSSQGLKMKLGTCYVPKDNELKPLGEDACFICYEEQTLGVADGVGGWAATGVDAGEYARQLMANAIVAVHEEHMLKGSVDPGRVLHEAYFHTKVEGSSTACILTLKTDFLHAVNVGDSGFMVFRDAKLVYRSPIQQHDFNCPYQLGNSKTSDGPDMAMELFVRVKAGDIVVLGTDGLFDNMYPTEMEEILKRETNEQEGSICPKKIASLIAGYALYNSFDKFAFSPFATAAKKAGLNHMGGKVDDITVVVGIIQC >EOY02058 pep chromosome:Theobroma_cacao_20110822:2:41815587:41820215:1 gene:TCM_011802 transcript:EOY02058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MRLVSTMFSSCRCSSAITKTASPVPSLAAFSIPPLRRAFVSFSHLSFTRNSVSSGNLKFCTVSASKSDFQSHSFRHEGGESFFRDVLTSMETVYLNRNPTAKAILELVHSVDDEQICYDHLAFRTFGVNGYGISSIASFFLDYGYTQQEELRFPAKKLKALWFSPPRSSSQDGGSGINGPLPRVFISELLVDQMSPKTQEIIRKYTEKSGSGNKYAALASSLGSLTWEKPLYSEFQQLAGESEYAAWTLVNGYALNHVTISTHRLKSNLRNIKRLNQFIEQNGFKLNSEGGVLKVSPDGLLLQSSTVADSIPFCFSDGVNESVPCSYIEFAERLVLPQYKNLPENEVQEFHRRDGFEVGNADKIFESTSKEQLTRRA >EOY02057 pep chromosome:Theobroma_cacao_20110822:2:41815517:41821330:1 gene:TCM_011802 transcript:EOY02057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MRLVSTMFSSCRCSSAITKTASPVPSLAAFSIPPLRRAFVSFSHLSFTRNSVSSGNLKFCTVSASKSDFQSHSFRHEGGESFFRDVLTSMETVYLNRNPTAKAILELVHSVDDEQICYDHLAFRTFGVNGYGISSIASFFLDYGYTQQEELRFPAKKLKALWFSPPRSSSQDGGSGINGPLPRVFISELLVDQMSPKTQEIIRKYTEKSGSGNKYAALASSLGSLTWEKPLYSEFQQLAGESEYAAWTLVNGYALNHVTISTHRLKSNLRNIKRLNQFIEQNGFKLNSEGGVLKVSPDGLLLQSSTVADSIPFCFSDGVNESVPCSYIEFAERLVLPQYKNLPENEVQEFHRRDGFEVGNADKIFESTSKEQLTRRA >EOY02059 pep chromosome:Theobroma_cacao_20110822:2:41815782:41820319:1 gene:TCM_011802 transcript:EOY02059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 FSHLSFTRNSVSSGNLKFCTVSASKSDFQSHSFRHEGGESFFRDVLTSMETVYLNRNPTAKAILELVHSVDDEQICYDHLAFRTFGVNGYGISSIASFFLDYGYTQQEELRFPAKKLKALWFSPPRSSSQDGGSGINGPLPRVFISELLVDQMSPKTQVRLLPLQMLIYICLMLRESEYAAWTLVNGYALNHVTISTHRLKSNLRNIKRLNQFIEQNGFKLNSEGGVLKVSPDGLLLQSSTVADSIPFCFSDGVNESVPCSYIEFAERLVLPQYKNLPENEVQEFHRRDGFEVGNADKIFESTSKEQLTRRA >EOX97772 pep chromosome:Theobroma_cacao_20110822:2:3383373:3388007:1 gene:TCM_006699 transcript:EOX97772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant neutral invertase family protein isoform 1 MSTPTVDVNQNGNVKTEDTLCTLAEFEECDFSKLLEKPPRILNMERQRSLDERSLSDLSIGISPRLSARATDINTSRIFEPLDFICSPVGRRSGFNTPRSQTGFEPHPMVAEAWDALRRSLVYFRGQPVGTIAALDNSEEKLNYDQVFVRDFVPSGLAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFQLGEGVMPASFKVLHDPVRNNETLMADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDTSLAELPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKQDDEGKEFIERIVKRLHALSFHMRSYFWLDLKQLNDIYRYKTEEYSHTALNKFNVMPDSLPEWIFDFMPVRGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSTAIMDLIESRWEELVGEMPLKVCYPAIENHEWRITTGCDPKNTRWSYHNGGSWPVLLWLLTAACVKTGRPQIARRALEIAETRLLKDNWPEYYDGKLGRYIGKQSRKVQTWSIAGYLVAKMLLEDPSHLGMIALEEDKQMKPLLRRSNSWTL >EOX97770 pep chromosome:Theobroma_cacao_20110822:2:3383443:3387645:1 gene:TCM_006699 transcript:EOX97770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant neutral invertase family protein isoform 1 MSTPTVDVNQNGNVKTEDTLCTLAEFEECDFSKLLEKPPRILNMERQRSLDERSLSDLSIGISPRLSARATDINTSRIFEPLDFICSPVGRRSGFNTPRSQTGFEPHPMVAEAWDALRRSLVYFRGQPVGTIAALDNSEEKLNYDQVFVRDFVPSGLAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFQLGEGVMPASFKVLHDPVRNNETLMADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDTSLAELPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKQDDEGKEFIERIVKRLHALSFHMRSYFWLDLKQLNDIYRYKTEEYSHTALNKFNVMPDSLPEWIFDFMPVRGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSTAIMDLIESRWEELVGEMPLKVCYPAIENHEWRITTGCDPKNTRWSYHNGGSWPVLLWLLTAACVKTGRPQIARRALEIAETRLLKDNWPEYYDGKLGRYIGKQSRKVQTWSIAGYLVAKMLLEDPSHLGMIALEEDKQMKPLLRRSNSWTL >EOX97771 pep chromosome:Theobroma_cacao_20110822:2:3383373:3388007:1 gene:TCM_006699 transcript:EOX97771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant neutral invertase family protein isoform 1 MSTPTVDVNQNGNVKTEDTLCTLAEFEECDFSKLLEKPPRILNMERQRSLDERSLSDLSIGISPRLSARATDINTSRIFEPLDFICSPVGRRSGFNTPRSQTGFEPHPMVAEAWDALRRSLVYFRGQPVGTIAALDNSEEKLNYDQVFVRDFVPSGLAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFQLGEGVMPASFKVLHDPVRNNETLMADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDTSLAELPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKQDDEGKEFIERIVKRLHALSFHMRSYFWLDLKQLNDIYRYKTEEYSHTALNKFNVMPDSLPEWIFDFMPVRGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSTAIMDLIESRWEELVGEMPLKVCYPAIENHEWRITTGCDPKNTRWSYHNGGSWPVLLWLLTAACVKTGRPQIARRALEIAETRLLKDNWPEYYDGKLGRYIGKQSRKVQTWSIAGYLVAKMLLEDPSHLGMIALEEDKQMKPLLRRSNSWTL >EOX97680 pep chromosome:Theobroma_cacao_20110822:2:3028216:3032885:1 gene:TCM_006632 transcript:EOX97680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 14 isoform 3 MAVSGRILLRITLFTLLVASSIAHDKKDHDDGDDHKAENKVNQGVTYDGRSVIINGKRELLFSGSIHYPRSTPDTWPDLLTKAKYGGLNVIQTYVFWNIHEPIEGQYNFEGQYDLVKFIKLIGEHKMYATLRVGPFIQAEWNHGGLPYWLREVRNITFRSDNEPFKHYMKKFVTMIIDMMKKEKLFASQGGPIVLSQIENEYNTIQLAFRELGDSYVQWAGKMAVGLNTEVPWIMCKQRDAPDPIINTCNGRHCGDTFTGPNRRNKPSLWTENWTAQYRVFGDPPSQRSAEDLAYSVARFFSKNGSLVNYYMYHGGTNYGRTSAAFTTTRYYDEAPLDEYGLQRDPKWGHLKDLHKALNLCKKALLWGSPTVQKLGPDQEVRTYKQPGTSLCAAFLANNDTQNAQTFHFRGKQYRLPARSISILPDCKTVVYNTQMITAQHNTRNFVRSATANKNFNWQMYKEYVPTQLGSMTKEPMELYELTKDTTDYAWYTTSIELGPRDLPMKKEIFPVLRVASLGHGLLAFVNGEYIGFAHGSKVEKSFVFQKPVKLKAGVNQITLLGTLVGLPDSGAYMEHRFAGPRSITILGLNTGTLDLSVNGWGHQVGLNGEKKKIYTEKGSTKVEWRKLSESPALTWYKGYFDTPEGNNPVAIRMTGMGKGMLLPWKLHVSRIQESCGKVLSGKNQLPNSIGR >EOX97678 pep chromosome:Theobroma_cacao_20110822:2:3027864:3032908:1 gene:TCM_006632 transcript:EOX97678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 14 isoform 3 MAVSGRILLRITLFTLLVASSIAHDKKDHDDGDDHKAENKVNQGVTYDGRSVIINGKRELLFSGSIHYPRSTPDTWPDLLTKAKYGGLNVIQTYVFWNIHEPIEGQYNFEGQYDLVKFIKLIGEHKMYATLRVGPFIQAEWNHGGLPYWLREVRNITFRSDNEPFKHYMKKFVTMIIDMMKKEKLFASQGGPIVLSQIENEYNTIQLAFRELGDSYVQWAGKMAVGLNTEVPWIMCKQRDAPDPIINTCNGRHCGDTFTGPNRRNKPSLWTENWTAQYRVFGDPPSQRSAEDLAYSVARFFSKNGSLVNYYMYHGGTNYGRTSAAFTTTRYYDEAPLDEYGLQRDPKWGHLKDLHKALNLCKKALLWGSPTVQKLGPDQEVRTYKQPGTSLCAAFLANNDTQNAQTFHFRGKQYRLPARSISILPDCKTVVYNTQMITAQHNTRNFVRSATANKNFNWQMYKEYVPTQLGSMTKEPMELYELTKDTTDYAWYTTSIELGPRDLPMKKEIFPVLRVASLGHGLLAFVNGEYIGFAHGSKVEKSFVFQKPVKLKAGVNQITLLGTLVGLPDSGAYMEHRFAGPRSITILGLNTGTLDLSVNGWGHQVGLNGEKKKIYTEKGSTKVEWRKLSESPALTWYKGYFDTPEGNNPVAIRMTGMGKGMVWINGQNIGRYWMSYLSPLKQPSQSEYQIPRSFLKPTQNLIVILEEQEGNPKDVEILLVNRDTICSYVTEYHPPSVRLFESKGGSLRAKVDDLKPKAELTCPNHKKIVAVEFASFGDPFGACGSYSLGNCTFPVSKKVAEKFCLGKTSCQIPLDAEDFDKQNDACPHMKKALAVQVKCAYKN >EOX97679 pep chromosome:Theobroma_cacao_20110822:2:3028216:3032885:1 gene:TCM_006632 transcript:EOX97679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 14 isoform 3 MAVSGRILLRITLFTLLVASSIAHDKKDHDDGDDHKAENKVNQGVTYDGRSVIINGKRELLFSGSIHYPRSTPDTWPDLLTKAKYGGLNVIQTYVFWNIHEPIEGQYNFEGQYDLVKFIKLIGEHKMYATLRVGPFIQAEWNHGGLPYWLREVRNITFRSDNEPFKHYMKKFVTMIIDMMKKEKLFASQGGPIVLSQIENEYNTIQLAFRELGDSYVQWAGKMAVGLNTEVPWIMCKQRDAPDPIINTCNGRHCGDTFTGPNRRNKPSLWTENWTAQYRVFGDPPSQRSAEDLAYSVARFFSKNGSLVNYYMYHGGTNYGRTSAAFTTTRYYDEAPLDEYGLQRDPKWGHLKDLHKALNLCKKALLWGSPTVQKLGPDQEVRTYKQPGTSLCAAFLANNDTQNAQTFHFRGKQYRLPARSISILPDCKTVVYNTQMITAQHNTRNFVRSATANKNFNWQMYKEYVPTQLGSMTKEPMELYELTKDTTDYAWYTTSIELGPRDLPMKKEIFPVLRVASLGHGLLAFVNGEYIGFAHGSKVEKSFVFQKPVKLKAGVNQITLLGTLVGLPDSGAYMEHRFAGPRSITILGLNTGTLDLSVNGWGHQVGLNGEKKKIYTEKGSTKVEWRKLSESPALTWYKVPNPKIVPQADAESHCYIGGAGRQSERC >EOX99032 pep chromosome:Theobroma_cacao_20110822:2:8182629:8197102:-1 gene:TCM_007660 transcript:EOX99032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MICRWGLELLSFSLACRNFIFGREKAFIYRSCERTKTPESFHEFLFSLALVEMCETVQEFVRRLSTTEAYVFHIWKGSCSERCIIIAFEDQYNIPLNLGGPRYVCCFCGAQMWYEERKNKSRNERNPRFTMCCMEGKVSLPPFKQTPSLLATLLNYKGGRTAYKFRHNKRVYNSMFQFTSIGGKIDSEINRRPGPYVFKINGQNHHKIGSLLPVDGERPKFAQLYIYDTENEVSNRINALGYDVQQSGVEENIVKELMEMLDQTNQIVKAFRMAKERFKEPDYIPVKLRLIGARMNDGQQYTNLISSEVAALIVGDVDQLIDKRDIIIEHRSNALKFIDGQRVEDRPDIVCRVFKIRLRCFIKELVDDQHFGKVRADIIDLIISMSKVTAIYVSNKSTKVPKRNLQLQNIKGTIINATLWGDLAYCVDDDIIGLKSKPIIILAAMTVGEYQDMKARFDEKNALVLLLDVRQQPQIPPDQQENHNRVTIKQLLQIDHSKTQIETYTCIAKIKEFDCTEGCYFICVIPNVTTNALETAKAALEELFYHIITMIYVAHLILPSTVPRMRRIECGIVTRILPSDYGFIEVTGKFRLAAAK >EOX98292 pep chromosome:Theobroma_cacao_20110822:2:5224264:5226215:1 gene:TCM_007088 transcript:EOX98292 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MTHLPNHKKTRSKPDFRPPYKHIGCPLPTQVTFTRESKLSQSRKTEKQQSNLWRFVPMATNTQEQQSQAGRHQEVGHKSLLQSDALYQYILETSVYPREPEPMKELRELTAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPDDGKILAMDINRENYELGLPVIQKAGVAHKIDFKEGPAMPALDQLVEDEKNHGSFDFVFVDADKDNYINYHKRLIELVKVGGLIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLELNKALAADPRIEICMLPVGDGITLCRRVK >EOX98293 pep chromosome:Theobroma_cacao_20110822:2:5224521:5226159:1 gene:TCM_007088 transcript:EOX98293 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MATNTQEQQSQAGRHQEVGHKSLLQSDALYQQYILETSVYPREPEPMKELRELTAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPDDGKILAMDINRENYELGLPVIQKAGVAHKIDFKEGPAMPALDQLVEDEKNHGSFDFVFVDADKDNYINYHKRLIELVKVGGLIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLELNKALAADPRIEICMLPVGDGITLCRRVK >EOX97992 pep chromosome:Theobroma_cacao_20110822:2:4193095:4196164:1 gene:TCM_006866 transcript:EOX97992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEKKKWILTVVLALIVAMLPLTFRILESHQVQRLYTGELTDMILGKLGGWASSNHDLSTDKALASKFIAPNLTACHPSYGRPDLLVHCCPPGFESPVPFVDFQFPDPQSPKRVRRPVQLVDENYIAKYNKALSIMKSLPYDDPRSFARQANLHCLFCTGAYDQQNSNTPLSIHRTWLFFPWHRMMIYFHERIIGSLIGDDTFAFPVWTWDIPEGMVMPDIYANMNLSFFHKVRDFSHFPPRVADLNYFEETNLSPQEQLDTNLAFMYNQMVSGAKKTELFMGCTYKANEGYCNSPGTVESAPHNTLHTWVGSNLEPGREDMGKFYSAARDPIFYAHHSNIDRLWEVWREIHKHELDIKDPDWLNSFFFFYDENLKLVKIKVRDVLDISKLGYSYEEVDRPWLNKRPTPSVPPKVARQILKSKENENQFRLSSDFGPHGRALDASLTVKVNRSKNHLTKREKGEEEVIVVHGIEVKGDAYAKFDVYVNMVDQTIISPKSREFAGTFAHIPGGGEMMKRKIDLKLGVSELLEDLEAMEDESIWVTLLPRTASCSSVTIEGVQIKYIK >EOX97990 pep chromosome:Theobroma_cacao_20110822:2:4193095:4196252:1 gene:TCM_006866 transcript:EOX97990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MRKVDQSCGKGLSGLGRASKDPLRREASVGETGTEDLCPGFPAMEKKKWILTVVLALIVAMLPLTFRILESHQVQRLYTGELTDMILGKLGGWASSNHDLSTDKALASKFIAPNLTACHPSYGRPDLLVHCCPPGFESPVPFVDFQFPDPQSPKRVRRPVQLVDENYIAKYNKALSIMKSLPYDDPRSFARQANLHCLFCTGAYDQQNSNTPLSIHRTWLFFPWHRMMIYFHERIIGSLIGDDTFAFPVWTWDIPEGMVMPDIYANMNLSFFHKVRDFSHFPPRVADLNYFEETNLSPQEQLDTNLAFMYNQMVSGAKKTELFMGCTYKANEGYCNSPGTVESAPHNTLHTWVGSNLEPGREDMGKFYSAARDPIFYAHHSNIDRLWEVWREIHKHELDIKDPDWLNSFFFFYDENLKLVKIKVRDVLDISKLGYSYEEVDRPWLNKRPTPSVPPKVARQILKSKENENQFRLSSDFGPHGRALDASLTVKVNRSKNHLTKREKGEEEVIVVHGIEVKGDAYAKFDVYVNMVDQTIISPKSREFAGTFAHIPGGGEMMKRKIDLKLGVSELLEDLEAMEDESIWVTLLPRTASCSSVTIEGVQIKYIK >EOX97991 pep chromosome:Theobroma_cacao_20110822:2:4193588:4196328:1 gene:TCM_006866 transcript:EOX97991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEKKKWILTVVLALIVAMLPLTFRILESHQVQRLYTGELTDMILGKLGGWASSNHDLSTDKALASKFIAPNLTACHPSYGRPDLLVHCCPPGFESPVPFVDFQFPDPQSPKRVRRPVQLVDENYIAKYNKALSIMKSLPYDDPRSFARQANLHCLFCTGAYDQQNSNTPLSIHRTWLFFPWHRMMIYFHERIIGSLIGDDTFAFPVWTWDIPEGMVMPDIYANMNLSFFHKVRDFSHFPPRVADLNYFEETNLSPQEQLDTNLAFMYNQMVSGAKKTELFMGCTYKANEGYCNSPGTVESAPHNTLHTWVGSNLEPGREDMGKFYSAARDPIFYAHHSNIDRLWEVWREIHKHELDIKDPDWLNSFFFFYDENLKLVKIKVRDVLDISKLGYSYEEVDRPWLNKRPTPSVPPKVARQILKSKENENQFRLSSDFGPHGRALDASLTVKVNRSKNHLTKREKGEEEVIVVHGIEVKGDAYAKFDVYVNMVDQTIISPKSREFAGTFAHIPGGGEMMKRKIDLKLGVSELLEDLEAMEDESIWVTLLPRTASCSSVTIEGVQIKYIK >EOX97993 pep chromosome:Theobroma_cacao_20110822:2:4194194:4196328:1 gene:TCM_006866 transcript:EOX97993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MELTDMILGKLGGWASSNHDLSTDKALASKFIAPNLTACHPSYGRPDLLVHCCPPGFESPVPFVDFQFPDPQSPKRVRRPVQLVDENYIAKYNKALSIMKSLPYDDPRSFARQANLHCLFCTGAYDQQNSNTPLSIHRTWLFFPWHRMMIYFHERIIGSLIGDDTFAFPVWTWDIPEGMVMPDIYANMNLSFFHKVRDFSHFPPRVADLNYFEETNLSPQEQLDTNLAFMYNQMVSGAKKTELFMGCTYKANEGYCNSPGTVESAPHNTLHTWVGSNLEPGREDMGKFYSAARDPIFYAHHSNIDRLWEVWREIHKHELDIKDPDWLNSFFFFYDENLKLVKIKVRDVLDISKLGYSYEEVDRPWLNKRPTPSVPPKVARQILKSKENENQFRLSSDFGPHGRALDASLTVKVNRSKNHLTKREKGEEEVIVVHGIEVKGDAYAKFDVYVNMVDQTIISPKSREFAGTFAHIPGGGEMMKRKIDLKLGVSELLEDLEAMEDESIWVTLLPRTASCSSVTIEGVQIKYIK >EOY00317 pep chromosome:Theobroma_cacao_20110822:2:31064182:31068068:1 gene:TCM_010148 transcript:EOY00317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger MYND domain-containing protein 15 isoform 2 MSRFCHCCLLSLFFCVLGLGFGVDFGQAFKVPFRVKDVLPVLPRQISWPVLNNLHTPVDLLPAFVGSVTPNNGSVEWKGACFYGNEARLEFTKTDRNDSRLGGGVLYLTTSEAHSWTCMDLYVFATPYRITWDFYFSAREHTLTFDSWEEPAELEYIKQHGISVFLMPSGMLGTLLSLVDVLPLFSNTAWGQNANLAFLKTHMGASFEKRPKPWRAAINPEDVHSGDFLAVSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDEMGNLWVAESGHENEKGEEIIVVIPWDEWWELSLKDSSNPQIALLPLHPDVREDFNSTAAWEYARSMSGKPYGYHNMIFSWIDTVADNYPPPLDAHLVGLFSDHSVHLEYALLKLCSGYSRWFSWQHVDVLSLLMKLTSQVLTRRF >EOY00316 pep chromosome:Theobroma_cacao_20110822:2:31063766:31069164:1 gene:TCM_010148 transcript:EOY00316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger MYND domain-containing protein 15 isoform 2 MSRFCHCCLLSLFFCVLGLGFGVDFGQAFKVPFRVKDVLPVLPRQISWPVLNNLHTPVDLLPAFVGSVTPNNGSVEWKGACFYGNEARLEFTKTDRNDSRLGGGVLYLTTSEAHSWTCMDLYVFATPYRITWDFYFSAREHTLTFDSWEEPAELEYIKQHGISVFLMPSGMLGTLLSLVDVLPLFSNTAWGQNANLAFLKTHMGASFEKRPKPWRAAINPEDVHSGDFLAVSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDEMGNLWVAESGHENEKGEEIIVVIPWDEWWELSLKDSSNPQIALLPLHPDVREDFNSTAAWEYARSMSGKPYGYHNMIFSWIDTVADNYPPPLDAHLVISVMSMWTRVQPAYAANMWNEALNKRLGTEDLDLYGILDETERRGIAFDQLLTIPEQDEWVYSDGKSTTCVAFILEMYKEAGVFGPIANSIQVTEFTIRDAYMLKIFENNQTRLPSWCNYKDGGFPFCQILGEYWMELPHYNTIEPYANMNENCPSLPPIYDRPTRC >EOX98034 pep chromosome:Theobroma_cacao_20110822:2:4326201:4329610:-1 gene:TCM_006896 transcript:EOX98034 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein isoform 3 MSSRNSRMIPNSFRKRQRVPQHHISAMRISSTAAIMETFADKTMSESSQKLRRTSSSSTTATSTNPNTTTSSFNDPSTADVILRLFMEQSPFDSASPSDSADQSDIQIYLHSHVINRSKYFAALLSDRWQHEKITDRNNNAAEDSRNDDNFSLIHLNLGVLDNPNSITIHLTVFQLLYTNDFATVIDSASTALEILPVALEILFEDCIKSCVKFLEAVPWSEEEEQRVLSLIPFLHEEESKELLARVSLGKDDSCEEMLHGLILEAIHSHPNMAFVKAFVAKLLRDFSSRESARRVLEREFEKSLKIVKESLEEYSSPDFRGDHNETEAIQRLNLHTAMTNGRHLLWLVERMIELRVADSAVKEWSEQAAFTADLQRAFRDDAWRNFVPGLPAVVLRCTCKLANAVATGTIIAARQVRMKLVKDWLPVLNVCKDNVSPMLPSHKTLYLELEETFLRIISTLPMSDAQVLLRQCLSFSTRNVEDCPHLVTAFNTWFRRATQPPQLENLD >EOX98036 pep chromosome:Theobroma_cacao_20110822:2:4326377:4329759:-1 gene:TCM_006896 transcript:EOX98036 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein isoform 3 MSSRNSRMIPNSFRKRQRVPQHHISAMRISSTAAIMETFADKTMSESSQKLRRTSSSSTTATSTNPNTTTSSFNDPSTADVILRLFMEQSPFDSASPSDSADQSDIQIYLHSHVINRSKYFAALLSDRWQHEKITDRNNNAAEDSRNDDNFSLIHLNLGVLDNPNSITIHLTVFQLLYTNDFATVIDSASTALEILPVALEILFEDCIKSCVKFLEAVPWSEEEEQRVLSLIPFLHEEESKELLARVSLGKDDSCEEMLHGLILEAIHSHPNMAFVKAFVAKLLRDFSSRESARRVLEREFEKSLKIVKESLEEYSSPDFRGDHNETEAIQRLNLHTAMTNGRHLLWLVERMIELRVADSAVKEWSEQAAFTADLQRAFRDDAWRNFVPGLPAVVLRCTCKLANAVATGTIIAARQNEACQRLASCSECVQRQCLAHAAQS >EOX98035 pep chromosome:Theobroma_cacao_20110822:2:4327046:4329759:-1 gene:TCM_006896 transcript:EOX98035 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein isoform 3 MSSRNSRMIPNSFRKRQRVPQHHISAMRISSTAAIMETFADKTMSESSQKLRRTSSSSTTATSTNPNTTTSSFNDPSTADVILRLFMEQSPFDSASPSDSADQSDIQIYLHSHVINRSKYFAALLSDRWQHEKITDRNNNAAEDSRNDDNFSLIHLNLGVLDNPNSITIHLTVFQLLYTNDFATVIDSASTALEILPVALEILFEDCIKSCVKFLEAVPWSEEEEQRVLSLIPFLHEEESKELLARVSLGKDDSCEEMLHGLILEAIHSHPNMAFVKAFVAKLLRDFSSRESARRVLEREFEKSLKIVKESLEEYSSPDFRGDHNETEAIQRLNLHTAMTNGRHLLWLVERMIELRVADSAVKEWSEQAAFTADLQRAFRDDAWRNFVPGLPAVVLRCTCKLANAVATGTIIAARQFQVRMKLVK >EOX98996 pep chromosome:Theobroma_cacao_20110822:2:8034380:8038450:-1 gene:TCM_007639 transcript:EOX98996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 1 isoform 1 MGKRCCAVGLAMIMVMGLASLTLATSRRSMLTNGIGLTPPMGWNSWNHFYCKIDEKMIRKTADALVSTGLSKLGYEYVNIDDCWGEISRDEKGNLVPKKSTFPSGIKALADYVHKKGLKLGIYADAGYFTCSKTMPGSLGHEEQDAKTFASWGIDYLKYDNCHNGGLKPIERYPVMTRALRKAGRPIFFSLCEWGDMHPAEWGAPVGNSWRTTNDITDTWESMISRADQNEIYAEYARPGGWNDPDMLEVGNGGMTKDEYIVHFSLWAISKAPLLLGCDIRNMTKETIEIISNKEVIAVNQDPYGIQAKKVRMQGDEETWAAPISGDRVAVVILNKGPIRTSVTARWDDIGLASTTVVEARDLWEHKTLKTKFVGNLTATLKSHSCKICVFFVNP >EOX98995 pep chromosome:Theobroma_cacao_20110822:2:8034622:8038477:-1 gene:TCM_007639 transcript:EOX98995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 1 isoform 1 MGKRCCAVGLAMIMVMGLASLTLATSRRSMLTNGIGLTPPMGWNSWNHFYCKIDEKMIRKTADALVSTGLSKLGYEYVNIDDCWGEISRDEKGNLVPKKSTFPSGIKALADYVHKKGLKLGIYADAGYFTCSKTMPGSLGHEEQDAKTFASWGIDYLKYDNCHNGGLKPIERYPVMTRALRKAGRPIFFSLCEWGDMHPAEWGAPVGNSWRTTNDITDTWESMISRADQNEIYAEYARPGGWNDPDMLEVGNGGMTKDEYIVHFSLWAISKAPLLLGCDIRNMTKETIEIISNKEVIAVNQDPYGIQAKKVRMQGDEETWAAPISGDRVAVVILNKGPIRTSVTARWDDIGLASTTVVEARDLWEHKTLKTKFVGNLTATLKSHSCKMYVLKPIA >EOX99864 pep chromosome:Theobroma_cacao_20110822:2:17527371:17535537:-1 gene:TCM_008848 transcript:EOX99864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MGSLAHRKLAYLAASLGATRLMTFSLGTRHVIISSHLDTAREILSEVLRQQVADEMLVEVGKRMEEKGLVKLRGILQKGSLRNILESVFGSSECLQWEELGLLVKEGSNCGRKEKGCWRFNGGNDFLSALLALPKEDQLSDSDMVAILWSRLVVKEVLKMHPPRPLLSWACLATHDVHVGTSFIPAGTIAMLNMWAITHDPYVWKNPWTFRPERFVEEEDVSIMGSNLRLVPFGSGRRVCRGKALGLATVELWLARLLHRFSWLPAPAHHVDLSETLRLSLEMKKSLACQVLPRTTHQHVS >EOX97667 pep chromosome:Theobroma_cacao_20110822:2:3005257:3009149:-1 gene:TCM_006627 transcript:EOX97667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 1 MPTEKKYVLVGIRIDSQSRDLLSWALVKVAEPGDCVVAVHVSRSSDHALREKLLLEGYLDVYEGLCSVKQVDLKGQIFKGSSTRKVLIREARNYEAVALVVGISKHSALGGWTSTARYCAKRLPTTTNVLAISNGKIIFRRSNKNQLPGLTVKGDPRPSLYLIENPAARECQSEYGDSEVGSEISSLEGIQSSKDESRTSSEDSKSEILSVIYEGKKISSRSISLFAGDVMDYKPGWPLLLRASSATPQAKHARSMSVVKWVMNLPSRSPHHTPRCSTIKENPLEIESGSEIEINRTNSSMQCELQKCLEALLKTNSSACQWFSYKDLKASTAQFTSENLIGKGGSNRVYKGILPDGKAVAVKILKSSKEACKDFANEIEIISSLNHKHIMPLIGVCIKDNDLVSVYDLSSKGSLEEILHGKNKEKHALSWEVRYNVAVGIAEGLNYLHNEHPRPVIHRDVKSSNILLSDGFEPKLSDFGLAIWGPTDSSFLTQADVVGTFGYLAPEYFMYGKLSDKIDVYAFGVVLLELLSGKRPIGFETPRGQQSLVMWAKPIIENGDVKGILDPNLNGNINETQMKRMVLAATLCITRSARLRPKMSEILELLKGDEGVEKWAETRHDNTESQDHNDDEVYPNSSAELHLSLAMLDIDDDSTSFSSMEQSSNLSMEEYLKERWSRSSSFN >EOX97672 pep chromosome:Theobroma_cacao_20110822:2:3006285:3008335:-1 gene:TCM_006627 transcript:EOX97672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 1 MPTEKKYVLVGIRIDSQSRDLLSWALVKVAEPGDCVVAVHVSRSSDHALREKLLLEGYLDVYEGLCSVKQVDLKGQIFKGSSTRKVLIREARNYEAVALVVGISKHSALGGWTSTARYCAKRLPTTTNVLAISNGKIIFRRSNKNQLPGLTVKGDPRPSLYLIENPAARECQSEYGDSEVGSEISSLEGIQSSKDESRTSSEDSKSEILSVIYEGKKISSRSISLFAGDVMDYKPGWPLLLRASSATPQAKHARSMSVVKWVMNLPSRSPHHTPRCSTIKENPLEIESGSEIEINRTNSSMQCELQKCLEALLKTNSSACQWFSYKDLKASTAQFTSENLIGKGGSNRVYKGILPDGKAVAVKILKSSKEACKDFANEIEIISSLNHKHIMPLIGVCIKDNDLVSVYDLSSKGSLEEILHGKNKEKHALSWEVRYNVAVGIAEGLNYLHNEHPRPVIHRDVKSSNILLSDGFEPKVITERMLVENEICHQIDHMAFVFSM >EOX97668 pep chromosome:Theobroma_cacao_20110822:2:3005296:3008949:-1 gene:TCM_006627 transcript:EOX97668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 1 MPTEKKYVLVGIRIDSQSRDLLSWALVKVAEPGDCVVAVHVSRSSDHALREKLLLEGYLDVYEGLCSVKQVDLKGQIFKGSSTRKVLIREARNYEAVALVVGISKHSALGGWTSTARYCAKRLPTTTNVLAISNGKIIFRRSNKNQLPGLTVKGDPRPSLYLIENPAARECQSEYGDSEVGSEISSLEGIQSSKDESRTSSEDSKSEILSVIYEGKKISSRSISLFAGDVMDYKPGWPLLLRASSATPQAKHARSMSVVKWVMNLPSRSPHHTPRCSTIKENPLEIESGSEIEINRTNSSMQCELQKCLEALLKTNSSACQWFSYKDLKASTAQFTSENLIGKGGSNRVYKGILPDGKAVAVKILKSSKEACKDFANEIEIISSLNHKHIMPLIGVCIKDNDLVSVYDLSSKGSLEEILHGKNKEKHALSWEVRYNVAVGIAEGLNYLHNEHPRPVIHRDVKSSNILLSDGFEPKAKPIIENGDVKGILDPNLNGNINETQMKRMVLAATLCITRSARLRPKMSEILELLKGDEGVEKWAETRHDNTESQDHNDDEVYPNSSAELHLSLAMLDIDDDSTSFSSMEQSSNLSMEEYLKERWSRSSSFN >EOX97670 pep chromosome:Theobroma_cacao_20110822:2:3005296:3008949:-1 gene:TCM_006627 transcript:EOX97670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 1 MPTEKKYVLVGIRIDSQSRDLLSWALVKVAEPGDCVVAVHVSRSSDHALREKLLLEGYLDVYEGLCSVKQVDLKGQIFKGSSTRKVLIREARNYEAVALVVGISKHSALGGWTSTARYCAKRLPTTTNVLAISNGKIIFRRSNKNQLPGLTVKGDPRPSLYLIENPAARECQSEYGDSEVGSEISSLEGIQSSKDESRTSSEDSKSEILSVIYEGKKISSRSISLFAGDVMDYKPGWPLLLRASSATPQAKHARSMSVVKWVMNLPSRSPHHTPRCSTIKENPLEIESGSEIEINRTNSSMQCELQKCLEALLKTNSSACQWFSYKDLKASTAQFTSENLIGKGGSNRVYKGILPDGKAVAVKILKSSKEACKDFANEIEIISSLNHKHIMPLIGVCIKDNDLVSVYDLSSKGSLEEILHGKNKEKHALSWEVRYNVAVGIAEGLNYLHNEHPRPVIHRDVKSSNILLSDGFEPKLSDFGLAIWGPTDSSFLTQADVVGTFGYLAPEYFMYGKLSDKIDVYAFGVVLLELLSGKRPIGFETPRGQQSLVMILELLKGDEGVEKWAETRHDNTESQDHNDDEVYPNSSAELHLSLAMLDIDDDSTSFSSMEQSSNLSMEEYLKERWSRSSSFN >EOX97666 pep chromosome:Theobroma_cacao_20110822:2:3005174:3008915:-1 gene:TCM_006627 transcript:EOX97666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 1 MPTEKKYVLVGIRIDSQSRDLLSWALVKVAEPGDCVVAVHVSRSSDHALREKLLLEGYLDVYEGLCSVKQVDLKGQIFKGSSTRKVLIREARNYEAVALVVGISKHSALGGWTSTARYCAKRLPTTTNVLAISNGKIIFRRSNKNQLPGLTVKGDPRPSLYLIENPAARECQSEYGDSEVGSEISSLEGIQSSKDESRTSSEDSKSEILSVIYEGKKISSRSISLFAGDVMDYKPGWPLLLRASSATPQAKHARSMSVVKWVMNLPSRSPHHTPRCSTIKENPLEIESGSEIEINRTNSSMQCELQKCLEALLKTNSSACQWFSYKDLKASTAQFTSENLIGKGGSNRVYKGILPDGKAVAVKILKSSKEACKDFANEIEIISSLNHKHIMPLIGVCIKDNDLVSVYDLSSKGSLEEILHGKNKEKHALSWEVRYNVAVGIAEGLNYLHNEHPRPVIHRDVKSSNILLSDGFEPKLSDFGLAIWGPTDSSFLTQADVVGTFGYLAPEYFMYGKLSDKIDVYAFGVVLLELLSGKRPIGFETPRGQQSLVMWAKPIIENGDVKGILDPNLNGNINETQMKRMVLAATLCITRSARLRPKMSEILELLKGDEGVEKWAETRHDNTESQDHNDDEVYPNSSAELHLSLAMLDIDDDSTSFSSMEQSSNLSMEEYLKERWSRSSSFN >EOX97665 pep chromosome:Theobroma_cacao_20110822:2:3005296:3009100:-1 gene:TCM_006627 transcript:EOX97665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 1 MPTEKKYVLVGIRIDSQSRDLLSWALVKVAEPGDCVVAVHVSRSSDHALREKLLLEGYLDVYEGLCSVKQVDLKGQIFKGSSTRKVLIREARNYEAVALVVGISKHSALGGWTSTARYCAKRLPTTTNVLAISNGKIIFRRSNKNQLPGLTVKGDPRPSLYLIENPAARECQSEYGDSEVGSEISSLEGIQSSKDESRTSSEDSKSEILSVIYEGKKISSRSISLFAGDVMDYKPGWPLLLRASSATPQAKHARSMSVVKWVMNLPSRSPHHTPRCSTIKENPLEIESGSEIEINRTNSSMQCELQKCLEALLKTNSSACQWFSYKDLKASTAQFTSENLIGKGGSNRVYKGILPDGKAVAVKILKSSKEACKDFANEIEIISSLNHKHIMPLIGVCIKDNDLVSVYDLSSKGSLEEILHGKNKEKHALSWEVRYNVAVGIAEGLNYLHNEHPRPVIHRDVKSSNILLSDGFEPKLSDFGLAIWGPTDSSFLTQADVVGTFGYLAPEYFMYGKLSDKIDVYAFGVVLLELLSGKRPIGFETPRGQQSLVMWAKPIIENGDVKGILDPNLNGNINETQMKRMVLAATLCITRSARLRPKMSEILELLKGDEGVEKWAETRHDNTESQDHNDDEVYPNSSAELHLSLAMLDIDDDSTSFSSMEQSSNLSMEEYLKERWSRSSSFN >EOX97671 pep chromosome:Theobroma_cacao_20110822:2:3006057:3008949:-1 gene:TCM_006627 transcript:EOX97671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 1 MPTEKKYVLVGIRIDSQSRDLLSWALVKVAEPGDCVVAVHVSRSSDHALREKLLLEGYLDVYEGLCSVKQVDLKGQIFKGSSTRKVLIREARNYEAVALVVGISKHSALGGWTSTARYCAKRLPTTTNVLAISNGKIIFRRSNKNQLPGLTVKGDPRPSLYLIENPAARECQSEYGDSEVGSEISSLEGIQSSKDESRTSSEDSKSEILSVIYEGKKISSRSISLFAGDVMDYKPGWPLLLRASSATPQAKHARSMSVVKWVMNLPSRSPHHTPRCSTIKENPLEIESGSEIEINRTNSSMQCELQKCLEALLKTNSSACQWFSYKDLKASTAQFTSENLIGKGGSNRVYKGILPDGKAVAVKILKSSKEACKDFANEIEIISSLNHKHIMPLIGVCIKDNDLVSVYDLSSKGSLEEILHGKNKEKHALSWEVRYNVAVGIAEGLNYLHNEHPRPVIHRDVKSSNILLSDGFEPKVITERMLVENEICHQIDHMAFVFSM >EOX97669 pep chromosome:Theobroma_cacao_20110822:2:3005945:3008949:-1 gene:TCM_006627 transcript:EOX97669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 1 MPTEKKYVLVGIRIDSQSRDLLSWALVKVAEPGDCVVAVHVSRSSDHALREKLLLEGYLDVYEGLCSVKQVDLKGQIFKGSSTRKVLIREARNYEAVALVVGISKHSALGGWTSTARYCAKRLPTTTNVLAISNGKIIFRRSNKNQLPGLTVKGDPRPSLYLIENPAARECQSEYGDSEVGSEISSLEGIQSSKDESRTSSEDSKSEILSVIYEGKKISSRSISLFAGDVMDYKPGWPLLLRASSATPQAKHARSMSVVKWVMNLPSRSPHHTPRCSTIKENPLEIESGSEIEINRTNSSMQCELQKCLEALLKTNSSACQWFSYKDLKASTAQFTSENLIGKGGSNRVYKGILPDGKAVAVKILKSSKEACKDFANEIEIISSLNHKHIMPLIGVCIKDNDLVSVYDLSSKGSLEEILHGKNKEKHALSWEVRYNVAVGIAEGLNYLHNEHPRPVIHRDVKSSNILLSDGFEPKLSDFGLAIWGPTDSSFLTQADVVGTFGYLAPEYFMYGKLSDKIDVYAFGVVLLELLSGKRPIGFETPRGQQSLVMWAKPIIENGDV >EOY00020 pep chromosome:Theobroma_cacao_20110822:2:23249283:23255444:-1 gene:TCM_009367 transcript:EOY00020 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box zinc finger family protein, putative isoform 2 MEKICEFCTTSGPVVYCKADAAHLCLSCDAKVHSANTLSNRHLRTLLCDSCRYRPSYVRCLDHQMFMCRGCDRTFHDASSQHQRLAVSSYLGCPSAKDFAALWGFELNELENNAIQDHSLSNSCVSVNPNAVKLDDLGQSCSQIGVSSSKSCVTQAPAAVCNVGSNSQQTKVINKGQQQQNTAFILQQILDLKKLQLTERDGHLPFIGGQEQADTSSSICNFSQNLDSNRVHDIGINLHQSNNPIHEQNADPLPLSFSHLENLASSSTSGIPLYGESFWQCKSPIRGSQTFLVLIKIQSGHCLVIKMFVAHLWTRICPSTNQILLMQDQWRMLLWPHLFTSISLLIQKIIWILLTTFITSRGLVILLARFDHLIQQCPSLFQGLVLKAVVLTALIVNFHPLHRGKHYAFHLA >EOY00017 pep chromosome:Theobroma_cacao_20110822:2:23249558:23255301:-1 gene:TCM_009367 transcript:EOY00017 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box zinc finger family protein, putative isoform 2 MEKICEFCTTSGPVVYCKADAAHLCLSCDAKVHSANTLSNRHLRTLLCDSCRYRPSYVRCLDHQMFMCRGCDRTFHDASSQHQRLAVSSYLGCPSAKDFAALWGFELNELENNAIQDHSLSNSCVSVNPNAVKLDDLGQSCSQIGVSSSKSCVTQAPAAVCNVGSNSQQTKVINKGQQQQNTAFILQQILDLKKLQLTERDGHLPFIGGQEQADTSSSICNFSQNLDSNRVHDIGINLHQSNNPIHEQNADPLPLSFSHLENLASSSTSGIPLYGESFWQCKSPIRGSQLWSQNMQDLGVCEDIFCQDDFNMPDIELTFPNFEDLFGADQDPIRALLGNKDVCCSSVDKDMSFNKSDIVNARPVEDASVASSIYINQSAHTENNMDPSNHIHNFQRASDSPRPIRPSYSTMSFSVSRFSAESSGIDCPDSELSPITQGEALCFSPGLGSLHSEARENAMMRYKEKKKARLSIIYD >EOY00019 pep chromosome:Theobroma_cacao_20110822:2:23250261:23254350:-1 gene:TCM_009367 transcript:EOY00019 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box zinc finger family protein, putative isoform 2 MEKICEFCTTSGPVVYCKADAAHLCLSCDAKVHSANTLSNRHLRTLLCDSCRYRPSYVRCLDHQMFMCRGCDRTFHDASSQHQRLAVSSYLGCPSAKDFAALWGFELNELENNAIQDHSLSNSCVSVNPNAVKLDDLGQSCSQIGVSSSKSCVTQAPAAVCNVGSNSQQTKVINKGQQQQNTAFILQQILDLKKLQLTERDGHLPFIGGQEQADTSSSICNFSQNLDSNRVHDIGINLHQSNNPIHEQNADPLPLSFSHLENLASSSTSGIPLYGESFWQCKSPIRGSQLWSQNMQDLGVCEDIFCQDDFNMPDIELTFPNFEDLFGADQDPIRALLGNKDVCCSSVDKDMSFNKSDIVNARPVEDASVASSIYINQSAHTENNMDPSNHIHNFQRASDSPRPIRPSYSTMSFSVSRFSAESSGIDCPDSELSPITQGEALCFSPGLGSLHSEARENAMMRYKEKKKARL >EOY00018 pep chromosome:Theobroma_cacao_20110822:2:23249438:23254350:-1 gene:TCM_009367 transcript:EOY00018 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box zinc finger family protein, putative isoform 2 MEKICEFCTTSGPVVYCKADAAHLCLSCDAKVHSANTLSNRHLRTLLCDSCRYRPSYVRCLDHQMFMCRGCDRTFHDASSQHQRLAVSSYLGCPSAKDFAALWGFELNELENNAIQDHSLSNSCVSVNPNAVKLDDLGQSCSQIGVSSSKSCVTQAPAAVCNVGSNSQQTKVINKGQQQQNTAFILQQILDLKKLQLTERDGHLPFIGGQEQADTSSSICNFSQNLDSNRVHDIGINLHQSNNPIHEQNADPLPLSFSHLENLASSSTSGIPLYGESFWQCKSPIRGSQLWSQNMQDLGVCEDIFCQDDFNMPDIELTFPNFEDLFGADQDPIRALLGNKDVCCSSVDKDMSFNKSDIVNARPVEDASVASSIYINQSAHTENNMDPSNHIHNFQRASDSPRPIRPSYSTMSFSVSRFSAESSGIDCPDSELSPITQGEALCFSPGLGSLHSEARENAMMRYKEKKKARLHERQIRSASWKARTDVRKMVKGRFPKMEDYDSDNANGTRSY >EOY00021 pep chromosome:Theobroma_cacao_20110822:2:23250821:23255420:-1 gene:TCM_009367 transcript:EOY00021 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box zinc finger family protein, putative isoform 2 MEKICEFCTTSGPVVYCKADAAHLCLSCDAKVHSANTLSNRHLRTLLCDSCRYRPSYVRCLDHQMFMCRGCDRTFHDASSQHQRLAVSSYLGCPSAKDFAALWGFELNELENNAIQDHSLSNSCVSVNPNAVKLDDLGQSCSQIGVSSSKSCVTQAPAAVCNVGSNSQQTKVINKGQQQQNTAFILQQILDLKKLQLTERDGHLPFIGGQEQADTSSSICNFSQNLDSNRVHDIGINLHQSNNPIHEQNADPLPLSFSHLENLASSSTSGIPLYGESFWQCKSPIRGSQTFLVLIKIQSGHCLVIKMFVAHLWTRICPSTNQILLMQDQWRLGSWHSFASYDRILYIQILPRRLYKGIRTFKSSF >EOX99599 pep chromosome:Theobroma_cacao_20110822:2:12601077:12604833:-1 gene:TCM_008317 transcript:EOX99599 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein isoform 2 MASISVAGSLPQSLTFFSGRASTRLVISFQRRYGPKASLSVQQQEQQQQQSQLIERRELVVIAAVGLVAGAFWDSSQEENGIAMAASSGFTDMPALRGKDYGKTKMRFPDYTETESGLQYKDLRVGDGPAPKMGQTVVVDWDGYTIGYYGRIFEARNKTKGGSFVGDDKDFFKFRLGSQEVIPAFEEAVSGMALGGIRRIIVPPELGYPGNDFNKSGPRPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPN >EOX99598 pep chromosome:Theobroma_cacao_20110822:2:12601605:12605102:-1 gene:TCM_008317 transcript:EOX99598 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein isoform 2 MASISVAGSLPQSLTFFSGRASTRRRYGPKASLSVQQQEQQQQQSQLIERRELVVIAAVGLVAGAFWDSSQEENGIAMAASSGFTDMPALRGKDYGKTKMRFPDYTETESGLQYKDLRVGDGPAPKMGQTVVVDWDGYTIGYYGRIFEARNKTKGGSFVGDDKDFFKFRLGSQEVIPAFEEAVSGMALGGIRRIIVPPELGYPGNDFNKSGPRPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPN >EOX99600 pep chromosome:Theobroma_cacao_20110822:2:12601938:12604808:-1 gene:TCM_008317 transcript:EOX99600 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein isoform 2 MASISVAGSLPQSLTFFSGRASTRRRYGPKASLSVQQQEQQQQQSQLIERRELVVIAAVGLVAGAFWDSSQEENGIAMAASSGFTDMPALRGKDYGKTKMRFPDYTETESGLQYKDLRVGDGPAPKMGQTVVVDWDGYTIGYYGRIFEARNKTKGGSFVGDDKDFFKFRLGSQEVIPAFEEAVSGMALGGIRRVNEPWILCSGTKGL >EOY00732 pep chromosome:Theobroma_cacao_20110822:2:34785804:34791714:1 gene:TCM_010661 transcript:EOY00732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein isoform 4 MDPTSRPAVVIDNGTGYTKMGFAGNVEPCFIQPTVVAVNESFLNQSRSSSKANWLAQYSAGVMADLDFFIGDEALARSRASNTYNLTYPIRHGQVDNWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPESREYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATHVVPVADGYVIGSSIKSIPIAGKDVTLFIQQLMRERGEKIPPEDSFEAARKVKEMYCYTCSDIVKEFNKHDKEPAKYIKQWRGIRPKTGAPYSCDIGYERFLGPEVFFSPEIYSSDFTTPLPAVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASDARLGGEVKAQPVEVNVVSHPIQRFAVWFGGSVLASTPEFFAACHTKAEYEEYGASICRTNPVFKGMY >EOY00733 pep chromosome:Theobroma_cacao_20110822:2:34785804:34790817:1 gene:TCM_010661 transcript:EOY00733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein isoform 4 MDPTSRPAVVIDNGTGYTKMGFAGNVEPCFIQPTVVAVNESFLNQSRSSSKANWLAQYSAGVMADLDFFIGDEALARSRASNTYNLTYPIRHGQVDNWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPESREYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATHVVPVADGYVIGSSIKSIPIAGKDVTLFIQQLMRERGEKIPPEDSFEAARKVKEMYCYTCSDIVKEFNKHDKEPAKYIKQWRGIRPKTGAPYSCDIGYERFLGPEVFFSPEIYSSDFTTPLPAVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASDARLGGEVKAQPVEVNVVSHPIQRFAVWFGGC >EOY00731 pep chromosome:Theobroma_cacao_20110822:2:34785740:34791714:1 gene:TCM_010661 transcript:EOY00731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein isoform 4 MDPTSRPAVVIDNGTGYTKMGFAGNVEPCFIQPTVVAVNESFLNQSRSSSKANWLAQYSAGVMADLDFFIGDEALARSRASNTYNLTYPIRHGQVDNWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPESREYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATHVVPVADGYVIGSSIKSIPIAGKDVTLFIQQLMRERGEKIPPEDSFEAARKVKEMYCYTCSDIVKEFNKHDKEPAKYIKQWRGIRPKTGAPYSCDIGYERFLGPEVFFSPEIYSSDFTTPLPAVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASDARLGGEVKAQPVEVNVVSHPIQRFAVWFGGSVLASTPEFFAACHTKAEYEEYGASICRTNPVFKGMY >EOY00730 pep chromosome:Theobroma_cacao_20110822:2:34785796:34791800:1 gene:TCM_010661 transcript:EOY00730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein isoform 4 MDPTSRPAVVIDNGTGYGLSSIIVTEIVVAHNLYTKMGFAGNVEPCFIQPTVVAVNESFLNQSRSSSKANWLAQYSAGVMADLDFFIGDEALARSRASNTYNLTYPIRHGQVDNWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPESREYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATHVVPVADGYVIGSSIKSIPIAGKDVTLFIQQLMRERGEKIPPEDSFEAARKVKEMYCYTCSDIVKEFNKHDKEPAKYIKQWRGIRPKTGAPYSCDIGYERFLGPEVFFSPEIYSSDFTTPLPAVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASDARLGGEVKAQPVEVNVVSHPIQRFAVWFGGSVLASTPEFFAACHTKAEYEEYGASICRTNPWVTWYFHFHHEHQFSSLVPSVLGGKGRPHSSPENAVKFVASRLCQHLMEQMEYQIVGKKSAELNCIFSVQLT >EOY00650 pep chromosome:Theobroma_cacao_20110822:2:34270527:34291253:1 gene:TCM_010553 transcript:EOY00650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHNIIHSSRHQFIKGHWNGMGIFIPTTKAPFERREVGYTEISFFMDPVKDGETAIARSVGVPFPRCEEYVGNKAKNNDKKKNEEGKGEEETFLQIGKSHWIDHHHVFKWKWRKKKYRRYYLHRSNSKINQILKSTKLADIIRYDGHERKGIRFDLILGITTLGYKMQLITRVTQIKYLDINFLSLKVTRMSYASPKHHAKHPRVTWGNTSYEYQVYATTREPMPYQSYGSWEHPNEEQRNLDAPRAQMTDCEYYPQLVKTTEKYRVGEDINGEAEDFIKLEHKKFARLSTSTSMKTD >EOX96923 pep chromosome:Theobroma_cacao_20110822:2:523122:525466:-1 gene:TCM_006057 transcript:EOX96923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single hybrid motif superfamily protein MFHRGFSTVLNDLKYADSHEWVKVNGNSATIGITDHAQDHLGDVVYVELPEVGATVKQGDGFGAVESVKATSDVNSPVSGKVVEVNEELSNSPALVNSSPYENGWIIKVEMNDAGELKNLMGSEEYSKFCEEEDSKH >EOX98566 pep chromosome:Theobroma_cacao_20110822:2:6208636:6211926:-1 gene:TCM_007291 transcript:EOX98566 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPPDE thiol peptidase family protein, putative isoform 1 MQTKHGWHSIVPLRFRGNSATRFCMFPKVKSAGSSPGNAPVYLNVYDLTNVNGYVYWAGLGIFHTGVEEFAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFMGTTCLDPVQVREFMERQSASYHGDTYHLIFKNCNHFCEDICYKLTGNQIPKWVNRLARIGSLCNCILPEALKASAVSHETNIQGDSEKKRLRSAFSCLSSISMAQREVSMSSLFLHSHYKGCLPPWELKRSKSTSLKQQ >EOX98564 pep chromosome:Theobroma_cacao_20110822:2:6208617:6212847:-1 gene:TCM_007291 transcript:EOX98564 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPPDE thiol peptidase family protein, putative isoform 1 MTLLSTELLTDFPVSLKMQTKHGWHSIVPLRFRGNSATRFCMFPKVKSAGSSPGNAPVYLNVYDLTNVNGYVYWAGLGIFHTGVEVHGVEFAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFMGTTCLDPVQVREFMERQSASYHGDTYHLIFKNCNHFCEDICYKLTGNQIPKWVNRLARIEHKVFRRLSNTHYAEVIYLYILLLERISWSRSCKATLHILFILLVGSLCNCILPEALKASAVSHETNIQGDSEKKRLRSAFSCLSSISMAQREVSMSSLFLHSHYKGCLPPWELKRSKSTSLKQQ >EOX98565 pep chromosome:Theobroma_cacao_20110822:2:6208636:6213715:-1 gene:TCM_007291 transcript:EOX98565 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPPDE thiol peptidase family protein, putative isoform 1 MQTKHGWHSIVPLRFRGNSATRFCMFPKVKSAGSSPGNAPVYLNVYDLTNVNGYVYWAGLGIFHTGVEVHGVEFAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFMGTTCLDPVQVREFMERQSASYHGDTYHLIFKNCNHFCEDICYKLTGNQIPKWVNRLARIGSLCNCILPEALKASAVSHETNIQGDSEKKRLRSAFSCLSSISMAQREVSMSSLFLHSHYKGCLPPWELKRSKSTSLKQQ >EOY01426 pep chromosome:Theobroma_cacao_20110822:2:39391894:39396347:1 gene:TCM_011316 transcript:EOY01426 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/surp domain-containing protein / ubiquitin family protein MPGVMPILPLPAPPSDGDLGPLPPSQVPAEDQIEEKPTNEEQNKANSIATHTRTIGIIHPPPDIRNIVDKTAQFVAKNGPEFEKRIIANNANNAKFNFLTSSDPYHAYYQHRLSEFRAQNQNQSNSQQQQSPLEPVDSAPTESAPTAGGGGNEVEAAVAKPDPAAQFRPPVRKNLEPPEAAQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTGLTSREINNPQFHFLRPTHSMFTFFTELADAYSKVLMPPKGLTEKLRNSIADMTTVLERCLHRLEWEHSQEQARQKAEDEIEQERMQMAMIDWHDFVVVETIDFADDEDEDLPPPMTIEEVIRRSKISTTEEDEIVEPGKEVEMEMDEEEVQLVEEGMRAASIEENDGEKKETRANEEPEPPMRIVKNWKRPEERIPAERDPTQFVVSPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARLRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHTGSIGRTANQAMSQTIMGEDQNDAANSNVQNLPGPAAPPSRPGVPSVRPLPPPPGLALNLPRVPPNAPQYSAASSGGLPMPLPHPRPLGVPMMPTIRPAPPPMQMASGQPPMIMNRPPQMPPSMSMNLANFPVPPPPGSQFTPVSVPRPYAPLPVAPPAMPMMQPPPPLPQGIPPPPPPEEAPPPLPDEPEPKRQKLDDSMLVPEDQFLGQHPGPARITVSVPNLDEGNLKGQLLEITVQALSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMTLAYYNVGAGETLALSLRERGGRKR >EOX99441 pep chromosome:Theobroma_cacao_20110822:2:11288148:11289633:1 gene:TCM_008126 transcript:EOX99441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar phosphate exchanger 2, putative MEKFPVTRQNSEEIDKFLDGAASFADMMFGFLDGSNESEENFLDLDEDANDDKDLSQVEQNKIFWEAQEQLLQATLYRTTSLESRIRQATKEALRELDVMGVQCACRRPVAGGCRNCLQRELSICLQNVGFNCYICRSKWRSSPEIPSGEHTYLEVLDKSNPKKGEVRVVIELNFRAEFEMARANEDYNKLIARLPELLVGKAERLKALIKILCTAAKKCMKEKKMHLAPWRKHKYMQAKWLGTFERTTPAPLPVGYSDRPQKPKASMLTFDLLENLPALHCTAVEVVW >EOX96846 pep chromosome:Theobroma_cacao_20110822:2:219016:223390:-1 gene:TCM_005999 transcript:EOX96846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein isoform 3 MGKKKKRVSSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDVLAAHYGEDEEEAPSKAAKVDIPSTQLVGGLVPGPLGVGYPPQSTLGAVPPVYSAAVPVPPTGWAVPPRPQPWFPQHPAVSVPPSAPMGYVQQPLFPVQGVRPTLPVVSTSTALQPSQIAPPGLPTSTPPLPVSQPLFPVVNNNVPTQSSPFSTSLPTSVQPSTTAEVKGSIEVHSSVNTSVPGGAGANSHSYASGPNTGGPSIGPPPVIANKAPATQPAVNEVYLVWDDEAMSMEERRMSLAKYQVHDETSQMSSIDAAIDRRILESRLAGRMAF >EOX96847 pep chromosome:Theobroma_cacao_20110822:2:219422:223291:-1 gene:TCM_005999 transcript:EOX96847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein isoform 3 MGKKKKRVSSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDVLAAHYGEDEEEAPSKAAKVDIPSTQLVGGLVPGPLGVGYPPQSTLGAVPPVAAVPVPPTGWAVPPRPQPWFPQHPAVSVPPSAPMGYVQQPLFPVQGVRPTLPVVSTSTALQPSQIAPPGLPTSTPPLPVSQPLFPVVNNNVPTQSSPFSTSLPTSVQPSTTAEVKGSIEVHSSVNTSVPGGAGANSHSYASGPNTGGPSIGPPPVIANKAPATQPAVNEVYLVWDDEAMSMEERRMSLAKYQVHDETSQMSSIDAAI >EOX96845 pep chromosome:Theobroma_cacao_20110822:2:218777:223500:-1 gene:TCM_005999 transcript:EOX96845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein isoform 3 MGKKKKRVSSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDVLAAHYGEDEEEAPSKAAKVDIPSTQLVGGLVPGPLGVGYPPQSTLGAVPPVYSAAVPVPPTGWAVPPRPQPWFPQHPAVSVPPSAPMGYVQQPLFPVQGVRPTLPVVSTSTALQPSQIAPPGLPTSTPPLPVSQPLFPVVNNNVPTQSSPFSTSLPTSVQPSTTAEVKGSIEVHSSVNTSVPGSYHAANISGGAGANSHSYASGPNTGGPSIGPPPVIANKAPATQPAVNEVYLVWDDEAMSMEERRMSLAKYQVHDETSQIQLFTHCYPFDEMSSIDAAIDRRILESRLAGRMAF >EOX98474 pep chromosome:Theobroma_cacao_20110822:2:5896113:5898739:-1 gene:TCM_007228 transcript:EOX98474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-related, putative isoform 2 MSRREGRHSDSKRHRSRFDREPSPKRSRRDGKPETGRVVSNADVGDRADQDEKQRRRLQDALPLDAPSAPDSSKIESGTLIKESDRKRNGHLEGTKHSSDPTEVPRSRSYFQHDERGNAAQAGGSFGRRAATERGGWRDAKDQHNERETKTYEMRQRDEKPQAKGDANGDWRHDRFFEMEADPSPQHPPARKRPAFREKKIPAETQSTDNTTKEPEKASHSGHPALGSERRVDRDRNPRLLDRWDRLTAGDQVSNRREAPGGGFSSHERHGGGGGNFRGRDRFSGRQGYRSGVTRVEKWKHDLFEEANKSPPRKDEEDQIAKVESLLAS >EOX98475 pep chromosome:Theobroma_cacao_20110822:2:5896103:5898749:-1 gene:TCM_007228 transcript:EOX98475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-related, putative isoform 2 MSRREGRHSDSKRHRSRFDREPSPKRSRRDGKPETGRVVSNADVGDRADQDEKQRRRLQDALPLDAPSAPDSSKIESGTLIKESDRKRNGHLEGTKHSSDPTEVPRSRSYFQQHDERGNAAQAGGSFGRRAATERGGWRDAKDQHNERETKTYEMRQRDEKPQAKGDANGDWRHDRFFEMEADPSPQHPPARKRPAFREKKIPAETQSTDNTTKEPEKASHSGHPALGSERRVDRDRNPRLLDRWDRLTAGDQVSNRREAPGGGFSSHERHGGGGGNFRGRDRFSGRQGYRSGVTRVEKWKHDLFEEANKSPPRKDEEDQIAKVESLLAS >EOX98388 pep chromosome:Theobroma_cacao_20110822:2:5600551:5601258:-1 gene:TCM_007161 transcript:EOX98388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPFVGTSNEAKGGTCTGTKPSDQRGVEKRSMRRSKCRDDLDCRVSSQNSCVVNLIYHVSPFPIHIAASPTCGQLYFCFHYFI >EOY01910 pep chromosome:Theobroma_cacao_20110822:2:41332332:41337244:-1 gene:TCM_011698 transcript:EOY01910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,3-galactosyltransferase 15 isoform 1 MKADTLHLKRMKKWYGGALVASLFMLLVLRYGVIKNAVEESYLTNPFSSNGTNPLEWVRFTAPPAVQNPETASQVISIDTIAFSLFAQRNLSKGEQESLLTWDLLKHLINHSQALPNGVEAIKEAGSAWNSLMALMEEEKLGYADDNSTRKTKEKQCPHFLNKVNATDSDKSHYKLRVPCGLTQGSSITIIGIPNGLLGNFRIDLTGEALPGEPDPPIILHYNVRLHGDKVTEDPVIVQNTWTIAHDWGEEERCPPPTPDKNKKVDELDQCNKLVGKDNNRTVSMHSHGSRRSSMGLQGVKSRRYFPFKQGSLFVATLRVGSEGIQMTVDGKHVTSFAYRETLEPWLVSEVRIFGDIKLISVLASGLPTSEDSDHTVDLEELKSVPLSRQRPVELFIGVFSTANNFKRRMAVRRTWMQYPEVRSGTVAVRFFVGLDRSCFRKVCHENR >EOY01908 pep chromosome:Theobroma_cacao_20110822:2:41331171:41337275:-1 gene:TCM_011698 transcript:EOY01908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,3-galactosyltransferase 15 isoform 1 MKADTLHLKRMKKWYGGALVASLFMLLVLRYGVIKNAVEESYLTNPFSSNGTNPLEWVRFTAPPAVQNPETASQVISIDTIAFSLFAQRNLSKGEQESLLTWDLLKHLINHSQALPNGVEAIKEAGSAWNSLMALMEEEKLGYADDNSTRKTKEKQCPHFLNKVNATDSDKSHYKLRVPCGLTQGSSITIIGIPNGLLGNFRIDLTGEALPGEPDPPIILHYNVRLHGDKVTEDPVIVQNTWTIAHDWGEEERCPPPTPDKNKKVDELDQCNKLVGKDNNRTVSMHSHGSRRSSMGLQGVKSRRYFPFKQGSLFVATLRVGSEGIQMTVDGKHVTSFAYRETLEPWLVSEVRIFGDIKLISVLASGLPTSEDSDHTVDLEELKSVPLSRQRPVELFIGVFSTANNFKRRMAVRRTWMQYPEVRSGTVAVRFFVGLHKNHIVNEELWNEAQTYGDIQLMPFVDYYSLITWKTLAICTFGTEVVSAKFVMKTDDDAFVRVDEVLASLSRINVTRGLLYGLINSDSQPHRSTQSKWFISPEEWSEDKYPPWAHGPGYVVSHDIAEAVYKRFNEGHLKMFKLEDVAMGIWIANIKKEGLEVKYEKEERIFNEGCKDGYVIAHYQGPREMMCLWQKLQETKRARCCGDS >EOY01911 pep chromosome:Theobroma_cacao_20110822:2:41332483:41337199:-1 gene:TCM_011698 transcript:EOY01911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,3-galactosyltransferase 15 isoform 1 MKADTLHLKRMKKWYGGALVASLFMLLVLRYGVIKNAVEESYLTNPFSSNGTNPLEWVRFTAPPAVQNPETASQVISIDTIAFSLFAQRNLSKGEQESLLTWDLLKHLINHSQALPNGVEAIKEAGSAWNSLMALMEEEKLGYADDNSTRKTKEKQCPHFLNKVNATDSDKSHYKLRVPCGLTQGSSITIIGIPNGLLGNFRIDLTGEALPGEPDPPIILHYNVRLHGDKVTEDPVIVQNTWTIAHDWGEEERCPPPTPDKNKKVDELDQCNKLVGKDNNRTVSMHSHGSRRSSMGLQGVKSRRYFPFKQGSLFVATLRVGSEGIQMTVDGKHVTSFAYRETLEPWLVSEVRIFGDIKLISVLASGLPTSEDSDHTVDLEELKSVPLSRQRPVELFIGVFSTANNFKRRMAVRRTWMQYPEVRSGTVAVRFFVGLHKNHIVNEELWNEAQTYGDIQLMPFVDYYSLITWKTLAICTFGTEVVSAKFV >EOY01909 pep chromosome:Theobroma_cacao_20110822:2:41332462:41337230:-1 gene:TCM_011698 transcript:EOY01909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,3-galactosyltransferase 15 isoform 1 MKADTLHLKRMKKWYGGALVASLFMLLVLRYGVIKNAVEESYLTNPFSSNGTNPLEWVRFTAPPAVQNPETASQVISIDTIAFSLFAQRNLSKGEQESLLTWDLLKHLINHSQALPNGVEAIKEAGSAWNSLMALMEEEKLGYADDNSTRKTKEKQCPHFLNKVNATDSDKSHYKLRVPCGLTQGSSITIIGIPNGLLGNFRIDLTGEALPGEPDPPIILHYNVRLHGDKVTEDPVIVQNTWTIAHDWGEEERCPPPTPDKNKKVDELDQCNKLVGKDNNRTVSMHSHGSRRSSMGLQGVKSRRYFPFKQGSLFVATLRVGSEGIQMTVDGKHVTSFAYRETLEPWLVSEVRIFGDIKLISVLASGLPTSEDSDHTVDLEELKSVPLSRQRPVELFIGVFSTANNFKRRMAVRRTWMQYPEVRSGTVAVRFFVGLHKNHIVNEELWNEAQTYGDIQLMPFVDYYSLITWKTLAICTFGTEVVSAKFVMKTDDDA >EOX98389 pep chromosome:Theobroma_cacao_20110822:2:5601554:5603338:-1 gene:TCM_007162 transcript:EOX98389 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0631 protein MEKALRVYAQVLRLVRRLPKDSRPYYAKYARENFVNYRDVDASDSKALDELFHRAYNHSLWVLNKYSVDETAAQKLKETCVGR >EOX98093 pep chromosome:Theobroma_cacao_20110822:2:4544068:4548731:1 gene:TCM_006934 transcript:EOX98093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamin biosynthesis protein, putative isoform 3 MASSTFVFTSSSSASRHYLLLIPPQASAKAYTNNKLRVSNSPSFVLRTKGSIHLQGFVAMQEKGASTLSDDSKIKIPHVLTVAGSDSGAGAGIQADLKACAARRVYCSTVITSVTAQNTVGVQGVSNVSEDFVAEQLKSVLSDMQVDVVKTGMLPSVGIVKILCKTLREFPVQALVVDPVMVSTSGDVLAGPSILSVFREELFPMADIITPNLKEASALLDGVQLETVDDLRSAARLLYDMGPKNVLVKGGDLPDSSDAVDILFNGDNFYELRSPRIKTRNTHGTGCSLASCIAAELAKGYLMVSAVKVAKRFVETALDYSKDIVIGNGLQGPFDHLLRLKGHSQDCHGLQAFNPSDLLLYAVTDSEMNKKWGRSITDAVKAAIEGGATIVQLREKDAETQDFLESAKACLKICHSHGVPLLINDRIDIALACDADGVHVGQSDMPAHVARTLLGPEKIIGVSCKTPEQAQQAWVDGADYIGCGGVYPTNTKENNITVGLDGLKTVCMASKLPVVAIGGIGVSNARIVMEIGVPNLKGVAVVSALFDRECVLTETRKLHAMLSESNIDGTLKKL >EOX98096 pep chromosome:Theobroma_cacao_20110822:2:4545576:4548387:1 gene:TCM_006934 transcript:EOX98096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamin biosynthesis protein, putative isoform 3 MVSTSGDVLAGPSILSVFREELFPMADIITPNLKEASALLDGVQLETVDDLRSAARLLYDMGPKNVLVKGGDLPDSSDAVDILFNGDNFYELRSPRIKTRNTHGTGCSLASCIAAELAKGYLMVSAVKVAKRFVETALDYSKDIVIGNGLQGPFDHLLRLKGHSQDCHGLQAFNPSDLLLYAVTDSEMNKKWGRSITDAVKAAIEGGATIVQLREKDAETQDFLESAKACLKICHSHGVPLLINDRIDIALACDADGVHVGQSDMPAHVARTLLGPEKIIGVSCKTPEQAQQAWVDGADYIGCGGVYPTNTKENNITVGLDGLKTVCMASKLPVVAIGGIGVSNARIVMEIGVPNLKGVAVVSALFDRECVLTETRKLHAMLSESNIDGTLKKL >EOX98094 pep chromosome:Theobroma_cacao_20110822:2:4544741:4548387:1 gene:TCM_006934 transcript:EOX98094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamin biosynthesis protein, putative isoform 3 MLPSVGIVKILCKTLREFPVQALVVDPVMVSTSGDVLAGPSILSVFREELFPMADIITPNLKEASALLDGVQLETVDDLRSAARLLYDMGPKNVLVKGGDLPDSSDAVDILFNGDNFYELRSPRIKTRNTHGTGCSLASCIAAELAKGYLMVSAVKVAKRFVETALDYSKDIVIGNGLQGPFDHLLRLKGHSQDCHGLQAFNPSDLLLYAVTDSEMNKKWGRSITDAVKAAIEGGATIVQLREKDAETQDFLESAKACLKICHSHGVPLLINDRIDIALACDADGVHVGQSDMPAHVARTLLGPEKIIGVSCKTPEQAQQAWVDGADYIGCGGVYPTNTKENNITVGLDGLKTVCMASKLPVVAIGGIGVSNARIVMEIGVPNLKGVAVVSALFDRECVLTETRKLHAMLSESNIDGTLKKL >EOX98095 pep chromosome:Theobroma_cacao_20110822:2:4545948:4548387:1 gene:TCM_006934 transcript:EOX98095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamin biosynthesis protein, putative isoform 3 MVSTSGDVLAGPSILSVFREELFPMADIITPNLKEASALLDGVQLETVDDLRSAARLLYDMGPKNVLVKGGDLPDSSDAVDILFNGDNFYELRSPRIKTRNTHGTGCSLASCIAAELAKGYLMVSAVKVAKRFVETALDYSKDIVIGNGLQGPFDHLLRLKGHSQDCHGLQAFNPSDLLLYAVTDSEMNKKWGRSITDAVKAAIEGGATIVQLREKDAETQDFLESAKACLKICHSHGVPLLINDRIDIALACDADGVHVGQSDMPAHVARTLLGPEKIIGVSCKTPEQAQQAWVDGADYIGCGGVYPTNTKENNITVGLDGLKTVCMASKLPVVAIGGIGVSNARIVMEIGVPNLKGVAVVSALFDRECVLTETRKLHAMLSESNIDGTLKKL >EOY01922 pep chromosome:Theobroma_cacao_20110822:2:41361264:41363696:-1 gene:TCM_011705 transcript:EOY01922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 2 MLFPVKVEWASTHLHSLLPQTKQFILIEENNGCAYLSTSKQQTSTYSSYIYATLWVPEWHKMDISKAEKLESQNELPQPQQQGRGTSFLRTCFNGLNALSGVGILSIPYALAQGGWLSLLLLVLVAVLCWYTGLLLQRCMDLNPLIKTYPDIGEHAFGYKGRAIVSLFMYLELYLVAVEFLILEGDNLDKLFPNTGFKVAGLKIGGRQAFVLLTSLVVLPTTWLKSLGMLAYVSAGGVLASFILVVCIFWVGAVDGVGFHESNVLLNWRGMPIAISMFTFCYCGHSVFPTLCSSMRDRSRFSNVLFVCFITSTINYVSMAVLGYLMYGEHLKSQVTLNLPIKTISTKIAIYTTVINPLTKYAIITAPITTAIEEKSLFGNSRSLSIIIRTALVISTVIVALTVPFFGYIMAFIGSFLSVTSSILLPCLCYLKTNKTAQKFGFELVIIVTILVAGSFIGVVGTFTSIKQIVSHL >EOY01923 pep chromosome:Theobroma_cacao_20110822:2:41360868:41371212:-1 gene:TCM_011705 transcript:EOY01923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 2 PQSQQQGRGTSFLKTCFNGLNALTGVGILSIPYALAQGGWLSLLLLVLVAVLCWYTGLLLQRCMDLNPLIKTYPDIGEHAFGYKGRAIVSLFMYLELYLVAVEFLILEGDNLDKLFPNTGFKVAGLKIGGRQAFVLLTSLVVLPTTWLKSLGMLAYVSAGGVLASFILVVCIFWVGAVDGVGFHESNVLLNWRGMPIAISMFTFCYCGHSVFPTLCSSMRDRSRFSNVLFVCFITSTINYVSMAVLGYLMYGEHLKSQVTLNLPIKTISTKIAIYTTVINPLTKYAIITAPITTAIEEKSLFGNSRSLSIIIRTALVISTVIVALTVPFFGYIMAFIGSFLSVTSSILLPCLCYLKTNKTAQKFGFELVIIVTILVAGSFIGVVGTFTSIKQIVSHL >EOX97312 pep chromosome:Theobroma_cacao_20110822:2:1960947:1961779:-1 gene:TCM_006378 transcript:EOX97312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIRIDLLPPKSLQQPRKILNHPFSTTTLITNRPNKTHNIPCAKRNLSDTALALDLAVTVEKINTHLEQKEKAMKQSREFLFTELCQYLSLKEEEVNKKWRKMKEEEKWVLVKGFVNNWGVNFHPLSVRSVVEMVDEYLQDEKSSSGMFPGLKRMLGFSQDT >EOX98793 pep chromosome:Theobroma_cacao_20110822:2:7249496:7253107:1 gene:TCM_007483 transcript:EOX98793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alternative oxidase 2 MNRFLVRSVMQGLINGRSYSGSSISNGHMYRAAIVGRDVWLSGQQNGMPFGGFEWRRMMNSAPAWTEKVPLEKEEKKENPATGEKKGTEMMVSSYWGISRPKITKEDGTDWPWNCFMPWETYKADLSIDLKKHHVPKNFVDKFAYRTVKILRIPADLFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRKFQHSGGWIKALLEEAENERMHLMTMVELVKPKWYERLLVLTVQGVFFNAFFVLYVLSPKLAHRIVGYLEEEAIHSYTEYLKDIESGAIENVPAPAIAIDYWRLPKDANLKDVITVIRADEAHHRDVNHFASDIHFQGKELREAPAPLGYH >EOX97590 pep chromosome:Theobroma_cacao_20110822:2:2789676:2792458:-1 gene:TCM_006574 transcript:EOX97590 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein isoform 1 MDVDHYSVLGLPSGEEGASLTPKEITKAYREKARDLHPDKRKDDPNAHENFIKLKSSYEILIDEKARKLFDDLLRVKRDQQRRFAQQDSKRRKMMSDLEDRERASFAPDPSVKAKAEEERIARKLKEEIARIRAMHANKGASTGTGPGLNKETVSKEGNNGAGGGVGLNKEKILKVSWEKIGEDYTAQKLRELFSRFGEVEDIVIKSSKKKGSALVVMATKESAIAATGSVSGSLSNPLLVVPLKPAVVSDFPAPQKAEETDLSSNLVGAGYQAFEDAVFQKLTKAAEKQQK >EOX97592 pep chromosome:Theobroma_cacao_20110822:2:2789431:2792297:-1 gene:TCM_006574 transcript:EOX97592 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein isoform 1 YSVLGLPSGEEGASLTPKEITKAYREKARDLHPDKRKDDPNAHENFIKLKSSYEILIDEKARKLFDDLLRVKRDQQRRFAQQDSKRRKMMSDLEDRERASFAPDPSVKAKAEEERIARKLKEEIARIRAMHANKGASTGTGPGLNKETVSKEGNNGAGGGVGLNKEKILKVSWEKIGEDYTAQKLRELFSRFGEVEDIVIKSSKKKGSALVVMATKESAIAATGSVSGSLSNP >EOX97591 pep chromosome:Theobroma_cacao_20110822:2:2790031:2792244:-1 gene:TCM_006574 transcript:EOX97591 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein isoform 1 EITKAYREKARDLHPDKRKDDPNAHENFIKLKSSYEILIDEKARKLFDDLLRVKRDQQRRFAQQDSKRRKMMSDLEDRERASFAPDPSVKAKAEEERIARKLKEEIARIRAMHANKGASTGTGPGLNKETVSKEGNNGAGGGVGLNKEKILKVSWEKIGEDYTAQKLRELFSRFGEVEDIVIKSSKKKGSALVVMATKESAEVLVGVYPIHCLLSLLNQLLSQISQLHRKPRKLIFPVIWLGLVTKLLKMLFFRN >EOY00660 pep chromosome:Theobroma_cacao_20110822:2:34349857:34352962:-1 gene:TCM_010571 transcript:EOY00660 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative MGLYNFKPFIFLVRFPAIFNFGDSNSDTGGLSVAFRSTPPPNRLTHIHAPAGRDCDGRLLIDFIGPPDLNAYLASVGTNFSHGANFAVAGATIRQTAFNPISLGEDLRFLINKSAAIEKLLPKEDYLPRALYTFDIGQKDLTAGYKLNLTTQVVKAYVPYLLTQFSSILKERQLAISPQAYASMETLKVDDNDASGDAGLYYQGGRTFWIHYTGPVGCLPYVLDHFPVHAGQVDKFGCANPFNDFNGGLKRVDLSILLWLVVVMVGTITITEAANKWIFEQIANGSFSDQPLPLRIACNRQDH >EOX99317 pep chromosome:Theobroma_cacao_20110822:2:10116558:10117623:1 gene:TCM_007948 transcript:EOX99317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MSRCEAVSTPLCTGAKFSKNDGADKANGQIYRSIIGSLLYLAAIRPDIIFATCLLSRFKQDPLEIHFAAAKRILRYVKRTLDYGLIYKKQKSSQLVEFSDSDWAVSLDDSKSIKGFCFSFGSAVFAWNSKKQQVVAQSTVEVEYITCAAAANHAPWLRKLLVELGFKQDKGTLINVDKFVNSCNYKKPYSTWKD >EOY01273 pep chromosome:Theobroma_cacao_20110822:2:38765180:38767484:1 gene:TCM_011218 transcript:EOY01273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVTKSGEEKYIWWGNLSSSFTIQIQSKIFSAPGMSLRAYFSTEFSCGDVFDREESEVSVIDESREPRHKGGMDLHHHAIEFDIEFWPVEHPMEPQDEDRPVKCPMPASSSINDGIGNEERLAAESSRKRSEVTEKLKKGTPVGTEPAVRAVRKRHHTLTRDDHVIKPLVRMPPLPPLPTQNLTIFQMLQEFDKFNS >EOY01274 pep chromosome:Theobroma_cacao_20110822:2:38765891:38767733:1 gene:TCM_011218 transcript:EOY01274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSLRAYFSTEFSCGDVFDREESEVSVIDESREPRHKGGMDLHHHAIEFDIEFWPVEHPMEPQDEDRPVKCPMPASSSINDGIGNEERLAAESSRKRSEVTEKLKKGTPVGTEPAVRAVRKRHHTLTRDDHVIKPLVRMPPLPPLPTQNLTIFQMLQEFDKFNS >EOX99414 pep chromosome:Theobroma_cacao_20110822:2:11057814:11060939:-1 gene:TCM_008094 transcript:EOX99414 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING membrane-anchor 1, putative isoform 1 MAIEQYFEEAVAHNHLSGEGGFDCNICLDSVQDPVVTLCGHLYCWPCIYKWLHFQTISTENQEQKQQQCPVCKAEVSHTTLVPLYGRGLTTKASRGKTPQFDVVIPKRPLAPTPNTTNSSQFAQQLHHRGYSYQPQMSYPQHGSYPASPLLSPGGMTINVLDPVTRMFGEMVYTRVFGNSITNLYTYPNSYNLAGSTSPRIRRHVMQADKSLSRISFFLFCCIILCLLLF >EOX99413 pep chromosome:Theobroma_cacao_20110822:2:11057933:11061017:-1 gene:TCM_008094 transcript:EOX99413 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING membrane-anchor 1, putative isoform 1 MAIEQYFEEAVAHNHLSGEGKTSLQKWKSSPHQVTDSDDNPSGGFDCNICLDSVQDPVVTLCGHLYCWPCIYKWLHFQTISTENQEQKQQQCPVCKAEVSHTTLVPLYGRGLTTKASRGKTPQFDVVIPKRPLAPTPNTTNSSQFAQQLHHRGYSYQPQMSYPQHGSYPASPLLSPGGMTINVLDPVTRMFGEMVYTRVFGNSITNLYTYPNSYNLAGSTSPRIRRHVMQADKSLSRISFFLFCCIILCLLLF >EOY01777 pep chromosome:Theobroma_cacao_20110822:2:40865496:40867066:1 gene:TCM_011600 transcript:EOY01777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTCLTASSLPSTLFPICQNPIYLHKRTSTRCSKNLKTSSSSSSCLPLNRVLHKSLLPLAASVTIFLSPAPAKAGFLSGFSGIESVPGPQLPQIDFLNRLNEENQKKYAEADERFKSSPLLKQLLERSKQNKEMNRQETLDKYCLRGAEWGVGDCSVEAMSPEEKESFIAMLKQKSGVK >EOY01778 pep chromosome:Theobroma_cacao_20110822:2:40865597:40867051:1 gene:TCM_011600 transcript:EOY01778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 LFPICQNPIYLHKRTSTRCSKNHKSLLPLAASVTIFLSPAPAKAGFLSGFSGIESVPGPQLPQIDFLNRLNEENQKKYAEADERFKSSPLLKQLLERSKQNKEMNRQETLDKYCLRGAEWGVGDCSVEAMSPEEKESFIAMLKQKSGVK >EOY01779 pep chromosome:Theobroma_cacao_20110822:2:40865597:40867051:1 gene:TCM_011600 transcript:EOY01779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 LFPICQNPIYLHKRTSTRCSKNHKSLLPLAASVTIFLSPAPAKAGFLSGFSGIESVPGPQLPQIDFLNRLNEENQKKYAEADERFKSSPLLKQLLERSKQNKEMQETLDKYCLRGAEWGVGDCSVEAMSPEEKESFIAMLKQKSGVK >EOY02213 pep chromosome:Theobroma_cacao_20110822:2:42335046:42345553:-1 gene:TCM_011912 transcript:EOY02213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVDKVRFRDDNCRWGPRRCGGRFSGRGPSFGGGHGGGGGGGIGGCSGHGGGFGVGGGVGVGAEGGFSGGGGGGVGGGSRHGGGFGARGGAMVGALELEEGGGGGSGHGGGFGVGGGVSGRIGGSAEGGGSGGGGRGGGGGVGGASGHGGEFGTGGGIGGGAAGGGGRGGGRGGSGGGGGLSGGSGHGGGFGVGGGANGDRGVGSHGGGFGVGIGIGVGVGVRVGVGAGAGAGQGSSIGSGSSGGGGGRT >EOY01503 pep chromosome:Theobroma_cacao_20110822:2:39757840:39759366:-1 gene:TCM_011375 transcript:EOY01503 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 1 MDDVSCPDLISDLPQSIIEGILTRLPIRDAVRTSILSSKWRYKWATITQLVFDEKCVDLSNDRCEFEDSLVKFITRALFLHQGPIHKFQLTTTYLQCCPDIDQWLLFLSRNDIKELVLELGEGEWFRVPSCLFNCRKLTRLELFRCELDPPPSFKGFLCLKSLNLHQVLVSPEAIESLISSCPLLESLALSYFDSLALTIHAPNLKYLCLEGEFKDICLENTPLLVAMSVAMYMTDDIAEHFEQSSGCNFNRFLGGVPRLERLIGHIYFTKYLSIGDDPGRLRITYNHLKVVELYQVSFEDMKEILVVLRLITNTPNLKELQISGSSNTLAAIDAPDLDFWDQKCPTDCTFKQLKVVKMTDMSGVPHEMEFIKFLLANSPALETMSISPCVYLMDGRINMLIELLRFRRASAQAEILFIQD >EOY01504 pep chromosome:Theobroma_cacao_20110822:2:39757006:39759806:-1 gene:TCM_011375 transcript:EOY01504 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 1 MDDVSCPDLISDLPQSIIEGILTRLPIRDAVRTSILSSKWRYKWATITQLVFDEKCVDLSNDRCEFEDSLVKFITRALFLHQGPIHKFQLTTTYLQCCPDIDQWLLFLSRNDIKELVLELGEGEWFRVPSCLFNCRKLTRLELFRCELDPPPSFKGFLCLKSLNLHQVLVSPEAIESLISSCPLLESLALSYFDSLALTIHAPNLKYLCLEGEFKDICLENTPLLVAMSVAMYMTDDIAEHFEQSSGCNFNRFLGGVPRLERLIGHIYFTKDCTFKQLKVVKMTDMSGVPHEMEFIKFLLANSPALETMSISPCVYLMDGRINMLIELLRFRRASAQAEILFIQD >EOX97713 pep chromosome:Theobroma_cacao_20110822:2:3167428:3170972:-1 gene:TCM_006654 transcript:EOX97713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein isoform 1 MKEIGKRKTRRSRILMDLSSVSSLALVLNLIVLLVTSKGVSGASFTFVNRCAYTVWPGILANAGSPRLDSTGFELPKDSSRSFQAPTGWSGRFWGRTGCTFDGSGSGACLTGDCGAGQMECNGLGAAPPVTLAEFTLGTGGQDFYDVSLVDGYNLPMIVEGSGGSGLCASTGCTTDLNRQCPSELRVGDGDACKSACEAFGSPEYCCSGAYSTPATCKPSVYSEMFKAACPRSYSYAYDDATSTFTCTGADYTVTFCPSSPSQKSSRDTTPVTEATPVTGSTSQGSGSESGVSYSGNGYGYSGSGYSSSGYGYSGTGYSGSGSSSESGSGQTMLTDGSWLAGLAMGDSPRTASPSIPQSVLMALTAICSLDGVIYNIKRILAVVCEGCPTRTFSTQLSPLSAMDLEHHYVRWKWNQ >EOX97714 pep chromosome:Theobroma_cacao_20110822:2:3167463:3170244:-1 gene:TCM_006654 transcript:EOX97714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein isoform 1 MKEIGKRKTRRSRILMDLSSVSSLALVLNLIVLLVTSKGVSGASFTFVNRCAYTVWPGILANAGSPRLDSTGFELPKDSSRSFQAPTGWSGRFWGRTGCTFDGSGSGACLTGDCGAGQMECNGLGAAPPVTLAEFTLGTGGQDFYDVSLVDGYNLPMIVEGSGGSGLCASTGCTTDLNRQCPSELRVGDGDACKSACEAFGSPEYCCSGAYSTPATCKPSVYSEMFKAACPRSYSYAYDDATSTFTCTGADYTVTFCPSSPSQKSSRDTTPVTEATPVTGSTSQGSGSESGVSYSGNGYGYSGSGYSSSGYGYSGTGYSGSGSSSESGSGQTMLTDGSWLAGLAMGDSPRTASPSIPQSVLMALTAICSLDG >EOX97716 pep chromosome:Theobroma_cacao_20110822:2:3168328:3170032:-1 gene:TCM_006654 transcript:EOX97716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein isoform 1 MKEIGKRKTRRSRILMDLSSVSSLALVLNLIVLLVTSKGVSGASFTFVNRCAYTVWPGILANAGSPRLDSTGFELPKDSSRSFQAPTGWSGRFWGRTGCTFDGSGSGACLTGDCGAGQMECNGLGAAPPVTLAEFTLGTGGQDFYDVSLVDGYNLPMIVEGSGGSGLCASTGCTTDLNRQCPSELRVGDGDACKSACEAFGSPEYCCSGAYSTPATCKPSVYSEMFKAACPRSYSYAYDDATSTFTCTGADYTVTFCPSSPSQKSSRDTTPVTEATPVTGSTSQGSGSESGVSYSGNGYGYSGSGYSSSGYGYSGTGYSGSGSSSESGSGQTMLTDGSWLAGLAMGDSPRTASPSIPQSVLMALTAVSLLFSFLYL >EOX97715 pep chromosome:Theobroma_cacao_20110822:2:3167231:3169941:-1 gene:TCM_006654 transcript:EOX97715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein isoform 1 VLLVTSKGVSGASFTFVNRCAYTVWPGILANAGSPRLDSTGFELPKDSSRSFQAPTGWSGRFWGRTGCTFDGSGSGACLTGDCGAGQMECNGLGAAPPVTLAEFTLGTGGQDFYDVSLVDGYNLPMIVEGSGGSGLCASTGCTTDLNRQCPSELRVGDGDACKSACEAFGSPEYCCSGAYSTPATCKPSVYSEMFKAACPRSYSYAYDDATSTFTCTGADYTVTFCPSSPSQKSSRDTTPVTEATPVTGSTSQGSGSESGVSYSGFWLLYVKDAQLARFQLSFRLCLPWIWSITMFGGSGINSSK >EOX97016 pep chromosome:Theobroma_cacao_20110822:2:931211:937300:-1 gene:TCM_006142 transcript:EOX97016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase superfamily isoform 4 MATKPGVLTDWPWKHLGNFKYAILTPWVIHSTYSLLMSKGEKEANLTYHLIFPFLMTRVLHNQIWISVSRYRTAKGKNRIVDKGIDFEQVDRESNWDDQIILNGILLYLTNMIMPGASHLPLWRSDGFIIVILLHTGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIATEPITSVIHPFAEEVAYFLLFAIPLMTVMFTGTASIAAIFIYITYIDLMNNMGHCNFELVPKWVFSTFPPLKFLMYTPSYHSLHHTQFRTNYSLFMPMYDYLYGTVDKSSDDLYETSLKRQEESPDIVHLTHLTTTDSIYHLRLGFASLASKPYAFKWYFTIAMWPVSCWSAVFTWFYGHTFVSESNTFNNLKLQSWVVPRYTMHYLLQREKKDLNYLIEEALLEADSKGAKVHEELNGNGELYIQRHPQLRIRLVDGSSLAAAVVVNSIPQETTQVLLTGRISKVGYAIALALCQKGVQVAAMNEDEYQKLQHSDCQFGKNLVLAENYDQKIWLVGEGLTDKEQLKATKGTVFVPFTQFPPKKLLKDCYYHTTPAMVAPKSLDNIHSCENWLARRVMSAWRVAGIVHGLEGWNVHECGQTMFSMDKVWEATLLHGFCPLSLSV >EOX97019 pep chromosome:Theobroma_cacao_20110822:2:924358:934392:-1 gene:TCM_006142 transcript:EOX97019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase superfamily isoform 4 EKEANLTYHLIFPFLMTRVLHNQIWISVSRYRTAKGKNRIVDKGIDFEQVDRESNWDDQIILNGILLYLTNMIMPGASHLPLWRSDGFIIVILLHTGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIATEPITSVIHPFAEEVAYFLLFAIPLMTVMFTGTASIAAIFIYITYIDLMNNMGHCNFELVPKWVFSTFPPLKFLMYTPSYHSLHHTQFRTNYSLFMPMYDYLYGTVDKSSDDLYETSLKRQEESPDIVHLTHLTTTDSIYHLRLGFASLASKPYAFKWYFTIAMWPVSCWSAVFTWFYGHTFVSESNTFNNLKLQSWVVPRYTMHYLLQREKKDLNYLIEEALLEADSKGAKVVSFGLLNQHEELNGNGELYIQRHPQLRIRLVDGSSLAAAVVVNSIPQETTQVLLTGRISKVGYAIALALCQKGVQVAAMNEDEYQKLQHSDCQFGKNLVLAENYDQKIWLVGEGLTDKEQLRATKG >EOX97018 pep chromosome:Theobroma_cacao_20110822:2:924057:934392:-1 gene:TCM_006142 transcript:EOX97018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase superfamily isoform 4 EKEANLTYHLIFPFLMTRVLHNQIWISVSRYRTAKGKNRIVDKGIDFEQVDRESNWDDQIILNGILLYLTNMIMPGASHLPLWRSDGFIIVILLHTGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIATEPITSVIHPFAEEVAYFLLFAIPLMTVMFTGTASIAAIFIYITYIDLMNNMGHCNFELVPKWVFSTFPPLKFLMYTPSYHSLHHTQFRTNYSLFMPMYDYLYGTVDKSSDDLYETSLKRQEESPDIVHLTHLTTTDSIYHLRLGFASLASKPYAFKWYFTIAMWPVSCWSAVFTWFYGHTFVSESNTFNNLKLQSWVVPRYTMHYLLQREKKDLNYLIEEALLEADSKGAKVVSFGLLNQHEELNGNGELYIQRHPQLRIRLVDGSSLAAAVVVNSIPQETTQVLLTGRISKVGYAIALALCQKGVQVAAMNEDEYQKLQHSDCQFGKNLVLAENYDQKIWLVGEGLTDKEQLKATKGTVFVPFTQFPPKKLLKDCYYHTTPAMVAPKSLDNIHSCENWLARRVMSAWRVAGIVHGLEGWNVHECGQ >EOX97020 pep chromosome:Theobroma_cacao_20110822:2:909197:934392:-1 gene:TCM_006142 transcript:EOX97020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase superfamily isoform 4 EKEANLTYHLIFPFLMTRVLHNQIWISVSRYRTAKGKNRIVDKGIDFEQVDRESNWDDQIILNGILLYLTNMIMPGASHLPLWRSDGFIIVILLHTGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIATEPITSVIHPFAEEVAYFLLFAIPLMTVMFTGTASIAAIFIYITYIDLMNNMGHCNFELVPKWVFSTFPPLKFLMYTPSYHSLHHTQFRTNYSLFMPMYDYLYGTVDKSSDDLYETSLKRQEESPDIVHLTHLTTTDSIYHLRLGFASLASKPYAFKWYFTIAMWPVSCWSAVFTWFYGHTFVSESNTFNNLKLQSWVVPRYTMHYLLQREKKDLNYLIEEALLEADSKGAKVVSFGLLNQHEELNGNGELYIQRHPQLRIRLVDGSSLAAAVVVNSIPQETTQVLLTGRISKVGYAIALALCQKGVQVAAMNEDEYQKLQHSDCQFGKNLVLAENYDQKIWLVGEGLTDKEQLKATKGTVLIPFTQFPPKKLLKDCYYHTT >EOX97017 pep chromosome:Theobroma_cacao_20110822:2:931275:934950:-1 gene:TCM_006142 transcript:EOX97017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase superfamily isoform 4 MATKPGVLTDWPWKHLGNFKYAILTPWVIHSTYSLLMSKGEKEANLTYHLIFPFLMTRVLHNQIWISVSRYRTAKGKNRIVDKGIDFEQVDRESNWDDQIILNGILLYLTNMIMPGASHLPLWRSDGFIIVILLHTGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIATEPITSVIHPFAEEVAYFLLFAIPLMTVMFTGTASIAAIFIYITYIDLMNNMGHCNFELVPKWVFSTFPPLKFLMYTPSYHSLHHTQFRTNYSLFMPMYDYLYGTVDKSSDDLYETSLKRQEESPDIVHLTHLTTTDSIYHLRLGFASLASKPYAFKWYFTIAMWPVSCWSAVFTWFYGHTFVSESNTFNNLKLQSWVVPRYTMHYLLQREKKDLNYLIEEALLEADSKGAKVVSFGLLNQHEELNGNGELYIQRHPQLRIRLVDGSSLAAAVVVNSIPQETTQVLLTGRISKVGYAIALALCQKGVQVAAMNEDEYQKLQHSDCQFGKNLVLAENYDQKIWLVGEGLTDKEQLKATKGTVFVPFTQFPPKKLLKDCYYHTTPAMVAPKSLDNIHSCENWLARRVMSAWRVAGIVHGLEGWNVHECGQTMFSMDKVWEATLLHGFCPLSLSV >EOY01052 pep chromosome:Theobroma_cacao_20110822:2:37148256:37153302:1 gene:TCM_010986 transcript:EOY01052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase 9B1 isoform 2 MARKSISYPGIFPVLVAFSFALLVFSRPILGGHDYHDALRKSILFFEGQRSGKLPPDQRVKWRCDSALHDGSTAGVDLTGGYYDAGDNVKFGFPMAFTTTLLAWSIIDFGRNMGPELKNAVKAVKWSTDYLLKATAKPGVVYVQVGDAYSDHSCWERPEDMDTLRTVYKIDNSHPGSDVAGETAAALAAASIVFRSRDPAYSRLLLNRAVRVFNFADKHRGAYSGSLHAAVCPFYCDVNGYQDELLWGAAWLHKASRKRVYREYIVKNEVILRAGDTINEFGWDNKHAGINVLISKEVLMGKADYFESFKQNADGFICSLLPGISHPQVQYSPGGLIFKAGGSNMQHVTSLSFLLLAYSNYLSHANKVVPCGERSASAALLKQLAKRQVDYILGDNPLGMSYMVGYGARFPQRIHHRASSLPSVGAHPDRIGCKAGSSYYLSPNPNPNLLVGAVVGGPNVSDAFPDSRPYFQESEPTTYINAPLVGLLAYLSAHP >EOY01053 pep chromosome:Theobroma_cacao_20110822:2:37148467:37152973:1 gene:TCM_010986 transcript:EOY01053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase 9B1 isoform 2 MFRFQVDLTGGYYDAGDNVKFGFPMAFTTTLLAWSIIDFGRNMGPELKNAVKAVKWSTDYLLKATAKPGVVYVQVGDAYSDHSCWERPEDMDTLRTVYKIDNSHPGSDVAGETAAALAAASIVFRSRDPAYSRLLLNRAVRVFNFADKHRGAYSGSLHAAVCPFYCDVNGYQDELLWGAAWLHKASRKRVYREYIVKNEVILRAGDTINEFGWDNKHAGINVLISKEVLMGKADYFESFKQNADGFICSLLPGISHPQVQYSPGGLIFKAGGSNMQHVTSLSFLLLAYSNYLSHANKVVPCGERSASAALLKQLAKRQVDYILGDNPLGMSYMVGYGARFPQRIHHRASSLPSVGAHPDRIGCKAGSSYYLSPNPNPNLLVGAVVGGPNVSDAFPDSRPYFQESEPTTYINAPLVGLLAYLSAHP >EOX99760 pep chromosome:Theobroma_cacao_20110822:2:15575303:15578776:1 gene:TCM_008635 transcript:EOX99760 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 75 MENFQGFSPNSSTLSASSLSLNMTNSLGYAEFQGSKANGLLGLMAEMEVPASLNVHNISQNKNFPEINSLAGTETETEVKLGKKKGDKKIRKPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLTRDEGIVVTTYEGMHSHPIQKSNDNFEHILSQMQIYTSF >EOX99301 pep chromosome:Theobroma_cacao_20110822:2:10046372:10055410:-1 gene:TCM_007935 transcript:EOX99301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQVLEENNKRIMETINQFASSIATISQPPPLTVENVTNVVNNNENGGNGESSIDPLLNTTHPSIIGNPITTGDAREHVMKFVETLGVMGLDDDLKLKEFSKSLIDKVYTWYVNLTLGSIDYWNQMCRMFEEKLFSTQENVTFIDLGREHQKAREDLMEYMQRFRERVLDIQYSHHENELVKKMTNGYENAIAFTKVDICTSHPYHNKPLYVESNVNGYLVRRMFIDDGSSVNIMPLSTLKAMNIDLRSLRRSMTITSFDNKEIKTLGQVMEGSFFTLTISFLPRATNVSKVIKSQALSDLLAHFPSQFEEVIPDSIPEKLHEVYSVSTEDGKWELYFDVSSTMFGGEYEALILGLYAATFMGVNSLCIHEDSNMIVRRTNGEFSLKEPMLASYRTIVQSLLQQFQKGHTWILATVECFTKWVEVIPLKKATRSIVANFIEENIICRFGTPKHILSDNGTPFVNSSVKELLALYDVDHVKLTSYCLKKNSQTEATNKTLLKVLSRMVHDDPKMWHDAIPVTLWAYRTSKRALTNATPFFLVYGTKTILPVEILVPLARLALDAEMENDALRMMELKTL >EOX97219 pep chromosome:Theobroma_cacao_20110822:2:1649591:1654401:-1 gene:TCM_006309 transcript:EOX97219 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MYHNSEEAMSSDDPHFLLVTLPGQGHLNPTLQLAKRLIQAGARVTFATTTSGQRKIKSFPSLEGLAYAFFSDGFDDGTSPSDKQEDIMSKLEHIGSQTLTNLLLSLSGEGHPVSFLIYSLLLSWVADVARDLSIPSALLCNHSGAAFAIYHHYLNSQTGAYDSKINCPPSFINFEGLPPFKWKDLPSFLLPYSPHSFVTTSFQKHIRVLEKDPNSCVLINTFDELEEYAIKTLAHDSNINLITIGPLVPSDKFVGCDLFENSSHDYYTHWLDSKPDCSVVYISFGSLAVLPRNQMEEIFHGIVDSGYTFLWVIRPSKDGEEEEGFENAIKDKIKEEQGLIVPWCSQVEVLNHRAVGCFVTHCGWNSTTECLVAGVPMVALPQFSDQTTNAKLVDEVWETGIRIKVNEGTAVAEKEEIRRCLEMVMGNGQKGEAMRGKAKKWRGLALEATSQGGSSANNFKVFMESFVK >EOX99824 pep chromosome:Theobroma_cacao_20110822:2:16863374:16867228:-1 gene:TCM_008773 transcript:EOX99824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein isoform 1 MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTACNMRNCTQSRPADHNSKSAAKPVQAPQNYSSSAPYVGSGAPSSMYLGVPPYGSSLFNGSSIPPYDVSFSGGSAYHYNYGSRLSGGSPYRPLHMSGPPAYSSGSMIGNGIGGMYGMPPLMDRYGLGLPMGPPPMGPRPGFFPDDKLQKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQAAKSDKNSKQKMPEGSWKCEKCSNINYPFRTKCNRQNCGADKPAESKKSPSPTANENDQCYMLHKFP >EOX99821 pep chromosome:Theobroma_cacao_20110822:2:16862578:16867131:-1 gene:TCM_008773 transcript:EOX99821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein isoform 1 MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTACNMRNCTQSRPADHNSKSAAKPVQAPQNYSSSAPYVGSGAPSSMYLGVPPYGSSLFNGSSIPPYDVSFSGGSAYHYNYGSRLSGGSPYRPLHMSGPPAYSSGSMIGNGGMYGMPPLMDRYGLGLPMGPPPMGPRPGFFPDDKLQKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQAAKSDKNSKQKMPEGSWKCEKCSNINYPFRTKCNRQNCGADKPAESKKSPSPTANENDQ >EOX99826 pep chromosome:Theobroma_cacao_20110822:2:16864780:16866993:-1 gene:TCM_008773 transcript:EOX99826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein isoform 1 MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTACNMRNCTQSRPADHNSKSAAKPVQAPQNYSSSAPYVGSGAPSSMYLGVPPYGSSLFNGSSIPPYDVSFSGGSAYHYNYGSRLSGGSPYRPLHMSGPPAYSSGSMIGNGGMYGMPPLMDRYGLGLPMGPPPMGPRPGFFPDDKLQKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQL >EOX99822 pep chromosome:Theobroma_cacao_20110822:2:16863235:16867179:-1 gene:TCM_008773 transcript:EOX99822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein isoform 1 MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTACNMRNCTQSRPADHNSKSAAKPVQAPQNYSSSAPYVGSGAPSSMYLGVPPYGSSLFNGSSIPPYDVSFSGGSAYHYNYGSRLSGGSPYRPLHMSGPPAYSSGSMIGNGGMYGMPPLMDRYGLGLPMGPPPMGPRPGFFPDDKLQKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQAAKSDKNSKQKMPEGSWKCEKCSNINYPFRTKCNRQNCGADKPAESKKSPSPTANENDQCYMLHKFPNVCMEHGENAGLKLR >EOX99825 pep chromosome:Theobroma_cacao_20110822:2:16863374:16867228:-1 gene:TCM_008773 transcript:EOX99825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein isoform 1 MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTACNMRNCTQSRPADHNSKSAAKPVQAPQNYSSSAPYVGSGAPSSMYLGVPPYGSSLFNGSSIPPYDVSFSGGSAYHYNYGSRLSGGSPYRPLHMSGPPAYSSGSMIGNGGMYGMPPLMDRYGLGLPMGPPPMGPRPGFFPDDKLQKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQAAKSDKNSKQKMPEGSWKCEKCSNINYPFRTKCNRQNCGADKPAESKKSPSPTANENDQCYMLHKFP >EOX99823 pep chromosome:Theobroma_cacao_20110822:2:16864197:16866993:-1 gene:TCM_008773 transcript:EOX99823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein isoform 1 MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTACNMRNCTQSRPADHNSKSAAKPVQAPQNYSSSAPYVGSGAPSSMYLGVPPYGSSLFNGSSIPPYDVSFSGGSAYHYNYGSRLSGGSPYRPLHMSGPPAYSSGSMIGNGGMYGMPPLMDRYGLGLPMGPPPMGPRPGFFPDDKLQKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQAAKSDKNSKQKMPEGSWKCEKCSNINYPFRTKCNRQNCGADKPAESKKSPSPTANENDQ >EOX98718 pep chromosome:Theobroma_cacao_20110822:2:6833447:6838281:1 gene:TCM_007415 transcript:EOX98718 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MRKENRISLMAEVLLLQVSYCYQTIHWLVLENHYRGGQAQVEEMVWTTNTAVARSRWTNRFLRQNPKVQFRWVHIQTRKLIKPSNPTPHHLRDLKLSCFDQIAPPTYSSFVYFFPADNRISTSTSIQDERLEKLENSLSEVLTSFYPLAGRYVEDRHAIDCSDKGAEYLEAQVNANLNQFILEELDAELLNHLVPFPNELIFTPTILAVQINKFACGGLAIGLSLSHKFADGFTLFSFMNEWATSSRLGADRVKCLSFESGSVLPATCTATHKIPVPDDNSEKLITRRFIFSEAAISALKAKAVALAGDLGLKSQQQPSRVQLMIALIWKARIVLAQAKHGSLRNSLQIFPYNFRGKTAIHIPLNAAGNLFRNINVRFTANDRKLDLHQLANLVGTEIRHAAESFAKTERAEDLLLSATSSSREIHEELIEGNTDICILTSLCKFPYYEADFGWGKPAWIASVHKPVEMVLLMDTRCSSGIEAWITLEPSDMLQFQQDPDILAYSCKPAEYYSVS >EOX99741 pep chromosome:Theobroma_cacao_20110822:2:15299593:15304474:-1 gene:TCM_008602 transcript:EOX99741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase 2 isoform 1 MQQRVLVEMATFSSTHCPSYSYSSSIKGGQNQHFAFSSSFLRLSVPAKAKFFLQSQTSSYQSAVLMQDVTSSEEWDEKACFDINENESTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTVYGYARSKMTDAELRNMVSKTLTCRIDKRENCGEKMDQFLKRCFYHSGQYDSEENFAQLDKKLKEHEGGRVSNRLFYLSIPPNIFIDAVKCASLSASSGNGWTRVIVEKPFGRDSESSAALTKALKQYLAEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLQLDDVVIGQYKSHTKGGISYPAYTDDNTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKRAEIRVQFRHVPGNLYNRNFGTDLDRATNELVIRVQPDEAIYLKINNKVPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPVLKELEEKKIIPEYYPYGSRGPVGAHYLAARYNVRWGDLGVDQ >EOX99740 pep chromosome:Theobroma_cacao_20110822:2:15300509:15304201:-1 gene:TCM_008602 transcript:EOX99740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase 2 isoform 1 MQQRVLVEMATFSSTHCPSYSYSSSIKGGQNQHFAFSSSFLRLSVPAKAKFFLQSQTSSYQSAVLMQDGAVATKVNPVEKETPLKKLKNELLSVTSSEEWDEKACFDINENESTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTVYGYARSKMTDAELRNMVSKTLTCRIDKRENCGEKMDQFLKRCFYHSGQYDSEENFAQLDKKLKEHEGGRVSNRLFYLSIPPNIFIDAVKCASLSASSGNGWTRVIVEKPFGRDSESSAALTKALKQYLAEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLQLDDVVIGQYKSHTKGGISYPAYTDDNTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKRAEIRVQFRHVPGNLYNRNFGTDLDRATNELVIRVQPDEAIYLKINNKVPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPVLKELEEKKIIPEYYPYGSRGPVGAHYLAARYNVRWGDLGVDQ >EOX96919 pep chromosome:Theobroma_cacao_20110822:2:519094:521498:-1 gene:TCM_006055 transcript:EOX96919 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein isoform 1 MVASKMNDLAGDGTTTAIILARAMIKSGLLAVSFGANPVSLKKGMDKTVKELVKALKKKSLPVKGREDIKAVASISAGNDEFIGNLIAEAIDKIGPDGVISVESSSSSETSVIIEEGMKFITNQDKSLVEFDNAKVLVTDQNISTVKEIVPLLEKTMQLSVPLLVFAEDISMQVLETLVVNKMQGVLNVAVVKCPGFGEGKKALLQDIALMTGADFLSGDLGLTLAGATSDQLGVARKVTITSNSTTIVADPSTKAEIQARIMQIKKDLAETDSAYMSRKLSERIAKLSGGVAIIKVGAHTEMELEDRKLRIEDAKNATFAAMDEGIVPGGGATYIHLSEQIHMIKNSMEDSDERIGADIVAKALLAPSKVIATNAGIDGDVVVEKTRTRDWQIGYNAMSGRYEDLITAGVVDPCRVSRFALQNAVSVAGIVLTTQAIMVEKTKKPKPPVPHVPGITP >EOX96921 pep chromosome:Theobroma_cacao_20110822:2:518658:522406:-1 gene:TCM_006055 transcript:EOX96921 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein isoform 1 CLVLTFSNPSNRFLLCNCPKRISFGRDCREALQAGIDKLADAVSVTLGPRGRNVVLCESETLKVVNDGVTIARAIELSDTIENAGATLIQEVASKMNDLAGDGTTTAIILARAMIKSGLLAVSFGANPVSLKKGMDKTVKELVKALKKKSLPVKGREDIKAVASISAGNDEFIGNLIAEAIDKIGPDGVISVESSSSSETSVIIEEGMKIDKGYMSPQFITNQDKSLVEFDNAKVLVTDQNISTVKEIVPLLEKTMQLSVPLLVFAEDISMQVLETLVVNKMQGVLNVAVVKCPGFGEGKKALLQDIALMTGADFLSGDLGLTLAGATSDQLGVARKVTITSNSTTIVADPSTKAEIQARIMQIKKDLAETDSAYMSRKLSERIAKLSGGVAIIKVGAHTEMELEDRKLRIEDAKNATFAAMDEGIVPGGGATYIHLSEQIHMIKNSMEDSDERIGADIVAKALLAPSKVIATNAGIDGDVVVEKTRTRDWQIGYNAMSGRYEDLITAGVVDPCRVSRFALQNAVSVAGIVLTTQAIMVEKTKKPKPPVPHVPGITP >EOX96918 pep chromosome:Theobroma_cacao_20110822:2:518629:522602:-1 gene:TCM_006055 transcript:EOX96918 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein isoform 1 MSVSFSLSLIPQIAFFSVTNFDGSRRGSGLLRKPLMVGSLVVRAGPKRISFGRDCREALQAGIDKLADAVSVTLGPRGRNVVLCESETLKVVNDGVTIARAIELSDTIENAGATLIQEVASKMNDLAGDGTTTAIILARAMIKSGLLAVSFGANPVSLKKGMDKTVKELVKALKKKSLPVKGREDIKAVASISAGNDEFIGNLIAEAIDKIGPDGVISVESSSSSETSVIIEEGMKIDKGYMSPQFITNQDKSLVEFDNAKVLVTDQNISTVKEIVPLLEKTMQLSVPLLVFAEDISMQVLETLVVNKMQGVLNVAVVKCPGFGEGKKALLQDIALMTGADFLSGDLGLTLAGATSDQLGVARKVTITSNSTTIVADPSTKAEIQARIMQIKKDLAETDSAYMSRKLSERIAKLSGGVAIIKVGAHTEMELEDRKLRIEDAKNATFAAMDEGIVPGGGATYIHLSEQIHMIKNSMEDSDERIGADIVAKALLAPSKVIATNAGIDGDVVVEKTRTRDWQIGYNAMSGRYEDLITAGVVDPCRVSRFALQNAVSVAGIVLTTQAIMVEKTKKPKPPVPHVPGITP >EOX96920 pep chromosome:Theobroma_cacao_20110822:2:518629:522602:-1 gene:TCM_006055 transcript:EOX96920 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein isoform 1 MSVSFSLSLIPQIAFFSVTNFDGSRRGSGLLRKPLMVGSLVVRAGPKRISFGRDCREALQAGIDKLADAVSVTLGPRGRNVVLCESETLKVVNDGVTIARAIELSDTIENAGATLIQEVASKMNDLAGDGTTTAIILARAMIKSGLLAVSFGANPVSLKKGMDKTVKELVKALKKKSLPVKGREDIKAVASISAGNDEFIGNLIAEAIDKIGPDGVISVESSSSSETSVIIEEGMKFITNQDKSLVEFDNAKVLVTDQNISTVKEIVPLLEKTMQLSVPLLVFAEDISMQVLETLVVNKMQGVLNVAVVKCPGFGEGKKALLQDIALMTGADFLSGDLGLTLAGATSDQLGVARKVTITSNSTTIVADPSTKAEIQARIMQIKKDLAETDSAYMSRKLSERIAKLSGGVAIIKVGAHTEMELEDRKLRIEDAKNATFAAMDEGIVPGGGATYIHLSEQIHMIKNSMEDSDERIGADIVAKALLAPSKVIATNAGIDGDVVVEKTRTRDWQIGYNAMSGRYEDLITAGVVDPCRVSRFALQNAVSVAGIVLTTQAIMVEKTKKPKPPVPHVPGITP >EOX96972 pep chromosome:Theobroma_cacao_20110822:2:774615:776692:1 gene:TCM_006096 transcript:EOX96972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein MAAASFRWILQLHKDVPKAAKFYSQGLDFSVNICTPRWAELQSGPLKLALMQSPSDNVKQNGYSSLLSFTVNDINSTVAKLMGLGAELDGSIKYEIHGKVAAMRCIDGHMIGLYGISTINEVVSLMPEVAWLMRFGCGFDGIISFCNLISISTCKTIDVEGGIKGEKRLLFQTSRCCWVLFGRGPEESPNQCYSFFLYSPFTDEIIELPKLDLDCAIFPSSLVATFSLSLYNPDCLVFCLHIGDRIIGISTCRSGDETWKTLKFQRFPSSNRPRSATYLDGSFYCVFSDGAFNVALQEWTLIGESSWPGSAYPTSNSPVALRRWTTLLKRIIMARIWSSICSPELDLT >EOX99058 pep chromosome:Theobroma_cacao_20110822:2:8304054:8304816:1 gene:TCM_007678 transcript:EOX99058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,3-N-Acetylglucosaminyltransferase family protein, putative MAAILNCVCALVFLSLVGQGYCQCSLGNISVSQTKTGTTVQNKPEWQVTISNNCACTQSELKLNCNGFQTVEEVDSSVMTQTGGECLINNGQPVAPFSNLSFKYAWDTSFPLNPLSSQVNCS >EOX97404 pep chromosome:Theobroma_cacao_20110822:2:2183858:2187777:1 gene:TCM_006427 transcript:EOX97404 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein interaction regulator family protein isoform 1 AELNLSSFSFFCGNKLEEFQMGSTAVEKTAEELQREIDELHRQQREITERLRDPRGLRRGGLSGISPRNFAANGARQRGFLRPADRTDAEDQPPAKRRLSSAVVKVEDGEIVDDAEAAKDVSDTAVEGSVAVDQSDRKLLSVPQSGWSRRDGNQRPVKKVTQAPITEHVPRILPKEEDPSLINRNKRMLGQLLGTLERFRKEDVQLSGSEAYMRRSNSLQRAEQRAREESEKLRQQEREQIAEKRRRDLTLRARVAAKAEEKKLELLFLQWSEHRKKLSNFIRTKTEPPIYYLPTKPLDEDATIHDQRKEQEFLEWK >EOX97403 pep chromosome:Theobroma_cacao_20110822:2:2183900:2187656:1 gene:TCM_006427 transcript:EOX97403 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein interaction regulator family protein isoform 1 MGSTAVEKTAEELQREIDELHRQQREITERLRDPRGLRRGGLSGISPRNFAANGARQRGFLRPADRTDAEDQPPAKRRLSSAVVKVEDGEIVDDAEAAKDVSDTAVEGSVAVDQSDRKLLSVPQSGWSRRDGNQRPVKKVTQAPITEHVPRILPKEEDPSLINRNKRMLGQLLGTLERFRKEDVQLSGSEAYMRRSNSLQRAEQRAREESEKLRQQEREQIAEKRRRDLTLRARVAAKAEEKKLELLFLQWSEHRKKLSNFIRTKTEPPIYYLPTKPLDEDATIHDQRKEQEFLEWKTARREELSEYQKQIGEQYVANVEKELERWQNARKARKANNDMNLQETMDKELDTHRLEHGPKKRKIPGGNNEDEEDVEDINVGEDDMMDDVLDVDDNGRRGDETAKAEPDHTSPPPDNVDQQ >EOX97402 pep chromosome:Theobroma_cacao_20110822:2:2184000:2187401:1 gene:TCM_006427 transcript:EOX97402 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein interaction regulator family protein isoform 1 MGSTAVEKTAEELQREIDELHRQQREITERLRDPRGLRRGGLSGISPRNFAANGARQRGFLRPADRTDAEDQPPAKRRLSSAVVKVEDGEIVDDAEAAKDVSDTAVEGSVAVDQSDRKLLSVPQSGWSRRDGNQRPVKKVTQAPITEHVPRILPKEEDPSLINRNKRMLGQLLGTLERFRKEDVQLSGSEAYMRRSNSLQRAEQRAREESEKLRQQEREQIAEKRRRDLTLRARVAAKAEEKKLELLFLQWSEHRKKLSNFIRLFLTLVQKTKTEPPIYYLPTKPLDEDATIHDQRKEQEFLEWKTARREELSEYQKQIGEQYVANVEKELERWQNARKARKANNDMNLQETMDKELDTHRLEHGPKKRKIPGGNNEDEEDVEDINVGEDDMMDDVLDVDDNGRRGDETAKAEPDHTSPPPDNVDQQ >EOX97405 pep chromosome:Theobroma_cacao_20110822:2:2184418:2187456:1 gene:TCM_006427 transcript:EOX97405 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein interaction regulator family protein isoform 1 MGSETFDYDFIDLGVKVEDGEIVDDAEAAKDVSDTAVEGSVAVDQSDRKLLSVPQSGWSRRDGNQRPVKKVTQAPITEHVPRILPKEEDPSLINRNKRMLGQLLGTLERFRKEDVQLSGSEAYMRRSNSLQRAEQRAREESEKLRQQEREQIAEKRRRDLTLRARVAAKAEEKKLELLFLQWSEHRKKLSNFIRTKTEPPIYYLPTKPLDEDATIHDQRKEQEFLEWKTARREELSEYQKQIGEQYVANVEKELERWQNARKARKANNDMNLQETMDKELDTHRLEHGPKKRKIPGGNNEDEEDVEDINVGEDDMMDDVLDVDDNGRRGDETAKAEPDHTSPPPDNVDQQ >EOY00896 pep chromosome:Theobroma_cacao_20110822:2:35760295:35764547:-1 gene:TCM_010811 transcript:EOY00896 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 4 MGDHFVLLVDRLLTESTLEAAIESRNKSLQATASAVDDTEPVNSSPKVDLSSPRKIVECRICQDEDEDSNMETPCSCCGSLKYAHRRCVQRWCNEKGNTTCEICHQHFKPGYTAPPPLFQIGSIPMNLRGNWEISRRELNSSRFIAVVSTDRNLLDSGYDEYSVSNTRSFICYRTVAIIFMLLLIMRHTLPVMLSRINGYSFLLFMLFVLRTVGIVLPLYVLVKVVTGFYRHRQQQETPASLFTQSDEEPEHSSVQPQSHIINVR >EOY00895 pep chromosome:Theobroma_cacao_20110822:2:35759796:35764547:-1 gene:TCM_010811 transcript:EOY00895 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 4 MGDHFVLLVDRLLTESTLEAAIESRNKSLQATASAVDDTEPVNSSPKVDLSSPRKIVECRICQDEDEDSNMETPCSCCGSLKYAHRRCVQRWCNEKGNTTCEICHQHFKPGYTAPPPLFQIGSIPMNLRGNWEISRRELNSSRFIAVVSTDRNLLDSGYDEYSVSNTRSFICYRTVAIIFMLLLIMRHTLPVMLSRINGYSFLLFMLFVLRTVGIVLPLYVLVKVVTGFYRHRQQQETPASLFTQSDEEPEHSSVQPQSHIINVR >EOY00898 pep chromosome:Theobroma_cacao_20110822:2:35759796:35762728:-1 gene:TCM_010811 transcript:EOY00898 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 4 GKRTAPQQCKKPSFFRAIDTRKISSTHHLEYAHRRCVQRWCNEKGNTTCEICHQHFKPGYTAPPPLFQIGSIPMNLRGNWEISRRELNSSRFIAVVSTDRNLLDSGYDEYSVSNTRSFICYRTVAIIFMLLLIMRHTLPVMLSRINGYSFLLFMLFVLRTVGIVLPLYVLVKVVTGFYRHRQQQETPASLFTQSDEEPEHSSVQPQSHIINVR >EOY00897 pep chromosome:Theobroma_cacao_20110822:2:35760295:35763674:-1 gene:TCM_010811 transcript:EOY00897 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 4 MGDHFVLLVDRLLTESTLEAAIESRNKSLQATASAVDDTEPVNSSPKVDLSSPRKIVECRICQDEDEDSNMETPCSCCGSLKYAHRRCVQRWCNEKGNTTCEICHQHFKPGYTAPPPLFQIGSIPMNLRGNWEISRRELNSSRFIAVVSTDRNLLDSGYDEYSVSNTRSFICYRTVAIIFMLLLIMRHTLPVMLSRINGYSFLLFMLFVLRTVGIVLPLYVLVKVVTGFYRHRQQQETPASLFTQSDEEPEHSSVQPQSHIINVR >EOY01805 pep chromosome:Theobroma_cacao_20110822:2:40973015:40973635:1 gene:TCM_011618 transcript:EOY01805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLRYGIPPILNLIFFFFFTSQPSPREWSWNLVVSSTLVHQFSILELAFLLLVVMLLLLFLFTSAEVPLNLNAIRIRLRFGDFSIAFTTSLAASLFFPPSLFWPVHIIFVFSSPGHGMFFHLFKHFLGWFSGAFQSVPTYSITITQNEESSTSAPLHDDVELGLTHSQQNSEHNE >EOY00955 pep chromosome:Theobroma_cacao_20110822:2:36100428:36106855:-1 gene:TCM_010861 transcript:EOY00955 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative MAGEIEEPFSISFQAESLHSGSVSFGRFENEPLAWERRSSFSHNRYLEEVEKCSKPGSVIEKKAYFEAHFRKKALLLQGSSEGQNGGEDQTCESDFVENEGYREYRTGKDDAAGNKGCGDEYQTGKDDAAEKKGCGDEYQTGKDDAAENKGYGDESDHISKDNYCHHFDENGSNDADYEEDFCCKNEGSPFDHENKGNWFDHAYEGSHSAHFDKSPEGSEYLGEGALMECGREYSGVLSAHETHVLVDTVPGDVKAEETHQSEVGCDKPLISNDKPEEEVKENHDDDAGNIDKSFKPRDASPNTGTTWEVDTTNLENGRNHSPKLKTAIESKASKTRLRSPVSPDHSQKNISRDSKVAAKIQVRREKEISGRVKAEKLPLQTATPTRRSMHRSPKKEDSERSNAKLSAESKSINGPMTKKVIEAQPSSSKKIEPIAWQTPNRLKQTVNSSKADVKSSAGVFQFKSDERAERRKEFYMKLEEKMHAKEAEMNQIQARTQEKTEAEIKQLRKSLNFKAKPMPSFYHVAATPGSTGNKPASSTMKSAKVRQKSASSGTGVTPRPSSLSKEANKQVLSASGPVVELNSPTVESSQAGTISSTPPTDRHSSSESVTRQNVVLLKKEREKEGSNLPKHRISESSKVVKDHKIGGRPKAGAQRNSGEMVRKNMKSAGIGTGSGMGRLAVVAS >EOY01853 pep chromosome:Theobroma_cacao_20110822:2:41144413:41146005:-1 gene:TCM_011658 transcript:EOY01853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 106, putative MARSAYCENMGLKKGPWTPDEDQKLLAYIEEHGFANWRTLPEKAGLQRCGKSCRLRWINYLRPDVKRGKFSVQEEQTIIHLHAFLGNRWSAIAAHLPNRTDNEIKNHWNTHIKKRFSKMGIDPTTHKPISNPLSSAGGGHLRNTGTLSHMAQWEGARLEAEARLVRESKLESKPSPNNKPGFSARPRSTRTPSAPSTRSQCLDVLKAWQSVVAGMFAMTNNNLDPPPSTTFLDNSIPVSSTNELDLAAAGSTHMEAIIAEDDQLMLDDRSNQIPELNERFDTSMALHDTTYHGTAASGNTIEDFWDISVHDFGYPID >EOY01764 pep chromosome:Theobroma_cacao_20110822:2:40848452:40849313:-1 gene:TCM_011590 transcript:EOY01764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-related protein 1, putative MESAPHNKPTSHHEKHPPKSSSELLSSAKLVAEAAKNSLHHESDKVDKGKVAGAAADLFGAASHYGKLDQEKGVGKYVDKAENYLHQYHSSHSTPNTTHSAHSTKPEKQSEPHASGGHGHGHQDSASGGYGDYLKMAQGFLKKH >EOX99497 pep chromosome:Theobroma_cacao_20110822:2:11674591:11677624:1 gene:TCM_008180 transcript:EOX99497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine transaminase family protein MIPNAFLTTHMVGDGSSIRFWSNPWVGEVTLSKLFPKMFALTQNEERFPAMGAGLRENKTSKQVEEWRTLPCGIMKFNVVKQGWGVMRNKDGCVKIVLSKSIGVEDSSVAEIKAIESNSQNTVKWTNYSLEAPWRLKKCVLHTERLKKKIKRWEIKHIFRIAEQIKACLNIGSDPVTFIQGALPQNLEKTNKDFFSKYINILKQTSDILCDRIKEIPCITCPQKPKGSVFIMVKLDLSLLEGIDDDMDFCVKLAMGESVSILPVEED >EOX97314 pep chromosome:Theobroma_cacao_20110822:2:1963172:1965278:-1 gene:TCM_006379 transcript:EOX97314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAD15463.1 isoform 1 MHPKLSSSFLPSIIFLSLVFLFVYSTFLPFYTNKPASFPTKKFLPSSSSSCNLFYGSWVLDSTKPKPFYDDSCPFHRNAWNCLKNKRDNMGIINSWKWVPNGCRLERIDPWRFLGLMRNRNIGFVGDSLNENFLVSFLCVLRVADSGAKKWKKKGAWRGAYFPKYNVTVAYHRAVLLAKYKWKPKPSTVSDQDGLKGIYRVDVDIPADEWISITDFYDVLVFNTGHWWGYDKFPKETPLVFYQHGRPISPPLGLLDGLQVVLENMVRYIEKEVPKSNLKFWRLQSPRHFYGGEWNQNGSCLFDRPLEEDQQQWSEQRS >EOX97313 pep chromosome:Theobroma_cacao_20110822:2:1962336:1965198:-1 gene:TCM_006379 transcript:EOX97313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAD15463.1 isoform 1 MHPKLSSSFLPSIIFLSLVFLFVYSTFLPFYTNKPASFPTKKFLPSSSSSCNLFYGSWVLDSTKPKPFYDDSCPFHRNAWNCLKNKRDNMGIINSWKWVPNGCRLERIDPWRFLGLMRNRNIGFVGDSLNENFLVSFLCVLRVADSGAKKWKKKGAWRGAYFPKYNVTVAYHRAVLLAKYKWKPKPSTVSDQDGLKGIYRVDVDIPADEWISITDFYDVLVFNTGHWWGYDKFPKETPLVFYQHGRPISPPLGLLDGLQVVLENMVRYIEKEVPKSNLKFWRLQSPRHFYGGEWNQNGSCLFDRPLEEDQLDLWFDPSNNGVNKEARTLNHLIKEALQGTNVQLLDLTHLSEFRADAHPAIWLGKKDAVSMWGQDCMHWCLPGVPDTWVDILAQLILNSFETG >EOY01696 pep chromosome:Theobroma_cacao_20110822:2:40638022:40640115:-1 gene:TCM_011533 transcript:EOY01696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase-like 8 MHMMDRLSFLGRKRGTLLKVDYFHRKEYHYTLSFLFKYQLHINSLPTQNPLTMSPLSGACLFINILILFSQLHKASAASHAAGRSIPTYGRCTDTCGSIPVKFPFGTGFGCGHPYFARYVKCSGGALQFSTGTGIYTVSSIDYPTSSIVVADPFMSTCSSMQNSGSFSLDRASPFTLTGDNIFVLLGCSTTSPVFDPSEDLCDTGSGSRVCSGLYSCKGVTGIGLPQNAPTSTCCVYDSLMGVGSGYSLDLPKLQCSSYTSIYEFGDEGDPMKWKFGISLQYNDSYYTPACTDCETSGGLCGFSGLDESFSCICRDGVNTTTTCVGHGYSWSGAWEPKIQTKTFIGVILLLWIFLLV >EOY00108 pep chromosome:Theobroma_cacao_20110822:2:25865411:25866585:-1 gene:TCM_009619 transcript:EOY00108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVFKWSPEFEAEKESLIMLVWISFPNLKVHLYEQSALLLVAKIVEKPLFVDEATAKRSRPSVARVCVEYDCRKPLVDQVWIVIQNRETGEVTSIYSQRVEFSPMLDYCYHCCHVGHKEANCMVLGNKPKPGSGKLLPKGNDMVPSKRKNVGFEDGSGKILEKRKNLEKRKNLENKKILCLEEPTKQYQRWQVVSKAAVSEVKDWQGKEIASENDPKDANILISNRFHVI >EOX97803 pep chromosome:Theobroma_cacao_20110822:2:3528496:3533058:1 gene:TCM_006736 transcript:EOX97803 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MQLVPICLLSWRIALMVSNMMAFYGPCGLRQAPNNGTRTGNLCYSVLNRVIEQIVQAALHFIHMIRKVKSLSSGHESMIIVLEWAIILGSIECLKRNLSFSETTVVPKGHFAVYVGEVEKKRFVVPISFLKHHSFQNLLSEAEEEYGFNHPMGALTIPCSEEAFLDLIGSLQI >EOY01666 pep chromosome:Theobroma_cacao_20110822:2:40550564:40551831:-1 gene:TCM_011511 transcript:EOY01666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPFLMKLHAGACCYCPSSYSPLLHLHHHYPFCMQMEEVPLLTQLIHVLFDVNRFRIVDSISGDNMV >EOX98827 pep chromosome:Theobroma_cacao_20110822:2:7378547:7380496:-1 gene:TCM_007506 transcript:EOX98827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin-like protein MASSSLAYLEASPEMGSSSTQTSRQYEQDCHILHCYFSGIRPFFGNGAISNQKLVQIFVNRDSNMLKLVRQTYSARYGQDLLQLMSDVQRNNSLARIAYLRMVEPHERDAQMIRHSLFGGSLNLNIIIEVACTRPSSELLRIKHAYQSRYNSDLEKDLTMRVNGGFKEILLAVLKSCRNYGCKADMSLAMCDAKTLYEAMESGKNIDQKTITSVLSQRNNGQVRAILSSYKQLYGHEFGKSIKRSKCGQFGKELRVVIRCIQHPEKFFAKQLRMKNADGREILIRTVVTRSGIDIKAINKAFVAKTGSSLENLVIREFNNSKDKTNDLVVGILVGLIKG >EOY02134 pep chromosome:Theobroma_cacao_20110822:2:42061630:42066524:-1 gene:TCM_011860 transcript:EOY02134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 1B isoform 2 MGFSVSVSHGLSSQLSSSLHGQHVSSSSSKSTLFMAFPLTSSASTLSGKERRFEHKLVVYSKKVSGLDEAMRIRRERELQSTTKFRRRPPLRRGKVSSRLPVPGHIPKPPYLSSNILPEISSEHQIHDAEGIAQMRAACELAAQVLDYAGTLVRPSVTTDQIDKAVHQMIIEAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQNGDIINVDVTVYLNGYHGDTSKTFLCGDVSGALKRLVTVSN >EOY02133 pep chromosome:Theobroma_cacao_20110822:2:42061097:42066497:-1 gene:TCM_011860 transcript:EOY02133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 1B isoform 2 MGFSVSVSHGLSSQLSSSLHGQHVSSSSSKSTLFMAFPLTSSASTLSGKERRFEHKLVVYSKKVSGLDEAMRIRRERELQSTTKFRRRPPLRRGKVSSRLPVPGHIPKPPYLSSNILPEISSEHQIHDAEGIAQMRAACELAAQVLDYAGTLVRPSVTTDQIDKAVHQMIIEAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQNGDIINVDVTVYLNGYHGDTSKTFLCGDVSGALKRLVTVTEECMEKGIAVCKDGASFKKIGKRISEHAEKYGYGVVERFVGHGVGTVFHSEPIILHHRNENPGVMVEGQTFTIEPILTLGGIECVTWPDNWTTLTADGSPAAQFEHTILITRTGAEILTKC >EOX99951 pep chromosome:Theobroma_cacao_20110822:2:19221370:19247157:-1 gene:TCM_009023 transcript:EOX99951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEMDDHGNVSEPDSSHADEQPHTLPHPELSIGLAEMHNGSNLSLSEVRKVDDGVVIVRHLRWVMQKHKRDMLELKGSIESLKDAKQTLEDHIVFQFSTASTYNYGRGRGNLRAVNAEGDSGPQSNLKGIASRSSSLDSSHVQHREELLPDPTERAQVILASKYFVSPYVDPLVYRQDMKNSMVEVYEELKKDEWVR >EOX97083 pep chromosome:Theobroma_cacao_20110822:2:1119443:1125894:1 gene:TCM_006184 transcript:EOX97083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MPGTPTFTYPSILSISTKETPSQRSDLFPEPPLLPPMGCETLAANAAVSPYDLLFQALALIPVSHYFMAAFLLFLIFLYNFLEIHFLRDLLTLFRGDPVTLTYNSCSDLCQSVVAKCKILHGRYSVTPWLSSPHLQTAFLSIFGRAPPVTYRRHLFRALDGGTIALDWLTYSDVVEGTSRAIDSSAALKGDKTPIMIVIPGLTSDSASAYVKHLAFNMARQGWNVLVSNHRGLGGVSLTSDCFYNAGWTEDVRKIIDHIRCEYPEAPLYAVGTSIGANILVKYLGEDGANTPLVGAAAICSPWDLLICDRFINRRPVQKIYDRVLTVGLQVYAQLHQSILSRLADWESIKKSNSVRDFDNHATRVLGKFETVDTYYRRSSSTNYVENVSVPLLCISALDDPVCTSEAIPWDECRANENIILATAAHGGHLAFYEGITASSLWWVRAVDEFFGVLRTSPFRRQKIQGSTLPKPLQSSIDQGPYLNVMGDGMVAAAGSEPRDIVPEDMSNEHMIHSKKEEDTISDKGTGPDLTDKIYSNKHIMRQAEQNVKDLIVPVQRRVDQLSRRSRRSIWLLAYIAIITTWPFVGSVLISVLKRRFKTFVPATLFKK >EOX97084 pep chromosome:Theobroma_cacao_20110822:2:1119435:1124805:1 gene:TCM_006184 transcript:EOX97084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MPGTPTFTYPSILSISTKETPSQRSDLFPEPPLLPPMGCETLAANAAVSPYDLLFQALALIPVSHYFMAAFLLFLIFLYNFLEIHFLRDLLTLFRGDPVTLTYNSCSDLCQSVVAKCKILHGRYSVTPWLSSPHLQTAFLSIFGRAPPVTYRRHLFRALDGGTIALDWLTYSDVVEGTSRAIDSSAALKGDKTPIMIVIPGLTSDSASAYVKHLAFNMARQGWNVLVSNHRGLGGVSLTSDCFYNAGWTEDVRKIIDHIRCEYPEAPLYAVGTSIGANILVKYLGEDGANTPLVGAAAICSPWDLLICDRFINRRPVQKIYDRVLTVGLQVYAQLHQSILSRLADWESIKKSNSVRDFDNHATRVLGKFETVDTYYRRSSSTNYVENVSVPLLCISALDDPVCTSEAIPWDECR >EOX99321 pep chromosome:Theobroma_cacao_20110822:2:10138003:10139298:1 gene:TCM_007952 transcript:EOX99321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQKSLKVVFKALRVSGDVNAAKRISGEALKSSDENNPMVHKKPGERAVNRENISFPSHAGSGIADLEIHPSTHRRRKSDSEVSYVPVTNNSSNDEDIEVKDRTDDFDFIACSYLSMTNSLIWNVRGITERKGIRTAAPANEDYIIRDKRIMDG >EOX97553 pep chromosome:Theobroma_cacao_20110822:2:2645719:2649897:1 gene:TCM_006542 transcript:EOX97553 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 1 MQGRKALNLMFDISLFLRHNFARVWALASEKLSSLEIGYISSVTVTELLSPNVGPHQCPNQIRPSILPGIQKLSLSVDYITDTMVSTISKGLILLTHLELRDAPLIEPRVTFDLTNSGLQQINQHGRLKHLSLVRSQEFVITYFKRVNDLGILLMADKCANMESICLGGFCRVTDTGFKTILHSCSSLYKLKLSHGSQLTDLVFHDIAATSLSLTHVSLRWCNLLSNHAVRSLVCNLGLKVLDLRDCKSLGDEALRAISSLCKLKMLLLDGSDVTDAGIAYLNRGIISSLVSLSVRGCKKLTDKCISALFDGSSKLELQELDLSNLPGLSDNGVLTLAKSRVPISELRMRQCPLIGDTSVMALASMQVEDDRGHGSSLRLLDLYNCGGITPLSFRWLKKPYFPRLRWLGVTGSVNRDIVDALARNRPYLHVACHGEELGTDLWNDSDGLYMHDYEEMDELEQWLQEGEGESDDEEMEDAEDDLLME >EOX97552 pep chromosome:Theobroma_cacao_20110822:2:2645719:2649897:1 gene:TCM_006542 transcript:EOX97552 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 1 MASGSVESLDQLPTALLATIMTKLDISSISSLAVTCRNFNSCASHILSFLPNFHILDISPSMELIKPLLPPNPYLKSLKLDCGLLNDSSVELLLRPSLQELCLHNCADFSGKLLSEIGLRCKDVRSLYLGSVAEKRGRAVHISDLEELLRGCTQLEALNLMFDISLFLRHNFARVWALASEKLSSLEIGYISSVTVTELLSPNVGPHQCPNQIRPSILPGIQKLSLSVDYITDTMVSTISKGLILLTHLELRDAPLIEPRVTFDLTNSGLQQINQHGRLKHLSLVRSQEFVITYFKRVNDLGILLMADKCANMESICLGGFCRVTDTGFKTILHSCSSLYKLKLSHGSQLTDLVFHDIAATSLSLTHVSLRWCNLLSNHAVRSLVCNLGLKVLDLRDCKSLGDEALRAISSLCKLKMLLLDGSDVTDAGIAYLNRGIISSLVSLSVRGCKKLTDKCISALFDGSSKLELQELDLSNLPGLSDNGVLTLAKSRVPISELRMRQCPLIGDTSVMALASMQVEDDRGHGSSLRLLDLYNCGGITPLSFRWLKKPYFPRLRWLGVTGSVNRDIVDALARNRPYLHVACHGEELGTDLWNDSDGLYMHDYEEMDELEQWLQEGEGESDDEEMEDAEDDLLME >EOY02230 pep chromosome:Theobroma_cacao_20110822:2:42402551:42405916:-1 gene:TCM_011919 transcript:EOY02230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress responsive alpha-beta barrel domain protein isoform 3 MVTLSLPMRQALTFRSFPIYSAISSNRRNRVAIFSCASGDPGQRDRNLRRRRKVVEHICLLKANKYLSYDQEKDMLDYLYTSQYQMRGIVAVSLGQISGQTKEDFTHAVFMRFQSKEDLTKFYENPFYLQVLKEHVVPNCHGLMNVDYESEVEDDILPIFRKGEDFNYGIEFVLLIVFVEAAISGPAEDALTSLQELTMEFPCLIVQCTQGSNFNFSSEDYTHGVVIRFRSREAFEIFFTSSRYRDVWKSKFQPIARKTLAIHFSVDPVGTEIM >EOY02227 pep chromosome:Theobroma_cacao_20110822:2:42401427:42405959:-1 gene:TCM_011919 transcript:EOY02227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress responsive alpha-beta barrel domain protein isoform 3 MVTLSLPMRQALTFRSFPIYSAISSNRRNRVAIFSCASGDPGQRDRNLRRRRKVVEHICLLKANKYLSYDQEKDMLDYLYTSQYQMRGIVAVSLGQISGQTKEDFTHAVFMRFQSKEDLTKFYENPFYLQVLKEHVVPNCHGLMNVDYESEVEDDILPIFRKGEDFNYGIEFVLLIVFVEAAISGPAEDALTSLQELTMEFPCLIVQCTQGSNFNFSSEDYTHGVVIRFRSREAFEIFFTSSRYRDVWKSKFQPIARKTLAIHFSVDPVGTEIM >EOY02231 pep chromosome:Theobroma_cacao_20110822:2:42403118:42405916:-1 gene:TCM_011919 transcript:EOY02231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress responsive alpha-beta barrel domain protein isoform 3 MVTLSLPMRQALTFRSFPIYSAISSNRRNRVAIFSCASGDPGQRDRNLRRRRKVVEHICLLKANKYLSYDQEKDMLDYLYTSQYQMRGIVAVSLGQISGQTKEDFTHAVFMRFQSKEDLTKFYENPFYLQVLKEHVVPNCHGLMNVDYESEVEDDILPIFRKGEDFNYGIEFVLLIVFVEAAISGPAEDALTSLQELTMEFPCLIVQCTQGSNFNFSSEDYTHGVVIRFRSREAFEIFFTSSRYRDVRSLMLWI >EOY02232 pep chromosome:Theobroma_cacao_20110822:2:42401427:42405959:-1 gene:TCM_011919 transcript:EOY02232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress responsive alpha-beta barrel domain protein isoform 3 MVTLSLPMRQALTFRSFPIYSAISSNRRNRVAIFSCASGDPGQRDRNLRRRRKVVEHICLLKANKYLSYDQEKDMLDYLYTSQYQMRGIVAVSLGQISGQTKEDFTHAVFMRFQSKEDLTKFYENPFYLQVLKEHVVPNCHGLMNVDYESEVEDDILPIFRKGEDFNYGIEFVLLIVFVEAAISGPAEDALTSLQELTMEFPCLIVQCTQGSNFNFSSEDYTHGVVIRFRSRYSWRNDASFSQYCLKGIIHGDEPQEILLQGYRTSISL >EOY02229 pep chromosome:Theobroma_cacao_20110822:2:42401427:42405959:-1 gene:TCM_011919 transcript:EOY02229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress responsive alpha-beta barrel domain protein isoform 3 MVTLSLPMRQALTFRSFPIYSAISSNRRNRVAIFSCASGDPGQRDRNLRRRRKVVEHICLLKANKYLSYDQEKDMLDYLYTSQYQMRGIVAVSLGQISGQTKEDFTHAVFMRFQSKEDLTKFYENPFYLQVLKEHVVPNCHSSRLDASLQGLMNVDYESEVEDDILPIFRKGEDFNYGIEFVLLIVFVEAAISGPAEDALTSLQELTMEFPCLIVQCTQGSNFNFSSEDYTHGVVIRFRSREAFEIFFTSSRYRDVWKSKFQPIARKTLAIHFSVDPVGTEIM >EOY02228 pep chromosome:Theobroma_cacao_20110822:2:42401816:42405988:-1 gene:TCM_011919 transcript:EOY02228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress responsive alpha-beta barrel domain protein isoform 3 MLDYLYTSQYQMRGIVAVSLGQISGQTKEDFTHAVFMRFQSKEDLTKFYENPFYLQVLKEHVVPNCHSSRLDASLQGLMNVDYESEVEDDILPIFRKGEDFNYGIEFVLLIVFVEAAISGPAEDALTSLQELTMEFPCLIVQCTQGSNFNFSSEDYTHGVVIRFRSREAFEIFFTSSRYRDVWKSKFQPIARKTLAIHFSVDPVGTEIM >EOX99557 pep chromosome:Theobroma_cacao_20110822:2:12175408:12180735:-1 gene:TCM_008258 transcript:EOX99557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA oxidase 3 isoform 1 MDRAFQRTKILTNHLLQSSPSSPAQIPSLSSNACLDYSPPELSEGYAFDIKEMRQLLDGHHVADRDWLFGMMMQSKLFNPRVRGGKVFVSPDYNQSMEQQREMTWKRIVYLLERGVFKGWLTEKGEEAEMRKFAYFEAVGLFDHSIAIKLGVHFFLWGGAIQFFGTKHHHDKWLRDTENYLIKGCFAMTELGHGSNVRGIETVTTYDSNTGEFVINTPCESAQKYWIGGAANHATHTIVFSQLNINGTNQGVHAFIAQIRDAVGNVCPNIRIADCGHKIGLNGVDNGRIWFDNVRVPRENLLNSVADVSADGKYLSSIKDPDQRFAAFLAPLTAGRVNIAVSAVYQSKVGLAIAIRYALTRRAFSVKPNEPEVLLLDYPSHQRRLVPLLAKTYAMSFAANYLKMLYVKRTPQSNKTIHVVSSSFKAVFTWNNMQILQECREACGGQGLKTENRVGHLKGEHDVQSTFEGDNNILMQQVSKALFAEYVAAQKKNKAFKGLGLEHMNKPCPVIPSQLTSATLRCSQFQMDALCLRERDLLKCFVLDVSQHQAKGESKEHAFIMSYQLAEDLSRAFSDRAIFQKFVEAEATLAAGSLKDVLGMLRSLYALICIEDVAFLRYGYLSVDNAAAVRREITKVCSELRPHALALVSSFGIPDAFLSPVAFNWIDANSWSSVQH >EOX99556 pep chromosome:Theobroma_cacao_20110822:2:12175065:12183046:-1 gene:TCM_008258 transcript:EOX99556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA oxidase 3 isoform 1 MKEKKNEEEHPSFSMDRAFQRTKILTNHLLQSSPSSPAQIPSLSSNACLDYSPPELSEGYAFDIKEMRQLLDGHHVADRDWLFGMMMQSKLFNPRVRGGKVFVSPDYNQSMEQQREMTWKRIVYLLERGVFKGWLTEKGEEAEMRKFAYFEAVGLFDHSIAIKLGVHFFLWGGAIQFFGTKHHHDKWLRDTENYLIKGCFAMTELGHGSNVRGIETVTTYDSNTGEFVINTPCESAQKYWIGGAANHATHTIVFSQLNINGTNQGVHAFIAQIRDAVGNVCPNIRIADCGHKIGLNGVDNGRIWFDNVRVPRENLLNSVADVSADGKYLSSIKDPDQRFAAFLAPLTAGRVNIAVSAVYQSKVGLAIAIRYALTRRAFSVKPNEPEVLLLDYPSHQRRLVPLLAKTYAMSFAANYLKMLYVKRTPQSNKTIHVVSSSFKAVFTWNNMQILQECREACGGQGLKTENRVGHLKGEHDVQSTFEGDNNILMQQVSKALFAEYVAAQKKNKAFKGLGLEHMNKPCPVIPSQLTSATLRCSQFQMDALCLRERDLLKCFVLDVSQHQAKGESKEHAFIMSYQLAEDLSRAFSDRAIFQKFVEAEATLAAGSLKDVLGMLRSLYALICIEDVAFLRYGYLSVDNAAAVRREITKVCSELRPHALALVSSFGIPDAFLSPVAFNWIDANSWSSVQH >EOX99558 pep chromosome:Theobroma_cacao_20110822:2:12174856:12180774:-1 gene:TCM_008258 transcript:EOX99558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA oxidase 3 isoform 1 MDRAFQRTKILTNHLLQSSPSSPAQIPSLSSNACLDYSPPELSEGYAFDIKEMRQLLDGHHVADRDWLFGMMMQSKLFNPRVRGGKVFVSPDYNQSMEQQREMTWKRIVYLLERGVFKGWLTEKGEEAEMRKFAYFEAVGLFDHSIAIKLGVHFFLWGGAIQFFGTKHHHDKWLRDTENYLIKGCFAMTELGHGSNVRGIETVTTYDSNTGEFVINTPCESAQKYWIGGAANHATHTIVFSQLNINGTNQGVHAFIAQIRDAVGNVCPNIRIADCGHKIGLNGVDNGRIWFDNVRVPRENLLNSVADVSADGKYLSSIKDPDQRFAAFLAPLTAGRVNIAVSAVYQSKVGLAIAIRYALTRRAFSVKPNEPEVLLLDYPSHQRRLVPLLAKTYAMSFAANYLKMLYVKRTPQSNKTIHVVSSSFKAVFTWNNMQILQECREACGGQGLKTENRVGHLKGEHDVQSTFEGDNNILMQQVSKALFAEYVAAQKKNKAFKGLGLEHMNKPCPVIPSQLTSATLRCSQFQMDALCLRERDLLKCFVLDVSQHQAKGESKEHAFIMDVLGMLRSLYALICIEDVAFLRYGYLSVDNAAAVRREITKVCSELRPHALALVSSFGIPDAFLSPVAFNWIDANSWSSVQH >EOY01564 pep chromosome:Theobroma_cacao_20110822:2:40060218:40066399:-1 gene:TCM_011424 transcript:EOY01564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MCLKRISPNSISRGAILLSLCEKGMILEARRYFDSLIMNNWVQDIVLYNIMIDGYVRHGNVEEAVELYKLITRRGITPTVVTFNSLIHGFCKRRNFHEARRFMDTIRLHGSEPTAVTYTTLMNAYCEDGNMHCMLELLQEMDAKCIVPTHVTYTVFIKALCKQRKLQEAVQLVHDMCDKGLNLDQVTYNTIIQCFCKAQNITKAFKLVNEMLLNNLEPTPVTYNILINCLCVYGNLKDADKLLISLLEQNVNLTKVAYTTIIKAHCVKGDVHRAFMFFHLMVEKGFEISVRDYSAVINRLCKRCLITEAQHFFCMMLSYGISPDHEICEVLLNAYHQCGDLISVYKMLAWMVKSGLLPQRL >EOY01563 pep chromosome:Theobroma_cacao_20110822:2:40060241:40066399:-1 gene:TCM_011424 transcript:EOY01563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MCLKRISPNSISRGAILLSLCEKGMILEARRYFDSLIMNNWVQDIVLYNIMIDGYVRHGNVEEAVELYKLITRRGITPTVVTFNSLIHGFCKRRNFHEARRFMDTIRLHGSEPTAVTYTTLMNAYCEDGNMHCMLELLQEMDAKCIVPTHVTYTVFIKALCKQRKLQEAVQLVHDMCDKGLNLDQVTYNTIIQCFCKAQNITKAFKLVNEMLLNNLEPTPVTYNILINCLCVYGNLKDADKLLISLLEQNVNLTKVAYTTIIKAHCVKGDVHRAFMFFHLMVEKGFEISVRDYSAVINRLCKRCLITEAQHFFCMMLSYGISPDHEICEVLLNAYHQCGDLISVYKMLAWMVKSGLLPQRL >EOY01379 pep chromosome:Theobroma_cacao_20110822:2:39212456:39216764:1 gene:TCM_011288 transcript:EOY01379 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA Overly-Sensitive 5 isoform 1 MKHHYRRHFHQVITVNHRHYATKYIGKITSTSPSGRILSAEVSTPILPADSRGYPIPRHHLICKATHVLTKSPSDPFHDLSQYLSSLSPTLTTTEASLILKSLNCPSLALRFFHFCPSLSPNFRHDPFTYARLFLILSKSLRPDRFDTVRSLLHDMEKSGTRGNISIINILIGFFGNTEDLDTSKMLVKKWELKMNAYTYKCLVQAYLRSRDSGKAFSVYEEMKRKGYKLDVFGYNMLLDALAKDEKVDEAYMVFEDMRRKHCEPDEYTYTIMIRLTGKLGRCDESLKFFDEMISKGCSLNLIAYNTIIQALANGRMVDKVISLFSKMVEKGCRPNEFTYSVILNVLVAEGQLNKLDEVIEVSKKYVSKSIYAYLVRTLSKLGHASEAHRLFCNMWNFHDKGDKDAYVSMLESLCSAGKTTEALDLLSKIHEKGINTDTDMYNTVISALGRLKQISHLHDLYEKMKQHGPSPDIFTYNILISSFGRAGKVDEAIEIFEELEKSDCKPDVVSYNSLINCLGKNGNLDEAHIRFKEMQEKGLNPDVVTYSTLIECFGKTDKVEMACALFDEMLAEGCYPNIVTYNILLDCLEKSGRTAEAVDLYAKLKQQGLTPDSITYAVLERLQSGPRKKFKVRRQNPITGWIVSPLR >EOY01380 pep chromosome:Theobroma_cacao_20110822:2:39212405:39215687:1 gene:TCM_011288 transcript:EOY01380 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA Overly-Sensitive 5 isoform 1 MKHHYRRHFHQVITVNHRHYATKYIGKITSTSPSGRILSAEVSTPILPADSRGYPIPRHHLICKATHVLTKSPSDPFHDLSQYLSSLSPTLTTTEASLILKSLNCPSLALRFFHFCPSLSPNFRHDPFTYARLFLILSKSLRPDRFDTVRSLLHDMEKSGTRGNISIINILIGFFGNTEDLDTSKMLVKKWELKMNAYTYKCLVQAYLRSRDSGKAFSVYEEMKRKGYKLDVFGYNMLLDALAKDEKVDEAYMVFEDMRRKHCEPDEYTYTIMIRLTGKLGRCDESLKFFDEMISKGCSLNLIAYNTIIQALANGRMVDKVISLFSKMVEKGCRPNEFTYSVILNVLVAEGQLNKLDEVIEVSKKYVSKSIYAYLVRTLSKLGHASEAHRLFCNMWNFHDKGDKDAYVSMLESLCSAGKTTEALDLLSKIHEKGINTDTDMYNTVISALGRLKQISHLHDLYEKMKQHGPSPDIFTYNILISSFGRAGKVDEAIEIFEELEKSDCKPDVVSYNSLINCLGKNGNLDEAHIRFKEMQEKGLNPDVVTYSTLIECFGKTDKVEMACALFDEMLAEGCYPNIVTYNILLDCLEKSGRTAEAVDLYAKLKQQGLTPDSITYAVLERLQSGPRKKFKVRRQNPITGWIVSPLR >EOY01522 pep chromosome:Theobroma_cacao_20110822:2:39822601:39827428:-1 gene:TCM_011387 transcript:EOY01522 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein isoform 1 MNPIQIARGIEKTANALVSELKLMSREVEDCELAHVAAVSAGNDYTVGKMISDALQHVGRRGVVKIEKGKCNENSLQIVEGMQLDRGYLSPYFVTDPEKRIVEFHNCKLLLVDKKITHPKEMFKILDSAVKEKYPIVIVAEDIEQEALAPVIRNKLKGVLKAAAIKAPAFGERKSHCLDDIAILTGATVIRDDMGLTLDRAGKEVLGTATKVVITKDSTYVVSDGNTREAVQKRVSQIERLVENTEENFQKKILNDRIAQLSGRIAILQVGAQTQVELKDKQLRNEDALNATKAAIEEGVVVGGGCILLRLSAKVDGIKSLLDNQEQKIGAEIFKRALSYPTKLIAKNAGVNGSVVVGKVLSNDDIRYGYNAASNSYEDLMKAGIMDPTKVVRCCLENAASVAKTFLTSDAVVVDIVDIKEFKSIPKRKKMPKLTSSVFPKQLLPMYR >EOY01521 pep chromosome:Theobroma_cacao_20110822:2:39822398:39830119:-1 gene:TCM_011387 transcript:EOY01521 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein isoform 1 MYIYIYEYQLFFENHQSKNSIMASSPAPISGISFANPTLPRTKKASASHYPIPKKPRPLAKEIYFNHDGSATKKLQAGVDKVAELIGVTLGPKGRNVVLQNKYGPPKIVNDGETVLKQIELEDPLENVGVKLVRQAGAKTNDLAGDGCTTSIVLAHGLITEGVKVISAGMNPIQIARGIEKTANALVSELKLMSREVEDCELAHVAAVSAGNDYTVGKMISDALQHVGRRGVVKIEKGKCNENSLQIVEGMQLDRGYLSPYFVTDPEKRIVEFHNCKLLLVDKKITHPKEMFKILDSAVKEKYPIVIVAEDIEQEALAPVIRNKLKGVLKAAAIKAPAFGERKSHCLDDIAILTGATVIRDDMGLTLDRAGKEVLGTATKVVITKDSTYVVSDGNTREAVQKRVSQIERLVENTEENFQKKILNDRIAQLSGRIAILQVGAQTQVELKDKQLRNEDALNATKAAIEEGVVVGGGCILLRLSAKVDGIKSLLDNQEQKIGAEIFKRALSYPTKLIAKNAGVNGSVVVGKVLSNDDIRYGYNAASNSYEDLMKAGIMDPTKVVRCCLENAASVAKTFLTSDAVVVDIVDIKEFKSIPKRKKMPKLTSSVFPKQLLPMYR >EOY00634 pep chromosome:Theobroma_cacao_20110822:2:34094879:34097287:1 gene:TCM_010524 transcript:EOY00634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p family protein isoform 1 MVGPNPTIKFLCSYGGKILPRYPDGKLRYHGGETRVLAVDRSISFSELLLKMGEMCGTAVSLRCQLPTEDLDALVSITCDEDLANLIEEYDRVASPPSSLKIRAFLSLPKSTKKPISPSSSSASSSKSSSSSTPRFSCIRQISGPPVAFPLCSEKSAGKSIPYYGYHVHHGNPSHIYLIHNGNHWQ >EOY00633 pep chromosome:Theobroma_cacao_20110822:2:34094749:34097545:1 gene:TCM_010524 transcript:EOY00633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p family protein isoform 1 MVGPNPTIKFLCSYGGKILPRYPDGKLRYHGGETRVLAVDRSISFSELLLKMGEMCGTAVSLRCQLPTEDLDALVSITCDEDLANLIEEYDRVASPPSSLKIRAFLSLPKSTKKPISPSSSSASSSKSSSSSTPRFSCIRQISGPPVAFPLCSEKSAGKSIPYYGYHVHHGNPSHIYLIHNGNHWQ >EOX99117 pep chromosome:Theobroma_cacao_20110822:2:8566818:8572432:-1 gene:TCM_007729 transcript:EOX99117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 35 MPLPITFLFLYNKPTPFFYCVHPQPLWFFSSLFLFRYSHSLFIPSLACWCQASKQAKVSMAKLSTRFFLCYLLLILVPIFAQSRQPYGEEEVRQKEVDRVTNLPGQPPVNFRHYAGYVKLRPQNQKALFYWFFEAQDGAALKPLVLWLNGGPGCSSVAYGAAQELGPFLVRSKGTRLTFNKFSWNKVANMLFLEAPVGVGFSYTNNSEDLRTLGDQITAADSYSFLINWFKRFPNFKSHDFYIAGESYAGHYVPQLAELIYEKNKGSSKDSYINLKGFMIGNAAINDQTDTLGLVDYAWSHAIISDQLYNKINKDCGFKQSSNQTADCAQHLGGFMEAYSDIDIYSIYTPVCLNSPGRTYPKLLVAPRLLTQHDLWHKLPSGYDPCTEDYVEKYFNKKDVQTALHANVTKLPYPYTTCSGAIRDWNDSPDTVLPTIQKLLKAGLRIWIYSGDTDGRVPVTSTRYSINKMGLKVKEEWRAWFHKSQVAGWVETYEGGLTLATVRGAGHQVPVFAPDQSLSLFTHFLNANTLPSSRF >EOX99298 pep chromosome:Theobroma_cacao_20110822:2:10033245:10034066:-1 gene:TCM_007931 transcript:EOX99298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLRYLSRICVRALQGLKDHSSKGIMGRAKPRSEPGKSSQFGWVSRTKEPVKQVGLDDKQKLREAEKAENIMHLICWGPGVI >EOY01072 pep chromosome:Theobroma_cacao_20110822:2:37366605:37381410:1 gene:TCM_011016 transcript:EOY01072 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein 42A isoform 1 MDQTPRSVAAAANSRAYQFHPARAAITDLFNLYLGRSSHQKADDSIRELPNKTQKRVLALNRELPPRNEQFLLDFEQLQTQFGDQDQLRSVTESVLISLVIQCCSHAPRAEFLLFALRSLCNIGYINWDTLLPALLSSVSSAEVPAGQGSQGVPSVSTTSLSQSGMMPSTSVITNTSNFQSSNPVSTLTSVHGIGSPAQSTIEPLPGATLSPVKSSDISSNGQPSTTRMNSSIRDNAISSLRQLCCKIILTGLECSLKPVTQAEIFCHMLNWLVNWDQRQQGSEECDGKTWRPDKALIEWLHSCLDVIWLLVEEDKCRVPFYELLRSGLQFIENIPDDEALFTLILEIHRRRDMMAVHMQMLDQHLHCPTFGTHRILSQTTPNVSVEAVANLRYSPITYPSVLGEPLHGEDLAASIQRGSLDWERALRCIRHAIRSTPSPDWWKRVLVVAPCYRGSAQVPTPGAVFTSDMICEATIDRIIELLKLTNSEINCWQEWLVFSDIFFFLMKSGCIDFVDFVDKLGSRLTESDHHILRTNHVTWLLAQIIRVEHVMAALNNDTRKVETTRKILSFHREDRSSDPNNPQSILLDFISSCQNLRIWSLNTTREYLNNEQLQKGKQIDEWWRQVSKGERMMDYMNMDDRSIGMFWVVSYTMAQPAGETVMNWLSSGGATELLSGATVQPNERLMVMQEVSPLPISLLSGFSMNLCLKLVLQLEESLFIGQVVPSIAMVETYTRLLLIAPHSLFRSHFSHLAQRNASLLSKPGVTLLVLEIVNYRLLPLYRYQGKCKTLMYDVTKIISALKGKRGDHRVFRLAENLCINLILSLRDFFSVKREGKGPTEFTETLNRITIITLAITIKTRGIADADHLLYLQTMLEQILATSQHTWSKKTLRHFPPLLRDVLMTRIDKRGLAIQAWQQSETTVINQCTQLLSSSADPNYVMTYIRTSFPQHRQYLCAGAWILMQGHPENINSGNLARVLREFSPEEVTANIYTMVDVLLHHIHMELQHGHSLQDLLLKTCANLAFFVWTHDLIPLDILLLALIDRDDDPHALRIVISLLDRQEFQQRMNLYCLNRNSPEHWLHTAIFKRTDLQKALGNHLSWKDRYPTFFDDIAARLLPVIPLIVYRLIENDATESADRILAMYSPFLAYHPLRFTFVRDILAYFYGHLPGKLIVRILNVLDLRKIPFSESFPQHISSSNPAMCPPLEYFATLLLALVNNVIPPLNSNSRSGSMGDASNNAMRGPHNRTPPTPHSGPANASEGQKAFYQIQDPGTYTQLVLETAVIEILSLPISASQIVSSLVQIVVNIQPTLIQSSNGLHGPSSGLGQGSVLPTSPSGGSTDSLSAGRSTPSVSGINTSSFVSRSGYTCQQLSCLFIQACGLLLAQLPREFHLQLYMEASRIIKESWWLTDGQRSPGELDSAVSYALLDPTWASQDNTSTAIGNIVALLHAFFSNLPQEWLEGTHDIIKHLRPVTSVAMLRIAFRIMGPLLPRLANAHNLFNKILSLLLNILVDVFGKNCQPPVPVDASEIMDLIDYLHHVIHYEGQGGPVQASSKPRPEVLALCGRAAESLRPDVQHLLSHLKTDINSSIYAATHPKLVQNPS >EOY01073 pep chromosome:Theobroma_cacao_20110822:2:37366932:37380656:1 gene:TCM_011016 transcript:EOY01073 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein 42A isoform 1 MMPSTSVITNTSNFQSSNPVSTLTSVHGIGSPAQSTIEPLPGATLSPVKSSDISSNGQPSTTRMNSSIRDNAISSLRQLCCKIILTGLECSLKPVTQAEIFCHMLNWLVNWDQRQQGSEECDGKTWRPDKALIEWLHSCLDVIWLLVEEDKCRVPFYELLRSGLQFIENIPDDEALFTLILEIHRRRDMMAVHMQMLDQHLHCPTFGTHRILSQTTPNVSVEAVANLRYSPITYPSVLGEPLHGEDLAASIQRGSLDWERALRCIRHAIRSTPSPDWWKRVLVVAPCYRGSAQVPTPGAVFTSDMICEATIDRIIELLKLTNSEINCWQEWLVFSDIFFFLMKSGCIDFVDFVDKLGSRLTESDHHILRTNHVTWLLAQIIRVEHVMAALNNDTRKVETTRKILSFHREDRSSDPNNPQSILLDFISSCQNLRIWSLNTTREYLNNEQLQKGKQIDEWWRQVSKGERMMDYMNMDDRSIGMFWVVSYTMAQPAGETVMNWLSSGGATELLSGATVQPNERLMVMQEVSPLPISLLSGFSMNLCLKLVLQLEESLFIGQVVPSIAMVETYTRLLLIAPHSLFRSHFSHLAQRNASLLSKPGVTLLVLEIVNYRLLPLYRYQGKCKTLMYDVTKIISALKGKRGDHRVFRLAENLCINLILSLRDFFSVKREGKGPTEFTETLNRITIITLAITIKTRGIADADHLLYLQTMLEQILATSQHTWSKKTLRHFPPLLRDVLMTRIDKRGLAIQAWQQSETTVINQCTQLLSSSADPNYVMTYIRTSFPQHRQYLCAGAWILMQGHPENINSGNLARVLREFSPEEVTANIYTMVDVLLHHIHMELQHGHSLQDLLLKTCANLAFFVWTHDLIPLDILLLALIDRDDDPHALRIVISLLDRQEFQQRMNLYCLNRNSPEHWLHTAIFKRTDLQKALGNHLSWKDRYPTFFDDIAARLLPVIPLIVYRLIENDATESADRILAMYSPFLAYHPLRFTFVRDILAYFYGHLPGKLIVRILNVLDLRKIPFSESFPQHISSSNPAMCPPLEYFATLLLALVNNVIPPLNSNSRSGSMGDASNNAMRGPHNRTPPTPHSGPANASEGQKAFYQIQDPGTYTQLVLETAVIEILSLPISASQIVSSLVQIVVNIQPTLIQSSNGLHGPSSGLGQGSVLPTSPSGGSTDSLSAGRSTPSVSGINTSSFVSRSGYTCQQLSCLFIQACGLLLAQLPREFHLQLYMEASRIIKESWWLTDGQRSPGELDSAVSYALLDPTWASQDNTSTAIGNIVALLHAFFSNLPQEWLEGTHDIIKHLRPVTSVAMLRIAFRIMGPLLPRLANAHNLFNKILSLLLNILVDVFGKNCQPPVPVDASEIMDLIDYLHHVIHYEGQGGPVQASSKPRPEVLALCGRAAESLRPDVQHLLSHLKTDINSSIYAATHPKLVQNPS >EOX99613 pep chromosome:Theobroma_cacao_20110822:2:12689841:12694396:-1 gene:TCM_008334 transcript:EOX99613 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 6l, putative MHWMSKRSLITKEPKRGICWITPKEDKLKFNIDGSVKDKLESADCGCVLKDSEEKVDRRHGKRPLPSETSEEKDKGRDDFTAAAENYAATSWPEAADMTAMVTALTHVMGTGGSDEQLSFTPSTVPLSQSAVKEEPDPPQPVQDQESETMSKFLDIYNLVAFICDVMHWLSVCEENTRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAALAYDRAALKFKGTKAKLNFPERVQGNTEVSYFTGHGDSSTVRPDQNPTPAATPPSWSQDSYPHLFQYAQLLSSSNDADISYYTSNLFNQEPLSPQFPSMAASPNISSQYHHQDQTRFSTKYESSSGSDYPEQYGKDSDPSNRSE >EOY00707 pep chromosome:Theobroma_cacao_20110822:2:34615121:34620156:1 gene:TCM_010640 transcript:EOY00707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SIEVE ELEMENT OCCLUSION C [Source:Projected from Arabidopsis thaliana (AT1G67790) UniProtKB/Swiss-Prot;Acc:Q9FXE2] MTSFDSGSFWQRSKSFLDDEILIKKLLLSHDPDGRHLDSEMLLCAVENIMFYATTSEVSDKPADANLKSHISNIELIGSQEPLVHTIYKIAHEMLCKCPGKGDLHTRTMALFDLLGNYGWAAKVTLALAGFATSYGEFCLIMQLRPHISLAVSLADFKQLPSSISILKPQVKALRLLVKTMVDLTKCIIEFEGLPAVLVGPYIENLAAMKSEIYVTAYWIIRSTLACSSQITNLKAMKPEQVYSNIIIAAWELLSLDYRLSSIYSHLRPLVDAFRQQTEAKLHQKLLNLFEESHIDNQEVLQMLFALKDDLPLKDCPTQVKLGVSELKSKVVLLLVSKPDLLPLEQLFFLVHQTYDHPHKKVEGSYEMIWVPISCSETWTDAEEKWFNFLSNSIPCYSVRQPWSLNSAVINFMKQEWNYGDEAIMVVLDSEGMTTNLNALDMVFIWGSEAYPFSLSRENELWKGEHWTMQLITNEIHPILTQWVEEGRNICIYGSENLEWIREFSAKTKDIKDAGVLLEMIYVGMNNPNEHVKDLLTTINIEIHSTLLSFTKVQLFWLRLESMRRSKFRLGHTASTDHILAEVLPLLYNNDDNGWAVFGNGSSADMVRVQGAEIIKCLNLFRQWGENVAQLEFIGALRTVLEPPLLGGPCNHTQVIPYSEGLIEGSIVPYYLPENKRSLLDPGNQHSAAP >EOY00708 pep chromosome:Theobroma_cacao_20110822:2:34615107:34618633:1 gene:TCM_010640 transcript:EOY00708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SIEVE ELEMENT OCCLUSION C [Source:Projected from Arabidopsis thaliana (AT1G67790) UniProtKB/Swiss-Prot;Acc:Q9FXE2] MYQFSEIQVSDKPADANLKSHISNIELIGSQEPLVHTIYKIAHEMLCKCPGKGDLHTRTMALFDLLGNYGWAAKVTLALAGFATSYGEFCLIMQLRPHISLAVSLADFKQLPSSISILKPQVKALRLLVKTMVDLTKCIIEFEGLPAVLVGPYIENLAAMKSEIYVTAYWIIRSTLACSSQITNLKAMKPEQVYSNIIIAAWELLSLDYRLSSIYSHLRPLVDAFRQQTEAKLHQKLLNLFEESHIDNQEVLQMLFALKDDLPLKDCPTQVKLGVSELKSKVVLLLVSKPDLLPLEQLFFLVHQTYDHPHKKVEGSYEMIWVPISCSETWTDAEEKWFNFLSNSIPCYSVRQPWSLNSAVINFMKQEWNYGDEAIMVVLDSEGMTTNLNALDMVFIWGSEAYPFSLSRENELWKGEHWTMQLITNEIHPILTQWVEEGRNICIYGSENLEWIREFSAKTKDIKDAGVLLEMIYVGMNNPNEHVKDLLTTINIEIHSTLLSFTKVQLFWLRLESMRRSKFRLGHTASTDHILAEVLPLLYNNDDNGWAVFGNGSSADMVRVQGAEIIKCLNLFRQWGENVAQLEFIGALRTVLEPPLLGGPCNHTQVIPYSEGLIEGSIVCQKCKRLMKKFTIYE >EOY00651 pep chromosome:Theobroma_cacao_20110822:2:34289267:34294317:-1 gene:TCM_010558 transcript:EOY00651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLSNFPSAAEGVLPVLVMNTVLSVALLKNMVRSLLQVVGASGSSSSSLDDESDGYPEENIKARERRISITQFKSLCHTSESSICEGSSTSAAASACSCSGSGSGWANTVECCVCLCGFEADEEEKVYICYAVTVPFGPAWQNFGTLPEDQAWDPEEGSMAGNR >EOX99266 pep chromosome:Theobroma_cacao_20110822:2:9612998:9872278:-1 gene:TCM_007872 transcript:EOX99266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCCALAWTAQRLRQYMLYHTTWLVAKLDPIKYIFEKPCLSGRIARWQVLLSEYDIVYVSQKSIKGSAIADFLADRANEDYESVSFDFPDEDLMAVLHIEEVGPNELNPWKVYFDGASNALGHGIGAVLISPNGKYYPATARLNFNCTNNMAEYEALVLGLQAAIDMKADAIDVYGDSALVICQMKGEWETRDPKLVP >EOX99717 pep chromosome:Theobroma_cacao_20110822:2:14608232:14615903:1 gene:TCM_008533 transcript:EOX99717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKIQRFVDGLVEPLFRAVASRDFTTYSAAVDRAQRIEMRTNESRAARDRAKRGKTEGYQGRRDFSSGGSSSSRQGPQRDSRLPQQGSDAPGANIRVGQRTFSSRRQQDSRQNSARGSTQPASSAPSVAVSSGREVSGSRGRGAGTSSQGRPSGSGHQSSIGRGQARVFALTQQEAQTSNAVVSGILSVCNMNARVLFDPGATHSFISPCFASRLGRGRVRREEQLVVSTPLKEIFVAEWEYESCVVRVKDKDTSVNLVVLDTLDFDVILGMNWLSPCHASVDCYHKLVRFDFPGEPSFSIQGDRSNAPTNLISVISARRLLRQGCIGYLAVVKDSQAKIGDVTQVSVVKEFVDVFPEELPGLPPEREVEFCIDLIPDTRPISIPPYRMAPAELKELKDQLEDLLDKGWIMIKLSCHAVANFIVYLAGFRLALRVMNVYRDVATVVTGSRGVPDRDNASGIRAFGFKGCGAWIALTMPRRDSDFDTSHCTSEGSIDSIAASRCRSEPSNLERYPFKVPINWTPSDLGTKAFPSGGGGSKRFGVRNLVRRSYRACRAALRHGVCK >EOY00847 pep chromosome:Theobroma_cacao_20110822:2:35511446:35522532:1 gene:TCM_010772 transcript:EOY00847 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP dependent CoA ligase, putative MANFVDPKTGFCSKTMTFHSLRPPVPLPPESAPISLTDFIFYLLNSSPPAPTAVALIDATTRRRILYPELISRVNNLAASLRTHFGLSKGDCAFVFSPNNIYTPILCLSLFSLGVVVSPANPAATIPEIHHQIRLSKPVIAFASLDSDHKIPSLKYGTAIMDSVEFESLMVNRSEKKKQEGIKVNQSDVATILYSSGTTGRVKGVALTHHNWTATIATGYSLRPMRKCPTVAFCPVPLFHVYGLAYSLRLLATGNCVVINGGGGRLDMKKIYDIVEEYRVSQVALAPPLVVTMVRDAGMMEGCDLSSLEVISCGGAHLSKSKIERLRKRLPKVQLAQAYGLTETTGRVFATMGPHESQIEGATGKLMANCEAKIVDPETAVALPPSKPGELWIRGALVMKGYVDNEEATAATVDSEGWLRTGDLCYIDNEGFLFFVDRLKELIKYKGYQVAPAELEHLLNSHPDVVDSAVVPFPDEEAGQVPVAFVVRQSGSNIDESEIKHFVARQVSQYKRIRRVIFIDSLPKNASGKVLRKELVELSSATSKL >EOX97579 pep chromosome:Theobroma_cacao_20110822:2:2743922:2745284:-1 gene:TCM_006565 transcript:EOX97579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDTPIMVDPNPNREANRKLDHPSTNSHSSTFLLHNGFGSSQISDIEMITIQSVSYTSLKDLLPTSPPAITSPTHNSSWHEIPIKNPLVKHAALAYLQPMSSPPEVGEKGLFGRLKERCCGECGCVLWLHDVIWRNVKEAFWERREEVLDDDDDYYDDYEEKVD >EOY02096 pep chromosome:Theobroma_cacao_20110822:2:41934966:41941650:1 gene:TCM_011829 transcript:EOY02096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MASDTPDSHRRKHRRSPSDDEEIDKSSKRHKHRHHKHRHHRHRSKKHEDEGKDGVEDPLPLPPSVPRPDDDVEEGEILDEELAAEIKVVESREGLGVPNPGVGENSNFVDEQVRKSGDSSGERNQNQVGLSRNLSVESQGELAYRVVPDGHINGDFPSKYNVEDGRRHRQSRSPSRSGKKISYHEDVEEANDTKPSDMRKSLSSESSGEKYKKSASSPFDSRDHDYTRTRSESDDLARERSRSQSIVDEEALLKRSRHHERDPSRDGRHSSRNPVRGDDRERSVSYGRYVGEKRHQSMETRGSERSREREIDRERRREKEHERSREREIDIEWRRDRDKDRDIDGERRREKERARSRDRDMSGERRREKERERSRDRELEGERTREKERGKSRDRDLESGRVREKVLDRSWDRELDRDRNRKKERDWSRDRSKANDRERDRKKEKNEERNQERERERRSDRSRDKGRDMEIENDGYSDRDRYKNYKLPKRDETETYRDRRKNETEKVYGSNSDPLEGDADKRKRDEEEQDDFEERITLKLAEQEEDELSRIKEESRKRRQAILEKYKNQHLQQQTLSHSEDVNKDNEPVENRGQTVDGGNTGPDVLGGGHGDLIVADPSLSVRKSPPENGHAAAERTSGAAGLGEGTPKSERSDDIFCDDIFGETPTGVRKLGKGDGLLVIRSGLHDNWDDAEGYYSYRFGELLDSRYEVTAAHGKGVFSTVVRAKDLKAGTSDPEEVAIKIIRNNETMHKAGQLEVQILKKLAGADPDNKRHCVCFLSSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEITPYLVSRFYRAPEIILGLPYDHPMDIWSVGCCLYELYTGKVLFPGPTNNDMLRLHMELKGPFPKKMLRKGAFAEQHFDQDLNFHATEEDPVTKKTIKRMILNIKPKDINSIIVGFPGEDPKMVANFKDLLEKIFVLDPEKRMTVTQALAHPFITGK >EOY01933 pep chromosome:Theobroma_cacao_20110822:2:41388312:41389137:1 gene:TCM_011710 transcript:EOY01933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clavata3/esr-related 45, putative MVCSAQRVLMFLILFGLLAVQPDKVSGLTTIDLVFRHSREENRIATRNQRILKAVDMKGMSTEKKPAAVPVNNTFDPNQSSKRRVRRGSDPIHNRS >EOX99752 pep chromosome:Theobroma_cacao_20110822:2:15475968:15477039:1 gene:TCM_008625 transcript:EOX99752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIYQTKLKNRKKKKKIEGEKKQKLMEIYVRIVSLLRNCLPNRRERNPCEKTKQNTRSQTLQNYAIQTIVSQTQKGKIEAKESYKNKRKKFQPRDWTVHNLGGSASVFLWELFASGSGIFKSFFRPQFKRTRKVKGGRRKPDDR >EOY00944 pep chromosome:Theobroma_cacao_20110822:2:35979488:35984045:-1 gene:TCM_010844 transcript:EOY00944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinolinate phoshoribosyltransferase isoform 1 MFSISSIVLPRWCTTSSCRHIVRMAAAHTTIAGLSFEAVAIKPPSHPTYDLEGIIKLALAEDAGGRGDVTSMATIPFDMEVEAHFLAKEDGIIAGIVLAEMVFQEVDPSLKVKWSRKDGDYVQKGLQFGKVYGRAHSIVVAERIALNFMQRMSGIATLTKAMADAAHPAYILETRKTAPGLRLVDKWAVLIGGGRNHRLGLFDMVLIKDNHISIAGGISNALRSVDRYLEQENLQMEVEVETRTHEEVKEVLQYASQTKTSLTRIMLDNMVVPLPNGDVDVSMLKEAVELINGKFETEASGNVTFETVHKIGQTGVTYISSGALTHSVKALDISLKIDTELALEVGRRTKRA >EOY00942 pep chromosome:Theobroma_cacao_20110822:2:35979244:35984023:-1 gene:TCM_010844 transcript:EOY00942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinolinate phoshoribosyltransferase isoform 1 MFSISSIVLPRWCTTSSCRHIVRMAAAHTTIAGLSFEAVAIKPPSHPTYDLEGIIKLALAEDAGGRGDVTSMATIPFDMEVEAHFLAKEDGIIAGIVLAEMVFQEVDPSLKVKWSRKDGDYVQKGLQFGKVYGRAHSIVVAERIALNFMQRMSGIATLTKAMADAAHPAYILETRKTAPGLRLVDKWAVLIGGGRNHRLGLFDMVLIKDNHISIAGGISNALRSVDRYLEQENLQMEVEVETRTHEEVKEVLQYASQTKTSLTRIMLDNMVVPLPNGDVDVSMLKEAVELINGKFETEASGNVTFETVHKIGQTGVTYISSGALTHSVKALDISLKIDTELALEVGRRTKRA >EOY00943 pep chromosome:Theobroma_cacao_20110822:2:35979099:35984101:-1 gene:TCM_010844 transcript:EOY00943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinolinate phoshoribosyltransferase isoform 1 MFSISSIVLPRWCTTSSCRHIVRMAAAHTTIAGLSFEAVAIKPPSHPTYDLEGIIKLALAEDAGGRGDVTSMATIPFDMEVEAHFLAKEDGIIAGIVLAEMVFQEVDPSLKVKWSRKDGDYVQKGLQFGKVYGRAHSIVVAERIALNFMQRMSGIATLTKAMADAAHPAYILETRKTAPGLRLVDKWAVLIGGGRNHRLGLFDMVLIKDNHISIAGGISNALRSVDRYLEQENLQMEVEVETRTHEEVKEVLQYASQTKTSLTRIMLDNMVVPLPNGDVDVSMLKEAVELINGKFETEASGNVTFETVHKIGQTGVTYISSGALTHSVKALDISLKIDTELALEVGRRTKRA >EOY01980 pep chromosome:Theobroma_cacao_20110822:2:41588051:41592835:1 gene:TCM_011755 transcript:EOY01980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin 3 MSNQKKRNFQIEAFKHRVVVDPKYSEKTWNILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGDKLYSGLVTTMTAHLKEISKAIEAAQGGLFLEELNRKWNDHNKALQMIRDILMYMDRTYIPNSRKTPVHELGLNLWRDNIIHSSKIHSRLLSTLLELVHRERTGEVIDRGLMRNVIKMLMDLGSSVYQEDFEKPFLEVSAEFYKGESQKFIECCDCGDYLKKAERRLNEEIERVTHYLDAKSEAKITNVVEKEMIANHMLRLVHMENSGLVNMLLDDKYEDLGRMYNLFRRVPNGLLTIRDVMTSHLRETGKQLVTDPERLKDPVEFVQRLLDEKDKYDNIISLAFSNDKTFQNALNSSFEYFINLNPRSPEFISLFVDDKLRKGLKGVSEEDVEIILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYGCHPELADGPTLVVQVLTTGSWPTQPSITCNLPAEMSALCEKFRSYYLGTHTGRRLSWQTNMGTADIKAIFGKGQKHELNVSTYQMCVLMLFNNADRLSYKEIEQATEIPASDLKRCLQSMACVKGKNVLRKEPMSKDIGEDDAFFVNDKFTSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNNIIAEVTKQLQSRFLANPTEIKKRIESLIERDFLERDNNDRKLYRYLA >EOX97967 pep chromosome:Theobroma_cacao_20110822:2:4095924:4097833:-1 gene:TCM_006846 transcript:EOX97967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome BC1 synthesis, putative MFSKNNMPSAQTVVSTAASIAASAMVMQTVARNLLPSELQAYMFKYIKGFFKSFSFQITLVIDEFDGLTGNQIYRAAEIYLGNKISPSTKLYKVSMPEKETNFAVSMANNQETMDTFDRVQFKWRQVTRQVDSNHMAAQGHTYKVRSEIRSFELTFHKKHKDKVLNSYLPFILKESSCLTEEKKTLKLYTLYDYMRRHGGGVWQPIILDHPAKFETLAMNTELKTMIMEDLERFLKRKEYYRRVGKAWKRGYLLYGPPGTGKSSLIAAMANYLNFDIYDLELTDIQTNSDLRRILIATGNRSILVVEDIDCSLELQERQAEPRPVTAFRTNRVTLSGLLNFIDGLWSSCGDERIIVFTTNHKDRLDPALLRPGRMDVHIHMSHCSPCGFNTLAANYVGITDHPLISTARELLDEISVTPAKVGEQLLKNEDPEAAQQGLIDFLRAKKTEEAENSSL >EOY00993 pep chromosome:Theobroma_cacao_20110822:2:36336659:36338828:1 gene:TCM_010892 transcript:EOY00993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVAGLPSTKLGLAPRRLNFELGRPNRIWPLRGTWGKYIKLDKDTMDKWTFDQAMILFEVESLKNIMAFTRLVVNGKDYFVRASIVDIVRSEARIRIDHAGEDTSEEDLSE >EOY00192 pep chromosome:Theobroma_cacao_20110822:2:29261706:29270733:-1 gene:TCM_009952 transcript:EOY00192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRWVINGWTLSGSSISSSNEVSSSGDASFQVLVDLRLLEVLVLVAIVFFFMLLSFPCVSSSAISFPSFWFFIVTIPSSFSSADSTSCSFLASAFDD >EOX99313 pep chromosome:Theobroma_cacao_20110822:2:10100497:10102936:1 gene:TCM_007945 transcript:EOX99313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 3 MIATTRCFKLLLRCKGTFNSYSSLIPGWGNRGHYPHDNYITSIPVGFPCICLLYPTVSKIVGNYKLLATQAAPDEEEVTDEVLNQILATCENTPKSSGETCNAYVHKLCKAGNLSMAIRLLQSLRDKNIFIPNAYNTLLAAAAERNEIDLSFQIFKDLLVFHGPLSSTCYLNLARSFVKSNDCTALIRFVKQVSELAFPSSTTVINRIILAFAECWQIEKALLVFNQIKSFGCKPDVITYNTILDILGRAGRVDEMVHEFASMKEAGLVPDIITYNTLLNNLRKLGRLDMCLVFFREMSDTGVEPDLLTYRAMIETFGRSGNINEALRLFREMKQRQIYPSVYIYRSLICILKKAGKVDLAMSFSEEMNSSSLSDIAATENFKRKHR >EOX99314 pep chromosome:Theobroma_cacao_20110822:2:10100497:10102965:1 gene:TCM_007945 transcript:EOX99314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 3 MAIRLLQSLRDKNIFIPNAYNTLLAAAAERNEIDLSFQIFKDLLVFHGPLSSTCYLNLARSFVKSNDCTALIRFVKQVSELAFPSSTTVINRIILAFAECWQIEKALLVFNQIKSFGCKPDVITYNTILDILGRAGRVDEMVHEFASMKEAGLVPDIITYNTLLNNLRKLGRLDMCLVFFREMSDTGVEPDLLTYRAMIETFGRSGNINEALRLFREMKQRQIYPSVYIYRSLICILKKAGKVDLAMSFSEEMNSSSLSDIAATENFKRKHR >EOX99312 pep chromosome:Theobroma_cacao_20110822:2:10100633:10102910:1 gene:TCM_007945 transcript:EOX99312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 3 MIATTRCFKLLLRCKGTFNSYSSLIPGWGNRGHYPHDNYITSIPVGFPCICLLYPTVSKIVGNYKLLATQAAPDEEEVTDEVLNQILATCENTPKSSGETCNAYVHKLCKAGNLSMAIRLLQSLRDKNIFIPNAYNTLLAAAAERNEIDLSFQIFKDLLVFHGPLSSTCYLNLARSFVKSNDCTALIRFVKQVSELAFPSSTTVINRIILAFAECWQIEKALLVFNQIKSFGCKPDVITYNTILDILGRAGRVDEMVHEFASMKEAGLVPDIITYNTLLNNLRKLGRLDMCLVFFREMSDTGVEPDLLTYRAMIETFGRSGNINEALRLFREMKQRQIYPSVYIYRSLICILKKAGKVDLAMSFSEEMNSSSLSDIAATENFKRKHR >EOY01406 pep chromosome:Theobroma_cacao_20110822:2:39304713:39307919:-1 gene:TCM_011304 transcript:EOY01406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein, putative isoform 1 MENTNSAPTGCYKCGRTGHWSSDCPDTPKSDRPNVNPNPGRVATEKTNSAATGCYKCGRPGHWSRDCPDAPKSDQPNLKDSSTGANFTPEQLPRPEKPKKVSNSRIRPKLTPELLLSDDGFGYILRHFPRVFKYHGRGHEVSDLGKLIGLYREWHRHLLPYYSFEQFVHKTDKVASSKRVKNCIRELRERVARGGDPTKLHESPDENNGPSDEQGARHSERQTHDQFEGDHYDDIEENMLNEIYQKATESSLMFSTFGLHKSGRTKEMPVMPVMLDSFGELYLRAYGGEPSHDIHTEMVAAEVVAASSSGPEHQVPNNGAGCFSEVHITDEQRAQMEANKFKALQRVAAGAGSSSETQITEEQRARMEANRMKALERAAARARSLQSA >EOY01407 pep chromosome:Theobroma_cacao_20110822:2:39304687:39307919:-1 gene:TCM_011304 transcript:EOY01407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein, putative isoform 1 MENTNSAPTGCYKCGRTGHWSSDCPDTPKSDRPNVNPNPGRVATEKTNSAATGCYKCGRPGHWSRDCPDAPKSDQPNLKDSSTGANFTPEQLPRPEKPKKVSNSRIRPKLTPELLLSDDGFGYILRHFPRVFKYHGRGHENCIRELRERVARGGDPTKLHESPDENNGPSDEQGARHSERQTHDQFEGDHYDDIEENMLNEIYQKATEEPSHDIHTEMVAAEVVAASSSGPEHQVPNNGAGCFSEVHITDEQRAQMEANKFKALQRVAAGAGSSSETQITEEQRARMEANRMKALERAAARARSLQSA >EOY00416 pep chromosome:Theobroma_cacao_20110822:2:32167428:32175626:1 gene:TCM_010280 transcript:EOY00416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonyl-tRNA synthetase isoform 2 MLCTLSSTLRRSISPSSSQPALFLLSKPSSSLRLFSALTMASSHPKDEAYLNQVIEKRIKIFQSLQSQQQSHLQSLPHEPIKITLPDGSVKEGKTWVSSPMDIAQGISKSLAANALISSVNGVLWDMNRPLEGDCELKIFTFDSDEGRDTFWHSSAHILGQSLESIYGCKLCIGPCTTRGEGFYYDAFYGELGLNEDHFKQIEARALKAVAEKQPFERIEVTREQAIEIFSENNFKVEIINDLPADKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSAYWRGNKDRESLQRVYGISYPDQKRLKEYILQLEEAKKYDHRLLGTKQELFFCHPLSPGSWFFLPHGTRIYNKLMEFIRNQYWKRGYQEVKSPNMYNMNLWETSGHAANYKENMFLFEIEKQEFGLKPMNCPGHCLMFQHRVRSYRELPLRLADFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRESQRPEKYLGDLATWERAEAALTEALNESGKPWQINEGDGAFYGPKIDISVSDALNRKFQCATLQLDFQLPDRFKLEYSAEDEAKREKPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAIVCPVSAKSQDYAREVQEQIHGAGYYVDVDLTDRKIQKKVREAQLAQYNYILVVGEEEMNTKQVSVRVRDKGDLTSMSIEGLLQHLKDEIAAFH >EOY00414 pep chromosome:Theobroma_cacao_20110822:2:32167428:32175626:1 gene:TCM_010280 transcript:EOY00414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonyl-tRNA synthetase isoform 2 MLCTLSSTLRRSISPSSSQPALFLLSKPSSSLRLFSALTMASSHPKDEAYLNQVIEKRIKIFQSLQSQQQSHLQSLPHEPIKITLPDGSVKEGKTWVSSPMDIAQGISKSLAANALISSVNGVLWDMNRPLEGDCELKIFTFDSDEGRDTFWHSSAHILGQSLESIYGCKLCIGPCTTRGEGFYYDAFYGELGLNEDHFKQIEARALKAVAEKQPFERIEVTREQAIEIFSENNFKVEIINDLPADKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSAYWRGNKDRESLQRVYGISYPDQKRLKEYILQLEEAKKYDHRLLGTKQELFFCHPLSPGSWFFLPHGTRIYNKLMEFIRNQYWKRGYQEVKSPNMYNMNLWETSGHAANYKENMFLFEIEKQEFGLKPMNCPGHCLMFQHRVRSYRELPLRLADFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRESQIKKEVKDVLEFIDYAYSVFGFTYELKLSTRPEKYLGDLATWERAEAALTEALNESGKPWQINEGDGAFYGPKIDISVSDALNRKFQCATLQLDFQLPDRFKLEYSAEDEAKREKPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAIVCPVSAKSQDYAREVQEQIHGAGYYVDVDLTDRKIQKKVREAQLAQYNYILVVGEEEMNTKQVSVRVRDKGDLTSMSIEGLLQHLKDEIAAFH >EOY00415 pep chromosome:Theobroma_cacao_20110822:2:32167620:32175034:1 gene:TCM_010280 transcript:EOY00415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonyl-tRNA synthetase isoform 2 MASSHPKDEAYLNQVIEKRIKIFQSLQSQQQSHLQSLPHEPIKITLPDGSVKEGKTWVSSPMDIAQGISKSLAANALISSVNGVLWDMNRPLEGDCELKIFTFDSDEGRDTFWHSSAHILGQSLESIYGCKLCIGPCTTRGEGFYYDAFYGELGLNEDHFKQIEARALKAVAEKQPFERIEVTREQAIEIFSENNFKVEIINDLPADKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSAYWRGNKDRESLQRVYGISYPDQKRLKEYILQLEEAKKYDHRLLGTKQELFFCHPLSPGSWFFLPHGTRIYNKLMEFIRNQYWKRGYQEVKSPNMYNMNLWETSGHAANYKENMFLFEIEKQEFGLKPMNCPGHCLMFQHRVRSYRELPLRLADFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRESQIKKEVKDVLEFIDYAYSVFGFTYELKLSTRPEKYLGDLATWERAEAALTEALNESGKPWQLDFQLPDRFKLEYSAEDEAKREKPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAIVCPVSAKSQDYAREVQEQIHGAGYYVDVDLTDRKIQKKVREAQLAQYNYILVVGEEEMNTKQVSVRVRDKGDLTSMSIEGLLQHLKDEIAAFH >EOY00417 pep chromosome:Theobroma_cacao_20110822:2:32167620:32175034:1 gene:TCM_010280 transcript:EOY00417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonyl-tRNA synthetase isoform 2 MASSHPKDEAYLNQVIEKRIKIFQSLQSQQQSHLQSLPHEPIKITLPDGSVKEGKTWVSSPMDIAQGISKSLAANALISSVNGVLWDMNRPLEGDCELKIFTFDSDEGRDTFWHSSAHILGQSLESIYGCKLCIGPCTTRGEGFYYDAFYGELGLNEDHFKQIEARALKAVAEKQPFERIEVTREQAIEIFSENNFKVEIINDLPADKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSAYWRGNKDRESLQRVYGISYPDQKRLKEYILQLEEAKKYDHRLLGTKQELFFCHPLSPGSWFFLPHGTRIYNKLMEFIRNQYWKRGYQEVKSPNMYNMNLWETSGHAANYKENMFLFEIEKQEFGLKPMNCPGHCLMFQHRVRSYRELPLRLADFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRESQRPEKYLGDLATWERAEAALTEALNESGKPWQLDFQLPDRFKLEYSAEDEAKREKPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAIVCPVSAKSQDYAREVQEQIHGAGYYVDVDLTDRKIQKKVREAQLAQYNYILVVGEEEMNTKQVSVRVRDKGDLTSMSIEGLLQHLKDEIAAFH >EOX98242 pep chromosome:Theobroma_cacao_20110822:2:5024690:5030132:1 gene:TCM_007049 transcript:EOX98242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.17 MAPNDSIIETGWKMIEDLTTNAYQIQQQLLEEILAQNVHTEYLDKFLNGSSDKKLFKEKVPIINYEDIKPYMEKIANGEPSDILLAEPLLELTLSSGTSGGKYTIMPMTAKELDKRTVIRNTLPWSVINKFADGLEQGKGMYLFFVMPDIKTPSGLRARPSLTSCLKSSYFEKQISSVYTSPYATILCLDINQSMYCQFLCGLLQRDEVLRVGAGFANVLGRAIRFLKDYWRELCNNIRTGQLSHWITDPGCRNALSLILNKPNPQLADSIEGTCSKKSWEGIIKELWPRTKFVDVIITGSISQCIETLEFYCGGLPLVSTYYAASEGYLGINLEPMSKPSNISYTLLPNMAFYEFIPIKENHTELADQPQHLEGVYDDQDCIETQNKKEEIEPVELVDVKLGQCYEIVVTTFTGLYRYKIGDILMVTGFHNNAPQFHFMKRHGVLLSIDVESTREDGLSKAVTQAKLLIEPLGFILTYYTSYADTCSTPGHYVLFYELKMKGSHDLPEINPKITEECCYIAEDSLDYVYRVLRKDNWIGPLEIRVVKHGTFDALMDFFIAKGTSVSQYKTPRCIKSQRVS >EOX99428 pep chromosome:Theobroma_cacao_20110822:2:11150401:11161654:1 gene:TCM_008108 transcript:EOX99428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 5 MSLRYRHGPQSGPPRTAPPEDEPYNIIPVHNLLADHPSLRFPEVRAAAAALRAVGDLRKPPYGQWHPAMDLLDWLSLFFGFQHGNVKNQREHLVLHLANAQMRLTPPPDNIDTLDAGVLRRFRRKLLKNYTSWCSYLGKKSNIWISDSSRSNSDHRRELLYVGLYLLIWGESANLRFMPECICYIFHHMAMELNKILEDYIDENTGQPVMPSISGDNAFLDRVVKPIYETVKAEVESSKNGTAPHSAWRNYDDLNEYFWSRRCFQKLKWPIDVGSNYFVTSSGSKHIGKTGFVEQRSFWNLYRSFDRLWVMLFLFLQAAIIVAWEGKEYPWQALTIRDVQVKVLTVFITWSGMRFLQSLLDAGMQYSRISRETLGLGVRMVLKAVVAAAWIVIFAVCYGRIWTQRNRDRRWTGEPDRRVVLFLQIAFVFVLPELLALALFVIPWIRNFIEGTNWKIFYLLSWWFQSKSFVGRGLREGLVDNVKYTLFWVLVLTTKFAFSYFLQIKPMIKPTKQLLDLETVKYEWHEIFGGSNKLAVGLLWLPVVFIYLMDIQIWYSIYSSFVGAGVGLFQHLGEIRNIQQLRLRFQFFASAIQFNLMPEEQLLNARGTFRSKFNDAIHRLKLRYGLGRPYRKLESNQVEAHKFALIWNEIITIFREEDIISDREVELLELPQNSWNVRVIRWPCFLLCNELLLALSQAKELVDAPDKWLWYKICKNEYRRCAVIEAYDSIKHMMLEILNVQSEEHSILTVLFQEIDHSIEIEKFTRTFKMTALPQIHMKLIKLVEILNKPKKDVNQVVNTLQALYEIAVRDFIKDKRTIEQLREDGLAPRDPAAMAGLLFENAVKLPDLSDEKFYRQVRRLHTILTSRDSMQTIPVNLEARRRIAFFSNSLFMNMPHAPQVEKMMAFSVLTPYYNEEVLYSKEQLRTENEDGISILYYLQTIYDDEWKNFMERMRREGMVKDDEIWTTKMRDLRLWASYRGQTLSRTVRGMMYYYRALKMLAFLDSASEMDIREGARELGSMGRDGGLDSFNSESPSSRSLSRASSSLGLLFKGHEQGTTLMKYTYVVACQIYGAQKAKKDPHAEEILYLMKHNEALRVAYVDEVSTTRDETEYYSVLVKYDQQLQKEVEIYRVKLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEYRHYYGIRKPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKIRMHYGHPDVFDRFWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTMMVILTVYAFLWGRLYLALSGVEKSALSNSSSNNKALGAILNQQFIIQLGLFTALPMIVENSLEHGFLQAIWDFLTMQLQLSSVFYTFSMGTRTHFFGRTVLHGGAKYRATGRGFVVQHKSFAENYRLYARSHFIKATELGLILTVYASHSPIAKDTFVYIAMTISSWFLVLSWILAPFVFNPSGFDWLKTVYDFDEFMNWIWYRGGVFAKAEQSWERWWYEEQDHLRTTGLWGKLLEIILDLRFFFFQYGIVYQLGIAAAKDHIYFRLVQFLVIILAILVIIALLEFTDFKFIDIFTSLLAFIPTGWGLILIAQVLRPFLQSTRLWDSVVSVARLYDILFGVIVMAPVAFLSWMPGFQSMQTRILFNEAFSRGLRIFQIVTGKKSSDS >EOX99469 pep chromosome:Theobroma_cacao_20110822:2:11462449:11468784:-1 gene:TCM_008153 transcript:EOX99469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Targeting protein for XKLP2, putative isoform 1 MDESSGTMIDELYEFSAPRFFDFLRGESEEDTGKAELWFETALSYAPSPFMARIKAGNRSFQVESLCNFSEADQMQKASESSEEKAPNSTSEEKAQFEPVPDKVKEDDVKPSEARVEISQIVTQIANDNKDKEKLGTVCGQENGSALAKKVPSTVTGDKEKSKSSVQVEVEAYTPKPLMNIQKKLVSDQKKHQTAKKIASMVRNPSALKPKNQLQGSTGTPNLAQENQAVKRQKLDGGRSRQILNVKPHNFPHKSKLGITSGSSNLCSSTAKTSNKLERKVYVREPAAPFVSMAEMMKKFQSSTRDLSLPQSSLSHMKPIKLTRPKEPEFETAQRVRSVRVKSTAELEEEMMAKVPKFKARPLNRKIFEAPTLPALPRSTPKPPEFQEFHFKTMERAYQDAETSSVASTEVSRQNNQWKPHLTEPKTPVLQTSLRARPPKVKSSIELEQDELEKAPKFKARPLNKKIFESKGELGIFCNAKKQVTIPQEFHFATNERIPPPAVTDLFEKLSLKSEPSHDPIPRNTILNPFHLQTEERGAEKERKFVMEIIEKRYEEERARVPKANPYPYTTDYPVIPPKPEPKHCTKPEPFQLESLVRHEEEMQREMEERQKKEKEEAQKLIFKAQPIVKEDPIPVPEKVRKPLTQVQEFNLHVDHRAVDRAEFDQKIKEKEMMYKRYREESEAARMIEEEKALKQLRRTMVPHARPLPKFDHPFCPQKSSKETTKPKLPNLRVLQRKERRKMMMTAAATSSPATLMR >EOX99471 pep chromosome:Theobroma_cacao_20110822:2:11464590:11468658:-1 gene:TCM_008153 transcript:EOX99471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Targeting protein for XKLP2, putative isoform 1 MDESSGTMIDELYEFSAPRFFDFLRGESEEDTGKAELWFETALSYAPSPFMARIKAGNRSFQVESLCNFSEADQMQKASESSEEKAPNSTSEEKAQFEPVPDKVKEDDVKPSEARVEISQIVTQIANDNKDKEKLGTVCGQENGSALAKKVPSTVTGDKEKSKSSVQVEVEAYTPKPLMNIQKKLVSDQKKHQTAKKIASMVRNPSALKPKNQLQGSTGTPNLAQENQAVKRQKLDGGRSRQILNVKPHNFPHKSKLGITSGSSNLCSSTAKTSNKLERKVYVREPAAPFVSMAEMMKKFQSSTRDLSLPQSSLSHMKPIKLTRPKEPEFETAQRVRSVRVKSTAELEEEMMAKVPKFKARPLNRKIFEAPTLPALPRSTPKPPEFQEFHFKTMERAYQDAETSSVASTEVSRQNNQWKPHLTEPKTPVLQTSLRARPPKVKSSIELEQDELEKAPKFKARPLNKKIFESKGELGIFCNAKKQVTIPQEFHFATNERIPPPAVTDLFEKLSLKSEPSHDPIPRNTILNPFHLQTEERGAEKERKFVMEIIEKRYEEERARVPKANPYPYTTDYPVIPPKPEPKHCTKPEPFQLESLVRHEEEMQREMEERQKKEKEEAQKLIFKAQPIVKEDPIPVPEKVRKPLTQVQEFNLHVDHRAVDRAEFDQKVIPSLIGSIK >EOX99470 pep chromosome:Theobroma_cacao_20110822:2:11463981:11469834:-1 gene:TCM_008153 transcript:EOX99470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Targeting protein for XKLP2, putative isoform 1 MDESSGTMIDELYEFSAPRFFDFLRGESEEDTGKAELWFETALSYAPSPFMARIKAGNRSFQVESLCNFSEADQMQKASESSEEKAPNSTSEEKAQFEPVPDKVKEDDVKPSEARVEISQIVTQIANDNKDKEKLGTVCGQENGSALAKKVPSTVTGDKEKSKSSVQVEVEAYTPKPLMNIQKKLVSDQKKHQTAKKIASMVRNPSALKPKNQLQGSTGTPNLAQENQAVKRQKLDGGRSRQILNVKPHNFPHKSKLGITSGSSNLCSSTAKTSNKLERKVYVREPAAPFVSMAEMMKKFQSSTRDLSLPQSSLSHMKPIKLTRPKEPEFETAQRVRSVRVKSTAELEEEMMAKVPKFKARPLNRKIFEAPTLPALPRSTPKPPEFQEFHFKTMERAYQDAETSSVASTEVSRQNNQWKPHLTEPKTPVLQTSLRARPPKVKSSIELEQDELEKAPKFKARPLNKKIFESKGELGIFCNAKKQVTIPQEFHFATNERIPPPAVTDLFEKLSLKSEPSHDPIPRNTILNPFHLQTEERGAEKERKFVMEIIEKRYEEERARVPKANPYPYTTDYPVIPPKPEPKHCTKPEPFQLESLVRHEEEMQREMEERQKKEKEEAQKLIFKAQPIVKEDPIPVPEKVRKPLTQVQEFNLHVDHRAVDRAEFDQKIKEKEMMYKRYREESEAARMIEEEKALKQLRRTMVPHARPLPKFDHPFCPQKSSKETTKPKLPNLRVLQRKERRKMMMTAAATSSPATLMR >EOX99472 pep chromosome:Theobroma_cacao_20110822:2:11463323:11468782:-1 gene:TCM_008153 transcript:EOX99472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Targeting protein for XKLP2, putative isoform 1 MDESSGTMIDELYEFSAPRFFDFLRGESEEDTGKAELWFETALSYAPSPFMARIKAGNRSFQVESLCNFSEADQMQKASESSEEKAPNSTSEEKAQFEPVPDKVKEDDVKPSEARVEISQIVTQQIANDNKDKEKLGTVCGQENGSALAKKVPSTVTGDKEKSKSSVQVEVEAYTPKPLMNIQKKLVSDQKKHQTAKKIASMVRNPSALKPKNQLQGSTGTPNLAQENQAVKRQKLDGGRSRQILNVKPHNFPHKSKLGITSGSSNLCSSTAKTSNKLERKVYVREPAAPFVSMAEMMKKFQSSTRDLSLPQSSLSHMKPIKLTRPKEPEFETAQRVRSVRVKSTAELEEEMMAKVPKFKARPLNRKIFEAPTLPALPRSTPKPPEFQEFHFKTMERAYQDAETSSVASTEVSRQNNQWKPHLTEPKTPVLQTSLRARPPKVKSSIELEQDELEKAPKFKARPLNKKIFESKGELGIFCNAKKQVTIPQEFHFATNERIPPPAVTDLFEKLSLKSEPSHDPIPRNTILNPFHLQTEERGAEKERKFVMEIIEKRYEEERARVPKANPYPYTTDYPVIPPKPEPKHCTKPEPFQLESLVRHEEEMQREMEERQKKEKEEAQKLIFKAQPIVKEDPIPVPEKVRKPLTQVQEFNLHVDHRAVDRAEFDQKIKEKEMMYKRYREESEAARMIEEEKALKQLRRTMVPHARPLPKFDHPFCPQKSSKETTKPKLPNLRVLQRKERRKMMMTAAATSSPATLMR >EOY01268 pep chromosome:Theobroma_cacao_20110822:2:38747395:38749840:1 gene:TCM_011212 transcript:EOY01268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic pentacysteine 2 isoform 1 MDDDALNMRNWGYYEPSFKGHLGLQLMSSMAERDTKSFIPGRDPNLMVTPNAAFHPRDCVVSEAPIPMNYVRDSWISQREKFFNMLPATAPNYGILPETSAAHSLPILQPPPDPSSRNERVVGRVEEQSVNKEGVPLKKRQGGAAPKTPKAKKPRKPKDNTNSTVQRVKPAKKSMDIKINGYDMDISGIPIPVCSCTGSPQQCYRWGCGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAENYNFNNPIDLRTHWARHGTNKFVTIR >EOY01267 pep chromosome:Theobroma_cacao_20110822:2:38747254:38749528:1 gene:TCM_011212 transcript:EOY01267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic pentacysteine 2 isoform 1 MDDDALNMRNWGYYEPSFKGHLGLQLMSSMAERDTKSFIPGRDPNLMVTPNAAFHPRDCVVSEAPIPMNYVRDSWISQREKFFNMLPATAPNYGILPETSAAHSLPILQPPPDPSSRNERVVGRVEEQSVNKEGVPLKKRQGGAAPKTPKAKKPRKPKDNTNSTVQRVKPAKKSMDIKINGYDMDISGIPIPVCSCTGSPQQCYRWGCGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAENYNFNNPIDLRTHWARHGTNKFVTIR >EOY01266 pep chromosome:Theobroma_cacao_20110822:2:38747395:38749840:1 gene:TCM_011212 transcript:EOY01266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic pentacysteine 2 isoform 1 MDDDALNMRNWGYYEPSFKGHLGLQLMSSMAERDTKSFIPGRDPNLMVTPNAAFHPRDCVVSEAPIPMNYVRDSWISQREKFFNMLPATAPNYGILPETSAAHSLPILQPPPDPSSRNERVVGRVEEQSVNKEGVPLKKRQGGAAPKTPKAKKPRKPKDNTNSTVQRVKPAKKSMDIKINGYDMDISGIPIPVCSCTGSPQQCYRWGCGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAENYNFNNPIDLRTHWARHGTNKFVTIR >EOY00917 pep chromosome:Theobroma_cacao_20110822:2:35886670:35889444:1 gene:TCM_010831 transcript:EOY00917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein isoform 1 MTKEEDFKLLKIQTCVLKVNIHCDGCKQKVKKLLQRIEGVYQVSIDAEQQKVTVSGSVDSATLIKKLVRAGKHAEVWSQKSNQNQKPKNNCIKDDKNNKGPKQGLIKGLEAFKTQQKFPSFVSEEDDDYMDDYDEENEEDELQFLKPSQLGQLGQLGLLRQQALDANNAKNGIGNITATSNNNNKMNYNLINVNDGKKGNQNQNMGMKVNPGVLDQKTLAALKMNNAQLGGLNINAAEGKRGHDINPIMGLSGFHGNGANVADAAALGGNPNAVGGFQVQSNNGLQGSSAAIFQNGGYVTGQNPSSVLMNMNGYNYPSSMMNMMNLQNRHAMQQQPQMMYHRSPVIPPSTGYYYNYGPPPYSYPEAPSYNADHSAATHMFSDDNTSSSCSIM >EOY00920 pep chromosome:Theobroma_cacao_20110822:2:35886927:35889486:1 gene:TCM_010831 transcript:EOY00920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein isoform 1 MTKEEDFKLLKIQQTCVLKVNIHCDGCKQKVKKLLQRIEGVYQVSIDAEQQKVTVSGSVDSATLIKKLVRAGKHAEVWSQKSNQNQKPKNNCIKDDKNNKGPKQGLIKGLEAFKTQQKFPSFVSEEDDDYMDDYDEENEEDELQFLKPSQLGQLGQLGLLRQQALDANNAKNGIGNITATSNNNNKMNYNLINVNDGKKGNQNQNMGMKVNPGVLDQKTLAALKMNNAQLGGLNINAAEGKRGHDINPIMGLSGFHGNGANVADAAALGGNPNAVGGFQVQSNNGLQGSSAAIFQNGGYVTGQNPSSVLMNMNGYNYPSSMMNMMNLQNRHAMQQQPQMMYHRSPVIPPSTGYYYNYGPPPYSYPEAPSYNADHSAATHMFSDDNTSSSCSIM >EOY00918 pep chromosome:Theobroma_cacao_20110822:2:35886561:35889376:1 gene:TCM_010831 transcript:EOY00918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein isoform 1 MTKEEDFKLLKIQTCVLKVNIHCDGCKQKVKKLLQRIEGVYQVSIDAEQQKVTVSGSVDSATLIKKLVRAGKHAEVWSQKSNQNQKPKNNCIKDDKNNKGPKQGLIKGLEAFKTQQKFPSFVSEEDDDYMDDYDEENEEDELQFLKPSQLGQLGQLGLLRQQALDANNAKNGIGNITATSNNNNKMNYNLINVNDGKKGNQNQNMGMKVNPGVLDQKTLAALKMNNAQLGGLNINAAEGKRGHDINPIMGLSGFHGNGANVADAAALGGNPNAVGGFQVQSNNGLQGSSAAIFQNGGYVTGQNPSSVLMNMNGYNYPSSMMNMMNLQNRHAMQQQPQMMYHRSPVIPPSTGYYYNYGPPPYSYPEAPSYNADHSAATHMFSDDNTSSSCSIM >EOY00916 pep chromosome:Theobroma_cacao_20110822:2:35886679:35889420:1 gene:TCM_010831 transcript:EOY00916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein isoform 1 MTKEEDFKLLKIQTCVLKVNIHCDGCKQKVKKLLQRIEGVYQVSIDAEQQKVTVSGSVDSATLIKKLVRAGKHAEVWSQKSNQNQKPKNNCIKDDKNNKGPKQGLIKGLEAFKTQQKFPSFVSEEDDDYMDDYDEENEEDELQFLKPSQLGQLGQLGLLRQQALDANNAKNGIGNITATSNNNNKMNYNLINVNDGKKGNQNQNMGMKVNPGVLDQKTLAALKMNNAQLGGLNINAAEGKRGHDINPIMGLSGFHGNGANVADAAALGGNPNAVGGFQVQSNNGLQGSSAAIFQNGGYVTGQNPSSVLMNMNGYNYPSSMMNMMNLQNRHAMQQQPQMMYHRSPVIPPSTGYYYNYGPPPYSYPEAPSYNADHSAATHMFSDDNTSSSCSIM >EOY00915 pep chromosome:Theobroma_cacao_20110822:2:35886561:35889376:1 gene:TCM_010831 transcript:EOY00915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein isoform 1 MTKEEDFKLLKIQTCVLKVNIHCDGCKQKVKKLLQRIEGVYQVSIDAEQQKVTVSGSVDSATLIKKLVRAGKHAEVWSQKSNQNQKPKNNCIKDDKNNKGPKQGLIKGLEAFKTQQKFPSFVSEEDDDYMDDYDEENEEDELQFLKPSQLGQLGQLGLLRQQALDANNAKNGIGNITATSNNNNKMNYNLINVNDGKKGNQNQNMGMKVNPGVLDQKTLAALKMNNAQLGGLNINAAEGKRGHDINPIMGLSGFHGNGANVADAAALGGNPNAVGGFQVQSNNGLQGSSAAIFQNGGYVTGQNPSSVLMNMNGYNYPSSMMNMMNLQNRHAMQQQPQMMYHRSPVIPPSTGYYYNYGPPPYSYPEAPSYNADHSAATHMFSDDNTSSSCSIM >EOY00919 pep chromosome:Theobroma_cacao_20110822:2:35886684:35889486:1 gene:TCM_010831 transcript:EOY00919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein isoform 1 MTKEEDFKLLKIQTCVLKVNIHCDGCKQKVKKLLQRIEGGVYQVSIDAEQQKVTVSGSVDSATLIKKLVRAGKHAEVWSQKSNQNQKPKNNCIKDDKNNKGPKQGLIKGLEAFKTQQKFPSFVSEEDDDYMDDYDEENEEDELQFLKPSQLGQLGQLGLLRQQALDANNAKNGIGNITATSNNNNKMNYNLINVNDGKKGNQNQNMGMKVNPGVLDQKTLAALKMNNAQLGGLNINAAEGKRGHDINPIMGLSGFHGNGANVADAAALGGNPNAVGGFQVQSNNGLQGSSAAIFQNGGYVTGQNPSSVLMNMNGYNYPSSMMNMMNLQNRHAMQQQPQMMYHRSPVIPPSTGYYYNYGPPPYSYPEAPSYNADHSAATHMFSDDNTSSSCSIM >EOX97524 pep chromosome:Theobroma_cacao_20110822:2:2561399:2567623:1 gene:TCM_006519 transcript:EOX97524 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MISAKKLIRLARKWHNLAAIKRKTITFSRTTGDDDTNSCCSTSSMVEKGHFVVYSADEKRFVLPLEYLKNEIVMELFNLAEEEFGLPSNGNLTLPCDAAFMEYVIGLIKRKASKELVKALLMSVVSDRCLSSPDLHRQETSQQFPVRSGLINRTVFGSVIICSSNSHCQLVTQQQHSVSYYLKVSPLLFYNLLPVFISNRMISAKKLIKLARKWQKLAAIKRKRITSSETTLGNVGTTSCSTSSTVEKGHFAVYSSDQRRFVLPLEYLKNEIVRELFSLAEEEFGLPRNGPLTLPCDGAFMEYVISLIKRHATKDMKKALLTSIASCRCSSSSYLHQQATNQQLLISSF >EOX99796 pep chromosome:Theobroma_cacao_20110822:2:16457544:16458853:1 gene:TCM_008732 transcript:EOX99796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 TSRKQECSSPVLVHRQKQAYGPVGRTSSRNCQVTSSNVVLASTSASQSSKAKHYRYRPESSSPNQPYFHLSTSASADQLSEEDEDDQLLTRLLSQLESLRGDSTLNCKQSEGSILLEEVTSPMVDEAEAKRENGSRVECGCGEIGAEEIVKELRAIQRQNTVTHCLLSVMIVVTLVWQLSEVSLFLKVKNGFSHPFRSFGSMLLGMLPNPTPGINMQDADNNSSSTKTHHNHPLDPSLPSVRMPELPHLEFPHLG >EOX99795 pep chromosome:Theobroma_cacao_20110822:2:16457582:16458847:1 gene:TCM_008732 transcript:EOX99795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGSTQGIELINLAIQKLIQEKRIRHTSASADQLSEEDEDDQLLTRLLSQLESLRGDSTLNCKQSEGSILLEEVTSPMVDEAEAKRENGSRVECGCGEIGAEEIVKELRAIQRQNTVTHCLLSVMIVVTLVWQLSEVSLFLKVKNGFSHPFRSFGSMLLGMLPNPTPGINMQDADNNSSSTKTHHNHPLDPSLPSVRMPELPHLEFPHLG >EOX97066 pep chromosome:Theobroma_cacao_20110822:2:1076350:1077145:-1 gene:TCM_006172 transcript:EOX97066 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein, putative MAIFLKNLKKTDLEKRLTIPSKSLHHFPPLSNKHMVDFAVKDEESGHVWKFRIYTRKKSNNNFLKPVLTKGWREFVCSKQLRVGDRVAFYSAEEQAGAVKYRVKVQRPLKIFGASVFPS >EOX99199 pep chromosome:Theobroma_cacao_20110822:2:9005131:9007047:1 gene:TCM_007799 transcript:EOX99199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copia-like pol polyprotein, putative MRLSHVMELVTFNDVINYPQSIIWIDTMKDEMSSMAQNGVWELIKLPKGCRLIGYKLVYRSKKDSERKSERFKAKLVAKGFTQRDGVYYNETFSPVSSKGFYRIIMVFVAHFDLELHQMDVKIAFLNGNLEKKVYMVQIEGFHESKSESLVCKLQKSIYNLKQASKQWYLKFDKAGDAPVVIGDRFKLSHCLKNDLERESMKDIPHSSVVGSIIKVDNLEIVGYTYSNFVGCHDDMKSISRAATEAVWLKNLISRGEDLQCLVDMLKAWVS >EOX99696 pep chromosome:Theobroma_cacao_20110822:2:13851481:13852830:-1 gene:TCM_008462 transcript:EOX99696 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 23, putative MNPGRCAACKYLRRKCHSDCVFSPYFPSNNLQRFASIHKIFGASNVAKLLQHNVELKPVNGCVGLMFLLQQQIHNAETQLAKIRAEIAVLKSNAHHSQIQQFEDESDSNVFLPGRHSVAHPGLFNQASFGFI >EOY01667 pep chromosome:Theobroma_cacao_20110822:2:40551874:40552913:-1 gene:TCM_011512 transcript:EOY01667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRTQELSLILQNSFDNQTIFEQDSASFSVNFVLQGLRTRLQSIPASRHKGALNTNILQEKDFNRIKQVKDTKEIVPGTHQLARCSTKFQHPSHINLVGNSASKRPNQGQIGCMRAQTRIQNQKRRNVVQIRSEAISILFFGKIKVNVDGLKLYSWSLRKGFAAKSERGINVLRVIGDSREGGRDPAEQR >EOX99998 pep chromosome:Theobroma_cacao_20110822:2:21712304:21734935:1 gene:TCM_009231 transcript:EOX99998 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA synthetase class I (I, L, M and V) family protein isoform 1 MSFFKSVAANSSAFTPREATIAMMQSSPCRVLSRRTCSTLRINTSVNLLYFRGSSSVKVFSFLNIAHYSIYSGEEFCSSSKRRSRGPVMAAKKASQGQKEEEGRYKHTVDLPKTTFGMRANALAREPEIQKLWDDHQVFKRVVDKNDGGNFVLHDGPPYANGDLHMGHALNKILKDIINRYKLLQNYKVHFVPGWDCHGLPIELKVLQSLDQDARKDLAPLKLRAKAAKFAKATVKTQMSSFQRFGVWADWNNPYLTLDPEYEAAQIEVFGEMALKGYIYRGRKPVHWSPSTRTALAEAELEFPEGHVSRSIYALFRMVSSPSTKDGLFEEFFPDLCLAIWTTTPWTIPANAAVAVNAKLQYAVVEAKSFLEDVSISAGNKKRRLGNVLKEPKKPFFIVAYDLVPTLEAKWGIKLIIKKLFLGSDLENWRYVHPINNRECPVVIGGDYITTDSGTGLVHTAPGHGQEDYVIGLKYGLPIYSPVDDDGKFTEEAGEFSGLEVLGDGNIAVVKYLDEKMSILMEESYEHKYPYDWRSKKPTIFRATEQWFASVEGFRQAAMDAIGHVKWIPEQAENRISAMTSSRSDWCISRQRTWGLPIPVFYHVTSKEPLMNKETIDHIKSIIAQKGSDVWWYMKVEDLLPDKYRNKASEYEKGTDTMDVWFDSGSSWAAVLGKRGSLSFPADLYLEGTDQHRGWFQSSLLTSIATKGRAPYSSVITHGFVLDEKGFKMSKSLGNVMDPRTVIEGGQNHKDAPGYGADILRLWVSSVDYTGDVMIGPQILCQMSDIYRKLRGTLRYLLGNLHDWKVESAVSYHELPMIDQHALFQLGNVVKNIREGYENYQFFKIFQIIQRFVIVDLSNFYFDVAKDRLYVGGIASFTRRSCQTVLAAHLLSLARVIAPILPHLAEDVWQNLPFKYTLKDGSIAEFVFESKWPALNEKWLTLPAEEIDFWGKVLES >EOX99997 pep chromosome:Theobroma_cacao_20110822:2:21711255:21745879:1 gene:TCM_009231 transcript:EOX99997 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA synthetase class I (I, L, M and V) family protein isoform 1 MSFFKSVAANSSAFTPREATIAMMQSSPCRVLSRRTCSTLRINTSVNLLYFRGSSSVKVFSFLNIAHYSIYSGEEFCSSSKRRSRGPVMAAKKASQGQKEEEGRYKHTVDLPKTTFGMRANALAREPEIQKLWDDHQVFKRVVDKNDGGNFVLHDGPPYANGDLHMGHALNKILKDIINRYKLLQNYKVHFVPGWDCHGLPIELKVLQSLDQDARKDLAPLKLRAKAAKFAKATVKTQMSSFQRFGVWADWNNPYLTLDPEYEAAQIEVFGEMALKGYIYRGRKPVHWSPSTRTALAEAELEFPEGHVSRSIYALFRMVSSPSTKDGLFEEFFPDLCLAIWTTTPWTIPANAAVAVNAKLQYAVVEAKSFLEDVSISAGNKKRRLGNVLKEPKKPFFIVAYDLVPTLEAKWGIKLIIKKLFLGSDLENWRYVHPINNRECPVVIGGDYITTDSGTGLVHTAPGHGQEDYVIGLKYGLPIYSPVDDDGKFTEEAGEFSGLEVLGDGNIAVVKYLDEKMSILMEESYEHKYPYDWRSKKPTIFRATEQWFASVEGFRQAAMDAIGHVKWIPEQAENRISAMTSSRSDWCISRQRTWGLPIPVFYHVTSKEPLMNKETIDHIKSIIAQKGSDVWWYMKVEDLLPDKYRNKASEYEKGTDTMDVWFDSGSSWAAVLGKRGSLSFPADLYLEGTDQHRGWFQSSLLTSIATKGRAPYSSVITHGFVLDEKGFKMSKSLGNVMDPRTVIEGGQNHKDAPGYGADILRLWVSSVDYTGDVMIGPQILCQMSDIYRKLRGTLRYLLGNLHDWKVESAVSYHELPMIDQHALFQLGNVVKNIREGYENYQFFKIFQIIQRFVIVDLSNFYFDVAKDRLYVGGIASFTRRSCQTVLAAHLLSLARVIAPILPHLAEDVWQNLPFKYTLKDGSIAEFVFESKWPALNEKWLTLPAEEIDFWGKVLELRTEVNKVLEVARTGKLIGSSLEAKVYLHTSDATLASTLLEMCSANNDADTLHRIFLTSQVEVVASLGNELQNIPYTGEYLVQEDKVWIGVSRAEGSKCERCWNYSTQVGSFMEHPTLCGRCFSVVGIQPTPEMAAVT >EOY01934 pep chromosome:Theobroma_cacao_20110822:2:41389381:41390315:-1 gene:TCM_011711 transcript:EOY01934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKGACFFLQYFIQLRSSYSIEIELLQKHCKDVISDCCIVISSLLGAVFPILIIIYPCLPLVS >EOX97933 pep chromosome:Theobroma_cacao_20110822:2:4019686:4020921:-1 gene:TCM_006832 transcript:EOX97933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVREKSLTAQLVQLSVSLITTMLVTITEKEKPNFHQSPPFSSPSSSSNLQLLSYLAMPVRNQNGVVMGFPADYFETPPRYEEPTITTAAERPLPCNNADEKPHPCVKWLILTLFVITMIFFMLAFSGFYLTYQNKAPYIRIESITPSNINASPNTTISSNWRLTFSVDNPNKFASIHYKKIQVSVFYKDKHLSSGNVDYFYQDEKEEGRMNVDILGLVMNMEKEKMNEAYEMVVSLKLDAVVWFETKFMKNHWQLLEANCGDVKVRPSMNLTLDGSGRCNTNLQ >EOX97629 pep chromosome:Theobroma_cacao_20110822:2:2905157:2908991:-1 gene:TCM_006602 transcript:EOX97629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MGVLRMSESLKVKMNIFQNLLERLRQLVGSKGWDYCVLWKLSDDQRFIEWMDCCCAGAENIESGGELQFPVTPVLPCRDVMFQHPKTKSCELLAQLPSCMPLDSGSHAQTLISNQPKWLNFSKNSDSNVLEEIVGTRILIPVAGGLVELFVAKQVCEDQNVVDYIATLCNITLEQGGMMNSSSMDAHVTVLNAQALNELQPKHHLGNEDDQKDPTNHFQQPVSLATTLETLNLPYDISSDQIRSCNSPTNSLQQYNYLSEHKTKIDVYVEGSHDAFLPDHKVASPYNDNGLQEMDPLNSIITNESILIQGNDKDSIKQDNGRSDSMSDCSDQNDDEDDARYQRRPGSKGPQSKNLVAERKRRKKLNERLYALRSLVPKISKLDRASILGDAIEFVKELQNQVKELQDELEEHSDNDGSKKTGLNGIHKNVQSEIFSQNEIAVDPNPEHDKGPNGFPVGGNGSVSKHKQDVEITSDKTQQMEVQVEVAQIDGNQFFVKVFCEHKPGGFVRLMEALDSLGLEVTNANVNSFRGLVSNVFKVEIKDSEMVQADHVRDSLLELTRNPSKGLSEMAKASENNNGIDCNYHKQQQQLQHQLHNHHISSHHRHLHHFQKQLA >EOY00425 pep chromosome:Theobroma_cacao_20110822:2:32295077:32299132:1 gene:TCM_010293 transcript:EOY00425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 2 MGNEIALPLVGMVLAECAQVGLMIMGKAAMSHGMSNFVFVFYSNALASLILLPSSFLFHRSERPPLTFSILCWFFLLGLLGCFAQIFGYAGIYYSSPTLGTAMLNLIPGLTFLFAVAFRMEKLHLGSSSSQAKSLGTIVSIAGAFVVTFYKGPSILMSSSRASSSHQFLLQQSNWVFGGFLLAADCLFASAWLIVQSAIVCLVMERDLSSWSFKPDVRLVAVLYSAVFGSAFQLGVSTWCLHRTGPVFVAMFKPLGIVISVIMGVIFLGDTFYLGSLLGAIVIVAGFYSVMWGKAKEGKIDVYAQGMRLESSSQKVPLLPNNTGET >EOY00428 pep chromosome:Theobroma_cacao_20110822:2:32295077:32299132:1 gene:TCM_010293 transcript:EOY00428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 2 MGNEIALPLVGMVLAECAQVGLMIMGKAAMSHGMSNFVFVFYSNALASLILLPSSFLFHRSERPPLTFSILCWFFLLGLLGCFAQIFGYAGIYYSSPTLGTAMLNLIPGLTFLFAVAFRMEKLHLGSSSSQAKSLGTIVSIAGAFVVTFYKGPSILMSSSRASSSHQFLLQQSNWVFGGFLLAADCLFASAWLIVQASVLKKFPAELIVVFYYCFFVTLQSAIVCLVMERDLSSWSFKPDVRLVAVLYSAVFGSAFQLGVSTWCLHRTGPVFVAMFKPLGIVISVIMGVIFLGDTFYLGSLLGAIVIVAGFYSVMWGKAKEGKIDVYAQGMRLESSSQKVPLLPNNTGET >EOY00430 pep chromosome:Theobroma_cacao_20110822:2:32295036:32297788:1 gene:TCM_010293 transcript:EOY00430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 2 MGNEIALPLVGMVLAECAQVGLMIMGKAAMSHGMSNFVFVFYSNALASLILLPSSFLFHRSERPPLTFSILCWFFLLGLLGCFAQIFGYAGIYYSSPTLGTAMLNLIPGLTFLFAVAFRMEKLHLGSSSSQAKSLGTIVSIAGAFVVTFYKGPSILMSSSRASSSHQFLLQQSNWVFGGFLLAADCLFASAWLIVQASVLKKFPAELIVVFYYCFFVTLQSAIVCLVMERDLSSWSFKPDVRLVAVLYSAVFGSAFQLGVSTWCLHRTGPVFVAMFKPLGIVISVIMGVIFLGDTFYLGRALLSCGMEM >EOY00431 pep chromosome:Theobroma_cacao_20110822:2:32295036:32297528:1 gene:TCM_010293 transcript:EOY00431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 2 MGNEIALPLVGMVLAECAQVGLMIMGKAAMSHGMSNFVFVFYSNALASLILLPSSFLFHRSERPPLTFSILCWFFLLGLLGCFAQIFGYAGIYYSSPTLGTAMLNLIPGLTFLFAVAFRMEKLHLGSSSSQAKSLGTIVSIAGAFVVTFYKGPSILMSSSRASSSHQFLLQQSNWVFGGFLLAADCLFASAWLIVQASVLKKFPAELIVVFYYCFFVTLQSAIVCLVMERDLSSWSFKPDVRLVAVLYSVSGCFWLCIPTR >EOY00429 pep chromosome:Theobroma_cacao_20110822:2:32295490:32299145:1 gene:TCM_010293 transcript:EOY00429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 2 MLNLIPGLTFLFAVAFRMEKLHLGSSSSQAKSLGTIVSIAGAFVVTFYKGPSILMSSSRASSSHQFLLQQSNWVFGGFLLAADCLFASAWLIVQASVLKKFPAELIVVFYYCFFVTLQSAIVCLVMERDLSSWSFKPDVRLVAVLYSAVFGSAFQLGVSTWCLHRTGPVFVAMFKPLGIVISVIMGVIFLGDTFYLGSLLGAIVIVAGFYSVMWGKAKEGKIDVYAQGMRLESSSQKVPLLPNNTGET >EOY00427 pep chromosome:Theobroma_cacao_20110822:2:32295078:32299182:1 gene:TCM_010293 transcript:EOY00427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 2 MGNEIALPLVGMVLAECAQVGLMIMGKAAMSHGMSNFVFVFYSNALASLILLPSSFLFHRSERPPLTFSILCWFFLLGLLGCFAQIFGYAGIYYSSPTLGTAMLNLIPGLTFLFAVAFRMEKLHLGSSSSQAKSLGTIVSIAGAFVVTFYKGPSILMSSSRASSSHQFLLQQSNWVFGGFLLAADCLFASAWLIVQSAIVCLVMERDLSSWSFKPDVRLVAVLYSAVFGSAFQLGVSTWCLHRTGPVFVAMFKPLGIVISVIMGVIFLGDTFYLGSLLGAIVIVAGFYSVMWGKAKEGKIDVYAQGMRLESSSQKVPLLPNNTGET >EOY00426 pep chromosome:Theobroma_cacao_20110822:2:32295077:32299132:1 gene:TCM_010293 transcript:EOY00426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 2 MGNEIALPLVGMVLAECAQVGLMIMGKAAMSHGMSNFVFVFYSNALASLILLPSSFLFHRSERPPLTFSILCWFFLLGLLGCFAQIFGYAGIYYSSPTLGTAMLNLIPGLTFLFAVAFRMEKLHLGSSSSQAKSLGTIVSIAGAFVVTFYKGPSILMSSSRASSSHQFLLQQSNWVFGGFLLAADCLFASAWLIVQASVLKKFPAELIVVFYYCFFVTLQSAIVCLVMERDLSSWSFKPDVRLVAVLYSAVFGSAFQLGVSTWCLHRTGPVFVAMFKPLGIVISVIMGVIFLGDTFYLGSLLGAIVIVAGFYSVMWGKAKEGKIDVYAQGMRLESSSQKVPLLPNNTGET >EOX99677 pep chromosome:Theobroma_cacao_20110822:2:13582227:13582980:-1 gene:TCM_008432 transcript:EOX99677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVTTVFSPFRVSDAFNAKPMADLALSFVMYFWFLFFNGFFFTVVFIASLMVSLSPTHMLRVGFQTTTLKKKRITFLPSFLLPFLAALWLFIPSLLGLEL >EOX99678 pep chromosome:Theobroma_cacao_20110822:2:13582223:13583061:-1 gene:TCM_008432 transcript:EOX99678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 LSLPYHWEQCLDLKVRSLFVFFLLVIFFLLFCLYLLYLSFEMVTTVFSPFRVSDAFNAKPMADLALSFVMYFWFLFFNGFFFTVVFIASLMVSLSPTHMLRVGFQTTTLKKKRITFLPSFLLPFLAALWLFIPSLLGLEL >EOX98944 pep chromosome:Theobroma_cacao_20110822:2:7873977:7880035:-1 gene:TCM_007605 transcript:EOX98944 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein isoform 1 MDEPEKMTVSGSEAQMDDSKPVMEAAEAEAQAPMDTETEAAEVKDQVQVEEPTKLEEDGKLQAQPVGDNPDSVEEQAIADQTHEDTIKTPNVVDADGQNEENLKSEENDDAEFKDVEDKALAEAVTKMEVVEDDDAPIHSHDNGLCGSPNSDIKIQDDDNDVDNGEQLKDLTTEREIKKQVVIPELGEKNPNLCFDNSGSDTEEEQAAFVKEVEAFYKEKNLEFKHPKFYKEDLNLLKLWRAVIKLGGYEQVTSCKLWRQVGESFNPPKTCTTVSWTFRIFYEKALLEYEKHKIHGGELSHPDASFIEPNGVESQAGSNQAPGSGRAKRDAATRAMRSWHSQRVLDNGEVCHPIIKDKSSSPAAKSDRQLKNFGLLKRKKPSSLEHSVRVAPLKGTKPQLDSMVIDIGPPADWVKINVHKTIDCYEVYALVPGLLREEVHVQSDPAGRLVISGQPKQLDNPWGVTPFKKVVSLPSRIDPHQTSAVVTLHGQLFVRVPFELPDV >EOX98947 pep chromosome:Theobroma_cacao_20110822:2:7875729:7880388:-1 gene:TCM_007605 transcript:EOX98947 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein isoform 1 MDEPEKMTVSGSEAQMDDSKPVMEAAEAEAQAPMDTETEAAEVKDQVQVEEPTKLEEDGKLQAQPVGDNPDSVEEQAIADQTHEDTIKTPNVVDADGQNEENLKSEENDDAEFKDVEDKALAEAVTKMEVVEDDDAPIHSHDNGLCGSPNSDIKIQDDDNDVDNGEQLKDLTTEREIKKQVVIPELGEKNPNLCFDNSGSDTEEEQAAFVKEVEAFYKEKNLEFKHPKFYKEDLNLLKLWRAVIKLGGYEQVTSCKLWRQVGESFNPPKTCTTVSWTFRIFYEKALLEYEKHKIHGGELSHPDASFIEPNGVESQAGSNQAPGSGRAKRDAATRAMRSWHSQRVLDNGEDKSSSPAAKSDRQLKNFGLLKRKKPSSLEHSVRVAPLKGTKPQLDSMVIDIGPPADWVKINVHKTVSLSHVIFMTVCCNSIINESLSLSLSL >EOX98946 pep chromosome:Theobroma_cacao_20110822:2:7874033:7880330:-1 gene:TCM_007605 transcript:EOX98946 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein isoform 1 MDEPEKMTVSGSEAQMDDSKPVMEAAEAEAQAPMDTETEAAEVKDQVQVEEPTKLEEDGKLQAQPVGDNPDSVEEQAIADQTHEDTIKTPNVVDADGQNEENLKSEENDDAEFKDVEDKALAEAVTKMEVVEDDDAPIHSHDNGLCGSPNSDIKIQDDDNDVDNGEQLKDLTTEREIKKQVVIPELGEKNPNLCFDNSGSDTEEEQAAFVKEVEAFYKEKNLEFKHPKFYKEDLNLLKLWRAVIKLGGYEQVTSCKLWRQVGESFNPPKTCTTVSWTFRIFYEKALLEYEKHKIHGGELSHPDASFIEPNGVESQAGSNQAPGSGRAKRDAATRAMRSWHSQRVLDNGEVCHPIIKDKSSSPAAKSDRQLKNFGLLKRKKPSSLEHSVRVAPLKGTKPQLDSMVIDIGPPADWVKINVHKTVSLSHVIFMTVCCNSIINESLSLSLSLSLTD >EOX98945 pep chromosome:Theobroma_cacao_20110822:2:7874033:7880411:-1 gene:TCM_007605 transcript:EOX98945 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein isoform 1 MDEPEKMTVSGSEAQMDDSKPVMEAAEAEAQAPMDTETEAAEVKDQVQVEEPTKLEEDGKLQAQPVGDNPDSVEEQAIADQTHEDTIKTPNVVDADGQNEENLKSEENDDAEFKDVEDKALAEAVTKMEVVEDDDAPIHSHDNGLCGSPNSDIKIQDDDNDVDNGEQLKDLTTEREIKKQVVIPELGEKNPNLCFDNSGSDTEEEQAAFVKEVEAFYKEKNLEFKHPKFYKEDLNLLKLWRAVIKLGGYEQVTSCKLWRQVGESFNPPKTCTTVSWTFRIFYEKALLEYEKHKIHGGELSHPDASFIEPNGVESQAGSNQAPGSGRAKRDAATRAMRSWHSQRVLDNGEVCHPIIKDKSSSPAAKSDRQLKNFGLLKRKKPSSLEHSVRVAPLKGTKPQLDSMVIDIGPPADWVKINVHKTVSLSHVIFMTVCCNSIINESLSLSLSLSLTD >EOY01620 pep chromosome:Theobroma_cacao_20110822:2:40334274:40336203:1 gene:TCM_011470 transcript:EOY01620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMLPKEHHDGCSSKDLDLVEFDNFRPNPTMGWSTMKVVNGWSSWLQSLAMDSNEDKDRRRNGAVGTENDDQTLRTEASQSKTLAAGLAAGGAILVGCLIAGLVSFGGSSSKKTMKAPGRNHRIFRDNFEADPKGYFRDLHKRNG >EOY01621 pep chromosome:Theobroma_cacao_20110822:2:40335495:40336209:1 gene:TCM_011470 transcript:EOY01621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDSNEDKDRRRNGAVGTENDDQTLRTEASQSKTLAAGLAAGGAILVGCLIAGLVSFGGSSSKKTMKAPGRNHRIFRDNFEADPKGYFRDLHKRNG >EOY01135 pep chromosome:Theobroma_cacao_20110822:2:37816799:37820149:1 gene:TCM_011076 transcript:EOY01135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative MPLLSLLQIHINDEHAFFVNEDIISAYSGRLKKITKKQKRRTQIENSVTEFNDFPGGPDGFEQVSRFCYCNGRVEITVSNVSLLYCSAFFLGMTEKTSTNNLLRQTERFLEGMFYWSWNDILLSLRSCESFFSYADSYGLIQKHIFALLAKIAQNSDMNFITSSSSSSPSPETSYGLRFSSASKATPQSTSPCNKSSKAWWFDDLAKLSPKVVEKIIKNLGAYGNQNNSLTLTRFLLHYLKSRPQGSPKSKSEYSGLANTAVQGVILVGKTKFSCRKLFWVLRVVSAFSLSKDYRVGLERLIGENLDEATVDDLLVSGHNKGVYDVNLVIRLIRVFVNGEGVSLQKMKKLGRLIDKYLREISPDPNLNISKFLGVAESLPDSARDCFDGIYRAIDIYLQSHPSLCFEERSRLCRCLNYEKLTFEASKDLAKNPRIPPNVAVQALRSQQSKVPQYEFVHESPSKSNCSKIILFNDSIESSEESSSQGTEDMKLNLQKMQWRVVELEKACREMKGQMLRLVRPNTAITPCYSRTLPRFC >EOY00005 pep chromosome:Theobroma_cacao_20110822:2:22321012:22322141:1 gene:TCM_009280 transcript:EOY00005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMPLSQFCLFTRLETQESAIAKPCLFLFVHSFTRIYKRTIRRHATTTTNHRFLCVFGRRQLILGGRRQSSLI >EOY02172 pep chromosome:Theobroma_cacao_20110822:2:42192596:42198928:1 gene:TCM_011885 transcript:EOY02172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear matrix constituent protein-related, putative isoform 2 MFTPQRKAWPGLPLTPSTEPQRAGVSNTRSGGIGGKGKAVAFFDDTRKLPPPPVGSLSGRGPLNVGLEEEGMEDWRRFKEAGFLDEAALERRDHEALVERLSKLERELFDYQYNMGLLLIEKKEWTSKCEELTQELAEAEEILRREQAAHLIAYSEVQKREENLAKALDVEKQCVADLEKTLRDIQEEHAQVKLSSDTKLANASALVAGIEGKSLEVEEKMHAADATLAEVNRKSSELEMKLQEMEARESLLQRERLSLIAEREAHQATFYKQREDLNGWERKLNKGEERLSELRRTLNQREEKANENDRLLKQKERSFEEVQNKIDLSTLKLKEMEDDVSKRFTDLVSKEKEAESMRSILQAKEKDLVALEEMLTARERVEIQKLVNEQRVILDAKMQEFELELEEKRKSVNEELESKVNEVNQQEAELHHKEEKLRKQEQALDKKLERVKEREKDLEVRLKTVKDRDKFVKTEEKKLELEKQQLYSAKESLQALKDEIDKIGAETSQQELRIREESQKLKITEEERSEHIRLQSELKQQIDSCRHQEELLLKEHEDLKQQRENFEKEWEVLDEKRAEITMQRKEIVEEKDKFEKFRHSEEERLKKEESAMRDYVCREMESIRLQKESFEASMKHEKSVLLEEAQNEHIKMLQDFELQKMNLETDLQNRFDQKQKDLQERIVAFEEVKERELANMRCSKEDVEREMEEIRSARLAVEREKQEVAINRDKLNEQQQEMRKDIDELGILSSRLKDQREHFIRERHSFLEFVEKLKSCKTCGEITRDFVLSNFQLPDVEDREIVPLPRLADELIRNHQGYLGASGVKNIKRSPEAYSQYPESAGRMSWLRKCTTKIFSISPTKRNESKAEGPGELTNKEAGGNIHEKAGEPSLRIPGDSINNQLLQSDKIGKVDDRSGPSLDHSYTDSKVQEVPEDSQQSERKSGRRKPGRKPKSGLNRTRSVKAVVEDAKLFLGESPEEPEPSESVQPDDISHANEVSAGVSTHSENRARNNARKRRRPQDSKITDTELDAADSEGRSDSVTTGGQRKRQQTAAQGLQTPGEKRYNLRRPKLDNYYYSDF >EOY02174 pep chromosome:Theobroma_cacao_20110822:2:42192219:42200334:1 gene:TCM_011885 transcript:EOY02174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear matrix constituent protein-related, putative isoform 2 MFTPQRKAWPGLPLTPSTEPQRAGVSNTRSGGIGGKGKAVAFFDDTRKLPPPPVGSLSGRGPLNVGLEEEGMEDWRRFKEAGFLDEAALERRDHEALVERLSKLERELFDYQYNMGLLLIEKKEWTSKCEELTQELAEAEEILRREQAAHLIAYSEVQKREENLAKALDVEKQCVADLEKTLRDIQEEHAQVKLSSDTKLANASALVAGIEGKSLEVEEKMHAADATLAEVNRKSSELEMKLQEMEARESLLQRERLSLIAEREAHQATFYKQREDLNGWERKLNKGEERLSELRRTLNQREEKANENDRLLKQKERSFEEVQNKIDLSTLKLKEMEDDVSKRFTDLVSKEKEAESMRSILQAKEKDLVALEEMLTARERVEIQKLVNEQRVILDAKMQEFELELEEKRKSVNEELESKVNEVNQQEAELHHKEEKLRKQEQALDKKLERVKEREKDLEVRLKTVKDRDKFVKTEEKKLELEKQQLYSAKESLQALKDEIDKIGAETSQQELRIREESQKLKITEEERSEHIRLQSELKQQIDSCRHQEELLLKEHEDLKQQRENFEKEWEVLDEKRAEITMQRKEIVEEKDKFEKFRHSEEERLKKEESAMRDYVCREMESIRLQKESFEASMKHEKSVLLEEAQNEHIKMLQDFELQKMNLETDLQNRFDQKQKDLQERIVAFEEVKERELANMRCSKEDVEREMEEIRSARLAVEREKQEVAINRDKLNEQQQEMRKDIDELGILSSRLKDQREHFIRERHSFLEFVEKLKSCKTCGEITRDFVLSNFQLPDVEDREIVPLPRLADELIRNHQGYLGASGVKNIKRSPEAYSQYPESAGRMSWLRKCTTKIFSISPTKRNESKAEGPGELTNKEAGGNIHEKAGEPSLRIPGDSINNQLLQSDKIGKVDDRSGPSLDHSYTDSKVQEVPEDSQQSERKSGRRKPGRKPKSGLNRTRSVKAVVEDAKLFLGESPEEPEPSESVQPDDISHANEVSAGVSTHSENRARNNARKRRRPQDSKITDTELDAADSEGRSDSVTTGGQRKRQQTAAQGLQTPGEKRYNLRRPKLTVTAKAALASSDLLKTRQEPDGGVVEGGVSDTENRSSNLVQVTTLKNVEIVEEKFKTSVDVDDNANAAKPVGSVDLSEEVGTAENGNEDQSVSSIDEDEDDSDDEIEHPGEVSIGKKIWTFFTS >EOY02176 pep chromosome:Theobroma_cacao_20110822:2:42192219:42199802:1 gene:TCM_011885 transcript:EOY02176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear matrix constituent protein-related, putative isoform 2 MFTPQRKAWPGLPLTPSTEPQRAGVSNTRSGGIGGKGKAVAFFDDTRKLPPPPVGSLSGRGPLNVGLEEEGMEDWRRFKEAGFLDEAALERRDHEALVERLSKLERELFDYQYNMGLLLIEKKEWTSKCEELTQELAEAEEILRREQAAHLIAYSEVQKREENLAKALDVEKQCVADLEKTLRDIQEEHAQVKLSSDTKLANASALVAGIEGKSLEVEEKMHAADATLAEVNRKSSELEMKLQEMEARESLLQRERLSLIAEREAHQATFYKQREDLNGWERKLNKGEERLSELRRTLNQREEKANENDRLLKQKERSFEEVQNKIDLSTLKLKEMEDDVSKRFTDLVSKEKEAESMRSILQAKEKDLVALEEMLTAREREFELELEEKRKSVNEELESKVNEVNQQEAELHHKEEKLRKQEQALDKKLERVKEREKDLEVRLKTVKDRDKFVKTEEKKLELEKQQLYSAKESLQALKDEIDKIGAETSQQELRIREESQKLKITEEERSEHIRLQSELKQQIDSCRHQEELLLKEHEDLKQQRENFEKEWEVLDEKRAEITMQRKEIVEEKDKFEKFRHSEEERLKKEESAMRDYVCREMESIRLQKESFEASMKHEKSVLLEEAQNEHIKMLQDFELQKMNLETDLQNRFDQKQKDLQERIVAFEEVKERELANMRCSKEDVEREMEEIRSARLAVEREKQEVAINRDKLNEQQQEMRKDIDELGILSSRLKDQREHFIRERHSFLEFVEKLKSCKTCGEITRDFVLSNFQLPDVEDREIVPLPRLADELIRNHQGYLGASGVKNIKRSPEAYSQYPESAGRMSWLRKCTTKIFSISPTKRNESKAEGPGELTNKEAGGNIHEKAGEPSLRIPGDSINNQLLQSDKIGKVDDRSGPSLDHSYTDSKVQEVPEDSQQSERKSGRRKPGRKPKSGLNRTRSVKAVVEDAKLFLGESPEEPEPSESVQPDDISHANEVSAGVSTHSENRARNNARKRRRPQDSKITDTELDAADSEGRSDSVTTGGQRKRQQTAAQGLQTPGEKRYNLRRPKLTVTAKAALASSDLLKTRQEPDGGVVEGGVSDTENRSSNLVQVTTLKNVEIVEEKVVRFKTSVDVDDNANAAKPVGSVDLSEEVGTAENGNEDQSVSSIDEDEDDSDDEIEHPGEVSIGKKIWTFFTS >EOY02173 pep chromosome:Theobroma_cacao_20110822:2:42192219:42200334:1 gene:TCM_011885 transcript:EOY02173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear matrix constituent protein-related, putative isoform 2 MFTPQRKAWPGLPLTPSTEPQRAGVSNTRSGGIGGKGKAVAFFDDTRKLPPPPVGSLSGRGPLNVGLEEEGMEDWRRFKEAGFLDEAALERRDHEALVERLSKLERELFDYQYNMGLLLIEKKEWTSKCEELTQELAEAEEILRREQAAHLIAYSEVQKREENLAKALDVEKQCVADLEKTLRDIQEEHAQVKLSSDTKLANASALVAGIEGKSLEVEEKMHAADATLAEVNRKSSELEMKLQEMEARESLLQRERLSLIAEREAHQATFYKQREDLNGWERKLNKGEERLSELRRTLNQREEKANENDRLLKQKERSFEEVQNKIDLSTLKLKEMEDDVSKRFTDLVSKEKEAESMRSILQAKEKDLVALEEMLTARERVEIQKLVNEQRVILDAKMQEFELELEEKRKSVNEELESKVNEVNQQEAELHHKEEKLRKQEQALDKKLERVKEREKDLEVRLKTVKDRDKFVKTEEKKLELEKQQLYSAKESLQALKDEIDKIGAETSQQELRIREESQKLKITEEERSEHIRLQSELKQQIDSCRHQEELLLKEHEDLKQQRENFEKEWEVLDEKRAEITMQRKEIVEEKDKFEKFRHSEEERLKKEESAMRDYVCREMESIRLQKESFEASMKHEKSVLLEEAQNEHIKMLQDFELQKMNLETDLQNRFDQKQKDLQERIVAFEEVKERELANMRCSKEDVEREMEEIRSARLAVEREKQEVAINRDKLNEQQQEMRKDIDELGILSSRLKDQREHFIRERHSFLEFVEKLKSCKTCGEITRDFVLSNFQLPDVEDREIVPLPRLADELIRNHQGYLGASGVKNIKRSPEAYSQYPESAGRMSWLRKCTTKIFSISPTKRNESKAEGPGELTNKEAGGNIHEKAGEPSLRIPGDSINNQLLQSDKIGKVDDRSGPSLDHSYTDSKVQEVPEDSQQSERKSGRRKPGRKPKSGLNRTRSVKAVVEDAKLFLGESPEEPEPSESVQPDDISHANEVSAGVSTHSENRARNNARKRRRPQDSKITDTELDAADSEGRSDSVTTGGQRKRQQTAAQGLQTPGEKRYNLRRPKLHSQGSPSLI >EOY02171 pep chromosome:Theobroma_cacao_20110822:2:42192140:42200751:1 gene:TCM_011885 transcript:EOY02171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear matrix constituent protein-related, putative isoform 2 MFTPQRKAWPGLPLTPSTEPQRAGVSNTRSGGIGGKGKAVAFFDDTRKLPPPPVGSLSGRGPLNVGLEEEGMEDWRRFKEAGFLDEAALERRDHEALVERLSKLERELFDYQYNMGLLLIEKKEWTSKCEELTQELAEAEEILRREQAAHLIAYSEVQKREENLAKALDVEKQCVADLEKTLRDIQEEHAQVKLSSDTKLANASALVAGIEGKSLEVEEKMHAADATLAEVNRKSSELEMKLQEMEARESLLQRERLSLIAEREAHQATFYKQREDLNGWERKLNKGEERLSELRRTLNQREEKANENDRLLKQKERSFEEVQNKIDLSTLKLKEMEDDVSKRFTDLVSKEKEAESMRSILQAKEKDLVALEEMLTARERVEIQKLVNEQRVILDAKMQEFELELEEKRKSVNEELESKVNEVNQQEAELHHKEEKLRKQEQALDKKLERVKEREKDLEVRLKTVKDRDKFVKTEEKKLELEKQQLYSAKESLQALKDEIDKIGAETSQQELRIREESQKLKITEEERSEHIRLQSELKQQIDSCRHQEELLLKEHEDLKQQRENFEKEWEVLDEKRAEITMQRKEIVEEKDKFEKFRHSEEERLKKEESAMRDYVCREMESIRLQKESFEASMKHEKSVLLEEAQNEHIKMLQDFELQKMNLETDLQNRFDQKQKDLQERIVAFEEVKERELANMRCSKEDVEREMEEIRSARLAVEREKQEVAINRDKLNEQQQEMRKDIDELGILSSRLKDQREHFIRERHSFLEFVEKLKSCKTCGEITRDFVLSNFQLPDVEDREIVPLPRLADELIRNHQGYLGASGVKNIKRSPEAYSQYPESAGRMSWLRKCTTKIFSISPTKRNESKAEGPGELTNKEAGGNIHEKAGEPSLRIPGDSINNQLLQSDKIGKVDDRSGPSLDHSYTDSKVQEVPEDSQQSERKSGRRKPGRKPKSGLNRTRSVKAVVEDAKLFLGESPEEPEPSESVQPDDISHANEVSAGVSTHSENRARNNARKRRRPQDSKITDTELDAADSEGRSDSVTTGGQRKRQQTAAQGLQTPGEKRYNLRRPKLTVTAKAALASSDLLKTRQEPDGGVVEGGVSDTENRSSNLVQVTTLKNVEIVEEKVVRFKTSVDVDDNANAAKPVGSVDLSEEVGTAENGNEDQSVSSIDEDEDDSDDEIEHPGEVSIGKKIWTFFTS >EOY02175 pep chromosome:Theobroma_cacao_20110822:2:42192219:42200334:1 gene:TCM_011885 transcript:EOY02175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear matrix constituent protein-related, putative isoform 2 MFTPQRKAWPGLPLTPSTEPQRAGVSNTRSGGIGGKGKAVAFFDDTRKLPPPPVGSLSGRGPLNVGLEEEGMEDWRRFKEAGFLDEAALERRDHEALVERLSKLERELFDYQYNMGLLLIEKKEWTSKCEELTQELAEAEEILRREQAAHLIAYSEVQKREENLAKALDVEKQCVADLEKTLRDIQEEHAQVKLSSDTKLANASALVAGIEGKSLEVEEKMHAADATLAEVNRKSSELEMKLQEMEARESLLQRERLSLIAEREAHQATFYKQREDLNGWERKLNKGEERLSELRRTLNQREEKANENDRLLKQKERSFEEVQNKIDLSTLKLKEMEDDVSKRFTDLVSKEKAKEKDLVALEEMLTARERVEIQKLVNEQRVILDAKMQEFELELEEKRKSVNEELESKVNEVNQQEAELHHKEEKLRKQEQALDKKLERVKEREKDLEVRLKTVKDRDKFVKTEEKKLELEKQQLYSAKESLQALKDEIDKIGAETSQQELRIREESQKLKITEEERSEHIRLQSELKQQIDSCRHQEELLLKEHEDLKQQRENFEKEWEVLDEKRAEITMQRKEIVEEKDKFEKFRHSEEERLKKEESAMRDYVCREMESIRLQKESFEASMKHEKSVLLEEAQNEHIKMLQDFELQKMNLETDLQNRFDQKQKDLQERIVAFEEVKERELANMRCSKEDVEREMEEIRSARLAVEREKQEVAINRDKLNEQQQEMRKDIDELGILSSRLKDQREHFIRERHSFLEFVEKLKSCKTCGEITRDFVLSNFQLPDVEDREIVPLPRLADELIRNHQGYLGASGVKNIKRSPEAYSQYPESAGRMSWLRKCTTKIFSISPTKRNESKAEGPGELTNKEAGGNIHEKAGEPSLRIPGDSINNQLLQSDKIGKVDDRSGPSLDHSYTDSKVQEVPEDSQQSERKSGRRKPGRKPKSGLNRTRSVKAVVEDAKLFLGESPEEPEPSESVQPDDISHANEVSAGVSTHSENRARNNARKRRRPQDSKITDTELDAADSEGRSDSVTTGGQRKRQQTAAQGLQTPGEKRYNLRRPKLTVTAKAALASSDLLKTRQEPDGGVVEGGVSDTENRSSNLVQVTTLKNVEIVEEKVVRFKTSVDVDDNANAAKPVGSVDLSEEVGTAENGNEDQSVSSIDEDEDDSDDEIEHPGEVSIGKKIWTFFTS >EOX99684 pep chromosome:Theobroma_cacao_20110822:2:13746038:13754740:1 gene:TCM_008446 transcript:EOX99684 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box type zinc finger protein with CCT domain, putative isoform 1 MVSPKPESKEMVPCDFCNEQIAVLYCRADSAKLCLFCDQHVHSANLLSRKHLRSQICDNCSTEPVSVRCATDNLVLCQECDWDAHGSCSVSSAHDRNPVEGFSGCPSALELASAWGFDLEEKKPLAKSWNGCHQDLMMPAMESWLYKSSLQEMMVPYECFTCEETVKKQSHGSGKCKQIIFKQLVELMKRDFMAGDVADGGRGAGENLVPYVEAKGSGLARQEFIQPQPQPQTQTPFTSLLMMQTRESERTVDGGDVLWNGNPNNQTPQIWDFNLGRLRGHEECSQLEDVGYGGSDAGFMIKNFGELMKETSLSNAKMLGDMCHINYTPPQDDMASINNSSNLAASQGPATSESNNLPIARPSSVSAFGKPKGSSSSKDVHFIEHPILMRGDQVRQSAPSKADLELLTQNRGNAMQRYKEKKKTRRYDKHIRYESRKARADTRKRVKGRFVKATEAPDH >EOX99685 pep chromosome:Theobroma_cacao_20110822:2:13746038:13754740:1 gene:TCM_008446 transcript:EOX99685 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box type zinc finger protein with CCT domain, putative isoform 1 MVSPKPESKEMVPCDFCNEQIAVLYCRADSAKLCLFCDQHVHSANLLSRKHLRSQICDNCSTEPVSVRCATDNLVLCQECDWDAHGSCSVSSAHDRNPVEGFSGCPSALELASAWGFDLEEKKPLAKSWNGCHQDLMMPAMESWLYKSSLQEMMVPYECFTCEETVKKQSHGSGKCKQIIFKQLVELMKRDFMAGDVADGGRGAGENLVPYVEAKGSGLARQEFIQPQPQPQTQTPFTSLLMMQTRESERTVDGGDVLWNGNPNNQTPQIWDFNLGRLRGHEECSQLEDVGYGGSDAGFMIKNFGELMKETSLSNAKMLGDMCHINYTPPQDDMASINNSSNLAASQGPATSESNNLPIARPSSVSAFGKPKGSSSSKDVHFIEHPILMRGDQVRQSAPSKADLELLTQNRGNAMQRYKEKKKTRRYDKHIRYESRKARADTRKRVKGRFVKATEAPDH >EOX99131 pep chromosome:Theobroma_cacao_20110822:2:8609364:8614668:-1 gene:TCM_007737 transcript:EOX99131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II stability/assembly factor, chloroplast (HCF136) isoform 1 MAMPNLQVSDCSNLKPSLTSLFSPRFLHRSQTQPHPRLICKASLQQPHHSSSSSPTLVNRRQLISQTASLSLSVATLSALQLPAKSEEVLSEWERVYLPIDPGVVLLDIAFVPDDPNHGFLLGTRQTILETKDGGNTWVPRSIPSAEDEDFNYRFNSISFKGKEGWIVGKPAILLYTSDAGESWERIPLSAQLPGDMVYIKATDEKSAEMVTDQGAIYVTSNRGFNWRAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGTGITEDFEEIPVQSRGFGILDVGYRSMEEAWAAGGSGVLLRTTNGGKSWTRDKAADNIAANLYSVKFIDDKKGFVLGNDGVLLRYLG >EOX99132 pep chromosome:Theobroma_cacao_20110822:2:8611355:8614649:-1 gene:TCM_007737 transcript:EOX99132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II stability/assembly factor, chloroplast (HCF136) isoform 1 MAMPNLQVSDCSNLKPSLTSLFSPRFLHRSQTQPHPRLICKASLQQPHHSSSSSPTLVNRRQLISQTASLSLSVATLSALQLPAKSEEVLSEWERVYLPIDPGVVLLDIAFVPDDPNHGFLLGTRQTILETKDGGNTWVPRSIPSAEDEDFNYRFNSISFKGKEGWIVGKPAILLYTSDAGESWERIPLSAQLPGDMVYIKATDEKSAEMVTDQGAIYVTSNRGFNWRAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQSGCQKNTEHGMES >EOX99133 pep chromosome:Theobroma_cacao_20110822:2:8611032:8614649:-1 gene:TCM_007737 transcript:EOX99133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II stability/assembly factor, chloroplast (HCF136) isoform 1 MAMPNLQVSDCSNLKPSLTSLFSPRFLHRSQTQPHPRLICKASLQQPHHSSSSSPTLVNRRQLISQTASLSLSVATLSALQLPAKSEEVLSEWERVYLPIDPGVVLLDIAFVPDDPNHGFLLGTRQTILETKDGGNTWVPRSIPSAEDEDFNYRFNSISFKGKEGWIVGKPAILLYTSDAGESWERIPLSAQLPGDMVYIKATDEKSAEMVTDQGAIYVTSNRGFNWRAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQEEAWAAGGS >EOX97421 pep chromosome:Theobroma_cacao_20110822:2:2221955:2232527:-1 gene:TCM_006436 transcript:EOX97421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mtn21-like protein, putative isoform 1 MGKIGNLIHGLKPPMLMVLSQVMFAGVNVMYKFAANDGMNLRIIIAYRFMFATAVMVPLALILERKSLGEINGMVLFYAFLCGLFGGAVGQNLYLQSLVYTSATFVSAMINLAPAITFILAIFFKLEKLGLGTVAGKAKVLGTLLGIGGAMVFTFYKGVEINIWSTHVNLLHHHQQSTGGPGPSSNDPGRFILGAFLGLLSCISFALWLIIQGIMGSAFMVFLVSWGIRLRGPLYASIFNPLGLVFVAIAGSLILDEKLHMGSILGGILIVCGLYVVLWGKAKEMKQNTRLVPATHESERTQEVDQIENKERAEAVPHNITIQP >EOX97420 pep chromosome:Theobroma_cacao_20110822:2:2229860:2232531:-1 gene:TCM_006436 transcript:EOX97420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mtn21-like protein, putative isoform 1 MGKIGNLIHGLKPPMLMVLSQVMFAGVNVMYKFAANDGMNLRIIIAYRFMFATAVMVPLALILERKSLGEINGMVLFYAFLCGLFGGAVGQNLYLQSLVYTSATFVSAMINLAPAITFILAIFFKLEKLGLGTVAGKAKVLGTLLGIGGAMVFTFYKGVEINIWSTHVNLLHHHQQSTGGPGPSSNDPGRFILGAFLGLLSCISFALWLIIQAKMSVRFPYLNSSTALMCIMGSIQGTIVALCTERDWSQWKLGWNIRLLTVAFAGIMGSAFMVFLVSWGIRLRGPLYASIFNPLGLVFVAIAGSLILDEKLHMGSILGGILIVCGLYVVLWGKAKEMKQNTRLVPATHESERTQEVDQIENKERAEAVPHNITIQP >EOX98518 pep chromosome:Theobroma_cacao_20110822:2:6057783:6060305:1 gene:TCM_007263 transcript:EOX98518 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MKLRLRNYESKETLRIQVPSPCSFLQLQETLSLSLPTPHPSPPSSLRFSLNAKDLLHAPSPHASLQSIGVSSGDLIYFSLNPMVFSPISSNQDLQTATLVEETNEIPESSTNQEASAQELPQLQEPMSTEPQVFQETEKTEGTEYMDIDAFAVSERFSEPYFLRKVLKEELADDGSNHKLLAIAVHAVLLESGFVGFDPVSGLQIDGFHFPDEWPSPVSICYSLPELLINDDNSGSNLTDYAVLKFQTLGHFVQVYGSLVKGGSGLYKLSLDEYRFAPTLDLVWANFDKNDSTNDNNNNNSYPENEVFEFWKIVKDGLALPLLIDLSYRTGLSLPACLMRLPTELKLRILESLPGADIARMGCVCSEMQYLASNNDLWKQKFREEFGDCPGTMEIGNWKEMFNSCWESRKKPKRAIPRWRGFRRVVRPFYFPIRRDPNPFLAPVMIGGDYDRLPGLVLAPPVYVPPGLRLPRFQGRRNFGLHCNLGEGQNNS >EOX99078 pep chromosome:Theobroma_cacao_20110822:2:8382134:8393288:1 gene:TCM_007693 transcript:EOX99078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYGNSIGSCADVTCEMIYGTGKIPLIGCGGISSGEDACNKIRAGVTLVQLYTAFAYGGPALIPQMKAELAECLERDGFKSVHEAVGADCIYDLPNVKLMITYGGHWVNDTYKGGETRVRGVGSDLSFSGLVKLVKEVVGVNSHNNEIELHTSFSHASEIEDDVEENDTADRNDELCYDCEDDFVCKHKDRSEDDRVEQTYIPDCNHADGGTGHTTTVVLEEVELDDHGRTVELEDVEGTNPIYDNTIALENDIRSPDDSDQERVNTRGSRQWIILGLDMISFQTVGSEEFKSMDDYLYREKVFLSKAELKRTLSMLALKEHFEFRVKKSCHVHFEKVHTCTVDGLHCRYRTASARVIGELISSRVQGNCVTSLRRKEIIEEMNRKWGLQCLYGKAWQAKEFKREDVVAIFTMAANCYRVTDFDRHMNQLKQLCKLAYESLMRLGPEMVSANVQPLNYARTITRLDLGRRDMRFPFARFSILVSGTSPMTFNKLSFCHQVGKVKREDLRGKGIHQLGKAADNVDVHNARAMVIIDKIIRLRLHLNRQTGKHHLLSRRLDDVDPRHVQFTDNSSTSEIAVQCGLQTLITKLLKTEYRHGQYRPPPSYDPSRIWAQVTGRREPYTTESYSVHHLNSALDRLEETTLPYENIISALVKKKLIWNNKYLADWTSHRPHNLSFPWLVKRGYDLSLDEPLNSVEGNPVHPAYDTSFKQLSRVLVSNDVMYNMLMRIDEKLSNQTARIHALELRIQNVENLLIQRIDTVVQAVEVR >EOY02076 pep chromosome:Theobroma_cacao_20110822:2:41868901:41874252:-1 gene:TCM_011813 transcript:EOY02076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-xylosidase 1 MSSPSSLLSLSSLYVLLVLISCFSGGYSSSSSTPTKIGKGYRLIAIEETPDGGFLGHLQVKQKNKIYGPDIPLLQLYVKHETQDRLRVYITDAEKQRWEVPYNLLPREQPPSSKQTIGRSRKNPITVSELSGSELIFCYTADPFSFAVKRKSNGQTLFNSSSGGSASFGELVFKDQYLEISTQLPKDASLYGLGENTQPHGIKLYRNDPYTLYTTDVSAINLNTDLYGSHPVVMDLRNVGGEPFAHAVLLLNSNGMDVFYTGTSLTYKVIGGVFDFYFFAGPTPLGVVDQYTSFIGRPAPMPYWSLGFHQCRWGYHNLSVVEDVVENYKKAKIPLDVIWNDDDHMDGHKDFTLNPNNYPRPKLLAFLEKIHNIGMKYIVIIDPGIAVNSSYGVYQRGITNDVFIKYEGEPYLAQVWPGAVNFPDFLNPKTVAWWGDEIRRFHELVPVDGLWIDMNEASNFCSGKCEIPKGKQCPSGTGPGWICCLDCKNITNTRWDDPPYKINASGLQVPIGFKTIATSAVHYNGVLEYDAHSLYGFSQSIATHKALQGLEGKRPFILSRSTYVGSGKYAAHWTGDNKGTWEDLKYSISTMLNFGIFGVPMVGSDICGFYPAPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWDTVAKSARNALGMRYKLLPYLYTLTYEAHISGAPIARPLFFSFPTYKECYGLSTQFLLGNSLMVSPVLEQGKTEVKALFPPGSWYGMFDMTQTIVSKGQYFTLDAPLNEVNVHLYQNTILPMQQGGMISKEARMTPFSLIVTFPAGATEGQAKGTIYLDNDELPEMKLGNGYSTYVELYATMNQGTVKVWSEVQEGKFALEKGWKIEKITVLGLGGSVNTSALEINGSPVAPGTSNVELSSLEQKHLQDLEEDKKTTLKVEVAGLDLPVGKNFAITWKMGA >EOY00351 pep chromosome:Theobroma_cacao_20110822:2:31518736:31524498:-1 gene:TCM_010200 transcript:EOY00351 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 1 MIICRSASMLHFYSLSTTPKLLSHFRQCSFLSTSSPSSLSSSPPIRLIWLNQSSRCRTRAFSSAAVAVAVPKKSGTDTFFAEESVSWKSLGLSHRISQALSNAGFDRPSLVQGWPVNKPDIIVSTPAALLNNIDPKEIHRSDFIRGIKYVVFDEADMLLSGGFHNHVIRLMHMLRFDEKLLSRMNKSGSENPAELNSDSLSRFDFEGEKDIQNESFSEAEEISEDDVDAEDLKDETKTWPVKKKDWRRVRKNYERSKQYIFVAATLPVNGKKTAGAVLKKMFPDANWVSGNYLHYHNPRLKEKWIEVTTDTQVDALIEAIKQFNSKALDHGTGVSRTMVFGNTVEAVQAVANILQRAGIECYCYHKDLSLEERAETLDDFREKGGIFVCTDAAARGVDIPNVSHVIQADFATSAVDFLHRVGRTARAGQFGVVTNLYTESNRDLVNAIRTAGKLGQPVEAAFSRKRSFRNKLKKRGSNNARDGSTCELMV >EOY00347 pep chromosome:Theobroma_cacao_20110822:2:31518799:31524638:-1 gene:TCM_010200 transcript:EOY00347 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 1 MIICRSASMLHFYSLSTTPKLLSHFRQCSFLSTSSPSSLSSSPPIRLIWLNQSSRCRTRAFSSAAVAVAVPKKSGTDTFFAEESVSWKSLGLSHRISQALSNAGFDRPSLVQAACVPSILSGKDVVIAAETGSGKTHGYLVPLIDKLCSARHNGNNDSKAASASSHSFSIVLCPNVLLCEQVVRMANGLSGDNGEPLLRVAAVCGRQGWPVNKPDIIVSTPAALLNNIDPKEIHRSDFIRGIKYVVFDEADMLLSGGFHNHVIRLMHMLRFDEKLLSRMNKSGSENPAELNSDSLSRFDFEGEKDIQNESFSEAEEISEDDVDAEDLKDETKTWPVKKKDWRRVRKNYERSKQYIFVAATLPVNGKKTAGAVLKKMFPDANWVSGNYLHYHNPRLKEKWIEVTTDTQVDALIEAIKQFNSKALDHGTGVSRTMVFGNTVEAVQAVANILQRAGIECYCYHKDLSLEERAETLDDFREKGGIFVCTDAAARGVDIPNVSHVIQADFATSAVDFLHRVGRTARAGQFGVVTNLYTESNRDLVNAIRTAGKLGQPVEAAFSRKRSFRNKLKKRGSNNARDGSTCELMV >EOY00348 pep chromosome:Theobroma_cacao_20110822:2:31518274:31524500:-1 gene:TCM_010200 transcript:EOY00348 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 1 MIICRSASMLHFYSLSTTPKLLSHFRQCSFLSTSSPSSLSSSPPIRLIWLNQSSRCRTRAFSSAAVAVAVPKKSGTDTFFAEESVSWKSLGLSHRISQALSNAGFDRPSLVQAACVPSILSGKDVVIAAETGSGKTHGYLVPLIDKLCSARHNGNNDSKAASASSHSFSIVLCPNVLLCEQVVRMANGLSGDNGEPLLRVAAVCGRQGWPVNKPDIIVSTPAALLNNIDPKEIHRSDFIRGIKYVVFDEADMLLSGGFHNHVIRLMHMLRFDEKLLSRMNKSGSENPAELNSDSLSRFDFEGEKDIQNESFSEAEEISEDDVDAEDLKDETKTWPVKKKDWRRVRKNYERSKQYIFVAATLPVNGKKTAGAVLKKMFPDANWVSGNYLHYHNPRLKEKWIEVTTDTQVDALIEAIKQFNSKALDHGTGVSRTMVFGNTVEAVQAVANILQRAGIECYCYHKDLSLEERAETLDDFREKGGIFVCTDAAARGVDIPNVSHVIQADFATSAVDFLHRVGRTARAGQFGVVTNLYTESNRDLVNAIRTAGKLGQPVEAAFSRKRSFRNKLKKRGSNNARDGSTCELMV >EOY00349 pep chromosome:Theobroma_cacao_20110822:2:31519395:31524432:-1 gene:TCM_010200 transcript:EOY00349 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 1 PPEKKTLSLLTEAMIICRSASMLHFYSLSTTPKLLSHFRQCSFLSTSSPSSLSSSPPIRLIWLNQSSRCRTRAFSSAAVAVAVPKKSGTDTFFAEESVSWKSLGLSHRISQALSNAGFDRPSLVQAACVPSILSGKDVVIAAETGSGKTHGYLVPLIDKLCSARHNGNNDSKAASASSHSFSIVLCPNVLLCEQVVRMANGLSGDNGEPLLRVAAVCGRQGWPVNKPDIIVSTPAALLNNIDPKEIHRSDFIRGIKYVVFDEADMLLSGGFHNHVIRLMHMLRFDEKLLSRMNKSGSENPAELNSDSLSRFDFEGEKDIQNESFSEAEEISEDDVDAEDLKDETKTWPVKKKDWRRVRKNYERSKQYIFVAATLPVNGKKTAGAVLKKMFPDANWVSGNYLHYHNPRLKEKWIEVTTDTQVDALIEAIKQFNSKALDHGTGVSRTMVFGNTVEAVQAVANILQRAGIECYCYHKDLSLEERAETLDDFREKGGIFVCTDAAARGVDIPNVSHVIQADFATSAVDFLHRVGRTARAGQFGVVTNLYTESNRDLVNAIRTAGKLGQPVEAAFSRKRSFRNKLKKRVLTGSNNARDG >EOY00350 pep chromosome:Theobroma_cacao_20110822:2:31519539:31524393:-1 gene:TCM_010200 transcript:EOY00350 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 1 MIICRSASMLHFYSLSTTPKLLSHFRQCSFLSTSSPSSLSSSPPIRLIWLNQSSRCRTRAFSSAAVAVAVPKKSGTDTFFAEESVSWKSLGLSHRISQALSNAGFDRPSLVQAACVPSILSGKDVVIAAETGSGKTHGYLVPLIDKLCSARHNGNNDSKAASASSHSFSIVLCPNVLLCEQVVRMANGLSGDNGEPLLRVAAVCGRQGWPVNKPDIIVSTPAALLNNIDPKEIHRSDFIRGIKYVVFDEADMLLSGGFHNHVIRLMHMLRFDEKLLSRMNKSGSENPAELNSDSLSRFDFEGEKDIQNESFSEAEEISEDDVDAEDLKDETKTWPVKKKDWRRVRKNYERSKQYIFVAATLPVNGKKTAGAVLKKMFPDANWVSGNYLHYHNPRLKEKWIEVTTDTQVDALIEAIKQFNSKALDHGTGVSRTMVFGNTVEAVQAVANILQRAGIECYCYHKDLSLEERAETLDDFREKGGIFVCTDAAARGVDIPNVSHVIQADFATSAVDFLHRVGRTARAGQFGVVTNLYTESNRDLVNAIRTAGKLGQPVEAAFSRKRSFRNKLKKRGRVIV >EOX99412 pep chromosome:Theobroma_cacao_20110822:2:11053958:11054945:1 gene:TCM_008093 transcript:EOX99412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MGFMEHNQMPKAVEMLKKAMSVGRRGWRPRSMVFAACLDYLEEQGDGRGMEEMICLLKNSGPLTRDMYHRLLRCRIQTVSEIVDQMKVEGFVADKETHDILESITSLWPHW >EOY00381 pep chromosome:Theobroma_cacao_20110822:2:31934635:31936864:1 gene:TCM_010249 transcript:EOY00381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA processing ribonucleoprotein binding region-containing protein isoform 3 MEEDVDGDLADIEALNYDDLDSVSKLQKTQRYIDIMQKVEDALEKGSDISNQGMVLEDDPEYQLIVDCNSLSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEDVLQKTIDACDRALALDMAKKKVLDFVESRMGYIAPNLSTIVGSAVAAKLMGTAGGLSALAKMPACNVQLLGAKKKTLAGFSTATSQFRVGYIEQTEIFQSTPPALRSRACRLLASKATLAARIDSTRGDPSGNAGRTLKDEIHKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSVGQSKLAAKVAKKFKEKHGGSSGATSGLTSSLAFTPVQ >EOY00382 pep chromosome:Theobroma_cacao_20110822:2:31934636:31938227:1 gene:TCM_010249 transcript:EOY00382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA processing ribonucleoprotein binding region-containing protein isoform 3 MEEDVDGDLADIEALNYDDLDSVSKLQKTQRYIDIMQKVEDALEKGSDISNQGMVLEDDPEYQLIVDCNSLSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEDVLQKTIDACDRALALDMAKKKVLDFVESRMGYIAPNLSTIVGSAVAAKLMGTAGGLSALAKMPACNVQLLGAKKKTLAGFSTATSQFRVGYIEQTEIFQSTPPALRSRACRLLASKATLAARIDSTRGDPSGNAGRTLKDEIHKKIEKWQEPSVKAMECLVRLGVENCVYQLVRANLRQKLLRSSRKSMAEAVVLPLD >EOY00380 pep chromosome:Theobroma_cacao_20110822:2:31934207:31938278:1 gene:TCM_010249 transcript:EOY00380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA processing ribonucleoprotein binding region-containing protein isoform 3 MATLADSFLADLDELSDNEADVLEEENDDVTNMEEDVDGDLADIEALNYDDLDSVSKLQKTQRYIDIMQKVEDALEKGSDISNQGMVLEDDPEYQLIVDCNSLSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEDVLQKTIDACDRALALDMAKKKVLDFVESRMGYIAPNLSTIVGSAVAAKLMGTAGGLSALAKMPACNVQLLGAKKKTLAGFSTATSQFRVGYIEQTEIFQSTPPALRSRACRLLASKATLAARIDSTRGDPSGNAGRTLKDEIHKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSVGQSKLAAKVAKKFKEKHGGSSGATSGLTSSLAFTPVQGIELTNPQAHAHQLGSGTQSTYFSETGTFSKIKRT >EOY00444 pep chromosome:Theobroma_cacao_20110822:2:32423063:32429782:-1 gene:TCM_010310 transcript:EOY00444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor PWI domain-containing protein / RNA recognition motif-containing protein isoform 1 MPPPGLLRYPSPYPAMIRPAFPPRPPGAIGVIPAVSRPPVPGVPGVRPIIPPVVRPAAVPNVSPAEKPQTTVYVGKIAPTVDNDFMLALLHLCGPVKSWKRAQIPTNGTPKGFGFCEFESAEGVLRAFRLLSKFNIDGQELSFNVNKATRDYLVRYVEKKTENAKKVNEAQAAGAEKEGENAVGDEKNEISTPSVEDSKNKENIDIANSGIVTDEDREADRIALEKLASMIEERLKTNPLPPPPPQSAPDGSGKSNSDLPAESRDGDSDVDVMRNDGAEGKNDDETTSESKAATENDRPGTSSPDRRYDRRSRDREQDLKREKEREIERLERETERERIRKEREQRRKIEEAEREYEKLLRDWEQREKDKEKQRQYEKEREKERERKRKKEIRYGEEDDDDDDSRKRWRRSALEEKRRKRLREKEDDLADRLREEKEIAEAKKREEEEQLQLQQQRDALRFLSGRVANGGEKTVLAEETCTESKGKAIEQHYDRESSHENQISDTRQSGNAPVRKLGFGLVGSGKRTTVPSVFHEEEDDDAQKEKKMRPLVPIDYSTEELQAVQPGAPPPNLIAAAEFAKQISNVNPKEEKHDAERDRSRRSYDKSSRDKDRNDEDNDRIRDSKEKIPDRDRDREHGLDKVKTTDNQKLLDAKQLIDMIPKTKEELFSFEINWNVYDQHALHERMRPWISKKITEFLGEEEKTLVDYIVSSTQEHVKASQMLELLQSILDEEAEMFVLKMWRMLIFEIKKVETGLALRSRA >EOY00443 pep chromosome:Theobroma_cacao_20110822:2:32423760:32428489:-1 gene:TCM_010310 transcript:EOY00443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor PWI domain-containing protein / RNA recognition motif-containing protein isoform 1 MIRPAFPPRPPGAIGVIPAVSRPPVPGVPGVRPIIPPVVRPAAVPNVSPAEKPQTTVYVGKIAPTVDNDFMLALLHLCGPVKSWKRAQIPTNGTPKGFGFCEFESAEGVLRAFRLLSKFNIDGQELSFNVNKATRDYLVRYVEKKTENAKKVNEAQAAGAEKEGENAVGDEKNEISTPSVEDSKNKENIDIANSGIVTDEDREADRIALEKLASMIEERLKTNPLPPPPPQSAPDGSGKSNSDLPAESRDGDSDVDVMRNDGAEGKNDDETTSESKAATENDRPGTSSPDRRYDRRSRDREQDLKREKEREIERLERETERERIRKEREQRRKIEEAEREYEKLLRDWEQREKDKEKQRQYEKEREKERERKRKKEIRYGEEDDDDDDSRKRWRRSALEEKRRKRLREKEDDLADRLREEKEIAEAKKREEEEQLQLQQQRDALRFLSGRVANGGEKTVLAEETCTESKGKAIEQHYDRESSHENQISGDGNMQNGSVDESNMAFVAASDTRQSGNAPVRKLGFGLVGSGKRTTVPSVFHEEEDDDAQKEKKMRPLVPIDYSTEELQAVQPGAPPPNLIAAAEFAKQISNVNPKEEKHDAERDRSRRSYDKSSRDKDRNDEDNDRIRDSKEKIPDRDRDREHGLDKVKTTDNQKLLDAKQLIDMIPKTKEELFSFEINWNVYDQHALHERMRPWISKKITEFLGEEEKTLVDYIVSSTQEHVKASQMLELLQSILDEEAEMFVLKMWRMLIFEIKKVETGLALRSRA >EOY00442 pep chromosome:Theobroma_cacao_20110822:2:32422862:32433964:-1 gene:TCM_010310 transcript:EOY00442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor PWI domain-containing protein / RNA recognition motif-containing protein isoform 1 MADAPSSPATLDPTISQSKLDPENPNPQPAQPDHPPASTASTPLQPNPNPNPNPSAPLVSPHPPPVMPSYSTPPPPISGAATAVPPAPPSFRPVPQFSPLPNFQAPGVQPPGVSSVPGSVPPPLMQYQVPSGQVPNPAIRPFAPIPNGYAAVPGAVPQGTMPPPGLLRYPSPYPAMIRPAFPPRPPGAIGVIPAVSRPPVPGVPGVRPIIPPVVRPAAVPNVSPAEKPQTTVYVGKIAPTVDNDFMLALLHLCGPVKSWKRAQIPTNGTPKGFGFCEFESAEGVLRAFRLLSKFNIDGQELSFNVNKATRDYLVRYVEKKTENAKKVNEAQAAGAEKEGENAVGDEKNEISTPSVEDSKNKENIDIANSGIVTDEDREADRIALEKLASMIEERLKTNPLPPPPPQSAPDGSGKSNSDLPAESRDGDSDVDVMRNDGAEGKNDDETTSESKAATENDRPGTSSPDRRYDRRSRDREQDLKREKEREIERLERETERERIRKEREQRRKIEEAEREYEKLLRDWEQREKDKEKQRQYEKEREKERERKRKKEIRYGEEDDDDDDSRKRWRRSALEEKRRKRLREKEDDLADRLREEKEIAEAKKREEEEQLQLQQQRDALRFLSGRVANGGEKTVLAEETCTESKGKAIEQHYDRESSHENQISGDGNMQNGSVDESNMAFVAASDTRQSGNAPVRKLGFGLVGSGKRTTVPSVFHEEEDDDAQKEKKMRPLVPIDYSTEELQAVQPGAPPPNLIAAAEFAKQISNVNPKEEKHDAERDRSRRSYDKSSRDKDRNDEDNDRIRDSKEKIPDRDRDREHGLDKVKTTDNQKLLDAKQLIDMIPKTKEELFSFEINWNVYDQHALHERMRPWISKKITEFLGEEEKTLVDYIVSSTQEHVKASQMLELLQSILDEEAEMFVLKMWRMLIFEIKKVETGLALRSRA >EOX99934 pep chromosome:Theobroma_cacao_20110822:2:18496586:18497582:1 gene:TCM_008956 transcript:EOX99934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFYFSLFSGNINIHTWGMKTVLIFLNVGAITFTLNCLFVCFSFLYLFKSIPFIFLNLVSRVSKLSSRVLFHILLMPYTYNG >EOX98092 pep chromosome:Theobroma_cacao_20110822:2:4532482:4542600:-1 gene:TCM_006933 transcript:EOX98092 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase isoform 1 MNDKLPKINWLSHVDAHDNFSCQKKFLSSNFLCSLETQKPCTEEEIRARLRVCQIQNSQKVQHPQVEKAWQILSSLPTSCRTYLRPGTTAPVKNSNDEISHNWRGRSTLTNSSDMKWSKHMHVSRNVNETDGKINEVGRCMASSFPSSNANAVEDGNHLQRHSEIKASMSNRSNSKVLGGSLWNHIVHASQLEQSAEVLADEIDDDDLLKDIDVDQIVSKHYQSTCTPQPSVSKFPPIAQMDKRAFAGEEEACLPTELCSNCSHGCKLGLCPEAASHVQEMKDKLIAVSNELLDNASNLSPEQIEKLREDRLQLNKQIQQLERYICDMERQKSHFSASTATRTFLYGTPQTASFSIDPIRFDAQVHLCNEPNGYENWNSSSVSFSSVNNFGVSSGPMEREPYVPKIIEVNYIEGSNDQKWSSRDFPWTKKLEANNKKVFGNHSFRPNQREVINATMSGCDVFVLMPTGGGKSLTYQLPALICPGITLVISPLVSLIQDQIMHLLQANIPAAYLSANMEWTEQQEILRELTSDYCKYKLLYVTPEKVAKSDVLLRHLDSLNARDLIARIVIDEAHCVSQWGHDFRPDYQGLGILKQKFPKTPVLALTATATASVKEDVVQALGLNNCIIFRQSFNRPNLWYSVIPKTKKCVDDIDKFIKENHFDECGIIYCLSRMDCEKVAEKLQECGHKAAFYHGNMDPAQRAAIQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCLLYYSYSDYIRVKHMISQGAAEQSPFVSGYSRFNNSGRILETNTENLLRMVSYCENDVDCRRLLQLLHFGEKFDSGNCKKTCDNCCRVKGFVDKDVTNIAKQLVELVKLTGQQFSSSHILEVYRGSLSQFVKKHRHETLSLHGAGKHVAKGEASRILRHLVIEEFLVEDVKKSDIYGSVSSVLKVNESKVQNLCVGGQTIILRFPSTVKATKLSKSEVTPAKGSLTSGKLSPPRVDTPAQSQSKVDLNLSAKLYSALRMLRTVLVKEAGDGVMAYHIFG >EOX98091 pep chromosome:Theobroma_cacao_20110822:2:4532360:4543929:-1 gene:TCM_006933 transcript:EOX98091 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase isoform 1 MSLFVLKLARTVYSRLLHGEVLEQWNFYQQFRIYVSQWPRKNIRVKAIQVKVTKMNDKLPKINWLSHVDAHDNFSCQKKFLSSNFLCSLETQKPCTEEEIRARLRVCQIQNSQKVQHPQVEKAWQILSSLPTSCRTYLRPGTTAPVKNSNDEISHNWRGRSTLTNSSDMKWSKHMHVSRNVNETDGKINEVGRCMASSFPSSNANAVEDGNHLQRHSEIKASMSNRSNSKVLGGSLWNHIVHASQLEQSAEVLADEIDDDDLLKDIDVDQIVSKHYQSTCTPQPSVSKFPPIAQMDKRAFAGEEEACLPTELCSNCSHGCKLGLCPEAASHVQEMKDKLIAVSNELLDNASNLSPEQIEKLREDRLQLNKQIQQLERYICDMERQKSHFSASTATRTFLYGTPQTASFSIDPIRFDAQVHLCNEPNGYENWNSSSVSFSSVNNFGVSSGPMEREPYVPKIIEVNYIEGSNDQKWSSRDFPWTKKLEANNKKVFGNHSFRPNQREVINATMSGCDVFVLMPTGGGKSLTYQLPALICPGITLVISPLVSLIQDQIMHLLQANIPAAYLSANMEWTEQQEILRELTSDYCKYKLLYVTPEKVAKSDVLLRHLDSLNARDLIARIVIDEAHCVSQWGHDFRPDYQGLGILKQKFPKTPVLALTATATASVKEDVVQALGLNNCIIFRQSFNRPNLWYSVIPKTKKCVDDIDKFIKENHFDECGIIYCLSRMDCEKVAEKLQECGHKAAFYHGNMDPAQRAAIQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCLLYYSYSDYIRVKHMISQGAAEQSPFVSGYSRFNNSGRILETNTENLLRMVSYCENDVDCRRLLQLLHFGEKFDSGNCKKTCDNCCRVKGFVDKDVTNIAKQLVELVKLTGQQFSSSHILEVYRGSLSQFVKKHRHETLSLHGAGKHVAKGEASRILRHLVIEEFLVEDVKKSDIYGSVSSVLKVNESKVQNLCVGGQTIILRFPSTVKATKLSKSEVTPAKGSLTSGKLSPPRVDTPAQSQSKVDLNLSAKLYSALRMLRTVLVKEAGDGVMAYHIFGNATLQHISKRVPRTEEELLEINGIGKAKISKYGDRILETIEVTIKEHYKTDRNSSSSNDSNDSTKRRRDANGAPKANVDDDDFTRSTSRSKKRTVKMQNNDGGAHSSKDPDYNNQCTGNDLDFDDYDDYGVESKCPEMKVHVDGTGRVLPSWST >EOX99779 pep chromosome:Theobroma_cacao_20110822:2:16234636:16241018:1 gene:TCM_008703 transcript:EOX99779 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein isoform 1 MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMIKTLERYQKCNYGAPETNVSTREALELSSQQEYLKLKARYEALQRSQRNLLGEDLGPLSSKELESLERQLDSSLKQIRSTRTQYMLDQLTDLQRKEHLLNEANKTLKQRAGALQLVEGYQVNSLQLNPNAEDVGYGRQPAQPQGDGFFHPLECEPTLQIGENNNLPYHCDRYQPDPISVVNAGPSVNNYMTGWLP >EOX99780 pep chromosome:Theobroma_cacao_20110822:2:16234636:16241231:1 gene:TCM_008703 transcript:EOX99780 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein isoform 1 MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMIKTLERYQKCNYGAPETNVSTREALELSSQQEYLKLKARYEALQRSQRNLLGEDLGPLSSKELESLERQLDSSLKQIRSTRTQYMLDQLTDLQRKEHLLNEANKTLKQRAGALQLVEGYQVNSLQLNPNAEDVGYGRQPAQPQGDGFFHPLECEPTLQIGYQPDPISVVNAGPSVNNYMTGWLP >EOX99778 pep chromosome:Theobroma_cacao_20110822:2:16234523:16240967:1 gene:TCM_008703 transcript:EOX99778 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein isoform 1 MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMIKTLERYQKCNYGAPETNVSTREALELSSQQEYLKLKARYEALQRSQRNLLGEDLGPLSSKELESLERQLDSSLKQIRSTRTQYMLDQLTDLQRKEHLLNEANKTLKQRLVEGYQVNSLQLNPNAEDVGYGRQPAQPQGDGFFHPLECEPTLQIGYQPDPISVVNAGPSVNNYMTGWLP >EOX99136 pep chromosome:Theobroma_cacao_20110822:2:8641884:8647319:1 gene:TCM_007739 transcript:EOX99136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase 9C1 isoform 2 MNNLNLVVCLTLVGLVGFQAAIATADQFNYGEALDKTFMFFEAQRSGKLPVDQRVKWRGDSGLKDGSHQGVDLVGGYYDAGDHVKFGLPMAFSVTMLAWGTIEYRREITNLNQMRHTLWAIRWGTDYFIKAHTQPNVLWGQVGDGDSDHYCWERAEDMTTPRTAYKLDQDHPGSDLAAETAAALAAAAIAFKPYNSSYSALLLVHAKQLFSFADRFRGLYDDSIQCAKQFYTSSGYSDELLWAATWLFRATGEGNYLKYVVDNAVYMGGTGWAVKEFSWDNKYAGVQILLSKVLMEQKGGAYTSTLKQYQAKADYFACACLQKNDGYNVHLTPGGLMYVREWNNLQYASAAAFLLAVYSDYLSAAKAKLTCPDGQVQPNEVLNFAKSQADYILGKNPKSMSYLVGYGPKYPIHVHHRGASIASITVLPQTVSCVQGFEAWYRRPEADPNVINGALVGGPDQNDNFSDDRSNYEQTEPTLSGSAPLVGLFSKLENLFGNKGPYHKGSATTDQPKQNYHLQQTPVPSTTSSGGVPVEFLHSVTSSWNVGKATYYRHRVIIKNTSGKPITDLKLLVEDLSGTLWGLSPTPVKNTYKLPHWLRVLKPGSECSFVYVQGGPQAKVSVLGYH >EOX99137 pep chromosome:Theobroma_cacao_20110822:2:8643240:8647192:1 gene:TCM_007739 transcript:EOX99137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase 9C1 isoform 2 MNNLNLVVCLTLVGLVGFQAAIATADQFNYGEALDKTFMFFEAQRSGKLPVDQRVKWRGDSGLKDGSHQGVDLVGGYYDAGDHVKFGLPMAFSVTMLAWGTIEYRREITNLNQMRHTLWAIRWGTDYFIKAHTQPNVLWGQVGDGDSDHYCWERAEDMTTPRTAYKLDQDHPGSDLAAETAAALAAAAIAFKPYNSSYSALLLVHAKQLFSFADRFRGLYDDSIQCAKQFYTSSGYSDELLWAATWLFRATGEGNYLKYVVDNAVYMGGTGWAVKEFSWDNKYAGVQILLSKVLMEQKGGAYTSTLKQYQAKADYFACACLQKNDGYNVHLTPGGLMYVREWNNLQYASAAAFLLAVYSDYLSAAKAKLTCPDGQVQPNEVLNFAKSQADYILGKNPKSMSYLVGYGPKYPIHVHHRGASIASITVLPQTVSCVQGFEAWYRRPEADPNVINGALVGGPDQNDNFSDDRSNYEQTEPTLSGSAPLVGLFSKLENLFGNKGPYHKGSATTDQPKQNYHLQQTPVPSTTSSGGGVPVEFLHSVTSSWNVGKATYYRHRVIIKNTSGKPITDLKLLVEDLSGTLWGLSPTPVKNTYKLPHWLRVLKPGSECSFVYVQGGPQAKVSVLGYH >EOY02037 pep chromosome:Theobroma_cacao_20110822:2:41752934:41755351:-1 gene:TCM_011791 transcript:EOY02037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase protein isoform 1 MNRELPGPQKWNSDPNFLSNLSAEMAQAQEASESSALSSCDALSSCDAYFEKIKSRKKLPQPLQETLTAAFAKIPVSSFPQVPGGKVIEIEAEATIADAVKILSECNILSAPVRNPDAATSLDWRERYLGIIDYSAIILWVLESAELAAVALSASTATAAGLGAGALGALGAIAMGVTGPAAVAGLTVAAVGAAVAGGVAADQGVGKDAPSAADNLGEDFYKVILQEEPFKSTTVRSIVKSYRWAPFVPVATDSSMLSVLLLLSKYKLRNVPVIEPGKPDIKNYITQSAVVGGLEGCSGRDWFDCISSRPISDLGLPFMSPDEV >EOY02036 pep chromosome:Theobroma_cacao_20110822:2:41752462:41755467:-1 gene:TCM_011791 transcript:EOY02036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase protein isoform 1 MNRELPGPQKWNSDPNFLSNLSAEMAQAQEASESSALSSCDALSSCDAYFEKIKSRKKLPQPLQETLTAAFAKIPVSSFPQVPGGKVIEIEAEATIADAVKILSECNILSAPVRNPDAATSLDWRERYLGIIDYSAIILWVLESAELAAVALSASTATAAGLGAGALGALGAIAMGVTGPAAVAGLTVAAVGAAVAGGVAADQGVGKDAPSAADNLGEDFYKVILQEEPFKSTTVRSIVKSYRWAPFVPVATDSSMLSVLLLLSKYKLRNVPVIEPGKPDIKNYITQSAVVGGLEGCSGRDWFDCISSRPISDLGLPFMSPDEVISIQSDDLVLEAFKRMRDNQVGGLPVVEGPSKKIVGNISIRDIRHLLLKPELFSNFRQLTVQDFMNTVVSMGQEFGRLTPPITCKVDSTLGGVIQSLATKLVHRIYVVAENEYEVIGVITLRDVISCFIFEPPNFFDSYFGFSVKEMLNH >EOX96950 pep chromosome:Theobroma_cacao_20110822:2:660516:669502:1 gene:TCM_006077 transcript:EOX96950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Damaged DNA binding protein 1A isoform 1 MSVWNYVVTAHKPTNVTHSCVGNFTSPQELNLIIAKCTRIEIHLLTPQGLQPMLDVPIYGRIATLELFRPHGEAQDFLFIATERYKFCVLQWDAETSELITRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCSKPTIVVLYQDNKDARHVKTYEVALKEKDFVEGPWSQNNLDNGADLLIPVPPPLCGVLIIGEETIVYCSANAFKAIPIRPSITKAYGRVDADGSRYLLGDHAGLLHLLVITHEKEKVTGLKIELLGETSIASTISYLDNAFVYIGSSYGDSQLIKLNLQPDAKGSYVEVLERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRIVRNGIGINEQASVELQGIKGMWSLRSSTDDPFDTFLVVSFISETRILAMNLEDELEETEIEGFNSQVQTLFCHDAVYNQLVQVTSSSVRLVSSISRELRHEWNAPPGYSVNVATANATQVLLATGGGHLVYLEIGDGTLTQVKHVQLEYEISCLDINPIGENSNYSQLAAVGMWTDISVRIFSLPDLNLITKEQLGGEIIPRSVLLCSFEGISYLLCALGDGHLLNFQLNMSSGELTDRKKVSLGTQPITLRTFSSKNTTHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELTIGTIDDIQKLHIRSIPLGEHARRICHQEQSRTFAICSLKNQPSADESEMHFIRLLDDQTFEFISTYPLDTFEYGCSILSCSFSDDPNVYYCVGTAYVLPEENEPTKGRILVFIVEDGKLQLIAEKETKGAVYSLSAFNGKLLAAINQKIQLYKWMLREDGTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNANWMSAVEILDDDIYLGAENNFNLFTVRKNSEGATDEERGRLEVVGEYHLGEFVNRFCHGSLVMRLPDSDVGQIPTVIFGTVNGVIGVIASLPHEQYAFLEKLQSNLRKVIKGVGGLSHEQWRSFNNEKKTVEAKNFLDGDLIESFLDLSRGKMEEISKAMSVSVEELSKRVEELTRLH >EOX96951 pep chromosome:Theobroma_cacao_20110822:2:660516:669502:1 gene:TCM_006077 transcript:EOX96951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Damaged DNA binding protein 1A isoform 1 MFQPMLDVPIYGRIATLELFRPHGEAQDFLFIATERYKFCVLQWDAETSELITRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCSKPTIVVLYQDNKDARHVKTYEVALKEKDFVEGPWSQNNLDNGADLLIPVPPPLCGVLIIGEETIVYCSANAFKAIPIRPSITKAYGRVDADGSRYLLGDHAGLLHLLVITHEKEKVTGLKIELLGETSIASTISYLDNAFVYIGSSYGDSQLIKLNLQPDAKGSYVEVLERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRIVRNGIGINEQASVELQGIKGMWSLRSSTDDPFDTFLVVSFISETRILAMNLEDELEETEIEGFNSQVQTLFCHDAVYNQLVQVTSSSVRLVSSISRELRHEWNAPPGYSVNVATANATQVLLATGGGHLVYLEIGDGTLTQVKHVQLEYEISCLDINPIGENSNYSQLAAVGMWTDISVRIFSLPDLNLITKEQLGGEIIPRSVLLCSFEGISYLLCALGDGHLLNFQLNMSSGELTDRKKVSLGTQPITLRTFSSKNTTHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELTIGTIDDIQKLHIRSIPLGEHARRICHQEQSRTFAICSLKNQPSADESEMHFIRLLDDQTFEFISTYPLDTFEYGCSILSCSFSDDPNVYYCVGTAYVLPEENEPTKGRILVFIVEDGKLQLIAEKETKGAVYSLSAFNGKLLAAINQKIQLYKWMLREDGTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNANWMSAVEILDDDIYLGAENNFNLFTVRKNSEGATDEERGRLEVVGEYHLGEFVNRFCHGSLVMRLPDSDVGQIPTVIFGTVNGVIGVIASLPHEQYAFLEKLQSNLRKVIKGVGGLSHEQWRSFNNEKKTVEAKNFLDGDLIESFLDLSRGKMEEISKAMSVSVEELSKRVEELTRLH >EOX96952 pep chromosome:Theobroma_cacao_20110822:2:660516:669502:1 gene:TCM_006077 transcript:EOX96952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Damaged DNA binding protein 1A isoform 1 MGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCSKPTIVVLYQDNKDARHVKTYEVALKEKDFVEGPWSQNNLDNGADLLIPVPPPLCGVLIIGEETIVYCSANAFKAIPIRPSITKAYGRVDADGSRYLLGDHAGLLHLLVITHEKEKVTGLKIELLGETSIASTISYLDNAFVYIGSSYGDSQLIKLNLQPDAKGSYVEVLERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRIVRNGIGINEQASVELQGIKGMWSLRSSTDDPFDTFLVVSFISETRILAMNLEDELEETEIEGFNSQVQTLFCHDAVYNQLVQVTSSSVRLVSSISRELRHEWNAPPGYSVNVATANATQVLLATGGGHLVYLEIGDGTLTQVKHVQLEYEISCLDINPIGENSNYSQLAAVGMWTDISVRIFSLPDLNLITKEQLGGEIIPRSVLLCSFEGISYLLCALGDGHLLNFQLNMSSGELTDRKKVSLGTQPITLRTFSSKNTTHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELTIGTIDDIQKLHIRSIPLGEHARRICHQEQSRTFAICSLKNQPSADESEMHFIRLLDDQTFEFISTYPLDTFEYGCSILSCSFSDDPNVYYCVGTAYVLPEENEPTKGRILVFIVEDGKLQLIAEKETKGAVYSLSAFNGKLLAAINQKIQLYKWMLREDGTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNANWMSAVEILDDDIYLGAENNFNLFTVRKNSEGATDEERGRLEVVGEYHLGEFVNRFCHGSLVMRLPDSDVGQIPTVIFGTVNGVIGVIASLPHEQYAFLEKLQSNLRKVIKGVGGLSHEQWRSFNNEKKTVEAKNFLDGDLIESFLDLSRGKMEEISKAMSVSVEELSKRVEELTRLH >EOX99594 pep chromosome:Theobroma_cacao_20110822:2:12556791:12559860:-1 gene:TCM_008311 transcript:EOX99594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MAERIAYFGLSVNMVAFMFYVMHRPFSSSSNAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTIYLAGLTGITLCATMKMFVPNQDQCDQLSLVLGSCEPAKPWQMLYLYTVLYITAFGAAGIRPCVSSFGADQFDERSKDYKTLLDRFFNFFYLSVTTGAIVAFTLVVYIQIERGWGAAFGSLAIAMGISNMLFFAGTPLYRHRLPGGSPLTRVAQVLVAAFKKRNVPFTRSEFVGLYELPGRHSAIKGSGKIPHTDDFRGLDKAALQLKEDGSNPSPWRLCTVTQVEEVKILIKLIPVPACTIMLSAVLTEFLTLSIQQAYTLNTHIGKLKLPVTCMPVFPGLSIFLILCLYYSVFVPISRRITGHPHGASQLQRVGIGLFISILSVAWAGGFERFRRAYAIRHGYEVSFLTPMPDLSAYWLLIQYCLIGIAEVFSIVGLLEFLYEEAPDAMKSIGSAYAALAGGLGCFVASLINSIIKSVTRNPENRQQSWLSQNINTGKFDYFYWLLTVLCVINFCIFLYSANRYKYRTEQALEVRETNQNIPAEDS >EOX99593 pep chromosome:Theobroma_cacao_20110822:2:12556525:12560144:-1 gene:TCM_008311 transcript:EOX99593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MGTAEIKSPEDLRETPAILDGISESVHRKKLGVFFIESDNRRTAFGRGYTGGTTPVNIHWKPIADLSKTGGWIAAFFIFGNEMAERIAYFGLSVNMVAFMFYVMHRPFSSSSNAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTIYLAGLTGITLCATMKMFVPNQDQCDQLSLVLGSCEPAKPWQMLYLYTVLYITAFGAAGIRPCVSSFGADQFDERSKDYKTLLDRFFNFFYLSVTTGAIVAFTLVVYIQIERGWGAAFGSLAIAMGISNMLFFAGTPLYRHRLPGGSPLTRVAQVLVAAFKKRNVPFTRSEFVGLYELPGRHSAIKGSGKIPHTDDFRGLDKAALQLKEDGSNPSPWRLCTVTQVEEVKILIKLIPVPACTIMLSAVLTEFLTLSIQQAYTLNTHIGKLKLPVTCMPVFPGLSIFLILCLYYSVFVPISRRITGHPHGASQLQRVGIGLFISILSVAWAGGFERFRRAYAIRHGYEVSFLTPMPDLSAYWLLIQYCLIGIAEVFSIVGLLEFLYEEAPDAMKSIGSAYAALAGGLGCFVASLINSIIKSVTRNPENRQQSWLSQNINTGKFDYFYWLLTVLCVINFCIFLYSANRYKYRTEQALEVRETNQNIPAEDS >EOX98747 pep chromosome:Theobroma_cacao_20110822:2:6981966:6986110:1 gene:TCM_007438 transcript:EOX98747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 3 MGWRGILGFEYGIVQAPLGPDIAGPELVAAVANAGGLGLLRAPDWESPEYLKELIRKTRMLTDKPFGVGVVLAFPHKENIKAILEEKVAVLQLYWGECSKELVLEAHNAGVKVVPQVGSVEEAKKALNVGVDAIIVQGREAGGHVIGQEGLISLLPQVVDLVGDRDIPVIAAGSIVDARGYVAALTLGAKGICMGTRFLATHESYAHPTYKRKLIEHDKTEYTDVFGRARWPGAPHRVLQTPFFCDWKSLPVHENETTQPIIGRSIIHGVEKEIRRFAGTVPNPTTTGDIESMAMYAGQSVGLIKEIVPAGEVVKRLVEGAQLAIQQILSGESV >EOX98748 pep chromosome:Theobroma_cacao_20110822:2:6982343:6985678:1 gene:TCM_007438 transcript:EOX98748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 3 MGWRGILGFEYGIVQAPLGPDIAGPELVAAVANAGGLGLLRAPDWESPEYLKELIRKTRMLTDKPFGVGVVLAFPHKENIKAILEEKVAVLQLYWGECSKELVLEAHNAGVKVVPQVGSVEEAKKALNVGVDAIIVQGREAGGHVIGQEGLISLLPQVVDLVGDRDIPVIAAGSIVDARGYVAALTLGAKGICMGTRFLATHESYAHPTYKRKLIEHDKTEYTDVFGRARWPGAPHRVLQTPFFCDWKSLPVHENETTQPIIGRSIIHGVQRTLTWLLHSKRGIALSISKDRSLE >EOX98749 pep chromosome:Theobroma_cacao_20110822:2:6982123:6986083:1 gene:TCM_007438 transcript:EOX98749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 3 GVVLAFPHKENIKAILEEKVAVLQLYWGECSKELVLEAHNAGVKVVPQVGSVEEAKKALNVGVDAIIVQGREAGGHVIGQEGLISLLPQVVDLVGDRDIPVIAAGSIVDARGYVAALTLGAKGICMGTRARWPGAPHRVLQTPFFCDWKSLPVHENETTQPIIGRSIIHGVEKEIRRFAGTVPNPTTTGDIESMAMYAGQSVGLIKEIVPAGEVVKRLVEGAQLAIQQILSGESV >EOY01683 pep chromosome:Theobroma_cacao_20110822:2:40602973:40607627:1 gene:TCM_011524 transcript:EOY01683 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein MTWFGFVPLIVLIVIGECAGRQLHPLPSKAAGRHVKPLQSICPYNFAHRGSNGEFPEETVAAYKRAIEEGADFIETDILASKDGILICFHDVTLDNTTDVANHKQFANRKRSYEVQGINITGWFVVDFTLEELKLLRVKQRYGFRDQQYNGKFPLITFEEFISLALDAKRIVGIYPEIKNPVFINQHVKWSDGKKFEDKFVQTLKKYGYKGSYMSKEWLRQPVFIQSFAPTSLTYISNLTDLPKVLLIGDLTTRTEDTNQSFLEITSDSYFNFIKNYVVGIGPWKETIVPSINNYLSEATDLVARAHAHGLQVHPYTFRNENLFLHFNFSQDPYEEYHYWINKIKVDGLFTDFAGSLHNFQEWTSHQREGREGGASKLLHKIAKMISSYKANEKLH >EOY00261 pep chromosome:Theobroma_cacao_20110822:2:30522369:30535357:1 gene:TCM_010087 transcript:EOY00261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminophospholipid ATPase isoform 2 MQCEQPNNSLYTFTGNLVMDNQTLPLSPNQILLRGCSLKNTEFIVGAVIFSGHETKVMMNSMNVPSKRSTLERKLDKLILTLFGTLFTMCLIGAIGSGVFIDRKYYFLGLSKSVEDQFNPNNRFLVALLTMLTLLTLYSTIIPISLYVSIEMIKFIQSTQFINKDLNMYHAETDTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEIYGTGMTEIERGVAERKGIKIQEVQTSTNSIHEKGFNFDDVRLMRGAWRNEHNPDACKEFFRCLAICHTVLPEGDESPEKIKYQAASPDEAALVLAAKNFGFFFYRRTPTMIYVRESHVERMGKIQDVSYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADTVIYERLVGGGDDLKKVTREHLEQFGSAGLRTLCLAYKDLAPDMYESWNEKFIQAKSSLRDREKKLDEVAELIEKDLVLIGATAIEDKLQEGVPNCIETLSRAGIKIWVLTGDKMETAINIAYACNLLNNEMKQFIISSDTDAIRAVEERGDQVEIARFIKEEVKKQLKKCLDEAQQYFNTVSGPKLALIIDGKCLMYALDPSLRIMLLTLSLNCSSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHIGVGISGLEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRLCKDVSSSLSKKYPELYKEGIRNMFFKWRVVAIWAFFAVYQSLVFYHFVTVSSSTSQGSSGKMFGLWDVSTMAFTCVVVTVNLRLLMICNSITRWHYISVGGSILAWFLFIFLYSGIMTPYDRQENVFWVIYVLMSTFYFYITLLLVPVAALLGDFLYQGVQRWFFPYDYQIVQEIHKDEADDTGRTDLLEIGSQLTPDEARSFAISQLPREISKHTGFAFDSPGYESFFASQLGIYAPQKAWDVARRASMKSKPKTNKKN >EOY00260 pep chromosome:Theobroma_cacao_20110822:2:30516330:30535300:1 gene:TCM_010087 transcript:EOY00260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminophospholipid ATPase isoform 2 MSGWDRVRSSTRSQQDRSQNSTQRAPSRTVTLGRVQPQAPSFRTIYCNDREANYAHRFKGNSISTTKYNFFTFLPKGLYEQQFRRVANLYFLMVSILSATPYSPVHPVTNVVPLSLVLLVSLVKEAFEDWKRFQNDMAINNTLVDVLQDQRWESIPWKRLQVGDIVRVKQDGFFPADVLLLASSNPDGVCYIETANLDGETNLKIRKALERTWDYLTPEKACEFKGEMQCEQPNNSLYTFTGNLVMDNQTLPLSPNQILLRGCSLKNTEFIVGAVIFSGHETKVMMNSMNVPSKRSTLERKLDKLILTLFGTLFTMCLIGAIGSGVFIDRKYYFLGLSKSVEDQFNPNNRFLVALLTMLTLLTLYSTIIPISLYVSIEMIKFIQSTQFINKDLNMYHAETDTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEIYGTGMTEIERGVAERKGIKIQEVQTSTNSIHEKGFNFDDVRLMRGAWRNEHNPDACKEFFRCLAICHTVLPEGDESPEKIKYQAASPDEAALVLAAKNFGFFFYRRTPTMIYVRESHVERMGKIQDVSYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADTVIYERLVGGGDDLKKVTREHLEQFGSAGLRTLCLAYKDLAPDMYESWNEKFIQAKSSLRDREKKLDEVAELIEKDLVLIGATAIEDKLQEGVPNCIETLSRAGIKIWVLTGDKMETAINIAYACNLLNNEMKQFIISSDTDAIRAVEERGDQVEIARFIKEEVKKQLKKCLDEAQQYFNTVSGPKLALIIDGKCLMYALDPSLRIMLLTLSLNCSSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHIGVGISGLEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRLCKVVTYFFYKNLTFTLTQFWFTFNTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSSSLSKKYPELYKEGIRNMFFKWRVVAIWAFFAVYQSLVFYHFVTVSSSTSQGSSGKMFGLWDVSTMAFTCVVVTVNLRLLMICNSITRWHYISVGGSILAWFLFIFLYSGIMTPYDRQENVFWVIYVLMSTFYFYITLLLVPVAALLGDFLYQGVQRWFFPYDYQIVQEIHKDEADDTGRTDLLEIGSQLTPDEARSFAISQLPREISKHTGFAFDSPGYESFFASQLGIYAPQKAWDVARRASMKSKPKTNKKN >EOY00259 pep chromosome:Theobroma_cacao_20110822:2:30516201:30535559:1 gene:TCM_010087 transcript:EOY00259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminophospholipid ATPase isoform 2 MSGWDRVRSSTRSQQDRSQNSTQRAPSRTVTLGRVQPQAPSFRTIYCNDREANYAHRFKGNSISTTKYNFFTFLPKGLYEQFRRVANLYFLMVSILSATPYSPVHPVTNVVPLSLVLLVSLVKEAFEDWKRFQNDMAINNTLVDVLQDQRWESIPWKRLQVGDIVRVKQDGFFPADVLLLASSNPDGVCYIETANLDGETNLKIRKALERTWDYLTPEKACEFKGEMQCEQPNNSLYTFTGNLVMDNQTLPLSPNQILLRGCSLKNTEFIVGAVIFSGHETKVMMNSMNVPSKRSTLERKLDKLILTLFGTLFTMCLIGAIGSGVFIDRKYYFLGLSKSVEDQFNPNNRFLVALLTMLTLLTLYSTIIPISLYVSIEMIKFIQSTQFINKDLNMYHAETDTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEIYGTGMTEIERGVAERKGIKIQEVQTSTNSIHEKGFNFDDVRLMRGAWRNEHNPDACKEFFRCLAICHTVLPEGDESPEKIKYQAASPDEAALVLAAKNFGFFFYRRTPTMIYVRESHVERMGKIQDVSYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADTVIYERLVGGGDDLKKVTREHLEQFGSAGLRTLCLAYKDLAPDMYESWNEKFIQAKSSLRDREKKLDEVAELIEKDLVLIGATAIEDKLQEGVPNCIETLSRAGIKIWVLTGDKMETAINIAYACNLLNNEMKQFIISSDTDAIRAVEERGDQVEIARFIKEEVKKQLKKCLDEAQQYFNTVSGPKLALIIDGKCLMYALDPSLRIMLLTLSLNCSSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHIGVGISGLEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRLCKVVTYFFYKNLTFTLTQFWFTFNTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSSSLSKKYPELYKEGIRNMFFKWRVVAIWAFFAVYQSLVFYHFVTVSSSTSQGSSGKMFGLWDVSTMAFTCVVVTVNLRLLMICNSITRWHYISVGGSILAWFLFIFLYSGIMTPYDRQENVFWVIYVLMSTFYFYITLLLVPVAALLGDFLYQGVQRWFFPYDYQIVQEIHKDEADDTGRTDLLEIGSQLTPDEARSFAISQLPREISKHTGFAFDSPGYESFFASQLGIYAPQKAWDVARRASMKSKPKTNKKN >EOX98066 pep chromosome:Theobroma_cacao_20110822:2:4442706:4445789:-1 gene:TCM_006916 transcript:EOX98066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence associated gene 18 isoform 1 MRRRTVYAWGVAILSFVVLMIVTPAIPQSQEYHDFADQREFFGIPNTLNVVSNFPFLVIGVVGLVLCYYKNYFKLCLQGELWGWTCFFIGVAAVGVGSSYYHLQPNDARLVWDRLPMTIAFTSIIAIFIIERIDEQKGTVSIIPLLLAGVISIMYWRFFDDLRPYALVQFVPCIAIPLMAILLPPMYTHSTFWLWAAGFYLLAKVEEAMDKVIYKWTHHIVSGHTLKHLCAAMVPVFLTLMLAKRTIETERISLLKTWKVSWTKFKKNGSEVESCTCTYTSVQAEESH >EOX98067 pep chromosome:Theobroma_cacao_20110822:2:4443088:4445874:-1 gene:TCM_006916 transcript:EOX98067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence associated gene 18 isoform 1 SYYAKRNKNKISVPRYSKGYIFSPANKRSRNHSTRFKLNLSLRLYSIGSVGDRREMRRRTVYAWGVAILSFVVLMIVTPAIPQSQEYHDFADQREFFVAFSGIPNTLNVVSNFPFLVIGVVGLVLCYYKNYFKLCLQGELWGWTCFFIGVAAVGVGSSYYHLQPNDARLVWDRLPMTIAFTSIIAIFIIERIDEQKGTVSIIPLLLAGVISIMYWRFFDDLRPYALVQFVPCIAIPLMAILLPPMYTHSTFWLWAAG >EOX98815 pep chromosome:Theobroma_cacao_20110822:2:7312006:7316543:1 gene:TCM_007494 transcript:EOX98815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dentin sialophosphoprotein-related, putative isoform 7 MSDLCMYELEDNVWDEFGASDDHIVPHTVDEYGAQFKVQDDVRKKRRHDVTGVTSNANNTTKYGILGEKEKGLHTLIKNRMLEKGSWSHSPDGIFPTSGDNDSHNEATMASDDSRTSSHGLKTGNIDSVGSEFCADEPVLVDKCATEDNNVYQYPLNNMSQTDDDLSFFDNNHEDKENSDLLYYGWADIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSQATEGSHDPLKADAKLNSVPEDCATSRPDSAGPSTIDSNKKSVFLSDKISPLNSSSDNAGLAPMSSLNVSNTESESKDDPIPNEQISPHIKQSKQLSTSGERKDQHQENGGSFNHYGNIKEFADVKHPFTNSSCQLFSPSGLQRHKQNIGADSVSYLQTNIPFMHFSYSSPSDQISMCPTVSSTKSENNGHPSSTNESSYASNQVQSIESSHGLSFEAPASLTNEKRGKLYHHQDTQVPLNRNVKRAKIESQMAFCDPVTVKKQVRQSEQDEGHSEVEGVSVGKPAELDSSNAQESSCVRSMLDEVSLEATSFRQLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCVNTKGGIGDDKDASGPLVPEETNKYDLRYGN >EOX98812 pep chromosome:Theobroma_cacao_20110822:2:7311346:7316978:1 gene:TCM_007494 transcript:EOX98812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dentin sialophosphoprotein-related, putative isoform 7 MSDLCMYELEDNVWDEFGASDDHIVPHTVDEYGAQFKVQDDVRKKRRHDVTGVTSNANNTTKYGILGEKEKGLHTLIKNRMLEKGSWSHSPDGIFPTSGDNDSHNEATMASDDSRTSSHGLKTGNIDSVGSEFCADEPVLVDKCATEDNNVYQYPLNNMSQTDDDLSFFDNNHEDKENSDLLYYGWADIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSQATEGSHDPLKADAKLNSVPEDCATSRPDSAGPSTIDSNKKSVFLSDKISPLNSSSDNAGLAPMSSLNVSNTESESKDDPIPNEQISPHIKQSKQLSTSGERKDQHQENGGSFNHYGNIKEFADVKHPFTNSSCQLFSPSGLQRHKQNIGADSVSYLQTNIPFMHFSYSSPSDQISMCPTVSSTKSENNGHPSSTNESSYASNQVQSIESSHGLSFEAPASLTNEKRGKLYHHQDTQVPLNRNVKRAKIESQMAFCDPVTVKKQVRQSEQDEGHSEVEGVSVGKPAELDSSNAQESSCVRSMLDEVSLEATSFRQLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCVNTKGGIGDDKDASGPLVPEETNKCTGFMDMETDTNPIDRSIAHLLFHRPSDLSARLSTDTVSFKSHGMVSILLSVVILQVEENSCFLTSSFNSVDWNDRFMAL >EOX98809 pep chromosome:Theobroma_cacao_20110822:2:7311249:7316802:1 gene:TCM_007494 transcript:EOX98809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dentin sialophosphoprotein-related, putative isoform 7 MSDLCMYELEDNVWDEFGASDDHIVPHTVDEYGDNDSHNEATMASDDSRTSSHGLKTGNIDSVGSEFCADEPVLVDKCATEDNNVYQYPLNNMSQTDDDLSFFDNNHEDKENSDLLYYGWADIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSQATEGSHDPLKADAKLNSVPEDCATSRPDSAGPSTIDSNKKSVFLSDKISPLNSSSDNAGLAPMSSLNVSNTESESKDDPIPNEQISPHIKQSKQLSTSGERKDQHQENGGSFNHYGNIKEFADVKHPFTNSSCQLFSPSGLQRHKQNIGADSVSYLQTNIPFMHFSYSSPSDQISMCPTVSSTKSENNGHPSSTNESSYASNQVQSIESSHGLSFEAPASLTNEKRGKLYHHQDTQVPLNRNVKRAKIESQMAFCDPVTVKKQVRQSEQDEGHSEVEGVSVGKPAELDSSNAQESSCVRSMLDEVSLEATSFRQLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCVNTKGGIGDDKDASGPLVPEETNKCTGFMDMETDTNPIDRSIAHLLFHRPSDLSARLSTDTVSFKSHGMIHGSMTSPPVMAEKHIGHEETGAALDKKC >EOX98813 pep chromosome:Theobroma_cacao_20110822:2:7312008:7315664:1 gene:TCM_007494 transcript:EOX98813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dentin sialophosphoprotein-related, putative isoform 7 MSDLCMYELEDNVWDEFGASDDHIVPHTVDEYGAQFKVQDDVRKKRRHDVTGVTSNANNTTKYGILGEKEKGLHTLIKNRMLEKGSWSHSPDGIFPTSGDNDSHNEATMASDDSRTSSHGLKTGNIDSVGSEFCADEPVLVDKCATEDNNVYQYPLNNMSQTDDDLSFFDNNHEDKENSDLLYYGWADIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSQATEGSHDPLKADAKLNSVPEDCATSRPDSAGPSTIDSNKKSVFLSDKISPLNSSSDNAGLAPMSSLNVSNTESESKDDPIPNEQISPHIKQSKQLSTSGERKDQHQENGGSFNHYGNIKEFADVKHPFTNSSCQLFSPSGLQRHKQNIGADSVSYLQTNIPFMHFSYSSPSDQISMCPTVSSTKSENNGHPSSTNESSYASNQVQSIESSHGLSFEAPASLTNEKRGKLYHHQDTQVPLNRNVKRAKIESQMAFCDPVTVKKQVRQSEQDEGHSEVEGVSVGKPAELDSSNAQESSCVRSMLDEVSLEATSFRQLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCVNTKGGIGDDKDASGPLVPEETNKYDFVA >EOX98808 pep chromosome:Theobroma_cacao_20110822:2:7311108:7317232:1 gene:TCM_007494 transcript:EOX98808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dentin sialophosphoprotein-related, putative isoform 7 MSDLCMYELEDNVWDEFGASDDHIVPHTVDEYGAQFKVQDDVRKKRRHDVTGVTSNANNTTKYGDNDSHNEATMASDDSRTSSHGLKTGNIDSVGSEFCADEPVLVDKCATEDNNVYQYPLNNMSQTDDDLSFFDNNHEDKENSDLLYYGWADIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSQATEGSHDPLKADAKLNSVPEDCATSRPDSAGPSTIDSNKKSVFLSDKISPLNSSSDNAGLAPMSSLNVSNTESESKDDPIPNEQISPHIKQSKQLSTSGERKDQHQENGGSFNHYGNIKEFADVKHPFTNSSCQLFSPSGLQRHKQNIGADSVSYLQTNIPFMHFSYSSPSDQISMCPTVSSTKSENNGHPSSTNESSYASNQVQSIESSHGLSFEAPASLTNEKRGKLYHHQDTQVPLNRNVKRAKIESQMAFCDPVTVKKQVRQSEQDEGHSEVEGVSVGKPAELDSSNAQESSCVRSMLDEVSLEATSFRQLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCVNTKGGIGDDKDASGPLVPEETNKCTGFMDMETDTNPIDRSIAHLLFHRPSDLSARLSTDTVSFKSHGMIHGSMTSPPVMAEKHIGHEETGAALDKKC >EOX98811 pep chromosome:Theobroma_cacao_20110822:2:7311346:7316978:1 gene:TCM_007494 transcript:EOX98811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dentin sialophosphoprotein-related, putative isoform 7 MSDLCMYELEDNVWDEFGASDDHIVPHTVDEYGAQFKVQDDVRKKRRHDVTGVTSNANNTTKYGILGEKEKGLHTLIKNRMLEKGSWSHSPDGIFPTSGDNDSHNEATMASDDSRTSSHGLKTGNIDSVGSEFCADEPVLVDKCATEDNNVYQYPLNNMSQTDDDLSFFDNNHEDKENSDLLYYGWADIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSQATEGSHDPLKADAKLNSVPEDCATSRPDSAGPSTIDSNKKSVFLSDKISPLNSSSDNAGLAPMSSLNVSNTESESKDDPIPNEQISPHIKQSKQLSTSGERKDQHQENGGSFNHYGNIKEFADVKHPFTNSSCQLFSPSGLQRHKQNIGADSVSYLQTNIPFMHFSYSSPSDQISMCPTVSSTKSENNGHPSSTNESSYASNQVQSIESSHGLSFEAPASLTNEKRGKLYHHQDTQVPLNRNVKRAKIESQMAFCDPVTVKKQVRQSEQDEGHSEVEGVSVGKPAELDSSNAQESSCVRSMLDEVSLEATSFRQLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCVNTKGGIGDDKDASGPLVPEETNKCTGFMDMETDTNPIDRSIAHLLFHRPSDLSARLSTDTVSFKSHGMIHGSMTSPPVMAEKHIGHEETGAALDKKC >EOX98814 pep chromosome:Theobroma_cacao_20110822:2:7311144:7316498:1 gene:TCM_007494 transcript:EOX98814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dentin sialophosphoprotein-related, putative isoform 7 MSDLCMYELEDNVWDEFGASDDHIVPHTVDEYGAQFKVQDDVRKKRRHDVTGVTSNANNTTKYGILGEKEKGLHTLIKNRMLEKGSWSHSPDGIFPTSGDNDSHNEATMASDDSRTSSHGLKTGNIDSVGSEFCADEPVLVDKCATEDNNVYQYPLNNMSQTDDDLSFFDNNHEDKENSDLLYYGWADIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSQATEGSHDPLKADAKLNSVPEDCATSRPDSAGPSTIDSNKKSVFLSDKISPLNSSSDNAGLAPMSSLNVSNTESESKDDPIPNEQISPHIKQSKQLSTSGERKDQHQENGGSFNHYGNIKEFADVKHPFTNSSCQLFSPSGLQRHKQNIGADSVSYLQTNIPFMHFSYSSPSDQISMCPTVSSTKSENNGHPSSTNESSYASNQVQSIESSHGLSFEAPASLTNEKRGKLYHHQDTQVPLNRNVKRAKIESQMAFCDPVTVKKQVRQSEQDEGHSEVEGVSVGKPAELDSSNAQESSCVRSMLDEVSLEATSFRQLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCVNTKGGIGDDKDASGPLVPEETNKYDLIWKLTQTL >EOX98810 pep chromosome:Theobroma_cacao_20110822:2:7311108:7316849:1 gene:TCM_007494 transcript:EOX98810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dentin sialophosphoprotein-related, putative isoform 7 MSDLCMYELEDNVWDEFGASDDHIVPHTVDEYGAQFKVQDDVRKKRRHDVTGVTSNANNTTKYGILGEKEKGLHTLIKNRMLEKGSWSHSPDGIFPTSGDNDSHNEATMASDDSRTSSHGLKTGNIDSVGSEFCADEPVLVDKCATEDNNVYQYPLNNMSQTDDDLSFFDNNHEDKENSDLLYYGWADIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSQATEGSHDPLKADAKLNSVPEDCATSRPDSAGPSTIDSNKKSVFLSDKISPLNSSSDNAGLAPMSSLNVSNTESESKDDPIPNEQISPHIKQSKQLSTSGERKDQHQENGGSFNHYGNIKEFADVKHPFTNSSCQLFSPSGLQRHKQNIGADSVSYLQTNIPFMHFSYSSPSDQISMCPTVSSTKSENNGHPSSTNESSYASNQVQSIESSHGLSFEAPASLTNEKRGKLYHHQDTQVPLNRNVKRAKIESQMAFCDPVTVKKQVRQSEQDEGHSEVEGVSVGKPAELDSSNAQESSCVRSMLDEVSLEATSFRQLQQVMEKLDIRTKLCIRDSLYRLARSAEQRHNCVNTKGGIGDDKDASGPLVPEETNKCTGFMDMETDTNPIDRSIAHLLFHRPSDLSARLSTDTVSFKSHGMIHGSMTSPPVMAEKHIGHEETGAALDKKC >EOY00392 pep chromosome:Theobroma_cacao_20110822:2:32001458:32002104:1 gene:TCM_010261 transcript:EOY00392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retroelement polyprotein, putative MNLVLKALQDNGTWSIVLLPSNAYVIGCKWVYKVKLKANGEIERYKARLVAKGYSQVAGFDYQETFNLLAKQSTVRVFFALVAANAWSLSQLDVNNAFFNGDLDEDIYMEIPQGYHV >EOX98592 pep chromosome:Theobroma_cacao_20110822:2:6294607:6300639:1 gene:TCM_007306 transcript:EOX98592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVFHLLECLMLLFCFFFFWIFGHLAFLSDIACIMFVKITKMEKKKKILSTAMLPRQAQTIKKLWEFATQNSSTFSIVGNKLKHQCGSYSTGQTCRELPRKCSVCGEVHIGNPPHKIRTCNVMGSAASKEHSWKRGAVEHILPLVESFHLYDRLGRAVSHNERLQVDRIPAILELCVQAGINFSEYPTRRRVFPAYSISGRIIDFERRFPKEDAPGKDINSCGFWQKRNKTSGDSKFVDLQPGDLQGIAVRGMEAWKRMHSGASRLMQKYAVQTCGYCLEVQVGPKGHRVRNCQAYKQQMRDGQHAWQEATIDEVIPPVYVWHIQNHQSGKPLVNELKRYYGMLPAVVELFAQAGASVPDDYAGMMREDVAVPELDEEMLVV >EOX98590 pep chromosome:Theobroma_cacao_20110822:2:6294584:6300485:1 gene:TCM_007306 transcript:EOX98590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLPRQAQTIKKLWEFATQNSSTFSIVGNKLKHQCGSYSTGQTCRELPRKLKKAERKPWVTNINELKRIARTERKERQMVRERILQPPENGLVVKELIPVAHQVYDARTKLLACVSKVAEGIAIYSCSVCGEVHIGNPPHKIRTCNVMGSAASKEHSWKRGAVEHILPLVESFHLYDRLGRAVSHNERLQVDRIPAILELCVQAGINFSEYPTRRRVFPAYSISGRIIDFERRFPKEDAPGKDINSCGFWQKRNKTSGDSKFVDLQPGDLQGIAVRGMEAWKRMHSGASRLMQKYAVQTCGYCLEVQVGPKGHRVRNCQAYKQQMRDGQHAWQEATIDEVIPPVYVWHIQNHQSGKPLVNELKRYYGMLPAVVELFAQAGASVPDDYAGMMREDVAVPELDEEMLVV >EOX98591 pep chromosome:Theobroma_cacao_20110822:2:6297527:6300689:1 gene:TCM_007306 transcript:EOX98591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MFVKITKMEKKKKILSTAMLPRQAQTIKKLWEFATQNSSTFSIVGNKLKHQCGSYSTGQTCRELPRKLKKAERKPWVTNINELKRIARTERKERQMVRERILQPPENGLVVKELIPVAHQVYDARTKLLACVSKVAEGIAIYSCSVCGEVHIGNPPHKIRTCNVMGSAASKEHSWKRGAVEHILPLVESFHLYDRLGRAVSHNERLQVDRIPAILELCVQAGINFSEYPTRRRVFPAYSISGRIIDFERRFPKEDAPGKDINSCGFWQKRNKTSGDSKFVDLQPGDLQGIAVRGMEAWKRMHSGASRLMQKYAVQTCGYCLEVQVGPKGHRVRNCQAYKQQMRDGQHAWQEATIDEVIPPVYVWHIQNHQSGKPLVNELKRYYGMLPAVVELFAQAGASVPDDYAGMMREDVAVPELDEEMLVV >EOX98593 pep chromosome:Theobroma_cacao_20110822:2:6296398:6300201:1 gene:TCM_007306 transcript:EOX98593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVFHLLECLMLLFCFFFFWIFGHLAFLSDIACIMFVKITKMEKKKKILSTAMLPRQAQTIKKLWEFATQNSSTFSIVGNKLKHQCGSYSTGQTCRELPRKCSVCGEVHIGNPPHKIRTCNVMGSAASKEHSWKRGAVEHILPLVESFHLYDRLGRAVSHNERLQVDRIPAILELCVQAGINFSEYPTRRRVFPAYSISGRIIDFERRFPKEDAPGKDINSCGFWQKRNKTSGDSKFVDLQPGDLQGIAVRGMEAWKRMHSGASRLMQKYAVQTCGYCLEVQVGPKGHRVRNCQAYKQQMRDGQHAWQEATIDEVIPPVYVWHIQNHQSGKPLVNELKRYYGMLPAVVELFAQAGASVPDDYAGMMREDVAVPELDEEMLVV >EOY01720 pep chromosome:Theobroma_cacao_20110822:2:40722212:40722858:1 gene:TCM_011557 transcript:EOY01720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLRFGVPAVLNLTFLLFFSTQPGPEMWGWNLIISKIMVHQFSFLELAFLVISVMFLLLFLFTSAEVPLILHPIRFRRSAGAFSIGLTISLVASIFFPPSLFWPVYLLVVVFSPWHGMFFDLFKHFLGWFSGTLQSVPTYFITITQNEESSTSAPLQVDLEPGLAHGQQNSEHNE >EOX97321 pep chromosome:Theobroma_cacao_20110822:2:1980756:1988818:1 gene:TCM_006386 transcript:EOX97321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein isoform 1 MTRLKNLLDEDSGTSAEQLSPVSCTNVENVERLNEKAFSNRDFYVGDFKEILPHGKGKYTWSDGTVYEGDWGAGKMTGKGLLLWPSGAMYKGDISGGYLHGTGAFTAPDGSIYEGQWRMNIRHGFGRKKYSNSDVYEGEWKEGVHEGNGRYFWNNGNKYTGNWKRGKMHGRGAMEWVNGDQYNGCWLNGFRQGSGIYQYADGGYYFGTWTRGLKDGKGLFYPAGSKRPSLKKFCVSLGYDNGHKSALSQCPSLNLEECMVKKPSVKRSLSERISVSSVLRSSGRISHKTAENSRLSDSGREFIRHNSSGTFSLDSDAGQSEVQENTAVVYEREYMQGVMIKERIRNYGELSQKAEKRTKSHAKETKKSLCVGVFKGKNSYHLMLNLQLGIRYTVGKITPVPKREVRTADFGDRARITMFFPSKGSQFTPPHKSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLTDFSSPGKSGSIFYLSHDDKFVIKTLKRSELKVLHKMLPKYYNHVKEYENTLITKFFGLHRITLPGRRKVRFVVMGNMFCTELRIHRRYDLKGSTHGRCTDKDKIRENTTLKDRDLSYEFHMDKSLRKFLFHQIAVDCTFLKSQQIIDYSLLLGLHFRAPEQLSGLLEAPNMMPNIESSPAGEGLTTEGELLFPSKGLRLVAHEPSSVSTEPGPHIRGRALRAFSLGDKEVDVLVPGTGRLRVQLGVNMPAQANHKLSRVEADSAEVELFEVYDVVLYMGIIDILQEYNAKKKAEHACKSVKLDPMSISAVEPELYAKRFINFLSQKVFPEEP >EOX97322 pep chromosome:Theobroma_cacao_20110822:2:1980812:1986680:1 gene:TCM_006386 transcript:EOX97322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein isoform 1 MTRLKNLLDEDSGTSAEQLSPVSCTNVENVERLNEKAFSNRDFYVGDFKEILPHGKGKYTWSDGTVYEGDWGAGKMTGKGLLLWPSGAMYKGDISGGYLHGTGAFTAPDGSIYEGQWRMNIRHGFGRKKYSNSDVYEGEWKEGVHEGNGRYFWNNGNKYTGNWKRGKMHGRGAMEWVNGDQYNGCWLNGFRQGSGIYQYADGGYYFGTWTRGLKDGKGLFYPAGSKRPSLKKFCVSLGYDNGHKSALSQCPSLNLEECMVKKPSVKRSLSERISVSSVLRSSGRISHKTAENSRLSDSGREFIRHNSSGTFSLDSDAGQSEVQENTAVVYEREYMQGVMIKERIRNYGELSQKAEKRTKSHAKETKKSLCVGVFKGKNSYHLMLNLQLGIRYTVGKITPVPKREVRTADFGDRARITMFFPSKGSQFTPPHKSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLTDFSSPGKSGSIFYLSHDDKFVIKTLKRSELKVLHKMLPKYYNHVKEYENTLITKFFGLHRITLPGRRKWGCLLFILLLGAFCGYGEYVLHRTENSSSL >EOY00404 pep chromosome:Theobroma_cacao_20110822:2:32098485:32102817:1 gene:TCM_010275 transcript:EOY00404 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein isoform 2 MGKNVLVLGVALLLLLLLGGVSSAPTTSSPAKIVSGFFSNALSAFMKWLWSLKTTTKTAITGRPMMKFEGGYNVETVFDGSKLGIEPHTVEVLPSGELLILDSANSNLYRISASLSLCKWVSSFLGYYSSDSRPRLVAGSPEGYSGHVDGKPREARMNHPKGLAIDDRGNIYIADTMNMAIRKISDAGVTTIAGGKWSLGGGHVDGPSEDAKFSNDFDVVYVGSSCSLLVIDRGNQAIREIQLHFDDCAYQYGSGFPLGVAILVAAGFFGYMLALLQRRVGTIVSSQNDQESVKVNAAVSSPYQKPLKSVRPPLIPTEDEPEKQEEGFFGSLGKLFANAGVSALEILGGVIPGLRKKPLSYQYQSQHQQQQKHSMSWPAQESFVIPDEDEPPSIDTRTPTPRKMYPFMSKDAEKIHQLRQSRAFYSGWDTDMQQHHHRYQSSTPHTYYEQSNEKTNEIVFGAVQEQEGKREAVVIKPVDYGDQTYDHHNIRFRSNMGYNGGY >EOY00405 pep chromosome:Theobroma_cacao_20110822:2:32098163:32103283:1 gene:TCM_010275 transcript:EOY00405 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein isoform 2 MGKNVLVLGVALLLLLLLGGVSSAPTTSSPAKIVSGFFSNALSAFMKWLWSLKTTTKTAITGRPMMKFEGGYNVETVFDGSKLGIEPHTVEVLPSGELLILDSANSNLYRISASLSLYSRPRLVAGSPEGYSGHVDGKPREARMNHPKGLAIDDRGNIYIADTMNMAIRKISDAGVTTIAGGKWSLGGGHVDGPSEDAKFSNDFDVVYVGSSCSLLVIDRGNQAIREIQLHFDDCAYQYGSGFPLGVAILVAAGFFGYMLALLQRRVGTIVSSQNDQESVKVNAAVSSPYQKPLKSVRPPLIPTEDEPEKQEEGFFGSLGKLFANAGVSALEILGGVIPGLRKKPLSYQYQSQHQQQQKHSMSWPAQESFVIPDEDEPPSIDTRTPTPRKMYPFMSKDAEKIHQLRQSRAFYSGWDTDMQQHHHRYQSSTPHTYYEQSNEKTNEIVFGAVQEQEGKREAVVIKPVDYGDQTYDHHNIRFRSNMGYNGGY >EOY00406 pep chromosome:Theobroma_cacao_20110822:2:32098821:32102936:1 gene:TCM_010275 transcript:EOY00406 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein isoform 2 MGKNVLVLGVALLLLLLLGGVSSAPTTSSPAKIVSGFFSNALSAFMKWLWSLKTTTKTAITGRPMMKFEGGYNVETVFDGSKLGIEPHTVEVLPSGELLILDSANSNLYRISASLSLYSRPRLVAGSPEGYSGHVDGKPREARMNHPKGLAIDDRGNIYIADTMNMAIRKISDAGVTTIAGGKWSLGGGHVDGPSEDAKFSNDFDVVYVGSSCSLLVIDRGNQAIREIQLHFDDCAYQYGSGFPLGVAILVAAGFFGYMLALLQRRVGTIVSSQNESVKVNAAVSSPYQKPLKSVRPPLIPTEDEPEKQEEGFFGSLGKLFANAGVSALEILGGVIPGLRKKPLSYQYQSQHQQQQKHSMSWPAQESFVIPDEDEPPSIDTRTPTPRKMYPFMSKDAEKIHQLRQSRAFYSGWDTDMQQHHHRYQSSTPHTYYEQSNEKTNEIVFGAVQEQEGKREAVVIKPVDYGDQTYDHHNIRFRSNMGYNGGY >EOX98364 pep chromosome:Theobroma_cacao_20110822:2:5502743:5504547:1 gene:TCM_007142 transcript:EOX98364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIRMDIKEWDPQTLKHATNHDSLSMNISNILVLSNNFARWPPVSLSQSHCLRHTRNFRVADVFNHLSVLELQSA >EOX98508 pep chromosome:Theobroma_cacao_20110822:2:6010796:6012957:1 gene:TCM_007252 transcript:EOX98508 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MAQANEKLQVLDCSHVSPPPASVPTTSLRLTFFDLPWFAFPNIQRLFFYEFPYPTLYFTDTVLPLLKHSLSLTLQHFFPYAAKLMCPPPPGKPYLRYEDGDFVTFTVVESSADFNHVIANYPRDVKLLRPFAPQLPTVPVAEDGTRVLPVIAFQVTLFPNSGICIGSNYCHVVGDGKTFMHFMRSWSSVHRAGGGDLTCLEKSIPSWNKDVIKDRDGIESLHLKIYWNWVASNNENSGPTHVAAADKVRATFLLGRAHVEKLKHLVTEAKSEQLHISTFVVTCAFIWVCLIKSQESSTCNSSDADDNKFYYFQFPFDCRNRLEFPVPETYFGNCLKPGIAEVKKSELIGENGILLAAKAIGNKVKEIENRGFRDAESWVATLMERTRSGRFVSVSGSPKFYVYDINFGWGRPRKVELVHIDSTETISLAECRDELGGIEVGVASNKKQIDEFSAIFVQSLQLL >EOX99840 pep chromosome:Theobroma_cacao_20110822:2:17262973:17264741:-1 gene:TCM_008813 transcript:EOX99840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGNIQQNLILLEESKDVADGEFDKSILLSMPNSPSQNGRPRSMVIKKAHSVIPAHLVAEAISTLQGLDLRWSGPITPSEMQYVKQYVFAKYPQYCNGLVEDGEKIDLDNLSINEESSENETMTDDKRKSPRIVGSRECFSSSSSITTSLSDIENTQLEPSKLLDILTRKTSFQGNFISIPEIQAQNRALKHCGLSEDEYLVLFMPNYKDAMMLIGESYPFFRGNYYLTIVTEESDYIREFATRRESKVISAPETWLDLRIKGSQLSQNFRRKCKHSPKGLFSYPADVNGTRFSMHWISEAHRNSWHVLLDATGLVFGDGQERLPLALHRPEFVLCTLDNTHAQPSKIICLLVRRTSFDTSSCSA >EOY01797 pep chromosome:Theobroma_cacao_20110822:2:40935589:40947943:1 gene:TCM_011612 transcript:EOY01797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLRYGVPPILNLMFLLFFSTQPGPGMWNSNLNISSILVHQFSFLELAFLFLAVMFLLLFLFTSVEVPLILQPIRFRLGAGVFSIALTVSLVASLFFPPSLFWPVYLLVVLFSPWHGMFFDLFKHFLGWFSGALQSVPTYFITITQNEESSTSAPLQDDVELGLTHGQQNLEHNE >EOY02048 pep chromosome:Theobroma_cacao_20110822:2:41783350:41788499:1 gene:TCM_011796 transcript:EOY02048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein MSSLCPCFRPLLPQWRYKSARFLVILHSKTKVCFKPWVFSSSSNDDSASLPRQSQLGYDPSEELFGLSVDPKPSPILTSLFVSEREYLSINNALPGTPKPRSWFGPNGQYIRELPCPSCRGRGYTPCTECGIERSRLDCSQCSGKGIMTCRQCSGDRVIWEESIDEKPWEKARSISPLRVKEDDEVDNLDLNLDVKKKSKRVYQSPSPEVGLKISRSLKSLNAKTGLFSKRMKIIHRDPMLQAQRVAAIKKAKGTAAARKRVSEALKAFFSDPENRRKRSISMKGVKFYCGNCGREGHRRHYCPEIRDSSIDKRFKCRLCGEKGHNRRTCPMSRLSNHKSSGRRRHRCKICCKSGHNRRTCPRVIGVRDNLTSGSRIYTCRLCREKGHNIRTCPSKKKSHQNSNIQLENGSQQLGLQ >EOY01762 pep chromosome:Theobroma_cacao_20110822:2:40843456:40845312:1 gene:TCM_011588 transcript:EOY01762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein MMSWNNDSNRNTEQVVAVAAAAYVIEKIAGSSIHDQKRSSAGLEPSLIKDKSIREVEGSMKGSESAESKVPVTDATDGKATRPAPSFKRALTFADYIGSTSSTKPKSNQKPESAAPKPDLPTKKPERAAPKPDLPTIKPVSTAPKPDRPTIKPGTTAARPEQPPTFTPAAPVIEVKKQSAAMPETKAEEWEKAEMAKIKERYVKLNSTILAWEEKKKKKATNKLNKAKSELEEKRARALTKFRNEMEYIKQVAEGARVQAEARQRSDVLKAKEKANIIRTTGKVPRTCFCC >EOX98850 pep chromosome:Theobroma_cacao_20110822:2:7489033:7494620:-1 gene:TCM_007527 transcript:EOX98850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 1,3 isoform 1 MSHRVTDELGSEEMDIVSASSSRRNSENLPYVHKVGVPPKQNLLKEIAATVKETFFADDPLRHFKDQPRSRKFVLGFQAVFPIFEWGRNYSLSKFKGDLIAGLTIASLCIPQDIGYAKLANLEPQYGLYSSFVPPLVYAFMGSSRDIAIGPVAVVSLLLGSLLRDEIDSSENPVDYRRLAFTATFFAGITQFTLGFLRLGFLIDFLSHAAIVGFMAGAAITISLQQLKGLLGIKKFTKNTDIVSVMRSVWNSVHHGWNWQTILIGVAFLAFLLVAKYIGKKKKKLFWVPAIAPLISVILSTFFVYIARADKHGVQIVKHIRQGVNPPSVNEIFFSGEYLGKGFRIGVVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCHTAVSNIVMSCVVLLTLELITPLFKYTPNAILASIIISAVIGLIDIEAVALIWKIDKFDFVACMGAFFGVVFSSVEMGLLIAVSISFAKILLQVTRPRTAILGKLPRTTVYRNILQYPDATKVPGILIVRVDSAIYFSNSNYVKERILRWLADEEEQLKENFQPRIMYLIVEMSPVTDIDTSGIHALEELFRSLEKRDVKLVLANPGPVVVDKLHASKFPELIGEDRIFLTVADAVLTCAPKMEEP >EOX98849 pep chromosome:Theobroma_cacao_20110822:2:7489311:7497251:-1 gene:TCM_007527 transcript:EOX98849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 1,3 isoform 1 MSHRVTDELGSEEMDIVSASSSRRNSENLPYVHKVGVPPKQNLLKEIAATVKETFFADDPLRHFKDQPRSRKFVLGFQAVFPIFEWGRNYSLSKFKGDLIAGLTIASLCIPQDIGYAKLANLEPQYGLYSSFVPPLVYAFMGSSRDIAIGPVAVVSLLLGSLLRDEIDSSENPVDYRRLAFTATFFAGITQFTLGFLRLGFLIDFLSHAAIVGFMAGAAITISLQQLKGLLGIKKFTKNTDIVSVMRSVWNSVHHGWNWQTILIGVAFLAFLLVAKYIGKKKKKLFWVPAIAPLISVILSTFFVYIARADKHGVQIVKHIRQGVNPPSVNEIFFSGEYLGKGFRIGVVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCHTAVSNIVMSCVVLLTLELITPLFKYTPNAILASIIISAVIGLIDIEAVALIWKIDKFDFVACMGAFFGVVFSSVEMGLLIAVSISFAKILLQVTRPRTAILGKLPRTTVYRNILQYPDATKVPGILIVRVDSAIYFSNSNYVKERILRWLADEEEQLKENFQPRIMYLIVEMSPVTDIDTSGIHALEELFRSLEKRDVKLVLANPGPVVVDKLHASKFPELIGEDRIFLTVADAVLTCAPKMEEP >EOX98847 pep chromosome:Theobroma_cacao_20110822:2:7489311:7493214:-1 gene:TCM_007527 transcript:EOX98847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 1,3 isoform 1 MSHRVTDELGSEEMDIVSASSSRRNSENLPYVHKVGVPPKQNLLKEIAATVKETFFADDPLRHFKDQPRSRKFVLGFQAVFPIFEWGRNYSLSKFKGDLIAGLTIASLCIPQDIGYAKLANLEPQYGLYSSFVPPLVYAFMGSSRDIAIGPVAVVSLLLGSLLRDEIDSSENPVDYRRLAFTATFFAGITQFTLGFLRLGFLIDFLSHAAIVGFMAGAAITISLQQLKGLLGIKKFTKNTDIVSVMRSVWNSVHHGWNWQTILIGVAFLAFLLVAKYIGKKKKKLFWVPAIAPLISVILSTFFVYIARADKHGVQIVKHIRQGVNPPSVNEIFFSGEYLGKGFRIGVVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCHTAVSNIVMSCVVLLTLELITPLFKYTPNAILASIIISAVIGLIDIEAVALIWKIDKFDFVACMGAFFGVVFSSVEMGLLIAVSISFAKILLQVTRPRTAILGKLPRTTVYRNILQYPDATKVPGILIVRVDSAIYFSNSNYVKERILRWLADEEEQLKENFQPRIMYLIVEMSPVTDIDTSGIHALEELFRSLEKRDVKLVLANPGPVVVDKLHASKFPELIGEDRIFLTVADAVLTCAPKMEEP >EOX98848 pep chromosome:Theobroma_cacao_20110822:2:7489778:7492919:-1 gene:TCM_007527 transcript:EOX98848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 1,3 isoform 1 MSHRVTDELGSEEMDIVSASSSRRNSENLPYVHKVGVPPKQNLLKEIAATVKETFFADDPLRHFKDQPRSRKFVLGFQAVFPIFEWGRNYSLSKFKGDLIAGLTIASLCIPQDIGYAKLANLEPQYGLYSSFVPPLVYAFMGSSRDIAIGPVAVVSLLLGSLLRDEIDSSENPVDYRRLAFTATFFAGITQFTLGFLRLGFLIDFLSHAAIVGFMAGAAITISLQQLKGLLGIKKFTKNTDIVSVMRSVWNSVHHGWNWQTILIGVAFLAFLLVAKYIGKKKKKLFWVPAIAPLISVILSTFFVYIARADKHGVQIVKHIRQGVNPPSVNEIFFSGEYLGKGFRIGVVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCHTAVSNIVMSCVVLLTLELITPLFKYTPNAILASIIISAVIGLIDIEAVALIWKIDKFDFVACMGAFFGVVFSSVEMGLLIAVSISFAKILLQVTRPRTAILGKLPRTTVYRNILQYPDATKVPGILIVRVDSAIYFSNSNYVKERILRWLADEEEQLKENFQPRIMYLIVEMSPVTDIDTTSFNFLVIKLAERILSYKFTFLQLLLTLTPVASMPWKSCSEVLRRGMLSLF >EOX97371 pep chromosome:Theobroma_cacao_20110822:2:2110500:2115873:1 gene:TCM_006415 transcript:EOX97371 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 26-like protein MIDFTGTPLWRNGPPEKPVLCNACGSRYRLGKPLENYTPKSVIVLRKKKRRRAVPKAMVTQQNTIVASSAGYASNLQRYASSESSTSHDQNLLHMNQQNGAEIPVENWESLWGSQVPSRSRSPVLYSRVTSIKKLQMDLHNILRDEGPFIASEGPEDVLIYNDNINKLQIPSTEIGLGSILLKFPATDCQEQEIEPASSSTCGKPSALNDVQIASPSLNMIEELQRQWGQPTADQSFV >EOX98672 pep chromosome:Theobroma_cacao_20110822:2:6660948:6669021:-1 gene:TCM_007376 transcript:EOX98672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein, putative isoform 3 MRHEEIFDLDTSLQSFSTNYENGQVAIISDDDGRIEMSSSSAFASSHVECEDSPEEQLSVHGCDGHAIETENAKVVISPDLMLYRGTNCTGCQLTFSETSLKFEGLTVNGTRKKFSFERTVGDIISIDAKWYETVQTAIINLVLQSKSSKRVANANETSAIELLEFVVYDPCWSERQEAIKSLSLKYKDMWNTISDENAENVFMGQHSSFHECFKEVIYPKGDPDAVSISKRDVELLQPETFINDTIIDFYINYLKNKIQPEEQQRFHFFNSFFFRKLADLDKCLSRACQAKAAFQRVRKWTRKVDIFEKDYIFIPVNYSFHWSLIVICHPGEVANFKDDETEKLLKVPCILHMDSIRGSHRGLKNLFQSYLSEEWKERHREATDDVPSKFLHIQFVPLELPQQENSFDCGLFLLHYVELFLLQAPSNFNPFKITRFSNFLNMKWFPPADASSKRSHIQKLIYEILDEQSCSSTSADSIFKCASSLLPSGRKQETGVQFFEQIGSSRKTCHGHGHSLNSNIKQGSENFSFSAASLPIQGCKDSGLEILECYEVGISGGLLSHGNYQQINTLSQRNAMSPIEEIEETSEEIVADSPSDLDGQQVAGLVSEPRLFMRYPCKDIRVLRTSWNQQMPLHIEDSAFDKPSDSSEIRLEDDQHLPEFEVSSQHRKTDEPESRSTPSEGHSDCIVEDSLGSSGMHDDIESTCSPSSFQRDISALSHQEADLTGKADLKASNMRKRSCTGRG >EOX98670 pep chromosome:Theobroma_cacao_20110822:2:6660273:6671545:-1 gene:TCM_007376 transcript:EOX98670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein, putative isoform 3 MSRGASKRSSRFDVFDFADEDERLERESAEILGRFKNPKKCRNAPSPVNVYTFLQCFPQQNEISNRAIDLDVEYGSRTKQKEINTGPIELNAEVAEHRFLQCRKTQEMKNIDGPIDVDVKEVQVSKTAQKGSRYKFGDTSAIVTGQQCIIPAYYPVNMRHEEIFDLDTSLQSFSTNYENGQVAIISDDDGRIEMSSSSAFASSHVECEDSPEEQLSVHGCDGHAIETENAKVVISPDLMLYRGTNCTGCQLTFSETSLKFEGLTVNGTRKKFSFERTVGDIISIDAKWYETVQTAIINLVLQSKSSKRVANANETSAIELLEFVVYDPCWSERQEAIKSLSLKYKDMWNTISDENAENVFMGQHSSFHECFKEVIYPKGDPDAVSISKRDVELLQPETFINDTIIDFYINYLKNKIQPEEQQRFHFFNSFFFRKLADLDKCLSRACQAKAAFQRVRKWTRKVDIFEKDYIFIPVNYSFHWSLIVICHPGEVANFKDDETEKLLKVPCILHMDSIRGSHRGLKNLFQSYLSEEWKERHREATDDVPSKFLHIQFVPLELPQQENSFDCGLFLLHYVELFLLQAPSNFNPFKITRFSNFLNMKWFPPADASSKRSHIQKLIYEILDEQSCSSTSADSIFKCASSLLPSGRKQETGVQFFEQIGSSRKTCHGHGHSLNSNIKQGSENFSFSAASLPIQGCKDSGLEILECYEVGISGGLLSHGNYQQINTLSQRNAMSPIEEIEETSEEIVADSPSDLDGQQVAGLMPLHIEDSAFDKPSDSSEIRLEDDQHLPEFEVSSQHRKTDEPESRSTPSEGHSDCIVEDSLGSSGMHDDIESTCSPSSFQRDISALSHQEADLTGKADLKASNMRKRSCTGRG >EOX98671 pep chromosome:Theobroma_cacao_20110822:2:6660424:6671097:-1 gene:TCM_007376 transcript:EOX98671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein, putative isoform 3 MRHEEIFDLDTSLQSFSTNYENGQVAIISDDDGRIEMSSSSAFASSHVECEDSPEEQLSVHGCDGHAIETENAKVVISPDLMLYRGTNCTGCQLTFSETSLKFEGLTVNGTRKKFSFERTVGDIISIDAKWYETVQTAIINLVLQSKSSKRVANANETSAIELLEFVVYDPCWSERQEAIKSLSLKYKDMWNTISDENAENVFMGQHSSFHECFKEVIYPKGDPDAVSISKRDVELLQPETFINDTIIDFYINYLKNKIQPEEQQRFHFFNSFFFRKLADLDKCLSRACQAKAAFQRVRKWTRKVDIFEKDYIFIPVNYSFHWSLIVICHPGEVANFKDDETEKLLKVPCILHMDSIRGSHRGLKNLFQSYLSEEWKERHREATDDVPSKFLHIQFVPLELPQQENSFDCGLFLLHYVELFLLQAPSNFNPFKITRFSNFLNMKWFPPADASSKRSHIQKLIYEILDEQSCSSTSADSIFKCASSLLPSGRKQETGVQFFEQIGSSRKTCHGHGHSLNSNIKQGSENFSFSAASLPIQGCKDSGLEILECYEVGISGGLLSHGNYQQINTLSQRNAMSPIEEIEETSEEIVADSPSDLDGQQVAGLMPLHIEDSAFDKPSDSSEIRLEDDQHLPEFEVSSQHRKTDEPESRSTPSEGHSDCIVEDSLGSSGMHDDIESTCSPSSFQRDISALSHQEADLTGKADLKASNMRKRSCTGRG >EOY01155 pep chromosome:Theobroma_cacao_20110822:2:37931171:37942314:1 gene:TCM_011090 transcript:EOY01155 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MAQSLELLLIQFLMPDNDARRQAEEQIKRLAKDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITGHWAKLPHQVKQLVKQSLIESITMEHSAPVRRASANVVSIIAKYAVPAGEWPDLLSFLFQCSQSPQEDHREVALILFSSLTETIGSTFRPHFAELQALLLKCLQDETSNRVRVAALKAVGSFLEFTNDGAEVVKFREFIPSILNVSRQCLAAGEEDVAIIAFEIFDELIESPAPLLGDSVKSIVQFSLEVSSSQNLESNTRHQAIQIISWLAKYKANSLKKQKLVTPILQVMCPLLAESSNVDEDDDLAPDRAAAEVIDTMALNLSKHVFPLVFEFASLSSQNANPKFREAAVTALGVVSEGCAELMKDKLEPVLQIVLGAMRDPEQMVRGAASFALGQFAEHLQPEIISHYASVLPCILNALEDVSDEVKEKSYYALAAFCEDMGVEILPFLDPLMGKLLAALQNSSRNLQETCMSAIGSVAAAAEQAFFPYAERVLELMKVFMVLTNDEDLRARARATELVGIVAMSVGRTRIDPILPAFVEAAISGFGLEFSELREYTHGFFSNVAEIMDDGFVKYLPHVVPLAFSSCNLDDGSAVDIDESDDENINGFGEVSSDDEAHDEPRVRNISIRTGVLDEKAAATQALGLFAQHTKHSYAPYLEESLKILVRHSGYFHEDVRLQAIIALKHILTAAHAIFQCQNDGSMKAKEVLDTVMNIYIKTMTEDDDKEVVAHACMSIADIIKDYGYMALEPYMSQLVDATLTLLREESACQQLENGSDIDDDDDAEHDEILMDAVSDLLPAFAKSMGSLFAPIFAKLFEPLMKFARASCPPQDRTMVVACLAEVAQDMGAPIASYIDRLMPLVLKELASSSATNRRNAAFCAGELAKNGGESTLKYYTDILRGLYPLFGDSEPDDAVRDNAAGAVARMIMVHPQSIPLNQVLPVFLRVLPLKEDHEESMAVYNCVSMLVLSSNPQILSLVPELVNIFAQVLVSPEETSEVKAQVGRAFSHLISLYGQEMQPLLSNLPPAHANALAAFVPSS >EOY01156 pep chromosome:Theobroma_cacao_20110822:2:37931171:37942314:1 gene:TCM_011090 transcript:EOY01156 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MAQSLELLLIQFLMPDNDARRQAEEQIKRLAKDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITGHWAKLPHQVKQLVKQSLIESITMEHSAPVRRASANVVSIIAKYAVPAGEWPDLLSFLFQCSQSPQEDHREVALILFSSLTETIGSTFRPHFAELQALLLKCLQDETSNRVRVAALKAVGSFLEFTNDGAEVVKFREFIPSILNVSRQCLAAGEEDVAIIAFEIFDELIESPAPLLGDSVKSIVQFSLEVSSSQNLESNTRHQAIQIISWLAKYKANSLKKQKLVTPILQVMCPLLAESSNVDEDDDLAPDRAAAEVIDTMALNLSKHVFPLVFEFASLSSQNANPKFREAAVTALGVVSEGCAELMKDKLEPVLQIVLGAMRDPEQMVRGAASFALGQFAEHLQPEIISHYASVLPCILNALEDVSDEVKEKSYYALAAFCEDMGVEILPFLDPLMGKLLAALQNSSRNLQETCMSAIGSVAAAAEQAFFPYAERVLELMKVFMVLTNDEDLRARARATELVGIVAMSVGRTRIDPILPAFVEAAISGFGLEFSELREYTHGFFSNVAEIMDDGFVKYLPHVVPLAFSSCNLDDGSAVDIDESDDENINGFGEVSSDDEAHDEPRVRNISIRTGVLDEKAAATQALGLFAQHTKHSYAPYLEESLKILVRHSGYFHEDVRLQAIIALKHILTAAHAIFQCQNDGSMKAKEVLDTVMNIYIKTMTEDDDKEVVAHACMSIADIIKDYGYMALEPYMSQLVDATLTLLREESACQQLENGSDIDDDDDAEHDEILMDAVSDLLPAFAKSMGSLFAPIFAKLFEPLMKFARASCPPQDRTMVVACLAEVAQDMGAPIASYIDRLMPLVLKELASSSATNRRNAAFCAGELAKNGGESTLKYYTDILRGLYPLFGDSEPDDAVRDNAAGAVARMIMVHPQSIPLNQVLPVFLRVLPLKEDHEESMAVYNCVSMLVLSSNPQILSLVPELVNIFAQVLVSPEETSEVKAQVGRAFSHLISLYGQEMQPLLSNLPPAHANALAAFVPSS >EOY01157 pep chromosome:Theobroma_cacao_20110822:2:37931283:37942052:1 gene:TCM_011090 transcript:EOY01157 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MAQSLELLLIQFLMPDNDARRQAEEQIKRLAKDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITGHWAKLPHQVKQLVKQSLIESITMEHSAPVRRASANVVSIIAKYAVPAGEWPDLLSFLFQCSQSPQEDHREVALILFSSLTETIGSTFRPHFAELQALLLKCLQDETSNRVRVAALKAVGSFLEFTNDGAEVVKFREFIPSILNVSRQCLAAGEEDVAIIAFEIFDELIESPAPLLGDSVKSIVQFSLEVSSSQNLESNTRHQAIQIISWLAKYKANSLKKQKLVTPILQVMCPLLAESSNVDEDDDLAPDRAAAEVIDTMALNLSKHVFPLVFEFASLSSQNANPKFREAAVTALGVVSEGCAELMKDKLEPVLQIVLGAMRDPEQMVRGAASFALGQFAEHLQPEIISHYASVLPCILNALEDVSDEVKEKSYYALAAFCEDMGVEILPFLDPLMGKLLAALQNSSRNLQETCMSAIGSVAAAAEQAFFPYAERVLELMKVFMVLTNDEDLRARARATELVGIVAMSVGRTRIDPILPAFVEAAISGFGLEFSELREYTHGFFSNVAEIMDDGFVKYLPHVVPLAFSSCNLDDGSAVDIDESDDENINGFGEVSSDDEAHDEPRVRNISIRTGVLDEKAAATQALGLFAQHTKHSYAPYLEESLKILVRHSGYFHEDVRLQAIIALKHILTAAHAIFQCQNDGSMKAKEVLDTVMNIYIKTMTEDDDKEVVAHACMSIADIIKDYGYMALEPYMSQLVDATLTLLREESACQQLENGSDIDDDDDAEHDEILMDAVSDLLPAFAKSMESFMPSTRSNYGGCLSC >EOX98273 pep chromosome:Theobroma_cacao_20110822:2:5161880:5164868:-1 gene:TCM_007075 transcript:EOX98273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein isoform 1 MGFGTEEMEAVILVNVLRRAGAEVTVASVEPQLEIQASGGTRLVADTTISTCSYQIFDLVALPGGMPGSARLRDCEILKRITSKQAEEKRLYGGISMAPAVTLLPWGLLRRKRTTCHPAFFDKLPTFWAVKSNIQVSGELTTSQGPGTSFLFALSLVEQLFGEAVAREIGESLFMHSADEKPTKEEFNRVDWAFDHTPRVLVPVANGSEEIEVVSIIDILRRAKVDVVVASVEKSVKVLASQGVKIVADKLIGDAAGSIYDLIILPGGVAGAEQLQKSRILKKLLKEQEVDGRLCGAVCSSATVLHKHGLLKEKRATAHPSTTRELTNVVDGPKVVIDGKLITSRGLATVSDFALAIVSKFFGHARARSVAEGLVFEYPRS >EOX98272 pep chromosome:Theobroma_cacao_20110822:2:5161421:5165199:-1 gene:TCM_007075 transcript:EOX98272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein isoform 1 MENLSCLVLKPAAPPRLPGPRLSQMAATGSATFVSSLSFPSSMDSQLPKTTAKRSLKPTEASSSAIPTIPSATTNVRNTTTAVSTKKVLVPMGFGTEEMEAVILVNVLRRAGAEVTVASVEPQLEIQASGGTRLVADTTISTCSYQIFDLVALPLMLGYYSKGGMPGSARLRDCEILKRITSKQAEEKRLYGGISMAPAVTLLPWGLLRRKRTTCHPAFFDKLPTFWAVKSNIQVSGELTTSQGPGTSFLFALSLVEQLFGEAVAREIGESLFMHSADEKPTKEEFNRVDWAFDHTPRVLVPVANGSEEIEVVSIIDILRRAKVDVVVASVEKSVKVLASQGVKIVADKLIGDAAGSIYDLIILPGGVAGAEQLQKSRILKKLLKEQEVDGRLCGAVCSSATVLHKHGLLKEKRATAHPSTTRELTNVVDGPKVVIDGKLITSRGLATVSDFALAIVSKFFGHARARSVAEGLVFEYPRS >EOX98640 pep chromosome:Theobroma_cacao_20110822:2:6574702:6580650:1 gene:TCM_007351 transcript:EOX98640 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase subunit PIG-U isoform 1 MQKMGKQKNKTASFSFWNWVIASIIFRLILIYFPKNLNLASRPEVSTPLTSFRRLAEGYWLKQLSMSPYAGFSFCFFFFSLCPTFFNFFFILIRQKKEMYLIFLVFGYSGSMYHGSPLLLSLLGPLTVKRIEGQPNHLLYSLVSVIADVVSAILIRATGKNLRLAYLRSLESLDIVQQTKASEILSPGDAAALIYLWNPFTIVACVGLSTSPIENMAVILCLYGACSRLVPLAAFGWVIATHLSLYPAILIIPVIFLLGCGPDAPPRKLFLQKNNKEEVLSKSKLRLGFSWRPITHFAFWALLWSAYVLVLCGISLKQFGGLWEMFKSTYGFILTVEDLSPNIGVLWYFFAEVFDFFRNFFLIVFHVNILFMIMPLAIRLHHRPCFLAFVYIAIFSMLKSYPSVGDSALYLGLLGWFVNELADIQFSFFLFCGYVGVSLLSPVMHTLWIWRGTGNANFYFATAMVYACLQIILVVEGVSAMLNHDRKLRNLATSKPQDAKS >EOX98637 pep chromosome:Theobroma_cacao_20110822:2:6574452:6580567:1 gene:TCM_007351 transcript:EOX98637 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase subunit PIG-U isoform 1 MQKMGKQKNKTASFSFWNWVIASIIFRLILIYFPKNLNLASRPEVSTPLTSFRRLAEGYWLKQLSMSPYAGSMYHGSPLLLSLLGPLTVKRIEGQPNHLLYSLVSVIADVVSAILIRATGKNLRLAYLRSLESLDIVQQTKASGEFFKILSPGDAAALIYLWNPFTIVACVGLSTSPIENMAVILCLYGACSRLVPLAAFGWVIATHLSLYPAILIIPVIFLLGCGPDAPPRKLFLQKNNKEEVLSKSKLRLGFSWRPITHFAFWALLWSAYVLVLCGISLKQFGGLWEMFKSTYGFILTVEDLSPNIGVLWYFFAEVFDFFRNFFLIVFHVNILFMIMPLAIRLHHRPCFLAFVYIAIFSMLKSYPSVGDSALYLGLLGWFVNELADIQFSFFLFCGYVGVSLLSPVMHTLWIWRGTGNANFYFATAMVYACLQIILVVEGVSAMLNHDRKLRNLATSKPQDAKS >EOX98639 pep chromosome:Theobroma_cacao_20110822:2:6574775:6580649:1 gene:TCM_007351 transcript:EOX98639 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase subunit PIG-U isoform 1 MQKMGKQKNKTASFSFWNWVIASIIFRLILIYFPKNLNLASRPEVSTPLTSFRRLAEGYWLKQLSMSPYAGSMYHGSPLLLSLLGPLTVKRIEGQPNHLLYSLVSVIADVVSAILIRATGKNLRLAYLRSLESLDIVQQTKASEILSPGDAAALIYLWNPFTIVACVGLSTSPIENMAVILCLYGACSRLVPLAAFGWVIATHLSLYPAILIIPVIFLLGCGPDAPPRKLFLQKNNKEEVLSKSKLRLGFSWRPITHFAFWALLWSAYVLVLCGISLKQFGGLWEMFKSTYGFILTVEDLSPNIGVLWYFFAEVFDFFRNFFLIVFHVNILFMIMPLAIRLHHRPCFLAFVYIAIFSMLKSYPSVGDSALYLGLLGWFVNELADIQFSFFLFCGYVGVSLLSPVMHTLWIWRGTGNANFYFATAMVYACLQIILVVEGVSAMLNHDRKLRNLATSKPQDAKS >EOX98638 pep chromosome:Theobroma_cacao_20110822:2:6574702:6580503:1 gene:TCM_007351 transcript:EOX98638 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase subunit PIG-U isoform 1 MQKMGKQKNKTASFSFWNWVIASIIFRLILIYFPKNLNLASRPEVSTPLTSFRRLAEGYWLKQLSMSPYAGFSFCFFFFSLCPTFFNFFFILIRQKKEMYLIFLVFGYSGSMYHGSPLLLSLLGPLTVKRIEGQPNHLLYSLVSVIADVVSAILIRATGKNLRLAYLRSLESLDIVQQTKASGEFFKILSPGDAAALIYLWNPFTIVACVGLSTSPIENMAVILCLYGACSRLVPLAAFGWVIATHLSLYPAILIIPVIFLLGCGPDAPPRKLFLQKNNKEEVLSKSKLRLGFSWRPITHFAFWALLWSAYVLVLCGISLKQFGGLWEMFKSTYGFILTVEDLSPNIGVLWYFFAEVFDFFRNFFLIVFHVNILFMIMPLAIRLHHRPCFLAFVYIAIFSMLKSYPSVGDSALYLGLLGWFVNELADIQFSFFLFCGYVGVSLLSPVMHTLWIWRGTGNANFYFATAMVYACLQIILVVEGVSAMLNHDRKLRNLATSKPQDAKS >EOX97486 pep chromosome:Theobroma_cacao_20110822:2:2445792:2450622:1 gene:TCM_006486 transcript:EOX97486 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR-signaling kinase 1 isoform 2 MGCCQSSFLTETHPEKDQTQQQQEPRNHNQSGSGAGLDPAAANGVPSFSEFSLADLKAATNNFSSDNIVSESGEKAPNLVYKGRLQNRKWIAVKKFTKMAWPDPKQFAEEAWGVGKLRHGRLANLIGYCCDGDERLLVAEYMINDTLAKHLFHWENQTIEWAMRLRVASCIAEALDYCSSEGRPLYHDLNAYRVIFDEDGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPQSVIYSFGTVLLDLLSGKHIPPSHNQLSYGLLQCFSMRTCYSIALDMIRGKNIILLMDSHLEGKFSTEEATVVVGLASQCLQYEPRERPSTKDLVATLAPLHTKPDVPSYVMLGISKYEEAPATPQRPLSPMGEACSRLDLTAIHQILVMNHYKDDEGTNELSFQEWTQQIRDMLEARKRGDYAFRDKDFKTAIDCYSQFIDVGTMVSPTVFARRSLCYLFCDQPDAALADAMQAQIVNPDWPAAFYMQSVALAKLDMHKDAADMLNEATGLEEKRQRGAKGS >EOX97487 pep chromosome:Theobroma_cacao_20110822:2:2446035:2450609:1 gene:TCM_006486 transcript:EOX97487 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR-signaling kinase 1 isoform 2 MGCCQSSFLTETHPEKDQTQQQQEPRNHNQSGSGAGLDPAAANGVPSFSEFSLADLKAATNNFSSDNIVSESGEKAPNLVYKGRLQNRKWIAVKKFTKMAWPDPKQFAEEAWGVGKLRHGRLANLIGYCCDGDERLLVAEYMINDTLAKHLFHWENQTIEWAMRLRVASCIAEALDYCSSEGRPLYHDLNAYRVIFDEDGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPQSVIYSFGTVLLDLLSGKHIPPSHALDMIRGKNIILLMDSHLEGKFSTEEATVVVGLASQCLQYEPRERPSTKDLVATLAPLHTKPDVPSYVMLGISKYEEAPATPQRPLSPMGEACSRLDLTAIHQILVMNHYKDDEGTNELSFQEWTQQIRDMLEARKRGDYAFRDKDFKTAIDCYSQSVALAKLDMHKDAADMLNEATGLEEKRQRGAKGS >EOY01062 pep chromosome:Theobroma_cacao_20110822:2:37235808:37238451:-1 gene:TCM_010998 transcript:EOY01062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 3 MARMTSNPLTLPRFRPSFQVSESIQLYKSRFLSQNRIRKYQTIACQTNPTPTETEPSNKEKVLVEPDSVKDRTSQATTSSVGNGFSEFPNKNMNRRIAVGSTLAAVGLFLSSRLDFGVSLKDLSAAAMPYEEALSNGKPTVVEFYADWCEVCRELAPDIYKVEQEYRNLMSLVL >EOY01061 pep chromosome:Theobroma_cacao_20110822:2:37235054:37238451:-1 gene:TCM_010998 transcript:EOY01061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 3 MARMTSNPLTLPRFRPSFQVSESIQLYKSRFLSQNRIRKYQTIACQTNPTPTETEPSNKALSNGKPTVVEFYADWCEVCRELAPDIYKVEQEYRDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKDGNEEGNVVGRLPRKYFVENVDALARGEASVPHARVVGQYSSAESRKVHQVVDPRSHV >EOY01060 pep chromosome:Theobroma_cacao_20110822:2:37234925:37238445:-1 gene:TCM_010998 transcript:EOY01060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 3 MARMTSNPLTLPRFRPSFQVSESIQLYKSRFLSQNRIRKYQTIACQTNPTPTETEPSNKEKVLVEPDSVKDRTSQATTSSVGNGFSEFPNKNMNRRIAVGSTLAAVGLFLSSRLDFGVSLKDLSAAAMPYEEALSNGKPTVVEFYADWCEVCRELAPDIYKVEQEYRDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKDGNEEGNVVGRLPRKYFVENVDALARGEASVPHARVVGQYSSAESRKVHQVVDPRSHV >EOY01629 pep chromosome:Theobroma_cacao_20110822:2:40371796:40374441:-1 gene:TCM_011476 transcript:EOY01629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKQLAVKEVVIMFAASKWCTHSLLLECDKYNVVKWITNPQEVPWGLRKLIIQTYTVLGKINKWGMAHMPQSANEEAGLLAKEDVLRTSDLFLVNHAAWLIFNSDPLAVHCLFVFH >EOX98953 pep chromosome:Theobroma_cacao_20110822:2:7911360:7913511:-1 gene:TCM_007613 transcript:EOX98953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein MEVASSQNGSSIMWFFRDKGFDDKSINEMFRKCKRLQGVQKERASENWAYLKSIGIQERKLPSVVSRCPKILTLGLNEKLVPMVECLATLGTKRHEVASAIARFPHIISHSVEEKLCPLLAFFQALGVPEKQLGKMILLNPRLISYSIESKLTEIVDFLATLGLTREGMIGKVLSKYPFIMGYSVDKRLRPTSEFLKSVGLSETDLQTVAMNFPEVLCRDVRKILRPNFAYLERCGFGDREKAALVAGYPPILIKSIKNSLEPRIRFLVEVMGRQIEEVANYPDFFRHGLKKRLELRHRLLKEKDVTCSLSEMLDCNHKKFLLKFGLFKGFA >EOY01585 pep chromosome:Theobroma_cacao_20110822:2:40158487:40169955:-1 gene:TCM_011445 transcript:EOY01585 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacyl-tRNA deacylases isoform 3 MVTVIVATTTDPASINPAIALLAMPGWLPGPSLFRDIISFTNRNVRLLQHNKSIVEEDDLDRRWEEATGEAVDEVIFFSKHTAVSNRPALTVHPIGVPHLREGDVPPQGGRPGWAAPPDPRIGPWLRLLKIIAQSHNLVPEFEITLEGTHHGPITTKPTMFLEIGSTDEYWKRQDAAQVIALLVWKGLGLGGGDEVGKWGRENDNNKVLLGIGGGHYAPRHMDVVIKGSVWVGHLLSGYSLPMEDPSQSKVNGNTEGIGGTWRESIKVAFEATKSAFPGGEVMAHLDHKSLKSWQKNAITAFFVIQQHLNNFGRKQGIHCSKGRMTKEQPVRG >EOY01587 pep chromosome:Theobroma_cacao_20110822:2:40165508:40170578:-1 gene:TCM_011445 transcript:EOY01587 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacyl-tRNA deacylases isoform 3 MVTVIVATTTDPASINPAIALLAMPGWLPGPSLFRDIISFTNRNVRLLQHNKSIVEEDDLDRRWEEATGEAVDEVIFFSKHTAVSNRPALTVHPIGVPHLREGDVPPQGGRPGWAAPPDPRIGPWLRLLKIIAQSHNLVPEFEITLEGTHHGPITTKPTMFLEIGSTDEYWKRQDAAQVIALLVWKGLGLGGGDEVGKWGRENDNNKVLLGIGGGHYAPRHMDVVIKGSVWVGHLLSGYSLPMEDPSQSKVNGNTEGIGGTWRESIKVAFEATKSAFPGGEVMAHLDHKSLKSWQKNAITAFFGEQNIKIGKPNDFI >EOY01586 pep chromosome:Theobroma_cacao_20110822:2:40165508:40170582:-1 gene:TCM_011445 transcript:EOY01586 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacyl-tRNA deacylases isoform 3 MVTVIVATTTDPASINPAIALLAMPGWLPGPSLFRDIISFTNRNVRLLQHNKSIVEEDDLDRRWEEATGEAVDEVIFFSKHTAVSNRPALTVHPIGVPHLREGDVPPQGGRPGWAAPPDPRIGPWLRLLKIIAQSHNLVPEFEITLEGTHHGPITTKPTMFLEIGSTDEYWKRQDAAQVIALLVWKGLGLGGGDEVGKWGRENDNNKVLLGIGGGHYAPRHMDVVIKGSVWVGHLLSGYSLPMEDPSQSKVNGNTEGIGGTWRESIKVAFEATKSAFPGGEVMAHLDHKLFSSI >EOY01588 pep chromosome:Theobroma_cacao_20110822:2:40165476:40170069:-1 gene:TCM_011445 transcript:EOY01588 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacyl-tRNA deacylases isoform 3 MVTVIVATTTDPASINPAIALLAMPGWLPGPSLFRDIISFTNRNVRLLQHNKSIVEEDDLDRRWEEATGEAVDEVIFFSKHTAVSNRPALTVHPIGVPHLREGDVPPQGGRPGWAAPPDPRIGPWLRLLKIIAQSHNLVPEFEITLEGTHHGPITTKPTMFLEIGSTDEYWKRQDAAQVIALLVWKGLGLGGGDEVGKWGRENDNNKVLLGIGGGHYAPRHMDVVIKGSVWVGHLLSGYSLPMEDPSQSKVNGNTEGIGGTWRESIKVAFEATKSAFPGGEVMAHLDHKSLKSWQKNAITAFFGEQNIKIGKPNDFI >EOY01589 pep chromosome:Theobroma_cacao_20110822:2:40167180:40170817:-1 gene:TCM_011445 transcript:EOY01589 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacyl-tRNA deacylases isoform 3 MVTVIVATTTDPASINPAIALLAMPGWLPGPSLFRDIISFTNRNVRLLQHNKSIVEEDDLDRRWEEATGEAVDEVIFFSKHTAVSNRPALTVHPIGVPHLREGDVPPQGGRPGWAAPPDPRIGPWLRLLKIIAQSHNLVPEFEITLEGTHHGPITTKPTMFLEIGSTDEYWKRQDAAQVIALLVWKGLGLGGGDEVGKWGRENDNNKVLLGIGGGHYAPRHMDVVIKGSVWVGHLLSGYSLPMEDPSQSKVNGNTEGIGGTWRESIKVAF >EOX98110 pep chromosome:Theobroma_cacao_20110822:2:4586631:4590199:1 gene:TCM_006944 transcript:EOX98110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor 73-I MASTGQPSSLKRRDAPLTREGDQLTITPLGAGNEVGRSCVYMSYKSKTVLFDCGIHPGYSGMAALPYFDEIDPSTIDALLITHFHLDHAASLPYFLEKTTFRGRVFMTHATKAIYKLILTDYVKVSKVSVEDMLFDEQDINRSMDKIEVIDFHQTVEVNGIKFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAELPQFSPDICIIESTYGVQLHQPRHIREKRFTDAVHSTISQGGRVLIPAFALGRSQELLLILDEYWSNHPELHNIPIYYASPLAKKCMAVYQTYILSMNERIRNQFANSNPFKFKHISPLNSIEDFSDVGPSVVMASPGGLQSGLSRQLFDMWCSDKRNACILPGYVVEGTLAKTIINEPKEVTLMNGLTAPLCMQVHYISFSAHADYAQTSTFLKELMPPNIILVHGEANEMGRLKQKLITELTDGNTKIITPKNCQSVEMYFNSEKMAKTIGRLAEKTPEVGETVSGVLVKKGFTYQIMAPDDIHIFSQLSTANITQRITIPFAGAFGVIKHRLEQIYESVESSTDEESGVPTLRVHDRVTVKQDSDKHISLHWTSDPISDMVSDSIVALVLNISREIPKVVVESEAVKMEEENGKKAEKVIHALLVSLFGDVKLGENGKLMISVDGNVAHLDKQSGDVESENEGLKERVKTAFRRIQSAVKPIPLSAS >EOX99628 pep chromosome:Theobroma_cacao_20110822:2:12852321:12853770:-1 gene:TCM_008349 transcript:EOX99628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSLSTVGTLNALRTKKSFKAKQSLRLQVMQRLHRLLLPQSALPPTKMLFAKGFNMTAPVLVTIHPPTGPHLPLFVLRCSLLHAPKMPKNPLSLPTQLKHTPQHEYAAVRRFGGLMDDSIISALKATAWESSIPYRTNTFGAPLP >EOY00674 pep chromosome:Theobroma_cacao_20110822:2:34438183:34439403:1 gene:TCM_010596 transcript:EOY00674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 family protein isoform 1 MNAIARRVSATIFAPASQGVNSLGRDPSQIQQWRGIRVKVRNGNLERALVLMQRKMQSSGIERLIKQEQTHHVKNSEKRILARKNLERKIRSQELARKLQTILIKKVRGL >EOY00673 pep chromosome:Theobroma_cacao_20110822:2:34438078:34439403:1 gene:TCM_010596 transcript:EOY00673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 family protein isoform 1 MNAIARRVSATIFAPASQGVNSLGRDPSQIQQWRGIRVKVRNGNLERALVLMQRKMQSSGIERLIKQEQTHHVKNSEKRILARKNLERKIRSQELARKLQTILIKKVRGL >EOX97169 pep chromosome:Theobroma_cacao_20110822:2:1423595:1426245:-1 gene:TCM_006256 transcript:EOX97169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELFTKRLTQTDIDKRLAIPTNSLVYFPGFKGNHSVELKVKDKSHRLWTFRCSIRKKRYLKPVFSSGWLEFIRSNNLRIGDKVSVRLEQGHVSGVEYGIEVQRKIRLLGKDVWADVL >EOY01029 pep chromosome:Theobroma_cacao_20110822:2:36954621:36960775:-1 gene:TCM_010965 transcript:EOY01029 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor family protein MKRDHHHLQTNPDPSTVGSSSVCGGSTTGKAKMWQDEVAQQDCGMDELLAVLGYKVKTSDMAEVAQKLEQLEEVMCNVQDDGISHLASETVHYNPSDLSTWLESMLSELNPPSAFDPVVAAGPAAAATLDDSFLGPAESSTLTTLDFDNNNQKHQKKGRQLFEEASCSDYDLKAIPGKAIYSQKTQTHDSSSSSTQNNVVKSEKRLKSTSGSHSDIYPPPASSYGIPAESTRPVVLVDSQENGIRLVHALMACAEAVQQNNLNLAEALVKQVGFLASSQAGAMRKVATYFAEALARRIYRLYPQNPLDHSLSDVLHMHFYETCPYLKFAHFTANQAILEAFEGKKRVHVIDFSMNQGMQWPALMQALALRGGGPPTFRLTGIGPPSHDNSDHLQEVGWKLAQLAETIHVEFEYRGFVANSLADLDASMLDLRPSEGEAVAVNSVFELHKLLARPGAIDKVLSVVKQMKPEIVTIVEQEANHNGPVFLDRFTESLHYYSTLFDSLEGSVSSQDKVMSEVYLGKQICNVVACEGVDRVERHEKLAHWRNRLGTAGFASVHLGSNAFKQASMLLALFAGGDGYGVEENNGCLMLGWHSRPLIATSAWKLANKTAATAH >EOY00822 pep chromosome:Theobroma_cacao_20110822:2:35420436:35422977:1 gene:TCM_010757 transcript:EOY00822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase C2 isoform 2 MASDKKIKIGINGFGRIGRLVARVALQRNDVELVAVNDPFITTDYMTYMFKYDSVHGQWKHHNVKVKDSKTLLFGEKPVTVFGIRNPEEIPWAETGAEFVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKPELDIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMSFRVPTVDVSVVDLTVRLAKSASYDEIKAAIR >EOY00821 pep chromosome:Theobroma_cacao_20110822:2:35420141:35424035:1 gene:TCM_010757 transcript:EOY00821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase C2 isoform 2 MASDKKIKIGINGFGRIGRLVARVALQRNDVELVAVNDPFITTDYMTYMFKYDSVHGQWKHHNVKVKDSKTLLFGEKPVTVFGIRNPEEIPWAETGAEFVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKPELDIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMSFRVPTVDVSVVDLTVRLAKSASYDEIKAAIREESEGKLKGILGYIEEDVVSTDFVGDSRSSIFDAKAGIALNGNFVKLVSWYDNEWGYSSRVIDLIVHMASTQAC >EOY00824 pep chromosome:Theobroma_cacao_20110822:2:35420436:35422977:1 gene:TCM_010757 transcript:EOY00824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase C2 isoform 2 MASDKKIKIGINGFGRIGRLVARVALQRNDVELVAVNDPFITTDYMTYMFKYDSVHGQWKHHNVKVKDSKTLLFGEKPVTVFGIRNPEEIPWAETGAEFVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKPELDIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMSFRVPTVDVSVVDLTVRLAKSASYDEIKAAIR >EOY00823 pep chromosome:Theobroma_cacao_20110822:2:35420436:35422977:1 gene:TCM_010757 transcript:EOY00823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase C2 isoform 2 MASDKKIKIGINGFGRIGRLVARVALQRNDVELVAVNDPFITTDYMTYMFKYDSVHGQWKHHNVKVKDSKTLLFGEKPVTVFGIRNPEEIPWAETGAEFVVESTGVFTDKDKGGAKKVVISAPSKDAPMFVVGVNEKEYKPELDIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMSFRVPTVDVSVVDLTVRLAKSASYDEIKAAIR >EOY01114 pep chromosome:Theobroma_cacao_20110822:2:37720440:37725804:1 gene:TCM_011059 transcript:EOY01114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-binding, kelch repeat, f box 1 isoform 1 MSNAEEVMQSSGKRQRFSKVEEEKRREMEEEEDEDEIDDDEDEEESELPLKPGLLFFPTTPTSFVVSDALEPDFPIIYVNKVFEVFTGYRADEVLGRNCRFLQYRDPRAQRRHPLVDPFVVSEIRRCLEEGIVFQGELLNFRKDGTPLVNRLKLAPIHDDDRTVTHIIGIQVFSEAKIDLNHVSYPVFKEACNQQLDQSAKYSHLSENPPFSQHQEICGILQLSDEVLAHNILSRLTPRDVASIGSVCRRVRQLTKNEHVRKMVCQNAWGREVTGTLEMMTKKLGWGRLARELTTLEAVCWRKLTVGGSVEPSRCNFSACAAGNRLVLFGGEGVNMQPMDDTFVLNLDAANPEWQLVSVESSPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFVLDLDAKQPTWKEVSGGTPPLPRSWHSSCTIDGSKLVVSGGCTDAGVLLSDTFLLDLTTDKPKWKEIPTSWAPPSRLGQSLSVYGRTKILMFGGLAKSGNLRLRSGEAYTIDLEDEEPHWRQLDFSAFTSLGSQSAVVPPPRLDHVAVSMPCGRIIIFGGSIAGLHSPSQLFLLDPSEEKPSWRTLNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRQDSDS >EOY01115 pep chromosome:Theobroma_cacao_20110822:2:37721721:37725804:1 gene:TCM_011059 transcript:EOY01115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-binding, kelch repeat, f box 1 isoform 1 STLVFEHSESRFLQYRDPRAQRRHPLVDPFVVSEIRRCLEEGIVFQGELLNFRKDGTPLVNRLKLAPIHDDDRTVTHIIGIQVFSEAKIDLNHVSYPVFKEACNQQLDQSAKYSHLSENPPFSQHQEICGILQLSDEVLAHNILSRLTPRDVASIGSVCRRVRQLTKNEHVRKMVCQNAWGREVTGTLEMMTKKLGWGRLARELTTLEAVCWRKLTVGGSVEPSRCNFSACAAGNRLVLFGGEGVNMQPMDDTFVLNLDAANPEWQLVSVESSPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFVLDLDAKQPTWKEVSGGTPPLPRSWHSSCTIDGSKLVVSGGCTDAGVLLSDTFLLDLTTDKPKWKEIPTSWAPPSRLGQSLSVYGRTKILMFGGLAKSGNLRLRSGEAYTIDLEDEEPHWRQLDFSAFTSLGSQSAVVPPPRLDHVAVSMPCGRIIIFGGSIAGLHSPSQLFLLDPSEEKPSWRTLNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRQDSDS >EOY01113 pep chromosome:Theobroma_cacao_20110822:2:37720195:37725353:1 gene:TCM_011059 transcript:EOY01113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-binding, kelch repeat, f box 1 isoform 1 MSNAEEVMQSSGKRQRFSKVEEEKRREMEEEEDEDEIDDDEDEEESELPLKPGLLFFPTTPTSFVVSDALEPDFPIIYVNKVFEVFTGYRADEVLGRNCRFLQYRDPRAQRRHPLVDPFVVSEIRRCLEEGIVFQGELLNFRKDGTPLVNRLKLAPIHDDDRTVTHIIGIQVFSEAKIDLNHVSYPVFKEACNQQLDQSAKYSHLSENPPFSQHQEICGILQLSDEVLAHNILSRLTPRDVASIGSVCRRVRQLTKNEHVRKMVCQNAWGREVTGTLEMMTKKLGWGRLARELTTLEAVCWRKLTVGGSVEPSRCNFSACAAGNRLVLFGGEGVNMQPMDDTFVLNLDAANPEWQLVSVESSPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFVLDLDAKQPTWKEVSGGTPPLPRSWHSSCTIDGSKLVVSGGCTDAGVLLSDTFLLDLTTDKPKWKEIPTSWAPPSRLGQSLSVYGRTKILMFGGLAKSGNLRLRSGEAYTIDLEDEEPHWRQLDFSAFTSLGSQSAVVPPPRLDHVAVSMPCGRIIIFGGSIAGLHSPSQLFLLDPSEEKPSWRTLNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRQDSDS >EOY01116 pep chromosome:Theobroma_cacao_20110822:2:37721721:37725804:1 gene:TCM_011059 transcript:EOY01116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-binding, kelch repeat, f box 1 isoform 1 STLVFEHSESRFLQYRDPRAQRRHPLVDPFVVSEIRRCLEEGIVFQGELLNFRKDGTPLVNRLKLAPIHDDDRTVTHIIGIQVFSEAKIDLNHVSYPVFKEACNQQLDQSAKYSHLSENPPFSQHQEICGILQLSDEVLAHNILSRLTPRDVASIGSVCRRVRQLTKNEHVRKMVCQNAWGREVTGTLEMMTKKLGWGRLARELTTLEAVCWRKLTVGGSVEPSRCNFSACAAGNRLVLFGGEGVNMQPMDDTFVLNLDAANPEWQLVSVESSPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFVLDLDAKQPTWKEVSGGTPPLPRSWHSSCTIDGSKLVVSGGCTDAGVLLSDTFLLDLTTDKPKWKEIPTSWAPPSRLGQSLSVYGRTKILMFGGLAKSGNLRLRSGEAYTIDLEDEEPHWRQLDFSAFTSLGSQSAVVPPPRLDHVAVSMPCGRIIIFGGSIAGLHSPSQLFLLDPSEEKPSWRTLNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRQDSDS >EOX97959 pep chromosome:Theobroma_cacao_20110822:2:4087352:4090352:1 gene:TCM_006844 transcript:EOX97959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidylate synthase 1 isoform 7 MSADIMMSIPNGNGNGNAQPDPQRTYQVVVAATKDMGIGKDGKLPWKLPSDLKFFKDVTLTTSDSGKKNAVIMGRKTWESIPLQNRPLPGRLNVVLTRSGSFDIATAENVVICGSMTSALELLAASPYCLSIEKVFVIGGGQIFRESLNAPGCDAIHITEIETSIECDTFMPSIDSSVFQPWYSSFPVVENDIRYCFTTFVRVRNSAVEHISQNSDQVFDDKPDAGKFEVKKFSFLPKMIFKKHEEYLYLKMVQDIISDGNLKDDRTGTGTLSKFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQDKGIHIWDGNASRDFLDSIGLTDREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLSDVIDKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCDLVPGDFIHVLGDAHVYTNHVRPLQEQLQKLPKPFSVNFEDQSREEEYRFLCGI >EOX97964 pep chromosome:Theobroma_cacao_20110822:2:4086680:4090329:1 gene:TCM_006844 transcript:EOX97964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidylate synthase 1 isoform 7 MSADIMMSIPNGNGNGNAQPDPQRTYQVVVAATKDMGIGKDGKLPWKLPSDLKFFKDVTLTTSDSGKKNAVIMGRKTWESIPLQNRPLPGRLNVVLTRSGSFDIATAENVVICGSMTSALELLAASPYCLSIEKVFVIGGGQIFRESLNAPGCDAIHITEIETSIECDTFMPSIDSSVFQPWYSSFPVVENDIRYCFTTFVRVRNSAVEHISQNSDQVFDDKPDAGKFEVKKFSFLPKMIFKKHEEYLYLKMVQDIISDGNLKDDRTGTGTLSKFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQDKGIHIWDGNASRDFLDSIGLTDREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLSDVIDKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCGMLSSRPILY >EOX97957 pep chromosome:Theobroma_cacao_20110822:2:4086144:4091329:1 gene:TCM_006844 transcript:EOX97957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidylate synthase 1 isoform 7 MATAMATHNLILSELTKLLWLRLKIWALVRMGSFDIATAENVVICGSMTSALELLAASPYCLSIEKVFVIGGGQIFRESLNAPGCDAIHITEIETSIECDTFMPSIDSSVFQPWYSSFPVVENDIRYCFTTFVRVRNSAVEHISQNSDQVFDDKPDAGKFEVKKFSFLPKMIFKKHEEYLYLKMVQDIISDGNLKDDRTGTGTLSKFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQDKGIHIWDGNASRDFLDSIGLTDREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLSDVIDKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCDLVPGDFIHVLGDAHVYTNHVRPLQEQLQKLPKPFSILKINPEKKNIDSFVASDFKLIGYDPHEKIEMKMAV >EOX97963 pep chromosome:Theobroma_cacao_20110822:2:4086680:4091511:1 gene:TCM_006844 transcript:EOX97963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidylate synthase 1 isoform 7 MSADIMMSIPNGNGNGNAQPDPQRTYQVVVAATKDMGIGKDGKLPWKLPSDLKFFKDVTLTTSDSGKKNAVIMGRKTWESIPLQNRPLPGRLNVVLTRSGSFDIATAENVVICGSMTSALELLAASPYCLSIEKVFVIGGGQIFRESLNAPGCDAIHITEIETSIECDTFMPSIDSSVFQPWYSSFPVVENDIRYCFTTFVRVRNSAVEHISQNSDQVFDDKPDAGKFEVKKFSFLPKMIFKKHEEYLYLKMVQDIISDGNLKDDRTGTGTLSKFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQDKGIHIWDGNASRDFLDSIGLTDREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLSDVIDKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCGASYWRLMLM >EOX97962 pep chromosome:Theobroma_cacao_20110822:2:4086680:4091511:1 gene:TCM_006844 transcript:EOX97962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidylate synthase 1 isoform 7 MSADIMMSIPNGNGNGNAQPDPQRTYQVVVAATKDMGIGKDGKLPWKLPSDLKFFKDVTLTTSDSGKKNAVIMGRKTWESIPLQNRPLPGRLNVVLTRSGSFDIATAENVVICGSMTSALELLAASPYCLSIEKVFVIGGGQIFRESLNAPGCDAIHITEIETSIECDTFMPSIDSSVFQPWYSSFPVVENDIRYCFTTFVRVRNSAVEHISQNSDQVFDDKPDAGKFEVKKFSFLPKMIFKKHEEYLYLKMVQDIISDGNLKDDRTGTGTLSKFGCQMRFNLRKTFPLLTTKALFWRGVVEELLWFISGSTNAKVLQDKGIHIWDGNASRDFLDSIGLTDREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLSDVIDKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCGASYWRLMLM >EOX97965 pep chromosome:Theobroma_cacao_20110822:2:4086144:4090658:1 gene:TCM_006844 transcript:EOX97965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidylate synthase 1 isoform 7 MSADIMMSIPNGNGNGNAQPDPQRTYQVVVAATKDMGIGKDGKLPWKLPSDLKFFKDVTLTTSDSGKKNAVIMGRKTWESIPLQNRPLPGRLNVVLTRSGSFDIATAENVVICGSMTSALELLAASPYCLSIEKVFVIGGGQIFRESLNAPGCDAIHITEIETSIECDTFMPSIDSSVFQPWYSSFPVVENDIRYCFTTFVRVRNSAVEHISQNSDQVFDDKPDAGKFEVKKFSFLPKMIFKKHEEYLYLKMVQDIISDGNLKDDRTGTGTLSKFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCDLVPGDFIHVLGDAHVYTNHVRPLQEQLQKLPKPFSVSLVN >EOX97958 pep chromosome:Theobroma_cacao_20110822:2:4086144:4091707:1 gene:TCM_006844 transcript:EOX97958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidylate synthase 1 isoform 7 MSADIMMSIPNGNGNGNAQPDPQRTYQVVVAATKDMGIGKDGKLPWKLPSDLKFFKDVTLTTSDSGKKNAVIMGRKTWESIPLQNRPLPGRLNVVLTRSGSFDIATAENVVICGSMTSALELLAASPYCLSIEKVFVIGGGQIFRESLNAPGCDAIHITEIETSIECDTFMPSIDSSVFQPWYSSFPVVENDIRYCFTTFVRVRNSAVEHISQNSDQVFDDKPDAGKFEVKKFSFLPKMIFKKHEEYLYLKMVQDIISDGNLKDDRTGTGTLSKFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQDKGIHIWDGNASRDFLDSIGLTDREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLSDVIDKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCDLVPGDFIHVLGDAHVYTNHVRPLQEQLQKLPKPFSILKINPEKKNIDSFVASDFKLIGYDPHEKIEMKMAV >EOX97960 pep chromosome:Theobroma_cacao_20110822:2:4086304:4091511:1 gene:TCM_006844 transcript:EOX97960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidylate synthase 1 isoform 7 MSADIMMSIPNGNGNGNAQPDPQRTYQVVVAATKDMGIGKDGKLPWKLPSDLKFFKDVTLTTSDSGKKNAVIMGRKTWESIPLQNRPLPGRLNVVLTRSGSFDIATAENVVICGSMTSALELLAASPYCLSIEKVFVIGGGQIFRESLNAPGCDAIHITEIETSIECDTFMPSIDSSVFQPWYSSFPVVENDIRYCFTTFVRVRNSAVEHISQNSDQVFDDKPDAGKFEVKKFSFLPKMIFKKHEEYLYLKMVQDIISDGNLKDDRTGTGTLSKFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQDKGIHIWDGNASRDFLDSIGLTDREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLSDVIDKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCDLVPGDFIHVLGDAHVYTNHVRPLQEQLQKLPKPFSILKINPEKKN >EOX97956 pep chromosome:Theobroma_cacao_20110822:2:4086155:4091707:1 gene:TCM_006844 transcript:EOX97956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidylate synthase 1 isoform 7 MSADIMMSIPNGNGNGNAQPDPQRTYQVVVAATKDMGIGKDGKLPWKLPSDLKFFKDVTLTTSDSGKKNAVIMGRKTWESIPLQNRPLPGRLNVVLTRSGSFDIATAENVVICGSMTSALELLAASPYCLSIEKVFVIGGGQIFRESLNAPGCDAIHITEIETSIECDTFMPSIDSSVFQPWYSSFPVVENDIRYCFTTFVRVRNSAVEHISQNSDQVFDDKPDAGKFEVKKFSFLPKMIFKKHEEYLYLKMVQDIISDGNLKDDRTGTGTLSKFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQDKGIHIWDGNASRDFLDSIGLTDREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLSDVIDKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCDLVPGDFIHVLGDAHVYTNHVRPLQEQLQKLPKPFSILKINPEKKNIDSFVASDFKLIGYDPHEKIEMKMAV >EOX97961 pep chromosome:Theobroma_cacao_20110822:2:4086144:4091707:1 gene:TCM_006844 transcript:EOX97961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidylate synthase 1 isoform 7 MSADIMMSIPNGNGNGNAQPDPQRTYQVVVAATKDMGIGKDGKLPWKLPSDLKFFKDVTLTTSDSGKKNAVIMGRKTWESIPLQNRPLPGRLNVVLTRSGSFDIATAENVVICGSMTSALELLAASPYCLSIEKVFVIGGGQIFRESLNAPGCDAIHITEIETSIECDTFMPSIDSSVFQPWYSSFPVVENDIRYCFTTFVRVRNSAVEHISQNSDQVFDDKPDAGKFEVKKFSFLPKMIFKKHEEYLYLKMVQDIISDGNLKDDRTGTGTLSKFGCQMRFNLRKTFPLLTTKALFWRGVVEELLWFISGSTNAKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCDLVPGDFIHVLGDAHVYTNHVRPLQEQLQKLPKPFSILKINPEKKNIDSFVASDFKLIGYDPHEKIEMKMAV >EOX97874 pep chromosome:Theobroma_cacao_20110822:2:3778045:3783243:-1 gene:TCM_006796 transcript:EOX97874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein, putative isoform 1 MDTGIWPESESFKDKGMGKVPSRWKGICQEGEGFNRSHCNRKIIGARWYVKGYEAEFGKLDPSDGVEFMSPRDASGHGSHTSSTASGALVENANFRGLAQGLARGGASSSWLAIYKVCWATGGCSSADLLAAFDDAIFDGVNVLSVSLGSPPPLSTYVDDTLAIGSFHAVARGISVVCSAGNSGPYPQTVINTAPWVITVAASTIDRDFPSVVTMGNNQTVVGQSFYTGRKVNKFHPIVYGEDIAATDVDENSAGSCDLETLNATLARGKIVLCFQSRSQRSAAIASRSVLKVKGAGVIFAQFPTKDVSCPWSFPCVQLDFAAGTSLLTYIAASRNPVVKFSFSKTVTGQQLAPEVAYFSSRGPSSLSPSVLKPDIAAPGVDILASWSPASSSKPLDSPQNKASPFNFKLDSGTSMACPHISGIVALLKGIHPTWSPAAIKSALVTTASVTDEYGQNTVAEGAPHKQADPFDYGGGHVDPNKALSPGLIYDMEISDYVCFLYAMGYNSTAISLMTRVRTPCRKSAKFLLNLNLPSITIPELKQRLTVSRTVTNVGPINSVYFARVQAPAGTHVSVKPSNLSFNSTTKKLKFKVTICSQLKVQGRYSFGNLYWEDGIHVVRIPLTVRIIINDYFYTET >EOX97875 pep chromosome:Theobroma_cacao_20110822:2:3778231:3783238:-1 gene:TCM_006796 transcript:EOX97875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein, putative isoform 1 MASFSSPRACGVVLALLPSFFFLALAASNVYIVYMGERHTDQPNLLEDSHHQILSDILGSKESAKESILYSYKHGLSGFAAVLSQSQAKLIADVPGVVRVVPNRILSLHTTRSWDFLHVKPQIVDGILSRSHSGVGTIIGVMDTGIWPESESFKDKGMGKVPSRWKGICQEGEGFNRSHCNRKIIGARWYVKGYEAEFGKLDPSDGVEFMSPRDASGHGSHTSSTASGALVENANFRGLAQGLARGGASSSWLAIYKVCWATGGCSSADLLAAFDDAIFDGVNVLSVSLGSPPPLSTYVDDTLAIGSFHAVARGISVVCSAGNSGPYPQTVINTAPWVITVAASTIDRDFPSVVTMGNNQTVVGQSFYTGRKVNKFHPIVYGEDIAATDVDENSAGSCDLETLNATLARGKIVLCFQSRSQRSAAIASRSVLKVKGAGVIFAQFPTKDVSCPWSFPCVQLDFAAGTSLLTYIAASRNPVVKFSFSKTVTGQQLAPEVAYFSSRGPSSLSPSVLKVLAFFFLREVTRPFLLTCHCILQPDIAAPGVDILASWSPASSSKPLDSPQNKASPFNFKLDSGTSMACPHISGIVALLKGIHPTWSPAAIKSALVTTASVTDEYGQNTVAEGAPHKQADPFDYGGGHVDPNKALSPGLIYDMEISDYVCFLYAMGYNSTAISLMTRVRTPCRKSAKFLLNLNLPSITIPELKQRLTVSRTVTNVGPINSVYFARVQAPAGTHVSVKPSNLSFNSTTKKLKFKVTICSQLKVQGRYSFGNLYWEDGIHVVRIPLTVRIIINDYFYTET >EOX97876 pep chromosome:Theobroma_cacao_20110822:2:3778887:3783269:-1 gene:TCM_006796 transcript:EOX97876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein, putative isoform 1 MASFSSPRACGVVLALLPSFFFLALAASNVYIVYMGERHTDQPNLLEDSHHQILSDILGSKESAKESILYSYKHGLSGFAAVLSQSQAKLIADVPGVVRVVPNRILSLHTTRSWDFLHVKPQIVDGILSRSHSGVGTIIGVMDTGIWPESESFKDKGMGKVPSRWKGICQEGEGFNRSHCNRKIIGARWYVKGYEAEFGKLDPSDGVEFMSPRDASGHGSHTSSTASGALVENANFRGLAQGLARGGASSSWLAIYKVCWATGGCSSADLLAAFDDAIFDGVNVLSVSLGSPPPLSTYVDDTLAIGSFHAVARGISVVCSAGNSGPYPQTVINTAPWVITVAASTIDRDFPSVVTMGNNQTVVGQSFYTGRKVNKFHPIVYGEDIAATDVDENSAGSCDLETLNATLARGKIVLCFQSRSQRSAAIASRSVLKVKGAGVIFAQFPTKDVSCPWSFPCVQLDFAAGTSLLTYIAASRNPVVKFSFSKTVTGQQLAPEVAYFSSRGPSSLSPSVLKTLLLQELIYWLPGPLLLPPSH >EOX97873 pep chromosome:Theobroma_cacao_20110822:2:3775808:3783306:-1 gene:TCM_006796 transcript:EOX97873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein, putative isoform 1 MASFSSPRACGVVLALLPSFFFLALAASNVYIVYMGERHTDQPNLLEDSHHQILSDILGSKESAKESILYSYKHGLSGFAAVLSQSQAKLIADVPGVVRVVPNRILSLHTTRSWDFLHVKPQIVDGILSRSHSGVGTIIGVMDTGIWPESESFKDKGMGKVPSRWKGICQEGEGFNRSHCNRKIIGARWYVKGYEAEFGKLDPSDGVEFMSPRDASGHGSHTSSTASGALVENANFRGLAQGLARGGASSSWLAIYKVCWATGGCSSADLLAAFDDAIFDGVNVLSVSLGSPPPLSTYVDDTLAIGSFHAVARGISVVCSAGNSGPYPQTVINTAPWVITVAASTIDRDFPSVVTMGNNQTVVGQSFYTGRKVNKFHPIVYGEDIAATDVDENSAGSCDLETLNATLARGKIVLCFQSRSQRSAAIASRSVLKVKGAGVIFAQFPTKDVSCPWSFPCVQLDFAAGTSLLTYIAASRNPVVKFSFSKTVTGQQLAPEVAYFSSRGPSSLSPSVLKPDIAAPGVDILASWSPASSSKPLDSPQNKASPFNFKLDSGTSMACPHISGIVALLKGIHPTWSPAAIKSALVTTASVTDEYGQNTVAEGAPHKQADPFDYGGGHVDPNKALSPGLIYDMEISDYVCFLYAMGYNSTAISLMTRVRTPCRKSAKFLLNLNLPSITIPELKQRLTVSRTVTNVGPINSVYFARVQAPAGTHVSVKPSNLSFNSTTKKLKFKVTICSQLKVQGRYSFGNLYWEDGIHVVRIPLTVRIIINDYFYTET >EOX99699 pep chromosome:Theobroma_cacao_20110822:2:13914507:13915581:1 gene:TCM_008473 transcript:EOX99699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDNLEKRPQMGSIVNDGSGSEAKGYGSMVNGGSICSSDVGPSASIVAAAIAKGLYKPPIQHQAQTKETGLIREWTRKREESLDKKLEKWRSEMSQPASAGKQKAKSSKGRRSRGNRGDDAKLFSCFGNAFGCEISITCGGSKKKHGNGNNKICHLSSVDDNYSQSFV >EOX97168 pep chromosome:Theobroma_cacao_20110822:2:1422180:1423184:-1 gene:TCM_006255 transcript:EOX97168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox 31-like protein MAGEASKDVIKIEASEVEPISVQRCSSLVPETERIRYKECRRNHAASFGRYAFDGCGEFIGCNDDAFMCAACGCHRSFHRKEPPNNLNNAALPPPHQPMPPQKPLLAPLPLASHNGLTGETSLFPRDRNIDAGSETLSGAEVEEPKDTKKRAKRTRITMEQKTKMMRFADKLGWRPQKHDDAEVQQFCEEVGITKRVFVVWLNNNRRRKDSMRSKEQAWAHAVAENNNMSAAQN >EOX98420 pep chromosome:Theobroma_cacao_20110822:2:5720129:5721433:-1 gene:TCM_007185 transcript:EOX98420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger SWIM domain-containing protein 7 isoform 1 MEAVRNFQTTNLAGGSQYTFSKSALFCKPKKRMTASHLVAESVWKTIESTHSVSEEQLSILHFLFGKNFERATRIVDQRGVKKISGEPSGRSIFQVVGESRRKEEYFCFPEHYCACYSFFYDIANRGEQLCVRLCYSFFLSTPSHFSSFYCF >EOX98419 pep chromosome:Theobroma_cacao_20110822:2:5719930:5721344:-1 gene:TCM_007185 transcript:EOX98419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger SWIM domain-containing protein 7 isoform 1 MEAVRNFQTTNLAGGSQYTFSKSALFCKPKKRMTASHLVAESVWKTIESTHSVSEEQLSILHFLFGKNFERATRIVDQRGVKKISGEPSGRSIFQVVGESRRKEEYFCFPEHYCACYSFFYDIANRGEQLCCKHQLAARLAGSLGACVEVKVSDEQLALLLSEL >EOY02016 pep chromosome:Theobroma_cacao_20110822:2:41687438:41693475:-1 gene:TCM_011776 transcript:EOY02016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb124, putative isoform 2 MQETKKKNGGAGNEDSKKKERHIVTWTQEEDDILREQISLHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKVFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENTTTCINANNKRILFQNGFNTEGTTECTAPVKRMRRSHISDVMENCDFGDRAHKVSGTIINQQLRHPFAVLGQNLHNVNNVVVQSQINNVKEVSNDAQNNRTQGTFLKKDDPKITALMQQAELLSSLALKVNTDNTEQSLENAWKVLQDFLNQSKENDIFRYTISDIDFQLEDFKDLLEDLRSSNEGSRPSWRQPDLYEASPASSEYSTGSTLMPHLAGQKGEETQVKIHALHQDILSSHIGEQNCGSEQEKGALSSANTDHVEIIPSCDDQTNIVVASTSSSADFSSPVQVTPLFRSLAAGIPSPKFSESERNFLLKTLGMESPSPNPGNNLSQPPPCKRVLLHSL >EOY02015 pep chromosome:Theobroma_cacao_20110822:2:41688044:41693475:-1 gene:TCM_011776 transcript:EOY02015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb124, putative isoform 2 MQETKKKNGGAGNEDSKKKERHIVTWTQEEDDILREQISLHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKVFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENTTTCINANNKRILFQNGFNTEGTTECTAPVKRMRRSHISDVMENCDFGDRAHKVSGTIINQQLRHPFAVLGQNLHNVNNVVVQSQINNVKEVSNDAAQNNRTQGTFLKKDDPKITALMQQAELLSSLALKVNTDNTEQSLENAWKVLQDFLNQSKENDIFRYTISDIDFQLEDFKDLLEDLRSSNEGSRPSWRQPDLYEASPASSEYSTGSTLMPHLAGQKGEETQVKIHALHQDILSSHIGEQNCGSEQEKGALSSANTDHVEIIPSCDDQTNIVVASTSSSADFSSPVQVTPLFRSLAAGIPSPKFSESERNFLLKTLGMESPSPNPGNNLSQPPPCKRVLLHSL >EOY01675 pep chromosome:Theobroma_cacao_20110822:2:40566108:40571751:-1 gene:TCM_011517 transcript:EOY01675 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 1 NNNNNKPNFFARSRKILEQRKSLPIASVERRLIEEVRKHDILIIVGETGSGKTTQIPQFLFNAGFCRGGKTVGITQPRRVAAVTVAKRVAEECGVELGQKVGYSIRFEDVTSSSTSIKYMTDGLLLREALLDPYLSRYSVIVVDEAHERTVHTDVLLGLLKKVHNVRSKYIRDHTSVGHKRTSDGAILEKENADPCISVLKQCEGRKLPPLKLIIMSASLDARVFSEYFGGARAVHIQGRQFPVDIFYTVNPQTDYLDSAIITIFQIHLEEAPGDILVFLTGQEEIESVEKQIQDNLRQLPEDSRKMSTAPIFSSLPSEQQMRVFAPAPAGFRKVILATNIAETSVTIPGVKYVIDPGVVKARCYDPIKGMESLIVVPTSKAQALQRSGRAGRDGRGKCFRLYPESEFEKLEDSTKPEIKRCNLSNVILQLKALGVDDIFGFDFIEKPSRAAIKRSVEELILLGALTDDCKLSDPVGHQMARLPLDPIYSKALILASQFNCLEEMLIAVAMLSVESIFYEPREKKNEARTAKNCFASPEGDHLTLINVYRASNDLLEKRKSEVGKDKHEKILRKWCKENFISSRSLRHARDIHRWFTAVKSNNMLNKWAFVFLLVEMTHFSSVDALLLLFSLMQL >EOY01674 pep chromosome:Theobroma_cacao_20110822:2:40565000:40575263:-1 gene:TCM_011517 transcript:EOY01674 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 1 MKIRGSAAEYLGNKNEQKQGFKLKYVFVWVKVKRLSLFFSCRAKMPSMAQTPNPSANGNLSFNHNNNNNNKPNFFARSRKILEQRKSLPIASVERRLIEEVRKHDILIIVGETGSGKTTQIPQFLFNAGFCRGGKTVGITQPRRVAAVTVAKRVAEECGVELGQKVGYSIRFEDVTSSSTSIKYMTDGLLLREALLDPYLSRYSVIVVDEAHERTVHTDVLLGLLKKVHNVRSKYIRDHTSVGHKRTSDGAILEKENADPCISVLKQCEGRKLPPLKLIIMSASLDARVFSEYFGGARAVHIQGRQFPVDIFYTVNPQTDYLDSAIITIFQIHLEEAPGDILVFLTGQEEIESVEKQIQDNLRQLPEDSRKMSTAPIFSSLPSEQQMRVFAPAPAGFRKVILATNIAETSVTIPGVKYVIDPGVVKARCYDPIKGMESLIVVPTSKAQALQRSGRAGRDGRGKCFRLYPESEFEKLEDSTKPEIKRCNLSNVILQLKALGVDDIFGFDFIEKPSRAAIKRSVEELILLGALTDDCKLSDPVGHQMARLPLDPIYSKALILASQFNCLEEMLIAVAMLSVESIFYEPREKKNEARTAKNCFASPEGDHLTLINVYRASNDLLEKRKSEVGKDKHEKILRKWCKENFISSRSLRHARDIHSQIQQHVEQMGLCISSCGDDTLQFRRCLAAAFFLNAALKQPNKTYRALASGEVVHIHPTSVLFHTKADCIIFNEFVKTSKEYIRNVTIIDGFWLTELAPHYYARQE >EOX97776 pep chromosome:Theobroma_cacao_20110822:2:3394462:3398958:-1 gene:TCM_006702 transcript:EOX97776 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-methylthioadenosine/S-adenosylhomocysteine nucleosidase 1 isoform 1 MIHHRFSWERKSSGIERKGREIETGKGIQGTAMAPHGEGSDGAVEAMAAQVENHPISSILIIIAMQTEALPVVNKFQLTENPHPAFPKGVPWVHYHGTYKEITINLVWPGKDLTLGVDSVGTICASLVAYASIQALQPDLIINAGTAGGFKAKGAGIGDVFLASHVAFHDRRIPIPVFDLYGVGLRQACSTPNLLKELNLKTGKLSTGDSLDMSPQDEASITANDATVKDMEGAAVAYVADLLKVPVIFVKAITDIVDGEKPTAEEFLQNLAAVTAALDRAVTQVIDYISGKCLPEL >EOX97777 pep chromosome:Theobroma_cacao_20110822:2:3394650:3399061:-1 gene:TCM_006702 transcript:EOX97777 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-methylthioadenosine/S-adenosylhomocysteine nucleosidase 1 isoform 1 MIHHRFSWERKSSGIERKGREIETGKGIQGTAMAPHGEGSDGAVEAMAAQVENHPISSILIIIAMQTEALPVVNKFQLTENPHPAFPKGVPWVHYHGTYKEITINLVWPGKDLTLGVDSVGTICASLVAYASIQALQPDLIINAGTAGGFKVAKGAGIGDVFLASHVAFHDRRIPIPVFDLYGVGLRQACSTPNLLKELNLKGAAVAYVADLLKVPVIFVKAITDIVDGEKPTAEEFLQNLAAVTAALDRAVTQVIDYISGKCLPEL >EOY01416 pep chromosome:Theobroma_cacao_20110822:2:39349961:39384127:-1 gene:TCM_011310 transcript:EOY01416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tobamovirus multiplication protein 3 isoform 1 MGRYSVDEAVIAYKLKDASDWWRDVNDSPLWQDRIFHILAALYGLVAAVALVQLIRIQLRVPEYGWTTQKVFHFLNFVVNGVRALVFVFRRNVQNLHPEIVQHILLDMPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPSFFTINVVVYTVQIAMWLVLWWKYIPVLVILSKIFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFLVRCIMMCFNAFDKAADLDVLNHPVLNFIYYLLVEILPSSLVLFILRKLPPKRGITQYHPIR >EOY01415 pep chromosome:Theobroma_cacao_20110822:2:39349764:39357840:-1 gene:TCM_011310 transcript:EOY01415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tobamovirus multiplication protein 3 isoform 1 YSVDEAVIAYKLKDASDWWRDVNDSPLWQDRIFHILAALYGLVAAVALVQLIRIQLRVPEYGWTTQKVFHFLNFVVNGVRALVFVFRRNVQNLHPEIVQHILLDMPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPSFFTINVVVYTVQIAMWLVLWWKYIPVLVILSKIFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFLVRCIMMCFNAFDKAADLDVLNHPVLNFIYYLLVEILPSSLVLFILRKLPPKRGITQYHPIRLQREQKSNRESKKTDSFVFVVTS >EOY01414 pep chromosome:Theobroma_cacao_20110822:2:39350095:39403160:-1 gene:TCM_011310 transcript:EOY01414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tobamovirus multiplication protein 3 isoform 1 MGRYSVDEAVIAYKLKDASDWWRDVNDSPLWQDRIFHILAALYGLVAAVALVQLIRIQLRVPEYGWTTQKVFHFLNFVVNGVRALVFVFRRNVQNLHPEIVQHILLDMPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPSFFTINVVVYTVQIAMWLVLWWKYIPVLVILSKIFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFLVRCIMMCFNAFDKAADLDVLNHPVLNFIYYLLVEILPSSLVLFILRKLPPKRGITQYHPIR >EOX97157 pep chromosome:Theobroma_cacao_20110822:2:1389957:1396132:1 gene:TCM_006248 transcript:EOX97157 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Etoposide-induced 2.4 (InterPro:IPR009890); Has 264 Blast hits to 262 proteins in 100 species: Archae - 0; Bacteria - 0; Metazoa - 130; Fungi - 16; Plants - 79; Viruses - 0; Other Eukaryotes - 39 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G06676) TAIR;Acc:AT4G06676] KGSLFVLNSVVIPILRWILPDQRSQISYGGTSAFDGVLNFYSFLRGLLVQLFYKNALNSDSKMHAAKPAGLGWIMIEIGEQVYSVLLLSFFFLEVYATGFIPYVGKALNFLLLSWMYAYYCFEYKWNFSEWGLEKRLDFFETNWAFFAGFGSPCVLAIFFFSPLVSYGVMAILFPLFVLTATGTEAEQVISTQKGKWSGAKLGRLPVFYAVDNLLRRVLSLLPVESQKQALDNKSL >EOX97153 pep chromosome:Theobroma_cacao_20110822:2:1392365:1396170:1 gene:TCM_006248 transcript:EOX97153 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Etoposide-induced 2.4 (InterPro:IPR009890); Has 264 Blast hits to 262 proteins in 100 species: Archae - 0; Bacteria - 0; Metazoa - 130; Fungi - 16; Plants - 79; Viruses - 0; Other Eukaryotes - 39 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G06676) TAIR;Acc:AT4G06676] MEDRKLLIKISRTKLKQASLLWADGFREACCLHRVLVLCRRSRQLLIRTGQCFLLNGFIFLGSLFVLNSVVIPILRWILPDQRSQISYGGTSAFDGVLNFYSFLRGLLVQLFYVFWFYPLYVFSFILSNLWYNDIAKHGFAAMGRSGPSVVESSKQKNALNSDSKMHAAKPAGLGWIMIEIGEQVYSVLLLSFFFLEVYATGFIPYVGKALNFLLLSWMYAYYCFEYKWNFSEWGLEKRLDFFETNWAFFAGFGSPCVLAIFFFSPLVSYGVMAILFPLFVLTATGTEAEQVISTQKGKWSGAKLGRLPVFYAVDNLLRRVLSLLPVESQKQALDNKSL >EOX97156 pep chromosome:Theobroma_cacao_20110822:2:1392454:1395024:1 gene:TCM_006248 transcript:EOX97156 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Etoposide-induced 2.4 (InterPro:IPR009890); Has 264 Blast hits to 262 proteins in 100 species: Archae - 0; Bacteria - 0; Metazoa - 130; Fungi - 16; Plants - 79; Viruses - 0; Other Eukaryotes - 39 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G06676) TAIR;Acc:AT4G06676] MEDRKLLIKISRTKLKQASLLWADGFREACCLHRVLVLCRRSRQLLIRTGQCFLLNGFIFLGSLFVLNSVVIPILRWILPDQRSQISYGGTSAFDGVLNFYSFLRGLLVQLFYVFWFYPLYVFSFILSNLWYNDIAKHGFAAMGRSGPSVVESSKQKNALNSDSKMHAAKPAGLGWIMIEIGEQVYSVLLLSFFFLEVYATGFIPYVGKALNFLLLSWMYAYYCFEYKWNFSEWGLEKRLDFFETNWAFFAGFGNCFSL >EOX97154 pep chromosome:Theobroma_cacao_20110822:2:1392365:1396170:1 gene:TCM_006248 transcript:EOX97154 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Etoposide-induced 2.4 (InterPro:IPR009890); Has 264 Blast hits to 262 proteins in 100 species: Archae - 0; Bacteria - 0; Metazoa - 130; Fungi - 16; Plants - 79; Viruses - 0; Other Eukaryotes - 39 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G06676) TAIR;Acc:AT4G06676] RTKLKQASLLWADGFREACCLHRVLVLCRRSRQLLIRTGQCFLLNGFIFLGSLFVLNSVVIPILRWILPDQRSQISYGGTSAFDGVLNFYSFLRGLLVQLFYVFWFYPLYVFSFILSNLWYNDIAKHGFAAMGRSGPSVVESSKQKNALNSDSKMHAAKPAGLGWIMIEIGEQVYSVLLLSFFFLEVYATGFIPYVGKALNFLLLSWMYKWNFSEWGLEKRLDFFETNWAFFAGFGSPCVLAIFFFSPLVSYGVMAILFPLFVLTATGTEAEQVISTQKGKWSGAKLGRLPVFYAVDNLLRRVLSLLPVESQKQALDNKSL >EOX97158 pep chromosome:Theobroma_cacao_20110822:2:1389957:1396132:1 gene:TCM_006248 transcript:EOX97158 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Etoposide-induced 2.4 (InterPro:IPR009890); Has 264 Blast hits to 262 proteins in 100 species: Archae - 0; Bacteria - 0; Metazoa - 130; Fungi - 16; Plants - 79; Viruses - 0; Other Eukaryotes - 39 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G06676) TAIR;Acc:AT4G06676] KGSLFVLNSVVIPILRWILPDQRSQISYGGTSAFDGVLNFYSFLRGLLVQLFYKNALNSDSKMHAAKPAGLGWIMIEIGEQVYSVLLLSFFFLEVYATGFIPYVGKALNFLLLSWMYAYYCFEYKWNFSEWGLEKRLDFFETNWAFFAGFGSPCVLAIFFFSPLVSYGVMAILFPLFVLTATGTEAEQVISTQKGKWSGAKLGRLPVFYAVDNLLRRVLSLLPVESQKQALDNKSL >EOX97152 pep chromosome:Theobroma_cacao_20110822:2:1392365:1396315:1 gene:TCM_006248 transcript:EOX97152 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Etoposide-induced 2.4 (InterPro:IPR009890); Has 264 Blast hits to 262 proteins in 100 species: Archae - 0; Bacteria - 0; Metazoa - 130; Fungi - 16; Plants - 79; Viruses - 0; Other Eukaryotes - 39 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G06676) TAIR;Acc:AT4G06676] MEDRKLLIKISRTKLKQASLLWADGFREACCLHRVLVLCRRSRQLLIRTGQCFLLNGFIFLGSLFVLNSVVIPILRWILPDQRSQISYGGTSAFDGVLNFYSFLRGLLVQLFYVFWFYPLYVFSFILSNLWYNDIAKHGFAAMGRSGPSVVESSKQKNALNSDSKMHAAKPAGLGWIMIEIGEQVYSVLLLSFFFLEVYATGFIPYVGKALNFLLLSWMYAYYCFEYKWNFSEWGLEKRLDFFETNWAFFAGFGSPCVLAIFFFSPLVSYGVMAILFPLFVLTATGTEAEQVISTQKGKWSGAKLGRLPVFYAVDNLLRRVLSLLPVESQKQALDNKSL >EOX97155 pep chromosome:Theobroma_cacao_20110822:2:1392485:1396247:1 gene:TCM_006248 transcript:EOX97155 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Etoposide-induced 2.4 (InterPro:IPR009890); Has 264 Blast hits to 262 proteins in 100 species: Archae - 0; Bacteria - 0; Metazoa - 130; Fungi - 16; Plants - 79; Viruses - 0; Other Eukaryotes - 39 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G06676) TAIR;Acc:AT4G06676] RTKLKQASLLWADGFREACCLHRVLVLCRRSRQLLIRTGQCFLLNGFIFLGSLFVLNSVVIPILRWILPDQRSQISYGGTSAFDGVLNFYSFLRGLLVQLFYVFWFYPLYVFSFILSNLWYNDIAKHGFAAMGRSGPSVVESSKQKNALNSDSKMHAAKPAGLGWIMIEIGEQVYSVLLLSFFFLEVYATGFIPYVGKALNFLLLSWMYAYYCFEYKWNFSEWGLEKRLDFFETNWAFFAGFGSPCVLAIFFFSPLVSYGVMAILFPLAQRQSKLFPLKKENGVVQNWGGFQYFMQSTIY >EOY00686 pep chromosome:Theobroma_cacao_20110822:2:34474611:34479062:-1 gene:TCM_010610 transcript:EOY00686 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS3-complementing gene 2 isoform 1 MLGPSLYDFLRKNNYRLFSVDLVRELGRQLLECVAFMHDLLLIHTDLKPENMLLVSPEYAKIPDYKVYSFMSCSPMEGTCYKRLPKSSAIKVIDFGSTVYEHQDRNYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCSGEALFQTHENLEHLVMMEKVLGPLPQHIRHAEKYVKRGRLDWPEDATSRESIKAVLKLPCLQNLVMQHVDHSAWDLIDQLQGLLKFDPCSRLTAHQALRHPFFTRDHCLRF >EOY00685 pep chromosome:Theobroma_cacao_20110822:2:34474511:34478818:-1 gene:TCM_010610 transcript:EOY00685 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS3-complementing gene 2 isoform 1 MVAIKVVRSIKKYQEVAMMELDVLQLLVVFNYGTGLTIAIMSALYLRCLDQAFMHDLLLIHTDLKPENMLLVSPEYAKIPDYKFMSCSPMEGTCYKRLPKSSAIKVIDFGSTVYEHQDRNYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCSGEALFQTHENLEHLVMMEKVLGPLPQHIRHAEKYVKRGRLDWPEDATSRESIKAVLKLPCLQNLVMQHVDHSAWDLIDQLQGLLKFDPCSRLTAHQALRHPFFTRDHCLRF >EOY00393 pep chromosome:Theobroma_cacao_20110822:2:32003723:32010607:-1 gene:TCM_010262 transcript:EOY00393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH14 MKCLGLWGWLLKDQLRDERGRQRGMSILWEKSETWRWLVRRTRDSKPFFLAFATICGVVPGVIGYGVMQLTNSRNPQLEAQLRQNARPESLMMGKVNQERLAEYLGELQRKEDTNDRYVAALRGETLTRNPYQRIQPIPKQKTEADIHRKKTDKA >EOY00818 pep chromosome:Theobroma_cacao_20110822:2:35403201:35411922:1 gene:TCM_010753 transcript:EOY00818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance protein ABC transporter family isoform 1 MEVSLELVNVPFVMALLTWMTLDILKRRRHDNLDSDSDAITHPKRRVAREVGVCMVIAILFNTIISIFYLGFGFYIYWNRRIVTTKALCSAITWVLASLVTIYSKNRTFREHKTWPLVLILWWVFSCVFVSLSVAVYVIHHFKSSKLPYPLPEADVVDIASLPLLLMLCLCLPLAWIRKNSDLEHPLLHKEDENSSKEDSTFTNAGIWSQLTFRWLNPLFKSGRIEKLELHHIPSVPESETADNASLLLEESLRKQKTESSSLPNAITRTIWKSLAVNAIFAGLNTIASYIGPFLITSFVNFLTEKHDNSSYQYGLVLAFIFFVSKTVESLTQRLWYFGAQRIGIRVRAALTVLIYKKSLSIKFVGPSNGKIINLINVDAERIGDFCWYIHGVWLLPIQVFLALVILYKNLGAAPSIAAVFATILVMVSNTPLANRQERLHSKIMEAKDSRIKATSETLKSMRVLKLHAWEPTFLKKLLQLRETERNWLKKYLYTCSAVAFLFWASPTLVSVITFGVCILLKTPLTSGTVLSALATFRILQEPIYNLPELISMIAQTKVSFDRIQEFLGEVEQRKFVPDRVPKASDVAIEIETGEYAWETSSQNLKKPTIKITEKMKIMKGYKIAVCGSVGSGKSSLLCSMLGEIPRISGAVIEVYGKKAYVPQRSWVQTGTIRENILFGKDMDHAFYKNVLEACALNQDIEMWVNNDMSVVGERGMNLSGGQKQRIQLARAVYSDSDIYVLDDPFSAVDAHTGKHLFKKCLTGLLSQKTVIYATHQLEFLDAADLVLVMKDGLIVQSGKYEELIADSDGELVRQMNAHRKSLDQVNPPQEDDYITAGPCQISQIEVIEEKYGEPIYYSKLFERSQEEETETGRVKWSVYSTFVTAAYRGALVPVILLCQVLFQGLQMGSNYWIAWGTEDSHKVTRTQLIGIFILLSGGSSVFILGRAVLLATIAVETAQHLFLGMIRSVFRAPISFFDSTPSSRILNRSSTDQSTLDTDIPYRLAGLAFALIQLFSIIILMSHVAWQIFLLFLAILGISFWYQSYYITTARELARMVGIRKAPILHHFSESIAGAATIRCFSQEDRFMEKNLSLIDDYSRVAFHNSGTMEWLCVRINFLFNFVFFLVLIILVSLPRSAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQFTNIPSEAPLVIEDCRPKPEWPTEGRIELENLQVQYAPTLPLVLKDITCTFPGERKIGVVGRTGSGKSTLIQALFRVVEPSGGRITIDGVDISTIGLQDLRSRLGIIPQDPILFQGNIRTNLDPLQQHTDQEIWEVLNKCRLADMVRQDQRLLDAPVAEDGENWSVGQRQLVCLARVLLKKRRILVLDEATASIDTATDNVIQETIREETSRCTVITVAHRIPTVIDNDLVLVLDKGEIVEYDRPGILLEDNSSSFSKLVAQFLRSSRSNHHKNLF >EOY00817 pep chromosome:Theobroma_cacao_20110822:2:35403201:35411918:1 gene:TCM_010753 transcript:EOY00817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance protein ABC transporter family isoform 1 MEVSLELVNVPFVMALLTWMTLDILKRRRHDNLDSDSDAITHPKRRVAREVGVCMVIAILFNTIISIFYLGFGFYIYWNRRIVTTKALCSAITWVLASLVTIYSKNRTFREHKTWPLVLILWWVFSCVFVSLSVAVYVIHHFKSSKLPYPLPEADVVDIASLPLLLMLCLCLPLAWIRKNSDLEHPLLHKEDENSSKEDSTFTNAGIWSQLTFRWLNPLFKSGRIEKLELHHIPSVPESETADNASLLLEESLRKQKTESSSLPNAITRTIWKSLAVNAIFAGLNTIASYIGPFLITSFVNFLTEKHDNSSYQYGLVLAFIFFVSKTVESLTQRLWYFGAQRIGIRVRAALTVLIYKKSLSIKFVGPSNGKIINLINVDAERIGDFCWYIHGVWLLPIQVFLALVILYKNLGAAPSIAAVFATILVMVSNTPLANRQERLHSKIMEAKDSRIKATSETLKSMRVLKLHAWEPTFLKKLLQLRETERNWLKKYLYTCSAVAFLFWASPTLVSVITFGVCILLKTPLTSGTVLSALATFRILQEPIYNLPELISMIAQTKVSFDRIQEFLGEVEQRKFVPDRVPKASDVAIEIETGEYAWETSSQNLKKPTIKITEKMKIMKGYKIAVCGSVGSGKSSLLCSMLGEIPRISGAVIEVYGKKAYVPQRSWVQTGTIRENILFGKDMDHAFYKNVLEACALNQDIEMWVNNDMSVVGERGMNLSGGQKQRIQLARAVYSDSDIYVLDDPFSAVDAHTGKHLFKKCLTGLLSQKTVIYATHQLEFLDAADLVLVMKDGLIVQSGKYEELIADSDGELVRQMNAHRKSLDQVNPPQEDDYITAGPCQISQIEVIEEKYGEPIYYSKLFERSQEEETETGRVKWSVYSTFVTAAYRGALVPVILLCQVLFQGLQMGSNYWIAWGTEDSHKVTRTQLIGIFILLSGGSSVFILGRAVLLATIAVETAQHLFLGMIRSVFRAPISFFDSTPSSRILNRSSTDQSTLDTDIPYRLAGLAFALIQLFSIIILMSHVAWQIFLLFLAILGISFWYQSYYITTARELARMVGIRKAPILHHFSESIAGAATIRCFSQEDRFMEKNLSLIDDYSRVAFHNSGTMEWLCVRINFLFNFVFFLVLIILVSLPRSAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQFTNIPSEAPLVIEDCRPKPEWPTEGRIELENLQVQYAPTLPLVLKDITCTFPGERKIGVVGRTGSGKSTLIQALFRVVEPSGGRITIDGVDISTIGLQDLRSRLGIIPQDPILFQGNIRTNLDPLQQHTDQEIWEVLNKCRLADMVRQDQRLLDAPVAEDGENWSVGQRQLVCLARVLLKKRRILVLDEATASIDTATDNVIQETIREETSRCTVITVAHRIPTVIDNDLVLVLDKGEIVEYDRPGILLEDNSSSFSKLVAQFLRSSRSNHHKNLF >EOY00483 pep chromosome:Theobroma_cacao_20110822:2:32967247:32971321:1 gene:TCM_010368 transcript:EOY00483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 14-like protein isoform 3 MREELVEMAAKFLLHPTVRRTPVSYRRSFLEKKGLTSDEIDEAFHRVPDTPSSLTFGQDINSNQDVQSNPFASFRLQDTEQSSQPLAASMLTASPPSRFSWSNAIFSLVLLILSGAGTSMLLKKFFLPRLKSWICKVVLEEDDDKGRNSNLSLSKEAIEAAKAAAAASVDAAKASLEILQSKKEEARHLDVLVRCLGTHVAELRSITTTIRRLEGTREAALRKLQEQYIQHASQNGVGLMFGVESYNQCIIQCPHQEACDSSSVFRNGPDSKLPETSTVHTDHPGNFVSNSTKFKPSGISNFDSSVRPSSTPLRASAGQHPKSYMEILAMIQRGEK >EOY00485 pep chromosome:Theobroma_cacao_20110822:2:32967247:32971321:1 gene:TCM_010368 transcript:EOY00485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 14-like protein isoform 3 MREELVEMAAKFLLHPTVRRTPVSYRRSFLEKKGLTSDEIDEAFHRVPDTPSSLTFGQDINSNQDVQSNPFASFRLQDTEQSSQPLAASMLTASPPSRFSWSNAIFSLVLLILSGAGTSMLLKKFFLPRLKSWICKVVLEEDDDKGRNSNLSLSKEAIEAAKAAAAASVDAAKASLEILQSKKEGTHVAELRSITTTIRRLEGTREAALRKLQEQYIQHASQNGPDSKLPETSTVHTDHPGNFVSNSTKFKPSGISNFDSSVRPSSTPLRASAGQHPKSYMEILAMIQRGEK >EOY00484 pep chromosome:Theobroma_cacao_20110822:2:32967294:32971317:1 gene:TCM_010368 transcript:EOY00484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 14-like protein isoform 3 MREELVEMAAKFLLHPTVRRTPVSYRRSFLEKKGLTSDEIDEAFHRVPDTPSSLTFGQDINSNQDVQSNPFASFRLQDTEQSSQPLAASMLTASPPSRFSWSNAIFSLVLLILSGAGTSMLLKKFFLPRLKSWICKVVLEEDDDKGRNSNLSLSKEAIEAAKAAAAASVDAAKASLEILQSKKEEARHLDVLVRCLGTHVAELRSITTTIRRLEGTREAALRKLQEQYIQHASQNGPDSKLPETSTVHTDHPGNFVSNSTKFKPSGISNFDSSVRPSSTPLRASAGQHPKSYMEVISPFCFFIFFVCLFLLWFIRAI >EOY00482 pep chromosome:Theobroma_cacao_20110822:2:32967292:32972255:1 gene:TCM_010368 transcript:EOY00482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 14-like protein isoform 3 MREELVEMAAKFLLHPTVRRTPVSYRRSFLEKKGLTSDEIDEAFHRVPDTPSSLTFGQDINSNQDVQSNPFASFRLQDTEQSSQPLAASMLTASPPSRFSWSNAIFSLVLLILSGAGTSMLLKKFFLPRLKSWICKVVLEEDDDKGRNSNLSLSKEAIEAAKAAAAASVDAAKASLEILQSKKEEARHLDVLVRCLGTHVAELRSITTTIRRLEGTREAALRKLQEQYIQHASQNGPDSKLPETSTVHTDHPGNFVSNSTKFKPSGISNFDSSVRPSSTPLRASAGQHPKSYMEILAMIQRGEKPPGIKDIDDSPPNPDQPLPNPSITPRIKPWEMAQSQNKFGYTRDKGSAQLNGENAQPWWRRDNTNAREIEAGTGNGMRPISYGLRTEKQLVLQS >EOX98737 pep chromosome:Theobroma_cacao_20110822:2:6925883:6928548:1 gene:TCM_007430 transcript:EOX98737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S6e MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVVGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGIGLGGNSMFRLILLASFNVYACFSIVAIVGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTDKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKAEAAEYQKLLALRLKEQRERRSESLAKRRSKLSAASKPLVVA >EOX97751 pep chromosome:Theobroma_cacao_20110822:2:3317375:3318787:-1 gene:TCM_006687 transcript:EOX97751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein MASNPKVFFDMTIGGQPAGRIVMELFADCTPRTAENFRALCTGEKGVGRSGKPLHYKGSSFHRVIPNFMCQGGDFTAGNGTGGESIYGAKFADENFEKKHTGPGVLSMANAGPGTNGSQFFVCTTKTEWLDGKHVVFGQVVEGMDVVKAIEKVGSSGGRTSKPVVVADCGQLS >EOX98368 pep chromosome:Theobroma_cacao_20110822:2:5515643:5517833:1 gene:TCM_007146 transcript:EOX98368 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyltransferase MALKEGSKQETHVLMVTLSSQGHINPMLRLGKRLVEKGLHVTLATTEFGGQRMLKASAISSTTGPNFISGIRLLFFPDGFSLDYDRSNNLDHYMEYLAKIGPVNLSNLIKEYYHDADKKLSCIISNPFVSWVVDAALANRVPCALLWIQPCSLYAIYYRFYNKLNPFPTLTNPDMRIELPGLPLLHSHDLPSFVLPTNPFRSFPRLLSDMFQNMKRHKWVLANSFFELEKEVIDSMAELCPIQPVGPLVPPSLLGEDQSLDAGIEMWKPDKTSLEWLNNQPPASVIYVSFGSIIVLPVKLMEVIATALKNTNHPFLWVVKRPEFPSADGAGELPLGFEEETKNQGLIVPWSPQTKVLAHPSIACFMTHCGWNSMLETLAAGVPVIAYPQWTDQPTNAKLIVDGLKVGLRLQPGADGLIGNEDVENCIKQILRGPKSEDLQKNAAVLKQAAREAVASGGSSDRNIQLLVNEIVGSS >EOX97726 pep chromosome:Theobroma_cacao_20110822:2:3218212:3222260:1 gene:TCM_006663 transcript:EOX97726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferases isoform 1 MPSKKRRRKEERPKIHPRNKYSDNPPDFALLASLYPSFKDFVFYSREGRPRIDWSDFNATRELSRVLLLHDHGLHWWIPDGQLCPTVPNRSNYIHWIEDLLSSDIIPKTNSNGDNVRGFDIGTGANCIYPLLGASLLGWSFVASDMTDVALEWAERNVKSNPHISELIEIRNVRCSPNTPTPEGLNNGESVYSEEGEGLLSSSIDMPASEDKSYHGPPILLDVVRDGERFDFCMCNPPFFESIEEAGLNPKTSCGGTHEEMVCPGGEKAFITRIIDDSVVLKLSFRWYTSMVGRKVNLKFLISKLREVGVTIVKTTEFVQGQTCRWGLAWSFVPPAKKIISPHVTEKNMLSFMLEGLQRQFGAIHVLQSVESFFHVGGACCKLNASSFTVDITASTEQCNALLNNEVKHLDQVASCSDVQEASSNLSFRVSVFQQIPGTLLVKGSLQHRDSPLSGLFSSIIQQLEDALRRKFCREKAGANYS >EOX97727 pep chromosome:Theobroma_cacao_20110822:2:3218606:3222541:1 gene:TCM_006663 transcript:EOX97727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferases isoform 1 MPSKKRRRKEERPKIHPRNKYSDNPPDFALLASLYPSFKDFVFYSREGRPRIDWSDFNATRELSRVLLLHDHGLHWWIPDGQLCPTVPNRSNYIHWIEDLLSSDIIPKTNSNGDNVRGFDIGTGANCIYPLLGASLLGWSFVASDMTDVALEWAERNVKSNPHISELIEIRNVRCSPNTPTPEGLNNGESVYSEEGEGLLSSSIDMPASEDKSYHGPPILLDVVRDGERFDFCMCNPPFFESIEEAGLNPKTSCGGTHEEMVCPGGEKAFITRIIDDSVVLKLSFRWYTSMVGRKVNLKFLISKLREVGVTIVKTTEFVQGQTCRWGLAWSFVPPAKKIISPHVTEKNMLSFMLEGLQRQFGAIHVLQSVESFFHVGGACCKLNASSFTVDITASTEQCNALLNNEVKHLDQVASCSDVQEVFQQIPGTLLVKGSLQHRDSPLSGLFSSIIQQLEDALRRKFCREKAGANYS >EOX97728 pep chromosome:Theobroma_cacao_20110822:2:3218974:3222567:1 gene:TCM_006663 transcript:EOX97728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferases isoform 1 LHDHGLHWWIPDGQLCPTVPNRSNYIHWIEDLLSSDIIPKTNSNGDNVRGFDIGTGANCIYPLLGASLLGWSFVASDMTDVALEWAERNVKSNPHISELIEIRNVRCSPNTPTPEGLNNGESVYSEEGEGLLSSSIDMPASEDKSYHGPPILLDVVRDGERFDFCMCNPPFFESIEEAGLNPKTSCGGTHEEMVCPGGEKAFITRIIDDSVVLKLSFRWYTSMVGRKVNLKFLISKLREVGVTIVKTTEFVQGQTCRWGLAWSFVPPAKKIISPHVTEKNMLSFMLEVSNLSFRVSVFQQIPGTLLVKGSLQHRDSPLSGLFSSIIQQLEDALRRKFCREKAGANYS >EOX99028 pep chromosome:Theobroma_cacao_20110822:2:8160987:8162984:-1 gene:TCM_007656 transcript:EOX99028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSSLSLPSCQQPQHPQALLDSLCPFLRGELEPVDKNLPGLIAVLRSAGAGKCSHKHGSFLEHLVDIYRILKIWKAPDSVCLCGLFHSAYSNAYYSDSELMEHLKLSEIALNNAKEEGLLDRDEVWRKKLRLPLPEDGIVVKNIKTGENVTITRRVMAVFLLMSMADYSDQFFSYQDLLFDNFDGRFRFLGNNYAALWPGDGKPGLWMNSISKMGAVYILILRDEGIILEERKRVGGAEVEKDRDEGIELVVPPVFENCTRVLDAKEQVEARDLYWEAICDGSKSGVERAEDLLLGCTAKNPFVGEPHVVLAQVYLNKGRFVEAEQEAERGLTLMLEWGSPWDKRMSWEGWIAWARVLPLKAKEKSWPQSAWGVLSLGLR >EOX99326 pep chromosome:Theobroma_cacao_20110822:2:10160753:10164633:1 gene:TCM_007959 transcript:EOX99326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of unknown function (DUF566) [Source:Projected from Arabidopsis thaliana (AT2G20815) TAIR;Acc:AT2G20815] MKSDDERAVAQHSLKPRRSKSREVCSRFLSPTSTPPHDSGLGSPNKALSPRRRKSTSIDTRKHRSLEEPSGLLRGLWPSSTPSTSSTSNAKLDTLADHLGNERLNDFLERKSHEQRTNNGSFSLSRQRSHTEFSRFVENEKQSAKENHRPSLGLGGSMRYTAKLGFPKKPSSSSTSSSSSPNILPGRFSVDENVLYKRSSSSSQKKSDFWTNDNFVLDSESEFSDQCSASNNNSPAITKPNSSLSSRKCGIDVSSKYLQEVPTRNRPRGTTSDSNILNPVSADSSPKVNKKFTIKNAIRRANSLTGHGTATSQWALSPGRSGSPPMSVENKVVKPMSFSSLKPPTSPSRNRGVEKLLNLGLMDLFKSKKSSALQVGSGDVESVHQLRLIHNRLMQWRYANARADAVNKNTSNQVENYLLSGWNSLVKVQHSVLQKKLKLQKEKLEIKMDFILQSQMKALESWADVERQHLASISMTKECLHSVVSKVPLIEGAKVDAQSASMALRHASDLTVSIKSMLSAFSPATEKTVSLLSELAEVVAQEKLLIEECLELFRMISILETQEKSLMCYVIQLNSRQRQMQQQQQQVQQEILQ >EOY00863 pep chromosome:Theobroma_cacao_20110822:2:35578971:35586245:1 gene:TCM_010783 transcript:EOY00863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVLGLRSKNRKGSSFQIDYIVSVKEINPWMPSQSLRSVQSVLLQWENGDQSFGSLTSSIGSGKIEFSESFRLPVTLCREASRKSTNRDSFQKNCLEFYLYEPRKDKVAKGQLLGSAVVNLADYGIIKETITISIPINLKKSSRNTQQSVLYLNIQPFDSSSSSTKGSLSKDLSLDKDGSESVSESINEGNDEETEITSFTDDDDLSSHSSQTISSAVSGPSRELHSQHEKNGSDSTNGGIGRLGLTLPSGGTPANSGVSLAAEAFKQANENTSPLSSMDLSSNPGNLVNDPMGKVAPSEVCVTIPVDTNLDHAKDKDSHTNREGDRKAWKHDKSHVDRSLSSISHVGHWKENEEKTPLENELDSQILDSKKYSLEDRLGFRPPQDSMRKQIKMRSNTFASSRATTEVQGVYTANDTQKHVTPVQLHFDKANSNGLSNKIQFVEKASENDILEKIPKGATSDPLDEREETSKVNSAKSYGLLNKSLFMEMAKENDISEKIHNSTTIDTHNESEETANSLSNGKVERESKIEMLEEELREAAVVEASLYSIVAEHGSSTNKVHAPARRLSRFYLHACKASTQDKRASAARAAVSGLILVSKACGNDVPRLTFWLSNSIVLRAILSHAIEEMQLFSGLCLNCSRGGKVLEDTSSLDKEERSAMESSDDWVDPRTFLLALEKFEAWIFSRIIESVWWQTLTPHMQSAAAKSSNSRKTPTRRYGLGDQEQGNFSVELWKKAFKDACERLCPIRACGHECGCLAVLAKLVMEQLVGRLDVAMFNAILRESDEEMPTDPVSDPISDPKVLPIPAGKSSFGAGVHLKNAVGNWSRWLTDLFGIDDNDGPEDSNEVGNDKNAGCEASFKAFCLLNSLSDLMMLPSEMLADRSMRKEVCPKFSTPLISMVLNNFVPDEFNPNPVPEAVFEALDENLSEAGEESITNFPCMATPTVYSPPSPASLTGIIGEVGSEALQRSRSSVLRKSYTSDDELDELDSLITSIVIENPRDSPTSKAPNWMRMGKGGRNVVRYQLLREIWKDG >EOY00864 pep chromosome:Theobroma_cacao_20110822:2:35579544:35585931:1 gene:TCM_010783 transcript:EOY00864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVLGLRSKNRKGSSFQIDYIVSVKEINPWMPSQSLRSVQSVLLQWENGDQSFGSLTSSIGSGKIEFSESFRLPVTLCREASRKSTNRDSFQKNCLEFYLYEPRKDKVAKGQLLGSAVVNLADYGIIKETITISIPINLKKSSRNTQQSVLYLNIQPFDSSSSSTKGSLSKDLSLDKDGSESVSESINEGNDEETEITSFTDDDDLSSHSSQTISSAVSGPSRELHSQHEKNGSDSTNGGIGRLGLTLPSGGTPANSGVSLAAEAFKQANENTSPLSSMDLSSNPGNLVNDPMGKVAPSEVCVTIPVDTNLDHAKDKDSHTNREGDRKAWKHDKSHVDRSLSSISHVGHWKENEEKTPLENELDSQILDSKKYSLEDRLGFRPPQDSMRKQIKMRSNTFASSRATTEVQGVYTANDTQKHVTPVQLHFDKANSNGLSNKIQFVEKASENDILEKIPKGATSDPLDEREETSKVNSAKSYGLLNKSLFMEMAKENDISEKIHNSTTIDTHNESEETANSLSNGKVERESKIEMLEEELREAAVVEASLYSIVAEHGSSTNKVHAPARRLSRFYLHACKASTQDKRASAARAAVSGLILVSKACGNDVPRLTFWLSNSIVLRAILSHAIEEMQLFSGLCLNCSRGGKVLEDTSSLDKEERSAMESSDDWVDPRTFLLALEKFEAWIFSRIIESVWWQTLTPHMQSAAAKSSNSRKTPTRRYGLGDQEQGNFSVELWKKAFKDACERLCPIRACGHECGCLAVLAKLVMEQLVGRLDVAMFNAILRESDEEMPTDPVSDPISDPKVLPIPAGKSSFGAGVHLKNAVGNWSRWLTDLFGIDDNDGPEDSNEVGNDKNAGCEASFKAFCLLNSLSDLMMLPSEMLADRSMRKEMSSTLTQFQRQFLKHWMRTFLRLGKSLSQTFHAWLLLQFIHHPPQLH >EOX98335 pep chromosome:Theobroma_cacao_20110822:2:5416693:5421533:-1 gene:TCM_007123 transcript:EOX98335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclase family protein, putative MVTMATTMNKHNLLLLLCAGILTVNILSGSAVAYGNGKIFDITHKITSELPTFESQKGLGQFIWLVSSIRNGSIANVSQFKLGTHTGTHVDAPSHFFQKYYEEGFDVTTLSLQTLNGPALVVDVPRDKNITVCLTGGGLRWLGFTFDWQEKETGTLVMFPCLTDFFCGLVIGVFVYQYGIRGLRLCSFQPLTLLSEVMKSLNIPRGVHRVLFRTLNTDRGLMHKTEFASNFTGFRKDGAQWLVDNTDIKLVGLCASVPQFLLFSSGLMGLDYLSVSAYVDAAPTHHIFLKKREIVLVEGLNLDGIQPGKYTVHCLPLRMVGADGCPTRCILTA >EOX98883 pep chromosome:Theobroma_cacao_20110822:2:7622933:7625552:-1 gene:TCM_007553 transcript:EOX98883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrodolichyl diphosphate synthase 6 MGLKYSNPTYPIFNKKKRVSPMGQAHIDGSNFWNSASHLPLYVEQFDMEKEVIPRKILSGWIRFVRKCFFRVLRVGPIPSHIAVIMDGNRRYAKKKKLEEGAGHDAGALALLCLLIYCYELGVKHLSAYAFSIDNFRRKPEEVQKIMDLLRECIPLLTRIVKHRPIRVHFAGSLELLSADIRIPAKRLMDATADNSKFIFTLCVVYTSTDEIMHVVQESCKEKCDHIPEIRANDANNGFLGENEDSHLGDQDLIKLVDIEKHMYMAITPDPDILIRTGDEHRLSNFLLWQTSGCQLSSLVTLWPEIGIWQLVWVVLNFQRNHHYYGRKRNAAVDLVHGSYSSSSPPSKS >EOX99449 pep chromosome:Theobroma_cacao_20110822:2:11330950:11336723:-1 gene:TCM_046722 transcript:EOX99449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional methylthioribulose-1-phosphate dehydratase/enolase-phosphatase E1 2, putative MNTNGVAATVTQAQPMVHNSFAMSQAYVESKEVTETKALVAELCQHFYTLGWLAGTGGSITIRVHDGSIPRHHQLIVMSPSGVQMERMVAEGMYVLSSDGFIMSTPPLKLYPYQPPKCTDCAPLFMKVYEICNAGAVIHSHGLEACLVTMINPFSKEFRITHMEMIKGIQGHGYHDELVVPIIENTAHEGELTESLTEAIRAYPKTTAVLVRNHGVYIWGDSWISTKTQAECYHYLFDAAIKLHQLGLDWSSPNHGPLRNVNGLWGCAGNSSRGPKVGTFGLDYMIEPSQRCLLLTVEGTVTPMSFVTDILFPYAHDNVRKHLAMTYDTEETQHDIDLLRSQIQEDLEQGVAGAVPIPPDYVGKELVIASLAANVEAMINTDRKVTSLKQLEGRIWRTGFQSNELVAVVFEDVREALEKWHASGIKVYIFACGSREVQQLLFANSNYGDLRKYLCGFFDTTVGNKQVAHSYFEILRTVGVDRPADMLFVTDRFQEAEAARAAGLEVIISIRPGNGPLPQNHGFRTIASLLEI >EOY01419 pep chromosome:Theobroma_cacao_20110822:2:39363010:39373889:1 gene:TCM_011312 transcript:EOY01419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein isoform 1 MGSNFTNFQQDPIVDKLRTQLGVIHPIPSPPINRNVAGLFVFFFFVGVAFDKIWTSRKRRGKLGNLDGEAGRIGAGVWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRGGIENWIIGLLQPVIDNLKKPDYVQRVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLMLSLNFGIIPIVVPVGVRDFDIDGELWVKLRLIPTEPFVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTVDLPRLFVRPKKIVLDFQKGKAVGPVANDLKSGEIQEEKNKDFVGELSVTLVDARKLSYVFYGKTDPYVVLNLGDQVIRSKKNSQTTITGPPGEPIWNQDFHLLVANPRKEKLCIQVKDAFGFTDLTIGAGEVELGTLQDTVPTDKILVLQGGWGVFQKRSAGEILLRLTYKAYVEDEEDDTTEAGSIDTDASDDELSDSDEPNGSFEQGVKQYTDETDKESFMDVLAALIVSEEFQGIVSSEPGSKFVDDISRTGPLKSRLSGINAESVPSDSDKGSEVSGGVTFKYLYLRVSFNCVYVLLS >EOY01418 pep chromosome:Theobroma_cacao_20110822:2:39363011:39373889:1 gene:TCM_011312 transcript:EOY01418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein isoform 1 MIFLQSSRSSFSFPLLPPLCPCKSNPSLSPRNKKRPPRSQLITFFIPHRKFCFLACAIPTPKPNKLNVRVARNLVAKGFSNEFLDGESQESSIQMGSNFTNFQQDPIVDKLRTQLGVIHPIPSPPINRNVAGLFVFFFFVGVAFDKIWTSRKRRGKLGNLDGEAGRIGAGVWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRGGIENWIIGLLQPVIDNLKKPDYVQRVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLMLSLNFGIIPIVVPVGVRDFDIDGELWVKLRLIPTEPFVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTVDLPRLFVRPKKIVLDFQKGKAVGPVANDLKSGEIQEEKNKDFVGELSVTLVDARKLSYVFYGKTDPYVVLNLGDQVIRSKKNSQTTITGPPGEPIWNQDFHLLVANPRKEKLCIQVKDAFGFTDLTIGAGEVELGTLQDTVPTDKILVLQGGWGVFQKRSAGEILLRLTYKAYVEDEEDDTTEAGSIDTDASDDELSDSDEPNGSFEQGVKQYTDETDKESFMDVLAALIVSEEFQGIVSSEPGSKFVDDISRTGPLKSRLSGINAESVPSDSDKGSEVSGGSTLFWFAVITSIFVLIAINMDGSNLFNP >EOY00776 pep chromosome:Theobroma_cacao_20110822:2:35024908:35027248:-1 gene:TCM_010694 transcript:EOY00776 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MYYQLSKSSYQDSLKILEADIQHANALAAAIPRAKSGAHLQMKLVCNRLAPLFLFLLQWMDCSCICLLPRYLNLFHILVYKVYTDGRSKISTHGRKATVREFYGVILPSLQRLHGYLGELDDDKKGRFTMGSSGKKRVELDNRIGNIDLEREDECGICLEPCTKMVLPNCCHAMCIKCYRNWNTKSESCPFCRGSLKRVNSEDLWVLTCNDDVVDDKTVSKEDLLRFYLYINSLPKDYPDALFLVYYEYLI >EOX99110 pep chromosome:Theobroma_cacao_20110822:2:8541443:8545133:1 gene:TCM_007724 transcript:EOX99110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylbilane synthase isoform 1 MEMLSSSFCTTHGGGLVHFCGGGSVSVLGFPLQHLNTRALPDSKKKQSFGVIKASVAKTEVALLRIGTRGSPLALAQAHETRDKLMASHPELAEEGAIKIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPEKTILPCNLLREDVRDAFISLSASSLAELPAGSVVGTASLRRKSQILHRYPSLKVEENFRGNVQTRLRKLNEGVVQATLLALAGLRRLSMTENVTSVLSIDEMLPAVAQGAIGIACRSNDEKMANYLASLNHEETRLTVACERAFLETLDGSCRTPIAGNAYKDEDGNCVFKGLVASPDGKRVLETSRKGQYAFEDMVMMGKDAGKELLSRAGPGFFDF >EOX99111 pep chromosome:Theobroma_cacao_20110822:2:8542480:8545133:1 gene:TCM_007724 transcript:EOX99111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylbilane synthase isoform 1 MASHPELAEEGAIKIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPEKTILPCNLLREDVRDAFISLSASSLAELPAGSVVGTASLRRKSQILHRYPSLKVEENFRGNVQTRLRKLNEGVVQATLLALAGLRRLSMTENVTSVLSIDEMLPAVAQGAIGIACRSNDEKMANYLASLNHEETRLTVACERAFLETLDGSCRTPIAGNAYKDEDGNCVFKGLVASPDGKRVLETSRKGQYAFEDMVMMGKDAGKELLSRAGPGFFDF >EOX98346 pep chromosome:Theobroma_cacao_20110822:2:5443443:5449277:1 gene:TCM_007130 transcript:EOX98346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium:hydrogen antiporter 1 isoform 2 MAALSIGSHFSPSYHFKKRSFHSPSVHSVPSFGSSLCRIRAPRLLRNGVLARAEDKARDSSSSPPTQQQFQPNKEKQLEELTSPSGSCDPLCSVDETSSVDFEATYQPKTDLLKTIAIFTAALTGTLAINLSWVASNEDVAMALLFAIGYAGIIFEESLAFNKSGVGLLMAVSLWVVRSIGAPSTDIAVSQLTHASAEVSEIVFFLLGAMTIVEIVDAHQGFKMVTDNITTRTPRTLLWVVGFVTFFLSSILDNLTSTIVMVSLLRKLVPPSEFRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLQTMKGLFIPSIVSLAVPLALMSLTSEVNGKGQDSPNVLASEQMAPRGQLVFSVGIGALIFVPVFKALTGLPPYMGMLLGLGVLWILTDAIHYGESERQQLKVPQALSRIDTQGALFFLGILLSVSSLEAAGLLRELANYLDAHIPNVELIASAIGVVSAIIDNVPLVAATMGMYDLTSYPQDSKFWQLVAYCAGTGGSMLVIGSAAGVAYMGMEKVDFFWYFRKVSGFAFAGYAAGIAAYLAVSNFHISLPSTLAQVPFLSGS >EOX98347 pep chromosome:Theobroma_cacao_20110822:2:5443443:5449277:1 gene:TCM_007130 transcript:EOX98347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium:hydrogen antiporter 1 isoform 2 MAALSIGSHFSPSYHFKKRSFHSPSVHSVPSFGSSLCRIRAPRLLRNGVLARAEDKARDSSSSPPTQQQFQPNKEKQLEELTSPSGSCDPLCSVDETSSVDFEATYQPKTDLLKTIAIFTAALTGTLAINLSWVASNEDVAMALLFAIGYAGIIFEESLAFNKSGVGLLMAVSLWVVRSIGAPSTDIAVSQLTHASAEVSEIVFFLLGAMTIVEIVDAHQGFKMVTDNITTRTPRTLLWVVGFVTFFLSSILDNLTSTIVMVSLLRKLVPPSEFRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLQTMKGLFIPSIVSLAVPLALMSLTSEVNGKGQDSPNVLASEQMAPRGQLVFSVGIGALIFVPVFKALTGLPPYMGMLLGLGVLWILTDAIHYGESERQQLKVPQALSRIDTQGALFFLGILLSVSSLEAAGLLRELANYLDAHIPNVELIASAIGVVSAIIDNVPLVAATMGMYDLTSYPQDSKFWQLVAYCAGTGGSMLVIGSAAGVAYMGMEKVDFFWYFRKVSGFAFAGYAAGIAAYLA >EOX98348 pep chromosome:Theobroma_cacao_20110822:2:5443641:5448598:1 gene:TCM_007130 transcript:EOX98348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium:hydrogen antiporter 1 isoform 2 MAALSIGSHFSPSYHFKKRSFHSPSVHSVPSFGSSLCRIRAPRLLRNGVLARAEDKARDSSSSPPTQQQFQPNKEKQLEELTSPSGSCDPLCSVDETSSVDFEATYQPKTDLLKTIAIFTAALTGTLAINLSWVASNEDVAMALLFAIGYAGIIFEESLAFNKSGVGLLMAVSLWVVRSIGAPSTDIAVSQLTHASAEVSEIVFFLLGAMTIVEIVDAHQGFKMVTDNITTRTPRTLLWVVGFVTFFLSSILDNLTSTIVMVSLLRKLVPPSEFRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLQTMKGLFIPSIVSLAVPLALMSLTSEVNGKGQDSPNVLASEQMAPRGQLVFSVGIGALIFVPVFKALTGLPPYMGMLLGLGVLWILTDAIHYGESERQQLKVPQALSRIDTQGALFFLGILLSVSSLEAAGLLRELANYLDAHIPNVELIASAIGVVSAIIDNVPLVAATMGMYDLTSYPQDSKFWQLVAYCAGTGGSMLVIGSAAGVAYMGMEKVDFFWYFRKVIWSCFLLHILLGKKNHQF >EOX98967 pep chromosome:Theobroma_cacao_20110822:2:7947972:7951158:1 gene:TCM_007621 transcript:EOX98967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 1 isoform 1 MDTGGNSLPSGADGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVHKPFPARDRDLCRFHADDYVNFLRSITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGLCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGYSKGKYYSLNVPLDDGIDDESYHYLFKPIIGKVMEVFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYEGLHLEWKLTTRCLNMSIMNILVQTILFMLPLVTWKTRIHGHYLKIYVISYLTISQSFSMHQVSNFKKDHLTLSFLRLMKIRMMEMRDGTQIQTWRLMRSASQSQAE >EOX98962 pep chromosome:Theobroma_cacao_20110822:2:7947289:7951618:1 gene:TCM_007621 transcript:EOX98962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 1 isoform 1 MDTGGNSLPSGADGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVHKPFPARDRDLCRFHADDYVNFLRSITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGLCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGYSKGKYYSLNVPLDDGIDDESYHYLFKPIIGKVMEVFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGMEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRTLLEDIRNQLLDNLSKLQHAPSVQFQERPPDTELPEADEDQDDGDERWDPDSDMEVDEERKPIPSRVKREAVEPETKDPEAQKGTAEQARGFDTATDETVSTKAVDVSPMPIDEPTMKVEQETLNKAADPMYPKS >EOX98963 pep chromosome:Theobroma_cacao_20110822:2:7947289:7951618:1 gene:TCM_007621 transcript:EOX98963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 1 isoform 1 MDTGGNSLPSGADGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVHKPFPARDRDLCRFHADDYVNFLRSITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGLCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGYSKGKYYSLNVPLDDGIDDESYHYLFKPIIGKVMEVFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGMEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRTLLEDIRNQLLDNLSKLQHAPSVQFQERPPDTELPEADEDQDDGDERWDPDSDMEVDEERKPIPSRVKREAVEPETKDPEAQKGTAEQARGFDTATDETAVDVSPMPIDEPTMKVEQETLNKAADPMYPKS >EOX98965 pep chromosome:Theobroma_cacao_20110822:2:7947972:7951465:1 gene:TCM_007621 transcript:EOX98965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 1 isoform 1 MDTGGNSLPSGADGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVHKPFPARDRDLCRFHADDYVNFLRSITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGLCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGYSKGKYYSLNVPLDDGIDDESYHYLFKPIIGKVMEVFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYEGLHLEWKLTTRCLNMSIMNILVQTILFMLPLVTWKTRIHGHYLKIYVISYLTISQSFSMHQVSNFKKDHLTLSFLRLMKIRMMEMRDGTQIQTWRLMRSASQSQAE >EOX98966 pep chromosome:Theobroma_cacao_20110822:2:7947972:7951465:1 gene:TCM_007621 transcript:EOX98966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 1 isoform 1 MDTGGNSLPSGADGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVHKPFPARDRDLCRFHADDYVNFLRSITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGLCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGYSKGKYYSLNVPLDDGIDDESYHYLFKPIIGKVMEVFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYEGLHLEWKLTTRCLNMSIMNILVQTILFMLPLVTWKTRIHGHYLKIYVISYLTISQSFSMHQVSNFKKDHLTLSFLRLMKIRMMEMRDGTQIQTWRLMRSASQSQAE >EOX98961 pep chromosome:Theobroma_cacao_20110822:2:7947290:7951720:1 gene:TCM_007621 transcript:EOX98961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 1 isoform 1 MDTGGNSLPSGADGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVHKPFPARDRDLCRFHADDYVNFLRSITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGLCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGYSKGKYYSLNVPLDDGIDDESYHYLFKPIIGKVMEVFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGMEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRTLLEDIRNQLLDNLSKLQHAPSVQFQERPPDTELPEADEDQDDGDERWDPDSDMEVDEERKPIPSRVKREAVEPETKDPEAQKGTAEQARGFDTATDETVSTKAVDVSPMPIDEPTMKVEQETLNKAADPMYPKS >EOX98964 pep chromosome:Theobroma_cacao_20110822:2:7947553:7951618:1 gene:TCM_007621 transcript:EOX98964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 1 isoform 1 MDTGGNSLPSGADGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVHKPFPARDRDLCRFHADDYVNFLRSITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGLCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGYSKGKYYSLNVPLDDGIDDESYHYLFKPIIGKVMEVFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGMEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRTLLEDIRNQLLDNLSKLQHAPSVQFQERPPDTELPEADEDQDDGDERWDPDSDMEVDEERKPIPSRVKREAVEPETKDPEAQKGTAEQARGFDTATDETAVDVSPMPIDEPTMKVEQETLNKAADPMYPKS >EOX99448 pep chromosome:Theobroma_cacao_20110822:2:11325305:11328475:-1 gene:TCM_046721 transcript:EOX99448 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase family protein MGSEAQYKNAMALNGEEGEYSEPVSPTGQYFNSSALSIGVLGVLDSEIPIDDSPTMKLLEDVFLPINPRFSSVMVQDENGAKQWKKVEVKLVDHVNVPVFPPGLSPESYDNYLSDYLSKIATEQLPQNRPLWNIHIVKYTTSNAAGSLIFKLHHALGDGYSLMGALLSCLQRAENPSVPLTFPALSSAPNLSENSNSVFRSLYSAFNTISDFGWSLLKSSFLEDDRTMIRSGDPGVQFKPVVISTMTFSLDHIKQIKTKLGVTINDAITGIIFFGTRLYMQGTSSDKLSNGNSTALVLLNTRTIGGYKSVKDMVKPDADSPWGNQFGFLHVSLPELASTAESCNPLEFIWKAQKLIQRKRNSKAVFFTGQLLEGLRKYRGPEATAKYIHSTLKNSSMTISNLIGPVERMALADHPVKSLYFMVVGVPQSLTITMVSYMGKLTVAIGTEKDFVDPQKFKSSVENAFQMMLKAAQAIA >EOX99389 pep chromosome:Theobroma_cacao_20110822:2:10948927:10950817:1 gene:TCM_008073 transcript:EOX99389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MEKIQHSHVQAKGLKLHVAEIGTGPKVVVFLHGFPEIWYSWRHQMIAVANAGYRAISVDFRGYGLSEHPSEPEKATFNDFVDDVVALLDSLGITKAHFVAKDFGAFVAAMLAILYPDRVSSIVLLGVPFLLPGLSPLQSQLPLIPPGFYMLRWMIPGKAEADFGRFDAKTVIRKVYSMFSGILPPVAADNQEIMDLVDSSAPLPPWFSEEDLAEYGSLYEKCGFRTALQVPYRTMMVPCGLDDRKITAPGLVIMGEKDYIMKFPGLEDYIRSGKIKESVPNLDVTFVSEGNHFVQEQAPEEVNQLIISFLNKHCD >EOX99388 pep chromosome:Theobroma_cacao_20110822:2:10943589:10950879:1 gene:TCM_008073 transcript:EOX99388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MEKIQHRHVQVRGLKLHLAESGTGPKVVVFLHGFPEIWYSWRHQMIAVANAGYRAISVDFRGYGLSEHPSEPEKATFNDFVDDVVALLDSLGITKAHFVAKDFGAFVAAMLAILYPDRVSSIVLLGVPFLLPGLSPLQSQLPLIPPGFYMLRWMIPGKAEADFGRFDAKTVIRKVYSMFSGILPPVAADNQEIMDLVDSSAPLPPWFSEEDLAEYGSLYEKCGFRTALQVPYRTMMVPCGLDDRKITAPGLVIMGEKDYIMKFPGLEDYIRSGKIKESVPNLDVTFVSEGNHFVQEQAPEEVNQLIISFLNKHCD >EOX98579 pep chromosome:Theobroma_cacao_20110822:2:6248864:6264732:-1 gene:TCM_007300 transcript:EOX98579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-and 4-kinase family protein, ALPHA isoform 1 MSAFLKIRKRDWTEQGPHLKSRINAKLSVYQAAARMQIKSLVSLDVDTKTSKKLVLETLALLIDAAEACLLSVWRKLRVCEELFSSLLSGIAQVAASWGGQPLRVLLIRLKPLVLAACMQADTWGSSQGAMFESVLKTCCEIIESGWTKDRAPIDTFIMGLATSIRERNDYEEQDDKEKQAVPAVQLNVIRLLADLNVAISKPEVVDMILPLFIESLEEGDAITPSLLRLRLLDAVSRMASLGFEKSYRETVVLMTRSYLSKLSSVGSAESKTLAPEATTERVETLPAGFLLIATGLKSAKLRSDYRHRLLSLCSDVGLAAESKSGRSGADFLGPLLPAVAEICSDFDPTVDVEPSLLKLFRNLWFYVALFGLAPPIQKTQMPTKSVSTTLNSVGSMGTIALQAVAGPYMWNVLWSSAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAALSQRTALSAALGGRVDVGAMSTISGVKATYLLAVAFLEIIRFSSNGGILNGGTSLTASRSAFGCVFEYLKTPNLMPAVFQCLTAIVHRAFETAVLWLEDRITETGNEAVIRESTLFAHACFLINSMSQRDEHIRDIAVNLLVQLRDRFPQVLWNSSCLDSLLFSVQNDTPSTVVNDPAWESAVRSLYQKIVREWIVISLSYAPCTTQGLLQEKLCKANTWQKAHHTTDVVSLLSEIRIGTGKSDCWAGIRTANIPAVMAAAAAASGAKLKLSEAFILEVLSTGIVSATVKCNHAGEIAGMRRLYNSFGALESGAPQTGLSIGLQRLISGALSQPPQTKDDSFNEILLEKFVSLLRQFVTSAEKGGEVDKSQFHETCSQATALLLSNLGSDRKANLEGFSQLLRLLCWCPAFISTPDAMETGVFIWTWLVSAAPQLGSLVLAELVDAWLWTIDTKRGLFASDMKYSGPAAKLRPHLAPGEPEALPDINPVDQIIAHRLWLGFFIDRFEVVRHNSVEQLLLLGRMLQGTTQLPWKFSHHPAATGTFFTFMLLGLKFCSCQSQGNLQNFRTGLHLLEDRIYRASLGWFAYEPEWYDTNNMNFAQSEAQSVSVFVHYLSNDKVDFLQSDSKGRARENGNSLVDVSDQYHPVWGQMGNYAVGREKRKHLLLMLCQHEADRLEVWAQPLLKEGISSRPKISADKWVEYARTAFSVDPRIAFSLASRFPTNTYLKAEITQLVQSHILDIRCIPEALPYFVTPKAVDDNSALLQQLPHWAACSITQALEFLSPVYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQALRYDEGRLVEGYLLRAAHRSDIFAHILIWHLQQGESCEPGKDASGKNSSFQALLPIVRKHIIDGFTPKALDLFQREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKIQVEGEDLYLPTAPNKLVRGIQVDSGIPLQSAAKVPILIKFNVVDRDGDQNDIKPQACIFKVGDDCRQDVLALQVIALLRDVFTSVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRSQMGETNDGGLYEIFQQDYGPVGSPSFEAARKNFIISSAGYAVASLLLQPKDRHNGNLLLDDAGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWDYFVSLCVKGYLAARRYMNGIINTVLLMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMRNVCTDAYNKWTTAGYDLIQYLQQGIEK >EOX98577 pep chromosome:Theobroma_cacao_20110822:2:6247883:6265905:-1 gene:TCM_007300 transcript:EOX98577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-and 4-kinase family protein, ALPHA isoform 1 MESMIELCDIIAKNPQQFSENVAWICDRCPQPESLLGGSPRVSRSQLNAVLAVSRFLSKCHHCTDNRPKSAMLEFIRAIPASFRRSFWPQSYNSDSIASFFVDFLKYVSESADSSPDFASEIAGLVGEVVTTTVNNNDTNSNDSAISRAFLLALSQNFPPVLPPDADKLINYLFDQLAMSVPASPRELIPVNSETSSSQSSPLSVNHFQGTEVLSPANDSSRGSLMANGGGFYWKSGADQLGNAHLINDGGGSMFRQQVALFEEESVECLEKQEVAFKLIAHILDKVSVDQKLLEQVRFIAKKQLQSMSAFLKIRKRDWTEQGPHLKSRINAKLSVYQAAARMQIKSLVSLDVDTKTSKKLVLETLALLIDAAEACLLSVWRKLRVCEELFSSLLSGIAQVAASWGGQPLRVLLIRLKPLVLAACMQADTWGSSQGAMFESVLKTCCEIIESGWTKDRAPIDTFIMGLATSIRERNDYEEQDDKEKQAVPAVQLNVIRLLADLNVAISKPEVVDMILPLFIESLEEGDAITPSLLRLRLLDAVSRMASLGFEKSYRETVVLMTRSYLSKLSSVGSAESKTLAPEATTERVETLPAGFLLIATGLKSAKLRSDYRHRLLSLCSDVGLAAESKSGRSGADFLGPLLPAVAEICSDFDPTVDVEPSLLKLFRNLWFYVALFGLAPPIQKTQMPTKSVSTTLNSVGSMGTIALQAVAGPYMWNVLWSSAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAALSQRTALSAALGGRVDVGAMSTISGVKATYLLAVAFLEIIRFSSNGGILNGGTSLTASRSAFGCVFEYLKTPNLMPAVFQCLTAIVHRAFETAVLWLEDRITETGNEAVIRESTLFAHACFLINSMSQRDEHIRDIAVNLLVQLRDRFPQVLWNSSCLDSLLFSVQNDTPSTVVNDPAWESAVRSLYQKIVREWIVISLSYAPCTTQGLLQEKLCKANTWQKAHHTTDVVSLLSEIRIGTGKSDCWAGIRTANIPAVMAAAAAASGAKLKLSEAFILEVLSTGIVSATVKCNHAGEIAGMRRLYNSFGALESGAPQTGLSIGLQRLISGALSQPPQTKDDSFNEILLEKFVSLLRQFVTSAEKGGEVDKSQFHETCSQATALLLSNLGSDRKANLEGFSQLLRLLCWCPAFISTPDAMETGVFIWTWLVSAAPQLGSLVLAELVDAWLWTIDTKRGLFASDMKYSGPAAKLRPHLAPGEPEALPDINPVDQIIAHRLWLGFFIDRFEVVRHNSVEQLLLLGRMLQGTTQLPWKFSHHPAATGTFFTFMLLGLKFCSCQSQGNLQNFRTGLHLLEDRIYRASLGWFAYEPEWYDTNNMNFAQSEAQSVSVFVHYLSNDKVDFLQSDSKGRARENGNSLVDVSDQYHPVWGQMGNYAVGREKRKHLLLMLCQHEADRLEVWAQPLLKEGISSRPKISADKWVEYARTAFSVDPRIAFSLASRFPTNTYLKAEITQLVQSHILDIRCIPEALPYFVTPKAVDDNSALLQQLPHWAACSITQALEFLSPVYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQALRYDEGRLVEGYLLRAAHRSDIFAHILIWHLQGESCEPGKDASGKNSSFQALLPIVRKHIIDGFTPKALDLFQREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKIQVEGEDLYLPTAPNKLVRGIQVDSGIPLQSAAKVPILIKFNVVDRDGDQNDIKPQACIFKVGDDCRQDVLALQVIALLRDVFTSVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRSQMGETNDGGLYEIFQQDYGPVGSPSFEAARKNFIISSAGYAVASLLLQPKDRHNGNLLLDDAGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWDYFVSLCVKGYLAARRYMNGIINTVLLMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMRNVCTDAYNKWTTAGYDLIQYLQQGIEK >EOX98576 pep chromosome:Theobroma_cacao_20110822:2:6247883:6265905:-1 gene:TCM_007300 transcript:EOX98576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-and 4-kinase family protein, ALPHA isoform 1 MESMIELCDIIAKNPQQFSENVAWICDRCPQPESLLGGSPRVSRSQLNAVLAVSRFLSKCHHCTDNRPKSAMLEFIRAIPASFRRSFWPQSYNSDSIASFFVDFLKYVSESADSSPDFASEIAGLVGEVVTTTVNNNDTNSNDSAISRAFLLALSQNFPPVLPPDADKLINYLFDQLAMSVPASPRELIPVNSETSSSQSSPLSVNHFQGTEVLSPANDSSRGSLMANGGGFYWKSGADQLGNAHLINDGGGSMFRQQVALFEEESVECLEKQEVAFKLIAHILDKVSVDQKLLEQVRFIAKKQLQSMSAFLKIRKRDWTEQGPHLKSRINAKLSVYQAAARMQIKSLVSLDVDTKTSKKLVLETLALLIDAAEACLLSVWRKLRVCEELFSSLLSGIAQVAASWGGQPLRVLLIRLKPLVLAACMQADTWGSSQGAMFESVLKTCCEIIESGWTKDRAPIDTFIMGLATSIRERNDYEEQDDKEKQAVPAVQLNVIRLLADLNVAISKPEVVDMILPLFIESLEEGDAITPSLLRLRLLDAVSRMASLGFEKSYRETVVLMTRSYLSKLSSVGSAESKTLAPEATTERVETLPAGFLLIATGLKSAKLRSDYRHRLLSLCSDVGLAAESKSGRSGADFLGPLLPAVAEICSDFDPTVDVEPSLLKLFRNLWFYVALFGLAPPIQKTQMPTKSVSTTLNSVGSMGTIALQAVAGPYMWNVLWSSAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAALSQRTALSAALGGRVDVGAMSTISGVKATYLLAVAFLEIIRFSSNGGILNGGTSLTASRSAFGCVFEYLKTPNLMPAVFQCLTAIVHRAFETAVLWLEDRITETGNEAVIRESTLFAHACFLINSMSQRDEHIRDIAVNLLVQLRDRFPQVLWNSSCLDSLLFSVQNDTPSTVVNDPAWESAVRSLYQKIVREWIVISLSYAPCTTQGLLQEKLCKANTWQKAHHTTDVVSLLSEIRIGTGKSDCWAGIRTANIPAVMAAAAAASGAKLKLSEAFILEVLSTGIVSATVKCNHAGEIAGMRRLYNSFGALESGAPQTGLSIGLQRLISGALSQPPQTKDDSFNEILLEKFVSLLRQFVTSAEKGGEVDKSQFHETCSQATALLLSNLGSDRKANLEGFSQLLRLLCWCPAFISTPDAMETGVFIWTWLVSAAPQLGSLVLAELVDAWLWTIDTKRGLFASDMKYSGPAAKLRPHLAPGEPEALPDINPVDQIIAHRLWLGFFIDRFEVVRHNSVEQLLLLGRMLQGTTQLPWKFSHHPAATGTFFTFMLLGLKFCSCQSQGNLQNFRTGLHLLEDRIYRASLGWFAYEPEWYDTNNMNFAQSEAQSVSVFVHYLSNDKVDFLQSDSKGRARENGNSLVDVSDQYHPVWGQMGNYAVGREKRKHLLLMLCQHEADRLEVWAQPLLKEGISSRPKISADKWVEYARTAFSVDPRIAFSLASRFPTNTYLKAEITQLVQSHILDIRCIPEALPYFVTPKAVDDNSALLQQLPHWAACSITQALEFLSPVYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQALRYDEGRLVEGYLLRAAHRSDIFAHILIWHLQGESCEPGKDASGKNSSFQALLPIVRKHIIDGFTPKALDLFQREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKIQVEGEDLYLPTAPNKLVRGIQVDSGIPLQSAAKVPILIKFNVVDRDGDQNDIKPQACIFKVGDDCRQDVLALQVIALLRDVFTSVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRSQMGETNDGGLYEIFQQDYGPVGSPSFEAARKNFIISSAGYAVASLLLQPKDRHNGNLLLDDAGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWDYFVSLCVKGYLAARRYMNGIINTVLLMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMRNVCTDAYNKWTTAGYDLIQYLQQGIEK >EOX98580 pep chromosome:Theobroma_cacao_20110822:2:6251007:6265565:-1 gene:TCM_007300 transcript:EOX98580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-and 4-kinase family protein, ALPHA isoform 1 MESMIELCDIIAKNPQQFSENVAWICDRCPQPESLLGGSPRVSRSQLNAVLAVSRFLSKCHHCTDNRPKSAMLEFIRAIPASFRRSFWPQSYNSDSIASFFVDFLKYVSESADSSPDFASEIAGLVGEVVTTTVNNNDTNSNDSAISRAFLLALSQNFPPVLPPDADKLINYLFDQLAMSVPASPRELIPVNSETSSSQSSPLSVNHFQGTEVLSPANDSSRGSLMANGGGFYWKSGADQLGNAHLINDGGGSMFRQQVALFEEESVECLEKQEVAFKLIAHILDKVSVDQKLLEQVRFIAKKQLQSMSAFLKIRKRDWTEQGPHLKSRINAKLSVYQAAARMQIKSLVSLDVDTKTSKKLVLETLALLIDAAEACLLSVWRKLRVCEELFSSLLSGIAQVAASWGGQPLRVLLIRLKPLVLAACMQADTWGSSQGAMFESVLKTCCEIIESGWTKDRAPIDTFIMGLATSIRERNDYEEQDDKEKQAVPAVQLNVIRLLADLNVAISKPEVVDMILPLFIESLEEGDAITPSLLRLRLLDAVSRMASLGFEKSYRETVVLMTRSYLSKLSSVGSAESKTLAPEATTERVETLPAGFLLIATGLKSAKLRSDYRHRLLSLCSDVGLAAESKSGRSGADFLGPLLPAVAEICSDFDPTVDVEPSLLKLFRNLWFYVALFGLAPPIQKTQMPTKSVSTTLNSVGSMGTIALQAVAGPYMWNVLWSSAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAALSQRTALSAALGGRVDVGAMSTISGVKATYLLAVAFLEIIRFSSNGGILNGGTSLTASRSAFGCVFEYLKTPNLMPAVFQCLTAIVHRAFETAVLWLEDRITETGNEAVIRESTLFAHACFLINSMSQRDEHIRDIAVNLLVQLRDRFPQVLWNSSCLDSLLFSVQNDTPSTVVNDPAWESAVRSLYQKIVREWIVISLSYAPCTTQGLLQEKLCKANTWQKAHHTTDVVSLLSEIRIGTGKSDCWAGIRTANIPAVMAAAAAASGAKLKLSEAFILEVLSTGIVSATVKCNHAGEIAGMRRLYNSFGALESGAPQTGLSIGLQRLISGALSQPPQTKDDSFNEILLEKFVSLLRQFVTSAEKGGEVDKSQFHETCSQATALLLSNLGSDRKANLEGFSQLLRLLCWCPAFISTPDAMETGVFIWTWLVSAAPQLGSLVLAELVDAWLWTIDTKRGLFASDMKYSGPAAKLRPHLAPGEPEALPDINPVDQIIAHRLWLGFFIDRFEVVRHNSVEQLLLLGRMLQGTTQLPWKFSHHPAATGTFFTFMLLGLKFCSCQSQGNLQNFRTGLHLLEDRIYRASLGWFAYEPEWYDTNNMNFAQSEAQSVSVFVHYLSNDKVDFLQSDSKGRARENGNSLVDVSDQYHPVWGQMGNYAVGREKRKHLLLMLCQHEADRLEVWAQPLLKEGISSRPKISADKWVEYARTAFSVDPRIAFSLASRFPTNTYLKAEITQLVQSHILDIRCIPEALPYFVTPKAVDDNSALLQQLPHWAACSITQALEFLSPVYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQALRYDEGRLVEGYLLRAAHRSDIFAHILIWHLQGESCEPGKDASGKNSSFQALLPIVRKHIIDGFTPKALDLFQREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKIQVEGEDLYLPTAPNKLVRGIQVDSGIPLQSAAKVPILIKFNVVDRDGDQNDIKPQACIFKVGDDCRQDVLALQVIALLRDVFTSVGLNLYLFPYGVLPTGPERGIIEVSISTI >EOX98581 pep chromosome:Theobroma_cacao_20110822:2:6249844:6265701:-1 gene:TCM_007300 transcript:EOX98581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-and 4-kinase family protein, ALPHA isoform 1 MESMIELCDIIAKNPQQFSENVAWICDRCPQPESLLGGSPRVSRSQLNAVLAVSRFLSKCHHCTDNRPKSAMLEFIRAIPASFRRSFWPQSYNSDSIASFFVDFLKYVSESADSSPDFASEIAGLVGEVVTTTVNNNDTNSNDSAISRAFLLALSQNFPPVLPPDADKLINYLFDQLAMSVPASPRELIPVNSETSSSQSSPLSVNHFQGTEVLSPANDSSRGSLMANGGGFYWKSGADQLGNAHLINDGGGSMFRQQVALFEEESVECLEKQEVAFKLIAHILDKVSVDQKLLEQVRFIAKKQLQSMSAFLKIRKRDWTEQGPHLKSRINAKLSVYQAAARMQIKSLVSLDVDTKTSKKLVLETLALLIDAAEACLLSVWRKLRVCEELFSSLLSGIAQVAASWGGQPLRVLLIRLKPLVLAACMQADTWGSSQGAMFESVLKTCCEIIESGWTKDRAPIDTFIMGLATSIRERNDYEEQDDKEKQAVPAVQLNVIRLLADLNVAISKPEVVDMILPLFIESLEEGDAITPSLLRLRLLDAVSRMASLGFEKSYRETVVLMTRSYLSKLSSVGSAESKTLAPEATTERVETLPAGFLLIATGLKSAKLRSDYRHRLLSLCSDVGLAAESKSGRSGADFLGPLLPAVAEICSDFDPTVDVEPSLLKLFRNLWFYVALFGLAPPIQKTQMPTKSVSTTLNSVGSMGTIALQAVAGPYMWNVLWSSAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAALSQRTALSAALGGRVDVGAMSTISGVKATYLLAVAFLEIIRFSSNGGILNGGTSLTASRSAFGCVFEYLKTPNLMPAVFQCLTAIVHRAFETAVLWLEDRITETGNEAVIRESTLFAHACFLINSMSQRDEHIRDIAVNLLVQLRDRFPQVLWNSSCLDSLLFSVQNDTPSTVVNDPAWESAVRSLYQKIVREWIVISLSYAPCTTQGLLQEKLCKANTWQKAHHTTDVVSLLSEIRIGTGKSDCWAGIRTANIPAVMAAAAAASGAKLKLSEAFILEVLSTGIVSATVKCNHAGEIAGMRRLYNSFGALESGAPQTGLSIGLQRLISGALSQPPQTKDDSFNEILLEKFVSLLRQFVTSAEKGGEVDKSQFHETCSQATALLLSNLGSDRKANLEGFSQLLRLLCWCPAFISTPDAMETGVFIWTWLVSAAPQLGSLVLAELVDAWLWTIDTKRGLFASDMKYSGPAAKLRPHLAPGEPEALPDINPVDQIIAHRLWLGFFIDRFEVVRHNSVEQLLLLGRMLQGTTQLPWKFSHHPAATGTFFTFMLLGLKFCSCQSQGNLQNFRTGLHLLEDRIYRASLGWFAYEPEWYDTNNMNFAQSEAQSVSVFVHYLSNDKVDFLQSDSKGRARENGNSLVDVSDQYHPVWGQMGNYAVGREKRKHLLLMLCQHEADRLEVWAQPLLKEGISSRPKISADKWVEYARTAFSVDPRIAFSLASRFPTNTYLKAEITQLVQSHILDIRCIPEALPYFVTPKAVDDNSALLQQLPHWAACSITQALEFLSPVYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQALRYDEGRLVEGYLLRAAHRSDIFAHILIWHLQGESCEPGKDASGKNSSFQALLPIVRKHIIDGFTPKALDLFQREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKIQVEGEDLYLPTAPNKLVRGIQVDSGIPLQSAAKVPILIKFNVVDRDGDQNDIKPQACIFKVGDDCRQDVLALQVIALLRDVFTSVGLNLYLFPYGVLPTGPERGIIEVACV >EOX98578 pep chromosome:Theobroma_cacao_20110822:2:6251003:6265500:-1 gene:TCM_007300 transcript:EOX98578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-and 4-kinase family protein, ALPHA isoform 1 MESMIELCDIIAKNPQQFSENVAWICDRCPQPESLLGGSPRVSRSQLNAVLAVSRFLSKCHHCTDNRPKSAMLEFIRAIPASFRRSFWPQSYNSDSIASFFVDFLKYVSESADSSPDFASEIAGLVGEVVTTTVNNNDTNSNDSAISRAFLLALSQNFPPVLPPDADKLINYLFDQLAMSVPASPRELIPVNSETSSSQSSPLSVNHFQGTEVLSPANDSSRGSLMANGGGFYWKSGADQLGNAHLINDGGGSMFRQQVALFEEESVECLEKQEVAFKLIAHILDKVSVDQKLLEQVRFIAKKQLQSMSAFLKIRKRDWTEQGPHLKSRINAKLSVYQAAARMQIKSLVSLDVDTKTSKKLVLETLALLIDAAEACLLSVWRKLRVCEELFSSLLSGIAQVAASWGGQPLRVLLIRLKPLVLAACMQADTWGSSQGAMFESVLKTCCEIIESGWTKDRAPIDTFIMGLATSIRERNDYEEQDDKEKQAVPAVQLNVIRLLADLNVAISKPEVVDMILPLFIESLEEGDAITPSLLRLRLLDAVSRMASLGFEKSYRETVVLMTRSYLSKLSSVGSAESKTLAPEATTERVETLPAGFLLIATGLKSAKLRSDYRHRLLSLCSDVGLAAESKSGRSGADFLGPLLPAVAEICSDFDPTVDVEPSLLKLFRNLWFYVALFGLAPPIQKTQMPTKSVSTTLNSVGSMGTIALQAVAGPYMWNVLWSSAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAALSQRTALSAALGGRVDVGAMSTISGVKATYLLAVAFLEIIRFSSNGGILNGGTSLTASRSAFGCVFEYLKTPNLMPAVFQCLTAIVHRAFETAVLWLEDRITETGNEAVIRESTLFAHACFLINSMSQRDEHIRDIAVNLLVQLRDRFPQVLWNSSCLDSLLFSVQNDTPSTVVNDPAWESAVRSLYQKIVREWIVISLSYAPCTTQGLLQEKLCKANTWQKAHHTTDVVSLLSEIRIGTGKSDCWAGIRTANIPAVMAAAAAASGAKLKLSEAFILEVLSTGIVSATVKCNHAGEIAGMRRLYNSFGALESGAPQTGLSIGLQRLISGALSQPPQTKDDSFNEILLEKFVSLLRQFVTSAEKGGEVDKSQFHETCSQATALLLSNLGSDRKANLEGFSQLLRLLCWCPAFISTPDAMETGVFIWTWLVSAAPQLGSLVLAELVDAWLWTIDTKRGLFASDMKYSGPAAKLRPHLAPGEPEALPDINPVDQIIAHRLWLGFFIDRFEVVRHNSVEQLLLLGRMLQGTTQLPWKFSHHPAATGTFFTFMLLGLKFCSCQSQGNLQNFRTGLHLLEDRIYRASLGWFAYEPEWYDTNNMNFAQSEAQSVSVFVHYLSNDKVDFLQSDSKGRARENGNSLVDVSDQYHPVWGQMGNYAVGREKRKHLLLMLCQHEADRLEVWAQPLLKEGISSRPKISADKWVEYARTAFSVDPRIAFSLASRFPTNTYLKAEITQLVQSHILDIRCIPEALPYFVTPKAVDDNSALLQQLPHWAACSITQALEFLSPVYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQALRYDEGRLVEGYLLRAAHRSDIFAHILIWHLQGESCEPGKDASGKNSSFQALLPIVRKHIIDGFTPKALDLFQREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKIQVEGEDLYLPTAPNKLVRGIQVDSGIPLQSAAKVPILIKFNVVDRDGDQNDIKPQACIFKVGDDCRQDVLALQVIALLRDVFTSVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRSQMGETNDGGLYEIFQQDYGPVGSPSFEAARKNFIISSAGYAVASLLLQPKDRHNGNLLLDDAGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWDYFVR >EOY00900 pep chromosome:Theobroma_cacao_20110822:2:35784176:35792273:-1 gene:TCM_010814 transcript:EOY00900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 20, putative MASRLLLPLILLSLLSVSSPLPSQTLLDAAEILSDSGFVSMALTLDLLSKTLAPLSPSLTIFSPTDLAFLEHGQPSLSVLQFHLSPLPLSLQSLKSLPPSSTIPTLLPNHSLILTSSPSDDYVSLNGVRIDGSPIFDDGSLMIFGVQEFFDPGFGVSIPNPSCVASVNGDDHSFSEASLILRSRGYSVMASFLDLQLLEFKAKTTALTLFAPFDDAMNMKGYSGNFSVYPSIFRRHVLPCKFSWADLVALNNGSVLGTYLEGFKIDVTKYGNNLMINEVAIASPDLYYGESIVVHGLQEVLVVPERPQTLAESPSDIGLDHGEF >EOX97517 pep chromosome:Theobroma_cacao_20110822:2:2545119:2547552:1 gene:TCM_006512 transcript:EOX97517 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR auxin-responsive family protein MISTKILIRMARKWQKTAAIGRKRLASSRTDRKMAGANRSNKSSVVDKGHFVIYTTDKKRFLIPLAYLCNNIILELLKMSEEEFGLPSDGPITLPCDSVVMNYIVSLVKRGLTRDLEKAVVNSVASYRCSTNTTYFHQGHADQRSSSIFCVLKPQDRKEGSPPRQYVGSQTCENMSMQASISK >EOX97910 pep chromosome:Theobroma_cacao_20110822:2:3955206:3960721:1 gene:TCM_006821 transcript:EOX97910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MAEGTITNDSSKGDGATAIQNNNDCINSTDNGTNKITIKKANVKDPEIFSCLLQPATSDSDRDYIGIRRFLLARKAESGFHRRLDWRCNEKGYVAYRNYIRRPRNWENLQIPSHSSTPGNSGRWISTPSPLSHLFEVESWNSSSRDLRSGTLASNHRTSFSSSISDSDRPRRQGVEPAYSFVGMHSIFDQCKAAVTVLKFGHMSSDLLAYGASDGTLTVCTVSETPSVMKQLKGHSKDVTDFDFSSNNQYIASSSMDKTVRVWELSKGLCIRVIYGVSSQMCIRFHPVNNNFLSVGNANKEITVFNFSTGRIIKKSVFDSEVTSMDHDHAGQLIFCGDAQGCIYTVSMDSHTGALSRSHRYRSSSKQKCPVTTVQYRSFSLLAGGPVLLTCTQDGSLSFFSVALEIQGYLTLRCSLKLSPRIHSIRASFCPLLSLDKGEYIVAGSEDSNVYFYDLTRPRHTCVNKLQGHRFPVIGVAWNHGENLLASSDLYGVVIVWKRAKTS >EOX97911 pep chromosome:Theobroma_cacao_20110822:2:3955257:3960713:1 gene:TCM_006821 transcript:EOX97911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MAEGTITNDSSKGDGATAIQNNNDCINSTDNGTNKITIKKANVKDPEIFSCLLQPATSDSDRDYIGIRRFLLARKAESGFHRRLDWRCNEKGYVAYRNYIRRPRNWENLQIPSHSSTPGNSSGRWISTPSPLSHLFEVESWNSSSRDLRSGTLASNHRTSFSSSISDSDRPRRQGVEPAYSFVGMHSIFDQCKAAVTVLKFGHMSSDLLAYGASDGTLTVCTVSETPSVMKQLKGHSKDVTDFDFSSNNQYIASSSMDKTVRVWELSKGLCIRVIYGVSSQMCIRFHPVNNNFLSVGNANKEITVFNFSTGRIIKKSVFDSEVTSMDHDHAGQLIFCGDAQGCIYTVSMDSHTGALSRSHRYRSSSKQKCPVTTVQYRSFSLLAGGPVLLTCTQDGSLSFFSVALEIQGYLTLRCSLKLSPRIHSIRASFCPLLSLDKGEYIVAGSEDSNVYFYDLTRPRHTCVNKLQQGHRFPVIGVAWNHGENLLASSDLYGVVIVWKRAKTS >EOY00806 pep chromosome:Theobroma_cacao_20110822:2:35326986:35328322:-1 gene:TCM_010742 transcript:EOY00806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVYAQAKCKLLHYAYCIILDIIRHQQLLLLLLFHNKRVLYGQKKGRNKSFLIPDQPGSVRLTPNCPVAPLPLSKSPLGSSDMNMAWIWHLGSAPSRYTPTGSPATFTSAWGERSNCCC >EOX97366 pep chromosome:Theobroma_cacao_20110822:2:2095927:2097141:-1 gene:TCM_006411 transcript:EOX97366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper binding protein 6 MAQGRGSAIAAATVMLCLLLLHFELAQAATYTVGGSNGWAFNSAGWPKGKRFKAGDTLVFNYNPSIHNVVAVNRAGYKSCTTPKGATVFQSGKDQIKLAKGQNFFICNYIGHCQAGMKVAITAA >EOX97870 pep chromosome:Theobroma_cacao_20110822:2:3748012:3749395:-1 gene:TCM_006793 transcript:EOX97870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase, putative MASLTSALATTSPSVKPHSSSRTKSLLPVVFKLITNPTLSNSSKLFTSSTNYNSFSEPKTSFTFKSHAIPSNNNSTPCKVQELYVYEINERDRGSPAYLSLSQKSVKSLGDLVCFSNKLYTGDMQKRIGITSGMCILVQHKPEKNGERYEALSSFYFGDYGHMTVQGPYMTYEDSYLAVTGGTGIFLGVIGRVRLHQILFPLKLYHSFYLEGIADLPEELLGEPVEPNLEVEPSPAAQACEPHATIASFTE >EOX97208 pep chromosome:Theobroma_cacao_20110822:2:1623658:1625629:1 gene:TCM_006298 transcript:EOX97208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold acclimation protein WCOR413-like protein beta form MMGKKSFLAMRAEQEASDLISSDFKELVFAAKKLANHAIKLGSLGFGTSILEWIASFAAIYLLILDRTNWKTNILTGLLIPYIFLSLPSLLFNLFRGEVGKWIAFVAVVLRLFFPRRFPDWLELPAALILLIVVAPSLFSSTIRNDWIGVVICLAIACYLLQEHIRASGGFRNSFTKAHGISNTVGIILLLVYPAWALVTDIL >EOX98100 pep chromosome:Theobroma_cacao_20110822:2:4552805:4564466:1 gene:TCM_006937 transcript:EOX98100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane nine 1 MSSSVFSTVGSLSLFAFIVLFLSPAFASESDHKYQPEEPITLWVNKVGPYNNPQETYNYYSLPFCHPGANPAHKWGGLGEVLGGNELIDSQIDIKFKKNVEKGSICQLDLDEAKVRQFKDAIENNYWFEFFVGMFSFSFFYIKVGLRDYFVYMVLLEHLLYLPCLFVDAMYCALHDAESSDDLPLWGFIGELHPDKNSDNGKQVLNTHKNIVIKYNNDQIIHVNLTQDGPKPLEAGRMLDMTYSIKWIPTNVTFARRFDVYLDYPFFEHQIHWFSVFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPRTLVLLSAVVGTGAQLALLVLLVILLAIVGTLYVGRGAIVTTFILCYAFTSFISGYVSGGMYSRNGGKNWIKSMILTASLFPFMCFGIGFILNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSMMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYYVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSNLFVRRIYRNIKCD >EOX97696 pep chromosome:Theobroma_cacao_20110822:2:3089047:3092543:1 gene:TCM_006640 transcript:EOX97696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease 2 isoform 1 MLPRSRTMPSRINHGVIEERHDIRHYLQVEVQPKAQNESEAMDPQANHSKCFDDDGRLKRTGTFWTATSHIITAVIGSGVLSLAWAIAQLGWVAGPTVLVLFAFVNLYTSNLLTECYRSGDPITGQRNYTYMEAVKAHLGGWKVKLCGWIQYLNLFGVAVGYTIAASVSMMAIKRANCLHKSGGTDPCHMSSNGYMISFGIAEVIFSQIPDFSHVWWLSIVAAIMSFTYSAVGLALGIGKVAEHGKIDGSLLGISIGTVTHAGTVTGMQKIWRTLQALGAIAFAYSYSIILIEIQDTVKSPPAEYKTMKKATLFSITVTTVFYLFCGCMGYAAFGDLAPGNLLTGFGFYNPYWLLDIANLAIVVHLVGAYQVFCQPLFAFVEKWCAQKWPKSDLVTAEYDIPIPFGGVYQLNLFRLAWRTIFVVMTTVIAMLMPFFNDVVGFLGAMGFWPLTVYFPVEMYISQKKIGRGTTRWLALQIISVACFFVTVAAAVGSVAGVVLDLKTYKPFKTSY >EOX97697 pep chromosome:Theobroma_cacao_20110822:2:3089047:3092708:1 gene:TCM_006640 transcript:EOX97697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease 2 isoform 1 MLPRSRTMPSRINHGVIEERHDIRHYLQVEVQPKAQNESEAMDPQANHSKCFDDDGRLKRTGTFWTATSHIITAVIGSGVLSLAWAIAQLGWVAGPTVLVLFAFVNLYTSNLLTECYRSGDPITGQRNYTYMEAVKAHLGGWKVKLCGWIQYLNLFGVAVGYTIAASVSMMAIKRANCLHKSGGTDPCHMSSNGYMISFGIAEVIFSQIPDFSHVWWLSIVAAIMSFTYSAVGLALGIGKVAEHGKIDGSLLGISIGTVTHAGTVTGMQKIWRTLQALGAIAFAYSYSIILIEIQDTVKSPPAEYKTMKKATLFSITVTTVFYLFCGCMGYAAFGDLAPGNLLTGFGFYNPYWLLDIANLAIVVHLVGAYQVFCQPLFAFVEKWCAQKWPKSDLVTAEYDIPIPFGGVYQLNLFRLAWRTIFVVMTTVIAMLMPFFNDVVGFLGAMGFWPLTVYFPVEMYISQKKIGRGTTRWLALQIISVACFFVTVAAAVGSVAGVVLDLKTYKPFKTSY >EOY01177 pep chromosome:Theobroma_cacao_20110822:2:38070780:38073439:1 gene:TCM_011112 transcript:EOY01177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEILSVLCCRWPEQKQSRRMWKLCFVCRGTNGASTLALTCTIRGRICMSYGELWFAIGNRKARFSKREFCLVTRLKFGTLLVVIVNPYKVIPGGIHKQYWGAGSQRQFLIIKACLKGNASLDRVVICSIMEVGGLSRIQSLIKEKIIDRKEKSVVRRGVQRSRTTDKEVHAHFTAIEAPGAIKAVKEGPTINVDGYADEDAVLVDRGSRDMQMHKHWMDEHDGTRNEESIGSGIDLDEVAGINQDIASAHSSPSVAPLKYHLETVNATKAPHPASSPITTSPCPAHITTPLEAPQYDQSTPFPKARLPPQVKDACVSMISKSVQSSYANPLLVQCKAKDALKDRYEFFMKNEQAR >EOY01672 pep chromosome:Theobroma_cacao_20110822:2:40561511:40564755:-1 gene:TCM_011516 transcript:EOY01672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 11, putative isoform 1 MGNTFGCIYPDDRKASPRRASRYPPPRPLPSRPATSSSAAFTPSFNARPSFLSSFTSSSSRKERDKRRASSAGSLDLDQHESAVIQEQAIAAALLFRHHQSNNSLPFIRSTSVVHPSPGSKKHRFPRSSSTRQPSPSDPTLRPQQLVNSQQGVKIDGLETNHLVLVHGGGFGAWCWYKTMTLLEESGFKVDAVDLTGSGVSSFDTNSITSLAQYVKPLTDIFDKLEDGKKVLLVGHDFGGACISFVMELYPSKIAKAIFVAAAMLTSGQSTLDMFSQQQTGSNDLMRQAQIFLYANGNNNPPTAVDLNKTSLRDLFFNQSSAKDVALASVSMRPIPFAPVLEKLSLSDMNYGSIRRFYVKTQEDRAIAIPLQEAMIKSNPPEQVFQLKGSDHAPFFSRPQGLHKILIEIAQLPAKQACSSAPELRHLLEYGTF >EOY01673 pep chromosome:Theobroma_cacao_20110822:2:40561501:40564874:-1 gene:TCM_011516 transcript:EOY01673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 11, putative isoform 1 MGNTFGCIYPDDRKASPRRASRYPPPRPLPSRPATSSSAAFTPSFNARPSFLSSFTSSSSRKERDKRRASSAGSLDLDQHESAVIQEQAIAAALLFRHHQSNNSLPFIRSTSVVHPSPGSKKHRFPRSSSTRQPSPSDPTLRPQQLVNSQQTGSNDLMRQAQIFLYANGNNNPPTAVDLNKTSLRDLFFNQSSAKDVALASVSMRPIPFAPVLEKLSLSDMNYGSIRRFYVKTQEDRAIAIPLQEAMIKSNPPEQVFQLKGSDHAPFFSRPQGLHKILIEIAQLPAKQACSSAPELRHLLEYGTF >EOY01671 pep chromosome:Theobroma_cacao_20110822:2:40561149:40564881:-1 gene:TCM_011516 transcript:EOY01671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 11, putative isoform 1 MGNTFGCIYPDDRKASPRRASRYPPPRPLPSRPATSSSAAFTPSFNARPSFLSSFTSSSSRKERDKRRASSAGSLDLDQHESAVIQEQAIAAALLFRHHQSNNSLPFIRSTSVVHPSPGSKKHRFPRSSSTRQPSPSDPTLRPQQLVNSQQGVKIDGLETNHLVLVHGGGFGAWCWYKTMTLLEESGFKVDAVDLTGSGVSSFDTNSITSLAQYVKPLTDIFDKLEDGKKVLLVGHDFGGACISFVMELYPSKIAKAIFVAAAMLTSGQSTLDMFSQQTGSNDLMRQAQIFLYANGNNNPPTAVDLNKTSLRDLFFNQSSAKDVALASVSMRPIPFAPVLEKLSLSDMNYGSIRRFYVKTQEDRAIAIPLQEAMIKSNPPEQVFQLKGSDHAPFFSRPQGLHKILIEIAQLPAKQACSSAPELRHLLEYGTF >EOX99708 pep chromosome:Theobroma_cacao_20110822:2:14283705:14292553:-1 gene:TCM_008503 transcript:EOX99708 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCHC-type integrase-like protein MRVDTLVSRREWGQSSSEVDRQPTRGITIEDLAAGLQGVNRVVEMMVAHTDNKQKVVEGRPTVQESPSSQRQVDRQHHEWSRCFGLWHLEISIPTSQQWIVLSGLRGGPVRVGLRQIEQKGPRQRVIKVVEISVVGCHLLAVKVHKRAHDCPKGGVTRLALILRRDKEPSVLGGNKILNRVVKSPTLVILVGDDIVDNASIMRQFVLGVVNLNTLRGIVRWHINHKILFVAPSSQLRLLFQLLPHLVGRLVDLEVEELVLLLKASHLGPDVRVLPVKFSKCEFWLESIAFLGHVASKDGVQVDPKKVEVVEKWPRPMSITEIRSFLGLAGYYRRFVKDFSKIVAPLTKLTSKDTKFVWLDACENSFEKLKTCLTTAPVLSLPQGTGGYTVFCDASRVSLGCVLMQQGKVIAYASRQLKKHEKNYPAHDLEMATIVFALKIWRHYLYGETCEIYTDHKSLKYIFQQGDLNLRQWRWMELLKDYDCTILYHPGKANVVVDALSQKLMGSLAHISADRRSLIKEIHS >EOX97934 pep chromosome:Theobroma_cacao_20110822:2:4021027:4024282:-1 gene:TCM_006833 transcript:EOX97934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol monophosphatase like 2 isoform 2 MLLQSQSHFLSTSPKAHPFSHSSSSSSLLTRKSYFLSFPSFKTPRICLQTKAMISNSKLSNHTESLSLNDAQLDRFAEVGNYLADAAGEVIRKYFRKKFEILDKEDLSPVTIADQAAEESMVSIILENFPSHAIYGEENGWRCKENSADYVWVLDPIDGTKSFITGKPVFGTLIALLHKSRPILGIIDQPVLRERWIGISGRKSTLNGQEVATRTCTELSRAYLYTTSPHLFSGDAEEAFARVKDKVKLPLYGCDCYAYALLASGYVDLVIESGLRPYDFLALVPVIEGAGGVITDWKGQQLDWKASSGSRATSFNVVAAGDKQIHRQALDVLQWR >EOX97935 pep chromosome:Theobroma_cacao_20110822:2:4021379:4024064:-1 gene:TCM_006833 transcript:EOX97935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol monophosphatase like 2 isoform 2 MISNSKLSNHTESLSLNDAQLDRFAEVGNYLADAAGEVIRKYFRKKFEILDKEDLSPVTIADQAAEESMVSIILENFPSHAIYGEENGWRCKENSADYVWVLDPIDGTKSFITGKPVFGTLIALLHKSRPILGIIDQPVLRERWIGISGRKSTLNGQEVATRTCTELSRAYLYTTSPHLFSGDAEEAFARVKDKVKLPLYGCDCYAYALLASGYVDLVIESGLRPYDFLALVPVIEGAGGVITDWKGQQLDWKASSGSRATSMPMLLEIHFFFFESSS >EOX97936 pep chromosome:Theobroma_cacao_20110822:2:4021127:4024068:-1 gene:TCM_006833 transcript:EOX97936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol monophosphatase like 2 isoform 2 MISNSKLSNHTESLSLNDAQLDRFAEVGNYLADAAGEVIRKYFRKKFEILDKEDLSPVTIADQAAEESMVSIILENFPSHAIYGEENGWRCKENSADYVWVLDPIDGTKSFITGKPVFGTLIALLHKSRPILGIIDQPVLLYGCDCYAYALLASGYVDLVIESGLRPYDFLALVPVIEGAGGVITDWKGQQLDWKASSGSRATSFNVVAAGDKQIHRQALDVLQWR >EOY00262 pep chromosome:Theobroma_cacao_20110822:2:30539582:30547502:-1 gene:TCM_010088 transcript:EOY00262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tir-nbs-lrr resistance protein, putative isoform 4 MVNDGEISTTTPAALRFRWDVFLSFRGEDTRHNITQDLYSLLTGKGIRAFRDDDGLNRGDEISPRLLEAIEDSAASIVILSQNYASSHWCLEELARICKLRRLILPVFYGVDPSHVRKQKGPFEEAFRSHENRFGMDKVMEWRKAMETVGGIAGWVFTDNSDEKHLIRVVLREVLKELNNTPKKVASYAVGLDSRVTDLINLLDVKSNGIKVVVLHGMGGIGKTTLAKAVYNKILPHFRFRSFISDVRELSKQEDGLVSLQEKLIGDLSPGAVLSLNDVDANASTITRIVHDNNVLLVLDDVDQGDQLHALGARTFKGQNDGKSRIIVTTRNTGVLRGHHVNQFYEVRELHVDQALQLFSHHALRREEPTEDFRELSKRIVSLTGNLPLALEVFGSFLLDKRKVTEWEDALKKLGDIRPRELQDVLKISFDGLDRENKCIFLDIACLFVNMEMKREDMIDIFKGCDFKAEIAIRVLEEKSLIKFTGNDSLWMHDQLRDMGRQIVKDKDSGDLGMRSRLWARNDIMTVLENYKGTRSIEGIVMDKRKFVKKPGTGKEVVIYTKSFESMVNLRLLQINHVKLEGNFKLLPRELKWLQWQGCALKTLPSDFCPQKLAVLDLSESKIERVWSSYPNKLDENLMVMILRGCPKLASLPDLSGHEKLQKIVLENCVSLINIHKSVGSLKSLRHLDVTGCSNLVEFPSDVLGMKNLQTLVLSGCYKLKELPEGIGSMISLKELYADKTGIEKLPDSIYRLEKLEKLILDGCKRIKQLPRCVGKLISLKELRLNHSALEKLPDSIGSLENLEQLSSISCESFTAIPDTVGDLKLLKELLIKGGAITELPNSIGSLSYLKMLFVGGSQLSKLPDSIQGLASLVNLEIDGTPITGLPSQIGALRSLEKLRMWNCTSLESLPESIGSLLALTYLNIFKASITELPESFGMLENLITLRLNQCRRLRKLPPSIGNLKSLHHLYMEETAVAKLPESFGMLSCLMVLKMAKKHSTQEQPESFTLLPTSFSNLSLLEDLDARAWRITGEIPDDFEKLSALEFLNLSQNDFSKLPSSLRGLSLLKKLRLSQCENLESLPPLPSSLEELNLANCISLESISDLSNLKSLEELNLTNCEKLVDIPGLESLKSLRKLYMGNCITCSSAAKKRLSKVYLKKLRNLSMPGSKIPDWFSRDMVRFSRHKNLDLKGVIIAVVISLNHQIPDKMRYELPSVVDILAKISNGDGEIYTTTLSLMGVPNTNEDHVHLCRFPATHQLVFMLNDGFKIQVTRRNPPYVEGVELKKAGIYLVFENDDDYEGDEESLDESQQTVSQRLAKFFSSFEEDAPPPPSYGMQQLQSNKEEKVVPSGTNAYFVFLFIVLPFALSLLSLFGLRFWYTQ >EOY00264 pep chromosome:Theobroma_cacao_20110822:2:30539823:30547060:-1 gene:TCM_010088 transcript:EOY00264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tir-nbs-lrr resistance protein, putative isoform 4 MVNDGEISTTTPAALRFRWDVFLSFRGEDTRHNITQDLYSLLTGKGIRAFRDDDGLNRGDEISPRLLEAIEDSAASIVILSQNYASSHWCLEELARICKLRRLILPVFYGVDPSHVRKQKGPFEEAFRSHENRFGMDKVMEWRKAMETVGGIAGWVFTDNSDEKHLIRVVLREVLKELNNTPKKVASYAVGLDSRVTDLINLLDVKSNGIKVVVLHGMGGIGKTTLAKAVYNKILPHFRFRSFISDVRELSKQEDGLVSLQEKLIGDLSPGAVLSLNDVDANASTITRIVHDNNVLLVLDDVDQGDQLHALGARTFKGQNDGKSRIIVTTRNTGVLRGHHVNQFYEVRELHVDQALQLFSHHALRREEPTEDFRELSKRIVSLTGNLPLALEVFGSFLLDKRKVTEWEDALKKLGDIRPRELQDVLKISFDGLDRENKCIFLDIACLFVNMEMKREDMIDIFKGCDFKAEIAIRVLEEKSLIKFTGNDSLWMHDQLRDMGRQIVKDKDSGDLGMRSRLWARNDIMTVLENYKGTRSIEGIVMDKRKFVKKPGTGKEVVIYTKSFESMVNLRLLQINHVKLEGNFKLLPRELKWLQWQGCALKTLPSDFCPQKLAVLDLSESKIERVWSSYPNKLDENLMVMILRGCPKLASLPDLSGHEKLQKIVLENCVSLINIHKSVGSLKSLRHLDVTGCSNLVEFPSDVLGMKNLQTLVLSGCYKLKELPEGIGSMISLKELYADKTGIEKLPDSIYRLEKLEKLILDGCKRIKQLPRCVGKLISLKELRLNHSALEKLPDSIGSLENLEQLSSISCESFTAIPDTVGDLKLLKELLIKGGAITELPNSIGSLSYLKMLFVGGSQLSKLPDSIQGLASLVNLEIDGTPITGLPSQIGALRSLEKLRMWNCTSLESLPESIGSLLALTYLNIFKASITELPESFGMLENLITLRLNQCRRLRKLPPSIGNLKSLHHLYMEETAVAKLPESFGMLSCLMVLKMAKKHSTQEQPESFTLLPTSFSNLSLLEDLDARAWRITGEIPDDFEKLSALEFLNLSQNDFSKLPSSLRGLSLLKKLRLSQCENLESLPPLPSSLEELNLANCISLESISDLSNLKSLEELNLTNCEKLVDIPGLESLKSLRKLYMGNCITCSSAAKKRLSKVYLKKLRNLSMPGSKIPDWFSRDMVRFSRHKNLDLKAKISNGDGEIYTTTLSLMGVPNTNEDHVHLCRFPATHQLVFMLNDGFKIQVTRRNPPYVEGVELKKAGIYLVFENDDDYEGDEESLDESQQTVSQRLAKFFSSFEEDAPPPPSYGMQQLQSNKEEKVVPSGTNAYFVFLFIVLPFALSLLSLFGLRFWYTQ >EOY00265 pep chromosome:Theobroma_cacao_20110822:2:30540280:30547297:-1 gene:TCM_010088 transcript:EOY00265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tir-nbs-lrr resistance protein, putative isoform 4 MVNDGEISTTTPAALRFRWDVFLSFRGEDTRHNITQDLYSLLTGKGIRAFRDDDGLNRGDEISPRLLEAIEDSAASIVILSQNYASSHWCLEELARICKLRRLILPVFYGVDPSHVRKQKGPFEEAFRSHENRFGMDKVMEWRKAMETVGGIAGWVFTDNSDEKHLIRVVLREVLKELNNTPKKVASYAVGLDSRVTDLINLLDVKSNGIKVVVLHGMGGIGKTTLAKAVYNKILPHFRFRSFISDVRELSKQEDGLVSLQEKLIGDLSPGAVLSLNDVDANASTITRIVHDNNVLLVLDDVDQGDQLHALGARTFKGQNDGKSRIIVTTRNTGVLRGHHVNQFYEVRELHVDQALQLFSHHALRREEPTEDFRELSKRIVSLTGNLPLALEVFGSFLLDKRKVTEWEDALKKLGDIRPRELQDVLKISFDGLDRENKCIFLDIACLFVNMEMKREDMIDIFKGCDFKAEIAIRVLEEKSLIKFTGNDSLWMHDQLRDMGRQIVKDKDSGDLGMRSRLWARNDIMTVLENYKGTRSIEGIVMDKRKFVKKPGTGKEVVIYTKSFESMVNLRLLQINHVKLEGNFKLLPRELKWLQWQGCALKTLPSDFCPQKLAVLDLSESKIERVWSSYPNKLDENLMVMILRGCPKLASLPDLSGHEKLQKIVLENCVSLINIHKSVGSLKSLRHLDVTGCSNLVEFPSDVLGMKNLQTLVLSGCYKLKELPEGIGSMISLKELYADKTGIEKLPDSIYRLEKLEKLILDGCKRIKQLPRCVGKLISLKELRLNHSALEKLPDSIGSLENLEQLSSISCESFTAIPDTVGDLKLLKELLIKGGAITELPNSIGSLSYLKMLFVGGSQLSKLPDSIQGLASLVNLEIDGTPITGLPSQIGALRSLEKLRMWNCTSLESLPESIGSLLALTYLNIFKASITELPESFGMLENLITLRLNQCRRLRKLPPSIGNLKSLHHLYMEETAVAKLPESFGMLSCLMVLKMAKKHSTQEQPESFTLLPTSFSNLSLLEDLDARAWRITGEIPDDFEKLSALEFLNLSQNDFSKLPSSLRGLSLLKKLRLSQCENLESLPPLPSSLEELNLANCISLESISDLSNLKSLEELNLTNCEKLVDIPGLESLKSLRKLYMGNCITCSSAAKKRLSKVGFLSHSLSLS >EOY00263 pep chromosome:Theobroma_cacao_20110822:2:30539701:30547493:-1 gene:TCM_010088 transcript:EOY00263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tir-nbs-lrr resistance protein, putative isoform 4 MVNDGEISTTTPAALRFRWDVFLSFRGEDTRHNITQDLYSLLTGKGIRAFRDDDGLNRGDEISPRLLEAIEDSAASIVILSQNYASSHWCLEELARICKLRRLILPVFYGVDPSHVRKQKGPFEEAFRSHENRFGMDKVMEWRKAMETVGGIAGWVFTDNSDEKHLIRVVLREVLKELNNTPKKVASYAVGLDSRVTDLINLLDVKSNGIKVVVLHGMGGIGKTTLAKAVYNKILPHFRFRSFISDVRELSKQEDGLVSLQEKLIGDLSPGAVLSLNDVDANASTITRIVHDNNVLLVLDDVDQGDQLHALGARTFKGQNDGKSRIIVTTRNTGVLRGHHVNQFYEVRELHVDQALQLFSHHALRREEPTEDFRELSKRIVSLTGNLPLALEVFGSFLLDKRKVTEWEDALKKLGDIRPRELQDVLKISFDGLDRENKCIFLDIACLFVNMEMKREDMIDIFKGCDFKAEIAIRVLEEKSLIKFTGNDSLWMHDQLRDMGRQIVKDKDSGDLGMRSRLWARNDIMTVLENYKGTRSIEGIVMDKRKFVKKPGTGKEVVIYTKSFESMVNLRLLQINHVKLEGNFKLLPRELKWLQWQGCALKTLPSDFCPQKLAVLDLSESKIERVWSSYPNKLDENLMVMILRGCPKLASLPDLSGHEKLQKIVLENCVSLINIHKSVGSLKSLRHLDVTGCSNLVEFPSDVLGMKNLQTLVLSGCYKLKELPEGIGSMISLKELYADKTGIEKLPDSIYRLEKLEKLILDGCKRIKQLPRCVGKLISLKELRLNHSALEKLPDSIGSLENLEQLSSISCESFTAIPDTVGDLKLLKELLIKGGAITELPNSIGSLSYLKMLFVGGSQLSKLPDSIQGLASLVNLEIDGTPITGLPSQIGALRSLEKLRMWNCTSLESLPESIGSLLALTYLNIFKASITELPESFGMLENLITLRLNQCRRLRKLPPSIGNLKSLHHLYMEETAVAKLPESFGMLSCLMVLKMAKKHSTQEQPESFTLLPTSFSNLSLLEDLDARAWRITGEIPDDFEKLSALEFLNLSQNDFSKLPSSLRGLSLLKKLRLSQCENLESLPPLPSSLEELNLANCISLESISDLSNLKSLEELNLTNCEKLVDIPGLESLKSLRKLYMGNCITCSSAAKKRLSKQRSAMVMEKYIRPPCP >EOX97858 pep chromosome:Theobroma_cacao_20110822:2:3704950:3708220:1 gene:TCM_006783 transcript:EOX97858 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box type zinc finger family protein MKKEEKEVEDKWRVRDLHTAAIFRSVEECLRSEERRSKREANRKKKNRMRTLCDVCESAAAILFCAADEAALCRSCDEKVHMCNKLASRHVRVGLADPSDVPRCDICENAPAFFYCEVDGSSLCLQCDMIVHVGGKRTHGRYLLLRQRVEFPGDKPGRLDELGLQTLDPNEVRKDKNQQQPKLAARENQQNHRVSPVPVLDGNSDGDGKVGNKLIDLNAKPQRVHGQASTNQEQGMDISSGNNHDSSSVVPVGSFKREPDK >EOX97058 pep chromosome:Theobroma_cacao_20110822:2:1059433:1065814:1 gene:TCM_006167 transcript:EOX97058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yth domain-containing protein, putative isoform 1 MAGEKMTDNPEPVSAVLKSEVVAKLAEQDVPSGKVGMPSDLTSTMSSSTYPSSGVKGYNGSLVQSDDNSYFLANGSHTGMQSENGSLVYYMPGYNPYATGTLMGVDGQCVGQQPYFSSGYFQPPVSYGSEAMPCYIWDSTYAGEVLNGNVDGFGNVNYGSGSAFAKSNGFNSLKSNGLVGTKLPKSTHTQPIKALNKGPHLGSDLSAGSYGYHPAGKSPSFNNQKEGLFQHNGPMNYRLNGRGWNQNDRYKKSNRDFDFQNSAEVTRGPRAWNRVLDSSVKREDLGLTLCKDKYNPLDFQTEYDNAKFFVIKSYSEDDVHKSMKYDVWSSTPNGNRKLDAAFHEAEARESETGTKFPIFLLFSVNGSGQFVGLAEMIGKVDFNKDMDFWQLDKWNGFFPVKWHVIKDIPNKELSHIILENNENRSVTYSRDTQEIGLKQGLEMLNIFKRYSAKSSLLDDFGFYENREKTLNAKKNYKPVTLRNKEDDFTQTKAGERRVE >EOX97054 pep chromosome:Theobroma_cacao_20110822:2:1059433:1065814:1 gene:TCM_006167 transcript:EOX97054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yth domain-containing protein, putative isoform 1 MAGEKMTDNPEPVSAVLKSEVVAKLAEQDVPSGKVGMPSDLTSTMSSSTYPSSGVKGESHQDLVGEPGVNQPTSFYNYYYPGYNGSLVQSDDNSYFLANGSHTGMQSENGSLVYYMPGYNPYATGTLMGVDGQCVGQQPYFSSGYFQPPVSYGSEAMPCYIWDSTYAGEVLNGNVDGFGNVNYGSGSAFAKSNGFNSLKSNGLVGTKLPKSTHTQPIKALNKGPHLGSDLSAGSYGYHPAGKSPSFNNQKEGLFQHNGPMNYRLNGRGWNQNDRYKKSNRDFDFQNSAEVTRGPRAWNRVLDSSVKREDLGLTLCKDKYNPLDFQTEYDNAKFFVIKSYSEDDVHKSMKYDVWSSTPNGNRKLDAAFHEAEARESETGTKFPIFLLFSVNGSGQFVGLAEMIGKVDFNKDMDFWQLDKWNGFFPVKWHVIKDIPNKELSHIILENNENRSVTYSRDTQEIGLKQGLEMLNIFKRYSAKSSLLDDFGFYENREKTLNAKKNYKPVTLRNKEDDFTQTKAGERRVE >EOX97055 pep chromosome:Theobroma_cacao_20110822:2:1059280:1066411:1 gene:TCM_006167 transcript:EOX97055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yth domain-containing protein, putative isoform 1 MAGEKMTDNPEPVSAVLKSEVVAKLAEQDVPSGKVGMPSDLTSTMSSSTYPSSGVKGESHQDLVGEPGVNQPTSFYNYYYPGYNGSLVQSDDNSYFLANGSHTGMQSENGSLVYYMPGYNPYATGTLMGVDGQCVGQQPYFSSGYFQPPVSYGSEAMPCYIWDSTYAGEVLNGNVDGFGNVNYGSGSAFAKSNGFNSLKSNGLVGTKLPKSTHTQPIKALNKGPHLGSDLSAGSYGYHPAGKSPSFNNQKEGLFQHNGPMNYRLNGRGWNQNDRYKKSNRDFDFQNSAEVTRGPRAWNRVLDSSVKREDLGLTLCKDKYNPLDFQTEYDNAKFFVIKSYSEDDVHKSMKYDVWSSTPNGNRKLDAAFHEAEARESETGTKFPIFLLFSVNGSGQFVGLAEMIGKVDFNKDMDFWQLDKWNGFFPVKWHVIKDIPNKELSHIILENNENRSVTYSRDTQEIGLKQGLEMLNIFKRYSAKSSLLDDFGFYENREKTLNAKKNYKPVTLRNKEDDFTQTKAGERRVEEDLRRTKKTSDATSLINLTKNLSLNGCTLKNSAVKNPIESSFPSFPTP >EOX97057 pep chromosome:Theobroma_cacao_20110822:2:1059280:1066411:1 gene:TCM_006167 transcript:EOX97057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yth domain-containing protein, putative isoform 1 MAGEKMTDNPEPVSAVLKSEVVAKLAEQDVPSGKVGMPSDLTSTMSSSTYPSSGVKGYNGSLVQSDDNSYFLANGSHTGMQSENGSLVYYMPGYNPYATGTLMGVDGQCVGQQPYFSSGYFQPPVSYGSEAMPCYIWDSTYAGEVLNGNVDGFGNVNYGSGSAFAKSNGFNSLKSNGLVGTKLPKSTHTQPIKALNKGPHLGSDLSAGSYGYHPAGKSPSFNNQKEGLFQHNGPMNYRLNGRGWNQNDRYKKSNRDFDFQNSAEVTRGPRAWNRVLDSSVKREDLGLTLCKDKYNPLDFQTEYDNAKFFVIKSYSEDDVHKSMKYDVWSSTPNGNRKLDAAFHEAEARESETGTKFPIFLLFSVNGSGQFVGLAEMIGKVDFNKDMDFWQLDKWNGFFPVKWHVIKDIPNKELSHIILENNENRSVTYSRDTQEIGLKQGLEMLNIFKRYSAKSSLLDDFGFYENREKTLNAKKNYKPVTLRNKEDDFTKQTKAGERRVEEDLRRTKKTSDATSLINLTKNLSLNGCTLKNSAVKNPIESSFPSFPTP >EOX97056 pep chromosome:Theobroma_cacao_20110822:2:1059519:1066343:1 gene:TCM_006167 transcript:EOX97056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yth domain-containing protein, putative isoform 1 MAGEKMTDNPEPVSAVLKSEVVAKLAEQDVPSGKVGMPSDLTSTMSSSTYPSSGVKGYNGSLVQSDDNSYFLANGSHTGMQSENGSLVYYMPGYNPYATGTLMGVDGQCVGQQPYFSSGYFQPPVSYGSEAMPCYIWDSTYAGEVLNGNVDGFGNVNYGSGSAFAKSNGFNSLKSNGLVGTKLPKSTHTQPIKALNKGPHLGSDLSAGSYGYHPAGKSPSFNNQKEGLFQHNGPMNYRLNGRGWNQNDRYKKSNRDFDFQNSAEVTRGPRAWNRVLDSSVKREDLGLTLCKDKYNPLDFQTEYDNAKFFVIKSYSEDDVHKSMKYDVWSSTPNGNRKLDAAFHEAEARESETGTKFPIFLLFSVNGSGQFVGLAEMIGKVDFNKDMDFWQLDKWNGFFPVKWHVIKDIPNKELSHIILENNENRSVTYSRDTQEIGLKQGLEMLNIFKRYSAKSSLLDDFGFYENREKTLNAKKNYKPVTLRNKEDDFTKQTKAGERRVEEDLRRTKKTSDATSLINLTKNLSLNGCTLKNSAVKNPIESSFPSFPTP >EOX97053 pep chromosome:Theobroma_cacao_20110822:2:1059280:1066411:1 gene:TCM_006167 transcript:EOX97053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yth domain-containing protein, putative isoform 1 MAGEKMTDNPEPVSAVLKSEVVAKLAEQDVPSGKVGMPSDLTSTMSSSTYPSSGVKGESHQDLVGEPGVNQPTSFYNYYYPGYNGSLVQSDDNSYFLANGSHTGMQSENGSLVYYMPGYNPYATGTLMGVDGQCVGQQPYFSSGYFQPPVSYGSEAMPCYIWDSTYAGEVLNGNVDGFGNVNYGSGSAFAKSNGFNSLKSNGLVGTKLPKSTHTQPIKALNKGPHLGSDLSAGSYGYHPAGKSPSFNNQKEGLFQHNGPMNYRLNGRGWNQNDRYKKSNRDFDFQNSAEVTRGPRAWNRVLDSSVKREDLGLTLCKDKYNPLDFQTEYDNAKFFVIKSYSEDDVHKSMKYDVWSSTPNGNRKLDAAFHEAEARESETGTKFPIFLLFSVNGSGQFVGLAEMIGKVDFNKDMDFWQLDKWNGFFPVKWHVIKDIPNKELSHIILENNENRSVTYSRDTQEIGLKQGLEMLNIFKRYSAKSSLLDDFGFYENREKTLNAKKNYKPVTLRNKEDDFTKQTKAGERRVEEDLRRTKKTSDATSLINLTKNLSLNGCTLKNSAVKNPIESSFPSFPTP >EOX98870 pep chromosome:Theobroma_cacao_20110822:2:7599746:7603551:1 gene:TCM_007545 transcript:EOX98870 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein MAPSKIRRALGHVKDQTSISLAKVGGITSLSDLDVAIVKATRHEEYPAEERHIREILSLTSYSRAYISACVNTLSRRLNKTKNWTVALKTLMLIQRLLAEGDPAYEQEIFFSTRRGTRILNMSDFRDTSQSNSWDYSAFVRTYALYLDERLEYKMQGRRGKRSAYMHDEEEEENDKAIVVRSTPVREMKTEQIFSRLQHLQQLLERFLACRPTGSAKCNRVVSVALYPIVKESFQIYYDITEILGILIDRFMELDVSESVKVYENFSRVGKQFDELENFYSWCKSVGLARASEYPEIEKITQKKLDLIDEFIRDKSVLAQGKSIRDEVKDEPVPQQEVKETEAREEDMNAIKALPAPEDFSEAPAEEEPEQEEEEDNSKAIVVQQEGDLLNLGEDAISSQDHADKLALALFDGAATSGPPPGPGWEAFKDEADWESALVQSASNLNHQRTTLAGGLDMLLLDGMYQQGQTMAAMASSGYAATGSASSVAFGSAGRPAMLALPAPPSPDGKNTGTNGGDPFAASLVVAPPSYVQMSDMEKKQKLLVEERAMWEQYKRNGMQGHIGMSNLQTYPYSQGGYTHGN >EOX97112 pep chromosome:Theobroma_cacao_20110822:2:1231280:1234708:-1 gene:TCM_006213 transcript:EOX97112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRRRSESSFCSIFALLPMLSVTTKYLAVEWIPQQLQACHGFVQIGNVSTDGVGESRGIVSSISCWKGLNFADQRIGSRVGAD >EOY02065 pep chromosome:Theobroma_cacao_20110822:2:41828496:41835233:-1 gene:TCM_011806 transcript:EOY02065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MNFLLPLRSNQQGTPEPPPVPEEVAESPYVSKSATTLEGLIAEDPYPEYSTVENHGGETNGFEGESTDVVSEKNASVLENHTDVSEEDGWITIPYKDLPDDWNQAPDIHSLRSLDRSFVFPGEQVHILACLSACNQETEIITPFKVAAVMSKNGMRKGIEKQNGNMEVETNSVPGGVEVSPNGTVIDQNGENLEKERIDAAKDVSASESFLRMEDHRRQTEILLKRFKNSHFFVRIAESGEPLWSKKGASDSSQMDSQQSIANETKSTAKNISSLNAVIDRGNFDANVSGGVARDTVKCCSLSNGDIVVLLQVNVGVDFLRDPVIEILQFEKYQDKNLSSENQENLVYENQDPCGELLKWLLPLDNTLPPPRTLSPPPLGSGSGIGSTSQRSAFSASSGSQLFSFGHFRSHSMSSLPQNVATPPGPVKAQSSKPSFDLDELDHYSSQKILKSQRTGTEGLLSFRGVSLERERFSVRCGLEGIHIPGRRWRRKLEIIQPVEIHSYAADCNTNDLLCVQIKNVAPAHIPDIVVYIDAITVVLEEASKGGPPTSLPIACIEAGDDHSLPNLALRRGEEHSFILKPATSMWKDLKTYGEKSKLSSLRPPSKTFDRKGSASTVNQYAIMVSCHCNYTASRLFFKQPTSWRPRISRDLMISVASEMSGQYCGPNERVTQLPVQVLTLQASNLTPEDLTMTVLAPASFTSPPSVVSLNSSPTSPMSPFVGFSELAGKASSVHKLSSMSTASENLKQNGDAGARFTSFNEQLTPIADVIPTSGLGCTHLWLQSRVPLG >EOY02064 pep chromosome:Theobroma_cacao_20110822:2:41827983:41835233:-1 gene:TCM_011806 transcript:EOY02064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MNFLLPLRSNQQGTPEPPPVPEEVAESPYVSKSATTLEGLIAEDPYPEYSTVENHGGETNGFEGESTDVVSEKNASVLENHTDVSEEDGWITIPYKDLPDDWNQAPDIHSLRSLDRSFVFPGEQVHILACLSACNQETEIITPFKVAAVMSKNGMRKGIEKQNGNMEVETNSVPGGVEVSPNGTVIDQNGENLEKERIDAAKDVSASESFLRMEDHRRQTEILLKRFKNSHFFVRIAESGEPLWSKKGASDSSQMDSQQSIANETKSTAKNISSLNAVIDRGNFDANVSGGVARDTVKCCSLSNGDIVVLLQVNVGVDFLRDPVIEILQFEKYQDKNLSSENQENLVYENQDPCGELLKWLLPLDNTLPPPRTLSPPPLGSGSGIGSTSQRSAFSASSGSQLFSFGHFRSHSMSSLPQNVATPPGPVKAQSSKPSFDLDELDHYSSQKILKSQRTGTEGLLSFRGVSLERERFSVRCGLEGIHIPGRRWRRKLEIIQPVEIHSYAADCNTNDLLCVQIKNVAPAHIPDIVVYIDAITVVLEEASKGGPPTSLPIACIEAGDDHSLPNLALRRGEEHSFILKPATSMWKDLKTYGEKSKLSSLRPPSKTFDRKGSASTVNQYAIMVSCHCNYTASRLFFKQPTSWRPRISRDLMISVASEMSGQYCGPNERVTQLPVQVLTLQASNLTPEDLTMTVLAPASFTSPPSVVSLNSSPTSPMSPFVGFSELAGKASSVHKLSSMSTASENLKQNGDAGARFTSFNEQLTPIADVIPTSGLGCTHLWLQSRVPLGCVPAQSMATIKLELLPLTDGIITLDTLQIDVKEKGLTYIPEHSLKINATSSVSTGII >EOY02063 pep chromosome:Theobroma_cacao_20110822:2:41827937:41838780:-1 gene:TCM_011806 transcript:EOY02063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MNFLLPLRSNQQGTPEPPPVPEEVAESPYVSKSATTLEGLIAEDPYPEYSTVENHGGETNGFEGESTDVVSEKNASVLENHTDVSEEDGWITIPYKDLPDDWNQAPDIHSLRSLDRSFVFPGEQVHILACLSACNQETEIITPFKVAAVMSKNGMRKGIEKQNGNMEVETNSVPGGVEVSPNGTVIDQNGENLEKERIDAAKDVSASESFLRMEDHRRQTEILLKRFKNSHFFVRIAESGEPLWSKKGASDSSQMDSQQSIANETKSTAKNISSLNAVIDRGNFDANVSGGVARDTVKCCSLSNGDIVTTDSHTTSLFGRMQVLLQVNVGVDFLRDPVIEILQFEKYQDKNLSSENQENLVYENQDPCGELLKWLLPLDNTLPPPRTLSPPPLGSGSGIGSTSQRSAFSASSGSQLFSFGHFRSHSMSSLPQNVATPPGPVKAQSSKPSFDLDELDHYSSQKILKSQRTGTEGLLSFRGVSLERERFSVRCGLEGIHIPGRRWRRKLEIIQPVEIHSYAADCNTNDLLCVQIKNVAPAHIPDIVVYIDAITVVLEEASKGGPPTSLPIACIEAGDDHSLPNLALRRGEEHSFILKPATSMWKDLKTYGEKSKLSSLRPPSKTFDRKGSASTVNQYAIMVSCHCNYTASRLFFKQPTSWRPRISRDLMISVASEMSGQYCGPNERVTQLPVQVLTLQASNLTPEDLTMTVLAPASFTSPPSVVSLNSSPTSPMSPFVGFSELAGKASSVHKLSSMSTASENLKQNGDAGARFTSFNEQLTPIADVIPTSGLGCTHLWLQSRVPLGCVPAQSMATIKLELLPLTDGIITLDTLQIDVKEKGLTYIPEHSLKINATSSVSTGII >EOX98841 pep chromosome:Theobroma_cacao_20110822:2:7452429:7455482:-1 gene:TCM_007521 transcript:EOX98841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFPHNPSTNSPSSSSSTSSSTAHHPPPSTTTDNDPMHSWWESVSKQRSRILSLSSLLPSDGLTLSSLADSDRPALSLLSSPAAYSLISSALSSPSSGSGSDPLCQWLYETFQSSDPHLRLLVLSFLPLLSGIYLSRIHSSDSSSLPSLAGFEAVLLAVYSSEAKSRSGKPLLVQIPDLSQPSLYHTPRNKPVNDRSRQSVGVLSPPLEPHLAVKSTKRAIIVGTALDCYYKQVSQMPAWSKLEFCKFAAAWAGQDCPCRTKFDADDHDHNENGNGNSNGHDRFFREDSRFSNGTRNRDDDDVDDEDDVIKDVVVEMDNLGINKEDAENLEKKGVRIPLPWELLRPVVTILGHCLFGPSNSQDVKDAASVAIRCLYARASHDLAPQAILALQSLIRLDKSARAAAKAAAAAAANTSSNANTPSKAKKPEILLVSK >EOY01755 pep chromosome:Theobroma_cacao_20110822:2:40822463:40826058:-1 gene:TCM_011582 transcript:EOY01755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatases superfamily protein MVLLLFFLLSLFSQTQSKPILTVSPKTLSKSGDHVLIQWSGIDSPSKLDWLGLYSPPDSSHDNFIGYKFLSSSPTWESGSGSISLPLTSLRSNYSFRIFRWSESEVNPDRHDQDHNPLPGTDHLLAESERVGFESGRGPEQIHLAWTGREGEMRVMFVAEDSEERHMRYGEKEGEWEGDVAVARAGRYEREDMCHAPANESVGWRDPGWIFDAVMSGLKGGIKYYYQVGSDSKGWSTTRSFVSWDASSKETLAFLFGDMGTATPYLTFSRTQDESISTMKWILRDLEALGDKPALVSHIGDISYARGYSWLWDEFFNLIEPVASKVPYHVCIGNHEYDWPSQPWRPEWSNSIYGTDGGGECGVPYSLRFNMPGNSSEPTGTRAPATQNLYYSFDMGTVHFVYMSTETNFLPGSSQYNFLKHDLESVDRTKTPFVVVQGHRPMYTTSYESRDAPLRQRMLEHLEPLFVKNNVTLALWGHVHRYERFCPLKNFTCGSMGLKGESWEALPVHVVIGMAGQDWQPTWEPRPDHPHDPVYPQPKRSLYRTGEFGYTRLVATKEKLILSFVGNHDGEVHDMVEILASGQVLNGGDGDSGRVGAVLKDEAMEYSFSHYVWGGSVLVLGGFVGYVFGFVSHARKRAASGRSWTFVKSEET >EOX99048 pep chromosome:Theobroma_cacao_20110822:2:8280821:8287887:1 gene:TCM_007672 transcript:EOX99048 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MTVDEAGSSSEWSREQDKAFENALATYAEDSSDRWEKIAADVPGKTLEEIKKHYELLEDDVSRIESGCVPLPSYNSLEGSAGLAGDEGTGKKGSSHLGHYNSESNNGSKNSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDISAPQGPITGQSNGIATGGSSGKSTKQPPQHPAAPPAVGMYGAPTIGQPIGGPLVSAVGTPVNLPAPAHMAYGVRAPVPGTVVPGAPMNMGPVTYPMSHTSAHR >EOX99049 pep chromosome:Theobroma_cacao_20110822:2:8280212:8287887:1 gene:TCM_007672 transcript:EOX99049 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MTVDEAGSSSEWSREQDKAFENALATYAEDSSDRWEKIAADVPGKTLEEIKKHYELLEDDVSRIESGCVPLPSYNSLEGSAGLAGDEGTGKKGSSHLGHYNSESNNGSKNSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDISAPQGPITGQSNGIATGGSSGKSTKQPPQHPAAPPAVGMYGAPTIGQPIGGPLVSAVGTPVNLPAPAHMAYGVRAPVPGTVVPGAPMNMGPVTYPMSHTSAHR >EOX99052 pep chromosome:Theobroma_cacao_20110822:2:8279905:8287887:1 gene:TCM_007672 transcript:EOX99052 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MTVDEAGSSSEWSREQDKAFENALATYAEDSSDRWEKIAADVPGKTLEEIKKHYELLEDDVSRIESGCVPLPSYNSLEGSAGLAGDEGTGKKGSSHLGHYNSESNNGSKNSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDISAPQGPITGQSNGIATGGSSGKSTKQPPQHPAAPPAVGMYGAPTIGQPIGGPLVSAVGTPVNLPAPAHMAYGVRAPVPGTVVPGAPMNMGPVTYPMSHTSAHR >EOX99053 pep chromosome:Theobroma_cacao_20110822:2:8280212:8287887:1 gene:TCM_007672 transcript:EOX99053 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MTVDEAGSSSEWSREQDKAFENALATYAEDSSDRWEKIAADVPGKTLEEIKKHYELLEDDVSRIESGCVPLPSYNSLEGSAGLAGDEGTGKKGSSHLGHYNSESNNGSKNSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDISAPQGPITGQSNGIATGGSSGKSTKQPPQHPAAPPAVGMYGAPTIGQPIGGPLVSAVGTPVNLPAPAHMAYGVRAPVPGTVVPGAPMNMGPVTYPMSHTSAHR >EOX99050 pep chromosome:Theobroma_cacao_20110822:2:8280039:8287887:1 gene:TCM_007672 transcript:EOX99050 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MTVDEAGSSSEWSREQDKAFENALATYAEDSSDRWEKIAADVPGKTLEEIKKHYELLEDDVSRIESGCVPLPSYNSLEGSAGLAGDEGTGKKGSSHLGHYNSESNNGSKNSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDISAPQGPITGQSNGIATGGSSGKSTKQPPQHPAAPPAVGMYGAPTIGQPIGGPLVSAVGTPVNLPAPAHMAYGVRAPVPGTVVPGAPMNMGPVTYPMSHTSAHR >EOX99047 pep chromosome:Theobroma_cacao_20110822:2:8279132:8287866:1 gene:TCM_007672 transcript:EOX99047 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MTVDEAGSSSEWSREQDKAFENALATYAEDSSDRWEKIAADVPGKTLEEIKKHYELLEDDVSRIESGCVPLPSYNSLEGSAGLAGDEGTGKKGSSHLGHYNSESNNGSKNSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDISAPQGPITGQSNGIATGGSSGKSTKQPPQHPAAPPAVGMYGAPTIGQPIGGPLVSAVGTPVNLPAPAHMAYGVRAPVPGTVVPGAPMNMGPVTYPMSHTSAHR >EOX99051 pep chromosome:Theobroma_cacao_20110822:2:8279905:8287887:1 gene:TCM_007672 transcript:EOX99051 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MTVDEAGSSSEWSREQDKAFENALATYAEDSSDRWEKIAADVPGKTLEEIKKHYELLEDDVSRIESGCVPLPSYNSLEGSAGLAGDEGTGKKGSSHLGHYNSESNNGSKNSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDISAPQGPITGQSNGIATGGSSGKSTKQPPQHPAAPPAVGMYGAPTIGQPIGGPLVSAVGTPVNLPAPAHMAYGVRAPVPGTVVPGAPMNMGPVTYPMSHTSAHR >EOX98028 pep chromosome:Theobroma_cacao_20110822:2:4315541:4317392:1 gene:TCM_006892 transcript:EOX98028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein PVGAGSLKTLQFLGDRVRASVIPNLIGLHLRASVIPNLIGLHLSSSFHIHPLSFSFTAIFSFHISWLDGFLPVAENCVFSLLFDEALSIFSTDLLTCMYILF >EOY00616 pep chromosome:Theobroma_cacao_20110822:2:33897240:33983985:1 gene:TCM_010501 transcript:EOY00616 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 1 MLGMGSALETLCGQAFGAGHIDMLGVYMQRSWIILNSTALVLLFLYIFAEQILKLIGQTEEISKAAGTFAIWMIPQLFAYAMNFPIAKFLQSQSKIMVMAVIAAAALVLHTVFSWLLMLRLGWGLVGAALVLNASWIFIVAAQFSYIISGTCGRAWTGFSWKAFQNLWGFVRLSLASAVMLCLEVWYFMALILFAGYLKNAEVSVDALSICCNILGWTVMVALGMNVAVSVRVSNELGAGHPRKAKLALVVAVISSFMIGLAIAAVLIIFRDKYPDLFSTNTQVKQVVKELTPLLAFCITLNNVQPVLSGVAVGAGWQAFVAYVNIGCYYIFGVPLGLILGFTFNMGVKGIWCGMICGTAVQTCVLFGMIYKTNWNKEASIAEDRIRKWGGHTEFREGNVEQ >EOY00617 pep chromosome:Theobroma_cacao_20110822:2:33897240:33984111:1 gene:TCM_010501 transcript:EOY00617 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 1 HDVPPINGVRDFSREFLTESKKLWFLAGPAIFTSICQYSLGAITQVFSGQVGTLALAAVSVENSVIAGFSFGAMLGMGSALETLCGQAFGAGHIDMLGVYMQRSWIILNSTALVLLFLYIFAEQILKLIGQTEEISKAAGTFAIWMIPQLFAYAMNFPIAKFLQSQSKIMVMAVIAAAALVLHTVFSWLLMLRLGWGLVGAALVLNASWIFIVAAQFSYIISGTCGRAWTGFSWKAFQNLWGFVRLSLASAVMLCLEVWYFMALILFAGYLKNAEVSVDALSICCNILGWTVMVALGMNVAVSVRVSNELGAGHPRKAKLALVVAVISSFMIGLAIAAVLIIFRDKYPDLFSTNTQVKQVVKELTPLLAFCITLNNVQPVLSGVAVGAGWQAFVAYVNIGCYYIFGVPLGLILGFTFNMGVKGIWCGMICGTAVQTCVLFGMIYKTNWNKEASIAEDRIRKWGGHTEFREGNVEQ >EOY00618 pep chromosome:Theobroma_cacao_20110822:2:33980533:33985109:1 gene:TCM_010501 transcript:EOY00618 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 1 MGSALETLCGQAFGAGHIDMLGVYMQRSWIILNSTALVLLFLYIFAEQILKLIGQTEEISKAAGTFAIWMIPQLFAYAMNFPIAKFLQSQSKIMVMAVIAAAALVLHTVFSWLLMLRLGWGLVGAALVLNASWIFIVAAQFSYIISGTCGRAWTGFSWKAFQNLWGFVRLSLASAVMLCLEVWYFMALILFAGYLKNAEVSVDALSICCNILGWTVMVALGMNVAVSVRVSNELGAGHPRKAKLALVVAVISSFMIGLAIAAVLIIFRDKYPDLFSTNTQVKQVVKELTPLLAFCITLNNVQPVLSGVAVGAGWQAFVAYVNIGCYYIFGVPLGLILGFTFNMGVKGIWCGMICGTAVQTCVLFGMIYKTNWNKEASIAEDRIRKWGGHTEFREGNVEQ >EOY00400 pep chromosome:Theobroma_cacao_20110822:2:32069776:32075666:1 gene:TCM_010271 transcript:EOY00400 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ uptake permease 6 isoform 3 MDQETGVYRNYAKKESWRTVLTLAYQSLGVVYGDLSTSPLYVYKSTFEEDIQHSETNEEIYGVLSFVFWTLTLVPLLKYVFIVLRADDNGEGGTFALYSLLCRHARVNFLPNCQLADEELIEYKKDSIGVAPKSTFGSSLKSTLEKHRVLQRFLLVLALIGTCMVIGDGILTPAISVFSAVSGLELSMSKEHHKYVEVPVACIILIGLFALQHYGTHRVGFLFAPVVLIWLLCISAIGLYNIIHWNPHVYQALSPYYMYKFLRKTQRGGWMSLGGILLCITAGSEAMFADLGHFSQLSIKIAFTSLVYPSLVLAYMGQAAYLSRHHIIESDYRIGFYVSVPEKLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSALGCFPRVKIVHTSSKIHGQIYIPEINWLLMILCLAVTVGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWQKSVFFAIGFVFFFGTIEALYFTASLIKFLEGAWVPIALAFIFLIIMCVWHYGTLKKYEFDVQNKVSINWLLSLGPSLGIVRVRGIGLVHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVPHVRPEERFLVGHIGPREFRLYRCIVRYGYRDVHKDDMEFEKDLVCSIAEFIRSGSVAPASDNEDVVKDDDKMTVVGTCSSHTEGIQMSEDDADNIEAAGPSELKEIRSPPAIKARKRVRFIVPESPQIDSGAREELQELMEAREAGIAYILGHSYVRAKQGSSLIKKLVINLGYEFLRRNSRPPTYALSVPHASTLEVGMIYHV >EOY00399 pep chromosome:Theobroma_cacao_20110822:2:32069776:32075666:1 gene:TCM_010271 transcript:EOY00399 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ uptake permease 6 isoform 3 MDQETGVYRNYAKKESWRTVLTLAYQSLGVVYGDLSTSPLYVYKSTFEEDIQHSETNEEIYGVLSFVFWTLTLVPLLKYVFIVLRADDNGEGGTFALYSLLCRHARVNFLPNCQLADEELIEYKKDSIGVAPKSTFGSSLKSTLEKHRVLQRFLLVLALIGTCMVIGDGILTPAISAVFSAVSGLELSMSKEHHKYVEVPVACIILIGLFALQHYGTHRVGFLFAPVVLIWLLCISAIGLYNIIHWNPHVYQALSPYYMYKFLRKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTSLVYPSLVLAYMGQAAYLSRHHIIESDYRIGFYVSVPEKLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSALGCFPRVKIVHTSSKIHGQIYIPEINWLLMILCLAVTVGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWQKSVFFAIGFVFFFGTIEALYFTASLIKFLEGAWVPIALAFIFLIIMCVWHYGTLKKYEFDVQNKVSINWLLSLGPSLGIVRVRGIGLVHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVPHVRPEERFLVGHIGPREFRLYRCIVRYGYRDVHKDDMEFEKDLVCSIAEFIRSGSVAPASDNEDVVKDDDKMTVVGTCSSHTEGIQMSEDDADNIEAAGPSELKEIRSPPAIKARKRVRFIVPESPQIDSGAREELQELMEAREAGIAYILGHSYVRAKQGSSLIKKLVINLGYEFLRRNSRPPTYALSVPHASTLEVGMIYHV >EOY00398 pep chromosome:Theobroma_cacao_20110822:2:32069426:32077629:1 gene:TCM_010271 transcript:EOY00398 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ uptake permease 6 isoform 3 MDQETGVYRNYAKKESWRTVLTLAYQSLGVVYGDLSTSPLYVYKSTFEEDIQHSETNEEIYGVLSFVFWTLTLVPLLKYVFIVLRADDNGEGGTFALYSLLCRHARVNFLPNCQLADEELIEYKKDSIGVAPKSTFGSSLKSTLEKHRVLQRFLLVLALIGTCMVIGDGILTPAISVFSAVSGLELSMSKEHHKYVEVPVACIILIGLFALQHYGTHRVGFLFAPVVLIWLLCISAIGLYNIIHWNPHVYQALSPYYMYKFLRKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTSLVYPSLVLAYMGQAAYLSRHHIIESDYRIGFYVSVPEKLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSALGCFPRVKIVHTSSKIHGQIYIPEINWLLMILCLAVTVGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWQKSVFFAIGFVFFFGTIEALYFTASLIKFLEGAWVPIALAFIFLIIMCVWHYGTLKKYEFDVQNKVSINWLLSLGPSLGIVRVRGIGLVHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVPHVRPEERFLVGHIGPREFRLYRCIVRYGYRDVHKDDMEFEKDLVCSIAEFIRSGSVAPASDNEDVVKDDDKMTVVGTCSSHTEGIQMSEDDADNIEAAGPSELKEIRSPPAIKARKRVRFIVPESPQIDSGAREELQELMEAREAGIAYILGHSYVRAKQGSSLIKKLVINLGYEFLRRNSRPPTYALSVPHASTLEMKRDMVPFNSNKWQCSLSMLHPLAGMSIEFWKKAIDVSARRRETKKESRYWKILTIQFMKKEDFPLKTIFKIRLKAFSPGSLILPYPENIKKKQPLPPFSFFFFDGVYYAGGSGSSDSRRGWELRRSGETIAKDLVT >EOX99076 pep chromosome:Theobroma_cacao_20110822:2:8374856:8375724:1 gene:TCM_007691 transcript:EOX99076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrimidine d MGFGFVEVGSVTPVPQEGNPMPRIFRLRQEGAIINRCGFNSEGIVAVARRLGAQHGKRKLETSSSSSPSSDEANHGGKAGPGILGFNLGKNKTSDAAAADYVQGVHTLSQYADYLVVLYSLFWLIHSSPCFL >EOX99430 pep chromosome:Theobroma_cacao_20110822:2:11179706:11180818:1 gene:TCM_008111 transcript:EOX99430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein MKMMKGEVVLNIPAEKAWEMYRNNEIISQINPEMLSGAEYIQGDGGPGSLRLFKLGPAVCNYVKESMEKIEKVEMGRSVTYQVIGGDLKEMYDPYRVTFSFIPVEGKENHKCIAEWKAEFEPLAPATPPPEKARDAALGFLKSFDNFQLSY >EOX99956 pep chromosome:Theobroma_cacao_20110822:2:19309412:19312666:1 gene:TCM_009036 transcript:EOX99956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGKAEKTELNRSLTAHLNTIQETLQVLDQTASSSLEKVTWTQVILIAEQLSKQATIAGMLWNGEAPEAKQLEENMTSYFNVLQGFLLLSHGSNVGAGPTLSSSIHESVKQVVDCSFRLMKESVSLYGSHNRDKKLLMPRFVGAVWEACDALKKVPATNVIAIGRAMTQVAVSVKDVLREMKELKPASPDLRDEASDGSSSKVESRPQDDDGDDLSEDDIGSDLSPEEMKVAQLAQGVVSETLVTIKELIRTITGLLKQETPDDNSKFVDSLEKLLKLCQGVGAQVDEIGACLYPPQEIAAIKVALEKMSSGINEVEQEVESFQTSSECLGEACNGLRTLLKQMLSELDCSSTTDLANKLQNVAVIN >EOX99954 pep chromosome:Theobroma_cacao_20110822:2:19309869:19313528:1 gene:TCM_009036 transcript:EOX99954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGKAEKTELNRSLTAHLNTIQETLQVLDQTASSSLEKVTWTQVILIAEQLSKQATIAGMLWNGEAPEAKQLEENMTSYFNVLQGFLLLSHGSNVGAGPTLSSSIHESVKQVVDCSFRLMKESVSLYGSHNRDKKLLMPRFVGAVWEACDALKKVPATNVIAIGRAMTQVAVSVKDVLREMKELKPASPDLRDEASDGSSSKVESRPQDDDGDDLSEDDIGSDLSPEEMKVAQLAQGVVSETLVTIKELIRTITGLLKQETPDDNSKFVDSLEKLLKLCQGVGAQVDEIGACLYPPQEIAAIKVALEKMSSGINEVEQEVESFQTSSECLGEACNGLRTLLKQMLSELDCSSTTDLANKLQNVAVIN >EOX99955 pep chromosome:Theobroma_cacao_20110822:2:19309869:19313265:1 gene:TCM_009036 transcript:EOX99955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGKAEKTELNRSLTAHLNTIQETLQVLDQTASSSLEKVTWTQVILIAEQLSKQATIAGMLWNGEAPEAKQLEENMTSYFNVLQGFLLLSHGSNVGAGPTLSSSIHESVKQVVDCSFRLMKESVSLYGSHNRDKKLLMPRFVGAVWEACDALKKVPATNVIAIGRAMTQVAVSVKDVLREMKELKPASPDLRDEASDGSSSKVESRPQDDDGDDLSEDDIGSDLSPEEMKVAQLAQGVVSETLVTIKELIRTITGLLKQETPDDNSKFVDSLEKLLKLCQGVGAQVDEIGACLYPPQEIAAIKVALEKMSSGINEVEQEVESFQTSSECLGEACNGLRTLLKQMLSELDCSSTTDLANKLQNVAVIN >EOX99644 pep chromosome:Theobroma_cacao_20110822:2:13052647:13073954:-1 gene:TCM_008375 transcript:EOX99644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate kinase 1 MAASLQLCRAKTPTHSGFFNTRSPSPSPSVSSTRIQFVASTDLLSAIGGGACRRRRALRVGYQRGIRAVLEEKRTEEEEDEKESFGENVKQFTCVMKFGGSSVASAERMKEIADLIQSFEEERPVIVLSAMGKTTNKLLLAGEKAVSCGVSNAAEIEELRFIKDLHIRTLDELEVDRSIISPYLEEFEQLLKGISVMKELTPRTRDYLVSFGECMSTRIFAAYLNKIGIKARQCDAFEIGFITTDDFTNADILEATYPAVAKRLYSDWVNDPAIPIVTGFLGKGWKSGAITTLGRGGSDLTATTIGKALGLQEIQVWKDVDGVLTCDPTIYPGAEPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKTRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSLSLTLDPSKLWSRELIQQELDHVVEELEKIAVVNLLQRRSIISLIGNVQRSSLILEKVFHVLRTIGVNVQMISQGASKVNISLIVNDGEAEKCVKALHQSFFESGDLSELVADGSFGNGSASLLQIETQ >EOX97890 pep chromosome:Theobroma_cacao_20110822:2:3836850:3840845:-1 gene:TCM_006806 transcript:EOX97890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose kinase-1 isoform 3 MLGSISCSSLSTQVLYPSPPKPGCCTARRLLVRTGPCENSVEKSARLKTMVVGCKKENQEVGFQASERLYLGMDFGTSGARFALIDKQGTIHAEGKRQYPQYMREKTMDWARSWKTTLFSLLEDIPVHLRPHVASISLDGTSATTLIIDSNTGEPLARPYLYNESCPDALPAIKSIAPVNHTVCSGSSTLCKLVSWWNNDNSDKTSTILLHQADWLLWLLHGQLGVSDYNNALKVGYDPELDSYPRWLLSQPYSRLLPVVKAPGTSIAHLKGDVRTLFGFSENCIVCTGTTDSIAAFLAARATKPGKAVTSLGSTLAIKLLSTTRIEDARYGVYSHRLDDKWLVGGASNTGGAVLKEIFTDEQLEKLSEHINPMESSPLNYYPLKSVGERFPVADPMMEPRLHPRPESDVVYLHGILESIARIEAKAYILLKDLGATQVEEVFTAGGGAKNDKWTKIRERILGLPVSQATQTEAAYGAALLAMKGA >EOX97889 pep chromosome:Theobroma_cacao_20110822:2:3837356:3840703:-1 gene:TCM_006806 transcript:EOX97889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose kinase-1 isoform 3 MLGSISCSSLSTQVLYPSPPKPGCCTARRLLVRTGPCENSVEKSARLKTMVVGCKKENQEVGFQASERLYLGMDFGTSGARFALIDKQGTIHAEGKRQYPQYMSSNWACLFTFVSHVFNYVHEEEENGACLHPAILIMLFLLSRKREKTMDWARSWKTTLFSLLEDIPVHLRPHVASISLDGTSATTLIIDSNTGEPLARPYLYNESCPDALPAIKSIAPVNHTVCSGSSTLCKLVSWWNNDNSDKTSTILLHQADWLLWLLHGQLGVSDYNNALKVGYDPELDSYPRWLLSQPYSRLLPVVKAPGTSIAHLKGDVRTLFGFSENCIVCTGTTDSIAAFLAARATKPGKAVTSLGSTLAIKLLSTTRIEDARYGVYSHRLDDKWLVGGASNTGGAVLKEIFTDEQLEKLSEHINPMESSPLNYYPLKSVGERFPVADPMMEPRLHPRPESDVVYLHGILESIARIEAKAYILLKDLGATQVEEVFTAGGGAKNDKWTKIRERILGLPVSQATQTEAAYGAALLAMKGA >EOX97891 pep chromosome:Theobroma_cacao_20110822:2:3836991:3840720:-1 gene:TCM_006806 transcript:EOX97891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylulose kinase-1 isoform 3 MDWARSWKTTLFSLLEDIPVHLRPHVASISLDGTSATTLIIDSNTGEPLARPYLYNESCPDALPAIKSIAPVNHTVCSGSSTLCKLVSWWNNDNSDKTSTILLHQADWLLWLLHGQLGVSDYNNALKVGYDPELDSYPRWLLSQPYSRLLPVVKAPGTSIAHLKGDVRTLFGFSENCIVCTGTTDSIAAFLAARATKPGKAVTSLGSTLAIKLLSTTRIEDARYGVYSHRLDDKWLVGGASNTGGAVLKEIFTDEQLEKLSEHINPMESSPLNYYPLKSVGERFPVADPMMEPRLHPRPESDVVYLHGILESIARIEAKAYILLKDLGATQVEEVFTAGGGAKNDKWTKIRERILGLPVSQATQTEAAYGAALLAMKGA >EOY01744 pep chromosome:Theobroma_cacao_20110822:2:40777123:40781604:1 gene:TCM_011575 transcript:EOY01744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGFKRPFDDEELQELPFKNLRQFDYSNKMTQFADTFPRSNTPQKPHISEVEDGFRKYQWDEVFETDALNDVTHFVDKDFETSAPLSLVTSPSSEEDTGTGAAAILPVSPEYFDFDLPRRTFAPVEDAYSLFLDRSPRRQVLLGPNHQANVPSWGRHVKKYEFAQSDASDSTDNDKEEMMMGTCVIPMPESYLSANNSGKVGAGRTDCSCLDRGSLRCVQQHVMEARERLRKSLGHEKFVKLGFYDMGEDVAYKWSEEDEEIFREVVYSNPSSLGKKFWKDLSVVFPSRSKRELVSYYFNVFILQRRAVQNRSSMLDIDSDDDEWHGSQQAYEVQDSDEDEDSAIESLADQEDLANREGECLQDDDDDDDDDDESDVGDGSCALTREDYGVNHLLEGHVAKSFDESRFDPCFQQTNKVSGIGEDFNVQDDSCMSFEFQPNMVDSLSVIDTKANSHVNGVKTDNCLRGRLDGSSDLAHHVYLFDSCDTKIWDTRYPTAPTKGIDLQPTCNIIEEIFGQDTRDNKTRIE >EOY01745 pep chromosome:Theobroma_cacao_20110822:2:40776554:40779926:1 gene:TCM_011575 transcript:EOY01745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGFKRPFDDEELQELPFKNLRQFDYSNKMTQFADTFPRSNTPQKPHISAEVEDGFRKYQWDEVFETDALNDVTHFVDKDFETSAPLSLVTSPSSEEDTGTGAAAILPVSPEYFDFDLPRRTFAPVEDAYSLFLDRSPRRQVLLGPNHQANVPSWGRHVKKYEFAQSDASDSTDNDKEEMMMGTCVIPMPESYLSANNSGKVGAGRTDCSCLDRGSLRCVQQHVMEARERLRKSLGHEKFVKLGFYDMGEDVAYKWSEEDEEIFREVVYSNPSSLGKKFWKDLSVVFPSRSKRELVSYYFNVFILQRRAVQNRSSMLDIDSDDDEWHGSQQAYEVQDSDEDEDSAIESLADQEDLANREGECLQDDDDDDDDDDESDVGDGSCALTREDYGVNHLLEGHVAKSFDESRFDPCFQQTNKVSGIGEDFNVQDDSCMSFEFQPNMVDSLSVIDTKANSHVNGVKTDNCLRGRLDGSSDLAHHVYLFDSCDTKIWDTRYPTAPTKGIDLQPTCNIIEEIFGQDTRDNKTRIE >EOY00088 pep chromosome:Theobroma_cacao_20110822:2:25528173:25533035:1 gene:TCM_009574 transcript:EOY00088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor serine/threonine kinase, putative MAAVSLLVLIVLSQFVLLHFAGAEEENSYHHRRDCPTFPCGKLGEIGFPYTPRDRPECGLFVVGGCEGNIQKVQLKQGERWYQVDSISQAGTVTIYDEVLAKQLETKDCESLKNLSLSFPNLPYVTFQILSNLTLCKCNSPLNTSKMQEFSYAKCKNSTIYYSQPQGMPKPPGEDQYDRLSSLCNCPIIELPFTHLPPDKKHNSHLFRMLTAKVSVGVTVSRHNNLGLKLGLALASGIGVWIILAICFICFRIKCSSRDRMFFVRKKQDHQKIEALLKEYGSFAPKRYTYSDIKKMTNSFRKKLGQGGYGGVYKGELLDGRLVAVKRALIYEFVPNGSLEKFIYQNNPLEEDRQLEWETLYQIALGIARGLEYLHRGCNTRILHFDIKPHNILLDNNFCPKISDFGLAKLCPRNESIISMTGARGTAGYIAPEVFCRAFGGVSHKSDVYSFGMMVLEMVGGRRNINVEADRTSDIYFPRWIFQRLESEEELKLWGITREDDKQQATKMILVSLWCIQTDPSNRPQMSRVLEMLEGSLESLSIPPKPFLHRIHVMDPCFQFK >EOY01798 pep chromosome:Theobroma_cacao_20110822:2:40936688:40937702:1 gene:TCM_011613 transcript:EOY01798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLCPSWVCKLQFFVQFALQVSTFYRKFALHQSQIHTCSCWPCLLSIDGHDYGLILFPSPTLLILGNYTCSVLQIEPNISMTRASNCKVGCPENISC >EOX99581 pep chromosome:Theobroma_cacao_20110822:2:12448314:12461440:1 gene:TCM_008292 transcript:EOX99581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.17 MEPKVSIQEGWKVLEDLTINADQFQQKVLEEILKQNAGTEYLKRFLDGQADKELFKKKVPITTYDGIKPYIERIVVNGEPADILLAEPVTAITLSSGTSRGQAKMMPLTNKQLDQLTYCRSLAMSAANKFVDGLLEEGKQMQLLFVRPDIYVPSGLPARPILTSYHKSKNFEKYESSLYTSPMSTILCLDSKQSLYCQFLCGLLQRDEVVNIGAVFASVLFRAIRFLEDYWRELCSNIRTGRLSDWITDTGCKNALSLMLTGPNPEQADLIEAACNSKSWEGIIKKLWPKAKYIDVIATGSMAQYLPILEFYCGGIPVVSMPYASSESFLGINLKPFSKPCDVSYTLVPDVAYFEFLPVKDYNEEETKEVQSDVKSDNDLTEKQTKKEEIEPVELVNVALGQCYELVITTCTGLYRYKVGDVLMVTGFHNNAPQFQFVRRQDVVLSVDVEKTSEDELLEAMTKAKLLLEPFGLVLTDYSSYADVSTVPGHYVLFWELNMDASSDLPEFNPKIIEQCCSTVEESLNYLYKNCRKGNTVGPLEIRMVEQGTFDALMDFYISKGSSVSQYKTPRCIKLEEAFKVLDSRVVKRYFSQKVPA >EOY01194 pep chromosome:Theobroma_cacao_20110822:2:38157563:38166197:1 gene:TCM_011139 transcript:EOY01194 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein isoform 1 MIPKKNLKMKRVFDEVSDEEWENHSFKPSRVLRKNPSPPPIESFAFNSRTESSFSDQSSDDCVEVEQLEDEDVEPEDAGRVNRARRFVIDDDDEEEEDYGKDGDENDCEEVYDVESSEEEELQEDDVVGKALQKCSKISAELRKELYGSSGASCERYAEVEASSVRIVTQNDIDIACGAVDSDFQPVLKPYQLVGVNFLLLLHRKGIGGAILADEMGLGKTIQAITYLTLLKHLNNDPGPHLIVCPASVLENWERELKKWCPSFSVLQYHGAGRAAYSKELSYLSKAGLPPPFNVLLVCYSLFERHSVQQKDDRKILKRWRWSCVLMDEAHALKDKNSYRWKNLMSVARNANQRLMLTGTPLQNDLHELWSLLEFMMPDLFATEDVDLKKLLNADDRELIGRMKSMLGPFILRRLKSDVMQQLVPKIQRVEYVTMEKQQEDAYRESIEEYRTISRARIAKLSESDLNNIVGILPRRQISNYFIQFRKIANHPLLVRRIYDDEDVVRFAKRLHSMGVFECTLDRVIEELKNYNDFSIHRLLLHYGITGGKRTLSDEHVMLSAKCQALAELLPSLKKSGHRVLIFSQWTSMLDILEWTLDVVGVTYRRLDGSTQVTDRQTIVDNFNNDTSIFACLLSTRAGGQGLNLTGADTVVIHDMDFNPQIDRQAEDRCHRIGQTRPVTIYSRLVTKGTVDENVYEIAKRKLTLDAAVLESGMDVDNGSDTGEKTMGQILSSLLMS >EOY01195 pep chromosome:Theobroma_cacao_20110822:2:38156857:38164779:1 gene:TCM_011139 transcript:EOY01195 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein isoform 1 MIPKKNLKMKRVFDEVSDEEWENHSFKPSRVLRKNPSPPPIESFAFNSRTESSFSDQSSDDCVEVEQLEDEDVEPEDAGRVNRARRFVIDDDDEEEEDYGKDGDENDCEEVYDVESSEEEELQEDDVVGKALQKCSKISAELRKELYGSSGASCERYAEVEASSVRIVTQNDIDIACGAVDSDFQPVLKPYQLVGVNFLLLLHRKGIGGAILADEMGLGKTIQAITYLTLLKHLNNDPGPHLIVCPASVLENWERELKKWCPSFSVLQYHGAGRAAYSKELSYLSKAGLPPPFNVLLVCYSLFERHSVQQKDDRKILKRWRWSCVLMDEAHALKDKNSYRWKNLMSVARNANQRLMLTGTPLQNDLHELWSLLEFMMPDLFATEDVDLKKLLNADDRELIGRMKSMLGPFILRRLKSDVMQQLVPKIQRVEYVTMEKQQEDAYRESIEEYRTISRARIAKLSESDLNNIVGILPRRQISNYFIQFRKIANHPLLVRRIYDDEDVVRFAKRLHSMGVFECTLDRVIEELKNYNDFSIHRLLLHYGITGGKRTLSDEHVMLSAKCQALAELLPSLKKSGHRVLIFSQWTSMLDILEWTLDVVGVTYRRLDGRLTDRLKIAAIALAKRGLLPYTGWLRKGQLMRMFMRLQKGS >EOY01193 pep chromosome:Theobroma_cacao_20110822:2:38157616:38166700:1 gene:TCM_011139 transcript:EOY01193 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein isoform 1 MIPKKNLKMKRVFDEVSDEEWENHSFKPSRVLRKNPSPPPIESFAFNSRTESSFSDQSSDDCVEVEQLEDEDVEPEDAGRVNRARRFVIDDDDEEEEDYGKDGDENDCEEVYDVESSEEEELQEDDVVGKALQKCSKISAELRKELYGSSGASCERYAEVEASSVRIVTQNDIDIACGAVDSDFQPVLKPYQLVGVNFLLLLHRKGIGGAILADEMGLGKTIQAITYLTLLKHLNNDPGPHLIVCPASVLENWERELKKWCPSFSVLQYHGAGRAAYSKELSYLSKAGLPPPFNVLLVCYSLFERHSVQQKDDRKILKRWRWSCVLMDEAHALKDKNSYRWKNLMSVARNANQRLMLTGTPLQNDLHELWSLLEFMMPDLFATEDVDLKKLLNADDRELIGRMKSMLGPFILRRLKSDVMQQLVPKIQRVEYVTMEKQQEDAYRESIEEYRTISRARIAKLSESDLNNIVGILPRRQISNYFIQFRKIANHPLLVRRIYDDEDVVRFAKRLHSMGVFECTLDRVIEELKNYNDFSIHRLLLHYGITGGKRTLSDEHVMLSAKCQALAELLPSLKKSGHRVLIFSQWTSMLDILEWTLDVVGVTYRRLDGSTQVTDRQTIVDNFNNDTSIFACLLSTRAGGQGLNLTGADTVVIHDMDFNPQIDRQAEDRCHRIGQTRPVTIYRLVTKGTVDENVYEIAKRKLTLDAAVLESGMDVDNGSDTGEKTMGQILSSLLMS >EOY01192 pep chromosome:Theobroma_cacao_20110822:2:38156857:38166547:1 gene:TCM_011139 transcript:EOY01192 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein isoform 1 MIPKKNLKMKRVFDEVSDEEWENHSFKPSRVLRKNPSPPPIESFAFNSRTESSFSDQSSDDCVEVEQLEDEDVEPEDAGRVNRARRFVIDDDDEEEEDYGKDGDENDCEEVYDVESSEEEELQEDDVVGKALQKCSKISAELRKELYGSSGASCERYAEVEASSVRIVTQNDIDIACGAVDSDFQPVLKPYQLVGVNFLLLLHRKGIGGAILADEMGLGKTIQAITYLTLLKHLNNDPGPHLIVCPASVLENWERELKKWCPSFSVLQYHGAGRAAYSKELSYLSKAGLPPPFNVLLVCYSLFERHSVQQKDDRKILKRWRWSCVLMDEAHALKDKNSYRWKNLMSVARNANQRLMLTGTPLQNDLHELWSLLEFMMPDLFATEDVDLKKLLNADDRELIGRMKSMLGPFILRRLKSDVMQQLVPKIQRVEYVTMEKQQEDAYRESIEEYRTISRARIAKLSESDLNNIVGILPRRQISNYFIQFRKIANHPLLVRRIYDDEDVVRFAKRLHSMGVFECTLDRVIEELKNYNDFSIHRLLLHYGITGGKRTLSDEHVMLSAKCQALAELLPSLKKSGHRVLIFSQWTSMLDILEWTLDVVGVTYRRLDGSTQVTDRQTIVDNFNNDTSIFACLLSTRAGGQGLNLTGADTVVIHDMDFNPQIDRQAEDRCHRIGQTRPVTIYRLVTKGTVDENVYEIAKRKLTLDAAVLESGMDVDNGSDTGEKTMGQILSSLLMS >EOX97745 pep chromosome:Theobroma_cacao_20110822:2:3277603:3280626:-1 gene:TCM_006681 transcript:EOX97745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein MAPNKSSLLSVSHFIPLVLFVQAALSSGSHSKMNHEFSMKEASVHDLQLAFERNQLTSRQLVEFYLGEIHRLNPLLRGVIEIDPDALYQADKADRERKAKVPGSLVGLHGIPILLKDNIATKDKMNTTAGSLALLGSVVPRDAGVVTKLRKSGAIILGKASLSEWAHYRDEYAPAGWCARTGQGKNPYNLSANPCGSSSGSAISVAANMAAVSLGTDTAGSILCPSSFNSIVGIKPTVGLTSRAGVIPISPRQDTVGPMCRTVADAVYVLDAIAGIDYNDKATIEASKYIPHGGYKQFLKPYGLKGKRLGLLRNVFFRFNNGSLMSEVFESHFSTLRQGGAVVVENLDTDKFLAAYTSFLDNETIALNAEFKLALDSYLKELVVSPVRSLRDVIAFNNKFSDLEKVKEYGQHLLLEAEATNGIGKKEKEALTNLAKTSRDGFEKLMKKNKLDALLAPLHLGSHLLAIGGFPGISVPAGYDTDGMPFGITFGGLKGSEPTLIEIAYGFEQATKIRRPPSFKH >EOX97255 pep chromosome:Theobroma_cacao_20110822:2:1732897:1733810:1 gene:TCM_006334 transcript:EOX97255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSVRFLPDEVAWRVIVATDESSTIAAPYLSTTETNRAAPNHLSVMPANLAWCTSVRGVIIVGLLINPHPHGVGSRKVSAAHCKVLAIRSTGVHEVAIANVLIGVVLCKGSYVVVPSHGANTDRCTSRVVSIEVVLRGVLGMGGEDQG >EOY00563 pep chromosome:Theobroma_cacao_20110822:2:33562398:33577521:1 gene:TCM_010460 transcript:EOY00563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate phosphate dikinase, PEP/pyruvate binding domain isoform 1 MSNTLGHNLIQPHFLRPTVLEHPSKLKNSSGVPTSTFCATASLNQSPAQRRKNQISTKFYGNSLSRRKQKVAMGSQRAVTFVPRAVLAADPASEHLGKFKVDGNIELQVDASAPMSGSITQVNFRIMYNSDSLLLHWGGIRGRNEKWVLPSHQPEGTKNYKNRALRTPFVKSGSGSYLKLEIDDPRIQAIEFLIFDEARNKWIKNNGQNFHVSLPRRETLVSNISLPEDLVQIQAYLRWERKGKQRYTPEQEKEEYEAARAELLKEIARGASVDDIRAKLTKRNGQEYKETSIHETKNKIPDDLVQIQSYIRWEKAGKPNYSPEQQLREFEEARKELQSELEKGITLDEIRMKITEGEIKTKVSKQLQTKRYFSVERIQCKKRDLMQLLDKHAVKSVEESIFVEPKPLTAVELFAKKKEQGGSSVRNKKIYKLGGKELLVLVTKSAGSTKIHLAADFEEPLTLHWALSKKAGEWLLPPPGVLPPGSVSLDGAAASQFSTSSFADLPKQVQCLEIQIEDDTFKGMPFVLLSGGKWIKNQGSDFFVEFSQRIKQAQKDAGDGKGTSKVLLDRIAENESEAQKSFMHRFNIASDLMDQAKNTGELGFAGILVWMRFMATRQLIWNKNYNVKPREISKAQDRLTDLLQSIYATHPQHRELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYIKSDFDISIYWKTLNENGITKERLLSYDRAIHSEPNFGRDQKDGLLRDLGHYMRTLKAVHSGADLESAISNCMGYRAKGEGFMVGVQINPVAGLPSGFPELLRFVLEHIEDRNVEALLEGLLEARQELRPMLLKSSDRLKDLLFLDIALDSTVRTAIERGYEELNDAGPEKIMYFITLVLENLALSFNNNEDLIYCLKGWDHAISMSKSKSAHWALYAKSVLDRTRLALASKAAWYQHILQPSAAYLGSLLGVDERAINIFTEEIVRAGSAATLSLLVNRLDPVLRETAHLGSWQIISPVEVVGYVDVVDELLAVQNKSYDRPTILVAKSVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNCKVCFATCFDPDILADVQANKGKLLRLKPTSADVVYSEVKEGELADWSSTNLKGDSPSSITLVRKRFGGKYAISAEEFTPEMVGAKSRNISYLKGKVPSWVGIPTSVALPFGVFETVLADKINKEVNEKLQILKKKLRGGDSVALGEIRQTVLQLAAPPQLVQELKTKMKSSGMPWPGDEGDIRWEQAWTAIKRVWASKWNERAYSSTRKVKLDHDYLCMAVLVQEVINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFVCKKNDLNSPQVLGYPSKPIGLFIRHSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVLDYSSDPLINDGNFQQSILSSIARAGNAIEELYGSPQDIEGVIRDGKVYVVQTRPQM >EOY00564 pep chromosome:Theobroma_cacao_20110822:2:33562398:33577521:1 gene:TCM_010460 transcript:EOY00564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate phosphate dikinase, PEP/pyruvate binding domain isoform 1 MSNTLGHNLIQPHFLRPTVLEHPSKLKNSSGVPTSTFCATASLNQSPAQRRKNQISTKFYGNSLSRRKQKVAMGSQRAVTFVPRAVLAADPASEHLGKFKVDGNIELQVDASAPMSGSITQVNFRIMYNSDSLLLHWGGIRGRNEKWVLPSHQPEGTKNYKNRALRTPFVKSGSGSYLKLEIDDPRIQAIEFLIFDEARNKWIKNNGQNFHVSLPRRETLVSNISLPEDLVQIQAYLRWERKGKQRYTPEQEKEEYEAARAELLKEIARGASVDDIRAKLTKRNGQEYKETSIHETKNKIPDDLVQIQSYIRWEKAGKPNYSPEQQLREFEEARKELQSELEKGITLDEIRMKITEGEIKTKVSKQLQTKRYFSVERIQCKKRDLMQLLDKHAVKSVEESIFVEPKPLTAVELFAKKKEQGGSSVRNKKIYKLGGKELLVLVTKSAGSTKIHLAADFEEPLTLHWALSKKAGEWLLPPPGVLPPGSVSLDGAAASQFSTSSFADLPKQVQCLEIQIEDDTFKGMPFVLLSGGKWIKNQGSDFFVEFSQRIKQAQKDAGDGKGTSKVLLDRIAENESEAQKSFMHRFNIASDLMDQAKNTGELGFAGILVWMRFMATRQLIWNKNYNVKPREISKAQDRLTDLLQSIYATHPQHRELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYIKSDFDISIYWKTLNENGITKERLLSYDRAIHSEPNFGRDQKDGLLRDLGHYMRTLKAVHSGADLESAISNCMGYRAKGEGFMVGVQINPVAGLPSGFPELLRFVLEHIEDRNVEALLEGLLEARQELRPMLLKSSDRLKDLLFLDIALDSTVRTAIERGYEELNDAGPEKIMYFITLVLENLALSFNNNEDLIYCLKGWDHAISMSKSKSAHWALYAKSVLDRTRLALASKAAWYQHILQPSAAYLGSLLGVDERAINIFTEEIVRAGSAATLSLLVNRLDPVLRETAHLGSWQIISPVEVVGYVDVVDELLAVQNKSYDRPTILVAKSVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNCKVCFATCFDPDILADVQANKGKLLRLKPTSADVVYSEVKEGELADWSSTNLKGDSPSSITLVRKRFGGKYAISAEEFTPEMVGAKSRNISYLKGKVPSWVGIPTSVALPFGVFETVLADKINKEVNEKLQILKKKLRGGDSVALGEIRQTVLQLAAPPQLVQELKTKMKSSGMPWPGDEGDIRWEQAWTAIKREVINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFVCKKNDLNSPQVLGYPSKPIGLFIRHSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVLDYSSDPLINDGNFQQSILSSIARAGNAIEELYGSPQDIEGVIRDGKVYVVQTRPQM >EOY00565 pep chromosome:Theobroma_cacao_20110822:2:33562398:33577521:1 gene:TCM_010460 transcript:EOY00565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate phosphate dikinase, PEP/pyruvate binding domain isoform 1 MSNTLGHNLIQPHFLRPTVLEHPSKLKNSSGVPTSTFCATASLNQSPAQRRKNQISTKFYGNSLSRRKQKVAMGSQRAVTFVPRAVLAADPASEHLGKFKVDGNIELQVDASAPMSGSITQVNFRIMYNSDSLLLHWGGIRGRNEKWVLPSHQPEGTKNYKNRALRTPFVKSGSGSYLKLEIDDPRIQAIEFLIFDEARNKWIKNNGQNFHVSLPRRETLVSNISLPEDLVQIQAYLRWERKGKQRYTPEQEKEEYEAARAELLKEIARGASVDDIRAKLTKRNGQEYKETSIHETKNKIPDDLVQIQSYIRWEKAGKPNYSPEQQLREFEEARKELQSELEKGITLDEIRMKITEGEIKTKVSKQLQTKRYFSVERIQCKKRDLMQLLDKHAVKSVEESIFVEPKPLTAVELFAKKKEQGGSSVRNKKIYKLGGKELLVLVTKSAGSTKIHLAADFEEPLTLHWALSKKAGEWLLPPPGVLPPGSVSLDGAAASQFSTSSFADLPKQVQCLEIQIEDDTFKGMPFVLLSGGKWIKNQGSDFFVEFSQRIKQAQKDAGDGKGTSKVLLDRIAENESEAQKSFMHRFNIASDLMDQAKNTGELGFAGILVWMRFMATRQLIWNKNYNVKPREISKAQDRLTDLLQSIYATHPQHRELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYIKSDFDISIYWKTLNENGITKERLLSYDRAIHSEPNFGRDQKDGLLRDLGHYMRTLKAVHSGADLESAISNCMGYRAKGEGFMVGVQINPVAGLPSGFPELLRFVLEHIEDRNVEALLEGLLEARQELRPMLLKSSDRLKDLLFLDIALDSTVRTAIERGYEELNDAGPEKIMYFITLVLENLALSFNNNEDLIYCLKGWDHAISMSKSKSAHWALYAKSVLDRTRLALASKAAWYQHILQPSAAYLGSLLGVDERAINIFTEEIVRAGSAATLSLLVNRLDPVLRETAHLGSWQIISPVEVVGYVDVVDELLAVQNKSYDRPTILVAKSVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNCKVCFATCFDPDILADVQANKGKLLRLKPTSADVVYSEVKEGELADWSSTNLKGDSPSSITLVRKRFGGKYAISAEEFTPEMVGAKSRNISYLKGKVPSWVGIPTSVALPFGVFETVLADKINKEVNEKLQILKKKLRGGDSVALGEIRQTVLQLAAPPQLVQELKTKMKSSGMPWPGDEGDIRWEQAWTAIKRVWASKWNERAYSSTRKVKLDHDYLCMAVLVQEVINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFVCKKNDLNSPQVLGYPSKPIGLFIRHSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVLDYSSDPLINDGNFQQSILSSIARAGNAIEELYGSPQDIEGVIRDGKVYVVQTRPQM >EOY00566 pep chromosome:Theobroma_cacao_20110822:2:33565739:33577500:1 gene:TCM_010460 transcript:EOY00566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate phosphate dikinase, PEP/pyruvate binding domain isoform 1 MKITEGEIKTKVSKQLQTKRYFSVERIQCKKRDLMQLLDKHAVKSVEESIFVEPKPLTAVELFAKKKEQGGSSVRNKKIYKLGGKELLVLVTKSAGSTKIHLAADFEEPLTLHWALSKKAGEWLLPPPGVLPPGSVSLDGAAASQFSTSSFADLPKQVQCLEIQIEDDTFKGMPFVLLSGGKWIKNQGSDFFVEFSQRIKQAQKDAGDGKGTSKVLLDRIAENESEAQKSFMHRFNIASDLMDQAKNTGELGFAGILVWMRFMATRQLIWNKNYNVKPREISKAQDRLTDLLQSIYATHPQHRELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYIKSDFDISIYWKTLNENGITKERLLSYDRAIHSEPNFGRDQKDGLLRDLGHYMRTLKAVHSGADLESAISNCMGYRAKGEGFMVGVQINPVAGLPSGFPELLRFVLEHIEDRNVEALLEGLLEARQELRPMLLKSSDRLKDLLFLDIALDSTVRTAIERGYEELNDAGPEKIMYFITLVLENLALSFNNNEDLIYCLKGWDHAISMSKSKSAHWALYAKSVLDRTRLALASKAAWYQHILQPSAAYLGSLLGVDERAINIFTEEIVRAGSAATLSLLVNRLDPVLRETAHLGSWQIISPVEVVGYVDVVDELLAVQNKSYDRPTILVAKSVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNCKVCFATCFDPDILADVQANKGKLLRLKPTSADVVYSEVKEGELADWSSTNLKGDSPSSITLVRKRFGGKYAISAEEFTPEMEVNEKLQILKKKLRGGDSVALGEIRQTVLQLAAPPQLVQELKTKMKSSGMPWPGDEGDIRWEQAWTAIKRVWASKWNERAYSSTRKVKLDHDYLCMAVLVQEVINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFVCKKNDLNSPQVLGYPSKPIGLFIRHSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVLDYSSDPLINDGNFQQSILSSIARAGNAIEELYGSPQDIEGVIRDGKVYVVQTRPQM >EOX97742 pep chromosome:Theobroma_cacao_20110822:2:3266441:3269585:-1 gene:TCM_006678 transcript:EOX97742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein MDRSLILAWILVLCTATSPLCCKGYYSNSVLYVPPAKKVTYLHFFLQDTISGKNPSAVMVARPNMTTAFNDRLGLFGSVFATNDPLTFGPDLTSEVIGNAQGLSVSTGQDVLSLTVYLDFGFTKGEFNGSSISIFSRNPITQTERELAVVGGRGKFRMATGFAKLKTYFVNFTSGDAIVEYKVTVIHH >EOX99069 pep chromosome:Theobroma_cacao_20110822:2:8341385:8343479:-1 gene:TCM_007686 transcript:EOX99069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoprenylcysteine carboxyl methyltransferase family MSCLKHLWEDVATQLSVLQMPGVLSFAETLSYTACRQLSQMFLAIIFFHSSEYILAIAIHGRSNVTFKSFLISKNYLSAMILSLLEYFLEIVLFPGLKEHWWISDTGLAMVVIGEIIRKLAIITAGQAFTHLIKVYHEEHHQLITHGVYRFVRHPGYSGFLIWSVGTQIMLCNPISTIAFAIVVWQFFAERIPYEEFFLKQFFGSDYEDYALRVPSGVPFVK >EOX99863 pep chromosome:Theobroma_cacao_20110822:2:17503171:17506355:-1 gene:TCM_008843 transcript:EOX99863 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCP1-like small phosphatase 5 isoform 2 MQTKKRISRRNASQEHASPKASRPQKKISEKVQGPEKKVTELITSSVRKQRLAETIPKKHEEPVGATNLNARFGLRHDETSDACLGYDVANDTHVDHKSGDHHMTGTIFSPGFHKPKVSGGELAGVDSKIHQDEGIEESQIDMLDAHITGEALKSTSGEEMLVLDTNAMICNADSGAVLSSEVSAIYLAMKNSKLECIDEHGQDSMSTDVCVDDEYYEEFDDFDPYLFIKNLPELSSVVPTFRPVLLPKQTRSCPPTTLVLDLDETLVHSTLEPCDDADFTFPVNFNLQEHTVYVRCRPHLRDFMERVSSLFEIIIFTASQSIYAEQLLNVLDPKRRIFRHRVFRESCVFVDGNYLKDLSVLGRDLAHVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLLPFLESLVGVEDVRPLIAKKFNLREKIAGAVYPPLNSNRGDPFER >EOX99862 pep chromosome:Theobroma_cacao_20110822:2:17502718:17507455:-1 gene:TCM_008843 transcript:EOX99862 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCP1-like small phosphatase 5 isoform 2 MQTKKRISRRNASQEHASPKASRPQKKISEKVQGPEKKVTELITSSVRKQRLAETIPKKHEEPVGATNLNARFGLRHDETSDACLGYDVANDTHVDHKSGDHHMTGTIFSPGFHKPKVSGGELAGVGGLYIYIHILVPFFVILMQISSLVDFLKLFRREDSKIHQDEGIEESQIDMLDAHITGEALKSTSGEEMLVLDTNAMICNADSGAVLSSEVSAIYLAMKNSKLECIDEHGQDSMSTDVCVDDEYYEEFDDFDPYLFIKNLPELSSVVPTFRPVLLPKQTRSCPPTTLVLDLDETLVHSTLEPCDDADFTFPVNFNLQEHTVYVRCRPHLRDFMERVSSLFEIIIFTASQSIYAEQLLNVLDPKRRIFRHRVFRESCVFVDGNYLKDLSVLGRDLAHVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLLPFLESLVGVEDVRPLIAKKFNLREKIAGAVYPPLNSNRGDPFER >EOX99861 pep chromosome:Theobroma_cacao_20110822:2:17502717:17507283:-1 gene:TCM_008843 transcript:EOX99861 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCP1-like small phosphatase 5 isoform 2 MQTKKRISRRNASQEHASPKASRPQKKISEKVQGPEKKVTELITSSVRKQRLAETIPKKHEEPVGATNLNARFGLRHDETSDACLGYDVANDTHVDHKSGDHHMTGTIFSPGFHKPKVSGGELAGVDSKIHQDEGIEESQIDMLDAHITGEALKSTSGEEMLVLDTNAMICNADSGAVLSSEVSAIYLAMKNSKLECIDEHGQDSMSTDVCVDDEYYEEFDDFDPYLFIKNLPELSSVVPTFRPVLLPKQTRSCPPTTLVLDLDETLVHSTLEPCDDADFTFPVNFNLQEHTVYVRCRPHLRDFMERVSSLFEIIIFTASQSIYAEQLLNVLDPKRRIFRHRVFRESCVFVDGNYLKDLSVLGRDLAHVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLLPFLESLVGVEDVRPLIAKKFNLREKIAGAVYPPLNSNRGDPFER >EOX99860 pep chromosome:Theobroma_cacao_20110822:2:17502688:17507548:-1 gene:TCM_008843 transcript:EOX99860 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCP1-like small phosphatase 5 isoform 2 MQTKKRISRRNASQEHASPKASRPQKKISEKVQGPEKKVTELITSSVRKQRLAETIPKKHEEPVGATNLNARFGLRHDETSDACLGYDVANDTHVDHKSGDHHMTGTIFSPGFHKPKVSGGELAGVDSKIHQDEGIEESQIDMLDAHITGEALKSTSGEEMLVLDTNAMICNADSGAVLSSEVSAIYLAMKNSKLECIDEHGQDSMSTDVCVDDEYYEEFDDFDPYLFIKNLPELSSVVPTFRPVLLPKQTRSCPPTTLVLDLDETLVHSTLEPCDDADFTFPVNFNLQEHTVYVRCRPHLRDFMERVSSLFEIIIFTASQSIYAEQLLNVLDPKRRIFRHRVFRESCVFVDGNYLKDLSVLGRDLAHVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLLPFLESLVGVEDVRPLIAKKFNLREKIAGAVYPPLNSNRGDPFER >EOX99859 pep chromosome:Theobroma_cacao_20110822:2:17502313:17507461:-1 gene:TCM_008843 transcript:EOX99859 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCP1-like small phosphatase 5 isoform 2 MQTKKRISRRNASQEHASPKASRPQKKISEKVQGPEKKVTELITSSVRKQRLGKQFALCGLLDFGTIEKFSIAETIPKKHEEPVGATNLNARFGLRHDETSDACLGYDVANDTHVDHKSGDHHMTGTIFSPGFHKPKVSGGELAGVVDFLKLFRREDSKIHQDEGIEESQIDMLDAHITGEALKSTSGEEMLVLDTNAMICNADSGAVLSSEVSAIYLAMKNSKLECIDEHGQDSMSTDVCVDDEYYEEFDDFDPYLFIKNLPELSSVVPTFRPVLLPKQTRSCPPTTLVLDLDETLVHSTLEPCDDADFTFPVNFNLQEHTVYVRCRPHLRDFMERVSSLFEIIIFTASQSIYAEQLLNVLDPKRRIFRHRVFRESCVFVDGNYLKDLSVLGRDLAHVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLLPFLESLVGVEDVRPLIAKKFNLREKIAGAVYPPLNSNRGDPFER >EOY00780 pep chromosome:Theobroma_cacao_20110822:2:35040158:35043636:1 gene:TCM_010697 transcript:EOY00780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFVENLAKEVKWRQLKNLFDEFGVGGCRCIHPKDKSQLRIEICFVRYRDVREMSRTIFLGHRKEVEGRWIRVLEAEKPRDDGNGGEKMASLDTIRCEPTFRVDDIGEEIEEKGLEDLRFGKLVLEKVRAKFVAWKAKLLSFDGKSEERTRKNSVKVFGGVTDLKRKIHYLKWNKVCNYRKYGDIGVIDLKIQNYALLNKWMWWYGKEKGNL >EOX97613 pep chromosome:Theobroma_cacao_20110822:2:2868150:2876326:1 gene:TCM_006592 transcript:EOX97613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor isoform 4 MDFDEYEYLEKTVENTEPQKAKEDGNGGIDSVKSEERNRSRSSKHKREERADDYENEHRRSKRSKSREESSRDLDRHKERGSRDGEKDRHRSSREHRDKDRDREERNGRDRERERERDRKERDRESERDRDREKERERSTRSQSRSEREQERSRDREFSERESRERDRESRRNKDKKENAAEAEADPERDQRTVFAYQISLKANERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTTLVAAGQTGPYSGGARRLYVGNLHFNITEDQLRQVFEPFGSVELVQLPLDETGHCKGFGFVQFARLEDAKNALNLNGQLEIGGRVIKVSTVTDQGLLEDVGTNAADLDDDEGGGLSLNSSSRALLMAKLDRSGTASSITGSVGVAGNSTGLTATTATVLGAAPAVPSLVPPTLQAHVRTISGLPGVGLQLPSNGIPTIDTIGTPSECLLLKNMFDPDLETEPDFDLDIKEDVQEECSKFGKLKHIYVDRDSAGFVYLRFEDTQAAMSAQRNLHGRWFAAKMITATFMVPQTYEAKFPDSKRLLE >EOX97615 pep chromosome:Theobroma_cacao_20110822:2:2868560:2875278:1 gene:TCM_006592 transcript:EOX97615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor isoform 4 MDFDEYEYLEKTVENTEPQKAKEDGNGGIDSVKSEERNRSRSSKHKREERADDYENEHRRSKRSKSREESSRDLDRHKERGSRDGEKDRHRSSREHRDKDRDREERNGRDRERERERDRKERDRESERDRDREKERERSTRSQSRSEREQERSRDREFSERESRERDRESRRNKDKKENAAEAEADPERDQRTVFAYQISLKANERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTTLVAAGQTGPYSGGARRLYVGNLHFNITEDQLRQVFEPFGSVELVQLPLDETGHCKGFGFVQFARLEDAKNALNLNGQLEIGGRVIKVSTVTDQGLLEDVGTNAADLDDDEGGGLSLNSSSRALLMAKLDRSGTASRFAFLNLAIVLFINYLADTASAFFFCSITGSVGVAGNSTGLTATTATVLGAAPAVPSLVPPTLQAHVRTISGLPGVGLQLPSNGIPTIDTIGTPSECLLLKNMFDPDLERRNQILIWTSKKMFKKNVQNLEN >EOX97614 pep chromosome:Theobroma_cacao_20110822:2:2868163:2876119:1 gene:TCM_006592 transcript:EOX97614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor isoform 4 MDFDEYEYLEKTVENTEPQKAKEDGNGGIDSVKSEERNRSRSSKHKREERADDYENEHRRSKRSKSREESSRDLDRHKERGSRDGEKDRHRSSREHRDKDRDREERNGRDRERERERDRKERDRESERDRDREKERERSTRSQSRSEREQERSRDREFSERESRERDRESRRNKDKKENAAEAEADPERDQRTVFAYQISLKANERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTTLVAAGQTGPYSGGARRLYVGNLHFNITEDQLRQVFEPFGSVELVQLPLDETGHCKGFGFVQFARLEDAKNALNLNGQLEIGGRVIKVSTVTDQGLLEDVGTNAADLDDDEGGGLSLNSSSRALLMAKLDRSGTASSITGSVGVAGNSTGLTATTATVLGAAPAVPSLVPPTLQAHVRTISGLPGVGLQLPSNGIPTIDTIGTPSECLLLKNMFDPDLETEPDFDLDIKEDVQEECSKFGKLKHIYVDRDSAGFVYLRFEDTQAAMSAQRNLHGRWFAAKMITATFMVPQTYEAKFPDSKRLLE >EOX97616 pep chromosome:Theobroma_cacao_20110822:2:2868273:2876139:1 gene:TCM_006592 transcript:EOX97616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor isoform 4 MDFDEERERSTRSQSRSEREQERSRDREFSERESRERDRESRRNKDKKENAAEAEADPERDQRTVFAYQISLKANERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTTLVAAGQTGPYSGGARRLYVGNLHFNITEDQLRQVFEPFGSVELVQLPLDETGHCKGFGFVQFARLEDAKNALNLNGQLEIGGRVIKVSTVTDQGLLEDVGTNAADLDDDEGGGLSLNSSSRALLMAKLDRSGTASSITGSVGVAGNSTGLTATTATVLGAAPAVPSLVPPTLQAHVRTISGLPGVGLQLPSNGIPTIDTIGTPSECLLLKNMFDPDLETEPDFDLDIKEDVQEECSKFGKLKHIYVDRDSAGFVYLRFEDTQAAMSAQRNLHGRWFAAKMITATFMVPQTYEAKFPDSKRLLE >EOY00148 pep chromosome:Theobroma_cacao_20110822:2:27251155:27256512:-1 gene:TCM_009759 transcript:EOY00148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein, putative MPPRRECPLPTRSARRGRGRLRQGQPDLRGEESTASPFRATLAAEPVEIPPPPTGIPAVSSEVIQAMAAFFTAMAGQAQTSQVPPVVPPVTPSVPLAHDVSISKKLKEARQLGCVSFVGELDATAAKDWINQVSETLFDMRLEDEMKLIVATRLLEKRAHQADYFEKGLRNEIRERMTVTGREPHKEPLKRSKGSFVSGSAPSVSVTSSRPSFSQMQQRPPRFSGSAVTTSEKSFGGQATVAALSSSTRTDLQMRDSSGAQLRQGVAIRPDVESGELSDTGKRLHPRTENISISTPMTGVPWASLIRGARFSIIELTSIGEARRITPEVGFEYTSRWSPCETGTQPTPRNGCVVRGEMCLCV >EOX99845 pep chromosome:Theobroma_cacao_20110822:2:17309440:17314364:1 gene:TCM_008818 transcript:EOX99845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKGKEPKLVLIIDLTRSEKSRSSIDLATHFPNFMQVYQGLNVLTNKVSLHEQKVWAFIFFSHVLMCYLFCQEVTHHLLDTVCLNVEFTAKN >EOY00145 pep chromosome:Theobroma_cacao_20110822:2:27074256:27079199:-1 gene:TCM_009742 transcript:EOY00145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin biosynthetic isopentenyltransferase, putative MFENFDLCCILSDNIVTFLVVRYGQTTEGDCSPPIGSVVREIAVRLRLFRPQKLIKTHNQPLTFFSMKPIFSSFHSLHSPLFHPPLPLHRRPRWPRMDSSTPHQDSGENKAKLIVIMGATGTGKSRLSIDLSSHFPHSQIINSDKMQLYKGLDITTNKIPIHERKGVPHFLLGEFDSIDADVAPSQFRSAAGLTIANIVSRGNLPFLVGGSNSFIHALLVETFDPEVDVLAGLGSVSHVLRYDCCFLWVDVAWSVLRDYLCKRVDEMLDSGMFEELAQFYDPDRESVRVGLRKAIGVPEFDGYFRKYPPWESEENGVVPEEGCDPVRREAYEEAVREIKDNTCRLAKSQIGKILRLIGAGWDLRRLDATATFGAVMRKKKSSSSDLEWRDIWEREVVEPSVKIVKRFLE >EOX99271 pep chromosome:Theobroma_cacao_20110822:2:9742114:9767029:-1 gene:TCM_007889 transcript:EOX99271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine/DOPA decarboxylase MGRLDSNFPDPCHFNPLDPEEFRKQAHEMVDFIADYYQKIETYPVLSQVKPGYLRTDLPENAPYLPEPLETILKDVQNQIIPGMTHWLSPNFFAFFPSTVSTAAFLGEMLCTCFNSVGFNWLASPASTELEMIVMDWLANMLKLPKSFMFQGTGGGIIQNTTSEAILVTLIAARDQALDVLGFDNMRKLVVYASDQTHSTFAKACKLAGISPRNIRSIPTTLDTAFSLSALHLRKAVEDDVAAGLFPLYLCVNVGTTSTTAVDSIGPLADVANEHGIWLHVDAAYAGSACICPEFRHHLDGIERVDSLSLSPHKWLLSFLDCCCLWVQKPSLVVKTLSTNPEYLRNEQSESESVVDFKDWQVGTGRRFKSLRLWLIFRSYGIVNIQNHIRSDVRMAKMFEGFVSSDPRFEIVVPREFGLVCFRLKPDENIGSDYTEMLNRKLLEWVNSTGRVYMTHTIVGGIYILRFAVGATLTEDRHVVAAWNLIKEGADALLF >EOX97096 pep chromosome:Theobroma_cacao_20110822:2:1168671:1177191:-1 gene:TCM_006198 transcript:EOX97096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 MQKTSGIIFNSSSPQTPNLNSVTEQKISVLTTQLTIFSVLFLNRLIADDDEPVMVPQEIAKDDELNLDILELVFAICNFTLELPSAVFDQLSSKNHPHYALIVMLFSFIALMSCIAELIYNGKKERVTWQWRGRVPWFYCQQTGKPFGTLLDMIGFACAFLPCILTVRRAQSKRAIYIYRAINYSFISRHLDGPINICVLPILLAFGLLCSKHLEKPDRNRGGNPTD >EOY00574 pep chromosome:Theobroma_cacao_20110822:2:33638615:33639979:1 gene:TCM_010468 transcript:EOY00574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKPPAGHLICNTDGASKGNLGDSRIRGILRKENGDALIIFSKAIDVSDSNTVELLTVREAALLFAASDWLSQPLLIECDSLNVVYWIKNPLSMPWRLRCHVVQITKLLGRINYCSINHVPCAANGTANDLAQSGVSRNPNFLWVNVEVSREALAENERHFLVEYETEARSTQRCSQSQGNRVLPKPGEHLTMLLKLREHSMVLPGHGSTKFGAPKPREHGLVLF >EOY00244 pep chromosome:Theobroma_cacao_20110822:2:30406640:30414801:1 gene:TCM_010071 transcript:EOY00244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps51/Vps67 family (components of vesicular transport) protein MSIGDSAELEGHLSLSDRLKVFKASQFDPDAYLLSKCHAMTEKVSSLCLRFTLYEIRHVCSHLLELRKASAEEMRKSVYANYTAFIRTSKEISVLEGELLSMRNLLSTQAALVHGLAEGIILDSLSTGPEDSEEEDISDVENTKLTKTEKWFVEFLDNLDVLLTEKRVEEAMAALAEGEQLAKEAKSKQTLSSDALLKLKSALSVLRQKLVDQLADATCQPFTQGSELRSAVLTIKNLGDGTRAHTLLLNSHQQRLHRGMQSLRPSSTSYGGAFTSALSQLVFSTIAQAASDSLAVFGEEPAYSSELVTWAIKQTEVFAVLLKRHVLALSAAAGGLRVATECIQICLGHCSLLEARGLALSPVLLRLFRPSVQLAFSANLKRIEQSSAALAAADDWVLTYASVGARPFSSTSSLNNASTSQPKLSSSAHRFNSMVQEFLEDVGPLESLQLDGPALEGVLQVFNSYVNLLINALPGSMENEENLEGSGGKIVRMAENESQQMALLANASLLADELLPRSAMKLLPLSQSNRVEATPKRASDRQSRLPEQREWKRKLQRSVDRLRDSFCRQHALDLIFTEDGDIRLNAQIYISLDGNSEEPEWFPSPIFQELFLKLTRIASIATDMFVGRERFATILLMRLTETVILWLSDDQSFWEQIEQGPMPLGPLGLQQFYLDMEFVIIFSSQGRYLSRNLQQVIKNIIERAIDAVTATGIDPYSVLPEDDWFAEVAQIAIKMLSGKANFSNMDRDVTSPTASISAKSISSECRVIDISLHFPFNLFHKLVNRPSETGASDSESSSN >EOX99587 pep chromosome:Theobroma_cacao_20110822:2:12544535:12552200:1 gene:TCM_008306 transcript:EOX99587 gene_biotype:protein_coding transcript_biotype:protein_coding description:No exine formation 1 isoform 1 MMPPELQPRSFRPYISSSISAPSFSSFNNASSPSSSSPDPNPNSNFSSNLSSPSSSSRSLKNSRFSPSSFAHNAHLAITLVPCAAFLLDLGGTPVVATLTLGLMIAYIIDSLNFKSGAFFGVWFSLLAAQIAFFFSASLYYSFNSAPLSILASFLCAQTNFLIGIWASLQFKWIQIENPSIVLALERLLFACVPFAASSIFTWATISAVGMNNASYSLMAFNCVFYWVFTIPRVSSFKTKQEVKYHGGEVPDDNLILGPLESCLHTLNLLFFPLIFHIASHYSVMFSSAASVSDLFLLFFIPFLFQLYASTRGALWWVTKNAHQLRSIQLVNGAIALVVVVICLEIRVVFHSFGRYIQVPPPINYLLVTTTMLGGAAGAGAYALGMISDAFSSLAFTSLAVVVSAAGAIVVGFPVLFIPFPSVAGFYLARFFTKKSLPSYFAFVVLGSLMVMWFVLHNFWDLNIWLAGMSLKSFCKLIVADVVLAMAVPGLALLPSKLQFLTEVGLIGHALLLCYIENRFFSYSSIYYYGLDDDVMYPSYMVILTTLVGFALVRRLSVDNRIGPKAVWILTCLYSSKLAMLFITSKSVVWVSAVLLLAISPPLLLYRDKSRTASKMKVWQGYAHGAVVALSVWFCRETIFEALQWWNGRPPSDGLLLGFCILLTGLACVPIVALHFSHVMSAKRCLVLVVATGLLFILMQPPIPLSWTYRSDLIKAARQSADDISIYGFMASKPTWPSWLLIAAILLTLAAVTSIIPIKYIVELRAFYSIAMGIALGVYISAEFFLQAAVLHALIIVTMVCASVFVIFTHFPSASSTKLLPWVFALLVALFPVTYLLEGQVRIKSFLGDNEFGEIGEEDRKLTTLLAVEGARTSLLGLYAAIFMLIALEIKYELASLIREKTLERGSVRHNQSGQSNSVGFPPRMRFMQQRRATAVPTFTIKKMAAEGAWMPAVGNVATVMCFAICLILNVNLTGGSNQAIFFLAPILLLLNQDSDFVAGFGDKQRYFPVTVAISVYLVLTTLYSIWEDVWHGNAGWGIEIGGPGWFFAVKNLALLIFTFPSHILFNRFVWSYTKQTDSAPLLTLPLNLPSIIITDLIKIRVLGLLGIIYSLAQYIISRQQYISGLKYI >EOX99588 pep chromosome:Theobroma_cacao_20110822:2:12544535:12552200:1 gene:TCM_008306 transcript:EOX99588 gene_biotype:protein_coding transcript_biotype:protein_coding description:No exine formation 1 isoform 1 MMPPELQPRSFRPYISSSISAPSFSSFNNASSPSSSSPDPNPNSNFSSNLSSPSSSSRSLKNSRFSPSSFAHNAHLAITLVPCAAFLLDLGGTPVVATLTLGLMIAYIIDSLNFKSGAFFGVWFSLLAAQIAFFFSASLYYSFNSAPLSILASFLCAQTNFLIGIWASLQFKWIQIENPSIVLALERLLFACVPFAASSIFTWATISAVGMNNASYSLMAFNCVFYWVFTIPRVSSFKTKQEVKYHGGEVPDDNLILGPLESCLHTLNLLFFPLIFHIASHYSVMFSSAASVSDLFLLFFIPFLFQLYASTRGALWWVTKNAHQLRSIQLVNGAIALVVVVICLEIRVVFHSFGRYIQVPPPINYLLVTTTMLGGAAGAGAYALGMISDAFSSLAFTSLAVVVSAAGAIVVGFPVLFIPFPSVAGFYLARFFTKKSLPSYFAFVVLGSLMVMWFVLHNFWDLNIWLAGMSLKSFCKLIVADVVLAMAVPGLALLPSKLQFLTEVGLIGHALLLCYIENRFFSYSSIYYYGLDDDVMYPSYMVILTTLVGFALVRRLSVDNRIGPKAVWILTCLYSSKLAMLFITSKSVVWVSAVLLLAISPPLLLYRDKSRTASKMKVWQGYAHGAVVALSVWFCRETIFEALQWWNGRPPSDGLLLGFCILLTGLACVPIVALHFSHVMSAKRCLVLVVATGLLFILMQPPIPLSWTYRSDLIKAARQSADDISIYGFMASKPTWPSWLLIAAILLTLAAVTSIIPIKYIVELRAFYSIAMGIALGVYISAEFFLQAAVLHALIIVTMVCASVFVIFTHFPSASSTKLLPWVFALLVALFPVTYLLEGQVRIKSFLGDNEFGEIGEEDRKLTTLLAVEGARTSLLGLYAAIFMLIALEIKYELASLIREKTLERGSVRHNQSGQSNSVGFPPRMRFMQQRRATAVPTFTIKKMAAEGAWMPAVGNVATVMCFAICLILNVNLTGGSNQAIFFLAPILLLLNQDSDFVAGFGDKQRYFPVTVAISVYLVLTTLYSIWEDVWHGNAGWGIEIGGPGWFFAVKNLALLIFTFPSHILFNRFVWSYTKQTDSAPLLTLPLNLPSIIITDLIKIRVLGLLGIIYSLAQYIISRQQYISGLKYI >EOX99585 pep chromosome:Theobroma_cacao_20110822:2:12544535:12551404:1 gene:TCM_008306 transcript:EOX99585 gene_biotype:protein_coding transcript_biotype:protein_coding description:No exine formation 1 isoform 1 MMPPELQPRSFRPYISSSISAPSFSSFNNASSPSSSSPDPNPNSNFSSNLSSPSSSSRSLKNSRFSPSSFAHNAHLAITLVPCAAFLLDLGGTPVVATLTLGLMIAYIIDSLNFKSGAFFGVWFSLLAAQIAFFFSASLYYSFNSAPLSILASFLCAQTNFLIGIWASLQFKWIQIENPSIVLALERLLFACVPFAASSIFTWATISAVGMNNASYSLMAFNCVFYWVFTIPRVSSFKTKQEVKYHGGEVPDDNLILGPLESCLHTLNLLFFPLIFHIASHYSVMFSSAASVSDLFLLFFIPFLFQLYASTRGALWWVTKNAHQLRSIQLVNGAIALVVVVICLEIRVVFHSFGRYIQVPPPINYLLVTTTMLGGAAGAGAYALGMISDAFSSLAFTSLAVVVSAAGAIVVGFPVLFIPFPSVAGFYLARFFTKKSLPSYFAFVVLGSLMVMWFVLHNFWDLNIWLAGMSLKSFCKLIVADVVLAMAVPGLALLPSKLQFLTEVGLIGHALLLCYIENRFFSYSSIYYYGLDDDVMYPSYMVILTTLVGFALVRRLSVDNRIGPKAVWILTCLYSSKLAMLFITSKSVVWVSAVLLLAISPPLLLYRDKSRTASKMKVWQGYAHGAVVALSVWFCRETIFEALQWWNGRPPSDGLLLGFCILLTGLACVPIVALHFSHVMSAKRCLVLVVATGLLFILMQPPIPLSWTYRSDLIKAARQSADDISIYGFMASKPTWPSWLLIAAILLTLAAVTSIIPIKYIVELRAFYSIAMGIALGVYISAEFFLQAAVLHALIIVTMVCASVFVIFTHFPSASSTKLLPWVFALLVALFPVTYLLEGQVRIKSFLGDNEFGEIGEEDRKLTTLLAVEGARTSLLGLYAAIFMLIALEIKYELASLIREKTLERGSVRHNQSGQSNSVGFPPRMRFMQQRRATAVPTFTIKKMAAEGAWMPAVGNVATVMCFAICLILNVNLTGGSNQAIFFLAPILLLLNQDSDFVAGFGDKQRYFPVTVAISVYLVLTTLYSIWEDVWHGNAGWGIEIGGPGWFFAVKNLALLIFTFPSHILFNRFVWSYTKQTDSAPLLTLPLNLPSIIITDLIKIRVLGLLGIIYSLAQYIISRQQYISGLKYI >EOX99586 pep chromosome:Theobroma_cacao_20110822:2:12544535:12552200:1 gene:TCM_008306 transcript:EOX99586 gene_biotype:protein_coding transcript_biotype:protein_coding description:No exine formation 1 isoform 1 MMPPELQPRSFRPYISSSISAPSFSSFNNASSPSSSSPDPNPNSNFSSNLSSPSSSSRSLKNSRFSPSSFAHNAHLAITLVPCAAFLLDLGGTPVVATLTLGLMIAYIIDSLNFKSGAFFGVWFSLLAAQIAFFFSASLYYSFNSAPLSILASFLCAQTNFLIGIWASLQFKWIQIENPSIVLALERLLFACVPFAASSIFTWATISAVGMNNASYSLMAFNCVFYWVFTIPRVSSFKTKQEVKYHGGEVPDDNLILGPLESCLHTLNLLFFPLIFHIASHYSVMFSSAASVSDLFLLFFIPFLFQLYASTRGALWWVTKNAHQLRSIQLVNGAIALVVVVICLEIRVVFHSFGRYIQVPPPINYLLVTTTMLGGAAGAGAYALGMISDAFSSLAFTSLAVVVSAAGAIVVGFPVLFIPFPSVAGFYLARFFTKKSLPSYFAFVVLGSLMVMWFVLHNFWDLNIWLAGMSLKSFCKLIVADVVLAMAVPGLALLPSKLQFLTEVGLIGHALLLCYIENRFFSYSSIYYYGLDDDVMYPSYMVILTTLVGFALVRRLSVDNRIGPKAVWILTCLYSSKLAMLFITSKSVVWVSAVLLLAISPPLLLYRDKSRTASKMKVWQGYAHGAVVALSVWFCRETIFEALQWWNGRPPSDGLLLGFCILLTGLACVPIVALHFSHVMSAKRCLVLVVATGLLFILMQPPIPLSWTYRSDLIKAARQSADDISIYGFMASKPTWPSWLLIAAILLTLAAVTSIIPIKYIVELRAFYSIAMGIALGVYISAEFFLQAAVLHALIIVTMVCASVFVIFTHFPSASSTKLLPWVFALLVALFPVTYLLEGQVRIKSFLGDNEFGEIGEEDRKLTTLLAVEGARTSLLGLYAAIFMLIALEIKYELASLIREKTLERGSVRHNQSGQSNSVGFPPRMRFMQQRRATAVPTFTIKKMAAEGAWMPAVGNVATVMCFAICLILNVNLTGGSNQAIFFLAPILLLLNQDSDFVAGFGDKQRYFPVTVAISVYLVLTTLYSIWEDVWHGNAGWGIEIGGPGWFFAVKNLALLIFTFPSHILFNRFVWSYTKQTDSAPLLTLPLNLPSIIITDLIKIRVLGLLGIIYSLAQYIISRQQYISGLKYI >EOY02208 pep chromosome:Theobroma_cacao_20110822:2:42327977:42333235:1 gene:TCM_011909 transcript:EOY02208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase/prephenate dehydratase 1 isoform 3 MEHGEELTNSFANKDKKSVSSGPAFHSQQHLQSNESHRSVSSSVQVGKASATHNQGRKFHKDLTSLPKPLTVADLSATPDDSSKVRISFKGTLGSYNEDAALKAYPKCKTVPCDEFEDAFKAVELWLAEKAVLPIENSSGGSIHRNYDLLLRHRLHIVGEVQLPVNFCLLALPGVRIEQLKRVLSHPQALALSDIFLSKLGVARENVDDTASAAQYVASNGLRDAAVVASIRAAQVYGLNVLAEKIQDDPDNITRFLVLARDPIISRTDKPFKTSIVFTLEEGPGILFKALAVFALRDINLTKIESRPQRKRPLRVVDDSNTGAAKYFDYLFYIDFEASMAEPRAQNALGHLQEFATFLRVLGCYPMDTTL >EOY02211 pep chromosome:Theobroma_cacao_20110822:2:42329255:42333146:1 gene:TCM_011909 transcript:EOY02211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase/prephenate dehydratase 1 isoform 3 KAYPKCKTVPCDEFEDAFKAVELWLAEKAVLPIENSSGGSIHRNYDLLLRHRLHIVGEVQLPVNFCLLALPGVRIEQLKRVLSHPQALALSDIFLSKLGVARENVDDTASAAQYVASNGLRDAAVVASIRAAQVYGLNVLAEKIQDDPDNITRFLVLARDPIISRTDKPFKTSIVFTLEEGPGILFKALAVFALRDINLTKIESRPQRKRPLRVVDDSNTGAAKYFDYLFYIDFEASMAEPRAQNALGHLQEFATFLRVLGCYPMDTTL >EOY02209 pep chromosome:Theobroma_cacao_20110822:2:42328131:42332688:1 gene:TCM_011909 transcript:EOY02209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase/prephenate dehydratase 1 isoform 3 MKVTEPLTVADLSATPDDSSKVRISFKGTLGSYNEDAALKAYPKCKTVPCDEFEDAFKAVELWLAEKAVLPIENSSGGSIHRNYDLLLRHRLHIVGEVQLPVNFCLLALPGVRIEQLKRVLSHPQALALSDIFLSKLGVARENVDDTASAAQYVASNGLRDAAVVASIRAAQVYGLNVLAEKIQDDPDNITRFLVLARDPIISRTDKPFKTSIVFTLEEGPGILFKALAVFALRDINLTKIESRPQRKRPLRVVDDSNTGAAKYFDYLFYIDFEASMAEPRAQNALGHLQEFATFLRVLGCYPMDTTL >EOY02210 pep chromosome:Theobroma_cacao_20110822:2:42328446:42333237:1 gene:TCM_011909 transcript:EOY02210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase/prephenate dehydratase 1 isoform 3 QYEFPSWNQSSNRAPVTVGWFSGHRSVSSSVQVGKASATHNQGRKFHKDLTSLPKPLTVADLSATPDDSSKVRISFKGTLGSYNEDAALKAYPKCKTVPCDEFEDAFKAVELWLAEKAVLPIENSSGGSIHRNYDLLLRHRLHIVGEVQLPVNFCLLALPGVRIEQLKRVLSHPQALALSDIFLSKLGVARENVDDTASAAQYVASNGLRDAAVVASIRAAQVYGLNVLAEKIQDDPDNITRFLVLARDPIISRTDKPFKTSIVFTLEEGPGILFKALAVFALRDINLTKIESRPQRKRPLRVVDDSNTGAAKYFDYLFYIDFEASMAEPRAQNALGHLQEFATFLRVLGCYPMDTTL >EOY00657 pep chromosome:Theobroma_cacao_20110822:2:34306575:34309425:-1 gene:TCM_010563 transcript:EOY00657 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 1 MFLSAIAPQHPLSPPNSSTNQTHPRAVLLSANPSPKPPSALPSLKSLNSNSPNTSTSLRHVSTHTLPDDNESQQPEPTMVSSASAVSAAIRKASTSPVDFTQRIEKNDHRNHKLVMPSPDFQRLCHEQFDLFRRIVDPDAILSVYVRPAGSYVMDRLELRRVTSYPGVKAADIVILVGNFTVPTGLRAAEATLSSQPVEVVGEHRAMVFPMVKQPFVVGFLVVELPELEKVLRGSSASEGGDLVRCSTPEEAYALSSPSPGLDVKNSWEIQSLENKALRKNYILTSDQRSNAINISRTLAMAYVMDQQKAMLLQQSSWQKNVRMGALVEQIRGPLSSIRTLSKMLSTHVKRSEISHDIVEDILVQGDYMRDTLQELQDAVYLTKANIVRYNEETLKKMHKSTHSHPESLRSQLSDDFSRDSSTNMLENSGVLLSLSTTDKDLEMPMPPLALVPLQEHGIRKTVQCF >EOY00656 pep chromosome:Theobroma_cacao_20110822:2:34305143:34309458:-1 gene:TCM_010563 transcript:EOY00656 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 1 MFLSAIAPQHPLSPPNSSTNQTHPRAVLLSANPSPKPPSALPSLKSLNSNSPNTSTSLRHVSTHTLPDDNESQQPEPTMVSSASAVSAAIRKASTSPVDFTQRIEKNDHRNHKLVMPSPDFQRLCHEQFDLFRRIVDPDAILSVYVRPAGSYVMDRLELRRVTSYPGVKAADIVILVGNFTVPTGLRAAEATLSSQPVEVVGEHRAMVFPMVKQPFVVGFLVVELPELEKVLRGSSASEGGDLVRCSTPEEAYALSSPSPGLDVKNSWEIQSLENKALRKNYILTSDQRSNAINISRTLAMAYVMDQKAMLLQQSSWQKNVRMGALVEQIRGPLSSIRTLSKMLSTHVKRSEISHDIVEDILVQGDYMRDTLQELQDAVYLTKANIVRYNEETLKKMHKSTHSHPESLRSQLSDDFSRDSSTNMLENSGVLLSLSTTDKDLEMPMPPLALVPLQEHGIRKTVQCF >EOY00655 pep chromosome:Theobroma_cacao_20110822:2:34304935:34309732:-1 gene:TCM_010563 transcript:EOY00655 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 1 MFLSAIAPQHPLSPPNSSTNQTHPRAVLLSANPSPKPPSALPSLKSLNSNSPNTSTSLRHVSTHTLPDDNESQQPEPTMVSSASAVSAAIRKASTSPVDFTQRIEKNDHRNHKLVMPSPDFQRLCHEQFDLFRRIVDPDAILSVYVRPAGSYVMDRLELRRVTSYPGVKAADIVILVGNFTVPTGLRAAEATLSSQPVEVVGEHRAMVFPMVKQPFVVGFLVVELPELEKVLRGSSASEGGDLVRCSTPEEAYALSSPSPGLDVKNSWEIQSLENKALRKNYILTSDQRSNAINISRTLAMAYVMDQKAMLLQQSSWQKNVRMGALVEQIRGPLSSIRTLSKMLSTHVKRSEISHDIVEDILVQGDYMRDTLQELQDAVYLTKANIVRYNEETLKKMHKSTHSHPESLRSQLSDDFSRDSSTNMLENSGVLLSLSTTDKDLEMPMPPLALVPLQEHGIRPCNVSDVLTDLVDAARPLAHKQQRMVELSELSQPLEVAIEEPALRQALSNLIEGALLRTQVGGKVKIISTSAPAGGALLVIDDDGPDMHYMTQMHSLTTFGAELFSENMIEDNMTWNFVAGLTVAREILESYGCIVRVISPRSTDAALGAGGTRIELWLPSFAALSDANNLTREA >EOX99783 pep chromosome:Theobroma_cacao_20110822:2:16321973:16332427:1 gene:TCM_008711 transcript:EOX99783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRVVSYLIRVKDYTPGSRIGVYLLLVQLRIGSRLSIASRSKFRSCILESKVIDLNLLSYLGRSGKTGTKALRSLEGHSGEKCLSRLRGGCHGLDGSFGS >EOY02066 pep chromosome:Theobroma_cacao_20110822:2:41839156:41843624:1 gene:TCM_011808 transcript:EOY02066 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAS/LOV protein B isoform 5 MDSKLRLIQQSLNTRYSEWIREALDDLPDNFTITDPSISGHPIVFASRGFLKMSRYSREEVIGQNGKIFQGPKTNPRTVMEIREAIREERGVEVSLLNYRKDGTPFWMLFHMRPVFGKEDGRVIHFVAVQVPISTKQRRNGGVSLSEDGSGLNEVVFGSCRKEVDSDSLLELRRVLSLDSGSKVLDIEETCKASDFEERRAATAFNNILSVLTHCSELTGRLVCGKRCSVPGAGYVSSSLNISLGRIKQSFVLIDPHLPDMLIVYASDAFLRLTGYDRHEVLGHNFRVLNGVDTDTSTLHQIKESIQTEQACTVRILSYRKDKSSFWNCLHLSPVRNASGKIAYFVGVQIEDDCKNRDGHGLSPEMRQLSAVGAVKVAVRTSSISAGSSKS >EOY02069 pep chromosome:Theobroma_cacao_20110822:2:41839156:41842210:1 gene:TCM_011808 transcript:EOY02069 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAS/LOV protein B isoform 5 MDSKLRLIQQSLNTRYSEWIREALDDLPDNFTITDPSISGHPIVFASRGFLKMSRYSREEVIGQNGKIFQGPKTNPRTVMEIREAIREERGVEVSLLNYRKDGTPFWMLFHMRPVFGKEDGRVIHFVAVQVPISTKQRRNGGVSLSEDGSGLNEVVFGSCRKEVDSDSLLELRRVLSLDSGSKVLDIEETCKASDFEERRAATAFNNILSVLTHCSELTGRLVCGKRCSVPGAGYVSSSLNISLGRIKQSFVLIDPHLPDMLIVYASDAFLRLTGYDRHEVLGHNFRVLNGVDTDTSTLHQIKESIQTEQACTEGQKLILELSSLISCS >EOY02068 pep chromosome:Theobroma_cacao_20110822:2:41839641:41842499:1 gene:TCM_011808 transcript:EOY02068 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAS/LOV protein B isoform 5 MDSKLRLIQQSLNTRYSEWIREALDDLPDNFTITDPSISGHPIVFASRGFLKMSRYSREEVIGQNGKIFQGPKTNPRTVMEIREAIREERGVEVSLLNYRKDGTPFWMLFHMRPVFGKEDGRVIHFVAVQVPISTKQRRNGGVSLSEDGSGLNEVVFGSCRKEVDSDSLLELRRVLSLDSGSKVLDIEETCKASDFEERRAATAFNNILSVLTHCSELTGRLVCGKRCSVPGAGYVSSSLNISLGRIKQSFVLIDPHLPDMLIVYASDAFLRLTGYDRHEVLGHNFRVLNGVDTDTSTLHQIKESIQTEQACTVRILSYRLILSHFQCLLKNDIMSIRSKYTCLVTVPGRTKAHFGTVFTYLLFVMPLAR >EOY02067 pep chromosome:Theobroma_cacao_20110822:2:41839117:41842479:1 gene:TCM_011808 transcript:EOY02067 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAS/LOV protein B isoform 5 MDSKLRLIQQSLNTRYSEWIREALDDLPDNFTITDPSISGHPIVFASRGFLKMSRYSREEVIGQNGKIFQGPKTNPRTVMEIREAIREERGVEVSLLNYRKDGTPFWMLFHMRPVFGKEDGRVIHFVAVQVPISTKQRRNGGVSLSEDGSGLNEVVFGSCRKEVDSDSLLELRRVLSLDSGSKVLDIEETCKASDFEERRAATAFNNILSVLTHCSELTGRLVCGKRCSVPGAGYVSSSLNISLGRIKQSFVLIDPHLPDMLIVYASDAFLRLTGYDRHEVLGHNFRVLNGVDTDTSTLHQIKESIQTEQACTVRILSYRKDKSSFWNCLHLSPVRNASGKL >EOY02070 pep chromosome:Theobroma_cacao_20110822:2:41839150:41840832:1 gene:TCM_011808 transcript:EOY02070 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAS/LOV protein B isoform 5 MDSKLRLIQQSLNTRYSEWIREALDDLPDNFTITDPSISGHPIVFASRGFLKMSRYSREEVIGQNGKIFQGPKTNPRTVMEIREAIREERGVEVSLLNYRKDGTPFWMLFHMRPVFGKEDGRVIHFVAVQVPISTKQRRNGGVSLSEDGSGLNEVVFGSCRKEVDSDSLLELRRVLSLDSGIYITVLDIEETCKASDFEERRAATAFNNILSVLTHCSELTGRLVCGKRCSVPGAGYVSSSLNISLGRIKQSFVLIDPHLPDMLIVYASDAFL >EOY02033 pep chromosome:Theobroma_cacao_20110822:2:41744327:41749221:-1 gene:TCM_011789 transcript:EOY02033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquiting-conjugating enzyme 2 isoform 1 MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >EOY02034 pep chromosome:Theobroma_cacao_20110822:2:41744278:41749079:-1 gene:TCM_011789 transcript:EOY02034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquiting-conjugating enzyme 2 isoform 1 MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGSTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >EOY02032 pep chromosome:Theobroma_cacao_20110822:2:41744152:41749215:-1 gene:TCM_011789 transcript:EOY02032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquiting-conjugating enzyme 2 isoform 1 MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >EOX99690 pep chromosome:Theobroma_cacao_20110822:2:13773017:13773941:-1 gene:TCM_008454 transcript:EOX99690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPLGLANATEKEGSSRKISPRLKSCSWVGGRGSVDCRKAVIPGTRNSCFNVLMMGIVMGSHFLYSWCFDFRFQMLCMCLLGFVLSS >EOX97118 pep chromosome:Theobroma_cacao_20110822:2:1262929:1282457:1 gene:TCM_006219 transcript:EOX97118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPQATKIFSKSLTDTDIKKRLAIPAKILPSLPDFNGSHAVTIHLMYGTRTWPIVCSVRKTGYKKPVFSDGWRNFVICNDFHVGEVLTLYKVQDEEGSFHYRVEVEKLATPSVALSARALSLNHEVDETTGTSRTKISNFQHDQEQLPKADAPVIQEGATMEQADAAANAPVPFVDHVIAKPPGMIFGTAVSDEATKNTSFKPEHETKMKFFGVTMGIGLVEPPLVKAPFDLNASESTVSLNLDLVLGQPNLTKEERDIKAPFDLNGGGSLAVFGTSQATEEACSESTKRLILVLGQRNPHNGAVNLDLTLAQALADTPSTVFAHSKPYISVSVCTWEPKPFQAGYGPINLSLLVRIAKVESPEVYVGNIALRTAGSYQKDLVPLSLPILVVVKLSDSLYPPSTMPQAIQIFSKSLTETDIKKRLVIPANILPSLPYFNGSHAVTIHLMYGTRMWPIVCSVRKTGYKKPVFSGGWRNFVICNDFHVGEELTLYKVQDEAGSFHYRVEVDKLATPSVALSARALSLNHEVNETTVTSPKKFSNFKHQQEQLLKADALVKQEGAIMELADAAADAPVPFVDHVIAKPTGRIFGTGVSGEATSKAHFKPEHETEMEIFGMGEPPSRACYMTKEERDIKAPFDLNESGSLAAFGTSQATGEAYYKSTGRISLDLVLGQPSPYN >EOY02010 pep chromosome:Theobroma_cacao_20110822:2:41668921:41673148:-1 gene:TCM_011774 transcript:EOY02010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase 2B isoform 1 MGNCLFSSTRVDSSQSPHATSASGGSRISSKTSRSSAPSSLTIPSFSDTSSSGCLPTPRTEGEILSSPNLKPFSFNELKNATRNFRPDSLLGEGGFGYVFKGWIDEHTLSAAKPGSGMVVAVKKLKPEGFQGHKEWLTEVDYLGQLHHPNLVKLIGYCLEGENRLLVYEFMPKGSLENHLFRRGPQPLSWAVRLKVAIGAARGLSFLHDLKSQVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDKTKVGVEQNLVDWAKPYLGDKRKLFRIMDTKLGGQYPQKGAYTAATLALQCLSSEAKLRPRMSVVLAALEQLEAPKNVSKHSHSEQQPVPLPVRKSPMRHHRSPMNITPIASPLPAHRQSPRVY >EOY02012 pep chromosome:Theobroma_cacao_20110822:2:41669031:41673435:-1 gene:TCM_011774 transcript:EOY02012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase 2B isoform 1 MVVAVKKLKPEGFQGHKEWLTEVDYLGQLHHPNLVKLIGYCLEGENRLLVYEFMPKGSLENHLFRRGPQPLSWAVRLKVAIGAARGLSFLHDLKSQVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDKTKVGVEQNLVDWAKPYLGDKRKLFRIMDTKLGGQYPQKGAYTAATLALQCLSSEAKLRPRMSVVLAALEQLEAPKNVSKHSHSEQQPVPLPVRKSPMRHHRSPMNITPIASPLPAHRQSPRVY >EOY02011 pep chromosome:Theobroma_cacao_20110822:2:41669091:41672951:-1 gene:TCM_011774 transcript:EOY02011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase 2B isoform 1 MGNCLFSSTRVDSSQSPHATSASGGSRISSKTSRSSAPSSLTIPSFSDTSSSGCLPTPRTEGEILSSPNLKPFSFNELKNATRNFRPDSLLGEGGFGYVFKGWIDEHTLSAAKPGSGMVVAVKKLKPEGFQGHKEWLTEVDYLGQLHHPNLVKLIGYCLEGENRLLVYEFMPKGSLENHLFRRGPQPLSWAVRLKVAIGAARGLSFLHDLKSQVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDKTKVGVEQNLVDWAKPYLGDKRKLFRIMDTKLGGQYPQKGAYTAATLALQCLSSEAKLRPRMSVVLAALEQLEAPKNVSKHSHSEQQPVPLPVRKSPMRHHRSPMNITPIASPLPAHRQSPRVY >EOY00525 pep chromosome:Theobroma_cacao_20110822:2:33223068:33226969:-1 gene:TCM_010408 transcript:EOY00525 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 1 MLGGNNSNPVLPSFLDENRFQYQTNASNQLQLFGSLPAGCTIDPVNFVGNDHLTSMIRPSKRGRETEDIQRQQKLQISLNYNVCQEEADRSASNPNPNAVSTGLRLSYDDDERNSSVTSASGSMTQGPSMILSLGDNVRSELDRQKEEFDQYIKIQEEHLTKGIRDMKQRHMASFLAAIEKGVSKKLREKDIELETTNRKNRELVERIKQVATEAQNWHYRAKYNESVVNVLKSNLQQAISQGAEQGKEGFGDSEVDDAASYVDPNNFLSIPVGAAKSFSRNHHGAKEQMICRACKAKEVSILLMPCRHLCLCKDCDVFINVCPVCQVMKTAGVQVYLS >EOY00527 pep chromosome:Theobroma_cacao_20110822:2:33223148:33224800:-1 gene:TCM_010408 transcript:EOY00527 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 1 MIRPSKRGRETEDIQRQQKLQISLNYNVCQEEADRSASNPNPNAVSTGLRLSYDDDERNSSVTSASGSMTQGPSMILSLGDNVRSELDRQKEEFDQYIKIQEEHLTKGIRDMKQRHMASFLAAIEKGVSKKLREKDIELETTNRKNRELVERIKQVATEAQNWHYRAKYNESVVNVLKSNLQQAISQGAEQGKEGFGDSEVDDAASYVDPNNFLSIPVGAAKSFSRNHHGAKEQMICRACKAKEVSILLMPCRHLCLCKDCDVFINVCPVCQV >EOY00524 pep chromosome:Theobroma_cacao_20110822:2:33222973:33225207:-1 gene:TCM_010408 transcript:EOY00524 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 1 MLGGNNSNPVLPSFLDENRFQYQTNASNQLQLFGSLPAGCTIDPVNFVGNDHLTSMIRPSKRGRETEDIQRQQKLQISLNYNVCQEEADRSASNPNPNAVSTGLRLSYDDDERNSSVTSASGSMTQGPSMILSLGDNVRSELDRQKEEFDQYIKIQEEHLTKGIRDMKQRHMASFLAAIEKGVSKKLREKDIELETTNRKNRELVERIKQVATEAQNWHYRAKYNESVVNVLKSNLQQAISQGAEQGKEGFGDSEVDDAASYVDPNNFLSIPVGAAKSFSRNHHGAKEQMICRACKAKEVSILLMPCRHLCLCKDCDVFINVCPVCQVMKTAGVQVYLS >EOY00523 pep chromosome:Theobroma_cacao_20110822:2:33223077:33227011:-1 gene:TCM_010408 transcript:EOY00523 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 1 MLGGNNSNPVLPSFLDENRFQYQTNASNQLQLFGSLPAGCTIDPVNFVGNDHLTSMIRPSKRGRETEDIQRQQKLQISLNYNVCQEEADRSASNPNPNAVSTGLRLSYDDDERNSSVTSASGSMTQGPSMILSLGDNVRSELDRQKEEFDQYIKIQEEHLTKGIRDMKQRHMASFLAAIEKGVSKKLREKDIELETTNRKNRELVERIKQVATEAQNWHYRAKYNESVVNVLKSNLQQAISQGAEQGKEGFGDSEVDDAASYVDPNNFLSIPVGAAKSFSRNHHGAKEQMICRACKAKEVSILLMPCRHLCLCKDCDVFINVCPVCQVMKTAGVQVYLS >EOY00526 pep chromosome:Theobroma_cacao_20110822:2:33222938:33226995:-1 gene:TCM_010408 transcript:EOY00526 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 1 MLGGNNSNPVLPSFLDENRFQYQTNASNQLQLFGSLPAGCTIDPVNFVGNDHLTSMIRPSKRGRETEDIQRQQKLQISLNYNVCQEEADRSASNPNPNAVSTGLRLSYDDDERNSSVTSASGSMTQGPSMILSLGDNVRSELDRQKEEFDQYIKIQEEHLTKGIRDMKQRHMASFLAAIEKGVSKKLREKDIELETTNRKNRELVERIKQVATEAQNWHYRAKYNESVVNVLKSNLQQAISQGAEQGKEGFGDSEVDDAASYVDPNNFLSIPVGAAKSFSRNHHGAKEQMICRACKAKEVSILLMPCRHLCLCKDCDVFINVCPVCQVMKTAGVQVYLS >EOX96988 pep chromosome:Theobroma_cacao_20110822:2:834419:834669:-1 gene:TCM_006112 transcript:EOX96988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIYVQVNNSVRQVALYISSQEPDCKFIALKGEHSPYPQNATDWQQAKRISMIEGKLLELPESPNCEELLSLLL >EOX97986 pep chromosome:Theobroma_cacao_20110822:2:4173042:4176133:-1 gene:TCM_006861 transcript:EOX97986 gene_biotype:protein_coding transcript_biotype:protein_coding description:NmrA-like negative transcriptional regulator family protein MAEKSKILVIGGTGYIGKFIVEASAKAGHPTFVLVREATLSNPAKSNTVDTFKTLGVNFLHGDLYDHESLVKAIKQVDVVISTVGHAQLVDQDKIIAAIKEAGNVKRFFPSEFGNDVDRVHAVEPAKTAFATKAKIRRAVEAQGIPYTYVSSNFFAGYFLPTLSQPAATAPPRDKVIILGDGNAKAVFNKEDDIGTYTIKAVDDPRTLNKILYIRPPLNTYSFNDLVSLWEKKIGRTLERSYVSEEQLLKNIQEAAVPLNVILSIRHSVFVKGDHTNFEIEPSFGVEASELYPDVKYTTVEEYLDQFV >EOY00507 pep chromosome:Theobroma_cacao_20110822:2:33115687:33118511:-1 gene:TCM_010392 transcript:EOY00507 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor A1F MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >EOX97129 pep chromosome:Theobroma_cacao_20110822:2:1312919:1314385:1 gene:TCM_006226 transcript:EOX97129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 MSFLLKLSRVFEALAVTDRESLLETKALAVAEGDFSVTTQVDTERKATTITYVYLLILIFLFLVTFLGCMVARRNNRRLPNNNGNIEGMLIGNAAEANTGADLVVIQQNQFEIEANRAIDRHDKAHCRGCLSTLGTKCNFGTQVALINFLLEIPSAVFDQISSGNKPIYVFIVMLISFTSMLVCFVELVSRGRREKITWRCSSTGRIPWFYHPPPANRPFGTLMEMIGLGCAIAQCIVTTINYSFCLRNHAAPIKISVWPIVFGFGMLCSKLMGKPNEARTDQDGGDAALDQPNVHQPLTLA >EOY00353 pep chromosome:Theobroma_cacao_20110822:2:31591195:31598061:1 gene:TCM_010205 transcript:EOY00353 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda (POLL) isoform 4 MYQWLEDSLAAGEKVSEDFYVLKVDLEGVGTPDKNLKLEPANRNSSSHEEQSHCKKIKSSPEHTKHTNEERKGELEINTLSGASNTEPHSPSSLSSCPEIPGTPDKDVGTLSSSLPHSMPDLNKDITEIFGKLINIYRALGDDRRSFSYYKAIAAVEKLPFIIESSNQVKNLPGIGKSMQDHIQEIVTTGKLSKLEHFETDEKVRTISLFGEVWGIGPATALKLYEKGHRTLDDLKNEDSLTNAQKLGLNYFDDIKTRIPRHEAQEMESLLQKVADDIVPGVVVVCGGSYRRGKASCGDLDIVITHPDGKSHKGFLQKYVKRLKEMKFVREDLVFSTHSEEGTYSGVDTYFGLCTYPGRELRHRIDLKVYPRDIYAFGLIAWTGNDVLNRRLRLLAESKGFRLDDTGLFPATHGSGGKRGARGTTSLKLETEKEYHQCPNHLFQSANSLNGVAHLPMKLSPVNFSCFRLCPWLYPLSLCYGLGSKKKAPTQQLMNTGPAKT >EOY00355 pep chromosome:Theobroma_cacao_20110822:2:31582790:31597633:1 gene:TCM_010205 transcript:EOY00355 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda (POLL) isoform 4 MAPKRTRNKAPSSDPHGIFAGLVVFLIENGVQSRRLQIWKQKLVQMGAKIETHLSKKVSHVFAMSSDALFHDVDKEQLARFGGWLEDSLAAGEKVSEDFYVLKVDLEGVGTPDKNLKLEPANRNSSSHEEQSHCKKIKSSPEHTKHTNEERKGELEINTLSGASNTEPHSPSSLSSCPEIPGTPDKDVGTLSSSLPHSMPDLNKDITEIFGKLINIYRALGDDRRSFSYYKAIAAVEKLPFIIESSNQVKNLPGIGKSMQDHIQEIVTTGKLSKLEHFETDEKVRTISLFGEVWGIGPATALKLYEKGHRTLDDLKNEDSLTNAQKLGLNYFDDIKTRIPRHEAQEMESLLQKVADDIVPGVVVVCGGSYRRGKASCGDLDIVITHPDGKSHKGFLQKYVKRLKEMKFVREDLVFSTHSEEGTYSGVDTYFGLCTYPGRELRHRIDLKVYPRDIYAFGLIAWTGNDVLNRRLRLLAESKGFRLDDTGLFPATHGSGGKRGARGTTSLKLETEKEVFDFLGFPWLEPYERNL >EOY00354 pep chromosome:Theobroma_cacao_20110822:2:31591597:31597166:1 gene:TCM_010205 transcript:EOY00354 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda (POLL) isoform 4 MYQWLEDSLAAGEKVSEDFYVLKVDLEGVGTPDKNLKLEPANRNSSSHEEQSHCKKIKSSPEHTKHTNEERKGELEINTLSGASNTEPHSPSSLSSCPEIPGTPDKDVGTLSSSLPHSMPDLNKDITEIFGKLINIYRALGDDRRSFSYYKAIAAVEKLPFIIESSNQVKNLPGIGKSMQDHIQEIVTTGKLSKLEHFETDEKVRTISLFGEVWGIGPATALKLYEKGHRTLDDLKNEDSLTNAQKLGLNYFDDIKTRIPRHEAQEMESLLQKVADDIVPGVVVVCGGSYRRGKASCGDLDIVITHPDGKSHKGFLQKYVKRLKEMKFVREDLVFSTHSEEGTYSGVDTYFGLCTYPGRELRHRIDLKVYPRDIYAFGLIAWTGNDVLNRSAG >EOY00356 pep chromosome:Theobroma_cacao_20110822:2:31582790:31597633:1 gene:TCM_010205 transcript:EOY00356 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda (POLL) isoform 4 MAPKRTRNKAPSSDPHGIFAGLVVFLIENGVQSRRLQIWKQKLVQMGAKIETHLSKKVSHVFAMSSDALFHDVDKEQLARFGGVGTLSSSLPHSMPDLNKDITEIFGKLINIYRALGDDRRSFSYYKAIAAVEKLPFIIESSNQVKNLPGIGKSMQDHIQEIVTTGKLSKLEHFETDEKVRTISLFGEVWGIGPATALKLYEKGHRTLDDLKNEDSLTNAQKLGLNYFDDIKTRIPRHEAQEMESLLQKVADDIVPGVVVVCGGSYRRGKASCGDLDIVITHPDGKSHKGFLQKYVKRLKEMKFVREDLVFSTHSEEGTYSGVDTYFGLCTYPGRELRHRIDLKVYPRDIYAFGLIAWTGNDVLNRRLRLLAESKGFRLDDTGLFPATHGSGGKRGARGTTSLKLETEKEVFDFLGFPWLEPYERNL >EOY00352 pep chromosome:Theobroma_cacao_20110822:2:31582790:31598450:1 gene:TCM_010205 transcript:EOY00352 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda (POLL) isoform 4 MAPKRTRNKAPSSDPHGIFAGLVVFLIENGVQSRRLQIWKQKLVQMGAKIETHLSKKVSHVFAMSSDALFHDVDKEQLARFGGHVLMYQWLEDSLAAGEKVSEDFYVLKVDLEGVGTPDKNLKLEPANRNSSSHEEQSHCKKIKSSPEHTKHTNEERKGELEINTLSGASNTEPHSPSSLSSCPEIPGTPDKDVGTLSSSLPHSMPDLNKDITEIFGKLINIYRALGDDRRSFSYYKAIAAVEKLPFIIESSNQVKNLPGIGKSMQDHIQEIVTTGKLSKLEHFETDEKVRTISLFGEVWGIGPATALKLYEKGHRTLDDLKNEDSLTNAQKLGLNYFDDIKTRIPRHEAQEMESLLQKVADDIVPGVVVVCGGSYRRGKASCGDLDIVITHPDGKSHKGFLQKYVKRLKEMKFVREDLVFSTHSEEGTYSGVDTYFGLCTYPGRELRHRIDLKVYPRDIYAFGLIAWTGNDVLNRRLRLLAESKGFRLDDTGLFPATHGSGGKRGARGTTSLKLETEKEYHQCPNHLFQSANSLNGVAHLPMKLSPVNFSCFRLCPWLYPLSLCYGLGSKKKAPTQQLMNTGPAKT >EOY00137 pep chromosome:Theobroma_cacao_20110822:2:26448064:26463008:-1 gene:TCM_009686 transcript:EOY00137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSRDPRVEKGKEAISEKDEVPLSVRDQLHIFLSVRDQLHIFQQEMQVLINNLMQRTFDLEAASLSNEKILAKIDGLPNVKLMITYGGHWVDDTYKGGETRVRGVRSDLSFSGLVKLVEEVVGVNSHNNEIELHASLSHAVRVSHTVIRDDEDVEPGAHMRCLQMMSAQFRSECVLNKILDTLQQTQLSLENAVGPLSLANDTVMVVSDDDASDQIEDDVEEDDMADQNDELRYDCENDYVGGHEDRSKDDRVEQTDTLDCNQTDGGTGHTTTVVLEEVDLDDHGKTVELEDVEGADPIYENAIALENDIHSPDDSDQEKADLKLALSMLALKEHFEFRVKKSCHARFEVGCKDKACKFALRAMKLPEGEYWQLRTVQGNCVTPLRPKEIMEEMNCKWGLQCLYGKAWQVKEYVESLVFGPPEESFQLLPSYFHMLERENLDTVTCVATDGEQRFKYCFWVFESCIRGFSAVMRPVVAIDATHLKGKFKGILFVAVCKDANE >EOX97177 pep chromosome:Theobroma_cacao_20110822:2:1495183:1495793:-1 gene:TCM_006269 transcript:EOX97177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAILEKTVDGDDINQLTITKKFDAEPFPSAAGGGKMTVKDEQGSLWTFKYKVKSRNKRVLSGHWVHFVRNNCVRVGDRVAISNNDGWSSEAEYKIEVIRGF >EOY00501 pep chromosome:Theobroma_cacao_20110822:2:33075480:33078618:1 gene:TCM_010385 transcript:EOY00501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF220) [Source:Projected from Arabidopsis thaliana (AT1G70480) TAIR;Acc:AT1G70480] MISSSGKMEGNKISIDISRTDRNGRPKVLDPAFSAIFVQLPHKLRNCLKSQLKRLAEDNDRIKSVNSFLGKEKSSSTGLGVDLEKQLQAWRDNPSWVDQPSEIKVSVPKGSLCNLKAKVDVGLPPDAVYNIVTDPDNKRVFKNIKEVISRKVLVDEGQRQVVEVEQAALWRFLWWSGTISVHVLVDQNRDDYSMKFKQVKTGFMKKFEGHWRVEPVFVDEETCFPFKPKTWAEYCSCTAGKGRVGSKVSLDQLIQPAIIPPPPISWYLRGITAKTTEMLVHDLLAEADRLKGGFDFGNSDKGLRLSKKINEHQQVEQICDIKERWNSRRRNAKQHRKKLLAAESSTF >EOY00500 pep chromosome:Theobroma_cacao_20110822:2:33075126:33078735:1 gene:TCM_010385 transcript:EOY00500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF220) [Source:Projected from Arabidopsis thaliana (AT1G70480) TAIR;Acc:AT1G70480] MLKTYKSKTAFQTPSSSPPLIRVLHNYKAGSFSPHVCIFLSPDQRCKMEGNKISIDISRTDRNGRPKVLDPAFSAIFVQLPHKLRNCLKSQLKRLAEDNDRIKSVNSFLGKEKSSSTGLGVDLEKQLQAWRDNPSWVDQPSEIKVSVPKGSLCNLKAKVDVGLPPDAVYNIVTDPDNKRVFKNIKEVISRKVLVDEGQRQVVEVEQAALWRFLWWSGTISVHVLVDQNRDDYSMKFKQVKTGFMKKFEGHWRVEPVFVDEETCFPFKPKTWAEYCSCTAGKGRVGSKVSLDQLIQPAIIPPPPISWYLRGITAKTTEMLVHDLLAEADRLKGGFDFGNSDKGLRLSKKINEHQQVEQICDIKERWNSRRRNAKQHRKKLLAAESSTF >EOY00502 pep chromosome:Theobroma_cacao_20110822:2:33075499:33078851:1 gene:TCM_010385 transcript:EOY00502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF220) [Source:Projected from Arabidopsis thaliana (AT1G70480) TAIR;Acc:AT1G70480] MEGNKISIDISRTDRNGRPKVLDPAFSAIFVQLPHKLRNCLKLKRLAEDNDRIKSVNSFLGKEKSSSTGLGVDLEKQLQAWRDNPSWVDQPSEIKVSVPKGSLCNLKAKVDVGLPPDAVYNIVTDPDNKRVFKNIKEVISRKVLVDEGQRQVVEVEQAALWRFLWWSGTISVHVLVDQNRDDYSMKFKQVKTGFMKKFEGHWRVEPVFVDEETCFPFKPKTWAEYCSCTAGKGRVGSKVSLDQLIQPAIIPPPPISWYLRGITAKTTEMLVHDLLAEADRLKGGFDFGNSDKGLRLSKKINEHQQVEQICDIKERWNSRRRNAKQHRKKLLAAESSTF >EOX99213 pep chromosome:Theobroma_cacao_20110822:2:9110047:9114377:-1 gene:TCM_007812 transcript:EOX99213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MEKQFKIFVYKEGEPPVFHDGPCKSIYSMEGNFIHKMDVDSNFQTKDPEKAHVFYLPFGVAKMVRFVYLCDSRDFSPIRRTVVDYVNLIA >EOX96804 pep chromosome:Theobroma_cacao_20110822:2:79169:83459:-1 gene:TCM_005965 transcript:EOX96804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein isoform 6 MGESHYEAEIQAMKGNESSTMFIDFSHVMLYNDILQKAIADEYLRFEPYLKNACKRFVMENPAFVAEADDSPNKDINVAFFNIPFTKRLRELTTAEIGKLVSVTGVVTRTSEVRPELLQGTFKCLECGSLIRNVEQQFKYTEPATCVSATCLNRTKWALLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEQARAGDTVIFTGTVVVIPDILALASPGERAECRRESSQRKNSTAGHEGVRGLRALGVRDLSYRLAFIANSVQVSDGRKDVDIRNRKKDGDEDDQQFTSEELKEIQRMRDTPDFFNKLVDSIAPTVFGHQDIKRAILLMLLGGVHKLTYEGINLRGDINVCIVGDPSCAKSQFLKYTSGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDVRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDQTDYHIAHHIVRVHQKREEALAPAFTTAQLKRYITYAKTLKPKLTPEARKLLVDSYVALRRGDTNPGSRVAYRMTVRQLEALIRLSEAIARSYLETQVQPRHVRVAVRLLKTSIIRQDE >EOX96803 pep chromosome:Theobroma_cacao_20110822:2:78481:83649:-1 gene:TCM_005965 transcript:EOX96803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein isoform 6 MGESHYEAEIQAMKGNESSTMFIDFSHVMLYNDILQKAIADEYLRFEPYLKNACKRFVMENPAFVAEADDSPNKDINVAFFNIPFTKRLRELTTAEIGKLVSVTGVVTRTSEVRPELLQGTFKCLECGSLIRNVEQQFKYTEPATCVSATCLNRTKWALLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEQARAGDTVIFTGTVVVIPDILALASPGERAECRRESSQRKNSTAGHEGVRGLRALGVRDLSYRLAFIANSVQVSDGRKDVDIRNRKKDGDEDDQQFTSEELKEIQRMRDTPDFFNKLVDSIAPTVFGHQDIKRAILLMLLGGVHKLTYEGINLRGDINVCIVGDPSCAKSQFLKYTSGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDVRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDQTDYHIAHHIVRVHQKREEALAPAFTTAQLKRYITYAKTLKPKLTPEARKLLVDSYVALRRGDTNPGSRVAYRMTVRQLEALIRLSEAIARSYLETQVQPRHVRVAVRLLKTSIISVESSEIDLSEFQEGNIDGADDSNDNSGQGDAQPRNVAAEPASGTAGFANHQKEEYRVKEDYFQRVTQALVMRLRQHEETVKQQVGWQE >EOX96807 pep chromosome:Theobroma_cacao_20110822:2:78111:83355:-1 gene:TCM_005965 transcript:EOX96807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein isoform 6 MENPAFVAEADDSPNKDINVAFFNIPFTKRLRELTTAEIGKLVSVTGVVTRTSEVRPELLQGTFKCLECGSLIRNVEQQFKYTEPATCVSATCLNRTKWALLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEQARAGDTVIFTGTVVVIPDILALASPGERAECRRESSQRKNSTAGHEGVRGLRALGVRDLSYRLAFIANSVQVSDGRKDVDIRNRKKDGDEDDQQFTSEELKEIQRMRDTPDFFNKLVDSIAPTVFGHQDIKRAILLMLLGGVHKLTYEGINLRGDINVCIVGDPSCAKSQFLKYTSGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDVRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDQTDYHIAHHIVRVHQKREEALAPAFTTAQLKRYITYAKTLKPKLTPEARKLLVDSYVALRRGDTNPGSRVAYRMTVRQLEALIRLSEAIARSYLETQVRRPIYPFLSLLCTLELET >EOX96800 pep chromosome:Theobroma_cacao_20110822:2:77673:83752:-1 gene:TCM_005965 transcript:EOX96800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein isoform 6 MEAYGGCFVDDKAIRVENIFLDFLKSFRLNPQMGESHYEAEIQAMKGNESSTMFIDFSHVMLYNDILQKAIADEYLRFEPYLKNACKRFVMENPAFVAEADDSPNKDINVAFFNIPFTKRLRELTTAEIGKLVSVTGVVTRTSEVRPELLQGTFKCLECGSLIRNVEQQFKYTEPATCVSATCLNRTKWALLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEQARAGDTVIFTGTVVVIPDILALASPGERAECRRESSQRKNSTAGHEGVRGLRALGVRDLSYRLAFIANSVQVSDGRKDVDIRNRKKDGDEDDQQFTSEELKEIQRMRDTPDFFNKLVDSIAPTVFGHQDIKRAILLMLLGGVHKLTYEGINLRGDINVCIVGDPSCAKSQFLKYTSGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDVRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDQTDYHIAHHIVRVHQKREEALAPAFTTAQLKRYITYAKTLKPKLTPEARKLLVDSYVALRRGDTNPGSRVAYRMTVRQLEALIRLSEAIARSYLETQQVQPRHVRVAVRLLKTSIISVESSEIDLSEFQEGNIDGADDSNDNSGQGDAQPRNVAAEPASGTAGFANHQKEEYRVKEDYFQRVTQALVMRLRQHEETVKQQDSGLAGMSQGDLIQWYVNQQNEKNNYSSTAEVEVEIKRIRSLIERLIRREGYLIVIDDGRQEEGEGAARSARDSRILSVAPNYAMD >EOX96802 pep chromosome:Theobroma_cacao_20110822:2:78111:83355:-1 gene:TCM_005965 transcript:EOX96802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein isoform 6 MENPAFVAEADDSPNKDINVAFFNIPFTKRLRELTTAEIGKLVSVTGVVTRTSEVRPELLQGTFKCLECGSLIRNVEQQFKYTEPATCVSATCLNRTKWALLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEQARAGDTVIFTGTVVVIPDILALASPGERAECRRESSQRKNSTAGHEGVRGLRALGVRDLSYRLAFIANSVQVSDGRKDVDIRNRKKDGDEDDQQFTSEELKEIQRMRDTPDFFNKLVDSIAPTVFGHQDIKRAILLMLLGGVHKLTYEGINLRGDINVCIVGDPSCAKSQFLKYTSGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDVRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDQTDYHIAHHIVRVHQKREEALAPAFTTAQLKRYITYAKTLKPKLTPEARKLLVDSYVALRRGDTNPGSRVAYRMTVRQLEALIRLSEAIARSYLETQVQPRHVRVAVRLLKTSIISVESSEIDLSEFQEGNIDGADDSNDNSGQGDAQPRNVAAEPASGTAGFANHQKEEYRVKEDYFQRVTQALVMRLRQHEETVKQQVGWQE >EOX96806 pep chromosome:Theobroma_cacao_20110822:2:78481:83649:-1 gene:TCM_005965 transcript:EOX96806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein isoform 6 MGESHYEAEIQAMKGNESSTMFIDFSHVMLYNDILQKAIADEYLRFEPYLKNACKRFVMENPAFVAEADDSPNKDINVAFFNIPFTKRLRELTTAEIGKLVSVTGVVTRTSEVRPELLQGTFKCLECGSLIRNVEQQFKYTEPATCVSATCLNRTKWALLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEQARAGDTVIFTGTVVVIPDILALASPGERAECRRESSQRKNSTAGHEGVRGLRALGVRDLSYRLAFIANSVQVSDGRKDVDIRNRKKDGDEDDQQFTSEELKEIQRMRDTPDFFNKLVDSIAPTVFGHQDIKRAILLMLLGGVHKLTYEGINLRGDINVCIVGDPSCAKSQFLKYTSGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDVRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDQTDYHIAHHIVRVHQKREEALAPAFTTAQLKRYITYAKTLKPKLTPEARKLLVDSYVALRRGDTNPGSRVAYRMTVRQLEALIRLSEAIARSYLETQVRRPIYPFLSLLCTLELET >EOX96799 pep chromosome:Theobroma_cacao_20110822:2:77257:84191:-1 gene:TCM_005965 transcript:EOX96799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein isoform 6 MEAYGGCFVDDKAIRVENIFLDFLKSFRLNPQMGESHYEAEIQAMKGNESSTMFIDFSHVMLYNDILQKAIADEYLRFEPYLKNACKRFVMENPAFVAEADDSPNKDINVAFFNIPFTKRLRELTTAEIGKLVSVTGVVTRTSEVRPELLQGTFKCLECGSLIRNVEQQFKYTEPATCVSATCLNRTKWALLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEQARAGDTVIFTGTVVVIPDILALASPGERAECRRESSQRKNSTAGHEGVRGLRALGVRDLSYRLAFIANSVQVSDGRKDVDIRNRKKDGDEDDQQFTSEELKEIQRMRDTPDFFNKLVDSIAPTVFGHQDIKRAILLMLLGGVHKLTYEGINLRGDINVCIVGDPSCAKSQFLKYTSGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDVRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDQTDYHIAHHIVRVHQKREEALAPAFTTAQLKRYITYAKTLKPKLTPEARKLLVDSYVALRRGDTNPGSRVAYRMTVRQLEALIRLSEAIARSYLETQVQPRHVRVAVRLLKTSIISVESSEIDLSEFQEGNIDGADDSNDNSGQGDAQPRNVAAEPASGTAGFANHQKEEYRVKEDYFQRVTQALVMRLRQHEETVKQQDSGLAGMSQGDLIQWYVNQQNEKNNYSSTAEVEVEIKRIRSLIERLIRREGYLIVIDDGRQEEGEGAARSARDSRILSVAPNYAMD >EOX96805 pep chromosome:Theobroma_cacao_20110822:2:79169:83183:-1 gene:TCM_005965 transcript:EOX96805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein isoform 6 MENPAFVAEADDSPNKDINVAFFNIPFTKRLRELTTAEIGKLVSVTGVVTRTSEVRPELLQGTFKCLECGSLIRNVEQQFKYTEPATCVSATCLNRTKWALLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEQARAGDTVIFTGTVVVIPDILALASPGERAECRRESSQRKNSTAGHEGVRGLRALGVRDLSYRLAFIANSVQVSDGRKDVDIRNRKKDGDEDDQQFTSEELKEIQRMRDTPDFFNKLVDSIAPTVFGHQDIKRAILLMLLGGVHKLTYEGINLRGDINVCIVGDPSCAKSQFLKYTSGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDVRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDQTDYHIAHHIVRVHQKREEALAPAFTTAQLKRYITYAKTLKPKLTPEARKLLVDSYVALRRGDTNPGSRVAYRMTVRQLEALIRLSEAIARSYLETQVQPRHVRVAVRLLKTSIIRQDE >EOX96801 pep chromosome:Theobroma_cacao_20110822:2:78108:83355:-1 gene:TCM_005965 transcript:EOX96801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein isoform 6 MENPAFVAEADDSPNKDINVAFFNIPFTKRLRELTTAEIGKLVSVTGVVTRTSEVRPELLQGTFKCLECGSLIRNVEQQFKYTEPATCVSATCLNRTKWALLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEQARAGDTVIFTGTVVVIPDILALASPGERAECRRESSQRKNSTAGHEGVRGLRALGVRDLSYRLAFIANSVQVSDGRKDVDIRNRKKDGDEDDQQFTSEELKEIQRMRDTPDFFNKLVDSIAPTVFGHQDIKRAILLMLLGGVHKLTYEGINLRGDINVCIVGDPSCAKSQFLKYTSGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDVRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDQTDYHIAHHIVRVHQKREEALAPAFTTAQLKRYITYAKTLKPKLTPEARKLLVDSYVALRRGDTNPGSRVAYRMTVRQLEALIRLSEAIARSYLETQVQPRHVRVAVRLLKTSIISVESSEIDLSEFQEGNIDGADDSNDNSGQGDAQPRNVAAEPASGTAGFANHQKEEYRVKEDYFQRVTQALVMRLRQHEETVKQQDSGLAGMSQGDLIQWYVNQQNEKNNYSSTAEVEVEIKRIRSLIEVKLEIDSEGRLLDCDR >EOY01270 pep chromosome:Theobroma_cacao_20110822:2:38752528:38755141:-1 gene:TCM_011215 transcript:EOY01270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase, putative MKNFSALFSLLIFLFINATEARKNPQICSSSCGDIHDISYPFRLKEDPAGCGDPDFQLSCKNNKTILNFHGGLYYVKRISYDERTIRVADVNLANGSCGLPNRSLSIQEVQMDARYPGLVNYNYSYALNFVRCSNNISDLANSRVPCLSGNTSLVYVNITGYPLFSNDVPKSCEVISTVPAFYEITVKNLSYETALKMQESGFDMRWSVECRDCRAKGRGCVYETRDTTYLFKCEKEYDYEAELRLIYTYFVAMFLFAIIGTVRFILLPVVILAFILHKYFTMRKKIDVRENSSQDQHPSTPERYTYPDILAMSNNFKDKIGQGCFGSVYKGQLPGGCSIAVKIFGSSKLGEENFINEVSTISKIQHPNVVPFLGFCSEGSKYALVTQYMPNGSLDKYIFSNSHSFSWEKLHEIALGTAQGIEFLHGRSGACIVHFDIKPQNILLDQNFIPKIADFCLAKLYPKKHDFMSMCATSETIGYMAPELISRGLGAVSCKSDVYSFGMLLLELASKRRKVDVDAINSSKVHFPSWVYELNERGDLELENATKSDATIARKLLIIGLWCTQTKASQRPSMTRVVEMLRGKIDDLEMPPKPVFFSAQDKCMIEAQSDSPKEMLLPESMERSS >EOY00432 pep chromosome:Theobroma_cacao_20110822:2:32301072:32304609:1 gene:TCM_010294 transcript:EOY00432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein MGVLREKMGEVVPFTLMVIMEGCTIGLTILAKTAITGGMSPFVFVVYTNAIGSLLLLPLSFIFHRERTEQSLLTFPLLVRIFFLGLTGIAVSQNLAFVGLSYSSPIVVCAMGLLIPSLSFLLSIIPRTTRLDWRNSSSQAKLMGTLISITGAAVVELYKGPFIRKSSYFYAHQLKVIPKLFVFYSAPDNWILGGILLAAASLSVAMWNVIQLGTVKQYPQVMKVVSFYSLAGTIQCLVFSLIMERDLNAWKLKLNLELLLIVVTGVFGSIIRSNVHLAWSRMKGPFYVPMFKPFGIVFATFFGVSFFTNSLHYGSVIGTLITGMGYYAVMWGQIREEELRKERDVERTDDTSDQIKTPLLQEQEEAQV >EOY01888 pep chromosome:Theobroma_cacao_20110822:2:41257797:41260470:1 gene:TCM_011681 transcript:EOY01888 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MLQMVLLHQSPGSHLQPLASSLKTTQKSSSVAAAPLPHKQVLPAPRFCSCGRRHFVEAATAAFLPVCFSNASSLHSDDYLGLLNRIHPPRPDWYEEFYASVMDSYMKSYEAEVAGYKSQLFGELRGKAKTVLEIGVGTGPNLEYYADNSEVQVFGVDPNRKMEKYARAAAAAVGLPPKNFHFVEAVAEAVPLDDASVDAVVGTLVLCSVKDVNMALKEVKRVLKPGGLFLFVEHVAAKDGTILKLLQSALDPLQQIVADGCHLTRETGKYISAAGFSSLELSMTSLSNAPCSLISPHVYGVACN >EOY01889 pep chromosome:Theobroma_cacao_20110822:2:41257916:41259595:1 gene:TCM_011681 transcript:EOY01889 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MLQMVLLHQSPGSHLQPLASSLKTTQKSSSVAAAPLPHKQVLPAPRFCSCGRRHFVEAATAAFLPGLLNRIHPPRPDWYEEFYASVMDSYMKSYEAEVAGYKSQLFGELRGKAKTVLEIGVGTGPNLEYYADNSEVQVFGVDPNRKMEKYARAAAAAVGLPPKNFHFVEAVAEAVPLDDASVDAVVGTLVLCSVKDVNMALKGTSAL >EOX97939 pep chromosome:Theobroma_cacao_20110822:2:4033522:4037491:-1 gene:TCM_006836 transcript:EOX97939 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 3 MGAACCVAARDKTIVNGSGSEALHRNIRYSPTWSFRWDNRGRVAGEDTSISWFSDVISRNDGSEIKYESACASEDGSPSESFQSRIWKKSPISEGTSGLVRTPASDQSISRNVSINVNIEQVKESAESPAASYPSPSKLSLSLPSASSLATSPLSSQSHAHPTSSMTTRWPLRSPRHHLLRQVSDNRIMGLKSPNRYSVGEERLVMPSWSNESTGGSQGGSSDGWSMHAFSELMATSRRERWSFDSDSWGFHREKISRSSGRISSSPSVDLQTCGVCSKLLSEKSLWSSQKIIINNELSVVAVLTCGHVYHAECLENMTPEIDKYDPACPICTLGEKKAHKLSEKAFKAELDFKAKINKRSRSRVVDSDIDADPVIFNRLRSSVHEGKASSSSMKSSLGKPFLRKHFSFGSKGSRSPSENHPTRKKGFFWAKSSKI >EOX97940 pep chromosome:Theobroma_cacao_20110822:2:4033288:4037317:-1 gene:TCM_006836 transcript:EOX97940 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 3 MGAACCVAARDKTIVNGSGSEALHRNIRYSPTWSFRWDNRGRVAGEDTSISWFSDVISRNDGSEIKYESACASEDGSPSESFQSRIWKKSPISEGTSGLVRTPASADQSISRNVSINVNIEQVKESAESPAASYPSPSKLSLSLPSASSLATSPLSSQSHAHPTSSMTTRWPLRSPRHHLLRQVSDNRIMGLKSPNRYSVGEERLVMPSWSNESTGGSQGGSSDGWSMHAFSELMATSRRERWSFDSDSWGFHREKISRSSGRISSSPSVDLQTCGVCSKLLSEKSLWSSQKIIINNELSVVAVLTCGHVYHAECLENMTPEIDKYDPACPICTLGEKKAHKLSEKAFKAELDFKAKINKRSRSRVVDSDIDADPVIFNRLRSSVHEGKASSSSMKSSLGKPFLRKHFSFGSKGSRSPSENHPTRKKGFFWAKSSKI >EOX97938 pep chromosome:Theobroma_cacao_20110822:2:4033116:4036405:-1 gene:TCM_006836 transcript:EOX97938 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 3 MGAACCVAARDKTIVNGSGSEALHRNIRYSPTWSFRWDNRGRVAGEDTSISWFSDVISRNDGSEIKYESACASEDGSPSESFQSRIWKKSPISEGTSGLVRTPASDQSISRNVSINVNIEQVKESAESPAASYPSPSKLSLSLPSASSLATSPLSSQSHAHPTSSMTTRWPLRSPRHHLLRQVSDNRIMGLKSPNRYSVGEERLVMPSWSNESTGGSQGGSSDGWSMHAFSELMATSRRERWSFDSDSWGFHREKISRSSGRISSSPSVDLQTCGVCSKLLSEKSLWSSQKIIINNELSVVAVLTCGHVYHAECLENMTPEIDKYDPACPICTLGEKKAHKLSEKAFKAELDFKAKINKRSRSRVVDSDIDADPVIFNRLRSSVHEGKASSSSMKSSLGKPFLRKHFSFGSKGSRSPSENHPTRKKGFFWAKSSKI >EOX97942 pep chromosome:Theobroma_cacao_20110822:2:4033116:4037497:-1 gene:TCM_006836 transcript:EOX97942 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 3 MGAACCVAARDKTIVNGSGSEALHRNIRYSPTWSFRWDNRGRVAGEDTSISWFSDVISRNDGSEIKYESACASEDGSPSESFQSRIWKKSPISEGTSGLVRTPASDQSISRNVSINVNIEQVKESAESPAASYPSPSKLSLSLPSASSLATSPLSSQSHAHPTSSMTTRWPLRSPRHHLLRQVSDNRIMGLKSPNRYSVGEERLVMPSWSNESTGGSQGGSSDGWSMHAFSELMATSRRERWSFDSDSWGFHREKISRSSGRISSSPSVDLQTCGVCSKLLSEKSLWSSQKIIINNELSVVAVLTCGHVYHAECLENMTPEIDKYDPACPICTLGEKKAHKLSEKAFKAELDFKAKINKRSRSRVVDSDIDADPVIFNRLRSSVHEGKASSSSMKSSLGKPFLRKHFSFGSKGSRSPSENHPTRKKGFFWAKSSKI >EOX97941 pep chromosome:Theobroma_cacao_20110822:2:4033380:4037356:-1 gene:TCM_006836 transcript:EOX97941 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 3 MGAACCVAARDKTIVNGSGSEALHRNIRYSPTWSFRWDNRGRVAGEDTSISWFSDVISRNDGSEIKYESACASEDGSPSESFQSRIWKKSPISEGTSGLVRTPASDQSISRNVSINVNIEQVKESAESPAASYPSPSKLSLSLPSASSLATSPLSSQSHAHPTSSMTTRWPLRSPRHHLLRQVSDNRIMGLKSPNRYSVGEERLVMPSWSNESTGGSQGGSSDGWSMHAFSELMATSRRERWSFDSDSWGFHREKISRSSGRISSSPSVDLQTCGVCSKLLSEKSLWSSQKIIINNELSVVAVLTCGHVYHAECLENMTPEIDKYDPACPICTLGEKKAHKLSEKAFKAELDFKAKINKRSRSRVVDSDIDADPVIFNRLRSSVHEGKASSSSMKSSLGKPFLRKHFSFGSKGSRSPSENHPTRKKGFFWAKSSKI >EOX97884 pep chromosome:Theobroma_cacao_20110822:2:3803826:3818329:1 gene:TCM_006799 transcript:EOX97884 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 1 MQAKALLTHPSPTPPLQNPNLKLRPSPPLFTKKSTLSTPRFHQSFLRSFSRNFVPQNQSSKLKRYCISLGQELNFESNEALVEEEEEEKEEDEEEVRVEIEAEGLANQSIWNQMKEIVMFTGPASGLWICGPLMSLIDTAVIGQGSSIELAALGPATVVCDYMGYVFMFLSVATSNMVATSLARRDKNEVQHQISILLFIGLICGFLMLFFTRLFGSQAITAFSGTKNAHLVPAGNTYVQIRGLAWPAVLVGWVAQSASLGMKDSWGPAYMMIEALNKKGYNAFSISIPSLNELLAVLTLAAPVFVTMMSKVAFYSLLIYFATSMGTHTVAAHQVMLQTLSMCTVWGEPISQSAQSFMPELICGVNRNLSKARVLLKSLVTIGATLGLVLGIIGTAVPCFFPNIFTPDPKVIQEMHKVLLPYF >EOX97882 pep chromosome:Theobroma_cacao_20110822:2:3803826:3818328:1 gene:TCM_006799 transcript:EOX97882 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 1 MQAKALLTHPSPTPPLQNPNLKLRPSPPLFTKKSTLSTPRFHQSFLRSFSRNFVPQNQSSKLKRYCISLGQELNFESNEALVEEEEEEKEEDEEEVRVEIEAEGLANQSIWNQMKEIVMFTGPASGLWICGPLMSLIDTAVIGQGSSIELAALGPATVVCDYMGYVFMFLSVATSNMVATSLARRDKNEVQHQISILLFIGLICGFLMLFFTRLFGSQAITAFSGTKNAHLVPAGNTYVQIRGLAWPAVLVGWVAQSASLGMKDSWGPLKALAVASAINGIGDVVLCIFLGYGIAGAAWATMVSQVVAAYMMIEALNKKGYNAFSISIPSLNELLAVLTLAAPVFVTMMSKVAFYSLLIYFATSMGTHTVAAHQVMLQTLSMCTVWGEPISQSAQSFMPELICGVNRNLSKARVLLKSLVTIGATLGLVLGIIGTAVPCFFPNIFTPDPKVIQEVSSCFSNL >EOX97883 pep chromosome:Theobroma_cacao_20110822:2:3803826:3818328:1 gene:TCM_006799 transcript:EOX97883 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 1 MQAKALLTHPSPTPPLQNPNLKLRPSPPLFTKKSTLSTPRFHQSFLRSFSRNFVPQNQSSKLKRYCISLGQELNFESNEALVEEEEEEKEEDEEEVRVEIEAEGLANQSIWNQMKEIVMFTGPASGLWICGPLMSLIDTAVIGQGSSIELAALGPATVVCDYMGYVFMFLSVATSNMVATSLARRDKNEVQHQISILLFIGLICGFLMLFFTRLFGSQAITAFSGTKNAHLVPAGNTYVQIRGLAWPAVLVGWVAQSASLGMKDSWGPAYMMIEALNKKGYNAFSISIPSLNELLAVLTLAAPVFVTMMSKVAFYSLLIYFATSMGTHTVAAHQVMLQTLSMCTVWGEPISQSAQSFMPELICGVNRNLSKARVLLKSLVTIGATLGLVLGIIGTAVPCFFPNIFTPDPKVIQEVSSCFSNL >EOX97881 pep chromosome:Theobroma_cacao_20110822:2:3803760:3819136:1 gene:TCM_006799 transcript:EOX97881 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 1 MQAKALLTHPSPTPPLQNPNLKLRPSPPLFTKKSTLSTPRFHQSFLRSFSRNFVPQNQSSKLKRYCISLGQELNFESNEALVEEEEEEKEEDEEEVRVEIEAEGLANQSIWNQMKEIVMFTGPASGLWICGPLMSLIDTAVIGQGSSIELAALGPATVVCDYMGYVFMFLSVATSNMVATSLARRDKNEVQHQISILLFIGLICGFLMLFFTRLFGSQAITAFSGTKNAHLVPAGNTYVQIRGLAWPAVLVGWVAQSASLGMKDSWGPLKALAVASAINGIGDVVLCIFLGYGIAGAAWATMVSQVVAAYMMIEALNKKGYNAFSISIPSLNELLAVLTLAAPVFVTMMSKVAFYSLLIYFATSMGTHTVAAHQVMLQTLSMCTVWGEPISQSAQSFMPELICGVNRNLSKARVLLKSLVTIGATLGLVLGIIGTAVPCFFPNIFTPDPKVIQEMHKVLLPYFLALAVTPSTHSLEGTLLAGRELRFISLSMSGCFAFGALILLLVSTRGYGLPGCWFALVGFQWARFSLSLQRLLSPNGILYSEDLRQYEVKKLRAA >EOX97435 pep chromosome:Theobroma_cacao_20110822:2:2261238:2266257:1 gene:TCM_006449 transcript:EOX97435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar iron transporter family protein MPTFEEIRSCQSFNNNIEAAATTNQEHEVEVFDYAKRAQWLRAAVLGANDGLLSTASLMMGVGAVRKDSKTMILTGIAGLVAGACSMAIGEYVSVYSQYDIELSQMKRDKMTKNNVLADHDKESLPNPLQAAAASAVAFAIGAVIPLLGAAFIRDYMVRLTVVLGVVTLALIGFGALGSLLGGAPMLKSSLRVLVGGWLAMGITFGLTKLIGGSGL >EOX99035 pep chromosome:Theobroma_cacao_20110822:2:8217426:8232882:1 gene:TCM_007664 transcript:EOX99035 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein / zinc finger protein-related isoform 2 MSDHVDRLCDSLDSASRSCSFLNGWLCSLISRCAILAGFPFRNLLSASVEEKKSNFSILMAAMNPIDISSSDSDLEIEDDRDTNTSSLRVLPGWAVTHGSNSRGTGYAWQSQKIPSPKQAEFSNLNFSNVNNHSQTKVLIHEPNDDVRASTQLIALDDDPEYFTRNGNIGQPRTVNSRIANGSGTDFEKLTSQQALKRTLPPSLQLSGPSAKSENLVENLSSSQIPDAQGSSHHLAGHSFANSQGYMRDHYSRAHNGEVMMYGNTGSRILPPSFMHGKSVTYTQFAGLDDPVYRAGVSEERVPVNDERMIYQAALEDLNQPKVEATLPDGLLSVPLLRHQKIALHWMLHRETRSGYCLGGILADDQGLGKTISMIALIQMQKFLESKSKSEDLGNHKTVALNLDDDDDNGNGGSDKVKHSGESDDTKSIPEVSTSTGSFSRQRPPAGTLVVCPASVLRQWARELDDKVAEESKLSVLIYHGGSRTKDPAELAKYDVVLTTYSIITNEVPKQAIVDDDETDEKNGEKYGLSSEFSINKKRKQTSNVGKKGKKGRKGIDGSAIDSSAGALARVAWFRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNAIDDLYSYFRFLKHDPYYVYKAFCNGIKIPISRDSVKGYKKLQAVLKTVMLRRTKDVLYLNLSLETLLLFCADLISFCPATLIDGEPIIKLPPKSIDLAKVDFTAEERAFYTQLEAESRSQFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGYKSDSIQNSDSVGQVSVEMATTLPREMLINLLNCLETSFAICLVCSDPPDDPVVTMCGHVFCYQCVSEYLTGDDNMCPAPACKEQLGADIVFSKATLRSCITGGLNGSPMHPQFFEKSVVLQDEYSSSKIKAVVEILQSKCLSKNSSPELQSSVECNETFLSSEQTFSETVHSGISVVKRTTVYSNSVADGPIKTIVFSQWTSMLDLVERSLRNHNINYRRLDGTMTLAARDRAVKDFNTDPEVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRITIKDTVEDRILSLQDEKRKMVASAFGEDQSGGSATRLTVEDLRYLFMA >EOX99038 pep chromosome:Theobroma_cacao_20110822:2:8224926:8232742:1 gene:TCM_007664 transcript:EOX99038 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein / zinc finger protein-related isoform 2 MAAMNPIDISSSDSDLEIEDDRDTNTSSLRVLPGWAVTHGSNSRGYAWQSQKIPSPKQAEFSNLNFSNVNNHSQTKVLIHEPNDDVRASTQLIALDDDPEYFTRNGNIGQPRTVNSRIANGSGTDFEKLTSQQALKRTLPPSLQLSGPSAKSENLVENLSSSQIPDAQGSSHHLAGHSFANSQGYMRDHYSRAHNGEVMMYGNTGSRILPPSFMHGKSVTYTQFAGLDDPVYRAGVSEERVPVNDERMIYQAALEDLNQPKVEATLPDGLLSVPLLRHQKIALHWMLHRETRSGYCLGGILADDQGLGKTISMIALIQMQKFLESKSKSEDLGNHKTVALNLDDDDDNGNGGSDKVKHSGESDDTKSIPEVSTSTGSFSRQRPPAGTLVVCPASVLRQWARELDDKVAEESKLSVLIYHGGSRTKDPAELAKYDVVLTTYSIITNEVPKQAIVDDDETDEKNGEKYGLSSEFSINKKRKQTSNVGKKGKKGRKGIDGSAIDSSAGALARVAWFRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNAIDDLYSYFRFLKHDPYYVYKAFCNGIKIPISRDSVKGYKKLQAVLKTVMLRRTKATLIDGEPIIKLPPKSIDLAKVDFTAEERAFYTQLEAESRSQFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGYKSDSIQNSDSVGQVSVEMATTLPREMLINLLNCLETSFAICLVCSCVSEYLTGDDNMCPAPACKEQLGADIVFSKATLRSCITGGLNGSPMHPQFFEKSVVLQDEYSSSKIKAVVEILQSKCLSKNSSPELQSSVECNETFLSSEQTFSETVHSGISVVKRTTVYSNSVADGPIKTIVFSQWTSMLDLVERSLRNHNINYRRLDGTMTLAARDRAVKDFNTDPEVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRITIKDTVEDRILSLQG >EOX99037 pep chromosome:Theobroma_cacao_20110822:2:8224926:8232742:1 gene:TCM_007664 transcript:EOX99037 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein / zinc finger protein-related isoform 2 MAAMNPIDISSSDSDLEIEDDRDTNTSSLRVLPGWAVTHGSNSRGYAWQSQKIPSPKQAEFSNLNFSNVNNHSQTKVLIHEPNDDVRASTQLIALDDDPEYFTRNGNIGQPRTVNSRIANGSGTDFEKLTSQQALKRTLPPSLQLSGPSAKSENLVENLSSSQIPDAQGSSHHLAGHSFANSQGYMRDHYSRAHNGEVMMYGNTGSRILPPSFMHGKSVTYTQFAGLDDPVYRAGVSEERVPVNDERMIYQAALEDLNQPKVEATLPDGLLSVPLLRHQKIALHWMLHRETRSGYCLGGILADDQGLGKTISMIALIQMQKFLESKSKSEDLGNHKTVALNLDDDDDNGNGGSDKVKHSGESDDTKSIPEVSTSTGSFSRQRPPAGTLVVCPASVLRQWARELDDKVAEESKLSVLIYHGGSRTKDPAELAKYDVVLTTYSIITNEVPKQAIVDDDETDEKNGEKYGLSSEFSINKKRKQTSNVGKKGKKGRKGIDGSAIDSSAGALARVAWFRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNAIDDLYSYFRFLKHDPYYVYKAFCNGIKIPISRDSVKGYKKLQAVLKTVMLRRTKATLIDGEPIIKLPPKSIDLAKVDFTAEERAFYTQLEAESRSQFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGYKSDSIQNSDSVGQVSVEMATTLPREMLINLLNCLETSFAICLVCSDPPDDPVVTMCGHVFCYQCVSEYLTGDDNMCPAPACKEQLGADIVFSKATLRSCITGGLNGSPMHPQFFEKSVVLQDEYSSSKIKAVVEILQSKCLSKNSSPELQSSVECNETFLSSEQTFSETVHSGISVVKRTTVYSNSVADGPIKTIVFSQWTSMLDLVERSLRNHNINYRRLDGTMTLAARDRAVKDFNTDPEVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRITIKDTVEDRILSLQDEKRKMVASAFGEDQSGGSATRLTVEDLRYLFMA >EOX99036 pep chromosome:Theobroma_cacao_20110822:2:8223577:8231960:1 gene:TCM_007664 transcript:EOX99036 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein / zinc finger protein-related isoform 2 MAAMNPIDISSSDSDLEIEDDRDTNTSSLRVLPGWAVTHGSNSRGYAWQSQKIPSPKQAEFSNLNFSNVNNHSQTKVLIHEPNDDVRASTQLIALDDDPEYFTRNGNIGQPRTVNSRIANGSGTDFEKLTSQQALKRTLPPSLQLSGPSAKSENLVENLSSSQIPDAQGSSHHLAGHSFANSQGYMRDHYSRAHNGEVMMYGNTGSRILPPSFMHGKSVTYTQFAGLDDPVYRAGVSEERVPVNDERMIYQAALEDLNQPKVEATLPDGLLSVPLLRHQKIALHWMLHRETRSGYCLGGILADDQGLGKTISMIALIQMQKFLESKSKSEDLGNHKTVALNLDDDDDNGNGGSDKVKHSGESDDTKSIPEVSTSTGSFSRQRPPAGTLVVCPASVLRQWARELDDKVAEESKLSVLIYHGGSRTKDPAELAKYDVVLTTYSIITNEVPKQAIVDDDETDEKNGEKYGLSSEFSINKKRKQTSNVGKKGKKGRKGIDGSAIDSSAGALARVAWFRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNAIDDLYSYFRFLKHDPYYVYKAFCNGIKIPISRDSVKGYKKLQAVLKTVMLRRTKATLIDGEPIIKLPPKSIDLAKVDFTAEERAFYTQLEAESRSQFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGYKSDSIQNSDSVGQVSVEMATTLPREMLINLLNCLETSFAICLVCSDPPDDPVVTMCGHVFCYQCVSEYLTGDDNMCPAPACKEQLGADIVFSKATLRSCITGGLNGSPMHPQFFEKSVVLQDEYSSSKIKAVVEILQSKCLSKNSSPELQSSVECNETFLSSEQTFSETVHSGISVVKRTTVYSNSVADGPIKTIVFSQWTSMLDLVERSLRNHNINYRRLDGTMTLAARDRAVKDFNTDPEVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRITIKDTVEDRILSLQVGGGVLHLG >EOX97971 pep chromosome:Theobroma_cacao_20110822:2:4112436:4115420:-1 gene:TCM_006850 transcript:EOX97971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Benzoate carboxyl methyltransferase, putative MKVEQVLHMNGGVGKDSYANNSSLQRTVISMVKPKLGESIVELYCAMLPECLKIADLGCSAGPNTLSVVAEIIDIIDETCQRLKYAPPSLQAFLNDLPGNDFNIIFKSLPSFYERLDREKGNEYLGKCFIAGAPGSFYGRLFPDNCLHFVHSSYAIMWLSKAPKELGTETGAAASNKGNICVAKTSLPDVYEAYLEQFKRDFALFLRCRADEIVPGGRMLLTTMGSIRSDDPLTMWEFVGLKLNEMVSEGLIEEEKLESFDLPYYAATTEEVKEVIEAEGSFTLQRLEVFNMDWDAYIKQADSNLDKTARAAIIAKDIRAVGEPILASHFGEDIMDDLFHRFKEDVLEYMEAHKCQYINIVMSLTKKEN >EOX99039 pep chromosome:Theobroma_cacao_20110822:2:8233660:8237134:-1 gene:TCM_007665 transcript:EOX99039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase nepenthesin-1, putative MSAFPSLAMILVAVLQLTVSGIATASHPDFQLLNVKQTLIGTKKPTPLKTFEYHEQSNASESDQGKWKLKLVHRDKLFSNTTTAFHDHSHRFLARMQRDVKRVASLVRLLSGGGGHDGDAAYEVNDFGSDVVSGMDQGSGEYFVRIGVGSPPRSQYMVIDSGSDIVWVQCQPCNQCYRQSDPVFDPANSASYSGVSCTSSVCDRIENSGCHAGRCRYEVMYGDGSYTKGTLALETLTFGRTVVKNVAIGCGHINRGMFIGAAGLLGVGGGSMSLVGQLGGQTGGAFSYCLVSRGSDASGSLVFGRGAMPVGAAWVPLLRNPRAPSFYYVGLSGLGVGGIRVPVSEDTFRLSELGYGGVVMDTGTAVTRFPTLAYNAFRDAFVAQTANLPRASGVSIFDTCYNLSGFVSVRVPTVSFYFSGGPILTLPARNFLIPVDDVGTFCFAFASSASGLSIIGNIQQEGIQISFDGANGFVGFGPNVC >EOX99283 pep chromosome:Theobroma_cacao_20110822:2:9873730:9877514:-1 gene:TCM_007907 transcript:EOX99283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDATELCLVPDVLIPAKFKVPKFEKYDGTKCPMAHITMYCRKMAAQSHDDKLLIHFFQDSLTGSAARWYVQLDRNRIKTWKDLARAFIAQYKHVDELAPDRLSLQTMEKKQSENFKEYAQRWRDTAAQVQPPLTDKEMTVLFINTLRALFYERLIGNATKNFTDLVLSGEIIEGAIKSEKIEGHEIASSKKGSTPKKKERDMQPVAHNSQQAHNFKPYYPYPPYQPFYPNIGNITQNPYVYQHVPQPTFQTNVLSQTPPPRPVASTNNPGHGHSTENCTALKHKVQALIKAGLLNFAKKDSSSVDGNPLPNHGRSTVNAIHEGMIRRVKKGIDEIQTPMDKMFEALSKINAITPEPIDTKELGHDLAYSCKFHMGAIGHSIQNCDSFRRKLQELIDLSVIEFYEEAEENLVGTINGDTSAEVASSSFGGNKPKPLTIFYEENRSPMNDTSPTMIRSGITIEVPSPFPYKSDKAVPWNYECNILGTASSAPQASSEDLTGVGGITRSGRCYSPEIAERVEKGKPAQGEGGLKKADTFSEDQVDESMPARISLLSLLLNSEAHRNALLKVLNQAYVAQDISVEKLDHIVGNITVGNFIAFNDEEIPSGGRGSNKALHITIKCKDHAVPRILVHNGSALNVMPRSILTKLPVDVLHMRTSRMVVQFQVIDIAPSYNYLLGRPWIHMAGAIPSSLHQKVKFIAEGQLISVCAEEDILAIQSSSAPYVEEIEEVPEYSFKSFEFVNATYVGERKVIPTPRLSVATKIGVKQTVGKGCRVDLGLGKNLQGINRSLTPMKNEERFGLGYKPTKEERTKLTAQKKIKRMAQLEGKEEEFGERTIPHLYETFRSVGFIHLEAPPKVNQVLQIFDELSIHMIGDEKPHDKIPVVYPVLPGEELSNWTATELPIIFKSSEM >EOY00521 pep chromosome:Theobroma_cacao_20110822:2:33219074:33221905:1 gene:TCM_010406 transcript:EOY00521 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein MPRYKDDTPAVRVYTVCDESRYLIVRNIPALGCGDELLKLFATYGDVEECKPMDAEDCEQFTDVYWIKFRLISNARFAKRKLDEFVFLGNRLQVSYAPQFESLDDTKDKLEGRRKEVLARLNTPRSKGHPVHHLFPAGEAPSLAGSSQQIPKQINSGLRDVGDSEYKSHANIAPITRVSSDKDYFPSQSMNQTVKMVRDKLNKIQSSTEHLQAEPASKKTRVDNRRRI >EOY00179 pep chromosome:Theobroma_cacao_20110822:2:28558462:28559959:1 gene:TCM_009888 transcript:EOY00179 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 42 MKMSCNGCRVLRKGCSENCIIRPCLQWIKSPDSQANATLFLAKFYGRAGLLNLIEAGPQHLRPAIFRSLLYEACGRVVNPVYGSVGMLWSGNWAQCQAAVDAVLKGSPITHTSSSDSLALQPISPLKTYDIRHVSKEPRPADIDKLKTRTRFKRSVNRSKRQVDSPSYDSWMSQLGNDDSKDDESMFSVESVEGSLVNQMKKVPALKFTSQIEESTDDVGLELTLGLVPTALQPHQ >EOX96885 pep chromosome:Theobroma_cacao_20110822:2:355126:363435:1 gene:TCM_006027 transcript:EOX96885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein with octicosapeptide/Phox/Bem1p domain, putative isoform 1 MERNLGKGIMDQQKNYEQVRYNNVDARNETLGSANQRFFHDPSSNINTNIRPPDYNMSMGARPVLNYSIRTGEEFALEFMRDRVNPRQHFIQSAYGDPNSGPVYMDLKGILGISHTGSESGSDISMLNTVEKPRPQEFERKTPSVHEDKSYYDSIRSVPRSSSRNDISRGHQGYASSSASFSPSTKVKFLCSFDGKILPRPSDGKLRYVGGETRIIRISRDVSWQELVQKTLAIYNQAHTIKYQLPGEDLDALVSVSCDEDLQNMMEECNVLEDGGSQKPRIFLSSSSDLEEAQYGLGGVEGDSEMQYVVAVNGMDLGSRKNSIAASTSGNNLDELLGLNVEREVDRTVTEAAATSTAALTSNAPSPTVQSSQAPSPTIQTSQPVLASPSSTYASSSQPYSEPKVRHGEVSQQLSSTPQVDGKSNVPLSAPLQYGYGSQPSNYVMPGENLVLMPFHGHVAPQAGLADEKMYMGFQVQDPEASVKEVKLKRDSSASKINEPEKVRSLDKAPPTKEPKMKRDTSLPKINETEKIRISEKEYSVPSHAYDSSVPNHISEEEASVTISVPDISSPLLPTKNFKKTQEAVQNMVASEVVTEGRKNIEDDHFYASGGPFTSGGGGSEADPDDFSRHEPSVIPQRVFHSERIPREQAEMNRLSKSDDSFGSQFLMTQARSDSSQPITESVDKIDDGNLAPQADQSVTSANPLPTNPQTVMDGLPQFEKYKDFSDKINSNIPEEGRESTKQKSELKQITVKSAADEEAAGLNHPTASQGTSVKHLEDPSLKPSDFERIEKDDNKKTGNYTKGHEHPLVWAENPIRATSNVQPAAPVSTPEQGDILIDINDRFPRDLLSDIFSKVRMSQNLYGISPFPGDGAGLSLNMENHEPKHWSYFRNLAQDEFVRKDVSLMDQDHLGFSSPLTNVEGGAPIDYSYPPLKSAGTVASGHLNPHINFGEDIRQESTGVTAANNLDLGYKSPLKGDESAHLDGPNNKVPESEYEGGKLDIQNAGISLVDLSLGDFDISTLQIIKNEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTVEFWREAEILSKLHHPNVVAFYGVVQDGPGGTLATVTEFMVNGSLRHVLLSKDRQLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPARPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGGIVSNTLRPPVPSYCDSEWKLLMEQCWAPDPVVRPSFTEIARRLRTMSSACQTKPHGHQALSQVCK >EOX96884 pep chromosome:Theobroma_cacao_20110822:2:355133:363435:1 gene:TCM_006027 transcript:EOX96884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein with octicosapeptide/Phox/Bem1p domain, putative isoform 1 MERNLGKGIMDQQKNYEQVRYNNVDARNETLGSANQRFFHDPSSNINTNIRPPDYNMSMGARPVLNYSIRTGEEFALEFMRDRVNPRQHFIQSAYGDPNSGPVYMDLKGILGISHTGSESGSDISMLNTVEKPRPQEFERKTPSVHEDKSYYDSIRSVPRSSSRNDISRGHQGYASSSASFSPSTKVKFLCSFDGKILPRPSDGKLRYVGGETRIIRISRDVSWQELVQKTLAIYNQAHTIKYQLPGEDLDALVSVSCDEDLQNMMEECNVLEDGGSQKPRIFLSSSSDLEEAQYGLGGVEGDSEMQYVVAVNGMDLGSRKNSIAASTSGNNLDELLGLNVEREVDRTVTEAAATSTAALTSNAPSPTVQSSQAPSPTIQTSQPVLASPSSTYASSSQPYSEPKVRHGEVSQQLSSTPQVDGKSNVPLSAPLQYGYGSQPSNYVMPGENLVLMPFHGHVAPQAGLADEKMYMGFQVQDPEASVKEVKLKRDSSASKINEPEKVRSLDKAPPTKEPKMKRDTSLPKINETEKIRISEKEYSVPSHAYDSSVPNHISEEEASVTISVPDISSPLLPTKNFKKTQEAVQNMVASEVVTEGRKNIEDDHFYASGGPFTSGGGGSEADPDDFSRHEPSVIPQRVFHSERIPREQAEMNRLSKSDDSFGSQFLMTQARSDSSQPITESVDKIDDGNLAPQADQSVTSANPLPTNPQTVMDGLPQFEKYKDFSDKINSNIPEEGRESTKQKSELKQITVKSAADEEAAGLNHPTASQGTSVKHLEDPSLKPSDFERIEKDDNKKTGNYTKGHEHPLVWAENPIRATSNVQPAAPVSTPEQGDILIDINDRFPRDLLSDIFSKVRMSQNLYGISPFPGDGAGLSLNMENHEPKHWSYFRNLAQDEFVRKDVSLMDQDHLGFSSPLTNVEGGAPIDYSYPPLKSAGTVASGHLNPHINFGEDIRQESTGVTAANNLDLGYKSPLKGDESAHLDGPNNKVPESEYEGGKLDIQNAGISLVDLSLGDFDISTLQIIKNEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTVEFWREAEILSKLHHPNVVAFYGVVQDGPGGTLATVTEFMVNGSLRHVLLSKDRQLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPARPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVSNTLRPPVPSYCDSEWKLLMEQCWAPDPVVRPSFTEIARRLRTMSSACQTKPHGHQALSQVCK >EOY00870 pep chromosome:Theobroma_cacao_20110822:2:35600131:35601815:1 gene:TCM_010788 transcript:EOY00870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVKVFAISAVIMESTAGTGMNSRRRPLHTCGVSALAIAHKAYIKVQDLNEALGTKAKKIATLTSLVSSLVYALQHLWLAILSYFDDCILALEDAVESVFPPSKHVFNKADELVQIIETLPGKFDNVLDKFPVIIEQVPLLDWALGQAISWLRFLTSLLTQRGLGNAKEKEIVVDMGYNESNRVSAAPIDEAKRPAESPYHVGLNSEETFPPVSEKPKTKSEKVSLQAKPSAAKGTYKEVLERRKQEITEKNETKIDAKKDENQIGTDVVKVEEAKEEASKRDGIVLKNDSILELFDSGWLMNNPVGNAIGSSLPRSVSYTEVPEDEGTVKNPSLLSF >EOY00125 pep chromosome:Theobroma_cacao_20110822:2:26244938:26246119:1 gene:TCM_009663 transcript:EOY00125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein MEENKMKKKVMVAIDESECSHHALQWALENLGDTLAASQVFIFTAQPLPNFAYLSASTYGAAPLDLITTVQENQKKLTLALLEKAKDICATHGVDGETMTEIGDPKDKICEAVEKLKIQLLILGSHGRGALQRAFLGSVSNHCVHNAKCPVLVVRKPA >EOX97170 pep chromosome:Theobroma_cacao_20110822:2:1434059:1438453:-1 gene:TCM_006258 transcript:EOX97170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVFIKRLTQTDTNKRLAIPTNSLVYFPGFRGNHSVELKVKDKSHRLWTFHCSIRKKRYLKPVFSSGWLEFIRSNNLRIGDKVSFRLEQGHVSGVEYGIAVQRKIRLLGKDVWADVL >EOX99044 pep chromosome:Theobroma_cacao_20110822:2:8265441:8270511:1 gene:TCM_007670 transcript:EOX99044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoprolinase 1 MGSVSEEKLRFCIDRGGTFTDVYAEIPDHPDGRVLKLLSVDPSNYDDAPIEGIRRILEEYTGEKIPRTAKIPTDKIEWIRMGTTVATNALLERKGERIALCVTRGFKDLLQIGDQSRPNIFDLTATKSSNLYEEVVEVDERIELVLEQDKGNKDNSKSFLKGVSGELVRVVKCLDEEALKPLLKGLLENGISCLAVVLMHSYTYPYHEMAVEKLAMNLGFRHVSLSSALTPMVRAVPRGLTASVDAYLTPVVKEYLAGFISRFDEGLGKVNVLFMQSDGGLAPESRFSGHKAVLSGPAGGVVGYSQTLFGLETEKPLIGFDMGGTSTDVSRYAGSYEQVLETKIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFRVGPESVGAHPGPVCYRKGGELAVTDANLVLGYVIPDYFPAIFGPNEDQPLDVQATKEEFKKLAEKINSYRKSQDSSAKDMTVEEIALGFVNVANETMCRPIRQLTEMKGHETRNHALACFGGAGPQHACAISRSLGMTAVLIHRFCGILSAYGMGLADVVEEAQEPYAAVYGPESVLEASRREAILLKQVKQKLLEQGFRGENIKTETYINLRYEGTDTAIMVKGHIAEDGSGCDYADEFVKLFQQEYGFKLHNRNILVCDVRVRGIGVANILKPRALERASGSPKIESRYKVFFGNGWHDTPLFKLDNLGYGHVIPGPAIIMNGSSTVIVEPKCNAIITKYGNIKIEIESILNTVKVAEKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVRWQLEYWGGNLNEGDVLVTNHPCAGGSHLPDITVITPVFDNGKLVFFVASRGHHAEIGGVTPGSMPPFSKCIWEEGAAIKAFKLVEKGIFQEEGIVKLLEFPGADESTQKIPGTRQLQDNLSDLRAQVAANQRGITLIKELIEQYGLETVQAYMTYVQLNAEEAVREMLKSVAARISSESTTLGERNFLMIEEEDCMDDGSVIHLKLTIDSNKGEARFDFSGTSPEVYGNWNAPEAVTAAAVIYCLRCLVDVDIPLNQGCLAPVKIHVPEGSFLSPSDEAAVVGGNVLTSQRITDVVLTAFQACACSQGCMNNLTFGDNTFGYYETIGGGSGAGPSWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHRFGLRENSGGAGIHKGGDGLVREIEFRRAVVVSILSERRVHAPRGLKGGANGARGANYLITKDERRIYLGGKNTVEVQAGEILEILTPGGGGWGSSL >EOX98031 pep chromosome:Theobroma_cacao_20110822:2:4320527:4323955:1 gene:TCM_006894 transcript:EOX98031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erythronate-4-phosphate dehydrogenase family protein isoform 1 METVYETSNGNRPTSEGHMVMRHSPYQPFSKRSLSWLDLRVFYVRVSKCETDDSTPEHLTLNHVPLNPDTLLEVNGVRTGIYSDGASTLLRRDRLDKKSEEATFVSTDSTRLTGSVKFEVFHKDTLLLSVVLELCDSNGYTEESRGSGQKWSMNCESVITAGTGFLKAKQFVSPDSASPTVEVYVAGSFLGSPIILTRTLQLSLRKKQMKKGMLDSIPEYEATEGPKEVTPVQIPDYLNYKPESEDHNHLYYSGTDYFDGEDGELTWFNAGVRVGVGIGLSICVGLGIGVGLLVRTYQGTTRNFRRRLL >EOX98032 pep chromosome:Theobroma_cacao_20110822:2:4320155:4323979:1 gene:TCM_006894 transcript:EOX98032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erythronate-4-phosphate dehydrogenase family protein isoform 1 METVYETSNGNRPTSEGHMVMRHSPYQPFSKRSLSWLDLRVFYVRVSKCETDDSTPEHLTLNHVPLNPDTLLEVNGVRTGIYSDGASTLLRRDRLDKKSEEATFVSTDSTRLTGSVKFEVFHKDTLLLSVVLELCDSNGYTEESRGSGQKWSMNCESVITAGTGFLKAKQFVSPDSASPTVEVYVAGSFLGSPIILTRTLQLSLRKKQMKKGMLDSIPEYEATEGPKEVTPVQIPDYLNYKPESEDHNHLYYSGTDYFDGEDGELTWFNAGVRVGVGIGLSICVGLGIGVGLLVRTYQGTTRNFRRRLL >EOX98030 pep chromosome:Theobroma_cacao_20110822:2:4320155:4323979:1 gene:TCM_006894 transcript:EOX98030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erythronate-4-phosphate dehydrogenase family protein isoform 1 METVYETSNGNRPTSEGHMVMRHSPYQPFSKRSLSWLDLRVFYVRVSKCETDDSTPEHLTLNHVPLNPDTLLEVNGVRTGIYSDGASTLLRRDRLDKKSEEATFVSTDSTRLTGSVKFEVFHKDTLLLSVVLELCDSNGYTEESRGSGQKWSMNCESVITAGTGFLKAKQFVSPDSASPTVEVYVAGSFLGSPIILTRTLQLSLRKKQMKKGMLDSIPEYEATEGPKEVTPVQIPDYLNYKPESEDHNHLYYSGTDYFDGEDGELTWFNAGVRVGVGIGLSICVGLGIGVGLLVRTYQGTTRNFRRRLL >EOY01825 pep chromosome:Theobroma_cacao_20110822:2:41050181:41060372:-1 gene:TCM_011633 transcript:EOY01825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration stress protein (ERD4) isoform 1 MLVSALLTSVGINSGLCVLFFILYSILRKQPSNYEVYIPRLLAEGNSKRRTGFNLERLIPSPGWVKRAWKLSEDDLLSTSGLDAVVFMRVITFSLRVFLFAGVIGIFVLLPVNCTGDQLNDIDFADLSNNSLDLFTISNLESGSKRLWAHFSAVYLVTAFVCYLLYYEYKYITAKRIAYFHSTKPQPHQFTILVRSIPVSAGSSVSESVERFFLEYHPFTYLSHMVVHRTSKLQSLIKDAKKLYKRLTHLQSDPNQQKYRHIGLFGRKFDLVDHYGKKLEDIEENLRLERSEVSLAAEEVGAAFVSFKTRYGAAVAFHMQQSTNPTQWVTEQAPEPHDVYWPFFSSSFMKRWLSKIVVIVACIFLTILFLIPVLVVQGLTNLNQLEIWFPFLKSILTITFISQVITGYLPSLILLLFLKIVPPIMEFLSSIQGYICHSDIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIILEPKNIPARLAVAVPAQASFFIAYVVTSGWTSASSELFRIIPFIWSLIRKPFSCCSDEEIEVPAIPYHRDLPRILFFGLLGITYFFLAPLILPFLLVYLCLAYVIYRNQFINVYAPKYETAGKFWPIVHNSMIFSLVLMQAIAVGIFTLKKLSLASTLVFPLPVLTLLFNEYCRKRFLPNFIAYPAEVLIKRDREDRNDPTMEEFYDNLVTAYQDPALLPIQFSPNADSLNSPLISAAEVRH >EOY01826 pep chromosome:Theobroma_cacao_20110822:2:41051140:41059321:-1 gene:TCM_011633 transcript:EOY01826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration stress protein (ERD4) isoform 1 MLVSALLTSVGINSGLCVLFFILYSILRKQPSNYEVYIPRLLAEGNSKRRTGFNLERLIPSPGWVKRAWKLSEDDLLSTSGLDAVVFMRVITFSLRVFLFAGVIGIFVLLPVNCTGDQLNDIDFADLSNNSLDLFTISNLESGSKRLWAHFSAVYLVTAFVCYLLYYEYKYITAKRIAYFHSTKPQPHQFTILVRSIPVSAGSSVSESVERFFLEYHPFTYLSHMVVHRTSKLQSLIKDAKKLYKRLTHLQSDPNQQKYRHIGLFGRKFDLVDHYGKKLEDIEENLRLERSEVSLAAEEVGAAFVSFKTRYGAAVAFHMQQSTNPTQWVTEQAPEPHDVYWPFFSSSFMKRWLSKIVVIVACIFLTILFLIPVLVVQGLTNLNQLEIWFPFLKSILTITFISQVITGYLPSLILLLFLKIVPPIMEFLSSIQGYICHSDIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIILEPKNIPARLAVAVPAQASFFIAYVVTSGWTSASSELFRIIPFIWSLIRKPFSCCSDEEIEVPAIPYHRDLPRILFFGLLGITYFFLAPLILPFLLVYLCLAYVIYRNQFINVYAPKYETAGKFWPIVHNSMIFSLVLMQAIAVGIFTLKKLSLASTLVFPLPVLTLLFNEYCRKRFLPNFIAYPAEVLIKRDREDRNDPTMEEFYDNLVTAYQDPALLPIQFSPNADSLNSPLISAAEVRH >EOY01501 pep chromosome:Theobroma_cacao_20110822:2:39738616:39741573:1 gene:TCM_011372 transcript:EOY01501 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme MVDIAMAVSAIGFEGYEKRLEISFFEPGIFVDPEGKGLRSLSKAQLDEILGPAECTIVSSLSNKQVDSYVLSESSLFVYPYKIIIKTCGTTKLLLAIPPILKLAGGLSLAIKSVRYTRGSFIFPGAQPYPHRNFSEEVAILDSYFGKLGGGSKAYVMGGLDKQKWHVYSASAEAVISSGPIYTVEMCLTGLDREMASVFYKDQSGSAAVMTINSGIRKILPDSEICDFEFDPCGYSMNAIEGAAISTIHVTPEDGFSYASFEAVGYDPKDLNLKQLVERVLVCFKPSDFSVAVHVDVAGQSLEQNCLLDVKGYCCGERGIEELGIGGSVIYQKFNSTGGCGSPRSTLKCCWKEEEEEEE >EOX98386 pep chromosome:Theobroma_cacao_20110822:2:5595831:5596944:-1 gene:TCM_007159 transcript:EOX98386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 3 MMAETDQGFEGDQGTATTTSFPQLLFGGDDDVVSLDLGQSFNYTYSSFPAHEKTPKMLCFGGQQTDAEIVFGESAASTTKTATTPQRSGVTCSDSSSASSGNNNKSVKTPSKSTRKRDRGRESVECTGAIVTAQPVSQRTNKKSKVENPATSTGHAKARKEKVGDRITALQQLVSPFGKTDTASVLHEAMGYIRFLHDQWGSRRA >EOX98384 pep chromosome:Theobroma_cacao_20110822:2:5595386:5597182:-1 gene:TCM_007159 transcript:EOX98384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 3 MMAETDQGFEGDQGTATTTSFPQLLFGGDDDVVSLDLGQSFNYTYSSFPAHEKTPKMLCFGGQQTDAEIVFGESAASTTKTATTPQRSGVTCSDSSSASSGNNNKSVKTPSKSTRKRDRGRESVECTGAIVTAQPVSQRTNKKSKVENPATSTGHAKARKEKVGDRITALQQLVSPFGKIRHRYSMKRWVISGSCTTRSKSCALLICNTYLTVGKTEVKNHERT >EOX98387 pep chromosome:Theobroma_cacao_20110822:2:5595829:5597201:-1 gene:TCM_007159 transcript:EOX98387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 3 MMAETDQGFEGDQGTATTTSFPQLLFGGDDDVVSLDLGQSFNYTYSSFPAHEKTPKMLCFGGQQTDAEIVFGESAASTTKTATTPQRSGVTCSDSSSASSGNNNKSVKTPSKSTRDRGRESVECTGAIVTAQPVSQRTNKKSKVENPATSTGHAKARKEKVGDRITALQQLVSPFGKTDTASVLHEAMGYIRFLHDQWGSRRA >EOX98385 pep chromosome:Theobroma_cacao_20110822:2:5595829:5597201:-1 gene:TCM_007159 transcript:EOX98385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 3 MMAETDQGFEGDQGTATTTSFPQLLFGGDDDVVSLDLGQSFNYTYSSFPAHEKTPKMLCFGGQQTDAEIVFGESAASTTKTATTPQRSGVTCSDSSSASSGNNNKSVKTPSKSTRKRDRGRESVECTGAIVTAQPVSQRTNKKSKVENPATSTGHAKARKEKVGDRITALQQLVSPFGKIRHRYSMKRWVISGSCTTSGEAEGL >EOX98383 pep chromosome:Theobroma_cacao_20110822:2:5595365:5596944:-1 gene:TCM_007159 transcript:EOX98383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 3 MMAETDQGFEGDQGTATTTSFPQLLFGGDDDVVSLDLGQSFNYTYSSFPAHEKTPKMLCFGGQQTDAEIVFGESAASTTKTATTPQRSGVTCSDSSSASSGNNNKSVKTPSKSTRKRDRGRESVECTGAIVTAQPVSQRTNKKSKVENPATSTGHAKARKEKVGDRITALQQLVSPFGKTDTASVLHEAMGYIRFLHDQVQVLCTPYLQHLPDGGKNGGEESRKDLKSRGLCLVPVACTLHVANSNGADFWSPAMGNNVTKQ >EOY00995 pep chromosome:Theobroma_cacao_20110822:2:36378422:36381638:-1 gene:TCM_010895 transcript:EOY00995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQGREANRSNSDRVMGEILKVQFHLGERSAQPRRMQNAWGKQMVIRIMIPSQCAFLPDLIHDQCTCMEGERNLRAEPHGGAMEDFATALLDCGLIDGGFKGNLFTWTKNLMFQRLDRMVYIHQWVKFFTITRIQYLNKNGSDHCPLPISCSRTQTKVPSSFRFLHAWVLHHDFKNFMEKIWNQLSSGSGIVAFWSEPNEMARFNDSLIPSLIFESDNANLCSVPTMTELKEAIFNIDKDSVAGLDGFSSYFYQQCWDIVANDLLDAVVDFFQGRIDKKAHGGNVVLKLDLMEAYDRLDWNFLYRMLQ >EOY01232 pep chromosome:Theobroma_cacao_20110822:2:38417646:38419625:1 gene:TCM_011176 transcript:EOY01232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGELHSMAYASSSLHSPSLGWDLQNLGVLNADMSLVMDGTAPFFPHLDSDFSSGYLEDALLEFSERSKRRRLLLCGDHDQTNDLNDLAKSYWNSSCNWGLSENFSCMSQITSINGVSDEPVSTSVSSEEANIVTEIKTPEEAISGSPEALDSSSSSYKGSVKTKSFFNKDTQFSTDPISSSGSNDRKKKRVITRVVYPFALVKPGGIEGDMTLNDINERILMPPTRPVRHPVGDFACRPCVSADGPGLSGKAVVALTKIHTQGRGTITIIRTKGERGESCMNVK >EOY01175 pep chromosome:Theobroma_cacao_20110822:2:38064425:38065282:1 gene:TCM_011109 transcript:EOY01175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTHSLSRRHYCRLYLNISQNFPGCKFNPEEIIRLHFVLFSCYILSPSFAATSSSPTKLVDKVCNQTSNYTFCVEALDSDPRTPAADAYQLAYLNATATQYRIAELLNDNNTSIFANMITT >EOY00794 pep chromosome:Theobroma_cacao_20110822:2:35156422:35160330:1 gene:TCM_010712 transcript:EOY00794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEICRAVSSFLTLLSAASTLCTYRPRVRSYALDFATNREYVRRLVYDNDISSRASQSSKGTKRKWNHHEDVALVTALIDLHNIGKYNADTGFRGGYLIELENMLATKLPDANLKAKPHIESRIKTLKKEWAIIYDMVQGTHTSGFGWDDQRNMVVADDPVWEAYIQSHKEAAPFRRKSFPFFNELSIIYARDRATGKDAQTAADILEEMQDCNDTINEEIEGENLAGYNFEDEDFSNIQPQTSAPRSDTTSTRKRKRLNETGDPITSESIIAAATILGENIKEAGIEFSRSVGAEVNIQQKAQELDGILSQVEGLTAMERVLASIKLPESPTLMFVFFSIDPDRRLEWLRTFLADR >EOX97573 pep chromosome:Theobroma_cacao_20110822:2:2714336:2716408:-1 gene:TCM_006558 transcript:EOX97573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel domain-containing protein isoform 3 MENIVIEVHQPAGLETGQLTEPLEIVEIEEIESEAHFEKEEQEEGQKIEENEDQDTRIIDSLIEEELKQGKVKKLVIAQWLLCLVLVLSLPFSIWLPKWNKLSFSYVVIWKWNVFLLFLLSGKIIFRCMLRLVILFMEWKFSKYKRLLYYIHGTRSAVESCLWVVSMFALWNFSFSQKLKRSVHLETLFYINKVSSFLLVSSVVWIVKTIVLTYVAVRYNSHILSLRIREMEFSERMIHQIAPKGNSLKKIRNEKGAKNLAIKTVQNLSNNSSRYIYVEDLRGFMNINNARKLLNLLGCASECQKVHKLVLENWVVNIFKERKAIELTRSNSKSIVKKINWILIFVYFIITLIIFSFMFEIITSQDLVFLFWTVILAGFTFGNTCKIAFEAVIHLFVMHPFNIDDRCEIDGVELVVNKINIFSTIFLRNDNQKVIYPNVVLWTKSVSNFRLSPPMKDKIEFDIFILTPEEQIIAMKQKILR >EOX97574 pep chromosome:Theobroma_cacao_20110822:2:2713916:2716690:-1 gene:TCM_006558 transcript:EOX97574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel domain-containing protein isoform 3 MENIVIEVHQPAGLETGQLTEPLEIVEIEEIESEAHFEKEEQEEGQKIEENEDQDTRIIDSLIEEELKQGKVKKLVIAQWLLCLVLVLSLPFSIWLPKWNKLSFSYVVIWKWNVFLLFLLSGKIIFRCMLRLVILFMEWKFSKYKRLLYYIHGTRSAVESCLWVVSMFALWNFSFSQKLKRSVHLETLFYINKVSSFLLVSSVVWIVKTIVLTYVAVRYNSHILSLRIREMEFSERMIHQIAPKGNSLKKIRNEKGAKNLAIKTVQNLSNNSSRYIYVEDLRGFMNINNARKLLNLLGCASECQKVHKLVLENWVIAFEAVIHLFVMHPFNIDDRCEIDGVELVVNKINIFSTIFLRNDNQKVIYPNVVLWTKSVSNFRLSPPMKDKIEFDIFILTPEEQIIAMKQKILSFIQSREELWFPTPRIFITSIGETSRLHAEVWATHRINMQDLDERLERKNLLYKEVANIVRELGILVHW >EOX97572 pep chromosome:Theobroma_cacao_20110822:2:2714153:2716209:-1 gene:TCM_006558 transcript:EOX97572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel domain-containing protein isoform 3 MENIVIEVHQPAGLETGQLTEPLEIVEIEEIESEAHFEKEEQEEGQKIEENEDQDTRIIDSLIEEELKQGKVKKLVIAQWLLCLVLVLSLPFSIWLPKWNKLSFSYVVIWKWNVFLLFLLSGKIIFRCMLRLVILFMEWKFSKYKRLLYYIHGTRSAVESCLWVVSMFALWNFSFSQKLKRSVHLETLFYINKVSSFLLVSSVVWIVKTIVLTYVAVRYNSHILSLRIREMEFSERMIHQIAPKGNSLKKIRNEKGAKNLAIKTVQNLSNNSSRYIYVEDLRGFMNINNARKLLNLLGCASECQKVHKLVLENWVVNIFKERKAIELTRSNSKSIVKKINWILIFVYFIITLIIFSFMFEIITSQDLVFLFWTVILAGFTFGNTCKIAFEAVIHLFVMHPFNIDDRCEIDGVELVVNKINIFSTIFLRNDNQKVIYPNVVLWTKSVSNFRLSPPMKDKIEFDIFILTPEEQIIAMKQKILSFIQSREELWFPTPRIFITSIGETSRLHAEVWATHRINMQDLDERLERKNLLYKEVANIVRELGILVHW >EOY02148 pep chromosome:Theobroma_cacao_20110822:2:42121267:42122902:1 gene:TCM_011871 transcript:EOY02148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MKTLFNKLYSTLTCSNPTNLNIVSYYFSLVNSSPNCKHLRHLHARLLRTSLYDDVILSSKLVLAYSQHNKLTSDSLSVFFHMPQKNIYSWNIIIGEFSRSNFPLKAIDLFLRMWQSSDVRPDDFTLPLVLRSCVSCGLVELAVSFHGLCVKMGLESSLFVASALVFLYVSSGKVYDARVLFDGMPKKDAVLWTAMLDGYAKHEEPMLGLELFREMVDAGVAPDWVVMLSLLLVCGQLGWLKQGKSVHGWCVRRCLGMELNLGNAIVDMYLKCATLAYAHRVFNMMNQRDVISWSSLILGYGLSGNVSIAFRLFDNMVAKGVKPNQVTFLGILSACAHGGLAERALSCFEMMGDYGVTPELKHYASMVDCLARAGLLEQAEDFIKRMPMEPDAAILGAILAGCRVHNNVQVGERIARKLISLEPENSGYYVLLSNIYAAAGKFDDAEKVREFMKDKNVSKVPGCSSIESESWLSSSTKQ >EOY01610 pep chromosome:Theobroma_cacao_20110822:2:40258988:40265140:-1 gene:TCM_011460 transcript:EOY01610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MNSTAKLLILVISFCCLFFLIALLRFFHRYWWIPFRIQYTMSLQGIKGPPYEFIHGNNKASTYMRMEAYRKPMAALTHDIVPRVMPQIYSWINIYGKNYLTWQGIRPQLVITEVELVKEVLRNSEGAFRKKKAEDFIHKIIGDGLVATEGEKWARQRKLANHAFHGESLKSMTPAVIASVEAMLEKWKGREGKEIEVFEEFRLLTSEVISRTAFGSSYLEGEKIFEKLMKLSIIANRNFHKARIPGISKIWKPADEIESEKLAKGIHDCVMDMVKKREEKVLTGEADSFGTDFLGLLVKAYHDEDERNRLSVEDLVDECKTFYFAGQETTNSLLAWTVLVLAIHTDWQEKARREVIEVFGNKNPHSEGIANLKTMNLIINETLRLYPPVVATVREVGKEVHLGKLVLPDNIEVLIPNMALHHDPDLWGDDVHLFKPDRFAEGTAKATKYNAAAFIPFSLGPRSCVGMSFATTETKTALSMILQRYTLTLSPAYVHSPFTILMLQPQHGIQVMLHSL >EOX99340 pep chromosome:Theobroma_cacao_20110822:2:10223168:10227402:-1 gene:TCM_007972 transcript:EOX99340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear assembly factor 1, putative isoform 1 MVGFVPEPSTEEGPNQVSKLKIYKDPIDFLDPKIHDLSFADSFLDFDSIKEWFEDNPNLDRTGSEEINFGDIEKRMEIVKEDNFGKSHVSGSGPNVDGLKPIVCGSELVGGESGCTVKVEEEESKPEGKLSSSIEEEMGKVSLVGKLESGSSSSSQESESESSSSSSSSSSSSNDDDEEEKNKENLEVEIKREVDGVGELEEGEIGGIDREMAVGGTDNLDDDEEEEEETEEILSGFGIEFDEVDDEDADAGAMRGPIRSKNELEVLPPVPPLEVTLQPHHKMLPVGVVLSIIGTKVIVEGREQHNPLNEGSILWITINRSPLGLVDEIFGPVINPFYVVRYNLESEVPAGIHEGTLISFVPEFANHVLNDKNLHKKGYDASGENDEELSDDTEFSDDEREAEYKRMQKMTKRGMNDRRVGNRKCNKKKVKSRDGAWKNGRNSAQQTPTLVGQLPPNQSQHKFSSISASLDNHNCSSSVIEKQNFVGGSGFVSPFSVMSQSCGFITPSNGVWTNGMPGHQPQNAIFPNRFPAEGMPLLSQNYQQQPNPLPTSGMPMPPMMPYQQQQFDPSMSTLPNVVLPGGQANLFAGPACAPWLGIAGQHGFSQITFGVGMQGQQLLSAVQGMLAKGPTADGDRNLQQFVAGSFETSQDFNMGASSSRGKKPYHRGRGRFTGGRDR >EOX99339 pep chromosome:Theobroma_cacao_20110822:2:10219114:10226921:-1 gene:TCM_007972 transcript:EOX99339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear assembly factor 1, putative isoform 1 MVGFVPEPSTEEGPNQVSKLKIYKDPIDFLDPKIHDLSFADSFLDFDSIKEWFEDNPNLDRTGSEEINFGDIEKRMEIVKEDNFGKSHVSGSGPNVDGLKPIVCGSELVGGESGCTVKVEEEESKPEGKLSSSIEEEMGKVSLVGKLESGSSSSSQESESESSSSSSSSSSSSNDDDEEEKNKENLEVEIKREVDGVGELEEGEIGGIDREMAVGGTDNLDDDEEEEEETEEILSGFGIEFDEVDDEDADAGAMRGPIRSKNELEVLPPVPPLEVTLQPHHKMLPVGVVLSIIGTKVIVEGREQHNPLNEGSILWITINRSPLGLVDEIFGPVINPFYVVRYNLESEVPAGIHEGTLISFVPEFANHVLNDKNLHKKGYDASGENDEELSDDTEFSDDEREAEYKRMQKMTKRGMNDRRVGNRKCNKKKVKSRDGAWKNGRNSAQQTPTLVGQLPPNQSQHKFSSISASLDNHNCSSSVIEKQNFVGGSGFVSPFSVMSQSCGFITPSNGVWTNGMPGHQPQNAIFPNRFPAEGMPLLSQNYQQQPNPLPTSGMPMPPMMPYQQQQFDPSMSTLPNVVLPGGQANLFAGPACAPWLGIAGQHGFSQITFGVGMQGQQLLSAVQGMLAKGPTADGDRNLQQFVAGSFETSQDFNMGASSSRGKKPYHRGRGRFTGGRDR >EOX97290 pep chromosome:Theobroma_cacao_20110822:2:1834145:1836999:1 gene:TCM_006358 transcript:EOX97290 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein MSPTRAKVPTFPVNLAETQLMAVPKLELRPLGNTGLKLSSVGFGASPLGSVFGPVSESDAVASVREAFRLGINFFDTSPYYGGTLSEKMLGKGLKALGVPRNEYIVSTKCGRYREGFDFSAERVTKSIDESLERLQLDYVDILQCHDIEFGSLDQVVNETIPALQKLKEAGKIRFIGITGLPLEIFTFVLDRVPPGTVDVILSYCHYSINDSTLEDLLPYLKNKGVGVISASPLAMGLLTELGPPDWHPASPELKSACQAAAAYCKEKGKNISKLAMQYSLSNEDISSVLVGMNSVKQVEENVAAATEVALFGKDLETLSEIEAILKPVKNQTWPSGIQRS >EOX98502 pep chromosome:Theobroma_cacao_20110822:2:5987266:5988789:1 gene:TCM_007247 transcript:EOX98502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRFLGVSRSSFFLGGSLLAYSNLSRLVFYMFLSIALFTLERLAKCNNLSFCLFLFFVSFLHFCWLSCFYHYLSCLGLLIIFPWLEIEARSQHKTTTYS >EOY00553 pep chromosome:Theobroma_cacao_20110822:2:33441460:33443307:1 gene:TCM_010443 transcript:EOY00553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTPPIASNVFFMNSAIKIWETLKQRFSQLDDTRICNQQCTLSNLTQGPRSVDSYFTKLNTIWEELKSYRPLPHYKAYSLVLRAESKRNLYVTQPLSEFSVMMVVNDKGKNKNDLICSHYGKKGHVKEKCYRIIGFLENFKFTRGISNHAKNRSTANSAVSSHQLEQEEETIGFMSQMILIKNQV >EOX99739 pep chromosome:Theobroma_cacao_20110822:2:15295769:15297280:-1 gene:TCM_008601 transcript:EOX99739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Teosinte branched 1 isoform 1 MEPKGSNHHPQEVPTFLIPPQKANMAENNKPAEIKNFQIMIASKDDNKKQLAPKRSSNKDRHKKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALAAAGTSVSEQGNSVPAGLHTKIEGLGSGIGSRDRNNWSMMSGNIERSHIPSGAWPSINGIASGFAQGSGQLTSASNFGSENSNNIPKYGFHGLEFPHMNMGFVSFSSLLNGSNQQVPGLELGLSQDPHFGVLNSQAFSQFYQQMGQQRGGVGSLNQQQQLSDKDDSQGSKQ >EOX99738 pep chromosome:Theobroma_cacao_20110822:2:15295573:15297351:-1 gene:TCM_008601 transcript:EOX99738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Teosinte branched 1 isoform 1 MEPKGSNHHPQEVPTFLIPPQKANMAENNKPAEIKNFQIMIASKDDNKKQLAPKRSSNKDRHKKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALAAAGTSVSEQGNSVPAGLHTKIEGLGSGIGSRDRNNWSMMSGNIERSHIPSGAWPSINGIASGFAQGSGQLTSASNFGSENSNNIPKYGFHGLEFPHMNMGFVSFSSLLNGSNQQVPGLELGLSQDPHFGVLNSQAFSQFYQQMGQQRGGVGSLNQQQQLSDKDDSQGSKQ >EOX98991 pep chromosome:Theobroma_cacao_20110822:2:8023477:8026904:-1 gene:TCM_007636 transcript:EOX98991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1, putative isoform 3 MFKTNNVASRIFERQIRTPAPGTSVHCARRFYENLVPSFTIYDVKCPDHSFRKFTDDGQYFISFSKNNQDLIVYRPTWLSFSCKEEDCDNTHELPPMAKRFESFFTQLYVRSLTSCNEFICKDFFLYVESNQFGLFATSTAQIQDAPAVGGAIPGVPSIEKITFHLLRLEDGVILDEKVFQNDYVNLAHNMGVFLYDDLLAVVSLRYQTIHILQIRDSGNLVDVRAIGAYCREDDELFVNSSSQFSGNRGDLVDNGIHHDQPNSNNSFLSGIKQRLLSFIFREIWSEETDQTPRVQCQKKKFYFHFQDYVDLMIWKVQFLDRHHLLIKFGSVDGGISRNTDQHPAFFAVYNMETTEIVAFYQNAADELYLLFEQFCDHFHEASINSLYMNFISSHSNNVHALEQLRCMKNKATIFSQFVKKMLSPLPFNCQSLSPSPYFDQSLFRFDEKV >EOX98988 pep chromosome:Theobroma_cacao_20110822:2:8022354:8026990:-1 gene:TCM_007636 transcript:EOX98988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1, putative isoform 3 MFKTNNVASRIFERQIRTPAPGTSVHCARRFYENLVPSFTIYDVKCPDHSFRKFTDDGQYFISFSKNNQDLIVYRPTWLSFSCKEEDCDNTHELPPMAKRFESFFTQLYVRSLTSCNEFICKDFFLYVESNQFGLFATSTAQIQDAPAVGGAIPGVPSIEKITFHLLRLEDGVILDEKVFQNDYVNLAHNMGVFLYDDLLAVVSLRYQTIHILQIRDSGNLVDVRAIGAYCREDDELFVNSSSQFSGNRGDLVDNGIHHDQPNSNNSFLSGIKQRLLSFIFREIWSEETDQTPRVQCQKKKFYFHFQDYVDLMIWKVQFLDRHHLLIKFGSVDGGISRNTDQHPAFFAVYNMETTEIVAFYQNAADELYLLFEQFCDHFHEASINSLYMNFISSHSNNVHALEQLRCMKNKATIFSQFVKKMLSPLPFNCQSLSPSPYFDQSLFRFDEKLISATDRHRQVTDHPIRFISRRQPNNLKFKIKPGPEFGNADGRNKKISYFLFHPFLPLALSIQQTLFLPPSVVNIHFRR >EOX98993 pep chromosome:Theobroma_cacao_20110822:2:8023731:8026750:-1 gene:TCM_007636 transcript:EOX98993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1, putative isoform 3 MFKTNNVASRIFERQIRTPAPGTSVHCARRFYENLVPSFTIYDVKCPDHSFRKFTDDGQYFISFSKNNQDLIVYRPTWLSFSCKEEDCDNTHELPPMAKRFESFFTQLYVRSLTSCNEFICKDFFLYVESNQFGLFATSTAQIQDAPAVGGAIPGVPSIEKITFHLLRLEDGVILDEKVFQNDYVNLAHNMGVFLYDDLLAVVSLRYQTIHILQIRDSGNLVDVRAIGAYCREDDELFVNSSSQFSGNRGDLVDNGIHHDQPNSNNSFLSGIKQRLLSFIFREIWSEETDQTPRVQCQKKKFYFHFQDYVDLMIWKVQFLDRHHLLIKFGSVDGGISRNTDQHPAFFAVYNMETTEIVAFYQNAADELYLLFEQFCDHFHEASINSLYMNFISSHSNNVHALEQLRCMKNKATIFSQFVKKMLSPLPFNCQSLSPSPYFDQSLFRFDEKV >EOX98990 pep chromosome:Theobroma_cacao_20110822:2:8022623:8026904:-1 gene:TCM_007636 transcript:EOX98990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1, putative isoform 3 MFKTNNVASRIFERQIRTPAPGTSVHCARRFYENLVPSFTIYDVKCPDHSFRKFTDDGQYFISFSKNNQDLIVYRPTWLSFSCKEEDCDNTHELPPMAKRFESFFTQLYVRSLTSCNEFICKDFFLYVESNQFGLFATSTAQIQDAPAVGGAIPGVPSIEKITFHLLRLEDGVILDEKVFQNDYVNLAHNMGVFLYDDLLAVVSLRYQTIHILQIRDSGNLVDVRAIGAYCREDDELFVNSSSQFSGNRGDLVDNGIHHDQPNSNNSFLSGIKQRLLSFIFREIWSEETDQTPRVQCQKKKFYFHFQDYVDLMIWKVQFLDRHHLLIKFGSVDGGISRNTDQHPAFFAVYNMETTEIVAFYQNAADELYLLFEQFCDHFHEASINSLYMNFISSHSNNVHALEQLRCMKNKATIFSQFVKKMLSPLPFNCQSLSPSPYFDQSLFRFDEKLISATDRHRQVTDHPIRFISRRQPNNLKFKIKPGPEFGNADGRNKKISYFLFHPFLPLALSIQQTLFLPPSVVNIHFRR >EOX98989 pep chromosome:Theobroma_cacao_20110822:2:8022354:8027582:-1 gene:TCM_007636 transcript:EOX98989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1, putative isoform 3 MFKTNNVASRIFERQIRTPAPGTSVHCARRFYENLVPSFTIYDVKCPDHSFRKFTDDGQYFISFSKNNQDLIVYRPTWLSFSCKEEDCDNTHELPPMAKRFESFFTQLYVRSLTSCNEFICKDFFLYVESNQFGLFATSTAQIQDAPAVGGAIPGVPSIEKITFHLLRLEDGVILDEKVFQNDYVNLAHNMGVFLYDDLLAVVSLRYQTIHILQIRDSGNLVDVRAIGAYCREDDELFVNSSSQFSGNRGDLVDNGIHHDQPNSNNSFLSGIKQRLLSFIFREIWSEETDQTPRVQCQKKKFYFHFQDYVDLMIWKVQFLDRHHLLIKFGSVDGGISRNTDQHPAFFAVYNMETTEIVAFYQNAADELYLLFEQFCDHFHEASINSLYMNFISSHSNNVHALEQLRCMKNKATIFSQFVKKMLSPLPFNCQSLSPSPYFDQSLFRFDEKLISATDRHRQVTDHPIRFISRRQPNNLKFKIKPGPEFGNADGRNKKISYFLFHPFLPLALSIQQTLFLPPSVVNIHFRR >EOX98992 pep chromosome:Theobroma_cacao_20110822:2:8023731:8026750:-1 gene:TCM_007636 transcript:EOX98992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1, putative isoform 3 MFKTNNVASRIFERQIRTPAPGTSVHCARRFYENLVPSFTIYDVKCPDHSFRKFTDDGQYFISFSKNNQDLIVYRPTWLSFSCKEEDCDNTHELPPMAKRFESFFTQLYVRSLTSCNEFICKDFFLYVESNQFGLFATSTAQIQDAPAVGGAIPGVPSIEKITFHLLRLEDGVILDEKVFQNDYVNLAHNMGVFLYDDLLAVVSLRYQTIHILQIRDSGNLVDVRAIGAYCREDDELFVNSSSQFSGNRGDLVDNGIHHDQPNSNNSFLSGIKQRLLSFIFREIWSEETDQTPRVQCQKKKFYFHFQDYVDLMIWKVQFLDRHHLLIKFGSVDGGISRNTDQHPAFFAVYNMETTEIVAFYQNAADELYLLFEQFCDHFHEASINSLYMNFISSHSNNVHALEQLRCMKNKATIFSQFVKKMLSPLPFNCQSLSPSPYFDQSLFRFDEKV >EOX98986 pep chromosome:Theobroma_cacao_20110822:2:8021953:8027339:-1 gene:TCM_007636 transcript:EOX98986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1, putative isoform 3 MFKTNNVASRIFERQIRTPAPGTSVHCARRFYENLVPSFTIYDVKCPDHSFRKFTDDGQYFISFSKNNQDLIVYRPTWLSFSCKEEDCDNTHELPPMAKRFESFFTQLYVRSLTSCNEFICKDFFLYVESNQFGLFATSTAQIQDAPAVGGAIPGVPSIEKITFHLLRLEDGVILDEKVFQNDYVNLAHNMGVFLYDDLLAVVSLRYQTIHILQIRDSGNLVDVRAIGAYCREDDELFVNSSSQARLVIILIVKPLRLIIFHHVQFSGNRGDLVDNGIHHDQPNSNNSFLSGIKQRLLSFIFREIWSEETDQTPRVQCQKKKFYFHFQDYVDLMIWKVQFLDRHHLLIKFGSVDGGISRNTDQHPAFFAVYNMETTEIVAFYQNAADELYLLFEQFCDHFHEASINSLYMNFISSHSNNVHALEQLRCMKNKATIFSQFVKKMLSPLPFNCQSLSPSPYFDQSLFRFDEKLISATDRHRQVTDHPIRFISRRQPNNLKFKIKPGPEFGNADGRNKKISYFLFHPFLPLALSIQQTLFLPPSVVNIHFRR >EOX98987 pep chromosome:Theobroma_cacao_20110822:2:8022702:8027456:-1 gene:TCM_007636 transcript:EOX98987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1, putative isoform 3 MNSLPWLRDAPAVGGAIPGVPSIEKITFHLLRLEDGVILDEKVFQNDYVNLAHNMGVFLYDDLLAVVSLRYQTIHILQIRDSGNLVDVRAIGAYCREDDELFVNSSSQFSGNRGDLVDNGIHHDQPNSNNSFLSGIKQRLLSFIFREIWSEETDQTPRVQCQKKKFYFHFQDYVDLMIWKVQFLDRHHLLIKFGSVDGGISRNTDQHPAFFAVYNMETTEIVAFYQNAADELYLLFEQFCDHFHEASINSLYMNFISSHSNNVHALEQLRCMKNKATIFSQFVKKMLSPLPFNCQSLSPSPYFDQSLFRFDEKLISATDRHRQVTDHPIRFISRRQPNNLKFKIKPGPEFGNADGRNKKISYFLFHPFLPLALSIQQTLFLPPSVVNIHFRR >EOX99416 pep chromosome:Theobroma_cacao_20110822:2:11087540:11089992:-1 gene:TCM_008097 transcript:EOX99416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin M-type 4 isoform 1 MASVTKSMAALSSPSSSRFATSVSGPAMMTLLPAVSLSSRRGLPECKGLKIQSYSKLSFASYNSRYPGVSKRRAAVVCEAQETALDIPAVTDRTWQSLVLKADGPVLVEFWAPWCGPCRMIHPVIGELAQQYAGKLKCFQLNTDDSPSIATQYGIRSIPTIMIFINGEKKDAVIGAVPKTTLSASIEKFL >EOX99417 pep chromosome:Theobroma_cacao_20110822:2:11087795:11091210:-1 gene:TCM_008097 transcript:EOX99417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin M-type 4 isoform 1 MAALSSPSSSRFATSVSGPAMMTLLPAVSLSSRRGLPECKGLKIQSYSKLSFASYNSRYPGVSKRRAAVVCEAQETALDIPAVTDRTWQSLVLKADGPVLVEFWAPWCGPCRMIHPVIGELAQQYAGKLKCFQLNTDDSPSIATQYGIRSIPTIMIFINGEKKDAVIGAVPKTTLSASIEKFL >EOX98558 pep chromosome:Theobroma_cacao_20110822:2:6186742:6188834:-1 gene:TCM_007286 transcript:EOX98558 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0496 protein MGNHTSKKTSETSSTINLSGNLPYTTELSSYEAACKLDTDLQTFDTNVQARTNQVINTLAVGVEVRALSFDSLKEVTECLLAMNQEVVKVILECKKDIWKSQELFELVEEYFENSLQTLDFCSALEKCLKRARDSQLLILVALQQFEEESEVGGSRYVRTLEELKNFKAAGDPFTEEFFQIFQSVYRQQIAMLDKLQLRKNKLDKKLKYVHAWRKVSSMIFVATCATVLICSVVAAAMAAPPVAAALAAATSIPLGSMGKWIDSLWKNYENALKGQKEVISSMQAGTYVAIKDLDNIRVLIDRLEIDIEALLQNAEFAIEEEAVKIGIDEIKKKLGVFMKNVEDLGQQADICSRDIRRARTVVLQRIIKPPNN >EOY00114 pep chromosome:Theobroma_cacao_20110822:2:25976541:25977275:1 gene:TCM_009633 transcript:EOY00114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLARVAVIKSSQQPSTEDLINKTMLKIKQRKKQKDTKTWSLLDPNAQFNYFNSIFNQANKQKEGSITVEESQG >EOX99393 pep chromosome:Theobroma_cacao_20110822:2:10957336:10960296:1 gene:TCM_008075 transcript:EOX99393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain protein, putative MASFDTMSNDGGEDHMNSFSRPLDDDAAYMGYDSSSFPPHHAFPSDDLANDPAHDTNDYFDPTNNNDPHSPDVYGFGMAATDTDYSTPFDTSLKDGVGDVDGGAIGGGDDDDGIFASDGPMLPPPEQMREEGIARREWRRLNAIHLEEKEKREKEMRNQIIAEAEEYKRSFYEKKNQNCETNKANNREREKLYLANQEKFHKEAHEHYWKAIAELIPREVPIIEKKRGKKDPDKKPSVVVIQGPKPGKPTDLSRMRQIFLKLKQNPPPHMMPPPKDGKDGKNGKEEKDGKDAKEGKDAKNDKNSTPTDSGTPAENKPASQGKDPAADSAPEEPKPETPAPAEADNTAKPDADASK >EOX97383 pep chromosome:Theobroma_cacao_20110822:2:2121532:2124295:-1 gene:TCM_006418 transcript:EOX97383 gene_biotype:protein_coding transcript_biotype:protein_coding description:SER/ARG-rich protein 34A, putative isoform 1 MAGRSSRTIYVGNLPLDIKEWEIEDLFYKYGRVLDIELKLPPRPPGYCFVEFEDPLDAEDAIKGRDGYNFDGCRIRVELAHGGRGQSSRRGYGGSGGSGGRGRFGTSHRSEFRVVVRGLPSSASWQDLKDHMRKAGDVCFTEVYRDGDGAMGIVDYTNVKCYEGSPRGGRSRSRSRSGSPRSNRRSVSKSRSPSPARLSRYVHMKFGIYILLVNQSFSSPWCLFLFFVFFAYPYADQGQGQGRTDCSNVCTAVNVS >EOX97379 pep chromosome:Theobroma_cacao_20110822:2:2121241:2125457:-1 gene:TCM_006418 transcript:EOX97379 gene_biotype:protein_coding transcript_biotype:protein_coding description:SER/ARG-rich protein 34A, putative isoform 1 MAGRSSRTIYVGNLPLDIKEWEIEDLFYKYGRVLDIELKLPPRPPGYCFVEFEDPLDAEDAIKGRDGYNFDGCRIRVELAHGGRGQSSRRGYGGSGGSGGRGRFGTSHRSEFRVVVRGLPSSASWQDLKDHMRKAGDVCFTEVYRDGDGAMGIVDYTNYDDMKYAIRKLDDSEFRNPFARAYIRVKCYEGSPRGGRSRSRSRSGSPRSNRRSVSKSRSPSPARLSRSRSRSRSN >EOX97377 pep chromosome:Theobroma_cacao_20110822:2:2121259:2125439:-1 gene:TCM_006418 transcript:EOX97377 gene_biotype:protein_coding transcript_biotype:protein_coding description:SER/ARG-rich protein 34A, putative isoform 1 MAGRSSRTIYVGNLPLDIKEWEIEDLFYKYGRVLDIELKLPPRPPGYCFVEFEDPLDAEDAIKGRDGYNFDGCRIRVELAHGGRGQSSRRGYGGSGGSGGRGRFGTSHRSEFRVVVRGLPSSASWQDLKDHMRKAGDVCFTEVYRDGDGAMGIVDYTNYDDMKYAIRKLDDSEFRNPFARAYIRVKCYEGSPRGGRSRSRSRSGSPRSNRRSVSKSRSPSPARLSRSRSRSRSN >EOX97374 pep chromosome:Theobroma_cacao_20110822:2:2121251:2125456:-1 gene:TCM_006418 transcript:EOX97374 gene_biotype:protein_coding transcript_biotype:protein_coding description:SER/ARG-rich protein 34A, putative isoform 1 MAGRSSRTIYVGNLPLDIKEWEIEDLFYKYGRVLDIELKLPPRPPGYCFVEFEDPLDAEDAIKGRDGYNFDGCRIRVELAHGGRGQSSRRGYGGSGGSGGRGRFGTSHRSEFRVVVRGLPSSASWQDLKDHMRKAGDVCFTEVYRDGDGAMGIVDYTNYDDMKYAIRKLDDSEFRNPFARAYIRVILFPFLGLEKLCGLWAFLNIFCPCFKVKCYEGSPRGGRSRSRSRSGSPRSNRRFGGCVCIKIEVTLTCQIIQIKVKVKVELTAVMFAQRSTSAEVPSDQD >EOX97380 pep chromosome:Theobroma_cacao_20110822:2:2121241:2125457:-1 gene:TCM_006418 transcript:EOX97380 gene_biotype:protein_coding transcript_biotype:protein_coding description:SER/ARG-rich protein 34A, putative isoform 1 MAGRSSRTIYVGNLPLDIKEWEIEDLFYKYGRVLDIELKLPPRPPGYCFVEFEDPLDAEDAIKGRDGYNFDGCRIRVELAHGGRGQSSRRGYGGSGGSGGRGRFGTSHRSEFRASWQDLKDHMRKAGDVCFTEVYRDGDGAMGIVDYTNYDDMKYAIRKLDDSEFRNPFARAYIRVKCYEGSPRGGRSRSRSRSGSPRSNRRSVSKSRSPSPARLSRSRSRSRSN >EOX97381 pep chromosome:Theobroma_cacao_20110822:2:2121789:2124295:-1 gene:TCM_006418 transcript:EOX97381 gene_biotype:protein_coding transcript_biotype:protein_coding description:SER/ARG-rich protein 34A, putative isoform 1 MAGRSSRTIYVGNLPLDIKEWEIEDLFYKYGRVLDIELKLPPRPPGYCFVEFEDPLDAEDAIKGRDGYNFDGCRIRVELAHGGRGQSSRRGYGGSGGSGGRGRFGTSHRSEFRVVVRGLPSSASWQDLKDHMRKAGDVCFTEVYRDGDGAMGIVDYTNVKCYEGSPRGGRSRSRSRSGSPRSNRRSVSKSRSPSPARLSRSRSRSRSN >EOX97376 pep chromosome:Theobroma_cacao_20110822:2:2121241:2125457:-1 gene:TCM_006418 transcript:EOX97376 gene_biotype:protein_coding transcript_biotype:protein_coding description:SER/ARG-rich protein 34A, putative isoform 1 MAGRSSRTIYVGNLPLDIKEWEIEDLFYKYGRVLDIELKLPPRPPGYCFVEFEDPLDAEDAIKGRDGYNFDGCRIRVELAHGGRGQSSRRGYGGSGGSGGRGRFGTSHRSEFRVVVRGLPSSASWQDLKDHMRKAGDVCFTEVYRDGDGAMGIVDYTNYDDMKYAIRKLDDSEFRNPFARAYIRVKCYEGSPRGGRSRSRSRSGSPRSNRRFGLYQNRGHPHLPDYPDQGQGQGRTDCSNVCTAVNVS >EOX97382 pep chromosome:Theobroma_cacao_20110822:2:2121222:2124322:-1 gene:TCM_006418 transcript:EOX97382 gene_biotype:protein_coding transcript_biotype:protein_coding description:SER/ARG-rich protein 34A, putative isoform 1 MAGRSSRTIYVGNLPLDIKEWEIEDLFYKYGRVLDIELKLPPRPPGYCFVEFEDPLDAEDAIKGRDGYNFDGCRIRVELAHGGRGQSSRRGYGGSGGSGGRGRFGTSHRSEFRASWQDLKDHMRKAGDVCFTEVYRDGDGAMGIVDYTNVKCYEGSPRGGRSRSRSRSGSPRSNRRSVSKSRSPSPARLSRSRSRSRSN >EOX97378 pep chromosome:Theobroma_cacao_20110822:2:2121510:2124295:-1 gene:TCM_006418 transcript:EOX97378 gene_biotype:protein_coding transcript_biotype:protein_coding description:SER/ARG-rich protein 34A, putative isoform 1 MAGRSSRTIYVGNLPLDIKEWEIEDLFYKYGRVLDIELKLPPRPPGYCFVEFEDPLDAEDAIKGRDGYNFDGCRIRVELAHGGRGQSSRRGYGGSGGSGGRGRFGTSHRSEFRVVVRGLPSSASWQDLKDHMRKAGDVCFTEVYRDGDGAMGIVDYTNYDDMKYAIRKLDDSEFRNPFARAYIRVKCYEGSPRGGRSRSRSRSGSPRSNRRSVSKSRSPSPARLSRYIKVKVKVELTAVMFAQRSTSAEVPSDQD >EOX97375 pep chromosome:Theobroma_cacao_20110822:2:2121241:2124709:-1 gene:TCM_006418 transcript:EOX97375 gene_biotype:protein_coding transcript_biotype:protein_coding description:SER/ARG-rich protein 34A, putative isoform 1 MAGRSSRTIYVGNLPLDIKEWEIEDLFYKYGRVLDIELKLPPRPPGYCFVEFEDPLDAEDAIKGRDGYNFDGCRIRVELAHGGRGQSSRRGYGGSGGSGGRGRFGTSHRSEFRVVVRGLPSSASWQDLKDHMRKAGDVCFTEVYRDGDGAMGIVDYTNYDDMKYAIRKLDDSEFRNPFARAYIRVKCYEGSPRGGRSRSRSRSGSPRSNRRSVSKSRSPSPARLSRSRSRSRSN >EOX97729 pep chromosome:Theobroma_cacao_20110822:2:3222877:3224338:-1 gene:TCM_006664 transcript:EOX97729 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein MGSHVSKQMERRKAISTEKKTLCDLHDSCGETFPGSDYRPADRKNWMAGLGPEKVHINQIVWPGTHDSATNKIGIPCISRPFAQCQSLSIYQQLVKGTRVLDVRVNENRRVCHGILSTYSVDVVINDVKKFLSETQSEIIILEIRTEFGHQDPPDFDKYLEEKLGEFLIHQDDHVFGKTIAELLPKRIICVWKPSKSPKPKAGSPFWSANYVKDNWIDTDLPSTKFDSNLKHLGEQQPVSSRKFFYRVENTVTPQADNPVLCVKPVTGRIHGYARLFITQCFSKGCADRLQIFSTDFIDEDFVDACVGFTHARVEGKC >EOX98644 pep chromosome:Theobroma_cacao_20110822:2:6596740:6605223:1 gene:TCM_007356 transcript:EOX98644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-related protein 3A isoform 1 MAEEAAASTPPSSAAPLGSSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLESLVGRDFLPRGSDICTRRPLVLQLLQTKCKPDGSEEEYGEFLHLPGKRFYDFSEIRREIQAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKQPSCLILAVTPANSDLANSDALQIAGNADPDGYRTIGIITKLDIMDRGTDARNLLLGKVIPLRLGYIGVVNRSQEDILLNRSIKDALIAEEKFFRSRPVYNGLADRCGVPQLAKKLNQILVQHIKAILPGLKSRISSALVSVAKEHASYGEITESKAGQGALLLNILSKYCEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKISHRCMVNELQRFPVLRKHMDQVIGNFLREGLEPSETMIGHIIEMEMDYINTSHPNFVGGSKAVELANQQIKNSRVPLPISRSKDSLEPDKAPASERSIKSRAILARQVNGIVADQGVRPVADVEKVPSAGSTSGSTWGISSIFGGSDNRSLVKESSTNKQYSEPVHNMEQAFSMIHLREPPPVLRPSEDRSENEAIEIAITKLLLRSYYDIVRKNIDDSVPKAIMHFLVNHTKRELHNVFIKKLYRENLFEEMLQEPDEIATKRKRTRETLRVLQQAFRTLDELPLEAETVERGYSLGSDPTGLPKIHGLPTSSMYSTSSGSNDSYAVSPKNTKSRKSSHSGELQSHLYGNADSNGSGRSFMPGLYPTVDL >EOX98645 pep chromosome:Theobroma_cacao_20110822:2:6596752:6605210:1 gene:TCM_007356 transcript:EOX98645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-related protein 3A isoform 1 MAEEAAASTPPSSAAPLGSSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLESLVGRDFLPRGSDICTRRPLVLQLLQTKCKPDGSEEEYGEFLHLPGKRFYDFSEIRREIQAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKQPSCLILAVTPANSDLANSDALQIAGNADPDGYRTIGIITKLDIMDRGTDARNLLLGKVIPLRLGYIGVVNRSQEDILLNRSIKDALIAEEKFFRSRPVYNGLADRCGVPQLAKKLNQILVQHIKAILPGLKSRISSALVSVAKEHASYGEITESKAGQGALLLNILSKYCEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKISHRCMVNELQRFPVLRKHMDQVIGNFLREGLEPSETMIGHIIEMEMDYINTSHPNFVGGSKAVELANQQIKNSRVPLPISRSKDSLEPDKAPASERSIKSRAILARQVNGIVADQQGVRPVADVEKVPSAGSTSGSTWGISSIFGGSDNRSLVKESSTNKQYSEPVHNMEQAFSMIHLREPPPVLRPSEDRSENEAIEIAITKLLLRSYYDIVRKNIDDSVPKAIMHFLVNHTKRELHNVFIKKLYRENLFEEMLQEPDEIATKRKRTRETLRVLQQAFRTLDELPLEAETVERGYSLGSDPTGLPKIHGLPTSSMYSTSSGSNDSYAVSPKNTKSRKSSHSGELQSHLYGNADSNGSGRSFMPGLYPTVDL >EOX98013 pep chromosome:Theobroma_cacao_20110822:2:4249323:4251529:-1 gene:TCM_006878 transcript:EOX98013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative isoform 2 MSFLKIYKFLLHGVLKLAGISPRTIEIEPGTIMNFWVPTETINNTNTKRKKPAVVFLHGFGFDGILTWQFQALALAKEYSVYVPDFLFFGGSITDKTERSVEFQAECMAKGLRKLGVEKCTLVGFSYGGMVGFKMAEMYPDLVESMVVTCSVMALTESISNAGLERIGFPSWADYLLPVSVKGVETLLQVATYSFPKLPNWIYKDILEGIFIYRKEKVELLEALVISDKEFTIPCYQQGI >EOX98012 pep chromosome:Theobroma_cacao_20110822:2:4239455:4251570:-1 gene:TCM_006878 transcript:EOX98012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative isoform 2 MSFLKIYKFLLHGVLKLAGISPRTIEIEPGTIMNFWVPTETINNTNTKRKKPAVVFLHGFGFDGILTWQFQALALAKEYSVYVPDFLFFGGSITDKTERSVEFQAECMAKGLRKLGVEKCTLVGFSYGGMVGFKMAEMYPDLVESMVVTCSVMALTESISNAGLERIGFPSWADYLLPVSVKGVETLLQVATYSFPKLPNWIYKDILENIYLLWGQNDKIFDLGTARNLKQQIREKASLEYIEKSGHLVQLERPFVYNSHLKKILASLWSKEKSHATDAFPQPKKK >EOY01973 pep chromosome:Theobroma_cacao_20110822:2:41559040:41564751:-1 gene:TCM_011750 transcript:EOY01973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family with FYVE zinc finger domain, putative isoform 1 MDALLPKELESTVVLDVHNIACGGRHAILVTKQGEIFSWGEESGGRLGHGVEADVPHPKLIDTLSGMNFESVACGEYHSCAVTVSGDLFTWGDGTHNSGLLGHGSEVSHWIPKKVSNMEGINVTYVSCGPWHTALVTSGGQLFTFGDGSFGALGHGDHTSTTIPREVETLSGLRTTRVACGVWHTAAVVEVVTESSDSGFPDSSTSAKLFTWGDGDKGQLGHGDKEPRLFPECVAALVDDNICQVACGHNLTVALTTSGRVYTMGSSAYGQLGSPTAHGKVPARVEGKIADSFVEEIACGSYHVAILTSQTEVYTWGKGANGQLGHGDTDDRNTPTLVDFLKDKQVKSVVCGSNFTAIICLHKWVSSADHSMCSGCRNPFGFRRKRHNCYNCGLVFCKACTSKKSLKASLAPTMNKPYRVCDDCFTKLRKGAESCSAVWTPKARNGILPRKSNEMVDREAFAPRLHTQLSRLSSADSSNQAESRIFKRELKLELQNRSLFPSQNGNFHLGGFYSPKVSISPVGESKKILPASIPSSRKSSRATSPGSEKSSPQRSSEVTVDDSRQMNDSINQEIINLRAQVEDLTFKSQRLEAELGKASKQLKEVTAIAENEAEKCKSAKEVIRSLTAQLKEVVDLLPAGQNAHINSSFTSNIEHLFSNESHATSMISTGSEVNGNSETISHGTKGKTEKSESVVQDEPGVYITLSPLPNGSNELKRVRFSRKHFTEDQA >EOY01971 pep chromosome:Theobroma_cacao_20110822:2:41558860:41564935:-1 gene:TCM_011750 transcript:EOY01971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family with FYVE zinc finger domain, putative isoform 1 MADPQRSGLAERDIDQAITALKKGAYLLKYGRRGKPKFCPFHLSNDESKLIWYSGKEEKHLKLSQVSRIIPGQRTAIFQRYPRPEKEYQSFSLIYSDRSLDLICKDKDEAEVWFIGLKGLISRGTTRKWRIEVKSDSASLDSPQLRNRKTSPISPFDPGDAQGIQASYEAQNRLGKAFADIITHTAITKTASKPDLVDFGLSSSGSVENLNSRSSGADAIRVSLSSAVSSSSHGSCHEDFDALGDVFIWGQGIGDGVLGGGVHKVGNSFNSKMDALLPKELESTVVLDVHNIACGGRHAILVTKQGEIFSWGEESGGRLGHGVEADVPHPKLIDTLSGMNFESVACGEYHSCAVTVSGDLFTWGDGTHNSGLLGHGSEVSHWIPKKVSNMEGINVTYVSCGPWHTALVTSGGQLFTFGDGSFGALGHGDHTSTTIPREVETLSGLRTTRVACGVWHTAAVVEVVTESSDSGFPDSSTSAKLFTWGDGDKGQLGHGDKEPRLFPECVAALVDDNICQVACGHNLTVALTTSGRVYTMGSSAYGQLGSPTAHGKVPARVEGKIADSFVEEIACGSYHVAILTSQTEVYTWGKGANGQLGHGDTDDRNTPTLVDFLKDKQVKSVVCGSNFTAIICLHKWVSSADHSMCSGCRNPFGFRRKRHNCYNCGLVFCKACTSKKSLKASLAPTMNKPYRVCDDCFTKLRKGAESCSAVWTPKARNGILPRKSNEMVDREAFAPRLHTQLSRLSSADSSNQAESRIFKRELKLELQNRSLFPSQNGNFHLGGFYSPKVSISPVGESKKILPASIPSSRKSSRATSPGSEKSSPQRSSEVTVDDSRQMNDSINQEIINLRAQVEDLTFKSQRLEAELGKASKQLKEVTAIAENEAEKCKSAKEVIRSLTAQLKEVVDLLPAGQNAHINSSFTSNIEHLFSNESHATSMISTGSEVNGNSETISHGTKGKTEKSESVVQDEPGVYITLSPLPNGSNELKRVRFSRKHFTEDQAEKWWAENGPKVCERHNILNA >EOY01972 pep chromosome:Theobroma_cacao_20110822:2:41558302:41564783:-1 gene:TCM_011750 transcript:EOY01972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family with FYVE zinc finger domain, putative isoform 1 MADPQRSGLAERDIDQAITALKKGAYLLKYGRRGKPKFCPFHLSNDESKLIWYSGKEEKHLKLSQVSRIIPGQRTAIFQRYPRPEKEYQSFSLIYSDRSLDLICKDKDEAEVWFIGLKGLISRGTTRKWRIEVKSDSASLDSPQLRNRKTSPISPFDPGDAQGIQASYEAQNRLGKAFADIITHTAITKTASKPDLVDFGLSSSGSVENLNSRSSGADAIRVSLSSAVSSSSHGSCHEDFDALGDVFIWGQGIGDGVLGGGVHKVGNSFNSKMDALLPKELESTVVLDVHNIACGGRHAILVTKQGEIFSWGEESGGRLGHGVEADVPHPKLIDTLSGMNFESVACGEYHSCAVTVSGDLFTWGDGTHNSGLLGHGSEVSHWIPKKVSNMEGINVTYVSCGPWHTALVTSGGQLFTFGDGSFGALGHGDHTSTTIPREVETLSGLRTTRVACGVWHTAAVVEVVTESSDSGFPDSSTSAKLFTWGDGDKGQLGHGDKEPRLFPECVAALVDDNICQVACGHNLTVALTTSGRVYTMGSSAYGQLGSPTAHGKVPARVEGKIADSFVEEIACGSYHVAILTSQTEVYTWGKGANGQLGHGDTDDRNTPTLVDFLKDKQVKSVVCGSNFTAIICLHKWVSSADHSMCSGCRNPFGFRRKRHNCYNCGLVFCKACTSKKSLKASLAPTMNKPYRVCDDCFTKLRKGAESCSAVWTPKARNGILPRKSNEMVDREAFAPRLHTQLSRLSSADSSNQAESRIFKRELKLELQNRSLFPSQNGNFHLGGFYSPKVSISPVGESKKILPASIPSSRKSSRATSPGSEKSSPQRSSEVTVDDSRQMNDSINQEIINLRAQVEDLTFKSQRLEAELGKASKQLKEVTAIAENEAEKCKSAKEVIRSLTAQLKEVVDLLPAGQNAHINSSFTSNIEHLFSNESHATSMISTGSEVNGNSETISHGTKGKTEKSESVVQDEPGVYITLSPLPNGSNELKRVRFSRKHFTEDQAEKWWAENGPKVCERHNILNA >EOY01454 pep chromosome:Theobroma_cacao_20110822:2:39524999:39530774:-1 gene:TCM_011335 transcript:EOY01454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor isoform 1 MEEVLLISRFMTRTLILLSLCFMWVPPGVVCRTGNASASSSSSSSSSLKPKVINIGSLFTLNSVIGRAARPALQAAIDDVNADPTILNGVELKLVLHDTNCSSFVGTVEALQLMESEVAVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSLQYPYFLRTTHSDYFQMYAVADLVDLFGWREVIAIFVDDDYGRSGISVLGDALAKKRAKISYKAAFSHGDPKSKINDLLVEVNLMESRVYVVHVNPDTGLNIFAVANALNMMSGNYVWIATDWLPTYLDSMEAADPDTMNLLQGVVALRRYTPDTNLKKSFMSRWKNLKYNGSASPAGFNSFALYAYDSVWLAAHALEVFLNEGGNFSFSKDPTLHVANGSMLHLESLHVFNGGQQLLSTLLRMNFTGLSGQIQFDPDKHLVHPAYDVLNVGGTGMRRIGYWSNYSHLSIVPPESLYTKPPNLSTGSQHLYSVIWPGETTAKPRGWVFPNNGQPLRIAVPNRVGYKEFASKDKGPQGVRGYCIDVFEAAISLLPYAVPRTYMLYGDGKRNPNYNELVSQVAQNKYDAAVGDISIVTNRTKIVDFTQPYMESGLVVVAPVKEAKSNPWAFLKPFTKEMWFVTAAFFLFVGAVVWILEHRINHEFRGPPSQQLITIFWFSFSTMFFSHRENTLSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLTSGIQGIDSLISSTVPIGIQDGSFAFNYLIDELNIAESRIVKLKNPEAYLKALELGPKRGGVAAIVDELPYIELFLASTNCLYRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLEKIHNKWLTHRECTMQINQVDENKLSLSSFWGLFLICGIACVLALTLFCCRIITQYRKFTPEGEEAEAEEIEPARSSRRPPRSPSIKQIIDFVDRKETEIKELLKRKNSNESKQQSIHGSDGQASSPA >EOY01457 pep chromosome:Theobroma_cacao_20110822:2:39526230:39530324:-1 gene:TCM_011335 transcript:EOY01457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor isoform 1 MEEVLLISRFMTRTLILLSLCFMWVPPGVVCRTGNASASSSSSSSSSLKPKVINIGSLFTLNSVIGRAARPALQAAIDDVNADPTILNGVELKLVLHDTNCSSFVGTVEALQLMESEVAVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSLQYPYFLRTTHSDYFQMYAVADLVDLFGWREVIAIFVDDDYGRSGISVLGDALAKKRAKISYKAAFSHGDPKSKINDLLVEVNLMESRVYVVHVNPDTGLNIFAVANALNMMSGNYVWIATDWLPTYLDSMEAADPDTMNLLQGVVALRRYTPDTNLKKSFMSRWKNLKYNGSASPAGFNSFALYAYDSVWLAAHALEVFLNEGGNFSFSKDPTLHVANGSMLHLESLHVFNGGQQLLSTLLRMNFTGLSGQIQFDPDKHLVHPAYDVLNVGGTGMRRIGYWSNYSHLSIVPPESLYTKPPNLSTGSQHLYSVIWPGETTAKPRGWVFPNNGQPLRIAVPNRVGYKEFASKDKGPQGVRGYCIDVFEAAISLLPYAVPRTYMLYGDGKRNPNYNELVSQVAQNKYDAAVGDISIVTNRTKIVDFTQPYMESGLVVVAPVKEAKSNPWAFLKPFTKEMWFVTAAFFLFVGAVVWILEHRINHEFRGPPSQQLITIFWLVFLTHLCPLLNRWLLFI >EOY01456 pep chromosome:Theobroma_cacao_20110822:2:39524519:39530789:-1 gene:TCM_011335 transcript:EOY01456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor isoform 1 MEEVLLISRFMTRTLILLSLCFMWVPPGVVCRTGNASASSSSSSSSSLKPKVINIGSLFTLNSVIGRAARPALQAAIDDVNADPTILNGVELKLVLHDTNCSSFVGTVEALQLMESEVAVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSLQYPYFLRTTHSDYFQMYAVADLVDLFGWREVIAIFVDDDYGRSGISVLGDALAKKRAKISYKAAFSHGDPKSKINDLLVEVNLMESRVYVVHVNPDTGLNIFAVANALNMMSGNYVWIATDWLPTYLDSMEAADPDTMNLLQGVVALRRYTPDTNLKKSFMSRWKNLKYNGSASPAGFNSFALYAYDSVWLAAHALEVFLNEGGNFSFSKDPTLHVANGSMLHLESLHVFNGGQQLLSTLLRMNFTGLSGQIQFDPDKHLVHPAYDVLNVGGTGMRRIGYWSNYSHLSIVPPESLYTKPPNLSTGSQHLYSVIWPGETTAKPRGWVFPNNGQPLRIAVPNRVGYKEFASKDKGPQGVRGYCIDVFEAAISLLPYAVPRTYMLYGDGKRNPNYNELVSQVAQNKYDAAVGDISIVTNRTKIVDFTQPYMESGLVVVAPVKEAKSNPWAFLKPFTKEMWFVTAAFFLFVGAVVWILEHRINHEFRGPPSQQFSFSTMFFSHRENTLSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLTSGIQGIDSLISSTVPIGIQDGSFAFNYLIDELNIAESRIVKLKNPEAYLKALELGPKRGGVAAIVDELPYIELFLASTNCLYRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLEKIHNKWLTHRECTMQINQVDENKLSLSSFWGLFLICGIACVLALTLFCCRIITQYRKFTPEGEEAEAEEIEPARSSRRPPRSPSIKQIIDFVDRKETEIKELLKRKNSNESKQQSIHGSDGQASSPA >EOY01452 pep chromosome:Theobroma_cacao_20110822:2:39525134:39529880:-1 gene:TCM_011335 transcript:EOY01452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor isoform 1 MEEVLLISRFMTRTLILLSLCFMWVPPGVVCRTGNASASSSSSSSSSLKPKVINIGSLFTLNSVIGRAARPALQAAIDDVNADPTILNGVELKLVLHDTNCSSFVGTVEALQLMESEVAVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSLQYPYFLRTTHSDYFQMYAVADLVDLFGWREVIAIFVDDDYGRSGISVLGDALAKKRAKISYKAAFSHGDPKSKINDLLVEVNLMESRVYVVHVNPDTGLNIFAVANALNMMSGNYVWIATDWLPTYLDSMEAADPDTMNLLQGVVALRRYTPDTNLKKSFMSRWKNLKYNGSASPAGFNSFALYAYDSVWLAAHALEVFLNEGGNFSFSKDPTLHVANGSMLHLESLHVFNGGQQLLSTLLRMNFTGLSGQIQFDPDKHLVHPAYDVLNVGGTGMRRIGYWSNYSHLSIVPPESLYTKPPNLSTGSQHLYSVIWPGETTAKPRGWVFPNNGQPLRIAVPNRVGYKEFASKDKGPQGVRGYCIDVFEAAISLLPYAVPRTYMLYGDGKRNPNYNELVSQVAQNKYDAAVGDISIVTNRTKIVDFTQPYMESGLVVVAPVKEAKSNPWAFLKPFTKEMWFVTAAFFLFVGAVVWILEHRINHEFRGPPSQQLITIFWFSFSTMFFSHRENTLSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLTSGIQGIDSLISSTVPIGIQDGSFAFNYLIDELNIAESRIVKLKNPEAYLKALELGPKRGGVAAIVDELPYIELFLASTNCLYRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLEKIHNKWLTHRECTMQINQVDENKLSLSSFWGLFLICGIACVLALTLFCCRIITQYRKFTPEGEEAEAEEIEPARSSRRPPRSPSIKQIIDFVDRKETEIKELLKRKNSNESKQQSIHGSDGQASSPA >EOY01455 pep chromosome:Theobroma_cacao_20110822:2:39525132:39530774:-1 gene:TCM_011335 transcript:EOY01455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor isoform 1 MEEVLLISRFMTRTLILLSLCFMWVPPGVVCRTGNASASSSSSSSSSLKPKVINIGSLFTLNSVIGRAARPALQAAIDDVNADPTILNGVELKLVLHDTNCSSFVGTVEALQLMESEVAVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSLQYPYFLRTTHSDYFQMYAVADLVDLFGWREVIAIFVDDDYGRSGISVLGDALAKKRAKISYKAAFSHGDPKSKINDLLVEVNLMESRVYVVHVNPDTGLNIFAVANALNMMSGNYVWIATDWLPTYLDSMEAADPDTMNLLQGVVALRRYTPDTNLKKSFMSRWKNLKYNGSASPAGFNSFALYAYDSVWLAAHALEVFLNEGGNFSFSKDPTLHVANGSMLHLESLHVFNGGQQLLSTLLRMNFTGLSGQIQFDPDKHLVHPAYDVLNVGGTGMRRIGYWSNYSHLSIVPPESLYTKPPNLSTGSQHLYSVIWPGETTAKPRGWVFPNNGQPLRIAVPNRVGYKEFASKDKGPQGVRGYCIDVFEAAISLLPYAVPRTYMLYGDGKRNPNYNELVSQVAQNKYDAAVGDISIVTNRTKIVDFTQPYMESGLVVVAPVKEAKSNPWAFLKPFTKEMWFVTAAFFLFVGAVVWILEHRINHEFRGPPSQQLITIFWFSFSTMFFSHRENTLSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLTSGIQGIDSLISSTVPIGIQDGSFAFNYLIDELNIAESRIVKLKNPEAYLKALELGPKRGGVAAIVDELPYIELFLASTNCLYRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLEKIHNKWLTHRECTMQINQVDENKLSLSSFWGLFLICGIACVLALTLFCCRIITQYRKFTPEGEEAEAEEIEPARSSRRPPRSPSIKQIIDFVDRKETEIKELLKRKNSNESKQQSIHGSDGQASSPA >EOY01458 pep chromosome:Theobroma_cacao_20110822:2:39526234:39530748:-1 gene:TCM_011335 transcript:EOY01458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor isoform 1 MEEVLLISRFMTRTLILLSLCFMWVPPGVVCRTGNASASSSSSSSSSLKPKVINIGSLFTLNSVIGRAARPALQAAIDDVNADPTILNGVELKLVLHDTNCSSFVGTVEALQLMESEVAVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSLQYPYFLRTTHSDYFQMYAVADLVDLFGWREVIAIFVDDDYGRSGISVLGDALAKKRAKISYKAAFSHGDPKSKINDLLVEVNLMESRVYVVHVNPDTGLNIFAVANALNMMSGNYVWIATDWLPTYLDSMEAADPDTMNLLQGVVALRRYTPDTNLKKSFMSRWKNLKYNGSASPAGFNSFALYAYDSVWLAAHALEVFLNEGGNFSFSKDPTLHVANGSMLHLESLHVFNGGQQLLSTLLRMNFTGLSGQIQFDPDKHLVHPAYDVLNVGGTGMRRIGYWSNYSHLSIVPPESLYTKPPNLSTGSQHLYSVIWPGETTAKPRGWVFPNNGQPLRIAVPNRVGYKEFASKDKGPQGVRGYCIDVFEAAISLLPYAVPRTYMLYGDGKRNPNYNELVSQVAQNKYDAAVGDISIVTNRTKIVDFTQPYMESGLVVVAPVKEAKSNPWAFLKPFTKEMWFVTAAFFLFVGAVVWILEHRINHEFRGPPSQQLITIFWLVFLTHLCPLLNRWLLFI >EOY01453 pep chromosome:Theobroma_cacao_20110822:2:39525819:39529880:-1 gene:TCM_011335 transcript:EOY01453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor isoform 1 MEEVLLISRFMTRTLILLSLCFMWVPPGVVCRTGNASASSSSSSSSSLKPKVINIGSLFTLNSVIGRAARPALQAAIDDVNADPTILNGVELKLVLHDTNCSSFVGTVEALQLMESEVAVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSLQYPYFLRTTHSDYFQMYAVADLVDLFGWREVIAIFVDDDYGRSGISVLGDALAKKRAKISYKAAFSHGDPKSKINDLLVEVNLMESRVYVVHVNPDTGLNIFAVANALNMMSGNYVWIATDWLPTYLDSMEAADPDTMNLLQGVVALRRYTPDTNLKKSFMSRWKNLKYNGSASPAGFNSFALYAYDSVWLAAHALEVFLNEGGNFSFSKDPTLHVANGSMLHLESLHVFNGGQQLLSTLLRMNFTGLSGQIQFDPDKHLVHPAYDVLNVGGTGMRRIGYWSNYSHLSIVPPESLYTKPPNLSTGSQHLYSVIWPGETTAKPRGWVFPNNGQPLRIAVPNRVGYKEFASKDKGPQGVRGYCIDVFEAAISLLPYAVPRTYMLYGDGKRNPNYNELVSQVAQNKYDAAVGDISIVTNRTKIVDFTQPYMESGLVVVAPVKEAKSNPWAFLKPFTKEMWFVTAAFFLFVGAVVWILEHRINHEFRGPPSQQLITIFWFSFSTMFFSHRENTLSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLTSGIQGIDSLISSTVPIGIQDGSFAFNYLIDELNIAESRIVKLKNPEAYLKALELGPKRGGVAAIVDELPYIELFLASTNCLYRTVGQEFTKSGWGFVSIHIKHFG >EOY01101 pep chromosome:Theobroma_cacao_20110822:2:37653377:37660761:-1 gene:TCM_011053 transcript:EOY01101 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-3-O-acyl N-acetylglycosamine deacetylase family protein MGSNSLSAAFNSLKSSNLISWKSTGTLQQTLAGCIELMGKTLHSGKVSMVKIWPGFAGEGRYFEFQSKLIPACIDFAQESPLCTTLCKDGYKIRTVEHLLSALEARGIDNCRIQIQSFGSEDPEVEVPIFDGSASAWVEAIDKVGRKEALDRCGNNAEKLAPYLNEPLHVSRNDSFMVAFPSPKVRISYGIDFPKVPAIGCQWFSSTAFGDFYKKHIASSRTFCIYEEVEQMRNAGLIKGGSLDNAIVCSASKGWLNPPLRFHDEPCRHKVLDLIGDLSLFASPGSQGFPVAHIVAFKGGHSLHADFVRRLSGII >EOX96971 pep chromosome:Theobroma_cacao_20110822:2:768314:774162:1 gene:TCM_006095 transcript:EOX96971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor 30 MDDSEGGLSFDFEGGLDAGPAAPTASMPVVNSDPSAAANNNSNNNSAVPGAAPTSTNDPAAAVGGGGAGRRSFRQTVCRHWLRSLCMKGDACGFLHQYDKSRMPVCRFFRLFGECREQDCVYKHTNEDIKECNMYKLGFCPNGADCRYRHAKLPGPPPPVEEVLQKIQQLSSYNYNKFFQQRNSGFAQQTEKSQIPQGQNNVNQGAGGKPSTTESANMHPQQQVQQPQQQVSQTQIQNVPNGQSNQANKTAIPLPQGISRYFIVKSCNRENLELSVQQGVWATQRSNEAKLNEAFDSAENVILIFSVNRTRHFQGCAKMTSKIGGSVAGGNWKYAHGTAHYGRNFSVKWLKLCELSFHKTRHLRNPYNENLPVKISRDCQELEPSIGEQLASLLYLEPDSELMAISVAAELKREEEKAKGVNSDNGGENPDIVPFEDNEEEEEEESEEEDESFSAAAQGRGRGRGVMWPPHMPLARGARPMPGMRGFPPMMMGGDGFSYGPVTPDGFGVPDLFGAPRPFPPYGPRFSGDFTGPASGMMFPGRPPQPGAMFPAGGLGMMMGPGRAPFMGGMGPTGANPVRGGRPVSMPPMFPPPPAPSSQNSGRAVKRDQRTPTNDRYGAGSEQGRGQEMAGPGGRLDDETQYQQEGQKAHHEDQFAAGNSFRNDESESEDEAPRRSRYGEGKKKRRSLEGDDANGSDH >EOX97523 pep chromosome:Theobroma_cacao_20110822:2:2560440:2561230:1 gene:TCM_006518 transcript:EOX97523 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MISAKKLIKLARKWQKMAAIRRKRITFPRTTEDADTNSCSTSSVVEKGHFVVYSADQKRFVLPLEYLQNEIVMELFNMAEEEFGLPGNGLLMLPCDATFMEYIIALLKRKPSKDVEKALLLSVASGRCSSSYLYQQETSQQLPIWSF >EOX99359 pep chromosome:Theobroma_cacao_20110822:2:10388732:10390962:1 gene:TCM_007994 transcript:EOX99359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLKKLLLSLLLLSGLFSHRLLIESGSSIRLIRCFFFLKDLWISLYLKVINHHPHNLYKRHNFQVYGPNF >EOX97426 pep chromosome:Theobroma_cacao_20110822:2:2237726:2238666:-1 gene:TCM_006440 transcript:EOX97426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGACASVPKAMRDEASAPLPEPTKEESVQAEHTPEEVMVEKEDNEADESKQQSLSSLLDEPEKTEADKEPTEAQQPQVEVPAEPKPEESKQEETKVEAQKEEPEAEPKKEEQKEEAKEEEPKVEPKKEEPKTEAPAAPAETEEKKEKKTEAKESQ >EOY00624 pep chromosome:Theobroma_cacao_20110822:2:33989545:33996383:1 gene:TCM_010512 transcript:EOY00624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter associated with antigen processing protein 1 MALPLCCNAKLPPCFRSSTRYEQKFHFINHQNIRFFRSSEVSISSKRISHRLAPLKSSSINGFSVEKNLEHFEGAHSVENVELRERIRKWIDFLQSVLPGGSWWSFSDDVELKLMAKPVTVWRALSRMWQLISKDHLVIFAAFSTLIVAALSEISIPHYLTASIFSAQSGEIVVFHQNVRLLIMICVTAGICSGLRGCCFGIANMILVKRMRETLYSALLLQDISFFDGETVGDLTSRLGSDCQQVSRVIGNDLNLILRNVLQGTGALIYLLILSWPLGLCTLVICSTLAAVMLVYGLYQKKAAKLIQEFTASANEVAQETFSLMRTVRVYGTEKQELKRYNHWLNKLADISLRQSAAYGVWNLSFNTLYHSTQIIAVLVGGMYILAGQITAEKLTKFILYSEWLIYSTWWVGDNVSSLMQSVGASEKVFQLMDLMPSDQFISKGMTMQKLLGHIEFVNVSFQYPSRETVPVLRCINLSIHPGEVVAIVGLSGSGKSTLVNLLLRLYEPTNGQILIDGVPLRELDIKWLRGKIGYVGQEPKLFRMDISSNIKYGCTRNVKQEDVEWAAKQAYAHDFITLLPNGYNTLVDDDLLSGGQKQRIAIARAILRDPTILILDEATSALDAESEHNVKGVLHAVRSDSSTKRTVIVIAHRLSTIQAADRIVVMDGGQIIEMGSHSELLCKDGLYARLTRRQTDALV >EOX99784 pep chromosome:Theobroma_cacao_20110822:2:16347067:16350124:1 gene:TCM_008714 transcript:EOX99784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLEKLRKIASAIKRLSDDKIDDSLLYAQVMSMDGYDEQFLISAFDYLMEHEKQAKAFMVRSDNLKRAWLDKIMFRGTNN >EOX98825 pep chromosome:Theobroma_cacao_20110822:2:7374718:7377982:1 gene:TCM_007505 transcript:EOX98825 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAMP-regulated phosphoprotein 19-related protein isoform 1 MSGMENVKEQEQVDVNVTQESGKSMPSSQEEEAVIKKKYGGIMPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLEALRPKLQPTQQQTRYRKSPYAPADGEDGGSTQPEDGTANE >EOX98826 pep chromosome:Theobroma_cacao_20110822:2:7374944:7377813:1 gene:TCM_007505 transcript:EOX98826 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAMP-regulated phosphoprotein 19-related protein isoform 1 MSGMENVKEQEQVDVNVTQESGKSMPSSQEEEAVIKKKYGGIMPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLEALRPKLQPTQQQTRYRKSPYAPADGEGMPSY >EOY00288 pep chromosome:Theobroma_cacao_20110822:2:30937181:30942144:-1 gene:TCM_010127 transcript:EOY00288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVKLKHSTAQHSTAQRFNFCSGVARFWWEEKGWAQPDSVIPVGRLALFKSVSPVATMDHNRSPRFCDAGEGLPECEIDDDIIR >EOX99415 pep chromosome:Theobroma_cacao_20110822:2:11063697:11083065:1 gene:TCM_008095 transcript:EOX99415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESSWPSSSYDGIYQVTQHMASTQQSEGDCLSKDHFSSLPDRVHLDLKQNDFTDLLNIWDKWGATTRANFDRKYGHIARLLKVQVDEQLLKAIVQFWDPSYRCFVFNKVDMVPTIEEYSALLQIDLDNPDKIYWRGQKTGHRRKLAKMMGITSAEVDQNLRKKGDNECIPWSFLRSYIMKQRDTEQGQLVMALAIYGLVIFPKVLGHIEVGIIDFFEQVVNKANPSPSILAKTLRSLNYCRRKGEGRFVGCAQLLSIWIVSHFECKVDKFRKPFHPQTAPIREFCESEWPENRTKEQWISRFRELMSVEVTWRAPWMPHHPVLYKCGNEPWVPLMGPWGVISYAPIMVRRQFGSEQFVPMTHRLNTLEFAYGEPSFLKKIEEIAQAWKKTSRVDQGRYTDEVTTGYQIWHDQRVKDVVYPKEDALRGPVDPEPRDALLESELARKKSEAENASWKQRYEDLQKECEKMKREVSEQRKKVQKMEGKYESLNDKFSATTSELQREIQVRENQGNELQTHNDGLRRQVRFQQESIQLLRQEYEELERVMTTYQQEYERLKQQSTRIQEWGESYRQAYTEKYNQMDYLVWQMREVAYKARSMAWKTDILRSQIFPVGKQEQQLIKYLDEHIIIHTCINHHFMAISSKIARPPKPSDERVHNTRQRARIMEQEQRERMDRMERAQEEMREQLAKMMELMMSLSKGKRAIEEPAQSENPPAQDSGNQREDPPYPPGFTPPHAQTSQRVHPQVIPPVYYNAPPPLGHQPIHGQFGPYSGINPAESINIPDLDDPKEQEKLRNDSSQTGKNEKDQKKYDLLEERLRAIEGVDRFGTMDATELCLVPDVLIPAKFKVPKFEKYDGTKCPMAHITMYCRKMAAQSHDDKLLIYFFQDSLTGSAARWYVQLDRNSIKTWKALARAFIAQYKHVAELAPDRLSLQTMEKKQSENFKEYAQRWRDTAAQVQPPLTDKEMTVLFINTLRAPFYERLIGNAMKNFADLVLSGEIIEGAIKSGKIEGHEVASSKKGSTPKKKEGDVQAVAHDSQQAHNFNPYYPYPPYQPFYPHIGNVTQNPYVYQPVPQPTFQTNVLPQTPPPRPIASTNNPGHGQRGPKTTPERPKFDPIPVPYTTLLPQLIENRLIARTPLEPLRPPFPKWYDPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKHKVQALIKAGLLNFAKKDSSSVDGNPLPNHGRPTVNAIHEGMIRRVKKGIDEIQTPMDKVFEALSKINAITPEPIDTKEFGHDLAYSCKFHMGAIGHSIQNCDGFRRKLQELMDSSVIEFYEGAEENLVGTINRDTPAEVASSSFGANKPKPLTIFYEENKSPMNDTSPTMSRNGITIEVPSPFPYKSDKAVPWNYECNILGTVSSTPQASFEDITGVGGITRSGRCYSPEAAEKVGKGKPAQGEGGLKKADTFSKNQVDESVVAPNNEVKNPVTEKEEGEFLKFIKHSEYSVVEQLTKMPARISLLSLLLNLEAHRNALLKVLNQAYVAQDISVEKLDHIVGNITVGNFIAFNDEEIPSGGRRGNKALHITIKCKDHAVPRVLVDNGSALNVMPRSTLTKLPVDVSYMRTSRMVVRAFDGTTREVVGDIELPIKIGPCIFEVQFQVMDIAPSYNCLLGRPWIHMAGAIPSSLHQKVKFIAEGQLISVCAEEDILAIQPSSAPYVEATEEVPECSFRSFEFVNATYVGERKVIPTPRLSVATKMGVKQTVGKGCRAGLGLGKNLQGINRPLTPMKNEERFGLGYKHTKEERRKLTAQKKIKRMAQLEGKEEEFGERTIPRLYETFRSAGFIHPEAPPKVNQVLRIFDELSIHMIRDEEPDGKIPMVYPVLPGEELSNWTATELPIIFKSSKM >EOY00146 pep chromosome:Theobroma_cacao_20110822:2:27075698:27091457:1 gene:TCM_009743 transcript:EOY00146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFGILFIERLCLSLLFSVFRHSGFNHGLSLSCLISLIIIHPFHVGRCGFPLCCVELDEKEEEEEEEKDTMGIEDE >EOX98450 pep chromosome:Theobroma_cacao_20110822:2:5812020:5813417:-1 gene:TCM_007211 transcript:EOX98450 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 38, putative MHSLSLPLYICLCALLIFLSFNHSLPTNPLDARNPISSPSFFSFSCAETMSCNGCRVLRKGCSENCMLRQSLQCIDNPQAQAHATVFVAKFFGRAGLMSFLSSVSKPQRPALFHSLMFEAVGRAVNPVSGAVGLLWTGNWNVCQSAVQTVLRGGTLQPLPKFSGGVSGSDFEDVSETVGGTGLQCFRTREEDFVKRKGFGDVVKCEANDLDLCLMIGDDRAGKRRREATPSEESEMTTLGSRSDAGDGSSSKGDARKLLRLFI >EOX99786 pep chromosome:Theobroma_cacao_20110822:2:16353964:16385178:1 gene:TCM_008716 transcript:EOX99786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering locus C, putative isoform 1 MGRRKVQMKRIEDKSSRQVTFSKRRSGLIKKARELSVLCDVEIALVIFSSRGRLYEFSSADGLTKILERYRCHYEQESEASKDVNEALRSHTELLHIVQSQLEEPNVEQLSLMDLVNLEKQLDMALSETRARKTQLMMESIMTLQEKEKLLRAENELLERESAAMEKNEDEGNEVVIGFSNHQYLGNLGSLCCPTPTRL >EOX99785 pep chromosome:Theobroma_cacao_20110822:2:16353967:16385471:1 gene:TCM_008716 transcript:EOX99785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering locus C, putative isoform 1 MGRRKVQMKRIEDKSSRQVTFSKRRSGLIKKARELSVLCDVEIALVIFSSRGRLYEFSSADGLTKILERYRCHYEQESEASKDVNEALRSHTELLHIVQSQLEEPNVEQLSLMDLVNLEKQLDMALSETRARKTQLMMESIMTLQEKEKLLRAENELLERESAAMEKNEDEGNEVVIGFSNHQYLGNLRQQQTLSLLQ >EOX98796 pep chromosome:Theobroma_cacao_20110822:2:7267335:7274283:-1 gene:TCM_007485 transcript:EOX98796 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ uptake permease 7 isoform 2 MAEEVSAGSGGGSSSERGGEINGVGLASMDSLESRWVFQDEDDSEIDDEEDDDDDDDDAPHRAGVDSEDEDTPEQRLIRTGPRIDSFDVEALEVPGTHRSEYEDFGIGRKIILAFQTLGVVFGDVGTSPLYAFSVMFSKAPINGDEDVIGALSLVLYTLILIPLIKYVLVVLWANDDGEGGTFALYSLICRHAKVSLLPNQLPSDTRISSFRLKVPSAELERSLKIKERLETSLTLKKLLLMLVLAGTSMVIADGVVTPAMSVMSAVGGLKVGVAAIEQDEVVMISVAFLVILFSVQKFGTSKVGLAVGPALFIWFCSLAGIGIYNLLKYDASVLRAFNPVHMYLYFKRNSVKAWYALGGCLLSATGSEAMFADLCYFSVRSVQLTFVFLVLPCLLLGYLGQAAYLIGNPNDAEQAFFSSIPSGAFWPIFLIANIAALIASRAMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGQIYIPVINWFLLVVCLIFVCSISSINEIGNAYGIAELGVMMMTTILVTIVMLLIWQINIIIVLSFVIFFLGLELTFFSSVLWSVTDGSWIVLVFAVIMFLIMYVWNYGSKLKYETEVKQKLSMDLMRELGCNLGTIRAPGIGLLYNELVKGVPAIFGHFLTTLPAIHSMIIFVCIKYVPVPVVPQSERFLFRRVCPKGYHIFRCIARYGYKDVRKENHQTFEQLLIESLEKFIRREAQERQLESDGDEDTDSGEDNSFSRVLIAPNGSVYSLGVPLLADFRGTSNPISEASTSEEVKADSPADQSKSDAEHSLERELSFIRKAKESGVVYLLGHGDIRARKDSWFIKKLVINYFYAFLRKNCRRGIANLSVPHSHLMQVGMTYMV >EOX98797 pep chromosome:Theobroma_cacao_20110822:2:7267594:7274107:-1 gene:TCM_007485 transcript:EOX98797 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ uptake permease 7 isoform 2 MAEEVSAGSGGGSSSERGGEINGVGLASMDSLESRWVFQDEDDSEIDDEEDDDDDDDDAPHRAGVDSEDEDTPEQRLIRTGPRIDSFDVEALEVPGTHRSEYEDFGIGRKIILAFQTLGVVFGDVGTSPLYAFSVMFSKAPINGDEDVIGALSLVLYTLILIPLIKYVLVVLWANDDGEGGTFALYSLICRHAKVSLLPNQLPSDTRISSFRLKVPSAELERSLKIKERLETSLTLKKLLLMLVLAGTSMVIADGVVTPAMSVMSAVGGLKVGVAAIEQDEVVMISVAFLVILFSVQKFGTSKVGLAVGPALFIWFCSLAGIGIYNLLKYDASVLRAFNPVHMYLYFKRNSVKAWYALGGCLLSATAGSEAMFADLCYFSVRSVQLTFVFLVLPCLLLGYLGQAAYLIGNPNDAEQAFFSSIPSGAFWPIFLIANIAALIASRAMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGQIYIPVINWFLLVVCLIFVCSISSINEIGNAYGIAELGVMMMTTILVTIVMLLIWQINIIIVLSFVIFFLGLELTFFSSVLWSVTDGSWIVLVFAVIMFLIMYVWNYGSKLKYETEVKQKLSMDLMRELGCNLGTIRAPGIGLLYNELVKGVPAIFGHFLTTLPAIHSMIIFVCIKYVPVPVVPQSERFLFRRVCPKGYHIFRCIASRYGYKDVRKENHQTFEQLLIESLEKFIRREAQERQLESDGDEDTDSGEDNSFSRVLIAPNGSVYSLGVPLLADFRGTSNPISEASTSEEVKADSPADQSKSDAEHSLERELSFIRKAKESGVVYLLGHGDIRARKDSWFIKKLVINYFYAFLRKNCRRGIANLSVPHSHLMQVGMTYMV >EOX99711 pep chromosome:Theobroma_cacao_20110822:2:14353317:14354259:-1 gene:TCM_008508 transcript:EOX99711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MSPKAAQALATFLVALTGQAQAGSVPPAVFPVIAPVPPPPIPPQTPDVSISKKLKEARQLGCVSFTGELDETVVRDWVIQVSETLTDMRLDDEMKLKVATWLFEKRARTKWSSVKSHSPISLTWTNFL >EOX99688 pep chromosome:Theobroma_cacao_20110822:2:13762765:13765704:1 gene:TCM_008450 transcript:EOX99688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTNKISFIGKMLKNMYVIFLEDLDVNSEHLGKFDAKSDEAIFLGYALNSKAYRAFNKRTLTVEESVHVVFDESNALQKEVHDDDDDIEILEKQMEEMSLENNKNNEESSSRRENETPFLKNLQRAKNQHDDLPRSWRFVRDHPQDQIIDDIVYGATNEVLCKNFAKEMQGEFEMSMMGEFKYFLGLQIKQSENESSSIKKDILMICSRNLIC >EOY02196 pep chromosome:Theobroma_cacao_20110822:2:42289780:42297461:1 gene:TCM_011901 transcript:EOY02196 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein MGGGRRRKLVLSKIYGFACGKASFKEDHSQIGGPGFSREVFCNEPDCSEAGIRNYCDNYVRTAKYTVATFLPKSLFEQFRRVANFFFLVTGILSLTPLAPYSAISAIVPLIIVIGATMVKEGVEDWRRNQQDIEVNNRKVKVHQRDGNFQYSEWKNLRVGDIVKVQKDEFFPTDLILLASSYEDAVCYVETMNLDGETNLKLKQALEVTSSLQEDYNFLDFKATIKCEDPNANLYSFVGSMEFEEQQYPLSPQQLLLRDSKLRNTEYIYGAVVFTGHDTKVMQNSTDPPSKRSKIEKKMDRIIYLMFFIVFIMGFVGSIFFGVATRKDLENGRIKDRWYLRPDSSDIFFDPKKAPAAAIYHFLTALLLYSYFIPISLYVSIEIVKVLQSIFINQDIHMYYEEADKPAHARTSNLIEELGQVDTILSDKTGTLTCNSMEFIKCSVAGTAYGRGVTEVERAMDRKKGSPLAHEKLNGLNHNHGSTDIKPTVKGFNFKDERIMNGNWVNEPCADVIQKFFRLLAICHTAIPEVDEDTGKVMYEAESPDEAAFVIAARELGFEFYKRTQTSISILELDPVSGKKVDRLYTLVNVLEFNSSRKRMSVIVRDEEGKLLLLCKGADSVMFERLAKNGRDFEEDTREHINEYADAGLRTLLLAYRELSENDYNVFNEKFTEAKNSVSADSETLIDEVADKIERELILLGATAVEDKLQNGVPDCIDKLAQAGIKLWVLTGDKMETAINIGYACSLLRQGMKQIIINLDTPEIQSLEKTGQNNAITKASRKSVLEQIIQGKAQVTASSASSEAFALIIDGKSLAYALEDDIKNIFLELAIGCASVICCRSSPKQKALVTRLVKSGTGKTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDVAIAQFRYLERLLLVHGHWCYRRISSMICYFFYKNITFGFTIFLYEAYASFSAQPAYNDWYLSLYNVFFSSIPVIAMGVFDQDVSARFCLKFPLLYQEGVQNVLFSWCRIVSWMFNGFYSAITIFFLCSKALEHEAFNHAGKTAGREILGGTMYTCVVWAVNLQMALSISYFTLIQHIVIWGSIAVWYLFQLVYGALPPSFSTNAYQVFIEALAPAPSYWLITLFVVIATLIPYFLYSAIQMRFFPMYHGMIQWIRHEGRSNDPDYCEMVRQRSIRPTTVGFTARRAASMCQR >EOY01962 pep chromosome:Theobroma_cacao_20110822:2:41525585:41531107:-1 gene:TCM_011740 transcript:EOY01962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISINNVNEENVSVVQLRCFLFLPSFLFPFGLLLILPLCLWSVREVKLGGEREGEREEGEGVGLPM >EOX99123 pep chromosome:Theobroma_cacao_20110822:2:8587963:8590638:-1 gene:TCM_007732 transcript:EOX99123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEAMYLNPLCPMCATNGDIVHMGAMYLDVEGQLQVRYPYGETRFDLEVFYRQLIRGYINYTHNYHGELLEDLWHREAMRQQRRCLDRVRENVTVYKIVTCTAHKTCRYSTTNDCYTPPKARNQP >EOX97261 pep chromosome:Theobroma_cacao_20110822:2:1745860:1751496:1 gene:TCM_006338 transcript:EOX97261 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacid aminotransferase-like PLP-dependent enzymes superfamily protein isoform 2 MNLQVILQKIHIIDFQVFLIARRVSRSFLKLNMFLSRQAMFGLSICARNVNQLSRIESLLSKPKLRSYARPVTTALENYESSIYSSGKTEYANVNWDELGFALTKTDYMYVMNCSKDEEIFSEGILTRFGNIELCPSSGILNYGQGLFEGLKAYRKEDDGILLFRPEENALRMKMGADRMCMPSPTVEQFIDAVKRTVLANKRWVPPHGRGALYIRPLLMGTGPNLGVKPASEYTFLAYASPVGNYHKSPMNLVVEDKVCRAAPGGTGGVKAVTNYSPIYKTLGQAKARGFTDVLFLDALTGRNIEEGSAFNIFILKGNVISTPTAHGTILPGITRKSIIEIASTLGYQVEERDVPIEEVFDAEEVFCTGTAMVLKSVVSITYQGKRIEYKMGEEPVAQKLHATLTGIQTGLIEDKMGWTVEVD >EOX97262 pep chromosome:Theobroma_cacao_20110822:2:1745860:1751496:1 gene:TCM_006338 transcript:EOX97262 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacid aminotransferase-like PLP-dependent enzymes superfamily protein isoform 2 MNLQVILQKIHIIDFQVFLIARRVSRSFLKLNMFLSRQAMFGLSICARNVNQLSRIESLLSKPKLRSYARPVTTALENYESSIYSGKTEYANVNWDELGFALTKTDYMYVMNCSKDEEIFSEGILTRFGNIELCPSSGILNYGQGLFEGLKAYRKEDDGILLFRPEENALRMKMGADRMCMPSPTVEQFIDAVKRTVLANKRWVPPHGRGALYIRPLLMGTGPNLGVKPASEYTFLAYASPVGNYHKSPMNLVVEDKVCRAAPGGTGGVKAVTNYSPIYKTLGQAKARGFTDVLFLDALTGRNIEEGSAFNIFILKGNVISTPTAHGTILPGITRKSIIEIASTLGYQVEERDVPIEEVFDAEEVFCTGTAMVLKSVVSITYQGKRIEYKMGEEPVAQKLHATLTGIQTGLIEDKMGWTVEVD >EOY01035 pep chromosome:Theobroma_cacao_20110822:2:36999710:37008767:1 gene:TCM_010971 transcript:EOY01035 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MIASTLSTHFNIKLPNPQQAFTPSSSLEAMSVKPRRKNSSFSAKFRHLHHYHHLWSSFFKPNSCSVRTVLSKVSGDGGMVDATPQEPAAVSDAEEINSSSSTLGDNYVALFVRMLGLDHDPLDREQAVMALWKYSLGGKKCIDAIMQFQGCVNLTVNLLSSESSATCEAAAGLLRSISSINLYRGLVAESGAIEEITGLLSRPSLTSEVKEQSMCALWNLSVDEKLRVKIANIDILPLLINCLDDNDIKVKEAAGGVLANLALSNCNHKIIVEAGVIPKLAKLLKIDVEGSKVIRKEARNALLELAKDPYYRILVIEEGLVPVPMVGADAYKSFRPQLYSWPTMPDGTEIEQTSKGPSRFGASELLLGLNVDKNVDIEEAKINAIVGRTQQQFLARIGAIELNDGKKSQAEFPTDQRLALLPWMDGVARLVLILGLDDEVALSRAAESIADSSINEHMRTSFKEAGAIKHLIQLLDHNSGAVRSAVTHALERLSVSSGDCEVLEAEGILHPLVSTLKHSENSESLMEKTLDILARILDPSKEMKSKFYDGPVNGSKKGLDASRRLDAFVGLTEDRPVSIMESRKELLDSAVITRLIEILKASSSNLQRKAASILEFMTIIEPSMETIMTVDISSGLDAVFQQKVLKDMEADVEGQELDKYALELEEAGLAVSAASRLLTKLLESEKFCQKILRIQSTWR >EOY01034 pep chromosome:Theobroma_cacao_20110822:2:36999582:37009097:1 gene:TCM_010971 transcript:EOY01034 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MIASTLSTHFNIKLPNPQQAFTPSSSLEAMSVKPRRKNSSFSAKFRHLHHYHHLWSSFFKPNSCSVRTVLSKVSGDGGMVDATPQEPAAVSDAEEINSSSSTLGDNYVALFVRMLGLDHDPLDREQAVMALWKYSLGGKKCIDAIMQFQGCVNLTVNLLSSESSATCEAAAGLLRSISSINLYRGLVAESGAIEEITGLLSRPSLTSEVKEQSMCALWNLSVDEKLRVKIANIDILPLLINCLDDNDIKVKEAAGGVLANLALSNCNHKIIVEAGVIPKLAKLLKIDVEGSKVIRKEARNALLELAKDPYYRILVIEEGLVPVPMVGADAYKSFRPQLYSWPTMPDGTEIEQTSKGPSRFGASELLLGLNVDKNVDIEEAKINAIVGRTQQQFLARIGAIELNDGKKSQAEFPTDQRLALLPWMDGVARLVLILGLDDEVALSRAAESIADSSINEHMRTSFKEAGAIKHLIQLLDHNSGAVRSAVTHALERLSVSSGDCEVLEAEGILHPLVSTLKHSENSESLMEKTLDILARILDPSKEMKSKFYDGPVNGSKKGLDASRRLDAFVGLTEDRPVSIMESRKELLDSAVITRLIEILKASSSNLQRKAASILEFMTIIEPSMETIMTVDISSGLDAVFQQKVLKDMEADVEGQELDKYALELEEAGLAVSAASRLLTKLLESEKFCQKVDSDHFTKLLCKILKSDIPLHNKDWVAACLVKLSSFSGPNVDFENPVNMEVTLYETIPRLLEQIKLSLSPEAQEAAVVELNRIISEGVVDSTRAVASEGGIFPLVKLIEEGSERAVEAALSILYNLSMDSENHSAIIAAGAVPALRRIVLSQRSHWTRALRLLRNLPV >EOY01036 pep chromosome:Theobroma_cacao_20110822:2:37001492:37008767:1 gene:TCM_010971 transcript:EOY01036 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MCALWNLSVDEKLRVKIANIDILPLLINCLDDNDIKVKEAAGGVLANLALSNCNHKIIVEAGVIPKLAKLLKIDVEGSKVIRKEARNALLELAKDPYYRILVIEEGLVPVPMVGADAYKSFRPQLYSWPTMPDGTEIEQTSKGPSRFGASELLLGLNVDKNVDIEEAKINAIVGRTQQQFLARIGAIELNDGKKSQAEFPTDQRLALLPWMDGVARLVLILGLDDEVALSRAAESIADSSINEHMRTSFKEAGAIKHLIQLLDHNSGAVRSAVTHALERLSVSSGDCEVLEAEGILHPLVSTLKHSENSESLMEKTLDILARILDPSKEMKSKFYDGPVNGSKKGLDASRRLDAFVGLTEDRPVSIMESRKELLDSAVITRLIEILKASSSNLQRKAASILEFMTIIEPSMETIMTVDISSGLDAVFQQKVLKDMEADVEGQELDKYALELEEAGLAVSAASRLLTKLLESEKFCQKVDSDHFTKLLCKILKSDIPLHNKDWVAACLVKLSSFSGPNVDFENPVNMEVTLYETIPRLLEQIKLSLSPEAQEAAVVELNRIISEGVVDSTRAVASEGGIFPLVKLIEEGSERAVEAALSILYNLSMDSENHSAIIAAGAVPALRRIVLSQRSHWTRALRLLRNLPV >EOX99670 pep chromosome:Theobroma_cacao_20110822:2:13419568:13423264:-1 gene:TCM_008418 transcript:EOX99670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MIRLFTCRALQPSNIYAIRRQINPLLCSSLCGFSAQAAKQTQQSPTFINEPCSCFNSHAYGALLQRCIQNDDPISAMGLHSEILKRGNCLDLFATNILLNMYVKAQLLSEAEILFDEMPERNTISFVTLIQGYTQSLQFVEAVGLFTRLHREGHELNPFVFTSILKVLVSMEWAELGWNFHACIYKLGHESNAFVGTALIDTYSVCGCVDFAREVFDGVRCKDMVTWTGMVACYAENDFFEEALEVFSHMRLIGFKPNNFTFAGVFKACIGLEAFDVAKGVHGCILKARYEHDIYVGVALLELYTKSGNIGNAQRVFEEIPKKDVIPWSFMISRFAQSNQSEVAVSLFCRMRRAFVVPNQFTFASALQACATMGDIELGKQMHGLVHKVGLDLDVFVSNAVMDVYAKCGRIEDSMQLFEKSINRNDVSWNIMIVGYVRCGDAVKALGLFLEMLENRVLATEVTYSSVLCASASLAALELGTQIHSLAIKTNYAKNTVVDNALIDMYSKCGRIRDARLVFDIMNERDEVSWNAMISGYSMHGLGIEALKIFQMMQDRGYKPNTLTFVGVLSACSNSGLFYQGQDYFTSMVQDYGIEPCIEHYSCMVWLLGRSGHLYKAAKLIEEIPFEPSVMVWRALLGACIIHNNVELGRLSAQRILEREPRDEATHVLLSNMYAIARRWENVSAIRQSMKKKGMKKEPGLSWVENHGRVHYFSVGDTSHPDMKVINGILEWLNMRTRRAGFVPNCHAVLLDVEDKEKEHLLWVHSERLALAFAMFRTPSGSQIRIIKNLRICVDCHAAMKLISKIVQRNIIIRDMNRFHHFQNGICSCGDYW >EOX97303 pep chromosome:Theobroma_cacao_20110822:2:1916795:1919341:1 gene:TCM_006370 transcript:EOX97303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble inorganic pyrophosphatase 1 MQTTCFRKPPNSKVLPHLIERERVPPQMSQAMAAAARVIAVASNSTSTAASSCLLLKTPFALKRACNALSFNNGRRLSKRLFSCNAIFNPQVQIKQEGQPETLDYRVFFEDTSGKKISPWHDVPLHLGDGVFNFIVEIPKESSAKMEVATDELYTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSLANNEVEAAFGDNDPVDVVEIGESQRKIGEILKVKPLAALAMIDEGELDWKIVAISLDDPRASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANKFGLGNKAANKDYALKVITETNESWAKLVKRSIPAGELSLV >EOY01862 pep chromosome:Theobroma_cacao_20110822:2:41208695:41210759:1 gene:TCM_011667 transcript:EOY01862 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein MREEPAMSAAEEQMQQLRLKATELILREEWEESIQLYSQLINLCQGQISKTNQDSNPDPDHLSKLHKSLCVAFSNRAEAWSRLQDFTEALQDCDRALQIEATHFKTLLCKGKILLSLNRYAHALDCFKAALFDPQGNGKLEILNGYLEKCKKLEFQSRTGSFDLSDWVLNGFRGKPPELSEYIGPVLVKRSETSGRGLFATKNIDAGTVVLVTKAVAIERGILGGEDSGENAQLVMWKNFIDKVKDAVTKCQRTQLLISMLSTGENEEGLEVPEMSHFRPEVESNGCSKEKLEMDKILSILDVNSLVEEAVSANVLGKNSDFYGVGLWILASFINHSCNANARRLHVGDYVMVHASRDIKAGEEITFMYFDTLSPLDKRMEMSKSWGFNCRCRRCKFEEVCAKQELREIEIGLEKGVDVGAAVYRLEEGMRKWAVRGKEKGFLRASFWSAYSEVYSSDRLMKRWSRRIPLMEAVLDSVVEAVGSNERVLKVVVKGLKKNGGGVVDFERAMKLGRGFYGKVVKKQALRNLLGLGIHDQSY >EOX97337 pep chromosome:Theobroma_cacao_20110822:2:2019615:2022282:-1 gene:TCM_006393 transcript:EOX97337 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 1 MRMDSLPDAIVQYILSHLNNARDVAACNCVSKRWKESLPYLRSLYFPRNSFDSHCGSDNPDSIVWKMLSMIVCLEELVVYSPFTSAGLALWLLLVHSSLKHLELRMDNITEYQACLDSPSKLDCISAAKNLESLKLWGVLMVNPPKWDVFKNLQSLEIVGARLEDPAFSAALRACPNLTNLVLLGCEGVRSVSIELPYLEHCKLDFYGLGNCSLSLTCPKIEHLEVQGCSWIRVRETTCLRNLSIANNAGRVYMVDFGKLSALEFLSMRGVQWCWDAISKMLEWSSEVKHLYMKVEFTGDLETLLPFPEVDFVEFFNSHPKLQKFDIHGAMFAALCQKNSLKNVEPGFVIPCLEEVVVQVRSPLNAEQKMSTLESLLKYGKNLKTMGIRILQMKSSHSSTDDFFDEICRLRFMNRKIVRIE >EOX97336 pep chromosome:Theobroma_cacao_20110822:2:2014048:2021665:-1 gene:TCM_006393 transcript:EOX97336 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 1 MDSLPDAIVQYILSHLNNARDVAACNCVSKRWKESLPYLRSLYFPRNSFDSHCGSDNPDSIVWKMLSMIVCLEELVVYSPFTSAGLALWLLLVHSSLKHLELRMDNITEYQACLDSPSKLDCISAAKNLESLKLWGVLMVNPPKWDVFKNLQSLEIVGARLEDPAFSAALRACPNLTNLVLLGCEGVRSVSIELPYLEHCKLDFYGLGNCSLSLTCPKIEHLEVQGCSWIRVRETTCLRNLSIANNAGRVYMVDFGKLSALEFLSMRGVQWCWDAISKMLEWSSEVKHLYMKVEFTGDLETLLPFPEVDFVEFFNSHPKLQKFDIHGAMFAALCQKNSLKNVEPGFVIPCLEEVVVQVRSPLNAEQKMSTLESLLKYGKNLKTMGIRILQMKSSHSSTDDFFDEICRLRFMNRKIVRIE >EOX97338 pep chromosome:Theobroma_cacao_20110822:2:2019615:2022403:-1 gene:TCM_006393 transcript:EOX97338 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 1 MVRYFHRKHKEAQICLFIYLSSFKIITRMDSLPDAIVQYILSHLNNARDVAACNCVSKRWKESLPYLRSLYFPRNSFDSHCGSDNPDSIVWKMLSMIVCLEELVVYSPFTSAGLALWLLLVHSSLKHLELRMDNITEYQACLDSPSKLDCISAAKNLESLKLWGVLMVNPPKWDVFKNLQSLEIVGARLEDPAFSAALRACPNLTNLVLLGCEGVRSVSIELPYLEHCKLDFYGLGNCSLSLTCPKIEHLEVQGCSWIRVRETTCLRNLSIANNAGRVYMVDFGKLSALEFLSMRGVQWCWDAISKMLEWSSEVKHLYMKVEFTGDLETLLPFPEVDFVEFFNSHPKLQKFDIHGAMFAALCQKNSLKNVEPGFVIPCLEEVVVQVRSPLNAEQKMSTLESLLKYGKNLKTMGIRILQMKSSHSSTDDFFDEICRLRFMNRKIVRIE >EOX97339 pep chromosome:Theobroma_cacao_20110822:2:2020159:2022345:-1 gene:TCM_006393 transcript:EOX97339 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 1 MRMDSLPDAIVQYILSHLNNARDVAACNCVSKRWKESLPYLRSLYFPRNSFDSHCGSDNPDSIVWKMLSMIVCLEELVVYSPFTSAGLALWLLLVHSSLKHLELRMDNITEYQACLDSPSKLDCISAAKNLESLKLWGVLMVNPPKWDVFKNLQSLEIVGARLEDPAFSAALRACPNLTNLVLLGCEGVRSVSIELPYLEHCKLDFYGLGNCSLSLTCPKIEHLEVQGCSWIRVRETTCLRNLSIANNAGRVYMVDFGKLSALEFLSMRGVQWCWDAISKMLEWSSEVKHLYMKVEFTGDLETLLPFPEVDFVEFFNSHPKLQKFDIHGAMFAALCQKNSLKN >EOX98948 pep chromosome:Theobroma_cacao_20110822:2:7881225:7884668:-1 gene:TCM_007608 transcript:EOX98948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprotein-like protein MANFNPLSKILDDNRLSGPYYIDWQRNLTIVLTIEKIAYVLTTDPPELPKTNATDEQKNAFSKWHDADELAKCYILASMTNVLQMQHQGLATARDMIYNLQEMFGEQNRSARQAALKGLMSTKMVEGSPVCEHVLKMISFINELEMLGAKMDAETKMVVTLSELLNMLRVTEDLIKKNDKPVIVLTEKASDSKFKPKSKNKNNTFNGSKFSKKNKGGNLNKAIEKKKILEDSHRPSFPQLLPVPQLSILLLPYLLSIQSLPLSHPACSPSLSITQSPQANNVKQSPSQPQTAASSVNSDESHLDISHAIQSGRSRAAITSTTANNSQLGTNPQSSRERNHLTKPNIQNLRRSSNLIH >EOY01019 pep chromosome:Theobroma_cacao_20110822:2:36819086:36819942:1 gene:TCM_010944 transcript:EOY01019 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLP protein 28 MSSLTGKLEGDVEISAPAEKFHGVICSAPSQLSSICPDVIQECKLLEGEWGELGSNTHWSYVHDGDAKVAQLTIESVDSTNNSVTYRVLGGDLANEFSVFKVKIEATEKDEGSKVHLTFNYIKQSLDVADPETLLELGKQVCKVVDAHLTQA >EOX99817 pep chromosome:Theobroma_cacao_20110822:2:16856280:16861420:1 gene:TCM_008772 transcript:EOX99817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 2, putative isoform 1 MSSISLMEWNAKTPLQWDWENLMMFNSTPTEIPRKLRPIEWDIDGEGGMDSGSLYSSGAAGGSGGSGSDLGLASLSKSSKSVSINSSSMGETKVTKFTLEAFEASPDDISNKKEVSKVEPTGTSPTLEASVGSGEPLLSLKLGKRTYFEDVCAGSNAKISSCSATPAPSPTTAKRSKPNCQSTHVPHCQVEGCNLDLSSAKDYHRKHRVCESHSKSPKVIVGGLERRFCQQCSRFHGLSEFDEKKRSCRRRLSDHNARRRKPQTEAVHFNAARLSSSSYDGKPQMGFVWNKVPFLHARPNEGFTWEGTFDSKSSHMKGFTPTKVGNDNGQLQLPGNQLLNSITMRCQDSNRFLPTKGKDNTAEVLNQGVEEPTLTSKMGTTQDFHRALSLLSNDSWISCEPKHGSLAYSMHANPTSMSQPVMNVISQGFPRALSENWQMEQQTTESQVQATLHGDADNRFQEFQLLKAPYDGGFYSNQMN >EOX99816 pep chromosome:Theobroma_cacao_20110822:2:16856676:16861653:1 gene:TCM_008772 transcript:EOX99816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 2, putative isoform 1 MSSISLMEWNAKTPLQWDWENLMMFNSTPTEIPRKLRPIEWDIDGEGGMDSGSLYSSGAAGGSGGSGSDLGLASLSKSSKSVSINSSSMGETKVTKFTLEAFEASPDDISNKKEVSKVEPTGTSPTLEASVGSGEPLLSLKLGKRTYFEDVCAGSNAKISSCSATPAPSPTTAKRSKPNCQSTHVPHCQVEGCNLDLSSAKDYHRKHRVCESHSKSPKVIVGGLERRFCQQCSRFHGLSEFDEKKRSCRRRLSDHNARRRKPQTEAVHFNAARLSSSSYDGKPQMGFVWNKVPFLHARPNEGFTWEGTFDSKSSHMKGFTPTKVGNDNGQLQLPGNQLLNSITMRCQDSNRFLPTKGKDNTAEVLNQGVEEPTLTSKMGTTQDFHRALSLLSNDSWISCEPKHGSLAYSMHANPTSMSQPVMNVISQGFPRALSENWQMEQQTTESQVQATLHGDADNRFQEFQLLKAPYDGGFYSNQMN >EOX99819 pep chromosome:Theobroma_cacao_20110822:2:16856280:16861420:1 gene:TCM_008772 transcript:EOX99819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 2, putative isoform 1 MSSISLMEWNAKTPLQWDWENLMMFNSTPTEIPRKLRPIEWDIDGEGGMDSGSLYSSGAAGGSGGSGSDLGLASLSKSSKSVSINSSSMGETKVTKFTLEAFEASPDDISNKKEVSKVEPTGTSPTLEASVGSGEPLLSLKLGKRTYFEDVCAGSNAKISSCSATPAPSPTTAKRSKPNCQSTHVPHCQVEGCNLDLSSAKDYHRKHRVCESHSKSPKVIVGGLERRFCQQCSRFHGLSEFDEKKRSCRRRLSDHNARRRKPQTEAVHFNAARLSSSSYDGKPQMGFVWNKVPFLHARPNEGFTWEGTFDSKSSHMKGFTPTKVGNDNGQLQLPGNQLLNSITMRCQDSNRFLPTKGKDNTAEVLNQGVEEPTLTSKMGTTQDFHRALSLLSNDSWISCEPKHGSLAYSMHANPTSMSQPVMNVISQGFPRALSENWQMEQQTTESQVQATLHGDADNRFQEFQLLKAPYDGGFYSNQMN >EOX99820 pep chromosome:Theobroma_cacao_20110822:2:16856280:16861420:1 gene:TCM_008772 transcript:EOX99820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 2, putative isoform 1 MSSISLMEWNAKTPLQWDWENLMMFNSTPTEIPRKLRPIEWDIDGEGGMDSGSLYSSGAAGGSGGSGSDLGLASLSKSSKSVSINSSSMGETKVTKFTLEAFEASPDDISNKKEVSKVEPTGTSPTLEASVGSGEPLLSLKLGKRTYFEDVCAGSNAKISSCSATPAPSPTTAKRSKPNCQSTHVPHCQVEGCNLDLSSAKDYHRKHRVCESHSKSPKVIVGGLERRFCQQCSRFHGLSEFDEKKRSCRRRLSDHNARRRKPQTEAVHFNAARLSSSSYDGKPQMGFVWNKVPFLHARPNEGFTWEGTFDSKSSHMKGFTPTKVGNDNGQLQLPGNQLLNSITMRCQDSNRFLPTKGKDNTAEVLNQGVEEPTLTSKMGTTQDFHRALSLLSNDSWISCEPKHGSLAYSMHANPTSMSQPVMNVISQGFPRALSENWQMEQQTTESQVQATLHGDADNRFQEFQLLKAPYDGGFYSNQMN >EOX99818 pep chromosome:Theobroma_cacao_20110822:2:16856611:16861705:1 gene:TCM_008772 transcript:EOX99818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 2, putative isoform 1 MSSISLMEWNAKTPLQWDWENLMMFNSTPTEIPRKLRPIEWDIDGEGGMDSGSLYSSGAAGGSGGSGSDLGLASLSKSSKSVSINSSSMGETKVTKFTLEAFEASPDDISNKKEVSKVEPTGTSPTLEASVGSGEPLLSLKLGKRTYFEDVCAGSNAKISSCSATPAPSPTTAKRSKPNCQSTHVPHCQVEGCNLDLSSAKDYHRKHRVCESHSKSPKVIVGGLERRFCQQCSRFHGLSEFDEKKRSCRRRLSDHNARRRKPQTEAVHFNAARLSSSSYDGKPQMGFVWNKVPFLHARPNEGFTWEGTFDSKSSHMKGFTPTKVGNDNGQLQLPGNQLLNSITMRCQDSNRFLPTKGKDNTAEVLNQGVEEPTLTSKMGTTQDFHRALSLLSNDSWISCEPKHGSLAYSMHANPTSMSQPVMNVISQGFPRALSENWQMEQQTTESQVQATLHGDADNRFQEFQLLKAPYDGGFYSNQMN >EOX99735 pep chromosome:Theobroma_cacao_20110822:2:15270681:15273898:-1 gene:TCM_008595 transcript:EOX99735 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 4 isoform 2 MDCWSPSLTLDEEFEKLVIRMNPPRVTVDNATSRKATLIKVDSANKRGSLLEVVQVLTDLDLIIRRAYISSDGEWFMDVFHVTDQYGNKLSEVDVAERIQQQLGPRAYSFRSLTRSVGVQAASKHTTIELTGRDRPGLLSEVFAVLTDLKCNVVAAEVWTHNSRMASVVYITDEATGSSIDNPERLTKIKQLLLYVLKGDRDQMRANTAVSVGSTHTERRLHQMMYADRDYDMNDADSGSTSEWSKPLVTVENCADKGYTVVNLRCLDRPKLLFDTVCTLTDMQYVVYHATVIADGPEAYQEYYIRHMDGCPVSSEPERQRVINCLEAAIKRRASEGIRLELCSEDRVGLLSDVTRIFRENGLSVTRAEVTTRGSQAVNAFCVTDASGDPVKSETIEAVRKELGLTILHVKDDAYSKSPPQESGGFSLGTLFKSRSEKFLYNLGLIKSCS >EOX99734 pep chromosome:Theobroma_cacao_20110822:2:15270364:15274042:-1 gene:TCM_008595 transcript:EOX99734 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 4 isoform 2 MDCWSPSLTLDEEFEKLVIRMNPPRVTVDNATSRKATLIKVDSANKRGSLLEVVQVLTDLDLIIRRAYISSDGEWFMDVFHVTDQYGNKLSEVDVAERIQQKPKSSLHQFLLEWESSVLLVARAQLGPRAYSFRSLTRSVGVQAASKHTTIELTGRDRPGLLSEVFAVLTDLKCNVVAAEVWTHNSRMASVVYITDEATGSSIDNPERLTKIKQLLLYVLKGDRDQMRANTAVSVGSTHTERRLHQMMYADRDYDMNDADSGSTSEWSKPLVTVENCADKGYTVVNLRCLDRPKLLFDTVCTLTDMQYVVYHATVIADGPEAYQEYYIRHMDGCPVSSEPERQRVINCLEAAIKRRASEGIRLELCSEDRVGLLSDVTRIFRENGLSVTRAEVTTRGSQAVNAFCVTDASGDPVKSETIEAVRKELGLTILHVKDDAYSKSPPQESGGFSLGTLFKSRSEKFLYNLGLIKSCS >EOX99455 pep chromosome:Theobroma_cacao_20110822:2:11387017:11396111:1 gene:TCM_008139 transcript:EOX99455 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MGCWGFDMVTREYLGSLWISRRRGLGFVFRVVFGLWFGFVLLKPVAGLRPIRERARSWGDEWLFVRKDESELGPFHAWNITGTYRGTWKFLESTNSSSKFPDFRKLAGDSVIELVSTPTKITGVHYIQGVIIFHDVFDNEHNVGGAQIRVEGVYIWPFRQLRMVANSGKEGELSQEEDYILSNPYHLLGVFSSQVFQESPRDKIWRRKNSPVYEMEKHCNIEIAAQISRTSSTQNDGDRDRYHIEGLMESPAVDDDGDCFSPLLLNATSVNVEVYYNKAVNYTLMVTFVSFIQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPMNNSEGWETMRRELSVLYSRFYGILLGGILVMYEFHNFLRPILLLLYSFWIPQIITNVIRDSRKPLHPHYILGMTITRLAIPLYIFGCPHNFMRIEPDKSWCICLGVFIGLQASLLLLQHYLGSRWFIPRQILPEKYSYYRRFDPDTNHATDCVICMTAIDLTQHSSDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRSLPPA >EOX99456 pep chromosome:Theobroma_cacao_20110822:2:11387017:11396114:1 gene:TCM_008139 transcript:EOX99456 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MGCWGFDMVTREYLGSLWISRRRGLGFVFRVVFGLWFGFVLLKPVAGLRPIRERARSWGDEWLFVRKDESELGPFHAWNITGTYRGTWKFLESTNSSSKFPDFRKLAGDSVIELVSTPTKITGVHYIQGVIIFHDVFDNEHNVGGAQIRVEGVYIWPFRQLRMVANSGKEGELSQEEDYILSNPYHLLGVFSSQVFQESPRDKIWRRKNSPVYEMEKHCNIEIAAQISRTSSTQNDGDRDRYHIEGLMESPAVDDDGDCFSPLLLNATSVNVEVYYNKAVNYTLMVTFVSFIQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPMNNSEGWETMRRELSVLYSRFYGILLGGILVMYEFHNFLRPILLLLYSFWIPQIITNVIRDSRKPLHPHYILGMTITRLAIPLYIFGCPHNFMRIEPDKSWCICLGVFIGLQASLLLLQHYLGSRWFIPRQILPEKYSYYRRFDPDTNHATDCVICMTAIDLTQHSSDCMVDGHKDGMPNLPAFTTTSLKKIFHCLYNIGSIDEPNLFIAVGERFQNPLFARVHV >EOY00813 pep chromosome:Theobroma_cacao_20110822:2:35380108:35383536:1 gene:TCM_010749 transcript:EOY00813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease inhibitor MALNFSHRPIFPAHLTEDNLVSPMRIANGYLVEGIPEKNGDGFSKSWHLNCEVEDCFDYGRDRSGERCGSQESVSNDILDLLPSDPFGMDITTTFTAITGWLEDLEVDYGRYVRDEVGTGDGSYQLFAGLNFIWNNAMRFQTFPGSMGFECKGSVSGGFGGCSQVKEGEHVPGCAGLGSACNVEDIFAFGNEDLVSVDQENEEFQDCEVCSDGDEGAPHEALILALGYLGVRDLFAVEKVCTSLRYTVQNDPLLWRSIHIDQPLNEKITDDVLLQITSRAQGSLQCLSLVDCQRITDEGLKLVVENNPKLIKLSVPGCTRLSIEGILNTLKALKSMGTQGVKHLRIAGLYGVTPKHFEELKFLLGMDSQIQQIVHKPHHYNRGNFYLSCDDDRAIDIEMCPRCHNMRLVYDCPAEGCQQKEHAAQLCRACTLCIARCVQCGRCINDSEYEETFCLELLCSDCWKLQLVKCQEKQNGMIGPSNLPALQQTGNPNLHG >EOX98696 pep chromosome:Theobroma_cacao_20110822:2:6740600:6741425:1 gene:TCM_007393 transcript:EOX98696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative MASFNYFFLTFFLALSFASMDVGLAARHLLQLPPMPTLPRTGLPPLSSIPNLPQPTIPTLPTTQPSLPRPVALPPLPSMPTLPTLPTIPRATMPPLPSMPLIPTIPTAIPSLPFLSPPPAPSSP >EOX97798 pep chromosome:Theobroma_cacao_20110822:2:3498596:3500516:-1 gene:TCM_006731 transcript:EOX97798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma-membrane choline transporter family protein MPIFFDVYWFVACTLTSSCSDAFPLVYRILVLVFVLLVVGIIVWIIVSNWQRIELTVTIIAVASDALSRNLGLFLVIPLLTVGLVIYYAPIVVFLVFARFNGKIVAKESNGEYTCVWKQDSWVPAYYTLAILTMLWSLTAMVEAQVYVISGTIAQWYFSKDDSKPKRSTRSSLRNAFGPSSGTVCLSGLLICAVRLVRAAVDSAREEDVPGIVNLVLRCCVNALLSAIDFLNKFTINFAAITGEAYCTSARMTYELLKRNLLSAVFVETVSTRLLAGVIFVLSSVYAIVVCAILHGVSDLGVNAYIVAVLAWVLLIIVLGFFVHVLDNVIDTVYICYAIDRDRGEVYKQEVHEVYVHLPISRNSRSSFPTRTFGV >EOX97178 pep chromosome:Theobroma_cacao_20110822:2:1501928:1502492:-1 gene:TCM_006270 transcript:EOX97178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIVSKMIVEGDMKQLTITKNFDGEPFPSAAHGGKMRVRDEPGALWTFKYKVKSTNERVLSGHWMQFVQNNSVRVGDTVAIDKNDGWSSEAAEYKVEVIRRVQNRSDQ >EOX99311 pep chromosome:Theobroma_cacao_20110822:2:10087515:10089584:1 gene:TCM_007942 transcript:EOX99311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSILQYPDSFNVPELQVWNNAAFDNGESEDTSAIKASWGNLESGSVNQSLESDGSKENQSPLWLKSPVSFKSTASLVKPLCSKNVIGNSKEQELKGIFVEPLSGKLKSGVGKEVEKKHDEKKIDMEIEEIEKEISRLSSKLESLRLEKAEYNARSIAMRGRIVPAKFMEQKQRIGNLEMGKKIEDPLLSSAKTKMSRRGVSLGPTEIFSAMKSRQLTKQEVTTPIQSIQSRRKSCFFKLQDIDEGKVTRERGKSLSVSPRSRKTSKVEAPKPAATTVGCKRAVKKEDGVLATVQPKRLFKDGEKSVTAKKPLKPGRVVASRYNQIANQSNGNFSVNDARKRSLPENGKEESNRHEKKRISHERLVDSCKNQKSESRVKKKWEIPSEVVVFKCETEEESPEPDNKMNDVLPKIRTVRILGKSPRDSGPAKRVAELMGRKSYFCMEEEAEDSVCQALSFAEGDGEED >EOX97903 pep chromosome:Theobroma_cacao_20110822:2:3923492:3925068:1 gene:TCM_006816 transcript:EOX97903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral root primordium protein-related MMMMMRQGGGFGGTRCQDCGNQAKKDCAYMRCRTCCRSKGFECQTHIKSTWVPAYRRRQRHQQLSSVPQQRHLPIHNPKRLRENPSLTGLELGNFPAEVTSPATFRCVRVSSIDDAADQYAYQTIVNIGGHVFKGILYDQGPAEGHHHTVGECSSRETQQPNQINAAALTTATTTTSTTSVAAETLLPFAYASPFNAFMSAGTQFFLHPKS >EOX98653 pep chromosome:Theobroma_cacao_20110822:2:6623233:6628728:-1 gene:TCM_007364 transcript:EOX98653 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 2 MGSTFLSIPTKRAMPDATPSSNGPSKRSKPPATPLPVPPGHVAFRLLCHVSRVGGVIGKSGSVIKQLQQATGSKIRIEDAPAESPDRVITVIGPNAVNTKIVLNYGSLGNGYGSSVEEIDVSKAQEALVRVFERILEVAAESDGVALVMVSCRLLAEVKHVGSVIGKGGKVVEKIREDTGTKIRVLTDKLPACASPTEEIVEIEGGVLAVKKALVAVSHRLQDCPPVNKTRITENRIIESVPSEAWHKPIELLPQETLRRPIDLFPQDTLYRPIDLLPQETLRRAIEVLPQETLHRPIEVVPQEPLHRPIDVVPQGSLRRHIDVVPQGSLRRPIDVVSQEALPDLNIDHLSQRSSLMPTISSSSISYATRVHPLSLESENASPLDTKTLQHEVVFKILCSSDRVGGVIGKGGAIIKALQSDTGTTITIGPTLTDCDERLVTVTASENPESQYSPAQKAVVLVFVRALEASIEKGLDSGSGKGSNVTARLVVPSGQVGCLLGKGGAIISEMRKVTGTGIRILGSDQVPKCVTENDQVVQISGGYLNVKDAIYHVTGRLRDNLFSSTLKNAGAKSSSAVLTETSPYERLMDTAPLGLQVSSGVSYNLSRHTTLAPNSTDSFGLSRSLDCPHSPGLWTSETGNVLNPRSTTDIGRGLTSLRGGFELGSGNRSAIVTNTTVEIRVPENVIDSVYGENGRNLSRLREISGAKVIVHEPQIGTSDRIVVISGTPDQTQAAQSLLQAFILTGPSR >EOX98654 pep chromosome:Theobroma_cacao_20110822:2:6619052:6628798:-1 gene:TCM_007364 transcript:EOX98654 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 2 MGSTFLSIPTKRAMPDATPSSNGPSKRSKPPATPLPVPPGHVAFRLLCHVSRVGGVIGKSGSVIKQLQQATGSKIRIEDAPAESPDRVITVIGPNAVNTKIVLNYGSLGNGYGSSVEEIDVSKAQEALVRVFERILEVAAESDGVALVMVSCRLLAEVKHVGSVIGKGGKVVEKIREDTGTKIRVLTDKLPACASPTEEIVEIEGGVLAVKKALVAVSHRLQDCPPVNKTRITENRIIESVPSEAWHKPIELLPQETLRRPIDLFPQDTLYRPIDLLPQETLRRAIEVLPQETLHRPIEVVPQEPLHRPIDVVPQGSLRRHIDVVPQGSLRRPIDVVSQEALPDLNIDHLSQRSSLMPTISSSSISYATRVHPLSLESENASPLDTKTLQHEVVFKILCSSDRVGGVIGKGGAIIKALQSDTGTTITIGPTLTDCDERLVTVTASENPESQYSPAQKAVVLVFVRALEASIEKGLDSGSGKGSNVTARLVVPSGQVGCLLGKGGAIISEMRKVTGTGIRILGSDQVPKCVTENDQVVQISGGYLNVKDAIYHVTGRLRDNLFSSTLKNAGAKSSSAVLTETSPYERLMDTAPLGLQVSSGVSYNLSRHTTLAPNSTDSFGLSRSLDCPHSPGLWTSENCRQVMY >EOY00591 pep chromosome:Theobroma_cacao_20110822:2:33743913:33747539:-1 gene:TCM_010478 transcript:EOY00591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyl pyrophosphate synthase 3 isoform 8 MAATSPPLPSSFQNRKKIFSNFTSSKPFSILSSDKKCSRFWCQIKSFENQPPNWSVEFLSGTEPIHLIQNSPSSSMSMAAASASDSAVKTVKKVCLFYCAETKALAERIAAESDAIEIRSINWRTFEDGFPNLFIPNAHGIRGQHVAFLASFSSPGVIFEQLSVIYALPKLFISSFTLVLPFFPTGTSERMEDEGDVATAFTLARILSNIPTSRGGPTSLVTFDIHALQERFYFGDNILPCFESGIPLLKNRLQQLPDSDNVRLYPELLGVCFFFFQGK >EOY00590 pep chromosome:Theobroma_cacao_20110822:2:33743446:33747539:-1 gene:TCM_010478 transcript:EOY00590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyl pyrophosphate synthase 3 isoform 8 MAATSPPLPSSFQNRKKIFSNFTSSKPFSILSSDKKCSRFWCQIKSFENQPPNWSVEFLSGTEPIHLIQNSPSSSMSMAAASASDSAVKTVKKVCLFYCAETKALAERIAAESDAIEIRSINWRTFEDGFPNLFIPNAHGIRGQHVAFLASFSSPGVIFEQLSVIYALPKLFISSFTLVLPFFPTGTSERMEDEGDVATAFTLARILSNIPTSRGGPTSLVTFDIHALQERFYFGDNILPCFESGIPLLKNRLQQLPDSDNISIAFPDDGAWKRFHKQLQHFPTIVCNKVRIGDQRIVRIKEGEAAGRHVVIVDDLVQSGGTLIECQGILRMG >EOY00589 pep chromosome:Theobroma_cacao_20110822:2:33743913:33747539:-1 gene:TCM_010478 transcript:EOY00589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyl pyrophosphate synthase 3 isoform 8 MAATSPPLPSSFQNRKKIFSNFTSSKPFSILSSDKKCSRFWCQIKSFENQPPNWSVEFLSGTEPIHLIQNSPSSSMSMAAASASDSAVKTVKKVCLFYCAETKALAERIAAESDAIEIRSINWRTFEDGFPNLFIPNAHGIRGQHVAFLASFSSPGVIFEQLSVIYALPKLFISSFTLVLPFFPTGTSERMEDEGDVATAFTLARILSNIPTSRGGPTSLVTFDIHALQERFYFGDNILPCFESGIPLLKNRLQQLPDSDNISIAFPDDGAWKRFHKQLQHFPTFG >EOY00587 pep chromosome:Theobroma_cacao_20110822:2:33743913:33747539:-1 gene:TCM_010478 transcript:EOY00587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyl pyrophosphate synthase 3 isoform 8 MAATSPPLPSSFQNRKKIFSNFTSSKPFSILSSDKKCSRFWCQIKSFENQPPNWSVEFLSGTEPIHLIQNSPSSSMSMAAASASDSAVKTVKKVCLFYCAETKALAERIAAESDAIEIRSINWRTFEDGFPNLFIPNAHGIRGQHVAFLASFSSPGVIFEQLSVIYALPKLFISSFTLVLPFFPTGTSERMEDEGDVATAFTLARILSNIPTSRGGPTSLVTFDIHALQERFYFGDNILPCFESGIPLLKNRLQQLPDSDNISIAFPDDGAWKRFHKQLQHFPTT >EOY00592 pep chromosome:Theobroma_cacao_20110822:2:33743452:33747539:-1 gene:TCM_010478 transcript:EOY00592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyl pyrophosphate synthase 3 isoform 8 MAATSPPLPSSFQNRKKIFSNFTSSKPFSILSSDKKCSRFWCQIKSFENQPPNWSVEFLSGTEPIHLIQNSPSSSMSMAAASASDSAVKTVKKVCLFYCAETKALAERIAAESDAIEIRSINWRTFEDGFPNLFIPNAHGIRGQHVAFLASFSSPGVIFEQLSVIYALPKLFISSFTLVLPFFPTGTSERMEDEGDVATAFTLARILSNIPTSRGGPTSLVTFDIHALQERFYFGDNILPCFESGIPLLKNRLQQLPDSDNISIAFPDDGAWKRFHKQLQHFPTIVCNKVRIGDQRIVRIKEGEGHPENGLTYFWITDSCPQTVKAVMSKPPFEILSLAGSIAAALQI >EOY00593 pep chromosome:Theobroma_cacao_20110822:2:33745738:33747534:-1 gene:TCM_010478 transcript:EOY00593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyl pyrophosphate synthase 3 isoform 8 MAATSPPLPSSFQNRKKIFSNFTSSKPFSILSSDKKCSRFWCQIKSFENQPPNWSVEFLSGTEPIHLIQNSPSSSMSMAAASASDSAVKTVKKVCLFYCAETKALAERIAAESDAIEIRSINWRTFEDGFPNLFIPNAHGIRGQHVAFLASFSSPGVIFEQLSVIYALPKLFISSFTLVLPFFPTGTSERMEDEGDVATAFTLARILSNIPTSRGGPTSLVTFDIHALQERFYFGDNILPCFESGIPLLKNRLQQLPDSDNVRYPLLFLMMVLGKDFISSCNIFQRYIRSA >EOY00586 pep chromosome:Theobroma_cacao_20110822:2:33743268:33747485:-1 gene:TCM_010478 transcript:EOY00586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyl pyrophosphate synthase 3 isoform 8 MAATSPPLPSSFQNRKKIFSNFTSSKPFSILSSDKKCSRFWCQIKSFENQPPNWSVEFLSGTEPIHLIQNSPSSSMSMAAASASDSAVKTVKKVCLFYCAETKALAERIAAESDAIEIRSINWRTFEDGFPNLFIPNAHGIRGQHVAFLASFSSPGVIFEQLSVIYALPKLFISSFTLVLPFFPTGTSERMEDEGDVATAFTLARILSNIPTSRGGPTSLVTFDIHALQERFYFGDNILPCFESGIPLLKNRLQQLPDSDNISIAFPDDGAWKRFHKQLQHFPTIVCNKVRIGDQRIVRIKEGEAAGRHVVIVDDLVQSGGTLIECQRVLAANGAAKISAYVTHGIFPNRSWQRFECDNGGHPENGLTYFWITDSCPQTVKAVMSKPPFEILSLAGSIAAALQI >EOY00588 pep chromosome:Theobroma_cacao_20110822:2:33744769:33747382:-1 gene:TCM_010478 transcript:EOY00588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyl pyrophosphate synthase 3 isoform 8 MAATSPPLPSSFQNRKKIFSNFTSSKPFSILSSDKKCSRFWCQIKSFENQPPNWSVEFLSGTEPIHLIQNSPSSSMSMAAASASDSAVKTVKKVCLFYCAETKALAERIAAESDAIEIRSINWRTFEDGFPNLFIPNAHGIRGQHVAFLASFSSPGVIFEQLSVIYALPKLFISSFTLVLPFFPTGTSERMEDEGDVATAFTLARILSNIPTSRGGPTSLVTFDIHALQERFYFGDNILPCFESGIPLLKNRLQQLPDSDNISIAFPDDGAWKRFHKQLQHFPTIVCNKVRIGDQRIVRIKEGEAAGRHVVIVDDLVQSGGTLIECQVCNS >EOX97297 pep chromosome:Theobroma_cacao_20110822:2:1873245:1878302:1 gene:TCM_006366 transcript:EOX97297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMGCSTGTDDGIGRRKARGFQKREHTGGRRKARGFQKERTQAAEGRGKRRKGGGKAKEKVYRRLKRQRTTTASAHRGGFASTAKIFEKCLTRQDKEEGLKITSGAELLPGGDTNLHVMHGNTKLVFEYQVSGREKPVIRGAVWRNFIGAYSGPVTVTLFKYEGPRLDIHCEIKVR >EOX99985 pep chromosome:Theobroma_cacao_20110822:2:20661497:20668766:-1 gene:TCM_009162 transcript:EOX99985 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase isoform 1 MSVSIPSLPHAHARPSLLPMSILRRLPLPLHPLTLRHHLPRRRFATLAAVRQDTSVWTPTPISSIEPAAESLFHVSIDVSDSPDLASSHTLPGQYLQLRLPDVDKPSFLAIASPPSLAAAGGVFEFLVKSVAGSTAELLCGLKKGDVVELSQVIGNGFNVDKIDPPEDYPTVLIFATGSGISPIRSLIESGFGAYKRSDVRLYYGARNLRRMAYQDRFKDWESSGVKVVPVLSQPDNSWTGESGYVQAAFARAKQIRSPKGTGAVLCGQRQMTEEVTSILVADGVSSEKILKNF >EOX99986 pep chromosome:Theobroma_cacao_20110822:2:20661385:20668673:-1 gene:TCM_009162 transcript:EOX99986 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase isoform 1 MSVSIPSLPHAHARPSLLPMSILRRLPLPLHPLTLRHHLPRRRFATLAAVRQDTSVWTPTPISSIEPAAESLFHVSIDVSDSPDLASSHTLPGQYLQLRLPDVDKPSFLAIASPPSLAAAGGVFEFLVKSVAGSTAELLCGLKKGDVVELSQVIGNGFNVDKIDPPEDYPTVLIFATGSGISPIRSLIESGFGAYKRSDVRLYYGARNLRRMAYQDRFKDWESSGVKVVPVLSQPDNSWTGESGYVQQAAFARAKQIRSPKGTGAVLCGQRQMTEEVTSILVADGVSSEKILKNF >EOY00596 pep chromosome:Theobroma_cacao_20110822:2:33747814:33767658:1 gene:TCM_010479 transcript:EOY00596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine-2-oxoglutarate aminotransferase 2 isoform 1 MGIKALDHESLNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLLFPADAIARAKHYLSLTSGGLGAYSDSRGLPGIRKEVAEFIERRDGYPSDPELIFLTDGASKGVMQILNCIIRGEGDGVLVPVPQYPLYSATISLFGGSLVPYYLEETANWGLDVNDLRQAVAQARFKGITVRAMVIINPGNPTGQCLSEANLREILNFCYQENLVLLGDEVYQQNIYQDEHPFISARKVLMDMGPPISKEVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPQTVDEIYKISSISLSPNVPAQIFMGLMVNPLKPGDISYEQYVRESKGILDSLRRRAWIMTDGFNSCKNVVCNFTEGAMYSFPQIRLPPKAVEAAKKAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPEIMSSFKKFNDEFMEQYEDNWSHSRM >EOY00594 pep chromosome:Theobroma_cacao_20110822:2:33747814:33767658:1 gene:TCM_010479 transcript:EOY00594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine-2-oxoglutarate aminotransferase 2 isoform 1 MGIKALDHESLNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLLFPADAIARAKHYLSLTSGGLGAYSDSRGLPGIRKEVAEFIERRDGYPSDPELIFLTDGASKGVMQILNCIIRGEGDGVLVPVPQYPLYSATISLFGGSLVPYYLEETANWGLDVNDLRQAVAQARFKGITVRAMVIINPGNPTGQCLSEANLREILNFCYQENLVLLGDEVYQQNIYQDEHPFISARKVLMDMGPPISKEVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPQTVDEIYKISSISLSPNVPAQIFMGLMVNPLKPGDISYEQYVRESKGILDSLRRRAWIMTDGFNSCKNVVCNFTEGAMYSFPQIRLPPKAVEAAKKAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPEIMSSFKKFNDEFMEQYEDNWSHSRM >EOY00595 pep chromosome:Theobroma_cacao_20110822:2:33761843:33767521:1 gene:TCM_010479 transcript:EOY00595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine-2-oxoglutarate aminotransferase 2 isoform 1 MGIKALDHESLNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLLFPADAIARAKHYLSLTSGGLGAYSDSRGLPGIRKEVAEFIERRDGYPSDPELIFLTDGASKGVMQILNCIIRGEGDGVLVPVPQYPLYSATISLFGGSLVPYYLEETANWGLDVNDLRQAVAQARFKGITVRAMVIINPGNPTGQCLSEANLREILNFCYQENLVLLGDEVYQQNIYQDEHPFISARKVLMDMGPPISKEVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPQTVDEIYKISSISLSPNVPAQIFMGLMVNPLKPGDISYEQYVRESKGILDSLRRRAWIMTDGFNSCKNVVCNFTEGAMYSFPQIRLPPKAVEAAKKAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPEIMSSFKKFNDEFMEQYEDNWSHSRM >EOX99884 pep chromosome:Theobroma_cacao_20110822:2:17746010:17748826:1 gene:TCM_008865 transcript:EOX99884 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase 11 kDa subunit MGFIMEFAENLVLRLMEDPKERDKKFREHLYSVKERCNKTKEMWSYPLRPYGFWTFERHNAQLRWDAQISQVPGRRDPYDDLLENSFDTSTK >EOX99614 pep chromosome:Theobroma_cacao_20110822:2:12728617:12729580:-1 gene:TCM_008336 transcript:EOX99614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIELKGTGSGGCGLKKNNKFAFVRYKEKEAAKQATLLDNGRRIDGFNIMVKESVERNTPNMGRMFQNKPLVNQNLASPMTTNKL >EOX98803 pep chromosome:Theobroma_cacao_20110822:2:7283695:7288369:-1 gene:TCM_007489 transcript:EOX98803 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein / small nuclear ribonucleoprotein Prp4p-related MEVDDDNSPSTSSAEPSVAVPDSQTTVPTANNTLLQPVQPIVPAVVPPAVVPPIAPLPAIPPVPVVHPLAPLPIRAPILKPLAPQNGEVKTSDSDSDHEDEGRTAAVDYEISEESRLVRERQEKAMQELLMKRRAAALAVPTNDMAVRTRLRRLGEPITLFGEREMERRDRLRMIMAKLDSEGQLEKLMKAHEEEEAAVSAKMEDVEEDIQYPFYTEGPKELLDARIDIAKYSVVTAAMRVQRAQRKRDDPDEDMDAETDWALRQAGNLVLDCSEIGDDRPLSGCSFSRDGQLLATCSLSGVAKLWSMPRVSKVSALKGHTERATDVTFSPVHDHLATASADRTAKLWNTDGSLLTTFEGHLDRLARIAFHPSGKYLGTTSFDKTWRLWDIDSGVELLLQEGHSRSVYGIAFHQDGSLAASCGLDALARVWDLRTGRSVLALEGHVKPVLGVSFSPNGYHLATGGEDNTCRIWDLRKKKSLYIIPAHSNLISQVKFEPQEGYYLVTASYDMTAKVWSGRDFKPVKSLPGHEAKVTASDISEDSRYIVTVSHDRTIKLWTAGNIGKEKDMDLD >EOX98829 pep chromosome:Theobroma_cacao_20110822:2:7380765:7385073:-1 gene:TCM_007507 transcript:EOX98829 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY domain protein isoform 1 MASIASIREITRQFPSTIKRDCLLRLCCFVRNESFLSRQCLNCITFANQRSYKAVRPSLPAPHVATPFDFSLLLEPNIFWHRNILCKWLCARNMSNASVELKTDEDVIRFSFSKALGRVGSTYGKGTTKRHKMSKRAKLNELRFYRLKAKKKMNSPNPEVRIRYKLEKAQRKEAWLVEKLIKYEVPKAPAETYDPEILTEEERHYLKRTGEKKKNYVQVGRRGVFGGVVLNMHLHWKNHETVKVTCKPCKPGQVHEYAGELARLSKGIVIDIKPNNTIIFYRGKNYVQPKIMSPPDTLSKSKALEKYKYEQSLDHTSEFIEKLEKELEEYLEHKARYKKAKESAPQNFLVI >EOX98828 pep chromosome:Theobroma_cacao_20110822:2:7381199:7385073:-1 gene:TCM_007507 transcript:EOX98828 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY domain protein isoform 1 MASIASIREITRQFPSTIKRDCLLRLCCFVRNESFLSRQCLNCITFANQRSYKAVRPSLPAPHVATPFDFSLLLEPNIFWHRNILCKWLCARNMSNASVELKTDEDVIRFSFSKALGRVGSTYGKGTTKRHKMSKRAKLNELRFYRLKAKKKMNSPNPEVRIRYKLEKAQRKEAWLVEKLIKYEVPKAPAETYDPEILTEEERHYLKRTGEKKKNYVQVGRRGVFGGVVLNMHLHWKNHETVKVTCKPCKPGQVHEYAGELARLSKGIVIDIKPNNTIIFYRGKNYVQPKIMSPPDTLSKSKALEKYKYEQSLDHTSEFIEKLEKELEEYLEHKARYKKAKESAPQNFLVI >EOX97577 pep chromosome:Theobroma_cacao_20110822:2:2735621:2738966:-1 gene:TCM_006563 transcript:EOX97577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel domain-containing protein MRKGFGLLPEYRSGFVKQLWVPGNCRSNCEMMVLLLQPFRVGFKREIAQSAGLETAQLTETSKITEIEEVESETHYETEEKEQGRKIEENKDQDTVIIDSLMEEELKQGKIAPKGNAFEKIRNEEGAKNLATKIIQNLSSNSSRYIYMEDLMGFMNINDARKVLKLLGCASECQKVHKLILENWVVNIFKERRSIELTRSNSKSIVKKLNWILIFVYFIVTFVRFSLMFKLTTVSNLVFLVSAIFLAGFVFGNTCKIAFEAVIYLFVMHPFNIDDRCEIDGVELVVNKINIFSTVFLRNDNQIIIYPNAILWTKSVSNFRLSPPTRDKIEFDIFILSPEEQIIAMEQRILSFIQSREELWFPTPRIFITSIGETSRLHVEVWATHRINMQDLDERLERKKLLYKEVANIIRELGILVHW >EOX98548 pep chromosome:Theobroma_cacao_20110822:2:6138413:6141751:1 gene:TCM_007277 transcript:EOX98548 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-3-methylbut-2-enyl diphosphate reductase isoform 2 MATSLQLCRFCIRTGSDHFGGRPGALPFRKPLTVRCSGDSTSSSSIAVDPDNFDAKAFRHNLTRSKNYNRKGFGHKEETLELMNREYTSDIIKKLKENGNEYTWGNVTVKLAEAYGFCWGVERAVQIAYEARKQFATEKIWITNEIIHNPTVNKRLEEMEVKNIPVEEGKKQFDVVNKGDVVVLPAFGAAVDEMLVLNEKSVQIVDTTCPWVSKVWNTVEKHKKGDYTSIIHGKYAHEETVATASFAGTYIIVKDMTEAMYVCDYILGGKLDGSSSTKEAFLEKFKYATSKGFDPECDLVKLGIANQTTMLKGETEEIGKLVERTMMRKYGVENVNDHFLSFNTICDATQERQDAMYKLVDENVDLMLVVGGWNSSNTSHLQEISEDRGIPSYWIDSEQRIGPGNRVAHKLKHGELVETENWLPEGPITIGVTSGASTPDKVVEDALIKVFDIKREEALQVA >EOX98549 pep chromosome:Theobroma_cacao_20110822:2:6138416:6141873:1 gene:TCM_007277 transcript:EOX98549 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-3-methylbut-2-enyl diphosphate reductase isoform 2 MATSLQLCRFCIRTGSDHFGGRPGALPFRKPLTVRCSGDSTSSSSIAVDPDNFDAKAFRHNLTRSKNYNRKGFGHKEETLELMNREYTSDIIKKLKENGNEYTWGNVTVKLAEAYGFCWGVERAVQIAYEARKQFATEKIWITNEIIHNPTVNKRLEEMEVKNIPVEEGKKQFDVVNKGDVVVLPAFGAAVDEMLVLNEKSVQIVDTTCPWVWNTVEKHKKGDYTSIIHGKYAHEETVATASFAGTYIIVKDMTEAMYVCDYILGGKLDGSSSTKEAFLEKFKYATSKGFDPECDLVKLGIANQTTMLKGETEEIGKLVERTMMRKYGVENVNDHFLSFNTICDATQERQDAMYKLVDENVDLMLVVGGWNSSNTSHLQEISEDRGIPSYWIDSEQRIGPGNRVAHKLKHGELVETENWLPEGPITIGVTSGASTPDKVVEDALIKVFDIKREEALQVA >EOY01100 pep chromosome:Theobroma_cacao_20110822:2:37647090:37651501:-1 gene:TCM_011052 transcript:EOY01100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger isoform 2 MSSTSLSETTTVASDSHAPLLRPRQPDASAARPATLALLLGRATGRRGASMLVRETAARELEERRADWGYSKPVVALDMLWNTAFVAVSVAMLICTVDERTNTPIRLWICGYALQCLVHVVLVWLEYRRRNVRRTSARDEERGDATSGDANDSEDEQDGIERSAFGSNQSSVTKRCESVNTMVSFLWWIVGFYWVVSGGNYLLQNAPRLYWLAVVFLAFDVFFAIFCVVLACLIGIALCCCLPCIIAILYAVAGQV >EOY01099 pep chromosome:Theobroma_cacao_20110822:2:37644163:37651067:-1 gene:TCM_011052 transcript:EOY01099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger isoform 2 MSSTSLSETTTVASDSHAPLLRPRQPDASAARPATLALLLGRATGRRGASMLVRETAARELEERRADWGYSKPVVALDMLWNTAFVAVSVAMLICTVDERTNTPIRLWICGYALQCLVHVVLVWLEYRRRNVRRTSARDEERGDATSGDANDSEDEQDGIERSAFGSNQSSVTKRCESVNTMVSFLWWIVGFYWVVSGGNYLLQNAPRLYWLAVVFLAFDVFFAIFCVVLACLIGIALCCCLPCIIAILYAVAGQEGASEADLSILPKYRFQIINNGGKASVGAGKMVPVETSSGYLANERILLPEDAECCICLSSYEDGADLHALPCNHHFHSACIVKWLKMNATCPLCKYNILKGNEQ >EOY00333 pep chromosome:Theobroma_cacao_20110822:2:31411753:31417322:1 gene:TCM_010185 transcript:EOY00333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein, putative isoform 2 MIKEAVLENVEAIFGLHIVPQYPLGVVATRPREFLAGCGSFKATISGKGGHAAVPQQTIDPILAASTAVISLQNIVSRETDPLDSKVVSVAMIHGGTAFNVIPDSTTIAGTFRAFSKKSFNALRDRVEEVIKAQAAVHRCSAKIDFSGKEHPTIPPTVNDEKVHEHVMRLSREIVGEENTKLAPTFMGSEDFAFYLDKVPGSFLLLGINNEKTGAIHPPHSPYYTIDEDVFPIGAAIHAAFAHSFLSNSTRGV >EOY00334 pep chromosome:Theobroma_cacao_20110822:2:31411672:31414563:1 gene:TCM_010185 transcript:EOY00334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein, putative isoform 2 MIKEAVLENVEAIFGLHIVPQYPLGVVATRPREFLAGCGSFKATISGKGGHAAVPQQTIDPILAASTAVISLQNIVSRETDPLDSKVVVSVAMIHGGTAFNVIPDSTTIAGTFRAFSKKSFNALRDRVEEVIKAQAAVHRCSAKIDFSGKEHPTIPPTVNDEKVHEHVMRLSREIVGEENTKLAPTFMGSEDFAFYLDKVPGSFLLLGINNEKTGAIHPPHSPYYTIDEDVFPIGAAIHAAFAHSFLSNSTRGSI >EOY01246 pep chromosome:Theobroma_cacao_20110822:2:38546325:38548579:-1 gene:TCM_011188 transcript:EOY01246 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein, putative MPVIGMGTASYPPADAETIKSAVLEAIKAGYRHFDTAFVYGSEQPLGEAIAEALNIGLIKSRDELFITSKLWCSFADQDLVIPAIKMSLENLQLDYLDLYLIHWPLKFSKEVSRVPIPKEDVFPLDIKSVWSAMEECQHLGLTKAIGVSNFSSKRLEEILSFAKIPPAVDQVEMNPLWQQKELKEFCKAKGIHVTAHSPLGSKGTIWGNSRILDCDGLKEIAEARGKTTAQIALRWVYEQGASVITKSFNKERMKENLEILDWSLSMEELNKISCLPQRKGGSPSTFLEPSDLVKELEAEL >EOX99484 pep chromosome:Theobroma_cacao_20110822:2:11513507:11526309:-1 gene:TCM_008159 transcript:EOX99484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminophospholipid ATPase isoform 3 MKRYVYINDDESLQELYCDNQISNRKYTVLNFLPKNLWEQFSRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSASKEAWDDYNRYLSDKKANEKEVWVVRQGIKKHVQAQDIHVGNIVWLRENDEVPCDLVLIGTSDPQGLCYVETAALDGETDLKTRVIPSACMGIDFELLHKIKGVIECPNPDKDIRRFDANLRLFPPFIDNDVCPLTIKNTILQSCYLRNTEWACGVAVYTGNETKLGMSRGIPEPKLTAMDAMIDKLTGAIFVFQIVVVMVLGIAGNVWKDTEARKQWYVLYPYEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDNEMIDQETGIPSHAANTAISEDLGQVEYILTDKTGTLTENRMIFRRCCISGIFYGNESGDALKDVELLNAVAGSSPDVVRFLTVMAICNTVIPVKSKTGAILYKAQSQDEDALVNAAARLHVVYVNKNANILEIRFNGSVIQYEVLETLEFTSDRKRMSVVVKDCQNGKIILLSKGADEAILPYAYAGQQTRTFIEAVEQYAQLGLRTLCLAWRELKEDEYQEWSLMFKEASSTLVDREWRIAEVCQRLEHDFEILGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEVCRSLERVLLTMRITSSEPKDVAFVVDGWALEIALKHYRKAFTELAILSRTAICCRVTPSQKAQLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLVICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLSEGTIMQHPQILFYCQAGRFAVFPLYFFFIFRVFSINRLRIRNWANLLHYFSRQLYICWLMVIKLMQDCF >EOX99482 pep chromosome:Theobroma_cacao_20110822:2:11510565:11527279:-1 gene:TCM_008159 transcript:EOX99482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminophospholipid ATPase isoform 3 MKRYVYINDDESLQELYCDNQISNRKYTVLNFLPKNLWEQFSRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSASKEAWDDYNRYLSDKKANEKEVWVVRQGIKKHVQAQDIHVGNIVWLRENDEVPCDLVLIGTSDPQGLCYVETAALDGETDLKTRVIPSACMGIDFELLHKIKGVIECPNPDKDIRRFDANLRLFPPFIDNDVCPLTIKNTILQSCYLRNTEWACGVAVYTGNETKLGMSRGIPEPKLTAMDAMIDKLTGAIFVFQIVVVMVLGIAGNVWKDTEARKQWYVLYPYEGPWYELLVIPLRFELLCSIMIPISIKEILSKNCYPLAWREEIVELEQNQWCKSDVSLDLVKSLYAKFIDWDNEMIDQETGIPSHAANTAISEDLGQVEYILTDKTGTLTENRMIFRRCCISGIFYGNESGDALKDVELLNAVAGSSPDVVRFLTVMAICNTVIPVKSKTGAILYKAQSQDEDALVNAAARLHVVYVNKNANILEIRFNGSVIQYEVLETLEFTSDRKRMSVVVKDCQNGKIILLSKGADEAILPYAYAGQQTRTFIEAVEQYAQLGLRTLCLAWRELKEDEYQEWSLMFKEASSTLVDREWRIAEVCQRLEHDFEILGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEVCRSLERVLLTMRITSSEPKDVAFVVDGWALEIALKHYRKAFTELAILSRTAICCRVTPSQKAQLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLVICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLSEGTIMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFVITIHAYAYEKSEMEELSMVALSGCIWLQAFVVALETNSFTILQHLAIWGNLVAFYVINWIFSAVPSSGMYTIMFRLCRQPSYWITMFLIVAAGMGPVLALKYFRYTYRPSKINTLQQAERMGGPILSLGNIEPQPRSVEKEVSPLSITQPKNRNPVYEPLLSDSPNTTRRSFGSGTPFDFFQSQSRLSSSYSRNCKDN >EOX99483 pep chromosome:Theobroma_cacao_20110822:2:11510640:11526840:-1 gene:TCM_008159 transcript:EOX99483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminophospholipid ATPase isoform 3 MKRYVYINDDESLQELYCDNQISNRKYTVLNFLPKNLWEQFSRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSASKEAWDDYNRYLSDKKANEKEVWVVRQGIKKHVQAQDIHVGNIVWLRENDEVPCDLVLIGTSDPQGLCYVETAALDGETDLKTRVIPSACMGIDFELLHKIKGVIECPNPDKDIRRFDANLRLFPPFIDNDVCPLTIKNTILQSCYLRNTEWACGVAVYTGNETKLGMSRGIPEPKLTAMDAMIDKLTGAIFVFQIVVVMVLGIAGNVWKDTEARKQWYVLYPYEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDNEMIDQETGIPSHAANTAISEDLGQVEYILTDKTGTLTENRMIFRRCCISGIFYGNESGDALKDVELLNAVAGSSPDVVRFLTVMAICNTVIPVKSKTGAILYKAQSQDEDALVNAAARLHVVYVNKNANILEIRFNGSVIQYEVLETLEFTSDRKRMSVVVKDCQNGKIILLSKGADEAILPYAYAGQQTRTFIEAVEQYAQLGLRTLCLAWRELKEDEYQEWSLMFKEASSTLVDREWRIAEVCQRLEHDFEILGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEVCRSLERVLLTMRITSSEPKDVAFVVDGWALEIALKHYRKAFTELAILSRTAICCRVTPSQKAQLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLVICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLSEGTIMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFVITIHAYAYEKSEMEELSMVALSGCIWLQAFVVALETNSFTILQHLAIWGNLVAFYVINWIFSAVPSSGMYTIMFRLCRQPSYWITMFLIVAAGMGPVLALKYFRYTYRPSKINTLQQAERMGGPILSLGNIEPQPRSVEKEVSPLSITQPKNRNPVYEPLLSDSPNTTRRSFGSGTPFDFFQSQSRLSSSYSRNCKDN >EOX99485 pep chromosome:Theobroma_cacao_20110822:2:11511778:11527112:-1 gene:TCM_008159 transcript:EOX99485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminophospholipid ATPase isoform 3 MKRYVYINDDESLQELYCDNQISNRKYTVLNFLPKNLWEQFSRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSASKEAWDDYNRYLSDKKANEKEVWVVRQGIKKHVQAQDIHVGNIVWLRENDEVPCDLVLIGTSDPQGLCYVETAALDGETDLKTRVIPSACMGIDFELLHKIKGVIECPNPDKDIRRFDANLRLFPPFIDNDVCPLTIKNTILQSCYLRNTEWACGVAVYTGNETKLGMSRGIPEPKLTAMDAMIDKLTGAIFVFQIVVVMVLGIAGNVWKDTEARKQWYVLYPYEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDNEMIDQETGIPSHAANTAISEDLGQVEYILTDKTGTLTENRMIFRRCCISGIFYGNESGDALKDVELLNAVAGSSPDVVRFLTVMAICNTVIPVKSKTGAILYKAQSQDEDALVNAAARLHVVYVNKNANILEIRFNGSVIQYEVLETLEFTSDRKRMSVVVKDCQNGKIILLSKGADEAILPYAYAGQQTRTFIEAVEQYAQLGLRTLCLAWRELKEDEYQEWSLMFKEASSTLVDREWRIAEVCQRLEHDFEILGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEVCRSLERVLLTMRITSSEPKDVAFVVDGWALEIALKHYRKAFTELAILSRTAICCRVTPSQKAQLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLVICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLSEGTIMQHPQILFYCQAGRAFVVALETNSFTILQHLAIWGNLVAFYVINWIFSAVPSSGMYTIMFRLCRQPSYWITMFLIVAAGMG >EOX97844 pep chromosome:Theobroma_cacao_20110822:2:3649398:3652532:1 gene:TCM_006768 transcript:EOX97844 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein MELGLVTLLRAAWIAVTLPIVIAPIPSSKLSSFHQLVSKFAKRGKTMQPCSCKFTVPHRFFSHVYMVAVVWTTILLLTTWLYAYRMAPLTSESFHILHLASHLTGGSHILSFHKSHLTALEHRYSIWRIVLLLLLMEAQVLRRLFETFNVSNYSPSARMHIFGYLTGLFYYIAAPLSLCCTLAPKVFNFTLSLVAEFIVKGKNQMPAIEIDWCGSVNPLMKLGWSQWIGSAIFLWGWIYQCRCHASLGSVQAHAEQVDDYVIPTGDWFEIVSSPHYLAEIVIYAGMVVASGGGDLTVWLLFVFVVANLGFAAAETHKWYLCKFENYPRNRFAIIPFLY >EOX99947 pep chromosome:Theobroma_cacao_20110822:2:19189660:19196416:1 gene:TCM_009019 transcript:EOX99947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl serine synthase family protein isoform 3 MEPNGHRRVRRRDHLVQENGDTGLSCSVDELDPWTAWAYKPRTISLLFIGACFLIWASGALDPESSESGDLVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYGADCRIYIPENPSSRFKNVYETLFDEFVLAHIFGWWGKAILIRNQPLLWVLSIGFELMEFTFRHMLPNFNECWWDSIILDILTCNWFGIWAGMHTVRYFDGRTYEWVGISRQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNPVIVYRLILWWLIAIPTIREYNSYLQD >EOX99946 pep chromosome:Theobroma_cacao_20110822:2:19187694:19198075:1 gene:TCM_009019 transcript:EOX99946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl serine synthase family protein isoform 3 MEPNGHRRVRRRDHLVQENGDTGLSCSVDELDPWTAWAYKPRTISLLFIGACFLIWASGALDPESSESGDLVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYGADCRIYIPENPSSRFKNVYETLFDEFVLAHIFGWWGKAILIRNQPLLWVLSIGFELMEFTFRHMLPNFNECWWDSIILDILTCNWFGIWAGMHTVRYFDGRTYEWVGISRQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTCLYPKPMPKWLVIFWLSIGVALILFLLIWSWKLHQSYSKKRR >EOX99944 pep chromosome:Theobroma_cacao_20110822:2:19187812:19197274:1 gene:TCM_009019 transcript:EOX99944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl serine synthase family protein isoform 3 MEPNGHRRVRRRDHLVQENGDTGLSCSVDELDPWTAWAYKPRTISLLFIGACFLIWASGALDPESSESGDLVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYGADCRIYIPENPSSRFKNVYETLFDEFVLAHIFGWWGKAILIRNQPLLWVLSIGFELMEFTFRHMLPNFNECWWDSIILDILTCNWFGIWAGMHTVRYFDGRTYEWVGISRQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNPVIVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIVELLICIKFGHGLYPKPMPKWLVIFWLSIGVALILFLLIWSWKLHQSYSKKRR >EOX99945 pep chromosome:Theobroma_cacao_20110822:2:19187812:19197244:1 gene:TCM_009019 transcript:EOX99945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl serine synthase family protein isoform 3 MEPNGHRRVRRRDHLVQENGDTGLSCSVDELDPWTAWAYKPRTISLLFIGACFLIWASGALDPESSESGDLVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVERSYGADCRIYIPENPSSRFKNVYETLFDEFVLAHIFGWWGKAILIRNQPLLWVLSIGFELMEFTFRHMLPNFNECWWDSIILDILTCNWFGIWAGMHTVRYFDGRTYEWVGISRQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNPVIVYRLILWWLIAIPTIREYNSYLQDRKTSEKGWSILLAFPCNLHC >EOX98678 pep chromosome:Theobroma_cacao_20110822:2:6694402:6706921:1 gene:TCM_007381 transcript:EOX98678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 2 MDGSTTEAERWLSIAEKLLASRDLQGTRTFAIRARESAPVLADQILAVTDTLLTAQANPQDWYGILQLVPLTQSMEVVASQYRKLALLLNPGKNRLSFADQAFRVVSEAWNVLSNPSKKTLYDNELRFLQFGHVSQISQQQPQTQALFMQPPPPKGTQTLFMQTPQRDTPAQITQPLFVRRSPRKNNSKDENAALEGGGQLGLNNNHKDSTRQTESAWTRQINQIGLTGPGQINQTGSVGSSQISRPEPTRTSQINQTGTASSSQFNGTEPTRTGQINRTATPSTEHSRTESTGVARKTDSTRPSEASENEGPTFWTACPYCYVLYEYPKAYEDCTLRCQTKSCRRAFHAVVIPSPPVNGKDTYFCCWGFFPLGFSGNGKNMGGNFPSWSPISTMFACPNNKNVEKQKTAKKSAPREEDDDDDEWQNERRKKKAKNAKGKGSVGKNAKKPQSERVKKGSNEQVNVSHGGNLSGASMVRDGMPTAESSRRGVGSSGRKQMGRGAKDLGKLDLNVEFSNEVDEPAPGRNEGNHAGYGEEDNSEGNAFFEGLDEFLSSLPILSVVGDDKVKAT >EOX98680 pep chromosome:Theobroma_cacao_20110822:2:6704269:6708039:1 gene:TCM_007381 transcript:EOX98680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 2 MDGSTTEAERWLSIAEKLLASRDLQGTRTFAIRARESAPVLADQILAVTDTLLTAQANPQDWYGILQLVPLTQSMEVVASQYRKLALLLNPGKNRLSFADQAFRVVSEAWNVLSNPSKKTLYDNELRFLQFGHVSQISQQQPQTQALFMQPPPPKGTQTLFMQTPQRDTPAQITQPLFVRRSPRKNNSKDENAALEGGGQLGLNNNHKDSTRQTESAWTRQINQIGLTGPGQINQTGSVGSSQISRPEPTRTSQINQTGTASSSQFNGTEPTRTGQINRTATPSTEHSRTESTGVARKTDSTRPSEASENEGPTFWTACPYCYVLYEYPKAYEDCTLRCQTKSCRRAFHAVVIPSPPVNGKDTYFCCWGFFPLGFSGNGKNMGGNFPSWSPISTMFACPNNKNVEKQKTAKKSAPRVFYDEHDVYVEISDSSVSSEEEEEDDDDDEWQNERRKKKAKNAKGKGSVGKNAKKPQSERVKKGSNEQVNVSHGGNLSGASMVRDGMPTAESSRRGVGSSGRKQMGRGAKDLGKLDLNVEFSNEVDEPAPGRNEGNHAGYGEEDNSEGNAFFEGLDEFLSSLPILSVVGDDKVKAT >EOX98679 pep chromosome:Theobroma_cacao_20110822:2:6704269:6708982:1 gene:TCM_007381 transcript:EOX98679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 2 MDGSTTEAERWLSIAEKLLASRDLQGTRTFAIRARESAPVLADQILAVTDTLLTAQANPQDWYGILQLVPLTQSMEVVASQYRKLALLLNPGKNRLSFADQAFRVVSEAWNVLSNPSKKTLYDNELRFLQFGHVSQISQQQPQTQALFMQPPPPKGTQTLFMQTPQRDTPAQITQPLFVRRSPRKNNSKDENAALEGGGQLGLNNNHKDSTRQTESAWTRQINQIGLTGPGQINQTGSVGSSQISRPEPTRTSQINQTGTASSSQFNGTEPTRTGQINRTATPSTEHSRTESTGVARKTDSTRPSEASENEGPTFWTACPYCYVLYEYPKAYEDCTLRCQTKSCRRAFHAVVIPSPPVNGKDTYFCCWGFFPLGFSGNGKNMGGNFPSWSPISTMFACPNNKNVEKQKTAKKSAPRVFYDEHDVYVEISDSSVSSEEEEEDDDDDEWQNERRKKKAKNAKGKGSVGKNAKKPQSERVKKGSNEQVNVSHGGNLSGASMVRDGMPTAESSRRGVGSSGRKQMGRGAKDLGKLDLNVEFSNEVDEPAPGRNEGNHAGYGEEDNSEGNAFFEGLDEFLSSLPILSVVGDDKVKAT >EOX98677 pep chromosome:Theobroma_cacao_20110822:2:6704440:6709264:1 gene:TCM_007381 transcript:EOX98677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 2 MDGSTTEAERWLSIAEKLLASRDLQGTRTFAIRARESAPVLADQILAVTDTLLTAQANPQDWYGILQLVPLTQSMEVVASQYRKLALLLNPGKNRLSFADQAFRVVSEAWNVLSNPSKKTLYDNELRFLQFGHVSQISQQQPQTQALFMQPPPPKGTQTLFMQTPQRDTPAQITQPLFVRRSPRKNNSKDENAALEGGGQLGLNNNHKDSTRQTESAWTRQINQIGLTGPGQINQTGSVGSSQISRPEPTRTSQINQTGTASSSQFNGTEPTRTGQINRTATPSTEHSRTESTGVARKTDSTRPSEASENEGPTFWTACPYCYVLYEYPKAYEDCTLRCQTKSCRRAFHAVVIPSPPVNGKDTYFCCWGFFPLGFSGNGKNMGGNFPSWSPISTMFACPNNKNVEKQKTAKKSAPRVFYDEHDVYVEISDSSVSSEEEEEDDDDDEWQNERRKKKAKNAKGKGSVGKNAKKPQSERVKKGSNEQVNVSHGGNLSGASMVRDGMPTAESSRRGVGSSGRKQMGRGAKDLGKLDLNVEFSNEVDEPAPGRNEGNHAGYGEEDNSEGNAFFEGLDEFLSSLPILSVVGDDKVKAT >EOY02103 pep chromosome:Theobroma_cacao_20110822:2:41960480:41965351:1 gene:TCM_011837 transcript:EOY02103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum activated malate transporter family protein isoform 1 MAGRSFLRSSTDESKERLLSRKGYSEFGLNSSDGSEDGVKCRCFRSCSDSINKFWDGLQESSVKLYEMGQSDPRKVFFAAKMGFSLAMVSLLIFFKEPLRNASQYSIWAILTVVVVFEFSVGATLNKGFNRALGTFSAGALALGIAELSILAGKFEEVIIVISIFIAGFCASYAKLYPPMKTYEYGFRVFLLTYCIVLVSGNNTRTFFDTAFYRLLLIGIGAGICLVVNICIYPIWSGEDLHKLVVKNFKSVATSLEGCVNGYLQCVEYERIPSKILTYQASDDPLYSAYRSVVQSSSQEESLLDFALWEPPHGPYRTFNYPWRNYVKVSGALRHCAFMVMAMHGCILSEIQAQPEKRQVFAGELQRVGNAGAKVLRELGDKIEKMEKLSSAEILKEIHEAGEDLQMKIDEKSYLLVNSESWATAPQFKEYEEPLMSIIDVKDDENKVIKSLSDMFDVQNPNTGMDSRIPDLISSDSMLKKPSWPRLSFTADALLSQQESKIYESASSLSLATFASLLIEFVARLQNLVDAFQELSEKANFKVPIDQPAGKEVVGFWNRLRSCFHSKN >EOY02104 pep chromosome:Theobroma_cacao_20110822:2:41960480:41964890:1 gene:TCM_011837 transcript:EOY02104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum activated malate transporter family protein isoform 1 MDCGDEITFSIVGATLNKGFNRALGTFSAGALALGIAELSILAGKFEEVIIVISIFIAGFCASYAKLYPPMKTYEYGFRVFLLTYCIVLVSGNNTRTFFDTAFYRLLLIGIGAGICLVVNICIYPIWSGEDLHKLVVKNFKSVATSLEGCVNGYLQCVEYERIPSKILTYQASDDPLYSAYRSVVQSSSQEESLLDFALWEPPHGPYRTFNYPWRNYVKVSGALRHCAFMVMAMHGCILSEIQAQPEKRQVFAGELQRVGNAGAKVLRELGDKIEKMEKLSSAEILKEIHEAGEDLQMKIDEKSYLLVNSESWATAPQFKEYEEPLMSIIDVKDDENKVIKSLSDMFDVQNPNTGMDSRIPDLISSDSMLKKPSWPRLSFTADALLSQQESKIYESASSLSLATFASLLIEFVARLQNLVDAFQELSEKANFKVPIDQPAGKEVVGFWNRLRSCFHSKN >EOY00974 pep chromosome:Theobroma_cacao_20110822:2:36218187:36219980:-1 gene:TCM_010875 transcript:EOY00974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVATWNNRLTCPLQNGRPARQEGILVGWVSPPVDWIALNSDGAYRSRRGVASAGGVLRHLDGSWIMGYACNSGTSTAYRAELWGVFQGLKLAWELGYRRINVQAYVARPRSSDDMSHWRLATVKLELWAANRRMAMGGREPNKGREQRFAWYAASSKPKSSSLLSPSDQFNINCDLIPV >EOY00601 pep chromosome:Theobroma_cacao_20110822:2:33769828:33771095:-1 gene:TCM_010485 transcript:EOY00601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSIQTLHRILIQVSPHVFCPLFFFLSYHQSITKNLPTIIRVRGGWGVMYVGQWKTKLKSKDGMMAESQKRQWVFGLSVL >EOX97976 pep chromosome:Theobroma_cacao_20110822:2:4140542:4142083:1 gene:TCM_006855 transcript:EOX97976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S25 family protein MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYDKLLSEAPKYKLITPSILSDRLRINGSLARKAIRELMARGLIRLVSAHASQQIYTRATNT >EOX99348 pep chromosome:Theobroma_cacao_20110822:2:10280231:10285039:-1 gene:TCM_007982 transcript:EOX99348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier membrane protein (SCAMP) family protein isoform 3 MSRFDSNPFDEEEVNPFSDPAVRKGSAQSNYGGGAFYTTNPGSVPPATSRLSPLPPEPYDRGATIDIPLDSAKDLKAKEKELQAKEAELKKREQELKRKEDAIARAGIVIEEKNWPPYFPIIHHDIANEIPIHLQKMQYVAFTTLLGLVLCLSWNIIAVTTAWIKGEGPTIWFLAIIYFISGVPGGYVLWYRPLYRAMRTDSALKFGWFFLFYLLHIGFCIFAAVAPPIIFKGKSLAGILPAIDLLGNHALVGVSLKPLGHDS >EOX99347 pep chromosome:Theobroma_cacao_20110822:2:10280033:10285039:-1 gene:TCM_007982 transcript:EOX99347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier membrane protein (SCAMP) family protein isoform 3 MSRFDSNPFDEEEVNPFSDPAVRKGSAQSNYGGGAFYTTNPGSVPPATSRLSPLPPEPYDRGATIDIPLDSAKDLKAKEKELQAKEAELKKREQELKRKEDAIARAGIVIEEKNWPPYFPIIHHDIANEIPIHLQKMQYVAFTTLLGLVLCLSWNIIAVTTAWIKGEGPTIWFLAIIYFISGVPGGYVLWYRPLYRAMRTDSALKFGWFFLFYLLHIGFCIFAAVAPPIIFKGKSLAGILPAIDLLGNHALVGQVYMYFRGSGKAAEMKREAATRTMMAAL >EOX99346 pep chromosome:Theobroma_cacao_20110822:2:10279323:10284982:-1 gene:TCM_007982 transcript:EOX99346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier membrane protein (SCAMP) family protein isoform 3 MSRFDSNPFDEEEVNPFSDPAVRKGSAQSNYGGGAFYTTNPGSVPPATSRLSPLPPEPYDRGATIDIPLDSAKDLKAKEKELQAKEAELKKREQELKRKEDAIARAGIVIEEKNWPPYFPIIHHDIANEIPIHLQKMQYVAFTTLLGLVLCLSWNIIAVTTAWIKGEGPTIWFLAIIYFISGVPGGYVLWYRPLYRAMRTDSALKFGWFFLFYLLHIGFCIFAAVAPPIIFKGKSLAGILPAIDLLGNHALVGIFYFIGFGFFCVESLLSVWVIQQVYMYFRGSGKAAEMKREAATRTMMAAL >EOX96958 pep chromosome:Theobroma_cacao_20110822:2:710615:712416:-1 gene:TCM_006084 transcript:EOX96958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKNSRNQRFWLPPSPSPPSFRPGSRKAGNNGKSCNTAKVFDVLSWSWSSMEPCKPGARCITLDKLYVAEQKLYEEVKNHISQQSNDLTDILSMDVTTESNCEATTQLETENRCPSTVRRLWREANILTLNNLKTSLPNVFQALVGFSRASAQAFEAIHGYSRSEIPRTASEIFKLNLTELRN >EOX97033 pep chromosome:Theobroma_cacao_20110822:2:987516:992900:1 gene:TCM_006152 transcript:EOX97033 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase domain-containing protein isoform 1 MTWLASLAETRSYHERTPCSFNYLSINCSDRCFVSLLSTLFSLLSMELGLQFGRPLFPAGFKCPPSRRTLLARSSNDSPGFSSNGSVSVKPAKTVPGKPEADVVVIGSGIGGLCCAGLLARYNQDVLVLESHDQPGGAAHSFEIKGYKFDSGPSLFSGFQSRGPQANPLAQVLDALGESVPCAKYDSWMVYIPEGEFLSRIGPTEFYKDLEKYASQNAVQEWKKLLDAILPLSAAAMALPPLSIRGDLGVLSTAATRYAPSLLKSFVEMGPQGAFGASKLLRPFSEIMDSLELKDPFIRNWVDLLAFLLAGVKSNGILSAEMVYMFAEWYKPGCTLEYPLDGGGAIVDALVRGMEKFGGRLSLGSHVVKIIVENGKATGVKLKGGQFIRAKKAVVSNASMWDTLNLLPKDQLPKSYIDRIKTTQQCESFMHLHLGFDAEDLCEDLGIHHIVVNDWDRGVDADQNVVLISVPSVLSPNLAPPGKHILHAYTPGTEPFELWDGLDRRSPEYKKLKAERSEVLWRAVERALGSGFSREKCEVKMVGTPLTHQRFLRRNRGTYGPAIQAGQDTFPGHSTPIPQLYCCGDSTFPGIGVPAVAASGAIVANSLVSVSQHSQLLDAIGI >EOX97034 pep chromosome:Theobroma_cacao_20110822:2:988119:992730:1 gene:TCM_006152 transcript:EOX97034 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase domain-containing protein isoform 1 MTWLASLAETRSYHERTPCSFNYLSINCSDRCFVSLLSTLFSLLSMELGLQFGRPLFPAGFKCPPSRRTLLARSSNDSPGFSSNGSVSVKPAKTVPGKPEADVVVIGSGIGGLCCAGLLARYNQDVLVLESHDQPGGAAHSFEIKGYKFDSGPSLFSGFQSRGPQANPLAQVLDALGESVPCAKYDSWMVYIPEGEFLSRIGPTEFYKDLEKYASQNAVQEWKKLLDAILPLSAAAMALPPLSIRGDLGVLSTAATRYAPSLLKSFVEMGPQGAFGASKLLRPFSEIMDSLELKDPFIRNWVDLLAFLLAGVKSNGILSAEMVYMFAEWYKPGCTLEYPLDGGGAIVDALVRGMEKFGGRLSLGSHVVKIIVENGKATGVKLKGGQFIRAKKAVVSNASMWDTLNLLPKDQLPKSYIDRIKTTQQCESFMHLHLGFDAEVVESC >EOX96938 pep chromosome:Theobroma_cacao_20110822:2:610048:611494:-1 gene:TCM_006069 transcript:EOX96938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related, putative MIGRGGRAYSSPEIARPSSSDYHHVEGQDFTFEGIAANVKLLLKLIHEQSQASNGDQDGRRAQRVAGMISILDDVKTRIQKYSPPVGSSLKEFRRCNTDLRPHRAPRDKKSGADVVIDEKEKLRRELHASSAARKSLEAMCSSLGKEKEIMASELSRKVQELNGMEELINDLKAQNETLLAKVQACAAEHREKKHGGDQTQGVNAALQERNKALSEQLLKSLDGYRSLKRKYKDAKEENVGIQTTMEEMGVEVAAGLGGVHGLKQRLAATEVREADIEREISALECMFESFNVKISKHMQKKSTCN >EOX98982 pep chromosome:Theobroma_cacao_20110822:2:8014183:8022207:-1 gene:TCM_007635 transcript:EOX98982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain base (LCB) kinase 1 isoform 1 MQKSGSLSRSSNSPSVRVSSSSPQSQQSLRRLSLCSQIATHSSPIVFPEKRTKKLKASSKRGEAPVFDDQPDKSKREEHRIDIGGGDEKSDLLGYVVCSGKLILDKRKNVPPNTNSADVEQNSSTDIANQEAVDAKLTSKALVWGSHVLPLDDVVSVSYNVGVRHFTVHSYPLKKGSCGLSCFIKPKRSRKDFRFLASSVEEAVQWVGGFADQQCFINCLPHPLLSSKKQASSELFPVDAPPELVFRCKNPPKMLVILNPRSGRGRSSKVFHGIVEPIFKLAGFKLEVVKTTSAGHAKKLASTVDISTCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPVSAAISIVKGGLTATDVFAVEWIQTGVIHFGMTVSYYGFVSDVLELSEKYQRRFGPLRYFVAGFLKFLCLPKYNYEVEYLPVVKEEQEGKNSSDREVVDMSDLYTDIMRRSNTDGIPRASSLSSIDSIMTPSRMSGGEMDTCSGTHASTEPSDYVRGLDPKNKRLSSGRSNVTAEPEVIHPQLPISTTPNWPRTRSKSRTDKGWSGSTAAHDPSRCSWGTAATNDREDISSTLSDPGPIWDAEPKWDTEANWDVENPIELPGPSDDVESGIKKEVVPRFEDKWVVTKGPFLGIIVCNHACRTVQNSQVVAPRAEHDDNTMDMLLVHGSGRLRLMRFFLLLQMGKHLSLPYVEYVKVKSVKIKAGKHTYNGCGIDGELFPLNGQVVSSLLPEQCRLIGRSPGRHV >EOX98983 pep chromosome:Theobroma_cacao_20110822:2:8013975:8022048:-1 gene:TCM_007635 transcript:EOX98983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain base (LCB) kinase 1 isoform 1 MQKSGSLSRSSNSPSVRVSSSSPQSQQSLRRLSLCSQIATHSSPIVFPEKRTKKLKASSKRGEAPVFDDQPDKSKREEHRIDIGGGDEKSDLLGYVVCSGKLILDKRKNVPPNTNSADVEQNSSTDIANQEAVDAKLTSKALVWGSHVLPLDDVVSVSYNVGVRHFTVHSYPLKKGSCGLSCFIKPKRSRKDFRFLASSVEEAVQWVGGFADQQCFINCLPHPLLSSKKQASSELFPVDAPPELVFRCKNPPKMLVILNPRSGRGRSSKVFHGIVEPIFKLAGFKLEVVKTTSAGHAKKLASTVDISTCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPVSAAISIVKGGLTATDVFAVEWIQTGVIHFGMTVSYYGFVSDVLELSEKYQRRFGPLRYFVAGFLKFLCLPKYNYEVEYLPVVKEEQEGKNSSDREVVDMSDLYTDIMRRSNTDGIPRASSLSSIDSIMTPSRMSGGEMDTCSGTHASTEPSDYVRGLDPKNKRLSSGRSNVTAEPEVIHPQLPISTTPNWPRTRSKSRTDKGWSGSTAAHDPSRCSWGTAATNDREDISSTLSDPGPIWDAEPKWDTEANWDVENPIELPGPSDDVESGIKKEVVPRFEDKWVVTKGPFLGIIVCNHACRTVQNSQVVAPRAEHDDNTMDMLLVHGSGRLRLMRFFLLLQMGKHLSLPYVEYVKVKSVKIKAGKHTYNGCGIDGELFPLNGQVVSSLLPEQCRLIGRSPGRHV >EOX98984 pep chromosome:Theobroma_cacao_20110822:2:8014513:8021846:-1 gene:TCM_007635 transcript:EOX98984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain base (LCB) kinase 1 isoform 1 MQKSGSLSRSSNSPSVRVSSSSPQSQQSLRRLSLCSQIATHSSPIVFPEKRTKKLKASSKRGEAPVFDDQPDKSKREEHRIDIGGGDEKSDLLGYVVCSGKLILDKRKNVPPNTNSADVEQNSSTDIANQEAVDAKLTSKALVWGSHVLPLDDVVSVSYNVGVRHFTVHSYPLKKGSCGLSCFIKPKRSRKDFRFLASSVEEAVQWVGGFADQQCFINCLPHPLLSSKKQASSELFPVDAPPELVFRCKNPPKMLVILNPRSGRGRSSKVFHGIVEPIFKLAGFKLEVVKTTSAGHAKKLASTVDISTCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPVSAAISIVKGGLTATDVFAVEWIQTGVIHFGMTVSYYGFVSDVLELSEKYQRRFGPLRYFVAGFLKFLCLPKYNYEVEYLPVVKEEQEGKNSSDREVVDMSDLYTDIMRRSNTDGIPRASSLSSIDSIMTPSRMSGGEMDTCSGTHASTEPSDYVRGLDPKNKRLSSGRSNVTAEPEVIHPQLPISTTPNWPRTRSKSRTDKGWSGSTAAHDPSRCSWGTAATNDREDISSTLSDPGPIWDAEPKWDTEANWDVENPIELPGPSDDVESGIKKEVVPRFEDKWVVTKGPFLGIIVCNHACRTVQNSQVVAPRAEHDDNTMDMLLVHGSGRLRLMRFFLLLQMGKHLSLPYVEYVKVRASIFLYSVKNLICQI >EOX98985 pep chromosome:Theobroma_cacao_20110822:2:8014513:8021833:-1 gene:TCM_007635 transcript:EOX98985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain base (LCB) kinase 1 isoform 1 MQKSGSLSRSSNSPSVRVSSSSPQSQQSLRRLSLCSQIATHSSPIVFPEKRTKKLKASSKRGEAPVFDDQPDKSKREEHRIDIGGGDEKSDLLGYVVCSGKLILDKRKNVPPNTNSADVEQNSSTDIANQEAVDAKLTSKALVWGSHVLPLDDVVSVSYNVGVRHFTVHSYPLKKGSCGLSCFIKPKRSRKDFRFLASSVEEAVQWVGGFADQQCFINCLPHPLLSSKKQASSELFPVDAPPELVFRCKNPPKMLVILNPRSGRGRSSKVFHGIVEPIFKLAGFKLEVVKTTSAGHAKKLASTVDISTCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPVSAAISIVKGGLTATDVFAVEWIQTGVIHFGMTVSYYGFVSDVLELSEKYQRRFGPLRYFVAGFLKFLCLPKYNYEVEYLPVVKEEQEGKNSSDREVVDMSDLYTDIMRRSNTDGIPRASSLSSIDSIMTPSRMSGGEMDTCSGTHASTEPSDYVRGLDPKNKRLSSGRSNVTAEPEVIHPQLPISTTPNWPRTRSKSRTDKGWSGSTAAHDPSRCSWGTAATNDREDISSTLSDPGPIWDAEPKWDTEANWDVENPIELPGPSDDVESGIKKEVVPRFEDKWVVTKGPFLGIIVCNHACRTVQNSQVVAPRAEHDDNTMDMLLVHGSGRLRLMRFFLLLQMGKHLSLPYVEYVKVRASIFLYSVKNLICQI >EOX97365 pep chromosome:Theobroma_cacao_20110822:2:2093989:2095151:-1 gene:TCM_006410 transcript:EOX97365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQPRVFEIIAKGWSFNVENWNGKKFLPDDVLIFNYDPAIHNVISVNQVSYDTCTLGSNFKAYQSGHDQIVLAKG >EOY00025 pep chromosome:Theobroma_cacao_20110822:2:23448199:23451381:-1 gene:TCM_009385 transcript:EOY00025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPQNMNQKLHVTLDSTDPVCSFNLTQQFPSMLPYMHQNLNFTAGSDFTDLLNQTQQFPNVPQVQNFIPDSTPTSVSLNQGQVFVSSSKPTQGINYTVAQCSAMPDANKFLTEIIGGDSGVDATAHAAPPPVLPESQHQHSSELANLLKVLDNEADATRGSGIEPHPDNCNCKMESRDPSIQTGERASSKEEEMPLRKKLEIFQQKMHALIDKLMARTFELEANVLSNNKILTEIYGNSR >EOX99113 pep chromosome:Theobroma_cacao_20110822:2:8546691:8549573:-1 gene:TCM_007725 transcript:EOX99113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 34 isoform 2 MISIHLVMQSQLRIHMHFLSTGSKDSHSSNPMTSTFLEKAMLGHYVPQLAEVIFDSNKKASKEDHINLKGFAIGNALLDDVTNSRGMIEYAWDHAVISDRVYDNIISKCNFSVEELSDDCNDAFDEYYAVYRLIDMYSLYTPTCVDSNSSSSRQRHLIKGISPQMLSKFDGWHKRLAGYDPCISDYTEVYLNRPDVQAALHVNVTKKSHKWTHCSNAILIWNDSPASMLPTIKKLAAGGIRIWVYSGDTDGRVPVTATRYALKKLGLKTVKEWTAWYTSKQVVGGWTIEYDGLTFVTIRGAGHEVPSFKPKEALQLIEHFMANKKLPQKPF >EOX99112 pep chromosome:Theobroma_cacao_20110822:2:8546691:8551405:-1 gene:TCM_007725 transcript:EOX99112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 34 isoform 2 MASNAAVSLTFLFLLLSVNLAIARVSVADCFSNGTELSQEVLARQEADRVTKLPGQPPVEFKHYAGYVTVNESHGRALFYWFFEASSKPEKKPLLLWLNGGPGCSSVGYGEAEELGPFFPQNDTKTLKLNPHRWNKAANLLFVESPFGVGFSYTNTSNDFNTLGDAITAKDSYAFLINWFKRFPQFKSHDFYISGESYAGHYVPQLAEVIFDSNKKASKEDHINLKGFAIGNALLDDVTNSRGMIEYAWDHAVISDRVYDNIISKCNFSVEELSDDCNDAFDEYYAVYRLIDMYSLYTPTCVDSNSSSSRQRHLIKGISPQMLSKFDGWHKRLAGYDPCISDYTEVYLNRPDVQAALHVNVTKKSHKWTHCSNAILIWNDSPASMLPTIKKLAAGGIRIWVYSGDTDGRVPVTATRYALKKLGLKTVKEWTAWYTSKQVGGWTIEYDGLTFVTIRGAGHEVPSFKPKEALQLIEHFMANKKLPQKPF >EOY01275 pep chromosome:Theobroma_cacao_20110822:2:38767705:38781339:-1 gene:TCM_011219 transcript:EOY01275 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family isoform 1 MLVAKTWIFLAVLLVLVSLDLCFASGSGMSPANGDHGHHHHCDHGHGHGHDHHHHHDHDHHQHHHVVEEKKKKKMMVMLPEELAEEEDMKLYGFGPYRDDHDHQVLSGFGLWLRALGCSLLVSLASLICLIILPVIFIQGKPSKAVVDSLALFGAGAMLGDAFLHQLPHAFGGEHSHSHDDHVDDAHHSHSGPDHLHGHSLKDLSVGLSILAGIVMFLLVEKVVRYVEENSEEASHGHHHHHHKSNKKLKNDDDVHQNHSQSLDDRSDDPLNGDNTTQHEIRKRKTSPGPSDDKSDIDPADGCANSVKTLVQKETSQSPSNLVFGYLNLFSDGVHNFTDGMALGSAFLLYGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFSVSKALFFNFLSALVALAGTGVALLLGQDPGQSSLIEGFTAGGFIYIAVAGVLAEMNSNSNSTLKSTIVQLISLTFGMAVALFISLVE >EOY01276 pep chromosome:Theobroma_cacao_20110822:2:38767710:38781314:-1 gene:TCM_011219 transcript:EOY01276 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family isoform 1 MLVAKTWIFLAVLLVLVSLDLCFASGSGMSPANGDHGHHHHCDHGHGHGHDHHHHHDHDHHQHHHVVEEKKKKKMMVMLPEELAEEEDMKLYGFGPYRDDHDHQVLSGFGLWLRALGCSLLVSLASLICLIILPVIFIQGKPSKAVVDSLALFGAGAMLGDAFLHQLPHAFGGEHSHSHDDHVDDAHHSHSGPDHLHGHSLKDLSVGLSILAGIVMFLLVEKVVRYVEENSEEASHGHHHHHHKSNKKLKNDDDVHQNHSQSLDDRSDDPLNGDNTTQHEIRKRKTSPGPSDDKSDIDPADGCANSVKTLVQKETSQSPSNLVFGYLNLFSDGVHNFTDGMALGSAFLLYGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFSVSKALFFNFLSALVALAGTGVALLLGQDPGQSSLIEGFTAGGFIYIAVAGVLAEMNSNSNSTLKSTIVQLISLTFGMAVALFISLVE >EOY01278 pep chromosome:Theobroma_cacao_20110822:2:38767710:38781314:-1 gene:TCM_011219 transcript:EOY01278 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family isoform 1 MLVAKTWIFLAVLLVLVSLDLCFASGSGMSPANGDHGHHHHCDHGHGHGHDHHHHHDHDHHQHHHVVEEKKKKKMMVMLPEELAEEEDMKLYGFGPYRDDHDHQVLSGFGLWLRALGCSLLVSLASLICLIILPVIFIQGKPSKAVVDSLALFGAGAMLGDAFLHQLPHAFGGEHSHSHDDHVDDAHHSHSGPDHLHGHSLKDLSVGLSILAGIVMFLLVEKVVRYVEENSEEASHGHHHHHHKSNKKLKNDDDVHQNHSQSLDDRSDDPLNGDNTTQHEIRKRKTSPGPSDDKSDIDPADGCANSVKTLVQKETSQSPSNLVFGYLNLFSDGVHNFTDGMALGSAFLLYGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFSVSKALFFNFLSALVALAGTGVALLLGQDPGQSSLIEVKDHVSSMSGVIFSVMVSSFDFLLFPFLQGFTAGGFIYIAVAGVLAEMNSNSNSTLKSTIVQLISLTFGMAVALFISLVE >EOY01277 pep chromosome:Theobroma_cacao_20110822:2:38768749:38775260:-1 gene:TCM_011219 transcript:EOY01277 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family isoform 1 MSCLAGGEHSHSHDDHVDDAHHSHSGPDHLHGHSLKDLSVGLSILAGIVMFLLVEKVVRYVEENSEEASHGHHHHHHKSNKKLKNDDDVHQNHSQSLDDRSDDPLNGDNTTQHEIRKRKTSPGPSDDKSDIDPADGCANSVKTLVQKETSQSPSNLVFGYLNLFSDGVHNFTDGMALGSAFLLYGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFSVSKALFFNFLSALVALAGTGVALLLGQDPGQSSLIEGFTAGGFIYIAVAGVLAEMNSNSNSTLKSTIVQLISLTFGMAVALFISLVE >EOY01187 pep chromosome:Theobroma_cacao_20110822:2:38147876:38150521:-1 gene:TCM_011136 transcript:EOY01187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative MSNPPPPLPPTLHPQPPHITAATTVTPSPTPTNREYRKGNWTIQETLTLITAKRLDDERRTKPSTSSPSKPGELRWKWVENYCWDHGCFRSQNQCNDKWDNLLRDYKKVRHYQSQSQSQSSDHFPSYWSMERHQRKLHNLPTNMSPEVFEALNDLLQRKYSTQQQQQSTGSIQQQQQKQPCISQLSEQVAAGTDQQAPEVEAPVTGSEESDSSETESSENLGSETKRKKVRKIGSSIMQSASVLAQTLKSCEEKKEKRHQEVMELEQRRLQIEETRNEVNRKGITDLVAAMTNLSGAIQSLIANHYDQTPALLFRQWTWICDDVPLPKIESCDRGIAV >EOY00290 pep chromosome:Theobroma_cacao_20110822:2:30952329:30959647:-1 gene:TCM_010129 transcript:EOY00290 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box helicase, putative isoform 2 MNTLSILSLPSLFPTTKHCQISHCHSLAQSFPFCRPKSILSPLSFRLSFKSRRSPFSSEPQLSDADEELEDDEDDDDDDDYEAADEYDDVSGEVSDDIQQSSDEVEISVDFSNRRKESTWQRVERLCNLVREFGQEMIDVDALADIYDFRIDKFQRIAIEAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGSRLFYTTPLKALSNQKFRQFRETFGDNNVGLLTGDSAVNKDAQVLVLTTEILRNMLYNSVGMASSGSGFFHVDVIVLDEVHYLSDISRGTVWEEIVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSSWRPVPLTWHFSTKTSLLPLLNEKGTHMNRKLSLNYLQLSASGVKSYRDDGSRRRNSRQRGRNGSLDGIVSMSEQPLSKNDKNMICRSQVPQVVDTLWHLKAKDMLPAIWFIFNRRGCDAAVQYVEDCSLLDDCEMSEVELALKKFRLQYPDAVRETAVKGLIRGVAAHHAGCLPLWKSFVEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRTSSGRIQLSPNELLQMAGRAGRRGIDEWGHVVIVQTPYEGAEECCKLLFSGVEPLVSQFTASYGMVLNLLGGAKVTRRSNESDELNALQGRRTLEEARKLVEQSFGNYLGSNVMLAAKEELAKIEKEIEALTSEISDDAIDRKSRKLLSEVAYKEIADLQEELRQEKRLRTELRRRMELKRFSALKPLLKEFENGHLPFICLQYRDSEGVQNLVPAVYLGKVESLDGSKLKKMVSADDSFAMGSVGTELNAGEPDSHQDVEPTYYVALGSDNSWYLFTEKWIKTVYRTGFPDVALTQGDALPREIMRTLLDKEEMQWEKVADSELGGLWYTEGSLETWSWSLNVPVLSSLSESDEVLHMSQEYDESVEHYKEQRNKVARLKKKIARTEGFREYKKILDMGRFTEEKIKRLKARSNHLTNRMERIEPSGWKEFVQISNVIHETRALDINTHVIFPLGETAAAIRGENELWLAMVLRNKILLELKPAQLAAVCASLVSEGIKVRVWKNNNYIYEPSSTVLNVISLLDEQRYSFMQLEEKHAVEIPCCLDGQFSGMVEAWASGLSWRELMMDCAMDEGDLARLLRRTIDLLAQIPKLPDIDPLLQKNATAASDVMDRPPISELAG >EOY00292 pep chromosome:Theobroma_cacao_20110822:2:30952087:30957808:-1 gene:TCM_010129 transcript:EOY00292 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box helicase, putative isoform 2 MNRKLSLNYLQLSASGVKSYRDDGSRRRNSRQRGRNGSLDGIVSMSEQPLSKNDKNMICRSQVPQVVDTLWHLKAKDMLPAIWFIFNRRGCDAAVQYVEDCSLLDDCEMSEVELALKKFRLQYPDAVRETAVKGLIRGVAAHHAGCLPLWKSFVEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRTSSGRIQLSPNELLQMAGRAGRRGIDEWGHVVIVQTPYEGAEECCKLLFSGVEPLVSQFTASYGMVLNLLGGAKVTRRSNESDELNALQGRRTLEEARKLVEQSFGNYLGSNVMLAAKEELAKIEKEIEALTSEISDDAIDRKSRKLLSEVAYKEIADLQEELRQEKRLRTELRRRMELKRFSALKPLLKEFENGHLPFICLQYRDSEGVQNLVPAVYLGKVESLDGSKLKKMVSADDSFAMGSVGTELNAGEPDSHQDVEPTYYVALGSDNSWYLFTEKWIKTVYRTGFPDVALTQGDALPREIMRTLLDKEEMQWEKVADSELGGLWYTEGSLETWSWSLNVPVLSSLSESDEVLHMSQEYDESVEHYKEQRNKVARLKKKIARTEGFREYKKILDMGRFTEEKIKRLKARSNHLTNRMERIEPSGWKEFVQISNVIHETRALDINTHVIFPLGETAAAIRGENELWLAMVLRNKILLELKPAQLAAVCASLVSEGIKVRVWKNNNYIYEPSSTVLNVISLLDEQRYSFMQLEEKHAVEIPCCLDGQFSGMVEAWASGLSWRELMMDCAMDEGDLARLLRRTIDLLAQIPKLPDIDPLLQKNATAASDVMDRPPISELAG >EOY00291 pep chromosome:Theobroma_cacao_20110822:2:30952083:30959190:-1 gene:TCM_010129 transcript:EOY00291 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box helicase, putative isoform 2 MIDVDALADIYDFRIDKFQRIAIEAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGSRLFYTTPLKALSNQKFRQFRETFGDNNVGLLTGDSAVNKDAQVLVLTTEILRNMLYNSVGMASSGSGFFHVDVIVLDEVHYLSDISRGTVWEEIVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSSWRPVPLTWHFSTKTSLLPLLNEKGTHMNRKLSLNYLQLSASGVKSYRDDGSRRRNSRQRGRNGSLDGIVSMSEQPLSKNDKNMICRSQVPQVVDTLWHLKAKDMLPAIWFIFNRRGCDAAVQYVEDCSLLDDCEMSEVELALKKFRLQYPDAVRETAVKGLIRGVAAHHAGCLPLWKSFVEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRTSSGRIQLSPNELLQMAGRAGRRGIDEWGHVVIVQTPYEGAEECCKLLFSGVEPLVSQFTASYGMVLNLLGGAKVTRRSNESDELNALQGRRTLEEARKLVEQSFGNYLGSNVMLAAKEELAKIEKEIEALTSEISDDAIDRKSRKLLSEVAYKEIADLQEELRQEKRLRTELRRRMELKRFSALKPLLKEFENGHLPFICLQYRDSEGVQNLVPAVYLGKVESLDGSKLKKMVSADDSFAMGSVGTELNAGEPDSHQDVEPTYYVALGSDNSWYLFTEKWIKTVYRTGFPDVALTQGDALPREIMRTLLDKEEMQWEKVADSELGGLWYTEGSLETWSWSLNVPVLSSLSESDEVLHMSQEYDESVEHYKEQRNKVARLKKKIARTEGFREYKKILDMGRFTEEKIKRLKARSNHLTNRMERIEPSGWKEFVQISNVIHETRALDINTHVIFPLGETAAAIRGENELWLAMVLRNKILLELKPAQLAAVCASLVSEGIKVRVWKNNNYIYEPSSTVLNVISLLDEQRYSFMQLEEKHAVEIPCCLDGQFSGMVEAWASGLSWRELMMDCAMDEGDLARLLRRTIDLLAQIPKLPDIDPLLQKNATAASDVMDRPPISELAG >EOY00505 pep chromosome:Theobroma_cacao_20110822:2:33104424:33106788:-1 gene:TCM_010389 transcript:EOY00505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactinol synthase 4 MAPGVPLDVFSTSGKVNGGYSKKAYVTFLAGNGDYVKGVVGLAKGLRKVKSAYPLVVAILPDVPEEHREILRSQGCIVREIEPIYPPQNQVHFAMAYYVINYSKLRIWKFEEYSKMVYLDADIQVYENIDHLLDTPDGYFYAVMDCFCEKVWSHSRQYSIGYCQQCPGKVTWPAEMGSPPPLYFNAGMFVFEPSCLTYDSLLETLQITPPTPFAEQDFLNMFFQKVYKPIPLVYNLVLAMLWRHPENVELDKIKVVHYCAAGSKPWRYTGKEANMDREDVKMLVAKWWDIYNDESLDMNADQNPVPEADQTFSSKPSIMASLPDPAVSYIPAPSAA >EOY00001 pep chromosome:Theobroma_cacao_20110822:2:21859352:21860339:-1 gene:TCM_009244 transcript:EOY00001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKTKKTKVSGIKERKSRILRERESTRCRRRKRREKKEERRKRRRKIEGERRAGGEEKEKGRKRESDGVGGDRGERRKKKEEKK >EOX98657 pep chromosome:Theobroma_cacao_20110822:2:6632885:6634394:1 gene:TCM_007366 transcript:EOX98657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamidase 1 isoform 1 MVSQTIDLLKNELPLEQESVVLPEEVVTGLVLVDIINGFCTVGAGNLAPREPNRQISGMINESARLARLFCEKKLPVMAFLDSHHADQPEDPYPPHCIAGTDESNLVPALQWIEKETNVTIRRKDCYDGYLGSIEADGSNVFVDWVKNNQISTLLVVGVCTDICVLDFVCSTLSARNRGFLSPLQDVMVYSRACATFDVPLHVAKNIKGALPHPQELMHHVGLYMAKERGAIITNEVSFGAPNKP >EOX98656 pep chromosome:Theobroma_cacao_20110822:2:6632700:6634260:1 gene:TCM_007366 transcript:EOX98656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamidase 1 isoform 1 MVSQTIDLLKNELPLEQESVVLPEEVVTGLVLVDIINGFCTVGAGNLAPREPNRQISGMINESARLARLFCEKKLPVMAFLDSHHADQPEDPYPPHCIAGTDESNLVPALQWIEKETNVTIRRKDCYDGYLGSIEADGSNVFVDWVKNNQISTLLVVGVCTDICVLDFVCSTLSARNRGFLSPLQDVMVYSRACATFDVPLHVAKNIKGALPHPQELMHHVGLYMAKERGAIITNEVSFGAPNKP >EOX97588 pep chromosome:Theobroma_cacao_20110822:2:2774199:2775309:1 gene:TCM_006571 transcript:EOX97588 gene_biotype:protein_coding transcript_biotype:protein_coding description:21 kDa seed protein, putative MMKTTLAMLLLLFVFSSKSSATDENVPVYDVNGDEVRPGVQYYVVSAIWGAGGGGLYLGQGRNKTCPYDVAQERSDLVRGIPVTFSTVDTEGDVIHESTDLNIKFIRPQPTACSPSTVWKVDCYDESGGEWFVTTGGLEGDAQALSSLFRITAAPGGISYKLALCPSVCESCTKYLCSEIGRHSSGFDSLIRLVLSDNGWPFVFIKASDDEVLKQVVNV >EOX98705 pep chromosome:Theobroma_cacao_20110822:2:6770350:6779085:-1 gene:TCM_007403 transcript:EOX98705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 1 MFCLLCRYVATDIPSDLQVQVGDVNFHLHKYPLLSRSGKINRLIYESHDPDLNKIALEDLPGGPEAFELAAKFCYGIAVDLTAGNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIKWAYTGRPTKVSSPKWNDLKDSSPSRNQPVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELIGASIMHYAAKWLPGLIKDGQGQGDDTSISSNSNSSGSSSWKGGLHMIVAGTKDDTPSIQSKDQRMIIESLISIIPPQKDSVSCSFLLRLLRMANMLKVAPALVTELEKRVGMQFEQATLADLLIPSYNKSETLYDVDLVQRLLEHFLVQEQTESSSPSRQSFTDKHMYEGAQRGNNPNAKMRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNALANTTLKDPAETQYQPLIPNRKTLLEGTPQSFQEGWVAAKKDINTLKFELESVKTKYLVLQNDMENLQRQFDKMSKQKQTSAWTSGWKKLSKLTKMTTVENQDIGPQISTTAEQTRKAPRRWRNSIS >EOX98706 pep chromosome:Theobroma_cacao_20110822:2:6775543:6779111:-1 gene:TCM_007403 transcript:EOX98706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 1 MTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIKWAYTGRPTKVSSPKWNDLKDSSPSRNQPVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELIGASIMHYAAKWLPGLIKDGQGQGDDTSISSNSNSSGSSSWKGGLHMIVAGTKDDTPSIQSKDQRMIIESLISIIPPQKDSVSCSFLLRLLRMANMLKVAPALVTELEKRVGMQFEQATLADLLIPSYNKSETLYDVDLVQRLLEHFLVQEQTESSSPSRQSFTDKHMYEGAQRGNNPNAKMRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNALANTTLKDPAETQYQPLIPNRKTLLEGTPQSFQEGWVAAKKDINTLKFELESVKTKYLVLQNDMENLQRQFDKMSKQKQTSAWTSGWKKLSKLTKMTTVENQDIGPQISTTAEQTRKAPRRWRNSIS >EOY01902 pep chromosome:Theobroma_cacao_20110822:2:41324664:41327146:1 gene:TCM_011695 transcript:EOY01902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase family protein isoform 1 MEASKTWLQRTIGSHRLLKAFIFLLIDMSLCYPSEPQGELPYMTSDVKEVAGKSFDYIVVGGGTAGCPLAATLSEKFSVLLVERGGSPYDNPLVLDKRFYGFSLIQTDEFSSVAQDFISTDGVRNCRGRVLGGSSAINGGFYSRASKDFVNSAGWDEELVEDAYAWVESRIVSTPELTPWQTVVEFALLEAGILPYNGFSLQHIEGTKIGGSIFDIWGTRHTSADLLKAANPKNIVVLLNATVKSIIFHGNGNATETAVHGIRFIKSDGSTDQTYEAYLNQPKNSTSSGDVILSAGALGSPQILLLSGIGPHKHLKTLNILHVLSLKGVGKGMKDNPCIAVLVDTDPQSRQPEPPQVTGIAKDFKYIVEGGIIPISFNATRMPIAAKIAFPVSEGKLTLNSTDPRQNPSVKFNYLAEEKDLEDCANMVSLLERVAKSQSVAFYLGVKHQNNLMASTDEQRKFCKENVKTYFHYHGGCTVGSVVDDDYKVYGVKGLRVVDGSTFLESPGTNPMATLLMLGRYQGIKILRERENFSSSFSTQQSP >EOY01903 pep chromosome:Theobroma_cacao_20110822:2:41324802:41327872:1 gene:TCM_011695 transcript:EOY01903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase family protein isoform 1 MEASKTWLQRTIGSHRLLKAFIFLLIDMSLCYPSEPQGELPYMTSDVKEVAGKSFDYIVVGGGTAGCPLAATLSEKFSVLLVERGGSPYDNPLVLDKRGRVLGGSSAINGGFYSRASKDFVNSAGWDEELVEDAYAWVESRIVSTPELTPWQTVVEFALLEAGILPYNGFSLQHIEGTKIGGSIFDIWGTRHTSADLLKAANPKNIVVLLNATVKSIIFHGNGNATETAVHGIRFIKSDGSTDQTYEAYLNQPKNSTSSGDVILSAGALGSPQILLLSGIGPHKHLKTLNILHVLSLKGVGKGMKDNPCIAVLVDTDPQSRQPEPPQVTGIAKDFKYIVEGGIIPISFNATRMPIAAKIAFPVSEGKLTLNSTDPRQNPSVKFNYLAEEKDLEDCANMVSLLERVAKSQSVAFYLGVKHQNNLMASTDEQRKFCKENVKTYFHYHGGCTVGSVVDDDYKVYGVKGLRVVDGSTFLESPGTNPMATLLMLGRYQGIKITILLTLHFMIIRPRT >EOX97343 pep chromosome:Theobroma_cacao_20110822:2:2027465:2029941:1 gene:TCM_006394 transcript:EOX97343 gene_biotype:protein_coding transcript_biotype:protein_coding description:SU(VAR)3-9-like protein isoform 1 MAAVRPKPGFSSKRKQAKQDGKNPRAVVCADNEGPFTAEWYASRRKIEETLRFYRELLVNRKLFDELSQEPEKSPDGKKYSGIGVHMRAARVLESSGGWVNTSKQIGHVSGIKVGDDFRWRGELSIVGLHHEFQKGIDYMKLINGKTLATSIVDSGRYENGVGITSDVLIYCGEGENPNLSRVRKPKDQKLVGGNLALKNSMDSKTPVRVIRRLTDIDTSKESTTVGAAKGNDIGYKFVYDGLYRVTGFWKERGKFGRYVYKFSLKRIERQPELDLGKWNKVGNGSAGSLFKGKENDIY >EOX97342 pep chromosome:Theobroma_cacao_20110822:2:2027126:2030010:1 gene:TCM_006394 transcript:EOX97342 gene_biotype:protein_coding transcript_biotype:protein_coding description:SU(VAR)3-9-like protein isoform 1 MAAVRPKPGFSSKRKQAKQDGKNPRAVVCADNEGPFTAEWYASRRKIEETLRFYRELLVNRKLFDELSQEPEKSPDGKKYSGIGVHMRAARVLESSGGWVNTSKQIGHVSGIKVGDDFRWRGELSIVGLHHEFQKGIDYMKLINGKTLATSIVDSGRYENGVGITSDVLIYCGEGENPNLSRVRKPKDQKLVGGNLALKNSMDSKTPVRVIRRLTDIDTSKESTTVGAAKGNDIGYKFVYDGLYRVTGFWKERGKFGRYVYKFSLKRIERQPELDLGKWNKVGNGSAGSLFKGKENDIY >EOX97340 pep chromosome:Theobroma_cacao_20110822:2:2027125:2029930:1 gene:TCM_006394 transcript:EOX97340 gene_biotype:protein_coding transcript_biotype:protein_coding description:SU(VAR)3-9-like protein isoform 1 MAAVRPKPGFSSKRKQAKQDGKNPRAVVCADNEGPFTAEWYASRRKIEETLRFYRELLVNRKLFDELSQEPEKSPDGKKYSGIGVHMRAARVLESSGGWVNTSKQIGHVSGIKVGDDFRWRGELSIVGLHHEFQKGIDYMKLINGKTLATSIVDSGRYENGVGITSDVLIYCGEGENPNLSRVRKPKDQKLVGGNLALKNSMDSKTPVRVIRRLTDIDTSKESTTVGAAKGNDIGYKFVYDGLYRVTGFWKERGKFGRYVYKFSLKRIERQPELDLGKWNKVGNGSAGSLFKGKENDIY >EOX97341 pep chromosome:Theobroma_cacao_20110822:2:2027069:2028831:1 gene:TCM_006394 transcript:EOX97341 gene_biotype:protein_coding transcript_biotype:protein_coding description:SU(VAR)3-9-like protein isoform 1 MAAVRPKPGFSSKRKQAKQDGKNPRAVVCADNEGPFTAEWYASRRKIEETLRFYRELLVNRKLFDELSQEPEKSPDGKKYSGIGVHMRAARVLESSGGWVNTSKQIGHVSGIKVGDDFRWRGELSIVGLHHEFQKGIDYMKLINGKTLATSIVDSGRYENGVGITSDVLIYCGEGENPNLSRVRKPKDQKLVGGNLALKNSMDSKTPVRVIRRLTDIDTSKESTTVGAAKGNDIGYKFVYDGLYRVTGFWKERGKFGRYVYKFSLKRIERQPELDLGKWNKVGNGSAGSLFKGKENDIY >EOX97822 pep chromosome:Theobroma_cacao_20110822:2:3589899:3591954:1 gene:TCM_006755 transcript:EOX97822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSFESITKVLQILSQTTNLLATEILHADLLKKGLLFVSPNIQSKLIFTYVTCLGGKPNLKILTNCFKSINPKNPVPFNVIISDFSKNGFAFFALKTFSFMHFNGISLDTYALCGSLTASSSLRSAEFGRQIHAHLAKSGWLSSVFVVSALVDLYSKLSLIADAAVLFDEIPVKNSVCANALLSGFCEAKLWGEGLELVRKMPQLNLDYDHFTLSAILRACAGLSSIELGRQVHAYLIRRLYNLGDDVFLQSSLTEMYAKCGLVVKALQVFSLAGLRLSGEKRRDIVLWTSMLGVYGRNGHFEEVILFFKEMLKEGIKPDEVAFLTVISACRHTGHIRLGLEYFDSMIHIYKLIPGPEHYGCVVDLLCRAGELEKAWKVVNEMLQKGHSNGSISLWGALLSACNDHENVELGKFAAKKALELDPQNVGIYVKLSNLYARFGMWDEIGQLREVMKQRGLKKDVAFSWIEVTS >EOX97005 pep chromosome:Theobroma_cacao_20110822:2:890282:892344:-1 gene:TCM_006132 transcript:EOX97005 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase 11C MARRPDEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGRTVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTTFENVSRWLKELRDHADSNIVIMMIGNKTDLKHLRAVATEDGQSYAEKEGLSFIETSALEATNVEKAFQTILSEIYRIISKKSLSSDEPAPASIKEGKTIIVGAQEANTKKACCSSS >EOX98085 pep chromosome:Theobroma_cacao_20110822:2:4514758:4516879:1 gene:TCM_006930 transcript:EOX98085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTNHFGCETMMEKVGGGKDNRKEREREIPKFLLFLFLFFSFFSFSFPKGSFLSHGCFCLIRVSFGLKAIVLLRLLMMKAPSKFLVSTQSFFTLLPLAFDSSLPSFSLAYSNIIS >EOY01578 pep chromosome:Theobroma_cacao_20110822:2:40140913:40147647:-1 gene:TCM_011441 transcript:EOY01578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptidase B, putative MSLLSRLISSTSIKPPTFSLSFILVSSLSSSSSSFSSKCRAEPIPVPSQLPPVPKRVPFTVSLHGHTWQDHYHWMRNVNDPDFVDYLNQENSYAQAFMADTQTLQRAMVSEMKSRMPSKISTPVERYGPWLYYEYIPEGKEYPVFCRRLGTERRGWVERLLSNAKAGFGREEILLDWNEVAEKHGYVHVGQCRISPDHNFLAYTLDTSGSEQFILQIKDLRNGYIVPRAQVDRVVSLAWAQDCQTLFYTIADENQRPYRVLCTTLGSGVHLRKVYVVDSTDPLSGLRRVHERVSGVQYFLEHHFGFFYILTNAPVKESMMCSTEGYYLARCQVGDIQSTTWQNIFYPSEDTSLQDMDIFNGHLVLFLNKKGFPMLCSVDLPINVDCKRQMMIEDLDPWFFPMPSNSCSIQPGSNLDFTNSVYRVVLSSSVVPDVIVDYDMSRRIFSIVQQEEVLGVSSNAQSCSSGYELDTQQHLDRKKGENNQNIELQRWKDFSDTYCCERKEVISHDGVRVPLTILYSQKAWKSDQSPGILQGYGAYGEVLDKSWCVDRLSLLDRGWVVAFADVRGGGGGDSSWHKSGSGLFKQNSIYDFVSCGKYLIDEGYVHRDQLGAIGVSAGCLLMGAALNMYPDLFRAAILKVPFLDILNSLLDPSLPLTILDYEEFGNPQIKSQFESILSYSPYENIPQGGCHPSILVTASFNDSRVGVWEAAKWVAKVRDSTCSFCSRSVILKTNMTGGHFGEGGRYIQCEEIAYDYAFLVKVMGININ >EOY01139 pep chromosome:Theobroma_cacao_20110822:2:37828892:37834790:-1 gene:TCM_011078 transcript:EOY01139 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 3 MVAMMMQQPQQAPQPNPPPPPPPSSSASSLRSPTPTPTQSPLPLPLPPRQPLDHVVVPISAANPSGEPLLTMGTTPFLLPRVRLSDISAYDGAPSGSYVRAVESLSGSLMRNNAAVVEFGNEDAALMRCGLEAARLYFRSRVNTVGKGSRGVYMYRAGRCMGKAARVALCAIARHLRLRSDVFNHLLDDTPLPANEVSSSVLVATYSHMSLQNGKGAIGGGKPGMSGEVEKGLLTLISSDGPGLQVCDPNGRWYLADGGLAPGDMLLITGKALSHATAGLRPAASYRAAPEYLSGINNGGRTSLAFRLMPQGNAILDCSPVAAAGHVIPQSYVPISVSQFMDELSAEEDVVCNRSDNTCVSRNNFNKEPSLRSVLSDPLSGAFLEDAMVVSCGHSFGGLMLRRVLDMSRCTLCSADIDSGSLIPNIALRAAAAAVKQEDDRRL >EOY01141 pep chromosome:Theobroma_cacao_20110822:2:37826303:37834790:-1 gene:TCM_011078 transcript:EOY01141 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 3 MVAMMMQQPQQAPQPNPPPPPPPSSSASSLRSPTPTPTQSPLPLPLPPRQPLDHVVVPISAANPSGEPLLTMGTTPFLLPRVRLSDISAYDGAPSGSYVRAVESLSGSLMRNNAAVVEFGNEDAALMRCGLEAARLYFRSRVNTVGKGSRGVYMYRAGRALEDWDSSPPCMADIFRCMGKAARVALCAIARHLRLRSDVFNHLLDDTPLPANEVSSSVLVATYSHMSLQNGKGAIGGGKPGMSGEVEKGLLTLISSDGPGLQVCDPNGRWYLADGGLAPGDMLLITGKALSHATAGLRPAASYRAAPEYLSGINNGGRTSLAFRLMPQGNAILDCSPVAAAGHVIPQSYVPISVSQFMDELSAEEDVVCNRSDNTCVSRNNFNKEPSLRSVLSDPLSVFA >EOY01138 pep chromosome:Theobroma_cacao_20110822:2:37829148:37834476:-1 gene:TCM_011078 transcript:EOY01138 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 3 MVAMMMQQPQQAPQPNPPPPPPPSSSASSLRSPTPTPTQSPLPLPLPPRQPLDHVVVPISAANPSGEPLLTMGTTPFLLPRVRLSDISAYDGAPSGSYVRAVESLSGSLMRNNAAVVEFGNEDAALMRCGLEAARLYFRSRVNTVGKGSRGVYMYRAGRALEDWDSSPPCMADIFRCMGKAARVALCAIARHLRLRSDVFNHLLDDTPLPANEVSSSVLVATYSHMSLQNGKGAIGGGKPGMSGEVEKGLLTLISSDGPGLQVCDPNGRWYLADGGLAPGDMLLITGKALSHATAGLRPAASYRAAPEYLSGINNGGRTSLAFRLMPQGNAILDCSPVAAAGHVIPQSYVPISVSQFMDELSAEEDVVCNRSDNTCVSRNNFNKEPSLRSVLSDPLSGAFLEDAMVVSCGHSFGGLMLRRVLDMMGRDKNHNYNYCLHHSQDVHSAVQTLTLGL >EOY01137 pep chromosome:Theobroma_cacao_20110822:2:37826449:37835072:-1 gene:TCM_011078 transcript:EOY01137 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 3 MVAMMMQQPQQAPQPNPPPPPPPSSSASSLRSPTPTPTQSPLPLPLPPRQPLDHVVVPISAANPSGEPLLTMGTTPFLLPRVRLSDISAYDGAPSGSYVRAVESLSGSLMRNNAAVVEFGNEDAALMRCGLEAARLYFRSRVNTVGKGSRGVYMYRAGRALEDWDSSPPCMADIFRCMGKAARVALCAIARHLRLRSDVFNHLLDDTPLPANEVSSSVLVATYSHMSLQNGKGAIGGGKPGMSGEVEKGLLTLISSDGPGLQVCDPNGRWYLADGGLAPGDMLLITGKALSHATAGLRPAASYRAAPEYLSGINNGGRTSLAFRLMPQGNAILDCSPVAAAGHVIPQSYVPISVSQFMDELSAEEDVVCNRSDNTCVSRNNFNKEPSLRSVLSDPLSGAFLEDAMVVSCGHSFGGLMLRRVLDMSRCTLCSADIDSGSLIPNIALRAAAAAVKQEDDRRLFHNAALRKRRKEMGEQIDPSRRSSRENGDVAADDGLHRGVQYPFSVNEKVLIKGNRRTPEKFVGKEAVITSQCLNGWYLLKIIGTGENVRLQYRSLCKILNPQPIEDRCPSQPIQNSSS >EOY01140 pep chromosome:Theobroma_cacao_20110822:2:37826952:37834790:-1 gene:TCM_011078 transcript:EOY01140 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 3 MVAMMMQQPQQAPQPNPPPPPPPSSSASSLRSPTPTPTQSPLPLPLPPRQPLDHVVVPISAANPSGEPLLTMGTTPFLLPRVRLSDISAYDGAPSGSYVRAVESLSGSLMRNNAAVVEFGNEDAALMRCGLEAARLYFRSRVNTVGKGSRGVYMYRAGRALEDWDSSPPCMADIFRCMGKAARVALCAIARHLRLRSDVFNHLLDDTPLPANEVSSSVLVATYSHMSLQNGKGAIGGGKPGMSGEVEKGLLTLISSDGPGLQVCDPNGRWYLADGGLAPGDMLLITGKALSHATAGLRPAASYRAAPEYLSGINNGGRTSLAFRLMPQGNAILDCSPVAAAGHVIPQSYVPISVSQFMDELSAEEDVVCNRSDNTCVSRNNFNKEPSLRSVLSDPLSL >EOY00748 pep chromosome:Theobroma_cacao_20110822:2:34871396:34872127:-1 gene:TCM_010671 transcript:EOY00748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDWYSRLHCHYFFLSFSLTSYAAHFPVSIRGQITEARLRDTRRDEDFNPEGQKRRSGV >EOX98194 pep chromosome:Theobroma_cacao_20110822:2:4818582:4820804:1 gene:TCM_007006 transcript:EOX98194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450-like protein MGVFVYLVCLILAIPFLRFLHRFVDSNGLPRNWPFVGMTPTILLNFHRIVDKVSEIVTKSNGTILYRGIWFTNSSFLATADPQNVRYLMNTNYRAYVKGSEWRKQFDVFGEALFNSDGEEWKRQRRIFHAFLNHPQFLQSLARVLRERLEQGLFKVLEHVSKQELVVDLQDLLVRYASDIGWILATGSNPQFLSIEFPENRFHKAMSDVLEAAFYRCIMPDSLWKLQSWLQVGLEKKRSHAWKYFDDILAEHISIQREKSNKAITWSGDEENFNFLNCYLTGHKVTGPTPSDSLIRDNVIHFLFAADDTYSTTLTWFFYLLSKNPTVVAKIREELKRNLSWKKVGELQLPTSFDELNKLPYLQAALCETLRLYPPNPFEFRTSTRRDILPSGHRVNPQMVIILAVHAMGRMASLWGEDCHEFKPERWITVEGKLKREPPSKFFAFLSGPRICPGKELSFFLMKATASPIIHNYDVHVIEGQNVTPKNSAFLHMKHGLMVRIKNRWK >EOX98477 pep chromosome:Theobroma_cacao_20110822:2:5902392:5904437:-1 gene:TCM_007230 transcript:EOX98477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MELTHKVAVSRICYPYRRIPSRTQTFPVSQLSVSPLHSSSNQIKPKTHLNSFQNGTKPYDNPTTNDIKGANTTIPSMSDILASSRAQKLDLRLQALGPLFRITAKSLETNRELGRAEGLIRVWFGGRILHLDSIKLKRETMGMERSIFGIGLFIGAVAIRYGYDCGCKTAELLAINDSDLYHSKLVRFYKRIGFKVVHEVNGSTIGDMAHMLIWGGIGTRMDASIEELLLKWCSSVFSQFRS >EOX98478 pep chromosome:Theobroma_cacao_20110822:2:5903180:5904482:-1 gene:TCM_007230 transcript:EOX98478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MELTHKVAVSRICYPYRRIPSRTQTFPVSQLSVSPLHSSSNQIKPKTHLNSFQNGTKPYDNPTTNDIKGANTTIPSMSDILASSRAQKLDLRLQALGPLFRITAKSLETNRELGRAEGLIRVWFGGRILHLDSIKLKRETMGMERSIFGIGLFIGAVAIRYGYDCGCKTAELLAINDSDLYHSKLVRFYKRIGFKVVHEVNGSTIGDMAHMLIWGGIGTRMDASIEELLLKWCSRFKSMN >EOY02113 pep chromosome:Theobroma_cacao_20110822:2:41992985:41996817:-1 gene:TCM_011845 transcript:EOY02113 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein isoform 2 MYQKGTTFGASLGNGHIENWADSGLADNSQQTDTSTDVDNDDKNQLNGVRHGAIITVDSVDESKPKTGDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLRLTQLEQELQRARQQGIFISSGLSGDHGHSVAGNGALAFDMDYAHWLDDHQRLINDLRSAVNSHMGDNELRILVEGVMAHYDEVFRLKSIGAKADVFHMLSGMWKTPAERCFMWLGGFRSSELLKILGNHLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQSLVDTLSSACLGPAGSGNVADYMGQMAIAMGKLATLENFLHQADLLRQQT >EOY02112 pep chromosome:Theobroma_cacao_20110822:2:41992304:41996817:-1 gene:TCM_011845 transcript:EOY02112 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein isoform 2 MQSFKAAAPTNPDMFCHTSFFLRGEDCNSRHQTRFSDLGELDHPASAFQHDDAVDLSPSSIFSLKSSNVAVVANSFNYGSLNTSIGATEIVSSGTGCLDTGQFMYQKGTTFGASLGNGHIENWADSGLADNSQQTDTSTDVDNDDKNQLNGVRHGAIITVDSVDESKPKTGDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLRLTQLEQELQRARQQGIFISSGLSGDHGHSVAGNGALAFDMDYAHWLDDHQRLINDLRSAVNSHMGDNELRILVEGVMAHYDEVFRLKSIGAKADVFHMLSGMWKTPAERCFMWLGGFRSSELLKILGNHLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQSLVDTLSSACLGPAGSGNVADYMGQMAIAMGKLATLENFLHQADLLRQQTLQQMHRILTTRQAARALLVISDYTSRLRALSSLWCLEGDGEA >EOX97648 pep chromosome:Theobroma_cacao_20110822:2:2968646:2971496:1 gene:TCM_006617 transcript:EOX97648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MSRCLPFPPPGYVRNGVSGEALLEQIKVQRERVMAEREKKKEKWRSKKEMRKEREQGEICEQKPGHHERHKRLRSNEGGGRSENQGKTEYGTQEMGSSGLTEELKQPISDSFYESSDNSRSIHKKRNSGSRNECGNIVQIDVQLQKHKTPEALSRKPDCSTIMMDSVVQKKLELPVEEHFSSASGVPATDVQEFVPPPLRELSHSSQTARIYKDEKSKMTLTEQFRELEEKKWLPRPLQIEQFDVGDQEGLLGRKPPRGDICETSNASSVVLHHGYSTACPYDQHLLHSLLMLDSGVQKKLELDPTPVQEQLSSDCVPATDEKCEMAPTSSCSEISLLQIESQFRELVANWLPPSLQSEHFDIGDQDWLFETKQPRSNIRDKSKASYDVLHQGDFTRYPRAQYLPQANIHALPYAVPY >EOX96886 pep chromosome:Theobroma_cacao_20110822:2:362948:364358:-1 gene:TCM_006028 transcript:EOX96886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNDMLSKVRKLARKSLISLLMREAARISANQEEVFQSQVYIPGINWVLMVLCIAVTAGFREENPNLEMHLARDPCPVREGRLLFSVRFEINQRWLGPSCNCGSISHRHVCLALRWKLNATMTDEGPRH >EOY01421 pep chromosome:Theobroma_cacao_20110822:2:39383684:39389434:1 gene:TCM_011314 transcript:EOY01421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell elongation protein / DWARF1 / DIMINUTO isoform 2 MSDLEVPLRPKRKKGLVDFLVQFRCIFVIFVVLPISCAYYFLIYLGDVRSEMKSFEQRQKEHDENVKKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDKERMIARVEPLVNMGQITRVTVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVAYEIVLADGRVVRATKDNEYSDLFYTIPWSQGTLGFLVAAEIKLIPIKEYMRLTYTPVVGNLKELAQGYMDSFTPRDCDQDNPEKVPEFVEGMIYSPAEGVFMTGRYASKEEAKKKGNKINSVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQWWFRFLLGWMMPPKVSLLKATQGEAIRNYYHDMHVIQDMLVPLYKVGDALEWVHQEMEIYPIWLCPHRLFKLPVKTMVYPEPGFEHRRRQGDTPYAQMYTDVGVYYSPGPVLRGEVFDGAEAVRKLEKWLIENHSFQPQYAVSELNEKDFWRMFDAGLYEHVRRKYGAVGTFMSVYYKSKKGRKTEKEVQEAEQAHLETAYAEVDQPMD >EOY01422 pep chromosome:Theobroma_cacao_20110822:2:39386738:39388120:1 gene:TCM_011314 transcript:EOY01422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell elongation protein / DWARF1 / DIMINUTO isoform 2 MSDLEVPLRPKRKKGLVDFLVQFRCIFVIFVVLPISCAYYFLIYLGDVRSEMKSFEQRQKEHDENVKKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDKERMIARVEPLVNMGQITRVTVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVAYEIVLADGRVVRATKDNEYSDLFYTIPWSQGTLGFLVAAEIKLIPIKEYMRLTYTPVVGNLKELAQGYMDSFTPRDCDQDNPEKVPEFVEGMIYSPAEGVFMTGRYASKEEAKKKGNKINSVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQWWFRFLLGWMMPPKVSLLKATQGEAIRNYYHDMHVIQDMLVPLYKVGDALEWVHQEMEVNFMFSHLFSFHYFLILLIIFYVTFLFVSLSLFFFCFKLSLFHS >EOX98619 pep chromosome:Theobroma_cacao_20110822:2:6431345:6433653:-1 gene:TCM_007329 transcript:EOX98619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A lectin protein kinase family protein isoform 2 MLQPPNGGDGIAFFMAPNGSTIPDFSWGECLALIKNCSNFNASGIVAVEFDTYQNDWDPSDNHIGININSIRSAANITFNTSIKNGSKAHAWISYDSRTTNLSVFLTYLKNPIFSGNSSLSYKVNLSKVLPEWVTVGFSSATGYRTEIHNIASWEFNSTKLSSGPDKRGGGGGGRGGVHIGAIVGGVIGGSLAAVGTILIMVFFWRKKGQKKKEEDSEQDDSIDREFEHGTGPKRFSFAELVRATNNFAEEGKLGEGGFGGVYKGFLSSLNSSIAVKRVSRISKQGKKEYIAEVKIITKLRHKNLVQLIGWCHEKGEFLLIYEFMPNGSLDSHLFGGQNQLSWARYKIALGLASALLYLHEEWEQTVIHRDIKSSNVMLDSNFNARLGDFGLARLMDQELGIKITRPAGTFGYMAPEYVSKGKASKASDIYSFGVVVLEIACGRRSIESKTKEAETSLLDWVWRSYENERLVNVADEKLHMDFDLEQMERLMIVGLWCAHPDCNFRPSIRQALHVLNFEAPLPNLPKKMPVPKYDIPATSSSSSSCEPLMSDSVLTVGR >EOX98618 pep chromosome:Theobroma_cacao_20110822:2:6431862:6433653:-1 gene:TCM_007329 transcript:EOX98618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A lectin protein kinase family protein isoform 2 MADRSFCAHHFHGTPYLNVSGDASFTDGVLSLTRSRTGTSFVDSVGRAVYPEEIQLWDPVTRKAADFFTHFSFNISMLQPPNGGDGIAFFMAPNGSTIPDFSWGECLALIKNCSNFNASGIVAVEFDTYQNDWDPSDNHIGININSIRSAANITFNTSIKNGSKAHAWISYDSRTTNLSVFLTYLKNPIFSGNSSLSYKVNLSKVLPEWVTVGFSSATGYRTEIHNIASWEFNSTKLSSGPDKRGGGGGGRGGVHIGAIVGGVIGGSLAAVGTILIMVFFWRKKGQKKKEEDSEQDDSIDREFEHGTGPKRFSFAELVRATNNFAEEGKLGEGGFGGVYKGFLSSLNSSIAVKRVSRISKQGKKEYIAEVKIITKLRHKNLVQLIGWCHEKGEFLLIYEFMPNGSLDSHLFGGQNQLSWARYKIALGLASALLYLHEEWEQTVIHRDIKSSNVMLDSNFNARLGDFGLARLMDQELGIKITRPAGTFGYMAPEYVSKGKASKASDIYSFGVVVLEI >EOX98617 pep chromosome:Theobroma_cacao_20110822:2:6430910:6435703:-1 gene:TCM_007329 transcript:EOX98617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A lectin protein kinase family protein isoform 2 MADRSFCAHHFHGPLYLFNSLFYLFFHYSSLHALQFNFPDFSGTPYLNVSGDASFTDGVLSLTRSRTGTSFVDSVGRAVYPEEIQLWDPVTRKAADFFTHFSFNISMLQPPNGGDGIAFFMAPNGSTIPDFSWGECLALIKNCSNFNASGIVAVEFDTYQNDWDPSDNHIGININSIRSAANITFNTSIKNGSKAHAWISYDSRTTNLSVFLTYLKNPIFSGNSSLSYKVNLSKVLPEWVTVGFSSATGYRTEIHNIASWEFNSTKLSSGPDKRGGGGGGRGGVHIGAIVGGVIGGSLAAVGTILIMVFFWRKKGQKKKEEDSEQDDSIDREFEHGTGPKRFSFAELVRATNNFAEEGKLGEGGFGGVYKGFLSSLNSSIAVKRVSRISKQGKKEYIAEVKIITKLRHKNLVQLIGWCHEKGEFLLIYEFMPNGSLDSHLFGGQNQLSWARYKIALGLASALLYLHEEWEQTVIHRDIKSSNVMLDSNFNARLGDFGLARLMDQELGIKITRPAGTFGYMAPEYVSKGKASKASDIYSFGVVVLEIACGRRSIESKTKEAETSLLDWVWRSYENERLVNVADEKLHMDFDLEQMERLMIVGLWCAHPDCNFRPSIRQALHVLNFEAPLPNLPKKMPVPKYDIPATSSSSSSCEPLMSDSVLTVGR >EOX98620 pep chromosome:Theobroma_cacao_20110822:2:6431364:6433539:-1 gene:TCM_007329 transcript:EOX98620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A lectin protein kinase family protein isoform 2 MADRSFCAHHFHGTPYLNVSGDASFTDGVLSLTRSRTGTSFVDSVGRAVYPEEIQLWDPVTRKAADFFTHFSFNISMLQPPNGGDGIAFFMAPNGSTIPDFSWGECLALIKNCSNFNASGIVAVEFDTYQNDWDPSDNHIGININSIRSAANITFNTSIKNGSKAHAWISYDSRTTNLSVFLTYLKNPIFSGNSSLSYKVNLSKVLPEWVTVGFSSATGYRTEIHNIASWEFNSTKLSSGPDKRGGGGGGRGGVHIGAIVGGVIGGSLAAVGTILIMVFFWRKKGQKKKEEDSEQDDSIDREFEHGTGPKRFSFAELVRATNNFAEEGKLGEGGFGGVYKGFLSSLNSSIAVKRVSRISKQGKKEYIAEVKIITKLRHKNLVQLIGWCHEKGEFLLIYEFMPNGSLDSHLFGGQNQLSWARYKIALGLASALLYLHEEWEQTVIHRDIKSSNVMLDSNFNARLGDFGLARLMDQELGIKITRPAGTFGYMAPEYVSKGKASKASDIYSFGVVVLEIACGRRSIESKTKEAETSLLDWVWRSYENERLVNVADEKLHMDFDLEQMERLMIVGLWCAHPDCNFRPSIRQALHVLNFEAPLPNLPKKMPVPKYDIPATSSSSSSCEPLMSDSVLTVGR >EOX98973 pep chromosome:Theobroma_cacao_20110822:2:7957404:7960252:-1 gene:TCM_007623 transcript:EOX98973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein isoform 2 METEKKPASAVSDIGAWAMNVVSSVGLIMANKQLMSPSGYAFVFATTLTGFHFSMTALIGMVSNATGYSTKKHVPLWELLWFSVVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWILHGKHYSSKVKIAVIVVVVGVGVCTVTDVKVNAQGFLCACVAVLSTSLQQISIGSLQKKYSIGSFELLSQTAPIQALSLLLLGPFIDYYISGKLLASYKFSSPAIYSAI >EOX98972 pep chromosome:Theobroma_cacao_20110822:2:7956917:7960071:-1 gene:TCM_007623 transcript:EOX98972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein isoform 2 METEKKPASAVSDIGAWAMNVVSSVGLIMANKQLMSPSGYAFVFATTLTGFHFSMTALIGMVSNATGYSTKKHVPLWELLWFSVVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWILHGKHYSSKVKIAVIVVVVGVGVCTVTDVKVNAQGFLCACVAVLSTSLQQISIGSLQKKYSIGSFELLSQTAPIQALSLLLLGPFIDYYISGKLLASYKFSSPAIFFILLSCFLAVFCNISQYLCIGRFSAVSFQVLGHMKTVCVLMLGWLLFDSELTLKNILGMAIAVLGMVVYSWAVEAEKKQADSKVIPYLTDASEEDVKLLKQQVDGSLPLKDVELGKSQG >EOY00044 pep chromosome:Theobroma_cacao_20110822:2:23567057:23570280:-1 gene:TCM_009409 transcript:EOY00044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase 1 isoform 3 MAAWSKVGSLFSFLNFQRILQQPRQERQQQPQSERIREVQIGRHPIKTHGAKVARDHLHDWLILMLLVVIEVVLFIIHPFYRFVGKDMMTDLKYPMKQNTVPVWAVPMYSVLLPICVFLVVYHRRRDVYDLHHSVLGLLFAVLITAIVTDAIKVAVGRPRPDFFWRCFPDGKDNYDQWGNVICHGKKADIKEGHKSFPSGHASWSFAGLGYLSLYLSGKIKVFNREGHIARLCIIFLPLLAASLVAVSRVDDYWHHWNDVFAGGFLGLVVAAFCYRQFFPNPYEDEGWGPYAYFDALLEEANANREAA >EOY00043 pep chromosome:Theobroma_cacao_20110822:2:23566521:23570361:-1 gene:TCM_009409 transcript:EOY00043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase 1 isoform 3 MAAWSKVGSLFSFLNFQRILQIISGRHCIPSWQLAINFIIRLKVKQPRQERQQQPQSERIREVQIGRHPIKTHGAKVARDHLHDWLILMLLVVIEVVLFIIHPFYRFVGKDMMTDLKYPMKQNTVPVWAVPMYSVLLPICVFLVVYHRRRDVYDLHHSVLGLLFAVLITAIVTDAIKVAVGRPRPDFFWRCFPDGKDNYDQWGNVICHGKKADIKEGHKSFPSGHASWSFAGLGYLSLYLSGKIKVFNREGHIARLCIIFLPLLAASLVAVSRVDDYWHHWNDVFAGGFLGLVVAAFCYRQFFPNPYEDEGWGPYAYFDALLEEANANREAAQTTNADIEAAQTTNANRQAAQTTNADIEAAQTTNANREAAQTTNALIVQKMEVQAVNQTSGSNGDNFSSPPLVSSPSWRLDDIELGRR >EOY00045 pep chromosome:Theobroma_cacao_20110822:2:23566523:23570250:-1 gene:TCM_009409 transcript:EOY00045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase 1 isoform 3 MAAWSKVGSLFSFLNFQRILQQPRQERQQQPQSERIREVQIGRHPIKTHGAKVARDHLHDWLILMLLVVIEVVLFIIHPFYRFVGKDMMTDLKYPMKQNTVPVWAVPMYSVLLPICVFLVVYHRRRDVYDLHHSVLGLLFAVLITAIVTDAIKVAVGRPRPDFFWRCFPDGKDNYDQWGNVICHGKKADIKEGHKSFPSGHASWSFAGLGYLSLYLSGKIKVFNREGHIARLCIIFLPLLAASLVAVSRVDDYWHHWNDVFAGGFLGLVVAAFCYRQFFPNPYEDEGWGPYAYFDALLEEANANREAAQTTNADIEAAQTTNANRQAAQTTNADIEAAQTTNANREAAQTTNALIVQKMEVQAVNQTSGSNGDNFSSPPLVSSPSWRLDDIELGRR >EOY00024 pep chromosome:Theobroma_cacao_20110822:2:23412106:23414133:-1 gene:TCM_009380 transcript:EOY00024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATSSSRPNVGNNGEQSMGDDEYSSLRSRKKWKLDFHLPAALIGFSIEQGNDLSSTSRFRDNSHVARGYDLSLDEPFNSVESSPVHPTHDIPSNQPSRVIPDLVSNDVMYNMLLRIDEKLSNQIARMQVVELRIQNVENLLMQRTDTAVWAVEAR >EOY00744 pep chromosome:Theobroma_cacao_20110822:2:34866285:34870887:1 gene:TCM_010670 transcript:EOY00744 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein isoform 2 MALAFDEFGRPFIIIKEQEQKTRLRGIEAQKANISAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERLLERGIHPIRVAEGYELASRIAVEHLEHIAQKFDFGPTNIEPLVQTCMTTLSSKIVNRCKRPLAEISVKAVLSVADLERKDVNLDLIKVEGKVGGKLEDTELIYGITVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRLQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGRAGLVREKSFGTTKDKMLYIEHCANSRAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAAADKYPGVEQYAIRAFADALDAVPMALAENSGLQPIETLSAVKSEQIKENNPHFGIDCNDVGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISPSDY >EOY00747 pep chromosome:Theobroma_cacao_20110822:2:34866515:34869963:1 gene:TCM_010670 transcript:EOY00747 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein isoform 2 MALAFDEFGRPFIIIKEQEQKTRLRGIEAQKANISAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERLLERGIHPIRVAEGYELASRIAVEHLEHIAQKFDFGPTNIEPLVQTCMTTLSSKIVNRCKRPLAEISVKAVLSVADLERKDVNLDLIKVEGKVGGKLEDTELIYGITVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRLQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGFNRWKDCAKVPRVDPRKAGKGMLVWSERSLLEPQKIKCCTLNTVQIQEL >EOY00745 pep chromosome:Theobroma_cacao_20110822:2:34866515:34870720:1 gene:TCM_010670 transcript:EOY00745 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein isoform 2 MALAFDEFGRPFIIIKEQEQKTRLRGIEAQKANISAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERLLERGIHPIRVAEGYELASRIAVEHLEHIAQKFDFGPTNIEPLVQTCMTTLSSKIVNRCKRPLAEISVKAVLSVADLERKDVNLDLIKVEGKVGGKLEDTELIYGITVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRLQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGFNRWKDCAKVPRVDPRKAGKGMLVWSERSLLEPQKIKCCTLNNPQQFYSLWWWLS >EOY00746 pep chromosome:Theobroma_cacao_20110822:2:34866568:34869638:1 gene:TCM_010670 transcript:EOY00746 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein isoform 2 MALAFDEFGRPFIIIKEQEQKTRLRGIEAQKANISAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERLLERGIHPIRVAEGYELASRIAVEHLEHIAQKFDFGPTNIEPLVQTCMTTLSSKIVNRCKRPLAEISVKAVLSVADLERKDVNLDLIKVEGKVGGKLEDTELIYGITVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRLQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGFNRWKDCAKVPRVDPRKAGKGMFCWFGQREVFWNHKR >EOY01748 pep chromosome:Theobroma_cacao_20110822:2:40793966:40799043:-1 gene:TCM_011578 transcript:EOY01748 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 57 isoform 1 MEDKEGADPRNEFTSDSSWTLPGPDPVSDSVNYFFDRESSILSEFGWNLQPDQAEEIERFGELDRTATRPDLAGNFSGSQSSGAAAAAAAGSGSGTATNLSGSADVSTSNPSVSSSSSEDPPEKSTGSGGKPPEIPSKVRKKGQKRIRQPRFAFVTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTNSKCTVKKRVERSSEDPTTVITTYEGQHCHHSVGFPRGGLISHEAAFAGQLTPAISQFYYPGVQLHREIPPSITQSHQVPIDVGGSRALPEPTPQLPTDEGLLGDIVPPGMRNR >EOY01749 pep chromosome:Theobroma_cacao_20110822:2:40794286:40799502:-1 gene:TCM_011578 transcript:EOY01749 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 57 isoform 1 MEDKEGADPRNEFTSDSSWTLPGPDPVSDSVNYFFDRESSILSEFGWNLQPDQAEEIERFGELDRTATRPDLAGNFSGSQSSGAAAAAAAGSGSGTATNLSGSADVSTSNPSVSSSSSEDPPEKSTGSGGKPPEIPSKVRKKGQKRIRQPRFAFVTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTNSKCTVKKRVERSSEDPTTVITTYEGQHCHHSVGFPRGGLISHEAAFAGQLTPAISQFYYPGVQLHREIPPSITQSHQVPIDVGGSRALPEPTPQLPTDEGLLGDIVPPGMRNR >EOX98473 pep chromosome:Theobroma_cacao_20110822:2:5892673:5895980:-1 gene:TCM_007227 transcript:EOX98473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein isoform 1 MGCKFCATGTMGFKNNLTSGEIVEQLVHASRLSNIRNVVFMGMGEPLNNYTALVEAIRVMTGSPFQLSPKRITVSTVGIIHAINKLHSDLPGLNLAVSLHAPVQDIRCQIMPSARAFPLEKLMTALQAYQKNSHQKIFIEYIMLDGVNDEEQHAHQLGKLLESFQVVVNLIPFNPIGSFSQFRTSSEQRVSEFQKILRGSYNIRTTVRKQMGQDISGACGQLVVNLPDKRSRENTALLTDIEDLRI >EOX98471 pep chromosome:Theobroma_cacao_20110822:2:5892275:5896003:-1 gene:TCM_007227 transcript:EOX98471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein isoform 1 MTTHRSVFDAAELRARFDDAGINHQFIPSIWKYVLQNPGCELDDIPYLPSSAYPLLRSKFKPLTSTVHSIFHSTDGVTTKLLIRLQNGASVEAVIMTYDTRLGKYGGKPRPGGPRSTLCISSQVGCKMGCKFCATGTMGFKNNLTSGEIVEQLVHASRLSNIRNVVFMGMGEPLNNYTALVEAIRVMTGSPFQLSPKRITVSTVGIIHAINKLHSDLPGLNLAVSLHAPVQDIRCQIMPSARAFPLEKLMTALQAYQKNSHQKIFIEYIMLDGVNDEEQHAHQLGKLLESFQVVVNLIPFNPIGSFSQFRTSSEQRVSEFQKILRGSYNIRTTVRKQMGQDISGACGQLVVNLPDKRSRENTALLTDIEDLRI >EOX98472 pep chromosome:Theobroma_cacao_20110822:2:5893017:5895892:-1 gene:TCM_007227 transcript:EOX98472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein isoform 1 MTTHRSVFDAAELRARFDDAGINHQFIPSIWKYVLQNPGCELDDIPYLPSSAYPLLRSKFKPLTSTVHSIFHSTDGVTTKLLIRLQNGASVEAVIMTYDTRLGKYGGKPRPGGPRSTLCISSQVGCKMGCKFCATGTMGFKNNLTSGEIVEQLVHASRLSNIRNVVFMGMGEPLNNYTALVEAIRVMTGSPFQLSPKRITVSTVGIIHAINKLHSDLPGLNLAVSLHAPVQDIRCQIMPSARAFPLEKLMTALQAYQKNSHQKIFIEYIMLDGVNDEEQHAHQLGKLLESFQVVVNLIPFNPIGSFSQFRTSSEQRVSEFQKILRGSYNIRTTVRKQMGQDISGACGQLVVNLPDKRSRENTALLTDIEDLRI >EOX98469 pep chromosome:Theobroma_cacao_20110822:2:5884505:5888263:-1 gene:TCM_007225 transcript:EOX98469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Interferon-related developmental regulator family protein / IFRD family protein, putative MGDNIGTRTRHKRQIRRGRRQSARRGILLDDDASGDMGNFQGAPKTLDTYFRELSDKRAKREEALSPILKALTDNIEQNFVEENFVTLLYHCLHCVKKGSATEMQQAAHIIGLLAMITSCVDHAHEAYEDVLTALSQQRKSKLKTLKILDCLAVVTFFGASNSDETEQAMQLIWNFIHPESHSNMERKHSPDVLTSAISAWSFLLTTVDGWRLSYKNWQGAIPYFSNLLDNNDEALCAAASEALALIFETNCLEKFSTEAKDSHGSIDEGGTSIKIYSTNEELKDNIIKQLRSLSTKTSNETIPVQDARTGFDAILAALKFLEEENCPNTCVTIGGQELMLSTWSQKIQLKFMKHFLGKDGFVQHMMRNENFHHVFEFMPKKRNSLSSMLYEPEREEVTVRFFRPPVLRHRDSSLLPFISREERQLQKKMTMSPNSCLSKARTQLLNKQRLLSQGERLRENDCFAVADGI >EOY00582 pep chromosome:Theobroma_cacao_20110822:2:33711796:33720339:-1 gene:TCM_010474 transcript:EOY00582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poor ous synapsis 1, putative isoform 1 MAGSVVVVETNRQENDKPVNTIKDQWQVLFARFMNYPSLPSTCPSLVPLPKNRRFRAPEGNWIATSFPAASLQIISDLSGSETILVVCLSEKILEEHYISKLHFSWPQVQCIPEFPARGSRSVFVSYKDSAYEIQKFALRFSTLREVESFMNTLRETLRVGDEIEPLQRDFGSDFSTQTEFISSNGLPSRVCQELSDMTAGNSYTPQMDPSLSYEIEQQSFDQDAMLNHNCEGIFPALPPSFTSLLTDCRSAAEQAAKQPTVSEEIDLKSQIVIC >EOY00580 pep chromosome:Theobroma_cacao_20110822:2:33711514:33720345:-1 gene:TCM_010474 transcript:EOY00580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poor ous synapsis 1, putative isoform 1 MAGSVVVVETNRQENDKPVNTIKDQWQVLFARFMNYPSLPSTCPSLVPLPKNRRFRAPEGNWIATSFPAASLQIISDLSGSETILVVCLSEKILEEHYISKLHFSWPQVQCIPEFPARGSRSVFVSYKDSAYEIQKFALRFSTLREVESFMNTLRETLRVGDEIEPLQRDFGSDFSTQTEFISSNGLPSRVCQELSDMTAGNSYTPQMDPSLSYEIEQQSFDQDAMLNHNCEGIFPALPPSFTSLLTDCRSAAEQAAKQPTVSEEIDLKSQIVRYMEDSSFQDMLSKVEKIINEVEADMVL >EOY00583 pep chromosome:Theobroma_cacao_20110822:2:33711796:33720339:-1 gene:TCM_010474 transcript:EOY00583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poor ous synapsis 1, putative isoform 1 MAGSVVVVETNRQENDKPVNTIKDQWQVLFARFMNYPSLPSTCPSLVPLPKNRRFRAPEGNWIATSFPAASLQIISDLSGSETILVVCLSEKILEEHYISKLHFSWPQVQCIPEFPARGSRSVFVSYKDSAYEIQKFALRFSTLREVESFMNTLRETLRVGDEIEPLQRDFGSDFSTQTEFISSNGLPSRVCQELSDMTAGNSYTPQMDPSLSYEIEQQSFDQDAMLNHNCEGIFPALPPSFTSLLTDCRSAAEQGRFVALMCRYVE >EOY00581 pep chromosome:Theobroma_cacao_20110822:2:33712120:33720300:-1 gene:TCM_010474 transcript:EOY00581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poor ous synapsis 1, putative isoform 1 MAGSVVVVETNRQENDKPVNTIKDQWQVLFARFMNYPSLPSTCPSLVPLPKNRRFRAPEGNWIATSFPAASLQIISDLSGSETILVVCLSEKILEIRFCYMVQEEHYISKLHFSWPQVQCIPEFPARGSRSVFVSYKDSAYEIQKFALRFSTLREVESFMNTLRETLRVGDEIEPLQRDFGSDFSTQTEFISSNGLPSRVCQELSDMTAGNSYTPQMDPSLSYEIEQQSFDQDAMLNHNCEGIFPALPPSFTSLLTDCRSAAEQAAKQPTVSEEIDLKSQIVRYMEDSSFQDMLSKVEKIINEVEADMVL >EOX98376 pep chromosome:Theobroma_cacao_20110822:2:5551606:5554475:-1 gene:TCM_007152 transcript:EOX98376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant basic secretory protein (BSP) family protein, putative MATLKFLLFSLVTSAILQGTYAVLFKVTNNATSTQGGVRFTDEIGVQYSTRSLKFATAIVWMLLGQLRPAERKKVEQVSLFIDVMDGVAYESDDEIHVSANYIGNYSRDVKREFTGILVHETAHVWQWNGNGQTPGGLIEGIADFIRLKAGYAPPHWVRAGQGDKWDQGYDITAWFLNYCDSLSRGFVAKLDRKMRSSYNSDYFVELLGKNVDQLWTDYKAKYNT >EOY00976 pep chromosome:Theobroma_cacao_20110822:2:36221320:36226539:-1 gene:TCM_010876 transcript:EOY00976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAESDAVVDNPISPAAEGMLSNRNKAEISSKLKDAILAQLAASNPSMPISPDLIQLRLQQFFPTFHTPIHPPYSSMIQQAILKLNNEGGSSEEAILRFIEKEYEGLPWGHASFLSHHLEKVCRNGDILCVNDGRFILQVNDGDLGHEEEKMSHILNITNRDGKEDQTFVQVKGREVEVIDGWSGVNCYQAEESEDQCEGKRQSVEGNGQIKACEQRILGFEEQKEGRQGLIEEVQEESQNFNGQIEVVEDVNEAKAMPAEVAQEQRAEERKQPEMTEQQIKVLKDDIPQHMMKEEKKYVEQLHQTKGADGVMKSNVVMIGEQEQPQRGKMSSEQGLPQDQQVDITSKMVFLCDGEDGEKLKTPLGKCSMHPLEEEKMEALEHEGQQRRKLHQGERDLFIVCDLQAQQQSQLRTHIYQKAHKLHTDSISASCESRESGQILVKKLKLSPQRPSELPVTTSEGFTQSKQKPTKIYTRREVQNSQLKVKTYLGMSKNIEELEKKQEEELQKPEKLLELESKTKEAKFGGEEAAVSLSIEVKDSMNAPLDLEQHGREHQKRQIKVYVRRNVSKSQLKEPEISNIFPSNSE >EOY00975 pep chromosome:Theobroma_cacao_20110822:2:36220892:36226690:-1 gene:TCM_010876 transcript:EOY00975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAESDAVVDNPISPAAEGMLSNRNKAEISSKLKDAILAQLAASNPSMPISPDLIQLRLQQFFPTFHTPIHPPYSSMIQQAILKLNNEGGSSEEAILRFIEKEYEGLPWGHASFLSHHLEKVCRNGDILCVNDGRFILQVNDGDLGHEEEKMSHILNITNRDGKEDQTFVQVKGREVEVIDGWSGVNCYQAEESEDQCEGKRQSVEGNGQIKACEQRILGFEEQKEGRQGLIEEVQEESQNFNGQIEVVEDVNEAKAMPAEVAQEQRAEERKQPEMTEQQIKVLKDDIPQHMMKEEKKYVEQLHQTKGADGVMKSNVVMIGEQEQPQRGKMSSEQGLPQDQQVDITSKMVFLCDGEDGEKLKTPLGKCSMHPLEEEKMEALEHEGQQRRKLHQGERDLFIVCDLQAQQQSQLRTHIYQKAHKLHTDSISASCESRESGQILVKKLKLSPQRPSELPVTTSEGFTQSKQKPTKIYTRREVQNSQLKVKTYLGMSKNIEELEKKQEEELQKPEKLLELESKTKEAKFGGEEAAVSLSIEVKDSMNAPLDLEQHGREHQKRQIKVYVRRNVSKSQLKEPEISNIFPSNSE >EOY02214 pep chromosome:Theobroma_cacao_20110822:2:42342611:42344492:-1 gene:TCM_011913 transcript:EOY02214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDQIVSQRTQPCQQNGKTVSNLDYLPWRRQDQLLLHAIFASLSEGVIPLVSSTKTSQEAWTRLSCLYSKRLTTHIIHLKDKLTSITRGSLFVTDFFISIKQITDELTVLGDPPSDADLLVYTTRGLGLAYKELITAMRTRDTVVPFKELFDKIIDHETFFLHNEKQYPDPTPPTTNLAKTSSSSYCPTKSLSPSSAPGLLPNPISANKQYKPTNSYNSNSNSVVCQFCIVALSTGTICHAPKLPIGPVTTVATS >EOX97583 pep chromosome:Theobroma_cacao_20110822:2:2749690:2751291:1 gene:TCM_006567 transcript:EOX97583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVIASRLLTNCSGLVHPSYHTLSFQLQRCQRTRCNCYKPYPSVFEEMPRGSFLRKPYMSRHVMSVGSNRHQLSFDDELPEEPFWLSLIRDSIWGVRSLFAFLVEQPGQLKYIEWPSFQSTLKTATLTLVLVAMLIVALSSVDSVLCYVLALLLRRTTS >EOX97582 pep chromosome:Theobroma_cacao_20110822:2:2749632:2751289:1 gene:TCM_006567 transcript:EOX97582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVIASRLLTNCSGLVHPSYHTLSFQLQRCQRTRCNCYKPYPSVFEEMPRGSFLRKPYMSRHVMSVGSNRHQLSFDDELPEEPFWLSLIRDSIWGVRSLFAFLVEQPGQLKYIEWPSFQSTLKTATLTLVLVAMLIVALSSVDSVLCYVLALLLRRTTS >EOX97581 pep chromosome:Theobroma_cacao_20110822:2:2749632:2751289:1 gene:TCM_006567 transcript:EOX97581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVIASRLLTNCSGLVHPSYHTLSFQLQRCQRTRCNCYKPYPSVFEEMPRGSFLRKPYMSRHVMSVGSNRHQLSFDDELPEEPFWLSLIRDSIWGVRSLFAFLVEQPGQLKYIEWPSFQSTLKTATLTLVLVAMLIVALSSVDSVLCYVLALLLRRTTS >EOY01429 pep chromosome:Theobroma_cacao_20110822:2:39403134:39408658:-1 gene:TCM_011318 transcript:EOY01429 gene_biotype:protein_coding transcript_biotype:protein_coding description:X-ray repair cross complementing 2 (XRCC2), putative MDSRAEAWLVEDESAREMLDRVLTERPFLLLPPLHRVPLRVGNIVELVGPSSSAKTHILIQAAITCVLPKQWKGVDYGGLGHLAMFIDLDCRFDILRFSELLDHRIMEAANGSSSKVGCQKRESEAQNATTKPYNEELFALCMRRFLYIRCYDSSEFLATLKTLHYRLQKEKEAHGINVHFLLIDSIGAFHWVDRGSSSFSLDGNNRKSLHLQSVSETVVREIRKLLLVHPMLVMATKAAILGNRYSMNESRWNNRKWPSTDNPHSRSVTSSDQQVPYREYMPAVWQSFVTHRILVQATDNDFVNGEHRNVSIYLSEWLLPPLNSLDKFVVGDTGVFILS >EOX99065 pep chromosome:Theobroma_cacao_20110822:2:8334324:8336188:1 gene:TCM_007683 transcript:EOX99065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein, putative isoform 1 MGCAKGKKRWAGFQGFKSCWKPPSGKQKNSQLISLFKSKGLSVLDMVALSGGHTIGFSHCMEFMPGIYSYNKTFDIDPTMNQDYALSLRGPCPRKNLDPTVVALNDVTTAFIFDNAYGGNLQKGLGLLSTDQMLGVDSLTRPYVNIMARDQQIFFNYFVKAMIKMSNIGVKTGSNGEIRSDCGSFNG >EOX99066 pep chromosome:Theobroma_cacao_20110822:2:8334315:8336188:1 gene:TCM_007683 transcript:EOX99066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein, putative isoform 1 MLWQLPLGTWLTWKIVRGLCTVDELKLLVSNCTGGRPKMGCAKGKKRWAGFQGFKSCWKPPSGKQKNSQLISLFKSKGLSVLDMVALSGGHTIGFSHCMEFMPGIYSYNKTFDIDPTMNQDYALSLRGPCPRKNLDPTVVALNDVTTAFIFDNAYGGNLQKGLGLLSTDQMLGVDSLTRPYVNIMARDQQIFFNYFVKAMIKMSNIGVKTGSNGEIRSDCGSFNG >EOX98374 pep chromosome:Theobroma_cacao_20110822:2:5546535:5551059:1 gene:TCM_007151 transcript:EOX98374 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-methyl-5-thioribose kinase isoform 2 MAFSEFRPLNEKSLVEYIKATPSLSSKIGDKYDDLKIKEVGDGNLNFVYIIVGASGSFVIKQALPYIRCIGESWPMTKERAYFEAIALKEHGGLCPQHVPEVYHFDRTMSLIGMRYIEPPHIILRKGLIAGIEYPLLAEHMSEYMAKTLFCTSLIYRSTTEHKRAVAEFCGNVELCRLTEQVVFSDPYKVSEYNRWTSPYLDHDALAVREDDILKLEVAELKSKFCERAQALIHGDLHTGSIMVTRDSTQVIDPEFAFYGPMGFDIGAFIGNLILAFFAQDGHADQVNDRKEEECGYRDTEICIRAYACTVIVIYKEWILKTIEDTWNLFHQKFTALWDQHKDGSGEAYLPAIYNNPELQKLIQEKYMKELFHDTLGFGAAKMIRRIVGVAHVEDFESIREASIRADCERQALELAKTLLKKRQEFLSIGEVISAITHAQL >EOX98375 pep chromosome:Theobroma_cacao_20110822:2:5546534:5551195:1 gene:TCM_007151 transcript:EOX98375 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-methyl-5-thioribose kinase isoform 2 MAFSEFRPLNEKSLVEYIKATPSLSSKIGDKYDDLKIKEVGDGNLNFVYIIVGASGSFVIKQALPYIRCIGESWPMTKERAYFEAIALKEHGGLCPQHVPEVYHFDRTMSLIGMRYIEPPHIILRKGLIAGIEYPLLAEHMSEYMAKTLFCTSLIYRSTTEHKRAVAEFCGNVELCRLTEQVVFSDPYKVSEYNRWTSPYLDHDALAVREDDILKLEVAELKSKFCERAQALIHGDLHTGSIMVTRDSTQVIDPEFAFYGPMGFDIGAFIGNLILAFFAQDGHADQVNDRKIYKEWILKTIEDTWNLFHQKFTALWDQHKDGSGEAYLPAIYNNPELQKLIQEKYMKELFHDTLGFGAAKMIRRIVGVAHVEDFESIREASIRADCERQALELAKTLLKKRQEFLSIGEVISAITHAQL >EOY01721 pep chromosome:Theobroma_cacao_20110822:2:40723473:40728015:1 gene:TCM_011558 transcript:EOY01721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MASRMAILTRTRTLCRLNQIKSISTYPFLSQEPQLAESTDSTQTTPLPPNPASGSPLYHENWRNPNAAQNSTSLAQSLIPLGFLVQAPGHRIQYLSENLDAPALMNHFAGLMTQQRWADVKELFEFWVRSLDKNGKPNKPDVNLYNHYLRANLMIGASAGDLLDLVAQMDDFAIVPNTASFNFILKAMNQAKETEAAKKLLERMLQGGAESLPDDDSYDLVIGMLFEAEQIDAALKYVDMALKSGYLLSMRVFTECVGSCVRQGRLDTLATVIERCKTKDQNRALYPNWNLCNYLAEVAMQADNSKLAFYALEFMAKWIARGEIAKPPFLLSVDEGLIVSALATAGRTYSSNLLDASWAILRRSLRQKKVPSPESYLGKMYAHASLGNLQKAFGTLHEFEAAHRNSINEAEDLFSPFTSLYPLVVACSKKGFETLDSVYYQLEKLSSADPPYKSVAALNCIILGCGNIWDIERAYQTFDAIGSSFGLTPDIHSYNALMYAFGKLKKTFEASRVFEHMLSLGVKPNAKSYSLLVDAHLINRDQKSALSAIDEMVTAEFVPSKETVKKVRRRCIREMDYESDDRVESLAKKFNIQMGSENRRGMLFNLDYGTEYASAS >EOY01722 pep chromosome:Theobroma_cacao_20110822:2:40723865:40727908:1 gene:TCM_011558 transcript:EOY01722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MNHFAGLMTQQRWADVKELFEFWVRSLDKNGKPNKPDVNLYNHYLRANLMIGASAGDLLDLVAQMDDFAIVPNTASFNFILKAMNQAKETEAAKKLLERMLQGGAESLPDDDSYDLVIGMLFEAEQIDAALKYVDMALKSGYLLSMRVFTECVGSCVRQGRLDTLATVIERCKTKDQNRALYPNWNLCNYLAEVAMQADNSKLAFYALEFMAKWIARGEIAKPPFLLSVDEGLIVSALATAGRTYSSNLLDASWAILRRSLRQKKVYYQLEKLSSADPPYKSVAALNCIILGCGNIWDIERAYQTFDAIGSSFGLTPDIHSYNALMYAFGKLKKTFEASRVFEHMLSLGVKPNAKSYSLLVDAHLINRDQKSALSAIDEMVTAEFVPSKETVKKVRRRCIREMDYESDDRVESLAKKFNIQMGSENRRGMLFNLDYGTEYASAS >EOY00784 pep chromosome:Theobroma_cacao_20110822:2:35075118:35079967:-1 gene:TCM_010702 transcript:EOY00784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGESACLVRPFSNPADISLEAKEGDPILALTESVSFGRFMSESLAWEKWSTFSHNRYLEEVEKFSKPGSVAQKKAFFEAHYKRRAAMRAAALLEQENTVSNDVSQTGTINAASMDSSLNTDSANANASVAMDEQQEKDVSDAEVAHTTDVDADNLNVGRENMDVANWERGQAVMDQDVNMENNTHVGNSNALENVDNTHVDHGMILSTPDKKMPLKECTDQENSTSSSKKWRTNSLSKSSTPCRASKLPLHPSKRVAFVDKSTGNSIDKKKTIPNSLHISINFASGASKTSKTSLRMPKDSSTPLQTPTRALRKAADQENLAPSSEKSNFASKLSNHGGVSKQATSRIGYNHGLISRKSAVDSDEQKRIVQKSLRMSMNFTPHASGTNKTSLKISRDNSTPLQTPTRASVNGCSRNPSKDLQLQDKRTRAVLNKSVSGGVTGDGRWPSLSNCSKSSSASGTSTRSTITSSPFTFRSEERAAKRKEFFKKLEDKMNSKEAEKSQMQTRSKIVSTWSQSPKFGRKPYPSTAQDTNSSTAQDTNSRPPRRPSINTESSKRLLMKNNRIACSVTALPKNRHENASPNIQHSVGKESISYRHDRGGSIAGGCSH >EOY00785 pep chromosome:Theobroma_cacao_20110822:2:35073748:35079967:-1 gene:TCM_010702 transcript:EOY00785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGESACLVRPFSNPADISLEAKEGDPILALTESVSFGRFMSESLAWEKWSTFSHNRYLEEVEKFSKPGSVAQKKAFFEAHYKRRAAMRAAALLEQENTVSNDVSQTGTINAASMDSSLNTDSANANASVAMDEQQEKDVSDAEVAHTTDVDADNLNVGRENMDVANWERGQAVMDQDVNMENNTHVGNSNALENVDNTHVDHGMILSTPDKKMPLKECTDQENSTSSSKKWRTNSLSKSSTPCRASKLPLHPSKRVAFVDKSTGNSIDKKKTIPNSLHISINFASGASKTSKTSLRMPKDSSTPLQTPTRALRKAADQENLAPSSEKSNFASKLSNHGGVSKQATSRIGYNHGLISRKSAVDSDEQKRIVQKSLRMSMNFTPHASGTNKTSLKISRDNSTPLQTPTRASVNGCSRNPSKDLQLQDKRTRAVLNKSVSGGVTGDGRWPSLSNCSKSSSASGTSTRSTITSSPFTFRSEERAAKRKEFFKKLEDKMNSKEAEKSQMQTRSKDKAKNDLNKLRQSTDVKARLNEDLYHGSQSSCNHVKKIVSTWSQSPKFGRKPYPSTAQDTNSSTAQDTNSRPPRRPSINTESSKRLLMKNNRIACSVTALPKNRHENASPNIQHSVGKESISYRHDRGGSIAGGCSH >EOX96944 pep chromosome:Theobroma_cacao_20110822:2:625092:627832:1 gene:TCM_006072 transcript:EOX96944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MFEYKRKSESELSSETRNSSSQSSMSSESCSSFSRLSFELPTSRSSPENLSLKPHRSSDFAYSAIRSATFASKTGLTFRDFRLLRRIGSGDIGTVYLCRLANADEKCYYAMKVVDKEALEMKKKVQRAEMEKKILKMLDHPFLPTLYAEFEASHFSCIVMEYCSGGDLHSLRHKQPQKRFSLSSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLCSDAIPAVESPSSSPDPTSPQNQPCTRPQPSRLSCLFRRLFRSKKIQTLTPSKLFFVAEPVAARSRSFVGTHEYVSPEVASGGSHGNAVDWWALGIFIYEMIYGRTPFAAPSNELTLRNIVKRPLTFPTHPPSSLSEHHARDLISGLLNKDPNSRLGSKRGAADVKTHPFFKGLNFALIRSLTPPEIPGLRRQSTTTTSCYHPKSEERSTAFDYF >EOX96943 pep chromosome:Theobroma_cacao_20110822:2:625126:627904:1 gene:TCM_006072 transcript:EOX96943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MFEYKRKSESELSSETRNSSSQSSMSSESCSSFSRLSFELPTSRSSPENLSLKPHRSSDFAYSAIRSATFASKTGLTFRDFRLLRRIGSGDIGTVYLCRLANADEKCYYAMKVVDKEALEMKKKVQRAEMEKKILKMLDHPFLPTLYAEFEASHFSCIVMEYCSGGDLHSLRHKQPQKRFSLSSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLCSDAIPAVESPSSSPDPTSPQNQPCTRPQPSRLSCLFRRLFRSKKIQTLTPSKLFFVAEPVAARSRSFVGTHEYVSPEVASGGSHGNAVDWWALGIFIYEMIYGRTPFAAPSNELTLRNIVKRPLTFPTHPPSSLSEHHARDLISGLLNKDPNSRLGSKRGAADVKTHPFFKGLNFALIRSLTPPEIPGLRRQSTTTTSCYHPKSEERSTAFDYF >EOX98343 pep chromosome:Theobroma_cacao_20110822:2:5436836:5438366:1 gene:TCM_007127 transcript:EOX98343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKASSRPDPSSKADKKFEKKLQFYSKVRDTVASLTAKKDITKKKKLRSRQRKLKAYDLSALSEVLPELKAPKEPTPAAEFKLNCKSRKELILREGKQLSAVLKHPAFQADPLAAIHQHLQSTQPVLDEKPKKKANKNGGKKKRS >EOX98009 pep chromosome:Theobroma_cacao_20110822:2:4236884:4239480:-1 gene:TCM_006876 transcript:EOX98009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative isoform 1 MVNFVKIYNKQLLPWLMKLAGVRPRTIEIEPGTVLNFWVPNETATKREKYKPVVVFLHGFCANGILTWQFQVLALAKHYAVYVPDLLFFGDSITDKTERSVEFQAECMAKGLKKLGVERCTLVGFSYGGFVGFKMAEMHPDLIEAMVLTGSVIAMTDSISNAGLQRIGFSSWPDYLLPDSAKGVRMLFQLATYKLPRIPHRIYKDFLEVMFNNRKEKIELLEALVIGDKEFIIPRYQQRIHILWGKDDKLIDLDAARNMKKQIGENATLHCMEKAGHLAHMERPFMCNRFIKKILASLLLEDNAADHDECMGVNNDK >EOX98010 pep chromosome:Theobroma_cacao_20110822:2:4236831:4239390:-1 gene:TCM_006876 transcript:EOX98010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative isoform 1 MVNFVKIYNKQLLPWLMKLAGVRPRTIEIEPGTVLNFWVPNETATKREKYKPVVVFLHGFCANGILTWQFQVLALAKHYAVYVPDLLFFGDSITDKTERSVEFQAECMAKGLKKLGVERCTLVGFSYGGFVGFKMAEMHPDLIEAMVLTGSVIAMTDSISNAGLQRIGFSSWPDYLLPDSAKGVRMLFQLATYKLPRIPHRIYKDFLEVMFNNRKEKIELLEALVIGDKEFIIPRYQQRIHILWGKDDKLIDLDAARNMKKQIGENATLHCMEKAGHLAHMERPFMCNRFIKKILASLLLEDNAADHDECMGVNNDK >EOX98121 pep chromosome:Theobroma_cacao_20110822:2:4630510:4631131:1 gene:TCM_006955 transcript:EOX98121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKHFRLDQEGGKSVDFKVTDKYGKVWPFRLYTRNNGHPKPVLTKGWINFVKKKKLRVGDKVIFFVHENGDQLGIRVQRSIKLLDQEHWAEYM >EOY01623 pep chromosome:Theobroma_cacao_20110822:2:40337967:40346481:-1 gene:TCM_011472 transcript:EOY01623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nop14, putative isoform 1 MTSYKTQKAQNLNVKPFPSSLVSSLLLFFTTALSHRPKRRQPMAKHSGSDAKTKKKAKKKGSKKSGPDAISMKLKAEKSNPFETIWSRRKFDILGKKRKGEELRIGLSRSLAIQKRKKTLLKEYEQSTKSSVFVDNRIGEQNDELGEFEKGIMRSQRERQLKFGKKSKFNLSDGEDDDFDAPGFGSLPERDDFEDEILSDDDNDDRGGATNKRSAILKQLNSHGAQDPTERGLVEGEENKHKTKKEIMEEVILKSKYFKAQKAKDKEENEQLMEELDKNFTSLVQSQVLLSMTEPGKINALKALVNKGVLNEHLNKEELPVSQREEAYKQEQPDSYDKLVNELVLEMRARPSDRTKTPEEIAQEEREQLERLEEERQKRMLATDYSSDEDGENVEKDPLQRPRAISGDDLGDSFALDEEPGSKKGWVDEILERKDEDENASEDSESAEDTGEDEGSEEDDDDEHEKTLSLKYWEQSDDDNLGTDLDEDEEEQEHDDTVGDEEDVEQKGCNKSNKTELKKDDGQYVDAKKIKPSIKHTSTKSDIPFIFEAPRSLEELSSLLENCSNGDVIVIINRIRKSDAIKLAAENRKKMQVFYGVLLQYFAVLANKKPLNFELLNLLVKPLMELSMEIPYFSAICARQRILRTRTQFCEALKNQENGCWPTLKTLFLLRLWSMVFPCSDFRHVVMTPAILLMCEYLMRCPITSGRDVAIGSFLCSMVLMVTKQSRKFCPEAIMFLRTLLMAATDQKLAAEQDCQFYNLMELKALRPLLRVHDCVDEINPLNFLMVMDMPDDSSFFSSDNFRASALVTVIETLRGFVEIYDGLNSFPEIFLPIATLLLEVSQQKHIPEALKDKFNDVAQLIKQKADEAHRLRRPLQIRKQKPVPIKLLNPKFEENFVKGRDYDPDREQAERRKLQKLIKREAKGAARELRKDNYFLYEVKQKDKALQEKERAANYGRAIAFLQEQEHAFKSGQLGKGRKRRR >EOY01624 pep chromosome:Theobroma_cacao_20110822:2:40337613:40346481:-1 gene:TCM_011472 transcript:EOY01624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nop14, putative isoform 1 MTSYKTQKAQNLNVKPFPSSLVSSLLLFFTTALSHRPKRRQPMAKHSGSDAKTKKKAKKKGSKKSGPDAISMKLKAEKSNPFETIWSRRKFDILGKKRKGEELRIGLSRSLAIQKRKKTLLKEYEQSTKSSVFVDNRIGEQNDELGEFEKGIMRSQRERQLKFGKKSKFNLSDGEDDDFDAPGFGSLPERDDFEDEILSDDDNDDRGGATNKRSAILKQLNSHGAQDPTERGLVEGEENKHKTKKEIMEEVILKSKYFKAQKAKDKEENEQLMEELDKNFTSLVQSQVLLSMTEPGKINALKALVNKGVLNEHLNKEELPVSQREEAYKQEQPDSYDKLVNELVLEMRARPSDRTKTPEEIAQEEREQLERLEEERQKRMLATDYSSDEDGENVEKDPLQRPRAISGDDLGDSFALDEEPGSKKGWVDEILERKDEDENASEDSESAEDTGEDEGSEEDDDDEHEKTLSLKYWEQSDDDNLGTDLDEDEEEQEHDDTVGDEEDVEQKGCNKSNKTELKKDDGQYVDAKKIKPSIKHTSTKSDIPFIFEAPRSLEELSSLLENCSNGDVIVIINRIRKSDAIKLAAENRKKMQVFYGVLLQYFAVLANKKPLNFELLNLLVKPLMELSMEIPYFSAICARQRILRTRTQFCEALKNQENGCWPTLKTLFLLRLWSMVFPCSDFRHVVMTPAILLMCEYLMRCPITSGRDVAIGSFLCSMVLMVTKQSRKFCPEAIMFLRTLLMAATDQKLAAEQDCQQFYNLMELKALRPLLRVHDCVDEINPLNFLMVMDMPDDSSFFSSDNFRASALVTVIETLRGFVEIYDGLNSFPEIFLPIATLLLEVSQQKHIPEALKDKFNDVAQLIKQKADEAHRLRRPLQIRKQKPVPIKLLNPKFEENFVKGRDYDPDREQAERRKLQKLIKREAKGAARELRKDNYFLYEVKQKDKALQEKERAANYGRAIAFLQEQEHAFKSGQLGKGRKRRR >EOY01766 pep chromosome:Theobroma_cacao_20110822:2:40852106:40854553:1 gene:TCM_011593 transcript:EOY01766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MEIDPPKKQEDPQIKDKDLFKAAETGDSSTFKSLSQDQLSNSLKLRNEDGRSLLHVAASSAHPEVMKILSAAADESVLNSSDEEGWAPIHSAASIGNLEIMEILLSKGANVNLKNDGGRTALHYAASKGRLKIAELLISHGAKINSKDKVGCTPLHRAASTGNSALCEFLIEEGAEVDAEDRAGQTPLMNAVICQNKEVALLLIRHGADVDIEDKEGYTVFGRASDDFRPKLVDAAKAMLEG >EOY01082 pep chromosome:Theobroma_cacao_20110822:2:37443198:37454130:-1 gene:TCM_011026 transcript:EOY01082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mms zwei MAKKGSRDFYLVSFRTDGFPWQSFVHYSRGMIKERLATRMSLARGHDGKRNISKSLRTHEYPIRLYKVKVPCNSIWDGFRCKEKSHRVSAGNNFPLSPPFYPPFIHLRSGPLRVVNVMGSEGSRVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIFMQSWTGTIIGPPNTVHEGRIYQLKLFCGKDYPDNPPSVRFQTRINMTCVNQETGVVEPSLFPMLANWQREYTMEDILTQLKKEMMSPQNRKLAQPPEGNEEARLDQKGLVLKCCIL >EOY00362 pep chromosome:Theobroma_cacao_20110822:2:31634798:31651277:-1 gene:TCM_010211 transcript:EOY00362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVDDYEAKFNRLSKFVIYLAFDYESRARRFENDLNAHICKALAPLHLASYDELVGRGEILRFCMEGDFGDNVGFS >EOX99216 pep chromosome:Theobroma_cacao_20110822:2:9163871:9167110:1 gene:TCM_007818 transcript:EOX99216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase 4 isoform 1 MAVLFKMSVALGFFVGLMVLSLASSAKFDELFQPGWAMDHFIYEGDLLRLKLDNYSGAGFASKSKYLFGKVTTQIKLVEGDSAGTVTAYYMSSDGPNHNEFDFEFLGNTTGEPYLVQTNVYVNGVGNREQRMNLWFDPTKDFHSYSILWNERQVLFLVDETPIRVHTNMEHKGIPFPKDQAMGIYSSIWNADDWATQGGLVKTDWSHAPFVASYKGFEIDACECPVSVVADENAKKCSSSGQKRFWWDEPTMSELSLHQSHQLLWVRANHLVYDYCSDTARFPVMPVECEHHRH >EOX99217 pep chromosome:Theobroma_cacao_20110822:2:9163870:9167080:1 gene:TCM_007818 transcript:EOX99217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase 4 isoform 1 MAVLFKMSVALGFFVGLMVLSLASSAKFDELFQPGWAMDHFIYEGDLLRLKLDNYSGAGFASKSKYLFGKVTTQIKLVEGDSAGTVTAYYMSSDGPNHNEFDFEFLGNTTGEPYLVQTNVYVNGVGNREQRMNLWFDPTKDFHSYSILWNERQVLFLVDETPIRVHTNMEHKGIPFPKDQAMGIYSSIWNADDWATQGGLVKTDWSHAPFVASYKGFEIDACECPVSVVADENAKKCSSSGQKRFWWDEPTMSELSLHQSHQLLWVRANHLVYDYCSDTARFPVMPVECEHHRH >EOY00366 pep chromosome:Theobroma_cacao_20110822:2:31747333:31749757:1 gene:TCM_010223 transcript:EOY00366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-D1-1 isoform 2 MRKNQRLRLPSSSSSLSSSLLASKPLSICRYRVPIASRTYSAARIPTRYSPASPRNARRSWTLRRRSSRNPPSLALLKMKGTSSPDSITWLGFSPSLLTHRLEKNLLHGFSRLTVQAYYNFQPLTAYLSVNYLDRFLYSRRLPQTSGWQLQLLSVACLSLAAKMEEPLVPSLLDLQVEGAKYIFEPKTIRRMELLVLTVLDWRLRSVTPFSFIAFFACKLDRTGTFIGFLISRATDIILSNIKEASFLEYWPSSIAAAAILCAANEIPNLSLVNPEHAESWCDGLSKEKILSCYRLMQELVLDNARRKAPKILPQLRVTIRGRMRSS >EOY00365 pep chromosome:Theobroma_cacao_20110822:2:31747292:31752153:1 gene:TCM_010223 transcript:EOY00365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-D1-1 isoform 2 MSVSCSDCFTDLLCCEDSDEIFSGESPECSSELDSPASFIEESSIAGFIEDERNFVPGFDYLARFQSQSLDASAREESVAWILKVQAYYNFQPLTAYLSVNYLDRFLYSRRLPQTSGWQLQLLSVACLSLAAKMEEPLVPSLLDLQVEGAKYIFEPKTIRRMELLVLTVLDWRLRSVTPFSFIAFFACKLDRTGTFIGFLISRATDIILSNIKEASFLEYWPSSIAAAAILCAANEIPNLSLVNPEHAESWCDGLSKEKILSCYRLMQELVLDNARRKAPKILPQLRVTIRGRMRSSSSMDCGDRKLDTRTQFFISTINSPLHFYFLAFFFFFHCKNVITLLLLSLEHISICKNH >EOX99914 pep chromosome:Theobroma_cacao_20110822:2:18228280:18229583:1 gene:TCM_008922 transcript:EOX99914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQTFLCLSIPTNTDLSLVNLLDLFKTPQQREDMAAEEVLKLFDVYWFEQPIFSEKPVSRSQETSQDHKERVEERELKLPRISTLHVRSMSDLALNATVSFSSGSLSPNSVLTTPRLQTILSGKDVNEDNADVKKEKMEEAAAKPILVERRSKTRTKGSSRSLSELEFEELQGFMDLGFVFSEEDKDSSLVSIIPGLQKLGKKTEESREENIGQTVVSRPYLSEAWEVWDRRKVKNPLMNWRIPTMGNEVDMKDHLRFWAHTVASTVR >EOY01725 pep chromosome:Theobroma_cacao_20110822:2:40737413:40739290:-1 gene:TCM_011563 transcript:EOY01725 gene_biotype:protein_coding transcript_biotype:protein_coding description:General regulatory factor 12, IOTA isoform 1 MSNTEKERETHVYMAKLAEQAERYEEMVETMKQVAKLGCELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNEENVKLIKGYRQKVEEELSKICTDILGIIDKHLIPSSNSGEATVFYYKMKGDYYRYVAEFKTDQERKEAAEQSLKGYEAASAAANTDLPSTHPIRLGLALNFSVFHYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEDSVKGEDGKSTAEAEVKGERNEESGILHVAIQCFLSTLQNLLLIALLTKRIGSTSLLALLQC >EOY01726 pep chromosome:Theobroma_cacao_20110822:2:40737101:40739705:-1 gene:TCM_011563 transcript:EOY01726 gene_biotype:protein_coding transcript_biotype:protein_coding description:General regulatory factor 12, IOTA isoform 1 MSNTEKERETHVYMAKLAEQAERYEEMVETMKQVAKLGCELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNEENVKLIKGYRQKVEEELSKICTDILGIIDKHLIPSSNSGEATVFYYKMKGDYYRYVAEFKTDQERKEAAEQSLKGYEAASAAANTDLPSTHPIRLGLALNFSVFHYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEDSVKGEDGKSTAEAEK >EOX97643 pep chromosome:Theobroma_cacao_20110822:2:2954987:2957630:1 gene:TCM_006612 transcript:EOX97643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerate dehydrogenase isoform 1 MAKPVSIEVWNPSGKYRVVSTKSMPGTRWINLLIEQDCRLEICTEKKTILSVEDIVALIGDKCDGGVLTETTAELAASLSLAAARRIVEADEFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYYDLYQATRLEKFVTAYGEFLKANGEKPVYWKRASTMDEVLREADVISLHPILDKTTYHLVNKERLAMMKKEAILVNCSRGPVIDEVAVVEHLKQNPMFRVGLDVFEDEPYMKPGLADMKNAVIVPHIASASKWTR >EOX97642 pep chromosome:Theobroma_cacao_20110822:2:2955322:2958016:1 gene:TCM_006612 transcript:EOX97642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerate dehydrogenase isoform 1 CRLEICTEKKTILSVEDIVALIGDKCDGVIGQLTEDWGETLFAALSRAGGTAFSNMAVGYNNVDVNAANKYGVAVGNTPGVLTETTAELAASLSLAAARRIVEADEFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYYDLYQATRLEKFVTAYGEFLKANGEKPVYWKRASTMDEVLREADVISLHPILDKTTYHLVNKERLAMMKKEAILVNCSRGPVIDEVAVVEHLKQNPMFRVGLDVFEDEPYMKPGLADMKNAVIVPHIASASKWTREGMATLAALNVLVINCFTTFSRERIKQMKKFIYSVLGFVIANRSKHCHPFSIHITGQDQAIPSMG >EOX97641 pep chromosome:Theobroma_cacao_20110822:2:2954940:2958297:1 gene:TCM_006612 transcript:EOX97641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerate dehydrogenase isoform 1 MAKPVSIEVWNPSGKYRVVSTKSMPGTRWINLLIEQDCRLEICTEKKTILSVEDIVALIGDKCDGVIGQLTEDWGETLFAALSRAGGTAFSNMAVGYNNVDVNAANKYGVAVGNTPGVLTETTAELAASLSLAAARRIVEADEFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYYDLYQATRLEKFVTAYGEFLKANGEKPVYWKRASTMDEVLREADVISLHPILDKTTYHLVNKERLAMMKKEAILVNCSRGPVIDEVAVVEHLKQNPMFRVGLDVFEDEPYMKPGLADMKNAVIVPHIASASKWTREGMATLAALNVLGKIKRYPVWGDPNRVGPFLNENVPPPAACPSIVNSKALGLPVSKL >EOX97494 pep chromosome:Theobroma_cacao_20110822:2:2464654:2465965:1 gene:TCM_006493 transcript:EOX97494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit A, putative MATTSYHSRSNSLPSRQHPITSQIDENLNRLRASQSASTSSSIGQNLNGLQDLHEYVDMLLQLPLTQQALAQEQQRKWVEELFDGSIMLLDVCSTAKDALLQTKECTQELQSILRRRRGVEGLGDEVRKYLTSRKAVKKAICKALKNLKHMENKLSSSSSIKDAENGAVISILREVQAVTISMLESLLLFISGPEAGSKLSRWSLVSRLMHQKKVMYEEEGQKTHEIASAEAGLRSLVKSENMKNVENVQNKLHNSELCIQDLEEELESLFRRLIKARVTVLNILNC >EOY00640 pep chromosome:Theobroma_cacao_20110822:2:34169511:34172001:1 gene:TCM_010537 transcript:EOY00640 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein MADVPEAPVFNIPEVKLSSSSGHRNMPVIGMGTMADPFDETALKEAVLEAIRVGYRHFDTASLYRSEKPLGEALAEALKLGLIASREELFITSKLWCTDAHADLVVPALKNSLRMLQLEYLDLYLIHWPLSAKPGKIGFPVPKEEQLAMNFKSVWAAMEECQRFGLTKSIGLSNFSCKKLGNILSFATIPPSVNQVEISPLWQQQRLRELCKAKDVIVTAYSPLGAKGTRWGTDEVMENETLKEIAKAHEKTVAQICLRWAFEQGVTFVVKSFNKERMKENLQIFDWAFSKDDYKKLNEIRQHRLMPKLEMVSPHGPFKSLEELWDGEI >EOY00062 pep chromosome:Theobroma_cacao_20110822:2:24401989:24405593:1 gene:TCM_009485 transcript:EOY00062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MFSLVLVVLALFNFHGLATCLNNDGYALLSFKQSIYADPEGSLSNWNFSDDSPCSWNGVTCKEQRVVSVSIPKKKLYGFLPSALGSLSDLRHVNLRNNKFFGGLPVELLQAQGLQSLVLYGNSLSGPLPTEIGKLKYLQTLDLSDNFFNGSLPSSLVQCKRLRALDLSQNNFTGSLPDGFGSGLVSLEKLDLSINKFNGTIPSDFGNLSSLQGTVDLSHNLFTGSIPASLGNLPEKVYIDLTYNNLSGPIPQNGALMNRGPTAFIGNPGLCGPPLKNPCSSDAPAASSPSSFPFLPNNYPPGNSDDNEGKNERGRGLSKGSVIAIIVSDIIGICLVGLLFSYCYTRVCSCSKDKDDNGYGFEKGGKGKKDCLCFRKDESETLSENVEQYDLVPLDTQVAFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTLAVRRLGEGGSQRFKEFQTEVEAIGKLRHPNIVTLRAYYWSVDEKLLIYDYIPNGSLATALHGKAGMVSFTPLLWSDRLKIIKGIARGLVYLHEFSPKKYVHGDLKPSNILLDQNMEPHISDFGLGRLANIAGGSPTMQSNRMPSDKPQERLQKSASSEATAVFSSMNLGSYYQAPEAMKVVKPSQKWDVYSYGVILLEMITGRSPVVHVGTTEMDLVNWIQLCIEEKKPLSDVLDPYLAPDADKEEEIIAVLKITMACVHSSPERRPTMRHVFDALERLVLSTD >EOX97122 pep chromosome:Theobroma_cacao_20110822:2:1283125:1285777:-1 gene:TCM_006222 transcript:EOX97122 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIFU-like protein 2 isoform 2 MPSPRASLAPEFRSRRGVIRRGAVHGVVCGFDHLLSRGGQVIVKAVATPDSALELPLTAENVESVLDEVRPYLIADGGNVALHEIDGNVVRLKLQGACGSCPSSVMTMKMGIEKRLMEKIPEIVAVEPITDEETGLELNEENIEMVLEEIRPYLVGAAGGSLELVAIEEPIVKVRITGPAAGVMTVRVAVTQKLREKIPAIAAVQLL >EOX97121 pep chromosome:Theobroma_cacao_20110822:2:1283108:1286272:-1 gene:TCM_006222 transcript:EOX97121 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIFU-like protein 2 isoform 2 MQAVVVSPTYCCRAHQTLEPSCSRPTTTKPSSFFGTRVSLAKGRNPARCSSWRCVRIRSPALTRRTVVKAVATPDSALELPLTAENVESVLDEVRPYLIADGGNVALHEIDGNVVRLKLQGACGSCPSSVMTMKMGIEKRLMEKIPEIVAVEPITDEETGLELNEENIEMVLEEIRPYLVGAAGGSLELVAIEEPIVKVRITGPAAGVMTVRVAVTQKLREKIPAIAAVQLL >EOX97051 pep chromosome:Theobroma_cacao_20110822:2:1046127:1047015:1 gene:TCM_006165 transcript:EOX97051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWREFTFVPASRRTSALHTERRSKVVQAMANGHGSAINIKKEEKKNNQRPISRCCCFILFSYTDPLRTTSHLAALLGRCDL >EOX96970 pep chromosome:Theobroma_cacao_20110822:2:764764:767496:1 gene:TCM_006094 transcript:EOX96970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein (GLTP) family protein MVDLENEKTLRKISDAFKELAATVNSQAADMDVAPFSRACSLVSPLFGCLGIAFKFAEMDYVAKVDDLAEASKSIATLNAMLDRDVEGNCVRKAGSHTRNLLRVKRGLDMVRVLFEQILVTEGNSLKDPASRAYAQVFAPHHGWAIRKAVAAGMYALPTRAQLLKKLNEDEASARIQMQNYVTASGPIILYIDQLFLSRELGTDW >EOX99141 pep chromosome:Theobroma_cacao_20110822:2:8647448:8651947:-1 gene:TCM_007740 transcript:EOX99141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolin like 2 isoform 3 EKSKPASKKGPTTVPKKGSSDSSESDSSSDEKATVVKQVSAPTPKKTEESSSSSSDDSDSEEEEENEAKGDKKPVTGKTAKKEESSKSSSDTDSSEDEAPLNKVPVASKRSLPTAGAKQSKQESDDSDDDDSSDESEDEQPAAKKSKVASDSGKDAKVVKKVSSDEEEGSEESSDEDEESDDEEETPKKKDTDVEMVDATTPQKITKQQDFKSAKKAPQTPATPQGQPTGSKTLFVGNLPYQVEQADVKNFFKDAGEIVDIRFATDAEGNFKGFGHVEFATAEAAQKALELNGEYLMNRSLRLDLARERGAYTPYSGNGNNSFQKGGRSQTQTIFVKGFDQSLGEDEIRSSLEEHFGSCGEISRVAIPVDRETGGVKGYAYLDFNDGDSFNKALELDGSELSNYSLSVDEAKPRGEFRDGPGSGRGGGRSGGRSGGWSGGRDGGGRGGRGGRRGGGRFGGAGRGRGPNKPNLAAAGTGRKTTFNDED >EOX99139 pep chromosome:Theobroma_cacao_20110822:2:8647169:8653620:-1 gene:TCM_007740 transcript:EOX99139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolin like 2 isoform 3 MGKSSKKSTPKVEAARDVAPLKSGKKGKREAEETPEKQVVVKRQKKNDGVAQAIVKEKVEAKTQKKKKDETSSSSDDSSDSEDEPVPQKKQPAIVKNGSVPAKKAKQASSSDSSESSSEEDSSSDEEATAQKKKPVVAKNSSVPAAKAVKTESSDSSSDDDSDSDDEPAVKTKVSAAVKNGSAPVKKGKASSISDSSDEDSSSDEDDEKSKPASKKGPTTVPKKGSSDSSESDSSSDEDVKKATVVKQVSAPTPKKTEESSSSSSDDSDSEEEEENEAKGDKKPVTGKTAKKEESSKSSSDTDSSEDEAPLNKVPVASKRSLPTAGAKQSKQESDDSDDDDSSDESEDEQPAAKKSKVASDSGKDAKVVKKVSSDEEEGSEESSDEDEESDDEEETPKKKDTDVEMVDATTPQKITKQQDFKSAKKAPQTPATPQGQPTGSKTLFVGNLPYQVEQADVKNFFKDAGEIVDIRFATDAEGNFKGFGHVEFATAEAAQKALELNGEYLMNRSLRLDLARERGAYTPYSGNGNNSFQKGGRSQTQTIFVKGFDQSLGEDEIRSSLEEHFGSCGEISRVAIPVDRETGGVKGYAYLDFNDGDSFNKALELDGSELSNYSLSVDEAKPRGEFRDGPGSGRGGGRSGGRSGGWSGGRDGGGRGGRGGRRGGGRFGGAGRGRGPNKPNLAAAGTGRKTTFNDED >EOX99138 pep chromosome:Theobroma_cacao_20110822:2:8647169:8653620:-1 gene:TCM_007740 transcript:EOX99138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolin like 2 isoform 3 MGKSSKKSTPKVEAARDVAPLKSGKKGKREAEETPEKQVVVKRQKKNDGVAQAIVKEKVEAKTQKKKKDETSSSSDDSSDSEDEPVPQKKQPAIVKNGSVPAKKAKQASSSDSSESSSEEDSSSDEEATAQKKKPVVAKNSSVPAAKAVKTESSDSSSDDDSDSDDEPAVKTKVSAAVKNGSAPVKKGKASSISDSSDEDSSSDEDDEKSKPASKKGPTTVPKKGSSDSSESDSSSDEDTTQTKKLPFSLNKFASSDSSSEEDSDEEDVKKATVVKQVSAPTPKKTEESSSSSSDDSDSEEEEENEAKGDKKPVTGKTAKKEESSKSSSDTDSSEDEAPLNKVPVASKRSLPTAGAKQSKQESDDSDDDDSSDESEDEQPAAKKSKVASDSGKDAKVVKKVSSDEEEGSEESSDEDEESDDEEETPKKKDTDVEMVDATTPQKITKQQDFKSAKKAPQTPATPQGQPTGSKTLFVGNLPYQVEQADVKNFFKDAGEIVDIRFATDAEGNFKGFGHVEFATAEAAQKALELNGEYLMNRSLRLDLARERGAYTPYSGNGNNSFQKGGRSQTQTIFVKGFDQSLGEDEIRSSLEEHFGSCGEISRVAIPVDRETGGVKGYAYLDFNDGDSFNKALELDGSELSNYSLSVDEAKPRGEFRDGPGSGRGGGRSGGRSGGWSGGRDGGGRGGRGGRRGGGRFGGAGRGRGPNKPNLAAAGTGRKTTFNDED >EOX99140 pep chromosome:Theobroma_cacao_20110822:2:8647619:8653417:-1 gene:TCM_007740 transcript:EOX99140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolin like 2 isoform 3 MGKSSKKSTPKVEAARDVAPLKSGKKGKREAEETPEKQVVVKRQKKNDGVAQAIVKEKVEAKTQKKKKDETSSSSDDSSDSEDEPVPQKKQPAIVKNGSVPAKKAKQASSSDSSESSSEEDSSSDEEATAQKKKPVVAKNSSVPAAKAVKTESSDSSSDDDSDSDDEPAVKTKVSAAVKNGSAPVKKGKASSISDSSDEDSSSDEDDEKSKPASKKGPTTVPKKGSSDSSESDSSSDEDVKKATVVKQVSAPTPKKTEESSSSSSDDSDSEEEEQENEAKGDKKPVTGKTAKKEESSKSSSDTDSSEDEAPLNKVPVASKRSLPTAGAKQSKQESDDSDDDDSSDESEDEQPAAKKSKVASDSGKDAKVVKKVSSDEEEGSEESSDEDEESDDEEETPKKKDTDVEMVDATTPQKITKQQDFKSAKKAPQTPATPQGQPTGSKTLFVGNLPYQVEQADVKNFFKDAGEIVDIRFATDAEGNFKGFGHVEFATAEAAQKALELNGEYLMNRSLRLDLARERGAYTPYSGNGNNSFQKGGRSQTQTIFVKGFDQSLGEDEIRSSLEEHFGSCGEISRVAIPVDRETGGVKGYAYLDFNDGDSFNKALELDGSELSNYSLSVDEAKPRGEFRDGPGSGRGGGRSGGRSGGWSGGRDGGGRGGRGGRRGGGRFGGAGRGRGPNKPNLAAAGTGRKTTFNDED >EOY01701 pep chromosome:Theobroma_cacao_20110822:2:40649541:40651755:-1 gene:TCM_011536 transcript:EOY01701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFVEMCRGFFEILDIDTLQGITEEIRFCEGFSAHPAATANPKAYEFTKQIAGLMQFKLYPRSDVWHEIFKTDIADPNNVALYFYPSGIESSKRKYSTLLKYMVKNDMVMKSRMGSVELMVLTSKLLPSDFQSEKPVLADSLQVTKDALNPGILSGLLQEPEASFMRSMACGRSGLPLPLPLSNNWFKLQCSYHPRKSEEEAC >EOX96872 pep chromosome:Theobroma_cacao_20110822:2:320399:321412:1 gene:TCM_006020 transcript:EOX96872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7/L12 isoform 2 KKRSKRAVKTISLLPRLCRVLFLYLKEKASGKPFESQIVTLNAFSSNRLSRNFSQPAKQEQEDEEEEVEIDQRRLPADYDPSNFDPTEHRSPPTERVWRLVDEISGLTLSEIAELGSIIMKKRGMTEPPTVGVMKAGAAAGLAGMAKKAAGGGAAAKEEKKAEKTVFELKLESYEAASKIKIIKEVRSFTDLGLKEAKDLVEKTPAVLKKGVSKEEGEQLIEKMKALGAKVVLE >EOX96871 pep chromosome:Theobroma_cacao_20110822:2:320428:321920:1 gene:TCM_006020 transcript:EOX96871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7/L12 isoform 2 MSLVLRLRHHLHSGFFCRKPFESQIVTLNAFSSNRLSRNFSQPAKQEQEDEEEEVEIDQRRLPADYDPSNFDPTEHRSPPTERVWRLVDEISGLTLSEIAELGSIIMKKRGMTEPPTVGVMKAGAAAGLAGMAKKAAGGGAAAKEEKKAEKTVFELKLESYEAASKIKIIKEVRSFTDLGLKEAKDLVEKTPAVLKKGVSKEEGEQLIEKMKALGAKVVLE >EOX98498 pep chromosome:Theobroma_cacao_20110822:2:5972376:5978742:1 gene:TCM_007245 transcript:EOX98498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein isoform 2 MAAGGGGKSDDFQPFPVKDQLPGVDFCVSSSPNWPEAILHGFQHYLVMLGTTVIISSILVPLMGGGNVEKAEVINTILFVAGINTLLQTLFGTRLPVVIGGSYAFVIPIISIALSRRYSILIDPHQRFKHSMRDVQGALIVASFFTMVIGFFGFWGIFARFLSPLAAVPLVILTGLGLYAHGFPQLAKCIEVGLPALVAVVFLSQYVPHLIKSKRAIFDRFAILFSVGIIWAYAEILTAAGAYDNRAPKTQFSCRTDRSGLISAAPWIRIPYPFQWGRPSFNAGDAVAVIAASFVAIIESTGTFIAASRYGSATPMPPSVLSRGVGWLGVGILLDGLFGTGSGSTASVENAGLLGLTRVGSRRVVQISAIFMLFFSVLGKFGAVLASIPLPIVAALYCVLFAYVAAAGLGLLQFCNLNSFRTKFILGFSLFMGLSVPQYFNEYLLVSGRGPVHTKSTWFNNVMQVIFSSPATVAIIIAFFLDCTHSYGHSSVRRDSGRHWWEKFRNFNTDSRSEEFYSLPGNLNRFFPSI >EOX98499 pep chromosome:Theobroma_cacao_20110822:2:5972376:5978742:1 gene:TCM_007245 transcript:EOX98499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein isoform 2 MAAGGGGKSDDFQPFPVKDQLPGVDFCVSSSPNWPEAILHGFQHYLVMLGTTVIISSILVPLMGGGNVEKAEVINTILFVAGINTLLQTLFGTRLPVVIGGSYAFVIPIISIALSRRYSILIDPHQRFKHSMRDVQGALIVASFFTMVIGFFGFWGIFARFLSPLAAVPLVILTGLGLYAHGFPQLAKCIEVGLPALVAVVFLSQYVPHLIKSKRAIFDRFAILFSVGIIWAYAEILTAAGAYDNRAPKTQFSCRTDRSGLISAAPWIRIPYPFQWGRPSFNAGDAVAVIAASFVAIIESTGTFIAASRYGSATPMPPSVLSRGVGWLGVGILLDGLFGTGSGSTASVSFGINKSWKSESRSNIGNIYALLFCIR >EOX98968 pep chromosome:Theobroma_cacao_20110822:2:7951731:7956665:-1 gene:TCM_007622 transcript:EOX98968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-e isoform 2 MDRALRLSTSHLSPLLFSSPLPLCKSPAFPFQNNRLSLSPFCTPIPKQNQRTRFNPAPAAALPDSADKQSLVGRPADDPARDNFDNSGVIISACLVGVFTGLGVVLFNNGVHEIRDFFWDGIPYRGASWLREEPLDSVWTRVILVPACGGLIVSVLNAARDAVSKASYSAKTALGSFLKTVAACVTLGSGNSLGPEGPSVEIGSSIAKEIHSILDKNPQTKLSLLAAGSAAGISSGFNAAVAGCFFAVESVLWPSSPADSSVSLTNTTSMVILSAVIASVVSEVGLGSEPSFKVPEYDFRSAGELPLYLLLGILCGLVSLTFSKLTSYLLGVVDNLNKDVGIPKPVFPVVGGLTVGVIALAYPEILYWGFENVDILLESRPFVKGLSGDLLFQLVAVKIIATSVCRASGLVGGYYAPSLFIGAATGMAYGKFISFAIAQSNPAIHLSILEVASPQAYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGFSSWITSGRMRRKDVQGKKALKDGNTRTSQQPKASDSATGLSSMDAPPETEPYLRNLCEVESSLCIDDSSIRTKELEKRIFVSEAMRTRYVTVMMSTLLTQAVTLMLVEKQSCAIIVDDDNLLMGLLTLTDIHEFGKFVKDKCLESKELLVSEICSLDSAKCKVPWTATPTMDLLSAEIIMTKHGLSQVPVISEHVKDCRGQPVGLLDRECISLTCRALATRESLDFDVVKGIME >EOX98969 pep chromosome:Theobroma_cacao_20110822:2:7952619:7956547:-1 gene:TCM_007622 transcript:EOX98969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-e isoform 2 MDRALRLSTSHLSPLLFSSPLPLCKSPAFPFQNNRLSLSPFCTPIPKQNQRTRFNPAPAAALPDSADKQSLVGRPADDPARDNFDNSGVIISACLVGVFTGLGVVLFNNGVHEIRDFFWDGIPYRGASWLREEPLDSVWTRVILVPACGGLIVSVLNAARDAVSKASYSAKTALGSFLKTVAACVTLGSGNSLGPEGPSVEIGSSIAKEIHSILDKNPQTKLSLLAAGSAAGISSGFNAAVAGCFFAVESVLWPSSPADSSVSLTNTTSMVILSAVIASVVSEVGLGSEPSFKVPEYDFRTLFSELPLYLLLGILCGLVSLTFSKLTSYLLGVVDNLNKDVGIPKPVFPVVGGLTVGVIALAYPEILYWGFENVDILLESRPFVKGLSGDLLFQLVAVKIIATSVCRASGLVGGYYAPSLFIGAATGMAYGKFISFAIAQSNPAIHLSILEVASPQAYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGFSSWITSGRMRRKDVQGKKALKDGNTRTSQQPKASDSATGLSSMDAPPETEPYLRNLCEVESSLCIDDSSIRTKELEKRIFVSEAMRTRYVTVMMSTLLTQAVTLMLVEKQSCAIIVDDDNLLMGLLTLTDIHEFGKFVKDKCLESKELLVSEICSLDSAKCKVPWTATPTMDLLSAEIIMTKHGLSQVPVISEHVKDCRGQPVGLLDRECISLTCRALATRES >EOX98971 pep chromosome:Theobroma_cacao_20110822:2:7953934:7956473:-1 gene:TCM_007622 transcript:EOX98971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-e isoform 2 MDRALRLSTSHLSPLLFSSPLPLCKSPAFPFQNNRLSLSPFCTPIPKQNQRTRFNPAPAAALPDSADKQSLVGRPADDPARDNFDNSGVIISACLVGVFTGLGVVLFNNGVHEIRDFFWDGIPYRGASWLREEPLDSVWTRVILVPACGGLIVSVLNAARDAVSKASYSAKTALGSFLKTVAACVTLGSGNSLGPEGPSVEIGSSIAKEIHSILDKNPQTKLSLLAAGSAAGISSGFNAAVAGCFFAVESVLWPSSPADSSVSLTNTTSMVILSAVIASVVSEVGLGSEPSFKVPEYDFRTLFSELPLYLLLGILCGLVSLTFSKLTSYLLGVVDNLNKDVGIPKPVFPVVGGLTVGVIALAYPEILYWGFENVDILLESRPFVKGLSGDLLFQLVAVKIIATSVCRASGLVGGYYAPSLFIGAATGMAYGKFISFAIAQSNPAIHLSILEVASPQAYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGFSSWITSGRMRRKDVQGKKALKDGNTRTSQQPKASDSATGLSSMDAPPETEPYLRNLCEVESSLCIDDSSIRTKELEKRIFVSEAMRTRYVTVMMSTLLTQAVTLMLVEKQSCAIIVDDDNLLMGLLTLTDIHEFGKFVKDKCLESKVCYR >EOX98970 pep chromosome:Theobroma_cacao_20110822:2:7952623:7956509:-1 gene:TCM_007622 transcript:EOX98970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-e isoform 2 MDRALRLSTSHLSPLLFSSPLPLCKSPAFPFQNNRLSLSPFCTPIPKQNQRTRFNPAPAAALPDSADKQSLVGRPADDPARDNFDNSGVIISACLVGVFTGLGVVLFNNGVHEIRDFFWDGIPYRGASWLREEPLDSVWTRVILVPACGGLIVSVLNAARDAVSKASYSAKTALGSFLKTVAACVTLGSGNSLGPEGPSVEIGSSIAKEIHSILDKNPQTKLSLLAAGSAAGISSGFNAAVAGCFFAVESVLWPSSPADSSVSLTNTTSMVILSAVIASVVSEVGLGSEPSFKVPEYDFRSAGELPLYLLLGILCGLVSLTFSKLTSYLLGVVDNLNKDVGIPKPVFPVVGGLTVGVIALAYPEILYWGFENVDILLESRPFVKGLSGDLLFQLVAVKIIATSVCRASGLVGGYYAPSLFIGAATGMAYGKFISFAIAQSNPAIHLSILEVASPQAYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGFSSWITSGRMRRKDVQGKKALKDGNTRTSQQPKASDSATGLSSMDAPPETEPYLRNLCEVESSLCIDDSSIRTKELEKRIFVSEAMRTRYVTVMMSTLLTQAVTLMLVEKQSCAIIVDDDNLLMGLLTLTDIHEFGKFVKDKCLESKVCYR >EOX99890 pep chromosome:Theobroma_cacao_20110822:2:17794051:17809195:1 gene:TCM_008873 transcript:EOX99890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance RPS5-like protein MRYVFIYKKNVDKFENKLEVLKAKRTSVQLEVDVADTKGEKIKPDVELWCKTVDKVINEDVKKMKDLEDKAKNNFKSRYQISKKAEEGVAAVDDLIQQYCQFNGAGYRDVLEAPKCAASKNYKAFDLRKEVLDGVIEVLKDCAISTVGVYGTGGVGKSTLVNQVTVQVEEDNLFDWVVKTTSIPVRALLLSERLKKEKKILIVLDDIWARLDLEEVGIPFGDQHEGCKILLTSRNQDLLINEIGVKNTFAIDVLNETEAWDLFKEMVENNFEDLELRSVATEVTKKCEGLPVARALKSKDMYAWKDASLKLQSPSPSSFTGIPATLYSAIKLSYNSLETVENTRDRVLTLVSNLIAFCLLDDSYSHEHFDMHDFDCDVALAIASRDNHVYASKHKGVFDDWPDEEMLRNFKMISLSFDNV >EOX97561 pep chromosome:Theobroma_cacao_20110822:2:2687345:2690805:1 gene:TCM_006549 transcript:EOX97561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial editing factor 9 isoform 1 MGRFGAAVSAFRITALRQSLPGSLRFASCLRSKAVSSNATDSYTMNKRLSHLIRTGKLNEAKSVFDQMPQRDTVTWNSMISGYVKRREIAKARKLFDEMPKRDIVSWNLIISGYASCLGYRFLEEGKKLFDQMPRKDFVSWNTMISGYAKNGRMDEAIRLFESMPERNVVSWNAMITGFLRNGDTVSATEYFERMPEQDSTSVSAFVSGLVQNGDLDEAARVLIECGNRGGWREGLVQACNTLIAGYGQKGRVDDARRLFDQIPYNCVQMEGRKAEFERNVVSWNSMIMCYVKAGDIVSARELFDQMVERDTISWNTMINGYVQMSDMEEASNLFNTMPKPDSLSWNSMISGFSQLGRLELARDLFEKMPQKHLVSWNSIIAAYEKNEDYKGAIKLFIQMQAEGEKPDRHTFSSVLSVATGLVDLHLGMQIHQLVSKTVIPDVPIKNSLITMYSRCGAIIESRTIFDEMKSLKDVISWNAMIGGYASHGFAIEALELFKLMERNKVQPTYITFISVLSACAHAGLVDEGRAYFKSMVNEYGIEPRVEHYASLVDNVGRHGQLEEAMDLIKSMPFEPDKAVWGALLGACRVHNNVELARVAAEALMSLEPESSAPYILLYNMYADKGQWDDAVEVREMMERNKVRKQAAYSWIDPSCC >EOX97562 pep chromosome:Theobroma_cacao_20110822:2:2687398:2691652:1 gene:TCM_006549 transcript:EOX97562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial editing factor 9 isoform 1 MGRFGAAVSAFRITALRQSLPGSLRFASCLRSKAVSSNATDSYTMNKRLSHLIRTGKLNEAKSVFDQMPQRDTVTWNSMISGYVKRREIAKARKLFDEMPKRDIVSWNLIISGYASCLGYRFLEEGKKLFDQMPRKDFVSWNTMISGYAKNGRMDEAIRLFESMPERNVVSWNAMITGFLRNGDTVSATEYFERMPEQDSTSVSAFVSGLVQNGDLDEAARVLIECGNRGGWREGLVQACNTLIAGYGQKGRVDDARRLFDQIPYNCVQMEGRKAEFERNVVSWNSMIMCYVKAGDIVSARELFDQMVERDTISWNTMINGYVQMSDMEEASNLFNTMPKPDSLSWNSMISGFSQLGRLELARDLFEKMPQKHLVSWNSIIAAYEKNEDYKGAIKLFIQMQAEGEKPDRHTFSSVLSVATGLVDLHLGMQIHQLVSKTVIPDVPIKNSLITMYSRCGAIIESRTIFDEMKSLKDVISWNAMIGGYASHGFAIEALELFKLMERNKVQPTYITFISVLSACAHAGLVDEGRAYFKSMVNEYGIEPRVEHYASLVDNVGRHGQLEEAMDLIKSMPFEPDKAVWGALLGACRVHNNVELARVAAEALMSLEPESSAPYILLYNMYADKGQWDDAVEVREMMERNKVRKQAAYSWIDPSCC >EOY00174 pep chromosome:Theobroma_cacao_20110822:2:28371900:28372519:1 gene:TCM_009871 transcript:EOY00174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRQGSNLDGATTLQAGKNEGKKKSQRAVEAKEEEGKKNEGEPAVFTLKNFLGWEEWPWLRGAADEQMSWGAVWSPLWDVDFVDKAYGSLFSDVAWDDDIWNLKTVMEIPKP >EOX99227 pep chromosome:Theobroma_cacao_20110822:2:9305566:9311985:-1 gene:TCM_007837 transcript:EOX99227 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MYAQMLPTLFLHTFLFCTSLLCSSAKETITVNCSISDGEGDSLISPGKRFELGFFTPNGSSNTRRYVGIWYYGSNQQTVVWVANRDKPLLDDSGVLVVSEDGNLKVLDGSRKSLWSTNLQAVSSGYRKAKLTDAGNLVLSDKEQENHSASIIWQSFDNPTDTFLPGMKMDGDMILTSWKSYDDPAPGNFTFQIDQERVNQFIVWKRTTRYWKSGVSGRFIGPDGMPSAMPSAISFFLSNFTSVVLHNESMPHLTSSLYSDTRLIISFSGQIQYFKWDSEKIWALIWAEPRDKCSVYNACGNFGSCNSINDLTCKCLPGFAPSSAENWNSQDYFDGCTRKSRICDKNAASDTFLSLNMMEVGNPDSQFNAKNEVECKLECLNNCQCQAYSYEELDIVRQSGSTIAACWIWLEDLNNIQEEYEGGRNLNVRLAVSDVESTRRSCETCGTNLIHYPLSTGPKCGDAMYLSFHCNISSGEVTFYAPSGTFRVTSINSETRKFIIQTNDANDCKAGNSGDNFFQFKQPSPFHVTSRCNAEEVEIGWDPPPEPTCSSPTDCKDWPNSSCNVTSNGKKRCLCNESFRWDNLSLNCTEGKWYCKGSIRLIANLHILMSRVNLARQSFINMFNRGYRKKRYKSFTRKMALALILVIAFLSGVVLIILSSTIVYVYLQRRKLAEGEGIWGNNHRNSALHLYDSARHVKDLIDSGRFKEDDTDRIEVPFFQLESILAATNYFSNANKLGQGGFGAVYKGKFPEGREIAVKRLSSGSGQGLEEFKNEVVLIARLQHRNLVRLLGYCVAGDEKMLLYEYMPNKSLDSFIFDRKLSILLDWDMRYRVISGIARGLLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARIFGGKETAANTNRVVGTYGYMSPEYALDGLFSFKSDVFSFGVVVIEVITGKRNAGFYQTEQSLSLLGYAWHLWKADKALDLLDQTLRGSCNADELLMCLTVGLLCVQEDPSDRPTMSQVVFMLGSEIASLPAPKQPAFVVRRCPSSKASSSSKPETFSHNELTVTLEHGR >EOX99085 pep chromosome:Theobroma_cacao_20110822:2:8429451:8436619:-1 gene:TCM_007701 transcript:EOX99085 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal MEGTKANKQVEVVQVEEDTKTEKMDSPTNSQQTISDDDEIDYSIKPEFYDPDLDDKDELWVQNKRKGRISDAVLSCPACFTTLCLECQRHEKYVTQYRAIFVVNCKIENDQVRQEKVKPKRGKRRRECSENEAVDAGGETFKPVCCSVCSTEVGVIDEDEVYHFFNVLPSEC >EOX99323 pep chromosome:Theobroma_cacao_20110822:2:10141312:10143822:-1 gene:TCM_007954 transcript:EOX99323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1923 MTIPTIASNFSLKPSLFPLKATSISRLSIPSRHQSLRSFPSRIPAFTPKPALNFLLLSDKRLGFSRNAKSEFYVSARNGGEDGGVDEAERLARGESTMPERFRYLTKEAPDPPVRWPFFVALAFLLYAWRAVLFELSKWRQAAFGIVRFVRYLLKLAVAFIFHFIGDPTTSLIRCIETVIYNIRAFYSGIVAYAPVHELTVIIVLASAVLSIAEATVPNSISCQPYMLTISGLIGYAAVIGYISEPLYWTLLLGIYGFSRLIKKRDDVTSALPVAAVMAAIGEPWVRILVITSYLALAIFHHSKKLSEVEEPIEGVAAERRLPMPLLGAALAIGVHLAAKWAGNRHLTWMIV >EOX98497 pep chromosome:Theobroma_cacao_20110822:2:5963130:5964610:-1 gene:TCM_007244 transcript:EOX98497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSYYNQQQPPVGVPPPQGYPPEGYAKDAYPPPGYPPQGYPQGYPPQGYPPPYAPQYAQAPPPQQKQSTGFLEGCLAALCCCCLLDACF >EOY01538 pep chromosome:Theobroma_cacao_20110822:2:39986459:39999807:-1 gene:TCM_011412 transcript:EOY01538 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting protein 2, putative isoform 1 MEINEVNVGDKYMQMGNPELDIVQLHEEASSSGEGIQEMQNTTLSRIDLDLAYSNEKLVNLHVLLMLLLGWDNDPEAMASGNSDFSAQFIEKALVFDLLCGILDSELREVETFLDTVQAEIVDARHKISSSRPLGALFNKMEEKLHDSEQSLEKCQELVLEVKMQSTKLQDSISYFRHENWNNDKAMDIAEQYQLSNIIGKSKVQTVEQQRHILRMLEKSLARELDLEKKLSEFGQNEEQLKLKLHYTEQVALRMEEAAEVVWGRFLEAENAAEVLMGISKELVGRLQIVQFNLNGSIQREAELKSKLEGCIEELNTKDIALKKLESSNAEHAAEASEVFSLRKKVKLLEEQLKESKLQLNNANASNQTSQEHIHEMEIIIDSLKENIYEAESRSESAEAKVTELTDANLELTEELNFLKGNNDNNTEKVTSLEKQLREKEIQLQHAKASSDASQEQQNMLYSAIWDMETLIEDLKSKVSKAESKTDTVEEQCIILSESNFELNNELGILRNKIECLETSLDQANNEKEAIAKEINYRTKLITDMVTQLATERERIQKQLFSLVKEKAILVKKLQNTVQTGSLAVCNSGVDGAEISVSKSGSIKANCTKTFERTVLSATSVQVNDNQRNASLYRTEVEPSGAVTDGSDTISRVEVAKRGKTGHLKLIYIFIAILIALFSALAVYLLRAKPPLLVYF >EOY01539 pep chromosome:Theobroma_cacao_20110822:2:39925307:39999807:-1 gene:TCM_011412 transcript:EOY01539 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting protein 2, putative isoform 1 MEINEVNVGDKYMQMGNPELDIVQLHEEASSSGEGIQEMQNTTLSRIDLDLAYSNEKLVNLHVLLMLLLGWDNDPEAMASGNSDFSAQFIEKALVFDLLCGILDSELREVETFLDTVQAEIVDARHKISSSRPLGALFNKMEEKLHDSEQSLEKCQELVLEVKMQSTKLQDSISYFRHENWNNDKAMDIAEQYQLSNIIGKSKVQTVEQQRHILRMLEKSLARELDLEKKLSEFGQNEEQLKLKLHYTEQVALRMEEAAEVVWGRFLEAENAAEVLMGISKELVGRLQIVQFNLNGSIQREAELKSKLEGCIEELNTKDIALKKLESSNAEHAAEASEVFSLRKKVKLLEEQLKESKLQLNNANASNQTSQEHIHEMEIIIDSLKENIYEAESRSESAEAKVTELTDANLELTEELNFLKGNNDNNTEKVTSLEKQLREKEIQLQHAKASSDASQEQQNMLYSAIWDMETLIEDLKSKVSKAESKTDTVEEQCIILSESNFELNNELGILRNKIECLETSLDQANNEKEAIAKEINYRTKLITDMVTQLATERERIQKQLFSLVKEKAILVKKLQNTVQTGSLAVCNSGVDGAEISVSKSGSIKANCTKTFERTVLSATSVQVNDNQRNASLYRTEVEPSGAVTDGSDTISRVEVAKRGKTGHLKLIYIFIAILIALFSALAVYLLRAKPPLLVYF >EOY01542 pep chromosome:Theobroma_cacao_20110822:2:39995550:39999379:-1 gene:TCM_011412 transcript:EOY01542 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting protein 2, putative isoform 1 MEINEVNVGDKYMQMGNPELDIVQLHEEASSSGEGIQEMQNTTLSRIDLDLAYSNEKLVNLHVLLMLLLGWDNDPEAMASGNSDFSAQFIEKALVFDLLCGILDSELREVETFLDTVQAEIVDARHKISSSRPLGALFNKMEEKLHDSEQSLEKCQELVLEVKMQSTKLQDSISYFRHENWNNDKAMDIAEQYQLSNIIGKSKVQTVEQQRHILRMLEKSLARELDLEKKLSEFGQNEEQLKLKLHYTEQVALRMEEAAEVVWGRFLEAENAAEVLMGISKELVGRLQIVQFNLNGSIQREAELKSKLEGCIEELNTKDIALKKLESSNAEHAAEASEVFSLRKKVKLLEEQLKESKLQLNNANASNQTSQEHIHEMEIIIDSLKENIYEAESRSESAEAKVTELTDANLELTEELNFLKGNNDNNTEKVTSLEKQLREKEIQLQHAKASSDASQEQQNMLYSAIWDMETLIEDLKSKVSKAESKTDTVEEQCIILSESNFELNNELGILRNKIECLETSLDQANNEKEAIAKEINYRTKLITDMVTQLATERERIQKQLFSLVKEKAILVKKLQNTVQTGSLAVCNSGVDGAEISVSKSGSIKANCTKTFERTVLSATSVQAGTQFFLIVFHKK >EOY01540 pep chromosome:Theobroma_cacao_20110822:2:39992878:39999807:-1 gene:TCM_011412 transcript:EOY01540 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting protein 2, putative isoform 1 MEINEVNVGDKYMQMGNPELDIVQLHEEASSSGEGIQEMQNTTLSRIDLDLAYSNEKLVNLHVLLMLLLGWDNDPEAMASGNSDFSAQFIEKALVFDLLCGILDSELREVETFLDTVQAEIVDARHKISSSRPLGALFNKMEEKLHDSEQSLEKCQELVLEVKMQSTKLQDSISYFRHENWNNDKAMDIAEQYQLSNIIGKSKVQTVEQQRHILRMLEKSLARELDLEKKLSEFGQNEEQLKLKLHYTEQVALRMEEAAEVVWGRFLEAENAAEVLMGISKELVGRLQIVQFNLNGSIQREAELKSKLEGCIEELNTKDIALKKLESSNAEHAAEASEVFSLRKKVKLLEEQLKESKLQLNNANASNQTSQEHIHEMEIIIDSLKENIYEAESRSESAEAKVTELTDANLELTEELNFLKGNNDNNTEKVTSLEKQLREKEIQLQHAKASSDASQEQQNMLYSAIWDMETLIEDLKSKVSKAESKTDTVEEQCIILSESNFELNNELGILRNKIECLETSLDQANNEKEAIAKEINYRTKLITDMVTQLATERERIQKQLFSLVKEKAILVKKLQNTVQTGSLAVCNSGVDGAEISVSKSGSIKANCTKTFERTVLSATSVQAGER >EOY01541 pep chromosome:Theobroma_cacao_20110822:2:39960251:39999807:-1 gene:TCM_011412 transcript:EOY01541 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting protein 2, putative isoform 1 MEINEVNVGDKYMQMGNPELDIVQLHEEASSSGEGIQEMQNTTLSRIDLDLAYSNEKLVNLHVLLMLLLGWDNDPEAMASGNSDFSAQFIEKALVFDLLCGILDSELREVETFLDTVQAEIVDARHKISSSRPLGALFNKMEEKLHDSEQSLEKCQELVLEVKMQSTKLQDSISYFRHENWNNDKAMDIAEQYQLSNIIGKSKVQTVEQQRHILRMLEKSLARELDLEKKLSEFGQNEEQLKLKLHYTEQVALRMEEAAEVVWGRFLEAENAAEVLMGISKELVGRLQIVQFNLNGSIQREAELKSKLEGCIEELNTKDIALKKLESSNAEHAAEASEVFSLRKKVKLLEEQLKESKLQLNNANASNQTSQEHIHEMEIIIDSLKENIYEAESRSESAEAKVTELTDANLELTEELNFLKGNNDNNTEKVTSLEKQLREKEIQLQHAKASSDASQEQQNMLYSAIWDMETLIEDLKSKVSKAESKTDTVEEQCIILSESNFELNNELGILRNKIECLETSLDQANNEKEAIAKEINYRTKLITDMVTQLATERERIQKQLFSLVKEKAILVKKLQNTVQTGSLAVCNSGVDGAEISVSKSGSIKANCTKTFERTVLSATSVQVNDNQRNASLYRTEVEPSGAVTDGSDTISRVEVAKRGKTGHLKLIYIFIAILIALFSALAVYLLRAKPPLLVYF >EOX97027 pep chromosome:Theobroma_cacao_20110822:2:965788:969431:-1 gene:TCM_006147 transcript:EOX97027 gene_biotype:protein_coding transcript_biotype:protein_coding description:CER1 protein MATKPGILTNWPWESLGNFKYVILAPWVVHSAYSWATKAGNERGLFNFLIFPFLLTRILHNQIWISYSRYRTAKGSNRIVDKGIDFEQVDRESNWSISILHCCLIAYDLGTNMRKLMDMFGRDDQIILNGIILYLTIMILPGASHLPIWRSDGVIITILLHTGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEMISYFVLFMIPILTTTSMGTASIVSIFGYITYIDFMNNMGHCNFEFTSKWVFSIFPLVKYFMYTPSFHSLHHTQFRTNYSLFMPFYDYIYGTMDKSADALYEASLKRREESPDVVHLTHLTTPDSLFHLRLGLASLASKPHQAPTMVMWPVTFWSVLVTWIYGHAFVSERNTFEKLKLQSWVYLSRGQRGKINKLIEEAISEADRKGAKDEELNGSGELYIQRHPKLKIKVVDGSSLAAAVVVNSIPEETRQVVLRGKLCKVAYAIALALCQKGVKVAALKDDEYKKLLKHVGKFGDNLVLAERYDPKIWLVGDGLTKEEQLKAPKGTIFIPFSQFPPRKVRKDCYYHSTPAMVAPKSIENMHSCENWLPRRIMSAWRVAGIIHGLEGWDVHECGHTIFGIGKVWEATLQHGFRPLSIPT >EOX96842 pep chromosome:Theobroma_cacao_20110822:2:211991:213990:1 gene:TCM_005997 transcript:EOX96842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fimbriata MDAFNTSMSLPFSYTFTITGSSCTTSTNSIGNPWMDSRIWSKLPQRLLDRVIAFLPPPAFFRARSVCKRWYGLLFSSSFLELYMQISPRHHWFLFFKHKTLKSYIYRNNTGSGGNGDNRTNCEGYLFDPYDIAWYRISFNLVPSGFSPASSSGGLVCWVSDEAGTKTLILCNPLVGTLSQLPPTLRPRLFPSIGLTVSPTSIDVAAAGDDLISPYAVKNLSTESFHIDAGGFYSIWGTTSSLPRLCSLESGRMVYVEGKFYCMNYSPFSVLAYDIPANNWFKIQAPMRRFLRSPSLVESWGKLILVAAVEKSKLNVPKSLRLWGLQACGTTWVEIERMPLQLYMQFAEVEGGNGFNCVGHGEFIVIMIRGSDKALLFDICRKRWQWIPPCPYHRGGCDNNGEGCELHGLAYEPRLATPVISLLDQLTLPFPSFNG >EOY00141 pep chromosome:Theobroma_cacao_20110822:2:26586025:26587025:1 gene:TCM_009703 transcript:EOY00141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLTCFYDYKKRKGNTKKYSAEPSGQPKFDPKAWTEAIGWHTTTQTYEYESGTRVPALRLLVAIAMFEFAYGLNDAPPPMPKLEGHRQLLTDVKTLMTGCNTLNNLLMEVIGATRAQPEPNTFRASLSW >EOX98211 pep chromosome:Theobroma_cacao_20110822:2:4916715:4918205:1 gene:TCM_007027 transcript:EOX98211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MASNTTEIAREFVNSFPLFRVYKDGRIERLRETETVPPSNDPQTGVQSKDTIVLPESSLSARLFLPKITDPTAKIPLVIYIHGGAFCIESPFSPLYHNYLTSLVNKANVIAVAIQYRKAPEYPLPIAYDDAWTAIKWVASHANRDGPEPWLNDHADFERVFFAGDSAGANIAHNMTMKAGADGLIGVKLVGMLLMNPFFRNNEPDELIEYIFPSSSGCNDPRMNPASAIKELAGLACSRVLVCVSEKDFLKDRGVTYYEAVRKSGWDGVIDIVETPGEKHVFYLFDPSSQKAMDLMDQVSSFLNR >EOX96826 pep chromosome:Theobroma_cacao_20110822:2:164558:169952:-1 gene:TCM_005983 transcript:EOX96826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MCLEVLLPLFKFLKETNLIKIDDILAAAASVDDVIFSISSSSSLRNLDKDNVQFRGTCFVAELCSPLVRVNSNGNNYQMSSHSPVLPSSAIAPPPTPIPSIQTHQPIPTKTRSLSQGSWTESLRSNTRSNRFHQAILTYVSMSSSGIPPDHFAFPAVLKAVTALHDLALGKQIHAQVLKFGYGFGTSSVTVANTLVNFYGKCGDIWDVYKVFDRIHQRDTVSWNSFISAFCRLEDWEAALEAFRLMLLDNVEPSSFTLVSIAHACSNLPSRDGLHLGKQLHAYSLRIGDAKTFTYNALMTMYSKLGHLNDAKLLFELFKERDLISWNTMLSSLSQNDKFTEALLLLHRMVLEGLKPDGVTIASVLPACSHLELLDIGKQLHAYALRHDILIDNSFVGSALVDMYCNCRKAQSGRQVFDCVIDKKTGLWNAMITGYSQNEHDEDALILFIEMEAVAGLCPNATTMASIVPACVRSEAFVHKQGIHGYVVKRGLASDPYVQNALMDMYCRMGKIQISKTIFDNMEVRDIVSWNTMITGYVICGHHDNALLLLHEMQRVEQEKSADYYEDEKRIPLKPNSITLMTVLPGCATLSALSKGKEIHAYAIRNMLASDVGVGSALVDMYAKCGCLNFCRKVFDIIPLRNVITWNVIIMAYGMHGKGAEALELFNCMVAEASKVKEVKPNEVTFIAIFAACSHSGMVREGLNLFYRMKDEYGIEPTPDHYACIVDLLGRAGQVEESYQLINTMPSQFDKAGAWSSLLGSCRIHQNVEIGEIAARNLFYLEPDVASHYVLLSNIYSSAQLWDKANDVRKKMKEMGVRKEPGCSWIEFGDEVHKFLAGDASHAQSGQLHKFLETLSEKMRKEGYVPDTSCVLHNVDEEEKETLLCGHSEKLAIAYGLLNYPPGTTIRVAKNLRVCNDCHEATKYISRITDREIILRDVRRFHHFRNGRCSCGDYW >EOX98072 pep chromosome:Theobroma_cacao_20110822:2:4458839:4459555:1 gene:TCM_006920 transcript:EOX98072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLESKYFQHNEGREADEANNISITERGLSHFGGNESNPLRWICYTSLVNMKRQKRHPRREQSYRASSCNEGR >EOY00931 pep chromosome:Theobroma_cacao_20110822:2:35902286:35905824:1 gene:TCM_010834 transcript:EOY00931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein isoform 4 MFSMGRMMLFFLICLALLGELSTSWAEDRLVNPLQLEMFVDELPDMPKIQGFDVVNGSPKSKSLTIGMFRKKWKFHRDLPPTPVFAYGASRLTATVPGPTIEALHGIDTYVEWQNHLPSKHILPWDPTIPTAVPATKKGVPTVVHLHGGIHEPASDGNSNSWFTAGFKEKGPTWANATYHYNNNQQPGNLWYHDHAMGLTRVNLLAGLIGAYVLRHPDVEGPLKLPHGDEFDRPLLVFDRSFRTNGSIYMNSTGNNPSIHPQWQPEYFGDTIIVNGKAWPKMMVRRRKYRFRIINASNARFFRFFFTNGLEFIHVAADSTYLGEPVVTNETLLAPSEIADVVVDFSKSETDDAILANDAPYPYPSGDPVNESNGKVMKFIIKKNQEVDTWRVPNKLIKYPSPDLSSVRETRYIAMYEYTSDTDEPTHLYINGKPYEAPATETPKAGTSEIWNVINLTEDNHPLHIHLGLFTVLDQTELVNKEEFKGCMFKENDAIKCHISKYARGKKVEVPAHEKGWKNVYKMTPGFVTKILVRFSYIHSNSSYSFDPTANPGYVYHCHVSISPFPFILFVFKHGIDIIK >EOY00928 pep chromosome:Theobroma_cacao_20110822:2:35901920:35906117:1 gene:TCM_010834 transcript:EOY00928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein isoform 4 MFSMGRMMLFFLICLALLGELSTSWAEDRLVNPLQLEMFVDELPDMPKIQGFDVVNGSPKSKSLTIGMFRKKWKFHRDLPPTPVFAYGASRLTATVPGPTIEALHGIDTYVEWQNHLPSKHILPWDPTIPTAVPATKKGVPTVVHLHGGIHEPASDGNSNSWFTAGFKEKGPTWANATYHYNNNQQPGNLWYHDHAMGLTRVNLLAGLIGAYVLRHPDVEGPLKLPHGDEFDRPLLVFDRSFRTNGSIYMNSTGNNPSIHPQWQPEYFGDTIIVNGKAWPKMMVRRRKYRFRIINASNARFFRFFFTNGLEFIHVAADSTYLGEPVVTNETLLAPSEIADVVVDFSKSETDDAILANDAPYPYPSGDPVNESNGKVMKFIIKKNQEVDTWRVPNKLIKYPSPDLSSVRETRYIAMYEYTSDTDEPTHLYINGKPYEAPATETPKAGTSEIWNVINLTEDNHPLHIHLGLFTVLDQTELVNKEEFKGCMFKENDAIKCHISKYARGKKVEVPAHEKGWKNVYKMTPGFVTKILVRFSYIHSNSSYSFDPTANPGYVYHCHILDHEDNVMMRPIKLIK >EOY00930 pep chromosome:Theobroma_cacao_20110822:2:35901920:35906136:1 gene:TCM_010834 transcript:EOY00930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein isoform 4 MFSMGRMMLFFLICLALLGELSTSWAEDRLVNPLQLEMFVDELPDMPKIQGFDVVNGSPKSKSLTIGMFRKKWKFHRDLPPTPVFAYGASRLTATVPGPTIEALHGIDTYVEWQNHLPSKHILPWDPTIPTAVPATKKGVPTVVHLHGGIHEPASDGNSNSWFTAGFKEKGPTWANATYHYNNNQQPGNLWYHDHAMGLTRVNLLAGLIGAYVLRHPDVEGPLKLPHGDEFDRPLLVFDRSFRTNGSIYMNSTGNNPSIHPQWQPEYFGDTIIVNGKAWPKMMVRRRKYRFRIINASNARFFRFFFTNGLEFIHVAADSTYLGEPVVTNETLLAPSEIADVVVDFSKSETDDAILANDAPYPYPSGDPVNESNGKVMKFIIKKNQEVDTWRVPNKLIKYPSPDLSSVRETRYIAMYEYTSDTDEPTHLYINGKPYEAPATETPKAGTSEIWNVINLTEDNHPLHIHLGLFTVLDQTELVNKEEFKGCMFKENDAIKCHISKYARGKKVEVPAHEKGWKNVYKMTPGFVTKILVRFSYIHSNSSYSFDPTANPGYVYHCHILDHEDNVMMRPIKLIK >EOY00929 pep chromosome:Theobroma_cacao_20110822:2:35902286:35905824:1 gene:TCM_010834 transcript:EOY00929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein isoform 4 MFSMGRMMLFFLICLALLGELSTSWAEDRLVNPLQLEMFVDELPDMPKIQGFDVVNGSPKSKSLTIGMFRKKWKFHRDLPPTPVFAYGASRLTATVPGPTIEALHGIDTYVEWQNHLPSKHILPWDPTIPTAVPATKKGVPTVVHLHGGIHEPASDGNSNSWFTAGFKEKGPTWANATYHYNNNQQPGNLWYHDHAMGLTRVNLLAGLIGAYVLRHPDVEGPLKLPHGDEFDRPLLVFDRSFRTNGSIYMNSTGNNPSIHPQWQPEYFGDTIIVNGKAWPKMMVRRRKYRFRIINASNARFFRFFFTNGLEFIHVAADSTYLGEPVVTNETLLAPSEIADVVVDFSKSETDDAILANDAPYPYPSGDPVNESNGKVMKFIIKKNQEVDTWRVPNKLIKYPSPDLSSVRETRYIAMYEYTSDTDEPTHLYINGKPYEAPATETPKAGTSEIWNVINLTEDNHPLHIHLGLFTVLDQTELVNKEEFKGCMFKENDAIKCHISKYARGKKVEVPAHEKGWKNVYKMTPGFVTKILVRFSYIHSNSSYSFDPTANPGYVYHCHFNEKPLVVRSWIMKIM >EOY00522 pep chromosome:Theobroma_cacao_20110822:2:33220786:33222631:1 gene:TCM_010407 transcript:EOY00522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSGYGVPSLLGMRSVQERQKKEESNKWSIAGKERKGSSRRCFWFWIEVCRQCPLLIDESFDLLQVLLHFVTCLNQQACASCGRGNNRVYFIEMLTAK >EOX97407 pep chromosome:Theobroma_cacao_20110822:2:2188465:2200845:1 gene:TCM_006428 transcript:EOX97407 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MAVDKDKLQEDASIVRFCKIILGWDYFRLLKFSNKNGKDAAASGLKEVKATYKDVDDYLATFEPLLFEEVKAQIVQRKDEEEVTDWKLRLVMECNEADGFHLPAVTYEADEEESISQNDLLLLSKEEFKEGSKKLPTTYAFALVEHRQKNLLRLRMYLAGEFTQVNPDVEKNSERLIRMQALITSSGTAVEKRLFSIKICSLSTIAREYIALCSVGSLPFKDLILKAAERDSGSKDQAWKISGSLHVYFKENLNKSQQEAIDAGLSHKAFVLIQGPPGTGKTQTILGLLSAILHATPGRVHSKSGLLELNRGPELPIEEKYKHWGWASPWLMGTNPRDIIMPIDGDDGFFPTTGNELKPEVVNSSRKYRIRVLVCAPSNSALDEIVFRLLKTGVRDENVRAYTPKIVRIGLKPHHSIEAVSMDYLVNQKRDLAGDKQKQGSTGRDLDSIRAAVLDEAVIVFSTLSFSGSAVLTKLNTGFDVVIIDEAAQAVEPATLVPLASGCKQVFLIGDPVQLPATVISPVAEKLGYGTSLFKRFQMAGYPVKMLKTQYRMHPEIRSFPSKEFYDEALEDGSDVEDQTTRDWHKYRCFGPFCVFDIYEGKESQPSGSGSWVNIDEIEFILVMYHKLITMYPELRSSSQFAIISPYRHQVKLLQERFQDTFGVESKKVVDIGTIDGFQGREKDVVIFSCVRASKDRGIGFVSDFRRMNVGITRAKSSVLVVGSASTLRRDEHWSNLVESAEKRGCFFKVAKPYASFFSDEYLEFTKVIDKDAQMVDANDAPENNTGYNMAEDADQGPVEDNDYGDGDGEFEGGFDDD >EOX97408 pep chromosome:Theobroma_cacao_20110822:2:2188465:2198558:1 gene:TCM_006428 transcript:EOX97408 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MAVDKDKLQEDASIVRFCKIILGWDYFRLLKFSNKNGKDAAASGLKEVKATYKDVDDYLATFEPLLFEEVKAQIVQRKDEEEVTDWKLRLVMECNEADGFHLPAVTYEADEEESISQNDLLLLSKEEFKEGSKKLPTTYAFALVEHRQKNLLRLRMYLAGEFTQVNPDVEKNSERLIRMQALITSSGTAVEKRLFSIKICSLSTIAREYIALCSVGSLPFKDLILKAAERDSGSKDQAWKISGSLHVYFKENLNKSQQEAIDAGLSHKAFVLIQGPPGTGKTQTILGLLSAILHATPGRVHSKSGLLELNRGPELPIEEKYKHWGWASPWLMGTNPRDIIMPIDGDDGFFPTTGNELKPEVVNSSRKYRIRVLVCAPSNSALDEIVFRLLKTGVRDENVRAYTPKIVRIGLKPHHSIEAVSMDYLVNQKRDLAGDKQKQGSTGRDLDSIRAAVLDEAVIVFSTLSFSGSAVLTKLNTGFDVVIIDEAAQAVEPATLVPLASGCKQVFLIGDPVQLPATVISPVAEKLGYGTSLFKRFQMAGYPVKMLKTQYRMHPEIRSFPSKEFYDEALEDGSDVEDQTTRDWHKYRCFGPFCVFDIYEGKESQPSGSGSWVNIDEIEFILVMYHKLITMYPELRSSSQFAIISPYRHQVKLLQERFQDTFGVESKKVVDIGTIDGFQGREKDVVIFSCVRASKDRGIGFVSDFRRMNVGITRAKSSVLVVGSASTLRRDEHWSNLVESAEKRGCFFKVAKPYASFFSDEYLEFTKVIDKDAQMVDANDAPENNTGYNMAEDADQGPVEDNDYGDGDGEFEGGFDDD >EOX97406 pep chromosome:Theobroma_cacao_20110822:2:2188465:2200845:1 gene:TCM_006428 transcript:EOX97406 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MAVDKDKLQEDASIVRFCKIILGWDYFRLLKFSNKNGKDAAASGLKEVKATYKDVDDYLATFEPLLFEEVKAQIVQRKDEEEVTDWKLRLVMECNEADGFHLPAVTYEADEEESISQNDLLLLSKEEFKEGSKKLPTTYAFALVEHRQKNLLRLRMYLAGEFTQVNPDVEKNSERLIRMQALITSSGTAVEKRLFSIKICSLSTIAREYIALCSVGSLPFKDLILKAAERDSGSKDQAWKISGSLHVYFKENLNKSQQEAIDAGLSHKAFVLIQGPPGTGKTQTILGLLSAILHATPGRVHSKSGLLELNRGPELPIEEKYKHWGWASPWLMGTNPRDIIMPIDGDDGFFPTTGNELKPEVVNSSRKYRIRVLVCAPSNSALDEIVFRLLKTGVRDENVRAYTPKIVRIGLKPHHSIEAVSMDYLVNQKRDLAGDKQKQGSTGRDLDSIRAAVLDEAVIVFSTLSFSGSAVLTKLNTGFDVVIIDEAAQAVEPATLVPLASGCKQVFLIGDPVQLPATVISPVAEKLGYGTSLFKRFQMAGYPVKMLKTQYRMHPEIRSFPSKEFYDEALEDGSDVEDQTTRDWHKYRCFGPFCVFDIYEGKESQPSGSGSWVNIDEIEFILVMYHKLITMYPELRSSSQFAIISPYRHQVKLLQERFQDTFGVESKKVVDIGTIDGFQGREKDVVIFSCVRASKDRGIGFVSDFRRMNVGITRAKSSVLVVGSASTLRRDEHWSNLVESAEKRGCFFKVAKPYASFFSDEYLEFTKVIDKDAQMVDANDAPENNTGYNMAEDADQGPVEDNDYGDGDGEFEGGFDDD >EOX97070 pep chromosome:Theobroma_cacao_20110822:2:1090286:1096480:1 gene:TCM_006177 transcript:EOX97070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromomethylase 1, putative MGRGSKRKVEKQQLDESIDSESETQNEDSTTLLHPRSKKGRKVARDAELCLVGPSIAADEARRRWPLRYQSKNKVKKQAVTETSNDEEDDVLQARNHYDKAKVDGCLYKLGDNAYVQAEDGNLNYIARIVELFETIDKKPYFKAQWFYRAEDTVINKDNAHLLDKRRVFLSDIHDDNPLNCILSKVEIAQVAPNIDLVAKERTIPQSELYYDMKYSLPYLTFKNIVTETPKRDSDTSSVVSSECGSSECNSNNTTSKVERSLLDLYSGCGAMSTGLCMGASLSGVKLVTRWAVDINSYACKSLQWNHPETKVRNETAEDFLCLLKEWEKLCQKFSLLGADKPLENGSSETSDEEDDDGQGCEEEQEKEREDDGDSEDSSEEFEVERLLDICFGDPNQVNKPGLYFKVRWKGYDASYDTWEPMKGLSNCPEKVKDFVRKGYKSNIVPLPGNVYFICGGPPCQGISGFNRFRNGNAPLDDIKNKQLVVYMDIIEHLKPRYVLMENVVDILKFAKGFLGRYAIGRLVSMNYQARMGMMTAGSYGVAQCRMRVFLWGAHPSEKLPQYPLPTHEVASRGHVPNEFEEINVAYSKSDTCQLERALTLGDAISDLPQVNNDESVDQRKYGKVARTEFQKYIRLQRKDVINLTVDTPHASSLGMLYDHRPLQLNADDYERVCRVPKRKGANFRDLPGVIVGENNRVEWDTTMERVKLKSGKPLVPDYAMKFVKGRSTKPFGRLWMDEIVKTVVTRAKPHNQSILHPSQDRVLTIRENARLQGFPDCYKLFGSIKERYIQVGNAVAVPVAIALGYAFGLACRGVSDDEPLMILPFKFPKCLARSSQIEVDDSD >EOX96947 pep chromosome:Theobroma_cacao_20110822:2:641619:650891:-1 gene:TCM_006074 transcript:EOX96947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 3B1 isoform 2 MASEVMLMNEIESTAARLGIDLDNFDYSSVKLPPGEYCGIVSDDEDVYHDDQLEFDSGFGNIIVVDNLPVVPREKFEKLEGVIRKIYSQIGVIKEDGLWMPVDPETKKTLGYCFIEYNTPQEAELAKEKTNGYKLDRAHIFAVSMFDDFDKYMRVPDEWAPPEIKPYTPGENLQKWLTDEKARDQFVIRAGTDTEVLWNDARQSKTELVYKRAYWTESFVQWSPLGTYLATVHRQGAAVWGGANTFNRLMRYAHPQVKLIDFSPGEKYLVTYSSHEPSNPRDANRVVINIFDVRTGKVMRDFKGSADEFAIGGTGGVAGVSWPVFRWGGGKEDKYFAKLGKNMISVYETETFSLIDKKSLKVENVVDFSWSPTDPIIALFVPELGGGNQPARVSLVQIPSKEELRQKNLFSVSDCKMYWQSNGDYLAVKADRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDNPRPDVSFYSMRTAHNTGRVSKLTTLKGKQANALFWSPGGRFIVLAGLKGFNGQLEFFNVDELETMATAEHFMATDIEWDPTGRYVATSVTSVHEMENGFNVWSFNGKLLYRILKDHFFQFLWRPRPPSFLTPEKEEEIARNLKKYSKKYEAEDQDVSMLLSEQDREKRRMLKEEWDKWVSEWRRAHEEEKLERQKLRDGEASDEEEEYEAKEVEVEEVLDVSEEVLSYHEE >EOX96948 pep chromosome:Theobroma_cacao_20110822:2:642069:646105:-1 gene:TCM_006074 transcript:EOX96948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 3B1 isoform 2 MASEVMLMNEIESTAARLGIDLDNFDYSSVKLPPGEYCGIVSDDEDVYHDDQLEFDSGFGNIIVVDNLPVVPREKFEKLEGVIRKIYSQIGVIKEDGLWMPVDPETKKTLGYCFIEYNTPQEAELAKEKTNGYKLDRAHIFAVSMFDDFDKYMRVPDEWAPPEIKPYTPGENLQKWLTDEKARDQFVIRAGTDTEVLWNDARQSKTELVYKRAYWTESFVQWSPLGTYLATVHRQGAAVWGGANTFNRLMRYAHPQVKLIDFSPGEKYLVTYSSHEPSNPRDANRVVINIFDVRTGKVMRDFKGSADEFAIGGTGGVAGVSWPVFRWGGGKEDKYFAKLGKNMISVYETETFSLIDKKSLKVENVVDFSWSPTDPIIALFVPELGGGNQPARVSLVQIPSKEELRQKNLFSVSDCKMYWQSNGDYLAVKADRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDNPRPDVSFYSMRTAHNTGRVSKLTTLKGKQANALFWSPGGRFIVLAGLKGFNGQLEFFNVDELETMATAEHFMATDIEWDPTGRYVATSVTSVHEMENGFNVWSFNGKLLYRILKDHFFQFYGAQGHHPS >EOY00204 pep chromosome:Theobroma_cacao_20110822:2:29311816:29320040:1 gene:TCM_009960 transcript:EOY00204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATKTKVFRRRKYTRIAESTTKPVLKSTSPQVISFGPSLSFELESLNSIHVEPLNVHLGTDESSLNESYFDFDQMH >EOY02169 pep chromosome:Theobroma_cacao_20110822:2:42184720:42186375:1 gene:TCM_011883 transcript:EOY02169 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein MEFSRKDFKVGKCQGQKLVDGETMPLVLQPPEADKADVVSLVLALKTNKDWFEKLIIKNSAVLLRGFDVKDAQDFNDIVEAFGWEDIRYVGPAPRTHVYKRVWTANEGPLSEFIYYHHEMVLIKEFPKKLLLFCEVPPPEGGETPFVPSFRVTERMLKEFPEAIEEIDAKGLNYTFTALSKDDTSSMRGRGWEDAFGTSDRAEAERRAKALGMDMEWLPNGGVKTILGPRSLTKVFEGRKGRRMWFNTVVGMHGKELSSACLADGTEIPEKVVKRCEEIIEEESIQFKWEKGDVLFFDNLALLHGRRPAKPPRRVLVATCK >EOX96821 pep chromosome:Theobroma_cacao_20110822:2:150406:152289:1 gene:TCM_005978 transcript:EOX96821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MVQPTMPPYSYCGLFRSTIRPFNSWALALKNASSPLKALDLYSQMHRRSIPFDSFSILLTLNSCAPLHNSNLIAHLHSHILKLGFISHVYVATSLLHAYVLASFDYARKLFDETPERNVVTWNTMITGYSRSGDINKAHALFEAMPLRNVASWSAMIAAFMNNGKLNSGFSCFREMVASERYKPDQLTVGLALGGCAHMGSLGLLVGKSVHGFIVKNGWGLDVKIGTILVDMYAKCGLLKLACIVFNLMQQRNVMTWTALICGFAQHGYSEEALSFFEAMQDMGVRPNELTFTGILNACALKGLVEEGRKYFNMIERNGLEARIQHYGCMVDLFGKVGLLEEAYQVITTMKVEPNVVIWGSFLSGCKEHRQFEMAERVTEQVLEMIEPESDAGVYSLICDLYVLNGKWDDAERVRKVMVDQNVRKARGSSFITSC >EOX97140 pep chromosome:Theobroma_cacao_20110822:2:1365861:1370507:-1 gene:TCM_006239 transcript:EOX97140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat only 4-like protein MMLPWEAKKQVEELFDLIFLEERLCLEVEEAKSFQEECCEVRERVKQISQMLKTLIRFITSAQTSIYLRPINCIVAKVKDNFELALATVSKCKCRSLLSSLFTIRNATRFRGLSHLLDASISDMEWLVTVYDPQNGVTGPTTKNESDTLLVWSCIATVEMGRQLEDRVQAAICLGLLAQEKDEYKNIIFEEGGVPPLLKLLKKNSSLEAQITAANALCLLANEQERTRIIMKEMISTVVNGLSRTSPARDQIQAANLIAKIAEYNPEVKEYDLVRENVIWRLVTLLSSEPSANGPKTNLLNLELKISCSKALWVLAKGSVSNCRTLTETKGMLCLAKLVETEQDELRYNCLMIIREITSIAESNQEFRHSAFKTNSPAAKAVVDQLLRVIKQFDGTKFRIPAIKSMGSLARTFSAKDSWVISPLVSRLGSTDQEIAKEAAIALQKYACPENALSMEHSQSIVEFNGVPLLMKLLDGDKESQHHGLALICYLAKHDINGSVLMEAGALTALETIGAKHPELEELVSDAISKLKSNQTGKHEKLDSSVKASITQIIVEQGKEAVNYLRQGPEILWKRLTGFAQKPEETFPGDCKPLPIVMRCKERFSHAQPSFKTRRILPLLRAKAKYRGSALRCVINYPGRRQATEVARRLRLMINIVNSFGKKVIRRKFGFVIHKIILYGPTPPLFFNVLAPNLNETGVQQYCKLKEDTVATNEAPTVKHGENI >EOX97282 pep chromosome:Theobroma_cacao_20110822:2:1812250:1813145:1 gene:TCM_006353 transcript:EOX97282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLPVHFPSGSHQKSTHLTKKMVGKCESDPDCFRTPNPFPAILSATRQTVTPASISKTLHESHLKHLFPGKTDILSCPIKKFSFSCIKISSQKRLKNGGQIHNTRYVLELSFMGA >EOX98294 pep chromosome:Theobroma_cacao_20110822:2:5227454:5232549:1 gene:TCM_007089 transcript:EOX98294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFMLKIVEDELGLGQALIQSFDHERKPVFHFTNAFGHCPWDINCNCEGCPKETFETDYEPNRVSAKRSRKKKQSCSDREMYRKYQDKDPSIRTLGEDEGKYQYLVKYSLPKWAQPKQNLSEPSS >EOX99344 pep chromosome:Theobroma_cacao_20110822:2:10272627:10275372:1 gene:TCM_007980 transcript:EOX99344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein MKLFFFFFKRHRCSFASFNPTFPSKTPSDKHSQILHFCKSAQLFPAIHLLNTLHFPSETTSSKKPLLYASLLQTCTNVQSFSHGLQFHAHVIKSGLQTDRFVGNSLLALYFKLGPDFTETRRVFDGLFVKDVISWTSMVSGYIKAGKPESSLQLFWEMLGFGVEPNGFTLSTVIKACSELGKLRLGWCFHGVVIKRGFVSNRVISSALIDFYGRNWQLKEACEIFDELPEPDAICWTSVISALTRNDLYEEALRFFYLMHRNHGLSPDGFTFGTVLTACGNLGRLRQGKQVHAKVITCGLCGNVVVESSLLDMYGKCGLVDESQCVFDRMSKKNSVSWSALLGVYCQNKDYESVIRIFREMDKTDLYCFGTVLRACAGLAAVRQGKEVHCQYVRRGGWRDVIVESALVDLYAKCGCIHFAHRIFVQMSSRNLITWNSMIYGFAQNGLGGEALRIFDEMIKGIKPDYISFIGVLFACSHTGLVDQGRKYFALMTREYGIKPGIEHYNCMVDLLGRAGLLEEAENLIESADLRDDSSLWAVLLGACTTCTSSSTAERIAKKMIEVEPDYHMSYVLLANVYRAVGRWNDALNVRKLMKDRGVKKITGKSWVEANTNMGSYLDVADVDMPGRNGFLGIRDPV >EOX98661 pep chromosome:Theobroma_cacao_20110822:2:6635468:6640171:-1 gene:TCM_007367 transcript:EOX98661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Executer 1 isoform 1 MMASIPTPTPRHNLTFPSPRFPSLKRPFYPPSRFPDSTLCRCSNNPSDSNSSSSINWRWDSAIQDVIKNAIKRFESYMNPFRKDSSSTKGALNDGVSDERRRQRGQEDDWDWDRWKKHFEQVDEQERLLSLLKSQLNEAVSREDYEDAARLKVAIAAAATNDTVGRVISHLNRAVVEERFHDAAFLRDNAGAGLVGWWAGLSDDTKDPYGLIIRVTAEHGRYVARSFSPRQLASASVGVPLFEIFLTVNKKGEYKQQAVYLKRRSVFQDSSMVSSKTSGATSRSGPSGSSEDNNDIFVVSTEDDEDGDDEDDDDADDGSDVGEGLTGFQNILRDMIPGVKVKVMKVTMPGKVDRDFISKVIEQIIEEEDEEKDAEIESVELDDEIKSESDQERDQIEINADSGVIDNDMRGEIAVKVVVGGLAQKLSGSVPATESLRVPAKLVKKGRLTFSFSIENDINLQDSSPGTRGSMDRKEKIQNQRSIDNIMFDLAKFVGKEKIPLKVLKDVGELINLTLSQAQSHQPLSGSTTFHRIEIAASPDPLNGDL >EOX98658 pep chromosome:Theobroma_cacao_20110822:2:6633595:6640119:-1 gene:TCM_007367 transcript:EOX98658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Executer 1 isoform 1 MMASIPTPTPRHNLTFPSPRFPSLKRPFYPPSRFPDSTLCRCSNNPSDSNSSSSINWRWDSAIQDVIKNAIKRFESYMNPFRKDSSSTKGALNDGVSDERRRQRGQEDDWDWDRWKKHFEQVDEQERLLSLLKSQLNEAVSREDYEDAARLKVAIAAAATNDTVGRVISHLNRAVVEERFHDAAFLRDNAGAGLVGWWAGLSDDTKDPYGLIIRVTAEHGRYVARSFSPRQLASASVGVPLFEIFLTVNKKGEYKQQAVYLKRRSVFQDSSMVSSKTSGATSRSGPSGSSEDNNDIFVVSTEDDEDGDDEDDDDADDGSDVGEGLTGFQNILRDMIPGVKVKVMKVTMPGKVDRDFISKVIEQIIEEEDEEKDAEIESVELDDEIKSESDQERDQIEINADSGVIDNDMRGEIAVKVVVGGLAQKLSGSVPATESLRVPAKLVKKGRLTFSFSIENDINLQDSSPGTRGSMDRKEKIQNQRSIDNIMFDLAKFVGKEKIPLKVLKDVGELINLTLSQAQSHQPLSGSTTFHRIEIAASPDPLNGLYIGAHGLYTSEVIHLRRKFGQWQDSGTKEPSDLEFYEYVEAVKLTGDPYVPAGQVAFRARVGKRYQLPHKGIIPEEFGVVARYKGQGRLAEPGFRNPRWVDGELVILDGKYIKGGPVVGFVYWAPEYHFLVFFNRLRLQP >EOX98659 pep chromosome:Theobroma_cacao_20110822:2:6633595:6640119:-1 gene:TCM_007367 transcript:EOX98659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Executer 1 isoform 1 MMASIPTPTPRHNLTFPSPRFPSLKRPFYPPSRFPDSTLCRCSNNPSDSNSSSSINWRWDSAIQDVIKNAIKRFESYMNPFRKDSSSTKGALNDGVSDERRRQRGQEDDWDWDRWKKHFEQVDEQERLLSLLKSQLNEAVSREDYEDAARLKVAIAAAATNDTVGRVISHLNRAVVEERFHDAAFLRDNAGAGLVGWWAGLSDDTKDPYGLIIRVTAEHGRYVARSFSPRQLASASVGVPLFEIFLTVNKKGEYKQQAVYLKRRSVFQDSSMVSSKTSGATSRSGPSGSSEDNNDIFVVSTEDDEDGDDEDDDDADDGSDVGEGLTGFQNILRDMIPGVKVKVMKVTMPGKVDRDFISKVIEQIIEEEDEEKDAEIESVELDDEIKSESDQERDQIEINADSGVIDNDMRGEIAVKVVVGGLAQKLSGSVPATESLRVPAKLVKKGRLTFSFSIENDINLQDSSPGTRGSMDRKEKIQNQRSIDNIMFDLAKFVGKEKIPLKVLKDVGELINLTLSQAQSHQPLSGSTTFHRIEIAASPDPLNGLYIGAHGLYTSEVIHLRRKFGQWQDSGTKEPSDLEFYEYVEAVKLTGDPYVPAGQVAFRARVGKRYQLPHKGIIPEEFGVVARYKGQGRLAEPGFRNPRWVDGELVILDGKYIKGGPVVGFVYWAPEYHFLVFFNRLRLQP >EOX98660 pep chromosome:Theobroma_cacao_20110822:2:6635908:6639806:-1 gene:TCM_007367 transcript:EOX98660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Executer 1 isoform 1 MMASIPTPTPRHNLTFPSPRFPSLKRPFYPPSRFPDSTLCRCSNNPSDSNSSSSINWRWDSAIQDVIKNAIKRFESYMNPFRKDSSSTKGALNDGVSDERRRQRGQEDDWDWDRWKKHFEQVDEQERLLSLLKSQLNEAVSREDYEDAARLKVAIAAAATNDTVGRVISHLNRAVVEERFHDAAFLRDNAGAGLVGWWAGLSDDTKDPYGLIIRVTAEHGRYVARSFSPRQLASASVGVPLFEIFLTVNKKGEYKQQAVYLKRRSVFQDSSMVSSKTSGATSRSGPSGSSEDNNDIFVVSTEDDEDGDDEDDDDADDGSDVGEGLTGFQNILRDMIPGVKVKVMKVTMPGKVDRDFISKVIEQIIEEEDEEKDAEIESVELDDEIKSESDQERDQIEINADSGVIDNDMRGEIAVKVVVGGLAQKLSGSVPATESLRVPAKLVKKGRLTFSFSIENDINLQDSSPGTRGSMDRKEKIQNQRSIDNIMFDLAKFVGKEKIPLKVLKDVGELINLTLSQAQSHQPLSGSTTFHRIEIAASPDPLNGLYIGAHGLYTSEVIHLRRKFGQWQDSGTKEPSDLEFYEYVEAVKLTGDPYVPAGQVAFRARVGKRYQLPHKGIIPEEFGVVLLDIKDKGGLLSQAFEILDGLMASLLFSMESTSKEGLLLDLYIGPLNITSWCSSTD >EOX97758 pep chromosome:Theobroma_cacao_20110822:2:3353820:3356364:1 gene:TCM_006692 transcript:EOX97758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein MDQLKNDAAAFVQSIQSSNPSIIEFRQPPIDPRVSSMTRIQPKSSQRVEGEAKDCVAARKLQKADREKLRRDRLNEHFLELGNALDPDRPKNDKATILTDTLQLLKDLTSQVTKLKAEYATLTEESRELTQEKNDLKEEKASLKSDIDNLNIQYQQRVRTMFPWGTVDHSVVMAPPSYPFPVPMAMPPPGAIAMHPSMQPFPFFGNQNPGVIHNPCSTFVPYMTPNTLIEQQSTQHVAPLAQPGSQSHASGKQDSKNKSSGESKVEKTVDSNDVATDLELKTPGSTADQDLSSGQRKLKKSLRKENSNTEGSYSSRCSSSHSEQDSSSNSIVGGRKADDQDGGKD >EOX98456 pep chromosome:Theobroma_cacao_20110822:2:5834826:5836230:-1 gene:TCM_007214 transcript:EOX98456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger DNA binding protein, putative MALEALNSPTTATTFSNKYEDIDNNYLETWKKGKRSKRPRSESPTTEEEYLALCLIMLARGSTNDDDHPRQLSSSSSPAAAPAPAPPALKLSYKCSVCNKAFPSYQALGGHKASHRKPSTDAATTNGDNPSATSTTATSATSGSGRAHECSICHKTFPTGQALGGHKRCHYEGGNNNNNNNNNKCGSVSVSVSGVTLSDGGALSQSHRVDFDFDLNLPALPEFCGENKDGRFSQIYAEQEVESPLPTKKPRFLIAKEEKPESSLAQN >EOX99919 pep chromosome:Theobroma_cacao_20110822:2:18265666:18267850:-1 gene:TCM_008927 transcript:EOX99919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein MRIQTFKSLLKQLNPCQIIGIRSKTSSAQYVASRARDPTFEKLMDKYKSLLKVIAIQDLILANPTNNPPSVSLDFLSRLSQNLHLNRGAVSFLRKYPHIFHIFYDPNKSQPFCRLTDAAIDISRQEADAISSSLIVAVDHLVRLLSMSTTKSLPLRAVFKVWRELGLPDDFEDSVIARNSHIFRLSDADEPNTHILKLVDEMHDKSFVASVENWRVMECCKEDCSVDRTEIQYGFKHGYPPGMRLSKVFKAKVKEWQRLPYVGPYEEIGEKKRTKTGIMGLEKRAVAIVHEFLSLTVERMVEVETISHFRKSFAIDLNIRDLFLDHPGIFYLSTKGKRHTVFLREAYERGHLIDPNPVYNVRRKLLDLVMLGRRGMLNNVSTSVEIWQTKKPCLQDESRE >EOX99801 pep chromosome:Theobroma_cacao_20110822:2:16506011:16509979:-1 gene:TCM_008742 transcript:EOX99801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNFYVVTKFHVLRADWRTHGNTSISKGSVDRFFIIYSQNYQLLQVLSAPAMVPIWSSTVTCVKGKGFLFFHCRTRATCCGQHCLHVPKSFPFHGLYRNGMLCLSGFPTI >EOX99802 pep chromosome:Theobroma_cacao_20110822:2:16505946:16510006:-1 gene:TCM_008742 transcript:EOX99802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNFYVVTKFHVLRADWRTHGNTSISKGSVDRFFIIYSQNYQLLQVLSAPAMVPIWSSTVTCVKGKGFLFFHCRTRATCCGQHCLHVPKSFPFHGLYRNGMLCLSGFPTI >EOX99803 pep chromosome:Theobroma_cacao_20110822:2:16505896:16506912:-1 gene:TCM_008742 transcript:EOX99803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MCKRKRFPLFPLSNKSHVLWPTLSARSKIISFSRVIQERHAVFIWLSNHLGQFYEFMFFYTFKCLCPMMDANIYLEKKESRSLQMRITIYT >EOX97706 pep chromosome:Theobroma_cacao_20110822:2:3143156:3146516:-1 gene:TCM_006650 transcript:EOX97706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma-membrane choline transporter family protein MGSTEEETNTSKPVSLYDAALPTTPLLSKPTTSSTYLSPPIEEPAQPDPSEPDPTQFLQISFNYGPRPFKDLPFLILFVLLVVCTFAFGIFSIFHRNTNYSNVSSFTYDSNSTSCVQDSSFLSNSDWVFEISLVSLSSSSLWTYLVWTLVITLILSVPICFLLLLLLKHYTKQIVYALLPFFIIMPIFFDVYWFVACTLTSSCSDAFPLVYRILVLVFVLLVVGIIVWIIVSNWQRIELTVTIIAVASDALSRNLGLFLVIPLLTVGLVIYYAPIVVFLVFARFNGKIVAKESNGEYTCVWKQDSWVPAYYTLAILTMLWSLTAMVEAQVYVISGTIAQWYFSKDDSKPKRSIRSSLRNAFGPSSGTVCLSGLLICAVRLVRAAVDSAREEDVPRIVKLVLRCCVNALLSAIDFLNKFTINFAAITGEAYCTSARMTYELLKRNLLSAVFVETVSTRLLAGVIFVLSAVYAIVVCAILHGVSNLGVNAYIVAVLAWVLLIIVLGFFVHVLDNVIDTVDICYAIDRDRGEVYKQEVHEVYVHLPISRNSRSSFPTRTLGV >EOX97630 pep chromosome:Theobroma_cacao_20110822:2:2913820:2918940:1 gene:TCM_006603 transcript:EOX97630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase-like protein family, putative isoform 2 MDENGRVHPGCANAANPYHECGVYCLEKIAEGKGRKEKDKKKLDNHNGLKEVVRNKRNDDVGRMRSNCPKASNPYHECNEFCTQRTSKTNIQGVRKESDNRNGIKQGELSKKKDGEGKVLPNCTKASNPYHECDENCFKRKDSDIRNGIKQGELSKKKDVERTVNPTCPKASNLYHECDENCFKRNTDADTQGLRKESGSKILDASRSFGRKKKGSDSQSKSPRALETTPGIGAVYPGEPKSPRSHFSRKKMEAENGESFSSSEQHSEGIYSRDQSFDKGQIQYSQSVPMSGKIMSPADTPTKFKEAEKVQNSPKASSDANNEDGSEDATISNFSFSGIVRALQESDEEEEVESVISDSCVSVGKYHVKASISSILQSIFDKYGDIAANCQLESASMRAYYLECLCAVVQELHSTPFKQLTKSKVKEMFAVLKDVESAHIDVTWLRALLNEISEAMELVSQRQTFEAKKAKCDQTIESARKELESKIEDLAQKEKEAAAAREQVAETKACLDEIEHECSQLGKTISSIVSIMEKFQGKSLANELL >EOX97631 pep chromosome:Theobroma_cacao_20110822:2:2913820:2918941:1 gene:TCM_006603 transcript:EOX97631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase-like protein family, putative isoform 2 MDENGRVHPGCANAANPYHECGVYCLEKIAEGKGRKEKDKKKLGSKILDASRSFGRKKKGSDSQSKSPRALETTPGIGAVYPGEPKSPRSHFSRKKMEAENGESFSSSEQHSEGIYSRDQSFDKGQIQYSQSVPMSGKIMSPADTPTKFKEAEKVQNSPKASSDANNEDGSEDATISNFSFSGIVRALQESDEEEEVESVISDSCVSVGKYHVKASISSILQSIFDKYGDIAANCQLESASMRAYYLECLCAVVQELHSTPFKQLTKSKVKEMFAVLKDVESAHIDVTWLRALLNEISEAMELVSQRQTFEAKKAKCDQTIESARKELESKIEDLAQKEKEAAAAREQVAETKACLDEIEHECSQLGKTISSIVSIMEKFQGKSLANELL >EOX97120 pep chromosome:Theobroma_cacao_20110822:2:1274318:1280566:-1 gene:TCM_006221 transcript:EOX97120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGMRISEEEHKLHLIQLLLGQQNRSTRVEKKLDVDNAKEVITPMTTSVESQLKDSTVALDQAMTFAGAAGISLEFVATKEPIVKARPAAGVMTVKSGCYAEIARENSSHCRCSTSIIRIQAFINNTVLKFKKLLARPRMLRRRSESSFFSIFALLPMLSVTTTHLAVEWIPQQLQACHGFVQIGNVSTGGVGDSRGIVSSISCWKGLNFADQRIGSRVGSD >EOY00816 pep chromosome:Theobroma_cacao_20110822:2:35394459:35396074:-1 gene:TCM_010752 transcript:EOY00816 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding HORMA family protein MASRTAAKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFVKVKKYGLPMLLTEDEGVKSFITNLTAQLSEWLEAGKLQRVVLVIMSKATNEVLERWNFSIETDSEVVEKGVSREKSDKEIMREIQAIMRQIASSITYLPCLDEPCVFDVLAYTDKDVAVPFTWIESDPKLIANPQMVKLHSFDTKIHKVDTLVSYKNDDWDEQ >EOY00770 pep chromosome:Theobroma_cacao_20110822:2:34983566:34987050:-1 gene:TCM_010690 transcript:EOY00770 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein MGLLTINCGKLVGYYGAKELSPLKCISGTKFSVRAFASRKSMKKLRREGQLRKSVTLRTKETLPDDSSVPADTSPSKDDNVNDGNSLYSFDNSAPQNSISMPSRSNVLQACTITSGLIAALGLIIRQVSHAGSMEGLPILDCSMEVSFGFQMWHLELITALVILISSFRYVLLKTLPNFAESSEAANQQVLSSLQPYDYLVVAFLPGMSEELLFRGALLPIFGFDWKSVIVVATLFGVLHLGNGRKYSFAVWATFVGIVYGYATIISSSLIVPMASHALNNLVGGVFWRYTSKSVE >EOX99040 pep chromosome:Theobroma_cacao_20110822:2:8243245:8251332:-1 gene:TCM_007666 transcript:EOX99040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine methyltransferase 6 MFGSTGYSNGYHQNQQQQSSGEKRGGGRGRRGGRRSRDPHGFRVSERQHHHNNNNNNQQEQNSPPPCTDFDMAYFHSYAHVGIHEEMIKDRVRTETYRAAIMQHQSFIEGKVVMDVGCGTGILSIFCAQAGAKRVYAVDASDIAVQANEVVKANNLAEKVIVLHGRVEDVEIDEEVDVIISEWMGYMLLYESMLGSVITARDRWLKRGGLILPSIATLYMVPITHPDRYNDSIEFWRNVYGIDMSAMLQLAKQCAFEEPCVETITGENVLTWPHVVKHVDCYTVQLHELESVSTRYKFESMMRAPLHGFAFWFDVEFSELENSPTNNHAPSSLFGSLNNSPVDGSQKKKRANPNEVLRLSTAPEDPPTHWQQTMIYFYDPIEVEQDQIIEGSLTLSQSKENRRFMNIHLEYSSGGRSYVKESVMR >EOX96786 pep chromosome:Theobroma_cacao_20110822:2:22551:26818:-1 gene:TCM_005955 transcript:EOX96786 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase family protein isoform 1 MKKMKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTSIKIDPYLNSDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVKLTRDNNITTGKIYQFVLDKERRGDYLGKTVQVVPQVTDAIQEWIERVAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGSGNFCLIHVSLVPVINVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTMALDENVKEKLSQFCHVSAEDIITLYDVPNIWHIPLLLRDQKAHEAIFKVLNLLGTAKEPSLKEWTTRAEICDMLHEPVRIAIVGKYTGLSDSYLSVLKALLHASVACRKKLVVDWVPASDLEDMTEIENLDAYKAAWKLLKSADGVLVPGGFGDRGVEGKILAAKYARENGVPFLGICLGMQIAVIEFARSVLGLKDANSTEFDPNTRNPCIIFMPEGSKTHMGGTMRLGSRRTYFQVMDCKSVKLYGRSFIDERHRHRYEVSGI >EOX96787 pep chromosome:Theobroma_cacao_20110822:2:21669:27386:-1 gene:TCM_005955 transcript:EOX96787 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase family protein isoform 1 MKKMKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTSIKIDPYLNSDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVKLTRDNNITTGKIYQFVLDKERRGDYLGKTVQVVPQVTDAIQEWIERVAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGSGNFCLIHVSLVPVINVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTMALDENVKEKLSQFCHVSAEDIITLYDVPNIWHIPLLLRDQKAHEAIFKVLNLLGTAKEPSLKEWTTRAEICDMLHEPVRIAIVGKYTGLSDSYLSVLKALLHASVACRKKLVVDWVPASDLEDMTEIENLDAYKAAWKLLKSADGVLVPGGFGDRGVEGKILAAKYARENGVPFLGICLGMQIAVIEFARSVLGLKDANSTEFDPNTRNPCIIFMPEGSKTHMGGTMRLGSRRTYFQVMDCKSVKLYGRSFIDERHRHRYEVSKS >EOX96785 pep chromosome:Theobroma_cacao_20110822:2:21399:27381:-1 gene:TCM_005955 transcript:EOX96785 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase family protein isoform 1 MKKMKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTSIKIDPYLNSDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVKLTRDNNITTGKIYQFVLDKERRGDYLGKTVQVVPQVTDAIQEWIERVAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGSGNFCLIHVSLVPVINVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTMALDENVKEKLSQFCHVSAEDIITLYDVPNIWHIPLLLRDQKAHEAIFKVLNLLGTAKEPSLKEWTTRAEICDMLHEPVRIAIVGKYTGLSDSYLSVLKALLHASVACRKKLVVDWVPASDLEDMTEIENLDAYKAAWKLLKSADGVLVPGGFGDRGVEGKILAAKYARENGVPFLGICLGMQIAVIEFARSVLGLKDANSTEFDPNTRNPCIIFMPEGSKTHMGGTMRLGSRRTYFQVMDCKSVKLYGRSFIDERHRHRYEVNPDMVTGLENAGLSFTGKDETGRRMEIIELPDHPYYIGVQFHPEFKSRPGKPSALFLGLIAAACGQLDALLQGFESQEVNCLPNKKVYQNGYATRPANIITDAMYSYCNGVHS >EOX96784 pep chromosome:Theobroma_cacao_20110822:2:21399:27386:-1 gene:TCM_005955 transcript:EOX96784 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase family protein isoform 1 MKKMKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTSIKIDPYLNSDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVKLTRDNNITTGKIYQFVLDKERRGDYLGKTVQVVPQVTDAIQEWIERVAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGSGNFCLIHVSLVPVINVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTMALDENVKEKLSQFCHVSAEDIITLYDVPNIWHIPLLLRDQKAHEAIFKVLNLLGTAKEPSLKEWTTRAEICDMLHEPVRIAIVGKYTGLSDSYLSVLKALLHASVACRKKLVVDWVPASDLEDMTEIENLDAYKAAWKLLKSADGVLVPGGFGDRGVEGKILAAKYARENGVPFLGICLGMQIAVIEFARSVLGLKDANSTEFDPNTRNPCIIFMPEGSKTHMGGTMRLGSRRTYFQVMDCKSVKLYGRSFIDERHRHRYEVNPDMVTGLENAGLSFTGKDETGRRMEIIELPDHPYYIGVQFHPEFKSRPGKPSALFLGLIAAACGQLDALLQGFESQEVNCLPNKKVYQNGYATRPANIITDAMYSYCNGVHS >EOY01011 pep chromosome:Theobroma_cacao_20110822:2:36640737:36642195:1 gene:TCM_010924 transcript:EOY01011 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLP-like protein 28, putative MTWQIMTGSYYRVSPNQGQTMSSSLTGKLEAYVEIKASAEMFMDMLCNRPHHVSNACSDKVQACDLHDGDWGKEGSIICWSYVHDGEAKIGKEIIESIDPKNNSITFRLIEGDILKEYKSFVVKVQATPSPKGEGCVAHWVTEYEKLNEDVAHPETLLELLAGICKDMDTHLTQA >EOX99383 pep chromosome:Theobroma_cacao_20110822:2:10914200:10917398:1 gene:TCM_008067 transcript:EOX99383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protochlorophyllide oxidoreductase C, C,PORC MFGQVRRSNLIRPCRGTKIAGKSTWHIFSFTNQISSFMALQAASLLPATITIHKEAKSSASLKETSVFGVPFSTHLKTELRSPLAIGQELRKKEVPAGIIRAQTAATTPAVDQAASQGKKTLRKGTVIITGASSGLGLATAKSLAETGRWHVIMACRNFLKAEKAAKSVGIAKENYAIMHLDLASLDSVRQFADNFRRSGRPLDVLVCNAAVYLPTAKEPTYTAEGFELSVGTNHLGHFLLARLLLDDLKQSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLVGGLNGLESSPMIDGGDFDGAKAYKDSKVCNMLTMQELHRRYHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITRGYVSEEEAGNRLAQVVSDPSLTKSGVYWSWNKNSDSFENQLSKEASDAEKAQKLWEISEKLVGLA >EOX96904 pep chromosome:Theobroma_cacao_20110822:2:456041:460585:1 gene:TCM_006046 transcript:EOX96904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSTSGQGIPDTFPPRTTTSRPPPGSGGGSTSNTDVKDAVPPRTSTAAHARWCCFMSEREERIKREPVDISREQKVCNRIEERKKKKEIREGNRPKRAWRIKNRGYCGGEFVKKILLWVCNQAADIFAKEGLTRDNDLLEIAEGPLEGDASERRVQL >EOY00772 pep chromosome:Theobroma_cacao_20110822:2:34987800:34994744:-1 gene:TCM_010691 transcript:EOY00772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein DDB_G0290503, putative isoform 2 MKDQEIEGLKAKFMSSIAEAEKGVYVEKNQQCEVALERILAALGSVVDQGELFGDSGGEQIDLVEKSTLALIEKYNQFLFEVNQLRQCLTKAESDFGVQEFSTVFVAARDELFEFRRKEAELVAKIGFLEDENRKLLEQVESEKGTVEMLNSELGKAKTEAEQEKMRCAHTKEKLSMAVTKGKALVQQRDSLKQSLADKTSELQKCLVELQEKSSALEAAELQKEELVKSENLVASLQESLLQKTLVLETFEHILSQVDVPEELQSVDNVGRARWLVNERNELKGVSLDFYRLKDTICAIDLPENVSFTDLDSRLGWLKESFYRAKDDINMLQNEIATTKEAARDEIDHLSASLSTIQQEKDYIKEELDQLGIKYEEIVGKMHQISLDKDHLSASLAGELTEKDYIQMELDDLTSKHEKVVEKVHQLSSEKDQMLRMLVECSGIMMDDQEGIEETSSSLPILIDRCFVKIKEQTSASSDTPFVDAELFENLRSLLYIRNLELMLCEEILEEDSLVRSQLNDLSNQFTVASQELFVLKEEKDVLQKDLERSEEKSGLLREKLSMAVKKGKGLVQDRENLKLLLEEKNSEIENLRLELQQQESTVAECRDQISTLSNDLERIPKLETDLAAMKEQRDQFEKFLFESNNILQRVSESIDRIVIPVDSAFEEPIAKLNWLAGYIDDCQTAKTQTEQELREVKEESSTLSVKLAEAQAIIKSLEDALAVANNDLSQLAEEKRELEFGKKNIEVELQKANEEAHSQTNKFAETSDARKSLEEALSLAENKISLLISEKEEAQGSKAASEMEVEKVREEVAIQMCRLTEAYNTIKSLENALSQAEMNVASLTEQSNNSQVEITNLENELKQLKDETETLASKLADAGTTIKSLEDALVKAEKDFSALQGEKITADQEISTLNSKLNACMEELAGTSGNFASRSIELIGHINNLQMLIADQSLLSTIKQCFDRNLERLKYMDLTIKNTRDHLVDKDLELLQGQPLMEDIAHLARRFSIDIDNTVNIEMENDEANAVNANDVSSCFRRAAEGFQLRTKILADSFEGFSTLLDESIAALSKKLQAAKDEVKIMVENMESLKQNVKNLEMREQEKEKAIAMLQNDFAILFSACTDATRDLQFEVKNNLIEFSSLPGLEKLNHVLHPEVEEFVGDDMAQTEVAGNKYAKTAEKLLTATRKVQSLAKLFETTSTAVATIIHNLQKELEDTRSTSEKAIEEKDIYQSRVFKLESDVEALEDSCREVKLKLEDYQAKEDRWKEKEAELLSLNLSLLMKEKEAEEPLLSASQLRTLLDKLSGIETPLVESKDLEPHTSADVKKLFSVIDNFTDLQNQINLLSYEKEELQSTLSRQIFEIEHLKEEIGKNVRNKPDLEEMKTEFSEVTYGLEKIIAVLGGKEFTGGQNSVGMKALLPVLEKQVNTLLSEAENSKSKAQELGIKLLGSQMIVDELSTKVKLLEDSLESRTVQPEIVQERSIFEAPSAPTGSETSEIEDAVSRGKSTISPVQSAAHVRTMRKGSTDHLSVNIDLESDRLINNEETDEDKGHLFKSLNTSGLIPTQGKLIADRVDGIWVSGGRALSSRPRARLGLIAYCLLLHIWLVGTIL >EOY00773 pep chromosome:Theobroma_cacao_20110822:2:34987260:34995720:-1 gene:TCM_010691 transcript:EOY00773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein DDB_G0290503, putative isoform 2 MKDQEIEGLKAKFMSSIAEAEKGVYVEKNQQCEVALERILAALGSVVDQGELFGDSGGEQIDLVEKSTLALIEKYNQFLFEVNQLRQCLTKAESDFGVQEFSTVFVAARDELFEFRRKEAELVAKIGFLEDENRKLLEQVESEKGTVEMLNSELGKAKTEAEQEKMRCAHTKEKLSMAVTKGKALVQQRDSLKQSLADKTSELQKCLVELQEKSSALEAAELQKEELVKSENLVASLQESLLQKTLVLETFEHILSQVDVPEELQSVDNVGRARWLVNERNELKGVSLDFYRLKDTICAIDLPENVSFTDLDSRLGWLKESFYRAKDDINMLQNEIATTKEAARDEIDHLSASLSTIQQEKDYIKEELDQLGIKYEEIVGKMHQISLDKDHLSASLAGELTEKDYIQMELDDLTSKHEKVVEKVHQLSSEKDQMLRMLVECSGIMMDDQEGIEETSSSLPILIDRCFVKIKEQTSASSDTPFVDAELFENLRSLLYIRNLELMLCEEILEEDSLVRSQLNDLSNQFTVASQELFVLKEEKDVLQKDLERSEEKSGLLREKLSMAVKKGKGLVQDRENLKLLLEEKNSEIENLRLELQQQESTVAECRDQISTLSNDLERIPKLETDLAAMKEQRDQFEKFLFESNNILQRVSESIDRIVIPVDSAFEEPIAKLNWLAGYIDDCQTAKTQTEQELREVKEESSTLSVKLAEAQAIIKSLEDALAVANNDLSQLAEEKRELEFGKKNIEVELQKANEEAHSQTNKFAETSDARKSLEEALSLAENKISLLISEKEEAQGSKAASEMEVEKVREEVAIQMCRLTEAYNTIKSLENALSQAEMNVASLTEQSNNSQVEITNLENELKQLKDETETLASKLADAGTTIKSLEDALVKAEKDFSALQGEKITADQEISTLNSKLNACMEELAGTSGNFASRSIELIGHINNLQMLIADQSLLSTIKQCFDRNLERLKYMDLTIKNTRDHLVDKDLELLQGQPLMEDIAHLARRFSIDIDNTVNIEMENDEANAVNANDVSSCFRRAAEGFQLRTKILADSFEGFSTLLDESIAALSKKLQAAKDEVKIMVENMESLKQNVKNLEMREQEKEKAIAMLQNDFAILFSACTDATRDLQFEVKNNLIEFSSLPGLEKLNHVLHPEVEEFVGDDMAQTEVAGNKYAKTAEKLLTATRKVQSLAKLFETTSTAVATIIHNLQKELEDTRSTSEKAIEEKDIYQSRVFKLESDVEALEDSCREVKLKLEDYQAKEDRWKEKEAELLSLNLSLLMKEKEAEEPLLSASQLRTLLDKLSGIETPLVESKDLEPHTSADVKKLFSVIDNFTDLQNQINLLSYEKEELQSTLSRQIFEIEHLKEEIGKNVRNKPDLEEMKTEFSEVTYGLEKIIAVLGGKEFTGGQNSVGMKALLPVLEKQVNTLLSEAENSKSKAQELGIKLLGSQMIVDELSTKVKLLEDSLESRTVQPEIVQERSIFEAPSAPTGSETSEIEDAVSRGKSTISPVQSAAHVRTMRKGSTDHLSVNIDLESDRLINNEETDEDKGHLFKSLNTSGLIPTQGKLIADRVDGIWVSGGRALSSRPRARLGLIAYCLLLHIWLVGTIL >EOY00771 pep chromosome:Theobroma_cacao_20110822:2:34987274:34995980:-1 gene:TCM_010691 transcript:EOY00771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein DDB_G0290503, putative isoform 2 MSENHDSENSGAVENGAIYPQSSTNQEAESIELSHVDSKEDMFMDASDELNNDNREAGTPTARGNEGVIEEQNPAARQFDDMDNIAHNSGDNDNNHFVSELERMRALLEQAVDEKEKFEIRYKEEREALEKEIYMKDQEIEGLKAKFMSSIAEAEKGVYVEKNQQCEVALERILAALGSVVDQGELFGDSGGEQIDLVEKSTLALIEKYNQFLFEVNQLRQCLTKAESDFGVQEFSTVFVAARDELFEFRRKEAELVAKIGFLEDENRKLLEQVESEKGTVEMLNSELGKAKTEAEQEKMRCAHTKEKLSMAVTKGKALVQQRDSLKQSLADKTSELQKCLVELQEKSSALEAAELQKEELVKSENLVASLQESLLQKTLVLETFEHILSQVDVPEELQSVDNVGRARWLVNERNELKGVSLDFYRLKDTICAIDLPENVSFTDLDSRLGWLKESFYRAKDDINMLQNEIATTKEAARDEIDHLSASLSTIQQEKDYIKEELDQLGIKYEEIVGKMHQISLDKDHLSASLAGELTEKDYIQMELDDLTSKHEKVVEKVHQLSSEKDQMLRMLVECSGIMMDDQEGIEETSSSLPILIDRCFVKIKEQTSASSDTPFVDAELFENLRSLLYIRNLELMLCEEILEEDSLVRSQLNDLSNQFTVASQELFVLKEEKDVLQKDLERSEEKSGLLREKLSMAVKKGKGLVQDRENLKLLLEEKNSEIENLRLELQQQESTVAECRDQISTLSNDLERIPKLETDLAAMKEQRDQFEKFLFESNNILQRVSESIDRIVIPVDSAFEEPIAKLNWLAGYIDDCQTAKTQTEQELREVKEESSTLSVKLAEAQAIIKSLEDALAVANNDLSQLAEEKRELEFGKKNIEFAETSEARKSLEEALSLAENKISLLISEKEEAQGSKAASEMEVEKVREEVAIQMCRLTEAYNTIKSLENALSQAEMNVASLTEQSNNSQVEITNLENELKQLKDETETLASKLADAGTTIKSLEDALVKAEKDFSALQGEKITADQEISTLNSKLNACMEELAGTSGNFASRSIELIGHINNLQMLIADQSLLSTIKQCFDRNLERLKYMDLTIKNTRDHLVDKDLELLQGQPLMEDIAHLARRFSIDIDNTVNIEMENDEANAVNANDVSSCFRRAAEGFQLRTKILADSFEGFSTLLDESIAALSKKLQAAKDEVKIMVENMESLKQNVKNLEMREQEKEKAIAMLQNDFAILFSACTDATRDLQFEVKNNLIEFSSLPGLEKLNHVLHPEVEEFVGDDMAQTEVAGNKYAKTAEKLLTATRKVQSLAKLFETTSTAVATIIHNLQKELEDTRSTSEKAIEEKDIYQSRVFKLESDVEALEDSCREVKLKLEDYQAKEDRWKEKEAELLSLNLSLLMKEKEAEEPLLSASQLRTLLDKLSGIETPLVESKDLEPHTSADVKKLFSVIDNFTDLQNQINLLSYEKEELQSTLSRQIFEIEHLKEEIGKNVRNKPDLEEMKTEFSEVTYGLEKIIAVLGGKEFTGGQNSVGMKALLPVLEKQVNTLLSEAENSKSKAQELGIKLLGSQMIVDELSTKVKLLEDSLESRTVQPEIVQERSIFEAPSAPTGSETSEIEDAVSRGKSTISPVQSAAHVRTMRKGSTDHLSVNIDLESDRLINNEETDEDKGHLFKSLNTSGLIPTQGKLIADRVDGIWVSGGRALSSRPRARLGLIAYCLLLHIWLVGTIL >EOX98215 pep chromosome:Theobroma_cacao_20110822:2:4929585:4938636:1 gene:TCM_007031 transcript:EOX98215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presequence protease 2 isoform 5 MERTALLRSLSCSSLACNKFLFSAPKHSRSFLSKSSTVSAAGRYHRRLIPNRSLIRRNNWRSLSVASSHSSLRFTYSNKNFSSLSPRAVASPTQPSPDIAGVEDEVAEKLGFEKVSEEFIGECKSKAVLFKHKKTGAEVMSVSNDDENKVFGIVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNAKDFYNLVDVYLDAVFFPKCIEDFQTFQQEGWHYELNDTSEDITYKGVVFNEMKGVYSQPDNLLGRTAQQALFPDNTYGVDSGGDPQVIPKLTYEEFKEFHRKYYHPSNARIWFYGDDDPIERLRILSEYLDMFDASTAPDESKVEPQKLFSEPVRFVEKYPVGEGGDLKKKHMVCLNWLLSDKPLDLQTELTLGFLDHLMLGTPASPLRKVLLESGLGDAIIGGGVEDELLQPQFSIGLKGVSEDDIPKVEELIMSSLKKLAEEGFDTDAVEASMNTIEFSLRENNTGSFPRGLSLMLRSIGKWIYDMDPFEPLKYEKPLMILKARIAEEGSKAVFSPLIEKFILNNPHCVTIEMQPDPEKASRDEAAEKEILNKVKASMTEEDLAELARATQELKLKQETPDPPEALRSVPSLSLHDIPKEPIRVPTEVGDINGVKVLQHDLFTNDVLYTDVVFDMSSLKRELLPLVPLFCQSLLEMGTKDLSFVQLNQLIGRKTGGISVYPFTSSIQGKEDPCSHIIVRGKSMAGCADDLFNLINCVIQEVQFTDQQRFKQFVSQSKARMESRLRGSGHGIAAARMDAKLNVSGWISEQMGGVSYLEFLQGLEERVDNDWAGISSSLEEIRKSLLSREGCLINMTADGKNLSNTEKLVSKFLDLLPSNSVVERASWSARLPSNNEAIVIPTQVNYVGKAANLYDGGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCNFDTHSGVFTFLSYRDPNLLETLDIYDGTGDFLRELEMDDDTLTKAIIGTVGDVDAYQLPDAKGYSRFLIIYQDPKPDSELGTIFIGDH >EOX98219 pep chromosome:Theobroma_cacao_20110822:2:4929585:4938636:1 gene:TCM_007031 transcript:EOX98219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presequence protease 2 isoform 5 MERTALLRSLSCSSLACNKFLFSAPKHSRSFLSKSSTVSAAGRYHRRLIPNRSLIRRNNWRSLSVASSHSSLRFTYSNKNFSSLSPRAVASPTQPSPDIAGVEDEVAEKLGFEKVSEEFIGECKSKAVLFKHKKTGAEVMSVSNDDENKVFGIVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNAKDFYNLVDVYLDAVFFPKCIEDFQTFQQEGWHYELNDTSEDITYKGVVFNEMKGVYSQPDNLLGRTAQQALFPDNTYGVDSGGDPQVIPKLTYEEFKEFHRKYYHPSNARIWFYGDDDPIERLRILSEYLDMFDASTAPDESKVEPQKLFSEPVRFVEKYPVGEGGDLKKKHMVCLNWLLSDKPLDLQTELTLGFLDHLMLGTPASPLRKVLLESGLGDAIIGGGVEDELLQPQFSIGLKGVSEDDIPKVEELIMSSLKKLAEEGFDTDAVEASMNTIEFSLRENNTGSFPRGLSLMLRSIGKWIYDMDPFEPLKYEKPLMILKARIAEEGSKAVFSPLIEKFILNNPHCVTIEMQPDPEKASRDEAAEKEILNKVKASMTEEDLAELARATQELKLKQETPDPPEALRSVPSLSLHDIPKEPIRVPTEVGDINGVKVLQHDLFTNDVLYTDVVFDMSSLKRELLPLVPLFCQSLLEMGTKDLSFVQLNQLIGRKTGGISVYPFTSSIQGKEDPCSHIIVRGKSMAGCADDLFNLINCVIQEVQFTDQQRFKQFVSQSKARMESRLRGSGHGIAAARMDAKLNVSGWISEQMGGVSYLEFLQGLEERVDNDWAGISSSLEEIRKSLLSREGCLINMTADGKNLSNTEKLVSKFLDLLPSNSVVERASWSARLPSNNEAIVIPTQVNYVGKAANLYDGGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCNFDTHSGAIGAIFAGKHLLFPLL >EOX98217 pep chromosome:Theobroma_cacao_20110822:2:4929585:4938655:1 gene:TCM_007031 transcript:EOX98217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presequence protease 2 isoform 5 MERTALLRSLSCSSLACNKFLFSAPKHSRSFLSKSSTVSAAGRYHRRLIPNRSLIRRNNWRSLSVASSHSSLRFTYSNKNFSSLSPRAVASPTQPSPDIAGVEDEVAEKLGFEKVSEEFIGECKSKAVLFKHKKTGAEVMSVSNDDENKVFGIVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNAKDFYNLVDVYLDAVFFPKCIEDFQTFQQEGWHYELNDTSEDITYKGVVFNEMKGVYSQPDNLLGRTAQQALFPDNTYGVDSGGDPQVIPKLTYEEFKEFHRKYYHPSNARIWFYGDDDPIERLRILSEYLDMFDASTAPDESKVEPQKLFSEPVRFVEKYPVGEGGDLKKKHMVCLNWLLSDKPLDLQTELTLGFLDHLMLGTPASPLRKVLLESGLGDAIIGGGVEDELLQPQFSIGLKGVSEDDIPKVEELIMSSLKKLAEEGFDTDAVEASMNTIEFSLRENNTGSFPRGLSLMLRSIGKWIYDMDPFEPLKYEKPLMILKARIAEEGSKAVFSPLIEKFILNNPHCVTIEMQPDPEKASRDEAAEKEILNKVKASMTEEDLAELARATQELKLKQETPDPPEALRSVPSLSLHDIPKEPIRVPTEVGDINGVKVLQHDLFTNDVLYTDVVFDMSSLKRELLPLVPLFCQSLLEMGTKDLSFVQLNQLIGRKTGGISVYPFTSSIQGKEDPCSHIIVRGKSMAGCADDLFNLINCVIQEVQFTDQQRFKQFVSQSKARMESRLRGSGHGIAAARMDAKLNVSGWISEQMGGVSYLEFLQGLEERVDNDWAGISSSLEEIRKSLLSREGCLINMTADGKNLSNTEKLVSKFLDLLPSNSVVERASWSARLPSNNEAIVIPTQVNYVGKAANLYDGGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCNFDTHSGVFTFLSYRDPNLLETLDIYDGTGDFLRELEMDDDTLTKAIIGTVGDVDAYQLPDAKGYSRYGESLCIFPFPYVLFTLFGRFFDFFP >EOX98218 pep chromosome:Theobroma_cacao_20110822:2:4929721:4938635:1 gene:TCM_007031 transcript:EOX98218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presequence protease 2 isoform 5 MERTALLRSLSCSSLACNKFLFSAPKHSRSFLSKSSTVSAAGRYHRRLIPNRSLIRRNNWRSLSVASSHSSLRFTYSNKNFSSLSPRAVASPTQPSPDIAGVEDEVAEKLGFEKVSEEFIGECKSKAVLFKHKKTGAEVMSVSNDDENKVFGIVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNAKDFYNLVDVYLDAVFFPKCIEDFQTFQQEGWHYELNDTSEDITYKGVVFNEMKGVYSQPDNLLGRTAQQALFPDNTYGVDSGGDPQVIPKLTYEEFKEFHRKYYHPSNARIWFYGDDDPIERLRILSEYLDMFDASTAPDESKVEPQKLFSEPVRFVEKYPVGEGGDLKKKHMVCLNWLLSDKPLDLQTELTLGFLDHLMLGTPASPLRKVLLESGLGDAIIGGGVEDELLQPQFSIGLKGVSEDDIPKVEELIMSSLKKLAEEGFDTDAVEASMNTIEFSLRENNTGSFPRGLSLMLRSIGKWIYDMDPFEPLKYEKPLMILKARIAEEGSKAVFSPLIEKFILNNPHCVTIEMQPDPEKASRDEAAEKEILNKVKASMTEEDLAELARATQELKLKQETPDPPEALRSVPSLSLHDIPKEPIRVPTEVGDINGVKVLQHDLFTNDVLYTDVVFDMSSLKRELLPLVPLFCQSLLEMGTKDLSFVQLNQLIGRKTGGISVYPFTSSIQGKEDPCSHIIVRGKSMAGCADDLFNLINCVIQEVQFTDQQRFKQFVSQSKARMESRLRGSGHGIAAARMDAKLNVSGWISEQMGGVRVTWNSYKGWRREWIMIGQEFHHLLRRSVNPYFPGKVA >EOX98216 pep chromosome:Theobroma_cacao_20110822:2:4929721:4938635:1 gene:TCM_007031 transcript:EOX98216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presequence protease 2 isoform 5 MERTALLRSLSCSSLACNKFLFSAPKHSRSFLSKSSTVSAAGRYHRRLIPNRSLIRRNNWRSLSVASSHSSLRFTYSNKNFSSLSPRAVASPTQPSPDIAGVEDEVAEKLGFEKVSEEFIGECKSKAVLFKHKKTGAEVMSVSNDDENKVFGIVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNAKDFYNLVDVYLDAVFFPKCIEDFQTFQQEGWHYELNDTSEDITYKGVVFNEMKGVYSQPDNLLGRTAQQALFPDNTYGVDSGGDPQVIPKLTYEEFKEFHRKYYHPSNARIWFYGDDDPIERLRILSEYLDMFDASTAPDESKVEPQKLFSEPVRFVEKYPVGEGGDLKKKHMVCLNWLLSDKPLDLQTELTLGFLDHLMLGTPASPLRKVLLESGLGDAIIGGGVEDELLQPQFSIGLKGVSEDDIPKVEELIMSSLKKLAEEGFDTDAVEASMNTIEFSLRENNTGSFPRGLSLMLRSIGKWIYDMDPFEPLKYEKPLMILKARIAEEGSKAVFSPLIEKFILNNPHCVTIEMQPDPEKASRDEAAEKEILNKVKASMTEEDLAELARATQELKLKQETPDPPEALRSVPSLSLHDIPKEPIRVPTEVGDINGVKVLQHDLFTNDVLYTDVVFDMSSLKRELLPLVPLFCQSLLEMGTKDLSFVQLNQLIGRKTGGISVYPFTSSIQGKEDPCSHIIVRGKSMAGCADDLFNLINCVIQEVQFTDQQRFKQFVSQSKARMESRLRGSGHGIAAARMDAKLNVSGWISEQMGGVSYLEFLQGLEERVDNDWAGISSSLEEIRKSLLSREGCLINMTADGKNLSNTEKLVSKFLDLLPSNSVVERASWSARLPSNNEAIVIPTQVNYVGKAANLYDGGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCNFDTHSGVFTFLSYRDPNLLETLDIYDGTGDFLRELEMDDDTLTKAIIGTVGDVDAYQLPDAKGYSSLVRYLLGITEEERQRRREEILSTRV >EOX96818 pep chromosome:Theobroma_cacao_20110822:2:136614:137644:1 gene:TCM_005975 transcript:EOX96818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAGDVTSSYVTCMTLFFFLLIMVHSSTMGMADSTRERTSNFPHANSSRERDGTSHYCTEFSTRRPAGRRMMEANYDNRSKSTDANHFSPPARRRRRWPSPWREKIFNASAHEVPSGPNPISNR >EOX97849 pep chromosome:Theobroma_cacao_20110822:2:3671139:3674713:1 gene:TCM_006773 transcript:EOX97849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase MPALACCVDAAVAPPGYAAFIAGDSSLPAAVPCSSSITTTTVTAAAAPNSNSTHWSPAHSSALYRIDGWGAPYFSVNNSGNITVRPYGTDTLAHQEIDLLKIVKKVSDPKSVGGLGLQLPLIVRLPDVLKDRLESLQSAFELAIQAQGYESHYQGVYPVKCNQDRFVVEDIVRFGAPFRFGLEAGSKPELLLAMSCLCKGNPEALLVCNGFKDAEYISLALLARKLALNTVIVLEQEEEVNMVIDISKKLSVRPVIGVRAKLRTKHSGHFGSTSGEKGKFGLTTTQVLRVVKKLQDSGMLDCLQLLHFHIGSQIPSTALLQDGVGEAAQIYSELVRLGAGMKVLDIGGGLGIDYDGSKSGNSDLSVSYGLQEYASAVVNAIRFVCDRKSVKHPIICSESGRAIVSHHSILIFEALSATAPTTPAMNHINIPFIMEGLSEDARADYWNLRDAAMRHENEACLLYADQLKQRCVEQFKEGTLGIEQLAAVDGLCDFVSKVIGASEPVRTYHVNLSIFTSIPDFWSIGQIFPIVPIHRLDERPEVRGTLSDLTCDSDGKIDKFIGGETSLPLHELEGNGGGSSGGANGRYYLGMFLGGAYEEALGGVHNLFGGPSVVRVLQSDGPHSFAVTRAVPGPSCGDVLRVMQHEPELMFETLKHRAEEFFGQDHANNGVNAALANSLARSFHNMPYLAKVSSCSLTAMNNNGFYYCNEEDYNAAVESGASEDEQWSYCCA >EOY00983 pep chromosome:Theobroma_cacao_20110822:2:36289421:36290688:1 gene:TCM_010884 transcript:EOY00983 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like family protein MAKRLIPTFSRVLVEKILPPSKTTAGILLPEKSTKLNSGRVVAVGPGTRDREGKTIPVPFKEGDTVLLPEFGGSQVKLGEKEFHLYRDEDILGTLHE >EOY01383 pep chromosome:Theobroma_cacao_20110822:2:39226625:39229884:1 gene:TCM_011291 transcript:EOY01383 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative MSNNTGKVLESYPMNGGDGAYSYTKNSYLQRAATSITDAKIHEAITEKLDIGKLSSTSNTLRIADLGCSVGPNTFIAMQNVLEAMQHKYRTQDPSSKFPAFQVLYNDHASNDFNTLFASLPSERQYFAAGVPGSFYNRLFPKSSLHFVHSSYALQWLAKVPEEVLDKNSPAWNKGRIHYTNAAEDVGNAYAAQFAKDMGIFLDARAKELVAGGMMVLILPSIPDGIPNSRVPAGVMFDLLGSSLMDMAKEEIISESLVDSFNLPVYAASLKEMKDIIERNGCFSIEKIETTNPLSKIDIQLGTRPCTMHLRAGMEGIISKHFGNKIIDELFDRLDRKAEEYSYLLNASYTAGTQLFIVLTRK >EOX99336 pep chromosome:Theobroma_cacao_20110822:2:10190978:10196584:-1 gene:TCM_007967 transcript:EOX99336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 1 MMRTLQAVYHPRNQYVLHLDLEAPPRERLELTNMVKGDPTFREVENVRVMAQSNLVTYKGPTMIACTLQAIAILLKESLEWDWFLNLSASDYPLVTQDDLLHVFSNLSRNLNFIEHMQITGWKLNQRAKPIIVDPGLYLSKKSDIAWTTQRRSLPTSFKLYTGSAWVALTRTFVEYCIWGWDNLPRTILMYYTNFVSSPEGYFHTVICNTDEFRSTAISHDLHYIAWDSPPKQHPISLSMKDFDKMVKSKAPFARKFHKNDPVLDKIDKELLGRTGRFAPGAWCVGSSEGGADPCYVRGDDSVFRPGPGAERLQELLKTLLSEDFRKKQCS >EOX99335 pep chromosome:Theobroma_cacao_20110822:2:10191823:10196247:-1 gene:TCM_007967 transcript:EOX99335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 1 MRKLYRKLVLMRNPLTSVRKNVNSHSGRVFSDRKWIIPFLASLLVSITLFLSAIFGLFNAPYGGDQLPFDIISFARAEDSSGYFVESDLKKSFNTSGYSNVEAPRLAYLISGTKGDSHRMMRTLQAVYHPRNQYVLHLDLEAPPRERLELTNMVKGDPTFREVENVRVMAQSNLVTYKGPTMIACTLQAIAILLKESLEWDWFLNLSASDYPLVTQDDLLHVFSNLSRNLNFIEHMQITGWKLNQRAKPIIVDPGLYLSKKSDIAWTTQRRSLPTSFKLYTGSAWVALTRTFVEYCIWGWDNLPRTILMYYTNFVSSPEGYFHTVICNTDEFRSTAISHDLHYIAWDSPPKQHPISLSMKDFDKMVKSKAPFARKFHKNDPVLDKIDKELLGRTGRFAPGAWCVGSSEGGADPCYVRGDDSVFRPGPGAERLQELLKTLLSEDFRKKQCS >EOX99597 pep chromosome:Theobroma_cacao_20110822:2:12596899:12600985:1 gene:TCM_008316 transcript:EOX99597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome p450 51g1 MEVDDKFLNMGFLIVATLVVAKLISFLIMPRSKKRLPPVIKTWPVIGGLLRFIKGPIVMLREEYPRLGNVFTLSLFNKKITFLIGPEVSAHFFKASESDLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVNKLKGYVDQMVTEAEDYFSKWGDSGEVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMLPISVIFPYLPIPAHRRRDQARKKLAEIFANIIASRKSAGKSENDMLQCFIESKYKDGRPTTEAEVTGLLIAALFAGQHTSSITSTWTGAYFLRHKEFLSAVVEEQKQLMQKHGSKVDHDILSEMDTLYRCIKEALRLHPPLIMLLRSSHCDFSVKTRDGIEYDIPKGHIVATSPAFSNRLPYIYKDPDTYDPNRFSVGREEDKVAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELVSPFPETDWNAMVVGVKGKVLVRYKRRQLSVN >EOX99990 pep chromosome:Theobroma_cacao_20110822:2:21230695:21233506:1 gene:TCM_009188 transcript:EOX99990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARVLGCDKVGIRASGLVESKDSRVCQRSCSSSCRVLSLDCGFGGAPVAHAMSRSNDSQNALHSTSKGLLDSTTKSQWCPDPESQESGHLPKIISWHKPSIGEFKLNVDGSSINNFQNAGGGGLLRDHTGTLVFAFSENLEAKNSLQAELLALHSGLLLCQENNISRLWTEMEAMIVIQMLKEGHIGSHDSRYLWASIRQQLKLFSFKISHIHRKGNQATNWLANHGHQHHGLQVLKEAQGKLRGILTLDKSNLPYVRLK >EOX97416 pep chromosome:Theobroma_cacao_20110822:2:2213343:2217524:-1 gene:TCM_006433 transcript:EOX97416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby like protein 10 isoform 1 MSFRSIVRDVRDSFGSLSRRSFDVRLTGHHRGKSHGSLHDLHDEPLVVQNSRWANLPPELLIDVIKRLEESENTWPARKNVVACASVCRSWRVICKEIVKSPEFCGKLTFPVSLKQPGPRDGTIQCFIKRDKSKLTYHLFLCLSPALLVENGKFLLSAKRTRRTTSTEYIISMNADNISRSSSSYIGKLRSNFLGTKFIIYDTQPACTSAHVPPPGRTSRRFYSKKVSPKVPTGSYNIAQITYELNVLGTRGPRRMHCIMHSIPASALDVGGSVPGQPELLRHPLEDSFRSISFSKSLDHSVEFSSSRFSEIGVSHDDDEDGKMKPLILKNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPAPPDIDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >EOX97417 pep chromosome:Theobroma_cacao_20110822:2:2213088:2217439:-1 gene:TCM_006433 transcript:EOX97417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby like protein 10 isoform 1 MSFRSIVRDVRDSFGSLSRRSFDVRLTGHHRGKSHGSLHDLHDEPLVVQNSRWANLPPELLIDVIKRLEESENTWPARKNVVACASVCRSWRVICKEIVKSPEFCGKLTFPVSLKQPGPRDGTIQCFIKRDKSKLTYHLFLCLSPALLVENGKFLLSAKRTRRTTSTEYIISMNADNISRSSSSYIGKLRSNFLGTKFIIYDTQPACTSAHVPPPGRTSRRFYSKKVSPKVPTGSYNIAQITYELNVLGTRGPRRMHCIMHSIPASALDVGGSVPGQPELLRHPLEDSFRSISFSKSLDHSVEFSSSRFSEIGVSHDDDEDGKMKPLILKNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPAPPDIDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >EOY01830 pep chromosome:Theobroma_cacao_20110822:2:41077154:41079758:1 gene:TCM_011637 transcript:EOY01830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport v-SNARE family protein isoform 2 MSEVFEGYERQYCELSANLSRKCNSTALILDAEQKKQKFSEINSGIDEADVLIRKMDLEARSLQPGIKVTLLAKLREYKSDLNKLKKEFKRISSPNANQAAHDELLEAGMADAHAVSADQRERLSMSVERLNQSSDRIKESRRTILETEELGVSILEDLHQQRQTLLHSHNKLYEVDNAIDKSKKVLTTMSRRITKNKWIVVSIIVALVLAIILILYYKISHH >EOY01832 pep chromosome:Theobroma_cacao_20110822:2:41077650:41079692:1 gene:TCM_011637 transcript:EOY01832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport v-SNARE family protein isoform 2 MDLEARSLQPGIKVTLLAKLREYKSDLNKLKKEFKRISSPNANQAAHDELLEAGMADAHAVSADQRERLSMSVERLNQSSDRIKESRRTILETEELGVSILEDLHQQRQTLLHSHNKLYEVDNAIDKSKKVLTTMSRRITKNKWIVVSIIVALVLAIILILYYKISHH >EOY01831 pep chromosome:Theobroma_cacao_20110822:2:41077381:41079709:1 gene:TCM_011637 transcript:EOY01831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport v-SNARE family protein isoform 2 MSEVFEGYERQYCELSANLSRKCNSTALILDAAEQKKQKFSEINSGIDEADVLIRKMDLEARSLQPGIKVTLLAKLREYKSDLNKLKKEFKRISSPNANQAAHDELLEAGMADAHAVSADQRERLSMSVERLNQSSDRIKESRRTILETEELGVSILEDLHQQRQTLLHSHNKLYEVDNAIDKSKKVLTTMSRRITKNKWIVVSIIVALVLAIILILYYKISHH >EOX98857 pep chromosome:Theobroma_cacao_20110822:2:7530616:7534733:1 gene:TCM_007535 transcript:EOX98857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-14, putative MVSEKRIYWLSKAFGLGKQCKCPTVQGVGPKSGEWSRRCLAGHRRLDSKPAKPVHVTRACGKVHHHEFVVESSPYTRLCGTKEILTVNGEWWKKNVLEIPEKANLTGGGPLISDAYTIERATRLSLSMLQKRKSCLINVLYLKSKAYADAVGAGFDKTIATAFLQCQGSNYSSRSPLPNLPPYNRTQAATDFTKQFRSLTSKRHPAKVPLEVDTHLLYTISVNLLDCSTEKPCTGPFGKRFSASLNNISFITPKIDILQAYYYGIKGVFEEDFPRKPPHEFNYTGDNLPENLLTPSFGTKVLVLEYNSSVELILQGTNVLASDNHPVHLHGYSFYVVGRGFGNFNPNKDPLKYNLVDPPQETTVGVHKNRWLAIRFRVDNPGVWLLHCHTERHKSWGMSMLFLVKNGATPQSRIFRPPHDLPTCWGQQINKEH >EOY01860 pep chromosome:Theobroma_cacao_20110822:2:41201144:41208562:1 gene:TCM_011666 transcript:EOY01860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex/cyclosome 2 isoform 2 MESLTSCPDFNLGILMSLDDDTVQEILQSYDGFCAAAKSLLNGSIGHDFISHVHTLCKHGLHSLARDYFLRSLEEAFEKNGASRFWRHFEDYSKIEEDLEKIDEDEIQRVLCKALKEICLEKENQEKCLLMFVHALQSYMENLSDGKHNFDAEKVYLFSKYQLIVSSILMASLPRHFPEVLHWYFKGRLEELSTIMDGELNEENDCRDRDEMDLDEKSKHRSGEMDIDECYHQDKFPENNKLVRNIGKVVRDLRNLGFTSMTEDAYASAIFLLLKAKVHNLAGDDYRSSVLDSIKEWIQAVPLQFLNALLAYLGDSISFDQHSSGLKSPLASQPSSCCPGTNTPSEGLIRWKLRLEYFAYETLQDLRIAKLFEIIVDYPESSPAIEDLKQCLEYTGQHSKLVESFISALRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSSGNPNGSGNSGDSLLEELNRDEDNQENVGVDDDFNTDDKQAWIDAQRWEPDPVEADPSKGSRNRRKVDILGMIVGIIGSKDQLVNEYRIMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNTNIKATINKQSQIDADAELAETGISLDNLDATIISSNFWPPIQEEALIIPDPVDQLLSDYARRFHEIKTPRKLLWKKNLGTVKLELQFEDKAMQFTVAPVHAAIIMQFQDQTSWTSKNLAAATGIPVDVLYRRISFWISKGVLTESLGTDPSNHVFTLVDGMVDTSKNGGNSGNCEELLAGDEEPERSVASIEDQLRKEMTVYEKFIMGMLTNFGSMALDRIHNTLKMFCVADPPYDKSLQQLQSFLSGLVSEEKLELRDGMYFLKK >EOY01859 pep chromosome:Theobroma_cacao_20110822:2:41201144:41208562:1 gene:TCM_011666 transcript:EOY01859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex/cyclosome 2 isoform 2 MESLTSCPDFNLGILMSLDDDTVQEILQSYDGFCAAAKSLLNGSIGHDFISHVHTLCKHGLHSLARDYFLRSLEEAFEKNGASRFWRHFEDYSKIEEDLEKIDEDEIQRVLCKALKEICLEKENQEKCLLMFVHALQSYMENLSDGKHNFDAEKVYLFSKYQLIVSSILMASLPRHFPEVLHWYFKGRLEELSTIMDGELNEENDCRDRDEMDLDEKSKHRSGEMDIDECYHQDKFPENNKLVRNIGKVVRDLRNLGFTSMTEDAYASAIFLLLKAKVHNLAGDDYRSSVLDSIKEWIQAVPLQFLNALLAYLGDSISFDQHSSGLKSPLASQPSSCCPGTNTPSEGLIRWKLRLEYFAYETLQDLRIAKLFEIIVDYPESSPAIEDLKQCLEYTGQHSKLVESFISALRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSSGNPNGSGNSGDSLLEELNRDEDNQENVGVDDDFNTDDKQAWIDAQRWEPDPVEADPSKGSRNRRKVDILGMIVGIIGSKDQLVNEYRIMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNTNIKATINKQSQIDAELAETGISLDNLDATIISSNFWPPIQEEALIIPDPVDQLLSDYARRFHEIKTPRKLLWKKNLGTVKLELQFEDKAMQFTVAPVHAAIIMQFQDQTSWTSKNLAAATGIPVDVLYRRISFWISKGVLTESLGTDPSNHVFTLVDGMVDTSKNGGNSGNCEELLAGDEEPERSVASIEDQLRKEMTVYEKFIMGMLTNFGSMALDRIHNTLKMFCVADPPYDKSLQQLQSFLSGLVSEEKLELRDGMYFLKK >EOY01861 pep chromosome:Theobroma_cacao_20110822:2:41201144:41208562:1 gene:TCM_011666 transcript:EOY01861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex/cyclosome 2 isoform 2 MESLTSCPDFNLGILMSLDDDTVQEILQSYDGFCAAAKSLLNGSIGHDFISHVHTLCKHGLHSLARDYFLRSLEEAFEKNGASRFWRHFEDYSKIEEDLEKIDEDEIQRVLCKALKEICLEKENQEKCLLMFVHALQSYMENLSDGKHNFDAEKVYLFSKYQLIVSSILMASLPRHFPEVLHWYFKGRLEELSTIMDGELNEENDCRDRDEMDLDEKSKHRSGEMDIDECYHQDKFPENNKLVRNIGKVVRDLRNLGFTSMTEDAYASAIFLLLKAKVHNLAGDDYRSSVLDSIKEWIQAVPLQFLNALLAYLGDSISFDQHSSGLKSPLASQPSSCCPGTNTPSEGLIRWKLRLEYFAYETLQDLRIAKLFEIIVDYPESSPAIEDLKQCLEYTGQHSKLVESFISALRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSSGNPNGSGNSGDSLLEELNRDEDNQENVGVDDDFNTDDKQAWIDAQRWEPDPVEADPSKGSRNRRKVDILGMIVGIIGSKDQLVNEYRIMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNTNIKATINKQSQIDAELAETGISLDNLDATIISSNFWPPIQEEALIIPDPVDQLLSDYARRFHEIKTPRKLLWKKNLGTVKLELQFEDKAMQFTVAPVHAAIIMQFQDQTSWTSKNLAAATGIPVDVLYRRISFWISKGVLTESLGTDPSNHVFTLVDGMVDTSKNGGNSGNCEELLAGDEEPERSVASIEDQLRKEMTVYEKFIMGMLTNFGSMALDRIHNTLKMFCVADPPYDKSLQQLQSFLSGLVSEEKLELRDGMYFLKK >EOY01523 pep chromosome:Theobroma_cacao_20110822:2:39830472:39831517:1 gene:TCM_011388 transcript:EOY01523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKSKTFAFLMLALLVLSTAQSRLLSTSILQQSDEPQMPFSLPESSYSDDECKGLDGEECLIRRSLAAHTDYIYTQEKDEP >EOX98715 pep chromosome:Theobroma_cacao_20110822:2:6817585:6819533:-1 gene:TCM_007411 transcript:EOX98715 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative MAASAPFLSQPSQAFLTHTPPKRTSIKSLAELPGLTSIPPIYIFPTNANDQPFSDAKESIPTIDFSHLTSNNPDERSKVLQELGDACLDWGFFMVINHGVPESMMQAIIEACRGFFELTEEEKQEFEGKHMLDPISCGTSSNVSADKVLFWRDFLKVFQHPEFHSPNKPAAFSEIALEFSTRVRQVARIIVRGISESLGLEGNYIDEALNLEDGLQFLAANFYPPCPQPELALGLPPHSDHGLLTLLIQNEIMGLQVQHKGEWINVNPIPNSFLANVGDHIEILSNGKYKSVLHRAVVNNKDTRISIAMPHGPALNAVVAPASKLLDHENNPPAYKAMKYKDYLELQQSSKLDGKSCLERIQDRTI >EOY02140 pep chromosome:Theobroma_cacao_20110822:2:42086521:42092563:-1 gene:TCM_011864 transcript:EOY02140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Leucine-rich repeat domain isoform 2 MSILFLLLFFPLLLPPSLSLPYPYNASYRIDCGGLTPSTDSYNTSWLADEFFTGGSTAVVSEPLHFHLPQEKTLRYFPLSSGKKNCYNIPVPPGRYYVRTFTVYDNYDGKSHSASFDASVEGTLVFSWRSPWVENLARDGAYSDLFAFVKDRQLDFCFYSIATDAPVIGSLEVVQIDPLSYNSPETGDSYILVNYGRLSPGSPQWGPGFTSDPDPFGRSWQSDADYRNANSASARVITTKEKITGTEQAPNYFPMKLYQSAVTIGGGLEYELDVDAKLDYLVWFHFAEIDSTVKKAGERVFDVLVNEKNVSRVDIYKEVGSFAAYSLNYTEKNLSSSVLNVKLVPVIGAPLISGLENYAMVPADLSTVPEQVIAMRALKDSLHVPDRMGWNGDPCAPTDWDAWEGVTCHADKNGTGLVITQIDLGSQGLKGYISDQISLLSNLINLNLSANALEGTLPIGLGQKSLARLDLSNNQFSGSIPESLTSSNLQLVRLNNNLLEGRVPEELYSVGVHGGTIDTCC >EOY02138 pep chromosome:Theobroma_cacao_20110822:2:42085763:42092664:-1 gene:TCM_011864 transcript:EOY02138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Leucine-rich repeat domain isoform 2 MSILFLLLFFPLLLPPSLSLPYPYNASYRIDCGGLTPSTDSYNTSWLADEFFTGGSTAVVSEPLHFHLPQEKTLRYFPLSSGKKNCYNIPVPPGRYYVRTFTVYDNYDGKSHSASFDASVEGTLVFSWRSPWVENLARDGAYSDLFAFVKDRQLDFCFYSIATDAPVIGSLEVVQIDPLSYNSPETGDSYILVNYGRLSPGSPQWGPGFTSDPDPFGRSWQSDADYRNANSASARVITTKEKITGTEQAPNYFPMKLYQSAVTIGGGLEYELDVDAKLDYLVWFHFAEIDSTVKKAGERVFDVLVNEKNVSRVDIYKEVGSFAAYSLNYTEKNLSSSVLNVKLVPVIGAPLISGLENYAMVPADLSTVPEQVIAMRALKDSLHVPDRMGWNGDPCAPTDWDAWEGVTCHADKNGTGLVITQIDLGSQGLKGYISDQISLLSNLINLNLSANALEGTLPIGLGQKSLARLDLSNNQFSGSIPESLTSSNLQLVRLNNNLLEGRVPEELYSVGVHGGTIDLSGNKGLCGVPSLPDCPLFWENGHLSRGGKIAIGLSCFIFVFLLLLVIYIFCIRRGKNDYDFGLPSDLMSLAAKRNRYQRQKSLMLLEMESQHAKGLPSLPLNPH >EOY02139 pep chromosome:Theobroma_cacao_20110822:2:42088683:42092228:-1 gene:TCM_011864 transcript:EOY02139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Leucine-rich repeat domain isoform 2 MSILFLLLFFPLLLPPSLSLPYPYNASYRIDCGGLTPSTDSYNTSWLADEFFTGGSTAVVSEPLHFHLPQEKTLRYFPLSSGKKNCYNIPVPPGRYYVRTFTVYDNYDGKSHSASFDASVEGTLVFSWRSPWVENLARDGAYSDLFAFVKDRQLDFCFYSIATDAPVIGSLEVVQIDPLSYNSPETGDSYILVNYGRLSPGSPQWGPGFTSDPDPFGRSWQSDADYRNANSASARVITTKEKITGTEQAPNYFPMKLYQSAVTIGGGLEYELDVDAKLDYLVWFHFAEIDSTVKKAGERVFDVLVNEKNVSRVDIYKEVGSFAAYSLNYTEKNLSSSVLNVKLVPVIGAPLISGLENYAMVPADLSTVPEQVIAMRALKDSLHVPDRMGWNGDPCAPTDWDAWEGVTCHADKNGTGLVITQIDLGSQGLKGYISDQISLLSNLINLNLSANALEGTLPIGLGQKSLARLDLSNNQFSGSIPESLTSSNLQLVYAT >EOX97637 pep chromosome:Theobroma_cacao_20110822:2:2929019:2932311:-1 gene:TCM_006607 transcript:EOX97637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSCLGPAGKELMVIWNNIKPTPNCDKIWNTAIFAITWTVWICRNNVIFHNKAWDKELIWELIKLRVAMWVKAKWNNSAYSITDMFRCPAVGFNQQREENTRPLTTWERLGVGTVKFNVDGAANGCPGEVGIGGLLRNENG >EOX97185 pep chromosome:Theobroma_cacao_20110822:2:1546478:1549641:1 gene:TCM_006281 transcript:EOX97185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSNFEAKNFFKVYLPKLSFERMSIPPTAVVCSNGLMPMPATATLRNCKGQCRHVELNKCDGRMFFEKGWRRFIDDNSIKEEDILVFSYVGCSVFDVKVYGFDGCEKNVSYLVEKGESGEEQGQEDDEEEDYGYQEEGEDSVLEMEDDDDDDGYLEEGEDSDLEMKREEENEEVLDTLTNRRGQRKINKRNSGLHEGLAAVNDEETTSMEVEYEELNPERYVQELNPYFVARTRLGRGNELYVPSTVIRDYDLILEDNQEITFLDPYDRKKIGKVRKWKDRRTCIIGWRSFCNRNRVNQERDVCICEFLLENENEQKPKFMKVHIIRGKHTKSQKSTLKHYQKKHSDKTLPAIKSKMLLV >EOX97183 pep chromosome:Theobroma_cacao_20110822:2:1546469:1549562:1 gene:TCM_006281 transcript:EOX97183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSNFEAKNFFKVYLPKLSFERMSIPPTAVVCSNGLMPMPATATLRNCKGQCRHVELNKCDGRMFFEKGWRRFIDDNSIKEEDILVFSYVGCSVFDVKVYGFDGCEKNVSYLVEKGESGEEQGQEDDEEEDYGYQEEGEDSVLEMEDDDDDDGYLEEGEDSDLEMKREEENEEVLDTLTNRRGQRKINKRNSGLHEGLAAVNDEETTSMEVEYEELNPERYVQELNPYFVARTRLGRGNELIFLHYFDFLFSNIMFSHAPMPRMLVSLSGMFSTNFWLYSLCGSQYVPSTVIRDYDLILEDNQEITFLDPYDRKKIGKVRKWKDRRTCIIGWRSFCNRNRVNQERDVCICEFLLENENEQKPKFMKVHIIRGKHTKSQKSTLKHYQKKHSDKTLPGLTLYYSNQVQDASSLTMNSHGRGSNRPTLQSLVSKLPCEKVDIEHRKGHEELSKSNCGLL >EOX97184 pep chromosome:Theobroma_cacao_20110822:2:1546585:1549549:1 gene:TCM_006281 transcript:EOX97184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSNFEAKNFFKVYLPKLSFERMSIPPTAVVCSNGLMPMPATATLRNCKGQCRHVELNKCDGRMFFEKGWRRFIDDNSIKEEDILVFSYVGCSVFDVKVYGFDGCEKNVSYLVEKGESGEEQGQEDDEEEDYGYQEEGEDSVLEMEDDDDDDGYLEEGEDSDLEMKREEENEEVLDTLTNRRGQRKINKRNSGLHEGLAAVNDEETTSMEVEYEELNPERYVQELNPYFVARTRLGRGNELYVPSTVIRDYDLILEDNQEITFLDPYDRKKIGKVRKWKDRRTCIIGWRSFCNRNRVNQERDVCICEFLLENENEQKPKFMKVHIIRGKHTKSQKSTLKHYQKKHSDKTLPAIKSKMLLV >EOX98087 pep chromosome:Theobroma_cacao_20110822:2:4517243:4521703:-1 gene:TCM_006931 transcript:EOX98087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 6 isoform 2 MDLSSQSTDTSSTLSLGLVSPSPPSHRSQIVDDSITLQLDTRDTTHPVPPVPLQLLEPQTENHNSLQNGKQKESESYNEDEESKEMEEFHILGHPMCLKRRRDSCSSSSSSSTKRMTVEPDLETRKATVKSWGSQPLHVADPDVFDIMEQEKKRQFLGIELIASENFVCRAVMDALGSHLTNKYSEGMPGARYYGGNQYIDEIETLCWKRALEAFGLDAENWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYTPNGRKVSGASIFFESLPYKVNPQTGYIDYEKLEERALDFRPKILICGGSSYPREWDYARFRQIADKCGAVLLCDMAQISGLIAAKESANPFDYCDIVTSTTHKSLRGPRGGIIFYRKGTKPRKGGMLLSQGDDNDQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYMHQVKKNAQALASALSRRKCRLVTGGTDNHLLLWDLRPFGLTGTPAMTSRGCLESDFETIADFLLRAAHIASMMQRDHGKLQKASLKSLHNNKDLLELRTQVETFASPPPHTTRPHLCLLKCWQNACENGFSMVLLQQFCGHTLVFGNIFPLIAILLVVFADLLNFDYKKLNPEFVSFLFLV >EOX98088 pep chromosome:Theobroma_cacao_20110822:2:4517454:4521821:-1 gene:TCM_006931 transcript:EOX98088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 6 isoform 2 MDLSSQSTDTSSTLSLGLVSPSPPSHRSQIVDDSITLQLDTRDTTHPVPPVPLQLLEPQTENHNSLQNGKQKESESYNEDEESKEMEEFHILGHPMCLKRRRDSCSSSSSSSTKRMTVEPDLETRKATVKSWGSQPLHVADPDVFDIMEQEKKRQFLGIELIASENFVCRAVMDALGSHLTNKYSEGMPGARYYGGNQYIDEIETLCWKRALEAFGLDAENWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYTPNGRKVSGASIFFESLPYKVNPQTGYIDYEKLEERALDFRPKILICGGSSYPREWDYARFRQIADKCGAVLLCDMAQISGLIAAKESANPFDYCDIVTSTTHKSLRGPRGGIIFYRKGTKPRKGGMLLSQGDDNDQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYMHQVKKNAQALASALSRRKCRLVTGGTDNHLLLWDLRPFGLTGTPAMTSRGCLESDFETIADFLLRAAHIASMMQRDHGKLQKASLKSLHNNKDLLELRTQVETFASQFAMPGYDI >EOX98086 pep chromosome:Theobroma_cacao_20110822:2:4517975:4521505:-1 gene:TCM_006931 transcript:EOX98086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 6 isoform 2 MDLSSQSTDTSSTLSLGLVSPSPPSHRSQIVDDSITLQLDTRDTTHPVPPVPLQLLEPQTENHNSLQNGKQKESESYNEDEESKEMEEFHILGHPMCLKRRRDSCSSSSSSSTKRMTVEPDLETRKATVKSWGSQPLHVADPDVFDIMEQEKKRQFLGIELIASENFVCRAVMDALGSHLTNKYSEGMPGARYYGGNQYIDEIETLCWKRALEAFGLDAENWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYTPNGRKVSGASIFFESLPYKVNPQTGYIDYEKLEERALDFRPKILICGGSSYPREWDYARFRQIADKCGAVLLCDMAQISGLIAAKESANPFDYCDIVTSTTHKSLRGPRGGIIFYRKGTKPRKGGMLLSQGDDNDQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYMHQVKKNAQALASALSRRKCRLVTGGTDNHLLLWDLRPFGLTGKIYEKVCEMCHITLNKIAIFGDNGAITPGGVRIGTPAMTSRGCLESDFETIADFLLRAAHIASMMQRDHGKLQKASLKSLHNNKDLLELRTQVETFASQFAMPDPLFGF >EOY00658 pep chromosome:Theobroma_cacao_20110822:2:34341141:34345514:1 gene:TCM_010567 transcript:EOY00658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein, putative MKKTPSLSAQLHEKPDKEPPPRASPSSSKCSPSHSLLSCSLKRKRPPKIEIPNVLQEIQANTLKVKDVTPQDDAVSFGGLGVGVFSVKGKKKFMEDTHKIVSCSHGNSNKAFFGVYDGHGGKKAAEFVAENLHNNIFKMLKNCEGNEEKEEAVKAGYLKTDEEFLKQDVASGTCCVTAVIEGEDIVVSNLGDCRAVLYRGGVAETLTTDHKAEREDERKRIENKGGYVEFHRGAWRVHGILSVSRSIGDAHLKHWVLADPDTKILQLTPDMEFLVLASDGLWEEVSSQEAVDIVARLLSGKRLGAAGGLSNEHDEDFGCVNVSPSSKIRRVSLVKQQKETSQSPRYKKNIDSWKDNESPSSKIRRVSLVKQQKETSQSPKYNQKIDSWKVNEDDVGCENGSPPSKLRRISLVKRISMKPESPRQENTSFKKGPSSAGLMAACKELASLAVSRGSLDDITIMIIDLNHFGCNA >EOY01207 pep chromosome:Theobroma_cacao_20110822:2:38242711:38251464:-1 gene:TCM_011149 transcript:EOY01207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSLTGFRSAFGVISAYWDVTAVVTGSMGVPGRDTTHLFIIFVIHTLAKGKVMAKMLRCEMGTLPFTYLGVSLGFNLRSPKTWGLVVNKFKNVMVTKKSSLFRLLVKVSGLSFISIKNKISVGRRSFPIKTDRSRSKNETFESWSNLRGWDSCDLLSLLFIEVCDGVFVLIIDTSPFCKVV >EOY00927 pep chromosome:Theobroma_cacao_20110822:2:35889487:35890447:1 gene:TCM_010833 transcript:EOY00927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEHNDTICQRIGTAGLRLDNIGHPQDHPIQHQYPTPAILPLQCKALPLQAKIPDNNLLFKRVGSLLLERSSCYLITFKKLVPRRQFEFLGSVVLIISLSLFEKFPPEGSVYPMGYTTNRVDCNRNFCSSTPRRNPNPKPMIAFARGHLGF >EOY00728 pep chromosome:Theobroma_cacao_20110822:2:34775508:34782518:-1 gene:TCM_010659 transcript:EOY00728 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 1D isoform 1 MNPLCCIAPVSIDRDRANPVVAKSSPQCQLSIETSIRTVNYSSKPSFSSQVSSINADTDKSSPSVTNHPSAALFDEPIIGEGTAVHGVAGILYKWVNYGKGWRSRWFVLEDGVLSYYKVHGPDKILMSPAREKSVRVIGEDSVRYMRKANWSSNRVNSAVTRCKPFGEIHLKVSSIRASKSDDKRLTIFTGTKTLHLRCVSREDRTAWIEALVAAKDLFPRVLSSNDFQPSEDVVISTEKLRSRLLQEGMGEAVIKDCESIMLLELSEMQNQLKSLQRKHIILLETLRQLETEKIELETTVVDETKERESYCGQGRRFSDFYSVMSEGSATESDADNESQDGADVETDEDDGIYFDTNDFLSSEALRSASYRCRETGKGCIYDKDAFFSDRLCGPEKEIRIIQYPYVKRRENLPEPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDQALEWGKQENDLMRILNVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPVIVACHCEGRGWKFWADSNLKGKFWGRSIQLDPVGVLTLQFEDGETFQWSKVTTSIYNIILGKIYCDHYGTMRIRGSGNYSCKLKFKEQSIIDRNPHQVIISTP >EOY00727 pep chromosome:Theobroma_cacao_20110822:2:34774219:34782603:-1 gene:TCM_010659 transcript:EOY00727 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 1D isoform 1 MNPLCCIAPVSIDRDRANPVVAKSSPQCQLSIETSIRTVNYSSKPSFSSQVSSINADTDKSSPSVTNHPSAALFDEPIIGEGTAVHGVAGILYKWVNYGKGWRSRWFVLEDGVLSYYKVHGPDKILMSPAREKSVRVIGEDSVRYMRKANWSSNRVNSAVTRCKPFGEIHLKVSSIRASKSDDKRLTIFTGTKTLHLRCVSREDRTAWIEALVAAKDLFPRVLSSNDFQPSEDVVISTEKLRSRLLQEGMGEAVIKDCESIMLLELSEMQNQLKSLQRKHIILLETLRQLETEKIELETTVVDETKERESYCGQGRRFSDFYSVMSEGSATESDADNESQDGADVETDEDDGIYFDTNDFLSSEALRSASYRCRETGKGCIYDKDAFFSDRLCGPEKEIRIIQYPYVKRRENLPEPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDQALEWGKQENDLMRILNVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPVIVACHCEGRGWKFWADSNLKGKFWGRSIQLDPVGVLTLQFEDGETFQWSKVTTSIYNIILGKIYCDHYGTMRIRGSGNYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGEKVAMLVGKWDEAMYYVLGDPTTKPKGYDPMTEAVLLWERDKSITKTRYNLTPFAISLNELTPGLLEKLPPTDSRLRPDQRHLENGEYEMANAEKLRLEQLQRQARKLQERGWQPRWFRKDEDGCYHYIGGYWEAREKRKWDGIPDIFGQSSDSPSYLVEE >EOY00726 pep chromosome:Theobroma_cacao_20110822:2:34773584:34782518:-1 gene:TCM_010659 transcript:EOY00726 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 1D isoform 1 MNPLCCIAPVSIDRDRANPVVAKSSPQCQLSIETSIRTVNYSSKPSFSSQVSSINADTDKSSPSVTNHPSAALFDEPIIGEGTAVHGVAGILYKWVNYGKGWRSRWFVLEDGVLSYYKVHGPDKILMSPAREKSVRVIGEDSVRYMRKANWSSNRVNSAVTRCKPFGEIHLKVSSIRASKSDDKRLTIFTGTKTLHLRCVSREDRTAWIEALVAAKDLFPRVLSSNDFQPSEDVVISTEKLRSRLLQEGMGEAVIKDCESIMLLELSEMQNQLKSLQRKHIILLETLRQLETEKIELETTVVDETKERESYCGQGRRFSDFYSVMSEGSATESDADNESQDGADVETDEDDGIYFDTNDFLSSEALRSASYRCRETGKGCIYDKDAFFSDRLCGPEKEIRIIQYPYVKRRENLPEPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDQALEWGKQENDLMRILNVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPVIVACHCEGRGWKFWADSNLKGKFWGRSIQLDPVGVLTLQFEDGETFQWSKVTTSIYNIILGKIYCDHYGTMRIRGSGNYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGEKVAMLVGKWDEAMYYVLGDPTTKPKGYDPMTEAVLLWERDKSITKTRYNLTPFAISLNELTPGLLEKLPPTDSRLRPDQRHLENGEYEMANAEKLRLEQLQRQARKLQERGWQPRWFRKDEDGCYHYIGGYWEAREKRKWDGIPDIFGQSSDSPSYLVEE >EOX99521 pep chromosome:Theobroma_cacao_20110822:2:11913719:11916205:1 gene:TCM_008213 transcript:EOX99521 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein MRGVDYYKILQVDRNAKDEDLKKAYRKLVMKWHPDKNPKNKKEAEAKFKQIAEAYEVLSDPQKRALYDKYGEEGVKGAASPPESEVATFFSTGDGPTTFRFNSRDAEDMFAELLSFSRTFGGGSGMRGTRFTSSLFGDDIFGYFGEGMGGGGGPMHPGGPRKAPPIENKLPCSLEELYKGTTKKMKISREIADISGKTSQVEEILTFDVKPGWKIGTKITFKEKGNEQPNVIPADIVFVIDEKPHSVFIRDGNDLIVTQRISLAEALTGYTVHLTTLDGRNLTIPINNVIPLNHEEVVPREGMPLSKDPTKRGNLRIKFNIKFPTRLTTEQKSGIKKLLGP >EOX99255 pep chromosome:Theobroma_cacao_20110822:2:9413810:9418741:1 gene:TCM_007853 transcript:EOX99255 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative isoform 2 MIFHGKVDVHVHDEHSRSNQCSMLTQFRCCTGRHIYIYFYILHFTLSCTKTLGWKKVSKYVFDFSPHETVDDCKLFLERGTALEYQQSETLSPLVSYSKRLFVHPKICLVSPVSNSLTAMDIIKNPWLILSVLLIYFSPNCQLSFGAGTISANQSLSGDQTIVSSSGDFVLGFFKPGNSSNSSNNYIGMWYGKVSDHTPVWVANRETPIRDRYSSELKISNGNLVLFNESQVPIWSTNISSTSSSSVVAVLEDGGNLVLRDGPNSSTPLWQSLEHPTHTWLPGGKLSVNKRTNQSQLLTSWRNSEDPAPGLYSLELDSSGINQYLILWNRSEKYWTSGPWDEQTRIFSLVPEMRLNYIYNFSFVTNENESYFTYSLYNPAIISRFIMDVSGQIKQLSWLESSKQWNLFWSQPRQQCEVYAFCGAFGSCNEKALPFCNCLRGFQPKSQDDWNLSDYSGGCERKTKLQCEDPSLANRKSDKFLESPNMVLPQDAQSMTGGSISECESTCLQNCSCTAYAYDSDGCKIWIGELLDLQQLEEDASSGKTIYIRLAASEFSSSRNNKGIIIGAVAGSAGLVLGLVMFAILKWKRRTMKIPKAVEGSLVAFGYRDLQSATKNFSEKLGGGGFGSVFKGTLSDSSFIAVKQLESISQGEKQFRTEVSTIGTIQHVNLVRLRGFCSEGTRKLLVYDYMPNSSLDAHLFHDQSSKVLDWKTRYQVALGTARGLAYLHEKCRDCIIHCDIKPENILLDADFCPKVADFGLAKLIGRDFSRVLTTMRGTRGYLAPEWISGVAITAKADVYSYGMMLFEFVSGRRNSEQSEDGKVRFFPTWAATLITQDGDVLSLLDARLRGEAPVEELSRICKVACWCIQDDETHRPSMGQVVQILEGVLDVNLPPVPRSLQVFDGNQEHIIFFTESSSSQSSQTQSNISTASSQAKSGTSTMSS >EOX99256 pep chromosome:Theobroma_cacao_20110822:2:9415061:9418338:1 gene:TCM_007853 transcript:EOX99256 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative isoform 2 MDIIKNPWLILSVLLIYFSPNCQLSFGAGTISANQSLSGDQTIVSSSGDFVLGFFKPGNSSNSSNNYIGMWYGKVSDHTPVWVANRETPIRDRYSSELKISNGNLVLFNESQVPIWSTNISSTSSSSVVAVLEDGGNLVLRDGPNSSTPLWQSLEHPTHTWLPGGKLSVNKRTNQSQLLTSWRNSEDPAPGLYSLELDSSGINQYLILWNRSEKYWTSGPWDEQTRIFSLVPEMRLNYIYNFSFVTNENESYFTYSLYNPAIISRFIMDVSGQIKQLSWLESSKQWNLFWSQPRQQCEVYAFCGAFGSCNEKALPFCNCLRGFQPKSQDDWNLSDYSGGCERKTKLQCEDPSLANRKSDKFLESPNMVLPQDAQSMTGGSISECESTCLQNCSCTAYAYDSDGCKIWIGELLDLQQLEEDASSGKTIYIRLAASEFSSSRNNKGIIIGAVAGSAGLVLGLVMFAILKWKRRTMKIPKAVEGSLVAFGYRDLQSATKNFSEKLGGGGFGSVFKGTLSDSSFIAVKQLESISQGEKQFRTEVSTIGTIQHVNLVRLRGFCSEGTRKLLVYDYMPNSSLDAHLFHDQSSKVLDWKTRYQVALGTARGLAYLHEKCRDCIIHCDIKPENILLDADFCPKVADFGLAKLIGRDFSRVLTTMRGTRGYLAPEWISGVAITAKADVYSYGMMLFEFVSGRRNSEQSEDGKVRFFPTWAATLITQDGDVLSLLDARLRGEAPVEELSRICKVACWCIQDDETHRPSMGQVVQILEGVLDVNLPPVPRSLQVFDGNQEHIIFFTESSSSQSSQTQSNISTASSQAKSGTSTMSS >EOY02121 pep chromosome:Theobroma_cacao_20110822:2:42019397:42023797:-1 gene:TCM_011853 transcript:EOY02121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, putative isoform 1 MMMMTEPSHSLRRLSLSYFEKMVSTSPPKELQSNGKWAEGDPARRAKWWYSTFHTVTAMIGAGVLSLPYAMAYLGWGPGTMVLVLSWCMTLNTMWQMIQLHECVPGTRFDRYIDLGRYAFGPKLGGWIVLPQQLVVQVGCDIVYMVTGGKCLKKFMEIACSSCTQLRQSYWILIFGGIHFFLSQLPNFNSVAGVSLAAAIMSLSYSTIAWAGSLSHGQIDNVSYEYKNTSPTDFMFRVFNALGQISFAFAGHAVALEIQATIPSTPERPSKIPMWKGAVGAYFINAICYFPVAMIGYWAFGQDVDDNVLMALKKPAWLIASANLMVVVHVIGSYQVYAMPVFDLLERMLMKRLNFPPGIALRLITRSAYVAFTLFAGVTFPFFGDLLGFFGGFGFAPTSYFLPSIMWLIIKKPKRYSLKWFTNWSCIFIGLFIMMASAIGGLRNIITDASTYSFYT >EOY02122 pep chromosome:Theobroma_cacao_20110822:2:42019530:42022512:-1 gene:TCM_011853 transcript:EOY02122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, putative isoform 1 MIGAGVLSLPYAMAYLGWGPGTMVLVLSWCMTLNTMWQMIQLHECVPGTRFDRYIDLGRYAFGPKLGGWIVLPQQLVVQVGCDIVYMVTGGKCLKKFMEIACSSCTQLRQSYWILIFGGIHFFLSQLPNFNSVAGVSLAAAIMSLSYSTIAWAGSLSHGQIDNVSYEYKNTSPTDFMFRVFNALGQISFAFAGHAVALEIQATIPSTPERPSKIPMWKGAVGAYFINAICYFPVAMIGYWAFGQDVDDNVLMALKKPAWLIASANLMVVVHVIGSYQVYAMPVFDLLERMLMKRLNFPPGIALRLITRSAYHSHCSQELLSLSSEIFWVSLVDLVSLPLHIFFPA >EOX98191 pep chromosome:Theobroma_cacao_20110822:2:4809019:4813546:-1 gene:TCM_007004 transcript:EOX98191 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MGPVKNVRAISQEAFDELVKENMDDLGLDPTEALEDAIQTLSLQGVDLSGIVKCVPGEGGVKDHPAMQCLDKLNQLNAGSKDQFTAQDLVPISGLLNNLSELCSDKVESGNAAIVTKNGGVELVCSICSKIPTESQQTLVSCLKTMASLLTDVQSTEAFRVSGGPVIVVHILNTDGIQDLDILNSGFAIVAAAATGNEVVKESFMELGVDKLILQVLSGQTKGSVQSLYDAIRVLLTSDDNRVVASQVYGYARRFAKIGIARALVESLHGGLSSTSLVSASIALKAVAVNDEICKSIADAGGIDALLKCVDDSGEQGNKTVARTCCSLLSKLAGSDSNKSTIVEKGGMDRLIKLSARFSDDPSVLQEVMSIISVLCLRSPDNATHAIEAGAAELAIQTMQKFPAAQQMQRSSCLMIRNLVVRNPENRILLLNNGIDKFIRKAKENHESCKDAATDALRDLGLDNYNS >EOX98192 pep chromosome:Theobroma_cacao_20110822:2:4809505:4812954:-1 gene:TCM_007004 transcript:EOX98192 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MGPVKNVRAISQEAFDELVKENMDDLGLDPTEALEDAIQTLSLQGVDLSGIVKCVPGEGGVKDHPAMQCLDKLNQLNAGSKDQFTAQDLVPISGLLNNLSELCSDKVESGNAAIVTKNGGVELVCSICSKIPTESQQTLVSCLKTMASLLTDVQSTEAFRVSGGPVIVVHILNTDGIQDLDILNSGFAIVAAAATGNEVVKESFMELGVDKLILQVLSGQTKGSVQSLYDAIRVLLTSDDNRVVASQVYGYARRFAKIGIARALVESLHGGLSSTSLVSASIALKAVAVNDEICKSIADAGGIDALLKCVDDSGEQGNKTVARTCCSLLSKLAGSDSNKSTIVEKGGMDRLIKLSARFSDDPSVLQEVMSIISVLCLRSPDNATHAIEAGAAELAIQTMQKFPAAQQMQRSSCLMIRNLVVRNPENRILLLNNGIDKFIRKAKENHESCKDAATDALRDLGLDNYNS >EOY00956 pep chromosome:Theobroma_cacao_20110822:2:36125824:36130202:-1 gene:TCM_010862 transcript:EOY00956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 1 MITWKDLYSVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKIIMLFVLGLWTNFTRNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGPYSGMLMVQVVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGEDGKLHVTVRKSNASRRSLGPCSLPALTPRPSNLTGAEIYSLSSSRNPTPRGSNFNSSDFYSMMGIQGFPGRQSNFGPSDLYSVQSSRGPTPRPSNFEENCTVMSPRFGFYPAQTVPTSYPAPNPEFSSVTKNTKNNQPQQQQQQQVQPQQQQQQQKENNKVNHDAKELHMFVWSSSASPVSEGGGLHVFGGTDFGASEQSGRSDQGAKEIRMLVADHPQNGENKAAPASGDFNGEDFSFAGRDGEEEREKEGPAGLNKLGSSSTAELHPKAAGATESGVGKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLIAFRWHVRMPKIIEKSISILSDAGLGMAMFSLGNYVLIKKLEVNLWTENGIDLPTCGVDLRRWLAVQGRWALVVIDAILAPCLFMALQPKIIACGNSVAAFAMAVRFLTGPAVMAAASIAVGLRGTLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYVLLGL >EOY00957 pep chromosome:Theobroma_cacao_20110822:2:36126018:36129944:-1 gene:TCM_010862 transcript:EOY00957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 1 MITWKDLYSVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKIIMLFVLGLWTNFTRNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGPYSGMLMVQVVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGEDGKLHVTVRKSNASRRSLGPCSLPALTPRPSNLTGAEIYSLSSSRNPTPRGSNFNSSDFYSMMGIQGFPGRQSNFGPSDLYSVQSSRGPTPRPSNFEENCTVMSPRFGFYPAQTVPTSYPAPNPEFSSVTKNTKNNQPQQQQQQQVQPQQQQQQQKENNKVNHDAKELHMFVWSSSASPVSEGGGLHVFGGTDFGASEQSGRSDQGAKEIRMLVADHPQNGENKAAPASGDFNGEDFSFAGRDGEEEREKEGPAGLNKLGSSSTAELHPKAAGATESGVGKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLIAFSRWHVRMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVAAFAMAVRFLTGPAVMAAASIAVGLRGTLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYVLLGL >EOY00961 pep chromosome:Theobroma_cacao_20110822:2:36127728:36130054:-1 gene:TCM_010862 transcript:EOY00961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 1 MITWKDLYSVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKIIMLFVLGLWTNFTRNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGPYSGMLMVQVVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGEDGKLHVTVRKSNASRRSLGPCSLPALTPRPSNLTGAEIYSLSSSRNPTPRGSNFNSSDFYSMMGIQGFPGRQSNFGPSDLYSVQSSRGPTPRPSNFEENCTVMSPRFGFYPAQTVPTSYPAPNPEFSSVTKNTKNNQPQQQQQQQVQPQQQQQQQKENNKVNHDAKELHMFVWSSSASPVSEGGGLHVFGGTDFGASEQSGRSDQGAKEIRMLVADHPQNGENKAAPASGDFNGEDFSFAGRDGEEEREKEGPAGLNKLGSSSTAELHPKAAGATESGVGKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLIAFRSAVIN >EOY00959 pep chromosome:Theobroma_cacao_20110822:2:36126753:36130051:-1 gene:TCM_010862 transcript:EOY00959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 1 MITWKDLYSVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKIIMLFVLGLWTNFTRNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGPYSGMLMVQVVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGEDGKLHVTVRKSNASRRSLGPCSLPALTPRPSNLTGAEIYSLSSSRNPTPRGSNFNSSDFYSMMGIQGFPGRQSNFGPSDLYSVQSSRGPTPRPSNFEENCTVMSPRFGFYPAQTVPTSYPAPNPEFSSVTKNTKNNQPQQQQQQQVQPQQQQQQQKENNKVNHDAKELHMFVWSSSASPVSEGGGLHVFGGTDFGASEQSGRSDQGAKEIRMLVADHPQNGENKAAPASGDFNGEDFSFAGRDGEEEREKEGPAGLNKLGSSSTAELHPKAAGATESGVGKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLIAFR >EOY00960 pep chromosome:Theobroma_cacao_20110822:2:36125901:36130054:-1 gene:TCM_010862 transcript:EOY00960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 1 MITWKDLYSVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKIIMLFVLGLWTNFTRNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGPYSGMLMVQVVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGEDGKLHVTVRKSNASRRSLGPCSLPALTPRPSNLTGAEIYSLSSSRNPTPRGSNFNSSDFYSMMGIQGFPGRQSNFGPSDLYSVQSSRGPTPRPSNFEENCTVMSPRFGFYPAQTVPTSYPAPNPEFSSVTKNTKNNQPQQQQQQQVQPQQQQQQQKENNKVNHDAKELHMFVWSSSASPVSEGGGLHVFGGTDFGASEQSGRSDQGAKEIRMLVADHPQNGENKAAPASGDFNGEDFSFAGRDGEEEREKEGPAGLNKLGSSSTAELHPKAAGATESGVGKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLIAFRCSATGNCTICVCQRIQCSPSNS >EOY00958 pep chromosome:Theobroma_cacao_20110822:2:36125824:36130202:-1 gene:TCM_010862 transcript:EOY00958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein isoform 1 MITWKDLYSVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKIIMLFVLGLWTNFTRNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGPYSGMLMVQVVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGEDGKLHVTVRKSNASRRSLGPCSLPALTPRPSNLTGAEIYSLSSSRNPTPRGSNFNSSDFYSMMGIQGFPGRQSNFGPSDLYSVQSSRGPTPRPSNFEENCTVMSPRFGFYPAQTVPTSYPAPNPEFSSVTKNTKNNQPQQQQQQQVQPQQQQQQQKENNKVNHDAKELHMFVWSSSASPVSEGGGLHVFGGTDFGASEQSGRSDQGAKEIRMLVADHPQNGENKAAPASGDFNGEDFSFAGRDGEEEREKEGPAGLNKLGSSSTAELHPKAAGATESGVGKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLIAFRWHVRMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVAAFAMAVRFLTGPAVMAAASIAVGLRGTLLRVAIVQVKFNYLPR >EOX97062 pep chromosome:Theobroma_cacao_20110822:2:1066852:1070816:1 gene:TCM_006169 transcript:EOX97062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 4 MSENPKHSLSTTPESSRPSKIPKTQDHSDQEEEEEDKQQQESSMSKNPRIQRYLVAIEYIGTRFSGSQQQPNCRTVVGVLEEAFLKFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPSVVRRAVNHFLQKSEGDITVIDVRCVPNDFHARYKAQERTYFYRLLSGSEPLSTFEKERAWHVPEKLDLYAMQEACKVLVGHHDFSSFRAAGCQAKSPIRTLDELHVSEAPPTPYFPSISEKKQNNSSTEDSHACSREPEIDLPIDSFSNSDDEVRLLVGVLKCVGTGELTTSDVERILNAKTVTAASPMAPAYGLYLGCVKYDLPRDA >EOX97061 pep chromosome:Theobroma_cacao_20110822:2:1066831:1070705:1 gene:TCM_006169 transcript:EOX97061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 4 MSENPKHSLSTTPESSRPSKIPKTQDHSDQEEEEEDKQQQESSMSKNPRIQRYLVAIEYIGTRFSGSQQQPNCRTVVGVLEEAFLKFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPSVVRRAVNHFLQSEGDITVIDVRCVPNDFHARYKAQERTYFYRLLSGSEPLSTFEKERAWHVPEKLDLYAMQEACKVLVGHHDFSSFRAAGCQAKSPIRTLDELHVSEAPPTPYFPSISEKKQNNSSTEDSHACSREPEIDLPIDSFSNSDDEVGSNGITDLGFGIRRRHHCYVVTARARSFLYHQVRLLVGVLKCVGTGELTTSDVERILNAKTVTAASPMAPAYGLYLGCVKYDLPRDA >EOX97060 pep chromosome:Theobroma_cacao_20110822:2:1066758:1070226:1 gene:TCM_006169 transcript:EOX97060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 4 MSENPKHSLSTTPESSRPSKIPKTQDHSDQEEEEEDKQQQESSMSKNPRIQRYLVAIEYIGTRFSGSQQQPNCRTVVGVLEEAFLKFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPSVVRRAVNHFLQKSEGDITVIDVRCVPNDFHARYKAQERTYFYRLLSGSEPLSTFEKERAWHVPEKLDLYAMQEACKVLVGHHDFSSFRAAGCQAKSPIRTLDELHVSEAPPTPYFPSISEKKQNNSSTEDSHACSREPEIDLPIDSFSNSDDEVGSNGITDLGFGIRRRHHCYVVTARARSFLYHQVRLLVGVLKCVGTGELTTSDGGHASK >EOX97059 pep chromosome:Theobroma_cacao_20110822:2:1066821:1070701:1 gene:TCM_006169 transcript:EOX97059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 4 MSENPKHSLSTTPESSRPSKIPKTQDHSDQEEEEEDKQQQESSMSKNPRIQRYLVAIEYIGTRFSGSQQQPNCRTVVGVLEEAFLKFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPSVVRRAVNHFLQKSEGDITVIDVRCVPNDFHARYKAQERTYFYRLLSGSEPLSTFEKERAWHVPEKLDLYAMQEACKVLVGHHDFSSFRAAGCQAKSPIRTLDELHVSEAPPTPYFPSISEKKQNNSSTEDSHACSREPEIDLPIDSFSNSDDEVGSNGITDLGFGIRRRHHCYVVTARARSFLYHQVRLLVGVLKCVGTGELTTSDVERILNAKTVTAASPMAPAYGLYLGCVKYDLPRDA >EOX99353 pep chromosome:Theobroma_cacao_20110822:2:10300788:10303647:1 gene:TCM_007985 transcript:EOX99353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, mitochondrial isoform 1 MMQSRFNSLARTLSSATRLRPFSSTIESPTTKPDFPPTLAGLRARLAAESPALTDFIGLQNDNQYSVEVGTKKKPLPKPKWMRESVPGGEKYVQIKKKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPNEPGNVAEAIASWGLDYVVITSVDRDDLADQGSGHFAETVQKLKALKPNMLIEALVPDFRGEAGCVVKVAKSGLDVFAHNIETVEELQSVVRDHRANFKQSLDVLVMAKDCAPAGTLTKTSIMLGCGETPDQVVKTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYITPEAFEKYRTLGMEMGFRYVASGPMVRSSYKAGEFYIKSMIESDRAAAAS >EOX99354 pep chromosome:Theobroma_cacao_20110822:2:10300552:10302620:1 gene:TCM_007985 transcript:EOX99354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, mitochondrial isoform 1 MMQSRFNSLARTLSSATRLRPFSSTIESPTTKPDFPPTLAGLRARLAAESPALTDFIGLQNDNQYSVEVGTKKKPLPKPKWMRESVPGGEKYVQIKKKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPNEPGNVAEAIASWGLDYVVITSVDRDDLADQGSGHFAETVQKLKALKPNMLIEALVPDFRGEAGCVVKVAKSGLDVFAHNIETVEELQSVVRDHRANFKQSLDVLVMAKDCAPAGTLTKTSIMLGCGETPDQVVKTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYITPEAFEKYRTLGMEMVCS >EOX99355 pep chromosome:Theobroma_cacao_20110822:2:10300552:10302283:1 gene:TCM_007985 transcript:EOX99355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, mitochondrial isoform 1 MMQSRFNSLARTLSSATRLRPFSSTIESPTTKPDFPPTLAGLRARLAAESPALTDFIGLQNDNQYSVEVGTKKKPLPKPKWMRESVPGGEKYVQIKKKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPNEPGNVAEAIASWGLDYVVITSVDRDDLADQGSGHFAETVQKLKALKPNMLIEALVMAKDCAPAGTLTKTSIMLGCGETPDQVVKTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYITPEAFEKYRTLGMEMVCTDVLF >EOX99976 pep chromosome:Theobroma_cacao_20110822:2:20515822:20525181:1 gene:TCM_009148 transcript:EOX99976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endosomal targeting BRO1-like domain-containing protein MAAASSSPSAAATNIMLAIYEKKTNSIDLYRPLRQYISFTYSEREAVNLEDDLSTVKTLRSDIERMPDPSPTTRRDLLISYFKSLCLIETRFPISPDKDHINTIQFTWFDAFKQKQKAVQQNIHLEKAAVLFNLGAVYSQIGLSYDRATVDGRRQASHAFIASAGTFGFLRDNASTKASMGNSTTVDLSVECAGMLERLMLAQAQECVFENTIAKGSTPGVCAKISRQVGLYYEEALGALNAAPLKDHFDKAWIAHVQLKAALFYAEACYRYSLELHEKEEIAEEIARLRSGVSALVEAKKSSKGVAAQLLDAISKLEANLNRNLERAVKENDRVYLMRVPSPSSLPPLPAFAMVKSMQMNEVLDASKEKMFASLVPDSSAKALSRYTEMVDDVIRTQAEKLQQGSELARVRLKEMDLPDSILALEGNFNLPEDLKNEVEIVQASGGAAGLEAELQQLRDLRRVNQELLIQTEELLQKEAAEDAQFRSQFGTRWTRPQSSTLTKTLQERLNKFAANLKQAAESDARIERSVREHSTFMSILDRRPIEAALPSLSRPIMSLDANEDAIVGALKQSLRQLETLGAQRAGLEDMLKEMKRKDDILPKLMTSTGSYEDLFRKEIAKYNHICEEITQNIEAQEQLLMQIQAQNQEFSAVFNLEDYKASRDKCYKQIQAAVAKCKEIKENINEGLKFYVTLQDAINNIKQQCSDFVMTRNIQCREMMEDVQRQMAGFSFQDRKNTGPYPPVGQPHQTPRSSSQQQTEPQSISHPSSQTPYYRPPEQPMPGYGHPPPPYSAPQQPSPYHIPPSAAPYPPPQAQQQAPVSHEYGQPAYPGWRGPYYNAPAQQPGSLPRPPYTIPNPYHPHQSGYYKQ >EOX99257 pep chromosome:Theobroma_cacao_20110822:2:9423742:9424588:-1 gene:TCM_007854 transcript:EOX99257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQEVMKQVLEQLDGVLDMRLQHLFRCMGFQVPCPSYLRVNLTGDANDEENPKDNDDDSMGAIPMDDSDYVEDVGDGVNGEYDV >EOY00136 pep chromosome:Theobroma_cacao_20110822:2:26447363:26447903:-1 gene:TCM_009685 transcript:EOY00136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVNLSTKEWSCSEFQSDLLPCTHAMATISKCKRSTIEFCSDYYKTRSWVEGYAVPIRLVGHPSEWDIPNDVQQIIVLPPSWRGQARRPKRKRIPTTMERSK >EOY00319 pep chromosome:Theobroma_cacao_20110822:2:31105000:31106845:-1 gene:TCM_010152 transcript:EOY00319 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein isoform 1 MDSNFKATSFNIPECVLASSGRRMPLLGFGTAASPPVGSELTKTAIFQAMELGYRHFDTACLYGTEQPLGEAIAEALSVGLIKCRDELFITSKLWCGDAHGELVLPALQRSLRNLKLEYLDLYLIHWPVSSKPGIYEFPIKQEDFLPMDFNSVWKAMEDCQRLRLTKSVGVSNFSCKKLADILAFAKIPPAVNQVELNPLWQQKKLREFCRTNGILLTAYAPLGAKGTIWGSNRVLECELLQEIAKEKGRTVAQICLRWAYEQGISILVKSFNKERMKSNLEIFNWTLSEEEVKKINEIPQSRLCGGEDYISKYGPFRTAEELWDGEI >EOY00320 pep chromosome:Theobroma_cacao_20110822:2:31104817:31106563:-1 gene:TCM_010152 transcript:EOY00320 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein isoform 1 MDSNFKATSFNIPECVLASSGRRMPLLGFGTAASPPVGSELTKTAIFQAMELGYRHFDTACLYGTEQPLGEAIAEALSVGLIKCRDELFITSKLWCGDAHGELVLPALQRSLRNLKLEYLDLYLIHWPVSSKPGIYEFPIKQEDFLPMDFNSVWKAMEDCQRLRLTKSVGVSNFSCKKLADILAFAKIPPAVNQKLREFCRTNGILLTAYAPLGAKGTIWGSNRVLECELLQEIAKEKGRTVAQICLRWAYEQGISILVKSFNKERMKSNLEIFNWTLSEEEVKKINEIPQSRLCGGEDYISKYGPFRTAEELWDGEI >EOY00055 pep chromosome:Theobroma_cacao_20110822:2:24194148:24202042:1 gene:TCM_009465 transcript:EOY00055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTYAFIRYRIVEELQRAIRYGQNVKLKGRHLKPANAPTTKQVKNIWNKEVDGIIVDIEEDEIEWLKRSTIESLKPLISYQQLQSSLMHEGIIATVRPSGGLNVIVTFNETKDMDGTLVKQVAMLGRRFEKIQHYNLKELPAHL >EOY01803 pep chromosome:Theobroma_cacao_20110822:2:40959115:40959898:1 gene:TCM_011616 transcript:EOY01803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVRFGITAILNSIFFLFFTPQPSPREWSWNLVVSSTLLHQFSILELAFLLLVVMLLLLFLFTSAEVPLNLDAIRIRLRVGDFSIALTISLVASLFLPPSLFWPVHILFVFSSPWHGMFFHLFKHFLGWFSAALRSVPTYFIIITQNEESSNSAPLQDDIELGLVHEQQNSASGAGMV >EOX98078 pep chromosome:Theobroma_cacao_20110822:2:4481919:4499642:1 gene:TCM_006926 transcript:EOX98078 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:glycoprotein glucosyltransferases,transferases isoform 3 METRFRSRLCILIVLACVIFCGFTSVGAQNRRPKNVQAAIRAKWSGTPLLLEAGELLSKESKNLFWEFFDDWLHVAKTGGDSHSAKDCLKKILKHGSSLLSETLSSLFEFSLTLRSASPRLVLYRQLAEESLSSFPLGDDSYSNNVNGLDASETLETIKLDPLLVGINPRSPGGKCCWVDTGGALFFDVAELLLWLQRPNELGVDSFQQPELYDFDHIHFDSNIMSPVAILYGALGTNCFKEFHVTLVQAAKEGKVKYVVRPVLPSGCEAEVGLCGAVGARDSLNLGGYGVELALKNMEYKAIDDSTVKKGVTLEDPRTEDLSQEVRGFIFSKMLERKPELTSEIMAFRDYLMSSTISDTLDVWELKDLGHQTAQRIVQASDPLQSMQEISQNFPSVVSSLSRMKLNDSVKDEIIANQRMIPPGKSLMALNGALINIEDIDLYLLIDLIHRELSLADQFSKLKIPQGTVRKLLSTVTPPESDMFRVDFRSSHVHYLNNLEEDAMYRRWRSNINDILMPVFPGQLRYIRKNLFHAVYVLDPATVCGLQSIDMITTFYENSFPMRFGVILYSTQFIKKIEMSGGELHSSSLEHDSEIEDDKSILIIRLFIYIKENHGTQTAFQFLSNVNRLRIESAESTDDALEMHHIEEAFVETVLPKAKSPPQEVLLKLQKESTFKELSEESSLFVFKLGVGKLQCCLLMNGLVLDSSEEALINAMNDELPRIQEQVYYGQINSHTDVLDKFLSENGVSRYNPQIIVDGKVKPRFISLASSILGGESVLNDINYLHSPETVDNVKPVTHLLAVDITSKKGIKLLREGIRYLIGGTKGARVGVLFSASQDANLPSLLLVKTFEITAASYSHKKKVLEFLDQACSFYEHNYIVRSPTSAESTQAFINKVYELAEANELSSKAYKSSPPEASAQELREHLNKVAQFLYRQFGIASGVNAVITNGRVTSLDAGVFLSHDLHLLESVEFKHRIKHIVQIIEEVNWQGLDPDMLTSKYVSDIVMFVSSSMATRDRSTESARFEVLNAQHSAVVLNNENSSIHIDAVVDPLSPFGQKLSSLLRVLAMYVHPSMRIVLNPLSSLVDLPLKNYYRYVVPTMDDFSSTDYTVNGPKAFFANMPLSKTLTMNLDVPEPWLVEPIIAVHDLDNILLENLGETRTLQAVFELEALVLTGHCTEKDRDPPRGLQLILGTKNTPHLVDTIVMANLGYWQMKVSPGVWYLQLAPGRSSELYLFRDGGDNGSQEKSLSKRITINDLRGKVVHLEVVKKKGKEHEKLLISADDDSHSKEKRGHNGWNSNFLKWASGFIGGSEQSKKNNDSLVEHGKGGRLGKAINIFSIASGHLYERFLKIMILSVLKNTRRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLEKVIFVDADQVVRADVGELYDMDIKGRPLAYTPFCDNNKDMDGYRFWRQGFWKEHLRGRPYHISALYVVDLVKFRETAAGDNLRVFYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKSRAKTIDLCNNPMTKEPKLKGARRIVSEWTNLDFEARNFTAKILGDELDNPEPVASSETSSNESSSEDLESKAEL >EOX98079 pep chromosome:Theobroma_cacao_20110822:2:4483210:4499508:1 gene:TCM_006926 transcript:EOX98079 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:glycoprotein glucosyltransferases,transferases isoform 3 METRFRSRLCILIVLACVIFCGFTSVGAQNRRPKNVQAAIRAKWSGTPLLLEAGELLSKESKNLFWEFFDDWLHVAKTGGDSHSAKDCLKKILKHGSSLLSETLSSLFEFSLTLRSASPRLVLYRQLAEESLSSFPLGDDSYSNNVNGLDASETLETIKLDPLLVGINPRSPGGKCCWVDTGGALFFDVAELLLWLQRPNELGVDSFQQPELYDFDHIHFDSNIMSPVAILYGALGTNCFKEFHVTLVQAAKEGKVKYVVRPVLPSGCEAEVGLCGAVGARDSLNLGGYGVELALKNMEYKAIDDSTVKKGVTLEDPRTEDLSQEVRGFIFSKMLERKPELTSEIMAFRDYLMSSTISDTLDVWELKDLGHQTAQRIVQASDPLQSMQEISQNFPSVVSSLSRMKLNDSVKDEIIANQRMIPPGKSLMALNGALINIEDIDLYLLIDLIHRELSLADQFSKLKIPQGTVRKLLSTVTPPESDMFRVDFRSSHVHYLNNLEEDAMYRRWRSNINDILMPVFPGQLRYIRKNLFHAVYVLDPATVCGLQSIDMITTFYENSFPMRFGVILYSTQFIKKIEMSGGELHSSSLEHDSEIEDDKSILIIRLFIYIKENHGTQTAFQFLSNVNRLRIESAESTDDALEMHHIEEAFVETVLPKAKSPPQEVLLKLQKESTFKELSEESSLFVFKLGVGKLQCCLLMNGLVLDSSEEALINAMNDELPRIQEQVYYGQINSHTDVLDKFLSENGVSRYNPQIIVDGKVKPRFISLASSILGGESVLNDINYLHSPETVDNVKPVTHLLAVDITSKKGIKLLREGIRYLIGGTKGARVGVLFSASQDANLPSLLLVKTFEITAASYSHKKKVLEFLDQACSFYEHNYIVRSPTSAESTQAFINKVYELAEANELSSKAYKSSPPEASAQELREHLNKVAQFLYRQFGIASGVNAVITNGRVTSLDAGVFLSHDLHLLESVEFKHRIKHIVQIIEEVNWQGLDPDMLTSKYVSDIVMFVSSSMATRDRSTESARFEVLNAQHSAVVLNNENSSIHIDAVVDPLSPFGQKLSSLLRVLAMYVHPSMRIVLNPLSSLVDLPLKNYYRYVVPTMDDFSSTDYTVNGPKAFFANMPLSKTLTMNLDVPEPWLVEPIIAVHDLDNILLENLGETRTLQAVFELEALVLTGHCTEKDRDPPRGLQLILGTKNTPHLVDTIVMANLGYWQMKVSPGVWYLQLAPGRSSELYLFRDGGDNGSQEKSLSKRITINDLRGKVVHLEVVKKKGKEHEKLLISADDDSHSKEKRGHNGWNSNFLKWASGFIGGSEQSKKNNDSLVEHGKGGRLGKAINIFSIASGHLYERFLKIMILSVLKNTRRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLEKVLLFLGSCLTTVLFCCFSCQLHRRKMHAQVIFVDADQVVRADVGELYDMDIKGRPLAYTPFCDNNKDMDGYRFWRQGILERAFTG >EOX98080 pep chromosome:Theobroma_cacao_20110822:2:4483210:4495290:1 gene:TCM_006926 transcript:EOX98080 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:glycoprotein glucosyltransferases,transferases isoform 3 METRFRSRLCILIVLACVIFCGFTSVGAQNRRPKNVQAAIRAKWSGTPLLLEAGELLSKESKNLFWEFFDDWLHVAKTGGDSHSAKDCLKKILKHGSSLLSETLSSLFEFSLTLRSASPRLVLYRQLAEESLSSFPLGDDSYSNNVNGLDASETLETIKLDPLLVGINPRSPGGKCCWVDTGGALFFDVAELLLWLQRPNELGVDSFQQPELYDFDHIHFDSNIMSPVAILYGALGTNCFKEFHVTLVQAAKEGKVKYVVRPVLPSGCEAEVGLCGAVGARDSLNLGGYGVELALKNMEYKAIDDSTVKKGVTLEDPRTEDLSQEVRGFIFSKMLERKPELTSEIMAFRDYLMSSTISDTLDVWELKDLGHQTAQRIVQASDPLQSMQEISQNFPSVVSSLSRMKLNDSVKDEIIANQRMIPPGKSLMALNGALINIEDIDLYLLIDLIHRELSLADQFSKLKIPQGTVRKLLSTVTPPESDMFRVDFRSSHVHYLNNLEEDAMYRRWRSNINDILMPVFPGQLRYIRKNLFHAVYVLDPATVCGLQSIDMITTFYENSFPMRFGVILYSTQFIKKIEMSGGELHSSSLEHDSEIEDDKSILIIRLFIYIKENHGTQTAFQFLSNVNRLRIESAESTDDALEMHHIEEAFVETVLPKAKSPPQEVLLKLQKESTFKELSEESSLFVFKLGVGKLQCCLLMNGLVLDSSEEALINAMNDELPRIQEQVYYGQINSHTDVLDKFLSENGVSRYNPQIIVDGKVKPRFISLASSILGGESVLNDINYLHSPETVDNVKPVTHLLAVDITSKKGIKLLREGIRYLIGGTKGARVGVLFSASQDANLPSLLLVKTFEITAASYSHKKKVLEFLDQACSFYEHNYIVRSPTSAESTQAFINKVYELAEANELSSKAYKSSPPEASAQELREHLNKVAQFLYRQFGIASGVNAVITNGRVTSLDAGVFLSHDLHLLESVEFKHRIKHIVQIIEEVNWQGLDPDMLTSKYVSDIVMFVSSSMATRDRSTESARFEVLNAQHSAVVLNNENSSIHIDAVVDPLSPFGQKLSSLLRVLAMYVHPSMRIVLNPLSSLVDLPLKNYYRYVVPTMDDFSSTDYTVNGPKAFFANMPLSKTLTMNLDVPEPWLVEPIIAVHDLDNILLENLGETRTLQAVFELEALVLTGHCTEKDRDPPRGLQLILGTKNTPHLVDTIVMANLGYWQMKVSPGVWYLQLAPGRSSELYLFRDGGDNGSQEKSLSKRITINDLRGKVVHLEVVKKKGKEHEKLLISADDDSHSKEKRGHNGWNSNFLKWASGFIGGSEQSKKNNDSLVVSFDFTLYKPGRRDQNKKKDDIRKGKEND >EOX99109 pep chromosome:Theobroma_cacao_20110822:2:8540298:8541322:-1 gene:TCM_007723 transcript:EOX99109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSVDPSFQAHLLSELLGNPICSLLSLALRSTRDSFASWSMDPVLQFLKSPLVTTKKAPPFNAKALSLIKSLPSNKLRHPRKAIAPLLAPKTDQRGKHSPSTALAHNCSGSFNNLQH >EOY01572 pep chromosome:Theobroma_cacao_20110822:2:40100510:40104380:-1 gene:TCM_011434 transcript:EOY01572 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein MDQRIKINSDYEDSKSVVKEVKGKHSEVEVMNCKGIRGIKARKLETMAASQSQPPAIKFWAAAALVLVTLLWVCVLQLATVLNEENTVSSSFYNPLSSPERIYKNNGYLVVIANGGLNQRRLAIADMVVIARYINATLIVPLFDNGTYWNDKSTFADIYDLNHFITSLRDEVRIVEELPPELKRREESESIYSMVPISFASLTYYYEKVIPRIQKRGVLHFSLTDARLANNGLPDEVQKLRCRVNYEALKFTQPIEETGRKIVSLLRQRGPFLVLHLRYEKDMVAFTGCVQGLTKEEIKEVTEMRYFYEGWKHKPIDAKRRREHGSCPLTPEETALILQALGIDRNTTIYIAAGKIYNEEKRMANLAMAFPNLVRKELVLEPSDLKPFLNHADQMAALDYIVAIESNIFIPTFGGNMAKAVEGHRRYMGFKTTVVLNRAFLVRLIDDYKKGRLSWDEFALLVKKSHEHRTGNPGRRKEIPDHPRLEDFFYSNPQECLPPIS >EOX98415 pep chromosome:Theobroma_cacao_20110822:2:5712223:5717441:1 gene:TCM_007183 transcript:EOX98415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MAERLALPLLLPNPPPSKPPFLNQTHNQRQNPTLLPNSPPPSTPILQDLLLRHSVKPQQPIDPQARTRTRTRIGRSRDLNRGKPWTHNRLSPQGQQVLNSLIDSSFDSNQLDSVLAKLFEQYQENPDAKTDFLTAEVLGIVKGLGFYKKHDLALGVFNWVKGRKDCDLVLNNSVVAVIVSMLGKAGRVSVAANLLNSLHKEGFNLNVYAYTSLITAYASSGRYREAMMVFKKIEEEGCKPTLITYNVILNVYGKMGMPWSKIMALVDGMKSDGVALDAYTYNTLISCCRRGSLHEEAALVFDEMKLAGFSPDNVTYNALLDVYGKSRRPEEAVEVLKDMELNGFTPSTVTYNSLISAYARDGLLEEAMDLKRQMEGKGIKPDVFTYTTLLSGFEKAGKDELAMGVFEEMRSAGCRPNICTFNALIKMHGNRGKFTEMMNVFDEIKACNGASDIVTWNTLLAVFGQNGMDLEVSGVFKEMKRAGFVPERDTFNTLISAYSRCGSFDQAMSVYKRMLEAGVTPDLSTYNAVLAALARGGLWKQSEKILAEMKDGRCKPNELTYCSLLHVYANGKQVDRMHALAEEIYSGIIEPHAVLLKTLVLVNSKCDLLVETERAFSELRKKGFSPDITTLNAMVSIYGRRQMVSKTNEILTFMNESGFTPSLTTYNSLMYMYSRSENFEESEKVLREVLAKGIKPDIISYNTVIYAYCRNGRMKEASRIFSEMGNSGLMPDVITYNTFVASYAADTMFEEAIDVVRYMIKHGCKPNQNTYNSIVDGYCKLNHQDEASTFVNNLQKLDPHISKEEEIRLSERIVEKWS >EOX98414 pep chromosome:Theobroma_cacao_20110822:2:5713635:5717586:1 gene:TCM_007183 transcript:EOX98414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MAERLALPLLLPNPPPSKPPFLNQTHNQRQNPTLLPNSPPPSTPILQDLLLRHSVKPQQPIDPQARTRTRTRIGRSRDLNRGKPWTHNRLSPQGQQVLNSLIDSSFDSNQLDSVLAKLFEQYQENPDAKTDFLTAEVLGIVKGLGFYKKHDLALGVFNWVKGRKDCDLVLNNSVVAVIVSMLGKAGRVSVAANLLNSLHKEGFNLNVYAYTSLITAYASSGRYREAMMVFKKIEEEGCKPTLITYNVILNVYGKMGMPWSKIMALVDGMKSDGVALDAYTYNTLISCCRRGSLHEEAALVFDEMKLAGFSPDNVTYNALLDVYGKSRRPEEAVEVLKDMELNGFTPSTVTYNSLISAYARDGLLEEAMDLKRQMEGKGIKPDVFTYTTLLSGFEKAGKDELAMGVFEEMRSAGCRPNICTFNALIKMHGNRGKFTEMMNVFDEIKACNGASDIVTWNTLLAVFGQNGMDLEVSGVFKEMKRAGFVPERDTFNTLISAYSRCGSFDQAMSVYKRMLEAGVTPDLSTYNAVLAALARGGLWKQSEKILAEMKDGRCKPNELTYCSLLHVYANGKQVDRMHALAEEIYSGIIEPHAVLLKTLVLVNSKCDLLVETERAFSELRKKGFSPDITTLNAMVSIYGRRQMVSKTNEILTFMNESGFTPSLTTYNSLMYMYSRSENFEESEKVLREVLAKGIKPDIISYNTVIYAYCRNGRMKEASRIFSEMGNSGLMPDVITYNTFVASYAADTMFEEAIDVVRYMIKHGCKPNQNTYNSIVDGYCKLNHQDEASTFVNNLQKLDPHISKEEEIRLSERIVEKWS >EOX98416 pep chromosome:Theobroma_cacao_20110822:2:5713472:5717661:1 gene:TCM_007183 transcript:EOX98416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MAERLALPLLLPNPPPSKPPFLNQTHNQRQNPTLLPNSPPPSTPILQDLLLRHSVKPQQPIDPQARTRTRTRIGRSRDLNRGKPWTHNRLSPQGQQVLNSLIDSSFDSNQLDSVLAKLFEQYQENPDAKTDFLTAEVLGIVKGLGFYKKHDLALGVFNWVKGRKDCDLVLNNSVVAVIVSMLGKAGRVSVAANLLNSLHKEGFNLNVYAYTSLITAYASSGRYREAMMVFKKIEEEGCKPTLITYNVILNVYGKMGMPWSKIMALVDGMKSDGVALDAYTYNTLISCCRRGSLHEEAALVFDEMKLAGFSPDNVTYNALLDVYGKSRRPEEAVEVLKDMELNGFTPSTVTYNSLISAYARDGLLEEAMDLKRQMEGKGIKPDVFTYTTLLSGFEKAGKDELAMGVFEEMRSAGCRPNICTFNALIKMHGNRGKFTEMMNVFDEIKACNGASDIVTWNTLLAVFGQNGMDLEVSGVFKEMKRAGFVPERDTFNTLISAYSRCGSFDQAMSVYKRMLEAGVTPDLSTYNAVLAALARGGLWKQSEKILAEMKDGRCKPNELTYCSLLHVYANGKQVDRMHALAEEIYSGIIEPHAVLLKTLVLVNSKCDLLVETERAFSELRKKGFSPDITTLNAMVSIYGRRQMVSKTNEILTFMNESGFTPSLTTYNSLMYMYSRSENFEESEKVLREVLAKGIKPDIISYNTVIYAYCRNGRMKEASRIFSEMGNSGLMPDVITYNTFVASYAADTMFEEAIDVVRYMIKHGCKPNQNTYNSIVDGYCKLNHQDEASTFVNNLQKLDPHISKEEEIRLSERIVEKWS >EOX98413 pep chromosome:Theobroma_cacao_20110822:2:5712223:5717441:1 gene:TCM_007183 transcript:EOX98413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MAERLALPLLLPNPPPSKPPFLNQTHNQRQNPTLLPNSPPPSTPILQDLLLRHSVKPQQPIDPQARTRTRTRIGRSRDLNRGKPWTHNRLSPQGQQVLNSLIDSSFDSNQLDSVLAKLFEQYQENPDAKTDFLTAEVLGIVKGLGFYKKHDLALGVFNWVKGRKDCDLVLNNSVVAVIVSMLGKAGRVSVAANLLNSLHKEGFNLNVYAYTSLITAYASSGRYREAMMVFKKIEEEGCKPTLITYNVILNVYGKMGMPWSKIMALVDGMKSDGVALDAYTYNTLISCCRRGSLHEEAALVFDEMKLAGFSPDNVTYNALLDVYGKSRRPEEAVEVLKDMELNGFTPSTVTYNSLISAYARDGLLEEAMDLKRQMEGKGIKPDVFTYTTLLSGFEKAGKDELAMGVFEEMRSAGCRPNICTFNALIKMHGNRGKFTEMMNVFDEIKACNGASDIVTWNTLLAVFGQNGMDLEVSGVFKEMKRAGFVPERDTFNTLISAYSRCGSFDQAMSVYKRMLEAGVTPDLSTYNAVLAALARGGLWKQSEKILAEMKDGRCKPNELTYCSLLHVYANGKQVDRMHALAEEIYSGIIEPHAVLLKTLVLVNSKCDLLVETERAFSELRKKGFSPDITTLNAMVSIYGRRQMVSKTNEILTFMNESGFTPSLTTYNSLMYMYSRSENFEESEKVLREVLAKGIKPDIISYNTVIYAYCRNGRMKEASRIFSEMGNSGLMPDVITYNTFVASYAADTMFEEAIDVVRYMIKHGCKPNQNTYNSIVDGYCKLNHQDEASTFVNNLQKLDPHISKEEEIRLSERIVEKWS >EOX97575 pep chromosome:Theobroma_cacao_20110822:2:2716504:2720794:-1 gene:TCM_006559 transcript:EOX97575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSREARKKSKEGDRDPSGLALVIEVRKKKSTGKGSKGGKSRSNNVQCFQCKRYGHIKRDCPTKEDESNENKGECAFVAEGDDCDVLTISKNMDANSDLYLDFASATHIYYQKDCFDLPQEGVAENLTLGNKSIMKVMGLRVVKIKMFDRVVRFLGGMAYVLEMRKNLISLSLLDSKGYGYSACDEVVKVTQGDMVLMMGNLHNDLYRLEYNNPRLDPKRVPKFIGLSSFKFHAMTKINRLLEQPIMGFKLFGSVKVCEVFDWVLRS >EOX96858 pep chromosome:Theobroma_cacao_20110822:2:266100:269087:1 gene:TCM_006009 transcript:EOX96858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MTNKFTSAFCFLMLFLALQTTCSMRQLKLTEFRAKLQAMAPPPSTSLQPHSSDTKINGRVFYPIGYGADPTGEQESSDAILQALNDAFQVQSGLEMLPGVTDLGGVVIDLLGGSYKISKPIRFPASGGGNVVVKGGSLRASNTFPGNRHLIEVWSPNSQVLKEKASVPTGFADAKDQNVGIYYEDITFRDILFDSSYRGGGIFIIDSARIRINNCFFLHFSTEGILVRKGHETFISSCFLGQHSTIGGDKGEKSFSGTAIQLSSNDNAITDAAIFSAAIGILLEGQANIVTGVHCYNKATAFGGVGILVKSAGALTRIDNCYLDFTAIVMEDPVQVHVTNGLFLGDANVVLKSIRGQISGVNIVNNMFNGNPRNMVPIIQLDGKFNTIDQVVIDQNNVNGMNLKSTVGKLTVAGNGTKWVADFSSLLVFPDRINHFQYSFLIQKEAAAGFPVHAVTNASNNVVVVESDKAVNGVVSVAVDQYNYIGESNVAFKK >EOX96859 pep chromosome:Theobroma_cacao_20110822:2:265687:269349:1 gene:TCM_006009 transcript:EOX96859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MLLDASPQLLTHLVPISAFCFLMLFLALQTTCSMRQLKLTEFRAKLQAMAPPPSTSLQPHSSDTKINGRVFYPIGYGADPTGEQESSDAILQALNDAFQVQSGLEMLPGVTDLGGVVIDLLGGSYKISKPIRFPASGGGNVVVLKEKASVPTGFADAKDQNVGIYYEDITFRDILFDSSYRGGGIFIIDSARIRINNCFFLHFSTEGILVRKGHETFISSCFLGQHSTIGGDKGEKSFSGTAIQLSSNDNAITDAAIFSAAIGILLEGQANIVTGVHCYNKATAFGGVGILVKSAGALTRIDNCYLDFTAIVMEDPVQVHVTNGLFLGDANVVLKSIRGQISGVNIVNNMFNGNPRNMVPIIQLDGKFNTIDQVVIDQNNVNGMNLKSTVGKLTVAGNGTKWVADFSSLLVFPDRINHFQYSFLIQKEAAAGFPVHAVTNASNNVVVVESDKAVNGVVSVAVDQYNYIGESNVAFKK >EOX99569 pep chromosome:Theobroma_cacao_20110822:2:12255504:12260454:-1 gene:TCM_008268 transcript:EOX99569 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MSSMDYRFGIEDVGVAILQELWNRVALQTVELAKETRDVVLEKDSFREFSRSVSELNTLLQALNVRKIEAAMGSEFTKAALEKLNGQLRKALKIIKDCKSGSRLRFLLHSHSVLSQMQALVKEIAATISSFQLINLDIAVNLKSMNNQIINNLNLMEFRMAAATETIASEIQNSITQSSRNRENAVKLLEKIAEAVGVNVNAALVQNELALLKQEKQEMEVQKKQAEALQLSQLIQLLYSAEIVSRPQNEETYTYLNQYLIGSFICPLCNEMMLDPVAVFCGHSFERKAIQQYFNSGKKNCPSCREELQSLELTPNVNLRSSIEEWKKRDMDWKFQAAVPGINSNDHLRKNKALEDMQVLVEIPQYAAKAAEEGLIPKFVESLKDTRLNTRAAVKCLYCLAKYCDDQKQEIVQAGAVRRIVKRIYNGETEPDTIAILLELSKTETLIEKIGNTKDCIPLLVSLLSNSNPDISCKAQKVLQNLSSNTHFVVKMAEAGHFQPFVARFNQAAGHQETRALMAAALVNMQLKENSISDLKDKQFVHNLVHLLSSNSPACKSACIKCVKKLIQYPKMVKRFLSDPATIPLLLNLISFRSDPLLKQEAAEILALLIEACQHSQFQTYQGLQELQSEHNVSLFLQVVANSDPKFRIQFLHLLIELSNKSKTAQNLIRSNTDAVNHLFSCLDSDQPSVRIWAMKLIQCVSEGHPDGVPLPSSPVKQTAINTLASILTYSPDFEERSIAAGIISQLPKDDIDIDEILRKSDALKAIHEVICSSDEEFGGIGAPTNQDKSLLENALAALLRFTEPSKPELQRQVGELELYPSLVRVLSSGSSLAKQRTAIALAQLSRSTSLSVSDASIRAEQANSIPLLHMMKLFPDMSWCCSASTENEISCPVHGVACSQRHTFCLVKADAVRPLLQTLSDTNSGVAEAALMALETLLEDHSTLSHATAAIVDSQGVVAILQVLEKGSISAKTTALDLFHKILNHSQISDPLFQRSEGILIQLLHEDALRKKVALVLKQMNVLPEQSSYF >EOX99571 pep chromosome:Theobroma_cacao_20110822:2:12255994:12260577:-1 gene:TCM_008268 transcript:EOX99571 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MSSMDYRFGIEDVGVAILQELWNRVALQTVELAKETRDVVLEKDSFREFSRSVSELNTLLQALNVRKIEAAMGSEFTKAALEKLNGQLRKALKIIKDCKSGSRLRFLLHSHSVLSQMQALVKEIAATISSFQLINLDIAVNLKSMNNQIINNLNLMEFRMAAATETIASEIQNSITQSSRNRENAVKLLEKIAEAVGVNVNAALVQNELALLKQEKQEMEVQKKQAEALQLSQLIQLLYSAEIVSRPQNEETYTYLNQYLIGSFICPLCNEMMLDPVAVFCGHSFERKAIQQYFNSGKKNCPSCREELQSLELTPNVNLRSSIEEWKKRDMDWKFQAAVPGINSNDHLRKNKALEDMQVNPDISCKAQKVLQNLSSNTHFVVKMAEAGHFQPFVARFNQAAGHQETRALMAAALVNMQLKENSISDLKDKQFVHNLVHLLSSNSPACKSACIKCVKKLIQYPKMVKRFLSDPATIPLLLNLISFRSDPLLKQEAAEILALLIEACQHSQFQTYQGLQELQSEHNVSLFLQVVANSDPKFRIQFLHLLIELSNKSKTAQNLIRSNTDAVNHLFSCLDSDQPSVRIWAMKLIQCVSEGHPDGVPLPSSPVKQTAINTLASILTYSPDFEERSIAAGIISQLPKDDIDIDEILRKSDALKAIHEVICSSDEEFGGIGAPTNQDKSLLENALAALLRFTEPSKPELQRQVGELELYPSLVRVLSSGSSLAKQRTAIALAQLSRSTSLSVSDASIRAEQANSIPLLHMMKLFPDMSWCCSASTENEISCPVHGVACSQRHTFCLVKADAVRPLLQTLSDTNSGVAEAALMALETLLEDHSTLSHATAAIVDSQGVVAILQVLEKGSISAKTTALDLFHKILNHSQISDPLFQRSEGILIQLLHEDALRKKVALVLKQMNVLPEQSSYF >EOX99570 pep chromosome:Theobroma_cacao_20110822:2:12255957:12260467:-1 gene:TCM_008268 transcript:EOX99570 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MSSMDYRFGIEDVGVAILQELWNRVALQTVELAKETRDVVLEKDSFREFSRSVSELNTLLQALNVRKIEAAMGSEFTKAALEKLNGQLRKALKIIKDCKSGSRLRFLLHSHSVLSQMQALVKEIAATISSFQLINLDIAVNLKSMNNQIINNLNLMEFRMAAATETIASEIQNSITQSSRNRENAVKLLEKIAEAVGVNVNAALVQNELALLKQEKQEMEVQKKQAEALQLSQLIQLLYSAEIVSRPQNEETYTYLNQYLIGSFICPLCNEMMLDPVAVFCGHSFERKAIQQYFNSGKKNCPSCREELQSLELTPNVNLRSSIEEWKKRDMDWKFQAAVPGINSNDHLRKNKALEDMQVNPDISCKAQKVLQNLSSNTHFVVKMAEAGHFQPFVARFNQAAGHQETRALMAAALVNMQLKENSISDLKDKQFVHNLVHLLSSNSPACKSACIKCVKKLIQYPKMVKRFLSDPATIPLLLNLISFRSDPLLKQEAAEILALLIEACQHSQFQTYQGLQELQSEHNVSLFLQVVANSDPKFRIQFLHLLIELSNKSKTAQNLIRSNTDAVNHLFSCLDSDQPSVRIWAMKLIQCVSEGHPDGVPLPSSPVKQTAINTLASILTYSPDFEERSIAAGIISQLPKDDIDIDEILRKSDALKAIHEVICSSDEEFGGIGAPTNQDKSLLENALAALLRFTEPSKPELQRQVGELELYPSLVRVLSSGSSLAKQRTAIALAQLSRSTSLSVSDASIRAEQANSIPLLHMMKLFPDMSWCCSASTENEISCPVHGVACSQRHTFCLVKADAVRPLLQTLSDTNSGVAEAALMALETLLEDHSTLSHATAAIVDSQGVVAILQVLEKGSISAKTTALDLFHKILNHSQISDPLFQRSEGILIQLLHEDALRKKVALVLKQMNVLPEQSSYF >EOX99567 pep chromosome:Theobroma_cacao_20110822:2:12256454:12259774:-1 gene:TCM_008268 transcript:EOX99567 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MSSMDYRFGIEDVGVAILQELWNRVALQTVELAKETRDVVLEKDSFREFSRSVSELNTLLQALNVRKIEAAMGSEFTKAALEKLNGQLRKALKIIKDCKSGSRLRFLLHSHSVLSQMQALVKEIAATISSFQLINLDIAVNLKSMNNQIINNLNLMEFRMAAATETIASEIQNSITQSSRNRENAVKLLEKIAEAVGVNVNAALVQNELALLKQEKQEMEVQKKQAEALQLSQLIQLLYSAEIVSRPQNEETYTYLNQYLIGSFICPLCNEMMLDPVAVFCGHSFERKAIQQYFNSGKKNCPSCREELQSLELTPNVNLRSSIEEWKKRDMDWKFQAAVPGINSNDHLRKNKALEDMQVLVEIPQYAAKAAEEGLIPKFVESLKDTRLNTRAAVKCLYCLAKYCDDQKQEIVQAGAVRRIVKRIYNGETEPDTIAILLELSKTETLIEKIGNTKDCIPLLVSLLSNSNPDISCKAQKVLQNLSSNTHFVVKMAEAGHFQPFVARFNQAAGHQETRALMAAALVNMQLKENSISDLKDKQFVHNLVHLLSSNSPACKSACIKCVKKLIQYPKMVKRFLSDPATIPLLLNLISFRSDPLLKQEAAEILALLIEACQHSQFQTYQGLQELQSEHNVSLFLQVVANSDPKFRIQFLHLLIELSNKSKTAQNLIRSNTDAVNHLFSCLDSDQPSVRIWAMKLIQCVSEGHPDGVPLPSSPVKQTAINTLASILTYSPDFEERSIAAGIISQLPKDDIDIDEILRKSDALKAIHEVICSSDEEFGGIGAPTNQDKSLLENALAALLRFTEPSKPELQRQVGELELYPSLVRVLSSGSSLAKQRTAIALAQLSRSTSLSVSDASIRAEQANSIPLLHMMKLFPDMSWCCSASTENEISCPVHGVACSQRHTFCLVKADAVRPLLQTLSDTNSGVAEAALMALETLLEDHSTLSHATAAIVDSQGVVAILQVLEKGSISAKTTALDLFHKILNHSQISDPLFQRSEGILIQLLHEDALRKKVALVLKQMNVLPEQSSYF >EOX99568 pep chromosome:Theobroma_cacao_20110822:2:12255994:12260577:-1 gene:TCM_008268 transcript:EOX99568 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MSSMDYRFGIEDVGVAILQELWNRVALQTVELAKETRDVVLEKDSFREFSRSVSELNTLLQALNVRKIEAAMGSEFTKAALEKLNGQLRKALKIIKDCKSGSRLRFLLHSHSVLSQMQALVKEIAATISSFQLINLDIAVNLKSMNNQIINNLNLMEFRMAAATETIASEIQNSITQSSRNRENAVKLLEKIAEAVGVNVNAALVQNELALLKQEKQEMEVQKKQAEALQLSQLIQLLYSAEIVSRPQNEETYTYLNQYLIGSFICPLCNEMMLDPVAVFCGHSFERKAIQQYFNSGKKNCPSCREELQSLELTPNVNLRSSIEEWKKRDMDWKFQAAVPGINSNDHLRKNKALEDMQVLVEIPQYAAKAAEEGLIPKFVESLKDTRLNTRAAVKCLYCLAKYCDDQKVVANSDPKFRIQFLHLLIELSNKSKTAQNLIRSNTDAVNHLFSCLDSDQPSVRIWAMKLIQCVSEGHPDGVPLPSSPVKQTAINTLASILTYSPDFEERSIAAGIISQLPKDDIDIDEILRKSDALKAIHEVICSSDEEFGGIGAPTNQDKSLLENALAALLRFTEPSKPELQRQVGELELYPSLVRVLSSGSSLAKQRTAIALAQLSRSTSLSVSDASIRAEQANSIPLLHMMKLFPDMSWCCSASTENEISCPVHGVACSQRHTFCLVKADAVRPLLQTLSDTNSGVAEAALMALETLLEDHSTLSHATAAIVDSQGVVAILQVLEKGSISAKTTALDLFHKILNHSQISDPLFQRSEGILIQLLHEDALRKKVALVLKQMNVLPEQSSYF >EOY01904 pep chromosome:Theobroma_cacao_20110822:2:41326515:41328171:-1 gene:TCM_011696 transcript:EOY01904 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MASETEAPEISSLFERLLRHRDLYFFLPFILGFSSNNSNNSVSRENPEDPDQESPQETTSQRERIILINPFTQGMVVIEGASNLEALLRSWANKDGQPPASKTSIEAMPSVEIGESEDGECVVCLEEWRPGEVAKEMPCKHKFHGECIEKWLRIHGSCPICRYNMPVDEEEMGNKRDEERRRSEREVWVSFSFNSDRRSGDSNQVPPTDSNDVSSLSPRPDDHEMEG >EOY01033 pep chromosome:Theobroma_cacao_20110822:2:36981175:36982242:-1 gene:TCM_010968 transcript:EOY01033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic carbon transport protein-related, putative isoform 2 MSSPFTFQIPKAWPSISHSPSHCTTFPFYNITSTHKPIHHSKLDDKKRSVASINIKPNDFFDTKKTSLAIQVAALLATFEQPALAVTGVNNEPDLISVIIQLGIVAFWYFLIMPPIIMNWLRIRWYRRNLLEMYLQFMCVFLFFPG >EOY01032 pep chromosome:Theobroma_cacao_20110822:2:36980490:36982209:-1 gene:TCM_010968 transcript:EOY01032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic carbon transport protein-related, putative isoform 2 MSSPFTFQIPKAWPSISHSPSHCTTFPFYNITSTHKPIHHSKLDDKKRSVASINIKPNDFFDTKKTSLAIQVAALLATFEQPALAVTGVNNEPDLISVIIQLGIVAFWYFLIMPPIIMNWLRIRWYRRNLLEMYLQFMCVFLFFPGVLLWAPFLNFRKFPRDPSLKYPWDTPKDPSQVKYAYLKYPFAKPEDYDG >EOY01148 pep chromosome:Theobroma_cacao_20110822:2:37848196:37854002:-1 gene:TCM_011082 transcript:EOY01148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein isoform 1 MDYERIQKPQGGGGFSPAKLRTMLLGVEKKRKQEEEEQEEVESSIDLRSQLSHLDDTGGSGSDSCKDVDVVSVLPECSTLTTADSMAAVQNGSERRLKDHSLGNTRNRFIEDHPGLDYDSGHDNMSVSSSSVFEFQKTERAQQRVPVGPFSKPAPSKWDDAQKWIASPTANRPKTGQGGQGVGSRKGGNFGYGRQSSTKVVVEVSDQKMVALEEPDTKRIDMNHAKKDNGLQKFVNWESNPYPIVDTYGKPVLMFENSVAESAISLSQHDSSMSIHSATTFIPPPSTARSVSMRDMGTEMTPIASQEPSRTGTPVRSTTPIRSPNSSRPSTPSRAVPVSSPANPPYDHLDLKMELSEKELINLLVNPFICTTSRLIHVYKYADC >EOY01147 pep chromosome:Theobroma_cacao_20110822:2:37849247:37854208:-1 gene:TCM_011082 transcript:EOY01147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein isoform 1 MDYERIQKPQGGGGFSPAKLRTMLLGVEKKRKQEEEEQEEVESSIDLRSQLSHLDDTGGSGSDSCKDVDVVSVLPECSTLTTADSMAAVQNGSERRLKDHSLGNTRNRFIEDHPGLDYDSGHDNMSVSSSSVFEFQKTERAQQRVPVGPFSKPAPSKWDDAQKWIASPTANRPKTGQGGQGVGSRKGGNFGYGRQSSTKVVVEVSDQKMVALEEPDTKRIDMNHAKKDNGLQKFVNWESNPYPIVDTYGKPVLMFENSVAESAISLSQHDSSMSIHSATTFIPPPSTARSVSMRDMGTEMTPIASQEPSRTGTPVRSTTPIRSPNSSRPSTPSRAVPVSSPANPPYDHLDLKMELSEKELQMKTRREIMVLGAQLGKTNIAAWASKEEEDKDASTSLKTVTPEQPAKSVIETRAAAWEEAEKAKYMARFKREEMKIQAWENHQKAKTEAEMRKIEVEVERMRGRAHDKLMNKLAAARHKAEEKRAAAEAKRNQQAAKTEQQAEYIRRTGRIPYSFPCWNWCS >EOY01149 pep chromosome:Theobroma_cacao_20110822:2:37849001:37854208:-1 gene:TCM_011082 transcript:EOY01149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein isoform 1 MDYERIQKPQGGGGFSPAKLRTMLLGVEKKRKQEEEEQEEVESSIDLRSQLSHLDDTGGSGSDSCKDVDVVSVLPECSTLTTADSMAAVQNGSERRLKDHSLGNTRNRFIEDHPGLDYDSGHDNMSVSSSSVFEFQKTERAQQRVPVGPFSKPAPSKWDDAQKWIASPTANRPKTGQGGQGVGSRKGGNFGYGRQSSTKVVVEVSDQKMVALEEPDTKRIDMNHAKKDNGLQKFVNWESNPYPIVDTYGKPVLMFENSVAESATRSVSMRDMGTEMTPIASQEPSRTGTPVRSTTPIRSPNSSRPSTPSRAVPVSSPANPPYDHLDLKMELSEKELQMKTRREIMVLGAQLGKTNIAAWASKEEEDKDASTSLKTVTPEQPAKSVIETRAAAWEEAEKAKYMARFKREEMKIQAWENHQKAKTEAEMRKIEVEVERMRGRAHDKLMNKLAAARHKAEEKRAAAEAKRNQQAAKTEQQAEYIRRTGRIPYSFPCWNWCS >EOX98449 pep chromosome:Theobroma_cacao_20110822:2:5801332:5804793:1 gene:TCM_007210 transcript:EOX98449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function, putative isoform 2 MMVAAFSHPPTPSEPPVQEPRQETNTHQEENPTTTTTKRPPLKDNGSTVTRKPRGRQVSSRYMSPSPSSSSSAAATTTAAKTTTRFPSPLISRSTNTTATGNKTTARFPSPLISRSTNASSTSTPASTMLPSLPKRSQSVDRRRPGSQVSQGNNINATELSAATKMLITSTRSLSVSFQGEAFSLPISKTKAQVGSAMTRKATPERRRATPVRDHGENSKPVDQHRWPGRTRQGNSGTNPLSRSLDYSSERKMFGSGAIVAKSLQQSMMLDESSRRVSFDGSSRLSLDLGSSAELLKEATKQNSDANSINEASCVSCDLTASDTDSVSSGSTNSGMQECGGSGILKGRSGPRNIVVSARFWQETNSRLRRLQDPGSPLSTSPGSRIGASAKFSQSKRFSSDGVVSSPRTMASPIRGGTRPASPSKLWTSATSSPLRGLSPARVRNAVGGQMMGNSVNTPSILSFSVDIRRGKMGEDRIVDAHMLRLLYNRYLQWRFANARADATFMLQKLSAEARSE >EOX98446 pep chromosome:Theobroma_cacao_20110822:2:5801282:5809785:1 gene:TCM_007210 transcript:EOX98446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function, putative isoform 2 MMVAAFSHPPTPSEPPVQEPRQETNTHQEENPTTTTTKRPPLKDNGSTVTRKPRGRQVSSRYMSPSPSSSSSAAATTTAAKTTTRFPSPLISRSTNTTATGNKTTARFPSPLISRSTNASSTSTPASTMLPSLPKRSQSVDRRRPGSQVSQGNNINATELSAATKMLITSTRSLSVSFQGEAFSLPISKTKAQVGSAMTRKATPERRRATPVRDHGENSKPVDQHRWPGRTRQGNSGTNPLSRSLDYSSERKMFGSGAIVAKSLQQSMMLDESSRRVSFDGSSRLSLDLGSSAELLKEATKQNSDANSINEASCVSCDLTASDTDSVSSGSTNSGMQECGGSGILKGRSGPRNIVVSARFWQETNSRLRRLQDPGSPLSTSPGSRIGASAKFSQSKRFSSDGVVSSPRTMASPIRGGTRPASPSKLWTSATSSPLRGLSPARVRNAVGGQMMGNSVNTPSILSFSVDIRRGKMGEDRIVDAHMLRLLYNRYLQWRFANARADATFMLQKLSAEKNLWNAWVTTSELRHSVTLKRIKLLLLRQKLKLTSILKGQIAYLEEWALLDRDHSSSLLGATEALKASTLRLPIVGKATADIQNLKDAVSSAVDVMQAMASSICSLSLKVEEMNSLVAELVSVTAKERILLEQCKDFLSTLAAIQVKDCSLRTHIIQLNRVPTTSSLTTHV >EOX98448 pep chromosome:Theobroma_cacao_20110822:2:5801483:5808951:1 gene:TCM_007210 transcript:EOX98448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function, putative isoform 2 MMVAAFSHPPTPSEPPVQEPRQETNTHQEENPTTTTTKRPPLKDNGSTVTRKPRGRQVSSRYMSPSPSSSSSAAATTTAAKTTTRFPSPLISRSTNTTATGNKTTARFPSPLISRSTNASSTSTPASTMLPSLPKRSQSVDRRRPGSQVSQGNNINATELSAATKMLITSTRSLSVSFQGEAFSLPISKTKAQVGSAMTRKATPERRRATPVRDHGENSKPVDQHRWPGRTRQGNSGTNPLSRSLDYSSERKMFGSGAIVAKSLQQSMMLDESSRRVSFDGSSRLSLDLGSSAELLKEATKQNSDANSINEASCVSCDLTASDTDSVSSGSTNSGMQECGGSGILKGRSGPRNIVVSARFWQETNSRLRRLQDPGSPLSTSPGSRIGASAKFSQSKRFSSDGVVSSPRTMASPIRGGTRPASPSKLWTSATSSPLRGLSPARVRNAVGGQMMGNSVNTPSILSFSVDIRRGKMGEDRIVDAHMLRLLYNRYLQWRFANARADATFMLQKLSAEIAYLEEWALLDRDHSSSLLGATEALKASTLRLPIVGKATADIQNLKDAVSSAVDVMQAMASSICSLSLKVEEMNSLV >EOX98447 pep chromosome:Theobroma_cacao_20110822:2:5801483:5809624:1 gene:TCM_007210 transcript:EOX98447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function, putative isoform 2 MMVAAFSHPPTPSEPPVQEPRQETNTHQEENPTTTTTKRPPLKDNGSTVTRKPRGRQVSSRYMSPSPSSSSSAAATTTAAKTTTRFPSPLISRSTNTTATGNKTTARFPSPLISRSTNASSTSTPASTMLPSLPKRSQSVDRRRPGSQVSQGNNINATELSAATKMLITSTRSLSVSFQGEAFSLPISKTKAQVGSAMTRKATPERRRATPVRDHGENSKPVDQHRWPGRTRQGNSGTNPLSRSLDYSSERKMFGSGAIVAKSLQQSMMLDESSRRVSFDGSSRLSLDLGSSAELLKEATKQNSDANSINEASCVSCDLTASDTDSVSSGSTNSGMQECGGSGILKGRSGPRNIVVSARFWQETNSRLRRLQDPGSPLSTSPGSRIGASAKFSQSKRFSSDGVVSSPRTMASPIRGGTRPASPSKLWTSATSSPLRGLSPARVRNAVGGQMMGNSVNTPSILSFSVDIRRGKMGEDRIVDAHMLRLLYNRYLQWRFANARADATFMLQKLSAEKNLWNAWVTTSELRHSVTLKRIKLLLLRQKLKLTSILKGQIAYLEEWALGGGNEFFSG >EOY01643 pep chromosome:Theobroma_cacao_20110822:2:40446513:40450425:-1 gene:TCM_011488 transcript:EOY01643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brassinosteroid-6-oxidase 2 MAFLVVVVACWLALGFCTCFAMLKWNEIRYSRRKGLPPGTMGWPVFGETTEFLKHGPNFMKKQRARYGSLFKTHILGCPTVVSMDPELNRYILMNEGKGLVPGYPQSMLDILGKCNIAAVHGSAHKRIRGSLLSLIGPLVIKDELLPKIDKLMRSFLENWNGESTIDIQERTNEMALSISFKQILEKESTSLYEIFKPDFDKLLVGTLSLPINIPGTNYHHGIQGRRRIIKMLKRIMEERRALSTVYDDMLHRLLDCKDSKYNLSDKEIIDQIITIMYSGYETVSTTSMMAIKYLHDHPKALQELREEHVSIREKKKPGEAIDWNDYKSMSFTRAVIFETSRLATIVNGVLRKTTEDMEVNGFTIPKGWRIYVYTREINYDPFLYPEPLTFNPWRWLDKNLESHNYCFLFGGGSRLCPGKELGIIQISTFLHYFVTSYRWEEAGTNEIQQFPRVEAPKGLHIRVSKY >EOY00854 pep chromosome:Theobroma_cacao_20110822:2:35553141:35554577:1 gene:TCM_010778 transcript:EOY00854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 4, putative MGRKPCCSQEEGLNRGTWTATEDKILTEYIKAHGEGKWRSIPKAAGLKRCGKSCRLRWLNYLRPDIKRGNITRDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSVLSKRAQVKEFDHTNKDEKKQRSISCSRKAPTSSGVIHAKAGRCTKVSFSPQQEVTGEDDNNTIVRTAPSMDVELAHDAAVASGSSDGSFTLLSSKEENPCISRFAMDFDIGESSISEALASHFSQLSDFDFCDINTVIYEYGTKDNGQALVSPEGMVGNWSGNGLVDANLDSDFGFLAGFLESDGDLTI >EOX97966 pep chromosome:Theobroma_cacao_20110822:2:4091562:4094586:-1 gene:TCM_006845 transcript:EOX97966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome BC1 synthesis MMLLIVAKVYPIEEPKTVSFDSPVLIRTGKVFSYYFHSLLPTNVVTTPFLHVYIDSISIPRASFFLLLKIRQTFLSLCFFQFFFLFNFLRINKMPSVPIPTSFFNGTYQGPSAKVIFSAAASVAATAMLVRSVVREFVPHELRDFIFLKIKNLLASLSSELTLVIEEYNNLNHNLLYKAAELYLEPTIPPDTKRIRVTMPRKEGKISLSLEKNQEVIDKFNGVQVKWRFVSKDIPSKCIQRSDPYNPVVKSEIRFFELSFHKKHKEMILNEYMQHILAKAKEMKEKKKTLKLFTLKYERMPGRRGDMWQSVNLDHPATFQTLAMDSEMKQKIVEDLERFVKRKEYYKRVGKAWKRGYLLFGPPGTGKSSLIAAMANYLNFDIYDLELTEIRGNSELRKLVISTGNKSILVVEDIDCSLELQDRLAQARAAAAQSSRHIHVPQFAFYQYQLTLSGLLNFIDGLWSSCGDERIIVFTTNHKDRLDPALLRPGRMDVHIHMSYCTPCGFKMLASNFLWIEEHPLFLEIEELLEISKVTPAEVGEQLMKDEVPEIVLRGLIEFLEAKLEEGREAEAESEAGESQSGVAQAAEGGDKLEDKQGKSKKQEDRGNQQTLMIQ >EOX99937 pep chromosome:Theobroma_cacao_20110822:2:18648022:18648997:1 gene:TCM_008973 transcript:EOX99937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRKKVKLEWITNDNARRVSLKKRRLGLSKKMNELSTLCGVNACAIIYGPNEIELTVWPSHDVVQQQLTHFQSLSELE >EOY01551 pep chromosome:Theobroma_cacao_20110822:2:40016089:40018725:-1 gene:TCM_011416 transcript:EOY01551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein MASFSVEDFVGNGVLKELLPKLLEEGWDDVPTLKIMNSEDMDAINMSQHQKDALEIRTYLHDRALVQYGDRLEASRKILPELLNISTEDLSSQFGMKRGHIARFTDRTNPCADPLPKSYGLTASKVTGTPSRNNSIYKHFATVNSNKMQNTAKSFGRSSTNNERSLGESLADFKIKDGYIFKGIVAAGPAEPRACGCVQPPPVVDNVAPYSAIGNISVQKLTPAYKIGMERLVKSKTPPMKASELWRDKPAVLLCIRRPGCIMCRAEAHQFYAKKPIFDALGVQIFAVLHEHIESEVKDFWPRYWGGVVILDRSMGFFKALGGGKLLKDKFLSGFVFNPRAIANYKRAKAMGIKQNFKGEGEIKGGLFIVGRGGTGIAYQFIERNFGDWAPVAEVIEICTRLQNQELDQGASSKSPQEYE >EOY01449 pep chromosome:Theobroma_cacao_20110822:2:39501456:39503021:-1 gene:TCM_011331 transcript:EOY01449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein 97, putative MGALCKVLDAILLRMLVLISVAAPLRDSQSVLHESIYPELLWPLALLNVYGMLASKPWLNTTCRIFGASLVTSMAAILGELLGSPKASDKLLIVYSPFTGFGILAMLRGLVPHSGKAAPTTGKGPALARKKRA >EOX97521 pep chromosome:Theobroma_cacao_20110822:2:2555306:2555814:1 gene:TCM_006516 transcript:EOX97521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSKKLNRMAWKWQQMTVMGRKRISFQGMANAKVIAGSDDKSSVAEKGHFVIYTTDQKRRVVPLAYLSSNK >EOX97048 pep chromosome:Theobroma_cacao_20110822:2:1034506:1037822:-1 gene:TCM_006163 transcript:EOX97048 gene_biotype:protein_coding transcript_biotype:protein_coding description:R-protein L3 B isoform 1 MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYVKTPRGLRTLGTVWAQHLNEEVKRRFYKHWCKSKKKAFTKYSKKFETEDGKKDIQLQLEKLKKYCTVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGNIAQKVDFAYSFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYKLGKAGQESHSAMTDYDRTEKDITPMGGFPHYGVVKEDYVLIKGCCVGPKKRVVTLRQSLLHQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGKLKA >EOX97049 pep chromosome:Theobroma_cacao_20110822:2:1035299:1037789:-1 gene:TCM_006163 transcript:EOX97049 gene_biotype:protein_coding transcript_biotype:protein_coding description:R-protein L3 B isoform 1 MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYVKTPRGLRTLGTVWAQHLNEEVKRRFYKHWCKSKKKAFTKYSKKFETEDGKKDIQLQLEKLKKYCTVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGNIAQKVDFAYSFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKV >EOX97746 pep chromosome:Theobroma_cacao_20110822:2:3281440:3282709:-1 gene:TCM_006682 transcript:EOX97746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKHQLFSRCTAYIFDDVREIYSRNNLFTLPIKVHFLAKRVERGKQVSSITAMASTKIISSKLSPIFNIDNSISCKLRQGDAVLVENLDESKFLAAYSADIDYKDVATTAEFKLSLNFYLKELVVSPVRSLKDIIAFNNKFRFGLKGSEPTLIEIAYAFEQATKIRRPPSFKH >EOY01450 pep chromosome:Theobroma_cacao_20110822:2:39503429:39505569:-1 gene:TCM_011332 transcript:EOY01450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein 97 MGILCKVVDALLLVVFVVMLLLGPLIDAQMVLPETSFPDVLIRLKQSYANEYQDYLMVEKPQFFVALVWLELVFQWPLVVLNIYGILASKPWFNTTCLIYGASVITSMAAILGELLGSQKASDKLLMMYTPFMGVGVLALLRGLVPQSGRASSSIGKRPALARKKRA >EOY00057 pep chromosome:Theobroma_cacao_20110822:2:24224546:24226782:1 gene:TCM_009468 transcript:EOY00057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid inducible 34, putative MCIALSCICGEKQPYRARGSGRRCSDYCLLQAQQHCYLREDTYNRKRRSIITFDGMRLDLGETTKLFHPPIPHYLQACWLTSVNSLVHLHVGGSRLAQEDKHRAMIDYGEAFPVFHSCSMPLVTADQRVLLLPIQTLLLNQLNPMDSNASGFVLNTSTLHSVYYQGKEGNGIIDLGLSLRTLQPEAYHPSRHMAGLEGYNDLMSWPQANSQMKSSNSGYSRPVAEDCDDEAEGVQSREKRAYVKVTMDGVMVGRKVCMLDHGGYSGLARQLEEMFGRQNESGLRLFEVESEFSLLYKGMEENWRNVGDEPWKEFVKLVKRLRISRKNE >EOX99268 pep chromosome:Theobroma_cacao_20110822:2:9661517:9664917:1 gene:TCM_007878 transcript:EOX99268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPDYCTHCCHVGHDISGCLVIGNKQEKPGMPMPKPTEAVKTNEPSRIPIRENHEEKNFKVHGGKEKAVIVNNGKRKEAMWTVPLKQSRQWKEVGKASKTGAKISLGVEIVSENSSKNPQVEVSNRFNVIATEGKNEEQSMGKERNRVQEVKNSLVLKNQFGGMQKERNVTEK >EOY02092 pep chromosome:Theobroma_cacao_20110822:2:41926564:41931093:1 gene:TCM_011826 transcript:EOY02092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein / bromo-adjacent domain-containing protein, putative isoform 1 MVVVRWFHKIDEVGIVLPHNYNSREIFSSLCLQDLSIECIDGLATVLSPEHFKKYLNEAMHTQLEPFVCCKQFENDDVKPFDVTQVKGYWKQDILRYMYSLSLSNDCVSHQQRADDQKADWNVDDGVGIRPRKKYRQSKDDDVCLHFSGSRESMDASCADVLDMGNGKNGTESFSLSGGSSAFLSADGAKQNFSQHLKVGSQVEILAQDSGIRGCWFRALIIKKHKDKVKVQYQDLQDAADEANKLEEWILASRVAVPDQMGIRFGGRTTIRPSPQNPKGSASCIDVGSVVDVWWHGGWWEGIVFKKDSEDKLHVYFPGEKRESVFDSGDVRPSQEWLGNRWINIKERHDLVSSILRGRKQDAGKSYDCNLVKTTVGDGGQFAKGATIGCNDSPVEPGNDRAKDVGLVPDLSKDDLLSQLKWKSSRKRRRGTGTSVQKQNCGCKRIKSTAEVTRSVTCERFLIPASLKLDNDNCKYRGDPLFSSSVVPPLTSLVMTR >EOY02093 pep chromosome:Theobroma_cacao_20110822:2:41926689:41930676:1 gene:TCM_011826 transcript:EOY02093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein / bromo-adjacent domain-containing protein, putative isoform 1 MVVVRWFHKIDEVGIVLPHNYNSREIFSSLCLQDLSIECIDGLATVLSPEHFKKYLNEAMHTQLEPFVCCKQFENDDVKPFDVTQVKGYWKQDILRYMYSLSLSNDCVSHQQRADDQKADWNVDDGVGIRPRKKYRQSKDDDVCLHFSGSRESMDASCADVLDMGNGKNGTESFSLSGGSSAFLSADGAKQNFSQHLKVGSQVEILAQDSGIRGCWFRALIIKKHKDKVKVQYQDLQDAADEANKLEEWILASRVAVPDQMGIRFGGRTTIRPSPQNPKGSASCIDVGSVVDVWWHGGWWEGIVFKKDSEDKLHVYFPGEKRESVFDSGDVRPSQEWLGNRWINIKERHDLVSSILRGRKQDAGKSYDCNLVKTTVGDGGQFAKGATIGCNDSPVEPGNDRAKDVGLVPDLSKDDLLSQLKWKSSRKRRRGTGTSVQKQNCGCKRIKSTAEVTRSVTCERFLIPASLKLDNDNCKYRGDPLFSSSVVPPLTSLVMTR >EOY02091 pep chromosome:Theobroma_cacao_20110822:2:41926564:41931093:1 gene:TCM_011826 transcript:EOY02091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein / bromo-adjacent domain-containing protein, putative isoform 1 MERVAVASAASPASSTAAYVSWEEVNVSSDKGMREVHYYLKKRDGASDLAVVGKEKSVRHMSYHFAIKNRSLFFSSTSFHKLKSRREVVDWLNSVVSDLPSHEPYCSVDGFRYGKDVNNLDFGALKDFQSRKLGQYTKELFWLGFSWTCRKKRKHYQSFRRNGVIISIHDFVYVLAEEDKRLVAYLEDLYEDSKGNKMVVVRWFHKIDEVGIVLPHNYNSREIFSSLCLQDLSIECIDGLATVLSPEHFKKYLNEAMHTQLEPFVCCKQFENDDVKPFDVTQVKGYWKQDILRYMYSLSLSNDCVSHQQRADDQKADWNVDDGVGIRPRKKYRQSKDDDVCLHFSGSRESMDASCADVLDMGNGKNGTESFSLSGGSSAFLSADGAKQNFSQHLKVGSQVEILAQDSGIRGCWFRALIIKKHKDKVKVQYQDLQDAADEANKLEEWILASRVAVPDQMGIRFGGRTTIRPSPQNPKGSASCIDVGSVVDVWWHGGWWEGIVFKKDSEDKLHVYFPGEKRESVFDSGDVRPSQEWLGNRWINIKERHDLVSSILRGRKQDAGKSYDCNLVKTTVGDGGQFAKGATIGCNDSPVEPGNDRAKDVGLVPDLSKDDLLSQLKWKSSRKRRRGTGTSVQKQNCGCKRIKSTAEVTRSVTCERFLIPASLKLDNDNCKYRGDPLFSSSVVPPLTSLVMTR >EOY00644 pep chromosome:Theobroma_cacao_20110822:2:34235976:34239548:-1 gene:TCM_010545 transcript:EOY00644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein MKFMKLGSRPDTFYTAEAVRSVSSEVSSDLIIQVKGSRYLLHKFPLLSKCLRLQRLCSESPETSQHQIIQLPDFPGGIEAFELCAKFCYGITITLSAYNIVSARCAAEYLQMTEDVEKGNLVYKLEVFFNSCILHGWKDSIVTLQSTKAFPLWSEDLGITSRCIEAIASKVLTHPSKVSLSHSHSRRVRDDISCNGAESQRHKPTTKGWWAEDMAELGIDLYWRTMIAIKSGGKIPSNLIGEALQIYASRSLPNISRQIKANKEAASDSDSDSAGEVTSKHRLLLESIVSLLPSEKGAVSCSFLLKLLKAANILNASSSSKMELARRVALQLEEARVSDLLIPSLSHSSDTLYDVDVDIVMIILEQFMLQGQSPPTSPQRSKLGFERRRRSRSAENIDFEFHESRRSSSASHSSKLKVAKIVDGYLQEIARDKKLPLSKFIAIAETIPDFSRHDHDDLYRAIDIYLKAHPDLNKSERKKLCRVLDCKKLSVEACMHAAQNEKLPLRVVVQVLFFEQARAATAGGKVTELPSNIKALLASHNIDPSRPPGPLSTTTSIQADDQWSVSGLKSPKSRISTLRMKLAEDDDLDEKDMHTDGMGRPSKFKAFCALPARPKKMFSKLLSINRSGSEKN >EOY00683 pep chromosome:Theobroma_cacao_20110822:2:34467761:34471897:1 gene:TCM_010606 transcript:EOY00683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MYHALGAPRLIYRAHISRLVKNGLTNQAISMFDQMTQSDCRVFGLDYNRFIGVLLRDSRFDLAEYYYFQMFPQGFSLTPFTYSRFISALCPVKNFNFIELLLNDMDKLNYVPDIWAFNIYLNLLCREKKIELALEVFHSLVKKGRDPDVVTYTIMIDGLCKAGKFDNAVGFWREMVGKGFRPDNKACCALVVGLCDGGKVDLAYELVVEVIKGGDIEFSTLLYNALISGFCRIRRIDKAQAIKLFMTKNGCEPDLVTYNVLLNYCCNELMLEEAAKLVKKMERSGIGPDVYGYNQMIKGFCNANRPDKALLLMVNKMEANGLADAVSHNTIIKAFCKGGHIGKAYKLFEEMGRKGIAPDVVTFTTLIKACLTEGSSDIAKALLDRMSGMGLLPDCIFYTAIIDHLCKNGKVEMAHSVFDDMIKQGVNPDVVSYNALINGFCKSNRASEAIHLYEEMQTRGFSPDEVTFKLIIGGLIREKKLSKACKVWDQMMEKGFTLDGAVSETLINAIHSVDSG >EOY00682 pep chromosome:Theobroma_cacao_20110822:2:34467761:34471897:1 gene:TCM_010606 transcript:EOY00682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MYHALGAPRLIYRAHISRLVKNGLTNQAISMFDQMTQSDCRVFGLDYNRFIGVLLRDSRFDLAEYYYFQMFPQGFSLTPFTYSRFISALCPVKNFNFIELLLNDMDKLNYVPDIWAFNIYLNLLCREKKIELALEVFHSLVKKGRDPDVVTYTIMIDGLCKAGKFDNAVGFWREMVGKGFRPDNKACCALVVGLCDGGKVDLAYELVVEVIKGGDIEFSTLLYNALISGFCRIRRIDKAQAIKLFMTKNGCEPDLVTYNVLLNYCCNELMLEEAAKLVKKMERSGIGPDVYGYNQMIKGFCNANRPDKALLLMVNKMEANGLADAVSHNTIIKAFCKGGHIGKAYKLFEEMGRKGIAPDVVTFTTLIKACLTEGSSDIAKALLDRMSGMGLLPDCIFYTAIIDHLCKNGKVEMAHSVFDDMIKQGVNPDVVSYNALINGFCKSNRASEAIHLYEEMQTRGFSPDEVTFKLIIGGLIREKKLSKACKVWDQMMEKGFTLDGAVSETLINAIHSVDSG >EOY00680 pep chromosome:Theobroma_cacao_20110822:2:34467761:34471897:1 gene:TCM_010606 transcript:EOY00680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MYHALGAPRLIYRAHISRLVKNGLTNQAISMFDQMTQSDCRVFGLDYNRFIGVLLRDSRFDLAEYYYFQMFPQGFSLTPFTYSRFISALCPVKNFNFIELLLNDMDKLNYVPDIWAFNIYLNLLCREKKIELALEVFHSLVKKGRDPDVVTYTIMIDGLCKAGKFDNAVGFWREMVGKGFRPDNKACCALVVGLCDGGKVDLAYELVVEVIKGGDIEFSTLLYNALISGFCRIRRIDKAQAIKLFMTKNGCEPDLVTYNVLLNYCCNELMLEEAAKLVKKMERSGIGPDVYGYNQMIKGFCNANRPDKALLLMVNKMEANGLADAVSHNTIIKAFCKGGHIGKAYKLFEEMGRKGIAPDVVTFTTLIKACLTEGSSDIAKALLDRMSGMGLLPDCIFYTAIIDHLCKNGKVEMAHSVFDDMIKQGVNPDVVSYNALINGFCKSNRASEAIHLYEEMQTRGFSPDEVTFKLIIGGLIREKKLSKACKVWDQMMEKGFTLDGAVSETLINAIHSVDSG >EOY00681 pep chromosome:Theobroma_cacao_20110822:2:34467532:34470584:1 gene:TCM_010606 transcript:EOY00681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MYHALGAPRLIYRAHISRLVKNGLTNQAISMFDQMTQSDCRVFGLDYNRFIGVLLRDSRFDLAEYYYFQMFPQGFSLTPFTYSRFISALCPVKNFNFIELLLNDMDKLNYVPDIWAFNIYLNLLCREKKIELALEVFHSLVKKGRDPDVVTYTIMIDGLCKAGKFDNAVGFWREMVGKGFRPDNKACCALVVGLCDGGKVDLAYELVVEVIKGGDIEFSTLLYNALISGFCRIRRIDKAQAIKLFMTKNGCEPDLVTYNVLLNYCCNELMLEEAAKLVKKMERSGIGPDVYGYNQMIKGFCNANRPDKALLLMVNKMEANGLADAVSHNTIIKAFCKGGHIGKAYKLFEEMGRKGIAPDVVTFTTLIKACLTEGSSDIAKALLDRMSGMGLLPDCIFYTAIIDHLCKNGKVEMAHSVFDDMIKQGVNPDVVSYNALINGFCKSNRASEAIHLYEEMQTRGFSPDEVTFKLIIGGLIREKKLSKACKVWDQMMEKGFTLDGAVSETLINAIHSVDSG >EOY02236 pep chromosome:Theobroma_cacao_20110822:2:42429752:42436094:-1 gene:TCM_011923 transcript:EOY02236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVFKWSPDFQPEKESSVVPVWISFPNLPAHLHEKSALMMVARTVGKPLFVDEATANRSRPSVARVCVEYDCQKPPLDHVWIVSRNRKTETMTGGLSQRVEFAKLPEYCQHCCHVGHAVTECMVLGNKPVSTKPKTAQPPRTGQEQEDRPAKQNPQTQHQQPAAKREQRELIPNDANQNDGARFFAPKQSKIWHAVGTSGTNNPKGKDKVPSGSKQVQTAVSNSFEAIQEENKDEQKNLAKQGRTEMNSGQSNVENSSSRKNIRRTSDTETTPQAEDRQPLPVDDHSAEQSNTRIQCAPKPATVSQQLSADGEMRNVPAVGTNEAKIATSILQRSKDECQRKEIPQLDGTGRGKKIENNASKESQNRSFEFEKHEKTSAARRNTHEASGSSSQELQPIQGERAISVGGKAETAAAKSDSGRCVQPLQLLQKERKSSGQAPSHAGDNKTDAENDHDVAQSIPEKAQLTSGRQPKLQKKAKPILSKLVPSFSMDIDMGSAAPLFEMTNDNDGSQLRPAKDVTKADNSVKCLTSLPSEPGKCFLNKQSDSFLSIADACYSSDFQSPFGLPFVHKRRKSDSFIPTPHYWNFAHATDPLEVKDGSEGVGIQRRLKKLKIMHNIKLLVILEPMVNPNRADYFRRRFGFDRVISNCSQKIWIFSSMEVNCEVLMDHIQCLHVRLSLPWLPHPISATFVYAKCTRQERLELWNCLRSLSSDMQGPWMVGGDFNTIVSCAERLNGAPPHGGSMEDFVATLFDCGLIDAGFEGNSFTWTNNHMFQRLDRVVYNPEWAHCFSSTRVQHLNRDGSDHCPLLISCATASQKGPSTFRFLHAWTKHHDFLPFVERSWQVPLNSSGLTAFWIKQQRLKRDLKWWNKQIFGDIFEKLKRAEIEAEKREKEFQQDPSSINRNLMNKAYAKLNRQLSIEELFWQQKSGVKWLVEGERNTKFFHLRMRKKRVRNNIFRIQDSEGNIYEDPQYIQNSAVQYFQNLLTAEQCDFSRFDPSLIPRTISITDNEFLCAAPSLKEIKEVVFNIDKDSVAGPDGFSSLFYQHCWDIIKQDLLEAVLDFFNGTPMPQGVTSTTLVLLPKKPNSCQWSDFRPISLCTVLNKIVTKTLANRLSKILPSIISENQSGFVNGRLISDNILLAQELVGKLDAKARGGNVVLKLDMAKAYDRLNWDFLYLMMKQFGFNDRWISMIKACISNCWFSLLINGSLVGYFKSERGLRQGDSISPLLFVLAADYLSRGINQLFNRHKSLLYLSGCFMPISHLAFADDIVIFTNGCRPALQKILVFLQEYEEVSGQQVNHQKSCFITANGCPMTRRQIIAHTTGFQHKTLPVIYLGAPLHKGPKKVTLFDSLITKIRDRISGWENKTLSPGGRITLLRSVLSSLPLYLLQVLKPPVVVIEKIERLFNSFLWGDSTNDKRIHWAAWHKLTFPCSEGGLDIRRLTDMFDAFSLKLWWRFSTCEGLWTKFLKTKYCMGQIPHYVHPKLHDSQVWKRMVRGREVAIQNTRWRIGKGSLFFWHDCWMGDQPLVTSFPHFRNDMSTVHNFFNGHNWDVDKLNLYLPMNLVDEILQIPIDRSQDDVAYWSLTSNGEFSTRSAWEAIRLRKSPNVLCSLLWHKSIPLSISFFLWRVFHNWIPVDIRLKEKGFHLASKCICCNSEESLIHVLWDNPIAKQVWNFFANSFQIYISKPQNVSQILWTWYLSGDYVRKGHIRILIPLFICWFLWLERNDAKHRHLGMYSDRVVWKIMKLLRQLQDGYLLKSWQWKGDKDFATMWGLFSPPKTRAAPQILHWVKPVPGEHKLNVDGSSRQNQTAAIGGVLRDHTGTLVFDFSENIGPSNSLQAELRALLRGLLLCKERNIEKLWVEMDALVAIQMIQQSQKGSHDIRYLLASIRKYLNFFSFRISHIFREGNQAADFLSNKGHTHQSLHVFTEAQGKLYGMLKLDRLNLPYVRYG >EOX98119 pep chromosome:Theobroma_cacao_20110822:2:4620055:4622762:1 gene:TCM_006953 transcript:EOX98119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESAIHVAFNVPEKKKNLHVPNLQDSGLFKDRTISKNFASISTNPLFYTQLLSLDSRCQSATMLVKKELTKTDVGVRLSFPMKSLKAFEFPRGKDKVEFEAIDSTGKSWIFGLSRRNSHRHSHPKPVLSSGWRAYVQAKGLKQNDRVIIHYEERDKAMKTRFKIRAQRKSPKTLKLFGKEVEIWDDLENLQPEVAA >EOY00234 pep chromosome:Theobroma_cacao_20110822:2:30193197:30251672:1 gene:TCM_010045 transcript:EOY00234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQIFCLHFLTPLLPQRLKNVMCPLINAHDFNVLLQLHGMKAKLINYDYCSNYIIKGLYGSNNYRNRRNEFIVTTKVSTKACSRLGGFSRKTRTSVANEERSLQSRPSVARIYVEYNCWKTPLDHVWIVVKNRNIGVVIGRYAQHVKFSKMLDNCDHCCHVGHSQSVCLVMGNKLVFGKLKPIGSKKQTNDHLRVLIRGKNGGNYDEMPKEKEPTIIVEKGKEMMWMELVK >EOY00935 pep chromosome:Theobroma_cacao_20110822:2:35930529:35932300:1 gene:TCM_010839 transcript:EOY00935 gene_biotype:protein_coding transcript_biotype:protein_coding description:R2R3 MYB C2 repressor motif-like1 protein MRKPCCDKQDTNKGAWSKQEDEKLINYIQKHGEGCWRTLPQAAGLLRCGKSCRLRWINYLRPDLKRGNFAEDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHLRRKLMNMGIDPKNHRLGITKLPRPQSPHKYSNTSATSSGSKVPAANKKNPAVKSRCDNDQVSDAGSCLEDEPCGQLIPDLNLNLDLTINISVPNSVAKVEEEQNHKECNISKDLEFSPSPTLVLFR >EOX98052 pep chromosome:Theobroma_cacao_20110822:2:4375885:4382439:-1 gene:TCM_006905 transcript:EOX98052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit, putative isoform 1 MEEKRRDAGNSPAGPSSAEPEPASTRRRAGAQKRKANSLSGSSSSSTPSKRITREKSNLISHPLINHNGPLTRARQGAPSGNLALGFGSGSVGGKLEETSLVKDSVRAEDLEELNKASEEWEALEAKIEAEFEAVRSRDSNAHVVPNHCGWFSWTKVHNLEECVLPSFFNGKSPIRTPDVYMEIRNWIMKKFHANPSMQIELKDLSDLEVGDMDARQEVLEFLDYWGLINFHPFIPVDSAVPTSDSDGMAKMDSLLEKLFRFEAIESRPPVVPRPNLSTPSVPSGFLPESAIAEDLVRPEGPAVEYHCNSCSADCSRKRYHCQKQADFDLCSDCFSNGKFGSGMSSSDFILMEPAEAPGLSGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDVFYNCDNNIENNSKESTGPAAMSDETSVSKDVSEKTESKTTPREDQAQTTSMETSKPEDEKEVRVSVETSKPETGTDVEVDPETSKPEETNEAKGGQDTNENCALIALREAFEAVGYILTSESSLSFADVGNPVMALAGFFARLVGPKIAAASAQSSLKSLSGSSPSIQLAARNCFLLEDPPDDKEPNGSESVVNGMANRDAQNVENLEEKGPKEDKSSPVLDQQNSLSNHGDQNTEVSVPEDKVTSASPNELSTDKKEPDTLTTNEEDKKANLNESSVIDQSKDHQPSLMKESDNLASQVSLSSVEETGGKETSVEEPSQPTEAVKEVDMTDSVPLEKNEPCDAAVSKPVGELSEPAEALQNVETVPGSPSRGKNEQPPVKSTSVGEPTQPTEVSNDVEMVSDSQPLERIEPHQSVTSNNLNENGATTDEIKEGKNKNHDAAETIGDLSIDKLKRAAVTALSAAAVKAKLLADQEEDQIRQLTTSLIEKQLHKMETKLASFNEMEGVIMRVKEQLDRSRQRLYHERAQIIAARLGLPASSSRAMPPTNTANRIAANFANSVARPPMSMTAPRPPMSRPIGPMAPTPPNLFVSTTVAGSSIRPASSDNLSSVESK >EOX98050 pep chromosome:Theobroma_cacao_20110822:2:4375935:4382268:-1 gene:TCM_006905 transcript:EOX98050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit, putative isoform 1 MEEKRRDAGNSPAGPSSAEPEPASTRRRAGAQKRKANSLSGSSSSSTPSKRITREKSNLISHPLINHNGPLTRARQGAPSGNLALGFGSGSVGGKLEETSLVKDSVRAEDLEELNKASEEWEALEAKIEAEFEAVRSRDSNAHVVPNHCGWFSWTKVHNLEECVLPSFFNGKSPIRTPDVYMEIRNWIMKKFHANPSMQIELKDLSDLEVGDMDARQEVLEFLDYWGLINFHPFIPVDSAVPTSDSDGMAKMDSLLEKLFRFEAIESRPPVVPRPNLSTPSVPSGFLPESAIAEDLVRPEGPAVEYHCNSCSADCSRKRYHCQKQADFDLCSDCFSNGKFGSGMSSSDFILMEPAEAPGLSGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDVFYNCDNNIENNSKESTGPAAMSDETSVSKDVSEKTESKTTPREDQAQTTSMETSKPEDEKEVRVSVETSKPETGTDVEVDPETSKPEETNEAKGGQDTNENCALIALREAFEAVGYILTSESSLSFADVGNPVMALAGFFARLVGPKIAAASAQSSLKSLSGSSPSIQLAARNCFLLEDPPDDKEPNGSESVVNGMANRDAQNVENLEEKGPKEDKSSPVLDQQNSLSNHGDQNTEVSVPEDKVTSASPNELSTDKKEPDTLTTNEEDKKANLNESSVIDQSKDHQPSLMKESDNLASQVSLSSVEETGGKETSVEEPSQPTEAVKEVDMTDSVPLEKNEPCDAAVSKPVGELSEPAEALQNVETVPGSPSRGKNEQPPVKSTSVGEPTQPTEVSNDVEMVSDSQPLERIEPHQSVTSNNLNENGATTDEIKEGKNKNHDAAETIGDLSIDKLKRAAVTALSAAAVKAKLLADQEEDQIRQLTTSLIEKQLHKMETKLASFNEMEGVIMRVKEQLDRSRQRLYHERAQIIAARLGLPASSSRAMPPTNTANRIAANFANSVARPPMSMTAPRPPMSRPIGPMAPTPPNLFVSTTVAGSSIRPASSDNLSSVESK >EOX98051 pep chromosome:Theobroma_cacao_20110822:2:4375072:4382268:-1 gene:TCM_006905 transcript:EOX98051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit, putative isoform 1 MEEKRRDAGNSPAGPSSAEPEPASTRRRAGAQKRKANSLSGSSSSSTPSKRITREKSNLISHPLINHNGPLTRARQGAPSGNLALGFGSGSVGGKLEETSLVKDSVRAEDLEELNKASEEWEALEAKIEAEFEAVRSRDSNAHVVPNHCGWFSWTKVHNLEECVLPSFFNGKSPIRTPDVYMEIRNWIMKKFHANPSMQIELKDLSDLEVGDMDARQEVLEFLDYWGLINFHPFIPVDSAVPTSDSDGMAKMDSLLEKLFRFEAIESRPPVVPRPNLSTPSVPSGFLPESAIAEDLVRPEGPAVEYHCNSCSADCSRKRYHCQKQADFDLCSDCFSNGKFGSGMSSSDFILMEPAEAPGLSGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDVFYNCDNNIENNSKESTGPAAMSDETSVSKDVSEKTESKTTPREDQAQTTSMETSKPEDEKEVRVSVETSKPETGTDVEVDPETSKPEETNEAKGGQDTNENCALIALREAFEAVGYILTSESSLSFADVGNPVMALAGFFARLVGPKIAAASAQSSLKSLSGSSPSIQLAARNCFLLEDPPDDKEPNGSESVVNGMANRDAQNVENLEEKGPKEDKSSPVLDQQNSLSNHGDQNTEVSVPEDKVTSASPNELSTDKKEPDTLTTNEEDKKANLNESSVIDQSKDHQPSLMKESDNLASQVSLSSVEETGGKETSVEEPSQPTEAVKEVDMTDSVPLEKNEPCDAAVSKPVGELSEPAEALQNVETVPGSPSRGKNEQPPVKSTSVGEPTQPTEVSNDVEMVSDSQPLERIEPHQSVTSNNLNENGATTDEIKEGKNKNHDAAETIGDLSIDKLKRAAVTALSAAAVKAKLLADQEEDQIRQLTTSLIEKQLHKMETKLASFNEMEGVIMRVKEQLDRSRQRLYHERAQIIAARLGLPASSSRAMPPTNTANRIAANFANSVARPPMSMTAPRPPMSRPIGPMAPTPPNLFVSTTVAGSSIRPASSDNLSSVESK >EOX98616 pep chromosome:Theobroma_cacao_20110822:2:6422339:6427711:-1 gene:TCM_007327 transcript:EOX98616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLIEKKYICKDELMGSIVFHDQYQRLGSNQTETTFDIPSDLQHAGNETVVYISLQPAASWCNFFTPKVLLLHLVGDRINQLLLEAKDEENTI >EOY01947 pep chromosome:Theobroma_cacao_20110822:2:41445007:41447197:-1 gene:TCM_011725 transcript:EOY01947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingoid base hydroxylase 2 MWFLFGFPKVVYCDNMASLGISYDMVLGSLMPVVVYWVYGGMYMALGSCDNYRLHPKEDEDEKNFASKETVIKGVLWQQFRQFIATNLLYMMTGGSHGGASSAQPPSLTVIARQFVVAMLVFDTYQYFLHRYLHHNKFLYRHLHSKHHRLVVPYPFGAIYSHPIEGFLFDILGGSLAIFLSGMSPATSAFFSSFAAMKSVDDHCGLMLPGNPFHIFFENNTAYHDLHHQLYGGKYNFSQPFFAMWDRILGTHMPYSLEKREEGGFELQPAKECKND >EOX97698 pep chromosome:Theobroma_cacao_20110822:2:3091772:3094806:-1 gene:TCM_006641 transcript:EOX97698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence/dehydration-associated protein-related, putative MSSSSSPTPSQNPSSLYPQVDLSNPDATSFSTSPSSTSLYPSIDVKDLAENLFPEDDTVLHTHQDSQEQLLLKIPGAIVHLIERETSVELACGEFCLVSLLQGDNVVAVFARVVPSNGSFEDGKDFKESEEVLNYGLTIAAKGQEGLLKELDRVLETYSCFSVQEVKGIGNWNVVDTRNVAPEELDREDKRELIVGSSMAYWTTLAPNVEDYSGSIARAIASGSGYVVKGILWCGDVTVDRLKWSNEFLKIRMKPGSTSEISPGALRRMKRVKKLTKMSEKVATGILSGVVKVSGFFTSSIINSKVGKKFFNLLPGEIVLASLDGFNKVCDAVEVAGRNVMSTTSVVTTGLVSQRYGEKAGQVTNEGLDAAGHAIGTAWAVFKIRKALNPKSVLKPTALAKAAAQANAAELKAKNKE >EOX96790 pep chromosome:Theobroma_cacao_20110822:2:52969:58115:1 gene:TCM_005960 transcript:EOX96790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arp2/3 complex, 34 kD subunit p34-Arc isoform 1 MKNPHILLLSVSLPTPPLETVFLGGLPFGAIEAIKSAYGVIVQILDPPRDGFNLTLKLNLSKLPPDEEYRHALLVKVASVREVVLGAPLRVILKYLASRTIAPDIDRLVALVHRPNESFFLLPQAEKVTVVFPMRFKDSIDTVLATSFLQEFVESRRTAGLNNAPPCLWSHSPPLELKGATDDALSANAGFVTFGNITRLEFLFPFLVMLLILQTRLCVMNAVIFPRHVEGKKLDRTVWNLSTFHAYVNYHVKCSEGFMHTRMRRRVESLIQALNRAKPDQEKTKKAAHTRSFKRLSLKESRTNSNS >EOX96789 pep chromosome:Theobroma_cacao_20110822:2:51606:58125:1 gene:TCM_005960 transcript:EOX96789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arp2/3 complex, 34 kD subunit p34-Arc isoform 1 MILLQSHSRFLLQTLLNRVQNLEKSVELDYHWVEFDDVRYHVQVSMKNPHILLLSVSLPTPPLETVFLGGLPFGAIEAIKSAYGVIVQILDPPRDGFNLTLKLNLSKLPPDEEYRHALLVKVASVREVVLGAPLRVILKYLASRTIAPDIDRLVALVHRPNESFFLLPQQAEKVTVVFPMRFKDSIDTVLATSFLQEFVESRRTAGLNNAPPCLWSHSPPLELKGATDDALSANAGFVTFGNITRLEFLFPFLVMLLILQTRLCVMNAVIFPRHVEGKKLDRTVWNLSTFHAYVNYHVKCSEGFMHTRMRRRVESLIQALNRAKPDQEKTKKAAHTRSFKRLSLKESRTNSNS >EOX96788 pep chromosome:Theobroma_cacao_20110822:2:51606:57832:1 gene:TCM_005960 transcript:EOX96788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arp2/3 complex, 34 kD subunit p34-Arc isoform 1 MILLQSHSRFLLQTLLNRVQNLEKSVELDYHWVEFDDVRYHVQVSMKNPHILLLSVSLPTPPLETVFLGGLPFGAIEAIKSAYGVIVQILDPPRDGFNLTLKLNLSKLPPDEEYRHALLVKVASVREVVLGAPLRVILKYLASRTIAPDIDRLVALVHRPNESFFLLPQAEKVTVVFPMRFKDSIDTVLATSFLQEFVESRRTAGLNNAPPCLWSHSPPLELKGATDDALSANAGFVTFVIFPRHVEGKKLDRTVWNLSTFHAYVNYHVKCSEGFMHTRMRRRVESLIQALNRAKPDQEKTKKAAHTRSFKRLSLKESRTNSNS >EOY00058 pep chromosome:Theobroma_cacao_20110822:2:24240223:24241364:1 gene:TCM_009471 transcript:EOY00058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKIWKQMDEILKMGQWEKEIERKGRRKEKPKRNKKIQREIRGFRPFTRLTEESLPEKAKKSYPTSSDRGA >EOY01112 pep chromosome:Theobroma_cacao_20110822:2:37696757:37713751:1 gene:TCM_011058 transcript:EOY01112 gene_biotype:protein_coding transcript_biotype:protein_coding description:DYNAMIN-like 1C MATMESLIGLINKIQRACTVLGDHGGEGLSLWEALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTESGGEYAEFLHAPKKRFTDFAAVRKEIADETDRITGKTKQISNIPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQQDSIVEDIENMVRSYVEKPNCIILAISPANQDIATSDAIKLAREVDPTGERTFGVLTKLDLMDKGTNAADVLEGRAYRLQHPWVGIVNRSQADINKNVDMIVARRKEREYFETSPDYGHLASKMGSEFLAKLLSKHLELVIRQRIPSIIALINKTIDELNAELDRIGRPIAADGGAQLYMILELCRAFDRIFKEHLDGGRPGGDRIYGVFDNQLPAALKKLPFDRHLSLKNVQKVVTEADGYQPHLIAPEQGYRRLIDGSISYFKGPAEASVDAVHFVLKELVRKSIAETEELKRFPTLQNDIATAANEALERFREESRKTVIRLVEMESSYLTVDFFRKLHTEPEKKPEKNANPSGPNNDRFNDNYLRRIGSNVKAYISMVCDTLKNTIPKAVVYCQVREAKRSLLSNFYAQVGRREKERLSAMLDEDPQLMERRTQIAKRLELYKSARDEIDAVAWK >EOX98693 pep chromosome:Theobroma_cacao_20110822:2:6730630:6731100:1 gene:TCM_007390 transcript:EOX98693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASHYLSTLTLPLLLITFWSMSGDSAILVQARNLLEVTLPEIPEHPKPELPHLLPFPKVELPPLPEFPELPKPELPKLPELFKHFLPHVPTPVEDMSDPKLIPSHSTTTP >EOX99651 pep chromosome:Theobroma_cacao_20110822:2:13131405:13133542:1 gene:TCM_008388 transcript:EOX99651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTRTRSANGKGKSSFTVMLCHCILFERFFPFALFPLQKKSESHGRSLFDLSVFSWCKESFLGTQASNCAALNLL >EOY00170 pep chromosome:Theobroma_cacao_20110822:2:28252663:28261542:1 gene:TCM_009861 transcript:EOY00170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 isoform 1 MAAMLSLSPNPPITNSLSLHSAFKGNVRNLGLNPCHIIPVRTKQQQLQKQGTRSLVVVNRAAKIQVALTAPTVRFRLDNLGPQPGSRKKGKRKGRGISAGQGGSCGFGMRGQKSRSGPGVRKGFEGGQMPLYRRIPKLRGIAGGMHAGLPKYIPVNLKDIETAGFQEGDEVSLETLKEKGLINPSGRERKLPLKILGDGELNLKLKLKARAFSASAKEKLEASGCSLTVLPGRKKWVKPSVAKNLARADEFFAKKRAAAAESNSA >EOY00171 pep chromosome:Theobroma_cacao_20110822:2:28252592:28261505:1 gene:TCM_009861 transcript:EOY00171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 isoform 1 MAAMLSLSPNPPITNSLSLHSAFKQGNVRNLGLNPCHIIPVRTKQQQLQKQGTRSLVVVNRAAKIQVALTAPTVRFRLDNLGPQPGSRKKGKRKGRGISAGQGGSCGFGMRGQKSRSGPGVRKGFEGGQMPLYRRIPKLRGIAGGMHAGLPKYIPVNLKDIETAGFQEGDEVSLETLKEKGLINPSGRERKLPLKILGDGELNLKLKLKARAFSASAKEKLEASGCSLTVLPGRKKWVKPSVAKNLARADEFFAKKRAAAAESNSA >EOX97253 pep chromosome:Theobroma_cacao_20110822:2:1727300:1728091:-1 gene:TCM_006332 transcript:EOX97253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related protein 1 MGMSRISLAINFCLLGLAIAQNSPQDYLNAHNSARARVNVGPMRWDDRVAAYATNYANQRKGDCRLVHSGGPYGENLAWSSADLSGTAAVNLWVAERPNYDYNSNSCVGGECRHYTQVVWRNSVRLGCAKVRCNSGGTFITCNYDPPGNYVNQRPY >EOY00867 pep chromosome:Theobroma_cacao_20110822:2:35586454:35594736:1 gene:TCM_010785 transcript:EOY00867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin O2, putative isoform 1 MRGKSVFRPFLVREAFNFRSISSTSSSFPLNQNYLISTPKTNSSIPSKPSSNSISAKFNLSSPFSNFSRTFCSVPPPQFKEDSLPVPPNVVPIKSEEEFNTALSKAEGESVPAVFYFTAVWCAPCKYISPVMEELARRNPHVTTNKIDIDEEALASTLKKLNITAVKAKRRMKLLVLILRALFKQ >EOY00865 pep chromosome:Theobroma_cacao_20110822:2:35586326:35594857:1 gene:TCM_010785 transcript:EOY00865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin O2, putative isoform 1 MRGKSVFRPFLVREAFNFRSISSTSSSFPLNQNYLISTPKTNSSIPSKPSSNSISAKFNLSSPFSNFSRTFCSVPPPQFKEDSLPVPPNVVPIKSEEEFNTALSKAEGESVPAVFYFTAVWCAPCRYISPVMEELARRNPHVTTNKIDIDEEALASTLKKLNITAVPTVHFFIEGKKKDEVVGADITRIVQTMNKLYMKKND >EOY00866 pep chromosome:Theobroma_cacao_20110822:2:35586454:35594736:1 gene:TCM_010785 transcript:EOY00866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin O2, putative isoform 1 MRGKSVFRPFLVREAFNFRSISSTSSSFPLNQNYLISTPKTNSSIPSKPSSNSISAKFNLSSPFSNFSRTFCSVPPPQFKEDSLPVPPNVVPIKSEEEFNTALSKAEGESVPAVFYFTAVWCAPCKYISPVMEELARRNPHVTTNKIDIDEEALASTLKKLNITAVPTVHFFIEGKKKDEVVGADITRIVQTMNKLYMKKND >EOY01298 pep chromosome:Theobroma_cacao_20110822:2:38870881:38873053:1 gene:TCM_011234 transcript:EOY01298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFVAVEKEFGAWLGTQRLQTSSKHHNVLKFIIDTSTSPIDKMNDQNYHENLLKPGPDQPMDVNHQHFSNPDMSRNTFTIQSFLHHKEVDEKFHVVED >EOX99838 pep chromosome:Theobroma_cacao_20110822:2:17248566:17249897:1 gene:TCM_008810 transcript:EOX99838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell wall integrity and stress response component 1 MKREEIVRNRNFLADKRWFLPIAIAAFIAGAIIISSFIKTADYSLLCSLANSRSQAVADYSATPIQLQAIIHYATSRIIPQQSFAEISITFDVLKKLSPCNFLVFGLGYDSLMWTSLNPRGTTIFLEEDPKWVQTVLKDAPNLHAHAVKYRTQLQQADDLLSHYQSEPSCSPSEAYLRGNEKCKLALTGFPDEFYETQWDLIMIDAPRGYFAVAPGRMAAIFSAAVMARNRKGSGVTHVFLHDVDRRVEKVFAEEFLCRKFLVKSVGRLWHFEIPSAANMSSYDGARFC >EOY00738 pep chromosome:Theobroma_cacao_20110822:2:34793082:34798160:1 gene:TCM_010664 transcript:EOY00738 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MDAMAEEQIDFGDEEYGGGQKMQYQGSGAIPALADEEMMGEDDEYDDLYNDVNVGEGFLQLQRSEAPLQPGGLGSTGLKAQRNEAPEPRVEAGGSQGLNIPGVSVQGKHPNVSARYPEKEEQPAVNRPEMVSGSYPSGSSISQKGSVTEGTHDKQVKNLGFQGLTSASNKVGIDPSGVPQKIANDPAQSLNSGTGGPQGPPHVPPNQMGTNVNHPVMNENQVQPPIENGPTMLFVGELHWWTTDAELESVLSQYGRLKEIKFFDEKASGKSKGYCQVEFYDPSSAAVCKEGMNGYMFNGRACVVAFASPQTLKQMGASYMNKNQGQSQAQPQGRRPNEGLGRGGNLNYQSGDAGRNYGRGGWGRGGQGGVNRAGGGGLMRGRGGVGVKNMVGISAGVGNGANGAGAYGQGPGPAFGGPAGGMMHPQGMMGAGFDPTYMVRGGGYGGFPGPGFPGMLPSFPAVNTMGLAGVAPHVNPAFFGRGMAPNGMGMMGASGMDGPHAGMWTDASMGGWGGDEHGRRTRESSYGGEDGASEYGYGDANHEKGRSSGASREKERVSEREWSGNSDRRHRDEKEQDWDRSEREHREHRYREEKDSYREHRHRERDLDYDDDWDRGQSSSRSRRRSHAMPEEEHRSRSRDVDYGKKRRLPSE >EOY00739 pep chromosome:Theobroma_cacao_20110822:2:34793962:34848906:1 gene:TCM_010664 transcript:EOY00739 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MDAMAEEQIDFGDEEYGGGQKMQYQGSGAIPALADEEMMGEDDEYDDLYNDVNVGEGFLQLQRSEAPLQPGGLGSTGLKAQRNEAPEPRVEAGGSQGLNIPGVSVQGKHPNVSARYPEKEEQPAVNRPEMVSGSYPSGSSISQKGSVTEGTHDKQVKNLGFQGLTSASNKVGIDPSGVPQKIANDPAQSLNSGTGGPQGPPHVPPNQMGTNVNHPVMNENQVQPPIENGPTMLFVGELHWWTTDAELESVLSQYGRLKEIKFFDEKASGKSKGYCQVEFYDPSSAAVCKEGMNGYMFNGRACVVAFASPQTLKQMGASYMNKNQGQSQAQPQGRRPNEGLGRGGNLNYQSGDAGRNYGRGGWGRGGQGGVNRAGGGGLMRGRGGVGVKNMVGISAGVGNGANGAGAYGQGPGPAFGGPAGGMMHPQGMMGAGFDPTYMVRGGGYGGFPGPGFPGMLPSFPAVNTMGLAGVAPHVNPAFFGRGMAPNGMGMMGASGMDGPHAGMWTDASMGGWGGDEHGRRTRESSYGGEDGASEYGYGDANHEKGRSSGASREKERVSEREWSGNSDRRHRDEKEQDWDRSEREHREHRYREEKDSYREHRHREREWSGNSDRRHRDEKERDWDRSEREHREHRYREEKDSYREHRHRERDLDYDDDLDRGQSSSRSRRRSHAMPEEQRRSRSRDVDYGKRRRLPSE >EOY00737 pep chromosome:Theobroma_cacao_20110822:2:34793077:34796465:1 gene:TCM_010664 transcript:EOY00737 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MDAMAEEQIDFGDEEYGGGQKMQYQGSGAIPALADEEMMGEDDEYDDLYNDVNVGEGFLQLQRSEAPLQPGGLGSTGLKAQRNEAPEPRVEAGGSQGLNIPGVSVQGKHPNVSARYPEKEEQPAVNRPEMVSGSYPSGSSISQKGSVTEGTHDKQVKNLGFQGLTSASNKVGIDPSGVPQKIANDPAQSLNSGTGGPQGPPHVPPNQMGTNVNHPVMNENQVQPPIENGPTMLFVGELHWWTTDAELESVLSQYGRLKEIKFFDEKASGKSKGYCQVEFYDPSSAAVCKEGMNGYMFNGRACVVAFASPQTLKQMGASYMNKNQGQSQAQPQGRRPNEGLGRGGNLNYQSGDAGRNYGRGGWGRGGQGGVNRAGGGGLMRGRGGVGVKNMVGISAGVGNGANGAGAYGQGPGPAFGGPAGGMMHPQGMMGAGFDPTYMVRGGGYGGFPGPGFPGMLPSFPAVNTMGLAGVAPHVNPAFFGRGMAPNGMGMMGASGMDGPHAGMWTDASMGGWGGDEHGRRTRESSYGGEDGASEYGYGDANHEKGRSSGASREKERVSEREWSGNSDRRHRDEKEQDWDRSEREHREHRYREEKDSYREHRHRERDLDYDDDWDRGQSSSRSRRRSHAMPEEEHRSRSRDVDYGKKRRLPSE >EOY00741 pep chromosome:Theobroma_cacao_20110822:2:34793962:34848906:1 gene:TCM_010664 transcript:EOY00741 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MDAMAEEQIDFGDEEYGGGQKMQYQGSGAIPALADEEMMGEDDEYDDLYNDVNVGEGFLQLQRSEAPLQPGGLGSTGLKAQRNEAPEPRVEAGGSQGLNIPGVSVQGKHPNVSARYPEKEEQPAVNRPEMVSGSYPSGSSISQKGSVTEGTHDKQVKNLGFQGLTSASNKVGIDPSGVPQKIANDPAQSLNSGTGGPQGPPHVPPNQMGTNVNHPVMNENQVQPPIENGPTMLFVGELHWWTTDAELESVLSQYGRLKEIKFFDEKASGKSKGYCQVEFYDPSSAAVCKEGMNGYMFNGRACVVAFASPQTLKQMGASYMNKNQGQSQAQPQGRRPNEGLGRGGNLNYQSGDAGRNYGRGGWGRGGQGGVNRAGGGGLMRGRGGVGVKNMVGISAGVGNGANGAGAYGQGPGPAFGGPAGGMMHPQGMMGAGFDPTYMVRGGGYGGFPGPGFPGMLPSFPAVNTMGLAGVAPHVNPAFFGRGMAPNGMGMMGASGMDGPHAGMWTDASMGGWGGDEHGRRTRESSYGGEDGASEYGYGDANHEKGRSSGASREKERVSEREWSGNSDRRHRDEKEQDWDRSEREHREHRYREEKDSYREHRA >EOY00740 pep chromosome:Theobroma_cacao_20110822:2:34793962:34848125:1 gene:TCM_010664 transcript:EOY00740 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MDAMAEEQIDFGDEEYGGGQKMQYQGSGAIPALADEEMMGEDDEYDDLYNDVNVGEGFLQLQRSEAPLQPGGLGSTGLKAQRNEAPEPRVEAGGSQGLNIPGVSVQGKHPNVSARYPEKEEQPAVNRPEMVSGSYPSGSSISQKGSVTEGTHDKQVKNLGFQGLTSASNKVGIDPSGVPQKIANDPAQSLNSGTGGPQGPPHVPPNQMGTNVNHPVMNENQVQPPIENGPTMLFVGELHWWTTDAELESVLSQYGRLKEIKFFDEKASGKSKGYCQVEFYDPSSAAVCKEGMNGYMFNGRACVVAFASPQTLKQMGASYMNKNQGQSQAQPQGRRPNEGLGRGGNLNYQSGDAGRNYGRGGWGRGGQGGVNRAGGGGLMRGRGGVGVKNMVGISAGVGNGANGAGAYGQGPGPAFGGPAGGMMHPQGMMGAGFDPTYMVRGGGYGGFPGPGFPGMLPSFPAVNTMGLAGVAPHVNPAFFGRGMAPNGMGMMGASGMDGPHAGMWTDASMGGWGGDEHGRRTRESSYGGEDGASEYGYGDANHEKGRSSGASREKERVSEREWSGNSDRRHRDEKEQDWDRSEREHREHRYREEKDSYREHRHRERDLDYDDDWDRGQSSSRSRRRSHAMPEEEHRSRSRDVGYREEKDSYREHRHR >EOY00736 pep chromosome:Theobroma_cacao_20110822:2:34793077:34798335:1 gene:TCM_010664 transcript:EOY00736 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MDAMAEEQIDFGDEEYGGGQKMQYQGSGAIPALADEEMMGEDDEYDDLYNDVNVGEGFLQLQRSEAPLQPGGLGSTGLKAQRNEAPEPRVEAGGSQGLNIPGVSVQGKHPNVSARYPEKEEQPAVNRPEMVSGSYPSGSSISQKGSVTEGTHDKQVKNLGFQGLTSASNKVGIDPSGVPQKIANDPAQSLNSGTGGPQGPPHVPPNQMGTNVNHPVMNENQVQPPIENGPTMLFVGELHWWTTDAELESVLSQYGRLKEIKFFDEKASGKSKGYCQVEFYDPSSAAVCKEGMNGYMFNGRACVVAFASPQTLKQMGASYMNKNQGQSQAQPQGRRPNEGLGRGGNLNYQSGDAGRNYGRGGWGRGGQGGVNRAGGGGLMRGRGGVGVKNMVGISAGVGNGANGAGAYGQGPGPAFGGPAGGMMHPQGMMGAGFDPTYMVRGGGYGGFPGPGFPGMLPSFPAVNTMGLAGVAPHVNPAFFGRGMAPNGMGMMGASGMDGPHAGMWTDASMGGWGGDEHGRRTRESSYGGEDGASEYGYGDANHEKGRSSGASREKERVSEREWSGNSDRRHRDEKEQDWDRSEREHREHRYREEKDSYREHRHRERDLDYDDDWDRGQSSSRSRRRSHAMPEEEHRSRSRDVDYGKKRRLPSE >EOX99166 pep chromosome:Theobroma_cacao_20110822:2:8799087:8801882:1 gene:TCM_007760 transcript:EOX99166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKHVCGNMNIKGIGGEIIEIGRSIRIVYTSQSSEDETFQGGLRRTAFARRVLGSSDGTWVMGYARKLGTCSTYRAELWGVFQGLQLDWEQGFRKFKLQIDNKAVVQFPNTLSVHPCSNLDVIRAIKDLLSRHWEVNISQVCKEENKVVDFMTNLGFDLSLDINLYDSPVETTFLLLNDRMGVCIPHLINQ >EOY00966 pep chromosome:Theobroma_cacao_20110822:2:36172589:36196488:-1 gene:TCM_010869 transcript:EOY00966 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein isoform 1 MALTVSIVHSCGMCFSGQGLRSAIVFEAERGYRNALGRKMRFNNFLLDKVSKICSRSKHKFPEKLLEEVHNYDTASIVDRSKLLNKVSVLMGYNGLHDLIENERPDEQPDRNLKDATDDFELSLACKRFPSITLGSSPPVELYDETTSSSRIRGLLAAQRFFSNSMDEKWVNPNGLCETWPSLYQPLSEAGSSIVVEESTDNLHQSSWSTTLESEDKTGHLVTVEKSTGNLHQSSWSMTSEFEGKSDRLTEEESSSKVGIEPQSDAATFVLFLDRSISCIPGLSKRHSHQLEECGFYTLRKLLHHFPRTYADLQNAQIEINDGQYLIFVGKILSSRGIRASYSFSFLEVVVGCEVANNEPTLGHIYDDDRDTEEKTIYLHLKKFFRGARFASQPFLRSLEGKHKLGEFVCVSGKVRAMGTKDHYEMREYSIDVLKDENDSSVLTKGGPYPIYPSKGGLKPNFLRDIIARALQALPVNIDPIPEEIIQEFGLLCLHDAYSGIHQPKNLEEADLARKRLIFDEFFYLQLGRLFQMLEGLGTKIEKDGLLDMYRKPEVNAAYMEEWSSLTKKFLKALPYSLTSGQLSAISEIIWDLKRPVPMNRLLQGDVGCGKTVVAFLACMEVIASGYQAAFMVPTELLAIQHYEHFINLLEIMEEVECKPSVALLTGSTPLKQSRLIHKDLQTGNISLVIGTHSLIAEKVEFSSLRIAVVDEQHRFGVIQRGKFNSKLYYTSTSSRMQVADLDVSSKHDTHMAPHVLAMSATPIPRTLALALYGDMSLTHITDLPPGRIPVETHVIEGTDKGFENIYAMMLEELEAGGRVYLVYPVIEQSEQLPQLRAASADLETISDRFQDYNCGLLHGRMKGDEKEEALRRFRSGETDILLSTQVIEIGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGTRKSKCILVASTAGSLNRLNVLEKSSDGFHLASVDLLLRGPGDLLGKKQSGHL >EOY00965 pep chromosome:Theobroma_cacao_20110822:2:36170931:36195750:-1 gene:TCM_010869 transcript:EOY00965 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein isoform 1 MALTVSIVHSCGMCFSGQGLRSAIVFEAERGYRNALGRKMRFNNFLLDKVSKICSRSKHKFPEKLLEEVHNYDTASIVDRSKLLNKVSVLMGYNGLHDLIENERPDEQPDRNLKDATDDFELSLACKRFPSITLGSSPPVELYDETTSSSRIRGLLAAQRFFSNSMDEKWVNPNGLCETWPSLYQPLSEAGSSIVVEESTDNLHQSSWSTTLESEDKTGHLVTVEKSTGNLHQSSWSMTSEFEGKSDRLTEEESSSKVGIEPQSDAATFVLFLDRSISCIPGLSKRHSHQLEECGFYTLRKLLHHFPRTYADLQNAQIEINDGQYLIFVGKILSSRGIRASYSFSFLEVVVGCEVANNEPTLGHIYDDDRDTEEKTIYLHLKKFFRGARFASQPFLRSLEGKHKLGEFVCVSGKVRAMGTKDHYEMREYSIDVLKDENDSSVLTKGGPYPIYPSKGGLKPNFLRDIIARALQALPVNIDPIPEEIIQEFGLLCLHDAYSGIHQPKNLEEADLARKRLIFDEFFYLQLGRLFQMLEGLGTKIEKDGLLDMYRKPEVNAAYMEEWSSLTKKFLKALPYSLTSGQLSAISEIIWDLKRPVPMNRLLQGDVGCGKTVVAFLACMEVIASGYQAAFMVPTELLAIQHYEHFINLLEIMEEVECKPSVALLTGSTPLKQSRLIHKDLQTGNISLVIGTHSLIAEKVEFSSLRIAVVDEQHRFGVIQRGKFNSKLYYTSTSSRMQVADLDVSSKHDTHMAPHVLAMSATPIPRTLALALYGDMSLTHITDLPPGRIPVETHVIEGTDKGFENIYAMMLEELEAGGRVYLVYPVIEQSEQLPQLRAASADLETISDRFQDYNCGLLHGRMKGDEKEEALRRFRSGETDILLSTQVIEIGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGTRKSKCILVASTAGSLNRLNVLEKSSDGFHLASVDLLLRGPGDLLGKKQSGHLPEFPIARLEMDGNILQEAHVAALKILSDSHDLDRFPALKAELSMRQPLCLLGD >EOX98551 pep chromosome:Theobroma_cacao_20110822:2:6145327:6149633:-1 gene:TCM_007279 transcript:EOX98551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein family isoform 1 METLFNPSKTAKLFVPNISLFKSSFLHSTFNPQTHILFNRKTLSFTINCRAKTSQGRKTDGKNISKKILLSDSAPELSNEDVEPKPKNGGGAWGLVRKLPKRVLAVLSNLPLAIGEMFTVAGLMALGTAIDQGEAPEFYFQKYPEENPVWGFFTWRWVLTLGLDHMFSSPIFLGMLVLLATSLMACTYTTQIPLVKAARRWRFLQSADAIRKQEFSDTLPRASVKDLGVVLMAAGYEVFLKGPSLYAFKGLAGRFAPIGVHLAMLLIMAGGTLSATGSFRGSVTVPQGLNFVVGDVLGPTGFLSTPTEAFNTEVHVNRFYMDYYDSGEVSQFHTDLSLFDLNGKEVMRKTLSVNDPLRYGGFTIYQTDWSFSALQILKDDEGPFNLAMAPLKLNGDKKLFGTFLPVGDTNSPNIKGISMLARDLQSIVLYDQQGKFAGVRRPNSKLPIEIDGTKIVIVDAIGSSGLDLKIDPGVPIVYAGFGALMLTTCVSYLSHAQIWALQDGTTVIVGGKTNRAKAEFPDEMNRLLDLVPEIVQSSISEQPG >EOX98552 pep chromosome:Theobroma_cacao_20110822:2:6145327:6149657:-1 gene:TCM_007279 transcript:EOX98552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein family isoform 1 METLFNPSKTAKLFVPNISLFKSSFLHSTFNPQTHILFNRKTLSFTINCRAKTSQGRKTDGKNISKKILLSDSAPELSNEDVEPKPKNGGGAWGLVRKLPKRVLAVLSNLPLAIGEMFTVAGLMALGTAIDQGEAPEFYFQKYPEENPVWGFFTWRWVLTLGLDHMFSSPIFLGMLVLLATSLMACTYTTQIPLVKAARRWRFLQSADAIRKQEFSDTLPRASVKDLGVVLMAAGYEVFLKGPSLYAFKGLAGRFAPIGVHLAMLLIMAGGTLSATGSFRGSVTVPQGLNFVVGDVLGPTGFLSTPTEAFNTEVHVNRFYMDYYDSGEVSQFHTDLSLFDLNGKEVMRKTLSVNDPLRYGGFTIYQTDWSFSALQILKDDEGPFNLAMAPLKLNGDKKLFGTFLPINACS >EOX98244 pep chromosome:Theobroma_cacao_20110822:2:5035992:5036925:1 gene:TCM_007051 transcript:EOX98244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPAIGNFDVQDRRDKQKSASGTSIKMATPASDGTSHAWRQEGAGINFKNHLMEPPTQAATRLHGDEQTRPTMRELPLASAVGGPDARLEVHVQGKKGADGIVGPSTATARPFTAQHGQQDPTNDDRRGKEMNFKMSTVVDGIMAQDSMQAGIGGKEAKIGAARNKKKKK >EOX97188 pep chromosome:Theobroma_cacao_20110822:2:1551869:1554262:-1 gene:TCM_006283 transcript:EOX97188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVTKQQPSSGWLRWSFMLFLVAFDSDYSPKDEDNCSEKKSKNDLFLQLYGEFHNHDELMHLAVFQHHQDPDWSRGTHAKIFSLVAKKDGRAFVDRHSEPNA >EOX97109 pep chromosome:Theobroma_cacao_20110822:2:1217232:1222882:1 gene:TCM_006210 transcript:EOX97109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGSPLIPKGKLDGGSENPAADGYDVLFDNSEDAQQKKMNVTTEYSSLDELLSQLHFPAIGPIKSYSSFNIFISFFSNFRDSLVVDQFPGDTAGSKRKKSLNSIFRNKQNKMFKHFEPLKESETEVDRETSCARVVFRRLSDAVVAYNSAEKFNIFGSVAVNYQLNSLYLNHSKLHCEEASFMVSTLGEETLSIAATFHEESSMIASSLGDDTMAIPTILGDGISVIATTMYEETLPIASTTGEGTMGVATTIGYKSFMVATTVGEKFSTVVTTISEQISTVATTMGEEDSFITTTFSKKTSTITVTLGEETLMVNAEETPTIPITLGEETPNLRTTLGEETLVIPPTLGEETPTIPITLGKETPDLRTTLGEETPVIPRTFGEETPAIPPTFSYETSTITATLGQEAQTIPTTMGEETTTVPATLVEETATTPTTLHEETLAVPTTLAEDTPTIPTTLGEETASIPQNLGEETSTNSTTLGEETSTVSTAMGMETLPPAVAEG >EOY02055 pep chromosome:Theobroma_cacao_20110822:2:41810587:41814103:-1 gene:TCM_011801 transcript:EOY02055 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family isoform 1 MGQVLGCIQVEQSTVAITETFGKFDEVLEPGCHCLPWCFGKQAAGFLSLRVQQLDVRCETKTKDNVFVNVVASIQYRALADKAQDAFYKLSNTRAQIQSYVFDVIRASVPKLDLDSVFEQKNDIAKAVEEELEKAMSHYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGVARQRQAIVDGLRDSVLAFSVNVPGTTSKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVRDIASQIRDGLLQGRTIE >EOY02056 pep chromosome:Theobroma_cacao_20110822:2:41810516:41813474:-1 gene:TCM_011801 transcript:EOY02056 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family isoform 1 MGQVLGCIQVEQSTVAITETFGKFDEVLEPGCHCLPWCFGKQAAGFLSLRVQQLDVRCETKTKDNVFVNVVASIQYRALADKAQDAFYKLSNTRAQIQSYVFDVIRASVPKLDLDSVFEQKNDIAKAVEEELEKAMSHYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGVARQRQAIVDGLRDSVLAFSVNVPGTTSKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVRDIASQIRDGLLQGRTIE >EOY01208 pep chromosome:Theobroma_cacao_20110822:2:38261598:38263620:1 gene:TCM_011151 transcript:EOY01208 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA delta(2)-isopentenylpyrophosphate transferase MAWLICLIVLLSLVFILKSVIMRKANFEKSGTEKASTSSTLATNSVGSTQNQKNKTKVIFVMGATATGKSKLSIDLATYFSGEIINSDKIQVYEGLDIITNKITEAEGRGIPHHMIGFVDPNKDFTVDDFCRHALQNIDIISKKGQLPIIAGGSNSYLETLVEDSKFKFQDNFECCFIWLDVSKSVLYKRVANRVDEMVEAGLVEEARGMLVPEADYTKGIRRAIGAPEMHNYFMLEKDTNIDDSTKNEILAHAIEEIKVNTCKLVDSQFRKIQRLREELGWKMHRIDATSVHEKCGKDAEDEWMKEVLEKTITIVDEFLK >EOX96975 pep chromosome:Theobroma_cacao_20110822:2:776839:782219:-1 gene:TCM_006097 transcript:EOX96975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF707) [Source:Projected from Arabidopsis thaliana (AT4G18530) TAIR;Acc:AT4G18530] FDFALCFFDSEGFLLLLSNYDIVFFTLQKLATLIYILSFSFFAFCCRLSHAVSHSKKKTFFGLGISVSLYLYLGFGFFQCKKMKAFNCASVVSDPKTRSCLCRLFVVASLICGAYFISGAFIAKEYKDRLSRWEVINMLQNSKSNICKIRCRPPGSEALPQGIVVKTSNLEMRPLWSDTVKNGNLEPSSNLLAIAVGIKQKEIVNQIIKKFPSSDFVVMLFHYDGIVDEWRDLEWSDHAIHVSAVNQTKWWFAKRFLHPDIVADYKYLFLWDEDLGVDNFDPKQYLSIVEDEGLEISQPALDPVKSEVHHQITARRRNSRVHRRMYKFKGSGRCDGRSTAPPCIGWVEMMAPVFSRAAWRCAWYMIQNDLIHAWGLDMQLGYCAQGDRMKNVGVVDAEYIVHLGLSTLGVLAENELNSTRVNITRRQPSSDSETLGTI >EOX96974 pep chromosome:Theobroma_cacao_20110822:2:776839:782219:-1 gene:TCM_006097 transcript:EOX96974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF707) [Source:Projected from Arabidopsis thaliana (AT4G18530) TAIR;Acc:AT4G18530] MKAFNCASVVSDPKTRSCLCRLFVVASLICGAYFISGAFIAKEYKDRLSRWEVINMLQNSKSNICKIRCRPPGSEALPQGIVVKTSNLEMRPLWSDTVKNGNLEPSSNLLAIAVGIKQKEIVNQIIKKFPSSDFVVMLFHYDGIVDEWRDLEWSDHAIHVSAVNQTKWWFAKRFLHPDIVADYKYLFLWDEDLGVDNFDPKQYLSIVEDEGLEISQPALDPVKSEVHHQITARRRNSRVHSYDTINPSRLNRRMYKFKGSGRCDGRSTAPPCIGWVEMMAPVFSRAAWRCAWYMIQNDLIHAWGLDMQLGYCAQGDRMKNVGVVDAEYIVHLGLSTLGVLAENELNSTRVNITRRQPSSDSETLAPSESHKVDNRPEVRRQSFIEMQMFRKRWENAVNQDKCWVDPYQQSVNKSTH >EOX96973 pep chromosome:Theobroma_cacao_20110822:2:776700:781920:-1 gene:TCM_006097 transcript:EOX96973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF707) [Source:Projected from Arabidopsis thaliana (AT4G18530) TAIR;Acc:AT4G18530] MKAFNCASVVSDPKTRSCLCRLFVVASLICGAYFISGAFIAKEYKDRLSRWEVINMLQNSKSNICKIRCRPPGSEALPQGIVVKTSNLEMRPLWSDTVKNGNLEPSSNLLAIAVGIKQKEIVNQIIKKFPSSDFVVMLFHYDGIVDEWRDLEWSDHAIHVSAVNQTKWWFAKRFLHPDIVADYKYLFLWDEDLGVDNFDPKQYLSIVEDEGLEISQPALDPVKSEVHHQITARRRNSRVHRRMYKFKGSGRCDGRSTAPPCIGWVEMMAPVFSRAAWRCAWYMIQNDLIHAWGLDMQLGYCAQGDRMKNVGVVDAEYIVHLGLSTLGVLAENELNSTRVNITRRQPSSDSETLAPSESHKVDNRPEVRRQSFIEMQMFRKRWENAVNQDKCWVDPYQQSVNKSTH >EOX96976 pep chromosome:Theobroma_cacao_20110822:2:777437:781806:-1 gene:TCM_006097 transcript:EOX96976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF707) [Source:Projected from Arabidopsis thaliana (AT4G18530) TAIR;Acc:AT4G18530] MKAFNCASVVSDPKTRSCLCRLFVVASLICGAYFISGAFIAKEYKDRLSRWEVINMLQNSKSNICKIRCRPPGSEALPQGIVVKTSNLEMRPLWSDTVKNGNLEPSSNLLAIAVGIKQKEIVNQIIKKFPSSDFVVMLFHYDGIVDEWRDLEWSDHAIHVSAVNQTKWWFAKRFLHPDIVADYKYLFLWDEDLGVDNFDPKQYLSIVEDEGLEISQPALDPVKSEVHHQITARRRNSRVHRRMYKFKGSGRCDGRSTAPPCIGWVEMMAPVFSRAAWRCAWYMIQNDLIHAWGLDMQLGYCAQGDRMKNVGVVDAEYIVHLGLSTLGVLAENELNSTRVNITRRQPSSDSETLVFFLNMNLPNTNAMFPEHEFA >EOX99421 pep chromosome:Theobroma_cacao_20110822:2:11090182:11093476:1 gene:TCM_008099 transcript:EOX99421 gene_biotype:protein_coding transcript_biotype:protein_coding description:DTW domain-containing protein isoform 2 MKNPGKTQQKTMLSSNRVLTLTLEFSPSLKFSAKTHIEFRPRSLHGSIMNSASRSSPREAQVNDTACRDSITLEEWQGWACVSPVPAMVNEVIHDLKLLENNIDAHMVFGGNGGKLQGDFKIQEDKKHRAKYQALGDSDKKFQFFSARQIACRLLGSRGYLCQKCWLPMEDCMCSKVKPCSLWHGIKFWLYMHPKDFLRQNNTGKLLWQVFGVQAATLCLYGISEDEEIMWNAFKDAGKGKVWCLYPNQNIVPKTVQDAFSCQSSADLECTHSLTNRYRPLNFVLIDGTWSNSAAMFRRLKEQAKLLWGEEDLPCISLAAGASAMHKLR >EOX99420 pep chromosome:Theobroma_cacao_20110822:2:11089954:11093647:1 gene:TCM_008099 transcript:EOX99420 gene_biotype:protein_coding transcript_biotype:protein_coding description:DTW domain-containing protein isoform 2 MKNPGKTQQKTMLSSNRVLTLTLEFSPSLKFSAKTHIEFRPRSLHGSIMNSASRSSPREAQVNDTACRDSITLEEWQGWACVSPVPAMVNEVIHDLKLLENNIDAHMVFGGNGGKLQGDFKIQEDKKHRAKYQALGDSDKKFQFFSARQIACRLLGSRGYLCQKCWLPMEDCMCSKVKPCSLWHGIKFWLYMHPKDFLRQNNTGKLLWQVFGVQAATLCLYGISEDEEIMWNAFKDAGKGKVWCLYPNQNIVPKTVQDAFSCQSSADLECTHSLTNRYRPLNFVLIDGTWSNSAAMFRRLKEQAKLLWGEEDLPCISLAAGASAMHKLR >EOX99418 pep chromosome:Theobroma_cacao_20110822:2:11090059:11094466:1 gene:TCM_008099 transcript:EOX99418 gene_biotype:protein_coding transcript_biotype:protein_coding description:DTW domain-containing protein isoform 2 MKNPGKTQQKTMLSSNRVLTLTLEFSPSLKFSAKTHIEFRPRSLHGSIMNSASRSSPREAQVNDTACRDSITLEEWQGWACVSPVPAMVNEVIHDLKLLENNIDAHMVFGGNGGKLQGDFKIQEDKKHRAKYQALGDSDKKFQFFSARQIACRLLGSRGYLCQKCWLPMEDCMCSKVKPCSLWHGIKFWLYMHPKDFLRQNNTGKLLWQVFGVQAATLCLYGISEDEEIMWNAFKDAGKGKVWCLYPNQNIVPKTVQDAFSCQSSADLECTHSLTNRYRPLNFVLIDGTWSNSAAMFRRLKEQAKLLWGEEDLPCISLAAGASAMHKLRPQPSWDRTCTAAAAIGVLAELQLLPECSSYGLDKQVEAVEDALVVLLEALTARRLRMGRSITRKIRHSRDIC >EOX99419 pep chromosome:Theobroma_cacao_20110822:2:11089954:11093607:1 gene:TCM_008099 transcript:EOX99419 gene_biotype:protein_coding transcript_biotype:protein_coding description:DTW domain-containing protein isoform 2 MKNPGKTQQKTMLSSNRVLTLTLEFSPSLKFSAKTHIEFRPRSLHGSIMNSASRSSPREAQVNDTACRDSITLEEWQGWACVSPVPAMVNEVIHDLKLLENNIDAHMVFGGNGGKLQGDFKIQEDKKHRAKYQALGDSDKKFQFFSARQIACRLLGSRGYLCQKCWLPMEDCMCSKVKPCSLWHGIKFWLYMHPKDFLRQNNTGKLLWQVFGVQAATLCLYGISEDEEIMWNAFKDAGKGKVWCLYPNQNIVPKTVQDAFSCQSSADLECTHSLTNRYRPLNFVLIDGTWSNSAAMFRRLKEQAKLLWGEEDLPCISLAAGASAMHKLR >EOX98274 pep chromosome:Theobroma_cacao_20110822:2:5165369:5168800:1 gene:TCM_046997 transcript:EOX98274 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-methylcrotonyl-CoA carboxylase MLRTLVRKAASASWTVNSPPCIIANPIQRLQTKHFSIGLLPDGVNRNSDSFAQNSDAMERLLSELQSHINKVLAGGGEAAVKRNRSRNKLLPRERIDRLLDPGSSFLEFSQLAGHELYEDPLPSGGIITGIGPVHGRLCMFVANDPTVKGGTYYPITIKKHLRAQEIAAQCKLPCIYLVDSGGAFLPKQAEVFPDKENFGRIFYNQATMSAQGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEVSAEDLGGATVHCKTSGVSDYFAQDEMHGLALGRNIVKNLHLAGRQGMLNASPSINLDYKEPLYDVKELRSIAPVDHKQQFDVRSVIARIVDGSEFDEFKKLYGTTLVTGFARIFGQPVGILGNNGILFNESALKGTHFIELCSQRNIPLVFLQNITGFMVGSRSEANGIAKSGAKLVMAVSCAKVPKVTIMIGGSFGAGNYAMCGRAFNPNFLFLWPNARISVMGGAQAAGVLSQIEGANKKRQGIQWTKEEEEKFKAKVVEAYEREGNPYYSTARLWDDGIIDPADTRKILGLSISASKNHPLEDTKYGVFRM >EOY01067 pep chromosome:Theobroma_cacao_20110822:2:37330631:37332255:1 gene:TCM_011011 transcript:EOY01067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cadmium resistance 2, putative MASLNLSEYEKFSAPPPQFGQAATTGIPVSSSNQFYTESSHSNTRIQTKTRVPWSSGLCDCFSDWKNCCITCWCPCVTFGQIAEIVDKGSSSCGVNGALYTLIACVTGCACCYSCFYRSKMRQQHMLKKSPCGDCLVHCFCECCALCQEYRELKTRGYDLSIGWHGNMEKQNREVAMTPVPPVVESGMSR >EOX99007 pep chromosome:Theobroma_cacao_20110822:2:8067951:8072638:-1 gene:TCM_007646 transcript:EOX99007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein isoform 1 MSVSMSKPSISASIPIPKPSKPSWSYSQVKIRCESSDSASKIDVKRPQPTSLSAAGKLMESDAKNGPYPGGMPKMGPFTGRDPNVKKPEWLRQKAPQGQRFDDVKQSLSRLNLNTVCEEAQCPNIGECWNGGGDGIATATIMLLGDTCTRGCRFCAVKTSRNPAPPDPMEPENTAKAIASWGVDYIVLTSVDRDDLPDGGSGHFAQTVQVMKKLKPEIMVECLTSDFRGDLKAVDILVHSGLDVFAHNIETVKRLQRIVRDPRAGYEQSLSVLRHAKLSKEGMITKSSIMLGLGETDDELKEALADLRAIDVDILTLGQYLQPTPLHLTVKEYVTPEKFAFWKEYGELIGFRYVASGPLVRSSYRAGELFVKTMVKERANNTAAPSN >EOX99008 pep chromosome:Theobroma_cacao_20110822:2:8067910:8071686:-1 gene:TCM_007646 transcript:EOX99008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein isoform 1 MSVSMSKPSISASIPIPKPSKPSWSYSQVKIRCESSDSASKIDVKRPQPTSLSAAGKLMESDAKNGPYPGGMPKMGPFTGRDPNVKKPEWLRQKAPQGQRFDDVKQSLSRLNLNTVCEEAQCPNIGECWNGGGDGIATATIMLLGDTCTRGCRFCAVKTSRNPAPPDPMEPENTAKAIASWGVDYIVLTSVDRDDLPDGGSGHFAQTVQVMKKLKPEIMVECLTSDFRGDLKAVDILVHSGLDVFAHNIETVKRLQRIVRDPRAGYEQSLSVLRHAKLSKEGMITKSSIMLGLGETDDELKEALADLRAIDVDILTLGQYLQPTPLHLTVKEYVTPEKFAFWKEYGELIGFRYVASGPLVRSSYRAGELFVKTMVKERANNTAAPSN >EOX99009 pep chromosome:Theobroma_cacao_20110822:2:8070238:8072685:-1 gene:TCM_007646 transcript:EOX99009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein isoform 1 MSVSMSKPSISASIPIPKPSKPSWSYSQVKIRCESSDSASKIDVKRPQPTSLSAAGKLMESDAKNGPYPGGMPKMGPFTGRDPNVKKPEWLRQKAPQGQRFDDVKQSLSRLNLNTVCEEAQCPNIGECWNGGGDGIATATIMLLGDTCTRGCRFCAVKTSRNPAPPDPMEPENTAKAIASWGVDYIVLTSVDRDDLPDGGSGHFAQTVQVMKKLKPEIMVECLTSDFRGDLKAVDILVHSGLDVFAHNIETVKRLQRIVRDPRA >EOX99606 pep chromosome:Theobroma_cacao_20110822:2:12628564:12630054:-1 gene:TCM_008323 transcript:EOX99606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol-cytochrome C reductase iron-sulfur subunit MHPRNILEFRQIKCHIGSKVLQKNTFAAPLCCFSSEALTPGHDMGMISDLPATVAAVKNPNSKIVYDEHNHERLAPGDPSKRAFAYFVLTGGRFVYASLIRLLILKFVLSMSASKDVLALASLETRTEEDIKVANSVDIASLRDPQEDAVRVKNPEWLIVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDVSGRIRKGPAPYNLEVPTYSFMDENKLLIG >EOX97130 pep chromosome:Theobroma_cacao_20110822:2:1314470:1319117:1 gene:TCM_006227 transcript:EOX97130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 MPIGNAAEANTGSDLVVVQQNQFEIEANRAVDDVHHDQAHRRGCLSTFEAKCTIGTQLALANLLLEIPSAVLDQIASEDYVLIVMLISLTSMLVCFVELVCRGRKEKITWRCSSTGRIPWFYHPPPPSNRPFGTLTEMIGLACAIAQCIVTTINYSLYRRHHAATIKFCVWPMIFGFGMLCSKFVGKPNEDGGESRTDQDGGDVTLNQANVDQPLTPAQ >EOY01747 pep chromosome:Theobroma_cacao_20110822:2:40791916:40794118:-1 gene:TCM_011577 transcript:EOY01747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQGEILFTERRKKQWLSRIQWARVKTDHRTVNRYGGGRGSGALFAALFGGGSPIFYSLGDSVGFSLCRFLGVAVRQLGEVCYASLALVGLLCGGLSLPPVALSQFSLNNYTNDKLI >EOY01817 pep chromosome:Theobroma_cacao_20110822:2:40998557:41006193:-1 gene:TCM_011625 transcript:EOY01817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT1G13970) TAIR;Acc:AT1G13970] MGSCISVHSENTRSKPYKRHFGRSRKRHGKIAASIPDGPMKRLSDAGSRMRDFAVSEYVHLDFEKGATTTCKRSEMSNKTFHLTQLQWNHSQIDANGRCQEEVWFDSVSIIESESDDDSGSVYGGAAERYLYRPRAGLLIPCSVEKPTPGSWSEISPSLFMLRGENYFRDKQKFPAPDCSPYVPIGVDLFACPQKVNHIAQHLELPNVKPHEKVPALLIVNIQVPTYPATMFLGDANGEGLSLVLYFKVSDTYDKDISAHFQDTIKKFVDDEMEKVKGFAKESTVPFRERLKIMANLVNPDDLQLGSTERRLIQAYNDKPILSRPQHNFYKGPNYFEIDLDIHRFSYISRKGLESFLERMKNGIVNLGLTIQAQKPEELPEQALCCLRLNKIDFVNHGQIPTIVTVKEDSF >EOY01815 pep chromosome:Theobroma_cacao_20110822:2:40998557:41006153:-1 gene:TCM_011625 transcript:EOY01815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT1G13970) TAIR;Acc:AT1G13970] MGSCISVHSENTRSKPYKRHFGRSRKRHGKIAASIPDGPMKRLSDAGSRMRDFAVSEYVHLDFEKGATTTCKRSEMSNKTFHLTQLQWNHSQIDANGRCQEEVWFDSVSIIESESDDDSGSVYGDAFSSVGNAIGNISNAQLLQYESASCFVDNVSKYEGFYESYSIKGLKDGTVDLGEKTQESIKKSTVIMLSVKRKSFEGDEITEFCAAERYLYRPRAGLLIPCSVEKPTPGSWSEISPSLFMLRGENYFRDKQKFPAPDCSPYVPIGVDLFACPQKVNHIAQHLELPNVKPHEKVPALLIVNIQVPTYPATMFLGDANGEGLSLVLYFKVSDTYDKDISAHFQDTIKKFVDDEMEKVKGFAKESTVPFRERLKIMANLVNPDDLQLGSTERRLIQAYNDKPILSRPQHNFYKGPNYFEIDLDIHRFSYISRKGLESFLERMKNGIVNLGLTIQAQKPEELPEQALCCLRLNKIDFVNHGQIPTIVTVKEDSF >EOY01816 pep chromosome:Theobroma_cacao_20110822:2:40997645:41006193:-1 gene:TCM_011625 transcript:EOY01816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT1G13970) TAIR;Acc:AT1G13970] MGSCISVHSENTRSKPYKRHFGRSRKRHGKIAASIPDGPMKRLSDAGSRMRDFAVSEYVHLDFEKGATTTCKRSEMSNKTFHLTQLQWNHSQIDANGRCQEEVWFDSVSIIESESDDDSGSVYGDAFSSVGNAIGNISNAQLLQYESASCFVDNVSKYEGFYESYSIKGLKDGTVDLGEKTQESIKKSTVIMLSVKRKSFEGDEITEFCAAERYLYRPRAGLLIPCSVEKPTPGSWSEISPSLFMLRGENYFRDKQKFPAPDCSPYVPIGVDLFACPQKVNHIAQHLELPNVKPHEKVPALLIVNIQVPTYPATMFLGDANGEGLSLVLYFKVSDTYDKDISAHFQDTIKKFVDDEMEKVKGFAKESTVPFRERLKIMANLVNPDDLQLGSTERRLIQAYNDKPILSRPQHNFYKGPNYFEIDLDIHRFSYISRKGLESFLERMKNGIVNLGLTIQAQKPEELPEQALCCLRLNKIDFVNHGQIPTIVTVKEDSF >EOX96992 pep chromosome:Theobroma_cacao_20110822:2:851035:851895:1 gene:TCM_006122 transcript:EOX96992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDIITVGVQCQNIWRYFKNKLFAIILVKFYSDYVEIVFLVNTYNCSSSWK >EOY01867 pep chromosome:Theobroma_cacao_20110822:2:41211439:41221694:-1 gene:TCM_011668 transcript:EOY01867 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP/GTP-binding family protein, putative isoform 5 MYSSRGTNAYGQQTYGGQSGYAQNLGAGYSGSSVGGPDGGAQMSLASRHSSILGSSQEADVGGYRALPSVSAHYGGQYSSIYGTAALSATQQVPAISSKGAGPSALEARSAYASAMPDSPKFASTDYVSSSSHSYSHKGDQLYAEKIPDYPTVERRQYGERQGGYLGRDLPSESSGRYADSAIYGHQHQPEIYDRLDQAVLLRQEQLLKAQSAPHEGGSRQADYLAARSAASRHSTQDLMPYGGRIDADPRSLSLLSSSSSYGGQPPSILGAAPKRNVDDLMYPPNSANPGYGVSLPPGRDYGTKGLHVASLESEYPSSTLSRSGHPRIDERKDDRAGYLREFEMRVEEHHREHLREREKDRERERMRERERLRERERERERLRILERREKEREREKERERERKRTLEVTRERTPPRVSRDHRGPSLTKEARPLRRDSPRREASHRRLSPVKEKRREYVCKVYSSTLVDVERDYLSIDKRYPRLFVPPEFSKAVLNWPKENLKLSMHTPVSFEHDFVEEGCLAESEEISSKLLPVEPEKSEQGSTVWNAKMILMSGLSRSALEELSSEKIPDDRILHICNILRFAVLKKDHSFMAIGGPWVSADGSNPTDDESSLIRTALRYGKDVANLDLQNCQHWNRFLEIHYDRVGKDGLFSHKEVTVLFVPDLSECLPSFDTWQAQWLAHRKAVSERERQLSLKKEKSKERKEGSKDKETDSAKQTERGKPEKRIQSVSSSHGVVANKKEKRGNSIEGDAAEGTVSGGENKVEVKDGSETAVGGGPEKKEQEEAAGAKTGAVKSVKKKIIKRIVKQKVANKTAAEVNTASKQSDKVDEDVGEQDAKSEIASQKEESCADRAGVKTFVRKKIAKKEAVGKTDQSEDNGVPLEAKVEREPRCSEDQPKDNSDASGAAAVQNASVKTTVKKKIIKRVPKRKVPATQANNEVAETKEDDDKDEKEVAQAGSCTSNIGKQAGSEKQGNAATSSKSEIKAEKENKDEKVTNVECLNDKQKVITKDNHDDKRGKLKEAEKSKDEKEDKDSKDESRSNPNRESKEKRKSEEPPPRHPGLILQTNWSKDSKLRSLSLSLDSLLDYTDKDIEESTFELSLFAEALYEMLQYQMGCRILTFLQKLRVRFMTKRNQRKRQREETHEKGTDKKSPTKRLKTNELSVKNESTKSDTSSAAQQALQEDEVIVTKEETTSDHVDEPQTNDEIDDEDPEEYEAMDDASPQSNSSKEKNEEEKTDTDAKPQEEAEKDEAREFIKEEMTTKAASTEPGPEGDTSAKRELKVDPRNKELAVDKDLLQVEDMRLIIH >EOY01864 pep chromosome:Theobroma_cacao_20110822:2:41211440:41221694:-1 gene:TCM_011668 transcript:EOY01864 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP/GTP-binding family protein, putative isoform 5 MYSSRGTNAYGQQTYGGQSGYAQNLGAGYSGSSVGGPDGGAQMSLASRHSSILGSSQEADVGGYRALPSVSAHYGGQYSSIYGTAALSATQQVPAISSKGAGPSALEARSAYASAMPDSPKFASTDYVSSSSHSYSHKGDQLYAEKIPDYPTVERRQYGERQGGYLGRDLPSESSGRYADSAIYGHQHQPEIYDRLDQAVLLRQEQLLKAQSAPHEGGSRQADYLAARSAASRHSTQDLMPYGGRIDADPRSLSLLSSSSSYGGQPPSILGAAPKRNVDDLMYPPNSANPGYGVSLPPGRDYGTKGLHVASLESEYPSSTLSRSGHPRIDERKDDRAGYLREFEMRVEEHHREHLREREKDRERERMRERERLRERERERERLRILERREKEREREKERERERKRTLEVTRERTPPRVSRDHRGPSLTKEARPLRRDSPRREASHRRLSPVKEKRREYVCKVYSSTLVDVERDYLSIDKRYPRLFVPPEFSKAVLNWPKENLKLSMHTPVSFEHDFVEEGCLAESEEISSKLLPVEPEKSEQGSTVWNAKMILMSGLSRSALEELSSEKIPDDRILHICNILRFAVLKKDHSFMAIGGPWVSADGSNPTDDESSLIRTALRYGKDVANLDLQNCQHWNRFLEIHYDRVGKDGLFSHKEVTVLFVPDLSECLPSFDTWQAQWLAHRKAVSERERQLSLKKEKSKERKEGSKDKETDSAKQTERGKPEKRIQSVSSSHGVVANKKEKRGNSIEGDAAEGTVSGGENKVEVKDGSETAVGGGPEKKEQEEAAGAKTGAVKSVKKKIIKRIVKQKVANKTAAEVNTASKQSDKVDEDVGEQDAKSEIASQKEESCADRAGVKTFVRKKIAKKEAVGKTDQSEDNGVPLEAKVEREPRCSEDQPKDNSDASGAAAVQNASVKTTVKKKIIKRVPKRKVPATQANNEVAETKEDDDKDEKEVAQAGSCTSNIGKQAGSEKQGNAATSSKSEIKAEKENKDEKVTNVECLNDKQKVITKDNHDDKRGKLKEAEKSKDEKEDKDSKDESRSNPNRESKEKRKSEEPPPRHPGLILQTNWSKDSKLRSLSLSLDSLLDYTDKDIEESTFELSLFAEALYEMLQYQMGCRILTFLQKLRVRFMTKRNQRKRQREETHEKGTDKKSPTKRLKTNELSVKNESTKSDTSSAAQQALQEDEVIVTKEETTSDHVDEPQTNDEIDDEDPEEYEAMDDASPQSNSSKEKRRQIQMLNLKRRLRKMKQGNLSRRK >EOY01866 pep chromosome:Theobroma_cacao_20110822:2:41211578:41221324:-1 gene:TCM_011668 transcript:EOY01866 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP/GTP-binding family protein, putative isoform 5 MYSSRGTNAYGQQTYGGQSGYAQNLGAGYSGSSVGGPDGGAQMSLASRHSSILGSSQEADVGGYRALPSVSAHYGGQYSSIYGTAALSATQQVPAISSKGAGPSALEARSAYASAMPDSPKFASTDYVSSSSHSYSHKGDQLYAEKIPDYPTVERRQYGERQGGYLGRDLPSESSGRYADSAIYGHQHQPEIYDRLDQAVLLRQEQLLKAQSAPHEGGSRQADYLAARSAASRHSTQDLMPYGGRIDADPRSLSLLSSSSSYGGQPPSILGAAPKRNVDDLMYPPNSANPGYGVSLPPGRDYGTKGLHVASLESEYPSSTLSRSGHPRIDERKDDRAGYLREFEMRVEEHHREHLREREKDRERERMRERERLRERERERERLRILERREKEREREKERERERKRTLEVTRERTPPRVSRDHRGPSLTKEARPLRRDSPRREASHRRLSPVKEKRREYVCKVYSSTLVDVERDYLSIDKRYPRLFVPPEFSKAVLNWPKENLKLSMHTPVSFEHDFVEEGCLAESEEISSKLLPVEPEKSEQGSTVWNAKMILMSGLSRSALEELSSEKIPDDRILHICNILRFAVLKKDHSFMAIGGPWVSADGSNPTDDESSLIRTALRYGKDVANLDLQNCQHWNRFLEIHYDRVGKDGLFSHKEVTVLFVPDLSECLPSFDTWQAQWLAHRKAVSERERQLSLKKEKSKERKEGSKDKETDSAKQTERGKPEKRIQSVSSSHGVVANKKEKRGNSIEGDAAEGTVSGGENKVEVKDGSETAVGGGPEKKEQEEAAGAKTGAVKSVKKKIIKRIVKQKVANKTAAEVNTASKQSDKVDEDVGEQDAKSEIASQKEESCADRAGVKTFVRKKIAKKEAVGKTDQSEDNGVPLEAKVEREPRCSEDQPKDNSDASGAAAVQNASVKTTVKKKIIKRVPKRKVPATQANNEVAETKEDDDKDEKEVAQAGSCTSNIGKQAGSEKQGNAATSSKSEIKAEKENKDEKVTNVECLNDKQKVITKDNHDDKRGKLKEAEKSKDEKEDKDSKDESRSNPNRESKEKRKSEEPPPRHPGLILQTNWSKDSKLRSLSLSLDSLLDYTDKDIEESTFELSLFAEALYEMLQYQMGCRILTFLQKLRVRFMTKRNQRKRQREETHEKGTDKKSPTKRLKTNELSVKNESTKSDTSSAAQQALQEDEVIVTKEETTSDHVDEPQTNDEIDDEDPEEYEAMDDASPQSNSSKEKNEEEKTDTDAKPQEEAEKDEAREFIKEEMTTKAASTEPGPEGDTSAKRELKVDPRNKELAVDKDLLQVFSISPFISLASDLSLFECAIQIFFLPSLMQVFFLIGF >EOY01863 pep chromosome:Theobroma_cacao_20110822:2:41210758:41222055:-1 gene:TCM_011668 transcript:EOY01863 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP/GTP-binding family protein, putative isoform 5 MYSSRGTNAYGQQTYGGQSGYAQNLGAGYSGSSVGGPDGGAQMSLASRHSSILGSSQEADVGGYRALPSVSAHYGGQYSSIYGTAALSATQQVPAISSKGAGPSALEARSAYASAMPDSPKFASTDYVSSSSHSYSHKGDQLYAEKIPDYPTVERRQYGERQGGYLGRDLPSESSGRYADSAIYGHQHQPEIYDRLDQAVLLRQEQLLKAQSAPHEGGSRQADYLAARSAASRHSTQDLMPYGGRIDADPRSLSLLSSSSSYGGQPPSILGAAPKRNVDDLMYPPNSANPGYGVSLPPGRDYGTKGLHVASLESEYPSSTLSRSGHPRIDERKDDRAGYLREFEMRVEEHHREHLREREKDRERERMRERERLRERERERERLRILERREKEREREKERERERKRTLEVTRERTPPRVSRDHRGPSLTKEARPLRRDSPRREASHRRLSPVKEKRREYVCKVYSSTLVDVERDYLSIDKRYPRLFVPPEFSKAVLNWPKENLKLSMHTPVSFEHDFVEEGCLAESEEISSKLLPVEPEKSEQGSTVWNAKMILMSGLSRSALEELSSEKIPDDRILHICNILRFAVLKKDHSFMAIGGPWVSADGSNPTDDESSLIRTALRYGKDVANLDLQNCQHWNRFLEIHYDRVGKDGLFSHKEVTVLFVPDLSECLPSFDTWQAQWLAHRKAVSERERQLSLKKEKSKERKEGSKDKETDSAKQTERGKPEKRIQSVSSSHGVVANKKEKRGNSIEGDAAEGTVSGGENKVEVKDGSETAVGGGPEKKEQEEAAGAKTGAVKSVKKKIIKRIVKQKVANKTAAEVNTASKQSDKVDEDVGEQDAKSEIASQKEESCADRAGVKTFVRKKIAKKEAVGKTDQSEDNGVPLEAKVEREPRCSEDQPKDNSDASGAAAVQNASVKTTVKKKIIKRVPKRKVPATQANNEVAETKEDDDKDEKEVAQAGSCTSNIGKQAGSEKQGNAATSSKSEIKAEKENKDEKVTNVECLNDKQKVITKDNHDDKRGKLKEAEKSKDEKEDKDSKDESRSNPNRESKEKRKSEEPPPRHPGLILQTNWSKDSKLRSLSLSLDSLLDYTDKDIEESTFELSLFAEALYEMLQYQMGCRILTFLQKLRVRFMTKRNQRKRQREETHEKGTDKKSPTKRLKTNELSVKNESTKSDTSSAAQQALQEDEVIVTKEETTSDHVDEPQTNDEIDDEDPEEYEAMDDASPQSNSSKEKNEEEKTDTDAKPQEEAEKDEAREFIKEEMTTKAASTEPGPEGDTSAKRELKVDPRNKELAVDKDLLQAFRFFDRNRIGYIRVEDMRLIIHSLGKFLSHRDVKELVQSALLESNTGRDDHILYNKLVRISDIGGDLFWSDDLF >EOY01865 pep chromosome:Theobroma_cacao_20110822:2:41211444:41221694:-1 gene:TCM_011668 transcript:EOY01865 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP/GTP-binding family protein, putative isoform 5 MYSSRGTNAYGQQTYGGQSGYAQNLGAGYSGSSVGGPDGGAQMSLASRHSSILGSSQEADVGGYRALPSVSAHYGGQYSSIYGTAALSATQQVPAISSKGAGPSALEARSAYASAMPDSPKFASTDYVSSSSHSYSHKGDQLYAEKIPDYPTVERRQYGERQGGYLGRDLPSESSGRYADSAIYGHQHQPEIYDRLDQAVLLRQEQLLKAQSAPHEGGSRQADYLAARSAASRHSTQDLMPYGGRIDADPRSLSLLSSSSSYGGQPPSILGAAPKRNVDDLMYPPNSANPGYGVSLPPGRDYGTKGLHVASLESEYPSSTLSRSGHPRIDERKDDRAGYLREFEMRVEEHHREHLREREKDRERERMRERERLRERERERERLRILERREKEREREKERERERKRTLEVTRERTPPRVSRDHRGPSLTKEARPLRRDSPRREASHRRLSPVKEKRREYVCKVYSSTLVDVERDYLSIDKRYPRLFVPPEFSKAVLNWPKENLKLSMHTPVSFEHDFVEEGCLAESEEISSKLLPVEPEKSEQGSTVWNAKMILMSGLSRSALEELSSEKIPDDRILHICNILRFAVLKKDHSFMAIGGPWVSADGSNPTDDESSLIRTALRYGKDVANLDLQNCQHWNRFLEIHYDRVGKDGLFSHKEVTVLFVPDLSECLPSFDTWQAQWLAHRKAVSERERQLSLKKEKSKERKEGSKDKETDSAKQTERGKPEKRIQSVSSSHGVVANKKEKRGNSIEGDAAEGTVSGGENKVEVKDGSETAVGGGPEKKEQEEAAGAKTGAVKSVKKKIIKRIVKQKVANKTAAEVNTASKQSDKVDEDVGEQDAKSEIASQKEESCADRAGVKTFVRKKIAKKEAVGKTDQSEDNGVPLEAKVEREPRCSEDQPKDNSDASGAAAVQNASVKTTVKKKIIKRVPKRKVPATQANNEVAETKEDDDKDEKEVAQAGSCTSNIGKQAGSEKQGNAATSSKSEIKAEKENKDEKVTNVECLNDKQKVITKDNHDDKRGKLKEAEKSKDEKEDKDSKDESRSNPNRESKEKRKSEEPPPRHPGLILQTNWSKDSKLRSLSLSLDSLLDYTDKDIEESTFELSLFAEALYEMLQYQMGCRILTFLQKLRVRFMTKRNQRKRQREETHEKGTDKKSPTKRLKTNELSVKNESTKSDTSSAAQQALQEDEVIVTKEETTSDHVDEPQTNDEIDDEDPEEYEAMDDASPQSNSSKEKNEEEKTDTDAKPQEEAEKDEAREFIKEEMTTKAASTEPGPEGDTSAKRELKVDPRNKELAVDKDLLQAFRFFDRNRIGYIRVRSKSFTYFGMFSWASGIDNLLFFTG >EOY01529 pep chromosome:Theobroma_cacao_20110822:2:39853131:39857654:-1 gene:TCM_011393 transcript:EOY01529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAESVLEKKSMVVADVIPVMTKITKHKLNGLNYLNWSKTVQVYLRSIDKNDHITNDPLTDNTRQTWMREDAQLFLEIRNSINSEIISLINHCEFVKELIDYLDFLFSGEANIPHIYEVQQAQQEQMAVMSFLVGLPPKLETVKSQFILNNRSGNRGGGGTSNRNRDVDSRSQESRGIVCYYCHEPGHTKRFCRKLQNKSQQGQSANVATSNTSSSDKIILVFANEFAQFSQYQESLKSTTSPITAIAESSNSTTCLISSSSKWVIDSGASNHMIADGLTSCVLGSGTINPTPSISLSNILNLPKFSFNLISDLMTKQIIGKGRESGGLYFIDTQAPRSIACSSVLTPFKMKVPKQFSTDAVSTACFLINWMPSSFLHGDIPYNVLFPSKSLFPIEPRTFGSTCFVRDVRPQVTKLDPKSLNCVFLEYSRLQKGYRCYSPTLNRYLVSADVTFFENTPFFSSSSSYDSQGEEDDLLVYTVTHSVNTTDILAPDPAPARIPIVHVYSRQPEAQTTCPLPILSPSNPVSTDHDPSLHLPIALRKVARLKAHLVAKGYAQTYGVDYSNTFSPVAKLTSIRLFISMAATYDWPLHQLDIKNAFLHGDLQGEVYMEQPPGFVAQGEYGKYKAGIILLVAYVDDIVITGSDTAVTRPDIAHSVSVVNQFMFAPTINYWAALEQILCYLKGVPGCGLFYGNHGHTNIECFSDADWAVSQSSAKSEYRAMAQTVCEVVWMYQLLSEVGLKSSLPAKLWCDNQAALHIASNSVFHERTKHIEIDCHFVREKIQQKFISTGYVKTEDQLGDIFTKALNGPRVDYIRSKLGMINIYAPA >EOX97757 pep chromosome:Theobroma_cacao_20110822:2:3348445:3351622:-1 gene:TCM_006691 transcript:EOX97757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xap5 circadian timekeeper isoform 1 MSGMGDGYVGTAQDAVRIRRLEKQREAERRKIQELKTKSASAKGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRNKIEEEEKEKLQKLQQEEEELQLQKRRKRKVKGNSRLSFADDMENGSEEEEDAENTSVMLCAESSEPKRFTRGKFGKDPTVETSFLPDSEREAEEQAERERLRKQWLREQEQIKNEPLEITYSYWDGAGHRRVIQVRKGDTIGEFLRAVQQQLAPEFREIRTTSVENLLYVKEDLIIPHQHSFYELIVNKARGKSGPLFHFDVHEDVRTIADATIEKD >EOX97756 pep chromosome:Theobroma_cacao_20110822:2:3347663:3351786:-1 gene:TCM_006691 transcript:EOX97756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xap5 circadian timekeeper isoform 1 MSGMGDGYVGTAQDAVRIRRLEKQREAERRKIQELKTKSASAKGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRNKIEEEEKEKLQKLQQEEEELQLQKRRKRKVKGNSRLSFADDMENGSEEEEDAENKSSEPKRFTRGKFGKDPTVETSFLPDSEREAEEQAERERLRKQWLREQEQIKNEPLEITYSYWDGAGHRRVIQVRKGDTIGEFLRAVQQQLAPEFREIRTTSVENLLYVKEDLIIPHQHSFYELIVNKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >EOX98462 pep chromosome:Theobroma_cacao_20110822:2:5853831:5854417:1 gene:TCM_007219 transcript:EOX98462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPHLYVCLCPCQLTNLHKHTQMYLSLLCIRFPISSVCISLFLCMHLCLWHVGDATDIWTGYQLRVIKQNKGGEISYIYF >EOX98735 pep chromosome:Theobroma_cacao_20110822:2:6907219:6911886:-1 gene:TCM_007427 transcript:EOX98735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor, putative MDIDNEIARTQEERRRKEEELASLTSLTFDRDLYGGTDRDAYVSSIPVNDEDEGNLDSMDSEVARKLASYTAPKSLLKEMPRGDEDDNSLGFRKPAKIIDREDEYRRRRLNQVISPDRHDAFAAGEKTPDPSVRTYADVMREQALAREREETLRAIAKKKKEEEEAAKVEKESGGAAAAAVSKRRNRWDQSQDDGSSAAKKAKTTSDWDLPDATPGIGRWDATPTPGRVSDATPSVGRRNRWDETPTPGRLADSDATPAGGVTPGATPAGVTWDATPKGLVTPTPKRQRSRWDETPATMGSATPMAGATPVVPLTPGVTPFGGTDLQTPTPGNFRGPMTPEQYNLLRWEKDIEERNRPLTDEELDAMFPQEGYKILEPPASYVPIRTPARKLLATPTPMGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGSLLNEENEEELSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLKSLVEIIEHGLNDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAIYASYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVESDYIRNDILPEFFRNFWVRRMALDRRNYRQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLMNYVWPNIFETSPHVINAVMEAIEGMRVALGAAIVLNYCLQGLFHPARKVREVYWKIYNSLYIGSQDTLVAAYPILDDEQNNIYSRPELMMFV >EOX97867 pep chromosome:Theobroma_cacao_20110822:2:3729315:3740932:1 gene:TCM_006789 transcript:EOX97867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding,zinc ion binding,DNA binding, putative isoform 2 MDTEEEEPLHVPNVESSLRLEDNPATTTRASELQRQSAGSQCELESVAELDESRLPAPSAVSPVAEKEEEREGKGVVDVAVVLAREPEAAVRDEVGGGDLIAGEEKDEMLDAGDGIKDSSPIDGNREDEGVVDREEGHVAQEEKADVAEDAAVDDVMEEMEKADLSDGGGTAEGIEVAVAERQVAELAEEAGNEQKVVDDVQDQISSPEDKEVAGVAEERGIAEAAEVDGVTEQIVVMEETCVADVVEERGIAKAAEVGVVTEQIGVMEEAGLADMTERTGIMDESEVAGVAVEREMLKEKQVDNEVEQTEILGETVVVNMVEKSESLEEKLMVDVAERFGIGEETRVTDLVEKRELLEDKEEVNFADPNEILEDTGVVDMVEKSQSLEEQLVGNVSEQTENLEDTNAVRETGMAEVDTVTGEESEKAEGTETGNVVEDVEKAEGTEIDVGDGAEGVEAAEDTEMLDMTEEVEMEAAEETEDAEEVEDASKASGGKRKRGKNSNSKVLARAPSRKKVEEDVCFICFDGGDLVLCDRRGCPKAYHTACVGRDEAFFRAKGKWNCGWHLCSNCKKNAYYMCYTCTFSLCKGCIKDAVILSVRGNKGLCESCMNLIMLIERNEQAQVNFDDKSSWEYLFKDYWIDLKRRLSINSDELAQAKNPWKGSEGRAAKQESPDEHDFNDGGGSGSDGSSGNAEVTASKRRRTRSQSKSRAREGDSPSTVTASGEGASTDESAEWASKELLEVVMHMRNGDKSVLSRMELSQLILDYIQKHKLRDRRNKSYVICDTRLKSLFGKPRVGHIEMLNLLDPHIFFTKEDSQTDEIQGSVVDAEANQLEADWNSDAMTKTGKDKKRKTRKKGDARGLQSNLDDYAAIDMHNINLIYLRRNLVEDLIEDTETFHDKVVGSFVRIRISGAGQKQDLYRLVQVVGTNKVAETYRVGKRTTDFLLEILNLNKTEIVSIDIISNQEFTEDECKRLRQSIKCGLINRLTVGDIQEKAMAIQAVRVKDWLESEIMRLSHLRDRASEKGHRKELRECVEKLQILKTPEERQRRLEEIPEIHVDPNMDPSYESEEDEGEDDKRQDNYMRPRGSGFSRRGREPISPRKGGLSSSDSWSGTRNYSSMNRELSRNLSNKGLMSKGDDSVGAGEMVNENLWNLGRERETQPNSWDKPKTALSSEIGTRNTHSVVTQEPSSKVVSEISPTPLSTGVTAAVQINETEKIWRYQDPSGKVQGPFSMVQLRKWNDTGYFPAELKIWRTTEKQDDSILLTDALVGKFQKDPPVADNSFPKAQVALYGSGVGATLKQGMENQVGERSRFDQNHVAWSPQRTLSSSGQSAVESWKSQTEAPSSTGRPAPSSLEMPKYSRDAWGSDTNLPSPTPNQNPSGGAKGQVFESKWSPTPVQSSVSVSVANSFRGATSGLQPPTVVLESGSPAAPVVHSHMAVSGESLRTQVNAQASINSGADMKNVGVSLQNLVQPVSSHNPSLETHGWGSGSVLRQEVVAASSIPATGTQAWGNASAQKLEPNPSLAMPPQPASYGHWNDALQSGQNSAPLSTGNPAGHFPTGQPTMLASDSWRPTAPVQSNVQLPAPTNLPWGMAVADNQGAVLRQAPGNQSTGWGPMPGNQNMGWGAPVPANPNVNWGASSQGSAPVNPNPSWAAPGQGQMPGNANSGWTAPGNAIPGWAPPGQGPAVVNTSSGWVAPGQGATPGSANPGYVAPSGNSGMWGNEQNHNGDKFSNQRDRGSQGGDSGYGGVKPWSRQSLFGSGGGSSRSPFKGQRVCKFHESGHCKKGASCDYMHT >EOX97866 pep chromosome:Theobroma_cacao_20110822:2:3729100:3740985:1 gene:TCM_006789 transcript:EOX97866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding,zinc ion binding,DNA binding, putative isoform 2 MDTEEEEPLHVPNVESSLRLEDNPATTTRASELQRQSAGSQCELESVAELDESRLPAPSAVSPVAEKEEEREGKGVVDVAVVLAREPEAAVRDEVGGGDLIAGEEKDEMLDAGDGIKDSSPIDGNREDEGVVDREEGHVAQEEKADVAEDAAVDDVMEEMEKADLSDGGGTAEGIEVAVAERQVAELAEEAGNEQKVVDDVQDQISSPEDKEVAGVAEERGIAEAAEVDGVTEQIVVMEETCVADVVEERGIAKAAEVGVVTEQIGVMEEAGLADMTERTGIMDESEVAGVAVEREMLKEKQVDNEVEQTEILGETVVVNMVEKSESLEEKLMVDVAERFGIGEETRVTDLVEKRELLEDKEEVNFADPNEILEDTGVVDMVEKSQSLEEQLVGNVSEQTENLEDTNAVRETGMAEVDTVTGEESEKAEGTETGNVVEDVEKAEGTEIDVGDGAEGVEAAEDTEMLDMTEEVEMEAAEETEDAEEVEDASKASGGKRKRGKNSNSKVLARAPSRKKVEEDVCFICFDGGDLVLCDRRGCPKAYHTACVGRDEAFFRAKGKWNCGWHLCSNCKKNAYYMCYTCTFSLCKGCIKDAVILSVRGNKGLCESCMNLIMLIERNEQAQVNFDDKSSWEYLFKDYWIDLKRRLSINSDELAQAKNPWKGSEGRAAKQESPDEHDFNDGGGSGSDGSSGNAEVTASKRRRTRSQSKSRAREGDSPSTVTASGEGASTDESAEWASKELLEVVMHMRNGDKSVLSRMELSQLILDYIQKHKLRDRRNKSYVICDTRLKSLFGKPRVGHIEMLNLLDPHIFFTKEDSQTDEIQGSVVDAEANQLEADWNSDAMTKTGKDKKRKTRKKGDARGLQSNLDDYAAIDMHNINLIYLRRNLVEDLIEDTETFHDKVVGSFVRIRISGAGQKQDLYRLVQVVGTNKVAETYRVGKRTTDFLLEILNLNKTEIVSIDIISNQEFTEDECKRLRQSIKCGLINRLTVGDIQEKAMAIQAVRVKDWLESEIMRLSHLRDRASEKGHRKEYPLLVILLSVLLSNSWMLVYIFFMAYGILLTFVVILKTPEERQRRLEEIPEIHVDPNMDPSYESEEDEGEDDKRQDNYMRPRGSGFSRRGREPISPRKGGLSSSDSWSGTRNYSSMNRELSRNLSNKGLMSKGDDSVGAGEMVNENLWNLGRERETQPNSWDKPKTALSSEIGTRNTHSVVTQEPSSKVVSEISPTPLSTGVTAAVQINETEKIWRYQDPSGKVQGPFSMVQLRKWNDTGYFPAELKIWRTTEKQDDSILLTDALVGKFQKDPPVADNSFPKAQVALYGSGVGATLKQGMENQVGERSRFDQNHVAWSPQRTLSSSGQSAVESWKSQTEAPSSTGRPAPSSLEMPKYSRDAWGSDTNLPSPTPNQNPSGGAKGQVFESKWSPTPVQSSVSVSVANSFRGATSGLQPPTVVLESGSPAAPVVHSHMAVSGESLRTQVNAQASINSGADMKNVGVSLQNLVQPVSSHNPSLETHGWGSGSVLRQEVVAASSIPATGTQAWGNASAQKLEPNPSLAMPPQPASYGHWNDALQSGQNSAPLSTGNPAGHFPTGQPTMLASDSWRPTAPVQSNVQLPAPTNLPWGMAVADNQGAVLRQAPGNQSTGWGPMPGNQNMGWGAPVPANPNVNWGASSQGSAPVNPNPSWAAPGQGQMPGNANSGWTAPGNAIPGWAPPGQGPAVVNTSSGWVAPGQGATPGSANPGYVAPSGNSGMWGNEQNHNGDKFSNQRDRGSQGGDSGYGGVKPWSRQSLFGSGGGSSRSPFKGQRVCKFHESGHCKKGASCDYMHT >EOX97645 pep chromosome:Theobroma_cacao_20110822:2:2961887:2963421:-1 gene:TCM_006614 transcript:EOX97645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTNQEESSTMQIEDILIEEIHGIERYFWFERLPILLLILVFPFSKLLLSMGLSCCSKPQTSIVLGCHAAQTSVDLYCLDMTLTNYAMIDPIS >EOX99398 pep chromosome:Theobroma_cacao_20110822:2:11004057:11004971:-1 gene:TCM_008084 transcript:EOX99398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein MESGALVVVELRRNSTSFEKVVKEIVKMEKKIFPKHESLARSFDEELRKNNAGLLYTTDLHGEVVGYVMYSWPSSLSASISKLAVKESHRRQGHGEALLKAAIEKCRTRNVHRISLHVDPLRSAAMGLYKKLGFQVDSLIKSYYSADRDAYRMYLDFDPK >EOX98342 pep chromosome:Theobroma_cacao_20110822:2:5431561:5433335:1 gene:TCM_007126 transcript:EOX98342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein MFDTFCFLLLLLFRFSLAQALTSPQDISALKAFKASIKPSSIPSWSCLASWDFTTDPCAIPRRTHFICGLTCSPDSARVTQITLDPAGYSGQLNPLVSQLTQLTTLDLSDNNFFGPIPSSITSLRSLQSLTLRSNSFSGSIPDSVATIKSLEYLDLSHNSLSGPLPKTLNSLSSLRRVDLSYNKFTGFLPKLPYNLLELALRGNYLSGYISKSTFDGLTQLEVVELSENSFTGTLQSWFFLLPSLQQVDLANNSFTRIEISKPPGGNSDLVAVNLGFNKIEGNVPANFSDYPLLSSLSLRYNRLRGAIPLEYSKKKSLRRLFLDGNFLIGKPPSEFFAGDTSVSGSLGDNCLQGCPGSSQLCIPSQKSYSVCKQAYGGKPRS >EOY01843 pep chromosome:Theobroma_cacao_20110822:2:41111787:41112179:1 gene:TCM_011646 transcript:EOY01843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSMSADSNQSRSQGSQTSSHISPIGDPQSPYYLHHINHQGSVIINPKLTTSNYVAWSRSFFFWLCPLKTSWVSLMEAFQNLKSQIHYTLLGLDVTIL >EOX99318 pep chromosome:Theobroma_cacao_20110822:2:10117642:10125156:-1 gene:TCM_007949 transcript:EOX99318 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase B1 isoform 3 MTILSFFSRASRSFNGYPACSKLLVLCTLSSGGLVAYSESQTDVSNTSVEINAKDCKKRRVVVLGTGWAGISFLKDVDVSAYDVQVISPRNYFAFTPLLPSVTCGTVEARSIVEPVRNIIKKRNGEIKFFEAECIKIDAANKKILCRSTFDNNLVGNEEFSLEYDYLVIAVGAQVNTFNTPGVVENCHFLKEVEDAQKIRRSVIDCFEKAVLPGLSEEEQRINLHFVIVGGGPTGVEFAAELHDYVQEDLVNLYPTVKDLVKITVIQSGDHILNMFDERISSFAEQKFSRDGIEVQTGCRVISVSDKEITMKLKSKEEICSIPHGLVVWSTGVETRPVVKDFMGQIGQGSRRVLATDEWLRVKGCADVYALGDCATINQRKVMEDIAAIFKAADKDNSGALTVKEFQDVVDDILERYPQVEHYLKSKHLSDVTDLLKDPEGNARDEVDIGGFKLALSHVDSQMKNLPATAQVAAQQGAYLSKCFNHRQQCEDNPEGPRRFKGSGRHQFHPFRYKHLGQFAPLGGEQTAAELPGDWVSIGHSTQWLWYSVYASKQVSWRTRVLVVSDWTRRFIFGRDSSRI >EOX99320 pep chromosome:Theobroma_cacao_20110822:2:10119328:10125070:-1 gene:TCM_007949 transcript:EOX99320 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase B1 isoform 3 MTILSFFSRASRSFNGYPACSKLLVLCTLSSGGLVAYSESQTDVSNTSVEINAKDCKKRRVVVLGTGWAGISFLKDVDVSAYDVQVISPRNYFAFTPLLPSVTCGTVEARSIVEPVRNIIKKRNGEIKFFEAECIKIDAANKKILCRSTFDNNLVGNEEFSLEYDYLVIAVGAQVNTFNTPGVVENCHFLKEVEDAQKIRRSVIDCFEKAVLPGLSEEEQRINLHFVIVGGGPTGVEFAAELHDYVQEDLVNLYPTVKDLVKITVIQSGDHILNMFDERISSFAEQKFSRDGIEVQTGCRVISVSDKEITMKLKSKEEICSIPHGLVVWSTGVETRPVVKDFMGQIGQGSRRVLATDEWLRVKGCADVYALGDCATINQRKVMTQGYYSRVKKC >EOX99319 pep chromosome:Theobroma_cacao_20110822:2:10117972:10125105:-1 gene:TCM_007949 transcript:EOX99319 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase B1 isoform 3 MTILSFFSRASRSFNGYPACSKLLVLCTLSSGGLVAYSESQTDVSNTSVEINAKDCKKRRVVVLGTGWAGISFLKDVDVSAYDVQVISPRNYFAFTPLLPSVTCGTVEARSIVEPVRNIIKKRNGEIKFFEAECIKIDAANKKILCRSTFDNNLVGNEEFSLEYDYLVIAVGAQVNTFNTPGVVENCHFLKEVEDAQKIRRSVIDCFEKAVLPGLSEEEQRINLHFVIVGGGPTGVEFAAELHDYVQEDLVNLYPTVKDLVKITVIQSGDHILNMFDERISSFAEQKFSRDGIEVQTGCRVISVSDKEITMKLKSKEEICSIPHGLVVWSTGVETRPVVKDFMGQIGQGSRRVLATDEWLRVKGCADVYALGDCATINQRKVMEDIAAIFKAADKDNSGALTVKEFQDVVDDILERYPQVEHYLKSKHLSDVTDLLKDPEGNARDEVDIGGFKLALSHVDSQMKNLPATAQQVAAQQGAYLSKCFNHRQQCEDNPEGPRRFKGSGRHQFHPFRYKHLGQFAPLGGEQTAAELPGDWVSIGHSTQWLWYSVYASKQVSWRTRVLVVSDWTRRFIFGRDSSRI >EOY02077 pep chromosome:Theobroma_cacao_20110822:2:41879657:41880244:1 gene:TCM_011815 transcript:EOY02077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKLKSREEDDGDRCRTGKEMEGEKRKSEFNDAVLKASQKRARWDENGEEETTTVTDEEVEEFFAILKRIHVAVNYFKNVNGDLRSLTELEKAESLNWGRNAEVDGGEKEENVGLDLNADPGTGSDPF >EOX98063 pep chromosome:Theobroma_cacao_20110822:2:4428668:4435692:1 gene:TCM_006913 transcript:EOX98063 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein MNMDLSPKFPRTFAEGEGGGYYNWASADSPVLREAKVAAGKLVLKPRGFALPHYADCSKVGYVLEGNCGVGLTLAADPKDKMAFIGLKKGNIIPVPSGSVSWWYNYGNSDVVIVFLGDATKAYVPGEITYFLLAGPQGHLAAFSPEFIARTYHVSVEKAQKLADSQKSILSTKLGQEEAEGIPKPSEDLVNLWTQNIDSSSPDVQVKNGGKSTTLTGIQFPFLGEVGLNVTRLVLNPMPLEHRPMHLMHKCSTLQKEVVEYK >EOY00195 pep chromosome:Theobroma_cacao_20110822:2:29284255:29288447:1 gene:TCM_009955 transcript:EOY00195 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase-related, putative isoform 3 MATFTSSSHTFASPHSFVTHFSSRVFPSCFCVIRQGRKGNLVSLKLNLVRGLEFGKEKKRVSWWSCCCKKGWDNDADLALEAEILEFMRNSEKPEVFPSKKELVDAGRMDLVERIKRQGGWLAMGWDLDKDNGFQENGFSENYVKHWDLEKEWDNEAFLERGQSEVGSRESSSLAFNSSSSPSSSGRSLEVAAEDDSGIDGILSRLQRERNVSFGFGFREKGDNNACTQSNDSEEESLVQASMDVTVGGPGRRKLVSFSHSTSLVNDIGVKSSENQSLSGIDGLGNSTWREWSLQRAGFRGKEFEGDEILDIRDKSSELHRRKESDASKKEINNKIQSRLEHLKLELSSVLQSLRSNVDEVLSWKGDGSSIDSLHKLSDTWEFQENEIMNAQDKLRSIRARLTVLEGKMALAIIDARKTVEEKQNRIDDARRALQLLRTACVVWPNSASEVLLAGSFDGWATKRKMEKSRTGVFSLRLKLYPGKYEGGHTPEACNCGDGKIFHHKDSVG >EOY00193 pep chromosome:Theobroma_cacao_20110822:2:29283980:29288510:1 gene:TCM_009955 transcript:EOY00193 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase-related, putative isoform 3 MATFTSSSHTFASPHSFVTHFSSRVFPSCFCVIRQGRKGNLVSLKLNLVRGLEFGKEKKRVSWWSCCCKKGWDNDADLALEAEILEFMRNSEKPEVFPSKKELVDAGRMDLVERIKRQGGWLAMGWDLDKDNGFQENGFSENYVKHWDLEKEWDNEAFLERGQSEVGSRESSSLAFNSSSSPSSSGRSLEVAAEDDSGIDGILSRLQRERNVSFGFGFREKGDNNACTQSNDSEEESLVQASMDVTVGGPGRRKLVSFSHSTSLVNDIGVKSSENQSLSGIDGLGNSTWREWSLQRAGFRGKEFEGRLSISFRCFMTLKCEFDRFLFVLLQLTIADIIIYEIKWLTNISGDEILDIRDKSSELHRRKESDASKKEINNKIQSRLEHLKLELSSVLQSLRSNVDEVLSWKGDGSSIDSLHKLSDTWEFQENEIMNAQDKLRSIRARLTVLEGKMALAIIDARKTVEEKQNRIDDARRALQLLRTACVVWPNSASEVLLAGSFDGWATKRKMEKSRTGVFSLRLKLYPGKYEIKFIVDGEWKIDPLRPIVNNNGFENNLLIIT >EOY00194 pep chromosome:Theobroma_cacao_20110822:2:29284255:29288447:1 gene:TCM_009955 transcript:EOY00194 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase-related, putative isoform 3 MATFTSSSHTFASPHSFVTHFSSRVFPSCFCVIRQGRKGNLVSLKLNLVRGLEFGKEKKRVSWWSCCCKKGWDNDADLALEAEILEFMRNSEKPEVFPSKKELVDAGRMDLVERIKRQGGWLAMGWDLDKDNGFQENGFSENYVKHWDLEKEWDNEAFLERGQSEVGSRESSSLAFNSSSSPSSSGRSLEVAAEDDSGIDGILSRLQRERNVSFGFGFREKGDNNACTQSNDSEEESLVQASMDVTVGGPGRRKLVSFSHSTSLVNDIGVKSSENQSLSGIDGLGNSTWREWSLQRAGFRGKEFEGDEILDIRDKSSELHRRKESDASKKEINNKIQSRLEHLKLELSSVLQSLRSNVDEVLSWKGDGSSIDSLHKLSDTWEFQENEIMNAQDKLRSIRARLTVLEGKMALAIIDARKTVEEKQNRIDDARRALQLLRTACVVWPNSASEVLLAGSFDGWATKRKMEKSRTGVFSLRLKLYPGKYEIKFIVDGEWKIDPLRPIVNNNGFENNLLIIT >EOX97363 pep chromosome:Theobroma_cacao_20110822:2:2090504:2091371:-1 gene:TCM_006408 transcript:EOX97363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 22 MKMAIIGSSILAPAILFLMLQYEAIHSAPTTYLVGDEEGWDLSISLEGWPKGKDLHAGDILEFIYDREDFNVVVVNQTGHDTCTVNDGATEFNSGDDKIPLIFGANYFICSKKEGACAVGMKMAINATAPPPPPPPPPSK >EOY01738 pep chromosome:Theobroma_cacao_20110822:2:40761874:40763094:-1 gene:TCM_011570 transcript:EOY01738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKMFMKITGQTGRFRLWNRKIPPSQKHHLAYVILVLSFVVYGFSLLSKKKDLTQFFK >EOY01404 pep chromosome:Theobroma_cacao_20110822:2:39295939:39302091:-1 gene:TCM_011303 transcript:EOY01404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MEPLVSRYYLLFFFIFCSLLSLVPLVRSGDAEALLTLKSSIDPFNSLPWQGTDVCAWTGIKECMNGRVTKLVLEYLNLTGSLDEESLNQLDQLRVLSFKGNSLSGQIPDLSGLVNLKSLFLNDNNFTGEFPESVSGLHRLKIIVFSGNQISGHIPASLLKLKRLYTLYLQDNNLKGTIPPFNQTSLRFFNVSNNKLYGQIPVTPALVQFNMSSYSGNIDLCGEQIQNPCQTINFGPATSPAYPKVPSSKSSSKKRTRLIKIVVGSVGGFLLLLICVFLVCFVSKRKHEKDQSKEVKSKGVVNLEGVETGEAVGGGGGGGGGSGGMGGNTSGKQGGFWESEGLGSLVFLGAGDQQMSYSLEDLLKASAETLGRGTMGSTYKAVMESGFIVTVKRLKDARYPRLEEFKRHMDLLGRLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGTRTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGLTHGNLKSSNVLLGPDFESCLTDYGLTLFRDPDSVEEPSGATFFYRAPECRDVRKSSTQPADVYSFGVLLLELLTGKTPFQDLVQEHGSDIPRWVRSVREEETESGDEPTSGNEASEGKLQALLNIAMACVALAPENRPAMREVLKMIRDVRSEAQVSSNSSDHSPGRWSDTVQSLPRDEHLSI >EOY01403 pep chromosome:Theobroma_cacao_20110822:2:39295977:39302028:-1 gene:TCM_011303 transcript:EOY01403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MEPLVSRYYLLFFFIFCSLLSLVPLVRSGDAEALLTLKSSIDPFNSLPWQGTDVCAWTGIKECMNGRVTKLVLEYLNLTGSLDEESLNQLDQLRVLSFKGNSLSGQIPDLSGLVNLKSLFLNDNNFTGEFPESVSGLHRLKIIVFSGNQISGHIPASLLKLKRLYTLYLQDNNLKGTIPPFNQTSLRFFNVSNNKLYGQIPVTPALVQFNMSSYSGNIDLCGEQIQNPCQTINFGPATSPAYPKVPSSKSSSKKRTRLIKIVVGSVGGFLLLLICVFLVCFVSKRKHEKDQSKEVKSKGVVNLEGVETGEAVGGGGGGGGGSGGMGGNTSGKQGGFWESEGLGSLVFLGAGDQQMSYSLEDLLKASAETLGRGTMGSTYKAVMESGFIVTVKRLKDARYPRLEEFKRHMDLLGRLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGTRTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGLTHGNLKSSNVLLGPDFESCLTDYGLTLFRDPDSVEEPSGATFFYRAPECRDVRKSSTQPADVYSFGVLLLELLTGKTPFQDLVQEHGSDIPRWVRSVREEETESGDEPTSGNEASEGKLQALLNIAMACVALAPENRPAMREVLKMIRDVRSEAQVSSNSSDHSPGRWSDTVQSLPRDEHLSI >EOY01405 pep chromosome:Theobroma_cacao_20110822:2:39295939:39301999:-1 gene:TCM_011303 transcript:EOY01405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MEPLVSRYYLLFFFIFCSLLSLVPLVRSGDAEALLTLKSSIDPFNSLPWQGTDVCAWTGIKECMNGRVTKLVLEYLNLTGSLDEESLNQLDQLRVLSFKGNSLSGQIPDLSGLVNLKSLFLNDNNFTGEFPESVSGLHRLKIIVFSGNQISGHIPASLLKLKRLYTLYLQDNNLKGTIPPFNQTSLRFFNVSNNKLYGQIPVTPALVQFNMSSYSGNIDLCGEQIQNPCQTINFGPATSPAYPKVPSSKSSSKKRTRLIKIVVGSVGGFLLLLICVFLVCFVSKRKHEKDQSKEVKSKGVVNLEGVETGEAVGGGGGGGGGSGGMGGNTSGKQGGFWESEGLGSLVFLGAGDQQMSYSLEDLLKASAETLGRGTMGSTYKAVMESGFIVTVKRLKDARYPRLEEFKRHMDLLGRLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGTRTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGLTHGNLKSSNVLLGPDFESCLTDYGLTLFRDPDSVEEPSGATFFYRAPECRDVRKSSTQPADVYSFGVLLLELLTGKTPFQDLVQEHGSDIPRWVRSVREEETESGDEPTSGNEASEGKLQALLNIAMACVALAPENRPAMREVLKMIRDVRSEAQVSSNSSDHSPGRWSDTVQSLPRDEHLSI >EOX96814 pep chromosome:Theobroma_cacao_20110822:2:120865:125301:-1 gene:TCM_005971 transcript:EOX96814 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MASSQVEIVSSSPFGCVHRRKERCRDSNVRAVFDKNFKELVHGCISLSSHENSQNQIRRIASWVTTEQVNDNRHTLQLLNNNDNNIKKERPLPITPRQSRLVLDRWVSRQAQDVTASTLQKHVKEADPLLIPSNSNTASLTTLMASSSKTRNAQNSSTQLENASVTQNLGASSLVQIWEARLNRSNSTNSNQSQSQSQSMGSNTSRTSCGSSFNENNALPVEEPDSFDEKLDNGPNNEDSLMDWESQSDRTAPGEAPSSSCSGERERVRVADIIKRLTNGSEDANDHEQSNNVSDSQSRERRHATTSDQAEQRCFAQVVNSPRLRGRQAFNDLLIQIERDKNRELDSLVERQAVSKFSQRGRLQSMLRLRCLQRSVTIQDKCRPQSPAAQVNRLPQRSTIKHLREKFSSGAEHPVTTQNDSATSSCLNREVSNNSIQFEKSCTSKPQSEDTHSQKVTSAGHQSTWPVNRLTMNTNEDLHEQTKPASDAVPQKPSTEARCTEASTSLEGQSENEMAKEQGSNSQQHPFLDSQEIVETISSLNVYTQNKVAKEQDNHNQHLYLDLQETTETTTSLHSCTENEIAEEQDIGDQEHLYLGSQETAETATSYNDPDENVVTEELEDHYQQYFAQTNYDWFSNISRPRSYWEGLRQAWYQEVLNTTSKDEEIRQLLERGRVSTFLASDFRERMDRLMISRVQMQADRAESQEDKEDDEDRMVQVMSYLQRHSHPSGGQEGRGGVQEVDGQEEEDDEDEERSLISHQYHEANSYFNQSTSSMQMPSPSLMRSWSFQDDNEIGNDSDRGPSTFSPPPGASQPQYFQDTRQSSSSSINRPSLEMELICDLRGHMEQLHREMAELRRSIMSCMDMQMKLQQSFNPEVHSDGGEGKNSADRAPWKRNCCICYEVQVDSLLYRCGHMCTCLKCAHELQWSGGKCPICRAPILDVVAYQPSERVLASDGGPSAFLVNSN >EOY01286 pep chromosome:Theobroma_cacao_20110822:2:38804126:38805717:1 gene:TCM_011223 transcript:EOY01286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSGFEICCKGMKSVTGSEDDKAVLDCMGFLRWFLGMKNFRGSSSCFRLVGRADSMHSYNYLGLLKAVMRFSMKALRAADAKEVASSVATYTSKKKKVYVEKIEDCTSLDAYDMLLMRMRILIPCKRCFDAKFVCSYFFYFGISDSDKVVMYGCGNFLQCLLQVQEIDGE >EOY00697 pep chromosome:Theobroma_cacao_20110822:2:34526483:34543944:-1 gene:TCM_010625 transcript:EOY00697 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase kinase 7 MSLVKHRLQLSLELPVPEVKISNFIPFIYLPKSTTTASQGVSKLLDLEKLCVLGHGNYGTVYKVRHRQTSAIYALKVIRADPDASQQPYQQSHEMKILISTDSPYIIKCLGAYESLAGEKAIVMEYMDAGTLDTLLKANGPFPESSIAHIGYQVLSGLNYLHAFNIFHLDIKPSNLLVDKNMNVKIGDFGVSKIMPESDPPDYWDIYVGTYAYMSPERLDSNMYDPRFVSAADIWSLGVTLLELYVGHFPFLPPGEKPNWMQLVLITRYGEAPSMPKEASEELRGFISCCLHKEPNKRWTALQLLSHPFICGKGRLED >EOY01472 pep chromosome:Theobroma_cacao_20110822:2:39574654:39576980:1 gene:TCM_011346 transcript:EOY01472 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein isoform 2 MGLKASSNKLSYGLPEKFTQKTESIPTTVLQVVMNRQDEDGKSCINTPSNEMEGDKLVKTKRKEAPAHYILDIESLQMLLEILSKPRLDRYESTEFEASGHKWRLILYPEGDKQRNGGGHISLYLRIVDVEKLGRAWEIDALINFFVLDQEDNQYLSIQDGRVKRFNAVKREWGFSRLLPLTEFHDKGYLSKKGRCEFGVEVFVLKSEGKGECFSILDYPIRNYYIWKVEKFSKLGESSHYSEDFTVGDYKWRLHLYRQGVAKVKGQYLSIFLCLHELKENTFWTQFHVEFKLRIIDQSDKLNSKKTEKTGNAWFSATKPAWGFPYFIKRADLEGNKDFIVNDEMVVEAEISSMSMAKELTPDPPKPQEEVRP >EOY01473 pep chromosome:Theobroma_cacao_20110822:2:39574903:39577322:1 gene:TCM_011346 transcript:EOY01473 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein isoform 2 MNRQDEDGKSCINTPSNEMEGDKLVKTKRKEAPAHYILDIESLQMLLEILSKPRLDRYESTEFEASGHKWRLILYPEGDKQRNGGGHISLYLRIVDVEKLGRAWEIDALINFFVLDQEDNQYLSIQDGRVKRFNAVKREWGFSRLLPLTEFHDKGYLSKKGRCEFGVEVFVLKSEGKGECFSILDYPIRNYYIWKVEKFSKLGESSHYSEDFTVGDYKWRLHLYRQGVAKVKGQYLSIFLCLHELKENTFWTQFHVEFKLRIIDQSDKLNSKKTEKTGNAWFSATKPAWGFPYFIKRADLEGNKDFIVNDEMVVEAEISSMSMAKELTPDPPKPQEEVRP >EOX97210 pep chromosome:Theobroma_cacao_20110822:2:1625604:1630831:-1 gene:TCM_006299 transcript:EOX97210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain acyl-CoA synthetase 2 isoform 2 MSVAYAVEVEESRPATEKKPSAGPVYRCIYAKDGLLDLPEGKHSPWEFFSDSVKRIPNSRMLGRRQTTDSKQAGPYVWITYQEAYDAAVRIGSAMRSLGVNPGDRCGIYGSNCPEWIIAMEACNSQAVTYVPLYDTLGANAVEFIINHAEVSIAFVQENKLPAILSCLPACSSYLKTIVSFSYVSSTQKKEAEELGVSLFSWKEFSELGSLDCELPPKQKTNICTIMYTSGTTGEPKGVILTNRAIMTEVLSIDQLIDITDRACSEEDTYFSFLPLAHVYDQIMETYFIKRGSSIGFWQGDVRYLMEDVQELKPTAFCGVPRVYDRIYTGIVNKISSGGAIRNKLFQYAYNYKLGNMEIGFPQDKASPLLDKLVFDKVRQALGGKVRIMLSGAAPLPRHVEEFLRVSCCSNLSQGYGLTESCGGCFTSLANVMSMIGTVGVPMTTIEARLESVPEMGYDALSSVPRGEICLRGNTLFSGYYKRQDLTDEVLVDGWFHTGIRPSNLHQSNNMQKLNCLIPQHVDIVTGDIGELQPDGSMKIIDRKKNIFKLSQGEYVAVENVENTYSRCPHITSIWVYGNSFESFLVAVVVPERKALEDWATEHDEAVDFKSLCENRKARKYILDELNSTGQKHQLRGFEMLKAVYLEPTPFDMERDLITPTFKLKRPQLLKYYKDRIDQLYSEAKGSKV >EOX97209 pep chromosome:Theobroma_cacao_20110822:2:1625854:1630791:-1 gene:TCM_006299 transcript:EOX97209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain acyl-CoA synthetase 2 isoform 2 MSVAYAVEVEESRPATEKKPSAGPVYRCIYAKDGLLDLPEGKHSPWEFFSDSVKRIPNSRMLGRRQTTDSKQAGPYVWITYQEAYDAAVRIGSAMRSLGVNPGDRCGIYGSNCPEWIIAMEACNSQAVTYVPLYDTLGANAVEFIINHAEVSIAFVQENKLPAILSCLPACSSYLKTIVSFSYVSSTQKKEAEELGVSLFSWKEFSELGSLDCELPPKQKTNICTIMYTSGTTGEPKGVILTNRAIMTEVLSIDQLIDITDRACSEEDTYFSFLPLAHVYDQIMETYFIKRGSSIGFWQGDVRYLMEDVQELKPTAFCGVPRVYDRIYTGIVNKISSGGAIRNKLFQYAYNYKLGNMEIGFPQDKASPLLDKLVFDKVRQALGGKVRIMLSGAAPLPRHVEEFLRVSCCSNLSQGYGLTESCGGCFTSLANVMSMIGTVGVPMTTIEARLESVPEMGYDALSSVPRGEICLRGNTLFSGYYKRQDLTDEVLVDGWFHTGDIGELQPDGSMKIIDRKKNIFKLSQGEYVAVENVENTYSRCPHITSIWVYGNSFESFLVAVVVPERKALEDWATEHDEAVDFKSLCENRKARKYILDELNSTGQKHQLRGFEMLKAVYLEPTPFDMERDLITPTFKLKRPQLLKYYKDRIDQLYSEAKGSKV >EOY00542 pep chromosome:Theobroma_cacao_20110822:2:33356238:33360395:1 gene:TCM_010431 transcript:EOY00542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQDGSPFGSFIVCRATLFREAWCSMVGSLQPHNRCGGMPDTPPPCGKMREEVLIAWKALQADWISLNSEGAYRKCFNQSTAGGVLRDSVGQGRGGYVMRLRKCPAYRA >EOX99543 pep chromosome:Theobroma_cacao_20110822:2:12076208:12079712:-1 gene:TCM_008242 transcript:EOX99543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prohibitin 2 MNFNNVKVPKMPGGGALPTLLKIGVIGGLGLYGVANSLYNVDGGHRAIVFNRVLGIKDKVYPEGTHLMIPWFERPIIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPNANELPQIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQRETVSREIRKILTERAANFNIELDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKKSAVIRAQGEAKSAQLIGEAIANNPAFITLRKIEASREIAQTISHSANKVYLNSKDLLLNLQEMNLETNPKK >EOY01253 pep chromosome:Theobroma_cacao_20110822:2:38639716:38640852:1 gene:TCM_011196 transcript:EOY01253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIFKRFSGGEKIFGWAFLIFAASRWVTTHGLIIESDSTTAIKWIKDPASAPWRLRNQVLHLLSLASKVNQWDIQHILRSENSIADSLAKAGVERVDDLLNVHPVENNFELSTF >EOY01089 pep chromosome:Theobroma_cacao_20110822:2:37564541:37572089:-1 gene:TCM_011041 transcript:EOY01089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein isoform 2 METIKGDLEDFCACSEERDMFEFAALASLDYKNISPGKTKGFLPSSGFFSFRPFYTKAAAKADRLSLLLAVSSLPLENMAASKMLIPSLTKFRPLTCAAAAFYPTRLVPHPPDLVKWVKREGGFVHEAVKIAQDTTLGLGLVASGEIPKGSDLIVLPDHVPLKFQSDEQNGADSVLLHLSHQVPDLNLSDSGVALWVFLNWVTDHSKSLSLYERAQLLCLSSNRVLNWTLWEHVTEELWAMKLGLKLLQERAKVGSFWWPYISNLPETYSVPIFFTGEDIKNLQYAPLLYQLALKLIVIFFPNQVNKRCRFLLEFEQEVKNALKDLKLSEHPFGGQDVDASSLGWAMSAVSSRAFRLYGKKLPDGSRSDIPMMLPLIDMCNHSFNPNAQIVQEQDVGNSKMLIKAAQSFAHTSHFLSYQVVAEKDIKQNDPLLLHYGCLSNDFFLLDYGFVMPSNPYDYIELKYDGALMDAASMAAGVSSPNFSAPAPWQRQVLSQLKLDGEASNLKVIIGGPELVEGRLLSALRVILSNDMELVQRYDLNVLKSLSAEAPLGFANEVAVFRTIIALCVIALGHFPTKIMDDESQMKQGVPVSTELAIQFRIQKKSVIIDVMRDLTKRVKLLSSKETTTA >EOY01090 pep chromosome:Theobroma_cacao_20110822:2:37564683:37571527:-1 gene:TCM_011041 transcript:EOY01090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein isoform 2 MRKYLGVPLIQGRKSAHMYRYLEDKDMFEFAALASLDYKNISPGKTKGFLPSSGFFSFRPFYTKAAAKADRLSLLLAVSSLPLENMAASKMLIPSLTKFRPLTCAAAAFYPTRLVPHPPDLVKWVKREGGFVHEAVKIAQDTTLGLGLVASGEIPKGSDLIVLPDHVPLKFQSDEQNGADSVLLHLSHQVPDLNLSDSGVALWVFLNWVTDHSKSLSLYERAQLLCLSSNRVLNWTLWEHVTEELWAMKLGLKLLQERAKVGSFWWPYISNLPETYSVPIFFTGEDIKNLQYAPLLYQVNKRCRFLLEFEQEVKNALKDLKLSEHPFGGQDVDASSLGWAMSAVSSRAFRLYGKKLPDGSRSDIPMMLPLIDMCNHSFNPNAQIVQEQDVGNSKMLIKVVAEKDIKQNDPLLLHYGCLSNDFFLLDYGFVMPSNPYDYIELKYDGALMDAASMAAGVSSPNFSAPAPWQRQVLSQLKLDGEASNLKVIIGGPELVEGRLLSALRVILSNDMELVQRYDLNVLKSLSAEAPLGFANEVAVFRTIIALCVIALGHFPTKIMDDESQMKQGVPVSTELAIQFRIQKKSVIIDVMRDLTKRVKLLSSKETTTA >EOY01091 pep chromosome:Theobroma_cacao_20110822:2:37564737:37569610:-1 gene:TCM_011041 transcript:EOY01091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein isoform 2 MAASKMLIPSLTKFRPLTCAAAAFYPTRLVPHPPDLVKWVKREGGFVHEAVKIAQDTTLGLGLVASGEIPKGSDLIVLPDHVPLKFQSDEQNGADSVLLHLSHQVPEELWAMKLGLKLLQERAKVGSFWWPYISNLPETYSVPIFFTGEDIKNLQYAPLLYQVNKRCRFLLEFEQEVKNALKDLKLSEHPFGGQDVDASSLGWAMSAVSSRAFRLYGKKLPDGSRSDIPMMLPLIDMCNHSFNPNAQIVQEQDVGNSKMLIKVVAEKDIKQNDPLLLHYGCLSNDFFLLDYGFVMPSNPYDYIELKYDGALMDAASMAAGVSSPNFSAPAPWQRQVLSQLKLDGEASNLKVIIGGPELVEGRLLSALRVILSNDMELVQRYDLNVLKSLSAEAPLGFANEVAVFRTIIALCVIALGHFPTKIMDDESQMKQGVPVSTELAIQFRIQKKSVIIDVMRDLTKRVKLLSSKETTTA >EOX99399 pep chromosome:Theobroma_cacao_20110822:2:11008814:11011293:1 gene:TCM_008085 transcript:EOX99399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyl-dependent CAAX protease, putative isoform 4 MIFARPKVNPTPTFRRPFSRRLALSANPFLYYFYSSKFSSSFTVLKCHCTEDKSTDKSTQGFSVLTSDIPWKTGSLWSAMAVYMFNLHIPLGFGGLSIVAYILNQPVLDPQTQSLSLLLLDILELISTLLLLKSTIKPKNGLMNFFKSSELSIERNWVLASALGFGILILFVFLTSILADRLYGVKDVNNPILKEMLLSSDISKVACVIVYCIITPPLEEMVYRGFLLASLVSTMSWQQAVVLSAAIFSAAHFSGENFLQLFVVGCILGCSYCGTGNLRSSIVIHSLYNALTLIITFSS >EOX99402 pep chromosome:Theobroma_cacao_20110822:2:11008804:11011220:1 gene:TCM_008085 transcript:EOX99402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyl-dependent CAAX protease, putative isoform 4 MIFARPKVNPTPTFRRPFSRRLALSANPFLYYFYSSKFSSSFTVLKCHCTEDKSTDKSTQGFSVLTSDIPWKTGSLWSAMAVYMFNLHIPLGFGGLSIVAYILNQPVLDPQTQSLSLLLLDILELISTLLLLKSTIKPKNGLMNFFKSSELSIERNWVLASALGFGILILFVFLTSILADRLYGDVNNPILKEMLLSSDISKVACVIVYCIITPPLEEMVYRGFLLASLVSTMSWQQAVVLSAAIFSAAHFSGENFLQLFVVGCILGCSYCGTGNLRSSIVIHSLYNALTLIITFSS >EOX99400 pep chromosome:Theobroma_cacao_20110822:2:11008804:11014212:1 gene:TCM_008085 transcript:EOX99400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyl-dependent CAAX protease, putative isoform 4 MIFARPKVNPTPTFRRPFSRRLALSANPFLYYFYSSKFSSSFTVLKCHCTEDKSTDKSTQGFSVLTSDIPWKTGSLWSAMAVYMFNLHIPLGFGGLSIVAYILNQPVLDPQTQSLSLLLLDILELISTLLLLKSTIKPKNGLMNFFKSSELSIERNWVLASALGFGILILFVFLTSILADRLYGVKDVNNPILKEMLLSSDISKVACVIVYCIITPPLEEMVYRGFLLASLVSTMSWQQAVVLSAAIFSAAHFSELIPTDSIC >EOX99401 pep chromosome:Theobroma_cacao_20110822:2:11008811:11014271:1 gene:TCM_008085 transcript:EOX99401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyl-dependent CAAX protease, putative isoform 4 MIFARPKVNPTPTFRRPFSRRLALSANPFLYYFYSSKFSSSFTVLKCHCTEDKSTDKSTQGFSVLTSDIPWKTGSLWSAMAVYMFNLHIPLGFGGLSIVAYILNQPVLDPQTQSTIKPKNGLMNFFKSSELSIERNWVLASALGFGILILFVFLTSILADRLYGVKDVNNPILKEMLLSSDISKVACVIVYCIITPPLEEMVYRGFLLASLVSTMSWQQAVVLSAAIFSAAHFSELIPTDSIC >EOY00188 pep chromosome:Theobroma_cacao_20110822:2:28943211:28945261:-1 gene:TCM_009924 transcript:EOY00188 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 6 MPPTLPDFSSSVKLKYVKLGYQYLVNHILTLTLIPVMAGILVEVLRLGPKEIVNLWNSLHFDLVQILCSSFLIIFIATVYFMSKPRSIYLVDYACYKPPVTCRVPFATFMEHSRLILSNNPKSVEFQMRILERSGLGEETCLPPAIHYIPPTPTMEAARGEAEIVIFSAMDSLFKKTGLKPKDIDILIVNCSLFSPTPSLSAMVINKYKLRSNIKSFNLSGMGCSAGLISIDLARDLLQVHPNSNAVVVSTEIITPNYYKGNERAMLLPNCLFRMGGAAILLSNRRSERWRAKYRLVHVVRTHKGADDKAYRCVFEEEDKEGKVGISLSKDLMAIAGEALKSNITTIGPLVLPASEQLLFLLTLIGRKIFNPKWKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSAEHVEASRMALHRFGNTSSSSLWYEMSYIEAKGRMKRGDRIWQIAFGSGFKCNSAVWKCNRTIKTPTDGPWADCIDRYPVHIPEVVKL >EOX98520 pep chromosome:Theobroma_cacao_20110822:2:6065477:6068019:1 gene:TCM_007265 transcript:EOX98520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha-2 chain MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGDEDCEDEY >EOX99804 pep chromosome:Theobroma_cacao_20110822:2:16520782:16522983:1 gene:TCM_008746 transcript:EOX99804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEILYELVVHHNREVMNIGNMDLHKHSYIEMTNDVFEVLVDDSNARVGIGFYIRALHPKAEKVFELKNDGDVLKFDAGAGANAFDVNVNAGVGTTRGRIGEASSNDLNASTSSRCRGRGKDRRRYRVSERSSAFIDVDVSD >EOY02060 pep chromosome:Theobroma_cacao_20110822:2:41820340:41825344:-1 gene:TCM_011803 transcript:EOY02060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MGLGLEEGKVKSWDMCKSKGRKKKKNSEKEDLDDEAVVEETGCWVKLRLIGSCISARSKVDSSVSGTSTHYDSKSANDTSRDQPTAPVVSSTTTSNAESNASTSKLEEELKVASRLRKFAFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLVHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSRSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGERRRFYRLIDPRLEGHFSIKGAQKAAQLAAHCLSRDPKARPLMSEVVEALKPLPNLKDMASSSYYFQTMQAERIGSSPNARNGIRTQAGLLSRNGQRSLSIPNGSHASPYHHQYPYQSPKPNDVFCQSICSQTMEKATVKTCLPHVVFRMIASPAG >EOX97931 pep chromosome:Theobroma_cacao_20110822:2:4013677:4014255:-1 gene:TCM_006830 transcript:EOX97931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDQTEKRAFDMGALRSNLPQKRGLSRYYSGKARSFACIADVRCVEDLKKQEHPDAKKRKKYSNKKEMQLYTPYPCRRVSSCTHCAAPCIGV >EOX98395 pep chromosome:Theobroma_cacao_20110822:2:5626980:5627370:1 gene:TCM_007168 transcript:EOX98395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAWRSEQLHCFEICGSSAVSLINARGADVHFSSFLLNDYQPKLVQAMLFPFYFLFFKTHTLGRTRKQNDYWEVEI >EOY00445 pep chromosome:Theobroma_cacao_20110822:2:32433453:32450099:1 gene:TCM_010311 transcript:EOY00445 gene_biotype:protein_coding transcript_biotype:protein_coding description:UB-like protease 1D MEAEEKNKKRKLDLDWTKLLSREPGDEGPPPPLVVITIEPQPSPSKSDSMGGGGDQGKDEFSENLPDHKLDEMIQRQQRNLEHLGSKLPDKGKKIRDRLERLEEEKKRRTLSRAKMDAAGCEKPTQLSSSDIVGSSNVFEQQSKSHQALSQSTFGSSFCKKMEENTDCRIVNTFDKELSILNRCERKKTRCNGEFSQRERQKVRVSPRRISLKHPRKPSFVDQKCRTASLYSLCDTDDDLPSISKKNAFQVRPSNNSRRRKGQTVVLVDEEEPQLVQTTELEVKLPNCKKDARIYYPSRDDPESVEICFGDIDSLAPETFLTSQIMNFYIRYLQQQASPTNRAICDYHFFNTYFYQKLKEAVSYKGSDKDALFIKFRRWWKGVNIFQKAYVLIPINEDFHWSLVIICIPDKEDESGPIILHLDSLGLHCSRSVFKNIKSYMREEWNYLNQEVAPSDLPIADKIWENLPRRIEEKTIAVPQQKNDYDCGLFVLFFMERFIEEAPERLKKKDLAMFGKQWFRPEEASSLRVKIRNLLIEQFRSASENMGGSQSSPSLS >EOX99809 pep chromosome:Theobroma_cacao_20110822:2:16781097:16785165:1 gene:TCM_008764 transcript:EOX99809 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein, putative isoform 1 MECNKDEATRAKELAEKKFMAKDIVGAKKFALKAQNLYPGLEGISQMIATLDVHISAENKVNGEADWYAILGVNPQADDEAVRKQYRKLALMLHPDKNKSVGADGAFKLISEAWSLLSDKAKRVAYDQKRSGKLMQKVSTPSAGSTPSAGSTASKVANGFHNVTKTTTSSVRNSKSNARAAQSSTPAGRTSNRPGQSSNPASSHKPKPNTFWTVCHRCRMQYEYLRVYLNHNLLCPNCHEPFLAVETAPPTTSTSTSWNYSQQRQSTNSQAANRNTSNSGRNHASASNATGFSSHDSYSQSNFQWGPFSRTGGASTAAQAASVVQQAYEKVRREREEAQAAIKREEAMRRKHHASKRASGASSTGYTNAAKRRRGMEDGSGSTHGTNITNQMGVGNGGTANLSGSKLGSSETGWINGTTKHNSARDISQIEIESLLVEKAKGEIRKKLLELNSSSAATASKDIIGNEDANEKQNKSLVNKEAQDQNKLGGFVDKINGDHCPKTFPGSCVKTDAETLEAMSINVPDPDFHDFDKDRTEKSFGDNQVWAAYDDDDGMPRYYAMIHNVISLNPFKMRISWLNSKTNSELGPLNWVGSGFSKTCGEFRIGKHEINSSLNSFSHKVRWTKGMRGAIHIYPRKGDVWAIYRNWSPEWNELTADEVIHKYDMVEVLDDYNEDLGVTVTPLIKVAGFKTVFHQHLDHREIRRIPREEMFRFSHQVPSYLLTGQEASNAPKGCRELDPAATPVELLQVIIDVKEEEILEYDKKINEEHVVDVEKANDRGVVENCEKPRQEEDSGSQVEEIEIIANGRNT >EOX99808 pep chromosome:Theobroma_cacao_20110822:2:16781097:16785165:1 gene:TCM_008764 transcript:EOX99808 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein, putative isoform 1 MECNKDEATRAKELAEKKFMAKDIVGAKKFALKAQNLYPGLEGISQMIATLDVHISAENKVNGEADWYAILGVNPQADDEAVRKQYRKLALMLHPDKNKSVGADGAFKLISEAWSLLSDKAKRVAYDQKRSGKLMQKVSTPSAGSTPSAGSTASKVANGFHNVTKTTTSSVRNSKSNARAAQSSTPAGRTSNRPGQSSNPASSHKPKPNTFWTVCHRCRMQYEYLRVYLNHNLLCPNCHEPFLAVETAPPTTSTSTSWNYSQQRQSTNSQAANRNTSNSGRNHASASNATGFSSHDSYSQSNFQWGPFSRTGGASTAAQAASVVQQAYEKVRREREEAQAAIKREEAMRRKHHASKRASGASSTGYTNAAKRRRGMEDGSGSTHGTNITNQMGVGNGGTANLSGSKLGSSETGWINGTTKHNSARDISQIEIESLLVEKAKGEIRKKLLELNSSSAATASKDIIGNEDANEKQNKSLVNKEAQDQNKLGGFVDKINGDHCPKTFPGSCVKTDAETLEAMSINVPDPDFHDFDKDRTEKSFGDNQVWAAYDDDDGMPRYYAMIHNVISLNPFKMRISWLNSKTNSELGPLNWVGSGFSKTCGEFRIGKHEINSSLNSFSHKVRWTKGMRGAIHIYPRKGDVWAIYRNWSPEWNELTADEVIHKYDMVEVLDDYNEDLGVTVTPLIKVAGFKTVFHQHLDHREIRRIPREEMFRFSHQVPSYLLTGQEASNAPKGCRELDPAATPVELLQVIIDVKEEEILEYDKKINEEHVVDVEKANDRGVVENCEKPRQEEDSGSQVEEIEIIANGRNT >EOX98949 pep chromosome:Theobroma_cacao_20110822:2:7886091:7890593:1 gene:TCM_007609 transcript:EOX98949 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 5 MDPEVLEFDIGLGGGGSSARDGDDDANIGLDVDEDMADSPAPSILASNSNGGGFGGGGSEIYLPEGDQMDLEPYEGMEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNLNEKRTKDREIKRPRTITRVGCKASLSVKMQDSGKWVVSGFVREHNHELVPPDQVHCLRSHRQISGPAKTLIDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRQRSLEGDIQLLLDYLRQMQAENPNFFYAVQGDEDQALMSNVFWADPKSRMNYTYFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCAFLINESEASFIWLFKTWLIAMSGRPPVSITTDHDAVIRSAVMQVFPETRHRFCKWHIFKKCQEKLSQVFLKHPTFEADFHKCVNLPESIEEFESCWLSLVDRYELRDHEWLQIIYNDRRQWVPVYLRDTFFAEMSITQRSDSMNSYFDGFVNASTNLNQFFKLYEKALESRNEKEVKADYGTMNTSPALKTPSPMEKQASELYTRKLFARFQEELVGTLTFMASKSDDDGDIITYQVAKFGEDHKAYYVKFNVLEMKATCSCQMFEFSGLLCRHVLAVFRVTNVLTLPSHYILKRWTRNAKSSVILEERASDVYTNYLESHTVRYNTLRHEAFKFVEEGSKSLNTYNVALGALQEAVKRVALAAKNEGRTRMVNGRVMGDSARDRANSIKHRVPSQPLSEDDMDKKIRELTNELEFANRKCEVYRANLLSVLKDIEDHKLQLSIKVQNIKISMKDGL >EOY01442 pep chromosome:Theobroma_cacao_20110822:2:39465908:39468059:-1 gene:TCM_011329 transcript:EOY01442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Melanoma inhibitory activity protein 3, putative MFECQCEPQRSTPLSSFIFLFFLWHVLSLSLSFSGLGFLLQLLLLTSSTPLGPLGHTKILHYGFPFLLMEDYSLNSSLHKSVASKGTTGEEESGWTAYFEDFSNDDQQNSYCSSFSRSSSLISDAATTGAAWKTSHNNHHLFACSSSCADSSPKILPKKLRFKKTRTKEICDEDDSLEDTASSPVNSPKVSDLMKSNDMNLRKREDQIHSSLGKETASENYSEIQNEKESKTNFDHGKNNCTELKKRGLCLVPLSTLVNYLG >EOY01373 pep chromosome:Theobroma_cacao_20110822:2:39183708:39187912:1 gene:TCM_011284 transcript:EOY01373 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase / trehalose-phosphatase family protein isoform 1 MVSRSYSNLLELASGEAPSFGRMSRRIPRIMTVPGIISDIDDDPSESVCSDPSSSSVQRDRIIMVANQLPIRAQRKSDSTNNGSSSSSKGWIFSWDENSLLLQMKDGLGDDDIEVIYVGCLKEEIHPNEQDEVSQILLDTFRCVPTFLPPDLFSRYYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLTYESKRGYIGLEYYGRTVSIKILPVGIHMGQLQSVLSLPETEAKVAELLKQYCGQGRTMLLGVDDMDIFKGISLKLLAMEQLLLQHPECQGKVVLVQIANPARGRGKDVKEVQEETHSTVKRINETFGKPGYDPVVLIDEPLKFYERIAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNERLDKVLGLEPSTPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDCALAMAEAEKQLRHEKHYRYVSTHHVGYWAHSFLQDLERTCREHVRRRCWGIGFGLSFRVVALDPNFRKLSMEHIVSAYKRSTTRAILLDYDGTLMPQASIDKSPSSKSIDILNSLCRDKNNMVFIVSAKSRKTLTEWFSPCEKLGIAAEHGYFLRLKRDVEWETCVPAVDCTWKQIAEPVMRQYTETTDGSNIEDKETALVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQHVVEVKPQGVSKGLVAKHLLSTMQERGMLPDFVLCIGDDRSDEDMFEVITSSIAGPSIDPRAEVFACTVGKKPSKAKYYLDDTVEIVRLMQGLASVSDQMLSV >EOY01375 pep chromosome:Theobroma_cacao_20110822:2:39183708:39187544:1 gene:TCM_011284 transcript:EOY01375 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase / trehalose-phosphatase family protein isoform 1 MVSRSYSNLLELASGEAPSFGRMSRRIPRIMTVPGIISDIDDDPSESVCSDPSSSSVQRDRIIMVANQLPIRAQRKSDSTNNGSSSSSKGWIFSWDENSLLLQMKDGLGDDDIEVIYVGCLKEEIHPNEQDEVSQILLDTFRCVPTFLPPDLFSRYYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLTYESKRGYIGLEYYGRTVSIKILPVGIHMGQLQSVLSLPETEAKVAELLKQYCGQGRTMLLGVDDMDIFKGISLKLLAMEQLLLQHPECQGKVVLVQIANPARGRGKDVKEVQEETHSTVKRINETFGKPGYDPVVLIDEPLKFYERIAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNERLDKVLGLEPSTPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDCALAMAEAEKQLRHEKHYRYVSTHHVGYWAHSFLQDLERTCREHVRRRCWGIGFGLSFRVVALDPNFRKLSMEHIVSAYKRSTTRAILLDYDGTLMPQASIDKSPSSKSIDILNSLCRDKNNMVFIVSAKSRKTLTEWFSPCEKLGIAAEHGYFLRLKRDVEWETCVPAVDCTWKQIAEPVMRQYTETTDGSNIEDKETALVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQHVVEVKPQVWIICSLMKL >EOY01374 pep chromosome:Theobroma_cacao_20110822:2:39184383:39188776:1 gene:TCM_011284 transcript:EOY01374 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase / trehalose-phosphatase family protein isoform 1 MVSRSYSNLLELASGEAPSFGRMSRRIPRIMTVPGIISDIDDDPSESVCSDPSSSSVQRDRIIMVANQLPIRAQRKSDSTNNGSSSSSKGWIFSWDENSLLLQMKDGLGDDDIEVIYVGCLKEEIHPNEQDEVSQILLDTFRCVPTFLPPDLFSRYYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLTYESKRGYIGLEYYGRTVSIKILPVGIHMGQLQSVLSLPETEAKVAELLKQYCGQGRTMLLGVDDMDIFKGISLKLLAMEQLLLQHPECQGKVVLVQIANPARGRGKDVKEVQEETHSTVKRINETFGKPGYDPVVLIDEPLKFYERIAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNERLDKVLGLEPSTPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDCALAMAEAEKQLRHEKHYRYVSTHHVGYWAHSFLQDLERTCREHVRRRCWGIGFGLSFRVVALDPNFRKLSMEHIVSAYKRSTTRAILLDYDGTLMPQASIDKSPSSKSIDILNSLCRDKNNMVFIVSAKSRKTLTEWFSPCEKLGIAAEHGYFLRLKRDVEWETCVPAVDCTWKQIAEPVMRQYTETTDGSNIEDKETALVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQHVVEVKPQGVSKGLVAKHLLSTMQERGMLPDFVLCIGDDRSDEDMFEVITSSIAGPSIDPRAEVFACTVGKKPSKAKYYLDDTVEIVRLMQGLASVSDQMLSV >EOX96954 pep chromosome:Theobroma_cacao_20110822:2:675104:691515:1 gene:TCM_006080 transcript:EOX96954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 2 isoform 3 MAFEPLVWYCRPVANGVWTRAVANAFGAYTPCATDSLVITISHLVLLGLCVYRIWLIRKDFKAQRFSLRSKYYNYMLGLLAAYSTAEPLFRLIMGISVLNLEGQPGLAPFEIVSLIVEAVTWCSILVMIGVETKVYICEFRWFVRFGLIYTLIGDTVMLNLILSVREFYNSSVLYLYFSEVFMQALFGILLLVYVPDLDPYPGYTPMWTEFVDDAEYEELPGGEQICPERHVNIFSKIFFSWMSPLMKQGYKRPITEKDVWKLDTWDRTETLNNKFQKCWAEESRRPKPWLLRALNSSLGGRFWWGGFWKIGNDISQFVGPLILNQLLQSMQQGDPAWIGYIYAFSIFVGVALGVLFEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHEGRKKFASGKITNLMTTDAEALQQICQSLHTVWSAPFRIIVAMVLLYQQLGVASLLGALMLVLMFPVQTVVISRMQKLSKEGLQRTDKRIGLMNEILAAMDTVKCYAWENSFQSKVQSVRNDELSWFRKASLLAACNGFILNSIPVVVTVVSFGLFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEELFLTEERVLLPNPPLDPELPAIQIKDGFFAWDSKAERPTLSNINLDIPVGSLVAIVGSTGEGKTSLISAMLGELPPMSDASVVIRGTVAYVPQVSWIFNATVCDNILFGSPFEAARYEKAIDITALQHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVARQVFDKCVKGELRGKTRVLVTNQLHFLSQVDRIILVHEGMVKEEGTFEDLSNNGVLFQKLMENAGKMEEYEEEKENNHTVDQQDFKPVANGVANDMPKNASQAKKSKEGKSVLIKQEERETGVVSWKVLMRYKNALGGFWVVMVLFVCYVLTEVLRVSSSTWLSSWTDQSTKKTHGPGYYNLVYSLLSIGQVMVTLVNSYWLVISSLYAARRLHDAMLTSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVAPFVNMFLGQVSQLLSTFVLIGIVSTMSLWAIMPLLVLFYAAYLYYQSTAREVKRLDSITRSPVYAQFGEALNGLSTIRAYKAYDRMADINGKSMDNNIRFTHVNMSSNRWLAIRLETLGGLMIWFTATFAVMQNGRAEDQQAYASTMGLLLSYALNITSLLTAVLRLASLAENSLNAVERVGTYIELPSEAPLIIQSNRPPPGWPSSGSIKFEDVVLRYRPELPPVLHGLSFTISPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDIAKFGLMDLRKVLGIIPQSPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNSLGLDAEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRILLLDSGRVLEYDTPEELLSNEESAFSKMVQSTGAANAEYLRSLALGGEGENRLGREENRQLDKQRKWLASSRWAAAAQFALAVSLTSSQNDLTRLEVEDESSILKKTRDAVMTLQGVLEGKHDKTIEESLDQYQMSKDGWWSALYKMVEGLAMMSRLARNRLQQSDYGFEDRSIDWDQIEM >EOX96956 pep chromosome:Theobroma_cacao_20110822:2:679424:691067:1 gene:TCM_006080 transcript:EOX96956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 2 isoform 3 MQQGDPAWIGYIYAFSIFVGVALGVLFEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHEGRKKFASGKITNLMTTDAEALQQICQSLHTVWSAPFRIIVAMVLLYQQLGVASLLGALMLVLMFPVQTVVISRMQKLSKEGLQRTDKRIGLMNEILAAMDTVKCYAWENSFQSKVQSVRNDELSWFRKASLLAACNGFILNSIPVVVTVVSFGLFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEELFLTEERVLLPNPPLDPELPAIQIKDGFFAWDSKAERPTLSNINLDIPVGSLVAIVGSTGEGKTSLISAMLGELPPMSDASVVIRGTVAYVPQVSWIFNATVCDNILFGSPFEAARYEKAIDITALQHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVARQVFDKCVKGELRGKTRVLVTNQLHFLSQVDRIILVHEGMVKEEGTFEDLSNNGVLFQKLMENAGKMEEYEEEKENNHTVDQQDFKPVANGVANDMPKNASQAKKSKEGKSVLIKQEERETGVVSWKVLMRYKNALGGFWVVMVLFVCYVLTEVLRVSSSTWLSSWTDQSTKKTHGPGYYNLVYSLLSIGQVMVTLVNSYWLVISSLYAARRLHDAMLTSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVAPFVNMFLGQVSQLLSTFVLIGIVSTMSLWAIMPLLVLFYAAYLYYQSTAREVKRLDSITRSPVYAQFGEALNGLSTIRAYKAYDRMADINGKSMDNNIRFTHVNMSSNRWLAIRLETLGGLMIWFTATFAVMQNGRAEDQQAYASTMGLLLSYALNITSLLTAVLRLASLAENSLNAVERVGTYIELPSEAPLIIQSNRPPPGWPSSGSIKFEDVVLRYRPELPPVLHGLSFTISPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDIAKFGLMDLRKVLGIIPQSPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNSLGLDAEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRILLLDSGRVSQVLEYDTPEELLSNEESAFSKMVQSTGAANAEYLRSLALGGEGENRLGREENRQLDKQRKWLASSRWAAAAQFALAVSLTSSQNDLTRLEVEDESSILKKTRDAVMTLQGVLEGKHDKTIEESLDQYQMSKDGWWSALYKMVEGLAMMSRLARNRLQQSDYGFEDRSIDWDQIEM >EOX96955 pep chromosome:Theobroma_cacao_20110822:2:675625:689517:1 gene:TCM_006080 transcript:EOX96955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 2 isoform 3 MWTEFVDDAEYEELPGGEQICPERHVNIFSKIFFSWMSPLMKQGYKRPITEKDVWKLDTWDRTETLNNKFQKCWAEESRRPKPWLLRALNSSLGGRFWWGGFWKIGNDISQFVGPLILNQLLQSMQQGDPAWIGYIYAFSIFVGVALGVLFEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHEGRKKFASGKITNLMTTDAEALQQICQSLHTVWSAPFRIIVAMVLLYQQLGVASLLGALMLVLMFPVQTVVISRMQKLSKEGLQRTDKRIGLMNEILAAMDTVKCYAWENSFQSKVQSVRNDELSWFRKASLLAACNGFILNSIPVVVTVVSFGLFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEELFLTEERVLLPNPPLDPELPAIQIKDGFFAWDSKAERPTLSNINLDIPVGSLVAIVGSTGEGKTSLISAMLGELPPMSDASVVIRGTVAYVPQVSWIFNATVCDNILFGSPFEAARYEKAIDITALQHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVARQVFDKCVKGELRGKTRVLVTNQLHFLSQVDRIILVHEGMVKEEGTFEDLSNNGVLFQKLMENAGKMEEYEEEKENNHTVDQQDFKPVANGVANDMPKNASQAKKSKEGKSVLIKQEERETGVVSWKVLMRYKNALGGFWVVMVLFVCYVLTEVLRVSSSTWLSSWTDQSTKKTHGPGYYNLVYSLLSIGQVMVTLVNSYWLVISSLYAARRLHDAMLTSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVAPFVNMFLGQVSQLLSTFVLIGIVSTMSLWAIMPLLVLFYAAYLYYQSTAREVKRLDSITRSPVYAQFGEALNGLSTIRAYKAYDRMADINGKSMDNNIRFTHVNMSSNRWLAIRLETLGGLMIWFTATFAVMQNGRAEDQQAYASTMGLLLSYALNITSLLTAVLRLASLAENSLNAVERVGTYIELPSEAPLIIQSNRPPPGWPSSGSIKFEDVVLRYRPELPPVLHGLSFTISPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDIAKFGLMDLRKVLGIIPQSPVLFSGTVRFNLDPFNEHNDADLWEAL >EOX99913 pep chromosome:Theobroma_cacao_20110822:2:18215300:18216192:-1 gene:TCM_008921 transcript:EOX99913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALQKSIVVEGQSTNRPPLFDGSNYPYWSTRMSIYIRAIDYEMWDVIIDGPFIPSTLSVVTNELMPKPRSEWTEAETKKVQTNFKAINTLHCALTPTEFNKVSSCTTTKQV >EOX96838 pep chromosome:Theobroma_cacao_20110822:2:197131:202682:-1 gene:TCM_005993 transcript:EOX96838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Y-family DNA polymerase H isoform 2 MSSMRGEEAKEVCPQIHLVQVPVARGKADLNGYRNAGSEVVSILARRGRCERASIDEVYLDLTDAAETMLAEAPPQHLEAIDEEALKSHILGLNNEDGSDVKENVRNWIHRCNADHRDKLLACGILIVAELRMQVLKETEFTCSAGIAHNKMLAKLASGMNKPAQQTVVPFSSVQGLLNSLPIRKMKQLGGKLGMSLQIDMGVNTVGDLLQFSEEKLQERYGLNTGTWLWNIARGINGEAVEGRLLPKSHGSGKTFPGPRALKTVSAVQHWLNQLCEELSERLCSDLDQNKRIAHTLTLHVRAYKSSDSDSQKKFPSKSCPLRYGTAKIQEDAFNLFQAGLREYIGLYGGKSEGSHYSGWGITSLSVSASKIVPIPSGTCSIAKYFHWQTSSHFSSIQSPENLRMDATHLSPPGNESYSEVNLPEPKIDFSGEESWIEDTIPGLGLQEQKINVWKDEDLACFPSKKKEDGLTEDTSPLLLSGTQGCLEQNQNKQQREFSKDESRFKSEYREQKGTRLKNKGTSSILKFFKSCNPSGASLSQEHDRTVQGTDAQLTNGFQSRGHSLAELNEVEVAEENERSSCSYIRDQDERRREAWSYNIDEIDPSVIDELPTEIQDEIQAWLQPRKRPNNIVKRGSTISHYFSPTKKS >EOX96839 pep chromosome:Theobroma_cacao_20110822:2:196948:202810:-1 gene:TCM_005993 transcript:EOX96839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Y-family DNA polymerase H isoform 2 MPVAKPESCDSRVIAHIDMDCFYVQVEQRKQPQLRGLPTAVVQYNEWKGGALIAVSYEARKFGVKRSMRGEEAKEVCPQIHLVQVPVARGKADLNGYRNAGSEVVSILARRGRCERASIDEVYLDLTDAAETMLAEAPPQHLEAIDEEALKSHILGLNNEDGSDVKENVRNWIHRCNADHRDKLLACGILIVAELRMQVLKETEFTCSAGIAHNKMLAKLASGMNKPAQQTVVPFSSVQGLLNSLPIRKMKQLGGKLGMSLQIDMGVNTVGDLLQFSEEKLQERYGLNTGTWLWNIARGINGEAVEGRLLPKSHGSGKTFPGPRALKTVSAVQHWLNQLCEELSERLCSDLDQNKRIAHTLTLHVRAYKSSDSDSQKKFPSKSCPLRYGTAKIQEDAFNLFQAGLREYIGLYGGKSEGSHYSGWGITSLSVSASKIVPIPSGTCSIAKYFHWQTSSHFSSIQSPENLRMDATHLSPPGNESYSEVNLPEPKIDFSGEESWIEDTIPGLGLQEQKINVWKDEFQSRGHSLAELNEVEVAEENERSSCSYIRDQDERRREAWSYNIDEIDPSVIDELPTEIQDEIQAWLQPRKRPNNIVKRGSTISHYFSPTKKS >EOX96837 pep chromosome:Theobroma_cacao_20110822:2:195858:202682:-1 gene:TCM_005993 transcript:EOX96837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Y-family DNA polymerase H isoform 2 MPVAKPESCDSRVIAHIDMDCFYVQVEQRKQPQLRGLPTAVVQYNEWKGGALIAVSYEARKFGVKRSMRGEEAKEVCPQIHLVQVPVARGKADLNGYRNAGSEVVSILARRGRCERASIDEVYLDLTDAAETMLAEAPPQHLEAIDEEALKSHILGLNNEDGSDVKENVRNWIHRCNADHRDKLLACGILIVAELRMQVLKETEFTCSAGIAHNKMLAKLASGMNKPAQQTVVPFSSVQGLLNSLPIRKMKQLGGKLGMSLQIDMGVNTVGDLLQFSEEKLQERYGLNTGTWLWNIARGINGEAVEGRLLPKSHGSGKTFPGPRALKTVSAVQHWLNQLCEELSERLCSDLDQNKRIAHTLTLHVRAYKSSDSDSQKKFPSKSCPLRYGTAKIQEDAFNLFQAGLREYIGLYGGKSEGSHYSGWGITSLSVSASKIVPIPSGTCSIAKYFHWQTSSHFSSIQSPENLRMDATHLSPPGNESYSEVNLPEPKIDFSGEESWIEDTIPGLGLQEQKINVWKDEDLACFPSKKKEDGLTEDTSPLLLSGTQGCLEQNQNKQQREFSKDESRFKSEYREQKGTRLKNKGTSSILKFFKSCNPSGASLSQEHDRTVQGTDAQLTNGFQSRGHSLAELNEVEVAEENERSSCSYIRDQDERRREAWSYNIDEIDPSVIDELPTEIQDEIQAWLQPRKRPNNIVKRGPKAC >EOY00754 pep chromosome:Theobroma_cacao_20110822:2:34902076:34906844:-1 gene:TCM_010677 transcript:EOY00754 gene_biotype:protein_coding transcript_biotype:protein_coding description:OxaA/YidC-like membrane insertion protein isoform 4 MAASLSFRPNMLLVLSPFVDRTGPPNPLLNRTQFSGFSAHRPFLRGSLPMAKFGFKPGLFPEPDAYEGVIRELFTKAESVLYTIADAAVSSSDTITTTTSTKQNDWLSGITNSLETILKVLKDGLSALHVPYAYGFAIILLTVLVKAATFPLTRKQVESAMAMRSLQPQIKAIQQRYAGDQERIQLETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAARQNGSGISWLFPFVDGHPPLGWSDTLAYLVLPVLLVLSQSISVQIMQSAQSNDPNMKSSQALTKFLPLMIGYFALSVPSGLSLYWFTNNILSSAQQVWLQKLGGAKNPAKQLNIDIIKEEQLQLQKSLSELNAPRKEAKQEEKLTPEGLRPGERFKQLKKQEARRKRQREEEKRKAQEAAAKADQLTNEGHEIEGSTTERENGAGTGLSIEKNEKCQPVSGQDSSNVGIVNGDLSNQDLKEDQKNSSSSSKNSESLEHVKKGAVEVYTSTATTDNKYAAQDTEEARRE >EOY00757 pep chromosome:Theobroma_cacao_20110822:2:34903057:34906793:-1 gene:TCM_010677 transcript:EOY00757 gene_biotype:protein_coding transcript_biotype:protein_coding description:OxaA/YidC-like membrane insertion protein isoform 4 MAASLSFRPNMLLVLSPFVDRTGPPNPLLNRTQFSGFSAHRPFLRGSLPMAKFGFKPGLFPEPDAYEGVIRELFTKAESVLYTIADAAVSSSDTITTTTSTKQNDWLSGITNSLETILKVLKDGLSALHVPYAYGFAIILLTVLVKAATFPLTRKQVESAMAMRSLQPQIKAIQQRYAGDQERIQLETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAARQNGSGISWLFPFVWLQKLGGAKNPAKQLNIDIIKEEQLQLQKSLSELNAPRKEAKQEEKLTPEGLRPGERFKQLKKQEARRKRQREEEKRKAQEAAAKADQLTNEGHEIEGSTTERENGAGTGLSIEKNEKCQPVSGQDSSNVGIVNGDLSNQDLKEDQKNSSSSSKNSESLEHVKKVWLLICSQTFLSFVSYLPLIK >EOY00753 pep chromosome:Theobroma_cacao_20110822:2:34901903:34907030:-1 gene:TCM_010677 transcript:EOY00753 gene_biotype:protein_coding transcript_biotype:protein_coding description:OxaA/YidC-like membrane insertion protein isoform 4 MAASLSFRPNMLLVLSPFVDRTGPPNPLLNRTQFSGFSAHRPFLRGSLPMAKFGFKPGLFPEPDAYEGVIRELFTKAESVLYTIADAAVSSSDTITTTTSTKQNDWLSGITNSLETILKVLKDGLSALHVPYAYGFAIILLTVLVKAATFPLTRKQVESAMAMRSLQPQIKAIQQRYAGDQERIQLETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAARQNGSGISWLFPFVDGHPPLGWSDTLAYLVLPVLLVLSQSISVQIMQSAQSNDPNMKSSQALTKFLPLMIGYFALSVPSGLSLYWFTNNILSSAQQVWLQKLGGAKNPAKQLNIDIIKEEQLQLQKSLSELNAPRKEAKQEEKLTPEGLRPGERFKQLKKQEARRKRQREEEKRKAQEAAAKADQLTNEGHEIEGSTTERENGAGTGLSIEKNEKCQPVSGQDSSNVGIVNGDLSNQDLKEDQKNSSSSSKNSESLEHVKKGAVEVYTSTATTDNKYAAQDTEEARRE >EOY00756 pep chromosome:Theobroma_cacao_20110822:2:34901901:34906992:-1 gene:TCM_010677 transcript:EOY00756 gene_biotype:protein_coding transcript_biotype:protein_coding description:OxaA/YidC-like membrane insertion protein isoform 4 MAASLSFRPNMLLVLSPFVDRTGPPNPLLNRTQFSGFSAHRPFLRGSLPMAKFGFKPGLFPEPDAYEGVIRELFTKAESVLYTIADAAVSSSDTITTTTSTKQNDWLSGITNSLETILKVLKDGLSALHVPYAYGFAIILLTVLVKAATFPLTRKQVESAMAMRSLQPQIKAIQQRYAGDQERIQLETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAARQNGSGISWLFPFVWLQKLGGAKNPAKQLNIDIIKEEQLQLQKSLSELNAPRKEAKQEEKLTPEGLRPGERFKQLKKQEARRKRQREEEKRKAQEAAAKADQLTNEGHEIEGSTTERENGAGTGLSIEKNEKCQPVSGQDSSNVGIVNGDLSNQDLKEDQKNSSSSSKNSESLEHVKKEQRNKQNTGQYKILEVSDIPVVRAQIQLIQSMIIVYKFNSNK >EOY00758 pep chromosome:Theobroma_cacao_20110822:2:34902476:34906992:-1 gene:TCM_010677 transcript:EOY00758 gene_biotype:protein_coding transcript_biotype:protein_coding description:OxaA/YidC-like membrane insertion protein isoform 4 MAASLSFRPNMLLVLSPFVDRTGPPNPLLNRTQFSGFSAHRPFLRGSLPMAKFGFKPGLFPEPDAYEGVIRELFTKAESVLYTIADAAVSSSDTITTTTSTKQNDWLSGITNSLETILKVLKDGLSALHVPYAYGFAIILLTVLVKAATFPLTRKQVESAMAMRSLQPQIKAIQQRYAGDQERIQLETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAARQNGSGISWLFPFVWLQKLGGAKNPAKQLNIDIIKEEQLQLQKSLSELNAPRKEAKQEEKLTPEGLRPGERFKQLKKQEARRKRQREEEKRKAQEAAAKADQLTNEGHEIEGSTTERENGAGTGLSIEKNEKCQPVSGQDSSNVGIVNGDLSNQDLKEDQKNSSSSSKNSESLEHVKKCLH >EOY00755 pep chromosome:Theobroma_cacao_20110822:2:34902418:34906041:-1 gene:TCM_010677 transcript:EOY00755 gene_biotype:protein_coding transcript_biotype:protein_coding description:OxaA/YidC-like membrane insertion protein isoform 4 MAMRSLQPQIKAIQQRYAGDQERIQLETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAARQNGSGISWLFPFVDGHPPLGWSDTLAYLVLPVLLVLSQSISVQIMQSAQSNDPNMKSSQALTKFLPLMIGYFALSVPSGLSLYWFTNNILSSAQQVWLQKLGGAKNPAKQLNIDIIKEEQLQLQKSLSELNAPRKEAKQEEKLTPEGLRPGERFKQLKKQEARRKRQREEEKRKAQEAAAKADQLTNEGHEIEGSTTERENGAGTGLSIEKNEKCQPVSGQDSSNVGIVNGDLSNQDLKEDQKNSSSSSKNSESLEHVKKGAVEVYTSTATTDNKYAAQDTEEARRE >EOX98327 pep chromosome:Theobroma_cacao_20110822:2:5381196:5383934:1 gene:TCM_007115 transcript:EOX98327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein isoform 2 MHQFSSQQPPSSPYFSSSYHSMSVSGIQGQLLEVTVVGCNKLKDTEWISRQDPYVCLEYGNTKYRTRTCTDGGKTPTFQEKFTFTLIEGLREINVVVWNSNTLTYDDFIGNGKVQLLKVLSQGYDDSPWSLQTKTGRYAGEVRLIMHYANAKNPATTYAPSAPPYAAPPPQVPLYTAPLQATVSSYPPPATTYATAPYPAYPAYPSSTYPPPSAAYPPPPSAYPPPPPPSAYPPATYPPASPYYPPGPFPGLYPPPPY >EOX98328 pep chromosome:Theobroma_cacao_20110822:2:5381386:5384127:1 gene:TCM_007115 transcript:EOX98328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein isoform 2 FDVGFFFPFWFLGLAVVGCNKLKDTEWISRQDPYVCLEYGNTKYRTRTCTDGGKTPTFQEKFTFTLIEGLREINVVVWNSNTLTYDDFIGNGKVQLLKVLSQGYDDSPWSLQTKTGRQIRRRGTAHNALCKCQKPSHNICSIRTTLCSSSPSSPFIHCSSASNCVFLSTTSYDICNCTISCIPCISIIHVSSSISCLSSPTFCVPSTSPTFSISSSYISTSLAILSPRSFSWPLSTTAILKFSGRRHSNYKEIQL >EOY00446 pep chromosome:Theobroma_cacao_20110822:2:32450261:32452433:-1 gene:TCM_010312 transcript:EOY00446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MGHEITEIDEGEGCWRAIKKKDLEKMDEQLDLLWAMRDLKGREKMGVSNQREWELKGREKVGVSNQQEWEINLNEIIFKELLDKDTCAEVYKGVYNSQDVIVTVFERREKVQKLPSSEENNLPACRSPFREKVSFWYKIDHPNILKLIGAKIDEPEFTLRADNEEVRLLRNLSYLVVEYRCGDTLRSFLSKNREKKLDFTIVIQLALHLARGLAYLHSRQLVHGNVKPENLLLDRNFKLTITEFETSNMAISNHQELAGDRQTITYMAPEVIDSKPYDRKCDVYSFGICLWEIYCRDVPYPDLSFSELSLAIFDQNLRPEIPKHCPKALANVMQQCWDTDASKRPEMEQVVLMLEALDTPKGKKIKFPDAQSCFCFRK >EOX98821 pep chromosome:Theobroma_cacao_20110822:2:7346465:7348419:-1 gene:TCM_007501 transcript:EOX98821 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL lipase 1, putative MASSRIHICFLLYFATLLNLSCCYILPENHVALFIFGDSLFDAGNNNYINTTVNYQANFWPYGETFFKYPTGRFSDGRLLPDFIAEYAGLPLIPPYFQPVNNKFVDGVNFASAGAGALVETHQGFVINLNTQVSYFKDVEKLLRQELGDAEAKRLLGRALYIISIGSNDYFVRITQNSSVLQSYSEEEYVAIVIGNLTVAIKEIHKKGGRKFGFLSLGPLGCIPGMKVLVSGSTGSCVDKATTLAHLHNKALSIALQKLENRLEGFKFANHDLYTSVSERMNNPSKYGFKVGNMACCGSGPYRGQSSCGGKRQIKEYQLCEKASEYLFFDSGHPTEMAHRQIAELIWHGTPNITRPYNLKALFNL >EOY01009 pep chromosome:Theobroma_cacao_20110822:2:36572070:36573077:1 gene:TCM_010918 transcript:EOY01009 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLP-like protein 34, putative MAQIAKMEVQIEIKSSADKFYDIFRSKMHLMPKICPQNFKDGKLVEGDWNSVGSVRLWFYFAAGNSETVKETTEAIDDKSKTMTFNMLEGDMMKYYKSFKSILNVTALGQGSLVKWTMEYEKQNEGIPDPIKYEDFLRSWSNNVDGYLLNA >EOX98470 pep chromosome:Theobroma_cacao_20110822:2:5890376:5891008:1 gene:TCM_007226 transcript:EOX98470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGLIPFLIHAVRKQKPGHHYKSMSVGSSRSYHLLMGQSQQESVEGSSHRRTRSEFQPPTVGFFEQRSGLEFLSPKGYNSGSSINYPSMAGGSNVGSYAHQQTKLNNVHISDVRRR >EOX97786 pep chromosome:Theobroma_cacao_20110822:2:3438727:3448158:1 gene:TCM_006716 transcript:EOX97786 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR class disease resistance protein MAEAVVSNVAARLGELVTQEAKFLWGVEDQVKRLQTELVWMKSFLKEADSRQAEDERVRLWVTEIRDIAYDAEDVIETFALKIASKRRGGISNVVKRSACIFKEGWMLHKVRSDIEGIISRTTDLVRRLQSYGIKELSDGASSSSSSKRQQLRQSYPHMKEPNAVGLDNDIKELVSVLVDEGRHFRVASICGMGGLGKTTLAKKVYHHAQVRNHFKHFVWAYISQQCQRRTVWKGILSSLGLIDEKGGILLDMGDQDLAAKLYEFLKENKCLVVLDDIWTTEDWDAISPAFPMEEETGSKILLTSRNQDVARHADPRGCLHELQCLTNDEGWKLFQHICDSAGVRTWAGLWVLLVSVPCSGEVDTGYVIEEKMEELGKDMVKQCAGLPLAIVVLGGILVTKHSLNSWQIVHENVKSYLRKGRSWGIYEAIALSYDNLPSYLKQCFLYLSVFPEDYKIPVGKLIKLWVAEDIVSLAESEENGEEVMEDVAEGYLNELVERYMVLVGERDVSSKIKTCWMHDLIRDFYLLKAKQENFIYVLDHLQMEQADVSFLSPTIGKVRRLGINDSFLIYKIKNPHLRTALFFDQNIVMEIVNRSPVLKWFRERDFKHSDAFLMLYAYYKVIHKPRGLRRYICNNFKLLRILDFGDQDILIFGILLSDIGSLIHLRFLSFGNCLFVAMLPSFISKLRCLQTLDLRNCVGVYVPNVLWKLEGLRHLYLPEVIVCSKTKLKLDILKNLQTLVNFNTENCYLENIYYMKYLRELRIITPFIVENFKEDLNLNPPIITSKHLRSLSIIKNDDDHESIDPRHLTYLFSGSLNICELHLSAKIRKLPEPQHIPSNIAHIYLGWARLDEDPLPTLQNLPNLRILELEENAFVGKVMICSAQGFPLLNALSIISQSNLEELRVSEGAMPNLHHLRIVNCRMLKMLPFISTLKELKIEKMPKAFKDKLVEGGEDSYKVQHVPSIIFQNCDD >EOX99447 pep chromosome:Theobroma_cacao_20110822:2:11314507:11320448:1 gene:TCM_008130 transcript:EOX99447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDLAYQHRHFVHQIDLPVDRNNTTQLHMLYNVKKLMYQITLIYFLKTIINNCLLFLDDSSNRRLL >EOX99465 pep chromosome:Theobroma_cacao_20110822:2:11440670:11443610:1 gene:TCM_008149 transcript:EOX99465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNKLMAKQTKVILVHMTSSLGSDLFLLVKEAGMNSEGYAWIITDGLSSLLDPMGARVLGSMKGVLGIRPYVLHSKSLEKFKRKWKSGIHFSSTKLNIFGFWAYDTVWALAMAVEMVRHDQSSSVIEQNYNRNASQFPDIRVSKIERKIRNRLLHTTFKGLNGDFNLVKGQLQFSAFEIINVVDSDERAIGYWTPENGYIGQLRKPGKVAYSTSMYELKPPIWPGNTKVRPKVWSIPINGKKLNLALMHISKWNEILTPTSPSYQVQECIINELLSQVNLQSHVSMVVKIEDDKKKNKRIFLKPLSWDLWLTTRAAFICTDLVIWVLEHRINNEFRGPPQQQEEDNEQCVKMYTYYLDLCGTHPHPKLHRKLNFNVNSATIRTRFLRERPFGKAIVFDESKLKNYGTTEEAFPQGSPLVAYTSRAILNVTEDKAKMDAMEQKCFSRNHACENQDTAISSDSLSVYSFEGLFIITRMASVSSLIIYVSKFL >EOX97739 pep chromosome:Theobroma_cacao_20110822:2:3261400:3262241:-1 gene:TCM_006674 transcript:EOX97739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein MDKTLILSWILLLCIPTAAVCSQYYSETMPCVPKEKQVTNLRFFFHGTIGGNNPTAVPVARANITSTSNSIVVDDAPLTVGPEPTSEVVGNAQGLEVFAGRDTTTVVVYLDFGFTKGQFDGSSISLFSRNPVTQKERELAVVGGRGKFRMAKGFALLRTYSLDKITLIVEYNVTVIHY >EOX97306 pep chromosome:Theobroma_cacao_20110822:2:1934747:1942894:-1 gene:TCM_006375 transcript:EOX97306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate transporter/ sugar porter/ transporter isoform 2 MARNSVSLSVPEPSWFTPKRLLVIFCVINLLNYVDRGAIASNGVNGSQGTCTSSGTCTSGSGIQGEFNLNNFEDGILSSAFMVGLLVASPIFASLAKSVNPFRLIGVGLSVWTLATTGCGFSFNFWSITICRMLVGVGEASFISLAAPFIDDNAPVAQKTAWLAIFYMCIPTGYALGYVYGGLVGSYLNWRFAFWVEAIMMLPFAILGFVMKPLQLKGFAPAESRKALISMETAIPEVQEALNVKGSAESMKEEFGHTFSKLKCALFNLNRFSRFTKDMKELLVDKVYVVNVLGYCAYNFVLGAYSYWGPKAGYNIYKMSDADLIFGGITIVCGIFGTLAGGYVLDLMTSTISNAFKLLSMATFVGAIFCFTAFCFKSMYAFLALFSIGELLVFATQGPVNFICLHCVKPSLRPLSMALSTVSIHIFGDVPSSPLVGVLEDSVNNWRETALILTSILFPAAGIWFIGTFLHSVDRFNEDSEGQVTEVDRSNTTPLLGPKVAEARESSDGVLRLECSERLQHLVHADCLLIITLLFNDCIVLH >EOX97307 pep chromosome:Theobroma_cacao_20110822:2:1935218:1942819:-1 gene:TCM_006375 transcript:EOX97307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate transporter/ sugar porter/ transporter isoform 2 MARNSVSLSVPEPSWFTPKRLLVIFCVINLLNYVDRGAIASNGVNGSQGTCTSSGTCTSGSGIQGEFNLNNFEDGILSSAFMVGLLVASPIFASLAKSVNPFRLIGVGLSVWTLATTGCGFSFNFWSITICRMLVGVGEASFISLAAPFIDDNAPVAQKTAWLAIFYMCIPTGYALGYVYGGLVGSYLNWRFAFWVEAIMMLPFAILGFVMKPLQLKGFAPAESRKALISMETAIPEVQDTEALNVKGSAESMKEEFGHTFSKLKCALFNLNRFSRFTKDMKELLVDKVYVVNVLGYCAYNFVLGAYSYWGPKAGYNIYKMSDADLIFGGITIVCGIFGTLAGGYVLDLMTSTISNAFKLLSMATFVGAIFCFTAFCFKSMYAFLALFSIGELLVFATQGPVNFICLHCVKPSLRPLSMALSTVSIHIFGDVPSSPLVGVLEDSVNNWRETALILTSILFPAAGIWFIGTFLHSVDRFNEDSEGQVTEVDRSNTTPLLGPKVAEARESSGEP >EOX99272 pep chromosome:Theobroma_cacao_20110822:2:9748573:9759732:1 gene:TCM_007890 transcript:EOX99272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTYDFFEMRDLITVAHRRDAKVDAKPCGVSIGIRGEECLLRPRGGCHGPDGEFRVVTILLVLRCAGDIHTMFRRNGSPNVSHSISEGSLDSTARSKWHLDTGGESIVSGKRLHPRAEDRSISTPNTVLRCAGDIHTMFRRNGSPNVSHSISEGSLDSTARSKWHLDTGGESIVLGNRLHPRAEDRSISTPNTLRTVCR >EOX97607 pep chromosome:Theobroma_cacao_20110822:2:2825186:2829999:-1 gene:TCM_006586 transcript:EOX97607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein MLSGLMNFLWACFRPRSDRYVHTSSDAGGRQDGLLWYKDTGQHFNGEFSMAVVQANNLLEDQSQLESGCLSSHESGPYGTFIGVYDGHGGPETSRYVNDHLFQHLKRFTSEQQTMSVDVIRKAYQATEEGFLSLVSKQWPMKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRAVKATGEVLAVQLSAEHNVCIESVRQELQTLHPDDPQIVVLKYNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYPKFRLREPLKKPILSADPSISVHQLQPHDQFVIFASDGLWEHLSNQEAVDIVQNHPRSGIARRLVKTALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNHVSRASSVKAPNLSVRGGGVNLPPNILAPCTTPTEAGST >EOX98250 pep chromosome:Theobroma_cacao_20110822:2:5059182:5062568:-1 gene:TCM_007058 transcript:EOX98250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein isoform 2 MSLSIFLLWLVSTPLLVHSSPDQPRVLSYIYIYIYISFCFVYAWSPCLDAGLLITCGSSVKQTVPDTKVTYIPDDGFTLVGNKTALKNKDLLPVLTTLRYFPDKSARKYCYKFQAIKGGKYLVRTIYYYGGFDGGKEPPVFDQIIGGTKWSIVNTTEDYANGLTSFYEIIVVAPTKTLSVCLARNTHTASSPFISAIEVITVDDSMYNSTDFANYALVTVARGAFGNEDSISFPDDPQYRLWQPFKDNNSFVSSQSSVSTSEFWNKPPAKAFETAITTSPGKKLEVQWPPLSLPSTNYHISLYFQDNRKPSTNSWRVFSVSVNGKTFYSNLNVTTDGVTVYASQWPLSGQTQISLTSDTKSSVGPLINAGEVYQILPLGGRTLTRDVVVMEELARSFDNPPPDWTGDPCLPRENSWTGVTCSNDEMARVVSMDLTNFGLSGVLHPSIDNLTALHHLWLGGNHISGSIPEMNSLGRLETLHLENNDFTGPIPKALGKLGSLREILLQNNNLDGPIPEALRGKKGINLQ >EOX98251 pep chromosome:Theobroma_cacao_20110822:2:5056728:5061915:-1 gene:TCM_007058 transcript:EOX98251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein isoform 2 NKTALKNKDLLPVLTTLRYFPDKSARKYCYKFQAIKGGKYLVRTIYYYGGFDGGKEPPVFDQIIGGTKWSIVNTTEDYANGLTSFYEIIVVAPTKTLSVCLARNTHTASSPFISAIEVITVDDSMYNSTDFANYALVTVARGAFGNEDSISFPDDPQYRLWQPFKDNNSFVSSQSSVSTSEFWNKPPAKAFETAITTSPGKKLEVQWPPLSLPSTNYHISLYFQDNRKPSTNSWRVFSVSVNGKTFYSNLNVTTDGVTVYASQWPLSGQTQISLTSDTKSSVGPLINAGEVYQILPLGGRTLTRDVVVMEELARSFDNPPPDWTGDPCLPRENSWTGVTCSNDEMARVVSMDLTNFGLSGVLHPSIDNLTALHHLHLENNDFTGPIPKALGKLGSLREILLQNNNLDGPIPEALRGKKGINLQVSPGNNLSA >EOY02094 pep chromosome:Theobroma_cacao_20110822:2:41930359:41930691:-1 gene:TCM_011827 transcript:EOY02094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIYRLHESRNPSANILNKSVRDPKGKVTYKYINEEMDTMDLSNEHFQHNIASRRSTI >EOY01893 pep chromosome:Theobroma_cacao_20110822:2:41265987:41267142:1 gene:TCM_011684 transcript:EOY01893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNPTCIIPCGGVMTDEVHLRPYFYKDESFWPAWPNFPSHRRFLLWFSMEHLESGSILGDHLFMWSVGITLDNCTLLAQHDTTPDPSWLVFDVGHSFQGQDQYLIPQLDHVGS >EOX99291 pep chromosome:Theobroma_cacao_20110822:2:9960738:9962994:1 gene:TCM_007920 transcript:EOX99291 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative isoform 1 MAQTGTIKVLDSSHVSPPPSSVPTTSLPLTHFDLPWFPCNIERLFFYKFSHPTLHFMETTLPMLKCSLSLTLQHFFPYAANIMCPQPPGKPYIHYIDGDFVTFTVAESAADFNHVKANYPRDIKLLRPFVPQLPPARVAEDGIRVCPITAFQVTVFPNSGICIGSTYWHVVGDGKSFMHFMRSWTAVCRSGGDLTCLENSLPLINKDVIKDPGGIELVRLKNYWHWVSFSNENSGPTHAIAEDKVRATFVLGRAHAERLKHLVTGQCRDGVESEQLHISTFVVTSAFVWVCLIKSKDSATNNLSRDDDDKFYFLLFPFDCRNRLEFPVPPTYFGNCLRPGVVDVTKSELIGENGILLASKVIGNKIKEMERSGLRGAEHWISTLVERMKSRRLTAVAGSPKFHVYDTDFGWGRPCKVELTHIDYDGAISLAECKDEPGGIELFGKQA >EOX99290 pep chromosome:Theobroma_cacao_20110822:2:9960233:9962446:1 gene:TCM_007920 transcript:EOX99290 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative isoform 1 MAQTGTIKVLDSSHVSPPPSSVPTTSLPLTHFDLPWFPCNIERLFFYKFSHPTLHFMETTLPMLKCSLSLTLQHFFPYAANIMCPQPPGKPYIHYIDGDFVTFTVAESAADFNHVKANYPRDIKLLRPFVPQLPPARVAEDGIRVCPITAFQVTVFPNSGICIGSTYWHVVGDGKSFMHFMRSWTAVCRSGGDLTCLENSLPLINKDVIKDPGGIELVRLKNYWHWVSFSNENSGPTHAIAEDKVRATFVLGRAHAERLKHLVTGQCRDGVESEQLHISTFVVTSAFVWVCLIKSKDSATNNLSRDDDDKFYFLLFPFDCRNRLEFPVPPTYFGNCLRPGVVDVTKSELIGENGILLASKVIGNKIKEMERSGLRGAEHWISTLVERMKSRRLTAVAGSPKFHVYDTDFGWGRPCKVELTHIDYDGAISLAECKDEPGGIEVGLALNKNQMDEFITIFEQSLKLL >EOX99294 pep chromosome:Theobroma_cacao_20110822:2:10010908:10019032:1 gene:TCM_007928 transcript:EOX99294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 5 isoform 1 MAESNSESDFLKKFYIPTYIFIDETKISDVPDAPEFPVLVFINSKSGGQLGGDLLKTYKTVLNEHQVFDVEEEAPDKVLRRVYIRLEKLKQENDEFATKIHENLRIIVAGGDGTAGWLLGVVCDLKLQHPPPIATVPLGTGNNLPFSFGWGKKNPGTDRNSVMSFLEQVMKAKEMKIDNWHILMRMRAPKEGSCDPIPPLELPHSLHAFGRVSSTDELNMEGYHTFRGGLWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQSTYAKLGCTQGWFAASIFHPSSRNVAQLAKVKIMKRHGQWQDLHIPHSIRSIVCLNLPSFSGGLNPWGTPSGRKLRDRDFTPPYVDDGLLEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAADYTYMRVDGEPWKQPLPNDDDTVVVEISHLGQVNMLATHNCRSKSVRDPSSTSHHNGEENGSDEEDSRNEADGFGKFGAADTFKIPDEVDISQLS >EOX99295 pep chromosome:Theobroma_cacao_20110822:2:10010685:10018963:1 gene:TCM_007928 transcript:EOX99295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 5 isoform 1 MAESNSESDFLKKFYIPTYIFIDETKISDVPDAPEFPVLVFINSKSGGQLGGDLLKTYKTVLNEHQVFDVEEEAPDKVLRRVYIRLEKLKQENDEFATKIHENLRIIVAGGDGTAGWLLGVVCDLKLQHPPPIATVPLGTGNNLPFSFGWGKKNPGTDRNSVMSFLEQVMKAKEMKIDNWHILMRMRAPKEGSCDPIPPLELPHSLHAFGRVSSTDELNMEGYHTFRGGLWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQSTYAKLGCTQGWFAASIFHPSSRNVAQLAKVKIMKRHGQWQDLHIPHSIRSIVCLNLPSFSGGLNPWGTPSGRKLRDRDFTPPYVDDGLLEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAADYTYMRVDGEPWKQPLPNDDDTVVVEISHLGQVNMLATHNCRSKSVRDPSSTSHHNGEENGSDEEDSRNEADGFGKFGAADTFKIPDEVDISQLS >EOY00163 pep chromosome:Theobroma_cacao_20110822:2:27852368:27855018:-1 gene:TCM_009825 transcript:EOY00163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLKTRAASRWMGEQDALNELTNRPRASTFRGRDKMEKICKALGCSSVRSVKLVAFQLEDVVQEWYSSLCRSRPTDAALLTWSEFSTTFLDRFLPLSVRNAKAKEFEALTQMSSMAVSKYDINFMQLARYAPYLVSTEEIKIQRFVDGLVEPLFMAVASRDFNTYSAVVDCAQRIEMKTSESKVVRDKAKMAKTKVLDQGRKTLVGEVSKILDKAIKLSTLVILVGDDIVDDACVLQKFLMGVVNPNILEGIVRWLINHKILLVVPPSQLRLLLQLLPHQSFASRGQARVFALTPQEAQTSNAVVSGTFFVCNMNARVLFDLGATHSFISPFFASRLDKDHVKREQQLVVFTPLKDIFVVEWEYESCVVRVKNKVTSVNLVVLDTLDLDVILGMDWLSPCHTSVDCYHKLVRFDFPSEPSFNIQGDKSNAPTNLILVMATRRLLRQGCSSYLAMVRDTQAKVGDMGQVSMVNELMDVFPEELPGLPPKREILFCIDLILDTRPISIPPYKMAPAELKDQLEDLLDKGFIRSSVSP >EOX98884 pep chromosome:Theobroma_cacao_20110822:2:7631421:7632612:-1 gene:TCM_007555 transcript:EOX98884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Undecaprenyl pyrophosphate synthetase family protein, putative isoform 1 MQKGGVGEKMLNFLSKVVRFLRKCIFSVLSVGPVPAHVAFIMDGNRRYARQHNLKEGAGHDAGFSALMSMVTYGCELGIKYFTVYAFSIDNFKRRPEEVEYIMNLMLEKIELMRRKDSIVNRYGVRIHFSGNLQLLREPIRDAAKKLMAVTANNSNALLTLCVAYTSTNEIIQAIQKSCEEKWVENQEPGRAGCGPINLVEIEKQMDMGIAPD >EOX98885 pep chromosome:Theobroma_cacao_20110822:2:7631310:7632514:-1 gene:TCM_007555 transcript:EOX98885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Undecaprenyl pyrophosphate synthetase family protein, putative isoform 1 MQKGGVGEKMLNFLSKVVRFLRKCIFSVLSVGPVPAHVAFIMDGNRRYARQHNLKEGAGHDAGFSALMSMVTYGCELGIKYFTVYAFSIDNFKRRPEEVEYIMNLMLEKIELMRRKDSIVNRYGVRIHFSGNLQLLREPIRDAAKKLMAVTANNSNALLTLCVAYTSTNEIIQAIQKSCEEKWVENQEPGRAGCGPINLVEIEKQMDMGIAPD >EOX99993 pep chromosome:Theobroma_cacao_20110822:2:21401999:21406441:-1 gene:TCM_009201 transcript:EOX99993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MGLDDDMKLMVATRLLEKRARTWWNSVKSHSATPQTWSDFLREFDGQYFTYFHQKEKKREFLSLKQGNLTVEEYETRFNELMLYVPDLVKSEQNQASYFEEGLRNEIRERMTVTGREPHKEVVQMALRAEKLVLRIGGFGLSLQKRGIPIETLDLLLDFGRNFSKLLELN >EOX99174 pep chromosome:Theobroma_cacao_20110822:2:8819392:8823070:-1 gene:TCM_007765 transcript:EOX99174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 22 MAEPSSSLSSSKDELIQLIKRLGTYFALKMSNLFSISLQKLDPRSVGAIAGLAVAIIFTYKLMRSPAAPSRRQPKRQAPTTSSSAVSTQSNVTLMPSGICSSSEDSRAQNVVDEFFQPVKPTLGQIVRQKLSEGRKVTCRLLGVILEESSPEELQKQATVKSSVLDVLLEITKFCDLYLMERVIDDESEKNVLLALENAGIFTSGGLVKDKVLFCSTENGRASFVRQLEPDWHIDTNPEIVSQLARFIKYQLHISPVRPERTAANVSSSPSLEHFFGCV >EOY01123 pep chromosome:Theobroma_cacao_20110822:2:37764074:37769801:1 gene:TCM_011067 transcript:EOY01123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator ARR14 isoform 1 MATMQRVAQSSVSTSAAATSSYGGSSSCKGPEVVISDQFPVGLRVLVVDDDITCLRILEQMLRRCLYIVTTCSQAKVALNLLRERKGCFDVVLSDVYMPDMDGYKLLEHVGLEMDLPVIMMSTDGKTNAVMKGIRHGACDYLIKPIREEELKNIWQHVVRKKWNENKELEHSGSLDDNDRHKRGNDDAEYASSVNDGADVSLKPQKKRSNTKEEDDGEIENDDPSASKKPRVVWSVELHQQFVSAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRISGVAQQGGISTSLCGPLEPNVKIGSLGRFDIQALAASGQIPPQTLAALNAELLGRPTGNLVTAMDQPALLQASLQGPKCIPVEHGVAFGQPLVKCQSSISKHFPQSIVSVEDVSSGFGAWPSNNIGTAAPSSGLGGLSSQNGNMLIDLLQQQQQQRQLQKSQQQQQSTVPEPSRSINVQPSCLVVPSQSSASFQAGNSTVSVNQNGTFCRTPVIDYSLLSSQSNNSSLNIGQVSDGDLQTTGVLSGYIPPTSLSPSVSSCSLNADNCTSHQVQTSSMTFKASRHLPGFVHSMCDVQGPFGVTKSGDVFDQAHFSNLGYFNKEACLPTRFAADEFQLPMSSSSSRGKVFAENTGTRVKQEPSMEFVDNVKVGIPMLQQFPPNDLMSVFTE >EOY01125 pep chromosome:Theobroma_cacao_20110822:2:37764086:37775257:1 gene:TCM_011067 transcript:EOY01125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator ARR14 isoform 1 MATMQRVAQSSVSTSAAATSSYGGSSSCKGPEVVISDQFPVGLRVLVVDDDITCLRILEQMLRRCLYIVTTCSQAKVALNLLRERKGCFDVVLSDVYMPDMDGYKLLEHVGLEMDLPVIMMSTDGKTNAVMKGIRHGACDYLIKPIREEELKNIWQHVVRKKWNENKELEHSGSLDDNDRHKRGNDDAEYASSVNDGADVSLKPQKKRSNTKEEDDGEIENDDPSASKKPRVVWSVELHQQFVSAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRISGVAQQGGISTSLCGPLEPNVKIGSLGRFDIQALAASGQIPPQTLAALNAELLGRPTGNLVTAMDQPALLQASLQGPKCIPVEHGVAFGQPLVKCQSSISKHFPQSIVSVEDVSSGFGAWPSNNIGTAAPSSGLGGLSSQNGNMLIDLLQQQQQQRQLQKSQQQQQSTVPEPSRSINVQPSCLVVPSQSSASFQAGNSTVSVNQNGTFCRTPVIDYSLLSSQSNNSSLNIGQVSDGDLQTTGVLSGYIPPTSLSPSVSSCSLNADNCTSHQVQTSSMTFKASRHLPGFVHSMCDVQGPFGVTKSGDVFDQAHFSNLGYFNKEACLPTRFAADEFQLPMSSSSSRGKVFAENTGTRVKQEPSMEFVDNVKVGIPMLQQFPPNDLMSVFTE >EOY01124 pep chromosome:Theobroma_cacao_20110822:2:37763919:37782073:1 gene:TCM_011067 transcript:EOY01124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator ARR14 isoform 1 MATMQRVAQSSVSTSAAATSSYGGSSSCKGPEVVISDQFPVGLRVLVVDDDITCLRILEQMLRRCLYIVTTCSQAKVALNLLRERKGCFDVVLSDVYMPDMDGYKLLEHVGLEMDLPVIMMSTDGKTNAVMKGIRHGACDYLIKPIREEELKNIWQHVVRKKWNENKELEHSGSLDDNDRHKRGNDDAEYASSVNDGADVSLKPQKKRSNTKEEDDGEIENDDPSASKKPRVVWSVELHQQFVSAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRISGVAQQGGISTSLCGPLEPNVKIGSLGRFDIQALAASGQIPPQTLAALNAELLGRPTGNLVTAMDQPALLQASLQGPKCIPVEHGVAFGQPLVKCQSSISKHFPQSIVSVEDVSSGFGAWPSNNIGTAAPSSGLGGLSSQNGNMLIDLLQQQQQQRQLQKSQQQQQSTVPEPSRSINVQPSCLVVPSQSSASFQAGNSTVSVNQNGTFCRTPVIDYSLLSSQSNNSSLNIGQVSDGDLQTTGVLSGYIPPTSLSPSVSSCSLNADNCTSHQVQTSSMTFKASRHLPGFVHSMCDVQGPFGVTKSGDVFDQAHFSNLGYFNKEACLPTRFAADEFQLPMSSSSSRGKVFAENTGTRVKQEPSMEFVDNVKVGIPMLQQFPPNDLMSVFTE >EOX96898 pep chromosome:Theobroma_cacao_20110822:2:438157:439993:-1 gene:TCM_006041 transcript:EOX96898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MINEKRLWATLPDSSGQHYPGHLEAANVVRLIRQRPEACTDFCLHVSREWLCKEGIGLLRNCLYSSRKFDPLANQINSIFWFFLFRSRSSNGREQYILHVLTVALRLAQPNEFWVGVPSANILFPTSTSKLVFTSAFSGKALCLWHGNKVVKREYLTGLNKFIRTWQSRTEGRT >EOX97505 pep chromosome:Theobroma_cacao_20110822:2:2516339:2519160:1 gene:TCM_006506 transcript:EOX97505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLLHFGRHFLLWHLGSPHNITAYSLEDNEIWHRHFLGMVFQVLEAVYIYVRFRSDTDLNLMAVPIFLTGVWMYGERIWALRSASDKQLMNSLAQREQASPEENNIIRFRLFESKINDCFERKGNVSELKLLREAYSSFLIFKPLFLGLSVELSAKFYDDMFFINSKSAEEAFKLVGTEQKYLYDLFFTKVPLYRNHQKVSSSLRALCFLSAVSSLIAFSAIVDKSVHSKVDIVITYLLLLGAISLDAYSFIMHLLSIWSMIWLPVPKNTVQKMYSKVVASRWHLVEAKMAIKSVAQHDIINYYVEANAIKLYDAVRIIDTGNHLQKDWHTKWKPVDCELKQFIYDHLKKERGKLDEKDFGPEDLKKLLNAPFFEDLEEDTTDFSLRIIRWHLATELVYYDDLNKFRRGKLGSFCQIAKSLSDYMMYLVLVRLLMLPKGYSEAINNENYRQAKNLFPEDMTTNMRKIEVRKRFTSSVLGRQSSPTKSNRFDLINGGSSFAEKLQSVVAQERWDHEEKWEMISKVWLGMMLYAASLCSWKEHAQQLRHGGELLTHVALLMAHLGLTTQIRKSKSLDYEDELNPAFPPLFR >EOX99686 pep chromosome:Theobroma_cacao_20110822:2:13748771:13752620:1 gene:TCM_008447 transcript:EOX99686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLISSLCLVPLLSSHLHSPNSSISTVFSSHSFIRPIYTLRSPVSTLFSSPSLIFSKLLPSFTFPTSSKFLWVFSYSFLPFVLCFLSLKFCGSG >EOX98056 pep chromosome:Theobroma_cacao_20110822:2:4397716:4399413:1 gene:TCM_006908 transcript:EOX98056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MVVSASSRIWHAHASGAREMADDHSKDLINHDHGSKQVKLQGLSDVVNGNEIRNEVQGVERLVDDEGIPKGNNVLRLPSMDYDRHGEDDSSTVQTRGHAHQHAMFDNHVSSHMNHMDRSLMVFFILNDLKVGKSMPIYFPKNDPSTSPHLLPREEADSIPFSLKELPYLLRFFSFLQDSPQAKAMEDTLRECETKAIKGETKFCATSLESMLDFARSIFGLNSHFKILTTAHLTKSSTLFQNYTILATPQETSAPKMVACHTMPYPYAVLYCHSQETQNKVFKVSLGGDNGDRAEAVAVCHMDTSQWTRNHVSFRVLGIEPGTPGVCHFFPADNFVLIPVPTHP >EOX98057 pep chromosome:Theobroma_cacao_20110822:2:4398043:4399333:1 gene:TCM_006908 transcript:EOX98057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MTTTKTKYHAHASGAREMADDHSKDLINHDHGSKQVKLQGLSDVVNGNEIRNEVQGVERLVDDEGIPKGNNVLRLPSMDYDRHGEDDSSTVQTRGHAHQHAMFDNHVSSHMNHMDRSLMVFFILNDLKVGKSMPIYFPKNDPSTSPHLLPREEADSIPFSLKELPYLLRFFSFLQDSPQAKAMEDTLRECETKAIKGETKFCATSLESMLDFARSIFGLNSHFKILTTAHLTKSSTLFQNYTILATPQETSAPKMVACHTMPYPYAVLYCHSQETQNKVFKVSLGGDNGDRAEAVAVCHMDTSQWTRNHVSFRVLGIEPGTPGVCHFFPADNFVLIPVPTHP >EOX98055 pep chromosome:Theobroma_cacao_20110822:2:4397614:4399385:1 gene:TCM_006908 transcript:EOX98055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MKFVSCSSFLILLLVMHAHASGAREMADDHSKDLINHDHGSKQVKLQGLSDVVNGNEIRNEVQGVERLVDDEGIPKGNNVLRLPSMDYDRHGEDDSSTVQTRGHAHQHAMFDNHVSSHMNHMDRSLMVFFILNDLKVGKSMPIYFPKNDPSTSPHLLPREEADSIPFSLKELPYLLRFFSFLQDSPQAKAMEDTLRECETKAIKGETKFCATSLESMLDFARSIFGLNSHFKILTTAHLTKSSTLFQNYTILATPQETSAPKMVACHTMPYPYAVLYCHSQETQNKVFKVSLGGDNGDRAEAVAVCHMDTSQWTRNHVSFRVLGIEPGTPGVCHFFPADNFVLIPVPTHP >EOX97695 pep chromosome:Theobroma_cacao_20110822:2:3081964:3084557:-1 gene:TCM_006639 transcript:EOX97695 gene_biotype:protein_coding transcript_biotype:protein_coding description:20S proteasome alpha subunit PAD1 MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGADIVVLGVEKKSTAKLQDSRTVRKIVSLDDHIALACAGLKADARVLINRARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTGVPSLYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGQETVKLAIRALLEVVESGGKNIEVAMMTKEHGLRQLEEAEIDAIVAEIEAEKAAAEAAKKAPPKEP >EOY01681 pep chromosome:Theobroma_cacao_20110822:2:40597009:40602085:1 gene:TCM_011522 transcript:EOY01681 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI five binding protein 2, putative isoform 1 MLPSKTGEANENRRRSSSSSSRGMSNLSLRIEKYPRDLLQRFMSSEAQASTRSEGEEEDEEVELNLGLSLGGRFGVDKNAKKLTRSSSIAGSIPILREGDANTPPPVPYPTLIRTSSLPTETEEEWRKRKELQTLRRMAAKRRRSEKQRSSREKMEVTLLEEEKQTGRANNIGVGVGPPFGLQSWAAAARQVILAGGSEVVGVKGIGGGAAGFSQGFMQPCSQGSVESQGGSSSSMSEMENKALQVRVGWASSLVTDFHEFSARQLTSSDQGSSWFHPLMISCIAGASSCGEARSSGSTQSLQDQGNQEATGSSGTKTSETCRTSRLEVETLCKAAENRGKERGDAMEDMPCVFTKGEGPNGKRVEGILYKYGKGEEVRIMCVCHGNFLSPAEFVKHAGGGDVDHPLRHIVVNPSSASLL >EOY01682 pep chromosome:Theobroma_cacao_20110822:2:40597541:40602102:1 gene:TCM_011522 transcript:EOY01682 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI five binding protein 2, putative isoform 1 MLPSKTGEANENRRRSSSSSSRGMSNLSLRIEKYPRDLLQRFMSSEAQASTRSEGEEEDEEVELNLGLSLGGRFGVDKNAKKLTRSSSIAGSIPILREGDANTPPPVPYPTLIRTSSLPTETEEEWRKRKELQTLRRMAAKRRRSEKQRSSREKMEVTLLEEEKQTGRANNIGVGVGPPFGLQSWAAAARQVILAGGSEVVGVKGIGGGAAGFSQGFMQPCSQGSVESQGGSSSSMSEMENKALQGASSCGEARSSGSTQSLQDQGNQEATGSSGTKTSETCRTSRLEVETLCKAAENRGKERGDAMEDMPCVFTKGEGPNGKRVEGILYKYGKGEEVRIMCVCHGNFLSPAEFVKHAGGGDVDHPLRHIVVNPSSASLL >EOX99090 pep chromosome:Theobroma_cacao_20110822:2:8455223:8460065:-1 gene:TCM_007706 transcript:EOX99090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MAGGVYIDDTGVKHYEARVTFFVLVTCMVAATGGLIFGYDLGISGGVTSMEEFLKLFFPSVHKNQKNKTGHENNWCKFDSQLLTLFTSSLYLAALVASFFASAMTRKFGRRLSMLLGGFIFFLGAIINGAARNIEMLIVGRVLLGAGVGFANQSVPVYLSEMAPAKLRGALNIGFQMAITIGILIANLVNYGSNKIKGGWGWRLSLGLAAVPATIMTVGSFFLPDTPNSLIDRGHTDRAKKMLQKIRGTNNVDEEFEDLVSASEAAKEVKHPWRNITKRRYRPQLAITFLIPFFQQLTGINVIMFYAPVLFKTIGFGDDASLMSAVITGGVQMVVSQIAVGVILGLKFGTDGEGSLSKGYAEFVLFLICAYVAAFAWSWGPLGWLVPSEICPLEIRSAGQAINVSVNMFFTFIIAQLFLSMLCHMKFGLFFFFAAFVVTMTIFIFYFLPETKSVPIEEMNRAWKAHWFWGKYIPSDAVHGHGHCSPNVIKFGKSLCKCNTTHGMKKEK >EOY00436 pep chromosome:Theobroma_cacao_20110822:2:32331100:32332713:-1 gene:TCM_010300 transcript:EOY00436 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein MESSIGNQDAPVPSYIQDLMRMIQTSQERMQILEDNNKRMMDTISQFASSTVTTFQAQSVHPNESAPAGVTHLVTNIEENGGNVEGAVDVVVAANPNPTNTTIAVTPTTTSTAVIPPIPTQGFVTLEELQKLLDQKNKSLNFSEFDLKLPYPASVAAKPYPKDYTSPKFKQFNGKTGDAREHVMKFVETLGVAGLDDDLKLKEFSKSLTEKAYTWYVNLTPGSVQSWNQMCRMFGEKFFSTQEKVTLVDLGREFQKSREDLMEYIQRFRERVLDIQESHDEKELVKVCIQGMFDEYRLHLENLPLPTFATLVEAARRTNNTVFRQKGLTRFGRRNNPTVNAIQGGGRERRGPIRANLRPRRDVPRRGLDEENDSSPPFSVPLDRVRALLQEWVRDGQINLPYTPRPPTTEEKANPRYCDYHRTVGHPLAECRNLRRMFHQQVQAGEVLIGNNRVQNNPLPIHPNPRGQVSAIIHAHHDDPSSSNTQFNDANEATRVTSSIANSLMKTPSFRHFFDQLGFSEEARKEAAISLVQIAGE >EOX98296 pep chromosome:Theobroma_cacao_20110822:2:5250384:5253951:1 gene:TCM_007092 transcript:EOX98296 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase family protein, putative isoform 3 MACPGTFSDEPLTPAGRLFLQSQTNIIIHCILRGKNPIDIDAVKSTLRSSLMVRHPRFCSLLVRDKNGFEHWRKAQVDIDQHVIVIDKRLDKSDNFLDESRRFSSEEGEGDDDDEAAVNQYVADLSVSSPLSTDKPLWEIHILVPHKCAVFRIHHALGDGISLMSMLMASCRRADDADALPRMVPEKSAEFKDGKGRDWFWLFGILWGFLKMVCFTVMFVMEFVVSSLLVCDRKTVISGGEGVELWPRKLATARFLLEDMRVVKKAIPNTTINDVLFGVVSSGLSRYLDHRTPNALHEGLRMTGVAMVNLRPQTGFQDLSQLMKGAAEARWGNKFGLILLPVYFKKAGNNPLEYVKRAKRMVDRKKHSLEAYFSYRIGDLVMSLLGSKYACLLNYRLLCNTTFTISNIIGPLEEITLAGNPISSIKVNTSSLPQAITMHMLSYAGRAEMQILVAKDIVPDPEFLAKCFEDALLEMKEAVIGTEKE >EOX98298 pep chromosome:Theobroma_cacao_20110822:2:5250384:5253951:1 gene:TCM_007092 transcript:EOX98298 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase family protein, putative isoform 3 MACPGTFSDEPLTPAGRLFLQSQTNIIIHCILRGKNPIDIDAVKSTLRSSLMVRHPRFCSLLVRDKNGFEHWRKAQVDIDQHVIVIDKRLDKSDNFLDESRRFSSEEGEGDDDDEAAVNQYVADLSVSSPLSTDKPLWEIHILVPHKCAVFRIHHALGDGISLMSMLMASCRRADDADALPRMVPEKSAEFKDGKGRDWFWLFGILKTVISGGEGVELWPRKLATARFLLEDMRVVKKAIPNTTINDVLFGVVSSGLSRYLDHRTPNALHEGLRMTGVAMVNLRPQTGFQDLSQLMKGAAEARWGNKFGLILLPVYFKKAGNNPLEYVKRAKRMVDRKKHSLEAYFSYRIGDLVMSLLGSKYACLLNYRLLCNTTFTI >EOX98297 pep chromosome:Theobroma_cacao_20110822:2:5250384:5253951:1 gene:TCM_007092 transcript:EOX98297 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase family protein, putative isoform 3 MACPGTFSDEPLTPAGRLFLQSQTNIIIHCILRGKNPIDIDAVKSTLRSSLMVRHPRFCSLLVRDKNGFEHWRKAQVDIDQHVIVIDKRLDKSDNFLDESRRFSSEEGEGDDDDEAAVNQYVADLSVSSPLSTDKPLWEIHILVPHKCAVFRIHHALGDGISLMSMLMASCRRADDADALPRMVPEKSAEFKDGKGRDWFWLFGILWGFLKMVCFTVMFVMEFVVSSLLVCDRKTVISGGEGVELWPRKLATARFLLEDMRVVKKAIPNTTINDVLFGVVSSGLSRYLDHRTPNALHEGLRMTGVAMVNLRPQTGFQDLSQLMKGAAEARWGNKFGLILLPVYFKKAGNNPLEYVKRAKRMVDRKKHSLEAYFSYRIGDLVMSLLGSKYACLLNYRLLCNTTFTISNIIGPLEEITLAGNPISSIKVNTSSLPQAITMHMLSYAGRAEMQILVAKDIVPDPEFLAKCFEDALLEMKEAVIGTEKE >EOX98300 pep chromosome:Theobroma_cacao_20110822:2:5250704:5253139:1 gene:TCM_007092 transcript:EOX98300 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase family protein, putative isoform 3 MACPGTFSDEPLTPAGRLFLQSQTNIIIHCILRGKNPIDIDAVKSTLRSSLMVRHPRFCSLLVRDKNGFEHWRKAQVDIDQHVIVIDKRLDKSDNFLDESRRFSSEEGEGDDDDEAAVNQYVADLSVSSPLSTDKPLWEIHILVPHKCAVFRIHHALGDGISLMSMLMASCRRADDADALPRMVPEKSAEFKDGKGRDWFWLFGILWGFLKMVCFTVMFVMEFVVSSLLVCDRKTVISGGEGVELWPRKLATARFLLEDMRVVKKAIPNTTINDVLFGVVSSGLSRYLDHRTPNALHEGLRMTGVAMVNLRPQTGFQDLSQLMKGAAEARWESKEDG >EOX98299 pep chromosome:Theobroma_cacao_20110822:2:5250861:5252950:1 gene:TCM_007092 transcript:EOX98299 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase family protein, putative isoform 3 MACPGTFSDEPLTPAGRLFLQSQTNIIIHCILRGKNPIDIDAVKSTLRSSLMVRHPRFCSLLVRDKNGFEHWRKAQVDIDQHVIVIDKRLDKSDNFLDESRRFSSEEGEGDDDDEAAVNQYVADLSVSSPLSTDKPLWEIHILVPHKCAVFRIHHALGDGISLMSMLMASCRRADDADALPRMVPEKSAEFKDGKGRDWFWLFGILWGFLKMVCFTVMFVMEFVVSSLLVCDRKTVISGGEGVELWPRKLATARFLLEDMRVVKKAIPNTTINDVLFGVVSSGLSRYLDHRTPNALHEGLRMTGVAMVNLRPQTGFQDLSQLMKGAAEARWGNKFGLILLPVYFKKAGNNPLEYVKRAKRMVDRKKHSLEAYFSYRIGDLVMSLLGSKVE >EOX97970 pep chromosome:Theobroma_cacao_20110822:2:4106181:4109083:-1 gene:TCM_006849 transcript:EOX97970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome BC1 synthesis, putative MTLIQGLIEEAKLDHINLPYYAPTPKEVRHVIQTEGSFQIQRLETYKIDWDSRSQNGMKGKNVARTIRAVAESLLENHFTGLNMDDLFERFVKKISEVLGGGKMLMQPDLQGCRNLFRKISPSTKLYKVSMPEKETNIAVSMANNQETMDTFDGVQFKWRQVTRQVDSNHMAAQGHTYKVRSEIRSFELTFHKKHKDKVLNSYLPFILKESSCLTEEKKTLKLYTLNYDYMRRYGGGAWQPIILDHPAKFETLAMNTELKTMIMEDLERFLKRKEYYRRVGKAWKRGYLLYGPPGTGKSSLIAAMANYLNFDIYDLELTDIQTNSDLRRILIATGNRSILVVEDIDCSLELQERQAEPRPVTAFRTNRVTLSGLLNFIDGLWSSCGDERIIVFTTNHKDRLDPALLRPGRMDVHIHMSHCSPCGFNTLAANYVGITDHPLISTARELLDEISVTPAEVGEQLLKNEDPEAALQGLIDFLRAKKTEEAENSSL >EOX98133 pep chromosome:Theobroma_cacao_20110822:2:4663177:4666342:-1 gene:TCM_006968 transcript:EOX98133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MSQPVGPALPEKKPCIFYKLIVSSILQDKKLRIPHKFVKKYGDELSSIVTLATPSGRFWLVELKKDKRRMWFDSGWNVFVEYYSISVGYFLVFRYEGNSHFNVHVYNLAASEINYLSNGLNNSEELSRDEHVKNIEDGDLAEIMGSCPKCSSSYFLTDKDSDECLDRDRKKYKNSTSGADLKNLHQKNDMHDLQATFQLTQGKGFQLNVVELTSTADEGGPYFLNETQQITKKIKQETEPSKLHELKNFLYRVFLIDFTHPNSLKDIDEHEEELPAMNTPRNIARRWRDVTTEDKQSALHAAATFKPDNPFCRIILRPSYVYKGILLHIPRWFARKHLNGVNGTITLQVSEGKKWPVRCIYVDGHLKFCKGWAEFVLDNNLDEGDVCVFELINTEEIVLKVTIFRVLEDAGPVKKL >EOX98135 pep chromosome:Theobroma_cacao_20110822:2:4663178:4666364:-1 gene:TCM_006968 transcript:EOX98135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MSQPVGPALPEKKPCIFYKLIVSSILQDKKLRIPHKFVKKYGDELSSIVTLATPSGRFWLVELKKDKRRMWFDSGWNVFVEYYSISVGYFLVFRYEGNSHFNVHVYNLAASEINYLSNGLNNSEELSRDEHVKNIEDGDLAEIMGSCPKCSSSYFLTDKDSDECLDRDRKKYKNSTSGADLKNLHQKNDMHDLQATFQLTQGKGFQLNVVELTSTADEGGPYFLNETQQITKKIKQERWAEFVLDNNLDEGDVCVFELINTEEIVLKVTIFRVLEDAGPVKKL >EOX98134 pep chromosome:Theobroma_cacao_20110822:2:4663844:4666270:-1 gene:TCM_006968 transcript:EOX98134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MSQPVGPALPEKKPCIFYKLIVSSILQDKKLRIPHKFVKKYGDELSSIVTLATPSGRFWLVELKKDKRRMWFDSGWNVFVEYYSISVGYFLVFRYEGNSHFNVHVYNLAASEINYLSNGLNNSEELSRDEHVKNIEDGDLAEIMGSCPKCSSSYFLTDKDSDECLDRDRKKYKNSTSGADLKNLHQKNDMHDLQATFQLTQGKGFQLNVVELTSTADEGGPYFLNETQQITKKIKQETEPSKLHELKNFLYRVFLIDFTHPNSLKDIDEHEEELPAMNTPRNIARRWRDVTTEDKQSALHAAATFKPDNPFCRIILRPSYVYKGILLHIPRWFARKHLNGVNGTITLQVSEGKKWPVRCIYVDGHLKFCKGWAEFVLDNNLDEGDVCVFELINTEEIVLKVTIFRVLEDAGPVKKL >EOX98136 pep chromosome:Theobroma_cacao_20110822:2:4663461:4666396:-1 gene:TCM_006968 transcript:EOX98136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MSQPVGPALPEKKPCIFYKLIVSSILQDKKLRIPHKFVKKYGDELSSIVTLATPSGRFWLVELKKDKRRMWFDSGWNVFVEYYSISVGYFLVFRYEGNSHFNVHVYNLAASEINYLSNGLNNSEELSRDEHVKNIEDGDLAEIMGSCPKCSSSYFLTDKDSDECLDRDRKKYKNSTSGADLKNLHQKNDMHDLQATFQLTQGKGFQLNVVELTSTADEGGPYFLNETQQITKKIKQERWAEFVLDNNLDEGDVCVFELINTEEIVLKVTIFRVLEDAGPVKKL >EOX98137 pep chromosome:Theobroma_cacao_20110822:2:4664354:4666396:-1 gene:TCM_006968 transcript:EOX98137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MSQPVGPALPEKKPCIFYKLIVSSILQDKKLRIPHKFVKKYGDELSSIVTLATPSGRFWLVELKKDKRRMWFDSGWNVFVEYYSISVGYFLVFRYEGNSHFNVHVYNLAASEINYLSNGLNNSEELSRDEHVKNIEDGDLAEIMGSCPKCSSSYFLTDKDSDECLDRDRKKYKNSTSGADLKNLHQKNDMHDLQATFQLTQGKGFQLNVVELTSTADEGGPYFLNETQQITKKIKQETEPSKLHELKNFLYRVFLIDFTHPNSLKDIDEHEEELPAM >EOX98016 pep chromosome:Theobroma_cacao_20110822:2:4272763:4276309:-1 gene:TCM_006882 transcript:EOX98016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMKNSQPHTKLILIDDLYEDLFGRIWERSRPVHACQWLQSRQLTLVADHSPLLAIEYGPSEGEGIGYKSDYSDRVCLQWQRNFNFS >EOX97090 pep chromosome:Theobroma_cacao_20110822:2:1149256:1151905:-1 gene:TCM_006191 transcript:EOX97090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 MRVSGELKRRRRPAPHSGTNWSEFTGTTHISKGAFPEQLPTANDDEPDLIRLETVNYDELDLVHQESHLLEIANGILSEQKQLPDNQDGWRLKKVGEANADSENDLKQTTLETDHVERDLGSQNIQVSNGDEENGGSNNHDDSSLKDVLTWVFPITNFTLELPSAVFDQLSSKDHPHYALIMMLISFIALMACIAELIYKGKKERVTWQRRGRVPWFYCPQTGKPFCSLWDIIGFAYAFLQCVVTAINYSFISRHLNGPIKTSALPILFACGLL >EOY01488 pep chromosome:Theobroma_cacao_20110822:2:39623419:39644777:1 gene:TCM_011357 transcript:EOY01488 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MANVTVDEDQQWLLNCLSATLDPNQEVRSFAEASLNQASLQPGFGRGLSKVAANRDLPFGLRQLAAVLLKHFIKKHWHEGDESFEHPAVSSDEKAVIRGLLLSTLDDSNRKLCTAISMAIASIAVYDWPESWPDLLPFLLKLIGDQSDMNGVHGALRCLALLAGDLDDTMIPTLIPFLFPCLYTIVSSSQAETSALMEPMLKPWIDQFSFILEHPVQPEDPDDWGIRMEVFKCLNQFVQNFHSFTESEFMVIVGPLWQTFISSLRVYTRSAIEGTEDPYEGRYDSDGAEKSLDSFVIQLFEFLLTIVGSKKLVKVVETNIADLVYYTIGFLQVTEQQVHTWSMDANQFVADEDDATYSCRVSGSLLLEEVATCFGREGIDAILKAVRKQFSESQQEKAGGSVVWWRIREATLFALSSLSEQLLEAEVPGLGNLLEQMITEDMGIGVHEYPFLYARMFVSVARFSSMISCGILEHFLQAAIRTIGINVPPAVKVGACRALSQLLNEANKSVIQPQIMGLLSSLTDLLHQASDETLHLVLETLQAAIRAGHESSASAEPIISPIILNMWALHVSDPFVSIDAIEVLEAIKDAPGCIRPLASRILPYLGPILNKPQQQPDGLVAGSLDLLTMLLKNAPTDVVKAAYDVCFDAIIRIVLQSDDHSEMQNATECLASFVSGGRQEVLAWGSDSGFTMRNLLDAASRLLDPDLESSGSLFVGSYILQLILHLPSQMAQHIRDLIVALVRRMQSASIAGLKSSLLFIFARLVHMSSPNVEQFINLLITIPAEGYQNAFVYVMSEWTKQQGEIQGAYQIKVTASALALLLSTRHAELTNINVQGHLIKSVAGITTRSKAKSAPDQWTMVPLPAKILAVLADALIEIQEQVWDAKDEDSDWEEIHEGDMEAEKDLLYSAAATPFGRSANEHLEAMAKAYNEDQEDDYEDDMLSVSDPLNERSILQINLANYLMDFILKFSQSDQQLFDYLCQSLTRAQQNAIKIVLNR >EOY01486 pep chromosome:Theobroma_cacao_20110822:2:39622984:39644892:1 gene:TCM_011357 transcript:EOY01486 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MANVTVDEDQQWLLNCLSATLDPNQEVRSFAEASLNQASLQPGFGRGLSKVAANRDLPFGLPAVLLKHFIKKHWHEGDESFEHPAVSSDEKAVIRGLLLSTLDDSNRKLCTAISMAIASIAVYDWPESWPDLLPFLLKLIGDQSDMNGVHGALRCLALLAGDLDDTMIPTLIPFLFPCLYTIVSSSQIYNKYLRSKALSIVYACISMLGDMRGVYQAETSALMEPMLKPWIDQFSFILEHPVQPEDPDDWGIRMEVFKCLNQFVQNFHSFTESEFMVIVGPLWQTFISSLRVYTRSAIEGTEDPYEGRYDSDGAEKSLDSFVIQLFEFLLTIVGSKKLVKVVETNIADLVYYTIGFLQVTEQQVHTWSMDANQFVADEDDATYSCRVSGSLLLEEVATCFGREGIDAILKAVRKQFSESQQEKAGGSVVWWRIREATLFALSSLSEQLLEAEVPGLGNLLEQMITEDMGIGVHEYPFLYARMFVSVARFSSMISCGILEHFLQAAIRTIGINVPPAVKVGACRALSQLLNEANKSVIQPQIMGLLSSLTDLLHQASDETLHLVLETLQAAIRAGHESSASAEPIISPIILNMWALHVSDPFVSIDAIEVLEAIKDAPGCIRPLASRILPYLGPILNKPQQQPDGLVAGSLDLLTMLLKNAPTDVVKAAYDVCFDAIIRIVLQSDDHSEMQNATECLASFVSGGRQEVLAWGSDSGFTMRNLLDAASRLLDPDLESSGSLFVGSYILQLILHLPSQMAQHIRDLIVALVRRMQSASIAGLKSSLLFIFARLVHMSSPNVEQFINLLITIPAEGYQNAFVYVMSEWTKQQGEIQGAYQIKVTASALALLLSTRHAELTNINVQGHLIKSVAGITTRSKAKSAPDQWTMVPLPAKILAVLADALIEIQEQVWDAKDEDSDWEEIHEGDMEAEKDLLYSAAATPFGRSANEHLEAMAKAYNEDQEDDYEDDMLSVSDPLNEINLANYLMDFILKFSQSDQQLFDYLCQSLTRAQQNAIKIVLNR >EOY01489 pep chromosome:Theobroma_cacao_20110822:2:39623419:39644777:1 gene:TCM_011357 transcript:EOY01489 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MANVTVDEDQQWLLNCLSATLDPNQEVRSFAEASLNQASLQPGFGRGLSKVAANRDLPFGLRQLAAVLLKHFIKKHWHEGDESFEHPAVSSDEKAVIRGLLLSTLDDSNRKLCTAISMAIASIAVYDWPESWPDLLPFLLKLIGDQSDMNGVHGALRCLALLAGDLDDTMIPTLIPFLFPCLYTIVSSSQAETSALMEPMLKPWIDQFSFILEHPVQPEDPDDWGIRMEVFKCLNQFVQNFHSFTESEFMVIVGPLWQTFISSLRVYTRSAIEGTEDPYEGRYDSDGAEKSLDSFVIQLFEFLLTIVGSKKLVKVVETNIADLVYYTIGFLQVTEQQVHTWSMDANQFVADEDDATYSCRVSGSLLLEEVATCFGREGIDAILKAVRKQFSESQQEKAGGSVVWWRIREATLFALSSLSEQLLEAEVPGLGNLLEQMITEDMGIGVHEYPFLYARMFVSVARFSSMISCGILEHFLQAAIRTIGINVPPAVKVGACRALSQLLNEANKSVIQPQIMGLLSSLTDLLHQASDETLHLVLETLQAAIRAGHESSASAEPIISPIILNMWALHVSDPFVSIDAIEVLEAIKDAPGCIRPLASRILPYLGPILNKPQQQPDGLVAGSLDLLTMLLKNAPTDVVKAAYDVCFDAIIRIVLQSDDHSEMQNATECLASFVSGGRQEVLAWGSDSGFTMRNLLDAASRLLDPDLESSGSLFVGSYILQLILHLPSQMAQHIRDLIVALVRRMQSASIAGLKSSLLFIFARLVHMSSPNVEQFINLLITIPAEGYQNAFVYVMSEWTKQQGEIQGAYQIKVTASALALLLSTRHAELTNINVQGHLIKSVAGITTRSKAKSAPDQWTMVPLPAKILAVLADALIEIQEQVWDAKDEDSDWEEIHEGDMEAEKDLLYSAAATPFGRSANEHLEAMAKAYNEDQEDDYEDDMLSVSDPLNEINLANYLMDFILKFSQSDQQLFDYLCQSLTRAQQNAIKIVLNR >EOY01487 pep chromosome:Theobroma_cacao_20110822:2:39623446:39644811:1 gene:TCM_011357 transcript:EOY01487 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MANVTVDEDQQWLLNCLSATLDPNQEVRSFAEASLNQASLQPGFGRGLSKVAANRDLPFGLRQLAAVLLKHFIKKHWHEGDESFEHPAVSSDEKAVIRGLLLSTLDDSNRKLCTAISMAIASIAVYDWPESWPDLLPFLLKLIGDQSDMNGVHGALRCLALLAGDLDDTMIPTLIPFLFPCLYTIVSSSQIYNKYLRSKALSIVYACISMLGDMRGVYQAETSALMEPMLKPWIDQFSFILEHPVQPEDPDDWGIRMEVFKCLNQFVQNFHSFTESEFMVIVGPLWQTFISSLRVYTRSAIEGTEDPYEGRYDSDGAEKSLDSFVIQLFEFLLTIVGSKKLVKVVETNIADLVYYTIGFLQVTEQQVHTWSMDANQFVADEDDATYSCRVSGSLLLEEVATCFGREGIDAILKAVRKQFSESQQEKAGGSVVWWRIREATLFALSSLSEQLLEAEVPGLGNLLEQMITEDMGIGVHEYPFLYARMFVSVARFSSMISCGILEHFLQAAIRTIGINVPPAVKVGACRALSQLLNEANKSVIQPQIMGLLSSLTDLLHQASDETLHLVLETLQAAIRAGHESSASAEPIISPIILNMWALHVSDPFVSIDAIEVLEAIKDAPGCIRPLASRILPYLGPILNKPQQQPDGLVAGSLDLLTMLLKNAPTDVVKAAYDVCFDAIIRIVLQSDDHSEMQNATECLASFVSGGRQEVLAWGSDSGFTMRNLLDAASRLLDPDLESSGSLFVGSYILQLILHLPSQMAQHIRDLIVALVRRMQSASIAGLKSSLLFIFARLVHMSSPNVEQFINLLITIPAEGYQNAFVYVMSEWTKQQGEIQGAYQIKVTASALALLLSTRHAELTNINVQGHLIKSVAGITTRSKAKSAPDQWTMVPLPAKILAVLADALIEIQEQVWDAKDEDSDWEEIHEGDMEAEKDLLYSAAATPFGRSANEHLEAMAKAYNEDQEDDYEDDMLSVSDPLNEINLANYLMDFILKFSQSDQQLFDYLCQSLTRAQQNAIKIVLNR >EOX97589 pep chromosome:Theobroma_cacao_20110822:2:2785362:2786977:1 gene:TCM_006573 transcript:EOX97589 gene_biotype:protein_coding transcript_biotype:protein_coding description:21 kDa seed protein, putative MGKGRNQTCPYDVVQERFDLRQGIPVIFSPVDTKDDGVIRESTDLNIKFIPSGPTACSQSTVSMMDSYDESRGHWFVTTGGVEGDPYALSSLFRIKGGVSYKLAYCPSVCDSCEQYLCKEIGKYSSGLDSQLRLVLKDNGWPLVFVKADDELLKQVVDHA >EOX97654 pep chromosome:Theobroma_cacao_20110822:2:2987176:2989294:1 gene:TCM_006623 transcript:EOX97654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesylated protein 6 isoform 1 MGALDHVSDLFDCSRSSSKLKKRKQLQTVEIKVKMDCEGCERKVKKSVEGMKGVTQVDVERKANKLTVVGYVDPAKVVARVAHRTGKKVELWPYVPYDVVAHPYAPGVYDKKAPAGYVRNAEDPQVSQLARASSTEVRYTTAFSDENPAACSVM >EOX97655 pep chromosome:Theobroma_cacao_20110822:2:2987227:2989122:1 gene:TCM_006623 transcript:EOX97655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesylated protein 6 isoform 1 MFLICLTVLVAVPSSRNVSSCRMIFSFRGDTSMVSRFGQCPGHGVCCLHSKAVFTCSQTVEIKVKMDCEGCERKVKKSVEGMKGVTQVDVERKANKLTVVGYVDPAKVVARVAHRTGKKVELWPYVPYDVVAHPYAPGVYDKKAPAGYVRNAEDPQVSQLARASSTEVRYTTAFSDENPAACSVM >EOX98476 pep chromosome:Theobroma_cacao_20110822:2:5900046:5902720:1 gene:TCM_007229 transcript:EOX98476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porin/voltage-dependent anion-selective channel protein, putative MGKSQQRKKKRKNTSKRQKQEPGPRPFSDYGKIANGLLTKGYSQDQRLSISTRSSNGVIFTSTAARPGRRSSPTTQIAASYKYGNASIDVNIDAKPSFSTTLTLGGKVLPSTYAKASLKFPDYNFSKLNLKFQHFFRNAALYISVGLNQSPVFSTTLTLGGKVLPSTYTKASLKFPDYNFSKLNLKFQHFFRNAALYISVDLNQSPVVMLSASIGTASIAFGMEAKYKAASHSFTQCDAGISVTKPSCDASIILAERGDLLRLAYVHHFGHLRKISAVAEVTRRLSKNKNTFAVGGSCIVDHLTTVKAKLNNQGKLQALLRHMINPNSWLTISGEFDTKALDKKPGIGLALALRL >EOX99025 pep chromosome:Theobroma_cacao_20110822:2:8137458:8140085:-1 gene:TCM_007654 transcript:EOX99025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKQILKVISLRTSDPKQGWDRLKEEYHGNDRNRQMRVLNFSKQFELMRMQEDKSIQTYTNKVLSLVNQLRISGEEMSERRVVNKMLVSLLERFKLKISSLEDLKDLTKISVNELVSALLAQEQKRAFRSEEPVKNAIVAKTKSL >EOY01179 pep chromosome:Theobroma_cacao_20110822:2:38083861:38085085:-1 gene:TCM_011115 transcript:EOY01179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIIYGDHYLNNTYKGGETRERGSVESDLLFLGLMKLVEEVVGVNLQNHKIKLHALFNHAIGVLRVIIRGDEDVNDEMDDDCEDDYVGERDDCLMGDINGDNDILDCNHADGSTEHARTVVLEAVQCDDHAITILLEDVEYDDPIYDNPITGENGNRSSNDSYQERVNTGVSHQWIILGVDMIYFQTVAIEESRSIDNHL >EOY01084 pep chromosome:Theobroma_cacao_20110822:2:37509985:37512669:1 gene:TCM_011034 transcript:EOY01084 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative MAATMNGEPQYDRTEEVKRFDESKIGVKGLVDSGLTSVPRFFIHPPETLSDLKPKTKPESVVIPAIDLSGPRSTVVEQVASASRSFGFFQIVNHGIPVQVLDRTIGSIRAFHEQPTDVKARFYRRDTGTGVSFISNIDLFHSKAASWRDTLQIKLGPTLAELEEIPEVCRDEAVEWNKESRALGERLMGLLSEGLGLDTDRLKNTTCLEARLMVGHYYPCCPQPDMTVGLSSHTDPGVLTVLLQDHIGGLQIKHEGEWVDVKPVPGALVINIADILQILSNDEYTSVEHRVLANPSHEPRVSVAVFFNASARDALYGPFPELTSPGKPALYRQFTYNDYMRRFFTKELDGKTLTNYYRL >EOX98236 pep chromosome:Theobroma_cacao_20110822:2:5000539:5007869:-1 gene:TCM_007044 transcript:EOX98236 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD54 isoform 2 MARETQSTSSMEEEEEEEIFSDSDPSYSSDEYTVDRQEEEEEANDHDDDGDGGQSTAHHPPSDQDLKSKNVDALLRGNLIVRRQPLLPRVLSVTEGAAVCRKPFKLPCSNGYGNGNEQLARRLWARKRFVPWGSSRPALVAITNRLDVNRTDGTDVVEEIVTLPPGVDPLVLWQPEESEDGPNNLVPIAVDPLLVRFLRPHQREGVQFMFECVSGLYSAANIYGCILADDMGLGKTLQSIALLYTLLRQGFDGKPMVKKAIIVTPTSLVSNWEAEINKWVGERVQLIALCESSRDDVVCGIDSFTSPCSSLQVLIVSYETFRMHSSKFCQSESCDLLICDEAHRLKNDQTITNRALAALSCKRRILLSGTPMQNDLEEFFAMVNFTNQGILGDVAYFRRYYEAPIICGREPTASEEEKMLASERSSELSAKVNQFILRRTNVLLSNHLPPKIVEVVCCKLTPLQSELYNHFIHSKNVKRAITEEAKQSKILAYITALKKLCNHPKLIYDTIRSGSPGTTGFEDCMRFFPPEMFSGRSGSWTGGDGAWVELSGKMHVLARLLAHLRQRTDDRIVLVSNYTQTLDLFAQLCRERRYPYLRLDGTTSISKRQKLVNRFNDPTKDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKLLQGFGEMGKKREFTSTDS >EOX98235 pep chromosome:Theobroma_cacao_20110822:2:4999599:5008020:-1 gene:TCM_007044 transcript:EOX98235 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD54 isoform 2 MARETQSTSSMEEEEEEEIFSDSDPSYSSDEYTVDRQEEEEEANDHDDDGDGGQSTAHHPPSDQDLKSKNVDALLRGNLIVRRQPLLPRVLSVTEGAAVCRKPFKLPCSNGYGNGNEQLARRLWARKRFVPWGSSRPALVAITNRLDVNRTDGTDVVEEIVTLPPGVDPLVLWQPEESEDGPNNLVPIAVDPLLVRFLRPHQREGVQFMFECVSGLYSAANIYGCILADDMGLGKTLQSIALLYTLLRQGFDGKPMVKKAIIVTPTSLVSNWEAEINKWVGERVQLIALCESSRDDVVCGIDSFTSPCSSLQVLIVSYETFRMHSSKFCQSESCDLLICDEAHRLKNDQTITNRALAALSCKRRILLSGTPMQNDLEEFFAMVNFTNQGILGDVAYFRRYYEAPIICGREPTASEEEKMLASERSSELSAKVNQFILRRTNVLLSNHLPPKIVEVVCCKLTPLQSELYNHFIHSKNVKRAITEEAKQSKILAYITALKKLCNHPKLIYDTIRSGSPGTTGFEDCMRFFPPEMFSGRSGSWTGGDGAWVELSGKMHVLARLLAHLRQRTDDRIVLVSNYTQTLDLFAQLCRERRYPYLRLDGTTSISKRQKLVNRFNDPTKDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQVDSLMAQGNFFSTEDLRDLFTFYDNVRSEIHEKMNCNRCENYDTGPENIGEQEQYDSKNGSSASDQEVFDIGGFAGLAGCLDKLKSSEKQVGTPLEEDLVSWGHHFRSESVPDAILQASAGDEVTFVFTNQVDGKLVPIESKVNPRMQEKEGNKSQNIGKANVLKREGTKIQKAANQNLDSKSSFLSKHHNLLNSVSSTRNQNSLRVTPVTSSTPFQGQIVKSMRSSLRGELHETIKGELSLGNQLPLKRSSPASVEHDDDFQ >EOX98239 pep chromosome:Theobroma_cacao_20110822:2:5002840:5007869:-1 gene:TCM_007044 transcript:EOX98239 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD54 isoform 2 MARETQSTSSMEEEEEEEIFSDSDPSYSSDEYTVDRQEEEEEANDHDDDGDGGQSTAHHPPSDQDLKSKNVDALLRGNLIVRRQPLLPRVLSVTEGAAVCRKPFKLPCSNGYGNGNEQLARRLWARKRFVPWGSSRPALVAITNRLDVNRTDGTDVVEEIVTLPPGVDPLVLWQPEESEDGPNNLVPIAVDPLLVRFLRPHQREGVQFMFECVSGLYSAANIYGCILADDMGLGKTLQSIALLYTLLRQGFDGKPMVKKAIIVTPTSLVSNWEAEINKWVGERVQLIALCESSRDDVVCGIDSFTSPCSSLQVLIVSYETFRMHSSKFCQSESCDLLICDEAHRLKNDQTITNRALAALSCKRRILLSGTPMQNDLEEFFAMVNFTNQGILGDVAYFRRYYEAPIICGREPTASEEEKMLASERSSELSAKVNQFILRRTNVLLSNHLPPKIVEVVCCKLTPLQSELYNHFIHSKNVKRAITEEAKQSKILAYITALKKLCNHPKLIYDTIRSGSPGTTGFEDCMRFFPPEMFSGRSGSWTGGDGAWVELSGKMHVLARLLAHLRQRTDDRIVLVSNYTQTLDLFAQLCRERRYPYLRLDGTTSISKRQKLVNRFNDPTKDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQVISFLSSDYF >EOX98237 pep chromosome:Theobroma_cacao_20110822:2:5001733:5007748:-1 gene:TCM_007044 transcript:EOX98237 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD54 isoform 2 MARETQSTSSMEEEEEEEIFSDSDPSYSSDEYTVDRQEEEEEANDHDDDGDGGQSTAHHPPSDQDLKSKNVDALLRGNLIVRRQPLLPRVLSVTEGAAVCRKPFKLPCSNGYGNGNEQLARRLWARKRFVPWGSSRPALVAITNRLDVNRTDGTDVVEEIVTLPPGVDPLVLWQPEESEDGPNNLVPIAVDPLLVRFLRPHQREGVQFMFECVSGLYSAANIYGCILADDMGLGKTLQSIALLYTLLRQGFDGKPMVKKAIIVTPTSLVSNWEAEINKWVGERVQLIALCESSRDDVVCGIDSFTSPCSSLQVLIVSYETFRMHSSKFCQSESCDLLICDEAHRLKNDQTITNRALAALSCKRRILLSGTPMQNDLEEFFAMVNFTNQGILGDVAYFRRYYEAPIICGREPTASEEEKMLASERSSELSAKVNQFILRRTNVLLSNHLPPKIVEVVCCKLTPLQSELYNHFIHSKNVKRAITEEAKQSKILAYITALKKLCNHPKLIYDTIRSGSPGTTGFEDCMRFFPPEMFSGRSGSWTGGDGAWVELSGKMHVLARLLAHLRQRTDDRIVLVSNYTQTLDLFAQLCRERRYPYLRLDGTTSISKRQKLVNRFNDPTKDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQVDSLMAQGNFFSTEDLRDLFTFYDNVRSEIHEKMNCNRCENYDTGPENIGEQEQYDSKNGSSASDQEVFDIGGFAGLAGCLDKLKSSEKQVWLLLS >EOX98238 pep chromosome:Theobroma_cacao_20110822:2:5000450:5007701:-1 gene:TCM_007044 transcript:EOX98238 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD54 isoform 2 EEIFSDSDPSYSSDEYTVDRQEEEEEANDHDDDGDGGQSTAHHPPSDQDLKSKNVDALLRGNLIVRRQPLLPRVLSVTEGAAVCRKPFKLPCSNGYGNGNEQLARRLWARKRFVPWGSSRPALVAITNRLDVNRTDGTDVVEEIVTLPPGVDPLVLWQPEESEDGPNNLVPIAVDPLLVRFLRPHQREGVQFMFECVSGLYSAANIYGCILADDMGLGKTLQSIALLYTLLRQGFDGKPMVKKAIIVTPTSLVSNWEAEINKWVGERVQLIALCESSRDDVVCGIDSFTSPCSSLQVLIVSYETFRMHSSKFCQSESCDLLICDEAHRLKNDQTITNRALAALSCKRRILLSGTPMQNDLEEFFAMVNFTNQGILGDVAYFRRYYEAPIICGREPTASEEEKMLASERSSELSAKVNQQFILRRTNVLLSNHLPPKIVEVVCCKLTPLQSELYNHFIHSKNVKRAITEEAKQSKILAYITALKKLCNHPKLIYDTIRSGSPGTTGFEDCMRFFPPEMFSGRSGSWTGGDGAWVELSGKMHVLARLLAHLRQRTDDRIVLVSNYTQTLDLFAQLCRERRYPYLRLDGTTSISKRQKLVNRFNDPTKDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKLLQGFGEMGKKREFTSTDS >EOY01078 pep chromosome:Theobroma_cacao_20110822:2:37414023:37415290:-1 gene:TCM_011021 transcript:EOY01078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral to membrane, endoplasmic reticulum MALEWVVLGYAAGAEAIMVLLLTIPGLDGLRKGLIAVTRNLLKPFLSVVPFCLFLLMDIYWKYETSPHCEGDSCSPSEHLRHQKSIMKSQRNALLIAAALIFYWLLYSVTNLVVKIEQLNQRVERLKNRD >EOX99806 pep chromosome:Theobroma_cacao_20110822:2:16741771:16743812:-1 gene:TCM_008761 transcript:EOX99806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDCFYFKENGMENDEVVRRPEKAKELRLRTISQSSPEIRIFDTYELNVNFKRVMPVRVGNVYHYFDSKS >EOX99390 pep chromosome:Theobroma_cacao_20110822:2:10952949:10956841:-1 gene:TCM_008074 transcript:EOX99390 gene_biotype:protein_coding transcript_biotype:protein_coding description:GCR2-like 2 isoform 1 MADRFFPNVLPDFVSETTEQKEEVGDTLMKLLSMPYSSLSQHFKRAALDLKETVTLDTWGLTGQKVSDFTLYCGTLGTAFLLFKSYQLANNTNDLSLCLAIVDACNSASFSSRDVTFLCGRAGVCALGAVAAKHAGNQELLDYYLSQFKEIKLSSNLPDELLYGRAGFLWACVFLNKHLGEGTIPSTTTRAVVDEVIKNGRQLAKKGGGSPLMFEFYGEKYWGAAHGLAGIMHVLMDMELEPDEIMDVKGTLKYMIRNRFPSGNYPASEQDRKRDVLVHWCHGAPGIALTLVKAAEVFGDDEFLEAAVDAAEVVFNRGLLKRVGICHGISGNAYVFLSLYRKTGNVEFLYRAKAFACFLLDRAHKLISKGEMHGGDRPYSLFEGIGGMAYLFLDMIEPLGARFPAYEF >EOX99391 pep chromosome:Theobroma_cacao_20110822:2:10953429:10955178:-1 gene:TCM_008074 transcript:EOX99391 gene_biotype:protein_coding transcript_biotype:protein_coding description:GCR2-like 2 isoform 1 MADRFFPNVLPDFVSETTEQKEEVGDTLMKLLSMPYSSLSQHFKRAALDLKETVTLDTWGLTGQKVSDFTLYCGTLGTAFLLFKSYQLANNTNDLSLCLAIVDACNSASFSSRDVTFLCGRAGVCALGAVAAKHAGNQELLDYYLSQFKEIKLSSNLPDELLYGRAGFLWACVFLNKHLGEGTIPSTTTRAVVDEVIKNGRQLAKKGGGSPLMFEFYGEKYWGAAHGLAGIMHVLMDMELEPDEIMDVKGTLKYMIRNRFPSGNYPASEQDRKRDVLVHWCHGAPGIALTLVKAAELEAAVDAAEVVF >EOX99392 pep chromosome:Theobroma_cacao_20110822:2:10952898:10956841:-1 gene:TCM_008074 transcript:EOX99392 gene_biotype:protein_coding transcript_biotype:protein_coding description:GCR2-like 2 isoform 1 MLATPLPFLLAAKHAGNQELLDYYLSQFKEIKLSSNLPDELLYGRAGFLWACVFLNKHLGEGTIPSTTTRAVVDEVIKNGRQLAKKGGGSPLMFEFYGEKYWGAAHGLAGIMHVLMDMELEPDEIMDVKGTLKYMIRNRFPSGNYPASEQDRKRDVLVHWCHGAPGIALTLVKAAEVFGDDEFLEAAVDAAEVVFNRGLLKRVGICHGISGNAYVFLSLYRKTGNVEFLYRAKAFACFLLDRAHKLISKGEMHGGDRPYSLFEGIGGMAYLFLDMIEPLGARFPAYEF >EOX97452 pep chromosome:Theobroma_cacao_20110822:2:2312918:2317546:-1 gene:TCM_006457 transcript:EOX97452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein isoform 3 MGAEGDSSESRLGGNGGEEEEGVMVNIRCSNGTKFTVRTSLDSTVASFKAVLAQNCDIPADQQRLIYKGRILKDDQTLQSYGLQADHSVHMVRGFAPSSSTPPAATTNVGTPNTTTGVTRGVGSNEGAGLGAPLFPGLNPLGGGGGGGGGLGLFGAGLPEFEQVQQQLTQNPNMMREIMNTPAIQGLMNNPELMRSLIMSNPQMREIIDRNPELGHILNDPSILRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMAGNSGNAPGSNPFAALLGNQGGSQARDSPNNTSTAGSDTTQGQTAPNTNPLPNPWSNTGGGGGGGTQTNTTARSNPAGDVRTPGIGGLGGLGLPDMPPMLNGMPDASHLTQMLQDPALSQMMQSILSNPQYMNQIMNLNPQLRGMFDLNPQLREMMQNPDILRQMFSPETMQCSKC >EOX97453 pep chromosome:Theobroma_cacao_20110822:2:2312599:2317560:-1 gene:TCM_006457 transcript:EOX97453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein isoform 3 MGAEGDSSESRLGGNGGEEEEGVMVNIRCSNGTKFTVRTSLDSTVASFKAVLAQNCDIPADQQRLIYKGRILKDDQTLQSYGLQADHSVHMVRGFAPSSSTPPAATTNVGTPNTTTGVTRGVGSNEGAGLGAPLFPGLNPLGGGGGGGGGLGLFGAGLPEFEQVQQQLTQNPNMMREIMNTPAIQGLMNNPELMRSLIMSNPQMREIIDRNPELGHILNDPSILRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMAGNSGNAPGSNPFAALLGNQGGSQARDSPNNTSTAGSDTTQGQTAPNTNPLPMFDLNPQLREMMQNPDILRQMFSPETMQQMLALQQSLLSHQLNRQQSTQDSAQPGATPGAPNTASLELLMNMFGGLGAGSLSVPNQPDVPPEELYATQLSQLQEMGFYDTQENIRALRATAGNVHAAVERLLGNSGQ >EOX97451 pep chromosome:Theobroma_cacao_20110822:2:2312778:2317757:-1 gene:TCM_006457 transcript:EOX97451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein isoform 3 MGAEGDSSESRLGGNGGEEEEGVMVNIRCSNGTKFTVRTSLDSTVASFKAVLAQNCDIPADQQRLIYKGRILKDDQTLQSYDCDTMTDVFVGLLYPCIRRKTNGRHGANLNVSLVTNPGTLSPRIITAVYVMLALEDNSIFRRVKVSLQADHSVHMVRGFAPSSSTPPAATTNVGTPNTTTGVTRGVGSNEGAGLGAPLFPGLNPLGGGGGGGGGLGLFGAGLPEFEQVQQQLTQNPNMMREIMNTPAIQGLMNNPELMRSLIMSNPQMREIIDRNPELGHILNDPSILRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMAGNSGNAPGSNPFAALLGNQGGSQARDSPNNTSTAGSDTTQGQTAPNTNPLPNPWSNTGGGGGGGTQTNTTARSNPAGDVRTPGIGGLGGLGLPDMPPMLNGMPDASHLTQMLQDPALSQMMQSILSNPQYMNQIMNLNPQLRGMFDLNPQLREMMQNPDILRQMFSPETMQQMLALQQSLLSHQLNRQQSTQDSAQPGATPGAPNTASLELLMNMFGGLGAGSLSVPNQPDVPPEELYATQLSQLQEMGFYDTQENIRALRATAGNVHAAVERLLGNSGQ >EOX98709 pep chromosome:Theobroma_cacao_20110822:2:6799395:6802674:-1 gene:TCM_007406 transcript:EOX98709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MVTHEEYKLSDSDYAIRIDLMTKVFGIDAAERYFEDLPPTAKTSETYTALLHCYAAAKLTEKAEELFERIKGLALPFSALTYNEIMTLYMSIGQLQKVSLVVEELKRHKVAPDIFTYNLWISSCAAALNIDQVKRILDEMRCDSGCNDGWVRYISLITVYVNASRLANAESSSPIETEKGITQREWITYDFLVMLYAGLGNKDKIDQIWKSLRMTKQKMTSRNYICISSSYLILGHSKEVGEVIDQWKQSNTTDFDISTCNRILSAFTEVGLTEKAHDLHLLLIQKNCSPTNEKSCIGV >EOX96911 pep chromosome:Theobroma_cacao_20110822:2:497620:500188:-1 gene:TCM_006052 transcript:EOX96911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine triad nucleotide-binding 2, 2 isoform 1 MISAQKSMAAFTSFSVLRDYARAGRIVARVRASPGISSYPSSINFLTANHSRRYLCRASATHDEEAAAKAAAINADSGVPTIFDKIIAKEIPSTIVYEDDKVLAFKDINPQAPVHVLVIPKFRDGLTQLGKAEPRHGEILGQLLYAAKIVAEKGGIVDGFRVVINNGPSACQSVYHLHLHVLGGRQMNWPPG >EOX96912 pep chromosome:Theobroma_cacao_20110822:2:497112:500208:-1 gene:TCM_006052 transcript:EOX96912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine triad nucleotide-binding 2, 2 isoform 1 MISAQKSMAAFTSFSVLRDYARAGRIVARVRASPGISSYPSSINFLTANHSRRYLCRASATHDEEAAAKAAAINADSGVPTIFDKIIAKEIPSTIVYEDDKVLAFKDINPQAPVHVLVIPKFRDGLTQLGKAEPRHGEILGQLLYAAKIVAEKGGIVDGFRVVINNGPSACQSVYHLHLHVLGGRQMNWPPG >EOX96913 pep chromosome:Theobroma_cacao_20110822:2:497658:500118:-1 gene:TCM_006052 transcript:EOX96913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine triad nucleotide-binding 2, 2 isoform 1 MISAQKSMAAFTSFSVLRDYARAGRIVARVRASPGISSYPSSINFLTANHSRRFDKIIAKEIPSTIVYEDDKVLAFKDINPQAPVHVLVIPKFRDGLTQLGKAEPRHGEILGQLLYAAKIVAEKGGIVDGFRVVINNGPSACQSVYHLHLHVLGGRQMNWPPG >EOY01846 pep chromosome:Theobroma_cacao_20110822:2:41126161:41127572:-1 gene:TCM_011650 transcript:EOY01846 gene_biotype:protein_coding transcript_biotype:protein_coding description:GCK domain-containing protein MSSPNPESTDSESPTIPSDSSTENNNPADPDPDQPNGESSNSGEGGEEEEGECGFCLFMKAGGCKESFVAWETCVDEAEKNGEDVAMKCMEATSALKKCMEAHADYYEPILKAEKAAQEEAIRELEKEKAAKDSEQNLESKEDLQKI >EOX98280 pep chromosome:Theobroma_cacao_20110822:2:5184294:5186270:1 gene:TCM_007080 transcript:EOX98280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARSELNLSWKFRGDKPSGTNINGGRREGNFKLVFLDLKMNRCELEVVGQRAAQSAALSRRILAIGFGAKRQ >EOY02152 pep chromosome:Theobroma_cacao_20110822:2:42150473:42159927:-1 gene:TCM_011876 transcript:EOY02152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function (DUF572) MSSLAAARADNFYYPPEWDPSQGSLNKFHGQHALRERARKIDQGILIIRFEMPFNVWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKSACCKHEIVIQTDPKNCEYVIVSGAQRKTEVFDVEDAETLELPADEERGKLADPFYRLEHQEEDLQKKKEAEPVLVRLQRVSDARHSDDYALNKALRAKLRSQKKRVVEEESASRKMGLSIRLLPATKEDAATASGVKFSSKFDRNRKDKRALIKAASIFPGSSGSFMSSNKKRLELESKRRKISAAAASNLLTGGFKPSSWSQNAVKKSASMTARKF >EOX99207 pep chromosome:Theobroma_cacao_20110822:2:9033888:9038734:1 gene:TCM_007804 transcript:EOX99207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWLSKNPSQLLPYIFYSINDSSNDGLVATNEKSGASKRSQAEGDLVTVNGIEGDGGELPSYNRERPQTHAKKVQNEANTSGSLLQLLKNKLEHP >EOX99446 pep chromosome:Theobroma_cacao_20110822:2:11302274:11302696:-1 gene:TCM_008129 transcript:EOX99446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQVYQGFDVLVNKVRLHEQKEVPHHLLGIVSSNVIFIIKKFRNFAIPVAKGIKVYFNFFVVPSIVIPKRKNSSKLS >EOX99789 pep chromosome:Theobroma_cacao_20110822:2:16389647:16392981:1 gene:TCM_008721 transcript:EOX99789 gene_biotype:protein_coding transcript_biotype:protein_coding description:F17L21.8, putative MRRQQQNHLHQDQQSRVFYELSALVLSLLRSPLTPMPFSDHSPAPARRPHPPSTSTSTTISPAGFAWLMLGISVSLMLCGSVTFFIGFMLMPWILCLVMVFYVAGIVSTVSMLGRSILCYAMAPPSPRKDIPAWKLL >EOX97740 pep chromosome:Theobroma_cacao_20110822:2:3263155:3264196:-1 gene:TCM_006675 transcript:EOX97740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVLLYPYNKHGSAIPLFLRQRRKKHSGKKIDIDLASDPLHCQGASMLPICKNPSAVMVARPSITTAFNNTPAPFGSVFATDDSLTIGPDPKSEGEFNGSYIGMFSRNPITQGEREVVVVGGRGKFRMAKGFFHCLRLTLQILPLAMPLWSVM >EOY01780 pep chromosome:Theobroma_cacao_20110822:2:40867936:40876155:1 gene:TCM_011601 transcript:EOY01780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Appr-1-p processing enzyme family protein isoform 2 MYRTVATATTRGGVPTDNGDSVVTLDQVPRWIDAEYRSSLEDENEDPSFSNSFFPDPLTSPGEESSSNGMVSRFPVDHEINSKIYLWRGQPWNLEVDAVVNSTNENLDEAHSSHGLHAAAGPGLAEECSTLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIDNGLRSIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKITAVVFCTSTSPDTEIYKRLLPLYFPRDKHEEEVAMSKLPADVGDENGETIIDERKIRIKPLPKKAIPKPPQAPVELPVSDVGLVRRNSNYLDTYLDPAFMSLIKDPDQRRQEQWKKNAQAQGGWNCAKMLGFGDLGGPPLSAAEEYSLHSRYLAKANSLNLSEIAEMKIVYRGGVDSEGHPVMVVVGAHFLLRCLELERFILYVIKEFEPLMQKPYTIVYFHSAASLQIQPDLGWMRRLQQILGRKHQRNLHAIYVLHPTFHLKAAIFALQMFVDNVVWKKVVYADRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >EOY01781 pep chromosome:Theobroma_cacao_20110822:2:40868012:40875806:1 gene:TCM_011601 transcript:EOY01781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Appr-1-p processing enzyme family protein isoform 2 MYRTVATATTRGGVPTDNGDSVVTLDQVPRWIDAEYRSSLEDENEDPSFSNSFFPDPLTSPGEESSSNGMVSRFPVDHEINSKIYLWRGQPWNLEVDAVVNSTNENLDEAHSSHGLHAAAGPGLAEECSTLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIDNGLRSIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKITAVVFCTSTSPDTEIYKRLLPLYFPRDKHEEEVAMSKLPADVGDENGETIIDERKIRIKPLPKKAIPKPPQAPVELPVSDVGLVRRNSNYLDTYLDPAFMSLIKDPDQRRQEQWKKNAQAQGGWNCAKMLGFGDLGGPPLSAAEEYSLHSRYLAKANSLNLSEIAEMKIVYRGGVDSEGHPVMVVVGAHFLLRCLELERFILYVIKEFEPLMQKPYTIVYFHSAASLQIQPDLGWMRRLQQILGRKHQRNLHAIYVLHPTFHLKAAIFALQMFVDNVVWKKVVYADRLLQLFRYVPRTI >EOX99315 pep chromosome:Theobroma_cacao_20110822:2:10102665:10107969:-1 gene:TCM_007946 transcript:EOX99315 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase B4 MRGYTFYAKVSRVFQTYPSLSKLLVVSTVGGGSLLAFSDDRPFQRVSNDGGQKPECNKKKKVVVLGTGWAATSFLKNLKSDSYEVQVVSPRNYFAFTPLLPSVTSGTVEARSVVEPIRNIVKKRGYQIDFKEAECLKIDASKKILHCKSAQATNLGGKEEFTVDYDILIIAVGAQVNTFNTPGVSEYAHFLKEVEDAQKLRNTVIDCFERASLPSVSDEERKRILHFVVVGGGPVGVEFAAELHDIVVQDISKLYPSVSNLAKITLLEAGDHILNMYDKRITQFAETKFQRDGIDLKTGSMVTKVSEKDVSSKDRATGQCSTLPYGLVVWSTGIAPRPVILDFMKQIGQGSRRVLATDEWLRVEGCDNVYALGDCATINQRKVMEDISAIFGQAKTNDAGHLNKQEFREVIGDITERYPQVELYLKKSKVKNMVALLDKYEGEIDIEKFEKALSEVDTQMKNLPATAQVAAQQGEYLAKCFNRMEKCEMYPEGPRRFRTSGQHRFHPFRYKHFGQFAPLGGEQTAAQLPGDWVSIGHSTQWLWYSVYASKLVSWRTRMLVVSDWFRRYIFGRDTSRI >EOY00341 pep chromosome:Theobroma_cacao_20110822:2:31469222:31475576:1 gene:TCM_010193 transcript:EOY00341 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein isoform 1 MGFTSTLPLYSHTKIRPSKQSLRFEPLASDSGGRLVPYTTSFGTWRCYSRSKRLTVKAAYGAEGGARRRIYRQSQAEQPTSSAPVKQVASFVVPAGVFVAATFVLWKVVEKLLMPKPSRSSSVENKSPSQGLKWSFAPGTNLLSGFAAKIDRQSKQTLNEFAKELRAFSSVDMSGRNFGDEGLFFLAESLGYNKIVEEVSFAANGITAAGIKALDGVLQANIVLKTLNLSGNPIGDEGVKCLCDILVNNASIQKLQLNSVDLGDEGAKAIAELLKKNSTLRVLELNNNMIDYSGFTSLAGAFLENNTVRNLHLNGNYGGALGANALAKGLEGNKSLRELHLHGNSIGDEGVRSLLLGLSSHKGKITLLDLGNNSITAKGAFHVAEYIKKSKSLLWVNLYMNDIGDEGADKIADALKQNRAITTIDLGGNNIHAKGVSVIAEALKDNTIITNLELGYNPIGPDGAKALSEVLKFHGNVKTLKLGWCQIGPKGAEFIADMLRYNNTISMLDLRANGLKDEGAACLARSLKVVNEALTSLDLGFNEIRDDGAFAIAQALKANADVTVTSLNLASNFLTKFGQSALTDARDHVYEMSEREVNIFF >EOY00342 pep chromosome:Theobroma_cacao_20110822:2:31469327:31474314:1 gene:TCM_010193 transcript:EOY00342 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein isoform 1 MGFTSTLPLYSHTKIRPSKQSLRFEPLASDSGGRLVPYTTSFGTWRCYSRSKRLTVKAAYGAEGGARRRIYRQSQAEQPTSSAPVKQVASFVVPAGVFVAATFVLWKVVEKLLMPKPSRSSSVENKSPSQGLKWSFAPGTNLLSGFAAKIDRQSKQTLNEFAKELRAFSSVDMSGRNFGDEGLFFLAESLGYNKIVEEVSFAANGITAAGIKALDGVLQANIVLKTLNLSGNPIGDEGVKCLCDILVNNASIQKLQLNSVDLGDEGAKAIAELLKKNSTLRVLELNNNMIDYSGFTSLAGAFLENNTVRNLHLNGNYGGALGANALAKGLEGNKSLRELHLHGNSIGDEGVRSLLLGLSSHKGKITLLDLGNNSITAKGAFHVAEYIKKSKSLLWVNLYMNDIGDEGADKIADALKQNRAITTIDLGGNNIHAKGVSVIAEALKDNTIITNLELGYNPIGPDGAKALSEVLKFHGNVKTLKLGWCQIGPKGAEFIADMLRYNNTISMLDLRANGLKDEVCTCR >EOY00343 pep chromosome:Theobroma_cacao_20110822:2:31469149:31474113:1 gene:TCM_010193 transcript:EOY00343 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein isoform 1 MGFTSTLPLYSHTKIRPSKQSLRFEPLASDSGGRLVPYTTSFGTWRCYSRSKRLTVKAAYGAEGGARRRIYRQSQAEQPTSSAPVKQVASFVVPAGVFVAATFVLWKVVEKLLMPKPSRSSSVENKSPSQGLKWSFAPGTNLLSGFAAKIDRQSKQTLNEFAKELRAFSSVDMSGRNFGDEGLFFLAESLGYNKIVEEVSFAGAKAIAELLKKNSTLRVLELNNNMIDYSGFTSLAGAFLENNTVRNLHLNGNYGGALGANALAKGLEGNKSLRELHLHGNSIGDEGVRSLLLGLSSHKGKITLLDLGNNSITAKGAFHVAEYIKKSKSLLWVNLYMNDIGDEGADKIADALKQNRAITTIDLGGNNIHAKGVSVIAEALKDNTIITNLELGYNPIGPDGAKALSEVLKFHGNVKTLKLGWCQ >EOX99000 pep chromosome:Theobroma_cacao_20110822:2:8055766:8057201:1 gene:TCM_007643 transcript:EOX99000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFSEAWKDHCLATPSSRSSVFTTISYFLFVFLVKPFTTISASYYLHLKIKIFKKRKIKTLEWYIPFIQMRFTATKPDQNNLLITSTVLYQKF >EOX98015 pep chromosome:Theobroma_cacao_20110822:2:4265816:4268620:-1 gene:TCM_006881 transcript:EOX98015 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 4 MSSQENSSSNGVQIRHSRRLPDFLQSVNLKYVKLGYHYLISHLLTLCLVPLMAVIIVEASRLNLDDIHQLWLQLQYNLVSVVVFSAILVFGSTVYIMTRPRSVFMVDYSCYLPPPHLKVKYQQFIEHSALTGDFDESSLEFQRKILERSGLGEETCVPEAMHYLPPRPSMAAAREEAEQVMFGALDNLFANTNVKPRDIGILVVNCSLFNPTPSLSAMIINKYKFRGNIRSFNLGGMGCSAGVIAVDLAKDMLQVHRNSYAIVVSTENITQNWYFGNKKSMLIPNCLFRVGGAAVLLSNKSADRRRSKYKLVHVVRTHCGANDKAFKCVYQEQDNAGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQILFFVTLVAKKLFNAKIKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPVHAEASRMTLHRFGNTSSSSIWYELAYTEAKGRMRKGNRVWQIAFGSGFKCNSAVWVAIRNVKPSPNNPWEECIHRYPVQLAL >EOY00104 pep chromosome:Theobroma_cacao_20110822:2:25721442:25733058:1 gene:TCM_009598 transcript:EOY00104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein-related isoform 2 MMVEFLPWLSSSISSDEHQDMHKCLSKIIPKEKLLQQVVFTWMEGVKMAGKCKSCKDDSEARCEASGTSVLLSQIESGHCACESSKSGKRKYMELSSSPKDSTLSCPIDEIMLWHNAIRRELNDIAESAKKIQLSGDFSDLSGFNKRLQFIAEVCIFHSIAEDRVIFPAVDAELSFAQEHAEEEIQFNKLRCLIENIQSVGANSSSAEFYVKLCSQADQIMDSIQKHFHNEEVQVLPLARKHFSPQRQRELLYQSLCVMPLKLIECVLPWLVGSLSEEEARSFLQNVYLAAPPSNSALVTLFSGWACKGHSADVCLFSGAIGGCPARILTRTLKDIDQPLCACTSICSTEERPLCVQADENRRLVKRGNLLSSEESDSLQLTGRINSHKLSCSNQSCCVPALGVNSSKLGMSSLATAKSLRSLSFTPSAPSLNSSLFNWETDISSSNVGTLRPIDNIFKFHKAIRKDLEYLDVESGKLNDCNETFLRQFIGRFRLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEERLFEDISSALSEITQLCKCLNNINVYDNLNETNSVCSEQNDTMRKYNEKATKLQGMCKSIRVTLDQHVFREELELWPLFDRHFSVEEQDKIVGRIIGTTGAEVLQSMLPWVTSALTQEEQNKMMDTWKQATKNTMFSEWLNEWWEGSPAASSPTSTSESCISLGTDVHESLDQSDLTFKPGWKDIFRMNQNELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQATAVEGSNGEDLLGFSPSFRDTEKQEFGCEHYKRNCKLRAACCGKLYTCRFCHDKVSDHSMDRKATTEMMCMSCLKIQPVGPVCTTPSCDGLSMAKYYCSICKFFDDERTVYHCPFCNLCRVGKGLGDDFFHCMLCNCCLAKKLVDHKCREKGLETNCPICCDFLFTSSESVRALPCGHFMHSACFQAYACSHYICPICSKSMGDMAVYFGMLDALLASEQLPEEYRNRCQDVLCNDCDKKGSAPFHWLYHKCGYCGSYNTRVIKVDSANANCSTSNA >EOY00103 pep chromosome:Theobroma_cacao_20110822:2:25721495:25733210:1 gene:TCM_009598 transcript:EOY00103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein-related isoform 2 MATPFSTLEAGGGGVAVMAGPLNPIDSSAPSKSCLKSSASKSPILIFLFFHKAIKAELDGLHRAAMAFATNHHDADLTSLLERYHFLRAIYKHHCHAEDEVIFPALDIRVKNVAPTYSLEHEGESVLFDQLFALLNSDMQNEESYRRELASCTGALQTSITQHMSKEEEQVFPLLIEKFTFEEQASLVWQFLCSIPVNMMVEFLPWLSSSISSDEHQDMHKCLSKIIPKEKLLQQVVFTWMEGVKMAGKCKSCKDDSEARCEASGTSVLLSQIESGHCACESSKSGKRKYMELSSSPKDSTLSCPIDEIMLWHNAIRRELNDIAESAKKIQLSGDFSDLSGFNKRLQFIAEVCIFHSIAEDRVIFPAVDAELSFAQEHAEEEIQFNKLRCLIENIQSVGANSSSAEFYVKLCSQADQIMDSIQKHFHNEEVQVLPLARKHFSPQRQRELLYQSLCVMPLKLIECVLPWLVGSLSEEEARSFLQNVYLAAPPSNSALVTLFSGWACKGHSADVCLFSGAIGGCPARILTRTLKDIDQPLCACTSICSTEERPLCVQADENRRLVKRGNLLSSEESDSLQLTGRINSHKLSCSNQSCCVPALGVNSSKLGMSSLATAKSLRSLSFTPSAPSLNSSLFNWETDISSSNVGTLRPIDNIFKFHKAIRKDLEYLDVESGKLNDCNETFLRQFIGRFRLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEERLFEDISSALSEITQLCKCLNNINVYDNLNETNSVCSEQNDTMRKYNEKATKLQGMCKSIRVTLDQHVFREELELWPLFDRHFSVEEQDKIVGRIIGTTGAEVLQSMLPWVTSALTQEEQNKMMDTWKQATKNTMFSEWLNEWWEGSPAASSPTSTSESCISLGTDVHESLDQSDLTFKPGWKDIFRMNQNELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQATAVEGSNGEDLLGFSPSFRDTEKQEFGCEHYKRNCKLRAACCGKLYTCRFCHDKVSDHSMDRKATTEMMCMSCLKIQPVGPVCTTPSCDGLSMAKYYCSICKFFDDERTVYHCPFCNLCRVGKGLGDDFFHCMLCNCCLAKKLVDHKCREKGLETNCPICCDFLFTSSESVRALPCGHFMHSACFQAYACSHYICPICSKSMGDMAVYFGMLDALLASEQLPEEYRNRCQDVLCNDCDKKGSAPFHWLYHKCGYCGSYNTRVIKVDSANANCSTSNA >EOX98607 pep chromosome:Theobroma_cacao_20110822:2:6362733:6366461:-1 gene:TCM_007315 transcript:EOX98607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter CorA-like family protein isoform 3 MDLGQEELAGDGEMEELVRASSADNPLRENHLQRTHFPGMVRQKAYIFDGLGNYYNKEWDLAEGGGKEFCWYHVELPKGNQKLSQSAQYLIDVLCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPPSSNFTFRLAARVTENSVITVSLGRVPRLGFSPVGQSLLSEIPSVESPSYHRGERKEGGGIVIREHVLEFLLTMNHSEEADNPVPKSVSNLVVHIIDTHVDHLQDVVTKLEMELDSVELELDRGGFALKKQMLDDRRFPKMHLNLQRLLQVIAHGEQVYPRVKEKCSSKNWFASEDISSLEELIGRLRRLKENVGFLANRVTAIQAGLDSWQSEQINRKLYYLSFLSIIFLPLSVITGVFGMNVGGVPWTVQKDPALNDGFRNVMIICVAMLLLVLLCFIFPALYTRLTAWHRRRSLRRSWSHNRRSFLKRTIGIQERGGYLRI >EOX98609 pep chromosome:Theobroma_cacao_20110822:2:6363630:6365821:-1 gene:TCM_007315 transcript:EOX98609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter CorA-like family protein isoform 3 MDLGQEELAGDGEMEELVRASSADNPLRENHLQRTHFPGMVRQKAYIFDGLGNYYNKEWDLAEGGGKEFCWYHVELPKGNQKLSQSAQYLIDVLCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPPSSNFTFRLAARVTENSVITVSLGRVPRLGFSPVGQSLLSEIPSVESPSYHRGERKEGGGIVIREHVLEFLLTMNHSEEADNPVPKSVSNLVVHIIDTHVDHLQDVVTKLEMELDSVELELDRGGFALKKQMLDDRRFPKMHLNLQRLLQVIAHGEQVYPRVKEKCSSKNWFASEDISSLEELIGRLRRLKENVGFLANRVTAIQAGLDSWQSEQINRKLYYLSFLSIIFLPLSVITGGNNLIFVHLSLIIGALIC >EOX98608 pep chromosome:Theobroma_cacao_20110822:2:6362590:6366544:-1 gene:TCM_007315 transcript:EOX98608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter CorA-like family protein isoform 3 MDLGQEELAGDGEMEELVRASSADNPLRENHLQRTHFPGMVRQKAYIFDGLGNYYNKEWDLAEGGGKEFCWYHVELPKGNQKLSQSAQYLIDVLCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPPSSNFTFRLAARVTENSVITVSLGRVPRLGFSPVGQSLLSEIPSVESPSYHRGERKEGGGIVIREHVLEFLLTMNHSEEADNPVPKSVSNLVVHIIDTHVDHLQDVVTKLEMELDSVELELDRGGFALKKQMLDDRRFPKMHLNLQRLLQVIAHGEQVYPRVKEKCSSKNWFASEDISSLEELIGRLRRLKENVGFLANRVTAIQAGLDSWQSEQINRKLYYLSFLSIIFLPLSVITGVFGMNVGGVPWTVQKDPALNDGFRNVMIICVAMLLLVLLCFIFPALYTRLTAWHRRRSLRRSWSHNRRSFLKRTIGIQERGGYLRI >EOX97948 pep chromosome:Theobroma_cacao_20110822:2:4065038:4070428:1 gene:TCM_006841 transcript:EOX97948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MSGPLDRFARPCFEGFSGSDERRERKSDFENSEDERRTRIGSLKKKAINASTRFKHSLKKKSSRRKSDGRVSSVSIEDVRDVEELQAVDQFRQALIMEELLPEKHDDYHMMLRFLKARKFDIDKAKHMWADMLQWRKEFVADTITEDFEFKELNEVLRYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVREFEKAFTVKFPACTIAAKRHIDSSTTILDVHGVGFKNFTKSARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKTFLDPKTTSKIHVLGNKYQSKLLEIIDASELPEFLGGSCTCVEQGGCLRSDKGPWKNPEILKMVLNGEARRARQVVKVLNSDGKVIAFAKPQYPMLKGSDTSTAESGSEAEDIASPKAMKSYSHLRLTPVREEAKVVGKTNYAANFSGYDEYVPMVDKAVDSSWKKQTALLRPSASKGTLMLPETPKTPEDIRARILVTVMAFFMTLYTLLRSVACHITKKLPDTVSDHCQNVTQLTPDATQKEEFRPPSPTPSFTQADLLSSVLKRLGELEEKVDTLQAKPSEMPYEKEELLNAAVCRVDALEAELIATKKALHEALMRQEELLAYIDSQVEAKLRKKKFCW >EOX97950 pep chromosome:Theobroma_cacao_20110822:2:4065449:4070045:1 gene:TCM_006841 transcript:EOX97950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MSGPLDRFARPCFEGFSGSDERRERKSDFENSEDERRTRIGSLKKKAINASTRFKHSLKKKSSRRKSDGRVSSVSIEDVRDVEELQAVDQFRQALIMEELLPEKHDDYHMMLRFLKARKFDIDKAKHMWADMLQWRKEFVADTITEDFEFKELNEVLRYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVREFEKAFTVKFPACTIAAKRHIDSSTTILDVHGVGFKNFTKSARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKTFLDPKTTSKIHVLGNKYQSKLLEIIDASELPEFLGGSCTCVEQGGCLRSDKGPWKNPEILKMVLNGEARRARQVVKVLNSDGKVIAFAKPQYPMLKGSDTSTAESGSEAEDIASPKAMKSYSHLRLTPVREEAKVVGKTNYAANFSGYDEYVPMVDKAVDSSWKKQTALLRPSASKGTLMLPETPKTPEDIRARILVTVMAFFMTLYTLLRSVACHITKKLPDTVSDHCQNVTQLTPDATQKEEFRPPSPTPSFTQADLLSSVLKRLGELEEKVDTLQAKPSEMPYEKEELLNAAVCRVDALEAELIATKKALHEALMRQEELLAYIDSQVEAKLRVLYFIGFTIVYVTFIVVMLNF >EOX97949 pep chromosome:Theobroma_cacao_20110822:2:4065490:4071093:1 gene:TCM_006841 transcript:EOX97949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MSGPLDRFARPCFEGFSGSDERRERKSDFENSEDERRTRIGSLKKKAINASTRFKHSLKKKSSRRKSDGRVSSVSIEDVRDVEELQAVDQFRQALIMEELLPEKHDDYHMMLRFLKARKFDIDKAKHMWADMLQWRKEFVADTITEDFEFKELNEVLRYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVREFEKAFTVKFPACTIAAKRHIDSSTTILDVHGVGFKNFTKSARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKTFLDPKTTSKIHVLGNKYQSKLLEIIDASELPEFLGGSCTCVEQGGCLRSDKGPWKNPEILKMVLNGEARRARQVVKVLNSDGKVIAFAKPQYPMLKGSDTSTAESGSEAEDIASPKAMKSYSHLRLTPVREEAKVVGKTNYAANFSGYDEYVPMVDKAVDSSWKKQTALLRPSASKGTLMLPETPKTPEDIRARILVTVMAFFMTLYTLLRSVACHITKKLPDTVSDHCQNVTQLTPDATQKEEFRPPSPTPSFTQADLLSSVLKRLGELEEKVDTLQAKPSEMPYEKEELLNAAVCRVDALEAELIATKKALHEALMRQEELLAYIDSQVEAKLRKKKFCW >EOX97951 pep chromosome:Theobroma_cacao_20110822:2:4065451:4069672:1 gene:TCM_006841 transcript:EOX97951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MSGPLDRFARPCFEGFSGSDERRERKSDFENSEDERRTRIGSLKKKAINASTRFKHSLKKKSSRRKSDGRVSSVSIEDVRDVEELQAVDQFRQALIMEELLPEKHDDYHMMLRFLKARKFDIDKAKHMWADMLQWRKEFVADTITEDFEFKELNEVLRYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVREFEKAFTVKFPACTIAAKRHIDSSTTILDVHGVGFKNFTKSARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKTFLDPKTTSKIHVLGNKYQSKLLEIIDASELPEFLGGSCTCVEQGGCLRSDKGPWKNPEILKMVLNGEARRARQVVKVLNSDGKVIAFAKPQYPMLKGSDTSTAESGSEAEDIASPKAMKSYSHLRLTPVREEVSCWENKLCC >EOX99517 pep chromosome:Theobroma_cacao_20110822:2:11735452:11742360:1 gene:TCM_008191 transcript:EOX99517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEETSLGLSFTKDENFREWYSEIYFVAVNSEMIECNDISSYYILRSRAISIGRLTCTFPAAVCTPEIKASFNILLRVNDVQ >EOX99833 pep chromosome:Theobroma_cacao_20110822:2:17156275:17158599:-1 gene:TCM_008799 transcript:EOX99833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosylphosphatidylinositol-anchored lipid protein transfer 1, putative isoform 1 MYGTTDSSVTNFPLLVFYKPENKRHFFKLQSENPNSKRKQRHQRIKRVKEMGVEGFIVLALSMSSLLQWGSLGGVGAADESGLANECSKDFQSVMTCLNFAQGKVATPTKECCSSVSTIKENEPKCLCYLLQQTQTSGAQNLKSLGVQEAKLLQLPSACQLKNASVSDCPKLLGLSPNSPDAAIFTNASSTATTTTSPSTGTSSSSASEKSDSSNFSGAKPGDHLVCYTLFIASAIFFYA >EOX99835 pep chromosome:Theobroma_cacao_20110822:2:17156651:17158541:-1 gene:TCM_008799 transcript:EOX99835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosylphosphatidylinositol-anchored lipid protein transfer 1, putative isoform 1 MYGTTDSSVTNFPLLVFYKPENKRHFFKLQSENPNSKRKQRHQRIKRVKEMGVEGFIVLALSMSSLLQWGSLGGVGAADESGLANECSKDFQSVMTCLNFAQGKVATPTKECCSSVSTIKENEPKCLCYLLQQTQTSGAQNLKSLGVQEAKLLQLPSACQLKNASVSDCPSDRAVK >EOX99834 pep chromosome:Theobroma_cacao_20110822:2:17157250:17158600:-1 gene:TCM_008799 transcript:EOX99834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosylphosphatidylinositol-anchored lipid protein transfer 1, putative isoform 1 MYGTTDSSVTNFPLLVFYKPENKRHFFKLQSENPNSKRKQRHQRIKRVKEMGVEGFIVLALSMSSLLQWGSLGGVGAADESGLANECSKDFQSVMTCLNFAQGKVATPTKECCSSVSTIKENEPKCLCYLLQQTQTSGAQNLKSLGVQEAKLLQLPSACQLKNASVSDCPSDRAVK >EOY00087 pep chromosome:Theobroma_cacao_20110822:2:25494472:25497717:-1 gene:TCM_009571 transcript:EOY00087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase tnp2 MWENKIRCPCSRCSNNKFLCEDKVTEHILNRGFTGAYTIWSLHGEHDVGQSLRSRDRVEPYASNKEHEEYGEPIYDEEIENPYSRMVKDAMGPEVAFNYGCENESRLQRLYMSCKTAEYMTWHVQNQSDDGVLKHPIDGEEILSRLNSLPDLPFGTKCGDQKISGYGVSHNWVKNSILWDLPYWHMLFIRHNLDVMHIEHNVFENIFNTMMDVKGMTKDNLKARQDLKVSCKRPELELMKNNGKIFKLKAAYTLNKEEIKKICAWVKQMRFPDGFASNISRCINEIDYKFYRMKSHDCHIFLQRLLLIVFHDMVPHSIWDAITGISHFFRDLCTTEILVDHMEALQGKICETICKLEKIFPSGFFDSMEHLPIHLPYEAKFGGPIQYRWMYPFERFLQHLKKKVKNRASVEGSICEAYIIEEISSFSSWYFEPAMRTRLNRMPRNDDGGDVDSQGRLSIFIHFGRAFGPLEKSRFLDEDEFYAAELYVLMNSEEMLPYIKMFDEIIKGDVVHISEDELEKVRDARFVKWFKNYVATRMDEIDPRILEISHGPGRMIMSRPDTPLEPVTTVVVS >EOX99094 pep chromosome:Theobroma_cacao_20110822:2:8489727:8494898:1 gene:TCM_007711 transcript:EOX99094 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MDEVISSLAARDQEVIVTDRLQDYAGLKQPPQAYPNKRVPPSQVGAPCLRGKLPLGASPKRLGQISEFLNNDVEQQSEIGSVRLGRNANMSSEPKEQEPNPNGNDNDNLNNESEHVEREEEEEEEEDTRQSSTRTPFTNLSQVDADLALARTLQEQERAYMMLRMNNDGSDYGSWEAGSYLHDDDDDFGDPHDHDDTDGDEEEDEEEYDGTAADDDVDAFDVHAHAENGEEDYNPNVEFNPAVFSSDEAYARALQDAEEREMAARLLALAGLNDRGIVTLEDHGGNSQDTWEEVDPDELSYEELLALGEVVGTESRGLSADSIASLPSVTYKAGSSQTGSNDSCVVCRVDYEDGDSLTVLSCKHSYHPECINNWLKINKVCPVCSAEVSTSG >EOX97555 pep chromosome:Theobroma_cacao_20110822:2:2657059:2665584:1 gene:TCM_006543 transcript:EOX97555 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like protein tyrosine kinase family protein isoform 1 MVMEDNESCGSKASDTSSPVQSRQQRQKLKVYNEVLRRLRESDKEEANRPGFDDELWTHFHRLPTRYALDVNVERAEDVLMHKRLLHLAHDPANRPAMEVRLVQVQSISDGSLDYSTLSNPLCEESAQSSPKHSSRQSIHPPPAFGSSPNLEALALEANKSQDQDGDNSVHANSKFSRPMHEITFSTEDKPKLLSKLTALLAEIGLNIQEAHAFSTVDGYSLDVFVVEGWPYEETEQLKVALEKEVLKIEKQSWLKQHSFSPTRDYEEMGSNGDQHYVAIPNDGTDVWEIDPTHLKFENKVASGSYGDLYKGTYCTQEVAIKILKPERINTDMQKEFAQEVFIMRKVRHKNVVQFIGACTKPPSLCIVTEFMSGGSVYDYLHKQKGVFKLPTLLKVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQSGVMTAETGTYRWMAPEFFCIEGY >EOX97556 pep chromosome:Theobroma_cacao_20110822:2:2657059:2664821:1 gene:TCM_006543 transcript:EOX97556 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like protein tyrosine kinase family protein isoform 1 MVMEDNESCGSKASDTSSPVQSRQQRQKLKVYNEVLRRLRESDKEEANRPGFDDELWTHFHRLPTRYALDVNVERAEDVLMHKRLLHLAHDPANRPAMEVRLVQVQSISDGSLDYSTLSNPLCEESAQSSPKHSSRQSIHPPPAFGSSPNLEALALEANKSQDQDGDNSVHANSKFSRPMHEITFSTEDKPKLLSKLTALLAEIGLNIQEAHAFSTVDGYSLDVFVVEGWPYEETEQLKVALEKEVLKIEKQSWLKQHSFSPTRDYEEMGSNGDQHYVAIPNDGTDVWEIDPTHLKFENKVASGSYGDLKVRHKNVVQFIGACTKPPSLCIVTEFMSGGSVYDYLHKQKGVFKLPTLLKVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQSGVMTAETGTYRWMAPE >EOX97554 pep chromosome:Theobroma_cacao_20110822:2:2650193:2666843:1 gene:TCM_006543 transcript:EOX97554 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like protein tyrosine kinase family protein isoform 1 MVMEDNESCGSKASDTSSPVQSRQQRQKLKVYNEVLRRLRESDKEEANRPGFDDELWTHFHRLPTRYALDVNVERAEDVLMHKRLLHLAHDPANRPAMEVRLVQVQSISDGSLDYSTLSNPLCEESAQSSPKHSSRQSIHPPPAFGSSPNLEALALEANKSQDQDGDNSVHANSKFSRPMHEITFSTEDKPKLLSKLTALLAEIGLNIQEAHAFSTVDGYSLDVFVVEGWPYEETEQLKVALEKEVLKIEKQSWLKQHSFSPTRDYEEMGSNGDQHYVAIPNDGTDVWEIDPTHLKFENKVASGSYGDLYKGTYCTQEVAIKILKPERINTDMQKEFAQEVFIMRKVRHKNVVQFIGACTKPPSLCIVTEFMSGGSVYDYLHKQKGVFKLPTLLKVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKLPYEYLTPLQAAVGVVQKGLRPTIPKNINPKLTELLERCWQQDPTLRPDFSEILEILRQIAKEVGDEGEDRRKEKSSGGFLSVLRRGHH >EOX99545 pep chromosome:Theobroma_cacao_20110822:2:12126567:12128363:1 gene:TCM_008248 transcript:EOX99545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNKLDPCSMMPFEKSFKPSLLWKHITEPLQPSSKFHDLVANSFNHSLGDGSKISLWKDAWIEDFHIASFFPRIFALAVDKKATITDSGRWDDKKWIWDIKLRRQPLSWETKQWTDLKPFPKAPILIPLIRTSSFGSQPQVEPSVHSFCRAFYDSQNGPDNLWKLLWIGLAPPKAETFCWQVLHGKLAAKAILMDRGIISSDAAMCTFSNGISNGLLQAVPLHSSLHGCYTFALLKKETLEKCSSLHLSGPFGCRWNLGHIPTDTCFFQPTTFSNSAVKARQRTNVIWEPLPLGTLKLNIDGAAKGKLGPAGIGGLLRDHHDFIRGTFSHHIGIEDSNFAELQAIHQGLNFFFASPWASNHQLEVESDSTDVILWVKDNTKVPWKMKFTSNAI >EOY02189 pep chromosome:Theobroma_cacao_20110822:2:42267508:42273610:1 gene:TCM_011897 transcript:EOY02189 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS family protein MSSRAAIKHSLGSLHLLPPLFLLSQDLLQERKMVKFSKQFEGQLVPEWKEAFVDYWQLKMDLKKIHLLNTTNSNTASNTQTTSLANNLLSSIGNFSCFGRQRRDHGVIQVHKRLAASASKGDLYETELLEQFADTDAAKEFFACLDMQLNKVNQFYKTKEKEFLERGESLKKQMEILIELKTILQQQQRSKGASAQDSKEDASISCTISCEEDSVKDRTDQEQLQDSCTDELDRNDVSFSDSPRSDEMGKSIRMKREDGKLRTLSGRVFSCQGKNLRINIPLTTPSRTFSAISYVLWDDLVNQSSKKCGPEGTKLHINKTKLHHAEKMIKGAFVELYKALGYLKTYRHLNMLAFIKILKKFDKVTGKQVLPIYLKVVESSYFNSSDKVMKLADEVEDLFIKHFAEEDRRKGMKYLRPRQRKESHAVTFFIGLFTGCFVALLAGYILMAHLTGMYRRKPDSIYMETAYPVFSMFSLLFLHFFLYGCNIFLWRKARINYSFIFELAPTKELKYRDVFLICTTSLTAVVGIMFVHLSLLTKGYSFTQVQAIPGLLLLMFLLLLVCPFNIFYQSSRYCFLRVIRNIILSPLYKVVMLDFFMADQLCSQVPMLRNLEYVACYYITGSFKTQDYGYCMRAKHYRDLAYAVSFLPYYWRAMQCARRWFDEGQTNHLVNLGKYVSAMLAAGAKVAYEKERNIGWLCLVVVMSSAATVYQLYWDFVKDWGLLQMNSKNPWLRNELMLRRKYIYYFSMGLNLFLRLAWLQTVLHSSFGHVDYRVTGLFLAALEVIRRGLWNFFRLENEHLNNAGKFRAVKTVPLPFHEVDEED >EOY01914 pep chromosome:Theobroma_cacao_20110822:2:41347692:41352926:-1 gene:TCM_011701 transcript:EOY01914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MAAAPTPITSIPPSKPNGKISTIEPINPPQNPLSLLPKCASLREVKQIQAFAIKTHLQNDITFLTKLINFCTKNPTFTSMEYAHKVFDKVSQPDIVLFNTMARGYSRSNTPTQAIPLVSQLLSFGFLPDDYTFPSVLKACSSSKALEEGKQIHCLVIKLGLNHNIYICPSLISMYTECNDLDSARRVFDKMLDPCVISYNAIITGYAKCSRPNEALSLFRELQVKSLKPTDVTMLSVLSCCALLGALDLGKWIHEYVNKHGFDKYIKVSTAIIDMYAKCGSLEDAVCVFENITLRDTPAWSAMIVAFATHGKGYKAIETFEEMRKAGVQPDEITFLGLLYACSHNGLVEEGWWYFSSITNKYGIVPGIKHYGCMVDLLGRTGRIDEAYKFIDELPIKPTPILWRTLLAACSSHGDVELGKRVIERIFELDDSHGGDYVILSNLCARAGRWEDVDFLRKLMKDRGVVKVPGCSSIEVDNVVHEFFSGDGVNTVSTSLHKALDELMKELKMVGYVPDTSLVFHPEMGEEEKEISLRYHSEKLAIAYGLLNTPPGSTIRVVKNLRVCGDCHAAAKLISQIFDRQIILRDVQRFHHFRDGKCSCGDFW >EOX98126 pep chromosome:Theobroma_cacao_20110822:2:4647421:4648001:1 gene:TCM_006961 transcript:EOX98126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLFSKPLTKSDVEKSLLIPTHSFNTLPLEEGQFFNMNVVDSAGNAWTFPCFIQQNNDEIKGPSVISVGWLKFLHNKDVRVGDTVFLYQISLDDNCTGTQFRIEVKRKIRLLGQDIWAAVDQ >EOY01647 pep chromosome:Theobroma_cacao_20110822:2:40459627:40462314:-1 gene:TCM_011493 transcript:EOY01647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MLFSKGMITYVLANLMTFLTDLWKLKLKEAAAIVNLQEGLRNMLQIYVALCIDACLGYRWILILSSVLYSTGLGLLAFSVPQYFNKLKHSPFWEGLALVIVGGAAQVIPLYPLSFEQTKQRIIRWFGIRFMMLGAITSVYGFISLEDEWHQRFLRSAIAIVMGLLWFLCGFPFYGPRRLQPSPLSTILRTLTATMRKRHLNYRGNLEQLHRGDVEENLLLKDHLDQFGTQAKQALSAASKGSDTHFHLAPGSYNLFQTTPQSLYDSRPRVSVNSMSGGSAIVERIKRRYSDGVKIGFGMLASIIRYAVASSVESKRLKALSKEGLSNDPNATAPIIAFLLVLQFFFLGAMEGLAGDGIHDFFDYYSISRYGETWLGDSINQSWLDSIYRAYAMLALLNCFLYAYVSTWYSYDNIIGRPEEEEEDIPFLEVNEEETAEGDQQNNQEQDVE >EOY01698 pep chromosome:Theobroma_cacao_20110822:2:40643695:40649584:1 gene:TCM_011535 transcript:EOY01698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT1G13770) TAIR;Acc:AT1G13770] MEASKTQQQLIIEEWSGYSSTKLFKTATITASSSISITRSADSFNHVWRRFLDAFVPEGFPGSVTPDYVPFQVWDSLQGLSTYIRTMLSTQALLSAIGVGEKSATIIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLAADLMNDLGMLMDLLSPLFPSAFVFIVCLGSLSRSFTGVASGATRAALTQHFALQNNAADISAKEGSQETMATMIGMALGMLVARITTENPLAIWFSFLSLTMFHMYANYKAVRCLALDSLNFERSSILLQHFIETGQVLSPKQVSTMEHVLPLCTTLRSSKSTKFLHTRVKLGLRVSSLDHPEMANLLNSAGSFYKKAKYFLVERKGIISVIMHKDSAAADILKSYIHALVMANLMDEKRSLHLESQSWMDKQYENFVQKLKSSGWKTQRLLSPSIIWKAHWYSESLGEKTD >EOY01700 pep chromosome:Theobroma_cacao_20110822:2:40643640:40648016:1 gene:TCM_011535 transcript:EOY01700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT1G13770) TAIR;Acc:AT1G13770] MEASKTQQQLIIEEWSGYSSTKLFKTATITASSSISITRSADSFNHVWRRFLDAFVPEGFPGSVTPDYVPFQVWDSLQGLSTYIRTMLSTQALLSAIGVGEKSATIIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLAADLMNDLGMLMDLLSPLFPSAFVFIVCLGSLSRSFTGVASGATRAALTQHFALQNNAADISAKEGSQETMATMIGMALGMLVARITTENPLAIWFSFLSLTMFHMYANYKAVRCLALDSLNFERSSILLQHFIETGQGLNYGACFALMYHFKVVEEY >EOY01699 pep chromosome:Theobroma_cacao_20110822:2:40643640:40648016:1 gene:TCM_011535 transcript:EOY01699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT1G13770) TAIR;Acc:AT1G13770] MEASKTQQQLIIEEWSGYSSTKLFKTATITASSSISITRSADSFNHVWRRFLDAFVPEGFPGSVTPDYVPFQVWDSLQGLSTYIRTMLSTQALLSAIGVGEKSATIIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLAADLMNDLAFVFIVCLGSLSRSFTGVASGATRAALTQHFALQNNAADISAKEGSQETMATMIGMALGMLVARITTENPLAIWFSFLSLTMFHMYANYKAVRCLALDSLNFERSSILLQHFIETGQVLSPKQVSTMEHVLPLCTTLRSSKSTKFLHTRVKLGLRVSSLDHPEMANLLNSAGSFYKKAKYFLVERK >EOY01008 pep chromosome:Theobroma_cacao_20110822:2:36555798:36557207:1 gene:TCM_010916 transcript:EOY01008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCAVEVLPSFTINKVFEDSIITLVLLMPRHMLSNFTLSEFRNFLLLHREQGHIISFSHLNVELERAK >EOX97098 pep chromosome:Theobroma_cacao_20110822:2:1170879:1172082:-1 gene:TCM_006200 transcript:EOX97098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQRHLLMSKQLVLLNFHPTLLNPAHSQFDLAHPIQDGCVIQSLKVPCHFEIFVVAFPWCQLSCFSRDELLHPGLHWTSTKYRDIGSARSKTEAARVKAAQEAYKELQNARQEALQKKKAERERC >EOX99849 pep chromosome:Theobroma_cacao_20110822:2:17328678:17329728:1 gene:TCM_008823 transcript:EOX99849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFATSLLSRFMQNPSEVHFIAVKRILKYVKGTVNFGLIYMKQKSSQLLGFSDSDWAGFLDDSKSTRDFCFSFGSVVFGWSSKKQEVVTQSTAEAEYIACAAAANHALWLRKLLDELGFK >EOX99772 pep chromosome:Theobroma_cacao_20110822:2:15949235:15950455:1 gene:TCM_008671 transcript:EOX99772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding protein transcription factor family protein, putative isoform 2 MHYIRFHSLVEFDEGKRSCRKRLDGHNRRRRKPQPDSLSVNSGRFLSNHQGTRYLPFSNHQIFSATAVTSSWVGSVKVETDIKSELNFSSRNSLFRGSSSQNCKEEKHFSFLQSTSLSLPGVSVCQPFLDANPLSGNGGSSRKIFSNGLNQVINSNRALSLLSSQPAETGEIGLSPCPMVQSGPASSLNQNLHYNGLGMEGEQVGSILASDGSSNTNFHGNEMFQTGHPGSSSSGTHHTLSFSWE >EOX99771 pep chromosome:Theobroma_cacao_20110822:2:15946298:15950499:1 gene:TCM_008671 transcript:EOX99771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding protein transcription factor family protein, putative isoform 2 MGCDLRRIEDTFKGPGVPLMESSSSASFKRARAPGSGNQVPSCLVDGCTADLSKCRDYHRRHKVCEVHSKTPKVTIRGQEQRFCQQCSRFHSLVEFDEGKRSCRKRLDGHNRRRRKPQPDSLSVNSGRFLSNHQGTRYLPFSNHQIFSATAVTSSWVGSVKVETDIKSELNFSSRNSLFRGSSSQNCKEEKHFSFLQSTSLSLPGVSVCQPFLDANPLSGNGGSSRKIFSNGLNQVINSNRALSLLSSQPAETGEIGLSPCPMVQSGPASSLNQNLHYNGLGMEGEQVGSILASDGSSNTNFHGNEMFQTGHPGSSSSGTHHTLSFSWE >EOY01963 pep chromosome:Theobroma_cacao_20110822:2:41532578:41536488:1 gene:TCM_011741 transcript:EOY01963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 1 MLENRSCESCLVFASSCQKENKWAYMYHGKRPLELAESDGCSRETKMSKLSSDDSSGDDNNNNNSNTSSPQDSRDLLLPDSREQSDDDSRQSDSSWDLDSSLSHHHPIGLDRTDSNNQSEDDSLSDSVEDSPEEQSEEDGDDNGFSENPEEEDEEEEDGDQRHAGEDLDQLIQPIGRDISISCLIRCSRSDYGSIASLNRSFRSLIRSGDIYRLRRETDVVEHWVYFSCDLFQWEAFDPIRLRWMHLPRMPPNECFIYSDKESLAVGTELLVFGKEVTSQVIYRYSILTNSWTSGTSMNAPRCLFGSASLGEIAILAGGCDSQGNILSSAEMYNSETQRWETLPSMNKPRKMCSGVFMDKKFYVIGGTGGLGNDARVLTCGEEYDLETRRWTEIPNMSPGSNAAAGEAEMPAATGAPPLVAVVNNELYAADHADMEVKKYDKESRTWLTVGRLPERAVSMNGWGLAFRACGDHLIVIGGPRNLGEGFIELNSWVPSEGPPQWNLLARKQSGNFVYNCAVMGC >EOY01964 pep chromosome:Theobroma_cacao_20110822:2:41532952:41539975:1 gene:TCM_011741 transcript:EOY01964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 1 MLENRSCESCLVFASSCQKENKWAYMYHGKRPLELAESDGCSRETKMSKLSSDDSSGDDNNNNNSNTSSPQDSRDLLLPDSREQSDDDSRQSDSSWDLDSSLSHHHPIGLDRTDSNNQSEDDSLSDSVEDSPEEQSEEDGDDNGFSENPEEEDEEEEDGDQRHAGEDLDQLIQPIGRDISISCLIRCSRSDYGSIASLNRSFRSLIRSGDIYRLRRETDVVEHWVYFSCDLFQWEAFDPIRLRWMHLPRMPPNECFIYSDKESLAVGTELLVFGKEVTSQVIYRYSILTNSWTSGTSMNAPRCLFGSASLGEIAILAGGCDSQGNILSSAEMYNSETQRWETLPSMNKPRKMCSGVFMDKKFYVIGGTGGLGNDARVLTCGEEYDLETRRWTEIPNMSPGSNAAAGEAEMPAATGAPPLVAVVNNELYAADHADMEVKKYDKESRTWLTVGRLPERAVSMNGWGLAFRACGDHLIVIGGPRNLGEGFIELNSWVPSEGPPQWNLLARKQSGNFVYNCAVMGC >EOY01965 pep chromosome:Theobroma_cacao_20110822:2:41532952:41535953:1 gene:TCM_011741 transcript:EOY01965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 1 MLENRSCESCLVFASSCQKENKWAYMYHGKRPLELAESDGCSRETKMSKLSSDDSSGDDNNNNNSNTSSPQDSRDLLLPDSREQSDDDSRQSDSSWDLDSSLSHHHPIGLDRTDSNNQSEDDSLSDSVEDSPEEQSEEDGDDNGFSENPEEEDEEEEDGDQRHAGEDLDQLIQPIGRDISISCLIRCSRSDYGSIASLNRSFRSLIRSGDIYRLRRETDVVEHWVYFSCDLFQWEAFDPIRLRWMHLPRMPPNECFIYSDKESLAVGTELLVFGKEVTSQVIYRYSILTNSWTSGTSMNAPRCLFGSASLGEIAILAGGCDSQGNILSSAEMYNSETQRWETLPSMNKPRKMCSGVFMDKKFYVIGGTGGLGNDARVLTCGEEYDLETRRWTEIPNMSPGSNAAAGEAEMPAATGAPPLVAVVNNELYAADHADMEVKKYDKESRTWLTVGRLPERAVSMNGWGLAFRACGDHLIVIGGPRNLGEGFIELNSWVPSEGPPQWNLLARKQSGNFVYNCAVMGC >EOX97242 pep chromosome:Theobroma_cacao_20110822:2:1699120:1700319:-1 gene:TCM_006322 transcript:EOX97242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVVVGEIKDRCEEVEANMKELRFQDDELVEGTSLPHLRCNEKVSGAIISIQAEFQKEFRNQFYLEHIKDKA >EOY02200 pep chromosome:Theobroma_cacao_20110822:2:42300310:42305881:-1 gene:TCM_011904 transcript:EOY02200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich receptor protein kinase PERK10 isoform 1 MATTSPPPNSSPPAVSPASATNPPPPPRTPTPPPSTSAPPPQNSPPPAPSTRATPPLSNANPPPPLPPQPPASPPVTSSPPPPAETSPPPPLAPPPPRPAVSPTSPPSNSTSPPPASPPPTPPRSPPPPAPRPSPGPPQNLSPPPSTQPPDASPSPQPSPPPPPPPRQPPSPPPPTNSSSPPPVNTPTPSQSPKISPPPLSQSPPTVHPNSPPPSSISPTPIPPPSKLTPASSQPTPSPSNSTSRSSSPPSVLRLSPPPPPSDNPTVNAPSPSAPESSDSSGNDGIGVGGAVAIGVAVGIIVLSLIGLAVWCLTKQKKKKLTRLNGGYVMPSPLGSSPRSDSFFTKTHSSAPLIGSSSGSDFVHSLPLMPEPGSLGNSRSLYTYEELAKATNGFSEQNLLGEGGFGAVYKGFLLDGREVAVKQLKIGGGQGEREFKAEVEIISRIHHRHLVSLVGYCISENRRLLIYEYVPNNTLYFHLHAGEGRPVLDWATRVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEARVSDFGLAKLALDANTHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLNHALDSEEFDGLSDPKLGRNYVESEMFRMIEAAAACVRHSAAKRPRMGQVVRAFDSLATSDLTNGMRVGESEVYNSAQQSEEIRWFQRMAFGSQNYSSDYFSEISRGS >EOY02199 pep chromosome:Theobroma_cacao_20110822:2:42300310:42306224:-1 gene:TCM_011904 transcript:EOY02199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich receptor protein kinase PERK10 isoform 1 MATTSPPPNSSPPAVSPASATNPPPPPRTPTPPPSTSAPPPQNSPPPAPSTRATPPLSNANPPPPLPPQPPASPPVTSSPPPPAETSPPPPLAPPPPRPAVSPTSPPSNSTSPPPASPPPTPPRSPPPPAPRPSPGPPQNLSPPPSTQPPDASPSPQPSPPPPPPPRQPPSPPPPTNSSSPPPVNTPTPSQSPKISPPPLSQSPPTVHPNSPPPSSISPTPIPPPSKLTPASSQPTPSPSNSTSRSSSPPSVLRLSPPPPPSDNPTVNAPSPSAPESSDSSGNDGIGVGGAVAIGVAVGIIVLSLIGLAVWCLTKQKKKKLTRLNGGYVMPSPLGSSPRSDSFFTKTHSSAPLIGSSSGSDFVHSLPLMPEPGSLGNSRSLYTYEELAKATNGFSEQNLLGEGGFGAVYKGFLLDGREVAVKQLKIGGGQGEREFKAEVEIISRIHHRHLVSLVGYCISENRRLLIYEYVPNNTLYFHLHAGEGRPVLDWATRVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEARVSDFGLAKLALDANTHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLNHALDSEEFDGLSDPKLGRNYVESEMFRMIEAAAACVRHSAAKRPRMGQVVRAFDSLATSDLTNGMRVGESEVYNSAQQSEEIRWFQRMAFGSQNYSSDYFSEISRGS >EOX97778 pep chromosome:Theobroma_cacao_20110822:2:3400127:3402563:-1 gene:TCM_006703 transcript:EOX97778 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 2 precursor MMVGHLGANPNVQAPLNLTLMAPGSGYTCGLVEDTDPTISSDIGGRRQLQAYRTWKSTCTYSSFVANQAPVCCVSLSTFYNAKITSCPTCSCGCREAEKNTDSCIREGYPAPQSDSLDSDDIVLCTDHMCPVTVHWHVMKNYVTHWKVKLTVSNYNYRRNYSNWNVLVQHPGFSQNATAFSFNSTMLPSLGFLDEVALFWGIDYYNNDLLNADKDQLGAVSTQILLKKDSNSFTLGNGWALPRRIYFNGDNCQMSLPDTFPMLPNGSSNLKPAHTLFLFLIYQTFKTVVTWH >EOX97693 pep chromosome:Theobroma_cacao_20110822:2:3063865:3069687:1 gene:TCM_006637 transcript:EOX97693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate peroxidase MAFPAVNTEYLKEIEKARRDLRALIASKNCAPIMLRLAWHDAGTYDVNTKTGGPNGSIRNEEEYTHGSNSGLKIAIDFCEEVKAKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSNSCPREGRLPDAKKGAPHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTNEPLKFDNSYFVELLKGESEGLLKLPTDKALLDDPEFCHYVELYAKDEDAFFRDYVESHKKLSELGFTPSSSRSKLIVKDSTVLAQSAVGVAVAAAVVILSYFYEVRKRMK >EOY00488 pep chromosome:Theobroma_cacao_20110822:2:32973612:32979766:1 gene:TCM_010369 transcript:EOY00488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Associated molecule with the SH3 domain of STAM 2 isoform 2 MSDDRREFSQVTNNRYLQDRSCGIAQTNCQSSRIVIEENIGLESCSELDFVRSCSGQESLCPVPDQTTTTCKLIKVHAVTLSSPSTILSFTENASQTSHVSRVIDSDQQPYNESEASRVLQNVHISAQLMEDFLELAKDNTKKDLETCGVLGAFLERGTFYVTTLIIPKQESSSNSCQAKNEEEIFAIQNERSLYPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVVAPTDNSRSYGIFRVSDPSGMSVLKECQEKGCQFHSHKETENGSPIYEHCTHVYKNSNLRFEIFDLR >EOY00487 pep chromosome:Theobroma_cacao_20110822:2:32974841:32978985:1 gene:TCM_010369 transcript:EOY00487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Associated molecule with the SH3 domain of STAM 2 isoform 2 MYQFVDGREILKPPAVRDSRCQKIYVPLNDRSCGIAQTNCQSSRIVIEENIGLESCSELDFVRSCSGQESLCPVPDQTTTTCKLIKVHAVTLSSPSTILSFTENASQTSHVSRVIDSDQQPYNESEASRVLQNVHISAQLMEDFLELAKDNTKKDLETCGVLGAFLERGTFYVTTLIIPKQESSSNSCQAKNEEEIFAIQNERSLYPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVVAPTDNSRSYGIFRVSDPSGMSVLKECQEKGCQFHSHKETENGSPIYEHCTHVYKNSNLRFEIFDLR >EOY00486 pep chromosome:Theobroma_cacao_20110822:2:32973612:32979766:1 gene:TCM_010369 transcript:EOY00486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Associated molecule with the SH3 domain of STAM 2 isoform 2 MSDDRREFSQVTNNRYLQMYQFVDGREILKPPAVRDSRCQKIYVPLNDRSCGIAQTNCQSSRIVIEENIGLESCSELDFVRSCSGQESLCPVPDQTTTTCKLIKVHAVTLSSPSTILSFTENASQTSHVSRVIDSDQQPYNESEASRVLQNVHISAQLMEDFLELAKDNTKKDLETCGVLGAFLERGTFYVTTLIIPKQESSSNSCQAKNEEEIFAIQNERSLYPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVVAPTDNSRSYGIFRVSDPSGMSVLKECQEKGCQFHSHKETENGSPIYEHCTHVYKNSNLRFEIFDLR >EOX98547 pep chromosome:Theobroma_cacao_20110822:2:6135106:6137754:1 gene:TCM_007276 transcript:EOX98547 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1, putative MIIDTAAFITAKDRWQQDEMDDIELATQLSLLDNNDRGKAVPDDIVHEDEDLAKAIEESVRSSVPQSSRRNSDRRQSYQIICDVCDGSIPKKEICKREFWNQHFCRRHVSDGTPICCGCMRLKGVNTEYINLGDVRNICPDCFATSITNARQKQSILDNVIEFLRLKDVEFKGHVPVFLVDRREMRRHTRRGVSTGMEHIQPVHPDSVVWGLTMFNPDESYAESVESLSRSGRNIRLEQRKYLLNHDSHITVLILFGLPKIMTGAIMAHELMHAWFRQLGVFHLEPKVEEGICQVIAHEWLDWFVVMDEEASSSRSENAQFTRNLKHTFKDLVEIDSSHAYGEGFRDAKWAFEKWGPHKVINHVVKYGTLPQ >EOX97744 pep chromosome:Theobroma_cacao_20110822:2:3275944:3276927:-1 gene:TCM_006680 transcript:EOX97744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein MERGPIITWILILGIATSPVYSQYYSKTVPYDPKPEKITNLHFFFHDTLSGKDPSAVLVARANISSDDRALAPFSSVFAIDDPLTVGPELTSEVIGNARGLWVSSGKDIPTLVAFFDFGFTKGEFNGSSISVFSRNPISETERELAVVGGRGKFQMARGVAQLKTYFLNVTSGDAIVEYNVTVVHY >EOX97937 pep chromosome:Theobroma_cacao_20110822:2:4031731:4032759:-1 gene:TCM_006835 transcript:EOX97937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrin LEA, putative MADLRDVHGNPIQLTDEQGNPVQPTDEYGNPVHVTGIASKHPVMTQTGVPGGQMGYETGSAAGYQQQQQRPYQRRQQQQQLHYEASSGVEIQRSNSSSSGSSEDDEIGGRRNKKGLKDKIKDKLTGGKHKEEAQSQTTTYESKTTTTGVSHGQHHTEHEKKTMMEKIKEKLPGCHSH >EOY00980 pep chromosome:Theobroma_cacao_20110822:2:36264128:36269336:-1 gene:TCM_010880 transcript:EOY00980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative MTNSNSSDTSSDLQKYGVPFYGAGWVPYDHIRSKLASQEKNEENEDKEPTQSKDDEISTSQNYVVFSGGGGEGRSGIPNAIVVSHVDFASNSLSEQPVFKLGTDSDLPYRMTVHPRGDGIICALQQSCRLFEWEEPQDNEVQNLGVKVSEKVLTQLEDVGQQLALRFNSEGSILAVGGEDGSLRVFKWPSMEIILNEAQAHSSVKDLDFSCDGKFLVSLGSGLCRIWDVESSKVVASLAKGNDEVFAFCRFSKINDKDPVLYIAAVTDHGGNVLTWNTTTWKRMRSNRVVREAISAFNVSADGKFLAVGTVGGDIFIINSSNMRAQMMVKKAHLGLVTALTFSHDSRALISASLDSSARVTLIKDKTKTGGMSWMIIFIVLLLAIAVYFMKEKGIIP >EOY01152 pep chromosome:Theobroma_cacao_20110822:2:37856426:37859417:-1 gene:TCM_011083 transcript:EOY01152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MAEECTASSAITSSPAPHTWWDILHPANSLSSWNSSTTNSPTTTTTSWHQHHQNPTSNSSNNCDQDDVSISTSLTNASNHSGLTVESSGRQLVDQPVPPSTNDFIGEHASDNHLWSQVLSVGSNLRNSQDVGENLFEAISSKSSSAGIFEPACDYLKKIDNNWEFPNPSSVFNNFVKNLNGYTTDDNQSSIESERLTKLSNLVSNWSIAPPDPEVTLQFNPKSCDQIPLTSSVENYTQPAFGGMATIKNPVFLSCYGHHHDVKMEAEGLDVEAPTSHFRRAFKGNNSNGYHHNSLINNSSMEADNFYGSSMSHSPFTSTMTYSRLSKPLIDIHASKPCFRPLNNLSDCKKQGIQAATNSLQTRTRNGRTQGITNEAKKKRGEEISYDTVLKKPKHETSTASSVKMHAPKVKLGDRITALQQIVSPFGKTDTASVLLEAIGYINFLQEQVQLLSNPYMKPNPHKDPWGSLDRKDQKGDLKVDLRSRGLCLVPISCTPQVYHENAGSDYWTPTYRGCLYS >EOY01151 pep chromosome:Theobroma_cacao_20110822:2:37856480:37859417:-1 gene:TCM_011083 transcript:EOY01151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MAEECTASSAITSSPAPHTWWDILHPANSLSSWNSSTTNSPTTTTTSWHQHHQNPTSNSSNNCDQDDVSISTSLTNASNHSGLTVESSGRQLVDQPVPPSTNDFIGEHASDNHLWSQVLSSVGSNLRNSQDVGENLFEAISSKSSSAGIFEPACDYLKKIDNNWEFPNPSSVFNNFVKNLNGYTTDDNQSSIESERLTKLSNLVSNWSIAPPDPEVTLQFNPKSCDQIPLTSSVENYTQPAFGGMATIKNPVFLSCYGHHHDVKMEAEGLDVEAPTSHFRRAFKGNNSNGYHHNSLINNSSMEADNFYGSSMSHSPFTSTMTYSRLSKPLIDIHASKPCFRPLNNLSDCKKQGIQAATNSLQTRTRNGRTQGITNEAKKKRGEEISYDTVLKKPKHETSTASSVKMHAPKVKLGDRITALQQIVSPFGKTDTASVLLEAIGYINFLQEQVQLLSNPYMKPNPHKDPWGSLDRKDQKGDLKVDLRSRGLCLVPISCTPQVYHENAGSDYWTPTYRGCLYS >EOY01150 pep chromosome:Theobroma_cacao_20110822:2:37856700:37859303:-1 gene:TCM_011083 transcript:EOY01150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MAEECTASSAITSSPAPHTWWDILHPANSLSSWNSSTTNSPTTTTTSWHQHHQNPTSNSSNNCDQDDVSISTSLTNASNHSGLTVESSGRQLVDQPVPPSTNDFIGEHASDNHLWSQVLSSVGSNLRNSQDVGENLFEAISSKSSSAGIFEPACDYLKKIDNNWEFPNPSSVFNNFVKNLNGYTTDDNQSSIESERLTKLSNLVSNWSIAPPDPEVTLQFNPKSCDQIPLTSSVENYTQPAFGGMATIKNPVFLSCYGHHHDVKMEAEGLDVEAPTSHFRRAFKGNNSNGYHHNSLINNSSMEADNFYGSSMSHSPFTSTMTYSRLSKPLIDIHASKPCFRPLNNLSDCKKQGIQAATNSLQTRTRNGRTQGITNEAKKKRGEEISYDTVLKKPKHETSTASSVKMHAPKVKLGDRITALQQIVSPFGKTDTASVLLEAIGYINFLQEQVQLLSNPYMKPNPHKDPWGSLDRKDQKGDLKVDLRSRGLCLVPISCTPQVYHENAGSDYWTPTYRGCLYR >EOX97819 pep chromosome:Theobroma_cacao_20110822:2:3585014:3585435:1 gene:TCM_006752 transcript:EOX97819 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MAIRIPGVTHARQIFRQSQYFANQVSSDSVPKGYCTVYVGEIQKKRFVIPISFLNQPSFQELLSKAEEEFGFNHPMGGLTIPCREEIFIDLTSRLGGL >EOY01508 pep chromosome:Theobroma_cacao_20110822:2:39770886:39779707:-1 gene:TCM_011378 transcript:EOY01508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase / Uridine kinase family isoform 3 MAQDASSFESHHKKPGLLKDQVRLVKRKGCDRHEIVPIQDPLSFEKGFFIVIRACQLLAQKNDGIILVGLAGPSGAGKTVFTEKILNFMPSIAIISMDNYNDSSRIVDGNFDDPRLTDYDTLLQNVHDLKQGKEVQVPIYDFKTSSRTGYRTLEVPSSRIVIIEGIYALSEKLRPMMDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETSARKFTVDQIKSVVSEEHAETEEQTYDIYLLPPGEDPESCQSYLRMRNKDGKYSLMFEEWVTDSPFVISPRITFEVSVRLLGGLMALGYTIAAILKRNSHVFSDDRVCVKIDWLEQLNRQYLQVQGRDRLVVKCVAEQLGLEGSYIPRTYIEQIQLEKLVNEVMALPEDLKTKLSLDEDLVSSPKEALLRASADRVALRNKHLKSGMSHSYSTQREKNIPHFAGYSVNNRRFDERNSDSALAHQGVITQLSDQISSLNDRMDEFTTRVEELNSKLTIKRSSSSQQNLAFQADPCNGSAPTSYFINGLGNGSIMPNSSSSSQLAKDSPLIEEISIVARGQRQIMHQLDNLSNLLHERLGERSQQASTRRKNMVADAEPIKVPLVLTTLVIGGLGIFLYRGFLTRD >EOY01507 pep chromosome:Theobroma_cacao_20110822:2:39771616:39777592:-1 gene:TCM_011378 transcript:EOY01507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase / Uridine kinase family isoform 3 MAQDASSFESHHKKPGLLKDQVRLVKRKGCDRHEIVPIQDPLSFEKGFFIVIRACQLLAQKNDGIILVGLAGPSGAGKTVFTEKILNFMPSIAIISMDNYNDSSRIVDGNFDDPRLTDYDTLLQNVHDLKQGKEVQVPIYDFKTSSRTGYRTLEVPSSRIVIIEGIYALSEKLRPMMDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSARKFTVDQIKSVVSEEHAETEEQTYDIYLLPPGEDPESCQSYLRMRNKDGKYSLMFEEWVTDSPFVISPRITFEVSVRLLGGLMALGYTIAAILKRNSHVFSDDRVCVKIDWLEQLNRQYLQVQGRDRLVVKCVAEQLGLEGSYIPRTYIEQIQLEKLVNEVMALPEDLKTKLSLDEDLVSSPKEALLRASADRVALRNKHLKSGMSHSYSTQREKNIPHFAGYSVNNRRFDERNSDSALAHQGVITQLSDQISSLNDRMDEFTTRVEELNSKLTIKRSSSSQQNLAFQADPCNGSAPTSYFINGLGNGSIMPNSSSSSQLAKDSPLIEEISIVARGQRQIMHQLDNLSNLLHERLGERSQQASTRRKNMVADAEPIKVPLVLTTLVIGGLGIFLYRGFLTRD >EOY01509 pep chromosome:Theobroma_cacao_20110822:2:39772136:39779699:-1 gene:TCM_011378 transcript:EOY01509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase / Uridine kinase family isoform 3 MAQDASSFESHHKKPGLLKDQVRLVKRKGCDRHEIVPIQDPLSFEKGFFIVIRACQLLAQKNDGIILVGLAGPSGAGKTVFTEKILNFMPSIAIISMDNYNDSSRIVDGNFDDPRLTDYDTLLQNVHDLKQGKEVQVPIYDFKTSSRTGYRTLEVPSSRIVIIEGIYALSEKLRPMMDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETSARKFTVDQIKSVVSEEHAETEEQTYDIYLLPPGEDPESCQSYLRMRNKDGKYSLMFEEWVTDSPFVISPRITFEVSVRLLGGLMALGYTIAAILKRNSHVFSDDRVCVKIDWLEQLNRQYLQVQGRDRLVVKCVAEQLGLEGSYIPRTYIEQIQLEKLVNEVMALPEDLKTKLSLDEDLVSSPKEALLRASADRVALRNKHLKSGMSHSYSTQREKNIPHFAGYSVNNRRFDERNSDSALAHQVFK >EOY01808 pep chromosome:Theobroma_cacao_20110822:2:40985744:40986917:1 gene:TCM_011621 transcript:EOY01808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic elongation factor 5A-1 isoform 3 MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDDNLLTQIKDGFAEGKDLVVTVMSAMGEEQICALKDIGP >EOY01809 pep chromosome:Theobroma_cacao_20110822:2:40985625:40986959:1 gene:TCM_011621 transcript:EOY01809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic elongation factor 5A-1 isoform 3 SLFSLCLVFLIPIFLFEAVLLSLSLSLSQSFDFFFFSVVMSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDDNLLTQIKDGFAEGKDLVVTVMSAMGEEQICALKDIGPK >EOY01807 pep chromosome:Theobroma_cacao_20110822:2:40985627:40987250:1 gene:TCM_011621 transcript:EOY01807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic elongation factor 5A-1 isoform 3 MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDDNLLTQIKDGFAEGKDLVVTVMSAMGEEQICALKDIGPK >EOX97792 pep chromosome:Theobroma_cacao_20110822:2:3466514:3472021:-1 gene:TCM_006725 transcript:EOX97792 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MRNRSIYISLFFHFFTSKLEIMGVRIPSMISSAKQILKLQPLVKRERPPVPKGHIAIYVGETEKGYKRTTYQMVGMSQNMGFRLPSLILSSRQILKHHSGFSRKQPDVPKGHVAVYVGEAQRKRFVVPISYLNHPSFAELLNRAEEEFGFNHPTGGLTIPCKEDAFINLTSQLHSP >EOX98355 pep chromosome:Theobroma_cacao_20110822:2:5472414:5475778:-1 gene:TCM_007136 transcript:EOX98355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein isoform 2 MFTFLRFIVGGAVPVRFSFFFSLPISLTPSPSNQFQRERTKKVVIVMGDSNPIPRLSVHQALGGGPVADVLLWRKWCGGVVMLASATTLWYLFERAGYNFLSFVANVLLLLVVILFFWAKSASLLNRPLPPLPNLEISEKTVGKVADELQVWINYALSIAHDIAIGRNLKLFLEVAVGLWLLSYIGSLFNFLTLVYIGVILSLSVPLVYDKYQHHINEKLSVTHRIIQMQYRKIDETVLRKLPLPSNKEKKMD >EOX98356 pep chromosome:Theobroma_cacao_20110822:2:5471983:5475686:-1 gene:TCM_007136 transcript:EOX98356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein isoform 2 FTFLRFIVGGAVPVRFSFFFSLPISLTPSPSNQFQRERTKKVVIVMGDSNPIPRLSVHQALGGGPVADVLLWRKWCGGVVMLASATTLWYLFERAGYNFLSFVANVLLLLVVILFFWAKSASLLNRPLPPLPNLEISEKTVGKVADELQVWINYALSIAHDIAIGRNLKLFLEVAVGLWLLSYIGSLFNFLTLVYIGEFYDMLLFL >EOX98892 pep chromosome:Theobroma_cacao_20110822:2:7676318:7679206:1 gene:TCM_007562 transcript:EOX98892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ser/Thr-rich protein T10 in DGCR region, putative isoform 2 MCIAVFMWEAHPVYPFLLFLNRDEYHSRPTEPLGWWEGGEILGGRDGEAGGTWLAFSRDGRLAFITNVRELQSIPRAKSRGHLPVRFLQSKKKPIEFAEEVVKEANEYNGFNLILIDLCSKSMVYVTNRPKENGNFVTEVSPGIHVLSNASLNSPWPKAQRLGHSFKEVLARYGEDELPLKETVAELLMDRTKDDSSMLPGIFPPEVEYHLSSIYIDVSRPQGRYGTRNQSALSVKSNGEVCFHERYLEKDLWKEQTVTYQIEMAK >EOX98891 pep chromosome:Theobroma_cacao_20110822:2:7676313:7679206:1 gene:TCM_007562 transcript:EOX98891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ser/Thr-rich protein T10 in DGCR region, putative isoform 2 MCIAVFMWEAHPVYPFLLFLNRDEYHSSPTTLLGVSRPTEPLGWWEGGEILGGRDGEAGGTWLAFSRDGRLAFITNVRELQSIPRAKSRGHLPVRFLQISTSPCEALQDWKGQLKLVPSFFNCQSKDYMGSCLGELLGSKKKPIEFAEEVVKEANEYNGFNLILIDLCSKSMVYVTNRPKENGNFVTEVSPGIHVLSNASLNSPWPKAQRLGHSFKEVLARYGEDELPLKETVAELLMDRTKDDSSMLPGIFPPEVEYHLSSIYIDVSRPQGRYGTRNQSALSVKSNGEVCFHERYLEKDLWKEQTVTYQIEMAK >EOY00109 pep chromosome:Theobroma_cacao_20110822:2:25895638:25906638:1 gene:TCM_009622 transcript:EOY00109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 1 MRSKKMEGTSAPTMRRDPYEVLCVSRDSTDQEIKTAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDSAGFEAVEDSMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPIGTSVSGKVDKQCAHFFGVTISDEQAEAGIVVRVTSTAQSKFKLLYFEQDINGGYGLALQEDSEKTGKVTSVGMYFLHFQVYRMDSTVNALAIAKDPESAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTATYTIEALCAKSYEDTTEKLKDIEAQILRKRNELRQFETEYRKALARFQEVTNRYSQEKQSVDELLKQRDSIHATFSVTRTPNSMNVNVSNGSTSKVPGEAESPPEDGNSDGKDKSGKKKWFNLNLKGSDKKLG >EOY00110 pep chromosome:Theobroma_cacao_20110822:2:25895636:25906860:1 gene:TCM_009622 transcript:EOY00110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein isoform 1 MRSKKMEGTSAPTMRRDPYEVLCVSRDSTDQEIKTAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDSAGFEAVEDSMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPIGTSVSGKVDKQCAHFFGVTISDEQAEAGIVVRVTSTAQSKFKLLYFEQDINGGYGLALQEDSEKTGKVTSVGMYFLHFQVYRMDSTVNALAIAKDPESAFFKRLEGLQPCEVSELKAGDNFFKTATYTIEALCAKSYEDTTEKLKDIEAQILRKRNELRQFETEYRKALARFQEVTNRYSQEKQSVDELLKQRDSIHATFSVTRTPNSMNVNVSNGSTSKVPGEAESPPEDGNSDGKDKSGKKKWFNLNLKGSDKKLG >EOX96969 pep chromosome:Theobroma_cacao_20110822:2:760180:763449:-1 gene:TCM_006092 transcript:EOX96969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVPNTNVEENASIFQRHDFDYLIGCNFVGGTKAMIHHSRALGACRQKLKGALIFKHLNPISKLAS >EOX99282 pep chromosome:Theobroma_cacao_20110822:2:9864492:9866225:-1 gene:TCM_007906 transcript:EOX99282 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MAGPCTIKVLDHSNASPPPGSVPDTSLPLTFFDVPWLVCRPMQRLFFYEFPYSTLHFTQTILPSLRTSLSHALQTFYPFAAKLICPPSPHKPHILYTDGDCVSFTVAESSADFDRTVAYLARDVKELHPFVPQLQPSRVLNNTRVLPLLALQVTVFPNSGICIGATFCHVAADGRSFNHFMKAWASILRSGGNSAACLEKSVPFLERNVIREKDSRGLESILMTEWWSWASDWEEDKVPAHDILANKVRATFVMGRGDIDRLKRCVLIRCLKQNESEQLRASTFVVSCAFVWTSLIKAQELCGRGKHEDDEFHYFCFVADCRNRPEFAIPETYLGNCLSICFVRMKRRELLGENGVVAATKAIGKRVWEFEKGALREAEKWLTNWKEISETGHLVTISGSPKLRAYETDFGWGKPKKTEVVQIDVSGAIYLGDSRDEEGGIEIGLALNKDEMEAFHGFFEQGLKTT >EOX98575 pep chromosome:Theobroma_cacao_20110822:2:6243509:6246372:1 gene:TCM_007299 transcript:EOX98575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyol/monosaccharide transporter 5 MAGLGAENVAVSSKPVVDNKTLGDFEPAKKPKRNKFAFACAILASLTSILLGYDIGVMSGAVIYIKDDLKINDVQVEILVGILNLYCLVGSCAAGRTSDWIGRRYTIVFACAIFFVGALLMGFATNYAFLMVGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINGGILLGYVSNYAFSKLPTNIGWRLMLGIGGVPSVFLAIGVIAMPESPRWLVMQGRLGEAKRVLDKTSDTKEEAQLRLSDIKEAAGIPQDCTDDIVQVQKKSHGEGVWKELLLYPTPAVRHVLICAIGIHFFQQASGIDAVVLYSPRIFEKAGITSSDEKLLATVAVGFVKTIFILVATFFLDRIGRRPLLLSSVGGMVASLATLGLSLTIIDHSDEKLMWAIALCITMVLAYVAFFSIGMGPITWVYSSEIFPLRLRAQGASMGVAANRVTSGVISMSFISLYKAISIGGAFFLFAGVATVAWFFFYTCLPETQGKTLEEMEGLFGKLMGWRKEAKKLKMRMKEVNGDGTSNGQIQLGNTTTNGR >EOX97814 pep chromosome:Theobroma_cacao_20110822:2:3566448:3571101:1 gene:TCM_006747 transcript:EOX97814 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MAIRLPRVVGAKKVPKGYFAVYVGENQKRFVIPVSFLNRPSFQDLLSLSEEEFGYSHPTGGLRIPCDEDIFLDVTSRLFSKTKIMEGELTGVRGGGSSNNGKILRQSKLFANQAASTSSDVPKGFVAVYVGESQKKRFVVPISVLNQPSFQKLLSIAEEEFGFNHPMGGLTIPCREEVFIDLTSRLR >EOX99770 pep chromosome:Theobroma_cacao_20110822:2:15935620:15936323:1 gene:TCM_008670 transcript:EOX99770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHSVDAIAQRIHGSSYCTIHSSMRVLDLLDDLALSLSYVMHSWRLMFISLWIFVCFLNSLIMLNFPCDKHIYIYIYIYIYIYIYIYIT >EOY01602 pep chromosome:Theobroma_cacao_20110822:2:40203672:40209187:1 gene:TCM_011455 transcript:EOY01602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 1 MRGKGVMRGLSQPKSFSRRKTLKSFNVKGKLENVVLIDVEGDICENVIIIDASESVEEGSSGSGGGKRFPSPGVISIDDDETDNMDDPKICAECGGDLDSDASSSKSSPAPDFMHKSVGLDDDECRVIREKKSAFKLSKCKKTYTGKTPCGKRFGLSPESEDSSSGSDCSDCELMEGSVGKLREQWEKAFQRKKCNARNGRSGLEDQSSASGSHNNTPATVHEENRTEQCAETPVSSGSSYSNIQKQNTSAFETSSDKYTGGTSPHPGMGSPFVKFDKKVDHESFSWSKYGPTAEEWFSHVKDDVIFGRETNMKDPPLRDGDQDSCQVPLNSDPHSSNLHQRNRGSNGKEKLQSKEPSASIPKLSEEKQVDNGVTPSEIKVGTVFDEPSSVKTSFVKMPVVGSEIYSDREKVLSGDSSQYDETQIKQSCSEAEESYVESPSGSKKCDERDTLHVQGVDATASGEKDIVIDREKLKETVEYKLAVEEEWASRQRELQIQAEEAQRLRKRRKAESMRLLDMERRQKQRLEEIRETQKKDEENMNLKEQLRIEVRKELSQLELSCINMASLLRSLGIPVGGGFCPLSHEVHAAYKRAVLRFHPDRASKTNIREQVEAEEKFKLISRMKEKFLATSCH >EOY01603 pep chromosome:Theobroma_cacao_20110822:2:40203808:40209049:1 gene:TCM_011455 transcript:EOY01603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 1 MRGKGVMRGLSQPKSFSRRKTLKSFNVKGKLENVVLIDVEGDICENVIIIDASESVEEGSSGSGGGKRFPSPGVISIDDDETDNMDDPKICAECGGDLDSDASSSKSSPAPDFMHKSVGLDDDECRVIREKKSAFKLSKCKKTYTGKTPCGKRFGLSPESEDSSSGSDCSDCELMEGSVGKLREQWEKAFQRKKCNARNGRSGLEDQSSASGSHNNTPATVHEENRTEQCAETPVSSGSSYSNIQKQNTSAFETSSDKYTGGTSPHPGMGSPFVKFDKKVDHESFSWSKYGPTAEEWFSHVKDDVIFGRETNMKDPPLRDGDQDSCQVPLNSDPHSSNLHQRNRGSNGKEKLQSKEPSASIPKLSEEKQVDNGVTPSEIKVGTVFDEPSSVKTSFVKMPVVGSEIYSDREKVLSGDSSQYDETQIKQSCSEAEESYVESPSGSKKCDERDTLHVQGVDATASGEKDIVIDREKLKETVEYKLAVEEEWASRQRELQIQAEEAQRLRKRRKAESMRLLDMERRQKQRLEEIRETQKKG >EOY01601 pep chromosome:Theobroma_cacao_20110822:2:40203672:40209187:1 gene:TCM_011455 transcript:EOY01601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 1 MRGKGVMRGLSQPKSFSRRKTLKSFNVKGKLENVVLIDVEGDICENVIIIDASESVEEGSSGSGGGKRFPSPGVISIDDDETDNMDDPKICAECGGDLDSDASSSKSSPAPDFMHKSVGLDDDECRVIREKKSAFKLSKCKKTYTGKTPCGKRFGLSPESEDSSSGSDCSDCELMEGSVGKLREQWEKAFQRKKCNARNGRSGLEDQSSASGSHNNTPATVHEENRTEQCAETPVSSGSSYSNIQKQNTSAFETSSDKYTGGTSPHPGMGSPFVKFDKKVDHESFSWSKYGPTAEEWFSHVKDDVIFGRETNMKDPPLRDGDQDSCQVPLNSDPHSSNLHQRNRGSNGKEKLQSKEPSASIPKLSEEKQVDNGVTPSEIKVGTVFDEPSSVKTSFVKMPVVGSEIYSDREKVLSGDSSQYDETQIKQSCSEAEESYVESPSGSKKCDERDTLHVQGVDATASGEKDIVIDREKLKETVEYKLAVEEEWASRQRELQIQAEEAQRLRKRRKAESMRLLDMERRQKQRLEEIRETQKKDEENMNLKEQLRIEVRKELSQLELSCINMASLLRSLGIPVGGGFCPLSHEVHAAYKRAVLRFHPDRASKTNIREQVEAEEKFKLISRMKEKFLATSCH >EOY01557 pep chromosome:Theobroma_cacao_20110822:2:40041517:40043717:-1 gene:TCM_011420 transcript:EOY01557 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MTTTDTNTHILVFPYPAQGHMLPLLDLTHHLSLHGLTITILITPKNLPYLSSLLSTHPSTITPLILPFPSHPSIPPGIEHVKDLGHSGNLAMLTSLFKLYDPLLHWFTSHPNPPVAIISDFFLGWTYHLALRLNISRLAFFPSGAFFASIDDYIWNDVERCKPLDEIELCSLPGSHVFKADHLPSLFRLYQKSDPNWEPVKDGKIANTRSWGCVFNSFDALEGEYMDYLKKRLGHDRIFGVGPLNLAGPDYFGRGNAGSGSDANDRVLTWLDACRESSVLYVCFGSQKLLRREQMEALAVGLEKSGTRFLWVVKTGTTRQQDEGFGVLPDGFEERVVGRGLVIREWAPQVLILSHRAVGGFLSHCGWNSVLEGIAGGVVVLAWPMEADQFVNARLLVEDIGMGVRVCEGADAVPDSTELGRVISESMSDGGRMKERAKGLKDEALGGVTNGGSSAKDLVKLVGELFNLQVR >EOX96991 pep chromosome:Theobroma_cacao_20110822:2:845249:851848:1 gene:TCM_006116 transcript:EOX96991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSSFKFINYLSSDTNVPAGFWLVFNHTCRTKNLYLLLLVFFHREMESLVQKNLRKELKRGMELARKLQLHLKASKEARELHRQILSSQGKALSMLNRRTFSMTKPHSIAHSPSAHNGSPHSDGFDLDFQQQEFKVKDASMKSETAESKSTIVASEVSKSPSFLCGSVQSKDSDYDFKDRELKVNEVSTKRKTMSSWTELVPSDTDLGLGEPLPDGYNWRKHGQTDIVGARYPRTYYRCAHLLTVGCLATKQVQRVDENPMIFSVTYSGKHTCNLASDVMPPRPPQILAHTDTACGVDGNDKQDSKSNLQSSVYNPHDQTCISSTELMSELPTFGLNLNVFPEESSESYPVWKDFHGYQVRKNWKLLNRKKDVLLLLSSYPMIMIDKFDSEKWITDVLAIMRDAKSTEKMLFGEKVAEYWDVIMRLHDLSGSLQKLLNVPLMNDIEGVLPGDIVENLYRPADADSRPLLEVEKIIISGKTSKSRGSPSHSEGAAIEAENELQPMPARCKIQIEETELSAKETVPEEIFDSAVDLAVCQILKCISRGDIRCITISGRDKKRVIEAIKHHQNIGSKFGYIIEFTVAEHQIVAKVHGVFHLQKGFCLGKYSDSVEYSDNLCSPGILLLMEDDYNKNMNLDHSTLPFSININKLLDQIHSDSRFIIFTSKIAADMEIRMEDHLLSWKLFFRIVGEGFLSPSIQQIAACMVKECRGNLLAIILMARSLKKVTDDVQLWELAAQRLTMLPPSQIEDIDNVLVNTLTFIWERMNNKTRHCIKLFTRYPEGLAIHRSSVIQRWIWDSLVDTYDEGTHILQSLVDAFLLNIVELNCVQLRREIYDVLVKLLIPQMHPLYLMQGGLRLIKPPKEEEWDAKEIHLMDNKLYDLPESPKCPSLFALYLQKNLDLMAVPSCFFTHMPLLQILDLSHTSIKSLPESLSSLVKLRELLLKGCELFIQLPSHVGKLKNLEKLDLDETQIIDLPVEIGHLSKLKILRVSFYGYVNCSKTWSQRDTIIHPGIISGLSELIELSIDVDPDDERWNATVKAVIEEACNLKTLRQLNLYLPSIEILWKRRTGSTSLLRYPLPRFRFTVGNHKQQVISRVPEEVEAHFNNGDKCLKFINGKDIPNEMRTVLNHSTAFFLEGHATAKSLSDFGIKNTRRLKFCLLTECNEVQTIIDCAEFSEEQTDALGNLQDLNIYYMKNLESIWKGPVHKNCLARLKFLALHKCPRLSTIFSPDLVANLANLEELIVEHCPQLTSLVSLIGHASSNSAPQPNCFLPSLKRISLLYVPNLVSISSGLRIAPELEKIGFYNCPKLKSLSTMEMSSDHLKGIKGESRWWEALEWKNSEWGNRLDYLHSIFSPLLKERDVKAQLVEEGIMHHAST >EOX99972 pep chromosome:Theobroma_cacao_20110822:2:20190801:20195268:1 gene:TCM_009119 transcript:EOX99972 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase alpha/beta family protein isoform 1 MASRRVLSSLLRSTSRRSLSKSSSPNPRLSSPSPTRRPSPCGYFLTRVADYATSAAAAASPAPSQPPPAKKGGGGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVMDHSIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDEKGELKTDHYLPIHREAPAFVDQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQADSKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESIASFQGVLDGKYDDLPEQSFYMVGGIEEVIAKADKIAKESAA >EOX99973 pep chromosome:Theobroma_cacao_20110822:2:20190923:20195115:1 gene:TCM_009119 transcript:EOX99973 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase alpha/beta family protein isoform 1 MASRRVLSSLLRSTSRRSLSKSSSPNPRLSSPSPTRRPSPCGYFLTRVADYATSAAAAASPAPSQPPPAKKGGGGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVMDHSIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDEKGELKTDHYLPIHREAPAFVDQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQADSKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESIASFQQGVLDGKYDDLPEQSFYMVGGIEEVIAKADKIAKESAA >EOY00243 pep chromosome:Theobroma_cacao_20110822:2:30403319:30405803:1 gene:TCM_010070 transcript:EOY00243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 6 MKLSRRQTVLTNDNNNCNLKLAAWPCSFGYKRRKARQTSAIQPKYQVPTASYSSATAQRSKASPRLIHWLAVKDSWKSRALNVLILLFLNMAINAFPTVQNCASIGREKHTMVADMDGTLLISRSSFPYFALVAFEVGGVLRLFFLLLASPLAGLLYYFVSESAGIQVLIFATYVGMKVSDIESVARAVLPKFYSSDLHPESWRVFSSCGKRCVLTANPRIMVEPFLKDFLGADMVLGTEIGIYRGRATGFVCQPGVLVGKNKADALQKAFGEALPDVGLGDRHTDIPFIALCKEGYIVPPKPEAKAVRSEKLPKPVIFHDGRLVQKPTPLMALLIIIWIPIGFLLACLRITAGSLLPMPLVYYAFWALGVRVTVKGTPPPPVKKSTGQSGILFICSHRTLLDPIFLSTALGRPIPVVTYSVSRLSEIISPIKTVSLSRDRATDASMIKKLLQEGDLAICPEGTTCREPFLLRFSALFAELTDQHVPVAMVNRMSMFHGTTARGWKGMDPFYFFMNPSPAYEVTFLNKLPMELTCSSGKSSHEIANYIQRVIAATLSYECTSFTRKDKYRALAGNDGTVVEKPKFSANKVMGC >EOX98934 pep chromosome:Theobroma_cacao_20110822:2:7820136:7821617:1 gene:TCM_007597 transcript:EOX98934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin A20, ALPHA 1.23,EXPA20 isoform 1 MGALEVTVLYLILLLTTKVISKEEEWKSATATYTKETDGSIIRGGACGYGDIHKATYGKYSAGLSTKLFNKGSTCGGCFELRCVDHILWCLQGSPSVILTATDFCPPNYGLSADYGGWCNFPKEHFEMSEAAFAEIAERKADIVPVQYKRVKCERRGGIRFTMSGSSHFCQVLITNVGLDGEVVGVKVKGPKTGWIPMARNWGQNWQCNINLIGQPLSFEVTASSGRTLASYSVAPANWQFGQTYEGKQFK >EOX98935 pep chromosome:Theobroma_cacao_20110822:2:7820262:7821707:1 gene:TCM_007597 transcript:EOX98935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin A20, ALPHA 1.23,EXPA20 isoform 1 MGALEVTVLYLILLLTTKVISKEEEWKSATATYTKETDGSIIRGGACGYGDIHKATYGKYSAGLSTKLFNKGSTCGGCFELRCVDHILWCLQGSPSVILTATDFCPPNYGLSADYGGWCNFPKEHFEMSEAAFAEIAERKADIVPVQYKRVKCERRGGIRFTMSGSSHFCQVLITNVGLDGEVVGVKVKGPKTGWIPMARNWGQNWQCNINLIGQPLSFEVTASSGRTLASYSVAPANWQFGQTYEGKQFK >EOY00134 pep chromosome:Theobroma_cacao_20110822:2:26436779:26440608:-1 gene:TCM_009682 transcript:EOY00134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKIMGEFVVSRSTTMAPPFRLRHLATVQMVVTFFILYFMFFLEPLSSVYRLNDSVLLFWAFSYGGWSPPSTITRCLIE >EOY02095 pep chromosome:Theobroma_cacao_20110822:2:41931232:41934612:1 gene:TCM_011828 transcript:EOY02095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transport protein family, putative MGYEFGAISNLFKELLRMRKNYRKKLGVGHLEASFSTKHKKDPFHFLVIGLRCSFFTLQTEASQRDGVAPSVVQQLQQEAGGDLFSVYIRHREAMVFPGQAKKEVPRVELNKPKSLLLPGTCLASVESLSMPLVHEVVLSADIRCAECQRRIADIMSRMNDTDSVLVNVLEKKESCKHNGHDQEDFSLFPELCCMVMRVNIDCHGCYRKMRRILLNIKEVETHVIEKQQCRVSICGRFGPSDVAIKIRKRMNRRVEILEIQEISEEQTDQTPMEQ >EOX97347 pep chromosome:Theobroma_cacao_20110822:2:2042938:2044691:-1 gene:TCM_006399 transcript:EOX97347 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MSLSHRQRVTVNGIRRMRTYHYFWCLHCQRTVRFSNSNPFETHCPHCFRILSHELDVSRSRLRADLSGTEPYQTTAWLLDTLAAVLDPSTMQPNGNFGRRARWDPRPENGPWITLDFVEPPYQQPSPIIAPYLEDIVPPGVNNASNNTLQDQFGDFTDGLTDIDRPGPPPAAASAVEALPTVKITETHLINSTHCPVCKDEFEVGGEARELPCKHLYHSDCIVPWLSMHNTCPVCRFEINDDSNATDDDEIGEINFDDIGFGVEDLANGLSWLRTQFLSSRPLRAFSHWTRRYLDFLDSRINATNFSREGSFWWPSWLIL >EOX98017 pep chromosome:Theobroma_cacao_20110822:2:4276736:4278302:-1 gene:TCM_006883 transcript:EOX98017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein MASSSMSSRGSGSWTAKQNKDFERALAVYDKDTPDRWYNVAKAVGGKTAEEVKRHYELLVQDVKHIESGQVPFPNYRTSAGNSRGNASDEEKNLIPDVLFHVAPITLSFKPPELIFLSTSLLPSDDEKYGAQLRNGLASPTIKIHCHRLLVYQMSP >EOX97790 pep chromosome:Theobroma_cacao_20110822:2:3459549:3466467:-1 gene:TCM_006722 transcript:EOX97790 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MIFKRNLLKISIDSSSLSRCVLTDMGIRIPSVILHAKQVLKLQSRNQTDVPKGHIAVYVGEIQKTRYVVPISYLNHPSFLDLLNRAQEEFGFNHPMGGLTIPCDEDAFIDLTSRLHSS >EOX98711 pep chromosome:Theobroma_cacao_20110822:2:6800075:6806954:-1 gene:TCM_007408 transcript:EOX98711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease 3 MYRLNIDCCLLKSLSKLRKGIHGTTYFINCLHVLVYQRCFTCYKRFKLSQSFFCKILWNINTSLKMDTRTSNDQMPYKEEKMSKGLKRSLLVLNCALLALGNVGGPLIMRLYFLKGGKGVWTSSCLETAGWPFMVMPLTFSYLYRRRKEGPATELFFIKPPLFLVSAVVGLLTGVDDFLYAYGVARLPVSTSALIISTQLAFTAAFAFLLVKQKFTSFTINSVFLLTVGAVVLALQTSSDRPANESNRQYYLGFFMTLAASMLYGFVLPAIELTYKKAKQTITYSLVMEMQMVMSFSATVFCTIGMLFHKEFEAIPREASKFELGQSTYYLVILLSAILWQFFFMGAVGVIFSGSSLLSGIIIAALLPVTESLAVLFFHEKFQVEKAISVVLSLWGSLSYFYGELQKNKKNQASERAMA >EOY01984 pep chromosome:Theobroma_cacao_20110822:2:41604808:41608931:1 gene:TCM_011758 transcript:EOY01984 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MATELEELIDFLSAPSPPVKKAAVDIVRGLTGSEDGLHSLSNYANTVLPSLSRLLSEDKEVSEPAAEALVNLSQNAELAAKMVEIGMVKIAMDLLYKPGFSITRVLVMLLVNLTQLDDGITSLLQIGDEKMQGLYVMKLVRSFCRSSEAGDDPFDHVGSILVNISKKEAGRKMLLDPKRGLLKQIIRQFDSSGPLRKKGVSGTIRNCCFEAENQLQNLLLISEFLWPALLLPVAGNKIYSEQDTSKMPLELRSALSIEREPVKDPEICVQALEAIYLITLQEAGRRAFWSVNGPRILQVGYEDEEDPKVLEAYEQIGSLLVHGSGTEEPSTTSSK >EOY01985 pep chromosome:Theobroma_cacao_20110822:2:41604808:41608931:1 gene:TCM_011758 transcript:EOY01985 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MATELEELIDFLSAPSPPVKKAAVDIVRGLTGSEDGLHSLSNYANTVLPSLSRLLSEDKEVSEPAAEALVNLSQNAELAAKMVEIGMVKIAMDLLYKPGFSITRVLVMLLVNLTQLDDGITSLLQIGDEKMQGLYVMKLVRSFCRSSEAGDDPFDHVGSILVNISKKEAGRKMLLDPKRGLLKQIIRQFDSSGPLRKKGVSGTIRNCCFEAENQLQNLLLISEFLWPALLLPVAGNKIYSEQDTSKMPLELRSALSIEREPEAGRRAFWSVNGPRILQVGYEDEEDPKVLEAYEQIGSLLVHGSGTEEPSTTSSK >EOY01986 pep chromosome:Theobroma_cacao_20110822:2:41604889:41608384:1 gene:TCM_011758 transcript:EOY01986 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MATELEELIDFLSAPSPPVKKAAVDIVRGLTGSEDGLHSLSNYANTVLPSLSRLLSEDKEVSEPAAEALVNLSQNAELAAKMVEIGMVKIAMDLLYKPGFSITRVLVMLLVNLTQLDDGITSLLQIGDEKMQGLYVMKLVRSFCRSSEAGDDPFDHVGSILVNISKKEAGRKMLLDPKRGLLKQIIRQFDSSGPLRKKGVSGTIRNCCFEAENQLQNLLLISEFLWPALLLPVAGNKEAGRRAFW >EOY00159 pep chromosome:Theobroma_cacao_20110822:2:27652653:27656513:-1 gene:TCM_009799 transcript:EOY00159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNKKNRKKKKNTLEKRMRNKKKEPQVEKEHPNAEKSAMSSPIESEEILISNFIRDIINEAKVGQAHQQARMHQEAQLAPLAIE >EOX98754 pep chromosome:Theobroma_cacao_20110822:2:7031704:7036450:-1 gene:TCM_007447 transcript:EOX98754 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein, putative MATIPCGNTTVTWSGFRPRFMSSSNTNKLRIPQGRVLTEIRALSFSSSSIFSQNLTHTLSHSPSCKKPCHHLARRLIVKATQDYYSILGVSKSASKLDIKSAYRKLARNYHPDVNNTHFSGKIFAIAKFCDIYCGLREPGAEQKFKEISEAYEVLSDDEKRSIYDRYGHDSLKGSNMGMGEAIFGVEKEIDVSRLDCCTTCDGSGAKPGTNTTTCTTCGGQGQVVSSARTPLGVFQQVMTCSACSGTGEKLTPCNTCGGEGRVRKSKRISLKVPAGVDSGSRLRVRSEGNAGRRGGTPGDLFVVIKVIPDSVLKRDDLNILYTCKISYVDAMLGTIVKVPTVDGMADLKIPAGI >EOY01365 pep chromosome:Theobroma_cacao_20110822:2:39168084:39169868:-1 gene:TCM_011281 transcript:EOY01365 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-xylose phenolic glycosyltransferase, putative isoform 1 MAQENKANKGHVLVLPYPGQGHINPMLQFAKRLVSKGVKATLVTTIFLYNSILSDPTSSIDLQTISDGFDEGGFAQAGSPGAYLSTFRSVGSQSLASLIQKLGDTDFPFDAIIYDSFLPWALDVARQLGLLGAVFFTQSCAVNSINYHVSEGLLKLPLEGPNVSLPGLPLYKVSELPSVVYLYGSHPAWFDMIVNQFSNIDAADWVLVNTFYELEKEVVDWMSEIWKLGTVGPTIPSMHLDRRLEGNKDYGMNLFNPNTNTCMNWLNGKPNGSVVYVSFGSLADLGVEEMAEIAWGLKVSNFYFLWVVRESEETKLPYNFKEETGEKGLMVEWCPQLEVLAHDSVGCFLTHCGYNSVLEALCLGVPMLGMPQWADQATNAKHVEEIWGIGIRAFPDEKGIVRGEIIQQCIKELMEGERGKQVKENANKWKNLARDATDEGGSSDKNIDEFVAKLLHA >EOY01366 pep chromosome:Theobroma_cacao_20110822:2:39167729:39169924:-1 gene:TCM_011281 transcript:EOY01366 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-xylose phenolic glycosyltransferase, putative isoform 1 MAQENKANKGHVLVLPYPGQGHINPMLQFAKRLVSKGVKATLVTTIFLYNSILSDPTSSIDLQTISDGFDEGGFAQAGSPGAYLSTFRSVGSQSLASLIQKLGDTDFPFDAIIYDSFLPWALDVARQLGLLGAVFFTQSCAVNSINYHVSEGLLKLPLEGPNVSLPGLPLYKVSELPSVVYLYGSHPAWFDMIVNQFSNIDAADWVLVNTFYELEKEVVDWMSEIWKLGTVGPTIPSMHLDRRLEGNKDYGMNLFNPNTNTCMNWLNGKPNGSVVYVSFGSLADLGVEEMAEIAWGLKVSNFYFLWVVRESEETKLPYNFKEETGEKGLMVEWCPQLEVLAHDSVGCFLTHCGYNSVLEALCLGVPMLGMPQWADQATNAKHVEEIWGIGIRAFPDEKGIVRGEIIQQCIKELMEGERGKQVKENANKWKNLARDATDEGGSSDKNIDEFVAKLLHA >EOX97730 pep chromosome:Theobroma_cacao_20110822:2:3224803:3228707:-1 gene:TCM_006665 transcript:EOX97730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein MPSLSPPLRPNPRNRFSKKPPCLIKSFLNFTSRGHLSQAISSLELLTRKGIRLPSQTLASLLQQCAKTKSLKEGKFLHLHLKLTGLKSPNTFLSNHLINMYSNCGDYIGARRVFDKMGGRNLYSFNNMLSGYAKLGMIKPARKLFDQMPERDVVSWNTMVIAYAQSGFFEEALRFYKELRNLCIGYNEFSFAGVLTVCVKLRELQLTRQVHGQVLVSGFLSNLVISSSVVDGYVKCGMMGESRRLFDEMKVKDVLAWTTLVSGYSQWGDMESANDLFDKMPQKNPVSWTALISGYARNGMGNKALELFTRMMVCRVRPDQFTFSSCLCACASVASLTHGKQIHACLIRTNFRPNMIVISSLIDMYSKCGSLKASKRIFDLTDNKQDPVLWNTMISALAQHGYGEEAVKMFDDMVKQGVKPDRTTFLVIVNACSHSGLVQEGIRYFECISSNYGIIPDQQHYACLIDLLGRAGCFDQLMNHLEKMPCKPDSRVWNALLGVSRIHGNIELGKKAAEQLIELEPQSSAAYVLLSSIYGALGKWELVEKVRHLMNKRQVRKEVALSWIELENKVHTFTVSDTLHPLKGAIYSILDQLAGQLDEDASLFEIESTS >EOY00626 pep chromosome:Theobroma_cacao_20110822:2:33996689:34006113:1 gene:TCM_010513 transcript:EOY00626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-related, putative isoform 3 MDAYQQQQPHRYMRPPPPPLPSQPLPPADPHLQYHPQYHHQPPPPPPRAAAPPPQGSWYSNQFHYQQHHNQSHSTPSPPPLPQQHPSHWGPPPHSDHSAYPPPAPLPCPAHPHNASNHFPPPPPPPPIPYLPPAQIPHSFSQVNQEWSNPNWSHHQVPAHNNVEDWGAKAREWAKTRAAMQDQPVQSQITPAGRPEEQNRFHDPYSQAVDSHHMDAQQLLVASNYQQFPVPAASPHRPPTIYPNETLSNSSGPSSYIPDGRLPYHARDGTSALDPKSGFLLQESLPTSSSVHQQEVPSSYSSVSGKEDSANQKEQSYKTLPLPISSAQEAVYHMQPALPDIGRSVLSEQSFVYGTQTADPAADLSDRPLDFAPRFNNDHDPQMQSNYAAHHESMGTVRGIDPTAAASSINSWTPPVAPGAVFPPLPPVLPPGPQHDPSLAVPSPVSGHTAPSFPRFPGPSFQPSIPSASAPFGLATGAPLQPTTAFPGDTYGTISERPKKGPVPNWLKEEILRNKATIAKSSLEQSKEETQSIEDEAVDKSLAKGDQADSKSIDSSRSTEEEDDDEDYVEAARTAAINQEIKRVLTEVLLKVTDELFDEIATKVVNEDDLTVEVDHNTVASNYKVSPSSSPVPTPKASARVLVPGKAKESETVGVGEKSSSSSPGNVLGLANYASEDEDENEDNEIQSSRMPDSRSNAAFVPSSVKKLLQDNDASENSNSQVELDEHNGVEKNFGSDVRRLKNNRDKTTAELSDNSADRNHDKSFSSKVVSGDEINFSSGKLQERNNDSGLNDTLGEQVIKKSDYGLPDTNTGKRSTKSESQGRETRLKSDKNDRPESRKSSFQKDPGGGRELEVRSREYEKGVENHLREDERLRKQKTEDRNGSKERVKEHKTGEKAKESDKRKRSNQLNFKDDKKDAEKSHRASAKEDVDRKRERTKEEDRSRHKHGNDSSRHKRRRSSSIGSRGRNSKDNSSGHANDSSDEASDGSKRKLHSRKRHSSPSPVRSRKRQVSRSPHSKHSQRRHSPYSSFETTRGRRS >EOY00628 pep chromosome:Theobroma_cacao_20110822:2:33997132:34006826:1 gene:TCM_010513 transcript:EOY00628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-related, putative isoform 3 MQDQPVQSQITPAGRPEEQNRFHDPYSQAVDSHHMDAQQLLVASNYQQFPVPAASPHRPPTIYPNETLSNSSGPSSYIPDGRLPYHARDGTSALDPKSGFLLQESLPTSSSVHQQEVPSSYSSVSGKEDSANQKEQSYKTLPLPISSAQEAVYHMQPALPDIGRSVLSEQSFVYGTQTADPAADLSDRPLDFAPRFNNDHDPQMQSNYAAHHESMGTVRGIDPTAAASSINSWTPPVAPGAVFPPLPPVLPPGPQHDPSLAVPSPVSGHTAPSFPRFPGPSFQPSIPSASAPFGLATGAPLQPTTAFPGDTYGTISERPKKGPVPNWLKEEILRNKATIAKSSLEQSKEETQSIEDEAVDKSLAKGDQADSKSIDSSRSTEEEDDDEDYVEAARTAAINQEIKRVLTEVLLKVTDELFDEIATKVVNEDDLTVEVDHNTVASNYKVSPSSSPVPTPKASARVLVPGKAKESETVGVGEKSSSSSPGNVLGLANYASEDEDENEDNEIQSSRMPDSRSNAAFVPSSVKKLLQDNDASENSNSQVELDEHNGVEKNFGSDVRRLKNNRDKTTAELSDNSADRNHDKSFSSKVVSGDEINFSSGKLQERNNDSGLNDTLGEQVIKKSDYGLPDTNTGKRSTKSESQGRETRLKSDKNDRPESRKSSFQKDPGGGRELEVRSREYEKGVENHLREDERLRKQKTEDRNGSKERVKEHKTGEKAKESDKRKRSNQLNFKDDKKDAEKSHRASAKEDVDRKRERTKEEDRSRHKHGNDSSRHKRRRSSSIGSRGRNSKDNSSGHANDSSDEASDGSKRKLHSRKRHSSPSPVRSRKRQVSRSPHSKHSQRRHSPYSSFETTRGRRS >EOY00625 pep chromosome:Theobroma_cacao_20110822:2:33996533:34006606:1 gene:TCM_010513 transcript:EOY00625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-related, putative isoform 3 MDAYQQQQPHRYMRPPPPPLPSQPLPPADPHLQYHPQYHHQPPPPPPRAAAPPPQGSWYSNQFHYQQHHNQSHSTPSPPPLPQQHPSHWGPPPHSDHSAYPPPAPLPCPAHPHNASNHFPPPPPPPPIPYLPPAQIPHSFSQVNQEWSNPNWSHHQGCPLPLLVKVNLNLCLSYNMLMMMDLACNNILVPAHNNVEDWGAKAREWAKTRAAMQDQPVQSQITPAGRPEEQNRFHDPYSQAVDSHHMDAQQLLVASNYQQFPVPAASPHRPPTIYPNETLSNSSGPSSYIPDGRLPYHARDGTSALDPKSGFLLQESLPTSSSVHQQEVPSSYSSVSGNNFTCYSSDKLMICRLGMLGFVFCYLTPYLMPGKEDSANQKEQSYKTLPLPISSAQEAVYHMQPALPDIGRSVLSEQSFVYGTQTADPAADLSDRPLDFAPRFNNDHDPQMQSNYAAHHESMGTVRGIDPTAAASSINSWTPPVAPGAVFPPLPPVLPPGPQHDPSLAVPSPVSGHTAPSFPRFPGPSFQPSIPSASAPFGLATGAPLQPTTAFPGDTYGTISERPKKGPVPNWLKEEILRNKATIAKSSLEQSKEETQSIEDEAVDKSLAKGDQADSKSIDSSRSTEEEDDDEDYVEAARTAAINQEIKRVLTEVLLKVTDELFDEIATKVVNEDDLTVEVDHNTVASNYKVSPSSSPVPTPKASARVLVPGKAKESETVGVGEKSSSSSPGNVLGLANYASEDEDENEDNEIQSSRMPDSRSNAAFVPSSVKKLLQDNDASENSNSQVELDEHNGVEKNFGSDVRRLKNNRDKTTAELSDNSADRNHDKSFSSKVVSGDEINFSSGKLQERNNDSGLNDTLGEQVIKKSDYGLPDTNTGKRSTKSESQGRETRLKSDKNDRPESRKSSFQKDPGGGRELEVRSREYEKGVENHLREDERLRKQKTEDRNGSKERVKEHKTGEKAKESDKRKRSNQLNFKDDKKDAEKSHRASAKEDVDRKRERTKEEDRSRHKHGNDSSRHKRRRSSSIGSRGRNSKDNSSGHANDSSDEASDGSKRKLHSRKRHSSPSPVRSRKRQVSRSPHSKHSQRRHSPYSSFETTRGRRS >EOY00627 pep chromosome:Theobroma_cacao_20110822:2:33997132:34006826:1 gene:TCM_010513 transcript:EOY00627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-related, putative isoform 3 MQDQPVQSQITPAGRPEEQNRFHDPYSQAVDSHHMDAQQLLVASNYQQFPVPAASPHRPPTIYPNETLSNSSGPSSYIPDGRLPYHARDGTSALDPKSGFLLQESLPTSSSVHQQEVPSSYSSVSGKEDSANQKEQSYKTLPLPISSAQEAVYHMQPALPDIGRSVLSEQSFVYGTQTADPAADLSDRPLDFAPRFNNDHDPQMQSNYAAHHESMGTVRGIDPTAAASSINSWTPPVAPGAVFPPLPPVLPPGPQHDPSLAVPSPVSGHTAPSFPRFPGPSFQPSIPSASAPFGLATGAPLQPTTAFPGDTYGTISERPKKGPVPNWLKEEILRNKATIAKSSLEQSKEETQSIEDEAVDKSLAKGDQADSKSIDSSRSTEEEDDDEDYVEAARTAAINQEIKRVLTEVLLKVTDELFDEIATKVVNEDDLTVEVDHNTVASNYKVSPSSSPVPTPKASARVLVPGKAKESETVGVGEKSSSSSPGNVLGLANYASEDEDENEDNEIQSSRMPDSRSNAAFVPSSVKKLLQDNDASENSNSQVELDEHNGVEKNFGSDVRRLKNNRDKTTAELSDNSADRNHDKSFSSKVVSGDEINFSSGKLQERNNDSGLNDTLGEQVIKKSDYGLPDTNTGKRSTKSESQGRETRLKSDKNDRPESRKSSFQKDPGGGRELEVRSREYEKGVENHLREDERLRKQKTEDRNGSKERVKEHKTGEKAKESDKRKRSNQLNFKDDKKDAEKSHRASAKEDVDRKRERTKEEDRSRHKHGNDSSRHKRRRSSSIGSRGRNSKDNSSGHANDSSDEASDGSKRKLHSRKRHSSPSPVRSRKRQVSRSPHSKHSQRRHSPYSSFETTRGRRS >EOX98627 pep chromosome:Theobroma_cacao_20110822:2:6523511:6526390:1 gene:TCM_007342 transcript:EOX98627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein, putative MAICSLKMVSPQLLMNSLLCKLLTITVLFCLITPYTNALSFNFTSFYPNDNNITYERSAYPANEVIQLTTNQRDVLMTASVGRATYSKTLHLWDKASGNLTDFSTSFTFVIDSQNRSAYGDGIAFFLAPAGSKIPDNVTKGGTLGLASDGMELNTTVNRFVAVEFDIYKNSWDPEHEHVGININSMKSMTNITWWGDIMAGKTNEAWISYDSSTQNLSVAFTGSRNNTKVMQHLNSIIDLRLHLPEPVTFGFSAATGNASSLHQIPSWAFTSSLEIDNNITDPDPNLNRNRRRKNRTGLAVGLGVTGALVGGMVLVWLASLYRKKLGKDEEEGHVIDEEIEDEFERGTGPRKFSYNELAHATDNFKDVGKLGQGGFGAVYKGFLRDSSYYVAIKRVSSGSKQGIKEYASEVKIISRLRHRNLVQLIGWCHDKKELLLVYEFMPNGSLDSHLFNENSLLTWEVRYKIAQGLASGLLYLHEGWEQCVVHRDIKSSNVLLDSDFNAKLGDFGLARLVDHAKGSQTTVLAGTVGYLAPECHIAGKASKQSDVYSFGVVALEIACGRKPIDPKAGEGKVNLVQRVWELYGTGNLLEACDPRLRGEFVKQEVEQLLIIGLWCAHPDENSRPSIQQAIHVLNFEAPLPILPSKMPVPTYYAPPLNVPAFPLSYSGTDSGGQQNQFSSYTCSTNSSQFTTSSSSSASTSLLSARQV >EOX98701 pep chromosome:Theobroma_cacao_20110822:2:6754445:6756317:-1 gene:TCM_007398 transcript:EOX98701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-4, putative MEMGGGYRPQSHRISLNADTLLVIKLPDLRFLLVLSRSLFLATVIVTLPWIRSVLTGPSGSVFNTIDYHQNSGSINLEYWNLLWQDFADEGLIRKGDKALILNSAIQGVADGGSMFVNDNEIDLVVEPDLERQSSLPDEGFDFVFVFGSLDSKFVDRVVKIGGVIAMQLGDDISSYYQKQSDYRIVYLKKYSSTILAMRKLGSNNHLLDSSAKRRLCQLTLEARKAALKGLEDVLFEPPRRALAKSHAYLRKMNFLPDLLGDSLEHYPRRVFINVGSSEDKNVVMKWFDKNYPKRNQEFEFYSLEMGLSGGEGRRVSPRIDVSDWLMKNAREEEYVVMKAEADAVEEMIARRAISLVDELFLECDNQWQDGGKKNKKKKKSKRAYWECLALYGRLRDEGVAVHQWWD >EOY01050 pep chromosome:Theobroma_cacao_20110822:2:37108702:37111841:-1 gene:TCM_010982 transcript:EOY01050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily MDKLNVLAVIFIAHFFIFPLAYFVHGATITSCSQTPYPEVCNYFMGNSIVAASLDQTQFSFRDLVIQVTLNQAVRAHRLVSTMDLSSFDERAKLAWNDCLELYENTVNHLNHSKSTNNPMDAQTWLSAAIANQQTCQNGFIDFNLASHLQTFPSMLGNFSKLLSNSLAINKATVTSSSTAKQVGNRRLLAHGFPAWLSAADRKLLQKTGAPPKADIVVAQDGSGNFKSISEAVAAAGGGKRTVIYVKAGVYRENVNIKKSNIMLIGDGMDATVVTGSKSAQTTTTFSSATVGVAGDRFVARDITFDNSAGPQKHQAVAFRSGSDHSVIYRCSFKGYQDTLYVYSQRQFYRDCDIYGTLDFIFGDAVAVLQNCNIYIRKPMSNQRNTVTAQGRTDANENTGIIIHNSRVTASSDLRGVQGSFKSYLGRPWQKYSRTVFMKSVLDGVITAEGWLPWSGSFALSTLYYAEHMNTGAGADTSGRVKWGGYHVISAAEAGKFTVGNFLAGDAWIPGTGVPFDAGL >EOX98976 pep chromosome:Theobroma_cacao_20110822:2:7967443:7969503:-1 gene:TCM_007625 transcript:EOX98976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MAATSLHDVVRLRPLHSLQQTKEKGPRVRGRLAFPQLYRYKRPLLGLGYGNRSFITCYRDQSTESGGSVLGVSDIKEKCNKWQWKGQYSINYFVSSSSSKAESKSSLLLVHGFGASIPHWRRNIGTLSQDYSVYAVDLLGFGASDKPQGFPYTMEAWAQLILDFLNEVVQKPTVLIGNSVGSLACVIAASESSQNLVRGLVLLNCAGGMNNKAVVDDWRIKLLLPLLWLFDFLLKQRGIATVVFERAKQRDNLRNILLSVYGNKESVDDELVEIINGPTNDEGALDAFVSIITGPPGPNPAQLMPRINLPVLVLWGDQDPFTPLDGPVGKYFSSLPSQSSNVSLFVLEGVGHCPHDDKPDLVHEKLLPWLAQLAAL >EOY01824 pep chromosome:Theobroma_cacao_20110822:2:41047478:41049291:-1 gene:TCM_011632 transcript:EOY01824 gene_biotype:protein_coding transcript_biotype:protein_coding description:WIN1-like protein MNLRQESMMGKRKLASEGKGNSVDEEVTWEQMVMDSAAAVAAFNGARRARKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTYDTAEEAARAYDEAAYLLRGANTRTNFWPFSPSSKPVLPSKIVNLLLLRLKDSSASAAASMASNSPVNVLGYEAAAGEDMCIDDFFNVPEDCSQNTISSSSTSTTTTSSSATATDCMLEMMTFGLNFANTENGDHDLKAIHLGNSHIEGGREEKREGSKEEEEIDMEGLLDFQFVDAVGLSCCCSPFEIAEEMVEEPMEQQENDGDDPSMLRETMKRMKYERKFSASLYAFHGIPECLRMKHNESAGNDKPEHQSNLRNNFDTDKKPEIKEEHEQVGIIPETSIETGSPSAYSSLSNEAAEIWSSLDLPPIGLVS >EOY00947 pep chromosome:Theobroma_cacao_20110822:2:36050214:36051495:-1 gene:TCM_010852 transcript:EOY00947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMGLWPPTPWCQNPIAGLSKFLDNPAVKMKPAKPKKERTTYQKNLPTTSYLSHIKKDPSTKKSKKNQTQKKQSKEKKEEKGEIKGKECDAPGREKEEKEKEEERKRKKKGDASGGNK >EOX99652 pep chromosome:Theobroma_cacao_20110822:2:13136347:13141529:-1 gene:TCM_008389 transcript:EOX99652 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain MESNNINVSFESNVHFLEESDDCLQIEQLGATDEKKPCQSKNRKLTSKLWTFFERLLEKNSSDGKSKVKCKLCGYILNYESKYGTGNLKRHNDNCVRKDTRDIGQMIFSKEHNSMLMRSSKFDLEKFRELVVATIVMHNLPLSFVEYIGTKSMLSYLREDVVLISRNTVKADIIKMHKREKYGLKEVDSAIQKVRESIKYVKGSQGRKQKFLECVSLVNLNAKRSLKQDVPTWWNSTFPMLESALYFRLAFSYLEISDSNFKHSPSRNKWDRIEKLSKFLSVFYEITCVFSETKYPTTDLYFPSIFMARMTLEEHMSGDDVYLKNMATQMFFKFEKYWSEISLILAIAVIFDYRYKIQFVEWSYAKFYGSDSAEFKKVQDHLFSLYDEYAVKVSNTLFALNDIPFDEKNVHKGKNEFLKEFDNFQREFGTAKNKSQLEQYLDEQTVETTIELDILQFWKTNQFRHPEVSAMTRDILAIPVSIVASEFAFSVGAYVETDMNSLTADVINLEISKEEMSSAECSTTVDVD >EOX97427 pep chromosome:Theobroma_cacao_20110822:2:2239148:2241118:-1 gene:TCM_006441 transcript:EOX97427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGQPSPGGKMLQGRDYGCQDLQGSMKPSHEQKPCMFELPPVSGLVENEGHENMVIEDDVTNYAEQGTLEHNEVGKSEDGSPWQRMKWTGKMVKLLITILSYIGEDPSTDCVGSQRKMSSLLRKLGKWKCVSKVMVERGYHVSPQQCEDKFNNLNKTYRRLNDLLGRGTSCKVVENPKLLDIIDLSEKGKEDVRKILTSKHLFFEEMCSYHNGNRLYLPHDPDLLQCLLLILKNENDNELYDLSQPGLYGIDKKAGVLAEGDAAEDSGATSGFPAFSARLRLTNENEIAGFGNTSKSLDSNQTLDDQGDLAEYNGTNSGFSAVSAMWLKQTNENQAAGLGNPLKPWDCNQNSDAQPLYNFAHQNLSCPEGNEADRSQNQQMAYRMYQLEMQKLQLKSKMLKLEKQRFKWQCNSLKQDMEVDRMRMENKCLKLGNECIAMELKQRRIN >EOX98727 pep chromosome:Theobroma_cacao_20110822:2:6863343:6899135:1 gene:TCM_007422 transcript:EOX98727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokin receptor 1, putative MSSANLCLLLILLVFSFHSWILRSQELTCNLNDLIALNGFSSCLESDIVGWSNSTSDCCTWTGVTCDNSTALSQRVVSLELGSNKLSGKICDSLAGLHQLRILNLSHNLLRGSLPTKLFHMQNLEVLDLSDNDFVGRIPAESHLSSIRYVDISKNSFFGPMDKNLCKTSPHIQYLDLSSNNFFGEVSPALGNCTSLQNLILAGNNFRSLPKGIFQLQHLSVLHLHNNSFSGPLSDGIGNLSNLVELDISSNSFTGVLPDVFGKLQKLEQFSASSNKFTGILPISMVNSPSLIKLDLHNNTLNGPINLNCSVMTRLMSLHLGSNNFHGPIPHSMSSCKSLSMLHLGHNNLRGQVPYRFKNLQSLKVLILSRTNLVNLSSTLKILQYCNNLTVLILSENFQEEQMPTDVNLQFRTLQVLSIAYCELRGSFPLWLRGCNVLQFLDLSRNHLSGSIPLWFNRFKYLFYVDLSNNSFTGEIPKGLTELEGLIDMNISLEGPSLGFPLFRTATDGATFGYNKIWSFPPTMDLSYNILSGPILPTFGNLRRLHVLNLNQNHLSGSIPESLSGMTNLEILDLSHNKLSGEIPNSIVQLSFLSKFSVAYNELYGEIPAGGQFMTFPYSSFEGNNGLCGRIYTPCQPEHAPTISRGEQMIIFGSQFAYGVVTGFLLTVTICFMSGWIVPRGAPFGVLNPRQTDTS >EOX99607 pep chromosome:Theobroma_cacao_20110822:2:12638145:12644078:-1 gene:TCM_008325 transcript:EOX99607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRTRLPPKIHYGEPGRAATKRKTTAHKRKNNDSELVAPKKIRVGKSGEVSHVPENWEDEIIRERGEDRFWEEVRARQVDKHPSESVGYDWNRLCLEAPPHRRSGIPGPSFLKFRFEHGKDDFHSIKLSEEEVFTRDFFFDLLKSLSKTSKIAPFSNWIGVFYKKFNAKGIEIDSPEYPDHKYELVALIIFWLAQHVLQGCPDDGISSAVVPLAIKIIKGICFPLAPLYLGSLYKRLDLYQLKIIESPGRYKVLTYVDVSFIQMCLWERFGTCAHMPNAYPFASFSMNNLLSRNNYRAWAWHDRLQKGNVIEMMDVTKEFNHRPYVQPINGFGDPAIYYDLHPLQSGRMSSRGINFCIWVHSSHLPSMIESSSSGGDRNFRSVEVYSPYRVARQFGFDQLAPPDSSSPISFSSCVSSFLMTGLSLHLDKLKSCTIPAFDRVGIHTSG >EOY01900 pep chromosome:Theobroma_cacao_20110822:2:41315933:41324616:1 gene:TCM_011693 transcript:EOY01900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 2 MGLQNLQNQNMSLVLSTDAKPRLKWTPELHQRFVEAVNQLGGADKATPKSLMRVMGIAGLTLYHLKSHLQAITLHYKYRLGKSQQTEICLSNKQDDYREIQSSNGDFHSDISDETHKQMNDLQIAQALQMQMEVQRKLHEQIEVQRHLQLRIEAQGKYLQSVLKKAQETLAGYSSSSVGVELAKAELSQLVSMVNTGCTSSSFSELTEGGGSSLKIERKPMRGTICSMESSLTSSESSGRKDEEPPKNENISTPKSNASVELSLMDIHPEKKPLIGGSSNQAKGKKRSGSNISDGTCVEQPLAKRLELPEEETGHWLRKSGLLGSFDLNSQCQSDIELGPKAIDLNCRE >EOY01901 pep chromosome:Theobroma_cacao_20110822:2:41323018:41324660:1 gene:TCM_011693 transcript:EOY01901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 2 MRVMGIAGLTLYHLKSHLQKYRLGKSQQTEICLSNKQDDYREIQSSNGDFHSDISDETHKQMNDLQIAQALQMQMEVQRKLHEQIEVQRHLQLRIEAQGKYLQSVLKKAQETLAGYSSSSVGVELAKAELSQLVSMVNTGCTSSSFSELTEGGGSSLKIERKPMRGTICSMESSLTSSESSGRKDEEPPKNENISTPKSNASVELSLMDIHPEKKPLIGGSSNQAKGKKRSGSNISDGTCVEQPLAKRLELPEEETGHWLRKSGLLGSFDLNSQCQSDIELGPKAIDLNCRE >EOX99010 pep chromosome:Theobroma_cacao_20110822:2:8071753:8075367:-1 gene:TCM_007647 transcript:EOX99010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAFLASSSTTSHSFTCSYSSSQFLSRPKIAGRPFRSSFLSIPHLIPGRPNLSRSITAAAAKSGGNAVPSKNQRENKKEVVEKDTEEVEVDVEEELPWIQEKALDLVEFTGSVTQAIPGPRVGTSSLPWILAVPLAYAGITFVIAFVKTVKKFTSPRHKRKKLVNKNAMLCKSIDELFQQGSDAIDQSALKGLVQKTGFSMEEILRKYIRYSLNEKPWSADLVASLIQLRKVSILDDSHVAEILNEISRRIVREKGPVVMDMSGFTEKGFKRKLAVQGLFGKVLYLSELPEFCSRDSSLIVKEIFGVTDEDADKLRLHTFSEAGDMDSLEKMVDSSDSEDSSEHSSDAA >EOX99011 pep chromosome:Theobroma_cacao_20110822:2:8071257:8075418:-1 gene:TCM_007647 transcript:EOX99011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAFLASSSTTSHSFTCSYSSSQFLSRPKIAGRPFRSSFLSIPHLIPGRPNLSRSITAAAAKSGGNAVPSKNQRENKKEVVEKDTEEVEVDVEEELPWIQEKALDLVEFTGSVTQAIPGPRVGTSSLPWILAVPLAYAGITFVIAFVKTVKKFTSPRHKRKKLVNKNAMLCKSIDELFQQGSDAIDQSALKGLVQKTGFSMEEILRKYIRYSLNEKPWSADLVASLIQLRKVSILDDSHVAEILNEISRRIVREKGPVVMDMSGFTEKGFKRKLAVQGLFGKVLYLSELPEFCSRDSSLIVKEIFGVTDEDADKLRLHTFSEAGDMDSLEKMVDSSDSEDSSPRKVQTIL >EOY00116 pep chromosome:Theobroma_cacao_20110822:2:25980791:25985955:-1 gene:TCM_009635 transcript:EOY00116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLNFHGPTHPILNEKWVDLMGQTNFDRYTEKASTSKGMKPTEKVKKDKDNDKCHFCGKLGYWRHNCKDYLESIKGKKQKKASTLERKRKKKVFLRAPPERKKRERKERKLRKAQVVKIQGNCGFLAPYC >EOY00510 pep chromosome:Theobroma_cacao_20110822:2:33161403:33163940:1 gene:TCM_010395 transcript:EOY00510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 IWVNQFTKFSFLKLIPTPFPTLPSELFTSSAIPRFRSIVLELKVTALCKKLATWWPWVRQIFAIGYATFMINS >EOY00511 pep chromosome:Theobroma_cacao_20110822:2:33161403:33164875:1 gene:TCM_010395 transcript:EOY00511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 IWVNQFTKFSFLKLIPTPFPTLPSELFTSSAIPRFRSIVLELKVTALCKKLATWWPWVRQIFAIGYATFMKEEDY >EOX99404 pep chromosome:Theobroma_cacao_20110822:2:11021048:11641479:1 gene:TCM_008088 transcript:EOX99404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MPTELMDTQQIDACISVLCKRVHEHHPQHYEQRICIVDTTFYLILLHLSQEIQPSPIKKTFKLSEAVMPDDVLEYARGGRPPWGLPWHEVDSILIPCFFDGHWVVVHPNLLKWTMMLVDSSYSRKEALKSSLRDKQMSLLTSLFPIICQKVRYFVNSRR >EOX99405 pep chromosome:Theobroma_cacao_20110822:2:11640619:11641514:1 gene:TCM_008088 transcript:EOX99405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MHASVCCVSGRASTIHNTTSREICIVDTTFYLILLHLSQEIQPSPIKKTFKLSEAVMPDDVLEYARGGRPPWGLPWHEVDSILIPCFFDGHWVVVHPNLLKWTMMLVDSSYSRKEALKSSLRDKQMSLLTSLFPIICQKVRYFVNSRR >EOX98186 pep chromosome:Theobroma_cacao_20110822:2:4788583:4791658:1 gene:TCM_007000 transcript:EOX98186 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein, putative isoform 1 MAQAQPEEKPKEEPQEKFQMKDAMAAKRTTSCNSGSSNGSSTSNSVTFKFNAQAPEFVPRSHTQVPLSGYYYPCFHYLGGAGGSDWFFVGDQEPADYLISNPNLPIPNCSSKNLLTDDLRQKIIRQVEYQFNDMSLLANESLSKQISKDPEGYVSISFIASMKKIKSLITNNQLLAQALRSSSKLVVSDDGKKVKRKHPFTEKKREEVASRTVVIENLPEDHSHQNLDKIFNVVGSVQNIRICHPQESNSSRSKSDFFMCNKKLHALVEYESTEIAEKAVDKLNDERNWRKGLRVRLLLRLSPKSVLKSRKSEFDGILDEDESPVAEYSEDSSLSNIAEAIENNADQDNAVGSKKGWGKGRGKGRGRVQNHSGRGLIAACPQPGSAVQCEASVKQTSKGPRMPDGTRGFTMGRGKPISSALE >EOX98185 pep chromosome:Theobroma_cacao_20110822:2:4788562:4793840:1 gene:TCM_007000 transcript:EOX98185 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein, putative isoform 1 MAQAQPEEKPKEEPQEKFQMKDAMAAKRTTSCNSGSSNGSSTSNSVTFKFNAQAPEFVPRSHTQVPLSGYYYPCFHYLGGAGGSDWFFVGDQEPADYLISNPNLPIPNCSSKNLLTDDLRQKIIRQVEYQFNDMSLLANESLSKQISKDPEGYVSISFIASMKKIKSLITNNQLLAQALRSSSKLVVSDDGKKVKRKHPFTEKKREEVASRTVVIENLPEDHSHQNLDKIFNVVGSVQNIRICHPQESNSSRSKSDFFMCNKLHALVEYESTEIAEKAVDKLNDERNWRKGLRVRLLLRLSPKSVLKSRKSEFDGILDEDESPVAEYSEDSSLSNIAEAIENNADQDNAVGSKKGWGKGRGKGRGRVQNHSGRGLIAACPQPGSAVQCEASVKQTSKGPRMPDGTRGFTMGRGKPISSALEHTSFLGYVEYSVLNLRSIT >EOX98673 pep chromosome:Theobroma_cacao_20110822:2:6671846:6677027:-1 gene:TCM_007377 transcript:EOX98673 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP dissociation inhibitor family protein / Rab GTPase activator family protein isoform 1 MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLMQLWKRFRGDDKPPEQLGASREFNVDMIPKFMMANGGLVRILIHTDVTKYLNFKAVDGSFVYNKGKIYKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYEDNDPKSHEGLDLNKVTARELISKYGLEDDTIDFIGHALALHIDDSYLDQPALDFVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDGDGKAIGVTSEGETARCKKVVCDPSYLPDKVQKVGKVARAICIMSHPIPDTNDSHSAQVILPQKQLGRKSDMYLFCCSYAHNVAPKGKFIAFVSTEAETDNPEVELKPGIDLLGPVDEIFYDTYDRYVPTNNHGADNCFISASYDPTTHFETTVTDVIEMYSKITGKALDLSVDLSAASAAEE >EOX98674 pep chromosome:Theobroma_cacao_20110822:2:6671959:6677006:-1 gene:TCM_007377 transcript:EOX98674 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP dissociation inhibitor family protein / Rab GTPase activator family protein isoform 1 MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLMQLWKRFRGDDKPPEQLGASREFNVDMIPKFMMANGGLVRILIHTDVTKYLNFKAVDGSFVYNKGKIYKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYEDNDPKSHEGLDLNKVTARELISKYGLEDDTIDFIGHALALHIDDSYLDQPALDFVKRMKLYAESLARFQGGSPYIYPLYGLGELPQQAFARLSAVYGGTYMLNKPECKVEFDGDGKAIGVTSEGETARCKKVVCDPSYLPDKVQKVGKVARAICIMSHPIPDTNDSHSAQVILPQKQLGRKSDMYLFCCSYAHNVAPKGKFIAFVSTEAETDNPEVELKPGIDLLGPVDEIFYDTYDRYVPTNNHGADNCFISASYDPTTHFETTVTDVIEMYSKITGKALDLSVDLSAASAAEE >EOX97164 pep chromosome:Theobroma_cacao_20110822:2:1416089:1418689:1 gene:TCM_006252 transcript:EOX97164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein isoform 3 MILKWVNDFVDWCKAIEGGVEGLSENTLKALKEKEKEPEAPEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYVCHWEGCGKKFLDSSKLKRHFLIHTGERDFICPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYPDCGKRYAHEYKLKNHIASHHEKNTTADVPKYATPTEKITKTPKPSGGAYGSASSDRPYVCPYEGCEKAYIHEYKLKLHLRREHPGHMSDENVENATPNADNEMDEASDQDAYAGKRVNGKSQKQQSRAKPNVKMPPAKIARQKGSSPVTLPVPKKQWPVKEEVYEEEDSEETEEDRENVEDGWRYADNNEDDDEETEYED >EOX97165 pep chromosome:Theobroma_cacao_20110822:2:1415699:1419025:1 gene:TCM_006252 transcript:EOX97165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein isoform 3 PLLNGSKNENTLKALKEKEKEPEAPEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYVCHWEGCGKKFLDSSKLKRHFLIHTGERDFICPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYPDCGKRYAHEYKLKNHIASHHEKNTTADVPKYATPTEKITKTPKPSGGAYGSASSDRPYVCPYEGCEKAYIHEYKLKLHLRREHPGHMSDENVENATPNADNEMDEASDQDAYAGKRVNGKSQKQQSRAKPNVKMPPAKIARQKGSSPVTLPVPKKQWPVKEEVYEEEDSEETEEDRENVEDGWRYADNNEDDDEETEYED >EOX97163 pep chromosome:Theobroma_cacao_20110822:2:1415475:1418957:1 gene:TCM_006252 transcript:EOX97163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein isoform 3 MEMQFPHNLFERRPIFKSRAPAVKWFKEWVPQDVVATGGKCMILKWVNENTLKALKEKEKEPEAPEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYVCHWEGCGKKFLDSSKLKRHFLIHTGERDFICPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYPDCGKRYAHEYKLKNHIASHHEKNTTADVPKYATPTEKITKTPKPSGGAYGSASSDRPYVCPYEGCEKAYIHEYKLKLHLRREHPGHMSDENVENATPNADNEMDEASDQDAYAGKRVNGKSQKQQSRAKPNVKMPPAKIARQKGSSPVTLPVPKKQWPVKEEVYEEEDSEETEEDRENVEDGWRYADNNEDDDEETEYED >EOX98942 pep chromosome:Theobroma_cacao_20110822:2:7864521:7865942:1 gene:TCM_007603 transcript:EOX98942 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook DNA-binding family protein, putative MSGLESGSGSRYVHQLLGPELQLQRSSQPHLNVSQLTDSKQSPETEEGTDADAATTSSGGTTPGRRPRGRPAGSKNKPKPPIIITRDSPNALRSHVLEITSGSDIVDSVSNYARRRGRGVCVLSGTGAVTNVTLRQPAAPAGSVVTLHGRFEILSLTGTSLPPPAPPGAGGLTIYLAGGQGQVVGGSVAGPLMASGPVVLMAASFANAVYDRLPVEEEEPPVQVQPAASQSSEVTGSGGGQLGDGGSGGNNGGASGTGGGGVPFYNLGANMGSYPFPGDVFGWSGSATRPSF >EOX98440 pep chromosome:Theobroma_cacao_20110822:2:5784386:5786881:1 gene:TCM_007205 transcript:EOX98440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erv1/Alr family protein isoform 2 MLPSLRKAVIGPFSNSYLEKYPNSTLNVQLLVFPPLCLSSKMPENPNPIQALFQTVERFSNCVQTHLANFTRQSHHPSPTKKNPLISLSSSGPNADYPATTTKNSAAPVTREELGRATWTFLHTLAAQYPENPTRQQRKDVKELMSILSRMYPCKECADHFKEVLRANPVQAGSHDEFSQWLCHVHNVVNRSLGKLRFPCERVDARWGKLECEQRACDLEGTTTSHIEF >EOX98441 pep chromosome:Theobroma_cacao_20110822:2:5784610:5786858:1 gene:TCM_007205 transcript:EOX98441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erv1/Alr family protein isoform 2 PLKDSRIVSKRTLPISPGSLIIHRPPRKILSSLSHHQDQTLTTLPLLLREELGRATWTFLHTLAAQYPENPTRQQRKDVKELMSILSRMYPCKECADHFKEVLRANPVQAGSHDEFSQWLCHVHNVVNRSLGKLRFPCERVDARWGKLECEQRACDLEGTTTSHIEF >EOY00407 pep chromosome:Theobroma_cacao_20110822:2:32103353:32106597:-1 gene:TCM_010276 transcript:EOY00407 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein, putative isoform 2 MASQLSLITLFLFFSLVSSEIILEEGYTVTTVIDCHKLKIFPYSVLALPGSSDLLVLDSFNSHLYTVSFPLSNESEVKRISSGEGKAGLWDGELGQARFNNPRSFALDAKGNVYVADRGNHVIRKITPSGAVTTIAGGYSKTVGNKDGPAQNATFSNDFELAIVAERCILLVVERGSQSVRQIDLNPADCATSSPSGQIFGLGAVTIWTLGLGLSCLLGLFMGILLRPYIIPHEGLTLIRFSKIWNHCVINLGKQVAILCYDIKSAVANSKLYLFMLKLFWLCLSHMSLLFSVNFVEYRTSEKDIVSLLDSDDLSNPEVKKSRIFSDQLKDLICCDETLELPYTSEFIFKQGDGNQNGSTVLADCHGRIDALIQANVMEFANEAEETTAIVKPGASSSRLVKRR >EOY00409 pep chromosome:Theobroma_cacao_20110822:2:32103260:32105645:-1 gene:TCM_010276 transcript:EOY00409 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein, putative isoform 2 SLGKSPPQVSVGAVTTIAGGYSKTVGNKDGPAQNATFSNDFELAIVAERCILLVVERGSQSVRQIDLNPADCATSSPSGQIFGLGAVTIWTLGLGLSCLLGLFMGILLRPYIIPHEGLTLIRFSKIWNHCVINLGKQVAILCYDIKSAVANSKLYLFMLKLFWLCLSHMSLLFSVNFVEYRTSEKDIVSLLDSDDLSNPEVKKSRIFSDQLKDLICCDETLELPYTSEFIFKQGDGNQNGSTVLADCHGRIDALIQANVMEFANEAEETTAIVKPGASSSRLVKRR >EOY00408 pep chromosome:Theobroma_cacao_20110822:2:32103423:32106405:-1 gene:TCM_010276 transcript:EOY00408 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein, putative isoform 2 IHKAIYRDSLSRPFFSNYVFYTSGKKKGLYLPVLLKLKALENTGVLIIVTITMASQLSLITLFLFFSLVSSEIILEEGYTVTTVIDCHKLKIFPYSVLALPGSSDLLVLDSFNSHLYTVSFPLSNESEVKRISSGEGKAGLWDGELGQARFNNPRSFALDAKGNVYVADRGNHVIRKITPSGAVTTIAGGYSKTVGNKDGPAQNATFSNDFELAIVAERCILLVVERGSQSVRQIDLNPADCATSSPSGQIFGLGAVTIWTLGLGLSCLLGLFMGILLRPYIIPHTGRPHPHQIQQDMEPLRNQFGETSSDTLLRHQKRSC >EOY02050 pep chromosome:Theobroma_cacao_20110822:2:41792607:41795334:-1 gene:TCM_011798 transcript:EOY02050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase family protein, putative MATSDRSFGLFFFSLFFVVIIPTLHAHIAEYDDYWRQREAEAKEHFNKAYNPNPEEVTQHFNHHVARTLMGFNSTRRSLRGKRRTGPCQATNPIDQCWRCDPNWHRNRKRLADCSLGFGRGTIGGKNGRYYIVTDPSDNDMVNPKPGTLRHAVIQKRPLWIIFARSMIIKLNQELIMTSDKTIDARGANVHVAYGAGITIQFARNIIIHGLHIHDIVQGSGGMIRDSVDHYGFRTKSDGDGVSLFGATNIWLDHLSMYNCYDGLIDAIQGSTAITISNCHFTNHNEVMLFGASDSYSEDQKMQITVAFTHFGKGLVQRMPRCRWGFIHVVNNDYTHWLMYAIGGSSHPTIISQGNRFVAPPNAAAKEVTKRDYAPPEVWKNWSWRSEGDLMVNGAFFTQSGDPRASRRFLGNFMISAKPGQMVSLLTRYSGSLDCVRRRPC >EOX99384 pep chromosome:Theobroma_cacao_20110822:2:10917403:10921077:-1 gene:TCM_008068 transcript:EOX99384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA splicing factor-related MVFVATPNSKTVSLNLNPKTTTLLSLQQSIQLHTQIPISHQNLLLSPNPRSLLLSSQNPDSVLLSQLHITPYSTLFLHVPLLGGTQPGPGGAAPPKPRLDFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSATTIGGAAASSGLGRGRGKPGEDEDEDEGDDKGYDENQKFDEFEGNDVGLFASAEYDEDDKEADAVWEAIDKRMDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLHTMSAQEWESIPEIGDYSLRNKKRRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKIQAARQLIQKGCEECPKNEDVWLEACRLSSPDEAKAVIARGVKSIPNSVKLWLQAAKLEHDDVNKSRVLRRGLEHIPDSVRLWKAVVELANEEDAVLLLERAVECCPLHVELWLALARLRDYDKAKKVLNRAREKLPKEPAIWITAAKLEEANGNNAMVGKIIERCIRALQREGLVIDREAWMKEAEAAERAGSVVTCQAIIRNTIGIGVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLRRAVTYRPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNTEEERRLLDEGLKQFPSFFKLWLMLGQLEEGLGNLEKAKEVYESGLKHCPSCIPLWVSLAILEEKMNGIAKARAVLTLARKKNPQQPELWLAAIRAESRHGYKREADILMAKALQECPNSGILWAVSIEMVPRPQRKTKSMDALKKCDHDPHVIAAVAKLFWHDRKVDKARTWLNRAVTLAPDIGDFWALYYKFELQHGSEENQKDVMKRCVAAEPKHGEKWQAISKAVENSHQPTEAILKKVVVALGKEESAAENNSKH >EOX97983 pep chromosome:Theobroma_cacao_20110822:2:4163848:4167042:1 gene:TCM_006859 transcript:EOX97983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmatic reticulum retrieval protein 1B isoform 1 MEGIGGDGASVASPLPQWGHDAWRMYQYYLDKTTPHTAYRWIGTFVIAAIYCLRVYYVQGFYIVSYGLGIYLLNLLIGFLSPLVDPEIEASDGPLLPTKGSDEFKPFIRRLPEFKFWYSMTKAFCIAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKYKYIPFNIGKQKYTGKKASASSSGSRGD >EOX97984 pep chromosome:Theobroma_cacao_20110822:2:4164088:4166851:1 gene:TCM_006859 transcript:EOX97984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmatic reticulum retrieval protein 1B isoform 1 MEGIGGDGASVASPLPQWGHDAWRMYQYYLDKTTPHTAYRWIGTFVIAAIYCLRVYYVQGFYIVSYGLGIYLLNLLIGFLSPLVDPEIEASDGPLLPTKGSDEFKPFIRRLPEFKFWYSMTKAFCIAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKYKYIPFNIGKQVSFQ >EOY01937 pep chromosome:Theobroma_cacao_20110822:2:41401216:41409786:1 gene:TCM_011714 transcript:EOY01937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1666) [Source:Projected from Arabidopsis thaliana (AT1G69610) TAIR;Acc:AT1G69610] MFPAVDSLWVFLSKFIVYVFGSIIGLIFRFPGGNRCLEENDSGACLDSNFDGLKERQSRDCVDHGNCGGEDSALVAGTSKYEFLYRKRISGFVEEPKTESYTVHEFYMGSNDYADCNGGIPDSRDSADGDVGKIEFELEDVIDEEIDRKTESSVEEKAEDSTESFMIEKLLDEEEEPQVVESNDVFENKTEESALRFSFVFEKQIKLEQLVEAEVTVEEAEATETEVAVKEQAQDSVENFIVEKLLEKPKQGIETEDTFEEKSEVAAENHFIEKNLEKRKREIEDNDHVEEKFGDFLESPVIDMYLEKWKQEKDESNEDGEVFSPRSKVDVESIAQEVLANRVDSRPESLLATDGHETHERNTCITDHEAAILTGQINDSDDEFIELEPLSEKLCVMGETESREGLAIEHEDDPEESIIPPEKTMEPTMQEEESDSNYEDQDDSEHDDLIERLKMELKIARTGGLPTILEESESPRMVQELGPLQIDEKYDHKHHIAEIKKVYRSYSDRMRKLDILNSQTMHAISLLQLKNPVRLSKVGKSSAPAVKSILSQNVWPFKQRKPEADPTMKLIRDLRRDFETVYVGQVCLSWEILNWQYGKVKELLGCDSHGIRQYNQVAGDFQLFQVLVQRFLENEPFQGRPRVEYYVKNRCALRHLLQVPVVKDDGSRYKNGAGEEDAVSSEMLTDIIEESMQVFWEFLRADKDEANATSKTPQQAQVAPHDPTDLELLTDVRTDLQKREKRLKEIQRSTNCIIKKLQRQHHRNLLDHALFIAQVELKLVSRVLNMLKITTDQLVWCHEKLNRINFSSRKIELEASFTLFPC >EOY00119 pep chromosome:Theobroma_cacao_20110822:2:26042053:26043678:-1 gene:TCM_009642 transcript:EOY00119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 62, putative MRSAMPPATKGMCTPSEDDSELRRGPWTLEEDTLLTHYIARHGEGRWNMLAKCAGLKRTGKSCRLRWLNYLKPDIKRGNLTPQEQLLILELHSKWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQARQLNIESNSKKFLEAVRCFWMPRLRQKVEQTSSSSSLKEMSSQSSAPSDQLSDCAIPSLSTFSPLPNKITDRSNHSFENSSSVTTQSLYPTDFINISEQTEIPEHLTSPNVYGHTTVYNNNQIVNASYNIDSSGYDIEGFSLASMSAVGSYEGSPSECQMGEGNWICNEMTDTLWNLDDIWQFRELGELAN >EOX98933 pep chromosome:Theobroma_cacao_20110822:2:7816323:7819036:1 gene:TCM_007595 transcript:EOX98933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase pakG MEDCMRVCMRKLALWFTKTFKPLMTHDELEPIMATMGFVGLPPVQGTSAVAWKEYVHTASPGLSWRSKSSYCLRSTAGESPTTTPPPRPRLPYPRIDGLHIYTYRAFIDAVNFYLEMCDISELFHIRGMPLHRIHDRNRKWRCMEEDDSVFVYREGTLEQTTYNLYHFNKPNSSCNHSSIVIRDKGNTIPNIWDSLLPPSSIGPPGFCMELTTSALLLTWRHLCVALLLTRLRVLLEPCLYLSLRWARFVAAEHKRYKQQLAIVCYSAKRPKIEGTYLL >EOX99603 pep chromosome:Theobroma_cacao_20110822:2:12609002:12625334:-1 gene:TCM_008319 transcript:EOX99603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MRRCLWGFLFIAFALAWSIAAEHNPRTERISGSAGDVLEDDPVGRLKVYVYELPSKYNKKILQKDPRCLSHMFAAEIFMHRFLLSSPVRTLNPEEADWFYTPIYATCDLTPNGLPLPFKSPRMVRSAIQLISSSWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPILQHATLVQTFGQRNHVCLNEGSITIPPYAPPQKMQAHQIPPDTPRSIFVYFRGLFYDVNNDPEGGYYARGARAAVWENFKNNPLFDISTEHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDAILTSIPPEVILRKQRLLANPSMKRAMLFPQPAQPGDAFHQILNGLARKLPHQKSIYLKPGEKMLNWTAGPVGDLKPW >EOY02135 pep chromosome:Theobroma_cacao_20110822:2:42069594:42072260:1 gene:TCM_011861 transcript:EOY02135 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MVAANKTHIKPHVAVLPSVGMGHLIPLLELAKRLVVEHGMRVSLLVITTNAPLAAQEQLLCSPDLPPDLHIVKLPPVDVDKVISSDIDNVLVLTRLCLITEESLRSLKSVLVALGKPRALVTDLFTTQAFDVCNELSIPAYLFSTTSAAFSAFALFLPKLDSDVECEFIDLPEPIQVPGCIPVRTEDLLDQVRNRKIDEYKWFFFHISRLPLASGVFLNSWEDLEPVSLKAIKENPFFRQIPTPPVHPVGPLIKQEETLSTSDVECLEWLDKQPPDSVLFVTLGSGGTLSLEQQTELAWGLELSQQRFIWAVRKPTDATGAGSFFDVGSEENDPKAYLPEGFLSRTQGVGLVVPSWASQVAILGHPSTGGFLSHCGWNSSLESIAHGVPMIAWPLYAEQRMNAAMLVEDVGVAVKPKVEPGRTIVGRQEVDRMVRMVMDGEEGKTIRKRVKELKESAAKAPDISGSSYDSLSSVAKQWKTDDLGP >EOX97894 pep chromosome:Theobroma_cacao_20110822:2:3858057:3865435:1 gene:TCM_006808 transcript:EOX97894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin motor family protein isoform 3 MQNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKEELDQLRQGMLVGVSHEELMSLRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNTIPGCLSDAPSHQRSHSVGEDDKLDVPREGTLLIDSENQKDSPSSTAVHASDPSFEFRHRRSSSRRNDELSPTCSTVTELTQAGELISGTKLLAGGMTSDQMDLLVEQVKMLAGEIAFGTSTLKRLVDQSANDPDSSNIQTQIQNLERGIQEKKRQMRVLEQRITESGEASIANASFVDMQQTVMRLMTQCNEKSFELEIKSADNRILQEQLQNKCSENEELQKKVNLLEQRLASISGDKLSLSSEQGISEEYADELRKKVQSQEIENEKLKLEEVQLSEENSGLHVQNQKLAEEASYAKELASAAAVELKNLAGEVTKLSVQNAKLEKELLAARELAHSRGSANQTVNGVNRKYSDGIRPGRKGRLSGRSHDLSGAAGDDFEPWNLDPDDLKMELQARKQREAALEAALAEKEFIEDEYRKKVEEAKKREEALENDLANMWVLVAKLKKEGAATPESNMDEQHSNGMENVDDPKANDIESNHILKERQVPDVSSKPANEIPKEEPLVVRLKARMQEMKEKELKSLGNGDANSHMCKVCFESPTAAILLPCRHFCLCKSCSLACSECPICRTKIADRLFAFTS >EOX97893 pep chromosome:Theobroma_cacao_20110822:2:3854093:3865435:1 gene:TCM_006808 transcript:EOX97893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin motor family protein isoform 3 MASSSRARSSSPFSYRKPSSPFSSTSSASSFMSNKLMPRTCSSSASSYFNSGSGYGSRSMTPSRSRSDSTYYGSRGGYNARTPVAYSPEEIIGEPLEAPRSRDSISVTIRFRPLNEREFQKGDEIAWYADGDKIVRNEYNPATAYAFDRAFGPHATSQEVYEVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQNAPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLLSSRSHTIFTLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKEELDQLRQGMLVGVSHEELMSLRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNTIPGCLSDAPSHQRSHSVGEDDKLDVPREGTLLIDSENQKDSPSSTAVHASDPSFEFRHRRSSSRRNDELSPTCSTVTELTQAGELISGTKLLAGGMTSDQMDLLVEQVKMLAGEIAFGTSTLKRLVDQSANDPDSSNIQTQIQNLERGIQEKKRQMRVLEQRITESGEASIANASFVDMQQTVMRLMTQCNEKSFELEIKSADNRILQEQLQNKCSENEELQKKVNLLEQRLASISGDKLSLSSEQGISEEYADELRKKVQSQEIENEKLKLEEVQLSEENSGLHVQNQKLAEEASYAKELASAAAVELKNLAGEVTKLSVQNAKLEKELLAARELAHSRGSANQTVNGVNRKYSDGIRPGRKGRLSGRSHDLSGAAGDDFEPWNLDPDDLKMELQARKQREAALEAALAEKEFIEDEYRKKVEEAKKREEALENDLANMWVLVAKLKKEGAATPESNMDEQHSNGMENVDDPKANDIESNHILKERQVPDVSSKPANEIPKEEPLVVRLKRHECKR >EOX97892 pep chromosome:Theobroma_cacao_20110822:2:3853845:3865811:1 gene:TCM_006808 transcript:EOX97892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin motor family protein isoform 3 MASSSRARSSSPFSYRKPSSPFSSTSSASSFMSNKLMPRTCSSSASSYFNSGSGYGSRSMTPSRSRSDSTYYGSRGGYNARTPVAYSPEEIIGEPLEAPRSRDSISVTIRFRPLNEREFQKGDEIAWYADGDKIVRNEYNPATAYAFDRAFGPHATSQEVYEVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQNAPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLLSSRSHTIFTLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKEELDQLRQGMLVGVSHEELMSLRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNTIPGCLSDAPSHQRSHSVGEDDKLDVPREGTLLIDSENQKDSPSSTAVHASDPSFEFRHRRSSSRRNDELSPTCSTVTELTQAGELISGTKLLAGGMTSDQMDLLVEQVKMLAGEIAFGTSTLKRLVDQSANDPDSSNIQTQIQNLERGIQEKKRQMRVLEQRITESGEASIANASFVDMQQTVMRLMTQCNEKSFELEIKSADNRILQEQLQNKCSENEELQKKVNLLEQRLASISGDKLSLSSEQGISEEYADELRKKVQSQEIENEKLKLEEVQLSEENSGLHVQNQKLAEEASYAKELASAAAVELKNLAGEVTKLSVQNAKLEKELLAARELAHSRGSANQTVNGVNRKYSDGIRPGRKGRLSGRSHDLSGAAGDDFEPWNLDPDDLKMELQARKQREAALEAALAEKEFIEDEYRKKVEEAKKREEALENDLANMWVLVAKLKKEGAATPESNMDEQHSNGMENVDDPKANDIESNHILKERQVPDVSSKPANEIPKEEPLVVRLIMITCLLSSI >EOY01339 pep chromosome:Theobroma_cacao_20110822:2:39116886:39119047:1 gene:TCM_011266 transcript:EOY01339 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein, putative MVGCGLYIKKKTDWLSTLLQSEFFGSCSDHQDLRKSEKNVFCIDCSLEFCRHCKAHGHHRSLQICKYVYQDVVRVQEMQKHLDCSKIQTYKINGEKAVHLNPRPQAKDAKPSTKSKTGAACEACGRYLQDPPNRFCSIACKVSAVDVKPKDQSDKLELPIQEIPDLSLKDNQNSDISTEEEKQSSICSTDVSEETKTWVSTSLKPRKRVNKRKGIPHRAPFC >EOY01618 pep chromosome:Theobroma_cacao_20110822:2:40301076:40306130:1 gene:TCM_011467 transcript:EOY01618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein, putative MFLSLFFLFHLIVPGLSEFLSCASSTTMSPDIQFDESKMGRPILSRVSMSNPGNLELLKTSACIFTIPSNSTFTVSPGPVFVRLHFYPISYPSLNLSKALFNVSIGSYTLLSISKSSYSKGAFDVEHIIKEYCVPVEGHVLNILFTPSSDYSDAYGFVNMIEVVSVPPKLYIGDLRLPLIGHPNQSYSMNYTALETFYRVNVGGSPISGNHDTGSGMSRSWSGDQGYLLPNTDIIHFKKAEINFGSEVQAYTAPKEVYSTARKTLIPDDNLTWSVPVDSGFCYLIRLHLYMHNSTYFMEENQMVVHIYSPDHAEINLTEKLGVSIYRDYLVNFSGKHHRIMFLTIAIQQNKSAILSVPILNGLEIFKLSDDSNSLAGPNPFKARKVFDTLSDTSSFDINPAIYTIIKVLAAGLFVIPIVCYGCLLLSPFKSRRCSYLKRKRRVTYLPSSEHCPHFSLAEIKLATNNFSDALLLGSGGFGKVYKGCIIDGIGNTMVAIKRANPNSHQGLNEFQTEITTLSKLRHCHLVSLIGCCMEDDEMILVYNFMAGGTLRDHLYNTKKTPLPWKQRLKICIGAARGLHYLHTGGKQTIIHRDVKTTNILLDENWVAKVSDFGLSKIGPNMMTEAETHVSTLVKGSFGYLDPEYYRRRRLTEKSDVYSFGVVLFEVLFARPAVFPLVESEEEHDKVNLAEWAIHCYQMGTLDQSIDPSLLGQINPECFQTFTAIARKCLADKGSDRPSMGEVLCNLELAWQQEHKCSLLEAKSLQGRANEGIGDNLPPTIDSQRCLPTGNSDPTPGAEFSEIIVPIGR >EOX98295 pep chromosome:Theobroma_cacao_20110822:2:5234493:5237409:-1 gene:TCM_007091 transcript:EOX98295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-like superfamily protein MAEKASIESVQCFGRKKTAVAVTYCKRGRGLIKINGCPIELVEPEILRFKAVEPILLLGRQRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >EOX97782 pep chromosome:Theobroma_cacao_20110822:2:3412323:3420530:-1 gene:TCM_006708 transcript:EOX97782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MGSFLSRTLLLAFGYAYPAYECFKAVEKDEPEIEQLRFWCQYWILVAILTVCGRIGDVFISWLPLYNEVKLALVIYLWHPNWKGTAYVYNSFLRPYAVKHVTEVDGKLFKLKLKAREVGILYWHRAMNYGRTRSLDMLQHLASQKGSDLPLDQQQQNLRKTDKLALSQASATKPEQLKIPLPDSSSLSEEQPETNNEPGCLLASQSNKRMISSQSCVKTTSQSSVSRVQTGTIQPTSSIGNGNSNSSQEQTPYKEAARGQNSEQESSIVKCCKDGWDISRWLSSVDLAVVLMNKDKTFRSTKLEICTTHQWTIVLRLWRMSNCKNGTPHLSQEITWMRNVFHQISCVTHGTHNKNKGQAVSSFITRLTRKAPAFDPKRKKKKGAKELMETSTATTGTPPKKKSEQVLDGSDIMELVENEEVFSSFVDHKFKELDKDSDGQLSVKELQPAVADIGAALGLPAQGSSPDSDHFYSEVLNEFTHGNQEKVNKTEFKEVLSDILLGMAAGLKRDPIVILRIDGEDLLEFINGPSYEAEMVSVFSQLGSDDTSLRDCLVKALEKLTVDQGMPPSSDSWVMSNIVEPALQSWDSNEQEKPVSQETFLEEFKEVAERVAQNLKEQPVIVAHSENIFDGSSIKRLLSNKFELDKSLNTALENVPKDRNGKVSKEYLRVVLDIVAPSAGLPPIGAVEQINKVVADVFSMINADDGKMVKEDEFKKLLAEILGSIMLQLEGNPISVSSNSVVHEPLASSSTVLQPSS >EOX97710 pep chromosome:Theobroma_cacao_20110822:2:3156312:3160654:1 gene:TCM_006652 transcript:EOX97710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MRFRETYTFSAWKWLGFVSAVWVQTISGNNYTFSNYSDAIKTLMNLTQLELNNLSVAKDVGKAFGLLAGLASDRLPTPVILLIGSIEGLIGYGAQWLVVSHKIQPLPYWQMCIFLCMGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTDLCSALFSNDPAKFLIMLAVIPFAVCLTAIFFLREIPQTASVAAEKEETRYFAIFNIVAVVVAVYLLAYDFIGSTNQVFSLVFAVILLILLASPLAVPVYSFVKSWRLVGFEADMERQEPLLKEEATEARKAEIVTEEEEEVEAAAVAAEEEVAVVEKSRPELGEDHTMFEAMTTWDFWILFVSFLCGVGTGMAVMNNMAQIGLALGHADVSMFVSLTSIWGFFGRIISGSVSEYFLKKAGTPRPLWNAASQILMAVGFLLMALAMPGSLYIGSIVVGICYGVRLAVTVPVASELFGLKYYGLLYNILVLNLPIGSFLFSGLLAGYLYDAQATPTPGGGNTCVGAHCYRLVFIIMAIASVIGFGLDVLLAIRTKNVYTKIFTSRKSKKSLTASSGQ >EOX99486 pep chromosome:Theobroma_cacao_20110822:2:11540547:11541924:-1 gene:TCM_008161 transcript:EOX99486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHACIYHIFIYMQPSHVYSNKEEPCSVVSFVGKGINLYFKKRKKSINFSKILVFFPNLFWFGSKRIERYGTPIGSKGKCTRMQ >EOY01362 pep chromosome:Theobroma_cacao_20110822:2:39157316:39160023:1 gene:TCM_011277 transcript:EOY01362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar iron transporter 1 MAGEEIIRGNIEPEKQSLLNQHKEKHFTAGEIVRDIIIGVSDGLTVPFALAAGLSGANATSSIVLTAGIAEVAAGAISMGLGGYLAAKSEADHYARELRREEEEIISVPDTEAAEVAEILAGYGIEPHEYAPVVNALRKRPQAWLDFMMKFELGLEKPDPRRALQSAFTIAVAYILGGLVPLFPYMFIPRARDAVAASVILTIAALLIFGYAKGYFTGNKPVKSALQTAFIGAIASAAAFGLAKVVHP >EOX97099 pep chromosome:Theobroma_cacao_20110822:2:1180058:1186153:-1 gene:TCM_006201 transcript:EOX97099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 MLFSRRNSDRRRDNESDTSYRLNDSRSHWEKGWKSYPENDQAPLLVRIRRIVLESTQGTQIVLESTQGTQDSLHLLRLSRIPDLRRLQLHRSALREQLPIANDEISLEIISYDAHDEHEEIRLIENSSHDGAIADNIMCKPDQLLDNHDDWRLKDILGWVFLITNFTLELFSAVFDQLSSKNHPHYALIVMLCSFIALMSCIAELIYKGQKEGVIWKWRGTEPWFYRPTNKPFGTLWDIIGFACAFLQCVVTAINYSFISRRHDDPIQISALPILFAFGLLLSKYWEKPDRNIGGNPTAQNGASTGSLLSSLKLHADWKKQELLGSGETEYLGFIIDQLESILVTTGRSSDLLVFSFSVLLQPSIILLFLDISTALSKYLLCQSSLHSVCCALNISRSQTGTEEETPTEHCR >EOX98105 pep chromosome:Theobroma_cacao_20110822:2:4574195:4576718:1 gene:TCM_006941 transcript:EOX98105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G20020) UniProtKB/Swiss-Prot;Acc:O49429] MALHPLRLRRTLTTLTTLHRTLSIPNPAVPTITATISNSTRTPLSSTTSSFGIFQSRWFKSSGGPLSSPRQYKLYKEGDEITEDTILFEGCDYNHWLIVVDFPKDNKPPPEEMVRTYEQICAQGLGISVEEAKKRIYACSTTTYEGFQVLMSEEESEKFHDVPGVVFVLPDSYIDPVKKEYGGDKYENGVITPRPPPVQYGRHQGGRFRQNNRNPDQPRYDRQGNPMQNQQGNSPYNQQGFVQGDGRNYRPPQNYPPQQNYGQQPPMNNRDAPQGSDPSYQGSYNQGGQVNYMSQERRDFFQKDGHNYVPPEQRDSRGDQRNFASTQGGNYGQGPSSGYGQNFGQGANPGYGQNFGQGANPGYGQNYGQANPGYGQNYGQGANPGYGQSYGQGSNPASGQNYGPGATAGTGQGYGQRTNAGDGQTYPGHGAGQGFSQAEQRNVQGEPTGSMGQGGMQQGRY >EOX98106 pep chromosome:Theobroma_cacao_20110822:2:4574194:4576819:1 gene:TCM_006941 transcript:EOX98106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G20020) UniProtKB/Swiss-Prot;Acc:O49429] IVQIRISPSRHSHSLSRKFPKPCKTLALCVSTTETLAMALHPLRLRRTLTTLTTLHRTLSIPNPAVPTITATISNSTRTPLSSTTSSFGIFQSRWFKSSGGPLSSPRQYKLYKEGDEITEDTILFEGCDYNHWLIVVDFPKDNKPPPEEMVRTYEQICAQGLGISTTTYEGFQVLMSEEESEKFHDVPGVVFVLPDSYIDPVKKEYGGDKYENGVITPRPPPVQYGRHQGGRFRQNNRNPDQPRYDRQGNPMQNQQGNSPYNQQGFVQGDGRNYRPPQNYPPQQNYGQQPPMNNRDAPQGSDPSYQGSYNQGGQVNYMSQERRDFFQKDGHNYVPPEQRDSRGDQRNFASTQGGNYGQGPSSGYGQNFGQGANPGYGQNFGQGANPGYGQNYGQANPGYGQNYGQGANPGYGQSYGQGSNPASGQNYGPGATAGTGQGYGQRTNAGDGQTYPGHGAGQGFSQAEQRNVQGEPTGSMGQGGMQQILKDF >EOX98107 pep chromosome:Theobroma_cacao_20110822:2:4574333:4576155:1 gene:TCM_006941 transcript:EOX98107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G20020) UniProtKB/Swiss-Prot;Acc:O49429] MALHPLRLRRTLTTLTTLHRTLSIPNPAVPTITATISNSTRTPLSSTTSSFGIFQSRWFKSSGGPLSSPRQYKLYKEGDEITEDTILFEGCDYNHWLIVVDFPKDNKPPPEEMVRTYEQICAQGLGISTTTYEGFQVLMSEEESEKFHDVPGVVFVLPDSYIDPVKKEYGGDKYENGVITPRPPPVQYGRHQGGRFRQNNRNPDQPRYDRQGNPMQNQQGNSPYNQQGFVQGDGRNYRPPQNYPPQQNYGQQPPMNNRDAPQGSDPSYQGSYNQGGQVNYMSQERRDFFQKDGHNYVPPEQRDSRGDQRNFASTQGGNYGQGPSSGYGQNFGQGANPGYGQNFGQGANPGYGQNYGQANPGYGQNYGQGANPGYGQSYGQGSNPASGQNYGPGATAGTGQGYGQRTNAGDGQTYPGHGAGQGFSQAEQRNVQGEPTGSMGQGGMQQVRHSF >EOY02168 pep chromosome:Theobroma_cacao_20110822:2:42180476:42184281:-1 gene:TCM_011882 transcript:EOY02168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane family protein MFQRCRPHTDQKSQCMFANYTAQGQARFIYTHSSLHSVVLLSETEKVKEGCMSLHKPMAAISPSLVPLLFFARISGLVVAALVLSWALLFKSSFIPRSSFSSQEDLIYAVLHPLLMVIGFILISGEAILIHRWLPGSRNLKKSVHLCLQGLALGSGVFGIWTKFHGKDGIVANFYSLHSWMGLICVCLFAAQWLVGFLSFWHRGEVRMTRAKILPWHIFLGLYTYGVAVATAETGLLEKLTFLQTRRNLSRHCPESMIVNSLGLGLVLLCGIVIFTAVSPKNHAVQSKLMYSSDTKCLSS >EOX97101 pep chromosome:Theobroma_cacao_20110822:2:1189363:1189793:-1 gene:TCM_006202 transcript:EOX97101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 isoform 1 MLETADDGPLLTIADGVVYQLPNNQDDWRLKDVLAWVFPICNFTLELPSTVFDQLSSKDHPQYALIAMLFSFIALMSCIAELIFNGKMERVTWQWRDTVPWFYCRPTDKLFGTL >EOX97100 pep chromosome:Theobroma_cacao_20110822:2:1189363:1190767:-1 gene:TCM_006202 transcript:EOX97100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 isoform 1 MLETADDGPLLTIADGVVYQLPNNQDDWRLKDVLAWVFPICNFTLELPSTVFDQLSSKDHPQYALIAMLFSFIALMSCIAELIFNGKMERVTWQWRDTVPWFYCRPTDKLFGTL >EOX97102 pep chromosome:Theobroma_cacao_20110822:2:1189363:1191080:-1 gene:TCM_006202 transcript:EOX97102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 isoform 1 MLETADDGPLLTIADGVVYQLPNNQDDWRLKDVLAWVFPICNFTLELPSTVFDQLSSKDHPQYALIAMLFSFIALMSCIAELIFNGKMERVTWQWRDTVPWFYCRPTDKLFGTL >EOX97354 pep chromosome:Theobroma_cacao_20110822:2:2066297:2074491:-1 gene:TCM_006404 transcript:EOX97354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF639) [Source:Projected from Arabidopsis thaliana (AT1G71240) TAIR;Acc:AT1G71240] MVTKLMVTHFKASNFGLASLISGNKDVCKFGYFSRNSASRHKLRFKLVGAQGDRWKLNDIDTNAMQERINSWLSKTQHFLTEVTLPLVKNGHSGKPDPGNEIDTQAMEDIFLAEQTIPSSTPNGNLSLAAIVSIEQFSRMNGLTGQKMQKIFKALVPKHVYDDARNLVEYCCFRFLSRDASDLHPCLKEPAFQKLIFITMLAWENPYCSEDDFNAHASRKAFFQGKLVGEEAFSRIAPAISGLADRPTVHNLFKALASNEQGISLRVWLTYIDELLKVHEGRRSYQVREYPQLSEERILCLGSSRKRPVLKWENNMAWPGKLTLTDKALYFEAVRFQGQKDAVRLDLTRHGLEVKKVKVGPFNSGLFDSGVAVSSGPGSQTWVLEFVDLGGELRRDVWHAFISEIITLHKFLSEYGPDDDDQSLFQVFGSHKGWEKAITGALNGIARLQALQFMRKLLDDPIKLVQFSYLQNAPYGDVVFQALALNYWGGPLVAKFTDAGYQRAQAISPSEEVYEVNDHVFDIDGSVYLRKWMRSPSWSSSASIGFWKHSPIRQVVVLNKNLVVADETLVERAAAICKQKYQAVEKTQATIDAATLQGIPSNIDLFKELILPLTITARNFERLRRWEEPHLTLSFLGFAYTIIFRNLLSYMFPMVLLVLATSMLTLKGLKEQGRLGRSFGKVTICDQPPSNTIQKIIAVKDAMRDVENYLQNLNVTLLKLRTILLAGQPQITTEVALVLLSSATILLVVPFKYVLAFLLCDLFTRELEFRREMVRRFISFLKERWDTVPAAPVIVLPFEGEESRSVNQRSQSDKKAIRKKAEQSEA >EOX97353 pep chromosome:Theobroma_cacao_20110822:2:2066297:2074483:-1 gene:TCM_006404 transcript:EOX97353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF639) [Source:Projected from Arabidopsis thaliana (AT1G71240) TAIR;Acc:AT1G71240] MVTKLMVTHFKASNFGLASLISGNKDVCKFGYFSRNSASRHKLRFKLVGAQGDRWKLNDIDTNAMQERINSWLSKTQHFLTEVTLPLVKNGHSGKPDPGNEIDTQAMEDIFLAEQTIPSSTPNGNLSLAAIVSIEQFSRMNGLTGQKMQKIFKALVPKHVYDDARNLVEYCCFRFLSRDASDLHPCLKEPAFQKLIFITMLAWENPYCSEDDFNAHASRKAFFQGKLVGEEAFSRIAPAISGLADRPTVHNLFKALASNEQGISLRVWLTYIDELLKVHEGRRSYQVREYPQLSEERILCLGSSRKRPVLKWENNMAWPGKLTLTDKALYFEAVRFQGQKDAVRLDLTRHGLEVKKVKVGPFNSGLFDSGVAVSSGPGSQTWVLEFVDLGGELRRDVWHAFISEIITLHKFLSEYGPDDDDQSLFQVFGSHKGWEKAITGALNGIARLQALQFMRKLLDDPIKLVQFSYLQNAPYGDVVFQALALNYWGGPLVAKFTDAGYQRAQAISPSEEVYEVNDHVFDIDGSVYLRKWMRSPSWSSSASIGFWKHSPIRQVVVLNKNLVVADETLVERAAAICKQKYQAVEKTQATIDAATLQGIPSNIDLFKELILPLTITARNFERLRRWEEPHLTLSFLGFAYTIIFRNLLSYMFPMVLLVLATSMLTLKGLKEQGRLGRSFGKVTICDQPPSNTIQKIIAVKDAMRDVENYLQNLNVTLLKLRTILLAGQPQITTEVALVLLSSATILLVVPFKYVLAFLLCDLFTRELEFRREMVRRFISFLKERWDTVPAAPVIVLPFEGEESRSVNQRSQSDKKAIRKKAEQSEA >EOX97355 pep chromosome:Theobroma_cacao_20110822:2:2066865:2074210:-1 gene:TCM_006404 transcript:EOX97355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF639) [Source:Projected from Arabidopsis thaliana (AT1G71240) TAIR;Acc:AT1G71240] MVTKLMVTHFKASNFGLASLISGNKDVCKFGYFSRNSASRHKLRFKLVGAQGDRWKLNDIDTNAMQERINSWLSKTQHFLTEVTLPLVKNGHSGKPDPGNEIDTQAMEDIFLAEQTIPSSTPNGNLSLAAIVSIEQFSRMNGLTGQKMQKIFKALVPKHVYDDARNLVEYCCFRFLSRDASDLHPCLKEPAFQKLIFITMLAWENPYCSEDDFNAHASRKAFFQGKLVGEEAFSRIAPAISGLADRPTVHNLFKALASNEQGISLRVWLTYIDELLKVHEGRRSYQVREYPQLSEERILCLGSSRKRPVLKWENNMAWPGKLTLTDKALYFEAVRFQGQKDAVRLDLTRHGLEVKKVKVGPFNSGLFDSGVAVSSGPGSQTWVLEFVDLGGELRRDVWHAFISEIITLHKFLSEYGPDDDDQSLFQVFGSHKGWEKAITGALNGIARLQALQFMRKLLDDPIKLVQFSYLQNAPYGDVVFQALALNYWGGPLVAKFTDAGYQRAQAISPSEEVYEVNDHVFDIDGSVYLRKWMRSPSWSSSASIGFWKHSPIRQVVVLNKNLVVADETLVERAAAICKQKYQAVEKTQATIDAATLQGIPSNIDLFKELILPLTITARNFERLRRWEEPHLTLSFLGFAYTIIFRNLLSYMFPMVLLVLATSMLTLKGLKEQGRLGRSFGKVTICDQPPSNTIQKIIAVKDAMRDVENYLQNLNVTLLKLRTILLAGQPQQITTEVALVLLSSATILLVVPFKYVLAFLLCDLFTRELEFRREMVRRFISFLKERWDTVPAAPVIVLPFEGEESRSVNQRSQSDKKAIRKKAEQSEA >EOX99724 pep chromosome:Theobroma_cacao_20110822:2:15116877:15126346:-1 gene:TCM_008582 transcript:EOX99724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase family protein, expressed isoform 3 MILHNAVIVTMDSESRVFQNGAVFIDEDKIKAIGQSPDVLQLFSPLAGEILDLHGQILLPGFINTHVHTSQQLGRGIADDVDLMTWLHHRIWPYESTMTEEDSYISTLLCGIELIHSGVTCFAEAGGQHVSGMARAVELLGLRACLTESIMDSGEGLPSSWGMRTTDDCIESQKMLYEKHHNTADGRIRVWLGIRQVMNSTDRLLLETRDAARELKTGIHMHVAEIPYENQVVMDTRKVDHGTVTFLDKIDFLQDNLLAAHTVWVNDTEIGFLSRAGVKVSHCPASAMRMLGFARIREMLNAGISVSLGTDGAPSNNRMSIVDEMYLASLINKGREVYAKGMTDPTALPAETVLKMVTINGAKSVLWDNEIGSLEVGKKADMVVVNPVSWCMVPVHDWRKLYH >EOX99722 pep chromosome:Theobroma_cacao_20110822:2:15122378:15126720:-1 gene:TCM_008582 transcript:EOX99722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase family protein, expressed isoform 3 MILHNAVIVTMDSESRVFQNGAVFIDEDKIKAIGQSPDVLQLFSPLAGEILDLHGQILLPGFINTHVHTSQQLGRGIADDVDLMTWLHHRIWPYESTMTEEDSYISTLLCGIELIHSGVTCFAEAGGQHVSGMARAVELLGLRACLTESIMDSGEGLPSSWGMRTTDDCIESQKMLYEKHHNTADGRIRVWLGIRQVMNSTDRLLLETRDAARELKTGIHMHVAEIPYENQVVMDTRKVDHGTVTFLDKIDFLQDNLLAAHTVWVNDTEIGFLSRAGVKVSHCPASAMRMLGFARIREMLNAGISVSLGTDGAPSNNRMSIVDEMYLASLINKGREVYAKGMTDPTALPAETVLKMVTINGAKSVLWDNEIGSLEVGKKADMVVVNPVSWCMVPVHDCISNLVYCMRTENVVSVICNGQWIMKDKKILNVDEEEVISLAKQASSKLLRRAGIKILSRMNVL >EOX99723 pep chromosome:Theobroma_cacao_20110822:2:15122711:15126549:-1 gene:TCM_008582 transcript:EOX99723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase family protein, expressed isoform 3 MILHNAVIVTMDSESRVFQNGAVFIDEDKIKAIGQSPDVLQLFSPLAGEILDLHGQILLPGFINTHVHTSQQLGRGIADDVDLMTWLHHRIWPYESTMTEEDSYISTLLCGIELIHSGVTCFAEAGGQHVSGMARAVELLGLRACLTESIMDSGEGLPSSWGMRTTDDCIESQKMLYEKHHNTADGRIRVWLGIRQVMNSTDRLLLETRDAARELKTGIHMHVAEIPYENQVVMDTRKVDHGTVTFLDKIDFLQDNLLAAHTVWVNDTEIGFLSRAGVKVSHCPASAMRMLGFARIREMLNAGISVSLGTDGAPSNNRMSIVDEMYLASLINKGREVYAKGMTDPTALPAETVLKMVTINGAKSVLWDNEIGSLEVGKKADMVVVNPVSWCMVPVHDW >EOX99091 pep chromosome:Theobroma_cacao_20110822:2:8462245:8464320:1 gene:TCM_007707 transcript:EOX99091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MVAAMGGLLFGYDIGISGGVTSMESFLKKFFPSVLEKQKDEAAHESEYCKFDSQLLTLFTSSLYFAALVVSFFAAAVPRTFGRKPSMFIGGLVFLIGSILNGVAMNVGLLIIGRLLLGVGVGFANQSVPVYLSEMAPAKIRGALNIGFQMAVTIGILAAGLINYGTAKIEGGWGWRLSLALAAIPAIMMVIGAVLLPDTPNSMIERGHTAVAKRMLQRVRDTQDVDDEFQDLVDASEAAKKVEHPWRNILEKRYRPQLVLCTLIPFFQQLTGINVIMFYAPVLFKTLGFGDDASLMSAVITGLVNVLATVVSIFSVDKFGRRVLLLEGGAQMIISQVAVGIMVALKFGVNGEGTLSKTDANLLVFLICVYVAAFAWSWGPLGWLVPSEICPLEIRSAGQAINVSVNMLFTFFIAQTFLSLLCHMKFGLFFFFAVFVITMTVFIHLFLPETKNVPMEEMNRVC >EOX98740 pep chromosome:Theobroma_cacao_20110822:2:6951922:6957062:1 gene:TCM_007433 transcript:EOX98740 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MEGDDVNMAAAESTETDLDDMKRRLKEMEEEAAALREMQAKVEKEMGSVQDPAAAATSQANREEVDSRSVFVGNVDYSCTPEEVQQHFQSCGTVNRVTIRTDKYGQPKGYAYVEFLEAEAVQEALVLNESELHGRQLKVTAKRTNIPGMKQYRPRRSNPFMRPRGPFMAPYFFAPYGYGKVPRLRMATRYSPYF >EOX98741 pep chromosome:Theobroma_cacao_20110822:2:6952157:6956722:1 gene:TCM_007433 transcript:EOX98741 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MEGDDVNMAAAESTETDLDDMKRRLKEMEEEAAALREMQAKVEKEMGSVQDPAAAATSQANREEVDSRSVFVGNVDYSCTPEEVQQHFQSCGTVNRVTIRTDKYGQPKGYAYVEFLEAEAVQEALVLNESELHGRQLKVTAKRTNIPGMKQYRPRRSNPFMRPRGPFMAPYFFAPYGYGFCNLNKGCKA >EOX99866 pep chromosome:Theobroma_cacao_20110822:2:17544671:17550946:-1 gene:TCM_008850 transcript:EOX99866 gene_biotype:protein_coding transcript_biotype:protein_coding description:F17L21.18 isoform 1 MEFSQSDFDRLLVAEHTRKTSELNYAKDPLDAENLTKWGGALLELAQFQTVSEAKKIINDAISKLDEALMISPSKHETLWCMGNAYSTSAFMTTNLDEAKVSFDKAAQFFQRAVDADPGNELYQKSLEVAAKAPELHMEFQKAAAGQQTMAGSPSASSTANAVKKKKSSDLKYDIFGWIILAVGIVAWVGMAKSHVPPPPPR >EOX99865 pep chromosome:Theobroma_cacao_20110822:2:17547374:17550704:-1 gene:TCM_008850 transcript:EOX99865 gene_biotype:protein_coding transcript_biotype:protein_coding description:F17L21.18 isoform 1 MEFSQSDFDRLLVAEHTRKTSELNYAKDPLDAENLTKWGGALLELAQFQTVSEAKKIINDAISKLDEALMISPSKHETLWCMGNAYSTSAFMTTNLDEAKVSFDKAAQFFQRAVDADPGNELYQKSLEVAAKAPELHMEFQKAAAGQQTMAGSPSASSTANAVKKKKSSDLKYDIFGWIILAVGIVAWVGMAKSHVPPPPPR >EOX99868 pep chromosome:Theobroma_cacao_20110822:2:17544479:17550839:-1 gene:TCM_008850 transcript:EOX99868 gene_biotype:protein_coding transcript_biotype:protein_coding description:F17L21.18 isoform 1 MEFSQSDFDRLLVAEHTRKTSELNYAKDPLDAENLTKWGGALLELAQFQTVSEAKKIINDAISKLDEALMISPSKHETLWCMGNAYSTSAFMTTNLDEAKVSFDKAAQFFQRAVDADPGNELYQKSLEVAAKAPELHMEFQKAAAGQQTMAGSPSASSTANAVKKKKSSDLKYDIFGWIILAVGIVAWVGMAKSHVPPPPPR >EOX99869 pep chromosome:Theobroma_cacao_20110822:2:17544734:17550725:-1 gene:TCM_008850 transcript:EOX99869 gene_biotype:protein_coding transcript_biotype:protein_coding description:F17L21.18 isoform 1 MEFSQSDFDRLLVAEHTRKTSELNYAKDPLDAENLTKWGGALLELAQFQTVSEAKKIINDAISKLDEALMISPSKHETLWCMGNAYSTSAFMTTNLDEAKVSFDKAAQFFQRAVDADPGNELYQKSLEVAAKAPELHMEFQKAAAGQQTMAGSPSASSTANKKKSSDLKYDIFGWIILAVGIVAWVGMAKSHVPPPPPR >EOX99867 pep chromosome:Theobroma_cacao_20110822:2:17541376:17550725:-1 gene:TCM_008850 transcript:EOX99867 gene_biotype:protein_coding transcript_biotype:protein_coding description:F17L21.18 isoform 1 MEFSQSDFDRLLVAEHTRKTSELNYAKDPLDAENLTKWGGALLELAQFQTVSEAKKIINDAISKLDEALMISPSKHETLWCMGNAYSTSAFMTTNLDEAKVSFDKAAQFFQRAVDADPGNELYQKSLEVAAKAPELHMEFQKAAAGQQTMAGSPSASSTANKKKSSDLKYDIFGWIILAVGIVAWVGMAKSHVPPPPPR >EOX97002 pep chromosome:Theobroma_cacao_20110822:2:878128:884030:1 gene:TCM_006129 transcript:EOX97002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase cdk9 MGCICSKGTRANEYVENNRRKRDKAHKDSGKTSKKLSVSSKRDNVVVEADATARLISNQQANHNAGYAPASSSDDEEKKEALAVVESSKRTPPQLQRRATMEAGLRGGQGQQPRMSRIMSAAGGERGAQVEAGWPSWLAAVAGEAINGWIPRKADSFEKLEKIGQGTYSSVYKARDLESNKIVALKKVRFANMDPESVRFMAREIIILRRLDHPNVMKLEGLITSRVSGSLYLIFEYMEHDLAGLAATSGVKFTKAQIKCYMQQLLRGLDHCHSRGVLHRDIKGSNLLIDYNGNLKIGDFGLATFFCPSQKQPLTSRVVTLWYRPPELLLGSTNYGVAVDLWSSGCILAELFSGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKRSKLPHATIFKPQLPYKRCVPETFKDFPSSALALLEVLLAIEPECRGTASSALQSEFFTTNPLPCDPSSLPKYPPSKEFDAKLREEESRRRRPAGGKVHEHNPVRKVSRESTAVPAPDANAELQASIQKRQGQFNTKSISEVHHPEEDRGAGFRIEPPKGTARVVYSHSGQSMHPNNFGSSRNMKAHEIESMKASARAFGSPRKPEELRTQTTYVHRGAVELSRFSNSVAVRGSSRFAMTKETSINPHWPEERFTARYNHMDNAEPSEKHEWSHHLLDRPKTSHEKDEQPSSKETTVGYVPKKNRIHHSGPLLPSGGNLEEMLKEHEKRIQQAVRKARLDKTKTKNDFDDNGQTELLLYRTRNGR >EOX99194 pep chromosome:Theobroma_cacao_20110822:2:8945897:8946690:1 gene:TCM_007789 transcript:EOX99194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGKQPIENLHGSDSKSDSNKSFPSLNIFDFTIKPILTLPQKSTLKSTPKPKLIASIESSLKRKKPPLSGSNGDAGNVKILKTPQLWSAADEIALLKGIMEYKLKKGSDPYEDSSEFMISSNNRSKLMCPRIN >EOX99698 pep chromosome:Theobroma_cacao_20110822:2:13862980:13867059:1 gene:TCM_008465 transcript:EOX99698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMAIFLDTMEKTLEIFMDDFFVFGNNFDECLLNLDRVLRRYEETNLVLNWKKCRFMVQEGFVLGHKYADYVNYILNKLIPLNLNFHQRKKFLYNVRFFVWDEPFLFKQCADRVLKRCIPKEEAKRFDDALWAYKITYKTPIGMSPYRLVFGKACHLPIELEHKAFWAIKKLNFNLETVGEKRLIELNKMDKIKLDAYENAKIYKKKTRNGMTEGLLNSDLSQQECVDI >EOX97008 pep chromosome:Theobroma_cacao_20110822:2:896311:896863:-1 gene:TCM_006134 transcript:EOX97008 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase 2 MEAVDSNPEALGVHMANAINKFNILYLHVIEPRMIEVNGKLETPHSLLPMRKAFQGTLIASGGYGREDGNKAVADNYTDLVSFGRLFLANPDLPRRFELDAPLNKYNRSTFYISDPVLGYTDYPFLETST >EOY00252 pep chromosome:Theobroma_cacao_20110822:2:30441605:30442660:-1 gene:TCM_010077 transcript:EOY00252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes protein with unknown function whose expression is repressed by inoculation with Agrobacterium tumerifaciens. [Source:Projected from Arabidopsis thaliana (AT1G10417) TAIR;Acc:AT1G10417] MEMELLKLSKFKLQLRALVTESRDLRERERCATEQIHLLIQKQKQTEEEYSRRIQELQAELASSNESHQKLERKVSYLHNDNALLENKQKELQGTIQSLLQSRDSFVNAYQESTCEMKQSIEARDRKLTVLSEKINSHLSLFDSIEKEAFSVKQVVDNVQRTVSEKEEIGM >EOY00250 pep chromosome:Theobroma_cacao_20110822:2:30436620:30449574:-1 gene:TCM_010077 transcript:EOY00250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes protein with unknown function whose expression is repressed by inoculation with Agrobacterium tumerifaciens. [Source:Projected from Arabidopsis thaliana (AT1G10417) TAIR;Acc:AT1G10417] MEMELLKLSKFKLQLRALVTESRDLRERERCATEQIHLLIQKQKQTEEEYSRRIQELQAELASSNESHQKLERKVSYLHNDNALLENKQKELQGTIQSLLQSRDSFVNAYQESTCEMKQSIEARDRKLTVLSEKINSHLSLFDSIEKEAFSVKQVVDNVQRTVSEKEEIVSGLRRKMDQVSAFGKAFVEKIHDLENRLQNDEYEFQRKNKIISELEAQLEVAKISDCSRAQIEEISTQKTISAKDTVIQNLIAQKEALHFEVTSLANILQKIQNAVANMNEEDRRVFSSMLETQEECKMVTPKEDNRIRDTIRDSAEQSPHKSCSMDAAENRALQLSRGYNSTGNHWQANNASNSCVSESACSPLSACSESQPRANVVSISVNERKDNCTISVHQLDLECSTTQAETSKDPDAEA >EOY00254 pep chromosome:Theobroma_cacao_20110822:2:30437315:30442728:-1 gene:TCM_010077 transcript:EOY00254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes protein with unknown function whose expression is repressed by inoculation with Agrobacterium tumerifaciens. [Source:Projected from Arabidopsis thaliana (AT1G10417) TAIR;Acc:AT1G10417] MEMELLKLSKFKLQLRALVTESRDLRERERCATEQIHLLIQKQKQTEEEYSRRIQELQAELASSNESHQKLERKVSYLHNDNALLENKQKELQGTIQSLLQSRDSFVNAYQESTCEMKQSIEARDRKLTVLSEKINSHLSLFDSIEKEAFSVKQVVDNVQRTVSEKEEIVSGLRRKMDQVSAFGKAFVEKIHDLENRLQNDEYEFQRKNKIISELEAQLEVAKISDCSRAQIEELQKTISAKDTVIQNLIAQKEALHFEVTSLANILQKIQNAVANMNEERIEGSSPRC >EOY00253 pep chromosome:Theobroma_cacao_20110822:2:30436719:30442728:-1 gene:TCM_010077 transcript:EOY00253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes protein with unknown function whose expression is repressed by inoculation with Agrobacterium tumerifaciens. [Source:Projected from Arabidopsis thaliana (AT1G10417) TAIR;Acc:AT1G10417] MEMELLKLSKFKLQLRALVTESRDLRERERCATEQIHLLIQKQKQTEEEYSRRIQELQAELASSNESHQKLERKVSYLHNDNALLENKQKELQGTIQSLLQSRDSFVNAYQESTCEMKQSIEARDRKLTVLSEKINSHLSLFDSIEKEAFSVKQVVDNVQRTVSEKEEIVSGLRRKMDQVSAFGKAFVEKIHDLENRLQNDEYEFQRKNKIISELEAQLEVAKISDCSRAQIEEISTQVLKIFFFFVSEELTCDFN >EOY00251 pep chromosome:Theobroma_cacao_20110822:2:30441605:30442660:-1 gene:TCM_010077 transcript:EOY00251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes protein with unknown function whose expression is repressed by inoculation with Agrobacterium tumerifaciens. [Source:Projected from Arabidopsis thaliana (AT1G10417) TAIR;Acc:AT1G10417] MEMELLKLSKFKLQLRALVTESRDLRERERCATEQIHLLIQKQKQTEEEYSRRIQELQAELASSNESHQKLERKVSYLHNDNALLENKQKELQGTIQSLLQSRDSFVNAYQESTCEMKQSIEARDRKLTVLSEKINSHLSLFDSIEKEAFSVKQVVDNVQRTVSEKEEIGM >EOY00608 pep chromosome:Theobroma_cacao_20110822:2:33780286:33782997:-1 gene:TCM_010488 transcript:EOY00608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat only 4 MMGSAEEKRIEDELSYPIWLAERVRSAADEAGSLKAECGEVAKQVDRLSQMLRTLVRFTTSVQSLYERPIRRLISEVSKNLERALTLVRKCKRQSILRRVVRITSATEFRKVLNLLDASIGDMKWLMGVLDTENNGTSSGIFLSLPPIASNDPIISWVWSYIATVQMGELTDRIEAANSLASLAQDNDRNKKITVEEGGVPPLLKLLKESSSTEAQIAAANALFVLANEHERVRSIVDEMGVPIVVQVLGDSPMKVQIPVAKLVARMAEHDPVAQEDFARENVIRPLVTLLSFETFVEDSRTQMGKQSIHSIVQINKEMEKNSSIGSNSRNYSYRPYTNSYSNLHMEGSSRGGNHRKERENEKPEVKIQLKINGAEALWMLAKGSVSNSRRITETKGLLCLAKLVEKEQGELQYNCLMTVMEITAAAESNSDLRRAAFKTNSLAAKAVIDQLLRVIKELDSPTLQIPAIKSIGSLARTFPARETRVIGPLVTQLASKDQEVAMEAAIALQKFASPENFLCMDHSKSIIGFNGVPPLMRLLRSEMTQLHGVILLCYLAIHSGDNEALEQARVLTALEGADRTVVAQHPDLKELVSKAIYHLNLYHTGVHPQRQSYAP >EOY01606 pep chromosome:Theobroma_cacao_20110822:2:40221333:40224367:-1 gene:TCM_046724 transcript:EOY01606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MTSMAANLSALLTGSLCLYLVIALIKILHKYWWTPLRIQYAMNLQGIKGPPYKFIHGNNKETVKMKHEALSKPMASLSHDIFPRVNPPVYSRINSYGKNYLSWGGTTAQLFITEPELVKEVLKSSERAFPKRTSRERKRNEDEFALMILGDGLVTSEGEKWARQRKLANYAFHGDSLKSMTPAVIASVETMLERWKQFEGKEIDVFEDFRLLTSEVISRTAFGSSYLDGKKIFDMLLKLSVLTNRNLFKAKFPVISNFCKTADEIESEKLVKGIHNSVMEIVKKREEKVLNGEANSFGTDFLGLLVSAYHDADKKNRLSGQDLVDECKTFYFTGQETTNSALAWAVLLLAINPDWQEKARREVIEVFGNQNPHSEGIGRLKTMTMIINETLRLYPPINSMVRKVENEVQLGKLILPADLHVVVPIVALHHDPQLWGDDANLFKPERFSEGISNATKHNAAAYIPFGLGPRSCVGMSFAMTEAKTALSMILQRYTISLSPTYSHSPCTLLLLQPQHGIQVILHSL >EOX97673 pep chromosome:Theobroma_cacao_20110822:2:3012958:3013706:1 gene:TCM_006628 transcript:EOX97673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTREKRYTSHWFLIDGKLPPLVTWSLDLQKWLQSLIPRPIYKLYNDVIKTMNYVSQSYIRYVQHFTLGSRWF >EOY00378 pep chromosome:Theobroma_cacao_20110822:2:31906226:31909230:-1 gene:TCM_010246 transcript:EOY00378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant U-box 18, putative MIQKLNGSCRRILAYPAVHPCQSISPLTLLNSLIDLGRDICSYKSKFLSTNKRNARETFRQITNLLLILEEIRNATSDPPDPVILRLPELHLTFQKFRYLLEDCTCDGSRAWMLVNSDRVANHFRILIRAMATGLDVFPLPTVDLSNEAKELVELIIRHARKGTFESDPEDKKAVKDLMRILNRFEEGSSPDPIEIKRILDHLGIKKWSDCNKEVKFLNSEIEIEVSNRENKKELELLSSLMGFVSYCRCVIFDSIDFHSQHLDGQFNSSEALKGINGDDLKCPISLEIMSDPVTLSTGHTYDRCSILKWFSSGNATCPKTGEKLTSTELVPNLVLKGVIQRYCAENGVVVAESSRKNRDISRSIMAGSWAGEGAVKMLGGFLVNKLVNGSVEEKNKAAFEIRVLTKTSIFNRSCLVEAGAAPHLLKLLLSNDSNTEENSIAALLNLSKHSKSKGVIVEKEGLDLIVHVLRKGHKVEAQQHAAATLFYLASVEENRILIGEIPEAIPGLVELIKKGNDRCKRNALVAVFGLLVHSGNHWRVLAAGVVPLLLNLLKDSERQDLVTDSLAVLATLAEKVDGTVAILRRGALQLLVGTLNTSTSRTGKEYCVSLLLALCVNGGLDVVARLVKNPSLLGSLYSQLSEGTSRASKKASALIRILHEFYERSSSTSLTPRHPRERFVHVR >EOY01718 pep chromosome:Theobroma_cacao_20110822:2:40717549:40720201:1 gene:TCM_011555 transcript:EOY01718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein MASVISSSSALPTRSLDSFPTHSERANKLTSLSWVSSFPSTNISINSFTLPPAPSLNKGSFVQAAWTRRSRGEAAKQPNRKSWKQRTDMYMRPFLLNVFFSKKFIHAKVMHRGTSKVISVATTNAKDLRNTLPSLTDHNACRIIGKLIAERSKDADVYAMSYDPRKGERIEGKLGIVLDTIKENGIIFV >EOY00434 pep chromosome:Theobroma_cacao_20110822:2:32313773:32317987:-1 gene:TCM_010297 transcript:EOY00434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, unclassified, putative MNEDLCADISLEKVRIALFQMHPTKAPGPDGLPVLCYQRFWPLLSRDVHKFVVDFLEGRLWSTEVNHTHITLIRKVPQPDQGSQFRPISLCNVIYKIASKVLANRLKSILPVIISANQSPFVLGRLILDNGIVAHEVIHYLHNKRVGKVGNFALKLDMSKAYDRVEWIFMEVLINSDLGAKFVPSRGIRQGDPFSPFLFVIITEALSEKVFKRVSNWKSKVLSIVGKEVLIKAVAQAIPIYVMSCFKLPDTLCAEIDSVYARYWWGSNVKDHKIHWKSWKAICTSKHYEGMGFRNTKNFNLAMPTKQGWRLQTQVPTLAYNFLKARYFPNVNFCEALIGSNPSYLWRSLRESQGLIKNGLIWRVGNGTNISVKRDNWIPYETLRKVLVCDASGIELNSDMLCTSMLDWILSYGYSRLIIYM >EOX97899 pep chromosome:Theobroma_cacao_20110822:2:3901720:3907927:1 gene:TCM_006812 transcript:EOX97899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, alpha subunit MLTKFETKSNRVKGLSFHAKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHMSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGSLRKKTVSPADDILRLSQMNTDLFGGVDSVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNETKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDVTKRTGLQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRYYEFSTQREAQVIPIRRPGSTTLNQSPRTLSYSPTENAVLICSDVDGGSYELYVIPKDSIGRGDSLQEAKRGLGSSAIFVARNRFAVLDKGNNQVLIKNLKNEVVKKSGLPVPTDAIFYAGTGNLLCRSEDRVVIFDLQQRIVLGDLQTPFVKYIVWSNDMESVALLSKHAIIITNKKLVHQCTLHETIRVKSGGWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKVSGNTLFCLDRDGKNRTVVIDATEYIFKLSLLRKRYDHVMSMIRNSQLCGEAMIAYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGVEALRQGNAGIVEYAYQKTKNFERLSFLYLITGNLEKLSKMLKIAEVKNDVMGQFHNALYLGDIQERVKILENSGHLPLAYITASVHGLQDVAERLAAELGDDVPPLPEGKEPSLLMPSAPVLCGGDWPLLRVMKGIFEGGLDSGIGRGAVDEEEEGVEGDWGEDLDVVDVDGLQNDDVTAILEDGEVAEENEEEGGWDLEDLELPPEADTPKVSGNARSSVFVAPTLGMPVSQIWIQRSSLAAEHAAAGNFDTAMRLLSRQLGIRNFAPLKLMFLDLDTGSRSYLRAFASAPVVSLAVERGWNESASPNVRGPPALVFNSSQLDEKVNAGYKATTAGKFTEALRLFLNILHTIPLIVVESRREVDEVKELIIIAKEYVLGLQMELRRKEMKDNPVRQQELAAYFTHCNLRTPHLRLALQNAMSVCFKAKNMATAANFASRLLETNPTNENQAKTARQVLQAAERNMTDASQLNYDFRNPFVVCGATHVPIYRGQKDVSCPYCTTRFIPSQEGQLCTICDLAVVGADASGLLCSPSQIR >EOX99074 pep chromosome:Theobroma_cacao_20110822:2:8347045:8349164:-1 gene:TCM_007689 transcript:EOX99074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short chain alcohol dehydrogenase MACASLLPPAARRLEGKVALITGGASGLGECIARLFCEHGAKVIITDIQDELGQSVCKDIGLAVASFVLCDIVKESDVEIAVNTAISLHGKLDIMVNNAAVIDDAKPSIVENNVADFERVISINLTGVFLGTKHAARVMIPARKGSIISLGSVSSSVGGVASHAYTSSKHGVIGLTKNAAAELGRFGIRVNCLSSYFIATPLTTNFFKLDRNESDRVYSNLKGVFLQKEDVAEAALYLGSDESKYVSGHNLAVDGGFTTINPAFGLFASSQ >EOX99560 pep chromosome:Theobroma_cacao_20110822:2:12191691:12194777:1 gene:TCM_008260 transcript:EOX99560 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein MYETPLPAQLSDSNRRIIDTSATTLRVYQVWKGSNRFCLGGRLVFGPDVRSIFLTISLIVMPVILFCAFVSQRLIHEFDHHLGNLIVAILIIFTVYDLILLLLTSGRDPGIIPRNLHPPELEEDGSTMSTDWLGSQSGVGVPSLPPTKDVVVNGVIVKVKYCQTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTILCLYVFALCWVNIKKIMDEYHCNVWRALLKSPVSGILILYTFVTAWFVGGLTVFHLYLIFTNQTTYENFRYRYDSKMNPYNRGCVRNIFEIFFSKIPKSKNNFRAKVKLDSSALFAASISLARTMSPEMPKTSFDIEMGKRQAVAAEDFEEIQSQIDSVGGLERCGTQPRHTNQGDKANWEMSPDIRMLAAEFGMEPSFNDRQKVRRGH >EOY00802 pep chromosome:Theobroma_cacao_20110822:2:35298202:35300059:-1 gene:TCM_010738 transcript:EOY00802 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MIKMKVEITSRQTIKPSSPTPYHLKNFRLSLLDQLLPSFYGLIVFFYASTPSTHHQNEDCRSKSCDRSHILKSSLSKVLTHFYPMAGRLKDATSIDCNDEGAYFVEARIDCQLSDFLKQPDMEALNGFLPTTDPETSKAASGCNLLVQLTTFECGGTAISICLLHKNTDVSSLATFLQSWTAIARDSGEAVSPEFVGASLLPPGDLSFMPPVNNPSGNFVTKRFKFEASKIASLKAKAAGQFVPSRVEVVLALILKCSVAASRAKSGLARPIALFQAVNLRKRIVPPLPENSIGNLIWTVPVFLGDGEMELNELVTVMRREMTQFCNEKANKFKGDDGFLLITESLKERRELCKDAAVYRCTSWCRFPLYEMDYGWGKPVWVSSASLSFRNIVVLIDTKNGDGIEAWVTLEEQEMSIFECDKELLGSALLNPSIFI >EOX98190 pep chromosome:Theobroma_cacao_20110822:2:4804892:4810132:1 gene:TCM_007003 transcript:EOX98190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase / cyclophilin-40 (CYP40) / rotamase isoform 1 MNMMMRRPRCYLDISIGEELEGRIIVELYTDVVPKTAENFRALCTGEKGIGPNTGVPLHYKGVRFHRVIKGFMVQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANAGPNTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSIEHVTTGEADCPTVDMTIADCGEIPEGADDGISNFFNDGDIYPDWPADLDESPNELSWWMTAVDSVKAFGNEHYKKLDYKMALRKYRKALRYLDICWEKEGIDEDKSSSLRKTKSQIFTNSSACKLKLGDLKGALLDTEFAMRDGDSNVKALFRQGQAHMALNDVDAAVESFKKALQLEPNDGGIKKELAAAMKKINDRRNEERRRYRKMFQLHSTGADNHDG >EOX98189 pep chromosome:Theobroma_cacao_20110822:2:4804892:4809441:1 gene:TCM_007003 transcript:EOX98189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase / cyclophilin-40 (CYP40) / rotamase isoform 1 MNMMMRRPRCYLDISIGEELEGRIIVELYTDVVPKTAENFRALCTGEKGIGPNTGVPLHYKGVRFHRVIKGFMVQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANAGPNTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSIEHVTTGEADCPTVDMTIADCGEIPEGADDGISNFFNDGDIYPDWPADLDESPNELSWWMTAVDSVKAFGNEHYKKLDYKMALRKYRKALRYLDICWEKEGIDEDKSSSLRKTKSQIFTNSSACKLKLGDLKGALLDTEFAMRDGDSNVKALFRQGQAHMALNDVDAAVESFKKALQLEPNDGGIKKELAAAMKKINDRRNEERRRYRKMFQLHSTGADNHDG >EOX97878 pep chromosome:Theobroma_cacao_20110822:2:3794466:3798726:-1 gene:TCM_006798 transcript:EOX97878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene synthase 1 isoform 1 MGSLGALVRNPDDFYPLFKLKMAARHAEKQIPSEPHWAFCFSMLLKVSRSFAFVIQQLDTKLRNAVCIFYLVLRALDTVEDDTSIATDVKVPILIDFHRHIYNRDWHFSCGTKHYKVLMDEFHHVSTAFLELEKGYQVAIEDITKRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGLGLSKLFHASGSEDLASDSLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWSKYVSKLEDLKYEENSVKAVQCLNDMVTNALIHVDDCLKYMSALRDPAIFRFCAIPQIMAIGTLALCYDNIEVFRGVVKMRRGLTAKVIDRTNTMADVYGAFYDFSCMLKAKVDNNDPNAQKTLSRLDLILKTCRDSGVLNKRKSYIIQNQSNYTPLLAVMLFIILAIIMANLSPKWPNN >EOX97880 pep chromosome:Theobroma_cacao_20110822:2:3794798:3823273:-1 gene:TCM_006798 transcript:EOX97880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene synthase 1 isoform 1 MLHKVSRSFALVIQQLDTKLRNAVCIFYLVLRALDTVEDDTSIATDVKVPILIDFHRHIYNRDWHFSCGTKHYKVLMDEFHHVSTAFLELEKGYQVAIEDITKRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGLGLSKLFHASGSEDLASDSLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWSKYVSKLEDLKYEENSVKAVQCLNDMVTNALIHVDDCLKYMSALRDPAIFRFCAIPQIMAIGTLALCYDNIEVFRGVVKMRRGLTAKVIDRTNTMADVYGAFYDFSCMLKARQL >EOX97879 pep chromosome:Theobroma_cacao_20110822:2:3794798:3823273:-1 gene:TCM_006798 transcript:EOX97879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene synthase 1 isoform 1 MLHKVSRSFALVIQQLDTKLRNAVCIFYLVLRALDTVEDDTSIATDVKVPILIDFHRHIYNRDWHFSCGTKHYKVLMDEFHHVSTAFLELEKGYQVAIEDITKRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGLGLSKLFHASGSEDLASDSLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWSKYVSKLEDLKYEENSVKAVQCLNDMVTNALIHVDDCLKYMSALRDPAIFRFCAIPQIMAIGTLALCYDNIEVFRGVVKMRRGLTAKVIDRTNTMADVYGAFYDFSCMLKARQL >EOX98535 pep chromosome:Theobroma_cacao_20110822:2:6120024:6124392:-1 gene:TCM_007273 transcript:EOX98535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASPAKTSSSSLPCRTNPNMKKSEISDPMRRSFSGNPFAKPSIVTNPRTFNPSTPANSPSDFPRRHSAGRESVASLRDSDKENSKDQNPKPTRVRSPAPSKGSKNFMSPTISAASKINASPRKKILVERNESVRSSVSFSDVKSLIKEDNESTPEIALKQKRVSSSDVKSVIMEDEATPEIGLNQKKVSFSDVKSIIMADNQSTPVISVNQKKVTFADVKSVVMDDDESTPQIGLKQKNVEVPHDSSSSNHVYEEPLKSNADFDYKESKHDSDLLPETVTEENDSVNVDPSFKISPRVSITPSCPILAPLDADPSMPPYDPKTNYLSPRPQFLHYRPNPRIDLYREREGKQLEEHFASESYSDTEVTGETQCDASQRESEDISSEETMKGEGEEEELYASERNPIAHDMVEESLRMSKPRFSTRSKFIAFLLVLAFAYFSILVANSPTFAPSGLGDLSLSIQVPPEVSEFAKANFDRFTQYLQHLSARFLSCVSNIISSSREVHRTVSFQYANLSHLLEDHISEGHLLFDCSVVDPVRERGTYHQEIEADEAVDEDDEQEIKEQEDQESQAYENLELVSGEEPDEAQQGIEAEMIELDHLEAEENEGVEFAAQIDAEHQSNVNLNHLPSIIPQAAEVSKSGNTEGVDLKNIAEIVFPKEELMSQNPKIEALTDDSQSSEVVDSAITGPEDRFLAKNVMAFSLLLLCLLAATAAVIYPKREKLSVPNAAVPVQQPVLAKKSKDSPVSVSSNDTIHERLSSKNLQTEVDMSNESCPSEMSSCQKTSSTYSKMGLKESNEYQSQEKKPRKNNRRESLASSDYSTGSPSYGSFTTYEKIPNKHGGGDEEIVTPVRRSSRIRNQVTSP >EOX98536 pep chromosome:Theobroma_cacao_20110822:2:6120709:6124366:-1 gene:TCM_007273 transcript:EOX98536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASPAKTSSSSLPCRTNPNMKKSEISDPMRRSFSGNPFAKPSIVTNPRTFNPSTPANSPSDFPRRHSAGRESVASLRDSDKENSKDQNPKPTRVRSPAPSKGSKNFMSPTISAASKINASPRKKILVERNESVRSSVSFSDVKSLIKEDNESTPEIALKQKRVSSSDVKSVIMEDEATPEIGLNQKKVSFSDVKSIIMADNQSTPVISVNQKKVTFADVKSVVMDDDESTPQIGLKQKNVEVPHDSSSSNHVYEEPLKSNADFDYKESKHDSDLLPETVTEENDSVNVDPSFKISPRVSITPSCPILAPLDADPSMPPYDPKTNYLSPRPQFLHYRPNPRIDLYREREGKQLEEHFASESYSDTEVTGETQCDASQRESEDISSEETMKGEGEEEELYASERNPIAHDMVEESLRMSKPRFSTRSKFIAFLLVLAFAYFSILVANSPTFAPSGLGDLSLSIQVPPEVSEFAKANFDRFTQYLQHLSARFLSCVSNIISSSREVHRTVSFQYANLSHLLEDHISEGHLLFDCSVVDPVRERGTYHQEIEADEAVDEDDEQEIKEQEDQESQAYENLELVSGEEPDEAQQGIEAEMIELDHLEAEENEGVEFAAQIDAEHQSNVNLNHLPSIIPQAAEVSKSGNTEGVDLKNIAEIVFPKEELMSQNPKIEALTDDSQSSEVVDSAITGPEDRFLAKNVMAFSLLLLCLLAATAAVIYPKREKLSVPNAAVPVQQPVLAKKSKDSPVSVSSNDTIHERLSSKNLQTEVDMSNESCPSEMSSCQKTSSTYSKMGLKESNEYQSQEKKPRKNNRRESLASSDYSTGSPSYGSFTTYEKIPNKHVSFPLFIAILTLV >EOX99296 pep chromosome:Theobroma_cacao_20110822:2:10018970:10022263:-1 gene:TCM_007929 transcript:EOX99296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PSB29 protein MAAVSSLSLSAIGQTSGDRKVNVPSARYLASNFEGLRFRTSVLYHSVGVRGSASASPSVVHCMCAATDVPTVSETKLNFLKAYKRPIPSVYNTVLQELIVQQHLMRYKWTYRYDAVFALGFVTVYDQLMEGYPSDEDRDAIFQAYIKALKEDPQQYRIDAQKLEEWARSQTSSSLVEFSSRDGEVEAILKDIAERAGRMGSFSYSRFFAVGLFRLLELANATEPTVLEKLCAALNINKRSVDRDLDVYRNLLSKLVQAKELLKEYVDREKKKREERSESQKANEAVKKCLGECQYVGQ >EOX98209 pep chromosome:Theobroma_cacao_20110822:2:4906563:4910957:-1 gene:TCM_007025 transcript:EOX98209 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MADDLCFFIKDSFILKAPKKSPLLLRMVVLLVVMVCGVYICSICLKQISTGSTTEFLNIGVIQKPCPEPNIQPWEIPYVHYPNPKTYSRAECACHPVRYFAIMSMQRSGSGWFETLLNNHTNISSNGEIFSVKVRRSNVSSIFETLDKVYNLDWLSSASKNECTAAVGLKWMLNQGLMQHHKEIVEYFNTRGVSAIFLFRRNLLRRMISILANSYDRDAKLLNGTHKSHVHSPHEAGILARYKPAVNATLLVPNLRQVEETTKKALEYFKSTRHIILYYEDVVKNRTKLVEVQEFLKVPRMELRSRQVKIHKGSLSNHIQNWDDVQKAIKGTQYENYLYGDYRK >EOX99777 pep chromosome:Theobroma_cacao_20110822:2:16228077:16230406:1 gene:TCM_008702 transcript:EOX99777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLYFLLEYQWSILSAIVFSTRNWCASFGDDQINLLHIYVFCLLLLFVLRFSYTCTGNYVYYGFLEILDVYLLAIFFAWIQLCIGSWVIWKRGYANPFFFCTSKYLSWTIQLFLK >EOY00988 pep chromosome:Theobroma_cacao_20110822:2:36308607:36311293:-1 gene:TCM_010888 transcript:EOY00988 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 1, putative isoform 1 MYIYHRIFFANSLLRCFFNMGYPHNTDLNLSHHSFNNAKPSPLIPKPNPACPRNTTIISSAPSPCHAIRKAELSEHPLGTSRWNPTPEQLLALEELYRRGTRTPSAAQIQQIATRLRRFGKIEGKNVFYWFQNHKARERQKRRRELETEQELKQCDTERFEKKEPGSATTGCEIEQTKNKGPPSNFSKRSEELILTHGVAAAESGPNKWMQIQEKELQQTKSTAGRVSTWQAMELSCSPIYLINSMTATSLATARASNTQNLCSLKPHQENITTLEDENREDKTLELFPLGSNDRNGINISKKDTTQVPITALNTTFTPNQYFEFLPLKN >EOY00989 pep chromosome:Theobroma_cacao_20110822:2:36309286:36311010:-1 gene:TCM_010888 transcript:EOY00989 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 1, putative isoform 1 MYIYHRIFFANSLLRCFFNMGYPHNTDLNLSHHSFNNAKPSPLIPKPNPACPRNTTIISSAPSPCHAIRKAELSEHPLGTSRWNPTPEQLLALEELYRRGTRTPSAAQIQQIATRLRRFGKIEGKNVFYWFQNHKARERQKRRRELETEQELKQCDTERFEKKEPGSATTGCEIEQTKNKGPPSNFSKRSEELILTHGVAAAESGPNKWMQIQEKELQQTKSTAGRVSTWQAMELSCSPIYLINSMTATSLATARASNTQNLCSLKPHQENITTLEDENREDKTLELFPLGSNDRNGINISKKDTTQVPITALNTTFTPNQYFEFLPLKN >EOY00990 pep chromosome:Theobroma_cacao_20110822:2:36308718:36311293:-1 gene:TCM_010888 transcript:EOY00990 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 1, putative isoform 1 MYIYHRIFFANSLLRCFFNMGYPHNTDLNLSHHSFNNAKPSPLIPKPNPACPRNTTIISSAPSPCHAIRKAELSEHPLGTSRWNPTPEQLLALEELYRRGTRTPSAAQIQQIATRLRRFGKIEGKNVFYWFQNHKARERQKRRRELETEQELKQCDTERFEKKEPGSATTGCEIEQTKNKGPPSNFSKRSEELILTHGVAAAESGPNKWMQIQEKELQQTKSTAGRVSTWQAMELSCSPIYLINSMTATSLATARASNTQNLCSLKPHQENITTLEDENREDKTLELFPLGSNDRNGINISKKDTTQVPITALNTTFTPNQYFEFLPLKN >EOY00629 pep chromosome:Theobroma_cacao_20110822:2:34006051:34011675:1 gene:TCM_010515 transcript:EOY00629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase family protein, putative MTQGKRTDSVFVYSDRIKPIVPLQHQKTNKTHQNSAFQPSEHFTTMKALQIGLWSVWLSGVLLIALSLYATQRLPLSKNLIFSHGSFSVLDTPKITIFTAPTPFKGSLGTKQSLAIRSWLALSPQITVILFSQHPSVASFATSFGSRVLVEPDIDFTFLGVPFFHSMVARSRAFTSDVYAFINSETILLPDFVLALTYAHELDSDWLLFASSRKVSTFPFYLDEDRKYWLREGGKRIGIQELQEKLGSSWQWNCCDGRMMIAWNNGELPLHHGVLPPFLYSRGVHNHWLINEALSSGFRFVFDASWAISTFVLDDSRNWSNCLVKSSIVSDIEKGSWEYDGNSHLAALYGSSSLHKINYSGLMELLKCDAQFLIINTTEDTIHPYANKRMSLCKGSIPKCWKSKKTLPCIAGIKKSQNGVSGCSLKDQLVPSKTLKFPFSLESLLAINADKNRTVVLTVAGYSYKDMLMSWVCRLRRLKITNFLVCALDYETYQFSIMQGLPVFNDPSAPSNISFNDCHFGTKCFERVTKVKSRLVLKILKLGYNVLLSDVDVYWFRNPLPLLSSFDSAILAAQSDEYNQTGPINLPRRLNSGFYFARADGPTIAAMEKVVRHAATSGLSEQPSFYDTLCGEEGSTRKESNETSGSGSFLSPAMLKSCAPFLGFVRHANHDSGSKKALGRTPMSVDLSQSRDISVRIVHAGGREELYQNAVPVSHLMEKYPGMCIARPEVFNNPHESLLWPKDSLLPGQKYYIIPSTTALKLKRKHQEKVKVKGAAEDREDMSDARITWDVSGENLEESVHSAKEFYVTKPSKASKVPKQRQPRYSLRTGGRVKKPFVPPLPRTISLRESGWEPSLTSVQELSP >EOY00857 pep chromosome:Theobroma_cacao_20110822:2:35560542:35567929:-1 gene:TCM_010780 transcript:EOY00857 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 1 MANLPIVQFEKKIIETVEQNPVVVIIGETGSGKSTQLSQMLHRKGYTDSGIVAVTQPRRVAAVSVSRRVAQELGVRLGEEVGYAIRFEDRTSERTQIKYLTDGVLLRESLSNPELNQYSVIILDEAHERSLNTDILLGLMKRLVGSRASNLKVLITSATLDGEKVSKFFSDCPLLTVPGKLFPVEIFYSKERPTSYVESSLKTALDIHVREPEGDVLIFMTGQDDIEKLVLKLEDKVRSLEEGSCMDAIILPLHGSLPPEMQVRVFSPPPPNCRRFIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPATGMYSLDVVQVSKVQANQRAGRAGRTRPGKCYRLYPSRVYNDEFLDVTVPEIQRSSLAGTVLYLKSLDLPDIDILKFDFLDPPSTESLEDALKQLYLIDAIDENGSITSVGRTMAELPLEPSLSRTLIEANEYGCLSQALTVAAMLSAETNLLPNRSKSNEKKRKHPPLDLPDGSGFGDHIQLLQIFECWDENDYDIGWCKYYDLQVRGMLFVKEVRKQLSQIMQKIAKGSSDVQANQSRKGHQNYRNLRKALCIGYASQLAERMRHHNGYRTLGFKSQLVQVHPSSVLQPDDDGLYGSYVVYHELISTSRPYMRNVCAVERQWVMPILDKLEKLNVSKLSGGGLGQVEEGTEGSMSELPKREAEVVTVPQERESKIQAARERFLARKAKN >EOY00859 pep chromosome:Theobroma_cacao_20110822:2:35560542:35567929:-1 gene:TCM_010780 transcript:EOY00859 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 1 MANLPIVQFEKKIIETVEQNPVVVIIGETGSGKSTQLSQMLHRKGYTDSGIVAVTQPRRVAAVSVSRRVAQELGVRLGEEVGYAIRFEDRTSERTQIKYLTDGVLLRESLSNPELNQYSVIILDEAHERSLNTDILLGLMKRLVGSRASNLKVLITSATLDGEKVSKFFSDCPLLTVPGKLFPVEIFYSKERPTSYVESSLKTALDIHVREPEGDVLIFMTGQDDIEKLVLKLEDKVRSLEEGSCMDAIILPLHGSLPPEMQVRVFSPPPPNCRRFIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPATGMYSLDVVQVSKVQANQRAGRAGRTRPGKCYRLYPSRVYNDEFLDVTVPEIQRSSLAGTVLYLKSLDLPDIDILKFDFLDPPSTESLEDALKQLYLIDAIDENGSITSVGRTMAELPLEPSLSRTLIEANEYGCLSQALTVAAMLSAETNLLPNRSKSNEKKRKHPPLDLPDGSGFGDHIQLLQIFECWDENDYDIGWCKYYDLQVRGMLFVKEVRKQLSQIMQKIAKGSSDVQANQSRKGHQNYRNLRKALCIGYASQLAERMRHHNGYRTLGFKSQLVQPDDDGLYGSYVVYHELISTSRPYMRNVCAVERQWVMPILDKLEKLNVSKLSGGGLGQVEEGTEGSMSELPKREAEVVTVPQERESKIQAARERFLARKAKN >EOY00860 pep chromosome:Theobroma_cacao_20110822:2:35561344:35567801:-1 gene:TCM_010780 transcript:EOY00860 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 1 MANLPIVQFEKKIIETVEQNPVVVIIGETGSGKSTQLSQMLHRKGYTDSGIVAVTQPRRVAAVSVSRRVAQELGVRLGEEVGYAIRFEDRTSERTQIKYLTDGVLLRESLSNPELNQYSVIILDEAHERSLNTDILLGLMKRLVGSRASNLKVLITSATLDGEKVSKFFSDCPLLTVPGKLFPVEIFYSKERPTSYVESSLKTALDIHVREPEGDVLIFMTGQDDIEKLVLKLEDKVRSLEEGSCMDAIILPLHGSLPPEMQVRVFSPPPPNCRRFIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPATGMYSLDVVQVSKVQANQRAGRAGRTRPGKCYRLYPSRVYNDEFLDVTVPEIQRSSLAGTVLYLKSLDLPDIDILKFDFLDPPSTESLEDALKQLYLIDAIDENGSITSVGRTMAELPLEPSLSRTLIEANEYGCLSQALTVAAMLSAETNLLPNRSKSNEKKRKHPPLDLPDGSGFGDHIQLLQIFECWDENDYDIGWCKYYDLQVRGMLFVKEVRKQLSQIMQKIAKGSSDVQANQSRKGHQNYRNLRKALCIGYASQLAERMRHHNGYRTLGFKSQLVQVHPSSVLQPDDDGLYGSYVVYHELISTSRPYMRNVCAVERQWVMPILDKLEKLNVSKLR >EOY00858 pep chromosome:Theobroma_cacao_20110822:2:35561097:35567801:-1 gene:TCM_010780 transcript:EOY00858 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 1 MANLPIVQFEKKIIETVEQNPVVVIIGETGSGKSTQLSQMLHRKGYTDSGIVAVTQPRRVAAVSVSRRVAQELGVRLGEEVGYAIRFEDRTSERTQIKYLTDGVLLRESLSNPELNQYSVIILDEAHERSLNTDILLGLMKRLVGSRASNLKVLITSATLDGEKVSKFFSDCPLLTVPGKLFPVEIFYSKERPTSYVESSLKTALDIHVREPEGDVLIFMTGQDDIEKLVLKLEDKVRSLEEGSCMDAIILPLHGSLPPEMQVRVFSPPPPNCRRFIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPATGMYSLDVVQVSKVQANQRAGRAGRTRPGKCYRLYPSRVYNDEFLDVTVPEIQRSSLAGTVLYLKSLDLPDIDILKFDFLDPPSTESLEDALKQLYLIDAIDENGSITSVGRTMAELPLEPSLSRTLIEANEYGCLSQALTVAAMLSAETNLLPNRSKSNEKKRKHPPLDLPDGSGFGDHIQLLQIFECWDENDYDIGWCKYYDLQVRGMLFVKEVRKQLSQIMQKIAKGSSDVQANQSRKGHQNYRNLRKALCIGYASQLAERMRHHNGYRTLGFKSQLVQVHPSSVLQPDDDGLYGSYVVYHELISTSRPYMRNVCAVERQWVMPILDKLEKLNVSKLSGGGLGQVEEGTEGSMSELPKREAEVVTVPQERESKIQAARERFLARKAKN >EOX99457 pep chromosome:Theobroma_cacao_20110822:2:11401423:11403277:-1 gene:TCM_008141 transcript:EOX99457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein MASTLSSSFISPALIILLLYVSSSSNNLAAKHTYSASPHHRHHNRSPFPNPKLQQAYNALQAWKRVIYSDPHNFTSNWVGHDVCNYTGVFCAPPPYDKKIKVVAGIDLNGADIAGFLPDEFALLSDLALIHINSNRFCGILPQTLANLSLLFELDLSNNRFVGPFPYVVLSLPSLQYLDIRYNEFEGPLPAQLFSRSLDAIFVNNNRFSNVIPSNFVGSSASVVVFANNKLGGCLPPSISNFANTLEELLLINTSLSGCLPPEVGYLYKLKVLDVSNNNLVGPIPYSLAGLAHLEQLNLAHNMMTGIVPMGVCILPNLANFTFSYNFFCEEEGICQNLTSNGIAFDDRRNCLPEKPNQRSQKECQGVLEHPVDCFHYHCGGVRGSSAAVPPTMMPAGTPLSSPAMAPGYV >EOX97091 pep chromosome:Theobroma_cacao_20110822:2:1152753:1153076:1 gene:TCM_006192 transcript:EOX97091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase Sec MRKRRTTRGAAFGGIVKSILQFYTDDGPRLKISPNVVLIMSIGFIGFVAILHLMGKLYFIYKEA >EOX99589 pep chromosome:Theobroma_cacao_20110822:2:12550689:12552171:1 gene:TCM_008307 transcript:EOX99589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferases, transferring glycosyl groups MASLAKPTPRITLFLFLIFTCSRFCKSTPDQDPTPTPWPHQFHSILVMNYSGILQVIDLWYDWPNGRNFNIIQHQLGNVLYDLEWNNGTSFFYTLDSSKKCSSAQLEVGILRPNWLDGAKYLGQQHVDGFLCNVWEKVDFIWYYEDVVTKRPVHWVFYTGREAHVMTFEVGAALEDAKWQAPVYCFDKNDSLTSIPVETMVDGVLKGSAVL >EOX99797 pep chromosome:Theobroma_cacao_20110822:2:16459464:16460929:-1 gene:TCM_008734 transcript:EOX99797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2B MDPDAVAKAFVEHYYTTFDANRAGLANLYQEGSMLTFEGQKIQGCQNIVAKLTSLPFQQCQHNITTVDCQPSGAGGMLVFVSGTLQLAGEQHALKFSQMFHLMPTAQGSFYVLNDIFRLNYA >EOX98199 pep chromosome:Theobroma_cacao_20110822:2:4835517:4837908:1 gene:TCM_007011 transcript:EOX98199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450-like protein MELLASLNPTKMAVSGYVVYIAFTLILLRFLLRFLDNNGLPRSWPLIGMLPTMLLHFHRIHKKIPEVLEKSKGTFMYKGIWFTNTSFLGTSDPENVRYVLSTNSSIYLKGSEWMKQFDIFGEALFNSDGEAWQKQRKIFHAFLNHPQFHKSMAKLIPDRIEQGLIKVLEHVSKGDLVVDLQDLLARHAFDIACMMATGCNPQLLSLEYPENRFHDAMSDAWETAFYRYVMPDKLWKLLSWLQIGKEKRRSRAWKALDDLLAEYISLQREKSNKSMASNDDEVNFNFLKCYLTGNEVTGPTPRDSLIRDNLIHIMFATDDTNSTVLSWFFYHLSKNPTVETKIREELEKNLSTQKVGECQLPSSLNELNKLAYLHAALYETLRLCPPVPFEFRTATQKNTLPTGHFVDKNTRVVMAIHAMGRMASLWGKDCNEFKPERWITEEGKIKRELPSKFLAFNAGPRICLGKNLAFIIMKATAAAIIHNYNVHVIAGQNVTPKHSIILHMKHGLMVRVKNRWA >EOY01249 pep chromosome:Theobroma_cacao_20110822:2:38587830:38592400:-1 gene:TCM_011192 transcript:EOY01249 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRI1-like 2 MESNPVQLLCHLALALVFTVCFSVSATEQVVVPPIKTDAVALLAFKKMIEKDPNGVLSGWKPERNPCAWYGVSCSSGRVIQLDLSQCSLSGTLFFNSLASLDMLSVLSLSSNMFTVNSTTLLLLPYGLKRLELSNSGLVGLVPDNIFSKLPNLEYVNLSHNNLTGPLPDNLLSNPDKLQGLDLSYNNITGSISGLKIENSCNSLLLLDLSGNHIMDSIPVYLSNCTKLTTLNFSFNSLTGEIPSSFGELLSLQRLDLSHNHLTGWIPSELGNACDSLLELKLSYNNFSGPVPISFSSCSYLQLLDLSNNNLTGPFPDSILQNLSALDTLQLSSNIISGPFPSSISYCKRLRIVDLSSNKFSGIIPPDICPGAAALEELRIPDNLISGQIPPQLSQCSHLRTVDFSLNYLNGSIPTEFGELENLEQLIAWFNDLEGKIPKDLGKCRNLKDLILNNNRLTGDIPVELFNCSNLEWISLTSNELTGSIPRVFGLLSRLAVLQLANNSLSGEIPGELGNCTSLVWLDLNSNKLTGEIPPRLGRQLGAKSLSGILAGNTLVFVRNVGNSCKGVGGLLEFAGIRPERLLQIPNLKSCDFTRMYSGAVLSLFTQYQTLEYLDISYNELRGKIPDEIGEMVALQVLELAHNQLSGEIPPSLGQLRNLGVFDASHNRLQGQIPESFSNLSFLVQIDLSNNELTGPIPQRGQLSTLPASQYANNPGLCGVPLQECRNGNNQAAANSDLNGGKGGRKPAAVSWANSIILGILISIASICILIVWAIAMRARRKEAEEVKMLNRLQASHAATTWKIDKEKEPLSINVATFQRQLRKLKFSTLIEATNGFSAASLIGCGGFGEVFKATLKDGSSVAIKKLIRLSCQGDREFMAEMETLGKIKHRNLVPLLGYCMVGEERLLVYEYMEYGSLEEMLHGRAKARDRQILTWEERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDHELEARVSDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSFGVVLLELLTGKRPTDKEDFGDTNLVGWVKMKVREQKHKEVIDPEILLVTKGTDEAEAEEVKEMMRYLEITLQCVDDFPSKRPNMLQVVALLRELMPGSGNGSSNSA >EOY01245 pep chromosome:Theobroma_cacao_20110822:2:38496350:38525404:-1 gene:TCM_011185 transcript:EOY01245 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein, putative MNPLWQQKELKEFCKAKGIHVTAHSPLGSKGTIWGNSRILDCDGLKEIAEARGKTTAQIALRWVYEQGASVITKSFNKERMKENLEILDWSLSMEELNKISCLPQRKGGSPSTFLEPSDLVKELEAEL >EOX99732 pep chromosome:Theobroma_cacao_20110822:2:15250076:15254336:1 gene:TCM_008593 transcript:EOX99732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase isoform 1 MAAPFSTLSNVGMLSGTRSLSKNPTLSPTCNAVKLPGKVSISSSISFSAGLFSGSLRLLPFTSSPRNSRVLRPVRASAEVATLQSKVTHKVYLDISIGNPAGKVAGRIVIGLYGDDVPQTAENFRALCTGERGFGYKGSTFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLSHTGPGIVSMANAGPNTNGSQFFICTVKTPWLDQRHVVFGQVLEGFDVVKLIESQETDRGDRPRKKVVVSDCGELPVAET >EOX99731 pep chromosome:Theobroma_cacao_20110822:2:15250076:15254334:1 gene:TCM_008593 transcript:EOX99731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase isoform 1 MAAPFSTLSNVGMLSGTRSLSKNPTLSPTCNAVKLPGKVSISSSISFSAGLFSGSLRLLPFTSSPRNSRVLRPVRASAEVATLQSKVTHKVYLDISIGNPAGKVAGRIVIGLYGDDVPQTAENFRALCTGERGFGYKGSTFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLSHTGPGIVSMANAGPNTNGSQFFICTVKTPWLDQRHVVFGQVLEGFDVVKLIESQETDRGDRPRKKVVVSDCGELPVAET >EOX97204 pep chromosome:Theobroma_cacao_20110822:2:1591537:1597883:-1 gene:TCM_006293 transcript:EOX97204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extra-large G-protein 1, putative MAGLLRKILPVGKSTAQDDDNDNDNVEYSFAIEYHGPPVPYDIPKAVPVDVDQLPTAATVSSSYVLNENSVPVIQPIVKANPVKQKWSEDKKFGSRPGSARKSIDRPGVSNESSIQVDSLDTLGQGIDGGCRTKLCDGMGSSGNLEISVVHDSLQKSSGGLAELELTDHGEEGLGLQKYMDPPDSDTTESVSSSPVLSSSDVSFQKGEDANNETPCHVRRPSVVTFLDPESGAMVQEDSSYYETESIDAAQPIARNGKKGSCYRCLKGNRFTEKEICIVCNAKYCYKCVLKAMGSMPEGRKCVTCIGKKINESRRETLGKCSRLLKRLLNELEVQQAMSSEKTCEANQLPPELVVVNGEPLSQDELHNLQICQNPPRKLKPGFYWYDKLSGLWGKEGHGPCQIITAQLNVGGHLKANASNGNANIVINNREITKKELWMLQLAGVHCEGKPSFWLSADGSYQEEGQKNVKGPIWDKMGVKLFCALLSLPVPPVTVNPAGEDVSRETLEQQVLHKLLLVGYQKSGTSTIYKQAKILYNISFSEDERQSIKLMIQSNLYGYFGILLEGQERFEEESLQETRKSQIADGSGSSGSASQIDGQTKYSICRKLKAFSDWLVQVMVSGNLEAIFPAASREYAPFIGELWNDAAFQATYSRRHELEMLPRVATYFLERAVEISSMDYEPSDMDILYAEGITSSNGISCMEFSFPTIEQESSIDGYQHDPSARYQLIRLHPSSLGENCKWVEMFEDVNMVLFCVSLTDYDEFSLDRNGVLTNKMLASKQLFECMVTHPTFEGKDFLLILNKADLLEEKIEQVPLTRCEWFHDFNPVISHNYHNQTTNCSSRNNHTTLGQRAFHYIAVKFKRLFSDLTGRKLYVSMVTGLEPDSVDEALRYTRNIRKWNDYEYSFVNNELSSTDIEASSTS >EOX99023 pep chromosome:Theobroma_cacao_20110822:2:8125385:8133425:1 gene:TCM_007652 transcript:EOX99023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein isoform 3 MRSLSAPCCSETRAVVGKPLVGVTSYLEWAGNEALVYITMDAILRPDKVWLHKLGSEQSSDSCLYHEKDDMFSLDLGASESEKFLFIASESKITRFVFYLEVSKPEDGLRVLTPRINGIDTSVSHRGNHFFIQRRSDEFFNSELLACPVDNTSETTVLIPHRASVKIQDIQLFSDHLVVYEREQGLPTITTYRLPAVDEPLITLQGGQAVQFIDPVYLVGQSESQFSTNILRFSYSSLRTPPCVYDYDMSTGESVLKKIETVLGGFDASNYVTERKWATASDGTQIPISIVHQKNLVKLDGSDPMLLYGYGSYEICIDPDFKASRLSLLDRGFIFAIAHIRGGGEMGRQWYENGKFLKKKNTFTDFIACAEFLIEKKYCSKEKLCIEGRSAGGLLIGAVLNMRPDLFKAAVAGVPFVDVLTTMLDPSIPLTTSEWEEWGDPRKEEFYFYMKSYSPVDNVQAQNYPNILVTAGLHDPRVMYSEPAKFVAKLRDMKTDGNDLLFKCELSAGHFSKSGRFERLQEDAMTYAFILKALDMIPTSGSAQN >EOX99022 pep chromosome:Theobroma_cacao_20110822:2:8125197:8136047:1 gene:TCM_007652 transcript:EOX99022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein isoform 3 MISQSQPPSPPVAKKVKHEMELFGDVRVDNYYWLRDDSRKNPEVISYLQEENAYTEAKMSGTKKFEDEIYAEIRGRIKEDDISAPLRKGPYYYYTRTLEGKEYVQYCRRLVPNRDAPPSVNDTMPTGPDAPAEHVILDENVKAQEYDFYRIGAFKVSPNHKLAAYAEDTKGDEIYTVYVIDAETRAVVGKPLVGVTSYLEWAGNEALVYITMDAILRPDKVWLHKLGSEQSSDSCLYHEKDDMFSLDLGASESEKFLFIASESKITRFVFYLEVSKPEDGLRVLTPRINGIDTSVSHRGNHFFIQRRSDEFFNSELLACPVDNTSETTVLIPHRASVKIQDIQLFSDHLVVYEREQGLPTITTYRLPAVDEPLITLQGGQAVQFIDPVYLVGQSESQFSTNILRFSYSSLRTPPCVYDYDMSTGESVLKKIETVLGGFDASNYVTERKWATASDGTQIPISIVHQKNLVKLDGSDPMLLYGYGSYEICIDPDFKASRLSLLDRGFIFAIAHIRGGGEMGRQWYENGKFLKKKNTFTDFIACAEFLIEKKYCSKEKLCIEGRSAGGLLIGAVLNMRPDLFKAAVAGVPFVDVLTTMLDPSIPLTTSEWEEWGDPRKEEFYFYMKSYSPVDNVQAQNYPNILVTAGLHDPRVMYSEPAKFVAKLRDMKTDGNDLLFKCELSAGHFSKSGRFERLQEDAMTYAFILKALDMIPTSGSAQN >EOX99024 pep chromosome:Theobroma_cacao_20110822:2:8126050:8133413:1 gene:TCM_007652 transcript:EOX99024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein isoform 3 MDAILRPDKVWLHKLGSEQSSDSCLYHEKDDMFSLDLGASESEKFLFIASESKITRFVFYLEVSKPEDGLRVLTPRINGIDTSVSHRGNHFFIQRRSDEFFNSELLACPVDNTSETTVLIPHRASVKIQDIQLFSDHLVVYEREQGLPTITTYRLPAVDEPLITLQGGQAVQFIDPVYLVGQSESQFSTNILRFSYSSLRTPPCVYDYDMSTGESVLKKIETVLGGFDASNYVTERKWATASDGTQIPISIVHQKNLVKLDGSDPMLLYGYGSYEICIDPDFKASRLSLLDRGFIFAIAHIRGGGEMGRQWYENGKFLKKKNTFTDFIACAEFLIEKKYCSKEKLCIEGRSAGGLLIGAVLNMRPDLFKAAVAGVPFVDVLTTMLDPSIPLTTSEWEEWGDPRKEEFYFYMKSYSPVDNVQAQNYPNILVTAGLHDPRVMYSEPAKFVAKLRDMKTDGNDLLFKCELSAGHFSKSGRFERLQEDAMTYAFILKALDMIPTSGSAQN >EOX99386 pep chromosome:Theobroma_cacao_20110822:2:10943532:10946375:1 gene:TCM_008072 transcript:EOX99386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MEKIQHRHVQVRGLKLHLAESGTGPKVVLFLHGFPEIWYSWRHQMIAVANAGYRAIAIDFRGYGLSDQPSEPEKANFNDLVDDDVALLDTFGHQISTVESDVELKFISAFLVGKDFGAVPAFLLAVVHPDTVSGLITLGIPFLIPGPVGIQFDLLPKGFYILRWAEPGRAEADFGRFDVKTVVRNIYILFSGSELQVAGDDQEIMDLVDPSTPLPPWFTEDDLDVYATLYRNSGFRTALQVPYRCSPLDYGVINPKVTAPLLIMGEKDCFIKFPGMEDYMRKGIVKQFMPNLDITFMPEGNHFVQEQLPEQVNELIITFLNKHFVG >EOX99387 pep chromosome:Theobroma_cacao_20110822:2:10943638:10946374:1 gene:TCM_008072 transcript:EOX99387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MLATEQLLLISGAMDSQTSHRNPKKQISMTLWMMMLRFSTLLGISKAFLVGKDFGAVPAFLLAVVHPDTVSGLITLGIPFLIPGPVGIQFDLLPKGFYILRWAEPGRAEADFGRFDVKTVVRNIYILFSGSELQVAGDDQEIMDLVDPSTPLPPWFTEDDLDVYATLYRNSGFRTALQVPYRCSPLDYGVINPKVTAPLLIMGEKDCFIKFPGMEDYMRKGIVKQFMPNLDITFMPEGNHFVQEQLPEQVNELIITFLNKHFVG >EOY01259 pep chromosome:Theobroma_cacao_20110822:2:38715032:38718228:1 gene:TCM_011205 transcript:EOY01259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATX2 isoform 1 MAFLEKGGGGDEEDADTLICYVFLDRIYSAASLCVSATNSSNVMSKKVKARKLIIDNYHHHHLNPHNPLFLHVYARCPKQSLQCVSFYDSLLEDESETMVKSEIDDCLRKKRRVGKSELAKLWVDSSVLSELDLPRLRDSKNNNSVNNIFVKKRRHNSTSNLQLGFTGSATAKKWVRLSFDDVHPKAFVGLQCKVLWPLDADCYSGRVVGYKSETNRHHVCLSYLFGHAMWPEIVVDESLVGDRKGLSIYGGRSVPVQFFGTHDLQE >EOY01261 pep chromosome:Theobroma_cacao_20110822:2:38715032:38718199:1 gene:TCM_011205 transcript:EOY01261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATX2 isoform 1 MAFLEKGGGGDEEDADTLICYVFLDRIYSAASLCVSATNSSNVMSKKVKARKLIIDNYHHHHLNPHNPLFLHVYARCPKQSLQCVSFYDSLLEDESETMVKSEIDDCLRKKRRVGKSELAKLWVDSSVLSELDLPRLRDSKNNNSVNNIFVKKRRHNSTSNLQLGFTGSATAKKWVRLSFDDVHPKAFVGLQCKVYGHWMLIAILAELLDTNRRLIDIMSASHIFSVVFLSGYTNSFSI >EOY01258 pep chromosome:Theobroma_cacao_20110822:2:38715047:38718257:1 gene:TCM_011205 transcript:EOY01258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATX2 isoform 1 MAFLEKGGGGDEEDADTLICYVFLDRIYSAASLCVSATNSSNVMSKKVKARKLIIDNYHHHHLNPHNPLFLHVYARCPKQSLQCVSFYDSLLEDESETMVKSEIDDCLRKKRRVGKSELAKLWVDSSVLSELDLPRLRDSKNNNSVNNIFVKKRRHNSTSNLQLGFTGSATAKKWVRLSFDDVHPKAFVGLQCKVLWPLDADCYSGRVVGYKSETNRHHVEYEYEDEEDLILSNEKLKFHVSHDEMECLN >EOY01255 pep chromosome:Theobroma_cacao_20110822:2:38715119:38718229:1 gene:TCM_011205 transcript:EOY01255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATX2 isoform 1 MAFLEKGGGGDEEDADTLICYVFLDRIYSAASLCVSATNSSNVMSKKVKARKLIIDNYHHHHLNPHNPLFLHVYARCPKQSLQCVSFYDSLLEDESETMVKSEIDDCLRKKRRVGKSELAKLWVDSSVLSELDLPRLRDSKNNNSVNNIFVKKRRHNSTSNLQLGFTGSATAKKWVRLSFDDVHPKAFVGLQCKVLWPLDADCYSGRVVGYKSETNRHHVEYEYEDEEDLILSNEKLKFHVSHDEMECLN >EOY01260 pep chromosome:Theobroma_cacao_20110822:2:38715032:38718228:1 gene:TCM_011205 transcript:EOY01260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATX2 isoform 1 MAFLEKGGGGDEEDADTLICYVFLDRIYSAASLCVSATNSSNVMSKKVKARKLIIDNYHHHHLNPHNPLFLHVYARCPKQSLQCVSFYDSLLEDESETMVKSEIDDCLRKKRRVGKSELAKLWVDSSVLSELDLPRLRDSKNNNSVNNIFVKKRRHNSTSNLQLGFTGSATAKKWVRLSFDDVHPKAFVGLQCKVLWPLDADCYSGRVVGYKSETNRHHVMLCGQKSW >EOY01256 pep chromosome:Theobroma_cacao_20110822:2:38715227:38716600:1 gene:TCM_011205 transcript:EOY01256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATX2 isoform 1 MAFLEKGGGGDEEDADTLICYVFLDRIYSAASLCVSATNSSNVMSKKVKARKLIIDNYHHHHLNPHNPLFLHVYARCPKQSLQCVSFYDSLLEDESETMVKSEIDDCLRKKRRVGKSELAKLWVDSSVLSELDLPRLRDSKNNNSVNNIFVKKRRHNSTSNLQLGFTGSATAKKWVRLSFDDVHPKAFVGLQCKVLWPLDADCYSGRVVGYKSETNRHHVCLSYLFG >EOY01257 pep chromosome:Theobroma_cacao_20110822:2:38715119:38718229:1 gene:TCM_011205 transcript:EOY01257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATX2 isoform 1 MAFLEKGGGGDEEDADTLICYVFLDRIYSAASLCVSATNSSNVMSKKVKARKLIIDNYHHHHLNPHNPLFLHVYARCPKQSLQCVSFYDSLLEDESETMVKSEIDDCLRKKRRVGKSELAKLWVDSSVLSELDLPRLRDSKNNNSVNNIFVKKRRHNSTSNLQLGFTGSATAKKWVRLSFDDVHPKAFVGLQCKVLWPLDADCYSGRVVGYKSETNRHHVEYEYEDEEDLILSNEKLKFHVSHDEMECLN >EOX97384 pep chromosome:Theobroma_cacao_20110822:2:2127973:2131928:1 gene:TCM_006419 transcript:EOX97384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor family protein MGSALQIANKNIGVLIFLLLSTALTTTDASIHIYQNQLFNEVGNAYLLPGGSEGLAASLSSDNSVTDGRSFIRFENITFWRTQDTADEHSDMEHSTGLIQAVIFEAADRNNIGGSAYGGQRSICCTPDLAKLEGCKQGEVIRIPSATDINWPIVLNIQFGGNYLSTSMENAEVPITKTGMYNLFFIACDLKLKGTVMSGKTVWKNPDGYLPGRMAPLMKFYVYMAIAYLLLSAIWFSQYMRFWKDILQLQHCITAVIGLGLFEMILWYLDYANFNNTGMRPVVITTWVVTVGAIRKTLSRLLILSVSMGYGVVRPTLGGITSKVLLLGITYFLASELLDITEYVGTINDISGRARLFLVLPDAFLDAFLILWIFTSLSKTLEQLQAKRISVKLDLYRKFSNALAVAVIASVAWITYEVYFKATDPFNERWQSAWIITAFWDILSFVLLCVICYLWAPSQSSQRYAYSEDVGEEFDDEEAQSLTRGQSDGDVSLVKQERKNGSAGVSDEEDDSEEDKRE >EOX97424 pep chromosome:Theobroma_cacao_20110822:2:2234721:2236596:1 gene:TCM_006438 transcript:EOX97424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSPPRFPMGKIGNLIHGLKLPNVHGAESGTVAVINVTYKLAANDGMGLKIVVVYRLTFATVIMVPLALILERDKTTEETDAELKGVPKTLNEEPVLAVKHKLLVFYTNGKQGSFSQEQEDTAFGTTPPR >EOX97283 pep chromosome:Theobroma_cacao_20110822:2:1815170:1818727:1 gene:TCM_006354 transcript:EOX97283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seryl-tRNA synthetase / serine--tRNA ligase isoform 2 MLDINLFREEKGHNPEIIRESQRRRFAKVEDVDAIIEHDKVYRQLLYEVENLRKEFNKINKQVAQLKIAKQDASETIAKTEQVKQSIAEKDVEVKEAWAGLKSKLEKIGNLVHDSVPISDDEANNAVIRTWGEKRVEPKLKNHVELVELLGIADIKKGADVAGGRGFYLKGDGVRLNQALINFGLDFLEKRGYTALQTPFFMRKDVMAKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHLDDWIHPSELPIRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCITSPNGNDSWDMHEEMIKNSEDFYQMLKLPYHIVAIVSGALNDAAAKKYDLEAWFPASQTYRELVSCSNCTDYQSRRLEIRYGQKKNNEQAKQYVHLLNSTLTATERTICCILENYQKEDGVEVPEVLQPFMGGKSFLPFKTKPAAEAKGKKSKA >EOX97284 pep chromosome:Theobroma_cacao_20110822:2:1815198:1818098:1 gene:TCM_006354 transcript:EOX97284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seryl-tRNA synthetase / serine--tRNA ligase isoform 2 MLDINLFREEKGHNPEIIRESQRRRFAKVEDVDAIIEHDKVYRQLLYEVENLRKEFNKINKQVAQLKIAKQDASETIAKTEQVKQSIAEKDVEVKEAWAGLKSKLEKIGNLVHDSVPISDDEANNAVIRTWGEKRVEPKLKNHVELVELLGIADIKKGADVAGGRGFYLKGDGVRLNQALINFGLDFLEKRGYTALQTPFFMRKDVMAKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHLDDWIHPSELPIRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCITSPNGNDSWDMHEEMIKNSEDFYQMLKLPYHIVAIVSGALNDAAAKKYDLEAWFPASQTYRELVSCSNCTDYQSRRLEIRYGQKKVEQ >EOX97594 pep chromosome:Theobroma_cacao_20110822:2:2796165:2801229:-1 gene:TCM_006576 transcript:EOX97594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene desaturase 3 MLAMSKWFTLTPSISNRYGKRVGFFARADSVEAHANGGDNSIKNNDKKKKVVVVGSGWAGLGAANHLCNQGFDVTVLDGGDGLGNPDNVGIQGFWYPYQNIFSLVNELGIKPFTSWTRSAMYSGEGLEVEFPVYQELSQLPTPLGTLYHTQFARLPLVDRLTSLPLMAAVIDFDNTDVAWKKYDPITARELFKQFGCSERLYQDVFGPLLQVGLFAPAELCSAAATLGLLYYLILAHQKHFDVVFCRGTTREKIFEPWVESLKAKGCEMLEDKKVTDIFFNEETGCITEVVCGKETYSADAVILAVGIATLQEIIKKSAALYTREEFLKVLNLAGIDVVTVKLQLDKKVAIPNPSNACAGFDDLFGWTFFDLNVIHDKHKDDPVTVLQADFYHANELLPLKDELVVEKVMSYLSKCIKDLEGATVVDEEIQRFPKRLTHFFPGLYKYMMRGSTSYPNLFMAGDWIITRHGSWSQEKSYVTGLEAANRVVDYLEVGNFAIIIPVEEDEPHIEALRTLNRRLNEIISQVPLSGYFLQ >EOX99156 pep chromosome:Theobroma_cacao_20110822:2:8756998:8763088:1 gene:TCM_007752 transcript:EOX99156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin fold, putative isoform 1 MKKRLLLLLPISIIVLTSLSGVDGASDGIGEWQILTKQNFSSQIRLHPRTLLLVTVPWCGESRSLTREVSRLVTDKSEEFDSLKLMLIYRNTEKMLADSIGASDGVTVFYYDHSVSYKYQGKRRAKSILNSIYPYISASPEELPLKRLNSEEDLKVFLESTDKALILTEFCGWAPKLLAKIKNNGTGNDLTPKGMENGKLKCGVENGIPGIPWITEFSSVSDSASFQESENLELRLGLSCTLKDFKQFDSFFTKLLAVAREYLMPPEGHRFGLVSDRSLMSSLGVEDSGTWKAVIYFKGCPGCSKVIKDGDELKSAFLTDDSIVHELEVDGQDLQLALPANKPSVILFVDRSSDSSENRRKSREALDALREVALHNHMSDQMSSQNTNHQGKSSVLAHQALKVTSGHPRLQLSETAQKIKLKDKMSFMIMNEGKHVTLDNIASDLQGKSLQEILAYLLERKKEAKLSSLAKELGFRLLSDDLDIKTARASPSQTEGQSNDASPPPPSEEGSFIGVVDPHSVPHTESKSTMQLEENPKPTDVEPFSTYNEDKGTYADTSKHFISIEPDLLLEGLELDRAGDLKSKEKISSVIDKLGEQELQFQGFKGSFFLCDDNYRLLRSLTGGFTIPSLVLVDPMSQQHYVFPRDAIFSYLSLSNFLHGYLNGSLVPYQHSAPILHSPREATSPPFINQDFHEMDSIPPVTMRTLSELVFGFNQSDSENAAHARNEDVVVLFSSNWCAFCQRMELVVREVYRAIRGYMKMLKGGSGKEQAVFNADNSINNMKLPLIYLMDCTLNDCSLILKSVNKREVYPALILFPAETETAVSYEGDMSVANIIKFIAHHGSNSRHVLSEKGNILNFVDQH >EOX99155 pep chromosome:Theobroma_cacao_20110822:2:8756839:8764858:1 gene:TCM_007752 transcript:EOX99155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin fold, putative isoform 1 MKKRLLLLLPISIIVLTSLSGVDGASDGIGEWQILTKQNFSSQIRLHPRTLLLVTVPWCGESRSLTREVSRLVTDKSEEFDSLKLMLIYRNTEKMLADSIGASDGVTVFYYDHSVSYKYQGKRRAKSILNSIYPYISASPEELPLKRLNSEEDLKVFLESTDKALILTEFCGWAPKLLAKIKNNGTGNDLTPKGMENGKLKCGVENGIPGIPWITEFSSVSDSASFQESENLELRLGLSCTLKDFKQFDSFFTKLLAVAREYLMPPEGHRFGLVSDRSLMSSLGVEDSGTWKAVIYFKGCPGCSKVIKDGDELKSAFLTDDSIVHELEVDGQDLQLALPANKPSVILFVDRSSDSSENRRKSREALDALREVALHNHMSDQMSSQNTNHQGKSSVLAHQALKVTSGHPRLQLSETAQKIKLKDKMSFMIMNEGKHVTLDNIASDLQGKSLQEILAYLLERKKEAKLSSLAKELGFRLLSDDLDIKTARASPSQTEGQSNDASPPPPSEEGSFIGVVDPHSVPHTESKSTMQLEENPKPTDVEPFSTYNEDKGTYADTSKHFISIEPDLLLEGLELDRAGDLKSKEKISSVIDKLGEQELQFQGFKGSFFLCDDNYRLLRSLTGGFTIPSLVLVDPMSQQHYVFPRDAIFSYLSLSNFLHGYLNGSLVPYQHSAPILHSPREATSPPFINQDFHEMDSIPPVTMRTLSELVFGFNQSDSENAAHARNEDVVVLFSSNWCAFCQRMELVVREVYRAIRGYMKMLKGGSGKEQAVFNADNSINNMKLPLIYLMDCTLNDCSLILKSVNKREVYPALILFPAETETAVSYEGDMSVANIIKFIAHHGSNSRHVLSEKGILWTSTEGGGRNQDLFKDSSGAAAHEEGPSAKDKYHEVILKNQNPKRVTKYNGRRSRFPIPTGSLKATSNKVVVGSILSATDKLLNVIPFHKSSIIIVKADEDAGFQGLIINKQIRWDSLSELDEGLEFLKEAPLSFGGPVLRRGMPLVALTRSISETQYLEVLPGIYFLDQLATVAKIEELKARNQSIDDHWFFFGYTSWGWHQLFDEINEGAWTVSNEGNSLDWPLS >EOX99931 pep chromosome:Theobroma_cacao_20110822:2:18462481:18471647:1 gene:TCM_008952 transcript:EOX99931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLSYHVASTHILVPLMIHRNKSYNPLYHMVSTTLELWYSFSSLLIDLSLNMPRLENQTVEWVFVCCDMSHMDGLCYVLTSSFVIYEHGSLVSMEVLSNIATKPMPRPEGQEYLAMTKDISMDDRGMDYVPIVGEYLDVFLEELSGLPPKREIEFCIDLISNTQLILIPPYRMALTEIKELKEQLEDLLNKGFINPSVSPWGATVLFVKKKEDVMHFFKIELGSRYRQLRMREFDVPKTVFYTRYGHYEFLVMLFGQCGGRCLEPKIDGSLAHMTIEKRYLVRRLYDLGNMGAHFETSLPRVWGGYDAIWVIIDQLTKSTHFLPIKTEYGPTQYAQLYINEIVSVKPRPS >EOY02117 pep chromosome:Theobroma_cacao_20110822:2:42010986:42016662:1 gene:TCM_011850 transcript:EOY02117 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDT1-like protein 4 isoform 3 MSSLVQGFSKSLAMTVLSEIGDKTFFAAAILAMRHPRRLVLSGCLASLVVMTILSAVVGWAAPNLISRKWTHHITTVLFLVFGLWSLWDGFREDGEAEELAEVEAKLDADWKANTGTVKEGNKADDELKKERRPFLTQFFSPIFLKAFSITFFGEWGDKSQLATIGLAAEENPFAVVLGGIVGQALCTIAAVLGGKSLASQISEKFVALSSGVLFIVFGIQSFLSTVES >EOY02116 pep chromosome:Theobroma_cacao_20110822:2:42011098:42016166:1 gene:TCM_011850 transcript:EOY02116 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDT1-like protein 4 isoform 3 MSSLVQGFSKSLAMTVLSEIGDKTFFAAAILAMRHPRRLVLSGCLASLVVMTILSAVVGWAAPNLISRKWTHHITTVLFLVFGLWSLWDGFREDGEAEELAEVEAKLDADWKANTGTVKEGNKADDELKKERRPFLTQFFSPIFLKAFSITFFGEWGDKSQLATIGLAAEENPFAVVLGGIVGQALCTIAAVLGGKSLASQISEKFVALSSGVLFIVFGIQSFLSTVES >EOY02118 pep chromosome:Theobroma_cacao_20110822:2:42011026:42014898:1 gene:TCM_011850 transcript:EOY02118 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDT1-like protein 4 isoform 3 MSSLVQGFSKSLAMTVLSEIGDKTFFAAAILAMRHPRRLVLSGCLASLVMTILSAVVGWAAPNLISRKWTHHITTVLFLVFGLWSLWDGFREDGEAEELAEVEAKLDADWKANTGTVKEGNKADDELKKERRPFLTQFFSPIFLKAFSITFFGEWGDKSQLATIGLAAEENPFAVV >EOY01741 pep chromosome:Theobroma_cacao_20110822:2:40767989:40769317:-1 gene:TCM_011572 transcript:EOY01741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin A24, ALPHA 1.19,EXPA24, putative MAGGGSIRVIMLLGLCLVSRTASLQAEQEWNVAHATFYGDMQGTETMMGACGYGNLLEQGYGLETTALSTALFNGGLTCGACYEIRCYDSAQWCLNETVVVTATNFCPPNYRKPEGNWCNPPRRHFDLSQPMFRRIAVYRAGIVPVIYKRVPCRKYGGLKIEIMGNPNWILVLVYNVGGAGHVINVKIKGSSTAWIQMSRNWGQNWQTAAQVVGQSLSFQVTTSDGKMVQSDDVAPGDWKFGGVYEGIQFPS >EOX99692 pep chromosome:Theobroma_cacao_20110822:2:13778443:13786041:-1 gene:TCM_008456 transcript:EOX99692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative MKTSLRRLRGFALHKRGGETKDRRDLRPLAQLDELAQASQDMEDMRDCYDSLLSAAAATANSAYEFSVSLRELGACLLAKTALNDDEECGKVLLMLGKVQFELQKHVDSYRSHLFKTITSPSDSLLNELRIVEEMKRQCDEKRNVYEYMAMRLKEKGRSKSGKVENFSMQQLQVAHDEYDEEATLFVFRLKSLKQGQSRSLLTQAARHHAAQLSFFKKALKSLEEVEPHVQKITEQQHIDYHFSGLEDDDGDNVGDDDSYDNDDDDDDSDDDDDDVYDVHDDGELSFDYGQNEQDQNMVPTSRHSMELDQGGLTFPQVAMVEAAKENLERTRRHSFSFRGEMRNSSQSAPLFAENKSDPYGTMQPLLARKFNSYVLPTPVATKGCIGLGNPAPQSFKTSSNEHSNNLWHSSPLEHKKYERILGDEKYSGSAVMNAQSVLKESNNNASSTRLPPPLADRVLFSRVSPIAASDSKKIKRQAFSGPLTSKPWPTKPVSVEHPGLFSGPILRNPFSQPPSTSPKVSPNTSPTFVSSPKISELHELPRPPASSVSKSSRPLGLVGYSGPLMSRSQALSATNKSVVSRAASPLPKPPQVVTRSFSIPSSAHGVMSLPVSKPLETAVNSGISEDVASPPLTPISLSRIQPSSTSSETIDRS >EOY01303 pep chromosome:Theobroma_cacao_20110822:2:38873902:38881102:-1 gene:TCM_011235 transcript:EOY01303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 5 MQQYFSVAEVSYALQQVAWRRRQRHYESGKVGGKEFKRSGMGFKGQRMEVAKEGQNSGVDSDGNSTVTAVSERNERGSEKREEVKSCGEVGKVEDKCSTFTEDKKDTGSKPHAGDAESVTEDVNGGCTSSYKENDLCSIQNQNEKQNLAAGPKTFVGNEMFDGKMVNVVDGLKLYEELFDDKEVLDLVSLVNDLRAAGKRGQLQAGQTYVAAKRPMKGHGREMIQLGLPIADAPLDDENAAGTSKDRRIEGIPPLLQDTIERLVNLQVMTVKPDSCIIDVYNEGDHSQPRMWPPWFGKPVCIMFLTECDITFGRVVIVADHPGDYRGSLKLSLAPGSLLVMQGKSADFAKHALPSVRKQRILVTFTKYCQPKKSTTDNQRLSSPSVSQSSQWGPPPSRSPNRIRHSAGPKHYAVIPTTGVLPAPPIRPQIPPSSGVQPLFVPTAVAPAISFPAPVPIPPGSTGWPAAPRHPPPRLPVPGTGVFLPPPGSGNSSSQQLSTTATELNILVETTSPREKENGSVKPNHHTTSPRGRLDGKSPKQDCNGSVDGAGSGRALMKEEQHCADNSVKQTC >EOY01302 pep chromosome:Theobroma_cacao_20110822:2:38873886:38881784:-1 gene:TCM_011235 transcript:EOY01302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 5 MAMPSGNVVLSDKMQFPATAAAGAGGGGAVGAVGGGGGGGGEIHQHHHRQWLPDERDGFIYWLRGEFAASNAIIDSLCHHLREVGEVGEYEAVIACIQQRRCNWNPVLHMQQYFSVAEVSYALQQVAWRRRQRHYESGKVGGKEFKRSGMGFKGQRMEVAKEGQNSGVDSDGNSTVTAVSERNERGSEKREEVKSCGEVGKVEDKCSTFTEDKKDTGSKPHAGDAESVTEDVNGGCTSSYKENDLCSIQNQNEKQNLAAGPKTFVGNEMFDGKMVNVVDGLKLYEELFDDKEVLDLVSLVNDLRAAGKRGQLQGQTYVAAKRPMKGHGREMIQLGLPIADAPLDDENAAGTSKDRRIEGIPPLLQDTIERLVNLQVMTVKPDSCIIDVYNEGDHSQPRMWPPWFGKPVCIMFLTECDITFGRVVIVADHPGDYRGSLKLSLAPGSLLVMQGKSADFAKHALPSVRKQRILVTFTKYCQPKKSTTDNQRLSSPSVSQSSQWGPPPSRSPNRIRHSAGPKHYAVIPTTGVLPAPPIRPQIPPSSGVQPLFVPTAVAPAISFPAPVPIPPGSTGWPAAPRHPPPRLPVPGTGVFLPPPGSGNSSSQQLSTTATELNILVETTSPREKENGSVKPNHHTTSPRGRLDGKSPKQDCNGSVDGAGSGRALMKEEQHCADNSVKQTC >EOY01299 pep chromosome:Theobroma_cacao_20110822:2:38873235:38881629:-1 gene:TCM_011235 transcript:EOY01299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 5 MAMPSGNVVLSDKMQFPATAAAGAGGGGAVGAVGGGGGGGGEIHQHHHRQWLPDERDGFIYWLRGEFAASNAIIDSLCHHLREVGEVGEYEAVIACIQQRRCNWNPVLHMQQYFSVAEVSYALQQVAWRRRQRHYESGKVGGKEFKRSGMGFKGQRMEVAKEGQNSGVDSDGNSTVTAVSERNERGSEKREEVKSCGEVGKVEDKCSTFTEDKKDTGSKPHAGDAESVTEDVNGGCTSSYKENDLCSIQNQNEKQNLAAGPKTFVGNEMFDGKMVNVVDGLKLYEELFDDKEVLDLVSLVNDLRAAGKRGQLQAGQTYVAAKRPMKGHGREMIQLGLPIADAPLDDENAAGTSKDRRIEGIPPLLQDTIERLVNLQVMTVKPDSCIIDVYNEGDHSQPRMWPPWFGKPVCIMFLTECDITFGRVVIVADHPGDYRGSLKLSLAPGSLLVMQGKSADFAKHALPSVRKQRILVTFTKYCQPKKSTTDNQRLSSPSVSQSSQWGPPPSRSPNRIRHSAGPKHYAVIPTTGVLPAPPIRPQIPPSSGVQPLFVPTAVAPAISFPAPVPIPPGSTGWPAAPRHPPPRLPVPGTGVFLPPPGSGNSSSQQLSTTATELNILVETTSPREKENGSVKPNHHTTSPRGRLDGKSPKQDCNGSVDGAGSGRALMKEEQHCADNSVKQTC >EOY01300 pep chromosome:Theobroma_cacao_20110822:2:38873235:38881784:-1 gene:TCM_011235 transcript:EOY01300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 5 MAMPSGNVVLSDKMQFPATAAAGAGGGGAVGAVGGGGGGGGEIHQHHHRQWLPDERDGFIYWLRGEFAASNAIIDSLCHHLREVGEVGEYEAVIACIQQRRCNWNPVLHMQQYFSVAEVSYALQQVAWRRRQRHYESGKVGGKEFKRSGMGFKGQRMEVAKEGQNSGVDSDGNSTVTAVSERNERGSEKREEVKSCGEVGKVEDKCSTFTEDKKDTGSKPHAGDAESVTEDVNGGCTSSYKENDLCSIQNQNEKQNLAAGPKTFVGNEMFDGKMVNVVDGLKLYEELFDDKEVLDLVSLVNDLRAAGKRGQLQGQTYVAAKRPMKGHGREMIQLGLPIADAPLDDENAAGTSKDRRIEGIPPLLQDTIERLVNLQVMTVKPDSCIIDVYNEGDHSQPRMWPPWFGKPVCIMFLTECDITFGRVVIVADHPGDYRGSLKLSLAPGSLLVMQGKSADFAKHALPSVRKQRILVTFTKYCQPKKSTTDNQRLSSPSVSQSSQWGPPPSRSPNRIRHSAGPKHYAVIPTTGVLPAPPIRPQIPPSSGVQPLFVPTAVAPAISFPAPVPIPPGSTGWPAAPRHPPPRLPVPGTGVFLPPPGSGNSSSQQLSTTATELNILVETTSPREKENGSVKPNHHTTSPRGRLDGKSPKQDCNGSVDGAGSGRALMKEEQHCADNSVKQTC >EOY01301 pep chromosome:Theobroma_cacao_20110822:2:38873944:38881222:-1 gene:TCM_011235 transcript:EOY01301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 5 MAMPSGNVVLSDKMQFPATAAAGAGGGGAVGAVGGGGGGGGEIHQHHHRQWLPDERDGFIYWLRGEFAASNAIIDSLCHHLREVGEVGEYEAVIACIQQRRCNWNPVLHMQQYFSVAEVSYALQQVAWRRRQRHYESGKVGGKEFKRSGMGFKGQRMEVAKEGQNSGVDSDGNSTVTAVSERNERGSEKREEVKSCGEVGKVEDKCSTFTEDKKDTGSKPHAGDAESVTEDVNGGCTSSYKENDLCSIQNQNEKQNLAAGPKTFVGNEMFDGKMVNVVDGLKLYEELFDDKEVLDLVSLVNDLRAAGKRGQLQAGQTYVAAKRPMKGHGREMIQLGLPIADAPLDDENAAGTSKDRRIEGIPPLLQDTIERLVNLQVMTVKPDSCIIDVYNEGDHSQPRMWPPWFGKPVCIMFLTECDITFGRVVIVADHPGDYRGSLKLSLAPGSLLVMQGKSADFAKHALPSVRKQRILVTFTKYCQPKKSTTDNQRLSSPSVSQSSQWGPPPSRSPNRIRHSAGPKHYAVIPTTGVLPAPPIRPQIPPSSGVQPLFVPTAVAPAISFPAPVPIPPGSTGWPAAPRHPPPRLPVPGTGVFLPPPGSGNSSSQQLSTTATELNILVETTSPREKENGSVKPNHHTTSPRGRLDGKSPKQDCNGSVDGAGSGRALMKEEQHCADNSVKQTC >EOX98602 pep chromosome:Theobroma_cacao_20110822:2:6343877:6347318:1 gene:TCM_007313 transcript:EOX98602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group E2, putative isoform 1 MASAVLANRSESNWPPQPKSSVAKFMGKVPFTATKPNPNPKFNKKRQLHQHLPPPDDVAGHVVDDSPAVTQSAASDDASSINRKLNDFSSGAYVSFHISSYSRKELIDLKNRLVAELEQIRELKNRIESNDFHVRSSSTKKPISKKNISGNKRPLPPNFSKELKRLNPQENGKASTTHLMKNCSQILNKLMKQKYGYIFNSPVDVVGMGLHDYYDIIKNPMDLGTVKSRMAKNFYGSPLDFAADVRLTFNNAMLYNPKGHEVYMLAEQLLARFEEFFRPLSLKLEEQEEPQEKGYYEEELQASSWDHGEADRMKKERERNGERNIDRDDSVNIVARSDKIGGVSGFVSNPNVPPPQLQMQAPARVASPVRAPPVKPLKQPKPKAKDPNKREMSMEEKQKLGIGLQSLPQEKMDNVVQIIRKRNGHLRQDGDEIELDIEAMDTETLWELDRFVTNYKKMVSKIKRQALMANNVVSNDSNREEVTVEKIEVAMEMKKPKKGDAGEEDVDIGDEMPMSSFPPVEIEKDNDRASSSSSSSSSSSSDSSSSSDSDSGSSSGSDSDADDARS >EOX98601 pep chromosome:Theobroma_cacao_20110822:2:6343862:6347318:1 gene:TCM_007313 transcript:EOX98601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group E2, putative isoform 1 MASAVLANRSESNWPPQPKSSVAKFMGKVPFTATKPNPNPKFNKKRQLHQHLPPPDDVAGHVVDDSPAVTQSAASDDASSINRKLNDFSSGAYVSFHISSYSRKELIDLKNRLVAELEQIRELKNRIESNDFHVRSSSTKKPISKKNISGNKRPLPPNFSKELKRLNPQENGKASTTHLMKNCSQILNKLMKQKYGYIFNSPVDVVGMGLHDYYDIIKNPMDLGTVKSRMAKNFYGSPLDFAADVRLTFNNAMLYNPKGHEVYMLAEQLLARFEEFFRPLSLKLEEQEEPQEKGYYEEELQASSWDHGEADRMKKERERNGERNIDRDDSVNIVARSDKIGGVSGFVSNPNVPPPQLQMQAPARVASPVRAPPVKPLKQPKPKAKDPNKREMSMEEKQKLGIGLQSLPQEKMDNVVQIIRKRNGHLRQDGDEIELDIEAMDTETLWELDRFVTNYKKMVSKIKRQALMANNVVSNDSNREEVTVEKIEVAMEMKKPKKGDAGEEDVDIGDEMPMSSFPPVEIEKDNDRASSSSSSSSSSSSDSSSSSDSDSGSSSGSDSDADDARS >EOX98604 pep chromosome:Theobroma_cacao_20110822:2:6344106:6345557:1 gene:TCM_007313 transcript:EOX98604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group E2, putative isoform 1 MASAVLANRSESNWPPQPKSSVAKFMGKVPFTATKPNPNPKFNKKRQLHQHLPPPDDVAGHVVDDSPAVTQSAASDDASSINRKLNDFSSGAYVSFHISSYSRKELIDLKNRLVAELEQIRELKNRIESNDFHVRSSSTKKPISKKNISGNKRPLPPNFSKELKRLNPQENGKASTTHLMKNCSQILNKLMKQKYGYIFNSPVDVVGMGLHDYYDIIKNPMDLGTVKSRMAKNFYGSPLDFAADVRLTFNNAMLYNPKGHEVYMLAEQLLARFEEFFRPLSLKLEEQEEPQEKGYYEEELQASSWDHGEADRMKKERERNGERNIDRDDSVNIVARSDKIGGVSGFVSNPNVPPPQLQMQAPARVASPVRAPPVKPLKQPKPKAKDPNKREMSMEEKQKLGIGLQSLPQEKMDNVVQIIRKRNGHLRQDGDEIELDIEAMDTETLWELDRFVTNYKKMVSKIKRQALMANNVVSNDSNRVSTL >EOX98605 pep chromosome:Theobroma_cacao_20110822:2:6343877:6346525:1 gene:TCM_007313 transcript:EOX98605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group E2, putative isoform 1 MASAVLANRSESNWPPQPKSSVAKFMGKVPFTATKPNPNPKFNKKRQLHQHLPPPDDVAGHVVDDSPAVTQSAASDDASSINRKLNDFSSGAYVSFHISSYSRKELIDLKNRLVAELEQIRELKNRIESNDFHVRSSSTKKPISKKNISGNKRPLPPNFSKELKRLNPQENGKASTTHLMKNCSQILNKLMKQKYGYIFNSPVDVVGMGLHDYYDIIKNPMDLGTVKSRMAKNFYGSPLDFAADVRLTFNNAMLYNPKGHEVYMLAEQLLARFEEFFRPLSLKLEEQEEPQEKGYYEEELQASSWDHGEADRMKKERERNGERNIDRDDSVNIVARSDKIGGVSGFVSNPNVPPPQLQMQAPARVASPVRAPPVKPLKQPKPKAKDPNKREMSMEEKQKLGIGLQSLPQEKMDNVVQIIRKRNGHLRQDGDEIELDIEAMDTETLWELDRFVTNYKKMVSKIKRQALMANNVVSNDSNREEVTVEKIEVAMEMKKPKKGDAGEEDVDIGDEMPMSSFPPVEIEKDNDRASSSSSSSSSSNSDSGSSS >EOX98603 pep chromosome:Theobroma_cacao_20110822:2:6343862:6346806:1 gene:TCM_007313 transcript:EOX98603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group E2, putative isoform 1 MASAVLANRSESNWPPQPKSSVAKFMGKVPFTATKPNPNPKFNKKRQLHQHLPPPDDVAGHVVDDSPAVTQSAASDDASSINRKLNDFSSGAYVSFHISSYSRKELIDLKNRLVAELEQIRELKNRIESNDFHVRSSSTKKPISKKNISGNKRPLPPNFSKELKRLNPQENGKASTTHLMKNCSQILNKLMKQKYGYIFNSPVDVVGMGLHDYYDIIKNPMDLGTVKSRMAKNFYGSPLDFAADVRLTFNNAMLYNPKGHEVYMLAEQLLARFEEFFRPLSLKLEEQEEPQEKGYYEEELQASSWDHGEADRMKKERERNGERNIDRDDSVNIVARSDKIGGVSGFVSNPNVPPPQLQMQAPARVASPVRAPPVKPLKQPKPKAKDPNKREMSMEEKQKLGIGLQSLPQEKMDNVVQIIRKRNGHLRQDGDEIELDIEAMDTETLWELDRFVTNYKKMVSKIKRQALMANNVVSNDSNREEVTVEKIEVAMEMKKPKKGDAGEEDVDIGDEMPMSSFPPVEIEKDNDRASSSSSSSSSSSSDSSSSSDSDSGSSSGSDSDADDARS >EOX98213 pep chromosome:Theobroma_cacao_20110822:2:4924269:4926296:1 gene:TCM_007029 transcript:EOX98213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyesterase 13, putative MFLGAVPPSFDPKTNVESKDVLYSLESNLSAWLFIPEDMTNPGEKLPLLVYFHGGAFCVDTAFSPTYYQERFTVEAKLIAISVDYRRAPEHPVPVAYDDSWTALKWIATHSDGNGPEVWLNQHVDFHKIFLPGDSAGANIALRMGMKIGQEKWEAISITGIVLVHPFFWGRNHVGAETNDLAVRRKLRGLWRLLCPTTNGYNDPWIDPGNDPNLENLRCTRLLVFVAEKDLLRHGG >EOY00023 pep chromosome:Theobroma_cacao_20110822:2:23395327:23411885:1 gene:TCM_009379 transcript:EOY00023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASTGTKQDNVEYLLYVPRHSGGSMRALTSTGTCFGHMMDVELDKSLFYASLVHNLMLRRINEPDAIEAKLWIARVIPNGNICFGAVVGSEDVEANGIRDIDRILALHGASPTAHHAATPAAPPPASSAAPPPASEAAQHSQPTPSLEAPPPSSEVEEGPHPASSRTTTLAHPALVPTPLEAPSHGEITPSLKAPPRPEPEDAFVSLASKYLRNSVLCKRACKHHPQHYKQRICIVDTSFYLILLGMSKEMQPSPTEKTFKLSEAIMPDDVLQYARGERLPWGLPCIEVDSILVLCYFNNHWVIVHINLLKLTMMLVDSSYNQTKALKFGLHDKHMSPRTSLFPIICHQAGYFVSSCRQKRALTQMSYRLNEKTPI >EOX99737 pep chromosome:Theobroma_cacao_20110822:2:15291976:15295021:1 gene:TCM_008600 transcript:EOX99737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSGLSLEYSKLDCPTFEVLYKSVGLLDTIVTFGDWTRLQHTTWGPANSSLGSMKPVKNNHPSNNGMSRDIILKPYNPMPFHLLLPSYVRLHPILELHYISMSTIQRSSLPILLPDKGKGRVPKL >EOX99345 pep chromosome:Theobroma_cacao_20110822:2:10275185:10279092:-1 gene:TCM_007981 transcript:EOX99345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MLPSYGSRELGHDCLRRHILVSPSKLPHLHFPCAARVFLGYNHDQRFSRKQHFLEQGSSASVHSCAQKQHSRGFGFSTGFKLQCLSKTLFSPTKSSSSNVKKKRYKGILPSILRALECDTDVEKTLSSVCENLSPKEQTVILKEQSNCERVTRVFGFFKSLKDYVPNVIHYNIVLRALGRAQKWDELRLCWIEMAKNGVLPTNNTYGMLVDVYGKAGLVKEALLWIKHMRLRGLYPDEVTMNTVVKVLKDAMEFDRADRFYKDWCIGKVDLNDLELDSMIDFENGSGSAPVSFKHFLSTELFRTGGRSPVLETLGSPDTESSIRKPRLTSTYNTLIDLYGKAGRLRDAADIFAEMLKSGVVMDTITFNTMIFTCGSHGHFLEAESLLSKMEEKGIPPDTKTYNIFLSLYAGAGNIEAALEYYRKIRKVGLFPDIVTHRAVLHILCERNMVQEVETVIEEMNKFGIHIDEQSLPVLMKMYIATGLLDQAKNLFEKFLSNCELSSKTRAAIIDAYAENGLCAEAEAVFYGKRDLPRQKKGIVEYNVMVKAYGKAELYDKAFSLFKSMRHNGTWPDECTYNSLIQMLSGGDLVDQARDLLGEMQAAGFKPKCLTFSSLIACYVRLGQLSDAVDGYQEMISAGVKPNEVVYGSLINGFAEIGDVEEALRYFQMMEESGVSANKIVLTSLIKAYSKVGCLEGAKQVYEKMKDLEGGPDIIASNSILNLYADLVMVSEARCVFDNLKEKGTADGFSFATMMYLYKSMGMLDEAIDVAEEMKQSGLLKDCSSYNKVMACYVTNGQLRGCGELLHEMISQKILPDTGTFKVLFTALKKGGIPIEAVMQLESSYQEGKPYARQAVSIVVFSLVGLHAFALESCEAFTKAEIALESFVYNAAIYAYGSSGHINKALNMFMKMQDEGLEPDLVTFINLVGCYGKAGMVEGVKRIYSQLKYGEIEPNESLFKAVIDAYRNANRQDLAELVNQEMKFAFEGRDYSESEVEGEDEEITLDP >EOX96867 pep chromosome:Theobroma_cacao_20110822:2:298052:310526:-1 gene:TCM_006017 transcript:EOX96867 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein MVLDQTNFKGMIVEVVGVVVLLLPVGQEEEEEEEEEEEEGSGELMEMVVKPAWLEGLMAETFFGVCGVHESRRKNEKNVFCLLCCLSICPHCLPSHRSHPLLQVRRYVYHDVVRLGDLEKLIECSYIQPYTINGAKVIFLNQRPQSRSCKGSANICFTCDRILQEPFHFCSLSCKVNHLVDQGEDLSTILNKIDESDFAFSQFEGLRVDGPELTDDDQITPNSILEDPLQYKGSSCSNETVANSEISVRDTQVVRKKKKGSGFLGGIVLSLSNKRKGAPQRAPLS >EOX97599 pep chromosome:Theobroma_cacao_20110822:2:2804414:2809420:-1 gene:TCM_006578 transcript:EOX97599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGCVASRLEEEEEVVSICRERKRLIKLAVDRRYALAEAHCRYCQALYAVAAAVKLFVARHSSPSSPFLITFPPPCPPTPPATDQNMITNPMFLQQRPSESTHEAIACESCDSSTSSGTSDEETKEEVVRGEEEEQPCGYFYMQMPPPMPSPQRDFGWDFFNPFDVVRPEIISGYNRCSDDDLRAVREEEGIPELEEEGDSKEEEKKVVFVEEKDTSCREHEESESGLIKVKEETHASQGEQKGLTGIDSPEKGRELLEALKDIEDHFIRAYDSGKDVSRMLEANMVHLQSGLEEVKENSTKLIQAITWHRSTLSKPPTCKSLVASSSKGSSVWTEYKNDLFDEYGGMDSGSHSLTLGRLYAWEKKLYEEVKAGDCTRKIYERKCSRLRNQDVKGYDELTMDKTRAAVKDLYARILIAIRSAESISKRIQKLRDEELQPQIIELLKGLTRTWKVMLESHETQNKILLEVKSFACPTYGKFCNDSHRLATLQLEAELQNWRACFKEYVAAQRAYIEALHGWLTKFLVPEVEFYSRGRSSAAPYGANGPPLLVICYHWLSSLEELPDKAVTFSLKSFSKDVRALWAQQGEEQQQKRKVDGMAKELDRRTMAFQKVETRFLESKLTDHKSEPEMEQRNEYLTEKKDQLDMFRKRLDVEREKHHNYMQETQRIILNGFQTGFSAVFESLIGFSNASLKMYNDLITRSENAEKEGNLSYIEGSQVEENGSR >EOX97600 pep chromosome:Theobroma_cacao_20110822:2:2805233:2808680:-1 gene:TCM_006578 transcript:EOX97600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 RHSSPSSPFLITFPPPCPPTPPATDQNMITNPMFLQQRPSESTHEAIACESCDSSTSSGTSDEETKEEVVRGEEEEQPCGYFYMQMPPPMPSPQRDFGWDFFNPFDVVRPEIISGYNRCSDDDLRAVREEEGIPELEEEGDSKEEEKKVVFVEEKDTSCREHEESESGLIKVKEETHASQGEQKGLTGIDSPEKGRELLEALKDIEDHFIRAYDSGKDVSRMLEANMVHLQSGLEEVKENSTKLIQAITWHRSTLSKPPTCKSLVASSSKGSSVWTEYKNDLFDEYGGMDSGSHSLTLGRLYAWEKKLYEEVKAGDCTRKIYERKCSRLRNQDVKGYDELTMDKTRAAVKDLYARILIAIRSAESISKRIQKLRDEELQPQIIELLKGLTRTWKVMLESHETQNKILLEVKSFACPTYGKFCNDSHRLATLQLEAELQNWRACFKEYVAAQRAYIEALHGWLTKFLVPEVEFYSRGRSSAAPYGANGPPLLVICYHWLSSLEELPDKAVTFSLKSFSKDVRALWAQQGEEQQQKRKVDGMAKELDRRTMAFQKVETRFLESKLTDHKSEPEMEQRNEY >EOY00646 pep chromosome:Theobroma_cacao_20110822:2:34240781:34241811:1 gene:TCM_010547 transcript:EOY00646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTGLLTPHHSIFKLQLDYSQLEWVRFYVNKALKLSAFSILRIIVSLTKLHSFKDKKRESKTARSCKVNPEAVKALSTHSTSLRVTFPTK >EOY02225 pep chromosome:Theobroma_cacao_20110822:2:42374040:42399891:-1 gene:TCM_011918 transcript:EOY02225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanyl-nucleotide exchange factors,GTPase binding,GTP binding isoform 2 MDSNVSGNGNGGGGYRFRRIPRHFLPHLKLDPLLDENLEQWPHLNELVQCYRSDWVKDDNKYGHYETISPVSFQNQIFEGPDTDIETEMQLASARQIKAEDATDDDVPSSSGRQFTNADITKHFGQSPLPAYEPAFDWGNERSMIFGQRISETATTQYGSGLKISVKVLSLSFQAGLVEPFYGTICIYNRERREKLSEDFYFCELPSEMQDAKVPLEHHGIFYLDAPSASICLLIQLEKPATEEGGVTPSVYSRKEPVHLTERERQKLQVWSRIMPYSESFAWAIVPLFDNSIGAASGGSASPSSPLAPSISGSSSHEGVFEPIAKVTSDGKLGYSSGSSVIVEISNLNKVKESYTEESLQDPKRKVHKPVKGVLKLEIEKHQTVHTELENVSESGSVTNDFLDPADPVADMLFSKSPGNGLDGPQSSNSKWISSDGKDVSGNGSNTQGNPDFCADDFQAFDFRTTMRNEPFLQLFHCLYVYPLTVSLSRKRNLFIRVELRKDDADARRQPLEAMYPRERGSSLQKCAHTQVAVGARVACYHDEIKVSLPAVWTPSHHLLFTFFHVDLQTKLEAPKPVVIGYASLPLSTHAQLRSEISLPIMRELVPHYLQDSGKERLDYLEDGKSIFKLRLRLCSSVYPINERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGNGGETLQVAAFRAMVNILTRVQQESVDDAERNRSLVNYVDYAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEQTRLFYHSLPLDEDVPPMQLKEGVFRCIMQLYDCLLTEVHERCKKGLSLAKRLNSSLAFFCYDLLSVIEPRQVFELVSLYLDKFSGVCQSVLHDCKLIFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTWDHDDLSQRAKAARILVVLLCKHEFDGRYQKPEDKLYIAQLYFPLIGQILDEMPVFYNLNAAEKREVLIIILQIVRNLDEASVVKAWQQSIARTRLFFKLMEECLVLFEHRKPADGMLIGSSSRNPVGDGPTSPKYSDKLSPAINNYLSEASRQDVRPQGTPDNGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENLSAAVSLQVLEMSEKFSVMAASHSIATDYGKLDCLSSIIMSFFSRNQPLAFWKAFLPVFNHVFDLHGATLMARDNDRFLKQVAFHLLRLAVFRNDNIRKRAVIGLQILVKSSFYFMQTARLRVMLTITLSELMSDMQVTQMKSDGTLEESGEARRLRKSLEEMSDEVKSSGLLNECGLPENSLLVTPENFEENRWSWSEVKSLSGSLLLALDASLEHALLASVMSMDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVARNDGVWSKDHVTALRKICPMVSSEITSEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELYHFCASILELVIPVYKSRRAYGQLAKCHTLLTNIYESILEQESSPIPFTDATYYRVGFYGERFGKLDRKEYVYREPRDVRLGDIMEKLSHIYESRMDGNHTLHIIPDSRQVKAEELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQGE >EOY02226 pep chromosome:Theobroma_cacao_20110822:2:42373199:42399891:-1 gene:TCM_011918 transcript:EOY02226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanyl-nucleotide exchange factors,GTPase binding,GTP binding isoform 2 MDSNVSGNGNGGGGYRFRRIPRHFLPHLKLDPLLDENLEQWPHLNELVQCYRSDWVKDDNKYGHYETISPVSFQNQIFEGPDTDIETEMQLASARQIKAEDATDDDVPSSSGRQFTNADITKHFGQSPLPAYEPAFDWGNERSMIFGQRISETATTQYGSGLKISVKVLSLSFQAGLVEPFYGTICIYNRERREKLSEDFYFCELPSEMQDAKVPLEHHGIFYLDAPSASICLLIQLEKPATEEGGVTPSVYSRKEPVHLTERERQKLQVWSRIMPYSESFAWAIVPLFDNSIGAASGGSASPSSPLAPSISGSSSHEGVFEPIAKVTSDGKLGYSSGSSVIVEISNLNKVKESYTEESLQDPKRKVHKPVKGVLKLEIEKHQTVHTELENVSESGSVTNDFLDPADPVADMLFSKSPGNGLDGPQSSNSKWISSDGKDVSGNGSNTQGNPDFCADDFQAFDFRTTMRNEPFLQLFHCLYVYPLTVSLSRKRNLFIRVELRKDDADARRQPLEAMYPRERGSSLQKCAHTQVAVGARVACYHDEIKVSLPAVWTPSHHLLFTFFHVDLQTKLEAPKPVVIGYASLPLSTHAQLRSEISLPIMRELVPHYLQDSGKERLDYLEDGKSIFKLRLRLCSSVYPINERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGNGGETLQVAAFRAMVNILTRVQQESVDDAERNRSLVNYVDYAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEQTRLFYHSLPLDEDVPPMQLKEGVFRCIMQLYDCLLTEVHERCKKGLSLAKRLNSSLAFFCYDLLSVIEPRQVFELVSLYLDKFSGVCQSVLHDCKLIFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTWDHDDLSQRAKAARILVVLLCKHEFDGRYQKPEDKLYIAQLYFPLIGQILDEMPVFYNLNAAEKREVLIIILQIVRNLDEASVVKAWQQSIARTRLFFKLMEECLVLFEHRKPADGMLIGSSSRNPVGDGPTSPKYSDKLSPAINNYLSEASRQDVRPQGTPDNGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENLSAAVSLQVLEMSEKFSVMAASHSIATDYGKLDCLSSIIMSFFSRNQPLAFWKAFLPVFNHVFDLHGATLMARDNDRFLKQVAFHLLRLAVFRNDNIRKRAVIGLQILVKSSFYFMQTARLRVMLTITLSELMSDMQVTQMKSDGTLEESGEARRLRKSLEEMSDEVKSSGLLNECGLPENSLLVTPENFEENRWSWSEVKSLSGSLLLALDASLEHALLASVMSMDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVARNDGVWSKDHVTALRKICPMVSSEITSEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELYHFCASILELVIPVYKSRRAYGQLAKCHTLLTNIYESILEQESSPIPFTDATYYRVGFYGERFGKLDRKEYVYREPRDVRLGDIMEKLSHIYESRMDGNHTLHIIPDSRQVKAEELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVK >EOX97717 pep chromosome:Theobroma_cacao_20110822:2:3176115:3179786:-1 gene:TCM_006655 transcript:EOX97717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein isoform 1 MDRLLLSASFLSLLLLSFLSEVNPASFEIVNKCRHTIWPGFLSGANKAQLPTTGFVLNTGKSRTVTIPMSWSGRLWGRTLCGLDSSGKFVCLSGDCGSGQIECSGSGAKPPATLAEFTLNGDGGLDFYDISLVDGYNLPMLVVAKGGKGGNCSATGCLLDLNGACPSELRVARQSGGGGIGCRSACEAFGDPQYCCSGAFGTPDVCRPSTYSLFFKHACPRAYSYAYDDVTSTYTCAGADYVIIFCPPPYTSQKVFGARKDGALLPLVNKSMIYLSSLNRNGASLSGLLQVQFTARAAPTIMALFLIWQLILPL >EOX97718 pep chromosome:Theobroma_cacao_20110822:2:3176715:3179487:-1 gene:TCM_006655 transcript:EOX97718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein isoform 1 EVNPASFEIVNKCRHTIWPGFLSGANKAQLPTTGFVLNTGKSRTVTIPMSWSGRLWGRTLCGLDSSGKFVCLSGDCGSGQIECSGSGAKPPATLAEFTLNGDGGLDFYDISLVDGYNLPMLVVAKGGKGGNCSATGCLLDLNGACPSELRVARQSGGGGIGCRSACEAFGDPQYCCSGAFGTPDVCRPSTYSLFFKHACPRAYSYAYDDVTSTYTCAGADYVIIFCPPPYTSQKVFGARKDGALLPLVNKSMIYLSSLNRNGASLSGLLQVQFTARAAPTIMALFLIWQLILPL >EOX99114 pep chromosome:Theobroma_cacao_20110822:2:8553131:8556699:-1 gene:TCM_007726 transcript:EOX99114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 34, putative MASCIQLLLLNQYTANLLFLDSPAVVGFSYSNKTLDFQGDNSTALDSFTFLQNWFKRFPQYKSSEFYIAGESYAVPLFSHVYGHYVPQLAEDIFDENKKSTKENYINLKGFIIGNALMDEETDQIGMIDYAWGHALISDALYKAVKVKCNFSTPNLTDECRNEMLKYFQLYQLIDMYSLNSPICTVDPPFNVPNQYSDVNNAWKDWRFSLLPVLKNVIDGGIRVWIYSNGICISFFLSCDLKIIEDWTPWNNHKEVGGWTIRYEGLSSITVREAGHHASSDLCSSTFSSMPTRSCHPLLFSQLMTLHSKI >EOX97207 pep chromosome:Theobroma_cacao_20110822:2:1617227:1619763:1 gene:TCM_006297 transcript:EOX97207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroperoxide lyase 2 MSSSSSSQPPELPLKPVPGDYGHFFFGALRDRLAYFYYEGRDKFFENRIQKHQSTVFRINMPPGPFISSSAQVICLLDAISFPVLFDSSKVEKRDVLDGTFLPSLAYSGGHRVCAYLDPSEPKHTSLKSFFLATLAARHNNFIPLFRTGLSELFNELEAKISSKKEAYFNTLCDTMSFNYVFRLLCDKNPSETRIGSKGPKLVDKWLLCQLAPLGSLGLLPNFLCLIEDLLLRTFTFPFFLVKSDYKKLYDAFSEFGSSVLDEAEKFGIERDEACHNLVFLAGFNAYGGMKVLFPGLIKWVALAGEKLHKRLADEIRTVAKAEGGVSFSALDKMVLTKSVVYEFLRIDPPVPFQYAKAKADLVVQSHDAAFEIKKGEMLFGYQPFATRDPKVFENPEEFVADRFVGDGEKLLKYVYWSNGRETEECTAENKQCAGKNLVMLLCRLLLVELFRRYDTFEVEAGMFMFAASVTFKSLTKASST >EOX96932 pep chromosome:Theobroma_cacao_20110822:2:599079:604017:1 gene:TCM_006066 transcript:EOX96932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MLSKHPRNHSFVLLSSLTTSCCYSTTPPPASSCPENPVSFIVKKCISLLQNYGSSELKLRQIHAFSLRHGVPLNDPDIGKHLIYSLVSLSTPMSYPYSIFSRIQSSNVFIWNTMIRGYAESENPEPALELYRQMQASCIEPDTHTYPFLLKAVAKLADIRVGENMHSTVIRNGFESLVFVQNSMLHMYAACGLVDSAYKMFELMPARDVVAWNSVINGFALNGKPNEALTLFREMGLEGVEPDGFTLVSLFSACAELGALALGNRIHVYIVKVGLSENLHVKNALLDLYAKCGSIREAKKVFNEMKERNVVSWSSLIVGLAVNGFVKEALQLFKEIERKGLVPSEVTFVGVLYACSHCGMVDEGFYYFTRMKEEYGILPKIEHHGCMVDLLSRAGLVKEAYHYIQNMPLQPNAVIWRTLLGACTTYGHLTLGEYARAQILQLEPGCSGDYVLLSNLYASEQRWSDVHILRRKMLREGVKKVPGYSLVELGNHVYEFVMGDRSHPQSEEIYAMLAEITKRLRLEGYAPHTANVLADIEEEEKENALSYHSEKIAIAFMLLKTAPGTPIRVVKNLRVCADCHMAIKLLSKVFKREIIIRDRSRFHHFRNGSCSCMDYW >EOX96933 pep chromosome:Theobroma_cacao_20110822:2:599079:604017:1 gene:TCM_006066 transcript:EOX96933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MLSKHPRNHSFVLLSSLTTSCCYSTTPPPASSCPENPVSFIVKKCISLLQNYGSSELKLRQIHAFSLRHGVPLNDPDIGKHLIYSLVSLSTPMSYPYSIFSRIQSSNVFIWNTMIRGYAESENPEPALELYRQMQASCIEPDTHTYPFLLKAVAKLADIRVGENMHSTVIRNGFESLVFVQNSMLHMYAACGLVDSAYKMFELMPARDVVAWNSVINGFALNGKPNEALTLFREMGLEGVEPDGFTLVSLFSACAELGALALGNRIHVYIVKVGLSENLHVKNALLDLYAKCGSIREAKKVFNEMKERNVVSWSSLIVGLAVNGFVKEALQLFKEIERKGLVPSEVTFVGVLYACSHCGMVDEGFYYFTRMKEEYGILPKIEHHGCMVDLLSRAGLVKEAYHYIQNMPLQPNAVIWRTLLGACTTYGHLTLGEYARAQILQLEPGCSGDYVLLSNLYASEQRWSDVHILRRKMLREGVKKVPGYSLVELGNHVYEFVMGDRSHPQSEEIYAMLAEITKRLRLEGYAPHTANVLADIEEEEKENALSYHSEKIAIAFMLLKTAPGTPIRVVKNLRVCADCHMAIKLLSKVFKREIIIRDRSRFHHFRNGSCSCMDYW >EOX96934 pep chromosome:Theobroma_cacao_20110822:2:599079:604017:1 gene:TCM_006066 transcript:EOX96934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MLSKHPRNHSFVLLSSLTTSCCYSTTPPPASSCPENPVSFIVKKCISLLQNYGSSELKLRQIHAFSLRHGVPLNDPDIGKHLIYSLVSLSTPMSYPYSIFSRIQSSNVFIWNTMIRGYAESENPEPALELYRQMQASCIEPDTHTYPFLLKAVAKLADIRVGENMHSTVIRNGFESLVFVQNSMLHMYAACGLVDSAYKMFELMPARDVVAWNSVINGFALNGKPNEALTLFREMGLEGVEPDGFTLVSLFSACAELGALALGNRIHVYIVKVGLSENLHVKNALLDLYAKCGSIREAKKVFNEMKERNVVSWSSLIVGLAVNGFVKEALQLFKEIERKGLVPSEVTFVGVLYACSHCGMVDEGFYYFTRMKEEYGILPKIEHHGCMVDLLSRAGLVKEAYHYIQNMPLQPNAVIWRTLLGACTTYGHLTLGEYARAQILQLEPGCSGDYVLLSNLYASEQRWSDVHILRRKMLREGVKKVPGYSLVELGNHVYEFVMGDRSHPQSEEIYAMLAEITKRLRLEGYAPHTANVLADIEEEEKENALSYHSEKIAIAFMLLKTAPGTPIRVVKNLRVCADCHMAIKLLSKVFKREIIIRDRSRFHHFRNGSCSCMDYW >EOY01331 pep chromosome:Theobroma_cacao_20110822:2:39088712:39090721:-1 gene:TCM_011262 transcript:EOY01331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epsin N-terminal domain-containing protein / clathrin assembly protein-related, putative MFMHFDPKAKRSLGKETMNRRVRQVVTALREHGSVSYAKIATIGGFCNVELIIVKATTPDDLPLPDRYVHELLKIFSISPNSCRAFSIGFSRRFGKTRSWRVALKCLLLLHRLLRSLPEDSPFRSEMLWNRPNGFISLYPCRFQDASSSTPECYTTFIRSYAHLLDEALGRFSFDGNLIDNEDLEKTESLPEKMKEIATMLEILPQLQSLIDRVMDCRPTGAAAKSFLIQSAMKYIIRDSFICYTIFRRDVVLVLDNLFQMPYRSCIAAFGIYKKAALQANQLWEFYDWCRLMGFCGRYEYPFVDRIPQIQIQALEAFINGMWQLTSPSSPTTSPSSSVMDSRSSVTEDDRDKQLVVVGTFKDKFEDSCFPEKFDGDEEREPLIQLDDSETDSWEDLLEASVNLSGVQGNNLLLYSKGFNYSNGSDKDEWKIQVYNPSAPNPFQQPPSMQISYAQYASSNPKYPWGL >EOX98831 pep chromosome:Theobroma_cacao_20110822:2:7394768:7402554:-1 gene:TCM_007510 transcript:EOX98831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic peroxidase 2 precursor MMWHNFETNGGTKPGLGISVGSLKAKDPSRLKRLKPSHRMEGIYSKQKFFLVFVLFHMVATLVKGQGTRVGFYASSCPLAESIVSSAVQSHFQSDPSVGPALLRMHFHDCFVHGCDASILIDGPDSEKKAPPNLGVRGYKVIDDAKAQLEATCPGVVSCADILALAARDAVVLANGPKWEVPTGRRDGMLSLASDTDTLPGFSESIEEQKKKFAAFGLNTRDLVTLVGAHTIGTTACQFFSYRLFNFTAVGNGADPSINPEFVSQLQTLCPPSSDDTGRVALDTDSVDSFDVSFFENLRNGRGILESDQMLWTDASTRSIAQSYLGVRGLRSLNFNIEFGRSMVKMSNIGVKTGTDGEIRKICSAIN >EOX98979 pep chromosome:Theobroma_cacao_20110822:2:7985592:7988090:1 gene:TCM_007628 transcript:EOX98979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3531) [Source:Projected from Arabidopsis thaliana (AT5G08400) TAIR;Acc:AT5G08400] MAAMKGCYCYPNASKNETHHYHHLLRQNLPVVTKKPLPSSSFSSCATLYANDDKNRSPPLRIRAASGEGNSNSNVSSASDVKGSGTTARGRRLVKIREEKRKREFDGLHNYPAWAKVLENACKDDAELRAVLGDSIGDPELMRKRVEERVRKKGRDFHKQKTGSVLSFKVSFRDFNPLDSYIWIELYGSPSDQEVNLIGSVIQSWYVMGRLGAFNSSNLQLANASMEYDPLYDEEKGFKVMPSSFHDISDVEFQDNWGRVWVDLGTSDFFSIDVLLNCMTVLSADYLGIQQIVFGGRCMGDWEEGMTNPDYGYKYFKI >EOX97546 pep chromosome:Theobroma_cacao_20110822:2:2626998:2629311:-1 gene:TCM_006537 transcript:EOX97546 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 2 MADWTQLPKELLDLISKSLDKSFDVSRFRSVCSTWRSSVTSPKRHRLAPRFPVLPDDGGISDTSFGFCLSKRIVFLLGSPETNIQADSSASWVIKIEEDVPNNRIQLLNPLSRSRFDSLPYNFPKPLNLLDFRVFELGEEYVLHYLNYHSYLGDVGNLYMEKVVVSCLDNNVNDFVLLTIHVSGKLAMFKSSEKKWTIIEDMPSPYDDVILYKGNFYAVDNTGRTVHVGMDFETSVVGMPVFGGDKKFLVESKGELLLVDMYLSFEAEEGSCSWTGLGFVEEYFENLAIYMNERTVRFKVFQLDEVGKEWVEVKNLDDRVLFLGDDFTFSASAKDLSVCRGNCIIFADNFFYSLGAEDGQSERCDVGVFDLENGSIGPLGKFPQFSKLFWPPPRWISSTTLDAQINTEESA >EOX97547 pep chromosome:Theobroma_cacao_20110822:2:2626520:2629281:-1 gene:TCM_006537 transcript:EOX97547 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 2 MADWTQLPKELLDLISKSLDKSFDVSRFRSVCSTWRSSVTSPKRHRLAPRFPVLPDDGGISDTSFGFCLSKRIVFLLGSPETNIQADSSASWVIKIEEDVPNNRIQLLNPLSRSRFDSLPYNFPKPLNLLDFRVFELGEEYVLHYLNYHSYLGDVGNLYMEKVVVSCLDNNVNDFVLLTIHVSGKLAMFKSSEKKWTIIEDMPSPYDDVILYKGNFYAVDNTGRTVHVGMDFETSVVGMPVFGGDKKFLVESKGELLLVDMYLSFEAEEGSCSWTGLGFVEEYFENLAIYMNERTVRFKVFQLDEVGKEWVEVKNLDDRVLFLGDDFTFSASAKDLSVCRGNCIIFADNFFYSLGAEDGQSERCDVGVFDLENGSIGPLGKFPQFSKLFWPPPRWISSTTLDGVQS >EOX97548 pep chromosome:Theobroma_cacao_20110822:2:2627181:2629238:-1 gene:TCM_006537 transcript:EOX97548 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 2 MADWTQLPKELLDLISKSLDKSFDVSRFRSVCSTWRSSVTSPKRHRLAPRFPVLPDDGGISDTSFGFCLSKRIVFLLGSPETNIQADSSASWVIKIEEDVPNNRIQLLNPLSRSRFDSLPYNFPKPLNLLDFRVFELGEEYVLHYLNYHSYLGDVGNLYMEKVVVSCLDNNVNDFVLLTIHVSGKLAMFKSSEKKWTIIEDMPSPYDDVILYKGNFYAVDNTGRTVHVGMDFETSVVGMPVFGGDKKFLVESKGELLLVDMYLSFEAEEGSCSWTGLGFVEEYFENLAIYMNERTVRFKVFQLDEVGKEWVEVKNLDDRVLFLGDDFTFSASAKDLSVCRGNCIIFADNFFYSLGAEDGQSERCDVGVFDLENGSIGPLGKFPQFSKLFWPPPRWISSTTLDGTNKH >EOY00991 pep chromosome:Theobroma_cacao_20110822:2:36317454:36321808:-1 gene:TCM_010889 transcript:EOY00991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSQRALKPSKALEGVHGVQVVSHSPFALEEINQHGDFQHTHDKSFITANQPLLIQVWQQRPACLRPVRCCMQGDQNLLETVANVLTSLPFIALGIQAPRKNLNTKLYANSLIGVGVTSTLYHSSRGKLRKYLRWADYTMIATATVCLSRALRNENPKLLMAASALLLPVQPLMVSAVHTGMMEVAFAKRALKDPELRMAHNVHKMSSLLGGVLFIADDVFPSTPFLHAAWHLAAAVGVGTCTKLLE >EOX99127 pep chromosome:Theobroma_cacao_20110822:2:8601151:8602327:1 gene:TCM_007735 transcript:EOX99127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear-encoded CLP protease P7 MRALISSTKRLISTTTTALSRSNHRNYSLIPMVIEHSSRGERAYDIFSRLLKERIVCINGTINDDTAHVVVAQLLFLESENPSKPIHMYLNSPGGHVTAGLAIYDTMQYIKSPINTICLGQAASMASLLLAAGAKGERRSLPNATIMIHQPSGGYSGQAKDMTIHTKQIVRVWDSLNALYSKHTGQSVDVIQKNMDRDYFMTPEEAKEFGIIDEVIDERPMALITDAVANETKDNKESKESKDKGSN >EOY00820 pep chromosome:Theobroma_cacao_20110822:2:35413800:35415404:-1 gene:TCM_010755 transcript:EOY00820 gene_biotype:protein_coding transcript_biotype:protein_coding description:B12D protein MASTNRWLKPEVYPLFAAVGFAVGICAMQLVRNISTNPEVRVTKENRSAGILENFEEGERYAEHGLRNFVRKRPSQIMPSINNFFSDPN >EOX99057 pep chromosome:Theobroma_cacao_20110822:2:8300136:8301018:1 gene:TCM_007677 transcript:EOX99057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,3-N-Acetylglucosaminyltransferase family protein, putative MAAILKSLFALVFLSLIGHGYCQCSLDNISVSQTKTGTTVQNKPEWQVTISNDCSCTQSELKLSCDGFQKVQKVDSSVMTQTRGECLINSGQQVARHSNLSFKYAWDTSFPLNPLSSQVNCS >EOY00559 pep chromosome:Theobroma_cacao_20110822:2:33532141:33534651:-1 gene:TCM_010455 transcript:EOY00559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter2.5 isoform 2 MEVQGTVPESHPPKFTLAVDTEHKATEFRLFSVAAPHMRAFHLAWVSFFSCFVSTFAAPPLLPIIRDNLNLTSTDIGNAGIAAVSGAVFARLAMGTACDLFGPRLASASLILLTTPAVYFTSIASSPVSFLLVRFFTGFSIATFVSTQFWMSSMFSAPVVGTANGVAGGWGNLGGGATQLIMPIVFGLIRHTGADNFTAWRIAFFIPALFQTLSAFAVLIFGQDLPDGNFRGLQKSGDKPKDKFSLVFYHGITNYRGWILALTYGYCFGVELAVDNIIAEYFYDRFNLKLHTAGMIAASFGLANVISRPGGGIISDVVAKRFGMRGRLWTLWVVQTLGGVFCIILGQVGSLSASIAVMIAFSLFVQAACGLTFGVVPFISRRHDRRWRK >EOY00558 pep chromosome:Theobroma_cacao_20110822:2:33531546:33534543:-1 gene:TCM_010455 transcript:EOY00558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter2.5 isoform 2 MEVQGTVPESHPPKFTLAVDTEHKATEFRLFSVAAPHMRAFHLAWVSFFSCFVSTFAAPPLLPIIRDNLNLTSTDIGNAGIAAVSGAVFARLAMGTACDLFGPRLASASLILLTTPAVYFTSIASSPVSFLLVRFFTGFSIATFVSTQFWMSSMFSAPVVGTANGVAGGWGNLGGGATQLIMPIVFGLIRHTGADNFTAWRIAFFIPALFQTLSAFAVLIFGQDLPDGNFRGLQKSGDKPKDKFSLVFYHGITNYRGWILALTYGYCFGVELAVDNIIAEYFYDRFNLKLHTAGMIAASFGLANVISRPGGGIISDVVAKRFGMRGRLWTLWVVQTLGGVFCIILGQVGSLSASIAVMIAFSLFVQAACGLTFGVVPFISRRSLGVISGMTGGGGNVGAVLTQLIFFKGSKYSKETGITLMGVMIICCTLPICLIYFPQWGGMFCGPSDKQTEEDYYMSEWSPTEKEKGLHHASLKFADNSRSEKGRRVKAAALPTDESSPTQV >EOY00560 pep chromosome:Theobroma_cacao_20110822:2:33532224:33534646:-1 gene:TCM_010455 transcript:EOY00560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter2.5 isoform 2 MEVQGTVPESHPPKFTLAVDTEHKATEFRLFSVAAPHMRAFHLAWVSFFSCFVSTFAAPPLLPIIRDNLNLTSTDIGNAGIAAVSGAVFARLAMGTACDLFGPRLASASLILLTTPAVYFTSIASSPVSFLLVRFFTGFSIATFVSTQFWMSSMFSAPVVGTANGVAGGWGNLGGGATQLIMPIVFGLIRHTGADNFTAWRIAFFIPALFQTLSAFAVLIFGQDLPDGNFRGLQKSGDKPKDKFSLVFYHGITNYRGWILALTYGYCFGVELAVDNIIAEYFYDRFNLKLHTAGMIAASFGLANVISRPGGGIISDVVAKRFGMRGRLWTLWVVQTLGGVFCIILGQVGSLSASIAVMIAFSLFVQAACGLTFGVVPFISRRGIEILQPITNQFCLNKIRTVNIARSFALAGVVQRFRPNRTKQSCLATEDAVSLKLKLLLFFSFNLIFVH >EOX98184 pep chromosome:Theobroma_cacao_20110822:2:4786042:4787665:1 gene:TCM_006999 transcript:EOX98184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSSDGNYIHRGVVGLEFNTKTSKYPNSCDNYLINYSCLINNWVLTATNKNRFLALLFHVWPFLPSSAQHK >EOX98391 pep chromosome:Theobroma_cacao_20110822:2:5607956:5609301:1 gene:TCM_007165 transcript:EOX98391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSILEITEPKCLCGLPARLRKSRTSPDLIRLFYDCPRDGEYQCAFFCWFDELLPTNDRHEELEEEIINLERLLDFERSIWEQEKLELTSELSILQAELDGIKEKIKIANESDLMPPLDRLSTADEANQSTIDLHMIPQQERHGAEPIGPIPLSPQ >EOY00598 pep chromosome:Theobroma_cacao_20110822:2:33751877:33752885:-1 gene:TCM_010482 transcript:EOY00598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGTQLQVSVCFHDVQTSRYKNSLLPFSSLELGINVNSLQQQLVQQKIQAFCSKAVKKISARASSCEGRLIRKLLPSCKFETASVRRGNLFRSLRAT >EOX98146 pep chromosome:Theobroma_cacao_20110822:2:4679880:4681484:-1 gene:TCM_006974 transcript:EOX98146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVQSFLDCGGCRGSSVSKFLRSTEGLWMKPRFWPWKGYDDIEATAFCNWGFSGGYGLGYV >EOX97264 pep chromosome:Theobroma_cacao_20110822:2:1754863:1757965:-1 gene:TCM_006340 transcript:EOX97264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRRPVNPARRFADGGSIPFVGSVQSKTRSSRLLSIGLLVVGAILLIGYLHSGSDGSIREKKALSKTEGGSSCTLEVLRALPVLKKAYGDSMNKVLHVAPDTCSVVSKLLKEEDTQAWGVEPYDLDDADVNCKSLVRKGIVRVADIKFPLPYRAKSFSLVIVSDALDYLSPRYLNKTLPELVRVSADGVIIFSGYPGQQRAKVAELSKFGRPAKLRSSSWWIKYFVQTKLEENEAAAKKFEQASTKRSYRPACQVFHLK >EOX98563 pep chromosome:Theobroma_cacao_20110822:2:6205205:6207984:-1 gene:TCM_007290 transcript:EOX98563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minor allergen Alt a, putative MGKGGGCIFSKKRSTLEAPDQDPPNPDRDAPVLSQNQTPAATTTAITVENSSSHEVKKLKVFIVFYSMYGHVECLAKRMKKGVDSIDGVEGFLYRVPETLPMDVLEQMRVPQKEDELPVISVDELVEADGLLFGFPTRFGSMASQMKAFFDSTGHLWEQQRLAGVPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLYVPIGYTFGAGMFKMDSLRGGSPYGAGVYSGDGSRVPSETELALAEHQGKYMAAMVKRFAPPHSSVSGDSLN >EOY00769 pep chromosome:Theobroma_cacao_20110822:2:34982050:34983340:-1 gene:TCM_010689 transcript:EOY00769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLILLLVNQLIHKDFHTLPSYSSIMSSYYKGQPLPKFGEWDVNNPASAEGFTAIFNIARDEKRTGGNVTVLTTDGVKSQHKNGAHKERNRRKYSIKRKWFCLA >EOY00272 pep chromosome:Theobroma_cacao_20110822:2:30806209:30809238:-1 gene:TCM_010106 transcript:EOY00272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSSNSSAVVPLLFSFLFFLPVLASASSIDYQHGRVGQRVLMSFREIPKGSNRTFDCSPSGPCVPCLYSEKGDEKYRCSETGYRIPFKCVETDDGSKAENEQKSEKSRSDLEISVNNENSGKRRSLLGDSSTSGGRSNAYITYRSCMQTVNEEKLSVLGFEGIIFGLLLISGSVVFLRRKRSITMPGAAAGRIQPNSRF >EOY01402 pep chromosome:Theobroma_cacao_20110822:2:39286300:39295043:1 gene:TCM_011302 transcript:EOY01402 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZZ-type zinc finger-containing protein 3, putative isoform 1 MTTESNTGFHHEDSLAFMMNRNAISFQSAAISSSSEMIPISSYFAPPPLFLSGNSGIITTSPCLIQPGTSSGSSLLLDSVAGLKHDTGLAVEWSVDEQHILDNGLEKYKEEPNIMKYIKIAATLPDKTVRDVALRCRWMQRKRRKPEEYIAGKKVNNRKDKLVESSSKMNMPSALPQNMTVYPLMVHHLGQNERMPSEGISGTTMQRLKQNAQTFTQITSNLSAYKLQDNIDLFFHARNNITAILNDMRDMPGLMSQMPPLPVSVDEDLANSILPGATSVLPSATQVACIQLILIYSFMFS >EOY01400 pep chromosome:Theobroma_cacao_20110822:2:39286428:39295219:1 gene:TCM_011302 transcript:EOY01400 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZZ-type zinc finger-containing protein 3, putative isoform 1 MTTESNTGFHHEDSLAFMMNRNAISFQSAAISSSSEMIPISSYFAPPPLFLSGNSGIITTSPCLIQPGTSSGSSLLLDSVAGLKHDTGLAVEWSVDEQHILDNGLEKYKEEPNIMKYIKIAATLPDKTVRDVALRCRWMQRKRRKPEEYIAGKKVNNRKDKLVESSSKMNMPSALPQNMTVYPLMVHHLGQNERMPSEGISGTTMQRLKQNAQTFTQITSNLSAYKSYRIILISFFTQGTILPPS >EOY01399 pep chromosome:Theobroma_cacao_20110822:2:39286716:39295962:1 gene:TCM_011302 transcript:EOY01399 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZZ-type zinc finger-containing protein 3, putative isoform 1 MTTESNTGFHHEDSLAFMMNRNAISFQSAAISSSSEMIPISSYFAPPPLFLSGNSGIITTSPCLIQPGTSSGSSLLLDSVAGLKHDTGLAVEWSVDEQHILDNGLEKYKEEPNIMKYIKIAATLPDKTVRDVALRCRWMQRKRRKPEEYIAGKKVNNRKDKLVESSSKMNMPSALPQNMTVYPLMVHHLGQNERMPSEGISGTTMQRLKQNAQTFTQITSNLSAYKLQDNIDLFFHARNNITAILNDMRDMPGLMSQMPPLPVSVDEDLANSILPGATSVLPSATQSMIYALSSGVHLKQEPRC >EOY01401 pep chromosome:Theobroma_cacao_20110822:2:39286300:39293774:1 gene:TCM_011302 transcript:EOY01401 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZZ-type zinc finger-containing protein 3, putative isoform 1 MTTESNTGFHHEDSLAFMMNRNAISFQSAAISSSSEMIPISSYFAPPPLFLSGNSGIITTSPCLIQPGTSSGSSLLLDSVAGLKHDTGLAVEWSVDEQHILDNGLEKYKEEPNIMKYIKIAATLPDKTVRDVALRCRWMQRKRRKPEEYIAGKKVNNRKDKLVESSSKMNMPSALPQNMTVYPLMVHHLGQNERMPSEGISGTTMQRLKQNAQTFTQITSNLSAYKLQDNIDLFFHARNNITAILNEYVDVLYIMNCMRDMPGLMSQMPPLPVSVDEDLANSILPGATSVLPSAT >EOX98683 pep chromosome:Theobroma_cacao_20110822:2:6711365:6713367:1 gene:TCM_007383 transcript:EOX98683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase isoform 2 MLPQMSFSDVCRSNSGVKLKASAEPPALTREPKSDKQESIMEMGNGCDLFNEMKNNFLSFKKHKYMENLELFQALSKGQAPKFMVIACADSRVCPSNILGFKPGEAFMVRNVANMVPTYESGPSETNAALEFAVNSLEVENILIIGHSCCGGIRALMSMQDEVDSSSFIRSWVIVGKNAKLSTKAAASNLSFDQQCTHCEKESINCSLLNLLTYPWIEEKVKKGELSLHGGYYDFVDCTFEKWTLDYKGSVMEGKNRVVVKNQSFWC >EOX98684 pep chromosome:Theobroma_cacao_20110822:2:6711657:6713337:1 gene:TCM_007383 transcript:EOX98684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase isoform 2 MENLELFQALSKGQAPKFMVIACADSRVCPSNILGFKPGEAFMVRNVANMVPTYEEMILFVQSGPSETNAALEFAVNSLEVENILIIGHSCCGGIRALMSMQDEVDSSSFIRSWVIVGKNAKLSTKAAASNLSFDQQCTHCEKESINCSLLNLLTYPWIEEKVKKGELSLHGGYYDFVDCTFEKWTLDYKGSVMEGKNRVVVKNQSFWC >EOX98682 pep chromosome:Theobroma_cacao_20110822:2:6710355:6713364:1 gene:TCM_007383 transcript:EOX98682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase isoform 2 MAALAPTSLSKDPLSNGALTRIFGSRVKSLEIQHTQLRLWTCFRSNSGVKLKASAEPPALTREPKSDKQESIMEMGNGCDLFNEMKNNFLSFKKHKYMENLELFQALSKGQAPKFMVIACADSRVCPSNILGFKPGEAFMVRNVANMVPTYESGPSETNAALEFAVNSLEVENILIIGHSCCGGIRALMSMQDEVDSSSFIRSWVIVGKNAKLSTKAAASNLSFDQQCTHCEKESINCSLLNLLTYPWIEEKVKKGELSLHGGYYDFVDCTFEKWTLDYKGSVMEGKNRVVVKNQSFWC >EOX98442 pep chromosome:Theobroma_cacao_20110822:2:5786861:5791220:1 gene:TCM_007206 transcript:EOX98442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Interferon-related developmental regulator family protein / IFRD family protein, putative MKVRLLFFQSRPDIYFIILYAYNWVDDDDDDDDSGSMDDFKNASKFELEHQLRCFPEKRSPKREEALSSIVEALNIKPEHEFVDENSITLLYQCLNVMKKGSAKELRLATEAIGLIAMVIRCPDKAREVYIDSVPALSNLLKTKCNDSKALHSLAMVAFFTADSYIDETEQAMLLIWNFINPECSMDSSKHPPAILAAAISAWCFLLSTLDGWRLSYKYWQGAISYFSDLIANKDEKVRKAASVALALIFETNTLEKFTTHESVTSEKQEEKNKIIDKLRSQSNDMSNVLNYFMEGNCPDKYVKFGEYEVTLSTWSQIIQLNFLEQFLGKDGFINHMMENENFHELFELLPKERPPPANMPFIPETEHVTVEFYLPRILRAKPFTSSSQSKLERKMTKSPNSLVSKTRTKFLNKQRAISQEKAISCLAVDD >EOY00985 pep chromosome:Theobroma_cacao_20110822:2:36302251:36306468:1 gene:TCM_010886 transcript:EOY00985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytanoyl-CoA dioxygenase (PhyH) family protein isoform 2 MGIVGNLSPEQLHSFNSQGFLVMESFASPEEIGAMRKRMDELLQDFDPSTTASIFSTKNQLKLTSEYFYESAEKISFFFEEKAFDDDGNLKQSKELSINKVGHALHEIDPVFKEISCSQKVSSLLFSLGYKRPVIIQSMYIFKQPGIGGEVTPHQDNSFLYTEPKSCTGLWLALEDATIVNGCLWAIPESQKNGLVRRFIRGEDGVYFDQPSPSYDQKDFVPIEVKAGSLVVIHGDLIHQSFENQSPKSRHAYSLHVVDTDGCKWAEDNWIKRKVEPEPLYAS >EOY00986 pep chromosome:Theobroma_cacao_20110822:2:36302320:36306750:1 gene:TCM_010886 transcript:EOY00986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytanoyl-CoA dioxygenase (PhyH) family protein isoform 2 MGIVGNLSPEQLHSFNSQGFLVMESFASPEEIGAMRKRMDELLQDFDPSTTASIFSTKNQQLKLTSEYFYESAEKISFFFEEKAFDDDGNLKQSKELSINKVGHALHEIDPVFKEISCSQKVSSLLFSLGYKRPVIIQSMYIFKQPGIGGEVTPHQDNSFLYTEPKSCTGLWLALEDATIVNGCLWAIPESQKNGLVRRFIRGEDGVYFDQPSPSYDQKDFVPIEVKAGSLVVIHGDLIHQSFENQSPKSRHAYSLHVVDTDGCKWAEDNWIKRKVEPEPLYAS >EOY02074 pep chromosome:Theobroma_cacao_20110822:2:41863357:41865482:-1 gene:TCM_011811 transcript:EOY02074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative isoform 1 MPEPRKQSMDLSKSSKKTKKIQPILTEEETQMTRKVRVIFHDPYATDSSSSEDESEGSVARKAQKGKRVVREIKVPAFGLVPRLKPLDSETSSQDSNTKTPARKKRAWSKTLEGKAPLSKPKKPVGVRQRKWGKWAAEIRHPLKKTRIWLGTYDTLEEAAKAYDAKKLEFDALAAAAAASASSDKTNEAACSAVASHNGNSVPSTSEESESLLSHTSPSSVLELDTSASVSVSNNNGDFEDENNEGLDVNFANLPIPDFCFIDDPLLSGSVGQELNLDAEPADYLLVNDFGMMLEEYCSIEDLSICGIEGDEPSELPDCDFSTDDFLFGEEVAP >EOY02073 pep chromosome:Theobroma_cacao_20110822:2:41862889:41865503:-1 gene:TCM_011811 transcript:EOY02073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative isoform 1 MPEPRKQSMDLSKSSKKTKKIQPILTEEETQMTRKVRVIFHDPYATDSSSSEDESEGSVARKAQKGKRVVREIKVPAFGLVPRLKPLDSETSSQDSNTKTPARKKRAWSKTLEGKAPLSKPKKPVGVRQRKWGKWAAEIRHPLKKTRIWLGTYDTLEEAAKAYDAKKLEFDALAAAAAASASSDKTNEAACSAVASHNGNSVPSTSEESESLLSHTSPSSVLELDTSASVSVSNNNGDFEDENNEGLDVNFANLPIPDFCFIDDPLLSGSVGQELNLDAEPADYLLVNDFGMMLEEYCSIEDLSICGIEGDEPSELPDCDFSTDDFLFGEEVAPLNIACP >EOY01680 pep chromosome:Theobroma_cacao_20110822:2:40589562:40593663:1 gene:TCM_011521 transcript:EOY01680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein with RNA binding domain, putative isoform 1 MTTHSDPSASDPQMIGNAFVKQFYTILHKEPAQAYKFYLDLSVLSRPGPDGVMKTVTSLKEINELLLSLDYQSYRAEISFADAQFSYANGLIVLVTGFLIGKNDVRRKFTQSFFLAPQEGGYYVSNDVFRYVDDNEQVGVANNDVDESTQAALSPEPELTHVPKNAVANHTTVPSDNSGNSGKEVSHPLENGKVSVSENEVVAEQIVSSSEESQYDSHPASQTASPKIQDDAPKKSYLSVVHALTKNSAPFIVRAPPPKPKPMEQSRRAAAPEASAPKSNKALEKNNDVSGMNTSIFVANLPMNATEELLGEIFTKFGPIKPNGIQVRSFKDNKNCFGFVEFESATSVQSAVMASPITIGNRKANIEEKRGSNNGVKPGRGAYRDENGYQNDNFRGRRNFSGGRNFGKTERGEFSGQTRGSAGRNGDTNRKIYQNGGQRVAHQTQTDS >EOY01679 pep chromosome:Theobroma_cacao_20110822:2:40589440:40594299:1 gene:TCM_011521 transcript:EOY01679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein with RNA binding domain, putative isoform 1 MTTHSDPSASDPQMIGNAFVKQFYTILHKEPAQAYKFYLDLSVLSRPGPDGVMKTVTSLKEINELLLSLDYQSYRAEISFADAQFSYANGLIVLVTGFLIGKNDVRRKFTQSFFLAPQEGGYYVSNDVFRYVDDNEQVGVANNDVDESTQAALSPEPELTHVPKNAVANHTTVPSDNSGNSGKEVSHPLENGKVSVSENEVVAEQIVSSSEESQYDSHPASQTASPKIQDDAPKKSYLSVVHALTKNSAPFIVRAPPPKPKPMEQSRRAAAPEASAPKSNKALEKNNDVSGMNTSIFVANLPMNATEELLGEIFTKFGPIKPNGIQVRSFKDNKNCFGFVEFESATSVQSAVMASPITIGNRKANIEEKRGSNNGVKPGRGAYRDENGYQNDNFRGRRNFSGGRNFGKTERGEFSGQTRGSAGRNGDTNRKIYQNGGQRVAHQTQTDIRKTELTLSCFLWVIQIWNKDWISRSYPSNGLMSLMKVWIPSHQCCGKLIHPLRRLEQIKPCRIHHQIVIILVPIDFHGWQALLLLLYITVSRLLL >EOX98759 pep chromosome:Theobroma_cacao_20110822:2:7138314:7140694:1 gene:TCM_007463 transcript:EOX98759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase 3, putative isoform 3 MARRERCSGCGLYLLVPPEAQTIRCAVCQAITNTNTQVTSNIRPNGHWGHVHDSFIGPGWTSYPGAGTYGIPYVYAPHQRPPQPTPSLSPVPVHGRKRALLCGVNYHGKSYRLKGSINDVKCMKYLLVEKLGFPNDSILMLTEDETDRFKIPTKQNIRKALRWLVYGCQSGDSLVFHFSGHGARQLDYNHDEVDGYDEALCPLDHETEGNIIDDEINDTIVRPLPPGATLHAIVDACYSGTVLDLPYVCRMNKLSLEQIPGPVP >EOX98758 pep chromosome:Theobroma_cacao_20110822:2:7138018:7140713:1 gene:TCM_007463 transcript:EOX98758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase 3, putative isoform 3 MARRERCSGCGLYLLVPPEAQTIRCAVCQAITNTNTQVTSNIRPNGHWGHVHDSFIGPGWTSYPGAGTYGIPYVYAPHQRPPQPTPSLSPVPVHGRKRALLCGVNYHGKSYRLKGSINDVKCMKYLLVEKLGFPNDSILMLTEDETDRFKIPTKQNIRKALRWLVYGCQSGDSLVFHFSGHGARQLDYNHDEVDGYDEALCPLDHETEGNIIDDEINDTIVRPLPPGATLHAIVDACYSGTVLDLPYVCRMNKEGFYIWEDQRNPLFYKGTSGGLAFCFSACDDNQISTDTTAFTGTNTRTGAMTFSFIQAVQNEPRLTYGRLLNAMRNAIRDVKAGLRLNGPIAALINKVLFGTTTQEPQLSSSEKFDIYSKQFVL >EOX98760 pep chromosome:Theobroma_cacao_20110822:2:7061346:7140698:1 gene:TCM_007463 transcript:EOX98760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase 3, putative isoform 3 MARRERCSGCGLYLLVPPEAQTIRCAVCQAITNTNTQVTSNIRPNGHWGHVHDSFIGPGWTSYPGAGTYGIPYVYAPHQRPPQPTPSLSPVPVHGRKRALLCGVNYHGKSYRLKGSINDVKCMKYLLVEKLGFPNDSILMLTEDETDRFKIPTKQNIRKALRWLVYGCQSGDSLVFHFSGHGARQLDYNHDEVDGYDEALCPLDHETEGNIIDDEINDTIVRPLPPGATLHAIVDACYSGTVLDLPYVCRMNKLAFTGTNTRTGAMTFSFIQAVQNEPRLTYGRLLNAMRNAIRDVKAGLRLNGPIAALINKVLFGTTTQEPQLSSSEKFDIYSKQFVL >EOY00665 pep chromosome:Theobroma_cacao_20110822:2:34388465:34391689:-1 gene:TCM_010582 transcript:EOY00665 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein isoform 1 MDGARPGIRISRRWKTASAWASRKNVKTFAIAAFFAVAFSLFLLSAKQRSVGQFNGCDFPAIFNFGDSNSDTGGKSAAFGRIPLPNGNTFFHKPAGRYCDGKLIIDFIAEKLGLPYLGAYLDSIIANFQHGANFATAGSTIQSLDARMFKQGFSPISLDVQLLQFQQFKERINELYKEGLNSNIKSKLARPEDFSRALYTFDIGQNDLSAAFKSMTEKQAVQSVPGIINQLAQAVKRLHHQGARTFWIHNTSPIGCLPYQVLDYPPQTENMDQNGCIRSHNEVAQEFNRQLKERVSQLRMQLPDAVLTYVDIYSAKYSLISEAKKHGFANPLTYCCGHYRDILCWRKKIVNGTEILGTSCGNPSAYISWDGIHYSHAANLWVANKALDGLFSDPSTPITEACHMPLRL >EOY00664 pep chromosome:Theobroma_cacao_20110822:2:34388286:34395498:-1 gene:TCM_010582 transcript:EOY00664 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein isoform 1 MDCGSLTSISKRETVMAMAIGLSLVASLVGSTCGCHFSAIYALGDSNSDTGSVSATFGRVPPPYGETFFGRPSGRYSDGRLIIDFIADELKLPFLNAYLDAIESIYSHGANFAASGSTIQPADSKLVGAGFNPLSLDIQLLQFEQLKERTNELYGQDISITDRLSRPEEFSKALYMLDCGQNDLHYGLVTTTEEQVKASVPNIISQLTVAIKKLYQNGARIFWMHNTGPIGCLPIFVINSPPKPGNADQAGCIKSYNEVAQEFNKQLKDRVSQLRAKLLDARLIYVDIYSAKYSLISEANKHGFVGPFMNCCGQYGYYHVECGKASVVNGTEIFGPSCSDPSKHISWDGIHYTEAANHWVANKILDGSLSDPPVPITRPKESQPDPSCQLPKNKLILLCCLTEHTSTSNLLAFFARKRLLQLPGRTLILVQTSISMDGARPGIRISRRWKTASAWASRKNVKTFAIAAFFAVAFSLFLLSAKQRSVGQFNGCDFPAIFNFGDSNSDTGGKSAAFGRIPLPNGNTFFHKPAGRYCDGKLIIDFIAEKLGLPYLGAYLDSIIANFQHGANFATAGSTIQSLDARMFKQGFSPISLDVQLLQFQQFKERINELYKEGLNSNIKSKLARPEDFSRALYTFDIGQNDLSAAFKSMTEKQAVQSVPGIINQLAQAVKRLHHQGARTFWIHNTSPIGCLPYQVLDYPPQTENMDQNGCIRSHNEVAQEFNRQLKERVSQLRMQLPDAVLTYVDIYSAKYSLISEAKKHGFANPLTYCCGHYRDILCWRKKIVNGTEILGTSCGNPSAYISWDGIHYSHAANLWVANKALDGLFSDPSTPITEACHMPLRL >EOX97331 pep chromosome:Theobroma_cacao_20110822:2:2004752:2005987:-1 gene:TCM_006389 transcript:EOX97331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 9 MEALLSQFTFLSDQALQDKNFDPSTIEDLMKLFEIESYKAWAAMELEQEEEVKEAETTMQQAEDYLDSVMESAMDEFRRFEEEMERRSKAELNGLEETAERARKMGNLMEKGATIASKKYMEAAVNSATASMKSAWKGLSSNKVHPS >EOX99193 pep chromosome:Theobroma_cacao_20110822:2:8936167:8944090:1 gene:TCM_007788 transcript:EOX99193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin 3, putative MEMRRACYAIFVVILLCALAMGSSEGKRWMPETFLANQGWTTTSLKINEEMAEEAWIHCTKEMAEKRDAIKDFDLYMPQTTNDLISALLAKGNIEKAIDILPPQVRETLFDCLSKKGLIFHASNPEVTFKHWFRKCLEFIFKLPHAPRRYLVSVSNQHITSGPSPALVPKRTPAPAPISAPVPAAFSYGPAPTPFSYVPAPSNQAHARYLRSHPPGGALANSLLISNKIFTSSPPPDLKHSRTNPSLATHKDLSPGAHDRTQHSPEEKDVMKETVIAVIVTAATTFALVALVFFCCLKGTSRKKDEKPLLCLSDYLSDFSCDSSQKSISLGSSSDKEYRTSSRKNPSLAGSSSMKQDNHDASLAKAPPSEATEGAPFPPLKPPPGRSAPPPPAAPPPPPVRPNPPPPPKVARPPPMPPKSKPSPLGPHRRGHSASGSGDETDGESGAPKAKLKPFFWDKVMANSDQMMVWHEISAGSFQFNEEMMESLFGYNYGTNKKNDQKKDSLSEPSIQYIQIIDTRKAQNLSILLRALNVTTEEVVDALREGNELPAELLQTLQKMAPTTEEELKLRLFTGDVSQLGPPERFLKILVEIPFAFKRIESLIFMSTLREEVTGIKESFATLEVACSKLKSSRLFLKLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGIRAVRAQLSSRSLSSFNSEDFAEDHNQDKEEHYRSLGLQVVSGVSSELEDVKKAAVIDADALTATVSKLNHSLTKTREFLNSELKSTDEDSEFFRSLSSFVDRADADIRWLSEEEKRIMDLVKSTADYFHGQAGKNEGLRLFAIVRDFLIMLDKACKEVRDTRAKPLRNSRKERASVSSSLENQQPSSLENQQPSSDIRKRLFPAISDRRMDSSSSDDESSSQ >EOY00053 pep chromosome:Theobroma_cacao_20110822:2:24187592:24194045:1 gene:TCM_009464 transcript:EOY00053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised conserved protein UCP031088, alpha/beta hydrolase [Source:Projected from Arabidopsis thaliana (AT1G15060) TAIR;Acc:AT1G15060] MSNLQIQSDMRSALHIASSTLLSLNTRSFTLPHSVPARPLLPRPLHSSKASFGPLPRAFSNDGSVSEKPSICTADELHYVSVPNCDWRLALWRYHPPPQAPPRNHPLLLLSGVGTNAIGYDLSPGSSFARYMSGQGFDTWILEVRGAGLSVQGSNFKEIKETADAVSEQMEAVAKSVTNGVSPAQQQPTNVSGSFSDSEISFLGEDSIGIGTAWDESKLVTKLTEIFMRLSERLSGFLSDGQSRLISAKLFDQISKLLEDSQLSERFEEVRGKLSYLLERQQNSGIASQIRDLSQRLVNIIEEGQRSVSPQFIDLQERLSSTIEDFQKQLDLIVKYDWDFDHYLEEDVPAAMEYIRAQTKPNDGKLLAIGHSMGGILLYAMLSQCGSEGREPKLKAVVTLASSLDYTSSKSTLKLLLPLADPAQALNVPVVPLGTLLAAAYPLSSRPPYVLAWLNNLISAEDMMHPELLKKLVLNNFCTIPAKLILQLTTAFRERGLCDRNGKFFYKDHLHKSNVPILAVAGDQDLICPPEAVEETVKLFPENLVTYKVFGEHQGPHYAHYDLVGGRLAVEQVYPCILQFLSHYD >EOY00054 pep chromosome:Theobroma_cacao_20110822:2:24187600:24194033:1 gene:TCM_009464 transcript:EOY00054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised conserved protein UCP031088, alpha/beta hydrolase [Source:Projected from Arabidopsis thaliana (AT1G15060) TAIR;Acc:AT1G15060] MSNLQIQSDMRSALHIASSTLLSLNTRSFTLPHSVPARPLLPRPLHSSKASFGPLPRAFSNDGSVSEKPSICTADELHYVSVPNCDWRLALWRYHPPPQSSFARYMSGQGFDTWILEVRGAGLSVQGSNFKEIKETADAVSEQMEAVAKSVTNGVSPAQQQPTNVSGSFSDSEISFLGEDSIGIGTAWDESKLVTKLTEIFMRLSERLSGFLSDGQSRLISAKLFDQISKLLEDSQLSERFEEVRGKLSYLLERQQNSGIASQIRDLSQRLVNIIEEGQRSVSPQFIDLQERLSSTIEDFQKQLDLIVKYDWDFDHYLEEDVPAAMEYIRAQTKPNDGKLLAIGHSMGGILLYAMLSQCGSEGREPKLKAVVTLASSLDYTSSKSTLKLLLPLADPAQALNVPVVPLGTLLAAAYPLSSRPPYVLAWLNNLISAEDMMHPELLKKLVLNNFCTIPAKLILQLTTAFRERGLCDRNGKFFYKDHLHKSNVPILAVAGDQDLICPPEAVEETVKLFPENLVTYKVFGEHQGPHYAHYDLVGGRLAVEQVYPCILQFLSHYD >EOX97007 pep chromosome:Theobroma_cacao_20110822:2:890959:894684:-1 gene:TCM_006133 transcript:EOX97007 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase 1 isoform 2 MIAFQPDGVAPISCTDKGLTPGLDGQDWSPPRRLRTNEILSIINDFRLAACNAIEAGFDGVEIHGAYGYLIEQFMKDQVNDRTDQYGGSLENRCRFALEVVEAVVNEIGPDKVGIRLSPYSKGMEAGDSNPEALGLYMANALNKFGIVYLHVIQQRGKAIKINDKYETPYSLLPMRKAFKGTFIVAGGYNSEDGNMAIASNYADLVAFGRLFLANPDLPRRLELNAPLNRYDETTFYLSDPVIGYTDYPFLEP >EOX97006 pep chromosome:Theobroma_cacao_20110822:2:890902:895959:-1 gene:TCM_006133 transcript:EOX97006 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase 1 isoform 2 MAGKEAMIPLLTPYKMGKFNLSHRIVLAPLTRCRSYNNVPQPHAVLYYTQRATNGGFLISEATGVASTAQGYQDIPGIWTKEQVKAWKPIVEAVHEKGAIFFCQICHAGRASNYAFQPDGVAPISCTDKGLTPGLDGQDWSPPRRLRTNEILSIINDFRLAACNAIEAGFDGVEIHGAYGYLIEQFMKDQVNDRTDQYGGSLENRCRFALEVVEAVVNEIGPDKVGIRLSPYSKGMEAGDSNPEALGLYMANALNKFGIVYLHVIQQRGKAIKINDKYETPYSLLPMRKAFKGTFIVAGGYNSEDGNMAIASNYADLVAFGRLFLANPDLPRRLELNAPLNRYDETTFYLSDPVIGYTDYPFLEP >EOY00952 pep chromosome:Theobroma_cacao_20110822:2:36085077:36089267:1 gene:TCM_010858 transcript:EOY00952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative MWVQVIRVAESTSKAVRCRVAGPVAHLGTQTNISYSLGKPVDSSGSLGNQQTAQASNLQQQYCDIDRAWVFTTASQILGLFYVCLEIKTGSTMEAEHGLPSYYNVLGVKLDASTLDIKRAYRKLAMQWHPDRWTRTPSLLGEAKRKFQQIQEAYSVLSDQRKRTLYDAGLYDPEDEEDEGFSDFAAEMISLMAQTRKEEEDCSLEELQKMFWEMAQEFQSPSWFCGSVQNSGSPKRTKWDSTRMGDRHSHVGVSGLKMYETRSY >EOX97834 pep chromosome:Theobroma_cacao_20110822:2:3630601:3634351:-1 gene:TCM_006765 transcript:EOX97834 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-linked oxidoreductases superfamily protein isoform 3 MAESSIDISMVTNSEMEGVPSSQPPSNSDGATQQTPEELVGRAIAPIKREFLRPPPPSRTTQNDAASDANSSANAKQSQSSLVKEKKSKRQLKRERRQEQKSSLNLCPEIAKTGDVNACPYKNKCRFSHDIQAFMAQKPADLEGECPFVRADAPCPYGLACRFAGTHKDGASAASANVLKKSSEVNGLSKDVQKLLWKNKMRFTKADAVIKSLGLTGPNWKIKKLVDKEEDKVVSNGSHAVSETECKLVANDSVDCSECPPTFSPADNVEEACASDELRPSKKAKSVVDEKCCSDEVENDATVLEKDVEKNSTETESVHTADDMLAEPDRSLKIHPREKKLIDFREKLYLAPLTTVGNLPFRRVCKILGADVTCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAHPDTVARTVELIDKECTVDFIDINMGCPIDIVVNKGAGSSLLTKPLRMKGIIEAASGTVDKPITVKVRTGYFEGKNRIDSLIAEIGSWGATAVTIHGRSRQQRYSKLADWDYIYQCVRKAPSMLQVLGNGDIFSYLDSKNHRSDCPELSTCMIARGALIKPWLFTEIKEQRHWDISSGERLNILKDYVRFGLEHWGSDRKGISCWNGLA >EOX97833 pep chromosome:Theobroma_cacao_20110822:2:3629686:3634463:-1 gene:TCM_006765 transcript:EOX97833 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-linked oxidoreductases superfamily protein isoform 3 MAESSIDISMVTNSEMEGVPSSQPPSNSDGATQQTPEELVGRAIAPIKREFLRPPPPSRTTQNDAASDANSSANAKQSQSSLVKEKKSKRQLKRERRQEQKSSLNLCPEIAKTGDVNACPYKNKCRFSHDIQAFMAQKPADLEGECPFVRADAPCPYGLACRFAGTHKDGASAASANVLKKSSEVNGLSKDVQKLLWKNKMRFTKADAVIKSLGLTGPNWKIKKLVDKEEDKVVSNGSHAVSETECKLVANDSVDCSECPPTFSPADNVEEACASDELRPSKKAKSVVDEKCCSDEVENDATVLEKDVEKNSTETESVHTADDMLAEPDRSLKIHPREKKLIDFREKLYLAPLTTVGNLPFRRVCKILGADVTCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAHPDTVARTVELIDKECTVDFIDINMGCPIDIVVNKGAGSSLLTKPLRMKGIIEAASGTVDKPITVKVRTGYFEGKNRIDSLIAEIGSWGATAVTIHGRSRQQRYSKLADWDYIYQCVRKAPSMLQVLGNGDIFSYLDSKNHRSDCPELSTCMIARGALIKPWLFTEIKEQRHWDISSGERLNILKDYVRFGLEHWGSDRKGVETTRHFLLEWLSYTCRYIPVGLLDVIPQRLNWRPPSYYGRDDLETLMASDSAADWVRISEMLLGKVPDGFTFAPKHKSNAYDRAENG >EOX97836 pep chromosome:Theobroma_cacao_20110822:2:3630108:3634351:-1 gene:TCM_006765 transcript:EOX97836 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-linked oxidoreductases superfamily protein isoform 3 MAESSIDISMVTNSEMEGVPSSQPPSNSDGATQQTPEELVGRAIAPIKREFLRPPPPSRTTQNDAASDANSSANAKQSQSSLVKEKKSKRQLKRERRQEQKSSLNLCPEIAKTGDVNACPYKNKCRFSHDIQAFMAQKPADLEGECPFVRADAPCPYGLACRFAGTHKDGASAASANVLKKSSEVNGLSKDVQKLLWKNKMRFTKADAVIKSLGLTGPNWKIKKLVDKEEDKVVSNGSHAVSETECKLVANDSVDCSECPPTFSPADNVEEACASDELRPSKKAKSVVDEKCCSDEVENDATVLEKDVEKNSTETESVHTADDMLAEPDRSLKIHPREKKLIDFREKLYLAPLTTVGNLPFRRVCKILGADVTCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAHPDTVARTVELIDKECTVDFIDINMGCPIDIVVNKGAGSSLLTKPLRMKGIIEAASGTVDKPITVKVRHFLLEWLSYTCRYIPVGLLDVIPQRLNWRPPSYYGRDDLETLMASDSAADWVRISEMLLGKVPDGFTFAPKHKSNAYDRAENG >EOX97835 pep chromosome:Theobroma_cacao_20110822:2:3631237:3634215:-1 gene:TCM_006765 transcript:EOX97835 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-linked oxidoreductases superfamily protein isoform 3 MAESSIDISMVTNSEMEGVPSSQPPSNSDGATQQTPEELVGRAIAPIKREFLRPPPPSRTTQNDAASDANSSANAKQSQSSLVKEKKSKRQLKRERRQEQKSSLNLCPEIAKTGDVNACPYKNKCRFSHDIQAFMAQKPADLEGECPFVRADAPCPYGLACRFAGTHKDGASAASANVLKKSSEVNGLSKDVQKLLWKNKMRFTKADAVIKSLGLTGPNWKIKKLVDKEEDKVVSNGSHAVSETECKLVANDSVDCSECPPTFSPADNVEEACASDELRPSKKAKSVVDEKCCSDEVENDATVLEKDVEKNSTETESVHTADDMLAEPDRSLKIHPREKKLIDFREKLYLAPLTTVGNLPFRRVCKILGADVTCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAHPDTVARTVELIDKECTVDFIDINMGCPIDIVVNKGAGSSLLTKPLRMKGIIEAASGTVDKPITVKVRTGYFEGKNRIDSLIAEIGSWGATAVTIHGRSRQQRYSKLADWDYIYQCVRKAPSMLQVLGNGDIFSYLDSKNHRSDCPELSTCMIARGALIKVLYLDFNMLNLLDMIPDNIKLFIVLLNCASENFSLL >EOY00720 pep chromosome:Theobroma_cacao_20110822:2:34760000:34765560:-1 gene:TCM_010657 transcript:EOY00720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal-5'-phosphate-dependent enzyme family protein isoform 3 MRIEEEYSDIYCKFCNGMVLELEHPSYNYALMFGLYMLRLWQLGITSIMVDVVNKLTVDQCQALPKIKLSGEEFLSKLLDRRWTLLSPDTKIHQIMVSSTKGRNRGGVFSNVSFLNNNQPYLGNKMFEDNQGLSFYIVRDDLLHPFVNGNKARKLDGLLPLIEDHDVTDVVTCGGCQSAHAAAVDQSFACFAAVSCAERGLKSHLLLRGEQPAILTGYNLISTIYGNVTYVPRSFYAHKEKMLQTHASMVAGSSGNVVYCSDIIDAFITAQTFERSKFVQMDTPRSTKSHSTKVVVVNEGAGDAVALLGLFRLVDYLSQDHLLGRTRAFNFVVDSGTGTTAIGLGLAALCLGLPWKVTAVMLADKIDAYREQERRLIFEFKRQFCFLLDIHKLNGADDGIVHWVDRSCPRRVAFCFPAESVMITFLLYLSHRFGNILEGDIEACQQIAQQTGILVDPVYTLAAWEMATQISTAHGDADVVMLHTGGTLGIFGLAQRYKSYFGMLNDVTKS >EOY00722 pep chromosome:Theobroma_cacao_20110822:2:34760756:34766169:-1 gene:TCM_010657 transcript:EOY00722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal-5'-phosphate-dependent enzyme family protein isoform 3 MKLHCLPTRTTFTAINKNGPAQALPKIKLSGEEFLSKLLDRRWTLLSPDTKIHQIMVSSTKGRNRGGVFSNVSFLNNNQPYLGNKMFEDNQGLSFYIVRDDLLHPFVNGNKARKLDGLLPLIEDHDVTDVVTCGGCQSAHAAAVAVSCAERGLKSHLLLRGEQPAILTGYNLISTIYGNVTYVPRSFYAHKEKMLQTHASMVAGSSGNVVYCSDIIDAFITAQTFERSKFVQMDTPRSTKSHSTKVVVVNEGAGDAVALLGLFRLVDYLSQDHLLGRTRAFNFVVDSGTGTTAIGLGLAALCLGLPWKVTAVMLADKIDAYREQERRLIFEFKRQFCFLLDIHKLNGADDGIVHWVDRSCPRRGHRGLPANCTANWYSSGSCLYLSCLGNGNTN >EOY00721 pep chromosome:Theobroma_cacao_20110822:2:34760678:34766161:-1 gene:TCM_010657 transcript:EOY00721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal-5'-phosphate-dependent enzyme family protein isoform 3 MKLHCLPTRTTFTAINKNGPAQALPKIKLSGEEFLSKLLDRRWTLLSPDTKIHQIMVSSTKGRNRGGVFSNVSFLNNNQPYLGNKMFEDNQGLSFYIVRDDLLHPFVNGNKARKLDGLLPLIEDHDVTDVVTCGGCQSAHAAAVAVSCAERGLKSHLLLRGEQPAILTGYNLISTIYGNVTYVPRSFYAHKEKMLQTHASMVAGSSGNVVYCSDIIDAFITAQTFERSKFVQMDTPRSTKSHSTKVVVVNEGAGDAVALLGLFRLVDYLSQDHLLGRTRAFNFVVDSGTGTTAIGLGLAALCLGLPWKVTAVMLADKIDAYREQERRLIFEFKRQFCFLLDIHKLNGADDGIVHWVDRSCPRRFGNILEGDIEACQQIAQQTGILVDPVYTLAAWEMATQISTAHGDADVVMLHTGGTLGIFGLAQRYKSYFGMLNDVTKS >EOX97364 pep chromosome:Theobroma_cacao_20110822:2:2092527:2093329:-1 gene:TCM_006409 transcript:EOX97364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 22, putative MRNSIKGSSVVALAMLFSMLLIQCEAVHSKPKTYMVGDEEGWDLVIDMESWTKGKNFHAGDILVFKYDSQQFDVVIVNQQGHDSCTVNPGATTFDSGDDAIPLAFGANYFIDSDHDACAAGMKMAINATAPPPSI >EOY00687 pep chromosome:Theobroma_cacao_20110822:2:34477284:34481928:-1 gene:TCM_010612 transcript:EOY00687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKIFLFNRNNYNTNTIKSFFFLVYLPPLLSLSKFAIPLFHSYCCPPSSSSPSYLSPTLEEIVAMLKRHFGDGCGQIWLLERRSGRDALGVVKKPEWTNPDLSPIGIYVKKAKAQSGMHNGQDVGNVTSFGPSRALPDNASLFEKGLAQKVSPPWQDDDKDGHYMFALGENLTSRYKIY >EOX97842 pep chromosome:Theobroma_cacao_20110822:2:3645812:3649016:-1 gene:TCM_006767 transcript:EOX97842 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAGA-motif binding transcriptional activator isoform 1 MMPQHHMKEQNNALVMNKKIMSILAERDAAIRERNIAISEKKEALAARDEALQQRDKALAERDSALMDRDNALAVLQYRENAMNFPLGGGIQRGGKRMHPTYHSTDVGETLNSEMHVTDALPVSTIACEEGKSRPVKRTKENKAVSSKSARKVKKVAEDLNRQAGTEVKKCKSEWNGQDIGLNMVNFDETTMPVPVCSCTGVPRQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARVGGRKMSGSVFTKLLSRLAAEGQDLSIPLDLKNYWARHGTNRYITIK >EOX97840 pep chromosome:Theobroma_cacao_20110822:2:3645591:3648023:-1 gene:TCM_006767 transcript:EOX97840 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAGA-motif binding transcriptional activator isoform 1 MDGAGQQESGRYKLDYYKGAHTPWNMMPQHHMKEQNNALVMNKKIMSILAERDAAIRERNIAISEKKEALAARDEALQQRDKALAERDSALMDRDNALAVLQYRENAMNFPLGGGIQRGGKRMHPTYHSTDVGETLNSEMHVTDALPVSTIACEEGKSRPVKRTKENKAVSSKSARKVKKVAEDLNRQAGTEVKKCKSEWNGQDIGLNMVNFDETTMPVPVCSCTGVPRQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARVGGRKMSGSVFTKLLSRLAAEGQDLSIPLDLKNYWARHGTNRYITIK >EOX97841 pep chromosome:Theobroma_cacao_20110822:2:3645290:3648019:-1 gene:TCM_006767 transcript:EOX97841 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAGA-motif binding transcriptional activator isoform 1 MDGAGQQESGRYKLDYYKGAHTPWNMMPQHHMKEQNNALVMNKKIMSILAERDAAIRERNIAISEKKEALAARDEALQQRDKALAERDSALMDRDNALAVLQYRENAMNFPLGGGIQRGGKRMHPTYHSTDVGETLNSEMHVTDALPVSTIACEEGKSRPVKRTKENKAVSSKSARKVKKVAEDLNRQAGTEVKKCKSEWNGQDIGLNMVNFDETTMPVPVCSCTGVPRQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARVGGRKMSGSVFTKLLSRLAAEGQDLSIPLDLKNYWARHGTNRYITIK >EOX97843 pep chromosome:Theobroma_cacao_20110822:2:3645666:3649067:-1 gene:TCM_006767 transcript:EOX97843 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAGA-motif binding transcriptional activator isoform 1 MDGAGQQESGRYKLDYYKGAHTPWNMMPQHHMKEQNNALVMNKKIMSILAERDAAIRERNIAISEKKEALAARDEALQQRDKALAERDSALMDRDNALAVLQYRENAMNFPLGGGIQRGGKRMHPTYHSTDVGETLNSEMHVTDALPVSTIACEEGKSRPVKRTKENKAVSSKSARKVKKVAEDLNRQAGTEVKKCKSEWNGQDIGLNMVNFDETTMPVPVCSCTGVPRQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARVGGRKMSGSVFTKLLSRLAAEGQDLSIPLDLKNYWARHGTNRYITIK >EOX97704 pep chromosome:Theobroma_cacao_20110822:2:3137717:3138138:1 gene:TCM_006648 transcript:EOX97704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRRLIAKVALVYIEKKKHVLLTEKSLGMKQNLGRKIRWSHLGSHTHIPIFSISVFLKRVLCVKVKESPSPYVKNHSEE >EOY01376 pep chromosome:Theobroma_cacao_20110822:2:39191323:39197872:1 gene:TCM_011285 transcript:EOY01376 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein, putative MSRNGRVEKALSSLGKGFDLTSDFRLKYCKGKERLILLNETERREIAIPGFGAVKDVPVDIKCDKGDRTRYQSDILDFNQMAEFFNQKCSLPGKIPSGQFNRMFGFQSSSWAKDAANTKFLGLDGYIIILFNLHIDRYPLLLSDEVVNAVPSAWDPCALARFIEKYGTHVIVGLSIGGRDVVLVRQDKSSNLEPSQLKKHLDDLGDQLFTGTCSFTPKTKEQKQKVPQAFNIFDSEPVAFNGYHSNISTKDGIIVINSKKGGDLEAYSHCEWLPTVPQMPDAIHFNFIPITSLLKGVPGKGFLSHAINLYLRYKPSIEDLRYFLDFQAHKIWAPIHNDLPLGPSPKRASSTPALHFNLMGPKLYVNTSQVTVGMRPVTGMRLYLEGMKCNRLAIHLQHLCNLPKTFENKMDDIQYWQASEDTADVRFFEAIHHKKFSHICTAPVKYNPKWTAGKDAVFIVTGAQLHVKKHDSKSVLHLRLLFSKVSDSFLVQSSWAQAASGFSQRSGLLSAISQSLTGNPVKEKKEVVVVDSAVYPTGPPVPVQTPKLLKFVETSQLCKGPQDSPGYWLVTGARLQLEKGKISLHVKFSLLNIC >EOY00328 pep chromosome:Theobroma_cacao_20110822:2:31337366:31338628:1 gene:TCM_010176 transcript:EOY00328 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein, putative MEMDASSLISQTCDGENGYSPAASCVEKKLRLFGFELNPSKNNDNSLKSSAEGDESVNSSSTRETPTKEKSSTGETDDKKFECQYCFKEFANSQALGGHQNAHKKERMKKKRLQLQAKRASINCYLQPFQNSLGFSYQGSTPWYYDSSCYSAPEITLYEESQISFNQYEHDAHLNGSQPSKWYALPSQMIPFQQDSSMFTLTHADRSRENRPVGLKPSALPSSKQSCKSLDLQLGLSLQSTIQSSSGSGI >EOY01189 pep chromosome:Theobroma_cacao_20110822:2:38151687:38157017:-1 gene:TCM_011138 transcript:EOY01189 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein isoform 2 MEGILSATDKQRMVSSFLEIAVGQTAETATQFLQATSWKLDEAIQLFYVGNEGGVVASSSESPALENVDSWASQNSGELNEPANDNVGSTGGDDVRPPLPVVRETLYDDGMLYGASRLGYPPQQLSSSVAFRNFDEEMKRPGVWESDEGASSTVDAPRDNLASLYRPPFHLMFQGPFEKAKAAASLEDKWLLVNLQSTKEFSSHMLNRDTWGNEAVSQTIKTNFIFWQVYDDSSEGRKVCTYYKLDLIPVVLVIDPITGQKMRFWCGMVQPESLLEDLVQFMDGGPRDYHATLSHKRPRGSSVTPQQKVKVSTDETIEDEEMLRAVAASMENVKDFCKTASGDKVLSNIDKEEPCLTKKPTYPPLPEEPKGDRSLLCRVGVRLPDGRRVQRNFLRTDSIQLLWSFCCAQLGEAESKPFRLTQPIPGASKSLDFDSKLTFEESGLANSMISVAWE >EOY01191 pep chromosome:Theobroma_cacao_20110822:2:38151007:38156745:-1 gene:TCM_011138 transcript:EOY01191 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein isoform 2 NCRDRHAVFATSWKLDEAIQLFYVGNEGGVVASSSESPALENVDSWASQNSGELNEPANDNVGSTGGDDVRPPLPVVRETLYDDGMLYGASRLGYPPQQLSSSVAFRNFDEEMKRPGVWESDEGASSTVDAPRDNLASLYRPPFHLMFQGPFEKAKAAASLEDKWLLVNLQSTKEFSSHMLNRDTWGNEAVSQTIKTNFIFWQVYDDSSEGRKVCTYYKLDLIPVVLVIDPITGQKMRFWCGMVQPESLLEDLVQFMDGGPRDYHATLSHKRPRGSSVTPQQKVKVSTDETIEDEEMLRAVAASMENVKDFCKTASGDKVLSNIDKEEPCLTKKPTYPPLPEEPKGDRSLLCRVGVRLPDGRRVQRNFLRTDSIQLLWSFCCAQLGEAESKPFRLTQPIPGASKSLDFDSKLTFEESGLANSMISVAWE >EOY01190 pep chromosome:Theobroma_cacao_20110822:2:38151647:38157106:-1 gene:TCM_011138 transcript:EOY01190 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein isoform 2 MEGILSATDKQRMVSSFLEIAVGQTAETATQFLQATSWKLDEAIQLFYVGNEGGVVASSSESPALENVDSWASQNSGELNEPANDNVGSTGGDDVRPPLPVVRETLYDDGMLYGASRLGYPPQQLSSSVAFRNFDEEMKRPGVWESDEGASSTVDAPRDNLASLYRPPFHLMFQGPFEKAKAAASLEDKWLLVNLQSTKEFSSHMLNRDTWGNEAVSQTIKTNFIFWQVYDDSSEGRKVCTYYKLDLIPVVLVIDPITGQKMRFWCGMVQPESLLEDLVQFMDGGPRDYHATLSHKRPRGSSVTPQQKVKDETIEDEEMLRAVAASMENVKDFCKTASGDKVLSNIDKEEPCLTKKPTYPPLPEEPKGDRSLLCRVGVRLPDGRRVQRNFLRTDSIQLLWSFCCAQLGEAESKPFRLTQPIPGASKSLDFDSKLTFEESGLANSMISVAWE >EOY01188 pep chromosome:Theobroma_cacao_20110822:2:38151369:38157721:-1 gene:TCM_011138 transcript:EOY01188 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein isoform 2 MKWKQSQRELTAIRKRGSCLSTRGLMEGILSATDKQRMVSSFLEIAVGQTAETATQFLQATSWKLDEAIQLFYVGNEGGVVASSSESPALENVDSWASQNSGELNEPANDNVGSTGGDDVRPPLPVVRETLYDDGMLYGASRLGYPPQQLSSSVAFRNFDEEMKRPGVWESDEGASSTVDAPRDNLASLYRPPFHLMFQGPFEKAKAAASLEDKWLLVNLQSTKEFSSHMLNRDTWGNEAVSQTIKTNFIFWQVYDDSSEGRKVCTYYKLDLIPVVLVIDPITGQKMRFWCGMVQPESLLEDLVQFMDGGPRDYHATLSHKRPRGSSVTPQQKVKVSTDETIEDEEMLRAVAASMENVKDFCKTASGDKVLSNIDKEEPCLTKKPTYPPLPEEPKGDRSLLCRVGVRLPDGRRVQRNFLRTDSIQLLWSFCCAQLGEAESKPFRLTQPIPGASKSLDFDSKLTFEESGLANSMISVAWE >EOX96849 pep chromosome:Theobroma_cacao_20110822:2:241053:245257:1 gene:TCM_006003 transcript:EOX96849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein / C-type lectin domain-containing protein MEVKLLPLQFVLLVTSLVLLLVASDTISNGSTAKSNNTSKNEACPSGWVIGPNKTKCFGYNDSFQSWDESESHCKQYHGHLAALTSFEELRFAQVLCGQIVNGCWVGGRVSNFNVSFGWKWSDNTSLWNESILGLPTINASVDLCTLVKNESATLGSERCNASHAFLCMVDIEDKCYYMHCHKEYLIILAVVSGLVLCTTFAVVVWLLAYKRSKRRRRSRKLSNPAASALVPPSWKIFTCDELRSITKNFSEGNRLLGDAKTGGTYSGLLPDGSKVAVKRLKRSNFQRKKEFYSEIGRVAKLHHPNLVAVKGCCYDHGDRYIVYEFIANGPLDRWLYHIPRGGRSLDWAMRIKIATTLAQGIAFLHDKVKPHVVHRDIRASNVLLDEEFGAHLMGVGLSKIVPWEVMHERTVMAGGTYGYLAPEFVYRNELTTKSDVYSFGVLLLEIVSGRRPAQQVDSVGWQSIFEWATPLVQAHRYPELLDPLISSSTSDVPEAGDIQKVVDLVYACTQNVPSMRPRMSHVVHQLQQFAQPPVTK >EOX97705 pep chromosome:Theobroma_cacao_20110822:2:3139131:3143122:-1 gene:TCM_006649 transcript:EOX97705 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome non-ATPase regulatory subunit 4 MVLEATMICIDNSEWMRNGDYSPSRFQAQSDAVSLICGAKTQSNPENTVGILTMAGKGVRVVATPTSDLGKILSCMHGLEMGGEMNLAAGIQIAQLALKHRQNKNQQQRIIVFAGSPVKYEKKSLEMIGKKLKKNSVALDIVDFGEDEDGKPEKLEALLAAVNNNDSSHIVHVPPGQNALSDVLISTPVFTGDGEGGSGFAAAAAAAAAVAGGVSDFDFGVDPNIDPELALALRVSMEEERARQEAAAKRAAEEATRQEKGEEAQPQSDSQNATTTATEKVTDPMDEDDALLKQALALSMNIPGSDSSAGDAEMSEATNDDKELALALQMSMQESSKDSSAQSDVSKVLGDQSFMSSILSSLPGVDPNDPNVKDLLASLPGQSESQEKKNEDEQPKDDN >EOX98635 pep chromosome:Theobroma_cacao_20110822:2:6567419:6570547:-1 gene:TCM_007349 transcript:EOX98635 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22 A, putative isoform 1 MGLLQWLPFWPYMKLTLVGWLMIPRFDGALYVYDNFVHPCLYVDMQTIINWFRKQQEFFLNDNFLAEADKYVKANGPEALEKLIPTESKDREPSTLQKEIKPVRVAEVKETAAVNLIPETEPSAAQTQVKMLAVARPEIKEATGWDLPELPSDKQVQKEWTCAMCQVTTSSEKNLNMHLQGRRHRAACEGLMKAKNQPSKGKVAPASAVKDSKKEPEKRASSSSTQASPKMQQPSNGQVSAASVGKNSDLLKNEPEKCATSNGTPTSSKAVNPKTGISNGSKPDLPKEEPKNSLPKNKAGNQQKSREKVQGQQQSGKKHAKVNNPQFRCTICNISCGRSEDLNCHLWGRKHLARIQELNRLGQSELA >EOX98634 pep chromosome:Theobroma_cacao_20110822:2:6566121:6570547:-1 gene:TCM_007349 transcript:EOX98634 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22 A, putative isoform 1 MGCLAFVKFALIRLDALAWPLFALGYPLRASIQAIEADSSSDSKKLVTYWVIFSLISLFEHAFMGLLQWLPFWPYMKLTLVGWLMIPRFDGALYVYDNFVHPCLYVDMQTIINWFRKQQEFFLNDNFLAEADKYVKANGPEALEKLIPTESRDREPSMLQKEIKPVRVTQEKETAAVNLSKDREPSTLQKEIKPVRVAEIPETEPSAAQTQVKMLAVARPEIKEATGWDLPELPSDKQVQKEWTCAMCQVTTSSEKNLNMHLQGRRHRAACEGLMKAKNQPSKGKVAPASAVKDSKKEPEKRASSSSTQASPKMQQPSNGQVSAASVGKNSDLLKNEPEKCATSNGTPTSSKAVNPKTGISNGSKPDLPKEEPKNSLPKNKAGNQQKSREKVQGQQQSGKKHAKVNNPQFRCTICNISCGRSEDLNCHLWGRKHLARIQELNRLGQSELA >EOX98633 pep chromosome:Theobroma_cacao_20110822:2:6567047:6570528:-1 gene:TCM_007349 transcript:EOX98633 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22 A, putative isoform 1 MGCLAFVKFALIRLDALAWPLFALGYPLRASIQAIEADSSSDSKKLVTYWVIFSLISLFEHAFMGLLQWLPFWPYMKLTLVGWLMIPRFDGALYVYDNFVHPCLYVDMQTIINWFRKQQEFFLNDNFLAEADKYVKANGPEALEKLIPTESRDREPSMLQKEIKPVRVTQEKETAAVNLSKDREPSTLQKEIKPVRVAEVKETAAVNLIPETEPSAAQTQVKMLAVARPEIKEATGWDLPELPSDKQVQKEWTCAMCQVTTSSEKNLNMHLQGRRHRAACEGLMKAKNQPSKGKVAPASAVKDSKKEPEKRASSSSTQASPKMQQPSNGQVSAASVGKNSDLLKNEPEKCATSNGTPTSSKAVNPKTGISNGSKPDLPKEEPKNSLPKNKAGNQQKSREKVQGQQQSGKKHAKVNNPQFRCTICNISCGRSEDLNCHLWGRKHLARIQELNRLGQSELA >EOX98951 pep chromosome:Theobroma_cacao_20110822:2:7904026:7905060:1 gene:TCM_007611 transcript:EOX98951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein MKIHKEIPHPIHPKHKLKLEYTEIPFNCDGCKEAGIGLKYSCQQCEFDLHKACAMPSPTITHPFYKKCEFQFYYKPPGEQMRICDACRNDVLGFVYHCKGCDFDLHPCCANLPQVLDDGEHNLYLCLKLSRSCHRCGGKGPGWSYRSQCKSYNLHVSCVKELLVESWQAMYLNADKNKVREMQTTIPSLKGTLKNHHGGRGGTIKKCCQMAGGAVRLIVSAILGDPTAIIAAVVAGFMSK >EOX97924 pep chromosome:Theobroma_cacao_20110822:2:3997940:4004152:-1 gene:TCM_006828 transcript:EOX97924 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein, putative isoform 1 MESPLPVLRTNYGGSKEFYSKGCKRTKISRDSKDDDSVATPGKPRHETVSPNVVDYSDPCAVSSMLETFNTGGKYGSVTKDLEALISRNMQLVSKVLALHPCLSNVLADVEKSPRKEASQLPSRQLAHLSRTNFIDLEDESAESGITSMASPVVILDSDDEDSRSRRPLHPVQEIVLRKPSGILLSKEIPVGEPNVSQVRESMGNRIYKEEKVSLTCEIGIKKDKGVYVGVEEDVDTQTEAADDGLGDIWQEMSMALEFSKDGFEDPSSSERMSEDEEDCDHSFVLKDDLGYVCRICGVIERGIETIIDIQYNKVKRSTHTYALEPRNAKNRESTETVGVNFSEDDLTVTDISAHPRHLKQMKPHQLEGFNFLLSNLVTDNPGGCILAHAPGSGKTFMIISFMQSFLAKYPHAKPLVVLPKGILATWKKEFETWQVEDMPLLDFYTVKADTRLQQLDVLKKWVECKSILFLGYKQFSTIICDGGFSQTSISCQEILLRAPSILILDEGHTPRNENTDVLQSLAKVQTARKVVLSGTLYQNHVKEVFNILNLVRPKFLRLDTSKSVIKKIMSKVHISGVRKQLKAGADAAFYDLVEHTLQKDENFERKVSVIHDLREMTSKVLHYYKGDFLDELPGLVDFTVVLGLSPRQKDEVQKLKRFQRKFKISSVGSAVYLHPKLNSFSENSVMTDDKMDDLLDKLDVKEGVKAKFFLNMINLCESAGEKLLVFSQYLIPLKFLERLCVKMKGWHPGIEIFSISGESSSDHRELSMERFNNSPDAKVFFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAVGRAFRPGQKKKVYAYRLIAGESPEEEDHSTCFKKELIAKMWFEWNKYCGNRDFEMETVDVNECNDLFLESPLLREDIKILYKR >EOX97927 pep chromosome:Theobroma_cacao_20110822:2:3997912:4004261:-1 gene:TCM_006828 transcript:EOX97927 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein, putative isoform 1 MESPLPVLRTNYGGSKEFYSKGCKRTKISRDSKDDDSVATPGKPRHETVSPNVVDYSDPCAVSSMLETFNTGGKYGSVTKDLEALISRNMQLVSKVLALHPCLSNVLADVEKSPRKEASQLPSRQLAHLSRTNFIDLEDESAESGITSMASPVVILDSDDEDSRSRRPLHPVQEIVLRKPSGILLSKEIPVGEPNVSQVRESMGNRIYKEEKVSLTCEIGIKKDKGVYVGVEEDVDTQTEAADDGLGDIWQEMSMALEFSKDGFEDPSSSERMSEDEEDCDHSFVLKDDLGYVCRICGVIERGIETIIDIQYNKVKRSTHTYALEPRNAKNRESTETVGVNFSEDDLTVTDISAHPRHLKQMKPHQLEGFNFLLSNLVTDNPGGCILAHAPGSGKTFMIISFMQSFLAKYPHAKPLVVLPKGILATWKKEFETWQVEDMPLLDFYTVKADTRLQQLDVLKKWVECKSILFLGYKQFSTIICDGGFSQTSISCQEILLRAPSILILDEGHTPRNENTDVLQSLAKVQTARKVVLSGTLYQNHVKEVFNILNLVRPKFLRLDTSKSVIKKIMSKVHISGVRKQLKAGADAAFYDLVEHTLQKDENFERKVSVIHDLREMTSKVLHYYKGDFLDELPGLVDFTVVLGLSPRQKDEVQKLKRFQRKFKISSVGSAVYLHPKLNSFSENSVMTDDKMDDLLDKLDVKEGVKAKFFLNMINLCESAGEKLLVFSQYLIPLKFLERLCVKMKGWHPGIEIFSISGESSSDHRELSMERFNNSPDAKVFFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAVGRAFRPGQKKKVYAYRLIAGESPEEEDHSTCFKKELIAKMWFEWNKYCGNRDFEMETVDVNECNDLFLESPLLREDIKILYKR >EOX97925 pep chromosome:Theobroma_cacao_20110822:2:3997940:4004150:-1 gene:TCM_006828 transcript:EOX97925 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein, putative isoform 1 MESPLPVLRTNYGGSKEFYSKGCKRTKISRDSKDDDSVATPGKPRHETVSPNVVDYSDPCAVSSMLETFNTGGKYGSVTKDLEALISRNMQLVSKVLALHPCLSNVLADVEKSPRKEASQLPSRQLAHLSRTNFIDLEDESAESGITSMASPVVILDSDDEDSRSRRPLHPVQEIVLRKPSGILLSKEIPVGEPNVSQVRESMGNRIYKEEKVSLTCEIGIKKDKGVYVGVEEDVDTQTEAADDGLGDIWQEMSMALEFSKDGFEDPSSSERMSEDEEDCDHSFVLKDDLGYVCRICGVIERGIETIIDIQYNKVKRSTHTYALEPRNAKNRESTETVGVNFSEDDLTVTDISAHPRHLKQMKPHQLEGFNFLLSNLVTDNPGGCILAHAPGSGKTFMIISFMQSFLAKYPHAKPLVVLPKGILATWKKEFETWQVEDMPLLDFYTVKADTRLQQLDVLKKWVECKSILFLGYKQFSTIICDGGFSQTSISCQEILLRAPSILILDEGHTPRNENTDVLQSLAKVQTARKVVLSGTLYQNHVKEVFNILNLVRPKFLRLDTSKSVIKKIMSKVHISGVRKQLKAGADAAFYDLVEHTLQKDENFERKVSVIHDLREMTSKVLHYYKGDFLDELPGLVDFTVVLGLSPRQKDEVQKLKRFQRKFKISSVGSAVYLHPKLNSFSENSVMTDDKMDDLLDKLDVKEGVKAKFFLNMINLCESAGEKLLVFSQYLIPLKFLERLCVKMKGWHPGIEIFSISGESSSDHRELSMERFNNSPDAKVFFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAVGRAFRPGQKKKVYAYRLIAGESPEEEDHSTCFKKELIAKMWFEWNKYCGNRDFEMETVDVNECNDLFLESPLLREDIKILYKR >EOX97926 pep chromosome:Theobroma_cacao_20110822:2:3997912:4004307:-1 gene:TCM_006828 transcript:EOX97926 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein, putative isoform 1 MESPLPVLRTNYGGSKGCKRTKISRDSKDDDSVATPGKPRHETVSPNVVDYSDPCAVSSMLETFNTGGKYGSVTKDLEALISRNMQLVSKVLALHPCLSNVLADVEKSPRKEASQLPSRQLAHLSRTNFIDLEDESAESGITSMASPVVILDSDDEDSRSRRPLHPVQEIVLRKPSGILLSKEIPVGEPNVSQVRESMGNRIYKEEKVSLTCEIGIKKDKGVYVGVEEDVDTQTEAADDGLGDIWQEMSMALEFSKDGFEDPSSSERMSEDEEDCDHSFVLKDDLGYVCRICGVIERGIETIIDIQYNKVKRSTHTYALEPRNAKNRESTETVGVNFSEDDLTVTDISAHPRHLKQMKPHQLEGFNFLLSNLVTDNPGGCILAHAPGSGKTFMIISFMQSFLAKYPHAKPLVVLPKGILATWKKEFETWQVEDMPLLDFYTVKADTRLQQLDVLKKWVECKSILFLGYKQFSTIICDGGFSQTSISCQEILLRAPSILILDEGHTPRNENTDVLQSLAKVQTARKVVLSGTLYQNHVKEVFNILNLVRPKFLRLDTSKSVIKKIMSKVHISGVRKQLKAGADAAFYDLVEHTLQKDENFERKVSVIHDLREMTSKVLHYYKGDFLDELPGLVDFTVVLGLSPRQKDEVQKLKRFQRKFKISSVGSAVYLHPKLNSFSENSVMTDDKMDDLLDKLDVKEGVKAKFFLNMINLCESAGEKLLVFSQYLIPLKFLERLCVKMKGWHPGIEIFSISGESSSDHRELSMERFNNSPDAKVFFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAVGRAFRPGQKKKVYAYRLIAGESPEEEDHSTCFKKELIAKMWFEWNKYCGNRDFEMETVDVNECNDLFLESPLLREDIKILYKR >EOX97254 pep chromosome:Theobroma_cacao_20110822:2:1729729:1732901:-1 gene:TCM_006333 transcript:EOX97254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic pathogenesis-related protein 1 MFLVNLRLHVYAFCFPFEEDLRLLVVLNVLFPWGIKTPKSVTLIDFVSAVWNSDRWAPYGASQALGWVQWQSGSESKIEKDTPEDFLDAHNTARAAVGVGPMTWNDTVAAYAQDYANERIGDYNLEHSTGPYGENLAISSGDLSGTQAVRLWVDEKVYYDYDTNTRATDRVCGHYTQVVWRNSVRLGCAKVRCSNGGTFIGCNYDPPGNFGGEKPY >EOX97440 pep chromosome:Theobroma_cacao_20110822:2:2271919:2288169:1 gene:TCM_006451 transcript:EOX97440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hedgehog receptor, putative isoform 5 MKFPPCFLAAVSLLQVILFTSVLCAEKTDSGGLSVSYLAAGERHSKEYCAMYDICGQRSDGKVLNCPYGTPSVKPDELFSAKIQSLCPSISGNVCCTEDQFDTLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSEVNGNLTVDGIDFYISDAFGEGLYDSCKEVKFGTMNTRAIEFIGAGATNFKEWFEFIGQKAPPGFPGSPYAIDFKSSVPDLSGMELMNVSAYSCGDTSLGCSCGDCPSSPQCSNSEPPSPPKKDQCSIDIGPVKVKCIEFALAIAYIVLVFGLLGWALFHRPRERRDVSDREPLLKSMDEGEVNSAEMQHDDNLALKGREVALQLTNGAQLSVIQGYMSRFYRSYGAWVTRNPTLVLCSSLAVVIVLCFGLIRFEVETRPEKLWVGHGSKAAEEKQFFDSHLAPFYRIEQLILATLPDKTHGKLPSIVTEDNIQLLFEIQEKVDRIRANYSGSTVSLTDICLNPLGQACATQSVLQYFKMDRENYDYYGGVTHAEYCFQHYTSSDSCLSAFEAPLDPSTALGGFSGNNYSEASAFVVTYPVNNAIDEAGNGNGKAVAWEKAFIQLVKEELLPMVQSRNLTLSFSSESSIEEELKRESTADIVTIVVSYLVMFVYISVTLGDAPHLSTFYISSKVLLGLSGVILVMLSVLGSVGVFSAFGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEERISNALVEVGPSITLASLSEILAFAVGGFIPMPACRVFSLFAALAVLLDFLLQVTAFVSLIVFDCLRAEDNRVDCFPCIKVPSSAAEAGEGMNSRRPGLLARYMREIHAPLLGLWGVKVVVIAVFVAFALASIALSTRIESGLEQQIVLPRDSYLQGYFTDISEFLRIGPPLYFVVKDYNYSLESRHTNKLCSINQCDSNSLLNEISRASLVPESSYIAKPAASWLDDFLVWLSPEAFGCCRKFSNGTYCPPDDQPPCCSPDGGSCGLGGVCKDCTTCFRHSDLINDRPSTEQFREKLPWFLNALPSADCAKGGHGAYTSSVDLNGYESGVIQASEFRTYHTPLNRQGDYVNALRAAREFSSRISDSLKVLFLLSVWLSHPVCGFLPSLYWYW >EOX97437 pep chromosome:Theobroma_cacao_20110822:2:2271174:2288170:1 gene:TCM_006451 transcript:EOX97437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hedgehog receptor, putative isoform 5 MAASNLAIVPRRHCKIYATFCQSFSLTNRSPTPHPLHFSRSRRLKMKFPPCFLAAVSLLQVILFTSVLCAEKTDSGGLSVSYLAAGERHSKEYCAMYDICGQRSDGKVLNCPYGTPSVKPDELFSAKIQSLCPSISGNVCCTEDQFDTLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSEVNGNLTVDGIDFYISDAFGEGLYDSCKEVKFGTMNTRAIEFIGAGATNFKEWFEFIGQKAPPGFPGSPYAIDFKSSVPDLSGMELMNVSAYSCGDTSLGCSCGDCPSSPQCSNSEPPSPPKKDQCSIDIGPVKVKCIEFALAIAYIVLVFGLLGWALFHRPRERRDVSDREPLLKSMDEGEVNSAEMQHDDNLALKGREVALQLTNGAQLSVIQGYMSRFYRSYGAWVTRNPTLVLCSSLAVVIVLCFGLIRFEVETRPEKLWVGHGSKAAEEKQFFDSHLAPFYRIEQLILATLPDKTHGKLPSIVTEDNIQLLFEIQEKVDRIRANYSGSTVSLTDICLNPLGQACATQSVLQYFKMDRENYDYYGGVTHAEYCFQHYTSSDSCLSAFEAPLDPSTALGGFSGNNYSEASAFVVTYPVNNAIDEAGNGNGKAVAWEKAFIQLVKEELLPMVQSRNLTLSFSSESSIEEELKRESTADIVTIVVSYLVMFVYISVTLGDAPHLSTFYISSKVLLGLSGVILVMLSVLGSVGVFSAFGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEERISNALVEVGPSITLASLSEILAFAVGGFIPMPACRVFSLFAALAVLLDFLLQVTAFVSLIVFDCLRAEDNRVDCFPCIKVPSSAAEAGEGMNSRRPGLLARYMREIHAPLLGLWGVKVVVIAVFVAFALASIALSTRIESGLEQQIVLPRDSYLQGYFTDISEFLRIGPPLYFVVKDYNYSLESRHTNKLCSINQCDSNSLLNEISRASLVPESSYIAKPAASWLDDFLVWLSPEAFGCCRKFSNGTYCPPDDQPPCCSPDGGSCGLGGVCKDCTTCFRHSDLINDRPSTEQFREKLPWFLNALPSADCAKGGHGAYTSSVDLNGYESGVIQASEFRTYHTPLNRQGDYVNALRAAREFSSRISDSLKIDIFPYSVFYIFFEQYLDIWQIALMNIAIALGAIFIVCLVITSSLWISAIIVLVLVMIVVDLLGLMAILDIQLNAVSVVNLVMSIGIAVEFCVHIANAFLVSNGDRDQRMKQALSTIGASVFSGITLTKFVGVIVLFFSRSELFVVYYFQMYLALVVVGFLHGLVFLPVVLSMFGPPARNIT >EOX97438 pep chromosome:Theobroma_cacao_20110822:2:2271919:2288169:1 gene:TCM_006451 transcript:EOX97438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hedgehog receptor, putative isoform 5 MKFPPCFLAAVSLLQVILFTSVLCAEKTDSGGLSVSYLAAGERHSKEYCAMYDICGQRSDGKVLNCPYGTPSVKPDELFSAKIQSLCPSISGNVCCTEDQFDTLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSEVNGNLTVDGIDFYISDAFGEGLYDSCKEVKFGTMNTRAIEFIGAGATNFKEWFEFIGQKAPPGFPGSPYAIDFKSSVPDLSGMELMNVSAYSCGDTSLGCSCGDCPSSPQCSNSEPPSPPKKDQCSIDIGPVKVKCIEFALAIAYIVLVFGLLGWALFHRPRERRDVSDREPLLKSMDEGEVNSAEMQHDDNLALKGREVALQLTNGAQLSVIQGYMSRFYRSYGAWVTRNPTLVLCSSLAVVIVLCFGLIRFEVETRPEKLWVGHGSKAAEEKQFFDSHLAPFYRIEQLILATLPDKTHGKLPSIVTEDNIQLLFEIQEKVDRIRANYSGSTVSLTDICLNPLGQACATQSVLQYFKMDRENYDYYGGVTHAEYCFQHYTSSDSCLSAFEAPLDPSTALGGFSGNNYSEASAFVVTYPVNNAIDEAGNGNGKAVAWEKAFIQLVKEELLPMVQSRNLTLSFSSESSIEEELKRESTADIVTIVVSYLVMFVYISVTLGDAPHLSTFYISSKVLLGLSGVILVMLSVLGSVGVFSAFGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEERISNALVEVGPSITLASLSEILAFAVGGFIPMPACRVFSLFAALAVLLDFLLQVTAFVSLIVFDCLRAEDNRVDCFPCIKVPSSAAEAGEGMNSRRPGLLARYMREIHAPLLGLWGVKVVVIAVFVAFALASIALSTRIESGLEQQIVLPRDSYLQGYFTDISEFLRIGPPLYFVVKDYNYSLESRHTNKLCSINQCDSNSLLNEISRASLVPESSYIAKPAASWLDDFLVWLSPEAFGCCRKFSNGTYCPPDDQPPCCSPDGGSCGLGGVCKDCTTCFRHSDLINDRPSTEQFREKLPWFLNALPSADCAKGGHGAYTSSVDLNGYESGVIQASEFRTYHTPLNRQGDYVNALRAAREFSSRISDSLKIDIFPYSVFYIFFEQYLDIWQIALMNIAIALGAIFIVCLVITSSLWISAIIVLVLVMIVVDLLGLMAILDIQLNAVSVVNLVMSIGIAVEFCVHIANAFLVSNGDRDQRMKQALSTIGASVFSGITLTKFVGVIVLFFSRSELFVVYYFQMYLALVVVGFLHGLVFLPVVVLSMFGPPARNIT >EOX97441 pep chromosome:Theobroma_cacao_20110822:2:2273190:2288170:1 gene:TCM_006451 transcript:EOX97441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hedgehog receptor, putative isoform 5 MNTRAIEFIGAGATNFKEWFEFIGQKAPPGFPGSPYAIDFKSSVPDLSGMELMNVSAYSCGDTSLGCSCGDCPSSPQCSNSEPPSPPKKDQCSIDIGPVKVKCIEFALAIAYIVLVFGLLGWALFHRPRERRDVSDREPLLKSMDEGEVNSAEMQHDDNLALKGREVALQLTNGAQLSVIQGYMSRFYRSYGAWVTRNPTLVLCSSLAVVIVLCFGLIRFEVETRPEKLWVGHGSKAAEEKQFFDSHLAPFYRIEQLILATLPDKTHGKLPSIVTEDNIQLLFEIQEKVDRIRANYSGSTVSLTDICLNPLGQACATQSVLQYFKMDRENYDYYGGVTHAEYCFQHYTSSDSCLSAFEAPLDPSTALGGFSGNNYSEASAFVVTYPVNNAIDEAGNGNGKAVAWEKAFIQLVKEELLPMVQSRNLTLSFSSESSIEEELKRESTADIVTIVVSYLVMFVYISVTLGDAPHLSTFYISSKVLLGLSGVILVMLSVLGSVGVFSAFGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEERISNALVEVGPSITLASLSEILAFAVGGFIPMPACRVFSLFAALAVLLDFLLQVTAFVSLIVFDCLRAEDNRVDCFPCIKVPSSAAEAGEGMNSRRPGLLARYMREIHAPLLGLWGVKVVVIAVFVAFALASIALSTRIESGLEQQIVLPRDSYLQGYFTDISEFLRIGPPLYFVVKDYNYSLESRHTNKLCSINQCDSNSLLNEISRASLVPESSYIAKPAASWLDDFLVWLSPEAFGCCRKFSNGTYCPPDDQPPCCSPDGGSCGLGGVCKDCTTCFRHSDLINDRPSTEQFREKLPWFLNALPSADCAKGGHGAYTSSVDLNGYESGVIQASEFRTYHTPLNRQGDYVNALRAAREFSSRISDSLKIDIFPYSVFYIFFEQYLDIWQIALMNIAIALGAIFIVCLVITSSLWISAIIVLVLVMIVVDLLGLMAILDIQLNAVSVVNLVMSIGIAVEFCVHIANAFLVSNGDRDQRMKQALSTIGASVFSGITLTKFVGVIVLFFSRSELFVVYYFQMYLALVVVGFLHGLVFLPVVLSMFGPPARNIT >EOX97439 pep chromosome:Theobroma_cacao_20110822:2:2271174:2288170:1 gene:TCM_006451 transcript:EOX97439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hedgehog receptor, putative isoform 5 MAASNLAIVPRRHCKIYATFCQSFSLTNRSPTPHPLHFSRSRRLKMKFPPCFLAAVSLLQVILFTSVLCAEKTDSGGLSVSYLAAGERHSKEYCAMYDICGQRSDGKVLNCPYGTPSVKPDELFSAKIQSLCPSISGNVCCTEDQFDTLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVSEVNGNLTVDGIDFYISDAFGEGLYDSCKEVKFGTMNTRAIEFIGAGATNFKEWFEFIGQKAPPGFPGSPYAIDFKSSVPDLSGMELMNVSAYSCGDTSLGCSCGDCPSSPQCSNSEPPSPPKKDQCSIDIGPVKVKCIEFALAIAYIVLVFGLLGWALFHRPRERRDVSDREPLLKSMDEGEVNSAEMQHDDNLALKGREVALQLTNGAQLSVIQGYMSRFYRSYGAWVTRNPTLVLCSSLAVVIVLCFGLIRFEVETRPEKLWVGHGSKAAEEKQFFDSHLAPFYRIEQLILATLPDKTHGKLPSIVTEDNIQLLFEIQEKVDRIRANYSGSTVSLTDICLNPLGQACATQSVLQYFKMDRENYDYYGGVTHAEYCFQHYTSSDSCLSAFEAPLDPSTALGGFSGNNYSEASAFVVTYPVNNAIDEAGNGNGKAVAWEKAFIQLVKEELLPMVQSRNLTLSFSSESSIEEELKRESTADIVTIVVSYLVMFVYISVTLGDAPHLSTFYISSKVLLGLSGVILVMLSVLGSVGVFSAFGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEERISNALVEVGPSITLASLSEILAFAVGGFIPMPACRVFSLFAALAVLLDFLLQVTAFVSLIVFDCLRAEDNRVDCFPCIKVPSSAAEAGEGMNSRRPGLLARYMREIHAPLLGLWGVKVVVIAVFVAFALASIALSTRIESGLEQQIVLPRDSYLQGYFTDISEFLRIGPPLYFVVKDYNYSLESRHTNKLCSINQCDSNSLLNEISRASLVPESSYIAKPAASWLDDFLVWLSPEAFGCCRKFSNGTYCPPDDQPPCCSPDGGSCGLGGVCKDCTTCFRHSDLINDRPSTEQFREKLPWFLNALPSADCAKGGHGAYTSSVDLNGYESGVIQASEFRTYHTPLNRQGDYVNALRAAREFSSRISDSLKIDIFPYSVFYIFFEQYLDIWQIALMNIAIALGAIFIVCLVITSSLWISAIIVLVLVMIVVDLLVSNGDRDQRMKQALSTIGASVFSGITLTKFVGVIVLFFSRSELFVVYYFQMYLALVVVGFLHGLVFLPVVLSMFGPPARNIT >EOY00034 pep chromosome:Theobroma_cacao_20110822:2:23551346:23552601:-1 gene:TCM_009403 transcript:EOY00034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGQLRSPINCGSIECSLFMEGIIAQVRPVGGLFVLVTFGNRQDVEVYLDRCMDLFEPWFVSLTPYCVVQDERSCKVWVKLEEIPLHMWHEDCFKAIKDTWGSFIKVDKDIKEKWRLDQALICGEVKSLRNITTYTHLMVNGRDYFVRSSIMEVEKTEPRVRPSSFYEAMTESVSKSHWSKEDQNREWEEAGEGDVIEDNFRKSTSWRGESGMLQSPIPRFDNYGYIGERWSDNEGSEVEKMSIFERD >EOY02178 pep chromosome:Theobroma_cacao_20110822:2:42211303:42212594:1 gene:TCM_011888 transcript:EOY02178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane lipoprotein, putative MAPPLSSSLSFQSKRISCTRQLSNIIYVSFLIMLLAGSSFATRPGATMIIDDKVSMATLRRRHETSFQYQGQMFNFFPKGTPIPPSGPSKRHNSVVDSTKN >EOX99188 pep chromosome:Theobroma_cacao_20110822:2:8919192:8931824:1 gene:TCM_007786 transcript:EOX99188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKDTCWRESEDGNPTSKLSDEMFGVFLSLVSHLLYSLVLALVSFNTWPFCKMTINIRWVGAFNNFLFFVALCLFSVPREFSFFCLFHDNFCFLFACFNNFLLIFFLIYGIYLCNEPANAFQDCFNKERLLFEKGGGGGVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVMVIIRSWFSNILGIGKLGLLQFCFSSFYWKVEAHTNEISVL >EOX97231 pep chromosome:Theobroma_cacao_20110822:2:1675601:1677930:-1 gene:TCM_006317 transcript:EOX97231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MGQTKGRAEAKKSQTSNTEVQNSLKQEILHLQERLLDQFVVRRALEKALSHRPFTHDVAVENLIPKAAMEVIKEIAVLELEVAYLEKYLLSLYRKNFDKRFSSLTTVGEVLRRTSVAHKEMFPEVQAHYIMSDKENLATQSSDLETSRNSIGNPPKECSDIWGAEKLLDSSIHRSHSSLSQRSAFSVTSPQKTVAKAVDLYHSLPLSMLEQAQIGTSDGFSLAEHLGSSISHHVPETPNWLSEEMIKTISAIYCELADPPLINHGYLSSPVSNSSSQGQGDMWSPQCGKFSSFNSHFDSPFGIGESKEFSGPYCSMVKVQWICRDSKKLQDIEHKLQYYRSLVCRLEEVDVRRMKHEEKLAFWINVHNALQMQPAMFLQAFLVYGIPKN >EOX97228 pep chromosome:Theobroma_cacao_20110822:2:1674425:1677853:-1 gene:TCM_006317 transcript:EOX97228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MGQTKGRAEAKKSQTSNTEVQNSLKQEILHLQERLLDQFVVRRALEKALSHRPFTHDVAVENLIPKAAMEVIKEIAVLELEVAYLEKYLLSLYRKNFDKRFSSLTTVGEVLRRTSVAHKEMFPEVQAHYIMSDKENLATQSSDLETSRNSIGNPPKECSDIWGAEKLLDSSIHRSHSSLSQRSAFSVTSPQKTVAKAVDLYHSLPLSMLEQAQIGTSDGFSLAEHLGSSISHHVPETPNWLSEEMIKTISAIYCELADPPLINHGYLSSPVSNSSSQGQGDMWSPQCGKFSSFNSHFDSPFGIGESKEFSGPYCSMVKVQWICRDSKKLQDIEHKLQYYRSLVCRLEEVDVRRMKHEEKLAFWINVHNALVMHAFLVYGIPKNNLKRLSLLLKAAYNVGGQTISIDTIQSSILGCRLPRPGQWLRFLFPSKTKFKVVDARRAYAIESPEPLLHFALCSGSYSDPAVRIYTPKKVFQELEVAKEEYIQSNLSVNKEQKILLPKVMEYFARDSDVCSAGLLQMVEQFMPDSLRKNLQQSCNRKNGKSIEWISHNFAFRYLFSKEISVVI >EOX97230 pep chromosome:Theobroma_cacao_20110822:2:1674425:1677946:-1 gene:TCM_006317 transcript:EOX97230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MPKVSWRGSYLKPFEFLEARHKRSNSDPLQKKVKKNKLNTILEACNHPKEMGQTKGRAEAKKSQTSNTEVQNSLKQEILHLQERLLDQFVVRRALEKALSHRPFTHDVAVENLIPKAAMEVIKEIAVLELEVAYLEKYLLSLYRKNFDKRFSSLTTVGEVLRRTSVAHKEMFPEVQAHYIMSDKENLATQSSDLETSRNSIGNPPKECSDIWGAEKLLDSSIHRSHSSLSQRSAFSVTSPQKTVAKAVDLYHSLPLSMLEQAQIGTSDGFSLAEHLGSSISHHVPETPNWLSEEMIKTISAIYCELADPPLINHGYLSSPVSNSSSQGQGDMWSPQCGKFSSFNSHFDSPFGIGESKEFSGPYCSMVKVQWICRDSKKLQDIEHKLQYYRSLVCRLEEVDVRRMKHEEKLAFWINVHNALQMQPAMFLQAFLVYGIPKNNLKRLSLLLKAAYNVGGQTISIDTIQSSILGCRLPRPGQWLRFLFPSKTKFKVVDARRAYAIESPEPLLHFALCSGSYSDPAVRIYTPKKVFQELEVAKEEYIQSNLSVNKEQKILLPKVMEYFARDSDVCSAGLLQMVEQFMPDSLRKNLQQSCNRKNGKSIEWISHNFAFRYLFSKEISVVI >EOX97227 pep chromosome:Theobroma_cacao_20110822:2:1674326:1682371:-1 gene:TCM_006317 transcript:EOX97227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MGQTKGRAEAKKSQTSNTEVQNSLKQEILHLQERLLDQFVVRRALEKALSHRPFTHDVAVENLIPKAAMEVIKEIAVLELEVAYLEKYLLSLYRKNFDKRFSSLTTVGEVLRRTSVAHKEMFPEVQAHYIMSDKENLATQSSDLETSRNSIGNPPKECSDIWGAEKLLDSSIHRSHSSLSQRSAFSVTSPQKTVAKAVDLYHSLPLSMLEQAQIGTSDGFSLAEHLGSSISHHVPETPNWLSEEMIKTISAIYCELADPPLINHGYLSSPVSNSSSQGQGDMWSPQCGKFSSFNSHFDSPFGIGESKEFSGPYCSMVKVQWICRDSKKLQDIEHKLQYYRSLVCRLEEVDVRRMKHEEKLAFWINVHNALVMHAFLVYGIPKNNLKRLSLLLKAAYNVGGQTISIDTIQSSILGCRLPRPGQWLRFLFPSKTKFKVVDARRAYAIESPEPLLHFALCSGSYSDPAVRIYTPKKVFQELEVAKEEYIQSNLSVNKEQKILLPKVMEYFARDSDVCSAGLLQMVEQFMPDSLRKNLQQSCNRKNGKSIEWISHNFAFRYLFSKEISVVI >EOX97229 pep chromosome:Theobroma_cacao_20110822:2:1674425:1677946:-1 gene:TCM_006317 transcript:EOX97229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MPKVSWRGSYLKPFEFLEARHKRSNSDPLQKKVKKNKLNTILEACNHPKEMGQTKGRAEAKKSQTSNTEVQNSLKQEILHLQERLLDQFVVRRALEKALSHRPFTHDVAVENLIPKAAMEVIKEIAVLELEVAYLEKYLLSLYRKNFDKRFSSLTTVGEVLRRTSVAHKEMFPEVQAHYIMSDKENLATQSSDLETSRNSIGNPPKECSDIWGAEKLLDSSIHRSHSSLSQRSAFSVTSPQKTVAKAVDLYHSLPLSMLEQAQIGTSDGFSLAEHLGSSISHHVPETPNWLSEEMIKTISAIYCELADPPLINHGYLSSPVSNSSSQGQGDMWSPQCGKFSSFNSHFDSPFGIGESKEFSGPYCSMVKVQWICRDSKKLQDIEHKLQYYRSLVCRLEEVDVRRMKHEEKLAFWINVHNALVMHAFLVYGIPKNNLKRLSLLLKAAYNVGGQTISIDTIQSSILGCRLPRPGQWLRFLFPSKTKFKVVDARRAYAIESPEPLLHFALCSGSYSDPAVRIYTPKKVFQELEVAKEEYIQSNLSVNKEQKILLPKVMEYFARDSDVCSAGLLQMVEQFMPDSLRKNLQQSCNRKNGKSIEWISHNFAFRYLFSKEISVVI >EOX98113 pep chromosome:Theobroma_cacao_20110822:2:4599970:4604870:1 gene:TCM_006946 transcript:EOX98113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein isoform 1 MPNSKGSTNIRNLMFAGKHALLPPKSPFPTVSPTYTDYVPNNVIGSKAVQKPREGSTHHQRTSSESLLIDEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYIDVANAPNLDYAVQDEYRYKNMISAPSWASQDFDYHGHKDARLASFYTDSNLVKQKNRAWDSSLNTVTHPSGLPLRENTILQGLGSSCAPQEVEGAPSTASEKQDSAESSPLDAKASSERKDNSHAKSSASDSDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLILSMENKALKQRLESLAQEQVIKYLEQEVLEREIGRLRLLYQQQQNQQQQQPSSSHRRSSSRDLDSQFANLSLKHKDASSGHDPVTGSLRI >EOX98112 pep chromosome:Theobroma_cacao_20110822:2:4599970:4604874:1 gene:TCM_006946 transcript:EOX98112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein isoform 1 MPNSKGSTNIRNLMFAGKHALLPPKSPFPTVSPTYTDYVPNNVIGSKAVQKPREGSTHHQRTSSESLLIDEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYIDVANAPNLDYAVQDEYRYKNMISAPSWASQDFDYHGHKDARLASFYTDSNLVKQKNRAWDSSLNTVTHPSGLPLRENTILQGLGSSCAPQEVEGAPSTASEKQDSAESSPLDAKASSERKDNSHAKSSASDSDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLILSMENKALKQRLESLAQEQVIKYLEQEVLEREIGRLRLLYQQQQNQQQQQPSSSHRRSSSRDLDSQFANLSLKHKDASSGHDPVTGSLRI >EOX99481 pep chromosome:Theobroma_cacao_20110822:2:11506363:11509617:1 gene:TCM_008158 transcript:EOX99481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative MSTACAEQHKFQPSHPQLPFKDIEIPPRKLLLSHNHFQENATMQIPFEASLQQYLPSNRNNAEDEGNDADRYATDHFRMYEFKVRRCTRSRSHDWTDCPFAHPNEKARRRDPRRFRYSSTVCSDFRRGGGCPRGDDCDFAHGVFECWLHPTRYRTEACKDGKNCKRKICFFAHSSRELRLLPDGSPKYKNSGSCSSSPMNKNDHCCLFCHSVTSSPTSTLLGLSHLSRSPSLSPPLSPVKQRASLNGFSPVSRYGDRLSKFGTEMMSYKDAFNELMSSLETMNFCEVSSPVAGVNNLNANISWLDASFNGEDQQQFVLSPSRPSPSESGEYFGATKEIGGYENLNENGVATDPDPDLGWVNELLM >EOX97052 pep chromosome:Theobroma_cacao_20110822:2:1051360:1056165:1 gene:TCM_006166 transcript:EOX97052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein, putative MVPLIVLTLVSLLSTSCSASLVTDIHVLVTLKEGFEFPEPLLSSWNSSNPSLVCSWAGIGCSRGRVVSLDLTDMNMCGSVSPQILRLDRLANLSLAGNNFTGSIEIANLSDLRFLNISNNQFNGHLDWNYASIANLEVFDAYDNNFTALLPVGILGLKKLRYLDLGGNYFYGKIPPSYGTLGGLEYLSLAGNDLNGKIPGELGNLINLREIYLGYFNVFEGGIPVEFGNLANLVHMDLSSCELDGAIPQELGNLKLLDTLYLHINLLSGPIPKQLGNLTNLVYLDLSHNALTGEIPPEFVNLKQLRLFNLFMNRLHGSIPDYLADLPNLEILGLWMNNFTGVIPENLGRNGKLQSLDLSSNKLTGTIPRDLCASNQLDKLVLMKNFLFGTIPEDLGRCYSLTRVRLGQNYLNGSIPNGFIYLPELSLAELQNNYLSGTLAENGNSSSKPVKLGQLNLSNNLLSGPLPYSLSNFSSLEILLLSGNQFSGPIPPSIGELRQVLKLDLSRNSLSGIIPPEIGNCFHLTYIDISQNNLSGSIPPEISNVRILNYLNVSRNHLNQAIPRTIWSMKSLTVADFSFNDFSGKLPEPGQFAVFNASSFAGNPQLCGSLLNNPCNFTEITSTPRKAPGDFKLIFALGLLICSLIFATAAIIKAKSFKKNGSDSWKMTAFQKLEYTVSDILECVKDGNVIGRGGAGIVYHGKMPNGMEIAVKKLLGLGTSSHDHGFRAEIQTLGNIRHRNIVRLLAFCSNKETNLLVYEYMRNGSLGEALHGKKGAFLVWNLRYKIAIEAAKGLCYLHHDCSPLIVHRDVKSNNILLNSSFEAHVADFGLAKFLVDGGASECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVILELITGRRPVGDFGEGVDIVHWAKRVTNCRREEVMRIVDPRLTTVPKDEAMHLLFIAMLCVQENSIERPTMREVVQMLSEFPRHSPEYQSSSSSLFHYQQKNLANETSYPKK >EOY00079 pep chromosome:Theobroma_cacao_20110822:2:25193870:25194682:1 gene:TCM_009543 transcript:EOY00079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATLQKFKLFATQCGVTQSPTRSPRTSPLVNFRRPKTTLRMLLTRTSSRKSSTCREMSYPPSFVGNLPEKKKGDKDLSGRTLKDLFVSSPTLEEEEDDDEGNVVKEKYGGKSEVVLASKLSGLNALGGEPGSPRAGWIGFRHRMLLRRGWRPMLVTIPE >EOX98039 pep chromosome:Theobroma_cacao_20110822:2:4341518:4346282:1 gene:TCM_006898 transcript:EOX98039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclase associated protein 1, 1,CAP1 MEGKLIERLEAAVARLEALSAGGVSARDLPDVGVEVATDPSIVAFDDLMANYAARVSAAAEKIGGQVLDVTKILVEALSVQKKLLIEIKHAQKPDMAGLAEFLKPLNEAIMKANAMTEGRRSDFFNHLKSAGDSLSALAWIAYTGKDCGMSMPIAHVEESWQMAEFYNNKVLVEYRNKDPNHVEWAKALKELYLPGLRDYVKSHYPLGPVWSASGKKASSAPPKASPPGAPAPPPPPPASLFSAEPSQPSSSRPKQGMAAVFQEINTGNVASGLKKVTADMKAKNRTDRTGIVSAGEKETRSSSSSFSKAGPPKLELQMGRKWVVENQIGRKNLVIDDCDAKQSVYIFGCKDSILQIQGKVNNITIDKCTKMGVVFKDVVAACEIVNCNGVEVQCQGFAPTISVDNTSGCQLYLSKDSLGASITTAKSSEINVLVPAAEADSDWGEHALPQQYIHVFKDGQFETTPVSHSGG >EOX97618 pep chromosome:Theobroma_cacao_20110822:2:2878926:2884057:1 gene:TCM_006596 transcript:EOX97618 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein, putative MEIVEDVVIVGAGIAGLTTSLGLHRLGIRSLVLESSDRLRTTGFAFTTWENAWKALDAIGIGESLRQHHSLMQSILVTSTFLVKPISEISFMGSEVRCLQRRLLLETLANEVPSGTIRFSSKVVSIEESGFFKRVHLADGTILKTKVLIGCDGVNSVVAKWLGFEKPVFAGRSAIRGFANIEGGHGFGLKFRQFVGKGIRSGLLPCDDEIVYWFMTWTPASKEEELEEDPVKLKQFAMSKLKDTPDEMKSVIEKTLLDGIVSSPLRYRRPWELLWGNISKGNVCLAGDALHPMTPDLGQGGCSAMEDGVVLARCLAEALLKVPRGEIKEKIDEEEEYKRIEMGLKKFAQERRWRSIDLITTAYMVGFMQQHNGKIINFFRDKFLSRFLSGILLRKASYDSGNLN >EOX99601 pep chromosome:Theobroma_cacao_20110822:2:12605428:12608758:-1 gene:TCM_008318 transcript:EOX99601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 2 MATISKLSNPNPAASLSSSFKPRSSSSLKCFVGFRATGSFGSKLVSSSSLSLTNNHLLKGSLVLRCSLADGNGSSVKRTTLHDLYEKEGQSPWYDNLCRPVTDLLPLIASGVRGVTSNPAIFQKAISSSNAYNDQFRELVQSGKDIESAYWELVVKDIQDACKLFEPIYDQTDGGDGYVSVEVSPRLADDTEGTIEAAKYLHKVVDCPNVYIKIPATAPCIPSIKEVISKGISVNVTLIFSLARYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDTLIDKMLEKIGTPEALDLRGKAAVAQAALAYQLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVAPLIGPDTVSTMPDQALQAFVDHGTVSRTIDTNVSEAEGIYSALEKLGIDWGYVGSQLEDEGVEAFKKSFDSLLDTLQEKANSLKLVSL >EOX99602 pep chromosome:Theobroma_cacao_20110822:2:12606281:12608611:-1 gene:TCM_008318 transcript:EOX99602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 2 PNPAASLSSSFKPRSSSSLKCFVGFRATGSFGSKLVSSSSLSLTNNHLLKGSLVLRCSLADGNGSSVKRTTLHDLYEKEGQSPWYDNLCRPVTDLLPLIASGVRGVTSNPAIFQKAISSSNAYNDQFRELVQSGKDIESAYWELVVKDIQDACKLFEPIYDQTDGGDGYVSVEVSPRLADDTEGTIEAAKYLHKVVDCPNVYIKIPATAPCIPSIKEVISKGISVNVTLIFSLARYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDTLIDKMLEKIGTPEALDLRGKAAVAQAALAYQLYQKKFSGPRWEALVKKG >EOX99531 pep chromosome:Theobroma_cacao_20110822:2:11987174:11992034:1 gene:TCM_008226 transcript:EOX99531 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAESA-like 1 isoform 2 MNPELQTMFLVFLLLFLNPLPHASALNQEGLYLQRVKQSLSDPTNALSSWNDRDDTPCNWRGISCDSVTGRVNSVNLSDFQLAGPFPVFLCRLPSISSISLVNNFINSSLPSDLSTCQNLTTLNLSQNLIVGSLPDSLAEIPTLKNVILFGNNFSGEIPASFGRFQRLELLNLAGNLLNGTIPPFLGNISTLKELDLAYNPFLPSHIPSELGNLTNLEQLFLAGCNLVDQIPPRFSRLSGLINLDLSFNRLTGSIPSSISELKKIEQLELYNNSLSGGLPLTMGNLTTLKRFDASMNELTGTIPTGLCGLQLESLNLFDNRLEGTLPESITRSKDLRELKLFNNKLRGRLPSQLGENSPLQSLDLSYNQFSGEIPENLCAKGQLEDLVLIYNSFSGKIPESLGKCWSLGRVRFKHNHFSGRVPDGFWGLPRVFLLELAENSFSGQISKTISSAHNLSVLSISNNPFSGSLPDEIGSLKTLVEISASGNGFTGRIPGSFVKLRQLVRLDLSENELDGGIPEGIKGWMNLNELNLGNNRLSGSIPRDIGSLPVLNYLDLSSNSFSGKIPIELQNLKLNVLNLSNNRLSGELPPIYAKEMYRNSFVGNPGLCDDLEGLCPTIGKSKNQGYMWILRCIFVLAGLVFVVGVVWFYMKYRSFKKSKKGATILKWRSFHKLGFSEFEIADCLKEENVIGSGASGKVYKVVLRNGEAVAVKKLSGGVKKGDSLSADTERDEFESEVETLGKIRHKNIVRLWCCCNAGDSKLLVYEYMPNGSLGDLLHSSKGGLLDWPTRYKIALDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGEFGARVADFGVAKIVKRVGKGAESMSAIAGSYGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGKPPTDPEFGEKDVVKWVCATCDQKGVDQVIDPRLDSTYKEEICRVLDIGLLCTNALPINRPSMRKVVKLLQEAGGENKSKAGKDGKLSPYYYNEEASDQGFLGERKWYSNKRGQ >EOX99532 pep chromosome:Theobroma_cacao_20110822:2:11986791:11991971:1 gene:TCM_008226 transcript:EOX99532 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAESA-like 1 isoform 2 MNPELQTMFLVFLLLFLNPLPHASALNQEGLYLQRVKQSLSDPTNALSSWNDRDDTPCNWRGISCDSVTGRVNSVNLSDFQLAGPFPVFLCRLPSISSISLVNNFINSSLPSDLSTCQNLTTLNLSQNLIVGSLPDSLAEIPTLKNVILFGNNFSGEIPASFGRFQRLELLNLAGNLLNGTIPPFLGNISTLKELDLAYNPFLPSHIPSELGNLTNLEQLFLAGCNLVDQIPPRFSRLSGLINLDLSFNRLTGSIPSSISELKKIEQLELYNNSLSGGLPLTMGNLTTLKRFDASMNELTGTIPTGLCGLQLESLNLFDNRLEGTLPESITRSKDLRELKLFNNKLRGRLPSQLGENSPLQSLDLSYNQFSGEIPENLCAKGQLEDLVLIYNSFSGKIPESLGKCWSLGRVRFKHNHFSGRVPDGFWGLPRVFLLELAENSFSGQISKTISSAHNLSVLSISNNPFSGSLPDEIGSLKTLVEISASGNGFTGRIPGSFVKLRQLVRLDLSENELDGGIPEGIKGWMNLNELNLGNNRLSGSIPRDIGSLPVLNYLDLSSNSFSGKIPIELQNLKLNVLNLSNNRLSGELPPIYAKEMYRNSFVGNPGLCDDLEGLCPTIGKSKNQGYMWILRCIFVLAGLVFVVGVVWFYMKYRSFKKSKKGATILKWRSFHKLGFSEFEIADCLKEENVIGSGASGKVYKVVLRNGEAVAVKKLSGGVKKGDSLSADTERDEFESEVETLGKIRHKNIVRLWCCCNAGDSKLLVYEYMPNGSLGDLLHSSKGGLLDWPTRYKIALDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGEFGARVADFGVAKIVKRVGKGAESMSAIAGSYGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGKPPTDPEFGEKDVVKWVCATCDQKGVDQVIDPRLDSTYKEEICRVLDIGLLCTNALPINRPSMRKVVKLLQEAGGENKSKAGFLGERKWYSNKRGQ >EOX99287 pep chromosome:Theobroma_cacao_20110822:2:9951255:9954989:1 gene:TCM_007917 transcript:EOX99287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSVGFLDPAHYDTHQHAVYALDPSMYRFGESWTAPPEEEYKSNVDGSTRGKIGPNGYGEGKYRLNVDGSTRDKLGPTGCDGVLEDSSSYVIGIFFSPLEIQDSSYVELMAIKHALLLFASSTLAGAKGEYKFNVDGSTGDKLGPTGCHGVLRDSSSYVIGIFFSPLEIQDSSHVEIMAIKHALLLFASSPLVGATFEMKSKELVNN >EOY00768 pep chromosome:Theobroma_cacao_20110822:2:34976427:34981910:-1 gene:TCM_010688 transcript:EOY00768 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein coupled receptors,GTPase activators MATCAVHGGCPSDYVAVSIAIFCVILLIVKSTLPFLIHKDKVPSGKGSAFWIPVIQVIASFTFLLSIVMSVNFLKYKKKHWWLSCYVWAVWVEGPLGFGLLMSCRIAQAFQLYYVFVKRRLPPIRSHIFLPLILLPWIAGAALIHVKKPLNYRCHMQTYWIIPVVCLHTLYVAVLVMFTGAIRHIEFRFDELRDLWQGILVSASLIGVWVSAYILNEVHDDISWLQVASRFLLLITASILVLVFFSISISQPLLSQISLRKREPLEFKTMGQALGIPDSGLLLQREQAVVIDPNEPLDKLLLNKRFRQSFLAFADSCLAGESVHFFEEVHELGKIPIDDPVRRIYMTRHIINKYIIAGAAMEVNISHRIRQDILTTTDLTHPDLFNNALNELMQLMKTNLAKDYWSSMYFIRFKEEASVRSNGHEMEHTTGYNFSPRLSSVHATDDPFHQDHFSRGSSHDSHDSYP >EOX98397 pep chromosome:Theobroma_cacao_20110822:2:5631325:5633981:-1 gene:TCM_046718 transcript:EOX98397 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIP41-like family protein MEVEVDEKDLRSAGAELLTDGRRGLRISVWEIESRNRSILTSLALQQWEQNLQTCHLPEMIFGESCLVLKHVGSGTKIHFNAFDALAGWKQEGLPPVEVPAAAKWKFRSKPFQQVILDYDYTFTTPYCGSETVELDADKRESGEISGGSSGLHWEDCEEQLDVAALALKEPILFYDEVVLYEDELADNGVSLLTVKVRVMPSSWFLLLRFWLRVDGVLMRLRDTRMHCVFDESANPVILRERCWREGTFQALSANGYPTDSASYSDPSIISQRLPVIMHQTQKLRVPGNL >EOY01204 pep chromosome:Theobroma_cacao_20110822:2:38211379:38218365:-1 gene:TCM_011146 transcript:EOY01204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indeterminate(ID)-domain 5, putative isoform 1 MAASSSSGPFFGIRDEDQNQMKQQPSSTPTSSTGPAPAPPQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPPSLNPIGNHLYGSSNMSLGLSQVGTQISSIQDQNNQTGDILRLGGGARNTQFDHLLPPSMGSSSSFRPQQSMVSSAAFFMQESNQNFNQEHQPQQGLLGNKSFQGLMQFPDIQNNTSNSPSAANLFNLSFLSNSSNTSSINNNNSANTDNNLSSSGLLISDHFNNENGAGGTSEASNLFSNNIMGDQITSNIPSLFSSSVQNNNMVPQMSATALLQKAAQMGSNSSNNSTSLLRSFGSSSSSGTKPSNFGGIVGDNTGNNLHELMNSIASGSSSIFGGGSPGVNTYSTGHGQENPYTNRSSMEQEKQQQNLNVSAGGSDRLTRDFLGVGQIVRSMSGGVSQREQQQQQQQQQQGMGLSTLGSERNNITAPTGHRSFGGGGNFQ >EOY01205 pep chromosome:Theobroma_cacao_20110822:2:38211342:38214814:-1 gene:TCM_011146 transcript:EOY01205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indeterminate(ID)-domain 5, putative isoform 1 MRDSFITHRAFCDALAQESARHPPSLNPIGNHLYGSSNMSLGLSQVGTQISSIQDQNNQTGDILRLGGGARNTQFDHLLPPSMGSSSSFRPQQSMVSSAAFFMQESNQNFNQEHQPQQGLLGNKSFQGLMQFPDIQNNTSNSPSAANLFNLSFLSNSSNTSSINNNNSANTDNNLSSSGLLISDHFNNENGAGGTSEASNLFSNNIMGDQITSNIPSLFSSSVQNNNMVPQMSATALLQKAAQMGSNSSNNSTSLLRSFGSSSSSGTKPSNFGGIVGDNTGNNLHELMNSIASGSSSIFGGGSPGVNTYSTGHGQENPYTNRSSMEQEKQQQNLNVSAGGSDRLTRDFLGVGQIVRSMSGGVSQREQQQQQQQQQQGMGLSTLGSERNNITAPTGHRSFGGGGNFQ >EOY01494 pep chromosome:Theobroma_cacao_20110822:2:39677255:39679873:-1 gene:TCM_011363 transcript:EOY01494 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein, putative MKLGGMFGNLGVSLMENVCKIRRVVEEQCLQHGCYGNNAKGTRTYQVWPGNNVFFFQGRLVCGPDPRGLLLTAVSILISSWIFTIYIGYDLPKTNSTLIVSICLILTVMVLVNLILVSAIDPGIIPRSEQAISLEDIGTSSNWTRRKKVTINGVQLKLKYCRICRIFRPPRSCHCAICDNCVEKYDHHCLWVGQCIGLRNYRFFLTFLITALVFFIYIFAFSCWRIHQRTLETGTGLFGMLRNCPETLALTVFSFAAIWFLGGLSIFHSYLIAINQTAYENFRYRYVGSSNPYDKGIISNIKEVLFAPLPPSGVDFRAEVIPRTSILRIFTLSTICVYICMHSHYDVLILPFLNCFEIYIAKKKGSLGYVHNFDPCPNRVNGLHSEADGAGSLVDKMAERPHDEWGHVDKAWWVDGDGCLRWAPMLAFMEVQYVEPHHLT >EOY01111 pep chromosome:Theobroma_cacao_20110822:2:37690824:37694766:1 gene:TCM_011057 transcript:EOY01111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated proteins 70-2 isoform 3 MSERLREKAVEELTDELSKMEEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAITERAAKSEAQLKEKYHLRLKVLEESVRGSSNSVSRSTSDGRSMSNGPSRRQSLGGADNFSKLTSNGFLSKRSPSSQLRSSMSSSTVLKHAKGTSKSFDGGTRSFDRAKVLLNGTGSNISFNQPSEGTKEGEAPDNEKPNDFQPVDTEDNVPGVLYDLLQKEVIALRKAGHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVAAMRVEKEHENRAKRYGNSKGSAAQLLTGRCFYYFNIPYFPTLL >EOY01110 pep chromosome:Theobroma_cacao_20110822:2:37690439:37696806:1 gene:TCM_011057 transcript:EOY01110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated proteins 70-2 isoform 3 MTSTTAGVPPPLTVSASFKESGGKGSSRRRAVRPSFDADNEFITLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALRMSERLREKAVEELTDELSKMEEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAITERAAKSEAQLKEKYHLRLKVLEESVRGSSNSVSRSTSDGRSMSNGPSRRQSLGGADNFSKLTSNGFLSKRSPSSQLRSSMSSSTVLKHAKGTSKSFDGGTRSFDRAKVLLNGTGSNISFNQPSEGTKEGEAPDNEKPNDFQPVDTEDNVPGVLYDLLQKEVIALRKAGHEKDQSLKDKDDAIEAMEVEAKKMRREVAAMEKEVAAMRVEKEHENRAKRYGNSKGSAAQLLTGRNVSRSGLTRSTQ >EOY01108 pep chromosome:Theobroma_cacao_20110822:2:37690824:37696170:1 gene:TCM_011057 transcript:EOY01108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated proteins 70-2 isoform 3 NRLENEVRDKDRELGEAQAEIKALRMSERLREKAVEELTDELSKMEEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAITERAAKSEAQLKEKYHLRLKVLEESVRGSSNSVSRSTSDGRSMSNGPSRRQSLGGADNFSKLTSNGFLSKRSPSSQLRSSMSSSTVLKHAKGTSKSFDGGTRSFDRAKVLLNGTGSNISFNQPSEGTKEGEAPDNEKPNDFQPVDTEDNVPGVLYDLLQKEVIALRKAGHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVAAMRVEKEHENRAKRYGNSKGSAAQLLTGSDCFLLFWIRNVSRSGL >EOY01109 pep chromosome:Theobroma_cacao_20110822:2:37692345:37696186:1 gene:TCM_011057 transcript:EOY01109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated proteins 70-2 isoform 3 MEEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAITERAAKSEAQLKEKYHLRLKVLEESVRGSSNSVSRSTSDGRSMSNGPSRRQSLGGADNFSKLTSNGFLSKRSPSSQLRSSMSSSTVLKHAKGTSKSFDGGTRSFDRAKVLLNGTGSNISFNQPSEGTKEGEAPDNEKPNDFQPVDTEDNVPGVLYDLLQKEVIALRKAGHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVAAMRVEKEHENRAKRYGNSKGSAAQLLTGRNVSRSGLTRSTQ >EOY01107 pep chromosome:Theobroma_cacao_20110822:2:37690348:37696686:1 gene:TCM_011057 transcript:EOY01107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated proteins 70-2 isoform 3 MADIAGEAAEIGSIGRSESPVRMTSTTAGVPPPLTVSASFKESGGKGSSRRRAVRPSFDADNEFITLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALRMSERLREKAVEELTDELSKMEEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAITERAAKSEAQLKEKYHLRLKVLEESVRGSSNSVSRSTSDGRSMSNGPSRRQSLGGADNFSKLTSNGFLSKRSPSSQLRSSMSSSTVLKHAKGTSKSFDGGTRSFDRAKVLLNGTGSNISFNQPSEGTKEGEAPDNEKPNDFQPVDTEDNVPGVLYDLLQKEVIALRKAGHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVAAMRVEKEHENRAKRYGNSKGSAAQLLTGRNVSRSGLTRSTQ >EOX98840 pep chromosome:Theobroma_cacao_20110822:2:7447846:7449481:1 gene:TCM_007520 transcript:EOX98840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase N1 MDGSCLNGRVLVVTLLLSIAASLVESQGTRVGFYSSTCPRAESIVRSTVQSHFRSDPTVAPGLLRMHFHDCFVQGCDASILIDGPNTEKTAPPNLLLRGYEVIDDAKTQLEAACPGVVSCADILALAARDSVVLTSGATWAVPTGRRDGRVSRASDAANLPGFRDSVDVQKQKFAAKGLNTQDLVALVGGHTIGTTACQFFRYRLYNFTTTRNGADPSTNAAFVSQLQALCPENGDGSRRIGLDTGSANRFDNSFFANLRDGRGILESDQKLWTDASTRTVVQRFLGIRGLLGLTFNVEFGRSMVKMSNIEVKTGTAGEIRKVCSGVN >EOY01408 pep chromosome:Theobroma_cacao_20110822:2:39308964:39313790:-1 gene:TCM_011305 transcript:EOY01408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transporter, putative MDSEQHRVDDQNNNPNGEVNGTAVSGDQDGGEFCDVEMEKSEEKEAEQLQEKKEDSNSTSNSPVVNQNHQSNAKEVNPETKVNGYNHYIPAIKAQVHLPRPEPPQQKVERSQSMSIAENMPSIGKYIRDRSSTFSAAIVKRLSSLKEDNGDFVMENDSLNFEVTEFKIPGVKVIVKLKTEDEREQLRGRITFFTRSNCRDCTAVRKFFRDKGLRYVEINVDVFPKRAKELVEKTGSSEVPQIFFNEKLLGGLVTLNSLRNCGELDKRMKELLGNKCPEEAPDMPVYGFDDAEEVEDEMVGIVKVLRQSLPIQDRLIRMKIVKNCFAGVDMVEAIIHHLDCGRRKGIETAKRLAQKHFIHHVFGENDFEEGKHFYRFLEHEPFILGCFNFRTSTNDSEPKSASFLADRLTKLMSAILEAYASDDRSRLNYYAISKSEEFRRYLILARDLQRINLQLLSPDERLAFFLNLYNAMVIHAVISVGHPEGLLDKRAFYCDFQYVVGGFPYSLSIIENGILRNNRKSQYSLVRPFGNGDRRLELAPAKVNPLIHFGLCNGTRSSPTVRFFTPQGVEGELRCAAREFFQRGGIEINLEKRTVHLTRIIKWFSVDFGQEKDILKWVLNYLDATRAGLLTHLLSDGGPVNIAYQNYDWSGNL >EOY01254 pep chromosome:Theobroma_cacao_20110822:2:38643948:38645958:1 gene:TCM_011197 transcript:EOY01254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPQKKKAQSSGQPTRKIKMDDSTKKGEGASFGKPKGAATKCRCKTKEDTKVDGKSKDGSKSVCKSENDIATKAKDHTPKSGRPLGDIALKVGNESKNENNDDAPKSTKSKDDGSVTPKAPPSQSKALQRQPSPSKKPLRFPLILRVNF >EOX99943 pep chromosome:Theobroma_cacao_20110822:2:19165530:19169912:-1 gene:TCM_009017 transcript:EOX99943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MAVMSFLAGLPPELETVKSQILSSSEISSLHDTFTRVLRTESSSFTLAYTNNSALVSRQNNISDKFAQFSRYQESLKSTTSPITAIAESSNSTTCLISTSSKWVIDSGASDHMTDLMTKRIIGKNRESRGLYYLDTQVLRSIACSSVLTPFEGEEDDLLVYTVTHSVSTTDILAPDLAPAQPPIVHVYSRRPEAQTTCPLLVPSPSDPVSSDHGPSLHLPIALRKSKRHCTYPISSFVSYDHLSSSSSSFVASLNSILIPKTVHEALSHPGWRAAMVEEMVALDGNGTWDLVDLPAGKKAIGCKWVFAVKVNSDGSVARLKGRFVAKGYAQTYGVDYSDTFSPVAKLNSVRLFISMAATYDWPLHQLDIKNAFLHGDLQEEVYMEQPPGFVAQGECGKVCYLRKSLYGLKQSPRAWFGKFGKLGAKPYNAPMTPNLQLTKEDGELFEDPEKYRRLVGKLNYLTVTRPDIAYFVSVVNANWAGSKSDKRSTTGYCVFIGVNLVSWKSKKQNVLSRSSVESEYRAMAQTVCEVVWMYQLLSEVGLKSSLPAKLWCDNRAALHIASNPVFHERTKHIEIDCHFVHEKIQQKFIATRYIKT >EOX97652 pep chromosome:Theobroma_cacao_20110822:2:2976116:2977999:-1 gene:TCM_006620 transcript:EOX97652 gene_biotype:protein_coding transcript_biotype:protein_coding description:SBP family protein, putative MAIQAQLYSDNIGFPLCGSQDWIDNGCGGVNQFCFGLLQKSQLQQQQLQQQQQQLQQLQSQQRRNQSLYFDNSLLASSIKNINTTTTNNNNRESMMYCQSMDSQVEKQRHEIDQFIRSQNERLILLLQEQRKQQVAALVKRIESKAFLLLRQKDEEIAKATSKTMELQNLLKKLEMESQAWQRVAQENEVMVAALNNSLEQLREQASCCFNGVDDAESCCEVNGEGIEAAENRGFAGLVVDRGQEEAEEERTRTPTIMVCKCCNSRNSCVLFLPCRHLSSCKDCAAFLDSCPVCRTAKKASIEALIP >EOX99082 pep chromosome:Theobroma_cacao_20110822:2:8414430:8417514:-1 gene:TCM_007698 transcript:EOX99082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferases,nucleic acid binding MWYLCVFYHRLLDYRKPEVESLADLFGAFEDKQSPDFATRNRVLEWRLPKHHHPDSPFHFVNLPSEDVARNIANRSILVKGIYELWGEGSSFEELKEAVTSYPDERKLPYLESESTFKITVDSFGKVMSLHEQKERIWGLSYIPFKGRVNLKNPDHNFWLMETDDNATNNGLPPVVQRRIFFGREVGGADRKLIPTYQLKSRTYLGPTAMDAEMAFLMANQAQAAPGKLVYDPFVGTGSILVSAAHFGAMTMGADIDIRVVRDGRGPDCNVWSNFKQYGLPMPIALLRADNNLPPWRHGLKEVFDAIICDPPYGVRAGGRKSGGRKLLKGVVGPYTVPDDKRADHIPSTAPYSLLECVHDLLDLAARMLVMGGRLVFFYPVLREDDSVEDHFPEHPCFKLVATSEQILSSRYGRVLLSMVKTSSYTEELALAARIKHLEFKENHTKWLENGNLHSSVFSPADVESGDLKVSKESKPKYRGKYV >EOY01535 pep chromosome:Theobroma_cacao_20110822:2:39877917:39878857:1 gene:TCM_011399 transcript:EOY01535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MICIEKQMVSTGYTFYPHHVSFSEMLSSIAVQTTILESILFISFTVATILILLPHEYVKGSPVPIIVFKGHPATFHAFTICIIFAFSGAFCALMTPNSPRIASLCGCYSIASMASAIGLLIWAVRRGDHV >EOY02110 pep chromosome:Theobroma_cacao_20110822:2:41988362:41990607:1 gene:TCM_011843 transcript:EOY02110 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein, putative MFCLSSRKLAAMFLPKTETAIPVFVPDPEASYQAYMMKQLPEGLWTTSICECYEDLSNCFFTCLCPCITMGQNSEIVNRGEISCTWASLLHVATGVVLFGWIFGSTNRTSLRQHFSLPESPLPDWSTHLLYMRCALCQEHRELRTRGADPSLGWEGNVTKWKEEAMTPPIVVHRMACLYRTQK >EOY00630 pep chromosome:Theobroma_cacao_20110822:2:34011727:34015999:-1 gene:TCM_010516 transcript:EOY00630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 2,1 MTPFSCLPSARNTTSPEAFLLRNPHLHVPKYRYNETQLPKKENLMWMPFQVPTRPYRPILSLTNSKLTQPSASISSFAEAEGEKEQKEGIQLETHDQEDAKTNDDDLPAMAQAFHISSRTASAIAIFIAFAALSLPFFMKSLGQGVSLKTKFLSYATLLFGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAAILEFSGALLMGTHVTSTMQKGILVANVFQGKDSLLFAGLLSSLAAAGTWLQVASYYGWPVSTTHCIVGSMVGFGLVYGGAGAVFWSSLARVTSSWIVSPIMGAMVSFLVYKCIRRFVYSAHNPGQAAAAAAPIAVFVGVTGISFAAFPLSKSYAIGLAQALGCGAAGALLVHKIINKQLGHLLVKSISSSHSEPQENTTQNKNLGLLSDIAGPKGTQLEIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILQGGSGNEIVIPNDVLAWGGFGIVAGLMMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNRVRAETVREIVTSWVITIPVGASLAVLYTWILTKLLPNIS >EOY00763 pep chromosome:Theobroma_cacao_20110822:2:34928744:34931941:-1 gene:TCM_010683 transcript:EOY00763 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA reductase 1 isoform 2 MATCFFDTLKAQPFWVLVLFTLGSLSLLKSSFVFLKWVWVNFLRPGKNLKKYGSWGLVTGPTDGIGKGFAFQLARKGLNLVLVGRNPDKLKDVSDSILAKYGKIQIKTVVVDFTGDFDEGVKKIKEAIEGLDVGILINNVGISYPYARFFHEVDEELLRNLIKVNVEGTTKVTQAVLPGMVKRKRGAIVNIGSGAAIVIPSDPLYAVYAATKAYIDQFSKCLYVEYKNSGIDVQCQVPLYVATKMASIKRSSFFVPSTDGYARAAMRWIGYEPRCTPYWPHSILWSLASSLPESVVDAWRFHFCLGIRKRGQLKDSRKKE >EOY00764 pep chromosome:Theobroma_cacao_20110822:2:34929059:34932148:-1 gene:TCM_010683 transcript:EOY00764 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA reductase 1 isoform 2 MATCFFDTLKAQPFWVLVLFTLGSLSLLKSSFVFLKWVWVNFLRPGKNLKKYGSWGLVTGPTDGIGKGFAFQLARKGLNLVLVGRNPDKLKDVSDSILAKYGKIQIKTVVVDFTGDFDEGVKKIKEAIEGLDVGILINNVGISYPYARFFHEVDEELLRNLIKVNVEGTTKVTQAVLPGMVKRKRGAIVNIGSGAAIVIPSDPLYAVYAATKAFHCMWLPRWRRSKDPLSLFHQQMVMLG >EOX99851 pep chromosome:Theobroma_cacao_20110822:2:17337662:17342564:-1 gene:TCM_008825 transcript:EOX99851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALAEGKRGGGNTNQHILFARYLPTTATITQKSLRITVMPGTSPAPGKGSSIELKLGVFLVFQLTAWLCKQVEGCFLPPSSGTSSCSALRAW >EOY00367 pep chromosome:Theobroma_cacao_20110822:2:31822998:31827918:1 gene:TCM_010229 transcript:EOY00367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSSFTDIVPSPKMASSSWVSTRALLTPAKTPTSTTLFLPRPACLILHSTPHPSLSLSSPKLFLSPLPASPPQKYVYPDPIPEFAEAETQKFKSELFKKLSKDKDTFGDDLDAVIEVCVEVFNNFLHKEYGGPGTLLVEPFTDMFVALKEKKLPGAPVAARASLLWAQNYVDHDWEVWNSNSP >EOY00368 pep chromosome:Theobroma_cacao_20110822:2:31823959:31829512:1 gene:TCM_010229 transcript:EOY00368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASSSWVSTRALLTPAKTPTSTTLFLPRPACLILHSTPHPSLSLSSPKLFLSPLPASPPQKYVYPDPIPEFAEAETQKFKSELFKKLSKDKDTFGDDLDAVIEVCVEVFNNFLHKEYGGPGTLLVEPFTDMFVALKEKKLPGAPVAARASLLWAQNYVDHDWEVWNSNSP >EOY00827 pep chromosome:Theobroma_cacao_20110822:2:35441794:35445793:1 gene:TCM_010760 transcript:EOY00827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine histidine transporter 1 MVYDQSESHEGDREKAINDWVPITSARNAKWWFSAFHNVTAMVGAGVLSLPYAMSELGWHRGPGVVMVVMSWAITLYTLWQMVEMHEIVPGKRFDRYHELGQHAFGEKLGLWIIVPQQLTVEVGTNIIYMVTGGQSLKKVHDIVCPNCKEIKLTYFIMIFASVQFVLSYLPDFNSISGVSLAAAVMSLAICWKGCSTKCGLLIQGFNQARKVFNFLAAMGDVAFAYAGHNVVLEIQATIPSTPEKPSKIAMWKGVVVAYLIVAFCYFPVAFIGYWVFGNVVDGNILITLEEPTWLVAAANMFVVVHVIGGYQVYAMPVFDMIESALVKKLHFTPCLRLRFISRTIYVALTMLVGICFPFFGGLLSFFGGFAFAPTTYYLPCIIWLIICKPKRFSLSWIINCICIVLGILLMILSPIGGLRSIIISAKDYKFFS >EOX98726 pep chromosome:Theobroma_cacao_20110822:2:6859148:6863296:1 gene:TCM_007421 transcript:EOX98726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicarboxylate transport 2.1 MESLALHSFSTATTTSFSISSRTSLQLRSKPISKSSHPSIFPSSSFPTLRSPLKPLLFSPLSKQPKPLLSLICSNSQNDNSPHPETTTPSPPQGAKLLPFFVSISIGLIVHFLVPKPVDVTPQAWQLLAIFLSTIAGLVLSPLPVGAWAFLGLTTSIVTRTLPFTTAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKCLGKSTLGLSYGLTLSEALIAPAMPSTTARAGGVFLPIIKSLSLSAGSRPGDSSSRKLGSYLIQSQFQCTGNSSALFLTAAAQNLLCLKLAEELGIIISNPWVSWFKAASLPALVSLLATPLILYNLYPPEIKDTPDAPAMAAKKLENMGPVTRNEWIMVATMLFAVTLWVCGETLGIPSVVAAMIGLSILLLLGVLDWDDCLSEKSAWDTLAWFAVLVGMAGQLTNLGIVSWMSGCVAKILQSLSLSWLAAFGVLQASYFFSHYLFASQTGHVGALYSAFLAMHLAAGVPGVLAALALAYNTNLFGAITHYSSGQAAVYYGAGYVDLPDVFKMGFVMASINAIVWGVVGTFWWKFLGLF >EOY01467 pep chromosome:Theobroma_cacao_20110822:2:39555570:39555955:1 gene:TCM_011341 transcript:EOY01467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRFKLNEESNADRGRQAESKDGVVRIRLVVTQKELKQILSSRKDLKHTSAEGLIRVMKLRGIRISEGGRTSDDDGFHGGWRPALESIPEEH >EOX98943 pep chromosome:Theobroma_cacao_20110822:2:7868436:7873960:1 gene:TCM_007604 transcript:EOX98943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like D4 MASLSSQPSKKGMRSPGGSGNSQAGSRNSNGQTVKFARRTSSGRYVSLSREDIDISGELSGDYMNYTVHIPPTPDNQPMDNSVAAKAEEQYVSNSLFTGGFNSVTRAHLMDKVIDSEVSHPQMAGAKGASCSMPACDGKVMKDERGNDVTPCECRFKICRDCYMDAQKETGLCPGCKEPYRVGDYDDDGPEYSNGTLKLPAPDGKRDPNNMSMMKRNQGGDFDHNRWLFETSGTYGYGNAFRPHDDIYGDDEDDRLHGDLMENNDKPWKPLSRRIPIPAGVLSPYRLLIATRLVVLCFFLHWRVVHPNDDAIWLWLMSIVCEIWFAFSWILDQIPKLCPINRSTDLEVLREKFDMPSPSNPTGRSDLPGVDLFVSTADPEKEPPLVTANTILSILAADYPVEKVSCYISDDGGALLTFEAMAEAASFADLWVPFCRKHDIEPRNPETYFSLKVDPTKNKSRSDFVKDRRRMKREYDEFKVRINGLPDSIRRRSEAFNAREEMKMLKHLRETGADPLEKPKVLKATWMADGTHWPGTWSVPSSEHSKGDHAGILQVMLKPPSHDPLMGNSEDKLLDFTDIDIRLPMFVYVSREKRPGYDHNKKAGAMNALVRASAVLSNGPFILNLDCDHYIYNCKAIREGMCFMMDRGGEDICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGVQGPVYVGTGCMFRRFALYGFDPPNPEKMTQVKESETQPLTTGDFDPDLDVNLLPKRFGNSTLLAESIPIAEFQGRPIADHPAIKFGRPPGALRIPREPLDAATVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSIYCITKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASRRLKILQRLAYLNVGIYPFTSIFLIVYCFLPALSLISGQFIVKTLNVTFLVYLLIITICLITLAILEVKWSGIGLEEWWRNEQFWLISGTSSHLAACIQGLLKVIAGIEISFTLTSKSAGEDSDDIYADLYLVKWTSLMIPPIVIAMINIIALAIAFSRTVYSSVPQWSKFMGGAFFSFWVLAHLYPFAKGLMGRRRKTPTIVFVWSGLIAITLSLLWIAINPPTGAAQADGLGGSTFEFP >EOX97244 pep chromosome:Theobroma_cacao_20110822:2:1703895:1705304:1 gene:TCM_006324 transcript:EOX97244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein A, putative MCFQDQTHIAHKPIHTDLVSNSSFDFFTMTKNSNMGRQRITMAKIAKKNHLQVTFSKRRTGLFKKASELCTLCAVDVAIMVFSPGKKVYSFGHPRVESIVDRLLTRTPPLRSSTAHQLFEAHQNASIHELNIRLTQLLEQLEIEKRRGDALDNIRKAGLNQCWWQAPIDELGLNELERLRIALEEVKQNAAKQANKVLLESADHKRWQFLAVNGTGHANPFENKDNCICRIIDQAYGYGDGLF >EOX97723 pep chromosome:Theobroma_cacao_20110822:2:3198695:3203046:-1 gene:TCM_006660 transcript:EOX97723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat only 1 MADIVKQILTRPIQMADQVTKTADEAQSFKQDCQELKAKTEKLAGLLRQAARASNDLYERPTRRIIDCTEQVLDKALGLVIKCRANGLMKRVFTIIPAAAFRKTSMQLENSIGDVSWLLRVSASADDRDDEYLGLPPIAANEPILCLIWEQIAILYTGSLEERSDASASLVSLARDNDRYGKLIIEEGGIPPLLKLAKEGKIEGQENAARAIGLLGRDPESVEQIVNSGVCSVFAKILKEGHMKVQSVVAWAVSELAAHHPKCQDHFSQNNIIRFLVSHLAFETVQEHSKYAIASKQTMSIHSVFMASNAPEQTNRKEHEDDDKQINSNIAHPMGNQITSQMHNVITDTIAMRRQTPDSSRPTLPKNNSPNHHHVNHPKGNQQNAKPHQHHHQHHAHHVSLSGTSIKGREFEDPTTKAQMKAMAARALWQLCKGNLGICRSITESRALLCFAILLEKGADDVQSYSAMALMEITAVAEQNADLRRSAFKPTSPAARAVVEQLLKVIEKADSDLLVPCIKAIGNLARTFRATETRIIAPLVKLLDEREADISMEAAIALNKFATTENYLHVNHSKAIISAGGAKHLIQLVYFGEQMVQFPSLTLLCYIALNVPDSETLAQEEVLIVLEWASKQAHLSEDPDIDSLLPEAKSRLELYQSRGSRGFH >EOY00605 pep chromosome:Theobroma_cacao_20110822:2:33776731:33778306:1 gene:TCM_010487 transcript:EOY00605 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 1 MEQKTWPDRSDGSRFTAFPLSKPSNGDGKTKLSRRSKFISKPSSSQTDGPDFSALPFDILTKIAAPFNFPNLLTASLVCKSWRDVLRPLREAMVLLRYGKRFKHGRGGFRRNLEKALDSFLKGAARGSTLAMVDAGLIYWERGQKEEAIALYQKAAALGDPAGQCNLGISYLHAQPQKHKEAVKWLHEASVGGHIRAQYQLALCLHQGLVVDRNLQEAVWSSLLTHFNCIHSELLFLRIGMMGFALLLIDDTQARWYLKAAEGGYVRAMYNTSLCYTFGEGLSHSRRQARKWMKRAADRGHSKAQFEHGLALFSVKGK >EOY00603 pep chromosome:Theobroma_cacao_20110822:2:33776682:33779472:1 gene:TCM_010487 transcript:EOY00603 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 1 MEQKTWPDRSDGSRFTAFPLSKPSNGDGKTKLSRRSKFISKPSSSQTDGPDFSALPFDILTKIAAPFNFPNLLTASLVCKSWRDVLRPLREAMVLLRYGKRFKHGRGGFRRNLEKALDSFLKGAARGSTLAMVDAGLIYWERGQKEEAIALYQKAAALGDPAGQCNLGISYLHAQPQKHKEAVKWLHEASVGGHIRAQYQLALCLHQGLVVDRNLQEAARWYLKAAEGGYVRAMYNTSLCYTFGEGLSHSRRQARKWMKRAADRGHSKAQFEHGLALFSEGEMMKAVVYLELATRSGETAATHVKNVILQQLSATSRDRAMLLADNWRALPSSR >EOY00604 pep chromosome:Theobroma_cacao_20110822:2:33776550:33779550:1 gene:TCM_010487 transcript:EOY00604 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 1 MEQKTWPDRSDGSRFTAFPLSKPSNGDGKTKLSRRSKFISKPSSSQTDGPDFSALPFDILTKIAAPFNFPNLLTASLVCKSWRDVLRPLREAMVLLRYGKRFKHGRGGFRRNLEKALDSFLKGAARGSTLAMVDAGLIYWERGQKEEAIALYQKAAALGDPAGQCNLGISYLHAQPQKHKEAVKWLHEASVGGHIRAQYQLALCLHQGLVVDRNLQEAARWYLKAAEGGYVRAMYNTSLCYTFGEGLSHSRRQARKWMKRAADRGHSKAQFEHGLALFSEGEMMKAVVYLELATRSGETAATHVKNVILQQLSATSRDRAMLLADNWRALPSSR >EOY00606 pep chromosome:Theobroma_cacao_20110822:2:33776731:33778306:1 gene:TCM_010487 transcript:EOY00606 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 1 MEQKTWPDRSDGSRFTAFPLSKPSNGDGKTKLSRRSKFISKPSSSQTDGPDFSALPFDILTKIAAPFNFPNLLTASLVCKSWRDVLRPLREAMVLLRYGKRFKHGRGGFRRNLEKALDSFLKGAARGSTLAMVDAGLIYWERGQKEEAIALYQKAAALGDPAGQCNLGISYLHAQPQKHKEAVKWLHEASVGGHIRAQYQLALCLHQGLVVDRNLQEAVWSSLLTHFNCIHSELLFLRIGMMGFALLLIDDTQARWYLKAAEGGYVRAMYNTSLCYTFGEGLSHSRRQARKWMKRAADRGRGNDESCRV >EOY00607 pep chromosome:Theobroma_cacao_20110822:2:33776731:33778306:1 gene:TCM_010487 transcript:EOY00607 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 1 MEQKTWPDRSDGSRFTAFPLSKPSNGDGKTKLSRRSKFISKPSSSQTDGPDFSALPFDILTKIAAPFNFPNLLTASLVCKSWRDVLRPLREAMVLLRYGKRFKHGRGGFRRNLEKALDSFLKGAARGSTLAMVDAGLIYWERGQKEEAIALYQKAAALGDPAGQCNLGISYLHGIEAVKWLHEASVGGHIRAQYQLALCLHQGLVVDRNLQEAARWYLKAAEGGYVRAMYNTSLCYTFGEGLSHSRRQARKWMKRAADRGRGNDESCRV >EOX97398 pep chromosome:Theobroma_cacao_20110822:2:2164748:2169881:1 gene:TCM_006425 transcript:EOX97398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MFTRVMERSEPSLVPEWLKSGGSVTGSGNSNHQFTSSSLHSDNHSALRPTRNKLSVAGDHDVGGTSVLDRTTSAYFRRSSSSNGSAHLRSYSSFTKGHRDRDWDKDINGYHDREKSVISDHRNRNFSDSLDNMLPSVFEKDVLWRSQSITGKRSDTWPKKVTSDSSTSNKSNHSSSNGLLSGVSTTVGNKSVFEREFPVLGAEERQVASEIGRVSSPGLSTAGQSLPVGTSAISGSDGWTSALADMPAGVGSSGTGVAVASQNVSASSASMASTTMTGLNMAETLVQGPSRARTPPLLNVGTQRLEELAIKQSRQLVPLVTTSTPKILVVSPSEKSKPKVGQQQHASLSLNYTRGGTSRSDSLKVSNEGRLRILKPSRELNGVSLMTKDNLSPTNGSSKLVNSPLSVTPSASASAPFRSSGNSPSFATAERNQTPFRINIEKRPTAQAQSRNDFFNLLKKKSTTNSPSSVADRGPAASPSVSEKSDELGTEDASTSVTLQGGSVPSSEISIADLPTDNRSEITHNGDAYSGSQQCSSNGDRHARPDAFLYPDEEEAAFLRSLGWEENAGDDEGLTEEEISAFFEEHMKLKPSAKLFHRMQSIVPLNSHNGTHDGASSGLSSMDSN >EOX97399 pep chromosome:Theobroma_cacao_20110822:2:2164967:2169914:1 gene:TCM_006425 transcript:EOX97399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MERSEPSLVPEWLKSGGSVTGSGNSNHQFTSSSLHSDNHSALRPTRNKLSVAGDHDVGGTSVLDRTTSAYFRRSSSSNGSAHLRSYSSFTKGHRDRDWDKDINGYHDREKSVISDHRNRNFSDSLDNMLPSVFEKDVLWRSQSITGKRSDTWPKKVTSDSSTSNKSNHSSSNGLLSGVSTTVGNKSVFEREFPVLGAEERQVASEIGRVSSPGLSTAGQSLPVGTSAISGSDGWTSALADMPAGVGSSGTGVAVASQNVSASSASMASTTMTGLNMAETLVQGPSRARTPPLLNVGTQRLEELAIKQSRQLVPLVTTSTPKILVVSPSEKSKPKVGQQQHASLSLNYTRGGTSRSDSLKVSNEGRLRILKPSRELNGVSLMTKDNLSPTNGSSKLVNSPLSVTPSASASAPFRSSGNSPSFATAERNQTPFRINIEKRPTAQAQSRNDFFNLLKKKSTTNSPSSVADRGPAASPSVSEKSDELGTEDASTSVTLQGGSVPSSEISIADLPTDNRSEITHNGDAYSGSQQCSSNGDRHARPDAFLYPDEEEAAFLRSLGWEENAGDDEGLTEEEISAFFEEHMKLKPSAKLFHRMQSIVPLNSHNGTHDGASSGLSSMDSN >EOY01652 pep chromosome:Theobroma_cacao_20110822:2:40508239:40510841:-1 gene:TCM_011500 transcript:EOY01652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydropterin pyrophosphokinase / Dihydropteroate synthase MNLFKQLLPTKGGIIGAQKYCRASFCAFLHTTTDQSVEVHSPDQEVVIALGSNVGDRLHNFNEALQLMRKSGIKITRHACLYETAPAYVTDQPRFLNSAVRAVTKLGPHELLGVLKKIEKDMGRTGGIRYGPRPIDLDILFYGKYRIGSDILTVPHERIWERPFVMAPLMDLLGSVIDNDTIACWHSFSTDSDGLLGSWEKLGGESLIGKEGMKRVLPIGNRLWDWSERTSVMGILNLTPDSFSDGGKFLSVETAVSHVHLMISEGADIVDIGAQSTRPMASRISAEEELDRLIPILEAVLGMSEMEGKLISVDTFYSDVALEAVKKGAHIINDVSAGQLDPNMHRIVASLGVPYIAMHMRGDPTTMQSSDNLQYDDVCLQVASELFSRVNDAELSGIPAWRIILDPGIGFSKKTEHNLDILAGLPDIRAEIAKRSLAVSHAPVLIGPSRKRFLGEICNRPAAVERDPATIASVTAGILGGANIVRVHNVKDNVDAVKVCDAMLKEWRTRS >EOX99106 pep chromosome:Theobroma_cacao_20110822:2:8533282:8538015:-1 gene:TCM_007721 transcript:EOX99106 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRNA splicing factor, thioredoxin-like U5 snRNP isoform 2 MSYLLPHLHSGWAVDQAILAEEERVVIIRFGHDWDDTCMQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >EOX99107 pep chromosome:Theobroma_cacao_20110822:2:8533326:8536836:-1 gene:TCM_007721 transcript:EOX99107 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRNA splicing factor, thioredoxin-like U5 snRNP isoform 2 MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >EOX98643 pep chromosome:Theobroma_cacao_20110822:2:6593505:6595296:-1 gene:TCM_007354 transcript:EOX98643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19-like CHCH family protein MPRRSSGRSASRPAPRAASARSPPPPPAQRAPPPPPAQSGSGGSMLGGIGSTIAQGMAFGTGSAVAHRAVDAVLGPRTIQHETVVTEAAAAPAPAATTNSLAGSDACSIHYKAFQDCLNSYGTEINKCQFYMDMLSECRKNSGSMMGA >EOY02001 pep chromosome:Theobroma_cacao_20110822:2:41645451:41647993:-1 gene:TCM_011765 transcript:EOY02001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDEHQYFGSNLQKELMQLMTVTLYPTSKRRNGPKVERYVLTKWKRSRRRGRRRRRRRRGWGGRKKERDREKQMHGKWGKKEDCVGC >EOX99828 pep chromosome:Theobroma_cacao_20110822:2:16988756:16996378:1 gene:TCM_008783 transcript:EOX99828 gene_biotype:protein_coding transcript_biotype:protein_coding description:evolutionarily conserved C-terminal region 9 [Source:Projected from Arabidopsis thaliana (AT1G27960) TAIR;Acc:AT1G27960] MTMAHQSSDRLTSADSAEALNVLVLDRNNNLANRNLLTDKDLVTVGPLRDGADQSRFMPSVVDSKTTFLSNAYSTEAQFHLEADKQGSFLPYLSAEGLESGFHGIYNETASLGFHGFRHSSQMPHRPYVPVSSQLPLVGDPSWLPTTRHFPTSDSSYHQPSVPLNIPNVTSKAQFSHLEFPVNIEQQLDGKRFGLRPNYLPPSGSYGGRSNFLGNSGKHCMQYQGFDGFGAAGFCSDCSKPFIGKSSLFQTSYPAASPKRVGSLEFPSNDIGMVNASAQFCGVAEMVGPVDFDKSVEYWLQDKWSGQFPVKWHIIKDVPNSQFRHILLENNDNKPVTNSRDTQEVELEQGIEMLNIFKNYESHSSILDDFYFYEERQKAMQERKARRLSSMVASPDDFVGESQNFVSLPNDFVKKMSKSFAEALLVNENEKTGGATRKMLSTACGGLGR >EOX99827 pep chromosome:Theobroma_cacao_20110822:2:16988579:16996466:1 gene:TCM_008783 transcript:EOX99827 gene_biotype:protein_coding transcript_biotype:protein_coding description:evolutionarily conserved C-terminal region 9 [Source:Projected from Arabidopsis thaliana (AT1G27960) TAIR;Acc:AT1G27960] MTMAHQSSDRLTSADSAEALNVLVLDRNNNLANRNLLTDKDLVTVGPLRDGADQSRFMPSVVDSKTTFLSNAYSTEAQFHLEVLCLQDAADKQGSFLPYLSAEGLESGFHGIYNETASLGFHGFRHSSQMPHRPYVPVSSQLPLVGDPSWLPTTRHFPTSDSSYHQPSVPLNIPNVTSKAQFSHLEFPVNIEQQLDGKRFGLRPNYLPPSGSYGGRSNFLGNSGKHCMQYQGFDGFGAAGFCSDCSKPFIGKSSLFQTSYPAASPKRVGSLEFPSNDIGMASFLKGSFYGFVSSSGSGSISYTGSRSDQSSGCGSVSSSSLGINGQNWPSLDEAREGGNCKDFSCSCTVTLDTLSERNKGPRAFKPKSQITAKGFIVDSSKNGPTNGISNGSYNRQNFVTDYVDAKFFVIKSYSEDNIHKSIKYGVWASTPSGNKKLDTAYHEAKEKQVTSPVFLLFSVNASAQFCGVAEMVGPVDFDKSVEYWLQDKWSGQFPVKWHIIKDVPNSQFRHILLENNDNKPVTNSRDTQEVELEQGIEMLNIFKNYESHSSILDDFYFYEERQKAMQERKARRLSSMVASPDDFVGESQNFVSLPNDFVKKMSKSFAEALLVNENEKTGGATRKMLSTACGGLGR >EOY01451 pep chromosome:Theobroma_cacao_20110822:2:39506920:39509158:-1 gene:TCM_011333 transcript:EOY01451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein, putative MTQMCPKMVKLKLRLRVHRRLLTLRRCIRCFWDRILMCSLGKPIRYRVLPRSANASATATTTAVPSPSSASVDCSFSASRDVTLPACHHNHHDSKDSDLVPLKISLLGDSQIGKTSFLVKYIGDEKDELEAIQENGLNLMDKTFFVRGARISYSIWDVDGGQKSKDHIPLACKDSVAILFMFDLTSRCTLNSVISWYQQARKWNQTAIPILIGTKFDDFIQLPIDLQWTIASQARAYAKALSATLFFSSATYNINVNKIFKFITAKLFDLPWTVERNLTIGEPIIDF >EOY00830 pep chromosome:Theobroma_cacao_20110822:2:35452263:35458701:-1 gene:TCM_010763 transcript:EOY00830 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MAAVAVSNVVAFKSVSKVGDCSDRKNVQFRQWSPISGGIGSVQTRPCIGLQCRSRRSFTSSGVRAQVATVEQASTEAAQKVEAPVAIVTGASRGIGRAVALALGKAGCKVLVNYARSSKEAEEVSKEIESYGGQALTFGGDVSKEADVESMIKTAVDTWGTVDILINNAGITRDTLLMRMKKSQWQEVIDLNLTGVFLCTQAAAKIMMKKKKGRIINIASVVGLVGNVGQANYSAAKAGVIGFTKTVAKEYASRNINVNAIAPGFIASDMTAKLGKDIEKKILETIPLGRYGQPEEVAGLVEFLALNPASSYITGQVFTIDGGMVM >EOY00831 pep chromosome:Theobroma_cacao_20110822:2:35453639:35458532:-1 gene:TCM_010763 transcript:EOY00831 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 RRSFTSSGVRAQVATVEQASTEAAQKVEAPVAIVTGASRGIGRAVALALGKAGCKVLVNYARSSKEAEEVSKEIESYGGQALTFGGDVSKEADVESMIKTAVDTWGTVDILINNAGITRDTLLMRMKKSQWQEVIDLNLTGVFLCTQAAAKIMMKKKKGRIINIASVVGLVGNVGQANYSAAKAGVIGFTKTVAKEYASRNINVNAIAPGFIASDMTAKLGKDIEKKILETIPLEEGMVNQKKLLDW >EOX96848 pep chromosome:Theobroma_cacao_20110822:2:223954:231443:-1 gene:TCM_006000 transcript:EOX96848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 1 precursor MQFLKEQLNFLLKVRMRGRRPRKRAKLSSGTQIQARSNSFDSRGYCYRSLPPVSGEEHTAMVAAILTLMMESFATGYHKRNELSKAQPVNGDEESEEHGGHSHGHGHGHGRGGHGHGSAFVLDRTKSSDLIRHRIVSQVLELGIVVHSVIIGLSLGASENPRTIKPLVAAISFHQFFEGMGLGGCILPAKFKHRAVAIMVLFISLTAPIGIAVGIGLSNAYNENSPTALIVQGLLNSASAGILIYMALVDLLADVFMSPQMLSNIRLQLATAFTLLLGVCYCACENELGDSSSNKTKALKYKLVAISSILIASALGVSLPILGKKIPTFQPENNVFFLIKAFAGGVILATGFVHILPDAYESLSSPCIGEKPWGVFPFTGFLAMVSAIVTMMIDTIATSFYKRSHFNKALPVNGDEEMLGEHGGHVHVHTHATHGHAHGSAFVSEDSGSSGLIIRQRIISQVLEVGIVVHSVIIGIALGASQTAKTIKPLVAALTFHQFFEGMGLGGCISQAKFKTRAIGIMLLFFSFTTPMGIAFGMGVSKIYNENSSKALVVEGIFNSLSAGILIYMSLVDLLAADFMNPRMQSSIKLQLGCNLSLLLGAACMSLLAKWF >EOY00419 pep chromosome:Theobroma_cacao_20110822:2:32182538:32185786:-1 gene:TCM_010283 transcript:EOY00419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRHCHMPGVSIKHVEVTCQGVRNELEMGAAAGAQGKYNAHNLADEGRVRDLGRRRKDCGVPLGHGPPTYRLNWIRTYADQAHICMHASVNIVIRIYFTFPSYFSLVMLMDDDSEVDGDRVHREGMAKPLQALIEKILF >EOY01074 pep chromosome:Theobroma_cacao_20110822:2:37382296:37387423:-1 gene:TCM_011017 transcript:EOY01074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate-zim-domain protein 3, putative isoform 2 MERDFMGLNSKESLVVVKEEVNCEGYKEIGFTKRSGIQWPFSNKVSAVPQLMNFNFPQGDKIKKIGSDALVSPGFMPISTADAAEVQKSFNHNRQGGSHFSLTACSVQHDLHPVQCPYDVKMFPVSNQAVSVSVSNPFMKNHFATAGLNLPVTSMKPQLLGGIPVTTPHSILPTLGSVVGSIEPWKSVKASGSPAQLTIFYAGTVNVYEDITPEKAQAIMYLAHNGSSVASSVAHPKVQVQTPISKPVQVDSVPANQLINTQLSSGLSSPLSVSSHNGAQSRSGSTTTDEVMACKSTGTPTTAISKMEPPKMVNTMGSVAATSMMPSVPQARKASLARFLEKRKERLYPCYLFGNWLEGYECSAIQSKQEVSRLCYPGIQCMNFAAILAAGIVHFQQARRVTVIYDLALEGNLSKST >EOY01076 pep chromosome:Theobroma_cacao_20110822:2:37383868:37387178:-1 gene:TCM_011017 transcript:EOY01076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate-zim-domain protein 3, putative isoform 2 MNFNFPQGDKIKKIGSDALVSPGFMPISTADAAEVQKSFNHNRQGGSHFSLTACSVQHDLHPVQCPYDVKMFPVSNQAVSVSVSNPFMKNHFATAGLNLPVTSMKPQLLGGIPVTTPHSILPTLGSVVGSIEPWKSVKASGSPAQLTIFYAGTVNVYEDITPEKAQAIMYLAHNGSSVASSVAHPKVQVQTPISKPVQVDSVPANQLINTQLSSGLSSPLSVSSHNGAQSRSGSTTTDEVMACKSTGTPTTAISKMEPPKMVNTMGSVAATSMMPSVPQARKASLARFLEKRKERVMSAAPYNLSKKFLDCATLESNA >EOY01075 pep chromosome:Theobroma_cacao_20110822:2:37383861:37387422:-1 gene:TCM_011017 transcript:EOY01075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate-zim-domain protein 3, putative isoform 2 MERDFMGLNSKESLVVVKEEVNCEGYKEIGFTKRSGIQWPFSNKVSAVPQLMNFNFPQGDKIKKIGSDALVSPGFMPISTADAAEVQKSFNHNRQGGSHFSLTACSVQHDLHPVQCPYDVKMFPVSNQPQLLGGIPVTTPHSILPTLGSVVGSIEPWKSVKASGSPAQLTIFYAGTVNVYEDITPEKAQAIMYLAHNGSSVASSVAHPKVQVQTPISKPVQVDSVPANQLINTQLSSGLSSPLSVSSHNGAQSRSGSTTTDEVMACKSTGTPTTAISKMEPPKMVNTMGSVAATSMMPSVPQARKASLARFLEKRKERVMSAAPYNLSKKFLDCATLESNA >EOX98405 pep chromosome:Theobroma_cacao_20110822:2:5669017:5672494:1 gene:TCM_007175 transcript:EOX98405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio domain-containing protein C6G9.14, putative MERRDNEDIRWPFIADSPLQNHLLVPSQNYRFRINGSHVPSNPNFQSPDLVQNPSLAQRYSTTQSLPQASERSHECGLENSFGRLNLNVPCNNHSQLHDLNVYLYKMRIDSAVRGQIGMFHERFVGHSNSTSLNAGQDLVGGGAISRSATFPSTPIGNHDFNNSQRRSQSLDELASACPRRLINNPDRQYRGNAWAQNSSSLLSSSMYNDRPVVYPHQRMNYSSLKELKGRICSVARDQHGCRFLQKKFDLQIITSEEIEMILLEVKLELHDLMVHQFANYLIQKVFEAGTQEQRTELLLVFVSSEQRFVHVCTDVHGTRVVQKFMERVSTPEQRSILLSVLKPIAVTLTINLHGHHIIEQCLNKFSNEDTKHLVYEIVEHCLDIATDKSGCCVLQQCLAHAKAEARERLLAEITANAFVLSEHPYGNYVAQYVLGMKVPHVTSNLIEQLRGCYATLSMNKYGSNVVEKCLKDAGEEHSARIITEIIHDPDFLKVLQDPFGNYVVQSALNVSKGDLHNTLVQFIQRHYPFLHSHLFGKKVLARTKERKNRA >EOX99697 pep chromosome:Theobroma_cacao_20110822:2:13853061:13854170:-1 gene:TCM_008463 transcript:EOX99697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPSKLCERGDGATLCASKVHIQNGHLKGILEDQKGCNRSTMLFFFPFLCFHGSQGPYSLYQDQAANSLMKKVRCGYSVCDTDLQPMEA >EOX99883 pep chromosome:Theobroma_cacao_20110822:2:17736101:17744941:1 gene:TCM_008864 transcript:EOX99883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein MALRFHAGDVSGFKFLFSLAIMYGLMSVLVHSVLYMKFIQPLGIDAPLDRFSEARAIEHVRVLSHEIDGRQEGRPGLREAARYIKAQLETLKERAGSNIRIEVEENVVAGSFNMMFLGHSISLGYRNHTNIVMRLSSIDSQDTDPSVLLNAHFDSPLGSPGAGDCGSCVASLLEIARLTIDSGWVPPRPIILLFNGAEEVFMLGAHGFMRTHKWRDSIGAVINVEASGTGGPDLVCQSGPGSWPSFVYAQSAIYPMAHSAAQDVFPVIPGDTDYRIFSQDYGNIPGLDIIFLLGGYYYHTSYDTVDRLLPGSMQARGDNLYNTVKAFAESPKLKNALERESFGISDDYNDERAIFFDYLTSFMIFYSRRVAVVLHSIPIAIFLIMPFYLRLNCGLCCCFSTFYDFVKGMILHATGIMLAIIFPVLFSILRLLFSSYAMNWFANPYLAFMMFIPISLIGLLIPRIVCHLFPLSQDASVFKTSKEMLSDEARFWGAFGFYASLTLAYLVAGLSGGFLTFCTSASMLLAWISFYLSITFYGHQSVRSTVFYVIPLIPCLTYSVYFGGFLLQFLIEKMGMMGAVPPPYGYYITDIVVASVVGVVTGWCVGPLLPICGDWLARSSILQFFLHLSVIALALSSRFFPYSTDAPKRVVFQHTFLTADANQVVDSSYDFSVVDSNSLLFLFKYAPEVAKELHIGPEFSFDTANMSNQQTFMALFPVSLLFSRSLKFPARSDEILKQYRHFPHLYAYKPQKMLSDGSRRVYLELSLGSLEEVWVAVLNITGPLSSWSFADNKLPVPENAEGGPPSYICRLTGASHENWTFWLEASNSGDIRVDVAVLDQILVDEAKKLKGLFPVWADVTAGSSFLSSYVF >EOY01502 pep chromosome:Theobroma_cacao_20110822:2:39742734:39757353:1 gene:TCM_011374 transcript:EOY01502 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase MHRLKLHFVFCSYTSMGIRGENSVLLCSVALFCLWNSASASPLVAPNGVGYEVLALIGIKRLLVDPHKVLDNWDDSSRDPCSWNMVTCSPDGFVVGLGAPSQNLSGFLSPTIGNLTNIQMVLLQNNHISGDIPSEIGSLSKLETLDLSNNNFAGQIPSTLSHLTSLQYLYEPVRLANNSLSGEIPASLANLTQLHLLDLSFNNLSGDAPTFPAKVFRQLDQNCKPLEANAATYDRTKLGMLDPTGHSGSFSKSKSNPNNTWFSQSDTQELPNPTRSLPASLVPYIQKKLVTFLGAATETMEFRKEKFVLCCVALLCLWSSACGLLSPKGVNFEVQALMGIKNFLVDPHRVLDNWDEAAVDPCSWSMVTCTPDGLVVGLGAPSQNLSGTLAPTIGNLTNLQHVLLQDNNIAGHIPSELGKLPKLNTLDLSSNSFSGQIPSSLSHVKSLQYLRLNNNSLSGPIPSSLANMTQLTFLDLSFNNLSGPMPGFYAKTFNWHSIVGNPLICATGNEQDCSRTRPMPISFPLNNSQSSQPSGRPKSHRIVLAFGSSLGCICLLILGFGLLLWCRQRHKQQIFFDVNEQHREEVCLGNLKRFPFKELQVATNNFSSKNLVGKGGFGNVYKGYLQDGTVVAVKRLKDGNAIGGEIQFQTEVEMISLAVHRNLLRLYGFCMTATERLLVYPYMSNGSVASRLKAKPALDWGTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELISGLRALEFGKTANQKGAMLDWVRKIHLEKKLEMLVDKDLKNNYDRIELEEMAQVALLCTQYLPSHRPKMSEVVRMLEGDGLAEKWEASQRAEATRSRANEFSSSERYSDLTDDSSLLVQAMELSGPR >EOX98560 pep chromosome:Theobroma_cacao_20110822:2:6196806:6201091:-1 gene:TCM_007288 transcript:EOX98560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide-protein glycosyltransferase 48kDa subunit family protein MGKLWVIFVASISIILPFLCNSFSPETPTDRRVLVLLDDFAIKSSHSLYFNSLKSRGFDLDFKLADDPKIALQRYGQYLYDALILFCPSIERFGGSIDLAAIINFVDSGHDLIIAADANASDLIREVATECGVEFDEDPSAMVIDHTSYAVSATEGDHTLIAGDDFIKSDVILGSKKIDAPVLFQGIGHSLNPSNSLVLKVLSASPAAYSANPKSKLSTPPSLTGSAISFVSIVQARNNARILITGSLSMFSNGFFRSHVQKAGSPTKHEKSGNEQFLTELSKWAFHERGHLKAVNVKHHKVGETDEPALYRINDDLEYSVEIYEWSGTSWEPYVADDVQVQFYMMSPYVLKTLLNNKKGLYSISFKVPDVYGVFQFKVEYQKLGYTSLSLSKQIPVRPYRHNEYERFIPTAYPYYGAAFSMMAGFFIFSFVHLYSK >EOX98851 pep chromosome:Theobroma_cacao_20110822:2:7496312:7498763:-1 gene:TCM_007528 transcript:EOX98851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast-targeted copper chaperone protein MKNGKMRGFMCQSTAINATCMAADPRSAVMPRRPNRILIEDTRLINNARYSRLVESRRFVGSDKRPIFTPFVKREQNQEQKPKPLQKQVQLASSEHVFQVVVMRVSLHCQGCAGKVKKHLSKMEGVTSFSIDLESKRVTVMGHVSPVGVLESISKVKKAEFWPC >EOY00546 pep chromosome:Theobroma_cacao_20110822:2:33416169:33422688:-1 gene:TCM_010440 transcript:EOY00546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 2 isoform 2 MHKTVQLVRSLYTFFVLIGSLALLTRVGGDPRAQTLNITCGNQMEHNTTIFVPNFVATMEKISEQMRDSGFGTAVTGSGPDANHGLAQCYGDLSLLDCVLCYAEARTVLPQCYPHNGGRIFLDGCFMRSENYTFFEEYTGPDDRAVCGNTSRKGSNFQDSARQAVNHAVAAALEKEGYAKAQVAVPGTNESAYVLVNCWRTLNKSSCKACLENASASILGCLPWSEGRALNTGCFVRYSDTNFLNKEPGNGISKGTIIIIVVSVVSSLVVLAIGVAIGVYIRKHRYIQKKRRGSNDAEKLVKLLHDSNLNFKYSTLERATGSFNDVNKLGQGGFGTVYKGILPDGREIAVKRLFFNNRHRAADFYNEVNIISSVEHKNLVRLLGCSCAGPESLLVYEFLPNKSLDRFIFDPSRGKVLNWEKRYEVIIGTVEGLVYLHENSRNKIIHRDIKASNILLDSRLRAKIADFGLARAFQEDQSHISTAIAGTLGYMAPEYLAHGQLTEKVDVYSFGVLLLEIVTGKQNNRSKAAEYSDSLVTIAWKHFQLGTVEEIYDPNLMLHNNNHSSNVKNEIFRVVHIGLLCAQEIPSLRPSMSKVLQMLTKKDEDLPAPTNPPFMDEKTMEFNDTSENPGYPFNAADTDSIATVTHSSFYAR >EOY00547 pep chromosome:Theobroma_cacao_20110822:2:33415369:33422688:-1 gene:TCM_010440 transcript:EOY00547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 2 isoform 2 MHKTVQLVRSLYTFFVLIGSLALLTRVGGDPRAQTLNITCGNQMEHNTTIFVPNFVATMEKISEQMRDSGFGTAVTGSGPDANHGLAQCYGDLSLLDCVLCYAEARTVLPQCYPHNGGRIFLDGCFMRSENYTFFEEYTGPDDRAVCGNTSRKGSNFQDSARQAVNHAVAAALEKEGYAKAQVAVPGTNESAYVLVNCWRTLNKSSCKACLENASASILGCLPWSEGRALNTGCFVRYSDTNFLNKEPGNGISKGTIIIIVVSVVSSLVVLAIGVAIGVYIRKHRYIQKKRRGSNDAEKLVKLLHDSNLNFKYSTLERATGSFNDVNKLGQGGFGTVYKGILPDGREIAVKRLFFNNRHRAADFYNEVNIISSVEHKNLVRLLGCSCAGPESLLVYEFLPNKSLDRFIFDPSRGKVLNWEKRYEVIIGTVEGLVYLHENSRNKIIHRDIKASNILLDSRLRAKIADFGLARAFQEDQSHISTAIAGTLGYMAPEYLAHGQLTEKVDVYSFGVLLLEIVTGKQNNRSKAAEYSDSLVTIAFYAR >EOY00548 pep chromosome:Theobroma_cacao_20110822:2:33417859:33420287:-1 gene:TCM_010440 transcript:EOY00548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 2 isoform 2 MHKTVQLVRSLYTFFVLIGSLALLTRVGGDPRAQTLNITCGNQMEHNTTIFVPNFVATMEKISEQMRDSGFGTAVTGSGPDANHGLAQCYGDLSLLDCVLCYAEARTVLPQCYPHNGGRIFLDGCFMRSENYTFFEEYTGPDDRAVCGNTSRKGSNFQDSARQAVNHAVAAALEKEGYAKAQVAVPGTNESAYVLVNCWRTLNKSSCKACLENASASILGCLPWSEGRALNTGCFVRYSDTNFLNKEPGNGISKGTIIIIVVSVVSSLVVLAIGVAIGVYIRKHRYIQKKRRGSNDAEKLVKLLHDSNLNFKYSTLERATGSFNDVNKLGQGGFGTVYKGILPDGREIAVKRLFFNNRHRAADFYNEVNIISSVEHKNLVRLLGCSCAGPESLLVYEFLPNKSLDRFIFDPSRGKVLNWEKRYEVIIGTVEGLVYLHENSRNKIIHRDIKASNILLDSRLRAKIADFGLARAFQEDQSHISTAIAGTLGYMAPEYLAHGQLTEKVDVYSFGVLLLEIVTGKQNNRSKAAEYSDSLVTIVSFMQSL >EOY00549 pep chromosome:Theobroma_cacao_20110822:2:33417882:33422688:-1 gene:TCM_010440 transcript:EOY00549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 2 isoform 2 MHKTVQLVRSLYTFFVLIGSLALLTRVGGDPRAQTLNITCGNQMEHNTTIFVPNFVATMEKISEQMRDSGFGTAVTGSGPDANHGLAQCYGDLSLLDCVLCYAEARTVLPQCYPHNGGRIFLDGCFMRSENYTFFEEYTGPDDRAVCGNTSRKGSNFQDSARQAVNHAVAAALEKEGYAKAQVAVPGTNESAYVLVNCWRTLNKSSCKACLENASASILGCLPWSEGRALNTGCFVRYSDTNFLNKEPGNGISKGTIIIIVVSVVSSLVVLAIGVAIGVYIRKHRYIQKKRRGSNDAEKLVKLLHDSNLNFKYSTLERATGSFNDVNKLGQGGFGTVYKGILPDGREIAVKRLFFNNRHRAADFYNEVNIISSVEHKNLVRLLGCSCAGPESLLVYEFLPNKSLDRFIFDPSRGKVLNWEKRYEVIIGTVEGLVYLHENSRNKIIHRDIKASNILLDSRLRAKIADFGLARAFQEDQSHISTAIAGTL >EOX98319 pep chromosome:Theobroma_cacao_20110822:2:5331069:5331891:-1 gene:TCM_007106 transcript:EOX98319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEINWFQFYQEITQSKARVIEILVHWRPGSLQINQTWMNGCSRSNTKNEIAPLMLLICGLTSKLNLIQFQPIR >EOX98632 pep chromosome:Theobroma_cacao_20110822:2:6555821:6567065:1 gene:TCM_007348 transcript:EOX98632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 6B2 MLMRFSLQRVKNLRALRPQVLASATSRFSTATEPTFNHRNPPRVPNLIGGAFVDSKSTATIDVLNPATQQVVSQVPLTTNEEFKAALAAAKQAFPSWRNTPVTTRQRIMFKLQELIRRDMDKLAKNITTEQGKTLKDAHGDVFRGLEVVEHSCGMATLQMGEYVPNVSSGIDTYSVREPLGVCAGICAFNFPAMIPLWMFPIAVTCGNTFILKPSEKDPGASIILAELAMEAGLPHGVLNIVHGTNDIVNAICDDDDIKAISFVGSNTAGMHIYGRASAKGKRVQSNMGAKNHGIVMPDANKDATLNALVAAGFGAAGQRCMALSTIVFVGDSESWENELIERAKALKVNAGTEPDADLGPVISKQAKERICRLIQSGVESGAKLLVDGRNIVVPGYEQGNFIGPTILSGVTADMECYKEEIFGPVLICMKADSLEEAINIVNRNKYGNGASVFTTSGAAARKFQTEIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFNGKAGVNFYTQIKTVTQQWKDLPSGSGVSLAMPTSQKL >EOX99938 pep chromosome:Theobroma_cacao_20110822:2:18897949:18903674:-1 gene:TCM_008997 transcript:EOX99938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARIEYEGLQMVCFQCGHFGHNTEVCLTQWKVNGNTSADKGLNLDESKWGMPRECETSKFGPWMVAKKTYRKAPILKTKVGIQEQCLKQVRHAGSRFIMLEEEQNNMEVEELVPATVEPVSTVHESPKTWKKKEMEELIGVGMNGVAQAKETIQMDEDEKANTVCKRIGLPNYFRVEAIGFSRGISIFWDIENIEVDILAYSSQLFYMLIKSGNDEWLLTIVYGSPKVKERKLLWQSLKLASVLHDVAWMVVDLGSSSSQYTWCRQYDGFNFTRERLDRAVANAKWCRLYSQSELYSNLYLDDGTETPLNPSFAWKLMTLKPLEQNRLQLKSARVQPSLARVGGLVQDENGHWLFGFTYKLGYLTRYQLNSSHFIRG >EOX98955 pep chromosome:Theobroma_cacao_20110822:2:7918028:7918889:1 gene:TCM_007616 transcript:EOX98955 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MISLMCSHSRLCTAALIFYTCIWIPFLQLKRALLRLLGFALPWDRAEESCQVKVCLAVARFEDLKLSNCSRGRTADNGEVEEVCSICLVEFEKEDVVSQLRKCRHVFHMNCIEKWLDHDQFTCPLCRSFLFDNVNSSHAKCGTTPHTASHLISSWLSF >EOX99334 pep chromosome:Theobroma_cacao_20110822:2:10188111:10191545:1 gene:TCM_007966 transcript:EOX99334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferases,folic acid binding MRNQEQRDGMDVSSSCKIKTAANQSMLLCCKIFVSESRNRAALDAIERAARLDPETVVVNKFEDRAYNRSRYTLVSYVVHDSTGTAIYSPLQRTVLTMVEAVYEAINLELHSGAHPRLGVVDDIVLHPLARSSLDEAAWLAKAVAADIGNILQVPVFLYGAAHPTGKALDTIRRELGYYRPNFMGNQWAGWTMPENLSKNPDEGPTRVSRSRGITMIGACPWVGLYNVPIMSTDVSAARRIARMVSARGGGLPTVQTLGLVHGEDSTEIACMLLEPNRIGADRVQSSVETLAAQQGLDVEKGYFTDSSPEMILEKYLNLISADRA >EOY00781 pep chromosome:Theobroma_cacao_20110822:2:35069680:35075194:1 gene:TCM_010701 transcript:EOY00781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component sensor histidine kinase bacteria, putative isoform 3 MVESGFSSPRHDAFPAGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCGLARDALSLLRERKDGYDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKKIHEVRDIESLEGNEGLQMTRSGSDLVDDGHLLSGEDMNSARKRKDAENKHDDRDLSDPSSTKKARVVWTVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLSRLQKDSDVKNSFVGMKHSDPPSKDSTDCFGIHSSMSVIQDDVSNGTYNFSVNNSLVQNVDLNHEGDKKGITSAPVAEPKGALSIDIPDPHKAQSSQISFDHSLGSVDSGLKFALFNSTNQTRYSWSEIPEIQFKQECEPLQLENGFSQLPLPGSQHQVQTEYLQPAASISSGPSITEKEVSSRPLYDEYRSNHVKHLSPTEAVDLFPVPSRNQTLNNQVFNPISATTSSMKNQGISLNDLEFAQRNLNGGVGVPIASLSEDLQFCWLQGECYAMNIGLQDFECIEYNDPAPIAEIPFLLYDAPRFDHEHLFDPTEYAAIDQGLFA >EOY00782 pep chromosome:Theobroma_cacao_20110822:2:35070118:35074708:1 gene:TCM_010701 transcript:EOY00782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component sensor histidine kinase bacteria, putative isoform 3 MVESGFSSPRHDAFPAGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCGLARDALSLLRERKDGYDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKKIHEVRDIESLEGNEGLQMTRSGSDLVDDGHLLSGEDMNSARKRKDAENKHDDRDLSDPSSTKKARVVWTVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLSRLQKDSDVKNSFVGMKHSDPPSKDSTDCFGIHSSMSVIQDDVSNGTYNFSVNNSLVQNVDLNHEGDKKGITSAPVAEPKGALSIDIPDPHKAQSSQISFDHSLGSVDSGLKFALFNSTNQTRYSWSEIPEIQFKQECEPLQLENGFSQLPLPGSQHQVQTEYLQPAASISSGPSITEKEKPNAK >EOY00783 pep chromosome:Theobroma_cacao_20110822:2:35069680:35075817:1 gene:TCM_010701 transcript:EOY00783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component sensor histidine kinase bacteria, putative isoform 3 MVESGFSSPRHDAFPAGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCGLARDALSLLRERKDGYDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKKIHEVRDIESLEGNEGLQMTRSGSDLVDDGHLLSGEDMNSARKRKDAENKHDDRDLSDPSSTKKARVVWTVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQNVDLNHEGDKKGITSAPVAEPKGALSIDIPDPHKAQSSQISFDHSLGSVDSGLKFALFNSTNQTRYSWSEIPEIQFKQECEPLQLENGFSQLPLPGSQHQVQTEYLQPAASISSGPSITEKEVSSRPLYDEYRSNHVKHLSPTEAVDLFPVPSRNQTLNNQVFNPISATTSSMKNQGISLNDLEFAQRNLNGGVGVPIASLSEDLQFCWLQGECYAMNIGLQDFECIEYNDPAPIAEIPFLLYDAPRFDHEHLFDPTEYAAIDQGLFA >EOX98041 pep chromosome:Theobroma_cacao_20110822:2:4350094:4355003:1 gene:TCM_006900 transcript:EOX98041 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein isoform 2 MATLFLFLSFLLLSFHFSSSESFIGVNYGQVADNLPPPSATAKLLQSTSIEKVRLYGADPAIIKALANTGIGIVIGATNGDVPALASDPNSAAQWVNSNVLPFYPASKIILITVGNEVLMTNDPNLINQLLPAMQNVQNALNAASLGGKVKVSTVHSMAVLSQSDPPSSGLFSPSYQPALKGLLQFQKENGSPFAINPYPFFAYQSDSRPETLAFSLFQPNAGRVDSGNGIKYTNMFDAQVDAVHSALSAMGFKDVEIMVAETGWPYAGDSNEVGPSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDEDLKSGPASERAFGLFRPDLSMTYDAGLSKSSQTPSTPQNPVTPVTPQPKPTASGWCVPKAGISDAQLQASLDYACSQGIDCGPIQPGGACFEPNTVASHAAYAMNLYYQTSGKNLWNCDFSQTATVTSQNPSYNNCIYPGGST >EOX98040 pep chromosome:Theobroma_cacao_20110822:2:4349987:4355168:1 gene:TCM_006900 transcript:EOX98040 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein isoform 2 MATLFLFLSFLLLSFHFSSSESFIGVNYGQVADNLPPPSATAKLLQSTSIEKVRLYGADPAIIKALANTGIGIVIGATNGDVPALASDPNSAAQWVNSNVLPFYPASKIILITVGNEVLMTNDPNLINQLLPAMQNVQNALNAASLGGKVKVSTVHSMAVLSQSDPPSSGLFSPSYQPALKGLLQFQKENGSPFAINPYPFFAYQSDSRPETLAFSLFQPNAGRVDSGNGIKYTNMFDAQAPVLSVMVLMTFFFHVVPKYIRAGHCNNSRFALPGFHLWLDVDVDAVHSALSAMGFKDVEIMVAETGWPYAGDSNEVGPSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDEDLKSGPASERAFGLFRPDLSMTYDAGLSKSSQTPSTPQNPVTPVTPQPKPTASGWCVPKAGISDAQLQASLDYACSQGIDCGPIQPGGACFEPNTVASHAAYAMNLYYQTSGKNLWNCDFSQTATVTIIASILVGAPEKADQGDLKLSKENE >EOX98540 pep chromosome:Theobroma_cacao_20110822:2:6131034:6134855:-1 gene:TCM_007275 transcript:EOX98540 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MMESCCLQSPAITTVPSSLPRSGFIEKPLGYGRVFKLPRCKKYPLSRKLKVFDFRAQASGTTKTNSEVAGAISNKADSKDEDLAFVAGATGRVGSRTVRELLKLGFQVRAGVRSAQKAETLVQSVKQMKLNSEGTTPVEKLEIVEYDLEKQDTIAPALGNASVVICCIGAGEKEVFDITGPYRIDYQATQNLIHAATVAKVNHFILVSSLGTNKVGFPAAILNLFWGVLIWKRKAEEALIASGLPYTIVRPGGMERPTDAFKETHNITLSTEDTLFGGLVSNLQVAELMACMAKNRSLSHCKVVEVGAERTAPLRPMEELLAKIPSQRADIYSPKESDAPFKSDSAPAKSIITEKPITPSEKKPEQAKAVEPRPLSPYTA >EOX98545 pep chromosome:Theobroma_cacao_20110822:2:6130373:6134884:-1 gene:TCM_007275 transcript:EOX98545 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MMESCCLQSPAITTVPSSLPRSGFIEKPLGYGRVFKLPRCKKYPLSRKLKVFDFRAQASGTTKTNSEVAGAISNKADSKDEDLAFVAGATGRVGSRTVRELLKLGFQVRAGVRSAQKAETLVQSVKQMKLNSEGTTPVEKLEIVEYDLEKQDTIAPALGNASVVICCIGAGEKEVFDITGPYRIDYQATQNLIHAATVAKVNHFILVSSLGTNKVGFPAAILNLFWGVLIWKRKAEEALIASGLPYTIVRPGGMERPTDAFKETHNITLSTEDTLFGGLVSNLQNSWHAWPKTAAFPTVRWWKSVQKELLH >EOX98544 pep chromosome:Theobroma_cacao_20110822:2:6130373:6134884:-1 gene:TCM_007275 transcript:EOX98544 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MMESCCLQSPAITTVPSSLPRSGFIEKPLGYGRVFKLPRCKKYPLSRKLKVFDFRAQASGTTKTNSEVAGAISNKADSKDEDLAFVAGATGRVGSRTVRELLKLGFQVRAGVRSAQKAETLVQSVKQMKLNSEGTTPVEKLEIVEYDLEKQDTIAPALGNASVVICCIGAGEKEVFDITGPYRIDYQATQNLIHAATVAKVNHFILVSSLGTNKVGFPAAILNLFWGVLIWKRKAEEALIASGLPYTSDQEEWSGPLMLSRKLIILPSLLKILYLVAWCQIFRWQNSWHAWPKTAAFPTVRWWKSVQKELLH >EOX98543 pep chromosome:Theobroma_cacao_20110822:2:6130397:6134855:-1 gene:TCM_007275 transcript:EOX98543 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MMESCCLQSPAITTVPSSLPRSGFIEKPLGYGRVFKLPRCKKYPLSRKLKVFDFRAQASGTTKTNSEVAGAISNKADSKDEDLAFVAGATGRVGSRTVRELLKLGFQVRAGVRSAQKAETLVQSVKQMKLNSEGTTPVEKLEIVEYDLEKQDTIAPALGNASVVICCIGAGEKEVFDITGPYRIDYQATQNLIHAATVAKVNHFILVSSLGTNKVGFPAAILNLFWGVLIWKRKAEEALIASGLPYTIVRPGGMERPTDAFKETHNITLSTEDTLFGGLVSNLQVAELMACMAKNRSLSHCKVVEVGAERTAPLRPMEELLAKIPSQRADIYSPKI >EOX98546 pep chromosome:Theobroma_cacao_20110822:2:6129367:6133689:-1 gene:TCM_007275 transcript:EOX98546 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 TNSEVAGAISNKADSKDEDLAFVAGATGRVGSRTVRELLKLGFQVRAGVRSAQKAETLVQSVKQMKLNSEGTTPVEKLEIVEYDLEKQDTIAPALGNASVVICCIGAGEKEVFDITGPYRIDYQATQNLIHAATVAKVNHFILVSSLGTNKVGFPAAILNLFWGVLIWKRKAEEALIASGLPYTSDQEEWSGPLMLSRKLIILPSLLKILYLVAWCQIFREPRPLSPYTAYDDLKPPSSPSPTAPTVASADSGFQGAELSINRTAQSPIADKPSDKQHNPGPTSRPLSPFTMYEDLKPPTSPIPSSRKS >EOX98539 pep chromosome:Theobroma_cacao_20110822:2:6129078:6134862:-1 gene:TCM_007275 transcript:EOX98539 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MMESCCLQSPAITTVPSSLPRSGFIEKPLGYGRVFKLPRCKKYPLSRKLKVFDFRAQASGTTKTNSEVAGAISNKADSKDEDLAFVAGATGRVGSRTVRELLKLGFQVRAGVRSAQKAETLVQSVKQMKLNSEGTTPVEKLEIVEYDLEKQDTIAPALGNASVVICCIGAGEKEVFDITGPYRIDYQATQNLIHAATVAKVNHFILVSSLGTNKVGFPAAILNLFWGVLIWKRKAEEALIASGLPYTIVRPGGMERPTDAFKETHNITLSTEDTLFGGLVSNLQVAELMACMAKNRSLSHCKVVEVGAERTAPLRPMEELLAKIPSQRADIYSPKESDAPFKSDSAPAKSIITEKPITPSEKKPEQAKAVEPRPLSPYTAYDDLKPPSSPSPTAPTVASADSGFQGAELSINRTAQSPIADKPSDKQHNPGPTSRPLSPFTMYEDLKPPTSPIPSSRKS >EOX98542 pep chromosome:Theobroma_cacao_20110822:2:6129367:6134886:-1 gene:TCM_007275 transcript:EOX98542 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MMESCCLQSPAITTVPSSLPRSGFIEKPLGYGRVFKLPRCKKYPLSRKLKVFDFRAQASGTTKTNSEVAGAISNKADSKDEDLAFVAGATGRVGSRTVRELLKLGFQVRAGVRSAQKAETLVQSVKQMKLNSEGTTPVEKLEIVEYDLEKQDTIAPALGNASVVICCIGAGEKEVFDITGPYRIDYQATQNLIHAATVAKVNHFILVSSLGTNKVGFPAAILNLFWGVLIWKRKAEEALIASGLPYTAERTAPLRPMEELLAKIPSQRADIYSPKESDAPFKSDSAPAKSIITEKPITPSEKKPEQAKAVEPRPLSPYTAYDDLKPPSSPSPTAPTVASADSGFQGAELSINRTAQSPIADKPSDKQHNPGPTSRPLSPFTMYEDLKPPTSPIPSSRKS >EOX98541 pep chromosome:Theobroma_cacao_20110822:2:6129078:6134907:-1 gene:TCM_007275 transcript:EOX98541 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MMESCCLQSPAITTVPSSLPRSGFIEKPLGYGRVFKLPRCKKYPLSRKLKVFDFRAQASGTTKTNSEVAGAISNKADSKDEDLAFVAGATGRVGSRTVRELLKLGFQVRAGVRSAQKAETLVQSVKQMKLNSEGTTPTVAKVNHFILVSSLGTNKVGFPAAILNLFWGVLIWKRKAEEALIASGLPYTIVRPGGMERPTDAFKETHNITLSTEDTLFGGLVSNLQVAELMACMAKNRSLSHCKVVEVGAERTAPLRPMEELLAKIPSQRADIYSPKESDAPFKSDSAPAKSIITEKPITPSEKKPEQAKAVEPRPLSPYTAYDDLKPPSSPSPTAPTVASADSGFQGAELSINRTAQSPIADKPSDKQHNPGPTSRPLSPFTMYEDLKPPTSPIPSSRKS >EOY01579 pep chromosome:Theobroma_cacao_20110822:2:40148217:40150687:-1 gene:TCM_011442 transcript:EOY01579 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD domain-containing protein, putative MEDFWKRAKSFAEEAAKKSQSLATPNKIADLVAETAKKSKELALEATKKADELKTAALKQADQIQIQSISKSISDIIPPQLSSLSITSSASTSSDPPPISDSELRKFGLTDDLRDFVRGFTSSTFQNFPSPVQDEPEPSDATTTGSNVRKDLSEWQERHATLVLTTVKEIKKLRYELCPRLMKERKFWRIYFTLVSTHVGPFEKEYMEEVKQRAEEAKEDKSKQTPVVKAEEPESGLQSKTSSSSAEQDLDTFLLGDFEDSDGGGDDGDADADGSFGDDFDKIENSDVEDEKKNAVGTKV >EOX98399 pep chromosome:Theobroma_cacao_20110822:2:5637582:5653455:-1 gene:TCM_007171 transcript:EOX98399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein with RING/FYVE/PHD-type zinc finger domain, putative isoform 1 MIKVEHMGVSSSQAKSKKGNHFCPEESTSEQAHEFGSEYLLTELSENKNQCGYAATQNESAENATGVSSSGVHERSPEYVAKNSSPERSGLLPKGVMGHNHTDKSFYAQETVSGKTHEYDCEYVRTETSEEKHQPGSEIVQNELEEACSLVCDLPAKNLQTFSEGLSENAITESLGLLPEDSSKHTKTDKLSCPQLVSSEPTVNFGSGNVCKELGESPEQRQQLDSESLPNGIEESTIAVSSNVSNQALQLKPEDMGKSHCGGHLHSPPEGVTNVIQSSKSPLVEPLGLPQEFAQGNPSTQQSGLPCEDMAQNSGVEQHETKPKNLLENSGRRRNGKTSKTIKKKYMLRSLRSSDRVLRSKLQEKPKATESSNNLADVGSSEQQKRRKRRRRKANREVADEFSRIRTHLRYLLNRINYERSLIAAYSTEGWKGLSLEKLKPEKELQRATSEILRRKLKIRDLFQHIDSLCAEGKLPESLFDSEGQIDSEDIFCAKCGSKDLSANNDIILCDGACDRGFHQYCLQPPLLKEDIPPDDEGWLCPGCDCKVDCIELVNESQGTSFSITDSWEKVFPEAAVAAAGQNQDPNFGLPSDDSDDNDYNPDGSETDEKDHGDESSSEESEFTSTSEELEVPAKVDQYLGLPSDDSEDDDYDPDGPNHDEVVKPESSSSDFSSDSEDLDAMLEEDITSQKDEGPMANSAPRDSKRRKPKLGEKESMNDELLSIMEPASEQDGSAISKKRSIERLDYKRLYDETYGNVPSSSSDDEDWSDITAPRKRNKCTAEVASAPENGNVSVSRTVSVSDGLKQNPEETEHKPRRKTRQMSRFKDTDSSPAEIQGNTSVSGSSGKKAGSSTYKRLGEAVKQRLYKSFKENQYPDRATKQSLAKELDMTFQQVSKWFDNARWSFNNSPSSHETIANNASEKDITSSLPNKEVTGSGNVRDGDNSGKIN >EOX98400 pep chromosome:Theobroma_cacao_20110822:2:5638172:5642826:-1 gene:TCM_007171 transcript:EOX98400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like protein with RING/FYVE/PHD-type zinc finger domain, putative isoform 1 MIKVEHMGVSSSQAKSKKGNHFCPEESTSEQAHEFGSEYLLTELSENKNQCGYAATQNESAENATGVSSSGVHERSPEYVAKNSSPERSGLLPKGVMGHNHTDKSFYAQETVSGKTHEYDCEYVRTETSEEKHQPGSEIVQNELEEACSLVCDLPAKNLQTFSEGLSENAITESLGLLPEDSSKHTKTDKLSCPQLVSSEPTVNFGSGNVCKELGESPEQRQQLDSESLPNGIEESTIAVSSNVSNQALQLKPEDMGKSHCGGHLHSPPEGVTNVIQSSKSPLVEPLGLPQEFAQGNPSTQQSGLPCEDMAQNSGVEQHETKPKNLLENSGRRRNGKTSKTIKKKYMLRSLRSSDRVLRSKLQEKPKATESSNNLADVGSSEQQKRRKRRRRKANREVADEFSRIRTHLRYLLNRINYERSLIAAYSTEGWKGLSLEKLKPEKELQRATSEILRRKLKIRDLFQHIDSLCAEGKLPESLFDSEGQIDSEDIFCAKCGSKDLSANNDIILCDGACDRGFHQYCLQPPLLKEDIPPDDEGWLCPGCDCKVDCIELVNESQGTSFSITDSWEKVFPEAAVAAAGQNQDPNFGLPSDDSDDNDYNPDGSETDEKDHGDESSSEESEFTSTSEELEVPAKVDQYLGLPSDDSEDDDYDPDGPNHDEVVKPESSSSDFSSDSEDLDAMLEEDITSQKDEGPMANSAPRDSKRRKPKLGEKESMNDELLSIMEPASEQDGSAISKKRSIERLDYKRLYDETYGNVPSSSSDDEDWSDITAPRKRNKCTAEVASAPENGNVSVSRTVSVSDGLKQNPEETEHKPRRKTRQMSRFKDTDSSPAEIQGNTSVSGSSGKKAGSSTYKRLGEAVKQRLYKSFKENQYPDRATKQSLAKELDMTFQQVSKWFDNARWSFNNSPSSHETIANNASEKDITSSLPNKEVTGSGNVRDGDNSGKIN >EOX99611 pep chromosome:Theobroma_cacao_20110822:2:12683293:12685428:-1 gene:TCM_008332 transcript:EOX99611 gene_biotype:protein_coding transcript_biotype:protein_coding description:F3H9.20 protein MVMASRVLSTTLVAPPTTLTKSRVKPSTSSQKPSSYSSSSLFKVGVRNGKITCKAVSESSQGSIDRTVYQGAFGPWTVDPEDVREVVLYRSGLVTAASSFVIAASAAILPDNFALKEIIEQNLNLFYLIGAGGLGLSLYLIHIYVTELKRTLQALWALGVVGSLATYIALAQPAGENLVQYVVDNPTAVWFVGPLFASLTGLVFKEGLCYGKLEAGILTFIIPTVLLGHLTGLMDDGVKLTLLASWMALFVIFAGRKFTQPIKDDIGDKSVFMFNSLPEDEKKALAEKLELQKLQSDT >EOX98138 pep chromosome:Theobroma_cacao_20110822:2:4666880:4668474:1 gene:TCM_006969 transcript:EOX98138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWFDSGWNVFVEHYSICVGYSLVLRYEGNSHSNVHVHNLAICVMYFLVFRYNGNSHCNVHHIPRWFARKHLNGVNGTITLQVSEGKKWPVRCIYVDGHLKFCKGWAEFVLDNNLDEGDVCVFELLNTEEIVLKVTIFRVLEDAGSVNQL >EOY01304 pep chromosome:Theobroma_cacao_20110822:2:38908522:38913720:1 gene:TCM_011238 transcript:EOY01304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate synthase beta subunit 1 MDATVIMRSSLVQPKPSLSAKTLQNPSLSRLSRLSAPSRIGFMAKKRTGIVGKASLSSAVSDSSASLLENKKNNRNPIVVIDNYDSFTYNLCQYLGELGCYFEVYRNDELAVEELKRKNPRGVLISPGPGTPQDSGISLQTVLELGPTVPLFGVCMGLQCIGEAFGGKIVRSPYGVMHGKSSLVYYDEKGEDGLFTGLSNPFNAGRYHSLVIEKDSFPGEELEVTAWTEDGLIMAARHKVYKHLQGVQFHPESIITSEGKTIVRNFVKLIEKKEAAESQN >EOX97491 pep chromosome:Theobroma_cacao_20110822:2:2458951:2459596:1 gene:TCM_006490 transcript:EOX97491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRECLHELQSSIRRKRGGELGKYLNSRKVVKRAVRTVLKNLKRMENKCTIYSFNKADETVAIDTVNVLREVESVNIRVFESLLPFISRTRFRFKAKEQVVYGFQA >EOX98927 pep chromosome:Theobroma_cacao_20110822:2:7803425:7806913:-1 gene:TCM_007591 transcript:EOX98927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MSLDTHTKLLLVLSLFCFNVVFAGESGARSADLGMEGFEQHGRGLGLSHYKGRSSHLFNVKSFGARADGLTDDSKAFRAAWKKACQATGEVDLVIPRGTYLVGPVKFAGPCTNVSKITVRVKGYLKATTNLSQYGYSAGWVEFKWVEGLILTGGGTFDGQGAKAWPYNRCPTDFNCKLLPTNVKFVGTNRTVVRSITSVNSKFFHMALVECKNFKGSKIKISAPADSPNTDGIHIERSSSVHFSRSLIGTGDDCISIGQGNSQVTITSISCGPGHGISVGSLGRYRNEGDVSGLVVRDCTITGTTNGIRIKTWANSPDRSAATNMTFENINMNNVTNPIIIDQAYCPFTSCTPMGTSQVKLSDIYFKKIKGTSSSAVAVTLECSKGIPCQDIYLEDVHLDLASGEKQATSTCKNVRAKYIGTQIPPPCA >EOX98928 pep chromosome:Theobroma_cacao_20110822:2:7803438:7806909:-1 gene:TCM_007591 transcript:EOX98928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MSLDTHTKLLLVLSLFCFNVVFAGESGARSADLGMEGFEQHGRGLGLSHYKGRSSHLFNVKSFGARADGLTDDSKATTNLSQYGYSAGWVEFKWVEGLILTGGGTFDGQGAKAWPYNRCPTDFNCKLLPTNVKFVGTNRTVVRSITSVNSKFFHMALVECKNFKGSKIKISAPADSPNTDGIHIERSSSVHFSRSLIGTGDDCISIGQGNSQVTITSISCGPGHGISVGSLGRYRNEGDVSGLVVRDCTITGTTNGIRIKTWANSPDRSAATNMTFENINMNNVTNPIIIDQAYCPFTSCTPMGTSQVKLSDIYFKKIKGTSSSAVAVTLECSKGIPCQDIYLEDVHLDLASGEKQATSTCKNVRAKYIGTQIPPPCA >EOX98926 pep chromosome:Theobroma_cacao_20110822:2:7803030:7805664:-1 gene:TCM_007591 transcript:EOX98926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MSLDTHTKLLLVLSLFCFNVVFAGESGARSADLGMEGFEQHGRGLGLSHYKGRSSHLFNVKSFGARADGLTDDSKAFRAAWKKACQATGEVDLVIPRGTYLVGPVKFAGPCTNVSKITVRVKGYLKATTNLSQYGYSAGWVEFKWVEGLILTGGGTFDGQGAKAWPYNRCPTDFNCKLLPTNVKFVGTNRTVVRSITSVNSKFFHMALVECKNFKGSKIKISAPADSPNTDGIHIERSSSVHFSRSLIGTGDDCISIGQGNSQVTITSISCGPGHGISVGSLGRYRNEGDVSGLVVRDCTITGTTNGIRIKTWANSPDRSAATNMTFENINMNNVTNPIIIDQAYCPFTSCTPMGTSQVKLSDIYFKKIKGTSSSAVAVTLECSKGIPCQDIYLEDVHLDLASGEKQATSTCKNVRAKYIGTQIPPPCA >EOX97920 pep chromosome:Theobroma_cacao_20110822:2:3984828:3986066:1 gene:TCM_006825 transcript:EOX97920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MIGVHKLHWQHLCLFRPDRVKALVNLSVPYWPRSPNIKPIKAITEIFGEGVFVCLYCWSQEEKKNHFSKYDSLTILKKFLFINAPDHLLADPPGVEIIDFLETPPSLPQNWELLGPWQGARITKTTKFITGGFHLSGTKDYYVEGEEFRSLVTYLEVVVIDGHHFIQQEKLNKLPLKSYPFSARKSRV >EOX97348 pep chromosome:Theobroma_cacao_20110822:2:2046806:2051283:1 gene:TCM_006400 transcript:EOX97348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3411) [Source:Projected from Arabidopsis thaliana (AT5G24690) TAIR;Acc:AT5G24690] MSHIMFQPLPKTLLLKPSLPPPAHPFSVKFRKNLTVRCSSSSLMDCGESSVAALERCFLASPGPVESGSGEVGPVMKGGQYGAFGAVTLEKGKLDLSQKQSTSSPELATGGGGGDIGKKINHGGGDGGDDDGDDDDYFDDFDDDGEGEEGGLFRRRMFLEELFDRKFVDAVLNEWHKTMMDLPAGLRQAYEMGLVSSAQMVKFLAMNARPTTSRFISRTLPQGMSRAFIGRILADPAFLYRLLLEEVATISSSVWWELKNRKDRIKQEWDLALMNVLTVAACNALVVWSLAPCRSYGNTFRFDLQNTLQKLPNNIFERSYPLREFDLQKRIHSLFYKAAELCIVGLTAGAVQGFLSNFLASKKKEKLSVTIPSVSTNALGYGAFLGLYANLRYQLLCGFDQAMVNHFDVIGVALFFSTALRVLNVQLGERSRLAWLGVEADPLVQSDDLLKAYNRPTDDGTRSSSKWFISKNAFVSGLGLLGIRQGNAYSAADGENGAPKARRKRIVRKKVTASSA >EOY00413 pep chromosome:Theobroma_cacao_20110822:2:32162612:32164943:-1 gene:TCM_010279 transcript:EOY00413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLLSDTVKGLMPFSNDIATLEDETTFDQGEDYWFLASKDSFVDNFDGGPNGKHDESLNDNWGNDNDVPSCNHVESGTKHVGGVDLGDFQCDDPIYNNPIASDNMIHSLETLLDDNDQERVNAKVVIEFVIDIDATHKKGRFRGVLFVVVYKDVNKCIYFVAFGIGPTLRTKTCGRGFLPSRVMRKCKRKVVDFCSDYYKTTYLVEGYVGSIHPIGHSSD >EOY00127 pep chromosome:Theobroma_cacao_20110822:2:26296855:26314314:-1 gene:TCM_009669 transcript:EOY00127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTRVKKKGHSNTLNKKNLIKAKHKEKGSSSIGNTQGYAQHSHTYSELEKDVYIEQLEGITVLRQKYKICKFVKSLFGLKQALKHWDKKFDEVVLTNGYVVDFSRKLTRGPTSNQNASEAGNLQLLPIRIQMKVLVKDYCSASTSNKIFDHLPRRTGQSGKHEIVGYNINESNKCVYSKCQNDKGVIIYLYVDEMLKY >EOY01558 pep chromosome:Theobroma_cacao_20110822:2:40044327:40050269:-1 gene:TCM_011421 transcript:EOY01558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein htpG family protein isoform 2 MAPVLSRSLATPSLVSLPLTNPNKAFALRSAFLPRNGLHKAFSCTGLRWKLGKRNNQIAVRCEASAVAEKEAEETSGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPSLLGESGELEIRIKSDPENGTITITDTGIGMTKEELIDCLGTIAQSGTSKFLKALKENKDVGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPMSEKQYVWEAVADSSSYVIREETDPEKLLHRGTQITLYLRSDDKYEFSDPIRIQNLVKNYSQFVSFPIYTWQEKPRTVEVEEEEQPKEGEEKPEGEKKKKTTKTEKYWDWELANETKPIWMRSPKEVEKDEYHEFYKKTFNEFLDPLGYTHFTTEGEVEFRSVLYIPGMGPLNNEDVINPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSDDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEISESENKEDYKKFWENFGRFLKLGCIEDSGNHKRITPLLRFYTSKSEEELTSLDEYVENMGENQKVIYYLATDSLKSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGEEDEVKERETKQEYNLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQALGDTASLEFMRGRRILEINPDHPIVKDLNAACKNAPDSNDAKRAVDLLYDTALISSGFTPDSPAELGNKIYEMMAMALGGRWGRSEEDEAEASEVSAAETDMNSSEDSETQVIEPSEVRAERDPWQD >EOY01559 pep chromosome:Theobroma_cacao_20110822:2:40043873:40050867:-1 gene:TCM_011421 transcript:EOY01559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein htpG family protein isoform 2 MAPVLSRSLATPSLVSLPLTNPNKAFALRSAFLPRNGLHKAFSCTGLRWKLGKRNNQIAVRCEASAVAEKEAEETSGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPSLLGESGELEIRIKSDPENGTITITDTGIGMTKEELIDCLGTIAQSGTSKFLKALKENKDVGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPMSEKQYVWEAVADSSSYVIREETDPEKLLHRGTQITLYLRSDDKYEFSDPIRIQNLVKNYSQFVSFPIYTWQEKPRTVEVEEEEQPKEGEEKPEGEKKKKTTKTEKYWDWELANETKPIWMRSPKEVEKDEYHEFYKKTFNEFLDPLGYTHFTTEGEVEFRSVLYIPGMGPLNNEDVINPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSDDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEISESENKEDYKKFWENFGRFLKLGCIEDSGNHKRITPLLRFYTSKSEEELTSLDEYVENMGENQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGEEDEVKERETKQEYNLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQALGDTASLEFMRGRRILEINPDHPIVKDLNAACKNAPDSNDAKRAVDLLYDTALISSGFTPDSPAELGNKIYEMMAMALGGRWGRSEEDEAEASEVSAAETDMNSSEDSETQVIEPSEVRAERDPWQD >EOX99302 pep chromosome:Theobroma_cacao_20110822:2:10056395:10057299:1 gene:TCM_007936 transcript:EOX99302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-responsive family protein MSSTSRAWIVAASIGAVEALKDQGICRWNYTLRSALHHARNNVRSVSQARKLSAQSTAAISSGVSREEKSKQSEESLRKVMYLSCWGPN >EOY00130 pep chromosome:Theobroma_cacao_20110822:2:26319199:26319786:1 gene:TCM_009672 transcript:EOY00130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MINRWIRALPSNIHQTSAANPLELASNVDYQDYTQKDQFLLHAIIASTTESIVSLFASYNTSFEAWQKMNHLFANKSRSHMMNLREKLSQPTSNKSITRYFQL >EOX97791 pep chromosome:Theobroma_cacao_20110822:2:3461868:3464401:1 gene:TCM_006723 transcript:EOX97791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSARMWNGNHSSGEQETAEARVIYAPMGFQEEAVMNKLRIHRCNSMNVLCCSMTSVASGQKVSRVIGGVADLKLTCEDTISKGREKRTREIC >EOX97389 pep chromosome:Theobroma_cacao_20110822:2:2131097:2135999:1 gene:TCM_006420 transcript:EOX97389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Partner of Y14-MAGO isoform 3 MFPKRKSPFTSPKWKKEMASQVGPPGYDPAMDTKPKTKSAKRNERKKEKRLQAALEKGKNLEAEADDEIKKEDVPEEDSDHGSESVKSLTSQMTELAVSENPVPTSPPSNSVQASDADAPVQDLDKKIRALKKKIRLTEAQQQKTPQQDMKPEQLEKLAKLEGWRQELKLLEDKK >EOX97388 pep chromosome:Theobroma_cacao_20110822:2:2131097:2135900:1 gene:TCM_006420 transcript:EOX97388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Partner of Y14-MAGO isoform 3 MASNNGGGEEQLTKMAELSKTLKEGERILAPTRRPDGTLRKPIRIRAGYVPQEEVAIYQSKGALWKKEMASQVGPPGYDPAMDTKPKTKSAKRNERKKEKRLQAALEKGKNLEAEADDEIKKEDVPEEDSDHGSESVKSLTSQMTELAVSENPVPTSPPSNSVQASDADAPVQDLDKKIRALKKSCCLICSRTLRDFSMELLLYFSTGNGKL >EOX97385 pep chromosome:Theobroma_cacao_20110822:2:2132597:2136129:1 gene:TCM_006420 transcript:EOX97385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Partner of Y14-MAGO isoform 3 MASNNGGGEEQLTKMAELSKTLKEGERILAPTRRPDGTLRKPIRIRAGYVPQEEVAIYQSKGALWKKEMASQVGPPGYDPAMDTKPKTKSAKRNERKKEKRLQAALEKGKNLEAEADDEIKKEDVPEEDSDHGSESVKSLTSQMTELAVSENPVPTSPPSNSVQASDADAPVQDLDKKIRALKKKIRLTEAQQQKTPQQDMKPEQLEKLAKLEGWRQELKLLEDKKAELAAL >EOX97387 pep chromosome:Theobroma_cacao_20110822:2:2132744:2135560:1 gene:TCM_006420 transcript:EOX97387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Partner of Y14-MAGO isoform 3 MASNNGGGEEQLTKMAELSKTLKEGERILAPTRRPDGTLRKPIRIRAGYVPQEEVAIYQSKGALWKKEMASQVGPPGYDPAMDTKPKTKSAKRNERKKEKRLQAALEKGKNLEAEADDEIKKEDVPEEDSDHGSESVKSLTSQMTELAVSENPVPTSPPSNSVQASDADAPVQDLDKKIRALKKRRHQGYN >EOX97386 pep chromosome:Theobroma_cacao_20110822:2:2131097:2135900:1 gene:TCM_006420 transcript:EOX97386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Partner of Y14-MAGO isoform 3 MASNNGGGEEQLTKMAELSKTLKEGERILAPTRRPDGTLRKPIRIRAGYVPQEEVAIYQSKGALWKKEMASQVGPPGYDPAMDTKPKTKSAKRNERKKEKRLQAALEKGKNLEAEADDEIKKEDVPEEDSDHGSESVKSLTSQMTELAVSENPVPTSPPSNSVQASDADAPVQDLDKKIRALKKKYWQRQVIVYIGVLPIASMVA >EOX99846 pep chromosome:Theobroma_cacao_20110822:2:17314826:17319590:-1 gene:TCM_008819 transcript:EOX99846 gene_biotype:protein_coding transcript_biotype:protein_coding description:T6D22.19, putative MIICEFLEPFYETTNLISGSSYPTSNLYFMQVWKIESILNEYLHNEDEMIKDMSQRMKMKFDKYWKDYSVVLAFGAILDPRMKLDFLRFCYSKIDASTCHEKLENMKTKLYELFEQYASNTGASSISSHSTSNLPKQAGGGTKPKGLKIFSEFKMFQNETISIAGKSELDVYLDEAKLDYEVFEDLDVLNYWKDNAKRFPDLSIMARDVLSIPITTVASESAFNDDSELETSLLSKQDSNVLIEDED >EOY01627 pep chromosome:Theobroma_cacao_20110822:2:40351962:40354596:1 gene:TCM_011474 transcript:EOY01627 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MAIICCCIHIRDHEDEIPTSHIRSSLKRIIRTLVRKHTELFGNGQSDAPVSTAQVASPLSFDVASDDIQLDTATTPPRPLHFAADATRPSQQQDGQVREHKNNQVIDLEHASKGNESAELQCEGISTACCSEPQLKFSSEKSEAEVARACEESEDDVCPTCLEEYIPDNPRIVLRCSHSYHLGCIYEWMERSENCPICGMVMEFHEAA >EOX98038 pep chromosome:Theobroma_cacao_20110822:2:4336297:4340331:1 gene:TCM_006897 transcript:EOX98038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase isoform 1 MPVTGEADDNNKNNSITHILSSKTPLFNLKLYVVISILVVLILLLSFTIFLCFRLNRNARKRKVKHSSGLIPLVSKEIVEIKALEQDVECFADEGKIGNVAPKKSSEGVSDDASGASDVSADVQNIGWGRWYSMKELEMATHGFAEENVIGEGGYGVVFRGILQDGSVVAVKNLLNNKGQADKEFSVEVEAIGKVRHKNLVGLVGYCAEGAQRMLVYEYVDNGNLEQWLHGDVGPVSPLTWDIRMKIAIGTAKGLAYLHEGLEPKVVHRDVKSSNILLDKKWNPKVSDFGLAKLLGSEASYVTTRVMGTFGYVSPEYASTGMLNEGSDVYSFGVLLMEIITGRSPINYSRPPGEMNLVDWFKGMVASRRGEELVDPLIEVQPAPRALKRALLVCLRCIDLDANKRPKMGQIVHMLEADDFPFRSEHQPMRERDTVPSSVLEKSRWR >EOX98037 pep chromosome:Theobroma_cacao_20110822:2:4329834:4340283:1 gene:TCM_006897 transcript:EOX98037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase isoform 1 MPVTGEADDNNKNNSITHILSSKTPLFNLKLYVVISILVVLILLLSFTIFLCFRLNRNARKRKVKHSSGLIPLVSKEIVEIKALEQDVECFADEGKIGNVAPKKSSEGVSDDASGASDVSADVQNIGWGRWYSMKELEMATHGFAEENVIGEGGYGVVFRGILQDGSVVAVKNLLNNKGQADKEFSVEVEAIGKVRHKNLVGLVGYCAEGAQRMLVYEYVDNGNLEQWLHGDVGPVSPLTWDIRMKIAIGTAKGLAYLHEGLEPKVVHRDVKSSNILLDKKWNPKVSDFGLAKLLGSEASYVTTRVMGTFGYVSPEYASTGMLNEGSDVYSFGVLLMEIITGRSPINYSRPPGEMNLVDWFKGMVASRRGEELVDPLIEVQPAPRALKRALLVCLRCIDLDANKRPKMGQIVHMLEADDFPFRSEHQPMRERDTVPSSVPMSVKGAHPVKHAENVGVEKSRWR >EOY01709 pep chromosome:Theobroma_cacao_20110822:2:40676753:40680846:-1 gene:TCM_011542 transcript:EOY01709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureide permease 2 isoform 3 MLQASFCGSCLKVSDAINCLRVAFGGGRPEMDFPGIASVISVPTERIICSGLKMYLVESKGGAIACMLLALFFLGTWPAIMTLLERRGRLPQHTYLDYTLTNLLAAIVIALTFGEIGKGSPEQPNFIAQLSQDNWPSVLFAMAGGVVLSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTLNYFLDDKINRAEILFPGVGCFLIAVCLGSAVHSSNAADNKAKLIELREAGYPSASKEATTNKELKDLENANGTTEKAKAGTADFLVELENRRAIKVFGKSTFVGLAITFFAGVCFSLFSPAFNLATNDQWHTLKEGVPKLVVYTAFFYFSVSCFVLALILNITFLYRPVLDLPRSSFKAYLNDWNGRGWAFLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRRSSRRTYILLGSMLFMFIVAVAVLMASSGHRK >EOY01710 pep chromosome:Theobroma_cacao_20110822:2:40676434:40681865:-1 gene:TCM_011542 transcript:EOY01710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureide permease 2 isoform 3 MYLVESKGGAIACMLLALFFLGTWPAIMTLLERRGRLPQHTYLDYTLTNLLAAIVIALTFGEIGKGSPEQPNFIAQLSQDNWPSVLFAMAGGVVLSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTLNYFLDDKINRAEILFPGVGCFLIAVCLGSAVHSSNAADNKAKLIELREAGYPSASKEATTNKELKDLENANGTTEKAKAGTADFLVELENRRAIKVFGKSTFVGLAITFFAGVCFSLFSPAFNLATNDQWHTLKEGVPKLVVYTAFFYFSVSCFVLALILNITFLYRPVLDLPRSSFKAYLNDWNGRGWAFLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRRSSRRTYILLGSMLFMFIVAVAVLMASSGHRK >EOY01708 pep chromosome:Theobroma_cacao_20110822:2:40676434:40681865:-1 gene:TCM_011542 transcript:EOY01708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureide permease 2 isoform 3 MLQASFCGSCLKVSDAINCLRVAFGGGRPEMASNKDLFLLDFPGIASVISVPTERIICSGLKMYLVESKGGAIACMLLALFFLGTWPAIMTLLERRGRLPQHTYLDYTLTNLLAAIVIALTFGEIGKGSPEQPNFIAQLSQDNWPSVLFAMAGGVVLSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTLNYFLDDKINRAEILFPGVGCFLIAVCLGSAVHSSNAADNKAKLIELREAGYPSASKEATTNKELKDLENANGTTEKAKAGTADFLVELENRRAIKVFGKSTFVGLAITFFAGVCFSLFSPAFNLATNDQWHTLKEGVPKLVVYTAFFYFSVSCFVLALILNITFLYRPVLDLPRSSFKAYLNDWNGRGWAFLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRRSSRRTYILLGSMLFMFIVAVAVLMASSGHRK >EOY01711 pep chromosome:Theobroma_cacao_20110822:2:40675695:40681865:-1 gene:TCM_011542 transcript:EOY01711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureide permease 2 isoform 3 MYLVESKGGAIACMLLALFFLGTWPAIMTLLERRGRLPQHTYLDYTLTNLLAAIVIALTFGEIGKGSPEQPNFIAQLSQDNWPSVLFAMAGGVVLSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTLNYFLDDKINRAEILFPGVGCFLIAVCLGSAVHSSNAADNKAKLIELREAGYPSASKEATTNKELKDLENANGTTEKAKAGTADFLVELENRRAIKVFGKSTFVGLAITFFAGVCFSLFSPAFNLATNDQWHTLKEGVPKLVVYTAFFYFSVSCFVLALILNITFLYRPVLDLPRSSFKAYLNDWNGRGWAFLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRRSSRRTYILLGSMLFMFIVAVAVLMASSGHRK >EOY01707 pep chromosome:Theobroma_cacao_20110822:2:40675823:40681865:-1 gene:TCM_011542 transcript:EOY01707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureide permease 2 isoform 3 MLQASFCGSCLKVSDAINCLRVAFGGGRPEMASNKDLFLLDFPGIASVISVPTERIICSGLKMYLVESKGGAIACMLLALFFLGTWPAIMTLLERRGRLPQHTYLDYTLTNLLAAIVIALTFGEIGKGSPEQPNFIAQLSQDNWPSVLFAMAGGVVLSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTLNYFLDDKINRAEILFPGVGCFLIAVCLGSAVHSSNAADNKAKLIELREAGYPSASKEATTNKELKDLENANGTTEKAKAGTADFLVELENRRAIKVFGKSTFVGLAITFFAGVCFSLFSPAFNLATNDQWHTLKEGVPKLVVYTAFFYFSVSCFVLALILNITFLYRPVLDLPRSSFKAYLNDWNGRGWAFLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRRSSRRTYILLGSMLFMFIVAVAVLMASSGHRK >EOX99878 pep chromosome:Theobroma_cacao_20110822:2:17609716:17614209:1 gene:TCM_008858 transcript:EOX99878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon Tto1 DNA, putative MNLRLMFLIECGMVIDELVVQLRRSTRPRIPFTCHSPDEYILLIDGGELKCYEEAMEIGAVSRFLSNLGREHSKAMKWILRYLWGTSSLKICFGIGKPILCGYTDSDMVRDVDSRKSTFGYLITFVGGVIAWQSRLQKCVALSIEADQSAIHLSKNPMFYGRFKHIDVRYHSIRDVLDSKLLRLKKIHIDDNGSDMLMKNLLKGKFKACRLIVGLAVAST >EOX98904 pep chromosome:Theobroma_cacao_20110822:2:7720965:7726207:1 gene:TCM_007571 transcript:EOX98904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar complex protein 4, putative isoform 2 MGEGFTLLFTTNYCAVLFTQQQLLILYQSCLHQSILSILMSGFLASLAWKNLLKLWTQKISLMTKLWVRMVTAGVSQETAWSFLFTRYIILYLTSPLWKALMEDLSMRCGVDQVLSPSTIARKMKLKFTKAWISFLRLPLPIDIYKEVLATLHQVVIPHLSNPIILCDFLTRSYDIGGVVSVMALSSLFILMTQHGLEYPNFYEKLYALLAPSIFMAKHRAKFFQLLDSCLKSPLLPAYLAAAFAKKLSRLAISVPPSGALVIIALIHNLLRRHPSINCLVHQEDGFETQEDIVNKAEDSGLGTDISRNRPGIDHFNNEESNPIKSNAMRSSLWEIDSLRHHYCPPVSRFVLSLENDLTVRSKTTEMDIKDFSSGSYATIFGDEIRRRVKQVPLEFYKATPTSLFSESEFSGWTFKYEDGKENDTGREEQSMENSSKENDVATKRQRIECS >EOX98903 pep chromosome:Theobroma_cacao_20110822:2:7720138:7726169:1 gene:TCM_007571 transcript:EOX98903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar complex protein 4, putative isoform 2 MASILTQKPKKTKLKELKALGQQLLSSRAHINNLPLLLTFISPLSPPQHVLESLLSLQSFFTAVLPDLPPSSSSTKRRRLDDSAKEDPEFIYRTWLRSKFDEFVTLLIEVLVSPDTEEALREIVLDSFMEFVKLGNGGRFYSAIYHKLLRSIVHSATAVDTLSELLASKYFKYIDVRFFSFISMEKLAKTLDAKDISDDKTMGEDGDSRSQSRDSMELSIHKIHYIISHIPPLEGIDGRSEYEMWSGSGFSSKEEHDQKEISKLRKSEDKQLKADKQNSDVLSPSTIARKMKLKFTKAWISFLRLPLPIDIYKEVNTTAFLLSGTDIKCLPLRFSIGVLLPAISQVLATLHQVVIPHLSNPIILCDFLTRSYDIGGVVSVMALSSLFILMTQHGLEYPNFYEKLYALLAPSIFMAKHRAKFFQLLDSCLKSPLLPAYLAAAFAKKLSRLAISVPPSGALVIIALIHNLLRRHPSINCLVHQEDGFETQEDIVNKAEDSGLGTDISRNRPGIDHFNNEESNPIKSNAMRSSLWEIDSLRHHYCPPVSRFVLSLENDLTVRSKTTEMDIKDFSSGSYATIFGDEIRRRVKQVPLEFYKATPTSLFSESEFSGWTFKYEDGKENDTGREEQSMENSSKENDVATKRQRIECS >EOY01186 pep chromosome:Theobroma_cacao_20110822:2:38136664:38137296:-1 gene:TCM_011133 transcript:EOY01186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like protein isoform 2 MERSTRLFSAAFVLLLLLLATEMGPKAAEARTCQSQSHRFKGMCMRKSNCAAVCQTEGFHSGHCRGFHRRCFCTKHC >EOY01185 pep chromosome:Theobroma_cacao_20110822:2:38136866:38137263:-1 gene:TCM_011133 transcript:EOY01185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like protein isoform 2 MERSTRLFSAAFVLLLLLLATEEMGPKAAEARTCQSQSHRFKGMCMRKSNCAAVCQTEGFHSGHCRGFHRRCFCTKHC >EOY00155 pep chromosome:Theobroma_cacao_20110822:2:27408637:27411921:-1 gene:TCM_009774 transcript:EOY00155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGYVKIKHGKMLHKNNNNNNLFKRLKLKLLRGPGMFFSFVRDLLCWLGLCPDERSVESECRSDHLGIATRMFWSFSPPHISNKNP >EOX97369 pep chromosome:Theobroma_cacao_20110822:2:2104169:2109062:-1 gene:TCM_006414 transcript:EOX97369 gene_biotype:protein_coding transcript_biotype:protein_coding description:XS domain-containing / XS zinc finger domain-containing protein-related-like protein isoform 2 MADAIDQFPDLGSVYKISSRQVNQLSQNVADIKLASTQDGDKENSWESKNRVAANSWFRQDSTPNAWGHPNVIQKLRMQSSSGSEKATGNAWPTQNAVSLNSCGNDGAWSQQGDGTRGNSCIESPSAEHGWNGPALAGFTLSNSCQDGQVKTDHQVRDISDDRNGGNECDYDGSDVVYDSEDLDDYESDSDEDEKSHETRKKSKWFNGFFEILEKLTVEEIISPVRKWHCPACQGGPGAINWYRGVQPLMTHAMTKTTRRAKMHRVFADLLVEEMRRRGTFIKPVNDAFGRWEGLNDRVADHEIVWPPMVIIVNTRYEQDENGKWTGMGNQELLNYFSSYAAVKARHSYGPQGHRGMSVLIFESSAAGYLEAARLHKHFKEQGRDRDAWDCSRVPFCPGGKRQLYGYIAMKEDLDIFNRHSQGKSKLKFETKSYQEMVESQIKKINDDSQQLTQLKKKVAQEQQHSQALAESLGRLSEKLRQTTKENYIMRQRTRLQHEQNKEELGAKEQYFKEKINIIYQAIDSKEDNFEKLQRAARERVKQSNANPTRNEVGYSATEMEENARSMIIEEKKMEAFDAEREKLMKSHQDRRLEITQRYWEELIELEKGFENELTLLMEKYTPDCLEELTYQQP >EOX97368 pep chromosome:Theobroma_cacao_20110822:2:2104174:2109447:-1 gene:TCM_006414 transcript:EOX97368 gene_biotype:protein_coding transcript_biotype:protein_coding description:XS domain-containing / XS zinc finger domain-containing protein-related-like protein isoform 2 MCDILCSPAGFRQREVSGKIVQKIFMADAIDQFPDLGSVYKISSRQVNQLSQNVADIKLASTQDGDKENSWESKNRVAANSWFRQDSTPNAWGHPNVIQKLRMQSSSGSEKATGNAWPTQNAVSLNSCGNDGAWSQQGDGTRGNSCIESPSAEHGWNGPALAGFTLSNSCQDGQVKTDHQVRDISDDRNGGNECDYDGSDVVYDSEDLDDYESDSDEDEKSHETRKKSKWFNGFFEILEKLTVEEIISPVRKWHCPACQGGPGAINWYRGVQPLMTHAMTKTTRRAKMHRVFADLLVEEMRRRGTFIKPVNDAFGRWEGLNDRVADHEIVWPPMVIIVNTRYEQDENGKWTGMGNQELLNYFSSYAAVKARHSYGPQGHRGMSVLIFESSAAGYLEAARLHKHFKEQGRDRDAWDCSRVPFCPGGKRQLYGYIAMKEDLDIFNRHSQGKSKLKFETKSYQEMVESQIKKINDDSQQLTQLKKKVAQEQQHSQALAESLGRLSEKLRQTTKENYIMRQRTRLQHEQNKEELGAKEQYFKEKINIIYQAIDSKEDNFEKLQRAARERVKQSNANPTRNEVGYSATEMEENARSMIIEEKKMEAFDAEREKLMKSHQDRRLEITQRYWEELIELEKGFENELTLLMEKYTPDCLEELTYQQP >EOX97370 pep chromosome:Theobroma_cacao_20110822:2:2104169:2109364:-1 gene:TCM_006414 transcript:EOX97370 gene_biotype:protein_coding transcript_biotype:protein_coding description:XS domain-containing / XS zinc finger domain-containing protein-related-like protein isoform 2 MADAIDQFPDLGSVYKISSRQVNQLSQNVADIKLASTQDGDKENSWESKNRVAANSWFRQDSTPNAWGHPNVIQKLRMQSSSGSEKATGNAWPTQNAVSLNSCGNDGAWSQQGDGTRGNSCIESPSAEHGWNGPALAGFTLSNSCQDGQVKTDHQVRDISDDRNGGNECDYDGSDVVYDSEDLDDYESDSDEDEKSHETRKKSKWFNGFFEILEKLTVEEIISPVRKWHCPACQGGPGAINWYRGVQPLMTHAMTKTTRRAKMHRVFADLLVEEMRRRGTFIKPVNDAFGRWEGLNDRVADHEIVWPPMVIIVNTRYEQDENGKWTGMGNQELLNYFSSYAAVKARHSYGPQGHRGMSVLIFESSAAGYLEAARLHKHFKEQGRDRDAWDCSRVPFCPGGKRQLYGYIAMKEDLDIFNRHSQGKSKLKFETKSYQEMVESQIKKINDDSQQLTQLKKKVAQEQQHSQALAESLGRLSEKLRQTTKENYIMRQRTRLQHEQNKEELGAKEQYFKEKINIIYQAIDSKEDNFEKLQRAARERVKQSNANPTRNEVGYSATEMEENARSMIIEEKKMEAFDAEREKLMKSHQDRRLEITQRYWEELIELEKGFENELTLLMEKYTPDCLEELTYQQP >EOX97037 pep chromosome:Theobroma_cacao_20110822:2:996644:1001505:1 gene:TCM_006153 transcript:EOX97037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shaggy-like protein kinase 41 isoform 1 MASASLGNGGVGSSRSVNGFKSSSSSVDWLGREMLDMRLRDKVDHDEDRDSEPDVVDGVGAETGHVIRTTIGGRNGQSKQNVSYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLKHYFFSKTDKEELYLNLVLEYVPETVNRTARSYSRINTRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTIHPFFDDLRDPNTRLPNGRPLPPLFNFKPQELSGIPPEVVKKLIPEHARKQNLFMALHT >EOX97036 pep chromosome:Theobroma_cacao_20110822:2:996921:1001731:1 gene:TCM_006153 transcript:EOX97036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shaggy-like protein kinase 41 isoform 1 MASASLGNGGVGSSRSVNGFKSSSSSVDWLGREMLDMRLRDKVDHDEDRDSEPDVVDGVGAETGHVIRTTIGGRNGQSKQNVSYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLKHYFFSKTDKEELYLNLVLEYVPETVNRTARSYSRINTRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACIHPFFDDLRDPNTRLPNGRPLPPLFNFKPQELSGIPPEVVKKLIPEHARKQNLFMALHT >EOX97035 pep chromosome:Theobroma_cacao_20110822:2:996735:1001589:1 gene:TCM_006153 transcript:EOX97035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shaggy-like protein kinase 41 isoform 1 MASASLGNGGVGSSRSVNGFKSSSSSVDWLGREMLDMRLRDKVDHDEDRDSEPDVVDGVGAETGHVIRTTIGGRNGQSKQNVSYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLKHYFFSKTDKEELYLNLVLEYVPETVNRTARSYSRINTRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACIHPFFDDLRDPNTRLPNGRPLPPLFNFKPQELSGIPPEVVKKLIPEHARKQNLFMALHT >EOX97150 pep chromosome:Theobroma_cacao_20110822:2:1385655:1390735:1 gene:TCM_006246 transcript:EOX97150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-imprinted in Prader-Willi/Angelman syndrome region protein isoform 2 MAAARSWSEGMSSDNVKGLVLALSSSFFIGGSFIVKKKGLKKAGASGIRAGVGGYSYLLEPLWWAGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAALAHIILREKLHTFGILGCVLCVVGSTTIVLHAPPERQIESVTEVWDLATEPGFLFYTALVLTAVFILIFHIVPQYGQTHIMVYIGVCSLVGSISVMSVKALGIALKLTFSGMNQLIYPQTWAFTLVVVTCVLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNPTQIITEMCGFVTILSGTFLLHKTKDMVDGPSLTASLSLRSLKHEEEDGFGEGIPLKRQDSLRMP >EOX97149 pep chromosome:Theobroma_cacao_20110822:2:1385702:1390733:1 gene:TCM_006246 transcript:EOX97149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-imprinted in Prader-Willi/Angelman syndrome region protein isoform 2 MAAARSWSEGMSSDNVKGLVLALSSSFFIGGSFIVKKKGLKKAGASGIRAGVGGYSYLLEPLWWAGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAALAHIILREKLHTFGILGCVLCVVGSTTIVLHAPPERQIESVTEVWDLATEPGFLFYTALVLTAVFILIFHIVPQYGQTHIMVYIGVCSLVGSISVMSVKALGIALKLTFSGMNQLIYPQTWAFTLVVVTCVLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNPTQIITEMCGFVTILSGTFLLHKTKDMVDGPSLTASLSLRSLKHEEEDGFGEGIPLKRQDSLRMP >EOX97148 pep chromosome:Theobroma_cacao_20110822:2:1385792:1390662:1 gene:TCM_006246 transcript:EOX97148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-imprinted in Prader-Willi/Angelman syndrome region protein isoform 2 MAAARSWSEGMSSDNVKGLVLALSSSFFIGGSFIVKKKGLKKAGASGIRAVEDRTRFKMICTVFWITAVEFNSPMLALIDLSSCQSSVEIVLRKLIYSGANDIGLIGIDTGVGGYSYLLEPLWWAGMITMVVGEIANFAAYAFAPAILVTPLGALSIIIRHGNIAALAHIILREKLHTFGILGCVLCVVGSTTIVLHAPPERQIESVTEVWDLATEPGFLFYTALVLTAVFILIFHIVPQYGQTHIMVYIGVCSLVGSISVMSVKALGIALKLTFSGMNQLIYPQTWAFTLVVVTCVLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNPTQIITEMCGFVTILSGTFLLHKTKDMVDGPSLTASLSLRSLKHEEEDGFGEGIPLKRQDSLRMP >EOX97151 pep chromosome:Theobroma_cacao_20110822:2:1385702:1390733:1 gene:TCM_006246 transcript:EOX97151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-imprinted in Prader-Willi/Angelman syndrome region protein isoform 2 MAAARSWSEGMSSDNVKGLVLALSSSFFIGGSFIVKKKGLKKAGASGIRAAGVGGYSYLLEPLWWAGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAALAHIILREKLHTFGILGCVLCVVGSTTIVLHAPPERQIESVTEVWDLATEPGFLFYTALVLTAVFILIFHIVPQYGQTHIMVYIGVCSLVGSISVMSVKALGIALKLTFSGMNQLIYPQTWAFTLVVVTCVLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNPTQIITEMCGFVTILSGTFLLHKTKDMVDGPSLTASLSLRSLKHEEEDGFGEGIPLKRQDSLRMP >EOX98224 pep chromosome:Theobroma_cacao_20110822:2:4962745:4967509:1 gene:TCM_007036 transcript:EOX98224 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein, putative isoform 1 MGTKIEEPQISETSLDPDNVNRQPSVMERVEELQANLAAGFPSCMKTMVRSNVRHGFWLHLPMPFCKLHMPKHDATVILEDESGEEYKTTYIAERTALSAGWKAFSAGHKLVEGDVLVFHLVSSSKFKVYIVKAFKSNKLDGRFGSLNVNVDAKPIRSVRMKRRKRTSKRAKCLELLPLNHAQDNVDNNSLMVLDTKNERLVDQSDNDSKDLSSGLFDGIRSLASIIDFNEVKSIDSFVITVNGSRIDSELSEYHKTKYYELCCSQNSFLHDHLLKSISSKLAAEIITQTVNIAEAVKGCKLSSFQADYSLWDKTLKGFELLGMNVGFLRARLNRLKTLALELQEAVESERHRVSLEQDHMKEEKKSLEMKLVKLKEAMHRLDAEIENLKANAEKHELIFQEEVNAAW >EOX98225 pep chromosome:Theobroma_cacao_20110822:2:4963955:4967472:1 gene:TCM_007036 transcript:EOX98225 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein, putative isoform 1 MGTKIEEPQISETSLDPDNVNRQPSVMERVEELQANLAAGFPSCMKTMVRSNVRHGFWLHLPMPFCKLHMPKHDATVILEDESGEEYKTTYIAERTALSAGWKAFSAGHKLVEGDVLVFHLVSSSKFKVYIVKAFKSNKLDGRFGSLNVNVDAKPIRSVRMKRRKRTSKRAKCLELLPLNHAQDNVDNNSLMVLDTKNERLVDQSDNDSKDLSSGLFDGIRSLASIIDFNEVKSIDSFVITVNGSRIDSELSEYHKTKYYELCCSQNSFLHDHLLKSISSKLAAEIITQTVNIAEAVKGCKLSSFQADYSLWDKTLKGFELLGMNVGFLRARLNRLKTLALELQEAVESERHRVSLEQDHMKEEKKSLEMKLVKLKEAMHRLDAEIENLKANAEKHELIFQEEVNAAW >EOY00153 pep chromosome:Theobroma_cacao_20110822:2:27395143:27400174:1 gene:TCM_009772 transcript:EOY00153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MELSLTFRSWSPFVPRKLNSPSSHPPKLSIPTISPTPALPLQRTPRPTVAAAAGKKEIEGVSEELNLIASQNLDYAAARRRVRSAFIQVQQQLDHCLFKVASAGVRTEEWIERNSKGLEIFFRSWMPEPGVKTKGAVCFCHGYGDTCTFFFEGIARFIAASGYGVYAIDHPGFGLSEGLHGYIYSFDELADNVIEQYAKIKERPESRGLPFFILGQSMGGAVTLKVHLKDPQGWDGIILVAPMCKAVYNVICYNDPVRLRTAVELLKATKEIEMQVEKVSSPLLILHGAADKVTDPLISQFLYENASSKDKTLKLYEEGYHSILEGEPDDRIFTVLNDIIAWLDARC >EOY00150 pep chromosome:Theobroma_cacao_20110822:2:27395053:27400042:1 gene:TCM_009772 transcript:EOY00150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MELSLTFRSWSPFVPRKLNSPSSHPPKLSIPTISPTPALPLQRTPRPTVAAAAGKKEIEGVSEELNLIASQNLDYAAARRRVRSAFIQVQQQLDHCLFKVASAGVRTEEWIERNSKGLEIFFRSWMPEPGVKTKGAVCFCHGYGDTCTFFFEGIARFIAASGYGVYAIDHPGFGLSEGLHGYIYSFDELADNVIEQYAKIKERPESRGLPFFILGQSMGGAVTLKVHLKDPQGWDGIILVAPMCKISDDVTPPEPVLKVLTFLSKVMPTAKLVPQKDLAELAFRDPRKKKMAMILYSFKFQAVYNVICYNDPVRLRTAVELLKATKEIEMQVEKVSSPLLILHGAADKVTDPLISQFLYENASSKDKTLKLYEEGYHSILEGEPDDRIFTVLNDIIAWLDARC >EOY00151 pep chromosome:Theobroma_cacao_20110822:2:27395127:27400109:1 gene:TCM_009772 transcript:EOY00151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MELSLTFRSWSPFVPRKLNSPSSHPPKLSIPTISPTPALPLQRTPRPTVAAAAGKKEIEGVSEELNLIASQNLDYAAARRRVRSAFIQVQQQLDHCLFKVASAGVRTEEWIERNSKGLEIFFRSWMPEPGVKTKGAVCFCHGYGDTCTFFFEGIARFIAASGYGVYAIDHPGFGLSEGLHGYIYSFDELADNVIEQYAKIKERPESRGLPFFILGQSMGGAVTLKVHLKDPQGWDGIILVAPMCKISDDVTPPEPVLKVLTFLSKVMPTAKLVPQKDLAELAFRDPRKKKMAVYNVICYNDPVRLRTAVELLKATKEIEMQVEKVSSPLLILHGAADKVTDPLISQFLYENASSKDKTLKLYEEGYHSILEGEPDDRIFTVLNDIIAWLDARC >EOY00152 pep chromosome:Theobroma_cacao_20110822:2:27395206:27399531:1 gene:TCM_009772 transcript:EOY00152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 LNSPSSHPPKLSIPTISPTPALPLQRTPRPTVAAAAGKKEIEGVSEELNLIASQNLDYAAARRRVRSAFIQVQQQLDHCLFKVASAGVRTEEWIERNSKGLEIFFRSWMPEPGVKTKGAVCFCHGYGDTCTFFFEGIARFIAASGYGVYAIDHPGFGLSEGLHGYIYSFDELADNVIEQYAKIKERPESRGLPFFILGQSMGGAVTLKVHLKDPQGWDGIILVAPMCKISDDVTPPEPVLKVLTFLSKVMPTAKLVPQKDLAELAFRDPKATKEIEMQVEKVSSPLLILHGAADKVTDPLISQFLYENASSKDKTLKLYEEGYHSI >EOX97857 pep chromosome:Theobroma_cacao_20110822:2:3691445:3698820:-1 gene:TCM_006781 transcript:EOX97857 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein, putative MGAIGGEELKKLEKEQKGQMAGAREERILVVVRLRPLSEKEIVANEVADWECINDSTILYRNTLREGSTFPSAYQFDRVFRGDCSTKQVYEEGAKEIALSVVSGINSSIFAYGQTSSGKTYTMTGITEYTVADIFDYINRHEERAFVLKFSAIEIYNEAIRDLLSSDNTQVRLRDDPERGTIVEKVTEEPLRDWNHLKELLAICDAQRRIGETSLNERSSRSHQIIRLTIESSAREFLGKENSTTLSASVNFVDLAGSERASQALSTGARLKEGCHINRSLLTLSTVVRKLSKGRQGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVSTKAQVNVVMSDKALVKHLQREVARLESELKTPAPPPPSSSDYAALLRKKDLQIQKMEKEIRELTKQRDLAQSRVEDLLRMIGHDQDSGQSARINYHLNQQAGDAWDDDYSASESSCLADSNRLDVRVQKFNSIHCYDAESGSNLAEPYHEPLNNHEDHSMSDVTSSPLSIGKKLVRSDSGRSLDETPGETADVEYCKEVQCIETEESGWDDNYESRVLPNGESEGTLALTLYGDGDVAGQETMSTTMNGSRETNHIQNGFIYDALEQRLHHAQKTIDSLVSSYPDKSSPDAQVADLSSSRSLKLSRSWSCRAEVMGGTSFPYADREYIESTPPNGLEKNFPGRPEGYGKKFPSLNYGANNEVLSRNNSQSSLGCASIKTSADEDITSIHTFVAGLKKQLANGQEGTGLEADESGKGMKDVGLDPMHEASGTPLDWPLEFERQQRAIFELWQACNVSLVHRTYFFLLFKGDPTDSIYMEVELRRLTFLKETFSQGNQAVEDGRTLTLASSVRALRRERQTLSKLMRKRFSEEERQKLYHKWGIELNSKQRRLQLVNQLWSNNKDMNHVTESAAIVAKLIRFVEQGRALKEMFGLSFTPPRPRRRSYGWKNSMASLL >EOX99842 pep chromosome:Theobroma_cacao_20110822:2:17299070:17300513:-1 gene:TCM_008815 transcript:EOX99842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLAGSGALSRYINLDLSWILNILFTGFQCLLFFHNHDRPITLSYLQSCFGWILALEFQWVQSSIFR >EOX99729 pep chromosome:Theobroma_cacao_20110822:2:15190492:15214639:-1 gene:TCM_008590 transcript:EOX99729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKILTVCLLCRRRSGRSMSLLTPTMGDALALVISEGHRRLECLPIGSLCLEADLGLPFEGLWAVKTLEPTPDKARREYSVDIDVPLSEGHQYLDHATIAPQPPRGHFQTYSANEPSLMEGTTTPQRSIGPAQPHSANEPPIRILNEKLSDFERYTGRKLIVVRMHFRYSENDTVASRCSEKLSVFWVGDASLRDIGDDHEDADDGQRDKLSVHIHHDVIAIDEENVTYVNDAVVGDVTFQSDDAEEDHVLETDSIIDASLGGKGDLHLGDHLHQSTPKGSASWVSLLELSDVHHLEALISDPTERARVKMVSKYMASPYVNPSVSYRDVNNSMVEGYKAFNKDKRNVEILGDQEADIFTTLEDPKEEMTSEQIDACLSILCKRMTGPKLKLYNSRAYVVDTIFFVKGKRPTYSKKWEDVDFILAPCNVGGHWVVAKINLVRWTIKVVDSARTSDAKDNNVRVAQMTPLMTMIPIICHKASYFHKTSRKT >EOY01377 pep chromosome:Theobroma_cacao_20110822:2:39202775:39206690:1 gene:TCM_011286 transcript:EOY01377 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative MAKVAHDPSSLIDGGETIRVRPVPDSSLHVNGGDGAYSYTRNSYYQRLAANVVKEKIHGAITMKLDVEKLSCRSNTICVADLGCAVGPNAFHAMQDILEFIKQKYKLQCPKSKMLEFLVFFNDQPSNDFNALFTSFPQERPYFAAGVPGSFHQRLFPESSIHFVHCSYALHWLSKLPQELLDKNSLAWNKGRVHYTNAPDEVVKAYASQFAKDMLDFLDARAKELAIGGMMIMIMPGMPDGMPYSQLAASLMYDFMASSFMDMANEGFISEDQVDSFNLPIYTPSPEEMTTLVARNGHFSIESLELTNPASLVDGAVDINAWVIHVRAAMEGMLTKHFTGDSIDEMFERLTQKLLKFSEQVESGYKERTQLLVVLIRK >EOY01080 pep chromosome:Theobroma_cacao_20110822:2:37425612:37430000:-1 gene:TCM_011023 transcript:EOY01080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caleosin-related family protein MASSLSSKDFQEGVDEGRPIPTDQNVLQKHVAFFDRNHDGLIYPWETFEGFRAIGAGYMLSIASAILINIALSRKTRAGKFSLLFPIEVKNIHMAKHGSDSGVYDSEGRFVPLKFEEIFSKFARTHSNALTSDELKAMLKANREPQDYKGWIGSWTEWRTLYSLCKDKHGLLRKETIRAVYDGSLFEHMERERAAAKKKALPVCVIGFSIGHLLRSLCVYLGLYQAQPNYDVILQRRTCH >EOX99668 pep chromosome:Theobroma_cacao_20110822:2:13398127:13399465:1 gene:TCM_008416 transcript:EOX99668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIMVFMVQGIRNEMAFNGKLWYARKVMDIIKLRERKKLSTKIGWEKLSNGWLKFNINGMARGCLSSLRIGGVLRDNNAEKPNAKNKGFGEDIQ >EOY01482 pep chromosome:Theobroma_cacao_20110822:2:39611930:39614478:-1 gene:TCM_011353 transcript:EOY01482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MNNTLLKIQRPPLHFRISQTTLSRLPPTVHLFPKLPFQSSSLSSSRNARCFKFSSLSKIEPSVYCDDEEETEGPRKGSVEFEDLAPNGDVFRKTLRLVECSMFAAVTGLVYFLSNSLSIENYFGCFFSLPIVISSIRWGVACGRKQLVATVMLLFVLSGPVKALNYLLTHGILGFTMGALWRLGANWSVSIFLCTIVLSSPLCTFFLVRSTYAELPWLTIF >EOY01481 pep chromosome:Theobroma_cacao_20110822:2:39611329:39614493:-1 gene:TCM_011353 transcript:EOY01481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MNNTLLKIQRPPLHFRISQTTLSRLPPTVHLFPKLPFQSSSLSSSRNARCFKFSSLSKIEPSVYCDDEEETEGPRKGSVEFEDLAPNGDVFRKTLRLVECSMFAAVTGLVYFLSNSLSIENYFGCFFSLPIVISSIRWGVACGRKQLVATVMLLFVLSGPVKALNYLLTHGILGFTMGALWRLGANWSVSIFLCTIARSMGAMGYVFTTSFLIRENILALITINIHASLSYIFAAIGINVVPSMNFIYSLFGILVLLNSASFVFLLHLLYSVFLTRMGMKASLRLPGWLEKAI >EOY01183 pep chromosome:Theobroma_cacao_20110822:2:38115416:38117955:-1 gene:TCM_011127 transcript:EOY01183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lignin-forming anionic peroxidase MVIAVGNSLSLVARRAAVVVILLLLMSDCQCRAQLSSTFYDKTCPNALRTIRTAIRTAIARERRMAASLIRLHFHDCFVQGCDASILPDDASSITSEKNALQNKDSARGYEVIDKAKSDVEHICPGVVSCADILAVAARDASEYVGGPSWRVKLGRRDSTTASVSLATSQLPRFTASLESLIDLFRSKGLSARDMVALSGSHTIGQAQCVTFRNRIYNNASDIDAGFASTRRRRCPATLGNGDGNLAALDLVTPNSFDNNYFKNLMQKKGLLESDQVLFSGGFTDNIVSEYSRNPSTFKSDFATAMIKMGDIEPLTGSAGIARRICSAIN >EOY00372 pep chromosome:Theobroma_cacao_20110822:2:31867901:31869190:1 gene:TCM_010234 transcript:EOY00372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHLFKTRLHDSLYCLGFFILSNYKKTKLIMIGFAGFPKLYNCILSFGSSIKATTFMDENGCAFAVNMVSNLVNKLNKGVREEVENQLSDFSSTTEPDIEIQFISCACSPWLEIMGRTFTWLGLRKWRLCP >EOY00611 pep chromosome:Theobroma_cacao_20110822:2:33783310:33790336:-1 gene:TCM_010489 transcript:EOY00611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase family protein isoform 1 MASTQEKATPCCIPKTNDDVSKKTSSSSSSSSTEVLQNWSLAVGSGPVPSEDPIPKTASMATLIRPVEPISDPSAAKIATTKGISIMPRAQTSHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFIEVVLVEPDKHVVALADAYFFPPFQPSLLPRTKGGPLIPSKLPPRQARLVVYNKRSNETSIWTVELSEVHAATRGGHHRGKVISSKVVPNVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCVGYHSNADAPSRRLAKPLIFCRTESDCPMENGYARPVEGIHVLVDMQNMVVIEFEDRKLVPLPPADPLRNYTAGETRGGVDRSDVKPLQIIQPEGPSFRVNGKFIEWQKWNFRIGFTPREGLVIYSVAYVDGNRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFVCTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEVNLKVEEPGKDNVHNNAFYAEEELLRSELQAMRDCNPLSARHWIVRNTRNVNRTGQLTGFKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYAREEMYPGGEFPNQNPRVGEGLATWVKKNRSLEEADIVLCHTVSLTAHQRLMFLQAQLIWSSRTMTLQQSLSKTG >EOY00610 pep chromosome:Theobroma_cacao_20110822:2:33783310:33790114:-1 gene:TCM_010489 transcript:EOY00610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase family protein isoform 1 MASTQEKATPCCIPKTNDDVSKKTSSSSSSSSTEVLQNWSLAVGSGPVPSEDPIPKTASMATLIRPVEPISDPSAAKIATTKGISIMPRAQTSHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFIEVVLVEPDKHVVALADAYFFPPFQPSLLPRTKGGPLIPSKLPPRQARLVVYNKRSNETSIWTVELSEVHAATRGGHHRGKVISSKVVPNVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCVGYHSNADAPSRRLAKPLIFCRTESDCPMENGYARPVEGIHVLVDMQNMVVIEFEDRKLVPLPPADPLRNYTAGETRGGVDRSDVKPLQIIQPEGPSFRVNGKFIEWQKWNFRIGFTPREGLVIYSVAYVDGNRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEVNLKVEEPGKDNVHNNAFYAEEELLRSELQAMRDCNPLSARHWIVRNTRNVNRTGQLTGFKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYAREEMYPGGEFPNQNPRVGEGLATWVKKNRSLEEADIVLWYVFGVTHVPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPSATDLELKDNDIATKPIQNGIIAKL >EOY00612 pep chromosome:Theobroma_cacao_20110822:2:33785787:33790336:-1 gene:TCM_010489 transcript:EOY00612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase family protein isoform 1 MASTQEKATPCCIPKTNDDVSKKTSSSSSSSSTEVLQNWSLAVGSGPVPSEDPIPKTASMATLIRPVEPISDPSAAKIATTKGISIMPRAQTSHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFIEVVLVEPDKHVVALADAYFFPPFQPSLLPRTKGGPLIPSKLPPRQARLVVYNKRSNETSIWTVELSEVHAATRGGHHRGKVISSKVVPNVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCVGYHSNADAPSRRLAKPLIFCRTESDCPMENGYARPVEGIHVLVDMQNMVVIEFEDRKLVPLPPADPLRNYTAGETRGGVDRSDVKPLQIIQPEGPSFRVNGKFIEWQKWNFRIGFTPREGLVIYSVAYVDGNRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFVCTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEVNLKVEEPGKDNVHNNAFYAEEELLRSELQAMRDCNPLSARHWIVSNFSYTSWSKLQGYAF >EOY00609 pep chromosome:Theobroma_cacao_20110822:2:33783302:33794515:-1 gene:TCM_010489 transcript:EOY00609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase family protein isoform 1 MLCPKRENNTKLFEWKEKATPCCIPKTNDDVSKKTSSSSSSSSTEVLQNWSLAVGSGPVPSEDPIPKTASMATLIRPVEPISDPSAAKIATTKGISIMPRAQTSHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFIEVVLVEPDKHVVALADAYFFPPFQPSLLPRTKGGPLIPSKLPPRQARLVVYNKRSNETSIWTVELSEVHAATRGGHHRGKVISSKVVPNVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCVGYHSNADAPSRRLAKPLIFCRTESDCPMENGYARPVEGIHVLVDMQNMVVIEFEDRKLVPLPPADPLRNYTAGETRGGVDRSDVKPLQIIQPEGPSFRVNGKFIEWQKWNFRIGFTPREGLVIYSVAYVDGNRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFVCTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEVNLKVEEPGKDNVHNNAFYAEEELLRSELQAMRDCNPLSARHWIVRNTRNVNRTGQLTGFKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYAREEMYPGGEFPNQNPRVGEGLATWVKKNRSLEEADIVLWYVFGVTHVPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPSATDLELKDNDIATKPIQNGIIAKL >EOX98082 pep chromosome:Theobroma_cacao_20110822:2:4505315:4510998:1 gene:TCM_006927 transcript:EOX98082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transport 2/3 isoform 2 MTTVGYGDLHAVNTVEMIFIILYMLFNLGLTAYIIGNMTNLVVEGTRRTMEFRNSIEAASNFVSRNRLPARLKEQILAYMCLRFKAERLNQQQLIEQLPKSIYTGICQHLFLPTVKKVYLFNGTSREMLLHLVAKMKAEYLPPREDVIMQNEAPDDVYIIVSGEVEIIDYEMEKELAVGTLRSEDIFGEIGALCCRPQRFTFRTKTLSQLLRLKTTDLIAAMQTKQEDNVAILKNFLQQNKRLKDHKIGDLVIEGGEEDGDPKNIAISLLTVADAGNAAFLDELLKARLDPDVGDSEGRTPLHIAASKGHEECVLVLLKHACNVHVRDMNGNTALWDAISAKHHSIFTVLYHFAAISDSFTAGDLLCTAAKRNDLTVMQELLKHGLSVDAKDRHGLTALQIAMREKHEDMVNLLVMNGADVINANTYEFSSTALNEMLKKREIGHRITVTDTTSGEELLKKLEREDVCILGKCRGLDPLRVSIYRGHPLMRTESCCMEPGKLIRLPDSLDELKNFAGEKFGIDPRNAIVTDEGGAEIDSIEVIRDNDKLFIVAEPIN >EOX98081 pep chromosome:Theobroma_cacao_20110822:2:4499689:4511271:1 gene:TCM_006927 transcript:EOX98081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transport 2/3 isoform 2 MSVEMEMKFTPEAFKLTSSMKRVQQQNGPGKAETPHSESDEDTPLSLSSLSKLILPPLGVSSYNQNQIKSKGWIISPMDSRYRCWETFMVLLVFYSAWVYPFEVSFFSSSPPRRLYIADNIVDLFFAVDIVLTFFVAYIDRRTHLLVQDSKKIAVRYLSTWFLMDLASTIPFEALGYLFTGKSKVGISYSLLGLLRFWRLRRVKQLFTRLEKDIRFSYFWIRCARLLAVTLFLVHCAGCIYYLLADRYPHQGRTWLGSVNPNFRETSLRIRYISALYWSITTMTTVGYGDLHAVNTVEMIFIILYMLFNLGLTAYIIGNMTNLVVEGTRRTMEFRNSIEAASNFVSRNRLPARLKEQILAYMCLRFKAERLNQQQLIEQLPKSIYTGICQHLFLPTVKKVYLFNGTSREMLLHLVAKMKAEYLPPREDVIMQNEAPDDVYIIVSGEVEIIDYEMEKELAVGTLRSEDIFGEIGALCCRPQRFTFRTKTLSQLLRLKTTDLIAAMQTKQEDNVAILKNFLQQNKRLKDHKIGDLVIEGGEEDGDPKNIAISLLTVADAGNAAFLDELLKARLDPDVGDSEGRTPLHIAASKGHEECVLVLLKHACNVHVRDMNGNTALWDAISAKHHSIFTVLYHFAAISDSFTAGDLLCTAAKRNDLTVMQELLKHGLSVDAKDRHGLTALQIAMREKHEDMVNLLVMNGADVINANTYEFSSTALNEMLKKREIGHRITVTDTTSGEELLKKLEREDVCILGKCRGLDPLRVSIYRGHPLMRTESCCMEPGKLIRLPDSLDELKNFAGEKFGIDPRNAIVTDEGGAEIDSIEVIRDNDKLFIVAEPIN >EOY00491 pep chromosome:Theobroma_cacao_20110822:2:32987688:32991752:-1 gene:TCM_010372 transcript:EOY00491 gene_biotype:protein_coding transcript_biotype:protein_coding description:RCD one 2, putative isoform 1 MSQIPGEDQVSMTMNNDEIADAMFESNLVDSCTDTFEFFTKIGLTKLQEPSLEHTIIKASFFTSIRDQSELAKKINIVAIHKNSHSSRSREARADSFQVFAKAVADKCGGNANLKYGWYGASRNEICEIVMHGFSWCNKAAGNRYSISLSPAKFAFDSVLSSEADENGLRHVLLCRVILGKQEVLTANSNQFHPTSPEFDSGVDDLSAPRKYIVWSVYMNTHILPSYVISIKAPYLIEGSKGLLEANIIKPDSKWVSFPTLISMLSRFLEPSQIASLNKNYSDFQERKITRKQLIDRMKEIAGHQALAAIVNLFAKKEDEGSSSSSSSKDEAGAAKGR >EOY00492 pep chromosome:Theobroma_cacao_20110822:2:32987768:32991751:-1 gene:TCM_010372 transcript:EOY00492 gene_biotype:protein_coding transcript_biotype:protein_coding description:RCD one 2, putative isoform 1 MSQIPGEDQVSMTMNNDEIADAMFESNLVDSCTDTFEFFTKIGLTKLQEPSLEHTIIKASFFTSIRDQSELAKKINIVAIHKNSHSSRSREARADSFQVFAKAVADKCGGNANLKYGWYGASRNEICEIVMHGFSWCNKAAGNRYSISLSPAKFAFDSVLSSEADENGLRHVLLCRVILGKQEVLTANSNQFHPTSPEFDSGVDDLSAPRKYIVWSVYMNTHILPSYVISIKAPYLIGSKGLLEANIIKPDSKWVSFPTLISMLSRFLEPSQIASLNKNYSDFQERKITRKQLIDRMKEIAGHQALAAIVNLFAKKEDEGSSSSSSSKDEAGAAKGR >EOX99056 pep chromosome:Theobroma_cacao_20110822:2:8297054:8299579:-1 gene:TCM_007676 transcript:EOX99056 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein MAAAFASPSVCINETLTDDELRSILTRLESDKDKEVFGLVCKRWLHLQSTERKKLCARAGPHMLSRMAARFTRVLELDLSQSVSRSFYPGVTDADLAVIAEGFKCLKVLHLQNCKAVTDRGLASIGTGLSSLQSLDVSYCRKLTDKGLLAVAEGCHDLRSLHLAGCRFVTDGLLLTLSRNCDNLVELGLQGCTKITDSGLADLVNGCHHIRFLDLNKCSNVGNVGISKVAQACLSSLKTLKLLDCYKVGDESILILAKFCKNLETLIIGGCRDISDVSVKSLASACKNSLKNLRMDWCLNISDSSLSCILTQCKNLEALDIGCCEEVTDAAFQDLRNDKTEFRLKVLKVSNCPKITVLGIGMLLNQCTSLEYLDVRSCPHVTKAGCDEAGLTFPECCKVNFTGSLSEPDVLV >EOX99068 pep chromosome:Theobroma_cacao_20110822:2:8336715:8337324:-1 gene:TCM_007685 transcript:EOX99068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRTCARQSLICTRPKDFTKHSVQHGAHAWHVGEPAKPLRESECEMPCLYDSLCCSPLLSWEIDGLLAYIASGSGLTLGSAAPVVHISCSAGTSQFDKNRF >EOY01045 pep chromosome:Theobroma_cacao_20110822:2:37087295:37088436:1 gene:TCM_010980 transcript:EOY01045 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG-Y-related protein B MATEETNNTQGPPPPSQQSSLPDYPQMILEAIEALNEKAGSNKSAISKHIESTHPDLPAAHSTLLSHHLNKMKQSGQIVMSKNNYLKPDPNAPPKRGRGRPPKPKVPLPPGTVVSPPRPRGRPPKPKDPFAPSKPKTSGGTGRPRGRPPKKAKTGVSAAPPPIFYFGGLELMWGMCCNAFRKGVDFSDSL >EOY02221 pep chromosome:Theobroma_cacao_20110822:2:42356796:42363929:1 gene:TCM_011915 transcript:EOY02221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase protein with RING/U-box domain isoform 3 MTATAVSHLAFAPITVSDFAEPSTNRSNAEQQSTEQNEGDYDFYVSSRKKRNNVKRKKKKARSGPLLMWEVWEQEHEKWIDENLTADVDLDQQNAVITETSEASPDLIIPLLRYQKEWLAWALKQEDSATKGGILADEMGMGKTIQAIALVLAKRELLRTIAEPNGSSLTPSSSTDLPMIRGTLVICPVVAVSQWVSEIDRFTSRGSTKVLVYHGTNRGKNIKQFLDYDFVITTYSIVEAEYRKYMMPPKEKCPYCGKSFYQKKLSVHLKYYCGPDAVKTEKQSKQERKKSKSVFKSDREHTSNYETDMRKGAGKKKSKHNEEDKDLDFEFDDTFAGVEHSLPQGKSLLHSVKWERIILDEAHFVKDRRCNTAKAVLTLESLYKWALSGTPLQNRVGELYSLVRFLQIVPYSYYLCKDCDCRTLDYSSSTQCSNCPHNSVRHFCWWNKYVATPIQQCGNGEIGKRAMILLKHKILKNIVLRRTKKGRAADLALPPRIVSLRRDTMDIKETDYYESLYSESQAQFNTYVQAGTVMNNYAHIFDLLTRLRQAVDHPYLVVYSSTASQRAGSIVNSDKNNDEQVCGICHDPTEEPVVTACAHVFCKACLIDFSASLGQVSCPSCSRLLTVDLTTKADAGGQSSRTTLKGFKSSSILNRIQLNDFQTSTKIEALREEISLMVERDGSAKGIVFSQFTSFLDLINYSLHKVSKMRMEKTLF >EOY02219 pep chromosome:Theobroma_cacao_20110822:2:42356796:42368477:1 gene:TCM_011915 transcript:EOY02219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase protein with RING/U-box domain isoform 3 MQLRPRKRTSNPASNEEPSDSSDKADDFIQLDDLSDQEIYVPSSDSDQGEVEIFDLNHKPMTATAVSHLAFAPITVSDFAEPSTNRSNAEQQSTEQNEGDYDFYVSSRKKRNNVKRKKKKARSGPLLMWEVWEQEHEKWIDENLTADVDLDQQNAVITETSEASPDLIIPLLRYQKEWLAWALKQEDSATKGGILADEMGMGKTIQAIALVLAKRELLRTIAEPNGSSLTPSSSTDLPMIRGTLVICPVVAVSQWVSEIDRFTSRGSTKVLVYHGTNRGKNIKQFLDYDFVITTYSIVEAEYRKYMMPPKEKCPYCGKSFYQKKLSVHLKYYCGPDAVKTEKQSKQERKKSKSVFKSDREHTSNYETDMRKGAGKKKSKHNEEDKDLDFEFDDTFAGVEHSLPQGKSLLHSVKWERIILDEAHFVKDRRCNTAKAVLTLESLYKWALSGTPLQNRVGELYSLVRFLQIVPYSYYLCKDCDCRTLDYSSSTQCSNCPHNSVRHFCWWNKYVATPIQQCGNGEIGKRAMILLKHKILKNIVLRRTKKGRAADLALPPRIVSLRRDTMDIKETDYYESLYSESQAQFNTYVQAGTVMNNYAHIFDLLTRLRQAVDHPYLVVYSSTASQRAGSIVNSDKNNDEQVCGICHDPTEEPVVTACAHVFCKACLIDFSASLGQVSCPSCSRLLTVDLTTKADAGGQSSRTTLKGFKSSSILNRIQLNDFQTSTKIEALREEISLMVERDGSAKGIVFSQFTSFLDLINYSLHKSGINCVQLVGSMSMAARDAAIKRFTEDPDCKIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVERQAQDRIHRIGQCKPIRLSFSPLVLKDSMELLARFISLLC >EOY02220 pep chromosome:Theobroma_cacao_20110822:2:42357032:42368477:1 gene:TCM_011915 transcript:EOY02220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase protein with RING/U-box domain isoform 3 MTATAVSHLAFAPITVSDFAEPSTNRSNAEQQSTEQNEGDYDFYVSSRKKRNNVKRKKKKARSGPLLMWEVWEQEHEKWIDENLTADVDLDQQNAVITETSEASPDLIIPLLRYQKEWLAWALKQEDSATKGGILADEMGMGKTIQAIALVLAKRELLRTIAEPNGSSLTPSSSTDLPMIRGTLVICPVVAVSQWVSEIDRFTSRGSTKVLVYHGTNRGKNIKQFLDYDFVITTYSIVEAEYRKYMMPPKEKCPYCGKSFYQKKLSVHLKYYCGPDAVKTEKQSKQERKKSKSVFKSDREHTSNYETDMRKGAGKKKSKHNEEDKDLDFEFDDTFAGVEHSLPQGKSLLHSVKWERIILDEAHFVKDRRCNTAKAVLTLESLYKWALSGTPLQNRVGELYSLVRFLQIVPYSYYLCKDCDCRTLDYSSSTQCSNCPHNSVRHFCWWNKYVATPIQQCGNGEIGKRAMILLKHKILKNIVLRRTKKGRAADLALPPRIVSLRRDTMDIKETDYYESLYSESQAQFNTYVQAGTVMNNYAHIFDLLTRLRQAVDHPYLVVYSSTASQRAGSIVNSDKNNDEQVCGICHDPTEEPVVTACAHVFCKACLIDFSASLGQVSCPSCSRLLTVDLTTKADAGGQSSRTTLKGFKSSSILNRIQLNDFQTSTKIEALREEISLMVERDGSAKGIVFSQFTSFLDLINYSLHKSGINCVQLVGSMSMAARDAAIKRFTEDPDCKIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVERQAQDRIHRIGQCKPIRIVRFVIENTIEERILKLQEKKELVFEGTVGGSTEALGKLTEADMRFLFVT >EOY02217 pep chromosome:Theobroma_cacao_20110822:2:42356773:42368477:1 gene:TCM_011915 transcript:EOY02217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase protein with RING/U-box domain isoform 3 MQLRPRKRTSNPASNEEPSDSSDKADDFIQLDDLSDQEIYVPSSDSDQGEVEIFDLNHKPMTATAVSHLAFAPITVSDFAEPSTNRSNAEQQSTEQNEGDYDFYVSSRKKRNNVKRKKKKARSGPLLMWEVWEQEHEKWIDENLTADVDLDQQNAVITETSEASPDLIIPLLRYQKEWLAWALKQEDSATKGGILADEMGMGKTIQAIALVLAKRELLRTIAEPNGSSLTPSSSTDLPMIRGTLVICPVVAVSQWVSEIDRFTSRGSTKVLVYHGTNRGKNIKQFLDYDFVITTYSIVEAEYRKYMMPPKEKCPYCGKSFYQKKLSVHLKYYCGPDAVKTEKQSKQERKKSKSVFKSDREHTSNYETDMRKGAGKKKSKHNEEDKDLDFEFDDTFAGVEHSLPQGKSLLHSVKWERIILDEAHFVKDRRCNTAKAVLTLESLYKWALSGTPLQNRVGELYSLVRFLQIVPYSYYLCKDCDCRTLDYSSSTQCSNCPHNSVRHFCWWNKYVATPIQQCGNGEIGKRAMILLKHKILKNIVLRRTKKGRAADLALPPRIVSLRRDTMDIKETDYYESLYSESQAQFNTYVQAGTVMNNYAHIFDLLTRLRQAVDHPYLVVYSSTASQRAGSIVNSDKNNDEQVCGICHDPTEEPVVTACAHVFCKACLIDFSASLGQVSCPSCSRLLTVDLTTKADAGGQSSRTTLKGFKSSSILNRIQLNDFQTSTKIEALREEISLMVERDGSAKGIVFSQFTSFLDLINYSLHKSGINCVQLVGSMSMAARDAAIKRFTEDPDCKIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVERQAQDRIHRIGQCKPIRIVRFVIENTIEERILKLQEKKELVFEGTVGGSTEALGKLTEADMRFLFVT >EOY02218 pep chromosome:Theobroma_cacao_20110822:2:42356796:42368477:1 gene:TCM_011915 transcript:EOY02218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase protein with RING/U-box domain isoform 3 MTATAVSHLAFAPITVSDFAEPSTNRSNAEQQSTEQNEGDYDFYVSSRKKRNNVKRKKKKARSGPLLMWEVWEQEHEKWIDENLTADVDLDQQNAVITETSEASPDLIIPLLRYQKEWLAWALKQEDSATKGGILADEMGMGKTIQAIALVLAKRELLRTIAEPNGSSLTPSSSTDLPMIRGTLVICPVVAVSQWVSEIDRFTSRGSTKVLVYHGTNRGKNIKQFLDYDFVITTYSIVEAEYRKYMMPPKEKCPYCGKSFYQKKLSVHLKYYCGPDAVKTEKQSKQERKKSKSVFKSDREHTSNYETDMRKGAGKKKSKHNEEDKDLDFEFDDTFAGVEHSLPQGKSLLHSVKWERIILDEAHFVKDRRCNTAKAVLTLESLYKWALSGTPLQNRVGELYSLVRFLQIVPYSYYLCKDCDCRTLDYSSSTQCSNCPHNSVRHFCWWNKYVATPIQQCGNGEIGKRAMILLKHKILKNIVLRRTKKGRAADLALPPRIVSLRRDTMDIKETDYYESLYSESQAQFNTYVQAGTVMNNYAHIFDLLTRLRQAVDHPYLVVYSSTASQRAGSIVNSDKNNDEQVCGICHDPTEEPVVTACAHVFCKACLIDFSASLGQVSCPSCSRLLTVDLTTKADAGGQSSRTTLKGFKSSSILNRIQLNDFQTSTKIEALREEISLMVERDGSAKGIVFSQFTSFLDLINYSLHKSGINCVQLVGSMSMAARDAAIKRFTEDPDCKIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVERQAQDRIHRIGQCKPIRIVRFVIENTIEERILKLQEKKELVFEGTVGGSTEALGKLTEADMRFLFVT >EOX97483 pep chromosome:Theobroma_cacao_20110822:2:2423652:2429175:-1 gene:TCM_006483 transcript:EOX97483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRGCDEDDDNFDAKAPLLGLNQSGSGTATTQVLCQETIPMYEVYIYPNHAESVKGFGICHTSLLVVPNLITYPKSKTRFASAVLNNRRKVTSVYKPFCNDWLFLESCRKAVSKYPNIGYEEIIPEKCLMQLHSNLEIFDVLVDLTFVDDTGFLMLLLFSNPFDAAAFLSTAALCIVRLCFGAASFGGRRTLLGKGSSALTGEGELEVPAGGLPHF >EOY01871 pep chromosome:Theobroma_cacao_20110822:2:41237449:41240140:1 gene:TCM_011672 transcript:EOY01871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 704, subfamily B, polypeptide 1 isoform 1 MEEEKSIFSVFSSPDGCNMGMLMLACMVVSWIFTYRWNQRNRKGPKTWPFIGASIEQFMNYDRMHDWLVKYLSKSKTVVVPMPFTTYTYIADPANVEHVLKTNFANYPKGETYHSYMEVLLGDGIFNVDGELWKKQRKTASFEFASKNLRDFSTVVFREYSLKLHSILNQASFSNLEIDMQDLLMRMTLDSICKVGFGVEIGTLAPSLPDNCFAQAFDTANIIVTLRFVDPLWRLKRFLNVGSEALLGKSIKVIDEFTYNVIRRRKAEINETRDTCKTSKNQIKHDILSRFIELSEDPESNLTDKSLRDVVLNFVIAGRDTTATTLTWAIYMIMRHANVAERLYSELKAFEEEQAREEKISLLPFDVEDPESFNRRAAQFAGLLNYDSLGRLYYLHAVITETLRLYPAVPQDPKGILEDDVLPDGTRVKAGGMVTYVPYSMGRMEYNWGPDAASFKPERWLKEGYFQNASPFKFTAFQAGPRICLGKDSAYLQMKMALAIACRFFKFRLVPDHPVNYRMMTILSMEHGLKLTIARQS >EOY01870 pep chromosome:Theobroma_cacao_20110822:2:41237260:41240239:1 gene:TCM_011672 transcript:EOY01870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 704, subfamily B, polypeptide 1 isoform 1 MEEEKSIFSVFSSPDGCNMGMLMLACMVVSWIFTYRWNQRNRKGPKTWPFIGASIEQFMNYDRMHDWLVKYLSKSKTVVVPMPFTTYTYIADPANVEHVLKTNFANYPKGETYHSYMEVLLGDGIFNVDGELWKKQRKTASFEFASKNLRDFSTVVFREYSLKLHSILNQASFSNLEIDMQDLLMRMTLDSICKVGFGVEIGTLAPSLPDNCFAQAFDTANIIVTLRFVDPLWRLKRFLNVGSEALLGKSIKVIDEFTYNVIRRRKAEINETRDTCKTSKIKHDILSRFIELSEDPESNLTDKSLRDVVLNFVIAGRDTTATTLTWAIYMIMRHANVAERLYSELKAFEEEQAREEKISLLPFDVEDPESFNRRAAQFAGLLNYDSLGRLYYLHAVITETLRLYPAVPQDPKGILEDDVLPDGTRVKAGGMVTYVPYSMGRMEYNWGPDAASFKPERWLKEGYFQNASPFKFTAFQAGPRICLGKDSAYLQMKMALAIACRFFKFRLVPDHPVNYRMMTILSMEHGLKLTIARQS >EOX97905 pep chromosome:Theobroma_cacao_20110822:2:3936057:3944351:1 gene:TCM_006818 transcript:EOX97905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar proton ATPase A3 isoform 2 MGDVRGGCCPPMDLFRSEPMQLVQLIIPIESAHLTVAYLGDLGILQFKDLNSEKSPFQRTYAAQIKKCGEMARKMRFFKEQMVKAGFSPSTKSEARGDIDVDDLEVKLGELEAELIEMNANGEKLQRSYNELVEYKLVLQKAGEFFASAQHSAVAQQREMESRQMGEESIETPLLQDQETTIDLSKQVKLGFITGLVPREKSMAFERILFRATRGNVLLKQVPVEDPVTDPVSGEKMEKNVFVVFYSGERAKNKILKICEAFGANRYPFAEDLGKQALMITEVSGRITELKTTIDAGSYHRDNLLRTIGDQFEQWNLKVKKEKSIYHTLNMLSLDVTKKCLVAEGWSPVFATKQVQESLQRAAFDSNSQVGAIFQVLSTRESPPTYFRTNKFTSAFQEIVDAYGVAKYQEANPGVYTIITFPFLFAVMFGDWGHGICLLLATLFFIVREKKLSSQKLGDITEMTFGGRYVIMMMALFSIYTGLIYNEFFSVPFELFGRSAYACRDLTCRDASTVGLIKVRETYPFGVDPAWHGTRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNATFFGSSLNVWFQFIPQMIFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPTDELGENQLFPGQKTVQLVLLLLALVSVPWMLLPRPFLLKKQHENQHQGQSYTPLESTDDTLHSEANNDSHGHGHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSVVFYEKVLLLAWGFNNIIILIVGIIIFIFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFYPFSFALLGDEDD >EOX97907 pep chromosome:Theobroma_cacao_20110822:2:3937716:3944427:1 gene:TCM_006818 transcript:EOX97907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar proton ATPase A3 isoform 2 MARKMRFFKEQMVKAGFSPSTKSEARGDIDVDDLEVKLGELEAELIEMNANGEKLQRSYNELVEYKLVLQKAGEFFASAQHSAVAQQREMESRQMGEESIETPLLQDQETTIDLSKQVKLGFITGLVPREKSMAFERILFRATRGNVLLKQVPVEDPVTDPVSGEKMEKNVFVVFYSGERAKNKILKICEAFGANRYPFAEDLGKQALMITEVSGRITELKTTIDAGSYHRDNLLRTIGDQFEQWNLKVKKEKSIYHTLNMLSLDVTKKCLVAEGWSPVFATKQVQESLQRAAFDSNSQVGAIFQVLSTRESPPTYFRTNKFTSAFQEIVDAYGVAKYQEANPGVYTIITFPFLFAVMFGDWGHGICLLLATLFFIVREKKLSSQKLGDITEMTFGGRYVIMMMALFSIYTGLIYNEFFSVPFELFGRSAYACRDLTCRDASTVGLIKVRETYPFGVDPAWHGTRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNATFFGSSLNVWFQFIPQMIFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPTDELGENQLFPGQKTVQLVLLLLALVSVPWMLLPRPFLLKKQHENQHQGQSYTPLESTDDTLHSEANNDSHGHGHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSSLAHSELSVVFYEKVLLLAWGFNNIIILIVGIIIFIFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFYPFSFALLGDEDD >EOX97906 pep chromosome:Theobroma_cacao_20110822:2:3936491:3944427:1 gene:TCM_006818 transcript:EOX97906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar proton ATPase A3 isoform 2 MGDVRGGCCPPMDLFRSEPMQLVQLIIPIESAHLTVAYLGDLGILQFKDLNSEKSPFQRTYAAQIKKCGEMARKMRFFKEQMVKAGFSPSTKSEARGDIDVDDLEVKLGELEAELIEMNANGEKLQRSYNELVEYKLVLQKAGEFFASAQHSAVAQQREMESRQMGEESIETPLLQDQETTIDLSKQVKLGFITGLVPREKSMAFERILFRATRGNVLLKQVPVEDPVTDPVSGEKMEKNVFVVFYSGERAKNKILKICEAFGANRYPFAEDLGKQALMITEVSGRITELKTTIDAGSYHRDNLLRTIGDQFEQWNLKVKKEKSIYHTLNMLSLDVTKKCLVAEGWSPVFATKQVQESLQRAAFDSNSQVGAIFQVLSTRESPPTYFRTNKFTSAFQEIVDAYGVAKYQEANPGVYTIITFPFLFAVMFGDWGHGICLLLATLFFIVREKKLSSQKLGDITEMTFGGRYVIMMMALFSIYTGLIYNEFFSVPFELFGRSAYACRDLTCRDASTVGLIKVRETYPFGVDPAWHGTRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNATFFGSSLNVWFQFIPQMIFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPTDELGENQLFPGQKTVQLVLLLLALVSVPWMLLPRPFLLKKQHENHQGQSYTPLESTDDTLHSEANNDSHGHGHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSVVFYEKVLLLAWGFNNIIILIVGIIIFIFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFYPFSFALLGDEDD >EOX97123 pep chromosome:Theobroma_cacao_20110822:2:1287009:1288628:-1 gene:TCM_006223 transcript:EOX97123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METQAPIPSPKPPKDYRSNVDTSRPFRSVKEAVAVFGERLLVGEIYTPKSYTYSRPPSQEITWFSPSPQSRKEHDHEESNHQGVLDTLKKLEAELQETKADLKLLKERESETEIALASLNAELHKNMSKLAQAEAAAAKSAATSTSIPRTVSFDVGNGEDIAREEERRRELKKRMENNPTLSQILSFGEKEGYFGGKRERKMMKKKPIVPLLGDWLFKKKGSPTTRHNPLFSSPQMY >EOX96890 pep chromosome:Theobroma_cacao_20110822:2:383695:401468:1 gene:TCM_006031 transcript:EOX96890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEMAPFSMRARLHKNHKMPITKKRLKLQPKRRKNGMKGMQERFERLKIEMEEISEEQRGIREGQRQVREKFEAIESECEQLKKETKFIIQQSARTQIKVVLMFKILKARDESDFSTAANLTQLLGQIVAREKEERQALSDA >EOX96889 pep chromosome:Theobroma_cacao_20110822:2:376656:385425:1 gene:TCM_006031 transcript:EOX96889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEMAPFSMRARLHKNHKMPITKKRLKLQPKRRKNGMKGMQERFERLKIEMEEISEEQRGIREGQRQVREKFEAIESECEQLKKETKFIIQQSARTQIKVVLMFKILKARDESDFSTAANLTQLLGQIVAREKEERQALSDA >EOX99563 pep chromosome:Theobroma_cacao_20110822:2:12210820:12213687:-1 gene:TCM_008263 transcript:EOX99563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEIFLLEKGKKSCSSKLKVEFSGTITSEKLGKDIAERFGRDEMVWTKSTSRQVKAQLMSKRLFEYVGSNWKGILDKDKLNHLDKTIIGNGLRMDFMDLKKKTQDANAINKRPSEMATKVKKAKFGNGPNKGITSKESEMKELRSASKVAMTTREKLGNGIQEMEVNDIVQKERATKRRKKLRKAILEMLNANASEVQTKASVFSCDSGERDRAIQKETGFNYEVAIKLGLKFEGNLKVVIEVFARLEREIKEKRINSGNWEYGLGNIYAPIDDRAKVELLEDLVQRVKDLNCPWCLKGDFNVVLNAKRRLGANSDDREIELFQKFVNDVSLVNFIWMAIGKSINGETHNVGLEFLRKRSRGSSLNGKMVRSVLKLRDWDVNLKALIRNSSMSLERPFF >EOX98572 pep chromosome:Theobroma_cacao_20110822:2:6224888:6234929:-1 gene:TCM_007296 transcript:EOX98572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MEMQRKDGEAEAVIIGGMVLDIQATSSIPPHPRTTCPGKVHYVQGGVARNIAECMWKLGAEPFMISALGFDMAGNLLLEHWKSSKLPTEGIRKHQDIKTPTVCHILDVTGEVAAGVASVEAVEMFLTPEWIQQFKQKIHSAPILMVDANLSPRAIEASCRIAAESNVPVWFEPVSIAKSKRIASVVKYITFASPNEDEVIAMANALSSDNMFRPIEKKKCSTDTLFQMLKPAIWLLLEKGVKILVVTIGSDGVILCFKGEPSSWRISPEKTKNHGFSGRLFETVTLSCPSSWYSDAKFLERSPYFLGVHLPALPASVIRLTGAGDCLVGGMLASLCAGLNVMQSVAIGIAAAKASVEVDSNVPSEFSLATIAGDARMVFSAAKVLPHQSKLSLKDGLILHVQAIKASFTPSTLTSNQLIHLYSKHGLIHEAQKLFDEMPERNVFSWNTIISAYIKSQNLTQARALFDDAPHKDLVTYNSMLSGYVSADGSETHAVKLFYDMQAACDDKIKIDEFTVTTMLSLSAKLTNLSYGAQLHCFMVKTGNNKTGFAVSSLIDMYSKCGCFKEAFQVYKGGGGLVDLVSKNAMVAAFCREGEMEMALELFWKEPELNDAVSWNTLISGYQQHGYIEESLKLFVRMGENGVRWNEHTFTSVLSACSILKNLKAGKEVHGWVLKNGLSLNPFVSSGIVDVYCKCGQMKYAELMHLGSGRSNTFSVTSMIVGYSSQGNMVEARRLFDSFDEKNSVVWTALFSGYLKSQNCDAVFQLLGEFWEKEATIPDGLILMCVLGASALQAALDPGKQTHGYILRVGIEMDEKLFSAIIDMYSKCGHIAYAGKMFQKVNFKDSVIYNVMMAGYAHHGHESKVFQLFEEMLQQGIRPDVVTFVALLSACRHCGSAELGEKYFNSMTESYKILPEIDHYACMIDLYGRANQLEKAVEFMKVIPVEQDAAIMGAFLNACRLNKNAELAREAEAKLLRIEGDSGARYVQLANIYAAEGKWAEMGRIRKEMRGKVKKFAGCSWRTIKGHGYSGGKACVEKTTFRVMLRSSKIKTSIAEEVIIHSNCLQCPILQECEHTKFAEKGRQRTKEVAITGRKAANPKMSNATGYLQQWLILSMQLGRDGNGTLLQGIRGYPIRLCRVKVFYNPVRVVRYSIIGFG >EOX99381 pep chromosome:Theobroma_cacao_20110822:2:10893135:10903513:1 gene:TCM_008065 transcript:EOX99381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein, putative MFDIFFGWRKASKCKKLIKRVQCRLKLLKNKRYTIVKQLREDLAQLIKLGYEESAFNRAEQLFKDESIMAVYDMLDNFCEFVNIQLSYIRRNKDCPNDINEAVSSLIFASARCADLPELPAIRKLFGERYGQRFATTAVELLPGNLVNREIKEKLSIKSVSDDVKYRLIDEIARDYGQQPEILALEFIPEMQQQLKEISRHQELDTNNSNCEGSQMQTSDATEIEGKFIQVDPLAMSDGRLIRQSQSYSYPNSDAICTSLNSSKQPSPDKMESPVQIKAEKVENFPQTDSPFELTVDTTGVGKSQRMSWICTTTLKHRDERKAPSSSESLPQLPEEAVVYLDDIEELQSSTKKGDCQDQRLFKFKSPLLPKRGPVTDGYDVCDESYVDNDGPQDEKSSSRTFSKRRNSYEKRSRRRSLSLERSSMKDNDHETYYEKPCKTSPSHKHKSNHYRKLQKKTTIAECEQSAYVLKRLNQPCCKYNTMTSCCNYRFNSEVNICGQEYPFYFCTVDDREEQFPPRNQKRGLRNSGQFPICDTEENLDNQFCHCQCSCIGGCKNETGCTKMEEMTLKQNLRRRSYDNSASVYEVFTLPKMEKEKTLGKTKGCASPGSHVSSNSSLPSVVSSQTRKETVPPYLRAMTMPQERPRDGRRYSILRSNSLSFQNPNHVHPKLPDYDDLAAKFMALKKERLL >EOY02049 pep chromosome:Theobroma_cacao_20110822:2:41788757:41791635:-1 gene:TCM_011797 transcript:EOY02049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MEMITAKSEMGPGRRRLCMAEGDNIETKCIKRRRRDPSTGSLGCYNQQGEQQQQQGDQPTATTVKRSSRFRGVSRHRWTGRFEAHLWDKASWNPTQRKKGKQGAYDEEESAARAYDLAAIKYWGTSTFTNFPVSDYEKEIEIMKAVTKEEYLASLRRRSSGFSRGVSRYRGVARHHHNGRWEARIGRVFGNKYLYLGTYGTQEEAAHAYDIAAIEYRGINAVTNFDLSTYIRWLKPGANQTTLVSQPMTASNPFPTEQTPDVPLFNSNPFTVEALDSPRKQDVLQKKAPVSPCPKSSSPTALSLLLRSSMFNKLVEENLNANFDVTEENDTKELLEIVDNNGVGDMFYNEIDHVPDALPGLESEDGKLPLYNKTGQFTWNGALNLPLLH >EOX96968 pep chromosome:Theobroma_cacao_20110822:2:745696:761299:-1 gene:TCM_006091 transcript:EOX96968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein isoform 1 MEDPEAQPGEDPVEEPLNLENQVAASPAESVKKFNKSGKSSEAAESKVSELTNSTKKRSDTRNGSELSSGFARSTVSSSLRSSNSVAAIRRNSTGGVPEKSSASNARQQNNANTIAGKKPTTPSATESVRRSLPELRRSSLPSVAIKHISRANLSETRKSVPVSPEMLRGRLSTSTASDTSIQKTVRKSTVKPALSTSSSLKKITSSSLDSTASSTSRKTISKVASPTARSPSVSSGLRAGSLSSSLDRSSNLSGRKKAATPESRDSRFIVLPQVEIKAGDDVRLDLRGHRVRSLNASGLNLSPNLEFVYLRDNLLSTLEGVEILTRVKVLDLSFNDFKGPGFEPLENCKALQQLYLAGNQITSLVSLPQLPNLEFLSVAQNKLKSLSMASQPRLQVLAASKNRISTLKGFPYLPVLEHLRVEENPVLKMPHLEAASILLVGPTLKKFNDRDLSRDELSLAKRYPTHTALCIRDGWEFSRPEQAADSTFRFLFEQWKDHFPPGYLLKEASIDKPFEEDACHCHIVFGQESTLSTDPDIILKYKWFLGERTLSNFIAIPDADEEVYWPKHDEIGKILKVECTPVLGQTEYPPIFAISSPIARGNGIPKVVNLEVDGELVEGNIIKGHAKVAWCGGTPGKGVASWLRRRWNSSPVVITGAEDEEYRLTIADIDSSLVFMYTPVTEEGAKGEPQYKYTDFVKAAPPSVSNVRIIGDAVEGNVIRGVGNYFGGREGPSKFEWLRENKETGDFLLVTSGTSEYTLTKEDVGRRLAFTYIPINFEGQEGESVSIVSGTVRQAPPKVTNVKIIGDLRENSKVTVTGSVTGGTEGSSRVQWFKTNSSTFNGVNDLEAMSTSKVAKAFRIPLGAVGYYIVAKYTPMTPDGESGEPVYVISERAVETLPPSLNFLSITGDYTEGSILTASYGYIGGHEGKSIYNWYLHEVENDTGALIHEVSGLLQYRVTKDAIGKFISFQCTPVRDDGIVGEPRTCLGQDRVRPGSPRLLALQIVGHAVEGTVLSVDKKYWGGEEGDSVFRWFRTSSDGSQCEIRRASASSYMLSVDDIGFFISVSCEPVRSDWARGPIVLSEQIGPIVAGPPTCQSLEFLGSMMEGQRLSFLASYIGGERGDCFHEWFRVKNNGVKEKLSTDEFLDLTLDDVGRSIELVYTPMRKDGVKGNPKSVITGEISPADPVGLDLVIPDCHENQEVVPQKTYFGGLEGVGEYTWYRTKTKLDRSALTDISSSSEDVVTCGQTFTYTPSLEDVGAYLALHWLPIRVDGRSGKLLVAISNSPVIPAPPVVSSVHVEKLASGLYSGEGEYSGGYEGSSLFSWYREANDGTIILINGANSKTYEVTDADFNSRLLFGYTPVRSDSVVGELSLSEPTEIVLPEVPIVEMLALTGKAIEGDVLTAVEVIPKSEIQQCVWSKYKKDVHYQWFFSSETGDRKSFEPLPSQRSCSFKVRYEDIGRCLRCECIVTDVFGRSSEPAYAETASVLPGIPRIDKLEIEGRGFHTNLYAVRGIYTGGKEGKSKIQWLRSMVGSPDLISIPGETGRMYEANVDDVGYRLVAIYTPVREDGIEGQPVSASTEPIGVGNSEAHLLLFFHLIVITGINIVDHDVQNDIK >EOX96967 pep chromosome:Theobroma_cacao_20110822:2:743055:761389:-1 gene:TCM_006091 transcript:EOX96967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein isoform 1 MEDPEAQPGEDPVEEPLNLENQVAASPAESVKKFNKSGKSSEAAESKVSELTNSTKKRSDTRNGSELSSGFARSTVSSSLRSSNSVAAIRRNSTGGVPEKSSASNARQQNNANTIAGKKPTTPSATESVRRSLPELRRSSLPSVAIKHISRANLSETRKSVPVSPEMLRGRLSTSTASDTSIQKTVRKSTVKPALSTSSSLKKITSSSLDSTASSTSRKTISKVASPTARSPSVSSGLRAGSLSSSLDRSSNLSGRKKAATPESRDSRFIVLPQVEIKAGDDVRLDLRGHRVRSLNASGLNLSPNLEFVYLRDNLLSTLEGVEILTRVKVLDLSFNDFKGPGFEPLENCKALQQLYLAGNQITSLVSLPQLPNLEFLSVAQNKLKSLSMASQPRLQVLAASKNRISTLKGFPYLPVLEHLRVEENPVLKMPHLEAASILLVGPTLKKFNDRDLSRDELSLAKRYPTHTALCIRDGWEFSRPEQAADSTFRFLFEQWKDHFPPGYLLKEASIDKPFEEDACHCHIVFGQESTLSTDPDIILKYKWFLGERTLSNFIAIPDADEEVYWPKHDEIGKILKVECTPVLGQTEYPPIFAISSPIARGNGIPKVVNLEVDGELVEGNIIKGHAKVAWCGGTPGKGVASWLRRRWNSSPVVITGAEDEEYRLTIADIDSSLVFMYTPVTEEGAKGEPQYKYTDFVKAAPPSVSNVRIIGDAVEGNVIRGVGNYFGGREGPSKFEWLRENKETGDFLLVTSGTSEYTLTKEDVGRRLAFTYIPINFEGQEGESVSIVSGTVRQAPPKVTNVKIIGDLRENSKVTVTGSVTGGTEGSSRVQWFKTNSSTFNGVNDLEAMSTSKVAKAFRIPLGAVGYYIVAKYTPMTPDGESGEPVYVISERAVETLPPSLNFLSITGDYTEGSILTASYGYIGGHEGKSIYNWYLHEVENDTGALIHEVSGLLQYRVTKDAIGKFISFQCTPVRDDGIVGEPRTCLGQDRVRPGSPRLLALQIVGHAVEGTVLSVDKKYWGGEEGDSVFRWFRTSSDGSQCEIRRASASSYMLSVDDIGFFISVSCEPVRSDWARGPIVLSEQIGPIVAGPPTCQSLEFLGSMMEGQRLSFLASYIGGERGDCFHEWFRVKNNGVKEKLSTDEFLDLTLDDVGRSIELVYTPMRKDGVKGNPKSVITGEISPADPVGLDLVIPDCHENQEVVPQKTYFGGLEGVGEYTWYRTKTKLDRSALTDISSSSEDVVTCGQTFTYTPSLEDVGAYLALHWLPIRVDGRSGKLLVAISNSPVIPAPPVVSSVHVEKLASGLYSGEGEYSGGYEGSSLFSWYREANDGTIILINGANSKTYEVTDADFNSRLLFGYTPVRSDSVVGELSLSEPTEIVLPEVPIVEMLALTGKAIEGDVLTAVEVIPKSEIQQCVWSKYKKDVHYQWFFSSETGDRKSFEPLPSQRSCSFKVRYEDIGRCLRCECIVTDVFGRSSEPAYAETASVLPGIPRIDKLEIEGRGFHTNLYAVRGIYTGGKEGKSKIQWLRSMVGSPDLISIPGETGRMYEANVDDVGYRLVAIYTPVREDGIEGQPVSASTEPIGVEPDVFKEVKQKLDLGSVKFEVLCDKDRNPKKVPGEGCLERRVLEINRKRVKVVKPGSKTSFPTTEMRGSYAPPFHVELFRNDQRRLRIVVDSENEVDLMVHSRHLRDVIVLVIRGLAQRFNSTSLNSLLKIET >EOX97206 pep chromosome:Theobroma_cacao_20110822:2:1613738:1616842:1 gene:TCM_006296 transcript:EOX97206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clast3-related MDFVTEQGKQPHEECSTLLLPALSIGNVGQLAVDLLVSSMKAERIGYLDDPFVLPCVGNDAYGPIPCGELALPLEAYQSSSNGLTLLQQRSPVVKGMMVEFAKNLANFAAASGKKHVVLLSTLDFGKWQKIDMSSGLQIYYVSSTNPDGRDDHCEQLGWKRLQEYNPAQRCWKHLSTLAEGNTMLENNLPFEDELEEEDYYPSLPFAALFSCFKAKGLKVTCLLCYCSEGDNMQDAFNLAEAACNLLGLNPSTFHSNGSGSWLTPLSWQTVYGPPPDMSIF >EOX97223 pep chromosome:Theobroma_cacao_20110822:2:1665551:1666274:1 gene:TCM_006313 transcript:EOX97223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGICASSQYVKMGGNLSWPPPTTKIIYPGGRIQEFRQPIKASLVLSQNPNCFLCNSELMYVNSRLPHVPDDEELQLDQIYFLMPRSKSQAPLSLQELGSLAIKASTALAHLDKVYSAQKILHFSDKNGARFIAGTQRCCKAPIGFNIMGITSPGRSSGNSKVVF >EOY01381 pep chromosome:Theobroma_cacao_20110822:2:39220056:39223000:1 gene:TCM_011289 transcript:EOY01381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stem 28 kDa glycoprotein, putative MALLLVLFLANILTLSQGSSQPAHVHRQIHLLRPQSGAGGDLVPGLSCLSWRLGVETNNIIGWKTIPQECEGYVGHYMLGKQYRKDSKAVADEAFLYAQSLKLPRDGKDVWIFDVDETTLSNLPYYAEHGFGVEPYNATLFNKWVMEGKAPALPESLKLYKKLLSLGIKAVFITGRAEDQRSITATNLKKVGFHSWEKLILKESSYSGKTSVGYKSNERKKLEKNGYKIVGNIGDQWSDLLGTYTGNRTFKLPDPMYYIS >EOX97003 pep chromosome:Theobroma_cacao_20110822:2:884274:886243:1 gene:TCM_006130 transcript:EOX97003 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferase mraW MLGQRNKLGNFGRRNHVNIPLTCLNRNQTLISNEFHQTCRCANRSVASSRIHVALLSSSSIRCPFASCPSIMKQFSVATPKYNHTSPNRLILQTSISFKDFLKLNNIRKGKRYDQFFVFLLLIFQTIQTNMRPKSSQALSLKVLLLGVFFAFLLLFVLRSSLSSSQENSPSPILQTFSPAKTSNAIKESKTAANCSPSCDKIPRSLAQALIHYSTSAITPQQTLKEISVTARVLEKKSPCNFLVFGLGHDSLMWSSLNHGGRTVFLEEDEAWIEQIRRRFPMLESYHVAYDSKVNQAENLMDVGKGPECTAVGDPKYSMCQLALKGLPSEVYEMKWDLIMVDAPTGYYEEAPGRMTAIYTAGMMARNREEGDTDVFVHDVNRVVEDKFSMAFLCQGYMKKQEGRLRHFRIPSHKDGPDRPFCPE >EOX98065 pep chromosome:Theobroma_cacao_20110822:2:4439788:4442572:1 gene:TCM_006915 transcript:EOX98065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial editing factor 21 MLRGGLSSSTAVLPKPPQPETLLPVIQSHIDSSQPKCTIPKPSPYTSTLQTLLQPISNQNAPRHSSYAPLFQFLTARNCLKLGQQIHSHMTLHGLQPNAFLGAKMVAMYASLGDLESAVTIFNEIESPTSLLYNSIIRAYTNCGYPLKTVDIYCKMHYLGLKGDNFTFPFVLKSCANVLNGWMGKCVHGQSLRFGMELDIYVGTSLIDFYVKIGELRDAKKVFDIMTLRAVSSWNALIAGYMKEGEIGVAEGLFGRMPRRNIVSWTVMISGYTQNGLAKEALSLFDEMMKEESEVKPNWVTIMSVLPACAYSAALERGRRINEYVNRIGLESNPSVQNALIAMYAACGSLVDARCCFNRIRENEKNLCAWNAMISAYASHGRGVECVSTFDNMIRAGVHPDAITFTGLLSGCSHSGLIEFGLKYFNSMQTVYSVEPRLEHYACVVDLLGRAGRLVEAMEFINKIPMKPGPSIWGALLAACQKSKNLEIAEMAAKELFVLEPENSGNYVMLSNLYAEAGMWKEADKLRAHLKCQGIKKNPGCSWTEINGKAHFFLGGDTSHPQAKEIYNLLEALLEKIKAAGCVPNTSFVLPDISEEEKQHNLTVKSWQLVLDY >EOX98743 pep chromosome:Theobroma_cacao_20110822:2:6958275:6962349:1 gene:TCM_007435 transcript:EOX98743 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-deoxy-d-arabino-heptulosonate 7-phosphate synthase isoform 3 MALTSPSLLSSKSPLLPRHHYYCHSLLPAKLKPITAIHSADPAKSTKSTAASTTSPSIPIKWSLDSWKSKKALQLPEYPDQNDLVSVLQTLSSFPPIVFAGEARSLEEKLGQAAFGNAFLLQGGDCAESFKEFNANNIRDTFRVLLQMGVVLMFGGQMPVIKVGRMAGQFAKPRSDPFEEKNGVKLPSYRGDNINGDSFDEKARVPDPHRMIRAYCQSVATLNLLRAFATGGYAAMQRVSQWNLDFTENSEQGDSRYRELAHRVDEAMGFMAAAGLTVGHPIMTTTEFWTSHECLLLPYEQALTREDSTSGLYYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPNELVRLIEILNPQNKPGRITVIVRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTTKAPCGLKTRSFDAIRG >EOX98744 pep chromosome:Theobroma_cacao_20110822:2:6957963:6961250:1 gene:TCM_007435 transcript:EOX98744 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-deoxy-d-arabino-heptulosonate 7-phosphate synthase isoform 3 MALTSPSLLSSKSPLLPRHHYYCHSLLPAKLKPITAIHSADPAKSTKSTAASTTSPSIPIKWSLDSWKSKKALQLPEYPDQNDLVSVLQTLSSFPPIVFAGEARSLEEKLGQAAFGNAFLLQGGDCAESFKEFNANNIRDTFRVLLQMGVVLMFGGQMPVIKVGRMAGQFAKPRSDPFEEKNGVKLPSYRGDNINGDSFDEKARVPDPHRMIRAYCQSVATLNLLRAFATGGYAAMQRVSQWNLDFTENSEQGDRYRELAHRVDEAMGFMAAAGLTVGHPIMTTTEFWTSHECLLLPYEQALTREDSTSGLYYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVPSLVVLFQLSTLKFFYNVYLVWNEIKAFNRSLSTFFFLL >EOX98742 pep chromosome:Theobroma_cacao_20110822:2:6957772:6962542:1 gene:TCM_007435 transcript:EOX98742 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-deoxy-d-arabino-heptulosonate 7-phosphate synthase isoform 3 MALTSPSLLSSKSPLLPRHHYYCHSLLPAKLKPITAIHSADPAKSTKSTAASTTSPSIPIKWSLDSWKSKKALQLPEYPDQNDLVSVLQTLSSFPPIVFAGEARSLEEKLGQAAFGNAFLLQGGDCAESFKEFNANNIRDTFRVLLQMGVVLMFGGQMPVIKVGRMAGQFAKPRSDPFEEKNGVKLPSYRGDNINGDSFDEKARVPDPHRMIRAYCQSVATLNLLRAFATGGYAAMQRVSQWNLDFTENSEQGDRYRELAHRVDEAMGFMAAAGLTVGHPIMTTTEFWTSHECLLLPYEQALTREDSTSGLYYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPNELVRLIEILNPQNKPGRITVIVRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTTKAPCGLKTRSFDAIRAEVRAFFDVHDQEGSYPGGVHLEMTGQNVTECVGGSRTITYNDLSSRYHTHCDPRLNASQSLELAFIIGERLRKRRLASRHPLASN >EOX97944 pep chromosome:Theobroma_cacao_20110822:2:4043752:4048822:-1 gene:TCM_006838 transcript:EOX97944 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein isoform 3 MVKDTAYYDILGVNVEASAAEIKKAYYLKARLVHPDKNPGDPKAAENFQALGEAYQVLSDPEKREAYDKHGKAGVQPDAMLDPSAVFGMLFGSEFFEEYVGQLALASLSSVEIEDDSLDKEARMQKIQEKMKALQKEREEKLITILKNRLQPFVEGQTDEFIRWANLEARRLSKAAFGEAMLHTIGYIYTRKAARELGKDKRFMKVPFLAEWVRDKGHRIKSQVMAASGAVSLIQIQDELKKAHEGENKEENILKTLEDKKDAMLQSLWQINVVDIESTLSHVCLAVLRDPSVSKDVLVLRAKGLKKLGAIFQGAKAAYSRENSLRRESDIAISVGSSS >EOX97946 pep chromosome:Theobroma_cacao_20110822:2:4044718:4048802:-1 gene:TCM_006838 transcript:EOX97946 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein isoform 3 MVKDTAYYDILGVNVEASAAEIKKAYYLKARLVHPDKNPGDPKAAENFQALGEAYQVLSDPEKREAYDKHGKAGVQPDAMLDPSAVFGMLFGSEFFEEYVGQLALASLSSVEIEDDSLDKEARMQKIQEKMKALQKEREEKLITILKNRLQPFVEGQTDEFIRWANLEARRLSKAAFGEAMLHTIGYIYTRKAARELGKDKRFMKVPFLAEWVRDKGHRIKSQVMAASGAVSLIQIQDELKKAHEGENKEENILKTLEDKKDAMLQSLWQINVVDIESTLSHVCLARSCQASFLGC >EOX97945 pep chromosome:Theobroma_cacao_20110822:2:4043758:4048527:-1 gene:TCM_006838 transcript:EOX97945 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein isoform 3 MRLISCVRVPNSNAKSSAKRRPMVKDTAYYDILGVNVEASAAEIKKAYYLKARLVHPDKNPGDPKAAENFQALGEAYQVLSDPEKREAYDKHGKAGVQPDAMLDPSAVFGMLFGSEFFEEYVGQLALASLSSVEIEDDSLDKEARMQKIQEKMKALQKEREEKLITILKNRLQPFVEGQTDEFIRWANLEARRLSKAAFGEAMLHTIGYIYTRKAARELGKDKRFMKVPFLAEWVRDKGHRIKSQVMAASGAVSLIQIQDELKKAHEGENKEENILKTLEDKKDAMLQSLWQINVVDIESTLSHVCLAVLRDPSVSKDVLVLRAKGLKKLGAIFQGAKAAYSRENSLRRESDIAISVGSSS >EOY01498 pep chromosome:Theobroma_cacao_20110822:2:39691644:39701421:1 gene:TCM_011367 transcript:EOY01498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVGVSDARLAEVRAIKEVFLIFSASKWALSHSFVIESNSIKAVKWVNAPAEAPWRFRKWILHIGKMKKGLKKREDKWRLEISDIFLSSYDSVEDVAIVGGVVYKECVLQGYL >EOY00147 pep chromosome:Theobroma_cacao_20110822:2:27196580:27197213:-1 gene:TCM_009754 transcript:EOY00147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHIIPLSSSHMQRLMLLSLGGGRSRSIPPFTLQEVHFTPDHEATEQSLPSSSKLLGGESYFATDHTYQSTHRVEDHEASSPISYGGNNGLCALPLTHSRVSNGLYALLLAHSRVSNDLCSLLLAHSRVQINR >EOX98145 pep chromosome:Theobroma_cacao_20110822:2:4678214:4685256:-1 gene:TCM_006973 transcript:EOX98145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCFDVQIFEKSGCERNCVSITRMHQDSTEKIKEEEMDVTDTVKTKSQVQIGGKAKKKSAEEKDLASSSRKRPAGRKITAKLCKAAKNFTSNFPHFKHCITRCNVEVPFQLTIPTSSSTQNRIHLLDFEREILGGLTLPSLLRMTFNLYDLELLTSNCGTKKMQSHLESKKKMVKKSSKGVESNLPDHDNRPSFSMFILHKGDASRSLEIPPHFVRTHLPKRIPTRAVLRGPSGDYWKITMCKQDRSTIMQHGWQQFYQNHCLGDKDFLVLRYNGNMCFDVQIFEKSGCERNCVSITRTHQDSTEKIKEEEMDLTDMVKTKSQVQIGGKAKKKSAEEKDLASSSRKRPAGRKITAKLCKAAKDFTSNFPHFKHCITRCNVDIPFLLTIPTSFLNAHLPQARTEFTFWTSKEKSWEVTLLYTDTNKVFSKGWRRFAVDNKLEMDDSCVFELVAPREMRVHIFPTERGNCSQLFVQV >EOY01891 pep chromosome:Theobroma_cacao_20110822:2:41261577:41265494:1 gene:TCM_011682 transcript:EOY01891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mandelonitrile lyase, related, putative isoform 3 MGPHEPYWRTNTSFSPPPSRWDFHFQPEGLSYGSHDGIQLYGSATSSNSKESRGWGPQWTPPAIQEITADDYETTTRRDQVVGQLPFASIVEGILSTADSGVSTSSHSDSSESEAMVKPCLSSHRNFSNRRYFMSKPIHPLSFPKGTPTTEASDSAVAGFSDDAATPQRDAHRWSSASSSNDFADVSEPFESEIFNRSFIPSDGFKCGLCERFLSQRSPWSSRRIVRSSDMPVAGVLSCRHVFHAECLEQTTPKTRKNDPPCPICVRLEEQNSPEKQVISRLRNGLPRLRPFSEDGPSRTWGCAQVGDCVEGALHAPPRSTMLLLNRSRMKKNLFVKGNSSKEFPGKLRKSGSSSLQLFGGKSIDQGAVGCSKTIAGPSVKR >EOY01890 pep chromosome:Theobroma_cacao_20110822:2:41260644:41265512:1 gene:TCM_011682 transcript:EOY01890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mandelonitrile lyase, related, putative isoform 3 MGPHEPYWRTNTSFSPPPSRWDFHFQPEGLSYGSHDGIQLYGSATSSNSKESRGWVRGNLLYNHQYSTSDGAGLFLSSPSDLSQGPQWTPPAIQEITADDYETTTRRDQVVGQLPFASIVEGILSTADSGVSTSSHSDSSESEAMVKPCLSSHRNFSNRRYFMSKPIHPLSFPKGTPTTEASDSAVAGFSDDAATPQRDAHRWSSASSSNDFADVSEPFESEIFNRSFIPSDGFKCGLCERFLSQRSPWSSRRIVRSSDMPVAGVLSCRHVFHAECLEQTTPKTRKNDPPCPICVRLEEQNSPEKQVISRLRNGLPRLRPFSEDGPSRTWGCAQVGDCVEGALHAPPRSTMLLLNRSRMKKNLFVKGNSSKEFPGKLRKSGSSSLQLFGGKSIDQGAVGCSKTIAGPSVKR >EOY01892 pep chromosome:Theobroma_cacao_20110822:2:41260644:41265512:1 gene:TCM_011682 transcript:EOY01892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mandelonitrile lyase, related, putative isoform 3 MIMKLQREEGILSTADSGVSTSSHSDSSESEAMVKPCLSSHRNFSNRRYFMSKPIHPLSFPKGTPTTEASDSAVAGFSDDAATPQRDAHRWSSASSSNDFADVSEPFESEIFNRSFIPSDGFKCGLCERFLSQRSPWSSRRIVRSSDMPVAGVLSCRHVFHAECLEQTTPKTRKNDPPCPICVRLEEQNSPEKQVISRLRNGLPRLRPFSEDGPSRTWGCAQVGDCVEGALHAPPRSTMLLLNRSRMKKNLFVKGNSSKEFPGKLRKSGSSSLQLFGGKSIDQGAVGCSKTIAGPSVKR >EOX98314 pep chromosome:Theobroma_cacao_20110822:2:5308539:5310762:1 gene:TCM_007101 transcript:EOX98314 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 73B3, putative MDSESHQLHMFFIPFLAPGHMIPAVDMAKMFAMRGAQTTIITTPFNASLFSNTIQRCKNSGLDIDIKVLKFPCVEVGLPEGCENLDLITTPKDANREMVVKFCKGAAMLQEPLEQLLQELKPDCIVADVFLHWTFDAANKFGIPRLVFHGTGFFSLCGLECMRLYEPQKKVESDSEPFVVTNLPGDVKLTRKQLSDFIDQRIGGDFTQLLIECKASELKSYGVVVNSFYELEATYADYFRNVMGRKAWHIGPVSQCNRGTEEKAERGNEASIDEQECLKWLDSKKPKSVVYVSFGSTTNFAAAQLMEIAMGLEASGQQFIWVVRKKKNKEEKEDWLPEGFEKKMEGKGLIIRGWAPQMLILDHEAVGGFVTHCGWNSTLEGVTAGVPMVTWPLSAEQFLNEKFVTDVLKIGIVVGIQQSVKMLGNFVKRETIQKAVKEIMAGDRAHEMRKKAKALGEMAKTAVEKGGSSYTDLGDLIAELSLRRHSALN >EOX99489 pep chromosome:Theobroma_cacao_20110822:2:11611089:11615793:1 gene:TCM_008169 transcript:EOX99489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine transaminase family protein MENGSEKWGFQGKQEMNAAAAITVRGVLNMLMGNLNEDDSRPVIPLGHGDPSHFPSFRTSPAAEDAIVDALRSAKYNCYAPTVGILPARRAIVDYLNRDLPYKLSPDDVFLTSGCTQAIEVAFAVLSRPGANILLPRPGFPFYEASAAYNCFEVRHFDLLPEKGWEVDIDAVETLADENTVAMVIINPGNPCGNVFSYEHLKKVAETARKLGILVIADEVYDNLAFGSTKYVPMRMFASTVPVLTLGSISKKWIVPGWRLGWLVTSDPNGILHKYGIIESITGFLNISSDPATFIQGAIPQIIENTKEDFFSKIICILREAADISYNRIEEIPCITCPKKPEGSMFVMVKLNLSMLEDVNDDMEFCLKLAKEESVIILPGVAVGSKNWLRITFAIEPSSLEEGLARLKAFCQRHAKKQ >EOX98393 pep chromosome:Theobroma_cacao_20110822:2:5617650:5622944:1 gene:TCM_046717 transcript:EOX98393 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-alpha-L-fucosidases MGDEEWVLVRRPTVSDLLNPSSMVPSDSQSSTSRPLKVTFNEPAKYWTDALPIGNGRLGAMVWGGVASELLQLNEDTLWTGVPGTYTNPNAPDALSEVRNLVDSGQYAKATAAAAKLVGNPADVYQLLGDIKLEFDDSHFNYAKETYQRELDLDTATARIKYIVGDIEFSREHFASNPNQVMVTKISGSKPGSLSFTVSLDSKLDHHCWMNDQSQIIMEGHCPEKRIPPQVSANDNPKGIQFSAVLDLQISEENGMIHNLNDNKLRVEGSDWAILLLVASSSFDGPFTKPSDSKKDPTSDSLSVLKSMRNLSYAALYAHHIDDYQNLFHRVSLQLSKSSICNLCFKESQDDRVSTAERIKSFQMDEDPSLVELLFQFGRYLLISSSRPGTQVANLQGIWNKDLEPKWDAAPHLNINLEMNYWPSLPCNLSECQEPLFDFLSSLSVNGRKTAKVNYKANGWVVHHKSDIWAKSSADAGDVDWALWPMGGAWLCVHLWEHYAYTMDNDFLKDKAYPLLEGCGLFLLDWLIEGQEGYLETNPSTSPEHDFIAPDGNRASVSYSSTMDMAIIKEVFYVILSAAEVFDKNEDDLVKRVRKAQSRLYPTKIAKDGCIMEWAQDFQDPDVHHRHLSHLFGLFPGHTITIESTPDLCKAAEHTLHKRGEEGPGWSTTWKAALWARLHNSEHSYQMVKHLIYFVDPEHEKAFEGGLYSNLFAAHPPFQIDANFGFTAAVAEMLVQSTMEDLYLLPALCRDKWANGCVKGLMARGGVTVNICWKEGNLHEVGLWLKNQNCLKRLRLHYRETMVSVNLSSDFLYTYNEQLECVNTYSLQ >EOY00981 pep chromosome:Theobroma_cacao_20110822:2:36270255:36273350:1 gene:TCM_010881 transcript:EOY00981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase, putative MEDDQREEYSRDRISELPDEILFTILSFLTLKEVASICFLSKRLKSLWPNIVTLNFDASYALCELRRNGSLRKKKRSWYISWVNHILELHKGSTLNEFRVCFDLDWTCRHDIDSWFHFAISKRVRKLELDFEEVAEKTWPPGLRSYSLTKSCYDYIRTPHGLSCIGLLTSLCLRFVKVSGEVLEHFLSHCPLLEKLVVEWSKNLVRLKVASNSSALRLRYLEIHSCLALENLEISAPNLWSFRYYGQKMALHIENAPHLADVLIGGNLDDQPAFAFYPLSSYLCQLETLTLEMSAYNMMFPNFPQLTNLRHLVVSVYGGYDDNLLVLTSLIDASPSLNKLSLELKIWRSSTYNHAHSASNATGKPILSLKVVEVVGFRGFKIDVDFVTYLLEHGKMIEKITINCCHPSWIGQIWECESIKEREKARMHALQLKSKAPAITEFVVV >EOX99514 pep chromosome:Theobroma_cacao_20110822:2:11716585:11724572:-1 gene:TCM_008190 transcript:EOX99514 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit S2 1A isoform 7 MSDPKSGAGTSQTKAPPKDHKKKDEKKDEDLSEEDLALKQQLELYVERVQDPDPGLQKVALESMRQEIRTSTSSMTSVPKPLKFLRPHYGTLKAFYETMPESDLKKYLADILSVLALTMSAEGERESLKYRLLGSEGDIGLWGHEYVRNLAGEIAQEYMKRQSEEAPIEDLMELVQQIVAFHMKHNAEPEAVDLLMEVEDLDLLVEHVDSTNFRRTCLYLTSAARYLPGPDDMLVLDIAYTIYLKFEEYANALQIALFLDNLQHVRQVFESCDDLLRKKQFCYILARHGIAFELDDEMCAEDSDREFLQDIINNAKLSEGYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTVPPDSSSGGSSGNWLFKNKEHGKTSAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNCGVNNDCDPALALLSEYIGKEDSSIRIGAIMGLGIAYAGAQNEQIRSSLTPILNDAKAPLDVIAFTAISLGLIYVGSCNEEVAQAIIIALMDRSDSEFGEPLTRLLPLGLGLLYLGKQESVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQNLLGHCAQHLEKGETHQGPAVLGIAMVAMGEELGLEMSIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMGCSYLLGFDRFWNQ >EOX99512 pep chromosome:Theobroma_cacao_20110822:2:11714123:11724580:-1 gene:TCM_008190 transcript:EOX99512 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit S2 1A isoform 7 MSDPKSGAGTSQTKAPPKDHKKKDEKKDEDLSEEDLALKQQLELYVERVQDPDPGLQKVALESMRQEIRTSTSSMTSVPKPLKFLRPHYGTLKAFYETMPESDLKKYLADILSVLALTMSAEGERESLKYRLLGSEGDIGLWGHEYVRNLAGEIAQEYMKRQSEEAPIEDLMELVQQIVAFHMKHNAEPEAVDLLMEVEDLDLLVEHVDSTNFRRTCLYLTSAARYLPGPDDMLVLDIAYTIYLKFEEYANALQIALFLDNLQHVRQVFESCDDLLRKKQFCYILARHGIAFELDDEMCAEDSDREFLQDIINNAKLSEGYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTVPPDSSSGGSSGNWLFKNKEHGKTSAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNCGVNNDCDPALALLSEYIGKEDSSIRIGAIMGLGIAYAGAQNEQIRSSLTPILNDAKAPLDVIAFTAISLGLIYVGSCNEEVAQAIIIALMDRSDSEFGEPLTRLLPLGLGLLYLGKQESVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQNLLGHCAQHLEKGETHQGPAVLGIAMVAMGEELGLEMSIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMAAVISLGLIGSGTNNARIAGMLRNLSSYYYKDASLLFCVCFFVRIAQGLVHLGKGLLTLNPYHSDRFLLSP >EOX99513 pep chromosome:Theobroma_cacao_20110822:2:11718499:11724450:-1 gene:TCM_008190 transcript:EOX99513 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit S2 1A isoform 7 MSDPKSGAGTSQTKAPPKDHKKKDEKKDEDLSEEDLALKQQLELYVERVQDPDPGLQKVALESMRQEIRTSTSSMTSVPKPLKFLRPHYGTLKAFYETMPESDLKKYLADILSVLALTMSAEGERESLKYRLLGSEGDIGLWGHEYVRNLAGEIAQEYMKRQSEEAPIEDLMELVQQIVAFHMKHNAEPEAVDLLMEVEDLDLLVEHVDSTNFRRTCLYLTSAARYLPGPDDMLVLDIAYTIYLKFEEYANALQIALFLDNLQHVRQVFESCDDLLRKKQFCYILARHGIAFELDDEMCAEDSDREFLQDIINNAKLSEGYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTVPPDSSSGGSSGNWLFKNKEHGKTSAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNCGVNNDCDPALALLSEYIGKEDSSIRIGAIMGLGIAYAGAQNEQIRSSLTPILNDAKAPLDVIAFTAISLGLIYVGSCNEEVAQAIIIALMDRSDSEFGEPLTRLLPLGLGLLYLGKQESVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQNLLGHCAQHLEKGETHQGPAVLGIAMVAMGEELGLEMSIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMAAVISLGLIGSGTNNARIAGMLRNLSSYYYKDASLLFCVCFFVPCL >EOX99516 pep chromosome:Theobroma_cacao_20110822:2:11717074:11722791:-1 gene:TCM_008190 transcript:EOX99516 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit S2 1A isoform 7 MLLLKSDRKFLLSLVFNLFAGRNLAGEIAQEYMKRQSEEAPIEDLMELVQQIVAFHMKHNAEPEAVDLLMEVEDLDLLVEHVDSTNFRRTCLYLTSAARYLPGPDDMLVLDIAYTIYLKFEEYANALQIALFLDNLQHVRQVFESCDDLLRKKQFCYILARHGIAFELDDEMCAEDSDREFLQDIINNAKLSEGYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTVPPDSSSGGSSGNWLFKNKEHGKTSAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNCGVNNDCDPALALLSEYIGKEDSSIRIGAIMGLGIAYAGAQNEQIRSSLTPILNDAKAPLDVIAFTAISLGLIYVGSCNEEVAQAIIIALMDRSDSEFGEPLTRLLPLGLGLLYLGKQESVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQNLLGHCAQHLEKGETHQGPAVLGIAMVAMGEELGLEMSIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMAAVISLGLIGSGTNNARIAGMLRNLSSYYYKDASLLFCVRIAQGLVHLGKGLLTLNPYHSDRFLLSPIALAGLVIMLHACLDMKAIILGKYHYVLYFLVLAMQPRMLMTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEK >EOX99511 pep chromosome:Theobroma_cacao_20110822:2:11714123:11724580:-1 gene:TCM_008190 transcript:EOX99511 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit S2 1A isoform 7 MSDPKSGAGTSQTKAPPKDHKKKDEKKDEDLSEEDLALKQQLELYVERVQDPDPGLQKVALESMRQEIRTSTSSMTSVPKPLKFLRPHYGTLKAFYETMPESDLKKYLADILSVLALTMSAEGERESLKYRLLGSEGDIGLWGHEYVRNLAGEIAQEYMKRQSEEAPIEDLMELVQQIVAFHMKHNAEPEAVDLLMEVEDLDLLVEHVDSTNFRRTCLYLTSAARYLPGPDDMLVLDIAYTIYLKFEEYANALQIALFLDNLQHVRQVFESCDDLLRKKQFCYILARHGIAFELDDEMCAEDSDREFLQDIINNAKLSEGYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTVPPDSSSGGSSGNWLFKNKEHGKTSAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNCGVNNDCDPALALLSEYIGKEDSSIRIGAIMGLGIAYAGAQNEQIRSSLTPILNDAKAPLDVIAFTAISLGLIYVGSCNEEVAQAIIIALMDRSDSEFGEPLTRLLPLGLGLLYLGKQESVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQNLLGHCAQHLEKGETHQGPAVLGIAMVAMGEELGLEMSIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMAAVISLGLIGSGTNNARIAGMLRNLSSYYYKDASLLFCVCFFVRIAQGLVHLGKGLLTLNPYHSDRFLLSP >EOX99515 pep chromosome:Theobroma_cacao_20110822:2:11713737:11722791:-1 gene:TCM_008190 transcript:EOX99515 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit S2 1A isoform 7 MLLLKSDRKFLLSLVFNLFAGRNLAGEIAQEYMKRQSEEAPIEDLMELVQQIVAFHMKHNAEPEAVDLLMEVEDLDLLVEHVDSTNFRRTCLYLTSAARYLPGPDDMLVLDIAYTIYLKFEEYANALQIALFLDNLQHVRQVFESCDDLLRKKQFCYILARHGIAFELDDEMCAEDSDREFLQDIINNAKLSEGYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTVPPDSSSGGSSGNWLFKNKEHGKTSAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNCGVNNDCDPALALLSEYIGKEDSSIRIGAIMGLGIAYAGAQNEQIRSSLTPILNDAKAPLDVIAFTAISLGLIYVGSCNEEVAQAIIIALMDRSDSEFGEPLTRLLPLGLGLLYLGKQESVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQNLLGHCAQHLEKGETHQGPAVLGIAMVAMGEELGLEMSIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMAAVISLGLIGSGTNNARIAGMLRNLSSYYYKDASLLFCVRIAQGLVHLGKGLLTLNPYHSDRFLLSPIALAGLVIMLHACLDMKAIILGKYHYVLYFLVLAMQPRMLMTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPLSPILEGHVILKENPDYREDS >EOX99510 pep chromosome:Theobroma_cacao_20110822:2:11714115:11724979:-1 gene:TCM_008190 transcript:EOX99510 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit S2 1A isoform 7 MSDPKSGAGTSQTKAPPKDHKKKDEKKDEDLSEEDLALKQQLELYVERVQDPDPGLQKVALESMRQEIRTSTSSMTSVPKPLKFLRPHYGTLKAFYETMPESDLKKYLADILSVLALTMSAEGERESLKYRLLGSEGDIGLWGHEYVRNLAGEIAQEYMKRQSEEAPIEDLMELVQQIVAFHMKHNAEPEAVDLLMEVEDLDLLVEHVDSTNFRRTCLYLTSAARYLPGPDDMLVLDIAYTIYLKFEEYANALQIALFLDNLQHVRQVFESCDDLLRKKQFCYILARHGIAFELDDEMCAEDSDREFLQDIINNAKLSEGYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTVPPDSSSGGSSGNWLFKNKEHGKTSAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNCGVNNDCDPALALLSEYIGKEDSSIRIGAIMGLGIAYAGAQNEQIRSSLTPILNDAKAPLDVIAFTAISLGLIYVGSCNEEVAQAIIIALMDRSDSEFGEPLTRLLPLGLGLLYLGKQESVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQNLLGHCAQHLEKGETHQGPAVLGIAMVAMGEELGLEMSIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMAAVISLGLIGSGTNNARIAGMLRNLSSYYYKDASLLFCVRIAQGLVHLGKGLLTLNPYHSDRFLLSPIALAGLVIMLHACLDMKAIILGKYHYVLYFLVLAMQPRMLMTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPLSPILEGHVILKENPDYREDS >EOX98426 pep chromosome:Theobroma_cacao_20110822:2:5731044:5731761:-1 gene:TCM_007188 transcript:EOX98426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein Lea5, putative MGRAAIAKTKILMLRGAKVAVRSSSNIAGADKEITEGRRTKNKDIKDSPSWVPHPKSGIYFPKGHEWVMNDVPDDAASLGSTFWLRNVDGVEKPDPDEVSSHHQYFHANM >EOY01659 pep chromosome:Theobroma_cacao_20110822:2:40521025:40524223:1 gene:TCM_011505 transcript:EOY01659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLYKICLSCTFTPPAPPVSLIPIYSSTMTMEEVFIFDSIVEVKIELDSTRIFAYLLRCVNLSPEISWS >EOX97097 pep chromosome:Theobroma_cacao_20110822:2:1170772:1180050:1 gene:TCM_006199 transcript:EOX97097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MMANDENEVKHLEEDGANTPLVGAASCMLSSGPLDISLSCLVLQIGKALKRHSISNSVRDFDNHATRVLGKFETLDTYYRRSSSINYVENVSVPLHCVSSLDVPLCTSEAIPWDECSG >EOX98266 pep chromosome:Theobroma_cacao_20110822:2:5137902:5143169:-1 gene:TCM_007069 transcript:EOX98266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold regulated gene 27, putative isoform 1 MGDNLRCNFPFPAPFSDSQACVSELTRTNSDSSGCAVTIESFRDPTANARPQGQSMVWTNEKHNLYLDFLEASFVKQLHCSISLHGCHSKEEIWGSYPTQKLPAKGHNSSDQISILQDGCCQKIDYESNDPLLDSTADSNQILGSPWIEHFRSAGKSSSSTFPVPTETAVLNDGIYLKSNSNVSYKSARSSQHHLIFHSCNQSLDCCTPEVSDQNFADEDQGKKISCVSGAKRLKMTAMLGASSNSQVVPLGRLHSVDDSVISDTSTKRGKKKLLSEHPESFPCPKSDMCYFLRES >EOX98265 pep chromosome:Theobroma_cacao_20110822:2:5138022:5143119:-1 gene:TCM_007069 transcript:EOX98265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold regulated gene 27, putative isoform 1 MGDNLRCNFPFPAPFSDSQACVSELTRTNSDSSGCAVTIESFRDPTANARPGQSMVWTNEKHNLYLDFLEASFVKQLHCSISLHGCHSKEEIWGSYPTQKLPAKGHNSSDQISILQDGCCQKIDYESNDPLLDSTADSNQILGSPWIEHFRSAGKSSSSTFPVPTETAVLNDGIYLKSNSNVSYKSARSSQHHLIFHSCNQSLDCCTPEVSDQNFADEDQGKKISCVSGAKRLKMTAMLGASSNSQVVPLGRLHSVDDSVISDTSTKRGKKKLLSEHPESFPCPKSDMCYFLRES >EOX98267 pep chromosome:Theobroma_cacao_20110822:2:5137817:5140994:-1 gene:TCM_007069 transcript:EOX98267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold regulated gene 27, putative isoform 1 MVWTNEKHNLYLDFLEASFVKQLHCSISLHGCHSKEEIWGSYPTQKLPAKGHNSSDQISILQDGCCQKIDYESNDPLLDSTADSNQILGSPWIEHFRSAGKSSSSTFPVPTETAVLNDGIYLKSNSNVSYKSARSSQHHLIFHSCNQSLDCCTPEVSDQNFADEDQGKKISCVSGAKRLKMTAMLGASSNSQVVPLGRLHSVDDSVISDTSTKRGKKKLLSEHPESFPCPKSDMCYFLRES >EOY01752 pep chromosome:Theobroma_cacao_20110822:2:40808431:40817459:-1 gene:TCM_011580 transcript:EOY01752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4 glucan phosphorylase L isozyme, chloroplastic/amyloplastic isoform 2 MKFVLVVKHLTSPFLQGRALLNAIGNLELTGAYAEALKKLGHSLEDVDREEPDAALGNGGLGRLASCFLDSLATLNYPARGYGLRYKYGLFKQHITKDGQQEVAENWLEMGNPWEIVRNDVSYPVKFYGEVISGPEGVKQWVGGENVMAVAYDVPIPGYKTKTTVNLRLWFTKVAPDQFDLRSFNAGDHAKAYAAMKNAEKPRRCRGGGRNTTTILNLSALYHTVCAGFQNYVYISYMIASLQDIIACYEKRSGESENWENFPDKVAVQMNDTHPTLCIPELIRILMDVKGLSWEQAWTITQRSSLGDISSLIQTIIDEYGAEDLELLQEKLKQMRILDNIELPQSVAELFVKPEKSSVVDSTEEDDISDEETKPIDEEDQLEEQDIENKDEATPIEPDPKLPKTVRMANLCVAGGYAVNGVAEIHSEIVKNEVFNEFYKLWPEKFQNKTNGVTPRRWIRFCIPDLSKVITKWTGSEDWVVNTEKLMILRKFADNEDLQSEWREAKRRNKVKVASFLREKIGYIVNPDAMFDVQVKRIHEYMRQLLNIMGIVYRYKKMKEMSHEERKACFVPRVCIFGGKAFATYIQAKRIVKFIADVGATVNHDPEIGDLLKVVFVPDYNVSVAEMLIPGSELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIRQKVGEDNFFLFGAKAHEIAGLRKERAEGKFVPDPRFEEVKAYVRSGVFGPYNYEKLMGSLEGNEGYGRPDYFLVGKDFPSYIECQEKVDEAYRDQKRWTKMSILNTAGSYKFSSDRTIHDYARDIWRIDPVVLP >EOY01751 pep chromosome:Theobroma_cacao_20110822:2:40808431:40817887:-1 gene:TCM_011580 transcript:EOY01751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4 glucan phosphorylase L isozyme, chloroplastic/amyloplastic isoform 2 MASLPFSATCSHHSTFIDFNYTARNSKLFFPRNSRFTFSRRNFLVKSVASNQKQHIKGAQVTGEASLDTFVPDSASIASSIKYHAEFTPSFSPDHFELPKAFYATAETVRDSLIINWNATYEYYEKINVKQAYYLSMEFLQGRALLNAIGNLELTGAYAEALKKLGHSLEDVDREEPDAALGNGGLGRLASCFLDSLATLNYPARGYGLRYKYGLFKQHITKDGQQEVAENWLEMGNPWEIVRNDVSYPVKFYGEVISGPEGVKQWVGGENVMAVAYDVPIPGYKTKTTVNLRLWFTKVAPDQFDLRSFNAGDHAKAYAAMKNAEKPRRCRGGGRNTTTILNLSALYHTVCAGFQNYVYISYMIASLQDIIACYEKRSGESENWENFPDKVAVQMNDTHPTLCIPELIRILMDVKGLSWEQAWTITQRSSLGDISSLIQTIIDEYGAEDLELLQEKLKQMRILDNIELPQSVAELFVKPEKSSVVDSTEEDDISDEETKPIDEEDQLEEQDIENKDEATPIEPDPKLPKTVRMANLCVAGGYAVNGVAEIHSEIVKNEVFNEFYKLWPEKFQNKTNGVTPRRWIRFCIPDLSKVITKWTGSEDWVVNTEKLMILRKFADNEDLQSEWREAKRRNKVKVASFLREKIGYIVNPDAMFDVQVKRIHEYMRQLLNIMGIVYRYKKMKEMSHEERKACFVPRVCIFGGKAFATYIQAKRIVKFIADVGATVNHDPEIGDLLKVVFVPDYNVSVAEMLIPGSELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIRQKVGEDNFFLFGAKAHEIAGLRKERAEGKFVPDPRFEEVKAYVRSGVFGPYNYEKLMGSLEGNEGYGRPDYFLVGKDFPSYIECQEKVDEAYRDQKRWTKMSILNTAGSYKFSSDRTIHDYARDIWRIDPVVLP >EOY02235 pep chromosome:Theobroma_cacao_20110822:2:42426142:42429183:-1 gene:TCM_011922 transcript:EOY02235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVAGRPPDPPNHPLPESSSLPNMSTPTPHFLADKNGGLQASDPHTQPPTSPRFQKKSFLSITAGSKPPVIPLNRNPVVYKDRPAAVFYEDEICILAKPFSLCLVGKFTRMPKLQEVRSAFKGIGLSGAYEIKWLDYKHVIIHLSNDQDFNRIWTRQQWFIVGQKMRIFKWSPEFEAEKESPVVPVWISFPNLKAHLYEKFALLLIAKTIGRPLFVDEATAKGSRPSVARVCAEYDCRKPPINQVWIVTQKRETGTVTNGYAQKVEFSQMPAYCDHCCHVGHNETNCLVLGNISKSLASMKSQLKGQTKQILNTSKTQIREKIDGEREDKAKGIMVEEIRPATTQTDMSKQSIWRVVGKAGKNGAKDANGNEIDVEKRDVDSVISVSNRFQKIMEAESHEQTRNAKQGHIARNTYNNRETANQGEQNNGTPQAPDERQKNTTNQTGSGDRKGAEIETMSVACPEGATGVADFSEKLQREGSDTQDFLHGNRMHGQKGIIVGERMQKLHAISRSDVEKKELTMHAARGYTEERAATAEVQLESTKNGLNNKNSKSQRVQDLGETNRSLHEVRKQCPDKTPSDREPLPNVPMSGDVDGTMLPAARKQGTTPSYSLQDQRAQGNTSTGVKERVMAPPDGTLMQVSMQNSADDKNKNYLSLPLVRQTDILHKKNLQKSDIGAGTQNVTIDRPAVVISASVNSLQELSPLHGSSDASKQSPSHTRAETISGNEHNLSKSTENASNDLKAPTHDRAVEGTRIDDDQTLARNEQLITVRKAIMRKKAKPALVNLVSVMNIEEAEVALEQANPTATSTRVEIMEVERSRDVLSRPELGICMLNVETDSVPSNEVTRSYTQQENKSVGHVDSPMQSHATPESQNLNIHPCVLRRRKSDSSLCSSDNWNSLNASDPLEVQDGAATADSISQTPSLHTYP >EOX97859 pep chromosome:Theobroma_cacao_20110822:2:3708752:3711526:-1 gene:TCM_006785 transcript:EOX97859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase 1 MASASATLLKSSPILDKSEWVKGQNLRQPSVSVVRCHPTAPSALTVRASSYADELIKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVSAPGLGEYISGAILFEETLYQSTTDGKKMVDVLVEQNIVPGIKVDKGLVPLPGSNNESWCQGLDGLSSRTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDSGLVPIVEPEILLDGEHGIDRTFEVAKKVWAEVFFYLAENNVMFEGILLKPSMVTPGAECKEKATPQQVADYTLKLLHRRIPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTCLKTWGGRPENVKAAQEVLLVRAKANSLAQLGKYTGEGESEEAKKGMFVKGYVY >EOY00461 pep chromosome:Theobroma_cacao_20110822:2:32607202:32608072:-1 gene:TCM_010330 transcript:EOY00461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAASCGRRTLQFSCASAKTILTHSTSSRSANNKLAGLFSLKPTSASRFSLRNLISSRLPVELGGAVTLMPLHSATASALFTSLLSLHNQSWGCLSEGIFWLLKL >EOY00459 pep chromosome:Theobroma_cacao_20110822:2:32605296:32607975:-1 gene:TCM_010330 transcript:EOY00459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAASCGRRTLQFSCASAKTILTHSTSSRSANNKLAGLFSLKPTSASRFSLRNLISSRLPVELGGAVTLMPLHSATASALFTSLLSLHNQSWGCLSEGFATPL >EOY00460 pep chromosome:Theobroma_cacao_20110822:2:32605505:32608062:-1 gene:TCM_010330 transcript:EOY00460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAASCGRRTLQFSCASAKTILTHSTSSRSANNKLAGLFSLKPTSASRFSLRNLISSRLPVELGGAVTLMPLHSATASALFTSLLSLHNQSWGCLSEA >EOX99974 pep chromosome:Theobroma_cacao_20110822:2:20254028:20259657:1 gene:TCM_009123 transcript:EOX99974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYPRVYWLVCHKFFSNQANLCCHKTFLLIINSFSKITQAKSKNPYLSLLESPKPILFFLSHFFFILSPFLQLISSIFFPKVKMAWKLENGVRRMEEKKKYLVVGDKSQPWDKDKESKLHLEVLTNLMEKLSFCPLRIDPMRCAQIK >EOX99460 pep chromosome:Theobroma_cacao_20110822:2:11403709:11406761:-1 gene:TCM_008142 transcript:EOX99460 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP/ATP carrier 3 isoform 1 MADGSQRPSVFQKIHGQSYFISRLSPNLHAKNYNVTGAYANGGIHVALQPSCQDTGFAHVSPVSPIFVQAPAEKGFSGFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGITDCFARTIKDEGVLALWRGNTANVIRYFPTQALNFAFKDYFKRMFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTIKSDGLAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGGLQDSFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQIIKNEGTKSLFKGAGANILRAVAGAGVLAGYDKLQVIVLGKKYGSGGGG >EOX99459 pep chromosome:Theobroma_cacao_20110822:2:11403708:11406761:-1 gene:TCM_008142 transcript:EOX99459 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP/ATP carrier 3 isoform 1 MADGSQRPSVFQKIHGQSYFISRLSPNLHAKNYNVTGAYANGGIHVALQPSCQDTGFAHVSPVSPIFVQAPAEKGFSGFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGITDCFARTIKDEGVLALWRGNTANVIRYFPTQALNFAFKDYFKRMFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTIKSDGLAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGGLQDSFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQIIKNEGTKSLFKGAGANILRAVAGAGVLAGYDKLQVIVLGKKYGSGGGG >EOX99458 pep chromosome:Theobroma_cacao_20110822:2:11403860:11406734:-1 gene:TCM_008142 transcript:EOX99458 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP/ATP carrier 3 isoform 1 MADGSQRPSVFQKIHGQSYFISRLSPNLHAKNYNVTGAYANGGIHVALQPSCQDTGFAHVSPVSPIFVQAPAEKGFSGFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGITDCFARTIKDEGVLALWRGNTANVIRYFPTQALNFAFKDYFKRMFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTIKSDGLAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGGLQDSFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQIIKNEGTKSLFKGAGANILRAVAGAGVLAGYDKLQVIVLGKKYGSGGGG >EOY02108 pep chromosome:Theobroma_cacao_20110822:2:41983526:41985164:-1 gene:TCM_011841 transcript:EOY02108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPMPQNQLVTTIPSNGSLQSNAGGAAAAGMPMRLMPMPSPPVKVVPTGPWTTELFDCMEDPTNACATSGIIYALAPCLVSGPYRKKLRRRFGLVEAPASDWITHTMFGPYALRQEYREVKYQGINLHLDTMEI >EOY00812 pep chromosome:Theobroma_cacao_20110822:2:35364395:35365300:1 gene:TCM_010748 transcript:EOY00812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase tnp2 MNIVVVRFLQQLKKKVKNRAFVEGSICEAYVIEEVSSFCSWYFEPAMRTRVNRVPRNDDGGEVDSISRLSIFTHPGRAFGSCDKC >EOX98060 pep chromosome:Theobroma_cacao_20110822:2:4407656:4413969:-1 gene:TCM_006910 transcript:EOX98060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 1 METPYRQPISPTQTRLGWIGTGIMGAPMASRLISAGYSLIIYTRTQSKALSLQSLGARIVNSPRVVAQHSDVVFTMVGNSKDVRSVVLESNGILSGLNPGGVIVDMTSSHPALARVIFSAARAKGCWSVDAPVSGADAGAREGTLAIFAGGDPDIVSWLKPLFDIMGRVTYMGEAGCGQSCKLANQITGSAVLVGLSEGLVFAERAGLDLKQFLDAVRGGAAGSKLMELFGEKMIERDFRPGGFAEYLVKDLGMALDMLEEGTEDERVTALPGAALTKQLFAGMVANGDAKLGLQGLITVIERINGKFTGTKLPAKIKKMVSQTDSATRESVIVVMDANRNKGMVDVVDWALKHVVRPKDTVIVVGVLADIRKKNSSCFPINMGINIYGILEKLEFSSGHPEAKPRELGEEIERKREQCQANLQPFYRRCKRNEVKLEVKLAAGLCPNEITLKEAENSNTRWIVLDSHLKEHKLYIYWHVGCNVAVMKGKDVATLMLSRASKPDSSPASCETADDETCPDNQPLNDQKNGDQNPVEEGESSIPPPPKGPCWYPLQWRAGFPRHFSLSEIEVITNGFADTIYGKEYYEGVLQNTHVIVKSFEDDERFWSMLAILLRLRHRNVMNIVGYCCTRTNRLLIHDYPSLGNIEMNLQCVTSASNLSWTTRWYTAIEIGCSLRYLHEECPDGPIVHQSVCSTNIFYAHGYSAMLGNFITAKSLKDVPCNGKSTVKRLNLEEDKCLSMDLHDYGMFLVELITGKITNRFPHESEGQSLIDWALPLLESGSLNQVMDPRLTDKDDDSRVVQYMARAALLCLKNDLGHRLSISEVLAVVRGKQIAVLWF >EOX98061 pep chromosome:Theobroma_cacao_20110822:2:4409324:4413584:-1 gene:TCM_006910 transcript:EOX98061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 1 METPYRQPISPTQTRLGWIGTGIMGAPMASRLISAGYSLIIYTRTQSKALSLQSLGARIVNSPRVVAQHSDVVFTMVGNSKDVRSVVLESNGILSGLNPGGVIVDMTSSHPALARVIFSAARAKGCWSVDAPVSGADAGAREGTLAIFAGGDPDIVSWLKPLFDIMGRVTYMGEAGCGQSCKLANQITGSAVLVGLSEGLVFAERAGLDLKQFLDAVRGGAAGSKLMELFGEKMIERDFRPGGFAEYLVKDLGMALDMLEEGTEDERVTALPGAALTKQLFAGMVANGDAKLGLQGLITVIERINGKFTGTKLPAKIKKMVSQTDSATRESVIVVMDANRNKGMVDVVDWALKHVVRPKDTVIVVGVLADIRKKNSSCFPINMGINIYGILEKLEFSSGHPEAKPRELGEEIERKREQCQANLQPFYRRCKRNEVKLEVKLAAGLCPNEITLKEAENSNTRWIVLDSHLKEHKLYIYWHVGCNVAVMKGKDVATLMLSRASKPDSSPASCETADDETCPDNQPLNDQKNGDQNPVEEGESSIPPPPKGPCWYPLQWRAGFPRHFSLSEIEVITNGFADTIYGKEYYEGVLQNTHVIVKSFEDDERFWSMLAILLRLRHRNVMNIVGYCCTRTNRLLIHDYPSLGNIEMNLQCVTSASNLSWTTRWYTAIEIGCSLRYLHEECPDGPIVHQSVCSTNIFYAHGYSAMLGNFITAKSLKDVPCNGKSTVK >EOX98304 pep chromosome:Theobroma_cacao_20110822:2:5257921:5263992:-1 gene:TCM_007094 transcript:EOX98304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein 7, putative isoform 1 MDHKMWLWRKKSSEKTIVATDKVDMSLKRIDEEVQMPPMEGPRDRIVKNLNEKLASVLLDCHAKEDLVTKNVKMAPEANAGWEKAEADAIFLKKELEEALRQGKLANEKLTRSDAALKECMQQLNFFREEQEQRMRDAIMKTSSEFEKAQEALQDKLTETNRRLEELVVENSRLSKALLVKEKLIEDQQKHKSQAEAEFGALMARLDFTEKENTFLKYEFHVLEKELEIRNEEMEYNRRSADLAHKQHLDGVKKIAKLEAECQKLRLLLQKRLPGPAAVMKMKNEVEMLGRDKTELRRRKLNSTRDLIIRDSAAENSPDNPTKNINLLLEQLRNVEEENRTLKEMMTKKNAQLQSSSLACSQTLSRPTQVEIQPKKLFTGQNSMELVRSSPISSELSQTSGFDIGSIDGISSSCSWANALISEPAHSRDRKLRNPMKHKAITVPEMRLMDDFVEMEKLALVSGGGYNPVSDGEGLLPFGQGYCGFSNTKQIHSRDVAAERSFDWLQVVLHAISEHKRISNRSLDEILEDIKIALGCSTLLTDGDVSKTACSMHPIESDALHISGYIGWKSPNTSPSVGSLSGASTVENSAEKTKKQQFQSNLSKSISKIVELIEGIDLTSYNTSSSCLERDQSPKQAVAHADYFVRVFQWKSSELSTVLQQFLRICNDLLNKRADLENFAGELSFALDWMLNNCVTPKEASSARDKIKRHFGWIESQNDKDVGSEGNFLVLEPDVIHISEEQSSCLGSFASSHDQNLNVISEKEGIQCSLEEENKRLKDDLKNMEARLESATDKSEALTVQLHESEQSIGSLQTELKISKETKEMIEDQVENQKSINEDLDTQLTVAKAKLNEIFQKCSSLEVELEYKNNCCEELEATCLELQLQLESVARKETPKYVMNREGKQSQNGWEITAASVKLAECQETILNLGKQLKVLASPQDAALFDKVFSSSGAATTVINNRRVNRRFSLRDRMLAEDGSKAEVHKSPNIRGTLSIGEAENSSLPDSNNCKNLQASGLVVNTSEAHLGSKKEGTNTAVMALAIVPSKKQGVGLLRRLLLRRKKGYSKKSHYQKTD >EOX98305 pep chromosome:Theobroma_cacao_20110822:2:5257941:5263392:-1 gene:TCM_007094 transcript:EOX98305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein 7, putative isoform 1 MDHKMWLWRKKSSEKTIVATDKVDMSLKRIDEEVQMPPMEGPRDRIVKNLNEKLASVLLDCHAKEDLVTKNVKMAPEANAGWEKAEADAIFLKKELEEALRQGKLANEKLTRSDAALKECMQQLNFFREEQEQRMRDAIMKTSSEFEKAQEALQDKLTETNRRLEELVVENSRLSKALLVKEKLIEDQQKHKSQAEAEFGALMARLDFTEKENTFLKYEFHVLEKELEIRNEEMEYNRRSADLAHKQHLDGVKKIAKLEAECQKLRLLLQKRLPGPAAVMKMKNEVEMLGRDKTELRRRKLNSTRDLIIRDSAAENSPDNPTKNINLLLEQLRNVEEENRTLKEMMTKKNAQLQSSSLACSQTLSRPTQVEIQPKKLFTGQNSMELVRSSPISSELSQTSGFDIGSIDGISSSCSWANALISEPAHSRDRKLRNPMKHKAITVPEMRLMDDFVEMEKLALVSGGGYNPVSDGEGLLPFGQGYCGFSNTKQIHSRDVAAERSFDWLQVVLHAISEHKRISNRSLDEILEDIKIALGCSTLLTDGDVSKTACSMHPIESDALHISGYIGWKSPNTSPSVGSLSGASTVENSAEKTKKQQFQSNLSKSISKIVELIEGIDLTSYNTSSSCLERDQSPKQAVAHADYFVRVFQWKSSELSTVLQQFLRICNDLLNKRADLENFAGELSFALDWMLNNCVTPKEASSARDKIKRHFGWIESQNDKDVGSEGNFLVLEPDVIHISEEQSSCLGSFASSHDQNLNVISEKEGIQCSLEEENKRLKDDLKNMEARLESATDKSEALTVQLHESEQSIGSLQTELKISKETKEMIEDQVENQKSINEDLDTQLTVAKAKLNEIFQKCSSLEVELEYKNNCCEELEATCLELQLQLESVARKETPKYVMNREGKQSQNGWEITAASVKLAECQETILNLGKQLKVLASPQDAALFDKVFSSSGAATTVINNRRVNRRFSLRDRMLAEDGSKAEVHKSPNIRGTLSIGEAENSSLPDSNNCKNLQASGLVVNTSEAHLGSKKEGTNTAVMALAIVPSKKQGVGLLRRLLLRRKKGYSKKSHYQKTD >EOX98598 pep chromosome:Theobroma_cacao_20110822:2:6320219:6322624:1 gene:TCM_007310 transcript:EOX98598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p family protein, putative isoform 2 MENYSYNSYPDSGDSSPRSREIDFENPPPWEDQPQHAQNYKAKFMCSYAGKIHPRPHDNQLAYIGGETKILAVERNIKFSSMISKLSGLCCGGAGDADVSFKYQLPGEDLDALISVTNDDDLEHMMHEYDRLYRASAKPARMRLFIFTAIGSANFGCEGAKSERDRFVEALNSGPTPGAEKNAAIPPNNVDFLFGLEKGMAPPPPVKIRDPVAEPVAPPPPPVPEVVGSDHVLNPVEIQRQLQELQRLQIRDQEQLAMYRKKTEEAASLPYTGEYYAQKLPEKAPPVNLPVTLQQHPVTAGFWPDKQISAGGYPATVTTTPGPPPPSEHQVYMISAPGPAPATVYHAAPPIPAPAPAAAASQMVRPMTGQAGQGYYANVQRMPPEVYREQPVYNMVAQPPPTQHPPISAVSQQVVRPPSGGGVTDMAYAHLGAYDRQVYYTAPGGVVQPQYQGVGVAVSGEMRAGTEGKMVNKVSQGSV >EOX98599 pep chromosome:Theobroma_cacao_20110822:2:6319683:6321991:1 gene:TCM_007310 transcript:EOX98599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p family protein, putative isoform 2 MENYSYNSYPDSGDSSPRSREIDFENPPPWEDQPQHAQNYKAKFMCSYAGKIHPRPHDNQLAYIGGETKILAVERNIKFSSMISKLSGLCCGGAGDADVSFKYQLPGEDLDALISVTNDDDLEHMMHEYDRLYRASAKPARMRLFIFTAIGSANFGCEGAKSERDRFVEALNSGPTPGAEKNAAIPPNNVDFLFGLEKGMAPPPPVKIRDPVAEPVAPPPPPVPEVVGSDHVLNPVEIQRQLQELQRLQIRDQEQLAMYRKKTEEAASLPYTGEYYAQKLPEKAPPVNLPVTLQQHPVTAGFWPDKQISAGGYPATVTTTPGPPPPSEHQVYMISAPGPAPATVYHAAPPIPAPAPAAAASQMVRPMTGQAGQGYYANVQRMPPEVYREQPVYNMVAQPPPTQHPPISAVSQQVVRPPSGGGVTDLSIKALAWQLVVK >EOX97446 pep chromosome:Theobroma_cacao_20110822:2:2298339:2307694:-1 gene:TCM_006454 transcript:EOX97446 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein, putative MNENSDSDPGFRFPPPPSQHNIPELPSSSSHAVNYDPNPRKKRSKLMKSDAGQFPTSPRTVSRPKYTKKPDPSAPKITRPCSECGRKFWSWKALFGHMRCHPERQWRGINPPPNYRRPVSPVKQTNKVEVLMTEEDHETAEALLMLANGPAANESECGTSSQQGVQENDALGANLGANFRFECSSCKKVFGSHQALGGHRASHKNVKGCFAITRSDGCEVEDQSGDEDGIVKENVEDNSKMMMVLGHKCSICLRVFPTGQALGGHKRCHWEKGDETSPNQGLNLLAAREDCGLDLNLPAPVETDPSSSHSSGLALDLRLGL >EOX97508 pep chromosome:Theobroma_cacao_20110822:2:2530726:2533016:1 gene:TCM_006509 transcript:EOX97508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIVSLILQLLLVISGNLRRGYVGKKLPYVAIIVWLVYLSADWMATIVLSTLLMGDVKLKHGLIVFWTPFLLWHLGSPHNITAYSLEDNELWLRHFLGMVFQVSEAVYIYIRFRSDTDLNFMAAPIFLAGVCKYGERIWALRSASDKQLINSLYSSKGKAWPQENKNIRIGLSESAINDSFKGKGNVSELNLLRQAYSSFVIFKPLFLGLPFGLSAKFYDDMVYIKSKSAEDAFKLVGSELGYLYDLLFTKMPLHHHQPKLSLSLRALCFLSAVSSLIAFSAIMDKSVHSKVDIVITYLLLLGAISLDVYSFIMHALSTWAMIRSPIPKNMVQKMYSKVVASRMNLVEAKMEIKSMAQHDLINYFVEAKRNKFTEAVRIIDTGNLLQKYGHTNWKPVDCELKQFIYDHLKEKRQTLAEKGFGQEDLEKLLNSKGENMLDEHVMEDLVGTTDFIQGIFCWHFATKLVYYNDLDNFRTGTLGSSCEIAMSLSDYMMYLVLVRPLMLPKGFSEVINNENYCRAKFFFPEDMTKNMRKIEVRKRFTSAVLGHQNFLSESNSLEVINGGCRFAEKLQSVITELRRDHEEKWKMISKVWVEMMTYAASHCSWKEHAQQLRHGGELLTHVALLMAHLGLTTQIRREKPPDHEDELNLPFPL >EOY01173 pep chromosome:Theobroma_cacao_20110822:2:38055086:38063850:-1 gene:TCM_011107 transcript:EOY01173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNRLDTLEVLLFLHLVYLFPISNLQTTQSFSCVWKFRVCVIYDVSYIALRWSSVSTVNSHASFQWEFQTPWTARFLLQWYGPSLLYMTYIIEKNLIMSATLWLARNDSILNSKGDDSIDDLGWWFEPRNSLMKRAPLHHHFGSWMPTPLGEYKFNIDGSTRSKLGLAGCGGVLSNSNGLVIKIFFKPLGLLGLNHAEFMAILKALHLFVASPYANCPLTIELNSRVALSWVKTIEQQSWDK >EOX99277 pep chromosome:Theobroma_cacao_20110822:2:9799061:9800331:-1 gene:TCM_007895 transcript:EOX99277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLESCNLSNSYLHLLCLYICITPLNQFLPESFENFSCFANLSPAIAIASVSMLEPALICLSTPLNPIPLVSLMSIPVASSSRRIIMKCSINIYFNPSFSRPLPSAPPFCHCSQ >EOX99279 pep chromosome:Theobroma_cacao_20110822:2:9801605:9803650:-1 gene:TCM_007897 transcript:EOX99279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSFTLIPALIFLVSFTMFHHSLAGFLAQPVSGHDQPLKPGDYSSPNTVPAFPVQTEAQVCRLDLSAELFGGVSDACGHNLDRSRCCPVLAAWLFAAHARYALEVSAPAPAESEQPEQPMMPDDSQKCVNSLQNALLSKRVRIPQPNASCDAILCFCGIRLHQISSLSCPAAFNVSGFRNATPTAAVKNLERNCRNSSYAGCTKCLGALQKLKGGYYKNGTEDRSTSERASKMFNRDCQLMGLTWLLARNKTAYIPTVSAVLRAIMYSAHPHESKCSPDQENMPLAVDSLQFEKAQSSASPHPSWASFTFPVLPLIILVSMFG >EOX97636 pep chromosome:Theobroma_cacao_20110822:2:2924569:2925371:-1 gene:TCM_006606 transcript:EOX97636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane intrinsic protein 2,8 isoform 1 MSKEVSEEGQSRKDYVDPPPAPLIDMAELKLWSFYRALIAEFIATLLFLYVTIATVIGYKKQQDACDGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVAYMVAQCLGAICGVGLVKAFMKHDYNSQGGGANTVASGYNKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVP >EOX97635 pep chromosome:Theobroma_cacao_20110822:2:2924028:2925459:-1 gene:TCM_006606 transcript:EOX97635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane intrinsic protein 2,8 isoform 1 MSKEVSEEGQSRKDYVDPPPAPLIDMAELKLWSFYRALIAEFIATLLFLYVTIATVIGYKKQQDACDGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVAYMVAQCLGAICGVGLVKAFMKHDYNSQGGGANTVASGYNKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSIGAAVIYNNEKAWDDHWIFWVGPFVGALAAAAYHQYILRAAAIKALGSFRSNPTN >EOX97218 pep chromosome:Theobroma_cacao_20110822:2:1645699:1649019:1 gene:TCM_006308 transcript:EOX97218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein MKYNEISHFSHPQHILKFEYTEVPFKCDGCKEVGIGSRYRCAFCDFDLHMHCAIPSLSIAHPFYKKCSFQFLSRPPGDTPRYCNACEKDVTGFVYHCKSCGFDLHPCCAKLPMVLDDGEVKLYLYRKVSAPCHRCGRKGRSWSYRSACKKYNLHVACVREMLVENWHELYYGRGKGTRKLETRIPSLKNTLQTPHKKSKGKVQKCCEMAGLALQFVISAVLGDPTTLIAGVIGTLMSRDETARPKEMGICNSCESTSAVTAKLILQDGRLQEFPDPVKVSHVLERNPDCFICSSDDMDFDSILCAIAEEDQLQLGELYFALPLSWLNSPLRTEEMGALAIKAGKALKLGIRKKFCGCGIKKVDPLLLPNKRVAKSSCMETNGGSDGSAGVAAGGGVRRNTRGAGRGSGSSFTAKLTVILEEQVD >EOX98487 pep chromosome:Theobroma_cacao_20110822:2:5939996:5945309:1 gene:TCM_007238 transcript:EOX98487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVIPGMRHIGARGEAKLRRPIPQIELVIDRLLWINCFIPLSHSAKADFHFLMTVIPGIHLTQRKKKRQGRFPFFNDCDSRLWLKAYWCKRRGYIEEAHTRVWTGDCAEAGFHFFMTVIPGALVQEDKAKWRRAIPQIVGWVKADFHFLMTIVPG >EOX99565 pep chromosome:Theobroma_cacao_20110822:2:12232351:12233568:1 gene:TCM_008266 transcript:EOX99565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFKASYVYIIAIVGLFQAFFRLFVEFVHCCLFFNVVVVQAVSDTRVCAWRSSFCCCSFSGWSAAVVASQFLVWEWLDTQDWS >EOY01044 pep chromosome:Theobroma_cacao_20110822:2:37086496:37087019:1 gene:TCM_010979 transcript:EOY01044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWTLRDTRAMMGYHHAHIPLSKVNSFLAAHSFPTPPPRFHPIPHSHSIITSLFIRAPPPRTWMPHPPPIESTPRDPINVAFSHLSE >EOX97831 pep chromosome:Theobroma_cacao_20110822:2:3621018:3622862:-1 gene:TCM_006763 transcript:EOX97831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPPPGPYSGTSTLALVARASAFSFGLVYGSMKLKFLKAKAKSQKKAEAKAHH >EOY01184 pep chromosome:Theobroma_cacao_20110822:2:38125903:38132675:-1 gene:TCM_011131 transcript:EOY01184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 30 MAIAVTNSMSSLASRAAAVVMLLRFSRACQAQLSSTFYENTCSNALSTIRTVIRTAIARERRMAASLIRLHFHDCFVQGCDASILLNDAPSITSEKNVLQNKDSERGYEVIDKAKSDVEKICPGVVSCADILAVAARDASEYVARGFLFVLIKMAGKFGTKFEIPHFDGTNFALWKLKMHAVLVKDGCAVALSTKKDKPEGMTDKQFAERAEIALANLQLALEDNVLFNMETETSAKGIVVVTKGELKGNLYRFSGETFVGEATITRDKSDLSMMGGPSWTVKLGKRDSTTASISLVTSQLPHFIANLESLIDLFENKGLSPRDMVTLSGLIYNNASDIDARLASTCKHCCPAALGNGDGNFAALDLVMPNSFDNNCFKNKMQKKSILELDQVLFSGGSTDNIISEYSRTFILSSLIFQLPRSKWELSNFL >EOX98122 pep chromosome:Theobroma_cacao_20110822:2:4632681:4634616:1 gene:TCM_006956 transcript:EOX98122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGRDQFLPSFGWSFVCLKGLRPIDENPDQARERFSKRLTQNEVDKCLLMFPFTAVVGLFAFEIHRLFCLDVVGRSGKAWTFLASFEPDEDMVSVFSIRCPQFASEYALKANDEVTFVRQALNDNDKAPWMKFKIEIRRKIILFGQDIWGEVMV >EOY00761 pep chromosome:Theobroma_cacao_20110822:2:34922344:34928064:-1 gene:TCM_010682 transcript:EOY00761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEKPDFTSGSGGSIVYNTVFIDTSLDTHLAMIVSDSDTVSDLKKKILYEHPLCFPNIGEIKINALKVKRKGYLYHLSDSMFVKSAFDGVSKSWFLSVDASSAEEHSENHNSRKPDPGNVVACFGITTNNSSADVVDLRPDGTSKRLSNINDSSLPQDGNNHHAKQNSASQRFDFGNSGKENSEDLHMEVEHTADSNSKVLSRPKVQEKVGGDNKICEDLPASVAASDLKRKHKTKKRKKDAFHDHSVKENGASVVESGKDALESDNVNERTAVLNDMKLGNQACFDETCRSVSCDRNKRSATRKRMADEGIEVPGKHSECETNKQNDVSLSEQEHKFTENSSQSGPASKKKYKTKKKYNENSLIENGVLISDLVKQGTGLEVTTSEYSLGQKLGSTSAILDHLNIESVKDCGRKKRKKEISNPIQVGREISSAKDVNVDTFQAIEAINNKDFGGEADPGFVLGAISEPCLISSKKMQEVSKTNVLPHSEGDDAMAGTDDGNMESKNEAPEPVVASVIKRRDSIDQNASHVNSHPTFVSQEGINLQNDIGVSGHENQSGTLEDKIVEPKKSAKKRKKSKKTKDPVGGTEALDAVHDRGPASDIPPERPASVTGEHLSDNAEQDGTTDGKEASKMRTSDCLPSVTDVRADDVIRDVLESLQQCNNGPANAENMDKKSRKKTKKKSSTVVNPPELQGKDDVDHRDPTILADNVSEVSASSKSTRKTKVVNSSSAAQLNGSDLGSKSKTGVGISPVYTQLDSSLNTLKANHEGRPIQDVVNGHYPKSARVVDGNNSIEVPCESERIKSQHQHEIVDFGGIVVDKVTDKRGVETEVKGKRKKKKPDVQSGRSTPDLSSSQMLNGNQHKHAKAQAAKSSSIQSQRSSSKVEPYSSPVQSSKTRLTISGSAAKERLQSTNKSEKINSIPKESQRPIDINSSRVHTGLGKNNDCAASSSTLERSKNPINLKSGSNEHQSHLDIAKDTGSNNRKVVNSLENKKSLLATAGPIFKHDDKESSDDDVVDDSDDSTRSPLDNSSSDDDSNMNSSSSQNGSHNSEGEGGGRERKNPGSTSPKSMSLHAILRNSSSYKKAKLTASQSQLDDLDSLPDEFVPDSQAK >EOY00762 pep chromosome:Theobroma_cacao_20110822:2:34921754:34928354:-1 gene:TCM_010682 transcript:EOY00762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MFVKSAFDGVSKSWFLSVDASSAEEHSENHNSRKPDPGNVVACFGITTNNSSADVVDLRPDGTSKRLSNINDSSLPQDGNNHHAKQNSASQRFDFGNSGKENSEDLHMEVEHTADSNSKVLSRPKVQEKVGGDNKICEDLPASVAASDLKRKHKTKKRKKDAFHDHSVKENGASVVESGKDALESDNVNERTAVLNDMKLGNQACFDETCRSVSCDRNKRSATRKRMADEGIEVPGKHSECETNKQNDVSLSEQEHKFTENSSQSGPASKKKYKTKKKYNENSLIENGVLISDLVKQGTGLEVTTSEYSLGQKLGSTSAILDHLNIESVKDCGRKKRKKEISNPIQVGREISSAKDVNVDTFQAIEAINNKDFGGEADPGFVLGAISEPCLISSKKMQEVSKTNVLPHSEGDDAMAGTDDGNMESKNEAPEPVVASVIKRRDSIDQNASHVNSHPTFVSQEGINLQNDIGVSGHENQSGTLEDKIVEPKKSAKKRKKSKKTKDPVGGTEALDAVHDRGPASDIPPERPASVTGEHLSDNAEQDGTTDGKEASKMRTSDCLPSVTDVRADDVIRDVLESLQQCNNGPANAENMDKKSRKKTKKKSSTVVNPPELQGKDDVDHRDPTILADNVSEVSASSKSTRKTKVVNSSSAAQLNGSDLGSKSKTGVGISPVYTQLDSSLNTLKANHEGRPIQDVVNGHYPKSARVVDGNNSIEVPCESERIKSQHQHEIVDFGGIVVDKVTDKRGVETEVKGKRKKKKPDVQSGRSTPDLSSSQMLNGNQHKHAKAQAAKSSSIQSQRSSSKVEPYSSPVQSSKTRLTISGSAAKERLQSTNKSEKINSIPKESQRPIDINSSRVHTGLGKNNDCAASSSTLERSKNPINLKSGSNEHQSHLDIAKDTGSNNRKVVNSLENKKSLLATAGPIFKHDDKESSDDDVVDDSDDSTRSPLDNSSSDDDSNMNSSSSQNGSHNSEGEGGGRERKNPGSTSPKSMSLHAILRNSSSYKKAKLTASQSQLDDLDSLPDEFVPDSQAK >EOX98568 pep chromosome:Theobroma_cacao_20110822:2:6213327:6217336:1 gene:TCM_007292 transcript:EOX98568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGTEDRNSIAKSKPPKQKDSREVRRLAKSHKDKETSAAPAPFPAPHWLNSVQVQDSNRIQYTQDGFSLPNSQLHYLPQLMQPNPIPQFYPGFYHMKSPHAYFNAVGDSMLPISEKGKDIPREKDLDSGRSKDEGTSYRNHTHDPSRSAASGDEASPDGRDDANQDLSATKKQRLNQTKADDYIMVDGSASDVLEPALNLAISNSSAGSISTTAKISDVYDLRKQRRRQLNRESAKRSRLRKQQEREKLEARIEVLKGESSALCEELNRVSEECGRLYEENKLIMEELIPMCGPDDDLAALWAELHA >EOX98567 pep chromosome:Theobroma_cacao_20110822:2:6213169:6217344:1 gene:TCM_007292 transcript:EOX98567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGTEDRNSIAKSKPPKQKDSREVRRLAKSHKDKETSAAPAPFPAPHWLNSVQIQYTQDGFSLPNSQLHYLPQLMQPNPIPQFYPGFYHMKSPHAYFNAVGDSMLPISEKGKDIPREKDLDSGRSKDEGTSYRNHTHDPSRREVLYQTLIANFSFNSAASGDEASPDGRDDANQDLSATKKQRLNQTKADDYIMVDGSASDVLEPALNLAISNSSAGSISTTAKISDVYDLRKQRRRQLNRESAKRSRLRKQQEREKLEARIEVLKGESSALCEELNRVSEECGRLYEENKLIMEELIPMCGPDDDLAALWAELHA >EOX99663 pep chromosome:Theobroma_cacao_20110822:2:13235942:13238045:-1 gene:TCM_008400 transcript:EOX99663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQRDENIRPLTVWEKPGADAVKFNVDGAANGSPGAARIEGLLRNEKREVFIKFSKAISRGDSNLVEYLSIGEAFILFSNSIWVHNHSLVIESDSRNAIRWINDPSKTPWRLRKWMLHIEVLKKRVTDWKIRHRLREGNREANLLAKEGVGREVDLVEFHNPM >EOX99991 pep chromosome:Theobroma_cacao_20110822:2:21386342:21386884:-1 gene:TCM_009198 transcript:EOX99991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVSTMLADIGESSGRNRVIIWWPSHAYIYHRLWSPPCLTTSRKPKDSLARAHSCTRVTLNRSDSQPTLESLSF >EOX99262 pep chromosome:Theobroma_cacao_20110822:2:9548920:9551073:1 gene:TCM_007865 transcript:EOX99262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 15, putative MDGTREAETEREENRVSDRVSERRREGNMVRTPCCDKSGLRKGTWTPEEDRKLSAYVTRYGCWNWRQLPKYAGLARCGKSCRLRWMNYLRPNIKRGNYSKEEEETIIRLHESQGNRWSAIAAQLPGRTDNEIKNHWHTTLKKRFKHKPSVPKNEKDNPRDPIKRGTSKETEFETKLDNHLLINSTSPPLILESSLSSPQPASSDQNSSITTDNTVVSSKDSVHINNKALLEAYEANSGNFWTEPFFSDSCFMSSDMLLPMMDPARYEAHEFPFLDGEILCPFGFYDQLQGLNF >EOX98123 pep chromosome:Theobroma_cacao_20110822:2:4639926:4640590:1 gene:TCM_006958 transcript:EOX98123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMFSKLLTKTDVEKSLVIPTSSLDILPLGGHLFYINVIDNTGKAWTFPCFIQQTEGIESSVVFVGWLKFLCDKDVRVGDTVFLHQKSMDDDCTGTGTQLKIEVKRKIRLLGEDIWAAVE >EOX99362 pep chromosome:Theobroma_cacao_20110822:2:10478527:10485555:-1 gene:TCM_008011 transcript:EOX99362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFADKFPDKILDKTQLQRFLGSLNYVIDFYPRLNKIYKPLHDRLKKNHGPWIDVHTSIIKQIKFHIKKLPCLHLTDPNLAKIVETDASEIGYGGILKQVKSQKEYIV >EOX97362 pep chromosome:Theobroma_cacao_20110822:2:2081944:2086564:1 gene:TCM_006407 transcript:EOX97362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase superfamily protein isoform 2 MRFGKQPNRAISFPRCSALMAPSISSLFFSHHTFPSSSPSTTNHRFTRGCTLSPRPQTFAFFTNSSKNNNNITTPPSQERPSSSSSEKKSLAVATGELFLGIASRLIKSSSEKSFYENSGTSIPVFDRPRKKINGNELGSNESNDKERIGVVMEDEIEPEVIWEQRVKDIEAEKERRVVTSPGFSFSAAGLLFPYHLGVAQFLIEKGYIKETTPLAGSSAGAIVCAVIASGASMHEALKATKILADDCRLKGTAFRLGAVLRDVLDKFLPHDVHTRSNGRVRVAVTQILWRPRGLLVDQFDSKEDLINAVITSSFIPGSAFVLFQPVVWDCKELGLVQTAILRIGPPEESFSIGHWSQQMIIFLIGSLSLDI >EOX97361 pep chromosome:Theobroma_cacao_20110822:2:2081618:2088835:1 gene:TCM_006407 transcript:EOX97361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase superfamily protein isoform 2 MRFGKQPNRAISFPRCSALMAPSISSLFFSHHTFPSSSPSTTNHRFTRGCTLSPRPQTFAFFTNSSKNNNNITTPPSQERPSSSSSEKKSLAVATGELFLGIASRLIKSSSEKSFYENSGTSIPVFDRPRKKINGNELGSNESNDKERIGVVMEDEIEPEVIWEQRVKDIEAEKERRVVTSPGFSFSAAGLLFPYHLGVAQFLIEKGYIKETTPLAGSSAGAIVCAVIASGASMHEALKATKILADDCRLKGTAFRLGAVLRDVLDKFLPHDVHTRSNGRVRVAVTQILWRPRGLLVDQFDSKEDLINAVITSSFIPGYLAPRPATMFRNRLCIDGGLTLFMPPTSAAKTVRVCAFPASRLGLQGIGISPDCNPENRATGRELFNWALEPADDNILDWLFELGYLDAAVWGEQNPVEEIVTDDGVVENGSAKGSQRPCCRANLAFCPPP >EOY01313 pep chromosome:Theobroma_cacao_20110822:2:38975051:38984822:1 gene:TCM_011246 transcript:EOY01313 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHASE domain containing histidine kinase protein isoform 1 MGLKQQQSHHHSVAVKVNEQMGTKRGYTFIQANRAWLPKFLLLWVMVMAFLSTWIYKKMDADNKVRRKEVLSSMCDQRARMLQDQFSVSVNHVHALAILVSTFHYYKNPSAIDQETFAEYTARTAFERPLLSGVAYAERVINSEREKFERQHGWTIKTMEKEPSPIRDEYAPVIFSQETVSYIESLDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPPTPTVEERIEATAGYLGGAFDVESLVENLLGQLAGNQEILVNVYDVTNPSDPLIMYGHQNQDGDLALLHESKLDFGDPFRRHQMICRYHQKAPTSWTALTTAFLFFVICLLVGYILYGAAIHIVKVEDDFHEMQELKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLALLLDTDLSSTQRDYAQTAQVCGKALITLINEVLDRAKIEAGKLELETVPFNLRSILDDVLSLFSEKSRNKDVELAVFVSDKVPAMVKGDPGRFRQIITNLVGNSVKFTERGHIFVKVHLAENAKPMVDAKGETCLNGGSDEGLLISGARQFKTLSGYEAADERNSWDSFKHLVADEESRYDASINMTVADEASENVTLMVSVEDTGIGIPLIAQDRVFMPFMQADSSTSRNYGGTGIGLSITKCLVELMGGHISFISRPQVGSTFSFTAVFGRCRKVPYSDAKKSNAEDLPSGFRGLKAIVVDGKPVRAAVTRYHLKRLGILVEVASSVKIAASACGKNGSSCGSKIQPDIILVEKDSWLSGEDGSLSFRMMDWKQNGHVFKLPKMTLLATNITNAELEKAKAAGFADTTIMKPMRASMVAACLHQVLGIGKKRQAGKDMPNGSSVLQSLLCGKKILVVDDNMVNRRVAAGALKKFGAAVECAESGKAALKLLQLPHSFDACFMDIQMPEMDGFEATRRIRKMESQANEQMNGGLDEGSARKGEWHVPILAMTADVIHATYDECLKCGMDGYVSKPFEEENLYQAVAKFFIAKPISDS >EOY01314 pep chromosome:Theobroma_cacao_20110822:2:38976266:38984681:1 gene:TCM_011246 transcript:EOY01314 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHASE domain containing histidine kinase protein isoform 1 MGLKQQQSHHHSVAVKVNEQMGTKRGYTFIQANRAWLPKFLLLWVMVMAFLSTWIYKKMDADNKVRRKEVLSSMCDQRARMLQDQFSVSVNHVHALAILVSTFHYYKNPSAIDQETFAEYTARTAFERPLLSGVAYAERVINSEREKFERQHGWTIKTMEKEPSPIRDEYAPVIFSQETVSYIESLDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPPTPTVEERIEATAGYLGGAFDVESLVENLLGQLAGNQEILVNVYDVTNPSDPLIMYGHQNQDGDLALLHESKLDFGDPFRRHQMICRYHQKAPTSWTALTTAFLFFVICLLVGYILYGAAIHIVKVEDDFHEMQELKVRAEAADVAKSQQFLATVSHEIRTPMNGILGMLALLLDTDLSSTQRDYAQTAQVCGKALITLINEVLDRAKIEAGKLELETVPFNLRSILDDVLSLFSEKSRNKDVELAVFVSDKVPAMVKGDPGRFRQIITNLVGNSVKFTERGHIFVKVHLAENAKPMVDAKGETCLNGGSDEGLLISGARQFKTLSGYEAADERNSWDSFKHLVADEESRYDASINMTVADEASENVTLMVSVEDTGIGIPLIAQDRVFMPFMQADSSTSRNYGGTGIGLSITKCLVELMGGHISFISRPQVGSTFSFTAVFGRCRKVPYSDAKKSNAEDLPSGFRGLKAIVVDGKPVRAAVTRYHLKRLGILVEVASSVKIAASACGKNGSSCGSKIQPDIILVEKDSWLSGEDGSLSFRMMDWKQNGHVFKLPKMTLLATNITNAELEKAKAAGFADTTIMKPMRASMVAACLHQVLGIGKKRQAGKDMPNGSSVLQSLLCGKKILVVDDNMVNRRVAAGALKKFGAAVECAESGKAALKLLQLPHSFDACFMDIQMPEMDGFEATRRIRKMESQANEQMNGGLDEGSARKGEWHVPILAMTADVIHATYDECLKCGMDGYVSKPFEEENLYQAVAKFFIAKPISDS >EOX99629 pep chromosome:Theobroma_cacao_20110822:2:12857426:12863000:1 gene:TCM_008350 transcript:EOX99629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain superfamily protein, putative MLTEKQYQPQPALQGNQSQGTTNEKPPNSSLNPEIGSVSQEARKRKRMGMDGDEEMSSMQCHLIDVLERNGKMLVAQLEAQNTNFQQDREQRKDHADNLVAVLNKLADALGRIADKL >EOY00803 pep chromosome:Theobroma_cacao_20110822:2:35306738:35314039:-1 gene:TCM_010740 transcript:EOY00803 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein MPSSCTLSNWCEFMGWIILKIDIKIIYISGMHFRLQTLVSLGWANFCLKVLHVSVIESMKKMVEAIGCVIESSNQATSKFLQRVQDRRKIVHQICRAWLKKLKNGIKEFSENYVMKLHGSDGISIILKAAKEVGNLSKRDDIDFYNNLSWCRFDLYKVDFGWGKPIWVSIAGAAALNIYSLLDTRDGKGIEAWVGLSEAIMGFFERNEELLEFAFIIPSA >EOY00169 pep chromosome:Theobroma_cacao_20110822:2:28240523:28252027:1 gene:TCM_009860 transcript:EOY00169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKLMLSLAGFRSAFGVMSAYRDVTTVVTGPMGVPDKVIVDVLKIPIDTSFEDIAYWRKLVQCAVVVDSSGGVTSSFSLITPNSTVFQVIIGGGGGGGFLGGGAAAPARGTTPTVEAPAAKEKKKEEKVKESDDEDTGFSLFD >EOY00477 pep chromosome:Theobroma_cacao_20110822:2:32914561:32931584:-1 gene:TCM_010361 transcript:EOY00477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRKRERKNRERGRAKRMVLMHFEEGKREFLLQFWRKKKAENFEESCRGGVPNEVCDRQIGIIIHVRINNEVIFHYYEIEINLACSQ >EOY00117 pep chromosome:Theobroma_cacao_20110822:2:25999894:26000545:-1 gene:TCM_009636 transcript:EOY00117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSSWPPLGYDGIYEVTQHMASAQPSEEDCLAKGHISSLPEKVHLDLKQNDFTDLISIWERWRATT >EOY00537 pep chromosome:Theobroma_cacao_20110822:2:33269097:33275927:1 gene:TCM_010419 transcript:EOY00537 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch protein, putative MTDEQKLRKTEEAADIRGDVLESILCHVPLIHLLPATHVSKSWNHAVFSSLRHFNKPKPWLLVHAQSSRPPYATTAHAYDPRSNLWLRINQNPPIEYVSALRSSNSTLLYMLSLSKFSFSFDPLHLLWHHVDPPLVWRTDPIVVVVGCHVVVAGGACDFEDDPLSVEIYNLDTRRWTTCESMPAILKDSAASTWLSVAANAKKLYAMDQVSGVTYSFDPSSRIWSEPFDLRHDQNIYFSAIGYYGDSLIMVGLLGNSAYVKDVKIWELKGESFEFCKEMGVMPKELVVKLKGEQTNLFSIRISLMGEFLYIYNPGEPEELVVCEIDGEGLRWWRSLKNAAVSDGSRVAKRMVLTCANVGLGDLGKAVGSGKGSFSVVDSGNLQRF >EOY01483 pep chromosome:Theobroma_cacao_20110822:2:39616674:39618369:1 gene:TCM_011354 transcript:EOY01483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKERGKAVEVNNNNIDFLQDYSTSSNVPCKKHPQSSSVGVCAYCLKDRLFNLVCSDCGEQRFSSCSCSENSSNPRTSCTGEVGSVGRVSFLIENVNKDQVANPNSKPKSNTNGNKSEEAFLLKRSNSSCIEIKKKNGFWRIGRLFRKKRDKDNHCGKSVGGIDEKSDLWIVDYMGVSRSRSLCSFRGGGFFGSEDGSDLMNFSGARSSISAARSSGVNGGLFLDPERKSGFDCERRDSTFKEFDIADIRGIRKSVGGGGLLDVDGGFSGANRRVFSLNESYFTGGDDSGFIDLKFDFQSESKGDVPAVKKGVFSAFGSMRDGGDFMTHKSGRSIDNALVGDEAFCNGGSCRMTVDERGIKKSRRSFKGWRWIFKAPSKLDQSREEN >EOX97719 pep chromosome:Theobroma_cacao_20110822:2:3180181:3183500:-1 gene:TCM_006656 transcript:EOX97719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSATQEGVLGRAKAEWTPSRDAYLVEILIEQHNCGRTAYNEFKNEVIRSVTRDFNKKFGMNLEENQIKNRYNVMKKDYGVVKTLLAQNGFGWDETRQMVVADDKVWENYIAVRSEARPFRRKSFPLYKQMSIIFEVERLSIFIKSMKLADTFVVFEFCKGGERTTGKCIPHGVPMITEEGNSNTETVRSSEPTNLPTQVVEGTLDSDSIIRISDKQAKKRKSVAPRPSARNKRVCYDAGEIIENAIYDMFSAVKFKALQRDASNERTLYQKCLEELQHLEELDDDEFTKSVNVLKDDKNAIAFMTIKGPRRLIWLRSLWQES >EOX98511 pep chromosome:Theobroma_cacao_20110822:2:6018942:6019978:-1 gene:TCM_007255 transcript:EOX98511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANQLENLVESIKSKVRALKKSKKPYIKMDKSSSVKVEIRSRKARKLIDKTLKGADRPGKRSIL >EOX98171 pep chromosome:Theobroma_cacao_20110822:2:4732832:4747864:1 gene:TCM_006991 transcript:EOX98171 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 2 MLRDFKFLRRNSSKNEEIENVPVNPSDSLASQPSNDGSSRAPLNTIQDPTPNPKSEPDESIRSRVDKTPTKPKPKLPDSTLPHKTPDKHGFLSKKRFGWAKNEAVESDLRNGGMTNMTPRVSRGIGRANSSCYSESNSTQSTPTKSVSKPPASGFRNKFDGNGGMRGGNFAALYKGVPSSSSCGPPTVVNTVEVPHFDLKEDPSFWMDHNVQVLIRVRPLNGMEKSTHGYNRCLKQENSQSIAWIGQPETKFTFDHVACETVDQEMLFRMAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMLGEIEDLEVKPSPQRGMTPRIFEFLFARIQAEEEIRRDEKLKYNCKCSFLEIYNEQITDLLDPSATNLLLREDVKKGVYVENLSEFEVQTVGDILKLLTQGSLNRKVAATNMNRESSRSHSVFTCVIESRWEKDSTTNLRFARLNLVDLAGSERQKTSGAEGERLKEAASINKSLSTLGHVIMILVDVAHGKTRHVPYRDSKLTFLLQDSLGGNSKTMIIANVSPSVCCATETLNTLKFAQRAKLIQNNAVVNEDSTGDVIALQNQIRLLKEELGALKCQNVSRSLSFGPTISGTMQLEENPSDDITYEVGQQQVDDLLGYESRSIVSGSSKQLKSLETTLAGALRREQMAETCIKKFEAEIEQLNRLVRQREEDTRSSKMMLRFREDKIQRMESLVRGSLPADSFLFEENKALSEEIQLLQAKVDKNPEVTRFALENIRLLDQLRRFQEFYEEGEKEILLEELSKLRDQLLQFLDGKSKQHSYPSSDDQLQEVVRISKENNSLQLELKSTLNELEECRDNLNSCLEDKAKLSREINDLRTMLNSLKSSACHQDGNIKTIKGSDRNGDLKEMNPIQAMKNAEQIMDLQLELDILKIILQEEKTTHDEVEERAKCLARDLEIAQGKLLLLSKQVEDANGELKEAKSVIEALESQQILSINEMEDLRKSNSHFVKLLSGQEVEIVALKEQLSSRAFRDHPPPEKIESEDSALQRKLKRMHASLEKAKKMNMWYQSDRAYLASNEEEMDETRRQAEAETAEVIVCLQEELTILQQQVQDCHLKEMEAQKGATILETELKELQEKAYMLTEDNKQLHERLEMKDGELRTLSEEWELLASEIENILADGHEELVDAYDQLDLISSSFPQRRIWISEQVGRVVRILSEKELLIEELGRCLEDATDKRSELECMLKSLRGAALVINEAQQQECNEKEKAIVLLKSELDAKTSIITKLEDRMKMAEDDLRNASVCATVAFVLVNRLAEANLNHLNALKDKDICLAESAEMILSKDSILIDQAAMIEEAEKQIRSLQSEVAKSEEACAEFGQRLLEEEQRAAAMKQKLEDMEENDILKTHEKLSELRTGVSTLRAHVGMYRDCGRSPERSVRERLYTSDDGSDERRSNVGTDDKDLHSVQELETDISDCSFKVGESLHGSPCNEKCMGFRKTCMNVCDREVTIILLKKEIKSAMESLKEVQAEMGKIRDEKEEIQLSEKQSKESLRCLTTHVIALEATMNEFGKLCELKIGAVNRKINTFEQSMQEIRTHWCQTKEFFELEVGDAKMIATQKAAEASCILAKFEEAQDTITEADIMINGLMIANETMKLDIKRQKQVEATLVNEKDALVNQVQSLQSINIVKDQQLENLEEQFGSSLTETTYLVSELEGLMTELQTAFSQNVKAVACDCHCLKSLLFDSVKLARSWLEDVWSEIIVKDCAVSVLHLCHNGILLETLTGLNAENGLLQHGLSESNAVIADLRERNSKSRRELEMCRLIKGKLLADIKNSFDRISKKEEETGELSVKLVTFEKKISDLQVQEEVMLQRSNYMGSQLTVLMKELDLSNTNFVASLLDQEQLLKDKDELLKSQTEISMVDLWTKDFESLILACEMEQMVVQLADSKKELTNAYAVLDGLEKEMILSKVDSYLKEQVLVEWEIELSFTQEKLEELKSELRKLKKENCLLLQDLEEKRSDLESSVSCLDASNLEIHQLKEKTFSLETCITGLQTDLELKAVELKEVQHSQSIIMEDLGMKSHDLQISVERVNTLMEEKALLSKKLRSLEKNELTAFNKSALNAAKCVDSVETTDMTHSRLFSTVNKGVTVADKMFQELHDNAKRISNFVEEFEYLEHHANKLESENMTLQAELSRKDEVLKGLLFDLSLLQESASNTKDQKDEIEEMVSSLEALEDDLAVKSSELNEAVSHSQMLEVQLQEKLDMISNLQLDISNERESFKLLCSENQELRAHLEDALAAKSSLEVELRERKKIIESLEVELSEMSNSLSQMNDTVESMSSTLNEFAGERDQLHMEVLSLEEQLGKAHADVKQSEAIAMEAEMMAESSKSYAEDKEAEVKLLERSVEELECTINVLENKVDIIKGEAERQRLEREELELELHAVKNQMQNVKNADADMKRCLDEKKKDLQQALDHIQILERDISDKDKEIAQCKTHISELNLHAEAQAKEYKQKFKALEAMAEQVKPEGYFNHAQSHSSNKLEKNVAKSRGSGSPFKCIGLGLAQQVKSEKDEDLTAATLRIEELESLAANRQKEIFALNARLAAAESMTHDVIRDLLGVKLDMTNYVSLLDNQQVQKIAEKARLNSLESQVKEHEVVKLKQQLNEFVEERRGWLEEIDRKQAEVVAAQIALEKLRQRDQLLKTENEMLKTENVNYKKKVMELEGEVKKLSGQQNLQQRIHHHAKIKEENNMLKIQNEDLGAKLRRTEVVLSRVREELAHYRASIGKNPHINFDEEQRLNNKLRESDDDRVQLAQKLLGLCTSVLKVREQ >EOX98168 pep chromosome:Theobroma_cacao_20110822:2:4732832:4747864:1 gene:TCM_006991 transcript:EOX98168 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 2 MLRDFKFLRRNSSKNEEIENVPVNPSDSLASQPSNDGSSRAPLNTIQDPTPNPKSEPDESIRSRVDKTPTKPKPKLPDSTLPHKTPDKHGFLSKKRFGWAKNEAVESDLRNGGMTNMTPRVSRGIGRANSSCYSESNSTQSTPTKSVSKPPASGFRNKFDGNGGMRGGNFAALYKGVPSSSSCGPPTVVNTVEVPHFDLKEDPSFWMDHNVQVLIRVRPLNGMEKSTHGYNRCLKQENSQSIAWIGQPETKFTFDHVACETVDQEMLFRMAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMLGEIEDLEVKPSPQRGMTPRIFEFLFARIQAEEEIRRDEKLKYNCKCSFLEIYNEQITDLLDPSATNLLLREDVKKGVYVENLSEFEVQTVGDILKLLTQGSLNRKVAATNMNRESSRSHSVFTCVIESRWEKDSTTNLRFARLNLVDLAGSERQKTSGAEGERLKEAASINKSLSTLGHVIMILVDVAHGKTRHVPYRDSKLTFLLQDSLGGNSKTMIIANVSPSVCCATETLNTLKFAQRAKLIQNNAVVNEDSTGDVIALQNQIRLLKEELGALKCQNVSRSLSFGPTISGTMQLEENPSDDITYEVGQQQVDDLLGYESRSIVSGSSKQLKSLETTLAGALRREQMAETCIKKFEAEIEQLNRLVRQREEDTRSSKMMLRFREDKIQRMESLVRGSLPADSFLFEENKALSEEIQLLQAKVDKNPEVTRFALENIRLLDQLRRFQEFYEEGEKEILLEELSKLRDQLLQFLDGKSKQHSYPSSDDQLQEVVRISKENNSLQLELKSTLNELEECRDNLNSCLEDKAKLSREINDLRTMLNSLKSSACHQDGNIKTIKGSDRNGDLKEMNPIQAMKNAEQIMDLQLELDILKIILQEEKTTHDEVEERAKCLARDLEIAQGKLLLLSKQVEDANGELKEAKSVIEALESQQILSINEMEDLRKSNSHFVKLLSGQEVEIVALKEQLSSRAFRDHPPPEKIESEDSALQRKLKRMHASLEKAKKMNMWYQSDRAYLASNEEEMDETRRQAEAETAEVIVCLQEELTILQQQVQDCHLKEMEAQKGATILETELKELQEKAYMLTEDNKQLHERLEMKEMEAQKGATILETELKELQEKAYMLTEDNKQLHERLEMKDGELRTLSEEWELLASEIENILADGHEELVDAYDQLDLISSSFPQRRIWISEQVGRVVRILSEKELLIEELGRCLEDATDKRSELECMLKSLRGAALVINEAQQQECNEKEKAIVLLKSELDAKTSIITKLEDRMKMAEDDLRNASVCATVAFVLVNRLAEANLNHLNALKDKDICLAESAEMILSKDSILIDQAAMIEEAEKQIRSLQSEVAKSEEACAEFGQRLLEEEQRAAAMKQKLEDMEENDILKTHEKLSELRTGVSTLRAHVGMYRDCGRSPERSVRERLYTSDDGSDERRSNVGTDDKDLHSVQELETDISDCSFKVGESLHGSPCNEKCMGFRKTCMNVCDREVTIILLKKEIKSAMESLKEVQAEMGKIRDEKEEIQLSEKQSKESLRCLTTHVIALEATMNEFGKLCELKIGAVNRKINTFEQSMQEIRTHWCQTKEFFELEVGDAKMIATQKAAEASCILAKFEEAQDTITEADIMINGLMIANETMKLDIKRQKQVEATLVNEKDALVNQVQSLQSINIVKDQQLENLEEQFGSSLTETTYLVSELEGLMTELQTAFSQNVKAVACDCHCLKSLLFDSVKLARSWLEDVWSEIIVKDCAVSVLHLCHNGILLETLTGLNAENGLLQHGLSESNAVIADLRERNSKSRRELEMCRLIKGKLLADIKNSFDRISKKEEETGELSVKLVTFEKKISDLQVQEEVMLQRSNYMGSQLTVLMKELDLSNTNFVASLLDQEQLLKDKDELLKSQTEISMVDLWTKDFESLILACEMEQMVVQLADSKKELTNAYAVLDGLEKEMILSKVDSYLKEQVLVEWEIELSFTQEKLEELKSELRKLKKENCLLLQDLEEKRSDLESSVSCLDASNLEIHQLKEKTFSLETCITGLQTDLELKAVELKEVQHSQSIIMEDLGMKSHDLQISVERVNTLMEEKALLSKKLRSLEKNELTAFNKSALNAAKCVDSVETTDMTHSRLFSTVNKGVTVADKMFQELHDNAKRISNFVEEFEYLEHHANKLESENMTLQAELSRKDEVLKGLLFDLSLLQESASNTKDQKDEIEEMVSSLEALEDDLAVKSSELNEAVSHSQMLEVQLQEKLDMISNLQLDISNERESFKLLCSENQELRAHLEDALAAKSSLEVELRERKKIIESLEVELSEMSNSLSQMNDTVESMSSTLNEFAGERDQLHMEVLSLEEQLGKAHADVKQSEAIAMEAEMMAESSKSYAEDKEAEVKLLERSVEELECTINVLENKVDIIKGEAERQRLEREELELELHAVKNQMQNVKNADADMKRCLDEKKKDLQQALDHIQILERDISDKDKEIAQCKTHISELNLHAEAQAKEYKQKFKALEAMAEQVKPEGYFNHAQSHSSNKLEKNVAKSRGSGSPFKCIGLGLAQQVKSEKDEDLTAATLRIEELESLAANRQKEIFALNARLAAAESMTHDVIRDLLGVKLDMTNYVSLLDNQQVQKIAEKARLNSLESQVKEHEVVKLKQQLNEFVEERRGWLEEIDRKQAEVVAAQIALEKLRQRDQLLKTENEMLKTENVNYKKKVMELEGEVKKLSGQQNLQQRIHHHAKIKEENNMLKIQNEDLGAKLRRTEVVLSRVREELAHYRASIGKNPHINFDEEQRLNNKLRESDDDRVQLAQKLLGLCTSVLKAAGITNPVTDICPAAAEEALKHLKNKVISLEQELQSLTLKNRITSERNRLSELMPQTSPVSSSTDENCHTPRRVPQAPFLSTLDR >EOX98169 pep chromosome:Theobroma_cacao_20110822:2:4733470:4747722:1 gene:TCM_006991 transcript:EOX98169 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 2 MTNMTPRVSRGIGRANSSCYSESNSTQSTPTKSVSKPPASGFRNKFDGNGGMRGGNFAALYKGVPSSSSCGPPTVVNTVEVPHFDLKEDPSFWMDHNVQVLIRVRPLNGMEKSTHGYNRCLKQENSQSIAWIGQPETKFTFDHVACETVDQEMLFRMAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMLGEIEDLEVKPSPQRGMTPRIFEFLFARIQAEEEIRRDEKLKYNCKCSFLEIYNEQITDLLDPSATNLLLREDVKKGVYVENLSEFEVQTVGDILKLLTQGSLNRKVAATNMNRESSRSHSVFTCVIESRWEKDSTTNLRFARLNLVDLAGSERQKTSGAEGERLKEAASINKSLSTLGHVIMILVDVAHGKTRHVPYRDSKLTFLLQDSLGGNSKTMIIANVSPSVCCATETLNTLKFAQRAKLIQNNAVVNEDSTGDVIALQNQIRLLKEELGALKCQNVSRSLSFGPTISGTMQLEENPSDDITYEVGQQQVDDLLGYESRSIVSGSSKQLKSLETTLAGALRREQMAETCIKKFEAEIEQLNRLVRQREEDTRSSKMMLRFREDKIQRMESLVRGSLPADSFLFEENKALSEEIQLLQAKVDKNPEVTRFALENIRLLDQLRRFQEFYEEGEKEILLEELSKLRDQLLQFLDGKSKQHSYPSSDDQLQEVVRISKENNSLQLELKSTLNELEECRDNLNSCLEDKAKLSREINDLRTMLNSLKSSACHQDGNIKTIKGSDRNGDLKEMNPIQAMKNAEQIMDLQLELDILKIILQEEKTTHDEVEERAKCLARDLEIAQGKLLLLSKQVEDANGELKEAKSVIEALESQQILSINEMEDLRKSNSHFVKLLSGQEVEIVALKEQLSSRAFRDHPPPEKIESEDSALQRKLKRMHASLEKAKKMNMWYQSDRAYLASNEEEMDETRRQAEAETAEVIVCLQEELTILQQQVQDCHLKEMKEMEAQKGATILETELKELQEKAYMLTEDNKQLHERLEMKDGELRTLSEEWELLASEIENILADGHEELVDAYDQLDLISSSFPQRRIWISEQVGRVVRILSEKELLIEELGRCLEDATDKRSELECMLKSLRGAALVINEAQQQECNEKEKAIVLLKSELDAKTSIITKLEDRMKMAEDDLRNASVCATVAFVLVNRLAEANLNHLNALKDKDICLAESAEMILSKDSILIDQAAMIEEAEKQIRSLQSEVAKSEEACAEFGQRLLEEEQRAAAMKQKLEDMEENDILKTHEKLSELRTGVSTLRAHVGMYRDCGRSPERSVRERLYTSDDGSDERRSNVGTDDKDLHSVQELETDISDCSFKVGESLHGSPCNEKCMGFRKTCMNVCDREVTIILLKKEIKSAMESLKEVQAEMGKIRDEKEEIQLSEKQSKESLRCLTTHVIALEATMNEFGKLCELKIGAVNRKINTFEQSMQEIRTHWCQTKEFFELEVGDAKMIATQKAAEASCILAKFEEAQDTITEADIMINGLMIANETMKLDIKRQKQVEATLVNEKDALVNQVQSLQSINIVKDQQLENLEEQFGSSLTETTYLVSELEGLMTELQTAFSQNVKAVACDCHCLKSLLFDSVKLARSWLEDVWSEIIVKDCAVSVLHLCHNGILLETLTGLNAENGLLQHGLSESNAVIADLRERNSKSRRELEMCRLIKGKLLADIKNSFDRISKKEEETGELSVKLVTFEKKISDLQVQEEVMLQRSNYMGSQLTVLMKELDLSNTNFVASLLDQEQLLKDKDELLKSQTEISMVDLWTKDFESLILACEMEQMVVQLADSKKELTNAYAVLDGLEKEMILSKVDSYLKEQVLVEWEIELSFTQEKLEELKSELRKLKKENCLLLQDLEEKRSDLESSVSCLDASNLEIHQLKEKTFSLETCITGLQTDLELKAVELKEVQHSQSIIMEDLGMKSHDLQISVERVNTLMEEKALLSKKLRSLEKNELTAFNKSALNAAKCVDSVETTDMTHSRLFSTVNKGVTVADKMFQELHDNAKRISNFVEEFEYLEHHANKLESENMTLQAELSRKDEVLKGLLFDLSLLQESASNTKDQKDEIEEMVSSLEALEDDLAVKSSELNEAVSHSQMLEVQLQEKLDMISNLQLDISNERESFKLLCSENQELRAHLEDALAAKSSLEVELRERKKIIESLEVELSEMSNSLSQMNDTVESMSSTLNEFAGERDQLHMEVLSLEEQLGKAHADVKQSEAIAMEAEMMAESSKSYAEDKEAEVKLLERSVEELECTINVLENKVDIIKGEAERQRLEREELELELHAVKNQMQNVKNADADMKRCLDEKKKDLQQALDHIQILERDISDKDKEIAQCKTHISELNLHAEAQAKEYKQKFKALEAMAEQVKPEGYFNHAQSHSSNKLEKNVAKSRGSGSPFKCIGLGLAQQVKSEKDEDLTAATLRIEELESLAANRQKEIFALNARLAAAESMTHDVIRDLLGVKLDMTNYVSLLDNQQVQKIAEKARLNSLESQVKEHEVVKLKQQLNEFVEERRGWLEEIDRKQAEVVAAQIALEKLRQRDQLLKTENEMLKTENVNYKKKVMELEGEVKKLSGQQNLQQRIHHHAKIKEENNMLKIQNEDLGAKLRRTEVVLSRVREELAHYRASIGKNPHINFDEEQRLNNKLRESDDDRVQLAQKLLGLCTSVLKAAGITNPVTDICPAAAEEALKHLKNKVISLEQELQSLTLKNRITSERNRLSELMPQTSPVSSSTDENCHTPRRVPQAPFLSTLDR >EOX98170 pep chromosome:Theobroma_cacao_20110822:2:4733470:4747722:1 gene:TCM_006991 transcript:EOX98170 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 2 MTNMTPRVSRGIGRANSSCYSESNSTQSTPTKSVSKPPASGFRNKFDGNGGMRGGNFAALYKGVPSSSSCGPPTVVNTVEVPHFDLKEDPSFWMDHNVQVLIRVRPLNGMEKSTHGYNRCLKQENSQSIAWIGQPETKFTFDHVACETVDQEMLFRMAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMLGEIEDLEVKPSPQRGMTPRIFEFLFARIQAEEEIRRDEKLKYNCKCSFLEIYNEQITDLLDPSATNLLLREDVKKGVYVENLSEFEVQTVGDILKLLTQGSLNRKVAATNMNRESSRSHSVFTCVIESRWEKDSTTNLRFARLNLVDLAGSERQKTSGAEGERLKEAASINKSLSTLGHVIMILVDVAHGKTRHVPYRDSKLTFLLQDSLGGNSKTMIIANVSPSVCCATETLNTLKFAQRAKLIQNNAVVNEDSTGDVIALQNQIRLLKEELGALKCQNVSRSLSFGPTISGTMQLEENPSDDITYEVGQQQVDDLLGYESRSIVSGSSKQLKSLETTLAGALRREQMAETCIKKFEAEIEQLNRLVRQREEDTRSSKMMLRFREDKIQRMESLVRGSLPADSFLFEENKALSEEIQLLQAKVDKNPEVTRFALENIRLLDQLRRFQEFYEEGEKEILLEELSKLRDQLLQFLDGKSKQHSYPSSDDQLQEVVRISKENNSLQLELKSTLNELEECRDNLNSCLEDKAKLSREINDLRTMLNSLKSSACHQDGNIKTIKGSDRNGDLKEMNPIQAMKNAEQIMDLQLELDILKIILQEEKTTHDEVEERAKCLARDLEIAQGKLLLLSKQVEDANGELKEAKSVIEALESQQILSINEMEDLRKSNSHFVKLLSGQEVEIVALKEQLSSRAFRDHPPPEKIESEDSALQRKLKRMHASLEKAKKMNMWYQSDRAYLASNEEEMDETRRQAEAETAEVIVCLQEELTILQQQVQDCHLKEMKEMEAQKGATILETELKELQEKAYMLTEDNKQLHERLEMKDGELRTLSEEWELLASEIENILADGHEELVDAYDQLDLISSSFPQRRIWISEQVGRVVRILSEKELLIEELGRCLEDATDKRSELECMLKSLRGAALVINEAQQQECNEKEKAIVLLKSELDAKTSIITKLEDRMKMAEDDLRNASVCATVAFVLVNRLAEANLNHLNALKDKDICLAESAEMILSKDSILIDQAAMIEEAEKQIRSLQSEVAKSEEACAEFGQRLLEEEQRAAAMKQKLEDMEENDILKTHEKLSELRTGVSTLRAHVGMYRDCGRSPERSVRERLYTSDDGSDERRSNVGTDDKDLHSVQELETDISDCSFKVGESLHGSPCNEKCMGFRKTCMNVCDREVTIILLKKEIKSAMESLKEVQAEMGKIRDEKEEIQLSEKQSKESLRCLTTHVIALEATMNEFGKLCELKIGAVNRKINTFEQSMQEIRTHWCQTKEFFELEVGDAKMIATQKAAEASCILAKFEEAQDTITEADIMINGLMIANETMKLDIKRQKQVEATLVNEKDALVNQVQSLQSINIVKDQQLENLEEQFGSSLTETTYLVSELEGLMTELQTAFSQNVKAVACDCHCLKSLLFDSVKLARSWLEDVWSEIIVKDCAVSVLHLCHNGILLETLTGLNAENGLLQHGLSESNAVIADLRERNSKSRRELEMCRLIKGKLLADIKNSFDRISKKEEETGELSVKLVTFEKKISDLQVQEEVMLQRSNYMGSQLTVLMKELDLSNTNFVASLLDQEQLLKDKDELLKSQTEISMVDLWTKDFESLILACEMEQMVVQLADSKKELTNAYAVLDGLEKEMILSKVDSYLKEQVLVEWEIELSFTQEKLEELKSELRKLKKENCLLLQDLEEKRSDLESSVSCLDASNLEIHQLKEKTFSLETCITGLQTDLELKAVELKEVQHSQSIIMEDLGMKSHDLQISVERVNTLMEEKALLSKKLRSLEKNELTAFNKSALNAAKCVDSVETTDMTHSRLFSTVNKGVTVADKMFQELHDNAKRISNFVEEFEYLEHHANKLESENMTLQAELSRKDEVLKGLLFDLSLLQESASNTKDQKDEIEEMVSSLEALEDDLAVKSSELNEAVSHSQMLEVQLQEKLDMISNLQLDISNERESFKLLCSENQELRAHLEDALAAKSSLEVELRERKKIIESLEVELSEMSNSLSQMNDTVESMSSTLNEFAGERDQLHMEVLSLEEQLGKAHADVKQSEAIAMEAEMMAESSKSYAEDKEAEVKLLERSVEELECTINVLENKVDIIKGEAERQRLEREELELELHAVKNQMQNVKNADADMKRCLDEKKKDLQQALDHIQILERDISDKDKEIAQCKTHISELNLHAEAQAKEYKQKFKALEAMAEQVKPEGYFNHAQSHSSNKLEKNVAKSRGSGSPFKCIGLGLAQQVKSEKDEDLTAATLRIEELESLAANRQKEIFALNARLAAAESMTHDVIRDLLGVKLDMTNYVSLLDNQQVQKIAEKARLNSLESQVKEHEVVKLKQQLNEFVEERRGWLEEIDRKQAEVVAAQIALEKLRQRDQLLKTENEMLKTENVNYKKKVMELEGEVKKLSGQQNLQQRIHHHAKIKEENNMLKIQNEDLGAKLRRTEVVLSRVREELAHYRASIGKNPHINFDEEQRLNNKLRESDDDRVQLAQKLLGLCTSVLKAAGITNPVTDICPAAAEEALKHLKNKVISLEQELQSLTLKNYQ >EOX96894 pep chromosome:Theobroma_cacao_20110822:2:401515:407968:1 gene:TCM_006035 transcript:EOX96894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPFSMRGRLHNNHKMPIAKKRLQSQTKTRKSGMKGMQERFKRLKTEMEEISEEQKGIREGQRQVREKFEAIESECEQLKKETKFIIQQSARTQIKLVLMFKILKAREESDFSTAANLTQWLGQIVAREKEERQALSDA >EOX97676 pep chromosome:Theobroma_cacao_20110822:2:3023619:3027273:-1 gene:TCM_006631 transcript:EOX97676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance 10 isoform 2 MASHEDDLGLLLSLQDRVLETPPASPSSPHSHSPGYLSDDGSPRQRGKTDLSVFKNVVEHYLDYEPKQVDKPVKSKPNSSNDLQVEKFSGLRIRNQLVSPAELSERLSDIRFIRLTAIKNLLVGDTLYGCWATVGVLTEKGNPKTSSIGQNYSIWKIGCLDENAVSLFLFGDAYKQYCKEQAGTVFALFSCSVRKDAKGSGFSLSVGAPNQILKIGTSADYGVCKGKRKDGMACTLVINKRQGVYCQYHKSKASERFSTKRTELMGGNLRTAFRNPLRSEGIYMVDPLTDRTNLKKPTQPVKILSVDGLKKALRNGDKVTTNRHSQGIRFLNAVTEKMSSKFANGASGMSAQQMIGSEKRKLSTVEQDTSLLQRNKPLDPKRKKIEQEQAWWEDNWK >EOX97677 pep chromosome:Theobroma_cacao_20110822:2:3023663:3027314:-1 gene:TCM_006631 transcript:EOX97677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance 10 isoform 2 MASHEDDLGLLLSLQDRVLETPPASPSSPHSHSPGYLSDDGSPRQRGKTDLSVFKNVVEHYLDYEPKQVDKPVKSKPNSSNDLQVEKFSGLRIRNLLVGDTLYGCWATVGVLTEKGNPKTSSIGQNYSIWKIGCLDENAVSLFLFGDAYKQYCKEQAGTVFALFSCSVRKDAKGSGFSLSVGAPNQILKIGTSADYGVCKGKRKDGMACTLVINKRQGVYCQYHKSKASERFSTKRTELMGGNLRTAFRNPLRSEGIYMVDPLTDRTNLKKPTQPVKILSVDGLKKALRNGDKVTTNRHSQGIRFLNAVTEKMSSKFANGASGMSAQQMIGSEKRKLSTVEQDTSLLQRNKPLDPKRKKIEQEQAWWEDNWK >EOX97075 pep chromosome:Theobroma_cacao_20110822:2:1104003:1110915:1 gene:TCM_006180 transcript:EOX97075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MQSKGGSGESASSQSPRNPSPKKAERPPVLKKFKTISPDDPRPTQHFHGPLFPAVRRVTSLPSSSDRRCSVDADASAKNSSGSNVSNNLNNVDVNNLSNRDWMYPPFLGPHAARNRVVTVKAASKSPSPGSGERLPDGVRGKVVHEKQKTDTATNKEEVKILASQVSTTTMTTQSSSVSSSSGRRARGVKLKRYFIFSSIIFSCIFPVRYVIHLGDKVARLEEENINLRRWCSEIDVVGNNSSGVLQPEDDSSFHFFNNADSRTVALYTVVITLVMPFVLYKYLDYLPQIKNISKRTKPNKEEVPLKKRIAYTVDVCFSVYPYAKLLALLFATVFLIGFGGLALYAVNGGSLAEALWLSWTFVADSGNHADSVGTGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEKNHILILGWSDKLGSLLKQLAIANKSVGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKKGLRGHVVVEMSDLDNEPLVKLVGGDLIETVVAHDVIGRLMIQCALQPGLALIWEDILGFENDEFYIKRWPQLAGMQFEDVLISFPDAIPCGVKVAADGGKIILNPDDNYVLKEGDEVLVIAEDDDTYAPGSIPEVRRVDFPKVPELPKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKERERKLIDGGLDISGLVNIKLVHHEGNAVIRRHLESLPLETFDSILILADESLEDSVVHSDSRSLATLLLIRDIQSKRLPHKDTKPTSLRLAGFSHSSWICELQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKPAEFYLFDQEELCFYDIMIRGRQRQEIVIGYRRANSERAIINPRKKSKPIKWSLDDVFVVISSGS >EOX97079 pep chromosome:Theobroma_cacao_20110822:2:1105327:1111419:1 gene:TCM_006180 transcript:EOX97079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MSALAKEENINLRRWCSEIDVVGNNSSGVLQPEDDSSFHFFNNADSRTVALYTVVITLVMPFVLYKYLDYLPQIKNISKRTKPNKEEVPLKKRIAYTVDVCFSVYPYAKLLALLFATVFLIGFGGLALYAVNGGSLAEALWLSWTFVADSGNHADSVGTGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEKNHILILGWSDKLGSLLKQLAIANKSVGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKKGLRGHVVVEMSDLDNEPLVKLVGGDLIETVVAHDVIGRLMIQCALQPGLALIWEDILGFENDEFYIKRWPQLAGMQFEDVLISFPDAIPCGVKVAADGGKIILNPDDNYVLKEGDEVLVIAEDDDTYAPGSIPEVRRVDFPKVPELPKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKERERKLIDGGLDISGLVNIKLVHHEGNAVIRRHLESLPLETFDSILILADESLEDSVVHSDSRSLATLLLIRDIQSKRLPHKDTKPTSLRLAGFSHSSWICELQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKPAEFYLFDQEELCFYDIMIRGRQRQEIVIGYRRANSERAIINPRKKSKPIKWSLDDVFVVISSGS >EOX97074 pep chromosome:Theobroma_cacao_20110822:2:1104003:1110915:1 gene:TCM_006180 transcript:EOX97074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MQSKGGSGESASSQSPRNPSPKKAERPPVLKKFKTISPDDPRPTQHFHGPLFPAVRRVTSLPSSSDRRCSVDADASAKNSSGSNVSNNLNNVDVNNLSNRDWMYPPFLGPHAARNRVVTVKAASKSPSPGSGERLPDGVRGKVVHEKQKTDTATNKEEVKILASQVSTTTMTTQSSSVSSSSGRRARGVKLKRYFIFSSIIFSCIFPVRYVIHLGDKVARLEEENINLRRWCSEIDVVGNNSSGVLQPEDDSSFHFFNNADSRTVALYTVVITLVMPFVLYKYLDYLPQIKNISKRTKPNKEEVPLKKRIAYTVDVCFSVYPYAKLLALLFATVFLIGFGGLALYAVNGGSLAEALWLSWTFVADSGNHADSVGTGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEKNHILILGWSDKLGSLLKQLAIANKSVGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKKGLRGHVVVEMSDLDNEPLVKLVGGDLIETVVAHDVIGRLMIQCALQPGLALIWEDILGFENDEFYIKRWPQLAGMQFEDVLISFPDAIPCGVKVAADGGKIILNPDDNYVLKEGDEVLVIAEDDDTYAPGSIPEVRRVDFPKVPELPKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKERERKLIDGGLDISGLVNIKLVHHEGNAVIRRHLESLPLETFDSILILADESLEDSVVHSDSRSLATLLLIRDIQSKRLPHKDTKPTSLRLAGFSHSSWICELQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKPAEFYLFDQEELCFYDIMIRGRQRQEIVIGYRRANSERAIINPRKKSKPIKWSLDDVFVVISSGS >EOX97077 pep chromosome:Theobroma_cacao_20110822:2:1104003:1110915:1 gene:TCM_006180 transcript:EOX97077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MQSKGGSGESASSQSPRNPSPKKAERPPVLKKFKTISPDDPRPTQHFHGPLFPAVRRVTSLPSSSDRRCSVDADASAKNSSGSNVSNNLNNVDVNNLSNRDWMYPPFLGPHAARNRVVTVKAASKSPSPGSGERLPDGVRGKVVHEKQKTDTATNKEEVKILASQVSTTTMTTQSSSVSSSSGRRARGVKLKRYFIFSSIIFSCIFPVRYVIHLGDKVARLEEENINLRRWCSEIDVVGNNSSGVLQPEDDSSFHFFNNADSRTVALYTVVITLVMPFVLYKYLDYLPQIKNISKRTKPNKEEVPLKKRIAYTVDVCFSVYPYAKLLALLFATVFLIGFGGLALYAVNGGSLAEALWLSWTFVADSGNHADSVGTGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEKNHILILGWSDKLGSLLKQLAIANKSVGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKKGLRGHVVVEMSDLDNEPLVKLVGGDLIETVVAHDVIGRLMIQCALQPGLALIWEDILGFENDEFYIKRWPQLAGMQFEDVLISFPDAIPCGVKVAADGGKIILNPDDNYVLKEGDEVLVIAEDDDTYAPGSIPEVRRVDFPKVPELPKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKERERKLIDGGLDISGLVNIKLVHHEGNAVIRRHLESLPLETFDSMSHWRTL >EOX97076 pep chromosome:Theobroma_cacao_20110822:2:1105327:1110306:1 gene:TCM_006180 transcript:EOX97076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MSALAKEENINLRRWCSEIDVVGNNSSGVLQPEDDSSFHFFNNADSRTVALYTVVITLVMPFVLYKYLDYLPQIKNISKRTKPNKEEVPLKKRIAYTVDVCFSVYPYAKLLALLFATVFLIGFGGLALYAVNGGSLAEALWLSWTFVADSGNHADSVGTGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEKNHILILGWSDKLGSLLKQLAIANKSVGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKKGLRGHVVVEMSDLDNEPLVKLVGGDLIETVVAHDVIGRLMIQCALQPGLALIWEDILGFENDEFYIKRWPQLAGMQFEDVLISFPDAIPCGVKVAADGGKIILNPDDNYVLKEGDEVLVIAEDDDTYAPGSIPEVRRVDFPKVPELPKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKERERKLIDGGLDISGLVNIKLVHHEGNAVIRRHLESLPLETFDSILILADESLEDSVVHSDSRSLATLLLIRDIQSKRLPHKDTKPTSLRLAGFSHSSWICELQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKPAEFYLFDQEELCFYDIMIRGRQRQEIVIGYRRANSERAIINPRKKSKPIKWSLDDVFVVISSGS >EOX97078 pep chromosome:Theobroma_cacao_20110822:2:1104003:1109588:1 gene:TCM_006180 transcript:EOX97078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MQSKGGSGESASSQSPRNPSPKKAERPPVLKKFKTISPDDPRPTQHFHGPLFPAVRRVTSLPSSSDRRCSVDADASAKNSSGSNVSNNLNNVDVNNLSNRDWMYPPFLGPHAARNRVVTVKAASKSPSPGSGERLPDGVRGKVVHEKQKTDTATNKEEVKILASQVSTTTMTTQSSSVSSSSGRRARGVKLKRYFIFSSIIFSCIFPVRYVIHLGDKVARLEEENINLRRWCSEIDVVGNNSSGVLQPEDDSSFHFFNNADSRTVALYTVVITLVMPFVLYKYLDYLPQIKNISKRTKPNKEEVPLKKRIAYTVDVCFSVYPYAKLLALLFATVFLIGFGGLALYAVNGGSLAEALWLSWTFVADSGNHADSVGTGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEKNHILILGWSDKLGSLLKQLAIANKSVGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKKGLRGHVVVEMSDLDNEPLVKLVGGDLIETVVAHDVIGRLMIQCALQPGLALIWEDILGFENDEFYIKRWPQLAGMQFEDVLISFPDAIPCGVKVAADGGKIILNPDDNYVLKEGDEVLVIAEDDDTYAPGSIPEVRRVDFPKVPELPKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKERERKLIDGGLDISGLVNIKLVHHEGNAVIRRHLESLPLETFDSILILADESLEDSVVHSDSRSLATLLLIRDIQSKRLPHKDTKPTSLRLAGFSHSSWICELQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEVTLTPHSFSDEECLRRQSNTIVACKMRATQSILNC >EOX97601 pep chromosome:Theobroma_cacao_20110822:2:2809908:2811663:1 gene:TCM_006579 transcript:EOX97601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLQAKKKLKKINSLISTFLSKIENITSELTRNLGWGGGRRALCSWSIGGLLYLFLAQDPVMTNELNGLEIGATVLGLEKLNE >EOX99031 pep chromosome:Theobroma_cacao_20110822:2:8179439:8181121:-1 gene:TCM_007659 transcript:EOX99031 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-mobility group box 6 MAGGSKSNPPKPRKRVDAASTAAPASSLVRAKDGSAFAKCEECNKTVPVALISMHSCSLDAKIKMNLEAQVIEKPAEVKKKPAERKKPASTESNPKKPKKLKKGKDPNAPKRPPTAFFLFMDDFRKSYKEANPDAKGVTGVAKEGGEKWKSMSEEEKKPYVDKAAELKAEYEKALEEVNDADNENEDGEGGPEKDTAAAEKEAEEVLDDY >EOY00478 pep chromosome:Theobroma_cacao_20110822:2:32932852:32944746:1 gene:TCM_010363 transcript:EOY00478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIESLPRSLMQSMCSGANHPYFSPPLNLVPADYPHPPSKVKFGADYPDLSLPTAPSPAEDRMSDKVVIKERYENEDNPNTQFDDPSNDLDNNTILVSSEYEKINMFVEVEHSEDDDDDEGVKGQEEETEVDVEKDDDNESDDPEDESDENEFAYFCIVMVKYKTTVGYRARLSGVGKFTTQPLIPPPQSSPPAMRPPMPPQLSIPSMSTPMSIPTATLFNSEETPPTLTIAIGISNSISQSRVQWPNSEMLQRLVTFSEYGDDPSSQLKFDSVAWSEAIGRLQTTRTQVYKFSTRMQPASLFAPATTFKSACGLMPYVAAA >EOY01474 pep chromosome:Theobroma_cacao_20110822:2:39576560:39585779:-1 gene:TCM_011347 transcript:EOY01474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase 3 MYITTSSSASSSLLRAASSYSRTRLFPSSFRNLTSTNPGSPSLVSHHRSLTSAAVRSFHGSVPRWSHRLDWRSPLSLRAQIRAVTPVIERLERKFATMASEHPFKAVLTSLPKPGGGEFGKFYSLPALNDPRIDKLPYSIRILLESAVRNCDNFQVKKEDVEKIIDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDTSKINPLVPVDLVIDHSVQVDVTRSENAVQANMELEFQRNKERFSFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLGRVVFNTDGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIESYLRANKMFVDYNEPQQERVYSSYLELNLAEVEPCISGPKRPHDRVPLKEMKADWNSCLNNKVGFKGFAVPKEAQDKVAKFSFHGKPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLQVKPWIKTSLAPGSGVVTKYLLQSGLQEYLNKQGFNIVGYGCTTCIGNSGELDESVASAISENDVIAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVDIDFDKEPIGTGKDGKSVYFKDIWPSTEEIAQAVQSSVLPEMFKSTYQAITKGNPMWNQLSVPSSTMYSWDSNSTYIHEPPYFKSMTMEPPGAHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVEHKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTVHVPTGEKLYVFEAAMRYKAAGHDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTIDLPSNITHIRPGQDVSVTTNNGKSFTCTVRFDTEVELAYFNNGGILPYVIRNLIKQ >EOX98936 pep chromosome:Theobroma_cacao_20110822:2:7820906:7823347:-1 gene:TCM_007598 transcript:EOX98936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 9 domain MNQTIAAPTPSACFFTDRGSTSLQFRLNHKAVVITMKDRSKNRKPLQRGRNLSIEAIQAVQALKRANRNTYNNPLPELERVFDFKFRRLLKFDMMAVLRELLRQNECLLALKVFDEIRKEVWYKPQVLLYADMIAVFASNGLFKEAELLYSYLKTESKLDQNIEGFNALFNALINFKLTQLVMDCYGLMKAIGCEPDRSSFRILINGLESTGETGSSALLRQDAQKYYGESLEFLKEEEEVTASY >EOX99624 pep chromosome:Theobroma_cacao_20110822:2:12769914:12778908:-1 gene:TCM_008343 transcript:EOX99624 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2-like 4, putative isoform 1 MPSEIMDLQSLSSPSFFSDDIRFSSEQRQVGFWKSDTVLDQRACKKLVTSSTMEKIIPVESQRTRYLEHTEPFTKQDQNVNLCIDSHAVGAERVSNQSLKLLRPMNQGPGTKLSFNGDHEFHFAEGNKVNTMTSQYENSLFSSSFTELFTRKLRLASHNSLYGHSIDTVASHYEEEELEPFESVEELEAQTIGNLLPNDDDLFSGVTEGLDFIVLPNSAEEAEELDVFSSVGGMDLGDDGSTFVRKNSEFPGESHLALCNGSVVGEYPCGEHPSRTLFVRNINSNVEDSELKALFEQYGDIRTLYTSCKQRGFVMISYYDIRAAGNAMKALQNRPLRCRKLDIHYSIPKDNPSEKDENQGTLVVFNLDSSVSNDELHQVFGAYGEIKEIRETPHRGQHKFIEFYDVRSAEAALHALNRSDIAGKQIKVEPSYPGGSRKCSVQQLPSEQRDECCPYEQPRRPSNNTTAAFSVGPNSSNNKDNGASLGVNSAIQAPFLESTIHHGISSSMSNSVTSMVRVGSTGNQSVIAESGHLQGQLKSDVQGAPTFHPHSLPEYQNGLSRGVHSNSSGPMAASINSKPLEIIDNRPLSRISSSGHSFEFRKAGKGGELVGLPSPGNGSHLPGHHYAWSNSYHRQPPGMMWPNSPSLVNGICAAHPTAQLHGLPRVPSHMMNTGLPINNHHVGSAPTVNPSFWERRHAYAGESPETSTFLPGSLGCMRGSNNSPHSMELISHNIFPHVGGNFMDLSMSQKDVGLQLLHPKSTVYNARGQMIPIMNTVDSPHERARSRRNEGSINQADRKQYELDIDRIIRGKDKRTTLMIKNIPNKYTSKMLLAAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMIDPSQIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKAPSPSHEENDHESPSKLENEENSSNGETCSSKE >EOX99625 pep chromosome:Theobroma_cacao_20110822:2:12769176:12778113:-1 gene:TCM_008343 transcript:EOX99625 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2-like 4, putative isoform 1 MEKIIPVESQRTRYLEHTEPFTKQDQNVNLCIDSHAVGAERVSNQSLKLLRPMNQGPGTKLSFNGDHEFHFAEGNKVNTMTSQYENSLFSSSFTELFTRKLRLASHNSLYGHSIDTVASHYEEEELEPFESVEELEAQTIGNLLPNDDDLFSGVTEGLDFIVLPNSAEEAEELDVFSSVGGMDLGDDGSTFVRKNSEFPGESHLALCNGSVVGEYPCGEHPSRTLFVRNINSNVEDSELKALFEQYGDIRTLYTSCKQRGFVMISYYDIRAAGNAMKALQNRPLRCRKLDIHYSIPKDNPSEKDENQGTLVVFNLDSSVSNDELHQVFGAYGEIKEIRETPHRGQHKFIEFYDVRSAEAALHALNRSDIAGKQIKVEPSYPGGSRKCSVQQLPSEQRDECCPYEQPRRPSNNTTAAFSVGPNSSNNKDNGASLGVNSAIQAPFLESTIHHGISSSMSNSVTSMVRVGSTGNQSVIAESGHLQGQLKSDVQGAPTFHPHSLPEYQNGLSRGVHSNSSGPMAASINSKPLEIIDNRPLSRISSSGHSFEFRKAGLPSPGNGSHLPGHHYAWSNSYHRQPPGMMWPNSPSLVNGICAAHPTAQLHGLPRVPSHMMNTGLPINNHHVGSAPTVNPSFWERRHAYAGESPETSTFLPGSLGCMRGSNNSPHSMELISHNIFPHVGGNFMDLSMSQKDVGLQLLHPKSTVYNARGQMIPIMNTVDSPHERARSRRNEGSINQADRKQYELDIDRIIRGKDKRTTLMIKNIPNKYTSKMLLAAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMIDPSQIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKAPSPSHEENDHESPSKLENEENSSNGETCSSKE >EOX98329 pep chromosome:Theobroma_cacao_20110822:2:5401560:5403762:1 gene:TCM_007118 transcript:EOX98329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-D3-1 MAIQQHEQQYSQQQQEQNHPSFLLDALYCEEEKWEEEEDENDVGEVLQQEMSSRSCNNARNPSLFPLLLLEQDMFWEDGELLSLFSKEKQQQAAYLNPNNVETDEPLAVARREAVEWMLKVNAYYGFTTLTAVLSINYLDRFLSRFHFQRDKPWMIHLVAVTCLSLAAKVEETQVPLLLDLQVEEAKYLFEAKNIQRMELLVLSTLKWKMHPVTPLSFLDHIIRRLGLKTHLHWEFLRRCERLLLCVISDSRSVHYLPSVLATATMMHVIGQVEPFNPIDYQNQLLSVLKISKERVNDCCKLILDLSTRPQNNAYSSNPHPHKRKLEMVPSSPSGVIDAAFSSDCSNDSWAAGSSGSVSSSPEPPFKKSRAQEQGMRLPSLNRFFVDIVGSPS >EOX97987 pep chromosome:Theobroma_cacao_20110822:2:4176976:4179920:-1 gene:TCM_006863 transcript:EOX97987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylcoumaran benzylic ether reductase-like protein MEEKSRVLIIGATGRLGCHLAKFSLQHGHPTFILIRDSSLTDPNKVQKLNFLLTAGAILLKGSLEDEKSLMEAVKRVDVVICSIPSKQALDQKLLIQVIKESGCIKKFIPSEFGVDPDKIQISDLDHQFYSRKAEVRRLVEAEGIPYTYICCNLLMSYLLPWLAQPGLKVPPKDKVTIFGDGNAKAVFVKDIDVAAFTISAIDDPRTLNKTLYLRPPGNVYSMNELVAIWENKIAKKLEKIYVPEEELLKKIKETPYPDNMEMIFIYSAFVKGDHTYFNIEAAGVDGTQLYPHLKYTTVSEHLDTLL >EOX97769 pep chromosome:Theobroma_cacao_20110822:2:3371645:3379659:-1 gene:TCM_006698 transcript:EOX97769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urb2/Npa2, putative isoform 1 MFVDRVKKQKGAFSTGTTGKIGSSRHLEDGLSGHLSMDPSRSSSAFPDNNYSSSNLSAEARKSLFDFFVQLLEPLLLEMNGYIQSNLAARPSLLDAHCTLKSINSLLASFLHDKVYVRTEDISEGACLNFLKTVYDTVVSFASKLVSLSELNIDTKTERKMFPLLAKELFLAVGYFLDIEYDVIGNDLISLWVMMLSYLTTGVSFLDSPDQCSLTSPILDLGCQLVNLYSSLRQVNNSIFTLCKAVRLLISHHQEGEMSCTRFFSYTTSLPNEASAASVGILLCSQEFKLAVHHAIKSIPEGQVSGLICQLTADVSESIEWMKIGCSKTDGKEIGRLDMRDHGMLDFHMQAELLGRVLAEIYMILLDSLTVTVGNCSLLGPSVKELVNTIYPCISSLVEQHPDGVNEFLSFVMGRTSKNMAAENEKEKHRITTQWIFIFLFQLYMSCRSLYRQVISLTPPSTSRKLSSAMGDAFTAYTGRDWMEKSDWTEEGYFSWIINPSPSLLDLVHHISNIYIKDNIEDCCPLIYVLHVMALQRLVDLNRHRSTLQYLLQQGDKLMQVKKLDDADLSLYRKKCRKLKRHILVLEQEAVELTDFMLGYLSLVANYHSSIFSSDDTSCEKKACFQVHESDKWDFSISSVNKKSLPIAIWWIICQSIDIWCSYVDAKKLKRKFKKFLMLLIQTSLPCLANSSLQIEKHKIGKDGQLKKITLYQISQGLLKDSTLYENKFVRRNLASSFCHALENSVLSLFSDSSVRDINFKSLPVWPEVLSKLDNSSTVVCSRRDVKHDSAARSISNSSDRLPSEISMKQKAFPIENVKFKDCQSLLNLLCWMPKGYLNSKSFCQLTAYVLNLERIVVEDLLGCQGALSSNGCYELFQLFVACRRTLKNIIMASCEEKIEGSLSSLLSVAEGSSFVIWLFKSVSTVIGVLDTMMEDCLPEFELKIFLLMDHTSYVFFAISKYQFGQAVHFIGNSEKPCKKQPYSGVVGDESILNQPGSCSNYLKDSEALRSLSITAENLKEQAESLLDPLKGALDDNAKVGDGNKAVNTNKMSFAISCFGGFLWGLASALNQGDEKSGEVNAKYLRWKCEPLSKLNICINVFLDFISEVFHMFLDNDQQSRSYYDAESSQKLDYSRHLLVFETDLVELHYLNKHFLQGLLKGDHPDRAILLRHLLITHSAIPRLNLRIDDTSLSSGMVPLNIGISQVLLLELANSGEIPPPFTFVWLDGAVKYLEELGSHFPLNDPTLNGNAYAKLIELLLRAIGKCISLQGKRATLESHERESSTKILHGGTGWSESFLSHGSHCLDEFKARLRMSFKAFIKNPSELQLLSAMQAIERALVGVRGGHAMIYDINTGSANGGMVSSTVAAGIDCLDLILESQMFEGG >EOX97767 pep chromosome:Theobroma_cacao_20110822:2:3371645:3381002:-1 gene:TCM_006698 transcript:EOX97767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urb2/Npa2, putative isoform 1 MVDLSEKLTKKKKRKLNSSGEKRNPKKRHRIDSSKKEPTEVEEGESWARRGPDINPSEERPWRNLQLILSLQNKQVDLQKKVELAFDFVNSREQEGRNNVDEDHETVNISRLIVFLNDWIQSLLVSPEKNVVDGIVEACLDFRCWRIFKFCLKESLRLHVSLNFLRNVLRAIGYIARNVLSLVSDTSLSSNESFLVGEGFELYGVVLDCVSLLFSFHSGLSNENLEVWVSTIDVVLQLAHKIYTENLDGGNIGAFALQFSCVVLEPFAKFLRIHPTRKNGFRDFVDKLLEPVLLLVGVLHSQINADNSGWTKNLLKLVEEVLSHGLFHPAHIDGFLGLRSVEKYAGLLDGKAKDSKVMIKSYHRHLFDKLESIIKVKKDITLSGIGQLFHMFVDRVKKQKGAFSTGTTGKIGSSRHLEDGLSGHLSMDPSRSSSAFPDNNYSSSNLSAEARKSLFDFFVQLLEPLLLEMNGYIQSNLAARPSLLDAHCTLKSINSLLASFLHDKVYVRTEDISEGACLNFLKTVYDTVVSFASKLVSLSELNIDTKTERKMFPLLAKELFLAVGYFLDIEYDVIGNDLISLWVMMLSYLTTGVSFLDSPDQCSLTSPILDLGCQLVNLYSSLRQVNNSIFTLCKAVRLLISHHQEGEMSCTRFFSYTTSLPNEASAASVGILLCSQEFKLAVHHAIKSIPEGQVSGLICQLTADVSESIEWMKIGCSKTDGKEIGRLDMRDHGMLDFHMQAELLGRVLAEIYMILLDSLTVTVGNCSLLGPSVKELVNTIYPCISSLVEQHPDGVNEFLSFVMGRTSKNMAAENEKEKHRITTQWIFIFLFQLYMSCRSLYRQVISLTPPSTSRKLSSAMGDAFTAYTGRDWMEKSDWTEEGYFSWIINPSPSLLDLVHHISNIYIKDNIEDCCPLIYVLHVMALQRLVDLNRHRSTLQYLLQQGDKLMQVKKLDDADLSLYRKKCRKLKRHILVLEQEAVELTDFMLGYLSLVANYHSSIFSSDDTSCEKKACFQVHESDKWDFSISSVNKKSLPIAIWWIICQSIDIWCSYVDAKKLKRKFKKFLMLLIQTSLPCLANSSLQIEKHKIGKDGQLKKITLYQISQGLLKDSTLYENKFVRRNLASSFCHALENSVLSLFSDSSVRDINFKSLPVWPEVLSKLDNSSTVVCSRRDVKHDSAARSISNSSDRLPSEISMKQKAFPIENVKFKDCQSLLNLLCWMPKGYLNSKSFCQLTAYVLNLERIVVEDLLGCQGALSSNGCYELFQLFVACRRTLKNIIMASCEEKIEGSLSSLLSVAEGSSFVIWLFKSVSTVIGVLDTMMEDCLPEFELKIFLLMDHTSYVFFAISKYQFGQAVHFIGNSEKPCKKQPYSGVVGDESILNQPGSCSNYLKDSEALRSLSITAENLKEQAESLLDPLKGALDDNAKVGDGNKAVNTNKMSFAISCFGGFLWGLASALNQGDEKSGEVNAKYLRWKCEPLSKLNICINVFLDFISEVFHMFLDNDQQSRSYYDAESSQKLDYSRHLLVFETDLVELHYLNKHFLQGLLKGDHPDRAILLRHLLITHSAIPRLNLRIDDTSLSSGMVPLNIGISQVLLLELANSGEIPPPFTFVWLDGAVKYLEELGSHFPLNDPTLNGNAYAKLIELLLRAIGKCISLQGKRATLESHERESSTKILHGGTGWSESFLSHGSHCLDEFKARLRMSFKAFIKNPSELQLLSAMQAIERALVGVRGGHAMIYDINTGSANGGMVSSTVAAGIDCLDLILESQMFEGG >EOX97766 pep chromosome:Theobroma_cacao_20110822:2:3372172:3380934:-1 gene:TCM_006698 transcript:EOX97766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urb2/Npa2, putative isoform 1 MVDLSEKLTKKKKRKLNSSGEKRNPKKRHRIDSSKKEPTEVEEGESWARRGPDINPSEERPWRNLQLILSLQNKQVDLQKKVELAFDFVNSREQEGRNNVDEDHETVNISRLIVFLNDWIQSLLVSPEKNVVDGIVEACLDFRCWRIFKFCLKESLRLHVSLNFLRNVLRAIGYIARNVLSLVSDTSLSSNESFLVGEGFELYGVVLDCVSLLFSFHSGLSNENLEVWVSTIDVVLQLAHKIYTENLDGGNIGAFALQFSCVVLEPFAKFLRIHPTRKNGFRDFVDKLLEPVLLLVGVLHSQINADNSGWTKNLLKLVEEVLSHGLFHPAHIDGFLGLRSVEKYAGLLDGKAKDSKVMIKSYHRHLFDKLESIIKVKKDITLSGIGQLFHMFVDRVKKQKGAFSTGTTGKIGSSRHLEDGLSGHLSMDPSRSSSAFPDNNYSSSNLSAEARKSLFDFFVQLLEPLLLEMNGYIQSNLAARPSLLDAHCTLKSINSLLASFLHDKVYVRTEDISEGACLNFLKTVYDTVVSFASKLVSLSELNIDTKTERKMFPLLAKELFLAVGYFLDIEYDVIGNDLISLWVMMLSYLTTGVSFLDSPDQCSLTSPILDLGCQLVNLYSSLRQVNNSIFTLCKAVRLLISHHQEGEMSCTRFFSYTTSLPNEASAASVGILLCSQEFKLAVHHAIKSIPEGQVSGLICQLTADVSESIEWMKIGCSKTDGKEIGRLDMRDHGMLDFHMQAELLGRVLAEIYMILLDSLTVTVGNCSLLGPSVKELVNTIYPCISSLVEQHPDGVNEFLSFVMGRTSKNMAAENEKEKHRITTQWIFIFLFQLYMSCRSLYRQVISLTPPSTSRKLSSAMGDAFTAYTGRDWMEKSDWTEEGYFSWIINPSPSLLDLVHHISNIYIKDNIEDCCPLIYVLHVMALQRLVDLNRHRSTLQYLLQQGDKLMQVKKLDDADLSLYRKKCRKLKRHILVLEQEAVELTDFMLGYLSLVANYHSSIFSSDDTSCEKKACFQVHESDKWDFSISSVNKKSLPIAIWWIICQSIDIWCSYVDAKKLKRKFKKFLMLLIQTSLPCLANSSLQIEKHKIGKDGQLKKITLYQISQGLLKDSTLYENKFVRRNLASSFCHALENSVLSLFSDSSVRDINFKSLPVWPEVLSKLDNSSTVVCSRRDVKHDSAARSISNSSDRLPSEISMKQKAFPIENVKFKDCQSLLNLLCWMPKGYLNSKSFCQLTAYVLNLERIVVEDLLGCQGALSSNGCYELFQLFVACRRTLKNIIMASCEEKIEGSLSSLLSVAEGSSFVIWLFKSVSTVIGVLDTMMEDCLPEFELKIFLLMDHTSYVFFAISKYQFGQAVHFIGNSEKPCKKQPYSGVVGDESILNQPGSCSNYLKDSEALRSLSITAENLKEQAESLLDPLKGALDDNAKVGDGNKAVNTNKMSFAISCFGGFLWGLASALNQGDEKSGEVNAKYLRWKCEPLSKLNICINVFLDFISEVFHMFLDNDQQSRSYYDAESSQKLDYSRHLLVFETDLVELHYLNKHFLQGLLKGDHPDRAILLRHLLITHSAIPRLNLRIDDTSLSSGMVPLNIGISQVLLLELANSGEIPPPFTFVWLDGAVKYLEELGSHFPLNDPTLNGNAYAKLIELLLRAIGKCISLQGKRATLESHERESSTKILHGGTGWSESFLSHGSHCLDEFKARLRMSFKAFIKNPSELQLLSAMQAIERALVGVRGGHAMIYDINTGSANGGMVSSTVAAGIDCLDLILEYGSGRRCLRVVKRHIQSLVAALFNIILHLQSPLIFYGKFVSNEGDRNPDAGSVVLMCAEVLTRVARKHALFQMDPWHIGQSLCIPGALFQDFHQLRLSEAPVSNNSLLYSDKQTHDSMASMKYSVVDRQFSVNLFAACCRLLYTVLKHHKSECERCIAVLEESVALLLHCLETVDADLVVRKGYFSWEIQEGVKCACFLRRIYEEIRQQKDVFAGHCYKFLSTYIWVYSGYGPLKTGIRREIDGALKPGVYALIDACSANDLQYLHTVFGEGPCRNTLASLQRDYKLNFQYEGKV >EOX97768 pep chromosome:Theobroma_cacao_20110822:2:3372428:3379610:-1 gene:TCM_006698 transcript:EOX97768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urb2/Npa2, putative isoform 1 MFVDRVKKQKGAFSTGTTGKIGSSRHLEDGLSGHLSMDPSRSSSAFPDNNYSSSNLSAEARKSLFDFFVQLLEPLLLEMNGYIQSNLAARPSLLDAHCTLKSINSLLASFLHDKVYVRTEDISEGACLNFLKTVYDTVVSFASKLVSLSELNIDTKTERKMFPLLAKELFLAVGYFLDIEYDVIGNDLISLWVMMLSYLTTGVSFLDSPDQCSLTSPILDLGCQLVNLYSSLRQVNNSIFTLCKAVRLLISHHQEGEMSCTRFFSYTTSLPNEASAASVGILLCSQEFKLAVHHAIKSIPEGQVSGLICQLTADVSESIEWMKIGCSKTDGKEIGRLDMRDHGMLDFHMQAELLGRVLAEIYMILLDSLTVTVGNCSLLGPSVKELVNTIYPCISSLVEQHPDGVNEFLSFVMGRTSKNMAAENEKEKHRITTQWIFIFLFQLYMSCRSLYRQVISLTPPSTSRKLSSAMGDAFTAYTGRDWMEKSDWTEEGYFSWIINPSPSLLDLVHHISNIYIKDNIEDCCPLIYVLHVMALQRLVDLNRHRSTLQYLLQQGDKLMQVKKLDDADLSLYRKKCRKLKRHILVLEQEAVELTDFMLGYLSLVANYHSSIFSSDDTSCEKKACFQVHESDKWDFSISSVNKKSLPIAIWWIICQSIDIWCSYVDAKKLKRKFKKFLMLLIQTSLPCLANSSLQIEKHKIGKDGQLKKITLYQISQGLLKDSTLYENKFVRRNLASSFCHALENSVLSLFSDSSVRDINFKSLPVWPEVLSKLDNSSTVVCSRRDVKHDSAARSISNSSDRLPSEISMKQKAFPIENVKFKDCQSLLNLLCWMPKGYLNSKSFCQLTAYVLNLERIVVEDLLGCQGALSSNGCYELFQLFVACRRTLKNIIMASCEEKIEGSLSSLLSVAEGSSFVIWLFKSVSTVIGVLDTMMEDCLPEFELKIFLLMDHTSYVFFAISKYQFGQAVHFIGNSEKPCKKQPYSGVVGDESILNQPGSCSNYLKDSEALRSLSITAENLKEQAESLLDPLKGALDDNAKVGDGNKAVNTNKMSFAISCFGGFLWGLASALNQGDEKSGEVNAKYLRWKCEPLSKLNICINVFLDFISEVFHMFLDNDQQSRSYYDAESSQKLDYSRHLLVFETDLVELHYLNKHFLQGLLKGDHPDRAILLRHLLITHSAIPRLNLRIDDTSLSSGMVPLNIGISQVLLLELANSGEIPPPFTFVWLDGAVKYLEELGSHFPLNDPTLNGNAYAKLIELLLRAIGKCISLQGKRATLESHERESSTKILHGGTGWSESFLSHGSHCLDEFKARLRMSFKAFIKNPSELQLLSAMQAIERALVGVRGGHAMIYDINTGSANGGMVSSTVAAGIDCLDLILEYGSGRRCLRVVKRHIQSLVAALFNIILHLQSPLIFYGKFVSNEGDRNPDAGSVVLMCAEVLTRVARKHALFQMDPWHIGQSLCIPGALFQDFHQLRLSEAPVSNNSLLYSDKQTHDSMASMKYSVVDRQFSVNLFAACCRLLYTVLKHHKRGR >EOX97765 pep chromosome:Theobroma_cacao_20110822:2:3371254:3381082:-1 gene:TCM_006698 transcript:EOX97765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urb2/Npa2, putative isoform 1 MVDLSEKLTKKKKRKLNSSGEKRNPKKRHRIDSSKKEPTEVEEGESWARRGPDINPSEERPWRNLQLILSLQNKQVDLQKKVELAFDFVNSREQEGRNNVDEDHETVNISRLIVFLNDWIQSLLVSPEKNVVDGIVEACLDFRCWRIFKFCLKESLRLHVSLNFLRNVLRAIGYIARNVLSLVSDTSLSSNESFLVGEGFELYGVVLDCVSLLFSFHSGLSNENLEVWVSTIDVVLQLAHKIYTENLDGGNIGAFALQFSCVVLEPFAKFLRIHPTRKNGFRDFVDKLLEPVLLLVGVLHSQINADNSGWTKNLLKLVEEVLSHGLFHPAHIDGFLGLRSVEKYAGLLDGKAKDSKVMIKSYHRHLFDKLESIIKVKKDITLSGIGQLFHMFVDRVKKQKGAFSTGTTGKIGSSRHLEDGLSGHLSMDPSRSSSAFPDNNYSSSNLSAEARKSLFDFFVQLLEPLLLEMNGYIQSNLAARPSLLDAHCTLKSINSLLASFLHDKVYVRTEDISEGACLNFLKTVYDTVVSFASKLVSLSELNIDTKTERKMFPLLAKELFLAVGYFLDIEYDVIGNDLISLWVMMLSYLTTGVSFLDSPDQCSLTSPILDLGCQLVNLYSSLRQVRVIVFNIYTSCVAALVLIITLKLLSTMFTVFIGTLRLLISHHQEGEMSCTRFFSYTTSLPNEASAASVGILLCSQEFKLAVHHAIKSIPEGQVSGLICQLTADVSESIEWMKIGCSKTDGKEIGRLDMRDHGMLDFHMQAELLGRVLAEIYMILLDSLTVTVGNCSLLGPSVKELVNTIYPCISSLVEQHPDGVNEFLSFVMGRTSKNMAAENEKEKHRITTQWIFIFLFQLYMSCRSLYRQVISLTPPSTSRKLSSAMGDAFTAYTGRDWMEKSDWTEEGYFSWIINPSPSLLDLVHHISNIYIKDNIEDCCPLIYVLHVMALQRLVDLNRHRSTLQYLLQQGDKLMQVKKLDDADLSLYRKKCRKLKRHILVLEQEAVELTDFMLGYLSLVANYHSSIFSSDDTSCEKKACFQVHESDKWDFSISSVNKKSLPIAIWWIICQSIDIWCSYVDAKKLKRKFKKFLMLLIQTSLPCLANSSLQIEKHKIGKDGQLKKITLYQISQGLLKDSTLYENKFVRRNLASSFCHALENSVLSLFSDSSVRDINFKSLPVWPEVLSKLDNSSTVVCSRRDVKHDSAARSISNSSDRLPSEISMKQKAFPIENVKFKDCQSLLNLLCWMPKGYLNSKSFCQLTAYVLNLERIVVEDLLGCQGALSSNGCYELFQLFVACRRTLKNIIMASCEEKIEGSLSSLLSVAEGSSFVIWLFKSVSTVIGVLDTMMEDCLPEFELKIFLLMDHTSYVFFAISKYQFGQAVHFIGNSEKPCKKQPYSGVVGDESILNQPGSCSNYLKDSEALRSLSITAENLKEQAESLLDPLKGALDDNAKVGDGNKAVNTNKMSFAISCFGGFLWGLASALNQGDEKSGEVNAKYLRWKCEPLSKLNICINVFLDFISEVFHMFLDNDQQSRSYYDAESSQKLDYSRHLLVFETDLVELHYLNKHFLQGLLKGDHPDRAILLRHLLITHSAIPRLNLRIDDTSLSSGMVPLNIGISQVLLLELANSGEIPPPFTFVWLDGAVKYLEELGSHFPLNDPTLNGNAYAKLIELLLRAIGKCISLQGKRATLESHERESSTKILHGGTGWSESFLSHGSHCLDEFKARLRMSFKAFIKNPSELQLLSAMQAIERALVGVRGGHAMIYDINTGSANGGMVSSTVAAGIDCLDLILEYGSGRRCLRVVKRHIQSLVAALFNIILHLQSPLIFYGKFVSNEGDRNPDAGSVVLMCAEVLTRVARKHALFQMDPWHIGQSLCIPGALFQDFHQLRLSEAPVSNNSLLYSDKQTHDSMASMKYSVVDRQFSVNLFAACCRLLYTVLKHHKSECERCIAVLEESVALLLHCLETVDADLVVRKGYFSWEIQEGVKCACFLRRIYEEIRQQKDVFAGHCYKFLSTYIWVYSGYGPLKTGIRRWEIDGALKPGVYALIDACSANDLQYLHTVFGEGPCRNTLASLQRDYKLNFQYEGKV >EOY01016 pep chromosome:Theobroma_cacao_20110822:2:36761614:36772174:-1 gene:TCM_010937 transcript:EOY01016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLWGEMKREEESRGRLKGSGVVVRCDWCACDYVVVAMWVLLTRGKLCCRPFILGLIAKEAALALEGPKASLLDLRDKVAFSGYLCWVVSF >EOX99595 pep chromosome:Theobroma_cacao_20110822:2:12571958:12574395:1 gene:TCM_008314 transcript:EOX99595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDSVEISSYIPPPEFVEDSSEQLVDLTLSESTELFLIQWPLHQHPEINGKEFTLQLGPDGKLGSLIDSAGKAYDFVSSASQEPDATVILSSASESKIVGKISRRVSLVHYMEPEEYEKLSSDKRLMYQKSSGSLTANSSNPFVTPMHIKGRKNSQSWGRTVSTHSSRHKSTISGINELSKPSKRKQDRESTGSLNQSAQSHGTTPFSGSSEHRIALALSSHLSTYFFLLLIFTLYMPIS >EOX99596 pep chromosome:Theobroma_cacao_20110822:2:12571904:12573739:1 gene:TCM_008314 transcript:EOX99596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDSVEISSYIPPPEFVEDSSEQLVDLTLSESTELFLIQWPLHQHPEINGKEFTLQLGPDGKLGSLIDSAGKAYDFVSSASQEPDATVILSSASESKIVGKISRRVSLVHYMEPEEYEKLSSDKRLMYQKSSGSLTANSSNPFVTPMHIKGRKNSQSWGRTVSTHSSRHKSTISGINELSKPSKRKQDRESTGSLNQSAQSHGTTPFSGSSEHRIALDRTSIFSGRSEPSHKGK >EOY01970 pep chromosome:Theobroma_cacao_20110822:2:41555938:41558632:1 gene:TCM_011749 transcript:EOY01970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MNLANATRLTQTLKIWPKSLNHRQYQTIIPVLRSCKRTSEICQIHGYVIRTGLDHDPFILSTLLVASSLLDVEYAASIIKQIQNPNLFMYNSILRGYSISDDPNQAFSVFNNLRAKDGILLDQFSFVTTLKACSREFAIFNGQTIHGLALRSGHVFFINVKNALLHLYSVCGRIFYAHTLFDEIPEANDVVSWNSLMGGYLHVSKPDVVIALFREMCCSCLTASVPTFLTVLSAVGEAGDSLGGQSLHGHCLKVGFCFDSNLVSALIDMYAKTGNVYSGRRVFDEVAVKDVVLWNCMISKYAENGLLEESLALLRLMKVKQVKPNSATLVGLLSACAASGATSLGESIGSYVEESGLPLDAVIGTALVDMYAKCGVLDKAIDIFERMESKDVKSWTAMIAGYGVHGWALDAIRVFYRMEEAGCRPNEVSFLAVLSACSHGGLVVEGMKCFERMVQEYGIMPKIDHYGSIIDLLGRAGLLEEAHNFIKRLPIKSDATAWRALLSACRVYGNVELGECVKSVLVGFNNEQHPTDSILLSSTYAIAGRLPDQTRMQVLEKDMFKRAGIRPAGKEDKIMKQAGYSTIEMDSEGFEP >EOY00969 pep chromosome:Theobroma_cacao_20110822:2:36213360:36218810:-1 gene:TCM_010874 transcript:EOY00969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 12 isoform 1 MFDKDFFTEYGEASQYEIKEVVGKGSYGVVASAIDTHTGEKVAIKKMTNIFEHTSDATRILREIKLLRLLRHPDIVQIKHIMLPPCRREFKDIFVVFELMESDLHRVIKLNDDLTPEHHQFFLYQLLRGLKYIHTAHVFHRDIKPKNILANADCKLKLCDFGLARVSFTDVPSAIFWTDYVATRWYRAPELCGSFFSKYTPAVDIWSIGCIFAELLKGKPLFPGKNVVDQLDLVTDLLGTPSAETIARIRNEKARKYLNSMRKKKPIPFSEKFPNADPMALNLLKRLIAFDPNDRPSAEEALADPYFHGLANLDNEPSLKPISKFEFEFERRKLTEDDVRELIYREILEYHPQMLQEYLQGKDQISFMYPSGVDQFRRQFACLEEYGKNERGILLQRKYTSLPRERVCNEDTDDQSKKRTVASANRATLQSPAKLQGSGELECANPNVSGIHKASAKPTRSARRLLRSDSISASRCVGVIRKPHEVHNEVQKVGA >EOY00973 pep chromosome:Theobroma_cacao_20110822:2:36213814:36217250:-1 gene:TCM_010874 transcript:EOY00973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 12 isoform 1 MFDKDFFTEYGEASQYEIKEVVGKGSYGVVASAIDTHTGEKVAIKKMTNIFEHTSDATRILREIKLLRLLRHPDIVQIKHIMLPPCRREFKDIFVVFELMESDLHRVIKLNDDLTPEHHQFFLYQLLRGLKYIHTAHVFHRDIKPKNILANADCKLKLCDFGLARVSFTDVPSAIFWTDYVATRWYRAPELCGSFFSKYTPAVDIWSIGCIFAELLKGKPLFPGKNVVDQLDLVTDLLGTPSAETIARIRNEKARKYLNSMRKKKPIPFSEKFPNADPMALNLLKRLIAFDPNDRPSAEEALADPYFHGLANLDNEPSLKPISKFEFEFERRKLTEDDVRELIYREILEYHPQMLQEYLQGKDQISFMYPSVLCQPSGVDQFRRQFACLEEYGKNERGILLQRKYTSLPRERVCNEDTDDQSKKRTVASANRATLQSPAKLQGSGELECANPNVSGIHKASAKPTRSARRLLRSDSISASRCVGVIRKPHEVCAQ >EOY00970 pep chromosome:Theobroma_cacao_20110822:2:36213087:36218810:-1 gene:TCM_010874 transcript:EOY00970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 12 isoform 1 MFDKDFFTEYGEASQYEIKEVVGKGSYGVVASAIDTHTGEKVAIKKMTNIFEHTSDATRILREIKLLRLLRHPDIVQIKHIMLPPCRREFKDIFVVFELMESDLHRVIKLNDDLTPEHHQFFLYQLLRGLKYIHTAHVFHRDIKPKNILANADCKLKLCDFGLARVSFTDVPSAIFWTDYVATRWYRAPELCGSFFSKYTPAVDIWSIGCIFAELLKGKPLFPGKNVVDQLDLVTDLLGTPSAETIARIRNEKARKYLNSMRKKKPIPFSEKFPNADPMALNLLKRLIAFDPNDRPSAEEALADPYFHGLANLDNEPSLKPISKFEFEFERRKLTEDDVRELIYREILEYHPQMLQEYLQGKDQISFMYPSGVDQFRRQFACLEEYGKNERGILLQRKYTSLPRERVCNEDTDDQSKKRTVASANRATLQSPAKLQGSGELECANPNVSGIHKASAKPTRSARRLLRSDSISASRCVGVIRKPHEVHNEVQKVGA >EOY00971 pep chromosome:Theobroma_cacao_20110822:2:36213105:36217253:-1 gene:TCM_010874 transcript:EOY00971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 12 isoform 1 MFDKDFFTEYGEASQYEIKEVVGKGSYGVVASAIDTHTGEKVAIKKMTNIFEHTSDATRILREIKLLRLLRHPDIVQIKHIMLPPCRREFKDIFVVFELMESDLHRVIKLNDDLTPEHHQFFLYQLLRGLKYIHTAHVFHRDIKPKNILANADCKLKLCDFGLARVSFTDVPSAIFWTDYVATRWYRAPELCGSFFSKYTPAVDIWSIGCIFAELLKGKPLFPGKNVVDQLDLVTDLLGTPSAETIARIRNEKARKYLNSMRKKKPIPFSEKFPNADPMALNLLKRLIAFDPNDRPSAEEALADPYFHGLANLDNEPSLKPISKFEFEFERRKLTEDDVRELIYREILEYHPQMLQEYLQGKDQISFMYPSGVDQFRRQFACLEEYGKNERGILLQRKYTSLPRERVCNEDTDDQSKKRTVASANRATLQSPAKLQGSGELECANPNVSGIHKASAKPTRSARRLLRSDSISASRCVGVIRKPHEVHNEVQKVGA >EOY00972 pep chromosome:Theobroma_cacao_20110822:2:36214250:36217250:-1 gene:TCM_010874 transcript:EOY00972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 12 isoform 1 MFDKDFFTEYGEASQYEIKEVVGKGSYGVVASAIDTHTGEKVAIKKMTNIFEHTSDATRILREIKLLRLLRHPDIVQIKHIMLPPCRREFKDIFVVFELMESDLHRVIKLNDDLTPEHHQFFLYQLLRGLKYIHTAHVFHRDIKPKNILANADCKLKLCDFGLARVSFTDVPSAIFWTDYVATRWYRAPELCGSFFSKYTPAVDIWSIGCIFAELLKGKPLFPGKNVVDQLDLVTDLLGTPSAETIARIRNEKARKYLNSMRKKKPIPFSEKFPNADPMALNLLKRLIAFDPNDRPSAEEALADPYFHGLANLDNEPSLKPISKFEFEFERRKLTEDDVRELIYREILEYHPQMLQEYLQGKDQISFMYPSGVDQFRRQFACLEEYGKNERGILLQRKYTSLPR >EOX99936 pep chromosome:Theobroma_cacao_20110822:2:18625451:18626388:-1 gene:TCM_008970 transcript:EOX99936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRACVIDFTRSWDRHLSLVEFPYNNSFQSSIGMAPYEALYGRKCQTLLCWDEVVVSCWIMKGVIWFAKWGKLNPRYIGPFLVIERIGPMAYRLELPPELDRIHNVFHVSMLKKYVPDPSHILVTPPIKLQEDLKFKVQLVCILDQKD >EOX96986 pep chromosome:Theobroma_cacao_20110822:2:820964:828678:1 gene:TCM_006109 transcript:EOX96986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVHLILSFFFFFFSNRDMESWERKNVRNELRQGRKLAKQLQANLKRSSSEENPELVQKIVSSFEKALSMLNCSTSSMAAKLQPIAHSSLARDGSHQSKDPDHDIKKQEFKVKDFFMKREVTESQPKGLATEMFKFPPPFSGSFSSAGNLEAFDGKAAELKPIIIGTEFDHDFMEEHELKVNETFNKSCSVKDESKPAGHAKVMFKSLSDYNLEKKKHTVGDASKKSAAGESQPTEVEFKKPKSSHSLGESLPSKDSDYNFKEQELKVNEGSGKRNARRSWTVLVHSDMVQGELPSDGCNWRKYGQKDILNARFPREYYRCAHRHTQGCFATKEVQREDEDPMFITATYKGMHTCTLAPDLMPPGPPEILAPLDTVLGADGNDKKDSQSNLQSSVHSPDNQTCISSTKLTSELPNLGLNLNVFPEKSFKSYPTWKNFYENEVRKNWKVLNRKKDVLLLLSSYPMIMIDKSDTDKWIIDVLATMRHVKSTEKILFGVGVAKHWPGMTTLQELSGRLQKLLDVPLMNDIEGVLPVDLVENLYRTTEADLRPLLEVEQNIISGKTSKSRGSASNSEGAAMEAEKELQPMPAKCKTLVEDTELPAKGTLNVPEEIFDLAIYLAVRQILKCINRGYIWCITISGRDKKRVLGAVKQHQDIVSEFGYIIVFTVSEDQSGANVHGVFHLQKGFWLGGCFDSVDLTHEYFDNLCSPGILLLTEDDYDKNMNLDQSTLPLLINLNKLVDHKHSDSRFIIFTSKMATDMEIRMEDHLLSWKLFCRIVGEGLLSPSIQQIAASLVKECRGNLLAIILTARSLEKVTDDVNLWELAVKRLTMLPPSQIEDTDNVLINALTFIWERMNNKTRHCIKFFTWYPKGQKINRVSLIQHWIQDRLVDTHDEGTNIIQNLVDTSLLNIVELNRVQLRREIYDVLVNPLILQMHPFYLLLGRARLIKPPEEEEWDAKVINLMDNKLSDLPESPSIKSLPESLSSLVNLRELLLKGCELFIRLPSHVGELKNLEKLDLDETQIIDLPAEIGQLSKLKILRVSFYGYMNCSKTRLRQDTIIPPGTISGLSELTELSIDVDPDDERWNATVKDIIEEACNLKTLRQLNLYLPNIEILWKRRTGSASLLHYPLPRFRFTVGYHKRQVISRVPEEVEAHFNKSNKCLKFVKGKDIPAEMRKVLNHSTAFFLEGHATARSLSDFGIENTRLLKCCLLTECNGVKTIIDLSQGGGHSQVYTRGKGKSESLKFPEEQTDALGNLQDLNIYYMKNLESIWKGPVHKHCLASLKFLALHKCPRLSTIFSLDLVANLDNLEELIVEHCPQLTSLVSPTGHVSSNSTPQPNCFFPSLKRISLLYVPNLVSISSGLWIAPELEKVGFYNCPKLKSLSAMEMSSDHLTRIKGESHWWEALEWKNSEWGNPLDYLQSIFSPLIKERDVKAQLAEEGIMHHAST >EOX97391 pep chromosome:Theobroma_cacao_20110822:2:2137567:2139170:-1 gene:TCM_006421 transcript:EOX97391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10 family protein isoform 1 MPKSKRNKIVSLSKTKKKGREHKESIVNAIREAAESYNSIYVFSFENMRNLKLKEFREQLKSTSRFFLGSNKVMQVALGRSASDEIRPGLYKVSKLLRGDAGLFLTNMPRDEVESLFNKFEETDFARTGSIATEKVELLEGPLDQFTHEMEPFLRKQGLPVRLNKGVVELVSDFVVCEEGKPLSPESARLLVRSCFIPRFT >EOX97390 pep chromosome:Theobroma_cacao_20110822:2:2137438:2139182:-1 gene:TCM_006421 transcript:EOX97390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10 family protein isoform 1 MPKSKRNKIVSLSKTKKKGREHKESIVNAIREAAESYNSIYVFSFENMRNLKLKEFREQLKSTSRFFLGSNKVMQVALGRSASDEIRPGLYKVSKLLRGDAGLFLTNMPRDEVESLFNKFEETDFARTGSIATEKVELLEGPLDQFTHEMEPFLRKQGLPVRLNKGVVELVSDFVVCEEGKPLSPESARLLRLLGSKMATFRLNLICRWSPEDFELYKEALDESDVESA >EOX97392 pep chromosome:Theobroma_cacao_20110822:2:2137905:2139170:-1 gene:TCM_006421 transcript:EOX97392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10 family protein isoform 1 MPKSKRNKIVSLSKTKKKGREHKESIVNAIREAAESYNSIYVFSFENMRNLKLKEFREQLKSTSRFFLGSNKVMQVALGRSASDEIRPGLYKVSKLLRGDAGLFLTNMPRDEVESLFNKFEETDFARTGSIATEKVELLEGPLDQFTHEMEPFLRKQGLPVRLNKGKIFVASVFRTTYVYMKVEFTY >EOY01878 pep chromosome:Theobroma_cacao_20110822:2:41249274:41251469:1 gene:TCM_011676 transcript:EOY01878 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAMP-regulated phosphoprotein 19-related protein, putative isoform 1 MGFSSSFDSLHLVSIWPSNSAPQMESLFLLSCRYLLKAKMSQANNVEDVKQKEVVDDSEKNQVDDDKADDQKDQILGDEGGAVIQEEVKDSHENNKSPMPSALQEEEAIKKKYGGLVPRKPPLISKHHERAFFDSADWALGKQGAQKPKGPLEALRPKLQPTPHQQMRSRRSAYAPADDSEGDDGNNTTSSAEDQSCRLEGDSGDNISKEDETHDMRM >EOY01876 pep chromosome:Theobroma_cacao_20110822:2:41248041:41251453:1 gene:TCM_011676 transcript:EOY01876 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAMP-regulated phosphoprotein 19-related protein, putative isoform 1 MEQIPDNIFSDISPPPTHPPFFLPAFQEIEVGNACLYQPDPSLVRQAFRSDLFHQQAYRQELIMHISRKFSLSYLLKAKMSQANNVEDVKQKEVVDDSEKNQVDDDKADDQKDQILGDEGGAVIQEEVKDSHENNKSPMPSALQEEEAIKKKYGGLVPRKPPLISKHHERAFFDSADWALGKQGAQKPKGPLEALRPKLQPTPHQQMRSRRSAYAPADDSEGDDGNNTTSSAEDQSCRLEGDSGDNISKEDETHDMRM >EOY01877 pep chromosome:Theobroma_cacao_20110822:2:41249665:41251458:1 gene:TCM_011676 transcript:EOY01877 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAMP-regulated phosphoprotein 19-related protein, putative isoform 1 MSQANNVEDVKQKEVVDDSEKNQVDDDKADDQKDQILGDEGGAVIQEEVKDSHENNKSPMPSALQEEEAIKKKYGGLVPRKPPLISKHHERAFFDSADWALGKQGAQKPKGPLEALRPKLQPTPHQQMRSRRSAYAPADDSEGDDGNNTTSSAEDQSCRLEGDSGDNISKEDETHDMRM >EOY01856 pep chromosome:Theobroma_cacao_20110822:2:41187457:41189341:1 gene:TCM_011662 transcript:EOY01856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGQQNPQDPRPSLFPLFPVTGTTAVVASATAIATTAATTSGPQWLCNPSFTSNLSLINDTVSSLPYTLNVEEEDEGEEGKQQKQQKNYHSYELLEEEKEDEEDSDSNGEKECDFTSLIPNAPYVLSMVEIGWLQWSSAWCNGKNRKLRPLNSTRMVLQAKPG >EOX99379 pep chromosome:Theobroma_cacao_20110822:2:10863698:10864798:1 gene:TCM_008062 transcript:EOX99379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycoprotein family, putative MPTKKLINGIVNPTPWTLDPLIHQNISCSMIKETLGPFPIDATFPATIHIIVGIKTFNIQQSDLSLYTEFSISVKADNPNHLIGFIYGKESSVASLYTGKTLCSGKFPTFHQPENNITMMNILMKGKNEFGSGLQERLLQNQKKGKIPLLIMVKAFVSVVIAGFPLRQVVVFVNCSLVVDNLSPNHKIGIL >EOX97755 pep chromosome:Theobroma_cacao_20110822:2:3343635:3347474:-1 gene:TCM_006690 transcript:EOX97755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon-associated protein subunit alpha isoform 2 MAIKSFRVFFFALLLLASPLLQVARCQSEAEADVAEAVEGGDLGIVGEDVQDFGDGNFDPAPGVETVCVFPKNSAKLVLAGEETELLVGMENVGESTVNVIAIKASVHLPFDHHLLVQNLTVQQAFNNATVPASAQATFPYIFAVSKYLQPGTFDLVGTIVYDIDQHPYQSTFYNGTIEVVEAGGFLSVESVFLVTLGMALLVLLGLWLHGQFQRISKKTKRAPKLEVGTGTTDASLDEWLQGNCLHSVSFQIKEEEVDEGMLGIWKF >EOX97754 pep chromosome:Theobroma_cacao_20110822:2:3343763:3347450:-1 gene:TCM_006690 transcript:EOX97754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon-associated protein subunit alpha isoform 2 MAIKSFRVFFFALLLLASPLLQVARCQSEAEADVAEAVEGGDLGIVGEDVQDFGDGNFDPAPGVETVCVFPKNSAKLVLAGEETELLVGMENVGESTVNVIAIKASVHLPFDHHLLVQNLTVQAFNNATVPASAQATFPYIFAVSKYLQPGTFDLVGTIVYDIDQHPYQSTFYNGTIEVVEAGGFLSVESVFLVTLGMALLVLLGLWLHGQFQRISKKTKRAPKLEVGTGTTDASLDEWLQGTAYTQSASKSKKKK >EOX97166 pep chromosome:Theobroma_cacao_20110822:2:1419189:1420047:1 gene:TCM_006253 transcript:EOX97166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLPKLKKSRFTCFKPYHSPSSSLSIHFPLLSVMDDDNGAGHEQGQAQANAVRRRINRQAVGEQGVTYMECRRNVSLPAGRYSVDGCSEFLKREAGRENAMLCDTCGCHRSFHRKVLPTLYPEATHYLVFNDIPSVRPMPQSLQPPIPLVPQPELPHEQVAESESEEEEEEEEEEEEEERSGTDEESEERSELDSESEVDEVEMINGGEKDSKED >EOY01743 pep chromosome:Theobroma_cacao_20110822:2:40772992:40775845:1 gene:TCM_011574 transcript:EOY01743 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase family protein MVKICCIGAGYVGGPTMAVIALKCPEIEVAVVDISVSRINAWNSDALPIYEPGLDDVVKQCRGKNLFFSTDVEKHVSEANIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIEDLFQPDRVLIGGRETPEGQKAIEALRDVYAHWVPVDRIICTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSHAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKVNDYQKTRFVNRIVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKARLSIYDPQVNEEQIQRDLSMKKFDWDHPLHLQPMSPSSIKQVSVVWDAYAATKDAHGICILTEWDEFKTLDYQKIYDNMRKPAFVFDGRNIADVAKLREIGFIVYSIGKPLDPWLKDMPAVA >EOX97750 pep chromosome:Theobroma_cacao_20110822:2:3309544:3317687:-1 gene:TCM_006686 transcript:EOX97750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-imprinted in Prader-Willi/Angelman syndrome region protein MGRSPFSYVASHHRFVMMQQGGSASASVVIQLVNSPITPSNYISIHQIDWPSQADKPLADSCSSFWREFEFSIFPFFIIKTKRFGALIDVIKEREMGLSDNSKGVILAVASSAFIGSSFILKKKGLKRAGATGTRAGVGGYTYLLEPLWWAGMITMIIGEVANFVAYVYAPAVLVTPLGALSIIVSAFLAHFMLKERVQKMGIVGCVSCIVGSVVIVIHAPQEHTPSSVLEIWTLATQPAFLIYVAATLSTVLALVLHFEPRYGQTNLLVYLGICSLMGSLTVVSIKAIGIAIKLTLDGISQLAYPQTWFFITVAAICVITQLNYLNKALDTFNAAIVSPVYYVMFTTLTIIASVIMFKDWSGQNVSSIASEICGFITVLSGTIILHATREQEPPPPVGTVTWYVSGDSVKSPEDEHLITLRSLEYYEP >EOX99700 pep chromosome:Theobroma_cacao_20110822:2:13952202:13954296:1 gene:TCM_008476 transcript:EOX99700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGGIGAFWATRVMEIVKKHDSGGLVWKRIKLTSTRKANAKKRLHRVWQNEAVLRACAEPPSKTTDVVAGEKDGEQAT >EOY00208 pep chromosome:Theobroma_cacao_20110822:2:29442928:29445342:-1 gene:TCM_009970 transcript:EOY00208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein HAT5, putative isoform 2 MEGGKICGSSNMNALIHSEQLSCSSEVMESLWIPSSTSALRGQKPIINYENVNGVETTDMLFFQPLDKEESGDDDFHGSYRPPAKKRRLTATQVQFLERSFEVENKLEPERKVQLAKELGLQPRQVAIWFQNRRARFKNKQLEKDYDSLKASYDELKTDYDNLLKEKEDLENEVLALKEKLLNGEEGMENSGSLDAINSSNAESKKPNCDTSPENVSRVPSPACKQEEACSAKSDVFDSDSPHYTDGNHSSLIEPADSSNAFEPDQSDFSQDEEDNLSKSLLHPPFFPKFEVDCYYDAPASSCNFALPVEDQSFWSSLY >EOY00209 pep chromosome:Theobroma_cacao_20110822:2:29442975:29445315:-1 gene:TCM_009970 transcript:EOY00209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein HAT5, putative isoform 2 MEGGKICGSSNMNALIHSEQLSCSSEVMESLWIPSSTSALRDMLFFQPLDKEESGDDDFHGSYRPPAKKRRLTATQVQFLERSFEVENKLEPERKVQLAKELGLQPRQVAIWFQNRRARFKNKQLEKDYDSLKASYDELKTDYDNLLKEKEDLENEVLALKEKLLNGEEGMENSGSLDAINSSNAESKKPNCDTSPENVSRVPSPACKQEEACSAKSDVFDSDSPHYTDGNHSSLIEPADSSNAFEPDQSDFSQDEEDNLSKSLLHPPFFPKFEVDCYYDAPASSCNFALPVEDQSFWSSLY >EOX97293 pep chromosome:Theobroma_cacao_20110822:2:1854238:1899032:-1 gene:TCM_006362 transcript:EOX97293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Palmitoyltransferase TIP1, putative MGFFFSKLSPENHIGFGQPRNKNEDGASLTTYGGNGYYALQWAALDNFPDIAQYLIEHGRNVNAIENNKQTAFNWAAVRGSIAVADVLLQNGARVEATDINGCWAVHVAAQYGQTAFLNHIVAKYHADYDAPDNDGRAAYKGFADTTILLLFRDASQGRQDKEGTKQELVMKDKAGFTPIQLAYDKGHRQITLFLSNAARANSNRLVEKFCSGKMGDVGYAPILFCVIIVLIILFINAVLAAPSLSRVTAIVGLWGWIDVSLGIGSLIMFYRCSSFLFFLSFFLLVFTILCCKDPGHTKKNGGLDGYEDTEAQAFLFNNSNRSHLHNVTYDPLLSVDMNNLSVWTGNWSQLCPTCKIIRPIRSKHCPVCKHCIEQFNHHCPWISNCVGKKNKQDCFVFVCMGTLTSFLAASIAVQRIWTAIPALPADETWIHHVIVHHPGIIAFLILDAIVLIAATTLTTVQVSQIARNITANELSNAIRYGYLRGPDGRFQNPYNHGCRKNCPDFLIRG >EOY01690 pep chromosome:Theobroma_cacao_20110822:2:40618683:40623720:1 gene:TCM_011528 transcript:EOY01690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter 3 isoform 3 MYNHSRGGFLDEFFGEKCCSIQVRIMQASSDKQRPSWALELALELTCMSLDAQVKELEIEIYPVLDELASSINTVNLERVRRLKGNLLALTQRVQKVRDELEHLMDDDGDMAEMYLTEKRLKSEGNTPSELNAITNFSGGTKVVSKSAPVSPVASTNGSHRLQRAFSSIVSSSKHGSLISSSNGGENIEQLEMLLEAYFVVIDHTLSKLFSLKEYIDDTEDLINIKLGNVQNHLIQFELLLTAATFVATLFAAVAGVFGMNFAASVFDYPSGFSWVLLITGAGCVLLYFSFLLYFRYKKVFPL >EOY01687 pep chromosome:Theobroma_cacao_20110822:2:40619769:40623546:1 gene:TCM_011528 transcript:EOY01687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter 3 isoform 3 MEESQGHFLPSDIPESTSSYNSERLNSGAHANCGSGFPGVKKRGHSSRSWIKIDQDGNVKILELDKARIMRHCSLPSRDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITAEEVFLMNSLEKNVVQYKSELCKRLQTNKDQADDLPFEFRALELALELTCMSLDAQVKELEIEIYPVLDELASSINTVNLERVRRLKGNLLALTQRVQKVRDELEHLMDDDGDMAEMYLTEKRLKSEGNTPSELNAITNFSGGTKVVSKSAPVSPVASTNGSHRLQRAFSSIVSSSKHGSLISSSNGGENIEQLEMLLEAYFVVIDHTLSKLFSLKEYIDDTEDLINIKLGNVQNHLIQFELLLTAATFVATLFAAVAGVFGMNFAASVFDYPSGFSWVLLITGAGCVLLYFSFLLYFRYKKVFPL >EOY01688 pep chromosome:Theobroma_cacao_20110822:2:40620123:40623546:1 gene:TCM_011528 transcript:EOY01688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter 3 isoform 3 MNSLEKNVVQYKSELCKRLQTNKDQADDLPFEFRALELALELTCMSLDAQVKELEIEIYPVLDELASSINTVNLERVRRLKGNLLALTQRVQKVRDELEHLMDDDGDMAEMYLTEKRLKSEGNTPSELNAITNFSGGTKVVSKSAPVSPVASTNGSHRLQRAFSSIVSSSKHGSLISSSNGGENIEQLEMLLEAYFVVIDHTLSKLFSLKEYIDDTEDLINIKLGNVQNHLIQFELLLTAATFVATLFAAVAGVFGMNFAASVFDYPSGFSWVLLITGAGCVLLYFSFLLYFRYKKVFPL >EOY01686 pep chromosome:Theobroma_cacao_20110822:2:40618710:40623838:1 gene:TCM_011528 transcript:EOY01686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter 3 isoform 3 MEESQGHFLPSDIPESTSSYNSERLNSGAHANCGSGFPGVKKRGHSSRSWIKIDQDGNVKILELDKARIMRHCSLPSRDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITAEEVFLMNSLEKNVVQYKSELCKRLQTNKDQADDLPFEFRALELALELTCMSLDAQVKELEIEIYPVLDELASSINTVNLERVRRLKGNLLALTQRVQKVRDELEHLMDDDGDMAEMYLTEKRLKSEGNTPSELNAITNFSGGTKVVSKSAPVSPVASTNGSHRLQRAFSSIVSSSKHGSLISSSNGGENIEQLEMLLEAYFVVIDHTLSKLFSLKEYIDDTEDLINIKLGNVQNHLIQFELLLTAATFVATLFAAVAGVFGMNFAASVFDYPSGFSWVLLITGAGCVLLYFSFLLYFRYKKVFPL >EOY01689 pep chromosome:Theobroma_cacao_20110822:2:40618683:40623750:1 gene:TCM_011528 transcript:EOY01689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter 3 isoform 3 FWKFLLKCERLNSGAHANCGSGFPGVKKRGHSSRSWIKIDQDGNVKILELDKARIMRHCSLPSRDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITAEEVFLMNSLEKNVVQYKSELCKRLQTNKDQADDLPFEFRALELALELTCMSLDAQVKELEIEIYPVLDELASSINTVNLERVRRLKGNLLALTQRVQKVRDELEHLMDDDGDMAEMYLTEKRLKSEGNTPSELNAITNFSGGTKVVSKSAPVSPVASTNGSHRLQRAFSSIVSSIFDYPSGFSWVLLITGAGCVLLYFSFLLYFRYKKVFPL >EOX99718 pep chromosome:Theobroma_cacao_20110822:2:14692034:14694608:1 gene:TCM_008542 transcript:EOX99718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGMLKWQIFVSWHLMIIRHFLTFMILILHDKNDYTFDGLQDAYDDLMIEFEEKSLKYKGIISKLKVEKEKLLKTKIDLENVVKNM >EOX97529 pep chromosome:Theobroma_cacao_20110822:2:2577739:2581600:1 gene:TCM_006524 transcript:EOX97529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein MGEANEIGSTPMTPRPASVTPTPPISAPPSQFHSPSLSRSPLLSSADHIQPANKTPKNSTPRIRTPRFITPLGSPIRRALQLTRLDPHDAWLPITESRNGNAYYAAFHTLCSGIGVQALVLPVAFTILGWTWGIINLTVAFIWQLYTLYLLVQLHESTETGMRYSRYLQLCSATFGEKLGKWLALFPIMYLSGGTCVALIIIGGSTSKMFFDIVCGGTCNAQPPTTVEWYLIFTSAAVLLSQLPNLNSIAGVSLIGAITAIGYCTFIWVISVVEGRLPGVSYNPVKGSTDVIRIFDVLNALGIIAFAFRGHNLILEIQATMPSDEKHPSRAPMWKGVKVSYTLVALCLFPLAIGGYWAYGQLIPKEGGMLTALYAFHGQDTSQFILGLTSLFVIINAVSSFQIYGMPTFDDMESQYVRRMKKPLPWWLRAIFRAMFGYGCFFVAVAIPFLGSLAGLIGGIALPVTLAYPCFMWLKIKKPKVFGPSWWLNWMLGVIGMALSGVLIAAGIYVIIDTGVEVSFFKPH >EOX98506 pep chromosome:Theobroma_cacao_20110822:2:6002464:6006431:-1 gene:TCM_007251 transcript:EOX98506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter 4 isoform 1 MRSQPPPPPPKPEDDPDLLIRATPTSNQPLTTSVAGHRKKGTGVRAWLLLDSTGQTQVVEAGKHAIMKRTGLPARDLRILDPLLSYPSTILGRERAIVINLEHIKAIITAQEVLLLNSKDPSVTPFVDELQSRISCHYQATKAQECVVDDSNCIIRTSSKNWSARFSLPQNQDEEGTAEEKQGIENPDGSRILPFEFVALEACLEAACSCLDNEARTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKQQFENSSASSMNESDDINDEVLQPDITDRTPVEISLASNYEGDLQDSDNPQLNAIGRDSHGTHTSTTHSAMSKHLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVISAFIVVAGIFGMNIHIELFEDGKAGNLEFLWTIGGGTTGTIFLYVIAIAWCKYKRLLE >EOX98507 pep chromosome:Theobroma_cacao_20110822:2:6002464:6005790:-1 gene:TCM_007251 transcript:EOX98507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter 4 isoform 1 MRSQPPPPPPKPEDDPDLLIRATPTSNQPLTTSVAGHRKKGTGVRAWLLLDSTGQTQVVEAGKHAIMKRTGLPARDLRILDPLLSYPSTILGRERAIVINLEHIKAIITAQEVLLLNSKDPSVTPFVDELQSRISCHYQATKAQECVVDDSNCIIRTSSKNWSARFSLPQNQDEEGTAEEKQGIENPDGSRILPFEFVALEACLEAACSCLDNEARTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKQQFENSSASSMNESDDINDEVLQPDITDSSDLSLYYVRTPVEISLASNYEGDLQDSDNPQLNAIGRDSHGTHTSTTHSAMSKHLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVISAFIVVAGIFGMNIHIELFEDGKAGNLEFLWTIGGGTTGTIFLYVIAIAWCKYKRLLE >EOY00638 pep chromosome:Theobroma_cacao_20110822:2:34161042:34166329:1 gene:TCM_010533 transcript:EOY00638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGRVRGRGRGNQPQQAELAEMRRMIDDLTRAVQALQRQEPVEARMENPEAEKNKQITSRYLAGLNHSIRDEMGVVRLYNIEDARQYVLSVEKRVLRYGARKPLYGTHWQNNSEARRGYPTSQQNYQGVATINKTNRGATNVETNDKGKGIMPYGGQNSFGSSTNKGGSNSHIRCFTCGEKGHTFFAYPQRRVNLAELEEELEPVYDEYEEEVEEIDVYPAQGSAQNTGLYVPLPEPDAPWIHLSMDFVLGLPKTAKGFDSIFVVIVRLHGIPTSIVSDRDVKFMGHFWRTLWRKFGTELKYSSTCHPQTDGQTEVVNRSLGNMLRCLIQNNPKTWDLVIPQAEFAYNNSVNRSIKKTPFEAAYGLKPQHVLDLVPLPQEARVNAQIQHLPIAKVEVIEDVLNVKEVRSRRGNPYRRFLVKWLVKPANESTWIAEEELKRVDLDIYEEYVKAYSSESSLF >EOY00359 pep chromosome:Theobroma_cacao_20110822:2:31628632:31633606:-1 gene:TCM_010210 transcript:EOY00359 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNase H family protein, putative isoform 3 MYPIRYIKNQSNPFFFQPAKNQKAEGQNPSASLLLFLTLSLSHRREYRQRKAPFVSDSSCFTAIFRKTGHFIETSTCNQCRFPSWKRNFQHAGVKTVDLEFLLTRFHAQCYSARKSSSGKKAPRTKKVDPEPVMENEKDAFYVVRKGDVVGVYKSFADCRAQVGPSICDPPVSVYKGYSLTKDTKEYLVSCGLKNALYTVRAADVKEDLFGLLMPCSFQEPASSKGETSHMDAAKKRSQDMLKSEYGGLGALGSIAVADPVSKHIKLDPYAEVQIASSNCSCILEFDGASKGNPGPAGAAAVLRTDTGKVICKLREGLGIATCNAAEYRAVILGLKHALRKGYSSICVRGDSKLVCMQMQGLWKVKHEHMSELYEQAKKLKNKFLSFQINHVLRELNAEADAQANLAVNLAEGQIQEELA >EOY00360 pep chromosome:Theobroma_cacao_20110822:2:31628921:31633382:-1 gene:TCM_010210 transcript:EOY00360 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNase H family protein, putative isoform 3 MNCLSHVRAYGSAIFRKTGHFIETSTCNQCRFPSWKRNFQHAGVKTVDLEFLLTRFHAQCYSARKSSSGKKAPRTKKVDPEPVMENEKDAFYVVRKGDVVGVYKSFADCRAQVGPSICDPPVSVYKGYSLTKDTKEYLVSCGLKNALYTVRAADVKEDLFGLLMPCSFQEPASSKGETSHMDAAKKRSQDMLKSEYGGLGALGSIAVADPVSKHIKLDPYAEVQIASSNCSCILEFDGASKGNPGPAGAAAVLRTDTGKVICKLREGLGIATCNAAEYRAVILGLKHALRKGYSSICVRGDSKLVCMQMQGLWKVKHEHMSELYEQAKKLKNKFLSFQINHVLRELNAEADAQANLAVNLAEGQIQEELA >EOY00361 pep chromosome:Theobroma_cacao_20110822:2:31629108:31633380:-1 gene:TCM_010210 transcript:EOY00361 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNase H family protein, putative isoform 3 MENEKDAFYVVRKGDVVGVYKSFADCRAQVGPSICDPPVSVYKGYSLTKDTKEYLVSCGLKNALYTVRAADVKEDLFGLLMPCSFQEPASSKGETSHMDAAKKRSQDMLKSEYGGLGALGSIAVADPVSKHIKLDPYAEVQIASSNCQSCILEFDGASKGNPGPAGAAAVLRTDTGKVICKLREGLGIATCNAAEYRAVILGLKHALRKGYSSICVRGDSKLVCMQMQGLWKVKHEHMSELYEQAKKLKNKFLSFQINHVLRELNAEADAQANLAVNLAEGQIQEELA >EOX98699 pep chromosome:Theobroma_cacao_20110822:2:6750937:6751666:1 gene:TCM_007396 transcript:EOX98699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative MASSKCFLLAFFIALSFSNVEVSLAARKLQQLPPLTPIPTLPGAGLPSFPSIPSLPQPTLPTLPTTQPSLPNLGTLPPLPSLANLPSVPKVTLPPLPSMPSIPTIPTTIPSIPFLSPPPAPSSP >EOY00278 pep chromosome:Theobroma_cacao_20110822:2:30900458:30907961:-1 gene:TCM_010121 transcript:EOY00278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENGRVDPIETRSEGGGAGRRWVDGETDFTRSLWVTCALLSRGKRSMTGLTDCQVRIVEAENEWLKRSVVGKPKVGLSPKMIQKPLKLDGAWVRVRTMGGGLVVVSFDDDEDMQCLLSLNKEIFSLWFEDIRPYYEWLEERRLLVWVKLEDIPFFLWQKAFFEVIDNSWGRLVKVDEDTEEKRRFTCVMILVEVSCKSKITPIVRVEAEGAKYWIKAQIIGATGTFSADIGLGNVKGIDAQCGFINIFAPNDEGKRRDLWVELSEQMNNMEVWWILGGDFNTVRFKEERIGTGDVGRSVGHFDERRTNYIDKVKVNGGFIEKLDGVKTIIAAHFEKLYKSKGVLEVADLNYGLRQISQRAAEEMIRLVIEDEVIENVVGNNQFTFVKGRQLIDAVLIANELIDLIRKEGTGGMIMKVDFEKAYDCIDWGFLDFIMAKIGFLEKWRRWINECISIARFCLLKIAEGKGLCRGIQVGRNKLTVSHLQFADDTMIFYYPNFEEMKNIKRVLKIFQSMPGLKINFAKCSLMGIDMDPKIVEEWANAIECRYNDLSNTYLGLHIGANQRSKQVWRPVIQKVQNRLASWHSKLLSMGERITMMRFNGDSSGVGVRIQPYFVFVLGNGENIRFWSDEWIEDICRKEHFPRIHALANNKCSTVKEFGTWDNRIWEWRVKLRRQVFGWEQEQYESFNDTIKEFFLCKNMKDELVWKKTASETLVWQLMHGKVAVKGELIKREIINAAETLCPLCNDSIETVDHLFVGCINVKSLWYAWCKEWGFAWVMPARFKELMTMWNAIYVKAGSDKIWRMAVFAITWTIWIGRNEVIFHNKVWDKELIWELIKLKVAMWANARWNEKKEILIKFSKAIGRGDSNLAEYLGIREAFILFSNSIWANNYYLVIESDSRNAIKWINDPRKTPWRLRKWMLHIEVLKKRVKGWKARHTLREGNRKADQLANEGVGGLRPCALLFLGLCPAV >EOX97779 pep chromosome:Theobroma_cacao_20110822:2:3405256:3406887:1 gene:TCM_006705 transcript:EOX97779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin, MIP family, PIP subfamily MAGTGHVGVFEDEENVYTGTRVRPFASTPRVGQRRAEEEKKQNPNALKMTFSLKELFSLEVWRGSLAELLGTAVLVFVMDTIVISSYETETKTPHLIMSFLIAVTITILLLATFPISGGHINPVISLAAALTGIISFSRAAVYILAQCVGGILGALALQAVVNSKIEQTFSLGGCTLTIVVPSANGPLVIGLKTSQALWLEIFCTFVLLFASIWIAFDKRQAKHLGRVMVCSVIGIVVGLIVFISTTVTATKGYAGVGMNPARCLGPALIRGGHLWSGHWVFWAGPAIACAAFALYTKVIPSQLLHN >EOX97488 pep chromosome:Theobroma_cacao_20110822:2:2451422:2452774:1 gene:TCM_006487 transcript:EOX97488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit A, putative MASSPLNQKSNFHARSNSLPSRPHPLIPQIDEHLCRLKSNEAASSSSPSIHEKQNGLRDLYELVDSLLQLPLTQKSLAQKCNDKQVNELLNGSLRLLDVCGVAKDALLQAKEDAQELQSILRRRRGDEAGCTNEAKEYLASRKKAKKLINKSLKDLKSKCGFAHLENDAEATFSMLKEVEGVTLSLFESLLSYISGTKMQPKSTNRSLVSKLMHSKRITCEGEATESNEFEKVDAVLCSLIGHKTRKCNGMSTENAQVELQKLESSIQDLEDGVECLLRLLIKTRVSVLNILSH >EOX99197 pep chromosome:Theobroma_cacao_20110822:2:8959367:8962221:1 gene:TCM_007792 transcript:EOX99197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLYEKPYLHPSMKADLAGDSPSLASIHDSLSNEKARFKRVRLSMGETSEQSGQNAEAGQNPHIELEENVKSSYRNMLVSGGHVLGMENNYVEEDEEFICEFDSDDEAMDLASKGCFIYLTNEEKKRHYLTIKLWSPRFRLKEGTVYLVAAWIRLLGMPLEFYDRVVLARIRNAVGRTLKIDRTTSEPSRASSSAKSKGKVLGAVRVVYLEPLIRQKDGAVTGKTIKKTNLAMEIHNVGSDDRNVRIHQPFQQDDLVNSTVINNQDCQMDQMGVGVNGVA >EOY00205 pep chromosome:Theobroma_cacao_20110822:2:29407407:29409303:1 gene:TCM_009967 transcript:EOY00205 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Putative small multi-drug export (InterPro /.../9577); Has 405 Blast hits to 405 proteins in 185 species: Archae - 65; Bacteria - 295; Metazoa - 0; Fungi - 0; Plants - 23; Viruses - 0; Other Eukaryotes - 22 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G02590) TAIR;Acc:AT2G02590] MAASASAATSLLVLAPSLRKTNPRIFPTQIHWPTTRSKQFLSRSKFQNWQRFPLPLTITRASSNVFLDTAHTSREKEILPTFEEKPVKFLFWVVLWASLSLVWFAASSDANASAAAADSIRASSFGLKIASALRGSGWPDEAVVFTLATLPILELRGAIPVGYWMQLKPRLLTILSILGNMVPVPFIILYLKRFATFLAGRNQSASGLLNMIFEKAKEKAGPVEEFQWLGLMLFVAVPFPGTGAWTGGIIASILDMPFWSAVSANFFGVVLAGLLVNLLVNMGLKYAIVTGIILFFISTFMWSILRNIKKSLSSSK >EOX98150 pep chromosome:Theobroma_cacao_20110822:2:4689745:4697267:-1 gene:TCM_006977 transcript:EOX98150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain,Phox-like,Sorting nexin, C-terminal, putative isoform 1 MKPMETIQDLIDEAKFRTVTWAMVIFAVTYFLTHTSTSMWMNLPIAILIVAAFRIISNEVEFKWKVQSVRPQTFLSYLEKKQLSLNDSRLSSSPPPPKWKRKIDSPKVETALNEFIDKILKDFVVDLWYSEITPDREAPELIRTVILDAIGEISGRVKEINLVDLLTRDIVDLIRDHLDLFRRNQAAIGVDVMVTLSSEERDERLKHHLMVSEELHPALISPESEYKVIQRLIGGVLAVVLRPREAQCPLVRTIAREIVTCLVVQPLMNLASPGYINEVIEYILLAIKDDMNKMVVGFDQSSVGVHGADSTSSKISSLNSQGTDLTLATIDNQKETYSDHSRYKEESEQLRPADWARILEAATQRRTEILAPENLDNMWTKGRNYKKKENKYVKAAVQESIPKGSVTKSAILIGNSGSEISTNKIGTSTGREEKTVMQLMPGLSLDTQLCDGNMKGTNLALEFNKSSSFEGDHLVNKFIDASEQAADGNKSRLKRSSSTSDLKVEPDTKKALTGDVGGPIISEFYSPDFGRHAEGYRGKIASNIVFRNEGPHIPMLRCRVSNPVNIAVIGAYFEKLGSKSFAVYSIAVTDAEKRTWFVKRRYRNFERLHRILKEIPNYTLHLPPKRIFSSSTEDAFVHQRCIQLDKYLQDLLSIANVAEQHEVWDFLSVSSKNYSFGKSSSVMRTLAVNVDDAMDDIVRQFRGVSDGLMRKVVGSSSPPSEASSSVTGRTLSWTADEMAKDISRQSNLETVNSASDNEDGDKDGSHDHQDDRSGPQGHGWHSDNELNSKSLPPRVIERGGVSGNLVSENHNLGVKPESVGQGGFPAIKLSATSSYLEDPVGMPPEWTPPNVSVPLLNLVDNVFQLKRRGWLRRQVFWISKQILQLVMEDAIDDWLLRQIYCLRTEETVAQGIRWVQDVLWPGGTFFTRVGNIQSKFDNCHPNQTPSENFSQFGGSNVSKPGSFEQQLEATRRASDIKKMLFGKYVFVLLISSSCQIRVKMCQDFWICGCKFT >EOX98149 pep chromosome:Theobroma_cacao_20110822:2:4688828:4697370:-1 gene:TCM_006977 transcript:EOX98149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain,Phox-like,Sorting nexin, C-terminal, putative isoform 1 MKPMETIQDLIDEAKFRTVTWAMVIFAVTYFLTHTSTSMWMNLPIAILIVAAFRIISNEVEFKWKVQSVRPQTFLSYLEKKQLSLNDSRLSSSPPPPKWKRKIDSPKVETALNEFIDKILKDFVVDLWYSEITPDREAPELIRTVILDAIGEISGRVKEINLVDLLTRDIVDLIRDHLDLFRRNQAAIGVDVMVTLSSEERDERLKHHLMVSEELHPALISPESEYKVIQRLIGGVLAVVLRPREAQCPLVRTIAREIVTCLVVQPLMNLASPGYINEVIEYILLAIKDDMNKMVVGFDQSSVGVHGADSTSSKISSLNSQGTDLTLATIDNQKETYSDHSRYKEESEQLRPADWARILEAATQRRTEILAPENLDNMWTKGRNYKKKENKYVKAAVQESIPKGSVTKSAILIGNSGSEISTNKIGTSTGREEKTVMQLMPGLSLDTQLCDGNMKGTNLALEFNKSSSFEGDHLVNKFIDASEQAADGNKSRLKRSSSTSDLKVEPDTKKALTGDVGGPIISEFYSPDFGRHAEGYRGKIASNIVFRNEGPHIPMLRCRVIGAYFEKLGSKSFAVYSIAVTDAEKRTWFVKRRYRNFERLHRILKEIPNYTLHLPPKRIFSSSTEDAFVHQRCIQLDKYLQDLLSIANVAEQHEVWDFLSVSSKNYSFGKSSSVMRTLAVNVDDAMDDIVRQFRGVSDGLMRKVVGSSSPPSEASSSVTGRTLSWTADEMAKDISRQSNLETVNSASDNEDGDKDGSHDHQDDRSGPQGHGWHSDNELNSKSLPPRVIERGGVSGNLVSENHNLGVKPESVGQGGFPAIKLSATSSYLEDPVGMPPEWTPPNVSVPLLNLVDNVFQLKRRGWLRRQVFWISKQILQLVMEDAIDDWLLRQIYCLRTEETVAQGIRWVQDVLWPGGTFFTRVGNIQSKFDNCHPNQTPSENFSQFGGSNVSKPGSFEQQLEATRRASDIKKMLFDGAPTTLVSLIGHKQYRRCARDIYYFTQSTICVKQLAYAILELLLISVFPELRDLVKDLHGKKHTKVA >EOX98151 pep chromosome:Theobroma_cacao_20110822:2:4690262:4697267:-1 gene:TCM_006977 transcript:EOX98151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain,Phox-like,Sorting nexin, C-terminal, putative isoform 1 MKPMETIQDLIDEAKFRTVTWAMVIFAVTYFLTHTSTSMWMNLPIAILIVAAFRIISNEVEFKWKVQSVRPQTFLSYLEKKQLSLNDSRLSSSPPPPKWKRKIDSPKVETALNEFIDKILKDFVVDLWYSEITPDREAPELIRTVILDAIGEISGRVKEINLVDLLTRDIVDLIRDHLDLFRRNQAAIGVDVMVTLSSEERDERLKHHLMVSEELHPALISPESEYKVIQRLIGGVLAVVLRPREAQCPLVRTIAREIVTCLVVQPLMNLASPGYINEVIEYILLAIKDDMNKMVVGFDQSSVGVHGADSTSSKISSLNSQGTDLTLATIDNQKETYSDHSRYKEESEQLRPADWARILEAATQRRTEILAPENLDNMWTKGRNYKKKENKYVKAAVQESIPKGSVTKSAILIGNSGSEISTNKIGTSTGREEKTVMQLMPGLSLDTQLCDGNMKGTNLALEFNKSSSFEGDHLVNKFIDASEQAADGNKSRLKRSSSTSDLKVEPDTKKALTGDVGGPIISEFYSPDFGRHAEGYRGKIASNIVFRNEGPHIPMLRCRVIGAYFEKLGSKSFAVYSIAVTDAEKRTWFVKRRYRNFERLHRILKEIPNYTLHLPPKRIFSSSTEDAFVHQRCIQLDKYLQDLLSIANVAEQHEVWDFLSVSSKNYSFGKSSSVMRTLAVNVDDAMDDIVRQFRGVSDGLMRKVVGSSSPPSEASSSVTGRTLSWTADEMAKDISRQSNLETVNSASDNEDGDKDGSHDHQDDRSGPQGHGWHSDNELNSKSLPPRVIERGGVSGNLVSENHNLGVKPESVGQGGFPAIKLSATSSYLEDPVGMPPEWTPPNVSVPLLNLVDNVFQLKRRGWLRRQVFWISKQILQLVMEDAIDDWLLRQIYCLRTEETVAQGIRFCGQVVHS >EOX97827 pep chromosome:Theobroma_cacao_20110822:2:3610087:3611483:-1 gene:TCM_006758 transcript:EOX97827 gene_biotype:protein_coding transcript_biotype:protein_coding description:F10A5.20, putative MSHGMGKCQKIRHIVRIRLMLKQWRRKARITASSSNNNNGRAPSDVPAGHVAVCVGTSLRRYIVRATYLNHPIFKKLLVQTEEEYGFNNVGPLTIPCDESFFEEILRVVSRSGSSNSGRFSAFEDLQRCCHVGMKNKLGFFSESRPLLHGVADKSVYLKG >EOY01854 pep chromosome:Theobroma_cacao_20110822:2:41158743:41161262:-1 gene:TCM_011659 transcript:EOY01854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 106, putative MGRSPCCEKVGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPAKAGLQRCGKSCRLRWINYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLTKMGIDPVTHKPKTDALGSASGNPKDAANLSHMAQWESARLEAEARLVRESKLVSNPPQNQVGSSSSSAAPQSNNAVAPATRPQCLDVLKAWQGVVTGLFTFNSDNLQSPTSTLNFMENTLPISSVGFNDNFVGNSINPCEGDGIVKDSGGNDWKCLEKSNQIPELKERLDNSMGLHEMAYSSESAWFQDSYRAENMMEGYSDIMVCDSVDQQNSSMTPGENLTGTSYASSFEENKNYWNSILNLVNASPSGSPVF >EOY00704 pep chromosome:Theobroma_cacao_20110822:2:34590017:34594121:1 gene:TCM_010637 transcript:EOY00704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulase protein MGRFTSLSILPLFIVFHIIIQDAKPAMSLPLSTNSRWIVDEKGQRVKLACVNWVSHLEPMVAEGLSKLPMDVIAKRIVSTGFNCVRLTWPLFLVTNDSLASLTVRQSFQRLGLLESIAGIQTNNPSIIDVSLLKAYQAVVCSLGENNVMVILDNHISKPGWCCSNFDGNGFFGDQYFNPDIWITGLTRMATLVNAVTNVVGMSLRNELRGPKQTVNDWYRYMQKGAEAVHSANPDVLVILSGLNYDKDLSFIRNRPANLTFTGKLVFEVHWYGFTDGQTWVTGNPNQVCGRVANDMMRTSGFLVDQGYPLFVSEFGVDQRGTNVNDNRYLNCFLGVAAELDLDWALWTLVGSYYLREGVVGLNEYYGILNWNWCEIRNSSFLERISALQSPFRGPGLSETKLHKVIFHPSTGLCVLRKSLLDPLRLGPCTDSEAWSYSPQNTLVVKGTYFCLQADESGTLARLGIICSESNSKWEMISDSKMHLSSKLRNGTSICLDVDSTNTIVTNSCKCLSNDNMCDPESQWFKLVDSTRSRSGVKSFLHFDSILELPGKEFIWNLLGGSI >EOY00332 pep chromosome:Theobroma_cacao_20110822:2:31396856:31401970:1 gene:TCM_010182 transcript:EOY00332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHIRDCKGMLKEWITNEILDAVIDTEESLLEKAKKSYPTSSDRGA >EOX97638 pep chromosome:Theobroma_cacao_20110822:2:2942843:2946453:1 gene:TCM_006609 transcript:EOX97638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANQESSVMQIEEIHGIERYMFWFERLAIIPLVLIFPYSKLVLIFPYSNLPWSATVLKLPLFCAAVLLLITNVVYLTLSIERQFIRRFFFEPDIMFYIERPAIFAIILPYVLPDGPIMLALIPALIGLCVLILTNHAMIHSYLERTEEEQQSSMNSSLSSKTNTPNAKETSQNIDQEVEASFARDSITSDSDFECLPRLATENGDEKALRMNSSPIFRTNSPSVEASKNGKILKKKEAMVEYESLKEISAITRNFKQRPTGLHKWFIDGLSEWDTMLSSHIDFKKEDAGHGQKLKITEDRNNKNNSSEDSEAAEDVQDFEKIEDDHLKEEKVACNEGLKEIRKIDDDDQNEVNDKAGIANDCNGVNHSWENVFRETFFDETEPYFNQKITDEVIGNYKSDGESSWEEVHSILDGKFCAEIASLEGAEDQDATSNYDSDTRSWEEEQSNQSCASDSMSELMSDYEGKSISSSDSMPELVPDYEREEKSSDLTPALVSDYEKKSIASSDSMPELVANYEREKKDLTKKLEVATKLCKLLSENELEREWDAKLDVVSKNLEHYQVIEEERLNWNEEKEYRRTRLDAAVESLEEERVKWEDEKGELCEKLDVATQSLQYYKAIEKGFAEERQKWDEEKNALNAIIIHADENVQYCQTLVNTYAERYRLLELQVIEYHSQCQSFIDKWIADTNDIHSKLNAAIPSIESYKAWCSRSDQFYKACFEEYEEKCRKLMQENLDLSTKLSTESSFTERYIRLVYELEEKCHRLEEEKSDLTGKLSVAKAASELYRTCLHDLVS >EOX98899 pep chromosome:Theobroma_cacao_20110822:2:7700556:7701827:1 gene:TCM_007569 transcript:EOX98899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMTESPSLLFTMSSFLYIKTALPYSIQEHQSYPKRSAQPHDPNNQIATYHSYQERKKARDMVRDQRKLSRQHGLT >EOX98454 pep chromosome:Theobroma_cacao_20110822:2:5819117:5824364:-1 gene:TCM_007212 transcript:EOX98454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 2 MGIMYVASELATDITVIVGDVKFYLHKFPLLSKSSCLQKLVASSNEEKSDEVQISDIPGGPVAFEMCAKFCYAMTVTLNAYNVVAARCAAEYLGMHETIEKGNLIYKVDVFLNSSIFRSWKDSIIVLQTTKSMLPLSEELKVVSLCIDAVAIKACVDVSNVDWSYTYNRRKLPEENGNDPNYNGVRSRPVPKDWWVEDLCELEIDLYKRVIMNIKTKGILSHEVIGEALKAYSYRRLPGFSKGVIHSGDVVKYRSTVDTIVWLLPAEKGSVSCSFLLKLLKAAIIVDSGETAREQLVRSIGQQLEEASVNDLLIRAPEGEDALYDVDTVQKIVKEFLMQDQNAEIESDENEVQEIQRPGILTDASKLMVAKLIDAYLAEIAKDPNLPLSKFVNLAEMVSCISRPAHDGLYRAIDMYLKEHPGISKSERKRICKLMDCKKLSV >EOX98451 pep chromosome:Theobroma_cacao_20110822:2:5818128:5824771:-1 gene:TCM_007212 transcript:EOX98451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 2 MSPKLTGREEDLLLANMKFMKLGSKPDTFQSDGNNVRYVASELATDITVIVGDVKFYLHKFPLLSKSSCLQKLVASSNEEKSDEVQISDIPGGPVAFEMCAKFCYAMTVTLNAYNVVAARCAAEYLGMHETIEKGNLIYKVDVFLNSSIFRSWKDSIIVLQTTKSMLPLSEELKVVSLCIDAVAIKACVDVSNVDWSYTYNRRKLPEENGNDPNYNGVRSRPVPKDWWVEDLCELEIDLYKRVIMNIKTKGILSHEVIGEALKAYSYRRLPGFSKGVIHSGDVVKYRSTVDTIVWLLPAEKGSVSCSFLLKLLKAAIIVDSGETAREQLVRSIGQQLEEASVNDLLIRAPEGEDALYDVDTVQKIVKEFLMQDQNAEIESDENEVQEIQRPGILTDASKLMVAKLIDAYLAEIAKDPNLPLSKFVNLAEMVSCISRPAHDGLYRAIDMYLKEHPGISKSERKRICKLMDCKKLSVDACMHAVQNERLPLRVVVQVLFFEQVRVAASSGSSTPDLPKGLKDLNSGSHGSSRSAATNPEEDWDAVATAEELKALKGELAALRLSNGVGGSERNGVDGRNSVDKAAISKMKGLLKSKRIFTKIWSSKGAQGENSGSDSSESLGSANPEEAKSTPSRNRRHSVS >EOX98452 pep chromosome:Theobroma_cacao_20110822:2:5818391:5824667:-1 gene:TCM_007212 transcript:EOX98452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 2 MKFMKLGSKPDTFQSDGNNVRYVASELATDITVIVGDVKFYLHKFPLLSKSSCLQKLVASSNEEKSDEVQISDIPGGPVAFEMCAKFCYAMTVTLNAYNVVAARCAAEYLGMHETIEKGNLIYKVDVFLNSSIFRSWKDSIIVLQTTKSMLPLSEELKVVSLCIDAVAIKACVDVSNVDWSYTYNRRKLPEENGNDPNYNGVRSRPVPKDWWVEDLCELEIDLYKRVIMNIKTKGILSHEVIGEALKAYSYRRLPGFSKGVIHSGDVVKYRSTVDTIVWLLPAEKGSVSCSFLLKLLKAAIIVDSGETAREQLVRSIGQQLEEASVNDLLIRAPEGEDALYDVDTVQKIVKEFLMQDQNAEIESDENEVQEIQRPGILTDASKLMVAKLIDAYLAEIAKDPNLPLSKFVNLAEMVSCISRPAHDGLYRAIDMYLKEHPGISKSERKRICKLMDCKKLSVDACMHAVQNERLPLRVVVQVLFFEQVRVAASSGSSTPDLPKGLKDLNSGSHGSSRSAATNPEEDWDAVATAEELKALKGELAALRLSNGVGGSERNGVDGRNSVDKAAISKMKGLLKSKRIFTKIWSSKGAQGENSGSDSSESLGSANPEEAKSTPSRNRRHSVS >EOX98453 pep chromosome:Theobroma_cacao_20110822:2:5818182:5824654:-1 gene:TCM_007212 transcript:EOX98453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 2 MKFMKLGSKPDTFQSDGNNVRYVASELATDITVIVGDVKFYLHKFPLLSKSSCLQKLVASSNEEKSDEVQISDIPGGPVAFEMCAKFCYAMTVTLNAYNVVAARCAAEYLGMHETIEKGNLIYKVDVFLNSSIFRSWKDSIIVLQTTKSMLPLSEELKVVSLCIDAVAIKACVDVSNVDWSYTYNRRKLPEENGNDPNYNGVRSRPVPKDWWVEDLCELEIDLYKRVIMNIKTKGILSHEVIGEALKAYSYRRLPGFSKGVIHSGDVVKYRSTVDTIVWLLPAEKGSVSCSFLLKLLKAAIIVDSGETAREQLVRSIGQQLEEASVNDLLIRAPEGEDALYDVDTVQKIVKEFLMQDQNAEIESDENEVQEIQRPGILTDASKLMVAKLIDAYLAEIAKDPNLPLSKFVNLAEMVSCISRPAHDGLYRAIDMYLKEHPGISKSERKRICKLMDCKKLSVDACMHAVQNERLPLRVVVQVLFFEQVRVAASSGSSTPDLPKGLKDLNSGSHGSSRSAATNPEEDWDAVATAEELKALKGELAALRLSNGVGGSERNGVDGRNSVDKAAISKMKGLLKSKRIFTKIWSSKGAQGENSGSDSSESLGSANPEEAKSTPSRNRRHSVS >EOY02075 pep chromosome:Theobroma_cacao_20110822:2:41867351:41868339:1 gene:TCM_011812 transcript:EOY02075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLWGKTEIIGVLAGRRRQWAPRQLFWREKDASLPRSLHHLPSPRSTHLHLRTPLCLLPINPTFFQSQDACICMHANPSSCNVCDAVIIIIIIIMIH >EOY00326 pep chromosome:Theobroma_cacao_20110822:2:31327188:31332857:1 gene:TCM_010173 transcript:EOY00326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein, putative isoform 2 MAEDSRGRVTITLGRRGQVVKRAGPASDVDFSDSLPVAGSKRSVRDRLGSNVDGSLLRGNQLNNKRQRGDGHTPSLNTNGLNDVRIGKDDLRLKLMQKNVFRRAQSDDNQKVMDLREKLSRMGQPPLNSYEARQRMPDPRERMPESRETSILGRIPSTRSADDLPRMTSSRSSFSPWTLDHLRQRSPDRVMGVSRGLSPPRNAEELQRRPLNRTYDDVRAVPYMGKDVLDAPRPVSTASFVTKSTVPTTSAKPMPPGRPIPPPSSIVQKSSYSGDEQQTVEGLLHSLGLGKYVILFKAEEVDMTALKQMGENDLKELGIPMYPAANQGADDNICSMPLAHIEFYGI >EOY00327 pep chromosome:Theobroma_cacao_20110822:2:31327188:31332857:1 gene:TCM_010173 transcript:EOY00327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein, putative isoform 2 MAEDSRGRVTITLGRRGQVVKRAGPASDVDFSDSLPVAGSKRSVRDRLGSNVDGSLLRGNQLNNKRQRGDGHTPSLNTNGLNDVRIGKDDLRLKLMQKNVFRRAQSDDNQKVMDLREKLSRMGQPPLNSYEARQRMPDPRERMPESRETSILGRIPSTRSADDLPRMTSSRSSFSPWTLDHLRQRSPDRVMGVSRGLSPPRNAEELQRRPLNRTYDDVRAVPYMGKDVLDAPRPVSTASFVTKSTVPTTSAKPMPPGRPIPPPSSIVQKSSYSGDEQQTVEGLLHSLGLGKYVILFKAEEVDMTALKQMGENDLKELGIPMVLYPFFCICIVYCQNFLF >EOY00325 pep chromosome:Theobroma_cacao_20110822:2:31321606:31333080:1 gene:TCM_010173 transcript:EOY00325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein, putative isoform 2 MAEDSRGRVTITLGRRGQVVKRAGPASDVDFSDSLPVAGSKRSVRDRLGSNVDGSLLRGNQLNNKRQRGDGHTPSLNTNGLNDVRIGKDDLRLKLMQKNVFRRAQSDDNQKVMDLREKLSRMGQPPLNSYEARQRMPDPRERMPESRETSILGRIPSTRSADDLPRMTSSRSSFSPWTLDHLRQRSPDRVMGVSRGLSPPRNAEELQRRPLNRTYDDVRAVPYMGKDVLDAPRPVSTASFVTKSTVPTTSAKPMPPGRPIPPPSSIVQKSSYSGDEQQTVEGLLHSLGLGKYVILFKAEEVDMTALKQMGENDLKELGIPMGPRKKILLALLPRTKRQP >EOX99848 pep chromosome:Theobroma_cacao_20110822:2:17322592:17324494:-1 gene:TCM_008821 transcript:EOX99848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 7, chloroplastic MASVCASSAIAAVAISSPSSQKTGSVVGATKASFLSGKKLRSARKYSAAPAGARPVAVCAAADPNRPLWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPETLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYSAGTEEYFTDPTTLFIVELLFIGWAEGRRWADILKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATVFAAFTPK >EOY00639 pep chromosome:Theobroma_cacao_20110822:2:34166994:34168658:1 gene:TCM_010536 transcript:EOY00639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENCKVVVAPLACGSKFSKDDRSADLDETYYRRLIGSLLYLSASRPNVMYATSLVSRFMHKPSETHLITTKRVLRYIKGTLSYGLKFCKVEKQELQSYYDSD >EOX97496 pep chromosome:Theobroma_cacao_20110822:2:2469024:2469733:1 gene:TCM_006495 transcript:EOX97496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTTPNIQACYHARSNSLPSRSHPITSEVDEHLSRLVASKSASTSSSLNCKLGTLQDLHDCIDKLLRLPLTQQILAQEQQREYVDELLNASLRLLDVCTTSNVIHMDACMNEAR >EOX98769 pep chromosome:Theobroma_cacao_20110822:2:7112232:7118795:1 gene:TCM_007458 transcript:EOX98769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKILTSLAFFIAVLFSLCLSTNPFANTTQRMSPSHVQLAYSTPEIRENKHPSSQFSGLGSAVGIELCTACGAARLLSWCSCGCGGKGLEDPYGEELKRRTEGMAGNEEALFGFMRDLGGQWCSRRRKRRIVNASILGDALPVGWKLLLGLKRREVLVDGNFVSCKELSAYLQSYFGGLHDAHLTLDKDGDIAQQVHQMVSENGGIVQKEDDRRWSDEHEKEVNLLAPFVISSEDYKEKTDEASNAVNMDSLVDATISASMDEQNGSISETFVRKDSLTFHADELNKSCSKQQRSSESNLLLLSTGQGLCDVENNVNLVGAGAREHHKPEEVDNNENTELDIGFGNGCGPAEDVAPETIHQTSEESVLQAEGSDSSMSLLQPLNGTLASNAISDKNVVILT >EOY01428 pep chromosome:Theobroma_cacao_20110822:2:39396658:39402547:-1 gene:TCM_011317 transcript:EOY01428 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein isoform 2 MLGLWIAPPSSNLRTCFQVWLVWSHNKSKCGGVWAVYPVVFSVSYTCGVLHSILTMTLAATTISTFSLAAFQCAGTPPIILWGSYPVVGKGGLENYTFCHYCSKPKSPRAHHCRSCGTCILDMDHHCPFIGNCVGAANHRHFIAFLFSAVVSTIYVSVMSAYAGLHIWPPLKYRSPGHLNSFSSGLALRALKEVVLALLSSAVQLSARGLVLVYLFVSSVSVQIGLSVLLWQQLCYIYEGKTYLSHLSSRGSDPVEEKDCQNIFRFFGWPYSILRYLPSERNSRKRHKK >EOY01427 pep chromosome:Theobroma_cacao_20110822:2:39396499:39402705:-1 gene:TCM_011317 transcript:EOY01427 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein isoform 2 MADSGEEHFVTPVIEDHQTTCWGCGLRLLLPTYAPVFKCGWCGAITNQNVNKPETKCLRWRRLRDRCFVCTLFMFMLFVICGGVWAVYPVVFSVSYTCGVLHSILTMTLAATTISTFSLAAFQCAGTPPIILWGSYPVVGKGGLENYTFCHYCSKPKSPRAHHCRSCGTCILDMDHHCPFIGNCVGAANHRHFIAFLFSAVVSTIYVSVMSAYAGLHIWPPLKYRSPGHLNSFSSGLALRALKEVVLALLSSAVQLSARGLVLVYLFVSSVSVQIGLSVLLWQQLCYIYEGKTYLSHLSSRGSDPVEEKDCQNIFRFFGWPYSILRYLPSERNSRKRHKKTENPLHYKRMSRYFTPLGCSELENQSSQQWASSSLWCSGKQAKGMISLYRQTGLE >EOX99218 pep chromosome:Theobroma_cacao_20110822:2:9216720:9221327:-1 gene:TCM_007823 transcript:EOX99218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 methyltransferase-related, putative MFSEALMCFGASSTIVDEDNNCDTSKEESFDSVEVTEGFWIVPEWKTPPDVQAMNIILNPRLAFGTGEHSTTRLCLLLLQRLIKGEECFLDYRTGSGILSIAALKIGAFLSVGIDIEPLAITSARQNAALNNIGPENCNYAWL >EOY01465 pep chromosome:Theobroma_cacao_20110822:2:39546321:39550341:-1 gene:TCM_011340 transcript:EOY01465 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 2 MMGSSQESIQQPLLQSQTSNTKSETSSELEDTLSDARLSLFERFRKGTWIESKLLFHLAAPAVIVYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAFGAHKYDMLGIYLQRSAILLTLTGILLTIIYILSKPILLLLGESPDIASAAAIFVYGLIPQIFAYAINFPIQKFLQAQSIVAPSAYISTATLIIHLLLSWLAVYKIGLGLLGASLVLSLSWWIVVVAQFVYIVKSDKCRHTWKGFSVQAFTGLPSFFKLSAASAVMLCLETWYFQILVLLAGLLENPELALDSLSICMTISGWVFMISVGFNAAASVRVGNELGAGHPKSASFSVVIVTVFSAIISVAAAIIVLALRHVLSYAFTGGEVVAEAVSDLCPLLALTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPLGSLLGFYFKLGAKGIWSGMIGGTAMQTLILVWVTFRTDWKKEVEEARKRLVTWEDKKEPLLK >EOY01466 pep chromosome:Theobroma_cacao_20110822:2:39547315:39550341:-1 gene:TCM_011340 transcript:EOY01466 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 2 MMGSSQESIQQPLLQSQTSNTKSETSSELEDTLSDARLSLFERFRKGTWIESKLLFHLAAPAVIVYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAFGAHKYDMLGIYLQRSAILLTLTGILLTIIYILSKPILLLLGESPDIASAAAIFVYGLIPQIFAYAINFPIQKFLQAQSIVAPSAYISTATLIIHLLLSWLAVYKIGLGLLGASLVLSLSWWIVVVAQFVYIVKSDKCRHTWKGFSVQAFTGLPSFFKLSAASAVMLCLETWYFQILVLLAGLLENPELALDSLSICMTISGWVFMISVGFNAAASVRVGNELGAGHPKSASFSVVIVTVFSAIISVAAAIIVLALRHVLSYAFTGGEVVAEAVSDLCPLLALTLILNGIQPVLSGITTSKVSF >EOY01359 pep chromosome:Theobroma_cacao_20110822:2:39145298:39147257:1 gene:TCM_011273 transcript:EOY01359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein MSRPMEEETTKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >EOY00577 pep chromosome:Theobroma_cacao_20110822:2:33701606:33705885:-1 gene:TCM_010472 transcript:EOY00577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein isoform 2 MDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGINYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVEKHSRSRVEIMVKARSQFKERSTATNVEIAVPVPADASSPNVRTSMGSAAYAPESDALMWKIRSFPGGKEYMLRAEFTLPSITDEEATPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >EOY00578 pep chromosome:Theobroma_cacao_20110822:2:33702840:33705888:-1 gene:TCM_010472 transcript:EOY00578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein isoform 2 MAGAASALFLLDIKGRVLVWRDYRGDVSATQAERFFTKLIEKEGDPQSQDPVVYDNGVTYMFIQHSNVYLMTATRQNCNAASLLFFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGINYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVSLSSGSKLKLKNIQEAVLRLW >EOY00576 pep chromosome:Theobroma_cacao_20110822:2:33700992:33706018:-1 gene:TCM_010472 transcript:EOY00576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein isoform 2 MAGAASALFLLDIKGRVLVWRDYRGDVSATQAERFFTKLIEKEGDPQSQDPVVYDNGVTYMFIQHSNVYLMTATRQNCNAASLLFFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGINYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVEKHSRSRVEIMVKARSQFKERSTATNVEIAVPVPADASSPNVRTSMGSAAYAPESDALMWKIRSFPGGKEYMLRAEFTLPSITDEEATPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >EOY01121 pep chromosome:Theobroma_cacao_20110822:2:37740737:37741621:-1 gene:TCM_011065 transcript:EOY01121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKHIGKLSMLSSPSMLPILPKAQNSSKTDHVATVSTIKAFKVISNQRALQTCCDARRRAARYDDEDDDESGEEEHGYNEEIAILELYSQSVRGEAFLVHALVDEQEVEVLIFKGFSSCLSYGTSPDPSRSVIPARAVIKSIDRIKGPFDPSSMEYIEKGLEWESFKTRLAPT >EOX97821 pep chromosome:Theobroma_cacao_20110822:2:3589216:3589655:1 gene:TCM_006754 transcript:EOX97821 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein MAIRLPGAAKHILRRSALTANKRCSTSLDVPKGFFPVYVGESQRKRFLVPLSFLNQPLFQDLLSKAEEEFGYDHPMGAVTIPCREDAFLDVTSRLN >EOX97349 pep chromosome:Theobroma_cacao_20110822:2:2051366:2052482:1 gene:TCM_006401 transcript:EOX97349 gene_biotype:protein_coding transcript_biotype:protein_coding description:GNS1/SUR4 membrane protein family MDQVFSTLQYWLVDHPKILQFRWTEGQTLGSTPLFLTLTVLTYFSLTFLLSRTPLPSLGAHILKPITALHSLTLLLVSLIMALGCSLSIISHPSLLHHIICFPPNTLPAGPLFFWANIFYLSKILEFVDTLLIILSKSKQRLTFLHVYHHGTVVVMCYLWLHTCQSLFPVALVTNATVHVVMYFYYLLCAMGMRPKWKRLVTDCQIVQFVFSFLVSVLMLYYHFTGSGCSGIWGWSFNAVFNASLLALFVDFHGKSYAKRQIGDKDKCS >EOX99092 pep chromosome:Theobroma_cacao_20110822:2:8464794:8470009:-1 gene:TCM_007708 transcript:EOX99092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pol polyprotein, putative MPEALNEDMEFLSSAIELGDEKGLNENYFDITWLSDEGEVAGGSSKTVGGPFKAVEGPSKVVRGQFKAADGLSEVTRAQSEVVGNDGGLAVGPSRAKHHSDYIDSNDTRSYISTSFRFEADVAQRKNDKVRVIAKCDRIGYEWEIVASKHRNDNSFKVKTYLGTHNCLLIIKNKKVIARVLARKLKDDIIKMSFLRARQIRVLVRKQLGVAIGLTKAKRVKLRMIKEVRDNYVEEFRRLKQYADELLETNEGSTIKIEVDRSVPNEGLVKEQLLVAVGQDGNNQMFPFAWAVVNGVKNKDHWKCFLELLCADMGIEDGMGWTIISDMQKLEFKKQIELLCSMNKAAYNHLLKNWDAVHWCQAFFSNFSNCNVIDNNIASEVATGAPSEAANGASQLPSSASEGVARSTTSTAIKKRKKMRVTKRNQSVAATTKGFISEYVVVLRRKLKHASPKGKGTAAYRLQTQFTSAPTAPPQQISIINARRPNQLVVATGHGDSRSFISSRLLLEIAHQEQPSRSNLVADLPTQESIKNA >EOX99157 pep chromosome:Theobroma_cacao_20110822:2:8764533:8768119:-1 gene:TCM_007753 transcript:EOX99157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 10, putative MQPIVTVTLLLLLFFPLLSSIYASVADQGRFFFCDSAGNYTTRSIYGQNVNTTLSSLAANASLNGFYTTTVGQDLDKVYGLVQCRGDVSKEDCQTCVDTAAKEISQFCPNKTEAMIGYNNCSLRYSDWRFFSTASNSPMFPIYSTRNATDPDLFDRQLADLFRNLSSTAASTTSKFAVGSTSYSDFSDIYGMVQCTRDLAENSCSSCLQAIISYIPQCCNQRQGARIFTMSCNLRFELYTFFLAPSPPTSQILPPPPPPIEPKPIPGSTTNTTNSQGMKSSSKTMVVVAVTSVASVALLVILIVCGCFFWRKAQKRAADGHDDYETNMESLLIGLDTLKDATRNFSDEYKLGQGGFGPVYKGTLVDGREIAVKRLSSNSRQGLEELKTEVMLVAKLLHRNLVKLLGFCLEEEEKLLVYEYLPNGSLDKTLFDQSKRIIHRDLKASNILLDRHMNPKISDFGLAKLFGESQTQGNTNRIAGTYGYMAPEYAKNGHFSTKSDVYSFGVLVLEIVTGRKNSTFRSSINLQSQAWLHWTNGTALELLDPILSDQWPKFEVMKCIHIGLLCVQEAAADRPTMSEIVMMLSSYSITAPAPSRPAFFVSKENLTTDSAVHSDPSQSGDSNPDLRQRSVNEVTISELHPR >EOY00048 pep chromosome:Theobroma_cacao_20110822:2:23704165:23713028:-1 gene:TCM_009420 transcript:EOY00048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator protein 2 isoform 3 MSVNGKAEVKRLFIGAGCNRIVNNVSWGACGLVSFGAQHAVAIFSPKSAQILTTLPGHKATVNCTHWLPSTKFAFKAKHLQQHYLLSGDADGVIILWELSLADNKWRHVLQLPRSHKKGITCINGFMVSPSDAIFATSSSDGTVCIWDAVFPFSSSGGDCKLSCLETLIVGSRPMVTLSLAQLPGNTGHIVLAMGGLDNKIYLYCGERTGKFVHACELKGHTDWIRSLDFSLPVSSGEADSVLLVSSSQDKGIRIWKLTLRGSLANTEGTYRRSEISLASYIEGPVFVAGSFSYQISLESLLIGHEDWVYSVQWQPPSMAAEEGFGFYQPQSVLSASMDKTMMIWQPERKTGIWMNVVTVGELSHCALGFYGGHWSSDADSILAHGYGGSFHMWRNVGCSTDNWQPQKVPSGHFAAVADIAWARHGEYMLSVSHDQTTRIFAPWHNQEPHSDGGFWNEIARPQVHGHDINCAAIIQGKGNHCFVSGAEEKVARVFEAPLSFLKTLHHAISEQSSFPEDLQADVQVLGANMSALGLSQKPIYVNATHEISDNVGNDGLDTLESVPDAVPVVLTEPPIEDQLAWHTLWPESHKLYGHGNELFSVCCDHEGKLVASSCKAQSATVAEIWLWQVGSWKAVGSLQSHSLTVTQMEFSHDDSLLLTVSRDRQFSIFTINRTGTGEIDYKLLATQEAHKRIIWACSWNPFGHEFATGSRDKTVKIWAVEKASSVKQLLTLPPFNSSVTALSWVGLDRQRNHGLLAVGMESGLLELWSLHVGRTDGSTPVPAVTAALTVRLDPYMCHVSSVNRLAWKNRDNTENCTSLQLASCGADHFVRLYEVIVD >EOY00049 pep chromosome:Theobroma_cacao_20110822:2:23704948:23712836:-1 gene:TCM_009420 transcript:EOY00049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator protein 2 isoform 3 MSVNGKAEVKRLFIGAGCNRIVNNVSWGACGLVSFGAQHAVAIFSPKSAQILTTLPGHKATVNCTHWLPSTKFAFKAKHLQQHYLLSGDADGVIILWELSLADNKWRHVLQLPRSHKKGITCINGFMVSPSDAIFATSSSDGTVCIWDAVFPFSSSGGDCKLSCLETLIVGSRPMVTLSLAQLPGNTGHIVLAMGGLDNKIYLYCGERTGKFVHACELKGHTDWIRSLDFSLPVSSGEADSVLLVSSSQDKGIRIWKLTLRGSLANTEGTYRRSEISLASYIEGPVFVAGSFSYQISLESLLIGHEDWVYSVQWQPPSMAAEEGFGFYQPQSVLSASMDKTMMIWQPERKTGIWMNVVTVGELSHCALGFYGGHWSSDADSILAHGYGGSFHMWRNVGCSTDNWQPQKVPSGHFAAVADIAWARHGEYMLSVSHDQTTRIFAPWHNQEPHSDGGFWNEIARPQVHGHDINCAAIIQGKGNHCFVSGAEEKVARVFEAPLSFLKTLHHAISEQSSFPEDLQADVQVLGANMSALGLSQKPIYVNATHEISDNVGNDGLDTLESVPDAVPVVLTEPPIEDQLAWHTLWPESHKLYGHGNELFSVCCDHEGKLVASSCPVSNSSGNMVMASRFMESCW >EOY00050 pep chromosome:Theobroma_cacao_20110822:2:23706747:23712802:-1 gene:TCM_009420 transcript:EOY00050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator protein 2 isoform 3 MSVNGKAEVKRLFIGAGCNRIVNNVSWGACGLVSFGAQHAVAIFSPKSAQILTTLPGHKATVNCTHWLPSTKFAFKAKHLQQHYLLSGDADGVIILWELSLADNKWRHVLQLPRSHKKGITCINGFMVSPSDAIFATSSSDGTVCIWDAVFPFSSSGGDCKLSCLETLIVGSRPMVTLSLAQLPGNTGHIVLAMGGLDNKIYLYCGERTGKFVHACELKGHTDWIRSLDFSLPVSSGEADSVLLVSSSQDKGIRIWKLTLRGSLANTEGTYRRSEISLASYIEGPVFVAGSFSYQISLESLLIGHEDWVYSVQWQPPSMAAEEGFGFYQPQSVLSASMDKTMMIWQPERKTGIWMNVVTVGELSHCALGFYGGHWSSDADSILAHGYGGSFHMWRNVGCSTDNWQPQKVPSGHFAAVADIAWARHGEYMLSVSHDQTTRIFAPWHNQEPHSDGGFWNEIARPQVHGHDINCAAIIQGKGNHCFVSGAEEKVARVFEAPLSFLKTLHHAISEQSSFPEDLQADVQVLGANMSALGLSQKPIYVNATHEISDNVGNDGLDTLESVPDAVPVVLTEPPIEDQLAWHTLWPESHKLYGHGNELFSVCCDHEGKLVASSCKVHAVFFTVWLTRSLIPMNL >EOX97111 pep chromosome:Theobroma_cacao_20110822:2:1229891:1231269:1 gene:TCM_006212 transcript:EOX97111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPQAKKMFSKSLTDTDINKRLAIPAKILPSLPDFNGSHAVTIHLMYGTKTWPIICSIRKTGYKKPVFSGGWRNFVIFNDFHVGEVLTMYKVQDEEGSFHYKVEVEKLATPSVALSTRAVSLNPEVDETTGTSHPKINNFQHDQEQLPKADAPVIQEGATMELADAAANAPVPFVDHVIAKPSGMIFGTAVSDEATSKACVKPEHETEMKFFGITRGIGMGEPPLIKAQCDLNESVSTERLNLDLVLGQPNLTKEERDTKAPFDLNGGGSLAVFGTNQATEEAYSESTGRLNLDLVLGQPNPYNRAVNIDLTLAQPLADNRSTVFAHSKP >EOY00779 pep chromosome:Theobroma_cacao_20110822:2:35037762:35039831:1 gene:TCM_010696 transcript:EOY00779 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MLQKQPNKSSFKESLKALEADIQHANSLAAALPRDYGGNCYQMRLSYSPFAPFILFLIEWMDYSCTNSLPSYLGLFHILVFKAYVDGIPALSSKERKATQREFYAVIYPLLRQLGDEFIKLEDNKKNGCTEVLSRKRVEDRKRVSNKDLERDDECGICMENCTKMVLPNCGHALCISCFHDWNVRSQSCPFCRGSLKRVSSKDLWVLTSGNDIIDMVTLAKENLRRLYLYMEKLPFVMPETHHYVSDYMI >EOX96907 pep chromosome:Theobroma_cacao_20110822:2:488650:492388:-1 gene:TCM_006050 transcript:EOX96907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIIFHLQLQPDPWVVPGLLLLHPQMRHSLLTRLLLQKTSRTFHGNAKSWGPEHLNRGDPHEVSKWRALLKKELAIKVPDQRKTACIYRVPVNMRVVQPEAYAPSIISIGPYHHGEGRLREMEELKWEFFHRLFRPKRPNGVELDKVMNSVQELEQVARGCYWDKAEQHSKDKFVKMMLVDGCFIVELFRELKQNNFRHARPVKRWMLPTLRRDLIMLENQLPLFVLQTLFDLTRRSGESTTSLGELALQAEETGKAAKSTTEEGINGEETGKTIDYRKAAENTAEEGIKTSKTRDSSNTIMNTAEEGISGAETDMMRSMTELMEAGVIIEKGVNCPPLDVRSEGRLLKIPPLYIDDYKGTLFRNLVAYEQCHPQCKPDVTSYLFFFDGLINSAHDVELLHHKGVLHHSLGNNKEVARLVNGLCKEIARDAWESYLHEVVSDVNSYYDTVYARIRARLVHHYFSSWVVGISTFGAIIVLYLTLIQTGYGYVDDPQRLEKPFHHYLVDCLILPVPVDCVISFTISAALGVSSVALEGDARDKVVVIGDGIDATKLTRCLRKNGHATIESMEEVKEKVEEEE >EOX99805 pep chromosome:Theobroma_cacao_20110822:2:16582592:16750311:-1 gene:TCM_008749 transcript:EOX99805 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MEKICKALGCSSVRSIELAAFQLEDVAQEWYSSLCRGRPTNATPLAWSEFSAAFLYRFLPLSMRNARAREFETLVQTLSMTVSEYDIKFTQLARYVPYLVSTKEMKIQRFVDGLVEPLFRAVASRDFTTYSAAVDRAQRIEMRTSESRAARDRAKRGKTEGYQGRRDFSSGGSSSNRQGPQRDSRLPQQGSDAPGANIRVGQRTFSSRR >EOY02080 pep chromosome:Theobroma_cacao_20110822:2:41892324:41893583:1 gene:TCM_011818 transcript:EOY02080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIINLVFIKRGLISGQSECNQSSFSCLAPWIASRGIIGPKIRARAASWYVGLEVKENGQIEILGSFTLA >EOX97748 pep chromosome:Theobroma_cacao_20110822:2:3302895:3305447:-1 gene:TCM_006684 transcript:EOX97748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein MDLAHKLLLTALLIVAAALDGTQAAAMVSGTVFCDQCKDGQRSLFDYPLNGMKVTITCADGNGQVTMSREETTNLFGSYVMRFDGTPDLSNCYAQVSGSGQGSNGCGATAGPSQKLRLMFRMFGMEIYGVDSLLSQPTVPMSFCPRSANPVPAPRITPTRPSGPTAPAGSPPTFRLPPLPLLPPLPPLPPMPPLPFSQASACSHQYWTMPEYKCYWRVLNPESKVALIFGPLAARRYGTDMTLWESLQGRGDPYKTLLREGTTALLNSYNSLQFPYNSVAVVTRMNWALMGSTRGALITALRFIRANAGSGNVTCKLTPCK >EOY01007 pep chromosome:Theobroma_cacao_20110822:2:36550983:36560477:-1 gene:TCM_010914 transcript:EOY01007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTEAKTKNIRDCKGMSREWITNEIWDALIDMVWGTEEWQNKSRKARQNHLTSKEGSIPKHIDSSVPFVVHAKRMEMYNSVLSQKYGKYSSSQLE >EOX98628 pep chromosome:Theobroma_cacao_20110822:2:6528541:6531420:1 gene:TCM_007343 transcript:EOX98628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase, putative MGYQSSCCFFLFVSSIFLSFSFTSCLEFSYPPSSFDPNDASIIVNDATRGDSELGGRVIRLIDPDPVKGTAQNSVGRVHYAKPFQLWDPRTNFVTDFTTSFDFAISLPKQQYNSSIASGGLAFFITSEKSLVAPINSSGGWLGLFNETINGSSSNQMVAVEFDTFKNEWDPSSIHIGLDVNSIVSVNSTIWNEGIFSNSTYHHRATLSYNGTSKAFRVAVKLADIVGESETLSLTYNVDLSKVLPPKVYVGFSASSGQAAPTQLIISWNFTSPVIPNDEGLEMWKVGLIIAVVVFFVGMGLIVGTFWRNSRRKKPEEVDDDSMDMDFEKGTGPKRFTFKALVTATGNFSEEGKLGQGGFGGVYRGYLADQNIEIAVKKVASNSNQGKKEYVSEVKTISHLRHRNLVQLIGWSHENGNFLLVYEYMPNGSLDSHLYGKSNRLTWPIRYNVVKGLASALLYLHEEWEQCVIHRDVKPSNVMLDKNFNAKLGDFGLAKFLEHGLGSQTTVLAGTMGYLAPEYVIRCKASKESDVFSFGVVALEVACGRRAVEHKEQEAKVVLSTWIWQLHVNGKLLEAVDETLNGEFDEDEMRCLLSVGLWCTHPDYKLRPSIRQAIQLLNFEIPLPTLPSQMPEVLCFPPVPSYRFNYTSSSEATCQTTTSATTTSSNQSTSFECFHPTSSGDEVSALIHSS >EOX97565 pep chromosome:Theobroma_cacao_20110822:2:2697930:2699950:-1 gene:TCM_006551 transcript:EOX97565 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MDEGESRESIVMFPFMAHGHLNPFMSLARRLGQRKGYAVTIVNTPLNIQKLKSSLPCKTNIHLAEIPFNGTEYGLPPKAENTDTLSYEFIGRLMEASEKLESPFKNLIINIAKKEGNFPLCIISDMFLAWTVKVANELGIFHSVFIAGAAYSMGIYFSLSLNTNQRGTEEEFALLDFPEAAKLHQSQLGTDLKYTDAIASLFRFRERQFLLCLRSDAILLNSIEELEQIGAKYFRRKTGGKPVWMVGPACSIAKEDESTQQGTLTKRLSSNFNDLSSWLDAHPPRSVLYVSFGSHNTILASQMKELAMGLEASGVAFIWVIRPPLGFNVTEEPKADEWLPDGFEERIKKSNQGILVRQWAPQAEILSHKSTGAFLSHCGWNSVLESLCHGVPIIGWPLAGEQFFNSQLLEKEVGVCLEIARGIKTAVVEQGHIAGTINLVIGKTVKGEQMRRSVREIQQKMEDAILERGDFKGSSVKAMDEFLLRAAIWTKKKHSVDSEQVSNGTEYYFHFTKATS >EOX97432 pep chromosome:Theobroma_cacao_20110822:2:2251563:2254637:-1 gene:TCM_006446 transcript:EOX97432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin 7 isoform 1 MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSAIEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >EOX97433 pep chromosome:Theobroma_cacao_20110822:2:2252275:2254882:-1 gene:TCM_006446 transcript:EOX97433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin 7 isoform 1 MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSAIEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITA >EOY00902 pep chromosome:Theobroma_cacao_20110822:2:35812830:35815319:1 gene:TCM_010817 transcript:EOY00902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein MIRYLAEIAKNNMKSSGEDLLSAVPLMKLLSLTVIGLVLAHPKTQIIPRATFKLLSKLVFALFLPCLIFTQLGESITLDSIAQWWFIPVNVLISTFIGCLLGFLVVIICRPPPQFKRFTIIMTAFGNTGNLLIAIVGSVCHTSNSPFGRHCHSRGVSYVSFAQWVAVILVYTLVYHMMEPPLEFYEVVEEGTEIEEQRPLNDISRPLLVEAEWPGIEETETEHSKTPFIARVFNSISSRSLTTFPDLDTNVETSGSSPMSIRCLAEPRVVRKIRIVAEQTPIQHILQPPTIASLLAIIVGMVPQLKAFVFGNDAPLSFLTDSLEILAGAMVPSVMLILGGMLAEGPNESKLGLRTTVGIMVARLLVLPLLGIGVVTLASKMNFLVPGDAMYRFVLLLQYTTPSAILLGAIASLRNYAVSEASALLFWQHVFALFSLSLYIVVYFKLLPNM >EOX97544 pep chromosome:Theobroma_cacao_20110822:2:2622040:2623294:-1 gene:TCM_006535 transcript:EOX97544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGWSQLPPELLTLIAKRLEIRFDVLRFRSVCSSWRSSFPPKVYRLPKYLPPQNKTRYQCSLGGITRDTFVLVRLPGSHDHQAAPGCWLVKIRDGTVCVRMQLLQPLSDSKLKYLPPVPASFPKSLNTVNTQKTVAIIWPSTNSDDFMMLAEFHVLAVLRSGEMEWTLLQMGSSVEDMISFNGKSYAIELD >EOX97458 pep chromosome:Theobroma_cacao_20110822:2:2330813:2341419:-1 gene:TCM_006461 transcript:EOX97458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMMVHPPWEELPHDIWGLIFQRLPLVDRVHSSVVCKQWSSALKRTPRPARNLLHLDDDSKDWDHMIITYCDLSEGTIGNLNLCEPIPNGDATGASKDWLVEPTKGTTSNNLQTFLLHPISGVQIPLAPLSTIRSASGDSKPQSPYDRVATFQVFKVEASDALCLRKLSNQTLFIDGADAEGLGLLLAPFPPPLLPRNMQKMDMVHPPWAELPLDIWGLIFQRLPLVDRVHASIVCKQWSSALKQTPRPTWILLHQLDDYTKDCDHMVISYFDLGDGAIGNMNLPESTPEGATTGASKGWLAVHMVGTTTNNLRTFLLDPISGVQLPLPPMSTTRSASEDTNIVFPNIEISSQDASKSVVAAIFGDEKILALCRPKDKRWTLFEGLDIADDYRYVSISFCDGKLYALITTETDMISLQIQTHSIKLTDGHHVLLKLIPFPMFKINSLIFFEYPVGEAGLSDENWMVIPYLVDSNGELLLVLKILDDKGDHNDELQTPYYRVATFEVFKVEASDTLCLTRLSNLDDQTLLVDGVNAISFTEVTELCFWKDSSSFSKSFIKRNMRKGDMVHPPWEELPHDIWGFIFQRLPLVDRVHASVVCKQWSSALKQTPRPAWILLHLDDDTQYCHHMIMSYFDFGEGAIKYLNLPESIPKGAATGASRGWLRVRTEDTTTDNPQIFLLDPISGVQLPLPPMSTIRSASEDTNIIFPKIEISSQDASQSVVAAVFDDGKSLALCNPKDKRWTVFEGLDIADNYSYTCITFCNGILYALIIIENDATILQTRTHSIKLAGGDRHVILKLIPFSKSEISSPIFLECPLGKLDSFGNNWAVIPYLVDSNNELLLVVKILDDKVDEGDQEDEPQIPYYRVATFRAFKVEASDTVCLKRLSSLENQTLFIDGMDIVSLPCESFSKHCIYFLEESSVYADESRKATTYPQSGVFYLNDGRIEHSFPCGDITRRHSSPFSQVIHKRNMRKGDMVHPQWEELPQGILWGLIFQRLPLVDRVHCSVVCKRWSSALKQTPRPAWILVNHTIFYFDMGEGAIGKMNLPEFAPKRIIMGASRGWLALHTTKDLQFFLFDPISMVRIPLPPLSTLRSASEETIGLVKIEISSKDASQAVVAASFEGGKILALCRPEDKSWTIFEGLGVDLCIKISFCKGVLYAIIIPESNEATLQVQTHFMKLTGDHDVLLKLIPFSMLEISYPIFLEDPLGEVVDPLMIPYLVESNGELLLLLKILVNDHQHNQPQISYNRLVTFQVFKVEASDTLCLTRLSNLDDQTLFLDGMDAESLSEEKFDKNCICFFEDSFVYTGEGWKPITSPGFGVFHLNDGRIEGPLPCLDIVKGRNCSWFFPNIKIGGFN >EOX97743 pep chromosome:Theobroma_cacao_20110822:2:3272534:3275727:-1 gene:TCM_006679 transcript:EOX97743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein MDRSLILAWILVLCTATAPSCCRGYYSDSVPYVPPVERVTHLHFFLHDTMSGKNPSAVMVARPNMTTAFNNTPTPFGSVFVTDDPMTVGPHLTSEVIGNAQGLWASTGQDVPSLTVYMDFGFTQGKFNGSSISVFSRNPITQTKRELAVVGGRGKFRMATGFAELKTYFVNFTSGDAIVEYKVTVIHH >EOX99980 pep chromosome:Theobroma_cacao_20110822:2:20574202:20576604:1 gene:TCM_009154 transcript:EOX99980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDCKKFIQLVEEKKKRALEKKEALLKWEQKLEVAAKAKADAEAKKERSKSVKHKRSVSESDTDPESHSSYGRRKRRRRTHKKHRKHSHYDSGECEKKEDKKSNRKLKRRSSSGSSDDSSDECDSGYEEARRKMRSQRRWKCHDSRSDLESFDSSNDDNDDIVKRSHVKHHICRRGSEANDSYTSSGADDNRIWKRSHATRHKCHQPSESSASESSSDEVDGAPRRKSYAKCHKRRHSVDRRSLDSDDERGYRRSRSSGKSSDDGHESRHKKSGHHP >EOX99977 pep chromosome:Theobroma_cacao_20110822:2:20574189:20576604:1 gene:TCM_009154 transcript:EOX99977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDCKKFIQLVEEKKKRALEKKEALLKWEQKLEVAAKAKADAEAKKERSKSVKHKRSVSESDTDPESHSSYGRRKRRRRTHKKHRKHSHYDSGECEKKEDKKSNRKLKRRSSSGSSDDSSDECDSGYEEARRKMRSQRRWKCHDSRSDLESFDSSNDDNDDIVKRSHVKHHICRRGSEANDSYTSSGADDNRIWKRSHATRHKCHQPSESSASESSSDEVDGAPRRKSYAKCHKRRHSVDRRSLDSDDERGYRRSRSSGKSSDDGHESRHKKSGHHP >EOX99979 pep chromosome:Theobroma_cacao_20110822:2:20574191:20576426:1 gene:TCM_009154 transcript:EOX99979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDCKKFIQLVEEKKKRALEKKEALLKWEQKLEVAAKAKADAEAKKERSKSVKHKRSVSESDTDPESHSSYGRRKRRRRTHKKHRKHSHYDSGECEKKEDKKSNRKLKRRSSSGSSDDSSDECDSGYEEARRKMRSQRRWKCHDSRSDLESFDSSNDDNDDIVKRSHVKHHICRRGSEANDSYTSSGADDNRIWKRSHATRHKCHQPSESSASESSSDEVDGAPRRKSYAKCHKRRHSVDRRSLDSDDERGYRRSRSSGKSSDDGHESRHKKSGHHP >EOX99978 pep chromosome:Theobroma_cacao_20110822:2:20574165:20576426:1 gene:TCM_009154 transcript:EOX99978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDCKKFIQLVEEKKKRALEKKEALLKWEQKLEVAAKAKADAEAKKERSKSVKHKRSVSESDTDPESHSSYGRRKRRRRTHKKHRKHSHYDSGECEKKEDKKSNRKLKRRSSSGSSDDSSDECDSGYEEARRKMRSQRRWKCHDSRSDLESFDSSNDDNDDIVKRSHVKHHICRRGSEANDSYTSSGADDNRIWKRSHATRHKCHQPSESSASESSSDEVDGAPRRKSYAKCHKRRHSVDRRSLDSDDERGYRRSRSSGKSSDDGHESRHKKSGHHP >EOX99983 pep chromosome:Theobroma_cacao_20110822:2:20574573:20576612:1 gene:TCM_009154 transcript:EOX99983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDCKKFIQLVEEKKKRALEKKEALLKWEQKLEVAAKAKADAEAKKERSKSVKHKRSVSESDTDPESHSSYGRRKRRRRTHKKHRKHSHYDSGECEKKEDKKSNRKLKRRSSSGSSDDSSDECDSGYEEARRKMRSQRRWKCHDSRSDLESFDSSNDDNDDIVKRSHVKHHICRRGSEANDSYTSSGADDNRIWKRSHATRHKCHQPSESSASESSSDEVDGAPRRKSYAKCHKRRHSVDRRSLDSDDERGYRRSRSSGKSSDDGHESRHKKSGHHP >EOX99984 pep chromosome:Theobroma_cacao_20110822:2:20574255:20576612:1 gene:TCM_009154 transcript:EOX99984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDCKKFIQLVEEKKKRALEKKEALLKWEQKLEVAAKAKADAEAKKERSKSVKHKRSVSESDTDPESHSSYGRRKRRRRTHKKHRKHSHYDSGECEKKEDKKSNRKLKRRSSSGSSDDSSDECDSGYEEARRKMRSQRRWKCHDSRSDLESFDSSNDDNDDIVKRSHVKHHICRRGSEANDSYTSSGADDNRIWKRSHATRHKCHQPSESSASESSSDEVDGAPRRKSYAKCHKRRHSVDRRSLDSDDERGYRRSRSSGKSSDDGHESRHKKSGHHP >EOX99981 pep chromosome:Theobroma_cacao_20110822:2:20574191:20576426:1 gene:TCM_009154 transcript:EOX99981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDCKKFIQLVEEKKKRALEKKEALLKWEQKLEVAAKAKADAEAKKERSKSVKHKRSVSESDTDPESHSSYGRRKRRRRTHKKHRKHSHYDSGECEKKEDKKSNRKLKRRSSSGSSDDSSDECDSGYEEARRKMRSQRRWKCHDSRSDLESFDSSNDDNDDIVKRSHVKHHICRRGSEANDSYTSSGADDNRIWKRSHATRHKCHQPSESSASESSSDEVDGAPRRKSYAKCHKRRHSVDRRSLDSDDERGYRRSRSSGKSSDDGHESRHKKSGHHP >EOX99982 pep chromosome:Theobroma_cacao_20110822:2:20574230:20576426:1 gene:TCM_009154 transcript:EOX99982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDCKKFIQLVEEKKKRALEKKEALLKWEQKLEVAAKAKADAEAKKERSKSVKHKRSVSESDTDPESHSSYGRRKRRRRTHKKHRKHSHYDSGECEKKEDKKSNRKLKRRSSSGSSDDSSDECDSGYEEARRKMRSQRRWKCHDSRSDLESFDSSNDDNDDIVKRSHVKHHICRRGSEANDSYTSSGADDNRIWKRSHATRHKCHQPSESSASESSSDEVDGAPRRKSYAKCHKRRHSVDRRSLDSDDERGYRRSRSSGKSSDDGHESRHKKSGHHP >EOX98902 pep chromosome:Theobroma_cacao_20110822:2:7714350:7719955:1 gene:TCM_007570 transcript:EOX98902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein beta isoform 3 MAKLSCDFRFLLIPAALVFIYIQMRLFATQSEYADRIAEALDAEHHCTSQIRLLIDQISMQQEQIVALEEGKKRKEQECAQLKALVQDLEKKGLQRIIDKAQVPVAAVVIMACNRADYLERTIASILKYQSSVASKYPLFVSQQDGSDPNVRSKALSYNQLTYMQHLDFDPVHTERPGELIAYYKIARHYKWALDELFYKHNFDRVIILEDDMEIAPDFFDYFEAAAALLEKDKSIMAVSSWNDNGQKQFVHDPYALYRSDFFPGLGWMLTISTWNELSPKWPKAYWDDWLRLKENHKGRQFLHPEVCRTYNFGEHGSSMGQFFQQYLEPIKMNDVKVDWKSQDLSYLMEDKYIKYFADMVKKAKPVPGTDAVLMASNIKGDVRIHYKDQSDFERIAHQFGVFKEWKDGVPRTAYKGVVVFRYQPPRRVFLVGPDSLRQLGIENA >EOX98901 pep chromosome:Theobroma_cacao_20110822:2:7714350:7719955:1 gene:TCM_007570 transcript:EOX98901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein beta isoform 3 MAKLSCDFRFLLIPAALVFIYIQMRLFATQSEYADRIAEALDAEHHCTSQIRLLIDQISMQQEQIVALEEGKKRKEQECAQLKALVQDLEKKGLQRIIDKAQVPVAAVVIMACNRADYLERTIASILKYQSSVASKYPLFVSQDGSDPNVRSKALSYNQLTYMQHLDFDPVHTERPGELIAYYKIARHYKWALDELFYKHNFDRVIILEDDMEIAPDFFDYFEAAAALLEKDKSIMAVSSWNDNGQKQFVHDPYALYRSDFFPGLGWMLTISTWNELSPKWPKAYWDDWLRLKENHKGRQFLHPEVCRTYNFGEHGSSMGQFFQQYLEPIKMNDVKVDWKSQDLSYLMEDKYIKYFADMVKKAKPVPGTDAVLMASNIKGDVRIHYKDQSDFERIAHQFGVFKEWKDGVPRTAYKGVVVFRYQPPRRVFLVGPDSLRQLGIENA >EOX98900 pep chromosome:Theobroma_cacao_20110822:2:7714262:7719938:1 gene:TCM_007570 transcript:EOX98900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein beta isoform 3 MAKLSCDFRFLLIPAALVFIYIQMRLFATQSEYADRIAEALDAEHHCTSQIRLLIDQISMQQEQIVALEEGKKRKEQECAQLKALVQDLEKKGLQRIIDKAQVPVAAVVIMACNRADYLERTIASILKYQSSVASKYPLFVSQDGSDPNVRSKALSYNQLTYMQHLDFDPVHTERPGELIAYYKIARHYKWALDELFYKHNFDRVIILEDDMEIAPDFFDYFEAAAALLEKDKSIMAVSSWNDNGQKQFVHDPYALYRSDFFPGLGWMLTISTWNELSPKWPKAYPFWLKNHKGRQFLHPEVCRTYNFGEHGSSMGQFFQQYLEPIKMNDVKVDWKSQDLSYLMEDKYIKYFADMVKKAKPVPGTDAVLMASNIKGDVRIHYKDQSDFERIAHQFGVFKEWKDGVPRTAYKGVVVFRYQPPRRVFLVGPDSLRQLGIENA >EOX98687 pep chromosome:Theobroma_cacao_20110822:2:6714304:6716501:-1 gene:TCM_007384 transcript:EOX98687 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein isoform 2 MGSIQNTVHYCCLSKGNRTLYEYSGGDHEIENLAALCLERTPPFHKWYFESRGKKIFGFLIEDGYVYFTIVDEGLESHGLLQFLEHMRDEFKNVARKGSRGSFSGMSSIGIQEQLVPVIRRLITSLEHVSHSGNDWKAETPSSDHAGLSPSPSNANAQLEAASSTKAPLLGKSSRQEKKKLKDHVVAVRDIELEEHRKSTDRGVKVNSTALDSNNQNGASSSISLQKDLGSMRIRSGSQNIRKKWWRQVRIVLAIDAAVCLVLFVIWLSICKGIHCTR >EOX98686 pep chromosome:Theobroma_cacao_20110822:2:6714304:6716501:-1 gene:TCM_007384 transcript:EOX98686 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein isoform 2 MGSIQNTVHYCCLSKGNRTLYEYSGGDHEIENLAALCLERTPPFHKWYFESRGKKIFGFLIEDGYVYFTIVDEGLESHGLLQFLEHMRDEFKNVARKGSRGSFSGMSSIGIQEQLVPVIRRLITSLEHVSHSGNDWKAETPSSDHAGLSPSPSNANAQLEAASSTKAPLLGKSSRQEKKKLKDHVVAVRDIELEEHRKSTDRGVKVNSTALDSNNQNGASSSISLQKDLGSMRIRSGSQNIRKKWWRQVRIVLAIDAAVCLVLFVIWLSICKGIHCTR >EOX98685 pep chromosome:Theobroma_cacao_20110822:2:6713746:6716534:-1 gene:TCM_007384 transcript:EOX98685 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein isoform 2 MRDFILDFEYFAEGLCWYFLDALDIAAEELILFFQILCILRLAPMGSIQNTVHYCCLSKGNRTLYEYSGGDHEIENLAALCLERTPPFHKWYFESRGKKIFGFLIEDGYVYFTIVDEGLESHGLLQFLEHMRDEFKNVARKGSRGSFSGMSSIGIQEQLVPVIRRLITSLEHVSHSGNDWKAETPSSDHAGLSPSPSNANAQLEAASSTKAPLLGKSSRQEKKKLKDHVVAVRDIELEEHRKSTDRGVKVNSTALDSNNQNGASSSISLQKDLGSMRIRSGSQNIRKKWWRQVRIVLAIDAAVCLVLFVIWLSICKGIHCTR >EOX97173 pep chromosome:Theobroma_cacao_20110822:2:1474080:1474672:-1 gene:TCM_006264 transcript:EOX97173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARIMERYLTRQDKDEGLKISNGAHLLPTVNTNLRVMDGNSEEVLVFEYQVSGRETPVIRGKKWKKFIGRYSTGVTVTLYTYQGSDADYQILVR >EOY01818 pep chromosome:Theobroma_cacao_20110822:2:41017356:41023450:1 gene:TCM_011626 transcript:EOY01818 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein isoform 2 MRKHGWQLPYHPLQVVAVAVFLALGFAFYVFFAPFVGKKMFQYIVMGIYTPLIMCCFGLYIWCAAADPADPGVFKSKKYLKIPNNGKYSGAKDSKLGGDSTASMHDHNTTSAGGKPLDKDAAGTDETVKDKGVEIEGNKVSSEQSSCLLWIFSPCAFICKCSSSNEESSEQHMSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCIGKRNYRQFFTLMVSALLLLILQWSTGILVLICCFIERKQFSVDISSKLGSSFSLVPFIIVVALCTILAMIATLPLAQLFFFHILLIKKGISTYDYIIALREQEQEQQGVGGQQSPQMSPASSLTGLSSASSFSTFHRGAWCTPPRLFLEDQFDVVPPDTGSVSSFGKKMVGEEPFKKKNPGAVKISPWTLARLNAEDVSKAAAEARKKSKILRPVVRHEAPFGLEVDSSFGSSGRRMFPRPDGNRRRASKRVRLPADLPLDPLMNISAKAAEKGFNDTSSSLAPLQMEARSAFQTSRAMSSSVGIVASSPESSLDSPDIHPFRVSSSGAEESRRLTGLPAVNMAALKGFPLSRSTSDGYEASGGEDSDRVPSRIVQRSTNWSNVLFGSEQDETVVKLKAPSSSSQANIRML >EOY01819 pep chromosome:Theobroma_cacao_20110822:2:41017356:41024242:1 gene:TCM_011626 transcript:EOY01819 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein isoform 2 MRKHGWQLPYHPLQVVAVAVFLALGFAFYVFFAPFVGKKMFQYIVMGIYTPLIMCCFGLYIWCAAADPADPGVFKSKKYLKIPNNGKYSGAKDSKLGGDSTASMHDHNTTSAGGKPLDKDAAGTDETVKDKGVEIEGNKVSSEQSSCLLWIFSPCAFICKCSSSNEESSEQHMSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCIGKRNYRQFFTLMVSALLLFSVDISSKLGSSFSLVPFIIVVALCTILAMIATLPLAQLFFFHILLIKKGISTYDYIIALREQEQEQQGVGGQQSPQMSPASSLTGLSSASSFSTFHRGAWCTPPRLFLEDQFDVVPPDTGSVSSFGKKMVGEEPFKKKNPGAVKISPWTLARLNAEDVSKAAAEARKKSKILRPVVRHEAPFGLEVDSSFGSSGRRMFPRPDGNRRRASKRVRLPADLPLDPLMNISAKAAEKGFNDTSSSLAPLQMEARSAFQTSRAMSSSVGIVASSPESSLDSPDIHPFRVSSSGAEESRRLTGLPAVNMAALKGFPLSRSTSDGYEASGGEDSDRVPSRIVQRSTNWSNVLFGSEQDETVVKLKAPSSSSQANIRML >EOX99775 pep chromosome:Theobroma_cacao_20110822:2:16162987:16164112:1 gene:TCM_008688 transcript:EOX99775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPRLGRNSINNNQLKKTSDEFLAFLLVVPLCLPSFSLSWLVYEEGLINLHIRVGLARKHRRFNTVHFRLSHGQTK >EOY00085 pep chromosome:Theobroma_cacao_20110822:2:25396706:25400911:1 gene:TCM_009561 transcript:EOY00085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein MASGEGRRHRHDLVPLAALISREMKNEKMEKPTVRYGHAAQSRKGEDYFLIKTDCQRVPGNPSSTFSVFAIFDGHNGNAAAIFTREHLLSHVLSAVPRGLGREEWLQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDAWTVTVASVGDSRCILDTQGGAVSTLTVDHRLEENVEERERVTASGGEVGRLSIVGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSNAGGRLIIASDGIWDALSSEMAAKSCRGLPAELAARQVVKEALRTRGLKDDTTCIVVDIIPPDNSIQPLPPPKKQNKLRALLFRKRSRDSANKLSKKLSAVGIVEELFEEGSAMLAERLGNDDSAGQTTSGLFTCAVCQVDLAPSEGISVHAGSIFSTSTKPWQGPFLCADCRNKKDAMEGKRPSGVKVA >EOY00165 pep chromosome:Theobroma_cacao_20110822:2:27882108:27885258:-1 gene:TCM_009828 transcript:EOY00165 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein MSPERLSSHLKDCLPHIFLSFSVGTMRPEKNPLDLNNLPDDYTRDGKQVFEDGSSSGYRKKKSGAKDGKDECGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFNNDNLAAQGHLGCHPSYHPGGNVGDPTLPFRSVYPPRLYSGSSSTLMPPPLQPPQPYIHPSPSRLSSYPSQYPTHPMNDYYVGHVLGSSSSSQYPHQNLNYLGEPESNYTCIGAPVGHGLGPGSSRGADLGGGGGVAGGRDVSLSNQEEGLNWGRSYAGGTQQRLDHPSPINRFQDGQRERLNKLTNGFGLELWGLEGVELFCTKATVRNGDYSWETLVCFSCCIHPTLTGAGGGVVLFLSLQNQNWDLLDF >EOX97319 pep chromosome:Theobroma_cacao_20110822:2:1975381:1976182:-1 gene:TCM_006383 transcript:EOX97319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase biogenesis protein Cmc1-like isoform 1 MHPPLTLHRHPMCAEIIEQFQKCHSDHPIAKFFGECTELKIKLDRCFRQEVSLLKALKRKANFEQSKKLKERLQALRKE >EOX97318 pep chromosome:Theobroma_cacao_20110822:2:1975022:1976193:-1 gene:TCM_006383 transcript:EOX97318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase biogenesis protein Cmc1-like isoform 1 MHPPLTLHRHPMCAEIIEQFQKCHSDHPIAKFFGECTELKIKLDRCFRQEKALKRKANFEQSKKLKERLQALRKETAENES >EOX98262 pep chromosome:Theobroma_cacao_20110822:2:5131004:5136420:-1 gene:TCM_007068 transcript:EOX98262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fucosyltransferase 12 isoform 1 MGVLSNLRGSRTQQGLPLTDGSPPSASVSNKKKWSNLMPLVVALVVIAEVAFLCRLDMANNAAFFDSWPEMFYKSHPSGEVQVYSVENIGIEALGGDQNSVTESCEEWLEREDAVVYSRDFGKDPIWVSGAEQEWKTCAVSCKFGYDPSKKIDAAFGLPQPRGVASVLRSMESASYYSENNIAQARGWVSTIYNDLVAFETDTNFALNIVGLYGHHNWRGYNIVMTTSLSSDVPVGYFSWAEYDIMAPVMPKTETALAAAFISNCGARNFRLEALVGLEKANIKIDSYGGCHRNHDGRVDKVEALKRYKFSLAFENSNEEDYVTEKFFQSLVAGTVPVVVGAPNIEDFAPSPGSILHIKELEDVQSVAKRMKYLAENPDAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATDIREKEEKSSDFKKRPCKCTRGSETVYHLYVRERGRFEMDSIFLRSGNLTLEALEASVLMKFKYLKHVPVWKDERPESIRGGDELKVYRIYPVGLTQRQALYTFKFKGDADLRSYIENNPCAKFEVIFV >EOX98263 pep chromosome:Theobroma_cacao_20110822:2:5130779:5136468:-1 gene:TCM_007068 transcript:EOX98263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fucosyltransferase 12 isoform 1 MGVLSNLRGSRTQQGLPLTDGSPPSASVSNKKKWSNLMPLVVALVVIAEVAFLCRLDMANNAAFFDSWPEMFYKSHPSGEVQVYSVENIGIEALGGDQNSVTESCEEWLEREDAVVYSRDFGKDPIWVSGAEQEWKTCAVSCKFGYDPSKKIDAAFGLPQPRGVASVLRSMESASYYSENNIAQARGRGYNIVMTTSLSSDVPVGYFSWAEYDIMAPVMPKTETALAAAFISNCGARNFRLEALVGLEKANIKIDSYGGCHRNHDGRVDKVEALKRYKFSLAFENSNEEDYVTEKFFQSLVAGTVPVVVGAPNIEDFAPSPGSILHIKELEDVQSVAKRMKYLAENPDAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATDIREKEEKSSDFKKRPCKCTRGSETVYHLYVRERGRFEMDSIFLRSGNLTLEALEASVLMKFKYLKHVPVWKDERPESIRGGDELKVYRIYPVGLTQRQALYTFKFKGDADLRSYIENNPCAKFEVIFV >EOX98264 pep chromosome:Theobroma_cacao_20110822:2:5130779:5136468:-1 gene:TCM_007068 transcript:EOX98264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fucosyltransferase 12 isoform 1 MGVLSNLRGSRTQQGLPLTDGSPPSASVSNKKKWSNLMPLVVALVVIAEVAFLCRLDMANNAAFFDSWPEMFYKSHPSGEVQVYSVENIGIEALGGDQNSVTESCEEWLEREDAVVYSRDFGKDPIWVSGAEQEWKTCAVSCKFGYDPSKKIDAAFGLPQPRGVASVLRSMESASYYSENNIAQARGWVSTDVPVGYFSWAEYDIMAPVMPKTETALAAAFISNCGARNFRLEALVGLEKANIKIDSYGGCHRNHDGRVDKVEALKRYKFSLAFENSNEEDYVTEKFFQSLVAGTVPVVVGAPNIEDFAPSPGSILHIKELEDVQSVAKRMKYLAENPDAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATDIREKEEKSSDFKKRPCKCTRGSETVYHLYVRERGRFEMDSIFLRSGNLTLEALEASVLMKFKYLKHVPVWKDERPESIRGGDELKVYRIYPVGLTQRQALYTFKFKGDADLRSYIENNPCAKFEVIFV >EOX98177 pep chromosome:Theobroma_cacao_20110822:2:4756058:4759910:-1 gene:TCM_006995 transcript:EOX98177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKVADVWSCGVTLYVMLVGAYPFEDPEDPKNFHKTIHRILNVQYSIPDYVHISPECRHLISRIFVADPAKRISIPEIRNHEWFLKNLPADLMDENAMNSQFEEPDQPMQSVDDIMQIISEATIPAANTNSLNHYLTGSLDIDDEMEEDLDSDPELDIDSSGEIIYAI >EOX98175 pep chromosome:Theobroma_cacao_20110822:2:4756018:4760397:-1 gene:TCM_006995 transcript:EOX98175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MIIEKREMDRSAITVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDKQTEELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKVADVWSCGVTLYVMLVGAYPFEDPEDPKNFHKTIHRILNVQYSIPDYVHISPECRHLISRIFVADPAKRISIPEIRNHEWFLKNLPADLMDENAMNSQFEEPDQPMQSVDDIMQIISEATIPAANTNSLNHYLTGSLDIDDEMEEDLDSDPELDIDSSGEIIYAI >EOX98178 pep chromosome:Theobroma_cacao_20110822:2:4756058:4759967:-1 gene:TCM_006995 transcript:EOX98178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MDRSAITVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDKQTEELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKVADVWSCGVTLYVMLVGAYPFEDPEDPKNFHKTIHRILNVQYSIPDYVHISPECRHLISRIFVADPAKRISIPEIRNHEWFLKNLPADLMDENAMNSQFEEPDQPMQSVDDIMQIISEATIPAANTNSLNHYLTGSLDIDDEMEEDLDSDPELDIDSSGEIIYAI >EOX98176 pep chromosome:Theobroma_cacao_20110822:2:4756050:4760655:-1 gene:TCM_006995 transcript:EOX98176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MDRSAITVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDKQTEELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKVADVWSCGVTLYVMLVGAYPFEDPEDPKNFHKTIHRILNVQYSIPDYVHISPECRHLISRIFVADPAKRISIPEIRNHEWFLKNLPADLMDENAMNSQFEEPDQPMQSVDDIMQIISEATIPAANTNSLNHYLTGSLDIDDEMEEDLDSDPELDIDSSGEIIYAI >EOX97897 pep chromosome:Theobroma_cacao_20110822:2:3875608:3879694:1 gene:TCM_006810 transcript:EOX97897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAAIFILPRPTPSLYFHYSSSSSLPKYHQVFRCSVMQSPLLKGWNPGFYSKEKFKPLTSTTSTEWRRRRRTLICAVNQDAEKAFKKTVEVDRLIDMLREATPNELQQLVVENILAFNENFWIRLAARSDTCKSDDDKKDYEELASAVMSIVDCLVHKTHEKIDSATDVLKGILKPVVDEEEEIPWPPKDPEALNQMEKKIFQMEQEGQLDEGFLAEVSAQLRQAQEDCDKPGLEAMLQKVLQLYASTVLSKRSYAKKGNEVLKAEQFLETVIKAPEQEWNKLLIDGLTVGKGEISPEDFNAVIKKRIERTLIRTEGGSYRQRILTEYLKGIQSRAEEIVQLLQGNAE >EOX97898 pep chromosome:Theobroma_cacao_20110822:2:3875506:3879560:1 gene:TCM_006810 transcript:EOX97898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAAIFILPRPTPSLYFHYSSSSSLPKYHQVFRCSVMQSPLLKGWNPGFYSKEKFKPLTSTTSTEWRRRRRTLICAVNQDAEKAFKKTVEVDRLIDMLREATPNELQQLVVENILAFNENFWIRLAARSDTCKSDDDKKDYEELASAVMSIVDCLVHKTHAQEDCDKPGLEAMLQKVLQLYASTVLSKRSYAKKGNEVLKAEQFLETVIKAPEQEWNKLLIDGLTVGKGEISPEDFNAVIKKRIERTLIRTEGGSYRQRILTEYLKGIQSRAEEIVQLLQGNAE >EOX98371 pep chromosome:Theobroma_cacao_20110822:2:5534213:5538475:1 gene:TCM_007149 transcript:EOX98371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger 4, putative MLYKTLHGYFSNNHILSNRIPSKSNQDAMAFSNLSSSTTGMEGQGIVEQVYLKFPPKVSSPGLAAYILSNERNEKFRDFAAPRLHFQMIVIFALTQIIHYLLKHLGLPIFISQILAGILLGPMVFKGHNSLVTMSEDSVQVLGTVASLGYIFFLFLSGVKMDLSMTYKAGRKAVCIGLLTVVVPLTFSLITVKALSPDDELFKNNSFFLAVTYSGTAFPPMGEGSARKISNTGSQGFWTAIAFFIVVAFVLRPGMKWMVKRTPEGGQIKDVFLYAVILGFMSSPRITGIFNIFLLFGPFILGLAVPDGPPLGSALVEKLDPVVSGLFLPLFASTCGMRIDLSYLKESKEYAQHQAIAAAVALIVKFGVSLALPLLCKMPMSDSLALACIMMSKGIVEMASYSFLNDNMVISQDVFAFMSIIIVLLASIVPLLVKRLYDPSRKYVGYQKRSIIHSKLNEELRMIGCIHVPGNVNSIINILNASCPSRENPIALDVLYLVKLRGRATPLFIAHQKHKKTLSNKSYSENVVLAFNQFERDNWEAVSVNVFTAVSPPNLMYEDICNLALDKLTSFILLPFHRRWYIDGSIESEDQAIRSLNGSILERAPCSVGILVEGRRHLKRSNSRDILSSESSSYSIAVIFLGGKDDREALALAKRISQDQRVNLTIIHLKATDGLGAVLVDSDRLLDDEMLRRVKESGYIRYVDEQVNDGPETSSFLRSIAGNYHCSLPLLGDDTTRKIPEL >EOX99530 pep chromosome:Theobroma_cacao_20110822:2:11981732:11982989:-1 gene:TCM_008225 transcript:EOX99530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISFLLQHFSSFNAQRYGDGPEDELPLESNGDYTRKIGLFSNYSNGTKFNKSSENLLNNVW >EOX98255 pep chromosome:Theobroma_cacao_20110822:2:5065513:5069089:1 gene:TCM_007060 transcript:EOX98255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar import/degradation, Vid27-related protein MGTSQSREDRITDSESEEDYEEEEEEEEEEQYDDASGDHQNPQSSSSTSSSGTHKSIDDIDAKLKSLKLKYNSRQQQPNPNLKNPVKLYLHIGGNTPKAKWVVSDKLTSYNFIKTSKINGDDDEDGDESDGGGGKGESWWVLKVGSKVRARVSTEMQLKMFGDQKRVDFVEKAVWALKFLTDEGYRNFVTQFQNCLFENVYGMEASEENKVKVYGKEFMGWVKPEAADDSMWEDAEDHNLDSTPVKGSQELMEEFEEAANGGVQSLALGALDNSFLVNDLGVQVYRNFNRGIHGKGICVKFDGGSYRSGVNMENSTPKKALLMRAETNMMLMSPANEGKPNATELKQVDIETGKIVTEWKFEKDGTDITMRDITNDTKGSQLDPSESTFLGLDDNRLCQWDMRDRKGMVQNIATSGSPVLHWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKTSMRQAKTAFPGLGSPITHVDVTYDGKWVLGTTDTYLILICTLFTDKDGKTKTGFSGRMGNRIPAPRLLKLTPLDSHLAGNNNKFHGGHFSWVTENGKQERHLVATVGKFSVIWDFQQVKNSAHECYRNQQGLKSCYCYKIVLKDESIVESRFMHDKFAVSDSPEAPLVVATPMKVSSISLSGRR >EOY01056 pep chromosome:Theobroma_cacao_20110822:2:37196176:37200641:1 gene:TCM_010994 transcript:EOY01056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHQSMSQMLRCLVVLKDFDEAFMEPEDLDFGREDFWVHVIALLMRLMTKVTVKLIGDAIGSFVTVDGEEDGLRDTFMCIKVSINLTKPIRSRIVLSLEDVDVEGRVGSLALLWRMDEFVSVKSYSKNHIDVEIMFRVSITMVMREDFTEIFLSSEKEGGDDRSEARMSSFKDTCFACGLRDFGFRGPKFTWWNNKDEGQFFRCGLDRALANGGWCIRFPRAAVFNKALGSSDHLGIYMDFFYQKIVR >EOX98788 pep chromosome:Theobroma_cacao_20110822:2:7226334:7230167:1 gene:TCM_007481 transcript:EOX98788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tobamovirus multiplication 1 isoform 4 FVLTEKIFLKYGGTFDWWDEIDGSEEWQRFIFYLLCASYAFVSFVALVQLCRIQLRVPEYGWTTQKVFHLMNFIVNGLRAVLFGFYKSVFLVKSKALEIVLLDLPGLLFFSTYTLLVLFWAEIYYQARSLPINKLRPTYYSINGFVYFAQACIWIAVRLSQSLLAVEIARLFISVISLCAALGFMIYGGRLFFMLRRFPIESRGRQKKLLEVVGCVTGICCTCFFIRCFVVTLSAFDKNADLDVLDHPILNLIYYMLVEILPSALVLFILRKLPPKRVSDQYHPIR >EOX98790 pep chromosome:Theobroma_cacao_20110822:2:7226362:7230167:1 gene:TCM_007481 transcript:EOX98790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tobamovirus multiplication 1 isoform 4 MAEIHFLPVMCFLCFRFLCRPGTTMPNSIKSARVWVDNTKGFPLNELYCEWIEGCSLRFLQECALEIVLLDLPGLLFFSTYTLLVLFWAEIYYQARSLPINKLRPTYYSINGFVYFAQACIWIAVRLSQSLLAVEIARLFISVISLCAALGFMIYGGRLFFMLRRFPIESRGRQKKLLEVGCVTGICCTCFFIRCFVVTLSAFDKNADLDVLDHPILNLIYYM >EOX98787 pep chromosome:Theobroma_cacao_20110822:2:7226225:7230209:1 gene:TCM_007481 transcript:EOX98787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tobamovirus multiplication 1 isoform 4 MVRVKDFVLTEKIFLKYGGTFDWWDEIDGSEEWQRFIFYLLCASYAFVSFVALVQLCRIQLRVPEYGWTTQKVFHLMNFIVNGLRAVLFGFYKSVFLVKSKALEIVLLDLPGLLFFSTYTLLVLFWAEIYYQARSLPINKLRPTYYSINGFVYFAQACIWIAVRLSQSLLAVEIARLFISVISLCAALGFMIYGGRLFFMLRRFPIESRGRQKKLLEVGCVTGICCTCFFIRCFVVTLSAFDKNADLDVLDHPILNLIYYMLVEILPSALVLFILRKLPPKRVSDQYHPIR >EOX98789 pep chromosome:Theobroma_cacao_20110822:2:7226334:7230167:1 gene:TCM_007481 transcript:EOX98789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tobamovirus multiplication 1 isoform 4 FVLTEKIFLKYGGTFDWWDEIDGSEEWQRFIFYLLCASYAFVSFVALVQLCRIQLRVPEYGWTTQKVFHLMNFIVNGLRAVLFGFYKSVFLVKSKALEIVLLDLPGLLFFSTYTLLVLFWAEIYYQARSLPINKLRPTYYSINGFVYFAQACIWIAVRLSQSLLAVEIARLFISAVISLCAALGFMIYGGRLFFMLRRFPIESRGRQKKLLEVVGCVTGICCTCFFIRCFVVTLSAFDKNADLDVLDHPILNLIYYMLVEILPSALVLFILRKLPPKRVSDQYHPIR >EOX98193 pep chromosome:Theobroma_cacao_20110822:2:4811894:4816233:-1 gene:TCM_007005 transcript:EOX98193 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative METDKGDRKVEESRERKRLSETPPDDDCCPICFGSFTVPCRSNCGHWYCGSCILQFWNYSSTSKPCKCPMCTCKIVNLMPEVSLQQQQDQEVMEVLKSVQRYNLLFVGGARGLAQKVRELPFFIKRMFQALMDPDTNDSYLAEMRLFAMVLSILYRATPFDFIPTGGLGIGRVFDFSAITLVLILRLVGIYRRRRLMQRLPVHHPSDPKSGDRRKDGSSEERSCNLARGLR >EOX98584 pep chromosome:Theobroma_cacao_20110822:2:6275755:6276885:1 gene:TCM_007303 transcript:EOX98584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKVVDSLNNAYQEFVSAAGDVLETTASCNGETTAAADAALENFKKKWETFRAACDQADEFVDSFKQSITSNTTFPVNEDMIDIFDNAVVDFD >EOY00307 pep chromosome:Theobroma_cacao_20110822:2:31025438:31026462:1 gene:TCM_010140 transcript:EOY00307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWGFDIPNEKSREKEKIWIGGIRTWIDVIHGIRNIKNAKREGSVSELKWLPDANTTRERMRRFGSPKEIWEWGPTKLPLPFFMLGLFLRFQNFQTLHIKSWRSP >EOY01122 pep chromosome:Theobroma_cacao_20110822:2:37742285:37743661:-1 gene:TCM_011066 transcript:EOY01122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASVYKCGNLFHYVFQPPNIALEPHDPTPLFVQLEVTITLELDFSRHYCSIDRFTYPNTKPFFFQETLRFDLHIVKDYHRVTQILGSMLTRLGINPCSLVFDNVLGELIEHGLRLSNCTSSMGRIVLPLHAELWGILVEHVDEEVSITRALAESKSKFETSGSGEGVIGLEDARVEGGDQCDQEGEESIKIKGDSMRLSGISVMRFQLLNVSCWRVCWLDFTLLNAAVYSFLCRPKVFSVDVLSLYVVQGFYEGLVVMGCSRGGFRTYGGCILGTGFDPRCNQNVFFWLESSYADQALDGVLSFLSRFCTATVIDVLL >EOX97300 pep chromosome:Theobroma_cacao_20110822:2:1892298:1915248:-1 gene:TCM_006369 transcript:EOX97300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Palmitoyltransferase TIP1, putative isoform 2 MASSEIEVVSSESEPKTQQVPVIDVFSASAYGDFDKLRKFVEEDGASLTTPDGNGYYALQWAALNNFPDIAQYITEHGGNVNAIDNNKQTALHWAAVRGSIAVADVLLQNGARVEATDINGYRAVHVAAQYGQTAFLNHIVAKYHADYDAPDNDGRCPLHWAAYKGFADTIRLLLFRDASQERQDKEGCTPLHWAAIRGNAEACTVLVHAGTKQELVVKDKAGFTPVQLAYDKGHRQIALFLSNAARANSNRLVDKFCSGKMGDVGHAPILFCVIIVLIILFVNSVLTAPSLSKVTAIVGLWGWIDVSLAIGSLIMFYRCSRVKIQVILKNMEDWMAMKIQRDPLLSIDMNNSSVWTGNWSQLCPTCKIIRPIRSKHCPVCKHCIEQFDHHCPWISNCVGKKNKRDFFVFVCMGTLTSFLAASITVQRIWTAIPALPADETWIHHVIVHHPGIIAFLILDAIVLIAATTLTTVQVSQIARNITANELSNAIRYGYLRGPDGRFQNPYNHGCRKNCPDFLIRG >EOX97301 pep chromosome:Theobroma_cacao_20110822:2:1894004:1915871:-1 gene:TCM_006369 transcript:EOX97301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Palmitoyltransferase TIP1, putative isoform 2 MASSEIEVVSSESEPKTQQVPVIDVFSASAYGDFDKLRKFVEEDGASLTTPDGNGYYALQWAALNNFPDIAQYITEHGGNVNAIDNNKQTALHWAAVRGSIAVADVLLQNGARVEATDINGYRAVHVAAQYGQTAFLNHIVAKYHADYDAPDNDGRCPLHWAAYKGFADTIRLLLFRDASQERQDKEGCTPLHWAAIRGNAEACTVLVHAGTKQELVVKDKAGFTPVQLAYDKGHRQIALFLSNAARANSNRLVDKFCSGKMGDVGHAPILFCVIIVLIILFVNSVLTAPSLSKVTAIVGLWGWIDVSLAIGSLIMFYRCSSKDPGYIKKHGGLDGYEDTEDPLLSIDMNNSSVWTGNWSQLCPTCKPSLINWRIRILSSFSKFIRKEKKEINKKGF >EOX97299 pep chromosome:Theobroma_cacao_20110822:2:1908677:1915586:-1 gene:TCM_006369 transcript:EOX97299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Palmitoyltransferase TIP1, putative isoform 2 MASSEIEVVSSESEPKTQQVPVIDVFSASAYGDFDKLRKFVEEDGASLTTPDGNGYYALQWAALNNFPDIAQYITEHGGNVNAIDNNKQTALHWAAVRGSIAVADVLLQNGARVEATDINGYRAVHVAAQYGQTAFLNHIVAKYHADYDAPDNDGRCPLHWAAYKGFADTIRLLLFRDASQERQDKEGCTPLHWAAIRGNAEACTVLVHAGTKQELVVKDKAGFTPVQLAYDKGHRQIALFLSNAARANSNRLVDKFCSGKMGDVGHAPILFCVIIVLIILFVNSVLTAPSLSKVTAIVGLWGWIDVSLAIGSLIMFYRCSSKDPGYIKKHGGLDGYEDTEDPLLSIDMNNSSVWTGNWSQLCPTCKIIRPIRSKHCPVCKHCIEQFDHHCPWISNCVGKKNKRDFFVFVCMGTLTSFLAASITVQRIWTARPALPADETWIHHVIIHHPGIVVFLILDAIVLIAATTLTTVQASQIARNITTNELSNAIRYGYLRGPDGRFRNPYNHGCRKNCTDFLIRGYTDDEVAWPPLQRVAS >EOY02083 pep chromosome:Theobroma_cacao_20110822:2:41902349:41905267:1 gene:TCM_011820 transcript:EOY02083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MEKKDSFGGSENVADQQSDRPVDENKGGIKTMPFILVNEICEKFAMVGFIKNMVNYLTEQLHMPLTKAANTVTNFNGTSSLTPLLGAFIADSYAGKFWTITLATAIYLLGMISLTLSAALPQLRPPPCEGDQVCQEANGRQLAVLYLSLLLAAIGSGGIRPCVAAFGADQFVEEDPRHPKKTWVFFNWYYFVLGASILLASTVLVYVQDNVGWSWGLGIPTVAMASSIIVFLIGYPLYRNLDPAGSPYTRVLQVFVSAFKKRKIPLISDPKFLYVNEELDASISTDGLLLHTKQFKFLDKAAIVTEADTLKSSEKPNFWRLNTVHRVEELKSILRMFPIWAAGILFATSSAQQSTFSLQQANSMDRHLTKSFEIPSASMSFFAMFSMLITIVLYDRLLVPVARRLTGLDRGINFLHRMAIGFFISILATLVAGFIEVKRKHAASVNGLINSPKSTIPISVFWLVPQHSLHGIAEAFMMIGHLEFFYNQAPESMRSTASALFWTSISAGDYTSTLLVTLVHKFTDWLPNKNLNKGKLEYFYWLLTVLQVLNIVYYLMCAKFYTFKPLQSKKTEDCGEGVELASQV >EOY02084 pep chromosome:Theobroma_cacao_20110822:2:41902349:41905267:1 gene:TCM_011820 transcript:EOY02084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MVGFIKNMVNYLTEQLHMPLTKAANTVTNFNGTSSLTPLLGAFIADSYAGKFWTITLATAIYLLGMISLTLSAALPQLRPPPCEGDQVCQEANGRQLAVLYLSLLLAAIGSGGIRPCVAAFGADQFVEEDPRHPKKTWVFFNWYYFVLGASILLASTVLVYVQDNVGWSWGLGIPTVAMASSIIVFLIGYPLYRNLDPAGSPYTRVLQVFVSAFKKRKIPLISDPKFLYVNEELDASISTDGLLLHTKQFKFLDKAAIVTEADTLKSSEKPNFWRLNTVHRVEELKSILRMFPIWAAGILFATSSAQQSTFSLQQANSMDRHLTKSFEIPSASMSFFAMFSMLITIVLYDRLLVPVARRLTGLDRGINFLHRMAIGFFISILATLVAGFIEVKRKHAASVNGLINSPKSTIPISVFWLVPQHSLHGIAEAFMMIGHLEFFYNQAPESMRSTASALFWTSISAGDYTSTLLVTLVHKFTDWLPNKNLNKGKLEYFYWLLTVLQVLNIVYYLMCAKFYTFKPLQSKKTEDCGEGVELASQV >EOY02132 pep chromosome:Theobroma_cacao_20110822:2:42059713:42060968:-1 gene:TCM_011859 transcript:EOY02132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase MASTSSLQAISSVRLVDRSSLSQPHRLLGSSLSYSQPFPSHDLKISSTHSSSFTSRSSRPFSTTAFFFFNKPKDCTPDTSKPTKVQELCVYEINERDRNSPAVLKLSQKPVNSLGDLVPFTNKLYTGDLQKRLGITAGLCVLIQHVPEKQGDRFEAIYSFYFGEYGHISVQGPYLTYEDSYLAVTGGSGIFQGVYGQVKLQQIVFPIKLFYTFYLKGIPDLPAEILGKPVAPSPAVEPSAAAKATEPHGTIPNFTN >EOX99281 pep chromosome:Theobroma_cacao_20110822:2:9854358:9857453:-1 gene:TCM_007904 transcript:EOX99281 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-like 102, putative MRIYIQEKKKREGYIAFLKLCRNERASNYPSLTPSRKLHCHQLHLCISLFQGKSMGRTPCCDKNGLKKGPWTPDEDLKLTNYIQTHGPGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRNGIDPVTHAPRLDFLDLSSILSSTLCSQSLLNVSSMLGTQAFLNPELLRLANTLLSLKQENPEILLQYLQQNQLCDSQQNLVPSLQPSQLQIPIQNASTCTTSNVPCTSTLSQMQANGEGFCSNMTNFSYPNSQENLTSSTLNNAFVSQPIYVQCRPNSTVPLLSENSNFQSLDGSQNFNFDSVRSTPISSPTPLNSSSTFINSSSTDDERESFSSLLKFEIPESLDINDFM >EOX98282 pep chromosome:Theobroma_cacao_20110822:2:5195724:5201086:1 gene:TCM_007082 transcript:EOX98282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant U-box 26 MPGSREPLDLVGVQVPYHFRCPISLELMRDPVTVSTGQTYDRTSIESWVATGNTTCPVTRAPLSDFTFIPNHTLRRLIQDWCVANQAFGIERIPTPKQPAEPTVVRSLLNQASSVSNPFQSRLSALRRLKGLARDSDKNRSVISSHNAREILINIVFSDTGSGSSELNQEALALLVMFQLTESECASIASDSNKVIYLSKLLFHSSIEVRVNSASLIEIVVAGTRSSDLRAQISNVDEIFEGVTDLLKNLNSYPRALKISIRALFALCLVKQTRHKAVQAGAAETLIDRLADLDKCDAERALATIELLCRIPSGCSAFAAHALTVPLLVKTILKISDRATEHSAGALVALCSESEQSQRDAVSAGILTQLLLLVQSDCTDRAKRKAQTLLKLLRDSWPEDSIGNSDDFACSEVVPF >EOY00086 pep chromosome:Theobroma_cacao_20110822:2:25490884:25491859:1 gene:TCM_009570 transcript:EOY00086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFIIITIITQSFRSVVFKFIFFFIVIFIFISFHVIFFIFISLHVIVFILNQEINLFIITTC >EOY00275 pep chromosome:Theobroma_cacao_20110822:2:30871600:30873748:1 gene:TCM_010116 transcript:EOY00275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSEYTKSRFQGSQTSAQNTSQTSPMGDPQSPYYLYHTDHPGSVIINHELTTSNYVTWSRSPLSFMDALQQPYSIMRYAQPDDTRVCNLQFSLGNVTLRTRSVDTYFVELKGIWEELRNYRPLPCCQCGNCNPKCFKKYTDQYQKDMAFRFLNGLNDSFSAVRSQIILMDPIPTLDKVYSIVLREEAQRNIPFQAQPMLESSAMLAAADTKKKNRKDLICKATVNNVTMSNDASTEESYLEHVEEINGASTMSQMSNLQQQVNKLMEILSKNGLTSNDGKGISVNSHQTKHSLANSAFAGATNHISCSLRNFVYAKPVTNTFVQLPNSRKIAVTHIGIVKLTPLLTLTNDIPSWTVTGVARASSGLYFMEDKTNEHDLSSYCFEYH >EOX99715 pep chromosome:Theobroma_cacao_20110822:2:14520300:14522344:-1 gene:TCM_008523 transcript:EOX99715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPQPRSVAACRYSILSRILVDISTTQTVGVDERAGHESDWARKKMVHSRTSRLTRSWGVRTAQTVCLLCAKHWLARDLRGSRCLPLAIRPGARQKGPTKFKTAPSRWDLSFNGSKGS >EOY01611 pep chromosome:Theobroma_cacao_20110822:2:40265324:40268297:-1 gene:TCM_011461 transcript:EOY01611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MTTLGNPIIILSSFFCLCLLIVLFRFLHRLWWTPFYIQYLLGSQGIKGPSYNFIHGNTKDILKMRNEALSKPMALSHDIFPRVQPQAYSWINKYGKNYLNWNGAQPQLVITETALIKEVLNNRDRAYPKVGLPFYVMKLMGDGLATSEGEKWAKHRKLLNYVFQGESLKNMIPEMIVSVEKMLETWKHHEGKGIEVFDEFRLLTSEVISRTAFGSSYLEGKNIFDMLINYSVISRRNAFKVRFPGISKIWKTADQIEADKLLRVMHNSVMEIIKRREEKIKNGDVDSFGTDFLGLLLNAYHDVNENKRLHVEDLIDECKTFYIAGQETSNSLLSWTVLLLAIHTDWQQKARAEVIELFGDQNPHPDGLSKLKTITMIINEALRLYPPAIGFIRKCEREVRLGKLILPANLNLFITNLAVHHDPQIWGDDSHVFNPERFSEGVAKATNNNVAAYFPFGIGPRTCVGFNFATVGTKVALAMILQHHTFTLSPAYVHSPVFHFTLVPQHGIQVILHSLQS >EOY02233 pep chromosome:Theobroma_cacao_20110822:2:42407638:42409150:1 gene:TCM_011920 transcript:EOY02233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLLGSLSMLVLLMWLLRFPDLMAQSINVSSTSSARALDALLQDYAYRAFAHPRTGLPYDGFVPSNLTGIKIAAMRLRSGSLRNRGVKMYKEFEIPTGVVDEPYVERLVLVYQNLGNWSMVYYSLPNYTYLAPVLGLLAYDASNLSAKNLPELDIRASGDPIKIKFSDVQSAPDGSVAKCVWFDLHGLVQFSNLASGNECSMIKQGHFSIVTESIAPSPAPISPLPSGGGGASNMPSPSAPENKTSRKVWIIVGSALGGLALLVSLAFLVLWSQKYKQRKKMHQMEKAAEVGEALHMTSVGDTKAPAATVTRTQPTLENEYVP >EOX98484 pep chromosome:Theobroma_cacao_20110822:2:5925896:5929809:1 gene:TCM_007234 transcript:EOX98484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 10 protein, putative MKIAEARVLFLSCIFLDMAASSLSPMTTPQQQRQENLLAILLLNFPCLAAPKRAQYGGGIIVNPQFNQGTKGWNFTGKGAIREGVSEDGNRFILVHNRTDSLDSFSQTVQLEKGTFYTFSAWIQISKGSETVAVVFKTSDGKLIRGGETIAKQGCWSLLKGGIVAQFTSPVEILLESKNTSVEIWVDNISLQPFTAKQWRSHQEKSIEKVRKSKVTFQVTFANRTAAAGAIISTEQTMSGYPFGCGMNHQILTSTGYQEWFASRFKLTSFTNEMKWYSTEKKQGEENYTIADAMVKFAKQNGISIRGHNILWDNPKMLPDWVMDLPPEELQKAATRRLKSVMSRYAGQLIGWDVVNENLHFRFFEDKLGENASSAFYSMAYHLEPNTTLFMNEYNTIEYSKDQAATASKYKKKLEEILSFPGNAHIKAAIGLEGHFSSAQPNIAYMRSSLDILRTMGLPIWLTEVDVGKGPNQAQYLEDILREAFSHPAVEGIIIFGGPEISGFDVMNLADTEFAATPAGKVVDKLIDEWKSGTRKLRADSRGLAQVSLFHGDYKVEIYHPLTNSSTTISFKVTKETEHSTVFAQIDA >EOX98369 pep chromosome:Theobroma_cacao_20110822:2:5521153:5525767:1 gene:TCM_007147 transcript:EOX98369 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MRSEPLVHVFLVSFPGQGHVNPLLRLGKRLASKGLLVTFSTPESFGKQMTKANNMITDEPTPVGDGFIRFEFFKDGWDEDDPRRADLDQYMTQLELVARDEIPRMIKKYAEQNRPVSCFINNPFIPWASDVAESLGIPSAMLWVQSCACFAAYYHYHHGLVPFPSESDPEIDVQLPAMPLLKYDEVPSFLHPSTPYPFLRRAILGQFKNLNKPFCVMMDTFQELEPEIIEYMSKFCPIQPVGPLFKNHKVSNSTVRCDIMKADDCIEWLDSKRALSVIYISFGSIAYLKQEQVDEIAHALLNTGLSFLWVMKPPPKSLGLPTHTLPEGFLEKVGDKGKIVQWSPQEKVLTHPSVSCFVSHCGWNSTMEALSSGMPIIAFPQWGDQVTDAVYLVDVFKTGVRMCRGEAANRIIPREEVEKCLLEVTQGTKAAEMKENALKWKAAAEAAVADGGSSDRNIQAFIDEVKRRSTTIHTDTEAAAKNFVNGSTSRERTAKPSNGKLGLVEL >EOY01220 pep chromosome:Theobroma_cacao_20110822:2:38364955:38368128:-1 gene:TCM_011166 transcript:EOY01220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 2 MGSCGRSGAVRQYIRSKVPRLRWTPELHHCFVHAIERLGGQDKATPKLVLQLMDVKGLTISHVKSHLQQMYRSMRSDLGRQDRSSTHQRRQSFENHDGCVDEVSDLVFHSTSKPLEESDSHLIYSPPPSKRARIETRSSISDQNLQCSQGICETVSNPYSFDDYLQTMAVHKGIKEGNGAFMWEHTQSHSQGQSTTFSLPYDIYNLNSFKYSMGEPDFLKVAKVEAEDHHTHVEQIVRRHAGDEEEAYGGCELSLSLSLHHHPSSQKSNTSSTSEISSSEAFSLYSRSSYKDCSGTSSAQRSVNLDLSIALCGN >EOY01219 pep chromosome:Theobroma_cacao_20110822:2:38364894:38368732:-1 gene:TCM_011166 transcript:EOY01219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 2 MGSCGRSGAVRQYIRSKVPRLRWTPELHHCFVHAIERLGGQDKATPKLVLQLMDVKGLTISHVKSHLQMYRSMRSDLGRQDRSSTHQRRQSFENHDGCVDEVSDLVFHSTSKPLEESDSHLIYSPPPSKRARIETRSSISDQNLQCSQGICETVSNPYSFDDYLQTMAVHKGIKEGNGAFMWEHTQSHSQGQSTTFSLPYDIYNLNSFKYSMGEPDFLKVAKVEAEDHHTHVEQIVRRHAGDEEEAYGGCELSLSLSLHHHPSSQKSNTSSTSEISSSEAFSLYSRSSYKDCSGTSSAQRSVNLDLSIALCGN >EOX97532 pep chromosome:Theobroma_cacao_20110822:2:2593265:2594297:1 gene:TCM_006526 transcript:EOX97532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGHHAEGDHNKAVETTDRGMFDFLKEDEDDRNGILPRIIIQVVYELFIYFLQSSDEEEEGEDGEKKKKKKGLKGKIKEKISGDKEAREHKDTSIPTANPEEKKGFLDKIKEKLPGQNKKPEDNASDGHPAEREPKEIGILEKIKEKIPGYHGHKTEEDKGKEN >EOX99634 pep chromosome:Theobroma_cacao_20110822:2:12887766:12890687:1 gene:TCM_008356 transcript:EOX99634 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase FEI 2 MFVCGFVASMEKRSCTLSLLLLLLLLLCFHLTTVQCEGRLIRYLSSQPPSPSTPQEFKIGFKRIVLSIALGILTGLIGAILFALLIKFAVQYMNQTPILKGPVIFSPKISAKTLQSALSTENQLLGSSSNGKYYKTVLDNGLTVAVKVLEPFDNGSPERHSKSVKRRIQQELEILASLRHRHLMSLRAYVRESDRFSLVYDYMPTGSLEDAMNRVRGNQLQLGWDVRLRIAVGVIKGLQYLHFTCIPQILHYNLKPTNVMLDAELEPRVADCGLAKLMPNIDRATSGYGAPECFENCRYTDKSDIFSFGMILGVLLTGRDPTDPFFGEASSGGSLRQWLRHLQQAGEAREALDKSILGEEVEEDEMLMAVRIAVVCLSDLPADRPSSDELVPMLTQLHSF >EOX99687 pep chromosome:Theobroma_cacao_20110822:2:13760860:13765464:1 gene:TCM_008448 transcript:EOX99687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQQKTIVAEGQSTNKPPLFDGSTYPYWNTRMSIYIRAIDYEMWDVITDGFFMPSTMNVVTNELMPKPRFEWTEAETKKVQANFKAINTLHYALTPTEFNKVSSCASWKIRNKNDSNKKEERICYECKKPGHFKSECPLLKDETPKKNKRSKKAMVAAHGWTVTHQALKLMMKNLRKEQTSV >EOY01243 pep chromosome:Theobroma_cacao_20110822:2:38492883:38496372:1 gene:TCM_011184 transcript:EOY01243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endomembrane protein 70 protein family isoform 1 MYYDDLPIWGFIGKVDKEGKADPSEYKYFLYKHIQFDILYNKDRVIEISARMDPHSLVDLTEDKEVDAEFMYTVKWKETETPFEKRMDKYSMSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYGQDEEAADDQEETGWKYIHGDVFRFPKFKSLFAAALGSGTQLFTLTVFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYCQLEGKNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAESFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYRSIKCE >EOY01244 pep chromosome:Theobroma_cacao_20110822:2:38492409:38494449:1 gene:TCM_011184 transcript:EOY01244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endomembrane protein 70 protein family isoform 1 MLDPTPPTTVTKTVILSRSTPIRSARSTTPXXXYRYFDLPFCSPDHLKEKKEALGEVLNGDRLVNAPYKLNFREERDSYVVCKTKLSKDEVAIFRKAVDKDYYFQMYYDDLPIWGFIGKVDKEGKADPSEYKYFLYKHIQFDILYNKDRVIEISARMDPHSLVDLTEDKEVDAEFMYTVKWKETETPFEKRMDKYSMSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYGQDEEAADDQEETGWKYIHGDVFRFPKFKSLFAAALGSGTQLFTLTVFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYCQLEGKNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIVL >EOX99915 pep chromosome:Theobroma_cacao_20110822:2:18233797:18236032:1 gene:TCM_008923 transcript:EOX99915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAHSSEQRFWCQVVLRFGFAFVCVCLVGYILGPTLFWRLKEKSTAQASCPSCVCDCSLETNFLLLPGLVNSTYSDCGKNDPDVNEELEKDIVALLSEEINLQKIVSNDTLKHTWALTIDTKRASSHYQKEAEKCNAGVGTCEEAREKAEAELREELKLTALWEKRAHELGWKDSERVDT >EOY02143 pep chromosome:Theobroma_cacao_20110822:2:42103964:42105256:1 gene:TCM_011867 transcript:EOY02143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKLKNESIQNRYRALLISMVDQLGRLWVLRSKLHVVGQLNYWITTHIHVRHVYGKDGPPSPPSSLCIFNTTPFCLFSVSPHFLLFF >EOY01989 pep chromosome:Theobroma_cacao_20110822:2:41609636:41612320:1 gene:TCM_011759 transcript:EOY01989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex protein 6 isoform 1 MDLSDIARKLGLSEQKLLVRKAAELRRLCDVQFDSSIIGVGEVCKAIICLEIAATRFGEVIFDRQKAIRLSGMSEKAYNRSFNSLQNGLNIKTTLDIRELGIQFGCVRLIPFVKKSLSLYKERFTASLPASRQASADFTRPVFTAVAFYLCAKKHKSFQVTSGIRKWRKLPMMSGSHLFYHLIRKAQKHPASRPLKPVLTF >EOY01987 pep chromosome:Theobroma_cacao_20110822:2:41609481:41612688:1 gene:TCM_011759 transcript:EOY01987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex protein 6 isoform 1 MDLSDIARKLGLSEQKLLVRKAAELRRLCDVQFDSSIIGVGEVCKAIICLEIAATRFGEVIFDRQKAIRLSGMSEKAYNRSFNSLQNGLNIKTTLDIRELGIQFGCVRLIPFVKKSLSLYKERFTASLPASRQASADFTRPVFTAVAFYLCAKKHKLKIDKVRLIEVCGTSESEFSCVSTSMKDLCHDAFGISKEKKDPKEVKGNRELLDVLPEKRKFDDGGYLSDDGPELSSYKRHKKMEKVAYDEWKSSVLSSNKKSTKAPCKQTTQTSLNFLKEVPETQELKAV >EOY01988 pep chromosome:Theobroma_cacao_20110822:2:41609636:41612320:1 gene:TCM_011759 transcript:EOY01988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex protein 6 isoform 1 MDLSDIARKLGLSEQKLLVRKAAELRRLCDVQFDSSIIGVGEVCKAIICLEIAATRFGEVIFDRQKAIRLSGMSEKAYNRSFNSLQNGLNIKTTLDIRELGIQFGCVRLIPFVKKSLSLYKERFTASLPASRQASADFTRPVFTAVAFYLCAKKHKLKIDKVRLIEVCGTSESEFSCVSTSMKDLCHDAFGISKEKKDPKEVKGNRAFKLQAA >EOX99303 pep chromosome:Theobroma_cacao_20110822:2:10058132:10060046:1 gene:TCM_007937 transcript:EOX99303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSWKGRAWAVAGTVTAVEVSKEKKVRRWSSAKQQHQTVTNNVGSSGSTTMERSSTSSSSSSPSTCSNMASTRRNGEEMRNQSEESLRTIMYLSCWGPN >EOX97335 pep chromosome:Theobroma_cacao_20110822:2:2013776:2016269:1 gene:TCM_006392 transcript:EOX97335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDAVELNHPVGFSKIMECEGFGRQAKVRDNMKNGLKETIENDLSSNSSLLEVIVDDKYVIMGSANINLRSLDGSRDTEITIRCLPTKLHMGRKEIPPASPGGKKKEVKETGLGLSLPKDENFRERYSEVYFVATVQLLCDYYTTLSGS >EOX99675 pep chromosome:Theobroma_cacao_20110822:2:13493603:13495563:1 gene:TCM_008423 transcript:EOX99675 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM domain family protein MTTTPEENARVEGSHEPSPTSTTTDASQPHQPSMQAPPSEEDAKKWGTHVMGPPAAPTVHPDNQKAALWRASDQQIYELPYLVYSPAEKPSHNPFEPVINMFNSWSRKAETIARDLWHNLKTGPSVSEAAWGKVNLTAKAITEGGFESLFKQIFATDPNEKLKKTFACYLSTTTGPVAGTLYLSTARVAFCSDRPLSFTAPSGQETWSYYKVMIPWANIGTVNPVVMKENPPEKYIQIVTVDGHDFWFMGFVNFEKASFHLLNSVKDFEATQNAAKPVVA >EOY00287 pep chromosome:Theobroma_cacao_20110822:2:30931669:30937177:1 gene:TCM_010126 transcript:EOY00287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRTIEFSSLFVYVFHVYFPAQETLSHAARLLGKKKAKEGYGVVILSVSVTEAIKLWGHPTKTFSHWPPPRHVTIPVWSVLLESLFSSHWQAPLPYRYQCSWISHFHLV >EOX97620 pep chromosome:Theobroma_cacao_20110822:2:2886186:2890159:1 gene:TCM_006597 transcript:EOX97620 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAM domain (PCI/PINT associated module) protein isoform 1 MTQDVEMKEQAAPSNSLSSSSPSTLHHLKEIASLIETGAYAREVRRILRAVRLTMALRRKLKASVLSAFLNFALTPGSEGLTRLSSYLPMEDEHEMEVDTATSAVQAPAKHSLPELEIYCYLLVLIFLIDQKKFNEAKACSSASIARLKNMNRRTVDVLAARLYFYYSLCYEHTGDLAEIRGNLLALHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKECLLQAARKAPVAALGFRVQCNKWAIIVRLLLGEIPERTVFMQEGMEKALRPYFELTNAVRIGDLELFRTVAEKFSSTFSSDRTHNLIVRLRHNVIRTGLRNISISYSRISLVDVAKKLRLDSVADAESIVAKAIRDGAIDATLDHANGCMLSKETGDIYSTNEPQMAFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAEKRRERQQQEQELAKHIAEEDDDEF >EOX97619 pep chromosome:Theobroma_cacao_20110822:2:2886186:2889753:1 gene:TCM_006597 transcript:EOX97619 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAM domain (PCI/PINT associated module) protein isoform 1 MTQDVEMKEQAAPSNSLSSSSPSTLHHLKEIASLIETGAYAREVRRILRAVRLTMALRRKLKASVLSAFLNFALTPGSEGLTRLSSYLPMEDEHEMEVDTATSAVQAPAKHSLPELEIYCYLLVLIFLIDQKKFNEAKACSSASIARLKNMNRRTVDVLAARLYFYYSLCYEHTGDLAEIRGNLLALHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKECLLQAARKAPVAALGFRVQCNKWAIIVRLLLGEIPERTVFMQEGMEKALRPYFELTNAVRIGDLELFRTVAEKFSSTFSSDRTHNLIVRLRHNVIRTGLRNISISYSRISLVDVAKKLRLDSVADAESIVAKAIRDGAIDATLDHANGCMLSKETGDIYSTNEPQMAFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAEKRRERQQQEQELAKHIAEEDDDEF >EOY00458 pep chromosome:Theobroma_cacao_20110822:2:32555979:32571303:-1 gene:TCM_010324 transcript:EOY00458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pro-like protein MASTQQSEGDCLSKDHFSSLPDRVHLDLKQNDFTDLLNIWDKWGATTRANFDRKYGHIARLLKVQKTGHRRKLAKMMGITSAEVDQNLRKKGDNECIPWSFLRGYIMKQRDTEQGQLVMALAIYGLVIFPKVLGHIKVGIIDFFEQVVNKANPSPFILAETLRSLNYCRRKGEGRFVGCAQLLSIWIMSHFECKVDKFRKPFHPQTAPIREFCESEWPENRTKEQWISRFRELMSVKVTWRAPWMPHHPFVPMTHRLNTLEFAYGEPGFLKRIEEIAQAWKKTSRVDQGRYTDEVTTGYQIWHDQRVKDVVYPKEDALRGPVDPEPRDTLLESELARKKSEAENASWKQRYEDLQKECEKMKREVSEQRNKVRKMEGKYESLNDKFSATTSELRREIQVRENQGNELQTHNDGLRRQVRFQQESIQLLRQEYEELEGVMTTYQQEYERLKQQSTRIQEWGSKIARPPKPSDQRVHNTRQRARIMGEEQRERMDRMERAQEEMREQLAKMMELMMSLSKGKRAIKEPAPSENPPAQDSGNQREDPPYPPGFTPPHAQTSQRVHPQVIPPVYYNAPPPLGHQPIQGQFGPYSGINPAEPINVPDLDDPKEQEKLRKDSSQTGENEKDQKKYDLLEERLRAIEGVDRFGTMDATELCLVPDVLIPAKFKVPEFEKYDGTKCPMAHITMYCRKMAAQSHDDKLLIHFFQDSLTGSAARWYVQLDRNRIKTWKDLARAFIAQYKHVAELAPDRLSLQTMEKKQSENFKEYAQRWRDTAAQVQPPLIDKEMTVLFINTLRAPFYERLIGNATKNFADLVLSGEIIEGAIKSGKIEGHEVASSKKGRMIRRVKKGIDEIQTPMDKVFEALSKINVITPEPIDTKELGHDLAYSCKFHMGAIGHSIQNCDGFRRKLQELMDSSVIEFYEGAEENLVGTINGDTPAEVASSSFGANKPKPLTIFYEENRSPMNDTSPTMIRNDLTGVGGITRSGRCYSPEVAERVGKGKPSQGEGGLKKADTFAKDQVDESIVAPNSEVKNPVTEKEAGEFLKFIKHSEYSVVEQLTKMPARISLLSLLLNSEAHRNALLKVLNQAYVAQDISVEKLDHIVGNITVGNFIAFNDEEIPSGGRGGNKALHITIKCKDHAVPRVLVDNGSALNVMPRSTLTKLPVDVSYMRTSRMVVRAFDGTTREVVGDIELPIKIGPCIFEVQFQVMDIAPSYNCLLGRPWIHMAGAIPSSLHQKVKFIAEGQLISVCAEEDILAIQPSSAPYVEATEVVPECSFRSFEFVNATYVGEKKVIPTPRLSLATKMGVKQTVGKGCRAGLGLGKNLQGINRPLTPMKNEERFGLGYKPTKEERRKLTAQKKIKRMAQLEGKEEEFGERTIPRLYETFRSAGFIHLEAPPKVNQVLRIFDELSIHMIRDEEPDGKIPMVYPVLPGEELSNWTATELPIIFKSSKM >EOX97795 pep chromosome:Theobroma_cacao_20110822:2:3475615:3477791:-1 gene:TCM_006728 transcript:EOX97795 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MASSSSPYLFLSSRQANAPTKPFSENSKMLATTTVPKGHIAVYVGEGSTRRFVIPISYLNHPLFKDLLNQAAEEFGFNHPMGDLTIPCSEEYFNSLITILGYS >EOY01309 pep chromosome:Theobroma_cacao_20110822:2:38937772:38943401:-1 gene:TCM_011242 transcript:EOY01309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1423) [Source:Projected from Arabidopsis thaliana (AT1G14740) TAIR;Acc:AT1G14740] MFGEKDPSSDLPCVGDENSQSKLSRLFQNKESSVEKMSFLQKGIDFLRESKVGLDGGYALPRPSKPGNSGSQELTLSYLCENPKLGFCSEKEFPGKTLLEKVTSYKGKEVVDSENSNQDEKWVERDFLNLSESKGISSKREVEEDFHERENSNREKRPKLETLNLSLALPDVSLSLTASNALQNGDPPQHRPRPSRSVQSLAPSNNNTQTTCSNDFTAASLSYSYSLPFSHNPSCSLTRNSTENYEYSVGKDDQIWCGGEGTNGSVHSRFRPIADGVALANHGGAGGGGGFSMMQVNRQVNKDSCNSLHRTTSSDNHSFFPSELPARPRVDNLSGDSRRRDSENLRVLESMEGGRSRKISRPERILREIVSESIPAMAQIMQELPDETVELTKEYLRNIIATPEKKEELVGLQNRLERRSDLTKETLSKCQKDQLEILVAVKMGLKSFLSVKMRFPTTELLEIFFFMRCRNLNCKSLLPVDDCDCKICSGNKGFCSSCMCPICLNFDCANNTCSWVGCDVCSHWCHAACGIRRNLIKPGPSLKGPSGTTEMQFHCIGCGHASEMFGFVKDVFLCCAKDWGPETLKKELDCVRKIFKGSDDSKGKELHIKADELCTQLQNKMMSALDACSVIIQFFNFIDVCAIYSVGIPYTAEDICREVREHRYSCLNSFFSFFVFFSFRPGQIDTDGMADFPPSGACSKDLMTTQVDLRKDESSVTPATSLPPKLVFYSTSSSSGRDLLPNDHGQKDIKTALANDLKSEDEYRFGRLSNNDGFDSLESMVRIKEAEAMMFQSKADEARREAETYMRMIQAKSDKLEEEYAEKLSRLCLQETEERRRKKVEEVKVLEHSHCDYYQMKLRMQAEIASLLERMEATKQQWV >EOY01310 pep chromosome:Theobroma_cacao_20110822:2:38938864:38943417:-1 gene:TCM_011242 transcript:EOY01310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1423) [Source:Projected from Arabidopsis thaliana (AT1G14740) TAIR;Acc:AT1G14740] MFGEKDPSSDLPCVGDENSQSKLSRLFQNKESSVEKMSFLQKGIDFLRESKVGLDGGYALPRPSKPGNSGSQELTLSYLCENPKLGFCSEKEFPGKTLLEKVTSYKGKEVVDSENSNQDEKWVERDFLNLSESKGISSKREVEEDFHERENSNREKRPKLETLNLSLALPDVSLSLTASNALQNGDPPQHRPRPSRSVQSLAPSNNNTQTTCSNDFTAASLSYSYSLPFSHNPSCSLTRNSTENYEYSVGKDDQIWCGGEGTNGSVHSRFRPIADGVALANHGGAGGGGGFSMMQVNRQVNKDSCNSLHRTTSSDNHSFFPSELPARPRVDNLSGDSRRRDSENLRVLESMEGGRSRKISRPERILREIVSESIPAMAQIMQELPDETVELTKEYLRNIIATPEKKEELVGLQNRLERRSDLTKETLSKCQKDQLEILVAVKMGLKSFLSVKMRFPTTELLEIFFFMRCRNLNCKSLLPVDDCDCKICSGNKGFCSSCMCPICLNFDCANNTCSWVGCDVCSHWCHAACGIRRNLIKPGPSLKGPSGTTEMQFHCIGCGHASEMFGFVKDVFLCCAKDWGPETLKKELDCVRKIFKGSDDSKGKELHIKADELCTQLQNKMMSALDACSVIIQFFNYTDGMADFPPSGACSKDLMTTQVDLRKDESSVTPATSLPPKLVFYSTSSSSGRDLLPNDHGQKDIKTALANDLKSEDEYRFGRLSNNDGFDSLESMVRIKEAEAMMFQRRRVC >EOY02237 pep chromosome:Theobroma_cacao_20110822:2:42435977:42436413:1 gene:TCM_011924 transcript:EOY02237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTECLKGMARVSSSSSKKDTAGRSLYQNISRFGETIGACSPVATDKKDFLSVRGETGAWLAAVVAGGWLDGEAAAAGGRSLGGSGGLPATIP >EOY00509 pep chromosome:Theobroma_cacao_20110822:2:33120259:33128239:-1 gene:TCM_010393 transcript:EOY00509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 34 isoform 2 MSGNEFRFFLSCDINLPVTFRVDRLEGTLPSTKSANSGIDSTTEERKAELYVECALYIDGAPFGLPTKTRLESTGPLYCWNELITLSTKYRDLTAHSQLALTVWDVSCGKDDGLIGGATILLFNSKAQLKTGKQKLRLWLGKQADGSFPTTTPGKVPRQECGELERLEKLANKYERGQIQPVDWLDRLTFKAMERIKERESLKNGSSHLYLVVDFCSFEHRVVFQESGANFILPSPIASSNELVIVWDPEVGKINPSEHKQLKLARSLTRGIIDRDLKPSSNERKSIQRILKYPPTRALSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQAIELMGKWETIDVCDALELLSPLFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERTDKSRLSQFLVQRSLRNIELASFLRWFVAVELHDPAYAKRFYSTYEFLEENMMKLTAGVNGDEDGFKMWQSLVRQTELTAQLCSIMRDVRNVRGNTQKKIEKLRQLLSGLLSELTYFDEPIRSPLAPNVLITGIVPSESSIFKSALHPLRLTFRTANGGQCKVIFKKGDDIRQDQLVIQMVSLMDRLLKLENLDLHLTPYKVLATGQDEGMLEFIPSRSLAQIISEHRSIISYLQKFHPDEHGPFGITAICLETFIKSCAGYSVITYILGIGDR >EOY00508 pep chromosome:Theobroma_cacao_20110822:2:33118840:33128442:-1 gene:TCM_010393 transcript:EOY00508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 34 isoform 2 MSGNEFRFFLSCDINLPVTFRVDRLEGTLPSTKSANSGIDSTTEERKAELYVECALYIDGAPFGLPTKTRLESTGPLYCWNELITLSTKYRDLTAHSQLALTVWDVSCGKDDGLIGGATILLFNSKAQLKTGKQKLRLWLGKQADGSFPTTTPGKVPRQECGELERLEKLANKYERGQIQPVDWLDRLTFKAMERIKERESLKNGSSHLYLVVDFCSFEHRVVFQESGANFILPSPIASSNELVIVWDPEVGKINPSEHKQLKLARSLTRGIIDRDLKPSSNERKSIQRILKYPPTRALSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQAIELMGKWETIDVCDALELLSPLFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERTDKSRLSQFLVQRSLRNIELASFLRWFVAVELHDPAYAKRFYSTYEFLEENMMKLTAGVNGDEDGFKMWQSLVRQTELTAQLCSIMRDVRNVRGNTQKKIEKLRQLLSGLLSELTYFDEPIRSPLAPNVLITGIVPSESSIFKSALHPLRLTFRTANGGQCKVIFKKGDDIRQDQLVIQMVSLMDRLLKLENLDLHLTPYKVLATGQDEGMLEFIPSRSLAQIISEHRSIISYLQKFHPDEHGPFGITAICLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGRLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFHLMAGSNIPDIACDPEKGILKLQEKFRLDLDDEACIHFFQDLINESVSALFPQMVETIHRWAQYWR >EOX99064 pep chromosome:Theobroma_cacao_20110822:2:8328504:8335215:1 gene:TCM_007682 transcript:EOX99064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIAPLSLLLPSRCFFFCFLLHFVMVPCSLGSTNVVDLSYDFYNKSCPNVEQIIHNVVSQKLLEAPVTAAGALRIFFHDCFVEIRLRKFLDSL >EOX97539 pep chromosome:Theobroma_cacao_20110822:2:2612198:2613897:-1 gene:TCM_006533 transcript:EOX97539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 124 isoform 1 MPKKMGVNNKAEAARARKSATEAERKERESREKEEQYWREAEGPKSKAAKKREEDAEKRAEAATRRAEARRQAEMEEKEIEKAMKKPDKKANRVSIPVPKVTEVELRKKREEEQAEMAKKAEEAKKRQTRTAAEEEYERMVLVTNTNRDDSLIEARSVEEAIAQMSVEDNLPADRHPERRLKASFKAFEEAELPRLKEEKPGLTHNQYKDMIWKLWKKSPDNPLNQIAE >EOX97540 pep chromosome:Theobroma_cacao_20110822:2:2611421:2613806:-1 gene:TCM_006533 transcript:EOX97540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 124 isoform 1 GVNNKAEAARARKSATEAERKERESREKEEQYWREAEGPKSKAAKKREEDAEKRAEAATRRAEARRQAEMEEKEIEKAMKKPDKKANRVSIPVPKVTEVELRKKREEEQAEMAKKAEEAKKRQTRTAAEEEYERMVLVTNTNRDDSLIEARSVEEAIAQMSVEDNLPADRHPERRLKASFKAFEEAELPRLKEEKPGLTHNQYKDMIWKLWKKSPDNPLNQIFHCIQPFSGSGTCCSNTSFRISWTDADHPEI >EOX97541 pep chromosome:Theobroma_cacao_20110822:2:2612814:2614030:-1 gene:TCM_006533 transcript:EOX97541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 124 isoform 1 MPKKMGVNNKAEAARARKSATEAERKERESREKEEQYWREAEGPKSKAAKKREEDAEKRAEAATRRAEARRQAEMEEKEIEKAMKKPDKKANRVSIPVPKVTEVELRKKREEEQAEMAKKAEEAKKRQTRTAAEEEYERMVLVTNTNRDDSLIEARSVEEAIAQMSVEDNLPADRHPERRLKASFKAFEEAELPRLKEEKPGLTHNQYKDMIWKLWKKSPDNPLNQANC >EOX97542 pep chromosome:Theobroma_cacao_20110822:2:2612817:2614030:-1 gene:TCM_006533 transcript:EOX97542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 124 isoform 1 MPKKMGVNNKAEAARARKSATEAERKERESREKEEQYWREAEGPKSKAAKKREEDAEKRAEAATRRAEARRQAEMEEKEIEKAMKKPDKKANRVSIPVPKVTEVELRKKREEEQAEMAKKAEEAKKRQTRTAAEEEYERMVLVTNTNRDDSLIEARSVEEAIAQMSVEDNLPADRHPERRLKASFKAFEEAELPRLKEEKPGLTHNQYKDMIWKLWKKSPDNPLNQASM >EOX96798 pep chromosome:Theobroma_cacao_20110822:2:73165:74961:-1 gene:TCM_005964 transcript:EOX96798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma-membrane associated cation-binding protein 1, putative MGYWKSKILPKIKKVFEKNGSKKAAVEACKSFDDSKEEISQEFEDKRAVLQLKIVEIYEASPAEIKTIVKDPKEAGLKKHSADVNKFLEEPTKMEFPGSKAVCEASSKYGAALVPGPVLFLLEKV >EOX96902 pep chromosome:Theobroma_cacao_20110822:2:446754:451137:1 gene:TCM_006045 transcript:EOX96902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein isoform 1 MVVLAASIISKSGKVLVSRQFVDMTRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVPEYSYSLDEEGIGKTAFELIFAFDEVICLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIEKSKIDKNRGDKGGFMSMGSGRIESSFSDVSISSSGSGFGSGSAFGLTTEVDSFSTKPKGRQPSSATAPPKGLGMQLGKTQKTNQFLASLKAEGELIVEDVQPKAGQSRVAAPPPTDPITLTAEEKLNVTLKRDGGISNFDVQGTLSLQILNQEDGLIQVQIENGSNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGPAGDAAGVGLLKWRMQSADESMVPLSINCWPSVSGNETYVSIEYEASSMFDLRNVVISVPLPALREAPNIRQIDGEWRYDSRNSTLEWSILLIDNSNRSGSLEFVVPPADSSAFFPISVRFSATSIYSDLKV >EOX96901 pep chromosome:Theobroma_cacao_20110822:2:446726:451900:1 gene:TCM_006045 transcript:EOX96901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein isoform 1 MVVLAASIISKSGKVLVSRQFVDMTRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVPEYSYSLDEEGIGKTAFELIFAFDEVICLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIEKSKIDKNRGDKGGFMSMGSGRIESSFSDVSISSSGSGFGSGSAFGLTTEVDSFSTKPKGRQPSSATAPPKGLGMQLGKTQKTNQFLASLKAEGELIVEDVQPKAGQSRVAAPPPTDPITLTAEEKLNVTLKRDGGISNFDVQGTLSLQILNQEDGLIQVQIENGSNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGPAGDAAGVGLLKWRMQSADESMVPLSINCWPSVSGNETYVSIEYEASSMFDLRNVVISVPLPALREAPNIRQIDGEWRYDSRNSTLEWSILLIDNSNRSGSLEFVVPPADSSAFFPISVRFSATSIYSDLKVVNVIPLRGGAPPKFAQRTNLITENYQVV >EOX96903 pep chromosome:Theobroma_cacao_20110822:2:447291:450813:1 gene:TCM_006045 transcript:EOX96903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein isoform 1 MVVLAASIISKSGKVLVSRQFVDMTRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVPEYSYSLDEEGIGKTAFELIFAFDEVICLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIEKSKIDKNRGDKGGFMSMGSGRIESSFSDVSISSSGSGFGSGSAFGLTTEVDSFSTKPKGRQPSSATAPPKGLGMQLGKTQKTNQFLASLKAEGELIVEDVQPKAGQSRVAAPPPTDPITLTAEEKLNVTLKRDGGISNFDVQGTLSLQILNQEDGLIQVQIENGSNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGPAGDAAGVGLLKWRMQSADESMVPLSINCWPSVSGNETYVSIEYEASSMFDLRNVVISVPLPALREAPNIRQIDGEWRYDSRNSTLEWSILLIDNSNRRSVHIFKHCKTCCMRSF >EOY01071 pep chromosome:Theobroma_cacao_20110822:2:37355734:37360729:-1 gene:TCM_011015 transcript:EOY01071 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein MAIFLLLLLLLVSAVAGDEDAFVGVNIGTDLSDMPSPTQVVALLKAQSIKYVRLYDADRAMLLALANTGIQVTVSVPNDQLLGIGQSNATAANWVARNVIAHVPATNITAIAVGSEVLTALPNAAPVLVSALKFIHSALVASNLDGQIKVSTPHSSSIILDSFPPSQAFFNRSWDPVMVPLLKFLQSTGSYLMLNVYPYYDYMQSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMSYLNFTKIPIVVTETGWPSKGDSSEPDATLENANTYNSNLIRHVLNNTGTPKHPRIAVSTYIYELYNEDLRPGSVSEKNWGLFDANGIPVYILHLTGAGTVLANDTTNQTFCVAKEGADPKMLQAALDWACGPGKVECSPLLQGHPCYEPDNVVSHSTYAFNAYFQQMAKSPGTCDFKGVATITTTDPSHGSCIFPGSIGKNGTITNGTSLAPSSNSTSSGCASQYFYGTGSFTTSVIIAVLLLSAVFL >EOX97291 pep chromosome:Theobroma_cacao_20110822:2:1837095:1845557:1 gene:TCM_006359 transcript:EOX97291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEIFQANLAPNHARKLTFSCNAHALPPGARFDMMVEDDGTGRSWKFECKRESQANSFSFSGRQWREFAKSRINASITLFGNGNIYIIRREDEEDMEGRESETDEREREGCKEVRVERERDREDVETGHGVREREGWNEVRVEREREDIETETSCERKRAGRRGQNRERKRKGVETETRCERKRGVPRGKSGERKRKDVEMETRCERKRGCQEVRVERKREKMLRRRQGVSERGVRRGQHRKRKRKGVETETRCERKRGVQRRQVERERETRLRRRQVAREREGCKDVREERKRKDVETETRCERKKKDIETETRCERKSGLQRGQSRQRKRKGVETETRCERKIGVQRSKSRERKRKGVETETRCESKRGMRRRQSRERKRKDVETEIRCERKRGVQRRQTRERNRKDVETKS >EOY00389 pep chromosome:Theobroma_cacao_20110822:2:31974944:31977497:-1 gene:TCM_010257 transcript:EOY00389 gene_biotype:protein_coding transcript_biotype:protein_coding description:RS-containing zinc finger protein 21 isoform 1 MSRVYVGNLDPRVTERDLEDEFRIFGVLRSVWVARRPPGYAFVEFDDRRDAIDAIRELDGKSGWRVELSHNSKGGGGRGGRRGGGEDLKCYECGEPGHFARECRLRIGSRGLGSGRHRSPSPRRRRSPSYGYGRRSYSPRGRRSPRRRSISPRRGRSYSRSPPYRHSRRDSPYANGD >EOY00390 pep chromosome:Theobroma_cacao_20110822:2:31973989:31978764:-1 gene:TCM_010257 transcript:EOY00390 gene_biotype:protein_coding transcript_biotype:protein_coding description:RS-containing zinc finger protein 21 isoform 1 MSRVYVGNLDPRVTERDLEDEFRIFGVLRSVWVARRPPGYAFVEFDDRRDAIDAIRELDGKSGWRVELSHNSKGGGGRGGRRGGGEDLKCYECGEPGHFARECRLRIGSRGLGSGRHRSPSPRRRRSPSYGYGRRSYSPRGRRSPRRRSISPRRGRSYSRSPPYRHSRRDSPYANGD >EOY00654 pep chromosome:Theobroma_cacao_20110822:2:34294301:34300572:-1 gene:TCM_010561 transcript:EOY00654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGIFTRSKVSRKPNESMRLIITTFVGVVFGFLIGVSFPTLSFTKLNLSSSILTSIDFSYTQYKNSGPSNSTHVPPPIGNNGSSANVTSKKIWVPSNPRGAERLAPGIVRAESDLYLRRLWGKPSEDLTSTPKYLVTFTVGYNQRMNIDAAVKKFSGNFTILLFHYDGRTTEWDEFEWSKQAIHVSVRRQTKWWYAKRFLHPDIIAPYDYIFIWDEDLGVEHFNAEEYIKLVRKYGLEISQPGLEPNKGLTWQMTKRRGDREVHKETEEKPGWCNHPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQSVPSLGNQGEAQNGKAAWQGVVRERCKKEWTVFQTRFSRAEKAYLKELNSTSH >EOY00653 pep chromosome:Theobroma_cacao_20110822:2:34294448:34300426:-1 gene:TCM_010561 transcript:EOY00653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGIFTRSKVSRKPNESMRLIITTFVGVVFGFLIGVSFPTLSFTKLNLSSSILTSIDFSYTQYKNSGPSNSTHVPPPIGNNGSSANVTSKKIWVPSNPRGAERLAPGIVRAESDLYLRRLWGKPSEDLTSTPKYLVTFTVGYNQRMNIDAAVKKFSGNFTILLFHYDGRTTEWDEFEWSKQAIHVSVRRQTKWWYAKRFLHPDIIAPYDYIFIWDEDLGVEHFNAEEYIKLVRKYGLEISQPGLEPNKGLTWQMTKRRGDREVHKETEEKPGWCNHPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQSVPSLGNQGEAQNGKAAWQGVRERCKKEWTVFQTRFSRAEKAYLKELNSTSH >EOY01119 pep chromosome:Theobroma_cacao_20110822:2:37728460:37737841:-1 gene:TCM_011062 transcript:EOY01119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTYHYECQNLHMEVFQPPNVVPEPHYPAPLFVQLEVTITLKLERIQHYCLIDEYYMDTDDEPFFSEETVRFDLHLVKDQRRVTEILGSMLTRLAIPPYSRLFNDVLGTLIQHGLRLSNCTSSMGRIVLPLHAYLRGAIMLHFHYAEPDNILGVWSLSDSESESEFETSNYGMLPAKDLSVKEMLKRVGVRGGEDCVICLEELERPNHLHRHPSPAERLFQIPEGQQQSNRSPSKLCLTTCPQNTAHRPSFSAIVRALRSFHCIPQPEAGRQRASATIALLFSIPPFVKFTTLFSQIRQPEPPPNAQCSTYQQTHYPAATYSSFFPQFLIVPCEKQLFLFTTSLMASRHEYQGLHVHVFQPANIVPEPHYPAPLFVQLEVTITLELNLIRHYCSINRFTSDAGPLIFSQETRRFDLHIVKDHYRVTEILGSMLTRLGLSSSSLVFNVVLRKLIRYGLGLSNYTSSMGRIVLPLHANLWGNFVEHVNFNETDSITRALAESASEFETGNYGMLSAKESSVKEMLKRVRAEGGEDCVICLEELGVGSDASEMPCFHTFHENCIQKWLKQSHYCPICRFELPT >EOY00364 pep chromosome:Theobroma_cacao_20110822:2:31723520:31725692:-1 gene:TCM_010219 transcript:EOY00364 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein, putative isoform 1 MQKTGTCSTSFCNSTFGFTSLHHGSGSANHSIKQKNTAFSLSFPFRRAIFASSLPPLSQQTHLTFLSVFPNKDSNKLRASAFNKGKNGGTTLYEMDRSDGEEEDEFFDFDDGLDGGDDDCDEGMFLPFGKMKKWLENKPRGFGEGKVYDTSIEDKLLEEIEQSRQAQVVNVNNLKNNPVKSGSKKVAEVVPSGVRVRVVNLPKKKNIHRDLKAAFNGVSGIINISPAVSGNKKTKDPVCKGFAFVDFKREVDATRFVQNFSGHNIMFGRIQKQIKCEMMNSVSQNPAHEELSDKDSITPEVAVPGLGDCPDTDFDMNISCSDLSLDDQDEEFDRVEQGEGRDNLNVISESGPSNGDERAADSMSSNRLERIRALEQKLIARGKQQGVPKEQKGQKLERIRGIEKKPLAKGKQKKDPKEQQVQKLDIPGSAKRLKIKEKALLTGVFSKYGLKTALTWKEES >EOY00363 pep chromosome:Theobroma_cacao_20110822:2:31722106:31725854:-1 gene:TCM_010219 transcript:EOY00363 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein, putative isoform 1 MQKTGTCSTSFCNSTFGFTSLHHGSGSANHSIKQKNTAFSLSFPFRRAIFASSLPPLSQQTHLTFLSVFPNKDSNKLRASAFNKGKNGGTTLYEMDRSDGEEEDEFFDFDDGLDGGDDDCDEGMFLPFGKMKKWLENKPRGFGEGKVYDTSIEDKLLEEIEQSRQAQVVNVNNLKNNPVKSGSKKVAEVVPSGVRVRVVNLPKKKNIHRDLKAAFNGVSGIINISPAVSGNKKTKDPVCKGFAFVDFKREVDATRFVQNFSGHNIMFGRIQKQIKCEMMNSVSQNPAHEELSDKDSITPEVAVPGLGDCPDTDFDMNISCSDLSLDDQDEEFDRVEQGEGRDNLNVISESGPSNGDERAADSMSSNRLERIRALEQKLIARGKQQGVPKEQKGQKLERIRGIEKKPLAKGKQKKDPKEQQVQKLDIPGSAKRLKIKEKALLTGVFSKYGLKTALTWKEES >EOX96816 pep chromosome:Theobroma_cacao_20110822:2:127727:132661:-1 gene:TCM_005973 transcript:EOX96816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase MDTMKKDFSILLNTSIILFLTCCAFVSVFCQNQELSILLEVKSSFEEDPQNVLHDWSSKTNPNFCTWTGVTCGFSSFDSSSVHVVSLNLSGFSLGGSISPSLGRLQNLLHLDLSSNRLTGPIPTTLSNLPSLESLLLFSNQLSGPIPPQLGSLTSLRVMRIGDNGLTGPIPSTFGNLVNLVTLGLASCNLTGPMPPELGQLARVENLILQDNQLEGPIPPELGNCSSLNVFTAALNNLNGSIPAELGCLKGLQVLNLINNSLSGEIPSQLGELSQLVYLSFMGNHLEGTIPRSLANLGNLQNLDLSLNKLTGGIPEELGQMSELVFLVLSNNNLSCPIPRNICSNTSNLEHLILSEVQLLGEIPAELRLCRSLNQLDLSNNTLNGSIPVELYELLELTDLYLHNNSLVGSISPFIANLSNLQTLALFHNNLEGNLPREIGMLSKLEILYLYENQLSGDLPSEIGNCSSLKMIDFFGNRFTGEIPVTIGRLKELHLLHLRQNALVGYIPATLGNCHQLTILDLADNRLSGVIPASFGYLEALEQLMLYNNSIEGNLPTSLINLANLTRVNLSKNKMNGSIAALCSSRSLLSFDLTNNALDGDIPPELGNSPFLERLRLGKNQFTGRIPGSLGKIRELSLLDLSGNMLSGPIPAELMLCRKLSHIDLNNNFLSGLVPPWLGGLPQLGEIRLSSNQFFGALPRELFNCSKLLVLCLDGNSLNGTLVGEIGNLLSLNVLNLNRNQLSGPIPPTIGKLSKLYELQLSWNSFNGDIPTELGQLQNLQSILDLSNNNLTGQIPPSIGTLSKLEALDLSHNQLIGEVPPQIGDMSSLGKLNLSCNKLQGKLSKQLSHWPAEAFEGNLNLCGSPLDRCNNLPSSKQQSALSETSVVVISAISTLAAIALLALIVATFLKQRREYAKRASEVNCTYSSSSSQARRRLLFQNGAAKQDYKWEDIMQATKHLSDEFVIGSGGSGTVYKAELPKGETVAVKKISWKEDLLLNRSFTREIKTLGRIRHRHLVKLMGYCRNRVAGLNLLIYEYMENGSVWDWLHRKPVNIKKKSVDWEARIRIAVGLAQGVEYLHHDCVPKIVHRDIKSSNVLLDSNMDAHLGDFGLAKSLAENHDSNTESNSWFAGSYGYIAPEYAYTLKATEKSDVYSMGIVLMELVSGKMPTDACFGVDMDMVRWVETRMEMQGSDRGELIDPALKPLLPGEESAAYQVLEIALQCTKTTAQERPSTRQASDQLIHVLNNRMVDFDKMT >EOX99170 pep chromosome:Theobroma_cacao_20110822:2:8814194:8818416:-1 gene:TCM_007764 transcript:EOX99170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR2 isoform 3 MESICPIDQRCSHQISALLQPPSPLHIQEYFERLISKRQCHGIKVKQDGEFGKGVFAETDFEEEQLILKDQMLVGAQHPSNKIDCLVCSYCFKFIGSIEQQIGRKLYLKTLGVSQHHGCENDLSDEDQDNHYVENHHNSENGASSSSISTNSLPKMALEALMNGELSLPYSNKFPLPSVVSCPGGCEEAFYCSKSCAEADWESFHSLLCTGEKSESLSREALLKFIQHANETNDIFLLAAKAISFTILRYRKVKASHLKKQENTAPSILGTSDLSLLVEAWKPISIGHKRRWWDCIALPGDIDGSDEAAFRMQIRELAFTSLHFLKEAIFDKECEPLFSLEIYGHIIGMFELNNLDLVVPSPVEDYFLYIDDLAYPEKKEAERITQPYLDALGDDYSVCCQGTAFFPLQSCMNHSCCPNAKAFKREEDRDGQATIIALRPICKGEEVTISYIDEDLPFEERQALLADYGFRCRCTRCLEEEP >EOX99171 pep chromosome:Theobroma_cacao_20110822:2:8815200:8818071:-1 gene:TCM_007764 transcript:EOX99171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR2 isoform 3 YFERLISKRQCHGIKVKQDGEFGKGVFAETDFEEEQLILKDQMLVGAQHPSNKIDCLVCSYCFKFIGSIEQQIGRKLYLKTLGVSQHHGCENDLSDEDQDNHYVENHHNSENGASSSSISTNSLPKMALEALMNGELSLPYSNKFPLPSVVSCPGGCEEAFYCSKSCAEADWESFHSLLCTGEKSESLSREALLKFIQHANETNDIFLLAAKAISFTILRYRKVKASHLKKQENTAPSILGTSDLSLLVEAWKPISIGHKRRWWDCIALPGDIDGSDEAAFRMQIRELAFTSLHFLKEAIFDKECEPLFSLEIYGHIIGMFELNNLDLVVPSPVEDYFLYIDDLAYPEKVRTHALVFQSNNFLCNINFWCHFLSHSWQKEAERITQPYLDALGDDYSVCCQGTAFFPLQ >EOX99172 pep chromosome:Theobroma_cacao_20110822:2:8815201:8818069:-1 gene:TCM_007764 transcript:EOX99172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR2 isoform 3 YFERLISKRQCHGIKVKQDGEFGKGVFAETDFEEEQLILKDQMLVGAQHPSNKVILIYYLHLFLRKFLFDGVPFFLFSNLQIDCLVCSYCFKFIGSIEQQIGRKLYLKTLGVSQHHGCENDLSDEDQDNHYVENHHNSENGASSSSISTNSLPKMALEALMNGELSLPYSNKFPLPSVVSCPGGCEEAFYCSKSCAEADWESFHSLLCTGEKSESLSREALLKFIQHANETNDIFLLAAKAISFTILRYRKVKASHLKKQENTAPSILGTSDLSLLVEAWKPISIGHKRRWWDCIALPGDIDGSDEAAFRMQIRELAFTSLHFLKEAIFDKECEPLFSLEIYGHIIGMFELNNLDLVVPSPVEDYFLYIDDLAYPEKVRTHALVFQSNNFLCNINFWCHFLSHSWQKEAERITQPYLDALGDDYSVCCQGTAFFPLQ >EOX99173 pep chromosome:Theobroma_cacao_20110822:2:8815200:8818469:-1 gene:TCM_007764 transcript:EOX99173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR2 isoform 3 MESICPIDQRCSHQISALLQPPSPLHIQEIDCLVCSYCFKFIGSIEQQIGRKLYLKTLGVSQHHGCENDLSDEDQDNHYVENHHNSENGASSSSISTNSLPKMALEALMNGELSLPYSNKFPLPSVVSCPGGCEEAFYCSKSCAEADWESFHSLLCTGEKSESLSREALLKFIQHANETNDIFLLAAKAISFTILRYRKVKASHLKKQENTAPSILGTSDLSLLVEAWKPISIGHKRRWWDCIALPGDIDGSDEAAFRMQIRELAFTSLHFLKEAIFDKECEPLFSLEIYGHIIGMFELNNLDLVVPSPVEDYFLYIDDLAYPEKVRTHALVFQSNNFLCNINFWCHFLSHSWQKEAERITQPYLDALGDDYSVCCQGTAFFPLQ >EOX97818 pep chromosome:Theobroma_cacao_20110822:2:3578808:3579342:1 gene:TCM_006751 transcript:EOX97818 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MGIRVPRIMHARQILRQPKLFANQAASTSTDVPKGYFSVYVGESEKKRFVVPISVLNQPSFQKLLSIAEEEFGFDHPMGGLTIPCREEVFIDLTTRLH >EOX98823 pep chromosome:Theobroma_cacao_20110822:2:7353482:7360393:1 gene:TCM_007503 transcript:EOX98823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter protein MENVFNKLRNLDAYPKVNEDFYSRTLSGGIITLVSSLAIFFLFFSEFRLYLHTVTETKLLVDTSRGEALRINFDVTFPAIPCTLLNLDAMDISGEQHLDIKHDIIKKRINAHGDVIESRQDGIGAPKIEKPLQRHGGRLEHNETYCGSCFGAEQSDDDCCNSCEEVREAYRRKGWAMTNVDLIDQCKREGFIQRVKDEDGEGCNIHGSLEVNKVAGNFHFAPGKSFHQTNIFLSDLLAFQKDSYNISHRINRLAFGEYFPGVVNPLDGAQWIHETSNGMYQYFIKVVPTIYTDIRGRTVHSNQYSVTQHFKNLEVIYPNSHPGVFFIYDFSPIKVTFKEEHISFLHFITNICAVIGGIFTVAGIIDSFVYHGQRRMKKKMEIGKFR >EOX98261 pep chromosome:Theobroma_cacao_20110822:2:5126076:5129440:-1 gene:TCM_007067 transcript:EOX98261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--nitrite reductase, chloroplastic MSSFSVRFLAPQALQSTSSSRPKTWVLAAPTTAPASVEVDAGRLEPRVEERDGYFVLKEKFREGINPQEKVKIGKDPMKLFREGGIEGLAKMSMEEIDKDKTTKDDIDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSAQTRYLASVIRKYGKDGCADVTTRQNWQIRGVVLSDVPRILKGLEEIGLTSLQSGMDNVRNPVGNPLAGIDPEEIVDTRPYTNLLSQFITANSRGNPTITNLPRKWNVCVVGSHDLYEHPHINDLAYMPATKDGRFGFNLLVGGFFSPKRCEEAIPLDAWVSTDDVIPVCKAVLEAYRDLGSRGNRQRTRMMWLIDELGLEVFRSEIAKRMPQKELERASSEDLVQKQWERRDYLGVHPQKQEGFSYVGFHIPVGRVQADDMDELARLADTYGSGELRLTVEQNIIIPNVENWKLEALLNEPLLKDRFSPEPSILMKGLVACTGNQFCGQAIIETKARALKVTEEVERLVSVAQPVRMHWTGCPNSCGQVQVADIGFMGCMARDENGKPCEGADVFLGGRIGSDSHLEEGCSL >EOX99002 pep chromosome:Theobroma_cacao_20110822:2:8060195:8063409:-1 gene:TCM_007644 transcript:EOX99002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 1 MGVIEDTEPPLKRVKGPLDESKSSPEDRLTAEPVSCSLGAQMARPLTSQGDGETIGSKGVIKKAEFIKLITRALYSLGYDKSGALLEEESGIPLHSPVVNLFMQQVTDGKWDDSVATLHMIGVSDETIVKSASFLILEQKFLELLKMENVTAALDTLRKEIVPLRINMNRVHELAACIISPLQCVRLGISGQDSEGAKSRAKILEKLQKLLPAAVMIPEKRLEHLVERALDVQRGACAFHNASDSDLSLYSDHYCGKSQIPSQTLQILQAHNDEVWFLQFSHNGKYLASSSKDQLAIIWEFNENGQGSLKHTLCGHQKPVLTVSWSPDDSQILTCGQEEGIRRWDVSSGECLHVYEKSGVGLISCGWFPDGRGIFAGMTDRSICLWDMDGRELECWKGQRTLKISDMAVTDDGKRIISICRESAILLLDREAKFERLIEEVDMITSFSLSKDNKFLLVNLINQEIHLWSIEGDPKLVSKYKGHKRTRFVIRSCFGGLGQAFIASGSEDSQVYIWHRCSRELLLALPGHSGAVNCVSWNPANLHMLASASDDRTIRIWGLDHFNLKHRDQNNGAIHHCNGRS >EOX99001 pep chromosome:Theobroma_cacao_20110822:2:8059779:8064432:-1 gene:TCM_007644 transcript:EOX99001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 1 MGVIEDTEPPLKRVKGPLDESKSSPEDRLTAEPVSCSLGAQMARPLTSQGDGETIGSKGVIKKAEFIKLITRALYSLGYDKSGALLEEESGIPLHSPVVNLFMQQVTDGKWDDSVATLHMIGVSDETIVKSASFLILEQKFLELLKMENVTAALDTLRKEIVPLRINMNRVHELAACIISPLQCVRLGISGQDSEGAKSRAKILEKLQKLLPAAVMIPEKRLEHLVERALDVQRGACAFHNASDSDLSLYSDHYCGKSQIPSQTLQILQAHNDEVWFLQFSHNGKYLASSSKDQLAIIWEFNENGQGSLKHTLCGHQKPVLTVSWSPDDSQILTCGQEEGIRRWDVSSGECLHVYEKSGVGLISCGWFPDGRGIFAGMTDRSICLWDMDGRELECWKGQRTLKISDMAVTDDGKRIISICRESAILLLDREAKFERLIEEVDMITSFSLSKDNKFLLVNLINQEIHLWSIEGDPKLVSKYKGHKRTRFVIRSCFGGLGQAFIASGSEDSQVYIWHRCSRELLLALPGHSGAVNCVSWNPANLHMLASASDDRTIRIWGLDHFNLKHRDQNNGAIHHCNGRS >EOX99003 pep chromosome:Theobroma_cacao_20110822:2:8057208:8063497:-1 gene:TCM_007644 transcript:EOX99003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 1 MGVIEDTEPPLKRVKGPLDESKSSPEDRLTAEPVSCSLGAQMARPLTSQGDGETIGSKGVIKKAEFIKLITRALYSLGYDKSGALLEEESGIPLHSPVVNLFMQQVTDGKWDDSVATLHMIGVSDETIVKSASFLILEQKFLELLKMENVTAALDTLRKEIVPLRINMNRVHELAACIISPLQCVRLGISGQDSEGAKSRAKILEKLQKLLPAAVMIPEKRLEHLVERALDVQRGACAFHNASDSDLSLYSDHYCGKSQIPSQTLQILQAHNDEVWFLQFSHNGKYLASSSKDQLAIIWEFNENGQGSLKHTLCGHQKPVLTVSWSPDDSQILTCGQEEGIRRWDVSSGECLHVYEKSGVGLISCGWFPDGRGIFAGMTDRSICLWDMDGRELECWKGQRTLKISDMAVTDDGKRIISICRESAILLLDREAKFERLIEEVDMITSFSLSKDNKFLLVNLINQEIHLWSIEGDPKLVSKYKGHKRTRFVIRSCFGGLGQAFIASGSEDSQVYIWHRCSRELLLALPGHSGAVNCVSWNPANLHMLASASDDRTIRIWGLDHFNLKHRDQNNGAIHHCNGRS >EOY01136 pep chromosome:Theobroma_cacao_20110822:2:37821729:37826059:1 gene:TCM_011077 transcript:EOY01136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MKQEKWRVSEVRTPNYQSSEMHVWNCNHQSHTISVISRRFISYRSISHNSLCLDNLLPLPEDIASISLPFDQNDTPSIHRWDAIIRDISASSQPEKSLIVYAMMRRKGVTPSMHTFPSLLKSVSKSQNHNPYQLWPHIVKFGLNSDPFVKNSLISALFSSGRMELARQVFDENEQADVVSWTALINGYLKTGSLVEGLTCFKEMRLRGVKVDGMTVVSVLSAAGKMGNIWFGRSIHGFFMETGRVKWDVFVGSALVDMYSKSGCCDDARKYFDEMPRKNVVSWSALIAGYVQCNRFMQALVVFQDMLMENVRPSEFTFTSVLTACAEMGALVQGMWVHGYMDRCKLEMNSIVGSALINMYTKCGCLNEAFMVFKKLSGKDLFIWNTMINGLAMHGDAIGSFNLFWEMLGSGIHPNEVTFLNVLSACSHGGLVDEGRKLFAMMKHRYCMTPSVDHYSCMVDLLGRAGHLEEARKLIKDMPIEPTAGVWGALFGACVIHKAYDLGECIGKHLIKLQPNHSGRYALLANLYSRCQKWDSAAQIRKLMKEKGVKKTPGCSWIEVNGAIHEFIAFDGSHSEFHSLNQVMDSFIVQLTLADYATDCSLFAFDVDTD >EOX97448 pep chromosome:Theobroma_cacao_20110822:2:2308364:2312023:-1 gene:TCM_006456 transcript:EOX97448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein hemolysin-III, putative isoform 1 MVQQRIDSKFSEYGLRNPENNSPTCDKQPPVGAKKTPLRDLQNENRIVPNSTGSSPFSKDRGPVIDPIKFSGTKRPSPECPVSPSHCQSRSNSAASGHLVYVRRKSEAELGKSSAFDGTSISNCQQLTQVGQMEEINQKRAQIKEPKVSCFPAFAPLPMASLTSSSAKPSVLLPLGKSAMRLASSESNQQPAVSAASLLDSPKGNKKLHWEERYYELQMFLKMLDQSNQEDYIQMLRSLSAVGLSRHAIELEKRSIQLSLEEAKEMQRVGILNVLGKTMKVAKAPSSQPDQSYK >EOX97449 pep chromosome:Theobroma_cacao_20110822:2:2308369:2311986:-1 gene:TCM_006456 transcript:EOX97449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein hemolysin-III, putative isoform 1 MVQQRIDSKFSEYGLRNPENNSPTCDKQPPVGAKKTPLRDLQNENRIVPNSTGSSPFSKDRGPVIDPIKFSGTKRPSPECPVSPSHCQSRSNSAASGHLVYVRRKSEAELGKSSAFDGTSISNCQQLTQVGQMEEINQKRAQIKEPKVSCFPAFAPLPMASLTSSSAKPSVLLPLGKSAMRLASSESNQQPAVSAASLLDSPKGNKKLHWEERYYELQMFLKMLDQSNQEDYIQMLRSLSAVGLSRHAIELEKRSIQLSLEEAKEMQRVGILNVLGKTMKVAKAPSSQPDQSYK >EOX97450 pep chromosome:Theobroma_cacao_20110822:2:2308325:2312017:-1 gene:TCM_006456 transcript:EOX97450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein hemolysin-III, putative isoform 1 MVQQRIDSKFSEYGLRNPENNSPTCDKQPPVGAKKTPLRDLQNENRIVPNSTGSSPFSKDRGPVIDPIKFSGTKRPSPECPVSPSHCQSRSNSAASGHLVYVRRKSEAELGKSSAFDGTSISNCQQLTQVGQMEEINQKRAQIKEPKVSCFPAFAPLPMASLTSSSAKPSVLLPLGKSAMRLASSESNQQPAVSAASLLDSPKGNKKLHWEERYYELQMFLKMLDQSNQEDYIQMLRSLSAVGLSRHAIELEKRSIQLSLEEAKEMQRVGILNVLGKTMKVAKAPSSQPDQSYK >EOX98495 pep chromosome:Theobroma_cacao_20110822:2:5957956:5961622:-1 gene:TCM_007242 transcript:EOX98495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomere repeat binding factor 1 isoform 3 MGAPKQKWTPEEEAALKSGVIKHGAGKWRTILKDPEFSGVLYLRSNVDLKDKWRNMSVMANGWGSRDKARLAVKRTPSILKQEDGAMALTVVPSDEEIADAKPIAVPSTTVQIPTSTKRSIVRLDNLIMEAITSLKEPGGSNKTTIAAYIEEQYWAPPDFKRLLSAKLKYLMACGRLIKVKRRYRIAPALSFSDRRRNHPMPFLEGRQRVSPRFDRNDFHILTKSQIDLELAKMRTMTPQEAAAAAARAVAEAEAAIAEAEEAAREAEVAEADAEAAQAFAEAAMKTLKGRNNQKVVVRG >EOX98494 pep chromosome:Theobroma_cacao_20110822:2:5958376:5961923:-1 gene:TCM_007242 transcript:EOX98494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomere repeat binding factor 1 isoform 3 MGAPKQKWTPEEEAALKSGVIKHGAGKWRTILKDPEFSGVLYLRSNVDLKDKWRNMSVMANGWGSRDKARLAVKRTPSILKQEDGAMALTVVPSDEEIADAKPIAVPSTTVQIPTSTKRSIVRLDNLIMEAITSLKEPGGSNKTTIAAYIEEQYWAPPDFKRLLSAKLKYLMACGRLIKVKRRYRIAPALSFSDRRRNHPMPFLEGRQRVSPRFDRNDFHILTKSQIDLELAKMRTMTPQEAAAAAARAVAEAEAAIAEAEEAAREAEVAEADAEAAQAFAEAAMKTLKGRNNQKVVVRG >EOX98496 pep chromosome:Theobroma_cacao_20110822:2:5958755:5961944:-1 gene:TCM_007242 transcript:EOX98496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomere repeat binding factor 1 isoform 3 MGAPKQKWTPEEEAALKSGVIKHGAGKWRTILKDPEFSGVLYLRSNVDLKDKWRNMSVMANGWGSRDKARLAVKRTPSILKQEDGAMALTVVPSDEEIADAKPIAVPSTTVQIPTSTKRSIVRLDNLIMEAITSLKEPGGSNKTTIAAYIEVKRRYRIAPALSFSDRRRNHPMPFLEGRQRVSPRFDRNDFHILTKSQIDLELAKMRTMTPQEAAAAAARAVAEAEAAIAEAEEAAREAEVAEADAEAAQAFAEAAMKTLKGRNNQKV >EOX99352 pep chromosome:Theobroma_cacao_20110822:2:10285644:10293963:-1 gene:TCM_007983 transcript:EOX99352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strubbelig-receptor family 3 isoform 1 MGSKRSGIEWKNLKIFVVFLLICAAGVSLGLTNPSDVAAINSFYTALGSPLLPGWVASGGDPCAEAWQGVQCNGSDIMTIVLNGANLGGQLGDNLGMFASIKAIDLSNNQIGGNIPSNLPVSMQNFFLSANQFSGSIPDSLSSLTLLTDMSLNNNLLSGEIPDVFQALSGLINLDLSNNNLSERLPPSMENLSRLTTLRLQVNQLSGTLDVLQDLPLRDLNIENNLFSGPIPEKMLSIPSFRKDGNPFNSSIAPLPAPTSPLAPPHAPPHSEAPTSDQAPTTDQSPGKHADGPSASEGSSSGEKKKFLTSKRVVWISIAGVLLFIILALGLLLFIPKCSRGRERAGRIFKRHQVGAYRGNRENLGEDGPLRTTTSQTEKGPKEAVTRPVGFNQTDRGRMGAIAKPQNEKERNAERMGTMQKRFDHEIDMSSIDMMLMVPPPPPPPPPPPPPPPPPPPPPPPLSEKVIVKPIVPDEVTTARPSARTSKPSTFAKSFTIAVLQQYTNSFAQENLIGGGMLGNVYRAELPDGKLLAVKKLDKRVASQQKDDEFIELVENIDRIQHVNIVRLMGYCAAHGQKLLIYEYCSNGSVQDALHSDDEFRKKLSWNARIRMAFGAARALEYLHEACQPPVVHRNFKSANVLLDDDLDVRVSDCGLAPLIASGSVSQLSGQLQSIYGYGAPEFESGIYTSQSDVYSFGVFMLELLTGRKSYDRTRSRGEQLLVRWAVPQLHDIEALSRMVDPSLSGEYPAKSLSRFVDIISRCVQSEPEFRPPMSEVVQDLLDIVRREHPSNESNGD >EOX99349 pep chromosome:Theobroma_cacao_20110822:2:10285276:10297506:-1 gene:TCM_007983 transcript:EOX99349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strubbelig-receptor family 3 isoform 1 MGSKRSGIEWKNLKIFVVFLLICAAGVSLGLTNPSDVAAINSFYTALGSPLLPGWVASGGDPCAEAWQGVQCNGSDIMTIVLNGANLGGQLGDNLGMFASIKAIDLSNNQIGGNIPSNLPVSMQNFFLSANQFSGSIPDSLSSLTLLTDMSLNNNLLSGEIPDVFQALSGLINLDLSNNNLSERLPPSMENLSRLTTLRLQVNQLSGTLDVLQDLPLRDLNIENNLFSGPIPEKMLSIPSFRKDGNPFNSSIAPLPAPTSPLAPPHAPPHSEAPTSDQAPTTDQSPGKHADGPSASEGSSSGEKKKFLTSKRVVWISIAGVLLFIILALGLLLFIPKCSRGRERAGRIFKRHQVGAYRGNRENLGEDGPLRTTTSQTEKGPKEAVTRPVGFNQTDRGRMGAIAKPQNEKERNAERMGTMQKRFDHEIDMSSIDMMLMVPPPPPPPPPPPPPPPPPPPPPPPLSEKVIVKPIVPDEVTTARPSARTSKPSTFAKSFTIAVLQQYTNSFAQENLIGGGMLGNVYRAELPDGKLLAVKKLDKRVASQQKDDEFIELVENIDRIQHVNIVRLMGYCAAHGQKLLIYEYCSNGSVQDALHSDDEFRKKLSWNARIRMAFGAARALEYLHEACQPPVVHRNFKSANVLLDDDLDVRVSDCGLAPLIASGSVSQLSGQLQSIYGYGAPEFESGIYTSQSDVYSFGVFMLELLTGRKSYDRTRSRGEQLLVRWAVPQLHDIEALSRMVDPSLSGEYPAKSLSRFVDIISRCVQSEPEFRPPMSEVVQDLLDIVRREHPSNESNGD >EOX99350 pep chromosome:Theobroma_cacao_20110822:2:10286092:10293874:-1 gene:TCM_007983 transcript:EOX99350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strubbelig-receptor family 3 isoform 1 MGSKRSGIEWKNLKIFVVFLLICAAGVSLGLTNPSDVAAINSFYTALGSPLLPGWVASGGDPCAEAWQGVQCNGSDIMTIVLNGANLGGQLGDNLGMFASIKAIDLSNNQIGGNIPSNLPVSMQNFFLSANQFSGSIPDSLSSLTLLTDMSLNNNLLSGEIPDVFQALSGLINLDLSNNNLSERLPPSMENLSRLTTLRLQVNQLSGTLDVLQDLPLRDLNIENNLFSGPIPEKMLSIPSFRKDGNPFNSSIAPLPAPTSPLAPPHAPPHSEAPTSDQAPTTDQSPGKHADGPSASEGSSSGEKKKFLTSKRVVWISIAGVLLFIILALGLLLFIPKCSRGRERAGRIFKRHQVGAYRGNRENLGEDGPLRTTTSQTEKGPKEAVTRPVGFNQTDRGRMGAIAKPQNEKERNAERMGTMQKRFDHEIDMSSIDMMLMVPPPPPPPPPPPPPPPPPPPPPPPLSEKVIVKPIVPDEVTTARPSARTSKPSTFAKSFTIAVLQQYTNSFAQENLIGGGMLGNVYRAELPDGKLLAVKKLDKRVASQQKDDEFIELVENIDRIQHVNIVRLMGYCAAHGQKLLIYEYCSNGSVQDALHSDDEFRKKLSWNARIRMAFGAARALEYLHEACQPPVVHRNFKSANVLLDDDLDVRVSDCGLAPLIASGSVSQEKWWFCGLGKVVDQSCREIFKTFFCLMFGKY >EOX99351 pep chromosome:Theobroma_cacao_20110822:2:10285523:10293597:-1 gene:TCM_007983 transcript:EOX99351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strubbelig-receptor family 3 isoform 1 MGSKRSGIEWKNLKIFVVFLLICAAGVSLGLTNPSDVAAINSFYTALGSPLLPGWVASGGDPCAEAWQGVQCNGSDIMTIVLNGANLGGQLGDNLGMFASIKAIDLSNNQIGGNIPSNLPVSMQNFFLSANQFSGSIPDSLSSLTLLTDMSLNNNLLSGEIPDVFQALSGLINLDLSNNNLSERLPPSMENLSRLTTLRLQVNQLSGTLDVLQDLPLRDLNIENNLFSGPIPEKMLSIPSFRKDGNPFNSSIAPLPAPTSPLAPPHAPPHSEAPTSDQAPTTDQSPGKHADGPSASEGSSSGEKKKFLTSKRVVWISIAGVLLFIILALGLLLFIPKCSRGRERAGRIFKRHQVGAYRGNRENLGEDGPLRTTTSQTEKGPKEAVTRPVGFNQTDRGRMGAIAKPQNEKERNAERMGTMQKRFDHEIDMSSIDMMLMVPPPPPPPPPPPPPPPPPPPPPPPLSEKVIVKPIVPDEVTTARPSARTSKPSTFAKSFTIAVLQQYTNSFAQENLIGGGMLGNVYRAELPDGKLLAVKKLDKRVASQQKDDEFIELVENIDRIQHVNIVRLMGYCAAHGQKLLIYEYCSNGSVQDALHSDDEFRKKLSWNARIRMAFGAARALEYLHEACQPPVVHRNFKSANVLLDDDLDVRVSDCGLAPLIASGSVSQLSGQLQSIYGYGAPEFESGIYTSQSDVYSFGVFMLELLTGRKSYDRTRSRGEQLLVRWAVPQLHDIEALSRMVDPSLSGEYPAKSLSRFVDIISRCVQSEPEFRPPMSEVVQDLLDIVRREHPSNESNGD >EOY01526 pep chromosome:Theobroma_cacao_20110822:2:39838409:39844594:-1 gene:TCM_011391 transcript:EOY01526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 7 precursor, putative MASVPNFHALPMFLVVTMILLSTTPLASGQCEAESKNACQDKAESMKLKIIAIVAILLSSMIGVCSPLFSRLLPSLRPERDLFIVVKAFASGVILATGYMHVLPDAFNDLMSKCLPENPWRKFPFTTFAAMLAALVTLMVDSFAMSTYKKRCAKASVADAGNGAGLENGNVQIEIFGQGQGHAVEKNDKASQLLRCRVIAQVLELGIIVHSVVIGLAMGASENHCTIRSLIAALCFHQMFEGMGLGGCILQAEYELKMKATMAFFFSATTPFGIVLGIGLSSVYSETSPTELIVVGLLNASSAGLLNYMALVDLLAADFMGPRLQTNMKLQVWSYMAVLLGAGFMSLMAKWA >EOX97147 pep chromosome:Theobroma_cacao_20110822:2:1379314:1383782:-1 gene:TCM_006244 transcript:EOX97147 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP phosphoribosyl transferase 2 isoform 2 MSPLQPYFQQCLFSVSGPCPSLYISVSKRSFISCCSSQSQTAVVNGKLDSRIAERDEIRLGLPSKGRMAADTLDLLKDCQLSVKQVNPRQYVAQIPQLSNLGVWFQRPKDIVRKLLSGDLDLGIVGLDTVSEYGQQGNEDLIIVHDALEYGDCHLSLAIPKYGIFENINSLKELARMPQWTVEKPLRVATGFTYLGPKFMKENGLKHVIFSTADGALEAAPAMGIADAILDLVSSGTTLRENNLKEIEGGVVLESQAVLVASRKALIQRKGALDTTHEILERFEAHLRAIGQFMVTANMRGSSAEEVAERILSQPSLAGLQGPTVSPVFSKRDGKAVADCYATVICVPKKALYKSVQQLRAVGGSGVLISPLTYIFDEETPRWRELLSKLGL >EOX97146 pep chromosome:Theobroma_cacao_20110822:2:1379691:1383469:-1 gene:TCM_006244 transcript:EOX97146 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP phosphoribosyl transferase 2 isoform 2 MSPLQPYFQQCLFSVSGPCPSLYISVSKRSFISCCSSQSQTAVVNGKLDSRIAERDEIRLGLPSKGRMAADTLDLLKDCQLSVKQVNPRQYVAQIPQLSNLGVWFQRPKDIVRKLLSGDLDLGIVGLDTVSEYGQGNEDLIIVHDALEYGDCHLSLAIPKYGIFENINSLKELARMPQWTVEKPLRVATGFTYLGPKFMKENGLKHVIFSTADGALEAAPAMGIADAILDLVSSGTTLRENNLKEIEGGVVLESQAVLVASRKALIQRKGALDTTHEILERFEAHLRAIGQFMVTANMRGSSAEEVAERILSQPSLAGLQGPTVSPVFSKRDGKAVADCYATVICVPKKALYKSVQQLRAHPQPKRRVGVNEQGFHFCSSRLVNLNVVWCRLEVVGC >EOX97145 pep chromosome:Theobroma_cacao_20110822:2:1379263:1383782:-1 gene:TCM_006244 transcript:EOX97145 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP phosphoribosyl transferase 2 isoform 2 MSPLQPYFQQCLFSVSGPCPSLYISVSKRSFISCCSSQSQTAVVNGKLDSRIAERDEIRLGLPSKGRMAADTLDLLKDCQLSVKQVNPRQYVAQIPQLSNLGVWFQRPKDIVRKLLSGDLDLGIVGLDTVSEYGQGNEDLIIVHDALEYGDCHLSLAIPKYGIFENINSLKELARMPQWTVEKPLRVATGFTYLGPKFMKENGLKHVIFSTADGALEAAPAMGIADAILDLVSSGTTLRENNLKEIEGGVVLESQAVLVASRKALIQRKGALDTTHEILERFEAHLRAIGQFMVTANMRGSSAEEVAERILSQPSLAGLQGPTVSPVFSKRDGKAVADCYATVICVPKKALYKSVQQLRAVGGSGVLISPLTYIFDEETPRWRELLSKLGL >EOX98208 pep chromosome:Theobroma_cacao_20110822:2:4900139:4905149:-1 gene:TCM_007024 transcript:EOX98208 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein 47A MQSTNGPDLNSKQQQPPQQQGSQQLQQPPQWVPNQWMGAMQYPAAAMVMMQQQMMMYPHHYMPYNNHHFQYQQYQQQQQKQQQQQGYLQKQQQGSNTDEVKTIWVGDLVHWMDETYLHGCFSHTGEVSSVKIIRNKQTGQSEGYGFIEFYSRAAAEKVLQSFNGSLMPNTEQPFRLNWASFSVTERRSDAGSDLSIFVGDLAADVTDTILHETFSGRFQSVKGAKVVIDSNTGRSKGYGFVRFSDENERSRAMTEMNGVYCSSRPMRIGVATPKKASGYQQQYSSQALVLAGGHGSNGAVAQGSQSDNDSNNATIFVGGLDSDVSDDDLRQPFSQFGDIISVKIPPGKGCGFVQFANRKNAEDAIQSLNGTTIGKQTVRLSWGRSLGNKQWRGDSGNQWNGGYYRGQGYGGYGYGYATPPNQDPSMYAAAAVPGAS >EOX99968 pep chromosome:Theobroma_cacao_20110822:2:19913234:19917844:-1 gene:TCM_009091 transcript:EOX99968 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 1 MEESLIAKEKQVRPESNSSSTCTWVVFIEEVKRLGYLAGPMVAVTISQYLLQVISTMMVGHLGELALSSSAIAISLSGVTGFSLLLGMACALETLCGQAYGAQQYRKVGTHTYTAIFCLILVCIPLSILWIYMGRLLVFIGQDPLISHEAGKFILWLIPALFAYATFQPLVRYFQTQSLITPMLICSCASLLIHIPLCWALVFKSELGNLGGAVAISISNWLNVIFLALYMWYSPTCAKTRVPITMELFQGIREFFGFAIPSAVMVCLEWWSFELLILLSGILPNPELETSVLSVCLNTIATLYAIPYGLGAAASTRVSNELGAGNPQAARVAVYAGMFLAVLETLVVSGTLFASRHVFGYVYSNEKEVVDYVTTMAPLVCVSVILDSLQGVLSG >EOX99967 pep chromosome:Theobroma_cacao_20110822:2:19912692:19917844:-1 gene:TCM_009091 transcript:EOX99967 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 1 MEESLIAKEKQVRPESNSSSTCTWVVFIEEVKRLGYLAGPMVAVTISQYLLQVISTMMVGHLGELALSSSAIAISLSGVTGFSLLLGMACALETLCGQAYGAQQYRKVGTHTYTAIFCLILVCIPLSILWIYMGRLLVFIGQDPLISHEAGKFILWLIPALFAYATFQPLVRYFQTQSLITPMLICSCASLLIHIPLCWALVFKSELGNLGGAVAISISNWLNVIFLALYMWYSPTCAKTRVPITMELFQGIREFFGFAIPSAVMVCLEWWSFELLILLSGILPNPELETSVLSVWYVLRYCFGAEDLVSSEYQLDIVILVSA >EOX99966 pep chromosome:Theobroma_cacao_20110822:2:19907420:19917937:-1 gene:TCM_009091 transcript:EOX99966 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 1 MEESLIAKEKQVRPESNSSSTCTWVVFIEEVKRLGYLAGPMVAVTISQYLLQVISTMMVGHLGELALSSSAIAISLSGVTGFSLLLGMACALETLCGQAYGAQQYRKVGTHTYTAIFCLILVCIPLSILWIYMGRLLVFIGQDPLISHEAGKFILWLIPALFAYATFQPLVRYFQTQSLITPMLICSCASLLIHIPLCWALVFKSELGNLGGAVAISISNWLNVIFLALYMWYSPTCAKTRVPITMELFQGIREFFGFAIPSAVMVCLEWWSFELLILLSGILPNPELETSVLSVCLNTIATLYAIPYGLGAAASTRVSNELGAGNPQAARVAVYAGMFLAVLETLVVSGTLFASRHVFGYVYSNEKEVVDYVTTMAPLVCVSVILDSLQGVLSGIARGCGWQHIGAYINLGAFYLCGIPVAATLAFWLKLRGMGLWIGIQSGAFTQTILLAIVTSCINWENQASKARERLVQGSS >EOX97302 pep chromosome:Theobroma_cacao_20110822:2:1906255:1907697:1 gene:TCM_006368 transcript:EOX97302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEIFRANLEGRHTRKLTFSCDGRTALSLPQDDRFYLIVEEDGSGRSWKFECQREQEANCFSFSGRQWTKFAKSSIEASITLFRKDDIYIIQVSRALFLSSFVVLAVYLADGSLSVCSGGRGLFPSSCFVLAAMLETRRRFYGTSPHLLAFLADDKAESLVPIWFFKLGLVEIKTT >EOX98665 pep chromosome:Theobroma_cacao_20110822:2:6648238:6649395:1 gene:TCM_007372 transcript:EOX98665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSLSWIRSDAEKGFGSSKNYPCEFSPLPQDASEPDSDSNPLEADEPKRLGPKDFFFGFLLVMLTLPNLLIITHMLSTPPGGPMLNPDTFSVSNFSISDSKLAATWNADFAFRSSLHHHEYDYSSVTGTIYFKDIKALNVLSVASAKPFVLGFKERKTVHMKFATIGEEIEQQKIEYSVLDELSKDRETGTARFSLKVDVRYKSKVWGTYFPNVLPYTDHYCWNLVVRFEADTGNGRLIDGGHKYCPFSPW >EOY01712 pep chromosome:Theobroma_cacao_20110822:2:40687807:40689298:-1 gene:TCM_011547 transcript:EOY01712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLYNFKRLALYVLNHQMYKSVILWEVPVIGVGLILIFSKMEFYQLTFKMQDNSREELNVFFLKGNDLFRLSFAGTSLKCVSPADVNPLLAEVHVSSSGEHEDGRKLYQKLLDLGYYWPTMETVAVNYARKCYPCQIYGNDIHAPAVQLHSIITPWPFHTWAFDLIGPINPSSKGYTWILAATECFTKWVEAISLKNATGPAVANFIKESIICKFGIPRRILSDNSTPFINVNVRELLALYDVDYVKSTPYYPKGNGQAEATNKTLLKVLSRMVHEEPKMWHDALPVALWAYRTFKCEPTKVTPFSLVYGTEAMLPAEILVPSARLALDAELDNDNLRMLELEALEERRDRAKKNLLVYQRRLSRAYGKLVKRRNFEEGDLVLRAAEHIRR >EOX99453 pep chromosome:Theobroma_cacao_20110822:2:11375430:11383906:1 gene:TCM_008137 transcript:EOX99453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Switch 2 MSLLHTFKETLKPCKNLSSSSSSFSQSSFSQEEPEPTITNQRKPPKSSLFQQLLRLEQEYFPSTQESHFQIPKFSQTQVLENGDNQEEEEEEEKEDEEEEEVKEFGRPELGRVQFEDTGPYEPLVLSSDGEFPVVQVPASINCRLLAHQREGVKFLFMLYKNNHGGVLGDDMGLGKTIQTIAFLAAVYGKDEEYGDSRILMENQVGKKGPILIICPTSVIHNWECEFSRWATFSVSVYHGSSRELILEKLQANGVEVLVTSFDAFRIHGNVLSEIKWEIVIIDEAHRLKNEKSKLYTACLEIKTRRRIGLTGTIMQNKIMELFNLFDWVAPGSLGTREHFRQFYDEPLKHGQRSTAPERFVWVADQRKQHLVAVLRKYMLRRTKEETIGHLMLGKEDNVVFCAMSELQRRVYRRMLQLPDIQCLINKDLRCSCGSPLTQVECCRRIVPDGIIWPYLHRDSLEGCDSCPFCLVLPCLVKLQQISNHLELIKPNPRDEPDKQRKDAEFASAVFGPDIDMVGGNAPSESFMGLSDTRHCGKMRALDNLMASWALKGDKILLFSYSVRMLDILEKFLIRKGYCFSRLDGSTPTNMRQSLVDEFNSSPSKQVFLISTRAGGLGLNLVGANRVVIFDPNWNPAQDLQAQDRSFRFGQRRHVVVFRLLAAGSLEELVYSRQVYKQQLSNIAVSGKMEKRYFEGVQDCKEFQGELFGICNLFRNLSDKLFTSEILELHEKQGQQDAEHHSDKQELTDTGVHLILSEGSEKLSSGSKNSHSTCIERATTNKPVLKDLGILYAHRNEDIVNSGPGIQQKKVVTEDDNLKRDSNYSWKRKSDGEENVLSSRDHKKIQYGRLAQFKGMGVVEFSRWVHCATPLERESLLVDYKRRKKL >EOY01617 pep chromosome:Theobroma_cacao_20110822:2:40295951:40299401:1 gene:TCM_011466 transcript:EOY01617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein MIEVLCSLELAQQLQFQGFENSNGSAKDIVSHSNSNLMLGLEFFGAGRFLPYTYHLMKCALNLKYSILSKRKTTDIRSLLFAEILTFFLSFLLIAIAPISASIPSYVPTDSISLDCSSSIFPSSVKMSSSPSEFKYDSSVVTRIYNIDSHIFQYQKTLPCIFRKQTTYTFTVSTGPKFIQLHFQPIKHEILDISKALFSVSVAGYTLLGTSKFSKHNLLVDYAIREFCIIIVGQVVNVTFTPSLHISESYAFVNKIEVVSMPSILYIKEDFPLPLVGYPSRGYYIQNSRALEMMHRVNMGGDSISPKEDTGMFRFWIEDAGYLTSDESSTRTIESEVAINFSSLMPAYTAPKKVNASARIVTTEGSFANWSFPVDSRFYYLVRLHFCDISGWTEANEGVFRISINNHAVEDHADVLHWSHGAGIPIYKDYIVNFSRHSEGIKHLSVAIGILNEPDDISGLAILNGLEIFKLSDLSNNLAGPYPFGTNDGHDQKFPRSSEYEDIKLVIALWSFMGFDMVLVLL >EOY00157 pep chromosome:Theobroma_cacao_20110822:2:27505334:27506933:1 gene:TCM_009784 transcript:EOY00157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGYLNGYKFQLSIMQNNPYSNNYTSRWRNHSNFSWNNNQASSSALKPNFPLGFPPQAKSPMLEKKPTMEEMFMQFMQKMMPI >EOX99176 pep chromosome:Theobroma_cacao_20110822:2:8823765:8825567:-1 gene:TCM_007766 transcript:EOX99176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin p2,1 isoform 1 MLATGDYPTNQIRKSDPTQAETTTPRVLTIISSVLEKLVARNDKLVEVLSEQLDGLNCHGSSGRLGKSLHAFHGVRAPNISIPKYLERIYKYTNCSPSCFVVGYVYIDRLAHKHPDSLVISLNVHRLLVTSVMVASKMLDDVWSCPGSGKINDLELLELCFGAQSTKLFLTRQNLLDMHTHYNNAVYARVGGVTNAELNRLELELLFLLDFGVTVSSRVFENYCLHLEKEMLTDGAEQQIERGRSPVAMDDVTEISVEDTQSCSPPQAVD >EOX99175 pep chromosome:Theobroma_cacao_20110822:2:8823678:8826098:-1 gene:TCM_007766 transcript:EOX99175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin p2,1 isoform 1 MLATGDYPTNQIRKSDPTQAETTTPRVLTIISSVLEKLVARNDKLVEVLSEQLDGLNCHGSSGRLGKSLHAFHGVRAPNISIPKYLERIYKYTNCSPSCFVVGYVYIDRLAHKHPDSLVISLNVHRLLVTSVMVASKMLDDVHYNNAVYARVGGVTNAELNRLELELLFLLDFGVTVSSRVFENYCLHLEKEMLTDGAEQQIERGRSPVAMDDVTEISVEDTQSCSPPQAVD >EOX99177 pep chromosome:Theobroma_cacao_20110822:2:8823579:8825502:-1 gene:TCM_007766 transcript:EOX99177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin p2,1 isoform 1 MLATGDYPTNQIRKSDPTQAETTTPRVLTIISSVLEKLVARNDKLVEVLSEQLDGLNCHGSSGRLGKSLHAFHGVRAPNISIPKYLERIYKYTNCSPSCFVVGYVYIDRLAHKHPDSLVISLNVHRLLVTSVMVASKMLDDVHYNNAVYARVGGVTNAELNRLELELLFLLDFGVTVSSRVFENYCLHLEKEMLTDGAEQQIERGRSPVAMDDVTEISVEDTQSCSPPQAVD >EOY00029 pep chromosome:Theobroma_cacao_20110822:2:23506995:23510376:-1 gene:TCM_009395 transcript:EOY00029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIAFIDFLLHKNKIYKKKKKKLQLRMKRRVGTITFHYSRSKRFPLPHATRQIHNIQHVWNTVFNVHLLF >EOY01898 pep chromosome:Theobroma_cacao_20110822:2:41305091:41305775:-1 gene:TCM_011691 transcript:EOY01898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MDEMLTKVFFFFSLSVRFQHCDMKIHNVLSKLLLHFQTWLLPPFFSKLKIWKTPRGLNMEISPIRGDRFCEKLSVGEIKVVMDRLGMSYEGDDLGADELAETFDQEAPCLEEFKEAFDVFDENKDGFIDATELQRVLRCLGVKESLLLLEECRRMIRVADEDEDGRIDFNEFVKFMETCFL >EOX98318 pep chromosome:Theobroma_cacao_20110822:2:5328724:5330607:-1 gene:TCM_007105 transcript:EOX98318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein, putative MENFTFFRKALTYISFLLLPFLLSVLCQNSESPLTASPPLVVSTQQLIFKDRRLAAVYPIIQAFKGTITGDPLNKTGNWVGCDICNYTGFFCDNPPDNESALALAGIDFNGFLLTAPTLEGFIDMLPDLAIFHANSNKFTGSVPDVSNLPYFYELDISNNIFSGTFPTTVLGIKDLSFLDIRFNSFAGTVPPQIFNQALEVLFINDNDFTATLPENFGSTPVLYLTLANNKLTGPIPRSIGNLNSTLTEVLLLNNKLSGCLPYELGFLKELEVFDVENNLLTGPLPWSLACLEKIKLLNFASNLLYWEVPELLCALGNLENLSLSDNYFTKVGPICRKLIKNGVLNVRQNCIHDLPDQKSLHECAEFYLKYIRLCPYPATYKIIPCKPNAPWHDHHWARSKRAPVTYKTLSRHKS >EOY00323 pep chromosome:Theobroma_cacao_20110822:2:31170014:31171628:1 gene:TCM_010158 transcript:EOY00323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Matrixin family protein, putative MKHQVFVITILVSLSSFASVSARFFPNITAIPPWPLPNATSLAPWDAFKKFAGCQSGEKRDGLSKLKQYFNNFGYIPNSPTNFSDDFNDELEKALKIYQQNFNLNVTGQLDDQTLQQIVRPRCGNADIVNGTSSMNSGKSSSFHTTGHFRTTAHYAFFPGRPFWPANRRDLTYGFLPANELSDEVKAVFTRAFEKWSAVTPLTFTQTDSFFSADIKIAFYSGDHGDGEPFDGVLGTLAHAFSPTNGRFHLDLAENWVVSGDVTTSSLSSAVDLESVAVHEIGHLLGLGHSSVEDAIMFPTISSRTRKVELANDDVDGIQSLYGSNPNFNGSATTSTTQERETSDGEARYLGSRWGLGVFLTVGFSFLFL >EOX99704 pep chromosome:Theobroma_cacao_20110822:2:14178767:14179329:-1 gene:TCM_008492 transcript:EOX99704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGFQIYQMQTTGRYQTWGSLSCLSSELMLDISSTKRARRCIIYCFDLEVSPIIELGTVCAGDRYYGLIEPLSEKLLVHDSLNLWLPSSVHFPDSLSSSQFTSSLLGGG >EOX98228 pep chromosome:Theobroma_cacao_20110822:2:4974496:4983104:-1 gene:TCM_007040 transcript:EOX98228 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP protein (REF) isoform 3 MELKPGLSALVSGAASGIGKALSLALAGKGVFVTVVDFSEERGKEVASLVEKENSKFHGNLGFPSAIFVKCDVTNTRDITLAFEKHLATYGGLDICINSAGISNPVPFQKDETDGTKTWRHTINVNLIAVVDCTRLAIKTMQALQKPGVIINLGSAAGLYPAYVDPIYSGSKAGVVMFTRSLAPYKRQGIRVNVLCPEFVQTEMGEKLGHRFISLMGGFVPMEMVVKGALELIMDKSRAGSCLWITNRRGMEYWPTPIEEAKYLLRSSASSRKKISLQAPLSTQLPPSFEKVVVHTLSHHFRDATHIVRVPLKLPIESDHVLLKIIYAGVNASDVNFSSGRYFQGSNKDLSSLLPFDAGFEAVGIIAAVGDSVSDLKVGTPAAVMTYGGYAEFITVPSKHILPIGRPDPEVIAMLTSGLTASIALDKAGQMESRKVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKEKARLLKELGVDRVIDYKIEDIKTVLKKEFPKGVDIVYESVGGDMFDLCLNALAIHGRLIVIGMISQYQGEHGWKPSNYPGLVEKLLSKSQTVAGFFLVQYSHLWKEHLDRLFQLYSSGKLKVAIDPKRFLGLRSVADAVEYLHSGKSAGKVVVCIDPTFEQQMAKL >EOX98230 pep chromosome:Theobroma_cacao_20110822:2:4976383:4982973:-1 gene:TCM_007040 transcript:EOX98230 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP protein (REF) isoform 3 MELKPGLSALVSGAASGIGKALSLALAGKGVFVTVVDFSEERGKEVASLVEKENSKFHGNLGFPSAIFVKCDVTNTRDITLAFEKHLATYGGLDICINSAGISNPVPFQKDETDGTKTWRHTINVNLIAVVDCTRLAIKTMQALQKPGVIINLGSAAGLYPAYVDPIYSGSKAGVVMFTRSLAPYKRQGIRVNVLCPEFVQTEMGEKLGHRFISLMGGFVPMEMVVKGALELIMDKSRAGSCLWITNRRGMEYWPTPIEEAKYLLRSSASSRKKISLQAPLSTQLPPSFEKVVVHTLSHHFRDATHIVRVPLKLPIESDHVLLKIIYAGVNASDVNFSSGRYFQGSNKDLSSLLPFDAGFEAVGIIAAVGDSVSDLKVGTPAAVMTYGGYAEFITVPSKHILPIGRPDPEVIAMLTSGLTASIALDKAGQMESRKVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKEKARLLKELGVDRVIDYKIEDIKTGYSG >EOX98229 pep chromosome:Theobroma_cacao_20110822:2:4974903:4982973:-1 gene:TCM_007040 transcript:EOX98229 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP protein (REF) isoform 3 MELKPGLSALVSGAASGIGKALSLALAGKGVFVTVVDFSEERGKEVASLVEKENSKFHGNLGFPSAIFVKCDVTNTRDITLAFEKHLATYGGLDICINSAGISNPVPFQKDETDGTKTWRHTINVNLIAVVDCTRLAIKTMQALQKPGVIINLGSAAGLYPAYVDPIYSGSKAGVVMFTRSLAPYKRQGIRVNVLCPEFVQTEMGEKLGHRFISLMGGFVPMEMVVKGALELIMDKSRAGSCLWITNRRGMEYWPTPIEEAKYLLRSSASSRKKISLQAPLSTQLPPSFEKVVVHTLSHHFRDATHIVRVPLKLPIESDHVLLKIIYAGVNASDVNFSSGRYFQGSNKDLSSLLPFDAGFEAVGIIAAVGDSVSDLKVGTPAAVMTYGGYAEFITVPSKHILPIGRPDPEVIAMLTSGLTASIALDKAGQMESRKVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKEKARLLKELGVDRVIDYKIEDIKTVLKKEFPKGVDIVYESVGGDMFDLCLNALAIHGRLIVIGMISQYQGEHGWKPSNYPGLVEKLLSKSQTVAGFFLVQYSHLWKEHLDRLFQLYSSGKLKVAIDPKRFLGLRSVADAVEYLHSGKSAGKVSICLFIQLILVKMSIQANHLGL >EOY01519 pep chromosome:Theobroma_cacao_20110822:2:39809194:39811248:-1 gene:TCM_011385 transcript:EOY01519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKVPLNFMFQCSDDADNGEAIDVATFCWRIVTNTITIGITGLPDHHGRLGC >EOX99086 pep chromosome:Theobroma_cacao_20110822:2:8438448:8442559:-1 gene:TCM_007702 transcript:EOX99086 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MSFDGNVNCGGNTVGVTSKPFFCYQCNRTVNVTISPSSDPSCPICNEGFLEEYENPNPNQGSAFQSPNPNLNPFSEPYLSLSDPFSSLLPLLFPSSSSSTTTSSSSPASIDVHNPSLFGSTRSARGDPFAFDPFAFIQNHLNDLRSSGAHIEFVIQNNPSEPGFRLPANIGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAIDSLPSVKITKNHLNSEFNQCAVCMDEFEEGTQAKQMPCKHLYHKDCILPWLELHNSCPVCRHELPTDDPDYERRVRGAQGTGGGNDGEGSGGGDSGQRSAGDNRTVERSFRISLPWPFRARGSGSGSGDNAETRQEDLD >EOX98871 pep chromosome:Theobroma_cacao_20110822:2:7603303:7604707:-1 gene:TCM_007546 transcript:EOX98871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar iron transporter family protein MAAPQTRQLSSLDKVEMSIHVNDTEKNQVQEPADNEFDYSQRGQWLRAAVLGANDGLVSIASLMMGVGSVKEDVKAMIVAGFAGLVAGACSMAIGEFVSVYTQRDVEVAQMKREKQKRESENVHGNEEVGDQKEQLPNPGLAAAASALAFSVGAVLPLLAAAFIRDHKVRMAVVVAVATIALMVFGAVGSLLGGTPVVKSSARVLVGGWMAMAITFGLTKLIGSGGMQI >EOY02181 pep chromosome:Theobroma_cacao_20110822:2:42220312:42224714:-1 gene:TCM_011891 transcript:EOY02181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Male gametophyte defective 2 isoform 1 MRSHHSHKKPALLPLLCAAAFFSLLVFGIQSSFFAGPSDKKVDLSKEQVRVSDLDTEEVRILSDFQSSIQQCVANRGLGLTAHIIDHCKLVLKFPPGTNSTWYNAQFKVFEPLEYSYDVCDTILLWEQYRNMTTVLTREYLDARPDGWLDYAAKRIAQLGADKCFNRTLCEEHLKLLLPTKPPFHPRQFRTCAVVGNSGDLLKTRFGKEIDSHDAVIRDNEAPVNEKYAKYVGLKRDFRLVVRGAARNMVKIVNGSDDEVLIIKSVTHRDFNAMIKSIPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAKRKQDWSDVPSREMISRAHAAALHLKRGAAGLLGQFDSCKFWGNVDPDKSGPISGSSDMSRVRKHSNYSKWEIMPFESLRKEAQDHYNQMEGVSLYKMDGNKLDDLVCVRHSSKSEV >EOY02182 pep chromosome:Theobroma_cacao_20110822:2:42220319:42225429:-1 gene:TCM_011891 transcript:EOY02182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Male gametophyte defective 2 isoform 1 MRSHHSHKKPALLPLLCAAAFFSLLVFGIQSSFFAGPSDKKVDLSKEQVRVSDLDTEEVRILSDFQSSIQQCVANRGLGLTAHIIDHCKLVLKFPPGTNSTWYNAQFKVFEPLEYSYDVCDTILLWEQYRNMTTVLTREYLDARPDGWLDYAAKRIAQLGADKCFNRTLCEEHLKLLLPTKPPFHPRQFRTCAVVGNSGDLLKTRFGKEIDSHDAVIRDNEAPVNEKYAKYVGLKRDFRLVVRGAARNMVKIVNGSDDEVLIIKSVTHRDFNAMIKSIPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAKRKQDWSDVPSREMISRAHAAALHLKRGAAGLLGQFDSCKFWGNVDPDKSGPISGSSDMSRVRKHSNYSKWEIMPFESLRKEAQDHYNQMEGVSLYKMDGNKLDDLVCVRHSSKSEV >EOX98212 pep chromosome:Theobroma_cacao_20110822:2:4918402:4921202:1 gene:TCM_007028 transcript:EOX98212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MSLHPYSSFLTFRSQIGKSEPPISENSTPRDDVSWFYRSLLSKFISLFIEALPPIRHAIKCKPPRGCVKSKDGRTFWLSCLPLSYQKINILFCVPLESLYPLPRNEVSPSACFAVLFFTAMESTTSEIAIDCAPLLRVYKDGRVERLLGTQTVPPGLDPKTNVESKDVVFSQETGQYVRLYIPKAIRSSSQKLPLLVYFHGGGFCIETASSPTYHNYLNSLVSEANIVAVSVDYRRAPEHPIPVAYDDSWAALKWVASHFGGNGPEEWLNCHADFDKVYLSGDSAGANIAHHIAIKIGKEKLDGVNLAGIVLAHPYFWGKEPVGDEIKDPAVRAKLEQIWRLASPTTSGSDDPWINPVDDQSFESLGCTRVLVCVAEKDLLRHRGWYYYEKLKKSGWGGEAEIMEAQGEEHVFHLAKPTCENAVAKLNKVAAFMNQDKA >EOX97998 pep chromosome:Theobroma_cacao_20110822:2:4208053:4210242:1 gene:TCM_006869 transcript:EOX97998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein isoform 2 QFPTHQNQNQPPKTGHKPKLRTQELRNEILFSFLAEIILESINLMDTVLEDEEYSYREVKLPTLIPIVPEPGLERESGERRRGRDIVIAVDHGPNSKHAFDWALIHLVRLADTIHLVHAVSSVRNEIVYETSQGLMEKLAVEAFEVAMVKTTARIVEGDAGKVICKEAERLKPAAVVMGTRGRSLIQREV >EOX97997 pep chromosome:Theobroma_cacao_20110822:2:4208053:4210242:1 gene:TCM_006869 transcript:EOX97997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein isoform 2 QFPTHQNQNQPPKTGHKPKLRTQELRNEILFSFLAEIILESINLMDTVLEDEEYSYREVKLPTLIPIVPEPGLERESGERRRGRDIVIAVDHGPNSKHAFDWALIHLVRLADTIHLVHAVSSVRNEIVYETSQGLMEKLAVEAFEVAMTTARIVEGDAGKVICKEAERLKPAAVVMGTRGRSLIQSVLQGSVSEYCFHNCKSAPVIIVPG >EOX97996 pep chromosome:Theobroma_cacao_20110822:2:4208185:4210645:1 gene:TCM_006869 transcript:EOX97996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein isoform 2 MDTVLEDEEYSYREVKLPTLIPIVPEPGLERESGERRRGRDIVIAVDHGPNSKHAFDWALIHLVRLADTIHLVHAVSSVRNEIVYETSQGLMEKLAVEAFEVAMVKTTARIVEGDAGKVICKEAERLKPAAVVMGTRGRSLIQSVLQGSVSEYCFHNCKSAPVIIVPGKEAGDESLI >EOX98641 pep chromosome:Theobroma_cacao_20110822:2:6580839:6583461:1 gene:TCM_007352 transcript:EOX98641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MTRNICPSPQISVCCVLLCFVFFDIICLGFLIYCRDSFCSFFQRPSPRAFFPLEPLQETSTSSIFHSPEVFKLDYAEMERRFKIFLYPDGDPNMYYHTPRSLSGKYTSEGYFFKNIRESRFLTNDPESAHLFFIPISCHKMRGKGLSYENMTRTVQEYVESLMVKYPFWNRTLGADHFFVTCHDIGFKATVGVAHLVKNSIRVACTRGDDDGYIPHKDFPLPQIVQPFSLPAARFDPENRYALGFWAGSLKSELRRELVSAWQNDTELDIQSNYMINVPHLEKFNTAKFCICPGWSHVHGSRIALSIHHGCVPVIMSDHHDLPFNDILDWSKFSIIIKEDEVQQIKHILERISYDRFKSLHYNTVQVQRHLQWNSPPIKYDAFHMVMYQLWRRRHVTK >EOX98501 pep chromosome:Theobroma_cacao_20110822:2:5983412:5986041:1 gene:TCM_007246 transcript:EOX98501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin d1,1 isoform 1 MSLSQYSTHLNNSSGSAASSSSTSLSCGDDAGEVVTWEPDTSILHQFPSLHNYPPSDVNSISELVDLEPHHMPFPDYLHRFQDRSIDVTARQDSINWILKVHAYYHFSPVTAFLSVNYFDRFLSSHSLPQANGWPFQLLSVACLSLAAKMEEPQVPLLLDLQVFEPRFAFEPKTIQRMELRVMATLNWRLRSVTPFDYLHHFICKLPSCSTRLPNSFSSVVSASSDLILSTTRVIDFLGFAPSTIAAAAVLCAAGEGFEFPADDALFHETVNKEMVGSCHQLMEEYLIDTCPSARLKELRGAQPPAPPSPVGVLDAAACGSCDTRSENPGSSSQEEPPAKRLRSSAPDVQQP >EOX98500 pep chromosome:Theobroma_cacao_20110822:2:5983633:5986041:1 gene:TCM_007246 transcript:EOX98500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin d1,1 isoform 1 MNKPNTAINIDLLIHSIYSRRLRCLRHRFYPSIKRRRRRRISTIFIIIIQMSLSQYSTHLNNSSGSAASSSSTSLSCGDDAGEVVTWEPDTSILHQFPSLHNYPPSDVNSISELVDLEPHHMPFPDYLHRFQDRSIDVTARQDSINWILKVHAYYHFSPVTAFLSVNYFDRFLSSHSLPQANGWPFQLLSVACLSLAAKMEEPQVPLLLDLQVFEPRFAFEPKTIQRMELRVMATLNWRLRSVTPFDYLHHFICKLPSCSTRLPNSFSSVVSASSDLILSTTRVIDFLGFAPSTIAAAAVLCAAGEGFEFPADDALFHETVNKEMVGSCHQLMEEYLIDTCPSARLKELRGAQPPAPPSPVGVLDAAACGSCDTRSENPGSSSQEEPPAKRLRSSAPDVQQP >EOY02062 pep chromosome:Theobroma_cacao_20110822:2:41827727:41828180:1 gene:TCM_011805 transcript:EOY02062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPWPCASKNNSVLYVKNQDYRSQFTLSSSLVSATSLDQKSMLDNLNLSLMLIIFTCPKPNAEMPTYSKGSVR >EOX97557 pep chromosome:Theobroma_cacao_20110822:2:2653262:2654344:-1 gene:TCM_006544 transcript:EOX97557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQPITGNTIPLRSTKHLLRHHHSFGFFRIDNHVVWEEGTRIRTCTWLEERGGKGEVDLDKHADDPNLCRE >EOX99228 pep chromosome:Theobroma_cacao_20110822:2:9312106:9321740:1 gene:TCM_007838 transcript:EOX99228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYSTFGRRNSSIPVPFRIPCLVVKQAALPPKSISSLNRSLTTFLSSLDCPCPVFISSLNRSSPIFPPLLVDLLPLSQLLSQLLVFPSLSPVFPFLSASLQPSSSTAAIVLHCGGSTGQIDASTARYSQGQRQISYPTSKEKKER >EOY02123 pep chromosome:Theobroma_cacao_20110822:2:42023904:42034227:-1 gene:TCM_011854 transcript:EOY02123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome and flowering time regulatory protein isoform 1 MAERQLIVAVEGTAAMGPYWQTVVSDYLDKIIRCFSSSELTGQKTSTSNVELALVTFNTHGSYCACLVQRSGWTKDVDIFLQWLSAIPFSGGGFNDAAIAEGLSEALMMFPIAPNGNQTQQNVDGQRHCILVAASNPYPLPTPVYRPQIQNLEQTENIEAQTESRLSDAETVAKSFAQCSVSLSVICPKQLSKLKTIYSAGKRNPRAPDPPVDNVRNPPFLVLISENFMEGRAALSRPGVPSLASNQSPVKMDMASVTSGTGPPPTSVPSVNGSMMSRQPVSVGNVPTATIKVEPTTITSMGTGPAFPHIPAVPRAPSPAIPTMQTSSPSTTSQEVMNSGDNVQELKPSVSGMTQPLRPVPPAANVNILNNLSQARVMNSAALTGGTSIGLPSMGQTPVAMHMSNMISSGMASSVPPAQTVFSSGQSCMTSLTGSGALTGTVQVPPNSGLSSFASATSNVTANSNIGMSQPMGNVQSGVSMGQSVPGMSQGNHSGAQMVQSGVGMSQNMSGLGPSTVSSGTGTMFPIPGMSQQVQSGMQTLGVSNSSAASMPLSQQTSSALQSAQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSASASETLAAHWPQTMQIVRLISQDHMNNKQYVGKADFLVFRAMNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGDMVVFKPQISSQHQQQQQLQQQQHQQMQPQLQQQQLPQLQQQQQQLPQLQQQQQPLPQLQQQQQQQQLPQLQQQQLPHLQQQQLPQLQQQQQHPQIQQQQQLPQIQQQQLSQLQQQQQLPQMQQQQQLPQMQQQPQLPQLQQQQLPQQQQMVGSGMGPAYVQGPGRSQLVSQGQVSSQAPPNMPGGGFMG >EOY02124 pep chromosome:Theobroma_cacao_20110822:2:42024232:42034232:-1 gene:TCM_011854 transcript:EOY02124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome and flowering time regulatory protein isoform 1 MAERQLIVAVEGTAAMGPYWQTVVSDYLDKIIRCFSSSELTGQKTSTSNVELALVTFNTHGSYCACLVQRSGWTKDVDIFLQWLSAIPFSGGGFNDAAIAEGLSEALMMFPIAPNGNQTQQNVDGQRHCILVAASNPYPLPTPVYRPQIQNLEQTENIEAQTESRLSDAETVAKSFAQCSVSLSVICPKQLSKLKTIYSAGKRNPRAPDPPVDNVRNPPFLVLISENFMEGRAALSRPGVPSLASNQSPVKMDMASVTSVNGSMMSRQPVSVGNVPTATIKVEPTTITSMGTGPAFPHIPAVPRAPSPAIPTMQTSSPSTTSQEVMNSGDNVQELKPSVSGMTQPLRPVPPAANVNILNNLSQARVMNSAALTGGTSIGLPSMGQTPVAMHMSNMISSGMASSVPPAQTVFSSGQSCMTSLTGSGALTGTVQVPPNSGLSSFASATSNVTANSNIGMSQPMGNVQSGVSMGQSVPGMSQGNHSGAQMVQSGVGMSQNMSGLGPSTVSSGTGTMFPIPGMSQQVQSGMQTLGVSNSSAASMPLSQQTSSALQSAQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSASASETLAAHWPQTMQIVRLISQDHMNNKQYVGKADFLVFRAMNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGDMVVFKPQISSQHQQQQQLQQQQHQQMQPQLQQQQLPQLQQQQQQLPQLQQQQQPLPQLQQQQQQQQLPQLQQQQLPHLQQQQLPQLQQQQQHPQIQQQQQLPQIQQQQLSQLQQQQQLPQMQQQQQLPQMQQQPQLPQLQQQQLPQQQQMVGSGMGPAYVQGPGRSQLVSQGQVSSQAPPNMPGGGFMG >EOY00002 pep chromosome:Theobroma_cacao_20110822:2:22156686:22157679:1 gene:TCM_009267 transcript:EOY00002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNIYRGIATVVTGSKRVSGRDRRSKKGKERKIKERKENEKKIDFHGEERKMALEEEDEEEKYLLGDKDQLWKKKKRVKASNIRICYGKRRKESKLPLEALTKLMEKLPFGPCFSPF >EOX97251 pep chromosome:Theobroma_cacao_20110822:2:1724497:1725597:1 gene:TCM_006330 transcript:EOX97251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAEANKRLELDAKNNSQAYDIEVLNGNEPEVIEMDLMLGVADLHTPEAVAAAESAIAGNQPAITLAGSSSETESDDSSDDSNDDNEESKNDGNDDNETSRKLEKSNTGKDHTISEAARKHRSKKRSRIVELS >EOX97250 pep chromosome:Theobroma_cacao_20110822:2:1724159:1725641:1 gene:TCM_006330 transcript:EOX97250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGSTSKDLLRFEHKTSSTSPLESALLVTNKKDSSAESRDPAKKPSITPVPKSQLLGKVKDFLGVMAEANKRLELDAKNNSQAYDIEVLNGNEPEVIEMDLMLGVADLHTPEAVAAAESAIAGNQPAITLAGSSSETESDDSSDDSNDDNEESKNDGNDDNETSRKLEKSNTGKDHTISEAARKHRSKKRSRIVELS >EOX98839 pep chromosome:Theobroma_cacao_20110822:2:7443939:7444325:1 gene:TCM_007519 transcript:EOX98839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRCLFLTDMHTLQSMNHRSVAELLQLKQTPFHSCMPRLNSLLSNLLVGEPNLLIILLVDLVSHFVKDKLASYSTLPPPYF >EOX98865 pep chromosome:Theobroma_cacao_20110822:2:7581672:7582789:1 gene:TCM_007543 transcript:EOX98865 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 18 isoform 2 MGDNNVNLPPGFRFYPTDEELVVHFLQRKAALLPCHPDVIPDLDLYPYDPWELNGKALAEGNQWYFYSRRTQNRNTSNGYWKPMGIDEPVVNSSSKKVGMKKYFLFYIGEGPAGIKTNWIMQEYRLSDCDSSSSRSSKRRAHSKIDHSKWVVCRVYERSSDDDDDGTELSCLDEVFLSLDDLDEISLPN >EOX98866 pep chromosome:Theobroma_cacao_20110822:2:7581587:7583087:1 gene:TCM_007543 transcript:EOX98866 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 18 isoform 2 FFILLCFVSSLSYLFLSHTINITHYKSFFFTILLFLELLSIAIGYISREMGDNNVNLPPGFRFYPTDEELVVHFLQRKAALLPCHPDVIPDLDLYPYDPWELNGKALAEGNQWYFYSRRTQNRNTSNGYWKPMGIDEPVVNSSSKKVGMKKYFLFYIGEGPAGIKTNWIMQEYRLSDCDSSSSRSSKRS >EOY01821 pep chromosome:Theobroma_cacao_20110822:2:41030735:41032451:1 gene:TCM_011629 transcript:EOY01821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Son of sevenless MEIASVTSPRMRLDHLEKEGSKETHGESFELDSSRNVSDHNHQFHSMNALEILRETVRILRYNSSGFMIIAALLICPVSAVLMSNLLVDHSIVKRFTVRLLLVAKTSGLPLRSFLKQSCQRFAETAVSSAMCFPLFITLSLLSKAAVVYCVDCTYSRKSADVSKFFVIIRKFWRRLVSTYVWMCMVIVGCVTTFFVFLVAACSVLSVIGFTPDLIVYAVIMMGLVFSVVFANAIVVCNIGIVICVLEEVSGLQALLRAGVLIKGQTQVGLLIFLGSTIGLAFVEGLFEHRVKTLSYGDGSSRIWEGPLLVIMYSFVVLVDSMMNTVFYFSCRSYSVEASDGECRSMLETITVSAESLGVQ >EOX97172 pep chromosome:Theobroma_cacao_20110822:2:1464650:1467354:-1 gene:TCM_006262 transcript:EOX97172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELFTKRLTQTDINKRLAIPTNSLVYFPGFRGNHSVELKVKDKSHRLWRFRCSIRKKRYLKPVFSSGWPEFTFSNSLRIGDKVSFRLEQGHVTGVEYGIEVQRKIRLLGKDVWADGFSGILIWIWMFFFLSVFTGLLGRVCYVPEDFFLLLSIISSNPFCSNLPFTEAASQRLRDHISLFSLLI >EOY01003 pep chromosome:Theobroma_cacao_20110822:2:36465837:36482114:1 gene:TCM_010908 transcript:EOY01003 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLP-like protein 34 isoform 2 MYLMPKICPELVTDVKVVKGDWETVGSVRIWKYVAGLSENASETIEAIDDRNKSITLNALDGDITKYYKTFKVIVTVTANGQGSLVKWTLMYEKQNQNIPDPEKYIEFASAITKSVDAYLLKK >EOY01002 pep chromosome:Theobroma_cacao_20110822:2:36465739:36466685:1 gene:TCM_010908 transcript:EOY01002 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLP-like protein 34 isoform 2 MAQILKLEVQSEIQSSADKVYDIFKHKMYLMPKICPELVTDVKVVKGDWETVGSVRIWKYVAGLSENASETIEAIDDRNKSITLNALDGDITKYYKTFKVIVTVTANGQGSLVKWTLMYEKQNQNIPDPEKYIEFASAITKSVDAYLLKK >EOY01022 pep chromosome:Theobroma_cacao_20110822:2:36855544:36862323:1 gene:TCM_010949 transcript:EOY01022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTAACKSTQQLFYQHPSMTLRSPIPEIPHAVYYLTYKGNPSFHHDWSTIISHILAVKSSSLSTLSFAQEPALPLAFQDQFVICTTLTSSLTSTICLYFFLHY >EOY01714 pep chromosome:Theobroma_cacao_20110822:2:40704256:40707207:1 gene:TCM_011550 transcript:EOY01714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MSSCVKPLVQSEQSPLVLLHGFDSSCLEWRYTYPLLEEAGLETWAVDILGWGFSNLERLGSCDVQSKREHFYQLWKSYIKRPMTFVGPSLGAAVAVDFAVNHPEAVENLVLIDASVYAEGTGNLATLPRAAAYAGASLLKSFPLRLYTIFLAFKGTSFSTSLDWTNIGRLHCLYPWWEDATVNFMISGGYNVSRQIDQVKQKTLIIWGEDDQIISNKLGVRLHCELPNAIIRQIPDCGHLPHVEKPDSVARLILEFVQDYCQKEAQFVPM >EOY01715 pep chromosome:Theobroma_cacao_20110822:2:40704147:40706993:1 gene:TCM_011550 transcript:EOY01715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MSSCVKPLVQSEQSPLVLLHGFDSSCLEWRYTYPLLEEAGLETWAVDILGWGFSNLERLGSCDVQSKREHFYQLWKSYIKRPMTFVGPSLGAAVAVDFAVNHPEAVENLVLIDASVYAEGTGNLATLPRAAAYAGVKQKTLIIWGEDDQIISNKLGVRLHCELPNAIIRQIPDCGHLPHVEKPDSVA >EOY00889 pep chromosome:Theobroma_cacao_20110822:2:35703444:35706305:-1 gene:TCM_010808 transcript:EOY00889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein MSGKNSKGIPVPEFIRSLKNLRYLDLSGASFNGKVPPSLGNLSNLEYLDLSMYLFPLRLWASDLNWLFGLSSIKYLDLGNMNLSKAATNWLQAVNMLPSLTKLRLSGCELNGFPESLTFVNFTSLAVLDLSYNNFSSSIPRWLFNISTLEEVELYNCEIKGSIPKVLRGSLCNLWRMDLSYNVITGEINEFIEALAGCSNNTFNYLDLSANNLKGNLPESLGFLKYLDYLRLAQNSFSGSLPRSIGNLSSLTVVDLSFNSMNGTTLESIGQLKRLYELNLYGNSREGIITENLFQNLSRLSSFYISSISKSVIFNLRRDWIPSFSLDYIAVSDCQLGPAFPSWLRTQVDVSTLTLSGAVSFVYDIGVWVDLGFNLLEGSIPLWPNVTDLSLRNNFFSGPIPSNIGQAMSKVRNLDLSRNFLKGSIPPSINKMENLSFLDLSSNDSSGIIPSRLQGLRNLMVLDLSKNNLSGGVPSSLCSLPSFIFLKLSSNNLSGELSTTLQNCSGLLSIDLGENRYSGTILDLVSDNLFSLSYLGLRANILTGSIPEQLCKFPNLHIIDLAQNNLSGAIPECLGNLEAFTYLGPYFHELPSTQHISFSQLVEIVSKGRKNEYSKIIPLDNAIDVSSNNLVGEIPDHITELSALGTLNLSWNHLTGKIPENIANLQRLETLDLSHNNLSGPIPPSMSSMTLLNYLNLSFNNLSGQIPSSNQFQTFNDPSIYQGNPELCGPPLSISCSSQRNGYGEDKNGDLEGEEDRSEKLWFYTSMALGFSTGFWVVCGSLIIKRLWRQAYFKFVDEMKDRLFVVIAVRIAVFERRLWKDDDVLRVINNN >EOX98838 pep chromosome:Theobroma_cacao_20110822:2:7443579:7445074:-1 gene:TCM_007518 transcript:EOX98838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFAMPCFGGNQPSSTERLSSRSNHLEVSAASRSYTSILNKHRIPSRVMGSISRPQVGLGSRLQKNLSAGR >EOX98210 pep chromosome:Theobroma_cacao_20110822:2:4914952:4916295:1 gene:TCM_007026 transcript:EOX98210 gene_biotype:protein_coding transcript_biotype:protein_coding description:A/G-specific adenine glycosylase muty, putative MDSFTDNEIIRDCPPFFKVYKDGRVERYTVTTPAPEGIDPKTGVQSKDVVVSPGVKARIFMPQTRSPGKKLPLLVHYHGGGFSIGSALDTVTQKLLTPLVVQANIIAISIDYRLAPEYLLPIAYDDSWAGLQWVASHANGQGPEPWLNENADLGRVFLMGESAGATIAHYVAVQAGATKLVGLKIIGTLIVHPFFGSKEPDDIYKYVCPTSPGFDQDPKLNPAVDPNLKQMASDRVIVMVAEKDGLRSRGELYYETLVKSGWGGSVEFDESKGEDHCFHVLHDNGKAEVLKKKIVDFIKKD >EOX98980 pep chromosome:Theobroma_cacao_20110822:2:7988093:8008087:-1 gene:TCM_007630 transcript:EOX98980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MTTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNIVKVWDLTAGKLLHDFKYHEGQIQCLDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETTGVRCLTFNPDGRTVLCGLHESLKVFSWEPIRCHDGVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAVGNGNRVNGHSEPKSSSGGSLSVLNENTTKASMGRLSVSQNPDPLMKETKSLGRLSVSQNSDPAKESKNLASTGNVPGTPQRVNLNIASKTTQPNSVTVPSVAAPKRNSTRATSAVNVPIFNKSDVIPVIVPRNDTRLEQAAEARKEVGISGRSLEQAAESRREVGIAGRSLEPAAESRKEAGIVGKTLEQGTDSRKELGIVGRTMPFSLQSKATSFRKFQNSREDMDRPAISVMSETTGSKAAEFGSVPDRNVFPAVKGPIQAMSAAERNVREDRCIGSTKTEPNSVVELPSSYQDENHDAQVQKTNRDAYPMESQKGGRTRSVVINWEKRGRSSNYDGPTLSISPGNASVANMPSFNAFKQRGYPPSMEKEMPSASDEDAVADIMEQHDQFISSMQSRIAKLQVVHRYWERTDIKGAISAMEKMADYAVVADVMSIITEKMDIVTLDICTCLLPLLSGLLGSDMDRHLSISLDMLLKLIRVFGSMIYSTLSASTPVGVDIEAEQRFERCNLCFIELEKVKRCLPTLTRRGGSVAKSAQELNLAIQEVESPQQVSIMSFSPWDLPIPYVSNHSNLQCCLDCITPSVPTRILPSKKCVQTSNAFLQFHERDIVEGFALGDLWHSYNEWSAYGVGVPIVLNNGDRVVQYYSPSLSALQIYTSKPFPSSKSLFDQTDEKFGELEKEAKLEKESAHNDNMPSSNSSCLSLDITWDDSTTFQFSSGQTTNQCHYLYCQYNETCSPYFRVPFKEKVSKNLFINFYTCIFIRWIYSTDLSPCSWVAIAWYPLYQIPMTINVTELSACFLTYHALSSLSQEKEKLQDMMSCLGEDIRNSRKGEISLPPFAMVAYKMSGALWINPGTSDQDSIICKQTAARHWLKQLQFQHHDFNFFMSHQF >EOY02150 pep chromosome:Theobroma_cacao_20110822:2:42133637:42136697:-1 gene:TCM_011873 transcript:EOY02150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein MCGKKEEDQGECSQAIHNIQGYQEQLLIQQHQQMQQHHHQQQNNDLFGGTRGGLIFPEVSPILPWSLPPVHSFNPAHFNGNQVRDHDPFLVPPPPSSYGALFNRRAPALQFAYDGPSTDHLRILSDTLGPVVQPGSAPFGLQAELGKMTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSLIAETSPVPTEIDELTVDTSDEDGKFLIKASLCCEDRSDLLPDLIKTLKALRLKTLKAEITTLGGRVKNVLFITGEEDSSSSGDQQQQQQQYSVSSIQEALKAVMEKTSGDESSAGSVKRQRTNISILEHSGQNR >EOX99527 pep chromosome:Theobroma_cacao_20110822:2:11931020:11932034:1 gene:TCM_008216 transcript:EOX99527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYIYISYERVDSRIRLRALFLSFFFFFVASQNGETGKSIVSEELAFGGGVLLGLRGGLQSNDTVTHSI >EOY00471 pep chromosome:Theobroma_cacao_20110822:2:32831977:32834086:1 gene:TCM_010351 transcript:EOY00471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFVDNVSLQISWSKVRNVFKDYRRVVDLFIDRRRNDSVRKRSTFAFVRYCSEEELRRVVNMGNMRLVDSHKIRVKRVAFGWKARKRAHGSLIAAQRESNWIANGGRDLRSYKEVVELSGNRRYDTHRLQVETRCEDDDSESDQTDGEKETEFLLSILEGNSEWLNKSMVGTMKPQITQSKVQEELVNRRITARVQIMDDRKLLITFEEAGEINWLVNRYPVIWGMWGWEITKHPPLHSARGALHSGSRSNGAVPSLLFQAPGWRGGRSRSIPPFAKEATTTYVHSHSHIVGSATTYVHSYSHITESATAYVHSY >EOY01813 pep chromosome:Theobroma_cacao_20110822:2:40994825:40998972:1 gene:TCM_011624 transcript:EOY01813 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 4, putative isoform 1 MAESQEQQHQPSKTVASQRPTITPPPRPFTEALFNGGSGMMGFSPGPMTLVSNFFSDTDEFKSFSQLLAGAMASPAAAAAAQRPNFPAPPPTTTTEEQGDVSGAVGAGDTGLRFRQNKPAGLVIAQPPPMFTVPPGLSPASLLESPGFSVFSPGAQGPFGMTHQQALAQVTAQAAQAQMQADYSSAPAASLAQVSSFTASTTTNQQIPTSLPDSSVTRKEPSRVSHSDPRSQPASFIVDKPADDGYNWRKYGQKQVKGSEFPRSYYKCTHPGCPVKKKVERSLDGQVTEIIYKGQHNHQPPQSNKRAKDSGSLNGNPNNQGNSESASQHQGGNLNILKEGTSAYSMSKKDHESSQATAEHLSGTSDSEEAGDNETVADEKDEDEPDPKRRSTEYRVSEPASSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTPGCNVRKHVERASTDPKAVITTYEGKHNHDVPAAKTSSHNTANSNASQVRTQTVTNSADFGNNSQQRVAHLRLKEEQIT >EOY01812 pep chromosome:Theobroma_cacao_20110822:2:40994929:40999502:1 gene:TCM_011624 transcript:EOY01812 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 4, putative isoform 1 MAESQEQQHQPSKTVASQRPTITPPPRPFTEALFNGGSGMMGFSPGPMTLVSNFFSDTDEFKSFSQLLAGAMASPAAAAAAQRPNFPAPPPTTTTEEQGDVSGAVGAGDTGLRFRQNKPAGLVIAQPPPMFTVPPGLSPASLLESPGFSVFSPGAQEHTIFAILIYPLIPFVCCIVRSVCESSRASTRTEKGPFGMTHQQALAQVTAQAAQAQMQADYSSAPAASLAQVSSFTASTTTNQQIPTSLPDSSVTRKEPSRVSHSDPRSQPASFIVDKPADDGYNWRKYGQKQVKGSEFPRSYYKCTHPGCPVKKKVERSLDGQVTEIIYKGQHNHQPPQSNKRAKDSGSLNGNPNNQGNSESASQHQGGNLNILKEGTSAYSMSKKDHESSQATAEHLSGTSDSEEAGDNETVADEKDEDEPDPKRRSTEYRVSEPASSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTPGCNVRKHVERASTDPKAVITTYEGKHNHDVPAAKTSSHNTANSNASQVRTQTVTNSADFGNNSQQRVAHLRLKEEQIT >EOY01814 pep chromosome:Theobroma_cacao_20110822:2:40994825:40997672:1 gene:TCM_011624 transcript:EOY01814 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 4, putative isoform 1 MAESQEQQHQPSKTVASQRPTITPPPRPFTEALFNGGSGMMGFSPGPMTLVSNFFSDTDEFKSFSQLLAGAMASPAAAAAAQRPNFPAPPPTTTTEEQGDVSGAVGAGDTGLRFRQNKPAGLVIAQPPPMFTVPPGLSPASLLESPGFSVFSPGAQGPFGMTHQQALAQVTAQAAQAQMQADYSSAPAASLAQVSSFTASTTTNQQIPTSLPDSSVTRKEPSRVSHSDPRSQPASFIVDKPADDGYNWRKYGQKQVKGSEFPRSYYKCTHPGCPVKKKVERSLDGQVTEIIYKGQHNHQPPQSNKRAKDSGSLNGNPNNQGNSESASQHQGGNLNILKEGTSAYSMSKKDHESSQATAEHLSGTSDSEEAGDNE >EOY00462 pep chromosome:Theobroma_cacao_20110822:2:32636134:32657083:-1 gene:TCM_010333 transcript:EOY00462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKLMLSLAGFRSAFGVMSAYRDIAAVITGPMGVPARGESIHITTSQDICAFLSRPGTPHRARDNRREASTNILHPECRSKPRKALVSAFALPRSRQPRADLIKDQCFRRNRQSDTLEKVNQILVKFECDRDKRIREKDSDRPSMQSSALMVHCR >EOY01599 pep chromosome:Theobroma_cacao_20110822:2:40195792:40201052:1 gene:TCM_011453 transcript:EOY01599 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 4 MEMNMSFSHDMDDEYEKFIRRMNPPRVVIDNEACKNATVIRVDSANKHGILLEVVQILTDLNLIINKAYISSDGNWFMDVFNVTDQDGNKIVDEGILDYIMKSLGPESCFTSSMRSIGVKQSMDHTAIELTGSDRPGLLSEVSAVLRHLKCNVVNAEVWTHNTRAAAVMQVTDEEKGTAITDPERLSRIKALLCNVLKGSNKSSLAKTVVSHSVTHTERRLHQMMFADRDYERTGDDVLDDKQRPNVDVVNWYDKDYSVVTIRCKDRPKLLFDTVCTLTDMDYVVFHANIDTEGPESYQEYYIRHIDGSPVKSDAERQRVTQCLEAAIERRVSEGLKLELCTTDRVGLLSDVTRIFRENSLTVTRAEVTTKAGKAVNTFYVRDTSGYPVDAKTIDSIRQVIGQTILKVKGSPEDSKSVSQESPTRFLFGGLFKSRSFVNFSLRLRFSLWKPRRSLTCLFLLWPSSSGIVFCFLVVNNLTDSALVH >EOY00421 pep chromosome:Theobroma_cacao_20110822:2:32214028:32218939:1 gene:TCM_010286 transcript:EOY00421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-induced protein 5NG4, putative MWGASVTAVMVSTEFLEVGLNTVNKAAMNRGLSDFVLVLYSNILAIFILAPCIFIFYRKRTPPLLTWSSICKIFLLGVLSYAGQICAYTGLGYGSPTLASAMADLSPAFTFLFSIISRMEKLDLGIKSSQAKSLGTLVSISGALVVTLYKGLPLTSTPSNNRLLGELLLIPQSNWVIGGIFLASHSVIFAIILNVQTWIIRRYPAEMIVTLICSIFVCILSSLVSLIVEKDPNAWRLGLNMELIAIVYTAAFAVAFRSVVHKWALRRKGPIYVAMFKPLGMVIALAMGVTLLGDTLYLGSLLGAAIIAIGFYAVIWGQSQEEKMVEDAEIYDSERSSAKDPLLPDRGLVA >EOX98392 pep chromosome:Theobroma_cacao_20110822:2:5610685:5617443:1 gene:TCM_046716 transcript:EOX98392 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-alpha-L-fucosidases MDDGEWVLVRKPAEKDIWNPTSMALADTSKPLKVTFSGPAKHWTDAIPIGNGRLGAMVWGGIASETLQLNEDTLWTGIPGNYTNPDAPAALAEVRKLVDSGEYAEATKAAVKLSDHPSDVYQPLGDIKLEFDDSHIKYTEGTYRRELDLESATAAVKYSVGDVEFTREHFVSNPDQVIVTKISGSKPKSLSFTVSLDSKLHHDSQANGKNQIIMQGSCPGKRIAPKASANENPKGIQFAACLELQISEGGVVSILDDKKLKVEDSDWAVLLLVASSSFDGPFTMPSESRKDPTSESINALKSIKNLSYSDLYVHHLDDYQNLFHRVSLQLSKSSKSNLKDGSLAMKKVKSSTTNLYFSKSEDDAVSTAERVKSFQTDEDPSFVELLFQYGRYLLISSSRPGTQVSNLQGIWSKDIEPAWDGAPHLNINLQMNYWPSLSCNLKECQEPLFDYISSLSINGSKTAKVNYEAGGWVAHQVSDIWAKTSPDRGEAVWALWPMGGAWLCTHLWEHFAYTMDKDFLKNKAYPLLEGCTFFLLDWLIEGPGVYLETNPSTSPEHMFVAPNGEQASVSYSSTMDIAIIREVFSEIVSAAEILGRKDDALIGKVREAQPKLLPTKIARDGSLMEWAQDFQDPDVHHRHLSHLFGLFPGHTITVEKTPDLCKAADNTLYKRGEDGPGWSTTWKIALWARLHNSEHAYRMVKHLISLVDPTHEGDFEGGLYSNLFTAHPPFQIDANFGFSAAIAEMLVQSTMKDLYLLPALPRDKWANGCVKGLKARGGVTVNICWQEGDLEEVGLWSKEQNSVERLHYRGTIITAEISSGKVYTFNRHLKCVRTYSLSEAAFS >EOY01222 pep chromosome:Theobroma_cacao_20110822:2:38373178:38376691:1 gene:TCM_011168 transcript:EOY01222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSILVIGSLSKIFDMQRGLRQGCPMSPFLFNLVAEGFSCLMKEVERKGLFNGILVGRNGLSVSHLQFADDTMIFGYPDLEQIRNIKRVLRIFQLMSGLKINFAKSSLMEIDMEPDIIEEWAFLLDVHPYFGFALGDRGNIRFWIDEWFENGSLKKLFPRIYALVENKSGTVKEFGEWLNGIWEWKVKLRWNIFGWEQEQHNSFINTIRGIAPHKTKVQVWQFLHGKAAVKGCKSVTSLWYDWCKEWGLAWDMPARYKELVVMWNAIKMTSNCDRIWKTAMFTITWTVWLGKNDVHSASSILDIYRYLAADFNQQRDRDTRPQTIWEKPRAGVVKFNVDGVANGCPSKAVIVLKKRVIDWKIRHMLREGNREIDQLAKEGVGREVDLIEFYNPI >EOY01209 pep chromosome:Theobroma_cacao_20110822:2:38285888:38288038:1 gene:TCM_011153 transcript:EOY01209 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA delta(2)-isopentenylpyrophosphate transferase, putative MAWLISLIVLLSLVFILKSVIMRNANFEKSGIEKASTSSTLATNSVGFTQNQKNKTKVIFVMGATATGKSKLSIDLATYFSGEIINSDKIQVYEGLDIITNKITEAEGRGIPHHMIGFVDPNRDFTVDDFCRHALQNIDIISKKGKLPIIAGGSNSYVETLVEDSKFKFQDNFECCFIWLDVSKNVLYKRVANQVDEMVEAGLVEEVRGMFVPEADYTKRIRRAIGAPEMHDYFMLEKDTNINDSTKNEKLAHAIEEIKVNTCMLVDSQFRKIQRLREELGWKMHRIDATSVHEKCGKDAEDEWMKEVLEKTITIMDEFLK >EOX98111 pep chromosome:Theobroma_cacao_20110822:2:4592099:4598577:1 gene:TCM_006945 transcript:EOX98111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2-4 MGKGPFSFRRSSTRRRRPKSTTVPQPPTPTPPPPPAGAASSSSPPPPSAGNLIGGGGGGGAVGAAGKGKKKAAGAKLWMRFDTMGISELVEYDKSTIIERASIPARDLRILGPVFSHSSTILAREKAMVVNLEFIKAIVTAEEVLILDPLQQEVLQFVDQLRQQLPHKSACKIQGAGAMDVNDSEMHVSTGRQWLPVPEAMEGLQCELPFEFQVLEIALEVVCSFLDKSVAELERDAYPILDELARNVSTKNLEHVRSLKSNLTRLLARVQKVRDEIEHLLDDNEDMSHLYLTRKWIQNQQSEALSGAAVSNSISTTTTHLPRLGSHRSASLVSSHNLDDDDVEDLEMLLEAYFMQLDGTRNKILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFAVAVETLVAGWFGMNIPCTLYNKDGIFELFVGGVTAGCVLIFLLILGYARWKKLLGS >EOY01565 pep chromosome:Theobroma_cacao_20110822:2:40060946:40062765:1 gene:TCM_011426 transcript:EOY01565 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MESTDRSPKASHSHPHPHPHILVFPYPAHGHMLALLDLTHQLALRGLTITILITPKNLPLLSSLLSSHPSSITPLVLPFPSHPLIPPGVEHVKDLGNTGNLPIMAALGKLRDPLIHWFNSHPNPPIAILSDFFLGWTQHLATHLNIPRIAFFSVGVFLASVFDYIWNNVENLTPLSEVEFNYLHGSPVFKQEHLPSVFKLYKRSDPDWEFVKDGLVANTKSWGCVFNYFDALGTEHVRCFKTQVGHDRVFTVGPLSLTSPDVSGRGNSGSESDRNDRVLAWLDGCPDGSVVYVCFGSQKLLRKEQMEALANGLEKSGTRFIWVVKTGTTKQQEDGYGVVPDGFEERVADRSMVIKGWAPQALILSHKAVGGFLSHSGWNSVLEGIVGGVMILAWPMEADQFVNARLLVEDVGVGVRVCEGSDSVPDSDELGRIIAKSMNEGGVKAKAKELKQKALAATSDGGSSMKDLDRFVRELDQLRES >EOX98249 pep chromosome:Theobroma_cacao_20110822:2:5055462:5056725:-1 gene:TCM_007056 transcript:EOX98249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative MSNVSFLEFQYKLSKNKFFPKPSRLFSRDRQNLGLLPASQPDLNELREVFNKLDSNKDGKISQVEYKAMLRALGQGNTTRDVPKIFQVADIDGDGFINFKDFVEVQRRSEEVMEILRRLGERCTIQDCQKMVAAVDTDGDGMVGMDEFMTMMTRTMKLD >EOX97846 pep chromosome:Theobroma_cacao_20110822:2:3655661:3658102:-1 gene:TCM_006770 transcript:EOX97846 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein MAITLNNGFKMPIIGLGVWRMEGKDIRDLIINSIKIGYRHFDCAADYGNEAEVGEALSEAFRSGLVKREDLFVTTKLWNTDHGHVLEACKDSLKKLQLDYLDLYLVHFPVAIRHTGIGETGSPLDEDGVLDIDTTISLETTWHAMEDLVSKGLVRSIGISNYDIFLTRDCLAYSKVKPAVNQIETHPYFQRDCLVKFCQKHGICVTAHTPLGGAAANAEWFGTVSCLDDPILKGLAEKYKKTVAQIVLRWGIQRNTGVIPKTSKFERLQENFQVFDFELAKEDMDLIRTVDRKYRTNQPARFWGIDLYA >EOY00009 pep chromosome:Theobroma_cacao_20110822:2:22809708:22810406:1 gene:TCM_009328 transcript:EOY00009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGGSAKHSFVIINSFSLPAPPIPNISSASLYPLFLSHMKNSLNIFEWKSERSERERQRVVLGVVGMGVLGSIMTFAKSKGQFFPTPPIPLVSNRCSPSLLVLSWVSANFPTLIQQCWSFQALWKLLSSHINNGNIGNLESEDEEGSSSR >EOY00008 pep chromosome:Theobroma_cacao_20110822:2:22809392:22810503:1 gene:TCM_009328 transcript:EOY00008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGGSAKHSFVIINSFSLPAPPIPNISSASLYPLFLSHMKNSLNIFEWKSERSERERQRVVLGVVGMGVLGSIMTFAKSKGQFFPTPPIPLVSNRCSPSLLVLSWVSANFPTLIQCWSFQALWKLLSSHINNGNIGNLESEDEEGSSSR >EOX98207 pep chromosome:Theobroma_cacao_20110822:2:4895316:4897419:-1 gene:TCM_007022 transcript:EOX98207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 26 MHHKKTKATLFVFVFFFRVLCPLDCVLGGQDLILLINSSRLDDRKGCTCLLWLKDTKISNVYVGRQDGLKDADKMNALPGQLDGFNFRPGCSSFGNGAMLELGPFRVNPDGKNLSYNEYAWNKVANILFLESPGGVGFSYSNTTSDIYDRSGDSLTAEDKYTVLIIWLERAADSIHVQKITLISTSTLIMYKNLFMQMLEKLATKENKTSKNSNWFEAWYHCP >EOX97425 pep chromosome:Theobroma_cacao_20110822:2:2236255:2237283:-1 gene:TCM_006439 transcript:EOX97425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSGIVCGMVFVAVSRLTGLNRKWRAWEMSIHGYYSMHFFLLCPEDHMERATANECEEIQEDRMERATANESEEIHDEHMEKAEAIPHNTIHSWTKILLT >EOY00977 pep chromosome:Theobroma_cacao_20110822:2:36227114:36230728:-1 gene:TCM_010878 transcript:EOY00977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase MEGLPSGYRPNVGVCLINSDNQVFVASRLNVPGAWQMPQGGIEDGEEPKSAAIRELREETGVVSAEFIAEVPNWLTYDFPPAVKAKVNRLWGGEWHGQAQKWFLMRLTKDESEINLANGEADPEFAEWKWATPEEVVEQAVDYKRPTYEEVMKTFRPYFSDNSKAAKCKSTKW >EOY00671 pep chromosome:Theobroma_cacao_20110822:2:34432505:34438073:-1 gene:TCM_010594 transcript:EOY00671 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MWPLQELGFVPRVSYLHRSPKSYSRKRKQSFRKLAYSPKRAMAELRHSSSSLGSRASSSPMKRDEDASPLIHDHIPQDDDDDHPRHSVRDRDRSFWSQLHSFFPFFNDDPRVSQHGSRISLLLLLFVAIAGLISLFSILHRLNSPYLCKKDGIVLHCPRVKENPSLWENPFSATTSWKPCAERRDGGISDLPPENETSGYIFIHAEGGLNQQRIAICNAVAVAKIINATLILPVLKQDQIWKDQTKFEDIFDVDHFIDYLKDDVRIVRDIPEWFTDKSELFTSIRRTVKNIPKYAPAQFYIDNVLPRIKEKKIMALKPFVDRLGYDNVPPEINRLRCRVNYHALKFLPEIEEMADLLVSRMRNRTGSPNPYMALHLRFEKGMVGLSFCDFVGTREEKARMAEYRKKEWPRRYKNGSHLWQLALQKRKEGRCPLEPGEVAVILRAMGYPKETQIYVASGQVYGGQNRMAPLRNMFPNLVTKEELASKEELAVFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYMGHRQKSIKPDKGLMSRSFGDPYMGWATFVEDVVVTHQTRTGLPEETFPNYDLWENPLTPCMCKA >EOY00672 pep chromosome:Theobroma_cacao_20110822:2:34432163:34438055:-1 gene:TCM_010594 transcript:EOY00672 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MWPLQELGFVPRVSYLHRSPKSYSRKRKQSFRKLAYSPKRAMAELRHSSSSLGSRASSSPMKRDEDASPLIHDHIPQDDDDDHPRHSVRDRDRSFWSQLHSFFPFFNDDPRVSQHGSRISLLLLLFVAIAGLISLFSILHRLNSPYLCKKDGIVLHCPRVKENPSLWENPFSATTSWKPCAERRDGGISDLPPENETSGYIFIHAEGGLNQQRIAICNAVAVAKIINATLILPVLKQDQIWKDQTKFEDIFDVDHFIDYLKDDVRIVRDIPEWFTDKSELFTSIRRTVKNIPKYAPAQFYIDNVLPRIKEKKIMALKPFVDRLGYDNVPPEINRLRCRVNYHALKFLPEIEEMADLLVSRMRNRTGSPNPYMALHLRFEKGMVGLSFCDFVGTREEKARMAEYRKKEWPRRYKNGSHLWQLALQKRKEGRCPLEPGEVAVILRAMGYPKETQIYVASGQVYGGQNRMAPLRNMFPNLVTKEELASKEELAVFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYMGHRQKSIKPDKGLMSRSFGDPYMGWATFVEDVVVTHQTRTGLPEETFPNYDLWENPLTPCMCKA >EOX98667 pep chromosome:Theobroma_cacao_20110822:2:6654204:6656457:1 gene:TCM_007374 transcript:EOX98667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuole MARNGDPEGEGVLPRASSSTRVRARPDPFLLVCRCFSLITSLTAILCIAVNVLSAVRSFKNGSDVFDGIFRCYAVVIAFFVVVAETEWAFIIKFWKVLEYWAGRGMLQIFVAVMTRAFPDYSESQKDLVLLQNIASYMLLACGLVYVISGLLCIGFLKRSRQQKEITREQAVKDLEELERRREELEQLLLAERV >EOX98042 pep chromosome:Theobroma_cacao_20110822:2:4356783:4360506:1 gene:TCM_006901 transcript:EOX98042 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding protein beta 1 isoform 1 MSVVELKERLSAATETVNNLRERLRQRRLQLIDTDVAEYARSQGRSPVTFGPTDLVCCRTLQGHTGKVYSLDWTPERNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPTGQSVACGGLDSMCSIFNLNSPTDRDGNLPVSKTLSGHKGYVSCCQYVPDEDIHIITSSGDQTCVLWDITTGLRTSVFGGEFQSGHTADVLSVSINGSNSRMFVSGSCDATARLWDTRVASRAVRTFHGHEGDVNTVKFFPDGNRFGTGSDDGTCRLFDIRTGHQLQKYYQQHGDNEVPHVTSIAFSISGRLLFAGYSNGDCYVWDTLLARVVLNLGSLQNSHEGRISCLGLSADGSALCTGSWDTNLKIWAFGGHRRVI >EOX98043 pep chromosome:Theobroma_cacao_20110822:2:4356777:4360764:1 gene:TCM_006901 transcript:EOX98043 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding protein beta 1 isoform 1 MSVVELKERLSAATETVNNLRERLRQRRLQLIDTDVAEYARSQGRSPVTFGPTDLVCCRTLQGHTGKVYSLDWTPERNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPTGQSVACGGLDSMCSIFNLNSPTDRDGNLPVSKTLSGHKGYVSCCQYVPDEDIHIITSSGDQTCVLWDITTGLRTSVFGGEFQSGHTADVLSVSINGSNSRMFVSGSCDATARLWDTRVASRAVRTFHGHEGDVNTVKFFPDGNRFGTGSDDGTCRLFDIRTGHQLQKYYQQHGDNEVPHVTSIAFSISGRLLFAGYSNGDCYVWDTLLARVVLNLGSLQNSHEGRISCLGLSADGSALCTGSWDTNLKIWAFGGHRRVI >EOY01180 pep chromosome:Theobroma_cacao_20110822:2:38099456:38101161:-1 gene:TCM_011120 transcript:EOY01180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lignin-forming anionic peroxidase MVTAVRISLSSVASRAAAVVMMLLLSSACQAQLSSTFYDNTCPNALRTIRTAIRSAIARERRMAASLIRLHFHDCFVQGCDASILLDNSPSITSEKFVIQNNNSARGYEVIDQAKSAVENVCPGVVSCADILAVAARDASEYVGGPSWTVKLGRRDSTTASPSLASRDLPRFTDSLESLLSLFGTKGLSARDMVALSGSHTIGQAQCVTFRDRIYSNGSDIDAGFASTRRRNCPTTANGNGNLAPLDLVTPNSFDNNYFKNLLQKKGLLQSDQVLFSGGSTDSIVSEYSRTPSTFSSDFASAMIKMGDIEPLTGSSGIIRRICSAVN >EOX97783 pep chromosome:Theobroma_cacao_20110822:2:3420677:3425270:1 gene:TCM_006709 transcript:EOX97783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLVEEGDVSLKIKTCGMHDDLIRDFCLLKAKNKNFIYIIDRLKMEQADVSIWSSAIGAAAYKLGGLTRHICNNFKLLRIVDFEVAELALDCKLLGDIDPMHLTYLLCSCLNIRELHLSVEIRKFPEPQHISSNIAYICLAKVKLDEDPLPTLENLPNLRILKVKADAFVGKVMVCSAQGFPLLNSEYYFSKKFRGIEGE >EOX99676 pep chromosome:Theobroma_cacao_20110822:2:13581476:13583407:-1 gene:TCM_008431 transcript:EOX99676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTAPNMETITASLERSLQNCSLNHERRSSRSGGGGEQGIIRRSSTSDDNNLPTTVSDTSLELNSHLSLPYHWEQCLDLKTGEIYYINWRDGMKAKEDPRTAAEYSGDFYSEEEEEEDDDDSSYDSEESSSESSPSSRERAHYNNNNNNNHHRVEKDKDNVLVVAGCKSCLMYFMVPKQVEDCPKCNGQLLHFDRSGSSSP >EOY00469 pep chromosome:Theobroma_cacao_20110822:2:32823314:32830108:1 gene:TCM_010349 transcript:EOY00469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFDPMAHGSRVCTCVGVWKPPIDGGPMLVVDGPSSDVVSGPIGDFGHCVTNVCYWFESAIKLDQSQDHRP >EOX99436 pep chromosome:Theobroma_cacao_20110822:2:11219908:11222122:-1 gene:TCM_008118 transcript:EOX99436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase 1 MEGEHEALPTSPTSTTPPPPSSYKLFLKVMSKRRTWVFLFVLVYAILLASSWNSLKSILSWYKRQAQPSSASSGWPALYASVLLGAVFGLLSMVAALAVAVPATLVTWITVVVLLAFFGKPKRTLVLEGRKITREIAGIVFKILLKEGNLVAAVCAVLGYFALVRKNSAISRRGN >EOX99538 pep chromosome:Theobroma_cacao_20110822:2:12048982:12053359:1 gene:TCM_008239 transcript:EOX99538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDAKALAKSKRAHSQHHSKKPHSSQKPKPPLVGGNDAANAKKQTGKQIREKTHQAQRVSALPSNWDHYEEEFDSGSEDQSGDSTSQVPDVVLPKSKGADFHHLIAEAQSQLESNPYTDSLCSSDDILPGKYAIHVSFYFGILDGNLYIGNLPGDFNQFVGIMLSVRGEGILSLIQNDNFVVEDRTTATHAASFLSLNLHALAEQLEKVNLSERLFIEEDLLSPELVSPIPYIDIQHAEGSKANSNQESDQMQTTSEGKAAAQITEELTLNDSTDKVNIAAKNVEHISFSSGSKSVDATLSNEGLDSVDEVYSDFISSQRDKSGKSRALESSTHDNSNSASVPNKKVSTFEAVAAEAELDMLLNSFSETKLLDSSGLKTQKSSNDYYTEGSPSLAQLARKGDDSSNKSAGVNSSVDDLLDDLLKETSTMVNQGVDSSKSAAVTSTFDDLLQETSTLVNRNGLSRHTDVKAAQDSGQSSSSSHSVPKPKVLNDFDSWLDTI >EOX99540 pep chromosome:Theobroma_cacao_20110822:2:12049074:12053937:1 gene:TCM_008239 transcript:EOX99540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDAKALAKSKRAHSQHHSKKPHSSQKPKPPLVGGNDAANAKKQTGKQIREKTHQAQRVSALPSNWDHYEEEFDSGSEDQSGDSTSQVPDVVLPKSKGADFHHLIAEAQSQLESNPYTDSLCSSDDILPGDFNQFVGIMLSVRGEGILSLIQNDNFVVEDRTTATHAASFLSLNLHALAEQLEKVNLSERLFIEEDLLSPELHAEGSKANSNQESDQMQTTSEGKAAAQITEELTLNDSTDKVNIAAKNVEHISFSSGSKSVDATLSNEGLDSVDEVYSDFISSQRDKSGKSRALESSTHDNSNSASVPNKKVSTFEAVAAEAELDMLLNSFSETKLLDSSGLKTQKSSNDYYTEGSPSLAQLARKGDDSSNKSAGVNSSVDDLLDDLLKETSTMVNQGVDSSKSAAVTSTFDDLLQETSTLVNRNGLSRHTDVKAAQDSGQSSSSSHSVPKPKVLNDFDSWLDTI >EOX99539 pep chromosome:Theobroma_cacao_20110822:2:12049454:12053314:1 gene:TCM_008239 transcript:EOX99539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDAKALAKSKRAHSQHHSKKPHSSQKPKPPLVGGNDAANAKKQTGKQIREKTHQAQRVSALPSNWDHYEEEFDSGSEDQSGDSTSQVPDVVLPKSKGADFHHLIAEAQSQLESNPYTDSLCSSDDILPGDFNQFVGIMLSVRGEGILSLIQNDNFVVEDRTTATHAASFLSLNLHALAEQLEKVNLSERLFIEEDLLSPELHAEGSKANSNQESDQMQTTSEGKAAAQITEELTLNDSTDKVNIAAKNVEHISFSSGSKSVDATLSNEGLDSVDEVYSDFISSQRDKSGKSRALESSTHDNSNSASVPNKKVSTFEAVAAEAELDMLLNSFSETKLLDSSGLKTQKSSNDYYTEGSPSLAQLARKGDDSSNKSAGVNSSVDDLLDDLLKETSTMVNQGVDSSKSAAVTSTFDDLLQETSTLVNRNGLSRHTDVKAAQDSGQSSSSSHSVPKPKVLNDFDSWLDTI >EOX98757 pep chromosome:Theobroma_cacao_20110822:2:7061016:7062715:1 gene:TCM_007450 transcript:EOX98757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase 3-like protein MEIKIVCQRCRLKFAAVTTAETLVCPHCLKVNPNRTLQRRTSTGDEINFPFCLKGTRDEINDTIVRPPPRGATLHATVDACYSCRGEMEIKIVCQRCRQKFAATSNAEAVVCPHCRMVNPNRTLQKRSSTGDEINFSLFLKGTGAEINDTIERPLPRGAKLEGFYIWEDQRNPLFYKGISGGLAFCFSACDDNQISTDTTAFTGTNTRTGAMTFSFIQAVQHEPRLTHGRLLNAMRNAIRDVKAGLRLNGATDIFIREFDIYSKQFVL >EOY01596 pep chromosome:Theobroma_cacao_20110822:2:40179450:40181222:1 gene:TCM_011449 transcript:EOY01596 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein METPQTGLSLPKYLQEEELTEECKDKTLPTILTCQKNFQAQDSDIFLATTPKSGTTWLKAIGFALVNRRKYPDPINHPLVTNNPHALVRYLELEPRIDYQADNTSTTTASPRLIATHLPFVSLPESVKNSACKLVYLCRNPKDNFVSVWQFANKVRTKEMGTNPLEETFDKFCRGVNVYEPFWDHALGYWKESLENPQGFLFLKYDDMKEHPEIHLRRIAEFIGCPLSLEEESRGVVDDILNLCSFDTLSNLEVNKSGKLSSGHDTNVFFRRGEVGDWKNHLTP >EOX96965 pep chromosome:Theobroma_cacao_20110822:2:734984:738666:1 gene:TCM_006089 transcript:EOX96965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter 1 MGVNGAVGEEGIRRRGCSCTKDDFLPEESFKSWGNYVQALKETPSRFMDRVLTRSLDSTELHEIKARSQHEMKKNLSWWDLIWFGIGAVIGAGIFVLTGLQAKEVAGPAVVLSYVISGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYVIGGAAVARSWTSYFATLCNHQPEDFRIIVHSMPADYGHLDPIAVVVVSVICVLAVLSTKGSSRFNYIASVIHVIVILFIIIAGFTKADPKNYSDFTPFGVRGIFKSSAVLFFAYVGFDAVSTMAEETKNPARDIPIGLVGSMVITTTAYCLLAVALCLMQPFSQIDKDAPFSVAFEAVGWSWAKYIVAAGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLAQVHPKTGTPINATIVMLTATAIIAFFTDLGILADLLSISTLFIFMLVALALLVRRYYVSGETTTADRNKLIACIALILGSSIASALYWGISDDNDWITYVITVPIWFFATMAIQIFVPHARNPKLWGVPLVPWLPSASIAINIFLLGSIDGASFIRFGIWTCVLLLYYFFFGLHASYDTAKESGENKVADGWKKVEEGVTSSEAKSGLQVTNSS >EOX99343 pep chromosome:Theobroma_cacao_20110822:2:10265803:10269218:-1 gene:TCM_007978 transcript:EOX99343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRLGLGTKATGTDSFKECLGHDGKGSNRRIWQQDDGAKGDGERPIAVKIGQRQNRSQATDNGTTPRMLWKSGKGFRERGERYG >EOY01795 pep chromosome:Theobroma_cacao_20110822:2:40929085:40933555:-1 gene:TCM_011611 transcript:EOY01795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF155) [Source:Projected from Arabidopsis thaliana (AT1G69380) TAIR;Acc:AT1G69380] MGRWRAAAPLLFNHLAKTPFPSHKFLTRFLPLKGRPKPLCLPPSLNLPLRFLFTRPFSAIPSQVSVYTSDSEHGSPDFFHQNYGFVSQEEEEETGKIPIKAYFLCTSIDLKSMQAENLSNIVPPSSRSSNYIALRYCDFPPDITAFGMKDKVSSCRYIVVFQYGSAVLFNIEDHEVESYLEIVRRHGSGLLPEMRRDDYAVKEQPQLAKDMQGGPDYVVLKTLDTDSIRIIGSVLGQSIALDYFVSQVDGMVEEFAGINRAMEKTGTFTMDRTKLIKLVGKANSNLADVILKVGLFERSEIAWREAKYAQIYEYLREEYEVTQRFGNLDFKLKFVEHNIHFLQEVIQNRRSDLLEWCIIFLLTIENVIAIYEIVRESTGVSL >EOY01796 pep chromosome:Theobroma_cacao_20110822:2:40931076:40950759:-1 gene:TCM_011611 transcript:EOY01796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF155) [Source:Projected from Arabidopsis thaliana (AT1G69380) TAIR;Acc:AT1G69380] MGRWRAAAPLLFNHLAKTPFPSHKFLTRFLPLKGRPKPLCLPPSLNLPLRFLFTRPFSAIPSQVSVYTSDSEHGSPDFFHQNYGFVSQEEEEETGKIPIKAYFLCTSIDLKSMQAENLSNIVPPSSRSSNYIALRYCDFPPDITAFGMKDKVSSCRYIVVFQYGSAVLFNIEDHEVESYLEIVRRHGSGLLPEMRRDDYAVKEQPQLAKDMQGGPDYVVLKTLDTDSIRIIGSVLGQSIALDYFVSQVDGMVEEFAGINRAMEKTGTFTMDRTKLIKLVGKANSNLADVILKVGLFE >EOY01433 pep chromosome:Theobroma_cacao_20110822:2:39424078:39426119:-1 gene:TCM_011322 transcript:EOY01433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MAAGQKLLSFLLFQLILVLLVLDLSNAEGLKLGYYYKTCPKAESIIRKTTYRFISRAPTLAAPLLRMHFHDCFVRGCDGSVLLNSTKNSQSEKAAVPNLSLQGFHVIDAVKSAVEEACPGVVSCADTLALVARDSVSMIYGPFWEVPLGRRDGRVSLLNEVFANLPSPFANITTLKQMFAVKGLSLKDLAVLSGGHTIGTSHCNAFTNRLYNFTGKGDTDPSMDPNYIVKLKKKCKPADTTTLVEMDPGSFKNFEEDYFTLVAKRRGLFQSDAALLNDKETKAYVILQSSTHGSTFGKDFAESMVKMGKVGVLTGHQGEIRKHCAVVN >EOY00247 pep chromosome:Theobroma_cacao_20110822:2:30417852:30424874:-1 gene:TCM_010075 transcript:EOY00247 gene_biotype:protein_coding transcript_biotype:protein_coding description:CW14 protein isoform 1 MGACASRPEGCVSPKLRSSKKKNRKRRKSCLKKRVSSRLSEVSSDKVDRPAPPDHHSSFTNPTFQGSIDEWFDPVAVFDSDCDEEFESVQEDVLSLNGLEGVSISSISSLKDANCGEHSSLVDQMQKPGDLSAGNSACNSVGEVTRNSNSQVLNSEDVNSQSKSDGPSNKAKQPVFLDDIASSVDEGSGKEEGLLDNCGILPSNCLPCLASTVPSIEKRRSLSSSPPSARKKNALKLPFKWREGHPNATLFSSKMLLQRPKAGSQVPVCPIEKKMFDCWSHIEPGTFKVRGENYFRDKKKDFAPNHAAYYPFGVDVFLSPRKIDHIARFVELPVVSQSGKLPSILVVNVQIPLYPAALFQSETDGEGMSFVLYFKLSDSYLKELPPHFQENIRRLIVDEVEKVKGFPVDTIVPFRERLKILGRVANVEDLHMSAAERKLMHAYNEKPFLSRPQHEFYLGENYFEIDIDMHRFSYISRKGFDAFLDRLKLCILDVGLTIQGNKPEELPEQILCCIRLSGIDYMNYHQLGLSQEPSDLSAEML >EOY00249 pep chromosome:Theobroma_cacao_20110822:2:30418204:30424754:-1 gene:TCM_010075 transcript:EOY00249 gene_biotype:protein_coding transcript_biotype:protein_coding description:CW14 protein isoform 1 MGACASRPEGCVSPKLRSSKKKNRKRRKSCLKKRVSSRLSEVSSDKVDRPAPPDHHSSFTNPTFQGSIDEWFDPVAVFDSDCDEEFESVQEDVLSLNGLEGVSISSISSLKDANCGEHSSLVDQMQKPGDLSAGNSACNSVGEVTRNSNSQVLNSEDVNSQSKSDGPSNKAKQPVFLDDIASSVDEGSGKEEGLLDNCGILPSNCLPCLASTVPSIEKRRSLSSSPPSARKKNALKLPFKWREGHPNATLCNYCLMGRDKKKDFAPNHAAYYPFGVDVFLSPRKIDHIARFVELPVVSQSGKLPSILVVNVQIPLYPAALFQSETDGEGMSFVLYFKLSDSYLKELPPHFQENIRRLIVDEVEKVKGFPVDTIVPFRERLKILGRVANVEDLHMSAAERKLMHAYNEKPFLSRPQHEFYLGENYFEIDIDMHRFSYISRKGFDAFLDRLKLCILDVGLTIQGNKPEELPEQILCCIRLSGIDYMNYHQLGLSQEPSDLSAEML >EOY00248 pep chromosome:Theobroma_cacao_20110822:2:30418204:30424754:-1 gene:TCM_010075 transcript:EOY00248 gene_biotype:protein_coding transcript_biotype:protein_coding description:CW14 protein isoform 1 MGACASRPEGCVSPKLRSSKKKNRKRRKSCLKKRVSSRLSEVSSDKVDRPAPPDHHSSFTNPTFQGSIDEWFDPVAVFDSDCDEEFESVQEVDQMQKPGDLSAGNSACNSVGEVTRNSNSQVLNSEDVNSQSKSDGPSNKAKQPVFLDDIASSVDEGSGKEEGLLDNCGILPSNCLPCLASTVPSIEKRRSLSSSPPSARKKNALKLPFKWREGHPNATLFSSKMLLQRPKAGSQVPVCPIEKKMFDCWSHIEPGTFKVRGENYFRDKKKDFAPNHAAYYPFGVDVFLSPRKIDHIARFVELPVVSQSGKLPSILVVNVQIPLYPAALFQSETDGEGMSFVLYFKLSDSYLKELPPHFQENIRRLIVDEVEKVKGFPVDTIVPFRERLKILGRVANVEDLHMSAAERKLMHAYNEKPFLSRPQHEFYLGENYFEIDIDMHRFSYISRKGFDAFLDRLKLCILDVGLTIQGNKPEELPEQILCCIRLSGIDYMNYHQLGLSQEPSDLSAEML >EOX98750 pep chromosome:Theobroma_cacao_20110822:2:6988715:7008740:1 gene:TCM_007440 transcript:EOX98750 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 85A1 MSSYLVDAKPHAVCIPYPAQGHINPMLKLAKFLHHKGFHITFVHTEYNYKRLLRSRGPNSVDGLPDFRFEAIKDGLPHTDADATQDIPSLCDSTSKNCLAPFRDLLYKLNDVAAASSSIPPVSSIVSDGGMSFTVKAAEEFGIPDVLFWTPSACGFLCYLQLPSLVERGLTPVKDANYLTNGYLDKVIDWIPGMKNIRFRDLPSFVRTMDPNDMMFNYLLKEVERAYKASAIVLNTFDSLEQDVLDALSGVLLPPVYSIGPLHLLVDWIRDDESEDINSNLWKEQTECIEWLNSKEPNSVVYVNYGSITVMTPEQLIEFAWGLANSQRQFLWIIRPDLVAGETAILPPDFVSETKDRGMLASWCPQEQVLKHPSIGGFLSHMGWNSTLESLCCGVPMVCWPFFAEQQLNCRFACRNWGIGMEIDTNVKREDVEKLVRELLEGEKGMEMKTKAMEWKREAEEAIRPGGSSFQNLEKLVAEVLQTDKHAK >EOX99067 pep chromosome:Theobroma_cacao_20110822:2:8336256:8340841:1 gene:TCM_007684 transcript:EOX99067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18e/L15 superfamily protein MIRRRLSPIISASSHFLKTKPISNPSPILHFHSFQSLQCSNPVIKNGSFWFQGIRAYSLLSLNDLKDKVPRKQKTRKGRGIGSGKGKTAGRGHKGQKARGNGKLGFEGGQTPMRRRLPKRGFKNPFSLTFQPVGLGKIAKLINAGKIDSHELITMKTLKDAGAIGKQIEDGVRLMGRGAEQIKWPIHLEVSRVTVRAKEAVEAAGGSVRRVHYNKLGLRALLKPEWFEKKGRLLPKPARPPPKLKDKVDSIGRLPAPTKPIPFFTEEEPASSPA >EOY00868 pep chromosome:Theobroma_cacao_20110822:2:35596463:35600006:-1 gene:TCM_010787 transcript:EOY00868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein isoform 1 MEPQTRKIFCQICSMSLSSSVSDSIHREGIPGDGRCLFRSVVHGAWLRAGKQSPSESHQKELADELRAKVADEFIKRRADTEWFVEGDFDNYVVQMRQPHIWGGEPELLMCSHVLQMPITVYMREKSSGILKIISEYGQEYGKENPVGLLYHGYGHYDVLRGPVSSASSKLRKRR >EOY00869 pep chromosome:Theobroma_cacao_20110822:2:35596763:35599913:-1 gene:TCM_010787 transcript:EOY00869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein isoform 1 SVKSALCRFQAPSQILSTGIPGDGRCLFRSVVHGAWLRAGKQSPSESHQKELADELRAKVADEFIKRRADTEWFVEGDFDNYVVQMRQPHIWGGEPELLMCSHVLQMPITVYMREKSSGILKIISEYGQEYGKENPVGLLYHGYGHYDVLRGPVSSASSKLRKRR >EOY00725 pep chromosome:Theobroma_cacao_20110822:2:34768897:34772937:1 gene:TCM_010658 transcript:EOY00725 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MKTDPEGYETELHLIHSQFNSALELFQQQAALNFSSITGVGADPTVAKDLSDRAMFLSHVTPFYPKQLAEFPSDLAAFLKSSARTLPSGLRFHVTQALILLVNRKIIDIKDTLSLFMELQTLGDRNLRKLAFSHVVHSIRRMNKNHKNEAKNRPLQNILFALLQQEDEARAKRSLITLCELHRRKVWFDDRTANAICMACFHSSSRIMIAALSFLLDYEKIENDDDDSDASSSEDEMTQKPQVVISKEAVYKAHHKGTAASKKKKKAKLQRAIRTMKRQQRLSSETSTSSYYSPLNHLKDAQGFVEKLFSRLQTCNERFEVKMMMLKVIARTVGLHHLILLNFYPFLQRYVQPHQKDITNLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGMNVIREICLRMPLLMTEDLLQDLALYKKSHEKAVSAAARSLITLFREVCPSLLVKKDRGRPVDPKARPRAYGEVNVLSNVPDIELLEHEDEIDGSRDDENSDDAASISSDDGNENSDGEESQYTAEDGSEDEDIVDEEDDENDSIDEDESDIGDADEEDNDDEDKVETEEVEAEEDDDYEEVTDSSRPSDGAGDGGNEDKTSKASKRKLSDFEGQLIAADTSLRALKRLAEAKMSHTSSDSTDGILSDEHFRRIKELKGVLHLFY >EOY00723 pep chromosome:Theobroma_cacao_20110822:2:34768800:34774919:1 gene:TCM_010658 transcript:EOY00723 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MVLLAGVLPEPLSASGRSSEKLNLPSLQSKMKTDPEGYETELHLIHSQFNSALELFQQQAALNFSSITGVGADPTVAKDLSDRAMFLSHVTPFYPKQLAEFPSDLAAFLKSSARTLPSGLRFHVTQALILLVNRKIIDIKDTLSLFMELQTLGDRNLRKLAFSHVVHSIRRMNKNHKNEAKNRPLQNILFALLQQEDEARAKRSLITLCELHRRKVWFDDRTANAICMACFHSSSRIMIAALSFLLDYEKIENDDDDSDASSSEDEMTQKPQVVISKEAVYKAHHKGTAASKKKKKAKLQRAIRTMKRQQRLSSETSTSSYYSPLNHLKDAQGFVEKLFSRLQTCNERFEVKMMMLKVIARTVGLHHLILLNFYPFLQRYVQPHQKDITNLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGMNVIREICLRMPLLMTEDLLQDLALYKKSHEKAVSAAARSLITLFREVCPSLLVKKDRGRPVDPKARPRAYGEVNVLSNVPDIELLEHEDEIDGSRDDENSDDAASISSDDGNENSDGEESQYTAEDGSEDEDIVDEEDDENDSIDEDESDIGDADEEDNDDEDKVETEEVEAEEDDDYEEVTDSSRPSDGAGDGGNEDKTSKASKRKLSDFEGQLIAADTSLRALKRLAEAKMSHTSSDSTDGILSDEHFRRIKELKAKKEAKTALAQQGFKIPSSDQLSFKRVDPAKLEAHVRLRLSKEERLALVKAGREDRGQYQARTAVKQKKTGGLSNRQKEHKKVMPFAAKKAKAQRSRQEKGEKRQRSGKQFRGKNAWRGGTHA >EOY00724 pep chromosome:Theobroma_cacao_20110822:2:34768924:34773828:1 gene:TCM_010658 transcript:EOY00724 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MKQERKGLLLLYVNFIEERFGLMTEQQMLFVWHVFTHHPVFRIMIAALSFLLDYEKIENDDDDSDASSSEDEMTQKPQVVISKEAVYKAHHKGTAASKKKKKAKLQRAIRTMKRQQRLSSETSTSSYYSPLNHLKDAQGFVEKLFSRLQTCNERFEVKMMMLKVIARTVGLHHLILLNFYPFLQRYVQPHQKDITNLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGMNVIREICLRMPLLMTEDLLQDLALYKKSHEKAVSAAARSLITLFREVCPSLLVKKDRGRPVDPKARPRAYGEVNVLSNVPDIELLEHEDEIDGSRDDENSDDAASISSDDGNENSDGEESQYTAEDGSEDEDIVDEEDDENDSIDEDESDIGDADEEDNDDEDKVETEEVEAEEDDDYEEVTDSSRPSDGAGDGGNEDKTSKASKRKLSDFEGQLIAADTSLRALKRLAEAKMSHTSSDSTDGILSDEHFRRIKELKAKKEAKTALAQQGFKIPSSDQLSFKRVDPAKLEAHVRLRLSKEERLALVKAGREDRGQYQARTAVKQKKTGGLSNRQKEHKKVMPFAAKKAKAQRSRQEKGKKRQRSGKHSAGRTHGEEERMHSVSVEVDHWCSVLILFVIILSSLGENSVIMALTCYMSVAFVFCFPWTCCNYRVVVHIC >EOY00295 pep chromosome:Theobroma_cacao_20110822:2:30980483:30981208:-1 gene:TCM_010133 transcript:EOY00295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVWGTWQVVESVGRLKLFCWSHHTRVSESKDLKSCGKSCATVTIFQLARETGAFPAACSSSRFTHELTVVMFFNIIISKCEERKKATVK >EOX99709 pep chromosome:Theobroma_cacao_20110822:2:14347306:14352613:-1 gene:TCM_008506 transcript:EOX99709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVFDRDAHVLIDSGSDRSYVSISFASFSDRNLSPVEEEIVVHTLLGSSIEEQEYFNGQSVVEKRSNGGNDMGSRASDEESIPVSICRIWRRAFR >EOY02177 pep chromosome:Theobroma_cacao_20110822:2:42202904:42206176:1 gene:TCM_011886 transcript:EOY02177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein MGMLIRSNHLTLAVCILCLGVWCCGQADSEAAPMEKAEQTALYSAVQGFVGNWWNGSDLYPDPCGWTPIQGVSCDIVGGLWYVTALSIGPVHDNSLGCATNVEFRQQLFQLKHLKSLSFFNCFISPGRHPITIPGGKWDKLAGSLELLEFRSNPGLTGQVPTSFGYLTRLQSLVLLENGLTGELPINIGNLTNLNRLVLAGNRFTGQIPDSFGSLKELLILDLSRNSLSGHMPFALGGLTSLLKLDLSNNQLEGKLLGEIAYLKNLTLLDLRNNRFSGGLTQSIVEMHSLEELVLSSNPLGGDLMSLEWQSLQNLVILDLSNVGLTGDIPESLCGLKMVRFLGLGDNNLTGDLPSKLASLPSLRALYLNGNNLTGVLKFSEEFYGKMGRRFGAWNNPNLCYPVGLMTATNVPYGVKPCQGGVTLLEPNSRAQLGDGNLNQNSHFIASSGFSSYGIHGLWRFFLVDTLITVLLLNLFI >EOX96931 pep chromosome:Theobroma_cacao_20110822:2:592902:596609:-1 gene:TCM_006065 transcript:EOX96931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 19 isoform 3 MKDLYQKQKMEAIGNDSPGNLRTTRSANLHPPVSSCGFFSFLVVDEEELLVKFVIDSMDLESNRFGRGPKELGGAVDLIKKFKLWPHHEFFCKRPLPLSISETTYIRNVVGDTEIRKGKGMELDQLFPNASDSRGRNLSIGPFDLDLLGEAFQMRESACVDLPLAEKGIPTMVSKSKAESKDKKRKHRKQKDKEKEKDKNSKEHKHHHKDMTSDRNKNKIRHHDSGPEDLKKPQDKVCKLFPSSF >EOX96929 pep chromosome:Theobroma_cacao_20110822:2:592902:596609:-1 gene:TCM_006065 transcript:EOX96929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 19 isoform 3 MKDLYQKQKMEAIGNDSPGNLRTTRSANLHPPVSSCGFFSFLVVDEEELLVKFVIDSMDLESNRFGRGPKELGGAVDLIKKFKLWPHHEFFCKRPLPLSISETTYIRNVVGDTEIRKGKGMELDQLFPNASDSRGRNLSIGPFDLDLLGEAFQMRESACVDLPLAEKGIPTMVSKSKAESKDKKRKHRKQKDKEKEKDKNSKEHKHHHKDMTSDRNKNKIRHHDSGPEDLKKPQDKKRRYAVNDDFLDVHRHQNGQNPRRIERGKLKVAELILLMLLRIVCGLTGCKVEQQCFMGGQKESPIYEELVRS >EOX96930 pep chromosome:Theobroma_cacao_20110822:2:593035:595688:-1 gene:TCM_006065 transcript:EOX96930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 19 isoform 3 GNLRTTRSANLHPPVSSCGFFSFLVVDEEELLVKFVIDSMDLESNRFGRGPKELGGAVDLIKKFKLWPHHEFFCKRPLPLSISETTYIRNVVGDTEIRKGKGMELDQLFPNASDSRGRNLSIGPFDLDLLGEAFQMRESACVDLPLAEKGIPTMVSKSKAESKDKKRKHRKQKDKEKEKDKNSKEHKHHHKDMTSDRNKNKIRHHDSGPEDLKKPQDKKRRYAVNDDFLDVHRHQNGQNPRRIERGKLKVAG >EOX99885 pep chromosome:Theobroma_cacao_20110822:2:17751133:17753316:1 gene:TCM_008866 transcript:EOX99885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22p/L17e family protein isoform 2 MVKYSREPDNPTKSCKARGSDLRVHFKEPLSIVTAKDPVNDNTRETAFAIRKLPLGKAKRYLEDVMAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDALYISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQLAASKSKKSQALRSGVSS >EOX99886 pep chromosome:Theobroma_cacao_20110822:2:17751180:17753317:1 gene:TCM_008866 transcript:EOX99886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22p/L17e family protein isoform 2 MVKYSREPDNPTKSCKARGSDLRVHFKNTRETAFAIRKLPLGKAKRYLEDVMAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDALYISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQLAASKSKKSQALRSGVSS >EOY01216 pep chromosome:Theobroma_cacao_20110822:2:38349266:38351384:1 gene:TCM_011163 transcript:EOY01216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 96, subfamily A, polypeptide 10 MALEIIIAMLFFLSLRHWCRNSNSHITNWPIVRMMAALLCNPGRIFEFFTPLFNLCGGTFKFEGFWFPSLDFVLISNPVNIYHILCRNFDNYEKGSEFREIFEPFGEVLEHVVKQGSQVDPEDVLQRFDCDHICLLALGFDPKSPSTEFPKVSSKVAFAEVEEALLHRNILPVSIWRCISLKREKLRCKTKVEDNDFDLLTAFMVEEEGEMSRLGKSDKFLRDTAYSFITAGKDNISTGLSWFFWLIATHPYVESKILEEIKVHSPARKDRNLMPFTGEEMNKFACPHAALCETLRLYPPLPVTSRIAIKSEAEIVLMREQGSLFLSIQWEGRKKYGVKTVQNSSRKGGHRSVEISYLYHLTSSYHLVQGQEFV >EOX99841 pep chromosome:Theobroma_cacao_20110822:2:17281505:17289062:1 gene:TCM_008814 transcript:EOX99841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDYTKTCLIFQQNKVERQKQTEMLKPLPVLTRPWESISLDFIVRLPKVGDMALILMKELKLFRLKLNISSNYHPQTDGQMEHFNGLLDEYLRHFIQANQKY >EOY00825 pep chromosome:Theobroma_cacao_20110822:2:35427814:35430956:1 gene:TCM_010758 transcript:EOY00825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MIGHFQNCLRRIRFLTQPSISRALLHASSESFTLALPVESSYFSGSGHQVVGQYDIDVLIGKVRVGSSHEEVFQCLMSDRECNAIQLSHDLVEKLLHRFNDDWKSALGAFKWAASHPGYKPSPQAYDLMVDILGKMKQMDHMKDFLEEMRQGHFVTLNTIAKVMRRFAGAREWENAVRIFDELETFGLEKNTYSMNLLLDTLCKEKYVERAREIFLLLKSHIAPDAHTFNIFIHGWCKINRVDEAHWSIQEMKGHGFHPSVISYTTIIQFYCCQCNFRKVYELLDEMAAQGCPPNAVTYTTVMSSLLKAEMFEEALQISLRLKTAGCKPDTLFYNCLIHVLGRAGQVKDAAEVFEVEMPKIGVAPNTSTYNCMIAMLCHHAQQQKALTLLQEMENSKICKPDVQTYYPLLKSCFKTGKIDSLLSKLLNDMVNKHHLSLDISAYSLLIHGLCRANRTQWAYSLFEAMIRKDITPKYRTCRLLFDEVKEKNMYDAVEKIEGFMKKL >EOY01297 pep chromosome:Theobroma_cacao_20110822:2:38866037:38870548:-1 gene:TCM_011233 transcript:EOY01297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, putative isoform 1 MEHPRLILHNFLTEEECKELEFIHKSCSTVGYRPNVFSTTLSHLIATNSPHLIIPFLPIRDRLKEKVEEIFGCEYELVTEFTGLISWSRGASIGWHSDDNRPYLKQRDFTAVCYLNSHQKDFKGGLFHFQDGEPKTIAPLARDAVMYTADSRNTHSVDEVTEGERLTLTLWFSRDSSHDEDAKLIPLLAESLLHKSNNVLCSFLPLPASDNMSFISDLSELLMEPMQLARGHQLLDHEFANVLHALQVVQFYFWKGCKSQTFTNEVQSGKAVQLSQSQCEQISQVKSVFLKDTKLVETVFRSVEQQSFDAATFSAAITACEDYFCKLHKEILMSLPQWRAHQAIYSCSI >EOY01296 pep chromosome:Theobroma_cacao_20110822:2:38866184:38873187:-1 gene:TCM_011233 transcript:EOY01296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, putative isoform 1 MGDKDMEHPRLILHNFLTEEECKELEFIHKSCSTVGYRPNVFSTTLSHLIATNSPHLIIPFLPIRDRLKEKVEEIFGCEYELVTEFTGLISWSRGASIGWHSDDNRPYLKQRDFTAVCYLNSHQKDFKGGLFHFQDGEPKTIAPLARDAVMYTADSRNTHSVDEVTEGERLTLTLWFSRDSSHDEDAKLIPLLAESLLHKSNNVLCSFLPLPASDNMYWFAPNQASHQELGFDIRLGRLHSLGFDVYYSQGRSFISDLSELLMEPMQLARGHQLLDHEFANVLHALQVVQFYFWKGCKSQTFTNEVQSGKAVQLSQSQCEQISQVKSVFLKDTKLVETVFRSVEQQSFDAATFSAAITACEDYFCKLHKEILMSLPQWRAHQAIYSCSI >EOX99989 pep chromosome:Theobroma_cacao_20110822:2:20802430:20808349:-1 gene:TCM_009171 transcript:EOX99989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSLTRFRLTFRVMNAYRDVTTVVTSSMGVLGRDNSERTVIFSKACSGLGKSLPEVSDVVATEERLLQRWPLLEGKGNIFAGALFWRGGASRNLTAARMVLRCPYDNMSSNGKKGKGSLSVKEGSMDSTPRSHYVLEGVKKFLEEWYKEKTKEAIAKVDIRPRKVSAIRDFPPGCGRGVAPVSREECERQQQAWLNRVQEDEKDPEKHEEDD >EOX98767 pep chromosome:Theobroma_cacao_20110822:2:7079508:7081210:-1 gene:TCM_007453 transcript:EOX98767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFWNPVIEKVEKKLSGWKSRMLSLEGRISLLKSVLVSLPTFYMSLFKILVRVKNKLERLQRSFLWGDCDEKRKVHYVNWEKLGNILKDFQISRELKDEMVWKCEDRVQCWETSKIRVASLANAKWPNVYPLVLTIFIPKVGCGDGVAQGCLGLAGIRGILRNEKGEVLLTFSKPISIANSTIAEVHAVNEAFLIFSASRWRGNHSLLIESDVWNIVRWIKDPAKAP >EOX98766 pep chromosome:Theobroma_cacao_20110822:2:7077681:7082513:-1 gene:TCM_007453 transcript:EOX98766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITPLSTPKTIATWLQPVGLATRELLVIPNLGFVVGYGENIRFWTDEWIDGVWSRVGMGKGDVNRSGNLAQKLAARLLWLVQKLAACGFEEEVVERYEMSYMRSISRWS >EOX97627 pep chromosome:Theobroma_cacao_20110822:2:2902862:2903677:-1 gene:TCM_006600 transcript:EOX97627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1279) [Source:Projected from Arabidopsis thaliana (AT2G20940) TAIR;Acc:AT2G20940] MAALRGGRFRELLKKYGKVAFGVHFAVSTASITGLYVAIKNNVDVESLFDKLHLPGLSKDEKNQNPSQQCPNPDGFVMVEPTEKSPVVVEEKGRNRTAELAASTGGALALAVLCNKALFPVRVPITIALTPPVARFLARRRIIKNRV >EOX99665 pep chromosome:Theobroma_cacao_20110822:2:13325276:13326396:1 gene:TCM_008409 transcript:EOX99665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRACVIDFTGSWDRHLPLVEFAYNNSFQSSIGMAPYEALYEIKCRTPLCWDEVAQDRQKSYSDKQRKDLEFEVDDRVFLKVSPWKGVIWFAKREKLNPRYIGPFRIIERIRLVAYRLELPPEFDRIHNVLHVSMLKSMYLIPPISSRHLRLSCKKI >EOX99542 pep chromosome:Theobroma_cacao_20110822:2:12059307:12075852:1 gene:TCM_008241 transcript:EOX99542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWPDLATMAPDRRFPAIGSGREVPNLAVGSSRETPNSMLPAARSGWILVECCRKGLDRCFSTIFGRYRHRRRRCHSSHFRWCEWMGSFKVLLINQGVYTVGNKTNCGPNLMPKPLDQQNYNALDVM >EOX98115 pep chromosome:Theobroma_cacao_20110822:2:4605245:4611086:-1 gene:TCM_006949 transcript:EOX98115 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 6 precursor MAQRTFNTASSSACFNRDNEGEIRKESSIPFGVLEMKPFFVVVQRSVYRVFTFIFFCLLSSVSPSYGYDPLDPHGNITIKWDLLQSNLDKNDLKVSILNHQLYRQIERPGWKLGWAWVGDEVIWSMQGAEATEQGNCSRFKGQELPHCCEKKPVIVDLLPGALYNLQTANCCKGGVLTSMVQDPDKIAAVFQMVAGSVNVSDFIMPENFTLGIPGYSCGKPVSVAPSKYTSDGGRRWTQALGTWNVTCIYSPFLASTSPKCCVSLSAFYNRSIVPCPKCSCSCQGLPEAKCVKFGEAPSPLQELEDPNKEQPSLVRCTQHMCPIRVHWHVKRSYKEYWRVKITVNNLHIMKNYSHWNLVASHPNLKSLTQVFSFNYEPLNQYGYINDTGMFWGIQYYNDMLLEEGERGNVQSEMLLHKEQGVFTFREGWAFPRRIMFNGDECVMPPPDEYPRLPNGGHSARLSLSAIFCSLLLLIILC >EOX96961 pep chromosome:Theobroma_cacao_20110822:2:717669:721524:-1 gene:TCM_006086 transcript:EOX96961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630 and DUF632) [Source:Projected from Arabidopsis thaliana (AT2G34670) TAIR;Acc:AT2G34670] MGCVASRIDKEGRVQVCKERKRLMKQLVGYRGEFADAQLAYLRALKNTGVTLKQFTESDTLELENTSYGLTLPPSPPSPLPPSPPPPPSFSPDSRKAGENVKGEAAQEESIEINQDDCSTPPPPSASSSWNYWDFWEANSPLHHPKQSEAVEPVEEENWAESKMEFEDEDREEELVENTAVSPLPEKRQPGEIVDDNSSMMSWYNKDSTDVSMVVWKNKKTLERIIKELDDYFLKASAGGKQIAVFTDINIGDNSLPWKLKENKRKRSNSAKVFSALSWSWSSKSLQFARDAVECGSIEPCKPGGHCVTLDKLYVAEQKLYKEVKEEEIAKLELERKLMLLQRQDENHDWTKTEKIRSSVENLETDISRLQQSISTTCSSILELIDKELHPQLVALTSGLMEMWGMMYKSHQVQNHISQQLNHLTDNLSVDLTTESHRQATAQLETEVSF >EOX96962 pep chromosome:Theobroma_cacao_20110822:2:716994:721891:-1 gene:TCM_006086 transcript:EOX96962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630 and DUF632) [Source:Projected from Arabidopsis thaliana (AT2G34670) TAIR;Acc:AT2G34670] MGIDKEGRVQVCKERKRLMKQLVGYRGEFADAQLAYLRALKNTGVTLKQFTESDTLELENTSYGLTLPPSPPSPLPPSPPPPPSFSPDSRKAGENVKGEAAQEESIEINQDDCSTPPPPSASSSWNYWDFWEANSPLHHPKQSEAVEPVEEENWAESKMEFEDEDREEELVENTAVSPLPEKRQPGEIVDDNSSMMSWYNKDSTDVSMVVWKNKKTLERIIKELDDYFLKASAGGKQIAVFTDINIGDNSLPWKLKENKRKRSNSAKVFSALSWSWSSKSLQFARDAVECGSIEPCKPGGHCVTLDKLYVAEQKLYKEVKEEEIAKLELERKLMLLQRQDENHDWTKTEKIRSSVENLETDISRLQQSISTTCSSILELIDKELHPQLVALTSGLMEMWGMMYKSHQVQNHISQQLNHLTDNLSVDLTTESHRQATAQLETEVSFWYHSFCKLVKSQQEYVRTLCRWIQLTDCLVGDHQQNHCSTTVRRLCEEWQRGFDKLPDKVASEAIKSFLLAIQSIIQQQVEEHNQQKKSDKLERRLEKELMSLTEMEKKVEGSVAASDVNSTLSPKHPLLLKRAKTEALKKRVDMEKGKHLNSVHVCKTMTLNNLKTSLPNVFQALMGFSKASAQAFEAIHGLPQPEIPCNASENSSN >EOX96960 pep chromosome:Theobroma_cacao_20110822:2:716988:721929:-1 gene:TCM_006086 transcript:EOX96960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630 and DUF632) [Source:Projected from Arabidopsis thaliana (AT2G34670) TAIR;Acc:AT2G34670] MGCVASRIDKEGRVQVCKERKRLMKQLVGYRGEFADAQLAYLRALKNTGVTLKQFTESDTLELENTSYGLTLPPSPPSPLPPSPPPPPSFSPDSRKAGENVKGEAAQEESIEINQDDCSTPPPPSASSSWNYWDFWEANSPLHHPKQSEAVEPVEEENWAESKMEFEDEDREEELVENTAVSPLPEKRQPGEIVDDNSSMMSWYNKDSTDVSMVVWKNKKTLERIIKELDDYFLKASAGGKQIAVFTDINIGDNSLPWKLKENKRKRSNSAKVFSALSWSWSSKSLQFARDAVECGSIEPCKPGGHCVTLDKLYVAEQKLYKEVKEEEIAKLELERKLMLLQRQDENHDWTKTEKIRSSVENLETDISRLQQSISTTCSSILELIDKELHPQLVALTSGLMEMWGMMYKSHQVQNHISQQLNHLTDNLSVDLTTESHRQATAQLETEVSFWYHSFCKLVKSQQEYVRTLCRWIQLTDCLVGDHQQNHCSTTVRRLCEEWQRGFDKLPDKVASEAIKSFLLAIQSIIQQQVEEHNQQKKSDKLERRLEKELMSLTEMEKKVEGSVAASDVNSTLSPKHPLLLKRAKTEALKKRVDMEKGKHLNSVHVCKTMTLNNLKTSLPNVFQALMGFSKASAQAFEAIHGLPQPEIPCNASENSSN >EOX97202 pep chromosome:Theobroma_cacao_20110822:2:1589563:1590076:1 gene:TCM_006291 transcript:EOX97202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGLVDKWRTELAKLREKGQTLFSSGSSPAAASGVESGQVVQQQQERSSNGLMQVFVTRVMCSEGSVSMLVHCFSP >EOY00438 pep chromosome:Theobroma_cacao_20110822:2:32344384:32352584:-1 gene:TCM_010303 transcript:EOY00438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein isoform 2 MAAAALSLSLYLDPRQQHLHTHLNSTKGLPFPSSGNPLLSLHKLDRYNFKCSLPSSSSTNSVTSAQYYSFLDDPFRGSRFLTNEELEKLKALESFVYLQELESGSLWVRAMRAEEMDLTVGLLAESFAESMLMPLGYEALLRFLVKQYLIERRAVMPHAVTLVGFYRENGQRGEELAGTVEVCFDKRGANSSPPSPTPPKNSPYICNMTVTKQLRRRGIGWHLLKASEELISQMTSSKEVYLHCRMIDEAPFNMYIKAGYNVLQTDSIFILLTLQRRKHLMRKKLPVFNNLAESDISDSGSLFACIRRLETGASHLHNTLSRKPDLDGPVCSRYQLLHGTPVLLQLL >EOY00437 pep chromosome:Theobroma_cacao_20110822:2:32344562:32352584:-1 gene:TCM_010303 transcript:EOY00437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein isoform 2 MAAAALSLSLYLDPRQQHLHTHLNSTKGLPFPSSGNPLLSLHKLDRYNFKCSLPSSSSTNSVTSAQYYSFLDDPFRGSRFLTNEELEKLKALESFVYLQELESGSLWVRAMRAEEMDLTVGLLAESFAESMLMPLGYEALLRFLVKQYLIERRAVMPHAVTLVGFYRENGQRGEELAGTVEVCFDKRGANSSPPSPTPPKNSPYICNMTVTKQLRRRGIGWHLLKASEELISQMTSSKEVYLHCRMIDEAPFNMYIKAGYNVLQTDSIFILLTLQRRKHLMRKKLPVFNNLAESDISDSGP >EOY00439 pep chromosome:Theobroma_cacao_20110822:2:32344384:32352454:-1 gene:TCM_010303 transcript:EOY00439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein isoform 2 MAAAALSLSLYLDPRQQHLHTHLNSTKGLPFPSSGNPLLSLHKLDRYNFKCSLPSSSSTNSVTSAQYYSFLDDPFRGSRFLTNEELEKLKALESFVYLQELESGSLWVRAMRAEEMDLTVGLLAESFAESMLMPLGYEALLRFLVKQYLIERRAVMPHAVTLVGFYRENGQRGEELAGTVEVCFDKRGANSSPPSPTPPKNSPYICNMTVTKQLRRRGIGWHLLKASEELISQMTSSKEVYLHCRMIDEAPFNMYIKAGYNVLQTDSIFILLTLQRRKHLMRKKLPVFNNLAESDISDSGVNFRINYGKVSEKLIKRIVPGLNLDIRLVLNG >EOX98720 pep chromosome:Theobroma_cacao_20110822:2:6842999:6844188:-1 gene:TCM_007417 transcript:EOX98720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARSSIVCVMLSALLFGLVAAQSPSPAPAPVHSPSSPSTNPPANAPVAHTPSYAPRHSVYPPAHAPSQMKTPSASAPSPVVHSAPTKSPVVATTPSISMPPSDALAPAENAAVFNRFSTAAPVAIGVFAAVLLM >EOX99728 pep chromosome:Theobroma_cacao_20110822:2:15167982:15173428:-1 gene:TCM_008588 transcript:EOX99728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytics,hydrolases isoform 2 MVVRLLILKPLISGSILGQCCHARQPPLPLAFSRKPQFKLSAAKMASTEAETNRNSSKAKTIDSHLHIWASPQEAADMYPFFPGQEPTLPGHLDFLLQCMEEASVDGALIVQPINHKFDHSLVTSVLKKHPTKFVGCCLANPAEDGTGVKQLEDLILKDGYRAVRFNPYLWPSGQQMTNEVGKAMFCRAGELGVPVGFMCMKGLNLHIKEIKELCTEFPSTVVLLDHLAFCKPPTNDEEKLAFSDLLKLSRFSQVYVKISALFRVSRMPFPYQDLVPILSEVVSSFGANRVMWGRSAGSICLPFFPFFLPPLPPWVPSEDSGPAVPWTSAVAFFFALLFNMSINDASETSPSPYDEVRRKRISFLADAAMSKWLATFPSKSRFPPVIITILKALLLSV >EOX99727 pep chromosome:Theobroma_cacao_20110822:2:15169149:15173509:-1 gene:TCM_008588 transcript:EOX99727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytics,hydrolases isoform 2 MVVRLLILKPLISGSILGQCCHARQPPLPLAFSRKPQFKLSAAKMASTEAETNRNSSKAKTIDSHLHIWASPQEAADMYPFFPGQEPTLPGHLDFLLQCMEEASVDGALIVQPINHKFDHSLVTSVLKKHPTKFVGCCLANPAEDGTGVKQLEDLILKDGYRAVRFNPYLWPSGQQMTNEVGKAMFCRAGELGVPVGFMCMKGLNLHIKEIKELCTEFPSTVVLLDHLAFCKPPTNDEEKLAFSDLLKLSRFSQVYVKISALFRVSRMPFPYQDLVPILSEVVSSFGANRVMWGSDFPFVVPECGYKGAKEAVSRIASQVPLSSSEVEWIMGRTLMQLFQGQWLP >EOY01197 pep chromosome:Theobroma_cacao_20110822:2:38178289:38185278:1 gene:TCM_011143 transcript:EOY01197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEKDHQELQVSSLAVVTIKGVVHKDPLVSFLSWFEIANSLNNDLVWKMVWFATIWAIWTAKNEVVFKGKIWDSEQIFELSKFKPIVRSFKFNTGGSFCGCPSDSSIDGILRNEFGDILALFSKAIDIFDSNKAKLLTIREAMIIFVASKWCSSHTFLLECDNYNVVKWITNLCEVP >EOX97430 pep chromosome:Theobroma_cacao_20110822:2:2246749:2249423:-1 gene:TCM_006444 transcript:EOX97430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase, cytosolic MDHAADAHRTDLMTITRHVLNEQSKYPESRGDFTILLSHIVLGCKFVCSAVSKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFVKALISSGRTCILVSEEDEEATFVEPSKRGRYIVVFDPLDGSSNIDCGVSIGTIFGIYLVKDKDNPTLDDVLQPGRNLLAAGYCMYGSSCTLVLSTGSGVNGFTLDPSLGEFILTHPDMKIPKKGKIYSVNEGNAKNWDEPTTRFVEKCKFPTDGSPPKSLRYVGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSYLMEQAGGQAFNGKQRALDLVPKKIHERSPVFLGSYDDVEEIKALYAAAEENNA >EOX98373 pep chromosome:Theobroma_cacao_20110822:2:5540708:5545893:-1 gene:TCM_007150 transcript:EOX98373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase 1 isoform 2 MEKLPALLPPFLIFFLSFSLSLSDGDFTGNSSYPVQSSPADSLCAQLIEPNGYSCTEHTVQTKDGYILALHRVSSRSGDLRVQQSHPVLLQHGLFMAGDAWFLDSTDRSLGFILADQGFDVWVGNVRGTRWSRGHVSLSETEKEFWEWSWQELALYDLAEMLHYINSVTSLKIFIVGHSQGTIMSLAALTQPDIVEMVEAAALLSPISYLEHVSAPLVLRMVAMHLDQQMVLALGIHQLNFRSDVLVNLVESLCDGHVDCTDFLTSITGQNCCFNKTRMDFYLEYEPHPSSVKNLRHLFQMIRQGTFSQYDYGIWKNILMYGRLKPPAFDLNSIPKSLPLWMSYGGNDALADIMDVQRTLEELLSKPELLYLENYGHMDFLLSIKANRDVYDNMIGFLRSLEKSSSS >EOX98372 pep chromosome:Theobroma_cacao_20110822:2:5540620:5546108:-1 gene:TCM_007150 transcript:EOX98372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase 1 isoform 2 MEYCSTRKVNRECEVWCGVVPSRFLNLYSVLRDMEKLPALLPPFLIFFLSFSLSLSDGDFTGNSSYPVQSSPADSLCAQLIEPNGYSCTEHTVQTKDGYILALHRVSSRSGDLRVQQSHPVLLQHGLFMAGDAWFLDSTDRSLGFILADQGFDVWVGNVRGTRWSRGHVSLSETEKEFWEWSWQELALYDLAEMLHYINSVTSLKIFIVGHSQGTIMSLAALTQPDIVEMVEAAALLSPISYLEHVSAPLVLRMVAMHLDQMVLALGIHQLNFRSDVLVNLVESLCDGHVDCTDFLTSITGQNCCFNKTRMDFYLEYEPHPSSVKNLRHLFQMIRQGTFSQYDYGIWKNILMYGRLKPPAFDLNSIPKSLPLWMSYGGNDALADIMDVQRTLEELLSKPELLYLENYGHMDFLLSIKANRDVYDNMIGFLRGGKLG >EOX97410 pep chromosome:Theobroma_cacao_20110822:2:2197606:2200767:-1 gene:TCM_006429 transcript:EOX97410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alba DNA/RNA-binding protein isoform 2 MDRYQKVEKPKAETPINENELRITAQGRMRNYISYAMTLLQEKGANEIVLKATGRAINKTVMIAELIKRRIVGLHQNTSTGSIDITDTWEPLEEGLLPLETTRHVSIITITLSKKELDSSSIGYQPPIPADLVKPLAEFEDNEGGNINGVVEHRNGGWDGGRGYGGRGRGRGRGRGFRGRGRGGYGGGNMQWDSGYYNGNGPSGPLPGQGRGRGRGRGRGRGRGQGFRSDGPFQKTA >EOX97409 pep chromosome:Theobroma_cacao_20110822:2:2197651:2200846:-1 gene:TCM_006429 transcript:EOX97409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alba DNA/RNA-binding protein isoform 2 MDRYQKVEKPKAETPINENELRITAQGRMRNYISYAMTLLQEKGANEIVLKATGRAINKTVMIAELIKRRIVGLHQNTSTGSIDITDTWEPLEEGLLPLETTRHVSIITITLSKKELDSSSIGYQPPIPADLVKPLAEFEDNEGETSPDIQGKGYGHSDQEKYGGNINGVVEHRNGGWDGGRGYGGRGRGRGRGRGFRGRGRGGYGGGNMQWDSGYYNGNGPSGPLPGQGRGRGRGRGRGRGRGQGFRSDGPFQKTA >EOX96882 pep chromosome:Theobroma_cacao_20110822:2:351420:351797:1 gene:TCM_006025 transcript:EOX96882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLERKATISNNGISQRTVKHTHKHIESIFDKNTKLCLLKAVGINIIKSKRKRDRVLSKVKEGRKSY >EOX97736 pep chromosome:Theobroma_cacao_20110822:2:3253221:3257380:-1 gene:TCM_006671 transcript:EOX97736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance response protein, putative MMIKSCEEIKMEGKMIFAWAMMFCLTVAPPVHSEYYSKTVTPVANVEKKTHLHFFLHDILSGQNPSAVMVARANLTKKDDSPTPFGSLFAIDDPLRVGPDPTSKIIGNAQGLYLSSSQDASKFTIVLYADFAFTTGKFNGSSFSLFSRNPVTEADREVAIVGGRGEFRMARGFAKIKTSYFNATTGDAILEYSVTLYHY >EOX97622 pep chromosome:Theobroma_cacao_20110822:2:2894606:2902450:1 gene:TCM_006599 transcript:EOX97622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 23 isoform 5 MGMEQHLLASETNESTTTSLHGSNTLSQGGSSTNASVSDQNVNYTNVGVSKQNETFCNLHSVPYIYRQDVVRSNTSGAIGIVSEVAGDSDSDGSITDDEDDEDEDDEEDGEDESGNGDANSNANESGDGNKGGNYKCGDLQADQIRVLWMDDTEPVQSIKNVSVVDRGFLHGDYVAAALDSTGQVGVVVDVNVSVDLLAPDGSILNDVSTRDLQRVRDFTVGDYVVLGPWLGRIDDVLDNVNVLFDDGSVCKVTRAEPLRLKPITRNTLEDDSNFPYYPGQRVRASSSSVFKNSRWLSGLWKANRLEGTVTKVTAGAVFIYWIASAGYGPDSSTAPAEEQNPKNLKLLSCFAHANWQVGDWCLLPTSSQCIPLDKGLSKLQLNGSIKNRGNCDKLDSEWDSKEVILYESNDNSESMDLDATPTPDENNATIETKDNGAIGTKASPESSSCSSSLSVSKETVHEHWPHHRKKIRKVVIRKDKKAKKKVENFERALLIVNSRTRVDVAWQDGTIERGVDATTLIPIETPGDHEFVAEQYVVEKASDDSDDVYEPRRVGVVKSVNAKERTACIRWIKPVARAEDPREFDKEEIVSVYELEGHPDYDYCYGDVVVRLSPASVPMQSASGEGFIEEPKQEDGSKEIKRDLKKCSGSNKVEGESPNEASMDFTDLSWVGNITGLRNGDIEVTWADGMVSTVGPQAIYVVGRDDDESIAAGSEVSDDAASWETVNDDEMDALENAQEDLEPQNASSIISDVEEGMENNSGRNAALSLPLAAFDFVTRLASGFFSGRRKNIDPIDLDSKGENELQPEGRDFSHESSSQKSNVLDNFSGESVNEKGEEHVDEKAHELSLPSDVLCNVRIEDSDSKTGDEDDTCSFKRFDTAKDPLDHYFLGANGQNSTGRKWLKKVQQDWNILQNNLPDGIYVRVYEDRMDLLRAVIVGAYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDSLSSSILQVLVSLQGLVLNSRPYFNEAGYDKQVGTAEGEKNSLAYNENTFLLNCKSMMYLMRKPPKDFEELVRDHFRRRGFYILKACDAYMKGYLIGSLTKDASYSDANNANSTSVGFKLMLGKIVPKLLLALNEVGADYDELKEKSVLRLKFHYTMLKSRYRCMIRGMLLMRAINMSYANSRNLPFAISTADSFTVQKYVRFGYQKAYTSL >EOX97624 pep chromosome:Theobroma_cacao_20110822:2:2894694:2902197:1 gene:TCM_006599 transcript:EOX97624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 23 isoform 5 MGMEQHLLASETNESTTTSLHGSNTLSQGGSSTNASVSDQNVNYTNVGVSKQNETFCNLHSVPYIYRQDVVRSNTSGAIGIVSEVAGDSDSDGSITDDEDDEDEDDEEDGEDESGNGDANSNANESGDGNKGGNYKCGDLQADQIRVLWMDDTEPVQSIKNVSVVDRGFLHGDYVAAALDSTGQVGVVVDVNVSVDLLAPDGSILNDVSTRDLQRVRDFTVGDYVVLGPWLGRIDDVLDNVNVLFDDGSVCKVTRAEPLRLKPITRNTLEDDSNFPYYPGQRVRASSSSVFKNSRWLSGLWKANRLEGTVTKVTAGAVFIYWIASAGYGPDSSTAPAEEQNPKNLKLLSCFAHANWQVGDWCLLPTSSQCIPLDKGLSKLQLNGSIKNRGNCDKLDSEWDSKEVILYESNDNSESMDLDATPTPDENNATIETKDNGAIGTKASPESSSCSSSLSVSKETVHEHWPHHRKKIRKVVIRKDKKAKKKVENFERALLIVNSRTRVDVAWQDGTIERGVDATTLIPIETPGDHEFVAEQYVVEKASDDSDDVYEPRRVGVVKSVNAKERTACIRWIKPVARAEDPREFDKEEIVSVYELEGHPDYDYCYGDVVVRLSPASVPMQSASGEGFIEEPKQEDGSKEIKRDLKKCSGSNKVEGESPNEASMDFTDLSWVGNITGLRNGDIEVTWADGMVSTVGPQAIYVVGRDDDESIAAGSEVSDDAASWETVNDDEMDALENAQEDLEPQNASSIISDVEEGMENNSGRNAALSLPLAAFDFVTRLASGFFSGRRKNIDPIDLDSKGENELQPEGRDFSHESSSQKSNVLDNFSGESVNEKGEEHVDEKAHELSLPSDVLCNVRIEDSDSKTGDEDDTCSFKRFDTAKDPLDHYFLGANGQNSTGRKWLKKVQQDWNILQNNLPDGIYVRVYEDRMDLLRAVIVGAYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDSLSSSILQVLVSLQGLVLNSRPYFNEAGYDKQVGTAEGEKNSLAYNENTFLLNCKSMMYLMRKPPKDFEELVRDHFRRRGFYILKACDAYMKGYLIGSLTKDASYSDANNANSTSVGFKLMLGKIVPKLLLALNEVGADCQEFKHFQQS >EOX97625 pep chromosome:Theobroma_cacao_20110822:2:2894727:2902419:1 gene:TCM_006599 transcript:EOX97625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 23 isoform 5 MGMEQHLLASETNESTTTSLHGSNTLSQGGSSTNASVSDQNVNYTNVGVSKQNETFCNLHSVPYIYRQDVVRSNTSGAIGIVSEVAGDSDSDGSITDDEDDEDEDDEEDGEDESGNGDANSNANESGDGNKGGNYKCGDLQADQIRVLWMDDTEPVQSIKNVSVVDRGFLHGDYVAAALDSTGQVGVVVDVNVSVDLLAPDGSILNDVSTRDLQRVRDFTVGDYVVLGPWLGRIDDVLDNVNVLFDDGSVCKVTRAEPLRLKPITRNTLEDDSNFPYYPGQRVRASSSSVFKNSRWLSGLWKANRLEGTVTKVTAGAVFIYWIASAGYGPDSSTAPAEEQNPKNLKLLSCFAHANWQVGDWCLLPTSSQCIPLDKGLSKLQLNGSIKNRGNCDKLDSEWDSKEVILYESNDNSESMDLDATPTPDENNATIETKDNGAIGTKASPESSSCSSSLSVSKETVHEHWPHHRKKIRKVVIRKDKKAKKKVENFERALLIVNSRTRVDVAWQDGTIERGVDATTLIPIETPGDHEFVAEQYVVEKASDDSDDVYEPRRVGVVKSVNAKERTACIRWIKPVARAEDPREFDKEEIVSVYELEGHPDYDYCYGDVVVRLSPASVPMQSASGEGFIEEPKQEDGSKEIKRDLKKCSGSNKVEGESPNEASMDFTDLSWVGNITGLRNGDIEVTWADGMVSTVGPQAIYVVGRDDDESIAAGSEVSDDAASWETVNDDEMDALENAQEDLEPQNASSIISDVEEGMENNSGRNAALSLPLAAFDFVTRLASGFFSGRRKNIDPIDLDSKGENELQPEGRDFSHESSSQKSNVLDNFSGESVNEKGEEHVDEKAHELSLPSDVLCNVRIEDSDSKTGDEDDTCSFKRFDTAKDPLDHYFLGANGQNSTGRKWLKKVQQDWNILQNNLPDGIYVRVYEDRMDLLRAVIVGAYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDSLSSSILQVLVSLQGLVLNSRPYFNEAGYDKQVGTAEGEKNSLAYNENTFLLNCKSMMYLMRKPPKDFEELVRDHFRRRGFYILKACDAYMKGYLIGSLTKDASYSDANNANSTSVGFKLMLGKIVPKLLLALNEVGADCQEFKHFQQS >EOX97623 pep chromosome:Theobroma_cacao_20110822:2:2894727:2902419:1 gene:TCM_006599 transcript:EOX97623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 23 isoform 5 MGMEQHLLASETNESTTTSLHGSNTLSQGGSSTNASVSDQNVNYTNVGVSKQNETFCNLHSVPYIYRQDVVRSNTSGAIGIVSEVAGDSDSDGSITDDEDDEDEDDEEDGEDESGNGDANSNANESGDGNKGGNYKCGDLQADQIRVLWMDDTEPVQSIKNVSVVDRGFLHGDYVAAALDSTGQVGVVVDVNVSVDLLAPDGSILNDVSTRDLQRVRDFTVGDYVVLGPWLGRIDDVLDNVNVLFDDGSVCKVTRAEPLRLKPITRNTLEDDSNFPYYPGQRVRASSSSVFKNSRWLSGLWKANRLEGTVTKVTAGAVFIYWIASAGYGPDSSTAPAEEQNPKNLKLLSCFAHANWQVGDWCLLPTSSQCIPLDKGLSKLQLNGSIKNRGNCDKLDSEWDSKEVILYESNDNSESMDLDATPTPDENNATIETKDNGAIGTKASPESSSCSSSLSVSKETVHEHWPHHRKKIRKVVIRKDKKAKKKVENFERALLIVNSRTRVDVAWQDGTIERGVDATTLIPIETPGDHEFVAEQYVVEKASDDSDDVYEPRRVGVVKSVNAKERTACIRWIKPVARAEDPREFDKEEIVSVYELEGHPDYDYCYGDVVVRLSPASVPMQSASGEGFIEEPKQEDGSKEIKRDLKKCSGSNKVEGESPNEASMDFTDLSWVGNITGLRNGDIEVTWADGMVSTVGPQAIYVVGRDDDESIAAGSEVSDDAASWETVNDDEMDALENAQEDLEPQNASSIISDVEEGMENNSGRNAALSLPLAAFDFVTRLASGFFSGRRKNIDPIDLDSKGENELQPEGRDFSHESSSQKSNVLDNFSGESVNEKGEEHVDEKAHELSLPSDVLCNVRIEDSDSKTGDEDDTCSFKRFDTAKDPLDHYFLGANGQNSTGRKWLKKVQQDWNILQNNLPDGIYVRVYEDRMDLLRAVIVGAYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDSLSSSILQVLVSLQGLVLNSRPYFNEAGYDKQVGTAEGEKNSLAYNENTFLLNCKSMMYLMRKPPKDFEELVRDHFRRRGFYILKACDAYMKGYLIGSLTKDASYSDANNANSTSVGFKLMLGKIVPKLLLALNEVGADCQEFKHFQQS >EOX97626 pep chromosome:Theobroma_cacao_20110822:2:2894727:2902419:1 gene:TCM_006599 transcript:EOX97626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 23 isoform 5 MGMEQHLLASETNESTTTSLHGSNTLSQGGSSTNASVSDQNVNYTNVGVSKQNETFCNLHSVPYIYRQDVVRSNTSGAIGIVSEVAGDSDSDGSITDDEDDEDEDDEEDGEDESGNGDANSNANESGDGNKGGNYKCGDLQADQIRVLWMDDTEPVQSIKNVSVVDRGFLHGDYVAAALDSTGQVGVVVDVNVSVDLLAPDGSILNDVSTRDLQRVRDFTVGDYVVLGPWLGRIDDVLDNVNVLFDDGSVCKVTRAEPLRLKPITRNTLEDDSNFPYYPGQRVRASSSSVFKNSRWLSGLWKANRLEGTVTKVTAGAVFIYWIASAGYGPDSSTAPAEEQNPKNLKLLSCFAHANWQVGDWCLLPTSSQCIPLDKGLSKLQLNGSIKNRGNCDKLDSEWDSKEVILYESNDNSESMDLDATPTPDENNATIETKDNGAIGTKASPESSSCSSSLSVSKETVHEHWPHHRKKIRKVVIRKDKKAKKKVENFERALLIVNSRTRVDVAWQDGTIERGVDATTLIPIETPGDHEFVAEQYVVEKASDDSDDVYEPRRVGVVKSVNAKERTACIRWIKPVARAEDPREFDKEEIVSVYELEGHPDYDYCYGDVVVRLSPASVPMQSASGEGFIEEPKQEDGSKEIKRDLKKCSGSNKVEGESPNEASMDFTDLSWVGNITGLRNGDIEVTWADGMVSTVGPQAIYVVGRDDDESIAAGSEDLEPQNASSIISDVEEGMENNSGRNAALSLPLAAFDFVTRLASGFFSGRRKNIDPIDLDSKGENELQPEGRDFSHESSSQKSNVLDNFSGESVNEKGEEHVDEKAHELSLPSDVLCNVRIEDSDSKTGDEDDTCSFKRFDTAKDPLDHYFLGANGQNSTGRKWLKKVQQDWNILQNNLPDGIYVRVYEDRMDLLRAVIVGAYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDSLSSSILQVLVSLQGLVLNSRPYFNEAGYDKQVGTAEGEKNSLAYNENTFLLNCKSMMYLMRKPPKDFEELVRDHFRRRGFYILKACDAYMKGYLIGSLTKDASYSDANNANSTSVGFKLMLGKIVPKLLLALNEVGADCQEFKHFQQS >EOY02051 pep chromosome:Theobroma_cacao_20110822:2:41796096:41805767:-1 gene:TCM_011799 transcript:EOY02051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase-like 3 isoform 1 MTTVAIDSLLPKPGLSFRPKANVLLKPSRSLNCYRNPKLLFSRGACSCSFKPGRRIHVVEASSTDAAVIDTFEAFSSDDVLYKETFPVKRIEKVEGKIYIRLDQSEDQKNWQLAVGCSLPGKWILHWGVSYVGDSGSEWDQPPKDMRPPGSIPIKDYAIETPLKKLSKGDMFHEVKIELNPSSAIAAIHFVLKDEETGAWYQHRGRDFKVPLVDYLEDDGNMVGAKRGFGIWPGALGQFSNMLLKSETSQANAQSSSKESKDSKKENRQLEGFYEEQSIVKKVPMGNFVSVAVRKCSETSKNILYLETDIPGDVLVHWGVCRDDVQTWEIPAAPYPPETTVFKNKALRTQLQPKGTGNGSRASFTLDEDLVGFLFVLKLEDNTWSKFKGNDFFIPLSGATSVVGQLAQSDSVSEEISSKAYTDGIITGIRNLVSGLNSKKSQKTKTKEAQESILQEIEKLAAEAYSIFRSSITTFSEEAVLEIEAPKPAVKISSGTGTGFEILCQGFNWESHKSGRWYMELKEKASEISSLGFTVIWLPPPTESVSPEGYMPKDLYNLNSRYGTIDELKELIKSLHEVGLKVLGDVVLNHRCAHYQNQNGVWNIFGGRLDWDDRAVVGDDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRKDLKEWLCWLREEIGYDGWRLDFVRGFWGGYVKDYLDASEPYFVVGEYWDSLNYTYSEMDHDQDAHRQRIVDWINATNGAGGAFDVTTKGILHSALGKCEYWRLSDQKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPGGKEMQGYAYILTHPGTPAVFYDHVFSHYRSEIAALISLRNRNKIHCRSTVKIVKAERDVYAAIIDDKLAMKIGPGSYEPPSGSQRWSSALEGNGYKVWELS >EOY02052 pep chromosome:Theobroma_cacao_20110822:2:41800256:41805301:-1 gene:TCM_011799 transcript:EOY02052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase-like 3 isoform 1 MTTVAIDSLLPKPGLSFRPKANVLLKPSRSLNCYRNPKLLFSRGACSCSFKPGRRIHVVEASSTDAAVIDTFEAFSSDDVLYKETFPVKRIEKVEGKIYIRLDQSEDQKNWQLAVGCSLPGKWILHWGVSYVGDSGSEWDQPPKDMRPPGSIPIKDYAIETPLKKLSKGDMFHEVKIELNPSSAIAAIHFVLKDEETGAWYQHRGRDFKVPLVDYLEDDGNMVGAKRGFGIWPGALGQFSNMLLKSETSQANAQSSSKESKDSKKENRQLEGFYEEQSIVKKVPMGNFVSVAVRKCSETSKNILYLETDIPGDVLVHWGVCRDDVQTWEIPAAPYPPETTVFKNKALRTQLQPKGTGNGSRASFTLDEDLVGFLFVLKLEDNTWSKFKGNDFFIPLSGATSVVGQLAQSDSVSEEISSKAYTDGIITGIRNLVSGLNSKKSQKTKTKEAQESILQEIEKLAAEAYSIFRSSITTFSEEAVLEIEAPKPAVKISSGTGTGFEILCQGFNWESHKSGRWYMELKEKASEISSLGFTVIWLPPPTESVSPEGYMPKDLYNLNSRYGTIDELKELIKSLHEVGLKVLGDVVLNHRCAHYQNQNGVWNIFGGRLDWDDRAVVGDDPHFQGI >EOY02151 pep chromosome:Theobroma_cacao_20110822:2:42147641:42150010:1 gene:TCM_011874 transcript:EOY02151 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP domain protein 12, putative MFPSNNNYNPFPLTTHTMPGSSFTGDRNSAGSSQEDPNPPFWHLPAPFIDDDDDGLLMSHLLSQAQQQIVGSSSNVAPPDSEINAASPMKETKKVTTKRKRSAGNGAKQGIPRKRTGKKDRHSKIYTAHGPRDRRMRLSLQIARKFFDLQDMLGFDKASKTIEWLFSKSKAAIKELTDNFPGVKHSCSGGGKSVSSTSESEVVSAAKEYEDNMGDLQGVIARGESMRSTARATKERKSRKASFNPVARESRDKARARARERTREKMKMRGLEKSQKCSDESNPNELERLQSSSPLEAGENLGPSTQTNSTLKVVAEEVEQRHQSTVHLLEHEIDSVSVIEKFLGLTRAPRSSSMFNYSHNFADSSEENSEENCPIFSGNWGMNSDRIRYGYYALTNIKDSTGNAQEQNPSIIFMTDPNANTQEENPSSNLVVNSYAKTVSHNPDLMTPSNAHEGSPTSILVPSSDIGLHSHYQENPIVASKYHNFY >EOX99286 pep chromosome:Theobroma_cacao_20110822:2:9909873:9916497:-1 gene:TCM_007912 transcript:EOX99286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTYATKPKSNSMPINRLSPKKLKDNRNKGLCFNCNKNFSPRYRYKKLFLIKGCWSDDDDDADVELEDMDEVPKVSIHAISGTRVPQAMNVHEHKKGKENLVVDVLSQRHEQGELATISSPIQLCFIFFVCLFLLVKDHEHVFFNFKAKLKIDFNFSIFKTHQGKTSEDTQVIKMARVSWIIEKQFYTYLSSWLSGLYLLGPYTSHGSGLSCDIVEEMHYRTHEGYHKTFEKVSLVFYKPGMRRTVHDYIRTYDTCQRQKTKSLKLVRLIHSLSISIEVWIDISMDFVKGLPKLNGKFVLFVVIDRYEISIDNRTFDPTHHDYLTIIHFIYMLVQHNKIKLESMQITMSTIKKMKMKNGHASVHEGNVIKKMHRFHSKKQEMKGLYNNDK >EOY01387 pep chromosome:Theobroma_cacao_20110822:2:39239903:39245398:-1 gene:TCM_011293 transcript:EOY01387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rna-dependent RNA polymerase, putative MGKTIKLFGFANGVSQREVTEFLEEYVGKGAIEAVKVGQSRKEGSRAHAKVQFKTNEDADMVLAWTADQALWHNDSYLKAWTQKHNIIPTPKPQFHLNSIDNLTLHFGCQVSRQKFSVLWKKDEVSFKYGSKLDRLYFLLSYNSTDYKLELFDDSVWQIVLHSPLDQTKKFLIIQLLGAPRIYEKDLTILNSAKQVSEDDDDQWIRDVDFTPGHCIGQSFALCLELPRGVKLPKFDESFYYRKVEDNFILENGSSFSCNPDLVPMITPPEGFDLPYGVLFKVNSLVQHGCLLPPTLDADFYQLVDPRRIDIAFIERALEKAYSFKEYCYEPAKWLAEQYEKYRKLERPLRPPILPSNDGIVAVRRVQVTPSKVYFSGPELNLSNRVLRNYIKDIDNFLRVSFVDEELGKMHSTDLSSSASVTSDGKHNRIYDRILSTLIKGIVIGDKNFEFLACSTSQLRENSIWMFASKPGLTAVDIREKMGHFHVIRNVAKYAARLGQSLSSSRETLEVRMDEIKIIPDIEVETGEVKYCFSDGIGKISEKLAKEVALKCNLRIHTPSAFQIRYGGYKGVVAVDPTSSVKLSLRKSMQKFDADSTSLDVLSWSKRLPCYLNRQIIILMSTLGVKDSVFERKQKEVLNELDAILVDPERAREAMEWISHGELTNCLRGMLMCGYPPDSEPFLSMMLRTIRASKLLDLRTKTRIFVPNGRIMIGCLDETGTLEYGQVFVQCSVSKRGQSSADSLLVVEGKVAVTKNPCLHPGDLRVLKAVDAVVLHHMVDCIVFPQKGNRPHPNECSGSDLDGDLYFVCWDEDLIPPCQFPPMHYGSASSSSLDHDVTIEEVAEYFTNYILNDSLGIISNAHIVFADKEPTKALSEPCIELAKLSSIAVDFPKTGVPAKIPHRLRVHEYPDFMDKPDKFTYESEGVIGKLYREVRALGTGESGAKQFTKKAAEQSYDSDMEVDGFEAYVNDAFHYKSEYDNKLSNLMRYYGIKTEAEMISGCIMKMSKSFDRRRDLETVVLAVKSLKNEVKGWFNKKESHELKPNERNLFAKASAWYHVTYHPSFWGRYNEGMDREQFISFPWCVYDKLLQIKENSSKKSLERTLNLGGLDSDQRTSLWDVCSFM >EOY00289 pep chromosome:Theobroma_cacao_20110822:2:30942238:30952667:1 gene:TCM_010128 transcript:EOY00289 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY domain class transcription factor MKRSRDEVYIGGSQLKRPLVTSRGEGSGQPQMVGGVGSTQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGYRDLILGFNTFLPKGYEITLPQEDEPTQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVATLFQDHPDLLLEFTHFLPDTSATASNHYASSGRNIPRDRISAIPTMRAVHADKKDRTTASHADRDLSVEHPDPDHNRAMMKVEKEQRRRGEKERDKREDRDRRDQEQDDRDFENDGNRDFNMQFPHKRSAKPARKGEDSGVEQLQQGGDGATYDDKNAMKSVYYQEFAFCDKVKEKLRNPEHWQEFLRCLHLYSNEVISRTELQSLVNDLLERYPDLMDGFNEFLVRCEKNEGLLADFVSQKLLRNEGQLPRSVKMEDRDRDQDRERDDGVKDRDRETRERDRLDKSSFGNKDAGSHKVSSFSSKDKYMGKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTDLGSEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKLDSPIRIEEHFTALNLRCIERLYGDHGLDVMDVLRKNAHLALPVILTRLKQKQEEWARCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDSKNLSTKALLAEIKEISEKKRKEDDVLLAIAAGNRRPIIPNLEFEYRDPEIHEDLYQLIKYSCGEMCTTEQLDKIMKIWTTFLEPMLGVPSRPHGAEDTEDVVKAKNNNVKNGSAIVGESEGSPGGGAVAMNSKHTNPSRNGDESIPPEQSSSCRSWLLNGDNGIKQDGSANTDRVDHKNDSSCDATHQDRMQQVNPANGDEISVVSKQASSSERLVNPNASLVAGVEQSNGRTNIESISGLSANPSRPGNAAIEGGLELKSSNENLPSSEGGDCSRPVLSGNGMVTEGIKSHRYNEESAGQLKVEREEGELSPNGDFEEDNFADYGEAGLETAHKVKDGAANRQYQRHGEEEVCCGEAGGENDADADDEGEESAQRTSEDSENASENGEVSGSDSGEGDSREEQEEDIDHDEHDNKAESEGEAEGMADAHDVEGDGTLLPFSERFLLTVKPLAKHVPSALHEKEKGSRVFYGNDSFYVLFRLHQTLYERIQSAKFNSSSADRKWRASSDPSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVASDEMDNKLLQLYAYEKSRKSGRFVDVVYHENARVLLHDENIYRIECSSAPTRLSIQLMDYGHDKPEVTAVSMDPNFAAYLHNDFLLVVPEEKEKPGIFLKRNIRKCVGGDELSSTSQVTEGLKIVNGLECKIACNSSKVSYVLDTEDFLFRMRRQPASHQNSSCHNRANVSNGGSIKLQRQQRFQRLLLAYTLMA >EOX97106 pep chromosome:Theobroma_cacao_20110822:2:1204699:1206099:1 gene:TCM_006206 transcript:EOX97106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQRQRYMAVIDVESVFHMTNSLCPAATMPQAIKMFSKSLTDTDIKKRLAIPGKILSSLADFNGSNTVTIRLMYGTRMWPIVCSVRRTGYKKPVFSGGWRNFVICNDFRVGDKLTMYKVQDEAGSFHYRVEVEKLATPSVALSARALSLNHEVDETTGISRTKISYLEHEQEQLPKADARVKLEGAIMELADASVPFVDHAVAIPSGRIFGTGVSDEAKPHFKPEHGTEKKLGIGITMGEPSLHACYVTKVERDIEAPFDLNGGGSLATYATSQAAVEAYPKSTGRLSLDLVMRQPSPYDGAVNLELTLAPPIA >EOX97041 pep chromosome:Theobroma_cacao_20110822:2:1006405:1007640:-1 gene:TCM_006156 transcript:EOX97041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p family protein, putative isoform 1 MSASETIGGDEGVATSPRNKVKFLCSYGGKIVARPGDANLKYVGGETRVVAVPPDINFSELMKKLNTLVEGDMILKYQVIPEELDTLVTVRSDEDLRHLVDEYHRLESEGTPKLRAFLFPLSPIVLENQMNPVDPHAIEQRYIEAVNGIVRPGPNTSGRLTPVNANRPNFSISACSSPKSASPDGKAIDSLPSESPLLSGYHRNRPFMPKVQSSPSLCSLSTPHNQSNNHSNHQAYQPHYYYHQNHQQLQPYSVQSPRQPQDFRTERPSGPHDFGWGAMGQRHGPTNHVYSLGQHNWGYGCGSCGCYDECLVHASARFIRGSSVPASGGLEKADSPRNHIWE >EOX97040 pep chromosome:Theobroma_cacao_20110822:2:1006091:1008376:-1 gene:TCM_006156 transcript:EOX97040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p family protein, putative isoform 1 MSASETIGGDEGVATSPRNKVKFLCSYGGKIVARPGDANLKYVGGETRVVAVPPDINFSELMKKLNTLVEGDMILKYQVIPEELDTLVTVRSDEDLRHLVDEYHRLESEGTPKLRAFLFPLSPIVLENQMNPVDPHAIEQRYIEAVNGIVRPGPNTSGRLTPVNANRPNFSISACSSPKSASPDGKAIDSLPSESPLLSGYHRNRPFMPKVQSSPSLCSLSTPHNQSNNHSNHQAYQPHYYYHQNHQQLQPYSVQSPRQPQDFRTERPSGPHDFGWGAMGQRHGPTNHVYSLGQHNWGYGCGSCGCYDECLVHASARFIRGSSVPASGGLEKADSPRNHIWE >EOY01533 pep chromosome:Theobroma_cacao_20110822:2:39873090:39873708:1 gene:TCM_011397 transcript:EOY01533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSHHHPNSAKSKNTMAVVMEGNWTKSVICMLLVLASGSPLWRLPGAEARGPFCCPRMVDCNKVCQGFPNRCVDCKCICGEGDTHSHSHSHTPPLPMASAELIN >EOX97530 pep chromosome:Theobroma_cacao_20110822:2:2582195:2590535:1 gene:TCM_006525 transcript:EOX97530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine histidine transporter-like 8, putative isoform 2 MGEVVPIEDGLTQELPLYMAPTPSPLQIITIKSGSIKETPGFTCNPDGCTQGRPGGQAINITELESWLPITESRNGNIFSAMFHILCSGIGFQALLLPVAFAVLGWTWGIICLCIVFMWQLYTIWLLVNLHEPVPGTRYSRFVRLSIVAFGQKLGKLLAIFPVMYLSGGTCVLLIITGGGTMELLFKIICEEGAACQSKSLTGTELFLLFTCIALIIGQLPNLHSVARVSLTGAIASIGYFTMIWALSISKGRPDGVSYSIPHAAETGMTGFGNILNAIGIIMLSFRGHNLILEIQGTLPSSSKHPCRKSMWRGVMVSYIIIAMCLFPLAIVGFWAYGNKIPANGGILTAFADFHREDTSKFVIGLIYLWLILSCLSSYQIYAMPAFDNLEFIYASSKNRRCPGWVRVGLRLFFGGLTFFIAVAFPFLGSLAPLLGGMATVPLTFAYPCFMWISIKRPRRNGAMWSLNLGLGCLGIVLSVLLIVAAVWNLADKGLHANFFRP >EOX97531 pep chromosome:Theobroma_cacao_20110822:2:2582195:2590535:1 gene:TCM_006525 transcript:EOX97531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine histidine transporter-like 8, putative isoform 2 MGEVVPIEDGLTQELPLYMAPTPSPLQIITIKSGSIKETPGFTCNPDGCTQGRPGGQAINITELESWLPITESRNGNIFSAMFHILCSGIGFQALLLPVAFAVLGWTWGIICLCIVFMWQLYTIWLLVNLHEPVPGTRYSRFVRLSIVAFGQKLGKLLAIFPVMYLSGGTCVLLIITGGGTMELLFKIICEEGAACQSKSLTGTELFLLFTCIALIIGQLPNLHSVARVSLTGAIASIGYFTMIWALSISKGRPDGVSYSIPHAAETGMTGFGNILNAIGIIMLSFRGHNLILEIQGTLPSSSKHPCRKSMWRGVMVSYIIIAMCLFPLAIVGFWAYGNKRRHFKVRDRPNLPMANFKLLKFIPNLCHACFRQLRVYLRQQ >EOX97826 pep chromosome:Theobroma_cacao_20110822:2:3599970:3600717:1 gene:TCM_006757 transcript:EOX97826 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein MAIRKSSKLPQTAVLKQILKRCSSLGKKHGYDEDGLPLDVPKGHFAVYVGENRSRYIVPISFLTHPEFQCLLRRAEEEFGFDHDMGLTIPCEEVVFRSLTSMLR >EOX98886 pep chromosome:Theobroma_cacao_20110822:2:7642237:7646811:1 gene:TCM_007556 transcript:EOX98886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPPRRGRPPLYRSVGRGRGRARLSQPDPVERESAAPTFRAAPAVEPTEIPPPPPPPTVTPGVHAMSLEAVQALAAFLNVIMGQAQAGRVPHTVPPAVSPVPPPPPLVPPPVPDVSISKKLKEARQLGCTSFVGDLDATAAKDWITQVTETFVDMKLDDDMKLMVATRLLEKRARTWWSSVKSRSITPLTWIDFLQEFDGQYYTYFHQKEKKREFLSLQQGNLTIEEYEARFNELMSYVPDLVKSEQDQASYFEEGLRNEIRERMTVTGREPHKEVVQMALRAEKLTNENRRMRAEFAKRRNPNVSSIQLPKRGKDTSASESTVSVPVISPRPPFSQLQQRPPRFSRSGMSSTSEKSFGGLNKCEKCGRYHVGECWGIRCFHCDQPGHIRSDCPQLGRATVAAPSPLTHTDMQRRDSSGVHPRQGVTVRSEMGSNTPAQPPLRPLTRSSTRVFAVTEDEARVRSEESE >EOX97605 pep chromosome:Theobroma_cacao_20110822:2:2817596:2821089:-1 gene:TCM_006584 transcript:EOX97605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQMPPPMPSPQRDFGWDFFNPFDVVRPEIISGYNRCSDDDLRAVREEEGIPELEEVGDSKEEEKKVVFVEEKDTSCREHEESESGLIKVKEETHVSQGEQKGLTVIDSPEKERELLEAVKDIEDHFIRAYDSGKDVSRMLEANMVHLQSGLKEINREIIDSAHFCAENSTKLIQAITWHRSTLSKPPK >EOY01015 pep chromosome:Theobroma_cacao_20110822:2:36717044:36718314:1 gene:TCM_010932 transcript:EOY01015 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLP-like protein 28 MASALTGKLEGDVEIKASAEKFHDMFCNKPHHVSNTCSEKVQGCELHDGDWGTEGSIICWSYVHDGEAKKAKQVIEAIDSEKNSITFRMIEGDLMKEYKSFVIKFQATPKSEGEGSIVHWTFEYEKLHEGIAHPETLLEFLLSVSKDISAHLTQGN >EOY01520 pep chromosome:Theobroma_cacao_20110822:2:39818962:39820270:1 gene:TCM_011386 transcript:EOY01520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2, putative MDNRCLLLIYLLAAIILLLAILFSRDNKEFSFYKLSFRWPPSACNQGSLECKPDTLGYFTIHGLWPMYEDDTQVPPYDPKKNKCTDVTPTDPKNLLPLLKSIETTLQKYWPNYKNYENINMCAESWKHEWKNHGICSDYPADKPVEYFGATLTLAQNYNYDPLKGTKVVPREDPYPATEIVNAVKEKVKATPQIQCNKKDGTLQLLEIRLCFAKAKPLTPIDCPHTFVPGGVCQQETDLIKFTPAPTE >EOY00882 pep chromosome:Theobroma_cacao_20110822:2:35667966:35671313:1 gene:TCM_010800 transcript:EOY00882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGNCVTVYKNKDPAAMNLSAQIQSPSKENFVRREHSVAELGSRPQPSSLEPETSFRNLSKTENFFDSQPWLESDCEDFFSVNGDSTSSCGNSPNHQKSFTESSLPDKNHSTDCAQDAVSQHSPTETKKQLIELFRESFDDGDAVNDDPSLDGRLKEKPATFSLRPKSTSRSPSESIPNSVQSSEATPYRAYLPKKEKSAQSAQCCLPSLVRNMSFGERKKRLSPAKTDGW >EOY00881 pep chromosome:Theobroma_cacao_20110822:2:35666800:35671000:1 gene:TCM_010800 transcript:EOY00881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGNCVTVYKNKDPAAMNLSAQIQSPSKENFVRREHSVAELGSRPQPSSLEPETSFRNLSKTENFFDSQPWLESDCEDFFSVNGDSTSSCGNSPNHQKSFTESSLPDKNHSTDCAQDAVSQHSPTETKKQLIELFRESFDDGDAVNDDPSLDGRLKEKPATFSLRPKSTSRSPSESIPNSVQSSEATPYRAYLPKKEKSAQSAQCCLPSLVRNMSFGERKKRLSPAKTDGW >EOY00828 pep chromosome:Theobroma_cacao_20110822:2:35446669:35452043:1 gene:TCM_010761 transcript:EOY00828 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha 2 MEEEIKAEFLKNGFTLDEEEEILKKCHMFCLNFSLKPSDLVSSWEVYYLNRQLDESVVKDAEMDGFSGHLQNEQVEAVVKKEPDLYMYSFKDVDMILDAEDEDTKEVILRTPTDKSEKLHSDLYDSAQKTNSNIYSSRRTPKQVTPFGTRSNKFVVKFNIDNLPTSETVNGMHDHENLEDAVIKRIQPQKRCSLVVHSSGPEPGCRFMYDRIEDGFNALENRIRKHAAALVASGLYQEPMDPSVASQRSIFAVGMIFCDDEGHLNDKSILLQSSVEHSGGQRVRLELNKLSHFSIFPGQVVGIEGHNPSGHCLIASEIVDSVPLTIAADTNLPPAKRQALNEEIQATNLSSTPTEISLIIAAGPFTTTDNLLFEPLKELLTYATRKCPQLLILLGPFIDSEHPQIKKGTFDLSFDEIFRSEVLRMVQDYVEYMGSDARVILIPSIRDANHDFVFPQPPFDLDLHNLNQITSLTNPGIFEADEVKIGCCTVDILKHLSGEEISRHSMDGTPSDRLSRLASHILSQRSFYPLYPPAEGVPLDSSLAPEALHIPSVPDLLILPSDIKYFVKVLSLGGTSEGKEQTKKCICINPGRLAKGAGGGTFVELKYQGSPDKMNASIIGI >EOX97566 pep chromosome:Theobroma_cacao_20110822:2:2700002:2701370:-1 gene:TCM_006552 transcript:EOX97566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIGICFTLPAADEGMEHCGSFFQMERLEENRVREKTNISIPMSLNSSASLFCSATTISPTNDPALTDFHSRLIKPCFPGG >EOX98428 pep chromosome:Theobroma_cacao_20110822:2:5758430:5762343:1 gene:TCM_007196 transcript:EOX98428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MNLGCLEDCISVSYKQSSEPVVDPQNKEGDATDESVASSSSRIGKNKSPKETSPSAWNALNKFTSQIKKPPHRKNSPLNWFPRKKIDSYLKRKIKMLQEVDGMNSTLDETLGDSNPHYCRVLREKMAAREAAHKAMEARKAALVEASWCRILQAARIQSKEAGELLLKAEKTAAEAFESATASGVIMYDIPDCPRSTCQIETSLVNRAGSTTHTVRASFETAFEVDKQVAAAVKTAFVRLACCSSFDRDEFKDLLRKINENPDTTDSNLESMEFSSECESEPGSELETVTQKDGFKSQEFNCKMSAVETRQKKFKRRQSLEKFNTEKLVEMMLERLKCLQEDELSSLATIVATCGLNAALAEVENTKLQNPCSIADHPSASALSFARRTSSIGAGTVRKTSQTGQIDSELPSLDKFLVKHMTKLEREVIEARSRRNESKDRGGKYPGKPDDSGIISSETVPHMENIPVKQSSNFEEEIQENEKHLKEDDGVDHKSSDGDTSVDAIPDLGSILVKHSSKLEKEIEEAKRNCGNTYDQLNGKKRGGMSNGLHSHKKGDIQEAPSLDKFLVKHVSRLEREVEEAKNRRKNDMVEIGKVANLEKEVIFEKNATCTNGEVLGKENINSNKEVDRIPSEDSLEKILVKPVHRLEREKLQALSSGSNYGDPRNHKKRGTNVTDCESLDKVLVRHVSRLEKERMRFNANEEEVKVKRGGVNVPATDENGSLDQILVKHKSRLEKEKMAAAEQPRDQIRFSVSRREARERELQEAWGGLSLGNSIRPHFSRLERDKAAWKKAEEEERMQAMKEVL >EOX98429 pep chromosome:Theobroma_cacao_20110822:2:5758565:5762353:1 gene:TCM_007196 transcript:EOX98429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MNLGCLEDCISVSYKQSSEPVVDPQNKEGDATDESVASSSSRIGKNKSPKETSPSAWNALNKFTSQIKKPPHRKNSPLNWFPRKKIDSYLKRKIKMLQEVDGMNSTLDETLGDSNPHYCRVLREKMAAREAAHKAMEARKAALVEASWCRILQAARIQSKEAGELLLKAEKTAAEAFESATASGVIMYDIPDCPRSTCQIETSLVNRAGSTTHTVRASFETAFEVDKQVAAAVKTAFVRLACCSSFDRDEFKDLLRKINENPDTTDSNLESMEFSSECESEPGSELETVTQKDGFKSQEFNCKMSAVETRQKKFKRRQSLEKFNTEKLVEMMLERLKCLQEDELSSLATIVATCGLNAALAEVENTKLQNPCSIADHPSASALSFARRTSSIGAGTVRKTSQTGQIDSELPSLDKFLVKHMTKLEREVIEARSRRNESKDRGGKYPGKPDDSGIISSETVPHMENIPVKQSSNFEEEIQENEKHLKEDDGVDHKSSDGDTSVDAIPDLGSILVKHSSKLEKEIEEAKRNCGNTYDQLNGKKRGGMSNGLHSHKKGDIQEAPSLDKFLVKHVSRLEREVEEAKNRRKNDMVEIGKVANLEKEVIFEKNATCTNGEVLGKENINSNKEVDRIPSEDSLEKILVKPVHRLEREKLQALSSGSNYGDPRNHKKRGTNVTDCESLDKVLVRHVSRLEKERMRFNANEEEVKVKRGGVNVPATDENGSLDQILVKHKSRLEKEKMAAAEQPRDQIRFSVSRREARERELQEAWGGLSLGNSIRPHFSRLERDKLLILSFQAAWKKAEEEERMQAMKEVL >EOX99664 pep chromosome:Theobroma_cacao_20110822:2:13285010:13287799:1 gene:TCM_008405 transcript:EOX99664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix (bHLH) DNA-binding superfamily protein MSAFPNQHLPSVINSFVEPNTLDCMSGFLREESSATKTCFSSNFPDACFQEIISGQYAQNHVATTLNEVNLDVPFTFPVIPFAIANQEIDSTTIPMLLELEQRGDDHQITGEVSASENKRKKVETKVEREKKREKKHKNIRGLQQAKESRLKPDIKNKKKVPEKVETDNYAHVRARRGEATDKHSLAERVRREKISVRMKLLQSLVPGCDKLTGKTQMLDEIIRYVQCLQHQVEFISTEAEEFSSLEKAWPLSFVESSSTGQFKAFTAATPAPTSSLLHQTDAQQRLNITTRDKAICYGKRHIRTSSSLA >EOX99501 pep chromosome:Theobroma_cacao_20110822:2:11681338:11682491:1 gene:TCM_008182 transcript:EOX99501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein MATATAPSTGQVTAKRKPVFVKVEELKPGTGGHTLIVKVVETTPVKPSQRPNRARSLLSRPAPPARIAECLVGDETGTIIFTARNEQVDLMKHGATVILRNAKIVMFKRTTMRLAVDKWGRIEVTEPAKFEVKEDNNLSLVEYELVTVPDQGLN >EOX98668 pep chromosome:Theobroma_cacao_20110822:2:6657682:6659965:-1 gene:TCM_007375 transcript:EOX98668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein isoform 1 MGEEKVKEEAMRIIGMFQVLPRLVVFDLDYTLWPFYCECRSKREMPSLYPHAKGILSALKEKGIDLAIASRSPTADIANTFLDKLSIKPMFVTKEIFSSWTHKTDHFQRIHSRTGIPFNSMLFFDDENRNIQAVSKMGVTSIYVSNGVNLGALRQGLTEFTENQNASEKNKQKWLKKYSQNSNSSDKNNQK >EOX98669 pep chromosome:Theobroma_cacao_20110822:2:6658045:6659989:-1 gene:TCM_007375 transcript:EOX98669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein isoform 1 MGEEKVKEEAMRIIGMFQVLPRLVVFDLDYTLWPFYCECRSKREMPSLYPHAKGILSALKEKGIDLAIASRSPTADIANTFLDKLSIKPMFLSFSCLLITWRFFLAGHTRRIISREFIHGRGFPSTRCSFLMMRTGTYKLSLRWV >EOY02198 pep chromosome:Theobroma_cacao_20110822:2:42298966:42299776:-1 gene:TCM_011903 transcript:EOY02198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDCNMLVADCIVICCCSQCLILQMVIFVLLKLPCKLIRKTKGYAKKKLGRGRKGRTRTERVKGGFHDEAVEIHRSSIRIEILEGLACTGGEGHGFRCCIEEAEKVLEELSEKGEFAFGSFWGR >EOY00271 pep chromosome:Theobroma_cacao_20110822:2:30800447:30805576:1 gene:TCM_010105 transcript:EOY00271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A-2 MPSQGDLDRQIEHLMECKPLSEAEVKALCEQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAAQFNHTNGLTLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQVEPDTTRKTPDYFL >EOX97476 pep chromosome:Theobroma_cacao_20110822:2:2399268:2400788:-1 gene:TCM_046713 transcript:EOX97476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysm domain GPI-anchored protein 2 precursor, putative isoform 2 PFGWALGPTCNSPIRSDSLDFPLLAANGTYVFTANGCVRCTCDAAVNNSTLRCEPSQNKPSRWETCPSMQCEASDGLSLGNSTTSGCNRTTCSYAGYNNSTIFTTLEQDSTCSSTTPSNDVTRISLNWDFLCILILLCFHLFQ >EOX97475 pep chromosome:Theobroma_cacao_20110822:2:2399142:2402019:-1 gene:TCM_046713 transcript:EOX97475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysm domain GPI-anchored protein 2 precursor, putative isoform 2 MGFAFAKLFLLLLPLLSSLTLEHSAAQGFNCSSPRSCRALVGYVTVNNTDLGTIQSLFNVKNFRSILGANGLSLSTPRTHNISAQQVIKIPINCVCYNDTGTSSGAPIYEVKEGDFLFHIAAEIFSSCDDVNGEKVVHYAHIVKSGSTLEGIASEFGTDEGTLRRVNNITADNQLIADQPIDVPLKACNSPIRSDSLDFPLLAANGTYVFTANGCVRCTCDAAVNNSTLRCEPSQNKPSRWETCPSMQCEASDGLSLGNSTTSGCNRTTCSYAGYNNSTIFTTLEQDSTCSSTTPSNDVTRISLNWDFLCILILLCFHLFQ >EOX97477 pep chromosome:Theobroma_cacao_20110822:2:2399412:2400870:-1 gene:TCM_046713 transcript:EOX97477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysm domain GPI-anchored protein 2 precursor, putative isoform 2 MLLLTTRHYVVNHPRINHPGGRRAHLCNVKLQMVYPLAIVPLLVAIAQPVPMLDITTQPSSQPLNRTPLVHQLLQAMMLQGSV >EOY01321 pep chromosome:Theobroma_cacao_20110822:2:39008986:39012461:1 gene:TCM_011254 transcript:EOY01321 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein MELKLCIKENIILKPFKQFKRQTIELSGLDRISPSILYTVFFYNSQLINESFMQDEDPVERAKTALQKVLIPWYPAAGRFRINEASGKLEIDCNNEGVILITAVTDSKLEELGRLHEYKSCYENLVPKCPEATDISENPIAVVQITKFACGGFSIGFGSSHALFDGLGAFNFLASWAQISNGKDESELMVPNHSRDALLSAIYSPNSSPTAASIYEQGHITAIQDLYGIPMQAMASDDRCWGTAVAKFSQVDPQVGLELVTLGMKKETVETWKGQAIEKGKLPKCSTFDVLCAHVWKARVKTLSLQANTNICLQFPVDARSRLRPPLGDNFTGNAFVLASVSCSVKQLLEEPLHDTIRKIQAAKDEITDEYIKLYARALEASDKFFPSMRELTIVSDWSRFPFHALDFGWGKVSNAAILATPVPETAFLMLNLEEPGGFLVRIGIGRQYVHDLITNFNNLSNM >EOX96895 pep chromosome:Theobroma_cacao_20110822:2:412620:429388:1 gene:TCM_006038 transcript:EOX96895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPFFMRGRLHKNHNISIINKRLRLQTKMKKNRMKGMQERFERLKTEMEEISEEQKGIREGQRQVREKFEAIEFECEQLKKETNFIIEQSARTQVKLVLMFRIMKAREENDLATAANLTRLLGQIVAREKEERQALSDA >EOY00711 pep chromosome:Theobroma_cacao_20110822:2:34680581:34682702:-1 gene:TCM_010648 transcript:EOY00711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MKVQSWLATCSTSTRDTSTHDSTIPLPRNPSHSPGHLVSDSSSDNRGSATSSSASSSDTSCSSLQSNLSLQTLPSVPSLQKLFPETIHFSVSQISVTSINPRTKHPITCVAVQGNLLYAASVNEINVYDRETSTLVDVYNSQDSSSGSVKSVTFCNGKIFTAHQDCKIRVWKMTMTKQHKFLTALPTVNDRLRHFILPKNYVNVRRHVKRLWIEHADAVTGLAVNKGLIYSVSWDKTFKIWRASDVRCLQSIKAHDDAINAVAASADGTVYTGSADRRIRVWAKPSGEKRYELVATLEKHKSAVNALALNDEGSVLFSGACDRSILVWEREDSANYMVVTGALRGHGKAILCLINVSGLLMSGSADRTVRVWQRGLEGEYCCLAVLEGHQKPVKSLTAVKEDEQRDVVSVISGSLDGEVRTWKVSVSKPSSPSSTTEFLKWHL >EOX99582 pep chromosome:Theobroma_cacao_20110822:2:12461442:12464525:-1 gene:TCM_008293 transcript:EOX99582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIEALSVLLNKAVAMGLCNGLKINFRKSRLYGIKNDDAVESWANRISCLVGIGYLIENGTRINIWDDEWIKDIILRTAFPRIFALVIKNLVKFLSLDTGTIRGWQWKVELRRRLFDWEKDAWAYFKECHDHIRLDWEINDKIRVAWWAKSKWPNENAAIIDLVNYPSLTRVAVLLKPPKPVARWECPPVGSFKFNTDGVTRGCLSNLGIGGIVRDEKGVVKVVFSKKAGWGDTNLAEVLLIREAMVIFAASSWVSRGGIIKGFNSKNAVTWVEKPDSSPWKLKQLILQIKALKEKVGGWQIRHIPRSGNEKADYYPKMGVDRTNDLLCVYP >EOY00663 pep chromosome:Theobroma_cacao_20110822:2:34381553:34387399:1 gene:TCM_010578 transcript:EOY00663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALAHSYLKHHALILNYLHHHLTHNKYTQLFTSSSQHLQYISSRLDLWSVRRKKASKEGISTPTNHKLSYSPQGDKPNNNR >EOY00468 pep chromosome:Theobroma_cacao_20110822:2:32809703:32817299:-1 gene:TCM_010347 transcript:EOY00468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase 2 MSKSSVVKLSSLSSLTTILPFLSFPSINSCLPTQPNKLTNILSLSGKIEKRKKKYTPKMLKLWTFLVLSFSSFSVIFGGVGASSVSENPFTPKASLIRYWNKEIRNQIPKTHFLLSKVSPLTAVDSASFSKLAAQNDLASRLPSFCSSAKLFCFPDLSPSLEKHPKDANFAFYANKNFTNYGTDRLAGVDSFKNYSEGDNVIVDSFRRYSRDSAGHKDLFSNYASESNVVDQSFNTYGAAATGGGGNFNNYNREVNNPNLRFTSYSDDSNGRGQTFTRYTENANAGNGQSFSSYGKNGNGVPNDFSSYGKGANVVGSGFSGYGESANGANDTFTSYGFDSNVPLNTFKSYGDGGNAAVDSFSSYRDQSNVGDDSFQSYAKNSNAAKVDFNNYGQSFNEGTDKFTGYGQGAEGQSIGFKIYGRNTTFKDYTKKGVSFGRYNNESSAETAQVKASVSAVNKWVEPGKFFREKMLKRGTVLPMPDIRDKMPERSFLPRTIVSKLPFSSSKIGELKRIFHAGDNSTLETVMLDALKECERAPSAGETKRCVGSAEDMIDFATSVLGRNVEVRTTENVKGSKQNIKIGTVRGINSGKVTKSVSCHQSLYPYLLYYCHSVPKVRVYEADILDPNSREKINHGVAICHLDTSAWSSGHGAFLALGSGPGRIEVCHWIFENDMTWTIADS >EOY00946 pep chromosome:Theobroma_cacao_20110822:2:36027841:36028583:1 gene:TCM_010849 transcript:EOY00946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEFVPEKKSMIVVDVIPMMTKITEHKLNGFNYLDWSKTVRVYLISIDKDDHITNDPPTDNTRQTWMKKDARLFL >EOX97115 pep chromosome:Theobroma_cacao_20110822:2:1244770:1249174:1 gene:TCM_006216 transcript:EOX97115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRQAKKMFSKSLTDTDIKKRLAIPAKILPSLPPFNASHAVTIHLLYGTRMWPIVCSVRKTGYKKPVFSGGWRNFVIFNDFHVGDEFTMYKVQDEEGSFHYRVEVEKLATPSVTLSARALSLNHEVDGTTGTSCTKLNNFQQDQEQLPKADAPVIHEGATMEQVDAIANAPVPFVDHVIAKPPGMIFGTAVSDEATSKAHFKPEHETEMKFFGITMAIGLGETPLIKAPFDLNGSESTGRLNLDLVLGQPNLTKEERDIEAPFDLNGGASLAVFGTSPATEEAYSTVREGLTWTWFWDNQVHITEQ >EOY01997 pep chromosome:Theobroma_cacao_20110822:2:41631788:41637513:1 gene:TCM_011763 transcript:EOY01997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transporter 2 isoform 6 MIDEPHHRIELNSSPNSPSISSSSPSARIPNGNSNVSSPIGVRSKDCSLMTLVLSCTVAAGVQFGWALQLSLLTPYIQTLGIGHAFSSFIWLCGPLTGLVVQPCVGIWSDKCTSKYGRRRPFILAGSLMISVAVIIIGFSADVGYQLGDTEEHCSTFKGTRTKAAFVFVIGFWMLDLANNTVQGPARALLADLSGPDQHNSANAIFCLWMAVGNILGFSAGASGSWYRWFPFLMSRACCEACANLKAAFLAAVVFLSFCTVVTLCFAKEVPLSPPANQPTRLSDSAPLLNDSTQNGFQHSKSKADVSIVANTNRTNAENGYEQVSNSKYADSKDTNVKGEVFNDGPGAVLVNLLTSLRHLPPAMHSVLIVMALSWLSWFPFFLFDTDWMGREVYHGDPNGNASQIKLYDQGVREGCSGC >EOY01999 pep chromosome:Theobroma_cacao_20110822:2:41631417:41635797:1 gene:TCM_011763 transcript:EOY01999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transporter 2 isoform 6 MAGTSDSVSIRVPYRNLKKQSEVEMIDEPHHRIELNSSPNSPSISSSSPSARIPNGNSNVSSPIGVRSKDCSLMTLVLSCTVAAGVQFGWALQLSLLTPYIQTLGIGHAFSSFIWLCGPLTGLVVQPCVGIWSDKCTSKYGRRRPFILAGSLMISVAVIIIGFSADVGYQLGDTEEHCSTFKGTRTKAAFVFVIGFWMLDLANNTVQGPARALLADLSGPDQHNSANAIFCLWMAVGNILGFSAGASGSWYRWFPFLMSRACCEACANLKAAFLAAVVFLSFCTVVTLCFAKEVPLSPPANQPTRLSDSAPLLNDSTQNGFQHSKSKADVSIVANTNRTNAENGYEQVSNSKYADSKDTNVKGEVFNDGPGAVLVNLLTSLRHLPPAMHSVLIVMALSWLSWFPFFLFDTDWMGREVYHGDPNGNASQIKLYDQGVREGCSGC >EOY01995 pep chromosome:Theobroma_cacao_20110822:2:41631417:41637715:1 gene:TCM_011763 transcript:EOY01995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transporter 2 isoform 6 MAGTSDSVSIRVPYRNLKKQSEVEMIDEPHHRIELNSSPNSPSISSSSPSARIPNGNSNVSSPIGVRSKDCSLMTLVLSCTVAAGVQFGWALQLSLLTPYIQTLGIGHAFSSFIWLCGPLTGLVVQPCVGIWSDKCTSKYGRRRPFILAGSLMISVAVIIIGFSADVGYQLGDTEEHCSTFKGTRTKAAFVFVIGFWMLDLANNTVQGPARALLADLSGPDQHNSANAIFCLWMAVGNILGFSAGASGSWYRWFPFLMSRACCEACANLKAAFLAAVEVPLSPPANQPTRLSDSAPLLNDSTQNGFQHSKSKADVSIVANTNRTNAENGYEQVSNSKYADSKDTNVKGEVFNDGPGAVLVNLLTSLRHLPPAMHSVLIVMALSWLSWFPFFLFDTDWMGREVYHGDPNGNASQIKLYDQGVREGAFGLLLNSVVLGVSSFFIDPMCQRMGSRLVWAMSNYTVFACMAVTAIISLVSVREYSQGIEHVIGGSAAIRIAALVVFALLGFPLAITYSVPFSVTAELTADSGGGQGLAIGVLNLAIVIPQMIVSLGAGPWDALFGGGNIPAFILASFCALAAGVIATLKLPDLSSSFKSSGFHFG >EOY01998 pep chromosome:Theobroma_cacao_20110822:2:41633509:41637715:1 gene:TCM_011763 transcript:EOY01998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transporter 2 isoform 6 MISVAVIIIGFSADVGYQLGDTEEHCSTFKGTRTKAAFVFVIGFWMLDLANNTVQGPARALLADLSGPDQHNSANAIFCLWMAVGNILGFSAGASGSWYRWFPFLMSRACCEACANLKAAFLAAVEVPLSPPANQPTRLSDSAPLLNDSTQNGFQHSKSKADVSIVANTNRTNAENGYEQVSNSKYADSKDTNVKGEVFNDGPGAVLVNLLTSLRHLPPAMHSVLIVMALSWLSWFPFFLFDTDWMGREVYHGDPNGNASQIKLYDQGVREGAFGLLLNSVVLGVSSFFIDPMCQRMGSRLVWAMSNYTVFACMAVTAIISLVSVREYSQGIEHVIGGSAAIRIAALVVFALLGFPLAITYSVPFSVTAELTADSGGGQGLAIGVLNLAIVIPQMIVSLGAGPWDALFGGGNIPAFILASFCALAAGVIATLKLPDLSSSFKSSGFHFG >EOY01996 pep chromosome:Theobroma_cacao_20110822:2:41631453:41637715:1 gene:TCM_011763 transcript:EOY01996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transporter 2 isoform 6 MHFKIWKETAFYPCWVTNDLCCYVGYQLGDTEEHCSTFKGTRTKAAFVFVIGFWMLDLANNTVQGPARALLADLSGPDQHNSANAIFCLWMAVGNILGFSAGASGSWYRWFPFLMSRACCEACANLKAAFLAAVVFLSFCTVVTLCFAKEVPLSPPANQPTRLSDSAPLLNDSTQNGFQHSKSKADVSIVANTNRTNAENGYEQVSNSKYADSKDTNVKGEVFNDGPGAVLVNLLTSLRHLPPAMHSVLIVMALSWLSWFPFFLFDTDWMGREVYHGDPNGNASQIKLYDQGVREGAFGLLLNSVVLGVSSFFIDPMCQRMGSRLVWAMSNYTVFACMAVTAIISLVSVREYSQGIEHVIGGSAAIRIAALVVFALLGFPLAITYSVPFSVTAELTADSGGGQGLAIGVLNLAIVIPQMIVSLGAGPWDALFGGGNIPAFILASFCALAAGVIATLKLPDLSSSFKSSGFHFG >EOY01993 pep chromosome:Theobroma_cacao_20110822:2:41631022:41637642:1 gene:TCM_011763 transcript:EOY01993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transporter 2 isoform 6 MAGTSDSVSIRVPYRNLKKQSEVEMIDEPHHRIELNSSPNSPSISSSSPSARIPNGNSNVSSPIGVRSKDCSLMTLVLSCTVAAGVQFGWALQLSLLTPYIQTLGIGHAFSSFIWLCGPLTGLVVQPCVGIWSDKCTSKYGRRRPFILAGSLMISVAVIIIGFSADVGYQLGDTEEHCSTFKGTRTKAAFVFVIGFWMLDLANNTVQGPARALLADLSGPDQHNSANAIFCLWMAVGNILGFSAGASGSWYRWFPFLMSRACCEACANLKAAFLAAVVFLSFCTVVTLCFAKEVPLSPPANQPTRLSDSAPLLNDSTQNGFQHSKSKADVSIVANTNRTNAENGYEQVSNSKYADSKDTNVKGEVFNDGPGAVLVNLLTSLRHLPPAMHSVLIVMALSWLSWFPFFLFDTDWMGREVYHGDPNGNASQIKLYDQGVREGAFGLLLNSVVLGVSSFFIDPMCQRMGSRLVWAMSNYTVFACMAVTAIISLVSVREYSQGIEHVIGGSAAIRIAALVVFALLGFPLAITYSVPFSVTAELTADSGGGQGLAIGVLNLAIVIPQMIVSLGAGPWDALFGGGNIPAFILASFCALAAGVIATLKLPDLSSSFKSSGFHFG >EOY01994 pep chromosome:Theobroma_cacao_20110822:2:41631417:41637715:1 gene:TCM_011763 transcript:EOY01994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transporter 2 isoform 6 MAGTSDSVSIRVPYRNLKKQSEVEMIDEPHHRIELNSSPNSPSISSSSPSARIPNGNSNVSSPIGVRSKDCSLMTLVLSCTVAAGVQFGWALQLSLLTPYIQTLGIGHAFSSFIWLCGPLTGLVVQPCVGIWSDKCTSKYGRRRPFILAGSLMISVAVIIIGFSADVGYQLGDTEEHCSTFKGTRTKAAFVFVIGFWMLDLANNTVQGPARALLADLSGPDQHNSANAIFCLWMAVGNILGFSAGASGSWYRWFPFLMSRACCEACANLKAAFLAAVVFLSFCTVVTLCFAKEVPLSPPANQPTRLSDSAPLLNDSTQNGFQHSKSKADVSIVANTNRTNAENGYEQVSNSKYADSKDTNVKGEVFNDGPGAVLVNLLTSLRHLPPAMHSVLIVMALSWLSWFPFFLFDTDWMGREVYHGDPNGNASQIKLYDQGVREGAFGLLLNSVVLGVSSFFIDPMCQRMGSRLVWAMSNYTVFACMAVTAIISLVSVREYSQGIEHVIGGSAAIRIAALVVFALLGFPLAITYSVPFSVTAELTADSGGGQGLAIGVLNLAIVIPQMIVSLGAGPWDALFGGGNIPAFILASFCALAAGVIATLKLPDLSSSFKSSGFHFG >EOY00374 pep chromosome:Theobroma_cacao_20110822:2:31875663:31876957:-1 gene:TCM_010237 transcript:EOY00374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVFKFNVDGALKGNLGVTRVGGVLRDSNGMQVYAAPTWVVSKVIFESDIQNAVKWVLNSCEAPWKLRLENELADSLAKVEAQRTG >EOY01344 pep chromosome:Theobroma_cacao_20110822:2:39130438:39132215:-1 gene:TCM_011269 transcript:EOY01344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin, putative MEWLVMEVLNFQCFLPTIYNFLWFYLKAAKADAEVEKRAKYLAVLALSDHEQLRYWPSTVAAGVVIMASMDGNQHASYHQVIEIHMRTKDNDLPECMMSLDWLVQYVN >EOX99969 pep chromosome:Theobroma_cacao_20110822:2:20075731:20084879:1 gene:TCM_009109 transcript:EOX99969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQMHVVINKQHSDKKGVHMTVPVVCGVGKHMPMIYAMCGVGEHMMMIYAVCGVGVHMTVMVRCGVIVHLMMIL >EOX98430 pep chromosome:Theobroma_cacao_20110822:2:5762390:5768083:1 gene:TCM_007197 transcript:EOX98430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein MGGGNGQKSKMAREKNMEKQKAGAKGSQLESNKKAMTIQCKVCMQTFMCTTSEVKCREHAEAKHPKSDVYMCFPHLKKIDLTCLTSFLLSLTLLSFPSLFLFYFFLTSLFPSAMAPLSLFSLLLSFLLFLSPAISTNSEGNALHALRSRLSDPTNVLQSWDPTLVNPCTWFHVTCDSNNHVIRLDLGNSNISGILGPELGQLQHLQYLELYKNDIRGKIPKELGNLKDLVGMDLYGNKFEGEIPKSFAKLKSLRFLRLNNNKLTGSIPRELTTLLNLKVFDVSNNDLCGTIPVDGPFSMFSLLSFENNKHLNGPELKGLVPYDFGC >EOX99212 pep chromosome:Theobroma_cacao_20110822:2:9092031:9097092:1 gene:TCM_007810 transcript:EOX99212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQFLRRLFSSLVVSILTLISCGAFGAVLLRKLVHTSFSHVTLVGSFGALFFNGGVLLGVFLPPFSTLFKLGLFVMMPLMKSVGGLIHEIACVREPPSTFDLAFYGHHLPLVSLNSMLTAQSKVSRDLLVVVGSCSTLTVL >EOX99325 pep chromosome:Theobroma_cacao_20110822:2:10154647:10159424:1 gene:TCM_007958 transcript:EOX99325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase 10 MRRRGVDFRRPVRRRLSNVVWWTLCGIVVLVFIVILSKENQIESRPTISRRPYRHDRIMEGLNITDEMLSPNSVTRQLSDQISLAKAFVVIAKESNNLQFAWELSAQIRNSQALLSNAATRRTPLTVPESETAIRDMALLLYQAQQLHYDSATMIMRLKAKIQALEEQMSSVSEKSSKYGQIAAEEVPKSLYCLGVRMTTEWFGNPNLQRKLRERKHLEAKLKDNSMYHFCVFSDNILASSVVVNSTALNSKNPDKVVFHLVTDEINYAAMKAWFTMNSFQGVTVEVQKFEDFKWLNASYVPVLKQLQDSETQSYYFSGHNDDGRTPIKFRNPKYLSMLNHLRFYIPEVFPALKKVVFLDDDIVVQKDLSGLFSIDLNGNVNGAVETCMETFHRYHKYLNYSHPLIRAHFDPDACGWAFGMNVFDLVEWRKRNVTGIYHYWQERNVDRTLWKLGTLPPGLLTFYGLTEALDPSWHVLGLGYTNVDSLLIEKGAVLHFNGNSKPWLKIGIEKYKPLWEKYVDYSHPLLQQCNFH >EOX97072 pep chromosome:Theobroma_cacao_20110822:2:1101233:1103430:1 gene:TCM_006179 transcript:EOX97072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate-zim-domain protein 12, putative isoform 2 MEDIAAADAGFEHKKKGWKQANDMSQTTIDLFRKYLLSKPQDHNVGKTNEEESEALKKKLPPRPLIPLLPPKFASDKFSRLPPSFLEQELLPGIRGDEIRTSSTGVVSSKAQLTIFYAGAINVYDNVPTDKAQAIMLLAGESSLSKPIANEKPRTDAKTPLPPPNVESSCKLQADLPIARKISLQHFLAKRRHRIGNNSPYAPAANKDEEKVDINELKLENKNSKDNNHSISLSPLPSRLGYFLPVAANRGCQA >EOX97073 pep chromosome:Theobroma_cacao_20110822:2:1101352:1103406:1 gene:TCM_006179 transcript:EOX97073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate-zim-domain protein 12, putative isoform 2 MEDIAAADAGFEHKKKGWKQANDMSQTTIDLFRKYLLSKPQDHNVGKTNEEESEALKKKLPPRPLIPLLPPKFASDKFSRLPPSFLEQELLPGIRGDEIRTSSTGVVSSKAQLTIFYAGAINVYDNVPTDKAQAIMLLAGESSLSKPIANEKPRTDAKTPLPPPNVESSCKLQAADLPIARKISLQHFLAKRRHRIGNNSPYAPAANKDEEKVDINELKLENKNSKDNNHSISLSPLPSRLGYFLPVAANRGCQA >EOX98938 pep chromosome:Theobroma_cacao_20110822:2:7832293:7847021:1 gene:TCM_007600 transcript:EOX98938 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC7-like guanine nucleotide exchange family protein MSASQTLGGPSRCGRVLGPSLDKIIKNAAWRKHSHLVSSCKSTLDKLETLSDSALSDPTSPLLGLSSSDAEFILNPILLALDSNYAKVAEPALECTFKLFSLGLILGEIDSNISNSILYKIVESVCKVGGIGEESVELAVLRVLLSAVRCPCVLIRGDCLLHVVRTCYNVYLGGLNGTNQICAKSVLAQIMLIVFTRAEEDSIDVSLKTVSVNELLEFTDKNLNEGSSIYYCQNFVSEIMSASEGVPDLKLSQPGPVQELQNGESRVSKGEEKEEVGEEETKEGVESGSSGISSKIREDGFLVFKNLCKLSMKFSSQENPDDQILLRGKTVSLELLKVIMDNGGSVWRSNERFLNAIKQYLCLSLLKNSALSVMSIFQLQCSIFTSLLTKFRSGLKAEIGIFFPMLILRVLENVLQPSFLQKMTVLNLLEKIAGDSQVIIDIFVNYDCDVDSPNIFERIVNGLLKTALGPPPGSTTTLSAVQDITFRHESVKCLVGIIKSMGAWMDQQLKIGDSDLPKSFESDTSAESHSTPTAEDGTVPDCELHPEMNPELSDAATLEQRRAYKIELQKGVSLFNRKPSKGIEFLINTKKVGDAPEEVASFLKNNTTGLNETMIGDYLGEREEFSLRVMHAYVDSFNFKSMDFGAAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVILLNTDAHNSMVKDKMTKSDFIRNNRGIDDGKDLPEEYLGALYDQIVKNEIKMNADSSVPQSKQANSLNKLLGLDGILNLVSWKQTEEKPLGANGLHIRHIQEQFKAKSGKSESVYHAVTDVAILRFMVEVCWGPMLAAFSVTLDQSDDRLATTQCLQGFRHAVHVTAVMGMQTQRDAFVTSVAKFTFLHCAADMKQKNVDAVKAIISIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGAPTDASFLSVSNTETDEKTPKSAGLQSLKKKGTLQNPAVMAVVRGGSYDSTTVGVNNSGLVTPDQINNFISNLNLLDQIGNFELNHVFAHSQRLNSEAIVAFVKALCKVAISELQSPTDPRVFSLTKLVEIAHYNMNRIRLVWSRMWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMEKSNTAEIRELIVRCISQMVLSRVSNVKSGWKSVFMVFTAAAADERKNIVLLAFETMEKIVREYFPHITETETTTFTDCVRCLITFTNSRFNSDVSLNAIAFLRFCAVKLAEGGLVCTDKSWDDGSSVSIANKDDSDVQSFTDIDDHGSYWVPLLTGLSKLTSDSRLAIRKSSLEVLFNILKDHGHLFSRTFWIGVFSSVVLPIFNGVCEKRDMHIKDEQVSPTSKSPHPDGSMWDTETSAVAAQCLVDLVISFYNVLRPQLSNVVSILTGYLRSSVQGPASTGVAAMFRLTGELGSRLSEDEWREIFLALKEAATSTLPGFMKLLRTMDDIKVPDNSESYTNTETCSDHGLTNEDLEDDNLQTVAYVVSRMKSHIAVQLLIIQVISDMYKTHVQFLSAANINIIVEIFSSVASHAQQLNSETILQKKIQKACSILELSDPPMVHFENEAYQNFLNFLQDLVKNNPSVSEGMNLESLLVAVCEKILQIYLNCTDYHYVQQKSADMPVTHWILPLGSAKREELAARTPLLVSALKVLSGLEGDSFRKYTSSFFHLLVDLVRSEHSSGEVQLVLSNIFSSCIGPIIMQ >EOX98402 pep chromosome:Theobroma_cacao_20110822:2:5658273:5663705:1 gene:TCM_007173 transcript:EOX98402 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAR-binding filament-like protein 1 isoform 2 MATPLSSFLSSHLPPRSLSYQSHSISKPFLSFPALRCLPSSNPRKFPKTPLLAFSSGNFDGSPFHDAFGSNPTPSKKSVLTNLIQEIEPFDVSLIQKDVPPTTVDAMKRTISGMLGLLPSDRFQVFIEALWEPLSKLLVSSMMTGYTLRNAEYRLCLERNLGCEGDLENQTSENSTFDLQGMLLDSTKINESLGKNDLSSEFEKTTEDQFEDIEFQGLGGMSLETQKYILHLQSRLTSMKKELHEVKRKNAALQMQQFVGEEKNDLLDYLRSLQPEKVAELSEPTSPELKETIHSVVHGLLATLSPRMHSKVPPFSEDTSPGTVNIGSEDCAELVENTSLQFQPFISLTRDYLARLLFWCLLLGHYLRGLEYRMELMELLSLTSSPGNNGCGDEQVV >EOX98403 pep chromosome:Theobroma_cacao_20110822:2:5658601:5663758:1 gene:TCM_007173 transcript:EOX98403 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAR-binding filament-like protein 1 isoform 2 MATPLSSFLSSHLPPRSLSYQSHSISKPFLSFPALRCLPSSNPRKFPKTPLLAFSSGNFDGSPFHDAFGSNPTPSKKSVLTNLIQEIEPFDVSLIQKDVPPTTVDAMKRTISGMLGLLPSDRFQVFIEALWEPLSKLLVSSMMTGYTLRNAEYRLCLERNLGCEGDLENQTSENSTFDLQGMLLDSTKINESLGKNDLSSEFEKTTEDQFEDIEFQGLGGMSLETQKYILHLQSRLTSMKKELHEVKRKNAALQMQQFVGEEKNDLLDYLRSLQPEKVAELSEPTSPELKETIHSVVHGLLATLSPRMHSKFQPFISLTRDYLARLLFWCLLLGHYLRGLEYRMELMELLSLTSSPGNNGCGDEQVV >EOY01221 pep chromosome:Theobroma_cacao_20110822:2:38367843:38368813:1 gene:TCM_011167 transcript:EOY01221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGISKPPLFSQKYMLSGFHQDSPQGIPLLLSLAIKKKKRERNTSQKLYKKKTYLSGFYVSFYSGFFRSCWDCLGTKQQQQGTRCRSPAYCWLCSVSILYNLCTLSDKKEQRKIKTKIPSRVSDLPSLKSLPLPNCLTLTFASVSLNVYRAICSSLIPKIIYIYFYIL >EOY00648 pep chromosome:Theobroma_cacao_20110822:2:34266903:34270432:-1 gene:TCM_010551 transcript:EOY00648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELLLKSGLLKLRLSPERCWQLISHVIHYSYVVFIIHVTPSVRLLEFYPLSFELDFVNKVLDRSFLQTKFPHSRGEAKIAADSPAKRGRLDAAD >EOX97497 pep chromosome:Theobroma_cacao_20110822:2:2470164:2471851:1 gene:TCM_006496 transcript:EOX97497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit A, putative MATTPNIQACYHARSNSLPSRSHPITSEVDEHLSRLAASESASTSSSLNCKLGILQDLHDCVDKLLRLPLTQQILAQEQQREYVDELLNASLTLLDVCTTAKDALFQVKECTLELQSILRRKRGATTGFANEVRKYLTSKKTAKRAILKALKNLKHKENKQRTVQHETETVFSILREVQAVTLSVLESFSFFTFGPEKETKLSHWSLVSKLLHTKRVGSEGEQQINEMANAEASLLSLATSKSDIMQIENVQNELQKSESCIQDFEEGLEGLFRCLIKVRVNILNILNH >EOX96875 pep chromosome:Theobroma_cacao_20110822:2:323014:327090:-1 gene:TCM_006021 transcript:EOX96875 gene_biotype:protein_coding transcript_biotype:protein_coding description:AICARFT/IMPCHase bienzyme family protein isoform 2 MFGAAASSSTTAATTAANAAHAANTLNTARCAFQRNTFISPSPSSSYHSIVCGRFRFLSLRSSNAVSTKAMADSQTLAVPKHDPQSSAPGNKQALISLSDKKDLAFLGNGLQELGYTIVSTGGTASALENSGVSVTKVEQLTCFPEMLDGRVKTLHPNIHGGILARRDQKHHMEALNEHGIGTFDVVVVNLYPFYDKVTSTGGIEFDDGIENIDIGGPAMIRAAAKNHKDVLVVVDSQDYPALLEYLKGSQVDQQFRRKLAWKAFEHVASYDSAVSEWLWKQTVGDKFPPNLTVPLSLKSLLRYGENPHQNAAFYIDKSLSEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFRNPTCVVVKHTNPCGVASRDDILEAYRLAVKADPVSAFGGIVAFNIEVDEALAKEIREFRSPTDGETRMFYEIVVAPKYTEKGLEILRGKSKTLRILEAKKNEKGKLSLRQVGGGWLAQDSDDLTPQDIQFNIVSEKKPQDSELRDAEFAWLCVKHVKSNAIVVAKDNRMLGMGSGQPNRLESLRIALRKAGDEVKGAALASDAFFPFAWKDAVEE >EOX96876 pep chromosome:Theobroma_cacao_20110822:2:323012:328852:-1 gene:TCM_006021 transcript:EOX96876 gene_biotype:protein_coding transcript_biotype:protein_coding description:AICARFT/IMPCHase bienzyme family protein isoform 2 MFGAAASSSTTAATTAANAAHAANTLNTARCAFQRNTFISPSPSSSYHSIVCGRFRFLSLRSSNAVSTKAMADSQTLAVPKHDPQSSAPGNKQALISLSDKKDLAFLGNGLQELGYTIVSTGGTASALENSGVSVTKVEQLTCFPEMLDGRVKTLHPNIHGGILARRDQKHHMEALNEHGIGTFDVVVVNLYPFYDKVTSTGGIEFDDGIENIDIGGPAMIRAAAKNHKDVLVVVDSQDYPALLEYLKGSQVDQQFRRKLAWKAFEHVASYDSAVSEWLWKQTVGDKFPPNLTVPLSLKSLLRYGENPHQNAAFYIDKSLSEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFRNPTCVVVKHTNPCGVASRDDILEAYRLAVKADPVSAFGGIVAFNIEVDEALAKEIREFRSPTDGETRMFYEIVVAPKYTEKGLEILRGKSKTLRILEAKKNEKGKLSLRQVGGGWLAQDSDDLTPQDIQFNIVSEKKPQDSELRDAEFAWLCVKHVKSNAIVVAKDNRMLGMGSGQPNRLESLRIALRKAGDEVKGAALASDAFFPFAWKDAVEE >EOX96874 pep chromosome:Theobroma_cacao_20110822:2:323012:327884:-1 gene:TCM_006021 transcript:EOX96874 gene_biotype:protein_coding transcript_biotype:protein_coding description:AICARFT/IMPCHase bienzyme family protein isoform 2 MFGAAASSSTTAATTAANAAHAANTLNTARCAFQRNTFISPSPSSSYHSIVCGRFRFLSLRSSNAVSTKAMADSQTLAVPKHDPQSSAPGNKQALISLSDKKDLAFLGNGLQELGYTIVSTGGTASALENSGVSVTKVEQLTCFPEMLDGRVKTLHPNIHGGILARRDQKHHMEALNEHGIGTFDVVVVNLYPFYDKVTSTGGIEFDDGIENIDIGGPAMIRAAAKNHKDVLVVVDSQDYPALLEYLKGSQVDQQFRRKLAWKAFEHVASYDSAVSEWLWKQTVGDKFPPNLTVPLSLKSLLRYGENPHQNAAFYIDKSLSEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFRNPTCVVVKHTNPCGVASRDDILEAYRLAVKADPVSAFGGIVAFNIEVDEALAKEIREFRSPTDGETRMFYEIVVAPKYTEKGLEILRGKSKTLRILEAKKNEKGKLSLRQVGGGWLAQDSDDLTPQDIQFNIVSEKKPQDSELRDAEFAWLCVKHVKSNAIVVAKDNRMLGMGSGQPNRLESLRIALRKAGDEVKGAALASDAFFPFAWKDAVEE >EOX96873 pep chromosome:Theobroma_cacao_20110822:2:322338:327199:-1 gene:TCM_006021 transcript:EOX96873 gene_biotype:protein_coding transcript_biotype:protein_coding description:AICARFT/IMPCHase bienzyme family protein isoform 2 MFGAAASSSTTAATTAANAAHAANTLNTARCAFQRNTFISPSPSSSYHSIVCGRFRFLSLRSSNAVSTKAMADSQTLAVPKHDPQSSAPGNKQALISLSDKKDLAFLGNGLQELGYTIVSTGGTASALENSGVSVTKVEQLTCFPEMLDGRVKTLHPNIHGGILARRDQKHHMEALNEHGIGTFDVVVVNLYPFYDKVTSTGGIEFDDGIENIDIGGPAMIRAAAKNHKDVLVVVDSQDYPALLEYLKGSQVDQQFRRKLAWKAFEHVASYDSAVSEWLWKQTVGDKFPPNLTVPLSLKSLLRYGENPHQNAAFYIDKSLSEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFRNPTCVVVKHTNPCGVASRDDILEAYRLAVKADPVSAFGGIVAFNIEVDEALAKEIREFRSPTDGETRMFYEIVVAPKYTEKGLEILRGKSKTLRILEAKKNEKGKLSLRQVGGGWLAQDSDDLTPQDIQFNIVSEKKPQDSELRDAEFAWLCVKHVKSNAIVVAKDNRMLGMGSGQPNRLESLRIALRKAGDEVKGAALASDAFFPFAWKDAVEEACESGIGVIAEPGGSIRDGDAVDCCNKYGVSLVFTNVRHFRH >EOX98756 pep chromosome:Theobroma_cacao_20110822:2:7037129:7040923:1 gene:TCM_007448 transcript:EOX98756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CPG-binding domain 8, putative isoform 1 MATLTAAQPLTLDSLPFVDLTTLTQSELLSLSLCSPTAFDLHRSDNLVIPSIDRSIFNESAGSRRQTFSRPSPNNHHSSHHHPLRHRLPGLLPSPKPPPPFPPLQDPEALENRSIISSLKVSLKSHPEFHHLDFTSPPSSPRDAMVSYGIRDTMVNFEIKDAMVSLGKRKRGRKPKVQAGTSGEERERGLEIMNKNGVAVDLEALGGLDDPYGEELKRRTEGMAGNEEALFGFMRDLGGQWCSRRRKRRIVDASILGDALPVGWKLLLGLKRREGRASVYCRRYLSPGGRQFVSCKELTAYLQSYFGGLHDAHLTLDKDGDIAQQVHQMVSENVSTVQKEDDRRRSDEHEKEVNLLGIDNLAEVQIHDLFECHKCNMTFDEKDAYLQHLLSFHQRTTRRYRLGSSVGDGVILRDGKFECQFCHKVFHERRRYNGHVGIHVRNYVRGIEDSPGLLTLPRRTEVATKQESAPRISKMDALIEIAQNSILETTTTVPRYELNDGLSPDKLNAASNPEIPASTSDHEMNSDSPLSESGTEDDMTYRSVNKDLCQQNSEPMILSEKTEKIDEASNVVNMDSLVDATISASMDEQNGSISETFVRKDSLTFHADELNKSCSEQQRSSESNLLLLSTGQGLCDVENNVNLVGAGAREHHKPEEVDNNENAELDIGFGNGCGPAEDVAPETIHQTSEENVLQAEGSDSSMSLLQPLNGTLASNAISDKGEDGLCSIDRKHDNVTGFDELRLDEIEQINLSFGGVQESPSLPEVPVDLANNPDIGGAYGSSVQFESEALLNMAGKHQLTTVCVWCGTEFDQEAIDSEIQSDSVGYMCPTCKGKFLGNSMH >EOX98755 pep chromosome:Theobroma_cacao_20110822:2:7036501:7050709:1 gene:TCM_007448 transcript:EOX98755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CPG-binding domain 8, putative isoform 1 MATLTAAQPLTLDSLPFVDLTTLTQSELLSLSLCSPTAFDLHRSDNLVIPSIDRSIFNESAGSRRQTFSRPSPNNHHSSHHHPLRHRLPGLLPSPKPPPPFPPLQDPEALENRSIISSLKVSLKSHPEFHHLDFTSPPSSPRDAMVSYGIRDTMVNFEIKDAMVSLGKRKRGRKPKVQAGTSGEERERGLEIMNKNGVAVDLEALGGLDDPYGEELKRRTEGMAGNEEALFGFMRDLGGQWCSRRRKRRIVDASILGDALPVGWKLLLGLKRREGRASVYCRRYLSPGGRQFVSCKELTAYLQSYFGGLHDAHLTLDKDGDIAQQVHQMVSENHGGTVQKEDDRRRSDEHEKEVNLLGIDNLAEVQIHDLFECHKCNMTFDEKDAYLQHLLSFHQRTTRRYRLGSSVGDGVILRDGKFECQFCHKVFHERRRYNGHVGIHVRNYVRGIEDSPGLLTLPRRTEVATKQESAPRISKMDALIEIAQNSILETTTTVPRYELNDGLSPDKLNAASNPEIPASTSDHEMNSDSPLSESGTEDDMTYRSVNKDLCQQNSEPMILSEKTEKIDEASNVVNMDSLVDATISASMDEQNGSISETFVRKDSLTFHADELNKSCSEQQRSSESNLLLLSTGQGLCDVENNVNLVGAGAREHHKPEEVDNNENAELDIGFGNGCGPAEDVAPETIHQTSEENVLQAEGSDSSMSLLQPLNGTLASNAISDKGEDGLCSIDRKHDNVTGFDELRLDEIEQINLSFGGVQESPSLPEVPVDLANNPDIGGAYGSSVQFESEALLNMAGKHQLTTVCVWCGTEFDQEAIDSEIQSDSVGYMCPTCKGKFLGNSMH >EOX97270 pep chromosome:Theobroma_cacao_20110822:2:1773575:1776919:-1 gene:TCM_006345 transcript:EOX97270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein 5 MGDHNFFVGQEFLDVKAFRNAIKEAAIAQHFELRIVKSDLIRYFAKCATEGCPWRIRAIKLPNAPTFTIRSLEGSHTCGKNAQNGHHQASVDWIVSFIEERLRDNINYKPKDILHDIHKQYGITIPYKQAWRAKERGLAAIYGSSEEGYCLLPAYCEQIKKTNPGSIAEVFTTGADNRFQRLFVSFYASMYGFLNGCLPIVGLGGIQLKSKYLGTLLSATAFDADGGLFPLAFGVVDTENDDSWIWFLSELHKALEMNSEKMPQLTFLSDGQKGIIDAVRRKFSNSAHAFCMHHLTESIGREFKNSRLVHLLWKAAYATTTIAFKEKMAEIEEVSPEAAKWIQQFPPSRWALVYFEGTRYGHLSSNIEEFNRWILEARELPIIQVIEQIHSKLMSEFKDRRIKSSSWFSVLAPAAETQMLEAISRASTYQVLRSDEVEFEVISSERSDIVNIGKHCCSCRDWQLYGIPCSHAVAALMSCRKDVYSFTEKCFTVANYRETYAKEIHLIPQKIEWKKIGEAPIPMDEDAQVVRPPKFRRPPGRPEKKRICVEDLNREKHTVHCSRCNQTGHYKTTCKA >EOY00913 pep chromosome:Theobroma_cacao_20110822:2:35853477:35855261:1 gene:TCM_010826 transcript:EOY00913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein MGALDSLSDYISDLITVHTKRKKRKVMQTVEIKVKMDCDGCERRVKNAVSSMKGAKSVNVNRKQSRVTVTGYVEPNKVLKKVKSTGKRAEFWPYVPYNLVAYPYVAQAYDKKAPSGYVKNAVQALPSPNAADEKFITLFSDENPNACSVM >EOY01697 pep chromosome:Theobroma_cacao_20110822:2:40639753:40642717:-1 gene:TCM_011534 transcript:EOY01697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MWSKSLYSLTHRPFSSSSTTEIPTLYSFLQPTVFALKPNQQPKQAQDSTNSQTKTLTQDQKTTLETTLEKSLLAQNTDEAWKSFKALTTNSIFPNKPLTNSLITYLSSLKDTHNLKRAFASVVFVIEKNPKSLSFETVTSVLRSMKIANTAAPAFALIKCMLKNRYFMPFVLWGDMLVDISRKNGSFVAFLRVFEECCRIAIDEKLDYMKPDLAACNAALECCCYELKSVSDAEKVVETMSVLGVRPDESSFGFLSYLYALKGLEEKIDELKNLMLEFGLSNKKMVYSSLIGGYAKSGKIDLVSATILRSLREGNGNDLDFSDETYCEVVKGYLQNGVIKSLACLIIEAQKLQSSVVEVDKSIGYGIISACINLGLSDKAHSILDEMNAQGGSVGLGVYVPILKAYCKEHRTAEATQLVMDISSLALQLDAEMYDALIEASMTSQDFQSAFTLFRDMREARIPDLKGSYLTIMTGLMENQRPELMAAFLDEVVEDPRVEVKTHDWNSIIHAFCKAGRLEDARRTFRRMTFLQFEPNDQTYLSLINGYVTAEKYFSVLMLWNEVKWKISGDGEKGIKFDHNLVDAFLYALVKGGFFDAVMQVVEKSQEMKIFVDKWRYKQAFMEKHKKLKVSKLRRRSFRKMEALIAFKNWAGLNA >EOX96851 pep chromosome:Theobroma_cacao_20110822:2:245804:249277:-1 gene:TCM_006004 transcript:EOX96851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoate amidohydrolase isoform 1 MDGGCWFENVGGFHGQFAWSSWGNQFECSSSVDWLSFGIISAISALKVLKSNGKLGELKRPIEVIAFSDEEGVRFQSTFLGSAAVAGVLPVTALKISDKSGVTVQDSLRGNSIDIAEESLLQLKYDPASVWGYVELHIEQGPVLEWVGFPLGVVKGIAGQTRMKVSVRGSQGHAGTVPMSMRRDPMAAAAELIVLLESLCKHPRDFLSDSGNYNEFTMESLSTSLVCTVGEISTWPSASNVIPGQVTFTVDLRAIDDVGREAVLYELSNRMYQICDRRSVSCIIERKHDANAVICDPELSSQLKSASYTALNKMMGEIQDEVPVLMSGAGHDAMAMSHLTKVGMLFVRCRGGISHSPEEHVLDDDVWTAGLAILAFLETHM >EOX96850 pep chromosome:Theobroma_cacao_20110822:2:245691:249669:-1 gene:TCM_006004 transcript:EOX96850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoate amidohydrolase isoform 1 MTATRISHFSLSFDLFFSFSFLLSLLSTRSVASSPSAFYLYPADKEGKTSALHSEILRNEAVARLNELGKVSDADGYLERTFMSPASVRAGILIREWMEDAGLRTWVDSMGNLHGRVGGINSSAQALLIGSHLDTVVDAGIFDGSLGIISAISALKVLKSNGKLGELKRPIEVIAFSDEEGVRFQSTFLGSAAVAGVLPVTALKISDKSGVTVQDSLRGNSIDIAEESLLQLKYDPASVWGYVELHIEQGPVLEWVGFPLGVVKGIAGQTRMKVSVRGSQGHAGTVPMSMRRDPMAAAAELIVLLESLCKHPRDFLSDSGNYNEFTMESLSTSLVCTVGEISTWPSASNVIPGQVTFTVDLRAIDDVGREAVLYELSNRMYQICDRRSVSCIIERKHDANAVICDPELSSQLKSASYTALNKMMGEIQDEVPVLMSGAGHDAMAMSHLTKVGMLFVRCRGGISHSPEEHVLDDDVWTAGLAILAFLETHM >EOX99547 pep chromosome:Theobroma_cacao_20110822:2:12134387:12135201:-1 gene:TCM_008250 transcript:EOX99547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 9 MASATVSSIPLTLSALFLLFTSTALAQTAPAAAPPGPLNFTGILEKNGQYTYFLRLLAKSQVGSQIQNQLNSTTEGFTVLAPTDNAFNNLKAGTINSLDEQKKVQLVLFHVLPKYYSLNDLQFVSNPVRTQATGQDGGVYGLNFTGQNNQVNVSTGVVETQINNALTQQKPLAIYQVDKVLLPAEFFEVKSPAEAPSPATRIIIRVQL >EOY01171 pep chromosome:Theobroma_cacao_20110822:2:37999130:38018973:1 gene:TCM_011102 transcript:EOY01171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMGSPEDLVKLEARISALECNQEEFGHDLREMKGQIAKLMKMVKCLNKTNGIHPQEFQSLQIEPCLKRPLKEGQFVLDRTNISLSDPSKDLGLEKVMKGMDELNMQMVELKSSISKMGPLSPSPPLNSDHLVAAMPIEPLKPPYPKWYNPNAKCDYHGGVMGHSIEDCTAFKHKVQALIDVKWFDPAKMVGQSDKSKRLDKIEKRQDEIKKQLERLMEMITSKEDRSFKGSSSLQRQSQLPIKRQVVSLPGVHFSDPTPIPDLDNLGKQEKLKGKSFELKKELQMQQKHDFLDERLKVIERMDIYGSIDAINWCLVLDVIIPPKFKVPDFEKYDGTKCPKAHIAMYCQKMVAHAHDDKLLIHCFQDSLTSAVTKWPVAACRYSILSRISVDSSTTQTVGDPVGELATDQRTVKEKQRVPTSGWERDWSGTDEKGSLGHRSATREEKGAINVCRLLRCFELASGLRINFQKSCVHSVGVSDDVDESFAHGRRKYMMKFSRSYPQSLFSMEEETSSFGGMTLEELTLLINIVGGMNHGSLKWNALHHRLGVFWVPPPDGEFKFNVDGSTRGKPDPIDCGGVFHDTKGFVVGLFFDPLGIQDLNYTMLITILYALCVFSASPFAGIAMYSTNNPKSTPVFGQQAPVTGVLIGTSTNQPYYPTQSGKLPWSTGLCDCCSDVPNCCITCWCPYITFGQVAEIVDQGSSSCGASGALYGLLCSFMGCVCVYSSFYRTRMRSQYLLEDSPCGDYCIHFCCETCALCQEYRELKNRGFDMALGWQGNMVRQQNSGMPMAPVVESGMRR >EOX98736 pep chromosome:Theobroma_cacao_20110822:2:6913341:6915592:-1 gene:TCM_007428 transcript:EOX98736 gene_biotype:protein_coding transcript_biotype:protein_coding description:General regulatory factor 2, OMEGA MATPSPREENVYMAKLAEQAERYEEMVKFMENVVSAVPAPDELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNADHVSVIREYRAKIEAELSEICAGILNLLEEKLVPAAGTGDSKVFYLKMKGDYHRYLAEFKTGDDRKAAAENTLTAYKSAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEDSYKDSTLIMQLLRDNLTLWTSDMQDDGTDEIKEAPKREDEKQQ >EOX97813 pep chromosome:Theobroma_cacao_20110822:2:3561920:3564584:1 gene:TCM_006746 transcript:EOX97813 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MAIRVPRIMHAKQILRQSKLFANQAVSTSTDVPEGFFAVYVGEGQKERFVVPTSVLNQPSFQKLLSIAEEEFGFNHPMGGLTIPCREEVFIDLTSRLSWLTRTRKAMATRFLAKQILRRSVFAASKAASTTGEVVPKGFLAVYVGETQKKRFVVPVTFLNQPSFQALLSKSEEEFGFDHPMGGLTIPCREETFINVTSQLNG >EOX98906 pep chromosome:Theobroma_cacao_20110822:2:7733418:7739271:1 gene:TCM_007573 transcript:EOX98906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 2 isoform 2 MLEDRWSVVRMSFSIPMNLVLLLSTSILCIGVFSEEASKPDVVNVGAIFTFGTINGKVAKVAMKAAENDINSDPSVLGGRKLTISLHDSNYSSFLGIIGALQFMESDKVAIIGPQSSVMAHVLSHLVNELHVPLLSFTALDPSLSPLQYPFFVQTAPNDLFQMIAIAEMVSYFGWTDVIALFSDDDQSRNGIITLGDKLSERRCRISYKGALSPDLTATRSEVSRELAKIQMMESRVIVLHTFSKTGLLVFEVAKSLGMMGKGYVWIASSWLSTVLDSTSPLKSETANSIRGALTLRPHTPDSKRKRNFMSRWNQLSNGSIGFNPYGLYAYDTVWMIARAVKLLLDQGGTISFSNDSRLNAFGGRTLNLSALNTFDGGKQLLDNILETNMTGLTGPIRFNQERSLINPSFDIINAIETGYQHIGYWSNYSGLSIVPPETLYSKKPNRSSSNQQLDSVVWPGGETTKPRGWVFPNNGRELRIGIPKRVSYRDFVLLVNGTDNVKGYCIDVFLAAIRLLPYAVPYRFIPFGDGHKNPSYYELVNKVSAGVFDGVVGDIAIVTNRTKMVDFTQPYIESGLVVVAPVNKISSSPWSFSRPFTPLMWAVTAAFFVIVGAVVWILEHRINDEFRGPPKQQIVTILWFSFSTMFFAHRENTVSSLGRLILIIWLFVVLIINSSYIASLTSILTVQQLSSPIKGIDTLISSNEPIGFQVGSFAENYLIEELNIPKSRLVSLGTPEEYAHALQSRRVAAIIDERPYVDLFLSDHCKFSIRGQEFTKSGWGFAFPKDSPLAIDMSTAILALSENGELQKIHDRWLSRKACSSDSSEAESEQLDLQSFWGLFLICGIACVLALLMYFSLMFRQFSRHCPEEPDSTSPVSSRSARLQTFLSFADGKVEKPKSSSKRKRESISGNGYHKGEESTFRSGRIERDISHERQSGGSWLVK >EOX98907 pep chromosome:Theobroma_cacao_20110822:2:7733418:7739271:1 gene:TCM_007573 transcript:EOX98907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 2 isoform 2 MLEDRWSVVRMSFSIPMNLVLLLSTSILCIGVFSEEASKPDVVNVGAIFTFGTINGKVAKVAMKAAENDINSDPSVLGGRKLTISLHDSNYSSFLGIIGALQFMESDKVAIIGPQSSVMAHVLSHLVNELHVPLLSFTALDPSLSPLQYPFFVQTAPNDLFQMIAIAEMVSYFGWTDVIALFSDDDQSRNGIITLGDKLSERRCRISYKGALSPDLTATRSEVSRELAKIQMMESRVIVLHTFSKTGLLVFEVAKSLGMMGKGYVWIASSWLSTVLDSTSPLKSETANSIRGALTLRPHTPDSKRKRNFMSRWNQLSNGSIGFNPYGLYAYDTVWMIARAVKLLLDQGGTISFSNDSRLNAFGGRTLNLSALNTFDGGKQLLDNILETNMTGLTGPIRFNQERSLINPSFDIINAIETGYQHIGYWSNYSGLSIVPPETLYSKKPNRSSSNQQLDSVVWPGGETTKPRGWVFPNNGRELRIGIPKRVSYRDFVLLVNGTDNVKGYCIDVFLAAIRLLPYAVPYRFIPFGDGHKNPSYYELVNKVFDGVVGDIAIVTNRTKMVDFTQPYIESGLVVVAPVNKISSSPWSFSRPFTPLMWAVTAAFFVIVGAVVWILEHRINDEFRGPPKQQIVTILWFSFSTMFFAHRENTVSSLGRLILIIWLFVVLIINSSYIASLTSILTVQQLSSPIKGIDTLISSNEPIGFQVGSFAENYLIEELNIPKSRLVSLGTPEEYAHALQSRRVAAIIDERPYVDLFLSDHCKFSIRGQEFTKSGWGFAFPKDSPLAIDMSTAILALSENGELQKIHDRWLSRKACSSDSSEAESEQLDLQSFWGLFLICGIACVLALLMYFSLMFRQFSRHCPEEPDSTSPVSSRSARLQTFLSFADGKVEKPKSSSKRKRESISGNGYHKGEESTFRSGRIERDISHERQSGGSWLVK >EOX98908 pep chromosome:Theobroma_cacao_20110822:2:7733429:7736902:1 gene:TCM_007573 transcript:EOX98908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 2 isoform 2 MLEDRWSVVRMSFSIPMNLVLLLSTSILCIGVFSEEASKPDVVNVGAIFTFGTINGKVAKVAMKAAENDINSDPSVLGGRKLTISLHDSNYSSFLGIIGALQFMESDKVAIIGPQSSVMAHVLSHLVNELHVPLLSFTALDPSLSPLQYPFFVQTAPNDLFQMIAIAEMVSYFGWTDVIALFSDDDQSRNGIITLGDKLSERRCRISYKGALSPDLTATRSEVSRELAKIQMMESRVIVLHTFSKTGLLVFEVAKSLGMMGKGYVWIASSWLSTVLDSTSPLKSETANSIRGALTLRPHTPDSKRKRNFMSRWNQLSNGSIGFNPYGLYAYDTVWMIARAVKLLLDQGGTISFSNDSRLNAFGGRTLNLSALNTFDGGKQLLDNILETNMTGLTGPIRFNQERSLINPSFDIINAIETGYQHIGYWSNYSGLSIVPPETLYSKKPNRSSSNQQLDSVVWPGGETTKPRGWVFPNNGRELRIGIPKRVSYRDFVLLVNGTDNVKGYCIDVFLAAIRLLPYAVPYRFIPFGDGHKNPSYYELVNKVSAGVFDGVVGDIAIVTNRTKMVDFTQPYIESGLVVVAPVNKISSSPWSFSRPFTPLMWAVTAAFFVIVGAVVWILEHRINDEFRGPPKQQIVTIL >EOX97763 pep chromosome:Theobroma_cacao_20110822:2:3368193:3368921:-1 gene:TCM_006696 transcript:EOX97763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative MAMAECPKFSSLRSCVNHLSHNHPLRPIEVKAEEELICSGCGLELSGSAYKCSKSNCQFLLHKSRFILEPVLEHKSHPDHPLTLLFPSPQIYRNEWFICNACCDLGTSFDYHCSSCSMLTTNTLLLSSIPPPATRKSRPSSAMRITKLFARNAGSITVGSAIMVPTSVVQSTNAEKF >EOX97576 pep chromosome:Theobroma_cacao_20110822:2:2734340:2734986:1 gene:TCM_006562 transcript:EOX97576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTILVEALRKVFQVYAIVLCHPYAVFEATSVVKVVIVPKHIKVCMNIIFGQRAPKQSCFKKVLSKTPILFITHGDLMDAQIIVFGFTMGG >EOX97632 pep chromosome:Theobroma_cacao_20110822:2:2919607:2922148:1 gene:TCM_006604 transcript:EOX97632 gene_biotype:protein_coding transcript_biotype:protein_coding description:GCIP-interacting family protein isoform 1 MEEERRVHPDCINASNPYHECVEYCFRKIAEAKARRDKEESETVKPERGQPAERAAFQEKDVEHGASEPEENSDDDNDRPVEENIEGDITKLTGRQKKLFELRLKMNEARKANQTAMVAEKKRMEAPPESRGISKQKWLEERKKKIGKLLDANGLDMQKAYMLDTQESAEAKYKKWEKDPAPFGWDVFNQKTLYNAYKKRTKNVDVDLEEYNRMKEGDPEFYREASSLQYGKAPKISEDKIDKMVKELKDREEKRKSFSRRRKFHEEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >EOX97633 pep chromosome:Theobroma_cacao_20110822:2:2919567:2921417:1 gene:TCM_006604 transcript:EOX97633 gene_biotype:protein_coding transcript_biotype:protein_coding description:GCIP-interacting family protein isoform 1 MEEERRVHPDCINASNPYHECVEYCFRKIAEAKARRDKEESETVKPERGQPAERAAFQEKDVEHGASEPEENSDDDNDRPVEENIEGDITKLTGRQKKLFELRLKMNEARKANQTAMVAEKKRMEAPPESRGISKQKWLEERKKKIGKLLDANGLDMQKAYMLDTQESAEAKYKKWEKDPAPFGWDVFNQKTLYNAYKKRTKNVDVDLEEYNRMKEGDPEFYREASSLQYGKVWSIGKK >EOX99100 pep chromosome:Theobroma_cacao_20110822:2:8504186:8517196:-1 gene:TCM_007714 transcript:EOX99100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 2 MARPRKRLKPERAPGSCEGVPQSDGEVMGSKENCASAEDQVEGINGKGQEEEDSEMDGDKAGEVEGKEEGIERKDKKCGDLEGDGEGVALKKPTKRGRKVKQATVSLKRGTMEIVKEENVEGSSDFVAEKKEEVGEKLKENGVQPKGEKKLSFVENLEISNGEDGVSIKEEVDSGADGNGQGNSGAVLKRRLRAVAKKVSYADVQESEDEGFSAKKRSRKGRQKEKVLKSEGQEYGNNENEDIEIPTKKRGRRGRQKRKVSESEDNEGKDVKEGGKVEQGGDLGADDGKKRSRRGAKNDGKKMDKEVLGNGKSLEKLEESLGMNTKPTYSLRASRVRKAREESVPYSKKRNFAKWIAEESLMCHQCQRNDKGRVVRCKLCKRKRYCIPCLTNWYPKMSEDAIADACPVCRDNCTCKACLRMTGLLKKLGKTLKLEFSDDEKVQHSRYLLQALLPYIEQFSQEQMKEKVIESKIQGMLPEQIQLKQAVCLEDERVYCNNCRTSIVDFHRSCSNCNYDLCLTCCHEIRDGHLQGGQKEVIMEYADRGFSYLHGALQCSMSSEVGKSLDSPKETNSKEHKAATSRWKANENGSIPCAPKDLDGCGNGLLELRCMFTENAIFELTEKAEKIAKALNLGNVLEVSNQQCPCYNSMGEVDTGNGKLRKAAFREDATDNYLYCPKAKDIQTGDLKHFQRHWANGEPVIVSDVLENISGLSWEPMVMWRAFRQITHTKHDQQLEVKAIDCLDWSEVMVNIHQFFKGYTDGPFDTKSWPQILKLKDWPPSNEFEKLLPRHHVEFLRCLPFKEYTHSLSGILNMATKLPEKSLKPDMGPKSYIAYGVAEELGRGDSVTRLHCDMSDAVNVLTHTAEVKLTPKELASIDNLKQRHHLQDQWELFGMGSKVGTNMPGDDSFDISICDKQSSDRSGDQEGDVIVQQDCQDGYSSLNSNNMGREFEMEKSGKAKVDQEKCMENGRLYETSRNKIEEVEAVEGGAIWDIFRRQDVPKLQDYLKKHFGEFRYVHCCPVSQVFHPIHDQTFFLTLDHKAKLKKEYGIEPWTFVQKLGEAVFIPAGCPHQVRNIKSCIKVALDFVSPENVGECVRLTEEFRVLPQDHRAREDKLED >EOX99098 pep chromosome:Theobroma_cacao_20110822:2:8503731:8517195:-1 gene:TCM_007714 transcript:EOX99098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 2 MARPRKRLKPERAPGSCEGVPQSDGEVMGSKENCASAEDQVEGINGKGQEEEDSEMDGDKAGEVEGKEEGIERKDKKCGDLEGDGEGVALKKPTKRGRKVKQATVSLKRGTMEIVKEENVEGSSDFVAEKKEEVGEKLKENGVQPKGEKKLSFVENLEISNGEDGVSIKEEVDSGADGNGQGNSGAVLKRRLRAVAKKVSYADVQESEDEGFSAKKRSRKGRQKEKVLKSEGQEYGNNENEDIEIPTKKRGRRGRQKRKVSESEDNEGKDVKEGGKVEQGGDLGADDGKKRSRRGAKNDGKKMDKEVLGNGKSLEKLEESLGMNTKPTYSLRASRVRKAREESVPYSKKRNFAKWIAEESLMCHQCQRNDKGRVVRCKLCKRKRYCIPCLTNWYPKMSEDAIADACPVCRDNCTCKACLRMTGLLKKLGKTLKLEFSDDEKVQHSRYLLQALLPYIEQFSQEQMKEKVIESKIQGMLPEQIQLKQAVCLEDERVYCNNCRTSIVDFHRSCSNCNYDLCLTCCHEIRDGHLQGGQKEVIMEYADRGFSYLHGALQCSMSSEVGKSLDSPKETNSKEHKAATSRWKANENGSIPCAPKDLDGCGNGLLELRCMFTENAIFELTEKAEKIAKALNLGNVLEVSNQQCPCYNSMGEVDTGNGKLRKAAFREDATDNYLYCPKAKDIQTGDLKHFQRHWANGEPVIVSDVLENISGLSWEPMVMWRAFRQITHTKHDQQLEVKAIDCLDWSEVMVNIHQFFKGYTDGPFDTKSWPQILKLKDWPPSNEFEKLLPRHHVEFLRCLPFKEYTHSLSGILNMATKLPEKSLKPDMGPKSYIAYGVAEELGRGDSVTRLHCDMSDAVRLRHTLVGVATFLTVDVSRLQVQFKHLFFIRCGNLSFMLLCKYTEVGSGCGSVNVLTHTAEVKLTPKELASIDNLKQRHHLQDQWELFGMGSKVGTNMPGDDSFDISICDKQSSDRSGDQEGDVIVQQDCQDGYSSLNSNNMGREFEMEKSGKAKVDQEKCMENGRLYETSRNKIEEVEAVEGGAIWDIFRRQDVPKLQDYLKKHFGEFRYVHCCPVSQVFHPIHDQTFFLTLDHKAKLKKEYGIEPWTFVQKLGEAVFIPAGCPHQVRNIKSCIKVALDFVSPENVGECVRLTEEFRVLPQDHRAREDKLEVKKMTVHAICEAVNYLDPNAKIELANKVE >EOX99099 pep chromosome:Theobroma_cacao_20110822:2:8503753:8517186:-1 gene:TCM_007714 transcript:EOX99099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 2 MARPRKRLKPERAPGSCEGVPQSDGEVMGSKENCASAEDQVEGINGKGQEEEDSEMDGDKAGEVEGKEEGIERKDKKCGDLEGDGEGVALKKPTKRGRKVKQATVSLKRGTMEIVKEENVEGSSDFVAEKKEEVGEKLKENGVQPKGEKKLSFVENLEISNGEDGVSIKEEVDSGADGNGQGNSGAVLKRRLRAVAKKVSYADVQESEDEGFSAKKRSRKGRQKEKVLKSEGQEYGNNENEDIEIPTKKRGRRGRQKRKVSESEDNEGKDVKEGGKVEQGGDLGADDGKKRSRRGAKNDGKKMDKEVLGNGKSLEKLEESLGMNTKPTYSLRASRVRKAREESVPYSKKRNFAKWIAEESLMCHQCQRNDKGRVVRCKLCKRKRYCIPCLTNWYPKMSEDAIADACPVCRDNCTCKACLRMTGLLKKLGKTLKLEFSDDEKVQHSRYLLQALLPYIEQFSQEQMKEKVIESKIQGMLPEQIQLKQAVCLEDERVYCNNCRTSIVDFHRSCSNCNYDLCLTCCHEIRDGHLQGGQKEVIMEYADRGFSYLHGALQCSMSSEVGKSLDSPKETNSKEHKAATSRWKANENGSIPCAPKDLDGCGNGLLELRCMFTENAIFELTEKAEKIAKALNLGNVLEVSNQQCPCYNSMGEVDTGNGKLRKAAFREDATDNYLYCPKAKDIQTGDLKHFQRHWANGEPVIVSDVLENISGLSWEPMVMWRAFRQITHTKHDQQLEVKAIDCLDWSEVMVNIHQFFKGYTDGPFDTKSWPQILKLKDWPPSNEFEKLLPRHHVEFLRCLPFKEYTHSLSGILNMATKLPEKSLKPDMGPKSYIAYGVAEELGRGDSVTRLHCDMSDAVNVLTHTAEVKLTPKELASIDNLKQRHHLQDQWELFGMGSKVGTNMPGDDSFDISICDKQSSDRSGDQEGDVIVQQDCQDGYSSLNSNNMGREFEMEKSGKAKVDQEKCMENGRLYETSRNKIEEVEAVEGGAIWDIFRRQDVPKLQDYLKKHFGEFRYVHCCPVSQVFHPIHDQTFFLTLDHKAKLKKEYGIEPWTFVQKLGEAVFIPAGCPHQVRNIKSCIKVALDFVSPENVGECVRLTEEFRVLPQDHRAREDKLEVKKMTVHAICEAVNYLDPNAKIELANKVE >EOY00340 pep chromosome:Theobroma_cacao_20110822:2:31458246:31463228:-1 gene:TCM_010192 transcript:EOY00340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDTDTMEKRPMVNANGDANGHANVDAVVMDGGLEDQIVSRLIDRYEGNGTRVDLNEADNINQAIALAPSSLPATSIKDHPTNSPTTSSLHLALISTPPEATQHCQSTYSPQAPPPPQVEEELHPMSSPTTTFLHPTRVPNPLEALKHDQITLSLEATLPPQVEDARLSKEMRPPPIDKTFKPTEMVLPKHVLEYTSGGSPLWGLPWHKACNTMPALVDIERTSQVDMALREWGVVIM >EOX97800 pep chromosome:Theobroma_cacao_20110822:2:3521955:3522609:-1 gene:TCM_006733 transcript:EOX97800 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MGIQLTGLAHAKQKLQRTLSGRIGNALAATSNVPKGHIAVYVGEGNRKRFVIPITYLNHPLFQDLLNRAEEEFGFNHPMGGLTIPCSEEYFISLTTLLNCS >EOX97507 pep chromosome:Theobroma_cacao_20110822:2:2527207:2529586:1 gene:TCM_006508 transcript:EOX97507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVSSQLLQLLLLCSGHIRRRYRGKCLTIFEAMVWSVYLLADWMATVVLSNILRGEATDQKNDLVLWISFILWHLGSPYNITAYTVEDNELWLRHLFGLLVQVGEAFYICIKFRSPITSLNFVATPIFIAGVLRYLERVLALRSASKAQLRNSLISRRDTNGNAATIRASRVSGVVPSVTLFGEAELSHIILQPLLTHLPFGVDEEAHDDMVFTRSKSADEAFRLVGSELRFFHDPLYTKIPKRRSNKKLSFTLQIFGFLSVVSALVACTLINYQRLAAMRSRKTEYPDIAVTYSLLFGAILLEGYAFYVDALKRARTRNRTLIPQKWLNSRLCEEKSKLDLQDDEWEIDTTDFTGCVFRWHIATSLVYNDDVDQHRRGTGGTVRKIGKSLSDYMMYLAVARPLMLPLGFTEATNRDTYKLFDNFNPTTGDVAKLKDSRKDCTSALLNYNPNMSIGFNPDEEIKFPAKYSEFSNGCISANKLLYLVKSERWDQEEIWEFISEVWMEMLTYAANCCSWKEHAEALRTGGEVLTHVSLLMAHFGLTTKIRRGSNIEGAI >EOX96868 pep chromosome:Theobroma_cacao_20110822:2:311663:317452:1 gene:TCM_006018 transcript:EOX96868 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglucosamine-1-phosphate uridylyltransferase 2 MREPAMVEGIDSNAAAPGALPPPTSPPQALLERLKDYGQEDAFALWDELSPEERHLLVKDIESLDLLRIDRIIRCSLRSQALPVAAIEPVPESCVSSVEERTMEERERWWKMGLKAISEGKLAVLLLSGGQGTRLGSSDPKGCINIGLPSGKSLFQLQAERILCVQRLAAQAMNEGSTGSVTIHWYIMTSPFTDDATRKFFESHKYFGLEADQVTFFQQGTIPCISKDGRYIMETPFKVAKSPDGNGGVYSALKSSRLLEDMATRGVKYIDCYGVDNALVRVADPTFLGYFIDKGVAAAAKVVRKAYPQEKVGVFVRRGKGGPLTVVEYSELDASLASAINQQTGRLRFCWSNVCLHMFTLDFLNQVANGLEKDSIYHLAEKKIPSIHGYTMGLKLEQFIFDAFPYAPSTALFEVLREEEFAPVKNANGSNYDTPDSARLLVLRLHTRWVVAAGGFLTHSVPLYATGVEVSPLCSYAGENLESICRGRTFHAPCEIAF >EOX99445 pep chromosome:Theobroma_cacao_20110822:2:11300258:11314102:-1 gene:TCM_008128 transcript:EOX99445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHPEGRTFNLHASTKKQICRKTNKTKQSAETKPPSIPHTPGKHLLQPLSKHKRFQPPILLMLSDVAFKNSIAPVVLLHVAPIENLHI >EOY01030 pep chromosome:Theobroma_cacao_20110822:2:36960870:36980575:1 gene:TCM_010966 transcript:EOY01030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein 214-A isoform 1 MESVDLHEAHETTTANHSDHGWQKVSYPKRQRKTKPNADPEKPNLTRPNGSLTNGAPNVFRSVEQHSEDRRRRTLEAQRAAALNANAKAKHNRSGLDDDDNDNDDEDSDLEGVKPNGKPAEEEKKVKQKKPKKPKVSVAEAAAKIDPADLLAYLAGLNEEQQEIQMQKFANYYGKAFQEVVAGQFPWMKMFRESTVIKLADIPLLHISDAVNRTSADWISQRSLEALGFFILWSLDIILEDLVAQQVSAKGSKKGVQQTSSKSKVGIFVALAMVLRRKPDALISVLPKLRENTKYQGQDKLPVIVWMIIQASQGDLAVGMYLWAHHLLPVVGGKNCNPQSRDLILQIVEWILSASKARSVLVNGAVRKGERLVPPSSFEILMRVTFPASSARVKATERFEAIYPTLKEVAIAGSHGSKAMRQASQQIFNFAVKAAGESSPELSKEAAGIVIWCLNQNTDCYRQWDKVYLENLEASVAVLKKLSEEWKQHSSKFTTLDALKETVKSFRNKNEKATASGADASRQALFQDADKYCKHISGKLSRGHGCMKTLAFVVVAFAVGAAVVSPNMDAWDWNKLSVVFSS >EOY01031 pep chromosome:Theobroma_cacao_20110822:2:36974981:36979587:1 gene:TCM_010966 transcript:EOY01031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein 214-A isoform 1 MESVDLHEAHETTTANHSDHGWQKVSYPKRQRKTKPNADPEKPNLTRPNGSLTNGAPNVFRSVEQHSEDRRRRTLEAQRAAALNANAKAKHNRSGLDDDDNDNDDEDSDLEGVKPNGKPAEEEKKVKQKKPKKPKVSVAEAAAKIDPADLLAYLAGLNEEQQEIQMQKFANYYGKAFQEVVAGQFPWMKMFRESTVIKLADIPLLHISDAVNRTSADWISQRSLEALGFFILWSLDIILEDLVAQQVSAKGSKKGVQQTSSKSKVGIFVALAMVLRRKPDALISVLPKLRENTKYQGQDKLPVIVWMIIQASQGDLAVGMYLWAHHLLPVVGGKNCNPQSRDLILQIVEWILSASKARSVLVNGAVRKGERLVPPSSFEILMRVTFPASSARVKATERFEAIYPTLKEVAIAGSHGSKAMRQASQQIFNFAVKAAGESMSVLYLKTFLLCSSLSPPSCPIPSSTFF >EOX98062 pep chromosome:Theobroma_cacao_20110822:2:4414869:4425039:1 gene:TCM_006911 transcript:EOX98062 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein METPYPKPISPAETRIGWIGIGIMGAAMASHLLSAGYSLTIYARTPSKASSLQSQGAHITDSPQELARRCDVVFTMVGNPQDVKQIVLETNGVLSTLNPGAVLVDHTTSSPSLAREIYASARKKGCWSIDAPVSGGDIGAREGKLAIFAGGESSVVEWLKPLFDLMGRVTYMGEAGCGQSCKIGNQIMVGANLMGLSEGFVFAEKAGLDLRKYMEAVRGGSAGSMAMELFGGRMIRRDFKPGGFAEYMVKDMGLGVDVVKEDDDGKVVVLPGAALGKQMFSAMVANGDGKLGTQGLITVVERINGK >EOY00144 pep chromosome:Theobroma_cacao_20110822:2:26714643:26719945:-1 gene:TCM_009715 transcript:EOY00144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKSSLSSDTLKNNKGKRPMEDSPSSKIQKKGKTNHPIPVIKKCLDVDNLLFVTIPCYLCWLVNDI >EOX97707 pep chromosome:Theobroma_cacao_20110822:2:3147004:3493857:-1 gene:TCM_006651 transcript:EOX97707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 2 MVNQQFLVVIDSLIVAQEEEKTVEEEHEITHRVYLDVDIDGQHVGRIIIGLYGEVVPKTVENFRALCTGEKGKGASGKALRYKGIPFHRIISGFVIQGGDIVHGDGRGSESIYGGTFPDENFKIKNSHAGVVSMANTGPDSNGSQFFITTVKASWLDGEHVVFGKVIQGMDIVYMIEGGAGTYSGKPRKKVIIADSGEIPKSKWDEEN >EOX97708 pep chromosome:Theobroma_cacao_20110822:2:3147022:3149615:-1 gene:TCM_006651 transcript:EOX97708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 2 MRREISILVQPRCLLLFIVLSIFVIFSLSGTKREEEKTVEEEHEITHRVYLDVDIDGQHVGTTSFSHMLSDETCFFLA >EOX97709 pep chromosome:Theobroma_cacao_20110822:2:3147018:3149522:-1 gene:TCM_006651 transcript:EOX97709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 2 MRREISILVQPRCLLLFIVLSIFVIFSLSGTKREEEKTVEEEHEITHRVYLDVDIDGQHVGRIIIGLYGEVVPKTVENFRALCTGEKGKGASGKALRYKGIPFHRIISGFVIQGGDIVHGDGRGSESIYGGTFPDENFKIKNSHAGVVSMANTGPDSNGSQFFITTVKASWLDGEHVVFGKVIQGMDIVYMIEGGAGTYSGKPRKKVIIADSGEIPKSKWDEEN >EOY00636 pep chromosome:Theobroma_cacao_20110822:2:34099309:34102034:1 gene:TCM_010526 transcript:EOY00636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant intracellular ras group-related LRR 3, putative MDPDCTKFPILSYILSQQDPYTYPSLPSETHQNLFIHFPRLTDPRILSSLSQSIPLTVTQTHFSLRSLGPRPHPSAVSSARSKIAQIQEIQSSSQEAEIYKAVLRLEDMHEDYERQLTEVEENLGRVYGSVVEEMGGDDEVDEEVVRILKEAENSGVVERVELSGRQLRLLPEAFGKLHGLVYLNLSRNQLEIIPDSIAGLKKLEELDVSSNLLQVLPDSIGLLLNLRVLNVSTNKLNALPESIAGCSSLVELDASFNNLTCLPTNIGYGLLNLEKLSIQLNKMRFLPPSICEMRSLRYLDAHFNELHGLPQVIGRMTSLEVLNLSSNFNDFTELPATISDLINLRELDLSNNQIRALPYTFGRLEKLSKLNLDQNPLVVPPMEIAKEGADAVKEFMSKRWLEIITEEQRKITQEANNRQAQTGWLTWGTSLVTNIVSGVSQSVGGYLSGPTTPRDPYLDQQL >EOY00473 pep chromosome:Theobroma_cacao_20110822:2:32856020:32861044:-1 gene:TCM_010354 transcript:EOY00473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein MAESKSGLRKPVFTKVDQLRPGTSGHTLTVKVVSTKMVLQKGRADGPQVRQMRIAECLVGDETGMIIFTARNEQVDLMKEGTTVTLRNAKIDMFKGSMRLAVDKWGRVEVTEPASFTVKEDNNLSLIEYELVNVVEE >EOY00452 pep chromosome:Theobroma_cacao_20110822:2:32459372:32463284:-1 gene:TCM_010314 transcript:EOY00452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein isoform 1 MEMGCMWMRRVRLTANSLFLAMASSSSSSSPATALPYTSRHLLFSFPSLKSPHLISFASSDRRSISISTPCCSSASPLLSLHDNDNVPDFGGGESFENLEKVSKVLLKGMNYAELQEWVQSHGFRPGQALMLWKCLYGDNIWAHNIDELEGLNKDFKKMLSEHAEFRALTLQDILTASDGTRKILFALDDGLVIETVVIPCDRGRTTVCVSSQVGCAMNCQFCYTGRMGLRRHLTAAEIVEQAVYARRLLSGDVGSITNVVFMGMGEPLHNIENVIKAADIMVHEQGLHFSPRKVTVSTSGLVPQLKRFLHESKCALAVSLNATTDEVRNWIMPINRKYKLGLLLEALREELEFKHNYKVLFEYVMLAGVNDSIEDAKRLIDLVKGIPCKINLISFNPHRGSQFRPSSEEKMIEFRNALAKAGCTVFLRLSRGDDQMAACGQLGEPGAIQAPLLRVPEQFQMALNTPV >EOY00451 pep chromosome:Theobroma_cacao_20110822:2:32458830:32463142:-1 gene:TCM_010314 transcript:EOY00451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein isoform 1 MEMGCMWMRRVRLTANSLFLAMASSSSSSSPATALPYTSRHLLFSFPSLKSPHLISFASSDRRSISISTPCCSSASPLLSLHDNDNVPDFGGGESFENLEKVSKVLLKGMNYAELQEWVQSHGFRPGQALMLWKCLYGDNIWAHNIDELEGLNKDFKKMLSEHAEFRALTLQDILTASDGTRKILFALDDGLVIETVVIPCDRGRTTVCVSSQVGCAMNCQFCYTGRMGLRRHLTAAEIVEQAVYARRLLSGDVGSITNVVFMGMGEPLHNIENVIKAADIMVHEQGLHFSPRKVTVSTSGLVPQLKRFLHESKCALAVSLNATTDEVRNWIMPINRKYKLGLLLEALREELEFKHNYKVLFEYVMLAGVNDSIEDAKRLIDLVKGIPCKINLISFNPHRGSQFRPSSEEKMIEFRNALAKAGCTVFLRLSRGDDQMAACGQLGEPGAIQAPLLRVPEQFQMALNTPV >EOY01182 pep chromosome:Theobroma_cacao_20110822:2:38108353:38109328:1 gene:TCM_011123 transcript:EOY01182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCNALQTFVASKWVVSHKVIVDNDFENFMKWIKDPCSVPWKLMPPIMLKVDFLKSQIKEINFNKIPRSANEIVNFLMKSGI >EOX99941 pep chromosome:Theobroma_cacao_20110822:2:19023370:19024854:1 gene:TCM_009007 transcript:EOX99941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPFEALYGRRCRSPIGWLEVGERKLLGPELVQDATEKIHMIRQRMLTAQSRQKSYADNRRRDLEFQVGDHVFLKVSPTKGVMRFGKKGKLSPRYIGPFQILEKVGAVAYRLALPPDLSNIHPVFHVSMLRKYNPDPTHVLRLKSRNNEIPVHFGV >EOX98120 pep chromosome:Theobroma_cacao_20110822:2:4625821:4626670:1 gene:TCM_006954 transcript:EOX98120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELCSKILKRTDVEVRLSFPTATMRKHFRLDQEGGKSVDFKVTDKYAKVWPFRLYTRNNGHPKPVLTKGWINFVKKNKLRVGDKVIFFVHENGDQLGIRVQRNIKLLGQEHWAEYM >EOY01105 pep chromosome:Theobroma_cacao_20110822:2:37677640:37679046:-1 gene:TCM_011056 transcript:EOY01105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MPFYGCEPDIATYNSLIDGYFKCGDVVKACLVFDDIRAGKCKPDLVTFNALFNGFCKMRRNKEVFVYMGYMWKCCLPNVITYSTWIDMFCKLGDLKMGFKVFRDMKKDGVSLNSIVFTCLIDGCCKVGDFELAFELYWEMKQTKLALNVVTYTALIDGLCKKGMLERAECLFLRMLKDKVQPNSVVYTSIIDGHFKKRNVSDALKYLAKMCVQGIKFDMALYGVIISGLSNCGRFDKASKFMENMVKSGLLPDKLLLTTMMDAHFKAGNVKAALDVYGELLARGFDPDVVVLSSLMDGLCKRGCLHEAESYFCREKANEISYTVLIDGLAKKGDFTEVNRVFREMLEAGFTPDKYVYTSWIAGLCEQGNLIEAFRLKNRMVQEGFQPDLLTYSSLIFGLANKGLMIEAKQIFQDMLKRKITPDAAVYDIMIRGYLQQNNEAAVSELLEEMRKRGFSTAACKDGEENKF >EOY01106 pep chromosome:Theobroma_cacao_20110822:2:37676661:37679141:-1 gene:TCM_011056 transcript:EOY01106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MPFYGCEPDIATYNSLIDGYFKCGDVVKACLVFDDIRAGKCKPDLVTFNALFNGFCKMRRNKEVFVYMGYMWKCCLPNVITYSTWIDMFCKLGDLKMGFKVFRDMKKDGVSLNSIVFTCLIDGCCKVGDFELAFELYWEMKQTKLALNVVTYTALIDGLCKKGMLERAECLFLRMLKDKVQPNSVVYTSIIDGHFKKRNVSDALKYLAKMCVQGIKFDMALYGVIISGLSNCGRFDKASKFMENMVKSGLLPDKLLLTTMMDAHFKAGNVKAALDVYGELLARGFDPDVVVLSSLMDGLCKRGCLHEAESYFCREKANEISYTVLIDGLAKKGDFTEVNRVFREMLEAGFTPDKYVYTSWIAGLCEQGNLIEAFRLKNRMVQEGFQPDLLTYSSLIFGLANKGLMIEAKQIFQDMLKRKITPDAAVYDIMIRGYLQQNNEAAVSELLEEMRKRGFSTAACKDGEENKF >EOY01104 pep chromosome:Theobroma_cacao_20110822:2:37676248:37679572:-1 gene:TCM_011056 transcript:EOY01104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MIKNTFKFFSHLRKKKKKASKNHPDPISYNGLSVPDSNFGTLLQFCSQLKKTSKYPDPFFFNKLLHRLTASNCGTLSLKLLSFFLSKGYTPHPSSFNSSISFLCKLGRSDYAQKLVNSMPFYGCEPDIATYNSLIDGYFKCGDVVKACLVFDDIRAGKCKPDLVTFNALFNGFCKMRRNKEVFVYMGYMWKCCLPNVITYSTWIDMFCKLGDLKMGFKVFRDMKKDGVSLNSIVFTCLIDGCCKVGDFELAFELYWEMKQTKLALNVVTYTALIDGLCKKGMLERAECLFLRMLKDKVQPNSVVYTSIIDGHFKKRNVSDALKYLAKMCVQGIKFDMALYGVIISGLSNCGRFDKASKFMENMVKSGLLPDKLLLTTMMDAHFKAGNVKAALDVYGELLARGFDPDVVVLSSLMDGLCKRGCLHEAESYFCREKANEISYTVLIDGLAKKGDFTEVNRVFREMLEAGFTPDKYVYTSWIAGLCEQGNLIEAFRLKNRMVQEGFQPDLLTYSSLIFGLANKGLMIEAKQIFQDMLKRKITPDAAVYDIMIRGYLQQNNEAAVSELLEEMRKRVDLSLQKDIPPFCPDVSALEPTGEGT >EOY00684 pep chromosome:Theobroma_cacao_20110822:2:34472305:34474509:1 gene:TCM_010608 transcript:EOY00684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pedicel, carpel, stamen, petal differentiation and expansion stage, group 2-like protein MAQQHVETNPHFIGPDEELSPELRDHLPNALLRSRRDHDQRPAPRGPREMQPPQDERLHPRHLQDQGPRPLGPSPPAQHHEDQRPQPQHRQGQQPEPLGMWAPRARVQSPAPKKQKRSRTRKEVVKQPQVQDQHSRASLLQPQGESTQGSMTPKPEGQQPYERRPHSSLFLPRVRRTKPVTWFAAAFCIIFWLAVIIGGLIVLVVYLVFRPRSPRFDVTSVTLNAAYLDMGYLLNADLTVLANFTNPNKKVRVDFSSMYLDLYFENTRIATQYVEPFSAARGQSMFANIHMVTSQVRLSVKETLLLQKQIQNNRVIFTIKGEFRTRSNFGSVWSYSYWLHGRCSIMVSSPPTGVLRDKRCRTKQ >EOX98425 pep chromosome:Theobroma_cacao_20110822:2:5726656:5729422:-1 gene:TCM_007187 transcript:EOX98425 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 3 MRFDQGTSPFVLSNALNADAVSSSSRPSLTSNERLPGAVLLARARLLERLRGVSVSTNRRSSRAPPNAYRREYLLGDDFRLVDAGDWGSEISTGLSSGSSPFTDLTSQTERFQLLEETNKKPPGLTQEALDGLPLEVFSSGEVDVDKKLSRDSRDCSICLESFGDDDVLTRLPCGHRFHLACLDPWVRTCGDCPYCRRSILKK >EOX98424 pep chromosome:Theobroma_cacao_20110822:2:5726863:5730406:-1 gene:TCM_007187 transcript:EOX98424 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 3 MTSASELFYTRRSRVGRPDSDPMIGSSIDRNYNRRHHLGHHNHRHDVDGCDHLRRSPHVRQFSSRASSQSERSYMRFDQGTSPFVLSNALNADAVSSSSRPSLTSNERLPGAVLLARARLLERLRGVSVSTNRLVDAGDWGSEISTGLSSGSSPFTDLTSQTERFQLLEETNKKPPGLTQEALDGLPLEVFSSGEVDVDKKLSRDSRDCSICLESFGDDDVLTRLPCGHRFHLACLDPWVRTCGDCPYCRRSILKK >EOX98422 pep chromosome:Theobroma_cacao_20110822:2:5726656:5730265:-1 gene:TCM_007187 transcript:EOX98422 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 3 MTSASELFYTRRSRVGRPDSDPMIGSSIDRNYNRRHHLGHHNHRHDVDGCDHLRRSPHVRQFSSRASSQSERSYMRFDQGTSPFVLSNALNADAVSSSSRPSLTSNERLPGAVLLARARLLERLRGVSVSTNRRSSRAPPNAYRREYLLGDDFRLVDAGDWGSEISTGLSSGSSPFTDLTSQTERFQLLEETNKKPPGLTQEALDGLPLEVFSSGEVDVDKKLSRDSRDCSICLESFGDDDVLTRLPCGHRFHLACLDPWVRTCGDCPYCRRSILKK >EOX98423 pep chromosome:Theobroma_cacao_20110822:2:5726737:5730432:-1 gene:TCM_007187 transcript:EOX98423 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 3 MTSASELFYTRRSRVGRPDSDPMIGSSIDRNYNRRHHLGHHNHRHDVDGCDHLRRSPHVRQFSSRASSQSQERSYMRFDQGTSPFVLSNALNADAVSSSSRPSLTSNERLPGAVLLARARLLERLRGVSVSTNRLVDAGDWGSEISTGLSSGSSPFTDLTSQTERFQLLEETNKKPPGLTQEALDGLPLEVFSSGEVDVDKKLSRDSRDCSICLESFGDDDVLTRLPCGHRFHLACLDPWVRTCGDCPYCRRSILKK >EOX98896 pep chromosome:Theobroma_cacao_20110822:2:7686428:7688620:-1 gene:TCM_007565 transcript:EOX98896 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA--methyltransferase non-catalytic subunit TRM6MTase subunit TRM6 isoform 1 ATPASSPYPSVSEWWKTVSFYTDQTGANVSRTVVIAKEHTDARYSHGSHLTRLSVQQVIATAVKTAPFPVDHRNGIYLILTSHDVTVQDFCRAVCGFHYFTFPSMVGYTLPYAWIGNSGKQCPEVCAYPFAVPGYMGGGGPGALAPPNGDVGVDGMISVIAHELAELATNPLVNAWYAGEDPTAPTEIGDLCEGLYGTGGGGGYIGQVMRDGKGRTFNMNGNKGRKYLVQWVWSPVLKACAGPNALD >EOX98897 pep chromosome:Theobroma_cacao_20110822:2:7686482:7690087:-1 gene:TCM_007565 transcript:EOX98897 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA--methyltransferase non-catalytic subunit TRM6MTase subunit TRM6 isoform 1 MEERKKPSKMSLHYFLCFFLLLTLSATSSVAATTTTKPKEQTLNTQKAEYFNPKLPPRTLSTSKKFEGSSNLVDLRYHMGPVLSSSPINIYLIWYGRWSLSQKLLIKDFITSISPSATPASSPYPSVSEWWKTVSFYTDQTGANVSRTVVIAKEHTDARYSHGSHLTRLSVQQVIATAVKTAPFPVDHRNGIYLILTSHDVTVQDFCRAVCGFHYFTFPSMVGYTLPYAWIGNSGKQCPEVCAYPFAVPGYMGGGGPGALAPPNGDVGVDGMISVIAHELAELATNPLVNAWYAGEDPTAPTEIGDLCEGLYGTGGGGGYIGQVMRDGKGRTFNMNGNKGRKYLVQWVWSPVLKACAGPNALD >EOX98895 pep chromosome:Theobroma_cacao_20110822:2:7686485:7689091:-1 gene:TCM_007565 transcript:EOX98895 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA--methyltransferase non-catalytic subunit TRM6MTase subunit TRM6 isoform 1 MEERKKPSKMSLHYFLCFFLLLTLSATSSVAATTTTKPKEQTLNTQKAEYFNPKLPPRTLSTSKKFEGSSNLVDLRYHMGPVLSSSPINIYLIWYGRWSLSQKLLIKDFITSISPSATPASSPYPSVSEWWKTVSFYTDQTGANVSRTVVIAKEHTDARYSHGSHLTRLSVQQVIATAVKTAPFPVDHRNGIYLILTSHDVTVQDFCRAVCGFHYFTFPSMVGYTLPYAWIGNSGKQCPEVCAYPFAVPGYMGGGGPGALAPPNGDVGVDGMISVIAHELAELATNPLVNAWYAGEDPTAPTEIGDLCEGLYGTGGGGGYIGQVMRDGKGRTFNMNGNKGRKYLVQWVWSPVLKACAGPNALD >EOX99101 pep chromosome:Theobroma_cacao_20110822:2:8517208:8522372:-1 gene:TCM_007715 transcript:EOX99101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinyl-CoA ligase, alpha subunit MARQASRLIKSMSSKISPRNPQISPLSPFSSTSSSSSSSSLTLSRSFSAAPAPQPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNTVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVRVKAALNQQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCVTKFLDDPQTEGIVIIGEIGGTAEEDAAALIKESGTKKPIVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLREAGVTVVESPAKIGVAMLNVFKERGLVN >EOX98663 pep chromosome:Theobroma_cacao_20110822:2:6640633:6644872:1 gene:TCM_007370 transcript:EOX98663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSPAEVQSKTAKDDHLYAFSPLPQEDSESSLPINMPPTEGGYPYSFSPPGLHLADEISSEFYPSPANEPENIYAKYAKLAFLTLVISYIILTFSLPPPAVVLHPNSFSISNFTIFNSRLAANWEADFTFGCQNCVSNLTSGNDRECNTRIYYDYIKGYIFYNEKEDVLSTVSIEPFSLGAKEHKRVHVKFGNTGWEGNQPIVRKEVVDAIGKKVERGMLHLSMRLDVWVTYKKWGSFWKNNLLGMPDSYCWNLLVGVIPETGMGKLILSRPMKCYQ >EOX97733 pep chromosome:Theobroma_cacao_20110822:2:3245667:3246670:-1 gene:TCM_006668 transcript:EOX97733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein, putative MEKLAALMMIFLLAMPMGHCSIEGPEEVDEWFHKLPHAKEKVTKLHFFFHDRFAGKNISAVRVAHASSTAKSPTAFGLVFIMDDPLTEGLKPTTKELGRAQGLYAFSGREELSLLMAMNLVFTSGEYNGSTLTVLGRNPAVPHREMPIIGGSGVFRLARGIASAQLREFNTTTGNALIEYNVVVIHY >EOY01083 pep chromosome:Theobroma_cacao_20110822:2:37489059:37493120:-1 gene:TCM_011030 transcript:EOY01083 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein, putative MAAGCCYQCKAKGKAKKLFISRYVDDRDGEGKRKNLLGQPKTMGRTLGFKRLHASKTQQKTSFAVVPPWVIVMYNTIFFRNCVSHPNEKKNELDRFCIDCLQPFCSHCLSAHAIHKHVKIRRYIYSDVINRQDLCKLFNCSGIQSYHTNKAKVLFLKQRTHHQQQQQQQQQSSSRDYSCIICDKNLQDNSLYCSIACKVLDIYGQEHREETSNNLHSKLLRCLKDEGEAYVRLPSTKKRRLRQTRKGVPLRAPLF >EOX99364 pep chromosome:Theobroma_cacao_20110822:2:10510145:10515091:1 gene:TCM_008016 transcript:EOX99364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa family protein MQMCLEYQFFFKLFSVGVVLPPKHQFDKSLKHRQGKHSINWFLILPFAGRRCIILIDDTHLCTLFVELISVIGSNFYNQNRENTLANKLLTNFSGSTKAPPSMATLNSMASHKPSALFEPIIKSHKYPALSPIPLSARLLYKTNPTHLLLWIKKRRNWIIKTVTDDKELAPVETSHSNEAKKPLFPNGSNILEAFSSSSERGGGDDDFEKLSSRAINASIVLGFGTLAVSKLLTIDHDYWHGWTLFEVFRYVPEHNWIAYEQALKANPVLAKMTISGIVYSIGDWIAQCYEGKPLFDFDRTRMFRSGLVGFTLHGSLSHYYYQFCEALFPFQDWWVVPAKVVFDQTVWAAIWNSIYYVVLGFLRFESSANIYRELKATFWPMLTAGWKLWPFAHMITYGVIPVEQRLLWVDCVELIWVTILSTYSNEKSEARILDARLEEDSSSSSNPPKG >EOY01311 pep chromosome:Theobroma_cacao_20110822:2:38955644:38959878:-1 gene:TCM_011244 transcript:EOY01311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 4 MTPPNPGLESENDSEASSQVASNISAQEATPDASKDSTTTASCLTNPIKAQPETGSVSLDLTLHFRATDAVMKGTGDTRSEIATHSLSATIPRVFSCNYCRRKFYSSQALGGHQNAHKRERTMAKRAMRMGIFSDRYTSLASLPLHGSTFRSLGIKAHSAMHQGIISSQRPIDTRAGARFDQGYFGMPMFMEDDDVDLFWPGSFRQVNEGVSGNLALEFAPNSTQSSNMGFVAMASPPRTDSSSPDLTLKL >EOX97675 pep chromosome:Theobroma_cacao_20110822:2:3016609:3020423:-1 gene:TCM_006630 transcript:EOX97675 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAC-like 3 MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFIDHPGAVPITTAQGEELRKLIGAPVYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKKRKAQKVCSIL >EOY01949 pep chromosome:Theobroma_cacao_20110822:2:41455287:41456232:-1 gene:TCM_011727 transcript:EOY01949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingoid base hydroxylase 1 MVVLDTYQYFLHRYMHHNKFLYCHIHSQHHRLVVPYAFGAFYSHPLEAFTFDTVGALLAFVVSGMSPRASIFFFSFGTIKSVDDHCGILLPGNPFHCFFINSTAYHDLHHQLYGGEYNFSQPFLVMWDRILGTYMPYSLEKREEGGFQLRPDKNCKDN >EOX97119 pep chromosome:Theobroma_cacao_20110822:2:1267315:1269956:1 gene:TCM_006220 transcript:EOX97119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrovirus-related like polyprotein MDSNKLQDNEIQSSLLHFWNVVLITDKGEFVALLVDVDATGYRQLIGKLLYLTFTRLDISYAVQILSQFMDAPTENHVDAAHRVLKYLKTSPRQGILMSSDSNLKLIAYCDNDWLESKKQQNVARSSPEVEYKSMASTTCEIIWIRNLLIDLGFPQDTLGEYQPDKELIVEDQERKSRLG >EOX98437 pep chromosome:Theobroma_cacao_20110822:2:5777843:5779025:1 gene:TCM_007203 transcript:EOX98437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDCTLEELCCGGLEKIKLIRDVISDEGMIVQEEGGATNNKYEAGMKKTGTKATFEGKGGSHRLLPFSYSGYEKVIQGQGMSNAKGAKRGDLRITFLVNFPTELTDQQGFEAYIGRLFLIDH >EOX97495 pep chromosome:Theobroma_cacao_20110822:2:2466158:2467427:1 gene:TCM_006494 transcript:EOX97495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit A, putative MPGIAQQIARNIQQSRPLPSEDKMATRTFHHTRSNSFPLPSRPSPLVSQIDVHLNRLRASDATSTSSSISRKLNGFRDLYDCVDKLLQLPFSQQALAQEQHKELVDELLDGSLWLLDLCSTAKDVVLQTKESTNELQSILRRRTGEVELVSVVGKYLTSRKEVKKTIHKALGNLKGMQSKQIFSLSEDYETKAIVSMLREVEAVTSSMFEYLLSLISGPKPGSWSLVSKLLHHKRIACKGAAREANEFEKVDAALKSLAGQKMSKSENTMNVEMQNQLKDLELCIQDLEDGLECLFRCMIKARVSLLNILTP >EOY01669 pep chromosome:Theobroma_cacao_20110822:2:40553049:40555270:-1 gene:TCM_011513 transcript:EOY01669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coenzyme Q biosynthesis Coq4 family protein / ubiquinone biosynthesis Coq4 family protein isoform 2 MIERARIKLSPWQQAAVAVGSAVGALFNPRRADLIAALGETTGKPAFERVLERMKRSPEGRAVLHERPRVISAKVGQAWDLPENTFGAAYARFMGSRNFSPDDRPPVRFMDTDELAYVAMRAREVHDFWHTLFGLPTNLIGESALKVIEFEQMYLPMCLLSVVGGTARFNEKQRTLFFQHYFPWAVKAGMQCTDLIITIFHM >EOY01668 pep chromosome:Theobroma_cacao_20110822:2:40553182:40555174:-1 gene:TCM_011513 transcript:EOY01668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coenzyme Q biosynthesis Coq4 family protein / ubiquinone biosynthesis Coq4 family protein isoform 2 MIERARIKLSPWQQAAVAVGSAVGALFNPRRADLIAALGETTGKPAFERVLERMKRSPEGRAVLHERPRVISAKVGQAWDLPENTFGAAYARFMGSRNFSPDDRPPVRFMDTDELAYVAMRAREVHDFWHTLFGLPTNLIGESALKVIEFEQMYLPMCLLSVVGGTARFNEKQRTLFFQHYFPWAVKAGMQCTDLMCVYYEQHFHEDLEDVRRKWGIIPAPTAPK >EOX99427 pep chromosome:Theobroma_cacao_20110822:2:11132300:11132972:1 gene:TCM_008106 transcript:EOX99427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHAIKHATASAPLSDNEVVFHILNGLGSNFKEIGAAICAHEFAISFEELHDKLVDYEAFFKRDAKSNTTPIIANYARKPTTNNNRNDKNRQENNNGGTSQPCQRMVLLKSKWLQAKSANPKQSCLPIL >EOX98195 pep chromosome:Theobroma_cacao_20110822:2:4822432:4824238:1 gene:TCM_007007 transcript:EOX98195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450-like protein MAVSGYLGCMILAIVILRFLYRFIHNNGLPRNWPFVGMTPTLLLNFHRAHEKVAEVLKRSNGTFLYRGVWFTNTSFLATCDPENVRYILSTNNSAYLKGSEWLKQFDIFGEALFNSDGEAWKRHRKVFHAFLNHQQFHQSLAKILEDRIEQGLLKVLEYVSRRELVVNLQDLFVRHAFDIGCIMATGCNPGVLSLEFPENRFHKAMSDTLEAAFYRYVMPDSLWKLQSWLQIGKEKRRSEAWKAFDELLAEYISIQREKSNKAMASNTDEGDNFNFLKCYLTGHKVTGPTPRDSLIRDNVIHFLFASDDTYSLVLTWFFYLLAKNPMAENKIREEVKKNLSMKEAGGLQIPSCFNELNKLTYLHAAFCETLRLCPPIPFEFRTCAQQDILPSGHRVDRNMRIIIGIHAMGRMEFLWGEDCHEFKPERWITEEGKLKREAPSKFSAFLAGPRICPGKELSFFLMKATATAVLHNYKVHVTECQNIAPKNSAFYQMKNGLMVRIKNRWN >EOX99190 pep chromosome:Theobroma_cacao_20110822:2:8932068:8934976:1 gene:TCM_007787 transcript:EOX99190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MKNESFLDFVRTSLRKCHVENVNQLVVAGRRACRLLLGQLSLKLIEDIFLRYIDQPDDFKGPVDNEEFLYQSLKHLKYEGEMVDKIGSMEVLERKLRDLNRQKYEAQDKLRSYNPDMTKILSIPEAHLHQQFVMDAIRRIEKLKKAKLLEKEISPSKPHNVEIPIIEVKDSDLTTEESVNSKRNRNQSADDQKEETRLPTGPHLSISYLKTQKNWNLQNAEDITSSEGHMC >EOX99192 pep chromosome:Theobroma_cacao_20110822:2:8932553:8935299:1 gene:TCM_007787 transcript:EOX99192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGRKRLLMKRIDNPCSRQITYSKRREGILKKANELSALCDTDVGLLMFSPTGRLTSYAHKGRIEDIFLRYIDQPDDFKGPVDNEEFLYQSLKHLKYEGEMVDKIGSMEVLERKLRDLNRQKYEAQDKLRSYNPDMTKILSIPEAHLHQQFVMDAIRRIEKLKKAKLLEKEISPSKPHNVEIPIIEVKDSDLTTEESVNSKRNRNQSADDQKEETRLPTGPHLSISYLKTQKNWNLQNAEDITSSEGHMC >EOX99189 pep chromosome:Theobroma_cacao_20110822:2:8932447:8934770:1 gene:TCM_007787 transcript:EOX99189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MKNESFLDFVRTSLRKCHVENVNQLVVAGRRACRLLLGQLSLKLLQQVLFLLHSLIQFLLTMGRKRLLMKRIDNPCSRQITYSKRREGILKKANELSALCDTDVGLLMFSPTGRLTSYAHKGRIEDIFLRYIDQPDDFKGPVDNEEFLYQSLKHLKYEGEMVDKIGSMEVLERKLRDLNRQKYEAQDKLRSYNPDMTKILSIPEAHLHQQFVMDAIRRIEKLKKAKLLEKEISPSKPHNVEIPIIEVKDSDLTTEESVNSKRNRNQSADDQKEETRLPTGPHLSISYLKTQKNWNLQNAEDITSSEGHMC >EOX99191 pep chromosome:Theobroma_cacao_20110822:2:8931957:8935299:1 gene:TCM_007787 transcript:EOX99191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MNESFLDFVRTSLRKCHVENVNQLVVAGRRACRLLLGQLSLKLIEDIFLRYIDQPDDFKGPVDNEEFLYQSLKHLKYEGEMVDKIGSMEVLERKLRDLNRQKYEAQDKLRSYNPDMTKILSIPEAHLHQQFVMDAIRRIEKLKKAKLLEKEISPSKPHNVEIPIIEVKDSDLTTEESVNSKRNRNQSADDQKEETRLPTGPHLSISYLKTQKNWNLQNAEDITSSEGHMC >EOY01829 pep chromosome:Theobroma_cacao_20110822:2:41068876:41077160:1 gene:TCM_011636 transcript:EOY01829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec7 domain-containing protein MGRLKLQSGIKAIEEEPEDCDTTCSSKATLACMINSEVGAVLAVMRRNVRWGGRYMSGDDQLEHSLIQSLKALRKQIFLWQLQWHTINPAVYLQPFLDVIRSDETGAPITGVALSSLHKILTLDVIDQNTVNVEDAMRLVVDAVTSCRFEVTDPASEEVVLMKILQVLLACMKSKASVMLSNQHVCTIVNTCFRIVHQAEKKGELLQRIARHTMHELVRCIFSHLSNVDNTEHALVNRTGTAKQELGGIDNDYAFGAKKVENGNGTEYDGQASSGSFASNGSAGLVATAREESMVVAGNGKATVPYDLHLMTELYGVPCMVEIFHFLCSLLNAAEHVGMGPRSNTLAFDEDVPLFALGLINSAIELGGPSFRRHPRLLSLIQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQGKYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCSNVFEDLANLLSKSAFPVNCPLSAMHILALDGLIAVIQGMAERIGNGSVSSEYAPVSLEEYTPFWMVKCDSYGDPSHWVPFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDDFCVQVLHEFAGTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILVNKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPREFLSELYHSICKNEIRTTPEQGFGYPEMTPSRWIDLMHKSKKTAPFIIADSRAYLDHDMFAIMSGPTIAAISVVFDHAEHEDVYQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNPSSVEEPVLAFGDDTKARMATVTVFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADESELSADPSHGKPITNSLSSAHIQSIGTPRRSSGLMGRFSQLLSLETEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLQAESLLQLARALIWAAGRPQKGNSSPEDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANATHIRSQMGWRTITSLLSITARHPEASEAGFDALLFIMSDGAHLLPANYGLCVDAARQFAESRVGQAERSVRALDLMSGSVDCLARWANEAKEAMGEEDLAKMFQDIGDLWLRLVQGLRKVCLDQREEVRNHALLSLQKCLTAVDGIHISHGLWLQCFDLVIFTMLDDVLEIAQGHQKDYRNMEGTLILAMKLLSKVFLQLLYELSQLTTFCKLWLGVLSRMEKYMKVKIRGKKSEKLQELVLELLKHMLLVMKTRGVLMQRSALGGDSLWELTWLHVNNIAPSMQSEVFPDQDLEQSLPKHGETGGVVSGEMASVPSNETAAPEGASAGG >EOX97797 pep chromosome:Theobroma_cacao_20110822:2:3496915:3498342:1 gene:TCM_006730 transcript:EOX97797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MCIFLCMGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTDLCSALFSNDPAKFLIMLAVIPFAVCLTAIFFLREIPQTASVAAEKEETRYFAIFNIVAVVVAVYLLAYDFIVSVYSFVKSWLLVGFEADKERQEPLLKEEATEARKAEIVAEEEEEVAAAAVAAEVEVAVVEKSRILN >EOY01412 pep chromosome:Theobroma_cacao_20110822:2:39342384:39345428:-1 gene:TCM_011308 transcript:EOY01412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol oxygenase 1 isoform 2 MTILIEQPEFEGGIGERNKGPVDENELVLDGGFVVPETMPDEGFVAPDTNSFGHTFRDYHVESERQNGVEEFYRTNHINQTVDFVRRMREEYGKLNKVEMSIWECCELLNEFVDESDPDLDEPQIEHLLQTAEAIRKDYPDEDWLHLTGLIHDLGKVLLHPAFGELPQWAVVGDTFPVGCAYDESIVHHKYFLENPDYKNPVYNTKYGLYSEGCGLDNVLMSWGHDDYMYLVAKENKSTLPSAGLFIIRYHSFYALHRADAYKHLMNEEDIENLKWLKIFNKYDLYSKSKVRIDVEEVKPYYLSLIKKYFPTKLKW >EOY01413 pep chromosome:Theobroma_cacao_20110822:2:39342573:39345659:-1 gene:TCM_011308 transcript:EOY01413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol oxygenase 1 isoform 2 MTILIEQPEFEGGIGERNKGPVDENELVLDGGFVVPETMPDEGFVAPDTNSFGHTFSRDYHVESERQNGVEEFYRTNHINQTVDFVRRMREEYGKLNKVEMSIWECCELLNEFVDESDPDLDEPQIEHLLQTAEAIRKDYPDEDWLHLTGLIHDLGKVLLHPAFGELPQWAVVGDTFPVGCAYDESIVHHKYFLENPDYKNPVYNTKYGLYSEGCGLDNVLMSWGHDDYMYLVAKENKSTLPSAGLFIIRYHSFYALHRADAYKHLMNEEDIENLKWLKIFNKYDLYSKSKVRIDVEEVKPYYLSLIKKYFPTKLKW >EOY00370 pep chromosome:Theobroma_cacao_20110822:2:31832242:31844611:-1 gene:TCM_010231 transcript:EOY00370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 7 MAGGGGGGAAPQPKQEELQPHPVKDQLPNIAYCITSPPPWPEAILLGFQHYLVMLGTTVLIPSSLVPQMGGGNEEKAKMIQTLLFVAGLNTLFQTLFGTRLPAVIGGSFTYVPTTISIILAGRYSGIVNPQEKFEKIMRGIQGALIVASTLQIVVGFSGLWRNVARFLSPLSAVPLVALSGFGLYEFGFPVLAKCIEIGLPQIILLLIFSQYIPHMIHGERHVFDRFAVIFSVVIVWIYAHLLTVGGAYKNTGPKTQLSCRTDRAGIIGAAPWIRVPYPFQWGAPSFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPLPPSILSRGIGWQGVGILFSGIFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPPIIAALYCLFFAYVGSAGLGFLQFCNLNSFRTKFILGFSVFMGLSIPQYFNEYTAVNGYGPVHTGARWFNDMINVPFSSEAFVAGLLAMILDVTLHRKDSTTRKDRGMHWWDRFRSFKTDTRSEEFYRLPFNLNKFFPSV >EOY01511 pep chromosome:Theobroma_cacao_20110822:2:39789605:39796289:-1 gene:TCM_011380 transcript:EOY01511 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAG1 longevity assurance isoform 1 MGLIQYVKSINCEQEAYPAYEDFIVLPLFALFFPSVRFFLDRFVFEKVGSRMIFGKGHQMMNVNTDERRKKIRKFKESAWKCIYYLSAEILALFVTYNEPWFKNTKYFWVGPGDQVWPDQKIKLKLRGLYMYAGGFYTYSIFALIFWETRRSDFGVSMGHHVATAILIVLSYIFRFARVGSVVLAIHDASDVFLEVGKMAKYSGAETIASFAFIIFVLSWILLRLMYYPFWVLWSTSFEVVQTLDKEKHPVDGPFCYYLFNTLLFCLLVLHIYWWALMYRMLVKQIKSRGKLSEDVRSDSEGEDEHED >EOY01513 pep chromosome:Theobroma_cacao_20110822:2:39791129:39796196:-1 gene:TCM_011380 transcript:EOY01513 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAG1 longevity assurance isoform 1 LIQYVKSINCEQEAYPAYEDFIVLPLFALFFPSVRFFLDRFVFEKVGSRMIFGKGHQMMNVNTDERRKKIRKFKESAWKCIYYLSAEILALFVTYNEPWFKNTKYFWVGPGDQVWPDQKIKLKLRGLYMYAGGFYTYSIFALIFWETRRSDFGVSMGHHVATAILIVLSYIFSFEVVQTLDKEKHPVDGPFCYYLFNTLLFCLLVLHIYWWALMYRMLVKQIKSRGKLSEDVRSDSEGEDEHED >EOY01512 pep chromosome:Theobroma_cacao_20110822:2:39792477:39796196:-1 gene:TCM_011380 transcript:EOY01512 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAG1 longevity assurance isoform 1 LIQYVKSINCEQEAYPAYEDFIVLPLFALFFPSVRFFLDRFVFEKVGSRMIFGKGHQMMNVNTDERRKKIRKFKESAWKCIYYLSAEILALFVTYNEPWFKNTKYFWVGPGDQVWPDQKIKLKLRGLYMYAGGFYTYSIFALIFWETRRSDFGVSMGHHVATAILIVLSYIFRFARVGSVVLAIHDASDVFLEVGKMAKYSGAETIASFAFIIFVLSWILLRLMYYPFWVLWSTSFEVVQTLDKEKHPVDGPFCYYLFNTLLFCLLVLHIYWWALMYRMLVKQIKSRGKLSEDVRSDSEGEDEHED >EOY02098 pep chromosome:Theobroma_cacao_20110822:2:41944247:41944857:-1 gene:TCM_011832 transcript:EOY02098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNRDTQSRDTVGPSISRKWAPELTLYAPVFVRTDAGQGREEQSALTTIIATGAINMLTRAKFTTKACVAQGAGERGMSMDHEKLLNDFPGAMKSETYRLKYVLHPSYRLINHH >EOY01431 pep chromosome:Theobroma_cacao_20110822:2:39415891:39417517:-1 gene:TCM_011321 transcript:EOY01431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein isoform 2 MAGTGFLGIVLLGLLAIIGSTHAQLQMDFYAKSCPKAEKIVKDYVNKHIPNAPSLAASFIRMHFHDCFVRGCDASLLLNATSGQSPEKNAVPNQTLRGFDFIDRVKSLLEAECPGVVSCADILTLIARDSVVVIGGPFWNVPTGRRDGVISNVSEANANIPSPFSNFTTLLRLFNNQGLDSKDLVLLSGAHTIGLSHCPAFSRRLYNSSGPGGVDPTLDSEYASNLKANKCRNPNDNTTKVEMDPGSRNTFDLSYYTLLTKRRGLFNSDAALTTNSTSLALINQLLSNPLKFFYAEFAKSMEKMGRINVKTGSEGEIRKQCAFVNS >EOY01432 pep chromosome:Theobroma_cacao_20110822:2:39415824:39417325:-1 gene:TCM_011321 transcript:EOY01432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein isoform 2 MHFHDCFVRGCDASLLLNATSGQSPEKNAVPNQTLRGFDFIDRVKSLLEAECPGVVSCADILTLIARDSVVVIGGPFWNVPTGRRDGVISNVSEANANIPSPFSNFTTLLRLFNNQGLDSKDLVLLSGAHTIGLSHCPAFSRRLYNSSGPGGVDPTLDSEYASNLKANKCRNPNDNTTKVEMDPGSRNTFDLSYYTLLTKRRGLFNSDAALTTNSTSLALINQLLSNPLKFFYAEFAKSMEKMGRINVKTGSEGGLTE >EOY01950 pep chromosome:Theobroma_cacao_20110822:2:41456128:41466177:-1 gene:TCM_011728 transcript:EOY01950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDANSDWYLDSASATHICYQKDCFDLLQEGVAGNLTLGNKSIVKVMGIRVVKIKMFDGVVRSLGGVAYVPKMRKNLISLSLLDSKGFGYSACDGVVKVTQGDMVLMRGNLHNGLYRLECEASKGWEQCTGDGSYQSEISFAEEVMKGSHGVDDGERTKNLASSELEGSSRSLSEVN >EOX99329 pep chromosome:Theobroma_cacao_20110822:2:10167025:10168992:1 gene:TCM_007961 transcript:EOX99329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MATLAAIAARRAATLARTPAPSQAASLIPRRGLAGAADHHGPPKVNFWQDPMSPSKWKEEHFVIVSLSGWGLLIFSGYKFFTGGKGKKEENLAEAIIIE >EOX99328 pep chromosome:Theobroma_cacao_20110822:2:10166973:10168960:1 gene:TCM_007961 transcript:EOX99328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MATLAAIAARRAATLARTPAPSQAASLIPRRGLAGAADHHGPPKVNFWQDPMSPSKWKEEHFVIVSLSGWGLLIFSGYKFFTGGKGKKEENLAEAAQ >EOY01811 pep chromosome:Theobroma_cacao_20110822:2:40986407:40991172:-1 gene:TCM_011622 transcript:EOY01811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid kinase family protein isoform 1 MEELKLNQTIRCIVKLGGAAITCKNELEKINEENLETVSSQLRQAMISDSCSRKVIGMDWSKRAGVSDISSSLSDFGDQGQLNVDFSRFIVVHGAGSFGHFQASKSGVHRGGLEQPLVKAGFVATRISVTTLNLEIVRALAREGIPSIGMPPYACGWSTSERNVASADLSGVAKAIDSGFIPVLHGDAVLDDVLGCTILSGDVIIRHLAEHLKPEYVVFLTDVLGVYDRPPTDENAVLLREIAVDEDGRWSVVKPTLQSTNKQVKTTAAAHDTTGGMETKISEAAMIAKLGIDVYIVKAATSHSLRALSGELRRDIPEGWRGTVIRFSRENKC >EOY01810 pep chromosome:Theobroma_cacao_20110822:2:40987245:40991163:-1 gene:TCM_011622 transcript:EOY01810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid kinase family protein isoform 1 MEELKLNQTIRCIVKLGGAAITCKNELEKINEENLETVSSQLRQAMISDSCSRKVIGMDWSKRAGVSDISSSLSDFGDQGQLNVDFSRFIVVHGAGSFGHFQASKSGVHRGGLEQPLVKAGFVATRISVTTLNLEIVRALAREGIPSIGMPPYACGWSTSERNVASADLSGVAKAIDSGFIPVLHGDAVLDDVLGCTILSGDVIIRHLAEHLKPEYVVFLTDVLGVYDRPPTDENAVLLREIAVDEDGRWSVVKPTLQSTNKQVKTTAAAHDTTGGMETKISEAAMIAKLGIDVYIVKAATSHSLRALSGELRRDIPEGWRGTVIRFSRENKC >EOX97511 pep chromosome:Theobroma_cacao_20110822:2:2536767:2544951:-1 gene:TCM_006511 transcript:EOX97511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase, chloroplastic, putative isoform 1 MAALKLPHSPSPIHGRQYLVPFPASPIIFPKPPSPRLSNYSFPISHGFLARTLALFSPGILVCSNSYLRGAWGNQSKTKSASVQPVTAELMEDQPKDSLVSGDSIRRRFLDFYASRGHKIIPSASLVPDDPTVLLTIAGMLPFKPIFLGKIPRQVPCATTAQRCIRTNDVENVGRTARHHTFFEMLGNFSFGDYFKKEAIQWSWELSTVEFGLPPERLWISVYEDDDEAFEIWHKEVGVPVERIKRMGEDDNFWMSGATGPCGPCSEIYYDFHPERGYADVDLGDDTRFIEFYNLVFMEYNKKDDGTLEPLKQKNIDTGLGLERMARILQKVPNNYETDLIYPIIEKASELANMSYALADEQTKLKLKVVGDHLRAIVYLISDGVLPSNIGRGYVVRRLIRRVVRAGRSLGIKGEVQDNLEGAFLPAIAEIVMELSTHIDSDVKIKAPRILEELKKEELHFVQTLERGEILLEKMLADALSNAQKSGTMPCLSGKDAFLLYDTFGFPVELTTEIAEEHGVTVDMNGFDQEMENQRRLSRAAHNVVKIEAEDGASPTEYINETEFVGYESLSAQAVVESLMVNGSPVIQVSEGNDVEVLLNRTPFYAESGGQIGDHGFLYVTGGENQQTAVVEIKDVQKSLGDIFVHKGTIREGVLEVGREVEAAVDAKLRQRAKVHHTATHLLQAALKKLIGQEISQAGSLVAFDRLRFDFNYGHALTDTQLEDIERLINGWVGAAAFLETKVMPLKDAKEAGAIAMFGEKYGEQVRVVEVPGISMELCGGTHVRNTSEIRVFKIISEQGIASGVRRIEAVAGEAFIEYINAREYHMKNLCSMLKVKAEEVTTRVEKLLEELRMSRNEVANLHTKAAVYKALTIASKAFEVGTSQKIRVLVESLDDVDADSLKVAAEHLIDTLQDPAAVILGSCPDEGKVSLVAAFTPAVVDLGIQAGKFIGPIAKLCGGGGGGRANFAQAGGRKPENLPSALGKAREEIVSILSEKAS >EOX97516 pep chromosome:Theobroma_cacao_20110822:2:2537165:2541948:-1 gene:TCM_006511 transcript:EOX97516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase, chloroplastic, putative isoform 1 MCFEALRVIFYSFLLQVPNNYETDLIYPIIEKASELANMSYALADEQTKLKLKVVGDHLRAIVYLISDGVLPSNIGRGYVVRRLIRRVVRAGRSLGIKGEVQDNLEGAFLPAIAEIVMELSTHIDSDVKIKAPRILEELKKEELHFVQTLERGEILLEKMLADALSNAQKSGTMPCLSGKDAFLLYDTFGFPVELTTEIAEEHGVTVDMNGFDQEMENQRRLSRAAHNVVKIEAEDGASPTEYINETEFVGYESLSAQAVVESLMVNGSPVIQVSEGNDVEVLLNRTPFYAESGGQIGDHGFLYVTGGENQQTAVVEIKDVQKSLGDIFVHKGTIREGVLEVGREVEAAVDAKLRQRAKVHHTATHLLQAALKKLIGQEISQAGSLVAFDRLRFDFNYGHALTDTQLEDIERLINGWVGAAAFLETKVMPLKDAKEAGAIAMFGEKYGEQVRVVEVPGISMELCGGTHVRNTSEIRVFKIISEQGIASGVRRIEAVAGEAFIEYINAREYHMKNLCSMLKVKAEEVTTRVEKLLEELRMSRNEVANLHTKAAVYKALTIASKAFEVGTSQKIRVLVESLDDVDADSLKVAAEHLIDTLQDPAAVILGSCPDEGKVSLVAAFTPAVVDLGIQAGKFIGPIAKLCGGGGGGRANFAQAGGRKPENLPSALGKAREEIVSILSEKAS >EOX97513 pep chromosome:Theobroma_cacao_20110822:2:2537085:2544688:-1 gene:TCM_006511 transcript:EOX97513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase, chloroplastic, putative isoform 1 MAALKLPHSPSPIHGRQYLVPFPASPIIFPKPPSPRLSNYSFPISHGFLARTLALFSPGILVCSNSYLRGAWGNQSKTKSASVQPVTAELMEDQPKDSLVSGDSIRRRFLDFYASRGHKIIPSASLVPDDPTVLLTIAGMLPFKPIFLGKIPRQVPCATTAQRCIRTNDVENVGRTARHHTFFEMLGNFSFGDYFKKEAIQWSWELSTVEFGLPPERLWISVYEDDDEAFEIWHKEVGVPVERIKRMGEDDNFWMSGATGPCGPCSEIYYDFHPERGYADVDLGDDTRFIEFYNLVFMEYNKKDDGTLEPLKQKNIDTGLGLERMARILQKVPNNYETDLIYPIIEKASELANMSYALADEQTKLKLKVVGDHLRAIVYLISDGVLPSNIGRGYVVRRLIRRVVRAGRSLGIKGEVQDNLEGAFLPAIAEIVMELSTHIDSDVKIKAPRILEELKKEELHFVQTLERGEILLEKMLADALSNAQKSGTMPCLSGKDAFLLYDTFGFPVELTTEIAEEHGVTVDMNGFDQEMENQRRLSRAAHNVVKIEAEDGASPTEYINETEFVGYESLSAQAVVESLMVNGSPVIQVSEGNDVEVLLNRTPFYAESGGQIGDHGFLYVTGGENQQTAVVEIKDVQKSLGDIFVHKGTIREGVLEVGREVEAAVDAKLRQRAKVHHTATHLLQAALKKLIGQEISQAGSLVAFDRLRFDFNYGHALTDTQLEDIERLINGWVGAAAFLETKVMPLKDAKEAGAIAMFGEKYGKSCGSSWHINGTLWWDPCQKYF >EOX97514 pep chromosome:Theobroma_cacao_20110822:2:2537084:2544688:-1 gene:TCM_006511 transcript:EOX97514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase, chloroplastic, putative isoform 1 MVMGAFHRGFGLPPERLWISVYEDDDEAFEIWHKEVGVPVERIKRMGEDDNFWMSGATGPCGPCSEIYYDFHPERGYADVDLGDDTRFIEFYNLVFMEYNKKDDGTLEPLKQKNIDTGLGLERMARILQKVPNNYETDLIYPIIEKASELANMSYALADEQTKLKLKVVGDHLRAIVYLISDGVLPSNIGRGYVVRRLIRRVVRAGRSLGIKGEVQDNLEGAFLPAIAEIVMELSTHIDSDVKIKAPRILEELKKEELHFVQTLERGEILLEKMLADALSNAQKSGTMPCLSGKDAFLLYDTFGFPVELTTEIAEEHGVTVDMNGFDQEMENQRRLSRAAHNVVKIEAEDGASPTEYINETEFVGYESLSAQAVVESLMVNGSPVIQVSEGNDVEVLLNRTPFYAESGGQIGDHGFLYVTGGENQQTAVVEIKDVQKSLGDIFVHKGTIREGVLEVGREVEAAVDAKLRQRAKVHHTATHLLQAALKKLIGQEISQAGSLVAFDRLRFDFNYGHALTDTQLEDIERLINGWVGAAAFLETKVMPLKDAKEAGAIAMFGEKYGEQVRVVEVPGISMELCGGTHVRNTSEIRVFKIISEQGIASGVRRIEAVAGEAFIEYINAREYHMKNLCSMLKVKAEEVTTRVEKLLEELRMSRNEVANLHTKAAVYKALTIASKAFEVGTSQKIRVLVESLDDVDADSLKVAAEHLIDTLQDPAAVILGSCPDEGKVSLVAAFTPAVVDLGIQAGKFIGPIAKLCGGGGGGRANFAQAGGRKPENLPSALGKAREEIVSILSEKAS >EOX97512 pep chromosome:Theobroma_cacao_20110822:2:2537085:2544688:-1 gene:TCM_006511 transcript:EOX97512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase, chloroplastic, putative isoform 1 MEDQPKDSLVSGDSIRRRFLDFYASRGHKIIPSASLVPDDPTVLLTIAGMLPFKPIFLGKIPRQVPCATTAQRCIRTNDVENVGRTARHHTFFEMLGNFSFGDYFKKEAIQWSWELSTVEFGLPPERLWISVYEDDDEAFEIWHKEVGVPVERIKRMGEDDNFWMSGATGPCGPCSEIYYDFHPERGYADVDLGDDTRFIEFYNLVFMEYNKKDDGTLEPLKQKNIDTGLGLERMARILQKVPNNYETDLIYPIIEKASELANMSYALADEQTKLKLKVVGDHLRAIVYLISDGVLPSNIGRGYVVRRLIRRVVRAGRSLGIKGEVQDNLEGAFLPAIAEIVMELSTHIDSDVKIKAPRILEELKKEELHFVQTLERGEILLEKMLADALSNAQKSGTMPCLSGKDAFLLYDTFGFPVELTTEIAEEHGVTVDMNGFDQEMENQRRLSRAAHNVVKIEAEDGASPTEYINETEFVGYESLSAQAVVESLMVNGSPVIQVSEGNDVEVLLNRTPFYAESGGQIGDHGFLYVTGGENQQTAVVEIKDVQKSLGDIFVHKGTIREGVLEVGREVEAAVDAKLRQRAKVHHTATHLLQAALKKLIGQEISQAGSLVAFDRLRFDFNYGHALTDTQLEDIERLINGWVGAAAFLETKVMPLKDAKEAGAIAMFGEKYGEQVRVVEVPGISMELCGGTHVRNTSEIRVFKIISEQGIASGVRRIEAVAGEAFIEYINAREYHMKNLCSMLKVKAEEVTTRVEKLLEELRMSRNEVANLHTKAAVYKALTIASKAFEVGTSQKIRVLVESLDDVDADSLKVAAEHLIDTLQDPAAVILGSCPDEGKVSLVAAFTPAVVDLGIQAGKFIGPIAKLCGGGGGGRANFAQAGGRKPENLPSALGKAREEIVSILSEKAS >EOX97515 pep chromosome:Theobroma_cacao_20110822:2:2537088:2543482:-1 gene:TCM_006511 transcript:EOX97515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase, chloroplastic, putative isoform 1 MEDQPKDSLVSGDSIRRRFLDFYASRGHKIIPSASLVPDDPTVLLTIAGMLPFKPIFLGKIPRQVPCATTAQRCIRTNDVENVGRTARHHTFFEMLGNFSFGDYFKKEAIQWSWELSTVEFGLPPERLWISVYEDDDEAFEIWHKEVGVPVERIKRMGEDDNFWMSGATGPCGPCSEIYYDFHPERGYADVDLGDDTRFIEFYNLVFMEYNKKDDGTLEPLKQKNIDTGLGLERMARILQKVPNNYETDLIYPIIEKASELANMSYALADEQTKLKLKVVGDHLRAIVYLISDGVLPSNIGRGYVVRRLIRRVVRAGRSLGIKGEVQDNLEGAFLPAIAEIVMELSTHIDSDVKIKAPRILEELKKEELHFVQTLERGEILLEKMLADALSNAQKSGTMPCLSGKDAFLLYDTFGFPVELTTEIAEEHGVTVDMNGFDQEMENQRRLSRAAHNVVKIEAEDGASPTEYINETEFVGYESLSAQAVVESLMVNGSPVIQVSEGNDVEVLLNRTPFYAESGGQIGDHGFLYVTGGENQQTAVVEIKDVQKSLGDIFVHKGTIREGVLEVGREVEAAVDAKLRQRAKVHHTATHLLQAALKKLIGQEISQAGSLVAFDRLRFDFNYGHALTDTQLEDIERLINGWVGAAAFLETKVMPLKDAKEAGAIAMFGEKYGKSCGSSWHINGTLWWDPCQKYF >EOX98445 pep chromosome:Theobroma_cacao_20110822:2:5797696:5799700:-1 gene:TCM_007209 transcript:EOX98445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYNFLPLGAAFGCKGYINALFVFVLLLYITFSITFLPLILQIKQSVVNYYISTTSFKTIVRNLYSCSCFRSMVQKY >EOY01660 pep chromosome:Theobroma_cacao_20110822:2:40526190:40529675:-1 gene:TCM_011507 transcript:EOY01660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family UPF0090 [Source:Projected from Arabidopsis thaliana (AT1G69210) TAIR;Acc:AT1G69210] MGNSRIIQSLLQSSRRPHLGFAASRFSATSLSPLPLPTALNPSFSSSSSRFAVASSLRLEPISSFSSSFTHRLLSSTASTHTKHENHQEEEEEDPLFYEITEDDGETTDGWEEDDDDVQPKIGDGGDGGGVVLQGVPWGESALSIAHDVLKLFSDDIIIFAFKATPRGYIYVRLDKLSNEYGCPSMEELESYSQEYKKRLDEAGERREIPDDLALEVSSPGAERILKVPDDLDRFKDMAMRVCYIEDGESNCAEKSGVFILDSIETEQENCIWKLADVKENRDPNSKGRPFSRKQRDWRLKLPFGKHRMIMLHLEY >EOY01661 pep chromosome:Theobroma_cacao_20110822:2:40527462:40529341:-1 gene:TCM_011507 transcript:EOY01661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family UPF0090 [Source:Projected from Arabidopsis thaliana (AT1G69210) TAIR;Acc:AT1G69210] SRFAVASSLRLEPISSFSSSFTHRLLSSTASTHTKHENHQEEEEEDPLFFQPKIGDGGDGGGVVLQGVPWGESALSIAHDVLKLFSDDIIIFAFKATPRGYIYVRLDKLSNEYGCPSMEELESYSQEYKKRLDEAGERREIPDDLALEVSSPGAERILKVPDDLDRFKDMAMRVCYIEDGESNCAEKSGVFILDSIETEQENCIWKLADVKENRDPNSKGRPFSRKQRDWRLKLPFGKHRMIMLHLEY >EOY00615 pep chromosome:Theobroma_cacao_20110822:2:33887535:33941178:1 gene:TCM_010500 transcript:EOY00615 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MEDVKQPLLLSPREVDEDNHLQPQLLSKASNASFSSTTFTPAAHDVPPINGVRDFSREFLTESKKLWFLAGPAIFTSICQYSLGAITQVFSGQVGTLALAAVSVENSVIAGFSFGAMLGMGSALETLCGQAFGAGQLDMLGIYMQRSWVILNSTALILSLLYIFAAPILNLIGQTASISKAAGIFSIWMIPQLFAYAVNFPMAKFLQAQSKIMVMAVIAGVALVLHTLFSWLLMLKLGLGLVGAAIVLNASWIFIDVAQFLYIISGTCGRAWTGFSWKAFQHLWGFVRLSLASAIMLCLEVWYFMALILFAGYLKDAEVSVDALSICMNILGWTVMVALGMNAAISVRVSNELGAGHPRTAKFSLVVAVISSFLIGLALSLILIIFKNKYPYLFSNDTQVQDLVKELTPLLALCIVINNVQPVLSGMAIGAGWQAAVAYVNIACYYIFGVPLGLTLGYALDMGVKGIWYGMLSGTVAQTCVLFAMIYKTNWNKEASIAEERIKIWGGNSDSEQTNVENNA >EOX98688 pep chromosome:Theobroma_cacao_20110822:2:6716633:6718387:1 gene:TCM_007385 transcript:EOX98688 gene_biotype:protein_coding transcript_biotype:protein_coding description:INO80 complex subunit C MEPEVIKVELVLPTHMSFKRIQIYEKYPKGQSKVRWKQLKQILQAENYHNYPPDEANYVNIESPPSMHPCKKICDITGFEAPYHDPRTNLRYANADVFKLVRSLPNEHVQRYLALRKAAVVLK >EOX98862 pep chromosome:Theobroma_cacao_20110822:2:7564107:7566131:1 gene:TCM_007540 transcript:EOX98862 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein MPLTLSPSSSFSLLSPTPDRTNRFFKFSIPHASTQLAPKPPPVLKTAPVIVIGAGLAGLAAAIRLNSENIPFLLLEASDGVGGRVRTDVVDGFLLDRGFQIFITAYPEAQKLLNYNELNLQKFYSGARIYYDGQFHTVADPLRHFSDSLLSLANPIGSVIDKLLIALTRARVLSKSYEEILTATEVSTIELLKNIGFSDSMIERFFRPFFGGIFFDRELETTSRLFDFVFKCLALGDNTLPAKGIGEIPNQLAAKLPPDSVLLTAKVVSVDLEGSNSPSVRLESGEILKSELGVIMAVEEPALDKILAGRKQPAKRKPARSTVCLYFSADQDQIPVGDPVLFLNGSGEGIINNMFFATNVAPSYSPPNKALVSVSLIGLFEDVCDDDLTAEVTGELSGWFGASMVGSWRHLRTYRIGFAQPNQSPPTDLMKGPSIAPGLYLCGDYITSATFDGALVSGRRAVEALLKDRALARV >EOX99492 pep chromosome:Theobroma_cacao_20110822:2:11637239:11639593:1 gene:TCM_008174 transcript:EOX99492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLCQVNELENFKRTCFGHMMDVEADKSLFCASLVHNLMLRRINEPDATEAELWFAIGKMKACFSKREFCLVTGLKFGPLLAFIVNPYEALPRGIHLRYWGLEKETICGSMVVVVGQEHRRVECIPMGHILVEFGRGLLAEGFRTSCCYRSRQEALPLIRIHMSISAIPKIRSLFERQRQSGQVWPRMLKWQCDERPTSFHAIIVRLEKEGKLWAVKTLEPMAEEMLTAY >EOX99505 pep chromosome:Theobroma_cacao_20110822:2:11686173:11689169:1 gene:TCM_008184 transcript:EOX99505 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAP-binding protein 20 isoform 1 MCRKYSFILEDLNKAKAMALLFKEQAKLSAYRDRKFSGTQEEFEQALLNSTTVYIGNMSFYTTEEQVYELFSRAGEIKKIIMGLDKNSKTPCGFCFVLYYSREDTEDAVKYISGTILDDRPIRVDFDWGFVEGRQWGRGRSGGQVRDEYRTDYDPGRGGYGKLVQRELEAQRQLVDYGAGSLGSFPPVMPPHYGRHGGGHGHRSSYRQGRDYNRKRHRDDDRYAHGSSKRTSDHEFRRNSDNDSRPEKNPRFRESGDSDDDEEDDRKQRP >EOX99504 pep chromosome:Theobroma_cacao_20110822:2:11686117:11689347:1 gene:TCM_008184 transcript:EOX99504 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAP-binding protein 20 isoform 1 MCRKYSFILEDLNKAKAMALLFKEQAKLSAYRDRKFSGTQEEFEQALLNSTTVYIGNMSFYTTEEQVYELFSRAGEIKKIIMGLDKNSKTPCGFCFVLYYSREDTEDAVKYISGTILDDRPIRVDFDWGFVEGRQWGRGRSGGQVSSFVVPAILLVSDQMHILRKTRIVLAFGIGTSCFGLVKDDWDAVAKVLFLIGGYGKLVQRELEAQRQLVDYGAGSLGSFPPVMPPHYGRHGGGHGHRSSYRQGRDYNRKRHRDDDRYAHGSSKRTSDHEFRRNSDNDSRPEKNPRFRESGDSDDDEEDDRKQRP >EOY00052 pep chromosome:Theobroma_cacao_20110822:2:24054837:24150801:-1 gene:TCM_009449 transcript:EOY00052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFTPEKSHGRSKGTRCTQNAEPSYTYTGEQNVGIKDDHPIKGGVTFKDLAIGLLSLAHEFADFHNRSEAPSEEWVDSSSQDLDYVP >EOX97134 pep chromosome:Theobroma_cacao_20110822:2:1333424:1334879:-1 gene:TCM_006231 transcript:EOX97134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPAIKIFSKSLTDTDITKRLAIPAKILPFLPDFNGSHAVTIPLMYGTKMWPIVCSVRKNGYKKPVFSRGWRNFVICNDFHVGEELTMYKVQDEAGAFHYRVEVEKPATPSVALSARALSSNHEIDETTGTSRTKISNFRHKQQQLRKADAPVKQEGAFMELADAAADAPVPFVDHVIAKPPGGIFATSVIDEATSNPHFKLELETETKLGICITMREPPLHACYKTKEERDIKAPFDLNGGGSLAAYCTSQAVGEAYSTNTGRVSLDLVLGQPSPYDGVANLDLTLAQPVADIR >EOX97334 pep chromosome:Theobroma_cacao_20110822:2:2010406:2013996:-1 gene:TCM_006391 transcript:EOX97334 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein, putative MPESHMRADLGEDGDRVEELGIWVYLEMIISHLGFRILYFRADLYSMRMDSLPDAIVPDILSHLNNARDVAACKCVSKRWKESLPYLRSLHFPRNSFDSHSGSDNPDTIVWKMLSMIARLDSPSKLDCISAAKNLESLTLWGVLMVNPPKWDVFKSLQSLKIVGARLDGPAFSAALGACPNLTNLVLFGCEGVRSVTIELPYLEHCELEFGGLGDCSLSLTCPKIEHLKVQGCTWIRVHETTCLRNLSIASNAGRVYMVDFGKLSALEFLSMRGDQWCWDAICKMLEWSSEVKHLYMEVRFTGDSETHLPFPKVDFVEFFHSHPKLQNFDIHGGMFASLCQKNSLKNVEPGFVSPCLEEVVVQVRSTLNAEQKMSTLESLLKYGKNLKTMVISTRQMKRSHSSKDDFFDEIRRLRFLSRKIVRIE >EOY01041 pep chromosome:Theobroma_cacao_20110822:2:37030551:37034847:1 gene:TCM_010975 transcript:EOY01041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAANKFATMLHKNTNRITLILVYTLLEWILIILLLLNSLFSYLIIKFADYFGLKRPCLWCTRLDHIFEPSKYNNSCRDLVCDDHANEISKLGYCSNHRKLAESQDMCEDCLSSSWSDFSDLSKKLAFFPWMKQVGLIQDGGDKVIENGDENFKCSCCGVMLEKKWNFPYLLIKPSWEVLDYTQKGNLITEAGGVDGIADEGNASDGIRSDFVANYQEDEQGVEENNRIEIISVGDDEADKGREMEKEEDFSCFISSFDCNQMAANEDDKHDVVIEKDQIPMEEEGNLNVSMDGKVVTQVACSKEESPEFLPKHLEFYIEGDDCHLIPVELIDSTAVESGRIYKFREEDQGISDNGDVILDFDLRPGTPVELVVENKCSSGEKVTLLSAQESEDESSVAVVESVESNEKKESFSEHAGEEDLMEEEDEQVATTQATQTPLNEADDAQGSAAIREGETDVDGNQVSDEQNDEIEAEISIGTDIPDHEPIEDIQMQHLYECTQEDPSSSSAQLHADDDHGSKNAEEETIQFKTITVETCDQAIKNHLSLSSELNEVEEDKVPDTPTSIDSLHLLHKKLLLLDRKESGTEDSLDGSVFSDIEVADGVLTVEKLKSALKAERKALNALYTELEEERSASAVAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMVKREKEKAELEKELEVYRRKVQDYEAREKMIMLRRRKEDSTRSATSASCSNAEDSDGLSVDLNHEPKEEDSFDNHQEDSNQNTPADAVLYLEESLANFEEERLSILEQLKVLEEKLVSLNDEEEQHFEDIKSVEYLYEENGNGFHESSDFSYETNGVANGHFNGVNGKHHQEKKLMAAKAKRLLPLFDATDAEIEDGILNGHENGFDSVVLQHFSPPNSELESKKLAIEEEVDHVYERLQALEADREFLKHCISSLRKGDKGIYLLQEILQHLRDLRSVELRVRSIGDAAM >EOY01042 pep chromosome:Theobroma_cacao_20110822:2:37031122:37034859:1 gene:TCM_010975 transcript:EOY01042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MCEDCLSSSWSDFSDLSKKLAFFPWMKQVGLIQDGGDKVIENGDENFKCSCCGVMLEKKWNFPYLLIKPSWEVLDYTQKGNLITEAGGVDGIADEGNASDGIRSDFVANYQEDEQGVEENNRIEIISVGDDEADKGREMEKEEDFSCFISSFDCNQMAANEDDKHDVVIEKDQIPMEEEGNLNVSMDGKVVTQVACSKEESPEFLPKHLEFYIEGDDCHLIPVELIDSTAVESGRIYKFREEDQGISDNGDVILDFDLRPGTPVELVVENKCSSGEKVTLLSAQESEDESSVAVVESVESNEKKESFSEHAGEEDLMEEEDEQVATTQATQTPLNEADDAQGSAAIREGETDVDGNQVSDEQNDEIEAEISIGTDIPDHEPIEDIQMQHLYECTQEDPSSSSAQLHADDDHELNEVEEDKVPDTPTSIDSLHLLHKKLLLLDRKESGTEDSLDGSVFSDIEVADGVLTVEKLKSALKAERKALNALYTELEEERSASAVAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMVKREKEKAELEKELEVYRRKVQDYEAREKMIMLRRRKEDSTRSATSASCSNAEDSDGLSVDLNHEPKEEDSFDNHQEDSNQNTPADAVLYLEESLANFEEERLSILEQLKVLEEKLVSLNDEEEQHFEDIKSVEYLYEENGNGFHESSDFSYETNGVANGHFNGVNGKHHQEKKLMAAKAKRLLPLFDATDAEIEDGILNGHENGFDSVVLQHFSPPNSELESKKLAIEEEVDHVYERLQALEADREFLKHCISSLRKGDKGIYLLQEILQHLRDLRSVELRVRSIGDAAM >EOX99491 pep chromosome:Theobroma_cacao_20110822:2:11630758:11634326:-1 gene:TCM_008173 transcript:EOX99491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCYQPTIPPVQIPNRETIEIHALGQVGLGKRLILDTNKNMHAFDLVHCDIWGLCKTKSFFGSHFILTIIDDFNRATWVFLMKYKSKTEMYLLQFYNEVYTQFKVQVKILWTDNGLEFTYDDLMTYYFDQGMEPYLINRMPLFVLQNKTPYEVLLEKSLKYDHLKSFGYLCYKHKNSKLRNKFAPIAKSSVFIGYPSGQKGYRIYDLKSKKIYVLQDPLNLGQTTILDNSFNHVVPNVSELGLNESSISINPSISLIGHVSYNNKLVIDKPTSLAITHGSTMTGSTSFIDPHESFSPVSLGFELVRSNLSTTVAFSSNLIDSNLVNVSENIILEAFIIYNKWQVSCSLSGYDYVLPPSLAPPSIMPLSTFPSANSTYHKFTTSFLAIGFQQLDTDHSLFIFFHGGRSFIAVLTYVDDVTITKTYSDRISKLKHYLDAKFHIKNLGKLKYFLEIETACSQTGIPLSQCKYALNILTERDLTSCKPANFFIER >EOX99742 pep chromosome:Theobroma_cacao_20110822:2:15331392:15342468:1 gene:TCM_008603 transcript:EOX99742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFEFGRNNDGNGIQEGLINMIDFLYSTMQNVGGDDVNATTRVGGDDVNAITGVSDDDENAITKVDQSVLGIDFGFGMP >EOX98220 pep chromosome:Theobroma_cacao_20110822:2:4947599:4949622:1 gene:TCM_007033 transcript:EOX98220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor family protein, putative MGDCMRSCKRSAETAEMEASSTSFSLSKRRKTVDSRELQEFELTSPDIELENPHFLSNSQDKPITVATSSNSGGVLAGDMCSSFCSGESSASRCSSNESCDIVNDSLRFVDLEAKSFETEISTCININKFSRETTPLSELCGDSDEMESPEKKPPPSPAKPPKKPSQEEIDEFFSFAEKYEQKRFAEKYNYDIVKDVPLDGRYQWVRLMP >EOX97245 pep chromosome:Theobroma_cacao_20110822:2:1706084:1707012:1 gene:TCM_006325 transcript:EOX97245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mads box protein, putative MAKATRGRQKIQIKKLEDESSRQVTFSKRRNGLFKKASELCVLCGANIGIIVFSPKGKPFCFGHPNVDAVVDRYLSGNPTLHGEEDSETSCDGTPCFEEFDEECKEAMEKLEEEKRRSKEIEKEKEERKKKGQFWWDEPIDNMGVEELEAYVKAMEELRKNVARRANELMGDVFAAATVANSGGLGTGFADQNGGFGYGDDAFHFGHGHGLGFGGGQF >EOY01837 pep chromosome:Theobroma_cacao_20110822:2:41093991:41095941:-1 gene:TCM_011641 transcript:EOY01837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSKQDQTLIPSYRKSFPSTESLLLPMCSRTFSRQPSKAAAAQKPMNPVKDKEEWNDMNKTDALINVTHTHDSNFEI >EOX98412 pep chromosome:Theobroma_cacao_20110822:2:5711893:5713488:1 gene:TCM_007182 transcript:EOX98412 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB/MDM2 domain superfamily protein MAASSAIFSTFISSQTGSFSAKSSSSSLRLPLPTNVRMGRAVTQAAASKPATGTRQPRGIMKPQRVSAEMQAVVGDPEIPRTQALKRIWAYIKEHNLQDPENKRVIICDEKLKKIFGGKDRVGFLEVAGLISPHFL >EOY00557 pep chromosome:Theobroma_cacao_20110822:2:33498119:33503103:-1 gene:TCM_010451 transcript:EOY00557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter2.5 MEISSTITETQPQKFALPVDSEHKATEFRLFSVAAPHMRAFHLSWISFFACFVSTFAAPPLLPIIRDNLNLTATDIGNAGVASVSGAVFARIAMGTACDLFGPRLASASLILLTAPAVYFTSIASSPVSFLLVRFFTGFSLATFVSTQFWMSSMFSTPVVGTANGVAAGWGNLGGGATQLIMPLVFSVIRDIGAVKFTAWRIAFFIPALFQTLAAFAILIFGQDLPDGNYQRLQKSGTKQKDKFSRVFYHGITNYRGWILALTYGYCFGVELTVDNIIAEYFYDRFNLKLHTAGIIAASFGLANLFSRPAGGIISDRMASRFGMRGRLWALWIIQTLGGVFCIILGQVGSLSASIIVMIIFSVFVQAACGLTFGVVPFVSRRSLGVVSGMTGGGGNVGAILTQLIFFKGSKYSKETGITLMGVMIVCCTLPIFLIYFPQWGGMFCGPSSEKIATEEDYYLSEWSSNEKEKGLHQASLKFADNSRSERGRRVHSAAMPSNG >EOY01857 pep chromosome:Theobroma_cacao_20110822:2:41194517:41196922:-1 gene:TCM_011664 transcript:EOY01857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain 16-like protein MGSSKCCEKEGLKRGPWTAEEDQKLTAYIQLHGHGSWSTLPEKAGLKRCRKSCRLRWINYLRPDIKRGKFSLQEEQTIVQLHAFLGNRWSVIAAQLPKRTDNEIKNHWNTHLKKRLIKMGIDPKTHKPRIDALGFPTRHGGIDANLSHMAQWERARLEAEARSAGVSNNKPAVPNHVQPKKSQFGCLTPRPKCLDLLRAWQGLVTGMFNFSDRDDLESPGSISNFSHRGFQVPTAGINQNAAGEGTLLPCIATNSYTCDELDDVGNWKRCEKFNQMQEFDEALQGRTCSTEDAGFVDRIYVEGNDYEVIANFMGDSPGTLVGVPASYSSMELEISNGSCSIGCMADDGSYWDPTLKFMNASSSDSSVF >EOY01560 pep chromosome:Theobroma_cacao_20110822:2:40052295:40054883:-1 gene:TCM_011422 transcript:EOY01560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MGTATSTMAAKFAFFPPDPPSYNIVVDESTGKMRISDVNQRDNVDVLKLTTRRGNEIVAMYVKNPSASLTVLYSHGNAADLGQMYHIFTELSIHLNVNLMGYDYSGYGQSSGKPSEQDTYADIEAAYKCLEETYGVKQEDTLLYGQSVGSGPALELAIRLPCLRAVILHSPILSGLRVMYPVKRTFWFDIYKNIDKIPLVDCPVLIIHGTEDEVVDFSHGKQLWELCKEKYEPLWLKGGNHCNLELYPEYLRHLRKFISAIEKLPRLRNVTEQPKDQSEQPPNNTDQIKEKSRASTDHREKGRPSFGQREKSRLSTDNREKARASTDKKEKSRKSIDRSMKARNSTDHSERARNSFDRLGDMVRSVGLCNVDCLRQTAAEV >EOY01561 pep chromosome:Theobroma_cacao_20110822:2:40050872:40054882:-1 gene:TCM_011422 transcript:EOY01561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 KSQDLDFLIFFCLIHYILSVLFLLFYPPKKEKKLTTKSRHSLKALPCSSYQLVTPKTYNHHQIVSFFVVSGIKMGTATSTMAAKFAFFPPDPPSYNIVVDESTGKMRISDVNQRDNVDVLKLTTRRGNEIVAMYVKNPSASLTVLYSHGNAADLGQMYHIFTELSIHLNVNLMGYDYSGYGQSSGKNIDKIPLVDCPVLIIHGTEDEVVDFSHGKQLWELCKEKYEPLWLKGGNHCNLELYPEYLRHLRKFISAIEKLPRLRNVTEQPKDQSEQPPNNTDQIKEKSRASTDHREKGRPSFGQREKSRLSTDNREKARASTDKKEKSRKSIDRSMKARNSTDHSERARNSFDRLGDMVRSVGLCNVDCLRQTAAEV >EOX99169 pep chromosome:Theobroma_cacao_20110822:2:8810019:8811786:1 gene:TCM_007763 transcript:EOX99169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRISSPYGNRRKSKQQIFYLFIFQVCSLKHVVLYRIIFTGKQVFRCDLFVFVFHFTGFDKEQIIVTYVDSSRTIKLQAERSLEKNKRSRVNQALPVPQNCVVGKIQGSFRNGVLTITMPKQTITQPSSVDDAKTTKETTPPKATRDQKAMKDPKETTAPKPASTSTSGFEKPRDEKSPPPQTPQKAKTEPKTPKGPKIIPSRAAPSPSTLGERQANGKKVDTLQPSKQQEETQKQVAPTATTTKQPEEKSAAGSTAEMVEKTGESKIGLPKVAKEKKDSAMSGSNIPKLSLKKKKDNKGAGVAEGKAKEGGSFMAKAKEMKGMDTLMKSVKRLATEDYEERQMLINIGVSVLVIVALGAYITYAYRSSGKSKR >EOY01941 pep chromosome:Theobroma_cacao_20110822:2:41420843:41424345:-1 gene:TCM_011719 transcript:EOY01941 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein isoform 1 MVATMLSCLAQSYLSTSPETLTKRARVNLLNRPNTLLRFPNSARLTPLPRRRLLPLCFFNAGEDPDSNFQERSQEETNLEWPILKRWEVPWEWQTVSLTSLACGLSFILTGLIETAAIPYLGLKIEELSLDEKAEILFVDQSITTLVVLGVLYGVANTFQPLPEDLFRYDLKEPFNLQKGWVLWAVIGLVGAIIAIALTGAAMSLFRGEDPQRETDALVRLLPLIGSSSISTACLVGITGVLAPILEETVFRGFFMTSLTKWVPTPVSVIISAAVFALAHLTPGEFPQLFVLGTALGFSYAQTRNLVTPITIHAFWNSGVILLLTLLQLQGYDIKELLQATS >EOY01942 pep chromosome:Theobroma_cacao_20110822:2:41420497:41424422:-1 gene:TCM_011719 transcript:EOY01942 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein isoform 1 MVATMLSCLAQSYLSTSPETLTKRARVNLLNRPNTLLRFPNSARLTPLPRRRLLPLCFFNAGEDPDSNFQEREETNLEWPILKRWEVPWEWQTVSLTSLACGLSFILTGLIETAAIPYLGLKIEELSLDEKAEILFVDQSITTLVVLGVLYGVANTFQPLPEDLFRYDLKEPFNLQKGWVLWAVIGLVGAIIAIALTGAAMSLFRGEDPQRETDALVRLLPLIGSSSISTACLVGITGVLAPILEETVFRGFFMTSLTKWVPTPVSVIISAAVFALAHLTPGEFPQLFVLGTALGFSYAQTRNLVTPITIHAFWNSGVILLLTLLQLQGYDIKELLQATS >EOX98486 pep chromosome:Theobroma_cacao_20110822:2:5936433:5939410:1 gene:TCM_007236 transcript:EOX98486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPFPFSACFRFSSMEINRKKKKRTKKTEIYHDSVNSLSFYFPFCPSIFQLSERTANSHKLTKNRI >EOX96980 pep chromosome:Theobroma_cacao_20110822:2:791762:795603:-1 gene:TCM_006099 transcript:EOX96980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MFLSKKQPSAAVPWIEKEKYQKSKDVYFKFLLNPHFRYTAFLFVFLSFWFLLQVFWFPPTKTQYATNTVAAVTTTTTSYGVHQNPRTTATLSSPKCNGRVSVYVYNLPAEFNVGLLEDCSHLNVYTNMCPHVANRGLGQPLDNMDLGSSDVNPWFSTHQFIAEVIFHARVENHPCRTRDPAKANLFYVPFYGGLHVSSKFREQNHTMRDALAVRLADYLQDQPAWREHYGKDHFIALGRTSWDFMRIESGPDFGANCLLNLPPVKNMSVLTVERNPWQGSNQHGIPYPSYFHPSTSDQMLTWQRMMRRSNRPYLFSFIGAPRKGVDKAAIRDEMIKQCAESTRCKLQQCNHGNPKCYNPAEILRVMRESQFCLQAPGDSFTRRSTFDSILSGCIPVFFSRHTAYTQYAWFLPEKTSEYSVYIDEQGNGSKRIEEELSKISEEEVERMRATVIDMIPRLTYAHPNASSTDLGFEDAVDVALEALAHHVRTKIQDDNAIAASR >EOX99454 pep chromosome:Theobroma_cacao_20110822:2:11383697:11385902:-1 gene:TCM_008138 transcript:EOX99454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLMISVWISSAIKFIKKCKILMEGLLNTFLTSIFCYINLSRPQNKDSMVPRYIYLECCYRLHGRAYSQFGENLSGQTITSSLLYSSQPSKPVSRFKMQRK >EOX98148 pep chromosome:Theobroma_cacao_20110822:2:4688366:4689225:-1 gene:TCM_006976 transcript:EOX98148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLCCWFFLNFEIEIQLEEGLKPELHREREKLLGDRRINWTLLVDGKRIYGPSRICGPSQRRDMSSMQANSIQTQNSWPPYTLQQMQVGPRPTLWRLLIHELIIFIFYHFRGSTILLPQKLIKILGLFVKGWGECELK >EOX97131 pep chromosome:Theobroma_cacao_20110822:2:1320407:1322705:1 gene:TCM_006228 transcript:EOX97131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein MSYSQADAGNWSRSVASMDWTAVGALTPELKDKEVLIRRQAQTTRPMGKNMAFLVVREELFTVQCLAAPQSAGVSRRMLKFVAGLNRGTIIDVIGVVSIPRNPIKGTTQQVEIQVRKLYCVTKAMPNLPIIIKDAVRSDVEIANALQIFRQFLLSEGFVEICTPKLTAGSSEGGSAVFKLDYFGQPACLARSPQLHKQMAICSEQRRVFEIGPVFRAEHSYTHRHLCEFTGLDVEMRIKKHYAEVMDLVDRLFVTIFDSLNERCEKELEAVGRQYPFEPLKYKPKTLRLTFERGVQMLKDAGMEVDPLGDLNTDAERKLGQLVLEKYGTEFYILHRYPLAVRPFYTMPCFDNSLYSKSFDVFIRGEEIISGAQRIHVPEMLEERAQACGIDVNTISISTYIDSIRYGPAGPHGGFGAGLERIVMLFCGLDNIRKTPLFPRDPRRLAPLKMF >EOX96783 pep chromosome:Theobroma_cacao_20110822:2:43:6805:1 gene:TCM_005954 transcript:EOX96783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVFKWTLDFESDKESSIVQVWISFPNLRAHLFEKSALLLIAKAIGNPLGVDEATANGTRPSVARVFIEYDCLKPPIESVWIVTSKRGSEDVTGGYLQKVDFAPMPEYCNHCCHVGHGMENCLVLGQKSGFYKPKTTEKPTENSQGNLAVNRTMEVEEMNQEKEAGGKNIEKRKAEARMAVPKHLKTWQVVHKGGSSGAKDARGVEIASQVEEEEFVQTSNRFSVMEKLQEKECEKQGKTASRNSLNARKNLAMSEPIDVDERQKTKVTAIKRNVEMKSVAAGQCRDLSATETPAQVKGSSPIEPTGEIFVQVTCHGNGRQGQTRKAGGEKAISEFSAVGRTNTTSSQIVHGKRSKKDGFHSEKDEEMTAPADDGTLVQQTEHEGATENSKKCFFKTQLQGPWMVGGDFNSIVSTVERLNGAAPHVGSMEDFASTLFDCGLLDAGFEGNSFTWTNNHMFQRLDRVVYNPEWAQCFSSTRVQHLNQDGSDHCPLLISCNTAGQKGASTFRFLHAWTKHHDFLPFITRSWQTPLQGSGLSAFWFKQQRLKRDLKWWNKHIFGDIFEKLRLAEEEAEKREIEFQHNPSLTNRNLMHKAYAKLNRQLSIEELFWQQKSGVKCLGQYLSGCQMPISHISFADDIVIFTNGGRSALQNILSFLQEYEQVSGQKVNHQKSCFITANGCPLSRRQIISHTTGFQHKTLPVTYLGAPLHKGPKKNDMSHVYHFYNGDTWDVDKLKSFLPTVLVEEILQVPFDKSREDVAYWTLTSNGDFSTRSAGEMIRQRQTSNALCSFIWHRSIPLSISFFLWKTLHNWIPVELRMKEKGIQLASKCVCCNSEESLIHVLWENPVAKQVWNFFAKLFQIYILNPRHVSQIIWAWYVSGDYVRKGHFRVLLPLFICWFLWLERNDAKHRHTGLYPDRVIWRTMKHCRQLYDGSLLQQWQWKGDTDIAAMLGFSFPPQQHASPQIIYWKKPSIGEYKLNVDGSSRNGLHAATGGVLRDHTGKLIFGFSENIGPCNSLQAELRALLRGLLLCKERHIEKLWIEMDALAAIQLIQPSKKGPYDIRYLLESIRMCLSSFSYRLSHTFREGNKAADYLSNEGHKHQNLCVFTEAQGQLHGMLKLDRLNLPYVRFRGM >EOX99116 pep chromosome:Theobroma_cacao_20110822:2:8561852:8564838:-1 gene:TCM_007728 transcript:EOX99116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFLFNKFQDAVRALAKSPTFARDPRKLQFEADINRLFMYTSFNRLGKNADEADAEEIIDMASKASVADQQKQVQENVHFQVQNFCTAMDEILLPDISEPQKSQSDATPSRSGLSFAVGRNGPPSKHPAVPETRPLKRTELSQRLKDSIGYTLDVKPSQIPHNEAGQGLFLNGEANVGAVIAMYPGVIYSPASYRYIPGYPRVDAQNTYLITRYDGTVINAQPWGYGGETRELWDGSTMLDLRPNAEVTEKGLDRFWRVLSNPLNNSQVGSGGEILERRNPLALAHFANHPAKGMVPNVMVCPYDFPLTEKDMRAYIPNVSFGNTEEANMRRFGSFWFRSWGSRNSGSDAPVVKTLVLVATMALCDEEVLLNYRLSNSKRRPLWYTPVDEEEDRRRWS >EOX98222 pep chromosome:Theobroma_cacao_20110822:2:4959332:4962675:1 gene:TCM_007035 transcript:EOX98222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMMHMKMKVKVEEEEPTQMQQEPLVDEDEEDHLPISQLFQLLKQRQHQSLLSSSSSSSSSSMSMEIHDYPQKAARKRPRAKHSNDHVIKALPAVKVKAEEAWANSSVNKRAEEVQANLPAEFPSFFKIMIPSMVCGCFWMALPKEFCQLHLPSHNTTVVLVDEGGKEYKTNFLVQRRALSGGWRKFSQEHGLLVGDALVFHLIRPSKFKVYILRVNGLGEVDAALGLLRLETSAKQTCINHAGKNNAEPFAQDINQYEVHRNSLSYPSAEENQSDNGSFGIGSSEVEGIRSSPEANAEFEQSRDAIGASKISPPQADFVAWDNTLAGFELLGMNVGVLRNRASRLLDPAFESKTQVKSRRFKEAEPERAYVEQEARSLKLQLLEKREEMGRLDADIEALKVNARRHKLMFEAVVNAPCMGI >EOX98223 pep chromosome:Theobroma_cacao_20110822:2:4959385:4962331:1 gene:TCM_007035 transcript:EOX98223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMMHMKMKVKVEEEEPTQMQQEPLVDEDEEDHLPISQLFQLLKQRQHQSLLSSSSSSSSSSMSKEIHDYPQKAARKRPRAKHSNDHVIKALPAVKVKAEEAWANSSVNKRAEEVQANLPAEFPSFFKIMIPSMVCGCFWMALPKEFCQLHLPSHNTTVVLVDEGGKEYKTNFLVQRRALSGGWRKFSQEHGLLVGDALVFHLIRPSKFKVYILRVNGLGEVDAALGLLRLETSAKQTCIRKNNAEPFAQDINQYEVHRNSLSYPSAEENQSDNGSFGIGSSEVEGIRSSPEANAEFEQSRDAIGASKISPPQADFVAWDNTLAGFELLGMNVGVLRNRASRLLDPAFESKTQVKSRRFKEAEPERAYVEQEARSLKLQLLEKREEMGRLDADIEALKVNARRHKLMFEAVVNAPW >EOX97760 pep chromosome:Theobroma_cacao_20110822:2:3358498:3364523:1 gene:TCM_006694 transcript:EOX97760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triosephosphate isomerase isoform 2 MAMVSTYCPQFSGLRRSCPKLDNSQSQSFVQHINSQLRLSSSPKPCRAVLAMAGSGKNGTNESITKLVSDLNSSTLESDVDVVVAPPFVYLDQVKASLTDRIEVSAQNSWIGKGGAFTGEISVEQLKDIGCKWVILGHSERRHIIGEDDQFIGKKAAYALNEGLGVIACIGELLEEREAGKTFDVCFQQLKAFADVVPSWDNIVIAYEPVWAIGTGKVATPQQAQEVHEAVRDWLKKNVSEEVASKTRIIYGGSVNGGNCADLAKEEDIDGFLVGGASLKGPEFATIINSVTSKKGVKERNGVALSQEKGSTVETRVERPHPVLRFRTTSPIAYYFWHCHGLAVALSIHQLQGPVTSHRNKPPAIHCSFTISANLVHDLIFIQQKACFLAAASGA >EOX97761 pep chromosome:Theobroma_cacao_20110822:2:3358497:3363140:1 gene:TCM_006694 transcript:EOX97761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triosephosphate isomerase isoform 2 MAMVSTYCPQFSGLRRSCPKLDNSQSQSFVQHINSQLRLSSSPKPCRAVLAMAGSGKFFVGGNWKCNGTNESITKLVSDLNSSTLESDVDVVVAPPFVYLDQVKASLTDRIEVSAQNSWIGKGGAFTGEISVEQLKDIGCKWVILGHSERRHIIGEDDQFIGKKAAYALNEGLGVIACIGELLEEREAGKTFDVCFQQLKAFADVVPSWDNIVIAYEPVWAIGTGKVATPQQAQEVHEAVRDWLKKNVSEEVASKTRIIYGGSVNGGNCADLAKEEDIDGFLVGGASLKGPEFATIINSVTSKKVAA >EOX96812 pep chromosome:Theobroma_cacao_20110822:2:110100:112810:-1 gene:TCM_005969 transcript:EOX96812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of Uncharacterized protein function (DUF23) isoform 1 MGKERSGGASGEKNNKMLVGVVCNFAAELKLLLTALLILCTLATLLQFIPSRFSISASDLRFCISRIAAADPPANSTTTLAQPSLFPNYTKTQVERNPQTDEVLPTGIIRRAFNPYGAAAYNFINMGSYRGGPDTFAIVGLASKPLHLYARPTYQCQWLPFDDRRSKENNTNITSSLTYKILPDWGYGRVYTVVVVNCTFPQPTNLDNSGGTLVLQASTSGGGDSKFNLTDTIPALTEPPGTLNLSLFTSRPKYDYLYCGSSLYGKLSPQRVREWIAYHVRLFGERSHFVIHDAGGVHEEVLEVLRPWMELGYVTLQDIREQERFDGYYHNQFMVVNDCLHRYKFMAKWIFFFDVDEFIYVPPKSTIRSVLDSLSDYSQFTIEQMPMSSKLCHTVDAAKRNRWNWSGFQTCSKVIVLYSLIRNFAFV >EOX96811 pep chromosome:Theobroma_cacao_20110822:2:109132:112768:-1 gene:TCM_005969 transcript:EOX96811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of Uncharacterized protein function (DUF23) isoform 1 MGKERSGGASGEKNNKMLVGVVCNFAAELKLLLTALLILCTLATLLQFIPSRFSISASDLRFCISRIAAADPPANSTTTLAQPSLFPNYTKTQVERNPQTDEVLPTGIIRRAFNPYGAAAYNFINMGSYRGGPDTFAIVGLASKPLHLYARPTYQCQWLPFDDRRSKENNTNITSSLTYKILPDWGYGRVYTVVVVNCTFPQPTNLDNSGGTLVLQASTSGGGDSKFNLTDTIPALTEPPGTLNLSLFTSRPKYDYLYCGSSLYGKLSPQRVREWIAYHVRLFGERSHFVIHDAGGVHEEVLEVLRPWMELGYVTLQDIREQERFDGYYHNQFMVVNDCLHRYKFMAKWIFFFDVDEFIYVPPKSTIRSVLDSLSDYSQFTIEQMPMSSKLCHTVDAAKRNRKWGFEKLVYRDVKKGIRRDRKYAIQPRNVFATGVHMSQNLAGKTTHKTEGRIKYFHYHGTIAERREPCRYLINSTEINYEKTPYVLDTTLRDVAGAVKKFELKMIGPRLQNTRQ >EOX98073 pep chromosome:Theobroma_cacao_20110822:2:4463838:4474911:1 gene:TCM_006921 transcript:EOX98073 gene_biotype:protein_coding transcript_biotype:protein_coding description:S3 self-incompatibility locus-linked pollen 3.15 protein isoform 1 MDPEQTFIRVQERFSQILTPRVRVALEYIYLVIAITSFCMLVVMHANYVQQPGCSSELSGVESKEVQLIQIKITSAGLWSRNESEHAVVNNPDLETVTENVEVANVDDDGLMFLAAKFWLNWFGSGARRGKLALKFWKTDGELIEQQAESSTNVESSKPTVDDVVVKIEKEETRSGFSLSAKQTFRAAIFHFGKKWYRRLSFIWRHAIQIFGSFQKLWNITGLHLNLDVPKWLRILHLDRLNSYAVQWLEKRSKAFEPTYLYTMEKGYFLLPEVAKSQHNILTINISISARHPCFGNRWQQLLINRFVGYDTILMNSLLHRPGQGYLYNFQTKEFYNLSYAQELPDGTARLEDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHAARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFILISVRTPISMKFFPRFFLLYFLVFHIYFFSYAYGFSYLALSTAAAFMQHLILYFWNRFEVPALQRFLQNRRSQLQQHPDFHITSSTILASTLHITRLNTRNPGLVNTDSTSGPGLRPGSDQAVPAYGAREAAGAQGHLGNDNMDQSGNPMQIPGQPELPQAEAGPNPGTMSSFSSLLLWILGGASSEGLNSFLSMFRDVREQGQVYTDSPRHENRATQNAQ >EOX98074 pep chromosome:Theobroma_cacao_20110822:2:4463838:4474911:1 gene:TCM_006921 transcript:EOX98074 gene_biotype:protein_coding transcript_biotype:protein_coding description:S3 self-incompatibility locus-linked pollen 3.15 protein isoform 1 MDPEQTFIRVQERFSQILTPRVRVALEYIYLVIAITSFCMLVVMHANYVQQPGCSSELSGVESKEVQLIQIKITSAGLWSRNESEHAVVNNPDLETVTENVEVANVDDDGLMFLAAKFWLNWFGSGARRGKLALKFWKTDGELIEQQAESSTNVESSKPTVDDVVVKIEKEETRSGFSLSAKQTFRAAIFHFGKKWYRRLSFIWRHAIQIFGSFQKLWNITGLHLNLDVPKWLRILHLDRLNSYAAVQWLEKRSKAFEPTYLYTMEKGYFLLPEVAKSQHNILTINISISARHPCFGNSRWQQLLINRFVGYDTILMNSLLHRPGQGYLYNFQTKEFYNLSYAQELPDGTARLEDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHAARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFILISVRTPISMKFFPRFFLLYFLVFHIYFFSYAYGFSYLALSTAAAFMQHLILYFWNRFEVVPALQRFLQNRRSQLQQHPDFHITSSTILASTLHITRLNTRNPGLVNTDSTSGPGLRPGSDQAVPAYGAREAAGAQGHLGNDNMDQSGNPMQIPGQPELPQAEAGPNPGTMSSFSSLLLWILGGASSEGLNSFLSMFRDVREQGQVYTDSPRHENRATQNAQ >EOY00072 pep chromosome:Theobroma_cacao_20110822:2:24981903:24982708:-1 gene:TCM_009531 transcript:EOY00072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related protein 10.5 MEAIKSSKLLKGDGGPRSIKKITLGEGSQFKYVKHKVEGIGKENFSYSYSVIESDVLMNTFEKINYEIKFIAGPSGGSVCKSTSKHYTIGDIEIKEEQTKSLGNVQGR >EOY00716 pep chromosome:Theobroma_cacao_20110822:2:34721430:34725590:1 gene:TCM_010654 transcript:EOY00716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein MLGLDNRKRISPTKSAFYGIEVHMDIGKFILAVLLLALVFGLAESLDYHESDLASEESLWDLYERWRSHHTISRDLKEKQKRFNVFKENLKFIHKVNQMDKPYKLKVNKYADMTNHEFMSTRSSKVSHYRMFHGPRRVTEFRHEKTDILPPSIDWRKKGAVTGIKDQGKCGSCWAFSTVVAVEGINKIKTGELVSLSEQELVDCDRESQGCNGGLMEQAFEFIKQSDGLKTENNYPYRAKDEFCNSSKLNGPVVIIDGYEMVPERDEKALMKAVANQPVSFALDAGDKDLQFYSEGVFTEDCGTELNHGVAVVEYGATLNGTKYWIVKNSWGPEWGEHGYIRMQRDIDKEEGLCGLTLEACYPVKLQSNNIRKASREAKDELFKAIGDAWGKYIKSDRDTMDKWKLDHALILVQVKSLRDITAFTHLSVNGVNHFVKASIMDMERSKPTIRSNIYEGGSLELDSESQGSEKRQTNWWMEEEGKHGEVVHRESKLESNGRKRDQASERHQSKHNSNEQYSVRSYGGRRVED >EOX99857 pep chromosome:Theobroma_cacao_20110822:2:17493492:17495400:-1 gene:TCM_008841 transcript:EOX99857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubber elongation factor protein (REF), putative isoform 2 MDQVEVENKDHDLKHLAFVRVAAFQALVCVSNLYDYAKHNSGPLRSTVGTFEGAVTTVVGPVYQKFKDVPDHLLGFLDKKVDEASHKFEEHAPAAAKQVVRQAQDLVHKTAEKAQKLVDEARSNGPWGALHYAADEYKHFVLVNSTKLWVKLNHNSAFHSVAQMVVPTAANLSDKYNCLVKDLSGKGYTVFGYLPLIPIDELAKAVKQAEAKDEAHVDAHKSDSDQD >EOX99856 pep chromosome:Theobroma_cacao_20110822:2:17493554:17495490:-1 gene:TCM_008841 transcript:EOX99856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubber elongation factor protein (REF), putative isoform 2 MDQQVEVENKDHDLKHLAFVRVAAFQALVCVSNLYDYAKHNSGPLRSTVGTFEGAVTTVVGPVYQKFKDVPDHLLGFLDKKVDEASHKFEEHAPAAAKQVVRQAQDLVHKTAEKAQKLVDEARSNGPWGALHYAADEYKHFVLVNSTKLWVKLNHNSAFHSVAQMVVPTAANLSDKYNCLVKDLSGKGYTVFGYLPLIPIDELAKAVKQAEAKDEAHVDAHKSDSDQD >EOX97281 pep chromosome:Theobroma_cacao_20110822:2:1808392:1812231:-1 gene:TCM_006352 transcript:EOX97281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein, XI-23,RLK7, putative MSVRQNFGQWPSPMFPFLLLLTLISFAESDELQILLNFRSALERSNTNVFSSWTQGNSPCNFTGVVCNSNGFVKEINLPQQQLFGSLPFDSICELQYLEKIDLGNNSLHGKITEDLKKCAGLQYLDLGRNAFSGEVPELSSLNGLKFLNLNNSGFSGRFPWKSLENLTELTFLSLGDNPFDLTPFPSEVLKLEKLYWLYLTNCSITGQIPEGIQNLTQLQNLELSDNGLSGPIPAGIVKLNKLRQLELYNNSLSGKLPVGFGSLTSLVNFDASTNMLEGDLSELRSLKKLASLQLFENQFSGEIPEEFGEFQNLEGLSLYKNKLTGQLPAKIGSWSDFIFIDVSENFLVGPIPPDMCKNGKMVELLLLQNNFNGTIPESYTNCKSLVRLRINSNSLSGSVPAGIWSLPNLSIIDLTMNQFEGPVAGDIGNAKSLAQLFLANNRFSGELPTSISQASSLVSIQLTSNKFAGQIPATIGELKHLGSLYLNGNMFSGTIPDSLGSCVSLTDVNLAGNSLSGEIPDTIGSLHNLNSLNFSDNKLSGEIPTTFSSLRLSLLDLSNNRLVGSIPTSLSIQAFKDSFEGNPGLCSSNLEDFQPCSSNPGRSSHLPTFLSCFIAGILVLLISLGCYLFVRVRQSNLDHPLRQGSWDMKSYHMLSFTEKDIMDAIKSENLVGKGGSGNVYKVKLVDGKELAVKHIWTSNSGNRRSYRSTAAMLTERNFRSMEYDAEVAALSAIRHVNVVKLYCSITSEDSNLLVYEYLPNGSLWDRLHSCHKIKMSWELRYAIAVGAARGLEYLHHGYDRPVIHRDVKSSNILLDEEWKPRIADFGLAKIVQNGGGGDWTHVIAGTYGYIAPEYAYTCKINEKSDVYSFGVVLMELVTGKRPAEPEYGENKDIVYWIQNKEKSKEKLVEVVDLNISEALKEDAINVLRIAVLCTTKFPALRPSMRAVVKMLEEAEPCKLTDIIVHKNGESSPNGSWKNNGKLI >EOY01320 pep chromosome:Theobroma_cacao_20110822:2:39005031:39007752:1 gene:TCM_011253 transcript:EOY01320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MAKSYRAGNANERKKNGTWILVDKPADQHIIGVKWIYKIKLNADGTVNKFKAKLVVKGYSQIYGIDYCETFAPVARHDTIRLLSALIAREGWKILYLDVRSAFLNGYLCEDIYIQQLEGFIKPEGFVRSPNEPTVYLYKSAETAVVIISLYIDDLLITGLDDTTVTECKSKLMAEFEMTDLGEMHYFLGMQFIQHSTFICIHQGKYAIELLKRFYMKNSKAVETPLAANCKLSKDDGALEVATSNYKSIIESLLYLTASRPDLMSPASLLSNDWARSLNDSRSTEGYCFSFGTVIFSWNSKKQEMVAQSSAAVAANQAMWIKKILGDLGFEQKIGTPLMIDNKSAISIAKNPVHHGRTKHVKVKFHFIRDAVKDDEIVVIHCGTNDQVADVFTKFLTLRALLGVCKRNTKENSGLSSLYFFQNYIV >EOY01463 pep chromosome:Theobroma_cacao_20110822:2:39543182:39546503:1 gene:TCM_011338 transcript:EOY01463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L6 family MASSIISFQTSNLRSAFLGERNAICISSIPVTRVSLVRKAVECKESRIGKQPIQVPSNVTITLEGQDVKVKGPLGELALTYPREVKVDREEPGILRVRKAVETRRANQMHGLFRTLTDNMVVGVSKGFEKRLQLIGVGYRAMFEGKDLVLSLGFSHPVRMAIPEGIKVKVEENTRIVVSGYDKSAIGQFAASVRKWRPPEPYKGKGVKYADEVIRRKEGKAGKKK >EOY01842 pep chromosome:Theobroma_cacao_20110822:2:41105736:41111162:1 gene:TCM_011645 transcript:EOY01842 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS (ERD1/XPR1/SYG1) family protein MKFGKEFASQMVPEWQEAYMDYDFLKSLLKEVLRFKQRTKPPATPGGLKRKLTLYRAFSGLTQRHHHPTSPTSPDIESQPILVNSVSQNGSQSYQTTFLMSADEGGEYELVYFRRLDDEFNKVDKFYRAKVQEVMKEADILNKQMDALIAFRIKVENPPGINFDRSVEMTRLASDIAASTAALSAATPSGARASKTAPHLEAIEESTHGQADDDDDDKNDEKERNTPIQEAKAPKPKNIMGIRPAPLDVLDRVKMNNTLETPRSTIKGVLRVPNHTDLSFSRENLRKVEEQLKRAFVEFYQKLRLLKSFSFLNTLAFSKIMKKYDKITSRSASRSYMKMVDNSYLGSSDEVTRLMERVETTFIKHFSNANRAKGMNILRPKAKRERHRITFSTGFFAGCAASLVLALILIIRIRKIMDSRGRTKYMETMFPLYSLFGFIVLHMVMYAINIFYWRKYRVNYSFIFGFKQGTELGYREVFLLSFGLGTLALACVLSNLDMEMDPKTRDYKAFTELLPLNLVLALLIILFLPFNILYRSSRFFLLTCLFHCICAPLYKVTLPDFFLADQLTSQVQAFRSFEFYICYYVWGDFKHRKNTCKDSDVFNTFYFIVAVLPYLSRLLQCLRRLFEEKDPLQGYNGLKYFLTIVAVCLRTAYSINKGIGWRIIAWVVSAIAAIFCTYWDLVYDWGLLNRHSKNRWLRDKLLVPHKKVYFGAMGLNVLLRFAWLQTVLNFKFALHAETLTTIVASLEIIRRGVWNFFRLENEHLNNVGKYRAFKSVPLPFNYDEDDDKDD >EOX98179 pep chromosome:Theobroma_cacao_20110822:2:4762960:4766979:1 gene:TCM_006996 transcript:EOX98179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein isoform 1 MLVNRAQDLSPTLTTVTTSFPSLNPTPCKTLMRTNPFSSLSFLRLFRPLSHTKVLVFRPRIPHPTPQLPPSFSRHRFFSSSSFSAAPVSFIAEKEGEEKWDSSNTENEAFAFEDDGGVFAGNDMKHLVAPEMEVKELEELPEHWRRSKLAWLCKELPAHKAGTLVRILNAQKKWMRQEDATYLAVHSIRIRENETGFRVYKWMMQQHWYRFDFALATKLADYTGKERKFAKCREIFDDIINQGRVPSESTFHILIVAYLSSPVHGCLDEACSIYNRMIQLGGYQPRLSLHNSLFRALLSKPGGSSKYYLKQAEFIFHNLETCGLEVQKDIYGGLIWLHSYQDTVDKERIKSLRKMMQEAGMEEGREVLVSILRACSKEGDVEEAERTWLKLLDSNGNIPSQAFVYKMEVYAKVGEIMKSLEVFRQMQKYLGSASVAAYHKIIEVLCKSQQMDLAESLMKEFMESGKKPLMPSYIELTDMYLNMSLHDKLESTFLECLEKCRPNRTIYNIYLNSLVKVGNLEKAGEIFGQMHGNSTIGVNARSCNTILGGYLSSGDFLKAEKIYDLMCQKKYEIESLLIEKLDYVLSLSRKEVKKPVSLKLSKEQRQILVGLLLGGLKIDSDGERKNHMIRFEFNQNSVTHSILKRHIHDQYHEWLHPSSKPTDGNDDIPHKFSTISHSYFGFYADQFWPRGQPVIPKLIHRWLSPLVLAYWYMYGGYKTSYGDILLKLKGSREGVEKVVKTLKAKTLHCRVKRKGKVYWIGFLGSNSMWFWKLVEPYILDDLKDFLKIGSDTTDGYAVESQDINFDSASDSDEKASDYDEDDN >EOX98180 pep chromosome:Theobroma_cacao_20110822:2:4763220:4766864:1 gene:TCM_006996 transcript:EOX98180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein isoform 1 MMQQHWYRFDFALATKLADYTGKERKFAKCREIFDDIINQGRVPSESTFHILIVAYLSSPVHGCLDEACSIYNRMIQLGGYQPRLSLHNSLFRALLSKPGGSSKYYLKQAEFIFHNLETCGLEVQKDIYGGLIWLHSYQDTVDKERIKSLRKMMQEAGMEEGREVLVSILRACSKEGDVEEAERTWLKLLDSNGNIPSQAFVYKMEVYAKVGEIMKSLEVFRQMQKYLGSASVAAYHKIIEVLCKSQQMDLAESLMKEFMESGKKPLMPSYIELTDMYLNMSLHDKLESTFLECLEKCRPNRTIYNIYLNSLVKVGNLEKAGEIFGQMHGNSTIGVNARSCNTILGGYLSSGDFLKAEKIYDLMCQKKYEIESLLIEKLDYVLSLSRKEVKKPVSLKLSKEQRQILVGLLLGGLKIDSDGERKNHMIRFEFNQNSVTHSILKRHIHDQYHEWLHPSSKPTDGNDDIPHKFSTISHSYFGFYADQFWPRGQPVIPKLIHRWLSPLVLAYWYMYGGYKTSYGDILLKLKGSREGVEKVVKTLKAKTLHCRVKRKGKVYWIGFLGSNSMWFWKLVEPYILDDLKDFLKIGSDTTDGYAVESQDINFDSASDSDEKASDYDEDDN >EOX98676 pep chromosome:Theobroma_cacao_20110822:2:6677665:6693714:-1 gene:TCM_007378 transcript:EOX98676 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIKC mads-box transcription factor, putative isoform 1 MTRQKIQIKKIDNTAARQVTFSKRRRGLFKKAHELSTLCDAEIALVVFSATGKLFEYSSTSTGQVIERRNLQSERTNGLDRVPSLEMQIESSAHAMLGKEIAEKNRELRHLRGEELQGLNLEELKHLEKLLEAGLSRVTETKDERFLKEISNLKRKGAELMEENQQLKQQQLYLSKANHQSQLPVSGFPQILLKAIITLTFLSDWGYLSLTDR >EOX98675 pep chromosome:Theobroma_cacao_20110822:2:6677933:6693777:-1 gene:TCM_007378 transcript:EOX98675 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIKC mads-box transcription factor, putative isoform 1 MTRQKIQIKKIDNTAARQVTFSKRRRGLFKKAHELSTLCDAEIALVVFSATGKLFEYSSTSTGQVIERRNLQSERTNGLDRVPSLEMQIESSAHAMLGKEIAEKNRELRHLRGEELQGLNLEELKHLEKLLEAGLSRVTETKDERFLKEISNLKRKGAELMEENQQLKQQIGNSSMDQAIVPQQGQPSESAARVWISADPPQGYNNSDISLRLGLPFPN >EOX96819 pep chromosome:Theobroma_cacao_20110822:2:139703:146058:-1 gene:TCM_005976 transcript:EOX96819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative MPQELPGLYYDAEKNRYFPIKPRIPGSSSHASQFQKNPLSNSIQVEATKLCPQTKAPTSRLLHLRELNGGAFTFDRGRHSFQEEFHKLQASKPVIWRYRGTDNISDSALEQTQIDTQTLEGQMETDVLLAGSIDGSLSFLNVGKFGQHFEYGLTHIPDLVGPSTKVEAESNETPRYMWRPLGASLRMSSRISCMKLFEKCPTFTNNDDSKVRRALITTLGSETSGGYVYILNLLEPVDFSSTVDQRLHAVASFNYTIWTADFNSHTSRAVIGTNIGASLVNVERGTSVWVCRTKSDVLAQQFDQTGNLVLCGLRNGAIVTVDVRENQDGLSARLMRHRVPYFSSGRSNQKQWFELKGHIYPSHTIYMPSSISSLVSLQSYDQYFLASSMDGSMKLYDHRLTKRGAVQSYGGHVNSHTRIQLGVDQSERFVVSGGGDCYLRLWSIKSGKLLFEDKFSDSAPTTVCWQRAERCGGREDEKQRYKEHLFGQNHNFGAWLGSRGGLFYMHWS >EOX97305 pep chromosome:Theobroma_cacao_20110822:2:1922157:1928289:1 gene:TCM_006372 transcript:EOX97305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRCITKRQSMDHELWFAIGKSKARLSKQEFYLITGLKFGLMPDVFKQPYEVAADGIHARYWNGQDNVKLQALLDTFCGGNFQRLRDSTKMALVLIANNILFCQDYRRRVTPWLLSLVEDIDPWNRDKGNSVTLQHLRICMGDKALKLLGNELWALETLEPTTDEALQEYFVDLDVPLSEGNESLKEKRASGGTKRMRTTAALVDELMDEGDDHGQGSEQSLDHGSAASEPPIDPPQMQSGNDPSFMEARTGPQAPIGSAQPQTANEPTFAKATTGPEAPIGPTPPQTANEPLSRTVNDGAVTTNQLQWIMRKHEKDMLELKVSIQSLSVAMQTIEDRIVGRILDDLKSQGGPSHGAGLEHNDADDGQHHEPGVDIDDDILGADGEHVTHVDDVVEEAVAVDATLQSDNAEIEHLPPVDAFIDAAAGAIVLYRESTPDARARLKMASKYMASPFVDPLVTSRDVRDKIVEDYEAFKKEESRNVGILRDQGADFFITLEDPNEKMTSEHIDACLSLLCKRMTGPKSKLYTTRACMVDTIFFDTIRMLHTEFPIEDARAKMQIPDELQGYVEGERPTYAKKWEDVDFILAPCNVGGHWVVAKIDLVRWTIKVVDSARTLDAKDNGVRAGQMTLLTTMMPFICHQAGYFNNIRRKRRDLTSMPLDIHLSKAKVHRQNDSVSCGMFMIGYIEHILQSEKIRIKQNMIAKMRQQYALKIFSNSCESEP >EOY00070 pep chromosome:Theobroma_cacao_20110822:2:24864650:24866843:-1 gene:TCM_009524 transcript:EOY00070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT1G68220) TAIR;Acc:AT1G68220] MAKSVPILTCVTALHLIAFVFAVGAERRRSSAKVVPDPYDERTYCVYTTDASTVYGLIAFGLLLLSQTVLNGVTRCLCFGKGLLRGTSSTTCAIFFFVFSWISFLGAEACLLAGSARNAYHTKYRGIFGGDDLSCATLRKGVFAAGAALTLLSLLGSIFYYWAHSRADTGGWEKHQNEGLGMTSSSYAQQPPEFEKA >EOY00071 pep chromosome:Theobroma_cacao_20110822:2:24864745:24866340:-1 gene:TCM_009524 transcript:EOY00071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT1G68220) TAIR;Acc:AT1G68220] DASTVYGLIAFGLLLLSQTVLNGVTRCLCFGKGLLRGTSSTTCAIFFFVFSWISFLGAEACLLAGSARNAYHTKYRGIFGGDDLSCATLRKGVFAAGAALTLLSLLGSIFYYWAHSRADTGGWEKHQNEGLGMTSSSYAQQPPEFEKA >EOX97308 pep chromosome:Theobroma_cacao_20110822:2:1944689:1954959:-1 gene:TCM_046995 transcript:EOX97308 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 3 MLESISCCHSPKGYDFVKRKSLGGAYRQAVSWFSGHSSNMPYINNMLFHSRPILVKVRTNNCTLVLKHIFGDTPLQSSSPSNWRGLKFSDDRLIHRGRSRIYAAVDVASAVDVINDLGLDTLTFLAVTVMVVPAFKIIRASPILGFFFAGVVLNQFALIRNLTDVKVLSEWGILFLLFEMGLELSLARLKALAKFAFGMGLTQVVLSTLAFTAFELPPNGAIGTRILEFLFHSRPDLVNIRSIDEAVVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQQDIAVVPLLVILPVLESQNLVEESIWPMLAQESLKALGGLGLLSLGGKYILRRVFEVVAETRSSEAFVALCLLTVAGTSLLTQQLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFMTTGTSIDMQLLYREWPNVLTLLAGLIVIKTLIITAIGPRVGLTLQESVRVGFLLSQGGEFAFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPWLNEVGRRAADFIDDKFDADKAAETVNFDASEPIVIIGFGQMGQVLANFLSTPLASGVDGDSMGLHYVAFDLNPSVVKASRKLGFPILYGDGSRPAVLQSAGISSPKAVMIMYRGKKRTIEAVQRLRLAFPAVPIYARAQDLKHLLDLKKAGATDAILENTETSLQFGSKLLKGFGAMSDDVTFLSELVRDSMELQAQEELSKTDDREFDIMKPLQARVAQVQASISSTSSEDNLSRESQIDRAQVSRLQGGVDPTDKLSTSSEDELSRKNLADRTQVLQLQDEVNQGKHDSVLHQSEDELSRKNLADRTQVSQLQEEVDQGKHDSELHQSENPKSQGVLYCELDTENGFPIKTADSEEERNTLTTTEEC >EOX99376 pep chromosome:Theobroma_cacao_20110822:2:10848202:10854075:-1 gene:TCM_008059 transcript:EOX99376 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELMO/CED-12 family protein isoform 1 MVGSRSWIGGLFNRSSNKRNEKFLDYPLSPIQEQRLQKLQERLQTPFDETHPNHQEALKALWHIAFPNVALKGLISEQWKEMGWQGPNPSTDFRGCGFISLENLLFFGRTYPASFRRLLFKQDGKRATWEYPFAVAGINVSFMLIQMLDLYSAKPKNLPGFNFLKILGEDENAFDVLYCIAFEMMDAQWLAMHASYMEFNEVLQATRTQLQRELSLDDVHRIQDLPAYNLLYQ >EOX99377 pep chromosome:Theobroma_cacao_20110822:2:10848202:10853397:-1 gene:TCM_008059 transcript:EOX99377 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELMO/CED-12 family protein isoform 1 MVGSRSWIGGLFNRSSNKRNEKFLDYPLSPIQEQRLQKLQERLQTPFDETHPNHQEALKALWHIAFPNVALKGLISEQWKEMGWQGPNPSTDFRGCGFISLENLLFFGRTYPASFRRLLFKQDGKRATWEYPFAVAGINVSFMLIQMLDLYSAKPKNLPGFNFLKILGEDENAFDVLYCIAFEMMDAQWLAMHASYMEFNEVLQATRTQLQRELSLDDVHRIQDLPAYNLLYQ >EOX97674 pep chromosome:Theobroma_cacao_20110822:2:3013069:3015472:-1 gene:TCM_006629 transcript:EOX97674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 10 MASKRILKELKDLQRDPPTSCSAGPVAEDMFHWQATIMGPNDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTRVFHPNINSNGNICLDILKEQWSPALTISKVLLSICSLLTDPNPEDPLVPEIAHMCKADKFKYESTARSWTQKYAMG >EOX97810 pep chromosome:Theobroma_cacao_20110822:2:3552128:3554532:1 gene:TCM_006743 transcript:EOX97810 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MLENPKRGPSDSSFILEAPSFQELLNQAEAEFGFDHPMGGLTIPYFLMICWKTQPCDSEHLDHSICRSLVSYLHSSIYIYKCIIHTTAKAFSHSPLLPNPSILVSSLLCYSSYSKLLVEMAIRVPRIMHAKQILRQSKLFANQAASTSTDVPKGYFAVYVGESQMKRFVVPISVLNQPSFQKLLSIAEEEFGFNHPMGGLTIPCREEVFIDLTSRLH >EOY02038 pep chromosome:Theobroma_cacao_20110822:2:41755644:41759595:-1 gene:TCM_011792 transcript:EOY02038 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor 72, putative MENNLEKSALGNNVVFEEKITKSGSSPGGEGDHVSVETNKGEHQREIKQEDDRSKPPSPNKKDSIIKEEDQLESAKAKMGEVKEENERLRLLLSQIMKDYQSLHMRFRDILQQEEEAKKSTETAPSHQGNEEHDLVFLSLGRSSGTESKKEEKKSSNLSNNGKEDEKPNGNEGLALGLECKFVPAGSTEKNPSPETSFGKQEEEEPAEIWPPSKILKTVRSGDEDAEEQMQFKKAARVSVRARCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVSPTCPVRKQVQRCAEDMSILITTYEGNHNHPLPLSATAMASTTSAAASMLQSQSSSSQPGLGTSVSAPNSTSFAAHLHGLNFNFSHNSRPYQHYFPNSSISTTNSHPTITLDLTAPANSSYFTRLSNAPRYSSTCLNFSSSPSSSLEGAINNPQTSWNTGHLNFGALSNHKNSFFGPLNNLGRQPPQEHLYQSYMQMTNQTPHQQSLSETITAATKAITSNPSFRSALATALTSFVGNGGGVPILDNHSENEAKWGESLPLRTALHHAAVDKEVGCASSYLGKSSSVNSQQQQQKQGSLLLFPTNSLPFPTSKSTPGPPADTSNQIK >EOY00236 pep chromosome:Theobroma_cacao_20110822:2:30314157:30315585:-1 gene:TCM_010054 transcript:EOY00236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein MALSDVKVLGSWPSPFVLRPRIALHLKSVNYEYIEENLLESKSELLLKSNPVFKKVPVLLHGDKPICESLIIVQYIDEVWSSGPSILPSDPYERANSRFWAAYIDEKWFPALRGVLAAESEDAKKAAIAQVEEGLVLLEEAFGKLSQGKPFFGGDQIGYLDIALGSFLVWLKVIEKISEMKLLTEAKTRCLLEWAHRFSSHVAVKDVMPEADKLAEFGVKLRAKILKARAIPK >EOY00226 pep chromosome:Theobroma_cacao_20110822:2:30105525:30108277:1 gene:TCM_010027 transcript:EOY00226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MALATAPSSPPQLLPSKTINTQNASSFDHHGRILLALKQCTNMSSLKQIHAQTLRSASPHHPKTLLLYSQILLLSSSLDFNYALLLFNQVENPNSFMWNTLIRACALNVNNKEQAIRLYQEMLEQALVFPDKHTFPFVLKGCAYLFAFSEGKQVHAHALKHRFGSDVYVNNSLIHLYASCGCLDLAEKVFVKMSGRSLVSWNVLIEGFAQFGKFNTALELFREMQNRFDPDGYTLQSVISACAGLGALSLGAWAHAYLLNKCDFDLCSDVLINNSLVDMYCKCGSLELAQQVFERMPRRDLTSWNHMILGFAMHGQADEAIGCFDKMIRTESFRPNSITFVGVLSACNHKGMVFKGREFFDLMINDYEIKPGLEHYGCLVDLLARAGFIDDALDIVSTMPMRPDAVIWRSLLDACCKKNGSVELSEELANQVLESEGDIGSGVFVLLSRVYASASRWDDVGLVRKLMTDKGVTKEPGCSSLEIDGVAHELFAGDTSHPQTKEIYQMLNVIDEKLASVGYSPDHSQAPMVDELDETRQHSLRLHSERIAIALGLLKLQPGMPIRIFKNLRVCNDCHEVTKLISRIFKVEIFVRDRARFHHFKDGSCSCLDYW >EOX98326 pep chromosome:Theobroma_cacao_20110822:2:5372956:5379789:1 gene:TCM_007114 transcript:EOX98326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pten 2 MDSGPVDLSSPPPAKASDVQTPAATDPGPDNSTHEAPSKLSSWAKNLKIPQPFAASQEDSPTGNAGKSTFARFTSGLGLRSSPKSPPANDSSDGTSTAAQSGLLGTITKGIVDSSKNAVKAVQVKARHVVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFETHHKDKYKVYNLCSERLYDASLFEGKVASFPFNDHNCPPIQLIISFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESVDYYNQKRCVDGKGLVLPSQIRYVKYFERTLTYFNGENQPGRRCMLRGFRLHRCPYWIRPSITVSDHNGVLFFTKKHPRTKDLSAEDFWFSAPKKGVMVFALPGEPGLTELAGDFKIHFHDRQGDFYCWLNTTMIENRKILNTSDLDWFDKRKLPSPGFQVEVVLVDYNGTVLTKPQPENAATKPDESSGSNAASADGGAASSNQNKDPGHNDKDDVFSDSEAEESGSSKSRRHKAASAEGAAAPSATSKPETNSNSDKVASLVQSTEQVSLGNANAQQMHATSEPRKDAVGEAAAAGVQVSSSESEFKAMAADASVFTFGDDEDYESE >EOY00131 pep chromosome:Theobroma_cacao_20110822:2:26325517:26327551:-1 gene:TCM_009673 transcript:EOY00131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRLVYENLVRVFYSNGPLVYQGDDDEDKVLKLKPVSRNAITFIREENAIFEENLDAKFLVGPITTPKFSNSVSRLNLNNRILHLLVSYIIRPFGSKHSTVTVEDMWFMYHIKRMTLIDLAHFIFKDMDSLTKRVRNNRVHGMAISQMLGQWKVDISIDKPIMSNEVKFLDKGNLGRIGFKYDATKNGWVKEERAQEEAQVNQSMLNALPP >EOX98276 pep chromosome:Theobroma_cacao_20110822:2:5172404:5175721:1 gene:TCM_007077 transcript:EOX98276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease or glycosyl hydrolase MANLNASTSVVPSESSEQKGTLMVDSNVNVVQPPMNQQNRTSTDGPVAILWDIENCPVPSDVRPEDVAGNIRMALRVHPVIKGAVMMFSAYGDFNAFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFAPALHILGQRGYTVILVIPSGVGVSSALSNAGKFVWDWPSVARGEGFVHPSKALMPPRGPADITGYFMGCHISDNPDGQNEEEAIVYTGMSQSYYNLRDFSILSQSLSEYTSNPSIGMPSYPTTLRSQSLPAGLNEASGCPGFCDQNDTMWVQPGDINGLKGQLVKLLELSGGCLPLTRVPAEYQKYFGRPLYVAEYGAFKLVNLFKKMGDTMAIDGKSHKKFVYLRNWKAGPSAPPLALARKDKKGKGNQEESMDVTAGAGSSDEFSDEERVVVEERDERRNVGRTNFGAAGCDIDNCNLEQFKYELQEILVSYSCRIFLGCFEEIYQQRYKKPLDYRKLGVEKLEELFDKVRDVVVLHEEPVSKRKFLCAVGT >EOX98893 pep chromosome:Theobroma_cacao_20110822:2:7679598:7680352:-1 gene:TCM_007563 transcript:EOX98893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant EC metallothionein-like protein, putative MCNDSCGCPSPCPGGGACRCTASEATIGTDHKRCSCGEHCGCNPCTCTKAEVSGTGKLVCNCGTGCTCVTCAS >EOY02142 pep chromosome:Theobroma_cacao_20110822:2:42093753:42102834:1 gene:TCM_011866 transcript:EOY02142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7 MATVAAGGANIGLINPCNTQKLIKHPSPSPFLGKKLKLKLSTKTNPSIPKTLGALSLKGELIDAVRDLFVGVGVGLPCTVMECGDIIYRSTLPKPDGLTLTVPGAMLALGALSYLWATPGVAPGFFDMFVLAFVERLFRPTFKKDDFVLGKKIGEGAFGVVYRVSLAKKPNSKKEGDLVLKKATEYGAVEIWMNERVRRACANSCASFVYGFLENSSKKGGEYWLVWNFEGEATLSDLMQSKEFPYNVETMLLGEVQNLPKGLERENQIIQTIMRQILFALDGLHSTGIVHRDIKPQNIIFSEGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQMNLPDRFDIYSAGLIFLQMAFPSLRTDSSLIQFNRQLKRCDYDLIAWRKTVEPRASPDVRKGFELLDLDNGIGWELLTSMVRYKARQRISAKAALAHPYFDREGLLALSFIQNLKLQFIRATQQDYGEAANWVINLMAKSGTEKEGGFTEAQLQELRDIKPKKKPSPQRNALASALRLQRKIVRTLNESMDELSRRGKSLWWSREYGAWIPKWGGVQAVLSGGLGGTARPFMPHRWLFTRPITSKDEDEQCHRGSLKEKAIKQRAWRSPQGAKGKALHNKEMCGYAPLLA >EOX98710 pep chromosome:Theobroma_cacao_20110822:2:6799660:6813243:-1 gene:TCM_007407 transcript:EOX98710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MASRSLFLSLRRRSSNCSLSRLLSSGAASTEYVDEYLNNLETDDLKSRIFWLRLPKRSATTVIEKWVGEDNRVSISDLRQISKELRKSQRFKHALEISEWMVTHEEYKLSDSDYAIRIDLMTKVFGIDAAERYFEDLPPTAKTSETYTALLHCYAAAKLTEKAEELFERIKGLALSFSALMYNEIMTLYMSIGQVEKVSLVVEELKRHKVAPDIFTYNLWISSCAAALNIDQVKRILDEMRCDSACNDGWVRYISLVTVYVNASHLANAESSSPIETEKGITQREWITYDFLVMLYAGLGNKDKIDQIWKSLRMTKQKMTSRNYICISSSYLILGHSKEVGEVIDQWKQSNTTDFDTSTCNRILSAFTEVGLTEKAHDLHLLLIQKNCSLTNEKSYIGV >EOX97422 pep chromosome:Theobroma_cacao_20110822:2:2224984:2228233:-1 gene:TCM_006435 transcript:EOX97422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mtn21-like protein MGQIVNILHGLKPAMLMVVVQVVFAGVNVLYKLAANDGMSLRIIVAYRFIFATAVMIPLALILERKRPKLTWTVLIQAFFCGLLGGSLSQNLYIESLALTSATFVSAMTNLIPAITFIMAVTIGLEKLAFGTMAGRAKVLGTVIGIGGAMLLTFYKGFQINMGSKHLDLLRHGRHGASSHAGSAHHLLGALLALCSCISYALWLNIQAKMSEKYPCYYSSTALICIMGAIQAVVFALCTEKDRSQWKLGWNIRLLTVAYGGILASGLMFSLVSWCVRMRGPLYASVFNPLMLVMVAFAGSLFLEEKLYLGSIIGAVLIVLGLYVVLWGKGKEMKKMNQLVPSASSPQNGPIEIIVTSSADNTSNNNNVIASKDSPKE >EOY02072 pep chromosome:Theobroma_cacao_20110822:2:41846528:41851389:-1 gene:TCM_011810 transcript:EOY02072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter 1:2 MEGGHEDSSWEGYVDWRNRPALRGRRGGMLAASFVLVAEVLENLAYLANASNLVLYLSKYMHLSPSKSANNVTNFMGTAFLLALLGGFLSDAFFTTYHIYLISALIEFLGLIILTMQARTPSLKPPECNPSSTPSIPCQEVGGGKAAMLFIGLYLVALGVGGIKGSLPTHGAEQFDQNTPQGRKQRSTFFNYFVFCLSCGALIAVTFVVWVEDNKGWEWGFAIATIAILLSIPIFLAGSTFYRIKIPFGSPITTICKVLVAAMLNSCMSSSPSNAIANLATSPCHQTEASEEAEQNAKQSEQQPDQLPTESLKFLNRAVVNKPAHPALECSVRQVEEVKIVLKILPIFACTIILNCCLAQLSTFSVEQAATMNTKLGSLKIPPASLPVFPVVFIMILAPVYDHFIIPFARRVTKTEMGITHLQRIGIGLFLSIIAMAVAALVEIKRKRVATDSGRLDSTNPLPITFFWIALQYLFLGSADLFTLAGLLEFFFTEAPSSMRSLATSLSWVSLAMGYYLSSVIVSMVNNVTDDSGHKPWLSGHTINHYHLERFYWLMCLLSSLNFLHYLFWAMRYKYRSAGARK >EOX99549 pep chromosome:Theobroma_cacao_20110822:2:12160777:12164916:-1 gene:TCM_008254 transcript:EOX99549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonoid o-methyltransferase related MDVVCVNGEKHINDHELLQAQAHVWNYLFNFINSMSLKCAIDLGIPDVIQNHGKPMTVTELVAALPINATKASSIYRLMRILVHSGFFAQQKLGNNDQEEGYVLTHASRLLLNGDPLSVTPFLKAMLDPILTKPWHFLGAWFQNDDPTPFDTAHGRTFWDYGGHDPMLSHFFNEGMASDARLVSSILIHKCEGVFQGLNSMVDVGGGIGTVAKAISDAFPHLECTVFDLPRVVAGLQDRGNLKYVGGDMFEAVPAADAVLLKWILHDWNDEECVKILKRCKEAISRGGKGGKAIIIDMVLTEKNHKVNDASIETQLFFDMLMMVLVTGKERNEEEWATLFLAAGFSDYKITPIMGLRSLIEVYP >EOX98444 pep chromosome:Theobroma_cacao_20110822:2:5793962:5795696:1 gene:TCM_007208 transcript:EOX98444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S14p/S29e family protein MGHSNVWNSHPKTYGPGSRACRVCGNPHAIIRKYGLMCCRQCFRSNAKEIGFIKYR >EOX98521 pep chromosome:Theobroma_cacao_20110822:2:6068027:6071903:-1 gene:TCM_007266 transcript:EOX98521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease 1 MTRDVQARMNTFMLDTMETAKSTAAADGDNSSKRKGTWVTAGAHIITAVIGSGVLSLSWAIAQLGWIAGPVTLLLFSAITWFTSTLLADCCRDPISGRRCSSYMDAVKSNLGGIHYKLCGFAQYGNLVGISIGYSITSAISMAAIKRSGCFHKNGHDAGCHVKNNVFMIIFGFIEIILSQIPNLHELSGLSVVAAIMSFAYSTIGLGLSIAKLAEGSHARTSLTGTTVGVDVTSAQKIWNCFEAMGDIAFAYAFSTVLVEIQDTVKSNPPENEAMKKATSVGISITTVFYMLCGVLGYAALGNKAPGNFLTGFGFYEPYWLIDVANVCIIVHLVGAYQVFCQPIFKCVEDWCSNRWPNNSFIKEGRPISLPIFGVYHFSAFRLVWRTAYVIMTTTVAMIFPFFNDVLGLLGGASFLPLTVYFPIQMHIAREKIQPWSCKWIWLNVLVLLCSVISLPAAAGSIEGIVKDLRNFKPFTSVS >EOX98585 pep chromosome:Theobroma_cacao_20110822:2:6281144:6282351:1 gene:TCM_046999 transcript:EOX98585 gene_biotype:protein_coding transcript_biotype:protein_coding description:MED32, putative MAALFGLFSSAFDSGSKTKSLSLSLVKLQRRLDSELKRLGVMDNIVDSLNNAYQEFVAAAANVLEIKESSAAQKTAATDAALENLKQKWELFRVACDQAEEFVESIKQRIGSECLVDEATGSMAGKSGQALTTGLPPISAVRLEQMSKAVRWLVIELQHGSGSAGGAAHAHPSAPFDARFSEDAAQ >EOX99385 pep chromosome:Theobroma_cacao_20110822:2:10929276:10932832:1 gene:TCM_008069 transcript:EOX99385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MGLVGVQENGNGEMALSLGNKNKYKRMDSELSDDFDDDVSASRHHHQLERKRSTTKYVLVCAIFASLNNVLLGYDVGVMSGAIIFIQEDLKITEVQEEVLVGILSIISLLGSLAGGRTSDIIGRKWTMGFAAVVFQIGAAIMTLAPSFQVLMIGRILAGVGIGFGVMIAPVYIAEISPTVHRGSLTSFPEIFINLGILLGYVSNYAFSGLSVHTNWRVMLAVGILPSVFIGFALFIIPESPRWLVMQNRVEEARSVLLKTNEDEKEVDERLSEIVAAAGMSNGEKNEEKAVWRELLSPSPSLRRMLITGFGIQCFQQITGIDATVYYSPEIFKNAGIESNSKLLAATVAVGVTKTAFILFATFLVDRVGRKPLLYVSTIGMTVCLLTLSLSLALLGNGQLGIALAVLCVCGNVAFFSVGMGPICWILTSEIFPLRLRAQASALGAVGNRVCSGLVAMSFLSLSRTISVAGTFFNFSVLSALSVLFVYKCVPETKGKSLEQIELLFQDQNEWQGSELELGDSEHLVQKA >EOY01065 pep chromosome:Theobroma_cacao_20110822:2:37322351:37323629:1 gene:TCM_011009 transcript:EOY01065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cadmium resistance 2, putative isoform 1 MYATNNSKSPPVFAQQAPAADVPIGPSANQPYHPAPSYAQPASHHIPQPAGKVSWSTGLCDCGSDVPNCCITCWCPCITFGQVAEIVDEGSTCNLRNKWSPLRITLLVHGVSLQYSCFYRSRMRSQYMLEESPCGDCCTHFFCETCALCQEYRELKNRGFDMALGWQGNMVRPQNQGMPMAPVVQSGMQRN >EOY01066 pep chromosome:Theobroma_cacao_20110822:2:37322155:37323753:1 gene:TCM_011009 transcript:EOY01066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cadmium resistance 2, putative isoform 1 VSSLQNPFSNLNIHQASPCTPQTTQSHHLFSRNRLQQPMFRSVLQQTSHIILLQVTRSLLPIIFLSLRGRCLGRQDSVTAALMFQIVASHVGVLASLLARLLRSSMKDQLLAEQVEPSTDYFIGSRGVLAILMLLSLQDEEPIHAGGKSLRGLLHSFFL >EOX98923 pep chromosome:Theobroma_cacao_20110822:2:7794673:7796483:1 gene:TCM_007588 transcript:EOX98923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylem cysteine peptidase 1 MPLSVLSIFSILAFIASFFIFSALAHDFSILGYSPEHLTSTDKLIELFESWISKHGKIYESIEEKLLRFEVFKDNLKHIDKRNKEISSYWLGLNEFADLSHEEFKNKYLGLKSEVLRKNQSPEDFTYRDVANLPKSVDWRKKGAVAPVKNQGSCGSCWAFSTVAAVEGINKIVTGNLTSLSEQQLIDCDTSFNNGCNGGLMDYAFEFIVANGGLHKEEDYPYLMEKGTCEEKKEETEVVAISGYHDVPENDEQSLLKALAHQPLSVAIEASGRDFQFYSGGVFNGPCGTELDHGVAAVGYGTSKESDYIIVKNSWGPKWGEKGYIRMKRNTGKPEGLCGINKMASYPTKK >EOY01570 pep chromosome:Theobroma_cacao_20110822:2:40076591:40080863:-1 gene:TCM_011431 transcript:EOY01570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide 4-kinase gamma 7, gamma 7,ubdk gamma 7,pi4k gamma 7 isoform 1 MSRKLDSPVQTQMAVAVFKSPLRGEYPRNNRMEGRQPAGRRRVFVQTETGCVLGMELDRSDNAHTVKRRLQVALNFPTEQSSLTFGDVELKNDLSAIRNDSPLLLTRNYLHRSSSTPCLSPTGRDLQQRDGSGPIEILGHSDSFDVLKVVVKDIVKAIKMGVDPLPVHSGLGGAYYFRNPRGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVVAYLLDYGHFANVPPTALVKITHSVFNVNGGVNGHKPYKKNLVSKIASFQQFIQHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLDGIGRFGQVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSEDELEYIENLDPIKDCEMLRRELPMIREACLRVLVLCTIFLKEAAAFGLCLAEIGEMMSREFRAGEEEPSELEVVCLEARRLVAERELSSPKSEMGDAEFQFDIDCEELESDFAPKMGNEDFMTRAPFQLGNGFMNNRFPLSKLEECFEEDEDSEGEVEQENFSAFKALQRIPTISKLSMSLKDTSLGDKSQKYSKFSGTKQENGYLTSSSGHRSANEQLPASVSFVKLADMNEEEWAYFLEKFQELLYAAFEKRKSVTLGQKQRQRLGTSCQF >EOY01569 pep chromosome:Theobroma_cacao_20110822:2:40076593:40080667:-1 gene:TCM_011431 transcript:EOY01569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide 4-kinase gamma 7, gamma 7,ubdk gamma 7,pi4k gamma 7 isoform 1 MSRKLDSPVQTQMAVAVFKSPLRGEYPRNNRMEGRQPAGRRRVFVQTETGCVLGMELDRSDNAHTVKRRLQVALNFPTEQSSLTFGDVELKNDLSAIRNDSPLLLTRNYLHRSSSTPCLSPTGRDLQQRDGSGPIEILGHSDSFDVLKVVVKDIVKAIKMGVDPLPVHSGLGGAYYFRNPRGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVVAYLLDYGHFANVPPTALVKITHSVFNVNGGVNGHKPYKKNLVSKIASFQQFIQHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLDGIGRFGQVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSEDELEYIENLDPIKDCEMLRRELPMIREACLRVLVLCTIFLKEAAAFGLCLAEIGEMMSREFRAGEEEPSELEVVCLEARRLVAERELSSPKSEMGDAEFQFDIDCEELESDFAPKMGNEDFMTRAPFQLGNGFMNNRFPLSKLEECFEEDEDSEGEVEQENFSAFKALQRIPTISKLSMSLKDTSLGDKSQKYSKFSGTKQENGYLTSSSGHRSANEQLPASVSFVKLADMNEEEWAYFLEKFQELLYAAFEKRKSVTLGQKQRQRLGTSCQF >EOY01382 pep chromosome:Theobroma_cacao_20110822:2:39224135:39225879:1 gene:TCM_011290 transcript:EOY01382 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative MPESYPMNGGNGTYSYTQNSYYQKTGINVAKGMVSEAIGEKLDINNFSSGSNSFRIADLGCSVGPNTFTTMQNIVDAVQHKYQSQGLASKFAEFQVFFNDQDSNDFNTLFTSMPKERPYYAVGVPGSFYGRLFPESFVHFVNSSFALHWLSRVPEELLDKNSPAWNKGRIHYTNASDAVVDAYAAQFTKDMEGFLDARAKELVSGGMMVIITLGCPNGMPYAHLAAGIMFDCLESCLNDMAKGGLLSENQVDSFNLPVYAPSPKEITELVERNGCFSIERLELTNWRTEADPRGDLRACVMHVRAGFESIIRKHFGNDIIDDFFERLLKEVKESFHLIQSSYMEGTQLSVILKRK >EOY01577 pep chromosome:Theobroma_cacao_20110822:2:40136622:40140125:-1 gene:TCM_011440 transcript:EOY01577 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein MRELKKLRMSYPGASFEEAECGEVKEKPGYSKMRLKYIRMVKAEKLKSLILARIRVARLKLWVTRALTVMLLWAIARQLKSLGEAVSSARTPKSTFSFPLPPERVYENNGYLMVSSNGGLNQMRSGICDMVTVARFLNVTLIVPELDNTSFWNDYSQFADIFDVDYFIASLRDEVRILKKLPPAQKKKVDESVFSMPPISWSNMTYYYNVILPRIQTHEVVHFQKTDARLANNGLPQDVQKLRCRVNYEALRFAPPIQALAEKIVRILREKGPFLVLHLRYEMDMIAFSGCNEGCNEEEIDELTKMRYAYPWWKEKVIDSEKKRLAGLCPLTPEETALTLKALGIDRNIQVYIAAGDIYGGERRLATLRAAYPNLVKKETLLPPSDLDPFRNHSNQMAALDYYVAVESDIFVPTNGGNMAKVVEGHRRYLGFKETILLDRKAIVDLVDLFRAGSISWEEFSSEMKEAHADRMGNPAKRLVIPGKPKEEDYFYTNPEECLARLDEPRVVSDDQQQDVEP >EOY00669 pep chromosome:Theobroma_cacao_20110822:2:34419553:34421538:1 gene:TCM_010591 transcript:EOY00669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADSYAKSHISPAENLLSSYYIHHSDLHGSVVINPKLAVANYMSWSRAFLLALSICKKRGFIDGTIKKPSEANSLFEDWSRCNILIVTWLLESLTPKIASNVLDMDSAKEILETLKNRFSQPYETIICNLQFQLRNILQGTRSVNTYFTELNSVWQELKNFRPLPQCDYEGRKNNCYKKYADQQNKDAVFCFLNGLNESFSCLRSHILMLKPFLSIDQAYSLVIKKMLQRSLILQSPVENSTMATVITEEKRKNTNLVCSHCGKKGHSKEKYYCIIGFPENFKFTKLKRNMRKGGSSVNSAISGSEQDEYDETVTNSISQLSLTKAQIQKLMTLIS >EOY02086 pep chromosome:Theobroma_cacao_20110822:2:41910512:41916286:1 gene:TCM_011823 transcript:EOY02086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MEKMEESNKKETNVKRQKGGMITMPFIFSNEVCEKLAVVGFNTNMISYLTTQIHMPLTKAANTVTNFGGTASLTPLLGAFIADSYAGRFWTITVASIIYQMGMTSLTLSAILPQFRPPPCKGEEVCQQATAGQLAILYGSLLLGALGSGGIRPCVVAFGADQFDETDPKQSTKTWKYFNWYYFVMGASILVAVTVLVYIQDNFGWGWGLGIPTIAMFLSIITFIAGYPLYRHMDPAGSPFTRLLQVSVAAFKKRKLTMVTDPQLLYRNEELDAAISLGGKLVHTEQMTFLDKAAIVTEEDNVKSSQAPNLWRLNTVHRVEELKSVIRMGPIWAAGILLITAYAQQSTFSLQQAKTMDRHLTKSFEIPAGSMSVFTMVFMLFTIALYDRVLVRIARRFTGLDRGITFLHRMGIGFVISVLATLVAGFIEIKRKQAAFAHGLQDKAHGIIPISVIWLVPQYALHGIAEAFMSIGHLEFFYDQSPESMRSTATALFWTSISVGNYVSTLLVSLVHKFSAGPDGSNWLPDNNLNKGKLEYFYWLLTGLQARKQRVTTEKGNNMESRTICL >EOX99119 pep chromosome:Theobroma_cacao_20110822:2:8576536:8579434:1 gene:TCM_007730 transcript:EOX99119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 86, subfamily B, polypeptide 1 isoform 1 MQYPLISCHSKTMIDPSTNLTSSSSSLSSDDSHAGNFVSRRLFFLQDVHILEIFLALLVFVVIHSLRQKRRYGLPVWPFLGMLPSLVSGLQANMYEWVSDILCQQNGTFKFRGPWFSSLNCVVTADPRNLEHLLKTKFSVFPKGPYFRDTVRDLLGDGIFSADDETWQRQRKTASIEFHSAKFRQLTTESLLELVHARLLPVLENAVNKSISIDLQDILLRLTFDNVCMIAFGVDPGCLHSGLPEIPFARAFEDATEATVLRFVTPTCIWKAMRYLDLGTEKKLKRSIKGVDKFAEEVIRTRKKELALQSEDKKQRSDLLTIFMRLKDEQGKPFSDKFLRDICVNFILAGRDTSSVALSWFFWLLEKNPMVEEKILAEISRIINEREEMKDEEAKSRLVFRPEEIKKMDYLQAALSEALRLYPSVPVDHKEVVEDDVFPDGTVLKKGTKVIYAIYAMGRMEAIWGKDCREYKPERWLRDGRYMSESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASIIYRYRVKVVKDHPVAPKLALTMYMKHGLNVNLIKRDESELQNYLKTHT >EOX99120 pep chromosome:Theobroma_cacao_20110822:2:8576536:8580276:1 gene:TCM_007730 transcript:EOX99120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 86, subfamily B, polypeptide 1 isoform 1 MQYPLISCHSKTMIDPSTNLTSSSSSLSSDDSHAGNFVSRRLFFLQDVHILEIFLALLVFVVIHSLRQKRRYGLPVWPFLGMLPSLVSGLQANMYEWVSDILCQQNGTFKFRGPWFSSLNCVVTADPRNLEHLLKTKFSVFPKGPYFRDTVRDLLGDGIFSADDETWQRQRKTASIEFHSAKFRQLTTESLLELVHARLLPVLENAVNKSISIDLQDILLRLTFDNVCMIAFGVDPGCLHSGLPEIPFARAFEDATEATVLRFVTPTCIWKAMRYLDLGTEKKLKRSIKGVDKFAEEVIRTRKKELALQSEDKKQRSDLLTIFMRLKDEQGKPFSDKFLRDICVNFILAGRDTSSVALSWFFWLLEKNPMVEEKILAEISRIINEREEMKDEEAKSRLVFRPEEIKKMDYLQAALSEALRLYPSVPVDHKEVVEDDVFPDGTVLKKGTKVIYAIYAMGRMEAIWGKDCREYKPERWLRDGRYMSESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASIIYRYRVKVVKDHPVAPKLALTMYMKHGLNVNLIKRDESELQNYLKTHT >EOX99118 pep chromosome:Theobroma_cacao_20110822:2:8576403:8579768:1 gene:TCM_007730 transcript:EOX99118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 86, subfamily B, polypeptide 1 isoform 1 MQYPLISCHSKTMIDPSTNLTSSSSSLSSDDSHAGNFVSRRLFFLQDVHILEIFLALLVFVVIHSLRQKRRYGLPVWPFLGMLPSLVSGLQANMYEWVSDILCQQNGTFKFRGPWFSSLNCVVTADPRNLEHLLKTKFSVFPKGPYFRDTVRDLLGDGIFSADDETWQRQRKTASIEFHSAKFRQLTTESLLELVHARLLPVLENAVNKSISIDLQDILLRLTFDNVCMIAFGVDPGCLHSGLPEIPFARAFEDATEATVLRFVTPTCIWKAMRYLDLGTEKKLKRSIKGVDKFAEEVIRTRKKELALQSEDKKQRSDLLTIFMRLKDEQGKPFSDKFLRDICVNFILAGRDTSSVALSWFFWLLEKNPMVEEKILAEISRIINEREEMKDEEAKSRLVFRPEEIKKMDYLQAALSEALRLYPSVPVDHKEVVEDDVFPDGTVLKKGTKVIYAIYAMGRMEAIWGKDCREYKPERWLRDGRYMSESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASIIYRYRVKVVKDHPVAPKLALTMYMKHGLNVNLIKRDESELQNYLKTHT >EOY01469 pep chromosome:Theobroma_cacao_20110822:2:39567299:39567968:1 gene:TCM_011344 transcript:EOY01469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNCLTGIKILPQNDQPEPQGRRAEVIQERRELTASNLEGAEVAADEGEKIKQKKKKKKMRFKSNEENNVVTLEELNQILSSSKDLKHSSVKRKLRGIWVSEAGRASDVDGFHGGWRPALESIPEED >EOX98485 pep chromosome:Theobroma_cacao_20110822:2:5931355:5935696:1 gene:TCM_007235 transcript:EOX98485 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor-like A1C MGSFSQLASKMFFPFNRATFGCLEHAVKTCNVDVWDWKSRFEVSGVVLIGCWVVVREVKRLFACILKVLGHVFILDCFFSISVGKGDNCSWWQCRKLQGSESDRVILPMNLRYGWLVITGSVSMGKPYKCRRALDSLNFPSPPCSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADYDNLPVSRRELHDLLSKSSLNSIPLLVLGNKIDKRGALSKENLTEQMGLRSITDREVCCFMISCKNSTNIDTVIDWLVKHSKSKN >EOY00688 pep chromosome:Theobroma_cacao_20110822:2:34487363:34489321:1 gene:TCM_010617 transcript:EOY00688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MWLPLLLLLPLLLLIIKKMQIRKKGKLPPGPPKLPILGNLHQLGALPHRSTWKLSKKHGPIMLLQLGAIPTIVVSSAETAREVLKTHDLDCCSRPPLAGPKRLSYNFLDIGFVPYGYYWREMRKICVAELFSMKRVQSFQSVREERRLESSFNEQDVFFQKVIDDHLNSGRTMQEHEDIVNDISLAAIDTGALTMIWAMTELARKPTVMKKAQYEIRRCVGKKGKVTDNDFNQLQYLKMIIKETLRLHPPAVLLLPRETVSQIKIGNYDVYPKTRIAVNVWAIGRDPDIWKNPEEFLPERFNNNPIDFKGQHFELLPFGTARRICPGMNMGTAVIELALANLLYHFDWKLPSGVEIDMEEEVSITVGKRSALKLMPINYISEDDKTQGVAEIQFGNF >EOY00129 pep chromosome:Theobroma_cacao_20110822:2:26314512:26317182:-1 gene:TCM_009671 transcript:EOY00129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease 2, 2 isoform 2 MEGRRMQILALFSFMLITLPAIHGWGTDGHSIVCRIAQSRLSEAAADAVKQLLPKFAEDDLGSVCSWADQVKFRYRWSSALHFLNTPDTCTYQYQRDCKDEEGEKGRCVAGAINNYTSQLLSYNSSASKAEYNLTEALLFLSHFMGDIHQPLHVGFASDKGGNTIDVHWYTRKEVLHHVWDSNIIETAEERFYDSNVDAMIDAIQQNITTEWVDQVKRWETCSLNKTACPDMYDMHLKVSKRPVIGHIKVPQKGQYLKMTIFSPDYQLLTGG >EOY00128 pep chromosome:Theobroma_cacao_20110822:2:26314477:26317371:-1 gene:TCM_009671 transcript:EOY00128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease 2, 2 isoform 2 MEGRRMQILALFSFMLITLPAIHGWGTDGHSIVCRIAQSRLSEAAADAVKQLLPKFAEDDLGSVCSWADQVKFRYRWSSALHFLNTPDTCTYQYQRDCKDEEGEKGRCVAGAINNYTSQLLSYNSSASKAEYNLTEALLFLSHFMGDIHQPLHVGFASDKGGNTIDVHWYTRKEVLHHVWDSNIIETAEERFYDSNVDAMIDAIQQNITTEWVDQVKRWETCSLNKTACPDIYASEGIKAACDWAYKGATEGSVLEDDYFLSRLPIVNWRLAQGGVRLAATLNRIFG >EOY00175 pep chromosome:Theobroma_cacao_20110822:2:28373361:28375404:-1 gene:TCM_009872 transcript:EOY00175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain 1A MQCGLRLERRSLRLCLTSLISPEQLAKEVEYLLRRKWIPRLEFELQDGFVYRENHRSPGYDDGRYRTMWKLPMFGCTDATQVLKELEEAKKAYPKSFIRIIGFDNRRRVQCIIFIAYKPPGF >EOX98822 pep chromosome:Theobroma_cacao_20110822:2:7349445:7353290:-1 gene:TCM_007502 transcript:EOX98822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLSLLQGYSSAEEEEEEEAAAAEHDQIHYRASSDDDDDQCKNHSAAPKRYDSSVFDFPDPSHRSVLPSAFDAFSQISGPPEFLNHAVEEQASERDSDHQQGRRGGRRGFKDKKDMPAGTVVEAKPQLVGIHERVRSDIEGSKPPTSSVTSTSQDGAKRVASATNPNAEDAAELLRMCLQCGIPKTYSSARGMVCPVCGDRPLADTDKEPKKKGSTIKDKEKGKRMKGQSSHATWKSETEMQLRQQFDY >EOX99215 pep chromosome:Theobroma_cacao_20110822:2:9137117:9153003:1 gene:TCM_007817 transcript:EOX99215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPPRRERPLPTRSARRGRGRLRQGQPDLRGEESTVSPFRATLAAEPVEIPPPSTSISVVSPEVIQAMTAFFTAMAGQAQTSQVPPVVPPVTPSVPLAHDVSISKKLKEARQLGCVSFVGELDATAAKDWINQVSETLSDMRLEDEMKLIVATRLLEKRARTWWNSVKSRSTILLTWSDFLREFDSQYYTHFHQKEKKREFLSLKQGNLTVKEYETQFNELLSYVPDLVRTEQDQADYFEEGLRNEIRERMTVTGREPHKEVVQMALRAEKLANENRRMRAELAKRKNLNMSSSQPLKRSKGSFVSGSAPSVSLGQATVAALSSSTRTDLQMKDSSGAQPRQGVAIRPDVESNTPVYPPSKPQTRASTKVFTVMEDEARVQPRESE >EOX97094 pep chromosome:Theobroma_cacao_20110822:2:1161196:1162845:1 gene:TCM_006196 transcript:EOX97094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryogenesis-associated protein MKKTIKLPKKKTSKLKRVVLGKEVEVSETKNIIDRVALGGCVRAVDEFFGVLRTIPFRRQKIQGSTLPKPLQSSIDQGPYLNLMGDGMVTAAGSEPRVIVPEDMSNEHMIHSKKEEDTISDKGTSLDLTDKIYSNKHIMKQAEQNVKDLIVPVQRRIDQLSCRSR >EOX98615 pep chromosome:Theobroma_cacao_20110822:2:6404456:6418595:-1 gene:TCM_007324 transcript:EOX98615 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 16 MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKARELSILCDAEVGLIIFSSTSKLYDYASTRSDSLSHNPFLFFWQREAASLRQQLQYLQDYHRKLMGEELSGLSVKDLQNLENQLEMSLKGVRMKKDQILTDEIKELNHKGHLIHQENLELYKKVDLIRQENTELQKKVLAQII >EOX96864 pep chromosome:Theobroma_cacao_20110822:2:283299:284298:-1 gene:TCM_006014 transcript:EOX96864 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein, putative MSSTTAATSNEWMQFYEQTADELSASSLAFSDATAVATSGSSESNLSPSSLHSASDQLTPMGSSSKPIRRRSRASKKTPTTLLNANTSNFRALVQQFTGCPSAPLSQGSRRGPINLNFAVGSERNQRGIATSIMSASGNDYNYYQQSHQEQLRQQLYQEQQQAVSFDNVQHDAFFPSSSSRANADQIPDGFDLDNISLQALTRDVPYSSGNANDSNYFL >EOX98316 pep chromosome:Theobroma_cacao_20110822:2:5313158:5315607:1 gene:TCM_007103 transcript:EOX98316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGEIPPLHVLFFPFMGLGHMIPAMDMTKLFAMRGVETTIVTTPLNVPFFSESIQRCKNSDRFGIPSFYELEATYADHYRNVLGRKAWHIGPVSLCNRAIADETDRGKKASIDEQKCLNWLDSKEPNSVVYIYFGSVSNFNAAQLMEIALAIEASGQQFIWVVRKEKNNEDEEDWLPEGFEKRMEGKGLIIRGWAPQVLILDHEAVGGFVTHCEWNSTLESVSAGLSMVTSPAFAEQFYNEKLVTQVLKIGVGVRVQKWVEVVGDSVKRDAIEEAVRGIMVGESAEEMRSRAKALGEMAKGAVEEGGSSDSDLNALI >EOY00396 pep chromosome:Theobroma_cacao_20110822:2:32052328:32059530:1 gene:TCM_010269 transcript:EOY00396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter family protein isoform 1 MEEGDRIEESSSVRLTGRTYSGGGVGESRWVDGSEVDSESPPWSLLDENEGKEGYGSLRRRLVKKPKRVDSFDVEAMEIAGAHGHRSKDLSTWRTLALAFQTLGVVYGDMGTSPLYVFSDVFSKVNIESDVDILGALSLVMYTIALVPLAKYVFVVLQANDNGEGGTFALYSLICRYAKVNMLPNRQPADEQISSFKLKLPTPELERALNIKETLERRSSLKTLLLLLVLMGTSMVIGDGILTPAISVMSAVSGLQGEIKGFNTTAVVVVSIVILVALFSIQRFGTSKVGVMFAPALALWFFSLGSIGIYNLVKHDITVIKAFNPAYIYFFFKKNSRDAWSALGGCVLCITGAEAMFADLGHFSVRAIQIAFTFVVFPCLLLAYMGQAAYLMRYPDSSGRIFYDSVPDSLFWPVFVVATIAAMIASQAMISATFSCVKQSMALGCFPRLKIIHTSRRLMGQIYIPVINWFLMIMCVVVVSIFRSTTDIANAYGIAEVGVMMVTTSLVTLVMLLIWQTNLFMALCFPLVFGSIELIYFSAVLSKVLEGGWLPLVFAAFFLTVMYIWNYGSVLKYQSEVREKISMDFMHELGSTLGTVRVPGIGLLYNELVHGIPSIFGQFLLSLPAIHSTIVFVCIKYVPVPVVPQEERFLFRRVCPKDYHMFRCIARYGYKDIRKEDHHAFEQLLVQSLENFLRKEAQDLALESTLTEMDIDSVSVSSRDYGTQGTYGNEELKIPLMHDRRLEEAGTSTSEEASVALPSSVMSSDEDPSLEYELSALREAIDSGFTYFLAHGDVRAKKNSVFLKKLVINYFYAFLRRNCRAGAANMSVPHMNILQVGMTYMV >EOY00397 pep chromosome:Theobroma_cacao_20110822:2:32052511:32059503:1 gene:TCM_010269 transcript:EOY00397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter family protein isoform 1 MEEGDRIEESSSVRLTGRTYSGGGVGESRWVDGSEVDSESPPWSLLDENEGKEGYGSLRRRLVKKPKRVDSFDVEAMEIAGAHGHRSKDLSTWRTLALAFQTLGVVYGDMGTSPLYVFSDVFSKVNIESDVDILGALSLVMYTIALVPLAKYVFVVLQANDNGEGGTFALYSLICRYAKVNMLPNRQPADEQISSFKLKLPTPELERALNIKETLERRSSLKTLLLLLVLMGTSMVIGDGILTPAISVMSAVSGLQGEIKGFNTTAVVVVSIVILVALFSIQRFGTSKVGVMFAPALALWFFSLGSIGIYNLVKHDITVIKAFNPAYIYFFFKKNSRDAWSALGGCVLCITGAEAMFADLGHFSVRAIQIAFTFVVFPCLLLAYMGQAAYLMRYPDSSGRIFYDSVPVFVVATIAAMIASQAMISATFSCVKQSMALGCFPRLKIIHTSRRLMGQIYIPVINWFLMIMCVVVVSIFRSTTDIANAYGIAEVGVMMVTTSLVTLVMLLIWQTNLFMALCFPLVFGSIELIYFSAVLSKVLEGGWLPLVFAAFFLTVMYIWNYGSVLKYQSEVREKISMDFMHELGSTLGTVRVPGIGLLYNELVHGIPSIFGQFLLSLPAIHSTIVFVCIKYVPVPVVPQEERFLFRRVCPKDYHMFRCIARYGYKDIRKEDHHAFEQLLVQSLENFLRKEAQDLALESTLTEMDIDSVSVSSRDYGTQGTYGNEELKIPLMHDRRLEEAGTSTSEEASVALPSSVMSSDEDPSLEYELSALREAIDSGFTYFLAHGDVRAKKNSVFLKKLVINYFYAFLRRNCRAGAANMSVPHMNILQVGMTYMV >EOY01006 pep chromosome:Theobroma_cacao_20110822:2:36485234:36500447:-1 gene:TCM_010912 transcript:EOY01006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MRKLMLSLAGFRSVFGVMSAYRDVAAVVTGPMGVPVQALAAFLNVIMGQAQAGRVPHTVPPAVSPVSRPGTPHRARDNRREASTNILHPECRSKPRKALVSAFALPRAFSSFLSKTSKLAKNVIVPPPPPLVPPPVPDVSISKKLKEARQLGCTSFVGDLDATAATDWITQVTETFVDMKLDDDMKLMVATRLLEKRARTWWSSVKSRSITSLTWIDFLQEFDGQYYTYFHQKEKKREFLSLQQGNLTIEEYEARFNELMSYVPDLVKSEQDQASYFEEGLRNEIRERMTVTGREPHKEVVQMALRAEKLTNENRRMRAEFAKRRNPNVSSSQLPKRVTAETSEI >EOX99725 pep chromosome:Theobroma_cacao_20110822:2:15155034:15156578:1 gene:TCM_008586 transcript:EOX99725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFPFFGNRFSTWSRPRYEQSLRGIPVQVQPKSTPPPQPMKPKVVAVPVHFVGSERGRSDSAVRIQKVFRGFLVRENIKKIKAIREEVNDIERRVSMKETVDLIRNDSKERLKINEMLMSLLFKLDSVRGVDSGVRDCRKSVIKKAIALQELVDAIVSADQSLDSNNAIAVAETETVDQNQGITDSADNCNQTPELQKHDEITNKAEFMPNLSESEVIPQNQETVESSKEIVANQEDEESTETGRPESQTDSSANPESVDEEEEAALEENETRLADGGKAGKNSSKEDCGENKSSKELLERMMQDNEKMMGLMAELFERNEMQTRLLSGLSQRVEQLEKAFLCQKLRRKKRRSVAGSVDCVEKLPDVKKCGKR >EOX98698 pep chromosome:Theobroma_cacao_20110822:2:6745470:6746231:1 gene:TCM_007395 transcript:EOX98698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASPNFFSLAFFIAIFSFSSMDVSLAARRLQQLPPVPPMPTLPPLPALPTWPTTQPSLPNPATFPPLPSLPNLPNAPKVTLPPLPSLPFIPTFPTAIPSFPFLSPPPTLYSP >EOX98132 pep chromosome:Theobroma_cacao_20110822:2:4660639:4662996:-1 gene:TCM_006967 transcript:EOX98132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAHRTRKRPRSNHVVDESLHFFKIILPRTIAEKNLTIPNKFVGKFGHELSGVATFVLPNGRKWKIGLTKADDRIWLDDGWHEFIEYNSIRYGYFLVFRYEKDSTFHVIIFDNSACEVDYPSNDEELTDGESEKHSIHQDSETEEDDSPEVLGVKAPSLNERANREAINGNQDASSQQHLVRKPQVDAASHKNSEVKQDKVTRVKRCKTEEVEFDNLNESRQINSNIKELRRSHRLLPSKSHLMNQNATGIHDQDLSVQLQDLKQQFDGKKLKITIQRANLQSLEVMHKGNEANKETESGKQDQHGSIQDEEIEIYVSRMFFGISSTSRDRERAIRAVEVIKPKNPCFMIILRRGNFDSSTMHVPAGFDTEYLSGVTDHIRVEDSDGREWFIEFKRHRNFGILLRKGCYRFWRDNNLKEGDVCIFELRDKKAAVHKISIFRADSN >EOY00324 pep chromosome:Theobroma_cacao_20110822:2:31175488:31177942:1 gene:TCM_010159 transcript:EOY00324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative MVYIQIGSHIYIIYALTKMGLLPCHLSCYRDDESQSRSNHLHSESSNSSISSQSSLPSVPSLTPVSQHDQTPTIHHKCIATLKGHSYVFSLTLARKVLYSGSSNGEIQVWSQDFSEQDNPADNIVATSNTAAKSLVVLRDKLFSAHQDNKIRIWKIHNHLKQKYKCIATLPTLNDHLLRCFSKKNYVQVRRHRKSMWVHHVDTVSTLAISIDCSLLYSALWDRTFKVWRTSDFKCLESVQNAHDDAMNAIVLSKDSFVYTGSADKTFKRKQCQGSGNRHMVKVGALRGRTKAILCLAVVMDLTCSGSADETVRIWRRGTDKSYSCLAVLEGHRRPVKCLTAAVDGKLKLQVLLNLVLLTWFAVGVWTMILRSGKYGLQFSDFFLFPLLCDFIKWVFLAYTRLSSFRKIRN >EOX98164 pep chromosome:Theobroma_cacao_20110822:2:4725116:4727121:1 gene:TCM_006988 transcript:EOX98164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 10, putative isoform 1 MGFVKRQKVLNPERNMEQKQKLVVLVSLLIYNLPAMVLGTSSEPPKHFVLVHGSCHGAWSWYKLVPLLKSEGHNVTGIDLAGSGVDPQQVNTLRSISDYIKPLRDFMESLPDQQKVVLVGHSLGGLAISQAMERFPEKISVAVFLTALMPGPTLNISTLSQRAFKEQDSQMDSLYTYDNGPNNAPTTFIFGPLYLSSQVYQLSPREDWALANVLMRPIPLYSMEDMSTELVLSKKRYGSVNRAFIISEKDMVSRKDLVYWMIEKNPPHQVEEVKGSDHMVMMSKPRQLCNLLLSIATKLC >EOX98165 pep chromosome:Theobroma_cacao_20110822:2:4725352:4726704:1 gene:TCM_006988 transcript:EOX98165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 10, putative isoform 1 MGFVKRQKVLNPERNMEQKQKLVVLVSLLIYNLPAMVLGTSSEPPKHFVLVHGSCHGAWSWYKLVPLLKSEGHNVTGIDLAGSGVDPQQVNTLRSISDYIKPLRDFMESLPDQQKVVLVGHSLGGLAISQAMERFPEKISVAVFLTALMPGPTLNISTLSQRAFKEQDSQMDSLYTYDNGPNNAPTTFIFGPLYLSSQVYQLSPRESTIAGLGIG >EOY01940 pep chromosome:Theobroma_cacao_20110822:2:41415668:41420487:-1 gene:TCM_011718 transcript:EOY01940 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441, putative MDERSDTEKMEEVMLPGFRFHPTDEELVGFYLKRKIQQRRLSIELIKQLDIYKYDPWDLPSKKYRNSARPNRVTGAGFWKATGTDRPIYSSDGTKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPTLTDSAPPKRFLDKSLPANDSWAICRIFKKTNSTAQRALSQSWVSPIPEASSMSDMPSRGSNSSQLSSENILLTTKTSSLAVQFNHDADIIQTPAAAFSPLDHFLSYKPITQMAGKPPQLPAIASTGDLTSLIFAPLETSSFPAKSAVDVTSMLLNMSSSMLGDYGKAASDSTVHFGGGSQDHCNGGFPGTLPHEMQGNINNNGDHESSLLKNLNVMTHVDDQWEPVRSIGFPFSLPMSMGDAWKPSMPWDSSCPSEMSTSFSTTTKCYT >EOX96939 pep chromosome:Theobroma_cacao_20110822:2:613906:615059:1 gene:TCM_006070 transcript:EOX96939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Matrix metalloproteinase, putative MESKLFPLLATASLLFLFPSFSHTVFARPNPENQSPFAFLKQLEGCRKGDKVKGIGELKMYLIRFGYLSSTDPNHGGPQENYNDDYFDDRNHSGSSSFHALPRYAFFNGRPKWPPSKTTLTYAFPPGTRPDAMAPVAQAFSTWASVSKFQFRLVQDYKNADLKISFQRRIHGDGVPFDGPRGVLAYAFAPTDGRLHFAGDENWTVGAKPGAFDIGTIGLHEIGHLLGLKHSTVKQAKTFPFIEPGKIKGLNDDDIKGIHSLCG >EOY01305 pep chromosome:Theobroma_cacao_20110822:2:38916349:38919231:-1 gene:TCM_011239 transcript:EOY01305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase 28 MVMKLPYLGSSLFLVLTLLSVVVSGSEKRLPILSFDEGYSQLFGDDNLAIHRDGKAVHLSLNERTGSGFVSQDLYLHGYFSASIKLPADYTAGVVVAFYMSNGDIFEKNHDEIDFEFLGNIRGKDWRIQTNVYGNGSTSVGREERYNLWFDPADDFHQYTILWTDSKIIFYVDNTPIREVKRTAAMGGDFPSKPMSLYATIWDGSDWATNGGKYRVNYKYAPYVAKFSDLILHGCAVDPIEFSSKRCDTAQSLEELSTTITPSQRSKMDSFRRKYMTYSYCYDQTRYKGPPPECVVNPQEAERLKKFDPVTFGGGRRHHGKRHHRSRASRSETVSV >EOX98025 pep chromosome:Theobroma_cacao_20110822:2:4306049:4311791:-1 gene:TCM_006889 transcript:EOX98025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanyl-tRNA synthetase alpha chain isoform 2 MAEDAILGYLATHEEIPDSGQFASQHGLQHNDVVNVIKSLHGFRYIDAQDIKRESWVLTDEGKKYAAEGSPEVQLFLAIPPEGSISKDELQKKLDPSVFKIGCSQAGKNKWVDMGKQVSRKVQHVEDKVKDLLIRIQDGQAIGKDDINSLKARKLIVAQTWKGYSVRKGPNYAPKRKKVATDLTRENLQRGDWKELEFKEYNFNAKGPPAEAGHLHPLLKVKQQLKNIFLQMGFEEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFMEAPSTTRELQACPSCVSSLLTTHTPNLAWRYSGDNYHEGLKKWVEIGNSGMFRPEMLLPMGFPEDVRVIAWGLSLERWILVSSKGIQSVALELIRLWNILVIPFFLLLIEPRIHQYSLHMYFYSIYNEQLLYQAFLTSFLLSIFMCNSGCDHSLEHLYSLDTLPVTVIYFTLLRRVNSTCFDFQHPMQSQFVILPCWILCAKP >EOX98024 pep chromosome:Theobroma_cacao_20110822:2:4306234:4311680:-1 gene:TCM_006889 transcript:EOX98024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanyl-tRNA synthetase alpha chain isoform 2 MAEDAILGYLATHEEIPDSGQFASQHGLQHNDVVNVIKSLHGFRYIDAQDIKRESWVLTDEGKKYAAEGSPEVQLFLAIPPEGSISKDELQKKLDPSVFKIGCSQAGKNKWVDMGKQVSRKVQHVEDKVKDLLIRIQDGQAIGKDDINSLKARKLIVAQTWKGYSVRKGPNYAPKRKKVATDLTRENLQRGDWKELEFKEYNFNAKGPPAEAGHLHPLLKVKQQLKNIFLQMGFEEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFMEAPSTTRELPEDYVELVKRVHESGGYGSRGYMYDWKREEANKNLLRTHTTAVSTRMLYALAKQPFTPKRYFSIDRVFRNESVDRTHLAEFHQIEGLVCDWGLTLGDLIGVLNDFFSRLGMSKLRFKPAYNPYTEPSMEIFSYHEGLKKWVEIGNSGMFRPEMLLPMGFPEDVRVIAWGLSLERPTMILYGVDNIRDLFGHKVDLSLIKRNPICRLGIN >EOX98459 pep chromosome:Theobroma_cacao_20110822:2:5845592:5849414:-1 gene:TCM_007217 transcript:EOX98459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MTAVHPPPAPGRELSNPPMDGISNLRFSNHSDHLLVSSWDKTVRLYDASANSLRGEFMHGGPVLDCCFHDDSSGFSASADNTVRRIVFSYGKEDILGRHDAPVRCIEYSYAAGQVITGSWDKTLKCWDPRGASGQERTLVGTYPQPERVYSLSLVGNRLVVATAGRHVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEASQAKKYAFKCHRKSEAGRDIVYPVNAIAFHPVYGTFATGGCDGFVNVWDGNNKKRLYQYSKYPTSIAALSFSRDGRLLAVASSYTFEEGDKPHEPDAIFVRSVNEIEVKPKPKVYPNPPA >EOY01834 pep chromosome:Theobroma_cacao_20110822:2:41080027:41082666:1 gene:TCM_011638 transcript:EOY01834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDSLSSVSPSIVLPPSNPLPTHRHRPQVVVFSFATNSRLPRLSSFFSVPSGKGRLNRVVDCKCKLNGGDAGKGEDDDDDFDDEKEEVERALHLDGTIPSTSGEFLKRVSSRAYDMRRHLQQTFDSSSYDVLEANPWRDPSKPVYVLTHKENQLCTMKTRRNRSEVERELGLLFSKGANWSSGIGNKTKQPRSRTKFQMLVEDVREGVLTSNSRYAGI >EOY01833 pep chromosome:Theobroma_cacao_20110822:2:41080166:41083082:1 gene:TCM_011638 transcript:EOY01833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDSLSSVSPSIVLPPSNPLPTHRHRPQVVVFSFATNSRLPRLSSFFSVPSGKGRLNRVVDCKCKLNGGDAGKGEDDDDDFDDEKEEVERALHLDGTIPSTSGEFLKRVSSRAYDMRRHLQQTFDSSSYDVLEANPWRDPSKPVYVLTHKENQLCTMKTRRNRSEVERELGLLFSKGANWSSGIGNKTKQPRSRTKFQMLVEDVREGVLVFEDETEAAKYCDLMQGGGQGCEGVAEIEASSVFDLCHKMRALAVLFRRGRTPPLPQSLELNLKARKRSLEDQEDLI >EOX98433 pep chromosome:Theobroma_cacao_20110822:2:5772326:5774249:-1 gene:TCM_007200 transcript:EOX98433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMLNQNYARVDTLELKALIVRKVGHQRAEKYFDQLRRLFSLKIGKCDFDKSCIKTIGRENIPLHNRLIRSIIKNACIAKVPPLKTIKKGGSNLQIGNGYQRNRLQSLYGDAFPPSPRKGRSPVNRDRKFRDRPSPLGPLGKPQSIVCEESVSKAQEQSATELLSLGSRPPAEVASVEDGEEVEQVAGSPGVQSRSPVTAPLGISINFGGARKALSNAFVSNNYHLETCQNRGELPDTRSLRSRLQQKLEMEGISVSVDCVNLLNNGLDAFLKRLIEPCVALAGLRSGDGNLKQSNGQFIPRLNGMLHRNYLQHSAKSCHASMLDFRAAMELNPQVLGEDWAMQLEKISLSSFED >EOY00516 pep chromosome:Theobroma_cacao_20110822:2:33181135:33186069:-1 gene:TCM_010400 transcript:EOY00516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MSHLSFKSLTFLFLIAFLVWSSSIETCIARRGKHWRRSRLTAASLSKKKGKGHGNHNYHKGGSKPKAPSHKTPPSPKPKAPPSPKPKEEVPSTPPPQKGYGSGQHSDIFDVVDFGAKGDGKTDDTKAFQSAWAAACKVEASTVVVPAEFVFLVGPISFSGPYCQANIVFQLDGTIIAPADSQPWGQGILQWLEFSKLRGITVQGKGIIDGRGSGWWQDAPYEDPYDDERKLIIPLNSIVQEMPPMPVRNELSGKMPSIKPTALRFYGSFNVTVTGITIQNSPQCHLKFDNCMGVVVHDMTVSSPGDSPNTDGIHLQNSKDVLIHGSNLACGDDCVSIQTGCSNVYIHNVNCGPGHGISIGSLGKDNTKACVSNITVRDIIMQNTMNGVRIKTWQGGSGSVQGVLFSNIQVSEVQLPIVIDQYYCDKRACKNETAAVALSGITYEKIRGTYTVKPVHLACSDSLPCIGVTLSAIELKPLQERYHLYDPFCWQSFGELTTPTVPPISCLQIGKPSNNRVQADHDVC >EOX96828 pep chromosome:Theobroma_cacao_20110822:2:173167:175991:-1 gene:TCM_005985 transcript:EOX96828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 5 MSSIGEQGDELQHRTYWRWGKDEFLPEESFQNWSTYRSALLQICFRFKDRLISRSDDASEIAELRKQSENDMKRCLTWWDLTWFGFGSVIGAGIFVLTGKEAHDHAGPAIVLSYVASGISAMLSVFCYTEFAVEIPVAGGSFAYLRIELGDFVAFITAGNILLESIVGSAAVARAWTSYFTTLLNRQPNSLRIHTNFRKGYNLLDPIAVAVLAISASIAMISTRKTSQLNWIATALNTVVILFVIIAGFAHANTSNLTPFLPEGVQGIFEAAAIVYFAYGGFDNIATMAEETKNPSRDIPLGLLGSMSIITVIYCLMALSLSMMQKYSDLDPDAAYSVAFQSVGMKWAKYLVALGALKGMTTVLLVGALGQARYTTHIARAHMIPPWFALVHPKTGTPIYATLLIAISSGLIAFFSSLDVLASLLSVSTLFIFMMMAVALLVRRYYVRDITPHINLQKLAIFLLIIITSSMGTSAYWGLRPNGWVGYIVTIPLWFLGTMGISIFLPQQRTPKVWGVPLVPWFPSLSIATNIFLMGSLGSEAFIRFGICTVVMLVYYILVGLHATYDMAHQQQKLEALKVKDEDEAGRA >EOY01347 pep chromosome:Theobroma_cacao_20110822:2:39135229:39137792:-1 gene:TCM_011271 transcript:EOY01347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14p/L23e family protein isoform 1 MAASFASKCSRVGRSLLGGLGNNLSGLQSASNEVVGNNVWSQMRTVLKVVDNSGAKKVMCIQALKGKKGARLGDTIVASVKEAMPNGKVKKGKVVYGVVVRAAMQRGRCDGSEVKFDDNAVVLVDKQGQPIGTRVFGPVPHELRNKKHVKILTLAKHIA >EOY01345 pep chromosome:Theobroma_cacao_20110822:2:39135262:39137883:-1 gene:TCM_011271 transcript:EOY01345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14p/L23e family protein isoform 1 MAASFASKCSRVGRSLLGGLGNNLSGLQSASNEVVGNNVWSQQQRTFIQMRTVLKVVDNSGAKKVMCIQALKGKKGARLGDTIVASVKEAMPNGKVKKGKVVYGVVVRAAMQRGRCDGSEVKFDDNAVVLVDKQGQPIGTRVFGPVPHELRNKKHVKILTLAKHIA >EOY01346 pep chromosome:Theobroma_cacao_20110822:2:39135229:39137792:-1 gene:TCM_011271 transcript:EOY01346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14p/L23e family protein isoform 1 RLVDKWRLVLPLNVPAQRTFIQMRTVLKVVDNSGAKKVMCIQALKGKKGARLGDTIVASVKEAMPNGKVKKGKVVYGVVVRAAMQRGRCDGSEVKFDDNAVVLVDKQGQPIGTRVFGPVPHELRNKKHVKILTLAKHIA >EOY00967 pep chromosome:Theobroma_cacao_20110822:2:36196124:36200147:-1 gene:TCM_010872 transcript:EOY00967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 50 MARIKSTAANACFFLLKLLFLCSLPYRSSSTPLFPKEALPTKSGYLPVNPATGSAIFYSFYEAQSPTSPLSHTPLLIWLQGGPGCSSMIGNLFELGPWWVVSSHNQNVDHLSLERNPGSWNRLFGLLFLDSPIGTGFSIASTPQEIPRDQNSVAKHLFAAITSFTSLDPLFKYRPIYITGESYAGKYVPAIGYYILNKNPQLPVSQRVNLRGVAIGDGLTDPITQVATHADSAYYSGLINQRQKGELEEAQREAVELVKIGNWSEATNARSRVLRMLENMTGLATLYDYTKKKPYQTQFATRFLNIAEVKKALRVNESIVFEECSDVVGAALHEDVMKSVKYMVEFLVKNSKVLLYQGLYDLRDGVVSTEAWVKTMKWEGLEKFLMADRELWKVNGELAGYVQKWGSLTHVVVLGAGHLLPADQALNSQDMIENWVLEKGQFGGQKKVSKRVNLRGLVIGDGLTDPITQFANYADNACYLVMVNERQKGELEEAQWEGAKLVKMGNWSEATDARSLEPRG >EOX99712 pep chromosome:Theobroma_cacao_20110822:2:14354877:14360722:-1 gene:TCM_008509 transcript:EOX99712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRKRERKNRERGRAKRMVLLHFEEGKSEFLLQFWRKKKAKNFELKILEVLLPLKGSKVLPICAKKLELFAANLCRKIVQKNWSCLLQKNGSCLCRKVRVVCCRKIGAVCAEKLELFAANLCRKIG >EOY01702 pep chromosome:Theobroma_cacao_20110822:2:40657457:40660388:-1 gene:TCM_011537 transcript:EOY01702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTNKEGIDSSAVHSPGPRRFSKYRAWQDPLKVKKNSRQSKVKFLAADEVVTLSSGTPTTKYVGRINLCCNRSQALTKPADSKCLPTAENANASSPAPPTHSVHVADSEKLHLTSKIKKTQSTTEKGDGPGSNFALAVNAASSSNLVLSPKKDFGVSSKQIKQKNTSDGRSKQKENSGSTQGEAVIVPGKEIVSLKGLFSSGTLLNKLNCGTQTAKQIGRGNLGSERSQALTKPADFKCLPTTENANSSSPAPPEQAAHVADSEKFRLTSKIKKTQSSTEEGDGLGSNLALAVNAASSSNLVLPRKKIKVCNSDFGVSSKDIKQKNTSDCKSKQKVNSGSTQGEAAIVPRKEIVSLKGRFSSGTLLNKLNSGTLTAKQVRRGNLGSTKSQALTNPASSKCLPPTENANSSSPAPPKLAVHVKGSKKFHLTSKIQSLKKTRRATTKGDGPGSNSTLAVKAASRSKLVLPRKKRKVSNPDYGISLKDLEQMNTSDGWSKQGDGWSKQEANSGSTQAKGKETMFLKGPFSLGTLLGLEKFKASNAGEDLVHKCGPSEPSSPPLIHSSGKKNFFILVCMIG >EOY00161 pep chromosome:Theobroma_cacao_20110822:2:27716850:27724166:-1 gene:TCM_009807 transcript:EOY00161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLSNILEVENFYVWGIDFMGPFISSFNNRYILVAINNVSKWVEALALLTQDAKKDWASKLDDALWAYRIAYKTPIAMSPYRLFFGKMCYLLVELKHSAFWAIKKLNFDLETIGEKRLLN >EOY00160 pep chromosome:Theobroma_cacao_20110822:2:27717546:27717779:-1 gene:TCM_009807 transcript:EOY00160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPKKRTCSSGTRFGRSKFVSTNVAKRHTQSLVHKAPILECGIDLHPKLYSSVHKVTIECQWEQFCKQLEAAVLPTV >EOX97046 pep chromosome:Theobroma_cacao_20110822:2:1029506:1034000:-1 gene:TCM_006161 transcript:EOX97046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNEFGTERSRPWNIYTSSDPSPSQAVVDRETPWKSFGASMNAISFGFVATAILISMFLIMAIFEHLFRPNPGFSSPDQVTESGEAEKLGNPQRVSTSYASDFSVVMPGEQYPTYIAQPAPLPCSREGIYWPSHEHNFVFP >EOX99356 pep chromosome:Theobroma_cacao_20110822:2:10304720:10306162:1 gene:TCM_007986 transcript:EOX99356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCCFSSTATTTSKTNQKNQNPPKPHNSPLKPPIIQSHKTPPPPPPPPPPEEEEEEEEETVIKEVLVLSETPTLDKKQQEKNTQTPLTIPPKTQTEDSSPIKKEQQQQQHEQETSDLSQLSEIYSITETISTTPTTTTTTATKGLKEDEATSKENSEVKNKSPAKVIKKRPSNGDPGSVRARRVDPSPEKKAQCRGRGSRELRTVQRNVGSRSRSPGTGRAGGVGKGAFGKSPTTVTGKTDSKPVGAVTVEGQGKREEDGKGDVMEQQLGTESLENPLVSLECFIFL >EOX96856 pep chromosome:Theobroma_cacao_20110822:2:262193:265870:1 gene:TCM_006007 transcript:EOX96856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 2 MDRTMARNALALSVILGLASLLVIQVCGDNSRVGQFSAAHYYEQMRQLQAFKASLIGRDSVSGSAISPSLSSARQLQAAASVPRVYQVTKYGADPTGKADSTEALNKAIADAFQSPGEGSWTLMDGITNLGGPQINLEGGNYLISKPLRLPSAGAGNFMIHGGTLRASDDFPADGYLIDLSAPSASSQEGNERSSLNSQLASSSSYNYEYITLKDLMLDSNYRGGGISVVNSLRTSIDNCYIARFTTNGILVQGGHETYIRNSFLGQHITAGGDGGERNFSGTAINLMGNDNAVTDVVIFSAAVGIMVSGQANTFSGVHCYNKATGLGGTGIYLKLPGQTQTRIVNSYMDYTGIVAEDPVQLHISSSFFLGDAFVLLKSINGVANGVNIVDNMFSGSNNGVQIVQLDQSNGPFKEIDQVVVDRNNVEGMNLKATVARVAVEGNGSSWTVDFNPILLFPNLIKHVQYSLTTSGSSFPNHALRNVSENRVVIESDVAAPANVFVTVDQGASSLISS >EOX96857 pep chromosome:Theobroma_cacao_20110822:2:263004:265060:1 gene:TCM_006007 transcript:EOX96857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 2 MIHGGTLRASDDFPADGYLIDLSAPSASSQEGNERSSLNSQLASSSSYNYEYITLKDLMLDSNYRGGGISVVNSLRTSIDNCYIARFTTNGILVQGGHETYIRNSFLGQHITAGGDGGERNFSGTAINLMGNDNAVTDVVIFSAAVGIMVSGQANTFSGVHCYNKATGLGGTGIYLKLPGQTQTRIVNSYMDYTGIVAEDPVQLHISSSFFLGDAFVLLKSINGVANGVNIVDNMFSGSNNGVQIVQLDQSNGPFKEIDQVVVDRNNVEGMNLKATVARVAVEGNGSSWTVDFNPILLFPNLIKHVQYSLTTSGSSFPNHALRNVSENRVVIESDVAAPANVFVTVDQGASSLISS >EOY01462 pep chromosome:Theobroma_cacao_20110822:2:39536638:39537422:1 gene:TCM_011337 transcript:EOY01462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFLFLFIYLFWISNGFIPLSKENFTSLRRRASNYMYYQTIFLVSQYLQGNQEHPQFPTQKQKTTT >EOX99745 pep chromosome:Theobroma_cacao_20110822:2:15374046:15377551:-1 gene:TCM_008611 transcript:EOX99745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gap junction beta-4 protein isoform 2 MGKLLFDSTTVAETFQKSSPTVPWREPNAATLEAVDLVDQTTTTIATTGASWEDVIGLEDQQRRHLKKLHAKGVLWKHPQEHGSSVVFRLSHGGDVSADGNCLFTASQRAMAREMDARELRRRTVKRFLEDLGSAKEEERQVINEAIRNMYSPDLRSGWGVHMVQEVKLLAKKEDRVALDSAIDELVQLGMQREMAAESIYKERCIPVSDGSSWAKYMSISGSPDDAYDIITLQYTEEGLFSVDENREGHAAAFGDDIAIECIATEFKREIYVVQAHGSDAMVDEDSCVFFLPHRPRSEICEPPFFLFMKGTGNWFIFSSLNVHYRPKNVEEY >EOX99744 pep chromosome:Theobroma_cacao_20110822:2:15374269:15377396:-1 gene:TCM_008611 transcript:EOX99744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gap junction beta-4 protein isoform 2 MGKLLFDSTTVAETFQKSSPTVPWREPNAATLEAVDLVDQTTTTIATTGASWEDVIGLEDQQRRHLKKLHAKGVLWKHPQEHGSSVVFRLSHGGDVSADGNCLFTASQRAMAREMDARELRRRTVKRFLEDLGSAKEEERQVINEAIRNMYSPDLRSGWGVHMVQEVKLLAKKEDRVALDSAIDELVQLGMQREMAAESIYKERCIPVSDGSSWAKYMSISGSPDDAYDIITLQYTEEGLFSVDENREGHAAAFGDDIAIECIATEFKREIYVVQAHGSDAMVDEDSCVFFLPHRPRSEICEPPFFLFMKGTGWCGAGADHYEPLVAHASSHVSHEKVALVL >EOY01592 pep chromosome:Theobroma_cacao_20110822:2:40170501:40173387:1 gene:TCM_011446 transcript:EOY01592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translin-associated protein X isoform 3 MLNSSAALFRRISVSWLMAPKFKPRRLPPAADPALPLERSTKLARTMSTHSSIKDAFSTYADYLNALNEKRERVVKASRDVTMNSKKVIFQVHRISKNNREEVLEKAEKDLAAVRDLHIFRLVKELQGTDFWKLRRAYSPGVAFFSLYFNVEEKLLADLTGELMRLAIGRISDGEVEFAERICRFVRDIYRELTLVVPLLDDSSDMETKMVTMLQSVVKIENACFSVRVRGSEYIPLLGTGDPSSFLLGVSEVEL >EOY01593 pep chromosome:Theobroma_cacao_20110822:2:40170502:40173122:1 gene:TCM_011446 transcript:EOY01593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translin-associated protein X isoform 3 MLNSSAALFRRISVSWLMAPKFKPRRLPPAADPALPLERSTKLARTMSTHSSIKDAFSTYADYLNALNEKRERVVKASRDVTMNSKKVIFQVHRISKNNREEVLEKAEKDLAAVRDLHIFRLVKELQGTDFWKLRRAYSPGVAFFSLYFNVEEKLLADLTGELMRLAIGRISDGEVEFAERICRFVRDIYRELTLVVPLLDDSSDMETKMVTMLQSVVKIENACFSVRVRGSEYIPLLGTGDPSSFLLGVSEVELVLGWRISFLL >EOY01591 pep chromosome:Theobroma_cacao_20110822:2:40170502:40173122:1 gene:TCM_011446 transcript:EOY01591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translin-associated protein X isoform 3 MLNSSAALFRRISVSWLMAPKFKPRRLPPAADPALPLERSTKLARTMSTHSSIKDAFSTYADYLNALNEKRERVVKASRDVTMNSKKVIFQVHRISKNNREEVLEKAEKDLAAVRDLHIFRLVKELQGTDFWKLRRAYSPGVQEYVEAATFFKFCQTGNLLNLDEINASLLPLSDPSLEPLQINLLDYLLGLADLTGELMRLAIGRISDGEVEFAERICRFVRDIYRELTLVVPLLDDSSDMETKMVTMLQSVVKIENACFSVRVRGSEYIPLLGTGDPSSFLLGVSEVELVLGWRISFLL >EOY01590 pep chromosome:Theobroma_cacao_20110822:2:40170501:40173387:1 gene:TCM_011446 transcript:EOY01590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translin-associated protein X isoform 3 MLNSSAALFRRISVSWLMAPKFKPRRLPPAADPALPLERSTKLARTMSTHSSIKDAFSTYADYLNALNEKRERVVKASRDVTMNSKKVIFQVHRISKNNREEVLEKAEKDLAAVRDLHIFRLVKELQGTDFWKLRRAYSPGVQEYVEAATFFKFCQTGNLLNLDEINASLLPLSDPSLEPLQINLLDYLLGLADLTGELMRLAIGRISDGEVEFAERICRFVRDIYRELTLVVPLLDDSSDMETKMVTMLQSVVKIENACFSVRVRGSEYIPLLGTGDPSSFLLGVSEVEL >EOY00766 pep chromosome:Theobroma_cacao_20110822:2:34963296:34973366:1 gene:TCM_010686 transcript:EOY00766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTKYQWSCIPSIIDGTLMKNTNRIESVTNGMYRPKLAIKHPVGKDIKISTKLPIVFSSVNNLKLLEALVTNLPMKISLNLMWNVGLLFLHHLNKNVKNGASVEESICEAYIINEISLFCSIYCEPTIPTRMNKVPQNDDNGEVDATEVNTFVDVEHNEEDDEAEGEYNEIEEELDIEDENNIDDDKFVYFSFYWINMVKLKTKASPQGQASGAGLLAAQPPMLYPPPIPQTPTLVASTQVQPKVLMLSSTTPVKTPTLDAVIASNNATKVWSIYAKICKDHLKDLLADEREKVKKEVDDLDKKQWQVTFSKLFERTHKQQKGIGEFVDNRNHALQLYRKNIVISHRPNQSLILRHGPRPLNGPTVLESISMDLAPESLLLDF >EOX99165 pep chromosome:Theobroma_cacao_20110822:2:8778885:8782278:-1 gene:TCM_007757 transcript:EOX99165 gene_biotype:protein_coding transcript_biotype:protein_coding description:TraB family protein isoform 2 MRRLTRPLAPVYSPEEVRSIVLKATFAPPFSQTILPLHHFKQFTPLKPLEFTTSATTANRPNYKPKPPAMEPKPTESDPTSGEDFVHIENPNPNVTDALSESIVKVEEEEEEEEEMQNEDYDSTNSDAVSRSKRSDSSDRRKVELPEELSRSVMVLTCESSAEGGNCDVYLVGTAHVSQESCREVEAVISFLKPQVVFLELCSSRVAVLTPQNLKVPTMGEMIDMWKKKHNMFGILYSWFLAKVASKLEVFPGSEFRVAFEEAMKYGGKVILGDRPVQTDYIAENLGENATLA >EOX99164 pep chromosome:Theobroma_cacao_20110822:2:8778512:8782359:-1 gene:TCM_007757 transcript:EOX99164 gene_biotype:protein_coding transcript_biotype:protein_coding description:TraB family protein isoform 2 MRRLTRPLAPVYSPEEVRSIVLKATFAPPFSQTILPLHHFKQFTPLKPLEFTTSATTANRPNYKPKPPAMEPKPTESDPTSGEDFVHIENPNPNVTDALSESIVKVEEEEEEEEEMQNEDYDSTNSDAVSRSKRSDSSDRRKVELPEELSRSVMVLTCESSAEGGNCDVYLVGTAHVSQESCREVEAVISFLKPQVVFLELCSSRVAVLTPQNLKVPTMGEMIDMWKKKHNMFGILYSWFLAKVASKLEVFPGSEFRVAFEEAMKYGGKVILGDRPVQITLRRTWGKMPLWHKTKLLYSLLFQAVFLPSPEELNKMLKDMDDVDMLTLVIQEMSKEFPTLMETLVHERDQYMSSTLHRIASEHSSVVAVVGKGHLQGIRKHWKQPVSMNDLMAIPSQKPTVSTGKILASLGIAAAGVAIISGIYFACKK >EOX97023 pep chromosome:Theobroma_cacao_20110822:2:947007:956749:-1 gene:TCM_006143 transcript:EOX97023 gene_biotype:protein_coding transcript_biotype:protein_coding description:CER1 protein MATKPGILTNWPWESLGNFKYVILAPWVVHSAYSWATKAGNERGLANFLIFPFLLTRILHNQIWISYSRYRTAKGNNRIIDKGIDFEQVDRESNWSISILHCCLIASDLDTNMLKMMDMFGRDDQIILSGIILYLGNMILPEASHFPIWRSDGVIITILLHTGPVEFLYYWLHRALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEIISYFLLFMIPMLTTVSMGTASIVSLFGYIFYIDFMNNMGHCNFEFTPKWVFSIFPLLKYFMYTPSFHSLHHTQFRTNYSLFMPFYDYIYGTMDKSADALYEASLKRQEESPDVVHLTHLTTPDSLFHLRLGLASLYLSRGQRGKINKLIEEAISEAERKGAKVLSLGLLNQACFFSDEELNGNAELYIQRHPKLKIKIVDGSSLAAAVVVNSIPEETRQVVLRGKLSKVAYAIALALCQKGVKVAALNDDEYKKLLEHVDKFGDNLVLTERYDHKIWLVGDGLTPEEQLKASQGTIFIPFSQFPPRKVREDCYYHSTPAMVAPKSLENMHSCENWLPRMVMSAWRVAGIVHGLEGWDVHECGHTIFGIEKVWEATLQHGFRPLSILA >EOX97025 pep chromosome:Theobroma_cacao_20110822:2:953924:956683:-1 gene:TCM_006143 transcript:EOX97025 gene_biotype:protein_coding transcript_biotype:protein_coding description:CER1 protein MATKPGILTNWPWESLGNFKYVILAPWVVHSAYSWATKAGNERGLFNFLIFPFLLTRILHNQIWISYSRYRTAKGSNRIVDKGIDFEQVDRESNWALHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEIISYFLLFIIPMLTTVLMGTASIVSLFGYISYVDFMNNMGHCNFEFIPKWVFSIFPLLKYFMYTPSFHSLHHTQFRTNYSLFMPFYDYIYGTMDKSADALYEASLKRQEESPDVVHLTHLTTPDSLFHLRLGLASLASKPHQAPTMVMWPVTFWSVLVTWIYGHAFVSERNTFEKLKLQSWVVPRYNMQYLSRGQRGKINKLIEEAISEADRKGAKDEELNGNGELYVQTHPKLKIKVVDGSSLAAAVVVNSIPEETRQVVLRGKLSKVAYAIALALCQKGVKVAALKDDEYKKLLKHVGKFGDNLVLAERYDPKIWLVGDGLTKEEQLKAPKGTIFIPFSQFPPRKVRKDCYYHSTPAMVAPKSIENMHSCENWLPRRIMSAWRVAGIIHGLEGWDVHECGHTIFGIGKVWEATLQHGFRPLSIPT >EOY01968 pep chromosome:Theobroma_cacao_20110822:2:41552091:41553633:1 gene:TCM_011747 transcript:EOY01968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQNYGHMSHQIRVCKSQRFSWGPRVLLRKKRNPALAGTCETRFKPLKVCGEQKPSGKLPLQLIKCGKTSPFGLEILQWIPEKPSWVLKFMPQCSANGNLVKSFTFSSTLEAI >EOY01093 pep chromosome:Theobroma_cacao_20110822:2:37585866:37589400:1 gene:TCM_011045 transcript:EOY01093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MVMESKPLRFLTQQSFFAAVRSGDLESVKQLLDKLTKEESPDGSSAVSDLMAAQSESGASGLYIAAESNFQEIFSYLLKFCDVEIVKIRSKSDMNTFHVAAKLGHLGIVKELLGIWPELCKFCDPTNTSPLYSAAVKDHLDVVNAILNADASCIRIVRKNGKTALHTAARYGLTNIVKALIDCDQGIVSIKDKKGQTALHMAVKGQNTAVVDEILLADHSILNERDKKENTALHIATRKSRPQIVSLLLTYRSIDVNTINNQCETAMDLADKLQYGEPAQEIKEALTEAGAKHARYVGQVDEAMELKRTVIDIKHEVHSQFLQNEKTNRRVSGIAKELRKLHREAVQSTTNSVTVVAVLFASIAFLAIFNLPGQYVTDGPDAGKANIAYHVGFRVFCLLNAISLFISLAVVVVQITLVAWDTTAQKQVVSVVNKLMWAACACTCGAFLAVAFVVVGKGSSWMAVTVTIVGTPILVGTLASMCYFVFRQHFGIFRSDSQRRIRRASGSKSFSWSYSANISDEDEYNSDLEKIYAL >EOY00440 pep chromosome:Theobroma_cacao_20110822:2:32345121:32349033:1 gene:TCM_010304 transcript:EOY00440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MPFRLISAWNKRRRSKSQDHTDPWIYKPAEYWQIEDQTPRPTKRRHGSSVFTLKEMEEATCYFGDMNLVGKGGFGRVYKGTLRSGEVVAIKKMELPPFKEAEGEREFRVEVDILSRLDHPNLVSLIGYCADGKHRFLVYEYMQKGNLQDHLNGIGETKMDWPSRLKVALGAARGLAYLHSSSAVGIPIVHRDFKSTNVLLNANFEAKISDFGLAKLMPEGQETYVTARVLGTFGYFDPEYTSTGKLTVQSDVYAFGVVLLELLTGRRAVDISQGPNDQNLVIQVRHILHDRKKLRKVIDPEMARSSYTIESIAMFANLASRCVRVESGERPSMPECVKELQLIFCTNAKGLGVAFRIG >EOX97639 pep chromosome:Theobroma_cacao_20110822:2:2946910:2949119:1 gene:TCM_006610 transcript:EOX97639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQYKININKDKFLKLRVDDLNSTCHHYDHHAFDNCHHKMFIIMFMPLLRPTTYSSISSNGYRKQPSMGSMSPLPPQCSKRFLNGDLQPDKLISGGFGLGCGRTSLATRLGVMESNTFGSDRWQLSCSS >EOX99995 pep chromosome:Theobroma_cacao_20110822:2:21492416:21494360:-1 gene:TCM_009211 transcript:EOX99995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANTSLHEVSEQCPENDFLDHEPLATVPAPVEVDGLMAPSARRHAHVIKWKTMLIILQTWSSPRASACTIRLSAVFFVPSFSGTNFTEIEVHPRVQHRRHSDTEVSIDKIFSLASDKAVDIGENDEASNEDAMSVNFVASWECGKYF >EOY00143 pep chromosome:Theobroma_cacao_20110822:2:26669487:26670823:-1 gene:TCM_009711 transcript:EOY00143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MACSKWAIRSISKNFSSTGDWIKAMIKDVGKDELEETVCAISSWMEGGDEGRCRRCPKGLKINCDAAIFFINGGKLAGAGFIVRDSNGEFILAGATKLAYNSSVAMVELRSLLWSLMVCFRDNVLVKKVKIDCKQIVSWVKEKHYNGDMGHVVEARNLLIRQLTRY >EOX98131 pep chromosome:Theobroma_cacao_20110822:2:4658058:4658961:1 gene:TCM_006966 transcript:EOX98131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKLIDGKDKKERGRNQGQRRLKGSKRQFLGWKERRWAMIDNARRWSTTGLVVKIWFVGVEGQALS >EOX98538 pep chromosome:Theobroma_cacao_20110822:2:6125380:6128247:-1 gene:TCM_007274 transcript:EOX98538 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MMKVLELGCGNSQLCEELYKDGITEITCIDLSSVAVERMKERLLSKGNKEIKVLEADMLDLPFHSECFDVVIEKGTMDVLFVDSGDPWNPQPATVSKVMAMLEGVHRVLKPDGIFISITFGQPHFRRPFFHNPKFTWSVEWSTFGDGFHYFFYILRKGRRSLNGGESTKKIEMPSICLYQEELEGEDYIFRTNID >EOX98537 pep chromosome:Theobroma_cacao_20110822:2:6125518:6129002:-1 gene:TCM_007274 transcript:EOX98537 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MDTDQNPGAEHKKTDPPSSASAYLDPNYWNQRFSNEEHYEWFKDYSHFRHLIQAHIKHNCSVLELGCGNSQLCEELYKDGITEITCIDLSSVAVERMKERLLSKGNKEIKVLEADMLDLPFHSECFDVVIEKGTMDVLFVDSGDPWNPQPATVSKVMAMLEGVHRVLKPDGIFISITFGQPHFRRPFFHNPKFTWSVEWSTFGDGFHYFFYILRKGRRSLNGGESTKKIEMPSICLYQEELEGEDYIFRTNID >EOY00979 pep chromosome:Theobroma_cacao_20110822:2:36252806:36264856:1 gene:TCM_010879 transcript:EOY00979 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MLKQSPEGHSTFFLKENEAQTDNFIEMYLRPPSASEEARINDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYNVVVSYKRASTAVSVGSLSLSFETIDTLGETFLVLRGTDRKTVGAEALRMGITGPWLTKSYLEMILERKGVPRLNTPPLVSTSSVPSNQEKVIAAPKPIRTTPNLVTRLEDLSQPWTRSPTKSQMEPVLATWHFISSDPSHGDAIIDSSAFRDTMKLAPMPDSYDLDRGLLLAVQAIQALLENKGVPVVVGIGGPSGSGKTSLAHKMANIVGCEVVSLERYFKSEQVKDFKYDDFNSLDLPLLSKNIGDIRNGRRTKIPLFDLETGSRNGLKELEVSDDCGVIIFEGVYALHPEIRKSLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNEIMMTVFPIFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKQVAYQDILKILDSAKFCSSVQNFIDIYLRLPGTPTNGQLTESDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISISTVAGLLNLGYQAVAYIEASALIYQDGKILIEVDHLQDVSSPYLQIKGVNKEAVAAAGSALKLDGSYTTKSYLQIILERLPLVERSYSGIHTHQAARLQELVDYIQSQGGSTPSESSQSREASPMEGIIEDMQSRIRRLERWHTINTVLWTFLMSALVGYSLYQRKRQ >EOY00978 pep chromosome:Theobroma_cacao_20110822:2:36242135:36264423:1 gene:TCM_010879 transcript:EOY00978 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MDDEVVQRVFQEGGRDYFQQQPSTSTSSSSSILQSLPLHVSFDHGYYLLVKSIQELREKKEGIVTVGIGGPCGSGKTSLAEKVASVIGCTVIPMENYRDGFDEGNDLDSIDFDSLVRNLEDLTKGKDTMIPVFDFQQKKRVGPKAIKSTSSSVVIVDGTYALHAKLRSLLDIRVAVVGGVHFSLLSKVRYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCRSESPEGHSTFFLKENEAQTDNFIEMYLRPPSASEEARINDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYNVVVSYKRASTAVSVGSLSLSFETIDTLGETFLVLRGTDRKTVGAEALRMGITGPWLTKSYLEMILERKGVPRLNTPPLVSTSSVPSNQEKVIAAPKPIRTTPNLVTRLEDLSQPWTRSPTKSQMEPVLATWHFISSDPSHGDAIIDSSAFRDTMKLAPMPDSYDLDRGLLLAVQAIQALLENKGVPVVVGIGGPSGSGKTSLAHKMANIVGCEVVSLERYFKSEQVKDFKYDDFNSLDLPLLSKNIGDIRNGRRTKIPLFDLETGSRNGLKELEVSDDCGVIIFEGVYALHPEIRKSLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNEIMMTVFPIFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKQVAYQDILKILDSAKFCSSVQNFIDIYLRLPGTPTNGQLTESDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISISTVAGLLNLGYQAVAYIEASALIYQDGKILIEVDHLQDVSSPYLQIKGVNKEAVAAAGSALKLDGSYTTKSYLQIILERLPLVERSYSGIHTHQAARLQELVDYIQSQGGSTPSESSQSREASPMEGIIEDMQSRIRRLERWHTINTVLWTFLMSALVGYSLYQRKRQ >EOX99122 pep chromosome:Theobroma_cacao_20110822:2:8579698:8582504:-1 gene:TCM_007731 transcript:EOX99122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent phosphohydrolase isoform 1 MVREIHEMASRDAVKRAEQLVERAMKGNDASHDAAHVWRVRDLALSLAREEGLSSNHHSMEIVELAALLHDIGDYKYLRDPSEEKLVENFLEEEGIEDSKKIKILNIIKGMGFKDELSGLGNHEFSPEFGVVQDADRLDAIGAIGIARCFTFGGNRNRVLHDPAIQPRLDLSKEQYMKKEEQTTVNHFHEKLLKLKDLMKTKAGQRRAEKRHKFMEEFLKEFYEEWDGRA >EOX99121 pep chromosome:Theobroma_cacao_20110822:2:8580094:8582488:-1 gene:TCM_007731 transcript:EOX99121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent phosphohydrolase isoform 1 MVREIHEMASRDAVKRAEQLVERAMKGNDASHDAAHVWRVRDLALSLAREEGLSSNHHSMEIVELAALLHDIGDYKYLRDPSEEKLVENFLEEEGIEDSKKIKILNIIKGMGFKDELSGLGNHEFSPEFGVVQDADRLDAIGAIGIARCFTFGGNRNRVLHDPAIQPRLDLSKEQYMKKEEQTTVNHFHEKLLKLKDLMKTKAGQRRAEKRHKFMEEFLKEFYEEWDGRA >EOY01312 pep chromosome:Theobroma_cacao_20110822:2:38968583:38970443:-1 gene:TCM_011245 transcript:EOY01312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIYICICKPLPAPACLYMLSACPLCCGPNFELLSLSHVMFSHERRVFPFSHASLQARGNRVNYLLSPTYIFPTSTKLTQLLPRFSSHSVMLRDEADSERMRIHLSLYLCTWRYTHII >EOX99847 pep chromosome:Theobroma_cacao_20110822:2:17319789:17320123:1 gene:TCM_008820 transcript:EOX99847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ-related MGPIVLTQVATGLSVLAGAVLVKSVMDQKPMAGPFQRCATCNGTGRVTCICSRWSDGDVGCRTCAGSGRMACSSCGGSGTGRPLPVQISVRPPTNRSS >EOY00214 pep chromosome:Theobroma_cacao_20110822:2:29653925:29668069:1 gene:TCM_009987 transcript:EOY00214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter 1.7, putative MVKSIKEESRSFFLYCCAKNFQKPASPEIKPGEGTNGNASSVSCTKQNKPGGWKAMPYILGNETFERLATFGLSANFMVYLMRELHMDQVSASNVFNIWFGITNFAPLLGAIISDAYAGRFRTIAVASFASFLGMSIVTLTAWIPHLHPAECKPEDQPNGHCVGPNKAQLGVLLTGLGLLSVGAGGIRPCSIPFGIDQFDPTTEEGVKGISSFFNWYYTTFTVVVLLTLTLVVYIQDSVSWVLGFGIPTLLMGSSIVLFLIGTRIYVHVKPQGSIFSSIVQVFFATYRKRQLKLPAQGEEIGVFYDPPVKENVLSKLPVTSQFRFLNKAAIIMENELQPDGSPNKWRLCSTQQVEEVKCLIRVIPIWASGVISFTSMAQQGTFTLSQALKMDRHLGSKIQIPAGSIVIISMITIGIFLPVYDRIIVPGLRKFTKHEGGITLLQRIGIGIFFSILAMIVAGVMEKKRRDSAMLHPGVAPISVFWLAPQLILMGFCEAFNIIGQIEFFNKQFPEHMRSIANSLLFCSLAGASYLSSIVVNIVHHVTGGHGHPDWLTSDINAGKLDYFYYLLAGMGAFNFLYFLYVAYHYRYKGNVQMEDKSVPDVELNAIKP >EOX98493 pep chromosome:Theobroma_cacao_20110822:2:5957794:5958401:1 gene:TCM_007241 transcript:EOX98493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMPMQIKLLPPNKDSVQSPNMITGKSRRNRTRCGTKTTASGFISIAKGMKLLEHSVLHNRYFGWLQIVSVPTPIYILISTFSSLPSAEVREITSSDTQNIPPLERTPKKGGRIPQFETISKKACKLNLPFQEDLLVRKNPIHMHPKRPYPYLQEPMITGCMLQKCHPS >EOX99006 pep chromosome:Theobroma_cacao_20110822:2:8058109:8059510:-1 gene:TCM_047002 transcript:EOX99006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor SPT4 isoform 3 MGSAPAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFFKMDEDHERVVDCTTANFNGIISVMDPTRSWAARWLRIGRFVPGCYTLAVSEALPEDLQVWFLFLSAIH >EOX99004 pep chromosome:Theobroma_cacao_20110822:2:8057629:8059794:-1 gene:TCM_047002 transcript:EOX99004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor SPT4 isoform 3 MGSAPAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFFKMDEDHERVVDCTTANFNGIISVMDPTRSWAARWLRIGRFVPGCYTLAVSEALPEDLQVL >EOX99005 pep chromosome:Theobroma_cacao_20110822:2:8057333:8059529:-1 gene:TCM_047002 transcript:EOX99005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor SPT4 isoform 3 MGSAPAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFFKMDEDHERVVDCTTANFNGIISVMDPTRSWAARWLRIGRFVPGCYTLAVSEALPEDLQNLCEEVHVQYVPPKRV >EOY00899 pep chromosome:Theobroma_cacao_20110822:2:35776912:35783087:1 gene:TCM_010813 transcript:EOY00899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKIIFLRHFIFLFVVGFGCLSPVPVLAQNGPIRDHVKFIFVCLFVFFYLGLLSYLVCLFVLYAGEQNLGPWKNEISELAQAPGPSNDEPPSLLLLAAQRTNRPDILRHFKHYLGGWDITNRHYWASVGFTGAAGFIFAVLWFVSFGLVLVVYHCCGWRINIKGERSDNSQRICLIMLILFTTATATGCILLSIGQDEFHGEVLHTLKYVVNQSDYTVQILKNVTQYLSLAKTINVAQVFLPSDVMSDIDKLNIDLKAAADTLTEKTSENAGKIRKVFNAVRLALITVAAVMLILALLGLLLSILGHKHAIHIFIVSGWLLVAVTFILCGVFVILNNAISDTCLAMEEWVENPHAETALSNILPCVDQRTTNHTLTQSKQVINGIVNVVNTYIYTFANSDPSADDTRYYNQSGPPMPPLCYPFDSQLQDRRCGSYEVSMANASLVWQNYTCMVSASGVCTTMGRITPDRFTQLVAAVNESYALEHYTPPLLRLQNCDFVRHTFQNITSNYCRPLEQYLKIVNAGLGLISVGVLLCLVLWILYANRPQREEVFVKLSLPIKFMSCKNIFSTTSNNNTTLSNMTNGV >EOX99079 pep chromosome:Theobroma_cacao_20110822:2:8400361:8402459:-1 gene:TCM_007695 transcript:EOX99079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short chain alcohol dehydrogenase MACASRLPPAARRLEGKVALITGGASGIGECIARLFCEHGAKVIIADIQDELGQSVCKDIGLAVASFVHCDIVKESDVEIAVNTAISLHGKLDIMVNNAAVIDDAKPSIVENNVADFERVISVNLTGVFLGTKHAARVMIPARKGSIISLGSVSSSVGGVASHAYTSSKHAILGLTKNVAAELGRFGIRVNCLSSYFIATRSAMNFFKLDGDERPRVYSNLKGVVLQQEDVADAALYLGSDESKYVSGHNLAVDGGFTTINPAFGLFGSSQ >EOY00786 pep chromosome:Theobroma_cacao_20110822:2:35081637:35082771:-1 gene:TCM_010703 transcript:EOY00786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein, putative isoform 1 MSQALLNLTISLPLYSSNKSSDFCHLPFLKLPRNRCIKAMVGESRDNLDYLQRASKHHQSQPNKKRVGPVASIAGLWDRFPTARTVHQMMETMETMMEDPFAYSGTWPCPLPSENSGYSRGRTPWEIKEGEGEYKMRFDMPGMNKDDVKVWVEEKMLVVKAEKVPTRKINGQEKGEEEGEWSAKSYGRYNSGIALPENVVFEKIKAEVKDGVLYITIPKASSNGRILDIDVQ >EOY00787 pep chromosome:Theobroma_cacao_20110822:2:35081705:35082777:-1 gene:TCM_010703 transcript:EOY00787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein, putative isoform 1 MSQALLNLTISLPLYSSNKSSDFCHLPFLKLPRNRCIKAMVGESRDNLDYLQRASKHHQSQPNKKRVGPVASIGLWDRFPTARTVHQMMETMETMMEDPFAYSGTWPCPLPSENSGYSRGRTPWEIKEGEGEYKMRFDMPGMNKDDVKVWVEEKMLVVKAEKVPTRKINGQEKGEEEGEWSAKSYGRYNSGIALPENVVFEKIKAEVKDGVLYITIPKASSNGRILDIDVQ >EOX98144 pep chromosome:Theobroma_cacao_20110822:2:4677931:4679875:1 gene:TCM_006972 transcript:EOX98144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSLVGTHFLVTGLSQQEKEGESTESKVGKRRHCRPESCDPSNSLSKGGSFHLFHSSRTKTFGHVSSQGGWGPRPESHAQQNEEKQEATFLLHLHDFIAPLLPEVVGPLNLNQSNNSFIFVFFCSSKSTHSLDYLGLKSSQFFIIWYGDAFVGPPTSVYDGINFYV >EOX99608 pep chromosome:Theobroma_cacao_20110822:2:12664273:12669724:-1 gene:TCM_008329 transcript:EOX99608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycyl-tRNA synthetase / glycine--tRNA ligase MRFLFALISSSVSFGLNSSSRLTLRHLHALSSKSQRSTLTTFFNWQPPMATAEESLRRALAEKQSAVEAQGNAVRALKAAKASKPEIDAAIEALNSLKLEKSSIEKQLQAAVSGNDGDASLSREAFRQAAANTLERRLFYIPSFKIYRGVAGLYDYGPPGCAVKSNVLAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCNEKLQKDLSISAEKAAELKHVLATLDDLSAEELGAKIKEYGITAPDTKNPLSDPFPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKYSEVVNLEFLMFTREEQMSGQSAKRTRLGDAVSKGIVNNETLGYFIGRVYLFLTCLGIDKDRLRFRQHLANEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSEKSGVPLVAAEKFLEPREVEKLVIAPVKKELGLAFKGNQKKVVEALEAMNEKEALEMKAALESKGEVEFYVCTLGKNVPIKKNMVSISKEIKKEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKAGDEQLNVFRFPPLVAPIKCTVFPLVQNQQYEDVAKVISKSLTAAGISHKIDITGTSIGKRYARTDELGVPFAITVDSTKDVTIRERDSKLQVRVDVEEAASVVKSVTDGQRTWEDVWANYAHHTSGSADD >EOX99222 pep chromosome:Theobroma_cacao_20110822:2:9256081:9263097:-1 gene:TCM_007830 transcript:EOX99222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive GH3 family protein MPEAPKILSQYADCIDGVGGIIVENNNKALKFIEDVTRDADEVQKRVLSEILSRSANAEYLQRNGLNGCTDRETFKKVIPVVSYEDLKPDIERIANGDTSPILCSQPISEFLTSSGTSAGERKLMPTIEEELDRRSLLYSLLMPVMNQFVPGLDKGKGMYFLFIKSEAKTPGGLVARPVLTSYYKSLHFKDRPYDPYTNYTSPNETILCVNSYQSMYAQLLCGLCQNKEVLRVGAVFASGFIRAIQFLEKHWSLLCRDIRSGNIHSKITDASVREAVLKILKPNSKLADFIEAECSKGSWKGIITRLWPNTKYIDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGINLNPLCKPSEVSYTLIPTMAYFEFLPVNGQKGLTGSNSEPASLNDKEKQELVDLVSVKLGQDYELVVTTYAGLYRYRVGDILRVAGFKNNAPQFNFICRKNVVLSIDSDKTDEVELQHAVKNAANQLLPFDASLIEYTSYADTSAIPGHYVLYWEISVNDATLIPASVFEDCCFTVEESLNSVYRQGRVWDKSIGPLEIKIVENGTFDKLMDFALSQGASINQYKTPRCVKYVPIIELLNSRVISSYFSPKCPRWTPGHKQWCSQN >EOY00274 pep chromosome:Theobroma_cacao_20110822:2:30865559:30871385:-1 gene:TCM_010115 transcript:EOY00274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEFKKLNTRAFLGFASPMRCMATLKMYAIAIMRSLNLWSMRISRRTLKALVIPLMGHGCWSREESQKDEIQEEVNATDNGDASDNSKNMGDFALEKHIDSATNKKEPTGCEKIKLPSASKEENGAHDRNHNDEGESIDQIMVTHTMRNFTDPQPSNSDPIVTIDQSGDCDSAMQQTTTLDPSKHWVLKMGDKNFMTRTFSQNRSGKLRVSQLHVFDGTWCDDKKLLKAHAVDFFRKLYSKDDTVLPIYPIRKAFPSLESTAFNSLVKLVDVNEDLVQFLQDTFEGVEVEPDLCKLTITLIPKSNSSSGRKLIKPNGERDLDRVVRLLLVEEMSLLLEIVSSVNILESDKPYWAPSSSGLFTVSSAYERLRAVERAVREAQGEWKGGFSLKLGKCTTYRAELWGVHKRLKLAWDLRYRRIDLQFDNMMMVQAIKASSPPLCSNLDLIQTIQ >EOY01018 pep chromosome:Theobroma_cacao_20110822:2:36808612:36813323:-1 gene:TCM_010942 transcript:EOY01018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALSSASPFNKYRNDYEVELQMRQAQQTERDCLAKGHIPTLSEKVHLDLKQNDLSEMTGIWEQWRRNSRDSFCTKYGHIAWLIYVHVDDQLLRAITQFWDPSYRCFVFNEVDMTSTIEEYSSLLHIDQMQPHKVYWRAQKTDHRRKLAKMLNITTEEVDQYLKKKGDTECLSWSFLNNYIKKHKKGEQGLLVFALAIYGLVIFPKILGHVEVSIINFFDQVTRNINPAPTIIAETLRSLNYYRRKGEGHFIGCAQLLPIWIKSHFECKVDRFQKSFLSSSCHIREFCESEWPICKRKKEWIAKLRRLISVEVTRRAPWMPRMQVMYKCGDEPWVSLMGPWGRVKDVVCLLKSASKFPVGLEPQDILLESELARRKLEMKMMNMKRRHEAELEEVKKEASRKVQKAFDEQDEWKNKFESSNATCSALVAKIQGMQTITNTLQNKIQLQEQIIQELRSDCNLMETAMEGYKEQYEANRQEYFRMREVGNSYKQDL >EOY01921 pep chromosome:Theobroma_cacao_20110822:2:41358446:41359883:-1 gene:TCM_011704 transcript:EOY01921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, putative MQHTLWVHEWHKMDISKAEKLESQNEFPQPQQQGRGTSFLRTCFNGLNALSGVGILSIPYALAQGGWLRLLLLILVAVLCWYTGLLRRCMDFNPLIKTYPDIGEHAFGYKGRAIVSLFIYPELYLVAVEFLMLEGDNLNKLFPNMGFKVAGVKIGGRQAFVLLTSHVVLPTT >EOX98664 pep chromosome:Theobroma_cacao_20110822:2:6645730:6647354:1 gene:TCM_007371 transcript:EOX98664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTISGPLYTWFCAVLHFLIPLLCSVSGSAALGNSCLLERKMFSSAEKQFNLAKGDLPYAFSLLPQESCAVSIQGEPISSQAEENSPQPNPPHVNGSSATRLFKEIIRYAGLLACMGILFYGGYRRAVDISFTYPSPVLDTNSLTISNLNILNSKLVGVWDVNITFGHSFGDDAEVTFYDLFAGSIYYKQVNDTCAGNLLANVNAMTIYVQQKERTRVHLKFANTGWEADQPVMEEQVIQEIGKELENGVLHFSLEIDFQATFQKWGELWSGADHNRINRYCWDLMAGIDRPTGKGRLIDAKAVNCD >EOY01630 pep chromosome:Theobroma_cacao_20110822:2:40374987:40377021:1 gene:TCM_011477 transcript:EOY01630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQAGTMESLFLMERYGIDEKALWKKLIVDKYGLGETSWVPSSSHITRTSSIWRNIVKLPSNKGVFNLIGFHACHWIVGNGASILFWRDKWLEDIPLSSKYPQLLSLATEKDLKVQKAWVTGEWTITFKRVLYHWEKSIYDEILNALSSITFIPSREDRLVWKHDLKRSFLDTLTGRQTPWLQSIWKMPMPPKVQCFLWMAALNSIPTKVVLSTRGVHFSPDQLHCSWLSILGYFSQEMVDCVWCNFMISMIRACERDDAVDDLGWWTEPSNSLARRSPLHHRVEISWQPPPSRELKFNVDGSVKGKQGPASCGGVLHNSDGLVVGIFFSPLRLCDSNFAELMAILKAIHLFVASLYANCPITIESDSKVALLWVFKEGNGFADSLAKYRADKPSSFSAWW >EOX99115 pep chromosome:Theobroma_cacao_20110822:2:8556746:8561809:-1 gene:TCM_007727 transcript:EOX99115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 34, putative MALSKFALCLWLCTSMFLLCTQIFSLELDPQTRALQEADRVISLPSQLPMQVLFRQYSGYVTVDKSNEKALFYWFFEATSKPAQKPLILWLNGGPGCSSVGSGAAQEIGPFLVKEGPTLKFNKYAWNKGMYLIVFTANLLFLDSPAGVGFSYSNKSLNVQGDNITALDSYAFLQNWFKRFPQYKSHEFYIAGESYAGHYVPQLADAIFDENKKSAKENYINLKGFIIGNPFLDYETDRRGMYDYAWGHGLISDALYQSIKAKCDFSSQILTQECWDQIFKYNSLYEMIDMFSLYTPTCALNAPSSSGKKLAARSNTVRVSGLFHMIPSAGHDPCTANYATEYFNRPDVQQALHANVTKVSRPYILCNSEVGNAWKNSTFSLLPTITKLINGGLRVWLFSGDTDGRLPVTSTRYTLNKLGLNIIEDWTPWYSHREVGGWTVTYEGLTFITVRGAGHQVPMFAPQQSLQIITHFLANKKLPSLAF >EOX98465 pep chromosome:Theobroma_cacao_20110822:2:5869047:5873090:-1 gene:TCM_007221 transcript:EOX98465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vaculolar sorting receptor 3 isoform 2 MELRRFLLVGFVLLSVIGNCYARFVVEKNSLMVTSPEKIKGTHDSAIGNFGIPQYGGSMAGAVIYPKENRKGCKGFDEFGISFQSKPGALPTFVLLDRGDCFFALKVWNAQKAGASAVLVADDIEEALITMDTPEEDTSSAKYLENITIPSALIEKTFGETLKKAISGGEMVNVNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMEFVKDFKGAAQILEKGGYTQFTPHYITWYCPQAFTLSRQCKSQCINHGRYCAPDPEQDFSSGYDGKDVVLENLRQLCVFRVANETNKPWLWWDYVTDFQIRCPMKEKKYNKECADDVIKSLGLDGKNIEKCMGDPYADADNPVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGKLAKGAVLKAICSGFEETTEPAVCLSGDVETNECLENNGGCWQDKIANLTACKDTFRGRVCECPLVDGVQFRGDGYSHCEASGPGRCKINNGGCWHGSRDGHAYSACLAVNRVLEIV >EOX98464 pep chromosome:Theobroma_cacao_20110822:2:5867331:5873064:-1 gene:TCM_007221 transcript:EOX98464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vaculolar sorting receptor 3 isoform 2 MELRRFLLVGFVLLSVIGNCYARFVVEKNSLMVTSPEKIKGTHDSAIGNFGIPQYGGSMAGAVIYPKENRKGCKGFDEFGISFQSKPGALPTFVLLDRGDCFFALKVWNAQKAGASAVLVADDIEEALITMDTPEEDTSSAKYLENITIPSALIEKTFGETLKKAISGGEMVNVNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMEFVKDFKGAAQILEKGGYTQFTPHYITWYCPQAFTLSRQCKSQCINHGRYCAPDPEQDFSSGYDGKDVVLENLRQLCVFRVANETNKPWLWWDYVTDFQIRCPMKEKKYNKECADDVIKSLGLDGKNIEKCMGDPYADADNPVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGKLAKGAVLKAICSGFEETTEPAVCLSGDVETNECLENNGGCWQDKIANLTACKDTFRGRVCECPLVDGVQFRGDGYSHCEASGPGRCKINNGGCWHGSRDGHAYSACLGIGDSKCQCPPGFKGDGVKSCEDVDECNEKKACQCPECSCKNTWGSYECTCSGDLLYIRDHDTCISKSGTEVRSAWAAVWVIVIGLAMAAGGAYLVYKYRLRSYMDSEIRAIMAQYMPLDSQAEVPNHVSEDRA >EOY01004 pep chromosome:Theobroma_cacao_20110822:2:36467147:36472730:1 gene:TCM_010909 transcript:EOY01004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFGDDLFRNVIVVPLLDGMLRAIVPALTWFGAFVFVLGVEMLESSGSPPCVGDLLNFLSAVFFGLHMLRIEHISRSTNKRTSYPLLDMRYALLLFCQLYGIFLEAGLMEVGSSTHHLGLRQCFGIGCSHFHGYLHFIQAYSRLGCSYGWRWSDTGWIGASLVLGKVEKQGMMEGGECKSVRVRS >EOX99225 pep chromosome:Theobroma_cacao_20110822:2:9291601:9301486:-1 gene:TCM_007835 transcript:EOX99225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALVENSLEYKGEGGFGIRDSKSVTLKVGNALLMFKSDNHTSRDYSQDVEPRWKKSIGEEVQRLSFDVINNIAEKESQQRGLRRNMETGHFEKSSYDKLKEGNGLTNQVVVGQGSVDQQNEGLRPTLSLERDFYEEEVHAIIMECDGNKASRPDGYTMSFFKNQWAMVKRALMVFIANFQQTGEGETEKTPMEFSLGWVGGKEETSLERKMKICGGKSFKKRMNEIPWSYYLVISSSNYVSCDLDPLISRNHKFSKTTIVLQLRYDFWSSSSRSGEVVNIKGVAFSLSFPMVSESSHLKFCRESYGRNTKTYAVEISIASHIIDNKSKKTKKEVEWSELRPGQLNFNVDGAARGNLREFGIGGVLKDDQGRILVTFSKSIGVRNANRVELLALKEAFFIFMASKWAFSHYLLIESDSLITVKWSNCPSVTPWRLRKHIL >EOX96866 pep chromosome:Theobroma_cacao_20110822:2:286904:293223:-1 gene:TCM_006015 transcript:EOX96866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-exporting ATPase / responsive-to-antagonist 1 / copper-transporting ATPase (RAN1) isoform 1 MSPTMRDLQLTQVAGGRRSPPSDNDSVDMEEGTRLLDSYDDGDDNSGSIQEGMRRIQVSVTGMTCAACSNSVEGALKSINGVCRASVALLQNRADVVFDPILVKDEDIKNAIEDAGFEAEILPEPSNAGTKPRGTLVGQFTIGGMTCAACVNSIEGILRNLPGVKRAVVALATSLGEVEYDPTVISKDDIVNAIEDAGFEASLVQSSEQNKIILGVAGVINDLDLQLLEGILSSLKGVRQYRFDRTSGELEVLFDPEVVSSRSLVDGIEGGSGGKFKLHVTNPYARMTTKDVEETSNMFQLFTSSLFLSIPVFLIRVVCPHIPLLDAFLLWRCGPFLMGDWLKWALVSVVQFVVGKRFYIAAGRALRNGSTNMDVLVALGTSASYFYSVGALLYGAVTGFWSPTYFETSAMLITFVLLGKYLECLAKGKTSDAIKKLVELAPATALLVVKDKGGNIIGEREIDALLIQPGDTLKVLPGAKLPADGVVVWGSSHVNESMVTGEAAPVLKEVDSPVIGGTINLHGALHIKATKVGSEAVLSQIISLVETAQMSKAPIQKFADFVASIFVPTVVTLALFTLLGWYVGGVVGSYPKEWLPENGNYFVFALMFSISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGDALERAQKVKYVIFDKTGTLTQGKAKVTIAKVFSEMDRGEFLTLVASAEASSEHPLAKAIVEYARHFHFFDENSLTEDAQNSSKGSLIPAWLLDVAEFSAVPGRGIQCFIDGKRVLVGNRKLLTDSGVSIPTQVENFVVELEESARTGILAAYGGNVIGVLGVADPLKREAAVVVEGLGKMGVRPVMVTGDNWRTAKAVAREVCMHTCIYIILMGFLLKYCLSEFNH >EOX96865 pep chromosome:Theobroma_cacao_20110822:2:285876:293223:-1 gene:TCM_006015 transcript:EOX96865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-exporting ATPase / responsive-to-antagonist 1 / copper-transporting ATPase (RAN1) isoform 1 MSPTMRDLQLTQVAGGRRSPPSDNDSVDMEEGTRLLDSYDDGDDNSGSIQEGMRRIQVSVTGMTCAACSNSVEGALKSINGVCRASVALLQNRADVVFDPILVKDEDIKNAIEDAGFEAEILPEPSNAGTKPRGTLVGQFTIGGMTCAACVNSIEGILRNLPGVKRAVVALATSLGEVEYDPTVISKDDIVNAIEDAGFEASLVQSSEQNKIILGVAGVINDLDLQLLEGILSSLKGVRQYRFDRTSGELEVLFDPEVVSSRSLVDGIEGGSGGKFKLHVTNPYARMTTKDVEETSNMFQLFTSSLFLSIPVFLIRVVCPHIPLLDAFLLWRCGPFLMGDWLKWALVSVVQFVVGKRFYIAAGRALRNGSTNMDVLVALGTSASYFYSVGALLYGAVTGFWSPTYFETSAMLITFVLLGKYLECLAKGKTSDAIKKLVELAPATALLVVKDKGGNIIGEREIDALLIQPGDTLKVLPGAKLPADGVVVWGSSHVNESMVTGEAAPVLKEVDSPVIGGTINLHGALHIKATKVGSEAVLSQIISLVETAQMSKAPIQKFADFVASIFVPTVVTLALFTLLGWYVGGVVGSYPKEWLPENGNYFVFALMFSISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGDALERAQKVKYVIFDKTGTLTQGKAKVTIAKVFSEMDRGEFLTLVASAEASSEHPLAKAIVEYARHFHFFDENSLTEDAQNSSKGSLIPAWLLDVAEFSAVPGRGIQCFIDGKRVLVGNRKLLTDSGVSIPTQVENFVVELEESARTGILAAYGGNVIGVLGVADPLKREAAVVVEGLGKMGVRPVMVTGDNWRTAKAVAREVGIQDVRAEVMPAGKADVVRSFQKDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLMRNNLEDVITAIDLSRKTFSRIRLNYVFATAYNVIAIPIAAGLFFPSLGIKLPPWAAGACMALSSVSVVCSSLLLRRSSGSYCITCAGWMVFEPPESRWPLAFAS >EOX99135 pep chromosome:Theobroma_cacao_20110822:2:8615354:8635996:-1 gene:TCM_007738 transcript:EOX99135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative isoform 2 MLLQQISDRIIDRTIPLNILSRLSGIARSSKANLAIFNVQHFVKLFPRFVPAEWRYKSKVLWVPESSCAHPTKSWFVLFWQYIRTQGEGLALFGDWPILPSTSGHLYRPSRQSKLINAEKLSDRMQEILVKIGCKILDPDYGVEHPDLSHYVFDSNFSGVLESIFDAISSNGSMIQTFSCNLTAEDRNELRGFLLDPKWYIGDSVNSSRIKNCRKLPIYRVYTEETVQEFCFSDLENPQKYLPPLGIPAYLLGGEFVFCSSNSEEEILLRYYEVERMGKARFYRQQVLNRIKEMHAEVRDSVMLSVLENLPQLSVEDTSLRDYLRNLEFVPTVSGAIKCPSVLYDPRNEELYALLEDSDSFPFGPFQESGILDMLQGLGLRTSVTPETVIESARQVERIMHEDQDKAHSRGKVLLSYLEVNAMKWLPNQLGDDQGTVNRLFSRAATAFKPRNLKSDMEKFWNDLRLICWCPVLVSSPFQDIPWPVVSSKVAPPKLVRLQTDLWLVSASMRVLDGECSSTALSYNLGWLSPPGGSAIAAQLLELGKNNEIVNEQVLRQELALAMPRIYSILVNMIGSDEMDIVKAVLEGCRWIWVGDGFATSEEVVLDGPLHLAPYIRVIPTDLAVFKELFLELGVREFLKPADYANILGRMAARKGSSPLDAHEIGAAILIVQHLSGVQSVEQVKIYLPDVSGRLIPASDLVYNDAPWLLGSDDSDSLFSGPSAAVLNARRTQKFVHGNISNEVAEKLGVCSLRRILLAESADSMNLSLSGAAEAFGQHEALTTRLKHILEMYADGPGILFELVQNAEDAGASEVVFLLDKTQYGTSSVLSPEMADWQGPALYCFNDSVFSPQDLYAISRIGQESKLEKPFAIGRFGLGFNCVYHFTDIPTFVSGENIVMFDPHASNLPGISPSHPGLRIKFVGRKVLEQFPDQFSPLLYFGCDLQQFFPGTLFRFPLRNASVASRSLIKKEGYSPDDVMSLFASFSAVVSEALLFLRNVKSISIFVKEGAGHEMQLMHRVQRNCIREPEMNSDALHQLFGLIDVKQHGGMDKDQLLKKLSKSIDRDLPHKSQKIVVTEQNSSGTMSHCWITAECLGSGRAKTNSAVADDRVHKSIPWACVAAHIHSVKLDGEMSGAFSQENACASDAFQFSMASIQDRKNIEGRAFCFLPLPISTGLPAHVNAYFELSSNRRDIWFGSDMAGGGKKRSDWNIYLLEDVVTPAFGHLLENIASLTGPSELFFSFWPTTTGLEPWASVVRKFYIFIAEFGLRILYTKARGGQWISTKQAIFPDFAFCKVHELVEALCDAGLPLANVPKPVVERFMEVCPLLHYLTPQFLRSLLTRRKRAFKDRNAVILTLEYCLLDLQVPIKADCLFGLPLLPLTNGSFTTFEKNGAGERIYIARGDEYGLLKDLLPQQLVYCELPEVVHSKLCDLAQSEQSNISFLSCHLLEKLFLKLLPADWQLAKKVTWVPGHQGQPSLEWIKLLWSYLKSCCDDLSIFSKWPILPVEDNYLLQVVKSSNVIKSDGWSENMSTLLLKVGCLFLRHDMEIQHPQLELFVQSPTASGILNAFLAVADNGKMESIEGLFVDASGGELHELRSYILQSKWFLEEQITDLHIDIIKHIPMFESYRSRKLVSLSKPIKWLKPNGIREDLLNDDFVRAESERERIILTRYLDIREPSKVEFFKSYVLNHMSEFLSQQGDFPAILHDVKLLLEEDISIRSALAATPFVLAANGSWQQPSRLYDPRVPELQKVLHKEVFFPSEKFSDPETLDTLVILGLRRSLGFIGLLDCARSVSILHESGDPQAATCGRKLLLYLDALACKLSSEREGDVEQIISNKLPKNDPASEGNDNEMPSALFCRNSDIIDGDAVDVDSSNRENTCKDDIDIDNVIGNLIDNMPEEDFWSEMKTIAWCPICVNPPLQGLPWLKSPSHLASPSIVRPKSQMWVVSSTMHILDGQCESIYLQRRLGWMDQLNIHVLSTQLVELSKSYCQLKLHSLVEPDFDAALQQGIPMLYSKLQEHIGTDDFMVLKLALDGVSWVWIGDDFVSSNALAFDSPVKFTPYLYVVPSELAEFRDLLLELGVRLSFHIWDYFHVLQRLQNDVKGHPLSAEQFGFVNCVLEAIADCSSDKPFLEASNTPLLIPDSCGVLMSAGELVYNDAPWIESSALVGKHFVHPSINNDLANRLGVKSLRCLSLVSKDMTKDLPCMDFARINELLSLYDNNEFLLFDLLELADCCKAKKLHLIFDKREHPHQSLLQHNLAEFQGPALVAILEGASLSREEISALQLLPPWRLRTNTLNYGLGLLSCYFICDLLSIISGGYFYMFDPRGVALSVASSHAPAAKMFSLIGTSLTERFRDQFIPMLIDEKMPWSSSGSTIIRMPLSSECLKDGLELGLKRVNQIIDRFLEHASRMLIFLKSVLQVSLSTWEEGSTQLRQDYSVFIDSSSAILRNPFSEKKWRKFQISRLFSSSNAAIKLHAIDVNLLQKGTRFVDRWLVVLSLGSGQSRNMALDRRYLAYNLTPVAGVAAHISRNGHPVNGHLTGSIMTPLPLSAVINLPVTVLGCFLVRHNGGRYLFKYQHNEGLHKVQPDAGDQLIEAWNRELMSCVRDSYIEMVVEMQKLRREPSTSSIDSSFSQAVSLSLKAYGDQIYSFWPRSNGYVLSNGADDNSEADWECLIEQVIRPFYTRLVDLPVWQLYSGNLVKAEEGMFLSQPGNGVGGNLLPATVCSFVKEHYQVFSVPWELVNEVHAVGITVREVKPKMVRDLLKASSTSIVLRSVDTFIDVLEYCLSDIQFPESSSCHGDDMLMDPINPNAFHRVTNEVGSSSDSVPMSNLRTYHGSSSQNAAISGDALEMVTNLGKALLDFGRGVVEDIGRGGALVQRDDVSGSSSSKNVNGDPRLLSIAAEVKRLPCPTATNHLARLGFTELWLGNKEQQSLMMPLAAKFVHSKALDRSILADIFSKRAIQTSLNLKSFSFHLMATHMRLLFNDNWVNHVMESNMAPWFSWENTTSSDGVGGPSPQWIRTFWKSFGRSSEDLTLFSDWPLIPAFLGRPILCRVRECHLVFIPPPVTDPTFGDGIIDAAAIQHDLTGVCVNQTSESDSIKNYISAFEIAKNRYPWLLSLLNQCHIPVFDVAFMDCAAFWNFLPASSQSLGQVIASKLVAAKHAGLLPELTSFSVLDREELLNVFAHDFSNNGSSYGREELEVLCSLPIYRTVLGSCTQLNNQEHCIISSNSFLKPCDERCLSYSTDSIECSLLRALGVPELHDQEILVRFGLPHFEEKPLNEREDILIYLYTNWQDLQADSSVVVALRETNFVRNADEFSSDFYKPKDLFDSGDALLASVFSGERKKFPGERFSTDGWLRILRKVGLRMATEADVILECAKRVEFLGSECMKSTGDFDDFGTDMTYHGEVSMEVWTLAGSVVEAVLTNFAVLYGNNFCNQLGEISCVPAELGLPNVGVKRVLASYSEAILSKDWPLAWSCAPILSRQNVIPPEYSWGALHLRSPPAFATVLKHLQI >EOX99134 pep chromosome:Theobroma_cacao_20110822:2:8614748:8639612:-1 gene:TCM_007738 transcript:EOX99134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative isoform 2 MESPARDSILLEDFGQKVDLTRRIREVLLNYPEGTTVLKELIQNADDAGATRVRLCLDRRPHGSDSLLSDSLAQWQGPSLLAYNDAVFTEEDFVSISRIGGSSKHGQAWKTGRFGVGFNSVYHLTDLPSFVSGKYVVLFDPQGFYLPNVSTANPGKRIDYVSSSALSIYKDQFLPYCAFGCDMKNPFTGTLFRFPLRNLDQASRSKLSRQAYSEDDISSMFLQLFEEGVFSLLFLKSVLSIEIYMWDAGESEPKKLLSCSVNSPNDDIVSHRQALLRLSKSVVNNTDNEVDAYSVEFLSEAMMGSECRKRIDTFYIVQTMASASSRIGSFAATASKEYDMHLLPWASVAACVSDDSSDNAALKLGQAFCFLPLPIRTGLMVQVNAYFEVSSNRRGIWYGADMDRSGKVRSIWNRLLLEDVIAPIFMQMLLGVQELLGPTNSYYSLWPRGSFEEPWNILVEHIYKSIGNSPVLYSDLEGGKWVSPIEAFLHDEEFGKSKELAEALLQLGMPIVHLPNYLFDMFLKYATGFQQKVVTPDAVRHFLRSCNTLMSLSKSYKLVLLEYCLEDLIDADVGTYANNLSLIPLANGDFGLFSEATKGVSYFVCNELEYMLLQQISDRIIDRTIPLNILSRLSGIARSSKANLAIFNVQHFVKLFPRFVPAEWRYKSKVLWVPESSCAHPTKSWFVLFWQYIRTQGEGLALFGDWPILPSTSGHLYRPSRQSKLINAEKLSDRMQEILVKIGCKILDPDYGVEHPDLSHYVFDSNFSGVLESIFDAISSNGSMIQTFSCNLTAEDRNELRGFLLDPKWYIGDSVNSSRIKNCRKLPIYRVYTEETVQEFCFSDLENPQKYLPPLGIPAYLLGGEFVFCSSNSEEEILLRYYEVERMGKARFYRQQVLNRIKEMHAEVRDSVMLSVLENLPQLSVEDTSLRDYLRNLEFVPTVSGAIKCPSVLYDPRNEELYALLEDSDSFPFGPFQESGILDMLQGLGLRTSVTPETVIESARQVERIMHEDQDKAHSRGKVLLSYLEVNAMKWLPNQLGDDQGTVNRLFSRAATAFKPRNLKSDMEKFWNDLRLICWCPVLVSSPFQDIPWPVVSSKVAPPKLVRLQTDLWLVSASMRVLDGECSSTALSYNLGWLSPPGGSAIAAQLLELGKNNEIVNEQVLRQELALAMPRIYSILVNMIGSDEMDIVKAVLEGCRWIWVGDGFATSEEVVLDGPLHLAPYIRVIPTDLAVFKELFLELGVREFLKPADYANILGRMAARKGSSPLDAHEIGAAILIVQHLSGVQSVEQVKIYLPDVSGRLIPASDLVYNDAPWLLGSDDSDSLFSGPSAAVLNARRTQKFVHGNISNEVAEKLGVCSLRRILLAESADSMNLSLSGAAEAFGQHEALTTRLKHILEMYADGPGILFELVQNAEDAGASEVVFLLDKTQYGTSSVLSPEMADWQGPALYCFNDSVFSPQDLYAISRIGQESKLEKPFAIGRFGLGFNCVYHFTDIPTFVSGENIVMFDPHASNLPGISPSHPGLRIKFVGRKVLEQFPDQFSPLLYFGCDLQQFFPGTLFRFPLRNASVASRSLIKKEGYSPDDVMSLFASFSAVVSEALLFLRNVKSISIFVKEGAGHEMQLMHRVQRNCIREPEMNSDALHQLFGLIDVKQHGGMDKDQLLKKLSKSIDRDLPHKSQKIVVTEQNSSGTMSHCWITAECLGSGRAKTNSAVADDRVHKSIPWACVAAHIHSVKLDGEMSGAFSQENACASDAFQFSMASIQDRKNIEGRAFCFLPLPISTGLPAHVNAYFELSSNRRDIWFGSDMAGGGKKRSDWNIYLLEDVVTPAFGHLLENIASLTGPSELFFSFWPTTTGLEPWASVVRKFYIFIAEFGLRILYTKARGGQWISTKQAIFPDFAFCKVHELVEALCDAGLPLANVPKPVVERFMEVCPLLHYLTPQFLRSLLTRRKRAFKDRNAVILTLEYCLLDLQVPIKADCLFGLPLLPLTNGSFTTFEKNGAGERIYIARGDEYGLLKDLLPQQLVYCELPEVVHSKLCDLAQSEQSNISFLSCHLLEKLFLKLLPADWQLAKKVTWVPGHQGQPSLEWIKLLWSYLKSCCDDLSIFSKWPILPVEDNYLLQVVKSSNVIKSDGWSENMSTLLLKVGCLFLRHDMEIQHPQLELFVQSPTASGILNAFLAVADNGKMESIEGLFVDASGGELHELRSYILQSKWFLEEQITDLHIDIIKHIPMFESYRSRKLVSLSKPIKWLKPNGIREDLLNDDFVRAESERERIILTRYLDIREPSKVEFFKSYVLNHMSEFLSQQGDFPAILHDVKLLLEEDISIRSALAATPFVLAANGSWQQPSRLYDPRVPELQKVLHKEVFFPSEKFSDPETLDTLVILGLRRSLGFIGLLDCARSVSILHESGDPQAATCGRKLLLYLDALACKLSSEREGDVEQIISNKLPKNDPASEGNDNEMPSALFCRNSDIIDGDAVDVDSSNRENTCKDDIDIDNVIGNLIDNMPEEDFWSEMKTIAWCPICVNPPLQGLPWLKSPSHLASPSIVRPKSQMWVVSSTMHILDGQCESIYLQRRLGWMDQLNIHVLSTQLVELSKSYCQLKLHSLVEPDFDAALQQGIPMLYSKLQEHIGTDDFMVLKLALDGVSWVWIGDDFVSSNALAFDSPVKFTPYLYVVPSELAEFRDLLLELGVRLSFHIWDYFHVLQRLQNDVKGHPLSAEQFGFVNCVLEAIADCSSDKPFLEASNTPLLIPDSCGVLMSAGELVYNDAPWIESSALVGKHFVHPSINNDLANRLGVKSLRCLSLVSKDMTKDLPCMDFARINELLSLYDNNEFLLFDLLELADCCKAKKLHLIFDKREHPHQSLLQHNLAEFQGPALVAILEGASLSREEISALQLLPPWRLRTNTLNYGLGLLSCYFICDLLSIISGGYFYMFDPRGVALSVASSHAPAAKMFSLIGTSLTERFRDQFIPMLIDEKMPWSSSGSTIIRMPLSSECLKDGLELGLKRVNQIIDRFLEHASRMLIFLKSVLQVSLSTWEEGSTQLRQDYSVFIDSSSAILRNPFSEKKWRKFQISRLFSSSNAAIKLHAIDVNLLQKGTRFVDRWLVVLSLGSGQSRNMALDRRYLAYNLTPVAGVAAHISRNGHPVNGHLTGSIMTPLPLSAVINLPVTVLGCFLVRHNGGRYLFKYQHNEGLHKVQPDAGDQLIEAWNRELMSCVRDSYIEMVVEMQKLRREPSTSSIDSSFSQAVSLSLKAYGDQIYSFWPRSNGYVLSNGADDNSEADWECLIEQVIRPFYTRLVDLPVWQLYSGNLVKAEEGMFLSQPGNGVGGNLLPATVCSFVKEHYQVFSVPWELVNEVHAVGITVREVKPKMVRDLLKASSTSIVLRSVDTFIDVLEYCLSDIQFPESSSCHGDDMLMDPINPNAFHRVTNEVGSSSDSVPMSNLRTYHGSSSQNAAISGDALEMVTNLGKALLDFGRGVVEDIGRGGALVQRDDVSGSSSSKNVNGDPRLLSIAAEVKRLPCPTATNHLARLGFTELWLGNKEQQSLMMPLAAKFVHSKALDRSILADIFSKRAIQTSLNLKSFSFHLMATHMRLLFNDNWVNHVMESNMAPWFSWENTTSSDGVGGPSPQWIRTFWKSFGRSSEDLTLFSDWPLIPAFLGRPILCRVRECHLVFIPPPVTDPTFGDGIIDAAAIQHDLTGVCVNQTSESDSIKNYISAFEIAKNRYPWLLSLLNQCHIPVFDVAFMDCAAFWNFLPASSQSLGQVIASKLVAAKHAGLLPELTSFSVLDREELLNVFAHDFSNNGSSYGREELEVLCSLPIYRTVLGSCTQLNNQEHCIISSNSFLKPCDERCLSYSTDSIECSLLRALGVPELHDQEILVRFGLPHFEEKPLNEREDILIYLYTNWQDLQADSSVVVALRETNFVRNADEFSSDFYKPKDLFDSGDALLASVFSGERKKFPGERFSTDGWLRILRKVGLRMATEADVILECAKRVEFLGSECMKSTGDFDDFGTDMTYHGEVSMEVWTLAGSVVEAVLTNFAVLYGNNFCNQLGEISCVPAELGLPNVGVKRVLASYSEAILSKDWPLAWSCAPILSRQNVIPPEYSWGALHLRSPPAFATVLKHLQIIGKNGGEDTLAHWPTASGMMTIDDASCEVLKYLDKTWGSLSSSDIAKLQGVAFLPAANGTRLVPANSLFARLMINLAPFAFELPSLYLPFVKILKDLGLQDMLSVASAKDLLLNLQQACGYQRLNPNELRAVMEILYFVCDGTVEANTLDRVDWKSDAVVPDDGCRLVHAKSCVYIDSYGSRFVKHIDISRLRFVHPDLPERICTFLGIKKLSDVVTEELHNEDNLESLDSIGSVPLAVVREKLLSRSFQDAVWTLVNSIGSCIPAINNMALGTVQSSLESVADKLQFVKCLHTRFWLLSRSLDITFVSKDSVIQGWENGSRHRTLYFVNMSKSCILIAEPPAFISVFDVVATVVSQVLGSSIPLPIGSLFSCPEGSEAAIVDILKLCSDKREIEATSNSLMGKEIMPQDALQVQLHPLRPFYKGEIVAWRSQNGEKLKYGRVPEDVRPSAGQALWRFKVETAPGMSESLLSSQVFSFRSVSMGNNASSAILPEDNRFMTGNRTYNEMPESSERGRRKSSQPIKELQYGRVSAAELVQAVNEMLSAAGINMDVEKQSLLQKTITLQEQLKESRTALLLEQEKVDIAAKEADTAKAAWVCRVCLSNEVDMTIVPCGHVLCRRCSSAVSRCPFCRLQVTKTIRIYRP >EOX99994 pep chromosome:Theobroma_cacao_20110822:2:21417667:21418089:1 gene:TCM_009204 transcript:EOX99994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMPFVKRLLRMMIVMPPVENTLGDAVHWDIALDEDCDAVHGEIALDDDFDISSNALALGVICFSAD >EOX98398 pep chromosome:Theobroma_cacao_20110822:2:5634141:5637492:-1 gene:TCM_046719 transcript:EOX98398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A, putative MEVDQENRVPLTCSSSKKRAPMNHSFPPQPSSTKKRVVLGELTNSTDLGSTQNPKCRSKSERDPSSEKKPMRELKEKKRQEPIEEFDESFRDLKKCTFSSSIYEHLHSLEIEEKRRPLPNYMEKVQNDVTVNMREILIEWLVEVTEEYKLVSDTLYLTVSYIDRYLSSHAISSNKLQLLGVSCMLIASKYEEITPPHVDDFCYITDNSYTKEEVVEMEKDVLELLNFEIGTPTIRNFLRILMRAPQEKCKCQDLQLEFLSCYLSELSLLDYGCVRFLPSLVASSAIFLSRFMIQPKTHPWSDALECYSGYRPSDMKECVIAIHGLHLNRTRSSLRAVTEKYLQHKFKCVASLSSPLEVPGRYFEAVEE >EOY00273 pep chromosome:Theobroma_cacao_20110822:2:30812163:30815964:-1 gene:TCM_010109 transcript:EOY00273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MKQNLIFVSCSLLLFNFFLSFAIEDDITCLEGLKSSLTDPDSPLATWTFNNRSSTFVCNLTGVSCWNEKENRIISLLLSSMKLSGQLPDSLKYCRSLQILDLSNNSLSGPIPNDICSWLPYLVRLDLSGNRLSGSIPTQIANCKFLNDLVLDNNKLSGSIPYELARLDRLKRFSVADNDLSGSIPSDLARFGEDGFDGNSGLCGKPLSKCGGLSGKSLGIIIIAGVIGAAVSLIVGFAIWWWFFLRAGAAGEKRKKSYGIDGKDDSSWIELLKSHKLVQVSLFQKPINKIKLADLMVATNNFDAENAVISTRTGVSFKAMLPDGSALAIKRLSACKLSEKQFRSEMNRLGQLRHPNLVPLLGFCVVEEERLLVYKHMPNGTLYSQLHGGSLGGFGNGKFEVLDWPTRLKIGVGVTRGLAWLHHGCLPPHMHQYFSSNVVLLDDDLDARITDFGLARLMGSRDSNDSSFVNGDLGEFGYVAPEYSSTMVASLKGDVYSFGVVLLELVTGQKPIGISTAEEGFKGNLVDWVNQLFSTGRSKDAIDKALCGKGHDDEIMQFLRVACTCVVPRPKDRPSMYQVYESLKSMAEKHGFFEHYDDFPLIFGRQDHDHKE >EOX98629 pep chromosome:Theobroma_cacao_20110822:2:6531395:6537652:-1 gene:TCM_007344 transcript:EOX98629 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein with a domain of Uncharacterized protein function, putative MYILRSFSELELQTPLAHKSTPMAQKVKNKKNKKQVPPKKANNGAVTWPDLPQILVDIIAKQPALMQDISYGGLTKLCRSPPSKCNPNNFTPPCLQLFDEINVNSDENYVEPNLNVSFHRRWFWYWYFSRWARPPVRSYWKHYVGFSNEAIVAKGNTFSDPKSSELVYLWYPVDGYSFSRLPEWDERIPFTRVVVSSSARYLSNKNRTTVMVLTGTSHPAFVFYQLRGEGRREWVKQDCTLTEPHCSMKSEGKHFMTFTNAIGFNGRFYVLSLQGTLAVIDATDSYPRITTISSERAVPSVLPKHFREYLLESEGNILLVFLISRRSIKVVDDVEVFQLNTAKLSWVRMASLGDRALFLGTNCCMSVSASRVGCRSNCVYFTYHTADGWWVFDMEKSCISPGWSDAATTSPVWTAPIQEE >EOY01218 pep chromosome:Theobroma_cacao_20110822:2:38356901:38361947:1 gene:TCM_011165 transcript:EOY01218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEEEERKPVKMESKEEEGEESKTTMTPWEQHASIISIPRFDYKAPSSLLQRSHSAFLITCTIKREKSATKEAMSIFSKYVGSFKSEISCSDANADAKRRKICTDEIDQNIANSVDSSEITEAAGGIQNDDHFSSAKTDKSGAPDFVLSLVKLTRSGLLLLTFPGENPLDTIDIVSDIFQDLESGSLKSPLWCHRIFPIQATCSLNEKGLQAVVSKLVLHFVNDKRNKLARPIKFAVGFNRRGTEESQVKIPKDVSKNSDLSVLLDRGKCFSVVAAAVKGIVSDSVVDLKSPELSILIELLPLSGVPNGSLVVGVSVLPQNLVSTKPRLCIKPLVCDKSGRNES >EOX97479 pep chromosome:Theobroma_cacao_20110822:2:2403337:2408175:-1 gene:TCM_006479 transcript:EOX97479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 9 isoform 1 MSSRGGKLSSSSSELDLDGPNIEDYLPSGSSINEPRGKLRLRDLLDISPTLTEAAGAIVDDSFTRCFKSNPPEPWNWNVYLFPLWCFGVAVRYLILFPARVVVLTIGWIIFLSSFIPVHFLLKGHDKLRKKMERVLVELMCSFFVASWTGVVKYHGPRPSIRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVAKKLRDHVQGVDNNPLLIFPEGTCINNQYSVMFKKGAFELGCTVCPIAIKYNKIFVDAFWNSRKATKLC >EOX97478 pep chromosome:Theobroma_cacao_20110822:2:2403687:2408244:-1 gene:TCM_006479 transcript:EOX97478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 9 isoform 1 MKKEKLFGFINIKKKEKEEKKPKPNKNPNSQTKSESEREGMSSRGGKLSSSSSELDLDGPNIEDYLPSGSSINEPRGKLRLRDLLDISPTLTEAAGAIVDDSFTRCFKSNPPEPWNWNVYLFPLWCFGVAVRYLILFPARVVVLTIGWIIFLSSFIPVHFLLKGHDKLRKKMERVLVELMCSFFVASWTGVVKYHGPRPSIRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVAKKLRDHVQGVDNNPLLIFPEGTCINNQYSVMFKKGAFELGCTVCPIAIKYNKIFVDAFWNSRKQSFTMHLLQLMTSWAVVCDVWYLEPQNLRPGETPIEFAERVRDIISVRAGLKKVPWDGYLKYSRPSPKHRERKQQSFAESVLLRLEEK >EOX97480 pep chromosome:Theobroma_cacao_20110822:2:2403106:2408539:-1 gene:TCM_006479 transcript:EOX97480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 9 isoform 1 MSSRGGKLSSSSSELDLDGPNIEDYLPSGSSINEPRGKLRLRDLLDISPTLTEAAGAIVDDSFTRCFKSNPPEPWNWNVYLFPLWCFGVAVRYLILFPARVVVLTIGWIIFLSSFIPVHFLLKGHDKLRKKMERVLVELMCSFFVASWTGVVKYHGPRPSIRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVAKKLRDHVQGVDNNPLLIFPEGTCINNQYSVMFKKGAFELGCTVCPIAIKYNKIFVDAFWNSRKQSFTMHLLQLMTSWAVVCDVWYLEPQNLRPGETPIEFAEFI >EOX97160 pep chromosome:Theobroma_cacao_20110822:2:1400799:1403492:-1 gene:TCM_006249 transcript:EOX97160 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP family transcription factor MELTDLQSNKQSNNSNSNNNNSSNNSTEPHHQSLHHHLHHHHHHHLQHQKQSPSPQLVVPFDGTRSSGPSAGNPFNMGSISHPHHHHHLLLHPASSTTTTTTTPPLTSSSSSSSSASTTTTNPPQLVDASLAIATRSTSVNVDSTKKNQPNLPLSSTTTTTTTTVANPPVKRSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSTLNVSLRSSGSTLSAPPSKSAPHSFHGALALASHHHHHPYEEGFAQSALLGFHHQQQQQQQQQQLLTADQIAEALPGAAGGDSGNSSENYMRKRFREDLFKDDNQQQGESGSGGGGGSGDGSPIKAFKSGLGQLPKPQQDAGSSGLLRPSNILPATAMWAVAPAPSSGAGSTFWMLPVTAGAGGPSVATGASGAGPSEPQMWPFGTPGPASGNTLQAPLHFVPRFNLPGSVEFQGGRPSPLQLGSMLMQQQPSQHLGLGMSESNLGMLAALNAYSRGGSNINSEQNNPLEHHQHQPQGTDSGDEDPNNSQ >EOY01662 pep chromosome:Theobroma_cacao_20110822:2:40530308:40542885:-1 gene:TCM_011508 transcript:EOY01662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 1 MDYPPRRNRKAAVKSELYSTVVIHSGSESESDSDSTRSKRKPRPPREQDPYATMLYKDGDEEDEDDSSLPPLLKRLPKDFGGGGGGPTDFDVDDDEDAGGFGTMIVKTDRRRNTRGQTSSSFKPPEAAVSPMMARREEMDDDDEEDVDGDGEGFGTFVVRSTVRSDREGSGTVVSRAVASMGELGFGKQKRSTSSASLQGEENRFSQNSKVSSSSIPDSLTREDPSTKYELLNELGKGSYGAVYKARDIRTSELVAIKVISLSEGEEGYEEIRGEIEMLQQCSHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMNVTEEPLEEYQIAYICREALKGLEYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAVEMAEGLPPRSAVHPMRVLFMISIEPAPMLEDKEKWSLVFHDFVAKSLTKDPRLRPTASEMLKHKFIEKCKCGASVMFPKIEKAKQIRAAMVQEAQTLAPTISRVNPPEGSKLNEDYGDTVPSRPQNMGLEVANEAPATGTLKKHHILDGVKVTGEGEFGTVIVHGGDEVQKSFAQSQLQSGKAASTALEHVESTLINGTGRQLAESWVDNRRGGSANNTTMASISVPPEQKLRSDSVLQAQAEGGSEISGSTLKNETVSKKAFALQDKLSSIYAAGNTVPIPFLRATDISPIALLSDNVLGGMHQDSSGTVAVEAVQELFAGDGQLKKGRRAQNEMPLPPSVYQRLTSSSTLMNLAHALAYHKMCYDEMPLQELKATQEQQTIQNLCDTLRTILRL >EOY01663 pep chromosome:Theobroma_cacao_20110822:2:40530239:40542803:-1 gene:TCM_011508 transcript:EOY01663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 1 MDYPPRRNRKAAVKSELYSTVVIHSGSESESDSDSTRSKRKPRPPREQDPYATMLYKDGDEEDEDDSSLPPLLKRLPKDFGGGGGGPTDFDVDDDEDAGGFGTMIVKTDRRRNTRGQTSSSFKPPEAAVSPMMARREEMDDDDEEDVDGDGEGFGTFVVRSTVRSDREGSGTVVSRAVASMGELGFGKQKRSTSSASLQGEENRFSQNSKVSSSSIPDSLTREDPSTKYELLNELGKGSYGAVYKARDIRTSELVAIKVISLSEGEEGYEEIRGEIEMLQQCSHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMNVTEEPLEEYQIAYICREALKGLEYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAVEMAEGLPPRSAVHPMRVLFMISIEPAPMLEDKEKWSLVFHDFVAKSLTKDPRLRPTASEMLKHKFIEKCKCGASVMFPKIEKAKQIRAAMVQEAQTLAPTISRVNPPEGSKLNEDYGDTVPSRPQNMGLEVANEAPATGTLKKHHILDGVKVTGEGEFGTVIVHGGDEVQKSFAQSQLQSGKAASTALEHVESTLINGTGRQLAESWVDNRRGGSANNTTMASISVPPEQKLRSDSVLQAQAEGGSEISGSTLKNETVSKKAFALQDKLSSIYAAGNTVPIPFLRATDISPIALLSDNVLGGMHQDSSGTVAVEAVQELFAGDGQLKKGRRAQNEFNMLQMPLPPSVYQRLTSSSTLMNLAHALAYHKMCYDEMPLQELKATQEQQTIQNLCDTLRTILRL >EOX98513 pep chromosome:Theobroma_cacao_20110822:2:6023509:6026058:-1 gene:TCM_007256 transcript:EOX98513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferases isoform 1 MQTLGTLRPRFTELLKRPWLTKPFNLRAFSSTPPAAYTNQSRGGLRRFFSETLPSSKGGVIRVQGDEFWHMTKVLRLKTDDRIELFNGKGGLVEGCIQNIDRTAIDFVALEEPKLVLPQSQWHVFAAFGSLKGGRADWLVEKCTELGATSVTPLLTERSSVIADNRVERLQRVILAATKQCQRLHEMKLNHPTKIDNLVPLVAKSKLSFIAIAEATPLVGALTSTRTESSGLIIVGPEGG >EOX98512 pep chromosome:Theobroma_cacao_20110822:2:6021257:6026063:-1 gene:TCM_007256 transcript:EOX98512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferases isoform 1 MQTLGTLRPRFTELLKRPWLTKPFNLRAFSSTPPAAYTNQSRGGLRRFFSETLPSSKGGVIRVQGDEFWHMTKVLRLKTDDRIELFNGKGGLVEGCIQNIDRTAIDFVALEEPKLVLPQSQWHVFAAFGSLKGGRADWLVEKCTELGATSVTPLLTERSSVIADNRVERLQRVILAATKQCQRLHEMKLNHPTKIDNLVPLVAKSKLSFIAIAEATPLVGALTSTRTESSGLIIVGPEGDFTEKEVNMIIEAGSTAVGLGPHRLRVETATIAILATLMLWSDSQQITNP >EOY01763 pep chromosome:Theobroma_cacao_20110822:2:40845372:40847608:-1 gene:TCM_011589 transcript:EOY01763 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MWGFASNAIASIGLKSTKEADRACSECSDDEVCSNGSREEGLECPICWESFNIVENVPYVLWCGHTLCQNCILGLQPAVLKLPTQQIKIPLFISCPWCHLISLRLVYKGNLKFPRKNFFLLWMIESLNGDRYGLGRRTSSGYNQPVGSPRCNLMLGNQATSGVFRRGSYTHRPGQLSSQDNDGRNNVERHHFSLHKSLDFFIHFTSKFPLVIIFLLIVFFVIPASAVILVLYLLVTVVFAIPSFLVLYFAFPMLDRLISGTSNCCMLDLEVRMQKWHSFWFSLHVDGDFKGTGAEGLSRFLLGLASSTCIL >EOY00619 pep chromosome:Theobroma_cacao_20110822:2:33922695:33924641:-1 gene:TCM_010503 transcript:EOY00619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYPYERVFYDMVKKERYGIFDKELEKIIATTFRNWFNNIAVSRNEDNINTQFDDPSNDLDNNTILVSGEYEEVNTFVEVKHNEKDDDDEGVKREDEDIEEDAEKEDDNEIDDPEDENDENQFVCSDDD >EOX97545 pep chromosome:Theobroma_cacao_20110822:2:2623367:2625494:-1 gene:TCM_006536 transcript:EOX97545 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MADWSQLPPELLTLIAKRLETRFDVLRFRSVCSSWRSSFPPRVFPLPKYLPSKTKGRCDYSLSHITRHTFFLVRLPGSQNHQTAPGCWLVKIRDGTDRVRMRLLHPLSDSELKPLPVPINFPKVLDLTNCQVIELGHEFVGRYDVYIDHPLEPQAQDYREKVAITWSSTNSDDFMIVALFGFFVQYLAFLRPGEKEWTLSEIVHGAEDIISFNGKFYAIERGGRTIVVDQSLNASFLEHVGSPTSRKFLVQSCNNLLAVEMFFLRSSNSDSDFGNPVNCGSSGGDEEIGFRIFRMDEEEQRWDEMGSLGDQILILGMRQTISVSASEFSWGKGNLIFYSTDLCISPRNGQSQEMGMFVFDLEIGSASPLENCPSYCNLFWPPPEWVTSALQNVESKAYPLVVSPREVIRNSTSSATSAATDFDCEDPDSSSAISTREVGGKSPGRITSAGQETGSKRASPSSKFCFKFCCF >EOY01943 pep chromosome:Theobroma_cacao_20110822:2:41428759:41433601:1 gene:TCM_011720 transcript:EOY01943 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MALKPNSADGRTRSSIQIFVVVGLCCFFYILGAWQRSGFGKGDSIALEITKHGADCNILPSLNFETHHAGEVGNVDESEKSKSFEPCSPRYVDYTPCQDQKRAMTFPRDNMIYRERHCPREEEKLQCLVPAPQGYVTPFPWPKSRDYVPYANAPYKALTVEKAIQNWIQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPITNGTVRTALDTGCGVASWGAYLWSRNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKMPYPARAFDMAHCSRCLIPWGANDGMYLKEVDRVLRPGGYWVLSGPPINWRNNYKAWQRSKEELEEEQQKIEDTAKLLCWEKKHEKGEIAIWQKRVNDDSCRGRQDDSQASFCKAGEANDVWYKKMDACITPYPDVNSPDEVAGRELKPFPERLYAVPPRIASGSVPGFSVETYQEDNHKWKKHVKAYKKINRLIDSGRYRNILDMNAVLGGFAAALDSPKLWVMNVMPTIAQKDTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHAYGLFSLYKDRCNVEDILLEMDRILRPEGAVIFRDEVDVLIKVKKIAAGMRWDAKMIDHEDGPLVPEKILVAVKQYWVVGGNTTSTQ >EOX99096 pep chromosome:Theobroma_cacao_20110822:2:8497126:8500945:-1 gene:TCM_007713 transcript:EOX99096 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein isoform 1 MGRGKIPIKRIENQTTRQVTFSKRRAGLLKKTHELSVLCDAQIGLIVFSSTGKMCQYCSEPFRMEQIIERYQKVTGTRIPEHDNREHLYNELAVLRKETRRLQLSMRRYTGEDMSSIPFEELDQLEQELERSVNKVRERKNELLQQQLDNLRRKERILEEENSNMYRWIQEHRAAIEYQQGGIEAKPVEHQQVLDQFPFYGEPSSVLQLATIPQQFHSYQLQLAQPNLQDSNV >EOX99097 pep chromosome:Theobroma_cacao_20110822:2:8497273:8500811:-1 gene:TCM_007713 transcript:EOX99097 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein isoform 1 MGRGKIPIKRIENQTTRQVTFSKRRAGLLKKTHELSVLCDAQIGLIVFSSTGKMCQYCSEPFRMEQIIERYQKVTGTRIPEHDNREHLYNELAVLRKETRRLQLSMRRYTGEDMSSIPFEELDQLEQELERSVNKVRERKNELLQQQLDNLRRKERILEEENSNMYRWIQEHRAAIEYQQGGIEAKPVEHQQVLDQFPFYGEPSSVLQLATIPQQFHSYQLQLAQPNLQDSNV >EOX96810 pep chromosome:Theobroma_cacao_20110822:2:98296:101467:1 gene:TCM_005968 transcript:EOX96810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a chloroplast protein that induces tolerance to multiple environmental stresses and reduces photooxidative damage MGTLQASQVPFASTSSSSSPPAPRTLSTCKPFAVNLNLNQVCSLMSLPRRRRNGVGTVVVVGVGKEDTQQVRVDEDDVASSSATTQQEEEEDLQCVRQIQRVLELLSKNRDMLFSEVKLTVMIEDPREVERRRLLGIEDPDAPTRDDLVDALEQINEGKIPTNRVALRILAEEMINWPNLEEEAPKKKHGKSLYAKATDTGIDPKEAAKRLNIDWDSAAEIEDADVDDETEVLPAVGFGALYLVTAFPLIIGISVVLILFYNSLQ >EOY01613 pep chromosome:Theobroma_cacao_20110822:2:40277146:40284123:1 gene:TCM_011463 transcript:EOY01613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein, putative isoform 1 MIAKLSKACNVTIGIWQVIILISLGFYLHLRRSKFVSADNLAYVPSEQIFLDCGSSAEKSLLFDGRNWSSDIGSDFTAYNSDSNSTVSSASIGTAVPRVPYMTARLFYSKFSYTFNVTPGPKFIRLYFYPDSYRGLNVSESFLTVTSGHYTLLRNFSCYLTAKYLNAAYFFKEFIIHVENHTLELTFSPTLDAPNAYGFVNGIEVVSMPLHLYIRGHDVPLPFIGFLPKMIMFNNNSALETVYRATMGGQTISSAHDTGMFRTWDADETYIFGAAYGQIDIDITLSIQYSKTVPAYTAPGDVYHTARSMGQYNEINRNYNLSWFFPVDTGFKYLIRLHFCEIVPGINLENQRVFFIFINNQTAESQADVIVWSKGHGIPVYRDYVVMIPPQVVGKQDLWLELHPNIQSKPDFYDAILNGVEIFKISDYNGNLAGLNAPLDESNVQPSPAPFKKSKKGLQKQIKYSLSGAFVLFIFLLFALVLIKTKAKRKKEKHMDSSHCHSFPINDIRKATNNFDESKVIRKWDFGKVYKGTITGIDTTVAIKRGSKATTEPEFFEEIKMLSQIRHHNVLSLLGYCKEDHEMIFVYEYTDNDSLSDHLHVVGQRKKPLTWNQRLEICVGVARGLHYLHTEEKRPIVHSDINTSNILLDKNWRPKISIFGSTTTSYNSNGSDVDGSFGSPDLSYHWDKIGSEKTEVYFFGLVLLEVLSGRSAPTNLNAENDENVGGSDDYESLIPSASYCLEKGDADKLVDQHLKGKIPPESLRNFVKVTKQCLAKNGVKRPSISEVLYNLEQLQVRGGSDSNASPKGLFPQSSSDLMLGVEFSEIMMSEGR >EOY01614 pep chromosome:Theobroma_cacao_20110822:2:40280176:40283635:1 gene:TCM_011463 transcript:EOY01614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein, putative isoform 1 MIAKLSKACNVTIGIWQVIILISLGFYLHLRRSKFVSADNLAYVPSEQIFLDCGSSAEKSLLFDGRNWSSDIGSDFTAYNSDSNSTVSSASIGTAVPRVPYMTARLFYSKFSYTFNVTPGPKFIRLYFYPDSYRGLNVSESFLTVTSGHYTLLRNFSCYLTAKYLNAAYFFKEFIIHVENHTLELTFSPTLDAPNAYGFVNGIEVVSMPLHLYIRGHDVPLPFIGFLPKMIMFNNNSALETVYRATMGGQTISSAHDTGMFRTWDADETYIFGAAYGQIDIDITLSIQYSKTVPAYTAPGDVYHTARSMGQYNEINRNYNLSWFFPVDTGFKYLIRLHFCEIVPGINLENQRVFFIFINNQTAESQADVIVWSKGHGIPVYRDYVVMIPPQVVGKQDLWLELHPNIQSKPDFYDAILNGVEIFKISDYNGNLAGLNAPLDESNVQPSPAPFKKSKKGLQKQIKYSLSGAFVLFIFLLFALVLIKTKAKRKKEKHMDSSHCHSFPINDIRKATNNFDESKVIRKWDFGKVYKGTITGIDTTVAIKRGSKATTEPEFFEEIKMLSQIRHHNVLSLLGYCKEDHEMIFVYEYTDNDSLSDHLHVVGQRKKPLTWNQRLEICVGVARGLHYLHTEEKRPIVHSDINTSNILLDKNWRPKISIFGSTTTSYNSNGSDVDGSFGSPDLSYHWDKIGSEKTEVYFFGLVLLEVLSGRSAPTNLNAENDENVGGSDDYESLIPSASYCLEKGDADKLVDQHLKGKIPPESLRNFVKVTKQCLAKNGVKRPSISEVLYNLEQLQVRGGSDSNASPKGLFPQSSSDLMLGVEFSEIMMSEGR >EOY00804 pep chromosome:Theobroma_cacao_20110822:2:35321481:35326575:-1 gene:TCM_010741 transcript:EOY00804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 59 kDa regulatory subunit B' eta isoform 1 MIKQILGRLPRKPSKSSESREFGGSSAPSLSNSSNLRGSDIVGNHRLMIDSAPLSGPNSTSSLGYSPRSKLTQAVNQKLNGNSLTAPFEALPGFKDVPSSEKQNLFLRKLSLCCIVFDFTDPMKNLKEKDIKRQTLLELVDYVSSANGKFAETVMQEAVKMMSINLFRSLTPQPRENKVVEAFDMEEEEPLMDPAWPHLQIVYEFLLRFVASPETDAKLAKRYIDHSFVFKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFHFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRALIPLHKPKCLPLYHQQLSYCITQFVEKDCKLADTVVRGLLKYWPITNSSKEVMFLGELEEILEATQPAEFQRCMVPLFRQIARCLSSSHFQVAERALYLWSNDHIENLIRQNRRVILPIIFPALEKNGHNHWNQVVQSLTHNVRKIFSDIDPELFEECLNKFREDEEKLGEIKTKHEATWKRLEDIAVSNTANGEAGLVPHIVASRIS >EOY00805 pep chromosome:Theobroma_cacao_20110822:2:35321623:35326657:-1 gene:TCM_010741 transcript:EOY00805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 59 kDa regulatory subunit B' eta isoform 1 MIKQILGRLPRKPSKSSESREFGGSSAPSLSNSSNLRGSDIVGNHRLMIDSAPLSGPNSTSSLGYSPRSKLTQAVNQKLNGNSLTAPFEALPGFKDVPSSEKQNLFLRKLSLCCIVFDFTDPMKNLKEKDIKRQTLLELVDYVSSANGKFAETVMQEAVKMMSINLFRSLTPQPRENKVVEAFDMEEEEPLMDPAWPHLQIVYEFLLRFVASPETDAKLAKRYIDHSFVFKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFHFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRALIPLHKPKCLPLYHQQLSYCITQFVEKDCKLADTVVRGLLKYWPITNSSKEVMFLGELEEILEATQPAEFQRCMVPLFRQIARCLSSSHFQVAERALYLWSNDHIENLIRQNRRVILPIIFPALEKNGHNHWNQVVQSLTHNVRKIFSDIDPELFEECLNKFREDEEKLGEIKTKHEATWKRLEDIAVSNTANGEAGLVPHIVASRIS >EOX98363 pep chromosome:Theobroma_cacao_20110822:2:5498061:5500548:-1 gene:TCM_007141 transcript:EOX98363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl alcohol dehydrogenase 1 MGSLETERTITGWAARDPSGTLSPYTYTLRNTGPDDVFIKVICCGICHTDLHQAKNDLGMSNYPMVPGHEVVGEVVEVGSDVTKFTVGEIVGVGCVVGCCRNCRSCDTDNEQYCLKKIWSYNDVYTDGKPTQGGFAGSMIVDQKFVVKIPDGMAAEQVAPLLCAGVTVYSPLRHFGLMGSGLRGGILGLGGVGHMGVKMAKAMGHHVTVISSSDKKKVEALEHLGADEYLVSSDTEGMQKAADSLDYIIDTVPVFHPLEPYLTLLKLDGKLILTGVINTPLQFVSPMIMLGRKSITGSFIGSMKETEDMLKFCKEKDLTSMIEVVKMDYINTAMERLEKNDVRYRFVVDVAGSKLDQ >EOY00846 pep chromosome:Theobroma_cacao_20110822:2:35505019:35507665:-1 gene:TCM_010771 transcript:EOY00846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3537) [Source:Projected from Arabidopsis thaliana (AT1G67570) TAIR;Acc:AT1G67570] MGDSQVQTEAEQPPPAQIPLLNSNNRNDTAQTQLLRRDQEYRIGDDLDDSLEKLERFLSFLGFNQSSLLSCSLSWAAFSVVGVLVPVLVLELSKCDGCETYQIKDFEMDIVASQACLAAVSLFCVSFNLRKYGIRRFLFLDRYGGQMARFTNLYVKQIWDSMRLLIWWSLPCFILKTAREIIRILYVQRDSRLRHHLSKISHRFRIFLLLEFLVVTASQFVTLFQTTGYSGMVNFINGGDFAVSSIVQVVGIILCLHAATKISHRAQGIASLASRWHALATCTSTEASLRGSNSVGNMEAFNQLNSLRMTFSESDLESVDYVAMPTTTQLASYVSSYHRRQAFGMKFILFLFLFCFSILNLKI >EOY00845 pep chromosome:Theobroma_cacao_20110822:2:35503528:35507665:-1 gene:TCM_010771 transcript:EOY00845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3537) [Source:Projected from Arabidopsis thaliana (AT1G67570) TAIR;Acc:AT1G67570] MGDSQVQTEAEQPPPAQIPLLNSNNRNDTAQTQLLRRDQEYRIGDDLDDSLEKLERFLSFLGFNQSSLLSCSLSWAAFSVVGVLVPVLVLELSKCDGCETYQIKDFEMDIVASQACLAAVSLFCVSFNLRKYGIRRFLFLDRYGGQMARFTNLYVKQIWDSMRLLIWWSLPCFILKTAREIIRILYVQRDSWWISVVILLALILSWTYVSTISLSASILFHLVCNLQVIHFDDYAKFLERESDVLVFIEEHSRLRHHLSKISHRFRIFLLLEFLVVTASQFVTLFQTTGYSGMVNFINGGDFAVSSIVQVVGIILCLHAATKISHRAQGIASLASRWHALATCTSTEASLRGSNSVGNMEAFNQLNSLRMTFSESDLESVDYVAMPTTTQLASYVSSYHRRQAFVMYLQANPGGITIFGWTVDRGLINTIFFIELTLITFVLGKTIVFSST >EOY00702 pep chromosome:Theobroma_cacao_20110822:2:34560606:34582962:-1 gene:TCM_010631 transcript:EOY00702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin GLE1, putative isoform 2 MWLDSEIGSAASLWEFENIGVLGYFTMGVVKLEIRCPQTVNGIGLDPDPDWSFDALLPEIDSLEKKLNVSSSVPLPFTKTKSREFYAENGIKRSPNAFVMRISDEEFEDSEGEAEEVHDRGLVKATRFNCDEFYLSDDDSDNESSLQVQTYFMNEVGLVESALVELTHEHQLGVREEIRSKISSLETDFMNESEKSSSAHVKVDKYREARREVERKFDVQYQRRLAEALDNHLTAVQRDHELKSQIAERRIRSDAAHEEAKRREKALQEERLRQEKAKAEAEMQAKLKAEEAKRVALEAERRAAKEAAEREADKASKANTSEVSQTEALGGPTATSSVVLNAQPKGSDADKTMKSYSAGNMLRAAESALNLERERLQKLKELDERNQSLRSSSNEDFGSTERHIARLIRQIRGTKDNVRTKATELIKIFNNPRCPQTISIASFAKKVVSHCESPDNAAFACGHVIVLVTSQFPQAMDLLVAELQRACIYTVPKHISYSKSAFESKVAYWKAIGYREDDGKIESTKDYLKRLESYMKLYGALVQTEVAGGQNVHGLKEGWAWLARFLNALPANIYTAVALNAFLQMAGFALFRKYKSQFMKLLNIISENFLNALRAQQDPELRPIMAEIQSYLEDKKFLQEPEGRALQGSLLSSVMVPDSDYQESYHQPNRYFY >EOY00703 pep chromosome:Theobroma_cacao_20110822:2:34560111:34571418:-1 gene:TCM_010631 transcript:EOY00703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin GLE1, putative isoform 2 MGVVKLEIRCPQTVNGIGLDPDPDWSFDALLPEIDSLEKKLNVSSSVPLPFTKTKSREFYAENGIKRSPNAFVMRISDEEFEDSEGEAEEVHDRGLVKATRFNCDEFYLSDDDSDNESSLQVQTYFMNEVGLVESALVELTHEHQLGVREEIRSKISSLETDFMNESEKSSSAHVKVDKYREARREVERKFDVQYQRRLAEALDNHLTAVQRDHELKSQIAERRIRSDAAHEEAKRREKALQEERLRQEKAKAEAEAKLKAEEAKRVALEAERRAAKEAAEREADKASKANTSEVSQTEALGGPTATSSVVLNAQPKGSDADKTMKSYSAGNMLRAAESALNLERERLQKLKELDERNQSLRSSSNEDFGSTERHIARLIRQIRGTKDNVRTKATELIKIFNNPRCPQTISIASFAKKVVSHCESPDNAAFACGHVIVLVTSQFPQAMDLLVAELQRACIYTVPKHISYSKSAFESKVAYWKAIGYREDDGKIESTKDYLKRLESYMKLYGALVQTEVAGGQNVHGLKEGWAWLARFLNALPANIYTAVALNAFLQMAGFALFRKYKSQFMKLLNIISENFLNALRAQQDPELRPIMAEIQSYLEDKKFLQEPEGRALQGSLLSSVMVPDSDYQESYHQPNRYFY >EOY01372 pep chromosome:Theobroma_cacao_20110822:2:39171768:39172015:1 gene:TCM_011283 transcript:EOY01372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 KLGASNVKIIQLLGSGQKVRVSPTSRPLPPRFALPHYSLVEPGEL >EOX98257 pep chromosome:Theobroma_cacao_20110822:2:5077372:5084641:-1 gene:TCM_007062 transcript:EOX98257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Longevity assurance factor, putative MESFIWSRNAKPDALHFLLAVYFAFGFVAARFFLDKFIFRRLAIWLLSKGSGPLKMNEATQAKIVKCSESMWKLAYYATVETCVLRITYYEPWFRDTKEYFRGWPDQELNLPLSLYYMCQCGFYIYSIAALLTWETRRKDFAVMMSHHIITVILIGYSYVTRFFRIGTIILALHDASDVFMEAAKVFKYSERELGASVFFGLFAISWLLLRLIFFPFWVIRTSSYDVREYLNLSESYPRFLYYVCNTLLLMLLVFHVYWWVLICSMISRQLNNRGKVGEDIRSDSEDDD >EOX99201 pep chromosome:Theobroma_cacao_20110822:2:9017409:9019113:-1 gene:TCM_007802 transcript:EOX99201 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding-like protein MCVSLKILLYSRFHFCYTEHRCYVAFCTFPLSSLNFHCYHFSVFDINSMKMERGFGSTHPCYPPAFTAEFSINSSYEANFNSIFNDPNLQPLLPLPSDPSTFNFFSQDFPSLPLEPQLPVPDLDSLYSSSLPTKIPDILPDSTQFLDFFNKPLPDLHSLEQPHRQPHFTEPSVSSSTRKLKRTRLDLNLSDNNPQTLDSIVQSFNSPSPVIPHSELARKRRQKLSDKTRCLQKLMPWDKKMDTGTMLQEAYKYIRFLEAQVSILQSMPISSSFASTEHNAPVGFDYGGLGRLNRQQLLQVLVNSPVAQTMLYSQGFCVFAYEQLVSLKKAKERKAVLQQFLFGN >EOY00073 pep chromosome:Theobroma_cacao_20110822:2:25024282:25025861:-1 gene:TCM_009534 transcript:EOY00073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEANKNKNLVRQEYYTSIHKFVLYRRLVCCISKNISLVIMGVFTYESEVVTTIPPAKMFKACILDGDKLIPKIVPHAFKSVEYIEGNGEPGSIKKVTFGEGSQFNYMKQKVEALDKENFVYSYSVNEGDALMNMLEKVTYETKLEPSPAGGSVCKTTSKYYTIGDFELKEEGIKAGKEKALGMFKAVEAYLLANPDAY >EOY01063 pep chromosome:Theobroma_cacao_20110822:2:37254751:37256038:-1 gene:TCM_011002 transcript:EOY01063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cadmium resistance 2 MHSSNPNASVYAEKTTSGQPHDEVPWSVGFCDCLSDMKTCCIAYWCPCITFGQIAEIVDKGSTSCGASGALYTLILLIIGCPCFYSCFYRTKMRKQYMLKGGGCGDCMLHFFCETCALTQEYRELKNRGFDMSIGWHANVEKNQGLAMAPVVEKGMSR >EOX98817 pep chromosome:Theobroma_cacao_20110822:2:7319482:7321727:-1 gene:TCM_007496 transcript:EOX98817 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase 2, putative MANLRAHVCFLLSFATFLNLISCHTNLPANHVALFVFGDSLFDPGNNNYINTTFDFQANFRPYGETFFKYPTGRFSDGRLIPDFLAQFAGLPIIPTYLQPGNHKFTDGVNFASGGAGALVESHQGFVVDLETQIRYFKKVEKSLRQELGDEEAKKLLSRAVYLISVGGNDYLTRNSSASDEEYASMVLGTLTVALKEIYKKGGRKFGFPNMMPLGCLPYMKAKAGGPCIDEFTAIAKLHNKELPKTLQKLETQLEGFKYAYYNFYKSVSERLNNPSKYGFKDATTACCGSGLYGGVYSCGGKRGITEFHLCENPSEYFFFDSYHPSEKAYQQFAELMWSGTTDFVWPYNLKTLFEAKTSNLYDHFIIPSP >EOX99468 pep chromosome:Theobroma_cacao_20110822:2:11455889:11463925:1 gene:TCM_008152 transcript:EOX99468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring 1b MPAQKRSLPENLDDEESSLHHQSLSKQSRNEEDQHKPEDETTQLEEEQEPEPEQEQEQQQYHKHDPDDENHQVQLQGDNEDEDEEDEDDSDGSQSSTSQEKPEFVFVELPEIRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEERTRNKQIQASIAQIFQRQSEALVKRRSLGKESTGGFMTRSQRNHRSTHPRRRRNSRGAEHQGSEDNEDENDDNGGKDSSSTDERCTEVRQRRRKRRAGIRPSQPSSSVVNSDGGCVENDTEVSRDSRGISPGLVWNTDKLAWGAGGARSHTRHGNSGGGSGKSSRARLSRLVEYLRSLEESNDELDVHLKLISVDEHSTSSLQQPYLCCRPSLSVKQLCEYIALQTPLRAEEVEILMVKGQYNTDDNHSIPTPLTSEDALQILEGQETLAGLKVKSSSGRNHLILAYRQKQTS >EOY02141 pep chromosome:Theobroma_cacao_20110822:2:42093017:42094457:-1 gene:TCM_011865 transcript:EOY02141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCNRLFCLMRPSLFSDQDSTIPYNNTISVSFTHSWAGIDECRDDTEHLIIGVSQLPSLPVDRKISSLASHPPRIDGPRVPKPNLPEPILPQPTTYHFYQQVPGYDLPELKLDLLFDSIEIGQAKNLLQFQSCCQRKQQSKQNLKTRMSRVKLEIEAVILLCHWKVQNLLDPPKTKTSDATDSLRISYQLWK >EOY00709 pep chromosome:Theobroma_cacao_20110822:2:34620040:34625798:1 gene:TCM_010641 transcript:EOY00709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sieve element occlusion e, putative MELSAMSHGRSDPHMFSSASGGKAIGKQIEAIHDPAGIHTSMKPVLDIVEDIFRRAAPPGRGTVQEAHMQVDASDERALHSSADELIDYLSAIINRISCEIAYRLSIGEDAHATTLAVAHVVRSYSWDAKVVLALAAFAMSYGEFLLIVQLYTTNPLAKGVALLKQLPEVLARADLLKTKFDTLANLINAMHCVAKCVIEFKELPSQYISPEDPELSSANSDIPSAVYWTIRSTVVCASQIIGLIGMGHEFVSSTTDAWELSSLAHNIDSICSDLMEKLKRCRQRINERKDIEAYQTLLRLFDAVHIDNMKILKALIYAKDDQLPLWDGTTKQKVSIDLLRRRTVLLFISDLEIPHDDILILEQMYNESQAHPTRVESQYEVIWIPVVDRSVPFDDTKREQFESLKAMMPWYSVSHPSMIQPAVIRCIKEVWDFSKKPLVVVLDPQGRVVNSNAIHMMFIWGNSAFPFTKIREEALWKEETWRIELLADSIDPSIINWLTEGKFICLYGGEDMDWIRKFTTTAKAVAQTANIKLEMLYVGKSNPTEKVRRNMTTIQRENLSRVLSDISLMRFFWVRLESMWHSRVQHGVTVENDHILPEIMTMLSFDGSEQGWAVISRGSDELARAKAEIVLKSLDQYPVWEALAAEKGFIPALNDHIRGLRTEHHCNRLILPGTAGIRSIHERVVCFDCGKQMEKFFMYRCCTD >EOY00506 pep chromosome:Theobroma_cacao_20110822:2:33108846:33110083:1 gene:TCM_010390 transcript:EOY00506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRYLLYKYGIAVLNKILRSEAELDIGRAGLRELQVLFRVDQDWRPLCLSTVIFREGFVGYFYNPTSQIQC >EOX99433 pep chromosome:Theobroma_cacao_20110822:2:11194124:11195459:1 gene:TCM_008115 transcript:EOX99433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein 6, putative MVVPLGPGKFYGSSLPRPRIYTDTKFNSDRVDPPLPVLDPLLSWANEAHWSMGGLSFKRLRLQGRIEGNVKRLKAQREKLVKDSPDKAHIIKKNKRDASVSPPPAPVAVKRRRFLDLNDDDESENEEDEMVEEREEKRVLRKGAARKLGDDFERVASNGVAVSKRERSFSDGIGVDVMNIVEEVNMESEFQGDKKKKKKKKKSIEKGLKNGKVEGQSGTRTSPRLAKRGSSSR >EOX98283 pep chromosome:Theobroma_cacao_20110822:2:5201149:5206022:-1 gene:TCM_007083 transcript:EOX98283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MSEEGGHDSFLLWDSQSWALSNSDNNSAGSEEKSGKNQQEQETLRDEAKKNKRGRGGGSVGENGKGSGEVREGKGGGGAESDHEMHIWTERERRKKMRNMFANLHALLPQLPPKADKSTIVDEAVNYIRTLQQTLQKLQKQKLERLEGAINFGCEPSMMTTQKQALDSREAFLADQVSSSDLANISATNFSSSLSVSQFPVLFQTWTSSNVVLNICGNEAQISVCSAKKPGLFSAICYILEKHKIEVMSAHVSSDSDQSMFMIQAQVSLLEELPVSCQRHFKPTKCSNRLQVRSCAGSLPDHTRKLGPQISLLLWVKATRDGVNLSPSEKILFLPTS >EOX99451 pep chromosome:Theobroma_cacao_20110822:2:11371375:11372012:-1 gene:TCM_008135 transcript:EOX99451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNFRLPFAVLIISLAISAESSSYGSTHWGRTLFSSTVRDGSVGARQACRGPLSECIGNEEETPMEWDISRRALAEGKRYISYGALKQNSVPCNRRGNSYYNCGAPGRANPYKRGCSVITHCYRYTG >EOY00883 pep chromosome:Theobroma_cacao_20110822:2:35671368:35672358:-1 gene:TCM_010802 transcript:EOY00883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MTLLNYLNLSYNNLSGQIPSSNQLQTMADPSIYQGNPRLCGPPLSANCSISGDGDGFPKDEDDEDDDGSKNLGMYISAVLGFVIGFWAVFGTLVIKKSVRRAYFRYLENMKDKLFVLIAVKLARLQRKMEVQRT >EOY01543 pep chromosome:Theobroma_cacao_20110822:2:39981676:39982093:1 gene:TCM_011411 transcript:EOY01543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKAFFSMKFFIFLLFASSMVFSMVPGAQGQRCTTVADCKGIRCIDKTLECRDGRCQCVPTFGTKISCSKDFDCNKEV >EOX97085 pep chromosome:Theobroma_cacao_20110822:2:1124853:1129667:-1 gene:TCM_006185 transcript:EOX97085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 MEILGLKIKFLLQTKTNASDRHHDGESDSNIKWVPDGLQEVIPAASRDQLPIAIDGEADLIGQEMAKDDEIDLVLQEIQMLENAPDGPLLAMANNQEGWRLKDVLTWVFPITSFTLELPSAVFDQLSSKDHSHYAHIVMLISFIALVACIAELIYKGKKERVTWQPRDRVPWFHCRQTGKPFCSLWEIIGFACAFLQCVVTAINYSFISRHLDGPIKTSALPILFAFGLLCSKYFEKPGRNRGGRPDGGSEADLVQVRVEP >EOY00215 pep chromosome:Theobroma_cacao_20110822:2:29783377:29819951:-1 gene:TCM_009998 transcript:EOY00215 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MPPRRGRPPLTRSVGRGRGRSQRHQPDTAEEELAVSTIRATPAAEQADSPPHPPSPQPPTGIPAMPTEAAQALAAFFATIAGQAQTGQVPPVVPPATPLVPPPIQDVSISKKLKEARQLGSVSFTGELDATVAKDWINQVSETLSDMGLDDDMKLMVATRLLKKRARTWWNSVKSRSATPQTWSDFLKEFDVIGREPHKEVVQMALRAEKLATENRRIRTEFTKRRNPGMSSSQPVKRGKDSATSGSTTSVSVTSPRPPFPPSQQRPSRFSRSAMTGSGKSLGGSDRCRNCRNYHSGLCRGPTRCFQCGQTGHIRSNCPQLGRATVAASSPTARTDIQRRDSSGLPPRQGVAIRSGVESNTPSHSPSRPQTCTATRVFAVTEDEARVRPGAVTGTMSLFDKDAYVLIDSGSDRSYVSTTFASITDRNLSPLEEEIVVHTPLGEQLIRNTCYRDCGVRVGEEEFRGDLIPLEILDFDLILGMDWLTTHRANLDCFRKEVVLRNSEGAEIVFVGERRVLPSCVISAIKASKLVQKGYPTYLAYVIDTSKGEPKLEDVPIVSEFPDVFPDDLPGIPPNRELEFPIDLLPGTAPISIPPYRMAPAELKELKAQLQDLVDKGFIRPSISPWGAPVLFVKKKDGTLRLCIDYRQLNRVTIKNKYPLPRIDDLFDQLRGAMVFSKIDLRSGYYQLRIKEQDVPKTAFRTRYGHYEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVLQTLRERQLYAKFSKCEFWLKEVVFLGHVVSGAGTYVDPKKIEAILQWEQPRMVTEIRSFLGLAGYYRRFVQGFSLIAAPLTRLTRKEVKYEWDDVCENRFQELKNRLTSTLVLTLPVSGKEFVVYSDASKLGLGCVLMQDEKVIAYASRQLKKHETNYPTHDLELATVVFALKIWRHYLYGERCRIFYDHKSLKYLLTQKELNLRQRQWLELIKDYDLVIDYHPRKANVVADALSRKSSSSLATLRSSYFSMLLEMKSLGIQLNNGEDGTLLASFVVRPSLLNQIRELQKSDDWLKQEVQKLQDGKASEFRLSDDGTLMLRDRICVPKDDQLRRAILEEAHYSAYALHPGSTKMYRTIKESYWWPGMERDIAEFVAKCLTCQQIKAEHQKPSGTLQPLSIPEWKWEHVTMDFVLGLPRTQSGKDAIWVIVDRLTKSAHFLAIHSTYSIERLARLYIDEIVRLHGVPVSIVSDRDLRFTSRFWPKFQEALGTKLRFSTAFHPQTDGQSERTIQTLEDMLRACVIDFIGSWDRHLPLVEFAYNNSFQSSIGMAPYEALYGRKCRTPLCWDEVGERKLVNVELIDLTNDKVKVIRERLKTAQDRQKNYSDKRRKDLEFEVDDKVFLKVSPWKGVIRFAKRGKLNPRYIGPFHIIERIGPVAYRLELPPELDRIHNAFHVSMLKKYVPDPSHILETPPIELHEDLKFEVQPIRILDRKDRVLRNKSIPMVKVLWKNARMEEMTWEVESQMRNQYPHLLFESGGESSDKGKEIASEDQ >EOY01571 pep chromosome:Theobroma_cacao_20110822:2:40092485:40096379:1 gene:TCM_011433 transcript:EOY01571 gene_biotype:protein_coding transcript_biotype:protein_coding description:BES1-interacting Myc-like protein 2 MRTGKGSQEEEEYEEEEFGSKKQGPSSNQTMSVNATNNINNTNKDGKNSDKANAIRSKHSVTEQRRRSKINERFQILRDLIPNTDQKRDTASFLLEVIEYVQFLQEKVQKYEGSYQGWSSEPTKLMPWRNSHWRVQSFVGHPQAIKNGSGPGSTFAGKFDENNINIPPTMITSAQDPVESDHIRDPTSKAMDRQLELANKGMALPIRADNVLVRPLQLPVSEAQSTECLINSDTLNQQDDLTIEGGRISISSVYSQGLLNSLTQALQSAGLDLSQANISVQIDVGKRANRGLTSGTSAKDPQNSPNQAMTCLRDVSSGEESDHAKKRLKK >EOX99872 pep chromosome:Theobroma_cacao_20110822:2:17590114:17595418:-1 gene:TCM_008854 transcript:EOX99872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein 65-8 isoform 2 MGSFQAPTGMRSSALLETSCGYLLQELQMIWDEVGEDQLEREKVLLELEQECLEVYRRKVDRANISRARLHQELAESEAEFTHLLLSLGERSLPGRPEKMSGTLKQQLDSITPALRDMRLRKEERVNQFQAVQGQIQKISAEIAGQSEYDDSITNVIVNENDLSLKKLEEYQNELQRLHNEKNNRLQQVEKYIDAVHNLSATLGMESSMIITKVHPSLNELCGISKNISDGILAKLNGTVDSLQEEKQKRLEKLHHLGKALTNLWSLMDTPYGDRYLFSHIIDLLSVSSPEVSDPGSLTLDIIQQAEAEVKRLDQLKASKMKELFLKKQNELEEICNKSHMEIPSRSEMENILNLINSGEIDHADLLMSMDEQISRAMEEALSRKSIMEKVEKWMLARDEERWLEEYSMDENRYSVSRGAHRNLRRAERARVTVNKIPGTAYGNVGRVQPV >EOX99871 pep chromosome:Theobroma_cacao_20110822:2:17590779:17595359:-1 gene:TCM_008854 transcript:EOX99871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein 65-8 isoform 2 MGSFQAPTGMRSSALLETSCGYLLQELQMIWDEVGEDQLEREKVLLELEQECLEVYRRKVDRANISRARLHQELAESEAEFTHLLLSLGERSLPGRPEKMSGTLKQQLDSITPALRDMRLRKEERVNQFQAVQGQIQKISAEIAGQSEYDDSITNVIVNENDLSLKKLEEYQNELQRLHNEKNNRLQQVEKYIDAVHNLSATLGMESSMIITKVHPSLNELCGISKNISDGILAKLNGTVDSLQEEKQKRLEKLHHLGKALTNLWSLMDTPYGDRYLFSHIIDLLSVSSPEVSDPGSLTLDIIQQAEAEVKRLDQLKASKMKELFLKKQNELEEICNKSHMEIPSRSEMENILNLINSGEIDHADLLMSMDEQISRAMEEALSRKSIMEKVEKWMLARDEERWLEEYSMDENRYSVSRGAHRNLRRAERARVTVNKIPALVDSLIAKTKSWEEERKKIFLYDEVPLMGMLEEYNQFRQEREEEKQRQRDIKKVQGQVVVEQENFIASRPATSNRRHSNRSLNGGFGNATPLNRRLSLSIQHLGSNSINSATQGISYIKEEKKVQGKRIFPRPNFIQQRDETASVVSTFSGPLSP >EOX98771 pep chromosome:Theobroma_cacao_20110822:2:7123202:7127489:1 gene:TCM_007460 transcript:EOX98771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase 1, putative MEIKIVCQRCRQKFAATTNAETVVCPHCRKVNPNRTLQKRSSTGEHGRVSALLVGNCIRETLKKILRGSTPKPAAALGSSSSTISSKLCALDYTGPRANKRALLCGVSYKKWKYKLKGTINDVSNMKDLLTQYYEYREENILVLTEEQTDPRLIPTKANIEHCLKWLVDDCRSGDSLVFYYSGHGLRQPDFDNDERDGFDETICPVDFLREGMILDNDIYAIIVKPLTEGVTLHAIVDACHSGTILDLEHVYNKEKEKWEDNSPPSGVRKQTSGGKAYCISACEDDQVAADTSAFSSKSMNGAMTFILVRVVREKSRITYGELLNEMHERIKEANKQGCLGDSRILSRIFGPNLTQKPLLSASEEFDIYQRPFKL >EOY00796 pep chromosome:Theobroma_cacao_20110822:2:35165627:35167383:1 gene:TCM_010715 transcript:EOY00796 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MKLEVEVMSKEIIKPSSPTPDQLRHYQLSFLDQISPPVYNPLVLFYPMTECNILVNKTKITDHLKQSMSNALSYFYPLAGRIKDNRLVDCNDEGIPFLEAQVKCKLSDILENPAPSELNKLLPFVLDDAEELPLGIQFNIFDSGGICIGVCISHKLADALSFFTFVNTWAAIARGESYIVSPEFASAKLFPPKSTLGFEPRTGISTERIVTKRFVFTASKIQEIKAKYTKSTASAENQKGPSRIEALSTFIWSRFVAATKAKPIPDNCFYTIIHAVNLRPRLDPPLAEHSFGNFYRIAMTVPSSEEDCCSLVYQIRDSIRKLDMKYVRQLQDGQSYFDFMKERAESFIRGEIVSFSFTSLCRFPIYKADFGWGKPIWVGSANLTFKNLVVFMDTVSGDGIEAWVSLKEEDMAMFGSDEVLLAYVVSPRSC >EOX99605 pep chromosome:Theobroma_cacao_20110822:2:12625598:12628313:1 gene:TCM_008322 transcript:EOX99605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MDKEKEKVKQSEEETEMEFKDCEGEQEEEKVDRIEISDEIERSKVGIMRALVEREDPSAKDVDDLMIRRFLRARDLDIEKASTMFLNYISWMRTFVPKGFISESEISSQLAHDKLCMQGLDKQGRPIVVAFGGRHKPTNGNLEEFKRFVVYGLEKICARMPKGQEKFVAIGDLEGWGYSNSDIRAYVASLSILQDCYPERLAKLFIVHVPYIFMTAWKVVYPFIDSRTKKKGH >EOX99604 pep chromosome:Theobroma_cacao_20110822:2:12625388:12628292:1 gene:TCM_008322 transcript:EOX99604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MDKEKEKVKQSEEETEMEFKDCEGEQEEEKVDRIEISDEIERSKVGIMRALVEREDPSAKDVDDLMIRRFLRARDLDIEKASTMFLNYISWMRTFVPKGFISESEISSQLAHDKLCMQGLDKQGRPIVVAFGGRHKPTNGNLEEFKRFVVYGLEKICARMPKGQEKFVAIGDLEGWGYSNSDIRAYVASLSILQDCYPERLAKLFIVHVPYIFMTAWKVVYPFIDSRTKKKIVFVENKKLTSTLLRDIDASQLPDIYGGKLPLVPIQDC >EOX97794 pep chromosome:Theobroma_cacao_20110822:2:3475048:3492442:1 gene:TCM_006727 transcript:EOX97794 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein MGIRLPDMMLHAKHIIRRRSHSKHQCSYQTSSAVNVPKGHFAVYVGDEEKNKRFVVPISYLKHPLFQALLSRAEEEFGFDCPVGGLMVPCAEDEFINLTSRINQQA >EOY00905 pep chromosome:Theobroma_cacao_20110822:2:35822882:35831231:-1 gene:TCM_010820 transcript:EOY00905 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein isoform 2 MVVFGAKKVAHVVSSIGGNGVGQILAALAATILLRALSGPGPALSPENETGEENDDFSDTDDDAPPAAGKVFPVTITWRNITCFLSDKHSKSVRFLLKNVSGEAKPGRLLAIMGPSGSGKTTLLNVLAGQISASPRLQLSGLLEVNGKPSSNKAYKFAYVRQEDLFFSQLTVRETLSLAAELQLPEISSVEERDEYVNNLLFKLGLVSCADSNVGDAKVRGISGGEKKRLSLACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLVQDGHTVICSIHQPRGSVYGKFDDIVLLTEGELVYAGPAHDEPLEYFLRFGYQCPDHANPAEFLADLISIDYSSADSVHSSQKRIDALVEAFSTQSSAVLYATPFTRQTGLRHGIKFSKKSVAKRKGGWWRQFWLLLKRAWMQAFRDGPTNKVRARMSIASALIFGSVFWRMARSQTSIQDRMGLLQVTAINTAMAALTKTVGVFPKERAIVDRERAKGSYTLGPYLLSKLIAEIPVGAAFPLMFGAVLYPMTRLHPTLSRFGKFCGIVTVESFAASAMGLTVGAMVPTTEAAMALGPSLMTVFIVFGGYYVNADNTPIIFRWIPRASLIRWAFQGLCINEFSGLKFDHQHSFDIQTGEQALERLSFGESHIRDTIVAQSRILLFWYCTTYFLLEKKRPKYQQLEAPAIGQMEPRLKLEPLETDHPPSLNS >EOY00906 pep chromosome:Theobroma_cacao_20110822:2:35822838:35830615:-1 gene:TCM_010820 transcript:EOY00906 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein isoform 2 MGPSGSGKTTLLNVLAGQISASPRLQLSGLLEVNGKPSSNKAYKFAYVRQEDLFFSQLTVRETLSLAAELQLPEISSVEERDEYVNNLLFKLGLVSCADSNVGDAKVRGISGGEKKRLSLACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLVQDGHTVICSIHQPRGSVYGKFDDIVLLTEGELVYAGPAHDEPLEYFLRFGYQCPDHANPAEFLADLISIDYSSADSVHSSQKRIDALVEAFSTQSSAVLYATPFTRQTGLRHGIKFSKKSVAKRKGGWWRQFWLLLKRAWMQAFRDGPTNKVRARMSIASALIFGSVFWRMARSQTSIQDRMGLLQVTAINTAMAALTKTVGVFPKERAIVDRERAKGSYTLGPYLLSKLIAEIPVGAAFPLMFGAVLYPMTRLHPTLSRFGKFCGIVTVESFAASAMGLTVGAMVPTTEAAMALGPSLMTVFIVFGGYYVNADNTPIIFRWIPRASLIRWFLLEKKRPKYQQLEAPAIGQMEPRLKLEPLETDHPPSLNS >EOY01706 pep chromosome:Theobroma_cacao_20110822:2:40669715:40673238:-1 gene:TCM_011541 transcript:EOY01706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein MERVEFSTELVPGLPEELGLECISRLPYTAHRLASRVCHRWRDLLRSKDFYYHRKKLGYTQEVACLVQAFSGGIVNGPKKPGESPSYGIAVFDSVSRSWDRLTPVPTYSSGLPLFCQLASCEGKLVVMGGWDPVSYDPVTDVFIYDFMTQQWRKGKDMPAKRSFFAIGACSGRVCIAGGHDENKNASRNAWVYDLKKDEWTQLGELSQERDECEGVVTGEDEFWVVSGYGTESQGQFDGSSDVYEFNSGQWRRVEGVWEPGRCPRSSVGIGKDGKLLNWAELDPAVRVGACGVRLGGRVLVTGSEYQGAPHRLYMVEMKEGQNGKLEKISVPDEFSGFVQSGCCVEI >EOX99434 pep chromosome:Theobroma_cacao_20110822:2:11195426:11200555:-1 gene:TCM_008116 transcript:EOX99434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein MKRDLRSHLSLRFLPIYRKMVAGNGLLYPILGFASCVAFIYMSFGDLKLSSFPKEPRLSFVERNGTQFFLDGKPLYVNGWNSYWLMAHSVDENNRPRVSAMLQAGAKMGLTVCRTWAFNDGGYDALQISPGQFDERVFKALDYVIVEARQHGVRLLLSLVNNLQAYGGKTQYVNWAWQEGVGLSSSNDSFFFDPSIRKYFKNYVLTVLTRKNTITGIEYRNDPTIFAWELMNEPRCMSDPSGDTLQDWLEEMTAFVKSIDKNHLLTIGLEGFYGPKSPKKSTVNPEEWASTLGSDFVRNSNIANVDFTSVHIYPDHWFHDLGFEEKRSYVSKWMRSHIEDCDKELKKPVMFTEYGLSNQNKDFQPSQRERFYRTISDIIYKSAKKKGSGAGALVWQFFVEGMEEYNDDFGLVPWESPAIYKLTIEQSCRLARIQGLIHEKGNWKELCSKRE >EOX96822 pep chromosome:Theobroma_cacao_20110822:2:153197:154000:1 gene:TCM_005979 transcript:EOX96822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKSMRSKREKRLRAIRREIVEPFYQKKDDAKLAAQEAALAAPKMPALAPTPASASSTRMQVEEQVAAPNSTTTNLNLMEVEMADNDQSKAKASLKPAGGIGKKSKKKLKLSKKKRRGKGKIGGKRNL >EOX99910 pep chromosome:Theobroma_cacao_20110822:2:18116085:18126401:-1 gene:TCM_008908 transcript:EOX99910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucosidase 1 isoform 1 MTGGGRRSARSRAKSYTDVNEDAVHRTKPNSNLRRDKTRDRGNALRILNVNLKIVLGFGILASLIIFFLVSHLINPAEVARKPRVVTPFPAPKIMDLPQFQGQHKESLYWGTYRPHVYLGIRARSPRSLIAGLMWIGIKDGRYFMRHICQDSDELSTYGWTHHNGRDFGHQLLVDQDMTLATSFLKSKGVGSGYGGDWTVRINVQSQGWNDEMQKNVHLFFYLADEDGNSLSLGRDILDIRENSLLASGSRTDIGGWQLHLKSEADLEVHYSGFRTPHIHNLSDLVQENLASQVRRFSRLQLPDIYESSSNILVFQISGRIPLETDTVFVSGTGINSRVEERISNLAGVALTNQLKVKQREFDAKFENCFQLADKLDSKSITVGKAAIGNMLGGIGYFFGQSKISVPKNSNVKSHDDFLLYWPAELYTAVPSRPFFPRGFLWDEGFHQLLIWRWDLHICLDILGHWLDLINIDGWIPREQILGAEALSKVPAEFVLQHPSNGNPPTLFLVLRDLVNGIKKNKFSATESNEIISFLQQAFVRLEAWFKWFNTTQSGKDIGSYYWHGRDKSTIRELNPKTLSSGLDDYPRASHPSEDERHLDLRCWMLLAADCLHSIAELIDKENKPGKEYGSTAKLLSDFDILNQMHLDHASGAYFDFGNHTEKVRLSWKEVTVGNTHANRELVREVLERPELKLVPNLGYVSLFPFMTRIIPPVFLRSGITHWVNDLHLSHLSSSLFFLKPPPN >EOX99908 pep chromosome:Theobroma_cacao_20110822:2:18115815:18126246:-1 gene:TCM_008908 transcript:EOX99908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucosidase 1 isoform 1 MTGGGRRSARSRAKSYTDVNEDAVHRTKPNSNLRRDKTRDRGNALRILNVNLKIVLGFGILASLIIFFLVSHLINPAEVARKPRVVTPFPAPKIMDLPQFQGQHKESLYWGTYRPHVYLGIRARSPRSLIAGLMWIGIKDGRYFMRHICQDSDELSTYGWTHHNGRDFGHQLLVDQDMTLATSFLKSKGVGSGYGGDWTVRINVQSQGWNDEMQKNVHLFFYLADEDGNSLSLGRDILDIRENSLLASGSRTDIGGWQLHLKSEADLEVHYSGFRTPHIHNLSDLVQENLASQVRRFSRLQLPDIYESSSNILVFQISGRIPLETDTVFVSGTGINSRVEERISNLAGVALTNQLKVKQREFDAKFENCFQLADKLDSKSITVGKAAIGNMLGGIGYFFGQSKISVPKNSNVKSHDDFLLYWPAELYTAVPSRPFFPRGFLWDEGFHQLLIWRWDLHICLDILGHWLDLINIDGWIPREQILGAEALSKVPAEFVLQHPSNGNPPTLFLVLRDLVNGIKKNKFSATESNEIISFLQQAFVRLEAWFKWFNTTQSGKDIGSYYWHGRDKSTIRELNPKTLSSGLDDYPRASHPSEDERHLDLRCWMLLAADCLHSIAELIDKENKPGKEYGSTAKLLSDFDILNQMHLDHASGAYFDFGNHTEKVRLSWKEVTVGNTHANRELVREVLERPELKLVPNLGYVSLFPFMTRIIPPESWILEKQLDLISNQSILWTNYGLRSLAKTSSLYMKRNTEHDPPYWRGPIWMNMNFMILSSLRHYSLEKGPYRDKARAIYDELRHNLIRNVVQNYHKTGFLWEQYDQKQGKGKGARVFTGWTSLLLLIMAEAYNEM >EOX99909 pep chromosome:Theobroma_cacao_20110822:2:18115439:18126751:-1 gene:TCM_008908 transcript:EOX99909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucosidase 1 isoform 1 MTGGGRRSARSRAKSYTDVNEDAVHRTKPNSNLRRDKTRDRGNALRILNVNLKIVLGFGILASLIIFFLVSHLINPAEVARKPRVVTPFPAPKIMDLPQFQGQHKESLYWGTYRPHVYLGIRARSPRSLIAGLMWIGIKDGRYFMRHICQDSDELSTYGWTHHNGRDFGHQLLVDQDMTLATSFLKSKGVGSGYGGDWTVRINVQSQGWNDEMQKNVHLFFYLADEDGNSLSLGRDILDIRENSLLASGSRTDIGGWQLHLKSEADLEVHYSGFRTPHIHNLSDLVQENLASQVRRFSRLQLPDIYESSSNILVFQISGRIPLETDTVFVSGTGINSRVEERISNLAGVALTNQLKVKQREFDAKFENCFQLADKLDSKSITVGKAAIGNMLGGIGYFFGQSKISVPKNSNVKSHDDFLLYWPAELYTAVPSRPFFPRGFLWDEGFHQLLIWRWDLHICLDILGHWLDLINIDGWIPREQILGAEALSKVPAEFVLQHPSNGNPPTLFLVLRDLVNGIKKNKFSATESNEIISFLQQAFVRLEAWFKWFNTTQSGKDIGSYYWHGRDKSTIRELNPKTLSSGLDDYPRASHPSEDERHLDLRCWMLLAADCLHSIAELIDKENKPGKEYGSTAKLLSDFDILNQMHLDHASGAYFDFGNHTEKESWILEKQLDLISNQSILWTNYGLRSLAKTSSLYMKRNTEHDPPYWRGPIWMNMNFMILSSLRHYSLEKGPYRDKARAIYDELRHNLIRNVVQNYHKTGFLWEQYDQKQGKGKGARVFTGWTSLLLLIMAEAYNEM >EOX99264 pep chromosome:Theobroma_cacao_20110822:2:9596194:9598726:-1 gene:TCM_007869 transcript:EOX99264 gene_biotype:protein_coding transcript_biotype:protein_coding description:D6-type cyclin MEFDLENPLTNFNDFCPGTTIPSLFLVESHHMPKENYVKTLKARDLDISVRRGAISLISQLSCKSSPFLSYLAVNYLDRFLSIQGIPQPKTWVLRLLAISCVSLAAKMKKTEFSIAHFQGDGGFIFDAQTIERMEYLILGALKWRMRSITPFSFISFFISFFKLKDPPLRQALKARALEIIFKSQTDVKLLVFKPSITAASALLSASHELFPLQFPCFRKAISSCSYVNKDNMLECYNSMQDIAKEGYESIFDMVSTSNTPVNVLDQHFSSSESETTDGIVTTATTIL >EOY00401 pep chromosome:Theobroma_cacao_20110822:2:32077570:32079487:1 gene:TCM_010272 transcript:EOY00401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSDKVFWWRAWVGNHAVVNVQYQVCLEMELLLLIISRIFKLLSFYGPLLMLDSKEEEEEEEAEIAPEHLADWLVHEPPP >EOX99716 pep chromosome:Theobroma_cacao_20110822:2:14557507:14559620:1 gene:TCM_008528 transcript:EOX99716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein, putative MNSQPHGAFTACPPFSNSRKDLVGPEKTDRASILRWESEMLSQSFEDNFVRILTREAQVEASFHFSHVCFANCHAMDPIVRLLVLASSFTRLLFVIAQPANPISRITVVGVVFCDICSSNTFSRHSYFLPGVEVNVQCKFKAKSPKTTEQMTVSVNRTTDKYGVYKLEIPHVDGVDCVEGLAIESLCQASLMGSKSSACNVPGLKTSVNQISVKSKQDNLCIYSLNALSYRPSKRNATLCRNHEELLPSSFNSSKCIFPFPGFSFPWPLPSLPLPPLPPLSSLPFPPLPPLSSWPFPRLPYPNPPSLPFPFPPLPPFPPSPSLFNPPPPPAFNLGDPRTWIPNFPTLSPPPPPAFNLGDPRTWIPYIPPSPPRIPQRQTP >EOX99767 pep chromosome:Theobroma_cacao_20110822:2:15813245:15814475:-1 gene:TCM_008658 transcript:EOX99767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWMALPKDWMKFNVDGTSFGSTRKAEIGGILHDNNGLIKVMFSKSIVAMSGRNWGSHDPKRSFNVVVLNRPNNIGINCQLKAEIYYLNEERFLNNAI >EOY01912 pep chromosome:Theobroma_cacao_20110822:2:41340731:41343481:-1 gene:TCM_011699 transcript:EOY01912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 3 MKPNSCSIFIKLLVLQYLSVLCVSQDFDFFYFVQQWPGAYCDTKQHSCCYPKTGKPAADFGIHGLWPNYRDGGYPSNCDPNSRFDRSEISDLIGTLEKEWPTLSCPSNDGTKFWTHEWVKHGTCSESELDQREYFQAALKLKQKANFLQALTNAGIKPNDEFYELQDIEDAIKEAVGFTPGIECNTDSSRNSQLYQIYLCVDTSGSDFIKCPLLPSSRCGSSIQFPKF >EOX98704 pep chromosome:Theobroma_cacao_20110822:2:6766043:6770504:1 gene:TCM_007402 transcript:EOX98704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factors,transcription regulators, putative MVCQAASQTRFRALKYENGIAGKSTIVVRVIACFQPMEDCQAEYFRHLLKPIEHCSYPGGCSSWMVQTNNSWFFPQHSTWQLPKLSCMSTSLEPRQPERLPACINPSTHMFSVSRSMPGSLVPGINPGIHAVPATMAMPRSADISTLKTEQKYHSDQLLQQLYPCFPTSLPSLGSYLKEQQLMIAKGYSGRATANVVSGFLQKGLVIFDQSGSQTRLIYGSVPPTSQYATTAVTEPASCLDLHEGQAVKMSPFTPTPPTLQEEFDENHLSVEESEMREDTEELNALLYSDEEDDDYHDGDDDEVMSTDHSPFPIKRNYQNEDQVGDVMEEVASSDGPNKRQKLLNGGHKQSSMVDTACSVKLEGSHEYDGDAESSYAIGHNQREEIDSSLRSKQSKKDKIRFTLKILESIIPGAKGKNPLLVLDESIEHLKSLKLEAKSLGLSHY >EOX98231 pep chromosome:Theobroma_cacao_20110822:2:4986112:4999044:1 gene:TCM_007042 transcript:EOX98231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 2, putative isoform 1 MFGAWNNIAKSAEAVFSRWAVKRVFKFLLKKKLGQFILGDIDLDQLDVQLTEGTIQLSDLALNVDYLNQKFGPAASLVIKEGSIGSLLVKMPWKGKGCQVEVDELELLLAPCSKNNFSTADENCSSSDDGNHYMHNGLGKFSNDMAGSAGKSEDVHEGVKTIAKMVKWFLTSFNVKIKKLIVAFDPSIEKDEKVGCHRALVLRIPETECGTCVSEDAGLAYEARAQSFLGISQLMNFVKFQGAVLEILHMEDVDNQSCSPRTSGMTFSGLFSDCLPSNATTPIMSGKRGGFSGNLMLSIPWKNGSLDIRKVDVDVSIDPIELRFQPSTIKWFLLSWETYKSFDKVGRNIMHYETADSIYLNSNSQFQSSVPAVTIIDKVIANQGSFSADCTSLHVQEPVAEAVLPGSHLIPNWVPISVGKSQRDCIGEEVDFGASVDQFFECLDGMRSSQSALGSSGMWNWTCSVFSAITAASSLASGSLHVPSEQQHVLTNLKAAIAGVSIVLSFHDEVWDRLSNLNGDQINISSNIHYLGMECRDISLVVQVCPQEMIFEGVVKHVEAADYLCCKKDGGHCGKNIDSRTCSIRNLQAEVQRALPLFSSSAGDRSSDEFDGFVSADFPFIGKGDLVKIMLFTTSGATHYQCTVSSSSSDSSFSGPTSFSLKLPPLIFWFNFSLIKTLSDLLKEVGKSGEMGSNSEKLSSDHCHEKCESSHRHVKRGSGPSIKTLSSAETLRGNISIPNARVILCFPFKSGKDDGGYSSWNQFIILDISSPSTLKDGMQDDSPHFDGSLQKRFTSSTTCSLHLNIGNLCFYLVTSTLKNGIGIDHGGMQNHKFSAQKILSVSNRIGCFSVISLYWQKGDVTGPWIAERAKFLATLEENRSGNKCMGKGYEFATVTTTVKDLDDVSSQIRQEIIFSSAFFIHIHLFPVIVDLDSSQYSGVYNLLNQMITGLSCFFHDATCSKEEHSMSQTSVLLECDSIEILIRPDAIENAKGLMQSELPGSWGCLKLKIQKADLLSVSNIGGITCSSFLWLTHSEGTLWGSVSGVQDQEFLLISCSNSTMKRGDGGGSNALSSRLAGSDIVHFWEPERCQDFTSITVRCSTIVAVGGRLDWMDVISSFFSLPSMDSEQSVDNGLQKRDLDTPFRRVSFVLKLVDVALSYEPHLKNLAFHNGVLASESASLNAREDLSEPYVACLLAASSFSLSNSVLADSMYSEYNIRVQDLGLLLRAVSECDKLGGTYSVDQLNQCGYVKVAREALIEAVVKTNCNNGLLWEVGCSKSQIYVETCHDTTSGLIRLAAQLQQLFAPDLEESIVHLQTRWNNFQQAQQRNDEKSSVLSCDSGPSTSQIHTSDVDIESKCGVIGLMDEICEDAFYLDGNKTFQFNSSESQFHLPLEESVAEEACSLSFENAEMFSHDLLANVVGLESSQTSILPKGCTPEFIENYCLSDLRPLTELSTRIKSSNEVLKYKSILVGEGDLERENYGWYNNACLRIVENHISEPSEQAGLKQIVEGKLSYGDYSLPDDKVNVIGRVLLKNISVRWRVYAGSDWRETRKDNKQSKSIHGRDTTVCLELAVSGIRFQYDVFPAGGISVSKLSLSVHDFHLYDESTNAPWKLVLGYYDSKGHPRESSSKAFKLDLEAVRPDPFTPLEEYRLRIAFLPMLLHLHQSQLDFLISFFGERSSSIDQSTGCPQDPDLLVRKSDNLAGHGIANEALLPYFQKFDIWPFLVRVDYTPHHVDLAALKGGKYVELVNIVPWKGVELELKHVHAVGLYGWGSVCETIMGEWLEDISQNQIHKVLRGLPTIRSLVAVGAGAAKLVSLPLENYRKDQRVLKGMQRGTIAFLRSISIEAVGLGVHLAAGTRDFLLQAEYMFTSTSPPVSWPSQGKTKTNVRHNQPQDAQQGIQQAYESISDGLEKSASALVQTPLKKYQRGASASSALATAVRAVPAAAIAPASACASAVHCALLGLRNSLDPERKKESMEKYFGPTLPHDQN >EOX98232 pep chromosome:Theobroma_cacao_20110822:2:4985903:4999313:1 gene:TCM_007042 transcript:EOX98232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 2, putative isoform 1 MFGAWNNIAKSAEAVFSRWAVKRVFKFLLKKKLGQFILGDIDLDQLDVQLTEGTIQLSDLALNVDYLNQKFGPAASLVIKEGSIGSLLVKMPWKGKGCQVEVDELELLLAPCSKNNFSTADENCSSSDDGNHYMHNGLGKFSNDMAGSAGKSEDVHEGVKTIAKMVKWFLTSFNVKIKKLIVAFDPSIEKDEKVGCHRALVLRIPETECGTCVSEDAGLAYEARAQSFLGISQLMNFVKFQGAVLEILHMEDVDNQSCSPRTSGMTFSGLFSDCLPSNATTPIMSGKRGGFSGNLMLSIPWKNGSLDIRKVDVDVSIDPIELRFQPSTIKWFLLSWETYKSFDKVGRNIMHYETADSIYLNSNSQFQSSVPAVTIIDKVIANQGSFSADCTSLHVQEPVAEAVLPGSHLIPNWVPISVGKSQRDCIGEEVDFGASVDQFFECLDGMRSSQSALGSSGMWNWTCSVFSAITAASSLASGSLHVPSEQQHVLTNLKAAIAGVSIVLSFHDEVWDRLSNLNGDQINISSNIHYLGMECRDISLVVQVCPQEMIFEGVVKHVEAADYLCCKKDGGHCGKNIDSRTCSIRNLQAEVQRALPLFSSSAGDRSSDEFDGFVSADFPFIGKGDLVKIMLFTTSGATHYQCTVSSSSSDSSFSGPTSFSLKLPPLIFWFNFSLIKTLSDLLKEVGKSGEMGSNSEKLSSDHCHEKCESSHRHVKRGSGPSIKTLSSAETLRGNISIPNARVILCFPFKSGKDDGGYSSWNQFIILDISSPSTLKDGMQDDSPHFDGSLQKRFTSSTTCSLHLNIGNLCFYLVTSTLKNGIGIDHGGMQNHKFSAQKILSVSNRIGCFSVISLYWQKGDVTGPWIAERAKFLATLEENRSGNKCMGKGYEFATVTTTVKDLDDVSSQIRQEIIFSSAFFIHIHLFPVIVDLDSSQYSGVYNLLNQMITGLSCFFHDATCSKEEHSMSQTSVLLECDSIEILIRPDAIENAKGLMQSELPGSWGCLKLKIQKADLLSVSNIGGITCSSFLWLTHSEGTLWGSVSGVQDQEFLLISCSNSTMKRGDGGGSNALSSRLAGSDIVHFWEPERCQDFTSITVRCSTIVAVGGRLDWMDVISSFFSLPSMDSEQSVDNGLQKRDLDTPFRRVSFVLKLVDVALSYEPHLKNLAFHNGVLASESASLNAREDLSEPYVACLLAASSFSLSNSVLADSMYSEYNIRVQDLGLLLRAVSECDKLGGTYSVDQLNQCGYVKVAREALIEAVVKTNCNNGLLWEVGCSKSQIYVETCHDTTSGLIRLAAQLQQLFAPDLEESIVHLQTRWNNFQQAQQRNDEKSSVLSCDSGPSTSQIHTSDVDIESKCGVIGLMDEICEDAFYLDGNKTFQFNSSESQFHLPLEESVAEEACSLSFENAEMFSHDLLANVVGLESSQTSILPKGCTPEFIENYCLSDLRPLTELSTRIKSSNEVLKYKSILVGEGDLERENYGWYNNACLRIVENHISEPSEQAGLKQIVEGKLSYGDYSLPDDKVNVIGRVLLKNISVRWRVYAGSDWRETRKDNKQSKSIHGRDTTVCLELAVSGIRFQYDVFPAGGISVSKLSLSVHDFHLYDESTNAPWKLVLGYYDSKGHPRESSSKAFKLDLEAVRPDPFTPLEEYRLRIAFLPMLLHLHQSQLDFLISFFGERSSSIDQSTGCPQDPDLLVRKSDNLAGHGIANEALLPYFQKFDIWPFLVRVDYTPHHVDLAALKGGKYVELVNIVPWKGVELELKHVHAVGLYGWGSVCETIMGEWLEDISQNQIHKVLRGLPTIRSLVAVGAGAAKLVSLPLENYRKDQRVLKGMQRGTIAFLRSISIEAVGLGVHLAAGTRDFLLQAEYMFTSTSPPVSWPSQGKTKTNVRHNQPQDAQQGIQQAYESISDGLEKSASALVQTPLKKYQRGASASSALATAVRAVPAAAIAPASACASAVHCALLGLRNSLDPERKKESMEKYFGPTLPHDQN >EOX98233 pep chromosome:Theobroma_cacao_20110822:2:4986112:4998518:1 gene:TCM_007042 transcript:EOX98233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 2, putative isoform 1 MECRDISLVVQVCPQEMIFEGVVKHVEAADYLCCKKDGGHCGKNIDSRTCSIRNLQAEVQRALPLFSSSAGDRSSDEFDGFVSADFPFIGKGDLVKIMLFTTSGATHYQCTVSSSSSDSSFSGPTSFSLKLPPLIFWFNFSLIKTLSDLLKEVGKSGEMGSNSEKLSSDHCHEKCESSHRHVKRGSGPSIKTLSSAETLRGNISIPNARVILCFPFKSGKDDGGYSSWNQFIILDISSPSTLKDGMQDDSPHFDGSLQKRFTSSTTCSLHLNIGNLCFYLVTSTLKNGIGIDHGGMQNHKFSAQKILSVSNRIGCFSVISLYWQKGDVTGPWIAERAKFLATLEENRSGNKCMGKGYEFATVTTTVKDLDDVSSQIRQEIIFSSAFFIHIHLFPVIVDLDSSQYSGVYNLLNQMITGLSCFFHDATCSKEEHSMSQTSVLLECDSIEILIRPDAIENAKGLMQSELPGSWGCLKLKIQKADLLSVSNIGGITCSSFLWLTHSEGTLWGSVSGVQDQEFLLISCSNSTMKRGDGGGSNALSSRLAGSDIVHFWEPERCQDFTSITVRCSTIVAVGGRLDWMDVISSFFSLPSMDSEQSVDNGLQKRDLDTPFRRVSFVLKLVDVALSYEPHLKNLAFHNGVLASESASLNAREDLSEPYVACLLAASSFSLSNSVLADSMYSEYNIRVQDLGLLLRAVSECDKLGGTYSVDQLNQCGYVKVAREALIEAVVKTNCNNGLLWEVGCSKSQIYVETCHDTTSGLIRLAAQLQQLFAPDLEESIVHLQTRWNNFQQAQQRNDEKSSVLSCDSGPSTSQIHTSDVDIESKCGVIGLMDEICEDAFYLDGNKTFQFNSSESQFHLPLEESVAEEACSLSFENAEMFSHDLLANVVGLESSQTSILPKGCTPEFIENYCLSDLRPLTELSTRIKSSNEVLKYKSILVGEGDLERENYGWYNNACLRIVENHISEPSEQAGLKQIVEGKLSYGDYSLPDDKVNVIGRVLLKNISVRWRVYAGSDWRETRKDNKQSKSIHGRDTTVCLELAVSGIRFQYDVFPAGGISVSKLSLSVHDFHLYDESTNAPWKLVLGYYDSKGHPRESSSKAFKLDLEAVRPDPFTPLEEYRLRIAFLPMLLHLHQSQLDFLISFFGERSSSIDQSTGCPQDPDLLVRKSDNLAGHGIANEALLPYFQKFDIWPFLVRVDYTPHHVDLAALKGGKYVELVNIVPWKGVELELKHVHAVGLYGWGSVCETIMGEWLEDISQNQIHKVLRGLPTIRSLVAVGAGAAKLVSLPLENYRKDQRVLKGMQRGTIAFLRSISIEAVGLGVHLAAGTRDFLLQAEYMFTSTSPPVSWPSQGKTKTNVRHNQPQDAQQGIQQAYESISDGLEKSASALVQTPLKKYQRGASASSALATAVRAVPAAAIAPASACASAVHCALLGLRNSLDPERKKESMEKYFGPTLPHDQN >EOX97462 pep chromosome:Theobroma_cacao_20110822:2:2345571:2347109:-1 gene:TCM_006464 transcript:EOX97462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSTLFQARRSLGLCLGKGWSSLSKPFVKGMCEKEPEKTQKVHPQWDKLPRDIWGLIFQRLLLVDRIHASLVCKQWSSALKQSPPQPIWILLPPDNINDNNDCKHMISFFDLGEGAIGKLNLPKSISGATLIGASKGWLALTKGKKNSPQIFLLDPISGVQIPLPPLSTIRSTSEDTNAIDKIEISSRDASQSVVAACFDEGRILALCRPKDKRWIIFEGLGVADDHRYACILFCHGILYALITTEDDEVTFQFQTHSLKLAGDHDVILKLIPLTMFEISSPIFLEDPLEEVDTFGKNWAAIPYLVESNGDLLIVLKILDALITEDEDQDDDDEDNVEPIFSYCRIATFEVFKVEASDDTLWLTRLSNLDDQTLFIDGVDSLSLPGENFSKNCIYFLEDSFGYTAEGWKPIISRESGVFYLHDGRIERSLPSLDLSKLGKDFCYLWFFPNIKIGDFN >EOY01010 pep chromosome:Theobroma_cacao_20110822:2:36603471:36606198:1 gene:TCM_010920 transcript:EOY01010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTNEVIHSMRGKLHNKGGIVLKLDFEKAFDRVDWEYISLVMQAMGFGHQWCSWIYECISMIVGDGAIIFLWLDKWLENPPFSAKYPCLILLATDKETQVADAKPNGTWLNDCDDNHTVWFQSLWKLSIPPKVQFFLLLTVLNTIPTKAFLFSHGVQFNFDQLRCVWCDLVEEPCPHIFLTCNFSWLIWGLVLLWWGVAWCVLSTIFDFIQAWSLCSFGDNASKRWMIVYGTILCCALCFGFEHVKAMKPSMKSVDGLIHEITCVREPPSTFDVAFYGHHLPLVSLNSMLMAQPEVNRDLLVVVGSCTTLTVL >EOX99645 pep chromosome:Theobroma_cacao_20110822:2:13074046:13085105:1 gene:TCM_008377 transcript:EOX99645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MANISLPSSRPSQISATKNETPSIKPASFAQFPSWVSLKSTSSSLKIPLSQQGQVENVHLVSLSKQRKLKEARDFLKQMEEAGVPVNPHSYKSLLETCSKVRSLSDGKLIHNHLRRTMKNPSGFLENSLLQMYLDCESFLHAEKLFDKMIEKTLASWIILISAYSQKGHLKKTFSLYSQMVELGIRPNSTIFTRLLKSLFDPSVLEIGKQIHSLLIRTGLSTNVSVITAISNMYAKCGWLEGAKLVMGQMVEKNAVAWTGLMMGCTQADKQKDALELFGKMVKEGVKLDGFVFSVVLKACAGLEDLNLGRQIHGYVVKLGFESDVFVGTPVVDLYVKCAWFESACRAFERISEPNDVSWSAIVTGYCQVGKFEKSLKIFKSLRIKDVSLNSFVYTSIFQACSVLADFNVGAQVHADSIKRGLISYLYGESAMITMYSKCGRLDYANRAFESIDEPDTVAWTANICGHAYHGNASEALRLFRRMQDSGVRPNEVTFVAVLTACSHSGLVTEAKLYLESMSREYGVRPTIDHYACMIDIYSRAGLLQEAYELIKIMPFDPDSMSWKCLLGGCWIHRNLELGKVAAENLLQLDPDDTSGYILMFNLYASSGKWEEAAHVRSMMGERKLKKELSCSWITVKGRVHRFVVGDKHHPQTDEIYEKLKEFNHSVMKDEGGVLTEEDVQFGLPERKQQLMDHSERLAIAFGLISVPSNAPIIVFKNLRACKYCHDFAKHVSMVTGRKITVRDSCRFHHFHLGQCSCNDYW >EOY01389 pep chromosome:Theobroma_cacao_20110822:2:39251265:39252968:1 gene:TCM_011295 transcript:EOY01389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat 336, putative MASIFKNPRLAIPKSLFSTQTQKPNPPFPSFKAAKSAIISEKNPEKLAEIFQQCLHLPTFLRHRPIYHLSIRKLARANRLDLVDSLLQAQKLHSQNASALKSEGFWIRLIMLYSNAGMVPQALQTLEDLCQNRYSIVSEKSLCAILTVYLNNGMFEQIYESFKTIPEKLGVKPSVVSHNLILKAFVKENKLESALEWVEKMDVSPNIATYNILLGGYLKNGDENGFDGAMKEVSRKGLEGNLTTYNHRISRFCKSKECARANKLLDEMVSKGVKPNSASYNTIIDGFCRIEDLESARKVLDKMLSDGYVLPCSFTYYTLLRSMVKEGEFDSALEMSMESIKRKWVPPFEAMEGLVKGLVERSRSEEAKQVVEKMKKRLKGDALESWGKIEAALPL >EOY00296 pep chromosome:Theobroma_cacao_20110822:2:30984771:30986583:1 gene:TCM_010134 transcript:EOY00296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucosyl transferase family 8 MRSILYAAAVLLVHFLLSARFCVGIRTISGGDGLGFGFSEAPDYRNGVECPVSVNTEVVSSCDPSLVHVAMTLDSEYLRGSIAAVHSVLRHASCPENIFFHFIAAEFDPASPRVLSKLVRSTFPSLNFKIYIFREDTVINLISSSIRQALENPLNYARNYLGDILDLCVDRVIYLDSDLVVVDDILKLWNTTLTNSRVIGAPEYCHANFTKYFTDGFWSDPVISRVFQSRKPCYFNTGVMVMDLVRWREGNYRKRIENWMEIQRKRRIYELGSLPPFLLVFAGNVEAIDHRWNQHGLGGDNVRGSCRSLHPGAVSLLHWSGKGKPWVRLDARKPCPLDHLWEPYDLYKGNLVKDQSSSSSSSSTGSSIFLGFSSYLL >EOY02137 pep chromosome:Theobroma_cacao_20110822:2:42084555:42085709:-1 gene:TCM_011863 transcript:EOY02137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVTMKINIPGWERESAMISHIGFSQKCQDRKSTRKDFEHSERTMGRKWTEEVTICRTQRSYGFCLLVPLSVMVVVY >EOX97498 pep chromosome:Theobroma_cacao_20110822:2:2472074:2473350:1 gene:TCM_006497 transcript:EOX97498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit A, putative MATNPSNIQTCYHVRSNSLPSRSHPSTSEVDEHLSRLRASEAASTFSSLSHKLNGLQDLHDCTDRLLQLPLTQQALAKEQQRKYVDELLDGSLRLLDTCSTAKDALSQTKECTQELQSILCRRQGVETGLTIKVKKYFNSRKAVKKAIRKGLKNLKHVENKRDTSSSSKGSETGATFSILREVQGATSSVLGSLFSFIYGPEIEMKSSRWSLASKLMNTKRVRCEKEELHTNEISNAEATLLPLASCKISKSDNYMHIENAQQELKKSEMCIQDLEEALECLFRRLIKARVTTLNFLNH >EOX99034 pep chromosome:Theobroma_cacao_20110822:2:8201547:8205158:1 gene:TCM_007662 transcript:EOX99034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSCLSYLPPVREKMKKIKINAHCCLGSIIFLSQLSKLSQLCGVPIMRLVIRHGGQWVDGIYKGGESRMRGVRSDLSFVGLMKLVEDVVGPGRQTTFTEQLAAQFRSGCVSNQFLASLEQMQRSGETVECVMPLSNENTTVEDNNVRLEGDTTKLEDNTAFDEGNEDLFTAGEDRFDDTSDDGLEQSQDDSSDDDCLYDSDITICNNVEGKTEPVGGAEKFSFQTITIEESTCAEDRLYKGRMFSSKAELKRALHMLVIKEKFAVRVKRSCKARYEIFHKVHTCTVDGLQEWFPTMSTKMIGELISHKIQANAVALRPKDVICEMRVQWGLECLHGKAWQVKEYAERLVFGPPKKSFQLLPSYFYILEQENPDTVIAVATDEEERFKYCFWSYEACIRGFRDVMRPMVAIDTTHLKDRFKGILFVAVCKDANECVYPVAFGIGHVEDKDSWTWFLSKLRDAVGCPENTMLIFYQHFGIKKVIQNAYLEAHHGLCCYHLKKKL >EOX99529 pep chromosome:Theobroma_cacao_20110822:2:11976029:11980020:1 gene:TCM_008224 transcript:EOX99529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYEFLDSLVEEIREENIVQVVTDSASTYVSFRQLLMEKRKNLFWSPCVAHCIDLILHDVSDLPISRKQYKGQTSSFKDNKIPFRAMFASQEWVKSHFSSRGKLIKFQFRKGFRSKIIVKVDFHKTIEKMYPDIESRIKIDQQLKRFKKAERMFGMYFDKRQKATWCRNVRVREPDRQTRM >EOX99895 pep chromosome:Theobroma_cacao_20110822:2:17845479:17846846:-1 gene:TCM_008880 transcript:EOX99895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSFALSSLPPIASFSFSHRKTEILFPSFSKQGKKTIHSKIPTSKCEYVTKAIFFCKNSSISHGMHQDNNISHGVCHWL >EOX97225 pep chromosome:Theobroma_cacao_20110822:2:1668972:1670697:-1 gene:TCM_006315 transcript:EOX97225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hemoglobin 1, GLB1,NSHB1,ATGLB1,HB1 MNPMTITTSASKATTIRFSDAGISMRDGRCIPNICLTANYGSKLMHHHYLELSWVKRNGSWPDLVCKTPKSIRSVKRDGGFKVRAFTEEQEALVVKSWNSMKKNAGELGLKFFLRIFEIAPSAKKLFKFLRDSDIPLEQNPKLKPHAMTVFVMTCESAVQLRKAGKVTVRESNLKDLGATHFKYGVVDEHFEVTKFALLETIKEAVPEMWSTEMKNAWGEAYDQLAAAIKNEMNPPP >EOY00149 pep chromosome:Theobroma_cacao_20110822:2:27286385:27292197:1 gene:TCM_009765 transcript:EOY00149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLRVSLNKGIMRFSKKGKCYGLYTCKCMSCTNNTKGEQHEVFWRNSINTLKDVLTNLTRMSKGCDEPLEVDYPR >EOY01936 pep chromosome:Theobroma_cacao_20110822:2:41396270:41401226:-1 gene:TCM_011713 transcript:EOY01936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 12, putative MDQECSKWTCNTCGNVGMADGSDGYYYCFRCGSQADDVIDTGVADEDFIEKGSQGGALYLASHTRHARQPVTPSQPISQFDSQSQQFWSHLTQVRRDHDDNQDGMGDDAGLDGVGPTGTSDFGAYSMDGYSCEDYYKEVRNRYVMGLQMMIQAQCEALVEKFNVKPLICGIVGPIWLRFVASTRVFDDNWADEAIHQSEIQKSGEAVDFKQPASYKAEPHNRHGQRAVFIWFKDLRRRIPLSCSLAVSFLGCHVAREAVLPTDIIKWSVEGELTYFDAFVEIEKRIGRSLPPFPLSLRSMFRPKHAVPAQKLESLAAKIAQCIGLNLPPVNFYAIASRYLKELSLPVEKILPPACRMHEWALPPELRLSTNNSGLPTRVYVMSILVVAIRILYNINGFGVWEKSLSCYNPPSKSNEAESKDPKSSPKVRDYAEDCSGSPYVNDIGTSSTRNSLHDHESKFDAVELLCNLEARYNEINYADGDVKLTDLSKSMPTYLQFCQDVVFAGSELPLDFYHEEKGLIDKFWDFYQKEKGSEPAEDMGERYRISDDIVCKVDRRIRDSECHSSPSKDGRTSLDDLSSQKRMEDFEHSSLTSEEHESSETSNKVPAENSKHRAIRLMKKNMEENRFLYIPPRVKLKRLSYLHYARKKDEGAMIYVAHADYYILLRSCARVAEVDMRIMHVGVLSMERRLAWLENRTDHCVHLTPPSSTCKFCTDETEQAADDPTIGLSNLNL >EOX99564 pep chromosome:Theobroma_cacao_20110822:2:12218893:12250875:-1 gene:TCM_008264 transcript:EOX99564 gene_biotype:protein_coding transcript_biotype:protein_coding description:High affinity K+ transporter 5 MAENAEKVEEIEMTAEADNKNRLKDRKFSWAKLRRVDSLNLEAGRLSFSSSKTTHSKVDWSTTLSLAFQSIGVVYGDIGTSPLYVYSSTFPDGIGDQDNLIAVLSLIIYTIVLVPFFKYAFVVLRANDNGEGGTFALYSLLCRHLKASLIPNQQPEDRELSNYQLDTPSSQLKRAYKIRGKIENSKLAKVLLFLVTILGTSMVIGDGVLTPSISVLSAVSGIKSLGKDAVVGISVVILIILFSAQRFGTDRVGYSFAPIICLWFAFLSGIGLYNLFTYGWGVLRAFNPLYIVEYFKRRGKHGWVSLGGVVLCITGTEAMFADLGHFNVRAIQMSFSGVALPSILIAYCGQAAYLTKHPNDVEDSFYKSVPNPLYWPTFVVAVSAAIIASQAMISGAFSIISQSLSLGCFPRVKVVHTSVKYEGQVYIPDINYMLMIACVIVTVGFKTTEKIGNAYGIAVVGVMVITTGMTTLIMLVIWKINILWVVLFSVFFGVVEAVYLSSVLYKFVQGGYLPLAFSLVLMTIMGIWHYVHQKRYDFELQNKVSNEFIKQLADDPRISRVPGMGLLYSELVQGIPPIFPHFVSNIPSIHSVLVFVSIKKLPISRVALEERFLFRQVEPREYRMFRCVVRYGYQDVMGSAEEFERQLVEKLKEFIRHEYFIAEGGAAKQLPAPEDPQSSTLLVNEGKAKGTSKSTVFVEESLNQLNSSRVSSASIQSFNAAKSTNSSSRIISAPIQGAEEEMQFVQKAMDEGIVYLLGEAEVMAKQNSSFIKKIIVDYVYNFLRKNFRQGEKVMVIPHTRLLRVGMTYEI >EOX98099 pep chromosome:Theobroma_cacao_20110822:2:4549887:4550265:1 gene:TCM_006936 transcript:EOX98099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLHISRKLKTSRLIKNLQNFLFNTQVAESLYKPHALAQHENDGKKKIYIKTPTMPISNQLRNGNYGRTSICPHEFKCILTLILVYGNS >EOX98308 pep chromosome:Theobroma_cacao_20110822:2:5268671:5274342:1 gene:TCM_007095 transcript:EOX98308 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MIRRNAENVAARWEIQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILYYVSWFFSSASGPVLSAVMPLTDTALSLANITLKNALTAVTNLTSEGQENGMLGQVAEMLKANSSGIGEVSSNTSAPFSADLLKGSTIGASRLSDVTTLAIGYMFIFALVFFYLGIVTLIRYTRGEPLTMGRFYGIASIAETIPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSMSQRVQFFSVSPLASSLVHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPSVFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWALGLTDFLLPKPEESSGQENANGELGRQDRLQVVQLGGQERAMVALAAGDDPNRGLLASGTSNVVEEFDGDEQTDSDRYGFVLRIVLLLVVAWMTLLIFNSALIVVPISLGRALFNSIPLLPITHGIKCNDLYAFIIGSYVIWTAIAGARYSIEHIRTKRAAVLFSQIWKWGTIVIKSFMLLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLVDESWRVKFERVREDGFSRLQGLWVLREIVFPIIMKLLTALCVPYVLARGVFPVLGYPLVVNSAVYRFAWLGCLGFSFLCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNYGEDSEEKQSEAGTSSETQISNLMGTGLIRHDREADVGLRLRRAN >EOX98306 pep chromosome:Theobroma_cacao_20110822:2:5266625:5275544:1 gene:TCM_007095 transcript:EOX98306 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MEIARAESPSPPLDGETPTSSAAAVIADSIKRSPSTSSTSSEKEENASSSTALPGSLGAAAKYDAEMEEEEEEEDVCRICRNPGDAENPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHAFSFSPVYAENAPARLPFQEFIVGMAMKACHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHISTTIILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQEAERDDEGDRNGARAARRPPGQANRNFAGDGNGEDAGGVQGIGGAGQMIRRNAENVAARWEIQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILYYVSWFFSSASGPVLSAVMPLTDTALSLANITLKNALTAVTNLTSEGQENGMLGQVAEMLKANSSGIGEVSSNTSAPFSADLLKGSTIGASRLSDVTTLAIGYMFIFALVFFYLGIVTLIRYTRGEPLTMGRFYGIASIAETIPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSMSQRVQFFSVSPLASSLVHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPSVFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWALGLTDFLLPKPEESSGQENANGELGRQDRLQVVQLGGQERAMVALAAGDDPNRGLLASGTSNVVEEFDGDEQTDSEYGFVLRIVLLLVVAWMTLLIFNSALIVVPISLGRALFNSIPLLPITHGIKCNDLYAFIIGSYVIWTAIAGARYSIEHIRTKRAAVLFSQIWKWGTIVIKSFMLLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLVDESWRVKFERVREDGFSRLQGLWVLREIVFPIIMKLLTALCVPYVLARGVFPVLGYPLVVNSAVYRFAWLGCLGFSFLCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNYGEDSEEKQSEAGTSSETQISNLMGTGLIRHDREADVGLRLRRAN >EOX98307 pep chromosome:Theobroma_cacao_20110822:2:5266856:5273453:1 gene:TCM_007095 transcript:EOX98307 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MEIARAESPSPPLDGETPTSSAAAVIADSIKRSPSTSSTSSEKEENASSSTALPGSLGAAAKYDAEMEEEEEEEDVCRICRNPGDAENPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHAFSFSPVYAENAPARLPFQEFIVGMAMKACHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHISTTIILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQEAERDDEGDRNGARAARRPPGQANRNFAGDGNGEDAGGVQGIGGAGQMIRRNAENVAARWEIQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILYYVSWFFSSASGPVLSAVMPLTDTALSLANITLKNALTAVTNLTSEGQENGMLGQVAEMLKANSSGIGEVSSNTSAPFSADLLKGSTIGASRLSDVTTLAIGYMFIFALVFFYLGIVTLIRYTRGEPLTMGRFYGIASIAETIPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSMSQRVQFFSVSPLASSLVHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPSVFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWALGLTDFLLPKPEESSGQENANGELGRQDRLQVVQLGGQERAMVALAAGDDPNRGLLASGTSNVVEEFDGDEQTDSEYGFVLRIVLLLVVAWMTLLIFNSALIVVPISLGRALFNSIPLLPITHGIKCNDLYAFIIGSYVIWTAIAGARYSIEHIRTKRAAVLFSQIWKWGTIVIKSFMLLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLMVIVGFAIKKLTSSKFLVSYLVMRRFIHPKD >EOX98054 pep chromosome:Theobroma_cacao_20110822:2:4389371:4391112:-1 gene:TCM_006907 transcript:EOX98054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Specific tissue protein 1, putative MKSFLSLFAFFLSLLLFANTIAARTDPGEYWRAMMKDEPMPEAIEGLLRIDAAASSFSDEKPNCHDADQSFEPQKEKTFVKDFEPGHGATAYDNDIKPAEEKSFVKDFNPRSTGEKSSFANDFEPRPSATAHTDDVGLKEKKLSSFAKSFEPKPSATAYTDDVGSKEKLSIANDFEPRPSVTTYTDNVDLKEEKLAFVNDFEPRPSATAYTDDVGLREKKLSFANDFEPRPGVTAYTDDVGLKENKLAFAKDFEPRPSVTAYVDDVGLKEKKLFANDFEPRPSVSAYTDDVGLKKKKLAFANDFEPRPSVSAYTDDVGLKKKELSFANDFKPRPSVSAYTDNVDLKKKKQFVSDF >EOX97212 pep chromosome:Theobroma_cacao_20110822:2:1636039:1638189:1 gene:TCM_006303 transcript:EOX97212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRKSSSSSSRCLFLILFSGSIRRELDWDAKEFQVLDSFSACLSMRPWCYHIRLYLHLL >EOX97411 pep chromosome:Theobroma_cacao_20110822:2:2202577:2205304:-1 gene:TCM_006430 transcript:EOX97411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycoprotein family isoform 1 MPPTNMSPNHQPHAREMRPTANGEHHHRGLTAPPPRPQRHHPYYPRSSSSSASFKGCCCCLFLLFSFLALLVLAVVLIIVLAVKPKKPQFDLQQVGVQYMGISTSNPSAFDGAAAAVTTTPTTASLSLTIHMLFTAVNPNKVGIKYGESRFTVMYRGIPLGKAAVPGFFQEAHSTRNVEATIAVDRANLMQADAADLIRDASLNDRVELRVLGDVGAKIRVLDFDSPGVQVSIDCAIVISPRKQSLTYKQCGFDGLSV >EOX97412 pep chromosome:Theobroma_cacao_20110822:2:2203812:2205331:-1 gene:TCM_006430 transcript:EOX97412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycoprotein family isoform 1 THCPPSLLPLPPTSISTLSFKKQESNCSSKENHPPEKQREVGREREGDFLCKIRVRKRQMPPTNMSPNHQPHAREMRPTANGEHHHRGLTAPPPRPQRHHPYYPRSSSSSASFKGCCCCLFLLFSFLALLVLAVVLIIVLAVKPKKPQFDLQQVGVQYMGISTSNPSAFDGAAAAVTTTPTTASLSLTIHMLFTAVNPNKVGIKYGESRFTVMYRGIPLGKAAVPGFFQEAHSTRNVEATIAVDRANLMQADAADLIRDASLNDRVELRVLGDVGAKIRVLDFDSPGVQECST >EOX96887 pep chromosome:Theobroma_cacao_20110822:2:364774:371368:-1 gene:TCM_006029 transcript:EOX96887 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ uptake permease 11 isoform 1 MEMASRVEIDEDSDNNKGSMWDLDQKLDQPMDEEAGRLRNMYREKKSSVLLLLRLAFQSLGVVYGDLGTSPLYVFYNTFPGTIEDPEDVVGALSLIIYSLTLIPLLKYVFVVCRANDNGQGGTFALYSLLCRHAKIKTIPNQHRTDEELTTYSRSTFHEQSFAAKTKRWLERHVSRKNALLILVLVGTCMVIGDGILTPAISVLSAAGGIKVDHPNMSNDVVVVVAVVILVGLFSMQHYGTDRVSWLFAPIVLLWFLVIGGIGIFNIWKYDSSVLKAFSPVYIFRYFKRGGKEGWTSLGGIMLSITGTEALFADLAHFPVSAVQLAFTVVVFPCLLLAYSGQAAYLMTHRDHVVDAFYRSIPDSIYWPVFVIATAAAIVASQATISATFSIIKQALAHGCFPRVKVVHTSKKFLGQIYVPDINWILMVLCIAVTAGFKNQSQIGNAYGTAVVIVMLVTTLIMTLIMILVWRCHWILVLLFTGLSLVVECTYFSAVLFKVDQGGWVPLVIAAAFLLIMYVWHYGTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKSFHMFRCVARYGYKDLHKKDDDFEKKLFDSLFLFVRLESMMEGFSDSDEYSLYGQQTERSGDGLLNNNNGNTISFNIDTTISSVDSIVPVRSPMHANLTVRSSGQVSSQTETDELEFLNSCRDAGVVHILGNTVVRARRDARFYKKIAIDYVYAFLRKICRENSVIFNVPHECLLNVGQIFYV >EOX96888 pep chromosome:Theobroma_cacao_20110822:2:364774:370355:-1 gene:TCM_006029 transcript:EOX96888 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ uptake permease 11 isoform 1 MEMASRVEIDEDSDNNKGSMWDLDQKLDQPMDEEAGRLRNMYREKKSSVLLLLRLAFQSLGVVYGDLGTSPLYVFYNTFPGTIEDPEDVVGALSLIIYSLTLIPLLKYVFVVCRANDNGQGGTFALYSLLCRHAKIKTIPNQHRTDEELTTYSRSTFHEQSFAAKTKRWLERHVSRKNALLILVLVGTCMVIGDGILTPAISVLSAAGGIKVDHPNMSNDVVVVVAVVILVGLFSMQHYGTDRVSWLFAPIVLLWFLVIGGIGIFNIWKYDSSVLKAFSPVYIFRYFKRGGKEGWTSLGGIMLSITGTEALFADLAHFPVSAVQLAFTVVVFPCLLLAYSGQAAYLMTHRDHVVDAFYRSIPDSIYWPVFVIATAAAIVASQATISATFSIIKQALAHGCFPRVKVVHTSKKFLGQIYVPDINWILMVLCIAVTAGFKNQSQIGNAYGTAVVIVMLVTTLIMTLIMILVWRCHWILVLLFTGLSLVVECTYFSAVLFKVDQGGWVPLVIAAAFLLIMYVWHYGTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKSFHMFRCVARYGYKDLHKKDDDFEKKLFDSLFLFVRLESMMEGFSDSDEYSLYGQQTERSGDGLLNNNNGNTISFNIDTTISSVDSIVPVRSPMHANLTVRSSGQVSSQTETDELEFLNSCRDAGVVHILGNTVVRARRDARFYKKIAIDYVYAFLRKICRENSVIFNVPHECLLNVGQIFYV >EOY01515 pep chromosome:Theobroma_cacao_20110822:2:39801298:39801968:1 gene:TCM_011382 transcript:EOY01515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEASHILGGMEDEKHSSSESGWTMYIGSSTRENDRYNYIDEYDCDTHEQEEDNHKNHRGNYDGNSHNDDESDDSMASDASSGPSHHKLPCSSEQNLGMDRHKHEMLKSTSTEKLHKQVIKRDQRRNKIEKEKLERKAISAASHVRGGEKVKTINIMSQEE >EOY00105 pep chromosome:Theobroma_cacao_20110822:2:25766030:25771753:1 gene:TCM_009605 transcript:EOY00105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCYNHILYAIICSFMFDIWFHGDESHETRAMTRATVEQNAPVDAFARRQASTIKRHATQVKTTRLVNDEPPVTASNEALVEEFVDAQSQEDTRPISIPPYRMALTKLQGLKDQVEDLLSNGLICPNVSPLGPLVLFVRKKDGSLKLCIDYRQLYKIDLHSSYHQLKIRQEDVPKTGFYTKYGHYEFLVMSFGLTNALATFMDMMNWVVKPYLDIWTILWWYSLTTFWYTREVKKDEQHLKIVLQVLRDHRLYTKFSKCKFWLDSVSFLCHVISKEGVMVDPKKIEAVEKWPRLISVMEIRSF >EOY00533 pep chromosome:Theobroma_cacao_20110822:2:33246766:33252251:1 gene:TCM_010414 transcript:EOY00533 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain-containing protein MPILASLPGLNLFSSLPSNPPQNDATSPTPSPSPPFPIPKYPPPRKRNPQRTLPQSNPAISLPHRRSNYRKPVKKGVISSDGDRCVVIGENGVSYQLPGAPFEFQFSYSETPKAEPIAIREPAFLPFAPPTMPRPWTGKAPLIKSKKKIPLFDSFNPPPPDKKGVKYVEMPGPFPYGKYPKEGKTREEILGEPLKKWEIKMLVKPLLSDNRQVNLGRDGLTHNMLDLIHTHWKRRRVCKIKCKGVPTVDMDNVCRHIEEKTGGKIIHRVGGVVYLFRGRNYNYRTRPQYPVMLWKPAAPVYPKLIQEAPEGLTKAETDVLRKKGKSLLPICKLAKNGVYASLVKDVRDAFEGSPLVKIDCKGMHASDYKKIGAKLKELAPCVLLSFDDEQILMWRGQDWKSMYPEAPSTLLPSKSGISSGLDDSAKSADDCHTPDIKNGISSPKMMTLWNNAIESNKALLLDEIALGPDALLEKVEEFEGISLVTEHSCEALVLSSEDGSGSSMAESEAGSYSEDFGGENEIYSDDDIINDEYYDVEEVDSSIPLGSLPVDKIAERLRRESK >EOX97065 pep chromosome:Theobroma_cacao_20110822:2:1072074:1076271:-1 gene:TCM_006171 transcript:EOX97065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein, putative isoform 1 MKRKRGHKKGNKAKANAAAAVNEAAVNVVSVNSEENSVNDEYESGMEVDTPSSTGTDQALHVASINPDGSIDKAIGKPVGRVKVKLKTSSKALESDVPSHSDTDKSSPQVGLERPGVVNEKMEDSANSSAEVKMGVSSGASRKAGSIKIKPSRVLGSSNVDKSGNTVAGERQSSFQKEVKMPCQGSRYNKLELDSALTVIKKVMKMEAAAPFNEPVNPEALGIPDYFDIIDTPMDFGTICNNLENGDKYMNSEDVFKDVQYVWDNCCKYNNKGDAILDLMRRVKKNFMKYWTAAGLYSEQSRRTNAAEGGEVEDAALSSQGKMQIKAGQSKMKNKKHGRRHKSDCLCAICVLKRRKREREANERMAKGQIGVQELQQEESSPVDSPYAEDSSLNMDELMDQDADAEVEGEGEKVKVEVSEQQYIHMEERHEEEDDEEEEEEEDEEENEIKTMNKGEGETKEQSQFGDRLSEEHSRKSQPEAVDKSDAVAPTQKVSTLAQNEEESRAVQQQRHKESQERQQRAKMLESFCIENPILLNLCGILFPNNQKSVWSGPHSLVKNQVSRTSPIHAAIETFMK >EOX97064 pep chromosome:Theobroma_cacao_20110822:2:1072219:1076155:-1 gene:TCM_006171 transcript:EOX97064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein, putative isoform 1 MKRKRGHKKGNKAKANAAAAVNEAAVNVVSVNSEENSVNDEYESGMEVDTPSSTGTDQALHVASINPDGSIDKAIGKPVGRVKVKLKTSSKALESDVPSHSDTDKSSPQVGLERPGVVNEKMEDSANSSAEVKMGVSSGASRKAGSIKIKPSRVLGSSNVDKSGNTVAGERQSSFQKEVKMPCQGSRYNKLELDSALTVIKKVMKMEAAAPFNEPVNPEALGIPDYFDIIDTPMDFGTICNNLENGDKYMNSEDVFKDVQYVWDNCCKYNNKGDAILDLMRRVKKNFMKYWTAAGLYSEQSRRTNAAEGGEVEDAALSSQGKMQIKAGQSKMKNKKHGRRHKSDCLCAICVLKRRKREREANERMAKGQIGVQELQQEESSPVDSPYAEDSSLNMDELMDQDADAEVEGEGEKVKVEVSEQQYIHMEERHEEEDDEEEEEEEDEEENEIKTMNKGEGETKEQSQFGDRLSEEHSRKSQPEAVDKSDAVAPTQKVSTLAQNEEESRAVQQQRHKESQERQQRAKMLESFCIENPILLNLCGILFPNNQKSVWSGPHSLVKNQVSRTSPIHAAIETFMK >EOX98571 pep chromosome:Theobroma_cacao_20110822:2:6221705:6224565:-1 gene:TCM_007295 transcript:EOX98571 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein K isoform 1 MDLTSEVGLRLLFSPISSNIVVRTACCTVGTVLPVYSTFKAIENNDQNEQQKWLLYWTVYGSFSVAEVFADKILSWFPLYYHAKFAFLVWLQLPSANGAKHLYMSHLRPFLLRHQARLDQILEFVYNEMGKFISAHQSEINFVRALFVKLMASGTDLDHCWFYSVL >EOX98570 pep chromosome:Theobroma_cacao_20110822:2:6221473:6224511:-1 gene:TCM_007295 transcript:EOX98570 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein K isoform 1 MDLTSEVGLRLLFSPISSNIVVRTACCTVGTVLPVYSTFKAIENNDQNEQQKWLLYWTVYGSFSVAEVFADKILSWFPLYYHAKFAFLVWLQLPSANGAKHLYMSHLRPFLLRHQARLDQILEFVYNEMGKFISAHQSEINFVRALFVKLMASVNQIVWNLIHPVQGQPNRSIEGPRQVDSDAGSDSED >EOY00310 pep chromosome:Theobroma_cacao_20110822:2:31030318:31035243:1 gene:TCM_010141 transcript:EOY00310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding isoform 4 MECAGKGSGTRCLGPPRKRCGRCGAVAYCSASHQISHWKEHREECDRLEQQMKRLDLLNDFPFTFSQEATVQINEKQESRCSFLSKRGIHQVGMWICECCCGASITSFNYSRPENNTWNFSSILCPCRGPSSPIAKSLSSWKDYYEWRCIPLCSPVALLLHWPLTLYHAIQISGLGSLTFEVSKLCIHYLGPEKELLQLAVFGELRALFPGVHVHIELIGPAVPQHRDGDKIDLYSYAHCIEEDCTCKSENESTSCGIGTRISSAVTLQLHRGFYHDRFRDISKNSFPHLVIAPNAGIAAYSSWLPTIELMKEINVPVVFSDYCEEACHLAACCINAVTSQPLRLPIQLNPFRQPMVVEDSALILPCYSNCFLFAM >EOY00311 pep chromosome:Theobroma_cacao_20110822:2:31030634:31033916:1 gene:TCM_010141 transcript:EOY00311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding isoform 4 MECAGKGSGTRCLGPPRKRCGRCGAVAYCSASHQISHWKEHREECDRLEQQMKRLDLLNDFPFTFSQEATVQINEKQESRCSFLSKRGIHQVGMWICECCCGASITSFNYSRPENNTWNFSSILCPCRGPSSPIAKSLSSWKDYYEWRCIPLCSPVALLLHWPLTLYHAIQISGLGSLTFEVSKLCIHYLGPEKELLQLAVFGELRALFPGVHVHIELIGPAVPQHRDGDKIDLYSYAHCIEEDCTCKSENESTSCGIGTRISSAVTLQLHRGFYHDRFRDISKNSFPHLVIAPNAGIAAYSSWLPTIVCL >EOY00309 pep chromosome:Theobroma_cacao_20110822:2:31030318:31035243:1 gene:TCM_010141 transcript:EOY00309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding isoform 4 MECAGKGSGTRCLGPPRKRCGRCGAVAYCSASHQISHWKEHREECDRLEQQMKRLDLLNDFPFTFSQEATVQINEKQESRCSFLSKRGIHQVGMWICECCCGASITSFNYSRPENNTWNFSSILCPCRGPSSPIAKSLSSWKDYYEWRCIPLCSPVALLLHWPLTLYHAIQISGLGSLTFEVSKLCIHYLGPEKELLQLAVFGELRALFPGVHVHIELIGPAVPQHRDGDKIDLYSYAHCIEEDCTCKSENESTSCGIGTRISSAVTLQLHRGFYHDRFRDISKNSFPHLVIAPNAGIAAYSSWLPTISFLLEHFGKFFHFFDEHFRS >EOY00308 pep chromosome:Theobroma_cacao_20110822:2:31030318:31057867:1 gene:TCM_010141 transcript:EOY00308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding isoform 4 MECAGKGSGTRCLGPPRKRCGRCGAVAYCSASHQISHWKEHREECDRLEQQMKRLDLLNDFPFTFSQEATVQINEKQESRCSFLSKRGIHQVGMWICECCCGASITSFNYSRPENNTWNFSSILCPCRGPSSPIAKSLSSWKDYYEWRCIPLCSPVALLLHWPLTLYHAIQISGLGSLTFEVSKLCIHYLGPEKELLQLAVFGELRALFPGVHVHIELIGPAVPQHRDGDKIDLYSYAHCIEEDCTCKSENESTSCGIGTRISSAVTLQLHRGFYHDRFRDISKNSFPHLVIAPNAGIAAYSSWLPTIELMKEINVPVVFSDYCEEACHLAACCINAVTSQPLRLPIQLNPFRQPMVVEDSALILPCYSNCFLFAIFHFLKAYICWSYVKGECNQGSNGGGSSNLIVPCYSNCFLFAVGNDLLSLYICSIEYLQVLQ >EOX99020 pep chromosome:Theobroma_cacao_20110822:2:8085712:8110578:-1 gene:TCM_007650 transcript:EOX99020 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein MVGSDTPWPNSVMRKRRSGTVVARSGHMVPQFGFDAEYSFLLFRNPAQFSTSKTIEKKKDRRERADSLILLVSRRKVMGEPVGSSGSTTAIGSSNIGFQLLKKHGWKEGTGLGISEQGRLEPVQAYVKNNKRGLGAEKKRKTPKPIDHPDSEEQEPLKKTKAVSKRMRKMQEREKHLQEKEFERAFFREFWPDNV >EOX96905 pep chromosome:Theobroma_cacao_20110822:2:473418:479692:-1 gene:TCM_006048 transcript:EOX96905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MAAKLLEVGADMDTNSPSIIEAQDGNYAERSTSAQTDSCLPDPHLFTKEGRKDYNIYGIPLYRAAMNGDIETVRSIVSTYPWAVRQSITEGMETVLHVATAAKQIALVKKLVTEWMRPVDLKLENKDGNTTLCFAAISGILPLAIVMIKLETSLPKIRNKAGVTPLHLAALLGHREMVQYLYQCTDDDLTDPERHGIFIICIRNGLYDVAFNMQEKYPQLATTRGSFNETALHVLAQKPALFVDENPIGMWRRIIVNFSGFAHHSSKLSQALRLVDSVWKKVLQLEHEMMWCLIEHPSILTLDAAEAGNVEFLIQLINSYPDLIWRVNKDNRSIFHVAILYRHESIFSLIYGIGSIKDLIATYEDENKNNMLHLVAKLPLQGRLNHVSGAALQMQRELLWFKEVEKVVQPSCKEMRNAEGFTPWDLFVKEHEDLKRRGEDWMRRTSHSMLMVATLLFIVVFVAILTLPGSLQNDAANPIILQKISFKIFIISDAMALFSSASSILMFLSILTSRFAKDDFVKRLPCMLLLGLGTLFVSMGMMVAAFTATIFLIYHHGAIRIPTLISIFVSGPVVLFASLNFPLSVDLWNSTYGSSTMHLVWAALEPLGGIASLTPVLLVLLPPEPGGCVDIGVLGGNVFGIP >EOY00269 pep chromosome:Theobroma_cacao_20110822:2:30794524:30796178:1 gene:TCM_010103 transcript:EOY00269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clavata3/esr-related 26, putative isoform 1 MGGCGSSSSTSSLFSKALFGTLVVLGFILVLLVGTLQSEENETKTTLRTETSSSTGKLQEHAKVLGRGKSLIDGHPQLDLNYMSKRRVPNGPDPIHNRRAGNSRRPPGQA >EOY00270 pep chromosome:Theobroma_cacao_20110822:2:30794507:30796227:1 gene:TCM_010103 transcript:EOY00270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clavata3/esr-related 26, putative isoform 1 MGGCGSSSSTSSLFSKALFGTLVVLGFILVLLVGTLQSEENETKTTLRTETSSSTGKLQEHAKVLGRGKSLIDGHPQLDLNYMSKRRVPNGPDPIHNRRAGNSRRPPGQA >EOY00422 pep chromosome:Theobroma_cacao_20110822:2:32222579:32226010:1 gene:TCM_010287 transcript:EOY00422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-induced protein 5NG4, putative MGTLLPFVGMVMVILAQVSSMVLTKAAMSSGVNKYVLIVYSNVLSSLILLPCSFIFHRSMHLPLNSSNLYRLIFLLALIGCVGQLCGYAGIQYSSPALATAMLNLVPAFTFILAIICRMEKLKWRSISSQSKVLGTVISITGAFVVTLYKGPTILSTQSLVVLPHQLLSSPQLNWVLGGLLLAAEALINSAWYIIQTLVLKKFPAVLTVMFYLCFFNAILSTIYSLILVRDPSAWKLRPGIGLVAILYSAIIATTFRISLCSWCLWKVGPLYVSMFKPLAVIFAAVTGIVFLGDAVSLGSLIGAVIIVIGFYAVLWGKANEENVKEDNGVESLNPPSEKLPLLQNRTQGISSSV >EOX99691 pep chromosome:Theobroma_cacao_20110822:2:13774763:13777426:1 gene:TCM_008455 transcript:EOX99691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein MNFLAPLLMAIPSPVHVVLVVTLLSTLAPTSANVEGDALYALRRAVKDPENVLESWDPTLVDPCTWFHVTCDGDNRVTRLDLGNAKLSGSLVPELGKLERLQYLELYMNNLVGSIPEEVGGLKSLVSLDLYHNNLTGSIPASLSKLPNLKFLRLNSNRLSGRIPRQLTKLGNLKILDVSNNDLCGTIPTSGSFAKLSEESFMNNSRLEGPELMGFVRYDTGGCK >EOX99496 pep chromosome:Theobroma_cacao_20110822:2:11650533:11656673:1 gene:TCM_008178 transcript:EOX99496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine transaminase family protein isoform 2 MKSNLKTVQALTVHFALYSLSTLPTSSFSTFQLSKASLQIPPATELASYWELGYLEMENASKKWVFKGNKALEAADAICVRGVLNMLNDNLNGDDNKPAIPLGHGDPSVFPCFRTTAIAEDAIVEAVRSAEFNCYSPTIGILPARRAIAAYLSQDISYQLSPDDVYLTVGCNNSIEVIISVLASPSANILLPRPGYPMYESRAAFSNLEVRHFDLVPEKGWQVDLDSVEALADENTVAMVIVNPGNPCGSVFTCQHLKKVAETAKKLGIFVIADEVYGHLTFGSNPFVPMGKFGSIVPVITLGSISKRWIVPGWRLGWIVTCDPNGSLKKSRGAIPQILEKTKDDFFAKIIKICSQAADICYDRLKEIPCITCPHKPEGSMFVMVKLNVSLLEDIDDDMDFCLKLAREESVIVLPGVAVGLKNWLRITFAVEPSTLEEGLGRIKTFYNRHMKQQ >EOX99495 pep chromosome:Theobroma_cacao_20110822:2:11650533:11656673:1 gene:TCM_008178 transcript:EOX99495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine transaminase family protein isoform 2 MKSNLKTVQALTVHFALYSLSTLPTSSFSTFQLSKASLQIPPATELASYWELGYLEMENASKKWVFKGNKALEAADAICVRGVLNMLNDNLNGDDNKPAIPLGHGDPSVFPCFRTTAIAEDAIVEAVRSAEFNCYSPTIGILPARRAIAAYLSQDISYQLSPDDVYLTVGCNNSIEVIISVLASPSANILLPRPGYPMYESRAAFSNLEVRHFDLVPEKGWQVDLDSVEALADENTVAMVIVNPGNPCGSVFTCQHLKKVAETAKKLGIFVIADEVYGHLTFGSNPFVPMGKFGSIVPVITLGSISKRWIVPGWRLGWIVTCDPNGSLKKSRIAESIRRYLNISADPPTVIQGAIPQILEKTKDDFFAKIIKICSQAADICYDRLKEIPCITCPHKPEGSMFVMVKLNVSLLEDIDDDMDFCLKLAREESVIVLPGVAVGLKNWLRITFAVEPSTLEEGLGRIKTFYNRHMKQQ >EOX99950 pep chromosome:Theobroma_cacao_20110822:2:19207514:19210662:1 gene:TCM_009022 transcript:EOX99950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREVKSDLSFASLMNLVEDVVGVNSLIYEIELHALISILGELLHLIIKDEEDVALILLEQRNVPIVYVTIKECHTNVMPHEEAIQYVETKHVRSVDVEDDQCDYPTYNNPIVSDNGICLPKILPNESYQERGNARANGVALRPKDIIGEMRVQRGLECLCGKAWQAKEYVERFKGVLFVTVCKDANECIYPVAFGISHVEDEDSWMQSFSNLSHAIEQMIQ >EOX98864 pep chromosome:Theobroma_cacao_20110822:2:7573409:7577287:-1 gene:TCM_007542 transcript:EOX98864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A lectin protein kinase family protein, putative MPTKRPKTSLANQYLGLSNDTSNGQILAVEFDVVQNLELQDINDNHVGIDISSPISNISEPAAYYSADASDINDNRNNSIILKSGKPIQAWIDYDSKEMLINVSISPRGMLRPHCPLISFPIELSSVIGGRPQDLDPRKVPFLKTRSREVVHRKGFAVGITLASITLVFLVIIGAIPIVRKIGDGDENLKDWESEYGARRFKYSELFSAARGLEVAIKRVSSGSCQGMKEFVAQITSMGRLRHRNLVQLHGWCRKEDELLLVYDYVPSGSLDKLLYQDGPLKGKKLTWDQRYKILTGVAQALLYLHEECDQRVVHRDVKPSNVLIDEDLNAKLGEFGLARTYEHDIKPQTTHIVATLGYLAPELTRTGKATTSTDVYGYGTLMLEVASRRRPIEPQNNAKELLLVDWVRELHSQGEITKAIDPTLDNYHSGEAELVLTLGLLCCHPHPDYRPTMRRVVQFLLGDAILPPLPRDIHLEVPMAIPEYSDSFPDDSDPSCLRMASSQSNSFTSFDKKLSSGSTTRVTF >EOY00207 pep chromosome:Theobroma_cacao_20110822:2:29420970:29442200:-1 gene:TCM_009969 transcript:EOY00207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWYLETATHRGNEKLILKPCGVSVDILGNECKLRRRGGCHGLDGSSGYGPSDLSHDKVGIRALRSWSLSLGEDATLRDKPPLRRL >EOX99200 pep chromosome:Theobroma_cacao_20110822:2:9014170:9015254:-1 gene:TCM_007801 transcript:EOX99200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT2G32280) TAIR;Acc:AT2G32280] MLKLGGVLVCLLIVAMDVAAGILGIQAEVAQNKVKHLRLWIFECRDPSHDAFKLALGAAALLTLAHVLANLLGGCMCVCSQEEFQRASPNRQLSVACLIFTWIILAVGLSTLVIGILSNNKSKASCGFTHHHFLSIGGILCFVHGLFSVAYYVSATAASDEEK >EOY00225 pep chromosome:Theobroma_cacao_20110822:2:30085609:30088536:-1 gene:TCM_010025 transcript:EOY00225 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein MGVDYYNILRVSRNATEEDLKKSYKRLAMRWHPDKNPVNKKEAEAKFKQISEAYDVLSDQQKRQIYDLYGEEGIKSAELSSPSGFAGGGVGGGAYRFNPRNADDIFAEFFGGPEKRFYGDGGVGSQGNKKAAPVESRLVCSLEELYKGGRRKMRISRTVPDEFGKPKTVDEILKIDIKPGWKKGTKITFPEKGNHEPGITPADLIFVVDEKPHAIFKRDGNDLVINQKISLLEALTGITLSLATLDGRNLTIPVKDIVKPGHEVVIPNEGMPISKEPSKKGHLKIKFEIIFPSRLTAEQKSDLRRALGGADK >EOX98354 pep chromosome:Theobroma_cacao_20110822:2:5471390:5472755:1 gene:TCM_007135 transcript:EOX98354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLWPQNVENIVLRPREPVQTTLLIILYIVLLLTTARNHHYEGHEENILHLLVRNFNTRTLRKRLFLKLHLTKKQTENIKVQARTKVTSDIEPFAGH >EOX97947 pep chromosome:Theobroma_cacao_20110822:2:4053845:4056981:-1 gene:TCM_006840 transcript:EOX97947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine-zipper 44 MASSSGTSSGSSTLLQNSGSEEDLQALMDERKRKRMISNRESARRSRMRKQKHLDDLMAQVTQLRKENHQIITRINIITQHYLNVEAENSVLKAQANELSHRLQSLNEINSYLNANNGSNFDAEDATSLAFTEPADSFFNPFNLAYLKQPIMASADNMLQY >EOX97612 pep chromosome:Theobroma_cacao_20110822:2:2860787:2862699:1 gene:TCM_006590 transcript:EOX97612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKQEESRALRDIHRIESNMFWIERLALVPLASQFPYFQHRLALVPLASQFPYFQRRLALVPLASLFPYLQLLMSCACLLLIATNAIYLTLSIRRQFIRNFFFEPDIIFYIQRPVILTLILNHVLPDGCFIAAFIAWLVLIGTTYYDYKKEQDKESRMKSSLSSKTNGSTAREASKDGKILKKEEAMVEDEGLKEMSAMTRNYKGFHKWFIDAVSKWDTMLKEFAERPKGFHKWFFDTLSEWDTMLKEVAGHGQELKTAEDRSEEQQDRASEDSEDSDFEKIEDAMKD >EOY00190 pep chromosome:Theobroma_cacao_20110822:2:29080235:29083611:1 gene:TCM_009938 transcript:EOY00190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-like protein MPSLKSNNIKWAHLPVLLITDFEVPYLVTLSLTQEEKVKNIVRCFKENGKFIFLVDFIILDMEEDRETPIIFGRPFLRTAQALIDVEKVNSSLQDLILNKRRNSCMVLNTTCGMKIFFTSIMEIKSLKGVFMKKNLIIFFITAIHLIMEGIIEENNCYKGSTIRFLLAFSFLKMLIILLKDVINVKDGQAKVSNRKIKKFMEKIIYPSKNDWSKKLDDTLGDYRTAYKTSIRMSPFRLVYGKVCHLPIELEHKAYWVVKKLNFDLQAARKKCLV >EOX97263 pep chromosome:Theobroma_cacao_20110822:2:1751475:1754479:-1 gene:TCM_006339 transcript:EOX97263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 13 MAATKKFSSLFPLLSLICFVSVFLLLSLSRKASISSSPTHPQVLQFKPIAAANNIDAYATGSDTDASDGYSCDYSDGSWIYDPDARFDRYDSSCKEIFKGWNCIMNNKSNGRDIFKWRWQPRNCDLPPFDPLKFLHTYRDTNIETCLFLFCTLKRVSGGVKKWRAAGADRGFTFLQYNLTIAYHRTNLLARYGRWSANGNGGKLEALGYKEGYRVDVDIPEGTWEKAPSFHDILIFNTGHWWWAPSKFDPVKSPMLFFEKGLPVIPPVPPDVGLDKVLKHMIRFVEKNMQRGAIKLFRTQSPRHFEGGDWDQGGSCQRLQPLLPEQVEELFSLKNNGTNAEAGLVNQHLFKALKGSKFHILDITRMSEFRADAHPSSAGGKKHDDCMHWCLPGITDTWNDLFVTHLNSLKIGN >EOY00089 pep chromosome:Theobroma_cacao_20110822:2:25533427:25535034:1 gene:TCM_009575 transcript:EOY00089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MKSSLINLKLESDAYIWVVFVHSYESGSKPMAVVSILFVFLLSRLLLLHLAEAENRLRPDCPAFDCGNFGNISFPFTKSERPECGLCLVDGCNKTVAKIQLEKGGRWYQLKQINQGNTVTVYDQELGKQLQSKDCEALSMWSLPNHPLISFHFFPNLTLLKCNATLFTSFPEEINQTRCNDYNLYYPVEDEPSRSWLLYCSISQLPVTMSQQGNDSQSDKYLFKLVTAEFSVELRVVKDCGDCHYKGGQCLVNDKQEFYCGNENKSNLGLKLGLGIGSLFLLTLIIFSIYLRRHLLKQNEQFSHIQL >EOY01806 pep chromosome:Theobroma_cacao_20110822:2:40977867:40984174:1 gene:TCM_011620 transcript:EOY01806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MNGTCLEFENPIRDAISRIRFSPQSNNLLISSWDSSLRLYDVECSQLRLEAPSEAALLDCCFQEESVVFSAGTDGSITRYDLHSGISNRIGNHDDVATCVEYSNETRQVITAGFDKKVIAWDTCGAKPLAFLKNLGAEVDSMSLSGFELTVAVGSSVDIYDLRNLDRSVQSNESCMDVQIRCVHSIPYSKGYAVGSVDGRVKLEISYQSNSNNMGYVFRCHPKSRDGRHHLVPVNDIAFNPFISGAFVTGDNEGYITAWDAKSRRRLFELPRCSNSVASLSYNHEGQFLAVASSYTYQEAIEMLLHCLKLFACTNEESSCTYGRKTFVVKLSSTVINLFMDTLPVTGKSPLKYLCIKWMTDISDQFLLEIQVGNDCTSVLGNYRNDPHLRNLIFAQMNSFPYPEPDSKILGAQ >EOY01464 pep chromosome:Theobroma_cacao_20110822:2:39543410:39543755:1 gene:TCM_011339 transcript:EOY01464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLLFLSLFCLWIVLSGIVASNYWALGDGFSLLFTFLVHYIFVFKCYLVVKLRMFLSNCYAWKWVCLFSLYF >EOY01478 pep chromosome:Theobroma_cacao_20110822:2:39598140:39600335:1 gene:TCM_011350 transcript:EOY01478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phosphotriesterase superfamily protein, putative MKISLSFKFLFLVLLLSQNIKQSYQQLPDGEDVPKNYHQLDLLQVTGPESIAFDCKNQGPYVGVSDGRILKWHGPRLGWKEFAIPSPVRPLGLKFNYATCDLYIADACFGLLVVGPNGGVAQQLATSAEGVPFRFTNGLDIDSTTGVVYFTDSSIFFQRSYYLLLRITSNRSRLLLKYDSQIKNVSVMYRDLAFSNDVTLDADNSYLLVAESDIIQRNKNGEFWVGLISGRSGRIQNDAYTKLPDPVGVKFDQEGKILKHI >EOX98860 pep chromosome:Theobroma_cacao_20110822:2:7555186:7560118:1 gene:TCM_007538 transcript:EOX98860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb:CAB89363.1 MDLNKNVQFSHVSELSKNENFGDTTLCLNFLGYGGSNKARFGSTQSNLHADLSNAPDDGCRLVLGLGPTPSVYCNNYYNVGLNKNKSTGAFFTQGLSPEDDSILKLGLSGGTKESMSLLECSLSTETDTSMPLSNQVSADSRLSIPVVDEGSTSAKKSGGYMPSLLLAPRMDSGKGLVQTRELFQFGAKSHCHQLHRSCEPSAQTDFSGDTLSEQTTTMTSLDNRTSNSKKCKFAGCTKGARGASGLCIGHGGGQRCQKPGCNKGAESRTAYCKAHGGGRRCQHLGCTKSAEGKTEFCIAHGGGRRCGFPGGCTKAARGKSGLCIRHGGGKRCKVEGCTRSAEGQAGLCISHGGGRRCQFQECTKGSQGSTMYCKAHGGGKRCIFAGCTRGAEGSTPLCKGHGGGKRCLYNGGGICPKSVHGGTNFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCKFENCGKSAQGSTDFCKAHGGGKRCSWGEGKCEKFARGRSGLCAAHSSMVQEREASKGGLIAPGVFHGLVSAGSTTGSSVDYNHSSSGTSVISDCIDSLEKPARRQHLIPPQVLVPLSMKSSSSYSSLLSAEKQVEGRNGYGMGIGGGVGNESFNFMIPEGRVHGGGLMSLLGGNLKNPIDGI >EOY01836 pep chromosome:Theobroma_cacao_20110822:2:41089240:41092096:-1 gene:TCM_011640 transcript:EOY01836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family/GOLD family protein MILRSLPIVVLVLGILSPATQSLRFELQSGQTKCISEDIKSNSMTVGKYHVVNPNEGHPLPDSHKLTVRVTSTYGNSFHSAEKVETGQFAFTAAEAGDYMACFWAPVHSPQITITVDFDWRTGVHAKDWSNVAKKGQVDVMELELKKLYDTVTSIHEEMFYLREREEEMQELNQATTSKMFWLSFLSLFLCLSVAGMQFWHLKTFFEKKKLI >EOY01170 pep chromosome:Theobroma_cacao_20110822:2:37971971:37999035:-1 gene:TCM_011099 transcript:EOY01170 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative MGGECSSKNRVVTKKNLGFIDTVFSWSLDDIFNDNLYKDQPKPISAFVSHVLLAYGYSYGQMAARQKWHIVYVGGKNSSFIAAICSSMDIIAGAPYAEVRYLNEAEPHGTLSFDVNVDYWRNRFSDPVKEPYKTFPGDILVIADAKPETASDLQRVGRTWTFALVTNIPADDDEDNSSSTSFKVKALEDIASKDEMQNSLFVVFLRNVTTERRIWNALHMKGNLKIIKELLPTGSVVEESCSLCSSQNDGTLNQIFLTSLLSQLNESQRKAVSACLNKVQCNHKAHVELIWGPPGTGKTKTLSVLLFTLLRKKYRTLTCAPTNIAITEVSTDIEEIFLDYRVKRLTECLGPLGWWHCFTSMITFLEDCVTQYHIFLENESIKEREHGRENENHEKECCGETDFKKAKHKSFLEYVRERFVSTADPLRRCVSTLHTHVPKIYFLEHNIQEFETLFDLLDSFRTVLFREDVVSEEVEELFLLSTDVKLLRQNAETSLLLCSVRSQCLSVLKALRDSLQELKLPSARNKDSIIRFCFQTASLLFSTACGSHKLYKLEMKPLNVLVIDEAAQLKECESAIPLQLPGIAHSFLIGDEWQLPATVLSNVSSEAGFGRSLFERLSTLGHSKHLLNIQYRMHPSISCFPNASFYYNRILDAPCVKHRSYEKHYLPWPMFGPYSFINVCGREEVVDAGYSHRNMVEVAVVQRLVRTLYKAWDGSREKLSVGIISPYAAQVVAIQEKLGGKYEKIDGFVVKMKTVDGFQGGEEDIIIISTVRSNSSGAIGFMSNPQRTNVALTRARHSLWILGNGETLAKSYSFWEALVDDAFYNADKDKELAKATLDALRTCLMAIVFFSKVLSGRYVLFSDYFRMSFGKLKSVQTKKSVLNLLLKLSSGWRPKKRNVDLICESSSMVLKQFKVEGLYIVCSIDVVKRQRYTQVLKAWDVLPLEDVGRLVKHLDGIFKMHTDDIISHCNEKYLKGNLEVPKTWTTSFDIVRYKTISQGERENSSSGSASDDSCYVENSKVSESLLLMKFYSLSSGVVNHLLADQDGRELELPFEVTDQERDIIQFQRSSFILGRSGTGKTTVLTMKLFKKEQVQHLATKGFKEVNTNNSNELCPANRIMDGIGGTEATVLRQLFVTASPKLCYAVKHHVLQLKRFASGGDYSQETALQDVDDIEGAAQFKDIPDSFVDILPKAYPLIITLQKFLIMLDGTIGNSFFEKFYDARELSISNKEIGNAQISLRNFIRTREVNYEKFCSTYWPHFNDKLTKSLDSSRVFTEIMSHIKGGLRSGDSCDGRLNEEDYVKLSEGRVSILSGHERQMIYDIYQDYEKMKRENGDFDMADLVIDLHRRLQNERYEGDIMDFVYIDEVQDLTMRQIALFKHVCKNVSEGFVFCGDTAQTIARGIDFRFEDIRSLYYNEFVLESKYKADDGKKWKGQISKCFNLSQNFRTHDGVLRLAQSVIDLLYRFFPSFVDILCPETSLIYGEAPILLESENEDNAIATIFRNHGNVGGHMVGFGAEQVILVRDDPAKNEILKYVGKQALVLTIVECKGLEFQDVLLYNFFSSSPLKSQWRVVYAYMKEQGLVDANWLFPSFKMAKHNILCSELKQKLDDSLAEAMQVASSPEEWKSRGYKAFGLRADADRLHGLNPEMSSTARRQAAEIFDSIGKAEHAADCFYMLEEYEKAGQIYLEKCGESALSRAAECFLLAGCYKAAAEVYARGNYFSKCLSVCTEGKLFDMGLQYIQYWKQHVNVDDKIVTRNEDIEELKQKFLESCAHHYHEVNDKRTMMNYVKAFDSMSSRRTFLQSLECFDELLYLEEESGKFLEAANIAKLRGELLLGADLLGKSAQFEEAAILILWFVFANSLWLAGSTGWPLKQFTEKEKLLTKAKSFAKKLSNQFYGLVCSEADILLNKPSNLFLMKQYLSASQRHKSTRGEVLTARMILDHHLQLNNSKYEWVDELVFDLASYSEEQISSNQVTTETLVYFWNFWKDKIMQIFEYLDHAEIQDISDYRRYEEFCLNYFGVWRHFNNRHTVYLVLNSDAEWLRKLDYKYVCSNQKQVSMSCHQFVSAARSYWCSELLSVGLQVLTKLEKLYDFSHESSFYQSRSLTHIYEHFFGYIFPLDWRESLRENMISLRGTEISKNLLEEVIFEMIRSKNSLSYGETGMVALIILGSDQLSNELYGKILEGLEWNTEWRNFIGSLKEDRGSPPVSATGPINKFPGVNRSSESTTGSAASHIEEICFLYLVERYLVLLSYSKGCFFTTKATFVEWLIYQDGIPSSTPSYVAVKQRSLEVILKFVIEMVQQFLYCKRETVDRIRKSHTNVREYHSLVVLRLVVIICLLHLNFHKCPNLRKCLNLLFDLLGQQHVISVMLFGEGRDIIVSILI >EOX99671 pep chromosome:Theobroma_cacao_20110822:2:13425543:13451175:-1 gene:TCM_008419 transcript:EOX99671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate-zim-domain protein 10, putative MSRATVELDFFGMEKESSCKSQFQRFLDRRRSFRGLQGAISKMNPELIKSVIASGLTNQGQENVNPIDSNKSFSVPSSPKEAQSLLPTLPVLSPVARATSEKGPETAPLTIFYKGTVSIFNVPRDKAESILKLAVEGSSKNAELTKSEVATPSSDQRQLLETLNGDLPIARKKSLQRFLDKRRERLTCASPYGCQSLRRYT >EOX97536 pep chromosome:Theobroma_cacao_20110822:2:2601916:2605580:1 gene:TCM_006529 transcript:EOX97536 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 5 MLLMIESSFWQRCAAFLVLAAAVVVVQAEDPYRFFNWNVTYGDIYPLGVRQQGILINGQFPGPELYSVTNDNLIINVYNNLDEPFLLSWNGVQQRRNSYEDGVYGTTCPIPPGKNFTYILQVKDQIGSFFYFPSLAFHKAAGGFGGIKILSRPRIPVPFPDPAGDFTVLIGDWYKTDHRQLKARLDGGHKLPFPDGILINGHGPNGAIFTVEQGKTYRFRISNVGLQNTLNFRIQGHSMKLVEVEGTHTVQTIYESLDVHVGQSYSVLVTMDQTAKDFYIVASTRFTDKILTTTASLHYSNSNKAVSGPIPGGPTDQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINITRTIKLVSSAAQVNGKQRYAVNSVSFVPADTPLKLADYFKIDGVFRVGSISDSPAGKKMYLDTSVMGADFRAFVEIVFENHESIVQSWHINGYAFWVVGMDGGLWTPDSRKQYNLRDAVSRSTTQVYPRSWTAIYIALDNVGMWNVRTEFWARQYLGQQFYLRVYSPVESVRDEYPIPKNALLCGRAAGKSTRPL >EOX98532 pep chromosome:Theobroma_cacao_20110822:2:6092956:6119123:-1 gene:TCM_007272 transcript:EOX98532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target of rapamycin isoform 1 MAATLQSLRFCGPAASGPAGGSAETLNRILADLCTRGNPKEGASLALKKHLEEEARDLSGEAFSRFMDQLYERISSLLDSIDVAQNMGALRAIDELIDVALGENASKVSKFSNYMRTVFEVKRDPEILVLASKVLGHLARAGGAMTADEVEFQVRTALEWLRGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPTLAVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGKNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLKYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILTVLRIPAERASGFIALGEMAGALDGELVHYLPTITSHLRDAIAPRRGRPSLEALACVGNIAKAMGPAMEPHVRGLLDVMFSAGLSPTLVEALEQITVSIPSLLPTIQDRLLDSISLVLSKSPYFQARPAAALVRGTAANIPQPVSELSGSALVQLALQTLARFNFKGHELLEFARESVVVYLDDEDGATRKDAALCCCKLVANSFSGIVCMQFGSSRSNRAGGKRRRLIEELVEKLLIAAVADADVTVRHSIFSSLHGNRGFDDFLAQADSLSAVFAALNDEDFDVREYAISVAGRLSEKNPAYVLPALRRHLIQLLTYLGQSADNKCREESAKLLGCLIRNCERLILPYIAPVHKALVARLLEGTGVNANNGIISGVLVTVGDLARVGGFAMREYIPELMPLIVEALLDGAAVTRREVAVATLGQVVQSTGYVIAPYNEYPQLLGLLLKLLNGELVWSTRREVLKVLGIMGALDPHAHKRNQQSLSGSHGDVNRPASDSGQHIPSSMDELPMDLWPSFATSEDYYSTVAINSLMRILRDPSLASYHQKVVGSLMFIFKSMGLGCVPYLPKVLPDLFQIVRTCDDHLKDFITWKLGTLVSIVRQHIRKYLPELLSLISELWSSFSLPDSNRPSRGFPVLHLVEQLCLALNDEFRKHLPAILPCCIQVLSDAERCNDYTYVLDILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVEMRRAAIKTLTRLIPRVQVTGHISSLVHHLKLVLDGKNDELRKDAVDALCCLAHALGEDFTIFIPSIHKLLLRHRLRHKEFEEIEGRLRRREPLIVGSTAAQRLSRRLPVEVVSDQLNDMENAPYEDGNDVQRHTRGHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWSQLNESSQRQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDERPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARSKKMDANPVAVVEALIHINNQLHQHEAAVGILTYAQQHLDVQLKESWYEKLQRWDDALKAYTAKATQASSPHLVLEATLGRMRCLAALARWEELNNLCKEYWTPAEPSARLEMAPMAANAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTAASGDGSSNGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYCTLPVGNPVAEGRRALIRNMWTERIQGAKRNVEVWQVLLAVRALVLPPTEDIETWLKFASLCRQNGRISQAKSTLIKLLQYDPEASPENVRYHGPPQVMLAYLKYQWSLGDDLKRKEAFSRLQNLARELSSSPNIQSISSTALMSGTSANVALLARMYLKLGAWQWTLSPGLDEDSIQEILAAFRNATQCAPKWAKAWHAWALFNTAVMSHYTLRGFPTIASQFVVAAVTGYFHSIACAANSKGVDDSLQDILRLLTLWFNHGATAEVQTALQRGFTHVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRKAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHELWHEALEEASRLYFGEHNIEGMLKVLEPLHEMLEEGATRDNTTIKERAFIEAYHHDLSQAYECCMKYKRTGKDAELTQAWDLYYHVFRRIDKQLQSLTTLDLQSVSPELLDCRDLELAVPGTYRAELPVVTIASFARQLDVITSKQRPRKLTIHGSDGDDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTAEKDLSIQRYDVIPLSPNSGLIGWVPNCDTLHQLIREYRDARRITLNQEHKYMLSFAPDYDHLPLIAKVEVFEYALQNTEGNDLARVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLEKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSMFATSHVPAVVNAEETAPSKELAHPQRGARERELLQAVNQLGDANEVLNERAVVVMARMSNKLTGRDFSSCSSIPACSIQQAVDHSNLISGDNREVEHGLSVKLQVQKLIIQATSHENLCQNYVGWCPFW >EOX98534 pep chromosome:Theobroma_cacao_20110822:2:6095522:6119123:-1 gene:TCM_007272 transcript:EOX98534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target of rapamycin isoform 1 MAATLQSLRFCGPAASGPAGGSAETLNRILADLCTRGNPKEGASLALKKHLEEEARDLSGEAFSRFMDQLYERISSLLDSIDVAQNMGALRAIDELIDVALGENASKVSKFSNYMRTVFEVKRDPEILVLASKVLGHLARAGGAMTADEVEFQVRTALEWLRGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPTLAVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGKNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLKYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILTVLRIPAERASGFIALGEMAGALDGELVHYLPTITSHLRDAIAPRRGRPSLEALACVGNIAKAMGPAMEPHVRGLLDVMFSAGLSPTLVEALEQITVSIPSLLPTIQDRLLDSISLVLSKSPYFQARPAAALVRGTAANIPQPVSELSGSALVQLALQTLARFNFKGHELLEFARESVVVYLDDEDGATRKDAALCCCKLVANSFSGIVCMQFGSSRSNRAGGKRRRLIEELVEKLLIAAVADADVTVRHSIFSSLHGNRGFDDFLAQADSLSAVFAALNDEDFDVREYAISVAGRLSEKNPAYVLPALRRHLIQLLTYLGQSADNKCREESAKLLGCLIRNCERLILPYIAPVHKALVARLLEGTGVNANNGIISGVLVTVGDLARVGGFAMREYIPELMPLIVEALLDGAAVTRREVAVATLGQVVQSTGYVIAPYNEYPQLLGLLLKLLNGELVWSTRREVLKVLGIMGALDPHAHKRNQQSLSGSHGDVNRPASDSGQHIPSSMDELPMDLWPSFATSEDYYSTVAINSLMRILRDPSLASYHQKVVGSLMFIFKSMGLGCVPYLPKVLPDLFQIVRTCDDHLKDFITWKLGTLVSIVRQHIRKYLPELLSLISELWSSFSLPDSNRPSRGFPVLHLVEQLCLALNDEFRKHLPAILPCCIQVLSDAERCNDYTYVLDILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVEMRRAAIKTLTRLIPRVQVTGHISSLVHHLKLVLDGKNDELRKDAVDALCCLAHALGEDFTIFIPSIHKLLLRHRLRHKEFEEIEGRLRRREPLIVGSTAAQRLSRRLPVEVVSDQLNDMENAPYEDGNDVQRHTRGHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWSQLNESSQRQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDERPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARSKKMDANPVAVVEALIHINNQLHQHEAAVGILTYAQQHLDVQLKESWYEKLQRWDDALKAYTAKATQASSPHLVLEATLGRMRCLAALARWEELNNLCKEYWTPAEPSARLEMAPMAANAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTAASGDGSSNGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYCTLPVGNPVAEGRRALIRNMWTERIQGAKRNVEVWQVLLAVRALVLPPTEDIETWLKFASLCRQNGRISQAKSTLIKLLQYDPEASPENVRYHGPPQVMLAYLKYQWSLGDDLKRKEAFSRLQNLARELSSSPNIQSISSTALMSGTSANVALLARMYLKLGAWQWTLSPGLDEDSIQEILAAFRNATQCAPKWAKAWHAWALFNTAVMSHYTLRGFPTIASQFVVAAVTGYFHSIACAANSKGVDDSLQDILRLLTLWFNHGATAEVQTALQRGFTHVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRKAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHELWHEALEEASRLYFGEHNIEGMLKVLEPLHEMLEEGATRDNTTIKERAFIEAYHHDLSQAYECCMKYKRTGKDAELTQAWDLYYHVFRRIDKQLQSLTTLDLQSVSPELLDCRDLELAVPGTYRAELPVVTIASFARQLDVITSKQRPRKLTIHGSDGDDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTAEKDLSIQRYDVIPLSPNSGLIGWVPNCDTLHQLIREYRDARRITLNQEHKYMLSFAPDYDHLPLIAKVEVFEYALQNTEGNDLARVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLEKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINW >EOX98533 pep chromosome:Theobroma_cacao_20110822:2:6093596:6119123:-1 gene:TCM_007272 transcript:EOX98533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target of rapamycin isoform 1 MAATLQSLRFCGPAASGPAGGSAETLNRILADLCTRGNPKEGASLALKKHLEEEARDLSGEAFSRFMDQLYERISSLLDSIDVAQNMGALRAIDELIDVALGENASKVSKFSNYMRTVFEVKRDPEILVLASKVLGHLARAGGAMTADEVEFQVRTALEWLRGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPTLAVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGKNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLKYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILTVLRIPAERASGFIALGEMAGALDGELVHYLPTITSHLRDAIAPRRGRPSLEALACVGNIAKAMGPAMEPHVRGLLDVMFSAGLSPTLVEALEQITVSIPSLLPTIQDRLLDSISLVLSKSPYFQARPAAALVRGTAANIPQPVSELSGSALVQLALQTLARFNFKGHELLEFARESVVVYLDDEDGATRKDAALCCCKLVANSFSGIVCMQFGSSRSNRAGGKRRRLIEELVEKLLIAAVADADVTVRHSIFSSLHGNRGFDDFLAQADSLSAVFAALNDEDFDVREYAISVAGRLSEKNPAYVLPALRRHLIQLLTYLGQSADNKCREESAKLLGCLIRNCERLILPYIAPVHKALVARLLEGTGVNANNGIISGVLVTVGDLARVGGFAMREYIPELMPLIVEALLDGAAVTRREVAVATLGQVVQSTGYVIAPYNEYPQLLGLLLKLLNGELVWSTRREVLKVLGIMGALDPHAHKRNQQSLSGSHGDVNRPASDSGQHIPSSMDELPMDLWPSFATSEDYYSTVAINSLMRILRDPSLASYHQKVVGSLMFIFKSMGLGCVPYLPKVLPDLFQIVRTCDDHLKDFITWKLGTLVSIVRQHIRKYLPELLSLISELWSSFSLPDSNRPSRGFPVLHLVEQLCLALNDEFRKHLPAILPCCIQVLSDAERCNDYTYVLDILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVEMRRAAIKTLTRLIPRVQVTGHISSLVHHLKLVLDGKNDELRKDAVDALCCLAHALGEDFTIFIPSIHKLLLRHRLRHKEFEEIEGRLRRREPLIVGSTAAQRLSRRLPVEVVSDQLNDMENAPYEDGNDVQRHTRGHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWSQLNESSQRQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDERPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARSKKMDANPVAVVEALIHINNQLHQHEAAVGILTYAQQHLDVQLKESWYEKLQRWDDALKAYTAKATQASSPHLVLEATLGRMRCLAALARWEELNNLCKEYWTPAEPSARLEMAPMAANAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTAASGDGSSNGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYCTLPVGNPVAEGRRALIRNMWTERIQGAKRNVEVWQVLLAVRALVLPPTEDIETWLKFASLCRQNGRISQAKSTLIKLLQYDPEASPENVRYHGPPQVMLAYLKYQWSLGDDLKRKEAFSRLQNLARELSSSPNIQSISSTALMSGTSANVALLARMYLKLGAWQWTLSPGLDEDSIQEILAAFRNATQCAPKWAKAWHAWALFNTAVMSHYTLRGFPTIASQFVVAAVTGYFHSIACAANSKGVDDSLQDILRLLTLWFNHGATAEVQTALQRGFTHVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRKAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHELWHEALEEASRLYFGEHNIEGMLKVLEPLHEMLEEGATRDNTTIKERAFIEAYHHDLSQAYECCMKYKRTGKDAELTQAWDLYYHVFRRIDKQLQSLTTLDLQSVSPELLDCRDLELAVPGTYRAELPVVTIASFARQLDVITSKQRPRKLTIHGSDGDDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTAEKDLSIQRYDVIPLSPNSGLIGWVPNCDTLHQLIREYRDARRITLNQEHKYMLSFAPDYDHLPLIAKVEVFEYALQNTEGNDLARVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLEKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSMFATSHVPAVVNAEETAPSKELAHPQRGARERELLQAVNQLGDANEVLNERAVVVMARMSNKLTGRDFSSCSSIPACSIQQAVDHSNLISGDNREVEHGLSVKLQVQKLIIQATSHENLCQNYVGWCPFW >EOY00183 pep chromosome:Theobroma_cacao_20110822:2:28838244:28843206:1 gene:TCM_009914 transcript:EOY00183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein isoform 1 MKSVVGVVVSNKMQKSVVVAVDRLFHHKLYNRYVKRTSKFMAHDENDQCNIGDRVKLDPSRPLSKRKHWVVAEVLKKARIYVPPSMDKAANANFKNEAPPSSTP >EOY00184 pep chromosome:Theobroma_cacao_20110822:2:28838319:28843630:1 gene:TCM_009914 transcript:EOY00184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein isoform 1 MKSVVGVVVSNKMQKSVVVAVDRLFHHKLYNRYVKRTSKFMAHDENDQCNIGDRVKLDPSRPLSKRKHWVVAEVLKKARIYVPPSMDKAANANFKNEAPPSSTP >EOY02145 pep chromosome:Theobroma_cacao_20110822:2:42107737:42112477:-1 gene:TCM_011869 transcript:EOY02145 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441 isoform 1 MAGTWLVDGNRIATKIRSASNPERVTWKSNPTRSCPSCHHTIDNSDVTQAWPGLPRGVKFDPSDQEIIWHLLTKVGVEDSKPHPFINEFIPTIENDDGICYTHPQKLPGVKQDGSVSHFFHRAIKAYNTGTRKRRKIHGDDFGDVRWHKTGRTKPVLLDGVQRGCKKIMVLYMTMVKGGKPEKTNWVMHQYHLGTEEDEKDGEYVISKIFHQQQQIKQGDKCEQDLPEMTDTTIVKVDPVTPKSVTPDPPRTGRQCHEYDQGQEYTIACINPSAQVLSPKHPTMQYVEDYVQTECESSSHNDLPDPENHLNQMLDNNNDNRAEEDPKWWDGESQYLLDSQQLVEGLSLCDELLQSQSPNRDANVDDGALNSKPCLSDYAQLGAEHLKKDLEECQNLEGDPANIELDTPPEFRLSQLEFGSQDSFIAWGGSKVID >EOY02146 pep chromosome:Theobroma_cacao_20110822:2:42107665:42112091:-1 gene:TCM_011869 transcript:EOY02146 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441 isoform 1 MAGTWLVDGNRIATKIRSASNPERVTWKSNPTRSCPSCHHTIDNSDVTQAWPGLPRGVKFDPSDQEIIWHLLTKVGVEDSKPHPFINEFIPTIENDDGICYTHPQKLPDGSVSHFFHRAIKAYNTGTRKRRKIHGDDFGDVRWHKTGRTKPVLLDGVQRGCKKIMVLYMTMVKGGKPEKTNWVMHQYHLGTEEDEKDGEYVISKIFHQQQQIKQGDKCEQDLPEMTDTTIVKVDPVTPKSVTPDPPRTGRQCHEYDQGQEYTIACINPSAQVLSPKHPTMQYVEDYVQTECESSSHNDLPDPENHLNQMLDNNNDNRAEEDPKWWDGESQYLLDSQQLVEGLSLCDELLQSQSPNRDANVDDGALNSKPCLSDYAQLGAEHLKKDLEECQNLEGDPANIELDTPPEFRLSQLEFGSQDSFIAWGGSKVID >EOX97608 pep chromosome:Theobroma_cacao_20110822:2:2845640:2851183:1 gene:TCM_006587 transcript:EOX97608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 3 family protein, putative isoform 1 MAFWCRINQSRLQLISNQYRRFYFQTPYASSIDPIRTSVLGKPVSSIDGRPSYFYGNVRFFAAPVQGKYNNKEEPSKFEKRLNEQIKADVIRLVTEEGHEIIPIHEALRRAKVLDLDLVEVQKSANPPVCKLMDYNQERYKRRVMEKDRAKSKSGKALKKGECKEIRFTGKIEAKDIKMKADSVIRLMERGYRVKCMAMGKGKEDEDEDLGGLLSRLTDLIQDVSVVESGPRVERKQAYVVVRHVKFGPLKKGGGKTSKVVEDTKAEPKPMVPEDDSIESISESENEILSDEDDLPRSSPMQMQGKNFEDKKTAWSVSESGDDFDKLFNLNGGFSSNSTSKGIHAAQHTVNSSRNDFASKFLHPKPVANSTEYSHPDTSLGTENRYRKSEPRNQFPPARSMGHMGQNTRESGRSEPRFSYQRQQAPQNMNASSSLGETKQVGNDASLVRNLRPQTNDLPKQRPSHSDVPGTPAPSFGIFSTPTANSSGKQGIGAEVHGSKEGNRYASLRNCGLGGNGATPNFPGSKFNGSQRPNGDMGGKDRFGIFNSDNSTSNRMPKSN >EOX97609 pep chromosome:Theobroma_cacao_20110822:2:2846009:2851015:1 gene:TCM_006587 transcript:EOX97609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 3 family protein, putative isoform 1 MDYNQERYKRRVMEKDRAKSKSGKALKKGECKEIRFTGKIEAKDIKMKADSVIRLMERGYRVKCMAMGKGKEDEDEDLGGLLSRLTDLIQDVSVVESGPRVERKQAYVVVRHVKFGPLKKGGGKTSKVVEDTKAEPKPMVPEDDSIESISESENEILSDEDDLPRSSPMQMQGKNFEDKKTAWSVSESGDDFDKLFNLNGGFSSNSTSKGIHAAQHTVNSSRNDFASKFLHPKPVANSTEYSHPDTSLGTENRYRKSEPRNQFPPARSMGHMGQNTRESGRSEPRFSYQRQQAPQNMNASSSLGETKQVGNDASLVRNLRPQTNDLPKQRPSHSDVPGTPAPSFGIFSTPTANSSGKQGIGAEVHGSKEGNRYASLRNCGLGGNGATPNFPGSKFNGSQRPNGDMGGKDRFGIFNSDNSTSNRMPKSN >EOX98504 pep chromosome:Theobroma_cacao_20110822:2:5995018:5996481:1 gene:TCM_007249 transcript:EOX98504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNVLASSECRSGCESGWTLYLEQSFLSANPSHKDRKSGFCDEPRENRVKGEDVEDEEEDLSMVSDASSGPPHLNEDNGYFNDDSRYQYSATKGATLNNKDSKGHRNEEHRRPRKDQELPSFLDDTASSPLINNNFALTNNQASKESVFDYPQGFSASRFQGGSAFQDHFGFLQSSPSGSQLQNNQLSNFQLVLVLRKENGVGDEIRWLPISLLLQPWL >EOX99258 pep chromosome:Theobroma_cacao_20110822:2:9427930:9428721:1 gene:TCM_007855 transcript:EOX99258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIPTDFMEHLPRYEGGRTVPFPVHDTLDIILEEKAKIILNLFSKDVGVSTYVRAKSLKLGDKSIFRAEENAGNQGRSLLIG >EOX98751 pep chromosome:Theobroma_cacao_20110822:2:7009691:7013796:-1 gene:TCM_007442 transcript:EOX98751 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 85A2 MGSLTARNKPHAVCLPYPAQGHVNPMLKVAKLLHFKGFHITFVNTEYNHKRLLKSRGPNALDGVPDFRFETIPDGLPPPDIDATQDIPALCDSTSKNCLAPFRQLLAKLNSSGVPPVTCIVSDGVMSFTLKAAEELGIPEVLFWTTSACGFLGYMHYPRLIERGFTPLKDETYLTNGYLDTVIDWIPGMKNIRIRDLPSFVRTTDRNDIMLNFLAVESERAAKASAVIVNTFDEFEHDVVKALSSMLPRIYTIGPLQLLLNQIPQTPLESIGSNLWKEEPECLQWLNSKEPKSVVYVNFGSITVMTAQQLVEFAWGLANSKHTFLWIIRPDLVRGDSSILPPEFLEETEERGLMASWCPQEQVLNHPAIAGFLTHSGWNSTVESIGYGVPMISWPFFAEQQTNCRFACTEWGVGMEIDNNVKREEVERLVRELMEGEKGKGMRNKAMEWKKKAEQAASPNGSSFLNLEKLIKDVLLKHQTVRFESYLVGSQKQVGRSRFGLLQATELGQSQPNKVSVVS >EOY01703 pep chromosome:Theobroma_cacao_20110822:2:40662931:40666044:-1 gene:TCM_011538 transcript:EOY01703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureide permease 2 MYLVESKGGAIACMLLALFFLGTWPAIITLLERRGRLPQHTYLDYTMTNLLAAVIIALTFGQIGESTVERPNFFTQLSQENWPSVLFAMAGGVVLSLGNLSTQYAWAFVGLSVVQVISSSMTVVIGTTLNYFLDDKINRAEILFPGVGCFLVAVCLASAVHSSNAADDKAKLSSWSNENKTGTGAVLSSVPEEAIPNKVTKDLENGNGPVHKAKAGTATFLIELEKRRSIKVFGKSTFIGLALTFFAGVCFSLFSPAINLATNDQWHTLKEGVPKLVVYTAFFYFSLSCFVIALILNISFLYHPALGVPRSTFKAYLKDWNGRGWAFLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVILFGEYRRSSRRTYVLLFSMLFMFIAAVGVLMASAGRRK >EOY00710 pep chromosome:Theobroma_cacao_20110822:2:34658876:34661689:-1 gene:TCM_010646 transcript:EOY00710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFNVDGAARGCSGPTRIRGILRDHRGEVKIIFSNAIGEIDSNFAEMMAVKAVKWTKHPDVALWRMRKLILQTEILKREVEGWEIQHVKREVN >EOX98153 pep chromosome:Theobroma_cacao_20110822:2:4698774:4700291:1 gene:TCM_006979 transcript:EOX98153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 14 isoform 2 MIKSVQLRTYSSPILVEAKDIHRTGLSPSSKTRFASTIRCSSSSDAYIPKLEPFSRTKFERAVKEPPLIEKSENELADYCSTLEGDDSYSCWRAYFELKDLEAKTPKEDVEKLILQAGGVKSLIGCLHGIAAIHNKGNGNGYFSMSKPLNTENEGKRFYHIPDGLPKSAEEIEEEERARMPDSPFTRLLRTKGTSPAWYSPVPDHETD >EOX98152 pep chromosome:Theobroma_cacao_20110822:2:4698838:4700120:1 gene:TCM_006979 transcript:EOX98152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 14 isoform 2 MIKSVQLRTYSSPILVEAKDIHRTGLSPSSKTRFASTIRCSSSSDAYIPKLEPFSRTKFERAVKEPPLIEKSENELADYCSTLEGDDSYSCWRAYFELKDLERETPKEDVEKLILQAGGVKSLIGCLHGIAAIHNKGNGNGYFSMSKPLNTENEGKRFYHIPDGLPKSAEEIEEEERARMPDSPFTRLLRTKGTSPAWYSPVPDHETD >EOX97063 pep chromosome:Theobroma_cacao_20110822:2:1071385:1072150:1 gene:TCM_006170 transcript:EOX97063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSFNCFILAFFVALTFSRVNVGLAARRLQQLPPLPPMPTSPRTGALPPLPSVPTLPQPTIPTLPTTQPTLPSLPSIPNLPSVPSFTLPPLPSMPSIPSISTIIPPIPFLSPPPSTTSP >EOX97784 pep chromosome:Theobroma_cacao_20110822:2:3425317:3429918:1 gene:TCM_006710 transcript:EOX97784 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR class disease resistance protein, putative MAESVVSNVAARLGDLVIQEAKFLRGVDDQVKHLQMELVWMKSFLKEADSRQAENEMVRMWVAEIREIAYDAEDVIETFALEITSQRREGISNFIKRSACICKEGWMRHKVKSDMEGIISRISYLSQRLQVYGIKQLSDGASSSSSSKRQHLTQSYPRSKQPYVVGLDNDIKELVKVLVDEGRHRVVSICGMGGLGKTTLAKKVYNHSQVRNHFKHFSWAYISQQCQTRTVWEGILSSLNPKGEKGGILPKLGDQDLAKNLYEFLKENKCLVVLDDIWKAEDWDAIKPAFPMEEETGSKILLTSRNKDVAWHADPRGFLHELQLLTDEDGWKMFQHICDSADYVIEEKMEELGKDMVKQCAGLPLAIVVLGGVLVTKHSLNDWQIVQENLKSYLRKDRSKKKRKDGGWGIHEAIALSYGNLPPYLKPCFLYLSVFPEDYEISVGKLVKLWVAEDIVPLEESEEDGEEMMEDVAEGYLNELVERYMVLVGERDANSKIKTCRMHDLIRDFCLLKAKQENFICVLDCLQMEQADVSSLSPPIGKFRRIGINDLNLINGITNPHLRSALFFDQNFLGEFQERSYVIKWLEKIEDSINSTIVKGGLFAFLIPFLGCELRHKTRGLTRHICNNFKLLRILDFADADIPLVCILLSDIGSLIHLRFLSLGNCAFVAMLPSFISKLRCLQSLDLRDCVGVYVPNVLWKLERLRHLYLPDQVCARTKLKLDTLKNLQTLVNFNTKNYYLKDICYMKYLRELMIIGPFIVENFREDLNLNPPIITSKHLRSLSISNNKSTDPRHLTYLLSSCLNIRELHLSVEIKKLPQHIPSNIAHISLDWARLDEDPLPTLEKLPNLRILELGQGAFLGEVMICSAQGFPVLNSLSIIWQANLEELRVSEGAMPNLHHLRIVNCRMLKMLPFISTLKELKIEKMPKAFKDKLVEGGEDSYKVQNVLSIIFQNCDD >EOY01435 pep chromosome:Theobroma_cacao_20110822:2:39435190:39442351:1 gene:TCM_011324 transcript:EOY01435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 131 isoform 1 MNDLLTDSFVGDAQGHGDIEMGRQVPGSNSDMGMEAFNKQIQEVEKQVEKLSVLLRKLKDDNEESKSVTKASAMKAIKKRMEKDIDEVGKIARNVKARLEAINKDNLANRQKPGCEKGTSIDRSRMNVTNALAIKFKDLMIEFQTLRQKIQDEYREVVERRVITVTGTRPDEQTIDRLIETGNSEQIFQKAIQEQGRGQVLNTMEEIQERHDAVMGIEKKLLDLQQIYLDMAVLVEAQGEILDNIESQVSTAVTNVQSGTIALQNAKKRQKSTRKWTCIAIIILLIIVAVIVVGVLKPWKSYKCSGPCAIGYRCPQNCKELAEEVEKMHDDLHHLAPHYCNHRRALDFEALEEMNRGN >EOY01436 pep chromosome:Theobroma_cacao_20110822:2:39435286:39441717:1 gene:TCM_011324 transcript:EOY01436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 131 isoform 1 MNDLLTDSFVGDAQGHGDIEMGRQVPGSNSDMGMEAFNKQIQEVEKQVEKLSVLLRKLKDDNEESKSVTKASAMKAIKKRMEKDIDEVGKIARNVKARLEAINKDNLANRQKPGCEKGTSIDRSRMNVTNALAIKFKDLMIEFQTLRQKIQDEYREVVERRVITVTGTRPDEQTIDRLIETGNSEQIFQKAIQEQGRGQVLNTMEEIQERHDAVMGIEKKLLDLQQIYLDMAVLVEAQGEILDNIESQVTNAVDHVQSGTDALRTAKSLQKKSRKCMMISIILLLIIAIIVVLSILKPWKK >EOY01038 pep chromosome:Theobroma_cacao_20110822:2:37009120:37011606:1 gene:TCM_010972 transcript:EOY01038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlororespiratory reduction 3, putative isoform 1 MACLSCTSISMPKAVAHPLASLTDNPSPPQEVKSNPKPRTTTRPRKRRQRLHKQKPQPPSIIQIERAIGAGSFRDADSSSDLENRRRTVFDGLLPITGGKFEGEIEKKLRETGEWIGSRTEATFRSSGKKNSVGRASMVPTNLGLFSPSGFWSYKATIQHTSD >EOY01037 pep chromosome:Theobroma_cacao_20110822:2:37009114:37011657:1 gene:TCM_010972 transcript:EOY01037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlororespiratory reduction 3, putative isoform 1 MACLSCTSISMPKAVAHPLASLTDNPSPPQEVKSNPKPRTTTRPRKRRQRLHKQKPQPPSIIQIERAIGAGSFRDADSSDLENRRRTVFDGLLPITGGKFEGEIEKKLRETGEWIGSRTEATFRSSGRRILLVVLQWFLPIWAFSLLVASGVIKLPFSTPLIDDLIM >EOY02022 pep chromosome:Theobroma_cacao_20110822:2:41710840:41712240:1 gene:TCM_011782 transcript:EOY02022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASAIYYCNDLQHIVYQPLTEPEIFYPTPPFVQIELNIALQFGFRRHYCLTDQFVDLDDEGMLLSQETIRFDLRALKNCDRIHQILGPMLVRLRLNPNARPCRAIIKEIIRQGVSIGTSESNKGRQVLPLQAVLWGTFVEQVNEEEEDQVLIERALEESASEFESSNYNMVPAKESSVKKMLQRVRVESAECDKKGEEKNQEKAFGS >EOX97273 pep chromosome:Theobroma_cacao_20110822:2:1782007:1786915:-1 gene:TCM_006347 transcript:EOX97273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene monooxygenase MGYECIVEGLVAALLGFVFLYNAFVRGFNKTKAAAGAASSSSSSMVSPMENCVRKTGNGEVAGSTDIIIVGAGVAGSALAYTFGKDGRRVHVIERDLSEPDRIVGELLQPGGYLKLIELGLEDCVDDIDAQQVFGYALYKDGKNTRLSYPLEKFHSDVAGRSFHNGRFIQRMRQKAASLPNVTLEQGTVTSLLEENGTIKGVQYKTKGGQELTAYAPLTIVCDGCFSNLRRSLCDPKVEVPSCFVGLVLENCELPHANYGHVILADPSPILFYPISSTEIRCLVDVPGQKVPSVSNGEMAQYLKTVVAPQIPSELHTAFISAIDKGNIRTMPNRSMPAAPHSTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLRPLYDLYDASTLCKYLESFYTLRKPVASTINTLAGALYKVFSASPDPARKEMRQACFDYLSLGGVFSNGPISLLSGLNPRPISLVLHFFAVAVYGVGRLLLPFPSPKRIWTGARLISGASGIIFPIIKAEGVRQMFFPATVPAYYRAPPVH >EOX98707 pep chromosome:Theobroma_cacao_20110822:2:6789865:6794391:-1 gene:TCM_007404 transcript:EOX98707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKSCPGSLHRPKSFKYERTLLPFSFYSPKLSLYILAFCVTLLLLLQIRSLHTPPISPSPLPSWSFLQQWQEVINKTLASPNCTQDVLESMTQKLRDSVTFLPLKDLRYANQPLPGHTWFMSSMYDTHEEGEVQYQQFPSDSSNGRLLCLKGRDTHDGSWNYYALAWPEALPSNATLMKGLTFVAYNHYNYDNIWHGLSAMVPFVAWHRKNSCETPTRWILYRWGELRFKMGTWLNTLMKATFGQAPYIEGFNGIEDDDQPVCFEKAVVMRHNEGGMSRERRMEVYDLIRCKARVYCNVSGDQKRPGIGMTLLMRTGPRSFRNETAVIGIFEKECMKVEGCQLIVAYSNNLTICEQVKLMSLTDILISPHGAQLTNLFLMDRNSSVMEFFPKGWLKLAGVGQYVYHWMASWSGMIHRGDWRDPDGENCPYSDDDRRCMSLYKSGRIGYNETHFAEWARNVLNDVKTSKLEEASKHAQNSISKTCDCS >EOX98646 pep chromosome:Theobroma_cacao_20110822:2:6605271:6607649:1 gene:TCM_007357 transcript:EOX98646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MTRNISLRPLRSVRSAFLYGVSAHIIFLGLLICFGNFVCSFIQRLSPQPFLPLEPLPETAIFHSPEVFKLDYAEMERRFKIFLYPDGDPNMYYHTPRSLSGKYTSEGYFFKNIRESRFLTNDPESAHLFFIPISCHKMRGKGLSYENMTRTVQEYVESLMVKYPFWNRTLGADHFFVTCHDIGLKATVGVAHLVKNSIRVACTSGDDDGYIPHKDFPLPQIVQPFSLPAARFDPENRYTLGFWAGSKSELRRELVSAWQNDTELDIQSNYMINVSHLEKFNTAKFCMCPGWSDVHGSRIALSIHHGCVPAIMSGHHDLPFNDILDWSKFSIIIKEDEVQQIKHILERISYDRFKSLHYNTVQVQRHLQWNSPPIKYDAFHMVMYQLWQRRHVTKYRTY >EOX98836 pep chromosome:Theobroma_cacao_20110822:2:7417040:7418715:-1 gene:TCM_007514 transcript:EOX98836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase N1 MEGAYASPRFLAMIIMFAMVTALVQGQGTRVGFYSRTCPRAESIVRSTVQRHFQSNPAIAPGLLRMHFHDCFVQGCDASILIDGPNTEKTAGPNLLLRGYEVIDDAKTQLEAACPGVVSCADILSLAARDSVVLTRGINWQVPTGRRDGRVSLASDTSNLPGFRESIDSQKRKFAAFGLNTQDLVALVGGHTIGTSACQFFSYRLYNFTNGGPDPTINPAFVPQLQALCPQNGDGSRRIDLDTGSGGRFDTAYFANLRNGRGILESDQKLWTDASTKTFVQRFLGERGLRPLNFNVEFARSMVKMSNIGVKTSTNGEIRRVCSAIN >EOX97222 pep chromosome:Theobroma_cacao_20110822:2:1662316:1663757:-1 gene:TCM_006312 transcript:EOX97222 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 75B2-like protein MNSDDLHFLLATLAHQSYINPTLQLAKLLIQAGARVTFATTIHGQRKIKSFPSLEGLAYASFSDGFDDGTSPSDRHEDVMASGEFLDILSHAVSWVADVAGAMSIPSAFLCIQAAALLAIYHHYLNSQTGAYDSKIKCPPSVIKFDGLPPFEWKDLPCFLLPNIDSGYTFLWVIRPSKDAVEEEGFENVIKNKMKEEQGLIVPWCSQVEVLNHRAVGCFLTHCGWNSTTECLVAGVPMVALPRCREGRDQKVLGDVMEKGQKGEALRRNAKKWKGLALEATGQGGSSAKNYKVFMKSFVN >EOY00878 pep chromosome:Theobroma_cacao_20110822:2:35655530:35660412:1 gene:TCM_010797 transcript:EOY00878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MKKLALFLLFFLFFLVRNPKPVLSLSPDGLSLLSLKSAVDQPAAQSVFADWNENDTTPCRWSGISCMNITGYPDPRVVGIAVSGKNLRGYIPSELGTLIYLRRLNLHNNNFYGSIPEQLFNATSLHSLFLYGNNLSGSLPPSICDLPRLQNLDLSNNSLSGSLPENLKNCKQLQRLILAQNKFSGEIPDGIWPELDNLFQLDLSSNEFNGSIPSNIGELKSLSGTLNLSYNHLSGKLPKSLGDLPVTVSFDLRNNNLSGEIPETGSFANQGPTAFLNNPLLCGFPLQKSCKNSNISPSGSQNSGPNSGESLKKGLSPGLIILISAADAAGVALIGLLIIYIYWKKKDSSNGCSCTGKGKFGHNDKGKLCSLYSCACINGFRSEDSELEDQEKGERSGKGEGELVAIDKGFSFELDELLRASAYVLGKSGLGIVYKVVLGNGVPVAVRRLGDGGEQRYKEFAAEVQAIGKVKHPNVVKLRAYYWAPDEKLLISDFISNGNLANAMRGRNGQPSPSLSWSTRLKIAKGAARGLAYLHECSPRKFVHGDIKPSNILLDNEFQPYISDFGLNRLINITGNNPSSSGGFIGGLPYKSIQTERTNNYRAPEARVPGNRPTQKWDVYSFGVVLLELLTGKSPELSPTTSTSTEIPDLVRWVRKGFEEENPLSDMVDPLLLQEVHAKKEVLAVFHVALACTEGDPEVRPRMKTVSENLERIGT >EOX97851 pep chromosome:Theobroma_cacao_20110822:2:3676440:3679664:1 gene:TCM_006775 transcript:EOX97851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin fusion degradation 1 isoform 1 MFFDGYGYHGTSFEQSYRCYPASFIEKPQIESGDKIIMPPSALDRLASLHIDYPMLFELRNDAAERVSHCGVLEFIAEEGMIYMPYWMMENLLLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPEKPPVAASSSRALSQVEEAPAEPEPRFSPFTGAGRRLDGKPLKQQPSPVSSSESKDKGPAVSNGKNSEPSSQSSSQSISRQAQGKLVFGSSRPKETKQESGKDAKQEQSQKKEDPKFQPFTGRKYSLKG >EOX97852 pep chromosome:Theobroma_cacao_20110822:2:3676573:3679650:1 gene:TCM_006775 transcript:EOX97852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin fusion degradation 1 isoform 1 MFFDGYGYHGTSFEQSYRCYPASFIEKPQIESGDKIIMPPSALDRLASLHIDYPMLFELRNDAAERVSHCGVLEFIAEEGMIYMPYWMMENLLLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPEKPPVAASSSRALSQVEEAPAEPEPRFSPFTGAGRRLDGKPLKQQPSPVSSSESKDKGPAVSNGKNSEPSSQSSSQSISRQAQGKLVFGSSRPKETKQESGKDAKQEQSQKKEDPKFQPFTGRKYSLKG >EOY01496 pep chromosome:Theobroma_cacao_20110822:2:39687492:39691399:-1 gene:TCM_011365 transcript:EOY01496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phosphotriesterase superfamily protein, putative MNYRTLYIGSVTKPYLGILAINPTLLVSNSTMKISLSFKFLFIVLLLSQNIKQSYQQLPDGDDVPKNYHQLDLPQVTGPESIAFDCKNQGPYVGVSDGRILKWHGPHLGWKEFAIPSPVRDRKLCDGSTNPNLEPICGRPLGLKFNYATCDLYIADAYFGLLVVGPNGGVAQQLATSAEGVPFRFTNGLDIDSKTGMVYFTDSSIFFQRRYHLLLRITSDRSGRLLKYDPRTKDVTVMSRGLMFPNGVALNADNSYLLVAESTTMRILKFRLEGEANSYIPELFVQLSRTPDNIQRNKNGEFWVGLNSGRSGRIQDDAYAKLPDPVGVKLNQEGKILKQLDGNGGITFNSISEVQELNGTLYIGSVTKPYLGILYP >EOX98832 pep chromosome:Theobroma_cacao_20110822:2:7403090:7407224:-1 gene:TCM_007511 transcript:EOX98832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic peroxidase 2 precursor MSEAFNFLFLPFWGVDLQEMGSKQNLFLVFLMIVIAATLVQGQGTRVGFHSRTCPRAESIVRSTVQSHFRSNPSVAPALLRLHFHDCFVNGCDASILIDGPNTEKTAPPNLGVRGYEVIDDAKARLEAECPGVVSCADIIALAARDSVVLAKAPNWRVPTGHRDGMVSSASDTITLVGFRESVDSQKRKFAAFGLNTQDLVTLVGKHISFLSSSCYRLYNFTTTGNGADQSIHPAFVPQLRALCPQNGEGTRRVELDTGSVNRFDASFFGNLRNGRGILESDQKLWTDASSRTIVQRYLGVRGLRPLNFNVEFGRSIVKMSNIGVKTGSQGEIRRICSAIN >EOX99145 pep chromosome:Theobroma_cacao_20110822:2:8680560:8695188:1 gene:TCM_007744 transcript:EOX99145 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MYARRIWGRSQRWGLVFQQWKHVIRPHFQDHACYRSLNGPYAVGTGCRDGSLIRKNLSDSSYARGSASAFTYTGLYGRSAPCFSNHQLRVYSSKGDGRNASEDNYRPVNDGVNFDKGKTWREKVGENVKPCDAHAQLGEQDQKEWLSNEKLSIESKKKESPFLTRREKFKNEFLRRIVPWEKIHVSWETFPYYIHENTKNILVECVASHLKHKNLTTSYGARLASSSGRILLQSVPGTELYRERLVRALARELQVPFLVLDSSVLAPYDFGDDCSSESESDDDNLESAVECTSESEIEDENDASNEEDWTSSNETRTDCSDVDEVQATAEAALKKLVPYNLEEFEKRVSGESESSSESSKSEAGESADKSKWLLKKGDRVKYIGPDVQIEADRRPLASGQRGEVYEVDGDRVAVILDISSNNKAKEEEKDEKSTKNSTSPPVYWIDVKDIEHDRDTQAEDCYIAMEALCEVLHSMQPLIVYFQDSSQWLSRAVPKSNRKEFVCRVREMFDNLSGPVVLICGQNKVETGSKEKEKFTMILPNFGRLAKLPLPLKRLTEGLKVTKRSDDDELYKLFTNVLCIHPPKEEDLLRIFNKQLDEDRRIVISRSNLNELHKVLEENEQSCLDLLHANTDGVILTKRKAEKVVGWAKNHYLSSCTLPSIRGERLCLPRESVEIAVLRLKEQETISRKPAQNLKNLAKDDYESNFVSAVVPPGEVGVKFDDIGALEDVKKALNELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRVYVDLPDAGNRKKILKIFLAQENLGPNFSLDELANATEGYSGSDLKNLCIAAAYRPVQELLEEEEKGGKNDAAALLRSLNVDDFIQSKAKVGPSVAYDATSMNELRKWNEQYGEGGSRRKSPFGF >EOX99146 pep chromosome:Theobroma_cacao_20110822:2:8680560:8692185:1 gene:TCM_007744 transcript:EOX99146 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MYARRIWGRSQRWGLVFQQWKHVIRPHFQDHACYRSLNGPYAVGTGCRDGSLIRKNLSDSSYARGSASAFTYTGLYGRSAPCFSNHQLRVYSSKGDGRNASEDNYRPVNDGVNFDKGKTWREKVGENVKPCDAHAQLGEQDQKEWLSNEKLSIESKKKESPFLTRREKFKNEFLRRIVPWEKIHVSWETFPYYIHENTKNILVECVASHLKHKNLTTSYGARLASSSGRILLQSVPGTELYRERLVRALARELQVPFLVLDSSVLAPYDFGDDCSSESESDDDNLESAVECTSESEIEDENDASNEEDWTSSNETRTDCSDVDEVQATAEAALKKLVPYNLEEFEKRVSGESESSSESSKSEAGESADKSKWLLKKGDRVKYIGPDVQIEADRRPLASGQRGEVYEVDGDRVAVILDISSNNKAKEEEKDEKSTKNSTSPPVYWIDVKDIEHDRDTQAEDCYIAMEALCEVLHSMQPLIVYFQDSSQWLSRAVPKSNRKEFVCRVREMFDNLSGPVVLICGQNKVETGSKEKEKFTMILPNFGRLAKLPLPLKRLTEGLKVTKRSDDDELYKLFTNVLCIHPPKEEDLLRIFNKQLDEDRRIVISRSNLNELHKVLEENEQSCLDLLHANTDGVILTKRKAEKVVGWAKNHYLSSCTLPSIRGERLCLPRESVEIAVLRLKEQETISRKPAQNLKNLAKDDYESNFVSAVVPPGEVGVKFDDIGALEDVKKALNELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKSNDTTYGGMSIGQTIYLLTLYIMAVKRKNKNED >EOX99144 pep chromosome:Theobroma_cacao_20110822:2:8680274:8695757:1 gene:TCM_007744 transcript:EOX99144 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MYARRIWGRSQRWGLVFQQWKHVIRPHFQDHACYRSLNGPYAVGTGCRDGSLIRKNLSDSSYARGSASAFTYTGLYGRSAPCFSNHQLRVYSSKGDGRNASEDNYRPVNDGVNFDKGKTWREKVGENVKPCDAHAQLGEQDQKEWLSNEKLSIESKKKESPFLTRREKFKNEFLRRIVPWEKIHVSWETFPYYIHENTKNILVECVASHLKHKNLTTSYGARLASSSGRILLQSVPGTELYRERLVRALARELQVPFLVLDSSVLAPYDFGDDCSSESESDDDNLESAVECTSESEIEDENDASNEEDWTSSNETRTDCSDVDEVQATAEAALKKLVPYNLEEFEKRVSGESESSSESSKSEAGESADKSKWLLKKGDRVKYIGPDVQIEADRRIILGKIPTSDGPTNVYTSIRGRAEEPPIYVIVILVSALDRLTLLLSFGCLNSYRPLASGQRGEVYEVDGDRVAVILDISSNNKAKEEEKDEKSTKNSTSPPVYWIDVKDIEHDRDTQAEDCYIAMEALCEVLHSMQPLIVYFQDSSQWLSRAVPKSNRKEFVCRVREMFDNLSGPVVLICGQNKVETGSKEKEKFTMILPNFGRLAKLPLPLKRLTEGLKVTKRSDDDELYKLFTNVLCIHPPKEEDLLRIFNKQLDEDRRIVISRSNLNELHKVLEENEQSCLDLLHANTDGVILTKRKAEKVVGWAKNHYLSSCTLPSIRGERLCLPRESVEIAVLRLKEQETISRKPAQNLKNLAKDDYESNFVSAVVPPGEVGVKFDDIGALEDVKKALNELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRVYVDLPDAGNRKKILKIFLAQENLGPNFSLDELANATEGYSGSDLKNLCIAAAYRPVQELLEEEEKGGKNDAAALLRSLNVDDFIQSKAKVGPSVAYDATSMNELRKWNEQYGEGGSRRKSPFGF >EOX98227 pep chromosome:Theobroma_cacao_20110822:2:4972289:4974533:1 gene:TCM_007039 transcript:EOX98227 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein, putative MVAPKATYEQMRKRRLEENKKRMEELNLKNLSQALKNTSPKPSPMKQMKPRTPRQRVDLTAVRRSSRVADKPKPNYKDIPLEPLERPRRSHSRRNLWDRVYASDEERAYAIERAEQLESTLESDYPSFVKPMLQSHVTGGFWLGLPVQFCKKHLPDEDKMITLVDEKGEEFPTKYLAEKTGLSGGWRGFSVDHNLVDGDALVFQLVTPTEFKVYIIRAYESEDNEDGEPGEDDKEPEPDVKPDVQVLDRSAKRIRARK >EOX97856 pep chromosome:Theobroma_cacao_20110822:2:3687458:3691694:-1 gene:TCM_006779 transcript:EOX97856 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR family of Fe/S cluster biogenesis protein MSGATTAAYLARRAAQKERVRILYRRALKDTLNWAVHRHLFYRDASDLREKFEANKHVEDLDTIDRMIADGEATYNKWRHPDPYIVPWAPGGSKFTRNPVPPSGIEIAYDYGREDND >EOX97243 pep chromosome:Theobroma_cacao_20110822:2:1701726:1702984:1 gene:TCM_006323 transcript:EOX97243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein A, putative MAKKQSKGRQRVEMVKMKNDSNLQVTFSKRRAGLFKKASELCTLCGAEIAIIVFSPGRKVFSFGHPEVEAVTDRYLNMPDPRQTSGTMQLIEAHRNANIRELNIQLTQVANRLDSAKKRGEELNQMRKAIQSHCWWAFPFEELSLPQLQKLKTVMEELKKNVASQGNHLLMQRMNTQQFLMGSSSSGEIPYETKNNIVFDANMMPAGYSAPDMMPEGFSAPNMMPPPPGFNHHPEGYNYPNPLGYNPGFGRGFF >EOY00472 pep chromosome:Theobroma_cacao_20110822:2:32837924:32841437:1 gene:TCM_010352 transcript:EOY00472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEQEIWETIQSCEGSKASGPDGFNLNFFKNQWKVVKDDVMCLVEDFYRSECMEGCANTSFITLVSKRANPSNIAQMMEQGMGFLIGNGRKIDFWNEQWIDGIKLKDEFPRVYALVIKKNDTVDNFGECVKGQWHWRVGLRRNTFGWEVDQWLFFSIVWSIWLARNDVLCNGKLWDCEKTYDMVKLRVAWWANAKWPNMNPHLKGMVKFNVDGVSRGNLGQGGITGILRDEEGKALIQFSFSIGITDANTTEILAIKKALQIVAASRWANVDCVIMESDSKNAVKWAKEPTIAAWKHKTTMMLLEFFKTQLKGYNFLKIPRAVNGAVDYLAKVGVERNNEFLWVLVDDGEDCTIPHEMLEH >EOX99466 pep chromosome:Theobroma_cacao_20110822:2:11449878:11452279:1 gene:TCM_008150 transcript:EOX99466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 4 MELVVLTHPLFLSSFYSPLLLVASSPSFLLFCSFHSLHTDSTCMEARGKQKMGKGVKREADMESDDDGSELQFGGSMEDDDKKRKNVVVLGGSAAAAGSGRKGLTGAGAMRCCQAENCTADLSDAKQYHRRHKVCENHSKAQVVLVAGFRQRFCQQCSRFHVLSEFDEAKRSCRRRLAGHNERRRKNSTESHGEGSGRKGTGTQLKDMICGQVDDRGRIKLTIQETSTYEQFQIR >EOY00218 pep chromosome:Theobroma_cacao_20110822:2:29901774:29902935:1 gene:TCM_010008 transcript:EOY00218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHGYKYHDSIIIGMCTVHSAHARLGSTHRGRPSLQVALSSFSDGSTRRGQPSLQVALSFFNGGNKQYVSKHS >EOY01957 pep chromosome:Theobroma_cacao_20110822:2:41506869:41507783:-1 gene:TCM_011736 transcript:EOY01957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein MSSRRSRSRQSGVSRITDDQITDLVSKLQQLIPELRGRRSDKVSASKVLQETCNYIRSLHREVDDLSDRLSELLASTDTDSDQAAIIRSLLM >EOX99703 pep chromosome:Theobroma_cacao_20110822:2:14171778:14174339:-1 gene:TCM_008491 transcript:EOX99703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(3,5),delta(2,4)-dienoyl-CoA isomerase 1 MEEKYETLEILQNSPNSGVFNLIFNRPSVRNALSLDFFNEFPKALNALDQNPNVAAIVLSGAGDHFCAGIDLKSLKDIFRNHSGDRGRSGERLRRQIKFMQDAITAIERCRKPVIAAIHGACIGGGIDIVTACDVRCCTRDAFFSVKEVDLAITADLGSLQRLPGIIGFGNTMELALTSRRFSGQEAKELGLVSQVFWSKEDLSEGVRNIAEGIGGKSPLAVSGTKAVLLRSRELSLEQGLDYVATWNSSMLLSDDLTQAISAQIHKKKPVFAKL >EOX99297 pep chromosome:Theobroma_cacao_20110822:2:10023693:10024925:1 gene:TCM_007930 transcript:EOX99297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGGNYLNKHLFLLKKPQTTKVKLASFPRCCWSLYTEFRFQALSPPVSILNVQGQSLIISFLSHLLFCRILSFFSLTPDHSHPHTTIKVFGWLWSRTKDGLEIHKQGILPSRIRG >EOX98311 pep chromosome:Theobroma_cacao_20110822:2:5287366:5289501:1 gene:TCM_007098 transcript:EOX98311 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 73B4, putative MDSKSPRVEMFFFPYVGGGHQIPMIDIARMFAAHGAKSTIISTPKHALSFQTTILRDQQSGHQIFIQTLQLSVNVDIADTDMSAPPQTDTSLLQEPLKSLLLQRRPDCIVHDMFHRWAADVIDNLEVDIPRIVFNGNGCFSNCARENITKFKPHEMVGSDYEPFVIPGLPDRIELTRSQLPVLRLRQQHMRKPEDKSLGVVVNSFYELEPAYAEYFKKELGNRAWLVGPVSLYNRNIADKVERGKEASIDAQGVLSWLDSKEPHSVLYISFGNLARLAPEQLLEIAHGLQASNQPFIWVIGKVFKAEGKEEEMKKTGFQLLILEHASVGGFMTHCGWNSTLEGVSCSVPMITWPISAEQFYNEKLITDVLKIGVKVGSVDWLSWNMEPRAAVGREKVEAAVKRLMGGGEEAVEMRTKARQIGEMANRAVEGGSSYKDAVALLKEFKARPKTDP >EOX98290 pep chromosome:Theobroma_cacao_20110822:2:5218047:5219891:1 gene:TCM_007086 transcript:EOX98290 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIF / Ping-Pong family of plant transposases MDPRKLSALVSSLVSQLLLLLPIFFNSTNSNDFVSDRNLFSVLNYLLSSQEIAATLSFVSVSRKRKRTQCSESDSEPIVEERDQELGHRLGDDRVRLGLTRDPDLFKACFRMKSSTFEWLAGLLEPLLECRDPVGSPLNLSAELRLGIGLFRLATGSSYPEIAQRFGVSESVTRFCTKHLCRVLCTNFRFWVAFPSPEELKSVSLSFEQFTGLPNCCGVIDCTRFNIVNENNGSIDSVAAQIVVDSSSKILSIVAGFKGDKGDSRVLKSSTLYKDVEEGRLLNSSPVLVNGVAINQYLVGDGAYPLLPWLMVPFVDVVPGSSEGKFNVAHRAMHVSALKTIASLKNWGILKKPMEEELKAAVAIIGACSILHNILLMREDDSALCELVGDYLVHDQSSQCYGEASLEENSIGKEASVIRDALATEAREAHVSSLGRQPRDSV >EOX97351 pep chromosome:Theobroma_cacao_20110822:2:2065004:2066421:1 gene:TCM_006403 transcript:EOX97351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein, putative isoform 2 MAHNVSLLFCIFVFLASGAKMYANAKNFTLVNQCKETIWPATITDGSGNFHGEDFKLEPGQTAIYNASDTWSGRIWGRTGCKFDNKTGDGTCQTGSCGTSINCTSAGSLPVSIAEFTLGDTDFYDVSLVDGFNLPIVIKPGGGKGNCSTAGCDGDLRQNCSSDLAVKNNGNVVGCRSACDAFNKDEYCCRGTYGDPVSCLPTNYSKSFKQVCPAASSYAYDNRVSIITCSASDYLVAFCALRNSTICSYQNQKFVCSTTSEGFKAFSQSWRIVMLAFPLVSILQILL >EOX97352 pep chromosome:Theobroma_cacao_20110822:2:2065118:2066485:1 gene:TCM_006403 transcript:EOX97352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein, putative isoform 2 MYANAKNFTLVNQCKETIWPATITDGSGNFHGEDFKLEPGQTAIYNASDTWSGRIWGRTGCKFDNKTGDGTCQTGSCGTSINCTSAGSLPVSIAEFTLGDTDFYDVSLVDGFNLPIVIKPGGGKGNCSTAGCDGDLRQNCSSDLAVKNNGNVVGCRSACDAFNKDEYCCRGTYGDPVSCLPTNYSKSFKQVCPAASSYAYDNRVSIITCSASDYLVAFCALSTICSYQNQKFVCSTTSEGFKAFSQSWRIVMLAFPLVSILQILL >EOY00948 pep chromosome:Theobroma_cacao_20110822:2:36053928:36054534:-1 gene:TCM_010853 transcript:EOY00948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase, putative MATLDKPRVVISISECSNLAQIYKKGKFPEAFYMIQGAEARRLVGKCLENVSNRLPAHELLLDPFLASDEVSLVPISRVLSRKRTPNGPVTELVPPVEVVSIRSTEMSITITMVQPHKTSCAAHSLLVLI >EOX99836 pep chromosome:Theobroma_cacao_20110822:2:17158694:17184213:1 gene:TCM_008800 transcript:EOX99836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVFKWTLDFESKKESSIVPVWISFPNLRAHLFEKSALLMIAKAIGNPSVLMKQLPMGQGQGLPGFALSGYLQKVEFTPMPEYCSYCCHVGHGMANCMVLGQKSGTSKLKLAKKLNRNSQGDPAMNCTIKAEDVNRQREVGGMDVEKRKADSRMAAPKQGKIWQVVNKGGRNGAKDMLSKDKEPEKDPQRASIPTSNRFQMIVDEEAGEQSRMEKQGQTEVMNSSLGVKNLLSSDTATAKGRREKDAVMTGGIQEGRRLGSDPKNILQQPPITEKDEDKQTEKRASITEQLVPTGGLGLIEPVGRRSNVAATAAAFSVKLQTVEDDVQLHFHNYGMHGQAGKNVGDCVNNAESVNHARAVDGEGQVIFHVNGLHGHIENIVGEREMIEPKRDEPPLSPAEGRTDTRRDGTHEAAEKNSKNNFLKPSDRVVTLPQGDGQKSVHETRYIPADSVENGSRDVKEANNGAACNQKKKKMKQKLIEQLEEPVLHGDGQWLSTSGGPHGERDKTSDPTIGMSEQAGDGEQNKTGVLQTSDRTAAVGRSATRPPVNAYDQ >EOX97895 pep chromosome:Theobroma_cacao_20110822:2:3865702:3867243:-1 gene:TCM_006809 transcript:EOX97895 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box zinc finger family protein, putative isoform 1 MKIWCDVCDKDEAVVFCSADEAALCESCDRRVHHANKLARKHSRFSLLHPTFKESPLCDICQVRRAFLFCQEDRAILCRECDLPIHRTNEHTQKHNRFLLTGVKLSSSSSSPCLNPTSSSSNGHNATTIDSETKSSQSCKRFRSVSNNEIFSSPSIEKPLPSTTDKVEDNCTSDTVSISTSSISEYLMETLPGWRVDDFLEPSSAANGFSVWLPQVSPPQSPQLCFLIPQNDLVDGFKELKEANVLNFGCRWNGESLIVPQISSSSSLKKSRLFR >EOX97896 pep chromosome:Theobroma_cacao_20110822:2:3865969:3867033:-1 gene:TCM_006809 transcript:EOX97896 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box zinc finger family protein, putative isoform 1 MKIWCDVCDKDEAVVFCSADEAALCESCDRRVHHANKLARKHSRFSLLHPTFKESPLCDICQVRRAFLFCQEDRAILCRECDLPIHRTNEHTQKHNRFLLTGVKLSSSSSSPCLNPTSSSSNGHNATTIDSETKSSQSCKRFRSVSNNEIFSSPSIEKPLPSTTDKVEDNCTSDTVSISTSSISEYLMETLPGWRVDDFLEPSSAANGFCKTFEQFLPVKGGDCVNLELFSI >EOX98975 pep chromosome:Theobroma_cacao_20110822:2:7961438:7964757:-1 gene:TCM_007624 transcript:EOX98975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein 2 isoform 1 MASNLQKESATADEKSTLTTENKSSKSETSKAETSTGESQPTQGRASPATIPGPGFPAPNPFDFSAMSGLLNDPSIKELAEQIAKDPSFNQMAEQLTQTFQGAAVEESVPQFDAQQYYSTMQQVMQNPQFMTMAERLGNALMQDPAMSSMLESLTNPQHKDQIEERMARIKEDPSLKHILEEIETGGPAAMMRYWNDKEVLQKLGEAMGLAASGDAATSADNSAADEGDEVGNEDESIVHHCASVGDAEGLKAALASGADKDEEDSEGRTALHFACGYGEMRSNPS >EOX98974 pep chromosome:Theobroma_cacao_20110822:2:7960897:7964991:-1 gene:TCM_007624 transcript:EOX98974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein 2 isoform 1 MASNLQKESATADEKSTLTTENKSSKSETSKAETSTGESQPTQGRASPATIPGPGFPAPNPFDFSAMSGLLNDPSIKELAEQIAKDPSFNQMAEQLTQTFQGAAVEESVPQFDAQQYYSTMQQVMQNPQFMTMAERLGNALMQDPAMSSMLESLTNPQHKDQIEERMARIKEDPSLKHILEEIETGGPAAMMRYWNDKEVLQKLGEAMGLAASGDAATSADNSAADEGDEVGNEDESIVHHCASVGDAEGLKAALASGADKDEEDSEGRTALHFACGYGEVKCAQILLEAGATVDALDKNKNTALHYAAGYGRKDCVALLLENGAAVTLQNMDGKTPIDVAKLNNQHEVLKLLEKDAFL >EOX99661 pep chromosome:Theobroma_cacao_20110822:2:13151596:13158540:-1 gene:TCM_008392 transcript:EOX99661 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 3, putative MYVVGSNWIEWSKLKKNEETLGEMGKDETKVEDVEEGEISDSASIEEISEEDFNKQDVKILKESKSSKGGEANSNSRVWTMQDLCKYPSVIRGYASGLYNFAWAQAVQNKPLNEIFVKDFEQPQQDENKNSKRSSPSSSVASVNSKEEKGSSGNLAVKVVIDDDSEDEMEEDKVVNLDKEEGELEEGEIDLDSEPKEKVLSSEDGNVGNSDELEKRANLIRGVLEGVTVIEAEKSFEGVCSRLHNALESLRALILECSVPAKDALIQLAFGAINSAFVALNCNSKEQNVAILSRLLSIVKGHDPSLFPPDKMKEIDVMLISLNSPARAIDTEKDMKVVDGVNKKDPDALPENICHDLTVTNKLPSSAKFVINNKPNALTETLKPGVPNFRNRGISLPLLDLHKDHDADSLPSPTRETTPCLPVNKPLTSGDVMVKSGFMTGKGSHDAEGDKLHPYETDALKAFSTYQQKFGQGSFFSSDRLPSPTPSEESGDEGGDNGGEVSSSSSIGNFKPNLPILGHPIVSSAPLVDSASSSLQGQITTRNATPMSSVSNIVSKSLAKSRDPRLWFANSNASALDLNERLLHNASKVAPVGGIMDSRKKKSVEEPILDSPALKRQRNELENLGVARDVQTVSGIGGWLEDTDAIGSQITNRNQTAENLESNSRKMDNGVTSSSTLSGKTNITVGTNEQVPVTSTSTPSLPALLKDIAVNPTMLINILKMGQQQRLGAEAQQKSPDPVKSTFHQPSSNSLLGVVSSTNVIPSPSVNNVPSISSGISSKPAGNLQVPSPDESGKIRMKPRDPRRVLHGNSLQRSGSMGLDQLKTNGALTSSTQGSKDNLNAQKLDSQTESKPMQSQLVPPPDITQQFTNNLKNIADIMSVSQALTSLPPVSHNLVPQPVLIKSDSMDMKALVSNSEDQQTGAGLAPEAGATGPRSQNAWGDVEHLFERYDDQQKAAIQRERARRIEEQKKMFSARKLCLVLDLDHTLLNSAKFIEVDPVHEEILRKKEEQDREKPERHLFRFHHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKVLDPKGVLFAGRVISRGDDGDPFDGDERVPRSKDLEGVLGMESAVVIIDDSVRVWPHNKLNLIVVERYTYFPCSRRQFGLLGPSLLEIDHDERPEDGTLASSLAVIERIHQDFFSHQNLDDVDVRNILASEQRKILAGCRIVFSRVFPVGEANPHLHPLWQTAEQFGAVCTNQIDEHVTHVVANSLGTDKVNWALSTGKFVVHPGWVEASALLYRRANEVDFAIKP >EOX96993 pep chromosome:Theobroma_cacao_20110822:2:855197:857426:-1 gene:TCM_006123 transcript:EOX96993 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPK1-related protein kinase 3, putative MAGSRHCSFPFPGEWVKGKVIGSGSFGTVHLAMNKVTGALFVVKSTESRAGLESLENEATILDGLTSPFVVQSIGKELSRGSNGERRINIFMEYMAGGSLFDVAEKFGGALGEEVIRLYTREILQGLKYLHENGIVHCDLKCKNVLLGSSGDVKLTDFGCAKRLKYMKNNGESGPSKQYAGGTPLWMAPEVLRNEGLDYASDIWSLGCTVIEMATGRPPWSDEVSNPAAAMLKIACSNEMPRFPSNFSKEGLDFLSKCLDRHPKRRCTAEELLEHPFILGRSVRNSSTDDVCSPASILDIGMYDDYDYDSDESESCNEGESLYTNPFSTRHCIQRKGTVRRQQAGSALDSLEDWITVRSGGQLWFSSDPKDICNNR >EOX99546 pep chromosome:Theobroma_cacao_20110822:2:12128411:12133681:1 gene:TCM_008249 transcript:EOX99546 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 9, putative MQGRISLLSPCSRLVVKIIRDMDRGNSLEHTPTWAVSLVCLFISLISFTIETVLHYLTKLLKRRKRKSLYRALAKMKTEMMKMGFISFLLAISEAPISKICVTEAIANSLLPCKDPEEFIEPALSTENQIPGSSESSTTLSVEYDEQESYCEAKGMVSLISREGVMQLNIFISVLAVFHILYCVLTMSLGLVKMKRWKTWEEETRTLEYQIANDPMRFRLTRQTSFGQRHLKLWSNHFLLLWPVCFIRQFSGSASKADYFTLRNAFILANVAEGSNFNFQKFLGRAFDNDFEQVVGIRFWIWIFCILFIFFNAHRFYNHYWLPFLPLVIILIVGTKLQVIITKMCVESHKNSSVVRGSFHVKPGDELFWFGRPKWLLHLLQLVLIQNSFQLAFFAWTLYEYGLRSCFNQGTEDFAIRIVMGVFMQLLCGYLTLPLYALVTQMGSSMNSAIFTESVVRGLKHWHHKAKVSLSRDESTSTKNSPNSSLPDTINALVMAAKNEHPISAISYSCNEITEDDVQPSTTSTPTSEISKEKATPKTTTRGSYDGEISFGSSWRQPEPGNGIGEISPAIEGDTSSSMLTKSDEQHMNMS >EOY00807 pep chromosome:Theobroma_cacao_20110822:2:35328381:35333215:-1 gene:TCM_010743 transcript:EOY00807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A regulatory B subunit family protein MLKQILSKLPRKLSKTADSREHGGNHATYFSVSGGSRSSDLGTGKSGNLSVSPFPAPNSTEDVGWKGANLKPSGNHLFSFYEALPAFKDVPASEKQNLFIKKLNLCSVVFDFTDPTKNLKEKEIKRQTLLELVEYVTSANGKFLDAVLQEIVKMISANLFRSLTPQPRENKVADGLDLEEEEPSMDPAWPHLQIVHEFLLRFVASPETDAKLAKRYVDQSFIINLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKALNNIFFRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKVFLVRALIPLHKPKCLAMYHQQLSYCISQFVEKDGKLADTVIRGLLKYWPITNSSKEVMFLNELEEVLEATQPPEFQRCMVPLFRRIAHCLNSLHFQVAERALFLWNNDHIENLIIQNRKVILPIIFPALEKNARNHWNQAVHSLTLNVRKIFCDLDPELYNECLLKFQEDESKEDEIEVRREARWQRLEELAEKKASGSEAVLVSHKANANGSSG >EOX97133 pep chromosome:Theobroma_cacao_20110822:2:1331704:1344398:-1 gene:TCM_006230 transcript:EOX97133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPQAKKMFSKSLTDTDIKKRLAIPVKILPSLPDFNRSHAVTIPLMYGTKMWPIVCSVRKNGYKKPVFSRGWRNFVICNDFHVGEELTVYKVKDEAGAFHYRVEVEKPATPSAALSAGALSSNHEIDKTTGTSRTKIGNFRHKQQQRRKADAPVKQEGAFMELADAAADAPVPFVDHVIAKPPGGIFATSVIDEAPSNPHFKLEHETETKLGICITMVREPPLHACYMTKEERDIKAPFDLNGGGSLAAYCTSQAVGEAYSTSTGRVSLDLVLGQPSPYDGVANLDLTLAQPVAATIDGSVCSLKTMVGSYEFKER >EOY00490 pep chromosome:Theobroma_cacao_20110822:2:32981439:32982757:-1 gene:TCM_010371 transcript:EOY00490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQVMSSSLSLSPSFNKYSGTGFSDIAGKFTHDFGVKLQLTTEKPNKEQEEAPSNQPEMEEEIEEEEEDEEFSFVCLNPGGSPISADDVFQNGQIRPAFPLFNLDLLFADEDGSVLKSEDADVSLRPPLRKLFVEDAPDTTSSSSSEPAGPYCEWRRDGKTVEETSPDRCKKSNSTGFSKLWRFRDLMLRSSSDGKDAFVFLNHPPPSSVKTEKKNEKEEKNAKLKVTGEKPKVKKEKTVKTTSLSAHEKLYVKNRAMREGEKRRSYLPYRQVGFFTNVNGLSKNVHPF >EOX99764 pep chromosome:Theobroma_cacao_20110822:2:15717194:15722851:-1 gene:TCM_008653 transcript:EOX99764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHRCFFEVMLEMGSFGLVDLKMMMEEVEALKRPKKIMSEMEVKMKELEFRLWELKSAVMELKGKKSKELMVWVTKQEKKELEYD >EOX97741 pep chromosome:Theobroma_cacao_20110822:2:3264350:3265277:-1 gene:TCM_006676 transcript:EOX97741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein MERRLILTWVLVLCTAMAPCLGYYSHSKPYVPPREKVTKLHFFFHDIISGQNPTAILVARPNFTTAFNDTPIPFGSVYATDDPITVGPNLTSGVIGNARGLWTSTGQDVLTLVVYWDIGFTQGKFNGSSISVFSRNPITQTERELAIVGGRGKFRMAKGFAQLRTYFADFSTGDAVVECNATVIHH >EOX99102 pep chromosome:Theobroma_cacao_20110822:2:8523007:8525228:-1 gene:TCM_007717 transcript:EOX99102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter 11, putative MRGDLGGTTLAYKTMNDKTRYESEYCIFDGQLLTLFTSSLYLAALVAFFFVSMVTSLFGRKASMCFGVLAFLVGSILNGIAMNTELLIIGRLLLGVDVGFANQSVPVYLSEMPAKIRGATQHGFLNGHYSSNSSSNTPNSILERGHTEKAKEMLKKIRGIENVDREFQHLVDASEAAKKLTLVSDLNYSAKLALTWSAEFAAYSLHDLLIMF >EOX98406 pep chromosome:Theobroma_cacao_20110822:2:5672942:5674295:-1 gene:TCM_007176 transcript:EOX98406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin domain-containing protein 9 MENPKVQEILEKQVLTVAKAVEEKIDEEIAAMDRLDSDDLDAIRERRLQQMKKMAEKRSRWIGLGHGEYSEIPAEKDFFSIVKASERVVCHFYRENWPCKVMDKHLSILAKQHMETRFVKIHAEKSPFLAERLKIVVLPTLALIKNAKVDDYVVGFDELGGTDEFATEDLEERLGKAQVIFSEGESSLHASKSGAQTKRSVRQSSNADSSDSD >EOY01847 pep chromosome:Theobroma_cacao_20110822:2:41127938:41130530:-1 gene:TCM_011652 transcript:EOY01847 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS (ERD1/XPR1/SYG1) family protein MLQIVLVILFCPFDIIYRSTRFFFIQSLFRCLRAPLYKVTLSDFFLADQLTSQVQAIRSLDLYICYYSLGEVSQRQDKCHGHGVYNVLYFAVALIPYWTCLLQCLHRLFEEKAAVHGYGGLNYFLTIVAILIRAAFELKKGTVSSAVTTILSTYLELVMDWGLLRRHSKNAYLRDKLLVPHKSVYFAAMALDMVLRVAWVQIENEHLNNVGKLWALKSVPLPCNYIDEDSTKGD >EOX97418 pep chromosome:Theobroma_cacao_20110822:2:2219597:2224693:-1 gene:TCM_006434 transcript:EOX97418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein, putative isoform 1 MGKVSTILQGLKPAMVMVVVQTTFAGMNLLYKLAANDGMSLRIITAYRFVFAVPVMVPLALLVERKRPKLTWAILFQAFLCGLFGGALSQNLYIASLALTSATFVSAMTNLIPVTTFILAVFLRMEKLAFGTMAGKAKVLGTLIGIGGAMLLTFYKGVQIKMWSTHIDLVHHGGHGASSHSASAHILWGALLAFTSCITYTLWLIVQAKMSKKYPCPYSTTALMCVMGAIQSIVYALCTERDWSQWKLGWNVRLLTVAYAGIVVSGLTCVMVCWCVRIKGPLYGSIFNPLMVVLVALAETLFLGAKLYLGSILGAVLIVLGLYVVLWGKGKEMEKVAAPSKEGPSTRSLPGDESIEITINSPNGNSSNNNQNNAAATAWEGPCGAWPLSLRWQIRSSMQFNGKRKTKHRKKKMVMMKKKMIVAVLIFCIITSQMENVEPQVDCYDACSTGCVQSNTRLMQRCERKCQIKCGPDSKLEGNLG >EOX97419 pep chromosome:Theobroma_cacao_20110822:2:2221358:2224280:-1 gene:TCM_006434 transcript:EOX97419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein, putative isoform 1 MGKVSTILQGLKPAMVMVVVQTTFAGMNLLYKLAANDGMSLRIITAYRFVFAVPVMVPLALLVERKRPKLTWAILFQAFLCGLFGGALSQNLYIASLALTSATFVSAMTNLIPVTTFILAVFLRMEKLAFGTMAGKAKVLGTLIGIGGAMLLTFYKGVQIKMWSTHIDLVHHGGHGASSHSASAHILWGALLAFTSCITYTLWLIVQAKMSKKYPCPYSTTALMCVMGAIQSIVYALCTERDWSQWKLGWNVRLLTVAYAGIVVSGLTCVMVCWCVRIKGPLYGSIFNPLMVVLVALAETLFLGAKLYLGSILGAVLIVLGLYVVLWGKGKEMEKVAAPSKEGPSTRSLPGDESIEITINSPNGNSSNNNQNNV >EOX99830 pep chromosome:Theobroma_cacao_20110822:2:17096844:17102177:1 gene:TCM_008793 transcript:EOX99830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQVLKPFICVIEKIEQLFNNFLWGGSASSKKIHWAAWNKITLPSSEGGLDIRGLGDMFEAFSMKLWWRFQTCNSSWSKFMKAKYCYGRIPRYTQPKRHDSQTWKRMLACCPVIEQHMRCKIGKGELFFWHDCWMDDEPLINHFPAFSSSMTQVCYFFNNNEWDVDKLNTMLSEKMVAEILKIPFNTSSTDMAYWVPTSDGDFTTKSKHNCQIAAGGGLFRDHTSTMIFGFSENFGPYNSLQAELMALHREKKTRLQIISQIKGICTKTYM >EOY01251 pep chromosome:Theobroma_cacao_20110822:2:38631421:38633674:1 gene:TCM_011194 transcript:EOY01251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKNLNQTSEGQIIAGNVKQDDSEGKSGKSCGQSLRSPPLDDSSNGWDPNSGLISLVKALEASEPNQGSGQLIHSSPPDLANNPSGLDFIVPKHNEYSLGDESVSDLLAEIEAMESLNGLASLTSILRCDGHLAQGSELDCLSRIGGLSPALNLGQSDALSSTNDLQKPSQSTVTNEPFWVSQSEVVDTQKSSDGHSSTGVDMDEDLRPSDVSVNQYEAGSKMSGNIPGEWQHQFRHFCRESAIFGEANKGTLVQGTVIFKAENQVWIGAGPYGMLKSGNVLAFAQLQQRTADNLGGAAPFVKLLFLFCNILSVVFIFHRCTVIHMELVLSDLCPKGSEFVNFRNAGIARRENHVVIGTLES >EOX98881 pep chromosome:Theobroma_cacao_20110822:2:7618386:7619641:-1 gene:TCM_007551 transcript:EOX98881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Undecaprenyl pyrophosphate synthetase family protein, putative MTLHMEKGGISRSARRSLWKLSYLQRKCIFSVLSVGRLPIHIAFIMDGNRRYGEQRNLKEGAGHKAGYLALMLMVIYCCELGIKYITAYAFSIDNFKRGPDKVQYIMDLMLEKIELELSKKDSLFSRYGVKVHFSGNMELLSDPIKDAAKRLMVATANNSNTLLTVCFAYTSRNEILHAIQETCQEKLAQIQLQESISEGRISMCNPGQGNDVLKLADIEKHMYMAIAPDPDIIIRTSGENRLSNFLLWQSANSCLISFSQLWPGISCWHLVWAVLNFQRNHYYLEKKKKKQI >EOY00832 pep chromosome:Theobroma_cacao_20110822:2:35459302:35462085:-1 gene:TCM_010764 transcript:EOY00832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lojap-related protein MWAALRSRYLHLSATSLPSSSLTDRSWKLGFSGLNRTFSSSSAESSGKELLSLQEVEKVLRDVRADDVTVIPVGNQCDWADFMVIATGRSTWHIKNIAQALIYKVKQKQKGAKRLVLPSVQGQETGKWIVVDSGRVIVHALDEKARAYYNLENLWTPRTVLKEPVEELTKAFVKVRRINNSKKPAQRSA >EOX98553 pep chromosome:Theobroma_cacao_20110822:2:6149951:6151404:1 gene:TCM_007280 transcript:EOX98553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein MLLPSLQLLTPKTPTPLYLKFRTSHRENIRYLKAIGIIDPNAKPRNLPSPQTVDQVLATINFLKSKGIHDQDFSRLASLCPQLFSTNFDTSEIEPVFDFLITDLHASVEESRGLVVNCPDLLFSNAEYCLRPTLEYLRALGVEKLNEPSKQKAFLLNTRVEKLKVKIRFLRSIGFRYEEAASFCARMPAIFGYNIDDNLRPKYEFLVGEMERSLEELKEFPQYFGFSLHKRIAPRHWHLKQRNVRIKLNRMLLWGDERFYSKWK >EOY00074 pep chromosome:Theobroma_cacao_20110822:2:25025186:25035123:1 gene:TCM_009535 transcript:EOY00074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MSLPRVLIVSRPTVRKYKFVDFVGEYHLDLIVSYGAVPVIAPRVSGVHMLLQSFEPIHGLRLCEGEDIDPSLYDAQLSDFSTEELEEIRQLHAIYHDVEKELSKKNLGEQRVDHINYDNYDGHRHVVKVVKNTPLHQWFQDSLDETEMEIMVNSYHHQGTKKLAPRFVPLAFAPDGLVEGYYDPDAYNPEEENEANQVNVALVSFANASHNIAIDTKSPYFLHSSYHLGLTFVTHPLNENGENYFTWRRSFLNALRSKNKTGFVDGTIVKPNVNSQDYDSWVQCNAIVLSWLTNALAKEIQSSAVHADTAHEVWADLQERFTQGIAPRIYELRRAIALLQQEKSSISSYYGKLKTIWGELQASNPIPVCTCGCTCGAAKKMEDMREQEKVFDFLMGLDDTFSTVRSPILSVDPLPSLGKAYSIATQEEKQRQVAANRVPTIEGAAFLAGQVTGDQAMTSRHAVANNKRSQNRRLEQKTEPVRCTHCGKPRHTKEQCFEIIGYLENWNRRPSDRSRSRGGRRVDQSSSSSALAATIGDSNSSIPTSGIKAQQQQILQVLAALGLTFEEADWSGRATWVYLMKYKSETRDYLLHFYQWVRTQFNTQVKIVRSDNRMEFKHNDLLTYYNENGIERQTSCTNTPQQNGRVERKHRRLLEVTRALRFQAHRPIKFWGECVLTTACLINRMPLSVLKNKTPYEILFGRSPTYQHLRTFGCLCYGLITNKSSDKFAPRSKPVQGSSMIESHGDSGSHVETESCESYDTARSGELNDMGTLDEIGQRHSLSNKECTIDSNGSLGQQIEQPSTATGTTVTADTSVMTGKRARQIPRKLADYDFVLPPSLTSSSSTHTPTPKANSTVYPLSQFISYSRFSRDHNAFLAAILSTDEPTNFHQAIKYAHWQDAMAKEISALEENKTWVLSKLPPGKRAIDSKWVYKIKYNLDGSVERYKARLVAKGYTQIEGVDFHETFAPVAKLVTVRCLLAVASVQNWELHQLDVNNAFLHGDLNEEVYMKIPQGFTRKGEQRVYHSLFLFHRGPAFIAILIYVDDVIITGNDSDRIVKLKRYLDKKFRIKDLGKLKYFLGIEVARSPSGIVLSQHKYVLDILSECGLMGSKPLSFPIDQQHKLAYDTGPFCSNPELYRRLVGHLLYLTITRPDISYVVHLLSQFMHNPRQPHLNAVFRVLRYLKNAPGQGLLLPSNNSLSLRAYCDADWVGCPTTRRSTTGYIIFLGSSPISWQSKKQTVVSRSSAEAEYRAMATTSSKIIWLIRLLRDLQVPCSNPVPLFCDNQAAIHIAANPVFHERTKHIEIDCHFVRQHIQSQTLIPRPITSKFQLADIFTKALGRDRFHELLSKLERMRQPDSDDFDYPQCACAYQEFVKAVIAYEKKLSSSVSVPKTLQLNQEMEKKRKIIVQTVGKHPIEFTEENRLKQVGATVRNGSTYKEKLKMNEERKEVARAIMGKMSIEQRSDLETFYNNMSQICSEALDRKLQDLEDEES >EOY02197 pep chromosome:Theobroma_cacao_20110822:2:42297564:42298789:1 gene:TCM_011902 transcript:EOY02197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMQHGIRTMNQLTLMPRKSAADFAMMFANSLKLMTPSPLVSASLIISVSSRYVKGCPILDMDPASSAAVMNPLPSRSNDRKTSNNCAWLIKTCSLMSGIMALTNSSNSTKPFPLASMLCSKMWSWSPLGLRPKERKRAASSRCVRLPSESMSNRMNMSLSCLSWCWDWLVVMVGMRVRDIREGTRRRNY >EOY01852 pep chromosome:Theobroma_cacao_20110822:2:41139676:41142041:1 gene:TCM_011657 transcript:EOY01852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinanase/levansucrase/invertase, putative MEAVPAAPMKAVNFPATSAVPRATASATLASAWPQNKLNMLTLYAPNPTTRFSSLSLTRCSTKPNTDTNNETDQNSTFEANPNPDNENPTRHVSNEAVPSSSTPSSSLSRGLVLDLGTVDSWDCREIGSPVVKRFLSDEEERWYMWYHGVSNGKPGSDSIGLAVSSNGVHWERGKGAVKSSADVGLVMNCGNDWWAFDTKSIMPGEVVIMSSAKVRASSAVYWLYYTGYSSEQVDILGNSSGFNVQNPERFCVDVSRSSGIGKIFRSLPGLAISQDGRHWARIEGEHHSGALFDVGSEGDWDSLFIAAPQVVFHGYGDLRMYYHSFDVKNGEYCIGIARSRDGMKWIKLGKIMGGGKRSCFDELGATNPCVVKNKKDGEYIMAYEGVDADGLRNIGLAVSPDGLKDWTRLRDEAVLKPGTDDGWDNEGIGSPCLVGMDGDVDEWRLYYRGIGNGGRSGIGMAVSDGSEITRFRRWTGFQVPS >EOX99450 pep chromosome:Theobroma_cacao_20110822:2:11337405:11340185:-1 gene:TCM_046723 transcript:EOX99450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein PWKNPLSPFFLCFFFLLSSHSYIHQISLHFTASTKTTPSPTVSPSRLFSFQRVFINHAPDYSATVFFLSHENHFNVLTLNVVSSELVDMEVQDQNEHNQEKKMLPPTRGTFQSREELLKHVRDFALTQGYMVSIRDSAKDRYVTVACDRGGVYRKRLKNGENMRQRKNASRLTNCPFEVVGKKDDDVWTLTVKNGEHNHEPSNTSDHPSCRRFTEEEVLIIRDMSAAGKRPRQILKALSQRNPNLVSDSRNVYNVKAKIRRGSLSGEDIQAKLALAFHAPTAT >EOY01168 pep chromosome:Theobroma_cacao_20110822:2:37968260:37969931:1 gene:TCM_011097 transcript:EOY01168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylyltransferase superfamily protein MNPTLAKLSAPSPSFLHLKSLSSSTSSASFLAFPAPKPFRLRASSAKSDSFPSSNPNKHTQPLTQKLQCFAKTAILLGATALMIGKFSHFPAKAESPPTMTEQETSGLEEGEQQEEDAQNPEQTSPLSEFLGSNNEAIEALKYLLEQKLENGEDEEALTILNRLVSAQPQVTDWKFLLARLLSEMGQTENARKVFEEILRTNPLSFEALFENALLMDRCGEGEAVIKRLEEALTIAQDEKKVKEARDVRLIMAQIQFLQKNVEEALRSYQQLAKEDPSDFRPYFCQGMIYSLLDRNAEAKEQFAKYRELSPKKFEVEGYLRTSLSRMKLFGTNES >EOX97977 pep chromosome:Theobroma_cacao_20110822:2:4146608:4151684:1 gene:TCM_006856 transcript:EOX97977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase family protein MDSCCVALRANSHLVNARKGGFGNGNNGFWGERIRGSLNNSLWVNQLANSLRTDKKEKKIKTGAFAVLTSNTPREAVTLQPRRIERRRVDPKNVASIILGGGTGTHLFPLTKRAATPAVPVGGCYKLIDIPMSNCINSGINKIFVLTQFNSASLNRHLARTYYGNGINFGDGFVEVLAATQTSGEAGKKWFQGTADAVRQFIWVFEDARNRNIEYILILCGDHLYRMDYMEFLQRHVDSDADITISCLTVDNSRASDYGLVKIDGRGRIVQFAEKPKGADLKAMQTDTTLLGLSPQEAMRSPYIASMGVYVFKTDVLLKLLRWRFPTSNDFGSEIIPAAVMEHDVQAYIFRDYWEDIGTIKSFYDANLALTEELPKFEFYDPKTPFYTSPRYLPPTKIDKCQIKDAIISHGCFLRECNVQHSIVGERSRLDYGVELQDTVMLGADYYQTESEIASLLAEGKVPIGIGRNTKIRTCIIDKNAKIGKDVVIVNKDDVQEADRPEEGFYIRSGITIIQEKATIEDGTVI >EOX97872 pep chromosome:Theobroma_cacao_20110822:2:3771348:3773636:-1 gene:TCM_006795 transcript:EOX97872 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 5, putative MEYCMEARALKSSVRGELAMQRTQHAALDDILYMNGAAPGEDFSVDCFLNFNNGEFEEEEQKDSFSVSSEERVADDDSNSNSSSFSFDSLLTNELSVPDDEIAGLEWVSHFVDDSFPELPILCPVFKPQSDGHAKTLFETEPELVFMKTPSFSSTVPSKARSKRAKSTGRTWSVGSMPLSESSSSTITSSSTSSGFSVTSANVQETDLANDFTEPPTKKQKKKPAVQASGLSSGNPFQRRCSHCQVQKTPQWRTGPLGAKTLCNACGVRYKSGRLFPEYRPACSPTFSGDIHSNSHRKVLEMRKRKEVAGQEPELTRMIPSF >EOX98321 pep chromosome:Theobroma_cacao_20110822:2:5343416:5345534:1 gene:TCM_007108 transcript:EOX98321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthocyanin 3'-O-beta-glucosyltransferase MASKSRQLHIVFLPQLAHGILIPTVDMARLCARHGVKVTIATTPLNAHLFAKTIQRDRESGFEISTYIIKFPSAEVGLPEGCENASSLTSQEMQTKFLKAANLFQQPLEQLLAELRPDCLVAGMMFTWATDVASKFGIPRLVFHGTSCFSISILDSVIRHAPYKKIATDFEFFDVPGLPDQIKMTKMQLPDFIKEEEGSERRKMLNEALESERTSFGVIVNSFYELEPAYREHYSKVMGKKAWQVGPVSLCNKDSEDKAERGNVASIDRHECLRWLASKKPNSVLYICFGSLSRFSAAQLNEMAKGLEASGQNFIWVVRKVNDEDKEEWLPEGFEERMEGKGLIIRGWAPQVLILDHDAVGGFMTHCGWNSTLESITAGVPMVTWPLQAEQFFNEKLIVDVLKIGVCTGAKEWSRWADDTKIIVTSEDIERAVTQLMVGEEGEKMRNRTRELKDMARRVVEEGGSSYSDLNALLDELRLNCLPK >EOY01607 pep chromosome:Theobroma_cacao_20110822:2:40227538:40229659:-1 gene:TCM_046725 transcript:EOY01607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MNSMGKLLILVAGSFFLYFLRALLKAFYNYWWIPHRIQNILRSQGIKGPPYEFIHGNNKAVVESIREASSKPMALTHDIFPRVLPHIYSCINTYGKIYLSWNGVRALLVITEPDLIKEVLKNSEKAFPKRKVTYFLSKILGDGLATTVREKWARQRKLANYAFHGESLKNMTPAVIASVETMLQKWKGQEGKEIEVFQEFRLLTSEVISRTAFGSSYLEGEKIFETLMKLSVIASRNLYKARIPGISYVWKHADEIESEKLAKEIHDSVMKIVKQREEKVVAGEAESFGSDFLGLLLNAYHDLDEKNRLSVEDLVDECKTFYFAGQETTNSLLAWTVLLLAIHTDWQEKARREVIEVFGNQDPHPEGIAKLKTMTMIINETLRLYPPVNGIARRVGREVQLGKLMLPTNIEFSIPNMALHHDPELWGDDVHLFKPERFAEGIAKATKHNAGAFIPFGMGPRSCVGMSFAITETKMAIFMILQRYNIALSPAYVHAPLALLTLRPQHGIQLLFHSLPNDA >EOY01098 pep chromosome:Theobroma_cacao_20110822:2:37640150:37644295:-1 gene:TCM_011051 transcript:EOY01098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRGQERCKEAYVSRTGHILVEFKTSSAINIIKKMESCLSLSKTISAPLVPFGDRYPFGCRLCHARPSVIVYNNNTITIFAPADESFSDDEHWKVLEYQFVTAKVDKEAFDSGALQLGSELLTCHSYCNVLVNGYGSINNVNITHWKNIYDDGHIIVHGCFLFAIIFLLIHRRRQTSPNETNPSQYVSTESDGLSWDQLYSNFKPRPDSHKVVIRVAKITKALWLTGFKLMALILARNLPSLVPYADSDFETQEDGTYKKNNNTITLYNGSKITIFVPPNEAISFKEWNRIRYRYQIAAMKIDSGDFAGSLSKQRFITLPAFGRTWRGRNEEENVC >EOX99751 pep chromosome:Theobroma_cacao_20110822:2:15474667:15477039:1 gene:TCM_008624 transcript:EOX99751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIIGKKIGLLFTGIVIILQVDVVRFLVFKRRQLLKVKGDELKILLVLEFSEFPTTEEVEWYSLILYKLILNMNILSAFAATCQRKYSEYSHEEICFIPRIWLLHFGGNLAVI >EOY01874 pep chromosome:Theobroma_cacao_20110822:2:41244631:41249365:-1 gene:TCM_011675 transcript:EOY01874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casparian strip membrane protein 5, putative isoform 2 MLLVMRKIQICNGLEVCINATQITKGMKTGPLESGESSSDATPAKLMISVVAVLDFMLRILAAAGTLGSAMAMGTTEETVPLFPQSILLNAEYSDLPMFTFFVIANSVACAYLVLSLPLSFYHIIRTAAKSSRIILVMFDTVMLALVTAGASTAAAIVYLAHKGNANANWFAICQQFNSFCEHTSGSLIGSFAAAFVLILIIITSAIASSQW >EOY01875 pep chromosome:Theobroma_cacao_20110822:2:41244677:41246390:-1 gene:TCM_011675 transcript:EOY01875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casparian strip membrane protein 5, putative isoform 2 MAVCRRSLFSNNSTELAKHYAACHASSSSQNYSKFWRFSKKATQITKGMKTGPLESGESSSDATPAKLMISVVAVLDFMLRILAAAGTLGSAMAMGTTEETVPLFPQSILLNAEYSDLPMFTFFVIANSVACAYLVLSLPLSFYHIIRTAAKSSRIILVMFDTVMLALVTAGASTAAAIVYLAHKGNANANWFAICQQFNSFCEHTSGSLIGSFAAAFVLILIIITSAIASSQW >EOY01649 pep chromosome:Theobroma_cacao_20110822:2:40500859:40501402:1 gene:TCM_011498 transcript:EOY01649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b5, putative MTVTLPKAFKVSCQAASRLPSSTNLWQGKDATDDFEDAGHSKSAKELMQNFCVGELDTPSAIIPELEISSKKETADYSQKLMDLTKQYWGVPVAVVGISVVVGFLYLRKK >EOX97309 pep chromosome:Theobroma_cacao_20110822:2:1955681:1957086:-1 gene:TCM_046996 transcript:EOX97309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine sulfoxide reductase B 2 MGLHILKTTPFSSSSSKALLFTTATAAKPAIFSRFLNSYWRTASNPVLPSNSRLRFPSSSPRAVTCSISSSGSGRFLHQSKRRFRGSAVVAMAASGSVQKSEEEWRAILSPEQFRILRQKGTEYPGTGEYDKLFEEGVYNCAGCGTPLYKSTTKFNSGCGWPAFYEGLPGAINRNPDPDGMRIEITCAACGGHLGHVFKGEGFPTPTNERHCVNSISLKFVPANS >EOY01981 pep chromosome:Theobroma_cacao_20110822:2:41592625:41597443:-1 gene:TCM_011756 transcript:EOY01981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein MDFLVSILLSFLQYLISILHSLRQVYLVNREIERVFCLSIDHPSRRDKRAVWFQKMEACLTKCCNINSLLRALNPFRSSSMSIHFRSVRNSVKKAYDGLLLDAAGTLLQLPKPVEETYAAIGAKYGLKLSSAEIKQGFKRAFAAPWPEKLRYEGDGKPFWKLVVSEATGCSNDDYFEEVYEHYANGDAWRLPDGAYDTIFVLKNAGVKVAVVSNFDTRLRRVLKDLNVIDLLDALIISSEVGYEKPDARIFKAALDQIGLDAGKVVHVGDDQRADKVGANAVGIDCWLWGQDVKTFADIQTFLLISDSS >EOX96987 pep chromosome:Theobroma_cacao_20110822:2:828680:836399:-1 gene:TCM_006110 transcript:EOX96987 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein MRRSDYSGKAITLRQEVERLVEEGDFQGGFLVDKPPEAIVRLNAPDLEGFPTRQRPLQETLELLRSDKLKGIGIFGTVGVGKTAIMKNLNNHEEVAKMFDIVIWVNVSREMNEEKLQLKIAQRLKLKMESATCSGDLARIISEHMKDKKYLLLLDEVMDSIDLQQIGIPDNGNGSKVVLTTEFRHVCSSMTERMVKVDRLSPDEAWRMFQQTAAEKIDLPDVEPVARLVAEECDRLPLVIRTVASSFKLKESDSEWRNGLRELEKWPEIEIQGLTNMHAFLKFCYHELKDEKKKKCFLYGALYPAGSKIYVDHLVECWAAEGFLGTIDDRRRFRDARDEGYDILGHLVNVSLLEKGERMIYVQVNNSVRQVALYISSQEPDCKFIALKGEHSPYPQNATDWQQAKRISMIEGKLLELPESPNCEELLTRIASLPLSVSTLTRLKALFLNDCPSITKLPTQVAELRFLEVLDIRGCKIIFIPPLIGKLVYLRCLRMSYHKCSNTEDCRDVEIVDNVISRLLRLEELMIDVTSYGHWCVDVARVIQEVASLENLTTLRICFPQPEILKMLMENKRSYRDHKQLTSFWFFVGCENKNNPPILDYFDHNNIKCLSDFMNVASLNHVRGCLIERCNEMTTILDGNKVGVIDILPILEQLHLRSLLCLKSVFEGPIAGKSLSKLHTIVVKICPMLRKILSNGVIQQLSKLKKLAIESCFEVEELIENYWGVEPFSYELPSLEILELIDLPKLRTICAGQPLAWPYLKVLKIFGCHELKSLPFNEDISTKLKLIEGEQIWWEALQWRNSEPKYGNGSKVVLTTEFRHVCSSMTDRMVKMDKLSSDEAWRMFQQIAAEKIDLPDVEPVARLVVDECDRLPLVIRTVASSFKLKDSDSEWRNGLSELEKWPKIEIPGLTKILLLECWAAEGLLGNIDDRRSLRDARDKGFDILGHLTNVPLLEKGERMIYVQVNNSVRQLALYVSSQDPDCKFFAPKGETSPYTQRLKDWQQAKRISMIEGKLNDLPAIMEGSRTINLILVLVGCESDNNPWILHCLEYNVNRYMRYCCPGNDDSTVRDVLPKTDALELIGHNNIKCLTDFMNVASLNHVRGCLIERCNKITSIWVILIEMIEEQLGPWRITHTLREANQEADILAKNAANFGSGTL >EOY00706 pep chromosome:Theobroma_cacao_20110822:2:34610591:34611525:1 gene:TCM_010639 transcript:EOY00706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPQQITQLQLSLSSGKHPAFTPPIFFLCSSLSSCAGSRTPLPHSLFFWFNSHSLFFWFNSPCCRFSPCCRFSLLQVFFAAGSLCCRFSPLPVLSSRCRFSPLAAGSLLSLQVLPATGSLLCRFSPLPVLSSRCRFSLLAAGSLLSLQVLPAAGSLLCRFSPLAAGSLLSLQVLPLPFIPDFWALEGALHYPARREKFASGR >EOX97323 pep chromosome:Theobroma_cacao_20110822:2:1992509:1993513:-1 gene:TCM_006387 transcript:EOX97323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEVVLSLRGMLAGSMRGALRVIKVKGIGPGFIPLKHFHFLRLYFLGFLIRETEQLLLVTKEKRLMGMLFGSRSSRLIFISMKFSWINFTFFSGKVSAIHIALYDLGPGFIPVNQNNFLSLVLSRFIRCCIQAMFLVKCPPFFVC >EOX97325 pep chromosome:Theobroma_cacao_20110822:2:1991583:1993513:-1 gene:TCM_006387 transcript:EOX97325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEVVLSLRGMLAGSMRGALRVIKVKGIGPGFIPLKHFHFLRLYFLGFLIRETEQLLLVTKEKRLMGMLFGSRSSRLIFISMKFSWINFTFFSGKVSAIHIALYDLGPGFIPVNQNNFLSLVLSRFIRCCIQAMFLVKWND >EOX97324 pep chromosome:Theobroma_cacao_20110822:2:1992320:1993513:-1 gene:TCM_006387 transcript:EOX97324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEVVLSLRGMLAGSMRGALRVIKVKGIGPGFIPLKHFHFLRLYFLGFLIRETEQLLLVTKEKRLMGMLFGSRSSRLIFISMKFSWINFTFFSGKVSAIHIALYDLGPGFIPVNQNNFLSLVLSRFIRCCIQAMFLVKCSPPFFVC >EOY01440 pep chromosome:Theobroma_cacao_20110822:2:39451653:39452588:-1 gene:TCM_011327 transcript:EOY01440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein MADSDRSSDDISVDSREESTQDSKLEFSEDEETLITRMFNLVGERWSLIAGRIPGRTAEEIEKYWTSRYSTSE >EOX99221 pep chromosome:Theobroma_cacao_20110822:2:9252620:9255371:1 gene:TCM_007829 transcript:EOX99221 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like/FBD-like domains METRSAKRKKFWFEGDNGIDRISDLPDVVLHQILFFLPIKTIAQTSVLSKRWRSLWSSFPDLDFTSIDPTGVSSTNIKPSNEKRSSLHSLSAKRMDFISQVLALRDKHSDLRILRFRAPLSFSRLNGLIRRAIRQNVQELDVEVATDDYFNFPRSVITSESLRVFKLRSRYPGFRLPPPPIMKGGFRSLQTLSLSLVILYSQPSLLDLFTDSSFPRLKKLNLDACFGLKHLKVSCLVLEDLTLENCFQLHALDVSGAKLKRLRVASCFDAYCDKSWVKINAPSLRNMVWEYNAITETRSLENLTSVHEVSIGFFLLHEDVSVAKLQSVSNLLSGLSQVHCLTLESQCVEILSSKNYISNVMHPFNNLKSLELHTGFNKHNVPGLAYLFKSSPTLHTLILRIVNDYKIERRKWNKDLWDMPTSEEEQFWESQTQTLKSFLNHLSVVKIHGFLECENEVSLAKFLLKHGKALQEMTLSSGHCNYRDSLRRQKIRSQMMGFSRASSNAKIAFL >EOY01291 pep chromosome:Theobroma_cacao_20110822:2:38847854:38853472:1 gene:TCM_011230 transcript:EOY01291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIETGLLEQSNSGMWGYAERAMGSDDRVWSVKRCPTFGGNYGQCSVLVDMFFFFTDVIRSEPGFENSSTVEFMFLGLVDRLTILLMSAESFVSVWFLARISLFMSSNIFRQMS >EOY01604 pep chromosome:Theobroma_cacao_20110822:2:40209194:40219147:-1 gene:TCM_011456 transcript:EOY01604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secologanin synthase, putative MSSMAANLSALLTGSLCLYLVIALIKILHKYWWTPLRIQYAMNLQGIKGPPYKFIHGNNKETVKMKQEALSKPMASLSHDIFPRVNPPVYSWINSYGKNYLSWAGTKAQLIITEPELVKEVLKSSERAFPKRTSRERKRNEDEFAIKILGDGLVTSEGQKWARQRKLANYAFHGESLKSMTPALIASVETMLEKWKQLEGKEIEVFEEFRLLTSEVISRTAFGSSYLDGKKIFDMLLKLSVLTNRNMFKTKFPVISNFRKTADEIESDKLVKGIHNSVMEIVKKREEKVVNGEANSFGTDFLGLLVSAYHDADKKNRPSVQDLVDECKTFYFAGQETTNSALAWTVLLLAINPDWQEKARREVIEVFGNQNPHSEGIGRLKTMTMIINETLRLYPPVSGMARKVENEVQLGKLILPADLHLGVPIMALHHDPQLWGDDVNLFKPERFAEGIANATKHNAAAYVPFGLGPRSCVGMSFAMTETKIVVSMILQRYTISLSPTYSHSPFTLLLLQPQHGIQVLQMTSIAANLSALLTGSLCLYLVMALIKILHKYWWTPLRIQYAMNLQGIKGPPYKFIHGNNKETERMTRDALSKPMANLSHDILPRVNPPVYSRINSYGKIYLSWVGTRAQLFITEPELVKEVLKSSERAFPKRTSRERKWNDDEFALKILGDGLVTSEGEKWARQRKLANYAFHGDSLKSMTPAVIASVETMLERWKQFEGKEIEAFEEFRLLTSEVISRTAFGSSYLDGKKIFDMLLKLSVLTNRNLFKTKFPVISNFCKTADEIESDKLVKGIHNSVMEVVKKREEKVVNGEANSFGTDFLGLLVNAYHDADKKNRLSVQDLVDECKTFYFAGQETTNSALAWTVLLLAINPDWQEKARREVIEVFGNQNPHSEGIGRLKTMTMIINETLRLYPPVSGMARKVENEVQLGKLILPADLQLVVPTVALHHDPQLWGDDVNLFKPERFAEGTANATKHNAAAYAPFGLGPRSCVGMSFAMTETKIALSMILQRYNISLSPTYSHSPYTLLLLQPQHGIQVILHSL >EOY01120 pep chromosome:Theobroma_cacao_20110822:2:37737892:37740002:-1 gene:TCM_011064 transcript:EOY01120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFCHCEGFYVQVFQPPNIVPEPHIPTPLFVQLEVTIILELIRIQHYCSIDYFTDRPDTEPFLAQESLRFDLHVVKDRQRVTEILGSMLTRLGINPYSLVFNVAIGKLIKHGLRLSNCTSSMGRIVLPLHAKLWGTLVEHVNHDQGDPITTALAESALEFETSNCGTLPAKESSIKKILKRVRVEGGESTVKDQRLQDMFGGI >EOY02170 pep chromosome:Theobroma_cacao_20110822:2:42187101:42191580:-1 gene:TCM_011884 transcript:EOY02170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Decapping 5 MKSHLTWCYLIGSNCGNVRWTRAVPSNWTEKTNKAKMRNVASTYWGCSQHRKSIPQNAKPYLDIQEPKRGRRDRVMATENSSSKSGATADSYIGSLISLTSKSEIRYEGILYNINPDESSIGLRNVRSFGTEGRKKDGPQVPLSDKVYEYILFRGTDIKDLQVKSSPPAQPTPPINNDPAIIQSHYPHPVSTSTSMPSAASGPLTDLTSHNTQMGLPGSNFQAPLPLYQPGGNIGSWGAAPPPPSANGTGLAMPMYWQGYYGPPNGLPHLHQQSLLRPPPGLSMPPSMQQPMQYPNFNAPLPTGPANLHSSSLSEVTPPLLPASTGSLNLTSTTLSSSTLPSALPPAPSATLALETLPSSLPIKAPNPVLPPATLGASLPALSPLTTSGPELNSIVPPIANKPNAIPTLPYQSASQAASSVIGVSNSIRMETSTPSLVTPGQLLQSGCTVVPLPQPAATAHKDVEVVQVSSSSSTEPSAPLVSEAQPPILPLPVPSQAAQKPNGASFQPRYGYRGRERGRGTGSSRPVTKFTEDFDFIAMNEKFKKDEVWGHLGKSSKSHSKDKEGDATDEDGSQDEDDAEISKIQAKAVYNKDDFFDTLSCNALDNDSQNGRPRFSEQMKIDTETFGDFSRYRGGRGGRGPGRGGRFRGGYYGRGYGYVGRGRGRAMSMRAP >EOX99889 pep chromosome:Theobroma_cacao_20110822:2:17757733:17760509:-1 gene:TCM_008869 transcript:EOX99889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance family protein isoform 2 MPITSFSLFRNRAPAISTSFLRHLSPNAIRHLAVFAAKQNVSKKPQPNKNLLKAKHTFKDYSSLTHVLSPNETPSLSESQAIGTVAAAQANFMRVIVQREQEPSSNSGKEDSSTKIGLELLCVVKEVLKKIKRRVLVGDKVVVGTIDWVARRGVIENVFQRTSEILDPPVANVDHLLVLFSMEQPMIEPFMLTRFLVEAESTGIPLTLALNKLELVDEEALVAWKNRLQSWGYEPLFCSVETKHGLDSLAFYLRDQTTVIVGPSGVGKSSLINVLRSYLRASDALEGDNWFDPILGSKWFEDQRVGEVSTRSGRGKHTTRHVSLLPLSGGGYLADTPGFNQPSLMKVTKQSLAQAFPEVFCLLTIQKMLKDSEPAKCSFNDCLHLGEPGCIVKSDWERYSYYFQLLDEIRIREEFQLRTFGTKRECDVRYKVGDMGVKQAEPRLEPKKYRRQSRKRINQSILEELDELDDDDSLDLENDPIVRAIKNENQ >EOX99888 pep chromosome:Theobroma_cacao_20110822:2:17757466:17760769:-1 gene:TCM_008869 transcript:EOX99888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance family protein isoform 2 MPITSFSLFRNRAPAISTSFLRHLSPNAIRHLAVFAAKQNVSKKPQPNKNLLKAKHTFKDYSSLTHVLSPNETPSLSESQAIGTVAAAQANFMRVIVQREQEPSSNSGKEDSSTKIGLELLCVVKEVLKKIKRRVLVGDKVVVGTIDWVARRGVIENVFQRTSEILDPPVANVDHLLVLFSMEQPMIEPFMLTRFLVEAESTGIPLTLALNKLELVDEEALVAWKNRLQSWGYEPLFCSVETKHGLDSLAFYLRDQTTVIVGPSGVGKSSLINVLRSYLRASDALEGDNWFDPILGSKWFEDQRVGEVSTRSGRGKHTTRHVSLLPLSGGGYLADTPGFNQPSLMKVTKQSLAQAFPEIQKMLKDSEPAKCSFNDCLHLGEPGCIVKSDWERYSYYFQLLDEIRIREEFQLRTFGTKRECDVRYKVGDMGVKQAEPRLEPKKYRRQSRKRINQSILEELDELDDDDSLDLENDPIVRAIKNENQ >EOX97603 pep chromosome:Theobroma_cacao_20110822:2:2812193:2830178:-1 gene:TCM_006581 transcript:EOX97603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MLSGLMNFLWACFRPRSDRYVHTSSDAGGRQDGLLWYKDTGQHFNGEFSMAVVQANNLLEDQSQLESGCLSSHESGPYGTFIGVYDGHGGPETSRYINDHLFQHLKRFTSEQQTMSVDVIRKAYQATEEGFLSLVTKQWPMKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRAVKATGEVLAVQLSAEHNVCIESVRQELQSLHPDDPQIVVLKYNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYPKFRLREPFKKPILSADPSISVHQLQPHDQFVIFASDGLWEHLSNQEAVDIVQNHPRSGIARRLVKTALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNHVSGASSVKAPNLSVRGGGVNLPPNTLAPCTTPTEAGST >EOX97602 pep chromosome:Theobroma_cacao_20110822:2:2812193:2830178:-1 gene:TCM_006581 transcript:EOX97602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MLSGLMNFLRACFRPRSDRYVHTSSDAGGRQDGLLWYKDTGQHFSGEFSMAVVQANNLLEDQSQLESGCLSSHESGPYGTFIGVYDGHGGPETSRYINDHLFQHLKRFTSEQQTMSVDVIRKAYQATEEGFLSLVTKQWPMKPQIAAVGSCCLVGVICGGTLYVANLGDSRAVLGRAVKATGEVLAVQLSAEHNVCIESVRQELQSLHPDDPQIVVLKYNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYPKFRLREPFKKPILSADPSISVHQLQPHDQFVIFASDGLWEHLSNQEAVDIVQNHPRSGIARRLVKTALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNHVSGASSVKAPNLSVRGGGVNLPPNTLAPCTTPTEAGST >EOY00914 pep chromosome:Theobroma_cacao_20110822:2:35872750:35878317:-1 gene:TCM_010830 transcript:EOY00914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESSWPPSSYDRIYEVTQHMVSAQQSERDCLAKDHISLLPERVHPDIKHNDFTDLLDIWERRKLAKMMGITPREVDQNLRKKGDNECIPWSFLRSYIMMHRDIEQGQLLMTLGIYGLVIFSKVLEHIEVEIIDFFEQVINKANLSPSILAEILRSLNYCRRNGEGRFVGCTQLLSIWIVSHFECKVKRFKKPFHPQSAPIIEFYESEWPENKTTEQWISKFQKLMRVEVTWRAPWMPCRLVLYKYEDEPWVPIMGPWGVINYAPIMVRRQFGSEQFVPMTHRLNTLEFAYGKPSFLKRIEGIAQAWKKTS >EOX99153 pep chromosome:Theobroma_cacao_20110822:2:8730805:8734400:-1 gene:TCM_007750 transcript:EOX99153 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxybenzoate polyprenyltransferase MASFRLSRASRSLLRPSLSSSVSILSSLPSSSTTITNPHFSNHFTRFSPLFPNYENSRDHFRISKENLSFLSNFSPGIAWISTSSGVADRSSKGDQQHSSSGNNKNVVEVPSWIDVYLPRGIQPYAKLARLDKPIGTWLLAWPCMWSITLAATPGHLPDFKMMALFGCGALLLRGAGCTINDLLDRDIDTMVERTKLRPVASGLLTPFQGIGFLGFQLLLGLGILLQLNNYSRVLGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWSAVKGSLDPAIVFPLYFSGVFWTLVYDTIYAHQDKEDDMKVGVKSTALRFGDSTKEWIAGFGIACISSLALSGFNADIGWPYYAFLAAASGHLAWQIRTVNLTCRADCNRKFVSNKWFGALVFSGILFGRVFS >EOX98636 pep chromosome:Theobroma_cacao_20110822:2:6572489:6574435:-1 gene:TCM_007350 transcript:EOX98636 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 78D2, putative MPPTQSLKEQHVAVLAFPFGSHDLTILSLTRRLARAAPNVQFSFLSTAKSNDSMFSAFKLDVPENMRTYNVEDGVPINHVLRGNPAKRLELFLKATPGNFIKGLDVAVLETGRKVSCLVTDVFLTFAADMAKGMQASWIPLCVAVPYNLSAHVYTDLIRRLYNQDGGEVNGANFQHQNLDVIPGLSAMYVTDLSDEILPRDSKETLFSYMLSRIGYVLPQATAIVMNFCQELYIAPLLDDLKSMFPNLLNVGFLTQELPPPPLPPSDSDSTECLSWLDKQKSKSVVYIGFGTVATPPGDELVALAEALEESHIPFLWSLNDNCKHRLPTGFLQRTSMYGKIVSWAPQTHVLGHASTGVFVTHCGANSVFESVANQVPMICRPMFGDHWMNGRMVEEVWGIGVRVEGLVFTKSGVLKSLEIILGHEQGRKMRERIRRLRELVLKAAGPSGSASQDFKTLVETILNS >EOY01840 pep chromosome:Theobroma_cacao_20110822:2:41100761:41106887:-1 gene:TCM_011643 transcript:EOY01840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein MAATLFTLPSAPSPSTFLSSSTTLKHLTAFTTKPTVPIHLTKRNICLSAAFVHSPTTDPPPEVQTFWQWLQDQGVVSAKSPVRPGMVPEGLGLIAKKDISRNEVVLEIPNRFWINQDAVAASEIGSVCSGLKPWISVALFLIREKLKEDSQWRVYLDILPEFTDSTVFWSEEELAELQGTQLLSTTLGVKEYVQNEFLKVEEEIILPNKQLFPAPITVDDFFWAFGILRSRAFSRLRGQNLVLIPLADLINHSPSITTEDYAWEIKGAGLFSRDLLFSLRSPLSVKAGEQVLIQYDLNKSNAELALDYGFVESKSERNAYTLTLEISESDPFFGDKLDIAETNGLGETAYFDIVLGRPLPPALIPYLRLVALGGSDAFLLESIFRNTIWGHLDLPVSRANEELICRVVCDACKAALSGYHTTIEEDEKLMEGGNLDPRLEIAVGIRAGEKKVLQQIDEIFKERESELDELEYYQERRLRDLGLVGEQGEIIFWETK >EOY01020 pep chromosome:Theobroma_cacao_20110822:2:36820655:36834833:1 gene:TCM_010945 transcript:EOY01020 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLP protein 28 MSSLIGKVVADVEIRASAEKFYGVFCNTPSQLSSICPDVIQACHLLDGEWGRLGSITHWSYVHDGQAKVAKLIIESVDSTKNSITYGVIGGDLKNEFNSFTFKIEATQKDGGQSSTLDSWIHKAE >EOX99713 pep chromosome:Theobroma_cacao_20110822:2:14365624:14366183:1 gene:TCM_008510 transcript:EOX99713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein MHYQGDSWGYYVPTRSMGSDPMERVVKLASESAVVIFSLSTCCMCHAVKRLFCGMGVNPTVYELDQDPRGKEMERALMRLLGNSQAVPVVFIGGKLIGAMDRVMASHINGTLVPLLKDAGALWL >EOY01527 pep chromosome:Theobroma_cacao_20110822:2:39844883:39865802:1 gene:TCM_011392 transcript:EOY01527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Menaquinone biosynthesis protein, putative isoform 1 MLSLRDTLSIPFLALTPKPHLKNHLFHTKNPTPYTRLPLFCKKDFLSCNLKLVNGVRFDGPIIDANELEDGDLVVETCITHTLPPALTLEHGLQSIKEAVDALKLNPPCSSSGVLRFQVAVPPSAKALNWFCSQPESSAVFPLFFLSKEMNRSTCKSLYLNTARGVFGIGAAVSFTNSSSVPGELSSIKRCLSNDSIPMSTYGFLDINFNTELSSVKLEAGSFYLFIPLIELDEHEDISILAATLAWSDSCLCTFEQAIHSYESALYQVSSHFSPTTERCHFKCIRSAIRKLNVVEDGAVQMVCIPHCAFPFYFPKFCLVYRFLFGFNDLDFLLPELLIFASLRMLTNFSSCKVDFDMVMCIHECSFDGWKGFWSLPHGSGISFFLRGAASFNQFCFKFTPTIGVACNMLDNASEMTYSVPDRANINAVWALLIVEECSRLGLTYFCVAPGSRSSPLALAASAHPLVTCISCFDERSLAFHAIGYARGSQKAAVIITTSGTAVSNLLPAVVEASQDFVPLLVLSADRPPELQDCGANQSINQVNHFGSFVRFFFSLPPPTDEIPARMVLTTLDSAVHRATSSPIGPVHINCPFREPLDDSPKIWKSSCLEGLDTWMSNAEPFTKYILVQHSYLCNNNTHGQMEEVLEKIQGVNKGLLLIGAICTEDEMWAVLLLAKNLQWPVVVDILSGLRLRRLLSSFLEVEENIFFVDYLDHALLSDSVRDWVQFDVIVQIGSRITSKRISQMLEKCFPCSYILVDNHPCRHDPSHFVTHRIQSSAIEFANILLKARIPHRSSKWCGYLQALNMMVGQEILFQVSAEHSLSEPHIAHVISEALSSETALFIGNSMVIRDADMYGCNWKSDNHSIADMMLKTELPCKWVSVAGNRGASGIDGLLSTAIGFAVGCNKRVLCVVGDISFLHDTNGLAILKQRMLRKPMTILVINNGGGAIFSLLPIADITEPRVLNQYFYTSHNISIQKLCEAHGVKHLEVKTKMELHEALFSSQQGETDCVIEVESSIDANATFHSYLRKFACQAADHSFSILSKLSLPESMSQGCFHCKIHSMSYSLYRIPLCAPPTSSLSDSDRTRFYREGFILSLTLEDGSIGYGEVAPLEICHENLLDVEEQLRFLFHVLQGATINYFLPMLKSSFSSWIWKNLGIPACSLFPSVRCGLEMAILNAIAVSQGMTLLNILHPQGAKEGEKSERLPSVRICGLINSSGTPEEVACIANALVEEGFTAIKIKVARRADPVEDAAVIQEVRKKVGCHIELRVDANRNWTYEEAIQFGCLVKDCNLQYIEEPVQHEDDIIRYCEESGLPVALDETIDNCPENPLNKLVKYSHPRIVAVVIKPTVIGGFEKAAMFARWAHRRGKMAIISAAFESGLALSTYILFSCYVEMQNADTCKLMNNKLAPSVAHGLGTYRWLEEDVTADLLGIGPNPCTGFIEASVADATHLLHKFQMNNNVVHRMFTAEEVLRYQITLDSNDFSCSINVQEIGQRNDGSVIVFLHGFLGTNQDWDRIMHAISGSARCISVDLPGHGVTKMNLFDDKAAQQPTLSMELVADLLFKLIERITPGKVTLVGYSMGARIALYMALRFSDKIEGAVILSGSPGLEDAVERKICRAKDDSRACSLGTHGLQLFLDTWYSGGLWKSLRSHPHFNQIAARRSLHDDVQGLARVLSDLSAGRQPSLWEDLKHCRTPLVLVVGEEDEKFKGVAQKMWKEIGHVSKLHEMVVVPNCGHAVHLENPLPIIRLVRQFLTRLKSDPSSKK >EOY01528 pep chromosome:Theobroma_cacao_20110822:2:39845392:39865817:1 gene:TCM_011392 transcript:EOY01528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Menaquinone biosynthesis protein, putative isoform 1 MLSLRDTLSIPFLALTPKPHLKNHLFHTKNPTPYTRLPLFCKKDFLSCNLKLVNGVRFDGPIIDANELEDGDLVVETCITHTLPPALTLEHGLQSIKEAVDALKLNPPCSSSGVLRFQVAVPPSAKALNWFCSQPESSAVFPLFFLSKEMNRSTCKSLYLNTARGVFGIGAAVSFTNSSSVPGELSSIKRCLSNDSIPMSTYGFLDINFNTELSSVKLEAGSFYLFIPLIELDEHEDISILAATLAWSDSCLCTFEQAIHSYESALYQVSSHFSPTTERCHFKCIRSAIRKLNVVEDGAVQMVYMNALSMGGRDFGAYPMDLRGAASFNQFCFKFTPTIGVACNMLDNASEMTYSVPDRANINAVWALLIVEECSRLGLTYFCVAPGSRSSPLALAASAHPLVTCISCFDERSLAFHAIGYARGSQKAAVIITTSGTAVSNLLPAVVEASQDFVPLLVLSADRPPELQDCGANQSINQVNHFGSFVRFFFSLPPPTDEIPARMVLTTLDSAVHRATSSPIGPVHINCPFREPLDDSPKIWKSSCLEGLDTWMSNAEPFTKYILVQHSYLCNNNTHGQMEEVLEKIQGVNKGLLLIGAICTEDEMWAVLLLAKNLQWPVVVDILSGLRLRRLLSSFLEVEENIFFVDYLDHALLSDSVRDWVQFDVIVQIGSRITSKRISQMLEKCFPCSYILVDNHPCRHDPSHFVTHRIQSSAIEFANILLKARIPHRSSKWCGYLQALNMMVGQEILFQVSAEHSLSEPHIAHVISEALSSETALFIGNSMVIRDADMYGCNWKSDNHSIADMMLKTELPCKWVSVAGNRGASGIDGLLSTAIGFAVGCNKRVLCVVGDISFLHDTNGLAILKQRMLRKPMTILVINNGGGAIFSLLPIADITEPRVLNQYFYTSHNISIQKLCEAHGVKHLEVKTKMELHEALFSSQQGETDCVIEVESSIDANATFHSYLRKFACQAADHSFSILSKLSLPESMSQGCFHCKIHSMSYSLYRIPLCAPPTSSLSDSDRTRFYREGFILSLTLEDGSIGYGEVAPLEICHENLLDVEEQLRFLFHVLQGATINYFLPMLKSSFSSWIWKNLGIPACSLFPSVRCGLEMAILNAIAVSQGMTLLNILHPQGAKEGEKSERLPSVRICGLINSSGTPEEVACIANALVEEGFTAIKIKVARRADPVEDAAVIQEVRKKVGCHIELRVDANRNWTYEEAIQFGCLVKDCNLQYIEEPVQHEDDIIRYCEESGLPVALDETIDNCPENPLNKLVKYSHPRIVAVVIKPTVIGGFEKAAMFARWAHRRGKMAIISAAFESGLALSTYILFSCYVEMQNADTCKLMNNKLAPSVAHGLGTYRWLEEDVTADLLGIGPNPCTGFIEASVADATHLLHKFQMNNNVVHRMFTAEEVLRYQITLDSNDFSCSINVQEIGQRNDGSVIVFLHGFLGTNQDWDRIMHAISGSARCISVDLPGHGVTKMNLFDDKAAQQPTLSMELVADLLFKLIERITPGKVTLVGYSMGARIALYMALRFSDKIEGAVILSGSPGLEDAVERKICRAKDDSRACSLGTHGLQLFLDTWYSGGLWKSLRSHPHFNQIAARRSLHDDVQGLARVLSDLSAGRQPSLWEDLKHCRTPLVLVVGEEDEKFKGVAQKMWKEIGHVSKLHEMVVVPNCGHAVHLENPLPIIRLVRQFLTRLKSDPSSKK >EOX98410 pep chromosome:Theobroma_cacao_20110822:2:5704339:5705610:-1 gene:TCM_007180 transcript:EOX98410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGWAGIGDKGEETMAAILGGVGYHMVDLEAVARNVSEGMNMKFGVSGDISVPTNFMIALRNTATTTP >EOX97820 pep chromosome:Theobroma_cacao_20110822:2:3586897:3587718:1 gene:TCM_006753 transcript:EOX97820 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein MAIRLPGVVAAKQILRRSALTSNKRASTSLDVPKGFFAVYVGESEKKRFVVPLSFLSQPLFQDLLSIAEEEFGFNHPMGGLTIPCHEDMFIDITSRFDRP >EOY01651 pep chromosome:Theobroma_cacao_20110822:2:40501918:40505096:-1 gene:TCM_011499 transcript:EOY01651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MTTCGALRRWFRWRERLVIFTYGLLFSKGMMSYVLANLMTFLTDLWKLKLKEAAAIVNLQEGLRNMLQICVALCIDACLGYRWMLILSSVLYSTGLGLLAYSVPPYFNKLKHSPFWEGLALVIVGGAAQVIPLYSLSFEQTKVVRVPEHCEATRLKVACCLGKVRIGGWRRLQQRIIRWFGIGFMMLGAITSVYGFIYLEDEWHQRFLRSAIAIVTGLLWFLCGFPFYGPRRLQPSPLSTMLRTLIAAARKSHLNYGGNLEQLHRDDGKENPLLTDHLEWLNKAAVKESSADDNLTPEEKRWRLCTVKEVEQTKLLLNIIPMSATFIVYGMVKSLGNTFFIEQVSSMSGDISSVFFQMIQWFSQISIKRGYKFVFEKRIERIKGRYSDGVKIGIGMLSSVICCAVASSIESKRLQALSKEGRSNDPDATAPITAFWLVLQFSFLGAMEGLAGDGIQDFFGHYAPDSRRYGPVFTSSLTGFGTVLNIGFIAFLDYYSTSRHTEIWLGDSINQSRLDLIYRAYAMVALLNCFIYAYVSYQYSYDNIIGRPEEEKEIPFLEVKEEETAEGDQQNNQEQDVELQRIPVR >EOY01650 pep chromosome:Theobroma_cacao_20110822:2:40501759:40505752:-1 gene:TCM_011499 transcript:EOY01650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MLVISAVFELKTVSLCLASLNGKLKQGKGDISSCLLFSKGMMSYVLANLMTFLTDLWKLKLKEAAAIVNLQEGLRNMLQICVALCIDACLGYRWMLILSSVLYSTGLGLLAYSVPPYFNKLKHSPFWEGLALVIVGGAAQVIPLYSLSFEQTKVVRVPEHCEATRLKVACCLGKVRIGGWRRLQQRIIRWFGIGFMMLGAITSVYGFIYLEDEWHQRFLRSAIAIVTGLLWFLCGFPFYGPRRLQPSPLSTMLRTLIAAARKSHLNYGGNLEQLHRDDGKENPLLTDHLEPPPSHSTTRDPEFQYVCSPIYPLNRSSLTQVHSGVFGQLFLFFFFGFFMICSLFLLNVPLSIPKYRWLNKAAVKESSADDNLTPEEKRWRLCTVKEVEQTKLLLNIIPMSATFIVYGMVKSLGNTFFIEQVSSMSGDISSVFFQMIQWFSQISIKRGYKFVFEKRIERIKGRYSDGVKIGIGMLSSVICCAVASSIESKRLQALSKEGRSNDPDATAPITAFWLVLQFSFLGAMEGLAGDGIQDFFGHYAPDSRRYGPVFTSSLTGFGTVLNIGFIAFLDYYSTSRHTEIWLGDSINQSRLDLIYRAYAMVALLNCFIYAYVSYQYSYDNIIGRPEEEKEIPFLEVKEEETAEGDQQNNQEQDVELQRIPVR >EOX98313 pep chromosome:Theobroma_cacao_20110822:2:5301941:5308493:1 gene:TCM_007100 transcript:EOX98313 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 73B3, putative MGSEIPQVHIFFFPIMAQGHVIPTVDMAKLFATRGVKTTIITTPANASFFSKTIQRSKESGLDVDVKILKYSTEEAGLPEGCENADLLPTSRDEPKDIVSKFFKAKVMLQEPLERLLQECKPDCLVAHMFFPWATDAADKFGIPRLVFYGVSVFSTCAMECMTLYEPHKHVESEFEQFVVPNLPGDIKLSKKQLPDYVKESVETDFTKMLKASKESELRSYGVIFNSFYELEDMYADYYKNVLGRKAWHIGPVSLCNRAIEDKAERGKKSAVDEHECSKWLDSRKPNSVVYVCFGSMANFNSAQLKEIAMGLETSGQQFIWVVRKEKSNGEEEDWLPEGFEKRMEGKGLIIRGWAPQILILDHEAVGGFVTHCGWNSTLEGISAGVPMITWPVSAEQFYNEKFVTEILKIGVAVGVQQWVSTVGDFVKKEAIEKAVKKIMNGKTAKELRNKAKALAEMAKGAVAKGGSSYSELNALIEELKLRRH >EOX97142 pep chromosome:Theobroma_cacao_20110822:2:1372687:1373716:1 gene:TCM_006241 transcript:EOX97142 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein, putative MAIVLKNLKKTDIEKRLTIPSKALRSFPPLSDKHMVDFAVRDEESGRVWKFRIYTRKKNNNKYLKPVLTKGWREFVCSKQLRIGDRVAFYKQAGAVKYRVKVERPLKIFGASVFPSQESVVC >EOX98830 pep chromosome:Theobroma_cacao_20110822:2:7391162:7395514:-1 gene:TCM_007509 transcript:EOX98830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MLVALALPNYVILRVMPSLGLRIGLKIEALFSSIAQYEQERDALYALKHVFNDPFLNDNWNGLQCYENTSFWYGIQCINGRVTAILLEGGGLSGKVSADAFVVLSELITLSFKNNSMSGNIMDFSSNQKLKDINLSKNMFNGPIPRSLLALNFLESLQLQDNRLTGSIPEFDQASLKAFNVSNNNLSGPIPGTHTLKSFSTASYSNNSLGMCDSSNPDTCYYTANDTAGESRKRSVATIFIVFDIIGLATVILLLILYCKKSRKLKKLMQRNRLEEKDDEDLELEAGASYYKESKDESNIQAEKQRVIAGEEKGNLIFMGDDAGFNLNDLLRASAEGLGKGLFGNSYKATLEGRPGVVVKRLRDLKPLSNEEFTELLQIIADQKHPNLLPLLAYYYSKDEKLFVYRYAKNGNLFNRLHGGRGTRERIPFRWSSRLSVARGVARALEYLHLNPSSSLCPVPHGNLKLSNILLDENDTVLVSDYGLTSLIALPIASQRMASYKSPEYQNSKRVSRKSDVWSYGCLLLELLTGRLSVHSAPPGINGVDLCSWVHRAVREEWTAEIFDMEISVQRSAAPGMLKLLQVAIRCCDKTPEKRPEMTELVREIDNIKYVASDDEDELSVDQSLTDESFSTNASSVVVVGNGRGW >EOY00982 pep chromosome:Theobroma_cacao_20110822:2:36273490:36279316:-1 gene:TCM_010882 transcript:EOY00982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 91 MELNATTMQHPQTCLEITMEVHQVVPPPHKSTIHKLKSRLKETFFPDDPLRQFKGQPTRKKWILAAQYIFPILQWGPNYSFKLFKSDIVAGLTIASLAIPQGISYAKLASLQPIVGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLILGSMLRQEVSPANDPVLFLQLAFTTTFFAGFFQASLGFLRLGFIIDFLSKATLIGFMAGAAIIVSLQQLKSLLGITHFTKQMGLVPVLSSVFHNTKEWSWQTILMGFCFLVFLLVARHVSMRRPNLFWISAGAPLVSVILSTLVVFAFKAEHHGISIIGKLQQGLNPPSWNMLQFHGSHLGLSIKAGLVTGIISLTEGIAVGRTFAALKNYKVDGNKEMMAIGLMNMVGSSTSCYVTTGAFSRSAVNHNAGAKTAVSNIVMSITVMVTLLFLMPLFQYTPNVVLGAIIVSAVVGLIDIPAAYQIWKIDKFDFIVMLCAFFGVIFISVQDGLAIAVGISIFKILLQITRPKTVMLGNIPGTDIYRNLHHYKESMKIPGFLILSVEAPINFANSTYLNERILRWVEDYEAEEDLKKQSSLRFVILEMSAVSAIDTSGVSLIKELKKAMEKKGVELVLVNPLGEVMEKLQKSDEAGDFMGPDSLFLTVGEAVTTLSSTIKGQSSNHVV >EOX99960 pep chromosome:Theobroma_cacao_20110822:2:19482215:19595768:1 gene:TCM_009053 transcript:EOX99960 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MRPISESRAARDRAKRGKIEGYQGRRDFSSGGSSSSRQGPQRHSRLPQQGSDAPGANIRVGQRTFSSRRQQDSRQSSQVIRSCDTCGRRHSGRCFLTTKTCYGCGQPRHIRRDCPMAHQSPDSARGSTQPASSAPSVAVSSGREVGGSRGRGAGTSSQGRPSGSGHQSSIGRGQARVFALKQQEAQTSNAVVSDHEVADN >EOY01739 pep chromosome:Theobroma_cacao_20110822:2:40762912:40767986:1 gene:TCM_011571 transcript:EOY01739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 40 isoform 2 MLPRKSIALGIVLVVLGIFQAGLSQSQNISRASFPKGFVFGTASSSFQYEGAVKEDGRGPTIWDKFSHTFGKIIDGSNADVAVDQYHRYTEDIRLMKDMGMDAYRFSIAWSRIFPNGTGEINQAGVDHYNNLINALLAKGIEPYVTLYHWDLPQALEDRYNGWLNPQIIKDFAMFAETCFQIFGDRVKHWITFNEPHTFTVQGYDVGLQAPGRCSIILRLICKAGNSATEPYIVAHNVLLSHATVADIYRKKYKAKQHGSVGVSFDVMWYEPETNSTENTEAAQRAQDFQLGWFLDPLIFGDYPSSMISRVGNRLPRFSKAESALLKGSLDFVGINHYTTYYARANATNALGTILNDSIADSGAFTAPFKNGKPIGDKANSIWLYIVPSGMRSLMNYIKEKYGNPPVIITENGMDDSNSIFNSIKDALKDEKRIKYHNDYLTNLLAAIKEDGCNVKGYFVWSLLDNWEWGAGYTSRFGLYFVDYKDNLKRYAKDSVQWFKNFLASA >EOY01740 pep chromosome:Theobroma_cacao_20110822:2:40763114:40768033:1 gene:TCM_011571 transcript:EOY01740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 40 isoform 2 MLPRKSIALGIVLVVLGIFQAGLSQSQNISRASFPKGFVFGTASSSFQYEGAVKEDGRGPTIWDKFSHTFGKIIDGSNADVAVDQYHRYTEDIRLMKDMGMDAYRFSIAWSRIFPNGTGEINQAGVDHYNNLINALLAKGIEPYVTLYHWDLPQALEDRYNGWLNPQIIKDFAMFAETCFQIFGDRVKHWITFNEPHTFTVQGYDVGLQAPGRCSIILRLICKAGNSATEPYIVAHNVLLSHATVADIYRKKYKAKQHGSVGVSFDVMWYEPETNSTENTEAAQRAQDFQLGWFLDPLIFGDYPSSMISRVGNRLPRFSKAESALLKGSLDFVGINHYTTYYARANATNALGTILNDSIADSGAFTAPFKNGKPIGDKGKFYMVIHSTQWYEKPNELHQGEVWKPSSHYHRKW >EOY02024 pep chromosome:Theobroma_cacao_20110822:2:41713860:41722111:1 gene:TCM_011784 transcript:EOY02024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASAIYYCNDMQHIVYQPLTEPEIFDPTPPFVQIELNIALQFGFRRHYCLTDQFVDLDDEGMLLSQETIRFDLRALKNCDRIYQILGPMLVRLRLNPNARPCRAIIKEIIRQGVSIGTSESNRGRQVLPLQAVLWGTFVEHVNEEEEDEVLIERALEESASEFESSNYNMVPAKESSVKKMLKSVRVEAVECDQKVEEKIKKGRLDAENCVICLEELKVGSDASRMPCSHIFHGDCIEKWLKQSHYCPWSGELQGTADIPFLMLQFFCSKNDWDVSEIHSVHVAGIEPGQYLRESEGYRSRTIVASGQKAFWLSPTLSSLSTELC >EOX98555 pep chromosome:Theobroma_cacao_20110822:2:6169038:6169734:-1 gene:TCM_007283 transcript:EOX98555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEAKFQALYYFLRFDYNLDQGKFVRICVEIDHAKPLIPKIKIGDSMQKVEYKAIYIVWFQCGVIRHRMDNCPLQNVGVDNKYLSGDRIAAKKRGPTIIEAAKVNGDTCNTINYGSWMMVQKKS >EOX98466 pep chromosome:Theobroma_cacao_20110822:2:5874044:5879660:-1 gene:TCM_007222 transcript:EOX98466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF936) [Source:Projected from Arabidopsis thaliana (AT3G19610) TAIR;Acc:AT3G19610] MYDKNQSIKVESDIEIVNMETLHSGVILKLLEDMGIEERTVTDNCDVCKKPALLQIRSIIPVLAEGDLWPKQGFFMKVSDATHAMYVSLSQEEDEMVLCNKLQLGQFIYVEKLEVAYPVPVLKGVRPIPGRQPCDGDPKDLVGIDIMEKFGGASKLLMQDRNAVKKMSRERARSISPHRVPSGDRRASLGGQNCGIRAPDVEKEGFGRGYSRDQSSIVDKESGSDCLTSSCSLESHSRRRSWCGIAKTRSREIPDAAVVKHEIIPLRHSPNCYVSPVCPARYNSNDINSNTRTSIKDSSPSPKPVQSPKGNRNSSLARTSKEPLTEAGTRASSNNKKWEETDMLWDSLPSSLVEQGKEVLRQRDVALLAAVEALQEAAATERLLRCLSSFSELQLAKEDDQQPCINKFFKLQDYMAQCRAIVHSLRNISPLRTDDSDLSSPGAIREAVKLAVDRKRNATTWVKAAVASDLVPLSASRTKNVSTEAKIAAKSSNKPSQVAKLKGTSTIRKRRNICEFYSGLAAEKEALPDWVRGSTLGTARNLAQALQDECKTWFLAYVEKYLDRFKNKCLLRVRDRQVAESMCQIKRLNDWLDMMEKKEGGSDNFTLESSKLEAYGRLRNKIYGVLLKHVERTAMVLENMSATAEGWNSAGCSISLAST >EOX98913 pep chromosome:Theobroma_cacao_20110822:2:7760561:7761328:-1 gene:TCM_007579 transcript:EOX98913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGLRLASNDEVSHCNSLKRVMATNFGLTNLPSSFYSLTCCIPIQNHTKKTGDQITIFYSQHHNPVSLRIPTKSTAEQRTHTSFYDIILNFFSSQEDPSPFF >EOX99269 pep chromosome:Theobroma_cacao_20110822:2:9665153:9666185:1 gene:TCM_007879 transcript:EOX99269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTNGKKSVMGVPKVHLWGAMEEFATAILECGLMDAGYEGNQYSWANSCMFQRLDRVLYNMEWSELFSITRVQHLTRAGSDQAPLSYVESKRPTSFRF >EOX98155 pep chromosome:Theobroma_cacao_20110822:2:4704037:4705643:-1 gene:TCM_006981 transcript:EOX98155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MLGHHIRVVLVCVSIVLALIYAFLVLIWARKKKPKSSDGKVTTTTPVSLLEVKVTLPMEVIFGDITVAKKYDPPELAQIPKNRLGEGTLGTLFKVVLKCGSIVTIRKIREGLITDAVSLDLWINFFGGIRDAWLLPIHFSFWYGGEAFILYEYVILGSLEELLHGSEGIQFTPLNWGIRKQIALCAAQAVASIHSRVTPNGEALVCGVIKASNILIRMDFSACLSSYETPYLVPPEKMIKRNSGRVAPELKNLPKMFTQKSDVYSFGILLLELITGKRPSVTNLGAYIKEKKRKEGLTSICDEKLGEVKENMVGVIEIAWLCLSRNPQDRPSMDKVAQMIKSL >EOY01172 pep chromosome:Theobroma_cacao_20110822:2:38029747:38053725:-1 gene:TCM_011105 transcript:EOY01172 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MGGECSSKDRVVTKKTLGFIDAVFSWSLEDIFNDNLYKDQVEKIPVSFQSTEHYLGSYVLPLLEETRAAIRSAMESIARAPYAEVTYLNEAKPHGTLSFDVNVDYWRNRTVLFREDVVSEEVEELFLLSTDVKLLRQNAETSLLLCSVRSQCLSVLKALRDSLQELKLPSARNKDSIIRFCFQTASLLFSTACGSHKLYKLEMKPLNVLVIDEAAQLKECESAIPLQLPGIAHSFLIGDEWQLPATVLSNVSDEAGFGRSLFQRLTTLGHSKHLLNIQYRMHPSISCFPNARFYSNQILDAPCVKHRSYEKHYLPWPMFGPYSFINVCGREEVVDAGYSHRNMVELAVVQRLVRTLYKAWNGSREKLSVGIISPYAAQVVAIQEKLGGKYEKIDGFVVKVKTVDGHSLWILGNGETLAKSESAWEALVHDAKARSCFYNADKDKELAKATLDAKKALNQLDDLRNGHSALLKSARWKVLFSDCFRMSLGKLKSVQTKKLVLNLLLKLSSGCRPKKRKVDLISESSGRNMTKSAIQVNSELSDHQLNEQARRRNKHKEARNDGAGSLKMGDAIAFVRGERKNLRRKEKRARIEQIKANLGLSDSQRTPMP >EOX98463 pep chromosome:Theobroma_cacao_20110822:2:5863880:5866108:1 gene:TCM_007220 transcript:EOX98463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MDSMGAAILEGEWSSLSGTYTTEEADFMAQLLSNCPLPNELDGSSNLGIPSTYWPGHHSTISMKAASYCSSDIADTSSYSFSQGSSYSAGSSIVFPSSSQESYYLSDSHPIFVANNSPMSMDFCMEDATNTSSYLVEGDDCLNQEMGNGNVEQAGKSHQEPALPNKNLEPKRESEIPQPEPVTEIKSNNISENSKKRSRSSGDVQKNRQNARSKKNQGSASTTNNDKDGNAGLNGQSSASCSSDDDSNASQELNGGQAALNLNGKTRASRGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDLWMYAPIAYNGMDIGLDLKVATPKRS >EOY00031 pep chromosome:Theobroma_cacao_20110822:2:23527914:23529103:-1 gene:TCM_009397 transcript:EOY00031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIMRSTCCENLKRGWRRRKYQRLHGATRSKRKLRISRLENIATRRVWELKTIPKPSLKMVSPIKILTKFHGAYVDMMMRLVNNMGKANNGGLFRGKKVAKDRHVSIVSGGDEVDSKLVLEIYKTLAASRELKAL >EOY02167 pep chromosome:Theobroma_cacao_20110822:2:42176089:42180355:-1 gene:TCM_011881 transcript:EOY02167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSSTASSLSLFISPDTESPSFLRRPRRRGSNLSFLTSPTAFLCYPRSSNSRTLRLSASLLHSNVDLSWSPPDPNSLPNDYGGWAVVQAPPNRSTKKKGFSSVFVGGLIGSSAAVAIAAIAYFSLSRKGFKFQFSSPLNTLHGVFSWTEMKGDRTTATDYLEADEKVAEAPEAIPDCVPPTTTETVASDKRQRIMVPVAVDSTQQEALLVLKKLKIIEDDVRADELCTRREYVRWLVRMSSLLERNPKHRIIPSIALSGSKTAAYDDVGADDPDFESIQALAEAGIIPSKLSGRITGSDSSKGQEGINFSPDRFISRQDLINWKALVEYDCEPGVIEQISRTKIDYMDLKEISPDSSPGLFIDMLAGEKSILRKVFGQSKRFQSNKPSSKAQAAVALTSGRMAEAISNELLKLETESSSRRAEMKEIKSELLEKGDIQRFWDEKLNEERTRGIEVEKLYFSAAQDLELEKIFQEKWSAESLKEKAAMDCQRQLLSSLKEDVAEMSGRLASERTMYEAEQSKLHAMLSGLQSKQERILDAKSILETEIEALRILRSWVEDEARKSQARAKVLEEVGRRWKWNDQA >EOY01935 pep chromosome:Theobroma_cacao_20110822:2:41389420:41395518:1 gene:TCM_011712 transcript:EOY01935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein 34, putative MEFWSSASHNKTQSHSFRCKLSRNCTSNIIYHQHHQEMVVSYKECLKNHAATLGGHALDGCGEFMPSPTATPTDPASLKCAACGCHRNFHRRDPYDGPAFIHHLPPPTNPSSSPSPTHSPGASPSPTHTPSSPVPYSYYSSAPHMLLALSTGYSGPLDEYHHHLNHSNPTVGRIENHNNSNPSGRKRSRTKFSREQKEKMHAFAERLGWRMPKSEERLIEGFCNEVGVDRGVLKVWMHNNKNTFSKKEILAVGNLNIGSNNNSGSNEGNGNGNAGVSFDSNSDIHNNECSIQLHVSTINGSSSAS >EOY02081 pep chromosome:Theobroma_cacao_20110822:2:41893664:41900070:1 gene:TCM_011819 transcript:EOY02081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad23 UV excision repair protein family isoform 2 MKIFVKTLKGSHFDVEVKAEDVVSDVKKNIETVQGADVYPAAQQMLIHKGKVLKDDTTLAENSVTENSFIVIMLTKNKSSSGEGSTASTAPTTKAPQASVPPPAPTPASTAPVATTAPAAPVTESAPVASSTPASESDVYGQAASNLVAGSHLEGAIQQILDMGGGTWDRDIVVRALRAAYNNPERAVEYLYSGIPEQAEVPPVARAPVVGQATNPPAQPQQPAQASPVPTSGPNANPLDLFPQGLPNMGAGGGAGAGTLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQADFLRLINEPAEGGEGNILGQLAEAMPQAVQVTPEEREAIERLEAMGFDRATVLQVFFACNKNEELAANYLLDHMHEFED >EOY02082 pep chromosome:Theobroma_cacao_20110822:2:41893935:41899982:1 gene:TCM_011819 transcript:EOY02082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad23 UV excision repair protein family isoform 2 MKIFVKTLKGSHFDVEVKAEDVVSDVKKNIETVQGADVYPAAQQMLIHKGKVLKDDTTLAENSVTENSFIVIMLTKNKSSSGEGSTASTAPTTKAPQASVPPPAPTPASTAPVATTAPAAPVTESAPVASSTPASESDVYGQAASNLVAGSHLEGAIQQILDMGGGTWDRDIVVRALRAAYNNPERAVEYLYSGIPEQAEVPPVARAPVVGQATNPPAQPQQPAQASPVPTSGPNANPLDLFPQGLPNMGAGGGAGAGTLDFLRNSQQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQADFLRLINEPAEGGEGEHFGAISRGDAASCTSDA >EOX97781 pep chromosome:Theobroma_cacao_20110822:2:3410114:3412265:1 gene:TCM_006707 transcript:EOX97781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane intrinsic protein 1A, putative MDIVISRESDHNLLTSSSEKHEAAILKERQSLKPTFFACIGAHEFSSPEMWKAALTELVATASLMFTLTTSIVSCLDSHETDPKLLVPFAVFIIAFLFLMVTVPLSGGHMSPVFTFIAALKGIITLARASIYILAQCVGSLTGFLILNSVMDQDAAKRYSLGGCTINGNGSTSGISPGTALILEFCCTFLVLLVGVTIAFDKRRSKELGLPMVCAVVAGAMAVAVFVSISVTGRAGYAGVGLNPSRCLGPALLRGGQLWDGHWVFWVGPCFACIIYYCFTKGLPKEGLVWADGERDVVNLAAPALCCWDPTTQVNGKGL >EOX99536 pep chromosome:Theobroma_cacao_20110822:2:12031317:12032601:-1 gene:TCM_008233 transcript:EOX99536 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MKFTDSPVIDLQVRDSCLSIQQDNASFHVGTSVWPCSLVLAKFAERWAPPSPPTTNDDKTPYSDLLDFHSRRRRAIELGTGCGAAGMAFHLLGLQDLILTDISPVMPALKHNLKRNKPVLGKNLKTSILYWNNRDQITAVNPPFDVVIAADVVYIEESVGHLVGAMEALVADDGVVLLGYQLRSPEADKVFWEMCEEVFVIEKVPHQDLHPDYAYEETDVYVFRKKKNKN >EOX99720 pep chromosome:Theobroma_cacao_20110822:2:14866688:14867839:1 gene:TCM_008557 transcript:EOX99720 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein MEVVSNEKGKKGNLIVKTWERCKSIGRARALSKKSKSWPSMDASLKEEKRTRKNRVAPEGCFSVYVGPRRQRFVIKTEYANHPLFKILLEEAESEYGFNSEGPLVLPCNVDLFCKVLLAMDDGDNKIRQGCSFAKGYGSYRLLSPPRMIAINQF >EOX99073 pep chromosome:Theobroma_cacao_20110822:2:8344753:8384713:1 gene:TCM_007688 transcript:EOX99073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroorotate dehydrogenase, putative isoform 2 VINVSSPNTPGLRMLQGRKQLKDLVKKVQAARDEMQWGEEGPPPLLVKIAPDLSKEDLEDIAAVALALRLDGLIISNTTISRPDPVNKNPVSAESGGLSGKPLFNLSTNVLKEMYILTRGKIPLIGCGGISSGEDAYNKIRAGATLVQLYTAFAYGGPALIPQMKAELAECLE >EOX99072 pep chromosome:Theobroma_cacao_20110822:2:8344753:8346929:1 gene:TCM_007688 transcript:EOX99072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroorotate dehydrogenase, putative isoform 2 MLQGRKQLKDLVKKVQAARDEMQWGEEGPPPLLVKIAPDLSKEDLEDIAAVALALRLDGLIISNTTISRPDPVNKNPVSAESGGLSGKPLFNLSTNVLKEMYILTRGKIPLIGCGGISSGEDAYNKIRAGATLVQLYTAFAYGGPALIPQMKAELAECLERDGFKSIHEAVGANCR >EOY00668 pep chromosome:Theobroma_cacao_20110822:2:34417705:34418807:1 gene:TCM_010588 transcript:EOY00668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIKTFCHVFTLDWAFPWTPTFNSTKSSALTSTTSSGSAPSIIHMRHEQNPFVISESLSARNLTFPFWSILASSHT >EOX97414 pep chromosome:Theobroma_cacao_20110822:2:2209868:2213782:1 gene:TCM_006432 transcript:EOX97414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein, putative isoform 1 MASSKVITTTSATNPDVPRQPSLCSSLSTLLADLQNQQSNQSQSQNGSMSMDDLLKNIYSSPPPPPTTSEAHAQFPGASISREGSFSLPKDVANKSVDEVWKEIVAGGGDQRQGGPTEEMTLEDFLTKAGAVREEDVRGVVNQVGVGAGVYAVDPAVINGGGSQFSTFGNNGGVDHQRLVAPAGGGARGKRRAVEEPPLDKATQQKQRRMIKNRESAARSRERKQAYTVELESLVTQLEEEQTRLLREEAELQKERFKQLMENLIPVEEKRRPPRVLRRVHSMQCNVLTASKLTPSTSTILTIITATVKFCSEATTSSTVGVSWLICIDGK >EOX97415 pep chromosome:Theobroma_cacao_20110822:2:2209960:2212938:1 gene:TCM_006432 transcript:EOX97415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein, putative isoform 1 TVGQRLCSSAVAFRFSNNFPSVFEFYLILSFSNQSAESQKGKEREREEIVSKKLVRERKNPENSGGVMASSKVITTTSATNPDVPRQPSLCSSLSTLLADLQNQQSNQSQSQNGSMSMDDLLKNIYSSPPPPPTTSEAHAQFPGASISREGSFSLPKDVANKSVDEVWKEIVAGGGDQRQGGPTEEMTLEDFLTKAGAVREEDVRGVVNQVGVGAGVYAVDPAVINGGGSQFSTFGNNGGVDHQRLVAPAGGGARGKRRAVEEPPLDKATQQKQRRMIKNRESAARSRERKQAYTVELESLVTQLEEEQTRLLREEAELQKERFKQLMENLIPVEEKRRPPRVLRRVHSMQW >EOX98160 pep chromosome:Theobroma_cacao_20110822:2:4721166:4723437:1 gene:TCM_006986 transcript:EOX98160 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein MGEVDPAFIQELEHRPKLSIIEAEGIPFIDLSMLNSPDAVSDPSTLDSLVSEIGNACKNWGFFQVINHGVPLEQRRKLEKASRKFFAQPWEEKVKVRRDEVKVLGYYDTEHTKNVRDWKEVFDFTVQNPTIVPASTHPDDKEVAEWHNQWPDYPHELREAFEEYAEELEKLSNKLMELIALSLGLPADRFHGFFKDQTSFIRLNHYSPCPAPDLALGVGRHKDAGALTILAQDDVGGLQVKRKSDGEWIRVKPTPDAFIINVGDIIQVWSNETYESVEHRVMVNSERERFSIPFFFNPAHYTIVMPSEELTSEKNPPRYRPYNWGKFLITRKGSNFKKLDVKNIQIQHFRISELADHLDGALSVI >EOY01202 pep chromosome:Theobroma_cacao_20110822:2:38202498:38207659:1 gene:TCM_011145 transcript:EOY01202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indeterminate(ID)-domain 5, putative isoform 2 MAAASSSTPFFGTREENQTQMIQQQSSSTPTSSTAPTTAPQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPTNLSTMGSHLFGSNHMSLGLSQVGSQIPSLQDQNQPPSNMLRLGSAGAAKFEHLIPPSNPSPLQNMPTSAFFMPDANQGFHHQDHQSHHGHGPFLNKPLHGLMQLPDLQGNTNNTPASTNLFNLGFFPNNSAASSISTSENASASTANLPNSGFLSPNQFNSGNGGGGGQGTAMFSTNMGDQVGSGLSSLYSTSMQHENISPHMSATALLQKAAQMGSTTSNNTSSLLRGLGSSSASGSKSDRPVLSANFGSNYGGGGGETLRSQMENDSNLQGLMNSLANGNSSIFGAGHGQDSNFGGFSGSGMTLDQQSNNANFCNVNEAKLHQNLAASIGGTDKLTLDFLGVGGMVRNMGGGFSQREQHGINISSLDPEVKSAQANQQFGSAKLL >EOY01198 pep chromosome:Theobroma_cacao_20110822:2:38202201:38207103:1 gene:TCM_011145 transcript:EOY01198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indeterminate(ID)-domain 5, putative isoform 2 MAAASSSTPFFGTREENQTQMIQQQSSSTPTSSTAPTTAPQKKKRNQPGTPSNLGFYFVSPPIFLIIFRLALFLDEADPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPTNLSTMGSHLFGSNHMSLGLSQVGSQIPSLQDQNQPPSNMLRLGSAGAAKFEHLIPPSNPSPLQNMPTSAFFMPDANQGFHHQDHQSHHGHGPFLNKPLHGLMQLPDLQGNTNNTPASTNLFNLGFFPNNSAASSISTSENASASTANLPNSGFLSPNQFNSGNGGGGGQGTAMFSTNMGDQVGSGLSSLYSTSMQHENISPHMSATALLQKAAQMGSTTSNNTSSLLRGLGSSSASGSKSDRPVLSANFGSNYGGGGGETLRSQMENDSNLQGLMNSLANGNSSIFGAGHGQDSNFGGFSGSGMTLDQQSNNANFCNVNEAKLHQNLAASIGGTDKLTLDFLGVGGMVRNMGGGFSQREQHGINISSLDPEVKSAQANQQFGSAKLL >EOY01203 pep chromosome:Theobroma_cacao_20110822:2:38202499:38206966:1 gene:TCM_011145 transcript:EOY01203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indeterminate(ID)-domain 5, putative isoform 2 MVSSFSPSQLSLGFYFVSPPIFLIIFRLALFLDEADPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPTNLSTMGSHLFGSNHMSLGLSQVGSQIPSLQDQNQPPSNMLRLGSAGAAKFEHLIPPSNPSPLQNMPTSAFFMPDANQGFHHQDHQSHHGHGPFLNKPLHGLMQLPDLQGNTNNTPASTNLFNLGFFPNNSAASSISTSENASASTANLPNSGFLSPNQFNSGNGGGGGQGTAMFSTNMGDQVGSGLSSLYSTSMQHENISPHMSATALLQKAAQMGSTTSNNTSSLLRGLGSSSASGSKSDRPVLSANFGSNYGGGGGETLRSQMENDSNLQGLMNSLANGNSSIFGAGHGQDSNFGGFSGSGMTLDQQSNNANFCNVNEAKLHQNLAASIGGTDKLTLDFLGVGGMVRNMGGGFSQREQHGINISSLDPEVKSAQANQQFGSAKLL >EOY01201 pep chromosome:Theobroma_cacao_20110822:2:38202498:38206970:1 gene:TCM_011145 transcript:EOY01201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indeterminate(ID)-domain 5, putative isoform 2 MAAASSSTPFFGTREENQTQMIQQQSSSTPTSSTAPTTAPQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPTNLSTMGSHLFGSNHMSLGLSQVGSQIPSLQDQNQPPSNMLRLGSAGAAKFEHLIPPSNPSPLQNMPTSAFFMPDANQGFHHQDHQSHHGHGPFLNKPLHGLMQLPDLQGNTNNTPASTNLFNLGFFPNNSAASSISTSENASASTANLPNSGFLSPNQFNSGNGGGGGQGTAMFSTNMGDQVGSGLSSLYSTSMQHENISPHMSATALLQKAAQMGSTTSNNTSSLLRGLGSSSASGSKSDRPVLSANFGSNYGGGGGETLRSQMENDSNLQGLMNSLANGNSSIFGAGHGQDSNFGGFSGSGMTLDQQSNNANFCNVNEAKLHQNLAASIGGTDKLTLDFLGVGGMVRNMGGGFSQREQHGINISSLDPEVKSAQANQQFGSAKLL >EOY01200 pep chromosome:Theobroma_cacao_20110822:2:38202498:38206970:1 gene:TCM_011145 transcript:EOY01200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indeterminate(ID)-domain 5, putative isoform 2 MAAASSSTPFFGTREENQTQMIQQQSSSTPTSSTAPTTAPQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPTNLSTMGSHLFGSNHMSLGLSQVGSQIPSLQDQNQPPSNMLRLGSAGAAKFEHLIPPSNPSPLQNMPTSAFFMPDANQGFHHQDHQSHHGHGPFLNKPLHGLMQLPDLQGNTNNTPASTNLFNLGFFPNNSAASSISTSENASASTANLPNSGFLSPNQFNSGNGGGGGQGTAMFSTNMGDQVGSGLSSLYSTSMQHENISPHMSATALLQKAAQMGSTTSNNTSSLLRGLGSSSASGSKSDRPVLSANFGSNYGGGGGETLRSQMENDSNLQGLMNSLANGNSSIFGAGHGQDSNFGGFSGSGMTLDQQSNNANFCNVNEAKLHQNLAASIGGTDKLTLDFLGVGGMVRNMGGGFSQREQHGINISSLDPEVKSAQANQQFGSAKLL >EOY01199 pep chromosome:Theobroma_cacao_20110822:2:38202498:38207659:1 gene:TCM_011145 transcript:EOY01199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indeterminate(ID)-domain 5, putative isoform 2 MAAASSSTPFFGTREENQTQMIQQQSSSTPTSSTAPTTAPQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPTNLSTMGSHLFGSNHMSLGLSQVGSQIPSLQDQNQPPSNMLRLGSAGAAKFEHLIPPSNPSPLQNMPTSAFFMPDANQGFHHQDHQSHHGHGPFLNKPLHGLMQLPDLQGNTNNTPASTNLFNLGFFPNNSAASSISTSENASASTANLPNSGFLSPNQFNSGNGGGGGQGTAMFSTNMGDQVGSGLSSLYSTSMQHENISPHMSATALLQKAAQMGSTTSNNTSSLLRGLGSSSASGSKSDRPVLSANFGSNYGGGGGETLRSQMENDSNLQGLMNSLANGNSSIFGAGHGQDSNFGGFSGSGMTLDQQSNNANFCNVNEAKLHQNLAASIGGTDKLTLDFLGVGGMVRNMGGGFSQREQHGINISSLDPEVKSAQANQQFGSAKLL >EOX99373 pep chromosome:Theobroma_cacao_20110822:2:10678464:10680016:1 gene:TCM_008042 transcript:EOX99373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTHSFPDLRRRKSIELRAFGLQDFVGVNFQTHGTVREELHGFNLHQMGPRRFSFLVFSGLCCLIFVKHVPIQVVLKQNLQWTETTSEVPGICASKGSNGNLRQVDLNEMPIMQKKGLLARMEALMKTVEMGRPYFPHCSEVLDKFMEDDLLHLFYLEKGSPTQRSRK >EOX96823 pep chromosome:Theobroma_cacao_20110822:2:154087:158141:-1 gene:TCM_005980 transcript:EOX96823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein, putative MADFNSIHRLLVSYLLFLLLLNSNSRLVVCSADVKCTDAERRALVGLREGLTDPSGMLSSWVGLDCCTWKGIKCHNQTGRVTKLDLRNPYQLINGGAGDPTAYKRSCLGGEINHSLLHLEYLTYLDLSLNDFEGLEIPDFFGHLRNLRYLNLSFASFAGEIPPCLGNLTSLQYLDLYADSYSSTGLRELRSGSLKWLSDLSSLKYLNLGFAKLDSIGENWLQIFNMLPSLVDLRLHWCELKGLPLSLPSINFTSLSVLDLSENSFNSVVPQWLFNLTDLTELYLTWDFFSGSIPAEFANLKNLQVLDLSDNLNLEGQIPGLFGNLSKLQILDLSSNNFHGEIHELFSGFSSDPNNKLESLDLSSNSLIGELPESLGLLKHLQHLYLSGNSFWGSIPSSIGSLPALRKLDLSYNMMNGTIPESFGQLSQLVEMNLVANSWKGILKEAHLMNLRRLKHVRLTTDPSRSLAFRVSYKWFPPFKLKSIQLENCMVGPSFPVWVQVQNDLNSVILKNVGISDSIPGKWFSEVSAQVTYLVLSQNQIRGKLPPQLQFPYLNVVDLSSNYFEGPLPPWSTNATDVFLQENSFSGPIPENIGVLMPRLQKLYVSRNNLSGRIPSSMCDLEALQILSLRNNKFSGELPNCWYRSLTLWGIDISSNSLTGNIPSSFGFLSSLSVLLLSNNNLEGEIPSSLQNCSGLTSMDLGGNKFLGSLPWWVEKLSSFFMLRLGSNFISGPIPDQFCNLQNFHILDLSHNKISGSIPKCIGNLTALVHGKSSEVFEGLIKVVTRGRDPEYSSVEASMNSIDLSGNYLAGEIPDEIRSLSALRILNLSSNFLSKSIAEKIGNLQLLESLDLSHNHLSGAIPQSLTSLASLTRLNLSYNNFSGRIPLLPKFNDSSIYDGNPLLCGAPLPTKCPDK >EOY00277 pep chromosome:Theobroma_cacao_20110822:2:30879400:30881084:-1 gene:TCM_010118 transcript:EOY00277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like transcription factor family protein MSRSCSQCGNNGHNSRTCGEVAGGGGGGENGIMLFGVRVTEGSFRKSVSMNNLSQFDQPQDSNADAGYASDDVVHASGRSRERKRGVPWTEEEHRLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRNNQNRRRRRSSLFDITTDSFMNSTILEEDQVHQENVSLPLPLPQSQAQAQMSRFPMSTFPVTLSPVVLPVAGENNSMENLTLGTSPNANTSPKLIRPIPILPVPPSSKMADLNLKQKIPVDPLPLSLKLSTPSADEQSTAAAAAHSSTFQAMSSGDSNSIISVA >EOY00441 pep chromosome:Theobroma_cacao_20110822:2:32397561:32400435:-1 gene:TCM_010305 transcript:EOY00441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 27 MGATSPLKRQSHSHSLTKKFLPYALYALLPIALFRLYFHPFPLPQSTTEQLPHNNRIILTTSSSSSSFSKGILKEEVANETTCDYTNGRWVHDKMGPLYNGTTCGIIKDGQNCILHGRPDLGYLYWRWQPSQCKLPRFDTNTFFHLLSNKHLAFVGDSMARNQLESLLCMLATASNPNLVYRDGEDNKFRRWHFTSHNITISVYWSPFLVRGVEKSKTGPDHNELFVDIVDERWGADLDHIDMIVLSIGHWFLHPAVYYEGGSVLGCHYCPGLNHTEIGFYDVMRKAVKTALKTIIEKKGANGNRIDVFLTTFSPSHFDGEWDKAGACPKTKPYKEGEKMLEGMDADMRATEVEEMGAAKVNAKQFEGIRLEILDVTKLSLMRPDGHPGPYMYPFPFANGVQERVQNDCVHWCLPGPIDTWNQILLEVVRRWSVQSRREE >EOX99226 pep chromosome:Theobroma_cacao_20110822:2:9302197:9302641:-1 gene:TCM_007836 transcript:EOX99226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MAEKLGGEGLIRELCNGFRLLMDKEKEVITVESLKRNAAMLGLQDLRDDELESMVREGDLDGDGALNEMEFCVLMFRLSPGLMEESQLLLEEILEDELRTAGF >EOY01247 pep chromosome:Theobroma_cacao_20110822:2:38578321:38580925:-1 gene:TCM_011190 transcript:EOY01247 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein, putative MEPSMAIGVPGVTLSSCDLTMPVIGMGTSSSPPVAPETTKAAIVEAIKAGYRHFDTAFAYRTEQPLGEAIAEALRLGLIKSRDELFITSKLWSSFAEKDLIVPSIRMSLRNLQLDYIDLYLIHWPLKLSQEVRQMPVIREHIFPLDIKSVWEGMEECKNLGLTKAIGVSNFSCKKLEELLSTAKIPPAVNQVEMNPLWQQKKLREFCKVRGIHVSAFSPLGANNTKWGDNRILECDVLEEIAKAKGKTTAQISLRWLYEQGVSSIAKSFNNERMKQNLDIFDWSLTEEESNKISQLPQRKGITFESILGPHDLVLELDAESLDDC >EOX99999 pep chromosome:Theobroma_cacao_20110822:2:21835129:21844879:-1 gene:TCM_009243 transcript:EOX99999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPKTRAASRRAGEQDVPIEMADRPWASTQRGRGRRGRVTRPVGLDTPVSRQEEGQSSGDVDRHPARGITIEDLAAGLQGVNRVVEMMATRMEDIQRVVEGRPTVQESPSSQGQADHQHHEEERGHLDISLPDFLKLKPPTFSGSDASEKPQVFLDKMEKICKALGCSSVRSVELAAFQLEDVAQEWYSSLCRGRPTNATPLAWSEFSVAFLDRFLPLSVRNARAREFETLVQTSSMTVSEYDIKFTKLARYAPYLVSTEEMKIQRFVDGLVEPLFRVVASRDFTTYSAAVDRAQRIEMRTSESRAARDRAKRGKTEGYQGRRDFSSGGSSSNRQGPQRDSRLPQQGSDAPGANIRVGQRTFNSRRQQDSRQSSQVIRSCDTCGRRHSGRCFLTTKTCYGCGQPGHIRRDCPMAHQSPDSARGSTQPASSAPSVAVSSGREVSGSRGRGAGTSSQGRPSGSGHQSSIGLLGFGGLRPLDPCAGHDPEFGS >EOX96925 pep chromosome:Theobroma_cacao_20110822:2:540197:542642:-1 gene:TCM_006059 transcript:EOX96925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSHKGEMARIFEINLAKYHVGKLRFHYDGQALPAGDAILEVRGGLGETWEFFCKKEGSNDFSIYGDQWKRFAASRLHATITLSKEDNEDFYIIEVWNTEGETKFIILLHPYTFKVVQSIISKSHNFKVVRFRKDGVDNFERAR >EOX98157 pep chromosome:Theobroma_cacao_20110822:2:4711051:4713222:1 gene:TCM_006983 transcript:EOX98157 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein MGEVDPAFIQATEHRPKLNTIQIEGIPLIDLSVSDTRDLKQLVSEIGNACKKWGFFQVINHGVPLELCTRIKEAAKTFFDRPIEEKRKVKRDEVNAMGYHDSEHTKNVRDWKEVFDFLLEDPTFVPASPEPEDKEIRTLTNQWPENPPEFREVCQEYAREVEKLGYKLLELISLSLGLPANRLSGYFKDHTGMLRLNYYPPCPCPDLALGVGRHKDASALTVLSQDDVGGLQVKRKTDGEWIPVKPIPNAFIINVGDTVQVWSNELYESVEHRVVVNSEKERFSVPCFFFPCHSVMVKPLEELVNEQNPAKYKEYSFGKFFVARNRSDYKKLEVENIQIHNFKISN >EOX98910 pep chromosome:Theobroma_cacao_20110822:2:7740654:7746528:-1 gene:TCM_007576 transcript:EOX98910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast monosaccharide transporter2 MSGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKKEFKLESDPTMEGLIVAMSLIGATCITTCSGGISDWLGRRPMLIISSVLYIISGLVMLWSPNVYILLLARLLDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSIGMFLSYCMVFGMSLMTLPNWRLMLGVLFIPSFIYFALTVFFLPESPRWLVSKGRMSEAKKVLQRLRGREDVAGEMALLVEGLGVGGETSIEEYIIGPANEDAEDHDVSADKDRIKLYGPEEGLSWVARPVTGQSTLGLVSRHGSIANQSTLGLVDPLVTLFGSVHEKLPETGSMRSTLFPHFGSMFSVGGNQARNEEWDEESVVREGEDYQSDGAGGDSDDNLQSPLISRQTTSMEKDMVPTAHGSLTSMRQGSLMQANAGEPGSMGIGGGWQLAWKWSEKEGQDGKKEGGFKRIYLHQEGFPGSRRGSLVSLPGTDAPAESEYVQAAALVSQPALYSKELLKQHPVGPAMVHPAETAKGLSWSDLFEPGVKHALIVGVGIQILQQFSGINGVLYYTPQILEQAGVGVLLSNLGLSSSSTSLLISGITTLLMLPSIAVAMRLMDIAGRRSLLLTTIPVLIISLLILVIGSVVKMGNVVHAAISTVSVVLYFCFFVMGFGPIPNILCAEIFPTRVRGICIAICALTFWICDIIVTYSLPVLLKSVGLAGVFGMYAVVCVISWVFVFLKVPETKGMPLEVITEFFSVGARQVAAAKNN >EOX97731 pep chromosome:Theobroma_cacao_20110822:2:3236251:3241713:1 gene:TCM_006666 transcript:EOX97731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multimeric translocon complex in the outer envelope membrane 132 MENGVGMVDGSVIVDDKVVEERVANEKVEERVVGGSAEIKDVEEEVFEEAIGTQEGLQEQTEKSGQGDGSVAADANGNGETISDVGSEEVQENSNSELEAETFEEAVGVPSEVEPLEDVVRSEVGSKEDVVRSEVGPKEDVVPSANEDAAVSVDEQKVEELLGGDSIGGSVVSDKIDEGGTGTGAGTDELNGGKELPEISGIGETEVLRNEDEGNVKSDTVIEKPVNGDSDKVYLEGTLADQSLETLEADEVGEDVKMETKLEVLPREVKVEESREDALATDYEDQKVGESADTSAGVIVKLQDDEVALNDKSANLDKGDQGKESTEVKGATAVRNSGDGGDEGEKANNALANVEMEDNRYREVKESSDAWGIKYNSEIDELKDMLSELSTSVEGTVAPENGNLSSSEKFTDERNVKIAAGKTDLRTEVHDGSQYELPNEMVDQVQDIHCVTEESEKKVEKDQEDKQSIQMTLEHEVQHAPGSSLPEKAEGSGKIADTDQKLKQSNPVIRQREILPDPVSSSVKSTNSAAPPSRPAGLGRAAPLLEPAPRVVQQPRVNGTVSQAQTQQIEDPANGDAEESDETREKLQLIRVKFLRLAHRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLEAAGNEPLDFSCTIMVLGKTGVGKSATINSIFDEVKFGTDAFQTGTKKVQDVVGTVHGIKVRVIDTPGLLPSWSDQRQNEKILHSVKHFIKKTPPDIVLYLDRLDMQSRDFGDMPLLRTITEIFGPSIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANTLLKLQDTPPGKPFATRTRTPPLPFLLSSLLQSRPQVKLPEEQYGDEDGLDDDLDESSDSEDESEYDELPPFKRLTKAQIAKLTKAQKKAYFDELEYREKLFMKKQLKEEKKRRKMMKKMAAAAKDLPSEYNENAEEESSGASSVPVPMPDLALPASFDSDNPTHRYRYLDNSNPWLVRPVLDTHGWDHDVGYEGINIERLFVAKDKIPISFSGQITKDKKDANVQMELASSLKHGEGKATSLGFDLQTVGKDLAYTLRSETRFSNFRKNKATAGISVTLLGDALSAGVKVEDKLIANKRFQVVMTGGAMTGRGDLAYGGSLEAQLRDKDYPLGRSLSTLGLSVMDWHGDLAIGCNIQSQVPVGRSTNLIARANLNNRGAGQVSIRINSSEQLQIALIALLPLLKKLLDYPQQMQYGQ >EOY01992 pep chromosome:Theobroma_cacao_20110822:2:41619018:41620637:-1 gene:TCM_011762 transcript:EOY01992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger-like protein MASPTYQCSDLRHVVFHRLEPESPSPTPNSIQDVEVTITLQLDLKRHYCLTNQFIDLDEGPLFFQETLRFDLRVLSNPYRASLILGPVLRRHYITHNTPSYDAIIDDIIRHGRRIGNWKSNKGRPVLPLLVEISGTLVQHVNYEEDEGLIGRALEESASELETSNYNMVPAKESSVKKMLKRVSVEDGDCDGENIKKRRVIADECVICLEELKVGSDASQMPCSHTFHGDCIEKWLKQSHYCPICRFEMPI >EOX99773 pep chromosome:Theobroma_cacao_20110822:2:16160611:16161301:1 gene:TCM_008686 transcript:EOX99773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFHSCFLDAENIFKEILLLPLGIGRCASFKLIFGDAKVIRVIDLEKQKRPPYLSGGVRKYPGQVLSRPHLQRGLKHSRIGEEKEYIHYPVPTHRYALFSDGRRGPAMGLMFI >EOX97114 pep chromosome:Theobroma_cacao_20110822:2:1232689:1236903:-1 gene:TCM_006215 transcript:EOX97114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEFQGLEWKNDFHRGNSAIVAMTFAGAAGVSLELIATKEPIVKVRPAAGVMTVKSGCYAEIARENSSHCRCSTSIICI >EOY01417 pep chromosome:Theobroma_cacao_20110822:2:39361051:39362744:1 gene:TCM_011311 transcript:EOY01417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTAMTQWHPPVRLEMISTAPDPFVEQGRGNSDDPAAPRWPPLVLPDKPVEVVFKGDKGQMEVVMGCLNEFVAASGLAISLPKSKLFVSPNVSCALLIV >EOX98278 pep chromosome:Theobroma_cacao_20110822:2:5178526:5183649:-1 gene:TCM_007079 transcript:EOX98278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MLVHAKALLGFLAFLGLQLPLILADCPLDLSGSNFTLVASVCSNKDDRGKCCRYMNAFIAVSVARYANVTSNLGVTSDSSEVCIHSILQTMELYGVPRNATIFCGFGTKIPVSYDCRGRTTVMQMLESPKFMDVTENCKLPLLQENDCRKCLNAGIVYLHHLVGSENNMTLSTCRDATFAALASQVDNTSAIEIASCFFQVQGFNIQPVSESSPSLPAPKASPSPLVAASPSQLMLGVPSKQKHHNYHLTLIPGVGIAVTVAAVMMLIILVVLIRRKSRELEDSESMDKNSSKLFSSPRPMRKFQEGPTSMFQKYSYKETKKATDNFNTIIGRGGFGTVYRAQFSDGSVVAVKRMNKVSEQGEDEFCREIELLARLHHRHLVSLRGFCSKKHERFLMYEYMSNGSLKDHLHSPGKTPLSWETRIQIAIDVANALEYLHCYCDPPLCHRDIKSSNILLDENFVAKVADFGLAHASKDGSICFEPVNTDIRGTPGYMDPEYVITQELTDKSDVYSYGVLLLEIVTARRAIQDGKNLVESSQILMGSESRLIELVDPQIKDSFDLDQLQTVVTIVRWCTQREGRARPSIKQVLRLLYESSDPMQSGFIQAVEDEDYEGSEGRGRSSRGKVPRSGPLFYSGDGRYLASSSSTSRSYCSRSFLLETGSPQSPQNILSL >EOX98279 pep chromosome:Theobroma_cacao_20110822:2:5179635:5183654:-1 gene:TCM_007079 transcript:EOX98279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MLVHAKALLGFLAFLGLQLPLILADCPLDLSGSNFTLVASVCSNKDDRGKCCRYMNAFIAVSVARYANVTSNLGVTSDSSEVCIHSILQTMELYGVPRNATIFCGFGTKIPVSYDCRGRTTVMQMLESPKFMDVTENCKLPLLQENDCRKCLNAGIVYLHHLVGSENNMTLSTCRDATFAALASQVDNTSAIEIASCFFQVQGFNIQPVSESSPSLPAPKASPSPLVAASPSQLMLGVPSKQKHHNYHLTLIPGVGIAVTVAAVMMLIILVVLIRRKSRELEDSESMDKNSSKLFSSPRPMRKFQEGPTSMFQKYSYKETKKATDNFNTIIGRGGFGTVYRAQFSDGSVVAVKRMNKVSEQGEDEFCREIELLARLHHRHLVSLRGFCSKKHERFLMYEYMSNGSLKDHLHSPGKTPLSWETRIQIAIDVANALEYLHCYCDPPLCHRDIKSSNILLDENFVAKVADFGLAHASKDGSICFEPVNTDIRGTPDDFQVIWIL >EOY01410 pep chromosome:Theobroma_cacao_20110822:2:39333233:39340947:-1 gene:TCM_011307 transcript:EOY01410 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein isoform 1 MKGKAGTPGNFFVLNTGAKIPAIGLGTWQSGGDLCVDAVKTALSVGYRHIDCAHLYGNEIEVGEALAEAFKGSLKREDVFLTSKLYCTMNSLNKIENYVRVSLKNLGVSYLDLYLMHWPESSAFGDATDPPSKSGSEHRQFLNRLKKVWKAMESLVELGLVRAIGVSNFSVHQIKELLKFAKIVPAVNQVELHPFWRQDELVKFCQLKAIHVSAHTPLGVPTSSPGVSDSGSGGEDEPGTPRISFRRSRSVHGPMLKLSVVGEIADRHKKTPEQVILRWGFQRGTSVLPCSLKPDRIKQNIDIFNWSLSDDEWKRLNQIEPQVCLFGDGPLNNLSDRGLMFGSGPLQAVREMEDDAESNA >EOY01411 pep chromosome:Theobroma_cacao_20110822:2:39333223:39341086:-1 gene:TCM_011307 transcript:EOY01411 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein isoform 1 MKGKAGTPGNFFVLNTGAKIPAIGLGTWQSGGDLCVDAVKTALSVGYRHIDCAHLYGNEIEVGEALAEAFKGSLKREDVFLTSKLYCTMNSLNKIENYVRVSLKNLGVSYLDLYLMHWPESSAFGDATDPPSKSGSEHRQFLNRLKKVWKAMESLVELGLVRAIGVSNFSVHQIKELLKFAKIVPAVNQVELHPFWRQDELVKFCQLKAIHVSAHTPLGVPTSSPGVSDSGSGGEDEPGTPRISFRRSRSVHGPMLKLSVVGEIADRHKKTPEQVILRWGFQRGTSVLPCSLKPDRIKQNIDIFNWSLSDDEWKRLNQIEPQGYNIC >EOX99953 pep chromosome:Theobroma_cacao_20110822:2:19307638:19308996:1 gene:TCM_009035 transcript:EOX99953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLAGSHNRDKKLLMPRFVGAVWEACDALKKVPATNVIAIGRSMTQVAVSVKDVLREMKELKPASSDLRDEASDGSSSKVESRPQDDDGDDLSEDDIGSDLSPEEMKVAQLAQGVVSETLVTIKELIRTITGLLKQETPDDNSKFADSLEKLLKLCQGVGVLADEIGACLYPPQEIAAIKVALGKISSSINEVQQEVESFQTSSKSVGEACNGLRTLLKQMEAELDCSSTTDLANKLQNVAVINKGERFETFAN >EOY01644 pep chromosome:Theobroma_cacao_20110822:2:40453094:40456305:-1 gene:TCM_011489 transcript:EOY01644 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein MGLPRNVPMLLVSVFLCFAVTNTITSACSNGQCKLLDECSSDGDCQPGLSCFSCSEAFDGSRCIRSATTNQFKLVNDSLPFNKYAFLTTHNSFANKAEPLHTGIRITFTNQEDTVTQQLNNGVRALMLDTYDFKEDIWLCHSFEGKCHDYTAFGLAIDTFKEVEAFLSANPSEIVTLILEDYVETPNGLSKVFKDSGLMKYWFPVSSMPQNGQDWPLVKDMVANNQRLVVFTSKKSKQESEGIAYQWNYMVENQYGDDGEHTGECGNRGESAPLNDKTKSLVLVNHFHSIPIKGMTCEDNSAVVVSMLDSCYGAAGSRWANFVAVNYYKRSDGGGVFQAVDKLNGKLLCGCDDVHSCVPGSSSATCSP >EOX99274 pep chromosome:Theobroma_cacao_20110822:2:9792137:9793795:-1 gene:TCM_007893 transcript:EOX99274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPIRHPPLLSSIRWNVENPSCLDATSKMLCPLKSFYFHLVGYHGKIATKYELHRRGLLEANAVLCTTCSTKIETLSHLFFTCLVAWKIWMNNCSLWGLKWVHPSDATNFFVAWQHIIPLSGAAEIWNRLFFSLIWSLWLSHFPLGPSRITFKKKKTKTVINIKWERPPPSSLKLNVDGLVLGKPGPTGIEGAIRDHDGFIKGLFSFPTGIEDLNYAEFLANQGGHLSLSLLSMGLLFSPH >EOY00187 pep chromosome:Theobroma_cacao_20110822:2:28939717:28940677:-1 gene:TCM_009923 transcript:EOY00187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIESKDLGMMVRSLVFLLKVLSSNLNKSTSSFVEKESDVSISWHAVVSCQYTYQNGPSPLGQSIFY >EOX98365 pep chromosome:Theobroma_cacao_20110822:2:5504707:5508578:-1 gene:TCM_007143 transcript:EOX98365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal pseudouridine synthase, putative MLVGPNLLRRLLRSSRPPATHGGNGLIVSAFHQLRYHYSTTNELSAEHLKDDGNSEKKKKNKWFTLPPFTKTVNASVLGAKLSGKPHSKAANETTAVKWVMRCCPELPRSLVQKLFRLRQVRRESHVLEVAGDGCQVQTVQLKRVGAKDALNIGDRIFLPFSVREFREEKQESGCTEEELNFIRGLELYKDPAIIVLNKPPGMPVQGGIGIKWSLDKLAAACLCFDYSEPPRLVHRLDRDSSGILVMGRTQMSATILHSVFREETNDASKDDVDNEKRILQKRYWALVIGSPRRPKGMIVAPLRKVVVDDGKSDRITVFDNSQIVSSQRAKTEYQVIKTTSHGYTWLELSPLTGRKHQLRVHCAEVLGTPIVGDYKYGWQAHRRWQHLPESDLKKSSNDKCPEENMLPFGLNMDSGSISAKRPRLHLHCREMIFPNVSQALQNLQLSTDHDLSKLKSLEVVAPLPSYMQKSWDIMNS >EOY01153 pep chromosome:Theobroma_cacao_20110822:2:37864139:37870248:1 gene:TCM_011084 transcript:EOY01153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein RP/EB family member 1 MTEKPPPKPVTPQDWESVVEDFQHGGARREKWSSLSPSLAELALSSIVKKEFPVKIPLVIFLDEFSHLLFPPNSLSLLLDRLVETLRTIVQSPIDGVHITYALKEQMMVSATSILISTNSVETVEVRLTEAVVELLLAVINRPNHGSDRHARAIACECLRELENSYPCLLSDIAGHLWSLCQSERTHASQSYILLFTTVIYSIVNRKLSISILNTSVPLIPFNLPQWILGSEKEGLGLNFKELRRAMAFLLEWPQVFTPCGMMVFMGMVMPLAVALDLQPSMLKVQFFGMIYSFDPVLCHVVLILYSRFAEAFSEQEREIVRRLLLVSLEMQHYLVFRLLSVHWLMGLLNGLMLNGGNVENKKSIVEMGFMFYPSVFDPLSLKALKLDLLAFCSVCIDSLKPQSVSDMIIGDGNSVVKLFQDGLVSVSAFKWLPPWSTETVVAFRTLHKFLIGASSHFDADPSTTTVLMESAIFNFLKGMLVDMILEFQRLVPVIVAFVDRLLGCQKHHWLGERLLQTVDENLHPRVIIDYRLVSYFLIFDRIAENQTIPPRRLLDLLTKFMAFLVEKHGPDTGGKSWSQGSKVLGICRTMLIHHQSSRLFLGLSRLLAFTCLYFPDLEVRDHARIYLRMLICVPGVKLRGMLNLGEQLLGVSSSPHSGSFFSVPSPRHYQDLKKSRNISSYIHLERMIPLLVKQSWSLSLLPLGFGSNKNDFSGGIRDSEASTDERELDANIQLQTISEDERMDKQQVPLYVMDSKVSEILGILRRHFSCIPDFRHMPGLKVKIPCNLRFDSEHFNHVWGGESPKSGLHGVDASPAIYATVLKFSSPAPYGSIPSCHIPFLLGQPPVGDYFPGETASLDVVATHNGSGEEEIYKAPVIIELEPREPTPGLVDVFIETNGEDGQIISGQLQSITVGIEDLFLKAIAPPDILEDVLPDYYTDLFNALWDACGTTSNTGREAFPLKGGKGVAAVNGTRSVKLLEIPAVSLIRATEHYLAPFVVSVSGEHLVNMVKDGGIIRDIVWKDEAFGLLLDATTSVAELDRTPLHLTFTGNEDERESQLNISKRSMGCIHILVFLPPMFHLLFQMEVSDVSTLVRIRTDHWPCLAYIDDYLEALFLS >EOX99403 pep chromosome:Theobroma_cacao_20110822:2:11015450:11016990:1 gene:TCM_008086 transcript:EOX99403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQERLVQGQAYQRLMNMLHHDLCWGDDLQKFISMHDFYKRIGRARKKGTCCMHYQELAKLALF >EOY01769 pep chromosome:Theobroma_cacao_20110822:2:40857903:40859601:1 gene:TCM_011596 transcript:EOY01769 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP-like protein MFSFLAYFPSTKTDDGSFQFGNAIEIPGIPAIPRSSLPPVLLNSNSLFAKKISENSQTITKVNGVLISKYEGLEKQVLDMLNSAKGLPPVFPVGPLLPSTSKEQIREIAMGLVVSGCKLLRVARTKIVDKEEEEGLDKILEHELMQRIKSSNNGLVVVEAALNGVPLLACPKRMFGDQRIDVKVIEALGWGLCVKRWGWGEDVVLKGE >EOY00470 pep chromosome:Theobroma_cacao_20110822:2:32824420:32825019:-1 gene:TCM_010350 transcript:EOY00470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSIGDQTTLRLNALVQHSVSIANMEIVHTHAIEHYESEDILQALDVRVSHLETIINHEAWSNFEYFEDSNHQLESNSDELPKK >EOX99129 pep chromosome:Theobroma_cacao_20110822:2:8604454:8608646:-1 gene:TCM_007736 transcript:EOX99129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding LysM domain-containing protein, putative isoform 2 MMEPDPSTDRQKREGEGGTRFRWEHSYSNTYSASCYSNNKIKATVLEKEKNHSLPASSLHGAGFIEHPVSRFDTLAGVAIKYGVEVADIKKMNGLVTDLQMFALKSLQIPLPGRHPPSPCLSNGSETPGQCSANQTPAQHFPPDLLDSFQSMRLKTPPRRVSPAMSSLQGYYGLKPAEKKTKSEGFEMAVYRKGEAHYLEDGPFLKPSPASNPPLNYHRKCRSLANGFFDENGEVLADIMSAGEGKEGEADKSNEKLIRRRQKSEADFTARTPEKLLKEDNTSSGGFSTITAKGLALRSKAASRTVSGADAEVAGINPMPIGIGDGFVVDGFSVVRKSSSTSSLQDQDSNSLSSLWPTASKWSLKPDLQALSTVAITRPIFDGLPKPMSGRKNKAALD >EOX99128 pep chromosome:Theobroma_cacao_20110822:2:8604520:8608419:-1 gene:TCM_007736 transcript:EOX99128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding LysM domain-containing protein, putative isoform 2 MQMERERRNSIANGDYSYNTNANYSNFCNDYSVLEKEKNHSLPASSLHGAGFIEHPVSRFDTLAGVAIKYGVEVADIKKMNGLVTDLQMFALKSLQIPLPGRHPPSPCLSNGSETPGQCSANQTPAQHFPPDLLDSFQSMRLKTPPRRVSPAMSSLQGYYGLKPAEKKTKSEGFEMAVYRKGEAHYLEDGPFLKPSPASNPPLNYHRKCRSLANGFFDENGEVLADIMSAGEGKEGEADKSNEKLIRRRQKSEADFTARTPEKLLKEDNTSSGGFSTITAKGLALRSKAASRTVSGADAEVAGINPMPIGIGDGFVVDGFSVVRKSSSTSSLQDQDSNSLSSLWPTASKWSLKPDLQALSTVAITRPIFDGLPKPMSGRKNKAALD >EOX99130 pep chromosome:Theobroma_cacao_20110822:2:8604427:8608419:-1 gene:TCM_007736 transcript:EOX99130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding LysM domain-containing protein, putative isoform 2 MQMERERRNSIANGDYSYNTNANYSNFCNDYSVLEKEKNHSLPASSLHGAGFIEHPVSRFDTLAGVAIKYGVEVADIKKMNGLVTDLQMFALKSLQIPLPGRHPPSPCLSNGSETPGQCSANQTPAQHFPPDLLDSFQSMRLKTPPRRVSPAMSSLQGYYGLKPAEKKTKSEGFEMAVYRKGEAHYLEDGPFLKPSPASNPPLNYHRKEGEADKSNEKLIRRRQKSEADFTARTPEKLLKEDNTSSGGFSTITAKGLALRSKAASRTVSGADAEVAGINPMPIGIGDGFVVDGFSVVRKSSSTSSLQDQDSNSLSSLWPTASKWSLKPDLQALSTVAITRPIFDGLPKPMSGRKNKAALD >EOY01927 pep chromosome:Theobroma_cacao_20110822:2:41375427:41380462:1 gene:TCM_011708 transcript:EOY01927 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDA1/CD39 nucleoside phosphatase family protein, putative isoform 2 MRRSHARNRVESKSKSNTSTPKMDPVRLQIRPNTRSTALFSRNPRQTKPTPSFIAVSIATSLALVIFIYIFLFSTKYSKKYGIIIDGGSTGTRIHVFGYRVEGKAKNPVFDFKQGLESLRVNPGLSAYAEDPEGAADSLRELLEFGRRKVPRKLWGETEIRLMATAGLRLLDVEVQERILEECRKVLRVSGFKFHDDWASVITGSDEGVYAWVVANYALGTLGGNPLHTTGIIELGGASAQVTFIPSEPMPSEFSRSIKFGNFTYNLYSHSFLHFGQNVAHESLRESLIKRDFSPAADSLPKEMYIDPCTPKGYLPESSKLSLVSMAEKSKYISELQARGNFSECRSAALMLLQKEKRNVPMIAVIWGQFSCLSFKGNFWLQKIFSIRQSSLGCAKGPFFLI >EOY01926 pep chromosome:Theobroma_cacao_20110822:2:41375129:41380769:1 gene:TCM_011708 transcript:EOY01926 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDA1/CD39 nucleoside phosphatase family protein, putative isoform 2 MRRSHARNRVESKSKSNTSTPKMDPVRLQIRPNTRSTALFSRNPRQTKPTPSFIAVSIATSLALVIFIYIFLFSTKYSKKYGIIIDGGSTGTRIHVFGYRVEGKAKNPVFDFKQGLESLRVNPGLSAYAEDPEGAADSLRELLEFGRRKVPRKLWGETEIRLMATAGLRLLDVEVQERILEECRKVLRVSGFKFHDDWASVITGSDEGVYAWVVANYALGTLGGNPLHTTGIIELGGASAQVTFIPSEPMPSEFSRSIKFGNFTYNLYSHSFLHFGQNVAHESLRESLIKRDFSPAADSLPKEMYIDPCTPKGYLPESSKLSLVSMAEKSKYISELQARGNFSECRSAALMLLQKEKEKCSYDRCYLGSVFMPKLQGKFLATENFFYTSKFFRLRQRAFLSDLMIAGQHFCGEDWSKLKKKHQSLDEEDLLRYCFSSAYIVALLHDSLEIALDDERITFANQVENIPLDWALGAFILQSTTNSDVQQPDWITTIISKDSPTLLSIIAISAILIFIAWSISKWRKPQLKTVYDLEKGRYIVTRIGRS >EOX96899 pep chromosome:Theobroma_cacao_20110822:2:441061:444225:1 gene:TCM_006043 transcript:EOX96899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPLTLRGRMQMAALRKQRSKSKTKHRRNGMKTMQESFKRLKMEMEEISEEQKNIREGQRQVKEKFEAIESECEELKRETRLIIQQSARTQVKLALMFRILKAREAGDFNIAAHFTEILRAIVGREKGEREADS >EOY01315 pep chromosome:Theobroma_cacao_20110822:2:38988050:38991550:-1 gene:TCM_011248 transcript:EOY01315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYFLFGRHPTSVLRGKYMVGAKMLIKPPFSLVLCCFLLASLLCIRHGVVARRLLEVQENDNGTSKVGIRKSKPTTAPSWVGQNNPASSQSHGVKTSQATCTRANRYNRDCLPPPNKANNPPSSQSHGLKASQATSHFVSYEAVRSRKNLTVIGCRPYNRDCHRSP >EOY00631 pep chromosome:Theobroma_cacao_20110822:2:34019957:34020818:-1 gene:TCM_010519 transcript:EOY00631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPAKPLKTTPFGRVLSASACLSETTPFCPPKPRFCRVLKSRVVQFFGQPGEGVLAPLELVARTPHPA >EOX99948 pep chromosome:Theobroma_cacao_20110822:2:19187694:19190718:1 gene:TCM_009018 transcript:EOX99948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein INLSSHFPSPLLPFHLPRNKTDNPPNKQNLNSLSLFLFLLFLSALSKVSQILPIDATCSFSFFYHSLIHSTFSCFSFSSPGAGRRERERERETDQTAAIFSSTSIVRLGLSSLSLSLSVVSSSPH >EOY01174 pep chromosome:Theobroma_cacao_20110822:2:38061561:38065925:-1 gene:TCM_011108 transcript:EOY01174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTTISRRFMLDGTLNSFLFISAKLPGVKRNCLCQQKLIRANFLANLYHNEGDTRKSLQTSIHGSQWQAMGSLESRLAIISSCSSKTSQLSQLKETLGVQVIVCLFKCRTRYVVSQLVGISSWSSRIRVESLNAEGVVGCLVANLVHKLCWAAAGGCKGWGEDVTREKHKMKSDNFFWIKFAARKILRNI >EOX98214 pep chromosome:Theobroma_cacao_20110822:2:4927048:4928394:-1 gene:TCM_007030 transcript:EOX98214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic, putative MSSGDSEIAHEFRPYFRAYKDGRVERFFGSDIVPASIDPQDGVSSKDVTIVPETSVSARIFIPSSVKPSQKLPLVVYFHGGGFFMGSSFCSEYHNYVTSLVSEANVVAVSVDYRLAPEHLIPIAYEDSWAALRWVASHCSGEGPEAWLKDYANFERVFLVGDSAGGNIVHNMAVQASTEDLSGVKLLGICLIQPFFGRKDGHVDKCWLFVSPTSRFDDPRINPAVDSRLSRLGCGRVLTFLAEKDNLRERGLFFCETLKSSGWGGELVIVETEGEDHAFQLLNQKSERALALMNRLVSFINQDKAASM >EOX98390 pep chromosome:Theobroma_cacao_20110822:2:5605277:5607608:1 gene:TCM_007163 transcript:EOX98390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iq-domain 14, putative MNNSMNLNLSTLSHLPSTPNHTTPETHRAKMMDIWSWICELPNSEEWAESDSPLIFTLASAKVRNQGDSTRSIQLKAERTSGSNLEVLVTFNICFEGFQASNAQKPLWVSDTCPLLSEQPFLPLVLQLLQEIINRSPSVPDSTCPRSQLQRLKPEPISWIMESHSPDSFSRSKYRSLNVEVSVGLQTLTPLPRQHLGISYATEAHGFWTLKGYAPVNAMKLTHSSNQKSIFPAIGAKESLLRYALAHQQLEAVIQLEYSVGFYDGYIQVNACVDNLRFHVAKLGFRKKEDDMDYFEERHFPSRIRVWVGPEVGSTYVYGLSLGRSTNNGEREIETQRIVKGSIRKLNNPQVKARSRVSLRNKMKNWRWDQDAEGNAAVFDAVLCDNVTGHEIATCKSLNYGNGGNGDNSLQNRYYGVNRPFTKTGGLVFAGDDYGERVAWRLSREMEGSVLKWRIGGEVWLSYWPNNVGTSYIETRCVEWCDEVDLPLITAK >EOX97646 pep chromosome:Theobroma_cacao_20110822:2:2963610:2965021:-1 gene:TCM_006615 transcript:EOX97646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFEGNFETFHQVIIFSFVTFFYFICIFFSILLVNFERFKSKSNQIFGNDSIVYPPLVFGGNMPLDLEGNIPTVQWICMERSWKLSFSGTEKTNLLRGKRVCYENMTGTVPSWNRTLGADHFFVTCHDIGLKANVGVQHLVELCVELELVMDISHTRMSLPLPQIMPAICSFPLQDLTLRIALKHEYTGLCCGYTLGFWAGCLHYEVVSAWQNDTELDILSNGMINATGKVPHLEKFNPCS >EOY00622 pep chromosome:Theobroma_cacao_20110822:2:33983671:33985550:-1 gene:TCM_010510 transcript:EOY00622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18e/L15 superfamily protein MTTRFKKHRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNIDKLWSLVPQDVKAKANKDAAPLIDVTQFGYFKVLGKGVLPENQPIVVKAKLVSKTAEKKIKEAGGAVVLTA >EOX97015 pep chromosome:Theobroma_cacao_20110822:2:908677:929712:-1 gene:TCM_006139 transcript:EOX97015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase superfamily MEFIIFKATCLPSTYSLVMSKGEKEADLGYLLIFPFLMTRVLHNQIWISLSRYRTAKGKNRIVDKGIDFEQVDRESNWDDQIILNGILFYLANMIMPGASHLPLWRSDGFIIVILLHMGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIATEPITSVIHPFAEEVAYFLLFAIPLMTMVFTGTASIAAISGYLTYTDFMNNMGHCNFELVPKWVFSTCPPLKFLMYTPSYHSLHHIQFRTNYSLFMPMYDYLYGTVDKSSDDLYETSLKRQEESPDIVNLTHLTSTDSIYHLRLGFASLASKPYAFKWYFTMAMWPLSCWSGVLIWFYGRTFVSESNTFNNLKLQSWVVPRYTMHYLLQRKQKDLNYLIEEALLEADSKGAKVHEELNGNGELYIQRHPQLRIKLVDGSSLTAAVVVNSIPKETTQVLLTGRISKVGYAIALALCQKGVQVAAMNEDEYQKLQHSGCQFGKNLVLAENYDQKIWLVGEGLTDKEQLRATKGSVFIPFTQFPPKKLLKDCYYHTTPALVAPKSLDNIHSCENWLARRVMSAWRVAGIVHGLEGWNVHECGQTMFSMDKVWEATLRHGYGWWEMGLTQKEQLKAAQGTIFIPFSQFPPRKVRKDCYYRSTPAMVAPKSLKNMHSCEMISPLFILPIFLFASQINGSSRFVEIMATLLILLSYRDNLVLAGSKVLLPRVRGCVEIRLPRQAAEKYGNQTRRSHRLALETSWELQGRIVCMVQYAILTPWVIHSTYSLVMSKGEKEADLGYLLIFPLLMTRVLHNQIWISLSRYRTAKGKNRIVDKGIDFEQVDRESNWDDQIVLNGILFYLANMIMPGASHLPLWRSDGFIIVILLHMGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIATEPITSVIHPFAEEVAYFLLFAIPLMTMVLTGTASIAAISSYITYTDFMNNMGHCNFELVPKWVFSTFPPLKFLMYTPSYHSLHHTQFRTNYSLFMPMYDYLYGTVDKSSDDLYETSLKRQEESPDIVHLTHLTTTDSIYHLRLGFASLASKPYAFKWYFTMAMWPLSCWSRVLAWFYGRTFVSESNTFNDLKLQSWVVPRYTMHYLLQREQKDLNYLIEEALLEADSKGAKVHEELNGNGELYIQRQPQLRIKLVDGSSLAAAVVVNSIPKETTQVLLTGRISKVGYAIALALCQKGVQVAAMNEDEYQKLQDSDCQFGKNLVLAENYDQKIWLVGEGLTDKEQLKATKGTVLIPFTQFPPKKLLKDCYYHTTPAMVAPKSLDNIHSCENWLARRVISAWRVAGIVHGLEGWNVHECGQTMFSMDKVWEATLHHGFCPLSLSI >EOX96995 pep chromosome:Theobroma_cacao_20110822:2:867324:869887:-1 gene:TCM_006125 transcript:EOX96995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c MASFDQAPPGNAKAGEKIFKTKCAQCHTVEKGAGHKQGPNLNGLFGRQSGTTSGYSYSAANKNMAVIWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQERVDLIAYLKESTA >EOX97043 pep chromosome:Theobroma_cacao_20110822:2:1012006:1016949:1 gene:TCM_006158 transcript:EOX97043 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein MALKVPAQKAAEIAIGSIGRGYDLARDLRLKYCSGDAKDLPLIEFDEVGCYDVALPGGISISNVSKLIKCNQGEAMRIGSDVTSFQQMSERFNHEMSLSGKIPSGFFNAMFDFSGCWQKDAANTKTLAFDGMLITLYTVALEKSQLVLNDHVKKAVPSSWEPAALARFIKTFGTHIIVGVKMGGKDVIYVKQKHSSILRPSDLHTKLKKMADEKFLQNDGRNRIDWKQVKLQNEMQDISIIYQRRGGKEEMNLSHAKWLQTVQVQPDVVSMSSIPITSLLSGIPMSGFLNYAINLYLRYKPPLEELHFFLEFQLPRQWAPVFKEVPRGPQHKRQSNSFLQFKFLGPKLYVNTIPVDVGKRPVTGLRLYLEGKRSNRLAVYMQHLSSLPTYFQLAAEPDDTFPEKSYDKGYFEKVRWKNFSRVCTAPVESFNDHSIVTGAQLQVEKYGFKTVLFLQLRFSTVLGAIIVRHSEWDGSFGVSPQSGVLSDLFIRPLTPAPPQPEPRTSSSVYPGGPPMPNYTPKLLKFVDTAEMIRGPQDPPGYWVVSGARLVVEEGKIALRVKYSLLTATIPDEHT >EOY02190 pep chromosome:Theobroma_cacao_20110822:2:42273800:42276494:1 gene:TCM_011898 transcript:EOY02190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zim17-type zinc finger protein, putative isoform 1 MASTMCNIFFSLSCPKLTSHNHKPIVSLPYSNSFNPISSPKVARASAVPPKRAYRVLACDSTMDPHENMKNSNSDATIDIKLPRRSLLVQFTCGECGERTERLINRLAYERGLVYVQCAGCLQYHKLADNLGLVVEYDLRDEM >EOY02191 pep chromosome:Theobroma_cacao_20110822:2:42273869:42276311:1 gene:TCM_011898 transcript:EOY02191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zim17-type zinc finger protein, putative isoform 1 MASTMCNIFFSLSCPKLTSHNHKPIVSLPYSNSFNPISSPKVARASAVPPKRAYRVLACDSTMDPHENMKNSNSDATIDIKLPRRSLLVQFTCGECGERTERLINRLAYERGLVYVQDVSNITN >EOY00642 pep chromosome:Theobroma_cacao_20110822:2:34204370:34206744:1 gene:TCM_010540 transcript:EOY00642 gene_biotype:protein_coding transcript_biotype:protein_coding description:DORNROSCHEN-like, putative MLQRSSTFQVPSPLSPEPRNRPRKEPLSFFFIFLPVIPSYTFSVVLCVVSSAAGKIYPMEEAFRRLNGITHVPDPDPRDCITGNPKKCAAATTTASTVTPTTTTTTTTTTTTLTTNKRSLKENGGPGGTMRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDCAARAMRGIKARTNFVYPATEPHSANDHFLPPFNFTKQSQPPIRDLNTTRHQFGHSSNWSSFANPHVGDFSGGSTPQRNASLNLLLLRDLLNSSSNSSLHAPPHSFVDQFPFINGTSSASSSSTTISTPSSLPGGALLNSSTNATLRANDNMAGSFIGSTMTLPLKGNNSSHTTGVPAMSTCQAECMEFFPQEPSDSGLLQEIIQGFLPKPMSRKSDDLARISNCAQDSTVPPVSEMSFSQSLSGLKESKNEHLGFYIDYQGVPHQQFESFNGITGSQVVPYGNEVAVNHLQLGEDCMLDDIFQYPDLLGALAARVQNA >EOX99988 pep chromosome:Theobroma_cacao_20110822:2:20788770:20789294:1 gene:TCM_009170 transcript:EOX99988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQPKALVDSGIMQRMQLHQSQAAGASSSTPPRPSSRIRQATLPQRMNRLERRIGNMEQMLHAIAKHMGMDMANFPPPTEDTTDDKDGEKDSESF >EOX97332 pep chromosome:Theobroma_cacao_20110822:2:2007711:2010003:1 gene:TCM_006390 transcript:EOX97332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family/GOLD family protein isoform 1 MKGDSNVRGAVMFPSLVIVVLLLLAFLSNAPVGDAIWLNLPTSGTKCVSEEIQSNVVVLADYVVVSEDHGHTPTISVKVTSPYGNNLHHKENVTHGQFAFTTQEAGNYLACFWLDGHAHGGGDVSVNIDWKTGIAAKDWESVARKEKIEGVELELRKLEGAVEAIHENLLYLKSREAEMRTVSETTNGRVAWFSIMSLGICITASGLQVWYLKRFFQKKKLI >EOX97333 pep chromosome:Theobroma_cacao_20110822:2:2007826:2010286:1 gene:TCM_006390 transcript:EOX97333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family/GOLD family protein isoform 1 MKGDSNVRGAVMFPSLVIVVLLLLAFLSNAPVGDAIWLNLPTSGTKCVSEEIQSNVVVLADYVVVSEDHGHTPTISVKVTSPYGNNLHHKENVTHGQFAFTTQEAGNYLACFWLDGHAHGGGDVSVNIDWKTGIAAKDWESVARKEKIEGVELELRKLEGAVEAIHENLLYLKSREAEMRTVSETTNGRVAWFSIMSLGICITASGLQVWYLKRFFQKKKLI >EOX99555 pep chromosome:Theobroma_cacao_20110822:2:12171433:12175176:-1 gene:TCM_008257 transcript:EOX99555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Optic atrophy 3 protein MRFIVVKTRANVKGNKSAVCFLHPAPWCWKGSTVQKAPTSTNNETPTRRTKERRGGDEMILPVVKLGTLALKTVCKPIANRLKKEAGLHPRFRQFIINIAQANHQFTTKMQRRIYGHATDVAIRPLNEEKAVQAAADLLGELFVFTVAGAAVIFEVQRSSRSEARKEEQRKQELEAMKQRDEDLAQEVELLKHKIEELEQLARGRGLTGLFNFRHAHGAEDGKAQPS >EOY01872 pep chromosome:Theobroma_cacao_20110822:2:41240531:41242735:-1 gene:TCM_011673 transcript:EOY01872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGIKIKLFDLEKHFAFYGAYHSNPINIAIHMLFVWPIFFTAILILCFTPPLFHLPHIDFSLFGSHVALVLNFGFLFALIYAVFYVCLDIKAGTLAALLCGVCWIGSSFLAARLGFSLAWKVVLVVQLVCWAGQFIGHGFFEKRAPALLDNLVQALIMAPFFVLLEALQTFFGYEPYLGFHAVVQTKIEAQINDWQEKKQNLIS >EOX98652 pep chromosome:Theobroma_cacao_20110822:2:6618911:6621085:1 gene:TCM_007362 transcript:EOX98652 gene_biotype:protein_coding transcript_biotype:protein_coding description:C6orf115, putative MNVEEEVGRLKEEITRLGKIQPDGSYKVTFGVLFNDDRCANIFEALVGTLRAAKKRKVLTYDGELLLQGVHDNVEITLKAIPPPATAASSGN >EOX99590 pep chromosome:Theobroma_cacao_20110822:2:12553338:12555526:1 gene:TCM_008310 transcript:EOX99590 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MGAFCCCPCGDEHEEYAYPSNSIYRHCICLRFFFYQLFTGYGAMFHRLEGRPVSSQIQGASLSSTAIATAVPDNSINETHVTMSRPVPYDTEQRYSRLQRDGLVSRRDKSVTHFQEETQPLRRNMSSSGVESLGIGKKRNGVDSEEDSKIGHPESSERTLATKVAYGPTYMQSSSEDEDVCPTCLDEYTPENPKITTRCSHHFHLGCIYEWLERSESCPICGKEMEFCESP >EOX99591 pep chromosome:Theobroma_cacao_20110822:2:12552751:12556385:1 gene:TCM_008310 transcript:EOX99591 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MGAFCCCPCGDEHEEYAYPSNSIYRHCICLRFFFYQLFTGYGAMFHRLEGRPVSSQIQGASLSSTAIATAVPDNSINETHVTMSRPVPYDTEQRYSRLQRDGLVSRRDKSVTHFQEETQPLRRNMSSSGVESLGIGKKRNGVDSEEDSKIGHPESSERTLATKVAYGPTYMQSSSEDEDVCPTCLDEYTPENPKITTRCSHHFHLGCIYEWLERSESCPICGKEMEFCESP >EOX99592 pep chromosome:Theobroma_cacao_20110822:2:12553338:12556185:1 gene:TCM_008310 transcript:EOX99592 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MGAFCCCPCGDEHEEYAYPSNSIYRHCICLRFFFYQLFTGYGAMFHRLEGRPVSSQIQGASLSSTAIATAVPDNSINETHVTMSRPVPYDTEQRYSRLQRDGLVSRRDKSVTHFQEETQPLRRNMSSSGVESLGIGKKRNGVDSEEDSKIGHPESSERTLATKVAYGPTYMQSSSEDEDVCPTCLDEYTPENPKITTRCSHHFHLGCIYEWLERSESCPICGKEMEFCESP >EOY00255 pep chromosome:Theobroma_cacao_20110822:2:30469720:30475144:-1 gene:TCM_010080 transcript:EOY00255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRALWKPQETLPNDTAPNPRREKYRKHLDEVNGENVVDDGDKVDQENTSNKQVQDEEVKQEEEISTPPQVEQYVPLIPFLDTLDELSNEAIDMKNPKKLLEACLVQDATRKDKNEKLTNVKHVLNATPKMHYSRRTQF >EOX99679 pep chromosome:Theobroma_cacao_20110822:2:13587085:13590636:-1 gene:TCM_008433 transcript:EOX99679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKTISKFLMVKEYKDMVIWKWRPNGPKGKKANFFKAIGRWSYVLGIVSGVLMERGGLMKLEVLFLLRKIALFHAVEFL >EOX98703 pep chromosome:Theobroma_cacao_20110822:2:6762490:6764092:1 gene:TCM_007401 transcript:EOX98703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicarboxylate carrier 2 MGLKGFVEGGIASIVAGASTHPLDLIKVRMQLQGESHVPNPSVQVYRPALAMNSTAGNVSISQVVSQPRVGPISIGARIIQSEGVAALFSGVSATILRQTLYSTTRMGLYDILKNKWTDKESGNLPLASKIAAGLIAGGVGAAVGNPADVAMVRMQADGRLPIDQRRNYKSVIDALGQMTKQEGVGSLWRGSALTVNRAMIVTASQLATYDQIKEMILEKGVMSDGIGTHVTASFAAGFVASVASNPVDVIKTRVMNMKVAPGAVPPYSGALDCALKTVRAEGPKAMYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDF >EOX98855 pep chromosome:Theobroma_cacao_20110822:2:7516015:7522667:1 gene:TCM_007532 transcript:EOX98855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MSNFLTATTLGNPNPNKSFEVSEPPNDSVSSLSFSPKANFLVATSWDNQVRCWEIMRNGVNVASMPKASIMHDQPVLCSTWKDDGMTVFSGGCDKQVKMWPLMSGGQPMTVAVHDAPISEMAWIPEMSLLVTGSWDKTLKYWDTRQSNPVHVQQLPERCYAFTVKHPLMVVGTADRNLIVFNLQNPQTEYKRIVSPLKYQTRCVAAFPDLQGFLVGSIEGRVGVHHLDDQQQSKNFTFKCHREGSETYSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMSRCSQPIPCSTFNSDGSIFAYSVCYDWSKGAENHNPATAKTYIFLHLPQESEVKSKPRIGTSGRK >EOY01378 pep chromosome:Theobroma_cacao_20110822:2:39208815:39211978:1 gene:TCM_011287 transcript:EOY01378 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative MATMVSNSLTKKSEPAVNSPTQVIGGHGIDSYSNNSSYQKLAANVVKKKIEDAIMMKLDVASFSSTSNIIRLADFGCGVGSNTITAMHDILEFVKKKYMSQCPASQMPEFQVIFNDQSTNDFNTLFTSLPQDKEYMVAGVPGSFHDQVLPKSSLHLAHCSYSLHWLSKLPKELQDKHSPAWNKGRIHYTSAPQEVLNAYATQFAEDLDNFLNARAQEIVSGGMMVIVGSSIPDGMSYSQVVNGLMYDCMGSILMDMVKTGSINEAELDAFNLPIYACPPGEFTEGVERNGLFTVEVIELMNPAPWLKGPIDIPVFVKHVRAAMEGMFSKHFSREDIDELFNQLVPRLSQISQQMKSCDRDGLQLFAILKRK >EOX99365 pep chromosome:Theobroma_cacao_20110822:2:10515224:10521858:1 gene:TCM_008017 transcript:EOX99365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSCTASTITRNWYLKTRVWDKCVVATWNIAGSTGAATIVATDAAGVRIVRFHNWRQHHSSDTWNEHAVVMFKRPLRLFHLIIILWISWDVTFCNCLSSNSEQSTDEENSSEKQGTRFLTKAEEFELDLPAIEVNLYSAD >EOY02114 pep chromosome:Theobroma_cacao_20110822:2:42000167:42005851:-1 gene:TCM_011848 transcript:EOY02114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma-membrane choline transporter family protein MSDSNVSSSSSDSASTATVDRNVGRSQRIIDGGQTFSPDLRHWRDVFWLAIFIIHLIGLGFVLAVLGLNRFKKLDRLNIDRYTNRVWENNNGLTENYWPKYAVAGGVGTALGWTWLLLLGSRANQMMKVSVHILTTYLAVISVLCFWCKQFFWGVAFATGAALQFLYVISVIDRLPFTMLVLQKAVKLVWSLPEVMRVAYAFMVVMLLWMGIWSFGAAGVVASSRGDLGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGLVFLILIHGGQNASSMPPNSLMKSLRYAVTTSFGSICYGSLFTAAIRTLRWEIRGFRSKIGNNECLLCCVDFLFQLVETLVRFFNKYAYVQIAIHGKGFNRSARDAWELFQSTGVEALVAYDCSGAVLLMGTVLGGLITGTCAGIWTWINWRDRVIMVGSTAMLMGMVLVGLAMVVVESAVTSIYVCYAEDPSLIQRWDPQFFNQMSETLHQRLQHRSARAREVLSHNQLDGHMQDTYPV >EOX96924 pep chromosome:Theobroma_cacao_20110822:2:531660:533296:-1 gene:TCM_006058 transcript:EOX96924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARIFEINLAKYHVGKLRFHYDGQALPAGDATLEVRGGLGETWEFFCKKEGSNDFSIYGDQWRRFAASRLNATITLSKEDNENFYRIEVRQFV >EOX98613 pep chromosome:Theobroma_cacao_20110822:2:6388106:6390856:-1 gene:TCM_007321 transcript:EOX98613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MALWLGGKWRATALSLHAPSSWRRSFRSDAALEAITRAAEEKVPNVVLYNYPSFSGAFSALFAHLFHSRLSLPCLILPFSSVEPLRVEDFYVEGLDKCYLLDFVGLKGFASKLSQQSMCEVIAFDHRKSALPQINCSEDLRVTFNVNLEKSSSIAAYEYFSNKLANMMSFDVKATNLLNSEDRDRVETVLKYIEDADLHRWSITEIKAFRIGLGEWRSKLNCITNPYMYKQLLEISSADVVAKGNLYISSRQIAANKLLDKLFKVRLGRGFYGECLGVRADGNSDLSDEIGKQLSLKSATAGLRPIGAVIYMQRNNLKMCLRSTDSATDTSEVAKAYGGGGCPSSSSFIIRMDEYNQWRSVNM >EOX98612 pep chromosome:Theobroma_cacao_20110822:2:6385649:6390610:-1 gene:TCM_007321 transcript:EOX98612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MALWLGGKWRATALSLHAPSSWRRSFRSDAALEAITRAAEEKVPNVVLYNYPSFSGAFSALFAHLFHSRLSLPCLILPFSSVEPLRVEDFYVEGLDKCYLLDFVGLKGFASKLSQQSMCEVIAFDHRKSALPQINCSEDLRVTFNVNLEKSSSIAAYEYFSNKLANMMSFDVKATNLLNSEDRDRVETVLKYIEDADLHRWSITEIKAFRIGLGEWRSKLNCITNPYMYKQLLEISSADVVAKGNLYISSRQIAANKLLDKLFKVRLGRGFYGECLGVRADGNSDLSDEIGKQLSLKSATAGLRPIGAVIYMQRNNLKMCLRSTDSATDTSEVAKAYGGGGCPSSSSFIIRMDEYNQWRSVNM >EOX98614 pep chromosome:Theobroma_cacao_20110822:2:6389004:6390626:-1 gene:TCM_007321 transcript:EOX98614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MALWLGGKWRATALSLHAPSSWRRSFRSDAALEAITRAAEEKVPNVVLYNYPSFSGAFSALFAHLFHSRLSLPCLILPFSSVEPLRVEDFYVEGLDKCYLLDFVGLKGFASKLSQQSMCEVIAFDHRKSALPQINCSEDLRVTFNVNLEKSSSIAAYEYFSNKLANMMSFDATNLLNSEDRDRVETVLKYIEDADLHRWSITEIKAFRIGLGEWRSKLNCITNPYMYKQLLEISSADVVAKGNLYISSRQIAANKLLDKLFKVRLGRGFYGECLVMTYQSVSDSHP >EOY02089 pep chromosome:Theobroma_cacao_20110822:2:41919367:41923114:-1 gene:TCM_011824 transcript:EOY02089 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoacid dehydrogenases acyltransferase family protein isoform 3 MASPFLSNTTISFSSSLSSSHLPRLLPSISFSPIRFKSNPRRILIVRSKIREIFMPALSSTMTEGKIVSWVKSEGDKLSKGESVVVVESDKADMDVETFYDGILAAIVVGEGETAPVGAAIGILAETEDEIAEAKAKAASKSGASTPSAPPPSPAPAATSTLAPPKPAPAPAPAPVAEGPRKTVATPHAKKLAKQHKVDIESVVGTGPYGRITPADIEAAAGIAPSKTNVGPAVVVETTPAAPPKATAAAAAPSSLPPPVPGSTVVPFTTMQAAVSKNMVESLSVPTFRVGYPVTTDALDALYAKVKPKGVTMTALLAKAAAMALAQHPVVNASCKDGKSFTYNSNINIAVAVAINGGLITPVLQDADKLDLYLLSQKWKELVEKARAKQLQPQEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADADGFFSVKNKMLVQNEMKIVLVRAVVSNF >EOY02087 pep chromosome:Theobroma_cacao_20110822:2:41912928:41923012:-1 gene:TCM_011824 transcript:EOY02087 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoacid dehydrogenases acyltransferase family protein isoform 3 MASPFLSNTTISFSSSLSSSHLPRLLPSISFSPIRFKSNPRRILIVRSKIREIFMPALSSTMTEGKIVSWVKSEGDKLSKGESVVVVESDKADMDVETFYDGILAAIVVGEGETAPVGAAIGILAETEDEIAEAKAKAASKSGASTPSAPPPSPAPAATSTLAPPKPAPAPAPAPVAEGPRKTVATPHAKKLAKQHKVDIESVVGTGPYGRITPADIEAAAGIAPSKTNVGPAVVVETTPAAPPKATAAAAAPSSLPPPVPGSTVVPFTTMQAAVSKNMVESLSVPTFRVGYPVTTDALDALYAKVKPKGVTMTALLAKAAAMALAQHPVVNASCKDGKSFTYNSNINIAVAVAINGGLITPVLQDADKLDLYLLSQKWKELVEKARAKQLQPQEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADADGFFSVKNKMLVNVTADHRIVYGADLAAFLQTFSKIVENPESLTL >EOY02088 pep chromosome:Theobroma_cacao_20110822:2:41919318:41923114:-1 gene:TCM_011824 transcript:EOY02088 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoacid dehydrogenases acyltransferase family protein isoform 3 MASPFLSNTTISFSSSLSSSHLPRLLPSISFSPIRFKSNPRRILIVRSKIREIFMPALSSTMTEGKIVSWVKSEGDKLSKGESVVVVESDKADMDVETFYDGILAAIVVGEGETAPVGAAIGILAETEDEIAEAKAKAASKSGASTPSAPPPSPAPAATSTLAPPKPAPAPAPAPVAEGPRKTVATPHAKKLAKQHKVDIESVVGTGPYGRITPADIEAAAGIAPSKTNVGPAVVVETTPAAPPKATAAAAAPSSLPPPVPGSTVVPFTTMQAAVSKNMVESLSVPTFRVGYPVTTDALDALYAKVKPKGVTMTALLAKAAAMALAQHPVVNASCKDGKSFTYNSNINIAVAVAINGGLITPVLQDADKLDLYLLSQKWKELVEKARAKQLQPQEYNSAGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADADGFFSVKNKMLVNVTADHRIVYGADLAAFLQTFSKIVENPESLTL >EOX98514 pep chromosome:Theobroma_cacao_20110822:2:6026380:6032153:-1 gene:TCM_007257 transcript:EOX98514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymocyte nuclear protein 1 MSSKVSAFCMIVFLLLFTLSCAAAARPEPAAYSDHATPIKTQHLDVEAEKVQVLAVLHCTNGPDKALSEPRASANKSFHAIKQNPQRNRKNPKMGKMVKGKQYWLLKTEPGEWSWEDQAANGGVTKWDGVKNKQAQKHLKSMKDGDLCFFYHSGASARCVVGVVSVVKEWYSESGDKNSEVVVDVKAVGEMRRRVDLKDMKQDAELKGFVMFRQPRLSVMPVPEEVWKRICELGNGFEGDGIGNDGGDEEEDLG >EOY02147 pep chromosome:Theobroma_cacao_20110822:2:42118430:42121484:1 gene:TCM_011870 transcript:EOY02147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucoanthocyanidin reductase 1 MRIKGSRPRPMDQSLTRGSRLKLLKPERPPSMTASTANGRVLIVGATGFIGQFVAEASLDAGRPTYVLVRPSSKNKVAKALQDRGATVLHGLAHEKESMEKLLKEHQIEIVISAVGGEKILDQLSLIEAIKAAGTVKRFLPSEFGHDVDRADPVEPGLTMYKEKREVRRVIEKLAIPFTYICCNSIASWPYYDNRHPSEVIPPLDQFKIYGDGSVKAYFVAGTDIGKFTMRTVDDIRTLNKSVHFRPACNFYNMNELATLWERKIGRTLPRVTVTENDLLSAAAENCIPQSIVASFTHDIFIKGCQVNFSIDGQNEIEVSSLYPNEPFRTLDDCFNDFLVKMKDENIKQSNEIPATKPVVEALAITATCA >EOY02125 pep chromosome:Theobroma_cacao_20110822:2:42034558:42037825:1 gene:TCM_011855 transcript:EOY02125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endosomal targeting BRO1-like domain-containing protein, putative MMLHYLQPAKLQTKKLVFEDVFSARDCSTLEHLKELSSRRRLIEESINQSSSITEAIAREMSGGLTSQCLRDLKKLEQYLPWLETLIFNVDLVCSNHHVVHWISELKIRWSSTLSSSSFFNLRGPKLFQIDNLHYELGMTLYLYAALLRERAVEILPTDLVQSATLFREAAGIFQHLAHEVFPSLQSTQSVERPPEATPSMSTVMSLICLAEAQAATIRRAEEKGTTVSLLTKLHYGITELLGEATAILYSNTGECKDISSHFLEFLSTCKALHELRSLKYLAEELKIADQVGVAVGVLRDALNNVKKKVPGEESWRSIFRKEIDDAADMLRKLERENDFVWQEKIPCGDELPLPQGKKIVDAKPYNPKRLEKELIFKI >EOY00495 pep chromosome:Theobroma_cacao_20110822:2:33006607:33010235:1 gene:TCM_010376 transcript:EOY00495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,3-glucanase, putative MKGSETDGNASSFFFLVCHVSCSYIVSEFPSRGRLEYTLVFLLSSPFRSQPNRVNNKSLYTACQILFKLQSHVAATLGICYGRVANNLPATSEVINILKSNGISDVRIFDADPVTLQSFSGTGINLMIGVPNEMLPSLASGTPIFALQWLQTNILAHIFPSQIRYIAVGNEVFLKDPFYAPYVVPAIVNLYQALQTLNLDSTIKLSSPQAASVLSISYPPSLGTFDPSIRSVLLPLLRFLYETKSPFMVNVYPYFSYISSLKHVSLDYALFRSQNVVQDGMLTYGNLFEASIDAFLYAIEKEGFAEVPVVVSETGWPKGGGVAASAENALAFNENVVRRVVSNVGTPKRPGVGMEVYLFDLFDENGKGGDECEKHFGIFGPDGIKAYDLRFN >EOX97315 pep chromosome:Theobroma_cacao_20110822:2:1965779:1967188:-1 gene:TCM_006380 transcript:EOX97315 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain-containing-like protein MAGFTHWLLFSYLLLLICGHGIDGARDVKPDGWNWRDVPRDLEGKFSISDFHQTVNIASPEKTSLRALVEKYSLRDHSHDNMENSKGGLFTKVADSIPFSSSKLPEILQLFSIPPGSSLAKAMEDTLQKCVAPGKGETKTCATSLESMVDFVSEIKSFRKVACHPMPYPYAVFFCQYDEDSSETRVFKVSMIGENTKDKVDAAAVCHMDSASAALLNLTDKQGKSPMCHFFSAGDLSWVQ >EOY00811 pep chromosome:Theobroma_cacao_20110822:2:35354974:35368213:1 gene:TCM_010747 transcript:EOY00811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSSDLSSVDLPFLSNNPATARSKAKVGSGSIKPVFDLLQSEIGFWIFDEIVKGDVVEISKEELEKVRDARFIKWFKNYVAKHNEEIDQHIIEISYGPRRVYQEDVSTSITSTQSEEVDLTELVSGDYEEVNLSIEDEEDDIDKDEDEEYDMEGEDNENDDEDEYDYEEEDEDKDEDEDHVEHDDCETYNDDRTMVKRKQSKSRPRSSYATGSMAVLTSSQVCDDISIPQQVEMQMRNRQDQEAIELNRDVTFLEVFNHTHKRLGDHGDFIDNKSKSTSPEFDPHAWTEAIGGKETTCTHVYGFGTRVPATTLLTRTQSNVPTSESACGPINSNFNSLANALEEKVKNLAQNLNKIREKIREEIHEEMRNVMAEGMSEFMARMETMFMSNARSTLGDARSSRLDK >EOX98950 pep chromosome:Theobroma_cacao_20110822:2:7890817:7895541:-1 gene:TCM_007610 transcript:EOX98950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 9 MSASRQRTLGGGGQHVLDYLRRMQAENPSFFYAIQGDSDHTGGSIFWADATSRMNYTYFGDTVIFDTTYRTNRYRVPFASFTGLNHHGQPVLFGCALILNESESSFVWLLQSWLHAMSDHHPISITTDPDRLIQMAVAQILPETRLRFSKSSIFKETQEKLAQLYQSHPTFETEFRKCINETETIHEFESSWVLLLERYFVMDNEWLQSMHNARQQWVPVYMRDTFFGELSISEGSGGLNSFFEGFVNPSTTIQMLIKQYEKAVATWHEKELKADYDTSNTTPVLKTPSPMEKQAANLFTRRIFMKFQEELVETLANPATKIDDSGTVTTYRVAKFGEDHKAHTVSFNSLEMKACCSCQMFENSGIICRHILAVFRAKNVLTLPSQYVLKRWTRNAKSGAVLEERASELPNNSRESLTVRYNSLRQEAIKYVEEGAKSIHIYTVAMDALQEAVKKVAAAKNQSPAAAEDGALSNGDNQELHAAGDTQAVACQSADEKEKKIRELTMELESTNQRCEVYRANLLAVLRDMEEQKLKLSVKVQNARLGLKE >EOX97610 pep chromosome:Theobroma_cacao_20110822:2:2851797:2856596:1 gene:TCM_006588 transcript:EOX97610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MVLNFFSGETLFSAFKSIASLLGIWVFVPRMSRCLPFPSPGYVRNGVSGEALSELIKGEARKRRKEREQGEICQKKPGHRERRKRLRSNEGGGRSEIQGKTEYGTQEMESSSLTEELKQPISDSFYESSDYSQSIRKKRNSRSRNECHNHGNIVQTDFQLRTHKTPEALSSKPDCSTLMMDSVVQKKLELPVEEQFSSASGVPATDVQEFVPPPLRELCHSSQTARIYMDEKSKMTLTEQFRELVENWLPRPLQIEQFDVGVQKKLELDPTPVKEQLSSDCGVPATDEKSEMAPTSSCSEISFLQIESQFRPTASVVHSPEAFKLDYAEMENEFKIFVYAEDPNTYYYPEQGFVGGGKYTSEGYFFKNIKESGFLTNDPEKAHLFFIPLSCYEMSRKGLSYDNMTRNVKDFVQSLMVKYPFWNRTLGTDHFFLTCHDIGLKATVGVPHLVKNSIRVVCASGDDDGYIPRKDLTLLQIMQPFAFPAARFDPENRDIRTFWPVYTGNAISLEKYYNRSKFCIFPGLSHVHGARIALSIHHGCVPERLFPVLVDLFSFIDCDLLPKLFNSLLPPVILSDKHDLPFNDILDWNKFSIIIKVDDIERLKLILGRISKEKFRYLHYNTVQVQRHLQWNSPPIKYDAFHMVLYQLWLRRHVSKCRMNY >EOX98794 pep chromosome:Theobroma_cacao_20110822:2:7252996:7266943:-1 gene:TCM_007484 transcript:EOX98794 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-type ATP-ase 1 isoform 2 MESTLSATAPTLTLFSISKALNRHFSSNKSPALIARCIQSRLCAQGLLVSPLASLSYSSTLRSSCAASVPRRLPRRFECVASSTASFGSGGGGVFGGGDGSGGGGGEGTGGGDSKAKLGAGGANELSSLSSDVIILDVGGMTCGGCAASVRRILESQPQVSSASVNLTTETAIVWPVSEAMDVPNWQKELGEALARHLTSCGFKSNLRDSGPDNFFKVFERKMEEKRNRLKESGRELAVSWALCAVCLIGHLAHILGAKASWMHAFHSTGFHLTLSMFTLLGPGRQLILEGVKNLLKGAPNMNTLVGLGALSSFAVSSLAVLIPKWGWKAFFEEPVMLIAFVLLGRNLEQRAKIKATSDMTGLLSIVPSKARLMVDDSIIEVPCNSLSVGDQIVVLPGDRVPADGIVRAGRSTIDESSFTGEPMPVTKEPGSQVAAGSINLNGTLTVEVRRPGGETAMGDIVRLVEEAQSREAPVQRLADKVSGHFTYGVMALSAATFMFWNLFGARILPAAFSQGTAVSLALQLSCSVLVVACPCALGLATPTAMLVGTSLGATRGLLLRGGNILEKFSMVNAIVFDKTGTLTIGRPVVTKVVTPGGMDHSDSRQNLENILSEGEVLKLAAAVESNTLHPVGKAIVEAARGVKCPNLKVVDGTFIEEPGSGVVAIVDNKKVSVGTLEWVQRHGVAENLFQEVDEELRNKSVVYVGVNNTLAGLIYFEDQIREDARHIVDSLHRQGIDVYMLSGDKRSTAEYVASIVGIPEEKVLSEVKPADKRKFVSELQKNQNIVAMVGDGINDAAALASAHIGVAVGGGVGAASEVSSIVLMGNRLSQLLDALELSRLTMKTVKQNLWWAFAYNIVGIPIAAGMLLPLTGTMLTPSIAGALMGLSSIGVMTNSLLLRFKFSLKQQQTHGSSPIYLNTDFVVDPKGKLKKPYSSAL >EOX98795 pep chromosome:Theobroma_cacao_20110822:2:7253156:7266987:-1 gene:TCM_007484 transcript:EOX98795 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-type ATP-ase 1 isoform 2 MESTLSATAPTLTLFSISKALNRHFSSNKSPALIARCIQSRLCAQGLLVSPLASLSYSSTLRSSCAASVPRRLPRRFECVASSTASFGSGGGGVFGGGDGSGGGGGEGTGGGDSKAKLGAGGANELSSLSSDVIILDVGPQVSSASVNLTTETAIVWPVSEAMDVPNWQKELGEALARHLTSCGFKSNLRDSGPDNFFKVFERKMEEKRNRLKESGRELAVSWALCAVCLIGHLAHILGAKASWMHAFHSTGFHLTLSMFTLLGPGRQLILEGVKNLLKGAPNMNTLVGLGALSSFAVSSLAVLIPKWGWKAFFEEPVMLIAFVLLGRNLEQRAKIKATSDMTGLLSIVPSKARLMVDDSIIEVPCNSLSVGDQIVVLPGDRVPADGIVRAGRSTIDESSFTGEPMPVTKEPGSQVAAGSINLNGTLTVEVRRPGGETAMGDIVRLVEEAQSREAPVQRLADKVSGHFTYGVMALSAATFMFWNLFGARILPAAFSQGTAVSLALQLSCSVLVVACPCALGLATPTAMLVGTSLGATRGLLLRGGNILEKFSMVNAIVFDKTGTLTIGRPVVTKVVTPGGMDHSDSRQNLENILSEGEVLKLAAAVESNTLHPVGKAIVEAARGVKCPNLKVVDGTFIEEPGSGVVAIVDNKKVSVGTLEWVQRHGVAENLFQEVDEELRNKSVVYVGVNNTLAGLIYFEDQIREDARHIVDSLHRQGIDVYMLSGDKRSTAEYVASIVGIPEEKVLSEVKPADKRKFVSELQKNQNIVAMVGDGINDAAALASAHIGVAVGGGVGAASEVSSIVLMGNRLSQLLDALELSRLTMKTVKQNLWWAFAYNIWIQKES >EOX99630 pep chromosome:Theobroma_cacao_20110822:2:12863405:12866121:-1 gene:TCM_008351 transcript:EOX99630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDSFNTRVDKIFGSLQSSQSSPLQQQRPPLWSVTDDEVERREWRRDSAADREEVLCSSSFREFLQEERRYRSGRLSRKELEDDLDNDDDDEDDGDSSQSRSRRIDGGGDEWEIRSFIGMDSTLDNEEEEDEYDKVASGRENAGERLYMSDIADHGSYWNSQNVLHSALNRTSKKAVPANRMAARIRLKEDDEEAWKLNNHNGCNAEIRELNVEVSNNGCQLRSILKRKDNCVGFKPQKRVRFDPACKNDLEDSSIKFDDDPTGTFPMSSQDSACGSLPAENACAVRDYINFSRYKRYSFDSSSEVDEESNAQACMDFLKLVQKTKPKLDDVPCDVPKSVTFIAKRKSGNVQPGSCRGEVKQEDESKKSLHLTRLPVGIAAGATQHYKAGATEDDESETSAADTAAVVQKCIRSYRVKSRSDESDV >EOY00945 pep chromosome:Theobroma_cacao_20110822:2:36007679:36008173:1 gene:TCM_010848 transcript:EOY00945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSSEKCFECLRLVIVLFLLLLAKASMGGCIRPINKGTKSFGHLFDTQLPRGPVPPSGPSPCHNKLDPYDYRKVSYSDGYIICP >EOX98490 pep chromosome:Theobroma_cacao_20110822:2:5950391:5957303:-1 gene:TCM_007240 transcript:EOX98490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related protein, putative isoform 3 METSLNDNESLLARIQQLEHERDELRKDIEQLCMQQAGPSYLAVATRMHFQRTAGLEQEIESLKKRLASCTRENQNLQEELSEVYRIKTQLADLHRKEAAKNVEAEKQVKFFQGCVAAAFAERDHSIMEAEKAKEKEELMAQKFNEFQTRLEELTSNCLEQSRRNDALQIDLAKQEDENESLRKVIDKFYEIRQQAIGELEDASYDEKCTYLLHDPAEMWSFNDSSTSKYISSLEEELERVRSSVDDLRNKLRVGLEIENHLKKKVHELGRKKISSDKMILNRVTELRHYHSQHRTEIMNLLDMERSHIKRFVDVVEEKFRQFDAKGQNVQSDLKPGECECGDVYMSTDAEAGSVSERDMVDLNIAERKGDSSEALAQALQEKVAALLLLSQQEERHLLERNVHAALQKKIEELQRNLLQVTNEKVKALLELAQLKRKYQLLQERLHTYHRKISNEMKQGNVLAEVANRRIITSERDGRLRNLLKKTYLRRWVGAIDARGSEAEAQPSSMDFARMRIENATLRESMGSLEHLTSAVHRLRLSLLKVTESITSEGTDSSSSEALDEIVTEAKLVKTALGSSLPVSWSAEGDGELIGENAGSEVGNIFEDSSIEKIDSVSAAGFEMVDLLILAAQILKERTRTGSLSGS >EOX98492 pep chromosome:Theobroma_cacao_20110822:2:5951747:5957303:-1 gene:TCM_007240 transcript:EOX98492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related protein, putative isoform 3 MWSFNDSSTSKYISSLEEELERVRSSVDDLRNKLRVGLEIENHLKKKVHELGRKKISSDKMILNRVTELRHYHSQHRTEIMNLLDMERSHIKRFVDVVEEKFRQFDAKGQNVQSDLKPGECECGDVYMSTDAEAGSVSERDMVDLNIAERKGDSSEALAQALQEKVAALLLLSQQEERHLLERNVHAALQKKIEELQRNLLQVTNEKVKALLELAQLKRKYQLLQEKISNEMKQGNVLAEVANRRIITSERDGRLRNLLKKTYLRRWVGAIDARGSEAEAQPSSMDFARMRIENAT >EOX98489 pep chromosome:Theobroma_cacao_20110822:2:5950287:5957375:-1 gene:TCM_007240 transcript:EOX98489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related protein, putative isoform 3 METSLNDNESLLARIQQLEHERDELRKDIEQLCMQQAGPSYLAVATRMHFQRTAGLEQEIESLKKRLASCTRENQNLQEELSEVYRIKTQLADLHRKEAAKNVEAEKQVKFFQGCVAAAFAERDHSIMEAEKAKEKEELMAQKFNEFQTRLEELTSNCLEQSRRNDALQIDLAKQEDENESLRKVIDKFYEIRQQAIGELEDASYDEKCTYLLHDPAEMWSFNDSSTSKYISFSPPKILHHLMFSIPLQSSLEEELERVRSSVDDLRNKLRVGLEIENHLKKKVHELGRKKISSDKMILNRVTELRHYHSQHRTEIMNLLDMERSHIKRFVDVVEEKFRQFDAKGQNVQSDLKPGECECGDVYMSTDAEAGSVSERDMVDLNIAERKGDSSEALAQALQEKVAALLLLSQQEERHLLERNVHAALQKKIEELQRNLLQLLSITVGSCFEASDYIYVFEVTNEKVKALLELAQLKRKYQLLQEKISNEMKQGNVLAEVANRRIITSERDGRLRNLLKKTYLRRWVGAIDARGSEAEAQPSSMDFARMRIENATLRESMGSLEHLTSAVHRLRLSLLKVTESITSEGTDSSSSEALDEIVTEAKLVKTALGSSLPVSWSAEGDGELIGENAGSEVGNIFEDSSIEKIDSVSAAGFEMVDLLILAAQILKERTRTGSLSGS >EOX98491 pep chromosome:Theobroma_cacao_20110822:2:5950391:5957127:-1 gene:TCM_007240 transcript:EOX98491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related protein, putative isoform 3 MSATNCVKTLNNCVCNKLDQAILPWLLECIFKAGLEQEIESLKKRLASCTRENQNLQEELSEVYRIKTQLADLHRKEAAKNVEAEKQVKFFQGCVAAAFAERDHSIMEAEKAKEKEELMAQKFNEFQTRLEELTSNCLEQSRRNDALQIDLAKQEDENESLRKVIDKFYEIRQQAIGELEDASYDEKCTYLLHDPAEMWSFNDSSTSKYISSLEEELERVRSSVDDLRNKLRVGLEIENHLKKKVHELGRKKISSDKMILNRVTELRHYHSQHRTEIMNLLDMERSHIKRFVDVVEEKFRQFDAKGQNVQSDLKPGECECGDVYMSTDAEAGSVSERDMVDLNIAERKGDSSEALAQALQEKVAALLLLSQQEERHLLERNVHAALQKKIEELQRNLLQVTNEKVKALLELAQLKRKYQLLQEKISNEMKQGNVLAEVANRRIITSERDGRLRNLLKKTYLRRWVGAIDARGSEAEAQPSSMDFARMRIENATLRESMGSLEHLTSAVHRLRLSLLKVTESITSEGTDSSSSEALDEIVTEAKLVKTALGSSLPVSWSAEGDGELIGENAGSEVGNIFEDSSIEKIDSVSAAGFEMVDLLILAAQILKERTRTGSLSGS >EOX99260 pep chromosome:Theobroma_cacao_20110822:2:9471167:9474295:-1 gene:TCM_007859 transcript:EOX99260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MPESQMNFREETKYWVKKGSTCNLVGRDATILEKKSLNEQNPFSGDGLLPNPYVSFSKNICTAHGIDRPENKEIMCQRIQGEVFVESSQCAATKRFNNSLFQNLSWSNQVGNWETEVFKHSEIKPNHSFIHKNFNCKKEPETWEEDFTRLRKRHSLCEESIPVPFDLHHCKNADEALKVKYNNQHVATHSSNCFHAYPVSSVPDQQNAHCETKVWVPAQGRFQPCSGMKIQRTSHVLGRSFCGSVGSQPFSGYQVHQEHNLMKAKKWIPVCRKERTGFAGFCNVINDPLPFHASDNSKSVPGSGVYKKYKFRKKTNSETKAKKWVPVQVVERTGDVCNVFSDTSPSSCGEMNYTNLKGNVTPISGSTTSSATTTNDLIATPATKMTSNENETSTLKNEGAISEVHASESTNGSMIYFEEPKGTPQSIIDSQVAVEALTAAYRLQLESEKAQLEMGQPLAEFERFIHSASPAISFSHSCSKCGVCSVSQLSSSFLCKHQMPSISLRAVWNWYQKPGNYGLEIKAVDYNNQKGRPTEMTSFQAHFIPFLSAIQLFGYVRPEKQEVDLNSSSLETLLVKHSPEAIQPGYFWVGNKTSDKNLDSIEEVCISNNASSCKTGDSQGFLSSLDCLGDSELMFEFFESELPHKRKPLHIKIAELVNTGTSNLVFGDSSKLESVDLHDLHPAS >EOX99259 pep chromosome:Theobroma_cacao_20110822:2:9469762:9475806:-1 gene:TCM_007859 transcript:EOX99259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MPESQMNFREETKYWVKKGSTCNLVGRDATILEKKSLNEQNPFSGDGLLPNPYVSFSKNICTAHGIDRPENKEIMCQRIQGEVFVESSQCAATKRFNNSLFQNLSWSNQVGNWETEVFKHSEIKPNHSFIHKNFNCKKEPETWEEDFTRLRKRHSLCEESIPVPFDLHHCKNADEALKVKYNNQHVATHSSNCFHAYPVSSVPDQQNAHCETKVWVPAQGRFQPCSGMKIQRTSHVLGRSFCGSVGSQPFSGYQVHQEHNLMKAKKWIPVCRKERTGFAGFCNVINDPLPFHASDNSKSVPGSGVYKKYKFRKKTNSETKAKKWVPVQVVERTGDVCNVFSDTSPSSCGEMNYTNLKGNVTPISGSTTSSATTTNDLIATPATKMTSNENETSTLKNEGAISEVHASESTNGSMIYFEEPKGTPQSIIDSQVAVEALTAAYRLQLESEKAQLEMGQPLAEFERFIHSASPAISFSHSCSKCGVCSVSQLSSSFLCKHQMPSISLRAVWNWYQKPGNYGLEIKAVDYNNQKGRPTEMTSFQAHFIPFLSAIQLFGYVRPEKQEVDLNSSSLETLLVKHSPEAIQPGYFWVGNKTSDKNLDSIEEVCISNNASSCKTGDSQGFLSSLDCLGDSELMFEFFESELPHKRKPLHIKIAELVNTGTSNLVFGDSSKLESVDLHDLHPASWYSVAWYPIYRIPEGNFHASFLTYHSLGHLVQRCIPTDSLQNKATCIVAPVLGLESYNTQGECWFDLGIPDKTSLEEFSQCKTSEIIVKRLRTLEANAFSFARGCVCKNNVKVFNQQPDYEFFISRKH >EOX99506 pep chromosome:Theobroma_cacao_20110822:2:11689352:11695675:-1 gene:TCM_008186 transcript:EOX99506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide binding,protein binding MKVKVISRSTDEFTRERSQDLQRVFRNFDPTLRTQEKAVEYVRALNAAKLDKIFARPFIGAMDGHRDSIKCMAKNPSYLKGIFSGSDDGDIRLWDIASRRTVCQFPGHQGAVQGLTVSTDGSILVSCGSDCTVRLWNVPGATLADSDNLSDNSAKALAVYVWKNAFRAVDHQWDGDLFATAGAQVDIWNHNRSQPVNTFDWGTDTTISVRFNPGEPNILATSANDRSITLYDLRMSSPARKVIMRTKANSIAWNPMEPLNFTVANEDCNCYSYDARKLDEAKCVHKDHVSAVMDIDFSPTGREFVTGSYDRTVRIFQYNGGHSREIYHTKRMQRVFCVKYSSDASYVISGSDDTNLRLWKAKASEQLGVVLPRERKKHEYLEAVKNRYKHLPEVKRIVRHRHLPKPIYKAANLRRTMIEAERRKEQRRKAHSAPGSVVTESIRKRRIIKEVE >EOY00652 pep chromosome:Theobroma_cacao_20110822:2:34291262:34292596:-1 gene:TCM_010559 transcript:EOY00652 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MGLSNFPSAAEGVLPVLVMNTVLSVALLKNMVRSLLQVVGASGSSSSSLDDESDGYPEENIKARERRISITQFKSLCHTSDGSGSGWANTVECCVCLCGFEADEEVSELSCKHFFHKVCLEKWFDNKHSTCPLCRSIH >EOY01634 pep chromosome:Theobroma_cacao_20110822:2:40400996:40406469:1 gene:TCM_011481 transcript:EOY01634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPKRSRSSSGSYDRTKFVSAEAFAQYTQSFVQKSPVPERGFDLPTEFYANVLEHENGFTFYLDGVITFLCGPGTQWKVSKGIPVSFKANALNKFFKVWYHFLTARLLPVKHVSVITKDRAVLLYAMVTGKTINVGKLIFENILHVAGSAKEGIWYPSLITALCKQARVQWSSVEELLHPKVPLDANIVNRLYNYQPPGGNSSSAPRPPPRATSLTIPQRLERLEHRAAYHTKCMQAMEQMMQACASHMGINMHTFMNEEGMKCAGVEWCKSVLTMLQSSKHNNKKTIKYTVTIKERILRDNEGNRLMFFSTSMGVTPSNVAELLAVRYVFQLFSALSWVQRGIVMQIEALEKERRRSISKKEGCFVFECCVVSVGKGVGCCRFFCSLQFCTGVGTYGRRRTLKLKDHERKQKQGAAVSSLQRRSCVSISSNPSPYRTSRAAALSSTTNTAFGSKLGAAAQSLLYKTVAIAPWRYVPSTAVLSSEATLALAVNSALRRQHLEVWSGVV >EOX98020 pep chromosome:Theobroma_cacao_20110822:2:4296687:4302424:1 gene:TCM_006887 transcript:EOX98020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MVDQRSIGVAFLYLLLLLLFESTFEQQVQQQQQLSSGIELTALFELRSSLGLRSKDWPRKVDPCSSWNGIRCENGSVIWINISGFRRTRLGKQDPQFAVDSLANFTRLVSFNASRFLLPGSIPDWFGQRLLTLQVLDLRSCSVTGVIPSSLGNLTNLTSLYLSDNRLTGQISSTLGQLLSLSVLHLSKNLLTGSIPSSFGSLMNLTSLDISSNNLTGLIPPAIGALSKLQSLNLSNNSLTSAIPAQLGDLDSLIDLDLSSNDLSGLVPQDLGGLRNLQRIDFGKNGLSGSLPVNFFPSPSQLQVIVLRNNSFVGDLPEVLWSIPRLKLLDISRNNFTGTLPNSALNDNATAAELDISQNKFYGGLTTVLRRFSSTDLSGNYFEGRVPDYMHDNASLSSNCLQIVPNQRTLAECVSFYAERGLSFDNFGRPNSTERPVPESGKSNRRIIILAAVLGGAGLIVLLILLLLLVLCFRRRSSTNHRGIGVEPVPAGETPSPGVAINLSSLGDLFTYQQLLQATGDFSDANLIKHGHSGDLFRGILEGGSPVVIKRIDLQSIKKEAYLLELDFFSKVSHTRVVPLLGHCLEKENEKFLVYKYMPNGDLSSSLYRKNSLEDDSLQSLDWITRLKIAIGAAEGLSYLHHECMPPFVHRDVQASSILLDDKFEVRLGSLSEVCAQEGDGHQNRITRLLRFPQSSEQGSSGSSTALCAYDVYCFGKVLLGLVTGKLDISASSDTQMKEWLERTLPYISIYDKELVTKILDPSLLVDEDLLEEVWAMAIVARSCLNPKPSRRPLMRYILKALENPLRVVREDNSSSARLRTTSSRGSWNAALFGSWRQSSSDVAVIPAASTTKAEGGSSFKQSGTTGSQGSAQNGGGDHSSSRRRHSKEIFPEPSEAQDIERQDRD >EOY00455 pep chromosome:Theobroma_cacao_20110822:2:32492787:32494476:1 gene:TCM_010318 transcript:EOY00455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MKVLCSNLFALLPPHPTKMSIHELVERATIYVNQLQQRTEELKQMKAQLEEGQSTATRISPVINITDLDSTIQVNLVAGTDMNFALCDIISILEEEGAQVLSATYHNVRNKVVLSLHSQAAYSRIGIQNSRVRDRLKRLQIS >EOX99965 pep chromosome:Theobroma_cacao_20110822:2:19892549:20019117:1 gene:TCM_009090 transcript:EOX99965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADRPRASTQRGRGRRGRVTRPVGLDTPVSRQEEGQSSGDVDRHPARGITIEDLAAGLQGVNRVVEMMATRMEDIQRVVEGRPTVQESPSSQGQADHCGGKLRRKSQKVKNLGQ >EOY01704 pep chromosome:Theobroma_cacao_20110822:2:40666941:40668387:-1 gene:TCM_011539 transcript:EOY01704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVNPITAYKRSSGESFHSVGDEFHTPRILTVIVYPEDGTGSIPFNFQIVSGSPWGSKEISNSLEDSLKLCKVGIFDANSLTWP >EOX99578 pep chromosome:Theobroma_cacao_20110822:2:12399001:12404061:-1 gene:TCM_008287 transcript:EOX99578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMVPTSPNDDLMEGSGENMPLMDEEMNKLGRSKQGEDDKLETEYSGGNILVISTTTCIRESMQGREANWSNSDRGVELPFYASFVYAKCTRFERVLLCNCLRSLVMGIQLPWIVDGDFNVILNRDERLFGAELHGGAMENFATTLLDCGLIDGGFEGNSFTWTNNQESFWKQKLGIKWLVEGECNTKFFHMGVKKKRIKSHIFKIQNSDGSWVDNPDLVKASAVEFFSSLMKSEPNEMASEIFISRLECLVCPISFHLVFFGMFNATWNKITLPSSEGGLDIKGLEDVFEAFSMKLWWKFQTCNNIWSKFMRAKYCYGRIPGYTQPKRHDSQMWKRMLACYLVTEQHMRWKIGKGELFFWYDCWMGDEPLINRFPVFSSSMTQVCYFFNNNEWDVDKLNTMLPEEMVVEILKIPFNTSSTDVAYWVPTSDGDFTTKSAWEIIRQRDLVNSVFNLIWHRCIPLTTSFFLWRLLQNWSPVDLRLKIKGFQLASKCQYCNS >EOX98340 pep chromosome:Theobroma_cacao_20110822:2:5456052:5458020:-1 gene:TCM_007132 transcript:EOX98340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF303) [Source:Projected from Arabidopsis thaliana (AT4G34215) TAIR;Acc:AT4G34215] METDIPILQQDQSSPTPKHIFILSGQSNMAGRGGVSKHHHWDGVVPPDCQPHPSIIRLNAKLNWEPAREPLHCDIDTRKVCGVGPGLSFANAVREQLGSECVGLVPCAVGGTAIKEWARGQHLYESMVKRSKESVKSKGEVKGLLWYQGESDTSSHHDAKDYKANMETLIHNVRQDLGLPSLPVIQKCGWHSEMLI >EOX98339 pep chromosome:Theobroma_cacao_20110822:2:5455040:5457986:-1 gene:TCM_007132 transcript:EOX98339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF303) [Source:Projected from Arabidopsis thaliana (AT4G34215) TAIR;Acc:AT4G34215] METDIPILQQDQSSPTPKHIFILSGQSNMAGRGGVSKHHHWDGVVPPDCQPHPSIIRLNAKLNWEPAREPLHCDIDTRKVCGVGPGLSFANAVREQLGSECVGLVPCAVGGTAIKEWARGQHLYESMVKRSKESVKSKGEVKGLLWYQGESDTSSHHDAKDYKANMETLIHNVRQDLGLPSLPVIQVAIASGDARYMETVREAQLGINLPNVICVDAKGLPLKEDHLHLTTEAQVKLGHILADAFLTHFIAPSQSSSLA >EOX98341 pep chromosome:Theobroma_cacao_20110822:2:5428007:5458052:-1 gene:TCM_007132 transcript:EOX98341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF303) [Source:Projected from Arabidopsis thaliana (AT4G34215) TAIR;Acc:AT4G34215] METDIPILQQDQSSPTPKHIFILSGQSNMAGRGGVSKHHHWDGVVPPDCQPHPSIIRLNAKLNWEPAREPLHCDIDTRKVCGVGPGLSFANAVREQLGSECVGLVPCAVGGTAIKEWARGQHLYESMVKRSKESVKSKGEVKGLLWYQGESDTSSHHDAKDYKANMETLIHNVRQDLGLPSLPVIQKCGWHSEMLI >EOY00528 pep chromosome:Theobroma_cacao_20110822:2:33230606:33233614:1 gene:TCM_010409 transcript:EOY00528 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerases N / 8 kDa subunit MAETTPPSNNLKSRSEHSRAVEKARRVKRRELGKSQEQELKRMIIPVRCFTCGKVIGNKWDTYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKSETS >EOY01364 pep chromosome:Theobroma_cacao_20110822:2:39166236:39168094:1 gene:TCM_011280 transcript:EOY01364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeoyl-CoA 3-O-methyltransferase MANMNTSSKGLLKSEALKKYILNTSAYPREHEQLKGIRDATAQKYPNLCAMGVPVDEGQFLSMLLKLMNAKRTMEIGVFTGYSLLATALALPDDGKITAIDTSWEFFEVGLPFIKKAGMEHKINFIESDAMKVLKEMSSNDKQKPEFDFVFVDADKTSYMKYHEHIKKLVKIGGVVAYDNTLWFGFLAQEEAEVPESARASRKAMLNFNVNLASDPCMEVSQVSIGDGVTLCRRIS >EOY00138 pep chromosome:Theobroma_cacao_20110822:2:26485818:26486487:1 gene:TCM_009689 transcript:EOY00138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIANMLKVINKSRKTLLYGMVISAIIDLVGINTRCDPPKQHAMHTTINEHAINKLGLLFVNKTWAQKETMVKLEIVRDEESDDSRPELNANPSVDPSANPSYQPMSTAFEFEQAFTRFLSYMESIDARIVGRLITLEAQTP >EOX99942 pep chromosome:Theobroma_cacao_20110822:2:19146565:19178739:1 gene:TCM_009016 transcript:EOX99942 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein MPSSSLLSLSSSPHFLPSSPHPCTKTLKPLLLCPTMKDRPPSSYGSVYIPPHHRLRSVISSSNNNASKTGADFSTSASVIQPKLIDRKNAPVLSARDTAAAAPPPSPSPFLQQPQQQQQQRTYNSNNSSKNSNNNNSQYNSAYDDGISEDGSDRELNLSLESGTFSYANIDEWKRKLAILLRNDEKQELVSREKKDRRDFEQIAALASRMGLYSHLYSKVAVFSKVPLPNYRFDLDDKCPQREVNLNFGLLKRVDAYLGEYLFQKSRTKESFPDNCFSRSSSNSSIVTDEGLVEQPEPLASSSAVMEKILWRRSLQLRDQQQAWQESLEGARMLEFRQILPAYKEKDAILSVILQNQVVIVSGETGCGKTTQIPQFILESEIDSVRGAVCSIICTQPRRISAISVSERVASERGEKLGESVGYKVRLEGMKGRDTHLLFCTTGILLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLDAELFSSYFGGAPLIHIPGFTYPVQTHFLENILEMTDYRLTPYNQIDDYGQERMWKMSKQAPRKRKSQIASTVEDALRAADFKDFSPQTRESLSCWNPDCIGFNLIEYLLSYICENERPGAVLVFMTGWDDIISLKDKLLAHPILGDPSQVLLLTCHGSMASSEQKLIFQEPEDGVRKIVLTTNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWISKVSARQRRGRAGRVQPGECYHLYPRCVYDAFSEYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPELLAVQNAIEYLKIIGALDENENLTVLGRYLTMLPMEPKLGKMLILGAILNCLDPVLTIVAGLSVRDPFLTPSDKKDLADAAKLQFSSDYSDHLALVRAYEGWKEAEKDLAGYDYCWKNFLSAQSMKAIESLQKEFLSLLKDTGLFDGNATNHNAWSYDQQLIRAIICCGLYPGICSVVHNEKSFSLKTMEDGQVLLHSNSVNARESRIPYPWLVFNEKIKVNSVFLRDSTAVSDSVLLLFGGSISRGDVDGHLKMLGGYLEFFMQPAIAEKYQTIRREFDELIQNKLLNPQMVLHFHHELISAVRLLVSEDQCDGRFVFGRQVLKPTKMTVMPQQPTLVSRTESGPGGDNSKSQLQTLLTRAGYAAPTYKTKQLKNNQFRATVEFNGMQIMGQPCNNKKSAEKDAAAEALQWLMGGTQTGREYINHMSMLLKKSKRDH >EOX96900 pep chromosome:Theobroma_cacao_20110822:2:444171:446278:-1 gene:TCM_006044 transcript:EOX96900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 1-B-binding protein MDLAPEELQFLSIPDILRESIAIPKRSPKTFYLITLALIFPLSFAILAHSLFTHPILAQLESRPFADPAQTRHEWTLLFTFQFFYLIFLFAFSLLSTAAVVFTVASLYTSKPVSFSSTISAIPKVFKRLFITFTWVSILMFVYNALLIAFLVIFVIAIDSRNTILSFLAFMVIVVLFLGVHVYITALWHLASVVSVLEPVYGFAAMKKSYELLKGRVKMAFVLVFGYLAICALIGGIFGMVVVHGGESYGVFGRIVVGGFLVGVLVIVNLVGLLVQSVFYYVCKSYHHQGIDKSALHDHLGGYLGEYVPLKSSLQMENLDV >EOX99916 pep chromosome:Theobroma_cacao_20110822:2:18237148:18262172:-1 gene:TCM_008925 transcript:EOX99916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione synthetase 2 isoform 1 MVTRKAYISYCNATKKRVPMGVGHSSVSLSLDPISIAAFTAKPKPKTSCFFNTHCKKSVFPTKKNSLFVGFSLKFESLKCGKVAGEMDTEKGIDVANKSILDFHGIDERLIRKMEFDALVWSSLHGLVVGDRNFQRSGQVPGVGMVHAPIALLPMSFPKSHWKQACELAPIFNELIDRVSLDGKFLQDSLSRTKKVDAFTSRLLDIHSKMLEMNKKEEIRLALHRADYMLDEKTKLLLQIELNTISSSFPGLGCLVTELHRTLLNEYGEDLGLDSKRIPSNTAVGQFSEALAKAWTEYNNPRAVALVVVQTEERNIKMLHNTHNVRTVRKTLAEIDREGQLLPDGTLLVDGQAIAVIYFRAGYAPTDYPSESEWRARLLMEQSSAIKCPSISYHLAGSKKIQQELAKPNMLERFLENKEDIVKLRKCFAGLWSLDDLDIIRKAIDKPELFVMKPQREGGGNNIYGNDVKETLLQLQKEGSEEDAAYILMQRIFPTVSPTILMRDGICHKEHAISELGIYSAYLRNNEKVIMNDQCGYLMRTKIASSNEVWEINQGSSTLQTT >EOX99917 pep chromosome:Theobroma_cacao_20110822:2:18237680:18242763:-1 gene:TCM_008925 transcript:EOX99917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione synthetase 2 isoform 1 MGVGHSSVSLSLDPISIAAFTAKPKPKTSCFFNTHCKKSVFPTKKNSLFVGFSLKFESLKCGKVAGEMDTEKGIDVANKSILDFHGIDERLIRKMEFDALVWSSLHGLVVGDRNFQRSGQVPGVGMVHAPIALLPMSFPKSHWKQACELAPIFNELIDRVSLDGKFLQDSLSRTKKVDAFTSRLLDIHSKMLEMNKKEEIRLALHRADYMLDEKTKLLLQIELNTISSSFPGLGCLVTELHRTLLNEYGEDLGLDSKRIPSNTAVGQFSEALAKAWTEYNNPRAVALVVVQTEERNMYDQHWLCTMLKERHNVRTVRKTLAEIDREGQLLPDGTLLVDGQAIAVIYFRAGYAPTDYPSESEWRARLLMEQSSAIKCPSISYHLAGSKKIQQELAKPNMLERFLENKEDIVKLRKCFAGLWSLDDLDIIRKAIDKPELFVMKPQREGGGNNIYGNDVKETLLQLQKEGSEEDAAYILMQRIFPTVSPTILMRDGICHKEHAISELGIYSAYLSLGNQSRVLDSTNNLKCFSSRYTKKIYRFHFLSLLLAIWNNRSSHS >EOY02090 pep chromosome:Theobroma_cacao_20110822:2:41916446:41918890:1 gene:TCM_011825 transcript:EOY02090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein (GLTP) family protein MDEADNDFDDSRTTMAMTTKTPLSAIVEAFEELSEFLECQMKDEELRLDKFCDACSLVSVLFSCLGLAFKFAEMEYVAKVNDLVEASKRFATLENVLDLDVAHDTVKKPGSHSRNLRRVRQGLDLIRALFEEFLSSEDYSLREAASTAYAQVCAPYHTWAIRTAVSAGMYTLPTREQLLLKLNETDHTAEKKMRRYIKASRAVIEYIDKLYISRNITLDW >EOX99562 pep chromosome:Theobroma_cacao_20110822:2:12199403:12200336:-1 gene:TCM_008262 transcript:EOX99562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFSEAAGWMIDANLADVLAIWEAMILFASSFWTASCGVIIESDSKNAVTWVSKPDTAPWRLRNIVLLIRHLMEKMGRWQVKHILRSDDEVAVRLVKQGVLRCTDLLQVFP >EOY00750 pep chromosome:Theobroma_cacao_20110822:2:34879683:34884306:1 gene:TCM_010674 transcript:EOY00750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQEPGGKSFSAISFPFHFVSHRPQPALVFLLAEETLVLNLDDDNGSGWGRPRIGKGENTRQWNSDYHLQMQIQKHFDLPKMKSQTRVYNSETLRKMGFAGVKATMT >EOY00388 pep chromosome:Theobroma_cacao_20110822:2:31971665:31973112:-1 gene:TCM_010256 transcript:EOY00388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIESERERGTEEDYTRIMLKQKLKFFDIFKQALTIPCTTPGVPQHNRSCSFGVNDIYRGETSQLQRYDGFLTNCYIMVRSFDYHAYVDVLLTIVRGVGFTILLVKLLEWSAGWNMALVISILEEKYGIEALEWAAYMNRDCSQRGLILMLVFFAWGLTIRLSCIFYGCSDELATGSVLFTSIYTCLICLGNAMKWVICVVYFFDCKKGISEKKVDDEEVGRDVKLVDQ >EOY00715 pep chromosome:Theobroma_cacao_20110822:2:34718845:34720321:1 gene:TCM_010653 transcript:EOY00715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKVATYFAMTLGAFVFWQTMDKVHVWIALHQDEKKERLEKEAEIRRVREELLQKAKQNDPLAILTANLSC >EOX97252 pep chromosome:Theobroma_cacao_20110822:2:1726070:1726919:-1 gene:TCM_006331 transcript:EOX97252 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAP (Cysteine-rich secretory proteins, Antigen 5, and Pathogenesis-related 1 protein) superfamily protein MAWSKLALACLIIVSFALPSLAKTLKQEFLDAQNEARAEVNVEPMAWDAQVAAYAQEYANQRIADCDLVHSGGPYGENIAWGSDDLSVADAVKMWVDEKVYYDHGSNTCASGQVCAHYTQVVWRNSVRLGCAKVRCDNGGTFITCNYDPPGNYIGETPY >EOX98479 pep chromosome:Theobroma_cacao_20110822:2:5904997:5912881:-1 gene:TCM_007231 transcript:EOX98479 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein, putative isoform 2 MKHNQKSRLLPSPARMEDSESASGDQRPWQSYHTVYTNAKAGMDGVDKEKVQRVVYEMSKGSKYFENEERKEAFIRQKIEHMRARAAKIPAADLSHYQKVVDKRILELEATRELSRIWLHVDMDAFYAAVETLSNPLLKGKPMAVGGMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFEKYTHYSNLTRKVFQNYDPNFMAASLDEAYLDITEVCKERGISGAEIAEELRSRVHEETGLTCSAGVAPNRLLAKVCSDINKPNGQFVLPNDRMAVMTFISSLPIRKIGGIGKVTENILRGVLGINTCEEMLRKGSFLCALFSHSTADFFLSVGLGLGGTDTPEARFRKSISSERTFSATQDTALLYQKLADIAEMLSADMQKEGLCGRTLTLKLKTASFEVRTRAVTLQKYICSSDDILKYASRLLKAELPISLRLIGLRVSHFNEDKVGVPVDPTQKTLTTFLISGDASTKIVDDQSSFGSDLSNLHFRNDRETVFSVDIHETCHYEFGDPFKSNPLQDVDDNNCISSENAWEMEQIHELSSNKTEAMVKTADGVVHTLKPSNGVLWVSEEDSSVQKEPEDSNPDRLNKEASTLGNEEFFLSNHIEQLYWVNDYRCSLCGAELPSSFVEERQEHSDFHLAERLQKEESGADSRAMMPRQRIVPQDHVVNQRRRKKHKSSPRQGRHLPIDSFFVKSNQNF >EOX98480 pep chromosome:Theobroma_cacao_20110822:2:5904884:5910296:-1 gene:TCM_007231 transcript:EOX98480 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein, putative isoform 2 MDGVDKEKVQRVVYEMSKGSKYFENEERKEAFIRQKIEHMRARAAKIPAADLSHYQKVVDKRILELEATRELSRIWLHVDMDAFYAAVETLSNPLLKGKPMAVGGMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFEKYTHYSNLTRKVFQNYDPNFMAASLDEAYLDITEVCKERGISGAEIAEELRSRVHEETGLTCSAGVAPNRLLAKVCSDINKPNGQFVLPNDRMAVMTFISSLPIRKIGGIGKVTENILRGVLGINTCEEMLRKGSFLCALFSHSTADFFLSVGLGLGGTDTPEARFRKSISSERTFSATQDTALLYQKLADIAEMLSADMQKEGLCGRTLTLKLKTASFEVRTRAVTLQKYICSSDDILKYASRLLKAELPISLRLIGLRVSHFNEDKVGVPVDPTQKTLTTFLISGDASTKIVDDQSSFGSDLSNLHFRNDRETVFSVDIHETCHYEFGDPFKSNPLQDVDDNNCISSENAWEMEQIHELSSNKTEAMVKTADGVVHTLKPSNGVLWVSEEDSSVQKEPEDSNPDRLNKEASTLGNEEFFLSNHIEQLYWVNDYRCSLCGAELPSSFVEERQEHSDFHLAERLQKEESGADSRAMMPRQRIVPQDHVVNQRRRKKHKSSPRQGRHLPIDSFFVKSNQNF >EOX98481 pep chromosome:Theobroma_cacao_20110822:2:5905623:5910194:-1 gene:TCM_007231 transcript:EOX98481 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein, putative isoform 2 MEDSESASGDQRPWQSYHTVYTNAKAGMDGVDKEKVQRVVYEMSKGSKYFENEERKEAFIRQKIEHMRARAAKIPAADLSHYQKVVDKRILELEATRELSRIWLHVDMDAFYAAVETLSNPLLKGKPMAVGGMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFEKYTHYSNLTRKVFQNYDPNFMAASLDEAYLDITEVCKERGISGAEIAEELRSRVHEETGLTCSAGVAPNRLLAKVCSDINKPNGQFVLPNDRMAVMTFISSLPIRKIGGIGKVTENILRGVLGINTCEEMLRKGSFLCALFSHSTADFFLSVGLGLGGTDTPEARFRKSISSERTFSATQDTALLYQKLADIAEMLSADMQKEGLCGRTLTLKLKTASFEVRTRAVTLQKYICSSDDILKYASRLLKAELPISLRLIGLRVSHFNEDKVGVPVDPTQKTLTTFLISGDASTKIVDDQSSFGSDLSNLHFRNDRETVFSVDIHETCHYEFGDPFKSNPLQDVDDNNCISSENAWEMEQIHELSSNKTEAMVFVKSLCTDCLTESRNLQMFIRNTFLAFLLFYLCNAFFVLSGYFLNSLVLLCIYSFP >EOX99702 pep chromosome:Theobroma_cacao_20110822:2:14117703:14124473:-1 gene:TCM_008487 transcript:EOX99702 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein, putative MALKVVHVSDVPHLDQVPENAALALCSTRFSTAAQFPVDFIEFDIQGVIVEKGVTEVPLAEFLSYGPQKELGKEESIFRKTKDGRIFEWKVEKDAPLCTLEEVFRNVDQSLGLNIELKFDDQIVYKEEELSRILQAILKVVFENAKDRLVMFSSFQPDAAQLVFSLTNGGCEIYTDIRRNSLDEAIKLCLASGLHGIVSEVKATFRSPEAVARIEESKLSLITYGQLK >EOY01126 pep chromosome:Theobroma_cacao_20110822:2:37771133:37771904:-1 gene:TCM_011068 transcript:EOY01126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADFRLQGLCQSQFTEIAAEKAKVAAEEYFKLGNIERAIQQGFAALDLNPELRIIQKYIAAYLIHKFASMLSLCKEMKLDDTKVLYSILFIEDCSSIVDVATIKKHYKEVALLVHPDKNDSVAAEGAFKIVRQAWETLLSDHHKRRRKA >EOX97981 pep chromosome:Theobroma_cacao_20110822:2:4155085:4163639:-1 gene:TCM_006858 transcript:EOX97981 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MSNRVAQSDHHSAGTARHSIHHYQAAWMDHWKNTSRKPSTEVHSHLLRKDDHSNSKHHPLLSGPEMETDISNYAQGFREVSEARTVDTMSKNSKMGSRKFGKEVLDGQPPPMFNISGNRESAMASKNNAGTSSKGEVVKYQIDLNNCYNSITMGRSEWAHPEMEFPSRERKFQPEGISRVPEQLVKSHEFLEKNNLAVSTSFQDDIGSSSKIVPYVMNSGVAPMQSVTCQHENIDQVSPVVASKEHFTDGKFCSYSTFWVHEKKADTLFESRKLGSSLSRQRDAPLLLNDQLTNDSQLCSFLNKQSQKVENNSSNRLLPSLGYPEVAKSGKAYDENFLLPKVPRSVHDVKTMRICTTIDSVEELPRGPSKFSQTTHKFFITKKTGVNINEGGQVFKDSIVSPKLKGNMFSEFLSLSPSSGFHGQQGVKLQPLGSSSDSEEKDNVGDVGTSTVCLKHESSVETDAMELDVFQKSHLSSVALCPSDQNIKEIHNSSLFETENATGEEAGDKMANTELPDMNDGLPALPAVANSIDDGETSTSRTQSLDAEHLLSHAEQPSNFKTTACPDDSLGPETSIRWVKRLKLSTSDPFAPGPKSSKIGEGSSCERVNKIFNKISKCSKTSSDATVCGSHVRPELALDQTAMLLKNGDSTSSDSLRKSQDRRLSRSWIQRWCRHRAASPNKKPEAVVLCEPQSAKATLDELEKKRFPSIAAMALMGKAMSVFRPCEFRRSGSLIVWSTST >EOX97982 pep chromosome:Theobroma_cacao_20110822:2:4155839:4158976:-1 gene:TCM_006858 transcript:EOX97982 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MSNRVAQSDHHSAGTARHSIHHYQAAWMDHWKNTSRKPSTEVHSHLLRKDDHSNSKHHPLLSGPEMETDISNYAQGFREVSEARTVDTMSKNSKMGSRKFGKEVLDGQPPPMFNISGNRESAMASKNNAGTSSKGEVVKYQIDLNNCYNSITMGRSEWAHPEMEFPSRERKFQPEGISRVPEQLVKSHEFLEKNNLAVSTSFQDDIGSSSKIVPYVMNSGVAPMQSVTCQHENIDQVSPVVASKEHFTDGKFCSYSTFWVHEKKADTLFESRKLGSSLSRQRDAPLLLNDQLTNDSQLCSFLNKQSQKVENNSSNRLLPSLGYPEVAKSGKAYDENFLLPKVPRSVHDVKTMRICTTIDSVEELPRGPSKFSQTTHKFFITKKTGVNINEGGQVFKDSIVSPKLKGNMFSEFLSLSPSSGFHGQQGVKLQPLGSSSDSEEKDNVGDVGTSTVCLKHESSVETDAMELDVFQKSHLSSVALCPSDQNATGEEAGDKMANTELPDMNDGLPALPAVANSIDDGETSTSRTQSLDAEHLLSHAEQPSNFKTTACPDDSLGPETSIRWVKRLKLSTSDPFAPGPKSSKIGEGSSCERVNKIFNKISKCSKTSSDATVCGSHVRPELALDQTAMLLKNGDSTSSDSLRKSQDRRLSRSWIQRWCRHRAASPNKKPEAVVLCEPQSAKATLDELEKKRFPSIAAMALMGKAMSVFRPCEFRRSGSLIVWSTST >EOX97979 pep chromosome:Theobroma_cacao_20110822:2:4154704:4158964:-1 gene:TCM_006858 transcript:EOX97979 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MSNRVAQSDHHSAGTARHSIHHYQAAWMDHWKNTSRKPSTEVHSHLLRKDDHSNSKHHPLLSGPEMETDISNYAQGFREVSEARTVDTMSKNSKMGSRKFGKEVLDGQPPPMFNISGNRESAMASKNNAGTSSKGEVVKYQIDLNNCYNSITMGRSEWAHPEMEFPSRERKFQPEGISRVPEQLVKSHEFLEKNNLAVSTSFQDDIGSSSKIVPYVMNSGVAPMQSVTCQHENIDQVSPVVASKEHFTDGKFCSYSTFWVHEKKADTLFESRKLGSSLSRQRDAPLLLNDQLTNDSQLCSFLNKQSQKVENNSSNRLLPSLGYPEVAKSGKAYDENFLLPKVPRSVHDVKTMRICTTIDSVEELPRGPSKFSQTTHKFFITKKTGVNINEGGQVFKDSIVSPKLKGNMFSEFLSLSPSSGFHGQQGVKLQPLGSSSDSEEKDNVGDVGTSTVCLKHESSVETDAMELDVFQKSHLSSVALCPSDQNIKEIHNSSLFETENATGEEAGDKMANTELPDMNDGLPALPAVANSIDDGETSTSRTQSLDAEHLLSHAEQPSNFKTTACPDDSLGPETSIRWVKRLKLSTSDPFAPGPKSSKIGEGSSCERVNKIFNKISKCSKTSSDATVCGSHVRPELALDQTAMLLKNGDSTSSDSLRKSQDRRLSRSWIQRWCRHRAASPNKKPEAVVLCEPQSAKATLDELEKKRFPSIAAMALMGKAMSVFRPCEFRRSGSLIVWSTST >EOX97980 pep chromosome:Theobroma_cacao_20110822:2:4153686:4160262:-1 gene:TCM_006858 transcript:EOX97980 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MSNRVAQSDHHSAGTARHSIHHYQAAWMDHWKNTSRKPSTEVHSHLLRKDDHSNSKHHPLLSGPEMETDISNYAQGFREVSEARTVDTMSKNSKMGSRKFGKEVLDGQPPPMFNISGNRESAMASKNNAGTSSKGEVVKYQIDLNNCYNSITMGRSEWAHPEMEFPSRERKFQPEGISRVPEQLVKSHEFLEKNNLAVSTSFQDDIGSSSKIVPYVMNSGVAPMQSVTCQHENIDQVSPVVASKEHFTDGKFCSYSTFWVHEKKADTLFESRKLGSSLSRQRDAPLLLNDQLTNDSQLCSFLNKQSQKVENNSSNRLLPSLGYPEVAKSGKAYDENFLLPKVPRSVHDVKTMRICTTIDSVEELPRGPSKFSQTTHKFFITKKTGVNINEGGQVFKDSIVSPKLKGNMFSEFLSLSPSSGFHGQQGVKLQPLGSSSDSEEKDNVGDVGTSTVCLKHESSVETDAMELDVFQKSHLSSVALCPSDQNIKEIHNSSLFETENATGEEAGDKMANTELPDMNDGLPALPAVANSIDDGETSTSRTQSLDAEHLLSHAEQPSNFKTTACPDDSLGPETSIRWVKRLKLSTSDPFAPGPKSSKIGEGSSCERVNKIFNKISKCSKTSSDATVCGSHVRPELALDQTAMLLKNGDSTSSDSLRKSQDRRLSRSWIQRWCRHRAASPNKKPEAVVLCEPQSAKATLDELEKKRFPSIAAMALMGKAMSVFRPCEFRRSGSLIVWSTST >EOX99575 pep chromosome:Theobroma_cacao_20110822:2:12308303:12310447:1 gene:TCM_008275 transcript:EOX99575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKAFTLRSTPENFKIKKKKKKKQFGYNIKLGGKNAQMSNHVKTWGPITPLSSPVGDYGNRRANIPSSNLTR >EOX99012 pep chromosome:Theobroma_cacao_20110822:2:8075637:8078672:-1 gene:TCM_007648 transcript:EOX99012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19-like CHCH family protein MGEMGQVQSDVAVAAAIDDQARIQQSSSLASMDSLIAEAAAFGNDENQSLDAQAQKALECPCVAELRNGACGVQFTEAFLCFLKSTVEEKGSDCVHPFIALQNCIKVFLTLLHSRSRPGNRAAATLILCELTQTMSAFGSLSLAICPDYFGDEFGVPLPQSSMVKNEATELGNSGVTKAVLDDKKNGFINCLQMAQTRESRRRAPALAPIFDGLQCFETIVISN >EOY01040 pep chromosome:Theobroma_cacao_20110822:2:37013778:37024291:1 gene:TCM_010974 transcript:EOY01040 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein MGTLQTWRKAYGALKDTTKVGLAHVNSDYADLDVAIVKATNHVECPPKERHLRKIFMATSAIRPRADVAYCIHALARRLAKTHNWTVALKTLIVIHRALREGDPTFREELLNFSQRARILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLPRPAQGQDKGYSRTRELDSEELLEQLPALQQLLYRLIGCRPEGAAIGNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAVTALDVYKRAGQQANSLSDFYDVCKGLELARNFQFPVLREPPQSFLTTMEEYIREAPRVVSVPTEPLLQLTYRPEEGPSEDTKLSNDEPEPSALADDIAVSGVETVPVPPPPPQNNADGGDLLDLSYSAPDALAIEESNALALAIVPTEPGTGPTFNSTTGQPKDFDPTGWELALVTTPSSDISAVNDRQLAGGLDSLTLNSLYDEAAYRASQQPVYGAPAPNPFEVQDPFAMSNNIAPARAVQMAAMAQPQSNPFGPYQPTYQQPLQQQHMMMSPSNPFGDAGFGAFPVNQMPPVAQPHANNPFGSTGLL >EOX97551 pep chromosome:Theobroma_cacao_20110822:2:2642426:2645271:1 gene:TCM_006541 transcript:EOX97551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyl reductase MNHIYLEMLTWQINCMWIVSPKISSFLSIRQISSFPLLTLILSNSISLHFLQIQPAISQINSYREKQRTKKKQKMLAFSTVITSGPNLYLPKKPRNFHFPIRCLSSTSLSASSQPSKLTQFDLKTYWTTLIAEINQKLEEAIPVKYPEQIYEAMRYSVLAKGAKRAPPVMCVAAYELFGGNRLAAFPTACALEMVHTASLIHDDLPCMDDDPVRRGQPSNHTIYGVDMAILAGDALFPLGFRHIVSQTPTELVPEARILRVIAEIARTVGSAGMAAGQFLDLKGDANSVDFIQEKKYGEMSECSAVCGGLLAGAEDDEIERLRRYGRAVGVLYEVVNDVLEAKLVEKEETEKNQKKGKSYVSMYGVEKAMEVAEDLQTKSKGELDGFDKYGESVAALYSFIDYAFYRDFNVDDLS >EOY00885 pep chromosome:Theobroma_cacao_20110822:2:35683032:35687815:-1 gene:TCM_010805 transcript:EOY00885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein MEMKRKALLSIKEGLRDPFGRLSSWIGEDCCNLAGVGCSNQTGHIVDHRNKYDCPSDGGNSAPPNRFYQLGGTLNPSLLNLTHLNYLDTLPFVNFTSLQALDLSYNKFSSSLPHWLFNFSTLVEVRLRHSNLTGHTPKVSPGNLRNLQVLDLSSSSIGGEIEELIEGLSRCSNCSLETLDLNTNNLAGNLPDSWGFLRNLKFLALGSNKLTGKLSDSLGSLGYLAYLQLSHNAFWGPLLKSVGNLSRLEVLDLRFNMMSGTITESIGQLPRLFQLGLYGNLGIPLKES >EOX97032 pep chromosome:Theobroma_cacao_20110822:2:981345:985585:1 gene:TCM_006150 transcript:EOX97032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein, putative isoform 1 MKGALDRTKVILRHLPPAITEAMLVEQVDTAFSGRYNWLSFRPGKSSQKHQSYSRAYIDFKRSEDVLEFAEFFNGHVFVNEKGTQFKTIVEYAPSQRVPKRSSKKDGREGTILKVFLDEHLDLEYLEFLECLGKPVENLPSAEIQLERKEAERAGVPKDTPIVTPLMDFVRQKRAAKGGSRRSLSNGKLSRRAGGSSGGTPSSASSKRGSEKRRGSTTMYVLRDSLKNASGKDKSTYILVSKRDEQQLSDKHVALASSMGTEISEEESGVPGITDAVKKKVLLLKGKEKEISPVAGNVLHQQNVTSPIKTILGSTPTKQNSRREGRMIRGILLNKDARQNQSSGVQSEQQIRTSNLEKDRRPPRHSHSHLVLKDTNTASDDKVVGNDLHGSEKPERRCRNKDRPDRGVWTLRRSDGSYASDESMSSSASQSALIPLDPLE >EOX97031 pep chromosome:Theobroma_cacao_20110822:2:981167:987265:1 gene:TCM_006150 transcript:EOX97031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein, putative isoform 1 MKGALDRTKVILRHLPPAITEAMLVEQVDTAFSGRYNWLSFRPGKSSQKHQSYSRAYIDFKRSEDVLEFAEFFNGHVFVNEKGTQFKTIVEYAPSQRVPKRSSKKDGREGTILKDLEYLEFLECLGKPVENLPSAEIQLERKEAERAGVPKDTPIVTPLMDFVRQKRAAKGGSRRSLSNGKLSRRAGGSSGGTPSSASSKRGSEKRRGSTTMYVLRDSLKNASGKDKSTYILVSKRDEQQLSDKHVALASSMGTEISEEESGVPGITDAVKKKVLLLKGKEKEISPVAGNVLHQQNVTSPIKTILGSTPTKQNSRREGRMIRGILLNKDARQNQSSGVQSEQQIRTSNLEKDRRPPRHSHSHLVLKDTNTASDDKVVGNDLHGSEKPERRCRNKDRPDRGVWTLRRSDGSYASDESMSSSASQSALIPLDPLEGTYGDTKVDLSNVRSVQVKTVGSGRNSSLDNGSHNKHVSRRGAVADGSSVMSDGKPGKRGCAAGYGSHEKQVWVQKSSSGS >EOX96984 pep chromosome:Theobroma_cacao_20110822:2:805474:814178:1 gene:TCM_006103 transcript:EOX96984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESWEHKNVTNELRQGRELARQLQANLKRSSSEENPELVEKIVSSFEKALSMLNCSTSSMTAKLQPIAHSSLARDGSHQSKDSEHDIKEQEFAFKDLFMESEVDQSMLEGVSAGSLLSMDFDHPFKDREALEGFFEYDFKAPEFKVNYDVNKSEAAELKPTIVATEMSTSRQFHRGRIQRKDFDYDFKEEQDLEVNEAFNKSAAGELQPTEVEFKKPKSSHYLGKSLPSKDSDYDFKEEQELKVNETFNKSCSVKDETKPAGLANVMFKSLSDYKLEKQKLTVSDASKKSAAGEFQPTEVEFKKPKSSHYLGESLPSKDSDYDFKEQELKANEGYSKRKARWSGTVSVHSDTVLGGPPADGYNWRKYGQKDIRNARFPRAYYRCEHRHSQGCKATKQVQRENEDPTVARVTYYGRHTCTLAPDLMPPKPPEILDPLDAVLGTDGNDKKDSQSNLQSSVHSPDNQSCISSTELTSELPNLGLNLNVFPEKSFESYPMWKKFYENEVRKNWKVLNRKKDVLLLLSSYPMIMIDKSDTDKWIIDVVAIMRHVKSTEKILFGVGVAKHLPGMTRLQELSGRMQKLLDVPLMNDIEGVLPVDLVENLYRPTEADLRPLLEVEQNIISGKTSKSRGSPSNSEGAAMEPEKELQPMPAKCKTLVEDTELSAKGTLNAPEEIFDLAIYLAVCQILKCINRGYIWCITISGRDKKRVLEAIKQHQDIGSYFGYIIVFTVSEDQSGANVHGVFHLQKGFWLGGCFDSVDLTHEYFHNLCSPGILLLREDDYDKNMNLDHSPLPFSINLSKLVDHKHSDSRFIIFTSEMAADMEIRMEDHLLSWKLFCRIVGEGLLSPSIQQIAASLVKECRGNLLAVILMARSLKKVIDDVNLWELAFKRLTMLPPSQIEDIDNVLINALTFIWEHMNNKTRHCIKLCAWYPKGEKIDRVSLIQHWIQDCLVDTYDEGTNIIQNLVDTFLLNIVELNRVQLRREIYDVIVNPLILQMHPLYLMLGGARLIKPPEEEEWDAKVIHLMDNKLSDLPESPRSPSLIALYLQNNLDLMAIPSCFFKHMPLLQILDLSHTSIKSLPESISSLVNLRELLLKGCELLIRLPSHVGELKNLEKLDLDETQIVDLPAEIGHLSKLKILRVSFYRYMNCSKTRLQQDTIIPPGTISGLSELTELSIDVDPDDERWNATVKAIIEEACDLKTLRQLNLYLPNIEILWKRRTGSTSLLHYPLPRFRFIVGYYKQQVVSRVPEEVEAHFNKGDKCLKFVKGKDIPAEMRMALNHSTAFFLEGHATARSLSDFGIENTRQLKFCLLTECNEVQTIIDCSEFPEEQMDALGNLQDLTIYYMKNLVSIWRGLVHKRCLASLKFLALHKCPKLSIIFSPDLVANLANLEELIVEHCPQLTSLVSLIGHASSSSAPQPNCFLASLKRISLLYVPNLVSISSGLRIAPELEKVGFYNCPKLKSLSVMEISSENLKVIKGESRWWEALEWKNSEWGNRLDYLHSIYSPLIKERDVKVQLVEEGIMHQAST >EOX99576 pep chromosome:Theobroma_cacao_20110822:2:12313924:12316557:-1 gene:TCM_008277 transcript:EOX99576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MNNVYKLHARLIKTGLQNDPLSLRPLLLSCAASAPESLSYARCLFARIPSPDTFAYNTLIRAHAHSFPSHAVSLFSAMHRGGLSPDHFTFPFVFKACARLQIGLETHALVIKLGLASDIYIQNALISFYGSLGSVVEALDVYDEMRVRDLVSWSSMISCFANNNFGYDALGLFQEMQLLESFKPDEVTMLSVISAVSSLGALELGKWVDAFVFRTGLKLTVSLGTALIDMYSRCGSVDNAIQVFNEMTVKNVLTWTVLINGLAVHGRGKEALRVFYGMKKTGLKPDHVTFNGVLVACTHGGLVDDGWRVFNSIEKVYGMEPTVQHYGCMVDLLGRAGFLHEAFEFVDRMPARPNAVIWRTLLGACVKHNDLKLAEKAKERIYEVDPNHDGDYVLLSNAYGGVGRWLDKADVRNSMREKRIGKNPGYSLLSMEEMIYEFVAGDKSHPKSKETKDFLISIIADLRVEGYSPYISNVLHDIEEEEKEQSLSYHSEKLAVAFALLHFKDRRTLRVMKNLRICYDCHCFMKHVSDKFDREIIVRDRNRFHHFRKGSCSCQDYW >EOY00903 pep chromosome:Theobroma_cacao_20110822:2:35816696:35817427:-1 gene:TCM_010818 transcript:EOY00903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 18, putative MESTSLCSSSLSFNPFRSSRTCLRNHRQRKAISMKTFAMRREAHDQNCSGRLVDENMIVLRKRLHELKMIERNYEPPANWMEWEKRYYTSYDSIICDAMGVLQTQLMNTRPSLALGMLALIVLSVPTSAAVMFFHVMEITKGVLVAGIHMM >EOY01724 pep chromosome:Theobroma_cacao_20110822:2:40733965:40736114:1 gene:TCM_011561 transcript:EOY01724 gene_biotype:protein_coding transcript_biotype:protein_coding description:H4/H2A histone acetyltransferase complex MEGGGGREKEEEQDGVSVHSPCKAPPSSASSLPKEQQQVELELRLLEALEIYPPVKLRGMHRHFVLYGLMEFLRRSLDRQFSPDEVLQLLDRFYNLEVLKPDDEEMDILSHEEDFCLPQSYFVKEES >EOY00647 pep chromosome:Theobroma_cacao_20110822:2:34264057:34266901:1 gene:TCM_010550 transcript:EOY00647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,4-N-acetylglucosaminyltransferase family protein MWWMMNEGGGHYCSKKTDDICGDVCGQESSRLSMSRIRCILRGIDLKTYIFLFVLVPTCIFGIYVHGQKISYFLRPLWESPPKPFHDIPHYYHENVSMETLCKLHGWKIREFPRRVYDAVLFSNEVDILTIRWQELYPYITQFVLLESNSTFTGIPKPMVFAGLRDQFKFVEPRLTYGTIGGRFKKGENPFVEEALQRVALDQLLKIAGISDDDLLIMSDVDEIPSRHTINLLRWCDDIPEVLHLRLKNYLYSFEFLVDNNSWRASVHRYQAGKTRYAHYRQTDEILADAGWHCSFCFRRISEFIFKMKAYSHNDRVRFSHYLNPKRVQKVICKGADLFDMLPEEYTFKEIIGKMGPIPHSFSAVHLPSYLLENADKYKFLLPGNCLRESE >EOY00139 pep chromosome:Theobroma_cacao_20110822:2:26520074:26532528:-1 gene:TCM_009694 transcript:EOY00139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein, putative MSIGTSRQLSQARREYRVVTIHVITMPYRREHLPLTRSAGRGRGHSQHSQPDPVEGESAASTIRAAPTAEQTETPPHPPPPPPPTVLPVTHSVPPPPPPVRPPVSNVFISKKLEEARQLGCVSFTSDLDATAAKDWIIQVLETLSDMRLDDDIKLMVTTRLLEKRAHTWWNSVKSRSTTLLTWSNFLREFDRLHNEIRDRMTMTGREPHKEVVQMALRAEKLANENRRMQPKFVKRRNSSISSSQPYKKGKDSSTSGSVTITLVASNRPSSQQPQPKSSRFNRSVTSTFGESFGGFDRC >EOX99204 pep chromosome:Theobroma_cacao_20110822:2:9022074:9033512:-1 gene:TCM_007803 transcript:EOX99204 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 2 isoform 4 MGDGGVACMPLQQHQHQHQHIMERFPVTEKTLCPSNGLTSKPIKLEDNAQQQEQQQPQQQQQQQPQQQQPRKKKKMVKIKKVVVVKKKVVGGAAAKKSELVVKPKSEAGLKSSKEVDKGENSGQREEVEEGELGTLKWPREGENGEVGTDKLKTGGIEKGEIASEKLRKGEVEKGEVVSEGKGEVEKGEIVSGKKGEVMNGEIITGKWRKGEAGKGEMILEKGRKGEAEKVEFGSWRSPKDDIEKGEFIPDRWHKGEVIKDDYSYSKSRKYELGKEKSWKYEMERTPPTGKHPVDDFYRRKEFSRSGTQHSKSTSRWETSHERTSRISSKIVDDDGLYKSEYSNGKNHGREYSSSGNRLKRHGTDSDSSERKHYGDYGDYANSKSRRLSDDFSRSSHPEHYSRHSVERFYRNSSSSRMSSLEKYSSRHHESSLSSRVVYDRHGRSPGYSERSPRDRVRNYDHRERSPIRRERSPYAREKSPYARDRSPYGRERSPYGRERSPYGRERSPYTRDRSPYDRSRHYDHRNRSPINAERSPQDRARFHDRRDRTPSYLERSPHDRNRPNNHRDNSRKSAANEKRNSQYGCKGQEDKVSQRDHSGRESHSSIKESQDRTSVHNFNGSDEKNAVCESQKEEQSLSPSVNCKEPPLPVDGAPPEELQSMEEDMDICDTPPHIPLVAESSVGKWIYLDYFGVERGPSKLCDLKSLVEEGVLLSDHLIKHLDSDRWVTVENAASPMLTVSFPSIVSDTVTQLVSPPEAPGNLLAEIGESRPLGIHSGDETMMNYQDDSAAASEPLEDLHIDERVGALLEGVNIIPGQELEIVGEVLQMTFEFDHAEWEGWGNSEGFTWHYSCTGDHHDKKTEELSSYSDTKAKEAAEIRIGAVSDGSSCADSSDWFSGRWSCKGGDWKRNEEATQDRSSRKKLVLNDGYPLCHMPKSGYEDPRWHMKDDLYYPSHSRRLDLPPWAFSSTEERSDCTDISRSNQIKPSVVRGAKGTMLPVVRINACVVQDQGSFVSAPRTKVRGKERYSSRSARSHSTTSDIKKSSAESDSLSKAVTDQGLKGSWKCIAPVNTPKDHVCTVDELQLHLGEWYYLDGAGHERGPSSLSELQVLVDQGAIQKHSSVFRKYDQVWIPVTSAAGTFEANARNQLENFVSSADSSGSLISDSQGAAISDNNTNSRSFHNLHPQFIGYTCGKLHELVMKSYKSREFAAAINEVLDPWISAKQPKKEMDKHIYRKTDGGKRARMMVNGSEEEYEIEDELQSTRKDEPTFEDLCGDSTFLEQDSTCSVTEMGNWGLLDGHVLARVFHFLRSDMKSLAFASLTCKHWRAAVRFYKGITRHVDMSSVGPNCTDSVVWNIMNGYNKEKINSMILMGCTNITPSTLEDVLRLFPSLSSIDIRGCSQFGELTVKFPNLRWFKSRCLHGMTISDESKIRSLKQITEKTSSGLKMGLGSDMDDFGELKNYFESVDKRDSANQLFRRSLYRRSKLFDARKSSSILSREARIRRWAIKKSENGYKRMEEFLASSLRDIMKENTFEFFMPKVAEIEERMKNGYYIGHGVGSVTEDISRMCRDAIKAKNRGGARDMNRIITLFIQLATRLEEGAKITSSYERDELLKSWKDDSPAGFSKYKKKLGKAVTERKYMNKSNGTSFANGGFDYGEYASDREIRKRLSKLNRKSLDSESETSDELDRSSEDGKSESEIDSTASDTESDVDFRPEGRSGESRGDGYFIADDSLDSMADDREWGARMTKVSLVPPVTRKYEVIDQYVIVADEEDVRRKMQVSLPEDYAEKLNAQKTGTEELDMELPEVKDYKPRKQLGDEVLEQEVYGIDPFTHNLLLDSMPEELEWPLVDKQYFIEDVLLRTLNKQVRHFTGTGNTPMMYPLQPVLDDIKRVAEADCDIRTMRMCQGILKAIDARPDDNYVAYRKGLGVVCNKEGGFGEEDFVVEFLGEVYPVWKWFEKQDGIRLLQKNNKDPAPEFYNIYLERPKGDAEGYDLVVVDAMHKANYASRICHSCHPNCEAKVTAVDGQYQIGIYALRAIRFDEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKEWHGILDRQHLMLEACELNSVSEEDYLELGRAGLGSCLLGGLPDWLVAYSARLVRFINFERTKLPEEILRHNLEEKRKYFLDICLDAERNDAEIQVSAVLILCLCMALASVSVPMVMSAYVCLKLFILAVTCKFSWYTFFLNELG >EOX99206 pep chromosome:Theobroma_cacao_20110822:2:9023322:9032963:-1 gene:TCM_007803 transcript:EOX99206 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 2 isoform 4 MGDGGVACMPLQQHQHQHQHIMERFPVTEKTLCPSNGLTSKPIKLEDNAQQQEQQQPQQQQQQQPQQQQPRKKKKMVKIKKVVVVKKKVVGGAAAKKSELVVKPKSEAGLKSSKEVDKGENSGQREEVEEGELGTLKWPREGENGEVGTDKLKTGGIEKGEIASEKLRKGEVEKGEVVSEGKGEVEKGEIVSGKKGEVMNGEIITGKWRKGEAGKGEMILEKGRKGEAEKVEFGSWRSPKDDIEKGEFIPDRWHKGEVIKDDYSYSKSRKYELGKEKSWKYEMERTPPTGKHPVDDFYRRKEFSRSGTQHSKSTSRWETSHERTSRISSKIVDDDGLYKSEYSNGKNHGREYSSSGNRLKRHGTDSDSSERKHYGDYGDYANSKSRRLSDDFSRSSHPEHYSRHSVERFYRNSSSSRMSSLEKYSSRHHESSLSSRVVYDRHGRSPGYSERSPRDRVRNYDHRERSPIRRERSPYAREKSPYARDRSPYGRERSPYGRERSPYGRERSPYTRDRSPYDRSRHYDHRNRSPINAERSPQDRARFHDRRDRTPSYLERSPHDRNRPNNHRDNSRKSAANEKRNSQYGCKGQEDKVSQRDHSGRESHSSIKESQDRTSVHNFNGSDEKNAVCESQKEEQSLSPSVNCKEPPLPVDGAPPEELQSMEEDMDICDTPPHIPLVAESSVGKWIYLDYFGVERGPSKLCDLKSLVEEGVLLSDHLIKHLDSDRWVTVENAASPMLTVSFPSIVSDTVTQLVSPPEAPGNLLAEIGESRPLGIHSGDETMMNYQDDSAAASEPLEDLHIDERVGALLEGVNIIPGQELEIVGEVLQMTFEFDHAEWEGWGNSEGFTWHYSCTGDHHDKKTEELSSYSDTKAKEAAEIRIGAVSDGSSCADSSDWFSGRWSCKGGDWKRNEEATQDRSSRKKLVLNDGYPLCHMPKSGYEDPRWHMKDDLYYPSHSRRLDLPPWAFSSTEERSDCTDISRSNQIKPSVVRGAKGTMLPVVRINACVVQDQGSFVSAPRTKVRGKERYSSRSARSHSTTSDIKKSSAESDSLSKAVTDQGLKGSWKCIAPVNTPKDHVCTVDELQLHLGEWYYLDGAGHERGPSSLSELQVLVDQGAIQKHSSVFRKYDQVWIPVTSAAGTFEANARNQLENFVSSADSSGSLISDSQGAAISDNNTNSRSFHNLHPQFIGYTCGKLHELVMKSYKSREFAAAINEVLDPWISAKQPKKEMDKHIYRKTDGGKRARMMVNGSEEEYEIEDELQSTRKDEPTFEDLCGDSTFLEQDSTCSVTEMGNWGLLDGHVLARVFHFLRSDMKSLAFASLTCKHWRAAVRFYKGITRHVDMSSVGPNCTDSVVWNIMNGYNKEKINSMILMGCTNITPSTLEDVLRLFPSLSSIDIRGCSQFGELTVKFPNLRWFKSRCLHGMTISDESKIRSLKQITEKTSSGLKMGLGSDMDDFGELKNYFESVDKRDSANQLFRRSLYRRSKLFDARKSSSILSREARIRRWAIKKSENGYKRMEEFLASSLRDIMKENTFEFFMPKVAEIEERMKNGYYIGHGVGSVTEDISRMCRDAIKAKNRGGARDMNRIITLFIQLATRLEEGAKITSSYERDELLKSWKDDSPAGFSKYKKKLGKAVTERKYMNKSNGTSFANGGFDYGEYASDREIRKRLSKLNRKSLDSESETSDELDRSSEDGKSESEIDSTASDTESDVDFRPEGRSGESRGDGYFIADDSLDSMADDREWGARMTKVSLVPPVTRKYEVIDQYVIVADEEDVRRKMQVSLPEDYAEKLNAQKTGTEELDMELPEVKDYKPRKQLGDEVLEQEVYGIDPFTHNLLLDSMPEELEWPLVDKQYFIEDVLLRTLNKQVRHFTGTGNTPMMYPLQPVLDDIKRVAEADCDIRTMRMCQGILKAIDARPDDNYVAYRKGLGVVCNKEGGFGEEDFVVEFLGEVYPVWKWFEKQDGIRLLQKNNKDPAPEFYNIYLERPKGDAEGYDLVVVDAMHKANYASRICHSCHPNCEAKVTAVDGQYQIGIYALRY >EOX99202 pep chromosome:Theobroma_cacao_20110822:2:9019510:9033703:-1 gene:TCM_007803 transcript:EOX99202 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 2 isoform 4 MGDGGVACMPLQQHQHQHQHIMERFPVTEKTLCPSNGLTSKPIKLEDNAQQQEQQQPQQQQQQQPQQQQPRKKKKMVKIKKVVVVKKKVVGGAAAKKSELVVKPKSEAGLKSSKEVDKGENSGQREEVEEGELGTLKWPREGENGEVGTDKLKTGGIEKGEIASEKLRKGEVEKGEVVSEGKGEVEKGEIVSGKKGEVMNGEIITGKWRKGEAGKGEMILEKGRKGEAEKVEFGSWRSPKDDIEKGEFIPDRWHKGEVIKDDYSYSKSRKYELGKEKSWKYEMERTPPTGKHPVDDFYRRKEFSRSGTQHSKSTSRWETSHERTSRISSKIVDDDGLYKSEYSNGKNHGREYSSSGNRLKRHGTDSDSSERKHYGDYGDYANSKSRRLSDDFSRSSHPEHYSRHSVERFYRNSSSSRMSSLEKYSSRHHESSLSSRVVYDRHGRSPGYSERSPRDRVRNYDHRERSPIRRERSPYAREKSPYARDRSPYGRERSPYGRERSPYGRERSPYTRDRSPYDRSRHYDHRNRSPINAERSPQDRARFHDRRDRTPSYLERSPHDRNRPNNHRDNSRKSAANEKRNSQYGCKGQEDKVSQRDHSGRESHSSIKESQDRTSVHNFNGSDEKNAVCESQKEEQSLSPSVNCKEPPLPVDGAPPEELQSMEEDMDICDTPPHIPLVAESSVGKWIYLDYFGVERGPSKLCDLKSLVEEGVLLSDHLIKHLDSDRWVTVENAASPMLTVSFPSIVSDTVTQLVSPPEAPGNLLAEIGESRPLGIHSGDETMMNYQDDSAAASEPLEDLHIDERVGALLEGVNIIPGQELEIVGEVLQMTFEFDHAEWEGWGNSEGFTWHYSCTGDHHDKKTEELSSYSDTKAKEAAEIRIGAVSDGSSCADSSDWFSGRWSCKGGDWKRNEEATQDRSSRKKLVLNDGYPLCHMPKSGYEDPRWHMKDDLYYPSHSRRLDLPPWAFSSTEERSDCTDISRSNQIKPSVVRGAKGTMLPVVRINACVVQDQGSFVSAPRTKVRGKERYSSRSARSHSTTSDIKKSSAESDSLSKAVTDQGLKGSWKCIAPVNTPKDHVCTVDELQLHLGEWYYLDGAGHERGPSSLSELQVLVDQGAIQKHSSVFRKYDQVWIPVTSAAGTFEANARNQLENFVSSADSSGSLISDSQGAAISDNNTNSRSFHNLHPQFIGYTCGKLHELVMKSYKSREFAAAINEVLDPWISAKQPKKEMDKHIYRKTDGGKRARMMVNGSEEEYEIEDELQSTRKDEPTFEDLCGDSTFLEQDSTCSVTEMGNWGLLDGHVLARVFHFLRSDMKSLAFASLTCKHWRAAVRFYKGITRHVDMSSVGPNCTDSVVWNIMNGYNKEKINSMILMGCTNITPSTLEDVLRLFPSLSSIDIRGCSQFGELTVKFPNLRWFKSRCLHGMTISDESKIRSLKQITEKTSSGLKMGLGSDMDDFGELKNYFESVDKRDSANQLFRRSLYRRSKLFDARKSSSILSREARIRRWAIKKSENGYKRMEEFLASSLRDIMKENTFEFFMPKVAEIEERMKNGYYIGHGVGSVTEDISRMCRDAIKAKNRGGARDMNRIITLFIQLATRLEEGAKITSSYERDELLKSWKDDSPAGFSKYKKKLGKAVTERKYMNKSNGTSFANGGFDYGEYASDREIRKRLSKLNRKSLDSESETSDELDRSSEDGKSESEIDSTASDTESDVDFRPEGRSGESRGDGYFIADDSLDSMADDREWGARMTKVSLVPPVTRKYEVIDQYVIVADEEDVRRKMQVSLPEDYAEKLNAQKTGTEELDMELPEVKDYKPRKQLGDEVLEQEVYGIDPFTHNLLLDSMPEELEWPLVDKQYFIEDVLLRTLNKQVRHFTGTGNTPMMYPLQPVLDDIKRVAEADCDIRTMRMCQGILKAIDARPDDNYVAYRKGLGVVCNKEGGFGEEDFVVEFLGEVYPVWKWFEKQDGIRLLQKNNKDPAPEFYNIYLERPKGDAEGYDLVVVDAMHKANYASRICHSCHPNCEAKVTAVDGQYQIGIYALRAIRFDEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKEWHGILDRQHLMLEACELNSVSEEDYLELGRAGLGSCLLGGLPDWLVAYSARLVRFINFERTKLPEEILRHNLEEKRKYFLDICLDAERNDAEIQAEGVYNQRLQNLAVTLDKVRYVMRCVFGDPKKAPPPLERLSPEEAVSFLWKGEGSLVEELLQCMAPHVEEDMLNDLRSKIQDHDPLCSDDILKELQKSMLWLRDEVRNFPCTYKCRQDAAADLIHVYAYTKCFLRVREYKAVTSPPVYISPLDLGPKYADKLTGLQEYCKTYGENYCLGQLIFWYNQTSVEPDCSLVRASRGCLSLPDIGSFYAKVQKPSRHRVYGAKTLKFMLSLMEKQPQRPWPKDRIWSFKACLKVLGSPMLDAVLNNSPLDRDMMYWLKHRPAIFQAMWDR >EOX99203 pep chromosome:Theobroma_cacao_20110822:2:9019839:9033512:-1 gene:TCM_007803 transcript:EOX99203 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 2 isoform 4 MGDGGVACMPLQQHQHQHQHIMERFPVTEKTLCPSNGLTSKPIKLEDNAQQQEQQQPQQQQQQQPQQQQPRKKKKMVKIKKVVVVKKKVVGGAAAKKSELVVKPKSEAGLKSSKEVDKGENSGQREEVEEGELGTLKWPREGENGEVGTDKLKTGGIEKGEIASEKLRKGEVEKGEVVSEGKGEVEKGEIVSGKKGEVMNGEIITGKWRKGEAGKGEMILEKGRKGEAEKVEFGSWRSPKDDIEKGEFIPDRWHKGEVIKDDYSYSKSRKYELGKEKSWKYEMERTPPTGKHPVDDFYRRKEFSRSGTQHSKSTSRWETSHERTSRISSKIVDDDGLYKSEYSNGKNHGREYSSSGNRLKRHGTDSDSSERKHYGDYGDYANSKSRRLSDDFSRSSHPEHYSRHSVERFYRNSSSSRMSSLEKYSSRHHESSLSSRVVYDRHGRSPGYSERSPRDRVRNYDHRERSPIRRERSPYAREKSPYARDRSPYGRERSPYGRERSPYGRERSPYTRDRSPYDRSRHYDHRNRSPINAERSPQDRARFHDRRDRTPSYLERSPHDRNRPNNHRDNSRKSAANEKRNSQYGCKGQEDKVSQRDHSGRESHSSIKESQDRTSVHNFNGSDEKNAVCESQKEEQSLSPSVNCKEPPLPVDGAPPEELQSMEEDMDICDTPPHIPLVAESSVGKWIYLDYFGVERGPSKLCDLKSLVEEGVLLSDHLIKHLDSDRWVTVENAASPMLTVSFPSIVSDTVTQLVSPPEAPGNLLAEIGESRPLGIHSGDETMMNYQDDSAAASEPLEDLHIDERVGALLEGVNIIPGQELEIVGEVLQMTFEFDHAEWEGWGNSEGFTWHYSCTGDHHDKKTEELSSYSDTKAKEAAEIRIGAVSDGSSCADSSDWFSGRWSCKGGDWKRNEEATQDRSSRKKLVLNDGYPLCHMPKSGYEDPRWHMKDDLYYPSHSRRLDLPPWAFSSTEERSDCTDISRSNQIKPSVVRGAKGTMLPVVRINACVVQDQGSFVSAPRTKVRGKERYSSRSARSHSTTSDIKKSSAESDSLSKAVTDQGLKGSWKCIAPVNTPKDHVCTVDELQLHLGEWYYLDGAGHERGPSSLSELQVLVDQGAIQKHSSVFRKYDQVWIPVTSAAGTFEANARNQLENFVSSADSSGSLISDSQGAAISDNNTNSRSFHNLHPQFIGYTCGKLHELVMKSYKSREFAAAINEVLDPWISAKQPKKEMDKHIYRKTDGGKRARMMVNGSEEEYEIEDELQSTRKDEPTFEDLCGDSTFLEQDSTCSVTEMGNWGLLDGHVLARVFHFLRSDMKSLAFASLTCKHWRAAVRFYKGITRHVDMSSVGPNCTDSVVWNIMNGYNKEKINSMILMGCTNITPSTLEDVLRLFPSLSSIDIRGCSQFGELTVKFPNLRWFKSRCLHGMTISDESKIRSLKQITEKTSSGLKMGLGSDMDDFGELKNYFESVDKRDSANQLFRRSLYRRSKLFDARKSSSILSREARIRRWAIKKSENGYKRMEEFLASSLRDIMKENTFEFFMPKVAEIEERMKNGYYIGHGVGSVTEDISRMCRDAIKAKNRGGARDMNRIITLFIQLATRLEEGAKITSSYERDELLKSWKDDSPAGFSKYKKKLGKAVTERKYMNKSNGTSFANGGFDYGEYASDREIRKRLSKLNRKSLDSESETSDELDRSSEDGKSESEIDSTASDTESDVDFRPEGRSGESRGDGYFIADDSLDSMADDREWGARMTKVSLVPPVTRKYEVIDQYVIVADEEDVRRKMQVSLPEDYAEKLNAQKTGTEELDMELPEVKDYKPRKQLGDEVLEQEVYGIDPFTHNLLLDSMPEELEWPLVDKQYFIEDVLLRTLNKQVRHFTGTGNTPMMYPLQPVLDDIKRVAEADCDIRTMRMCQGILKAIDARPDDNYVAYRKGLGVVCNKEGGFGEEDFVVEFLGEVYPVWKWFEKQDGIRLLQKNNKDPAPEFYNIYLERPKGDAEGYDLVVVDAMHKANYASRICHSCHPNCEAKVTAVDGQYQIGIYALRAIRFDEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKEWHGILDRQHLMLEACELNSVSEEDYLELGRAGLGSCLLGGLPDWLVAYSARLVRFINFERTKLPEEILRHNLEEKRKYFLDICLDAERNDAEIQA >EOX99205 pep chromosome:Theobroma_cacao_20110822:2:9022272:9033631:-1 gene:TCM_007803 transcript:EOX99205 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 2 isoform 4 MGDGGVACMPLQQHQHQHQHIMERFPVTEKTLCPSNGLTSKPIKLEDNAQQQEQQQPQQQQQQQPQQQQPRKKKKMVKIKKVVVVKKKVVGGAAAKKSELVVKPKSEAGLKSSKEVDKGENSGQREEVEEGELGTLKWPREGENGEVGTDKLKTGGIEKGEIASEKLRKGEVEKGEVVSEGKGEVEKGEIVSGKKGEVMNGEIITGKWRKGEAGKGEMILEKGRKGEAEKVEFGSWRSPKDDIEKGEFIPDRWHKGEVIKDDYSYSKSRKYELGKEKSWKYEMERTPPTGKHPVDDFYRRKEFSRSGTQHSKSTSRWETSHERTSRISSKIVDDDGLYKSEYSNGKNHGREYSSSGNRLKRHGTDSDSSERKHYGDYGDYANSKSRRLSDDFSRSSHPEHYSRHSVERFYRNSSSSRMSSLEKYSSRHHESSLSSRVVYDRHGRSPGYSERSPRDRVRNYDHRERSPIRRERSPYAREKSPYARDRSPYGRERSPYGRERSPYGRERSPYTRDRSPYDRSRHYDHRNRSPINAERSPQDRARFHDRRDRTPSYLERSPHDRNRPNNHRDNSRKSAANEKRNSQYGCKGQEDKVSQRDHSGRESHSSIKESQDRTSVHNFNGSDEKNAVCESQKEEQSLSPSVNCKEPPLPVDGAPPEELQSMEEDMDICDTPPHIPLVAESSVGKWIYLDYFGVERGPSKLCDLKSLVEEGVLLSDHLIKHLDSDRWVTVENAASPMLTVSFPSIVSDTVTQLVSPPEAPGNLLAEIGESRPLGIHSGDETMMNYQDDSAAASEPLEDLHIDERVGALLEGVNIIPGQELEIVGEVLQMTFEFDHAEWEGWGNSEGFTWHYSCTGDHHDKKTEELSSYSDTKAKEAAEIRIGAVSDGSSCADSSDWFSGRWSCKGGDWKRNEEATQDRSSRKKLVLNDGYPLCHMPKSGYEDPRWHMKDDLYYPSHSRRLDLPPWAFSSTEERSDCTDISRSNQIKPSVVRGAKGTMLPVVRINACVVQDQGSFVSAPRTKVRGKERYSSRSARSHSTTSDIKKSSAESDSLSKAVTDQGLKGSWKCIAPVNTPKDHVCTVDELQLHLGEWYYLDGAGHERGPSSLSELQVLVDQGAIQKHSSVFRKYDQVWIPVTSAAGTFEANARNQLENFVSSADSSGSLISDSQGAAISDNNTNSRSFHNLHPQFIGYTCGKLHELVMKSYKSREFAAAINEVLDPWISAKQPKKEMDKHIYRKTDGGKRARMMVNGSEEEYEIEDELQSTRKDEPTFEDLCGDSTFLEQDSTCSVTEMGNWGLLDGHVLARVFHFLRSDMKSLAFASLTCKHWRAAVRFYKGITRHVDMSSVGPNCTDSVVWNIMNGYNKEKINSMILMGCTNITPSTLEDVLRLFPSLSSIDIRGCSQFGELTVKFPNLRWFKSRCLHGMTISDESKIRSLKQITEKTSSGLKMGLGSDMDDFGELKNYFESVDKRDSANQLFRRSLYRRSKLFDARKSSSILSREARIRRWAIKKSENGYKRMEEFLASSLRDIMKENTFEFFMPKVAEIEERMKNGYYIGHGVGSVTEDISRMCRDAIKAKNRGGARDMNRIITLFIQLATRLEEGAKITSSYERDELLKSWKDDSPAGFSKYKKKLGKAVTERKYMNKSNGTSFANGGFDYGEYASDREIRKRLSKLNRKSLDSESETSDELDRSSEDGKSESEIDSTASDTESDVDFRPEGRSGESRGDGYFIADDSLDSMADDREWGARMTKVSLVPPVTRKYEVIDQYVIVADEEDVRRKMQVSLPEDYAEKLNAQKTGTEELDMELPEVKDYKPRKQLGDEVLEQEVYGIDPFTHNLLLDSMPEELEWPLVDKQYFIEDVLLRTLNKQVRHFTGTGNTPMMYPLQPVLDDIKRVAEADCDIRTMRMCQGILKAIDARPDDNYVAYRKGLGVVCNKEGGFGEEDFVVEFLGEVYPVWKWFEKQDGIRLLQKNNKDPAPEFYNIYLERPKGDAEGYDLVVVDAMHKANYASRICHSCHPNCEAKVTAVDGQYQIGIYALRY >EOX99787 pep chromosome:Theobroma_cacao_20110822:2:16369055:16385335:-1 gene:TCM_008719 transcript:EOX99787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRDEICGFLLTHELELKEEDEEDTREAKEKKNSIALKASTLEEELEELSCDDDEELALVARKFRKLMDKRNQRLARRGFRKDQGFSWRTRNKNDPNKKNELTCFECKKPGHFKFECPLLKDETPKKNKKSKKAMVATTWSDSDTSSSESKEEKAEKRANLCLMAQDNESEVSSSPCDISIDDL >EOX99299 pep chromosome:Theobroma_cacao_20110822:2:10035083:10035816:1 gene:TCM_007932 transcript:EOX99299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNWSAGSKAWIVAASIGAVEALKNHNHAMKSLNQHAKNSVRSFSQAKKLSSSAMASSKKTKQADDSLRKVMYLSCWGPY >EOY00552 pep chromosome:Theobroma_cacao_20110822:2:33435360:33439051:1 gene:TCM_010442 transcript:EOY00552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 3 isoform 3 MNQFLFFFLIFFFFFSLFAKLSLSDPRATEAALVCTNRTASTTERQTFISNYLAVMDAVTPLIVQQRFAAVVNGTGDTKVFGYGECMKDLDQSDCNVCFAQCKTQILRCLPFQLVTRGGRLFYDGCYLRYDDYNFFSESLSEGDRTVCNTSDAASSNQTVFRDYVLQLVRNLSVEAPKNDGFFVGSVSRGNVSVYGLAQCWELVNKSACESCLANAVSRIRSCLPKEEGRALNAGCYLRYSTEKFYYNSTAPPVIGNRVKKDAEPLRWEARFKIVLGTAEGLAYLHEESKLRIIHRDIKLSNVLLDEDLIPKIADFGLARLFPEDKTHISTAVAGTLVWNHYEVDKLCEAVDPIIEDNFLKEASRLLKIGLLCVQAYAEMRPSMSTVVQMLTDDAHEIPQPTQPPFLNSSSTEVSQNIASETYNSQPESYTQCSGNSMTQSMIEPR >EOY00551 pep chromosome:Theobroma_cacao_20110822:2:33435324:33439047:1 gene:TCM_010442 transcript:EOY00551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 3 isoform 3 MNQFLFFFLIFFFFFSLFAKLSLSDPRATEAALVCTNRTASTTERQTFISNYLAVMDAVTPLIVQQRFAAVVNGTGDTKVFGYGECMKDLDQSDCNVCFAQCKTQILRCLPFQLVTRGGRLFYDGCYLRYDDYNFFSESLSEGDRTVCNTSDAASSNQTVFRDYVLQLVRNLSVEAPKNDGFFVGSVSRGNVSVYGLAQCWELVNKSACESCLANAVSRIRSCLPKEEGRALNAGCYLRYSTEKFYYNSTAPPVIGNRVKKDAEPLRWEARFKIVLGTAEGLAYLHEESKLRIIHRDIKLSNVLLDEDLIPKIADFGLARLFPEDKTHISTAVAGTLGYMAPEYVVCGKLSEKVDVYSFGVLVIEVICGKRNKFSEDSVSILHMVWNHYEVDKLCEAVDPIIEDNFLKEASRLLKIGLLCVQAYAEMRPSMSTVVQMLTDDAHEIPQPTQPPFLNSSSTEVSQNIASETYNSQPESYTQCSGNSMTQSMIEPR >EOY00550 pep chromosome:Theobroma_cacao_20110822:2:33435492:33439580:1 gene:TCM_010442 transcript:EOY00550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 3 isoform 3 MNQFLFFFLIFFFFFSLFAKLSLSDPRATEAALVCTNRTASTTERQTFISNYLAVMDAVTPLIVQQRFAAVVNGTGDTKVFGYGECMKDLDQSDCNVCFAQCKTQILRCLPFQLVTRGGRLFYDGCYLRYDDYNFFSESLSEGDRTVCNTSDAASSNQTVFRDYVLQLVRNLSVEAPKNDGFFVGSVSRGNVSVYGLAQCWELVNKSACESCLANAVSRIRSCLPKEEGRALNAGCYLRYSTEKFYYNSTAPPVIGNRGRRKLAVILATTFSTLALTLIIATAVFCVNKKLVRKRRERKQLGALSHIVNKSKLNFSYESLERATNYFHDSNKLGQGGSGSVYKGTLPNGKVVAIKRLFFNTRQWVDHFFNEVNLISSIYHKNLVKLLGCSITGPESLLVYEYVPNQSLHDYLFVKKDAEPLRWEARFKIVLGTAEGLAYLHEESKLRIIHRDIKLSNVLLDEDLIPKIADFGLARLFPEDKTHISTAVAGTLGYMAPEYVVCGKLSEKVDVYSFGVLVIEVICGKRNKFSEDSVSILHMVWNHYEVDKLCEAVDPIIEDNFLKEASRLLKIGLLCVQAYAEMRPSMSTVVQMLTDDAHEIPQPTQPPFLNSSSTEVSQNIASETYNSQPESYTQCSGNSMTQSMIEPR >EOY00937 pep chromosome:Theobroma_cacao_20110822:2:35956905:35959014:1 gene:TCM_047008 transcript:EOY00937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a protein whose expression is responsive to nematode infection, putative MGNSLGGKKTTKVMKINGETFKLKTPVKAEEVVKDYPGHVLLESESVKHFGIRAKPLQSHQSLEPKRLYFLVELPEAPKEIVTRRVRSGINMSAKDRLESLMLSRRSVSDLTLMKPKCVIPEESEEEGSESGAMRVKMRLPRAEVERLMKESNNEAEAAEKIMQLCVANTRNSPREAAQKGNGRLSLQQQVHWKGSHGRVGERFKAREKRVSFTPISEGGSQIAVTS >EOY02003 pep chromosome:Theobroma_cacao_20110822:2:41653239:41653763:-1 gene:TCM_011767 transcript:EOY02003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPAKESSVKKMLKRVSVEDGDCDGENIKKRRVIADECVICLEELKVGSDASQMPCSHTFHGDCIEKWLKQSHYCPICRFEMPI >EOX99422 pep chromosome:Theobroma_cacao_20110822:2:11097443:11099237:1 gene:TCM_008101 transcript:EOX99422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lorelei-like-gpi anchored protein 3, putative MALNQSFVCLIFFFLLSGFASSSIHISNGAIESHGSTGRYLLQAKKACSEDFEHKNYTIITSMCKGPEYPAKGCCQALKEFACPFADKLNDLSTDCASTMFSYINLYGKYPPGLFANMCREGKQGLQCSEPPTQKSGVVVTRPTLLMLTSGFLVLLFQLF >EOY00257 pep chromosome:Theobroma_cacao_20110822:2:30510252:30515046:-1 gene:TCM_010085 transcript:EOY00257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDRFTNLTNKLSQLGKAIPEHEIVKRLLRSLPKNCKPKVTAILEAKDLNVITLDEICGSLLTHELELKEKEEEDKREAKENKKSIALKASILEEELNSLSCDDDEELAMVAKRFRKLMGQRNRRLARKKA >EOX98917 pep chromosome:Theobroma_cacao_20110822:2:7768598:7770662:-1 gene:TCM_007582 transcript:EOX98917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVLAASSVISHNRTINSLAFPLPKRRNIAVNHHQHHSKVYLHSFPRLHDVYQCSNSKTFNPSCLAKTAVSDVQLHNPNPTTAAAANAWSEFARNVSGEWDGFGADFSIEGSPIELPESVVPEAYRDWEVKVYDWQTQCPTLAEPGEKVMTYKTIKLLPTVGCEADAATRYSMDERNIVGVDNKVSAFAYQASGCYTAIWPVADNGTHELWELEHCLINPRDKESRVRIIQVVRVDGTKLVLQNIRVFCEQWYGPFRNGDQLGGCAIRDSAFAPTATTKASDIIGEWQGPNAVATFDGSGDIFLQELKDNGSLKSIRDESNLILLPKQLWCAIKESGGETCSEVGWLFDQGCAITSRCSFSSEGKLKRKSQ >EOX98916 pep chromosome:Theobroma_cacao_20110822:2:7768250:7770755:-1 gene:TCM_007582 transcript:EOX98916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVLAASSVISHNRTINSLAFPLPKRRNIAVNHHQHHSKVYLHSFPRLHDVYQCSNSKTFNPSCLAKTAVSDVQLHNPNPTTAAAANAWSEFARNVSGEWDGFGADFSIEGSPIELPESVVPEAYRDWEVKVYDWQTQCPTLAEPGEKVMTYKTIKLLPTVGCEADAATRYSMDERNIVGVDNKVSAFAYQASGCYTAIWPVADNGTHELWELEHCLINPRDKESRVRIIQVVRVDGTKLVLQNIRVFCEQWYGPFRNGDQLGGCAIRDSAFAPTATTKASDIIGEWQGPNAVATFDGSGDIFLQELKDNGSLKSIRDESNLILLPKQLWCAIKESGGETCSEVGWLFDQGCAITSRCSFSSEGKLKEVSVARETTVSEDVYHQMI >EOX98918 pep chromosome:Theobroma_cacao_20110822:2:7768250:7771081:-1 gene:TCM_007582 transcript:EOX98918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVLAASSVISHNRTINSLAFPLPKRRNIAVNHHQHHSKVYLHSFPRLHDVYQCSNSKTFNPSCLAKTAVSDVQLHNPNPTTAAAANAWSEFARNVSGEWDGFGADFSIEGSPIELPESVVPEAYRDWEVKVYDWQTQCPTLAEPGEKVMTYKTIKLLPTVGCEADAATRYSMDERNIVGVDNKVSAFAYQASGCYTAIWPVADNGTHELWELEHCLINPRDKESRVRIIQVVRVDGTKLVLQNIRVFCEQWYGPFRNGDQLGGCAIRDSAFAPTATTKASDIIGEWQGPNAVATFDGSGDIFLQELKDNGSLKSIRDESNLILLPKQLWCAIKESGGETCSEVGWLFDQGCAITSRCSFSSEGKLKAISVARETTVSEDVYHQMI >EOX96797 pep chromosome:Theobroma_cacao_20110822:2:67916:73111:-1 gene:TCM_005963 transcript:EOX96797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group isoform 1 MEAVKAQGVGSESQVEDLGRCVDEISTTVNQLEQRVNDVEQFYMTTDNTQLTATKYSSVFKDKVKEKQLTNIEKQQQEASHREAAAVKRMQDLMRQFATILRQVIQKPMDFGTIKSKMEAKDGIGYNNVREIYADVRLVFKNAMKYNDERHDVHIMAKTLLEKFEEKWLQLLPKVAEEEKRQVEEEAKSQLDMKLAQEAAHANMARELSNELCEVDLQLEKLRQMVIQRCRKMSTEEKKKLGTALTRLSPEDLSKALEIVAENNPGFQPTAQEVDLDIDAQSELTLWRLKVFVQDKLKLAGKCSEDVDCNNINNNGNTIKSNSKRKREISDALTKNAIKRTRKLSPNS >EOX96796 pep chromosome:Theobroma_cacao_20110822:2:68017:73111:-1 gene:TCM_005963 transcript:EOX96796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group isoform 1 MEAVKAQGVGSESQVEDLGRCVDEISTTVNQLEQRVNDVEQFYMTTDNTQLTATKYSSVFKDKVKEKQLTNIEKQQQEASHREAAAVKRMQDLMRQFATILRQITQHKWAHPFMHPVDVEGLGLHDYYEVIQKPMDFGTIKSKMEAKDGIGYNNVREIYADVRLVFKNAMKYNDERHDVHIMAKTLLEKFEEKWLQLLPKVAEEEKRQVEEEAKSQLDMKLAQEAAHANMARELSNELCEVDLQLEKLRQMVIQRCRKMSTEEKKKLGTALTRLSPEDLSKALEIVAENNPGFQPTAQEVDLDIDAQSELTLWRLKVFVQDKLKLAGKCSEDVDCNNINNNGNTIKSNSKRKREISDALTKNAIKRTRKLSPNS >EOX97975 pep chromosome:Theobroma_cacao_20110822:2:4135305:4136805:1 gene:TCM_006854 transcript:EOX97975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKKQRHSIQAKPRQFDPRKTSVHFSRICSHHNMLQAFNELIITDGLTRFSDKELRTGTWSGQIGKMIWVFFFFYQTNILTTFVSSASSC >EOY00056 pep chromosome:Theobroma_cacao_20110822:2:24217214:24224683:-1 gene:TCM_009467 transcript:EOY00056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHDDQWPPPDGIESKEEGKILVNSDSDDDLSEITDEGHYLTIRKWSPGFRSDEKSIESIATWIRLHVMPLEFYDKFVLAKINNKLGRVLKNDRNTTQAISGRFARLCVEIDLDQPLVPRVRIVGWWQRVEYEGLRLLCFHCGRFGNSFKGCAMKSTEPEVVLVDQVPKMVASKVDHENKAESSKFGPWMVAKKIYRRRKPRIIKTRKNLFLSLWKPKLQCKQWKKIAIGGIKIATSKSVEMYKKVAMNKDRNELAIMATKEGKEENANTTKGAVMHSLHVLATVPNKTTYSTCDIGGDNGKVGVGINGKAREKNPLKKNEENLNMMDLEYVSNYEMGAIDAVKNPSRIVKISLTKDFVKYPGTPMIHGRITRATHSELINKTKLRLESWSNKYLSMAGQISLVKLVLSAMATYLMQMTFLPKHVVKGD >EOX97199 pep chromosome:Theobroma_cacao_20110822:2:1567145:1567903:-1 gene:TCM_006287 transcript:EOX97199 gene_biotype:protein_coding transcript_biotype:protein_coding description:CSL zinc finger domain-containing protein isoform 1 MSYDDVEIEDMQWSDELQAYTYPCPCGDLFQITKEELKLGEEIARCPSCSLYITVIYNAEDFADDKSKSNLEPEKRQAVAVA >EOX97198 pep chromosome:Theobroma_cacao_20110822:2:1566903:1567848:-1 gene:TCM_006287 transcript:EOX97198 gene_biotype:protein_coding transcript_biotype:protein_coding description:CSL zinc finger domain-containing protein isoform 1 MSYDDVEIEDMQWSDELQAYTYPCPCGDLFQITKEELKLGEEIARCPSCSLYITVIYNAEDFADDKSKSNLEPEKRQAVAVA >EOX96982 pep chromosome:Theobroma_cacao_20110822:2:800902:805379:-1 gene:TCM_006101 transcript:EOX96982 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein isoform 1 MAELAKELLQEIAKDGAKGAFDYLMGKHSSWNNMDNNFDMMITAAEKLQAKRDDHEGTVEQNRTKTTTRCYKKWLSSVVKTLQQVETLRAEYDSMRASNWMHGSNYSSNAITMCKKVERLVEEGDFQGGFLVDKPPEAILKLNAPDLEGFPTLQRSLQEILELLRSDKLKGIGIFGTVGVGKTTIMKNLNNHEEVAKMFDIVIWVNVSSERNDEKLQLNIAQRLKLKTEVAMCPGELANIISEEMKDKKYLLLLDEVMDSIDLQQIGIPENGNGSKVVLTTEFRHVCSSMTERMVKVDRLSSDEAWRMFQQIAAEKIDLPDVEPVARLVADECDRLPLVIRTVASSFKLKDSDSEWRNGLRELEKWPEIEIPGLTNMHAFLKFCYHELKDEKKKKCFLYGALYPADSKIYVDHLVECWAAEGLLGTIDDRWKFRVARDKGRDILGHLVNVSLLEKGEKMIYVQVNNSVRQVALYISSQEPDCKFIALKGEHSSYPQNITDWQEARRISMIEGKLQELPESPNCNKLLSLLLQRNPNLATIPPSFFQNMQKLLVLDLYRTGIASLPSSVATLTRLKALFLNDCPSITKLPPQVAELCFLEVLDIRGCKIIFIPLLIGKLVYLRCLRMSYHKCSNTEDYRDKEIDYKVISSLSRLEELMIDVTPYGHWCIDVAKRVIQEVAFLKNLTTLRISFPRPEILKMFIENRPSWRDHEQLTSFWFFVGCESENNPLILDCLEYKVNRYMRYCYTGNDDSTVRDVLPKTDALELIGHNNIKCLSDFMNVASLNHVRSCLIERCKKITSTIDAEREGEMDILPILEQLHLRNLLLLKSIFEGPISGKSLSKLHTIVVKCCPMLTKLFSNGVIQQLSKLKKLVMESCFKIEKLIELPSLEILELVDLPNLRTTSVDESLAWPQLKVLKIFGCPKVKSLPFSKNNATELKLIEGEQLWWEALQWQDSEVRDHLQSFCSLR >EOX96983 pep chromosome:Theobroma_cacao_20110822:2:801062:805510:-1 gene:TCM_006101 transcript:EOX96983 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein isoform 1 MAELAKELLQEIAKDGAKGAFDYLMGKHSSWNNMDNNFDMMITAAEKLQAKRDDHEGTVEQNRTKTTTRCYKKWLSSVVKTLQQVETLRAEYDSMRASNWMHGSNYSSNAITMCKKVERLVEEGDFQGGFLVDKPPEAILKLNAPDLEGFPTLQRSLQEILELLRSDKLKGIGIFGTVGVGKTTIMKNLNNHEEVAKMFDIVIWVNVSSERNDEKLQLNIAQRLKLKTEVAMCPGELANIISEEMKDKKYLLLLDEVMDSIDLQQIGIPENGNGSKVVLTTEFRHVCSSMTERMVKVDRLSSDEAWRMFQQIAAEKIDLPDVEPVARLVADECDRLPLVIRTVASSFKLKDSDSEWRNGLRELEKWPEIEIPGLTNMHAFLKFCYHELKDEKKKKCFLYGALYPADSKIYVDHLVECWAAEGLLGTIDDRWKFRVARDKGRDILGHLVNVSLLEKGEKMIYVQVNNSVRQVALYISSQEPDCKFIALKGEHSSYPQNITDWQEARRISMIEGKLQELPESPNCNKLLSLLLQRNPNLATIPPSFFQNMQKLLVLDLYRTGIASLPSSVATLTRLKALFLNDCPSITKLPPQVAELCFLEVLDIRGCKIIFIPLLIGKLVYLRCLRMSYHKCSNTEDYRDKEIDYKVISSLSRLEELMIDVTPYGHWCIDVAKRVIQEVAFLKNLTTLRISFPRPEILKMFIENRPSWRDHEQLTSFWFFVGCESENNPLILDCLEYKVNRYMRYCYTGNDDSTVRDVLPKTDALELIGHNNIKCLSDFMNVASLNHVRSCLIERCKKITSTIDAEREGEMDILPILEQLHLRNLLLLKSIFEGPISGKSLSKLHTIVVKCCPMLTKLFSNGVIQQLSKLKKLVMESCFKIEKLIELPSLEILELVDLPNLRTTSVDESLAWPQLKVLKIFGCPKVKSLPFSKNNATELKLIEGEQLWWEALQWQDSEVRDHLQSFCSLR >EOY00373 pep chromosome:Theobroma_cacao_20110822:2:31870989:31873307:-1 gene:TCM_010235 transcript:EOY00373 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing MEGEEFAVGCFLSIKTTLGDEFEGQIITFDRLSNILVLQEGPKSGPRRNIRLLKANYIKEFSLLRQDEDPLDVKKCFLDLTSLQAREDCAIRQAEADSERFGVGVTSEAQSLFDALSKTLPVRWDKTVIVVMNEVRVSSPYLPESVSGGTPAANERVKKVLEFERKRMQVRGASQ >EOY00168 pep chromosome:Theobroma_cacao_20110822:2:28163583:28176311:1 gene:TCM_009853 transcript:EOY00168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPKRATASRFYDKIRFISPNAKKGYNEEWTTFCKQPLVAMVPLVREIYTNAKEHHNGFVLVHGKFLHFTFDAINAYFEIPTDLIDEYFTLEQDYEEIINYLCKGSSSIARPKASLPQVLSMAPRMERLETHMAHHSQCFNVIEQMIRAYAEHIDMNIDTFLMLPGDPTIVGADDEKKEDI >EOX97855 pep chromosome:Theobroma_cacao_20110822:2:3686038:3687372:-1 gene:TCM_006778 transcript:EOX97855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDDKVRTRMKKMKRRYVTATPALLRAIGFLVTMALLLWTICWGFQLTMEPWRYTAVAARAFHVGVTTMVFGFLFLVLGLSILAGMFMDISEQLPKLSGIHQGQETRTISKAIAKALMTVITMLMIIWAMYTGFRLATESGDSKHYLLTVSIGVTTILFGLIYFVIGLAIVLEVALDLWTRSQQKEKKETSFHHSCNADARCFR >EOX97845 pep chromosome:Theobroma_cacao_20110822:2:3652629:3654960:1 gene:TCM_006769 transcript:EOX97845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVGLVAWLRATWVAVVLPIISASIPSSKLSSFHELIVGFAQRGKIRQPSSFAPIRRDGKLKFKPVCQIWKLRLTVGRGHMLNKIDDCNSYRRLVALRGVSGRYASFCSRAYHPFSAFWTLLLFLFGEPFNSSLINGSYLLILKKKKKLTAGGKSGVCSS >EOY01081 pep chromosome:Theobroma_cacao_20110822:2:37430095:37439605:1 gene:TCM_011024 transcript:EOY01081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAARLAAVRFDFENSCTLHCMCRFIRIQNLSTKSNLEFLAKRQIIHFESSFCYTTDHGYNMKNERDPDGGYNKTTDIFVLANR >EOX99382 pep chromosome:Theobroma_cacao_20110822:2:10909604:10912974:1 gene:TCM_008066 transcript:EOX99382 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor, putative MGSRILKSASRCLVLSMAAAKNSGKSKKGVVDETEKMTTSQDWEIDKGKDLDFGFERRQWKPVFDEAFMSQRPLKKIRSPERQDPVQSSVSLAHQSSSFSAPSSVSTSSPSSLTLFPPSSSSSSNATLPSSRLVFPFAFDASQQPMHFPQQYGNTPTLMPIFRPPQNQQHMISFSPQQQQHGFVYPPFFAGESTLPPQQQQQQLLQYWSDALNLSPRGRMMMMSRLGQDGRQLFRPPVQPINTTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAALAYDREAFKLRGENARLNFPELFLNKDKDTSTAPSSTVSSPQTPRESSKPNQNQNLPQQEQEGLNLQSAKIEMMPPPAQPQGDNPDSDSGLGSSEATASDEVQMTAEGSGAGEGVSGSQELVWGDMAEAWFNAIPAGWGPGSPVWDDLDTTNNLLIPSNLPFANQNQQDLSDSDIQKQQDSCASSSSTSCPMKPFFWKDQD >EOX97747 pep chromosome:Theobroma_cacao_20110822:2:3283215:3292058:-1 gene:TCM_006683 transcript:EOX97747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein MLQTERKYYYGSLPDLHGIPILLKDNISTKDKLNTTAGSFALLGSVVPRDAGVVVKLRKAGAIILGKASLSEWPNFRSLTAPAGFSPRGGQGKNPYVLSATPCGPSCGSAMSVAANSVTVSLGMETDGSLLRPASFNSVGGLKPTVGLTSRAWMLLMVITSTKYLFTIGFNRPICTTVLDAVYVLGAIVRFDSNDQATRYASAYIPPGGYKQFLNSYGLKGKRFGIVRNPFFKIVEGLGLGQTFENHLQTLRGEGAVVVDNLQIANIDVILNVTLSGEAVATLAEFKLSLNAHLKELAASPVRSLADIIAFNLIFPDLELTYKIGQVIFLAAQATNGIGAQERALSNLENLSRNGFEKLLREYKLDQLVTPRSDVSPAYAIGGFPAIIVPAGHDSKGVGSTLHAHSLAMAANSSLRSSSVLFLIILILSPISSSGFSTSTTTHYSFSIKEATIKDIKLAFQRNELTSRQLVEFYLGEIVRLNVLLKGIIEVNPDALYQADTADKERKYYNGLLPDLHGIPILLKDNIGTNDKLNTTAGSFALLGSVVPRDAGVVMKLRKAGAIILGKASLSEWSMFRSLTAPAGFSPRGGQGKNPYVLSATPCGSSSGSAISVAANLVTVSLGTETDGSILCPASFNSVVGLKPTVGLTSRAGVIPITPRQDTIGPICRTVSDAVYVLDAIVGFDSNDHATRYASAYIPPGGYKQFLNSYGLKGKRLGIVRNPFFKIVEGLGFGRTFETHLQTLRGEGAVLVDNLQIANIDVILNFNLSGEALATLAEFKLSLNAYLQELVASPVRSLADIIAFNLKFPDLELTDKIGQDIFLAAQATNGIGAQERAALSNLENLSRNGFEKLMKDYKLDALATPRSDASPMYAIGGFPAIIVPAGYDSQGVPIGLSFGGLKGSEPKLIEIAYGFEQATKIRKPPSFKP >EOX98856 pep chromosome:Theobroma_cacao_20110822:2:7522478:7530342:-1 gene:TCM_007534 transcript:EOX98856 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRI1-associated receptor kinase MMERLISVTLWLILVLDLAFRVAGNAEGDALNALKTNLADPNNVLQSWDATLVNPCTWFHVTCNSENSVTRVDLGNANLSGQLVSQLGQLTNLQYLELYSNNITGIIPEELGNLTNLVSLDLYLNGLNGHIPTTLGKLTRLRFLRLNNNSLSGQIPMSLTTVNSLQVLDLSNNLLVGDVPVNGSFSLFTPISFANNRLNNPPPAPPPPISPTTPTPSGNSATGAIAGGVAAGAALLFAAPAIVLAWWRRRKPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKSILGRGGFGKVYKGRLADGSLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMVNGSVASCLRERLESQAPLDWAIRKRIALGAARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDRRLETLVDADLQGNYIDEEVEQLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWEEWQKEEMFRQEFNHMHHPNANWIIADSTSHIPPDELSGPR >EOX98557 pep chromosome:Theobroma_cacao_20110822:2:6181940:6185591:1 gene:TCM_007285 transcript:EOX98557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysophosphatidyl acyltransferase 5 MEVPSANHEMRHRSLTPLRVFRGLICLLVLFSTAFMMIVYCGFLTTVIFRLFSIHYSRKATSFFFSAWLSLWPFLFEKINKTKVIFSGDDVPPRERVLLICNHRTEVDWMYLWDFALRKGCLGYIKYILKSSLMKLPVFGWAFHILEFIPVERKWEVDESNMRNMLSTFKDPQDPLWLVLFPEGTDFTEQKCLRSQKYAAENGLPILKNLLLPKSKGFFACLEDLRSSLDAVYDVTIGYKHCCPSFLDNVFGVDPSEVHIHIRRITLDDIPISERELTAWLMDTFQHKDQLLSNFKSEGYFPRQGPEVNLSAVKCIVDVVLVLFLTSAFIFFTFFSSIWFKIFVSLSCAYMTSATYLNTRPVPVFSLVKTCV >EOX98109 pep chromosome:Theobroma_cacao_20110822:2:4577334:4586455:1 gene:TCM_006943 transcript:EOX98109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D MGRDSTGSSRAIGETTFLHGELDLWIIEAKSLPNMDLASERMRRFFTMFGTCDTYFRKKPKASGRQSMITSDPYVSVCLAGATVAQTRIIANCENPLWDEHFIVPVAHPVVKIEFHVKDNDVLGAQLIGVVEIAVEKVLPGHEINDWFPIIGHFGNCLKPYPEIHVSLQFRPMGQNPLYQHGVGTGPDYMGVPNTYFPLRKGGSVTLYQDAHVPDSLLPEILLDGGKVYQQGKCWEDICHAILEAHHLIYIVGWSVYHPIKLVREPTKPLPNGGQLSLGELLKYKSQEGVRVVMLIWDDKTSHDRGLLKTVGVMQTHDEETKRFFKHSSVRCVLAPRYASNKLSFFKQQVVGTLFTHHQKCVLLDTQASGNNRKITAFIGGLDLCDGRYDTPEHRLFHDLDTVFKDDFHNPTFPSNAQSPRQPWHDLHCKIEGPAAYDVLTNFEQRWRKAAKWRDFKLRKVTPWHDEALIRVDRISWIISPSPGSDGDKIVRVCDEEDPENWHVQVFRSIDSGSVKGFPKDVKQAAAQNLVCGKNLKVDRSIHTAYIKAIRSAQHFIYIENQYFLGSSYYWPSYKNAGADNLIPMELALKIASKISANERFSVYIVIPMWPEGVPNSNAVQEILFWQGQTMAVMYSIIAQELEKSGLSDQYHPQDYLNFYCLGKRDPSSSESSSQVNQQTDNRALALAQEFRRFMIYVHAKGMIVDDEYVIMGSANINQRSLDGSRDTEIAMGAYQPNYTWAAKKSHPHGQVYGYRMSLWAEHLGKLEDTFREPQSLECIKLVNKIAKRNWKSFVDDDYREMRGHLMLYPIKIRRNGTVGPLPGYETFPDIGGKVLGASTNLPDVLTT >EOX99907 pep chromosome:Theobroma_cacao_20110822:2:18111588:18115057:1 gene:TCM_008907 transcript:EOX99907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP54 [Source:Projected from Arabidopsis thaliana (AT1G24310) UniProtKB/Swiss-Prot;Acc:Q8GYF7] MFGAQASSSAFGAPSSTLSFGTPSSTPAFGTPSSTTAFGTPSSTPGFGTPSSTPAFGTPSTPSFATGFGGSSLFSSPFSSQTQQQQTPLFQQQQPTAAVAAPSGGFGFQTPSSTPLHNAQLTTQMAPVAPLPFSLADRDIQAIVDAYKEEPGNPKYAFKHLLFSVIDAQSRGKPAGVSDIMWAEAMAKLEGMESADRERLWPQLVQGFKDLSQRLKLQDEVILSDAERLRMTRSNVKMLQRHFQAETLPWIQRMRQKEQSLQRRLLKMMRIVEALEGKGCRLPLMKGEVELAEKLAAITRQLKGSGAELSRRVENLHIVSRVQANAIGAGGSLYLTGSTKIHEQSLAEMQEQTEAIARLGNVLKRDIRDMEIIMAEDTDMTEDVS >EOX99906 pep chromosome:Theobroma_cacao_20110822:2:18111332:18115354:1 gene:TCM_008907 transcript:EOX99906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP54 [Source:Projected from Arabidopsis thaliana (AT1G24310) UniProtKB/Swiss-Prot;Acc:Q8GYF7] MFGAQASSSAFGAPSSTLSFGTPSSTPAFGTPSSTTAFGTPSSTPGFGTPSSTPAFGTPSTPSFATGFGGSSLFSSPFSSQTQQQQTPLFQQQQPTAAVAAPSGGFGFQTPSSTPLHNAQLTTQMAPVAPLPFSLADRDIQAIVDAYKEEPGNPKYAFKHLLFSVIDAQSRGKPAGVSDIMWAEAMAKLEGMESADRERLWPQLVQGFKDLSQRLKLQDEVILSDAERLRMTRSNVKMLQRHFQAETLPWIQRMRQKEQSLQRRLLKMMRIVEALEGKGCRLPLMKGEVELAEKLAAITRQLKGSGAELSRRVENLHIVSRVQANAIGAGGSLYLTGSTKIHEQSLAEMQEVLQQQTEAIARLGNVLKRDIRDMEIIMAEDTDMTEDELPPEEDQGAGLRSRG >EOY00202 pep chromosome:Theobroma_cacao_20110822:2:29297098:29298180:-1 gene:TCM_009958 transcript:EOY00202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-54 MSRKRDKPYFSRLGPASISKRRRPLPPYPLPPPEDEDKPTPPPAVVVMGLPPNCSVLDLKSRFEIYGPISRIRINRDAVGYIAYRSKESAESAIAASLDSSFGITIDSTKVQVLWATDPLAKWREGVGVGANKDNGSSSSSKLLRPEVPLSRHGRGNKLASAIVNPRSSDDGSSMLEVPFKGRGIVAYDDIL >EOX97344 pep chromosome:Theobroma_cacao_20110822:2:2028986:2039540:1 gene:TCM_006395 transcript:EOX97344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative MGKNEDPNLQQREQSLESGSNQGHQGCLRGGCWVVLSRLSNAFSFRCVFVLFLSLSVLLPGIFWILPFRSVKYGFDAKQAIKLSAPVHAYFKLQKPVSQLVQHIGKLEYDIFEEIGVPDTKVAILSMHQSGASNSTNVVFGVLSDPINDPINPVSLSVLRSSLIELFLQQSNLTLTTSIFGQPSEFEILKFPGGITIIPVQSASIWQITQILFNFTLNNSISEIQDKFIELKDQLKYGLRLRSYENVFVQLTNINGSTISSPVIVQASVMSDFGSLLPQRLKQLAQTITDSPAKNLGLNNTVFGKVKSISLSSYLKGSLHAGPPTPSPAPSPGPSISPHPTFPPTHSPASLPKSHHRHLPHCRKCKATSPSAHSPLHSPSPGSGSYLSLPPTSISPAPSSAVTHPPPPCPYSRHAVSPSSSPRSHSNLIPHHPPVMSPRSQLSPELPPLPSVSYGSRPGHGMESMEGPVSAPLAQSPSAPSPSSLAVRVLTKEVWLRGFFVLVIFHLLL >EOX97287 pep chromosome:Theobroma_cacao_20110822:2:1821609:1823737:-1 gene:TCM_006356 transcript:EOX97287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tunicamycin induced protein [Source:Projected from Arabidopsis thaliana (AT5G64510) UniProtKB/TrEMBL;Acc:Q84JN2] MTFAKPSSCTVALIFVLFTILSSSHALVSTQYPKAISDLKEAIVKGLGFQADDFKVSGFDLRDALVGHSVAYEFDVEIDKKVMPFKLLEDVNRWEYVDLPIFRVEEPARPGDENGLVEQKRKSDNGLPVLAPFQLAGPMELWIQDAKDMRISLPHDVDAGVLKKVVLADGAVVTVKGARSVSLRHPIDLPLPLNRTHNGFASGLMALAEHLRHVSRGQDAPILSLRIVGPTSLSVPSSTPSNNKLKLKRLAPGLVELSSMSKTKAMDALSTIEPQAESPTVLTPKHFATMWPLASINGSNPNLVGFESLLSSVLGPKASKKGSFKLLKADVSAQTFVKIGFGIERKLKEGDLEGFPEWRTKPETMRMHFEVLAKVDGEKVIPERVVEVNPVVIEDSVAPNVLTGNITMSTTPVVYPPSNPFTL >EOX97288 pep chromosome:Theobroma_cacao_20110822:2:1821697:1824071:-1 gene:TCM_006356 transcript:EOX97288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tunicamycin induced protein [Source:Projected from Arabidopsis thaliana (AT5G64510) UniProtKB/TrEMBL;Acc:Q84JN2] MTFAKPSSCTVALIFVLFTILSSSHALVSTQYPKAISDLKEAIVKGLGFQADDFKVSGFDLRDALVGHSVAYEFDVEIDKKVMPFKLLEDVNRWEYVDLPIFRVEEPARPGDENGLVEQKRKSDNGLPVLAPFQLAGPMELWIQDAKDMRISLPHDVDAGVLKKVVLADGAVVTVKGARSVSLRHPIDLPLPLNRTHNGFASGLMALAEHLRHVSRGQDAPILSLRIVGPTSLSVPSSTPSNNKLKLKRLAPGLVELSSMSKTKAMDALSTIEPQAESPTVLTPKHFATMWPLASINGSNPNLVGFESLLSSVLGPKASKKGSFKLLKADVSAQTFVKIGFGIERKLKEGDLEGFPEWRTKPETMRMHFEVLAKVDGEKVIPERVVEVNPVVIEDSVAPNVLTGNITMSTTPVVYPPSNPFTL >EOY00465 pep chromosome:Theobroma_cacao_20110822:2:32772646:32795239:-1 gene:TCM_010342 transcript:EOY00465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein FSLLPSAFFFFFCIYLLLLFFVLWVCRPYFFASLSLQRCCVVAGIRSAFHCGLLGILKKWKIVHYPRSGNGIADVGKIEDLLMLLS >EOX97700 pep chromosome:Theobroma_cacao_20110822:2:3119581:3126995:1 gene:TCM_006643 transcript:EOX97700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKQLTARFSLSMTPSHGRKRRKVSRAYKIQTAIISYRVKSPTKGQHLGKEILTLPCFTARMTIMQFTESSNCGGYCLKFKAIAFLDFLTSGIGDGVALLIRQIVSSTKQD >EOY00554 pep chromosome:Theobroma_cacao_20110822:2:33463735:33465323:-1 gene:TCM_010446 transcript:EOY00554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRNTMELFVAHSGCSCEGIDVLIRPIGGLKVLITFDKTGYMECLLENYLELFSLWFEMIHPFYKTKNLVGFGCSTFLSISSIFLFMKMRDRWGKFIKVDKATFGLERFDYARILINVDINVIFLESMTLLVNGKPINIQVQVEKYVGNEMFSNGLEFGQKNGIESVDVMADECYDKATRGAREVIGPIDNDQQNPVPSLCNNPHLDNLQIEENIGNKANYNGQHVLDMLGNDIGSGNLFDVVVDSKKA >EOY00797 pep chromosome:Theobroma_cacao_20110822:2:35203800:35206686:-1 gene:TCM_010721 transcript:EOY00797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCVSLSPNRLLRFPFCCRDQRLFAALLRASLTFPESSLLVPRPKVVCCPSPCVAHFPQIEPSCAETRGCLLPFFVRRSLSPNLFCCEVLNADEIRQIQGCLSTQAFLWFVFRPPSAFNSPFWTTISGAPVYNNNSSLTVGPRGVHIILGVPRSRSFMVVVSQMMEFVIMNY >EOX99695 pep chromosome:Theobroma_cacao_20110822:2:13831075:13833262:1 gene:TCM_008461 transcript:EOX99695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGPKGIYHKLKSRKFGLCKVFKKISSNAYLIELTLELQISLIFNVLDLYPFDGFDGTASTMDAQVQHLPITKAEVTEEVLDVKKV >EOX98275 pep chromosome:Theobroma_cacao_20110822:2:5169426:5171525:1 gene:TCM_046998 transcript:EOX98275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein MASSTSLTPSHFASTRVHPRAISSQLPSKLSSSRISFPLKNGSLKLRYAPPLTLKALSAREPKAAVVTKDTWEKSILNSDTPVLVEFYASWCGPCRMVHRIIDEIAGEYAGRLSCFVLNTDDDLPIAEDYEIKAVPVVLLFKNGEKRESVVGTMPKDFYIAAIERVLKS >EOX96927 pep chromosome:Theobroma_cacao_20110822:2:555272:558122:-1 gene:TCM_006061 transcript:EOX96927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLNTKDIAKDRKGFWDLAAKMILLVAIMSSFAIHGYGIIEFGVVFWNDGVLKLVLNMLNQDHSRGPWNEVIFNKKRWSQRGAGKTSVLESYDDVDKSRW >EOX99429 pep chromosome:Theobroma_cacao_20110822:2:11161625:11178571:1 gene:TCM_008109 transcript:EOX99429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-pore channel 1 MDKPLLFGESSNGGGRRDPLYRRSEAITYGSPYQKAAALVDLAEDGVGLPEQILDKSSFGSAAKFYFIFIKFDLIWTLNYFALIVLNFFEKPLWCLRSSSYSCSDREYFFLGQLPYLTSTESLIYEVVTLLLFMIHTFFPIFYEGSHIYWKRPLNLLKVICLLILVTDLLVYALYLSPVTLTSLPLRVAPYVRVIIVILNIRELRTSILILAGMIKTYLNVLALWLLFLLFASWVAYVMFEDTQEGKLVFTSYGTTLYQMFVLFTTSNNPDVWIPAYKASRWYCLFFVLYVLVGVYFVTNLILAVVYDSFKSELAKQVSEMDRTRRSILCKAFGLIDDYKVGFLNKEQCIRLFEELNKYRTLPKISREEFELIFDELDDSHDFKINLDEFTDLCNAIGLRFQKEDSPSLFERFPIYRSPFSENLKAFVQSPKFGYIVSIILILNLFAVIIETTLDIENNSGQKVWQEVEFVFGWMYVLEMALKVYAFGFENYWRDGQNRFDFLITWIIVIGETITFATPDGLDFFSNGEWIRYLLLARMLRLIRLLMHVRSYRAFVATFLTLIPSLMPYLGTIFCVLCIYCSLGIQIFGGIVNAGNSNLDGTDLSDDDYLLFNFNDYPNGMVTLFNLLVMGNWQVWMQSYKELTGTSWTLVYFISFYLITVLLLLNLVVAFVLEAFFTEMDLETSGNCEEDDKDAGSGKYRRRLVGTKTRSQRIDILLHHMLSAELDKGQSSASSTP >EOY00705 pep chromosome:Theobroma_cacao_20110822:2:34594128:34599302:-1 gene:TCM_010638 transcript:EOY00705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 86A2 MLVFSVSEWVSSHLTLWDVAIALLGLFIFSCIHESLANKGPMLWPVLGVIPSVFLHMNDIYDWATRALINAGGTFPYRGMWMGGSYGIITVDPSNIEYMLKTNFKNFPKGKYYRERFCDLLGGGIFNSDDESWKEQRQLAKLEMHSSRFIEHSIQSMQDIVHQKLMNLLEKLANSGHCFDLQEVFLRLTFDNICTAALGIDPGCLALDLPEVPFAKAFEDATELTLLRFLMPPFVWKPLKFFRLGNEKRLKEATEVVHDFADKTVRDRRKKLDNLGNLNDHSDLLSRLMEKEIDKQGKNRQYPGKFLRDFCVSFILAGRDTTSVALAWFFWLVHKNPEVESKILGEIYEILSHPERRTEDDDIVFTIEELKKMVYLEAALSESLRLHPSVPIEMKQVLEDDVFPDGTRVKKGARVLHCIFSMARIDSIWGKDCLEFKPERWIKDGKFVSANQFKYAVFNAGPRLCVGKKFAYTQMKMVAASVLLRYSVKVVEGHSVVPKVTTTLYMKNGLMVTLKPSQSSKLQCQASHLRLLLYLFNIHFLFQFLCVHSHTRATAECIVCDKNCVKIHSIAPSIYCCGAGTATDTEAVTDMISSQLQLHRCHTGRESRIVTALTLLKSHLFHYRGYIQAALVLGGVDVTGPHLHTMNLSSWIYGYSAICNYGFWFTSCYDCF >EOX96827 pep chromosome:Theobroma_cacao_20110822:2:169898:173162:1 gene:TCM_005984 transcript:EOX96827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MLTLYPTMTKLLTNSICSCRCLSSFPSKVSRAQPTPLSDQLFNSAPQSGSFRLGDSTCYSLIHHYAHKVDFASLHDVLCRMKLQNRVFIEKYFLLIFKAYGRAHLPEKAVDLFHRMPHEFHCKPTVKSFNSVLNVIIQEGFYHRAFDFYNCSVSAKNTNISPNVLTFNLLLKAMCKLGWVDRAIEVFREMPLRKCAPDVYTYCTLMDGLCKEDRIDEAVSLLDEMQTEGCFPTPVTFNVLINGLCKKGDLARAAKLVDNMFLKGCLPNQVTYNTLIHGLCLKGKLDKAVILLDRMVSSNCIPNDITYGTIVNGLVKQGRVEDAVMLVVSMEERGYGVNEYVYSALISGLFKGGKSEEAMKRWTEMMEKGYKPNTVVYSSLIDGLCREGKPNEAEEVLSEMIEKGCIPNAYTYSSLMKGFFKTGNCHKAVQVWKDMAEHKCIHSQVCYSVLIHGLCEDGNLSEAMMAWRHMLDKGCKPDAVAYSSMIQGLCNAGSLEEALKLFNEMLYQEAESQPDVITYNILFNALCNQKSISHAVDLLNSMLDQACDPDIATCNIFLRTLREKVDPPQDGREFLDELVIRLFKRQRVFGASKIVQVMLQKFLPPKASTWARVVEELCKPKKIQAAIDKCWRNIYC >EOY01913 pep chromosome:Theobroma_cacao_20110822:2:41345412:41346935:-1 gene:TCM_011700 transcript:EOY01913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 1 MKSKCSVLINLLVCQYLAVLGVSQDFDFFYFVQQWPGSFCDSDKSSCCYPTTGKPAADFGIHGLWPNYNDGSYPQNCDSNNPFNESEISDLISSMRKNWPSLSCPSSNGESFWTHEWEKHGTCSESVLDQHDYFETTLGLKQQTKLLQSLQSAGINPNGKFYSLADIRDAIKEGTGYTPWIECNKDSSGNSQLYQVYLCVDKSGSNLIECPVFPKGKCGSQIEFPTF >EOX97762 pep chromosome:Theobroma_cacao_20110822:2:3365187:3366020:-1 gene:TCM_006695 transcript:EOX97762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAYYRRGDSIFDSFSLSPLPYPVLLILAVTSIFLGISWYVNYESVLEAAEEQMSWLLLITPVVLIFLARWLSSVEASDLLFGSSPWERRRRTHHLPSEGSSPWAVAGFIVLLLILVQYQSVFRESWLV >EOY01841 pep chromosome:Theobroma_cacao_20110822:2:41105681:41106781:-1 gene:TCM_011644 transcript:EOY01841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQYFKATKRQATAYVGIVVTRINFYFQGADAATIDHSTHRPWQCVPNVISLINSFTFAVKTFLVIFP >EOX99126 pep chromosome:Theobroma_cacao_20110822:2:8598297:8600863:1 gene:TCM_007734 transcript:EOX99126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding ribosomal family protein MVYCYKPWRTKQKRDLIKKWRKGQDHGEEKCQNGFDPEKRLIHLDASQFTFRPKQSSGSLSSSAAMVNVPKTKKTYCKSKDCRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >EOX97617 pep chromosome:Theobroma_cacao_20110822:2:2876517:2878206:1 gene:TCM_006595 transcript:EOX97617 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein, putative MITLFIELEKDPFKLKQFVLNKLDGASDDIKAVIENTAPDAFVPLSPLRIRPPWDLPSKGNFCLAGDALHPMTPRIGQGGCSALEDAVGLSSCLAEALVKPGREFKGKAIEEEDSKRIELAFSRYAKERGWTSYLIFTVSALLTIDDLLKAALASTSQSWP >EOX98958 pep chromosome:Theobroma_cacao_20110822:2:7924510:7933555:-1 gene:TCM_007618 transcript:EOX98958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin 2 isoform 1 MEKPMAGGLQGSSASHFKSSTSSGNTQSTSREQQRSIEVFESAGTQNVGQSNDTIEGSSTQAHVEEEGLSMNVTSSARKEPVDKWMAFGGEAANNSQIISFDDSIKNLNGASAAEKDSNGQSSRRILTEASIAERTAEWGIAVKSDVGEGSFQVIGRSITPSGEGYHNKNSLEKFAMDSERTSGESYHGLEVFPRVSQELKDALATLQQTFVVSDATRPDCPILFASSGFFSMTGYSSKEVIGRNCRFLQGPETDRTEVAKIRDAVKNGKSYCGRLLNYKKDGFPFWNLLTVTPIKDDHGNTIKFIGMQVEVSKYTEGINEKALRPNGLPKSLIRYDVRQKDQALDSITEVVQTLKHPQSHTRTISNDASNKLEDKFNLDYLLPKSAETENVSTPGRYTPQSDFSTGTPIQEFGKKTRKSGRISLMGHKGRSSSFAAKQETEPIIEPEELMTRDIERTDSWERAERDRDIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSEIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLHNRLSEKTELDSAKLVKATAENVDDAVRELPDANLRPEDLWAIHSQPVFPRPHKRDSSSWLAIQKITSRGEKIGLHHFKPIKPLGCGDTGSVHLVELKDTGELFAMKAMEKSVMLNRNKVHRACVEREIISLLDHPFLPSLYSSFQTPTHICLITDFCPGGELFALLDKQPMKFFKEESARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQKDGHVVLTDFDLSFMTSCKPQVLKHPLPSKRRRSRSLPPPTFVAEPAAQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQKTFSNVLHKNLTFPSSIPVSLPARQLINALLNRDPASRLGSASGANEIKQHPFFRGINWPLIRCMSPPPLEVPLQLIKKDTHAKDVKWEDDGVLLSSIDMDIF >EOX98957 pep chromosome:Theobroma_cacao_20110822:2:7924507:7933841:-1 gene:TCM_007618 transcript:EOX98957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin 2 isoform 1 MFIIVKCQKVASPAYTGEKLEMEKPMAGGLQGSSASHFKSSTSSGNTQSTSREQQRSIEVFESAGTQNVGQSNDTIEGSSTQAHVEEEGLSMNVTSSARKEPVDKWMAFGGEAANNSQIISFDDSIKNLNGASAAEKDSNGQSSRRILTEASIAERTAEWGIAVKSDVGEGSFQVIGRSITPSGEGYHNKNSLEKFAMDSERTSGESYHGLEVFPRVSQELKDALATLQQTFVVSDATRPDCPILFASSGFFSMTGYSSKEVIGRNCRFLQGPETDRTEVAKIRDAVKNGKSYCGRLLNYKKDGFPFWNLLTVTPIKDDHGNTIKFIGMQVEVSKYTEGINEKALRPNGLPKSLIRYDVRQKDQALDSITEVVQTLKHPQSHTRTISNDASNKLEDKFNLDYLLPKSAETENVSTPGRYTPQSDFSTGTPIQEFGKKTRKSGRISLMGHKGRSSSFAAKQETEPIIEPEELMTRDIERTDSWERAERDRDIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSEIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLHNRLSEKTELDSAKLVKATAENVDDAVRELPDANLRPEDLWAIHSQPVFPRPHKRDSSSWLAIQKITSRGEKIGLHHFKPIKPLGCGDTGSVHLVELKDTGELFAMKAMEKSVMLNRNKVHRACVEREIISLLDHPFLPSLYSSFQTPTHICLITDFCPGGELFALLDKQPMKFFKEESARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQKDGHVVLTDFDLSFMTSCKPQVLKHPLPSKRRRSRSLPPPTFVAEPAAQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQKTFSNVLHKNLTFPSSIPVSLPARQLINALLNRDPASRLGSASGANEIKQHPFFRGINWPLIRCMSPPPLEVPLQLIKKDTHAKDVKWEDDGVLLSSIDMDIF >EOX98118 pep chromosome:Theobroma_cacao_20110822:2:4618920:4619820:1 gene:TCM_006952 transcript:EOX98118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIFRKVLTSTDVERRLSFPEHSLADLPPFEGSPAIDFPVMDDEDGSVWTFCCTFRAGVFPRPVIVKGWSQFVRSKELKSGDMVVLYKEEDSGARKLWRGLCPCTIALQAFSSNGRKGNASVKFNLLADEVIV >EOY01085 pep chromosome:Theobroma_cacao_20110822:2:37512653:37515687:-1 gene:TCM_011035 transcript:EOY01085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein, putative MKKLFGASYKFLYPALKTHFENPEFPNILIPISYIHNSTKTLRPNSKLDVVFDELDELQSSKSIPHSAQSPSGIDVPFDQRRPTKRNESTVLISHPWQEWVDLMEFLLKRGYFDGDGNPFENGELGLKEANSIRTACLNFSRDRFSLIRYFSRKDILVIAGCGCPSLDRKVVNSGKRLRAYVGIDEGNVCSSCNLRGNCDRAYVKAREDEGGRTVDVMRILLTHGLDSITGSVENKPCENKLVKESVRRLLKEMVDYSTQDLQSDMPNAAPSRGDVWLQHHSSTQGHIKVPMKQGDWLCPKCNFLNFARNIKCLRCDGLYEERLRQLREGQDNLPLKKGDWICDRCNFLNFAKNTRCLQCKEKPPKRHLNPGEWECESCNYINFRRNMVCLKCDHKRPKVPIASNRSTEFERDNRVCINHDGSGFHSGGCEGNIGKSAGQYRKRSKGADMWRFVHEENEDQECLDSRTENSKFIDFPISGGKSTLSHNAEVKEKWKLEMLERSRSPTSIIENDEFKCSASQRKLELLESSDDEEMAGWFMHK >EOY01102 pep chromosome:Theobroma_cacao_20110822:2:37661851:37663771:-1 gene:TCM_011054 transcript:EOY01102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARRLCSRLFSFTNPKLCSLPPSFSRSESAFNSLQSGPTSHIIGGFYPKFQVLRSYARGGRKHYDLFGSKTPGDKDFRKAWQKEMDEDETLWTGSEDESDPENDETDSKNGPSRLEREIRKVRQQAKEHSELIDADDSDELRSVWSGSDEEKTLWTGSECDDDDDIPTEAYPNETSDKYIDKLFEFEEKPKYRTISELLKSEKEPEELSPGKKARKLAVENALKKLKKGPDGRYTNVWEVMSDIDILIGAFENIVSGPEYEELRQGGPKKLDMQFFKDIQAHMRDPNYKFSPELKLKPKSKLVPKKKWQKAQSRRRKAQKR >EOX97159 pep chromosome:Theobroma_cacao_20110822:2:1390800:1392230:-1 gene:TCM_006247 transcript:EOX97159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19 family protein (CHCH motif) MAKPSKEPCKKEACDIQACLSKNNFLPQRCRKVIELLQSCCEKCNYDSTHCASVSALLKQIAK >EOX97175 pep chromosome:Theobroma_cacao_20110822:2:1478953:1487524:1 gene:TCM_006266 transcript:EOX97175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDNRRWLESLFSVYVKNLSKRLTWTAIKGAFEEYETGDGEGTAMGEPSVDRWEEDSCEESSTLKGPKQQRENNVGGEMNRMKKGDNSRVVSAQDNVTPDQKPVNAHNSQRQQAQLRQKVSCETIQSKLLEEGISTQVRVLEGLLLISNRVTIEVGGKMHTIYVSIASGEGVDDRVVYSNAQQSKKQTEAMNNIGKRDGGADSKKMSVEFKLKENLGCDRSSRLESLSFPQPNGKNGVQRRQEQGKNLKKDREDRNMEAEKVGEEKVTNEIAIEQKSENIVGRGQRRKSCLVFKSRSGATKEGNGRGEGRDGTKKGKKGKSQKNQEEEEHVTGNDENKLGINKLNKMIGDGIRERMASGEEVKDFTANGNEWLASNPRRSSRERCSCRKYSLEQENSLRSSRKWKGRVKKTQLATKARSNSSKSSKTKDRMSGIREMLEEEEGIRDMDEQCMVKFVQSIGASGGLLSIWEADFFDLEFCFESRHFILLVGGIKSLNLKCGLGNIYALNPGSERRDLWNELQGLMNSVGVPCEMDQFKNFVEEMGLMDLPMQGGRFTYRNFREEEAFSRLDRFLVSGELVDKVGQIIQKRLPASLSDHNPILLGEVAMDWGPKPFKFFNHWLEEKSFSSMFLKKEKVAKVRELYGKFKVLNEAKAKELERALIEKEVWEAIESCDENKASRPDGLIEGCVNVTFITLVSKCSSPESIRDYKPISLMKNGLRQGCPLSPFIFNCVAEAFSVLMSNAVRLQLCKGIEVGERGLVISHLQFTDDTVIMCRSEWELGWVNLQLKNKALLNKWIWRSGNEKDNLWKRVLVEKEGDDHDEQHRTLREGIGFILGKGKNVRFWTEEWIKRRIVKEDFSRIFAVATNKEGRVKEFGVWVDGSWQWRIELRRMLFGWENEQTMVYKERTPDDIWKKVWYGAAPLKVEIFYGRVIKGRVAVKAKLMKRGLLRKDLALYKGFLKFNVDGVVRGTSGQAVVGGVLMDEGGAIKVMFSKSIGTADAHTTEILAIRKAFKIFGVSKWVMSHSLMVESDSSNAVSWFHNPKKAPWNLRRELLILEGIKRRIGEFKVIKISKESNNMVDELAKSGVMREEEILGRRKVRVEFIVGVSMGRDRMLHFKEAVRGNCYQE >EOX97978 pep chromosome:Theobroma_cacao_20110822:2:4151848:4154965:1 gene:TCM_006857 transcript:EOX97978 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase regulatory subunit gamma 1, putative MQETRRADLRQLEGVMREAEGGVVEKKGIATADHVQNNPGKDSATALQLFLDLVEVKTGDNVKDAIELLYTKNVFGAPIADVLDPDTTVGRFFDRYIGFIDFATMVLWSLEKCEKAGVPTDSGASEETGKSSIMSLLEQDADIGHTKVGELAKSFLWIRFSLHGAIWSSGHWFCYAVIQLLLPSDGLAWFDSIAEKSLSEFWFENEEKTQRLIGRVTSSDVYLLMENDNLFHNRKVVTVEEFIHMETSNPDSDPTIERDIGALLSAGVLHLRNSFLPRMDSPVTNKKSDTLKQAMKNVAETKSNCSFLVDELQRPVGVFTLRDIILQFAPPSVDSNIHRGGFFESALEQTGCQVKDGTIVCDH >EOX97103 pep chromosome:Theobroma_cacao_20110822:2:1192075:1195559:-1 gene:TCM_006203 transcript:EOX97103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 MDRDLISGSLVKETQHSLPETSCLDSLSDEAEKILQNRKYGLQFQQPDNNYNWEDLRGPFKNVDLFDAVSVEANFGRQEIQVAYGHASYLRPRKRKRLRIGDDVVFEQDQLHFEANKGSNGSNLKDALAWVFLINNFTLELPSVVFDQLSSKHHPVYALILMLISSITLMACIGELIYKGKKERVIWQWRDRVPWFYCPRTGKSFGTLWEMIGLACAVLQCIPTIINYSYIHRHGDGPIKTCALPILFAFGLLCSKYLEKPHSNSGGNPTDQYGGSTGGLNQVRVVP >EOX99316 pep chromosome:Theobroma_cacao_20110822:2:10108188:10116511:1 gene:TCM_007947 transcript:EOX99316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATSGEDSSKTNIWLLDSACSHHLTSNKSLFTTFDTSFKSKVKIGNENYLDILGLAKERYTLLFRDEACTVIDPNGDELCTITMRNNSYPFNLANTAHLALYNELDMLEMWHRRFGHVNYNSLSLMPSKNLVDSLPRITKPDKLCQACQFGEQTRVLDSKTSYKKWFDHKPSVSYLKTFGYIYYAKRTKFDLKSVITMLVGYNEVSKGYWLYDTKLMKVFVSKDVMFDEGQSWNRPNATSEKIDFVTTTDDLILQHDSDNESNLEDENKAVRGTRSLEDIYSRSNVALVEPSSFREAHSEEHWKSTMDVEIQMIRKNGTWILVDRPVDKNIIGVKWIYKTKLNLDGSVNKYKAQLVVKGFAQVYGVKYMETFTLVARHDIIRMLTTLSSNEG >EOX97537 pep chromosome:Theobroma_cacao_20110822:2:2605676:2607562:1 gene:TCM_006530 transcript:EOX97537 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative MAKGLEVFVALSLVIGVLQSANAEVPAIFILGDSTADVGTNNYLPESGIRADFPHNGVDFPFARATGRFSNGLNTADFLAKQFNFKRSPPSYLSLNATSAIKRRRFRGINFSSAGSGLLDTTGQTPQKNVVPMGEQVYQFSTVYNDLLAIKGPSETKNLLSKSLFFISIGSNDILGNYHSSNPMPKEQFIPNLGLVYEQHLRNLISVGARKFGIVSVPPVGCCPSQRLLTANWDCLEELNDQARAFFSMVDTLMRNLSSEFKDMKYSLGNAVEMALDVIDNHLNFNITDVMSACCGNGTLNAESFCTPTANLCSNRRRYFFWDLFHPTQTASRLAAFTLYSGEPRFVAPINFSQLPEA >EOY01573 pep chromosome:Theobroma_cacao_20110822:2:40114222:40116472:1 gene:TCM_011436 transcript:EOY01573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALKEVLLIFSSANMCGHARLCIESDSCNAVICFNKSQNAPWRLRVLLVQIEQLKGLTIQCKDPQNSPNQAMTRLRDVGSGEESDHAKRRLKK >EOX99533 pep chromosome:Theobroma_cacao_20110822:2:12000296:12000660:1 gene:TCM_008228 transcript:EOX99533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLECHHKFTSPARYFKAIATFSSSDFVRTARKYCSISKRKFSNSLAFLTLSYRLSSITLAFFPRSLGLPLALPAVITKRFT >EOY01550 pep chromosome:Theobroma_cacao_20110822:2:40011512:40016107:1 gene:TCM_011415 transcript:EOY01550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MGTQANMWEIKILEFELGFSSCNLQVESNGSQSELQEFETVIYLILIMTAVINLVLKMSSNSNYYCSLLKICTETRNRTQAKRIHCHILKTFKDPETFLLNNLVNAYSKLGDLTYARYVFDRILRPNLFSWNTILFTYSKAGNLSDMDYIFNRMPKRDGVSWNSLISGYASRGMVTEAVKGYNSMLRDGVANLNRITFSTMLILSSSQGCVDLGPQIHGQIVKFGFGSYVFVGCPLMDMYSKGGLIHDAKQVFEETPQRNVVMYNTMITGLLRCGMVEDSRWLFHSMKEKDSISWTTMITGLTQNGLYREAINLFREMRIEGLAMDQFTFGSMLTACGGLLALEEGKQVHAFVIRTNQKDNVFVGSALVDMYCKCKSITSAETVFKKMTHKNVVSWTALLVGYGQNGYSEEAIRIFCDMQRNGINPDYYTLGSVISSCANLASLEEGAQFHSQAIVSGLFSYTTVSNALVTLYGKCGSIEDANRLFNEMNFRDEVSWTALVSGYAQFGKANETIDLFQRMLAHGLKPDGVTFVGVLSACSRAGIVEKGYQYFESMVKEHGIMPVVDHYSCMIDLLSRAGRLEEARSFINQMPFPPDAVGWSTLLSSCRLHGNLEIGTWAATSLQELEPSNPAGYILLSSIYAAKGKWDNVAELRRGMRSKGVRKEPGCSWIKYKGKVYIFSADDQTSQFSDQIYAELDKLNQEMIKEGYVPDMSSVLHDVEESEKIKMLNYHSERLAIAFGLIFVPLGLPIRIVKNLRVCGDCHNATKYISKITQREILVRDAVRFHLFKDGTCSCGDFW >EOX96820 pep chromosome:Theobroma_cacao_20110822:2:146262:147911:-1 gene:TCM_005977 transcript:EOX96820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 67 MVHHCCNKQKVKRGLWSPEEDEKLVRYITTHGHASWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFTPQEERIIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPNTHNLLPNPIYNINGSSNYGKSSCKLSSCIYDEQPTFRSTVISVVGNDDSYPVDMKPPSLITSLPAASSVPVPVPAPPDDGYYSQSCSTLLHEGISTIPTGEFQDQKRDIVQTIREQNPHASKESPRRSSWESLLIPFSSTHPSYHQPGLGRSDCMWEWDGIEPTENKAVVLHRKQQAVANPPEQRVCEVEIEKRKDYYFSGAQDKEMEASFESYCNFEFDTLVSYGI >EOX99635 pep chromosome:Theobroma_cacao_20110822:2:12892216:12893310:1 gene:TCM_008357 transcript:EOX99635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-regulated protein precursor, putative isoform 1 MQAPLSFTPSPLSIALVTPTKSLALPWKSVLKTQTPRCSPIKGTPVAQDNSTVDYSSMASVFPAEACETIGGEACDVEMYPEVKLEQPEAQGTKAMADSEQIDREYLEYNSPKTVFLGEACDDLGGEFCEPEYQRGVQ >EOX99636 pep chromosome:Theobroma_cacao_20110822:2:12889877:12892993:1 gene:TCM_008357 transcript:EOX99636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-regulated protein precursor, putative isoform 1 MQAPLSFTPSPLSIALVTPTKSLALPWKSVLKTQTPRCSPIKGTPVAQDNSTVDYSSMASVFPAEACETIGGEACDVEMYPEVKLEQPEAQGTKAMADSEQIDREYLEYNSPKTVFLGEACDDLGGEFCEPEYQRGVQ >EOX98256 pep chromosome:Theobroma_cacao_20110822:2:5071104:5072936:-1 gene:TCM_007061 transcript:EOX98256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Redox responsive transcription factor 1, putative MRSASQKSENLKNISQQLPEVDTTLMQRYMKRQRLSPAPPSMSPSPPPHHRLSPEQELSVMVSALKNVITGSTPTTFTGTAVDFPFQLGASGASTSSVAAGYNADCGNMVLQASEVMDKCHVCKFDGCLGCNLFPPSQQEEKKGSTTKTKRVKKNYRGVRQRPWGKWAAEIRDPRRATRVWLGTFNTAEEAARAYDKAAIDFRGPRAKLNFPFPDSSSNGTVTTTTPPPPPPGTATTTVTTAAGFDQRNSSSLHVQQESERNAISLERNAELGFGTDQFWDGIGELDEIQQWMMTMTDFGGDNNSSDSATTTGNANSY >EOY00099 pep chromosome:Theobroma_cacao_20110822:2:25649538:25651412:-1 gene:TCM_009591 transcript:EOY00099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein MKQCEMACFEKEVSSHIRKRFREGKRNTTITILFFLSMASFVVVLGTFINYHAWKFLISKDSNIPRLNGFSPPSPWSPNSDVVYNFALSSSSSLQQPFNSSEDAWHSMTDEELMWRASTVPNVVEYPHNRTPKVAFMFLSRGTLPLAPLWEKFFMGHKGLYSIYFHTSPDFIDKPLVTSVFYERRIPSKPVQWGRATMVDAERRLLANALLDLTNERFVLLSEACIPLFNFTTVYNYLINSSRSFLGSFDDPTHTGRGRYNKQMWPTVSLADWRKGSQWFEVNRMLAAEIVSDDKYYPVFRDHCRPPCYMDEHYLPTLVNLICPELNSNRSITWVDWSRGGPHPTKYLRKDVSVALLNQVRQGFNCTYNGERSSICFLFARKFHPSTLEPLLRIAPALLGFGHQ >EOX99794 pep chromosome:Theobroma_cacao_20110822:2:16450489:16457408:1 gene:TCM_008731 transcript:EOX99794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator protein with CG-1 and Ankyrin domains isoform 2 MAQSEYDINNLFREAQARWLKPAEVFFILQNHEKYELTQEPPQKPTGGSLFLFNKRVLRFFRKDGHSWRKKKDGRTVGEAHERLKVGNVETLNCYYAHGAQNPNFQRRSYWMLEPAYEHIVLVHYREINEAKPSSASIVQSPVSSSGFSLSPNSYTSQNPGSNSLASDVHEPYQNSSSPGSVEVSSDIVIKNNGIDNAVEFASSADLQVSEALKRLEEQLSLNEDSFKEMSPLCCLDGDTNDSRFLEYGREITKQELQAGLLYEPNDIVQDHLYSQHPRVENYSNSFGLLPDGGKNGQNSQVYVSDSSDGSKESLYWKNVFDSCKTQSGVDSQGKPLTSSRTGPASQQEESRWLNINGSNIGDSSVLLHQEVENDIIPSYSSAIEGVDTNSDYYAMLFNQDGIGVPLAADSSLTVAQKQKFTIAEVSPEWGYSSEATKVIIVGSFLCDPLESAWACMFGETEVPLEIIQEGVICCKAPPHLPGKVTLCITSGNRESCSEVREFEYIANTNSCAQCNLSHKEANRSPEELLLLVRFVQLLLSDSLQKDSIESGIYLRSKFKADDDSWSHVIEALLVGSGTSSGTVDWLLEELLKDKLQQWLCSRSKGAVDQSGCTMSKKEQGIIHMAAGLGFEWALTPILNHGVGINFRDINGWTALHWAARIGREKMVAALIASGASAGAVTDPTSQDPSGKTAAFIAASSGNKGLAGYLSELALTSHLSSLTLEESELSKGSAAVQAEMAVNSVSKGSLATGEDQLSLKDTLAAVRNAAQAAARIQNAFRAHSFRKRQQKEAVATAASVDEYGISSDEIQGLSTLSKLAFGNARDYNSAALSIQKKFRGWKGRKDFLALRQKVVKIQQAHVRGYQVRKNYKVICWAVGVLDKVVLRWRRKGVGLRGFRSEPESIDESEDEDILKVFRKQKVDVAVDEAVSRVLSMVDSPDARQQYRRMLERYRQAKADLVNTNEPAASTSIGDTYDMESDESFQFP >EOX99793 pep chromosome:Theobroma_cacao_20110822:2:16450032:16457376:1 gene:TCM_008731 transcript:EOX99793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator protein with CG-1 and Ankyrin domains isoform 2 MSIISEYDINNLFREAQARWLKPAEVFFILQNHEKYELTQEPPQKPTGGSLFLFNKRVLRFFRKDGHSWRKKKDGRTVGEAHERLKVGNVETLNCYYAHGAQNPNFQRRSYWMLEPAYEHIVLVHYREINEAKPSSASIVQSPVSSSGFSLSPNSYTSQNPGSNSLASDVHEPYQNSSSPGSVEVSSDIVIKNNGIDNAVEFASSADLQVSEALKRLEEQLSLNEDSFKEMSPLCCLDGDTNDSRFLEYGREITKQELQAGLLYEPNDIVQDHLYSQHPRVENYSNSFGLLPDGGKNGQNSQVYVSDSSDGSKESLYWKNVFDSCKTQSGVDSQGKPLTSSRTGPASQQEESRWLNINGSNIGDSSVLLHQEVENDIIPSYSSAIEGVDTNSDYYAMLFNQDGIGVPLAADSSLTVAQKQKFTIAEVSPEWGYSSEATKVIIVGSFLCDPLESAWACMFGETEVPLEIIQEGVICCKAPPHLPGKVTLCITSGNRESCSEVREFEYIANTNSCAQCNLSHKEANRSPEELLLLVRFVQLLLSDSLQKDSIESGIYLRSKFKADDDSWSHVIEALLVGSGTSSGTVDWLLEELLKDKLQQWLCSRSKGAVDQSGCTMSKKEQGIIHMAAGLGFEWALTPILNHGVGINFRDINGWTALHWAARIGREKMVAALIASGASAGAVTDPTSQDPSGKTAAFIAASSGNKGLAGYLSELALTSHLSSLTLEESELSKGSAAVQAEMAVNSVSKGSLATGEDQLSLKDTLAAVRNAAQAAARIQNAFRAHSFRKRQQKEAVATAASVDEYGISSDEIQGLSTLSKLAFGNARDYNSAALSIQKKFRGWKGRKDFLALRQKVVKIQAHVRGYQVRKNYKVICWAVGVLDKVVLRWRRKGVGLRGFRSEPESIDESEDEDILKVFRKQKVDVAVDEAVSRVLSMVDSPDARQQYRRMLERYRQAKADLVNTNEPAASTSIGDTYDMESDESFQFP >EOY02106 pep chromosome:Theobroma_cacao_20110822:2:41978324:41980568:-1 gene:TCM_011839 transcript:EOY02106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MATIAVDHQSLGMQIGRGSNQHGAVVEEIPGLIKVSKDGHVERPQIVPNVSTALAPELGVTSKDMVIDEFTNVWARFYVPKSNRKLPLVVYFHGGGFCVGSAAWSCYHEFLAKLAAKAGCLIMSVNYRLAPENPLPAAYEDGFKCLTWLKQEAWNGSGEWWSNHCNFSSVFLAGDSAGANIAHNVAARLGSHNPYPLTLNGTILIQPFVGGETRTNSERNMVQSPRSALSLAASDTYWRLALPCGSNRDHPWCNPLGKGSAKMEEMRLLPTLVCVSEMDILKDRNLEFCTALGRAGKKVEHVLYGGVGHAFQVLSKSQLSQTRTHEMIGHIKAFICQ >EOX98240 pep chromosome:Theobroma_cacao_20110822:2:5010618:5013687:1 gene:TCM_007045 transcript:EOX98240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKMRLTPKIHYDKIIREQGEDRFGEEIRAKQVDEHPSEPVGYDWNRLCSEAPPYKRIGIPGQYILKFRFERGEFSLYAIKLGSNYEFVHGWNDWVIKVLKNPSYVKLLSSAGILDVIRVISKLNICREKRMNVWRAILARWSTFFHTMIAAWGEFTFTLEDVCVLLELPCIGKHDFHSIKLFEEKVGIRDFFLDLLKSLIKTSKVAQFSNWIGIFYKKFNAKGIEIGSLEYLDHKHELMALIIFWLARHILPGCLDDGISPAIVPLAIKIVKGMHFPLAPLYLGSLYKKLDLYQLKTVESAGNNYRASARHDQLSRGNVLEMMDVTKEFNPIPYVQPINGFSDPEIYYDRHPLQFGRMSSYGINFYVWVHSSQLPSMIESSSSGGDRNILSVERETTAKVELNSVEEEETFEAKTKEESYDSEHSNEFDDESVDVDEAEVEVVPEATPNVEVIHDVGVDIDSVGVDIDNVGAIPNNPRAFSSPVPDHRDASSASGTQVAHTEQSDKKVDFHGFQVSLE >EOY00120 pep chromosome:Theobroma_cacao_20110822:2:26119234:26122250:1 gene:TCM_009649 transcript:EOY00120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA thioesterase, putative isoform 4 MLQNLAFPAHVVFPGSRADATFLNLRRPPIFFPFSPLRVPSLSTARSFTVEAFIDLKGGKGMSAFHDVELKVRDYELDQYSVVNNAIYASYCQHARHELLESIGVSCDGVARTGNSLALSELSIKFLAPLRSGDKFVVKVRISGSSATRMYFEDFIFKLPNLEPILEAKATAVWLDKNYHPVRIPPEFRSKFVQFLRHDDPN >EOY00123 pep chromosome:Theobroma_cacao_20110822:2:26119371:26121830:1 gene:TCM_009649 transcript:EOY00123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA thioesterase, putative isoform 4 MLQNLAFPAHVVFPGSRADATFLNLRRPPIFFPFSPLRVPSLSTARSFTVEAFIDLKGGKGMSAFHDVELKVRDYELDQYSVVNNAIYATRHELLESIGVSCDGVARTGNSLALSELSIKFLAPLRSGDKFVVKVRISGSSATRMYFEDFIFKLPNL >EOY00121 pep chromosome:Theobroma_cacao_20110822:2:26119365:26122445:1 gene:TCM_009649 transcript:EOY00121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA thioesterase, putative isoform 4 MLQNLAFPAHVVFPGSRADATFLNLRRPPIFFPFSPLRVPSLSTARSFTVEAFIDLKGGKGMSAFHDVELKVRDYELDQYSVVNNAIYASYCQHARHELLESIGVSCDGVARTGNSLALSELSIKFLAPLRSGDKFVVKVKDLSFYDDVLCSQFWRQRPQQFGLTKIIILFAYLQSSDPNLFNSFAMTIPINLLLHA >EOY00122 pep chromosome:Theobroma_cacao_20110822:2:26119371:26121931:1 gene:TCM_009649 transcript:EOY00122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA thioesterase, putative isoform 4 MLQNLAFPAHVVFPGSRADATFLNLRRPPIFFPFSPLRVPSLSTARSFTVEAFIDLKGGKGMSAFHDVELKVRDYELDQYSVVNNAIYATRHELLESIGVSCDGVARTGNSLALSELSIKFLAPLRSGDKFVVKVKDLSFYDDVLCSQFWRQRP >EOY01323 pep chromosome:Theobroma_cacao_20110822:2:39028201:39030073:1 gene:TCM_011256 transcript:EOY01323 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative MMISSWRRRRAARRAGKEPGRNENGEMELTIPRDFRCPISLDLMKDPVTLSTGITYDRESIEKWIEAGNFTCPLTNQVLRSLEPIPNHMIRKSIQDWCVENRSFGIERIPTPRVPVSSVEVLEILSTIDVACKKEDGEGCRELVVKVKSLAKESERNKRCIVNNGAGSVLSEAFQAFSKASFDVNVAVLEEILSALTIMFPLDGEAKGFLGSVSAMHCLKWFLSSGDLSRRRNAVLVLRELGQEKMDELSEMEGAIEALFKLIKDPICPTATNASLTVIYCMITSTSTNEKLIAKFVNLGIVSLLLETLVDSERGLCEKSLGVLDGICNSEEGRQMACNNALSMPVLVKKILRVSNLATELSLSILWKLCKNEKSEDGGFLVEALQVGAFQKLLLLLQLGCVDKTKEKVSELLKLLNLHRNKVECVDPMDFKDLKRSF >EOY00536 pep chromosome:Theobroma_cacao_20110822:2:33261866:33265610:1 gene:TCM_010417 transcript:EOY00536 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate lyase A-1 MARKKIREYDSKRLLKEHFKRLCGKELPIKSAQVTESTDFNELVEKEPWLSSQKLVVKPDMLFGKRGKSGLVGLNLDFAQVIAFVNERLGKEVEMGGCKGPVTTFIVEPFIPHNEEFYLNIVSDRLGCSISFSECGGIEIEENWDKVKTIFVPTGSSFTSETCAPLVATLPLEVKGEIEEFVKVIFALFQDLDFTFLEMNPFTLVDGKPYPLDMRGELDDTATFKNFKKWGSIEFPMPFGRVMSATERYIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGHKRALVIGGGIANFTDVGATFNGIIRALKEKEPKLKAAQMHIYVRRGGPNYQRGLAKMRALGEEIGIPIEVYGPEATMTGICQQAIQYITATA >EOY00007 pep chromosome:Theobroma_cacao_20110822:2:22765365:22770663:1 gene:TCM_009325 transcript:EOY00007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSVHPHLSIDLHLGEKEKCSMHHLSIDLKNLSIDGWKNVGETMRDRSVMTQTQGFVTGTRAWQTKLVRPKQALELQIT >EOX99534 pep chromosome:Theobroma_cacao_20110822:2:12002639:12004966:1 gene:TCM_008229 transcript:EOX99534 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 73 MTWCNDCSDVQTIERSSPPSSHKTVIAERHKDCLVRTCPSCGHQIKCREQAGIHDLPGLPAGVKFDPTDQELLEHLEGKVRSDARKLHPLIDEFIPTIEGENGICYTHPEKLPGVSKDGLVRHFFHRPSKAYTTGTRKRRKVHTDTEGGETRWHKTGKTRPVFISGKVKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGNNEEEKDGELVVSKVFYQTQPRQCGSVKDSLPSKLKVQSGHEGPHHLKNNGLVELYNPSFISFDQGGQTRGNPSQLLPHFAVHDGSFIP >EOX97276 pep chromosome:Theobroma_cacao_20110822:2:1800206:1803467:1 gene:TCM_006349 transcript:EOX97276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDEIQIKGAAGEEEIGDDFYEKIEAPKFVDLTAPDRCRTENEDRYWFCHRVGCDQKHEEEMDSEAIYKNFVLRVMAARSPSVRLRKALKDTSSNLQCPRTVPAKSSKSRVSRLAMISSISQKMGDAKAKVRLVPKQNATTPNVRAAKQASAKALTTPRNKKCLSNPGTFSLRNPKPTTIEVPKSRVAAKALVFHSPKKAVKLKKSVELSSSLRKICAGMKKLDITDASKKNALGCNRPLDTSRKQLRGREVKSRVYDSLNSQNQKNKEAKSLKCLKKKNKEKDLQLSRGPMSHERNERDSTDKEIEAKSKDGSLEVCSTSGTCTNPSESLEQTIDEKVKISSLSNSGDNDAPKSQTGGHNESNERISPEENIEPCSKDKEIPEAEESDDKENALASHSKSSESKVMENEDKENTSASDENSRKLNCTTGKLVKKDVLGKHEISKSIQKVNKLMNKTLKVNSASAVNSAQGMKYRKPKPTNPKPFRLRTDERGILKEANLEKKHFQAPLKETTTVPGSQAGNLWRKHQNVQRNEKCLGQTETVNCALEGTDNESDTRTLKDLPQTMKTSCSRISKGAIDRKHSTTPQKRTVPMHQKTKLEKTAKKSGGTLEKIKSPSIKPLVRPRGVASSRKTLVSNMKPGQLGVIKETSPRMSRTKETSDPDESGTSLATKPQGRRHTTIPKEPNFHSIHVPKSCTRRVL >EOX97277 pep chromosome:Theobroma_cacao_20110822:2:1799730:1803597:1 gene:TCM_006349 transcript:EOX97277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MISSISQKMGDAKAKVRLVPKQNATTPNVRAAKQASAKALTTPRNKKCLSNPGTFSLRNPKPTTIEVPKSRVAAKALVFHSPKKAVKLKKSVELSSSLRKICAGMKKLDITDASKKNALGCNRPLDTSRKQLRGREVKSRVYDSLNSQNQKNKEAKSLKCLKKKNKEKDLQLSRGPMSHERNERDSTDKEIEAKSKDGSLEVCSTSGTCTNPSESLEQTIDEKVKISSLSNSGDNDAPKSQTGGHNESNERISPEENIEPCSKDKEIPEAEESDDKENALASHSKSSESKVMENEDKENTSASDENRKLNCTTGKLVKKDVLGKHEISKSIQKVNKLMNKTLKVNSASAVNSAQGMKYRKPKPTNPKPFRLRTDERGILKEANLEKKHFQAPLKETTTVPGSQAGNLWRKHQNVQRNEKCLGQTETVNCALEGTDNESDTRTLKDLPQTMKTSCSRISKGAIDRKHSTTPQKRTVPMHQKTKLEKTAKKSGGTLEKIKSPSIKPLVRPRGVASSRKTLVSNMKPGQLGVIKETSPRMSRTKETSDPDESGTSLATKPQGRRHTTIPKEPNFHSIHVPKSCTRRVL >EOX97275 pep chromosome:Theobroma_cacao_20110822:2:1799730:1803597:1 gene:TCM_006349 transcript:EOX97275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDEIQIKGAAGEEEIGDDFYEKIEAPKFVDLTAPDRCRTENEDRYWFCHRVGCDQKHEEEMDSEAIYKNFVLRVMAARSPSVRLRKALKDTSSNLQCPRTVPAKSSKSRVSRLAMISSISQKMGDAKAKVRLVPKQNATTPNVRAAKQASAKALTTPRNKKCLSNPGTFSLRNPKPTTIEVPKSRVAAKALVFHSPKKAVKLKKSVELSSSLRKICAGMKKLDITDASKKNALGCNRPLDTSRKQLRGREVKSRVYDSLNSQNQKNKEAKSLKCLKKKNKEKDLQLSRGPMSHERNERDSTDKEIEAKSKDGSLEVCSTSGTCTNPSESLEQTIDEKVKISSLSNSGDNDAPKSQTGGHNESNERISPEENIEPCSKDKEIPEAEESDDKENALASHSKSSESKVMENEDKENTSASDENRKLNCTTGKLVKKDVLGKHEISKSIQKVNKLMNKTLKVNSASAVNSAQGMKYRKPKPTNPKPFRLRTDERGILKEANLEKKHFQAPLKETTTVPGSQAGNLWRKHQNVQRNEKCLGQTETVNCALEGTDNESDTRTLKDLPQTMKTSCSRISKGAIDRKHSTTPQKRTVPMHQKTKLEKTAKKSGGTLEKIKSPSIKPLVRPRGVASSRKTLVSNMKPGQLGVIKETSPRMSRTKETSDPDESGTSLATKPQGRRHTTIPKEPNFHSIHVPKSCTRRVL >EOX99706 pep chromosome:Theobroma_cacao_20110822:2:14184948:14190922:-1 gene:TCM_008494 transcript:EOX99706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MGCFPCFDSSEEEKLTPVKETDDRKQGQPTVSSNISRLSSGGDRLRSRSNGGSKRELPSPRDGPGVQIAAQIFTFRELAAATKNFRPESFLGEGGFGRVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPEKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDEGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTRPHGEQNLVTWARPLFNDRRKFSKLADPRLQGRYPMRGLYQALAVASMCIQEQAATRPLIGDVVTALSYLANQAYDPNAVGHGHRGPGDKDDKRYRDDRGGRVSRNDEGGASGRRWDLEGSEKEDSPRETARMLNRDLDRERAVAEAKMWGENWREKRRQSAQGSFDGSNG >EOX97664 pep chromosome:Theobroma_cacao_20110822:2:3002500:3005172:1 gene:TCM_006626 transcript:EOX97664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MSRCLPFPPPEYVRNGVSGEALLELIKGKRRRRKGGARKRRKEREQGEICRKKPGHHERHKRLKSNEGGGRSENQGKTAYGTQEMESSSLTEELMQPISDSFYESSDNSQSIHKKRNSRSRNECHNDGNIVQMDIQQQKHKTPEALSRKPDCSTIMMDSVVQKKLELPVDEQFSSASGVPATDVHVFVPPPLRKLCHSSQTTRIYMDEESKMTLTEQFRELVESWLPCPLQIEQFDVGDQEGLFERKSPRCDICETSNASNDVLYHGYSNSCPYGQCLLHSLLILDSGVQKKLELDPTPVKVQLSSDCGVPATDEKSEMAPTSSCSEISLLQIESQFRELVANWLPPSLQAEHFDIGDQDWLFETKQPRSDINDTSNASYDVLHQGDFTQYPCAQILPQANIYALPVYGTILKMLRGK >EOX99063 pep chromosome:Theobroma_cacao_20110822:2:8315089:8328230:-1 gene:TCM_007681 transcript:EOX99063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin 1 isoform 2 MIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCAEGERSYHIFYQLCAGAPRALREKLNLMDVDEYKYLKQSNCYSIAGVDDAEQFRIVKEALDVVHVSKEDQESVFAMLAAVLWLGNVSFTIIDNENHVEAVADESLINVAKLIGCDNAELNLALSIRKMRVGNDNIVQKLTLSQAIDTRDALAKSIYACLFEWLVEQINKSLAVGKRRTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFDDNQDCLNLFEKKPLGLLSLLDEESTFPNGSDFTFANKLKQHLNSNPCFRGEREKAFTVSHFAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPQTFASNMLNQSEKPVVGPLHKAGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNSQSPGSYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLENVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNHTLHGILRVQSCFRGHQARCYFKELQRGIATLQSFVKGEKTRKEYAVLLQRHRAAVVIQKQIKSRNARKKFKNISHASIVIQSVIRGWLVRRCSGDIGLLTSGGCKANESDEVLVKSSFLAELQRRVLKAEAALREKEEENDILHQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAVDESERNSDASVNASDDREYSWDTGSNHKGPESNGLRPMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFETWKKDYASRLRETKVILNKLGNEEGALDRVKKKWWGRRNSSRYN >EOX99062 pep chromosome:Theobroma_cacao_20110822:2:8314931:8328699:-1 gene:TCM_007681 transcript:EOX99062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin 1 isoform 2 MGSPTSAPSGYADVNSGNNSVASLSAPENGDSGGKVVDRVENGVADTDQANEDSPYSGNTVLVEERPSSVGDEDLDSAAATLPSVSKSNIERRWSDITSYATKKKVQSWFQLPNGNWELGRIMSTSGTESVISLPDGKVLKVNSESLIPANPDILDGVDDLMQLSYLNEPSVLFNLQYRYNRDMIYTKAGPVLVAINPFKEVSLYGNDYVEAYKNKSIESPHVYAIADTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCAEGERSYHIFYQLCAGAPRALREKLNLMDVDEYKYLKQSNCYSIAGVDDAEQFRIVKEALDVVHVSKEDQESVFAMLAAVLWLGNVSFTIIDNENHVEAVADESLINVAKLIGCDNAELNLALSIRKMRVGNDNIVQKLTLSQAIDTRDALAKSIYACLFEWLVEQINKSLAVGKRRTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFDDNQDCLNLFEKKPLGLLSLLDEESTFPNGSDFTFANKLKQHLNSNPCFRGEREKAFTVSHFAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPQTFASNMLNQSEKPVVGPLHKAGGADSQKLSVATKFKGQLFQLMQRLESTTPHFIRCIKPNNSQSPGSYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLENVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNHTLHGILRVQSCFRGHQARCYFKELQRGIATLQSFVKGEKTRKEYAVLLQRHRAAVVIQKQIKSRNARKKFKNISHASIVIQSVIRGWLVRRCSGDIGLLTSGGCKANESDEVLVKSSFLAELQRRVLKAEAALREKEEENDILHQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAVDESERNSDASVNASDDREYSWDTGSNHKGPESNGLRPMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFETWKKDYASRLRETKVILNKLGNEEGALDRVKKKWWGRRNSSRYN >EOY01395 pep chromosome:Theobroma_cacao_20110822:2:39275558:39279252:1 gene:TCM_011299 transcript:EOY01395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVEVGGGEGTRRRLPLWMQGKASKPDGGDKSSGIQEDGDGLVSGNSKPKKQPKKAVLPSENGETKKRRRKISQQDETCDVETASHKKMSIGLQEKQVRESSLQRKRKATSGRLRSGKDSKIPSPSDDDMELTPEDLLSIAEEYVKADKGVELQELSIRECEFGRQLSTTASSKTKSESSLIDDNQRLPAHETTYDSTQSLTDEKRFINTSRTGDPAQDMLDLFLGPLLKKTAEEKRTEFFTKDLAFANELGKGSQNDVKEETAPLTKKKSTLRDKEQ >EOY01394 pep chromosome:Theobroma_cacao_20110822:2:39275515:39277362:1 gene:TCM_011299 transcript:EOY01394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVEVGGGEGTRRRLPLWMQGKASKPDGGDKSSGIQEDGDGLVSGNSKPKKQPKKAVLPSENGETKKRRRKISQQDETCDVETASHKKMSIGLQEKQVRESSLQRKRKATSGRLRSGKDSKIPSPSDDDMELTPEDLLSIAEEYVKADKGVELQELSIRECEFGRQLSTTASSKTKSESSLIDDNQRLPAHETTYDSTQSLTDEKRFINTSRTGDPAQDMLDLFLGPLLKKTAEEKRTEFFTKDLAFANELGKGSQNDVKEETAPLTKKKSTLRDKVAMLLD >EOY01396 pep chromosome:Theobroma_cacao_20110822:2:39275569:39279060:1 gene:TCM_011299 transcript:EOY01396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVEVGGGEGTRRRLPLWMQGKASKPDGGDKSSGIQEDGDGLVSGNSKPKKQPKKAVLPSENGETKKRRRKISQQDETCDVETASHKKMSIGLQEKQVRESSLQRKRKATSGRLRSGKDSKIPSPSDDDMELTPEDLLSIAEEYVKADKGVELQELSIRECEFGRQLSTTASSKTKSESSLIDDNQRLPAHETTYDSTQSLTDEKRFINTSRTGDPAQDMLDLFLGPLLKKTAEEKRTEFFTKDLAFANELGKGSQNDVKEETAPLTKKKSTLRDKHAHALLVCKDTRA >EOX99369 pep chromosome:Theobroma_cacao_20110822:2:10608234:10611298:1 gene:TCM_008030 transcript:EOX99369 gene_biotype:protein_coding transcript_biotype:protein_coding description:F21B7.22 MIFDTGSTQSNLDCFLHCTTPTVKSQFRPKSEIRNLNRLWHPCEREKVEYFTLVDLWNCYDEWSAYGAGVPIVLNNSETLVQYYVPYLSAIQIFTSNSSVNDLREESESGDGERDSFSDSCSDEGESDKFCRWDGCSSEDGGSEHDSLRNVNNRLGDLYFQYFERSTPYGRVPLMDKINGLSRRYPGLMSLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDMDPDDDIESAERKRKEGEGISLPPFGLATYKMQGNVWVSGNCGRDQERVMSLLSVADSWLKQLRVQHHDFNYFTGIRRG >EOX98407 pep chromosome:Theobroma_cacao_20110822:2:5676273:5676868:-1 gene:TCM_007177 transcript:EOX98407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLLFATLLVCSLLLSSSLLEPAMAQPLSPFCVGKCDARCKKAAVWDRCIKYCGLCCEQCKCVPSGTYGNKHECPCYRDKKSKKGTPKCP >EOY00420 pep chromosome:Theobroma_cacao_20110822:2:32187828:32199417:-1 gene:TCM_010284 transcript:EOY00420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLENGRCKSYIGLEGGLEPPEMENAETEICLFRISKTISSKNGTAKARKRVFCILGARNALETVAENQFASENEKVNVSGVYRLSSVLDELTGDVVD >EOX98166 pep chromosome:Theobroma_cacao_20110822:2:4728699:4729238:1 gene:TCM_006989 transcript:EOX98166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTPTASATTMTNSMASFSNSTQISNLCSNIVASPLTLFSFPCGFLFGFNFPRPSSLSTLKDSPIYIPEHETPSPMEKKKTDWLKKINMAFGNTQRSVCLSLLKIQLSQNQVKIFKSCWQQTHQMFIRLN >EOX98931 pep chromosome:Theobroma_cacao_20110822:2:7811236:7815975:-1 gene:TCM_007594 transcript:EOX98931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein isoform 1 MEKPCNFNLQFLLLHLLFLSLLLGLTNSTTAPNQDEQSRIISRFQEYLQINTAQPSPDYQKSTHFILSQANSISLESQVIEFVKGKPVVLLKWPGSDPSLPSVLLNSHTDVVPSEYSKWVHPPLGAHIDGEGNIFARGTQDMKCVGMQYLEAIRRLKSSGFHPKRSLYLSFVPDEEIGGHDGAEKLAYSDVFKDLNVDVVLDEGLASPDENYRLFYGERTPWWLVIKATGAPGHGAKLYDNSAMENLFKSIESIRRFRASQFDLVKAGLKAEGEVISVNMAFLKAGIPSPTGFVMNLQPSEAEAGFDIRIPPTANAESLEKRIVEEWAPASRNMTFEFKQKGTLHDNLGRPLQTAIDKSNPWWTLLEEAIKKANGKIGKPEIFPASTDARYFRQLGLPAIGFSPMANTPLLLHDHNEFLNQAEYLRGIDVYESIIKAYTSYIPPGRDAVSRDEL >EOX98932 pep chromosome:Theobroma_cacao_20110822:2:7812593:7815824:-1 gene:TCM_007594 transcript:EOX98932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein isoform 1 MEKPCNFNLQFLLLHLLFLSLLLGLTNSTTAPNQDEQSRIISRFQEYLQINTAQPSPDYQKSTHFILSQANSISLESQVIEFVKGKPVVLLKWPGSDPSLPSVLLNSHTDVVPSEYSKWVHPPLGAHIDGEGNIFARGTQDMKCVGMQYLEAIRRLKSSGFHPKRSLYLSFVPDEEIGGHDGAEKLAYSDVFKDLNVDVVLDEGLASPDENYRLFYGERTPWWLVIKATGAPGHGAKLYDNSAMENLFKSIESIRRFRASQFDLVKAGLKAEGEVISVNMAFLKAGIPSPTGFVMNLQPSEAEAGFDIRIPPTANAESLEKRIVEEWAPASRNMTFEQKGTLHDNLGRPLQTAIDKSNPWWTLLEEAIKKANGKIGKPEIFPASTDARYFRQLGLPAIGFSPMANTPLLLHDHNEVHLVSLLSYVDHCSSYPRI >EOX98921 pep chromosome:Theobroma_cacao_20110822:2:7784683:7790967:1 gene:TCM_007586 transcript:EOX98921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein isoform 2 MEYRKIKDEDNDGGTVSDDIENLRGKGFSGASVSNVPVREQSKWKRKTVVTLALTFLTSSQAILIVWSKRAGKYEYSVTTANFLVETLKCALSLAALARIWKTEGVTEDNRLRTTLDEVIVYPIPAVLYLVKNLLQYYIFAYVDAPGYQILKNLNIISTGVLYRIILKKKLSEIQWAAFILLCAGCTTAQLNSRSDHVLQTPLQGWIMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMAFNAVAILIQDFDAVVDKGFFHGYSVITTLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFGFQLTLAFFLGATVVSVSVYLHSAGKLQR >EOX98922 pep chromosome:Theobroma_cacao_20110822:2:7784844:7788860:1 gene:TCM_007586 transcript:EOX98922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein isoform 2 EYRKIKDEDNDGGTVSDDIENLRGKGFSGASVSNVPVREQSKWKRKTVVTLALTFLTSSQAILIVWSKRAGKYEYSVTTANFLVETLKCALSLAALARIWKTEGVTEDNRLRTTLDEVIVYPIPAVLYLVKNLLQILKNLNIISTGVLYRIILKKKLSEIQWAAFILLCAGCTTAQLNSRSDHVLQTPLQGWIMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMAFNAVAILIQDFDAVVDK >EOY00124 pep chromosome:Theobroma_cacao_20110822:2:26183561:26205130:1 gene:TCM_009655 transcript:EOY00124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPRKRTCESGSGTRFDHSKFVSTKVAERHMHLLVHNVPIPERVIDLHLKLYSWFIRSLLSGNGSKFLNNLILRCYPWFENSTSTLLNKFMVKTLCASVRVLGVLCDEGVEWKMTKGILVSFKASTMKIVHKLWYHFLAAQLLPVKHISDVTRDRAILLYAIIYGMLVNVGQVIFTSIA >EOX97799 pep chromosome:Theobroma_cacao_20110822:2:3512130:3512897:1 gene:TCM_006732 transcript:EOX97799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAILHALRLFSVSTYSSSHLIVESNSRVALSWINCVKRRPWDKWHIFNEIDSLLLSVGDVSFTHVFR >EOX96840 pep chromosome:Theobroma_cacao_20110822:2:203269:205238:-1 gene:TCM_005994 transcript:EOX96840 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyltransferase, putative MIDIAERPIVNAYIRSCVATATDINFINLPPVDPPSTDEYQTYLGYICLLIAMHKLHVKNAIAHLISTESTSDSDSNTVRVAGLFVDMFCTSMIDVANELGIPCYLYFASPVSFLGLMLYLPTLDAKFATEFVALDSGLMVPKDPAAASASGLTIPGFANPVPYRVLPNSVLYRKQDGYFWYLHHARRYKETKGIVVNTFRELESYAIDSVSNGNDDWPPIYPIGPVLDLVGPAQWHPQQAQHGSIMQWLDNQPPSSVVFLCFGSMGSLSEAQLREIAVGLERSGFRFLWSIREPPKMKLDLPGEYTNVEEIEMLPPGFVDRSRRAGTGLVCGWVPQALILSHQAIGGFVSHCGWNSILESIWYGVPIATWPLYAEQQLNAFELVTELELAVEIRADYRKGSDLVSPEELERALRRLMKGNDEVRRKMREMKQKSRVALMPNGSSYKSLASLIEELTAEIAIKKVEILE >EOY01316 pep chromosome:Theobroma_cacao_20110822:2:38989087:38990789:1 gene:TCM_011249 transcript:EOY01316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATFATFGFYRNLKLVRHFFGKLNAGCRNKWAFWVWVFLIRVNAHDCVLKVKALKYMDGYRVGISRVVVAGSVRR >EOX97850 pep chromosome:Theobroma_cacao_20110822:2:3675101:3675930:-1 gene:TCM_006774 transcript:EOX97850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLAAMPPRDTSPIKYSYMLCFLTLGGRYDIPAENISANLYLRLCSSLCLLKQLLTFQKEQIGPWVDVKACHKSKG >EOX97921 pep chromosome:Theobroma_cacao_20110822:2:3986570:3987391:-1 gene:TCM_006826 transcript:EOX97921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISAVTEGSGSQLIMLVGPTRDAKSSRLFVFLAMHFVCLVHLRKKWTAPSLPFSITPPFIYLLTQP >EOY01388 pep chromosome:Theobroma_cacao_20110822:2:39245951:39250148:-1 gene:TCM_011294 transcript:EOY01388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Semialdehyde dehydrogenase family protein MASLSHPLKPHFLSTPKPKLQTSKFPTKVRMSFQESGPSVAVVGVTGAVGQEFLSVLSDRGFPYRSLKLLASKRSAGKSVSFQDRNFTVQELTADSFDDVDIALFSAGGSISKEFGPIAIEKGAIVVDNSSAFRMVDGVPLVIPEVNPEAMDGIKVGMNKGALIANPNCSTIICLMAATPLHRHSKVTRMVVSTYQAASGAGAAAMHELELQTREVLEGKPPTCNIFNQQYAFNLFSHNAPVLENGYNEEEMKMVKETRKIWNDMNVKVTATCIRVPVMRAHAESVNLQFEKPLDEETAREILKNAPGVVVIDDRASNHFPTPLEVSNKDDVAVGRIRRDVSQEGNHGLDIFVCGDQVRKGAALNAVQIAELLL >EOY00369 pep chromosome:Theobroma_cacao_20110822:2:31828101:31829515:-1 gene:TCM_010230 transcript:EOY00369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TAO3 MGNCQAIDAAALVIQHPCGRIERLYWPIPASEVMRMNPGHYVSLIIPLPEPEEEKQDEKTVRFTRVKLLRPSDTLALGHAYRLITSQEVMKVLKAKKYAKMKRQQLDSNEKLQHGQENQSSGREPGGKSDAEKTYQVIKHERQRSRTTPVNAAALRSKSWRPSLQSISEAGS >EOX99768 pep chromosome:Theobroma_cacao_20110822:2:15915279:15917687:1 gene:TCM_008666 transcript:EOX99768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein SPYLSLLFSSIFLCWFFYLLAPLQKSSPMENLPFTLAADYPKPHLLAGLFIIGSLSFSFSLPYHLHTLQIHHKSEPTFVGHCQVLNFLFFFVFFLYFVFECLVCYCGCASSLRLEMGFDMRADIHFSL >EOX99933 pep chromosome:Theobroma_cacao_20110822:2:18494046:18495718:1 gene:TCM_008955 transcript:EOX99933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIPSEFPRLWTTQGYPRVHASPFWSYLSTLNLFMKEKILQFWLELLVDSRFTKYQHACIGTVENARVWEESESSSNVCVFLHDKGLLTALKPNPRLLVT >EOX99342 pep chromosome:Theobroma_cacao_20110822:2:10263053:10265799:1 gene:TCM_007977 transcript:EOX99342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate/galactokinase family protein, putative MAKHEELPIPIYSSLEPVYGEGSQLEEAQLRSDKLKSKFLEDFGHPPDLFARSPGRVNLIGENIDYEGYLVLPMAIRRDTIVAIRKHDKGEAEKLLRIANVNDKYTSCTYPADPNQYCSFRYKGYYEYAKSKGVDVSVPVGLDVLVEGTVPTGSGLSSSAAFVCSSTIAIMAAFVVKCPKKELAQVTCDCERHIGTQAGGMDQAISVMAKTGFAELKDFNPIRATDVQLPAGGTFVIAHSLAESQKAVTAAINYNNRVVECRLAAVNCAWYKAVNKSEGQKLGLQFIESKLSFFQLRSFAVHRCIKGKQIVVVGLHKSALDIAMECSTANGVEFPCTVLYKTERWRIPN >EOY01574 pep chromosome:Theobroma_cacao_20110822:2:40116818:40121915:-1 gene:TCM_011437 transcript:EOY01574 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein MDQRIKINSGYEDFKSIVEEVKGKHSEVEVMNCKGIRGIKARKLETMTASQSQPPAIKFWAAAALVLLTLLWVCVLQLATVLNEEDTVSSSFYNPLSSSERIYKNNGYLVVIANGGLNQRRLAIADMVVIARYINITLIVPLFDNGTYWNDKSTFADIYDLNHFITSLRDEVRIVEELPPELKRREESESIYSMVPISFASLTYYYQKVIPRIQKRGVLHFSLTDARLANNGLPDEVQKLRCRVNYEALKFTQPIEETGRKIVSLLRQRGPFLVLHLRYEKDMVAFTGCVQGLTKEEIKEVTEMRYFYEGWKHKPIDAKRRREHGSCPLTPEETALILQALGIDRNTTIYIAAGKIYNEEKRMANLAMAFPNLVRKELVLEPSDLRPFLNHADQMAALDYIVAIESDTFIPTFGGNMAKAVEGHRRYMGFKRTVILNRAFLVRLIDDYKKGRLSWDEFALLVKRSHEHRTGKPARRREIPDHPRLEDFFYSNPQECLSSIS >EOY01117 pep chromosome:Theobroma_cacao_20110822:2:37724377:37724964:1 gene:TCM_011060 transcript:EOY01117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIQNGLISITKTTVEEIFSLQALTEVRYLIYQNANSLGMNEYGVFIIQNTLVDHLVSYDLKSCEGTKLWWNLSRK >EOX96883 pep chromosome:Theobroma_cacao_20110822:2:353970:355604:-1 gene:TCM_006026 transcript:EOX96883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISFLFFLSRSLKFSPFSDTVIMIYSPLKRRYFRHFLEGVALSRRVMSARMNHGTVIERCSARSQWTARVKARGFRALWPNSSGPAFLPWKSSGLRFTTLVRETLF >EOX99705 pep chromosome:Theobroma_cacao_20110822:2:14181232:14183688:1 gene:TCM_008493 transcript:EOX99705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated gene 29 MAVMGDHHSWAVAFGVFGNIISVLVYLAPVPTFYRIYRKKSTESFQSLPYQVALFSSMLWLYYALIKKDAFLLITINSFGCIVETMYISIYIAYASKNSRMSAMKLFVGMNVGLFSLILILTRFLVKSSIRIQLLGWICVAISVSVFAAPLNIVARVIRTGSVEFMPFNLSFFLTLSAIMWFAYGLFIKDICVALPNVLGFVLGMLQMLLYAVYRNTKKAIEEKKPPEQLKTVVVLSTIGASEVYPVDIQPDANSNKTKENEQTGEPDKTEKSLEDSSDLQSNECPV >EOX99544 pep chromosome:Theobroma_cacao_20110822:2:12080771:12084072:-1 gene:TCM_008243 transcript:EOX99544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteophosphoglycan-related, putative MARTNKYTSINFNHVLEKNLTSPPNSTKTKNPQSHHPNQPPSFSSYSSISAAAAANAKTHGRMLVLTRPSPMPISTPPLVSPTPPKQSQPHQLQPRSAPAPDQTLPPDPAPDQISLRPLGRTGSGISIPVQEREKEVVPVSVSPKPDRFVPPHLRPGFVGREERPGPQVFRGREQSQKHFGSPGRYGEDGRPKTGGYEKMRRGGESDLGLTDRPRSSGNRPSSSGRVSSEELVSKMEVELS >EOY00800 pep chromosome:Theobroma_cacao_20110822:2:35225909:35227369:1 gene:TCM_010725 transcript:EOY00800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTFTERLAAQFRSRCASNQLVTCVQQMQRSGETVQGVIAFSNENVTLEDNTAMLEGDNVMLEDNTTFDERNEDLFPVGEDRFDDNSDDGLDEWHDERSNEDWLYDRDVQCDDPIYNNAIADENGDSFPWSCKARYEVGYKDKACKFSVSATKLPEGGEYWQVWMLHKANGVALRPKDIIGEMRVQWGLEYLYVTAVATDEAERFKYCFWAYRACIWGFRDVIRPTVAIDTTHLKGRFKGVLLVAVCKDANECIYPVAFGIGHVEDEDSWT >EOX97848 pep chromosome:Theobroma_cacao_20110822:2:3667805:3668898:1 gene:TCM_006772 transcript:EOX97848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFKGCLDFSHPIRSPHVGNTFFQPPAPRGRRCSSTDPSFSPSIKWEWNPQKIFIKLLFGLLQMTLTLRNKSEYKEITKRQLNP >EOX97869 pep chromosome:Theobroma_cacao_20110822:2:3744525:3747393:1 gene:TCM_006792 transcript:EOX97869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1640) [Source:Projected from Arabidopsis thaliana (AT2G16460) TAIR;Acc:AT2G16460] MSACKRVVQLGFNAHSASLINRFRYRQISQLVKSNGKRAFLVDTLALVRSLEAQGVPSKQAEAITAAITEVLNDSLENVSHSFVSKAEMQKTEMLQEANLSKFKSEVKSSQEHHFSMLQRETEKLRGDIEKMRSELRYEIDKVTAGQRLDLNLERGRIRDELANQNAETNNLTNKLDREIHALRAQLEAAKYDVIKYCIGTLVSISAVGLAVVRILM >EOY01953 pep chromosome:Theobroma_cacao_20110822:2:41477978:41478722:1 gene:TCM_011731 transcript:EOY01953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKVITAVTNAKSYWNSSCNWDLSENFSCMRQITSSNGVSDEPMSRSVSSEEADIVTEIKTPEEAISGSPEALDSPSSSYKESVKTKSFYNKDSQFSTDPLSSSAKGYRTMVT >EOX99223 pep chromosome:Theobroma_cacao_20110822:2:9267392:9268372:1 gene:TCM_007832 transcript:EOX99223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEILEFKTLYIYFPCYQGDKKKEKKLEMHNAPGPEGLRGEDLWKIEENQSRVPFVNEHILFFLFLRSTFMRPDRFLHDSLQPRPQMLYYG >EOY00424 pep chromosome:Theobroma_cacao_20110822:2:32280182:32280839:1 gene:TCM_010291 transcript:EOY00424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIWKMGWYAFTWTIWMARNDVVFGGNDWDRCMVFELIKTRVAWWINSKWPHLNLSFSYLARFLNVSNVPHKVNCVSRTELWTRPPTIVLKFNTNEATRGYPGEFGIGGILRNENGDNSHFL >EOX98270 pep chromosome:Theobroma_cacao_20110822:2:5156555:5161042:1 gene:TCM_007074 transcript:EOX98270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid responsive element-binding factor 1, putative isoform 1 MGSHLNYKNFGDAPSMEGNGSKPLGNFPLARQSSIYSLTFDELQNTFGGLGKDFGSMNMDELLKNISTAEETQAVTTASVAGGEGSFSGGNLQRQGSLTLPRTLSQKTVDEVWRDLMKENDGAKDGSNGGGVGGGASLPQRQQTLGEMTLEEFLVRAGVVREDMQQIGMANNSGFFGNNTGVALGFQQTNRNNGFLSNNNSVLNHPPRLPLNMSGVKSSQPQQQQQQQQQQQPQQQQQQQPQPLFPKQQTVAFAPSMHLMNTAQLANPGVRGSMVGIGDPSMNSNLVQSTGLQSGGMGIVGLASPPSQISSDMIPNNSVDATSLSPVPYVFGRGRKCSAALEKVVERRQRRMIKNRESAARSRARKQAYTLELEAEVAKLKEMNQELQKKQEEMMEMQKNQMLETMSRPCKRHCLRRTLTGPW >EOX98271 pep chromosome:Theobroma_cacao_20110822:2:5157312:5161048:1 gene:TCM_007074 transcript:EOX98271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid responsive element-binding factor 1, putative isoform 1 MGSHLNYKNFGDAPSMEGNGSKPLGNFPLARQSSIYSLTFDELQNTFGGLGKDFGSMNMDELLKNISTAEETQAVTTASVAGGEGSFSGGNLQRQGSLTLPRTLSQKTVDEVWRDLMKENDGAKDGSNGGGVGGGASLPQRQQTLGEMTLEEFLVRAGVVREDMQQIGMANNSGFFGNNTGVALGFQQTNRNNGFLSNNNSVLNHPPRLPLNMSGVKSSQPQQQQQQQQQQQPQQQQQQQPQPLFPKQQTVAFAPSMHLMNTAQLANPGVRGSMVGIGDPSMNSNLVQSTGLQSGGMGIVGLASPPSQISSDMIPNNSVDATSLSPVPYVFGRGRKCSAALEKVVERRQRRMIKNRESAARSRARKQAYTLELEAEVAKLKEMNQELQKKQEEMMEMQKNQMLETMSRPCKRHCLRRTLTGPW >EOX97464 pep chromosome:Theobroma_cacao_20110822:2:2350514:2353038:1 gene:TCM_006466 transcript:EOX97464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein MQTEIRTLSFLRNRYWVLRHGKSIPNEKGLVVSSLENGTRSEYGLASEGVEQAQLAGKLFLKELKEKTIPLSNVRICYSPFARTSHTAEVVASVLNIPFEGPQCKVIEDLRERYFGPSFELLSHDKYSEIWAMDEKDPFTRPEGGESVDDVASRLASAMATMESEYQGCVILVVSHGDPLQILQTILSAASEQMEPSSNDLGSRIQAVRLPSILSQHRQFALLTGELRAVL >EOY00661 pep chromosome:Theobroma_cacao_20110822:2:34368186:34376119:-1 gene:TCM_010574 transcript:EOY00661 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein MEPHSFKHVVIGLIFSMTLLPSRSGSLAPCNFPAIFNFGDSNSDTGGLSAAFGQAPAPNGETYFHAPVGRYSDGRLVIDFIAESLGLPYLSAYLDSVGSNFSHGANFATAGSTIRPQNTTRSQSGFSPISLDVQSVQFSDFNRRSQIFNKQGVFDKLLPKEEYFSQALYTLDIGQNDLTAGYKLNLTTEQVKAYVPEVLRQFSDAVKRVYDQGGRAFWIHNTGPVGCLPYVLDRFLITAPQVDKYGCASPFNEVAQYFNRRLKDDVIRLRKELPLAAITYVDVYSVKYTLISQAKKFGFKLPLIACCGHGGKYNFNNSVRCGGKITVNGKEILIANSCQNPSVRVNWDGIHFTEAANKWIFEQIANGSFSDPPIPLKMACQRMDH >EOY00573 pep chromosome:Theobroma_cacao_20110822:2:33624841:33625629:-1 gene:TCM_010466 transcript:EOY00573 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MVIALAFAILLLFSFPVYFVYHLRRCLPSFIRESTICSHVILIATRLEWALDFMLRYCLFPRYNFAPNMPEIGAGRPSARDYEWKRAAPTDAGECPVCLFKVQEGEEIGQLRCSHVFHRLCLETWVGYWNATCPLCRGSVAPARLDSELGEEVVAFDFCSLSSRDRGRWWLR >EOY01594 pep chromosome:Theobroma_cacao_20110822:2:40173203:40177297:-1 gene:TCM_011447 transcript:EOY01594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTWLLAAIERWNLAPRIRPDKVLKLAYSDAYITSTSVPLSKPASIIPLQHLIDRCQRSISIPSSVRMGNVSKGISACMEERLLWHVPAEKSELVAALACMSALTLDQRELLPLTKSGTHVFILCERFTLWWLAWPLIVGVGEVPRCFQDVMLKSIKQSEQCLG >EOX99898 pep chromosome:Theobroma_cacao_20110822:2:17899049:17902684:1 gene:TCM_008886 transcript:EOX99898 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase/hydrolase 6 MGKLYTILVVILIFSSTLASSRNVPAIFIFGDSIFDAGNNHFNKNCSVQADFSPYGSTFFHQPTGRFTNGRTVADFISQFIGIDMQKPYLEAQIAVINGSRKDYPSNGINFASAGSGVLQGTNMDQGVISIQEQLRQFQTLVEQNQIDKNLVQKSLFFLESGSNDIFNYFIPFDPPTLDPDAYVQAMMKEVATLIDQIYKLGARRIAVFSLGPVGCVPARALLLGAPINRCYGKMNVMVKKYNKGLESLINNIPIRYPGAIGVYGAIYDIVQRFRAIPTRYGFSDVTSACCGNGPLRGKLQCGIEGYQICLNPNEVLFWDYFHPTEHAYKLISEALWGGNKSQIRPVNLKNLADITFTLV >EOX98837 pep chromosome:Theobroma_cacao_20110822:2:7439241:7443374:-1 gene:TCM_007517 transcript:EOX98837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic peroxidase 2 precursor MVLTHQSTHHLYLNCKHSAPKAVMALAVLILTLEVPTKFDTSFLTNLRNGRGVLLSDQRLWTHPSARVFVQRFLGVKGYKALNFNEEFVRPMVKMSNIGVKTGTDDSGLRKADLDYCQTMSLPKVGLDYCPKNILPINNLFMVSPKITSRVENTLPIAKPRAFFSLFPHTYCTMKGSYSGPRILTGILYFAMAAALVHGQGTRVGFYSASCPRAESIVTSTVQSHFRSNPAIAPGLLRMHFHDCFVQGCDGSILIDGPNTEKTAPPNSLLRGYEVINDAKTQIEAVCPGVVSCADILALAARDSVVLTNGASWLVPTGRRDGRVSQASDASNLPGFTESIDSQKRKFAAFGLNTQDLVTLVGGHTIGTSACQFFSYRLYNFTANGPDPSINPSFVSQLQSLCPQNGDGSRRVDLDTGSGGRFDTSFFTNLRNGRGILESDQKLWTDPSTRTIVQRFLGVAGVQPLSFNVEFRRSMVKMSNIGVKTGTNGEIRRVCSAVN >EOX99288 pep chromosome:Theobroma_cacao_20110822:2:9954479:9955869:-1 gene:TCM_007918 transcript:EOX99288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFVLLWFNCVNLLYVLVFKKLYFAFDFSFLNAHGLIFFFFRFYCSTSISLLFSRFDFSFIYVCFCF >EOX99055 pep chromosome:Theobroma_cacao_20110822:2:8292484:8296958:1 gene:TCM_007675 transcript:EOX99055 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM domain-containing protein / ABA-responsive protein-related MKNQLLEQVIGLPITSKAYRVERTPRRYLPDAAGQFRISSSAEGSTTFRKSKGNFVLKRMNTLGKKADTFAHGVREHVRLGPKITETVKGKLSLGARILQVGGVEKIFKRLFSVREGEKLLKACQCYLSTTAGPIAGLLFVSSEKVAFCSERSIKIPSPKGELPRVHYKVLIPLEKIKGVNQSENIKKPSQKYIEMVTVDGFDFWFMGFLNYRKAFKYLQQAISQRLDDVPVASVHCYLQVNLGPVFKDSDGLVSVSLGYTHGAQKACIIRSGQLGKTDMLSCMRIDVVNMDGTQQHICLFLEAIIGLSGPLPLSAVGIINTCNFAHLLA >EOY01628 pep chromosome:Theobroma_cacao_20110822:2:40354645:40362636:-1 gene:TCM_011475 transcript:EOY01628 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein MGRGRVQLKRIENKINRQVTFSKRRAGLLKKAHEISVLCDAEVALIVFSHKGKLFEYSSDSCMEKILERYERYSYAERQLVATQPGSQGNWTMEYNRLKAKVELLQKNHRHYMGEDLDFLSLKELQNLEQQLDTALKHIRSKKNQLMYESISELQRKEKAIQEQNTMLAKQIKEKEKTVAQQSQWGQQGHGLNTSPFLLPQPHPCLNISGTYQEEAPEMRRNELELTLEPIYSCNLGCFAA >EOY01530 pep chromosome:Theobroma_cacao_20110822:2:39865826:39867408:-1 gene:TCM_011394 transcript:EOY01530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASATYDLDDLDILVYQPPIESEPHYPTPRFVQVEVTIILELKLKCHYCLTDQFIDLDNADPIVFQENIRFHLHVLKNNFRAYQILAPMLRRLGVDPSSPLFDTVIEAIIRHGRRMGTWESNKGRKVLPLHAKVWGTFVEHVNYEEEEVLVVRALEESASELETSNYNMVPAKESSIKKMLKRFRVEGGEYNQKGGENDIKRRRVEGENCVICLEELKVGSYASRMPCSHAFHGDCIEKWLKQSHYCPVCRFEMPTE >EOY01337 pep chromosome:Theobroma_cacao_20110822:2:39105859:39113978:1 gene:TCM_011265 transcript:EOY01337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tapt1/CMV receptor isoform 5 MALRSSGRKLSFEILSKSSSLAEEEDRSLFYRSKSDPIQSQNGVSQPSRRKKRKHKKKKKECRTEFPIIPEDPVSEQQGSSSGVVVESNSENYGIRDNGNVNKISYVGGGSVVVVEESVCQNVCGFGELRQRNVNGVVGGGGEEMATVAARADESGVEVSSSKEPLPTAPPQTVANGNVPNKLETAESLDWKRLMAEDPNYLFTMEKSPVKYFLEEMDNGNSLRSTTTFGSEKERERVYDTIFRLPWRCEVLIDVGFFICFDSFLSLLTIMPTRILIVLWRLLTTRQFKRPSAAELCDFGCFAVLACGVILLERTDISLIYHMIRGQGTFKLYVVYNVLEIFDKLCQSFGGDVLETLFYSAEGLANCSPEKMRFWIRRFVLDQALAMAFSILHSFILLAQAITLSTCIVAHNNALFALLVSNNFAEIKSNVFKRFSKDNIHSLVYSDFEYTNSRWQEKSHFCSTCASLCGHPSADSSICCSPSVQPPAMEILLDPSLDLHDLCHAHKPKSDDWHGPTKTCKLVCQ >EOY01334 pep chromosome:Theobroma_cacao_20110822:2:39105902:39114202:1 gene:TCM_011265 transcript:EOY01334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tapt1/CMV receptor isoform 5 MALRSSGRKLSFEILSKSSSLAEEEDRSLFYRSKSDPIQSQNGVSQPSRRKKRKHKKKKKECRTEFPIIPEDPVSEQQGSSSGVVVESNSENYGIRDNGNVNKISYVGGGSVVVVEESVCQNVCGFGELRQRNVNGVVGGGGEEMATVAARADESGVEVSSSKEPLPTAPPQTVANGNVPNKLETAESLDWKRLMAEDPNYLFTMEKSPVKYFLEEMDNGNSLRSTTTFGSEKERERVYDTIFRLPWRCEVLIDVGFFICFDSFLSLLTIMPTRILIVLWRLLTTRQFKRPSAAELCDFGCFAVLACGVILLERTDISLIYHMIRGQGTFKLYVVYNVLEIFDKLCQSFGGDVLETLFYSAEGLANCSPEKMRFWIRRFVLDQALAMAFSILHSFILLAQAITLSTCIVAHNNALFALLVSNNFAEIKSNVFKRFSKDNIHSLVYSDSVERFHISAFLLFILAQNILEAEGPWFESFLYNALVVFVCEMLIDIIKHSFLAKFNGIKPIAYSEFLEDLCKQTLNIQTQDGKKNLTFVPLAPACVVIRVLTPVYAAHLPYSPLPWRFFWILLLISMTYVMLTSLKVMIGMGLQKHASWYVNRCRKRKHHLHFD >EOY01335 pep chromosome:Theobroma_cacao_20110822:2:39105863:39114202:1 gene:TCM_011265 transcript:EOY01335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tapt1/CMV receptor isoform 5 MALRSSGRKLSFEILSKSSSLAEEEDRSLFYRSKSDPIQSQNGVSQPSRRKKRKHKKKKKECRTEFPIIPEDPVSEQQGSSSGVVVESNSENYGIRDNGNVNKISYVGGGSVVVVEESVCQNVCGFGELRQRNVNGVVGGGGEEMATVAARADESGVEVSSSKEPLPTAPPQTVANGNVPNKLETAESLDWKRLMAEDPNFRLPWRCEVLIDVGFFICFDSFLSLLTIMPTRILIVLWRLLTTRQFKRPSAAELCDFGCFAVLACGVILLERTDISLIYHMIRGQGTFKLYVVYNVLEIFDKLCQSFGGDVLETLFYSAEGLANCSPEKMRFWIRRFVLDQALAMAFSILHSFILLAQAITLSTCIVAHNNALFALLVSNNFAEIKSNVFKRFSKDNIHSLVYSDSVERFHISAFLLFILAQNILEAEGPWFESFLYNALVVFVCEMLIDIIKHSFLAKFNGIKPIAYSEFLEDLCKQTLNIQTQDGKKNLTFVPLAPACVVIRVLTPVYAAHLPYSPLPWRFFWILLLISMTYVMLTSLKVMIGMGLQKHASWYVNRCRKRKHHLHFD >EOY01336 pep chromosome:Theobroma_cacao_20110822:2:39106039:39112722:1 gene:TCM_011265 transcript:EOY01336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tapt1/CMV receptor isoform 5 MALRSSGRKLSFEILSKSSSLAEEEDRSLFYRSKSDPIQSQNGVSQPSRRKKRKHKKKKKECRTEFPIIPEDPVSEQQGSSSGVVVESNSENYGIRDNGNVNKISYVGGGSVVVVEESVCQNVCGFGELRQRNVNGVVGGGGEEMATVAARADESGVEVSSSKEPLPTAPPQTVANGNVPNKLETAESLDWKRLMAEDPNYLFTMEKSPVKYFLEEMDNGNSLRSTTTFGSEKERERVYDTIFRLPWRCEVLIDVGFFICFDSFLSLLTIMPTRILIVLWRLLTTRQFKRPSAAELCDFGCFAVLACGVILLERTDISLIYHMIRGQGTFKLYVVYNVLEIFDKLCQSFGGDVLETLFYSAEGLANCSPEKMRFWIRRFVLDQALAMAFSILHSFILLAQAITLSTCIVAHNNALFALLVSNNFAEIKSNVFKRFSKDNIHSLVYSDSVERFHISAFLLFILAQNILEAEGPWFESFLYNALVVFVCEMLIDIIKHSFLAKFNGIKPIAYSEFLEDLCKQVYASPVDKLLIIPP >EOY01338 pep chromosome:Theobroma_cacao_20110822:2:39105902:39114202:1 gene:TCM_011265 transcript:EOY01338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tapt1/CMV receptor isoform 5 MALRSSGRKLSFEILSKSSSLAEEEDRSLFYRSKSDPIQSQNGVSQPSRRKKRKHKKKKKECRTEFPIIPEDPVSEQQGSSSGVVVESNSENYGIRDNGNVNKISYVGGGSVVVVEESVCQNVCGFGELRQRNVNGVVGGGGEEMATVAARADESGVEVSSSKEPLPTAPPQTVANGNVPNKLETAESLDWKRLMAEDPNYLFTMEKSPVKYFLEEMDNGNSLRSTTTFGSEKERERVYDTIFRLPWRCEVLIDVGFFICFDSFLSLLTIMPTRILIVLWRLLTTRQFKRPSAAELCDFGCFAVLACGVILLERTDISLIYHMIRGQGTFKLYVVYNVLEIFDKLCQSFGGDVLETLFYSAEGLANCSPEKMRFWIRRFVLDQALAMAFSILHSFILLAQAITLSTCIVAHNNALFALLVSNNFAEIKSNVFKRFSKDNIHSLVYSADFEYTNSRWQEKSHFCSTCASLCGHPSADSSICCSPSVQPPAMEILLDPSLDLHDLCHAHKPKSDDWHGPTKTCKLVCQ >EOY01088 pep chromosome:Theobroma_cacao_20110822:2:37563854:37564407:1 gene:TCM_011040 transcript:EOY01088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEQKKISSSYENSSSNNISAIRDEAGYKGVPIHSQVMKIKQEFEKIKHPSLRQADMRRVLREITRQRSRSPLGLAERPISVGNL >EOY00140 pep chromosome:Theobroma_cacao_20110822:2:26536931:26537515:-1 gene:TCM_009695 transcript:EOY00140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRKERTKSQAKERKEKSWERKRKGTKNFAIFLARFCRTLREEKRAFCYNFWRKKKLKILRFLLLKLCEFAAGNFGGFCCH >EOX99719 pep chromosome:Theobroma_cacao_20110822:2:14847644:14849047:1 gene:TCM_008555 transcript:EOX99719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKTSLTRKVTNKGKEKRPIEDSYVLPPKKKGKMVLDSPAEMKRESQKKMGPKTKRLATTKGISIEKGSLDETPLIKADLSRFFDELKIKALSTFEDRYFSPTLVREFYSSITLNKYELEDPNDFVENGLMCYCVETNLKSLSLILESC >EOX99580 pep chromosome:Theobroma_cacao_20110822:2:12420051:12435589:1 gene:TCM_008291 transcript:EOX99580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPKRARQSFSDSFDHTRFVSTNVVARHTNSLANKIAIPKRRLDQRLITHLDLQAMIDGLPFSSHIVNEFYETPAIENDGYGQYLAKHEDWNDIIHIRYEKGAQWRFSNNAPISFNWESDETNI >EOY00467 pep chromosome:Theobroma_cacao_20110822:2:32782681:32783461:1 gene:TCM_010344 transcript:EOY00467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMGITSAEVDQNLRKKGDNECIPWSFLRSYIMKQRDTEQGQLVMALAIYGLVIFPKVLGHIEVGIIDFFEKGEGRFVGCAQLLSIWIVSHFECKVDKFRKPFHPQTAPIREFCESEWPENRTKEQWISRFRELMSVEVTWRAPWMPHHSVLYKCGNEPWVPLMGPWGAISYAPIMVRRQFGSEQFVPMTPT >EOX98722 pep chromosome:Theobroma_cacao_20110822:2:6850293:6850947:-1 gene:TCM_007419 transcript:EOX98722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQRTTSLFYSRYEEQNQSRKSAKATPGPHLSFKLLKQNAKRSTSSRAVREPIQRLLMNSDLFIYPHLLVFLHNLQRHRLRRRRRNPRRPVRRQSLHHRRCLHRLHRRLRQQLHHLQ >EOX97886 pep chromosome:Theobroma_cacao_20110822:2:3820110:3830574:1 gene:TCM_006803 transcript:EOX97886 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MQARNPFYPALHNPNLKPCSCYFSTFFNKPPPLSSPRFHISHFPPKNHLNCFVTNCIAPNQELSHFNTEFTKQNAGFENIICRHSTPDQGYEKEEEERLESQCLWNQMKEIVKFSGPATGLWICWPLMSLVDTAVVGQGSSIELAALGPGTVLCDYMSNVFMFLSVATSNVVATSLAREDKNEVQHQISVLLFLSLTGGFFMLFFTKFFGSWALTAFTGPNNAHIVPAANTYVQIRGLAWPAVLVAWVAQSASLGMKDSWGPLKALAVASAINGIGDIVSCSLLGYGIAGAAWATMVSQIVAAYMMINSLNKKGYNAFAISIPSPNDLLTIFGLAAPVFVMMMAKVAFYALLIYFATNMGTHTVAAHQVMIQTYCMCTVWGEPLSQTAQSFMPELLYGINKSLPKARMLLKSLVIIGASLGLLLGIVGTSVPWLFPNIFTSDQKVVQEMHKVLAPYFIALAVTPATHSLEGTLLGRRDLKFVSLSMSGCFSLGAVVLLLLSSGGYGLPGCWYTLLGFQWARFFLSLQRLLSAHGILDSEDLNKYRQENLKAA >EOY00790 pep chromosome:Theobroma_cacao_20110822:2:35106446:35109784:1 gene:TCM_010706 transcript:EOY00790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 family protein MDHFTPQTIFLSLLLFLVPLCFILHQRKWHANYRSKLNSQSRSVAPPSPTGLPIIGHLHLLTDMPHHTFSELAQKLGPIIYLRLGQVPTIVVSSAQLARLILKTHDHVFANRPQLISAQYLSFNSSDVTFSPYGPYWRQARKICVTELLSSKCVSSFKFVRDEEVNRLLTALSTRSGSEVNVSELFFSLANDILCRVAFGRRFTEGVGSEGQKRHLAGVLTETQELFAGLCIGDFFPEWEWVHSVSGYKKRLMKNLEELRSVCDEIIEEHLRWRGQEGNKEDFVDVLLRVQKRDDLEVPITDDNLKALVLDMFVAGTDTTAATLEWTMTELARHPELMKKAQEEVRSIAGRTGKVDESHLPHLHCMKAAIKETMRLHPSVPLLVPRESMDECILDGYKIPAKTRVLINTYAIGRDPNSWESPLEYNPKRFEDTDVDVKDQDFRFLPFGGGRRGCPGYTFGLATVEIALARLLFHFDWALPQGVGTDDVDLNEIFGLATRKRTPLVLVPTVNKGL >EOX98806 pep chromosome:Theobroma_cacao_20110822:2:7300486:7306256:1 gene:TCM_007492 transcript:EOX98806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio 7 isoform 1 MLEATAEIGKKKNRGNMKDDEELEMLLDEIPHATSHNLHHHHHLHQKIDKNHVNGSFHGMCGLMYDDDPSGYYYKHTCASPVSGFSLQSDGSSSSLVSNNGLSLSDNGSPTPPPREDLKPHLPNGLLVDSAIRKKASSDGLIAELGRCRNLSKVYFSNDQQNAASSFRDFSLESNGIQLFDQINVEKYAACDKLRKGSSDCVGFQSPVPATVPMSFDVGMNMAFSGLPQQEYRMNNLLGSQFYPGCSDALFSQQEMNCWNGAMRSPWQKKEQTCNYHHRGNSVSNLSTSLSKLSVTDALVYGQRHGLSWNDEIGELNFPGSPRLIQASPHASVENLLHHGLPLANGMARAHSNIRIPHGGLEAFTSEDSFIIQGEGLNYVINKGLDRSRGQNKGLLHEVGVSKHLERRPQLDGWSHITAACGNTKNAKLYNPSLPPKCNSLAEARGYIYLIAKDQHGCRFLQRLFDEGTQQDVQLIFKEIIDHVVELMMNPFGNYLMQKLLEVCNEEQRMQILLMVTEEPGQLVKISLNTHGTRVVQKLIETLKTRQQISLVISALEPGFLALIKDLNGNHVVQRCLQCLSSEDNKFIFVAAAKYCVDIATHQHGCCVLQRCISHSTGEYRENLVAEISANGLLLAQDAYGNYVVQFILELKIPSATSALISQFEGNYVHLSTQKFSSHVVEKCLLVSNDESWSRIIHELLSSAHFEQLLQDPHANYVVQTALRVSEGHLHNLLVEAIESHKAISRNSPYSKRIFSQKLLKK >EOX98807 pep chromosome:Theobroma_cacao_20110822:2:7300914:7305154:1 gene:TCM_007492 transcript:EOX98807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio 7 isoform 1 MLEATAEIGKKKNRGNMKDDEELEMLLDEIPHATSHNLHHHHHLHQKIDKNHVNGSFHGMCGLMYDDDPSGYYYKHTCASPVSGFSLQSDGSSSSLVSNNGLSLSDNGSPTPPPREDLKPHLPNGLLVDSAIRKKASSDGLIAELGRCRNLSKVYFSNDQQNAASSFRDFSLESNGIQLFDQINVEKYAACDKLRKGSSDCVGFQSPVPATVPMSFDVGMNMAFSGLPQQEYRMNNLLGSQFYPGCSDALFSQQEMNCWNGAMRSPWQKKEQTCNYHHRGNSVSNLSTSLSKLSVTDALVYGQRHGLSWNDEIGELNFPGSPRLIQASPHASVENLLHHGLPLANGMARAHSNIRIPHGGLEAFTSEDSFIIQGEGLNYVINKGLDRSRGQNKGLLHEVGVSKHLERRPQLDGWSHITAACGNTKNAKLYNPSLPPKCNSLAEARGYIYLIAKDQHGCRFLQRLFDEGTQQDVQLIFKEIIDHVVELMMNPFGNYLMQKLLEVCNEEQRMQILLMVTEEPGQLVKISLNTHGTRVVQKLIETLKTRQQISLVISALEPGFLALIKDLNGNHVVQRCLQCLSSEDNKFIFVAAAKYCVDIATHQHGCCVLQRCISHSTGEYRENLVAEISANGLLLAQDAYGNYVVQFILELKIPSATSALISQFEGNYVHLSTQKFSSHVVEKCLLVSNDESWSRIIHELLSSAHFEQLLQDPHANYVVQTALRVSEVQDSLTSITYETPNPSINTSLHLCGSKSIDVFQS >EOY01955 pep chromosome:Theobroma_cacao_20110822:2:41481021:41483961:1 gene:TCM_011733 transcript:EOY01955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger-like protein MASPTYQCSDLRHVVFHRLEPESPSPTPNSIQDVEVTITLQLDLKRHYCLTNQFIDLDEEGPLFFQETLRFDLRVLSNPYRASLILGPVLRRHYITHNSPSYDAIIDDIIRHGRRIGNWKSNKGRPVLPLLVEISGTLVQHVNYEEDEGLIGRALEESASELETSNYNMVPAKKSSVKKMLKRVSVEDGDCDGENIKKRRVIADECVICLEELKVGSDASQMPCSHTFHGDCIEKWLKQSHYCPICRFEMPI >EOY01505 pep chromosome:Theobroma_cacao_20110822:2:39760313:39764184:1 gene:TCM_011376 transcript:EOY01505 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSP-interacting kinase 2, putative MNSWKEKSVLYGVILFCFCTSVSALLSLKAVNFEVQALMVIKNFLVDPLGVLDNWDATAIDPCGWSYINCNPDGLVVGLGAPSLNLSGTLAPTIGELTNLQHVLLQDNNISGHIPSELGKLPKLNTLDLSINSFSGQIPSSLSHVKSLQYLRLNNNSLSGPIPSSLANMTQLTFLDMSFNNLSGPMPGFYAKTFNIVGNPLICATGNGQDCPRTRPMPISFSLNNSQNSQPSGKPKSHAIALAFGSLSCTCLLVLGFIFIFLRRLRHKQQIFFNANATNEEEESIRNLKRLPFQDLVIATNNFSSKNILGKGGFGTVYKGYLKDGTVVAVKRLIDGNAAGGETQFQAEVKMISLAVHRNLLQLYGFCMTATERLLVYPFMSNGSVATRLKGKPALDWGTRKRIALGTARGLLYLHEQCAPKIIHRDVKAANVLLDDQFEPVVGDFGLVKLMEHQDSHVTTAVRGTIGYIAPEYLSTGQASDRTDVFAFGVLLLELMTGKGPSQFGEMDIEERAMIDWVNKFYKERRFDELIDKALKKRYDQSELEGMIQLSLSCTQHHPNHRPNMSEVLQILEGTISRYRKRFSNSGRRTLLSWGVFLIRYSLVVKSSMKT >EOY02205 pep chromosome:Theobroma_cacao_20110822:2:42313646:42317555:1 gene:TCM_011906 transcript:EOY02205 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-dependent oxidoreductase P1 isoform 2 MTSQNQSEICVSKEGEKMSKGEKREAVNIVESKEWYLADYAPQGVPTSDHLKLRSVPLSLALDSIPQAHVAIQLLFISIDPYLRTRMSGHDDGLYFPQFLLNQVITAFGIGRVIRSKDDKYNEGDIVLNAFFPVAEYCVVPSDVLIRKIDPAAGVPLPEYLSCLGVPGFAAWLGIQVLGDPKPGSNVFISAAAGGVGMFAGQLAKLKGCKVIGSTGSDDKVRLLMEEFGYDDAFNYNKETDLDAALSKYFPNGIDIYLENVGGKMLEAVLNHVNLHARIPVCGMISQYNQTWTEREGVRNLLNVVGKEVRMEGYLVASYLDRFADFAKEMESYIKQGKIRSKLKIKRNNNKECLSANLTLHEAKYVDPLSRAGFRAKCECIESRIKSSPNDNKAKARKSESLEPQNLRLMVLALTRIYTLLLLPLLLLLLLLIVAFHS >EOY02204 pep chromosome:Theobroma_cacao_20110822:2:42313467:42320524:1 gene:TCM_011906 transcript:EOY02204 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-dependent oxidoreductase P1 isoform 2 MTSQNQSEICVSKEGEKMSKGEKREAVNIVESKEWYLADYAPQGVPTSDHLKLRSVPLSLALDSIPQAHVAIQLLFISIDPYLRTRMSGHDDGLYFPQFLLNQVITAFGIGRVIRSKDDKYNEGDIVLNAFFPVAEYCVVPSDVLIRKIDPAAGVPLPEYLSCLGVPGFAAWLGIQVLGDPKPGSNVFISAAAGGVGMFAGQLAKLKGCKVIGSTGSDDKVRLLMEEFGYDDAFNYNKETDLDAALSKYFPNGIDIYLENVGGKMLEAVLNHVNLHARIPVCGMISQYNQTWTEREGVRNLLNVVGKEVRMEGYLVASYLDRFADFAKEMESYIKQGKIRSKLKIKRNNNKECLSANLTLHEAKYVDPLSRAGFRAKCECIESRIKSSPNDNKAKARKSESLEPQNLRLMGPMIIKGLFRRYERWNPVHPTCGAFWGMGIGIGCGVGWGPGFGPEVIGYVGAGCGIGFSVGITLAGIGIGLPANFLFQVPYSAFLATTTGALDLARSSGLSSSKITPGLGWKTFAPYVSVLQREATGRLSSMLRPHTSSIWAGFERFYGRFFHPRKGTGNK >EOY02203 pep chromosome:Theobroma_cacao_20110822:2:42313467:42320524:1 gene:TCM_011906 transcript:EOY02203 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-dependent oxidoreductase P1 isoform 2 MTSQNQSEICVSKEGEKMSKGEKREAVNIVESKEWYLADYAPQGVPTSDHLKLRSVPLSLALDSIPQAHVAIQLLFISIDPYLRTRMSGHDDGLYFPQFLLNQVITAFGIGRVIRSKDDKYNEGDIVLNAFFPVAEYCVVPSDVLIRKIDPAAGVPLPEYLSCLGVPGFAAWLGIQVLGDPKPGSNVFISAAAGGVGMFAGQLAKLKGCKVIGSTGSDDKVRLLMEEFGYDDAFNYNKETDLDAALSKYFPNGIDIYLENVGGKMLEAVLNHVNLHARIPVCGMISQYNQTWTEREGVRNLLNVVGKEVRMEGYLVASYLDRFADFAKEMESYIKQGKIRSKLKIKRNNNKECLSANLTLHEAKYVDPLSRAGFRAKCECIESRIKSSPNDNKAKARKSESLEPQNLRLMGPMIIKGLFRRYERWNPVHPTCGAFWGMGIGIGCGVGWGPGFGPEVIGYVGAGCGIGFSVGITLAGIGIGLPANFLFQVPYSAFLATTTGALDLARSSGLSSSKITPGLGWKTFAPYVSVLQREATGRLSSMLRPHTSSIWAGFERFYGRFFHPRKGLKD >EOX99147 pep chromosome:Theobroma_cacao_20110822:2:8696994:8712708:1 gene:TCM_007745 transcript:EOX99147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 7 MSRQTPSSAFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSSKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDEHPPIPDSLSPDITDFLRQCFKKDARQRPDAKTLLSHPWMNCRRALQSSFRHSGTLRNISEDVAADAESSSGDNQIAGESLPVDKAEASETSSRKELLSAEVTGTSKSDYDHSADNNLLGERIDNLDDDLLSDQVPTLAIHEKSSLQSSSGRISVKNVVAALGPAQLHEISHQDEVIMNGEVGSPESKGKHMEKRHGGKGSSIDIDNKSFGFGPRTHDASVQRAAKASVTSTGNELSRFSDPPGDASLDDLFHPLDKNLDEKAAEASTSASTLNVNQGTVPDAGNNDLAKKLRDTIAKKQMEEEMGQSNGGGNLLRLMMGVLKDDVIDIDGLVFEEKLPAESLFPLQAVEFSRLVGSLRPEVSEDAIVTACQKLVAIFDQRPEQKIVFVTQHGLLPLTELLDVPNTRVICSVLQLINQIVKDNTDFQENACLVGLIPLVMSFAGPDRPAEIRMEAACFLQQLCQSSSLTLQMFIACRGIPVLVGFIEADYAKFREMVHLAIDGMWQVFKLQRSTPRNDFCRIAAKNGILLRLINTLYSLNEATRLATISVGGGFSVDGSAQRPRSGPLDSSHPLFAQNETPLSITDQSDVLKVRHGMTEHLFPPGAQEPSRASTSHSQRSDANLPDSRYLAVDSDRPQSSNGALDVSVGSKLADLTSLEKVTNIAAKETSTISKERETLDRWKLDSARGEIDLRQQKISNSLNRTSMDRPPKLIEGMSNGFPTSTTTQAEQVRPLLSLLEKEPPSRHFSGQLEYVRHLPGLERHESILPLLHANERKTNGELDFLMAEFAEVSGRGRENGIVDSTPRISHKTVSKKVGQLAFNEGAASTSGIASQTASGVLSGSGVLNARPGSATSSGLLSNMVSAMNADVARNYLEKVADLLLEFAQADTTVKSYMCSQSLLNRLFQMFNRIEPPILLKILKCINHLSTDPNCLENLQRADAIKYLIPNLELKDGPLVSQIHHEVLNALFNLCKINKRRQEQAAENGIIPHLMNFIMSDSPLKQHALPLLCDMAHASRNSREQLRAHGGLDVYLSLLDDELWSVTALDSIAVCLAHDNDNRKVEQALLKKDAVQRLVKFFQCCPEQHFVHILEPFLKIITKSSRINTTLAVNGLTPLLIARLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRSGGQVLVKQMATSLLKALHINTVL >EOX98729 pep chromosome:Theobroma_cacao_20110822:2:6878455:6880916:1 gene:TCM_007424 transcript:EOX98729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokin receptor 1, putative MGISKVSLAFILLVITFRQRIQGSQEQACNSKDLTALKGFSKCLGSDIGGWNWNSFNCCSWTGITCDNSSFVNKRVVGLELGNKRLAGTICETLVGLEQVRILNLSHNFLHGKIPTTLFRFQNLEVLDLSNNDFVGSLPVVIHLPSIKYFDLSKNHFSSLLSLELCKTSSHIRYINLANNFFGEASLYLENCTSLHYVHLNGNGLSGAFPENLFRLQHLRILHLQENRFSGPLHYGIGNLSNLVELDISSNGFNGSLPDFFGRLRKLDSFSAGSNRLTGLLPISLVNSPSLSMVDLRNNSLDGPMNINCSAMTRIASLRLASNNFQGPVSDLSSCQSLRNLDLARNKLGGEVPFHFKNLQALKFLSLAGSGIINISSALEILQDCKNLTILVLSLNFYHEEMPSNVNLKFRSLKALVIPNCHLKGSLPIWLSGCSMLQLLDLSWNSLGGSIPFWLGNFIYLFYLDLSNNSFSGEIPESLTGLESLVHNTVLLKELPADLRLVKSTGHGGRLLYNNIWSFPPTIDLSCNKLTGPIWPSFGNLKNLHVLSLEGNDLSGTIPDSMSEMTSLEELDLSRNKLSGEIPNSLVHLSFLSKFNVSYNELYGDIPSGGQFTTFPESSFEGNEALCTRMLRPCQIEQVPPLVTPGKKMKIVDWKFSIGAAIGFVLTVCFCFKSGWVLPKG >EOY01851 pep chromosome:Theobroma_cacao_20110822:2:41137067:41139721:1 gene:TCM_011656 transcript:EOY01851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fucosyltransferase 1 MDLNLLRRRSLFCSKSSSSSSSSSLRSSSRSLGFDAMRLSTKSLASFLMALPVVVMLTIILRHHPSDRFTGFADARPFYANVTTPLLPGDDVSKPTDMARDKLLGGLLAAGFDEHSCLSRYESNLYRKTSPYKPSPYLLSKLRNYEDLHKRCGPNTQSYNKTVEQLKSGRSVGSTDCKYVVWVCYSGLGNRILTLASVFLYALLTERVLLVDRGKDMADLFCEPFPEKSWFLPLNFPITNKFKSFDQKSPESYGNMLKNNILKPSTESLPSYIYLHLAHDYDDHDKLFFCDDDQALLKKVPWLIVKTDNYFIPSLFLMPSLEHELSMLFPHKETIFHHLGRYLFHPSNHVWGLITRYYNAYLAKADERIGIQVRIFDGPGPYQYVKNQISACTLGEKLLPEVDIRGSIGTPSENPKVKAVLVTSLVAGYFENLRNMYWEHPTVTGDIIGVHQPSHEAQQQTEKPLHNMKAWAEMYLLSLTDVLVTSAWSTFGYVAQGLGGLKPWILYKSENQTTPNPPCQHAMSMEPCFHAPPFYDCKAKKGIDAGKVVPYVRHCEDVSWGLKVVDSHDEL >EOX98834 pep chromosome:Theobroma_cacao_20110822:2:7413305:7415134:-1 gene:TCM_007513 transcript:EOX98834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase N1 isoform 2 MAGCGFKHFILVFLLFATATTLVEGQGTRVGFYSRTCPSAESIVRSTVRSHFQSNPAIAPGLLRMHFHDCFVHGCDASILIDGPNTEKTAGPNRLIRGYEVIDDAKTQLEAACPGVVSCADILAHAARDSVVLTSGRSWQVPTGRRDGTVSLASDTSNLPGFRESIDSQKQKFAAFGLNTQDLVALVAGHTIGTSACQFFRYRLYNFTANGPDPTIDSTFVFQLQSLCPENGDASRRVDLDTGSGNRFDTSFFTNLRNGRGILESDQKLWTDASTRPIVQQFLGVRGLRALNFNVEFGRSMVKMSNIGVKTGTEGEIRGVCSAIN >EOX98835 pep chromosome:Theobroma_cacao_20110822:2:7413481:7415134:-1 gene:TCM_007513 transcript:EOX98835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase N1 isoform 2 MAGCGFKHFILVFLLFATATTLVEGQGTRVGFYSRTCPSAESIVRSTVRSHFQSNPAIAPGLLRMHFHDCFVHGCDASILIDGPNTEKTAGPNRLIRGYEVIDDAKTQLEAACPGVVSCADILAHAARDSVVLVIITTSGRSWQVPTGRRDGTVSLASDTSNLPGFRESIDSQKQKFAAFGLNTQDLVALVAGHTIGTSACQFFRYRLYNFTANGPDPTIDSTFVFQLQSLCPENGDASRRVDLDTGSGNRFDTSFFTNLRNGRGILESDQKLWTDASTRPIVQQFLGVRGLRALNFNVEFGRSMVKMSNIGVKTGTEGEIRGVCSAIN >EOY01983 pep chromosome:Theobroma_cacao_20110822:2:41600236:41603410:-1 gene:TCM_011757 transcript:EOY01983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT2G02910) TAIR;Acc:AT2G02910] MVLSKYNGEWLQERRGGIIGAILNSVARSEQGFRVGRRGRRFPRNFKLRFFHWLVALLLAALFLLYFATFDLKLLSNGNEEENNLSLSQEVHQKISIHDAAVTPPKSKRRKQHFPCEVGLAAAVDNIIEPKNYMNFTEFSPEYVEREKNPLPANSLESRFGGYQTLKEREKSFVARNQTIHCGFVKGPPRFSSTGFELSENDKAYMSTCRVAVSSCIFGSSDFLRRPTSRLISEFSKKNVCFVMFVDEQTLSKLSSEGHIPDERGYVGLWKIVVVRNLPYLDMRKTGKVPKFLSHRLFPSSRVCHFQPL >EOY01982 pep chromosome:Theobroma_cacao_20110822:2:41597455:41603433:-1 gene:TCM_011757 transcript:EOY01982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT2G02910) TAIR;Acc:AT2G02910] MVLSKYNGEWLQERRGGIIGAILNSVARSEQGFRVGRRGRRFPRNFKLRFFHWLVALLLAALFLLYFATFDLKLLSNGNEEENNLSLSQEVHQKISIHDAAVTPPKSKRRKQHFPCEVGLAAAVDNIIEPKNYMNFTEFSPEYVEREKNPLPANSLESRFGGYQTLKEREKSFVARNQTIHCGFVKGPPRFSSTGFELSENDKAYMSTCRVAVSSCIFGSSDFLRRPTSRLISEFSKKNVCFVMFVDEQTLSKLSSEGHIPDERGYVGLWKIVVVRNLPYLDMRKTGKVPKFLSHRLFPSSRYSIWIDSKMRLNTDPMLIIEFFLWRMRAEYAISNHYDRHCVWEEVLQNKRLNKYNHTAIDEQFMFYQSDGLTKFNPSDPNTPLPSYVPEGSFIIRAHTPMSNLFSCLWFNEVDRFTSRDQLSFAYTYLKLRRMNPDRPFHLNMFKDCQRRTLAKLFRHRTVPSPPPP >EOX98427 pep chromosome:Theobroma_cacao_20110822:2:5753807:5758341:1 gene:TCM_007194 transcript:EOX98427 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein MKGKSKSSKRKLGLATALVLCSLSFISGLFASTFFSQDVPIIRPRLRRLEVVHEEGEKYRDPMPHGETGDSSMESIPFQVLSWKPRAYYFPNFATAEQCDRIIEMAKLNLKPSTLALRKGESVESTKGTRTSSGTFISASEDETGSLDLIEKKIAKATSIPQSHGEAFNILRYEIGQKYDSHYDAFNPSEYGPQSSQRVASFLLYLSDVEEGGETMFPFENDMRIKGYNYRQCVGLKVKPRRGDGLLFYSLFLNGTIDRTSLHGSCPVIKGEKWVATKWIRDQEQND >EOX97022 pep chromosome:Theobroma_cacao_20110822:2:929870:931122:1 gene:TCM_006141 transcript:EOX97022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-gamma 3, putative MLGIKEMQNNLSHQNLRDTTMLKHRNLVNTMLKGFQSTYQAISTSEFPHVERYFWTMVNQPNFKKILGEVKQAESVPPVPSKKPVAQPKETKPKAKNEPKKEHKTEVEKPAKAEAAEEEEAPKPKPKNPLDLLPPSKMILDEWKRPYSNTETNFREVAIKGGFLQRMDLARKYAFGKMLVIGSEPPFKVKGLLLFRGQEIPQFVLDECYDVELYEWKKVDISAEAQKERVS >EOY01991 pep chromosome:Theobroma_cacao_20110822:2:41615876:41616867:-1 gene:TCM_011761 transcript:EOY01991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger-like protein MASAVYYCNDMQHIVYQPQTEPEIFYPTPPFVQIELNIALQFGFGRHYCLTDQFVHLDNEGMLFSHETIRFDLQALKRYDRIYQILGPMLVRLGFNPNGGSYHSVIEEIVRQGVSIGTLESNKGRQVLPLQAVLWGTLVEHLNEEEEEEVLIERALEESASEFESSNYNMVPAKESSVKKMLKRVRVEAVECDQKVEEKIKRGRLEAENCVICLEELKVGSEASRMPCSHIFHGDCLEEWLKQSHYCPVCRFEMPTE >EOX98411 pep chromosome:Theobroma_cacao_20110822:2:5705340:5708137:-1 gene:TCM_007181 transcript:EOX98411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMLNNKILFLSALLCIAVAGVLGQAPSNPPTSTPAPPTPPASTPPPTTQPPPTPTSTPPPASSPPPTSSPPPVTASPPPVSTPPPSSPPPATPPPVSSPPPASPPPATPPPASPPPASPPPATPPPATPPPATSPPAPLASPPAAVPAPAPSKKKAKSPAPSPLSSPPAPPTEAPAPSLGASSPGPAGTDVSSWVISTVNDDPTVNDDPIQWSGENVVRTQDGRELGVWMGSPQLDALDKICSCLAMFHSSVYLLLALPYSIIGCSP >EOX97972 pep chromosome:Theobroma_cacao_20110822:2:4117625:4122423:-1 gene:TCM_006851 transcript:EOX97972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDTFDIKLEKRNAILKHRQLYNIANLLRFVEFCVVLVLISRFTTQLPVAVKNSGRYFRGLSVVLVSPRFVFIVGNVIVITLFAKAGQFSAQDSTAKSSGTDLYQEFVEKSEKSQAIHRFGIEHIEKQSKKSVVEEKIVSLNVHTSKGTKQYKRSQSENLKRVNCNEASQQLRRLESEKYRKHNDSDQTMVKSSYPEDGMSSEQFRDAVEAFIARQKKLLREEEYTVIWQDLE >EOX96981 pep chromosome:Theobroma_cacao_20110822:2:794099:800964:1 gene:TCM_006100 transcript:EOX96981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLFRKLLQDSDLSETLSFPTESLKAFNLPDGADPSMEFEAEDAMGHPWQFQLTIQPHGPDRLHPEPTLSSGWFHFVSQKGLRAGDEVAFYKKEDGSRGPPFKIEVQKVNTFSNPDIQPTVQYIENGKDPNGKQGVASDIQSSEPEPDDRTVTSAVITTSSGKMQSSDSKLPNLRFRQQARRKVHCDFFYQNRKKFKQNKEVRKKCKVLSRKKDALLLLLSYPMVMMDKDQSEKWIAESQKIMRDVKSNEENLFGEEAEEWQKRLQGLSARVQKQLDVPLTDDVEGVLPGDIVEKLFMSAEANIGPQLELDRNRNLVSGETSESTVSSTHCEREVTGEQDKTQLMASTSYADQVEEVELIAHEALPVPEEVFDVAVDFAVRQTLDCIKRGDINRIRITGRNEQRVIEAIKHHQDVAPEFRYILGFTLPEHQSSAEVHEVIDRQLDLRLSRCSGSINLAPEFKLSDNLQSLGIFLLLEDSYKKMNFDNLSLPLQINLNDLDNHLHPSVMIFNSKMAADLEIRMEDHLLPWEVFCRNAGEVLLSPTIRQIAARIVKECRGNLQAILLMARSLKTVTDDVSLWELAVQKLSRQPTSEVEDIDYVMVNALTFIWERMNNKTRHCIKLCTWYPMGEKIDRASLICHWIQDCLVDTYDEGNHILQSLVDAFLVDNLPALDCVQLRREIYDVLVKVLIPRMSPVFLMLGSLRLTEPPKDEEWDAKEIHLMDNELSELPESPKCPSLIALYLQKNLDLMAVPSSFFKHMPNLQILDLSHTSLKSLPESLSSLVTLRELILKGCELLMELPRYVGELRNLEKLDLDKTEIIDLPAEVGNLSKLKFLRVSFYGFMNCSKTKLCRDTIIHPGTISKLSELTELNIDVNPDDERWNATVQAVIEEACSLKALRQLNLHLPNIQILQKRRAGSTSLVHYPLPRFRFTVGYHKQQVISRVPEEAEAHFKNGEKCLKFVKGRDIPAEMKMALNHSTAFFLDGHATARSLSDFGLENIKQLKCCLLADCNEIQTIIDCEEAHEEHDSLEKLQYLNVYCMKNLQSIWRRPVRKNCLASLKFLAVHACPKLTTLFLPDLLANLVLLEELIVEKCPQLTSLVNLTDHSFCNPATDCFLPSLKKISLLYLPELVSISSGLCIASELESVGIYNCPKLESLSTVEISSENLRVIKGESKWWEALKWKESEWGNRLDYLNGIFSPIIRENDIKTQLAAEGLVELSFNQ >EOX98600 pep chromosome:Theobroma_cacao_20110822:2:6327635:6328624:1 gene:TCM_007311 transcript:EOX98600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTDYKYGYSYPPPGSYQVPPPVMAPPQYYAEPPPPKREPSFLEGLYVLCNHSSHPDLENPVGSMESDMVVLFLVYHFAALQLCVVAVSWMTAAATPL >EOY01013 pep chromosome:Theobroma_cacao_20110822:2:36703937:36708535:1 gene:TCM_010930 transcript:EOY01013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDEQGLLAFAMAIYGLVVFPKVLGHVEVSVIDFFDQVTRSINPAPSILAETFRSLNFCRRKGEGRFIGCAQLLTIWIKSHFECKESKFRKLYLSVSCPILEFCESEWPDYKRKEEWVARLRRLMSIEVTWRAPWMPRMQVMYKCGDKPWVPLMGPWGAISYAPIMVRRQFGSEQFVPMTHQLDQLEFTYGEPETLKRIEEIAQDWKKTCRVDQGRVTDEVTTGYHTWHDQRVKNVIHPPKNPSKHPVNPEPQDVLLESELTRKRLEKEMMNMKRRHEDELEEVKKETARKVRVALKERDEWQSKFEEVSVANSSLLARIQELQSANNALQHEVRRQGQTIQELKNDCDMLETAMEGHKAQARVMADKTEELRREILPKDELSERLINHLKMVRDQYDKNKIPEKQILHRYNTRARSKIMGDEHSERMDKIEKKQEEIMGQLSKILELISTDKGKKATGSSGTPEDVQQTETNTDPVYPPGFTPPPARNVSIPMPSVGQYPFFRMPIGPPPTYAQQRPIGGASPSDPISVPDLDDPKEQEKLKCGSVESKDNPDTHKKFDLFEERLRMVEGMGMYCSMDAIELCLVPDVVIPPKFKVPDFEKYDGTKCPVTHITMYCRRMAAYAHDDKLLIHCFQDSLTGAAAKWYVQLDRNRIHTWKNLARAFVAQYKHITDMASDRLSLQNMEKKTTESFKEYAQRWRNVASQVQPPLTEKETTVMFVNTLRAPYYERLVGSATKNFVDMVISGEMIETAIKQGKIEGAVDRCQGSAAGTRGRRAERRNREGERRSRGTSRREYQLQIGAKDLLPAQEEEELSGGIEKERGGAAVPADEKGGRARSG >EOX99411 pep chromosome:Theobroma_cacao_20110822:2:11037012:11037510:1 gene:TCM_008092 transcript:EOX99411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNLNQSKTLVNFLIVLAIALFLLGGSQYHARPLSMAKVSRFPTQNTFDSSFRTLGTMLPKGQPVPPSGPSPLIN >EOY00245 pep chromosome:Theobroma_cacao_20110822:2:30414215:30415611:-1 gene:TCM_010072 transcript:EOY00245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPQNRSTDNIQFTKFLKQRSSRGEMILFGISVTTSLLDCCSSLEARFRLDNFHVPRSLVVLMLYFKHFCGQTSKVLSTCLCTPRKDCILEFREGSYAYRYRLQIS >EOX99360 pep chromosome:Theobroma_cacao_20110822:2:10388732:10390057:1 gene:TCM_007995 transcript:EOX99360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCHGLWLGGESLDTGKGLYPRTENMSISTPNTVCMMMMMGCENEVRYSEKLSVHFANLSGDCWNLDRGVPVVHLLGTCLAFDSNPFYCKCLRWKSWVILYCEYNLTM >EOX97320 pep chromosome:Theobroma_cacao_20110822:2:1977136:1979914:1 gene:TCM_006385 transcript:EOX97320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, putative MSGDSPAKMPESVIGEGILGLLKPLNRDEILEVLSQGFCQHCELLLEERIQGVLNKRERKTSGLYSSENASESVIKSPHQEATGALNAIPPPNSVSSKQLARTFTNSDILLNDGLGGTSSAIDNGLSEEQKEQIRFSQVGRKKDFFHMEKINGKATNVLKGLELHTKVFNAEEQKKIVECVYSLQRMGQKGQLRERTYSEPRKWMRGKGRVTMQFGCCYNYAVDKNGNPPGIMRDEEVGPLPPLFKQMIKRMVRWHILPPTCVPNSCIVNIYDEGDCIPPHIDHHDFLRPFCTVSFLTESNILFGSSLKIVSPGEFSGPVSIPLPVGSVLILNGNGADIAKHCVPGVPGKRISITFRRMDDSKLPYKFSSDPELLGIKPLIFSPSAKSAPQGHHQRPVIHSSFVKAVVQQNQHRNDQRVRDKAEESAKTTKNDSFLAGKNDFPPLGRVNKFGSRQ >EOY00515 pep chromosome:Theobroma_cacao_20110822:2:33179652:33181113:1 gene:TCM_010399 transcript:EOY00515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGEEGMTELRTQVLRMEGFFTGEKDRGRPRKKPEREKEGNDAGSSPRSIGLGCCCTLNLLELSV >EOX99240 pep chromosome:Theobroma_cacao_20110822:2:9346681:9347844:1 gene:TCM_007843 transcript:EOX99240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLISCAHTFYVGVFSLRKMSKCVSFYERTLGMVVATRGELFFMGCSVVSGVLCFQFLGFLIGMGFLLKLLHSTPR >EOX99815 pep chromosome:Theobroma_cacao_20110822:2:16850142:16854127:1 gene:TCM_008770 transcript:EOX99815 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP dependent CoA ligase, putative MITTLLQFHIPLKHRPVSFLMLISIMGTPTAIQHLEDNGEHYFGSKYPSVPVPDNLTLPEFVLQDAELYADKVAFVEAVSGKAYTYRDVVRDTGRFGKALRSIGLKKGDVVIVLLPNIAEYGIVALGIMAAGGVFSGANPASHASEIKKQADAANAKMLVTNGPNYEKVKGLELPVIVLGEERIESAMNWDELLKAAENAGEGTRFTKEEVVQSDLCALPFSSGTTGVSKGVMLTHRNLVANLCSSLFSVGQELVGQVTTLGLIPFFHIYGITGICCATLRNKGKVVIMNRFDLRTFLNALITQEVTFAPVVPPIILALVKNPIVEEFDLSKLKLRAILTAAAPLAPELLTAFEKKFPGVQVQEAYGLTEHSCITLTHGDPIKGHGIAKKNSVGFILPNLEIKFIDPDTGRSLPKNTPGELCVRSQCVMQGYYKNKEETDRTIDKNGWLHTGDIAYIDDDGDIFIVDRIKELIKYKGFQVAPAELEAILLTHPSVEDAAVVPLPDEEAGEIPAACVVMSPNATESETDIMDYVASSVAHYKKVRVLQFVETIPKSPSGKIMRRLLKEKMMEKMGKNIPTANATLPT >EOY00567 pep chromosome:Theobroma_cacao_20110822:2:33577579:33579130:-1 gene:TCM_010462 transcript:EOY00567 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding family protein, putative MEKLFLLIVTMTAAVSYPSAKCFVLISAYTIESPQFRVVHLESDFEVRLYEEMSWMSALVYGTSFENSTRDGFHRLYQYIHGDNLNATQFLMTAPVLTSVTPSAHGSAYIVRYYLPPTFDQTSPPQPSAELNLQLDKWESHCIAVRMFPGYARDDNVDKEKDALLSSLGKHLPGLKQAAENNYNYSIAQYNASKHPTGRINEVWMDVSGFAAEGCPV >EOY01820 pep chromosome:Theobroma_cacao_20110822:2:41023758:41026752:-1 gene:TCM_011628 transcript:EOY01820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATLPSPPVHIDLWTILSESKRIINAHSRHFLALSVLFLLPLSFSISAYPAINQLVSRPSPLTVETHLSFFNPIQQQPPNFPVKTLIFFFLYTLFTVIFSLFATGSITYSVFHGFYGRPVKLVSAIKSAFTSFFLLLSTCVISELIVSGFLLILALIFFSLVKAIQLLGFQLDVSSPYFISLCLVFVISFIFIVVYLRVNWTFAYVVVVVESSWGLEPLKRSKNLVKGMKGVAFSMILFFGFVSGIFSWGSAVRWGDADADNWRSWAFVLDIVVTSTALMMLMLYNLAASTVFYMYSKAIHGELAGEIAEEFAREYVSLPFDDGKIPHVVSVV >EOX98712 pep chromosome:Theobroma_cacao_20110822:2:6815239:6816694:-1 gene:TCM_007410 transcript:EOX98712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease 3 isoform 2 MRKGLKRSLLVLNCALLAFGNVGGPLIMKLYFLKGGKGVWTSSCLETAGWPFMVMPLTFSYLYRRRKEGPGTELFFIKPPLFLASAVIGLLTGVDDFLYAYGVARLPVSTLALIISTQLAFTVAFAFLLVKQKFTSFTINSVFLLNIGAVVLALHTSSDHPANESNRQYCSGFFMTLAASALYGFVLPAIELTYKKAKQTISYSLVIEMQMVMSFSATVFCTIGMLFHKEVEAIPREASKFELGQSTYYLVILLSAILWQFFFMGAVGVIFSGSSLLSGIIIAALLPVTESLAVLFFHEKFQVEKAISVVLSLLGSLSYFYGELQKNKKNQASDTAMA >EOX98713 pep chromosome:Theobroma_cacao_20110822:2:6805275:6816734:-1 gene:TCM_007410 transcript:EOX98713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease 3 isoform 2 MRKGLKRSLLVLNCALLAFGNVGGPLIMKLYFLKGGKGVWTSSCLETAGWPFMVMPLTFSYLYRRRKEGPGTELFFIKPPLFLASAVIGLLTGVDDFLYAYGVARLPVSTLALIISTQLAFTVAFAFLLVKQKFTSFTINSVFLLNIGAVVLALHTSSDHPANESNRQYCSGFFMTLAASALYGFVLPAIELTYKKAKQTISYSLVIEMQMVMSFSATVFCTIGMLFHKEVEAIPREASKFELGQSTYYLVILLSAILWQFFFMGAVGVIFSGSSLLSGIIIAALLPVTESLAVLFFHEKFQVEKAISVVLSLWGSLSYFYGELQKNKKNQASERAMA >EOX97808 pep chromosome:Theobroma_cacao_20110822:2:3546289:3546892:1 gene:TCM_006741 transcript:EOX97808 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MGFRLPRIVSAKQSLKRTLSFSETTPVPKGHFAVYVGEAEKKRFVVPISFLKDPSFQNLLSQAEEEYGFYHPRGALTIPCSEEAFIDLTCSLQSS >EOY00808 pep chromosome:Theobroma_cacao_20110822:2:35333994:35342484:-1 gene:TCM_010744 transcript:EOY00808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zincin-like metalloproteases family protein MESEGNSEKKMSSKPRKGSNIVSLTGAAALLTLAVSLAITAINNRRNSKKKDLPGCNVRVNLSASEILKLADRIIAKSKEVHDAVASVPLDKVTYKNVILPLAELEAQQFPLVQSCVVPKLVSPFDKLRKASAEAEKKIDAQVSSCSKREDVYRVVKAFAAKGEWMGPEAKRYVQCLIRDFERNGLNLTATKTEEVQRLRAQIDELSLQYVQNLNDDTTSLLFHENELAGLSTEFLKTLEKMENGMFKVTLKSHHVAVVMELCKVGRTRRTVAMAYGKRCAKVNLSVLEDLVQVRHKFARLLGYSNYADYALNLRMAKTSSKVLEFLEDISSSLSDLANKELAVLKELKKQEEGELPFGVEDLLYYVKKVEQQEFDMDLGALKQYFPVNLVLSGIFKIFQDLFGLRFEEIADADVWNGDVRVFSVFDLRSGELFGYFYLDVFTREGKYGQTCVVALQNGSVAFSGARQIPVALLISQLQKDSSGIPGLLRFSEVVSLFHEFGHVVQHLCNRASFARFSGLRVDPDFVEIPAQVLENWCYESFSLKLISGFHQDITKPIKDEICKSLKRWRYSFSALKLKQEVLYCLFDQIIHSAENVDIVELFKHLHPKVMLGLPMLEGTNPASCFPRCAIGYEAACYSRIWSEVFAADIFTSKFRDGLLNQYAGMQFRDKVLAPGGAKDPVEILSDFLGREPSVQTFIDNKIEYSL >EOX97549 pep chromosome:Theobroma_cacao_20110822:2:2629399:2637642:1 gene:TCM_006538 transcript:EOX97549 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II-associated protein 1, putative MEQKQEQQNGNNSKRNERKGGSLKMFGGTSINGDDASSLVGSIIEKGIVSSNNDISKPIKPPQPSVLPFPVARHRSYGPHWTPRSNRNIDEEDEVDDKDESGFASFDPRSIFAEPVQRKEKKGLDLNLWKELMQSDDSSKSKGRETNKSRLGKTESQRMDGEAMKTVGKKSTLSDSLGAHADVVVSMQVDAESHLNGHRPLTKTEEAMRSESSVSSVSEMDLDDSLQLYLQENVKDANSDNFSRESRLMAIDGQVGAKRMFHNDSTNVQFGRTEKIDHAQTMVPKQFHNFGNEQGSMSLESEIDAENRTRLENMSSEEIAQAQAEIMEKMDPALLNLLKKRGQEKLKKQKGASSSLVANIERDITSENQSSNAINSPNTESSNSQMVTTSSNITKSGLDNGLGQNLGPMNGSLWNAWRQRVEAVRNLRFSLDGTVVENDFFQIPETSGDNVAERDILRTEGDPGAAGYTIKEAVALSRSTIPGQRALALHLLASVLYKALHNIYLNPVGSTLANNNKVDNAVDWEAVWAFALGPEPELILSLRMSLDDNHNSVVLASAKVIQCILSCDLNENFFDFLEKTSIDAKDTYTAPIFRSKPEIDVGFLHGGYWKYSAKPSNILLYGDDIVEDETQGKQTIQDDIVVAGQDFTAGLVRMGVLPRIRYLLEIEPAAPLEECMISILIAIARHSPMCANAIMKCQRLVQTVVHRFAANNNVEVYPSKIKSVCLLKVLAQSDRKNCAQFIENGIFQAMTWHLYQNAYSLEQWLKLGRENCKLSSALMVEQLRFWKVCIQNGYCVSYFSNIFPALCLWLNPPTIEKLVENNVLSEYASVSEEAYLVLESLARTLPNFYSQKCLSDRIPKGADDDVETWSWSHVGPMVDLAMKWISFKSSLIDSQNGMKGNSLFCDKSFSPLLWVYSAVMHMLSRVLGRVIPEDTISLQEDGGHMPWLPDFVPKVGLEIIRNGFLSFKCVNSAEYGTNWAGCSSFIEQLCSSRQQSEFETSLASVCCLHGFFQVFIFINNLIQLAKAGICNPSQVRRFSQEENILARGILMESLFELRCVFSIFSKCVASEWYFMQSVEIFGRGGPAPGVGLGWGSSGGGFWSKTNLLAQTDARLLSQLLEIFQIVSIEVLPLTEERTFTMQMIHSALELCLIAGPRDKVIVEKALDVMLQVPMFKFLDLCIQRFIQGNGRMKLYGWEYKEDDYMLLGKALASHFRNRWLSNKKKSKALSGDRTSKGRVSLETIPEDTDTSNMMCQDHSSTLLVTEWAHQRLPLPMHWFLSPISTLCDSKHAGLGRVSDIQNFMQDPSDILEVVKAGMFFLLGLEAMSTFISKDVASPVQSVPLIWKLHSLSIILLIGMAVLEEEKSRDVYESLQEIFGQLLDKTRSKRRPETILNMSISLLPETGKKYDGEFLRFQTEIHESYSTFIDTLVEQYAAVSFGDLIYGRQVAVYLHRCVEAPVRLAAWNALSNSRVLELLPPLQKCLGEAEGYLEPVEENEGILEAYAKSWVSGALDRAATRGSIAFTLVLHHLSSFVFNSHKSEKLLLRNKLVKSLLRDYSRKKQHEGMMLEFIQNTKPSAILLAEKREGLSLQRSNVEERLEILKEACEGNPSLLKEVEKLKVLL >EOY00156 pep chromosome:Theobroma_cacao_20110822:2:27419793:27421531:1 gene:TCM_009775 transcript:EOY00156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNYCYHCYHVGHKEADCMVLGNKPKPRSGKPQPKGNNTVPLIGKNVGFEDDSKKILKKRKNLEKKNLENKKNSMLGRTNETISKVASNKQSGCQ >EOY00064 pep chromosome:Theobroma_cacao_20110822:2:24524983:24529907:1 gene:TCM_009498 transcript:EOY00064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQPQCGEFDLACNLNALPIFHSPSCQQLYNHSSQYSTIRRLQNIVLSLPLFFHLEPIMHPWVWWLACHQFSSNQADICCHKTFLLVINSFLRIAHAKNKNPYLSLLESQN >EOX99902 pep chromosome:Theobroma_cacao_20110822:2:18002911:18004579:1 gene:TCM_008896 transcript:EOX99902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSIYDSKYKCYHSHVLSLSCIFCPYNIYIYIYIYRERIDENVLPSKSAEKFPPSTNLRHHHPITLHSQLVQNKYPVSPHTTPRIPYRIQKNLITKINPNQEILTQKPQDILFLPNKIFPIARSKKEKT >EOX97788 pep chromosome:Theobroma_cacao_20110822:2:3448228:3457953:1 gene:TCM_006720 transcript:EOX97788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MDSNTVFFSSKFQTPTRPPSSPLRSCTNRPLISRQFLGFNHTLRPPGGASSLRKKNKTLGFLRLHSPRFIVRASIDSNLVLVVIGVTALSALSLACYNRFFRKIGSSKTVSGSSHSALPQQRLGKDGAVQTAESQVLDIGDLKKENFAKGKDDLKEEIKEATYASESKEALLQFQETTVANDDSLLHKTSDSSGADCLAVTANGFDVSEESGATDLPLPPTVLLESGAVEPLMFAAEMSELHLEEVERVNEFEADLPRLAVEPESSASSVLVKDAHVLVGEGEVTRHYDIFKESVREELHTFYEADQLVAKSSTNLNGLKPASSRVFSPNSNSFSSLMQNSELKRAQLSSKNCLQTADMAEGKVAQACSNRVSSHKRQDFGRGREIPRDKGKRHSIQEKNTKLPKFPFPNGMLADNKHRPEDHFRSYNRLLRDGRLSDCVDLLEDMEQRGLLDMNKVYHAKFFKICNRQKAVKEAFCFTKLIPNPTLSTFNMLMSVCASSQDSDGAFEVLRIVQEAGFKADCKLYTTLISTCAKSGKVDTMFEVFHEMVNSGVEPNVNTYGALIDGCARAGQVAKAFGAYGIMRSKNVKPDRVVFNALITACGQSGAVDRAFDVLAEMMAETQPIDPDHVTVGALIKACSNADQVDRAREVYKMIHEFSIKGTPEVYTIAVNCCSQTGDWEFACSVYSDMKGKGVAPDEVFISALIDVAGHAGKLDAAFEILEEAKNQGINVGIVSYSSLMGACSNARNWQKALELYENIKAVKLNLTVSTVNALITSLCEADQLPKAMEILSEMEELGLCPNTVTYSILLVASERKDDLEVGLMLLSQARKDGVAPNLIMARCIIGMCLRRFEKACKVGEPVLSFNSGQPHIENKWTSVALAVYRETIVAGTAPTMDVISQILGCLQLPRDDSLKSRLVENLDVSADATRCSSLSSLIDGFGEYDPRAFSLLEEAASFGIVPCVSFKESPIVVDARELQINMAEVYLLTILKGLKHRRAAGAKLPSISVLLPLEKTQVLTPEREKSINLAGRIGQAIAALLRRIGLPYQGNESFGKIRINGLALKRWFQPKLASPFTGKPGEWNASQMRLGKGISHQQRNIRTGNLSLD >EOX99736 pep chromosome:Theobroma_cacao_20110822:2:15290303:15291161:-1 gene:TCM_008599 transcript:EOX99736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERTWLRKGRRFAELAIRCYAQSEKSLFTLRFFFSMFTGDRTLLHLCGRVACGRPDNQTSLPPSVTPCGCHVHDK >EOY01754 pep chromosome:Theobroma_cacao_20110822:2:40819568:40822430:1 gene:TCM_011581 transcript:EOY01754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble N-ethylmaleimide-sensitive factor adaptor protein 30 isoform 1 MFGFMRPRQKSVDPPAASGGSTPFDSDTEDNRNTTTPARRAASEPVLLVPDFNDDDHGRRKQTSASTRPSPARDRDRYKNDFRDSGGLQNQTMEDLENYAVYKAEETTSTVNNCLKIAENIREDATRTLDTLHQQGEQIERTHAMAVDIDKDLSKGEKLLNSLGGMFSKPWKPKKTREIAGPVVTSAKSSKKSENQKEQREKLGLAPVPKAKPGSKTPPPEPTNAIQKVELEKAKQDDALSDLSNILGDLKGMAVEMGSELDRQNKALDHLHDDVDELNSRVKGANQRARNLLAK >EOY01753 pep chromosome:Theobroma_cacao_20110822:2:40819561:40822442:1 gene:TCM_011581 transcript:EOY01753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble N-ethylmaleimide-sensitive factor adaptor protein 30 isoform 1 MFGFMRPRQKSVDPPAASGGSTPFDSDTEDNRNTTTPARRAASEPVLLVPDFNDDDHGRRKQTSASTRPSPARDRDRYKNDFRDSGGLQNQTMEDLENYAVYKAEETTSTVNNCLKIAENIREDATRTLDTLHQQGEQIERTHAMAVDIDKDLRKCILLMLISLAIHTYKAVGKHVALYLGRWVIDTYSLLGEKLLNSLGGMFSKPWKPKKTREIAGPVVTSAKSSKKSENQKEQREKLGLAPVPKAKPGSKTPPPEPTNAIQKVELEKAKQDDALSDLSNILGDLKGMAVEMGSELDRQNKALDHLHDDVDELNSRVKGANQRARNLLAK >EOX97628 pep chromosome:Theobroma_cacao_20110822:2:2903970:2905519:1 gene:TCM_006601 transcript:EOX97628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Blue copper-like protein MVFSKPSNISIIFIDFKAMEDKTVRYGSLFPIILMICFSFLKGATSEVYSVGDEEGWNSEVDYVSWSQKYNFSVGDVLEFKYNKGQHNAFEVTEATYRSCDTSSGVLARHETGDDKVNLTESKKYWFVCNVGGHCLGGMRFGIDIKAGNTSTNLGPTPSANSGSTYALERWSLSLCLFASGILLSVFC >EOX98861 pep chromosome:Theobroma_cacao_20110822:2:7560762:7564045:1 gene:TCM_007539 transcript:EOX98861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSFYKESNKLNVLNSNIPKLMIPARIRANYYSSPENLIKYLRSNSLSSSGNSSSGKSSFRSSLSPQSDKTPVNVVEEDVLVMDGVLVASDTNIVGPGSPSSGSVGFYKSEVCRAWEEFGHCRFGSRCQFMHGKEEERPTCFPFRSKSEAQMYKSYASTISGTYGSKSRLLHPVIETAATTAQRDTSTRPHYTSQSSTDPIKPEETIIHSISTAQLENTHLTANFTMKPMTNKTSTSIIRADAGATTFTNGTYWSPQDDGIDVTLPSLPGKTPSREDVHAYIDGVLYGPATKRRLPVFSAICPE >EOY01967 pep chromosome:Theobroma_cacao_20110822:2:41547381:41548320:1 gene:TCM_011746 transcript:EOY01967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEQQTPVKRQRDETQSSQGSEEHVVEESKRHKSYNHILSLLEAEEDEPNQDLSSLITTLQQELSSDSVLDDPLPCPTATTLGADRENQLTRAAGTNTTTTTTTTTLEDYTSATSSLKEDEDQDDKERVIRHLLEASDDELGIPNREGGDGLGVEVVEYEERFNNVGNGFAFSDGLWELEDEAANYYTLLQSELFM >EOY00067 pep chromosome:Theobroma_cacao_20110822:2:24738084:24752386:1 gene:TCM_009512 transcript:EOY00067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative MKMSYANVAAVCVVLVLLLGKAQVSMGVTCNALQLSPCASAITSSTPPSPLCCSKLKEQRPCLCNYVKDPNLQKLVNSPNARKVASICGSPFPNC >EOX97080 pep chromosome:Theobroma_cacao_20110822:2:1110076:1111563:-1 gene:TCM_006181 transcript:EOX97080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein MGNVGEIEEVQWLSSTSTKLPLLSLNHVSFVCKSVSRSVRFYEEVLGFVLIKRPSSFKFEGAWLFNYGIGIHLLESESVPTKKEKINPKDNHISFQCSDMNLVMQKLEEMNIEYVTAVVEEGGIKVDQLFFHDPDGYMVEICNCQNLPVLPLSSCPLKLPNPSSNHAVSSIYGKQSREPPCSWVAATMMENLVVDMLDISF >EOX98483 pep chromosome:Theobroma_cacao_20110822:2:5921286:5925222:1 gene:TCM_007233 transcript:EOX98483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 10 protein MKIELENNLIIFLILCTLHLSGFEANALSYDYTASIECLENPHKPLYSGGIILNPELNVGLEGWHAFGDAKLEHRELAGNKFVVARGRNQPSDSVSQKLYLQKEMLYSFSAWIQVSEGNAPVAAVFKAATGFKHAGAVVAESNCWSMLKGGFTPDASGPAELYFESKNRSVEIWVDSISLQPFTQEEWKSHQDRSIKKIRKANVRIQAVDKQGNPLPNAKISIEQKKPGFPVGCAINKNILTNTAYQNWFTSRFKVTTFEDEMKWYSTEVSPGHEDYSPADALLNFAKKHNIAVRGHNVIWDDPKFQPGWIYSLSPAALSMAVDKRINSVMSRYKGQLIAWDVVNENLHFSFFESKLGKQASAKFYKLAHLVDGTVPLFLNDYNTIEDSRDGKSAAAKYLQKLREIKAFPGNSNSRMGIGLEGHFSTPNLPYMRASIDTLAATGLPIWLTELDVQSSPNQAKYLEQVLREAHSHPKVDGIVMWAAWKPQGCYRMCLTDNSFKNLPTGDVVDKLLQQWDSKALLGFTDSDGFFEASLFHGDYEVNVTHPSSLAHSFMVVSTNASLQSPLIFQVSV >EOX99280 pep chromosome:Theobroma_cacao_20110822:2:9815830:9873682:-1 gene:TCM_007901 transcript:EOX99280 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase MIPNIDELKNEEEVDDYSLPPDLSRMLEQEENEILPHQELTEMINLGNGEEKREVKIGTSLSSDERQKLEELLREYVDVFAWSYQDMPGLNTDMVVHKLPLEPDCKPIKQKLRRMKPEMLLKIKEEVKRQFDAGFLEVAKYPEWVANIVPVPKKDGKGFEQSESEG >EOX99766 pep chromosome:Theobroma_cacao_20110822:2:15792068:15800020:1 gene:TCM_008656 transcript:EOX99766 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primase, large subunit family isoform 1 MQVVRCHRISSSNDVVVSTLPLYRSAPPLEVRLEDFELFAVDRLRVLKGISDGLSRGKKPEEIAKLAMDLWKANMRYPQATEDVNKDNISHFVLRLVYCRTEELRKWFLSMETALFRYRFHSESSEAQRALMSEFNLPYKAVSNAEFESVKDKLVQVARSMGQTLLPADAIFYKVPFEEVSELVAGRRVFIHKGHAYVAMNQVVSIVVTQFRSHLSKALILTNRKWTSTIREQEKDRLTPIVEALCTSYLGPDYSQPKEFAEISIKDIDQIAKTSFPLCMRHLFEKVREDHHLKHGGRMQLGLFLKGVGLKVDDALAFWKAEFAQKVVGAERFDKEYAYNIRHNYGREGKRTDYTPYSCQKVILSTPGVGDHHGCPYRHFSEENLRAALARMGVGSRIVEDVIDKARNRHYQLACTLTFEAVHGSSCDAGINHPNQYFIESQKILQSKNGSMAQ >EOX99765 pep chromosome:Theobroma_cacao_20110822:2:15792060:15801010:1 gene:TCM_008656 transcript:EOX99765 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primase, large subunit family isoform 1 MQVVRCHRISSSNDVVVSTLPLYRSAPPLEVRLEDFELFAVDRLRVLKGISDGLSRGKKPEEIAKLAMDLWKANMRYPQATEDVNKDNISHFVLRLVYCRTEELRKWFLSMETALFRYRFHSESSEAQRALMSEFNLPYKAVSNAEFESVKDKLVQVARSMGQTLLPADAIFYKVPFEEVSELVAGRRVFIHKGHAYVAMNQVVSIVVTQFRSHLSKALILTNRKWTSTIREQEKDRLTPIVEALCTSYLGPDYSQPKEFAEISIKDIDQIAKTSFPLCMRHLFEKVREDHHLKHGGRMQLGLFLKGVGLKVDDALAFWKAEFAQKVGAERFDKEYAYNIRHNYGREGKRTDYTPYSCQKVILSTPGVGDHHGCPYRHFSEENLRAALARMGVGSRIVEDVIDKARNRHYQLACTLTFEAVHGSSCDAGINHPNQYFIESQKILQSKNGSMAQ >EOY01848 pep chromosome:Theobroma_cacao_20110822:2:41130592:41134448:-1 gene:TCM_011653 transcript:EOY01848 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS (ERD1/XPR1/SYG1) family protein MRLLIHKSSGFSKLSNSNICSLSRQVLSHLMKRIRKQMVPEWTEAYVDYNVLKSILGELLHHKLSKQPATPLKSLQKKLSLRRTLSGLHLHPSNLMNKGDVEDQVTGVDRLQKDDSGQFYRTEFLGQSGEGGEIEVEFFRTLDEEFNKVNTFYKQKIEAVMDKAALLNKRMDALIALRMRVQSCGGNGDSLREHQPADISTRMPLKETTQGHCRTNIIVKTSFATVVAIVTGRKTTAKTYISVFRRGEAALVAFLFKYIEATSPYIPFLYAKCAGMGFEDSSYEVEMRGRSALEESSNFRSGGENIDVILQEINQDEESTSGPEFNPFRNIQKSNGYQVVGNSSASQQDPLEILERVKINNTLESPLSTIKGLFKDSKDDELCFEKDELRKVEKRLRKVFIEFYQKLQLLKHYSFMNLTALSKIMKTYEKIASRRAARPYMKRVKNSYIGSCDEVNNLLERVEAIFVKHFSNANIQEGMKSLRPKSKKEKHSVTFGSGFFSGFSIALLIAVVLRMETRKLTHKEGASYMVNVFPLYRQ >EOX97712 pep chromosome:Theobroma_cacao_20110822:2:3163262:3165949:1 gene:TCM_006653 transcript:EOX97712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 10 protein isoform 2 MRSLIILVLNSLGQDPRRQFASSSLQPFTDQEWRINQQYIINTQRKRAATIHVSDQQGNRLQGAEITVDQVSKDFPFGSAIASTILGNLPYQSWFVERFNAAVFENELKWYATEPDQGKTNYTIADQMLEFVRAHQIIARGHNIFWEDPKYTPAWVRNLAGPELQSAVNSRIQSLMSKYKEEFIHWDVSNEMLHFDFYEQRLGPDATLHFYETAHQSDPLATLFMNEFNVVETCSDVKSTVDTYIERIRDLKQGGMYMDGIGLESHFTVPNPALMRAVLDKLATLGLPIWLTEVDISSSVGEELQAIYLEQVLREGFSHPSVNGIMLWTALHPKGCYEMCLTDKNFKNLPAGEVVDNLLKEWQTGEINGQTDEHGSYSFYGFLGEYKVNVSSGNRSANSTFSLCRSDETKHFSIQL >EOX97711 pep chromosome:Theobroma_cacao_20110822:2:3162307:3166660:1 gene:TCM_006653 transcript:EOX97711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 10 protein isoform 2 MFYTLQCGNMKHSPIFGGYLAFFFLLSISLAASYDGPAYDFTAYTECKAQPEEPLYQGGILKDQQPVMKRSIISETATGFYTPAFVLKNLTQGSVYCFSTWVRIQGANSALIRASLKTENRTYDCIGTVSAKSGCWSFLKGGFILDSPSNLALLLFQNSDDKDIDITIASSSLQPFTDQEWRINQQYIINTQRKRAATIHVSDQQGNRLQGAEITVDQVSKDFPFGSAIASTILGNLPYQSWFVERFNAAVFENELKWYATEPDQGKTNYTIADQMLEFVRAHQIIARGHNIFWEDPKYTPAWVRNLAGPELQSAVNSRIQSLMSKYKEEFIHWDVSNEMLHFDFYEQRLGPDATLHFYETAHQSDPLATLFMNEFNVVETCSDVKSTVDTYIERIRDLKQGGMYMDGIGLESHFTVPNPALMRAVLDKLATLGLPIWLTEVDISSSVGEELQAIYLEQVLREGFSHPSVNGIMLWTALHPKGCYEMCLTDKNFKNLPAGEVVDNLLKEWQTGEINGQTDEHGSYSFYGFLGEYKVNVSSGNRSANSTFSLCRSDETKHFSIQL >EOX96808 pep chromosome:Theobroma_cacao_20110822:2:84646:86438:1 gene:TCM_005966 transcript:EOX96808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease 4 MNNNTTTQDQFHDSSEQDQKAISNKRYIPLLLINYTCLFVGSVSSSLLSKYYFNHKGTNRWVSTWVQSAGFPLLFLPIFLPYYLFKCTQRKPFSRFTPGILILSIFIGLMLGLNNLLFSWGNSYLPVSTSSLLLSSQLVFNLILSVIIVKQRITFMNLNCVILLTLSSILLALGSSHDRPHDLTRAKYFIGFMATIGAGLLFALYLPIMEMVYKKVYCYAMVMEMQLVMEISATALASVGMALDGGFAEMKRESGEVFDKGEGVYWATVMANLVTWQLCFMGTAGMVFLTCSLTGGICMTALLGMNVLGGVLVYGEDFGGVKAVSTVMCGWGFCSYVYGMYVNNKQLDDDHMQISHATNAPPIEMAQVVTPPSSDPHLRV >EOY00047 pep chromosome:Theobroma_cacao_20110822:2:23702711:23704096:-1 gene:TCM_009419 transcript:EOY00047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERRAAACTAKSLLYHLFCLYLSLNLLCFQSKNETSSRSRNHLIKIIQLQFCNGFFAVFWRSFLSVKCSIYLEGCILQSQC >EOX99273 pep chromosome:Theobroma_cacao_20110822:2:9760042:9782607:-1 gene:TCM_007891 transcript:EOX99273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYAIIEEERLLYLRNNLSRPETPHRAYDNRREASTNILHPECRSKPRKALVLAFALSWRYPTRLSVRVDDGIALKKLCYKLYDSAYLHYNRLYTAQLGNSKTCFDEIGTQEKTTETYDIAAIKFKGTNAVTNFDISRYVVKKICSSSTLTNLKSSQFINLLEQFLEKDEGHLTSSSSKLLDFKKLLDIEFEKVKASSSSSPQNHPLIHQFRQVVWKVNRGNIEEISQADMFLLTHKHKNGELDEESMRILMVEVNVPQLLLEE >EOY01954 pep chromosome:Theobroma_cacao_20110822:2:41479488:41480516:1 gene:TCM_011732 transcript:EOY01954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger-like protein MASATYYYNDDLQHIVYQPLVEPEIFYPTPPFVQIELTITLQFRFRRHYCLTDQFVELDDEGSLFSQETIRFDLRALESHDRIYQILGPILVRLRLNPNARRSFAIIEEIITQGLSIGNSESNKGRQVVPLHALLWGTFVEHVNEEEEEEEEVLIERTLEVSASEFESSNYNMVPAKESSVKKMLKSVRVEAVECDQKVEEKIKKGRLEAENCVICLEELKVGSDASRMPCSHIFHGDCIEKWLKQSHYCPVCRFEMPTE >EOX99093 pep chromosome:Theobroma_cacao_20110822:2:8472338:8484829:1 gene:TCM_007709 transcript:EOX99093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MVMALSTIIKSLQILCKSTKPIKPNSIFIVSYKNLFYSSYQQRPICTKHQNDNFLSSDQINISNAFISILIKQPFSPNNPELQNLVPLLTHKVVEAVVNNLRSWRIAHLFFTWASNQRGYKHNIYSYNAMASILSRARQNALLKALALDVVNSHCSMNPGALGFLIRCLGCVGLVDEANNLFDQVKRSGICIPNSYSYNCLLEALSKSGLIDLVEIRLKEMRGLGLELDIYTLTPVLQVYCNAGKFDKALSVFNEIFERGWLDEHVFSILVVAFSKWGEVDKAIELIDSMEECNVRLNEKTFFVLIHGFVRVSRMDKAICLFDKMRKLGFCPSVSLFDVMIGGLCKRNDLDKALSLYSEMKELGIGTDIGIFTKLISSFSKGGELDRLLEECWEDMNSQTKNLLYNSVLEGLVRSGSIDIAYDLLQAIMGYSSNGDSVIVKYFRDEKEIITLNTNSFTFVINGLLDAGKLDLALTLFRKMVQFGCNQTLLLYNNLIDGLCKLDRLEESYELLGEMKEVGLEPTQFTHNCIFGCLCRREDVEGALDFLRKMRFYGHEPWVKHSTLLVKELCKHGKAVEGYKFLTDMVQEGFLPDIISYSAAMNGLIKIKSVDEGLELFQHICARGYCPDVISYNIVIKALCKVQRVAEAEHLLNEMMLKGLVPSVVTYNYLIDGWCKNGEIDQAMLCLSKMFGKEREANVITYATLVDGLCNLGRPDDALKLWNEMGRKGCAPNRIAYHALINGLCKCGRSSAALVHFNEMKEKNMKPDSYVYIALISAFLSDTNLPSVFDMLKEMVDGGNLPDPLDKNFLIIRDAICKLSEDARTFSSIKDLIAEGRIPDVTLDMNRPTI >EOY00158 pep chromosome:Theobroma_cacao_20110822:2:27547026:27555219:-1 gene:TCM_009788 transcript:EOY00158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNHTYYVNLVKVFYFNATTKFLDYEGNEPVAHIDRCDLFEQHAMDTKINEHAINKRGFVLVNTTWVHKKTINELDKVRDEGNEDTNAEPSVALSADPSVNPNVTLSCPPMSIAFDSEKAFTQLLSYMESMDARVVTKLDIFEV >EOX97656 pep chromosome:Theobroma_cacao_20110822:2:2990666:2993908:1 gene:TCM_006624 transcript:EOX97656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein MADDSEMNPDQVEEEFTVWKKNTPFLYDLVISHPLEWPSLTVHWVPSSPSPYGADPSFSVHKLVLGTHTSGGASDFLMIADAVLPTLAAESDFGAKNDDPVFPKVEITQRMLVDGEVNRARCMPQNPVIIGAKTSGSEVFVFDYTKQAEKDKGGECDPDLRLRGHDKEGYGLSWSPLKEGYLVSGSQDHKICLWDLSAWPQAKVLNAMHVYEAHESVVEDVSWHLKNENIFGSSGDDCQLMIWDLRTNQTQHRVKAHDREINYLSFNPYNEWILATASSDSTVGLFDMRKLNVPLHVLSSHTGEVFQVEWDPNHETVLASSGDDRRLMVWDLNRIGEEQLEIELDADDGPPELLFSHGGHKAKISDFSWNKNEPWVISSVAEDNTLQVWQLAESIYRDDDTQTAEDYP >EOY01580 pep chromosome:Theobroma_cacao_20110822:2:40152544:40153846:-1 gene:TCM_011443 transcript:EOY01580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELLVVITVLCFSFLALQTPCTSLQIQLQSSTEQGAAKGVQLSLPTLPRKLRFTEELVEFKGNGAQHSISNTKQKEDVSGKAYQKEEARVHGSRGTRHEWVEGPDVSQYFTMDYSNVRRRRPIHNKSLPVGP >EOY00106 pep chromosome:Theobroma_cacao_20110822:2:25779328:25780705:-1 gene:TCM_009607 transcript:EOY00106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Response regulator 24, putative MKQISSHELEHNTASAVSTYISSCPKGKTNKMANRIMALVVDDNLLNQRIHSTLLNKHGIETEVMGNGKEAVDAHLSGKKFDLILMDRDMPVMNGIQATRKLREMGVRSIIVGLSSHSSEQVKEFMEAGLNDYQEKPLTLTKLTSILQRWNLNL >EOX98781 pep chromosome:Theobroma_cacao_20110822:2:7206542:7208994:-1 gene:TCM_007477 transcript:EOX98781 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 85A1 MACNNAVADMPHAVCIPYPAQGHINPMLKLAKVLHLKGFHVTFVNTDYNHKRLLRSRGPNSLDGLPDFCFETIPDGLPPSDADATQDILPLFESLSKNCLDPFRDLLYKLNDSASSIVPPVTCIIADDGMSFPMEAAEEFGIPGVRFWTASACLCVCFAQLPRLVEEGLTPVTNAGGLTNEYLDTVIDWMPGMKKMRFRDLPSYFRTTDPNDWMLNYLLDQASLDSKASAFIFNTFDSLEKDALDAISAMFLTPVYSIGPIHLLVDQIGHDKLKHIDSNLWKEETECLKWLDTKEPNSVVYVNFGSVAVLSPQQLVEFAWGLANSKKQFLWIMRPDLVAGEAAILPPEFVSETKDRGMLASWCPQEQVLKHPSIGGFLSHMGWNSTIESISAGVPMLCLPVLADQQTNCRLACTEWGIGMEIDNNVKRDQVEMLVRELMEGDKGVEMKANALEWKKKAAEASRPGGGSFENLDKLLNHVLLSDKHTDHLKRDKNRH >EOX99333 pep chromosome:Theobroma_cacao_20110822:2:10184078:10185327:1 gene:TCM_007965 transcript:EOX99333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferases,folic acid binding MDSAEDIFDSSLNLEETHYQEGYEEGYKHGIATGKEEARQVGLETGFETGEELGFYKGCVDVWSSAIQIDPTRFSTRVQKGIKQMEELIEKYPVVDPENESVQEIMEALRLKFRVIRAALGVKLEYDGYPKPKDIEF >EOX97527 pep chromosome:Theobroma_cacao_20110822:2:2570176:2570802:1 gene:TCM_006522 transcript:EOX97527 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MISTKKLIKLARKWQIMAAIRPKMITSPRPSGVDVNTNNCSTSSPIVEKGHFVAYSADQIRFVLPLEYLRSRIVTELFALAEEEFGLPSVGPLTFPCDAAFIEYVIVLIKQHVTEDVVKALLRSLSGTRCSSSSSNLIQQATHQHQLVRSF >EOX97490 pep chromosome:Theobroma_cacao_20110822:2:2456274:2458759:1 gene:TCM_006489 transcript:EOX97490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASPLNPMASSHARCISLPSRSHPTVPRIEELLCRLRPVNITSLSLSEIDCKLSGLRDLLDSFDKFLLLPQTQQAFSRECNEKWADELLDGLLLLLDVCDTAKDVLSQAKEHVQDLQSMLRRRRADEFEIAKEVGEYLGSRKKAKKLIHKVLKDLKTKRTCSPSDKGNDTLAMANMARQIQGVTLTTLQSLLFYVSGLKPQSKLSSWALVSNLMRSKHVACIEEAVESNEFEKVDAALQILFGHKTKKSSNVRIKNVQTDLGKLELSVEDLEQELECLHRGLIKARVSLLNILNH >EOX98248 pep chromosome:Theobroma_cacao_20110822:2:5053050:5055459:1 gene:TCM_007055 transcript:EOX98248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MASLMAIRCGRSPSPTLSSLLFKVRSNCAHFTFSSHLDRTQVSILNAKSLSTSPIPDEYLMPPVQHHQNQQPPTSSDPRVFHGQQSPNLNLQWTPQNQGYHHPPQQRGGPGNNQFNYQNQGRGYPNQGQGYPNQGQGFPQRESPNQWSSQMNTQMPRSPISKSVEFQQNQSYPQYQNANQMNTQMPRSPNQWNNQNQGYPQGRNFNERAPNSQNPSQLHQESRNQRHVVEHPQPEPVPSLLDLTQLCHDRKVKEAIELMDKGVKADANCFSSLFESIDNPKSLEDAKKVHDYFLQSTCRSDLGLNNKVIEMYAKCASMTDARRVFDHMPDRNMDSWHLMINGYADNGLGDDGLQLFEQMRKLGLKPNEQTFLAVLSACGSAEAIEEGFIHFASMESEYGISPGFEHYMGLIGVLGKSGHLYEAKEYFEKKLPFEPTAEVWEALRDYAQIHGDVDLEDYAEELMVALDPSKAVANNIPTPPPKKRTAISMLDGKNRISEFRNPTLYKDDEKLKALKSMKEGGYVPDTRYVLHDIDQEAKEQALLYHSERLAIAFGLISTPARQTLRIIKNLRVCGDCHNAIKIISRIVGRELIIRDNKRFHHFKDGLCSCGDYW >EOY01459 pep chromosome:Theobroma_cacao_20110822:2:39534809:39540842:-1 gene:TCM_011336 transcript:EOY01459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor isoform 2 MYSTMRHLGFVSLFVLMVWVCQSCLVGCEKPAVVNIGAIFTFNSVIGRAAKPAMEAAVADINANPTILNGTRLNLFMEDANCSVFLGSTEAFQVIEKEVVAIIGPQSSSIAHIISAIANGLQVPQVSYAATDPTLSALQFPFFLRTVQSDSNQMIAMADLIDFYGWKEVIAIYVDDDYGRNGISVLNNELDRRMAKAFYKLPLPAHFAQSDIISLLNNSKLLGPRVFVVHVNPDPQLRIFAFAEKLQMMTSDYVWLATDWLSATIDSFSPMNRTALHSLQGVVGLRQHIPESNQKKDFMSRWRKMQQKGLATSQLNSYGLCAYDTVWTVAHSIDKFINDGNNLTFSSSDKLNDIKTGEMHLEKLKVFDGGDILLKELLQTNFSGLTGQVHFSSDRNIVTSGYDVINIDNMAVHTVGYWSGTFGFSVSPPETLQGTQHGNSEIDQELHSVTWPGGKIERPRGWVIADDERPLRIGVPYRASFVDFVTELHDSHQIVGYCIDVFTEALKLVPYYVPYKFELFGNGRSNPNYGQLVKMVADNVFDAAVGDIAIVKNRTEIVDFSQPYITTGLVIVAPIRNPKSSAWVFLKPFTVDMWCMTAAAFVIIGIVIWILEHRVNDDFRGPPRRQIVTMFMFSFSTLFKTNQEETVSTLGRVVMVVWLFLLMVITSSYTANLTSILTVQQLLSPITGIDSLIANTWPIGYQVGSFAYGYLSENLNIHQSRLVELHSPEEYESALRLGPDNGGVAAIVDELPYVELFLSKHTDFGIIGQPFTKRGWGFAFQRDSVLAVDMSTAILRLSENGMLQEIHKKWLCKMGCPGERRKNYEPNQLHLTSFWGLYLLCGCITLAALLIFLLRMVRQFVRYRRRQMKLCSLSPAVQSTTRCSQVIYNFFNFIDEKEEAIKKMFMQCEINRVSETPMSSTT >EOY01461 pep chromosome:Theobroma_cacao_20110822:2:39534992:39540857:-1 gene:TCM_011336 transcript:EOY01461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor isoform 2 MYSTMRHLGFVSLFVLMVWVCQSCLVGCEKAFQVIEKEVVAIIGPQSSSIAHIISAIANGLQVPQVSYAATDPTLSALQFPFFLRTVQSDSNQMIAMADLIDFYGWKEVIAIYVDDDYGRNGISVLNNELDRRMAKAFYKLPLPAHFAQSDIISLLNNSKLLGPRVFVVHVNPDPQLRIFAFAEKLQMMTSDYVWLATDWLSATIDSFSPMNRTALHSLQGVVGLRQHIPESNQKKDFMSRWRKMQQKGLATSQLNSYGLCAYDTVWTVAHSIDKFINDGNNLTFSSSDKLNDIKTGEMHLEKLKVFDGGDILLKELLQTNFSGLTGQVHFSSDRNIVTSGYDVINIDNMAVHTVGYWSGTFGFSVSPPETLQGTQHGNSEIDQELHSVTWPGGKIERPRGWVIADDERPLRIGVPYRASFVDFVTELHDSHQIVGYCIDVFTEALKLVPYYVPYKFELFGNGRSNPNYGQLVKMVADNVFDAAVGDIAIVKNRTEIVDFSQPYITTGLVIVAPIRNPKSSAWVFLKPFTVDMWCMTAAAFVIIGIVIWILEHRVNDDFRGPPRRQIVTMFMFSFSTLFKTNQEETVSTLGRVVMVVWLFLLMVITSSYTANLTSILTVQQLLSPITGIDSLIANTWPIGYQVGSFAYGYLSENLNIHQSRLVELHSPEEYESALRLGPDNGGVAAIVDELPYVELFLSKHTDFGIIGQPFTKRGWGFVSTFF >EOY01460 pep chromosome:Theobroma_cacao_20110822:2:39536291:39540846:-1 gene:TCM_011336 transcript:EOY01460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor isoform 2 MEAAVADINANPTILNGTRLNLFMEDANCSVFLGSTEAFQVIEKEVVAIIGPQSSSIAHIISAIANGLQVPQVSYAATDPTLSALQFPFFLRTVQSDSNQMIAMADLIDFYGWKEVIAIYVDDDYGRNGISVLNNELDRRMAKAFYKLPLPAHFAQSDIISLLNNSKLLGPRVFVVHVNPDPQLRIFAFAEKLQMMTSDYVWLATDWLSATIDSFSPMNRTALHSLQGVVGLRQHIPESNQKKDFMSRWRKMQQKGLATSQLNSYGLCAYDTVWTVAHSIDKFINDGNNLTFSSSDKLNDIKTGEMHLEKLKVFDGGDILLKELLQTNFSGLTGQVHFSSDRNIVTSGYDVINIDNMAVHTVGYWSGTFGFSVSPPETLQGTQHGNSEIDQELHSVTWPGGKIERPRGWVIADDERPLRIGVPYRASFVDFVTELHDSHQIVGYCIDVFTEALKLVPYYVPYKFELFGNGRSNPNYGQLVKMVADNVFDAAVGDIAIVKNRTEIVDFSQPYITTGLVIVAPIRNPKSSAWVFLKPFTVDMWCMTAAAFVIIGIVIWILEHRVNDDFRGPPRRQIVTMFMFSFSTLFKTNQEETVSTLGRVVMVVWLFLLMVITSSYTANLTSILTVQQLLSPITGIDSLIANTWPIGYQVGSFAYGYLSENLNIHQSRLVELHSPEEYESALRLGPDNGGVAAIVDELPYVELFL >EOY00065 pep chromosome:Theobroma_cacao_20110822:2:24573574:24576776:-1 gene:TCM_009504 transcript:EOY00065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIYDTYLESGLAIVHAVLGNGMQKVDEIRMLIMNKHNNACIICLFSIAWFAFQVRGWYLITILSKLLFYSMLFLLVWSQAAEARLINWSPPRFDEIMISESKVISVFKKIKGLLAKFYHAAQGKDFKLLVITLIALALLPCISDYFSLPTFLYIAFLCWQIVPMLRQT >EOY01023 pep chromosome:Theobroma_cacao_20110822:2:36864555:36875703:-1 gene:TCM_010950 transcript:EOY01023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein MVARKLGHVTLRKTKKKKNCKLEDRLFVAAMHGDGLMRPEDGHYSETQRQQLDCVNLDVEQLNVYGSSKDAFQNDASRGILRDHIGLLRVRVRRGINLAIRDTVSSDPYVVLIMGHQKLKTRVVKNNCNPEWNDELTLSINDPNVPIILTVYDKDTFTVDDQMGNAEIDIKPYIAALKMGKGLQNLPNGCALKRIQPGRTNCLADESSIVWNDGKITQDMHLRLKNVECGEVLIQLEWIEIPGCKGLESEVMKAPWNSGKPRNPVKRHH >EOX98914 pep chromosome:Theobroma_cacao_20110822:2:7761526:7767870:1 gene:TCM_007580 transcript:EOX98914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-domain protein kinase 5 isoform 1 MGNTCRGSFKGKLYQGYSQPEDHSSKRNNTSSDRSNSDYSPTSLNAQQLIAQEFSKETTKKDTHLALISPTKKDNIMRRGIDNQAYYVLGHKTPNIRDLYTLGRKLGQGQFGTTYLCIEISTGIEYACKSISKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDSLYVHIVMELCSGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPLISDSAKDLIRKMLCSRPSERLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFTTMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACAEHNMTDVLLEDIIREVDQDNDGRIDYGEFVAMMQKGNAGIGRRTMRNSLNMSMRDAPGA >EOX98915 pep chromosome:Theobroma_cacao_20110822:2:7761495:7767904:1 gene:TCM_007580 transcript:EOX98915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-domain protein kinase 5 isoform 1 MGNTCRGSFKGKLYQGYSQPEDHSSKRNNTSSDRSNSDYSPTSLNAQQLIAQEFSKETTKKDTHLALISPTKKDNIMRRGIDNQAYYVLGHKTPNIRDLYTLGRKLGQGQFGTTYLCIEISTGIEYACKSISKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDSLYVHIVMELCSGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPLISDSAKDLIRKMLCSRPSERLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFTTMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACAEHNMTDVLLEDIIREVDQDNDGRIDYGEFVAMMQKGNAGIGRRTMRNSLNMSMRDAPGA >EOX99781 pep chromosome:Theobroma_cacao_20110822:2:16247003:16254538:-1 gene:TCM_008706 transcript:EOX99781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNTRMNRNFSTFWEKIVSPLFPTNNFFLQVTFNLSFVTSNRENLHFWIDEWIEGFHLVKLFPRIYVFLVNKWQSG >EOX97701 pep chromosome:Theobroma_cacao_20110822:2:3125260:3125842:-1 gene:TCM_006645 transcript:EOX97701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVEGDFSSALKRNKDGGSSTLNLPSPSHYSAMFVTSWVGLYHEIMSMEYLIYAGQNCRCCILSPINCRGHLFPFSFPIAGIARQKSHGLGQLPNSCLAWGRETLHKFGLKFHAKNKEFVSKGFACKSLFKYSI >EOY00996 pep chromosome:Theobroma_cacao_20110822:2:36378489:36382658:-1 gene:TCM_010896 transcript:EOY00996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTSGYSQRVEFSTMSNYSLHCCHVGHEEAECIVLRNKPRQSGSSKPQPKGNAKSSIAPPTGSEDVEKVAGFEGGEEVEIDEEADGQGMGNKVFNDNEEQPVALEKVATLTIMTIEPERVLQESDHVHGDQNLSKGVTEDRETMFSATLGPETFHVRADDSKRIEGETMERVATARNIADQKQKKKRKPVVRSWNRLYMVMILLDQTVNVW >EOY00216 pep chromosome:Theobroma_cacao_20110822:2:29891625:29893955:1 gene:TCM_010005 transcript:EOY00216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRRAKNAKDDARTIVDENVDPKYQTMLWYASLSFHYNRLYHVTSQFIKTFNKARREIASLARQYEEMCKFDTYGISNLIEHVHDPTRVKVTSKVGPKAEGKTESRKCGLCIMEGHTRNKCPQLELTLCSLDSTISFHDDSDVDEDKRNKQVWPSQLGTLFGGDSLKV >EOY00743 pep chromosome:Theobroma_cacao_20110822:2:34859631:34860545:-1 gene:TCM_010669 transcript:EOY00743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARQILVIALVFIALIGLVMAGQDSSSNKRGAEAAASVNDDTIGNTDEANAPTTSGDEATIIVEGPVGSEDAAKNAAAAQPPSSGATTFRVSAIAGAAAVAGYLAF >EOX96852 pep chromosome:Theobroma_cacao_20110822:2:251145:254019:1 gene:TCM_006005 transcript:EOX96852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MPPSCYSSVSVLVSETLIASLISCFKASMSGKRVSVLTFNSLTALSKVRSSRRVSDSVRCFNKFLSTATERWDFNSPNSASHFENPPYDTQNPIDYQQKQNGRALNPGRGFGECPVNAYVGSAVGDNHNHGADLRQRGNQNGGVGQSGNFSNGNLQINLHSSYENGSWRGDEQSSKGFHQNHSGMHWESARNELQNNSVYENGNFGGYGANAQNNANVQNQGGWSWEGPTEVRQNQNNLNLQRFSESQGSLNQSYVQNNWQFQQSQSDQHGANFSQYQQNRQDIYNANPYGLVSATSNPEGESTEVSETSSNNATVETLDEFCRKGNVKEAVEVLGSMEKQGVHVDLPRMLQLMKACGEVKALQEAKTVHEHLIRSFSPLKISICNKILEIYSKCGSMDDSFEVFDKMRRRNLTSWDTMITWLAKNGLGEDALDLFSEFKKTGLKPDGKMFIGVFSACGVVSDVNEGMLHFASMSSEYGIVPSMEHYVGVVDMLGSTGHLDEALEFIEKMPLEPSVDVWETLMNLCRVHGHLELGDQCAELVEQLDPSRLNEQSKAGLIPLKDSDLAKQNDKKKLPSQSPLEVRSRVHEYRAGDTSHPENDRIYKLLRSLKEHMKEAGYIPETRFVLHDIDQESKEEALLAHSERLALANGLLTTPARGQIRIIKNLRVCGDCHAAFKIMSKIVGREIVMRDAKRFHHFNQGICSCRDYW >EOX97189 pep chromosome:Theobroma_cacao_20110822:2:1557097:1560031:1 gene:TCM_046711 transcript:EOX97189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MESYKQLQEQSFFAILFTIIVSILVVTIRVKGKKKRQRRPPEPAGALPFLGHLHLLGKHQLLHRTFGDMADQYGPAFLVRLGIHQALVVSNSEVAKECFTTNDRVFPTRPKSLAIKLMGRWLELLKHVRDTEINCFVKDLYEQSVKSGGLAVVEMKERIGDLAMNIIVSTVAGKRYSGIEGCCDDESRRCQKAMGDFFYLAGLFLVSDAVPFLGWLDVVMGRISNIKKTAKELDLVLGSLVNEHRRWRLRKSIKGEQDFIDVMLSVMDDGNIPTQEADTTIKATRLSLILGSNDTNVITLTWALSLLLNNRHVLKRAQDELDIHVGKHRKVEESDINNLVYLQAIVKETLRLHTAVPLSVPREAMEDCTIAGFHVPAGTRLLVNLWKLQRDRSIWQKPSEFLPERFLSDHANLDLKDQNFEFVPFGSGRRICPGISFALQVMPLALAGLLHGFEWGTVSDIAIDMSETPGLTVPKATPLEVTLTPRLPSMLYG >EOX99710 pep chromosome:Theobroma_cacao_20110822:2:14350513:14351503:-1 gene:TCM_008507 transcript:EOX99710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFRDRVCVPEGNQLRQAIMEEAHSSAYALHPGSTKMYKTIRENYWWPGMKRDRGKDAIWVIVDRLTKSAHFLAVHSSYSIEKLAQLYIDEIVRLHGVPVSIVSDRDPRFTSRLWLKFQEALKTKLKFSTTFHPQMDGQSERTI >EOY01228 pep chromosome:Theobroma_cacao_20110822:2:38395731:38400480:-1 gene:TCM_011173 transcript:EOY01228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide transporter 2 isoform 2 MCTLTLSASIPALKPAECVDSICPSATPAQYAVFFLGLYLIALGTGGIKPCVSSFGADQFDDTDPKERVKKGSFFNWFYFSINIGALISSSLLVWIQDNAGWGLGFGIPALFMGLAIASFFSGTPLYRFQRPGGSPITRMCQVLVASFRKWNLKVPEDSSLLYETGDKHSAIEGSRKLEHSDELKCLDKAAILTDAEIKSGDFSNPWRLCTVTQVEEFKILIRMFPIWATGIVFSAVYAQMSTMFVEQGMVMDTSIGSFTIPPASLSTFDVISVIFWVPIYDRIIVPIARKFTGKERGFSELQRMGIGLFISVLCMSAAAVVEIRRLQLAKELGLVDKDVAVPLSIFWQIPQYFLLGAAEVCTFIGQLEFFYDQSPDAMRSLCSAFSLLTTSLGNYLSSFILTLVTYFTTRDGQTGWIPNNLNKGHLDYFFWLLAGLSFLNMLVYTVCAKKYKQKKAC >EOY01227 pep chromosome:Theobroma_cacao_20110822:2:38395460:38408048:-1 gene:TCM_011173 transcript:EOY01227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide transporter 2 isoform 2 MGSADEERSLLEAGLVHAESSGLYTGDGSVDFDGKPVLKQNTGNWKACPFILGNECCERLAYYGIATNLVTYLTKKLHEGNVSAARNVTTWQGTCYLTPLIGAVLADAYWGRYWTIATFSTIYFIGMCTLTLSASIPALKPAECVDSICPSATPAQYAVFFLGLYLIALGTGGIKPCVSSFGADQFDDTDPKERVKKGSFFNWFYFSINIGALISSSLLVWIQDNAGWGLGFGIPALFMGLAIASFFSGTPLYRFQRPGGSPITRMCQVLVASFRKWNLKVPEDSSLLYETGDKHSAIEGSRKLEHSDELKCLDKAAILTDAEIKSGDFSNPWRLCTVTQVEEFKILIRMFPIWATGIVFSAVYAQMSTMFVEQGMVMDTSIGSFTIPPASLSTFDVISVIFWVPIYDRIIVPIARKFTGKERGFSELQRMGIGLFISVLCMSAAAVVEIRRLQLAKELGLVDKDVAVPLSIFWQIPQYFLLGAAEVCTFIGQLEFFYDQSPDAMRSLCSAFSLLTTSLGNYLSSFILTLVTYFTTRDGQTGWIPNNLNKGHLDYFFWLLAGLSFLNMLVYTVCAKKYKQKKAC >EOX97028 pep chromosome:Theobroma_cacao_20110822:2:973605:975450:1 gene:TCM_006148 transcript:EOX97028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTEISRRRSLRFLLLFALVSLQFISGFSDDSTSSKNSSKTKSNASSYTGTKLFEEDDELEVELGLRD >EOX97525 pep chromosome:Theobroma_cacao_20110822:2:2566891:2567551:-1 gene:TCM_006520 transcript:EOX97525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVTETLSRMWVPYVEGSCLSHLQVWVVTMPWLYQAIKTPFFFQVRDMKRPVLSLLWTVGSNMLVTTSGQPMKMPSGGIDGELGMSVPT >EOY01615 pep chromosome:Theobroma_cacao_20110822:2:40286013:40292046:-1 gene:TCM_011464 transcript:EOY01615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein, putative MKIITNTYTSWPSLISLLHLSFLFNSLKYCMCDNNSSHSSLDQIILDCGSSGNSSFNDKSWVGDVSFPDYYEGTTIGLVISNTTGKVPESPYSTARFFRSSFTYSFPMFPGLKFIRLHFYPTSYTTTDRISKADFSVTSDNYTLLNNFSPSVVANTLKSPYFTKDYFLNLKKHFLNLTFAPSLNTSDAFAFVNGIEIYSMPLKLSDYGVNETFNATSIQYEPALEILHRINVGIDSQNEPFWNGLDDRVFIQGSQKGNPIEAYDHIAYNKSPWTLSEYGAPGDLYNTARTIGGSDQAANVGYNLTWTFRVDSGFKYIVRLHFCEIQLNVTKVNQRVFKVYVNNETVENSLDIVAMAGGPLVAMHKDYIIMVPKAKEERTGLWLALQPDIDSKSELIDVILNGIEIMKLSDTSNNLAAHVQVKNGDRSKHIPSNIFLGKILGLVIGICLVFLIVYLVSHWLLLRNDENVGKTVSLIVKPSNHCRQFPLEEIRAATNNFSEALLLGCGGFGKVYKGLLEDGITKVAVKRKNPESHQGVQEFKTEIELLSTFRHMNIVSLLGYCQEDNELILIYDYMAHGTLRDHLYETQNPPLPWTQRLKICIGAARGLHYLHTGTEHSIIHRDIKSTNILLDENWVAKVSDFGLSKVGKTAESYRNQVSPGPKGTFGYLDPEYGRYRTLTRKSDVYSFGVVLFEVLCARPAVSREANEDDQTNVSLARWVVHCYRGQTIDLLIEPYLRGKIMSECLTIFVEIAVRCLASKHIDRPSISDVLCKLEQALLLQEKADSNLQIKDA >EOY00910 pep chromosome:Theobroma_cacao_20110822:2:35841790:35844704:1 gene:TCM_010824 transcript:EOY00910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MGLSRFLNLFSKTHTKPKFLTPCTRSSLATYSQKSIKHQNFNLNSLPDHKDSFGYPSNLHLMIYRSIHEDLMPKKLPFEPYEKKPAEPKLEEDAAKICKLLSSRSDIHVDKLLENASIEVSPSLVAEVLKRLSNAGVIAMSFFTWAEKQKGFKYNTESYNALIEALGKIKQFKLIWNLLNDMKSSKLLSKDTFALISRRYARARKVEEAIEAFERMEEFGFKLDTSDFNRLIDTLSKSRHVEKANKVFDKMKKRRFVPDIKSYTILLEGWGKEHNLLRLDEVYREMKDEGFEPDVVTYGILINAYCKAKKYNRAVELFHEMEAKNCKPSPHVFCTLINGLGSEKRLSEALEFFERSKSCGFAPEAPTYNSLVGAYCWSMQMDDAFRVIGEMRRNLVGPNSRTYDIILHHLIKARRMKEAYLVFQKMTSEPGCVPTVSTYEIIVRMFCNEEQVDMAMLVWAQMKAEGVLPGMHMFSDLINSLCHNSKLDDACKYFQEMLDAGIRPPAKMFSNLKQALLDEGKKDTALNLARKIDKLRKMPLVGEGQ >EOY00911 pep chromosome:Theobroma_cacao_20110822:2:35841847:35844455:1 gene:TCM_010824 transcript:EOY00911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MGLSRFLNLFSKTHTKPKFLTPCTRSSLATYSQKSIKHQNFNLNSLPDHKDSFGYPSNLHLMIYRSIHEDLMPKKLPFEPYEKKPAEPKLEEDAAKICKLLSSRSDIHVDKLLENASIEVSPSLVAEVLKRLSNAGVIAMSFFTWAEKQKGFKYNTESYNALIEALGKIKQFKLIWNLLNDMKSSKLLSKDTFALISRRYARARKVEEAIEAFERMEEFGFKLDTSDFNRLIDTLSKSRHVEKANKVFDKMKKRRFVPDIKSYTILLEGWGKEHNLLRLDEVYREMKDEGFEPDVVTYGILINAYCKAKKYNRAVELFHEMEAKNCKPSPHVFCTLINGLGSEKRLSEALEFFERSKSCGFAPEAPTYNSLVGAYCWSMQMDDAFRVIGEMRRNLVGPNSRTYDIILHHLIKARRMKEAYLVFQKMTSEPGCVPTVSTYEIIVRMFCNEEQVDMAMLVWAQMKAEGVLPGMHMFSDLINSLCHNSKLDDACKYFQEMLDAGIRPPAKMFSNLKQALLDEGKKDTALNLARKIDKLRKMPLVGEGQ >EOY00793 pep chromosome:Theobroma_cacao_20110822:2:35128749:35131762:-1 gene:TCM_010711 transcript:EOY00793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MPSLTVKLSSIFFKFQQRHKLNNLTQAPLHNVDPFGITSRHEEPTTPSNPSFNDGVATKDIHIDPFSSLCVRIFLPETVVNSNKDNDNKDANFVYRGYCPRTGKKHKKLPVMLQFHGGAFVGGSNDSVGNDVFCRRIAKLCDVIVVAVGYRLAPESRYPAAFEDGLKVLNWLGKQANLAECGRWIGNGRRRMDAHVFDGFGASMVEPWLAAHGDPSRCVLLGGSCGANIADYLARKAVEAGKLLDPVKVVAQVLMYPFFIGSDPTNSEIKLANSYFYDKSMCMLAWKLFLPEENFDLDHPAANPLIPGREPPLKFMPPTLTVVAEKDWMRDRAIAYSQELRKVKIDAPLLEYKDAIHEFATLNVLLQTPQAQTCAEDIAIWVKKYISHRGHEFSY >EOY01695 pep chromosome:Theobroma_cacao_20110822:2:40630814:40637537:-1 gene:TCM_011532 transcript:EOY01695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatases superfamily protein MFVVGMTGLRLIFWTILLVLAILQNANSHGVQPLSRIGVHKATFALDNRAYVKASPDVLGLNGQNTEWVTVEYSSQNPSIDDWIGVFSPANFSASTCLAENPRVTPPLLCSAPIKYQYANYSSPDYKVTGKGSLKLLLINQRSDFSFALFSSGLLNPKLVALSNTVSFTNPNAPVYPRLAEGKEWNEMTVTWTSGYGIDEAEPFVQWGPKGEHRQHSPAVTLTFGRNSMCGAPARTVGWRDPGYIHTSFLKELWPNRVYTYKLGHRLFNSTYIWSREYQFKASPFPGQNSLQHVVIFGDMGKDEVDGSNEYNNFQRGSLNTTNQLIKDLNNIDIVFHIGDICYANGYLSQWDQFTAQIEPIASAVPYMLASGNHERDWPGTGSFYENMDSGGECGVLAETMFFVPAENRAKFWYSTDYGMFRFCIADTEHDWREGTEQYKFIERCLASVDRQKQPWLIFLAHRVLGYSSGISYAIEGSFAEPMARESLQKLWQKYKVDISIYGHVHNYERTCPIYENRCTDYEKHYYKGTPKGTIHVVAGGGGASLSTFTTLKTNWSLYRDYDYGFVKLTAFDHSNLLFEYKKSSDGKVYDTFRISRDYRDILACTVDSCPSTTLAS >EOY00199 pep chromosome:Theobroma_cacao_20110822:2:29288574:29291264:-1 gene:TCM_009956 transcript:EOY00199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MMNAAVSFIGGTNGNKKGLAATLAAHPGELKLRASLSDTNFTDGSTLNFDDLLLSVEKPGSFIIDFDIPKKDVQFQFMNTFKVEAKQVNWTYTHVRNERRTVLDGTLLLDTANKLSASHELGSVNCKLKYTHVHRGLTTFEPCYDLAKKSWDLAVSRRVLGGDLIKATYETSSQVLGVEWSCSSLINEDGMIKVSASFNLAEGLHPPKLNVQSMWNFQA >EOY00198 pep chromosome:Theobroma_cacao_20110822:2:29289660:29290993:-1 gene:TCM_009956 transcript:EOY00198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MMNAAVSFIGGTNGNKKGLAATLAAHPGELKLRASLSDTNFTDGSTLNFDDLLLSVEKPGSFIIDFDIPKKDVQFQFMNTFKVEAKQVNWTYTHVRNERRTVLDGTLLLDTANKLSASHELGSVNCKLKYTHVHRGLTTFEPCYDLAKKSWDLAVSRRVLGGDLIKATYETSSQVLGVEWSCSSLINEDGMIKIYC >EOY00196 pep chromosome:Theobroma_cacao_20110822:2:29288574:29291264:-1 gene:TCM_009956 transcript:EOY00196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MMNAAVSFIGGTNGNKKGLAATLAAHPGELKLRASLSDTNFTDGSTLNFDDLLLSVEKPGSFIIDFDIPKKDVQFQFMNTFKVEAKQVNWTYTHVRNERRTVLDGTLLLDTANKLSASHELGSVNCKLKYTHVHRGLTTFEPCYDLAKKSWDLAVSRRVLGGDLIKATYETSSQVLGVEWSCSSLINEDGMIKLTAVYIVYTLYLYPSAGPFSLCSWKYDLLLGISSGVLPPEVKGDDFNWCLGFSIFQFGRGLTSAKTKCPEYVELSGIVS >EOY00197 pep chromosome:Theobroma_cacao_20110822:2:29289038:29291258:-1 gene:TCM_009956 transcript:EOY00197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MMNAAVSFIGGTNGNKKGLAATLAAHPGELKLRASLSDTNFTDGSTLNFDDLLLSVEKPGSFIIDFDIPKKDVQFQFMNTFKVEAKQVNWTYTHVRNERRTVLDGTLLLDTANKLSASHELGSVNCKLKYTHVHRGLTTFEPCYDLAKKSWDLAVSRRVLGGDLIKATYETSSQVLGVEWSCSSLINEDGMIKEFPLVFCLQKLKGMILTGA >EOX99894 pep chromosome:Theobroma_cacao_20110822:2:17830894:17833036:1 gene:TCM_008878 transcript:EOX99894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLKFDKYWGNVEKMNLVLHIASILDLRKKRTYVEFTLEDMYSPEQALLMFSLVKRTMDELFQCYKNMLQSQP >EOX98730 pep chromosome:Theobroma_cacao_20110822:2:6881133:6894391:-1 gene:TCM_007425 transcript:EOX98730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance 9 isoform 1 MLVSMLFHWQGISIANELVDIMKKNDTGDVFLKVDFEKAFNNSVAWSYLEFVTKLIGFGEKCPQAQTGPAHDGPSPKYLEPFSRPSMADSPWLFSFYDHNQTNDAMDSHKEEVVPIRLHSAVEYLLRCNSDQLHSIALSPDPKLHYPLFVDYAELMDADPPLARLVFANPTDYLRFFDEAAILAHKRVLKGMASHEKGVEKKFIHVRFNVSGSPLECPETFPSIGRVRVKHRGILLTLKGTVIRSGAVKMYEGQRTYQCKKCKHMFPLYPELETRNSITLPSICPSQRSNPCEGTKFQCVENTTVCHDYQEIKIQESTQVLGVGVIPRSILVILQDDLVDIVKAGDDVIVTGILTAKWSPDLKDVRCDLDPILIANHVRRTNELKSDIDIPDDFTMKFTQFWSDFRHTPLKGRNAILRGICPQVFGLFTVKLAVALTLIGGVQHVDASGTKIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTIVFGATNPKGHYDPDQPLSVNTALSGPLLSRFDIVLVLLDTKNPEWDAVVSSHILAEGESEAGKRDEDLSNIWPLPILRRYIHYVKKHFKPVLTKEAEKVISSYYQLQRRSGTHNAARTTVRMLESLIRLAQGMLENLLIYYPYEPKHWLAMLLQYPIINVGVDTYNVAAHARLMFRNEVTRLDAITAILCIESSMTISAIVDSIGNALHSNFTENPDQECILASSLLTL >EOX98731 pep chromosome:Theobroma_cacao_20110822:2:6881129:6891939:-1 gene:TCM_007425 transcript:EOX98731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance 9 isoform 1 MDSHKEEVVPIRLHSAVEYLLRCNSDQLHSIALSPDPKLHYPLFVDYAELMDADPPLARLVFANPTDYLRFFDEAAILAHKRVLKGMASHEKGVEKKFIHVRFNVSGSPLECPETFPSIGRVRVKHRGILLTLKGTVIRSGAVKMYEGQRTYQCKKCKHMFPLYPELETRNSITLPSICPSQRSNPCEGTKFQCVENTTVCHDYQEIKIQESTQVLGVGVIPRSILVILQDDLVDIVKAGDDVIVTGILTAKWSPDLKDVRCDLDPILIANHVRRTNELKSDIDIPDDFTMKFTQFWSDFRHTPLKGRNAILRGICPQVFGLFTVKLAVALTLIGGVQHVDASGTKIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTIVFGATNPKGHYDPDQPLSVNTALSGPLLSRFDIVLVLLDTKNPEWDAVVSSHILAEGESEAGKRDEDLSNIWPLPILRRYIHYVKKHFKPVLTKEAEKVISSYYQLQRRSGTHNAARTTVRMLESLIRLAQAHARLMFRNEVTRLDAITAILCIESSMTISAIVDSIGNALHSNFTENPDQEYAKQERLILEKLSSVDEIQESNGSEGL >EOX97759 pep chromosome:Theobroma_cacao_20110822:2:3356780:3358381:-1 gene:TCM_006693 transcript:EOX97759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGSCRCPSTRRHLWQSFLCIPVCVISYDKPVLLIQILTKVAIAIGLKHWQNNIGTLLVFGLIQRKDNLVPTPPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFWICYEAYLPRFNIAKCQWRLIQSKLNSKQQWGKL >EOY01047 pep chromosome:Theobroma_cacao_20110822:2:37094793:37104157:1 gene:TCM_010981 transcript:EOY01047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucomutase/phosphomannomutase family protein isoform 1 MVFKVSKVPTTPYDGQKPGTSGLRKKVKVFVQPHYLQNFVQSTFNALTPEKVRGATLVVSGDGRYFSKDAIQIIIKMAAANGVRRIWVGQNGLLSTPAVSAVIRERIGVDGSKATGAFILTASHNPGGPHEDFGIKYNMENGGPAPEAITDKIFENTKTITEYLIAEDLPNVDISAIGVTSFGGPEAQFDVEVFDSASDYVKLMKSIFDFELIRQLLSSPKFTFCYDALHGVAGAYAHRIFVEELGAKESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKSNSGVEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVDAIPYFSSGLKGVARSMPTSAALDVVAKNLELKFFEVVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKENLDGDKLVTVEDIVRQHWATYGRHYYTRYDYENVDAGAAKDLMAYLVKLQSSLGDVNTIVNEAHSDVSNVVNADEFEYKDPVDGSVSKHQGIRFLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDPSKTGRDSQEALAPLVEVALKLSKMQEFTGRSAPTVIT >EOY01046 pep chromosome:Theobroma_cacao_20110822:2:37094548:37104142:1 gene:TCM_010981 transcript:EOY01046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucomutase/phosphomannomutase family protein isoform 1 MVFKVSKVPTTPYDGQKPGTSGLRKKVKVFVQPHYLQNFVQSTFNALTPEKVRGATLVVSGDGRYFSKDAIQIIIKMAAANGVRRIWVGQNGLLSTPAVSAVIRERIGVDGSKATGAFILTASHNPGGPHEDFGIKYNMENGGPAPEAITDKIFENTKTITEYLIAEDLPNVDISAIGVTSFGGPEAQFDVEVFDSASDYVKLMKSIFDFELIRQLLSSPKFTFCYDALHGVAGAYAHRIFVEELGAKESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKSNSGVEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVDAIPYFSSGLKGVARSMPTSAALDVVAKNLELKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKENLDGDKLVTVEDIVRQHWATYGRHYYTRYDYENVDAGAAKDLMAYLVKLQSSLGDVNTIVNEAHSDVSNVVNADEFEYKDPVDGSVSKHQGIRFLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDPSKTGRDSQEALAPLVEVALKLSKMQEFTGRSAPTVIT >EOY01049 pep chromosome:Theobroma_cacao_20110822:2:37094968:37104151:1 gene:TCM_010981 transcript:EOY01049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucomutase/phosphomannomutase family protein isoform 1 MVFKVSKVPTTPYDGQKPGTSGLRKKVKVFVQPHYLQNFVQSTFNALTPEKVRGATLVVSGDGRYFSKDAIQIIIKMAAANGVRRIWVGQNGLLSTPAVSAVIRERIGVDGSKATGAFILTASHNPGGPHEDFGIKYNMENGGPAPEAITDKIFENTKTITEYLIAEDLPNVDISAIGVTSFGGPEAQFDVEVFDSASDYVKLMKSIFDFELIRQLLSSPKFTFCYDALHGVAGAYAHRIFVEELGAKESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKSNSGVEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVDAIPYFSSGLKGVARSMPTSAALDVVAKNLELKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKENLDGDKLVTVEDIVRQHWATYGRHYYTRYDYENVDAGAAKDLMAYLVKLQSSLGDVLIIL >EOY01048 pep chromosome:Theobroma_cacao_20110822:2:37094948:37104151:1 gene:TCM_010981 transcript:EOY01048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucomutase/phosphomannomutase family protein isoform 1 MVFKVSKVPTTPYDGQKPGTSGLRKKVKVFVQPHYLQNFVQSTFNALTPEKVRGATLVVSGDGRYFSKDAIQIIIKMAAANGVRRIWVGQNGLLSTPAVSAVIRERIGVDGSKATGAFILTASHNPGGPHEDFGIKYNMENGGPAPEAITDKIFENTKTITEYLIAEDLPNVDISAIGVTSFGGPEAQFDVEVFDSASDYVKLMKSIFDFELIRQLLSSPKFTFCYDALHGVAGAYAHRIFVEELGAKESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKSNSGVEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVDAIPYFSSGLKGVARSMPTSAALDVVAKNLELKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKENLDGDKLVTVEDIVRQHWATYGRHYYTRYDYENVDAGAAKDLMAYLVKLQSSLGDVLIIL >EOX97737 pep chromosome:Theobroma_cacao_20110822:2:3258418:3259415:-1 gene:TCM_006672 transcript:EOX97737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein DSWIIFGGFFLLENHPSGIHAEKSMIAFLIVQRFRQSNSLKTLKTLVQKREKRRFLKSKETIQKTESSKKKHKNHPQKFLNF >EOY02017 pep chromosome:Theobroma_cacao_20110822:2:41700744:41704504:1 gene:TCM_011777 transcript:EOY02017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKQFLPLAANGKLLRICPFFCILKQQSCLQAAYVLRKFHHIYNPLQRLVGFPCPTPVHTATGDATGFKQESDTPFFKIKIAPDESDPTNGRNRTEAIVES >EOX98772 pep chromosome:Theobroma_cacao_20110822:2:7128278:7129848:1 gene:TCM_007461 transcript:EOX98772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYSLVTSYMPSSSFSGVTAAGSCISGTVAVYSFLIMFLGLSQLCDCFNCEYCQCPVCGLQRVAKYMQLFLVGRYALLGVRHKGLKSSFNLFLEQSTSRLTSDSLWMRCTMDQTKTQQQIEDDRAEVKIDKRFPLDEVHYGSDKNSTAN >EOX97465 pep chromosome:Theobroma_cacao_20110822:2:2353088:2358200:-1 gene:TCM_006467 transcript:EOX97465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT4G38360) TAIR;Acc:AT4G38360] MKITDYLLGYSPPIWATLIAGVLLVITLSLSLYLIFEHLSSYKNPEEQKFLIGVILMVPCYSVESFVSLVDPSISVDCSILRDCYESFAMYCFGRYLVACLGGEERTIEFMERLGRASAKTPLLGLDCEKGTVKHPFPMNYILRPWKLGQWFYQVVKFGIVQYMIIKLLTALLAVILEAFGVYCEGEFKWGCGYPYMAVVLNFSQSWALYCLVQFYTVTKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALFYALGLFRSPIAEGLQFKSSVQDFIICIEVDVFNHQSQMGIASVVHLYVFPSKPYELMGDRIPGSVSILGDYASVDCPLDPDEVRDSERPTKLRLPQPDIEVRSGMTIKESVKDVFIGGGGYIVNDVKFTVNQAVEPVEKGITKFNEKLHKISQNIKRHDKDRRKTKDDSCLATSARRVIRGIDDPLLNGSISDSGVARGKKHRRKSGYTSAESGGESSSDQSHGGYQIRGRRWVTKD >EOX97466 pep chromosome:Theobroma_cacao_20110822:2:2352522:2358005:-1 gene:TCM_006467 transcript:EOX97466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT4G38360) TAIR;Acc:AT4G38360] MKITDYLLGYSPPIWATLIAGVLLVITLSLSLYLIFEHLSSYKNPEEQKFLIGVILMVPCYSVESFVSLVDPSISVDCSILRDCYESFAMYCFGRYLVACLGGEERTIEFMERLGRASAKTPLLGLDCEKGTVKHPFPMNYILRPWKLGQWFYQVVKFGIVQYMIIKLLTALLAVILEAFGVYCEGEFKWGCGYPYMAVVLNFSQSWALYCLVQFYTVTKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALFYALGLFRSPIAEGLQFKSSVQDFIICIEMGIASVVHLYVFPSKPYELMGDRIPGSVSILGDYASVDCPLDPDEVRDSERPTKLRLPQPDIEVRSGMTIKESVKDVFIGGGGYIVNDVKFTVNQAVEPVEKGITKFNEKLHKISQNIKRHDKDRRKTKDDSCLATSARRVIRGIDDPLLNGSISDSGVARGKKHRRKSGYTSAESGGESSSDQSHGGYQIRGRRWVTKD >EOX99185 pep chromosome:Theobroma_cacao_20110822:2:8897058:8899822:-1 gene:TCM_007780 transcript:EOX99185 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT3G22070) TAIR;Acc:AT3G22070] MCYVGKATKIFIFVVTVLVVLGLVLGFGLFRHGLQKSHKCSGDSCPSPTNVFPDPISSPNTPPGPVSSSSNPPPPTTGSNQPPSPTFNPSPNPPPSSVPTPNPPPSPPIGTPTTTTPPPPNTNPNPPPQFPPPTPPPPVSSAVLAVPPYNQPSPVLVAPGPVHS >EOY00584 pep chromosome:Theobroma_cacao_20110822:2:33737000:33738113:1 gene:TCM_010476 transcript:EOY00584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNGILKACGITSLNAFAFENTSYSIARKLLQIYSLLSDIHTVELSNFDNGRITLTTKEEILIAWKASPVDWISLNTEGAIHVSTSPATARGVLRDWTVQWRGGCATRL >EOX99583 pep chromosome:Theobroma_cacao_20110822:2:12503621:12507253:1 gene:TCM_008297 transcript:EOX99583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive GH3 family protein MRACPINCIAVKQQFRTCSRITKDVNQMSRSYPCQSIVPGMLPNYDPNDNKAGMKILEDLTENVYQIQQRVLEEIITRNAHTEYLKGFLNGQSDKDLFKKKVPVVNYEDIKPYIERIANGDSSNIITAEPVTELLTSSGTSGGQPKMMPSTAEDLHRKTFFYNLLVPVMNQYVDGLDDGKGMYLLFVKPEIKTPSGLMARPVLTSYYKSSNFRNRPFNRFNVYTSPDETILCSDSKQSMYCQLLCGLVQRKEVLRVGAVFASAFLRAIKFLEDYWKELCSNIRTGHVSDWITDPSCRKVVSLILSKPNSELANLIEHECSNKSWEGIIKKLWPRTKYIEVIVTGSMAQYISTLEFYSGGLPLVSTMYASSECYFGINFKPLSKPSDVSYTLVPNVAYFEFLPVKKNHEDATQDVQCNGICEENCMEEETGKEDIETVDLVDVKLGHYYELVVTTFTGLYRYRVGDILMVTGFHNNAPQFRFVHRRNVVLSIDTDKTNEEDLLKAVTKAKLLLESLGFLLIEYTSYADTSSIPGHYVLFWEFTNKGGNDLPELDPKIMKQCCSTVEESLDSVYRRCRRKDNSIGPLEIRVVKHGTFDALMDFCVSQGSSVNQYKTPRCIKSAEAINILDSKVVGRFFSQNAPSWEPV >EOX97720 pep chromosome:Theobroma_cacao_20110822:2:3187905:3192246:1 gene:TCM_006657 transcript:EOX97720 gene_biotype:protein_coding transcript_biotype:protein_coding description:like AUXIN RESISTANT 2 [Source:Projected from Arabidopsis thaliana (AT2G21050) TAIR;Acc:AT2G21050] MATDKVVETVIVGNYVEMETEGKPTGMKSRISKIFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLLGSWTAYLISILYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLTIASLLHGQVEGVRHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLLATLYVLTLTLPSAAAVYWAFGDMLLNHSNAFSLLPRTPFRDMAVILMLIHQFITFGFASTPLYFVWEKAIGMHECKSLCKRAAARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHIFTFRSATARENAVEQPPKYFGRWVGTYVINIFVVVWVLIVGFGFGGWASMTNFIHQIDTFGLFTKCYQCPPPAMPASPPPHGLNATAAAPLHHPFNHTRSP >EOX99324 pep chromosome:Theobroma_cacao_20110822:2:10144151:10147119:1 gene:TCM_007955 transcript:EOX99324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVTINTTCFVHAKQHSFSALLSRSIDRPFPPDGSANSYGLKDLILKRFSSIQLGYKPLNVACKSSSQANLLLSSNICARGFLSSTGTQYNHLIALSSRFLGEDEGDLRHINHFLARQRRTRSSLRAYKDDIFKFN >EOX99763 pep chromosome:Theobroma_cacao_20110822:2:15680588:15685977:1 gene:TCM_008646 transcript:EOX99763 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-zip transcription factor, putative isoform 2 MALPLGKLTILVGAGIVGSIIAKEGHMPNVSDFVSGAFKLVFRQLKHDDSTPSINKPRNDYLMAQVNSLRQELQILASNRPITIVTGRGTGTSKYGIIIVIVVVGYGYVWWKGWRVPDMMFATRRSLSDARDAIAKQLESVYSSISTTRRHLSSRIDGVDNHLDEIADITASTQDEASLLQDKSKMLNSNVQSVRYVVQTLESKINRIEGKQDITNEGLNWLCDFAHTMEQNRSTDRIQASPASSSMPALEAPMKTPSRTGSLPPILPAESSSPSDSNGTHEVKRSPRNAVSTSGLKEVGASRSHELANGSRTSEDNTNGSSSSGLFGTMFPGGNASFLTRTCSARNAVPQQMRSRELFSRVP >EOX99762 pep chromosome:Theobroma_cacao_20110822:2:15680686:15686825:1 gene:TCM_008646 transcript:EOX99762 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-zip transcription factor, putative isoform 2 MALPLGKLTILVGAGIVGSIIAKEGHMPNVSDFVSGAFKLVFRQLKHDDSTPSINKPRNDYLMAQVNSLRQELQILASNRPITIVTGRGTGTSKYGIIIVIVVVGYGYVWWKGWRVPDMMFATRRSLSDARDAIAKQLESVYSSISTTRRHLSSRIDGVDNHLDEIADITASTQDEASLLQDKSKMLNSNVQSVRYVVQTLESKINRIEGKQDITNEGLNWLCDFAHTMEQNRSTDRIQASPASSSMPALEAPMKTPSRTGSLPPILPAESSSPSDSNGTHEVKRSPRNAVSTSGLKEVGASRSHELANGSRTSEDNTNGSSSSGLFGTMFPGGNASFLTRTCSARNAVPQQMRSSVQCSLLEVGSSFFLFDMYE >EOY00212 pep chromosome:Theobroma_cacao_20110822:2:29507931:29512617:-1 gene:TCM_009974 transcript:EOY00212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILDSKACSGLVGLLAKLVHLSWTPELGFDKIGTRVPRFQSVLGLFMCVNEVRISEKFGSWGVLCNYCSNVHGGPCHRATRLCFGCGQFEHLMRDYPMQKQTIEGDHRYVRQMATTPPKIAQIGRNVRSDKGKGIASLPRNRLAQPSCESQVHGFTPTPQDAQTSNAMVTSTPLICGTEALVLYLILDRHIPS >EOX99397 pep chromosome:Theobroma_cacao_20110822:2:11001596:11003813:-1 gene:TCM_008083 transcript:EOX99397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin family protein MVRVVRSCAQSILKLVNSIIGMAGTAMILYAIWLIRVWQREIGDFFPFEGSDYAAPWFIYTFLGLGVTLCVITCSGHIAAETANGCCLYFYMAFTFLLLMLEAAVTTDVFLNNDWEEDFPVDPSGSFNKFKNFIRSNFEFCKWIGFSVVSVQGLCILLAMILKALGPHQYYESDDDIDPARVPLLKNAVHPPYVVGSPAYGSHSNA >EOX99870 pep chromosome:Theobroma_cacao_20110822:2:17587671:17591075:1 gene:TCM_008853 transcript:EOX99870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin accessibility complex protein 1 MRKSPMALMKKRALKSLVEVSAKITLPLELPSLNEADKNWTRKLRRSNRKVKTSGSLAAMRAAAKRRRQNGAGQNATRGWGKNKMFQRIPKKDEAIDPIRPEFPTGRVKRIMKLDKDINKINSEALFLVSCSTDLFLRFLAERSAEVATEKKKKTVKLDHLRTAVKRHRPTSDFLLDSLPMPAESTQSAARTVTEREPSRPVADKPAAAGTRRIDHFFQHITITCDYIQNGIS >EOY01858 pep chromosome:Theobroma_cacao_20110822:2:41199944:41201832:-1 gene:TCM_011665 transcript:EOY01858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein MEASQTGIQTQTQTQTRFRIKILLTSLSAMVAETSTFPIDLTKTRIQLHGESQPLSSSSSSSSTRSTNAFRVASEIVREQGVLGLYKGLSPAIIRHLFYTPIRIVGYENLRNFVSSDGSLSLSSKALVGGISGVIAQVVASPADLVKVRMQADGRIVSRGLQPRYKGPFDALKKIVAMEGFGGLWKGVFPNVQRAFLVNMGELACYDHAKRFVISNQISDDNIYAHTLASIMSGLSATALSCPADVVKTRMMNQAGGKGGNVMYKNSYDCLVKTVKIEGLTALWKGFFPTWARLGPWQFVFWVSYEKFRQIAGLSSF >EOX97144 pep chromosome:Theobroma_cacao_20110822:2:1378196:1379220:1 gene:TCM_006243 transcript:EOX97144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYCIHTLKKKRETPRAISAEPSDTSARESTVNLLLVSAYYRAYLLKQGHPKQVLSQGWLPFVRRWKLAIGDRVLLHKIQDKAGKGLYRIEVIERAKQSPGVLSPSILNHDGDSTMRNFGKEPAGSTVTSHSNDQAMTYNLTERLNDLPITDGVGSKMVEFICLKPRAS >EOX99330 pep chromosome:Theobroma_cacao_20110822:2:10169682:10175802:-1 gene:TCM_007962 transcript:EOX99330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Micronuclear linker histone polyprotein-like protein MAMGGVSHKGNGNSNRGRPYGLMLLVAFGAALLGVMVLHKLRERRIFNLLVEDKNRQLISLQLLLQKEREYMKEMKRNAEETKAKIYFLRNQKMELDRRLLEMQSAIESLKDEQKTMESALEEKQYEIILLQEKHVDSGNENPQVLALTATLKQKEAEIEVLKHRLKSPVRVWSVSADDKSNLPVNITVTGSMEEKEKTEFSQEEGGRVHESTAYKDGDNSTKDQDRSEIKSNFSQEEQNREEVEDGSKKKGETTLRMDMAGGGQLQKPVSLGENARNEGAAGEMRNEYSQYTGTSRMNGEMNHANATETINDMDEQGQKITNTGQLGELKNPRPEGESQKLQGTYEGGRKLGIDDNSRISGLSGRFDHLSRAKGKRWRSLARNRFLKKNVLSELDGVASMRSRRFSKAYKGEARSSEGGVVSDEGKAEREAGMRKEMDLTKVNFLKHQNSEDTEDVKQRNVSAETNHQVEGENAMSRNPGKFLAREVPEKTGVNAEASNYTHHVKQLKVEEAASHIKQNMKSREVKELEKKPELNPVAKDEMEEDTEVADKQEPETEAANGDLSSDFMSDSEDKEGYKEATDESEF >EOY01027 pep chromosome:Theobroma_cacao_20110822:2:36918746:36922810:1 gene:TCM_010959 transcript:EOY01027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVRVEKKAEEKITWGKFVLFSKKKNQGAKGNRLLISITVLGSAGPIRFVVNEEELVAAVIDTALKSYAREGRLPVLGSDLNDFHLYCPCAGSDALSPWETIGSQGARNFMLCKKPKTEKMEDDGRSTGAITRKGSGNWKMKMGGVVWKHGRSGVPPSPKYIRF >EOY01028 pep chromosome:Theobroma_cacao_20110822:2:36920321:36922810:1 gene:TCM_010959 transcript:EOY01028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 QKKNQGAKGNRLLISITVLGSAGPIRFVVNEEELVAAVIDTALKSYAREGRLPVLGSDLNDFHLYCPCAGSDGRFIQIHALSPWETIGSQGARNFMLCKKPKTEKMEDDGRSTGAITRKGSGNWKVPILFISEMGMQCCFHDYIA >EOX97174 pep chromosome:Theobroma_cacao_20110822:2:1475979:1476832:-1 gene:TCM_006265 transcript:EOX97174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIFEKTIDGDDINQLTITKKFDAEPFPSAAGGGAMTVKDEQGSLWTFKYKVKSRNKRVLSGHWVHFVRNNRVRVGDRVAISNNDGWSSEAEYKIEVIRGF >EOY00874 pep chromosome:Theobroma_cacao_20110822:2:35636045:35640147:-1 gene:TCM_010795 transcript:EOY00874 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MDTSEVEENLFAASDAKLHGEMCKTLSAIYCKVLSIFPSLEAARPRSKSGIQALCSLHIALEKAKNVLQHCSTCSKLYLAITGDSVLLKFEKAKCALIDSLRRVEDIVPQSIGCQILEIVSELEGIVFSLDLSEKQVGDEIITLLQHGRKFDDCNDNNELESFHQAATRIGITSSRAALTERRALRKLIERARAEEDKRKESIVAYLLHLMRKYSKLFRSEVSDDNDSQGSTPCSPTVLGSLEDGGAGGNGQAFERQLSKLSSFNFKPNIRRSGQIPLPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFGDGHNTCPKTQQKLPHLSLTPNYCVKGLIASWCEQNGVPIPDGPPESLDLNYWRLALSESETANSRSMDSVGSCNLKWVKVVPLEESGTIEEVEGNEAENESPCPQVEVSEFTVLERYQDFLSVLKEEENLRKRCKVVEHVRLLLKDDEEARMFMGANGFVEGLMRFLESAVREVNAMAQEMGAMALFNLAVNNNRGEDPIKL >EOY00873 pep chromosome:Theobroma_cacao_20110822:2:35634398:35640375:-1 gene:TCM_010795 transcript:EOY00873 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MDTSEVEENLFAASDAKLHGEMCKTLSAIYCKVLSIFPSLEAARPRSKSGIQALCSLHIALEKAKNVLQHCSTCSKLYLAITGDSVLLKFEKAKCALIDSLRRVEDIVPQSIGCQILEIVSELEGIVFSLDLSEKQVGDEIITLLQHGRKFDDCNDNNELESFHQAATRIGITSSRAALTERRALRKLIERARAEEDKRKESIVAYLLHLMRKYSKLFRSEVSDDNDSQGSTPCSPTVLGSLEDGGAGGNGQAFERQLSKLSSFNFKPNIRRSGQIPLPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFGDGHNTCPKTQQKLPHLSLTPNYCVKGLIASWCEQNGVPIPDGPPESLDLNYWRLALSESETANSRSMDSVGSCNLKWVKVVPLEESGTIEEVEGNEAENESPCPQVEVSEFTVLERYQDFLSVLKEEENLRKRCKVVEHVRLLLKDDEEARMFMGANGFVEGLMRFLESAVREVNAMAQEMGAMALFNLAVNNNRNKELMLAAGVILLLEDMLSNSNAHESATALYLNLSCLEQAKTIIGSSKAVPFLVQLLGGEADPQCKLDALHTLYNLSTVHSNIPSLLSAGIVNGLQSLVVSGDHTWTEKSIAVLLNLASSQAGKDEMVSASGLISGLASVLDAGELIEQEQAVSCLLLLCNGNEKCSQMVLQEGVIPALVSISVNGTTRGKEKSQKLLMLFREQRQRDHLPADLHKRVESSQAPMPGPAPASESKPLCKSVSRRKMGKALSFLWKSKSYSVYQC >EOX98117 pep chromosome:Theobroma_cacao_20110822:2:4615520:4615999:1 gene:TCM_006951 transcript:EOX98117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVFTKVLTNTDVQRRFSFPDGCLPALPPFRGCHAIVLQVKDEAGILWNFACTIRSGMTPTPVIVSGWIQFVRSKELQIGDVVFFYREDDTVTGAHYKIEVKKNSTLTSVNRKVL >EOX99033 pep chromosome:Theobroma_cacao_20110822:2:8198080:8198624:-1 gene:TCM_007661 transcript:EOX99033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSLLSVSLHLQFLAGFLERERDMGVIGFRFDPNVVVLCGKRKFRSLFWRVRAEIRRQVKARTSKQRFSFHYDPFSYALNFDNGNFGFLC >EOY01324 pep chromosome:Theobroma_cacao_20110822:2:39029817:39032070:1 gene:TCM_011257 transcript:EOY01324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREKIVNEFWWLAYDQVKMKLSFYVLLLNMNLVDNIYKGGETRVRGVGSDLSFSGLMKLAEEVVRLMQPLPEIVMGPLPSLNDIVTTMSDDDASDQMHDDYAEDDTINYNDDNYVGGHDDCLEEDKGDDNDISDCNHTDDGT >EOX99494 pep chromosome:Theobroma_cacao_20110822:2:11644535:11648556:-1 gene:TCM_008177 transcript:EOX99494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCIRDCDTEFQLNFCDWPNLNFSSLPNVKLMITYGVKLVEEVVGVNSHNNEIELHVSLSHAAGVSRAVIRDDEDVASILLDERAIVVFVTIKVGNANDIPHEHGVQHSNHKEQNVNYSNIRHHAFPNKQPWQSRLTYPHEFQQPGAHMRCLQMMSAQFQSECASNEILGTLKQTQQSVENALGPLSSANDTVMVNDELHDDCEDDYVGEHEDRSKDDRVKQTDILDCNHTDGSTGHTITLVLEEVELDDHDRIVELEDVEGADPIYKNTIALENDIRSPDDSDQEKVNTGVSRHWIISRLNMISFQIVRSEESISMDDYLYRGKVFPSKAELKRVLSMLALKEHFEVRVKKSCHARFEVGCKDKACKFALRATKLPEGEYWQLQTFWKGFSAVMRPVVAIDATHLKGRFKGIMFMAHLDIKNAVEKVYKNVHHGLCNYHLGKNVKNRFKREDVTTIFTMAANCYRAVNFNRHMNQLKHLCKPAYDNLMRLGLERWARARSPVSPWATDLLNRRFNEACHFSIQAIDWVEFQVIGGSKDRVVNLSTKKCSYDEFQTYLLPYTHAMKAISANMQPLNSTRTITRLGLRRRDMRFPFTQLGIPMSGTSPMTFNKLSFCHQVGEVKLEDLGGKRFHQLGKAADDVDVHNARAMTTNTDNVISVVLEDSGPSDNLEMIFATHDVAAVVTRTTKQNDCYDWGTIKSKTQDVAAAVTSTTKQTEWDTQDVHMEEKTFKSNGGDVGTSWGTMEEECKKPYASDALPRSGWGTEDVIPMKTLDNSSKSTSWEQQKSPECYKGWGSLDESNQPASSNG >EOX98611 pep chromosome:Theobroma_cacao_20110822:2:6380508:6388263:1 gene:TCM_007319 transcript:EOX98611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 4 MCSLEVQAPIPTTLDPEKSVINGANSDDDELSPIEEVRLTVTNTDDPTLPVWTFRMWFLGLLSCALLSFLNQFFSYRTEPLIITQITVQVATLPIGRFMAAVLPRAQFKLPGLGSRKFSLNPGPFNMKEHVLISIFANAGSAFGSGSAYAVGIVTIIKAFYARSISFLAGWLLIITTQVINRAYYFKIAACMSSMEIQAPHPGTKPSDPEKHVANGENYDDEEISPIEQVRLTVANTDDPTLPVWTFRMWFLGILSCALLSFLNQFFSYRTEPLIITQITVQVATLPVGHFMASALPKTQFKIPGFGSKMFSLNPGSFNMKEHVLICIFANAGSAFGNGSAYAVGIVNIIKAFYGRSISFLASWLLIITTQVLGYGWAGLLRKYVVEPAHMWWPNTLVQVSLFRALHEKDDRRMTRAKFFLIVLICSFSWYLVPGYLFTTLTSISWVCWIFPKSVTAQQIGSGLKGLGLGAVTLDWSAVASFLFSPLISPFFAIANVFAGYVLIIYIAIPVAYWGLDLYNASRFPIFSSHLFTAKGQKYNITAIVNDKFEIDLAKYEELGRINLSMLFAFTYGFGFATIASTLTHVALFYGREIYDRYRASYTGKEDIHTRLMKNYKDIPSWWFYILLTATFVVSLVLCIFLKDQVQMPWWGLLFAGAMAFVFTLPISIITATTNQTPGLNIITEYVMGLIYPGRPIANVCFKTYGYMSMAQAVSFLNDFKLGHYMKIPPRSMFLVQFIGTVLAGTINLAVAWWLLNSIENICHDDLLPADSPWTCPGDRVFFDASVIWGLVGPKRIFGSLGNYRAMNWFFVGGAVGPVIVWLLHKTFPKQSWIPLINLPVLLGATGMMPPATPLNYNAWIIVGTIFNFFIFRYRKHWWQRYNYILSAALDAGVAFMAILLYFSVGMENRSLTWWGTGGEHCELATCPTAKGIAVEGCPVN >EOY00901 pep chromosome:Theobroma_cacao_20110822:2:35792680:35793527:1 gene:TCM_010816 transcript:EOY00901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-5-phosphate isomerase 2 MAIAWSAKASSMEALSLSPPMSPPVVLTQDELKKIAAYKAVEFVESGMVLGLGTGSTAKHAVDRIGELLRQGKLNNIIGIPTSKKTQEQALSLGIPLSDLDNHPTVDLAIDGADEVDPHLNLVKGRGGSLLREKMVEGACKKFVVIVDESKLVKYLGGSGLAMPVEVVPFCWKFTAKKLQKLFEDSGCVAKLRNDCKGEPFVTDNGNYVVDLYLKKDIGDLQVASDAILRIAGVVEHGMFLDMATTVIVAGELGITIKNK >EOX97484 pep chromosome:Theobroma_cacao_20110822:2:2426794:2430790:1 gene:TCM_006484 transcript:EOX97484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGWNYYFSFFLLFINVNSCVYNKRQTKCGIGSFLVFLPGLIHGFSFSFWNFGGLNQWHEIRFPSCSAIIACLFFVLTGSDISRLIHMNFPKFLNIQKKKKNPAFPWMP >EOX97026 pep chromosome:Theobroma_cacao_20110822:2:962854:964068:-1 gene:TCM_006146 transcript:EOX97026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGITWEIQVRDLGPVVGSQRTLMDDESSKREGPCELPHIPISLDKNPSQPNMDFLFPSPNCQREQQYR >EOX99372 pep chromosome:Theobroma_cacao_20110822:2:10670576:10678366:-1 gene:TCM_008041 transcript:EOX99372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyltransferase 1, putative MASLSLAHASRRLLTPSLSFSQLRTRMIKNPAFDSQFMSRSFSRKNSVLLSSNFKTRIACMSTTTSPGGKDDQKLSGETEKVGGVSSWIDYLPREIQPYAKLARVEKPIGTWLLIWPFAWSATLAAPTGSLPDFKTLALFACAAPLLRGAACTINDILDRDIDRMVERTKLRPIASGAVTPFQGLGFLAFQLILSHGILLQLTHYSPIYEASFIFLMSTYPLMKRFTYWAQAYLGLTFNWGTLLGWYAIKASLQPSILLPLYMSGIFWTLVYDTIYAHQDKEDDIKVGVKSTALKFGDSSKEWTTAFAIACISSLALSGYNAEIGWPYYMFLAAASGQLAWQIGTANLSSPADCSRKFVSNKWFGALIFSGILLGRVFP >EOX99363 pep chromosome:Theobroma_cacao_20110822:2:10487242:10493850:-1 gene:TCM_008012 transcript:EOX99363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein MRRTLLKPLYPGSLSRLQSPLLSSPHRNVESPPNPLKLLSNPISISSSRFIFTPSNLPPPEWIEPFFKVSGLASIFPRDLQPSPWVSKIVNLLDGSSNMELNLYSFCHKFSIQLSPNFVAFVLASVEVQNKPDVALRFLTWAAKQKKYTHKLECYVSMINVLALANDLAKVRFLFGQLKEMGSVMTMSSANSLIKNCGGLGMVEELLWVWRRMKENEIEPSLYAFNFLLNGLVNSMFVESTEQVFKVMENSKIRPDVVNYNTMIKGYCKAGKTQKAMEKLQAMETINLEPDKVTYMTLMQACYSEGNFDSCLGLYHEMVEKSCEVPPHAYSLIIGGLCKDGKCLEGYAVFQNMIRIWFKANVVIYTIVIDAFARCGRMEDALKLFEGMKTDGFEPDEVSYGAIVNGLCKSGRLDEAMEYLRFCRANEVAINAMFYSSLIDGLGEAGRVDAAQKLFEEMMEDEGCDQTVYTYTILKRGLFREHKYEEAMKLWDMMIDKGITPTAASFRALSIGLCLSGKVTKACEILDDLAPMGVIPETAFEDMIHVLCKAGRIKEACKLADGIVDKGREIPGRIRTVLINALRKAGNADLAMKLMHGKIGIGYDRMGSIKRRVKFQILVES >EOX97584 pep chromosome:Theobroma_cacao_20110822:2:2752169:2764163:-1 gene:TCM_006568 transcript:EOX97584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin 1 isoform 1 MATTGSASWQPQEEGLKEICGLLEQQISPSSSADKSQIWQQLQHYSQFPDFNNYLAFILARAEGKSIEIRQAAGLLLKNNLRTAYKLMAPAHQQYIKSELLPCLGAADKHIRSTVGTIVTVVVQLGGILGWPELLQALVNCLDSNDLNHMEGAMDALSKICEDVPQVLDTDVPGLAERPINIFLPRLFQFFQSPHPSLRKLSLGSVNQYIMLMPSALYASMDKYLQGLFVLANDPVAEVRKLVCAAFVQLIEVRPSFLEPHLKNVIEYMLQVNKDSDDEVALEACEFWSAYCDAQLPSENLREYLPRLIPILLSNMVYADDDESLVDAEEDESLPDRDQDLKPRFHTSRFHGSDDAEDDDDDTFNIWNLRKCSAAALDVLSNVFGDEILPTLMPIIQAKLSASGDEAWKDREAAVLALGAVGEGCINGLYPHLSEIVAFLIPLLDDKFPLIRSISCWTLSRFSKYIVQDSGHQKGYEQFDAALMGLLRRILDTNKRVQEAACSAFATLEEEAAEELAPRLEIILQHLMCAFGKYQRQNLRIVYDAIGTLADAVGGELNQPVYLEILMPPLIAKWQQISNSDKDLFPLLECFTSIAQALGTGFSQFAQPVFQRCINIIQTQQLAKVDPVSAGVQYDKEFIVCSLDLLSGLAEGLGSGIESLVSQSNLRDLLLQCCMDDASDVRQSAFALLGDLARVCSVHLHPRLSEFLDIAAKQLNAPKLKEMVSVANNACWAIGELAIKVRQEISPIVMTVISCLVPILQHAEGLNKSLVENSAITLGRLAWVCPELVSPHMEHFMQSWCISLSTIRDDIEKEDAFRGLCAMVRANPSGALSSLVFMCKAIASWHEIRSEELHNDVCQVLHGYKQMLRNGAWDQCMSALEPPVKDKLSKYQV >EOX97585 pep chromosome:Theobroma_cacao_20110822:2:2755027:2763965:-1 gene:TCM_006568 transcript:EOX97585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin 1 isoform 1 MATTGSASWQPQEEGLKEICGLLEQQISPSSSADKSQIWQQLQHYSQFPDFNNYLAFILARAEGKSIEIRQAAGLLLKNNLRTAYKLMAPAHQQYIKSELLPCLGAADKHIRSTVGTIVTVVVQLGGILGWPELLQALVNCLDSNDLNHMEGAMDALSKICEDVPQVLDTDVPGLAERPINIFLPRLFQFFQSPHPSLRKLSLGSVNQYIMLMPSALYASMDKYLQGLFVLANDPVAEVRKLVCAAFVQLIEVRPSFLEPHLKNVIEYMLQVNKDSDDEVALEACEFWSAYCDAQLPSENLREYLPRLIPILLSNMVYADDDESLVDAEEDESLPDRDQDLKPRFHTSRFHGSDDAEDDDDDTFNIWNLRKCSAAALDVLSNVFGDEILPTLMPIIQAKLSASGDEAWKDREAAVLALGAVGEGCINGLYPHLSEIVAFLIPLLDDKFPLIRSISCWTLSRFSKYIVQDSGHQKGYEQFDAALMGLLRRILDTNKRVQEAACSAFATLEEEAAEELAPRLEIILQHLMCAFGKYQRQNLRIVYDAIGTLADAVGGELNQPVYLEILMPPLIAKWQQISNSDKDLFPLLECFTSIAQALGTGFSQFAQPVFQRCINIIQTQQLAKVDPVSAGVQYDKEFIVCSLDLLSGLAEGLGSGIESLVHAFSFALNEKRCDAKHLPYPPCPNPLFFLSCMKVGIAPLLEVSI >EOX98033 pep chromosome:Theobroma_cacao_20110822:2:4324915:4326015:1 gene:TCM_006895 transcript:EOX98033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, putative MTAPGKKPVLVRQVFAEDLEREFALIRSAIDKYPFVSMDTEFPGTIFKQDKNLIHQADPAINYLFMKSNVDALRIIQLGLTLADSQGNLPDFNTPYRYVWEFNFKDFDFERDYCDKESIELLKIQGIDFVKNKKKGFFGLHGGLEKVAKLLNVARVAGVSHQAGSDSLLTLQCLMELKQSKVFDRINNGRMLPALALYGLVTVLG >EOY00435 pep chromosome:Theobroma_cacao_20110822:2:32318911:32320021:-1 gene:TCM_010298 transcript:EOY00435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major latex protein, putative MACSGKLHVDVELKSPAEKVWGTIRDSTKIFPEALSHDYKSIQVLEGDGKAPGSIRLINYAEGSPIVKVSKERIESVDEVGKIYVYSIIDGDLKQYYKTFIGKIIVIPKGESSLVQWSCEFEKASEEIPDPSLIKEFAVKNFVEIDDYIHTKA >EOX98863 pep chromosome:Theobroma_cacao_20110822:2:7566197:7573377:1 gene:TCM_007541 transcript:EOX98863 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MEEETLNPHSTLYEAYNDLHGLAQELEAPFDAPAVLVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPITLQMSYDPLCDVPLCHLVSDEDPTLAHEKSLPEIQAYIEAENMRLEREPCQFSAKEIIIKVKYKHCPNLTIIDTPGLIAPAPARKNRALQSQARAVEALVRTKMQHKEFIILCLEDCSDWSNAMTRRVVMQIDPELSRTVIVSTKLDTKIPQFARSSDVEVFLSPPACTLDGFILGDSPFFTSVPSGRVGSGHDSVYRLNDEFKQAIVSREMEDIASLEEKLGRQLSKQERSRIGVSKLRLFLEELLQKRYMDSVPLIIPLLEKEYRSTTRKLTEINKELSTWDEVKLKEKGRTFHDLFLTKLSLLLKGTVVAPPDKFGETLVDERTNGGAFVGADGLQLPHKLIPNSGMRLYGGAQYHRAMAEFRFVVGGIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVSKARDAFEPFLHQLGCRLLHILKRLLPISIYLLQKDGEYLSGHEVFLRRVASAFNNFAESTERACREKCMEDLVSTTRYVTWSLHNKNRAGLRHFLDSFGATEQSSAVVNSISPGISQESLPGSVAAEKHDTKPRADVKLSHLSSGIDSCSSAQTAETKLADLLDSTLWNRRLGPSSERIVYALVQQIFHGIREYFLASAELKFNCFLLMPVVDKLPALLREDLESAFADDMDNVFDITNLRHSLGQRKRDTEIELKRIKRLKEKFRVIHQQLSSCQQIPILLPACSD >EOX99378 pep chromosome:Theobroma_cacao_20110822:2:10859281:10859993:-1 gene:TCM_008060 transcript:EOX99378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epidermal patterning factor 1 MKRSVCVASIAIILCCVPVVVTSARHVRRLQIHHSQAHESQPSTGEGIVAKPNSYWERTRPMMKRKGSDTLQIAGSSLPDCSHACGLCTPCRLVMVSFVCASLEEAETCPMAYKCMCNNKSYPVP >EOY01288 pep chromosome:Theobroma_cacao_20110822:2:38815751:38819190:-1 gene:TCM_011225 transcript:EOY01288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFQQKDVIIVEKILRSLTPKYNFIVCSIEKSHDIDELSLDELQSSLLVHEQKLIHPDQVEQDFQVSTQKKGYEVIIKDGTGKTQNDKLGLIAQIWPLEFGGLKILQQKNMVTSLSTFQIPSSICEDYVIGKQHRESFSKGTQRTREILEIVHSNISGPITLTSNGGKRSLKQLQKMKQEGQFRCYAQIGVENMTHKIFQNFWDEGGAKQHITISLNDEEQTNGEGKQHDIKQAKEVEHGSQSTSQKSIGVKWVFKTKLKENGEIDKHKAKLVAERYKQEYGVDYEEVFAPVTRHDTIRMILGFAAQNQWLIYQLDIKSSFLHGKLQEEVYVDQPPGHIRTGEEHKVYRLKKALYGLNKHVELGTTT >EOX97397 pep chromosome:Theobroma_cacao_20110822:2:2160486:2164645:1 gene:TCM_006424 transcript:EOX97397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein ndc80, putative MRGTTRRRPTDSFNPPVPQPSRDSDASFASSRPSSIGMGRAISAADPYSDRAFQTATIRSINAFFSSHSIPPISTKPSQAPSAKDLSNILTSLLSLLHFPCSKLEEDLGPLLKSLNCPFKFNKSTLRAPNTPHNWPNWLGIIHWLVQLAMYNEHLSQNSTTSFAQSDSMTEYALESYMRFIRGEDDLLEVLDKEFMEKLEKERENVVENSRALEKNVGELEVKAEGLRTGPTERQVLEKEKNVLEEDVKKFHAMIAEFTGRIGAMEKVLEEKEKELNAKEEERRRVCEENEELKKRVELQTFNARDVERMKREMQAVERDIGEAEVARNSWEDKSWDLDSTIGQKFKELMALTMECNQAIRRLKLGYDFQYELNAKGSTPAEVMGIDYKGTLKPELESYADKIRESSKKKFEDMIILQQQSKDMATKIEDKKNRIDALQSHIDEVEAQINMFKKEMQEYGYRSTAEAKKMVEDVHIEAHKLDIAEKEAAEILKASQSRLQEAIQRSEEEIQMHAQELFMVVDSVSKYKEHVESKISEIRISLSDTAAAVSDAYKGSLPAQFTGSANAS >EOY00643 pep chromosome:Theobroma_cacao_20110822:2:34206267:34227676:1 gene:TCM_010541 transcript:EOY00643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRTSRVRQGAYPGTSWRSATNDKKAVKNLFNKCKFREKSERCLRAKVSLEIHDPVPTVDRSGCTLKRGGGDKSKDQGVSYLMMTTGDSKQVNHLFISRSFKTLVRALKLARRKRGQMPKKDLKVEIPSEAEMIAGGVESHAAAAISGQGASGISRQPSVTKTNCLCSPTTHAGSFRCRLHRAPSLQRTKSIDSQSASLRDSTSKANSATAE >EOY01068 pep chromosome:Theobroma_cacao_20110822:2:37347255:37349603:1 gene:TCM_011012 transcript:EOY01068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein MDGAELELERRSKFLNSLIQKKKAIEQQDQTERLNVKVRASDMPLPLQNRAFRCARDQLDSMPGKLDSKRLALALKKEFDSTYGPAWHCIVGTSFGSYVTHSLGGFLYFSIDKVYILLFKTAVEPLDH >EOX99572 pep chromosome:Theobroma_cacao_20110822:2:12260430:12272028:1 gene:TCM_008269 transcript:EOX99572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKDKDGGTKGFRVHCLRGGYGGWMRKSVVLRVLFICQGKGSGFCWMELLGRKNEGGGLRVMGYQLLGFIA >EOX99750 pep chromosome:Theobroma_cacao_20110822:2:15452675:15453429:1 gene:TCM_008620 transcript:EOX99750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprotein, 77260-80472, putative MKILHPIYYASRTLNEAQANYTTTEKELLAIVFAFDKFRSYLVGTKVIVYTNHAAIKYLIEKKDAKPRLIRWVLLLQEFDLEI >EOY02215 pep chromosome:Theobroma_cacao_20110822:2:42346813:42356419:-1 gene:TCM_011914 transcript:EOY02215 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 5 isoform 1 MGDYRGVRVSCFMLVMLLVSCTNGEDAYRFFTWNVTYGDIYPLGVKQQGILINGQFPGPQIESVTNENLIINVFNSLDQPFLISWNGVQQRRNSWQDGVYGTNCPIPPGGNFTYTLQVKDQIGSYFYFPSLALHKAAGGYGGFRILSRSVIPVPFPPPAADYTILAGDWYKKNHTDLKAILDSGSDLPFPDGLLINGRGANGYTFTVDQGKTYRFRISNVGLTTSINFRIQGHKLLLVEVEGTHTLQNTYDSLDIHLGQSYSVLVTADQPAQDYYIVASTRFTSQVLATTAVLHYSNSAGTVSGPLPGGPTTQIDWSLEQARSIRRNLTASGPRPNPQGSYHYGLINTTRTIRLASSASIINGKQRYAVNSVSFIPADTPLKLADYFNISGVFSLGSIPVGPTGGGAYLQTSVMAADFRGYTEIVFENPEDTLQSWHIDGHNFFVVGMDGGQWTPASRLTYNLRDTISRCTVQVYPKSWTAVYMPLDNVGMWNVRSENWARQYLGQQFYLRVYSPAKSWRDEYPIPRNALLCGRAVGQRT >EOY02216 pep chromosome:Theobroma_cacao_20110822:2:42347061:42351099:-1 gene:TCM_011914 transcript:EOY02216 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 5 isoform 1 MGDYRGVRVSCFMLVMLLVSCTNGEDAYRFFTWNVTYGDIYPLGVKQQGILINGQFPGPQIESVTNENLIINVFNSLDQPFLISWNGVQQRRNSWQDGVYGTNCPIPPGGNFTYTLQVKDQIGSYFYFPSLALHKAAGGYGGFRILSRSVIPVPFPPPAADYTILAGDWYKKNHTDLKAILDSGSDLPFPDGLLINGRGANGYTFTVDQGKTYRFRISNVGLTTSINFRIQGHKLLLVEVEGTHTLQNTYDSLDIHLGQSYSVLVTADQPAQDYYIVASTRFTSQVLATTAVLHYSNSAGTVSGPLPGGPTTQIDWSLEQARSIRRNLTASGPRPNPQGSYHYGLINTTRTIRLASSASIINGKQRYAVNSVSFIPADTPLKLADYFNISGVFSLGSIPVGPTGGGAYLQTSVMAADFRGYTEIVFENPEDTLQSWHIDGHNFFVVG >EOY01899 pep chromosome:Theobroma_cacao_20110822:2:41309291:41312527:-1 gene:TCM_011692 transcript:EOY01899 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MSKLNDSSAIKLFGKTIPLSTLNQDEALADQEIPFRGATREDRGDGNLVSSSSPLGGVNSNDGVQAQVIREDQESTEKELPKDKQKDSTSDQIRDDLKDPTALSGNDRSPLAERNTSSLKSSKNEGTSETTSSQEKTLKKPDKILPCPRCNSKETKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKTKSSSALHYHQIMISEAIRAAKASAANGMYRNPSCENNNGHVLTFGSDSSVPVASVFNLSGKTQINVQNGFRKTEQRYLVEDNHSGESLITASYSLEKEGNASLNQAEVKNRHWFTSTAPCFSRPSWPYSWDSIPAMLPPPPALCPPSFPVSVHRAPLTYSGCSVPSPWDVPVASPSPSSVNQCAPSSSPTSPTLGKHSRDENFLSPANLEKEKPSGEGDKSEGRALISKTLKIDDPGETAKSSMLATIVIKGKKTNSINPGGLFDGFQSKSRDEKNYRLETFSVLRANPAALSRSLKFHENT >EOX98116 pep chromosome:Theobroma_cacao_20110822:2:4611137:4614540:-1 gene:TCM_006950 transcript:EOX98116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein MAASAATASSSVTEEELTLTVKWSGKEYTVRVCGDDSVAELKRRICELTNVLPKRQKLLYPKIGNKLSDDSLLLSQLPLKSSLKMTMIGTVEDDIIVDPVETPEIIDDFELGQDEAVDIKDKEVNKQKLKRRIDQYKIELKTPCRKGKKLLVLDIDYTLFDHRSTAENPLQLMRPYLHEFLTAAYAEYDIMIWSATSMKWVELKMGQLGVLHNPNYKITALLDHLAMITVQSDSRGTFDCKPLGLIWAQFPEFYSSKNTIMFDDLRRNFVMNPQNGLIIKPFRKAHANRDTDQELVKLTQYLLAIADLDDLSALDHSNWQLFTEDSAKRRRHA >EOX99707 pep chromosome:Theobroma_cacao_20110822:2:14221021:14223675:1 gene:TCM_008496 transcript:EOX99707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative MEKNLNSEKSGSFSGIGKRRSHSISESVSFQLDGPEPKECDENQNPAELSLEPDLKHDQILEEVDQFIEKLDSDGGKCKAPEIPNSIEPLLKMVETRIAGYEQREASAKFGQNQEEDPSFFEAISRVSRIVKSLDEFPFDSSATSCFNQAGSVHHRAMLLLEYEFCALLDNSKRICNINRDPKTPQTPKQSFFNSNHELDRCIRPESDSKKEDEFPSFSPESISNMNRIATAMISAGYEAECCISYSGLRLKALDVELNKQGFENINVDDVQRMHWESLEGEIANWIQIVKHCSTNFFSAERKLCNSVFSEHPLIAQRLFSDHATFVTVRLLNFANAVVLTKRYSTEKLFKFLDIYETLHDLIRDFGDDSSAPDLIFETSVTHCRVGEAAVSIFCQLENSIKSDNGRIPVASGAVHPLTRYTMNYLKYACEYKDTLEQVFQQHYKMEESTRQKFEEQESKNAIKDDGSPRASPFSVKLMMVMDLLDANIDMKSKLYRDPALRYIFLMNNGRYILQKVKGSAEIYEMMGDSWSRKRTTDLRRYHKNYQRETWSKVLQCINHEGLQVNGKVSKTILKERFKNFNTLFDEIHKTQSTWVVSDEQLQSELIVSISAVVIPAYRSFLGRFKSYVDYGRQAEKYIKYQPEDIEGLIDQLFDGNSTSMGRRRT >EOY00239 pep chromosome:Theobroma_cacao_20110822:2:30352724:30357391:-1 gene:TCM_010060 transcript:EOY00239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein MNRCAVSSLSHYFHLFSHRYRRTFAPYSWPFFFKRYLGQILYLSDSGTTRPFPNYCPKKPTIKDSELVHQISTAIKLCRSEPLYRVLRPYESKFRSDHLIWVLMNIKGDYGLVLDFFEWTCSRRDPTLEARCIIVQIAVASKDLKMAHQLICDFWSKPNLDVGLSFYHFSERLIYTYKDWGSDPNVFNVFFQVLVEAGMLDEARKLFDKMLNYRVIISVDSCNAYLNQLKDHFNGPWKAIKVFIEFPEVGVCWNTASYNIVIHSLCTLGKIKEAHRLLLQMELRGCIPDVVSYSTIINGYCQAGKLPKVLRLIEEMQAKGLKPNPYTYNSIIYLLCKAGNVAEAEKVLREMMNQGMEPDRVVYTTLIGGFCKLGNIPSAYRLLNEMQGQKIFPDVLTYTSIICGFCQTGKMTEASNVFQEMLGIGLEPDEVTYTALIDGYCKAGAMKEAFSLHNEMVHMGLIPNVVTYTALADGLCKRGEVDTANELLHEMCGRGLQPNIFTYNSLVNGLCKAGNIAHAIKLMEDMEIAGLHPDAFTYTTLMDAYCKTGEMDKAYDLLKKMLDRGLQPTLVTFNVLMNGFCMSGMLEDGERLLKWMLEKGIMPNATTYNTLMKQYCIRNNMRATTAMYKGMCAQGVMPDGNTYNILIKGHCKARNMKEAWFLHREMIGKGFNLTASSYNVLIKGFLKRKKFSEAREIFDEMRREGLPADEEIYSFFVDINYEEGDMETTLELCDEVIENCLVSKENNENK >EOX98702 pep chromosome:Theobroma_cacao_20110822:2:6759063:6761403:1 gene:TCM_007399 transcript:EOX98702 gene_biotype:protein_coding transcript_biotype:protein_coding description:FK506-binding protein 12 MGVEKQVLRPGTGPKPTPGQTVTVHCTGYGKNGNLSEKFWSTKDKGQQPFSFQIGKGSVIKGWDEGVMGMQVGEVARLRCSPDYAYGAGGFPAWGIQPNSVLDFEIEVLTLH >EOY00097 pep chromosome:Theobroma_cacao_20110822:2:25643283:25646488:-1 gene:TCM_009590 transcript:EOY00097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 1 MKGENNNPSTSFAKLFNAHLHFFNVLSCVLLFGSLLSLGIILSFHLKNFSIDLHITQLSLTTRSASAPPPPPPPQSFTPAAKTSNVAGIGLTEFLKPPDVMHGMDDKELLWRASMVPRIPQYPFDRVPKVAFMFLTKGPLLLAPLWEKFFEGHEGMYSIYVHSNPSFNLSDPKSSVFYGRRIPSKEVEWGKVNMIEAERRLLANALLDFSNQRFVLLSESCIPIFNFSTVYSYLINSSQSFVESYDLEGPVGRGRYSRRMSPRVTLDQWRKGAQWFEMDRELAIGVVSDQEYFPVFQKYCKGACYADEHYLPTFVTMKFGHKNSNRTLTWVDWSKGGPHPAKFLRTEVTVEFLERLRSGSQCQYNGNTTDICHLFARKFSPDALYRLLKFAPKLMHFHE >EOY00098 pep chromosome:Theobroma_cacao_20110822:2:25636556:25646488:-1 gene:TCM_009590 transcript:EOY00098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 1 MKGENNNPSTSFAKLFNAHLHFFNVLSCVLLFGSLLSLGIILSFHLKNFSIDLHITQLSLTTRSASAPPPPPPPQSFTPAAKTSNVAGIGLTEFLKPPDVMHGMDDKELLWRASMVPRIPQYPFDRVPKVAFMFLTKGPLLLAPLWEKFFEGHEGMYSIYVHSNPSFNLSDPKSSVFYGRRIPSKEVEWGKVNMIEAERRLLANALLDFSNQRFVLLSESCIPIFNFSTVYSYLINSSQSFVESYDLEGPVGRGRYSRRMSPRVTLDQWRKGAQWFEMDRELAIGVVSDQEYFPVFQKYCKGACYADEHYLPTFVTMKFGHKNSNRTLTWVDWSKGGPHPAKFLRTEVTVEFLERLRSGSQCQYNGNTTDICHLFARKFSPDALYRFGDSWDLD >EOX99124 pep chromosome:Theobroma_cacao_20110822:2:8595046:8596670:1 gene:TCM_007733 transcript:EOX99124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAEPQMKTHQTNRRSSCFIGCFGFSGKEKPLKKTIHARSKKETRLLSWPMFRSSVRKSRTKTVPVDNTEKAEGADGNKSHTSKLIKRKSDTKLSSKPQNPVTNHTPSPQNSEAEQVASCNQAAREKPKETRQGPEPNIILGNRKLSEPTRTGSSPPGSPNVKPKSKTQTKLSHTVSLPVLEENKRVGNPRMHLREVQRKNNEVVEKIDPVLGMSIIMVTLIIMLLWGRLCAILCTSAWFYFCPRFRSTINNNDTAVRGTANSSDLDLDSEEYKKKVVLEGFLQRNHRLT >EOX99125 pep chromosome:Theobroma_cacao_20110822:2:8595046:8596670:1 gene:TCM_007733 transcript:EOX99125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAEPQMKTHQTNRRSSCFIGCFGFSGKEKPLKKTIHARSKKETRLLSWPMFRSSVRKSRTKTVPVDNTEKAEGADGNKSHTSKLIKRKSDTKLSSKPQNPVTNHTPSPQNSEAEQVASCNQAAREKPKEGPEPNIILGNRKLSEPTRTGSSPPGSPNVKPKSKTQTKLSHTVSLPVLEENKRVGNPRMHLREVQRKNNEVVEKIDPVLGMSIIMVTLIIMLLWGRLCAILCTSAWFYFCPRFRSTINNNDTAVRGTANSSDLDLDSEEYKKKVVLEGFLQRNHRLT >EOY00833 pep chromosome:Theobroma_cacao_20110822:2:35465161:35473143:1 gene:TCM_010765 transcript:EOY00833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPSPALRYSPGRELRGENHKRGRSLESGLIVREKDDDLALFNEMQSKERENFLLQASEDFEDTFSTKLKHFSDLKLGISIPVRGESSELLTADEEKNDYEWLLTPPDTPLFPSLDDEPPPANVARRGRPRTQPIAISRSSTMDKSYRSSRVSASPNRLSPSPRSGNSALQSRGRPSSAPQSSPIRPATPARRPSPPPSKSSTPARRSSTPTPRRTSTGSSGTVAASGVRGTSPIRTSRGNSASPKIRAWQSNIPGFSLEAPPNLRTSLADRPASYVRGSSPASRNGRDARFGRQSMSPTASRSASSSRSHDRDQFSSYSKGSVASSGDDDVDSFQSIPLGGSRHSVARRLGPFPNDKAPTFNKKSARVLSPSSAPKRSFDSALRQVDNRKSPPNMFRPLLSSVPSTTFYVGKGSSAHRSLMSRNSSVTTSSNASSEQGTSAALDTEGSDNQHDDMASESGKGPYANVQEEVFAFDKIDVLNKDASYERCDGSLNILIEDAGRDSAIQCVPDHSEELSNHGLEVEMSSTSDALCDRGDLSEVDSFENTKICSKCGCRYRVVEHVEEEISLCTDCSRQGDIVAVDISETTIVTSENSPGLSLKLSEEDKPFHELGTTMPPSDLLMEVTASVEPCVSQHEENIKQNENFSRQNSLGRSLAEGGEQRLGYQQERDQPTVGCSLPDRDTGGQQLQYSDEHSGLKVNTSEVAGISVLLKRSSSSKGPVVQGRTFATIPYEDLSYARDSSNSFRSSVGHGSISASSSVDFSSSRQTDTRVQRQLSGRKSDLENYRYDTNAKPQSYALSLSRSSSNNYQALSLATSTNEENFEGSVGSLKFDEAEEIAVVSQAKVVASENSEAYVSDSSFTGAAILEKDGIEWNEFSRTMDTLNSELLEDNSAASFPPSEDCVSYENGDDLPSNTRIVSGVEASAITVDPTIDERSMQNATLDGVDVAEAPGLSPLATISEIEVENSCQSSCSSEIDSSPTSERTKKGSVDLSVAIPSDVDTTASVQEHNTSDHADGILEESTVLVECHRGSKSRSLTLEEATDTILFCSSIVHDLAYQAATIAIEKESSVPLDGSRPTVTILGKSTSDRKDLRGRTVGRRTSKSHKVRQRRVETDVKSPSTKTENDENADESLICNVGLPNKVDSMKPPKLESKCNCSIM >EOX98890 pep chromosome:Theobroma_cacao_20110822:2:7661103:7676037:-1 gene:TCM_007561 transcript:EOX98890 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding bromodomain-containing protein, putative MVKNETNAQFIFPKNKIIKKRGRPCLFVCREKRKKQREKKEGKKKRPQNNMGKIVEKKKKKKGRPSLLDLQKRTLKEQQQQQQQQNQLNRKRNVSAPQITPNYDSATATPLRRSNRRNHNHSPEDNDEEDDDDEEEEEDEEGEELAGKKRREKKLKLVLKLPSSQQKSPVNSESRGSGSNLEESNAGSSHKKRKINSIGDGSAVADSKKEEKSVSGAKPTTNSQGGQLDSGPSTALPDKKLLLFILDRLQKKDTYGAFSEPADPKELPDYHEVIEHPMDFATIRKKLASSAYANLEQFEKDVFLLCSNAMQYNAPDTIYFRQARSIQELAKKNFENLRQDSDDNEAEQKVVQRGRPPTKNFKKPLGRPSLEERSAPEFSSDATLASGAENSMLVNHDMRKGLLPSDKSSFADSSGKFYGSRNDVYSGWFPENRSDRGDEGSMLKGYMMKHGKRNFVLDENRRNTYKLFHPSVAAPEASVLTTFDGERKQLLTVGVYLEHGYTRSLARFAANLGHVAWKIASKKIEKSLPPGVNFGPGWVGENDVPAQKPLLLPSVSLPPGPLTASQPCFVRQSSCSASSSCAQERREDKPSDKPEADNLSETHVPSIHSISGGHLSKPIPASGSSSSSRLAANKSPESQNEKTESSVGLTSGTGFNMTNSSAGAVRPWPPFRIHQSPVIHPGMNGHNGAYGFNLPAQMAKIIGTARPSGFSFQSSQMLDTVSRTATNFVHPGAATSNSDDSKVSENSCIKNPSSPLPNSGCERVASPRSGLHPQASWQGLSPHQKPDSRLSPQQKPGSRLSPQQKPDSVPPDLNIRFQSPGSPSSSRVDSAQPDLALQL >EOX99088 pep chromosome:Theobroma_cacao_20110822:2:8451322:8453171:1 gene:TCM_007704 transcript:EOX99088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin X isoform 1 MDTVLSSSSSLVVRSTLPPVRSVSSPSKLYSATFSFNPSINGLRRNRLPLLRSVSSSTVPKFSIQCGAIKEIKESEFQSTVLESKRPVLVEFVATWCGPCRLISPAMESIAQEYGDRLVVVKIDHDANPKLIEEYKVYGLPSLILFKDGQEVPESRREGKKK >EOX99087 pep chromosome:Theobroma_cacao_20110822:2:8451327:8452215:1 gene:TCM_007704 transcript:EOX99087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin X isoform 1 MDTVLSSSSSLVVRSTLPPVRSVSSPSKLYSATFSFNPSINGLRRNRLPLLRSVSSSTVPKFSIQCGAIKEIKESEFQSTVLESKRPVLVEFVATWCGPCRLISPAMESIAQEYGDRLVVVKIDHDANPKLIEEYKVYGLPSLILFKDGQEVPESRREGAITKPKLKEYLDALLETISVA >EOX99683 pep chromosome:Theobroma_cacao_20110822:2:13718236:13719171:-1 gene:TCM_008443 transcript:EOX99683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKHRRDMLELKDDEPGVDNRDIDHNVVGAKGENVTHVDDIVDEVVGGGVHCQSVDAEEDHVPHVDLVVDAVVGGDENLESVDAKGDHVLHVDVVVDAGMGGDGNFESVKAEEDHIP >EOY01491 pep chromosome:Theobroma_cacao_20110822:2:39653221:39661899:-1 gene:TCM_011359 transcript:EOY01491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein MITFGWSESYKFGSILAWTSITNGVWVRALAIAESTRLNGNIFLYDTNNSPLIIATSVFSFHRPKSTHSFSFDFVAMAKSSFKLEHPLERRQAEAARIREKYPDRIPVIVERADKSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNILPPTAAMMSAIYEENKDEDGFLYMTYSGENTFGTGISEAI >EOY02105 pep chromosome:Theobroma_cacao_20110822:2:41966080:41976724:1 gene:TCM_011838 transcript:EOY02105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A subunit A2, 65,PP2AA2 MSMAEEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIACALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGSQMRENDLVEWFIPLVKRLAAGEWFTARVSACGLFHIAYPSAPEMLKTELRSIYNQLCQDDMPMVRRSAASNLGKFAATVESAHLKADIMQIFEDLTQDDQDSVRLLAVEGCAALGKLLESQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRTDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPELAIQHILPCVKDLSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWMQDKVYSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEMVNNSHYLYRMTILRAISLLAPIMESEITCSKLLPVVINASKDRVPNIKFNVAKVLQSLIPIVDQSVVEKTIRPCLVELSEDPDVDVRYFANQALQSIDHVMMSS >EOX97968 pep chromosome:Theobroma_cacao_20110822:2:4096305:4100805:-1 gene:TCM_006847 transcript:EOX97968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVSIPKTFKSKVAALEESKDLTMMTLQELVNAFQAFEVRRQISLEERTKIALQARLKEKIVLHHSNRKSPSEKKDKEKTATSNQRQQAEATNTVVYLQNTLPKRALNKMTPYEAWHHVKPAVDHLRIFGNIFYIHALEAKRSKLEPRAEVQVARNIKFDENAKWNWESNLVETTNNRILGSGSLPEAVSNGSVSVIVSGYLLQIPMHLEYRNTSSSVCIAGSCKKLLSLINRTIRAVAESLLENHFTGLNMDDLFERFAKKRAKYLERERC >EOX97289 pep chromosome:Theobroma_cacao_20110822:2:1829127:1832116:-1 gene:TCM_006357 transcript:EOX97289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MSFHIIYPVRLVGWWTIKQARALKVGRKLVLVPALQEDFFDIRKALDYHYRDSIQQAPSVRKWDTSMEISSIRELPELGMDDPNLFSQWQMNSVDELSILPLAAAFGENLQQSFSNHNYPAFNPKTSVEPSHNGIDRPVKQHKTNSWDSCKPDTDISNLQAAFSPNTFYFASSNHMNPTGIVKPKEEAACSKSMESFPSDILISQNSFLGSQNYMLKACHGAKRVSTGNKISQSKDHIMAERKRREKLSQSFIALSAIVPGLKKMDKASVLADAIKYLKQLQEKVKTLEEQTRKKTIESVVFVKKSQLFADSDDFSSDQNFSGPFDEPLPEIEARFCDKSVLIRIHCEKRKGLPEKIMSQIEKCHLTVINSNVMTFGSSALDITIVAQMDMEFCMAVKDLVKKLRSAFKLIM >EOX97350 pep chromosome:Theobroma_cacao_20110822:2:2059026:2060378:1 gene:TCM_006402 transcript:EOX97350 gene_biotype:protein_coding transcript_biotype:protein_coding description:GNS1/SUR4 membrane protein family, putative MELPFFPTLYSTVKGLVVRQPFFPTLEDWLVNHPKILEFSWNQGQTPGSSQRFLTLTVLSYISFTFILSQVSRPSLARPALKSISALHNMFLLVLSFVMALGCLVSIFSQVPNFNTLVCLPKRTSPSGPLFFWAYIFYLSKIVEFMDTLLIILSNSMKRLSFLHVYHHSMVVIMCYMCLESAQSSFSMVLVTNCVVHIVMYTYYLMCTLGMRPKWKKAVTDFQLLQFWASFLIMAMLVFYHFTGSGCSGILSWFFNAFFIISLLFLFSDFHAKSYSSPKVKGN >EOX99855 pep chromosome:Theobroma_cacao_20110822:2:17439580:17444073:-1 gene:TCM_008835 transcript:EOX99855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPSSTRGAPVTCAMFRSNGSPDCLHSTSKGSLDFTAKSQWCLDPGSQESGQSRIPIGWIPPNLEILFRSRESLESSESFESEVSTKIWENVKSFFSKMSEEKMKEAMASVYRQSKVSGVRDFPSSCGRGAALVSREECTRIQQAWIKDKIGKSQEVEEDPNEDLSMCSDQGDDDPKDT >EOY00613 pep chromosome:Theobroma_cacao_20110822:2:33821846:33825083:-1 gene:TCM_010493 transcript:EOY00613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDMEKLRLLFGMDSCIIVDAIGKAKGFALAWKQAKCNKSIFGLKVSRRVLNVTYLFFVNDNMIFGKKRTQEVWAFKNFFDWYEHAYGQKINL >EOX97176 pep chromosome:Theobroma_cacao_20110822:2:1493051:1493812:-1 gene:TCM_006268 transcript:EOX97176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARILERYLTRQDKDEGLKISSGAHLLPTVNTNLRVMNGNSEEVLVFEYQVSVRETPVIRGKKWKKFIGRYSTGVTVTLYTYQGSDADCQILVR >EOX98071 pep chromosome:Theobroma_cacao_20110822:2:4456340:4457274:-1 gene:TCM_006919 transcript:EOX98071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MKLHASYLALGALIPDSSRSKKRKSAPLIIDRSVEYIPELEEEIEKLTLRKNDMLSTIKHKQPLNQNPHLKPDQDPSVSVHEIRQGEVITQIYSQIHPDDAFSNLLQKVEEEGMCIMSASTLQVSDDGVCYHLHIQQGGASSGGANHLASLREKVISWLR >EOX99939 pep chromosome:Theobroma_cacao_20110822:2:18903770:18907324:1 gene:TCM_008998 transcript:EOX99939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase superfamily protein MACVSFAKLNAASSQWIGGQQSFAQRPGPSTRLATRRVSVPIRAGAYTDELIQTAKAIASPGRGILAIDESNATCGKRLSSIGLDNTEPNRQAYRQLLLTTPGLGEYISGAILFEETLYQSTTDGKKFVDCLREQKIVPGIKVDKGLVPLPGSNNESWCQGLDGLSSRSAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHPIERTLEVAEKVWAEVFYYLAENNVIFEGILLKPSMVTPGAEHKEKASPDTIAKYTLTMLRRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTVLKTWQGRPESVEAAQKALLVRAKANSLAQLGKYSAEGESEEAKKGMFVKGYTY >EOX97580 pep chromosome:Theobroma_cacao_20110822:2:2746219:2749171:1 gene:TCM_006566 transcript:EOX97580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease family protein MPWNCKSEESCFVLSKTNPEKRKRNSMQLILSLACSLFGTSRTKSKRTRARRKKSNAIAIPPPSFMKQRNSLTRQTPAEMVEPSNADHVELGERSSPKLDKYQKVSIIPLVFLIFYEVSGGPFGVEDSVQAAGPLLALLGFLLVPFIWSVPEALITAELGTMFPENGGYVVWVSSALGPFWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAFPALEGGLPRTIAVLVLTLALTYMSYRGLTLVGWVAILLGVFSLLPFIFMGIVAIPELEPSRWLVTDLGYIDWGLYMNTLFWNLNYWDSISTLAGEVENPNIAKMLGGVWLLAWIQAAAALSNMGMFLAEMSSDSFQLEGMATRGMLPEFFAKRSRYGTPLVGILFSASGVLLLSWLSFQEIVAAENFLYCFGMIMEFIAFVKLRIGHPAESRPFKIPVSTAGAILMCIPPTLLILVVLAFASLKVMLISVVAAIIGLVLEPCLRYSEKKRWLRFSMNADIHDFHSAYR >EOX98324 pep chromosome:Theobroma_cacao_20110822:2:5362298:5366902:-1 gene:TCM_007111 transcript:EOX98324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFSLSQTHVLSEIEKSPCQPILTFSITDFAGLAWVACQGCYLVTTSPLDVNKYDLKLYSSFSLKGQCPLQEINVLSVVNSYLLTIGIFFSMLTGCGSPFINYLEECGCISIVWSYGFTFEVMIIECLMLKLQCLFLKWCPHTILFIYFFLSELGNLSWLTYINFYIFLKARLTFYITDLCRFYLQGR >EOY00476 pep chromosome:Theobroma_cacao_20110822:2:32909859:32913383:1 gene:TCM_010360 transcript:EOY00476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 66, putative MKGLENEHYRKGLWTEEEDKILSDYIRVHGRGHWNRISKVTALKRCGKSCRLRWLNYLNPDIKLGHFSEEEDDLIIRLHNLLGNRWSLIARRVPGRTDNQVKNHWNTHLCKKLGIKKPRGKVVCSMRKISSPTAETKTVSTDNFNFKPLQSSRITMSVDCPINTSETSNHGVETHQFIDDQHCIQSFLSAGNSFKMNSPGFLEFLDGFFY >EOX99935 pep chromosome:Theobroma_cacao_20110822:2:18564893:18621384:1 gene:TCM_008967 transcript:EOX99935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLPPKDFIPHGAGDVGRCHGRPVGGSNFGPFRSDIGLGLHHSGGHLRSNSNESEIRLPHLWHFGGNSSGVGRDIKLPFY >EOX98322 pep chromosome:Theobroma_cacao_20110822:2:5346786:5348744:1 gene:TCM_007109 transcript:EOX98322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthocyanin 3'-O-beta-glucosyltransferase MASKSLQLHIVFLPQLAHGHLIPTVDMARLFARHGVKVTIATTPLNAHLFAKTIQRDSESGFEIGTYIIKFPSAEVGLPEGCENVSSLTSQEMQNKFFKAVNLFQQPLEQLLEELRPDCLVADLMFSWATDVASKFGIPRLVFHGTSCFSISIVDSVIRHAPYKKIATDFEFFDVPGLPDQIKMTKMQLPDFMKEEEGSERRKMMNEALESERTSFGVIVNSFYELEPAYREHYSKVMGKKAWQVGPVSLCNKDSEDKAERGNVASIDRHECLRWLASKKPNSVLYICFGSLSRFSAAQLNEMAKGLEASGQNFIWVVRKVNDEDKEEWLPKGFEERMEGKGLIIRGWAPQVLILDHDAVGGFMTHCGWNSTLESITAGVPMGIERYGEEGCGRSLQVCIAFVSQTKEIHDVSIHFEIRTSTATY >EOX98846 pep chromosome:Theobroma_cacao_20110822:2:7478506:7479258:1 gene:TCM_007525 transcript:EOX98846 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ-like 20, putative MNCSFASSLSDAKPFLSHVRSHKPQGKPILTAFSCRACEKDQSTNFYKVLSLNSNQASIDEIQKAYRTMGLQHHPDVCHPFKKDESTRMFVQLHAAYKTLSDPVLREEYDCILSSRNFEGKFRADFADHYSRIRWQEQIQELKRRSSYRMEQKERSWGNRMRAKNNEKRDK >EOX99839 pep chromosome:Theobroma_cacao_20110822:2:17251047:17253110:-1 gene:TCM_008811 transcript:EOX99839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRTNKNRGSSQLQSSHITIEYEPLPASASVGCCGISFPEDMTNFESIKPLEKVPAITNNWVQESVFDESFIIKVLIASASNFNPSEGTFPLVQAIKNLLKYMS >EOY00454 pep chromosome:Theobroma_cacao_20110822:2:32476576:32492984:-1 gene:TCM_010316 transcript:EOY00454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLILIYADTKHLDNHYPGYVLEGDCTVPTNWSAPGLTKGSPASWIHIFGTICPQRGRANRRLHLISRSRHQQ >EOY01096 pep chromosome:Theobroma_cacao_20110822:2:37608317:37609964:1 gene:TCM_011048 transcript:EOY01096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESSWPSSSYDGIYQVTQHMASTQQSEGDCLSKDHFSSLPDRVHLDLKQNDFTDLLNIWDKWRATTRTNFDRKYGHIARLLKVQIDEHLLKAIVQFWDPSYRCFVFNKVDMVPTIEEYSTLLQIDLDNPDKIYWRGQKTGHRRKLAKMMGITSAEVDQNLRKKGDNECIPWSFLRSYIMKQRDTEQ >EOX99103 pep chromosome:Theobroma_cacao_20110822:2:8525027:8527714:1 gene:TCM_007718 transcript:EOX99103 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein, putative MTATCLPLYTPATSIITKSSTPKPYTFSTSTSKKLPIYHHSFTCKASASPSSSIMDFDLYDLLGIDSSSNHSQIKTAYRALQKRCHPDIAGPAGHDMAIILNEAYSVLSDPGSRLAYDKEQAKMAELRGYTGKPLYSVWRGSESEQRAVFVDEVKCVGCLKCALFAEKTFAIESLYGRARVVAQWADSEHKILEAIEACPVDCISIVERSDLAALEFLMSKQPRGNVRVGVGNTVGARVSNIFVDVKKFQTRFVDAMDKAATKESKEADLRREARMSAIHAIKSISNWWYWQSPNAGTPVEESQLSLTHVPPKSSAPNINKLRDAAAARKQARESSRTIGTRTPSSYLHHDEYWMPSRQSLPASIHNSSSSKVSSKPLQTNERKETDDKIFEKDWRKRNQVDWAIPMVAAIIAAVIVRQQVGDRVVGEITEHIGGSLALTMVNSSWLQVILAGITWYLIGSAMVEVIETIRNR >EOX99493 pep chromosome:Theobroma_cacao_20110822:2:11639334:11640688:1 gene:TCM_008175 transcript:EOX99493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQMQMHVMQLEIQQSMQLEIQRAMQMQTQSLHEHIQHSLQGLEDQIVDRLIDCFEGRSSPLSSLIEHHIMLIPSPVEVAHHSQPTHSPKAPPHLFEVEERPHPMSSQTITFAHPALVPTLAEAPRHCEITPSAEAPPRPELEDARVILASKYLRSLYVNPLLVQRKAKDDLKDRYESFLKNDQARVNILGIER >EOY00729 pep chromosome:Theobroma_cacao_20110822:2:34782654:34783192:1 gene:TCM_010660 transcript:EOY00729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSLSWAVIKTGKFSSFMEVLLCQFQPLATSRPTYLPRLVPLFFKHWGSHYSFISTLDRFHESPISETKKFHP >EOX98421 pep chromosome:Theobroma_cacao_20110822:2:5722390:5725967:-1 gene:TCM_007186 transcript:EOX98421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein MMMLRSLSRPLERCLGLRAGGDGLMWHADLKPHASGDYSIAVVQANNNLEDQSQVFTSPFATYVGVYDGHGGPEASRFVNKHLFPFLHKFATEQGGLSADVIKKAFNATEEEFLHLVKQSLPLRPQIASVGSCCLVGAISNDELYVANLGDSRAVLGRRVSADKKNMVVAERLSTDHNVGDEDVRKEVEALHPDDSHIVVYTRGVWRIKGIIQVSRSIGDVYLKKPDFYRDPIFQQFGNPVPLKRAAITAEPSILIRKLKPQDLFLIFASDGLWEQLSDEVAVDIVFKNPRAGIAKRLVRAALQEVAKKREMRYDDIKKIEKGIRRHFHDDITVIVIYLDQPQGSSNNRVKQNAMRCTTAPVDIYSFNADEADEDLLQTIS >EOX99370 pep chromosome:Theobroma_cacao_20110822:2:10625712:10627536:-1 gene:TCM_008034 transcript:EOX99370 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase MRAGYYWLTLEKDCIDFARKCHKCQIYADRIHTPANSLHVLASPWPFSMWGMDVIGLISPKASNGHRFILVAIDYFTKWVKAASYANVTQKVVCKFIQKEIICRYGLPERIITDNASNLNGSMMKEVCAKFKIKHHNSTPYRPKMNGAMEAANKNIKRIIEKMTDIYKDWHEKLPFALHAYRTTARTSTGATPFSLVYGMEALEEAEWVNARYEQLNLKEEKRLTALCHGQLYQKRMMRAYDKKAHSRQFREGELVLKRTLPNQHDPREKWTPNWEGPFVVKKAFSGGALILAEMDRREFSNPVNEIFCKKENFQVENLKRRLESGRCV >EOY01485 pep chromosome:Theobroma_cacao_20110822:2:39621204:39622789:1 gene:TCM_011356 transcript:EOY01485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYVTRPFSMYKKFASELFSPPPEGPNFGVLVIQDEEPEVIVSCCFGLCKLKDYNVRELPFPQNKELLLQYRVYYRGDDDSANIRKYDYYPVLLIPVLNQPLSSNRIAQLSEAPGLDATLRACLPELNFPLSCIVKDHIRTSMYYEITLVHKWKQILECENHGNKGNAVAVDVSVHKELVSIAGREVHERNVADQVMWFRSSSDAGGEVTVGLSLAIVERMKWEEERFGWISGNEEKPSVTKGEEYAGIAGWKKFGCYVVVESFILKRMNGSLILTYDFNHTKQIRSRWD >EOX99911 pep chromosome:Theobroma_cacao_20110822:2:18139651:18140856:1 gene:TCM_008910 transcript:EOX99911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSSFEDSDYQPYEEIDRGNVMLTLGDFMKLKPPSFSGAKSIEDPQVFLDKMDKIYTTLGCSSHRAVELIGFRLTEVAQIWFATLKRCRPFSSASFTWEEFTQAFLDRFLPESVRYAKAHEFKTLMQVPGMTMSDY >EOY01897 pep chromosome:Theobroma_cacao_20110822:2:41288698:41304493:1 gene:TCM_011689 transcript:EOY01897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 105 MVNKCVIYAPDDMNRLSCHSSTPSSSSSSPGSSMGMVYPDMGSLSLGPNYGIVGSSVSSSQDSYACKGSEMENERASSWGFHFMGNCKARSFEENHSSDVVEGKDSDCSDGFGDNNRSINLNANLNEENSNENGVSGKETDSGQSKLCARGHWRPAEDTKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLMQAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRKLSQSVYRRMEETPTFVCRDAATKAEPPPYCLNIPNRRLGIISQYQFGTFNGADGGVDVGVSNVSPHMTSGREAISSSEVPHSGLCAQQAPFDFFPGVNSNDMVGMFSQTRSWGARPIDEPQICGFYPHHQQQQQQPSSYMMAMQQSDFLSSQSLTDCTASTPQVSASEPSSSVAGSRAAASSHSHSHSHYEIVQPPFIDFLGVGAT >EOX97815 pep chromosome:Theobroma_cacao_20110822:2:3571148:3575051:1 gene:TCM_006748 transcript:EOX97815 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MAIRFLAKQILRRSVFAASKGASTTGDVVPKGFLAVYVGETEKKRFVVPVTLLNQPSFQALLSKAEEEFGFDHPMGGLTIPCGEKTFINVTSQLNG >EOX98719 pep chromosome:Theobroma_cacao_20110822:2:6840752:6842516:1 gene:TCM_007416 transcript:EOX98719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMSTKGWSASYRLQNCAESLLRPYKSNLGDISQQHLLQDAMAKRHGYPMHQGLRLISTNIGLWRKLDAGITKVEREKSSPPPGSAPPKFNFFLWAKCILGSVLSFSLPFWKEKWTKLKRIEGEAEMVVEEVENVAEVVEKVATAAEKLSAQVAEKLPDDSMLKKAALVVEHVSEETAQDAHVTTEFIHQVEALKHDVDGVESLVEPIVNKIVKQKQGG >EOX98624 pep chromosome:Theobroma_cacao_20110822:2:6510998:6511642:1 gene:TCM_007339 transcript:EOX98624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein, putative MLDSSFNAKLGDFGLARLVDHGKGSRTTLLAGTMGYMAPECVSSGKASKESDIYSFGVVALEIACGRRSIEPKYEESRAALVAWVWDSYGKKRLLDVADEKLCLDFDTKQMECLLMIGLWCVHPDHNLRPSIRQAIQVLNFEASLPNLPGTRPTPMYDVATATGLRASEPYFSTLTITVPR >EOY00829 pep chromosome:Theobroma_cacao_20110822:2:35451962:35453076:-1 gene:TCM_010762 transcript:EOY00829 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding storekeeper protein-related MEALALCSPTFPTFFLLFLSIYLFAYFLVFRNWGPKHRPEASSCFISLAHGTPAVFMAAHALINTTQSPPTFASPNSALDNVVLEYSISYFLMDLVHYLVFFPNDILFILHHLATLYVFFTCRYMVHHGAFALLVLLVLAEVTSLCQNVWTLAGFRRADAPAAAKLYELLSPPFYALYSVVRGILGPFFVYKMVVFYLSGVAGNLIPRWAWSSWIVVIVTAILVSIVWVFHHWIDWYKGRNYKAGKKVV >EOX99507 pep chromosome:Theobroma_cacao_20110822:2:11706931:11710551:1 gene:TCM_008187 transcript:EOX99507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MAVLITLLSVVAIAWGLKLLYVIWWRPKTIEKQLWKQGIHGHPYKLIHGNTKEMIKLAKEKGSKPLEQPHDILPRLNPLLHDLVTTYKKSFVIWYGTTPRVAIMEPNLIKEILNNNSGDFPKPDINSFTQLFVTGLASYNGDKWAKHRKIVNPAFHIEKLKHMLPAFVVCTEELIEKWSKLVNFMGSCEVDMSVEFQNLTGDVISRAAFGSNFEEGRLIFLLQKEQGRLFLQSQMSINFPWLRFLPTKVNKRMRHIHKEVGSLLTGIIEKREKVIGSGDHKDDLLGLLLKSNLDELQEKKHSNSRMTTEDVIEECKLFYFAGQETTANLLTWTMIVLSMHTDWQERAREEVLQVFGRNKPAYDDLNRLKIVNMILLEVLRLYPSTSLIRRTYRETKLGNLCLPAGVQLFIPLHLVHRDTEQWGEDATEFKPERFSEGILKATKDRISYFPFGWGPRICVGQNFAMLESKLALAKILQNFSFELSPSYTHAPYAAVTLQPQYGAQIILHKL >EOY01025 pep chromosome:Theobroma_cacao_20110822:2:36890644:36892448:1 gene:TCM_010955 transcript:EOY01025 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H MLEQEEKEILPHQELTEMINLGNGEEKKEVKIGTSLSSDERQKLEELLREYVDVFAWSYQDMPSLNTNMVVHKLPLEPDCKPIKQKLRRMKPEMLLKIKEEVKRQFDAGFLEVAKYPEWVANIVPVPKKDGKVRMCIDYRDLNKASPKDSFPLPHIDTLVDNTAKHALFSFMDGFSGYNQIKMAPEDMEKTTFVTMWGTFCYKVMPFGLKNAGATYQRALVALFHDMMHKEIEVYLKLNPAKCTFGVTSGKLLGFIVSEKGIEVDPDKIRAIQELPPPKT >EOX96862 pep chromosome:Theobroma_cacao_20110822:2:274858:276288:-1 gene:TCM_006012 transcript:EOX96862 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein, putative MNETMCSSSEWMQLYQQTVDTSFGISDATTVTTSSVTSGNNLSPSSSFAAGDHLTPKGCVSKPTRRRSRASKRTPITLLKADAKNFRSLVQQFTGCRRRSTSISFGSQRGPVNINFAVGTEHDHHSTNPASALSQPFVENNGYCPRLSQVQLQQPAEHQQNYQQLSSEEQESEFTLDSITTDDYLLTSCLPRSGPEIPPGLAMDDMYFLA >EOY00228 pep chromosome:Theobroma_cacao_20110822:2:30115310:30119029:1 gene:TCM_010029 transcript:EOY00228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase, putative MPPPPSISSVLTAILFISLHQLVAEATVTHHSCSSHPETFSRSPCPPFTSTPPFPFSLSPGCGHPSFHIKCSTPYSTISINNFSFALLRYEPNSTSLTLSPQPPTKPRSNCSSFHFLSISTHSIDLSGSPFRISDGSCSRLSVLHSCSPPNLPNCSQCPWECGLIKNPVKLLHGCGSTRPLPEQGCQPDVLGYLENFLFTMGFQVEWDEAQDSYFSSCKNCLSKNGICGFNSSDPNKQFLCFQSKTTISPPWIHVDHPHRIAILSSVFTLTCIFVIISVIIAIFRSNKLKSQSIEDPTTLFLRLHRSASLLPPVFTYEELESSTNKFDTKRKIGDGGFGSVYLGQLYDNRIVAVKYLHKNNQSGKALSSKFFCNEILILSSINHPNLVKLHGYCSDPRGLLLVYDYVPNGTLADHLHGRSKTSLTWQVRLEIALQTALAMEYLHFSVVTPIVHRDITSSNIFVEKDMRIKVGDFGLSRLLAFPENSSSESDFVWTGPQGTPGYLDPDYHRSFRLTEKSDVYSFGVVLLELISGLKAVDQTREKREMALADLAVSKIQMGLLHQLVDPVLVHDGEAMDGVDAVAELAFRCVAADKDDRPDAREIVEELKRIRSRTRVLRVSYSNGSNTDVSKG >EOY00256 pep chromosome:Theobroma_cacao_20110822:2:30492636:30498687:1 gene:TCM_010082 transcript:EOY00256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Big petal p-like protein MESPAMISDKGYNLWEIWQYPMSKSRIRKCQFGPKLGGGVKRRCDGENEATRLVSTSNGNGMNNGCGKRLKALKGRDENHDSKAKVAPSSGKLVEQKLQPPKPPKQDYIRVRARKGQAINNHNLVKKLLAKHLSFMIINYIHSLQGHVEFLSMKLETINSRMNFGIEVFPPKDNTCLLEKEPSLTISSLKSKGRAHPNYFSMANKHLILPVWHLVHKQRGNKAVVHHHNGYILVVVLKEQHNLEKTLVN >EOX97500 pep chromosome:Theobroma_cacao_20110822:2:2481351:2483751:-1 gene:TCM_006499 transcript:EOX97500 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 36 MQQHFSFCIFYLIHLQHILNQAENLKTNYSSIISLTTMSSCQSNIDDPNPEIGLPGFRFHPTEEELLDFYLRNMIYGKKLRYDVIGFLNIYHHDPWDLPGLSKIGEREWYFFVPRDRKHGSGGRPNRTTENGFWKATGSDRKIVSLSDPKRIIGLKKTLVFYKGRAPRGSKTDWVMNEYRLPDGHPLPKDIVLCKIYRKATSLKVLEQRAALEEESKAMNTSPSSPLSSLETISFCSPKEDVVPSISVPQVVLKKEIEEEEAMVEEKRDGTAKENETPSTSLQFPLGKEKLPELQLPKMTDWNQDQFWTQLTSPWFQNLTPYANILNF >EOY00453 pep chromosome:Theobroma_cacao_20110822:2:32463336:32466444:-1 gene:TCM_010315 transcript:EOY00453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein 50 MATLAPGILLKLLNGMNTGVKPTSEHRSSLLQVTDIVPADLDEKNLWPKHGFYIKVSDSSHSIYVSLPSDQDDFVLSNKMQLGQFIYVDRLEPGSPVPVVKGAKPLPGRHPLVGTPEPLMGLRRKGEKSEQKQDSKLHRRGSWGRGPNGADEISSPIVLKPVPLDFDQCTPVKERSNSVRTPMMSPMIRRIAKDGSASASVRCSFGGRLLAKMMDAKGESPALLRKSCVAPSSASKFPRSKSVCDREPRIPISPLNSAEKKSSTPPPGLRSGRVVAASLNMGGDVQNNPNPSVTTQQPQFQFDNNSASDISKSLPMNLPGKLGMLGKEAMQQRETAQKIALQALRDASATESLVRSLKMFSNLSRSAKADAPAASFHQFLEFHAQIEQAVSDTVSIQAATSATAMAQNSKAEQRDKQGDDEPAILHEIVHNSMDQSRNSELSSSKRRAALYKSIAAFPERSEQKTIIGRLPRSNSNPKVPSDRRAPSTPLGKLLPEVVGENDENKKPLSSSLSNTIKLGKQIESEAGNWFMDFLEKALENGMKKSKGTPGGDTKKVPQSLILKVINWVEVEQCDGNKRPVHPKATQIARKLRIKMKNP >EOX99467 pep chromosome:Theobroma_cacao_20110822:2:11452862:11454289:-1 gene:TCM_008151 transcript:EOX99467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVIITDETWKSFLGMIFRDHMRFVLGIYSENTGTEDSNLAEFYAIHESDSLNAISWVNNHNKAPWRMKNILNALEVYLLNSVGISFNNIIREAHTLAGGLAKAGGDKSFKLQILLSEPSEKGD >EOX99084 pep chromosome:Theobroma_cacao_20110822:2:8423520:8424844:-1 gene:TCM_007700 transcript:EOX99084 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP family transcription factor MSNSEGGATNGAIMDPQRQQAPGNGSLVVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTVSVSVRGGANSTSLSSTISSSSLDHKPLLGPTPFILGKRVRADDDNAGKDDSGVVSLGPGVGSIVGPAGTGGFWAVPARPDFGQVWSFAPPPPPEMVVQTAAAAAAAAAAQQPAAAALFVQQQQAMGEASAARVGNYLPGHLNLLASLSGAPGGSGRRDEDPR >EOX96861 pep chromosome:Theobroma_cacao_20110822:2:271881:273846:-1 gene:TCM_006011 transcript:EOX96861 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein, putative MLAATLSFPSPIYANCKAYQSLNLRLRASIVNYPLASRIFVRNLPYTTKESSLQKEFANFGQIAEVKLVKDDFTKRSKGYAFIQYTSQDDAMLAVENMDQQVFGGRPIYVEIAKPGKDRFRRYPKTSGPPMDKQHLQETNDVADCWY >EOY00997 pep chromosome:Theobroma_cacao_20110822:2:36382845:36383870:1 gene:TCM_010897 transcript:EOY00997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFIVQPFDLIGTSKVDPLNAVLISWSFDIPENLPSRQRIKGCAKVLISSSKNALAGRSLYTMGSRSKGIIGGFSPLAIGFSLKPRRGWRLALKVKSLWAIIVDWLHMTFGFSSEMHGSRLQRGERTNWQRIWRLTSSHPVRFREGGREGGEL >EOY00167 pep chromosome:Theobroma_cacao_20110822:2:28153946:28179339:1 gene:TCM_009852 transcript:EOY00167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCWKMGLKTSGLVLKKFGEEKIKKRKEMRRNKENHMKKIDFHELHMKMALEASRRRRKYLAGGDKNSFGLIKMRVKASFGSFDQALCSHSSDQCPSNFESVKFVGNFNKQQTNPYSNTYNPGWRNHRNFSWDNNKLGPSNPRLNNPPSFPPQPKPLVLEKKPTMEQMFMQFMTKIDAYMTKNDAIIQNQAASIRNLKIQTEQLVSSINNRP >EOX98874 pep chromosome:Theobroma_cacao_20110822:2:7609719:7612721:-1 gene:TCM_007549 transcript:EOX98874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MRFPTNLWGSKLPSWSLRIKESYRVGKWLEVFSHYQEMKRYGVHPSDPSVFPPILKACLNLSPTDGKSVHACLIKQGYQSFASIGNSIVDFYMKCGDLESALGAFDCMQNRDSVSWNIIIYGHLDQGVLKEGLRWFKKARVAGFAPNASTLVLVIQACRSIGAYHEGLEIHGYTIRSGLCAIDSIQNSLLSMYMDTDLMCARILFDEMCNKDVISWSVMIEGYVQIEEAEIGLKLFQEMVSEDGIQPDGVTTASVLKACARLEDIRMGKLVHGVVIRRGDNNDLFIGNSLIDMYSKCKDVDSAFQVYREMSQKNIVSWNSIISGFVLNEKYSEALLLFNLMGKEGVQVDEVTLVNFLQICKHFVYPSQCKSVHCIIIRQKYESNELVINSLIDAYAKCNLVDHAWKLFDGLKERDVVSWSTMVAGLAHCGKPDEAVGVFCEMSKTMEKPTGITIINLLEACSLSAELRRSKWAHGVAIRSGFAADVAVATAIVDMYAKCGAIDTSRKVFDGMPQKNVLSWSAMVAAYGMNGLPREALALVPEMKLQGLKPNSVTTLSALSACSHGGLIEEGLSFLKSMVHEYGTVPGLEHYSCVIDMLGRAGKLDSAVELINHIPDGHKAGASAWGAILSACRSHGNSELGAGALSHVLELEPMNSAGYLLGSSMYAAEGLWGSATRMRRLVKERGLTVSAGYSLVHVGDRAWRFLAGDCSNPRAQEVDIMVTQLHSCMNIDERIHSRVVEC >EOY01948 pep chromosome:Theobroma_cacao_20110822:2:41453152:41455037:1 gene:TCM_011726 transcript:EOY01948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFDFQMVESSLGNPTYGREVYPGVGWEASSMADVLAKFGVHRSEMFSIHGGELLASLSSFGYFSLLLVFGALGIF >EOX99167 pep chromosome:Theobroma_cacao_20110822:2:8801177:8810138:1 gene:TCM_007761 transcript:EOX99167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative isoform 1 MLERKLFKTKMCILYQRGRCSRQSCSFAHGDAELRRFSGSHGGKRNYRDGDLRDKLDKKLSPEPSYSPGRDMRDRRILRGRSAMRSFEKRSDRNRKKKQHLDGQSDFSESLKISNKIEDLVIEGRNISSTPKNILEDQLKEVHLDINTLIHHKHKLEIFVEEKIQEAGTLTSQIEELRSQLEKEKEECKRVTSRIKKFVKAHNRCSHIGDELKRSQARLEKLGEQLGLNISGTSGNEENSNINIVSDGETTGYHMSYPQNEMMSNSSLSKKKLCANQDITEEPIPDGKGHEVETTRLGKRSRWSEHPTQSNIDKENGSLNNGNSSLVPLASTEKLRRGKKVAVSMSIEDKLKSAHAGLSLPLTSMAANAVDDDEVLEIDEEEKVEVSGLPFLLPLPPPILQNSYSEYEDKDQNVDIDEGLEEAMVHVDIL >EOX99168 pep chromosome:Theobroma_cacao_20110822:2:8802065:8809449:1 gene:TCM_007761 transcript:EOX99168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative isoform 1 MLERKLFKTKMCILYQRGRCSRQSCSFAHGDAELRRFSGSHGGKRNYRDGDLRDKLDKKLSPEPSYSPGRDMRDRRILRGRSAMRSFEKRSDRNRKKKQHLDGQSDFSESLKISNKIEDLVIEGRNISSTPKNILEDQLKEVHLDINTLIHHKHKLEIFVEEKIQEAGTLTSQIEELRSQLEKEKEECKRVTSRIKKFVKAHNRCSHIGDELKRSQARLEKLGEQLGLNISGTSGNEENSNINIVSDGETTGYHMSYPQNEMMSNSSLSKKKLCANQDITEEPIPDGKGHEVETTRLGKRSRWSEHPTQSNIDKENGSLNNGNSSLVPLASTEKLRRGKKVAVSMSIEDKLMMMKYWKLTRRRRLRSQ >EOY01340 pep chromosome:Theobroma_cacao_20110822:2:39118886:39120452:1 gene:TCM_011267 transcript:EOY01340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGMDVAKGAKVTWDALIKEWDCKLFRAWITGELKGKEGSLLLSLLGVDASFGILIYGFWTFLFLAFLNTCSLLKFGCPSFYKRVCVQKSCPSPIVMGAMLNMFWLATWLQFSFVE >EOX99924 pep chromosome:Theobroma_cacao_20110822:2:18313647:18319379:1 gene:TCM_008934 transcript:EOX99924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear RNA polymerase C2, putative MGNFIFIFEVKKTLYFSPSVKEVRALAILRDVFLANVPVHSNNFRPKCLYVAVMLRRMMEALVNKDAMDDKDYLGNKRFELSGQLISLLFEDLFKTMIGEVKKRIDIILSKPARSSSLDPSLVVLCLIFIVYAILLIKFLTIPCYCFDPLPKEPAPSIRE >EOX96836 pep chromosome:Theobroma_cacao_20110822:2:194938:196552:1 gene:TCM_005992 transcript:EOX96836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQMCERQRKRLQASAATHPAIWLHESPILILLLPPATGRSTVALPWQYDCLNFLFPLHFTSFHNTTLVQRISHSLHNLT >EOX96937 pep chromosome:Theobroma_cacao_20110822:2:604585:609938:1 gene:TCM_006068 transcript:EOX96937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Breast cancer susceptibility1, putative isoform 1 MGDPSHLEKMGRELKCPICLGLLNSAVSLTCNHVFCTACIMKSMKSGSNCPVCKVPYQRRDDEKQLENDLNCSERVDDQGATKRRGSRVDKSTTVNSDPISVKPSFPTNKRVQVPQYPHTEMHMSAAKLGSGLAESTKDESKSSLIVQKENYVLKDKEELILAPFFWLREEDVEKSSQLTDGDQFAYITPPEVPSFSDIKDSDDEGSSKEEVQGNIGHVNFFDSEMFEWTQRACSPELLPSPVKMQVADTDELALQGANTVEPYINNGAYMTTRHDTSEEMLPNMPSSRNKTIGNKIRNKKSRQIGRKGRKTAQKKIAERTTSPVWKNYADPGNLSEGFNQNQAADNSGSSYLAKTRKNNKVAGLDHHETEPIATYVSAASGNADTPNQDETQMVKKLPARASKKKCGDEYENLTTGKSCRRITGQCQKKSCSKSKKQKPSLAIVDFPEEVSTAHNQTNEKMILRNSFSIPVANDNGVKQLSEGSIKRAREAKSALSSKSEKNTSCKKKMKVSFTDDTKGWLAEEHQLGNSNVSTGRLSEQVQGSPTVGISDGSTAKKLPGANGMALRKCETVTNKTQCAFCLSTEESEASGQMVHYYDGRPVPLDYNGGSKVIHSHKNCTEWAPNVYFENDKAINLEAELSRSRKIKCPCCGLKGAALGCYEKSCRKSFHVPCAKLISECRWDTENFVMLCPLHASSKLPNENSESQESRKRRVLRGHSPIHHNQVPTNNGISVQKKWNPCGSPNKLILCCSALTVGEREIISEFEKLSGVTVLKKWDSSVTHIIASTDENGACKRTLKILMGILEGKWILNINWVKACIKAMKPVDELQYEITLDVHGIRDGPQLGRLRFLNKQPKLFDGSKFYVMGDFEPSYRGYLQDLVVAAGGTILHRKPISGDQGAPVSSTFIIYSLELPEKCDPGKKLMILSRRQSDAEALASSTGAKALSNTWLLNSISACKLQNL >EOX96936 pep chromosome:Theobroma_cacao_20110822:2:604254:610041:1 gene:TCM_006068 transcript:EOX96936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Breast cancer susceptibility1, putative isoform 1 MGDPSHLEKMGRELKCPICLGLLNSAVSLTCNHVFCTACIMKSMKSGSNCPVCKVPYQRREVRPAPHMDSLVSIYKSMEIASGFNIFVTQNQPQDKPSDDEKQLENDLNCSERVDDQGATKRRGSRVDKSTTVNSDPISVKPSFPTNKRVQVPQYPHTEMHMSAAKLGSGLAESTKDESKSSLIVQKENYVLKDKEELILAPFFWLREEDVEKSSQLTDGDQFAYITPPEVPSFSDIKDSDDEGSSKEEVQGNIGHVNFFDSEMFEWTQRACSPELLPSPVKMQVADTDELALQGANTVEPYINNGAYMTTRHDTSEEMLPNMPSSRNKTIGNKIRNKKSRQIGRKGRKTAQKKIAERTTSPVWKNYADPGNLSEGFNQNQAADNSGSSYLAKTRKNNKVAGLDHHETEPIATYVSAASGNADTPNQDETQMVKKLPARASKKKCGDEYENLTTGKSCRRITGQCQKKSCSKSKKQKPSLAIVDFPEEVSTAHNQTNEKMILRNSFSIPVANDNGVKQLSEGSIKRAREAKSALSSKSEKNTSCKKKMKVSFTDDTKGWLAEEHQLGNSNVSTGRLSEQVQGSPTVGISDGSTAKKLPGANGMALRKCETVTNKTQCAFCLSTEESEASGQMVHYYDGRPVPLDYNGGSKVIHSHKNCTEWAPNVYFENDKAINLEAELSRSRKIKCPCCGLKGAALGCYEKSCRKSFHVPCAKLISECRWDTENFVMLCPLHASSKLPNENSESQESRKRRVLRGHSPIHHNQVPTNNGISVQKKWNPCGSPNKLILCCSALTVGEREIISEFEKLSGVTVLKKWDSSVTHIIASTDENGACKRTLKILMGILEGKWILNINWVKACIKAMKPVDELQYEITLDVHGIRDGPQLGRLRFLNKQPKLFDGSKFYVMGDFEPSYRGYLQDLVVAAGGTILHRKPISGDQGAPVSSTFIIYSLELPEKCDPGKKLMILSRRQSDAEALASSTGAKALSNTWLLNSISACKLQNL >EOX99151 pep chromosome:Theobroma_cacao_20110822:2:8720144:8720626:1 gene:TCM_007748 transcript:EOX99151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEWGAMGQLGWLLRQLAGVTWWWGLSSTAELSQLASAFSIFPYIVCFVLTVVLFWVSLVTDGSRRTASRSHLLLPGYAPYCALVIPFTVSAHA >EOX99579 pep chromosome:Theobroma_cacao_20110822:2:12412562:12457950:1 gene:TCM_008289 transcript:EOX99579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANQQGEETKFLRDYVVPQVQSLHSSIRRPPIQANNFEIKPSIIKMNQTFFQFEGLPNDDLNAHIVNFLKICDTFKANAKKFFTNFFPLAKTTKIRNDITFFMQFDSISLYVAWERYKDLIRRCPHHGLPKWLQLQTFYNGLLGPFRTTIDATAGGALMSKSIDNTYDLLKEMASNNYQWPSERLSTRKIARVHGLDVMNTLSTQLAFLTKKIDKLCVNVVQNPFVTCEFHGGHSNDNCPIYSESCQIVGNRPHITLPSDTEPNPRREGKEHYKAINLHKGKKIKPTSVTLQLADRSVTYPYGIVEDVLVKVVLSQRKAKILHPIYYASRTLNETQANYTTNEKKLLAIGFVFDKFRSYLIGTKVIIYTDHEAIKYLIEKKDAKPHLIRWVLLLQEFDLEIRDRKVINETFPDEQLFHDEKQKNLQWYAGFVNYLVSKLFPLEFNPQQKKKFLRDVKYYMWDEPFLYKHCRDQIIRKCVPEKQFENTLHHCHSSDHGRHYEGRRTTAKVLQSAYRIAYKTPIMMSPYRLVFGKAYHLPIKLEHKAYWVIKKLNFDLQVAEERHLLQFNEMDELHHEAYESARIYKEKTK >EOX96926 pep chromosome:Theobroma_cacao_20110822:2:544681:564170:1 gene:TCM_006060 transcript:EOX96926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine/DOPA decarboxylase MGSLASMNEDGSCSTNIVNPLDPEEFRKQGHMIIDFLADYYQNIEKYPVLSQVEPGYLRKLLPMSAPYIAEPVEAILQDVQKHIIPGITHWQSPNYFAYFPSSGSIAGFLGEVLSTGFNVVGFNWISSPAATELESIVMDWLGQMLELPQSFLFSGNGGGVIQGTTCEAMLCTLTAARDQMLSKIGRENIGKLVVYGSDQTHSAVAKAAKIVGIDPKNFRVIKTNKSASFGLSPESLRIAITTDVKAGLIPLYLCATVGTTSTTAVDPLGPLCDVAKEYGVWVHVDAAYAGSACICPEFRHFINGVEGANSFSINAHKWFFTTLDCCCLWVKDPSTLIKSLSTNPEYLKNKATDSKKVVDYKDWQITLSRRFRAMKLWLVLRSYGVANLRNFLRCHVKMAKRFEVLLASDNRFEVVVPRYFATVCFRVLPSALCKIRNEPCGEADHHVHQKHANEFNRKLLESINASGHIYMSHAQVEGIYMIRFAIGATLTEDKHVIKAWEVVREMVDTMLKLNPQ >EOX99811 pep chromosome:Theobroma_cacao_20110822:2:16792594:16794346:1 gene:TCM_008766 transcript:EOX99811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome associated membrane protein RAMP4 MTTSRRLADRKVEKFEKNITKRGTVPETTTKKGKDYPVGPLLLGFFIFVVIGSSLFQIIRTATSGGMA >EOX98245 pep chromosome:Theobroma_cacao_20110822:2:5040019:5043907:1 gene:TCM_007052 transcript:EOX98245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENKENNLLDAKYLHKLSKDDILGLEFDDLEDVYEFYKAYACAMGFGVRKGGCRRNKDGIEVMKHFACSKEGHKAEKREKLENRAQEPKRSSRIDCKANIRVILNKDIGKWIPLNLIMKRWTKNAKDDAPAVVDDNVDPKYQTILRYASLSSHCNRLCHVASQFVETFNKARSEIASLTRRYEEMCKVNTDGISNLTEHVRDPTRVKVKGKVGAKSEGKKKPRKCGNCRMEGHTRNKCPQLELTLCSLDSSSCLLDDNDVDVYERNKEIWPSQLGTLFGGDSSEEE >EOY01804 pep chromosome:Theobroma_cacao_20110822:2:40965895:40966454:-1 gene:TCM_011617 transcript:EOY01804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPCQGDEKTKRIWTGQKSEGGKKSEATSDVVKAMEKSPTRRRMRMALTFRGTSAEVKRKSSRSMTTRSRNASSKIENWWTKVEDTTRFQLHSLGLGWEVKKKKKIKFNKGGIP >EOX98404 pep chromosome:Theobroma_cacao_20110822:2:5663870:5666580:1 gene:TCM_007174 transcript:EOX98404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MFSRWNFRRQSLVSSAMLRSCQQFSAAFRYFTLSPSSIFAVEFLHSLSSTSSSNFHNLSLLLQGRILHSHLRQIHARIFRLNAHQDNLVATRLIGHYPSSFALRVFNQLHNPNIFPFNAIIRVLAENGLFFLACSFFNNLIQRSLSPNDLTFSFLLKACFLSNDAQYVNQIHTYIIKLGYLCDPTVCNGLLSVYAQGFKDVASAHKLFDEMPEKVSVTPWTNLIACYARSGRNEEVLRLFCSMIEKNLRPENDTMVSVLSACSSAEIFDIEKWVTILSEIIHNSDNKIPNRDSVNIALIYLYGRLENVEKSRERFNEIYAIGKMSVIPWNAMIGAYVQNGCPMEALSLFHLMMEDSNCRPNHVTMVSVLSACAQMGDLDLGKWVHQYLEYNGRKGVLETNTFLATALIDMYSKCGDLEMAKRVFDQMISKDVVSFNAMIMGLAMNGEGEEAVSLLSKVQELGLHPNAGTFLGLLCACSHSGLSEEGRQIFLEMNSRFSVYPRLEHYACYIDILARVGLVEAALTVVDSMPYEPNNFVWGALLGGCVLHSRADLAQKVYKKLVEVDPQNSGGYVMLANTLAVDHRWNDVSVLRWLMREKGVKKQPGHSWISIDGVVHEFLAGSPSHPKMESIYHTLNGLVNVMKVTSP >EOX99573 pep chromosome:Theobroma_cacao_20110822:2:12272032:12273904:-1 gene:TCM_008270 transcript:EOX99573 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor, putative MDFNNSKTNSPSSSKNKRKQHQQQPQQQQETRFLGVRRRPWGRYAAEIRDPSTKERHWLGTFDTAEEAALAYDRAARSMRGSKARTNFVYSDMPAGSSVTSIISPDESQHDMSSIFTINPPFHQQNDANQNHLFFTQDPFSACQFSSGLPAGDGWTQDSDTVGSYRPITGVMDAGNGGSQHFSDDSELPPLPPDVSSTCYGSGSVGPDMGYGAWNDAGLFGFSDQSTNGFDSAVSGPYLGFNTYEFVQHSPLFGRVPSVPDTVTDGFDLGSSSGYFF >EOY00801 pep chromosome:Theobroma_cacao_20110822:2:35293916:35297103:1 gene:TCM_010737 transcript:EOY00801 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MELPLPDYSSGTLYSFSMTVPSMDEGEICHNLLSQIKDSIRKLDKEYVKKVQDAQDHLDYIKEMVGKLIRGETIYFSFSSLCKFPLYEADFGWGKPIWVGSATLASMHGVIFQDIISSDGIEAWINLKEEDMAKFDCDKELLGFITLKNC >EOY02109 pep chromosome:Theobroma_cacao_20110822:2:41986904:41988132:1 gene:TCM_011842 transcript:EOY02109 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein, putative MHPKNLEAASPKSAPHPEQYPEGQWTTGLYDCCDDPSNCLTTCFCPCITFGRIAEIIDRGDRSCGLSCLVYFAMHSIHCAWLYGGIYRTQLRQLFSLPETPRGDKLVHRCCCLCSLSQEYRELKNRGVDPSIGWQANVDKWKRDGLKPPIAPPGMDR >EOX97088 pep chromosome:Theobroma_cacao_20110822:2:1132563:1134592:-1 gene:TCM_006188 transcript:EOX97088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 isoform 2 WERSFLEILKKIEDFEEDSGTRVFKISSLDQEVIPGALRDQLPIAIDDEADLIGQEMAKDDELDLVLQEIQMLENAPDGPLLAMANNQDGWRLKDVLGWVFPITNFTMELPSAVFDQLSSQNHPHYALIVMLFSFMALMSCIAELIYKGKMERVTWQWRGRVPWFYRPTGKPFGTIWEIIGFASAFLQCVVTTINYSFIYRHHDGPIKTSALPILFAFALLCSKYLKKPDRNRGGNPRFNIGAVKD >EOX97087 pep chromosome:Theobroma_cacao_20110822:2:1132433:1136153:-1 gene:TCM_006188 transcript:EOX97087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 isoform 2 MASPLNENSEVEETLSSEEKRSKPMRDKSRLRQRRRRDPHSGTSGSELTATTGISEVKKNTSDQRHDSASDSDRDFYKKMKEIEDLLKIEDFEEDSGTRVFKISSLDQEVIPGALRDQLPIAIDDEADLIGQEMAKDDELDLVLQEIQMLENAPDGPLLAMANNQDGWRLKDVLGWVFPITNFTMELPSAVFDQLSSQNHPHYALIVMLFSFMALMSCIAELIYKGKMERVTWQWRGRVPWFYRPTGKPFGTIWEIIGFASAFLQCVVTTINYSFIYRHHDGPIKTSALPILFAFALLCSKYLKKPDRNRGGNPRFNIGAVKD >EOX98779 pep chromosome:Theobroma_cacao_20110822:2:7182972:7184600:1 gene:TCM_007472 transcript:EOX98779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKHEKDMLKLKVSIQSLSVAMHTIEDRIVGWILDGLKSQGGPSHGAGLEHDDADDGQHHEPGVDIDDDVLGADGEHVTHVDDVIEEAMAVDVTLQSNDAEGKHLPPADAFIDAAAEAIVLYRESTLDAVEIRSSSLESSAVHHGAAEISDPTEWARLKMTSKYMASPFVDPLVTRRDVRDKIVEDYEAFKKEESASVTSAS >EOX97256 pep chromosome:Theobroma_cacao_20110822:2:1736606:1737400:-1 gene:TCM_006335 transcript:EOX97256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related protein 1 MGLTKFSLAICLLASTLVHVSLAQNSPQDYLSVHDAARAQVGVGPMTWDPEVAAYAQDYANIRVADCGLVHSYGPYGENIAEASYALTGAEAVQMWVDEKPYYNYDANICVGGECLHYTQVVWRNSTRLGCARVECNSGWWFVTCNYDPPGNYVGERPY >EOX98323 pep chromosome:Theobroma_cacao_20110822:2:5361778:5363214:1 gene:TCM_007110 transcript:EOX98323 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 73B3, putative MGLTFIKAVSLLQQPLEQILEDCHPNCLVADVIFPWATEVAGKLRIPRLVFHGTSYFALSVFHSSLHYEPLKNITSDYQPFGVPGLPDKIKMTRLQQPDELGESSVNDEIKKLMYQAAESEITSYGVIMNSFQELEPAYVEHYRKVIRRKTWHIGPLSPCNRNTHNKAQQGNAASKDTVECLRWLDSKKHNSVLYICFGSMSWFSAAQLYEIAKGLETSGQDFIWIVRKVKNKGKEEWLPEGFEEQMEGMSITAGVPMVTWPLSNEQFYNERLVTDILRIGAVTQLMVGEEANEIRNRASALKEMAKRAVEEGGSSHSDLRALLDELRLKRPQGEQ >EOX98753 pep chromosome:Theobroma_cacao_20110822:2:7026165:7027016:-1 gene:TCM_007445 transcript:EOX98753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVWGLVIFFSCRLCLQCRLCLEQRYHRCHLCQVSLSQQFPHCQLHNHLCPTSAPFLHFLACPPFRPCLLPQSSPCLHCLACPQSPLSQLRFHPSHSSPHHLQKLALETVRLSYLIYIYIYIYRERERESMDRSYSLFLYTERLLPLSSFHFMMLY >EOX99327 pep chromosome:Theobroma_cacao_20110822:2:10165101:10166611:1 gene:TCM_007960 transcript:EOX99327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 124 MNDLFSSSFKKYSDLKQQAYSDNLEAAGEAWKETVNLDKFFEDVDNVKDDMRVVKQLYKRLQESNEETKTAQNAKAMKDLRARMDSDVEQVLKRVKVIKGKLEALERSNAAHQKLPGCGPGSSAYRTRTSVVSGLGNTLKDMMDDFQGLRAKMTAEYKGTVERRYFTVTGQKADEEMIENLIASGQSETFFQEAIQDQGRGQILDTISEIQERHDAIKEIEKNLVELHQLFLDMAVLVEAQGLQLNDIESHVAHASSFVMRGTEQLEVAKEYQKNSRKWGCFAIVLGVFLVVVILFPVLSSDIIKNT >EOY00060 pep chromosome:Theobroma_cacao_20110822:2:24304047:24310391:-1 gene:TCM_009476 transcript:EOY00060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein MIHEEAGKGEYRDKEMTDACIRAVVESIHSSPTQAVLYLSGGASLALGWLMSIPGASNTVLEAVVPYSRMSMIQLLAKIPAQYCGQQTADEMALLAYNRALKLSSPGSPVLGVGFTGSLATTRPKLGDHRFHLSTRTHDRHWASTVSLSKGLRSRDQEEKVSSCFLLKAIANACKVPSTFDSELTESEVADECERFFSEDQELEQLINGQICFKVYPFSSDTSNADRKIILSGSFNPLHDGHLKLLEVATSICGNGYPCFELSAINADKPPLLISQIKDRVLQFEKVEKTVIVSNQPYFYKKAELFPGSAFVIGADTAVRLINPKYYDGRYDKMLETLTGCKRTGCTFIVAGRNVEGAFKVLEDFDIPEALRDMFVSIPAERFRMDISSSEIRKSRGM >EOX99923 pep chromosome:Theobroma_cacao_20110822:2:18307820:18320033:-1 gene:TCM_008933 transcript:EOX99923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMKKKGERYDWQGADAPCQAPLSPARREKFASGRLVELAPGCPGTRQGGVPPPEPENRRRRKEELAGGEGTRPSRHQICRRLGDSRLDPRRKEELASGEGTRPSTPPDLPLEGRADPGQLRCHRLGDSRLDPRRKEELAGGEGTRPSTPPDLQLEGRADPEQLRRRRLGKPTVRVRRREISCRLTAKGEFVGVRRGNPTEEKCREKSESL >EOY02006 pep chromosome:Theobroma_cacao_20110822:2:41661264:41662815:-1 gene:TCM_011771 transcript:EOY02006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger-like protein MASNSYQCDDLHHIVYQPLIEPELRYPTPRSVQVEVTITLQLEVIRHYCLIDQFTYLEDAEPLQETLRFDLRVLKNLDLAYQFLAPMLRSLGVNPSSPLFNAVIDDIIQHGQRIGSSMSDMGSKVLPLYVDLSGTLVQHVNQDEEYEEEIERALEESTSEFETGNYNMVPAKASLVKKMLKRVRVGAGEFEQRGGENIKKRRVKGDNCVICLEELKVGSDASQMPCSHTFHGDCIEKWLKQSHYCPVCRFEMPI >EOX97802 pep chromosome:Theobroma_cacao_20110822:2:3527864:3528448:1 gene:TCM_006735 transcript:EOX97802 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MGFRLPRIVNAKRSLKRALSSSETTVVPKGHFAVYIGEAEKKRFVVPISFLKHASFQNLLSQAEEEYGFNHPMGALTIPCCEEAFLDLICGLQSS >EOY01896 pep chromosome:Theobroma_cacao_20110822:2:41286791:41291295:1 gene:TCM_011688 transcript:EOY01896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative MLTSSCRVQLPPQLLLKIPPSAPPTAKDGGLLFRQKLLYLQSLNIDPHKALRLNPSLRSTPLSSLLSLEHSLSSFGLSRTSIGRIIDMYPLLLTSDPLPPINFLLQEVPLPLPRLPLSLSRCPRLLLSSVTTQLRPALRFLTSLGLVLNSHTTLLLVSNVENTLKPKISFLQSLGFDEPEVNRMVVRSPGLLTLSVENNMRPKVKFFLEEMEGDLKEFKRFPQYFSFSLEKKIKPRHRALVEHGFKLPLSKMLKVSDGEFNARLIEMRLQRVQRR >EOX97732 pep chromosome:Theobroma_cacao_20110822:2:3242166:3243485:-1 gene:TCM_006667 transcript:EOX97732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELKGVNIKVLGKGSYGVVHFVKTKIPSSQFYAVQSADEAMSSTLRRKRKSFNSLLIPPILVCKMKEASTTCFLNMLREEVYCI >EOY00679 pep chromosome:Theobroma_cacao_20110822:2:34453920:34467938:-1 gene:TCM_010604 transcript:EOY00679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METGGLDVDLLLTKGTTRPWIIVKVWNQLVDNVWSKTEWQSCSRQTKQSYLIEKDSNVAKHIGGSLPFALHQKRMESYTLAFLHKYGEEPSTKLKFYLEAWIEAIGKPISTHTHIYEFGITVPVLIFLDLIATFEFASDPESAQPLPSFALKPKRYRQWINNVST >EOY00237 pep chromosome:Theobroma_cacao_20110822:2:30322777:30324340:-1 gene:TCM_010055 transcript:EOY00237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASKRYRVESGRGVAAEEEDILDNIATYLVKLIDQIENMEKDMRGLMDKLLVRTEVLKTEIMGDSNSFKFLA >EOX97816 pep chromosome:Theobroma_cacao_20110822:2:3573043:3583154:1 gene:TCM_006749 transcript:EOX97816 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MDDSSLLRRITIARYFQWWNICKRSLAKQILRRSVFAASKAASTTGDVGPKGFLAVYVGETQKKRFVVPVTFLNQPSFQALLSKSEEEFGFDHPMGGLTIPCREETFVNVTSQLNG >EOY00336 pep chromosome:Theobroma_cacao_20110822:2:31411702:31413657:1 gene:TCM_010184 transcript:EOY00336 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-leucine resistant (ILR)-like gene 6, putative isoform 1 MALDILQHIFLIAIILSPFFFSLVFQVSCHEEHCFNSSSSPTACPNVSVKDQILRLAYDEETVDWMKQIRRKIHEHPELAFEEVKTSELIRHELDHLGVAYRWPVARTGVVAIVGSGSPPFVALRADMDALPIQELVEWEHKSRVDGKMHACGHDAHVAMLLGAAKILQKLR >EOY00335 pep chromosome:Theobroma_cacao_20110822:2:31411691:31413626:1 gene:TCM_010184 transcript:EOY00335 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-leucine resistant (ILR)-like gene 6, putative isoform 1 MALDILQHIFLIAIILSPFFFSLVFQVSCHEEHCFNSSSSPTACPNVSVKDQILRLAYDEETVDWMKQIRRKIHEHPELAFEEVKTSELIRHELDHLGVAYRWPVARTGVVAIVGSGSPPFVALRADMDALPIQELVEWEHKSRVDGKMHACGHDAHVAMLLGAAKILQKLR >EOX97560 pep chromosome:Theobroma_cacao_20110822:2:2685154:2687223:-1 gene:TCM_006548 transcript:EOX97560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide (PPR) repeat-containing protein, putative MIGSLTPTIPPPWNHRHLRPTRPSIKCESGGVPLTKQGHRFFSSLAATADVNDPATANRLIKKFVASSPKSIALNALSHLLSPRNSHPHLSALAFPLYTKISETSWYNWNPKLVAELIALLVKQGRYDESEALISQAVSKLKFRERDLVQFYCNWIESCSKHNSKEGFNDAYCYLSELICNSSSVYVKRQGYKSMVSSLCEMDRPNEAENLVEEMRKNGLTPTLFEFRFISYGYGQLGLFEDMERMVCEMEIEGFEVDTICSNMVLSSYGAYNAFSKMVPWLQKMKTLQIPFSIRTYNSVLNSCPEIMSLVQGLDSVPLSLGELAKILNEDEALLVQELVKSSSVLDEAMEWNGSEGKLDLHGMHLGSAYLIMLQWIEEMKCRFKVEECVIPAQITIVCGSGKHSSVRGESPVKTLMRKMMVKMKSPMKIDRKNIGCFIAKGQVVKNWLIPDGGGE >EOY00749 pep chromosome:Theobroma_cacao_20110822:2:34876396:34878703:-1 gene:TCM_010673 transcript:EOY00749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase family protein MAIPLSLFLLFLPLLAPTFIFSSPVQDPEQVVQQVNESVRNATIARRNLGYLSCGTGNPIDDCWRCDPRWEKNRQRLADCAIGFGKHAIGGRDGKIYVVTDSSDRDPVNPKPGTLRHAVIQDEPLWIIFASDMVIKLKEELLMNSFKTIDGRGANVHIAGGPCITIQYVTNIIIHGINIHDCKRGGNAYVRDSPSHYGWRTISDGDGVSIFGGSHVWVDHCSLSNCNDGLIDAIHGSTAITISNSYLTHHNKVMLLGHSDSYKQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWDMYAIGGSANPTINSQGNRFLAPNNEYNKEVTKHEDAPQSQWKHWNWRSEGDLMLNGAFFTPSGTGASSSYAKASSLGARPSSLVGSLTAGAGSLVCKEGSRC >EOX99046 pep chromosome:Theobroma_cacao_20110822:2:8272070:8274648:-1 gene:TCM_007671 transcript:EOX99046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein isoform 1 MGKVSAVVYITVALLILFLISYSPNKHSSHNRHRRLKLRTSYNFGKPTRHEPVAFDPLVADIERRREDRQWEKQHFEYSHPEFTHDPAPAEESQPEWEDFMNAEDYLNDEEKFNVTDRLVMLFPKIDVDPADGFVTENELTEWNLQQAAREVLHRTQREMEIHDKNHDGLISYKEYEPPSWVKNDNTSFGYDMGWWKEEHFNASDADGDGLLNITEFNDFLHPADSKNSKLLKWLCKEEVRNYDEEGHNS >EOX99045 pep chromosome:Theobroma_cacao_20110822:2:8270232:8274585:-1 gene:TCM_007671 transcript:EOX99045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein isoform 1 MGKVSAVVYITVALLILFLISYSPNKHSSHNRHRRLKLRTSYNFGKPTRHEPVAFDPLVADIERRREDRQWEKQHFEYSHPEFTHDPAPAEESQPEWEDFMNAEDYLNDEEKFNVTDRLVMLFPKIDVDPADGFVTENELTEWNLQQAAREVLHRTQREMEIHDKNHDGLISYKEYEPPSWVKNDNTSFGYDMGWWKEEHFNASDADGDGLLNITEFNDFLHPADSKNSKLLKWLCKEEVRERDTDKDGKVNFNEFFHGLFDLVRNYDEEGHNSSHPSDDSMEAPAKRLFSQLDKDGDRFLSDEELLPIIGKLHPSERYYAKQQADYIISQADSDKDGRLSLVEMIDSPYVFYSAIFNDDEDEDDYEYHDEFR >EOX99829 pep chromosome:Theobroma_cacao_20110822:2:17088681:17094332:1 gene:TCM_008790 transcript:EOX99829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTSDYSQMVEFSTIPDYCLHCYHVRHKEAECILLGNKPRQSGLSKPHPQGNAKSSIEPPIGTEDAEKVVRFKGGNGRILEKEKNQGREEPAKQSLRWQVMGKVGINGVKDLQGKEIRSNQGSKDANVPVFNRFNTISREGDGIQNQFEKQGQT >EOY02100 pep chromosome:Theobroma_cacao_20110822:2:41949542:41951416:1 gene:TCM_011834 transcript:EOY02100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALIATQALLCNTHGTFLPGPRPRSFDSDPSSLSRHHLYYCKHPGKTWPAISFSIPTNPTSQHSTAPVCRESRRKSTAVSPASEEGDGDSLRRVFQVALWTAEAVYISWLFLLPYAPGDPVWAISSETINALIGLSLNFLFILPLTNAVGIRLIDAPVLHPMSEGLFNFVIGWTLMFAPLLYTDCKRDRYKGSLDVLWGLQMFLTNTFLIPYMAIRLNEADADGPPSKRSPLGSVMTNGAPVVGLIGGAVCLLSAIWALIGRMDGDFGSITDRWQFLISYLGSERLAYAFIWDICFYIIFQPWLIGENLQNVQKSRVPLVNYLKFIPVVGLVAYLLFLELEEEK >EOX99962 pep chromosome:Theobroma_cacao_20110822:2:19620650:19623667:-1 gene:TCM_009063 transcript:EOX99962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFGQSLNHSIRPNSPIHGSIPPLWVEQALNLKPLQPHLKRRLLSHFKLFPWKRKARWLGPFKVTKILPCGMRKIKSEATRTLIDNRMSLELYVVDDESSTLLVLQRSKGATSSKKDKSLNAATPRLQSCGIGTREILVAATLIS >EOX97837 pep chromosome:Theobroma_cacao_20110822:2:3635582:3638458:-1 gene:TCM_006766 transcript:EOX97837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein isoform 3 MGDSEEGNTDVMQRIQSSFGTSSSSIPKQPLSMNQLEIPQLNPNQIRAPRHFSHFGQNFNGGVGDAANKRVGIPPSHPNQIPPISPYSQIPVSRQMNQQMGSQSFSPGPTHSRSLSQPSSFFSLDSLPPLSPSPFRDCSSVAVPDQICTDVSMEDRDAASHSLLPPSPFSRGNSPRVGESLPPRKSHRRSNSDIPFGFNTIMQSSPPLIPLRGSGGLERSVSGKENSGVPKPAQLVKKETSWERGADGNAEGMGERKSEGEVVDDLFSAYMNLDNIDALNSSGTDDKNNGTENHEDLDSRASGTKTNGGDSSDNEAESSVNESGNSALRGGMNSTDKREGIKRSAGGDIAPTGRHYRSVSMDSFMGKLNFGDESPKLPPSPGTRPGQLSPSNSIDGNSAAFSLEFGNGEFSGAELKKIMANEKLAEIAMSDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTNQNNELKFRLQAMEQQAQLRDALNEALTAEVRRLKLATQELGGDSDPSKGMVSQQLSVNHQMFQLHQQQSSQLNIPHQFQQQQLPPQPQQQNGNTTAKTESNQ >EOX97838 pep chromosome:Theobroma_cacao_20110822:2:3636742:3638458:-1 gene:TCM_006766 transcript:EOX97838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein isoform 3 MGDSEEGNTDVMQRIQSSFGTSSSSIPKQPLSMNQLEIPQLNPNQIRAPRHFSHFGQNFNGGVGDAANKRVGIPPSHPNQIPPISPYSQIPVSRQMNQQMGSQSFSPGPTHSRSLSQPSSFFSLDSLPPLSPSPFRDCSSVAVPDQICTDVSMEDRDAASHSLLPPSPFSRGNSPRVGESLPPRKSHRRSNSDIPFGFNTIMQSSPPLIPLRGSGGLERSVSGKENSGVPKPAQLVKKETSWERGADGNAEGMGERKSEGEVVDDLFSAYMNLDNIDALNSSGTDDKNNGTENHEDLDSRASGTKTNGGDSSDNEAESSVNESGNSALRGGMNSTDKREGIKRSAGGDIAPTGRHYRSVSMDSFMGKLNFGDESPKLPPSPGTRPGQLSPSNSIDGNSAAFSLEFGNGEFSGAELKKIMANEKLAEIAMSDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQVRIWGTECSSSILLLSCFSYLIFNFKYSERFCWAYKSEQRVEISPSSHGATGTTP >EOX97839 pep chromosome:Theobroma_cacao_20110822:2:3635045:3638995:-1 gene:TCM_006766 transcript:EOX97839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein isoform 3 MGDSEEGNTDVMQRIQSSFGTSSSSIPKQPLSMNQLEIPQLNPNQIRAPRHFSHFGQNFNGGVGDAANKRVGIPPSHPNQIPPISPYSQIPVSRQMNQQMGSQSFSPGPTHSRSLSQPSSFFSLDSLPPLSPSPFRDCSSVAVPDQICTDVSMEDRDAASHSLLPPSPFSRGNSPRVGESLPPRKSHRRSNSDIPFGFNTIMQSSPPLIPLRGSGGLERSVSGKENSGVPKPAQLVKKETSWERGADGNAEGMGERKSEGEVVDDLFSAYMNLDNIDALNSSGTDDKNNGTENHEDLDSRASGTKTNGGDSSDNEAESSVNESGNSALRGGMNSTDKREGIKRSAGGDIAPTGRHYRSVSMDSFMGKLNFGDESPKLPPSPGTRPGQLSPSNSIDGNSAAFSLEFGNGEFSGAELKKIMANEKLAEIAMSDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQPSLTFLISSSSSSCRHSPNSRMGTQLQKRSRISSS >EOX97559 pep chromosome:Theobroma_cacao_20110822:2:2671285:2685169:1 gene:TCM_006547 transcript:EOX97559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related MANNSNSKSLSSLVHELRERIAATSSTPPNNSNINNNNNNSDDDALEARFRAVLPNLLHAYVVPSSSANEREVIAVLKLISHTAKNFPGVFYHGKASAVLPVIGRILPFFAEPAFRSRHGVIFDTVGSLLSLLRTGSQDAYRMLFIDAMCTIEDISYIGSLSLENSGITEATGLPLKCFHRSFSGILGNSTCLCDLPTSNKPIDGPGILINLLGRNRWQPFATWIIKLLSKCLTEGTLYVEGLINTSFVCSACSLLCYGDADLHMACFDFARIIGSAMSYDIVPYENLIQSISTILSEDKEGLPVFRNFVYDSSIGDCLRALHTSCPDDVVKLTAENLVNVFCHSMWRTKSMELKVALCTAYIRISKTCPPHIWRPECLINVLCCPEPCVLLMDCFQVALSILGPSCVGGGTTDHSNLGLSTSSDKSIASPKVGGKRHILDVDLSKIKRQKVDGELKVSNTNVPRDIKLTDIVSYEREEGYADSMHESLLLFAELLNSPSVKHGSLRPDVALTALSLLCIAFCRYPETNMSLCILQQMQTWIPWICEQAKQGSSIMLDMSIFLEGIHSMLLIQGSLFFEDNLFKNESDEVAINVVLKLPWTHTLVVPKPHLPWKAKLIAIQVVSKLGPRFSAGNGFEVLDLALHDEIEEVRKEALLSMPVIVLWSGLDALAHMFRRLELLEKDKHEKVKKVIPYCLGFLSCLHGSYHGVDGIEKSSCKLFLNIKDEKQIETLDYLLQGFWCSKCDRCVVHDDEPNSKIMHPPDAQSLESGYNFDLGYLQSLYFNLLYGESSEEVQLACVGAIRRILLHGSQDVLLSMRTEWVRCIDFLLLNKKKSVREAFCGQISSFLQDPILNFLFSDGNASSKGSEEKFFNMIKYALTATEGPQIIETLLESIAEIMMAVDVYSQLFLLSLFLLVDQLDNLHLTVRINASRLIHKSCCFHFKGGFELLLSKAVHIRNELFDYLSIRLASRPKMVKEFAEAVLGVETEELLKKMIPVVLPKLVVSQQDNDQAVDILNELAKCLNTDMVPLIVNWLPKVLAFALHQADEKELLSALQFYHAQIGSNNQEIFAAALPALLDELICFLDGGDLNEINKRLERVPQMIKKVARVLTDSEGLPGFLRNHFVGLLNSIDRKMLHSEDFSLQKQALKRIEMLIKMMGSHLSTYVPKLMVLLMHAIGKETLQSEGLSVLHFLIVQLAMVSPTSTKHVISQGFAALIPLLEKDTEHTSVHLHKVVEILEELVLKNRVILKEHIHEFPLLPSIPVLTEVNKAIQEARGTMTLKNQLRDVVAGLNHENLNVRYMVVTELSKLLKLRREDVAALVNGEGGSDMDILSSLITSLLRGCAEESRTVVGQRLKLMCADCLGALGAVDPAKLKNISCQRFKIQCTDDDLIFELIHKHLARAFRAAPDTIIQDSAALAIQELLKIAGCEASLDENVASMSQTKKDKEPLKTTALGIKSSASSSGTNSRGQKLWDRFSNYVKEIIAPCLTSRFQLPNVADSTSAGPIYRPSMSFRRWIFSWIKKLTAHAIGSRASIFNACRGIVRHDMQTAIYLLPYLVLNVVCHGTEEGRHGITEEIQSVLNAAASENSGAAVYGVSGGQSEVCIQAVFTLLDNLGQWVDDVKQELALSQSYQSSASRQQASKSKDQSSALSASQDQLLVQCKYVSELLSAIPKVTLARASFRCQAYARSLMYFESYVRGKSGSFNPAAERSGIFEDEDISYLMEIYSCLDEPDGLSGLACLRKLLSLQDQLLINKKAGNWAEVLTACEQALQMEPTSVQRHSDVLNCLLNMCHLQAMVTHVDGLISRIPKYKKTWCMQGVQAAWRLGRWDLMDEYLTGADEEGLLCSSSESNASFDLDVAKILQAMMKKDQFSVAEKIALSKQALIAPLAAAGMDSYSRAYPIIVKLHLLRELEDFHALLLDESFLEKSFHLCDSGFSKVMENWENRLRFTQSSLWAREPLLAFRRLVFGASSLGAEVGNCWLQYAKLCRLAGHYETANQAILEAQASGAPNVHMEKAKLLWSTRRSDGAIAELQQSLLNMPVEVVGSAAISSITTLSLVPLNPQPLPCDTQAMNENQEIAKTLLLYSRWIHYTGQKQKEDVISLYSRVRELQPKWEKGYFYMAKYCDEVLVDARKRQEENFELSPGIIPSASAIAASSNSKNEKQWWSYLPDVLLFYAKGLHRGHKNLFQALPRLLTLWFDFGSIYQRSAAASNKDLKNVQGKVISIMRGCLKDLPTYQWLTVLPQLVSRICHQNEEIVKLVKSIIISVVRQYPQQSLWIMAAVSKSTVPSRREAAAEIIQAARKGFSQGNSGNNLFVQFASLIDHLIKLCFHAGQPKSRTINISTEFSALKRMMPLGIIMPIQQSLTVSLPTYDVNLTESLSSDIFSGVELPTISGIADEAEILSSLQRPKKIFLLGSDGIERPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRHILQDIYITCGKFDRQKTNPQIKRIYDQCQGKIPEDEMLKDKILPMFPPVFHQWFLTTFSEPAAWFRARIAYAHTTAVWSMVGHIVGLGDRHGENILFESTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFLRICEITLSVLRTHRETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAISNIEARLQGVVVGVAAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMPWF >EOY01252 pep chromosome:Theobroma_cacao_20110822:2:38635643:38653212:-1 gene:TCM_011195 transcript:EOY01252 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA delta(2)-isopentenylpyrophosphate transferase, putative MNNTFIESTTFDPPDLHLQANQILTIFYRIKCFFFTLAFFNSYVSQITMNTIGSTSAQHQNVKNKVIFVMGATATGKSKLSIDLATKFHGEVINSDKIQVFKGLDIITNKVTEEESRGVPHHLIGIVDPEEDFTVGDFCHHVLKAIDTILKNGHIPIIAGGSNSYLEKLVEDPSIKFREYFDCCFIWVDASLPVLYERVGKRVDEMVMNGLVEEVRAVFVPGADYTRGIKRAIGAPEMENYFLVENNIKVDEAIKTKILANAFEEIKINTRKLVDSQLRKIQRLRDELGWKMHRIDATSVHEKHGKDAEDTWKDVVLKKSLEIVGDFLK >EOX98103 pep chromosome:Theobroma_cacao_20110822:2:4565184:4572006:-1 gene:TCM_006939 transcript:EOX98103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein isoform 2 MEKLITEGRSLAETPTYTVASVITVLVFVCFLVERSIYRFGKWLKKTRRKALFVSLEKIKEDLMLLGLISLLLAQWARGISEICVNSSLFTSRFYICSEMDYDINKSMLFESTSSFTNETGIPPEGLNSHPSHQCGEGREPFVSFESLEQLHRFLFVLGITHVLYSCLAVGLAMSKIYSWRKWENQASIIADGSLQAKRNKVMRRQSTFVFHHTSHPWSRSRILIWMLCFLRQFRSSIKKSDYLALRLGFITKHKLPLSYNFHKYMVRSMEDEFHGILGISWPLWGYAIACIFVNIHGLNIYFWLSFIPAILVMLVGTKLQHVVSSLALEIKEQTGPPTGAQVKPRDDLFWFGKPEILLRLIQFIIFQNAFEMATFIWSLWGLKQRSCFMNNHFMIVFRLVSGVLVQFWCSYITVPLNVIVSQMGSRCKKALVTESVRESLHSWCKRVKEKSKRESVHSHTARSVCSLESVVDDERDEITVASGTLSRSSSMESLNQVTITSSEQAEAILGTSNRTQGEYSSRVADYLSESISINASQPLTIDEDENDGIAEEEKVDTLYELFQRT >EOX98102 pep chromosome:Theobroma_cacao_20110822:2:4565596:4572400:-1 gene:TCM_006939 transcript:EOX98102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein isoform 2 MFSQLKTLSKLFTSSETRCSSPTSLFPGSFTFRQLEGSVLVDVRNMEKLITEGRSLAETPTYTVASVITVLVFVCFLVERSIYRFGKWLKKTRRKALFVSLEKIKEDLMLLGLISLLLAQWARGISEICVNSSLFTSRFYICSEMDYDINKSMLFESTSSFTNETGIPPEGLNSHPSHQCGEGREPFVSFESLEQLHRFLFVLGITHVLYSCLAVGLAMSKIYSWRKWENQASIIADGSLQAKRNKVMRRQSTFVFHHTSHPWSRSRILIWMLCFLRQFRSSIKKSDYLALRLGFITKHKLPLSYNFHKYMVRSMEDEFHGILGIRTENQNDILERGRKIVVIQAGERSWPLWGYAIACIFVNIHGLNIYFWLSFIPAILVMLVGTKLQHVVSSLALEIKEQTGPPTGAQVKPRDDLFWFGKPEILLRLIQFIIFQNAFEMATFIWSLWGLKQRSCFMNNHFMIVFRLVSGVLVQFWCSYITVPLNVIVSQMGSRCKKALVTESVRESLHSWCKRVKEKSKRESVHSHTARSVCSLESVVDDERDEITVASGTLSRSSSMESLNQVTITSSEQAEAILGTSNRTQGEYSSRVADYLSESISINASQPLTIDEDENDGIAEEEKVDTLYELFQRT >EOY01234 pep chromosome:Theobroma_cacao_20110822:2:38426476:38427311:1 gene:TCM_011178 transcript:EOY01234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQKVRVTAITKAKLSCNHLNKSATGGKNYPRPWHGYQLQLSPDQNYNQKTPKISIIEFYNIELKQICCVSLKKRSHY >EOY02078 pep chromosome:Theobroma_cacao_20110822:2:41882247:41882720:1 gene:TCM_011816 transcript:EOY02078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSEKRKRGDDGEAEGKRAEEGEAKVTEEAEVEEFFAILKRIHVAVKYFEKANGGGRTLSGEGEWRPSFLLEDFGEDHDVKNEGKREDSEEDSGLDLNLEPAS >EOY00597 pep chromosome:Theobroma_cacao_20110822:2:33751094:33751675:-1 gene:TCM_010481 transcript:EOY00597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADDPIMMGGVSHSEDHGTETLQSTVQDQWDRFDALGINANRNRDDERPRIRDDVAHGQPIGDLFL >EOX98844 pep chromosome:Theobroma_cacao_20110822:2:7460579:7468006:1 gene:TCM_007523 transcript:EOX98844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAADLFFASLEACSLSFPCCNQPLFWPFRLPPLPFHTLRLSAAKPKLGHLFVSRCSNSYSDSVPGDFDILSVTECSDGSIIFRFGNASEMAINKAEQSQSTNESGGKDLDKQVMSSSNVVDVSDGEPEVGESVSKKVGRNLKVKSQPKKKPRRNTARVVEVVKEKAASVIGNDTEIEKSSVDSSKHIHPKEGSIELDNVAKVENSSVPEGIGESICKTEGTTLNTVSNMDVVPVVEKVSLPLAASAVESEITTQLAPSESDSKIEVPHGVKFQEENTDAFEENGENVVKIEGTTLNMVSKMDLAPDVEKVSPPRAASAVESEITTQLYSSESSSKIEVPHGIKFQEENSGASEGNGENVNKIEGTTLNMVSKMDLVPDVENVSPPLAASAVESEITTQLASSESSSTIEVPHGLKSHEENSGASEGNGENVGKFEGTSLNMVSKMDLVPDVEKVSPPLAASAVESAITSQLASLESASESEVPHGVKFQEENAGTPNGNLESTVKIGGTTLNTESKMDLAMKEVCAEVAASENNIESEATTQSTSLGTCSKMDVPHSFKFQESGKDDAGERVANLPMPSIEHSEEHNINASKKLVMVDGEDKLNSTLSEVESILNETTVHKTVEESVDNDIIKSSKMSDEGVPLSSFKEEITEGGARSGNEVRVPMLKGVEIQSGGTILERKEIATAGFFLFSGAALSPNPTKAFAGGEDAYFIACQKWLGVADGVGQWSFEGISVGLHAKELMENCEKIVSDRNGVPITDPVEVLNRGAANTQSCGSSTALVAYFDDQEIAKLLATRAQELGQLSSVRSPFADEAQAAGYVGYRGGKLDDVTVIVSLVKRRFSNHVQ >EOX98843 pep chromosome:Theobroma_cacao_20110822:2:7460579:7469247:1 gene:TCM_007523 transcript:EOX98843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAADLFFASLEACSLSFPCCNQPLFWPFRLPPLPFHTLRLSAAKPKLGHLFVSRCSNSYSDSVPGDFDILSVTECSDGSIIFRFGNASEMAINKAEQSQSTNESGGKDLDKQVMSSSNVVDVSDGEPEVGESVSKKVGRNLKVKSQPKKKPRRNTARVVEVVKEKAASVIGNDTEIEKSSVDSSKHIHPKEGSIELDNVAKVENSSVPEGIGESICKTEGTTLNTVSNMDVVPVVEKVSLPLAASAVESEITTQLAPSESDSKIEVPHGVKFQEENTDAFEENGENVVKIEGTTLNMVSKMDLAPDVEKVSPPRAASAVESEITTQLYSSESSSKIEVPHGIKFQEENSGASEGNGENVNKIEGTTLNMVSKMDLVPDVENVSPPLAASAVESEITTQLASSESSSTIEVPHGLKSHEENSGASEGNGENVGKFEGTSLNMVSKMDLVPDVEKVSPPLAASAVESAITSQLASLESASESEVPHGVKFQEENAGTPNGNLESTVKIGGTTLNTESKMDLAMKEVCAEVAASENNIESEATTQSTSLGTCSKMDVPHSFKFQESGKDDAGERVANLPMPSIEHSEEHNINASKKLVMVDGEDKLNSTLSEVESILNETTVHKTVEESVDNDIIKSSKMSDEGVPLSSFKEEITEGGARSGNEVRVPMLKGVEIQSGGTILERKEIATAGFFLFSGAALSPNPTKAFAGGEDAYFIACQKWLGVADGVGQWSFEGISVGLHAKELMENCEKIVSDRNGVPITDPVEVLNRGAANTQSCGSSTALVAYFDDQALHVAYIGDSGFIIIRNGAVFKRSSPMVYEFNFPLQIKRGDNPSDLVEVYRIDLNEGDVIVTATDGLFDNLYEQDITSIVVKSLQESLRPQEIAKLLATRAQELGQLSSVRSPFADEAQAAGYVGYRGGKLDDVTVIVSLVKRRFSNHVQSTTSLPEVTTSRIQQLYLTNN >EOX97042 pep chromosome:Theobroma_cacao_20110822:2:1010320:1011194:-1 gene:TCM_006157 transcript:EOX97042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGYSKMKAVGNGSSRSMDSSDLMPLPQTPKPISSITPNHTEKNQEINQVVIKNSNPITTQQDSSEQEDEQEGNNEEMFGPKLRRNSSVSSSYALQAAVKRAFSMRRSSSVSERYCRIHDQSVTLASPFDDEELDTTGTRRSAKKKKNSRGKILKAWKKLFGL >EOY00229 pep chromosome:Theobroma_cacao_20110822:2:30119054:30124955:-1 gene:TCM_010030 transcript:EOY00229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MEGVKFDKSGYEVKTSSDSCIFAINAYYHQVLSYGRDRRVILEAPVHDKDCVLANILAAHFLFSSDPSEASLHIEAAKSRLEQATFYEKAVFDVVNYLISENRDDDVAVELHSKLLRDFPRDLVSLKRVQVLCFYMGRPDLSLGLVQQVLPQNQQENYIYGMLAFPLLELGRMADAESAAEKGFEINKQDFWAQHALCHVLQYECRYKEAVQFMEECSSSWGSCSSFMLTHNWWHVALCYLEGHSPIIKVREIYDHCIWKELERSDAICAEVYLNALGLLLRVHVRGELDFFEDRLKILAAHLTDQANWFMEWHFDVLILWALAFTGEVAKAEDLLKGLKSRFSMMSKKKQQVMQRAMLLAEAIYEYGQGNEKQALEILGPDFDAYNCKIIGASDEQLDVFSEVWYSMLLNTGQVTKAIESIEKQIQKREGAPFLWRLLETGYTLSGRQEAATIGEKARVLEAAYFN >EOY00230 pep chromosome:Theobroma_cacao_20110822:2:30119487:30125025:-1 gene:TCM_010030 transcript:EOY00230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MEGVKFDKSGYEVKTSSDSCIFAINAYYHQVLSYGRDRRVILEAPVHDKDCVLANILAAHFLFSSDPSEASLHIEAAKSRLEQATFYEKAVFDVVNYLISENRDDDVAVELHSKLLRDFPRDLVSLKRVQVLCFYMGRPDLSLGLVQQVLPQNQQENYIYGMLAFPLLELGRMADAESAAEKGFEINKQDFWAQHALCHVLQYECRYKEAVQFMEECSSSWGSCSSFMLTHNWWHVALCYLEGHSPIIKVREIYDHCIWKELERSDAICAEVYLNALGLLLRVHVRGELDFFEDRLKILAAHLTDQANWFMEWHFDVLILWALAFTGEVAKAEDLLKGLKSRLERFSMMSKKKQQVMQRAMLLAEAIYEYGQGNEKQALEILGPDFDAYNCKIIGASDEQLDVFSEVWYSMLLNTGQVTKAIESIEKQIQKREGAPFLWRLLETGYTLSGRQEAATIGEKARVLEAAYFN >EOX99921 pep chromosome:Theobroma_cacao_20110822:2:18276510:18285842:-1 gene:TCM_008929 transcript:EOX99921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFEEINNKNWTSFAREAANPVLNWEEEDANILNDLTILTLPKRPAMKTRMLASKGKKKKVWMITKMKKKKKKKKTMGTQKKKNLIPCLKWDISTLIIVNLGMTWNMLYTTYERFLLFLMMTQYRYQEYSDELCKYWLVFRLHAMFFFLLMTQYRYQEYSDQLLEIFSPSERNPLTDRSHFIGTVYVTRSLAQNTNQAY >EOX97472 pep chromosome:Theobroma_cacao_20110822:2:2389569:2392554:1 gene:TCM_006474 transcript:EOX97472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-dependent monooxygenase 1 MSMSRMRTMPRETFACLFFFTSFLIEVRRFSSPKAASEEFGPQHLRGQNFRPHNNFISSQIFHGNLQFTRWDFVVLGLGRFSGIPNIPSFPPGKRPETFHGEVIHSMDYAAMDYKLAAKCIKGKRIVVVVLQKSALDIAMECSTANGVELPCTVLYKSERWGVPNYLPWGVPLAYLYLNRFSELLVHKPGEGFLLSSLATILSRLRWVFSKFVESYIKWKLPLAKLGMVPKHSFHKEFSSCLTSTVPKNFYDRVEEGSILPKKTQNISFCEEGIMVDDEDSPLKTDLVILATGFRGDKKLRDIFASPTFQDCMAGSPEARVPLYSLRILTSYIFKP >EOY01196 pep chromosome:Theobroma_cacao_20110822:2:38168406:38169746:1 gene:TCM_011142 transcript:EOY01196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDPTPSVLPMSPSAHMHRSRQITCFCVFAISLSSCILVRILCANCNACPLSHLLIAIPLFEKQLMTNSMPISLSHFVVKIKKERKKNPNVE >EOY01361 pep chromosome:Theobroma_cacao_20110822:2:39154056:39157051:1 gene:TCM_011276 transcript:EOY01361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRINLNKTLSLLPAPRRWPRSRSNSVNSSGMKFAVKAWSTGRAQAGVLHLGCCPTPIETPSLLLSTRKGLPLFISPDLLSSFPSPDSRLLHLGRPFDQNNIKNRRASPTARFA >EOX99220 pep chromosome:Theobroma_cacao_20110822:2:9243702:9251797:1 gene:TCM_007827 transcript:EOX99220 gene_biotype:protein_coding transcript_biotype:protein_coding description:FASCICLIN-like arabinogalactan protein 21 precursor, putative MAFSPNWWRAPVYFTVSVLLAFLAISTALRSLPKNEPLPTESTTAPIFLEASGALRRSGFNIIAALFQITPEIFLSSPHSTIFAIPDSSIANASQTSWLLKHLFQYHTSPLQLSMNDLLKKHQGSCFPTLLHRKNVAITRVDAKERVVEINHVLVSHPDIFLEGPLTIHGVLGPFTSIDPQYVDQVWDHIQSPICDSNLSLVSEVIDTKNVVEWTHIIRLLSSRGFVSFAIGLNSVLDRILEDKMNLSSVTVFAPPDFSFVASPSALLDKLVRFHILPRKFTYKELASLPANASLSTLIPDRDLEISGGVNVTQELIINGAKIVAPNIFLSKKFVVHEISHVFQLAELPKTSS >EOX97702 pep chromosome:Theobroma_cacao_20110822:2:3126695:3129959:-1 gene:TCM_006646 transcript:EOX97702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine protease 2 MANFLSSFLPLLFLLFISSDFPKSFSSYQTVKTFIFRVDSESKPSIFPTHYHWYTSEFAEPTRILHVYDTVFHGFSAVVTETHAASLSNHPSVLAVFEDRRRELHTTRSPQFLGLRNQHGLWSDSDYGSDVIIGVFDTGIWPERRSFSDTNLGPIPARWKGVCQTGAKFVAKNCNRKLIGARFFSKGHEAAAGLGGPIAGINETIEFMSPRDADGHGTHTASTAAGRHSFRASMEGYAAGIAKGVAPKARLAVYKVCWKNSGCFDSDILAAFDGAVNDGVDVISISIGGGDGISSPYYLDPIAIGAYGAVSRGVFVSSSAGNDGPNLMSVTNLAPWLVTVGAGTIDRNFPADVILGDARRLNGVSLYSGEQLKGKMYPLVYPGKSGVLSASLCMENSLDPSVVKGKIVICDRGSSPRVAKGLVVQKAGGVGMILANGVSNGEGLVGDAHILPACALGSDEGDAVKSYVSSSANPTATIDFKGTVIGIKPAPVVASFTGRGPNGLNPEILKPDLIAPGVNILAAWTDAVGPTGLDSDQRKTEFNILSGTSMACPHVSGAAALLKSAHPDWSPAAIRSAMMTTASITDNKNQPMIDEATGKQSTPYDFGAGHLNLDRAMDPGLIYDITNNDYENFLCAIGYNPKLVQVVTRSPAVCPMKKPLPENLNYPSIAALFSTTSRGPTSKTFIRTVTNVGQANAVYVAKIEAPKGVRVTVKPVELVFTPAVKKRSFFVTITADSKHLVVDDSGAVFGSLSWTDGNKHVVRSPIVVTQLDPL >EOX99186 pep chromosome:Theobroma_cacao_20110822:2:8901027:8904501:1 gene:TCM_007781 transcript:EOX99186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 5, putative MISIIFSTQGGWGDKVRLQLHSGIFHQEMPSIDRVESLQGVRNVRRIEAHGPTGSSNSANISKNPKAVASKFSTTEMPERSVISAISNLERKRRNQSNQIISLVHKNMQAPTAPTYNEKMLANYAPVYVMLPLGVVSNDNVFEDKTGMEKQLKELRAAGVDGIMPSMSFHQCGGNIGDEVTIPLPQWVLDIGETDPDIFYTNRKGNRNKEYLTIGVDMQPLFDGRTAVQMYSDYMKSFREHMSDFLEAGLIIDIEVGLGPAGELRYPSYPESQGWVFPGIGEFQCYDKYLKAEFKEAATNAGHCEWELPDNAGEYNDTPGSTEFFGLNGTYLTENGKFFLTWYSSKLLNHGDEILDEANKAFLGCKVKLAAKVAGIQWWYKSDSHAAELTSGYYNLKDRDGYRPIARMLS >EOY00377 pep chromosome:Theobroma_cacao_20110822:2:31902620:31906405:1 gene:TCM_010245 transcript:EOY00377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, putative MTVAQVHMGKAAVDLSMRLKHESFSSMMYLFLLFATLDFVFSQTSVSNCILDIKNSSSTKESNCELGNWGGFINDSCCGGAFEEYLYALGRQANLTKAIYLNSTEQNNCLTVMERFEKGIFGCGIQKLTSGAGGCSDYTVTDVVDKLGARLKNLQEDCKILATDGRLDQSCGTCLRRWEEIGGSSDYKQESADVCRFAVLVSMISNRIDENWVYAVFQCLRKRAFSLDEHGDRDGLGIDKAGLWILIGGLVGAMVIVAIATWIFFRKRKEERLPPRKDESDDSFSEQSGSQKISVKELYSATNYFNPSNFIGQGIAEGLMKLCIAIVLIKSFTYAVGNPKRGKVYKGLLSNGLHVAVKHIINDGQIETFVREVRSLSHIRHPNLVALLGYCESEDECFLVYELCHNGNLSEWLYGKDKVLSWIQRLKVAMDSARGLWFLHTYPEGCIVHRDIKPTNILINDKFQARLADFGLSKVMDLGQSHVSSEVRGTIGYVDPEYRQNNRVNASGDVYSFGIVLLQLLSGQRVLNLNLDRPLPLSKMAKNLTRGGDITKFADPKLNGEYPLEAFDLILKLALSCTGIKQERPTMEQVVLILQKALNIRIQAKPVASRGIIIY >EOY01665 pep chromosome:Theobroma_cacao_20110822:2:40547007:40549541:-1 gene:TCM_011510 transcript:EOY01665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 78, subfamily A, polypeptide 5 MTLEHGFLLFHSPSLSFEAFLWAVLLVGIIALWASPGGLAWARFRSKASFAIPGPLGFPLLGLLTVFTGSTPHRVLAKLAKTIKAVKLMAFSVGFTRFIISSDAETAREILNNSAFADRPVKESAFELLFHRAMGFAPYGEYWRNLRRISATHLFSPKRIAGFAGFRQEIGVKLVEEVRCLMEAKGEVLVKKVLHFSSLNNVMATVFGKKYDFEMTGEGLELEDLVSEGYELLGIFNWSDHFPFLCWLDLQGVRTRCRKLVAKVNVFVGKIIEEHRLKRVNGDLEDDGSEVGDFVDVLLDWEKHEKLNDSDMIAVLWEMIFRGTDTVAILIEWILARMVLHPEIQSKVQAEIDTVVGNTKQVSDSDVPNLPYLQAIVKETLRMHPPGPLLSWARLAIHDVHVGDNFVPAGTTAMVNMWAITHDEEVWAEPDKFKPERFLEADVSIMGSDLRLAPFGSGRRVCPGKAMGLVTVHLWLAQLLQAFKWVPCQDGDVDLTEHLKLSMEMKNPLVCKAVPRVA >EOY00714 pep chromosome:Theobroma_cacao_20110822:2:34712137:34712911:1 gene:TCM_010652 transcript:EOY00714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPPISHHKVNFDGAVDIYRKCGGLDIIIRAHEGQVIGVLAIKVHLVLEACIIEALAAVKAFKSKEMHF >EOX99612 pep chromosome:Theobroma_cacao_20110822:2:12685725:12689825:1 gene:TCM_008333 transcript:EOX99612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFVSKPFFPLPHDEQRKLNLFATFAENKKEKKQEKPTPHIKSFSIKSVAEKKKHRPSLSCACGQSLIFPKRSIEIKIDHNCTFL >EOX96928 pep chromosome:Theobroma_cacao_20110822:2:582428:592899:1 gene:TCM_006064 transcript:EOX96928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine/DOPA decarboxylase MSPFHTLYKSDGLASERQIAEATTFLFLVASTSQESFNLVSGEMGSLESIVNLENNSSDIVNPLNPDEFRRQGHMIIDFLADYYQNIEKYPVLSQVEPGYLRKLLPMSAPYIAEPVEAILQDVEKHIIPGITHWQSPNYFAYFPSSGSVAGFLGEVLSTGFNVVGFNWISSPAATELESIVMDWLGQMLELPQRFLFTGNGGGVIQGTTCEAMLCTLTAARDQMLSKIGRENIGKLVVYGSDQTHSAVAKAAKIVGIDPKNFRAIKTNKSTSFGLSPESLRIAITKDVKTGLIPLYLCATVGTTSTTAVDPLRPLCDVAKEYGVWVHVDAAYAGSACICPEFRHFINGVEGANSFSLNAHKWFFTTLDCCCLWVKDPSTLTKSLSTNPEYLKNKASDSKQVVDYKDWQVTLSRRFRAMKLWLVLRSYGVTNLRNFLRSHVKMAKRFEELLASDNRFEVVVPRYFATVCFRVLPSALCKIQNEPCGKADHHVHQKHANEFNRKLLESINASGHMYMTHAQVEGIYMIRFAVGATLTEDKHVTKAWEVVREMVDTMLKLHPQ >EOX97912 pep chromosome:Theobroma_cacao_20110822:2:3961211:3965861:-1 gene:TCM_006822 transcript:EOX97912 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein / bromo-adjacent domain-containing protein isoform 2 MAKAKAPRRTLDSYTVKHINKTIKAGDCVLMRPADQSKPSYVARIERIEADARGGNVKVHVRWYYRPEESIGGRRQFHGSKEVFLSDHYDVQSADTIEGKCTVHSFKSYTKLDAVGNDDFFCRFEYNSSTGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSDWFHPACIEMTAEEAKRLDHFFCESCSSEGQKKLQNSHATSRHSDTKVDTKRRRR >EOX97913 pep chromosome:Theobroma_cacao_20110822:2:3961787:3966029:-1 gene:TCM_006822 transcript:EOX97913 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein / bromo-adjacent domain-containing protein isoform 2 MAKAKAPRRTLDSYTVKHINKTIKAGDCVLMRPADQSKPSYVARIERIEADARGGNVKVHVRWYYRPEESIGGRRQFHGSKEVFLSDHYDVQSADTIEGKCTVHSFKSYTKLDAVGNDDFFCRFEYNSSTGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSDWFHPACIEMTAEEAKRLDHFFCESCSSEGQKKLQNSHATSRHSDTKVFLLSCPSIQHVLYILPGLKVTCMSDGYQGGIEMPFILSTY >EOX98746 pep chromosome:Theobroma_cacao_20110822:2:6965138:6970656:1 gene:TCM_007437 transcript:EOX98746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLWNAKSSRIMQEGVKPFGGGPYLGFDFVQLIPFPSLECPFSTINAHLTPVNWSTRTIPLTLTYALQLCHHPPPLFSVQLMEWTTSTALTQTFDFYYSELILGHETKLRINFIHILHEIHIGVYDICS >EOX98183 pep chromosome:Theobroma_cacao_20110822:2:4782587:4784936:1 gene:TCM_006998 transcript:EOX98183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 1 MGSVPPELSLDFRPTFVPKTISNFLKEVSMVGNVSDKVSKVDAFVKGLEEEMRKIDAFKPIVALKEESMQCVTRNVEPVLEEFIPLKNNKKETKHSEEDGASITTKKDKDPNNNNYNINKDKKNWMSSVQLWNTDDDDYRSTDHKLDTKRNDEDPFQGCKNRGSARAFMPFKPNLGLAVRKEEKEEIPVHGLTLLTPGIKNLKEESGSTGSRTSCSRAVSSSAPNAQSNFRSGPQPLAHHLQQQQQQQQTARKQRRCWSPELHRRFVNALQQLGGSQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRLPPSTTTPANQSVVV >EOX98182 pep chromosome:Theobroma_cacao_20110822:2:4782587:4785981:1 gene:TCM_006998 transcript:EOX98182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 1 MGSVPPELSLDFRPTFVPKTISNFLKEVSMVGNVSDKVSKVDAFVKGLEEEMRKIDAFKRELPLCMLLLNDAIVALKEESMQCVTRNVEPVLEEFIPLKNNKKETKHSEEDGASITTKKDKDPNNNNYNINKDKKNWMSSVQLWNTDDDDYRSTDHKLDTKRNDEDPFQGCKNRGSARAFMPFKPNLGLAVRKEEKEEIPVHGLTLLTPGIKNLKEESGSTGSRTSCSRAVSSSAPNAQSNFRSGPQPLAHHLQQQQQQQQTARKQRRCWSPELHRRFVNALQQLGGSQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRLPPSTTTPANQSVVVLGSGLWISQDQYGESSKGSSSQSGSPQGPLQLAANTGGTSTTGGDSMEDDEDAKSESYSWKSHIHKPGKDDV >EOY00950 pep chromosome:Theobroma_cacao_20110822:2:36071453:36077392:-1 gene:TCM_010856 transcript:EOY00950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVVAEEASGLKELLFMAKMGDSATEKNTWLIGGWCSNHLTGNESLFPDLDRSFKVRVKIGNGVFLKIFGIGAVTVNTTLGMKFITNVHYVIEANKNLRNVGQLEDKHYAYEEDVSDVWHRRLGHVNYGSLMKMAFVDLVEGLFAIVKLDKLCETCQYGKLYDINAKKLFINRYVTFDEEQKWNWKKKTINCSRNMIFANDGQFQLDDNDSVDLEYDSLVAKGTRTLEDIYNRCNVVVTNPSSFTKANIDANWRATIDAKMNMIKKNGTWILVDRPHEQNIVGVKWIYRTKLNLDSSVNKHKAKLVVKGYAQTYGIDYFETFASFARHDTIKLLATLFARKG >EOY00066 pep chromosome:Theobroma_cacao_20110822:2:24584090:24609006:1 gene:TCM_009505 transcript:EOY00066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein MNVDWDVAAVVTSSMGVPGRDRLLQKGYPTYLAYVIDTSKGEPKLEDVPIVSEFPDVFPDDLPGIPPNRELEFPIDLLPGTAPISIPPYKMAPAELKELKAQLQVLVDKGFIRPSISPWGAPVLFVKKKDGTLRLCIDYRQLNRVTIKNKYPLPRIDDLFDQLRGAMVFSKIDLRSGYYQLRIKEQDVPKTAFRTRYGHYEFLVMPFGLTNAPAVFMDLMNRVFHPYLDKFVIVFIDDILVYSKNDDEHAAHLRIVLQTLRERQLYAKFSKCEFWLKEVVFLGHVVSGAGIYVDPKKIEAILQWEQPRTVTEIRSFLGLAGYYRRFVQGFSLIAAPLTRLTSKGVKYEWDDVCENRFQELKNRLTSAPILTLPVSGKEFVQ >EOY00232 pep chromosome:Theobroma_cacao_20110822:2:30149614:30150985:1 gene:TCM_010036 transcript:EOY00232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVVKSDLSFAGLTKLVEDVIRRNVPVVYITIKESHTNVMSHNKAVQHGETVEVVMPFSDKTATLEDNTMTLEYDTTILEDNATFDERNEDLFLVDEDIFDDNSDDRLVDGMMIV >EOX98482 pep chromosome:Theobroma_cacao_20110822:2:5913986:5919810:1 gene:TCM_007232 transcript:EOX98482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 10 protein, putative MELGKKRSLLLLLPLLMLFAGLMKAKALSYDYTASIECLAQPHKPQYGGGIIKNPELNGGLMGWSTFGNATMEHRELNGNKFIVARTRNQFFDSVSQNLYLEKNKLYTFSAWVQVSEGNAAVRAVFKTVTGFKHAGAIVAASKCWSMLKGGLTVDASGPARLYFESHNTSVEIWVDSVSLQPFTQEEWKSHQFESLEKTKGRVRIHAMDAQGNPLANSKITIQQKATGKPVGCATNKNILDNTAYQNWFTSRFTHTTFEDEMKWYSTEPTQGKEDYSVADSMMQLMKQHNILVRGHNIFWDDPNFQPSWVPSLQPKDLSEAANKRINSIMSKYKGQVIAWDVVNENLHFNFFESKLGEDASSTFYKMAHDIDPSTTLFMNEFNTIEESGDSASTPAKYLDKLKSAQAMLGANGNQMAIGLESHFSVPNLPYIRSSLDTLAATKLPIWLTEVDVKSDPNQAMFLEQVLREGHDHPGVAGIIIWSAWKSQGCYRMCLTDNNFQNLATGDVVDKLLKEWGIKTTLEGNTDADGFFEASLFHGEYEVKITHPAAIDSSLSRRFKVEPTHASEENTMLLQLIT >EOX97445 pep chromosome:Theobroma_cacao_20110822:2:2294950:2298382:-1 gene:TCM_006453 transcript:EOX97445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant regulator RWP-RK family protein, putative isoform 1 MDGDGGFTPNSTFGNLSDAAMDLDFMDELLFEGCWLETSDGFNFTEPGPSSSSGPNDPSQCLPVSGSSTVPFTINSHPMHQGETERNVPPPPLPKIEDLSKSQSQNWAAAGTATSLSQPGSFIVEGTELGSRWWIGPRAESGSSSSVKERLMEAIGYLKECTKDRDVLIQIWVPVKREGKHVLTTEGQPYSLNTNCKSLEIFRDVSKSYNFPAEEDSKESVGLPGRVYLGKLPEWTPDVRFFRSDEYPRINFAHKYNVGGSLALPVFERGSGTCLGVVEIVTTTQKINYRPELEHVCKALEAVDLRSSHNFSPPSVEGYNELYQAALPEIVEVLRSVCKTYKLPLALTWAACVNQRKSGCRHSDENFYHCVSTVDAACLADEGFWDFLEACSEHHLFRGQGIVGRAFTTNKQCFVTDITAFSKTNYPLSHHARMFGLRGAVAIPLQSIFSGSVEFVLELFLPKDCHDSEEQKQMLNSLSSFMRQACQSLHVVVDKELEEEVILPVKEMVVASDGKSDKEETQFRISCLKENSPEESSWIAHMMEAQQKGKGVSVSWEYQKEEPKEEFRVTTHWEDTQLELYNKQVLSDFGQLHQNAGTKTSVEGGGGDSSSSGGHRLLAGKKAGGKRRTKMEKTISLQVLRQYFAGSLKDAAKSIGGRIIFHYSEKPHILASVYV >EOX97444 pep chromosome:Theobroma_cacao_20110822:2:2292729:2298933:-1 gene:TCM_006453 transcript:EOX97444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant regulator RWP-RK family protein, putative isoform 1 MDGDGGFTPNSTFGNLSDAAMDLDFMDELLFEGCWLETSDGFNFTEPGPSSSSGPNDPSQCLPVSGSSTVPFTINSHPMHQGETERNVPPPPLPKIEDLSKSQSQNWAAAGTATSLSQPGSFIVEGTELGSRWWIGPRAESGSSSSVKERLMEAIGYLKECTKDRDVLIQIWVPVKREGKHVLTTEGQPYSLNTNCKSLEIFRDVSKSYNFPAEEDSKESVGLPGRVYLGKLPEWTPDVRFFRSDEYPRINFAHKYNVGGSLALPVFERGSGTCLGVVEIVTTTQKINYRPELEHVCKALEAVDLRSSHNFSPPSVEGYNELYQAALPEIVEVLRSVCKTYKLPLALTWAACVNQRKSGCRHSDENFYHCVSTVDAACLADEGFWDFLEACSEHHLFRGQGIVGRAFTTNKQCFVTDITAFSKTNYPLSHHARMFGLRGAVAIPLQSIFSGSVEFVLELFLPKDCHDSEEQKQMLNSLSSFMRQACQSLHVVVDKELEEEVILPVKEMVVASDGKSDKEETQFRISCLKENSPEESSWIAHMMEAQQKGKGVSVSWEYQKEEPKEEFRVTTHWEDTQLELYNKQVLSDFGQLHQNAGTKTSVEGGGGDSSSSGGHRLLAGKKAGGKRRTKMEKTISLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLKKLQLVIDSVQGAEGAIQIGSFYSSFPELSSPNFSGNGPSSSLKISNHSKPSETQLESGMFSQGAAAPKSPSSSGSQSSGSSTCCSTGAKQHSTSINALGSADGLTVEDPGGALKRALSDVELHALNQEEPKLLARSQSHKTFGEHSSFETLPPLPRSGGQNLRPGGAIRVKATFGEVKIRFSLQPSWGFRDLQQEIAKRFNREDFSKIDLKYLDDDNEWVLLTCDADLEECIDIYKSSQTHTIKISLHPASHPNLGSSVGSTAPL >EOY01397 pep chromosome:Theobroma_cacao_20110822:2:39277133:39279471:1 gene:TCM_011300 transcript:EOY01397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPCDAMIIKHYAWSFWCSGVTITKCELTSTRYFLAFKSLQSWVVWCARLLFLLCLIGVKILCASSTLHVHLFEISFRHDA >EOX98574 pep chromosome:Theobroma_cacao_20110822:2:6235940:6237919:-1 gene:TCM_007298 transcript:EOX98574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLLHSKWVFESDQLGSRSDGPQWLAIESGLSSSEGDQPEPLLSKHNKVSESPKAKAFSQLVPMRGSLGLQMWMKWVGWPWCG >EOX97089 pep chromosome:Theobroma_cacao_20110822:2:1139900:1149748:1 gene:TCM_006190 transcript:EOX97089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryogenesis-associated protein MLLEFLENLRANENIILATTPHGGYLAFYEGISASSLWWVRAVDEFFGVLRTTPFRRQKMQGSTLPKPLQSSIDQGPYLNVMGDGRVTAAGNERRDIVRADMSNEHMVHSKKEEDTISDKGTSPDLADQIYSNKHIMKQAEQNVKDLIVPVQRRVDQLSRRSRRSIWLLAYIAIIKTWPFIGSVLISVLKRRFQTFVQATLFRK >EOY01605 pep chromosome:Theobroma_cacao_20110822:2:40219042:40220976:1 gene:TCM_011457 transcript:EOY01605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDITQRIGAVKISKICNKEKGKENSQSTLCLMQNRTLSRQKNSRNPLSNTTTRPSHLGYKLTGKQPLQCIEHNQQKRHRTSTNQQHRTIDHGEEESKTCSPHLSRGKAESSSEKEGCPKNTLQKNASHLTRESQHSPLSEEPKRRAAPQFEKLKWKKKPHDRRNTWSNQN >EOY01946 pep chromosome:Theobroma_cacao_20110822:2:41444475:41472728:-1 gene:TCM_011724 transcript:EOY01946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingoid base hydroxylase 2 MAFPISDEVLGTFVPIAVYWIYSGICMALGSFENYRLHTKKDEEEKNLVTKQTVINNVLLLQTLQATVAVFLFKVTGNDAGASSKSQSSTSLVVSAGQFVMAMLVLDTWHYFMHRYFHRNKFLYRYIHSQHHRLVVPYAFGAFYNHPLEAFVLDIMGSGLSYLLSGMSPRTSIFFFCFTTIKSVDDHCGLWLPAGNLFHIFFSNNSAYHDVHHHFHGGKYNFSQPFFSLWDRIMGTYLPYTIEERAQGGFQVRPAYKLKEHTGINDELVN >EOX99639 pep chromosome:Theobroma_cacao_20110822:2:13024174:13036705:1 gene:TCM_008373 transcript:EOX99639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MNVYRDFATVVTGSKGVPDRDNSCGIRAFGFKVILKITVSISSCLLDVDAHLSLDQSGREEAGQVPAVVPSTAPSVPPPPPLVPPQVPDVSISKKLKEARQLGCISFTGDLDATAAKDWIIQVSETLNDMRLEDDMKLMVVTRLLEKRARTWWNSVKSRFTTPPTWSDFLRELDGQYYTHFHQKEKKREFLSLKQGSSTIEEYEARFNELMSYVPDLVKTEQDQVTYFEEGLRNEIRDRMTVTGKEPYKEVVQMAMRVEKLAIENKQIRAEFAKMRNLSISFYQPSKKGKDLSTSGSTTAILVASTRPPSQQSQQRPSRFSRSATSAPGKSFKSFDRCRNCKKVHPGPCREPVRCFQCEQQGHIRSACPQLVRATTTVSSPPVGTDTQRRDFSRSQPRQGAVIRSDVGNVFGA >EOX99043 pep chromosome:Theobroma_cacao_20110822:2:8261237:8263518:1 gene:TCM_007669 transcript:EOX99043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSNRKKSSATAESDEVEQLLQAAQDEMLLKLSVDSHMSRVAPDYLDPNLHRRFQALRSRPSTSQSKSQLQKQSPAPLKQQQQQKEEEKKEQKSKVVVVGNVDEELRGVLGDDLSARFAALKASLSSSFSSDPAPAATTKGVSIGLDKSDGEDEEDEVENVIRWAMDAARLDPSPPSDDDDDHIDSDVDDNDDDNDDDYPKNKKKESKSSSTYLNFLFRKLF >EOX99042 pep chromosome:Theobroma_cacao_20110822:2:8262407:8264755:1 gene:TCM_007669 transcript:EOX99042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSNRKKSSATAESDEVEQLLQAAQDEMLLKLSVDSHMSRVAPDYLDPNLHRRFQALRSRPSTSQSKSQLQKQSPAPLKQQQQQKEEEKKEQKSKVVVVGNVDEELRGVLGDDLSARFAALKASLSSSFSSDPAPAATTKGVSIGLDKSDGEDEEDEVENVIRWAMDAARLDPSPPSDDDDDHIDSDVDDNDDDNDDDYPKNKKKESKSSRK >EOY01069 pep chromosome:Theobroma_cacao_20110822:2:37350292:37353525:1 gene:TCM_011013 transcript:EOY01069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MTLPRYRSFFSELVQITKQKNLSRGRAVHARIIRSGGSSSCVYLSNSLVNFYAKCGDLSKAKCVFENIQHKDVVSWNCLINGYSQQGPTASTFVMQLFQRMRAENYLPNAHTFAGVFTAASNLSDVFSGQQAHSLAIKTDSFDDVFVGSSLLNVYCKSGVLAEARKVFDEMPKKNSVSWATMISGYAMQRSALDAFELFELMRQEEEKVNEYAMSSVLSALADPEFLNTGRQIHCFTVKHGLLVFSSVGNALVTMYAKCGSLDDALKTFELSGNKNSITWSAMITGYAQSGDSLKALKLFSSMHFAGIMPSEFTLVGVLNACSDTGAVEDGKQVHGYLLKLGYESQVYIMTALVDMYAKCGCTLAARKGFDYLQEPDMVLWTSMIGGYVQNGENENAMLLYGRMQIEGIVPNELTMASILKACSSLAALEQGKQIHACTIKHGFGLEVPIGSALSTMYAKCGNLEDGNLVFRRMPRRDVVSWNSMISGLAQNGHGNEALELFEEMLSEGTEPDYVTFVNILSACSHIGLVERGWAYFNMMSDKFGIVPRVEHHACMVDMLGRAGKLDEAKEFIESATIDHGMYLWRILLSACRNFRNYELGAYAGEKLMELGSQESSAYVLLSSIYAALGRLEDVERVRRMMRLRGVNKEPGCSWIELKGGVHVFVVGDQMHPEIKTIREEVQMLSKQMKDEGYQPSSESVSATSYSEEELFVACM >EOY00016 pep chromosome:Theobroma_cacao_20110822:2:23244904:23245828:1 gene:TCM_009366 transcript:EOY00016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRTYTSQPRPSCPVIVKIWLWLQFKISFLRESLNEVSIILTSFGEFKSNGTVYDETSSIWRKELYEANYINIDTNQMLT >EOY01958 pep chromosome:Theobroma_cacao_20110822:2:41513124:41513686:-1 gene:TCM_011737 transcript:EOY01958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRTRKYKDLKEEQRLLVWGLNYINWSAVWAANGQSRGLPRYSLTASGQHKFVTWLAPPLLLLAAIHEPKHIPKVLLLSCS >EOX97141 pep chromosome:Theobroma_cacao_20110822:2:1371679:1372305:-1 gene:TCM_006240 transcript:EOX97141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTDAVNHHCLTTIMEITAAAESDIGDYTANSSHHYSLGLLARISPFRETRVIGTLGSLLSNKHMLCKSLPVQGISFRVNN >EOY00962 pep chromosome:Theobroma_cacao_20110822:2:36130238:36131152:-1 gene:TCM_010863 transcript:EOY00962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNEPKFVISHKEEKEEKKKYKILTTSQGFQGKWMVLRIENEDLELDGKKEGQEGTEKRERERGCPRHGETATCTYM >EOX98606 pep chromosome:Theobroma_cacao_20110822:2:6346943:6354707:-1 gene:TCM_007314 transcript:EOX98606 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase phi subunit MGSKKRSINSVEEVVEGQTDLAADNTVSMPSDKKSKMFIKTDAQMGDGVAAPSSVPSSIKPMERKKKRKQLDKERRRSVLENEESQPKQMNLESKRNDAWEPVASSSTIGLPEFHISVFKDLASANSSVRESAVETLVTELQEVQKAYDRLENKDLVEGVLKLEAQKNDGLDNCASSLRYAVRRLIRGVSSSRECARQGFALGLTALVATIPSIKVDSLLKLIVDLLEVTSSMKGQEVRDCLLGRLFAYGALARSDRLIKEWFSDKDTLHIKEFMSAIISLAAKKRYLQEPAVSIILEFVGKLPDEALIDHILEAPGIPEWFQEAISVGNPDALLLALKIREKSSIDSTSFGELLPNPFSSSKLFSADYLSSIDNCLKESTFCQPRVHCLWPVLVNVLLPDTVLQAEDVASISNSFKKYKKGRKSSSSEEEIVKNVQCFCEVVIEGSLLLSSHDRKHLALDVLLLLLPRLPSSFVPIVLSYKLVQCLMDILSTKDSWLYKVVQHFLKELLDWVSNDDVRRIAVIVAFQKHSNGKFDCVTKTKTVKGLVADFKTETGCMLFVQNLINLFLDEGHASEEPSDQSQTTDENSEIGSIEDKDSIGIMGNADFLKSWVIESLPSVLKHLKLDPEAKFRVQKEILKFLAVQGLFSASLGNEVTSFELQEKFRWPKAATSIALCRMCIEQLQSLLANAQKVEEPRSLANGLEPNDLGCYFMHFFSTLRNIPSVSLFRTVSDEDEQAVKKLQEMDSKLYKDERNCGLSSNANKLHALRYLLILLVLQVLLRPGEFCDAASELIICCKKAFSAPDDLDSSGEDELDNDAAPELMDVLVDTLLSLLPQSSAPMRSAIEQVFKYFCGDVTDDGLLRMLRIIKKDLKPARHQEASSENDDDDLLGIEEDEDIDEAETAETAESDEQSEDSEAVVGSEGADKELPEDSDDSDGGMDDDAMFRMDTYLAQIFKEKKNQAGGETAQSQLVVFKLRVLSLLEIYLHENRGKPQVLTVYSKLAQAFVNPHTMDGSEQLGQRIWSILQKKVFKEKKLPKDESMQLSTLESLLEKNLKLASKPFKRKKSASTLSKKKLSGSLNRHKMIVSLAQNSTYWILKIIEARNFSDAELQGVFDLLQAVLVGYFDSKKSQIKSGFLKEIFRRNPRIGHQLFSLLLDKCGNAKSDFRRVEALDLVIEVLKSQVPMNPSESNWDASKKILKSHLQSLSHLIERLVTRMPEKKLRKTEVHKFCDKIFQMISTLDLTEAFLRCLGPDARPSCESQLGPLFLKLKKLE >EOX97249 pep chromosome:Theobroma_cacao_20110822:2:1721998:1723643:1 gene:TCM_006329 transcript:EOX97249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase 10 MSKCFNLLAFIISLLFLNLLRISIASVVSTGDFSNDFFVMWAPSHVNTSADGRERSLKLDQESGSGFASNQMFLFGRIDMQIKLVPGNSAGTVLAYYLTSDQPNRDEIDIEFLGNVSGQPYIVQTNIYADGFDNREERIYLWFDPTEDFHTYSVLWNLYQIMFMVDSIPIRLYRNHADKGVAYPRWQPMSIKVSLWNGGSWATRGGLEKIDWSKGPFIASFKDYKIDACVWQGNPRFCRADSSLNWWNRPRFSTLTRVQKRWFKWVRKYHMVYDYCQDNQRFQNNLPKECSLPKY >EOY01059 pep chromosome:Theobroma_cacao_20110822:2:37232117:37234158:1 gene:TCM_010997 transcript:EOY01059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cadmium resistance 2 MASLPVNSINSKPGQVTPWSTGLFDCFSDSFLCCKTCICPCITFGQNSEIIDEGSSSCALNAVLYVIMHHFLGCALSCLYGCYYRKKFRHQYGLEPSPCPDICVHCFCHYCALCQEQREIRNQGYDMIIGMASKRRETETRRNNGSHGGRRDETMRKYSGNYFYFLAFAVMYGLLYAERSLAPSRLEDITGLKRCVLLWFALASLLFWTCVSHLLGRWTNA >EOY00386 pep chromosome:Theobroma_cacao_20110822:2:31967100:31969008:-1 gene:TCM_010254 transcript:EOY00386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQNQTLELFSILKQALIIPCQNTNFILLSFLASLPLLCFMLFHEMILHRTLIATSEIFRQPPAYFSHWLIPVNATRSMANDFSYKLIQLGLLHLLPLHLLELCAVVVSVDLTAKTYTKEKPTTPKEMIQRLLNKARCKGILVTSSYVYLVSTCFLLGLAWLVTNFYIIVRTFFNNVFTAALFRVTCIALLAKYLEWAAIWNMSIVISILEEIHGANALGLSAYFCRGSERQGILLMLIFFTWGAGLRLVCFYGGCNKKDWTSLIQVSLICMGNVTKWMACVIHFYHRREHNLHRVDEEAGKQVEAVYEIF >EOY00383 pep chromosome:Theobroma_cacao_20110822:2:31939050:31944390:1 gene:TCM_010250 transcript:EOY00383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 2B4 MAASMSISSLLSRSLAAAASSTLRSKGRISEVGRVVSRYGTTCASVENPNIPVKVNHTQLLINGNFVDSASGKTFPTYDPRTGDVIAYVAEGDTEDINRAVSAARKAFDEGPWPKMTAYERSMVLFRFADLIDKHTEELAILETWDNGKTYEQAAKIELPMISRLIRYYAGWADKIHGLTVPADSSHHVQTIHEPIGVAGQIIPWNFPLLMFAWKIGPALACGNTIVLKTAEQTPLSALYAAKLIHEAGLPPGVLNVVSGFGPTAGAALASHMEVDKLAFTGSTQTGKIVLELAAKSNLKPVTLELGGKSPFIVCKDADVDEAAELAHFALFFNQGQCCCAGSRTYVHESVYDEFVEKAKARALNRTVGDPFKAGIEQGPQIDSEQFEKILRYIRSGIESGATLETGGERIGSKGYYIQPTVFSNVKEDMLIAQDEIFGPVQSILKFKDIKEVIRRANSTSYGLAAGVFTKDIDTANTVTRALKVGTVWINCYDVFDAAIPFGGYKMSGQGREKGIQSLSNYLQVKAVVTPLKNPAWM >EOX98610 pep chromosome:Theobroma_cacao_20110822:2:6367896:6369542:1 gene:TCM_007316 transcript:EOX98610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGGFIVSVVAEVGTRWKRRKDAKVECVYNEPLTCKVCVMMIQIKQTDNAFHLNYEQTCSNLKLRKSTYAFNDYSIPWDLDTNYPYSPRKILSQTSITRGTSFVKSSAYSDGKRERDWNSGRFNKTWSKVDLGFVSFHIEVAVI >EOY00963 pep chromosome:Theobroma_cacao_20110822:2:36133180:36139201:1 gene:TCM_010864 transcript:EOY00963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREYLLGIESLDIRVCDWILGIITCLFGISDLPNVKLMITYGGHWVDDTYKGECASNEVLSTLQQTQLSLKNALGPLSLANGIVMIVSDNDASDQIEDDVEEDDMADRNNELRYDCENDYFCGMKIVQRTTGLSRPTFLIAIMQIVAELKRALSTLAQKEHFEFRVKNSCHACFEVGCKDKALFGSCIRGFSVVMQLVVAIDATHLKDRLKGILFVAVCKDANEQIYPLAFGIGHFEDEESWSWFLNQLHRAIGYPENAMFISDQHLGIKNAIEKVYKDAHHGLCNYHLGKNVKNRFKCEDVVAIFTMAANCYKVTDFDRHMNKLKQLCKPAYDNFMRLGLKRWAGARSLVRRYKLMTSNTAECINSCLRHARKMPITVLIECIKGMFQRWFHDRHHEALNLTTLFSPWATDLLNRRFNEACHFFIQAIDRVEF >EOX97785 pep chromosome:Theobroma_cacao_20110822:2:3429967:3438679:1 gene:TCM_006713 transcript:EOX97785 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR class disease resistance protein, putative MAESVVSNVAARLEDLVIEEAKFLRGVADQVKHLQMELVWMKSFLKEADSRQAENEMVRMWVAEIREIAYDAEDVIETFALKIASRRRGGISNFIKRSACIFKEGWMLHKVRSDIEGIISRITDLVRRLQSYGIKELSDGASSSSSSKRQQLRQSYPHMKEPNAVGLDNAIKELVSVLVDEGRHFRVASICGMGGLGKTTLAKKVYHHAQVRNHFKYFVWAYISQQCQRRTVWRGILSGLGLIDEKGGILLDMGDQDLAAKLYEFLRENKCLVVLDDIWTTEDWDAISPAFPMEEETGSKILLTSRNKDVAWHADPRGCLHELQFLTDEDSWKLFQHIAFPRSDSAGYVIEEKMEELGKDMVKQCAGLPLAIVVLGGILVTKHSLNDWQIVHENVKSYLRRGRSWGIHEAIALSYDNLPPHLKPCFLYLSVFPEDYKIHVGKLIKLWVAEDIVSLAESEENGEEMIEDVAEGYLSELVERYMVLVGERDVSSKIKTCWMHDLIRDFCLLKAKQENFTYVLDRFQMEQAEASILSPLISKVRRLGINDSFLINRIKSPHLRSALFFDQYFERELLERSPLSKWLGEEDYGESFTIVDACLESLRWVSWLCELRHKTRGLTRYICNNFKLLRILDFGDANILFLRILLLSDIGSLIHLRFLSLGRCAFAAMLPSFISKLRFLQTLDLRDCVGVYVPNVLWKMERLRHLYLPQKMVSTRIKLKLNTLKNLQTLVNFNTKNCYLENIYCMKYLRELGIRTPFIVENFKEDSNLNTPVITSKHLRSLSIIKNDDDHESIDPRHLTYLLSSCHNVCELHLSAEIRKLPEPQHIPSNIAHIYLARAMLDEDPLPTLQNLPNLRILELEESAFVGMVMICSAQGFPLLHSLSIISQTNLEELRVNEGAMPNLHHLRIADCRKLKMLPNELRFVTTLKELKIEGMPKAFKDKLVEGGEDSYKVQHVPSIIFQNCDY >EOX97526 pep chromosome:Theobroma_cacao_20110822:2:2567664:2568403:1 gene:TCM_006521 transcript:EOX97526 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MISAKKLIKLARKWQKLAAIRRKRITSSSTNSGAVGTHSCSTSSTVEKGHFVVYSDDQKRFVLPLEYLENEIVRELFALAEEEFGLPSSGPLTVPCDAAFMEYVITLIRGRPSKDVEKALLTSIASSRCSSSSYVHRQSTTQQFLISSF >EOX96897 pep chromosome:Theobroma_cacao_20110822:2:433445:435132:1 gene:TCM_006040 transcript:EOX96897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDKIFHLLAIFSFPYEEILCLIGFSLIPIDSSGGIDMHHLTIVHRFRGKNGMKGMQERFKRLKTEMEEISEEQKGIRDGQRQVREKFEAIESECEQLKKETKFIIQQSARTQIKLVLMFRILKAREESDSATAANLTQLLGQIVAREKEERQALGDA >EOX96896 pep chromosome:Theobroma_cacao_20110822:2:433341:435185:1 gene:TCM_006040 transcript:EOX96896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAPFSMRCRLHRHHKKPIAKKGLKLQTKTGKNGMKGMQERFKRLKTEMEEISEEQKGIRDGQRQVREKFEAIESECEQLKKETKFIIQQSARTQIKLVLMFRILKAREESDSATAANLTQLLGQIVAREKEERQALGDA >EOY00795 pep chromosome:Theobroma_cacao_20110822:2:35162816:35164439:1 gene:TCM_010714 transcript:EOY00795 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MKVEVVARGIIKPSSPTPGHLRNLHFSFLDQIATPVFMPMVFFYPIDGDVNVGNFNRTEWLKKSLSETLTRFYPLAGRVKDNAFIDCNDEGVPFVQSRVKCQLSDVVRQPEPAQLNKLLPYELDNVGDLILAIQANIFDCGGMAIGVCISHKIADALSLIMFLNNWAATARGDSYTVPPRFDLATLFPARSISGFKPSTGIFKDKIVTRRFVFSASMIAALRAKYADDGASNGEFQRRPTRIEALSTFIWSRFMATTHGKPDPEKLYTVLHAVNLRTRMDPPLPEYYFGNISRFAIAIPSINSEEECFGIVSEVRDAIRKIDGDYVRKLQEGSGHLNFMKERAERITKGDVVSFSFTSLCRFPLYETDFGWGRPIWVGSASLTFKNLVVFMDTGSSGGIEAWINMKEEDMARFEGDEELLAFVCSAPDA >EOX97493 pep chromosome:Theobroma_cacao_20110822:2:2462734:2463968:1 gene:TCM_006492 transcript:EOX97493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit A, putative MAAQTFHHTRSNSFPLPSRLSPLVSQIDEHLNRLKASNATSTSSAISHKLNGLQDLYDSVDKLLQLPFSQLALVQEQHKEWVNELLDGSLRLLDLCSTAKDVVLQTKENAHEIQSVLRRRRSGELELVGEVKRYFISRKVLQKTIHKALRNLNGLETNRVFSSSDDHETTAMVSLLREVEQVTSSTLEYLLSMISGPKEQSKPGSWLVSKLLHHKRTACEQAGRDINEFEKVDASLRLLVNQKMSRSENIINIEMQNQLKDLELCTQDFEDGLECLFRCMIKARVFLLNTLNP >EOX99987 pep chromosome:Theobroma_cacao_20110822:2:20671180:20678107:1 gene:TCM_009163 transcript:EOX99987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGPTLDTVRSHMVANQRRIRSRSRQKSLTEKQITHVPKQQVENRSCRCHNNGRRIRSSTAVNSRCGHHPE >EOX98226 pep chromosome:Theobroma_cacao_20110822:2:4967805:4971788:1 gene:TCM_007038 transcript:EOX98226 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein, putative MAVSPKQRISPVKVKRRRPPKYRFFRNKLSGLDKMTPNQFVSLGKEKAQRHKRKRVDDMYENDQVKSAVTERAVEVQTNLSSEFPSLIKYMLPSHVTGGFWLGLPKDFCLKHLPKEDRMIVLEDEEGKEFQAKYLVEKTGLSGGWRGFSIAHKLLEGDVCVFHLIKPSKLKVYIVRREGSDEMNVALGLLKLESSTQPMDLVKEPKICEGTRDESLGNYGVEENRICVETTGKPLEVLPLGIYPKTIHNNDPTLSSTSLGPTPYHSENDSEDLGSEVLDGIRLSESIVDFKEVKSIENFKILANGLIINSELAKYVQMKYYELCCSQKSFLHDHLLEGLNYKLVAGVIAETVNIADAIRAAKLTTSHHNFLTWDQTLKSFEGLGMKVGMLRSRLDRLMNLSLKAKRHQEARLEQVHAKEEERKLEAKLLEVTEALNRLDREIGSLEEENADRLEILFQEKASAPW >EOX96966 pep chromosome:Theobroma_cacao_20110822:2:738714:743052:1 gene:TCM_006090 transcript:EOX96966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter 1 MAIETNVGDGNGNDLKKRRCGCSKQDFLPEDSFQSWTSYVNALSNTKSRLKDRLLARSMDHVELHEMRARSQHEMKKTLTWWDLIWFGMGAVMGSGIFVLTGEAARNHSGPAVVVSYFISGITALLSVLCYTEFAIELPVAGGSFTYLRVELGDFIAYVSAGNILFEYVVAGASVARSWTSYLATLFNHAPDSFRFHVSSFSEHYSHLDPIAVAISVVIGIAAGISMKGSARFNSAATIVQLVVILFILVVGLTKADPNNYSDFAPNGIPGILKASSILFFAYVGFDGVATFGEEIKNPGRDIPIGLIGSMLVIITVYCLLAATLCLMQPYSQIDVNAPFTLAFKAVGLKWAHYIVAFGALKGMTTVLLANLVGQSRYFTHIGRTHMAPPFLANINERTGTPVNATIMMTILNSIVAFFTSLEVLANLLSLATLFIFSMVALALLVRRYYAKGETTSCDRNKLVGFLVLILASSIANAVYWAVGKNGWIGHVVTVAVWFLATLGLKLLVKEAKKPKLWGVPLVPWLPSASVAINVFIMASVDGASFMRFVVWTLVLLVYYIFIALHASYDAAKEIEETRATATNLEVGQL >EOY02031 pep chromosome:Theobroma_cacao_20110822:2:41740015:41744149:1 gene:TCM_011788 transcript:EOY02031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative METFRVDFCSLLSVIFMLVPASMGQLTPSETRIFFQVQRLLEYPEVLQGWTNWTNFCYLPPSASLKIVCTNSRVTELTIVGSKSSPAHSTTTASGYVVAGSQKALSGKFSIDAFFTVLTKLSNLEVLSLVSLGLWGPLPAKINRFRSLEVLNMSSNFISGEIPKQIASFKNLTSLVLADNLFNGSVPDLTGLAQLEELNLGGNHLGPQFPSLSQSLVSLILSNNSFRSEIPSGLKKFYQLQMLDISSNGFVGPVPSFLFSLRSIQYLNLAQNQISGALAVNTSCGKNLMFVDISNNLLIGKLPPCIGSSSTNRTVISSWNCLSAGNSNRQHPHSFCNKEALAVKPPVRRKEQESGIKIGLLLGIIGGVLGIAGAIALLILVIVRRSERTADANYEKSLADKMSVRSSPKPAIDSRRVPQTMRSAAIGLPRYRVFSLEEIEDATNNFDPSNFMGEGSQGQLYKGWLVDGSVVVVKCLKLKQKHLPQNLMQHMEVLSKLRHRHLVSVLGHCIVTYQDHPNIASTVFVIFEHISNGSLRDYLTDWRKKEILKWPQRMAITIGAARGIQFLHTGIAPGIYGNDLKIDNILLDETLTAKISNYNIPLPLKAGSESPLANRLTSDENAEKEDIYQLGILLLQVITGKPATFTSELDELKLQLEQSLDEGPSKLRGVIDPSIRGTFAYESMRTTVEFALNCLSKDSTKRPSIEDVLWNVQYSIQIQDGWTSSGNLATHM >EOY01014 pep chromosome:Theobroma_cacao_20110822:2:36710070:36710685:-1 gene:TCM_010931 transcript:EOY01014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein PLDKSFNQLGGHPSNISPYKDGGCFSNKKQKDGAPHPPTMITRDKYMVTTILNTPTPITHEIVFIELHTSCLQETLRWYGVQDCQPWEPLYF >EOX98417 pep chromosome:Theobroma_cacao_20110822:2:5717748:5719995:1 gene:TCM_007184 transcript:EOX98417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Progesterone 5-beta-reductase, putative isoform 1 MSWWWAGAIGAARLSLCLNKLSFSFGQILQKKLEEHDKPSKYKSVALVIGITGIVGSSLAEILPFSDIPGGPWKVYGVARRPRPAWTNALPIDYIQCNISNEEETQEKLSKLKDVTHIFYVAWAKKSSEAENCMVNGTMLRNVLKAVIPNAPKLKHVCLQTGRRHYTGPFDSVGKVQPHDPPFREDLPRLPVLNFCYTLEDVLFEEVKKKDGLTWSVHRPGVIFGFSPCSLMNIVGSLCVYAAICKHEGKPLRFPGSRQAWDGYWDASDADLIAEHQIWAALNRHAKNEAFNCSNGDVFKWKDLWKVLAKQFGIEDYGFHESDERHSLVEMMKDKGPLWDEIVREKGLVHTKLNEVGAWRFADAVLSGKSLLDSMNKSKEHGFVGFRNSKTSFNSWIDKMKTHKIVP >EOX98418 pep chromosome:Theobroma_cacao_20110822:2:5718239:5720292:1 gene:TCM_007184 transcript:EOX98418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Progesterone 5-beta-reductase, putative isoform 1 MSWWWAGAIGAARKKLEEHDKPSKYKSVALVIGITGIVGSSLAEILPFSDIPGGPWKVYGVARRPRPAWTNALPIDYIQCNISNEEETQEKLSKLKDVTHIFYVAWAKKSSEAENCMVNGTMLRNVLKAVIPNAPKLKHVCLQTGRRHYTGPFDSVGKVQPHDPPFREDLPRLPVLNFCYTLEDVLFEEVKKKDGLTWSVHRPGVIFGFSPCSLMNIVGSLCVYAAICKHEGKPLRFPGSRQAWDGYWDASDADLIAEHQIWAALNRHAKNEAFNCSNGDVFKWKDLWKVLAKQFGIEDYGFHESDERHSLVEMMKDKGPLWDEIVREKGLVHTKLNEVGAWRFADAVLSGKSLLDSMNKSKEHGFVGFRNSKTSFNSWIDKMKTHKIVP >EOX97989 pep chromosome:Theobroma_cacao_20110822:2:4184889:4185440:1 gene:TCM_006865 transcript:EOX97989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFSLLLWCSKLQRLYTVSQVFYLTQLFYLMRHVETRNHLTKSEKEEEEVIVVHGIEVKGDAYVKFDVYVNVVDETIMTPKFREFAGTFAHIPGGGEMMKRKTDLKLGVSELLEDLEADQDETIWVTLLPRTASCSNVTIGGVRTQHIR >EOX98873 pep chromosome:Theobroma_cacao_20110822:2:7606878:7609845:-1 gene:TCM_007548 transcript:EOX98873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAPGLRTTSGNPPSSRKRHLSDCAKPTTPPPLDLSSPKKTRDLPNLTECQACGSRTDTANGKNRIQTLYSEWRIVLLCSRCYHRVDSSEICSYCFKEASEDCFSCGQCKRSLHKTCFLNCKSVPPWSFSICGSEFTVCIDCWVPKQIARKRGNFRHNKKAKNSSILDNRDGGGAKLLESVVKDANYAMGKKVEAAVKAREMAVKKAIVAKRAVELASNALEEYDDAELAFRLHRAMNSSPRISKNRIMGDQNGLEFLIAGNRVFSGLKPTEIVYARRSKKPTQIVYAWCGNEPTEMVYVRHRKKPTKLVYKRRRKHSECKEDCGVEIRMKEREESCSSLLLNSSRFHSSMKSESKPCNYQDDCTVFKDTRSDVKLVHYLLTYSRKKSNSKETPNGKIKFLCEEYNLESQATGPRLPESLMISTSTLQHCDIPHQAAAGASDS >EOX98872 pep chromosome:Theobroma_cacao_20110822:2:7607343:7609703:-1 gene:TCM_007548 transcript:EOX98872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAPGLRTTSGNPPSSRKRHLSDCAKPTTPPPLDLSSPKKTRDLPNLTECQACGSRTDTANGKNRIQTLYSEWRIVLLCSRCYHRVDSSEICSYCFKEASEDCFSCGQCKRSLHKTCFLNCKSVPPWSFSICGSEFTVCIDCWVPKQIARKRGNFRHNKKAKNSSILDNRDGGGAKLLESVVKDANYAMGKKVEAAVKAREMAVKKAIVAKRAVELASNALEEYDDAELAFRLHRAMNSSPRISKNRIMGDQNGLEFLIAGNRVFSGLKPTEIVYARRSKKPTQIVYAWCGNEPTEMVYVRHRKKPTKLVYKRRRKHSECKEDCGVEIRMKEREESCSSLLLNSSRFHSSMKSESKPCNYQDDCTVFKDTRSDVKLVHYLLTYSRKKSNSKETPNGKIKFLCEEYNLESQATGPRLPESLMISTSTLQHCDIPHQAAAGASDS >EOY02184 pep chromosome:Theobroma_cacao_20110822:2:42229057:42239210:1 gene:TCM_011892 transcript:EOY02184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase 4 isoform 1 MGIAETHHRVRKGRNVTHLSRSCDDIFNQLVQGGISPNDLYNTVCKQEVEIVLTAHPTQINRRTLQYKHIRIAHLLEYNDRPDLGHEDREMLIEDLMREITSIWQTDELRRHKPTPVDEARAGLNIVEQSLWKAIPHYLRRVSNALKKHTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAIDLYIREVDSLRFELSMNQCNDRLSRLAHEILEKGVGCLAETSSEDLHESRNQPLSRSQFKLHGQQAPSLPTQLPARADLPACTDYNDGGSQYPKLEFPRTDYMPLSRQDGQGSSSSEISSKDSSENLRKLLANGSVSNSNGSQSAVTPRCSFSSGQLLAQRKLFAESQIGRSSFHKLLEPSSSLRPGIAPYRIVLGDVKEKLMKTRRRLELLLEDLPCEYDPWDYYETTDQLLEPLLQCYESLQSCGAGILADGRLADLIRRVVTFGMVLMKLDLRQESGRHAETLDAITRYLDMGTYSEWDEEKKLEFLTKELKGKRPLVPPTIEVAPDVKEVLDTFRVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLAVSGELGRPCPGGTLRVVPLFETVKDLRGAGSVIRKLLSIDWYREHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQGDVVAACNEFGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQTAIRQLEIYTTAVLLATLRPPQPPREQKWCNLMEEISKISCQNYRSTVYENPDFLAYFHEATPQAELGFLNIGSRPTRRKASTGIGHLRAIPWVFAWTQTRFVLPAWLGVGAGLKGVCEKGHTEDLKAMYKEWPFFQSTIDLIEMVLGKADFPIAKHYDEVLVSESRRELGAELRRELMMTEKYVLVVSGHEKLSENNRSLRRLIESRLPYLNPMNMLQVEVLRRLRCDDDNKQLRDALLITINGIAAGMRNTG >EOY02183 pep chromosome:Theobroma_cacao_20110822:2:42227701:42240587:1 gene:TCM_011892 transcript:EOY02183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase 4 isoform 1 MTDTTDDIAEEISFQSFEDDCKLLGNLLNDVLQREVGGQFMDKLERNRLLAQSASNMRLSGIEDMAELLEKQLASEISKMTLEEALTLARAFSHYLNLMGIAETHHRVRKGRNVTHLSRSCDDIFNQLVQGGISPNDLYNTVCKQEVEIVLTAHPTQINRRTLQYKHIRIAHLLEYNDRPDLGHEDREMLIEDLMREITSIWQTDELRRHKPTPVDEARAGLNIVEQSLWKAIPHYLRRVSNALKKHTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAIDLYIREVDSLRFELSMNQCNDRLSRLAHEILEKETSSEDLHESRNQPLSRSQFKLHGQQAPSLPTQLPARADLPACTDYNDGGSQYPKLEFPRTDYMPLSRQDGQGSSSSEISSKDSSENLRKLLANGSVSNSNGSQSAVTPRCSFSSGQLLAQRKLFAESQIGRSSFHKLLEPSSSLRPGIAPYRIVLGDVKEKLMKTRRRLELLLEDLPCEYDPWDYYETTDQLLEPLLQCYESLQSCGAGILADGRLADLIRRVVTFGMVLMKLDLRQESGRHAETLDAITRYLDMGTYSEWDEEKKLEFLTKELKGKRPLVPPTIEVAPDVKEVLDTFRVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLAVSGELGRPCPGGTLRVVPLFETVKDLRGAGSVIRKLLSIDWYREHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQGDVVAACNEFGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQTAIRQLEIYTTAVLLATLRPPQPPREQKWCNLMEEISKISCQNYRSTVYENPDFLAYFHEATPQAELGFLNIGSRPTRRKASTGIGHLRAIPWVFAWTQTRFVLPAWLGVGAGLKGVCEKGHTEDLKAMYKEWPFFQSTIDLIEMVLGKADFPIAKHYDEVLVSESRRELGAELRRELMMTEKYVLVVSGHEKLSENNRSLRRLIESRLPYLNPMNMLQVEVLRRLRCDDDNKQLRDALLITINGIAAGMRNTG >EOX97823 pep chromosome:Theobroma_cacao_20110822:2:3592179:3595859:1 gene:TCM_006756 transcript:EOX97823 gene_biotype:protein_coding transcript_biotype:protein_coding description:YEATS family protein isoform 2 MAAPKSLQEHDSYGNVSYAIISSNPNALQTCPGPSSKKHGPDQPDISGAALKSQHTKMGKPEDTERKKKLKDVEISVPIVYGNAAFWLGKKASEYQSHKWTVYVRGATNEDLSVVVKRVVFQLHSSFNNPTRVVESAPFELSESGWGEFEIAITLYFHNDVCEKPLNLYHHLKLYPEDESGPMSIKKPVVVEFYNEIVFTEPSESFLARVQNYPAVTFPRLPAGFTLPSSAPIEDESKRKRGDTKDHPLNQWFLNFSEADELLQLAAARQQVQAHIAKLRRQISVIDGQNQQFKSSSDQ >EOX97824 pep chromosome:Theobroma_cacao_20110822:2:3592179:3595850:1 gene:TCM_006756 transcript:EOX97824 gene_biotype:protein_coding transcript_biotype:protein_coding description:YEATS family protein isoform 2 MGKPEDTERKKKLKDVEISVPIVYGNAAFWLGKKASEYQSHKWTVYVRGATNEDLSVVVKRVVFQLHSSFNNPTRVVESAPFELSESGWGEFEIAITLYFHNDVCEKPLNLYHHLKLYPEDESGPMSIKKPVVVEFYNEIVFTEPSESFLARVQNYPAVTFPRLPAGFTLPSSAPIEDESKRKRGDTKDHPLNQWFLNFSEADELLQLAAARQQVQAHIAKLRRQISVIDGQNQQFKSSSDQ >EOX97825 pep chromosome:Theobroma_cacao_20110822:2:3592500:3595858:1 gene:TCM_006756 transcript:EOX97825 gene_biotype:protein_coding transcript_biotype:protein_coding description:YEATS family protein isoform 2 MGKPEDTERKKLKDVEISVPIVYGNAAFWLGKKASEYQSHKWTVYVRGATNEDLSVVVKRVVFQLHSSFNNPTRVVESAPFELSESGWGEFEIAITLYFHNDVCEKPLNLYHHLKLYPEDESGPMSIKKPVVVEFYNEIVFTEPSESFLARVQNYPAVTFPRLPAGFTLPSSAPIEDESKRKRGDTKDHPLNQWFLNFSEADELLQLAAARQQVQAHIAKLRRQISVIDGQNQQFKSSSDQ >EOY00713 pep chromosome:Theobroma_cacao_20110822:2:34707285:34713445:-1 gene:TCM_010651 transcript:EOY00713 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter family protein, putative MGSKGGGLFRYADGTDKLLLLFGTLGSIGDGMMSPVNMYILSGALNDYGASDQSFSNETADKYALRLLYSAIGVGISAFIEGVCWTRSAERQASRMRMEYLKAVLKQEVGFFDNQTASSSTFQVISTVTSDAHSIQDTIADKIPNCLAHLTSFILSLVVAFKLSWRVALAALPFALMFIIPGLGFGKVLMSIGAEMRAAYGNAGGIAEQAISSIRTVYSYVAEQQTLDKFSNALQKSMELGMKQGFTKGLLIGSMGMIYAAWAFQAWVGGVLVTEKGESGGAVFVAGICIILGGLAVMSALPNLSFISEARHAASKIFEMIDRNPIINSEIEKAKILSHVRGLVEFKDVDFSYPSRPDALILHKFNLKVQAGKMVGLVGGSGSGKSTVISLLERFYDPNNGDIILDGCKIKKLQLKWLRSQMGLVNQEPILFATSIKENILFGKEGASMELVIRAAKAANAHDFIAKLPNGYETQVGQFGVQLSGGQKQRVAIARALIRDPKILLLDEATSALDAQSETIVQEALDHASQGRTTIVVAHRLSTIRKADLIAVLQSGRVIESGSHDELIQMKNGEGGAYKKMVQLQQTSMQNEASDGFYYPTEGRNNLRMMSGQTPQTPVSVRSSYPSSPAYPLSPAYPFSPAFSITVPSSVQMHSYENQSENNVKNSSHPPFSGWRLLQMNAPEWKRTLLGCFGAVSTGAIQPTYAYCLGTVASVYFIKDSSKIKSEIRFYCLIFLGLAVLSFIANLLQHYNFAIMGERLVKRVREKTLAKVLTFEIGWFDQDENSSAAICARLSTEASTFRSFIADRMSLLVQVFFSASLAFLFALIVTWRAAIVMIAIQPLLIGSFYSRSVLMKSMSQKAQKAQNEGSQLASEAIVNHRTITAFSSQKRILRLFGATMRGPRQQSIKQGYISGFGLFSSQFLTTASIALTFWYGGRLINQGLVTPKHLFQAFFILTSTGKNIADTGSMTSDLAKGGGAIKRIFAILDRRSEIEPEDLKGIEVEQTNKGQIELKYVFFSYPARPTEMIFTGLSLKIEAGKTMALVGQSGSGKSTIIGLIDRFYDPQSGSVLIDEYDIKSYNLRNLRSHIALVSQEPTLFAGTIRENIAYGKEKVSEAEVRKAAIIANANEFISSMKDGYDTYCGERGVQLSGGQKQRIALARAILKNPMILLLDEATSALDSESESLVQKALEKMMVRRTCVVVAHRLSTIQKADSIAVIKNGKVVEQGSHSRLLSIGRAGAYYSLIKLQSGQSPYR >EOY00632 pep chromosome:Theobroma_cacao_20110822:2:34060048:34060563:-1 gene:TCM_010522 transcript:EOY00632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFVVKAWSNGRARAGVLHLGCYPNPIETHSLLISTRKGLPFFISPNLLPFFPSSDSRPLHVSPLTSWKAFRSKQYKKSEGFTSFSVCMNMGLWLYPGIPFNAFWKL >EOY02224 pep chromosome:Theobroma_cacao_20110822:2:42368500:42374474:1 gene:TCM_011917 transcript:EOY02224 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MSGAFSLFESWHIPCTRFLSRTFQFSRGSYDMQRPIKRQALCFCRNMQTVTCEIVDASYVPAPQNKPKDKRSPQVETIGAFQKLPMVMPSVDIFHSAMRKAKRVLPTKGIANIARRERNRGAKQLDALMKELAVPLRGYIENFPKRTYLHPYERSLIELTLGDGNYEEVLRKVDALRKKVVSVGKEHASLCAKSLSKREAEERLTEGLEKLQEIFKREGQAVDNLLYIAKTLRAMPVVDLEMPTLCLVGAPNVGKSSLVRMLSTGKPEVCNYPFTTRGILMGHITINYQHFQVTDTPGLLKRCDAILYVHDLSGECGMSPSDQFVIYKEI >EOY02223 pep chromosome:Theobroma_cacao_20110822:2:42368847:42375611:1 gene:TCM_011917 transcript:EOY02223 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MSGAFSLFESWHIPCTRFLSRTFQFSRGSYDMQRPIKRQALCFCRNMQTVTCEIVDASYVPAPQNKPKDKRSPQVETIGAFQKLPMVMPSVDIFHSAMRKAKRVLPTKGIANIARRERNRGAKQLDALMKELAVPLRGYIENFPKRTYLHPYERSLIELTLGDGNYEEVLRKVDALRKKVVSVGKEHASLCAKSLSKREAEERLTEGLEKLQEIFKREGQAVDNLLYIAKTLRAMPVVDLEMPTLCLVGAPNVGKSSLVRMLSTGKPEVCNYPFTTRGILMGHITINYQHFQVTDTPGLLKRCDEDRNNLEKLTLAVLSHLPTAILYVHDLSGECGMSPSDQFVIYKEIRERFDNHLWLDVVSKCDLLQESSVVFITEDGETNHLELAKYLKIGPKGAIHVSVKSEEGLNELTNNVHEVLMAQMGRIRSSKIPENIEVA >EOY00489 pep chromosome:Theobroma_cacao_20110822:2:32979788:32981281:1 gene:TCM_010370 transcript:EOY00489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGSCESGDFPGTQPRCGPPPQPTTKKGQVKDGWSFCEIGQFYISGSFGSSEPHFPVNQKEKALSYPASSFASIRVFLCFFGSLKLRYTFVHLVKDARVSPAENELEFALKSKHLALETPTPWKFL >EOX99150 pep chromosome:Theobroma_cacao_20110822:2:8718369:8719853:-1 gene:TCM_007747 transcript:EOX99150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGFCFTRFFSCGLMGFVHDFVHEFWQDKLAKEVKEWSENTQWGTPFVNCPR >EOX99196 pep chromosome:Theobroma_cacao_20110822:2:8957419:8957926:1 gene:TCM_007791 transcript:EOX99196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MVAGKRHYGEDVADEEEARQVIELIAEVFEYSGATNAGDFVPIFNWINGNYEKKVKWLAKTMDGLLQRMIDESRSKQEGNTMIDHLLPLQKSQLNTTLIR >EOY00173 pep chromosome:Theobroma_cacao_20110822:2:28328696:28330737:1 gene:TCM_009867 transcript:EOY00173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKQGASQQNAIGSSREEMRSSISVSVMVTDRRETMVCPKPRRLSLLNATFNDHPVRSLRWQLRHQAELCDSKAGSDLLDMILTKGGCAVEQSCAQVASSPPFFSGSPPSRVANPLIQDARFGDEKIITPMSPPPSGLSSSSPSSSSRKGGCIRVNFGNKPAVRVEGFDCLDRDRRNCSIPALA >EOX99380 pep chromosome:Theobroma_cacao_20110822:2:10872122:10873369:-1 gene:TCM_008063 transcript:EOX99380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQKISYRNILMHNEQHLSKETDSEEGGEIVEDIESDDDDLKFMVTGLLKFYDRDVLMRIGNKLGRTLKVGRTTSHALRGKFTRIYVEIDLHKPLVPKIFIEKATKKDYEPTKYGPWMVAKKVYTRNSGGKTAMVDKAKAKVASKEEGPKTSLHSGYRFHLLDKEDTSLGEEEIVPETTD >EOX99669 pep chromosome:Theobroma_cacao_20110822:2:13414367:13420402:-1 gene:TCM_008417 transcript:EOX99669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTIHGRGRWWSWQAKETSTNKKATPVKAISVCFLLEENCFVLWFWMLSYRMYIAVLGGTGTMLIQCNDSSVAMGPIIFVVSIIKFSLFPGSLLPIVVAVVIRFLTYKFSLVRGV >EOX97485 pep chromosome:Theobroma_cacao_20110822:2:2430224:2443305:1 gene:TCM_006485 transcript:EOX97485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCSSSKLDDLPAVALCRERCTFLDEAIQQRFALAEAHVAYTASLKLFGQSLNAFVEHDFGASSGALPPSPPSPNKLKSKAVDPVEVGSSSPKKGVISHHHAHSNSGSHLHFQSDSDEDDSGGSLHHSDHSSPLHEAGGGHIDYMHPNYPNYEALETGSFQGGFMHMNFMKRQPTPSIVYEQRPMNPDTVYMGESSSSYFPNSYASNNNPSSSSYPYTGYQNYGGFNNNSSYFAPGYESSLQPSSTAAGSSSKQPPPPPSPPRASAWDFLNPFESFENLYRPYTPSRDSREVREEEGIPDLEDEDYQHEVVKEVHVDQKFVDSGGYLKSPVEDKDGKVVSSEAEASLYQTRPSVGMENDGVEYEVHVVEKKVVDDERPAERGNGSRGAPRDVFEVVREIQVQFVRASESGSEIAKLLEVGTLPYQRKHVSKMLHVVTPSLSVVSSQPSTSKTAESSSSADNTDPAFLDFKEELARKPRNLSSTLQKLYLWEKKLYNEVKAEEKMRVTYDGKCRKLKRLDDRGAEANKVDSTRNVIRSLSTKIRIAFQVVDKISVTINKIRDEDLWPLLNELIEGLNRMWKCMLECHRSQCQVIREAKNLGSIGSGKKLSDDHLKATLQLEHELISWTIRFSSWIGAQKGFVRALNNWLLKCLYYEPEMTDDGIAPFSPSRVGAPTIFVICNQWSQAMDRISEREVVDSMRIFAMSVFQLWEQDKSEMHRRMMANKDLERRARNLDREDQKLQKEIQALDKKIVLVSGDGSSLLVAGHVVYPSETSNSSLQGSLERIFVAMERFSAESSKAYEELLQRVKERIAQEHERVS >EOX98154 pep chromosome:Theobroma_cacao_20110822:2:4700905:4703924:1 gene:TCM_006980 transcript:EOX98154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein MAKPQRLQAFGCFLFFSFLLSSLSTFTFALSDAEASYIAHRQLLTLPENGELPEDFEYEVKIVETFANQRLKRAYIALQAWKKAMYSDPLNTTGNWVGPNVCAYTGVFCAPALDDPKLSVVAGVDLNHADIAGYLPAELGLMTDLALFHINSNRFCGIIPKSLSKLTLMHEFDVSNNRFVGPFPEVVLSWPGLKYLDVRFNDFEGKLPCGIFEKDLDALFLNNNRFTSTIPETIGKSTVSVVTFANNKFSGCIPHSIGKMANLNEITFMNNDLGGCFPAEVGLLSNMTVFDAGLNSFTGILPQSFSGLKKVELLDISHNKLTGIVPENVCKLSSLSNFTFSYNYFKGEANACIPPSRKDIVIDDTSNCLGGRPKQKSAKECYPVVSRPVDCSKDKCGGGSSPSKPHPPKTPSPYESSPSKPQPKPPVTAPPTPKPKPSTPEEPHRRPPVQGHPQPPSSAPAPSPDPHDQSPVTPIRPPAPKNPTREPPPAPKNPTREPPPAPKKPTPESPKSPAAPENPTPQPPKSSPMPSPDPHGNSPVGGVRSPPPPVYSPPPPVHSPPPPVYSPPPPPVHSPPPPPVYSPPPPPPVYSPPPPVHSPPPPVYSPPPPPPVYSPPPVHSPPPPVYSPPPPVYSPPPPAHSPPPPPVYSPPPPPPVHSPPPPLYSPPPPVRSPPPPLYSPPPPPVPTPSPPPPPVASAPPPTEEFVLPPNLGFQYSSPPPPMFPGY >EOY00675 pep chromosome:Theobroma_cacao_20110822:2:34440436:34445520:1 gene:TCM_010599 transcript:EOY00675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphere organelles protein-related, putative isoform 1 METTRLRLLFEDRNILNKSQKKQGLKRSWILLKPQHQTILDLSSHLLYVFHLHRSCPHGLILSMDSFVLPPFESTCIFKDKDIISVKKKGGKRTEIIKVGDGLNSLEELEIVEQPPVNTGVKLLASKEFDKQTGGYESEPEEDEQELEPLENEEHVESTPIQNIVSKKRKASEKLPRPKRKKSKLSRAGKSPVSVDNGNDVHHKKSKSSHQRTVLPEEKVIGKDNPVDIQGEPEKLSNPETDESSDDDTNVGRFPQLQETGKGSVVVSQTTSEAKKPPSRSARRKKAKRRWLREQAIIEKEKLPSKQLLGKDNQQSPAKENLEVSEEHLQPVGNSSVKDYVVPVVIRPGHIRFEPLETEDAERAVQQSQISVETFQWNGITSKKKGQKWGKEKTPFLKRNDDKSFSQVSTEMVAIEEKATITDDMDFDKLMPYSSLPKEGDFVAYRLVELSSSWTPELCSFRVGKISDYDAESNRIILTPVPEYPNASEKKIDEDESELQSDTSLYGEDGSLEIDYTSLIDVRLIKHGNSNAIISVAGGISENCAEDPNVLKNRQPNGSKEAVLVSAPPLAQANGVVNGWEEISQALSAKKVELSKEDGWSQTDSSGRSSWSYRALRRSALGPTMAFLRAQNGILGASPT >EOY00676 pep chromosome:Theobroma_cacao_20110822:2:34440543:34444036:1 gene:TCM_010599 transcript:EOY00676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphere organelles protein-related, putative isoform 1 METTRLRLLFEDRNILNKSQKKQGLKRSWILLKPQHQTILDLSSHLLYVFHLHRSCPHGLILSMDSFVLPPFESTCIFKDKDIISVKKKGGKRTEIIKVGDGLNSLEELEIVEQPPVNTGVKLLASKEFDKQTGGYESEPEEDEQELEPLENEEHVESTPIQNIVSKKRKASEKLPRPKRKKSKLSRAGKSPVSVDNGNDVHHKKSKSSHQRTVLPEEKVIGKDNPVDIQGEPEKLSNPETDESSDDDTNVGRFPQLQETGKGSVVVSQTTSEAKKPPSRSARRKKAKRRWLREQAIIEKEKLPSKQLLGKDNQQSPAKENLEVSEEHLQPVGNSSVKDYVVPVVIRPGHIRFEPLETAEDAERAVQQSQISVETFQWNGITSKKKGQKWGKEKTPFLKRNDDKSFSQVSTEMVAIEEKATITDDMDFDKLMPYSSLPKGGRFCCISLS >EOY00069 pep chromosome:Theobroma_cacao_20110822:2:24842409:24844113:-1 gene:TCM_009522 transcript:EOY00069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHQLEKKKKIPPSFYANEASIVKVAWIPPELGDAVACICEDGSLSIWEELVEGTQPLRGEGQESSFILGFTSNTPQLNSLKVWEFDPAHQRWLPVAEMAASSKVGFAW >EOX98559 pep chromosome:Theobroma_cacao_20110822:2:6193897:6194575:1 gene:TCM_007287 transcript:EOX98559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative MEGYAKIVLAAMVLGLAIGSEPFVANGQKVCGMSKEGFQACEPSVSSANPHPPPPSPACCMALNDADLQCFCFFKNSKMLNAYGIDFDRATALPVQCNLVKSFHC >EOY00623 pep chromosome:Theobroma_cacao_20110822:2:33986615:33989301:-1 gene:TCM_010511 transcript:EOY00623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MAVVLGNLALLLDVTSARTVTPDRKSRPLAIDVLLNLPKRDPYFFHASVSNKSQLDSSDGENRAHRVVARGKANSKVKAVDFDAGSSDDDGNGNGNGFEEEEEEYDWEKEMRKRVKEIEEMRELEKKAEELQSKAEAEESEGEGSKETEEKKRMRVRKELEKVAQEQAERRATAQLMFELGQKAYGKGMYGRAIEFLEGALTIIPRPTLFGGEIQIWLAMAYEANNRHADCIALYQQLEKRHPSVSIRRQAAELRYILQAPKLKISQEEMVTIPLIGSSYDSYAATWSDKYKDKDQRSGSTTNQLPSSRDFLGDFLVWRPPVGLEKNQAFWVALTLWFGLVGAALFLQR >EOX98201 pep chromosome:Theobroma_cacao_20110822:2:4859779:4866151:1 gene:TCM_007013 transcript:EOX98201 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDPK-related kinase MGTCTSKPPKPNPFASRENQQNDYPAPTQPPKSPPPPLPPTTPFLPIYTPSPAHPNKPKTPSTPLRFFRRPFPPPSPAKHIRAVLRRRKANKKAENEAKIAEDEEDEEEGVELDKRFGFSKELRSRLEVGEEVGRGHFGYTCSAKFKKGEFKGQQVAVKVIPKSKVMKMTTAIAVEDVRREVKILRALTGHNNLVKFYDAFEDHDNVYIVMELCEGGELLDRILARGGKYSEDDAKAVMVQILNVVAFCHLQGVVHRDLKPENFLYTSKDENSLLKAIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPNFNEVPWPSLSSEAKDFVKRLLNKDPRKRMTAAKALSHPWIQNHNYVKVPLDILTFRLMKAYMRSSTLRKAALKALSKTLTVDELFYLREQFALLEPKNGSITLENIKTALMKNATDAMKESHIPDFLFSLNTLQYRRMDFEEFCAAALSVHQLEALDRWEQHARCAYELFEKDGNRAIVIEELASELGLGPSIPVHAVLNDWIRHTDGKLSFLGFVKLLRGPSSRALAKAQ >EOX98367 pep chromosome:Theobroma_cacao_20110822:2:5513993:5514866:1 gene:TCM_007145 transcript:EOX98367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSVFVETNIYQRTLTGEQIKHGQKILHSLFSSSFSLVEFYAVCNGGEGIERVVSSCCEGFGAKPGCRTQIQKSSNYWTRELWSWPW >EOY00113 pep chromosome:Theobroma_cacao_20110822:2:25970419:25972295:1 gene:TCM_009632 transcript:EOY00113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSMVYSGGGEMAMGPERSKPLHNFKLPCLKWGNQRYLRCVKLDDASTATDSSSAAVDHHRRHRHRHVFQRRRSPPSKFESMIVGATRLRESESSPSNDKNNDYGRERRLRISEGEAAEGIKAVREKIMKDLKTAADKIKDEIFRDEVSDDDDVDDDEDEFEEPKRKMKEKEIEESPAVAVEARPWNLRTRRAACKAPIDGGGTNNNYNSPMKNEVINSPRVRDRGSSVASATVAAAAAEKKRPRPKFSVSLSKKEIEEDFMVMAGHRPLRRPKKRPRYVQNQLDSLFPGLWLTEVTVDSYKVPELVQNGKR >EOX98516 pep chromosome:Theobroma_cacao_20110822:2:6036650:6050592:-1 gene:TCM_007259 transcript:EOX98516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40B, putative MANNPQFSGVQPHPPPLVGSMDPPRNFPPPMSAQFRPVVPSQQPQQFVPVAPQLFQPVARGVTVMNSGFSPQTQQPQFPQVMQQLPARPVQPGHIPPAALGISLPTAQPHCHVSPGASLPQPNIQTPNNYVSGGPASHLSSSYPFASSSYGQASVSQNAMAQYQPMPQLQAPSVSVGGHVGIHVSQSSSSVIPVHQIVEQPSVSTATVPAPSIQPKPTEEASTDWIEHTSANGRRYYYNKKTRQSSWEKPLELMTPIERADASTNWKEFMSPDGRKYYHNKVTNQSTWSIPEELKLAREQVEMASAKGTQSEVSSHIPPPAPPAVKASSGADTPPTIIQGAASSPVPVAPVLATSDVKPVVVSASALPVGASSTVTNVDVVRTAADTITLSAAISESPEASIAVVNAVTAPMNNISKVSSMDMLSTAEGFSAQNADETVKDVVVSEKINNALEEKAIDQDPLTYASKQEAKNAFKVLLECANVGSDWSWDQAMRVIINDKRYGALRTLGERKQAFNEFLGQKKKQEAEDRRIKQKKAREEYKTMLEECSELTSSTRWSKAVAMFEDDERYKAVEREKDRKDIFENYIDELRQKERVKAQEQRKRNIVEYRQFLESCDFIKANSQWRKLQDRLETDERCSRLEKIDRLEIFQEYLRDLEKEEEEQRKIQKEELRKAERKNRDEFRKLMEGHVAAGTLTAKTHWRDYCMMVKESPPFMAVASNTSGSTPKDLFEDVAEELQKQYHDDKARVKDAVKLRKISLASTWTLEDLKVAIAEDISSPPISDVNLKLVFEELLQRVREKEEKEAKKRKHLADDFYDLLRSIKEDEKITSSSTWEDCKYHFESSQEFSSIGDEGFCKGIFEEYITELKEEAKEKERRRKEDKAKKEKEREDRERRKAKHGREKERGHEREKEEHLREGPLEGNVDMAEIHDDNENKRSGKDDDKKHWKRHQSSVDNLNESEKERTKNSHRHGSDRKKSKRHASTPESDSENRHKRHKREHRNGSRRNVDHEELEDGEFGERESR >EOY01064 pep chromosome:Theobroma_cacao_20110822:2:37318073:37320386:1 gene:TCM_011008 transcript:EOY01064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNSLPLYCLSIFQASKGVIGKLGKLRRKWWWCHDTDDNALWRRLILEKYGANQVQRILSTSRSYRMLTVWRCITQLPTNDRVCNLMSTCACRWIVDPLDHKEWFHSIWKLSIPPKVQCFLWLAILDSIPIKHFLASRGVPLIGKFGALFFNGGIMVYGETFWSLWLERNEAVFSNKVWDGNKILFFIRSQKLLWIHACNDYIDEIRWWTEPLNSSIKQSLLLSRHGISWQSLGLDEFKFNVDDSAKGKPGPAKCGGS >EOX99693 pep chromosome:Theobroma_cacao_20110822:2:13789708:13794531:-1 gene:TCM_008458 transcript:EOX99693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transketolase family protein isoform 1 MLGIVRQKVLGQSLQKVRPGVSLLRSYSSAAKQMTVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLEKYGPERVLDTPITEAGFTGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYASCPGLKVLTPYNSEDARGLLKAAIRDPDPVIFLENELLYGESFPVSDEVLDSSFCLPIGKAKIEREGKDVTISAFSKMVGHALKAAEILEKDGIDAEVINLRSIRPLDRSTINTSVRKTNRLITVEEGFPQHGVGAEICASVVEESFGYLDAPVERVAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRSVPLAAAA >EOX99694 pep chromosome:Theobroma_cacao_20110822:2:13789646:13795031:-1 gene:TCM_008458 transcript:EOX99694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transketolase family protein isoform 1 MLGIVRQKVLGQSLQKVRPGVSLLRSYSSAAKQMTVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLEKYGPERVLDTPITEAGFTGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYASCPGLKVLTPYNSEDARGLLKAAIRDPDPVIFLENELLYGESFPVSDEVLDSSFCLPIGKAKIEREGKDVTISAFSKMVGHALKAAEILEKDGIDAEVINLRSIRPLDRSTINTSVRKTNRLITVEEGFPQHGVGAEICASVVEESFGYLDAPVERVAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRSVPLAAAA >EOX98721 pep chromosome:Theobroma_cacao_20110822:2:6847623:6848984:-1 gene:TCM_007418 transcript:EOX98721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKSTIFCFMLIVLLVSTTAQSPASSPSPTSLPPSTSPPVFAPVPSSPSPVEEPPVSAPSPMTTPPVAAPSPANVNVPPQPSAAAPPNPPSSISTPPSVAPEPSHNGAALNRIAGSGYIVFGLITAALMM >EOX97862 pep chromosome:Theobroma_cacao_20110822:2:3717820:3721107:-1 gene:TCM_006787 transcript:EOX97862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase 9B18 MKLSSLFHQPFLFISLIIFPGFPLVHSSYHDYSDALSKSILFFEGQRSGFLPQNQRMGWRANSGLSDGWMYNTDLTGGYYDAGDNVKFGFPMAFTTTMLAWSVIEFGDLMPPNELRNALVAIRWATDYLLKTVSQPNRIFVQVGDPNIDHSCWERPEDMDTARTVYAVDAPNPASDVAAETAAALAASSMAFRSVDPGYAETLLRNAVQSFQFADNFRGAYSDNSNIRDGVCPFYCDFSGYQDELLWGAAWLRRASQDDSYLNYIENNGKTLGADDNVNEFGWDNKHAGLNVLVSKEVLEGNMYSLQSYKASADSFMCTLTPDSSSSHIEYTPGGLIYKPGGSNLQHATTISFLLLVYANYLARTSQTVNCGNLMVGPGSLRMQAKKQVDYILGDNPMGLSYMVGYSNYFPQRIHHRGSSLPSVKDHPAFIACKEGSIYFNSTNPNPNVLVGAIVGGPGEDDVYGDDRVDFRKSEPTTYINAPFVGVLAYFAANPNPS >EOX99278 pep chromosome:Theobroma_cacao_20110822:2:9799813:9801105:1 gene:TCM_007896 transcript:EOX99278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSSNWVEEPQYIEIGRGLRGHRDRDRRLKLTDLASQVGSSCFQPLSMALCQQRRVSAILFFYLRYSLCQSCNLKSKVKGHYFFKMRNLIPVK >EOX97011 pep chromosome:Theobroma_cacao_20110822:2:902008:904078:1 gene:TCM_006137 transcript:EOX97011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol-phosphate mannosyltransferase-related MKHVLKIFSLLVAISGLWIGLLQASIIPRSHTWLLPIYFIVSLGCYGLLMVGVGLMRFPTCPQEALLLQQDIAEAKYFLKQRGVDVDSE >EOX99574 pep chromosome:Theobroma_cacao_20110822:2:12304261:12307904:-1 gene:TCM_008274 transcript:EOX99574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase MSFLPARKGRHRQRYEDHLRLVAGCIPYRLKKDVEDRNGNVTSRITVLMISTPNRDDLVFPKGGWEDDETVHEAACREALEEAGVKGILDENRLGVWEFRSKSKQNSCSLEGGCRGYMFALEVTEELESWAEQTTYKRKWLSPEEAYKFCRYEWMRDALQVFLAGIKKDRMSGRAEKLADLPMFPAADALAEHQMSLTKCIVQG >EOY01206 pep chromosome:Theobroma_cacao_20110822:2:38232976:38235086:1 gene:TCM_011147 transcript:EOY01206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPMDLKWRDKLIYRILDFVLSDCSLWLVLGCFFNVEYGKSSLVTWLLIGNGICCSFGCCIVGGGVIDLEALVKSGVCHC >EOY02158 pep chromosome:Theobroma_cacao_20110822:2:42164939:42165575:1 gene:TCM_011879 transcript:EOY02158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVLIPSLGTKQLIQNLHRKSPLLKPKQLVTNIGDKAIWFIYKKRGSHDIDQQTTENGAEEQEMVKFVAVGDFGGPEMHAGHQNFAGVIFYDSYLWKSAFPSHHQGHLQQGQVPC >EOY00555 pep chromosome:Theobroma_cacao_20110822:2:33485714:33486693:-1 gene:TCM_010448 transcript:EOY00555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLHTSFPIEDARSTMEILDKLQVYVEGEKLTYGKKWEDVDFILAPCNVGGHWMVAKIDLVRWAIKVVDSARTLDVKDNEVRAAQMTPLTTIMLIISHQAGYFNKTRRKTRDLTPMPLEIHLPKAQVHRQDDSVSCGMFMIGYIDDILQSESIKVKQNMIANMRRQYALEIFSNSCES >EOY00670 pep chromosome:Theobroma_cacao_20110822:2:34426204:34432578:-1 gene:TCM_010592 transcript:EOY00670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Melibiase family protein MKILLSSSFCFFFFFFTPSFCRLPSETELVTQPEHASTPPRGWNSYDSFCWTISEEEFLQSAEIISSRLKPHGYEYVVVDYLWYRRKVEGAYTDSLGFDVIDEWGRPIPDPERWPSSKGGKGFLEVAKKVHSMGLKFGIHVMRGISLQAFNANTPILDTAKGSAYEDSGRQWRAKDIGLKERACAWMSHGFMSVNTKLEAGRAFLRSLYLQYAEWGVDFVKHDCVFGDDLDIDEISFVSEVLRKLDHPILYSLSPGTSVTPAMAKDVSELVNMYRITGDDWDTWRDVVSHFNVTRDFSTSKMIGAKGLLGRSWPDLDMLPLGWLTDPGSNEGPHRTCNLILDEQRTQMTLWAMAKSPLMFGGDVRKLDETTYNLITNPTLLEINSFSSNNMEFPYITGINGSRSKTKVLSQHLTEGGMFNILALDLTTCKDPKANGWSIKPLDQDLEQICWKEKLGSKFEEPPCLYKRKPLLASGEEMIYRQQYQGTLHLLASDERELCLDASPRRRLTSKEFGGGSFSPCKWDANQMWELNANGALVNSYSGLCVTVNSLEADVDSIGIRSWIATGRRGEIYLAFFNLNPEKTMISANIADLAKVVMRKNLNGASCKYREIWSGKYGATKQLISIAVEMHGSALFVLLCE >EOX97922 pep chromosome:Theobroma_cacao_20110822:2:3991348:3997362:1 gene:TCM_006827 transcript:EOX97922 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain protein 6 isoform 1 MATYYTGSNNQRDTASMIYLRESMPGSYPEAPVLPVNTMMYMNSGSYSDAFAGNSQQQNNCIEIQAVEASDSTSQHQEILSNLGGSRVGVHDFGTWRDGRNEMLVMHPMGGPASILHGGQSLQGQGLSLSLGTQIPSGIQMPSMPYRNPNSGFASFLSPNQSLTGEGGSRNSSSRDEQSRNAEYLAPGFSGGNQDSNKGDMSAYGMSSIARTIPNSKYLKAAQQLLDEVVNVRKALKQPDGEKNQSSQDRMKSSTDDDRGSKNVPSNQQECSNNSPNELSHAERQELQSKLTKLSSMLDEVDRRYKQYYHQMQIVVSSFDVIAGCGAAKPYTALALQTISRHFRCLRDAINGQIRVTRKNLGEQDTSENSKGVGISRLRYVDQQLRQQRALQQLGMMQQHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSDKIMLARQTGLTRSQVSNWFINARVRLWKPMVEEMYKEEFADAEMDSNSSSENAVKATKGDTGTSEDRGEDLQQSGSSSATERCSTGQLMDSKSDHVSDVEMAVPSTGAGFQNVTRGETETEYGLLKLREEQRPNVDDSNLLPDAIAHSGGGNDRFMAAAAAAYHMSELGRFGSGSGVSLTLGLQHCEGGSIPMSGGSHQSFVAVRGDGIYNPAASSVGAETADFECINPGNRQHRFSSSHLLHDFVA >EOX97923 pep chromosome:Theobroma_cacao_20110822:2:3991496:3996770:1 gene:TCM_006827 transcript:EOX97923 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain protein 6 isoform 1 MATYYTGSNNQRDTASMIYLRESMPGSYPEAPVLPVNTMMYMNSGSYSDAFAGNSQQQNNCIEIQAVEASDSTSQHQEILSNLGGSRVGVHDFGTWRDGRNEMLVMHPMGGPASILHGGQSLQGQGLSLSLGTQIPSGIQMPSMPYRNPNSGFASFLSPNQSLTGEGGSRNSSSRDEQSRNAEYLAPGFSGGNQDSNKGDMSAYGMSSIARTIPNSKYLKAAQQLLDEVVNVRKALKQPDGEKNQSSQDRMKSSTDDDRGSKNVPSNQQECSNNSPNELSHAERQELQSKLTKLSSMLDEVDRRYKQYYHQMQIVVSSFDVIAGCGAAKPYTALALQTISRHFRCLRDAINGQIRVTRKNLGEQDTSENSKGVGISRLRYVDQQLRQQRALQQLGMMQQHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSDKIMLARQTGLTRSQVSNWFINARVRLWKPMVEEMYKEEFADAEMDSNSSSENAVKATKGDTGTSEDRGEDLQQSGSSSATERCSTGQLMDSKSDHVSDVEMAVPSTGAGFQNVTRGETETEYGLLKLREEQRPNVDDSNLLPDAIAHSGGGNDRFMAAAAAAYHMSELGRFGSGSGVSLTLGLQHCEGGSIPMSGGSHQSFVAVRGDGIYNPAASSVGAETADFECINPGNRQHRFSSSHLLHDFVA >EOY01636 pep chromosome:Theobroma_cacao_20110822:2:40418121:40423635:1 gene:TCM_011483 transcript:EOY01636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding protein-like transcription factor family protein, putative MESWSYGSEGKGLLFTDEMDLPVDAFARSRKALIGWDLKPSTDFEAVEGMEFMDFGFADMNKKPFYGNTSMEIFGAEIGNGCAKRVVSPTCMVTSSSYYGEEESGSKHSSSLIESNSQESSLIDLKLGRLTDHRDGQDGKFLKETSVVSSVRPAFMVKRARTTSSYSHTPCCQVYGCNEDLSSSKDYHKRHKVCEAHSKTAKVIVNGIEQRFCQQCSRFHGLAEFDDGKRSCRKRLAGHNERRRKLHFNTLSGKPHKLLQSYQGTKFLGTSIPKRMPFVIPNIFQGDFVYPGRYEQANQSQQVKSEEKPIYNPQSAIPITNCQLQPKSIFHMQGSGKQYGSGTFSSSTEGFNAPNAASTVKVSSGVSRSDCALSLLSAQSQDLSNHAAGIQMTRPLINHAGHAYHSFEKSAGMSSLEKSNGFYTCGMNPMGAGQVGAAVVSDAGHNANFEVQGDGHFQDSDLLSARYCLSPENGTTVDLLQLSTHLQRVERHRNSMHVKLENEDLCYFLTT >EOY00792 pep chromosome:Theobroma_cacao_20110822:2:35113386:35116047:-1 gene:TCM_010708 transcript:EOY00792 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor MEPVLQWRPRLYGDDKSSEVMALDLNLPGIQIYPFSCPIYQNWPIFYETRNHKRLKQDHLMDVSIGSNGNSDGSTNSLTSLPRLQFRDHVWAYAQRYLTIAAMEEAAVAMTGGEENEIKEEEGGEGMRLVQQLIACAEAVAYRVKIYASALLSELRANALVFGTSFQRVASCFVQGLADRLALVQPQRAVGVVGAVAKAKAVTFEKDEALSLVYELCPQIKFGHFVANASILEAFEGESFVHVVDLGMTLGLLRGHQWCHLMQSLVHRAGQPPKRLRITAVGTCCERLQAIGDDLEHYAKSLKLNFEFSVVECNLENLKPEDFNTSGDEALVINSILQLHCVVKESRGALNSVLQKLRELSPKLLILVEQDSSHNGPFFLGRFMEALHYYSAIFDSLDAMLPKYDTRRAKMEQFYFAEEIKNIVSCEGPDRIERHERVDQWRRRMSRAGFQPAPVKMIMQAKQWLEKIKVCEGNTIVEDKGCLVLGWKSKPIIAASCWK >EOX97653 pep chromosome:Theobroma_cacao_20110822:2:2981614:2986936:-1 gene:TCM_006622 transcript:EOX97653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCVCVLGGPLISLFGAGSEDESTLLGLALAVVQLWTSQHPAIVSVVMNDVEAKIVGMVSSIIALTMGRKIPVGMEKSKHTIKCFQGFFPVAYLHVYTTKTRNARRKFSCHGLLSLQGSKRHTDNLNLHSVLLRRVLLKCLQSFSFHAWIMLVSFEQFQQL >EOX99509 pep chromosome:Theobroma_cacao_20110822:2:11711869:11716448:-1 gene:TCM_008189 transcript:EOX99509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESSSVQKTSISPNEDLRSPYFLYHTNHHGLVVINPKLTSSNYVTWSRSFMLALSIKYKKYFDQYKKDMVFRFIIGLNETFSALRSQVTIMEPFLTLDKVYNLDLQEDYQTSLLIQCNPDLTFWRVLGLLSLCYISTLTQHITKFDKKASKCVFLGYPNGTKGYKVYDIDAHKVLIFGNVVFHEHIFHFQHLQHTTDHYSFPQTIGMHDPIIDFFYFNSINSTSQAPLFAELHSSQSSSFIDIVSTSRPTHSLHNSPYHHSVVESVPSNSLTPTDYTSSLRRSTRIKHVPKYLDPYQVDLLAHVNHVIAYLMTNYLSSH >EOX98773 pep chromosome:Theobroma_cacao_20110822:2:7130978:7136271:1 gene:TCM_007462 transcript:EOX98773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase 1, putative isoform 1 MEAGRNTTCHRCNKRSLVKGRNKSLGIDCPSCRNLIPIPNEELQTSQLQGFRSRMRSLGSHLKSKIHQGAVAASLNHEPSGPNPTRSSKRAVLCGITYNKLKYKLKGTINDVKNMRELLITRFGYPRECIRVLTEEETDQRYIPTRQNIEDSLRWLVTDCRSGDSLVFFYSGHGLRQPDFNNDEEDGFDETICPVDFPKAGMILDNDLNSLIVRPLKPGVTLHAIVDACHSGTILDLPNVYFSLENKWKDNGPPSGANKRTDGGLAISISACLDNQAAVDTSAFNGKMNGALTYLLAEVLKKLPGPTYGDLFDLIHETFDNVNQGCLVNTRILRRLFDYRLSQTPLLSSSHEFDVYKKHLFL >EOX98774 pep chromosome:Theobroma_cacao_20110822:2:7130978:7136271:1 gene:TCM_007462 transcript:EOX98774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase 1, putative isoform 1 MEAGRNTTCHRCNKRSLVKGRNKSLGIDCPSCRNLIPIPNEELQTSQLQGFRSRMRSLGSHLKSKIHQGAVAASLNHEPSGPNPTRSSKRAVLCGITYNKLKYKLKGTINDVKNMRELLITRFGYPRECIRVLTEETDQRYIPTRQNIEDSLRWLVTDCRSGDSLVFFYSGHGLRQPDFNNDEEDGFDETICPVDFPKAGMILDNDLNSLIVRPLKPGVTLHAIVDACHSGTILDLPNVYFSLENKWKDNGPPSGANKRTDGGLAISISACLDNQAAVDTSAFNGKMNGALTYLLAEVLKKLPGPTYGDLFDLIHETFDNVNQGCLVNTRILRRLFDYRLSQTPLLSSSHEFDVYKKHLFL >EOY00321 pep chromosome:Theobroma_cacao_20110822:2:31119000:31120908:-1 gene:TCM_010153 transcript:EOY00321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIHTLVQFRGLLNDDLKAHISNFLEICDTFKHNRVTDDAIHLILFLFSLRDKAKVWLYSLPTRFIMTLDELAQKFLAKFFPTKTTKMRNDITSFMQLNARLLYEAWERYKDLLHGLPKWL >EOY01562 pep chromosome:Theobroma_cacao_20110822:2:40059222:40060129:1 gene:TCM_011423 transcript:EOY01562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAGRVTCLLILLLFASWISFAQASQGGGIPDSNHKTASTGKGLLDGERGVTAATNKNLLGGRKMVVDRQMKVKDVRSGGEQTVSHSSDEVDESGFVAFNADYHAPRHHPPKNN >EOY01319 pep chromosome:Theobroma_cacao_20110822:2:39003598:39004893:1 gene:TCM_011252 transcript:EOY01319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARMVDESARKKVWLIDSGCLYHMTGDESQFTTLDKSFKAKVEIGNGVFLEIVGTRTVAVEIDSGYKYITNVYLVPDANQNLLSVGQLTENHYVLLFKDRYCTIFYPKGDEVLTVEMKNKCYPIAWKHTEHKVFVSSVVDYELWHRRLGHINYNSLQKMSSQESVKGLPRITKHVTVCGIYQYEKQSRLSFPKEMKWKATEKLQLIHTDLGGPMNIPSLGGSRYFLLFIDDVTMYSWILFLKFKSEALPCFVKVKKQVENQVSKSIKIMRSNNGKEFTASEFEKFLSQVGVIHQLS >EOY01133 pep chromosome:Theobroma_cacao_20110822:2:37809263:37815818:1 gene:TCM_011075 transcript:EOY01133 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 15 isoform 1 MASHSGRGVPSNGSVYVCNLPQGTDETMLAEYFGTIGLLKKDKRTGRPKIWLYRDKATNEPKGDATVTYEDPHAALAAVEWFNNKDFHGSTIGVFIAESKNSNAGGDPPTVAGDGGGLEEDAAMDMNGGGGRGRGRGDASGQTWQQEGDWHCPNTSCSNVNFAFRGVCNRCGTARPAGASGGGGGAGGHGKGRGAHDSGSHGRGAVGATGGLFGPNDWPCPMCGNINWAKRTKCNICNTNKPGHNEGGVRGGRGGGYKELDEEEIEETRRRRREAEEDDGEMYDEFGNLKKKFRAKTQQAEAGRGLPGSGRAGWEVEELGVIDRDGRERSRDRGRERDDRESSKNRDREDRERRRSRSRERDRGKDRNRDYVYDRDREYGRDRERDRDRDRDRSRYY >EOY01134 pep chromosome:Theobroma_cacao_20110822:2:37809108:37815974:1 gene:TCM_011075 transcript:EOY01134 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 15 isoform 1 MASHSGRGVPSNGSVYVCNLPQGTDETMLAEYFGTIGLLKKDKRTGRPKIWLYRDKATNEPKGDATVTYEDPHAALAAVEWFNNKDFHGSTIGVFIAESKNSNAGGDPPTVAGDGGGLEEDAAMDMNGGGGRGRGRGDASGQTWQQEGDWHCPNTSCSNVNFAFRGVCNRCGTARPAGASGGGGGAGGHGKGRGAHDSGSHGRGAVGATGGLFGPNDWPCPMCGNINWAKRTKCNICNTNKPGHNEGGVRGGRGGGYKELDEEEIEETRRRRREAEEDDGEMYDEFGNLKKKFRAKTQQAEAGRGLPGSGRAGWEVEELGVIDRDGRERSRDRGRERDDRESSKNRDREDRERRRSRSRERDRGKDRNRDYVYDRDREYGRDRERDRDRDRDRSRYY >EOX98438 pep chromosome:Theobroma_cacao_20110822:2:5778273:5782101:-1 gene:TCM_007204 transcript:EOX98438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage T-protein family isoform 1 MTTATATATPCVSHLVGRSTARLQYSRAAPLLYRNAAFSTKKSNKNKLSSGKSCSTSIIAALPFELSPPPIDHDFLDTVTAEGAKVSEEGIIETFENDDEALDAFDNGVVVMDLSHFGRIRVSGDDRIQFLHNQTTADFECLSEGQGCDTVFVTPTARTIDIAYAWIMKKAVVLVVSPETRGNITEMLNKYVFFADKVEIQDITKQTCLFALAGPKSNQVMANLNLSDLVGQPYGTNRHYSVNGMPLTVGVGNIISQEGFSLLMSPTTAGSVWKTLLSQGAIPLGFNAWEKLRVIQGRPAPGKELTNEFNVLEAGLWNSISMNKGCYKGQETISRLITYDGVKQRLWGIHLSAPVEPGSPITVNGKKVGKLTSYTTGRKESDHFALGYIKRQAASCGDTVVVGENILGTVVDVPFLSQQSPPTKKSSP >EOX98439 pep chromosome:Theobroma_cacao_20110822:2:5779006:5782021:-1 gene:TCM_007204 transcript:EOX98439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage T-protein family isoform 1 MTTATATATPCVSHLVGRSTARLQYSRAAPLLYRNAAFSTKKSNKNKLSSGKSCSTSIIAALPFELSPPPIDHDFLVMDLSHFGRIRVSGDDRIQFLHNQTTADFECLSEGQGCDTVFVTPTARTIDIAYAWIMKKAVVLVVSPETRGNITEMLNKYVFFADKVEIQDITKQTCLFALAGPKSNQVMANLNLSDLVGQPYGTNRHYSVNGMPLTVGVGNIISQEGFSLLMSPTTAGSVWKTLLSQGAIPLGFNAWEKLRVIQGRPAPGKELTNEFNVLEAGLWNSISMNKGCYKGQETISRLITYDGVKQRLWGIHLSAPVEPGSPITVNGKKVGKLTSYTTGRKESDHFALGYIKRQAASCGDTVVVGENILGTVVDVPFLSQQSPPTKKSSP >EOX99920 pep chromosome:Theobroma_cacao_20110822:2:18268254:18276543:-1 gene:TCM_008928 transcript:EOX99920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPFRNMLQPPTRDVGESGQECPTSMDQGTNGGCSDNIASTLFGKDTERTKKRLDRFKKHRLNTRSKELKTKLEKYLSKLVDDEGFNDDEFDVLMWWKLNQFRFPVLAAIARDVLAVPVSTVALESAFSTGGRVLDAYRSFLTPKVVQALICAQDWLRGLARGDSDLIEDDLDELDKLDFELATIALETIAESEPESD >EOX99184 pep chromosome:Theobroma_cacao_20110822:2:8886269:8888283:-1 gene:TCM_007777 transcript:EOX99184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein MELDSSENTNWLLDYGIPDFPRPAAGYGWPPQTTLDARSNLSAAVDCSFPDSDSLKEVASRKRLKSESCYASGSKACREKLRRNKLNDRFLELGAILEPGRPPKADKVAILIVAVKMVHQLRSEAQKLKELNEELQAKIKELKAEKNELRDEKQRLKADKEKLEQQVNAMSTRPGFLPHPTPMAAAFASQGQAAGNKLMPYIGFPGVAMWQFMPPAAVDTSQDHVLRPPVA >EOX99730 pep chromosome:Theobroma_cacao_20110822:2:15245147:15249267:1 gene:TCM_008592 transcript:EOX99730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ac-like transposase THELMA13 MNDDRDVAAVVTSSMGVSGRDNISPQICFYHQSSRLGWHLSFGSPGKVKARKFDPRISRDMLAEAIIKHDLPYAFVEYDKIRAWAKYVNPDMVMPSRNAAISYVQRIHLREKEKLKQAMAKPFYETTNLISGSSYPTSNLYFMQVWKIESILNANLHNEDEIIKDMSQRMKMKFDKYWKDYSVVLAFRAILDPKMKFDFLRFCYYKIDASTCHEKLENVKTKLYELFEEYASNTRASSTSSLNE >EOY00814 pep chromosome:Theobroma_cacao_20110822:2:35385945:35388184:-1 gene:TCM_010750 transcript:EOY00814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Restriction endonuclease MSNCNVTKFCAIHHKAVVPLSMSRRWRNGYQRTLSTGIVTHTSPATRLIVRSPSSLVLAINLTPFDAPQRSDEWFALRKNKLTTSTFSTALGFWKGKRRSELWHEKVFASETQVIESSKKCAMEWGVLNEAAAIERYRSITGREVSSLGFAIHSKEQFDWLGASPDGLLGCFPGGGILEVKCPYNKGKPETALPWSTMPFYYMPQVQGQMEIMDREWVDLYCWTPNGSTIFRVHRERSYWDLIHGILREFWWGNVIPAREALLLGKEEEAKAYEPASTHKQTGLAISKSIKLASEAKMLCREIAGHIEFYR >EOX97082 pep chromosome:Theobroma_cacao_20110822:2:1115047:1116942:-1 gene:TCM_006183 transcript:EOX97082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein MALCTRVPHRLHLVRTFVDAKIKWVRDPDLDNAVEREKNLKQILSLKNQIVSSPSSSLPLSSLSPLKPHFNLPTSTSKVFQKYPAIFSQFQPSPSLPLHVKLTPQAMTLHKEELAIHKSPSHRNDAVKRLAKLLMLAKSGRLPLHIIDKFKFDLGLPSDYITFLLSDYPEYFQICESINTLDGKETLVLELISWRKELAVSEMEKRASFYNGREVKKGMPLWFSMKLPNGFDLEKKVMNWVDTWQDLPYISPYENAFHLGPNSDQAEKWTVAVLHELLWLLVSRKTERVNVFCLGDYLGFGNRFKKALVHFPGIFYVSNKIRTQTVVLREAYRKDFLVEKHPLMGMRFRYIHLMNKAEKFRNSGVVLRFRRRREVMPSADKGQVMKEDDKSTGENNNFNELSDSEFEDVSNDETSRTQIIDT >EOY00433 pep chromosome:Theobroma_cacao_20110822:2:32304740:32306834:-1 gene:TCM_010295 transcript:EOY00433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELLNTQQILSIPLSIRQIPDCQVWFDTKNGSYTVKSGYKFLGSTLDNVTNTSSSVQGNWLWKKLWHCAVPRKTMLFMWKVIHDILPTRSELIKRGVNIELMCPLCEIEVETAFHCLCNCQFSRLVWLTTKCGFRDISNFHDSIIDWLQGVFEVLNKDETEEFICLLWAIWKTRNVVVFNQSRSTPMVVVEIGLDLIHQFRRASRAHGNSKDDGYENTSEVWGRLNSAKLNTDAALFHSGGECKLGARFIVRNQNADVILAGSKLTTHGTNVVGVELKALAWALLICCQQ >EOY01510 pep chromosome:Theobroma_cacao_20110822:2:39784485:39788147:-1 gene:TCM_011379 transcript:EOY01510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MHSSKRMGHYPSLSSVKCFMVLVCISVFSSASLASEPRLTLDYYKSTCPTVFEIVRTEMECQVLSDPRNAALIVRLHFHDCFVQGCDGSVLLDDTINLEGEKKASPNVNSLKGYRIIDRIKNKLESECPGIVSCADILTIAARDAVILVGGPYWDVPVGRKDSKTASYGLALENLPAATEGLLSIISKFLYQGLSVTDMVALSGAHTIGMARCVNFRARIYGDFEATSGKNPLSNSYLSKLKSICPETGSGDNNVTAMDNVTPNLFDNSFYHTLLRGNGLLNSDQQMYSSLLGIETRKLVQKYAEDPVAFFNQFADSMVKMGNIVNSDSFVSGEVRKSCRFVNT >EOY01514 pep chromosome:Theobroma_cacao_20110822:2:39789668:39791378:-1 gene:TCM_011381 transcript:EOY01514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDVITEIPPPSRFFQEDLNNFIPPSPSLPLPFLVLSNPKPDKPLRPSLLVIALSSPSLYIFHHLSTKTLIGSFILPEIPFSGISVEPSLGDKTCNIYSLNDEDNSTLLVSVQHGVSAERSHFVARLLIGEDIVPERVLILDSIQSSNFRGKLSPDETYAFKLETLAERKGSGGGNVDFSLLKGLDYFPSGSMIDGLAAALLSRCQLKNIKGTLCVSWPEFGSSVVTLIRSLLQRNVLPSLDLSLKGVVQDQYARFNRIRHQPYDTELYT >EOY01990 pep chromosome:Theobroma_cacao_20110822:2:41612775:41614493:-1 gene:TCM_011760 transcript:EOY01990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger-like protein MASAVYYCNDLQHIVYQPLTEPEIFYPTPPFVQIELNIALQFGFRRHYCLTDQFVDLDDEGMFFSQETIRFDLRALKNYDRIYQILGPMLVRLRLNPNARPCRAIIQEIIRQGVSIGTSESNRGRQVLPLQAVLWGTFVEHVNEEEEEEVLMERALEESASEFESSNYNMVPAKESSVKKMLKSVRVEAVECDQKVEEKIKKGRLEAENCVICLEELKVGSDASRMPCSHIFHGDCIEKWLKQSHYCPVCRFEMPTK >EOX99437 pep chromosome:Theobroma_cacao_20110822:2:11224516:11225558:1 gene:TCM_008119 transcript:EOX99437 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein MATPSTSAQSFVTKEKLEKLLDQNNKSLNFSKFDLKLPYLAKVVVNPYPKDYTSLKFKQVNGKTGNAWEHVMKLVKTLGVVGLEDDLKLKEFFKSFTEKAYMWYVNLTLSSVDYWNQMCRMVGEKFFST >EOY00519 pep chromosome:Theobroma_cacao_20110822:2:33191795:33198169:-1 gene:TCM_010403 transcript:EOY00519 gene_biotype:protein_coding transcript_biotype:protein_coding description:F28C11.9, putative MQGTRFLSLVRRLTTLAPSNFKSFTSYCDPSPHLGIYYKNETINDLIKSGRLNDAQNLFNQMPTRDSITYNLLISGHGRYGNLKQALYLYKEMVSGGIKESGPTFSSVVTVCGNGGFYREGIQVHCRVISLGFRLNLFIGSSLVNLYLRMGLDNVALKLFDQLPERNLAVWNLMLNGFLELGKIEKLFEFYGQMEWDDVKPNGHSFCYLFRACCNERFFNEGKQLHCHVIKAGWVELNVFVANALVDFYSACRRVVDAKKAFSIIPVEDVISWNSIISVHAENNMLCDVLELFGRMYFWEKKPSVLCFLGFLNLSSRKGDILFGRQIHCFVTKFGFYSGSVHIQSALIDMYGKCGDIGSSVSVYESASERTLECCNSLVTSLLHCGITEDVFEMFGLMVDEGIGIDEVTLSTTLKALSVTTYASLGSCKLLHCCAIKSGYESDMAVSCSLINGYSRCGHFELSCQVFKTLPSPNVFCFTSIINGYARNGMGKEGVSLLEAMIQKGLIPDKVTFLCVLSGCDHAGLVEEGKLVFNLMKSFYGICPERQHFSCMIDLLGRAGLLSEAEKLLQQAPGGGDPVMWSSLLRSCSIYKNEIVGKRAAKVLMDLGQEDFASCLQVSNFYSEVGEFEAALQIREIEKKKEQIMDKLFLVSFLGLLIAACGVAGNMLDDNFNLVREAEDLDIAEDDVELFEIPSWTSERGGKVLVNVDSFGAVGDGVSDDTQIDGTIVAPDEPKNWDPDLARLWLDFSKLHGVAFQGNGVIDGSGGKWWASSCKKNKSNPCRGAPTALTIDSSSSIKVKGLTIQNSQQMNFVISKSESVRVYEVRVSSPGDSPNTDGIHITGSTNVVLQDCKIGTGDDCISIVNASSAIKMKRIYCGPGHGVSIGSLGKDNSMGIVTKVVLDTAFLRETSNGVRIKTWQGGSGYVRGVRFENVRMEDVANPIIIDQFYCDSPTTCQNQTSAVQISQIMYRNISGMTKSTEAMKFACSDTVPCLNIVLSNINLEKKDGTVETYCNSAQGFGYGVVHPSADCLSSHDKGSILIDQKENAELAEPTRDHIAHTEL >EOX99154 pep chromosome:Theobroma_cacao_20110822:2:8734784:8755339:-1 gene:TCM_007751 transcript:EOX99154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase, putative MKATLLRNNILSLIAYPCLVAAPRIRASRVFSFSCLSTITSNPPPCSFSSLAKSATMPGVDPPEMEWPAKKVRDTFFKFFEGKNHVNWKSSPVVPLNDPTLLFANAGMNQFKPIFLGTVDPNTAMSKLSRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKKDAIEWAWELLTKVYGLPTERIYATYFGGDEKAGLPPDNEARDTWLKFLPPGRVLPFGCKDNFWEMGDTGPCGPCTEIHYDRVGNRDAASLVNNDDPTCIEIWNLVFIQFNRESDGSLKPLPAKHVDTGLGFERLTSVLQNKMSNYDTDVFLPIFDVIQQVTGARPYSGKVGPDDTDKVDMAYRVVADHIRTLSFAIADGASPGNEGREYVLRRILRRAVRYGSEVLRAPEAFFSRLVSIVVEVMGDVFLELKQHETRIRDIIAAEEASFGKTLVKGIEKFKKAAQDVQGKILSGQDAFILWDTYGFPLDLTQLMAEERGLIVDVEGFNNAMDEAREKSRSARNKQAGGAIVMDADATSALHRKGVATTDDSFKFIWFQDHYSVIKAIYTGSEFVESASAGDDVGLVLESTSFYAEQGGQIFDTGSLDGSFGSFQVCNVQIFGGFVLHIGSLSGVTGKFSVGDKVTCKVDYDRRGLVAPNHTCTHMLNFALREVLGNHVDQKGSIVLPEKLRFDFSHDPNRDGAINADHLRKIESIVNEQIKAELDVYSKEATLAEAKRINGLRAVFGEVYPDPVRVVAIGQKVEDLLADPENKEWSSISAELCGGTHITNTREAKAFALLSEEGIAKGVRRITAVTTESALKAMEQADLLLKEVDDASKIEVSLLEKKVASLKTRVDSASIPAAKKADIRGKIAQLQNQLKKAQKKIAEENMQKAVKIATELAEVAASEGKTFCVSRIDVGLDAAALREAVSKVIEQKGMPVMVFSTDETTNKAVVYAGVPEKSAQSKLLEVSEWLTNALGPLKGRCGRGKGGLATGQGTDASHINEAMDLATSFASMKLR >EOX97994 pep chromosome:Theobroma_cacao_20110822:2:4197928:4199265:1 gene:TCM_006867 transcript:EOX97994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRSRFLSPGISERFKAFKASLLARSWISSHGAEEMDSYSCVSSHRRNAAFDYSNPGIASSSGSVYIWALTFELSRELTDMILGKLGGSGWASSNHDLSMDKAVTSKVVGPNLTACHPSFGSPDLIVYCCPPGFESPVLFVDFQFPLTVKFGEFSGIFVHIPKGVGFRERDHGGDIVRRKTVLELGVSEQLKDLEVDTDESIWITSLPRTAAAATIEGIRIEYIK >EOX97804 pep chromosome:Theobroma_cacao_20110822:2:3533105:3534016:1 gene:TCM_006737 transcript:EOX97804 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MGFRLPRIVCAKQRLTRTLSFSENTPVSKGHFAVYVGEAQKKRFIVPISFLKDPSFQNLLSQAEEECGFNHPMGALTIPCNEEAFIDLTCSLQSS >EOY00211 pep chromosome:Theobroma_cacao_20110822:2:29490682:29496306:-1 gene:TCM_009973 transcript:EOY00211 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MPEYCVTGGTGFIAGYLVKSLLEKGYLVRTTVRDPGNVGKVGFLKELPGAKERLKIMKADLMEEGSFDEAVQGVDGVFHTASPVLVPYDDSIQATLIDPCIKGTLNVLTSCSKASSVKRVVLTSSCSSIRYRFDVQKQQISSLNESHWSDPEYCKRYNLFYAYAKTTGEKEAWRVAKECGIDLVVVNPSFVVGPLLAPQPTSTLLLILSIVKGLKGEYPNTTVGFVHIDDVIAAHILAMEERKASGRLVCSSSVADWTQIIEMLRAKYPSYPFESKCSNHEGDNNEHSMDTTKIQQLGFPPFKSLAEMFDDCIKSFQDKGFL >EOX99812 pep chromosome:Theobroma_cacao_20110822:2:16794671:16799500:-1 gene:TCM_008767 transcript:EOX99812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fbox protein isoform 2 MEGLAMLRQLIGQLQELLQLYGSPPLLPPPPPSPFHLLHFHQLPHPPEDHHRRWCFPNIDDSSADDYYSLVMAAGKSGSCKMLESFKPPPSKKSRKERNRGKLPGSTPTHEVMEQVIWKEFPEDLFEAVIARLPIATFFRFRSVCRKWNSLLDSQSFSQHCAEVPQANPWFYTITHENMNVGAMYDPSFRKWHHPTITFLPAKMIALPVASAGGLVCFLDIGHRNFYVCNPLTQSFKELPARSVKVWSRVAVGMTLNGYSTVRGYKILWVGCDGEYEVYDSVKNLWSRPGNMPPNIKLPLSLNFRSQAVSIDSTLYFMRSDPEGIVSYNMVTGVWKQFIIPAPLHLSDHTLAECEGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYNVMSREWLKVPGCVVPRGRKRQWIACGTAFHPCLTATA >EOX99814 pep chromosome:Theobroma_cacao_20110822:2:16794671:16798109:-1 gene:TCM_008767 transcript:EOX99814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fbox protein isoform 2 MAAGKSGSCKMLESFKPPPSKKSRKERNRGKLPGSTPTHEVMEQVIWKEFPEDLFEAVIARLPIATFFRFRSVCRKWNSLLDSQSFSQHCAEVPQANPWFYTITHENMNVGAMYDPSFRKWHHPTITFLPAKMIALPVASAGGLVCFLDIGHRNFYVCNPLTQSFKELPARSVKVWSRVAVGMTLNGYSTVRGYKILWVGCDGEYEVYDSVKNLWSRPGNMPPNIKLPLSLNFRSQAVSIDSTLYFMRSDPEGIVSYNMVTGVWKQFIIPAPLHLSDHTLAECEGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYNVMSREWLKVPGCVVPRGRKRQWIACGTAFHPCLTATA >EOX99813 pep chromosome:Theobroma_cacao_20110822:2:16794671:16798463:-1 gene:TCM_008767 transcript:EOX99813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fbox protein isoform 2 MLSLCVCVLISFVNSVGSLVDTPRWCFPNIDDSSADDYYSLVMAAGKSGSCKMLESFKPPPSKKSRKERNRGKLPGSTPTHEVMEQVIWKEFPEDLFEAVIARLPIATFFRFRSVCRKWNSLLDSQSFSQHCAEVPQANPWFYTITHENMNVGAMYDPSFRKWHHPTITFLPAKMIALPVASAGGLVCFLDIGHRNFYVCNPLTQSFKELPARSVKVWSRVAVGMTLNGYSTVRGYKILWVGCDGEYEVYDSVKNLWSRPGNMPPNIKLPLSLNFRSQAVSIDSTLYFMRSDPEGIVSYNMVTGVWKQFIIPAPLHLSDHTLAECEGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYNVMSREWLKVPGCVVPRGRKRQWIACGTAFHPCLTATA >EOX96935 pep chromosome:Theobroma_cacao_20110822:2:599084:601573:1 gene:TCM_006067 transcript:EOX96935 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 3 MMKRKSNVYGRMSSESANNTTSSSSSYSTSLEEAIEWEMRPGGMLVQKRTQNADVSAPDIRLRVAYGAVRYEISVNSQATFGEVKKLLRAETGLQAGEQRVIFKGKERENGEYLDICGVKDRSKVILMEDPASIERRFIEMRRNAKIQSAHRAINDVSMEVDQLADQVSAIEKSISGGVKVPEVQVTTLIELLMRQAIKLENIAAEGDAFAQKILQGKRVQKCVETLDTLKISNAKVKPVIVTTKWETFEPSPAMAQWEIFD >EOY01500 pep chromosome:Theobroma_cacao_20110822:2:39727279:39732427:-1 gene:TCM_011371 transcript:EOY01500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phosphotriesterase superfamily protein, putative MTSRRITTKWTSLKILKWQGPNLGWKEFAIPSSDRNRKVCDGSTNPDLESTCRRPLGLKFNSATCDLHIADAYFGLLLVGPNGGVAQQLATSAEGVPFKFTNALDINTNTGVVYFTDSSIIFQRRHYFLSTIMFDRSGRLLKYDSWTKEVTVLYKGLAFPNGVALSKDNSFLLVAETTKMRILKFNLDSTTDSYIPEQFAQLPKMPDNIKRNDKGEFWVALNSGRGRIQNDGETKFSVETTIRWFTLDPVGVKYDQEGKIMKQLDGNGGIWFDSVSEVEELNGKLYLGSVVKPFVGVLCT >EOY01828 pep chromosome:Theobroma_cacao_20110822:2:41064730:41068633:1 gene:TCM_011635 transcript:EOY01828 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome non-ATPase regulatory subunit 11 MSSSYLPATTDSIAQALEAKTPSEAISILYRVLENPSSAPDALRIKEQAITNLSDLLRQENRAEELRSLLTQLRPFFALIPKAKTAKIVRGIIDDVAKIPGTSDLQISLCKEVVQWTRAEKRTFLRQRVEAKLAALLMENKEYSEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGNIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVSQADDVAGIISSKAGLQYVGPELDAMKAVADAHAKRSLKLFEIALRDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIAELIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPATLETISNIGKVVDSLYVRSAKIMA >EOX98188 pep chromosome:Theobroma_cacao_20110822:2:4798701:4804762:1 gene:TCM_007002 transcript:EOX98188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRSSFLFLVMSTPLEQQQPPPVGVSQQAYTAHTGHGSVGPVIAVLAVITILGVIAGMIGRLCSGRPIMGHGQYDFEGWVERKCSSCLDGRVNPPPPRPTEEVPVAVPAEDTQQEIKEEDLEQAPVQGQKQHGSSSES >EOX96893 pep chromosome:Theobroma_cacao_20110822:2:396691:400492:1 gene:TCM_006033 transcript:EOX96893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal 2,4-dienoyl-CoA reductase, putative MPDGSGRMCHNVVKYLKKGGPGKDPSTGGAIINMRAVCIMEGHGTKLMRLPPSFRMLSFHQAAVDSITRNLALEWGTDHDIRVNGIAPGAIDDTAVVTKLAPEEMLSKIKEKPLYKFGEK >EOX99089 pep chromosome:Theobroma_cacao_20110822:2:8452930:8455852:-1 gene:TCM_007705 transcript:EOX99089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MAGGGFVAQQGGGASYEGRVTAFVVCTCLIAATGGLLFGYDLGISGGVTSMEPFLKKFFPSVFAKQNDAAKHESEYCKFDSQLLTLFTSSLYLAALVASFFASVVTRIFGRKVSMFVGGLAFLVGSILNGVAMNVAVLIIGRLLLGVGVGFANQSVPVYLSEMAPAKIRGALNIGFQMAITIGILVAGLINYGTAKIEGGWGWRVSLALAVVPALVMTLGAIVLPDTPNSILERGHSEKARQMLQKVRGTQNVDDEFQDLVDASEAAKKVDHPWRNILQPRYRPQLVLCILIPFFQQLTGINVIMFYAPVLFKTLGFGDDASLMSAVISGTVNVLATIVSIYSADRYGRRILFLEGGVQMIISQFAVGIMIALKFGLNGEGTLSKSDANFLLFLICVYVAAFAWSWGPLGWLVPSEICPLEIRSAGQAINVAVNMIFTFIIAQVFLSMLCHMKFGLFFFFAAFVIIMTIVIYFFLPETKNVPIEEMNKVWKQHWFWAKYIPDEAVIGANRKVEQRDA >EOY01648 pep chromosome:Theobroma_cacao_20110822:2:40494531:40496804:-1 gene:TCM_011497 transcript:EOY01648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydropterin pyrophosphokinase / Dihydropteroate synthase-like protein MEAWELCPCRSLCLVSSKTSTLFPLSRKCREAVVSCWQVKYHMWTCSLYPLWVLDEWSYFRSNINGQIQLSHVSVALIHTSFCAFLHTNPDQSVEVHSRDQEVVIALGSNTAPAYVSDQPRFHNFAVSAVTKLGPHELLGVLMKIEKDMGRAGGIRYGPRPIDLDILFYYRIGSVIDSVTITCWHSFSTDSDGLFGSWEKFGGESLIGKEGMKRFYPLGIAYGIEGADIVDIGAQSTLPMASRISAEEELDRLNPVLEAVLGMSEMKGKLISVDTFYSDVASEAVASELFSRVNDAELSGIPAWRIILDPGIGFSKKTEH >EOX99940 pep chromosome:Theobroma_cacao_20110822:2:18932556:18938025:-1 gene:TCM_009002 transcript:EOX99940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAITDKGVSNNMRMDSSVGNFVNELEHQQIPPLCRRNFSLIPQKYKAARLELQWMALLEGWLKVNVNGAAFGSTANNEADILAKSKYNAQRNCYSVIGAELFTSSPGRRRLDRGVADIKNGTVEKLNIFGEVEYLSKTEERFETLQKGYVMSKLIECAITFMFTTLPNSNQIEVKIMFEDDRQMQFCTQGSMAIKVAALKGPPPQCWKVMAVVLAWMGASY >EOY00659 pep chromosome:Theobroma_cacao_20110822:2:34345561:34347855:1 gene:TCM_010569 transcript:EOY00659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHDSIGIPACFTSSDQRQADEPASVGRSGQSVFMSVYRTKLAGQCRLITVTWCRNLLLHGLSVSVQGPDGDEHYRCKVELKPWYFWRKQGSKHFIVDGRTVDVVWDLKAAKFNGETEPRSDYYVAIVCEDEVVLLLGDQKKDAYRKTGCRPSLIEPMLVSRREHIFGKRKFSTRVKFNDKGTFHDISVECNNCISSNSSSDGFDPVLEIRVDGKVAIQVKHLQWKFRGNESINVGKSGVEVFWDVHDWLFGSGPRHGLFIFNPIPSSSVSPSSISAPSSPPTSSWTSMPVSNQEVNSASGDYDNAAGSSKFCLFLYAWKVE >EOX99183 pep chromosome:Theobroma_cacao_20110822:2:8885078:8886157:1 gene:TCM_007776 transcript:EOX99183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uclacyanin 1, putative MAVLRTLVGLAATAMLIQLAMAANYTVGGPSGSWDSSTDLQTWVASQKFVVGDNLIFQYTPNHDVLEVRKADYDSCQTSSPIQTYNNGNTVISLSSPGKRYFICGTPGHCSQGMQIEIDTLATSTPPATSPSTPPSASPAPETSPSPAKSPKSAPGSPSSPDVPSTESPGTSPSPSGLSPPPSPSSANRSSFQTSLTLGFGLVLMMLLAL >EOX96792 pep chromosome:Theobroma_cacao_20110822:2:58552:61035:-1 gene:TCM_005961 transcript:EOX96792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1639) [Source:Projected from Arabidopsis thaliana (AT4G20300) TAIR;Acc:AT4G20300] TLAYGHYSPIYQRVSPDCPPLSSAKKLGLKPTITTTSTMCKEEGGSCSNNSNIENGRCISKDIITAFEGAKGVRYRPPSRTQDHHLHNSNLSHPSSGVGANGAPNSPPKAQAQTENNHHHEMPKRSETTSPNRGDVLLQWGQKKRARVSRSEIRPLADDSSSSTVPGRQPIGNKVPRRVLHATMPPPPPAPPSNSARCSTLRNGLLSSRNLDERSAAASGSPSRNSGGTSRAASRAMAGKKSPPLETIDRKKLCAGSVKDGQQNGSAVQTDRMNQTDYAPVQSERAGGAANSTASAAGVGEKVNVEVIEWPRIYISLSRKEKEEDFLAMKGTKLPQRPKKRAKNVDRTLQYCFPGMWLSDLTKSRYEVREKKSAKKQKRKGLKGM >EOX96793 pep chromosome:Theobroma_cacao_20110822:2:59010:60517:-1 gene:TCM_005961 transcript:EOX96793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1639) [Source:Projected from Arabidopsis thaliana (AT4G20300) TAIR;Acc:AT4G20300] MCKEEGGSCSNNSNIENGRCISKDIITAFEGAKGVRYRPPSRTQDHHLHNSNLSHPSSGVGANGAPNSPPKAQAQTENNHHHEMPKRSETTSPNRGDVLLQWGQKKRARVSRSEIRPLADDSSSSTVPGRQPIGNKVPRRVLHATMPPPPPAPPSNSARCSTLRNGLLSSRNLDERSAAASGSPSRNSGGTSRAASRAMAGKKSPPLETIDRKKLCAGSVKDGQQNGSAVQTDRMNQTDYAPVQSERAGGAANSTASAAGVGEKVNVEVIEWPRIYISLSRKEKEEDFLAMKGTKLPQRPKKRAKNVDRTLQVFLLTCMFSDYILVCLSK >EOX96791 pep chromosome:Theobroma_cacao_20110822:2:58205:61790:-1 gene:TCM_005961 transcript:EOX96791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1639) [Source:Projected from Arabidopsis thaliana (AT4G20300) TAIR;Acc:AT4G20300] MMRYQRVSPDCPPLSSAKKLGLKPTITTTSTMCKEEGGSCSNNSNIENGRCISKDIITAFEGAKGVRYRPPSRTQDHHLHNSNLSHPSSGVGANGAPNSPPKAQAQTENNHHHEMPKRSETTSPNRGDVLLQWGQKKRARVSRSEIRPLADDSSSSTVPGRQPIGNKVPRRVLHATMPPPPPAPPSNSARCSTLRNGLLSSRNLDERSAAASGSPSRNSGGTSRAASRAMAGKKSPPLETIDRKKLCAGSVKDGQQNGSAVQTDRMNQTDYAPVQSERAGGAANSTASAAGVGEKVNVEVIEWPRIYISLSRKEKEEDFLAMKGTKLPQRPKKRAKNVDRTLQYCFPGMWLSDLTKSRYEVREKKSAKKQKRKGLKGMECVESDSE >EOX96794 pep chromosome:Theobroma_cacao_20110822:2:58416:60495:-1 gene:TCM_005961 transcript:EOX96794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1639) [Source:Projected from Arabidopsis thaliana (AT4G20300) TAIR;Acc:AT4G20300] CSNNSNIENGRCISKDIITAFEGAKGVRYRPPSRTQDHHLHNSNLSHPSSGVGANGAPNSPPKAQAQTENNHHHEMPKRSETTSPNRGDVLLQWGQKKRARVSRSEIRPLADDSSSSTVPGRQPIGNKVPRRVLHATMPPPPPAPPSNSARCSTLRNGLLSSRNLDERSAAASGSPSRNSGGTSRAASRAMAGKKSPPLETIDRKKLCAGSVKDGQQNGSAVQTDRMNQTDYAPVQSERAGGAANSTASAAGVGEKVNVEVIEWPRIYISLSRKEKEEDFLAMKGTKLPQRPKKRAKNVDRTLQYCFPGMWLSDLTKSRYEVREKKSAKKQKRKGLKGMECVESDSE >EOX97463 pep chromosome:Theobroma_cacao_20110822:2:2347160:2350329:-1 gene:TCM_006465 transcript:EOX97463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily MALNLRQKQTECIIRMLNLNQPVNPSGTANEEVYKILIYDRFCQNILSPLIHVKDLRKHGVTLYFLIDKDRKPVHDVPAVYFVQPSHSNIQRIVADASRSLYDSFHLNFSSSIPRPLLEDLASGTLNSDSIHRISKVHDQYLEFVTLEDNLFSLSQKSTYVQLNDPSAGDKEIEDIIERVVSGLFCVLATLSVVPIIRCPRGGPAELVASALDQKLRDHLLSKNNLFSEGGSFVSSFQRPILCVFDRNFELSAGLQHDFRYRPLVHDILGLKLNRLSVPGEKGGMKSYELDSSDPFWMANGSLEFPEVAVEIETQLNKYKKDVDEVNRRTGGTAGTEFDGTDLIGNTKHLMNAVNSLPELTDRKQVIDKHTNIATVLLGEIKERSLDSYAKKENDMMVRGSIDRNELLSVLKGKGTKTDKLRFAIMYIISSETINPSEAEAVEAALRESDVDTSAFQYVKKIKSLNVSLASANSASRNNIVDWAEKIYGQSISAVTAGVKNLLSSDRQLALTRIVEALMEGKPNPEIDSYLVFDPRAPKSSSGTSSSHLKGPFKEAIVFMIGGGNYVEYGSLQELVQRQQPVKYVIYGTTEILTGSEFVEQLALLGQKMGLGNTVATSSATN >EOX98582 pep chromosome:Theobroma_cacao_20110822:2:6247942:6251164:1 gene:TCM_007301 transcript:EOX98582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyol/monosaccharide transporter 5, putative MAGLGVENTVVSAQLIDNKTLADFEPANKPKRNLFAFACAVLASLTTILLGYDIGVMSGAIIFIKKDLKINDVQVEILVGILNLCCLVGSFAAGRTSDWIGRRYTIVLANAIFFVGALLMAFATNYALLMFGRFIGGIGAGFAIMIALVYTAELSPASSRGFLTSFTEVSINGGILLGYVSNYAFSKLPMNLGWRFMLGVGAIPSVFLGVGVLAMPESPRWLVMQGRLGEAKRVLDKSLDSKEEAQLRLNDIKEAVGIPASSTDDVVQVQKQSHGEGVWRELLVYPTPAIRHVLICIIGIQFFQQSSGIEAVVLYSPRIFEKAGITSSNDKLLATVAVGFVKTIFILVATFLLDRIGRRPLLLSSVGGMVASLAAIAFSLTIIDHSEEKLVWAIGLCITMVLAFVAFFSIGMGPIAGVYTSEIFPLRLRAQGVSMAVAVNRVTSGVTAMTFISLYNAITIGGAFFLFAGIAAMGWVFFYTCVPETRGKTLEEMEGLFGKLVGWREEAKKMKSTVMEEESRQVQLGNTTSDCR >EOX98647 pep chromosome:Theobroma_cacao_20110822:2:6607318:6613437:-1 gene:TCM_007358 transcript:EOX98647 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIM-like 1 isoform 4 MDTVNQRGLLARPFGDEYDDGGLEGEEFSGPHRLVMNGVASSVSHGNGVGGFVQATRTSELTIAFEGEVYVFPAVTPEKVQAVLLLLGGRGASTNVPSSEFLLQEKVKVVGGSSQDSKLSRRIASLVRFREKRNERCFEKKIRYTCRKEVAQRMHRKNGQFASLKECYNSADGSWDQSDGTPESALRRCHHCGISEKLTPAMRRGPAGPRTLCNACGLMWANKGTLRDLRKGGRNAHFDSNEPETPTDTKPSTMEPENSFANDDEEGSPEETKPVHLDSVNHLIRSNEQEFAENSHPFPIQVVNSSVNLDDEDMQETLEELADASGSDFEIPSHFDEQVDIDDTNIGTEWPGT >EOX98648 pep chromosome:Theobroma_cacao_20110822:2:6607663:6613437:-1 gene:TCM_007358 transcript:EOX98648 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIM-like 1 isoform 4 MDTVNQRGLLARPFGDEYDDGGLEGEEFSGPHRLVMNGVASSVSHGNGVGGFVQATRTSELTIAFEGEVYVFPAVTPEKVQAVLLLLGGRGASTNVPSSEFLLQEKVKVVGGSSQDSKLSRRIASLVRFREKRNERCFEKKIRYTCRKEVAQRMHRKNGQFASLKECYNSADGSWDQSDGTPESALRRCHHCGISEKLTPAMRRGPAGPRTLCNACGLMWANKGTLRDLRKGGRNAHFDSNEPETPTDTKPSTMEPENSFANDDEEGSPEETKPVHLDSVNHLIRSNEQEFAENSHPFPIQVVNSSVNLDDEDMQETLEELADASGSDFEIPSHFDEQVDIDDTNIGTEWPGT >EOX98649 pep chromosome:Theobroma_cacao_20110822:2:6608143:6612997:-1 gene:TCM_007358 transcript:EOX98649 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIM-like 1 isoform 4 MDTVNQRGLLARPFGDEYDDGGLEGEEFSGPHRLVMNGVASSVSHGNGVGGFVQATRTSELTIAFEGEVYVFPAVTPEKVQAVLLLLGGRGASTNVPSSEFLLQEKVKVVGGSSQDSKLSRRIASLVRFREKRNERCFEKKIRYTCRKEVAQRMHRKNGQFASLKECYNSADGSWDQSDGTPESALRRCHHCGISEKLTPAMRRGPAGPRTLCNACGLMWANKGTLRDLRKGGRNAHFDSNEPETPTDTKPSTMEPENSFANDDEEGSPEETKPVHLDSVNHLIRSNEQEFAENSHPFPIQVVNSSVNLDDEDMQETLEELADASGSDFEIPSHFDEQVVVF >EOX98650 pep chromosome:Theobroma_cacao_20110822:2:6607697:6613178:-1 gene:TCM_007358 transcript:EOX98650 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIM-like 1 isoform 4 MDTVNQRGLLARPFGDEYDDGGLEGEEFSGPHRLVMNGVASSVSHGNGVGGFVQATRTSELTIAFEGEVYVFPAVTPEKVQAVLLLLGGRGASTNVPSSEFLLQEKVKVVGGSSQDSKLSRRIASLVRFREKRNERCFEKKIRYTCRKEVAQRMHRKNGQFASLKECYNSADGSWDQSDGTPESALRRCHHCGISEKLTPAMRRGPAGPRTLCNACGLMWANKETPTDTKPSTMEPENSFANDDEEGSPEETKPVHLDSVNHLIRSNEQEFAENSHPFPIQVVNSSVNLDDEDMQETLEELADASGSDFEIPSHFDEQVDIDDTNIGTEWPGT >EOY00994 pep chromosome:Theobroma_cacao_20110822:2:36365390:36367455:1 gene:TCM_010894 transcript:EOY00994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MINTLSEETKDWLSILQGEFGELKAQVNLLIITIVECRHRAALNAICTTNVEAPRAQILIEVVKEESACMGYIRFFSVLQVQLEKMKKEPQQGFMYVDVLVNEKKTKAMLNIKASDTFITSREVEKYGLKVEKDFEQRKTVNSPASAIVGNSKDVKVKIGSWKGKVKMALATIDDLILSWASIL >EOY00541 pep chromosome:Theobroma_cacao_20110822:2:33351606:33355359:1 gene:TCM_010430 transcript:EOY00541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nsp-interacting kinase 3 isoform 1 MLLWCLSYKLWFLYTFRVIGNPLICGPKAGNNCSAVFPEPLSLPPDGLKAQSDYGSKGHRVAVAFGASFGAAFFIILFIGLLVWWRYRHNQQIFFDVNEQYDPEVCLGHLKRYTFKELRAATDHFNSKNILGRGGFGIVYKGCLNDGTLVAVKRLKDYNIAGGEIQFQTEVETISLAVHRNLLRLSGFCTTENERLLVYPYMPNGSVASRLRDHIHGRPALDWARRKRIALGTARGLVYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRAANQKGVMLDWVLSVKKLHQEGKLSLLVDKDLKGNFDRIELEEMVQVALLCTQFNPSHRPRMSEVLRMLEGDGLAEKWEASQKVETPRFRSCDNPPQRYSDFIEESSLVIEAMELSGPR >EOY00540 pep chromosome:Theobroma_cacao_20110822:2:33349868:33355486:1 gene:TCM_010430 transcript:EOY00540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nsp-interacting kinase 3 isoform 1 MDRRSLMLFRLGLLVLALMGISSATLSPTGINFEVVALMAIKYNLHDPYNVLENWDMNSVDPCSWRMVTCSTDGYVSALGLPSQSLSGTLSPSIGNLSNLQSVLLQNNAISGPIPSTIGKLEKLETLDLSNNTFRGEIPTSLGDLKSLNYLRLNNNSLTGACPDSLSNIGGLTLVDLSYNNLSGSLPKISARTFKVIGNPLICGPKAGNNCSAVFPEPLSLPPDGLKAQSDYGSKGHRVAVAFGASFGAAFFIILFIGLLVWWRYRHNQQIFFDVNEQYDPEVCLGHLKRYTFKELRAATDHFNSKNILGRGGFGIVYKGCLNDGTLVAVKRLKDYNIAGGEIQFQTEVETISLAVHRNLLRLSGFCTTENERLLVYPYMPNGSVASRLRDHIHGRPALDWARRKRIALGTARGLVYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRAANQKGVMLDWVKKLHQEGKLSLLVDKDLKGNFDRIELEEMVQVALLCTQFNPSHRPRMSEVLRMLEGDGLAEKWEASQKVETPRFRSCDNPPQRYSDFIEESSLVIEAMELSGPR >EOY00964 pep chromosome:Theobroma_cacao_20110822:2:36161934:36165614:-1 gene:TCM_010868 transcript:EOY00964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein HOX3 MAVLPTGSSNLELTISVPGFSSSPSLPSSGDQGGCTVRDLDINQVPSGGAEDEWITASMEDEEESCNGAPPRKKLRLTKEQSRLLEESFRQNHTLNPKQKEALAMQLKLRPRQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLTEQNRRLQREVEELRAMKVGPPTVISPHSCEPLPASTLTMCPRCERVTTTALDKGPTKMTAATATATTLSSKVGTSALQSRPSSAAC >EOX97528 pep chromosome:Theobroma_cacao_20110822:2:2574530:2575280:1 gene:TCM_006523 transcript:EOX97528 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MISPKKLIEMARKWQRVAALGRKRISLPRANRVANVNNSSDFSSEVAGKGHFVVYTADERRFVFPIAYLNNYIIQELFKMSEEEFGLPSDGPITLPCDAAFMEYAVSLIQRRIDRDTQQSLLLSMGSCGCSLSFSLNQTSQQIPVCGF >EOX99850 pep chromosome:Theobroma_cacao_20110822:2:17329971:17333073:-1 gene:TCM_008824 transcript:EOX99850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMPQCAARVTGSCLSCGINNPRFSSSCKALINCIIEPGIRKGECHRKSNMGGVGGVSKLIDGDVEYVAVGLSFIYCFRLSHNGGYELASDEKIRTKVWRPG >EOY01178 pep chromosome:Theobroma_cacao_20110822:2:38075812:38076675:-1 gene:TCM_011113 transcript:EOY01178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGQQDEPKIFFGDHGIDRPVRADGMIDDKVEDTIREIEPSAIKATLVIRKRINKSGLEVAIINNMGTIIDTGHNSEDVVNKYVGNDNAFAHDMSDKPIIMGY >EOY02004 pep chromosome:Theobroma_cacao_20110822:2:41657518:41658560:1 gene:TCM_011768 transcript:EOY02004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFTCFVLMCSPPFPKPDADSSKALPLKTASCLCSTCSTTVPHNSARKINTSRPMLDRQLLILSPCRMISSMIGSNVEKLMMPKSLLTTGARTW >EOY00531 pep chromosome:Theobroma_cacao_20110822:2:33240925:33241691:-1 gene:TCM_010412 transcript:EOY00531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRKMPWRVMPVLRLRSALVSIRNCTIAGRTCCTTCKRRDEVLGFIISSDAVFVGISPSDDGKCLLNLFCIKML >EOY00315 pep chromosome:Theobroma_cacao_20110822:2:31048169:31049446:1 gene:TCM_010146 transcript:EOY00315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVALGSNKTNASESQIKRVPVATSLEAGISCGPKANTLACPPPEVPCGTNLVGRDQVVEANPLLLLGLLLVAGGETADAITDAGITYPD >EOY01844 pep chromosome:Theobroma_cacao_20110822:2:41114700:41121644:1 gene:TCM_011648 transcript:EOY01844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MLLHIQSLNTYLATNYHLLISHSSLLYQQFLNQILTSKQAIKHSHGKDAMTVELKSLEDNGTWSIVPLPPNCHVVGCKWVYKVKLNANGNVERSKARLVAKGYNQIKGFDYQDTFNLVARQTTVRVFFALAVVHNWHLSQLDVNNAFLNGELAEDVYMELPQGYVINGECPSHSRLVCKLHKSLYGLKQVSRVWNSKLTTSLQKFGFKQSNSDYSLFTMKTYNGDFIALLVYVDDILIASNSVQVKSDVKEFLKLEFKLKELGKVKYFLGLEIARSPEDISICQRKYALDLLEEQGLLGAKLVSTPIDSNHKLAKSNDEDKLTDATSYRQLVGKLLYLTFSRPDIAYAVQTAIGQDALTPKSQLQATTSSLVIP >EOY02071 pep chromosome:Theobroma_cacao_20110822:2:41843405:41845833:1 gene:TCM_011809 transcript:EOY02071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxal oxidase-related protein, putative MTFKNQSFFVNFFSLFFLFSFLELSEANPSVFVTPTSSLSVVSGGYWQLLQPSVGISAMHVQLLPNNKVIIFDRTDSGPSNLSLPAGSFCPKNDCTAHSLLYDVVSNTFRPLHVLTDTWCSSGSLDPNGTLIQTGGYNDGDHVIRIFSPCDTYACDWYELTATHLIDRRWYATNQVLPDGRIIILGGRRVFTYEFYPKNDFVSNNKSFYLRFLVETRDPEENNLYPFLHLLPDGNLFIFANQKSILFDYKKGIVLRQFPLMPGDDKRNYPSTGSSVMLPLRLSWTGPPVVEVLVCGGAPAGAFLKADKMKVFVEASRTCGRLKVNDPNPQWLIEFMPIPRVMSDMILLPTGDVIIINGAANGTAGWENAVNAVLNPVLYSVNEVPLRRFTVLAPSKIPRMYHSAALLLPDGRILVGGSNPHTRYVFTGPYPTDLSLEAYNPYYMDPKFNSLRPSVLSLEPGNALVYGQRFGVSFSLPLYKQGAAYVALVAPSFTTHSIGMNQRVVILSTASVVQLSMFNHKLVVVGPTNASVAPPGYYMLFVVHSGIPSHAVWVKVM >EOY01595 pep chromosome:Theobroma_cacao_20110822:2:40177490:40179169:1 gene:TCM_011448 transcript:EOY01595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonol 4'-sulfotransferase, putative MPITESCPLPTDLPPVSLPKYVEEEGRQQQCRQLTSLSPTEKDCHTQASEAITMPAVLNLDPCPLPTDLPPVSLPKYLQEECLTQERRQLIASLPTEKGWVANHLHQYQGFWHTTRQLLAVLTCQNQFQAQDTDILLVTTPKSGTTWLKAIVFALMNRVQYPNPEVKHPLLAENPHVLVPFLELELYIDNRVADFTTFTSPRLFGTHLPLVSLPDSVKNSACKLVYLCRNPKDTFVSLWHFTNKLRTKDMGENSLEETFDKFCRGVCLYGPFWDHVLEYWKESLKKPERVLFLKYEEIKEQPKVQLVRLAQFLGCPFSAQEETNGVVDEILKLCSFDTLSNLEVNKTGKLVSGEEYKAFFRRGEVGDAKNHLTPQMMEKLDQITQDKLHDSGLIF >EOY01869 pep chromosome:Theobroma_cacao_20110822:2:41235107:41236760:1 gene:TCM_011671 transcript:EOY01869 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441 MEGKASSDLPPGFRFHPTDEELIMYYLRNQAKSRPCPVSIIPEVDIYKFDPWQLPDKAGFGENEWYFFSPRDRKYPNGVRPNRATVSGYWKATGTDKAIYSGSKYVGVKKALVFYKGRPPKGIKTDWIMHEYRLSDSRKQISKQNGSMRLDDWVLCRIYKKKHSGKVLDQKVEESNTPIEMIGYSNDASESQQMLKFPRTCSLTHLLELDYMGSISHLLSENTYNSSFDFPNNIGNTGTDHHVEKLQLGEVPYEYSDSGKFQVNLSGNLYQQVCMNPMVYQFQQ >EOY02079 pep chromosome:Theobroma_cacao_20110822:2:41890630:41891728:1 gene:TCM_011817 transcript:EOY02079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEELNSKKRVRDDSAESELDSPEVKRLRDDLLDFLDDSDSVPVNQDLASVMKSFEEEISAAASTATVPVPLVDLTSDSGESQPDLGYLLEASDDELGLPPPAATTTGSEELSSEVTELALVDSDSSSGIGELWRFEDQIPNYESFEFGIGDHLNGDHVTYCGLYEYSDVDVYYDSSEYAGSLWRPETLSAE >EOX98268 pep chromosome:Theobroma_cacao_20110822:2:5145245:5146058:-1 gene:TCM_007071 transcript:EOX98268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRRYAPPPPPPPQPRHLYKQRSWSPDAERDEAWLRRKGSYGVGLRRSQSVTNDDLQELKGCIELGFGFEPDSPELDPKLSDTLPALPFYCAVNRQYSGRLSRSSSTSSIGSFNDAGSSSTIIDHGDDPETVKTRLRQWAQVVACSVRQFSGEPN >EOY01210 pep chromosome:Theobroma_cacao_20110822:2:38289928:38305069:1 gene:TCM_011154 transcript:EOY01210 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-phosphatidylinositol-4-phosphate 5-kinase, putative isoform 2 MPAASMEVPVLSSFQSASRISFLTHSSSKLVSFKSYFGPIRYGCKRLSCNLKSFVKISMSIEKQSSSVSGYGNNREKNSGIVEVIAIGSRKDAVLELCLDSPFQSSSLRFWNILMKGTSNVQLQQRFLGKDITPRIVEAAIFVQSCSKAIILVAGAGFGLDHIAAIDILKAIRSANGFAVSIILKPFSFEGQRRLDEVKDLVGKLQEITNFCIDIDTDTLLKNELVTLDEALKTANYAALLAMNTISVVISEKQRKHIDAVHDDMKELRVSEVVNILGKYKEAKLGFGAGYNIRTSISQALYECPFIGAGVKDLDGVVICVVASSNVINNNDVQAFLHTFRQTAEYTEDIIISVIHEPNLEPNLLVTTVVILGHLEEQTSQKSSLFTRLAQHFPFVFKLLRSSPSQSNGTSRNNFMVINKIDSNEMENKVALEGIPGDSDDYFGGIENVQNRKPSDLNSLSGSDQNEVASLDGTTDSSTYYDEITEGIPTFHRDPLSGWNLGPGHQLAQEWAKERAADSEATPVLDNLSIFCLPVGVRSSEELTEGVNTLYASEFPELKSENDVKAPSFLSSSRSWGALSDASLEVMREFYNTASTLLKGKTDISKKQGVLSVRAASMLEAERDSPKKWSPIVEMQYRGGVYKGRCQGGLPEGKGRLILGDGRIYDGMWRYGKRSGVGTFYFSNGDVFQGSWRDDLMHGKGWFYFHTGDRWFANFWKGKANGEGRFYSKSGNVFFGHFEDGWRHGHFLCINVDGARSIEIWNEGVLMSRQQLDADAVLE >EOY01211 pep chromosome:Theobroma_cacao_20110822:2:38297626:38305109:1 gene:TCM_011154 transcript:EOY01211 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-phosphatidylinositol-4-phosphate 5-kinase, putative isoform 2 MNTISVVISEKQRKHIDAVHDDMKELRVSEVVNILGKYKEAKLGFGAGYNIRTSISQALYECPFIGAGVKDLDGVVICVVASSNVINNNDVQAFLHTFRQTAEYTEDIIISVIHEPNLEPNLLVTTVVILGHLEEQTSQKSSLFTRLAQHFPFVFKLLRSSPSQSNGTSRNNFMVINKIDSNEMENKVALEGIPGDSDDYFGGIENVQNRKPSDLNSLSGSDQNEVASLDGTTDSSTYYDEITEGIPTFHRDPLSGWNLGPGHQLAQEWAKERAADSEATPVLDNLSIFCLPVGVRSSEELTEGVNTLYASEFPELKSENDVKAPSFLSSSRSWGALSDASLEVMREFYNTASTLLKGKTDISKKQGVLSVRAASMLPNEIHQKSGVLLWRCSTEEEFTRGDAKEVFLKERGRLILGDGRIYDGMWRYGKRSGVGTFYFSNGDVFQGSWRDDLMHGKRVGFIFTLEIGGLQTFGRERPMVKVASTQNLVMFSSAILKMDGDMATSFVLMLMEQGPLRSGMRVFS >EOX96959 pep chromosome:Theobroma_cacao_20110822:2:712244:716204:1 gene:TCM_006085 transcript:EOX96959 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter C family member 2, putative MAIEPLVWYCPPVADAAWAKEVDGALGAYTPCAIDTVVISISHLVLMGLCCYRIWMMEKNSKVQRAYDGEATANGKSMDNNIRCTLANTSSNLWLAIMLEILGGLMIWLTATSAVLQSGRAEDQVAFASTMGLLLSYTLNVTVLSSDVLRQASHAENSLNAVERVGTYINLPSEAPGVIESHRPPPGWPSSGSIQFEDVALLCRPELPPVLCGLSTTASPSEKLGIVGRTGAGKSSMLTALFQIVELEKGRIVIDGCDLSRFGLTDLRKVLSIIPQSPVLFPGTVRLNLDPFNEHSDADLWETLESAHLKDVIRRNSFGLDAEVWEGGENFSVGQRQLLCLARALLRRSKILVHDEATAAVDVMTDALIQKTISEEFKSCTMVILLIDKIPSLTVIGFLCLMLVLEHNTLQELLTNEESTFSKLVQSTGPANAEYLRSIVFGGERIG >EOY00177 pep chromosome:Theobroma_cacao_20110822:2:28406116:28410223:1 gene:TCM_009876 transcript:EOY00177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT1G13380) TAIR;Acc:AT1G13380] MGEGKGSTLVHLLVVVLSLVAFGFAIAAERRRSVGTIVKDDISNTTYCVYNSDVATGYGVGAFLFLLSGESLLMGVTKCMCFGRPLAPGSDRAWSIIYFVSSWLTFLVAEACLIAGATRNAYHTKYRGMIYAQNFSCETLRKGVFVAGAVFVVATMILNVYYYMYVTKATTAAPAHKANRASSTVGMTGYA >EOY00464 pep chromosome:Theobroma_cacao_20110822:2:32745911:32771657:1 gene:TCM_010341 transcript:EOY00464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-LTR retroelement reverse transcriptase-like protein MLLKLSHLRMEAETTIDYEMWDVITDGPFIPSTLNVVTNEMIPKPMSEWIKVETKRVQPTLSFIPMRQAIDNTIIAQEAIYTMMMMRRNEGAKAIKINLEKAYDRLKWSFLQEVLEEIGLPSEWLTLIMFTMKTLTFSIIWNCKTTDSFSPTRGIQQGDPLHPICFLFVWKHFHVQLKVQFIWETGSHYN >EOX99070 pep chromosome:Theobroma_cacao_20110822:2:8343665:8378223:-1 gene:TCM_007687 transcript:EOX99070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase isoform 1 MACHLLVTDFTLPLKSPKLSYLSEQQKGRSNGSQKVSRTIAYYGLKTPPYNLDALEPYMSKNALEVHWGVHHRGFVEDLNKQLGKSDLLYGYTMDELIKATYNNGNPLPEFNNAAEVWNHDFFWESMQPGGGDMPKLGLLQQIEKDFGSFTNFREKFVEAALTLFGSGWVWLVLKRQERQLAVVKTSNSINPLVWDDIVY >EOX99071 pep chromosome:Theobroma_cacao_20110822:2:8343719:8378043:-1 gene:TCM_007687 transcript:EOX99071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase isoform 1 MACHLLVTDFTLPLKSPKLSYLSEQQKGRSNGSQKVSRTIAYYGLKTPPYNLDALEPYMSKNALEVHWGVHHRGFVEDLNKQLGKSDLLYGYTMDELIKATYNNGNPLPEFNNAAEVWNHDFFWESMQPGGGDMPKLGLLQQIEKDFGSFTNFREKFVEAALTLFGSGWVWLVLKRQERQLAVVKTSNSINPLVWDDIVHPQFENIAACLLFGLQE >EOY00645 pep chromosome:Theobroma_cacao_20110822:2:34240490:34260192:-1 gene:TCM_010546 transcript:EOY00645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTETLPVSNATQFSQIIHSFPRKYQAMDRAETKPGSRSLVDSDRALTGDWVLIPIVIMPQLGTTFRHTRIFLKQP >EOX99971 pep chromosome:Theobroma_cacao_20110822:2:20139620:20141326:-1 gene:TCM_009115 transcript:EOX99971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSLLCFSPQDRLQPPKQFSLQPVTLPPFSLTFRHLGLEWIWSIYDDMLMTFGYNVDDILSLITDSYASLYVNS >EOY02234 pep chromosome:Theobroma_cacao_20110822:2:42421912:42426237:-1 gene:TCM_011921 transcript:EOY02234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMDQIQCLHVKLSLPWLSHPVFTSFVYAKCTRIERRELWSSLRIISDGMQAPWLVGGDFNSIVSCDERLNGAIPHDGSMEDLSSTLFDCGLLDASFEGNSFTWTNNRMFQRLDRVVYNQEWAELFSSTRVQHLNRDGSDHCPLLISCSNTNQRGPAPFRFLHAWTKHHDFLSFVEKSWNTPILAEGLNAFWTKQQRLKRDLKWWNKHIFGDIFKTLRLAEIEAEQRELNFQQNPSAANRELMHKAYAKLNRQLTLQKILVFLQEYEQVSGQQVNHQKSCFITANGCPLSRRQIIAHVTGFHHKTLPVTYLGAPLHKGPKKVYLFDSLISKIRDRISGWENKILSPGGRITLLRSVLSSLPMYLLQVLKPPAIVIEKIERLFNSFLWGDSNEGKRMHWAAWNKITFPSSEGGLDIRNLKDVFDAFTLKLWWRFYTCDSLWTHFLKTKYCLGRIPHYVQPKLHNSSIWKRITGGRDVTIQNTRWKIGRGELFFWHDCWMGDQPLVISFPSFRNDMSLVHKFYKGDSWDVDKLRLFLPVNLVDEILLIPFDRTQQDVAYWILTSNGEFSTRSAWETIRKRQPHNTLGSLIWHRSIPLSISFFIWRALNNWIPVELRMKEKGIHLASKCVCCNSEESLMHVLWGNSVAKQVWAFFANFFQIYIFNPQHVSHILWAWFYSGDYVKRGHIRTLLPIFICWFLWLERNDAKHRYSGLYTDRVVWRIMKLLRQLHDGSLLQQWQWKGDTDIAAMWKYNLQLKLRAPPQIVYWRKPSTGEYKLNVDGSSRHGQHAASGGVLRDHTGKLIFGFSENIGNCNSLQAELRALLRGLLLCKERHIEQLWIEMDALAVIQLIPHSQKGSHDIRYLLESIRKCLNSISYRISHILREGNQVADFLSNEGHNHQNLRVFTEAQGKLHGMLKLDRLNLPYVRL >EOX98455 pep chromosome:Theobroma_cacao_20110822:2:5829407:5830412:1 gene:TCM_007213 transcript:EOX98455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMVVYDLEEYSKNGRPHSQKESLSLEPQLWWCRYLHADKVEWAKGLRDGLTLLLLTKVLHSEKEMMIRASEFLTKTMQKANKLKNLFSPIMVLLILSCLWKCVAQML >EOY02101 pep chromosome:Theobroma_cacao_20110822:2:41951536:41952493:-1 gene:TCM_011835 transcript:EOY02101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein PSRP-3/Ycf65 MLSMATQSSVNSTLTWHSLPYQKPALKSFNTAIFFKTKAGLRQSSTGLAKRITPTSRIERLTASAAPETLTAETSTETEIPSVTTSPETEKVVVKQVEKSRLVLKFIWMEKNIGLGLDQVIPGHGTVPLSPYFFWPRKDAWEELKATLESKPWISQKKMIILLNQATDIINLWQQSGGNLS >EOY01263 pep chromosome:Theobroma_cacao_20110822:2:38723206:38730068:1 gene:TCM_011209 transcript:EOY01263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 8, putative MDLPEGYSVKGEYAGNGRLVCKLHKSLYGLKQASRQWNAKFSEAILKYGFQQSGSDHSLFTKKTNDENFIALLVYVDDILLGSTSIQESNEVKEFLDSLFKLKDLGTIKYFLGLEIAKSPESISICQRKYTLDLLEENGLLGAKPVLSQFMDKPGKEHLTAAHRVLKYLKGSSGQRILMKSKSTLKISGYADSDWAGCPDTRKSVTGFCIFIGDSLVSWKSKKQSVVARSSAEAEYRSMASVCCEMIWIKSLLKDFGVKHCEAMNLYSDSQSAIHISKNPVFHERTKHIEVDCHFIREKILTGLIKRRHTSTNLQIADILTKALQPNQFYRLLSKMNIHNVHPAGSATSSDSDKV >EOX97436 pep chromosome:Theobroma_cacao_20110822:2:2267238:2268160:1 gene:TCM_006450 transcript:EOX97436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar iron transporter family protein MQTFDEIRPCESFNNNIEAAAANQEHEVEVLDYAKRAQWLRAAVLGANDGLLSTASLMMGVGAVRKDSKTMILTGIAGLVAGACSMAIGEFVSVYSQYDIELSQMKRDKMTKNNVLADHDKESLPNPLQAAAASAVAFAIGAVIPLLGAAFIRDYMVRLTVVLGVVTLALIGFGALGALLGGAHMLKSSLRVLVGGWLAMGITFGLTKLIGGSGL >EOY02035 pep chromosome:Theobroma_cacao_20110822:2:41750267:41752959:1 gene:TCM_011790 transcript:EOY02035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MKQQILKLVTKGLYKEALHLHSQHHKDSLLPNKFTFPPLFKACAKLNSPIQGQILHTHLIKTGFSHDIYAATALTDTYMKLHHFEYALKVFAEMPGRNLASLNTMISGFWRNGYWEEALLVFKEMIFGLSRPNSLTIATVLPACQSLELGMQFHSLAVKLGVELDVYVATSLLTMYSKCEEIVLATKMFVKMTNKNVVSYNALATGLLQNGVPRMVLNVFKEMRDSSQEKQPNTVTLVTVMSACASLLYLQFGRQVHGVVMKAEMQFYTMIGTALVDMYSKCRAWRWGYDVFKEMDGNRNLITWNSMIAGLMLNNQSEMAVALFEELEFEGMKPDSATWNSMISGFSQLGKGFDAFKYFEKMQSAGVEPSLKCFTSLLPACSVLSALKQGKEIHGHATRSGISKEEFMATALIDMYMKCGHSSCARKIFDHFESKPDDPAFWNAMISGYGRNGENESALEIFDLMQEDKVKPNSATFICVLSSCSHTGQVDRGLQVFRMMVEDCDLSPNLEHFGCIIDLLGRCGRLEEAKEIIQEMSDPPAAVFASLLGACRCHLNYELGEEMAMKLSELEPENPAPFVILSDIYAAVGRWGDAERIRQVIDDRGLRKFPGFSSIAVT >EOX98401 pep chromosome:Theobroma_cacao_20110822:2:5653559:5656541:1 gene:TCM_007172 transcript:EOX98401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive GH3 family protein MAVDSALSSPLGPPACEKDAKALQFVEEMTRSPYAVQERVLAEILSRNSQTEYLRRFKLNGATDRDTFKSKLPVITYEDLQPEIQRIANGDRSPILSAHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKSETRTPGGLLARPVLTSYYKSEHFKTRPYDPYNVYTSPNEAILCADSFQSMYTQMLCGLQERQQVLRVGAVFASGLLRAIRFLQLNWQQLTQDIETGSLSPKITDPSLRECMAKILKTNPELAEFVRQECSKDSWEGIITRIWPNTKYLDVIVTGAMAQYIPTLDYYSGGVPLACTMYASSECYFGLNLNPMCKPSEVSYTIMPNMAYFEFLPHEPNSAGFTRDSPPKLVDLVDVEVGKEYELVITTYAGLCRYRVGDILRVTGFHNSAPQFHFVRRKNVLLSIDADKTDEAELQKAVENASQLLREFNTSVVEYTSYADTKTIPGHYVIYWELLVKDLANSPSDEVLKQCCLAMEESLNSVYRQGRVADNSIGPLEIRVVKSGTFEELMDYAISRGASINQYKVPRCVNFTPIMELLDSRVVSAHFSPALPHWTPERRR >EOY00235 pep chromosome:Theobroma_cacao_20110822:2:30308219:30313604:-1 gene:TCM_010053 transcript:EOY00235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYFFIQNFYNIKCLGYGMNESFITLIPKKRNPSSIGDYKSNSLVGGINKLIAKLLAIRLRKVVGSCLFGVAVQKEIMESWGSRIHYKVTANLGVSVGVAEKMNGIKLLSVAKAPALFNWAHRFCCDATVKDVMPDTENLAEFGKMITAKVMDVAPPKWLTE >EOY00083 pep chromosome:Theobroma_cacao_20110822:2:25309672:25317026:-1 gene:TCM_009553 transcript:EOY00083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFDEILKRDVVHISKDELEKVHDVRFAQWFQEYVARCRDETDPCRLEISHGLGCMARAIINVTSTHDSHGSTSIDLGETYTFALAQKYDEDSSSQLEFDSHAWIEGIGGMTTTRTHVYGFGSQRPTATILNDAATTESVASHNTSTDIRAPCYWKSEVVALVISNNPYLNLRVEVMALRRVPLRRHKVDAHHTSCRDHNCMASRRTRSRHKTDFKN >EOX98777 pep chromosome:Theobroma_cacao_20110822:2:7172933:7173795:-1 gene:TCM_007468 transcript:EOX98777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTEVNGIYCSTRAMRIGPATNKKPATGQQYPKASYQNTQGYLGENGPNNTIIFVGGLDPSVSEDQLKQIFSQLGSNIRLSWGLVLQAERPNQIRPSGMVDIMDMLKDLKHMDIHLLPKTLTCSTGSHEQPGAYQQP >EOX98775 pep chromosome:Theobroma_cacao_20110822:2:7156554:7158497:-1 gene:TCM_007465 transcript:EOX98775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MHQFKMSKCFSFTASRDWFYRHSFANAGLRSVRTDIGEGTVMHCWVPKIQNKSRPNLLLVHGFGANAMWQYGEHLRHFTSRFNVYVPDLVFFGESYTTRTDRTESFQAQCVMRMMDEHGVPRMSLVGISYGGLVGYSMAAQFPEKMEKLVLCCAGVCLEEKDLEEGFFNVADLDEALSILLPQTPEKLRELMRFSFVKPAARWVPSYFLTDFIDVMCTDYLEEKRELIRAILKDRKFSNLPKITQRTLIIWGEEDLIFPLELGHRLKRHTGDSAELVVIKNAGHAVNMEKPKEFIKHLKSFLFDSPSSTPSSAHSGLLLRYWSEYKYGLTKHN >EOX97116 pep chromosome:Theobroma_cacao_20110822:2:1246834:1252648:-1 gene:TCM_006217 transcript:EOX97116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSCHKPRMLRRTSESSFFSFCASFASNDEYNYVLYLRSGSPAAARVPSTDGVSDSQGAKVLFWGPHTPSPDRVECEINERDC >EOX98981 pep chromosome:Theobroma_cacao_20110822:2:8011586:8013479:-1 gene:TCM_007634 transcript:EOX98981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin/thioredoxin reductase subunit A 2 MTASTATLAFSSATHGSCLITCSNGKSSPETKTTMVTLKSAPFPSPLTAVNVTRKARRIISCQAVSTASVDKDEKYASVSGSAEAEEEAKVGAKVRVKVPLKVYHVPRVQEVDLTGMEGVIKQYVALWKGKRISANLPYKVEFVKEIEGRGPVKFFAHVKEDELEFLD >EOY00112 pep chromosome:Theobroma_cacao_20110822:2:25932980:25933963:-1 gene:TCM_009628 transcript:EOY00112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMPQNRLPILHNLVPNNPVRISILLTKMKKPMIPKLIYLRKSRKLKRFKLLKHYNYGFLGEYQFDSPSSTPLIHYYNRKQPKRRSIQDIYSMLFSCKCFGWPKAQASGEADCRLVLEAVPAPIGGELISEALDIEDDQEDSVDKRAEKFIERFYAEMRLQRQESFLRTHEHC >EOX97492 pep chromosome:Theobroma_cacao_20110822:2:2460584:2461721:1 gene:TCM_006491 transcript:EOX97492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit A, putative MATSPLNIQPSCHSRSNSLPSRQHPLTSQIDESLSRLRASEAASTSASIGHKLNGLQDLHECVDMLLQLPLTQQALAQEQHLACVEDLLDGSLLLLDACSTAKDALLQSKECIQELHSILRRRRGAEMGLANEVRKYLTSRKAVKKAICKALKNLKQMETKFNTFSFNKDGENAAVVSILREVEAVTVRVLQSLLSFISGSGAESKLSRWSLVSKLMHPKRVGCVEEEKQTNEIANAEATLRSLIKSDNIKHIENVQHELQKSELCIQDLEEGLESLFRRLIKSRVTVLNVLNC >EOY01424 pep chromosome:Theobroma_cacao_20110822:2:39389811:39391579:-1 gene:TCM_011315 transcript:EOY01424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF177) [Source:Projected from Arabidopsis thaliana (AT3G19800) TAIR;Acc:AT3G19800] MYPPELGITVTERTMAEASRLVSSSSARTINQIPSSFQSKLNSHKLQSHNVSVRFRAASRKRDYSSLISKKDSRSGRRRLITISTADARWHGTWSCDFLVSLKDLDLDDLVEDDEQKDAQVYINLSIQKHASFGLSIDGRIVTSFTRKCGICSSPYCREIDTYFNVWVLASSRDHAATHQLPEIGGDDPSVIYVKPGYEANLDSLIQDTIRLTTTTKDTCSESCQKSEPTLRYIGKKNAASIDKRWCRLLELRKANLHDANI >EOY01423 pep chromosome:Theobroma_cacao_20110822:2:39389815:39391735:-1 gene:TCM_011315 transcript:EOY01423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF177) [Source:Projected from Arabidopsis thaliana (AT3G19800) TAIR;Acc:AT3G19800] MYPPELGITVTERTMAEASRLVSSSSARTINQIPSSFQSKLNSHKLQSHNVSVRFRAASRKRDYSSLISKKDSRSGRRRLITISTADARWHGTWSCDFLVSLKDLDLDDLVEDDEQKDAQVYINLSIQKHASFGLSIDGRIVTSFTRKCGICSSPYCREIDTYFNVWVLASSRDHAATHQLPEIGGDDPSLRYEANLDSLIQDTIRLTTTTKDTCSESCQKSEPTLRYIGKKNAASIDKRWCRLLELRKANLHDANI >EOY01425 pep chromosome:Theobroma_cacao_20110822:2:39390320:39391693:-1 gene:TCM_011315 transcript:EOY01425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF177) [Source:Projected from Arabidopsis thaliana (AT3G19800) TAIR;Acc:AT3G19800] MYPPELGITVTERTMAEASRLVSSSSARTINQIPSSFQSKLNSHKLQSHNVSVRFRAASRKRDYSSLISKKDSRSGRRRLITISTADARWHGTWSCDFLVSLKDLDLDDLVEDDEQKDAQVYINLSIQKHASFGLSIDGRIVTSFTRKCGICSSPYCREIDTYFNVWVLASSRDHAATHQLPEIGGDDPSLSL >EOX98370 pep chromosome:Theobroma_cacao_20110822:2:5524173:5538475:1 gene:TCM_007148 transcript:EOX98370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monovalent cation:proton antiporter, putative MAFSNLSSSTTGMEGQGIVEEICLKFPPKVSSPGLAAYILSNEQNEKFTDFAAPRLHFQMIVIFALTQIIHYLLKHLGLPIFISQILAGILLGPMVFKGHNSLVTMSEDSVQVLGTVASLGYIFFLFLSGVKMDLSMTYKAGRKAVCIGLLTVVVPLTFSLITVKALSTDELFKNNSFFLAVTYSGTSFPVIHCLLSELKILNSELGRLGLSAALVSDMASLVLMNLSQWVKVAQEKSATLVLKDFGLAIAFFLVVAFVLRPGMKWMVKRTPEGGQIKDVFLYAVILGFMSSPRITGIFNIFLLFGPFILGLAVPDGPPLGSALVEKLDPVVSGLFLPLFASTCGMRIDLSYLKESKEYAQHQAIAAVVALIVKFGVSLALPLLCKMPMRDSLALACIMMSKGIVEMASYSFLNDNMVISQDVFAFMSIIIVLLASIVPLLVKRLYDPSRKYIGYQKRSIIHSKLNEELRMIGCIHVPGNVNSIINILNASCPNRENPIALDVLHLVKLSGRATPLFIAHQKHKNTLSNKSYSENVVLAFNQFERDNWEAVSVNVFTAVSPPNLMYEDICNLALDKLTSFILLPFHRRWYIDGSIESEGQAIRSLNCSILERAPCSVGILVEGRRHLKRSNSRDILSSESSSYSIAVIFLGGKDDREALALAKRISQGQRVNLTVIHLKATNGLGAVLSDSDRLLDDEMLRGVKESGYIRYVDEQVNDGPETSSFLRSIADNYQLIIVGRRYNTEDPRTLGLEEWSEFQEIGIIGDLLSSADFGGNYSVLIVQQQQLRAA >EOX98509 pep chromosome:Theobroma_cacao_20110822:2:6013045:6014093:-1 gene:TCM_007253 transcript:EOX98509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPCANPIFRLLSKFKSNENSESPTLLLYMFKYPSSFYSAPHSSPNIPASSFSVSVQGLWVTKSYRCRKMRRSKAELQSKFKQYMSKPMSKAELQSKLKHYMSKPVKALNKARDFYVNSMEECASKVSYGGVIGCPASQVSRLPRSFSVNYSKPNNEEKFLNFLEVMSKKRSMESSEESNLQQEEMKDPYGGLKRSYSSSIGLARIDEDKPCYFEEDASYARSRSYACKRNYY >EOX99261 pep chromosome:Theobroma_cacao_20110822:2:9493428:9494715:-1 gene:TCM_007861 transcript:EOX99261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNVSRNKFLLCFRPAVDMDVMLESKAVVVDRSQNQASTYVGVKNKEDIKPSMTKSSVSDTENSILILRPGKKTFSQVIKAVVFEIILVKRVLDRKVIHQGSYSSKHSFPLSSRDKLLDASLGKSVNKVLAGKEIRDTISKSNSVSSVSSCSSSSSSSSPNSTQNQKRQKLQNKNTYSNHRESEMNPKHEQIDRGSSSNSAIFLLLASLAVTIFWGKICAIFFTSMWLYFLPHQQPADVIGNLENMKRIPEAKSRDYKKSVIMEGLLERNHNRRTLNF >EOY01226 pep chromosome:Theobroma_cacao_20110822:2:38393905:38395619:1 gene:TCM_011172 transcript:EOY01226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase MELGVDKHDHVQNLSAKRLIGKVAVITGGARGIGAAAAKLFAENGAYVVIADILDELGAMLAESIGARYIHCDVAKEDDVESAIQLALTWKGQLDILFSNAGIGGPAGSITSIDMEQVKHLISINLLGNVHGIKHAARAMLKHRTKGSIICTSSSAGVMGGLASHIYSLSKAAIIGLMRTAACELGVHGIRVNCISPHAVPSDLLVSAYRIFLGNETRPEQVSKLVGETGSLLRGRAATVEDVAQAALFLASEDAGFITAHNLVLDGGFTSSCNTLSFIYQ >EOX99843 pep chromosome:Theobroma_cacao_20110822:2:17301447:17305874:-1 gene:TCM_008816 transcript:EOX99843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MACRTVLRSIFVTEPWRPLHRNGNFRSLKTLRSFGISAAKRRSCGGHGMVVRARDIHFWKRTQKLNCSHNETPSSSTSEEGDLDQGPPQEAVLKAISEVSKTEGRVGQTTNVVIGGTVADDSTNEWLTLDQKVNSYPTVRGFTAIGTGGDDFVQAMVVAVESVIQQPIPEGRVRQKLSSRGKYVSVNIGPVRVVSSEQVQAVYNAMRRDDRMKYFL >EOX97093 pep chromosome:Theobroma_cacao_20110822:2:1158040:1161396:-1 gene:TCM_006195 transcript:EOX97093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 MMESHLDENPEMRASMIGTGELSQRRGRIPGSEYAASSGQVRWRKPPYPKRGQIKRTFLKVLLKQILRPKNIFEADAHGETDLEYEMLSTPNDVEADLSNQEIQVANSDDNVFEQDQANRGNNIHDGFSLMDVVEANADSENYSEHEILWNRNDVEANLGGQENEVTTGGDFEFERDQANRDSNNHDGSSLMDVLAWVFPITNFTLELPSAVLDQLSYINKPHYALILMLISFIALMACIGELICKGKKGRVTWQWRDRVPRFSGKPFDNPWEIIGFACACLQCVVTAINYSFVSRHVDGPIKTSALPILFAFGLLCSKYFEKPDRDRVNPDGDSAINLVGVRVEP >EOX96878 pep chromosome:Theobroma_cacao_20110822:2:328613:331961:-1 gene:TCM_006022 transcript:EOX96878 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRS transcription factor family isoform 3 MVPTGIDLELPSGEYHKEDNRPNVNINMMEGGDGRHDRGRVSGNDEGNGGRNVGVAVVDAGPRAYTGREINLNSVKNFEPHDGMEFESKEEAFSFYKEYAKFVGFTTIIKASRRSRISGKFIDAKFVCTRYGNERESGGVETPEPVPCADIATTIPVKKKRGRVNRSWSKTDCKAGMHVKRRQDGRWIVRSFIKEHNHDIFPDQAYFSGGHRNLGLGNSNVHTLHGRTKKMYVSMSRQFGAHKKLESHKGGDTNRLRSSQLLGLEEGDVKALLDHFLYMQDENPNFFYSIDLNEEQRLRNLFWVDAKGRLDYGYFYDVVFFDTTYITNEYKLPFVPFIGVNHHFQFLLLGCALVADETKLTYAWLMRAWLRAMGRRAPKVILTDHDKALKEAIAEVFPDSRHCFCLWHIVSKIPEKLSYVMGQHENFMTKFDECVFKSYTDEQFEKKWWELVDGFNLKNDIWFQSLYEDRQQWVPAYMRGILLAGISTMQRSDSVSSLFDKHLQRKTTLKEFLDQYKTILREKSEEEAKADFETWHKPPQLKSPSLFEKQMSPLYTHAIFKKFQVEVLGGIACHPRKESEQGGTKTFKVQDFEKNQDFIVVWNEATSDISCLCRGFEFNGFPCRHILIILQLSGVQSIPSQHILKRWTKDAKSRQTTGEESDVLETRMQRYNDLCQRAFKLGDEGSLSQGSYNIVLNALEEALRKCESVNYSIRGVTELMSPQTQGSHHFEELNQSNSTSKAVKRINASQKRQGYPEGEISNSGMPDSWQQMGQSNIRVPSLECSYESQESIRGMEQLNSRTPAIDSYFGAQQLVQGM >EOX96877 pep chromosome:Theobroma_cacao_20110822:2:327220:332271:-1 gene:TCM_006022 transcript:EOX96877 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRS transcription factor family isoform 3 MVPTGIDLELPSGEYHKEDNRPNVNINMMEGGDGRHDRGRVSGNDEGNGGRNVGVAVVDAGPRAYTGREINLNSVKNFEPHDGMEFESKEEAFSFYKEYAKFVGFTTIIKASRRSRISGKFIDAKFVCTRYGNERESGGVETPEPVPCADIATTIPVKKKRGRVNRSWSKTDCKAGMHVKRRQDGRWIVRSFIKEHNHDIFPDQAYFSGGHRNLGLGNSNVHTLHGRTKKMYVSMSRQFGAHKKLESHKGGDTNRLRSSQLLGLEEGDVKALLDHFLYMQDENPNFFYSIDLNEEQRLRNLFWVDAKGRLDYGYFYDVVFFDTTYITNEYKLPFVPFIGVNHHFQFLLLGCALVADETKLTYAWLMRAWLRAMGRRAPKVILTDHDKALKEAIAEVFPDSRHCFCLWHIVSKIPEKLSYVMGQHENFMTKFDECVFKSYTDEQFEKKWWELVDGFNLKNDIWFQSLYEDRQQWVPAYMRGILLAGISTMQRSDSVSSLFDKHLQRKTTLKEFLDQYKTILREKSEEEAKADFETWHKPPQLKSPSLFEKQMSPLYTHAIFKKFQVEVLGGIACHPRKESEQGGTKTFKVQDFEKNQDFIVVWNEATSDISCLCRGFEFNGFPCRHILIILQLSGVQSIPSQHILKRWTKDAKSRQTTGEESDVLETRMQRYNDLCQRAFKLGDEGSLSQGSYNIVLNALEEALRKCESVNYSIRGVTELMSPQTQGSHHFEELNQSNSTSKAVKRINASQKRQGYPEGEISNSGMPDSWQQMGQSNIRVPSLECSYESQESIRGMEQLNSRTPAIDSYFGAQQLVQGMGQVNSIAPPHDAHYITQQRMHGMGQLHFRPQTIPICYDIQDDIQDMDQRNVGVGPPQLHGMASKQLHSKHVSR >EOX96879 pep chromosome:Theobroma_cacao_20110822:2:328901:331372:-1 gene:TCM_006022 transcript:EOX96879 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRS transcription factor family isoform 3 MVPTGIDLELPSGEYHKEDNRPNVNINMMEGGDGRHDRGRVSGNDEGNGGRNVGVAVVDAGPRAYTGREINLNSVKNFEPHDGMEFESKEEAFSFYKEYAKFVGFTTIIKASRRSRISGKFIDAKFVCTRYGNERESGGVETPEPVPCADIATTIPVKKKRGRVNRSWSKTDCKAGMHVKRRQDGRWIVRSFIKEHNHDIFPDQAYFSGGHRNLGLGNSNVHTLHGRTKKMYVSMSRQFGAHKKLESHKGGDTNRLRSSQLLGLEEGDVKALLDHFLYMQDENPNFFYSIDLNEEQRLRNLFWVDAKGRLDYGYFYDVVFFDTTYITNEYKLPFVPFIGVNHHFQFLLLGCALVADETKLTYAWLMRAWLRAMGRRAPKVILTDHDKALKEAIAEVFPDSRHCFCLWHIVSKIPEKLSYVMGQHENFMTKFDECVFKSYTDEQFEKKWWELVDGFNLKNDIWFQSLYEDRQQWVPAYMRGILLAGISTMQRSDSVSSLFDKHLQRKTTLKEFLDQYKTILREKSEEEAKADFETWHKPPQLKSPSLFEKQMSPLYTHAIFKKFQVEVLGGIACHPRKESEQGGTKTFKVQDFEKNQDFIVVWNEATSDISCLCRGFEFNGFPCRHILIILQLSGVQSIPSQHILKRWTKDAKSRQTTGEESDVLETRMQRYNDLCQRAFKLGDEGSLSQGSYNIVLNALEEALRKCESVNYSIRGVTELMSPQTQGSHHFEELNQSNSTSKAVKRINASQKRQGYPEGEISNSGMPDSWQQMVSGGCVPVLTCFYHSPKKFCL >EOY02039 pep chromosome:Theobroma_cacao_20110822:2:41766171:41768855:-1 gene:TCM_046727 transcript:EOY02039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase 46 MEKAKATFIIGPYKASAELITRLPSQPANVGFKQYSGYIVTDAKHGRALFYYFVEVDAADPLLHPLTLWLNGGPGCSSLGYGAFMEHGPFQPGEDGFLVKNQYSWNLESNMLYVESPIGVGFSYSNTSSDYIGVNDTFTAEENLQFLVNWFKEFPQYRNSDLYLTGESYAGHYIPQLAALVLDYNKHSNGKPIRLKAVALGNPLLDWEISIDNTEFFWSHGLISDEMLMLRKTVCSRPRDAIESLHNNLSKECTDVIHKQRAEIGSYTQYGDVTLPICTSRSLFGQTVYLRNFDTLDAELPMRSVIPGDPCIEDRIHKYLNKPEVQEALHANTTHLPYRWEFCTGTDLVYERKNLETNIIPLLLNLLRSGIPILLYNGDQDAKIPLTQTRIIANMLAKEMNLVPFGNFGNYAPWFDNMQVGGWTQSFGQAKEGKNITFLTYATVRGGAHEVPYTSPSPGLTLFRAFVKGSPLPRTIAS >EOY00848 pep chromosome:Theobroma_cacao_20110822:2:35516741:35517615:1 gene:TCM_010774 transcript:EOY00848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKIINKFRKTLFYGMAISAIINSVGINTRCDPPKQHAMHTKNNEHTINKLGFMYVNQSLVCKETVNEPDIVGDVGNEDTHAEPSVAPSVSLSVSPSVASSYPSMSTAFDSEQAFSRLLSYMESMDVCVVNRLDALEA >EOY00330 pep chromosome:Theobroma_cacao_20110822:2:31351157:31352561:-1 gene:TCM_010178 transcript:EOY00330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein, putative MAFPNSLLLAVLFSFLSLLPSAQSRLSTEYYNKTCPQFHNVMQRIIADKQLGAPTTAAAILRVFFHDCFVNGCDASLLIASNAFNKSELAADINLSLAGDAFDLIVRAKTALELECPGVVSCSDILAVSARDLVVMVGGPFYKVRLGRKDSKESDPSIVESNIPKTTTPMSKILALFAAKGFSAEEMVALTGAHTIGFSHCKEFANRIFNFSKTSEYDPAYNPVFAQGLRKLCANYTKSPEMSAFNDVFTPGKFDNMYFKNLQRGLGLLLSDQAMLTDNTTRPFVDLFAANQTAFFDTFARSMEKLSLYKIKRNREGEVRRRCDQFNTLQT >EOX99627 pep chromosome:Theobroma_cacao_20110822:2:12850576:12851565:1 gene:TCM_008348 transcript:EOX99627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVVSMMRWSFSCCSSLFSSPPFTSRLSSFILFFFFPFDPCFYLICSNESGLFVAIFVSSKEWFGSRVDMSPGGLISRTNSIFIAIVQ >EOX98181 pep chromosome:Theobroma_cacao_20110822:2:4767222:4774186:1 gene:TCM_006997 transcript:EOX98181 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB complex BAF60b domain-containing protein, putative MVSDSDLVTRLREILRDSDLDTATAGSVRRQLEKDFGVDLSDRKGFVRDQIDIFLETLNQENEKEEQGPESENAKIEEDDDEEEESKGEGSEKPRRGSKVDKPVKKRGGGFQKVCSLSPQLQKVVGQSELPRTEVVKKLWLYIRENNLQDPKNKRNIICDESLRAIFRVNSINMFQMNKALTKHIWPLSAEDENVKHEMKCEDSHDSVSVDAKNAEEEEEEEEEEREEEEEEEEVEEEEEEEQEPEQEGNARGSKNKRSAKVDKDVKRRGGGFTKLCSLSPQLQAFIGEPELARTEVVKRLWTYIREKNLQDPKNRRNILCDQSLHAIFRVNTINMFQMNKALSKHIWPLNEEDAQADSTKIERKSKQGRERGPFEPKQKEKRQKKGASGFLAPLPLSDALVNFFGTGENALSRADVVKRMWEYIKQNDLQDPSDKRRILCDDKLKELLEVDSFNGFTIPKLLTTHFIKMEQ >EOX98380 pep chromosome:Theobroma_cacao_20110822:2:5566744:5567758:-1 gene:TCM_007156 transcript:EOX98380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant basic secretory protein (BSP) family protein MAHHSFFFSLIILSLVATQGTLAVEYAVNDNTGNSGGGIRFRNEIGVDYSLQTLSSASDFIWRIFQQNNPAERKNVQRVTLFIENGDGVAFAINNEIHVNANYLGNYSGDVKREFTGVLYHEMTHIWQWNGNGQTPGGLIEGIADFVRLKANYIPSHWVQPGQGDRWDQGYDVTARFLDYCNSLRNGFVAKLNKKMRTGYSANYFVELLGKTVDQLWSDYKAKYGN >EOX98692 pep chromosome:Theobroma_cacao_20110822:2:6725640:6728393:1 gene:TCM_007389 transcript:EOX98692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Periaxin-like protein MKEVVVVPVLRKWMKQGDFFDRGLLEGFVLVLGFLVLVFSLGCRDDGCYGSVQLEGGRRVKSPNSNGSFSSEKVLPALSETHVSSRFVTGIVDKVFGGSARFNHDTEIVKARRLLETQTSLPEKPELPYKPQMPEWPKMPELQKPEEPKYPELPKSEVPEKKKPALPKKPGKPKYPELPKHETPKLHELPKPEVPKATESPKPEVPKWTKWPKPEEPKWPEFPKPEMPKVPEFPKADMPRAGPWVKSPKQGL >EOX98382 pep chromosome:Theobroma_cacao_20110822:2:5579235:5594196:-1 gene:TCM_007158 transcript:EOX98382 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerases, putative MSLDSSRSANSGPQNSKRSFNSNSSNNKDNSSNSKKRKSNQKTLGMAWGANSLSTSRSSFRSSPYSDFGSYMVEKNRKLQNQFDAEASNSSLSDTSTKPIFRGVSIFVDGFTVPSSQELRRYMLNYGGRFENYFSRHRVTHIICSNLPDSKIKNIRSFSGGLPVVKPTWVLDSVAVNRLLSWVPYQLDQLASNQPTLSTFFTSKISPASEGVFTDAICEVKHGTEDLCLKDASKDAKFSEAGEPSEWRKKITEEHDELMHGNTNSKVIEEPSSSYSEASQEVKVVERSNLVQDDESRENNRPQYCPEQPSASVSSHCFDNHSVKESPHSTALGPLKQCHSTLGDPNFVENYFKNSRLHFIGTWRNRYRKRFPSLPNGFKCMNSHSDVSADTQKTAIIHIDMDCFFVSVVIRSHPELHDKPVAVCHSDNPKGTAEISSANYPARDYGIRAGMFVRDAKALCTHLVILPYNFEAYEEVADQFYNILHKYCNRVQAVSCDEAFLDVTDLEGEDPKLLASAIRKEIFEATGCTASAGIAVNMLMAHLATRTAKPNGQCYISPERCFSHLQVDEYLDQLPLKALPGIGHVLEEKLKNRNVRTCGQLRMISKGSLQKDFGFKTGEMLWNYSRGVDNRLVGTIQESKSVGAEVNWGIRFRDLQDTQHFLLDLCKEVSLRLQGCGVQGRTFTLKIKKRRKDAGEPAKYMGCGDCENLSHSTTVPLATDDVEVLQRITKQLFGFFHVDVKDIRGVGLQVSRLESVDTSKQVLERNSLKSWLMSASASSEERCDVSSIAKDRVGTVIVFIKLRYALYCQAKNFHCCTPTTNTEGKSMGGNSGVLCTDPVGNSVLRTNNTSNGDGCSNQILSIPQLCHLDMGVVESLPSELQSELNEMYGGKLVDLIAKSKGQGENSTGSLCFHPPELSKVAIEEAERSHNSDPISLSRTAVEMMLSSIVFPVGMMTLCSPIICAENVFCFIIDIILFVVPKGKQHILEELQTVPDSGTGFNSNAISIQALDNNDLMPSSLSQVDTSVLQQLPEELRADLFESLPAHRRQEISTLGPNRDNLHHPLCINQPESTDSGLTNNLWIGNPPLWVDKFKVSNLLMLRFFADMYYKSKSAENLSSILQCTIAESLHPLDAKCDAWNEAVHSFNELLMEYIKLKIVVDIEEIYVCFRLLRRLSTKSEFFLEVYNLVFPHLQASVDENYGGNLHIP >EOX99219 pep chromosome:Theobroma_cacao_20110822:2:9241010:9241688:1 gene:TCM_007826 transcript:EOX99219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLDKLVLVSLVLVEIFLVQAMADKSELNPSEIKAHNEDEPGWVFPREADEPAATPAESSNETRGADAPEIRRLGKHHLSDKSVAGGGVIIGGLVTAIFAAVFAYIRVTRKRDGVKY >EOY02026 pep chromosome:Theobroma_cacao_20110822:2:41727400:41731155:1 gene:TCM_011786 transcript:EOY02026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAENAMDDLSQGEKDISATPAVAYGVVYFPSWNGYLYAVNAFNGALIWKQNLGQLTGLTGTGVLANVTVSRSTSTIDGDLLIGVLCRNIIPGGGITTRAMLHFQRQCRKASSPNQGNPMEDLLLPDNGGRPGGYAGAAVWGSSPAIDVNRRLVYVATGNLYTAPAEVLKCQEQQNNQTAKPSHPDQCIGPDINYNSILAIDIDSGRISWSRQLGGYDIFYFACLVPNNPDCPPGPNLDADFGEAPMLLTINSNGTIRDVAVAVQKSGFAWALDRDNGDIVWFNLAGPGGKEGGGQWGAATDGRGVYTNIANSDRQSFMLTPSNQTTTAGAWVALDANTGEIVWSTANPSNDTAQGPVTVANGVLFAGSVASNGPIYAIDTGTGNILWTYNTGAMVYGGISASYGCIYVGNGYTVGWQGFTPLGLQELHFTHFALATG >EOY00028 pep chromosome:Theobroma_cacao_20110822:2:23501717:23516911:-1 gene:TCM_009394 transcript:EOY00028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional amino acid transporter 1 MDTGIRLSADSVPYLPLREHDADVSDDARLKQLGYKQELSRSLSAIANFSVTFSIVSVITGLTTMYGTGLTFGGPVTMIYGWPIVGMLTLIVGLAMAEICSAYPTSGGLYYWSAKLSGKEWGPLASWFTGCEHWVGSFRFIDLSISAIFVIYAFCFQMVSGSEKMALPSQTVKNGGVLVDSGQSRLRELGYKQELKRDLSMFSNFAFSFSIISVLTGITTLYNTGLIFGGSVSLVYGWFIAGGFTMFVGLSMAEICSSYPTSGGLYYWSAKLAGQNWAPLASWLTGWFNIVGQWAVTASVDFSLAQLISVIILLSTGGKKVLSFFGQLAAAWNLIGVVLLMILIPSVSTERASAKFVFTHFNTNNGEGINSKVYIFVLGLLMSQYTLTGYDASAHMTEETKSADKNGPKGIISSIGISIIFGWGYLLGITFAVTNIPFLLSEDNDAGGYAIAEIFYLAFKNRYGNGVGGIICLGVVAIAIFFCGMSSVTSNSRMAYAFSRDGAMPLSSLWHKVNKQEVPINAVWLSAFISFCMALTSLGSLVAFQAMVSIATIGLYIAYALPIFFRVTLARKSFRPGPFNLGRFGILVGWLAVIWVATISVLFSLPVAYPITSETLNYTPVAVCGLLVLTVSSWIISARHWFTGPITNIDK >EOX98919 pep chromosome:Theobroma_cacao_20110822:2:7773178:7774482:-1 gene:TCM_007583 transcript:EOX98919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSIIQSFQKSHALPVSQTESKEQLPGLRRRLSSVSLNIKPISSPATSWAFHRSKSLSSMGEYAGGSIRKWWDWGWSWVLSRKPMFAKDLEMNEEETRILGCHNKGSWRHVFYKVRSEVKKLVGSDKVGLPQTYRYNSLDYSKNFDDGQKIYG >EOY00233 pep chromosome:Theobroma_cacao_20110822:2:30191747:30192786:-1 gene:TCM_010044 transcript:EOY00233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein MAKSDVKVLGSWPSPFVMRARIALNIKSVNYEYFEERLREGKSELLLKSNPVHKKIPVLIHGDKPICESLVIVQYIDETWSSGPSILPSDPYERATARFWAAYLDEKWFPSIRTIGMAQGEDARKAAIAQVEEGLVLLEEAFGKCSKGKRFFGGDQIGYLDIAFGCFLAWLRVTEKMSGIKLLSEANTPALLNWADRFCSDAAVKDVMPDTEKLAEFGKMVIAKMRGAAPPK >EOY00689 pep chromosome:Theobroma_cacao_20110822:2:34489429:34490748:-1 gene:TCM_010618 transcript:EOY00689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTKVSRVPHIYEGKSKDQSLSSVPHIQDGRSEEQSLSRFSIYKPKAPRTKILKIKASRRKIQRSKH >EOY00789 pep chromosome:Theobroma_cacao_20110822:2:35102407:35103071:-1 gene:TCM_010705 transcript:EOY00789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein STSATTSPSSTPTPTLEALEEQTLPSPIKNQVTREFFLDSTPQKEPIISKSENSQDQLLLELTLQKRPIANKDKQSPTKKYKIRYNIVTFKAIHTPKNKINEESSLAT >EOX97221 pep chromosome:Theobroma_cacao_20110822:2:1654449:1658101:-1 gene:TCM_006311 transcript:EOX97221 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MNPDDPHFLLATLPGQGHLNPTFRLAKRLIQAGARVTFATTIKGQRKIKSFPSLKGLAYASFSGGFDDGTSPSDRQEGVMSRRELVWSQALTDLLLSLSSEGHPVNFLIYCPMLSWVADVAHAMSIPSAFFYIQSAASFAIYHYYLKSQTGACDSKINCPPSVIKFEGLPPFGWKDLPSSLLPNSPLSFATAVFQKHIQILEKDPNPCVLINTFDALEEYAIKALAHDSNINLITIGPLVPSDKFNGCELFENASHDYYINWLNSKPDCSVVYISFGSVAVLPRNQMEEIFNGMVESGYTFLWVIRPSEDVEEEEGFENAIKDKIQEEQGLIVPWCSQVEVLNHRAVGCFVTHCGWNSTLEGLVAGVPMIALPQFADQMTNAKLVDEVWETGVRVKVNEGTAVAEKEEIRRCLEMVMGNGQKGEELIRHVKKWRGLALEATNQGGSSANNFKVFMESFVK >EOX97292 pep chromosome:Theobroma_cacao_20110822:2:1845566:1849450:-1 gene:TCM_006360 transcript:EOX97292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Palmitoyltransferase TIP1, putative MGFFFSKLSPENRIGFGKPRKKGTGNESVSGFRHCSVFCFDEEQGRAVMILILFVRCACFSSAFSPKKKEDGASLTTSGGNGYYVLQWAALNNVPDIAQYLIEHSGNVNTIDNNKQTALHWAAVRGSIAVADVLLRNGTRVEATDINGYRVVHVAAQYGQMAFLNHIVAKYHADYDAPDNDGRCPLQLAAYKRFADTIRLLLFRDASQGRQDKEGCTPLHWAAIRGNAEACTVLVHAGTKQELVVKDKAGFTPVQLAYDKV >EOX98954 pep chromosome:Theobroma_cacao_20110822:2:7913606:7915504:1 gene:TCM_007614 transcript:EOX98954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MESSQARVFTKLMKILTLKPHHPILGSPPSLSLLQTRLFSDMRGPFRDNDPISFNSNGDGDKPPEPIPNRSLEGQRPFNPSFRETKGATLNSNGSSFQSFNTKFASDPNRKREDSQSDENFLEKFKLGLDNKRGKQPSDSEAAALLRRKEQEEKPSPPQDADEIFKKMKETGLIPNAVAMLDGLCKDGLIQEAMKLFGSMREKGTIPEVVIYTAVVDGFCKAHKLDDAKRIFRKMQSKGVTPNSFSYIVLIQGLYRCNKLDDAIEFCLEMLEAGHSPNVTTFVGLVDGLCKEKGVEEAQSVIGTLKQKGFVLNDKAVRQFLDKKAPFSPLVWEAIFGKKPSQKTF >EOY00132 pep chromosome:Theobroma_cacao_20110822:2:26386056:26404641:-1 gene:TCM_009678 transcript:EOY00132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDYEVVMGLDFLERIQALLMPHNDYICIVGSKGQCIVLVRRGCAQSTKTLSAIQSVEGEQICAAVRSLEDTPSSIVEAPDEVLKVSKHQSGGANPMVGEPSREATPPASSKLPLRECHDIRWAGHSGIHRTPVSRPPFTRRAGSRESSMTRKRSAVARPRGGVQTTGTGNVGAQ >EOX99893 pep chromosome:Theobroma_cacao_20110822:2:17818371:17827045:1 gene:TCM_008876 transcript:EOX99893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVNRDVAAIVMGLREVPARDIENFSFNANAKEQEIRNNFDEVKMYLDCRYLLT >EOY00391 pep chromosome:Theobroma_cacao_20110822:2:31998923:32000100:1 gene:TCM_010260 transcript:EOY00391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFRFLNSLNESFSTIKSQITFIDPILIVDKVYSMVLREEAQRNMFLQSQPFLESSAMLVTTNVKKKPRKDLTYSHYGKKSPVKKKCYKLISFPKDFKLIKRKPYVKKGAVVNNVCAVTK >EOX99714 pep chromosome:Theobroma_cacao_20110822:2:14368082:14369763:-1 gene:TCM_008511 transcript:EOX99714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYILFLLWANEAGIVKVAWIPPEYGDAVACICEDGSTQPLRGEGQESSFILGFTSNTPQLNSLKRWLPVAELALPGDKGDQVYSVAWAPNIGR >EOY01398 pep chromosome:Theobroma_cacao_20110822:2:39280526:39282540:-1 gene:TCM_011301 transcript:EOY01398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-oxidase 8 MIHSDPPLLNHYGALLCRSTQCDEPQSRLIMEECQLPLIDLRGLSSRDEMVRKACAAAIYRASSEWGFFQVVNHGISPRLLEKMRSEQVKLFQTPFERKASCRLLNNSYTWGTPTATCPKQFSWSEAFHVPLTKVSDEACYGEFTSLREVMTEFAAAMSKLARLLAGVLTENLGHGKEVIDNICDESTCFLRLNHYPACPISPEISGLVPHTDSDFLTILCQDQVGGLQLTKDSKWVTVKPNQDALIVNIGDLFQAWSNDVYKSVEHKVVTNAKMERYSIAYFLCPSFDSSIGSFREPSVYRKFTFREYRDQVQEDVRKTGYKVGLPRFLLKGTEDFTRSNKESNTRLYIPSITANS >EOX97110 pep chromosome:Theobroma_cacao_20110822:2:1223322:1224581:1 gene:TCM_006211 transcript:EOX97110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPQAIKMFSKSLTNTDVKKRLAIPAKILPSLPDFNGSNTVTIPFMYGRRMWPIACSVRRTGYKKPVFCCGWRNFVTRNDFRVGDKLTMYKVQDEAGSFHYRVEVEKLATPSVALSSRALSLNHEVDETTGTSRTRISYLEHEQEQLPKADARVKQEGAIMELADASVPFVDQAVAKPSGRIFGTGVSDEAPSKPHFKPEHETKMKLGIGITMGEPSLHACYVTKEERDIKAPFDLNGGGSLATYATSQAAVEAYPKSTGRLSLDLVMRQPSPYDGAVNLELTLAPPIA >EOX97974 pep chromosome:Theobroma_cacao_20110822:2:4131860:4134708:1 gene:TCM_006853 transcript:EOX97974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 21-like protein MARMSVYFIVILAIIILVANTEMRKSKAKACSCPCGRTSRTWSGLCRCTSLASSSRQCQKCEKAISTGGCYSNGLDEPCSSMRKWNHMLEKMDRELNDGTILPCRSWSGGEGRAAWDIKYDENEIKLRFDVPGLAKGEIKVSVEDDTLVIKGDYKKRAGDDCWYCRGYSSYDTCLQLPESCDRENIKAELKDGVLLISIPQKKLERKTIDIEIHVVKRGQDGAATQATVTGNARTGKVQGMDLATQMASDGLASATSTAELKCSKPCSS >EOY00984 pep chromosome:Theobroma_cacao_20110822:2:36292609:36301438:1 gene:TCM_010885 transcript:EOY00984 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein MHAKNRIPSSGHSTPSPPASPLRSPRYRHGRKSGRFGPFQPGRTIAHRLAWLLLSVLLRRQGIFLFAPLIYISGMLLYMGTVSFDVVPIVKHRPAPGSVYRSPQVYEKLKIDMCGDNSSADAISTIWRNSYKGGEWRPCVNKSFEDLPESNGYIYVEANGGLNQQRTSICNAVAVAGYLNATLLIPNFHYHSIWRDPSKFKDIYDEDSFINALKNDVRVVNKIPEYIMERFDHNLTNVYNFRIKAWSSIQYYRDVVLPKLLEERIIRISPFANRLSFDAPPAVQRLRCLANYEALRFSSPILSLGETLVTRMKELSANSGGKYVSVHLRFEEDMVAFSCCVFDGGQQEKEDMKKARERGWKGKFTKPGRVIRPGAIRINGKCPLTPLEVGLMLRGMGFDNNTYIFLASGKIYNAEKTMAPLLEMFPNLQTKEMLASEEELAPYKNFSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLYGGHSKTIRPDKRKLALLFDNPNIGWKSFKRQMLNMRSHSDSKGFELKRPNDSIYTFPCPDCMCRTNKSEDSRSSSAT >EOX97578 pep chromosome:Theobroma_cacao_20110822:2:2739609:2743005:1 gene:TCM_006564 transcript:EOX97578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MPAAVTLLSHNYFHNSPAPIIVSQNQFDAPETNRNPYAVTKPRFSKPTQLRRTQSRTSQTLIEPKNLKLTRALPAFVDSGSMENALSLFEEMNHWDSYTWNIIIKDLVDNGLFKQAINFFHRMEFEGARPDKFTYPFVIKACAGVLSLKGGEKVHAKLVKVGLDLDVYNCNSLISMYMKVGCVELGQNVFREMAVRDLVSWNSLLSGYQQVGDGLSSLVSLREMVLVGIRPDRFSFISGLGACSIEGCRRSGKEIHCQVIRGGFEMDLMVETSLIDMYGKCGSVDYAEREFNRIVHKNIVAWNAMIAAYVSNACFHESFSCVKEMQEVFKLRPDAITMINLLPSCAQMGAVLVGKSIHASAIRKGILPHLVLETALVDMYGRCGKLKLAEHVFVQINGKNLASWNAMLAAYVQNGQYTEALELFQNIWYESLQPDAITIASVLPAYADLTSLSEGRQIHAFIIKLGLNSNTIVSNSITYLYAKCGDLHTARRCFDGMLFKDVVSWNTIIMAYAIHGFGRISIALFREMIENGIEPNKSTFVSLLSSCSISGLVDEGWEYFNSMKRDYGIDPGIEHFGCMLDLIGRTKNLDLAKNFIEEMPFVPTARIWGSLLAASRKTNDIALAEVAAKHALSLEHDNTGCYILLSNMYAQAGRWEDVEHVKCHMMRQGVAKTTGCSEVESDYKIHRFIDQDRSNAQMNMIYDVLDSILKMTGEGKDDYARSITKLRPKDLTRKRPNSPENHSVRLAISFGLISTEVGRPVLVRKNIRICEDCHNVAKKISKFTKREIVVGDSKQYHHFQDGCCSCRDYW >EOX98333 pep chromosome:Theobroma_cacao_20110822:2:5411455:5413751:-1 gene:TCM_007121 transcript:EOX98333 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase family protein MEGVSYQRFPKVKIRELKDDYAKFELRDTDASMANALRRVMIAEVPTIAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSERAMSMRFSRDCDACDGDGQCEFCSVEFHLRAKCMTDQTLDVTSKDLYSSDHTVVPVDFTDSAGYDSSEQRGIIIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPEIHINEDMMETLTLEEKQSFVESSPTRVFDIDPNTQQVVVVDPEAYTYDDEVLKKAEAMGKPGLVEIYAKEDSFIFTVESTGAIKASQLVLNAIEVLKQKLDAVRLSEDTVEADDQFGELGAHMRGG >EOY01956 pep chromosome:Theobroma_cacao_20110822:2:41500591:41502874:-1 gene:TCM_011734 transcript:EOY01956 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein MNDFGYTVEVTGLSPKATEKDVYDFFAFSGAIEHVEIVRSGDYACTSYVTFENAYSQETAVLLSGATILDQRVCITRWGQCEEEFHFWNPSRSHEDETSSSQPPLRSQFASHAGEAVSFAQDVVKAMLAKGYVLGKDALTKAKAFDESHQVSATAAAKVAELSERIGLTDKFCASVEAVRSVDQRYHVSENTKSAISTAGRTAAAAANTVISSSYFSRGALWVSGALDRAAKAAADLGNRGVHQ >EOY00698 pep chromosome:Theobroma_cacao_20110822:2:34545474:34552554:-1 gene:TCM_010628 transcript:EOY00698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFENSFLNFHSTLAKDFFELIHVNKELLEIINDFSKTLQLNSQDILNAIRLVSLTKKRLQQLREDGGKPLLESLKKFCEKYEIEILNARTKYK >EOY01850 pep chromosome:Theobroma_cacao_20110822:2:41134753:41136666:-1 gene:TCM_011655 transcript:EOY01850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L32p protein family, putative MALRLTLLKRTGVDSGSVLGLRRWVHASALPPPLDAPMSLPTALQPLVLPESDQIPDSNTNKDIGFGFGFPSFVFGGSIELMAVPKKKVSRHKRGIRNGPRALKPVPVIIRCNLCLVRDILATFVLCFRILGVINLGFSYTIESVPFMTFKQNKQIVIGSVVELSCHTSSVAVEIEERRVNKGTHLVKHVVSVGGKIEINK >EOX97161 pep chromosome:Theobroma_cacao_20110822:2:1403239:1406479:1 gene:TCM_006250 transcript:EOX97161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQICSWAAQLSIWAPSLLIRWQLILVKKSKLPSYALSKAKHVDTFTITLDQFDRLLERDQSYPNQYHNDLFY >EOY01284 pep chromosome:Theobroma_cacao_20110822:2:38797591:38803579:-1 gene:TCM_011222 transcript:EOY01284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like protein isoform 2 MEKKSIEGPAQLKEMIRLRKKSVEFLIQSSQQLQATPLVKYTALSLFADRFLPSVTTLLKQGNELGSWLLRSMEDSNLQLFALISIWISSKIHDSRALSVKSLKPLGDKFIKDQHFTTRDFLEAEVVFLQVLNFEIGTSNVAFTFLEELFIRFKGMAKVGELVSFEACMDVMDLLYEKEETSILFSSPRSLAASILVTSYVVTVPKQQFEFPVLPWVKFVTSYKEEDIIEKVKDILRHVFEPHC >EOY01285 pep chromosome:Theobroma_cacao_20110822:2:38797708:38803256:-1 gene:TCM_011222 transcript:EOY01285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like protein isoform 2 MIISLFNYFFNIKVLNFEIGTSNVAFTFLEELFIRFKGMAKVGELVSFEACMDVMDLLYEKEETSILFSSPRSLAASILVTSYVVTVPKQQFEFPVLPWVKFVTSYKEEDIIEKVKDILRHVFEPHC >EOX98503 pep chromosome:Theobroma_cacao_20110822:2:5990536:5991502:1 gene:TCM_007248 transcript:EOX98503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGGPIAGPQRGSVKLHHRVLVGVAPPRTPIVRCTCATRQISHRDFDPAGRCLPSAPWLRSPATPCPRHSLSPTSWKFKHLYSPSFHK >EOY01887 pep chromosome:Theobroma_cacao_20110822:2:41251738:41254067:-1 gene:TCM_011677 transcript:EOY01887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLESYNLNIFLIIVNALLLIFSVSIYLFYCYLSITNTRVWCLPCTNRFVAVKNSPRHLLIWMYMHGYLIYMGVLLTTIRTLSTVLRNRIILEPSKYWRVVMSSFHVWLVLYTELLFLLH >EOY01552 pep chromosome:Theobroma_cacao_20110822:2:40019507:40024937:-1 gene:TCM_011417 transcript:EOY01552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin-like repeat family protein, putative MSIDNTSFASVFESLLEAISAIIESVACIEVQQENFIAVGCYLYRVFPAIMELQYTENTPKNTKEILQSLSQNVNLAKDLVGKCHKENHPVSDTELRNVIAQLEGAVKDIGECLCLIPSATYGGEEYAETAVQSLSEEMQNVHFEVKQPQEVRTKELEPQMSFASEQPKKELMPIESDLYPVDVDISVSTESSQIFSMPRLTDFLKITSQKSQRKHENINKSLTTLPQVAYYIEPLYDTFFCPLTKQIMDDPVTIESGVTYEREAITDWFETFDYLEDIVCPTTGKKLTSRVLSTNVALKTTIEEWKDRNEAARIKVARAALTLASSDSMILEAIIDLQHICQRKQYNKVQVLSVGILPLLIKLLGYKDGDVRCAALELLRQLVEDNDEGKEMIAKTMDISTLIELLSSSHQQVRHASLLFLLELSRSQALGEKIGSATGAILMLIRIKYNRHVDSFASQRADEILKNLEGYPDNIKQMAENGFLEPLLNHLTEGSEEMQMEMANYLGEIILGHDSKTYVAERASPSLTKMVQSGNSIIRNAAFKALAQISSYHPNGEILVEAGIVRIMAEEMFIRRIYDEPMNSKKEAAAILANILESGVEHDNIQVNTHGLRISSDYVVYNIIYMLKNSTPDELNINLVRILLCLTKSPESMETIISVVNETEASYTLIEIINNPHEQLGVAAIKLLIALAPHVGNTLAERLCKTSGQPENLIESPTETNHITEKQAVSAKFLAKLPHQNLTLNLALLNRNVVPTILQRIFQIQRSGTRTSRHATLYLEGLVGILVRFTTTLYEPHILFLARTHNLTSVFTELLMKTSSDEVQKLSAIGLENLSLESMNLSQPPQIKKTKFTKMFSLPKFLSSSSSNRRKIPVCPVHRGSCSSQNTFCLIDAKAVERLLACLDHENGEVVEASLAAICTLLDDKVDVDKSVNLLSEVNAIQHILNVVKEHRQEGLWQKSFWMIEKFLVKGGNKSASDISQDRLLPASLVSAFHHGDGNTRQMAEKILRHLNRMPSPSTTYYTM >EOY01269 pep chromosome:Theobroma_cacao_20110822:2:38752528:38759546:-1 gene:TCM_011214 transcript:EOY01269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase-like protein MKNVSALLSSLIFLFISATEARKNPQICSSSCGDIHDISYPFRLKEDPAGCGDPDFQLSCKNNKTILNFHGGFYYVKRISYDERTIRVADVNLASGSCSLPNRSLSMQEVLMDARYPGLADYSFWYALNFVRCSNNISDLAKSRAPCLSGNTSHVYVNVSWAFLYSYDVPKPCKVISTVPAFYENMVQNLSYETALKMQESGFDMRWSVECRDCRAKGRSCVNKFNTTNIFQCEEEYDYEAVVRLVYSLFAAVNLAGIIGAVIRFILLPPVILAFILHTYFSMRKKIDVREKSSQTDQSRGKVTRNIENHLEMPPKPVFFSAQDKCCMIEAQSDSPKEMLLPESMERSS >EOX99502 pep chromosome:Theobroma_cacao_20110822:2:11684762:11685894:-1 gene:TCM_008183 transcript:EOX99502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear fusion defective 6 isoform 2 MASFGAAARSIVRSSSARNAAARLAPQAKANASPSPFRVSSRIPLSNRIFRCPVEASFCVESMLPYHTATASALMTSMLSISRRSYGWLPEGI >EOX99503 pep chromosome:Theobroma_cacao_20110822:2:11684561:11686010:-1 gene:TCM_008183 transcript:EOX99503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear fusion defective 6 isoform 2 MASFGAAARSIVRSSSARNAAARLAPQAKANASPSPFRVSSRIPLSNRIFRCPVEASFCVESMLPYHTATASALMTSMLSISRRSYGWLPEGQDKTR >EOY00568 pep chromosome:Theobroma_cacao_20110822:2:33594238:33597393:-1 gene:TCM_010463 transcript:EOY00568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MALMQQLGRTKNVTRRSKKYLEEALYHRLFKDGSSEISVRQQLNQFLKSSKRVYKWEVDDTLKKLRHRKLYYPALKLSETMVTKRGMNKTVSDQAIHLDLVAKAQGIPAAENYFIDLPEALKNHLTYGALLNCYCKELMTEKAEALMEKMKEHNLPLGSMSYNSLMTLYTKIGQPERVPDVIQEMKSCGIMPDSYTYNVWMRALAAMNDISGFERVIDEMKRDAEDDDDWTTYSNIASVYVDAGLFKKAEEALKELEKRNSRRDLSAFHFLITLYGKVGNLLEVYRIWRSLRLSFHKTANVSFLNMIQVLVNLKDLPGAEKCFREWESGCSTYDIRIANALIGAYAKEGLLEKAQELKERARKRGVKPNAKTWEIFLDYYLKNGDIKLAVDCVANAISTGRGDGGKWVPSSKTIGTVMWHFEQEKDVDGAEGFLEILKKAVDHVGEEVFESLIRTYAAAGRTSPVMHHRLKMEKVEVSEASKKLVEVISVE >EOX97854 pep chromosome:Theobroma_cacao_20110822:2:3684422:3684841:1 gene:TCM_006777 transcript:EOX97854 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S31 MAMMQLCGAVARRVMTLQRPPLTSASMSGVAAGAPILCGRGDKKTRKGKRFKGSYGNARPKKEKMIERIKDKVEVPRSTPWPLPFKLI >EOX98690 pep chromosome:Theobroma_cacao_20110822:2:6723806:6724990:-1 gene:TCM_047110 transcript:EOX98690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGSLGNSGFGNSGTLGSSGFGMSGNLGNSGFGNSGTFGNSGSGNSGALGNSGTVGNSGVGNSGAFTSSGFRNSDNLGNSGFGDSGTMGNSDFGNSEVLGNSGLGNSGTLGNSGFGNSGVLGNSGLGNSGTFGISGFGTSGSSGLGSSTLGKGGISGNSGLGSSGFSGIDVSKRRRAPTKIVLLLLSDKVISKNGSIKKGKR >EOY00512 pep chromosome:Theobroma_cacao_20110822:2:33165473:33165913:1 gene:TCM_010396 transcript:EOY00512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprotein, putative MTCTPILALLDFIKKFIVERDASGLGVGVVLLQERPIAFVSYALQQRHLLLSTYEKEILALVLAVQKWRAYLLGRQFIIRTDHQNLTHLWTQKITTTTH >EOX99672 pep chromosome:Theobroma_cacao_20110822:2:13456878:13459855:-1 gene:TCM_008421 transcript:EOX99672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLMVADFNKANSLPPMGFTENGSATFLSLGNPCLDLFFHVVLDTPPKSLKERLYLAWAHNPLTTLKHICNLRGVHGTRKSDKEGFYTVTFWLHKHHPKTLACNLDSFADFGYFKDLLEILYRMLEEKTKGQKCSVPKKVRMLNAVEMAKIEKANASALRKEKKIAMAKKVLERYSRDPDFRFLYERVSDLFAECLKADMESMKFGQSRKVGLAAKWWPSIDSSFDKSTLLCESIAKKMFPHENYPEYEGIEEAHYAYRVRDRLRKEVLVPLHKVLELLEVYIGANKWDSIPYNKVASVAMTFYKEKFLKHDKWRFSKYLEDVKAGKSTIAADALLPHEIITSLDDNDVCDVSGSMSGISMEVSVALGVLVSELSEEPWKGKLITFSKNPKLQKVESQNLKEKTCFVRGMAWGMNTDFQKVSDLILKVAVRGQLKPEQTIKRKFTEKGYGDTIPQIVFWNLRDSRTTPVPGSQNGVALVSGFSKNLIKMLLDEDGDINPEAVMEAAISGEEYQKLVVLD >EOX98776 pep chromosome:Theobroma_cacao_20110822:2:7159205:7164023:-1 gene:TCM_007466 transcript:EOX98776 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MEACNETGKILTVKKQEPVLVRPAEKTLDGLYLLSNLDQTFPYPIEIAFAYRKRTENAADIIKESLAKILVKFHPFAGCLSETWDEKMIVRCSGEGVPFVEAVSNYTIEELGDISRVDPVKLRQLVHNLDNVESILDVPLLTVQITRFKCGGTVLGIAMNHVLVDGKALADFLNSWAQITKGLPLSVDPYLDRSILSARQPPRIDIPHPEYVGKERPMKNMTLQIQEPIVYQSFCFEPKKIDQLKLMAKQDEFAAPPTSFEVISALMWIMRTKAFKIEPHKTTKLLTAVDGRPKFKPALPECFFGNGIAWSCAQCTAGDLVEKPFSFAVNIVHEAIKEVTEDYIKSAIDYYELTRGGLEMENTCWISKWSRLTFYDLDFGWGKPQQVAPASMVDNLVLTLSQEKDSKNIILSLGLPESVMKEFQMLMLSELNRE >EOX98114 pep chromosome:Theobroma_cacao_20110822:2:4604700:4605436:1 gene:TCM_006948 transcript:EOX98114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTIQKFYVLHFSSISSIHLVDTTLVQRYLGCLDVHYFVMHNGRKIFLVQCF >EOY00999 pep chromosome:Theobroma_cacao_20110822:2:36403273:36406854:-1 gene:TCM_010900 transcript:EOY00999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWDAQEEDEQDIEATKLGSIVLSTIKAKRFARKKGLMFANIVVSGKTVEALVDIRALDLFVVQGAAKKLGLKPNKGVSFMKMMNSKEVPTMGSTIIDVQLGAQKGKQPIEVIPMDDYDCVIGIGFLDRINALLVLLANCICVLDTQRQCVVPIKKGHNPDAKLLSTMKLAKGAWQNEETFASIHKLKDTLKALMKASIEVLEVLDEFKDVMLVSIPSRLPLQMEVDHHIEVVPRGQPLARALYRMSHLELAELRKQLRELVDAGFIRPSKSPYGALVLF >EOX99879 pep chromosome:Theobroma_cacao_20110822:2:17614699:17616208:-1 gene:TCM_008859 transcript:EOX99879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeoyl-CoA 3-O-methyltransferase, putative MMNVAADEGQFLSVLLKIINGKKTMGIGVFTGYSLLTTALALPEDGKIVAIDPDKEAYEVGLPFIKKAGIEHKINYIPSDAFPLLNDLIYCVSAVLTYAINDQSSRKHTSSCNFHCLHGEEDTFDFIYVDASENDCLKFHELALKLVKIGRIIADDNTLFLGSVAKSDKEIMEEPIRKLRNFVIEFNRFITADPRVESSLLSIGDGLTLCRRLY >EOY01845 pep chromosome:Theobroma_cacao_20110822:2:41119057:41125885:1 gene:TCM_011649 transcript:EOY01845 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS (ERD1/XPR1/SYG1) family protein MCKELSNCIFPSLELKLLSIVLNPFSLSADTLTKAENQTVLFYVLLTKPERATMKFGKEFVSQMVPEWQEAYMNYDYLKTLLKDIHVFKQRTRPPASPRGLKRTLTLYRAFSGLTLRHNYPPISPSTPDIEDQPILVTSVNRDGSQSYETTFLMQADDGAEYEFLFFRRLDDEFNKVDKFYKSKVEEVTQEAEILNKQMDALIAFRIKVENPQGWSWQDRSGDMTRLASDVAASTAALAASIPAGARSSRRVDRMEIIEEGPSMHEESDEDKEEKESKHGKENVQVQKPVVNNYKGRKPAPLQILDRVKINKTLETPRSTIKGFLNVPQKTELKFNRENLKKVENQLKRAFVEFYQKLRLLKSYSFLNTLAFSKIMKKYDKITSRTAARSYMKMVDNSYLGSSEEVTKLMGRVEATFIKHFANSNRSKGMNILRPKARKQRHRITFSTGFFAGCTLALILALILIIRARNIMGKEGRIQYMETMFPLYSLFGFIVLHMVMYAANIYFWRRYRINYAFIFGFKQGTELGYREVLLVSFALAVLALASVLSNLDMEMDPKTKDYETFTEIIPLILVGVLFIILFLPFNILYRSSRFFFLTCLFHCICAPLYKVTLPDFFLADQFTSQVQALRSLEFYVCYYGWGDFRRRQNSCKSNDVFNTFSFIIAVIPYWSRLLQCLRRLFEEKDPLQGYNGLKYFLTIVAVCLRTAYSLNKGLGWKVLALTFSIAAAIVGTYWDLVYDWGLLQRHSKNRWLRDKLLIPQKSVYFGAMVLNVLLRFAWLQTVFNFKLFTLHRQTLITIVASLEIIRRGMWNFFRLENEHLNNVGKYRAFKSVPLPFNYDEDEDKDE >EOX99952 pep chromosome:Theobroma_cacao_20110822:2:19235739:19236623:-1 gene:TCM_009026 transcript:EOX99952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLAAQSRQKSYADNQRRNLEFQVEDYVFLKVSPTKGVIRFGKKGKLSPRYKGPFEILERVRAVAYRLALPPDLSNIHPMFHVSMLRKYNPDPSHVIRYETIQLQDDLTYEEQPIAILDRQVKKLRLKEVALVKVLWQDHTSKEVTWEAEEEMRTKYPHLFDT >EOX98765 pep chromosome:Theobroma_cacao_20110822:2:7062884:7063967:-1 gene:TCM_007451 transcript:EOX98765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRTQKKGCDLINGYLGAFLFKQAKEMGLEVEETEEGNKENLRQTKLKMLTSWLPLLCRARNGTDAPELRISERAELEKLLEETIEMLEKEEQEQVLSLWLHHFTHSPSSDWPNLHESYACWHFSQALAPPLIILTRIYLVY >EOX97137 pep chromosome:Theobroma_cacao_20110822:2:1358485:1359580:-1 gene:TCM_006237 transcript:EOX97137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMQCDQKMLIEQLLVGLTVLAKQVGEAVDQAKSFRVYRGEMEKRVVQLSQMLNNLLCFITMDPILFTLNAIDCVIREVSKILQEALTLACKCRRKTIVCRPFTGTKSKLAILRDINLTNDILTNMN >EOY00791 pep chromosome:Theobroma_cacao_20110822:2:35109733:35113006:-1 gene:TCM_010707 transcript:EOY00791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HHL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67700) UniProtKB/Swiss-Prot;Acc:Q8LDL0] MEVGMSLNALVRLPLSSTSRTHHESLDGLVKHSLFSSRTTTWQQQSQRRQQRGGMLVVEAKGKKGMAARQFQRPPPPPMPKIEDDGNPRFVIFIRMAGVNLWYPLSLITGGTTAKIMVAAKDNFLGKYIYKDTLARNLAAVIYRDEKEIQKTAFKQFRVLQSATDFRYGYKLVMMEKFANSFSEFCVLNIQENGNVRSALSTNDVIELPTQDQLKTVLDKVKDFFGDAKDSFGKITALNSGTDEESEEKTAEKTKVKG >EOY00545 pep chromosome:Theobroma_cacao_20110822:2:33414703:33416971:1 gene:TCM_010439 transcript:EOY00545 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MASLPFSAPHLPGSRFKGRLVRCAGERQELFNRIAPVYDNLNDLLSFGQHRIWKRMAVSWSGAKPGDSVLDLCCGSGDLTFLMSEKVGCYGKVTGLDFSKKQLSIAISRQYVLSKACYNNIEWVEGDALDLPFSNGNFDAMTMGYGLRNVVDRRKALQEMFRVLKPGSRVSILDFNKSTQPLTALFQEWMIDNVVVPTATVYGLAKEYKYLKASINEFLTGKELEKLALETGFSNARHYEIGGGLMGNLVAAR >EOX97180 pep chromosome:Theobroma_cacao_20110822:2:1522241:1522962:-1 gene:TCM_006274 transcript:EOX97180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARIFETCLTRQDKDNGLICALWIATVKKCWSSITRFQVEKHLLFVENNGRNLLAATTLVIRLHFTHIQALMPNTKFQCARD >EOX97587 pep chromosome:Theobroma_cacao_20110822:2:2770161:2771547:1 gene:TCM_006570 transcript:EOX97587 gene_biotype:protein_coding transcript_biotype:protein_coding description:21 kDa seed protein MMKTTLAMLLLLLFVFSSKSSATDENGSVYHLNGDELRPGVEYYVVSAIWGAGGGGLYLGKGRNQTCPYDVVQEKPALPVTFSPVDTKGGVIHEPTDLNISFIPPGPTACSQSTVWKVDSYDESRREWFVATGGVEGDPQALSSLFKIKGAGGLLYELAFCPSVCESCRKYLCSEIGRYSSGFDPLIRLVLSDNELPFVFIKAGNEVLKQVVHV >EOX97908 pep chromosome:Theobroma_cacao_20110822:2:3944516:3947038:-1 gene:TCM_006819 transcript:EOX97908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Papain family cysteine protease MFPINNAPSLSLFSSTLNFKEKMDHRSVFSLFVIFSLCFVCVISTETFSAEGSEVDPLIRQVTDGQDGAEPQLLTAEHHFSLFKSRFKKSYGSQEEHDYRFKVFQDNLRRAARHQKLDPSASHGVTQFSDLTPREFRRTYLGLRRLRLPKDATEAPILPTDNLPEDFDWSEKGAVTPVKNQGSCGSCWSFSTTGALEGANFLATGKLVSLSEQQLVDCDHECDPEEPDSCDSGCNGGLMNSAFEYTLKAGGLMREEDYPYTGTDRGTCKFDKSKIAAKVANFSVVSLDEDQIAANLVKNGPLAVAINAVFMQTYIKGVSCPYICSKRLDHGVLLVGYGSAGYAPVRMKDKPYWIIKNSWGESWGEDGFYKICRGRNICGVDSMVSTVAAVDTNSQ >EOX97294 pep chromosome:Theobroma_cacao_20110822:2:1869067:1869372:-1 gene:TCM_006364 transcript:EOX97294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILRVFLTLFKLFSSKNFLLSFFPLSVYQTTPVLSFALVLPVVAHYFHSLFSSLLFPLPSAASFLSLSQKNPSLLSADTAVTSHDQFIIFFIFQLPPITSP >EOX97295 pep chromosome:Theobroma_cacao_20110822:2:1861487:1869906:-1 gene:TCM_006364 transcript:EOX97295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIWLIWYWRNLSIFDISFTWPHNTRQQIWKKTKATFDFLNKAQEKLKNEVLISWNKPSPTFVKLNVDGSPKGQPGEAVAGGIIPDDTGEWIVGFIHKIGTTFSPNAELWALFQGLKLG >EOX96891 pep chromosome:Theobroma_cacao_20110822:2:378593:382200:1 gene:TCM_006030 transcript:EOX96891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal 2,4-dienoyl-CoA reductase isoform 2 MESPFKADIVKGKVALVTGGGSGIGYEISLQLGKHGASIAIMGRRKHVIDSAVAALHSQGVPAIGLEGDVRKDDDAARVVESTFKHFGRLDILVNAAAGNFLVPAEYLSPNGFQTVIDIDTVGTFRMCHKALKYLKKGGPGKDPSTGGAIINISAILHYGATWYQIHASAAKAAIDSVTRSLALEWGTDHDIRVNGIAPGAVDDTAGVTKLAPEEMLSKIKEKPLYKFGEKWDIAMAALYLASDAGKFVNGTVLVVDGGQWLSMPRLLSKDAVKQLSRREEIRSRAVPTGLPKSKL >EOX96892 pep chromosome:Theobroma_cacao_20110822:2:378593:382283:1 gene:TCM_006030 transcript:EOX96892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal 2,4-dienoyl-CoA reductase isoform 2 MESPFKADIVKGKVALVTGGGSGIGYEISLQLGKHGASIAIMGRRKHVIDSAVAALHSQGAIGLEGDVRKDDDAARVVESTFKHFGRLDILVNAAAGNFLVPAEYLSPNGFQTVIDIDTVGTFRMCHKALKYLKKGGPGKDPSTGGAIINISAILHYGATWYQIHASAAKAAIDSVTRSLALEWGTDHDIRVNGIAPGAVDDTAGVTKLAPEEMLSKIKEKPLYKFGEKWDIAMAALYLASDAGKFVNGTVLVVDGGQWLSMPRLLSKDAVKQLSRREEIRSRAVPTGLPKSKL >EOX97647 pep chromosome:Theobroma_cacao_20110822:2:2965780:2967931:1 gene:TCM_006616 transcript:EOX97647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLGKNMIQFGCSERLYQGVFGPLLRVGLFAPEELCSAAASQGVLYYLILAHQKHFDVVFCRGTAREKIFEPWVESLKAKGCEMLEDKKVTDIIFNEETGCITEVVCGKETDSADTVILAVGIATLQEIIKKRFAVLDFHYIVEYCLVQHYVRGESF >EOY00213 pep chromosome:Theobroma_cacao_20110822:2:29566496:29589011:-1 gene:TCM_009978 transcript:EOY00213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter 1.7, putative MVGGQERKGSGTSGVFPLSEEEISTVDLLHTTTNTKRPAGWRAMPFILGNETFERLASFGLMANFMVYLQREYHMNQVQAATILNGWSGASNFAPVIGAFVSDAFIGKFWTIVFGSFSSLLGMTIMTLTALLPQLRPPPCTLEEQLHGQCIGYNKAQLGILILSLCWLCIGTGGVKPCSIPFSVDQFDLTTEEGRKGNNSFYNLYYTTQTIVLLITQTVVVYIQNDISWALGFGIPTFCMLFAIILFFVGTKIYIYVKPEGSVFAGVTQVFVAAYKKRKLNLPADGVDRQFYDPPLKRGLLLELHLTRRYSCFNKAALIEGDEVNSEGVCVNPWRLCSVQQVQDVKCLVNIIPIWLTSILGFLAMNQQGTFTVSQALKMDLHFGPYIKIPAGSVGVITLIAIAVWLPFYDRVLVPALEKITKQEGGITLLQRIGIGNLFSILTMLVSGLIETKRRHSALSHGGPDGVAPMSVMWLAPQLVLIGFSEIFSIVGLIEFYNKQFPEHMRSIGNSLIYLTFSLASYASSSVITVVHSVTERKGSNWLSDDVNTSKLDYFYFLIAGLSLLNFVFFLFCARRYHYKGSVKIIM >EOY00992 pep chromosome:Theobroma_cacao_20110822:2:36330490:36331491:1 gene:TCM_010891 transcript:EOY00992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clavata3/esr-related 16, putative MTIFTGVKTRGGRSRCSVARAALFFLWIIFIFSQFGLHFAVHEEAGHQYFRSPPRKARFFDTASFHAPSSSVGNEGDPDTLYGDDKRIVHTGPNPLHN >EOY00456 pep chromosome:Theobroma_cacao_20110822:2:32501437:32502757:-1 gene:TCM_010319 transcript:EOY00456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MDTGAASGSSSSKINRTLVEKERRMRLRNLYSQLSSLLPPQPRKMSTHDVLEQATLYINQLRKRVEELKQMKLQLQECEGATETTSGPTISPVINISDLDSTLEVNLITGWDGKFKLSDIIKVLMEEGAEVKTVAANHNAGDRTIYSICCRAINSRIGIATSRVQERLQDLIS >EOX96963 pep chromosome:Theobroma_cacao_20110822:2:721428:721900:-1 gene:TCM_006087 transcript:EOX96963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGSVLGCRAPSFFSSSLKKLSKEEIFPSFFFGGIFHKFYHLESIALISLLFLSFNAFKRNPEPKDPLFQ >EOX97753 pep chromosome:Theobroma_cacao_20110822:2:3339177:3341701:-1 gene:TCM_006689 transcript:EOX97753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich protein 2 MQILPGRGGALVCFLVSFLLFVASFCNADGKTVEVVGVGECADCAENNFETSQAFSGLRVTIDCKPEKGEFKTRGSGELDKAGNFKVSLPQDLVKDGKLKEECYAQLHSVSAAACPAHEGLESSKIVFKSTSDEKHSFGLKGKLKFSPITCASAFLWPHFKHPPLPKFPVPPVKSFHHPLFPPIYKKPLPPPIPIYKPPPVPIYKKPLPPPVPVYKKPLPPPVPVYKPPVYKFPPVPVYKKPLPPPVPVYKPPVYKPPPVPVYKKPLPPPVPVYEKPLPPPVPVYKPPVYKPPPVPVYEKPLPPPVPVYKPPVYKSPPVPVYEKPLPPPVPVYKPPVYKPPPVPVYEKPLPPPVPVYKPPVYKPPPVPVYEKPLPPPVPVYKPPVYKPPPVPVYEKPLPPPVPVYKPPVYKPPPVPVYEKPLPPPVPEYKPPVYKPAPVPVYKKPLPPPVPDYKPPVYKPPPVPEYTKPLPPPVPVYKKPLPNIPSFPKKPCPPLPKLPPLPPKHFDHPKFGKWPPLPPFAPHQP >EOY01939 pep chromosome:Theobroma_cacao_20110822:2:41411510:41412645:-1 gene:TCM_011716 transcript:EOY01939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TAU 10 MEGKQSQVVLIGTWASGYCKRVELALKLKGIPYEYIEEDLENKSSLLHHSNPVHKKVPVLLHDGIPIAESLVILEYIDEYWSNVAPKLLPEDPYQRAKIRFWANYHDQKIMPAIWHIALSQGKEHDKAIEVYHGLLKVFEEGIEKDFPAKSPFFNGDSLGFLDVIVGTVACNYQAFHEVVTVIFDPAKHPSFFSWVTALKEHPLIKEVLPPHDKLVALMRKKYCQSPKA >EOX97904 pep chromosome:Theobroma_cacao_20110822:2:3930037:3935913:1 gene:TCM_006817 transcript:EOX97904 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM4, putative MASNSSPVNFNNGPSSPDDSFSSPIPNTSSPAHRRRRGLRQTSTPSSAAATPPPNPSRFANSSSTPTPSRSTRRGRRPATSPTPTAAATPSSTDDFPPPSSDGGEDMEEATPTFVWGTNISVQDVKNAIQMFIKHFRETQELSNDIYGEGKYTRLIHRVLEIEGEWIDVDAQDVFSYDSDLYNKMVRYPLEVLAIFDIVLMDIVSLINPLFDKHVQVRIHNLKSATSMRNLNPSDIEKMVSLKGMVIRCSSIIPEIREAVFRCIVCGYHSDPVVVDRGRITEPTTCSKPDCLAKNSMTLVHNRCRFADKQIVRLQETPDEIPEGGTPHTVSLLMHDKLVDAGKPGDRVEVTGIYRAMSVRVGPTQRTVKSLFKTYIDCLHIKKTDKSRMMAEDPMEVDNGSQSQRIEDDVQLDEDKVEELKELSKQPDIYERLTRSLAPNIWELDDVKKGLLCQLFGGNALKLPSGASFRGDINILLVGDPGTSKSQLLQYIHKLSPRGIYTSGRGSSAVGLTAYVTKDPETGETVLESGALVLSDRGICCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSGSRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRRLAKHIVSLHFEDTEIAEQDVLDLATLTAYVSYARKNIHPKLSDEAAEELTRGYVEMRKRGNFPGSSKKVITATPRQIESLIRLSEALARIRFSEWVEMRDVAEAFRLLEVAMQQSATDHSTGTIDMDLITTGVSASERMRRENVLSATRNIIMEKVQLGGPSVRLLELLDELKKQSSGSEIHLHDLRNAVATLASEGFVVLHGDSVKRI >EOX99304 pep chromosome:Theobroma_cacao_20110822:2:10060038:10061564:1 gene:TCM_007938 transcript:EOX99304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein MNLVVPDQWLLTLNSISQRIEKGIEHLDGFSQGSDQKVDCNGVGGLVAPYNCTLSKSVVEEQLDGFQDWKSMPREPRARGPIVEAKILPDGKPYTADSSIYDVKIEVKANYRPQHVPLVSLMSKLNGKAIIGHPLTVQVLSDDYYGSLPCEAAVECTEIGPAVKRNSEGGRVPTTHMRLHSRFPPRKSAKAKKSGLLSEKIRKLSSLTGQKLGLADRKLVVEKPKGPVRACVLLKLVFSRIDEALNGSARRPNPPFNVKQFMSR >EOX98019 pep chromosome:Theobroma_cacao_20110822:2:4284115:4285200:-1 gene:TCM_006886 transcript:EOX98019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich RNA-binding protein 7 MASADIEFRCFVGGLAWATDDRALEQAFSQYGEIVESKIINDRETGRSRGFGFVTFRDEKAMRDAIEGMNGQNLDGRNITVNEAQSRRSGSGGGGYGGNGGYSRGGGGGGYGGRREGGYGGGRREGGYGNSGGYGGGGYGGGRREGGYGDGGSRYPRGGGASEGNWRS >EOY00227 pep chromosome:Theobroma_cacao_20110822:2:30108251:30115431:-1 gene:TCM_010028 transcript:EOY00227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin cross-linking protein, putative MEIFTKTKAVKLRSHLEKYLVADDDQATVRQSRNGSGKRARWFVELVQDKPNVIRLKSCHGKYLTATDLPFLLGMTGKKVLQTVPYRMDWKLQWEPIRDGFQIKLKTWCGKFLRANGGTPPWRNSITHDEPHTGATQKWILWDMEAVQVPESGSFMEYLSSVSSFSSVSDEVLEALSDDILGSGAHSPISVVSSVNSPRFSIVSTGSPKLSAKQVNSNNYRTGMDLFLNAKAVRLRSHHDKYLLAEEDEDSVTQDRNGSSKNARWTVEFVPGSQNIIRLKSSYNKYLTASNQPFLLGMTGRKVIQSLPRRLDSSVEWEPIREGSQVKLKTRYGNFLRANGGLPPWRNSVTHDIPHRTATQDWVLWDVDIVEIQVKSPGSGHQPSFPPAIRHADSLDFEFTSPSAVSGKSGNFSRQESSDSYVGSPPKSEGRTIYYHVADDNGEVDDEAVEGYSFSFKGNSVDELTHKLKEESGLEDVVVCTRSPLNGKLFPLRLQLPPNNADMHVVLVPLASKVARDFAKQGINL >EOX97316 pep chromosome:Theobroma_cacao_20110822:2:1969314:1970618:-1 gene:TCM_006381 transcript:EOX97316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSDFASWCIYLSVLLALMSAQAGNARKITHRQLKEEGGSTNYLQQPSNTMDGHTHLLAHMDHMDPSLNIFFKIDDLKVGKTMPVYLPSKDLSASPHLLSREAANSIPFSSKQLPQLLEFFSFSKDSRQAKAMDYTLRQCELEPTKGEIRFCATSLESMLDFSRSVFGSDAHLKVLTTTVLKEPTVSLQNYTILDMPKQIPSSRIIACHTLPYPYAVFYCHSQKSETRLFQVSLGAENGDRAQASAVCHMDTSQWDHDHVSFRVLKIKPRSSPVCHFLPPDSLVWVPLPA >EOX97868 pep chromosome:Theobroma_cacao_20110822:2:3741509:3743837:1 gene:TCM_006791 transcript:EOX97868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNKEDAKSEIQNPNPNSSNNQSQGSKGKSCKGCLYYSSALKSKSRNPTCVGIPKTLQQVPSYMIGESELEASKEGRTLTDFKYACVGYSVYLDNKDSSTDQAHKPVELPFCVGLEVLLDRTPASADHIPANIHKSKEIHGHPQPQTHKSTQSIGDEYYNRFKRNAGLVAAGVVKNLNKIGNRIKESLDDILYRRPK >EOX99083 pep chromosome:Theobroma_cacao_20110822:2:8419393:8421042:-1 gene:TCM_007699 transcript:EOX99083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin 5 MASSRGGQQVMRMGDMEKMSLEQLKAVKEQADVDVNLLQDSLNNIRTATARLEIASTSLHDLSLRPQGKKMLVPLTASLYVPGTLDDADKVLVDIGTGYFVEKTMAEGKDYCERKINLLKSNFDQLVEVASKKKTLADEAGVILQAKLKQLSPSN >EOX99854 pep chromosome:Theobroma_cacao_20110822:2:17422905:17424459:1 gene:TCM_008832 transcript:EOX99854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKLMLSLAGFRSAFGVMSAYRDVAAVVTGSIRVLGRDNDDMYNMHWVFLSYVDVDSAMWE >EOX97734 pep chromosome:Theobroma_cacao_20110822:2:3248021:3248837:-1 gene:TCM_006669 transcript:EOX97734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein, putative MEKLAALVICWLAMVMPMGQCSIEGPEEVYNWFHKLPYAEEKVSKLHFYFHDTLSGKNPTAVRVAQASGSAKSPTFFGVVFVIDDPLTEGPEATSKELGRAQGLYGSDGKEEVSLLMAMDFVFTSGKYNGSSLTVLGRNPVFHPLREMPIIGGTGVFRLARGIATVKTRSLNTTTGDAIAEYHVVVIHY >EOX97502 pep chromosome:Theobroma_cacao_20110822:2:2490848:2492525:-1 gene:TCM_006501 transcript:EOX97502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase family protein MDMEGNVVKSRFKRVCVFCGSSTGKRKCYRDAAVELAQELVARRLDLVYGGGSIGLMGLVSQAVHRAGRNVLGIIPRTLMSKEITGETVGEVRPVADMHQRKAEMARHSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNYLLTFIDKAVDDGFIKPSQRHIIVSAPNAKELVQKLEEYVPAHDGVIAKASWEVEQQQQTLQQVGFNATTLQTEIAL >EOX97372 pep chromosome:Theobroma_cacao_20110822:2:2117128:2118951:1 gene:TCM_006416 transcript:EOX97372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class III peroxidase MLSPLSLGLFGMLLSLTPTFLQSCSGSTSMTALLRLAAAHTIGTTACFFMTDRLYNFSPARGSDPSINPDFLLELQSICPQNGDVNVRLPIDRGSEQTFDKHILENIRNGFAVLESDAKLYDDETTRIVVDSYLNPILGTSFEADFVESIVKMGQIDVKTGSNGEIRSVCSAFN >EOY00094 pep chromosome:Theobroma_cacao_20110822:2:25562028:25564704:1 gene:TCM_009580 transcript:EOY00094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERDQSWMYQRLTPNGFMRDELMNGIQEFIYFASFNPTFIWGNKTRCPCSQCSNTRFLSSDKVEVHFLKKGFIGAYTIWSLHGEHELGQSTRSRDGVEPYSSHREHGEPIYEKEIENQYYCNF >EOX99158 pep chromosome:Theobroma_cacao_20110822:2:8770332:8772723:-1 gene:TCM_007754 transcript:EOX99158 gene_biotype:protein_coding transcript_biotype:protein_coding description:YUP8H12.11 protein, putative MQLFKLLSKTQSSSHLLNFILISSSVCLTFIIASVFLVHNAKPPPRVYSSPQDVHAPTAMEHIVFGIASNQKSWPKRKEYAKLWWKPRQMRGCVFLESMPPNATSRDDNSTLPPICISEDTSRFRYTYRGGLRSAIRVARVILETVALNHSNVRWYVFGDDDTVFFPENLAKTLSKYDHRLWYYVGAGSEIYEQNRVFGFGMAFGGAGFAISYPLAKVLAKVLDSCIDRYPHLYGSDSRVYSCLTELGVGLTREPGFHQFDVRGNALGLLAAHPLTPLVSFHHIDHMDPIFPNMTRIKAMEHLLQAANVDSQRILQRTVCYDRWFSWTISVSWGYAVQVYGKHMYLPDVLPVQETFRQWKKGTGLAGVYTFNTREVHPDPCHRPTTFFLDSVSSSKAGIKSVYRQSYENCTIDMGSPRKLEEIRVSTKKLDLNYNQMQAPRRHCCDVLPSTSGKLLDIAIRECSYDEQIYMHP >EOX97413 pep chromosome:Theobroma_cacao_20110822:2:2205943:2209053:-1 gene:TCM_006431 transcript:EOX97413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGPVQRLGGEKWKESRGHALWEGRVCNQKWQKKLGCRFYSFDSAVPRRYGLASNQSNRSIYRVVPVCEASSAPFLLHRHTKSPKNKLSKLTYIRSVITVTDRKKTTHKGIQLFDLHA >EOY00907 pep chromosome:Theobroma_cacao_20110822:2:35832043:35833005:1 gene:TCM_010821 transcript:EOY00907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrially targeted single-stranded DNA binding protein MISLASRCAKLLRISVPTAPNSSLGVQRTSKLWCSTGSVGSENDEGKGDEMEEEIDDFLGNKSDKLEPMLQGVNPASGWNYRGVHRAIICGKVGQAPIQKILRNGRTVTMFTVGTGGMYDQKIIRDQNTPQPAQWHRIAVHNDTLGAYAVQQITKNFSVYVEGDIETRVYNDSINGEVKYIPEICIRRDGRIRLIKTGEGVSNISFDDLREGLL >EOY01616 pep chromosome:Theobroma_cacao_20110822:2:40293426:40296707:1 gene:TCM_011465 transcript:EOY01616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor-like protein kinase family protein, putative MEEGKSSRMIVVTLKPLYTFICLYLHFLHCQKIDVSADESAYFPLENILLDCGSLASQAVSYDGRNWSSDIRSHFVASNPDSSFTVSRASSAGTSVPEVPYMTARLFHSQFTYSFNVSPGPKFIRLHFYDDSYMSLNASKAFLSVTAGHFTLLRNFSAYLTARYMKSAYFFKEFIVHVENHTLDLTFSPSTNASDGYAFLNGIEIVSMPLNLYNQGNNVSGNSTAMETMYRVNVGGQSIPPNQDTGMSRSWTIDSTYLFGSAVGLANYGLDVSITYPPEVPAYTAPKVVYDTARSMGSFSQINKNYNLSWFFPVDSSFMYLVRLHFCEIDGKITKINQRVFDIFINNQIVESGVDVIALSQGNGIPLYRDYTVLIPKLTSLGKQDLWLELHPNLRSKPQYYDAILNGVEIFKVSNNDGNLAGLNPSVSNESSTHGDEPTSSSRSSESSNKEILTIAGISIVIVLAIALCLFLIVFLLKRKTERTKKITRNSLRNRSFSIGEIKKATNNFDEAKIIDSDAFGLVYKGYIDEGSTAVTIHRATQAISKQELHKFDAEIRMHYHFRHQHIVPLIGYCKEDHEMILVYEYMPNGTFLEHLHFADQRQQSPLSWNQRLDICTGAARCLHYLHSASSHPLIPAEIKTTNIQLDKNLMAKISGFSYNRFSTKTKGTIGYLDPEQNLTEKSDVFSFGVVLLEVLSGRPAMNPTAARDNEETDRGTANPHESLVQWALTCLEKSTVDLLVDRHLKGKIVPASLTKFIEITEKCLADQGVNRPSMIEVLCSLELAQQLQFQGFENSNGSAKDIVSHSNSNLMLGLEFFGAGR >EOY02053 pep chromosome:Theobroma_cacao_20110822:2:41808020:41810026:1 gene:TCM_011800 transcript:EOY02053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage dependent anion channel 1 isoform 1 MGKGPGLYSEIGKKARDLLYKDYQGDHKFTVTTYTSDGVAITSTGIKKGELLLADVSTQLKNKNITTDVKVDTSSKLFTTVTVDEPAPGLKTIFSFVVPDQRSGKVELQYQHEYAGISTSIGLTANPLVNFSGVVGNNCVSVGTDLSFDTASGNFTKLNAGLNFTHSDLIASVTVNDKCDNLTASYYHIVSPLTNTAVGAELTHSFSSNENTLTFGTQYELDPLTTVKARWNNFGRASALIQHEFRPKSLLTISGEVDTRAIEKSAKVGMALLLKP >EOY02054 pep chromosome:Theobroma_cacao_20110822:2:41807852:41810224:1 gene:TCM_011800 transcript:EOY02054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage dependent anion channel 1 isoform 1 AQPKVSNPNQPSFLSFSSPFSREKTESMGKGPGLYSEIGKKARDLLYKDYQGDHKFTVTTYTSDGVAITSTGIKKGELLLADVSTQLKNKNITTDVKVDTSSKLFTTVTVDEPAPGLKTIFSFVVPDQRSGKVELQYQHEYAGISTSIGLTANPLVNFSGVVGNNCVSVGTDLSFDTASGNFTKLNAGLNFTHSDLIASVTVPLTNTAVGAELTHSFSSNENTL >EOX97888 pep chromosome:Theobroma_cacao_20110822:2:3833146:3835976:-1 gene:TCM_006805 transcript:EOX97888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terminal EAR1-like 1, putative MGERTDVAQTQSSLNPEAPEFFPARNALVSDPCPVFSPQNPPLLHYQTLPSPYPHAFSAPLFSHPFCPYPHQHAVPLHFFTPEKAAAAASVTEPFSSLLEPLNTEMVLEEEEAQKHKVMGRKENVGGARRSNRSKHFLRNKRYGKEGFVGADQSQSRKKYWRAKPSSDAGDQQFGRNFEASFEYPRKVQVWAGNNKREKHPPIPLKYDGKETTIMIRNIPNRYTREMLKDFLDQHCMLTNRDQVQSQNGDADEEPLLSAFDFLYLPIDFVTKSNKGYAFVNFTNPQAARKFFDAWHHKRWQCFQSHKICEIYCAKLQGMEQLVKHFERMEFPSEDFQPVSFDPSRDGSKQLAEETRVGRCRGSRCTEPNLSHQLMT >EOX97887 pep chromosome:Theobroma_cacao_20110822:2:3830581:3833095:-1 gene:TCM_006804 transcript:EOX97887 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY domain protein, putative MAATLSLYLRPLCISISRFHNKIIQRPLCSSSLSSFSSLTFPQAQSLSITNSSNSNHSNNYPLSLLEKELEQDEEQVFDSEAEERDSNDDEDGFGIENAEEGTPVNLGLEVIAKNSEVNKVKLPNLTVKEKKELASYAHSLGKKLKSQLVGKSGVTDNVVFSFLETLEANELLKVKIHNTCPGELEDVVNQLEQATGSVVVGQIGRTVIIYRPSLTKMKVEEKKRESQRVFMRRQSRMKPALMQQKGPSPRSSGRGRRGTSKV >EOX97203 pep chromosome:Theobroma_cacao_20110822:2:1591204:1591533:1 gene:TCM_006292 transcript:EOX97203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSAVVGGWMDELAKLKEKVRARRPFLSSAKKDQQFAKEGHVEEKEAKEDNKETTMSEATVCLLMDRFVPW >EOY00238 pep chromosome:Theobroma_cacao_20110822:2:30325518:30326651:-1 gene:TCM_010056 transcript:EOY00238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein MAVSDVKVLGSWPSPFVMRPRIALHVKSVNYEYIEEILLESKSELLLKSNPVFKKVPVLLHGDKPICESLIIVQYIDEVWSSGPSILPSDPYERANSRFWAAYIDEMWFPALRGVLVAESEDAKMAAIAQVKEGLVLLEEAFGKLSQGKPFFGGDQIGYLDIALGSLLVCLQVIEKFSEMKLLTEARTRCLLEWAHRFSSHVAVKDVMPEADKFAEFAVKLRAKILKARATPE >EOY00849 pep chromosome:Theobroma_cacao_20110822:2:35522542:35529296:-1 gene:TCM_010775 transcript:EOY00849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MLTLKPLPSFKSNFSGSALRRPSRLNGVPGLVQFSWTIRTRHLVRAVISDDKALESAKKSSSVEQKNVDGSLASGSSVKEVRAVVTIRKKIKEKITEKIENQWELFINGIGQGILIQLISEEIDPVTNSGKSVETSVRGWLPKPSEHSHILEYAADFTIPSDFGKPGAVLITNLHGKEFHLLEIVIHGFEEGPIFFPANTWIHSRNDNPESRILFRNQAHLPSQTPPGLKDLRREDLLSVRGNGKCERKAHDRIYDYDVYNDLGNPDKDEDLARPVLGGEERPYPRRCRSGRPPTKTDPLCESRIEKPHPVYVPRDEAFEEIKQNTFSAGRLKALLHNLVPSIAATLSSSDIPFTCFSDIDKLYSDGVILKDDEQRELGNNLFIGNMMKQVLSVGQKLLKYEIPAIIRRDRFAWLRDNEFARQTLAGVNPVNIEILKEFPILSKLDPAIYGPPESTITKELIEQELHGMSVDKAIEEKRLFILDFHDMLLPFIRRMNNLPGKKAYASRTVFFYSKTGMLTPIAIELSLPPTPSSSRNKYVYTYGHDATTHWIWKLAKAHVCSNDAGVHQLVNHWLRTHACMEPYIIATHRQLSSMHPIYKLLHPHMRYTLEINALARQSLVNGGGIIEACFSPGKYAMELSSAAYESWRFDMEALPADLIRRGMAVEDPSVPGGLKLVIEDYPYAADGLLIWSAIKEWVESYVEHFYTELNSVTSDVEIQAWWDEIKNRGNYDKRNEPWWPKLATKEDLSSILTTMIWIASGQHAAINFGQYPFGGYVPNRPTLMRKLIPQETDPDFEKFIHNPQHTFLSSLPTKLQATKVMAVQDTLSTHSPDEEYLGQMNQLHSSWINDHEVLKMFEKFSAKLGEIEETINKRNKDIRLKNRSGAGIPPYELLLPSSGPGVTGRGIPNSISI >EOX97503 pep chromosome:Theobroma_cacao_20110822:2:2503276:2511099:1 gene:TCM_006503 transcript:EOX97503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFVEMKQSVMMKFFSQIAIQLWSEWELRLMVIVSLALQICLVYCGKKRQKYQGNHLAAVAISAWITYQSATSVANLVLTSLLKGGTKLKNWFIVFWSPFILWHLGSPHNITAYSLEDNDMWLRQFFGLVTQVGMAIYIQISFRSSSTFNCLAIPIFIAGVFKAGERIWALRCASEKQLINSSCSLNDEDRARQQMVQPARKNKEVRILRQAYLSSIILKPLFTDLPFWIEKKAYDEVVDLKSEYADEEGQSKKDMPAEEAFALVGIELSFLYDLFFTKMPIHHQGFKVSLCLHGFCFLSTVSSLIAFSAFVDKKKFWKIDVAITYMLLVGAISLDICLFISHALSKWTIAQLGSVPPENKLYSKLVALRLSSINSRSAIRRVAQHDLISYYVEVAADNKFIRFIRLIDTSNLLQKHKYTVWESVDSNLRTFIYEQLLEKRNEYHDQLRNCTENRDSLLSSVLDKDIDDVFKKYAVDDNDWDGHRNDFARYIFLCHIATSLVYYDDLYNHRRGMLGSYCKISKTLSDYMAYLVLLRPTMLPKGLGEIINLANNKFVEKIHPRVGENSSELKKKIVEALIHYRHDYTTSTLFESEVQKNRVLLLKGSEIAQRLQNLVSDERWDHEKKWETIGKLWMRNLTYAASRCSWKDHAQQLRHGGELLTHVALLMAHLGLSTKILIYEREDESGLPIALSLLYVEIKESVFKKIFTEIAKRFWSEWELRSMVVVSLILQFLLVYFARKRKKYRGKFVPAISISAWLIYLSADWMATLVLSTLLRGRTELENGFIVFWTPFLLWHLGSPYNITAYSIEDNELWLRHFFGMMFQVGEAIYIYVRFRSNTVLNALALPLFIAGVIKYGERIWALRCASKKQLINFFYSSSTSISGNESHVVGKENEMIRTGLFDLPGKRASIEDRSIPSEVKFLREANLSFDIFKPLFADLPFQISHKFHDEMVFLDSKSPDEAFNFVEIELSFLYDLLFTKNPIRYRRHRSGLILRGLCSLSVVSVLIVFSALLHKIEHSTVDTVVTYLLLSGAIYLEIYSFFMHFGSKWTMLRYAVPRDKRHKLYHGLVKKRLRSIVSWKGINKMAQHDLIDYCVKAKASRLTPVIKLIDTGDLLQKFGHAKWKPVDSDLKQFIYGHLKEKRTKFENEGFQVEYLEKLLSEKGDSVIKEKGFHLEDEWKLESTDFTRRIVVWHIATGLVYYDDLYKHRGSRCDSILRIGKSLSDYMMYLVLVRPSMLPNGFSETVNKETYLQTQRFFAQTTAEKRLKASMKEFVSALISLHSGFQSNEIGALLDGIKFGKQLQDLVRYNRWDDEEKWKMINDVWMEMLVYAASRCTWEEHAQQLRHGGELLTHVSLLMAHHGLSTQVHRLEKSHDDQCDATPFPEPI >EOY01021 pep chromosome:Theobroma_cacao_20110822:2:36845722:36855443:-1 gene:TCM_010948 transcript:EOY01021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucomutase / glucose phosphomutase, putative MASTSTSPIPLRGNARKPSFSPLPAKDASQKTLSFSFSSRSSKVICVKSSSTVKYNEVVVDEEINKIRRLQNGSDVRGVALEGEKGRTVDLTPPAVEAIAESFGEWVIKALEERERRPAEDVRVSLGKDPRISGASLSVAVFAGLARAGCLVFDMGLATTPACFMSTLLPPFCYDASIMMTASHLPYTRNGLKFFTKKGGLTSPEVEQICDKAARKYANRLTKVSTMLNFPSKKVDFMSAYAKHLRDIIKKRVNHPLHYDTPLKGFQIIVNAGNGSGGFFTWDVLDKLGADTFGSLHLNPDGMFPNHIPNPEDKTAMALTRAAVLHNSADLGIVFDTDVDRSGVVDNKGNPINGDKLIGLMSAIVLKEHPGTTIVTDARTSMALTKFIIERGGHHCLYRVGYRNVIDKGVQLNKDGIETHLMMETSGHGALKENYFLDDGAFMVVKIIIEMVHMKLSGSDEGIGSLIKDLEEPLESIELRMNIISEPKYAKARGTEAIEAFRSYVEEGQLEGWELDSCGDCWVSEGCLVDSNDSPAAIDAHMYRAKVSNEKNEEVGWVHIRQSIHNPNIAVNVQSSVPGGCQWMTRVLRDKFLLASGMDEILDISQIEKYARIGDRA >EOX98770 pep chromosome:Theobroma_cacao_20110822:2:7114155:7116237:-1 gene:TCM_007459 transcript:EOX98770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANTTVTWSGFRRQFMSSSNTNKLRIPQGRSSIFSQNLTHTLFHSPSSKRPCHHLARRLIVKATQDYYSILGVSKSASKSDIKSAYRKLARNYHPDVNK >EOX99435 pep chromosome:Theobroma_cacao_20110822:2:11217012:11220252:1 gene:TCM_008117 transcript:EOX99435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MVKLTRDFVNQRKKQERERKKKVKQDVRGKGKIEKHIEKQLYELSLVPITITRIPGFLIDGEEKENYGSSTSMDPDLYTAAMEGRILELVEAVEKGPADRQSDRVVPASCIQVSPQKNTMLHIATSYRHHEIVNLICKDLPFFIQEKNSKGDTALHIAARIGDPLLVRLIVDAIRGSSGESMLGDKNEDGNTALHEALLAHHEKVARILIDKNREMSYSANKEGKSVLYLAAAAGYADIVRLIMENPLGNYNEQQRLQNESPVHAAIHGRNIDVLKIMWEKDQSSFHLRCEQGRNPLHCAASIGYLEGVKILLQMFRGAAYQRDRNGFFPIHIASDEGYIGIVQEFLWHCPDSRELLNRQGQSILHLAAKRGRIHLVNYILKVPTLQNLINERDENGNTPLLLATIYLHPKVVSVLTWDRRVNLLLMNEDGLTALDIAQEYNTDTQMMASCPKRLTWLALRLTCAERSQPTASRNRRQSSVEGVCSKFESYKENVNVILLVSTLVATVTFSAGFTIPGGDNNSSPDEGSAIMLRERMFQLFVICNTMAMYSSIIIDVTLIWAQLIDLGLVFFALKLAVPLLGVALTMMSIAFMAGLYLVVSKLSWLASVVLSLWLIFLVMLAAIFIPLCCSASCYILRYISYYPFRLMLYTLGSHEGDDSKE >EOY00690 pep chromosome:Theobroma_cacao_20110822:2:34491423:34495995:1 gene:TCM_010619 transcript:EOY00690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 71, subfamily B, polypeptide 36, putative MGFLTPLIFWLPFLLLPLLLLFKKKTQVKKELKRLPPSPPKLPILGNLHQLGALPHSSLCQLSRKYGPVLLLQFGRIPVVIVSSPEAASEVLKVNDLACCSRPPTAGAGKLSYNYLDVAFSPYGEYWREMRKICVLEIFSVRRVKSFRFVREEEVASLMNSVSQSSSSATPVNVTEKVFSLTGSITFRTAFGKSFQGSDFNRTKFYELVHDAEIVAGRFSADEYFPGVGWIWDRINGHKQRVERVFHELDTLFQQVIDDHLKPGRTKLQEDIVDVMLGIEKEQIEDGHAWLTKNHIKAVLLNMFLGGVDTSALTVNWAMAELSRKPRLMKKAQDEVRSIVGKKGRVTETDLDQLQYLKMVVKETLRLHPPAPLLIARETMSHFKINDYNIYPKTLIQINAWAIGRDPKYWENPEEFSPERFIDSTVDFKGQHFEFLPFGAGRRGCPGLYMGTVTSEILLANLLYCFDWKLPDGMKEADINMEELAGHCLTLSKKTPLLLVPKQYFHDQASE >EOY02206 pep chromosome:Theobroma_cacao_20110822:2:42321721:42324856:-1 gene:TCM_011907 transcript:EOY02206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 101, putative MKSNSGVGSDRMISHGLKKGPWTAAEDAILMEYVKKHGEGNWNAVQKNSGLMRCGKSCRLRWANHLRPNLKKGSFSPEEERIIIELHAKLGNKWARMAAQLPGRTDNEIKNYWNTRMKRRQRAGLPIYPQEVGDDVAGILLQQQQEQEQQIQQYQGRKRPNSSSLSSFLSSSQGRKHDYISSFSFLDSMNLSSAGQNQAISSCYSNLSHQFKLYNNTGNNIDFALPLSPNSPFLPSSSDLYNENITAQLSAPSFQFIDSGSLDNTLSFSSLLMGAQTEPIDFVPGLKADLGSSQTPPRPTTPASSYTSSGGVCLVAPSGNTINYCGLKGSSGLLDALLVESRSLSRNERQKNGEEFPQVIDKEKGVMDAANVENEEEEVDANECVVETHRDDFSSCPSSIGLKSGDESIEEMNAMDDDLHSLLNNFPSSTPLPEWYTKSTRISNNGSPSGNMALDAQQNVSPAVATTADLDWSLGSCSWKNMPGIC >EOX97274 pep chromosome:Theobroma_cacao_20110822:2:1785858:1798169:-1 gene:TCM_006348 transcript:EOX97274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene monooxygenase, putative MAYQYIVGGVIASLLGFVFWYNSLVRELKKTRTSSMEFPVENRVKKTGNGEVAGAIDVIIVGAGVAGAALAYTLGKDGRRVHVIERELNEPDRIAGEGLLPGGYVKLTELGLEDCVAGIDAQRILGYDLYKDGKSTKISFPLEKFQSHVAGRTFHNGRFVQKLREKAASLPNVNLEQGTVTSLLEENGTILGVHYKNKSGQELTASAPLTIVCDGGFSNLRRSLCYRKVDIPSYFVGLVLENCKLPHANYGAIILEDPSPILFYPISSTEIRCLVDVPSQKLPSVSGGEMAHFLKTVIAPKIPPALYIAFISAVEKQNNIRTMANRTMPAAPLPTPGALLMGDAFNMRHPITGGGMTVALSDVVVIRDLLRPLHNLGNASAVCRYLESFYTLRKPMASTINTLADTLHKVFSASSDPAMEQMQQACFGYLSLGGVFSNGLSSLLSGLYPRPSSLAFHFFAMAVYGVGRLLLPFPSPNRIWTGAKLIWVASVRRLKKTRISMEFPVENCVKKTGNGEVVGATDIIIVGAGVAGAALAYSLGKDGRRVHVIERELNPPDRIAGEALLPGGYVKLIELGLDDCVDGIDAQRISGYDLYKDGNGTKISFPLEKFQSHVAGRNFHNGRFVQKLREKAASLPNVNLEQGTVTSLLEESGTIQGVHYKNKSGQELTASAPLTIVCDGGFSNLRRSLCYPKVDIPSYFVGLVLENCKLPHANYGAIILEDPSPILFYPISSTEIRCLVDVPSQKLPSVSGGEMALFLKTVIAPQIPPELYTAFISAVGKQNNIRTMANRTMPAAPLPTPGALLMGDAFNMRHPITGGGMTVALSDVVVIRDLLRPLHNLGNASAVCRYLESFYTLRKPMASTINTLADTLHKVFSASSDPAMQQMQQACFGYLSLGGVFSNGLSSLISGLYPRPSSLAFHLFAMTVYGVGRLLLPFPSPNRMWTGAKLIWVASGILFPLIKSEGVKQMFFPLTVPAYYRAPPF >EOY01001 pep chromosome:Theobroma_cacao_20110822:2:36454745:36462438:1 gene:TCM_010907 transcript:EOY01001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLQLYSGIKLAHDTGCASFISIFTVGLSGNCIPLLKGMLGAVVPELPRFGAFVSVLGIVMLESRGSPPCASAISNLLNFLSTVFFGVLMLRTEPISRSTNKENFLPLLGYEVCIVAFLSTLWYFLGGWLDGSWEFNPSSWSQAVFWDWMFTFPWIPALYTGIFSTGLCLWVEIAAVRDVSATETAITYGLEPAWGADFAWFLLGERWSATGWIGAALVL >EOY00402 pep chromosome:Theobroma_cacao_20110822:2:32080023:32082053:-1 gene:TCM_010273 transcript:EOY00402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHGEGLTLHDAHFTNVGHAYLLLALSQRIMQLDTISLYYYRDFYFWLMAIYAMTLCEETKLVMNCIRSLASKLCSDCLALKTKPPDGP >EOX97047 pep chromosome:Theobroma_cacao_20110822:2:1030700:1031697:-1 gene:TCM_006162 transcript:EOX97047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSATLGQCPLFSFFIHKIMTSSKLGRIQFGFVISEQESSCMKTVLAILHENILPSECVQSAMWISLSSLLSEETTTVNWDLILWHPLFLFWPFFYGSLRVPFPSLLPHTMAEIS >EOX99195 pep chromosome:Theobroma_cacao_20110822:2:8950745:8952318:1 gene:TCM_007790 transcript:EOX99195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein, putative MDTMYHIVMVNAWARHRDPKFWNDPLNFKLKRFENVETESYKYKLLPFRVGKRSCLGENLFRCMVSLTLGSLIQCFEWKQVSEEVIDMAEGDGLIMPKVKPLVVK >EOX98408 pep chromosome:Theobroma_cacao_20110822:2:5677392:5688686:-1 gene:TCM_007178 transcript:EOX98408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein MAITIPALGSMYIESCRKHGVAPSSGFLSALFKAEVKKSCHEVCILEILLDNVKDIDFHSLLEVFMKISESEIEAVDVLNESSCALTGEYALLLMRSIGQKLRVVDLQDFSFGKDFLRDLSQGGLQCQVLNLRSSHFRKLNLVGEFMWLHTLNLDYSATLTSFREDCFSCTPNLMCLSMCETRIANLWTTIAALSKLRSLVELRFQNWLCCNDVGSSGSSSGDDQTGPSQPRSASYPVTSSVNVGLLIELNSITQQATRTFLPEAFSMNHNFQSSNEESSDDSEVDFSIHLEDSYMDSSSNAPPGWNREINLLSEVSSATLPNQNGDESCEGAFTRHVADGSFKYISQHASPICFEKHYREYMIASIPQLRVLDNLPIRNIDREMANVTFLKHFEYLPYRRYRKESVVSILQKREIRERHTSLRTPKQRPLDLAGKSQYFYTRSLCAAKMGSSPWPFLHSLSISGNDLGDERRSFRPRQFEYHPSNSSLMVFGTLDGEVVVVNHENEKIVSYIPSLGAMNSVLGLCWLKKYPSKLIAGSDNGSLKLYDIQHCASTCKHIHTGTGSVNFDEFDQLTSVHVNSTDELFLASGYSKNVALYDINSGRRLQVFTDMHQEHINVVKFSNHSPSIFATSSFDQDIKMWDLRQKPIRPCYTASSSKGNVMVCFSPDDHYILASAVDNEVRQLLAADGRLHLNFQIPSTGSSQNYTRSYYMNGKDYIISGSCDEQVVRVCCAQTGRRLRDISLEGKASGSSMFVQSLRGDPFRAFNMSILAAYTRPSSRSEIVKVNLLASSDFSKESCPGQSSCPSNSMGG >EOY01723 pep chromosome:Theobroma_cacao_20110822:2:40728369:40732318:-1 gene:TCM_011559 transcript:EOY01723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate/phosphate translocator-related MASITNAAHTLVTNSRLSSLYLSTNKVLPSCLLLKTRSSASSRASSLAFSPLPDKNKAWVPVGFSGKPLTLAGWNQTLRRRGQVEFPVAAAAAAADADDREIEISDGYAKPSKSFAERFPALVTGFFFFMWYFLNVIFNILNKKVYNYFPYPYFVSVIHLVVGVVYCLVCWAVGLPKRAPIDKELLVLLTPVAFCHALGHVMSNVSFAAVAVSFTHTIKALEPFFNAAASQFVLGHQIPLPLWLSLAPVVIGVSMASLTELSFNWTGFISAMISNIAFTYRSIYSKKAMTGMDSTNVYAYISIIALFFCLPPAIFIEGPQLMQHGFRDAIAKVGLVKFLSDLFWIGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNKISTQTGIGTAIAIAGVAIYSLIKANMEEQKRKAALSPAS >EOX99577 pep chromosome:Theobroma_cacao_20110822:2:12318368:12332474:1 gene:TCM_008278 transcript:EOX99577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPLLANRHTIVLTQTSQNRAPRTFVDYDSISQAMDNMLFVYCCLYYLCFCCCSYYDFISQAMNRGICGPYEMKLKKLNPATQNITYDIGVLYNFIDGLADMIALVYDSLSLPQPFSVKRDT >EOX97988 pep chromosome:Theobroma_cacao_20110822:2:4181958:4182960:-1 gene:TCM_006864 transcript:EOX97988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKTVSWKRPSKSWFKFKTDGATRDCSRNFGIEGVLRNDEGSLNCCFPRRLDGDANLAKVLAIREVMTMQLFLWANLLNLIIESDSSNAVTWMNKSKAAPWKPRHLIFQNIVLKGKVADWQIQYTPRIGNR >EOX99646 pep chromosome:Theobroma_cacao_20110822:2:13122913:13128054:1 gene:TCM_008385 transcript:EOX99646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFGETKSSSGYGKPPWIFKGSALYQLHLVKAETARRFMPKEFRLVEAFGYTLGGFFLANYDDSPAGVFDELVVIAGIVWNPPTSCAWAARVLVNSEEACHHGRKDVGLPSQVARFSKRITPVPRQTKGKFGGFLNMIGMGTTIHHSKDCMEVQVAEVVGHASADICSIKLITDVPTPRFNKWMGPSITMSLPSFSGQTEYNPNLLKYSCRIACRVRAVRPARVSGPSPLKRDRDSDLETTDFTSDEPVDNGRNLSISVLLSKPILALEFNCFEMQVEAPIILSNDSTSSLRAA >EOX98187 pep chromosome:Theobroma_cacao_20110822:2:4794019:4796729:1 gene:TCM_007001 transcript:EOX98187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MGHKLFSYFTLPFLVYLYLIPFVCPYHSANSQLDYRFYDRSCPRLSSMVKYGVWAAYKNDIRIAASLLRLHFHDCFVNGCDASVLLDDTEDFQGEKNAFPNRNSARGYEVIDNIKADVERFCPSTVSCVDILTLAAREAVVLSGGPFWPVLLGRRDGTTASQQAANEQLPSPIEPMENITAKFTSKGLDLKDVVVLSGAHTIGYAQCFTFKRRLFNFLGTGRPDPTLDASALASLQSMCPNMDSSNSNLAPLDSASTYRFDNMYYTNLVNNTGLLESDQALMQDPKTAAMVNSYSTNPYLFGNDFATSMAKLGNIGVLTGKKGQIRKKCGSVNL >EOX97226 pep chromosome:Theobroma_cacao_20110822:2:1671109:1674081:-1 gene:TCM_006316 transcript:EOX97226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein PDV2, putative MEEEGVGLVLARATELRLKISSCIQKATASKPVSPKQQSPQKEEEEQTDKKGNFNGDENPNSHQRLNEAVEEEGEEEEEKEEEEEDGETERLLNIRDALESLEAQLVALQNLQHQQRYEKEVALAEIDCSRTMLLEKLKEYQGKDLEVILEASAFVSETVENNNDLLLPPYPSRPPQSLVLDNGYLSHLQSTYKSLTNGVSIGDPTNEAKKNLNRNEENRKQDDSKNSRKGLGCFISSAVKTVLPLVGVIYILSLSNFVPNLGKGTPLKFLGMLQQRATEEKNSTVQCPPGKVLVMEDGEARCMVKERIEVPFESIVAKPDVNYGCG >EOY01154 pep chromosome:Theobroma_cacao_20110822:2:37884231:37900783:-1 gene:TCM_011085 transcript:EOY01154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40C MNASSTPNFAPVTSWMPTTQSFPMSTESSGTSGTAGHPGLVPSVQMITASAAVDSPSSAVPRPSAPVSSNQAVQQQIYPTYTPLPSMASSPQGFWMQHPPMGGFPRPPFVPYPTIYPGPFPSASSGMPHPAPSSDSQPPGVSPLATSPFAPSIAIPANQSSVASGIQTGFPPQGIDNRNVGTRVEAAVNEQSDIWTAHKTDTGIVYYYNALTGESTYEKPAGFKGEPDKVPVQPTPVSVEQLAGTEWALVTTSDGKKYYYNSKTKISSWQIPSEVAELRKKQDNDVSKEHAVPVPNIDVVAEKGSTPISLSAPAVSTGGRDAMPLRTSVVPGSSSALDLIKKKLQDSGVPSSSSSSVPVMPVTAAQELNGSRAVDVKGLQSENSKDKLKDANGDGNISDSSSDSEDTDSGPSKEECIMQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSHSARRTLFEHYVKTRAEEERREKRAALKAAIEGFKQLLDEASEDIDHNTNYQTFKRKWGSDLRFEALDRKDRELLLTERVLPLKRAAEEKAQAIRAAAASSLKSMLKEKGDITVNSRWSRVKDSIRDDPRYKCVKHEDREVLFNEYISELKAVEEKAERKERVKKEEEEKLKERERELRKRKEREEQEMERVRLKVRRKEAVASFQALLVETIKDPQASWTESKPKLEKDPQGRAANPDLDPSDTEKLFREHIKMLFERCTHDFRALLAEVITQDAAAQETEGGKTVFNSWSTAKRLLKPDPRYSKMPRKEREALWRRYAEDMLRKQKSALDQEEEKRTDAKVRSSGDLGRFSSGSRKVHERR >EOY00474 pep chromosome:Theobroma_cacao_20110822:2:32861335:32865025:1 gene:TCM_010355 transcript:EOY00474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinone oxidoreductase-like protein isoform 2 MKATLPSTTPQLRTFTSPPLSFNRSFGFPENRRKLSGTSSLLRGAPPSFSYVPLRVTANSQSAAPASTEAAKATAVPSEMKAWVYGEYGGVDVLKFDEKVAVPRVKEDQVLIKVVAAALNPVDGKRRQGKFKATDSPLPTVPGYDVAGVVVKVGSQVKELKEGDEVYGNINEKALEGPKQFGSLAEYTAVEEKLLALKPKNLDFAQAAGLPLAFETAYEGLERTGFSAGKSILVLNGAGGVGSLVIQLAKQVFGASKVAATSSTGKLELLKSLGADLAIDYTKENFEDLPEKFDVVYDAIGQCDRAVKAVKEGGSVVALTGAVTPPGFRFVVTSNGEVLKKLNPYLESGKVKPVVDPKGPFPFAEVAEAFSYIETNRAVGKISR >EOY00475 pep chromosome:Theobroma_cacao_20110822:2:32861259:32863646:1 gene:TCM_010355 transcript:EOY00475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinone oxidoreductase-like protein isoform 2 MKATLPSTTPQLRTFTSPPLSFNRSFGFPENRRKLSGTSSLLRGAPPSFSYVPLRVTANSQSAAPASTEAAKATAVPSEMKAWVYGEYGGVDVLKFDEKVAVPRVKEDQVLIKVVAAALNPVDGKRRQGKFKATDSPLPVGSQVKELKEGDEVYGNINEKALEGPKQFGSLAEYTAVEEKLLALKPKNLDFAQAAGLPLAFETAYEGLERTGFSAGKSILVLNGAGGVGSLVIQLAKQVFGASKVAATSSTGKLELLKSLGADLAIDYTKENFEDLPEKFDVVYDAI >EOX97806 pep chromosome:Theobroma_cacao_20110822:2:3536999:3537547:1 gene:TCM_006739 transcript:EOX97806 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MGFRLPRIVNAKRSLKRTLSFSETTVVPKGHFAVYVGEVEKKRFVVPISFLKHHSFQNLLSEAEEEYGFNHPMGALTIPCSEEAFLDIIGSLQS >EOX98436 pep chromosome:Theobroma_cacao_20110822:2:5777499:5778485:-1 gene:TCM_007202 transcript:EOX98436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRIVVSDVHFLFMLLERREGKSRLTLLDTFGDLSASALLLRVKVLEREKFIVLEGLLFRLELFDQKVGLGEPVRRSFYLNSLKIEYDKELFRFRIGQPILQMLEVIGIGDCHIPGRYPSLFSRSSNRACTPHPYVSKLEFRFLVK >EOY00219 pep chromosome:Theobroma_cacao_20110822:2:29923594:29937007:1 gene:TCM_010011 transcript:EOY00219 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP deaminase MDSSAPVPSLHLAMAALVGASLMAISAFYIHKRSVDHVIDRLIEIRRVWRPRSRVVSDVDGESEEEVDYEEQEEEEEEEEEVEECEKGGSLEHKTSVSKSFDEKMEVLRSYRISSSMPNVALRNEWFEEDAKFDQVVRERAQTCSASSLDKHNFIPSGLPPLQTSRRGENQTFSRGVSSTRLATYGRLMTPRSPGGNAFESAGDSDEEGTEPGDEDDILFGDENIDGSGDLLNDVATKVQNLYSVPFRGEGVNCVQDKNHKATENEAKSCVDLNGNGKVDSALVCILESDPVFDKTSLPLRRPLHDSTNVEEEEVRKMTRECLELRDKYVYREEIAPWTKDSVTEPGTPKARSDPFHFEPVEKTAHHLRMEDGVIRVYASETDTAELFPVSSSTTFFTDMHHLLKVMSIGNVRSACHHRLRFLEEKFRLHLLVNADGEFLAQKSAPHRDFYNIRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGKYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKQVLSDLEASKYQMAEYRVSIYGRKQSEWDQLASWFINNEIYSENAVWLIQLPRLYNVYKQMGIVKSFQNILDNVFIPLFEVTVDPNSHPQLHVFLMMVVGFDLVDDESKPERRPTKHMPKPAEWTNEFNPAYSYYAYYFYANLYTLNKLRESKGLPTIKLRPHCGEAGDIDHLAAAFLLCNNISHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPAFFQRGLNVSLSSDDPLQIHLTKEPLVEEYSVAAQVWKLSACDLCEIARNSVYQSGFSHIAKLHWLGNKYFLRGPEGNDIHKTNVPSMRIAFRYETWKEEMQYVYSGRARIPEEIDPAM >EOY00497 pep chromosome:Theobroma_cacao_20110822:2:33017847:33023467:1 gene:TCM_010379 transcript:EOY00497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pro-like protein MWGLKSDEEIPSGGRGNYKALHITTKCKGCTVAKVLLDNGSSLNVMPMRTLARLPINVSYMRKSQMIVRAFDGTRREVVGDIEIPVEIDPCTFTIEFQVMDIAPSYNYLLGRPWIHMAGAIPSSLHQKVKFIVERKIVCVNGEEDLLISKPADTPYVEAVEEVPECSFRSFEFVNTTYVGEGTTPPIPRLFKTTKMVVSQILGKGYRAGAGLGKELQGIRSPIRTTKNEERFGLGYKPTKKEREEMIAERRKERLARFKGHELEIRGMTYPHLYKTFRSGGCIFPESLTIGNRESVSALGGTFSDLSICATEEGEEQPGNVDEIPTTYLGPPNLKLSNWTTMSLPVTCDSISK >EOX99721 pep chromosome:Theobroma_cacao_20110822:2:14933553:14934752:-1 gene:TCM_008567 transcript:EOX99721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFHFDLSSYREKMPNKFIILSMARTLDNDMSIPLLVMALDDDISIPSVAIALDDDMSILSMATALGNDMSILSMVTALDDDMSILSMALAPNELVMILLAYR >EOY00575 pep chromosome:Theobroma_cacao_20110822:2:33697330:33700755:1 gene:TCM_010471 transcript:EOY00575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan aminotransferase of 1, putative MVVGFEKAASATKSVMSSGKKTPATLSSDSVINFARGDPTMYHSYWSKLGDRCTMVIPGNDLMSYFSDAGNLCWFLMPELDHAVRRLHRVVGNAVADDDRFIVVGTGSTQLFQALLYALSSPDEPEPISVVAAAPFYSSYPEETEFLRSGLYKWAGDAYSFDKDGPYIEVVTSPNNPDGAIREAVVNREGGKLVYDLAYYWPQYTPITHRADYDVMLFTFSKATGHAGSRIGWAIVKNKEVATKMVKFIELSSIGVSKESQLRAAKILGVISDDCQDSGPNKEETLFEYGQRLLSERWERLREVVERNGVFSLPKYPQDYCNFSGKYTDPSPAFAWLRSKEGLNCDNLLREHNIVTRGGTTFGVGSKYTRISMLSPDEEFNLFLERLSAIKGTGKGNCY >EOY00166 pep chromosome:Theobroma_cacao_20110822:2:28028429:28035176:-1 gene:TCM_009841 transcript:EOY00166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKICKALGCSSVRSVELAAFQLEDVAQEWYSSLCRGRPTNATPLAWSEFSVAFLDRFLPLSVRNARAREFETLVQTSSMTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVEPLFRAVASRDFTTYSAAVDRAQRIEMRTNESRAARDRAKRGKTEGYQGRRDFSSGGSSSSRQGPQRDSRLPQQGSDAPGANIRVGQRTFNSRRQQDSRQSSQVIRSCDTCGRRHSGRKMAWNMMK >EOX98694 pep chromosome:Theobroma_cacao_20110822:2:6735411:6736107:1 gene:TCM_007391 transcript:EOX98694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASYKSFILAFFIALSFSSFEPALAACRRLQQLPSSPQIPTLPTATLPPLPSLPTLPQPAIPTLPTTQPSLPKPGSLLPLPSLPTMPTAPKVTRPPLPRMPSIPTIPSIPFLAPPPATKCP >EOX99807 pep chromosome:Theobroma_cacao_20110822:2:16745286:16746510:-1 gene:TCM_008762 transcript:EOX99807 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCHC-type integrase MQHGKVIAYASRQLKRHEQNYPIHDLEMAAIVFALKIWRHYLYGETCEIYTDHKSLKYIFQQRDLNLRQRRWMELLKDYDCTILYHPGKANVVADALSRKSMGSLAHISIGRRSLVREIHSLGDIGVRLEVAETNALLAHFRVRPILMDRIKEAQSKDEFVIKAL >EOY01925 pep chromosome:Theobroma_cacao_20110822:2:41369617:41371386:-1 gene:TCM_011707 transcript:EOY01925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein, putative MDTSRENELPQSQQQGRGTSFLKTCFNGLNALTGVGILSIPYALSQGGWLSLLLFFLVAVLCWYTGLLLRRCMDLNPLIKTYPDIVEFLILEGDNLHKLFPNMGFKVAGLKIGGKQAFVLLISLVILPTTWLKSLGMLAYVSAGGVLASFLLVVCIFWIGAVDGVGFHKSNVLLNWRGMPTAIGMFNFCYCGHPVFPTLCSSMRDRNRFSKVLLVCFVTSTINYGSMAVLGYLMYGEHLKSQGTLNLLIN >EOY01658 pep chromosome:Theobroma_cacao_20110822:2:40516672:40520713:-1 gene:TCM_011503 transcript:EOY01658 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconolactonase 1 isoform 1 MALSGDNNDRGELRIHENLDELRTDLADYIAELSEAAVKERGVFAIALSGGSLIGLMGKLCEAPYNKTVDWAKWYIFWADERVVAKNHSDSNYKLAKDGLLSKVPIVPSHVHSINDSVSAEEAADEYMFVIRQLVKTRMVGVSDISDCPKFDLILLGMGPDGHIASLFPNHSVLDETDEWVTFITDSPKPPPERITFTLPVINSASNVAMVVTGENKAEAVHSAIDNIGPDCPSLPARLVQPSKGKLIWFLDKPAASKLDGFQFSE >EOY01657 pep chromosome:Theobroma_cacao_20110822:2:40516650:40520344:-1 gene:TCM_011503 transcript:EOY01657 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconolactonase 1 isoform 1 MALSGDNNDRGELRIHENLDELRTDLADYIAELSEAAVKERGVFAIALSGGSLIGLMGKLCEAPYNKTVDWAKWYIFWADERVVAKNHSDSNYKLAKDGLLSKVPIVPSHVHSINDSVSAEEAADEYMFVIRQLVKTRMVGVSDISDCPKFDLILLGMGPDGHIASLFPNHSVLDETDEWVTFITDSPKPPPERITFTLPVINSASNVAMVVTGENKAEAVHSAIDNIGPDCPSLPARLVQPSKGKLIWFLDKPAASKLDGFQFSE >EOY01656 pep chromosome:Theobroma_cacao_20110822:2:40516650:40520712:-1 gene:TCM_011503 transcript:EOY01656 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconolactonase 1 isoform 1 MALSGDNNDRGELRIHENLDELRTDLADYIAELSEAAVKERGVFAIALSGGSLIGLMGKLCEAPYNKTVDWAKWYIFWADERVVAKNHSDSNYKLAKDGLLSKVPIVPSHVHSINDSVSAEEAADEYMFVIRQLVKTRMVGVSDISDCPKFDLILLGMGPDGHIASLFPNHSVLDETDEWVTFITDSPKPPPERITFTLPVINSASNVAMVVTGENKAEAVHSAIDNIGPDCPSLPARLVQPSKGKLIWFLDKPAASKLDGFQFSE >EOX97393 pep chromosome:Theobroma_cacao_20110822:2:2140147:2143398:1 gene:TCM_006422 transcript:EOX97393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger and BTB domain-containing protein 48 isoform 1 MAKGSKGRRRIAFRQLRPTPYSLSSRRQGISGDLYPKKCSKTLDNKDWEDATCSVCMECPHNAVLLLCSSYDKGCRPYMCGTSFRYSNCLDQYKKFYTKVVSSNHEEPLHSSVDNPVLAPGSGWTVEKCEVTELACPLCRGQVKGWTVVEPAREFLNAKKRSCMQDDCPFVGTFKELRKHMRADHPCARPREVDPTLEQKWRRLEREREREDVISTIRSTMPGAMVFGDYVIEGNHHGLETDEEDGPNADAAERNGGFEVGLDSNFVNFFLLLHAFGPSGNDLGRRPRQPTHAVDENAIGIRHTSPVGGLGFSDQDDDNFSNDDDDDGGNISLVSRLRHHGRLLLGRSGRRRRRREAMGGQI >EOX97395 pep chromosome:Theobroma_cacao_20110822:2:2140241:2143525:1 gene:TCM_006422 transcript:EOX97395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger and BTB domain-containing protein 48 isoform 1 MAKGSKGRRRIAFRQLRPTPYSLSSRRQGISGDLYPKKCSKTLDNKDWEDATCSVCMECPHNAVLLLCSSYDKGCRPYMCGTSFRYSNCLDQYKKFYTKVVSSNHEEPLHSSVDNPVLAPGSGWTVEKCEVTELACPLCRGQVKGWTVVEPAREFLNAKKRSCMQDDCPFVGTFKELRKHMRADHPCARPREVDPTLEQKWRRLEREREREDVISTIRSTMPGAMVFGDYVIEGNHHGLETDEEDGPNADAAERNGGFEVGLDSNFVNFFLLLHAFGPSGNDLGRRPRQPTHAVDENAIGIRHTSPVGGLGFSDQDDDNFSNDDDDDGGNISLVSRLRHHGRLLLGRSGRRRRRREAMGGQI >EOX97394 pep chromosome:Theobroma_cacao_20110822:2:2140147:2143398:1 gene:TCM_006422 transcript:EOX97394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger and BTB domain-containing protein 48 isoform 1 MAKGSKGRRRIAFRQLRPTPYSLSSRRQGISGDLYPKKCSKTLDNKDWEDATCSVCMECPHNAVLLLCSSYDKGCRPYMCGTSFRYSNCLDQYKKFYTKVVSSNHEEPLHSSVDNPVLAPGSGWTVEKCEVTELACPLCRGQVKGWTVVEPAREFLNAKKRSCMQDDCPFVGTFKELRKHMRADHPCARPREVDPTLEQKWRRLEREREREDVISTIRSTMPGAMVFGDYVIEGNHHGLETDEEDGPNADAAERNGGFEGEYLNIERLAGKVGGGKDGCFPLLLCTYLD >EOY01470 pep chromosome:Theobroma_cacao_20110822:2:39569544:39574064:1 gene:TCM_011345 transcript:EOY01470 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein isoform 2 MPTPDGAPKPSDTPNKEMKGLETWREVPPTHYILKIESFTSLVGILRKTGLDHYESNVFKASGRSWMLLLYPWEDKKRNGSHCISLYLKLVPYIKGEIYKALVIFFVYDQLKGKYWSFQDTTVRTFHDMDESGVSQLVSPECFENASNGFLVNDSCVFGVEVFAIQSGNKVERFRTLRKQSEKVYIWNVEKFSELKATGHFSEPFSVGGFKWRLHLYPRGIPKAMGKYLSIYLCLHDESEPDPGSGEKKQDDSKDASGSGKKKQYNPQLPCGKKMHVEYKLSINNQGKDKKPEKISKRGYAWFSALDTAWGFPYFTNLDDPGWRWGGFIFQDALLIELQIISMSLDTAGAGDEMDIDFPRQIHEQRYFA >EOY01471 pep chromosome:Theobroma_cacao_20110822:2:39569544:39574064:1 gene:TCM_011345 transcript:EOY01471 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein isoform 2 MPTPDGAPKPSDTPNKEMKGLETWREVPPTHYILKIESFTSLVGILRKTGLDHYESNVFKASGRSWMLLLYPWEDKKRNGSHCISLYLKLVPYIKGEIYKALVIFFVYDQLKDTTVRTFHDMDESGVSQLVSPECFENASNGFLVNDSCVFGVEVFAIQSGNKVERFRTLRKQSEKVYIWNVEKFSELKATGHFSEPFSVGGFKWRLHLYPRGIPKAMGKYLSIYLCLHDESEPDPGSGEKKQDDSKDASGSGKKKQYNPQLPCGKKMHVEYKLSINNQGKDKKPEKISKRGYAWFSALDTAWGFPYFTNLDDPGWRWGGFIFQDALLIELQIISMSLDTAGAGDEMDIDFPRQIHEQRYFA >EOX99926 pep chromosome:Theobroma_cacao_20110822:2:18320123:18322101:1 gene:TCM_008936 transcript:EOX99926 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase MTKISSQFEKSRKVSGPRALQPSQWGMLCPSDTPEGEACGLVKNLALMTHVTTDDEEGPLISLCYSLGVEDLELLSGDDLHAQSSFLII >EOX97469 pep chromosome:Theobroma_cacao_20110822:2:2380783:2383587:1 gene:TCM_006471 transcript:EOX97469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-dependent monooxygenase 1 MERQVAIVGAGISGLLACKYTLSKGFHPVVFDSQSSVGGVWTQTLETTRLQTPKSVYQFSDFPWPSSVTDDFPTQHDVFDYVKAYAQHFDLLKHIKFNTKVAGIEYEGPPDEEMRSWSLWGGTGEPFSSKGKWKVIVEDLESLSTEIYLVDFVIICVGRFSGLPNIPEFPPNKGPEAFRGKVIHSMDYAAMDNEKAAEFIKGKRVIVVGFQKSALDIAMECTVANGVENPCTVFYRTAHWNVPDYLPWGFQLGYMYFNRFSELMVHKPGEGLLLGLLATILAPLRWSYSKFVESDIKRKLRLAKHGMVPTHSFLHDISSCLISTVPEKFYDKVEEGKIKLKKAPSFSFCHNGVLVEGETTPVEADVVIMATGFKGEKKLRDIFVSQTFQDYIAGSPDAAIPLYRECIQPHIPQLAVIGFSESVSNLYTSEIRCRWLAELLDGTFKLPSIKEMEKDVTKWDEYLKRYSGEYYRRKCIGALHVWYNDQLCKDMGWNPRRKKGFFAELFEPYGPLDYVPSSAAS >EOX98517 pep chromosome:Theobroma_cacao_20110822:2:6050573:6055101:1 gene:TCM_007262 transcript:EOX98517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-dependent gravitropism-deficient and yellow-green-like 3 MATFFLTSQSFLSSCNLYTNHKKKHKTKTVGPSLSTIISSFGDNSSLDKKTHLSVSVNSSSNPRKPLDLAVKGYYLSFSRRLKPLKSSVTDEPESEPTSSSSVAVAPEEPSNEKESPKSVQEVGLSKENEETEGKENQQEMDWKTDEEFKKFMGNPSIEAAIKLEKKRADRKLKEFDRESSGNPIVGLFNKLVRDNLTREKERLEQAEETFKALDLNKLKSCFGFDTFFATDVRRFGDGGIYIGNLRRPIEEVIPILEKKLSDAAGWEVVLWFMEEKANDITKQACVVQPKAEIDLQFESTKLSTPWGYVSAIALCVATFGTIALMSGFFLKPGATFDDYLADVVPLFGGFVSILGVSEIATRVTAARYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFGIPVARTASAYLTSLVLAVAAFVADGSFNGGDNALYIRPQFFYNNPLLSFIQFVIGPYTDDLGNVLPYAVEGVGVPVDPLAFAGLLGMVVTSLNLLPCGRLEGGRIAQAMFGRNTATLLSFATSLLLGIGGLSGSVLCLAWGLFATFFRGGEEMPAKDEITPLGDNRFAWGVVLGLICFLTLFPNGGGTFSSPFFSDPFFRGNL >EOY00046 pep chromosome:Theobroma_cacao_20110822:2:23699081:23702276:1 gene:TCM_009418 transcript:EOY00046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p family protein, putative MEPPPPPLTAAPSLSTAATTATVAPATYPESMDSSPRSRNTDSWDDPAPPPTLHSKLRLMCSYGGTIVPRPHDKVLCYIGGDTRMVVVDRHTSLSSLHHRLSFSLLHGRPFTLKYQLPSEDLDSLISVTTDEDLENMIDEYDRTYSQTTSSSKSSRIRLFLFPLNPEGTPATSHSIGPIINNSTKSDEWFLNALNGAGLLNRGFSDSATNVNCLLGLDDDRQGNVEVGLKDEGSGSQKNMNLKNQDVHSVPDSPMLETTSSFGSTSSSPLLANLPPIRVHAEDQKENKVVGVEEQFAQFTVSAAAAAAGGGGGGVKQQDEGFVVLSSPPPMPVGIAVSGGGMGLSSGVVPGEYTNRGFSDDERSDHGVPVGYRKPPPPQSQPQGLPLHNQQKSSSGHDLASPDSVSSDSSFTNPLSRQKPMIYQDPVAQIPPGANRVAANPVDPKLNISDLNTNARIQIQQPVQDSGYILQQTPFDQQQQHQPQPQQQQQFLHAGAAHYIHHHPAGAVPISAYYPVYPSQQQHHHHPHAQIDQQYPVYYVSARQPQAYNLPVQQPSISEATTAIPSSHPQTPPNPAMVPPPAAYNPMRNAPINKTEMAAATGMYRTTTTGTPQLVQVPNSQHQQQYVGYSQIHHPSQSVAPTSAATATYAYEFSDPAHAQIYYTQPLAPTMPSQYQTMTAAAAVVLPDGSSQLTTDSIKPQIRTSQPI >EOX97522 pep chromosome:Theobroma_cacao_20110822:2:2556799:2559482:1 gene:TCM_006517 transcript:EOX97522 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MINAKRLIELARKWQKMAAIKRRRISFPKQNTDADPAATSGKGHFAVYTTDKKRFVVPLKYLNTNLFRELLKMSEEEFGIPADGPITLPCDSTFLEYITPFTQGCRNNKMISAKKLIKLARKWQKLGAIKHKKIALPRMSQEVDTNDCSTSSFVEKGHFVVYSADQKRFMLPLEYLKKEIVRQLFKLAEEEFGVPSNGPLILPCDAVFLQYVISLIKLQLTKDVEKAFLTSIASGHCSSSSCLHQESRNQPSLICSF >EOY00418 pep chromosome:Theobroma_cacao_20110822:2:32176439:32178533:-1 gene:TCM_010281 transcript:EOY00418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase WNK-related MEEHLSPLAVTHLLQHTLRSLCIHENSQWVYAVFWRILPRNYPPPKWDGQAAYDRSRGNRRNWILVWEDGFCNFAASAAEINSGDCTGSSVYGSCEFQHYQGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWIYKEPNDQEINFLSAWHNSADSHPRTWEAQFQAGIKTIALIAVREGVVQLGAVNKVIEDLSYVVLLRKKFSYIESIPGVLLPHPSSSAYPFKVDGYGTPEAWHFPATIAPPTEFYDHFNQPMKITPSMSSLEALLSKLPSVVPPQSSGYCESQPLSESQFLSSQRPVEYIGMEKVAKEEIDEECRPEQDVGESSSSISAYRRQQHFHHHQDLNVTSGRPNSGF >EOY01468 pep chromosome:Theobroma_cacao_20110822:2:39557089:39558089:-1 gene:TCM_011342 transcript:EOY01468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSAWRPCGSGWSFCGRILILVKQFPIFQMQNEYNQTLIQDGFYELTERCTPNFVFGVGVSCPPKWWDMFD >EOY00297 pep chromosome:Theobroma_cacao_20110822:2:30987403:30988426:-1 gene:TCM_047006 transcript:EOY00297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 1 member 1 MSIGSLFLQLFLGLALLTGLAEPDPDPLQDFCVADAKSPFFLNGAPCLNPSLALSSHFTTSALTKPGNTKANPFGYNVTYLTLANLPGINTMGLTMSRQDLAPNGLNPLHSHPRASEVTICLQGALLVGFVDTSNRLFTQQLGPGDAFVFPRGLVHYVYNLDSRNALAISGFSSQNPGTQIASRAAFVSNPLIPDEVLEKAFQISKNDVAKIRKNLGG >EOX99095 pep chromosome:Theobroma_cacao_20110822:2:8495001:8496789:1 gene:TCM_007712 transcript:EOX99095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MFQALIANLGKCKSFSELKQIHALVITFGLSHHDPISTKLLSFAAFSDTGNVDYAYRVFSRLPTPRVFNWNSIIRGYSNSKNPNKSISAFINMLRAGVFPDHLTYPFLVKTSARLLKPELGGAIHCHALKNGFELDKFINNSLIHMYASCHDIVYARRVFDELPMKNIVSWNAMLDGYAKCGDMALARQVFDWMPQRDVVSWSCLIDGYAKSGDYKEALAVFEGMRVWGPKANEVTMVSVLCACAHLGALHLGRLMHCYVMDNGLPMTLVLRTSLVDMYAKCGAIEEALDVFRGVSNCKSDVLLWNAMIGGLATHGLVKESLELFAEMQVVGIVPDEITYLCLLSACAHGGSVKEAWYFFECLGKHGMTPKSEHYACMVDVLARAGQVAEAYQFLCKMPMEPTASLLGALLNGCLIYGKSDLAEIVGRKLIELDPDHDGRYIGLSNVYAAVQQWNEARRMRESMERRGLKKSAGFSCVEMPGALHSFVAHDETHPNSEDIYTMLKFIVSQMKLDVHKDNQEYLLYEMKCS >EOY00774 pep chromosome:Theobroma_cacao_20110822:2:35000896:35007580:-1 gene:TCM_010692 transcript:EOY00774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKECIHLEASIKVIGTLEKASVKVTKTLEEAFEELSIHMAKDEDLREKGMDVIGLITPKSFNGHRFILVAIDYFIK >EOY00185 pep chromosome:Theobroma_cacao_20110822:2:28839277:28841783:1 gene:TCM_009915 transcript:EOY00185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLYIFSLLKHLVYHQLHLKLSPNFKKSLSIVVSVNGKCSCQKGREKPKKLWKETIISNLTLNDIVQCIVLCRER >EOY00059 pep chromosome:Theobroma_cacao_20110822:2:24302243:24303696:1 gene:TCM_009475 transcript:EOY00059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEPALEFYQGGLGTHGTSPNSPPFFEAAKIPLVNTREGQKTKASSPLTFRVLDKRNFCNWLLFISATEDKEMVRLWKEVARTDGGVSSQDLSSMVFFFWAALAALSLITAIMLSCAGGASKDKASATHTDAYGSTCAAGCGAGCGG >EOY00033 pep chromosome:Theobroma_cacao_20110822:2:23536735:23538431:-1 gene:TCM_009399 transcript:EOY00033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMAVREAFLIFSSSIWKDNHKLLIDSDSSNVVKWTIHPDMAPWRMRKVVLQLERLKEELEGWEIRHVRREANQRSDALAKQGAYLQYDILRIFSHGFAVEWRKLRGR >EOX97811 pep chromosome:Theobroma_cacao_20110822:2:3555629:3556624:1 gene:TCM_006744 transcript:EOX97811 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MATRFLAKQILRRSVFAASKAASTTGDVVPKGVLAVYVGETQKKRFLVPVTFLNQPSFQALLSKAEEEFGFDHPMGGLTIPCREGVSLTSLPS >EOY02131 pep chromosome:Theobroma_cacao_20110822:2:42055094:42056708:1 gene:TCM_011858 transcript:EOY02131 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein MQSSSFTYNNISSRESACYEADDVEDEDSIILSLGPPGQGQDISRYPLSYPASNKAPNCPNPTSTDQSGVTVALHIGPPAIETSSSNSNDIRSNKLVEGQYWIPSPAQILVGPTQFSCAVCNKTFNRYNNMQMHMWGHGSQYRKGPDSLRGTKPASSMLRLPCYCCAEGCKNNIEHPRSRPLKDFRTLQTHYKRKHGAKPFGCRKCGKPFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVRAFGDGHAPHTVEYCEVEEEEEEEDDDDDNDEDNEDGSHGPLFFSN >EOY00532 pep chromosome:Theobroma_cacao_20110822:2:33241791:33243561:-1 gene:TCM_010413 transcript:EOY00532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S27a / Ubiquitin family protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGGD >EOX97787 pep chromosome:Theobroma_cacao_20110822:2:3441612:3442157:1 gene:TCM_006717 transcript:EOX97787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWFCMALLLNLLVQVVGWVAGWFLYMCIGYCLFSCMFFVVTGVRTWAGLWVLLVSVPCSGEVDTGL >EOY01793 pep chromosome:Theobroma_cacao_20110822:2:40922793:40925469:1 gene:TCM_011609 transcript:EOY01793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase 3, putative MESKLLGQSFPSILNQNAAKFATPKSRFTQRTTLKVVGSNFGANVSPSLRVSSPSSAIRFSKKQRMDESETLTLKNIRHSLIRQDDSIICSLLERSQYRYNQDTYDKHAFSMEGFHGCLVEYMVRETEKLHAQVGRYNSPDEHPFYPQHLPEPMLPPLQYPKVLHPCADSININDMILTVYFGDILPRLVQMGDDGNYGSTAVCDTICLQALSKRIHYGKFVAEAKFRESPTAFEAAIKAQDNSRLMELLTYETVEAAVKKRVAMKTKAYAQERNQTDDPADADPVYKIQPNLVGYLYDNWLMPLTKKVQVEYLLRRLD >EOX97604 pep chromosome:Theobroma_cacao_20110822:2:2816973:2818050:1 gene:TCM_006583 transcript:EOX97604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVEFFFFFFISSTKREVFLCERFWLWPPTTYINSLSLSFLSCFSISLLFLPLILSRQDHKRPTMESLKPAKQWSSFGLHTTMIIEREEIKKREREREKIHSLERVNEIKFCGLWYSTSPHKMKGITRGSTGCLPRTLLLPVLRCIHLHPLSCYTC >EOY01765 pep chromosome:Theobroma_cacao_20110822:2:40849670:40853397:-1 gene:TCM_011591 transcript:EOY01765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-related protein 1, putative MRYDHIFDILVTDKLFARALPDLTMASEKDRTPTSSELFSSAKVVAGAAKSTFSNESDKVDKGKVAGAAADLLGAAKGYGKLDQEKGIGQYVDKAENYLHQYESSGATPGTKKSDTPTNPEPAKSEPHASGGDGKDSGSAGVGDYVKVAQGFFK >EOX99992 pep chromosome:Theobroma_cacao_20110822:2:21390632:21391773:1 gene:TCM_009199 transcript:EOX99992 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger A2A, putative MGLQSQLTDVSSDSIPLLLVALVANCLGCLRNLLLDLLQSTGLLPCPDRTPTTIDDVGVLGSGLAGLIVLAEQLNLNKVFSYRYCGGAGGGGSDCVVCLCTLRDGEQVRKLDCCHVFHKDCFDGWLDHLNFNCPLCRSPLKTDQRVKTTPRRVGEDLLAWFSLR >EOX97621 pep chromosome:Theobroma_cacao_20110822:2:2890553:2892619:-1 gene:TCM_006598 transcript:EOX97621 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein MIVCVAVVGYQNNPLYIQSFTDADDALKLHHIVHCSLDVVDERVNNPKKSGPTLNETFLGLLYPTENYKVYGYLTNTKVKFILVTTDLDVRDADVRNFFKRFHAAYVDAVSNPFHVPGKKITSRTFAERVSTIVKSFGLSSVG >EOX98332 pep chromosome:Theobroma_cacao_20110822:2:5408302:5410017:1 gene:TCM_007120 transcript:EOX98332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRWRQAAFNVEIMVMVYGWVVLGWQTQREEGTIRKQLEHNMTQNYRQLMKKASKELAMELGDDGDITFLVVLFDRKLATYMFDSEMVDWWVYFWTPLFLPSEAALALAMPYLQNGKNWTTFVLPNRSRTRDCLYTFMVK >EOX96922 pep chromosome:Theobroma_cacao_20110822:2:522763:528696:-1 gene:TCM_006056 transcript:EOX96922 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S19 MRGKFFVLRQAFNLQSTSSSSFPLKSTSTLIPKTDLSISSTPENLNALTIFSQFSRTFCSSSSVSSNTRQRSARLPFVDAFLSKIKKNKDLLANRKIWSRRSTILPEFVNQTVRIYNGKTFVRCKITEGKVGHKFGEFALTRKRKHLRTKQEPAKRKGKK >EOX97543 pep chromosome:Theobroma_cacao_20110822:2:2617759:2622009:1 gene:TCM_006534 transcript:EOX97543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho guanyl-nucleotide exchange factor 1 MGSVSSDDGSDQQSVRCGSYSLSADVSESESCSSFSCRRFDGEGCSSSMTSSPRLLAAGGFGFQVPVMLPVIGGKDVVIWDDEKPEKRDNNLSEVELMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLAPQKKAMWRREMEWLLCVSDSIVELVPSIQQFPGGGTYEVMATRPRSDLYINLPALKKLDAMLISMLDGFLETEFWYVDRGIVVGDGGDCDAYPSSVSGGRPSIRQEEKWWLPCPKVPPNGLSEDARKKLQQCRDCTNQILKAAMAINSSVLAEMEIPTAYLETLPKNGKACLGDIIYRYVTADQFSPECLLDCLDLSTEHHTLEIANRIEAAVHVWKQKDHRKHMTHGKVRRSSWGGKVKGLVADNEKNNFLAQRAETLLHSLRLRFPGLPQTALDMNKIQYNKDVGQSILESYSRVMESLAFNIMARIDDVLYVDDAIKRCAAAETISLFSRSSLSGLPIQKRMSPSPFSIQHSPYASPFATPTFCSSTPLTGSPGRAASSLKRNGLKEEPDWKLEKAYGAEFERVWSYTGSLSARRISENAPERD >EOY00861 pep chromosome:Theobroma_cacao_20110822:2:35568024:35573884:-1 gene:TCM_010781 transcript:EOY00861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat MTVHGSSGGGFIVRKPVFPVSYEQEVSQRLVDAFHENDVKLASECLADPFVEVNFTGTVSLKAKKTEILLHEEAAHEVLVDYEEFKTEVTALFLAAHVGNLSLVKKLLSLGANLNHKLFRGYATTATVREGHMEILEVLLNAGACQEACEEALLEASRLGYTRHTKRLMATDMIRPHVALRALVSACCRGFVDVVDTLIKFGVDANATDRVLLRSSKPSLHANIDCNALAAAVVSRQTSVVRLLLQAGIKVDLKVRLGAWSWDIDTGEEIRVGAGLAEAYSITWCAVEYFEASGAILRMLLRHLSPNTLHYGRTLIHHAILCNNALAVEVLLNCGAEVDFPIKTTSRTELRPIHLAAKLGFSKVLQCLIVPGCDINSRTAFGDSALMICARYKREDCLKVLASAGADFGLVNSAGQSASSIAGLTRWTHGFHQAVVDVIQAGKTPQSSNPSVLSPLMFTIQANEIEALKTLLKRTDINLNEQDDDGYSAVMMAASGGHVEIFRLLLSAGANVNLSNKYGDTAISLLELNQNGDVFDQLMLEFALEEANGPIGFYALHRAANRGDLNMVHTLTSRGCDVNAFDADGYTPLMLAARGGYGGVCELLISCGAKCDIENARHETALSLARKKAYENDAENVILNELARALVVDGSRMKKHTRCGKGSPHSKVLRMMESAGVLRWGKSSRRNVICKGAEVGPSEKFRWNRRRKFDVEEPGMFHVLTTKNKEVHFVCDGGVEMAQLWVRGIRLVTREAIFCEQK >EOY01213 pep chromosome:Theobroma_cacao_20110822:2:38314009:38319101:-1 gene:TCM_011157 transcript:EOY01213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTMSIRPTSCIRPCIVLNKPFGPGLERRFITQFKAAMKIEFEMTDLERMKYYLGFQIDHFDQGIFIS >EOX99265 pep chromosome:Theobroma_cacao_20110822:2:9600022:9601563:1 gene:TCM_007870 transcript:EOX99265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMCPNFFLIQEDFTFTKVMSCAPRERKMRRVGGVQVDQLQGRASSAGYLSLFVLLFPDYIREWDHFCLKNFRVQSME >EOX98331 pep chromosome:Theobroma_cacao_20110822:2:6356181:6359477:-1 gene:TCM_007119 transcript:EOX98331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial HSO70 2 isoform 2 MATAALLRSFRRRDVASAPLSAYRCLTSNGKTSGGINWASFSRAFSSKPAGNDVIGIDLGTTNSCVAVMEGKNPKVIENSEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTVFGTKRLIGRRYDDPQTQKEMGMVPYKIVKAPNGDAWVEANGQQYSPSQIGAFILTKMKETAESYLGKGVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVSEFKKTEGIDLSKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFESLVNHLIERTKTPCKNCLKDAGISTKDVDEVLLVGGMTRVPKVQEVVSAIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMASDNKLLGEFELVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATGKEQQITIRSSGGLSEDEIEKMVKEAELHAQKDQQRKALIDIKNNADTTIYSVEKSLNEYRDKIPSEIAKEIEDAVSDLRKAMEGENVDEIKAKIDAANKAVSKIGEHMSGGSGGAQGGSAGGAQGGDQAPEAEYEEVKK >EOX98330 pep chromosome:Theobroma_cacao_20110822:2:5401665:6359569:-1 gene:TCM_007119 transcript:EOX98330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial HSO70 2 isoform 2 MATAALLRSFRRRDVASAPLSAYRCLTSNGKTSGGINWASFSRAFSSKPAGNDVIGIDLGTTNSCVAVMEGKNPKVIENSEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTVFGTKRLIGRRYDDPQTQKEMGMVPYKIVKAPNGDAWVEANGQQYSPSQIGAFILTKMKETAESYLGKGVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVSEFKKTEGIDLSKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFESLVNHLIERTKTPCKNCLKDAGISTKDVDVPPAPRGMPQIEVTFDIDANGIVTVSAKDKATGKEQQITIRSSGGLSEDEIEKMVKEAELHAQKDQQRKALIDIKNNADTTIYSVEKSLNEYRDKIPSEIAKEIEDAVSDLRKAMEGENVDEIKAKIDAANKAVSKIGEHMSGGSGGAQGGSAGGAQGGDQAPEAEYEEVKK >EOY00888 pep chromosome:Theobroma_cacao_20110822:2:35697028:35699635:-1 gene:TCM_010807 transcript:EOY00888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSRNTSFQLLFVFFLPLVSRFYHLEATEFNSNVGYMEMERKALLSIKGLREPFGRLSSWIGEDCYNWAGVGCSNQTGHIVDLRNKEREVN >EOX99438 pep chromosome:Theobroma_cacao_20110822:2:11227374:11229326:-1 gene:TCM_008120 transcript:EOX99438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLSMFFVICILHSLIAITSGSLIMFYLNEIYIFAHGVETAQKLSGSTPHDKLLIQTSNSFVGLLLFVVGFLVFMVAFVKDKKFQSFFAKGCVLLHLSMALWRVNFEIRLEDLSWDWPRQVVGDFVLALSWVFFLLYTWKEKYD >EOX98315 pep chromosome:Theobroma_cacao_20110822:2:5310584:5313287:1 gene:TCM_007102 transcript:EOX98315 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 73B3 MSSQNRQLQIFFLPFMAHGHMIPFTDLVMLFAAKGVKTTIITTTLNVPHISKVTERAKSLGYEINILVTYFPSVEAGLPEGCESFDQASSPDMQFKFFTATTMLREPLAHLLQAHRPDCLVADMFFPWVTDVAAAFGIPRIVFHGTCVFSLSATEHIRLYEPHKKVSSDSEPFVIPNFPGEIKLTRSQMPDFVRQETGFSKFYSESRETELKCYGVIVNSFYELESAYADHYTKVLGRRAWHVGPISLRNRGTIDKTERGKKACIDDNECLAWLNSKKPNSVVYICFGSVTNFGSSQLLEIATGLEASGQQFIWVVRKEKKNEEEKEDWLPEGFEKRMEGKGLIIRGWAPQVLILDHEAIGGFVTHCGWNSTLESVCAGVPMVTWPVAAEQFYNEKLLTQILRIGIGVGAQKWARLVGDFVKREAIEKAVREIIVGDKADEMRSRAKALAESGRKAVEKGGSSDADLNALIQELSACALKTCK >EOY00346 pep chromosome:Theobroma_cacao_20110822:2:31514843:31516814:1 gene:TCM_010198 transcript:EOY00346 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 74B1 MEDKEIKGHVVVLPYPSQGHINPLLQFAKRLASKGVKATLATTHYTVESICAAHIGVEPISDGFDQGGFSQAGNVDFYLKSFRENGSRTLSQLIQKFQNSTTPVNCVVYDSFLPWALDVAKQHGIYGAPFFTNSATVCSIFSHIHRGLLPLPLMPESTPVKLPGLPPLNYPDLPTFLRFPDSYPAYLAMKLSQYSNLDEADWIFDNTFEDLEGKEARGVSEIWPAKLIGPMVPSAYLDERIKGDRGYGSSLWKPLSEECMEWLETKPFQSVVYASFGSMVSLTEEQMEEIAWGLKESNLHFLWVVRESEQKKLPKWLVDSTKEKGMVVTWCNQLEMLAHPAVGCFVTHCGWNSTLEGLSLGVPMIGVPKWTDQLTDAKFVEEIWEIGVRAKEDEEGVVRREELMKCLKEVMEGKKGKKMRKNAKKWRESAKKAISEGGSSDDCINEFVEHLMASYKSLNGHY >EOX99774 pep chromosome:Theobroma_cacao_20110822:2:16161385:16162051:1 gene:TCM_008687 transcript:EOX99774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEATQKKGLFKGKVAKCFSRVTKRTSHPQQSRSGSSKVGPCPTPPSIENGNGFLTGRNLGNYSSIPKVSTYSYASSKQPTSFHEQKNVANPIPSSTQKVSYASFMPTKYSFYDQNGYANDTWGHGDENVDFKATSYISNVRERFELDRSS >EOX99275 pep chromosome:Theobroma_cacao_20110822:2:9794293:9799725:1 gene:TCM_007894 transcript:EOX99275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MVFPDEASQRLEGKYAAMVVCWLLGNGCLFSWNSMLTIEDYYGYLFPHYHPSRVLTLVYQPFALGTLAILAYNEAKINTRRRNLFGYILFFLSSLAVLILDLATSGKGGIGTFIGICVVSGAFGVADAHVQGGMIGDLSYMNPEFIQSFVAGLAASGVITSGLRLITKAAFENSQDGLRNGAILFFAISAFFELLCVLLYAYVFPKLPIVKYYRSKAASEGSKTVAADLAAGGIQTLPQTAEEDQKHQERLSNKKLLLENIDYALDMFLIYVLTLSIFPGFLSEDTGKHSLGTWYALVLIAMYNVWDLIGRYIPLVKFLKLESRRGLTTVILFRFLLIPAFYFTAKYGDQGWMIMLTCFLGLTNGYLTVCVLTSAPKGYKGPEQNALGNLLVLFLIGGIFAGVTLDWLWLIGKGCEEDSRSPYLQGSRLFQESFAVCLLLASPKPSWRGINSMTPIGSPPTVVVYYEHLKA >EOX99276 pep chromosome:Theobroma_cacao_20110822:2:9794214:9799334:1 gene:TCM_007894 transcript:EOX99276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MVFPDEASQRLEGKYAAMVVCWLLGNGCLFSWNSMLTIEDYYGYLFPHYHPSRVLTLVYQPFALGTLAILAYNEAKINTRRRNLFGYILFFLSSLAVLILDLATSGKGGIGTFIGICVVSGAFGVADAHVQGGMIGDLSYMNPEFIQSFVAGLAASGVITSGLRLITKAAFENSQDGLRNGAILFFAISAFFELLCVLLYAYVFPKLPIVKYYRSKAASEGSKTVAADLAAGGIQTLPQTAEEDQKHQERLSNKKLLLENIDYALDMFLIYVLTLSIFPGFLSEDTGKHSLGTWYALVLIAMYNVWDLIGRYIPLVKFLKLESRRGLTTVILFRFLLIPAFYFTAKYGDQGWMIMLTCFLGLTNGYLTVCVLTSAPKGYKGPEQNALGNLLVLFLIGGIFAGVTLDWLWLIGKGCEEDSRSPYLQGSRLFQVAHQLLSSTMSI >EOX97775 pep chromosome:Theobroma_cacao_20110822:2:3392200:3394178:-1 gene:TCM_006701 transcript:EOX97775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone and stilbene synthase family protein MGEEAQEGFAKRANPGKATILALGKAFPHQLVMQDFLVDGYFKNTNCDDPELKQKLSRLCKTTTVKTRYVVMSDEILKKYPELAIEGLPTVKQRLDICNNAVTEMAIEASRACIKKWGRPMSDITHLVYVSSSEARLPGGDLFLAKGLGLSPETQRVMLYFMGCSGGVAGLRVAKDIAENNPGSRVLLATSETTIIGFKPPSADRPYDLVGVALFGDGAGAMIIGSDPVLSIERPLFELHTAIQNFLPNTEKTIDGKLTEEGISFKLARELPQIIEDNVEGFCEKLMGIVGLTDKDYNNMFWAVHPGGPAILNRMEKRLDLFPEKLNASRRALMDYGNASSNTIVYVLEYMIEESLKRKTESREDSEWGLILAFGPGVTFEGILARNLTVE >EOY01215 pep chromosome:Theobroma_cacao_20110822:2:38340038:38341061:1 gene:TCM_011161 transcript:EOY01215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVFKWSPDFEAEKESMIAPIWISFPNLKAHLYEKSALLLIAKTVKKSLFIDEATANGSRPSVARGCMEYDCRKAPVDQVWIVVKDRVTRAVIGGYAERVEFSKMPKYCDHCCHVGHSVSNCLVLRNWPKKQGKPPGNKPLKDDGRES >EOX97241 pep chromosome:Theobroma_cacao_20110822:2:1689796:1695249:1 gene:TCM_006321 transcript:EOX97241 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA synthetase class II D MTQSVKPFFLCSQNGQSPRSVLTPENLRKMSMLLKSFIFLSFRARPLAVFPSVLLPKSPPRNSRTLSSVSDSSHPSPPSPSLSPETPKPIAPLAPSKDSLQWVSRTAFCGDLSSEDVGSRVRLCGWVALHRVHGGLTFLNLRDHTGIVQITSLPDEFPDAHAAIKDLRLEYVVAVDGLVRSRPSEAVNKKMKTGTIEVAAEHVQILNAVRSKLPFLVTSADDAKDFVKEEIRLRYRCLDLRRHQMNFNIMLRHRVVKLIRRYLEDVHNFVEIETPMLSRSTPEGARDYLVPSRIQPGTFYALPQSPQLFKQMLMVSGFDKYYQIARCFRDEDLRADRQPEFTQLDMEMAFTPLEDMLRLNEDLIRKVFLEIKGVQLPNPFPRLTYAEAMDRYGSDRPDIRFDLELKNVSDLFLDSPFRLFADTLKNGGIIKTLCVPSGAKKFSNTALKKGDVYNEAIKSGAKGLPFVKVLDDGEIEGIPALVTSLGPTNREQFLRQCSAGPGDLILFAVGHHTPVNRTLDRLRVFIAHELGLVNYSRHSILWVTDFPMFEWNELEQRLEALHHPFTAPHPEDMEDLSSARALAYDMVYNGVEIGGGSLRIFKREVQQKVLETVGISPEQAEAKFGYLLEALDMGAPPHGGIAYGLDRLVMLLADANSIRDVIAFPKTTTAQCALTRAPSEVDIQQLKDLSLVTQ >EOX97431 pep chromosome:Theobroma_cacao_20110822:2:2250611:2251271:1 gene:TCM_006445 transcript:EOX97431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility protein S1 family, putative MHAPAVIGNALVILLILSFCNSVRTDNVHVSVKNRLGNGKNLTLHCQSKDTDLGEQNVGDGGEFGWDFSVNVWGSTLFYCDMGWDAVQQYHFDAYSFDRDFARCETQCSWLVSGEGMYGLNGETGFWEFAYDWPS >EOX98621 pep chromosome:Theobroma_cacao_20110822:2:6450552:6462495:1 gene:TCM_007331 transcript:EOX98621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, putative MGNIGFLLPLVVCVLVLSNFGAALSSELPNITTDQLALLALKSHVTHDPQNILATNWSMTTSVCSWIGITCGSDQQRIIALDLSNMSLIGTIPSHLGNLSFLAQLNIQSNNFRGSLPKELANLSWLEYIDISQNNFNGEIPSWFDSFTKLHKLSLYSNNFSGVIPPSLGSLSNLKELLLHDNDLKGQIPITIGDLSNLKYLNLEQNHLSGRIPTSLFKCQELEALILSSNVLEGSVPQEIGNLTRLKNLYLDRNSLTGKIPISLFKCRELEVLILYDNALEGSVPLEIENLTKLNKLDLSFNNFTGEIPSVLGNLTSITRLGFSNNNLTGQLSSATFDQLLKLQYLNLRNNHLSGTIPISLFKCQAVETLNLLENALEGSVPQEIGNLTRLNYLYLGVNNLQGDIPSAVGNLTSIKNLNLRHNNLTGQLPLTIFDQLHKLQYLHLGSNHLSGRIPTSLCKCQELTVLSLYGNALEGSVPQAIENLTRLSYLSLYWNNLTGVIPSTIRHLTSLTLLDLKYNNLTGIIFLLLFIRFV >EOY01531 pep chromosome:Theobroma_cacao_20110822:2:39867745:39869948:-1 gene:TCM_011395 transcript:EOY01531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein, putative MRSLMKSSDKDLASEPGNPTRDVFRGQSESENFSKLVLDTLHQYLIADFHPLLSVSLFQQVIPWGDLDSLAMLQRPLDVDILVTGRTYQFKAYKHEGGIVINPGSATVAYSSFTYDVKPSFAFMDIDGLSIGVYVYELIDKIDFKKTATSGSARNLLL >EOX97346 pep chromosome:Theobroma_cacao_20110822:2:2039543:2042891:-1 gene:TCM_006398 transcript:EOX97346 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 10, putative MGSAVPHPFHFQWDLWAPLPSSSSSRVGPTSLHVITTAASLRLCHLLRHHLRLGFLLRSSFKRLSHLCNVPRLKDVWSETTSNLKGIDIFQFTNDAFTSPCSSYLCFFDGEEKKREFGNEGSRSFKMGTSSSRRNSFNRRKWTNILIAINVLIYVAQLATQGKLLLMGAKINSLIDKGQIWRLATSSLLHANIGHLMVNCYSLNSIGPTVENISGPRRFLAVYLTSAISSAATSYWFCKAPAVGASGAIFGLVGSVAVFVMRHRGMIRDAKEDLQHIAQVIFLNMVIGLMSKGIDNWGHLGGLLGGAAMSWLLGPAWKYESMASDGRRIFSDQAPLFYLIDRKRKPR >EOX99662 pep chromosome:Theobroma_cacao_20110822:2:13180165:13186822:-1 gene:TCM_008395 transcript:EOX99662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTFARKCLTSHSSQRDTQGHTQVALPFACCCTTYQRLPPEREVEFCIDLVQDMTSISIPPYQMALAKLKELKDQLEDLLDKGFICPSVSSWGASILFVKKKDGPIRLCIDYRVFRPYLDKFVIVFIDNILVYLRRGYIVYFDASRVRLECVLMQHGKVIVYALRQLKKHEQNYPTYHLEMAAIAFALKIWKHYLFGTTKTYHDLWEMYRWEGLKKDVAKFIAKYLVGQQVKAEH >EOX98130 pep chromosome:Theobroma_cacao_20110822:2:4653768:4654374:1 gene:TCM_006965 transcript:EOX98130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLFSKLLSQTDIRKRLSIPMKSFQSFPRFKAAHALDLQVKDESGVLWQFRLCIRKKNYLKPVFSTGWGKFVQSKNLQVGDKVKLYKEADQASGAQFKIKAKKAVKIFGVVFGYAKA >EOX96916 pep chromosome:Theobroma_cacao_20110822:2:500349:502128:1 gene:TCM_006053 transcript:EOX96916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfiredoxin isoform 3 MANFVLQLPTSNLRSFSVYASSNGAPMGTGSQSGGPVILELPLDKIRRPLMRTRANDPNKVQELMDSIREIGLQVPVSLVVIVMRLTSALGSQQSVAKFDVEPKKLSGIIFAEL >EOX96914 pep chromosome:Theobroma_cacao_20110822:2:500337:502175:1 gene:TCM_006053 transcript:EOX96914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfiredoxin isoform 3 MANFVLQLPTSNLRSFSVYASSNVECKLGAPMGTGSQSGGPVILELPLDKIRRPLMRTRANDPNKVQELMDSIREIGLQVPIDVLEVEGVYYGFSGCHRYEAHQRLGLPTIRCKVRRGTKETLRHHLR >EOX96915 pep chromosome:Theobroma_cacao_20110822:2:500349:502128:1 gene:TCM_006053 transcript:EOX96915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfiredoxin isoform 3 MANFVLQLPTSNLRSFSVYASSNGAPMGTGSQSGGPVILELPLDKIRRPLMRTRANDPNKVQELMDSIREIGLQVPIDVLEVEGVYYGFSGCHRYEAHQRLGLPTIRCKVRRGTKETLRHHLR >EOX98833 pep chromosome:Theobroma_cacao_20110822:2:7407271:7413493:-1 gene:TCM_007512 transcript:EOX98833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic peroxidase 2 precursor MEGVYTKQKYFFVFLLSAMAGTLVQGQGTRVGFYSRTCPRAESIVRSTVQSHFRSNPSVGPALLRMHFHDCFVHGCDASILIDGPNTEKTAPPNLGVRGYEVIDDAKAQLEAECPGVVSCADIVALAARDSVVLANRPNWRVPTGRRDGRVSLASDTTTLVGFRESVDSQKEKFAAFGLNTQDLVTLVGGHTLGTTACQFFSYRLYNFTTTGNGDDPSINPAFVSQLRALCPQDGDRTRRVALDTGSANRFDSSFFGNLRNGRGILESDQKLWTDASTRTIVQRFLGVRGLRSLNFNVEFGQSMVKMSNIGVKTGAEGEIRRICSAIN >EOX99077 pep chromosome:Theobroma_cacao_20110822:2:8378206:8382089:1 gene:TCM_007692 transcript:EOX99077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroorotate dehydrogenase, putative MATKAAGKLFRDFMRKRVISTSVGAYRHCSSAATSAPKIPHSSKKGRLLTGATIGLIIAGGAYVSTVDEATFCGWLFSATKLVNPFFALLDAEVAHTLAVSAAARGWVPREKRPDPPILGLEVWGRKFSNPIGLSAGFDKNAEAVEGLLGMGFGFVEVGSVTPVPQEGNPKPRIFRLRQEGAIINRCGFNSEGIVAVAKRLGAQHGKRKLETSSSSSPSSDEANHGGKAGPGILGVNLGKNKTSDAAAADYVQGVHTLSQYADYLVINVSSPNTPRLRMLQGRKQLKDLVKKVQAARDEMQWGEEGPPPLLVKIAPDLSKED >EOX97211 pep chromosome:Theobroma_cacao_20110822:2:1633576:1635817:1 gene:TCM_006302 transcript:EOX97211 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MGFTTWAPSPSPTPSSSPSSSPPPSSSSSTSSSSSSSSSPPPSKLPVDFSPPLIAMVVVVAAAFLIVTYSRLISKRLVPPILRLLRFFRRRRRRRRYLPSTTTDLDSLPPSDPFELPLSPYGLDDSAIKTLPLSLYTSKTKPHNSPKDCAVCLLEFEDDEYVRTLPVCSHAFHVDCIDAWLKSHANCPLCRAGIFATESPFTPLMAARIRPSLDDTVLPNSTLDSLTETPFQSLPNNTITEITEEPSPRRANGNSNCEERFNFVLKRSYSFGFERSLAAERMITEPNTASPWRYRRGGNGFWSKRASPFGSLMTKPRVFSFRYYRGMKASPFFRRRGFFPLSESSVRFTGSGGGCSSRRTKSMASPMFLRSAASSVAAFSSSRLRCGDPEALLSPERFNRR >EOX97004 pep chromosome:Theobroma_cacao_20110822:2:886384:889744:1 gene:TCM_006131 transcript:EOX97004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein MSNEYDYLFKLLLIGDSSVGKSCLLLRFADDSYVDSYISTIGVDFKIRTVELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTEMESFNNVKQWLNEIDRYANDSVCKLLVGNKCDLVENKVVDTQTAKAFADELGIPFLETSAKDSINVEQAFLTMAGEIKKKMGNQPTANKSAGTVEMKGQPIEQKSNCCG >EOY01024 pep chromosome:Theobroma_cacao_20110822:2:36885404:36888105:1 gene:TCM_010953 transcript:EOY01024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pro-like protein MSVEVTWRAPWMPHHPVLYKCGNEPWVPLMGPWGAISYAPIMVRRQFGSEQFVPMTHRLNSLEFAYGEPGFLKRIEEITQAWKKTSRVDQGRYTDEVTTGYQIRHDQRVKDVVYPKEDALRGPVDPEPRDALLESELARKKSEAENASWKQRYEDLQKECEKMKREVSEQRKKVRKMEGKYESLNDKFSATTSELQREIQVRENRGNELQTHNDGLRRQVRFQQESIQLLRQEYEELEGVMTTYQQEYERLKQQSTRIQEWGESYRQAYTEKYNQMDYLVWQMRERARIMEEEQRERMDRMERAQEEMREQLAKMMELMMSLSKGKRAIEEPTPSENPPAQDSGNQMDDPSYPPRFTPPHAQTSQRVHPQVIPPVYYNAPTPLGHQPTHGQFGPYPGINPAEPIHVPYLDDPKEQEKLRKDSSQTGENEKDQKKYDLLEERLRAIEGVDRFGTMDATELCLVPDVLIPAKFKVPEFEKYDGTKCPMAHITMYCRKMAAQSHDDKLLIHFFQDSLTGSAARWYVQLDRNRIKTWKDLARAFIAQYKHVAELAPDRLSLQTMEKKQSENFKEYAQRWRDTAAQVQPPLTDKEMTVLFINTLRAPFYERLIGNATKNFADLVLSGEIIE >EOY00517 pep chromosome:Theobroma_cacao_20110822:2:33188548:33190569:-1 gene:TCM_010401 transcript:EOY00517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAWSPMWVLLLYALLALQAQVTGRSIKKKKKKKKREKRPRCDFVNQRKNESNERRGKWKSFLGAQYKIRDVCSPTEKKLNGKEMMAAFSSGAFFFLFHL >EOY01556 pep chromosome:Theobroma_cacao_20110822:2:40038069:40040657:-1 gene:TCM_011419 transcript:EOY01556 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MLQVQLTKMEWPSFSMSLLVSVLLSFWWATLGQTHEGFLQCLLLHSDDNVAPISNAIYTQNNSSFASVLDFPYGNLRFSTPTTPKPLVIVKPTHVSHIQATVNCSRKHGLQVRVRSGGHDHEGLSYVAQDSFVVIDLIDLRSINVDVGSKTAWIQAGATIGELYYRIAEISTTLAFPAGVCPTVGVGGHFSGGGYGMLMRKFGIAADHIIDAHLVDVDGRILDRKSMGEDLFWAIRGGGGASFGVVVAWKVELVSVPPVVTVFTINKVLEENATKLVHRWQYAAPKSPEDLFLRIFFTDVNSTQEGKRTIQASFVSMFLGRTDQLVKLMQENFPELRLVKEDCHEMNWIESIIYFAEIPNSSPLDALLDRNLYPETFFKAKSDYVKEPIPETALEGLWQRFYEEKPGTVELIFSPYEGKMDEIPESETPFPHRAGNLYKIYYEVLWEEKDNLAPQKHLDWMRRLYSYMAPYVSKSPREAYVNYRDLDLGTNNKGNYTSYRQASKWGVRYFKDNFNRLVRVKTMVDPHNFFRNEQSIPPLVRE >EOY00912 pep chromosome:Theobroma_cacao_20110822:2:35844910:35846489:1 gene:TCM_010825 transcript:EOY00912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 20 MAVQLLLSLVFFFLPSLSFSASNIISNAAQVLSDIGMVSMSLTLQYGPQTLIPQTQNLTIFSPSDTVFAKSGQPSLSLLRFHFSPQSLPLSFLNALPFGSKLTTLSPSHSLIVTSSPSDDQLSLNGVKIGKTPAYDDGTLVVYKLDKFFDPSFKVSKHGCVGADTFRSFNSFDKAIEALRSKGYFAMASFLDSQLESPRANVKLTIFAPSDEMIKPFMSNWSVYPSIFLSFIVPCKIPGRDLVNWDEGVVLETYLGGFNITVAKSDANVTINGAKVMVRDLFQSDWLVVHGLSDSGGLARVAARSGAAENSKLDKILLAICCLFLSKFLLSPNYPSLVICPSCLFWVRVGSGF >EOY00503 pep chromosome:Theobroma_cacao_20110822:2:33078815:33084701:-1 gene:TCM_010387 transcript:EOY00503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantothenate kinase 2 MDLLADVSNQQNSEPGSQISHLALDIGGSLIKLVYFSRNNDSRRDDDQQKSRNESLGVSQSDTSQPVLEGRLHFAKFETSKINDCLEFIRSKKLLLGGFHDHTAPSSDKIFIKATGGGAYKFADLFKEKLEISLDKEDEMDCLVTGANFLLKAVHQEAFTYLDGQKEFVQIDHNDLYPYLLVNIGSGVSMIKVDGDGKFERVSGTNLGGGTFWGLGRLLTKCKNFDELLELSHQGNNRVIDMLVGDIYGGTDYAKVGLSATTIASSFGKAISDNKELEEYKPEDISRSLLRMISNNIGQISYLNALRFGLKRIFFAGFFIRGHAYTMDTISVAVHFWSKGEAKAMFLRHEGFLGALGAFMSYEKQSLDGLMVHKIVQRFPISVSSAGDKIYCSLNSDSNQNDDSIECSVYGT >EOY02061 pep chromosome:Theobroma_cacao_20110822:2:41825688:41826740:-1 gene:TCM_011804 transcript:EOY02061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLQIFSLQSGFLISQLPVRYLGVPLVPRRFIKKVTQLCHSFFWKVNDSPVKVLVSVGISSVIPNLKVLGLKNLKEWSKPCILKNTWLILIKSGSLWVAWTSAYILEGRSELWLGQLSVRGILNFMSGLFASAIRGETNEGLTGKNLFGSLVKFPKALPHCLDD >EOY00154 pep chromosome:Theobroma_cacao_20110822:2:27402436:27408578:1 gene:TCM_009773 transcript:EOY00154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein MSLLNQLFNRGVFGAKCKTCLSLAISRIKLLQNKRDLQLKHMRKEIAQFLQAGQEPIARIRVEHIIREQNIWAAYEILELFCEFVLARVPILESQKECPSELREAVASIIFAAPRCSDVPDLLQIKNLFSTKYGKEFVTAASELRPDSSVNRAIIEKLSVSAPSGEIRLKVLKEIAQEHSVEWDSSSTEAEFSKKHEDLLAGSKQVCAEAAVSQSIIRQASIKPSPSNGAKPVLLTNAKQASQHQQAPGPVSKAALLTSSEIEPSAKNCTAGSVSDIKTETTSRPSDVLERARAAIASAECAIAAARAAAELVNVKFGSMKLEGTSS >EOX98345 pep chromosome:Theobroma_cacao_20110822:2:5441617:5443139:-1 gene:TCM_007129 transcript:EOX98345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress enhanced protein 1, putative MALAQVSASLSLSVHVICPISSPRTRTIARLPISSFSRSSSTFATGSPLLIRRTSHQRKPVCKATSVSIKCEQSTQEGNSLDVWLGRLAMVGFAVAITVEISTGKGLLENFGLTTPLPTVALAVTALVGVLTAIFIFQSASKS >EOY01409 pep chromosome:Theobroma_cacao_20110822:2:39325615:39332549:1 gene:TCM_011306 transcript:EOY01409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokin receptor 1 MGTQDCWVMVVVLGFFFQAQLLNSQILTCNPKDLTALQGFMGNLTTKLEGWTTNSSTDCCDWEGITCDPSSSGRVIKLELSKKKLAGILSDSLAGLDQLKTLNLSHNFLINSLPVSLFHMPKLEILDLSYNDFSGAIPESINLPSIRNLELSFNYLNGSLPSHICVNSTQIQFLSLTVNYFSGNILPGLGTCSSLDKLCLGMNDLTGGITEDIFQLQNLTLLGLQDNNFYGELSPGIANLSKLVRLDISSNNFSGEIPDVFNQLQNFQYLLAHSNKFSGKIPSSLSNSPVINLLNLRNNSLEGSIDLNCSAMVALNSLDLATNKFNGPVPDNLPLCRQLKNINLARNTFSGQIPESFKEFHSLSYLSLSNSSLHNLSSALQILQQCRNLTALVLTLNFPGETLPDDPTLHFEKLKVLVIASCRLKGSIPQWLRNITALQLLDLSWNHLAGAIPPWFGSYRDLFYLDLSNNSFTGEIPKSLTELPSLIDGNISLEEPSPDFPFFMKRNESGRGLQYNQIWSFPPTLELGHNFLSGPIWPEFGNLKKVHVFDLKFNNLSGPIPGNLSGMSSLEILDLSHNDLSGTIPPSLERLSFLSTFSVAYNQLSGRIPSEGQFQTFPNSSFEGNNLCGDHWSRCQDATSEDRHESPKSSRRNKVIIIGMVVGIILGTAFLLGLMFVIVLRAHKRGEVDPEKEEPDTNDKDLEELSSRLVVLFQNRETYKELCIDDLLKSTNNFDQANIIGCGGFGLMDREFRAEVEALSRAQHPNLVHLQGYCMHKGDRLLIYSYMENGSLDYWLHEKVDGPSSLDWETRLQIALGAARGLAYLHQSCEPHILHRDIKSSNILLDENFKAHLADFGLARLILPYDTHVTTDLVGTLGYIPPEYGQASVATYKGDVYSFGVVLLELLTGKRPMDMCKPKGSRDLISWVIRMKIENRESEVFDPFIYGKQHDKEMLRVLEIACLCLSESPKVRPTTQQLVSCLDKVDISI >EOY00904 pep chromosome:Theobroma_cacao_20110822:2:35819894:35820518:-1 gene:TCM_010819 transcript:EOY00904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEATSSLCSTTSALSPRPFSGDPLCRRKGLGGEKRAVIKISASKRDAHGRDFDGKLVDESMIVLRKRIHEMSMLEKNHEPPVHWMEWEKQYKKADYDSDVCEAVGYLQSKLMETRPSVALGMGAALLFSVSTSTAVLLFHVMAVLKGL >EOX99341 pep chromosome:Theobroma_cacao_20110822:2:10233676:10235465:1 gene:TCM_007973 transcript:EOX99341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSTVRSKNCSTKSTYNCSPRFPIKRHIAKPCRSFHISYTSSPTAPRAKPRRRFTSAHFPCLINEKAETYHDFCFFFLAFQTDIFWSADLLDS >EOY02025 pep chromosome:Theobroma_cacao_20110822:2:41723885:41726755:-1 gene:TCM_011785 transcript:EOY02025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVGDNAPCSTNKYRFPIDLLQLETLYLNYFFDWKLGLILGRLPTHYDPRNLLILKVFIPQLDLLWIADVLKVCPLMQKLELHL >EOY01214 pep chromosome:Theobroma_cacao_20110822:2:38325809:38329505:1 gene:TCM_011159 transcript:EOY01214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPVLEHVVNLKTEVDLENVLQRFDYDHICLLALGFDPKTLSAEFSNVPSKVAFDEVDEALLYRNILPVSIWKLQRWLQIGEEKKLSKGLKIVDDFVYNCISSKREKLSSKTRVEDDEFDLLTAFIVEEKGEMSVLKGKTAIKSDVLPSGDDVDEGARIFISVYSMGRMEEIWGKDCLEFKPERWISEHGDLVQWQLTYFGIIKLKWWRAKLAAQAVTLSGFTLKMV >EOY00862 pep chromosome:Theobroma_cacao_20110822:2:35573731:35577679:1 gene:TCM_010782 transcript:EOY00862 gene_biotype:protein_coding transcript_biotype:protein_coding description:51 kDa subunit of complex I MAPIKGILSLQRAALFRNSSERWGLGIRSFSTQGATTTGAPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAQKRGDWHRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERKNLERARKEAYEAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAVIPGGSSVPLLPKNICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKIGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIREHAERELLEASA >EOX97952 pep chromosome:Theobroma_cacao_20110822:2:4070460:4075563:-1 gene:TCM_006842 transcript:EOX97952 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14-like 3 MADTLSLPLERQARTGLEKSDVENSEDERKTRLGSLKKKAISASSKFRHSLKKKTRRHSRVMSAAIEDNLDAEELQAVDAFRQALILDELLPAKHDDHHMMLRFLRARKFDLDKAKQMWADMLQWRKDFGADTIMEDFDFKEYSEVVKYYPQGYHGVDKDGRPVYIERVGQVDANKLTQVTTIDRYLKYHVKEFEKTFAIKFPAASIAAKKHISQSTTILDVEGVGLKSFNKAARELLQRLQKIDGDNYPETLNRMFIINAGSGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDANELPEFLGGTCNCADKGGCMTSDKGPWKDPEILKMVQNGEAKCTRRNISGVEEKACRERRHSFDAEPAMDTAEWHAENPPLSPVPESPIKKKCQNSYAYEKFIPMVDKGVDASWPKSVGDNKFATSEDCYPVKDDSRVTEAMSTNIFGGIMAFVMGVVTMVRLSRNMPRKLSEAALYGGQVYYANPMIAGHTRQLPPITSADYFSMMKRMAELEEKVSVLIRKPAVMPPEKEEMLNAALSRVCTLEEELSAAKKALEEALSKQQELQTYIDNKKKKKKKFNPFRW >EOX98007 pep chromosome:Theobroma_cacao_20110822:2:4227888:4232012:1 gene:TCM_006873 transcript:EOX98007 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRNA processing protein-related isoform 1 MGLSSKRRGKAGGKDVALEDFDDSEDEELMVNGDREEEEEEDDDEEEDDDEEEDEEEEVDEDNEEEVEEDSDEGEEEGSVEEDKDGEMEELEKEYKDLHYHEQDILRNLKRHKDEDIQKGQAVKNQKALWDKTLEFRFLLQKAFSSSNRLPQDPVRSSFCAADEAVNVAYSDLITSSKRTLDSLLELQEALLENNPPIAQSVDGNAVQTSKSLSRDSKNLDMEDDEEWLWISQMNRRIAAFRDKAVDKWQRKTEVTTGAAAIKSKLQAFNQNISEQVAAYMRDPSRMIKQMQQRRSTIGIFGPVTEGTKNANGEEAHPEGDPELLDDSEFYQQLLKEFFETVDPTSSAFYALKRLQTKKRKIVDRRASKSRKIRYHVHEKIVNFMAPEPMNLPDMAPKLFENLFGLKTKKPTAQV >EOX98006 pep chromosome:Theobroma_cacao_20110822:2:4227715:4232041:1 gene:TCM_006873 transcript:EOX98006 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRNA processing protein-related isoform 1 MGLSSKRRGKAGGKDVALEDFDDSEDEELMVNGDREEEEEEDDDEEEDDDEEEDEEEEVDEDNEEEVEEDSDEGEEEGSVEEDKDGEMEELEKEYKDLHYHEQDILRNLKRHKDEDIQKGQAVKNQKALWDKTLEFRFLLQKAFSSSNRLPQDPVRSSFCAADEAVNVAYSDLITSSKRTLDSLLELQEALLENNPPIAQSVDGNAVQTSKSLSRDSKNLDMEDDEEWLWISQMNRRIAAFRDKAVDKWQRKTEVTTGAAAIKSKLQAFNQNISEQVAAYMRDPSRMIKQMQQRRSTIGIFGPVTEGTKNANGEEAHPEGDPELLDDSEFYQQLLKEFFETVDPTSSETAFYALKRLQTKKRKIVDRRASKSRKIRYHVHEKIVNFMAPEPMNLPDMAPKLFENLFGLKTKKPTAQV >EOX98977 pep chromosome:Theobroma_cacao_20110822:2:7970311:7974375:1 gene:TCM_007626 transcript:EOX98977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase/3-isopropylmalate dehydratase protein MAASLSQALYLATFTSSASKPSASPISPPSIKVPTFSPLTVQPLSSAFTPNVAHVITLTPHATSTPSSIPSDTSTTTFHGLCYAVGDNIDTDQIIPAEYLTLVPSNPAEYEKLGSYALIGLPSSYATRFIEPNETKTKYSILIGGANFGCGSSREHAPVALGAAGVKAVVAESYARIFFRNSVATGEVYPVESEVRICEECKTGDVVTIELGESRLINHTTGKEYKLKPIGDAGPVIEAGGIFAYARKTGMIPSQ >EOY00384 pep chromosome:Theobroma_cacao_20110822:2:31947252:31951926:1 gene:TCM_010251 transcript:EOY00384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCCFNFELRETLGDNGFFPFKFFFFLFYGLLSLSLACFLGHFSFSLLDAFASDSIFLLLHHSTWLISWFCLVVFFCFSLCGIAFLILIHFFLFVCGFCFYHLYFFTGYGQFASPFGIWRFIICFFFSFEQLRFLGISSFLWMCKFSYKFHCIFLQRDLKINGIVLEARANESFFIQAVSLQEEIEAAKW >EOY01761 pep chromosome:Theobroma_cacao_20110822:2:40838971:40841887:1 gene:TCM_011586 transcript:EOY01761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heparanase, putative MMMGFKGLICFIILLSCLSFSSAEDVKVSIRGATTIARTDDNFVCATLDWWPTEKCNYNQCPWGKAGLFNLDLENKILASAIKAFNPLRVRIGGSLQDQVVYKVGNVKKCTQFKKVEGGLFGFSEGCLPMERWDELNNLFNRTGAKITFGLNALLGRNESKTDKGLWVGDWRVDNSRDLMKYNIEKGYKIDSYEFGNELCGSGVSARVEAKQYGKDVIALKNLVRELYPDPKTQPKILGPGGFYEEEWFKTFLKVTGQDVLDGTTHHIYNLGPGNDPSLITKIQDPFYLSQIAQTFKDVAKIVEKFAPWSEAWVSESGGAYNSGGKDVSRTFANGFWYLDQLGMTSKFNHKVYCRQALIGGNYALLNTTTFVPNPDYYGALLWHRLMGSRVISATQDVSPHLRKSLINSCSVCVLQPGISLLFINLSGEKSFEVTLSNAIDLQVKPNFEFKGYEKREEYHLTPADGNIQSDVMLLNGNPLKLKGESEIPEMKPTRVDPKTAISVASHSIVYVTIKDFHAPACA >EOY00423 pep chromosome:Theobroma_cacao_20110822:2:32239952:32242396:1 gene:TCM_010289 transcript:EOY00423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLLEKKETIIFRNKFVLDKLTNIFLNQLDMIGIVYVQKHLLIKELVNKVLKNSTYVKILSTVGVTQDEVVLYIEVIPTVKIVPDAGVVTDDAEATPNVEVVPNVGTITDDVEATLANLCAFSFLMQQHRDFSNAFRTHVTNTE >EOX98867 pep chromosome:Theobroma_cacao_20110822:2:7589384:7600065:1 gene:TCM_007544 transcript:EOX98867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase 2 isoform 2 MGHGSSKEDDSSSSSSSDADTKPSRISRLKQRLRLHRHRHHRTRGSSSQQKLNAAEDFAGIALLTLINAEMKFKDKWLACVSFGEQTFRTNISDQTDKPNWNCERKLLLERNGPRVARISVFETNRLSKNNLIGYCDINLLDYLARDSDSDCEAFDLLDPVSSDVVVGRICLSCNVEDPIETEKNFARRILSIVDYNEDGMLSMSEFSDLINAFGNNVAASKKEELFKAADKNGDGVVSMDELAALLALQQEKEPIMNCCPVCGEIVEVSDKLNTVIHLTLCFDEGTGNQVMTGGFLTENQASYGWMFKLSEWAHFSSYDVGLNSGSSASHILVFDRKTKRLVEELIDAKIVLSMRAIYQSKIGLGLMDKGAKEILQSISERQGRQMNSVESAKDILKFVEFFKDQINMAEVKYPLDHFKTFNEFFVRELKPGARPIASLEHDDVAVCAADSRLMAFKSVQDSLRLWIKGRKFSIQGLLGKETCSSAFIDGTLVIFRLAPQDYHRFHFPVSGTVEQFVNIPGSLYTVNPIAVNSKYCNVFTENKRVVSIISTAEFGKVAFVAIGATMVGSITFVKKEGDVVKKGDEFGYFSFGGSTVICVFEKDAIKVDDDLLANSGRSLETLVSVGMTLGVSTKKPAGAELPNLESCVLGD >EOX98868 pep chromosome:Theobroma_cacao_20110822:2:7589372:7599784:1 gene:TCM_007544 transcript:EOX98868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase 2 isoform 2 MGHGSSKEDDSSSSSSSDADTKPSRISRLKQRLRLHRHRHHRTRGSSSQQKLNAAEDFAGIALLTLINAEMKFKDKWLACVSFGEQTFRTNISDQTDKPNWNCERKLLLERNGPRVARISVFETNRLSKNNLIGYCDINLLDYLARDSDSDCEAFDLLDPVSSDVVVGRICLSCNVEDPIETEKNFARRILSIVDYNEDGMLSMSEFSDLINAFGNNVAASKKEELFKAADKNGDGVVSMDELAALLALQQEKEPIMNCCPVCGEIVEVSDKLNTVIHLTLCFDEGTGNQVMTGGFLTENQASYGWMFKLSEWAHFSSYDVGLNSGSSASHILVFDRKTKRLVEELIDAKIVLSMRAIYQSKIGLGLMDKGAKEILQSISERQGRQMNSVESAKDILKFVEFFKDQINMAEVKYPLDHFKTFNEFFVRELKPGARPIASLEHDDVAVCAADSRLMAFKSVQDSLRLWIKVLLLPLGIYPMSECVCFVESYTLVIFRLAPQDYHRFHFPVSGTVEQFVNIPGSLYTVNPIAVNSKYCNVFTENKRVVSIISTAEFGKVAFVAIGATMVGSITFVKKEGDVVKKGDEFGYFSFGGSTVICVFEKDAIKVDDDLLANSGRSLETLVSVGMTLGVSTKKPAGAELPNLESCVLGD >EOX98869 pep chromosome:Theobroma_cacao_20110822:2:7589372:7599784:1 gene:TCM_007544 transcript:EOX98869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase 2 isoform 2 MGHGSSKEDDSSSSSSSDADTKPSRISRLKQRLRLHRHRHHRTRGSSSQQKLNAAEDFAGIALLTLINAEMKFKDKWLACVSFGEQTFRTNISDQTDKPNWNCERKLLLERNGPRVARISVFETNRLSKNNLIGYCDINLLDYLARDSDSDCEAFDLLDPVSSDVVVGRICLSCNVEDPIETEKNFARRILSIVDYNEDGMLSMSEFSDLINAFGNNVAASKKEELFKAADKNGDGVVSMDELAALLALQQEKEPIMNCCPVCGEIVEVSDKLNTVIHLTLCFDEGTGNQVMTGGFLTENQASYGWMFKLSEWAHFSSYDVGLNSGSSASHILVFDRKTKRLVEELIDAKIVLSMRAIYQSKIGLGLMDKGAKEILQSISERQGRQMNSVESAKDILKFVEFFKDQINMAEVKYPLDHFKTFNEFFVRELKPGARPIASLEHDDVAVCAADSRLMAFKSVQDSLRLWIKVLLLPLGIYPMSECVCFVESYTLVIFRLAPQDYHRFHFPVSGTVEQFVNIPGSLYTVNPIAVNSKYCNVFTENKRVVSIISTAEFGKVAFVAIGATMVGSITFVKKEGDVVKKGDEFGYFSFGGSTVICVFEKDAIKVDDDLLANSGRSLETLVSVGMTLGVSTKKPAGAELPNLESCVLGD >EOY01576 pep chromosome:Theobroma_cacao_20110822:2:40132154:40135155:-1 gene:TCM_011439 transcript:EOY01576 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein, putative MGMEDSKHDVEEGKTFVGIEMKDKRCGKVEYLRCSIGDISPEKLKNLITGVVRLDKLKSMMIPKSPGFEQAKLLALGITAMMLLLWVFALQLAILGQTIGPMPFKLEPPSPRVYKNEGYLMASSNGGLNQMRAGICDMVAIARFLNLTLVVPDLDKTSFWHDSSQFKDIWDVDYFIKSLSDEVRIIKQLPPRLRKKVQRYALYSMPPVSWSNMSYYYNTVLPRFQKYEVVRFTKTDTRLGNNLPVEVQKMRCTVNYKALRFTPAIEEVGKKIIRILRDKGPFLVLHLRYEMDMLAFSGCTEGCNETEVEELTRMRYAIPWWKEKEIDPKSKREAGLCPLTPEETAIALRAFGINPNIQIYIAAGDIYGGERRLASLRAFYPNLVKKETLLPPSDLKPFQNYSNQMAALDYLVSLDSDIFLPTYGGNMAKLVEGHRRFLGYKRTISLDRLVLISLIDQYKNGTLSWSEFSQSVKAAHANRMGNPSQRLQFPGKPKQEDYFYTNPQECLPPLAVTTNDRNNVADKGQLS >EOX97999 pep chromosome:Theobroma_cacao_20110822:2:4211159:4212923:-1 gene:TCM_006870 transcript:EOX97999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKAIPALLKQRITTKGLKLKFDASDINMDKSGQECYTTVEVAALAGTTEGCLHLHCIYLFLESQEPQLSFIFKP >EOX99080 pep chromosome:Theobroma_cacao_20110822:2:8404114:8409842:1 gene:TCM_007696 transcript:EOX99080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hydroxyisobutyryl-CoA hydrolase 1 MEYLLDLLSTLSKLEIRTQKIIMAPAFTLEREHNQVLFEGDSSAKKVILNRPKKLNVLSYEMICQMLTNFQAYEEDSTTNFVILKSNGRAFCAGGDVVAILSAMIIGHWSFGANFYQKQYPLDYLLATYKKPLISLINGVVMGGGAGLSMHAKFRIVTENAVFAMPEASIGLFPDVGASYFLSRLPGYFGEYLGLTGARLDGMEMLACGLATHFVLSKDLVLLENELDKVGTSDTTEIARQIERFSCAAPIKRESAYARLDTINKCFSKNTVEDILLALEKEMKYKAEKWIIKAIKSMEAASPTSLKIFLRSIKKGREQTLEQCLSFEYIVVCNILRGSISKDFWEGGRAKLIEKDNKPKWAPSNLQSVSEETVNRYFTEVDDPHWEILRLPDRPNLVDALKSKM >EOY00579 pep chromosome:Theobroma_cacao_20110822:2:33706133:33710485:-1 gene:TCM_010473 transcript:EOY00579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein / peptidoglycan-binding LysM domain-containing protein, putative MSSSFSLSLENFSMLITMASNPLLITLPLLAILLARICVANVSMYSFPCSQDIKKCDALLYHINSGLKEEQIASYYSVDPSTFRPISHGNNQDYLIPVPCSCEDIGNGTTGYFYDASYTVQENDTFAEVSARIYNGQALEVGGEEGSFITGNKVSMHLLCGCLESHSETIVTYTVQLGDVLADIATLLSANLNDVQRLNGNLTRNPDVIFAGSVLYVPMENDRIPSPKKGRRVKWTIIIVILSALTVLSTIALLIILIRRKKAQQINEEDPKPSKSLSARAFSLQNQLLYTENIEEVTAFESERPVIYSLEEIEEATSHFDETKKIGTGGYGCVYFGILAEQEVAIKKMKSNKSKEFFAELKVLCRIHHINVVELLGFASGDDHLYLVYEYVQNGSLNDHLHDPLLKGHQPLSWTARTQIALDAAKGIEYIHDHTKARYVHRDIKTSNILLDEGLRAKVADFGLVKLVERTNEEDLIATRLVGTPGYLPPESVMELQVTPKTDVFAFGVVLAELITGQRALVRDNREPNKMKSLITVVNKIFEEDDSESALEEVIDGNLRGSYPMEDVYKMAELAEWCLSDEAVNRPEMREIVVTLSQIVMSSVEWEASLGGSSQVFSGLFNGR >EOY01608 pep chromosome:Theobroma_cacao_20110822:2:40243687:40245878:-1 gene:TCM_046726 transcript:EOY01608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MNTMGKLLILVAGSFCLYFLRALLKVFYKYLWIPHRIQNILRSQGIKGPPYEFIHGNNKAVVEIGREASSKPMALTHDIFPRVLPHTYSWINAYGKIHLSWNGVQALLVITEPDLIKEVLKNSEKAFLKGKVTYFVSKILGDGLATTEREKWARHRKLANYAFHGESLKNMTPAVIASVETMLQKWKGREGKEIEVFQEFRLLTSEVISRTAFGSSYLEGEKIFETLMKLSVIAIRNLYKARIPGISYVWKHADEIESEKLAKEIHDSVLKIVKKREEKVVAGEAESFGSDFLGLLLNAHHDLDEKNRLSVEDLVDECKTFYFTGQETTNSLLAWTVLLLAIHTDWQEKARREMIEEFGNQDPHPEGIAKLKTMTMIINETLRLYPPLNRIVRRVGREVQLGKLILPADLHLVVPIMALHHDPQLWGDDVNLFKPERFAEGIANATKHNAAAYVPFGLGPRSCVGMSFAITETKIALSMILQRYTISLSPAYSHSPYYLPLLQPQHGIQVILHSL >EOY01975 pep chromosome:Theobroma_cacao_20110822:2:41570859:41573293:-1 gene:TCM_011752 transcript:EOY01975 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP family transcription factor, putative MIMDGENGIRRPNFPLQLLEKTEHQQEPPCSSSGNAEPSSKTASVAPKKPPPKRTSTKDRHTKVEGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSASHLRNTYFNPNFPTQQLRMRSEWERNVLDDSPAQQQHRRILFPGVALSSDDSLNFPSSSSMNAFLQAKQELRDTSVNVAEEADTSMGKKRRPDQHQDLSQNQVGSYLLQSTTGSIPASHNPNPATFWMVTNPSNQVISGSGAGDPMWTFPSASNTNMYRGTMSSGVHFMNFATPMALLPGQQFGSGIGAGGSVTDSHLGVLAALNAYRPIPGSNVSDSPARGSHQHHGGGDRHD >EOY01646 pep chromosome:Theobroma_cacao_20110822:2:40459549:40494283:-1 gene:TCM_011492 transcript:EOY01646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MLVISAMSELKTVSLCFASLNCKLKQENICEGKGDISSYKHKILLRVKMTTCDALQRWFRLPERLVIFTFGLLLSKGMMTYVLANLMTFLTDFWNLNLKEAAAIVNLQEGLRNMLQILVALCIDACLGYRWMLILSSVLYSTGLGLLAFSVPQYFFKNEKVCPLEKVQCFKKLKHTPFWEGLALLIAGGAAQVIPLYSLSFEQTKVVRVPEHSEATKLKVACCLCKVRIGGWRQLQQRIIRWFCIGFMMLGTITSVYGFISFKRKWHQRFLISAIAIVIGLLWFLCGFPFYGPRRLQPSPLSTMLSALIAAARKRHLNCGGNLEQLHRGNAEETLLLTDHLKWLNKAAVKESLADDNLTMEEKRWRLCTVNEVEQTKLLLNIIPMSATFILYGMVKSLGNSFFVEQANSMRGGIPIVVFQMIKGFSKGLVKSGYKMVFEKRIRRIKRQYSDGVKIGIGMLASIICCAAASSVESQRLKALSKEGLSNDPNATAPITAFWLVLPFFFLGAMEGLAGDGIQDFFGHYAPDSRRYGPVFTSSLTGFGTVLNIGFLSFLDYYSKSRYSVSWLGDNINQSRILTIIS >EOX97345 pep chromosome:Theobroma_cacao_20110822:2:2030190:2033931:-1 gene:TCM_006397 transcript:EOX97345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSNRISDLPENHRWTVLIPVSLFSDGSLTVQSSLLFSFLAKRAILYLYLADMHSNDVGLKCWHGDHVVVSTR >EOY00375 pep chromosome:Theobroma_cacao_20110822:2:31881026:31884145:-1 gene:TCM_010238 transcript:EOY00375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKLNEFDVPWLVRGDFNVVKCLEEKIGTTLNDDAINQFVNFIEDVSLIDLLISGGKFTWSNNREEASFSCIDRFLMALKILEICPSLQQECLRSHCPSASHLQPVTTLSLTLPISSSKSLALATYLRFSLPNLHPTVPIAIIHRHRTFSRSPLWHSPSTISPSKSPALPTYLQFSTSYSLIRQFPSDHPHLPSDFHHQSVTTSALLIGHPTFKVSDTSYLAYLCSESSLAAQVTVMDQSIENCINLDDDMNILNKDGIPSQSHISESKQLSKKAKKETLNV >EOY00224 pep chromosome:Theobroma_cacao_20110822:2:30084586:30085347:1 gene:TCM_010024 transcript:EOY00224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin, putative MGANASTPRIIEMQSKSQWRAQLEASKQSNKLLVIDCTATWCGPCKRMEPAIEEFATIYADVQFIKIDVDLLADVAGELKVDSMPTFVLVKKGKEVDRLVGARKDELQRMIEKHRI >EOX96831 pep chromosome:Theobroma_cacao_20110822:2:180474:184091:-1 gene:TCM_005987 transcript:EOX96831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhythmically-expressed protein 2 protein, putative isoform 1 MSLLSRLRCITVDVTGTLIAYKGELGDYYCMAAKAVGLPCPDYKSVHEGFKFAYTEMAKKYPCFGFAAKMPNIVWWKTCVKDSFVRAGYDYDEETFEKIFRRIYASFGSSAPYTVFPDSQPFLRWAREKGLKVGIISNAEYRYQDVILPALGLNQGSEWDFGVFSGLEGVEKPDPRIYKIALERAGNIAPEETLHIGDSMRKDYVPAKSVGMKALLLDRFKTPDAVEWRKSGAIVLPDLVAVQELLSSGKLTC >EOX96830 pep chromosome:Theobroma_cacao_20110822:2:180403:185086:-1 gene:TCM_005987 transcript:EOX96830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhythmically-expressed protein 2 protein, putative isoform 1 MSLLSRLRCITVDVTGTLIAYKGELGDYYCMAAKAVGLPCPDYKSVHEGFKFAYTEMAKKYPCFGFAAKMPNIVWWKTCVKDSFVRAGYDYDEETFEKIFRRIYASFGSSAPYTVFPDSQPFLRWAREKGLKVGIISNAEYRYQDVILPALGLNQGSEWDFGVFSGLEGVEKPDPRIYKIALERAGNIAPEETLHIGDSMRKDYVPAKSVGMKALLLDRFKTPDAVEWRKSGAIVLPDLVAVQELLSSGKLTC >EOX96832 pep chromosome:Theobroma_cacao_20110822:2:180455:184143:-1 gene:TCM_005987 transcript:EOX96832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhythmically-expressed protein 2 protein, putative isoform 1 MSLLSRLRCITVDVTGTLIAYKGELGDYYCMAAKAVGLPCPDYKSVHEGFKFAYTEMAKKYPCFGFAAKMPNIVWWKTCVKDSFVRAGYDYDEETFEKIFRRIYASFGSSAPYTVFPDSQPFLRWAREKGLKVGIISNAEYRYQDVILPALGLNQGSEWDFGVFSGLEGVEKPDPRIYKIALERAGNIAPEETLHIGDSMRKDYVPAKSVGMKALLLDRFKTPDAVEWRKSGAIVLPDLVAVQELLSSGKLTC >EOX98351 pep chromosome:Theobroma_cacao_20110822:2:5458657:5463126:-1 gene:TCM_007133 transcript:EOX98351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Interleukin-1 receptor-associated kinase, putative MGFNTSIFHRLAFLLQLLLLLVPSLALNTDGVLLLSFKYSILSDPLSILRSWNYDDETPCAWNGVTCTELGIPGTPDMFRVTSLVLPNSHLLGSISADLGHIQYLRHLDLSSNLFNGTLPSSIFNSTELQVLSLSGNVISGELPESIGRMVSLQLLNLSDNALAGNVPENLTALQNLTVVSLRSNYFSGRVPSGFNSLEVLDLSSNLLNGSLPLDFDGGNLRYLNLSYNKISGSISPEFAKQLPQNATIDLSFNNLTGAIPESLALLNQKTESFSGNIDLCGKPLKNLCLIPSTLSTPPNVSQSISPAIAVIPKSIDSTPVASSSPGEPNNTQNQARGSLKPGTIAAIAVADLAGISILGMIILYVYQLKKGKDLNQSTTTTSNLEKKPDVPVSKAQVESGTMPSCSCIKLKLIETSDTTSSDSDDQEEKNQVINVNPSEAYQKGGKLVTVDGETELELETLLKASAYILGTSGSSIVYKAVLENGTAFAVRRIGESSVERLKDFESQVRIIAKLRHPNLVKIRGFYWGDVEKLVIYDYVSNGSLACSSYKRSGSSSPCHLPLEARLKIARGVARGLAYIHEKKQVHGNFKPSNILLNSNMEPLISDLGLDRLVSGNVSYKPNNSSARFLSSQRSTASRDGPPDPPTSPSSHAPATASSSTGTPTPYQAPESLKNLKPNPKWDVYSFGIILLELLSGRVFSTRELGQWAVPGGSVEEEKNRAVRLADVAIRGDVESREEAMLACFRLGFSCSSFVPQRRPSMKEAVQILEKLPSASSSSSC >EOX98994 pep chromosome:Theobroma_cacao_20110822:2:8027862:8033427:-1 gene:TCM_007638 transcript:EOX98994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug/pheromone exporter, MDR family, ABC transporter family MAPRTHEIMKVEEEKRGVIEDEKQNGDAANKAFPFYMLLSYADTLDWTLMALGTLGSVVHGMAQPVGYLLLGKALNAFGDNVNNIDGMVKAIKKVVPFVWYMAFATFPAGVLEIGCWMYASERQMARLRLAFLRAMLNQDIGAFDTDLTSGKIISGMSYDMSIIQDAIGEKLGHFLSSFATFFSAIFIAAICCWEVSLLMFVVAPMILVIGGTYTKKMNAISATKMLYISEATSLVEQTVSQIKTVFAFVGENSAIKSFSECLEKQFSLSKGEALIKGVGTGMFQTVTFCAWALIIWIGAIAVTSRKAKGGDVIAAVMSILFGSVSLTFAAPDIEIFNQAKAAGYEVFKVIRRKPAISYDSRGKEVEKIGGNIKIRDVYFAYPSRPEKLILQGFSLSIPAGKMAALVGSSGCGKSTVISLVERFYDPSKGEILIGNHNIKDLDLKFLRKNIGAVSQEPSLFAGTIKDNIKVGNMDANDRQIQDAAILANAHTFVSQLPNQYSTEVGQRGVQLSGGQKQRIAIARAILKNPPILLLDEATSALDLESEKLVQDALEKAMQGRTVILIAHRMSTIINADIIAVVENGQVTETGTHRSLLDSSRFYKNLFSIQDIGQIRESRASEATEEAITTDQQFSPLDTEPKEETKDLDGHLSESSKQVESKRRKNSITFFRIWFGLKKGELAKVATGSIAAAFAGVSKPFFGFFIITVGVGYYKKDARQLVGRYSIIFSLIGLLALVMHTLQHYFYGVVGEKAMANLRQALYSGILRNELTWFEKPENSVGSLTSRVINDTSMVKTIISDRMSVIVQCISSILIATVVSMIVDWRMGLVAWAVMPCHFIGGLIQAKSAKGFAGNSAATHREVVALASESAANIRTIASFCHEEHIIRKAAKSLEKPKKRSRKESIKYGIIQGFSLCLWNIAHAVALWYTTILVGRRQASFVNAIRSYQIFSLTVPSITELWTLIPAAISAINVLTPAFETLDRRTEIEPDTPEDSRLERIKGKIEFQNVKFNYPLRPEVTVLNNFSLQIEPGTKIALVGPSGAGKSSVLAILLRFYDPWKGRVLIDGKNIKEYNLRMLRRQIGLVQQEPLLFSSSIRDNICYGTEHASETEIVEVSREANIHEFISNLPDGFDTVVGEKGCQVSGGQKQRIAIARTLLKRPAILLLDEATSALDVESERTIVNALESIDKKGNGGFLSRPTQITVAHRLSTVINSDVIVVMDKGEIVEIGSHSTLISASEGVYSRLVQLQSAIEN >EOX99187 pep chromosome:Theobroma_cacao_20110822:2:8907967:8909079:1 gene:TCM_007783 transcript:EOX99187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 5, putative MPNVGLRNLFNRNKSSYLNETLACSLFVLSGGWREHLEVAGENALSRYDSKGYNQILLNARPNGINRDGRPKLMMCGVTYLRLSDNLLKERNFNIFKTFVKKMNANQDSCPDLKIYNH >EOY00267 pep chromosome:Theobroma_cacao_20110822:2:30595585:30598916:-1 gene:TCM_010090 transcript:EOY00267 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase isoform 1 MGAIELYPNCKPQNMFLHCSATRLICKAKEVAQVVHPRTIASLTIRSAANLSRTFVTMNKDDVVVDPVELEAIFEQKRCLRSKVRKALKNMDPIQRSQEDNAIQNMVLESSWFKASKSLCAYISSPALREVDTSRIVSDVLSSPAKERKKLYVPRVEDRNSNMKMLMISGVNDLIEKSMNILEPALADSDGNKREDVMQASHPVDLFILPGLAFDKSGSRLGRSGGSLESGNCRSGNIVDQGDFLAFFGSSKFMLFLNYDAVESISLAAKM >EOY00266 pep chromosome:Theobroma_cacao_20110822:2:30595794:30599092:-1 gene:TCM_010090 transcript:EOY00266 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase isoform 1 MKTENYAPTRYGRVTSTNGEAPCNDHDMGAIELYPNCKPQNMFLHCSATRLICKAKEVAQVVHPRTIASLTIRSAANLSRTFVTMNKDDVVVDPVELEAIFEQKRCLRSKVRKALKNMDPIQRSQEDNAIQNMVLESSWFKASKSLCAYISSPALREVDTSRIVSDVLSSPAKERKKLYVPRVEDRNSNMKMLMISGVNDLIEKSMNILEPALADSDGNKREDVMQASHPVDLFILPGLAFDKSGSRLGRSGGYYDLLLKNYQEFTKKRRWKQPLLVGLSYSVQIMEEGAIPVTPFDIPVHALVSPAGLTPISAAALRRCD >EOY01835 pep chromosome:Theobroma_cacao_20110822:2:41083467:41088801:1 gene:TCM_011639 transcript:EOY01835 gene_biotype:protein_coding transcript_biotype:protein_coding description:VH1-interacting kinase MSSDTTGGESPAQGSSGKASSTSSSADKQKEKARVSRTSLILWHAHQNDAVAVRKLLEEDRSLVQARDYDNRTPLHVASLHGWIDVAKCLIDYGADVNAQDRWKNTPLADAEGARKHNMIELLKSYGGLSYDILSIITFFIPLKFANVVEASKGQNGSHFEPKPVPPPLPNKCDWEIDPSELDFTNSNIIGKGSFGEILKASWRGTPVAVKRILPSLSDDRLVIQDFRHEVNLLVKLRHPNIVQFLGAVTEKKPLMLITEYLRGGDLHQHLKEKGALNPATAINFALDIARGMAYLHNEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIKVQNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGDPPFSHYEPYEAAKYVAEGHRPTFRSKSYLPELKDLTDKCWAADMNQRPSFLEILKRLEKIKENVPTDHHWNIFNT >EOY01430 pep chromosome:Theobroma_cacao_20110822:2:39409272:39412046:-1 gene:TCM_011320 transcript:EOY01430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H protein MFVLMVSLELFYLDSLAGIFWKWRNVKIFEGKLVPMNRKLSMIKGLAAASYHAVTIPCTRSSPNGYKREMLVGWQNPPQGWVAVNSDGALRRSTNLATAGGVLCDYNGFWLAQLSLYGNDPKTLNGLRGPAPGLSRQPIRQSKSNLNPSPNINSLKNPNFSSVIHYIFGLNLLVFQYASLRRCEWYQGFFFF >EOY00135 pep chromosome:Theobroma_cacao_20110822:2:26440376:26448366:1 gene:TCM_009683 transcript:EOY00135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIPVINRISDFEAGINSLNNPSFLSQVFALSGIEKIYEAYSFWKWGALILALVASLSTIINRLKILIIRFRRDHSLPSQPLLHDTDFDTDTDCSCSSSEDEREYEEPSTSQSWRQVDENFRVRGSAHCIDDQWQNRKFTLRKRRSSIGDLFSWAEELTSGKSVVKLWDNLGLGFGLDLDESDNVLNVYDVNKETKLTSFFGGKCIFQAVSAPSSSSAVVVSAGADSSFRRVAVSAWDTRLPCRIPSILAEWRPKKSVEKIAAVNAGGVEKVYIRDDVTGELTVADMRKVSSPLKSLTESDVDTWWDANAVIVSDESVDESIQ >EOY01868 pep chromosome:Theobroma_cacao_20110822:2:41233624:41235141:-1 gene:TCM_011670 transcript:EOY01868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLSLCIAASIDFLCWVRCTTLRKRNSQNMEARQSEYAVFSTRAMEMGSTWGGMGHQKNAIKTLNIATRVPF >EOY01742 pep chromosome:Theobroma_cacao_20110822:2:40769760:40771511:1 gene:TCM_011573 transcript:EOY01742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19e family protein, putative MATVRRVTDVSPHELVKAFACSSQTFRQVNLFDEDGSPHMFLFFGNSIELPPWTDTVKTARFKELPPYDPDWYYVRAAFMARKIYLRHGLGVGAFRRAYGGSKEEWMSPTPFLGRRITSNGQGDLGQVAGRIVVTP >EOY01225 pep chromosome:Theobroma_cacao_20110822:2:38390791:38392255:-1 gene:TCM_011171 transcript:EOY01225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILLFLLKLAMKLYALCSELEKRHGKELILRYPFAVHCADLNSRAEWKSLVKIS >EOX98780 pep chromosome:Theobroma_cacao_20110822:2:7184604:7185154:1 gene:TCM_007473 transcript:EOX98780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSEHIHACLSLLCKRMTGSKSKLYTTRASMVDTIFFDIIRMLHTEFPTEDARAKMQIPDELSGYVEGERPTYVKKWEDVDFILAPCNVGGH >EOX97086 pep chromosome:Theobroma_cacao_20110822:2:1129742:1132446:1 gene:TCM_006186 transcript:EOX97086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQKMIPQILSASQPYFNRHLIIQKENEKNKARRCTVGPSGALMAKAKHLVKIGISLMKMLKARARMDVLGCTWD >EOX99060 pep chromosome:Theobroma_cacao_20110822:2:8309792:8314880:-1 gene:TCM_007680 transcript:EOX99060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein isoform 2 MLACGGSRIPHQHLGLGFYSSRIMQLSSLILKPLSSSAAIVDHHNHRLQHRSVSSSSLRSKSPGDIPILPDSFSQREDDHEHPSEALSSVAGGIVALGKFDALHIGHRELAIQASKVGTPYLLSFVGMAEVLGWEPRAPIVAKCDRKRVLSSWAPYCGNVTPREFEVQFSSVRHLTPRQFVEKLAGELQVCGVVAGENYRFGYKAAGDASELVRLCDEFGMGAYIINSVMDRHQDSRNIDRCDLKERGQVSSTHVRHALAEGDMKYVSELLGRRHRLLLAVKDWEGYSSASSKRRVSAPKSSLLNLPPKDGFYENCSLLFGEQNVVTCRVSIDTTHIHLVVDQVDFCDYDYSRTQVLGIEFGELRVNYVGNIDSMELFQVHNYEEGIADDTAKVILSFHLKRKEKSKVILMIKEVSGDCYGGAWSELGVEITHDCVYASGPLIATEGGD >EOX99061 pep chromosome:Theobroma_cacao_20110822:2:8311970:8314055:-1 gene:TCM_007680 transcript:EOX99061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein isoform 2 MLACGGSRIPHQHLGLGFYSSRIMQLSSLILKPLSSSAAIVDHHNHRLQHRSVSSSSLRSKSPGDIPILPDSFSQREDDHEHPSEALSSVAGFFFLFFYFSSMLPLFVFLCVSVFFLLVRIIPPGGIVALGKFDALHIGHRELAIQASKVGTPYLLSFVGMAEVLGWEPRAPIVAKCDRKRVLSSWAPYCGNVTPREFEVQFSSVRHLTPRQFVEKLAGELQVCGVVAGENYRFGYKAAGDASELVRLCDEFGMGAYIINSVMDRHQDSRNIDRCDLKERGQVSSTHVRHALAEGDMKYVSELLGRRHRLLLAVKDWEGYSSASSKRRVSAPKSSLLNLPPKDGFYENCSLLFGEQNVVTCRVSIDTTHIHLVVDQVDFCDYDYSRTQVLGIEFGELRVNCV >EOY01678 pep chromosome:Theobroma_cacao_20110822:2:40583382:40588861:-1 gene:TCM_011520 transcript:EOY01678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine synthase family protein, putative MCGIALLVSGIRVDLSSLHLDSTSPSSKTHLVFSVDDLKAALQRRGPDSLGTKKLLLLHSNTSSTGNFCVSSVNEETHAVDNGSNVAESFAELVFLGATLQLRGVAPVSQPLVDSSGNILVYNGEIFGGIEVGGDSNDTEVLLQSLGKCCSCLSQEHTTTCNFKGQGKGSVPDVLSVIKGPWTIIYWQESSKTLWFGRDAFGRRSLLVHWPTMEDSRFLLSSVSSTSSSLQYSGFEVESGTDGNKFWEELSCGIYSMSVDATKLDGRFFGDIKKHEWTNVMLKQLIEWERVSVEPKPEELYFSCSKTLGAEHDINSASSGSVAAQNVLTALRESMMRRISLHKIYQAIICGARQKENVPVAVLFSGGLDSMILAALLDECLDPNYEIDLLNVSFDGESAPDRISAKAGIKELRRVAPLRRWRLVHIDADLSKLTWETKHVLSLINPANTYMDLNIGIALWLAARGEGWMCEGSSNGIDEDKRVSYTSRARILLVGSGADEQCAGYGRHKTKYRHESWLGLHEEMKLDMQRIWKRNLGRDDRCIADNGKEARFPFLDEDVIRTLLDIPLWEVADLDQPSGKGDKKILREVAEILGLHNAAILPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVVINVPSYFS >EOX99520 pep chromosome:Theobroma_cacao_20110822:2:11908200:11908794:1 gene:TCM_008212 transcript:EOX99520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDCRPLGFLLGLPFALVAVVLSVVGAVIWVLGTILSCLCPCCICCAGLANFAMDLIKLPVKVLRWFIDQIPC >EOX97534 pep chromosome:Theobroma_cacao_20110822:2:2595069:2597180:-1 gene:TCM_006527 transcript:EOX97534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Group 2 isoform 1 MHAKTDSEGTSIDASWPPRSPRRPVYYVQSPSNHDVEKMSYGSSPTASPTHHYYHCSPIHHSRESSTSRFSASLKNPRSLSAWKHVQIGHGDDDDDDDDEMDGRDGRRANNVRLYLCLVFLFFVLFAVFSLILWGASRSYKPKVFVRHIVFENFYYQAGNDQSGVPTDMLSLNSTVKISYRNPATFYAVHVTSTPWELHHFQLKIASGQGTA >EOX97533 pep chromosome:Theobroma_cacao_20110822:2:2594500:2596974:-1 gene:TCM_006527 transcript:EOX97533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Group 2 isoform 1 MHAKTDSEGTSIDASWPPRSPRRPVYYVQSPSNHDVEKMSYGSSPTASPTHHYYHCSPIHHSRESSTSRFSASLKNPRSLSAWKHVQIGHGDDDDDDDDEMDGRDGRRANNVRLYLCLVFLFFVLFAVFSLILWGASRSYKPKVFVRHIVFENFYYQAGNDQSGVPTDMLSLNSTVKISYRNPATFYAVHVTSTPWELHHFQLKIASGQMKKFTLSRKSQRKVVTIVQGNQVPLYGGIPALVNSREHLEGIAVPLNLTFVMRSRAYILGTLVKTKFYGRFRCSVTLRGNKLGKPLNLTDSCDYQ >EOY01524 pep chromosome:Theobroma_cacao_20110822:2:39831863:39833199:1 gene:TCM_011389 transcript:EOY01524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLEYFTQTLFKLLFSQSKPNQYLKLDMLGSHGPRLQPLVGRRALRIEPSFFLGSIGHLALKFIGLQIFRELRS >EOY01005 pep chromosome:Theobroma_cacao_20110822:2:36481375:36482742:1 gene:TCM_010911 transcript:EOY01005 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLP-like protein 34 MKHTKKWLKLQNLRSNQRFSPLLIRSTISSSAKCYLVPKICPELVTDIKVVKGDWETVGSVRIWKYVAGLSENVSETIESIDDRNKSITFNALDGDITKYYKTFKGIVTVTANGQGSLVKWTLMYEKQNQNIPDPEKYIELVSAITKSVDAYLLKK >EOY00305 pep chromosome:Theobroma_cacao_20110822:2:30995391:30999693:-1 gene:TCM_010137 transcript:EOY00305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 8, putative MAVKFQTLVFLNLVIVSFISVLPFSYSQGSPQNIEVFYPYQPPPPDPEASSPKPSNSLPSPEPPPPSPSSSSNDTNKTIAKAVAATAASTIVIAGIFFFFIRKYVLAQRKTDRVGDSSQGGQPGVPPDEFERVNGNIKGLIVDENGLDVLYWRQLQDGENINGFRKGILRSPKDEEEGRGGMVRKGSRSKKAEPVQEIPLLRGKSSTSQVPPPEDDDSSEIIAPPLPIQNNTNNSQVTPPPPPPPPPQPAPPNRTPAPPPPPVPAKRPAPPPPPPKTGDSATSLKPPPAARDKSGGGKPGEASGDGATENGNNQVKLKPLHWDKVNKNVEHSMVWDKINGGSFKFDDDLMEALFGYVATSRKSPTSSSNSKNARSTDTSSSSQIMVLDARKSQNVAIVLKSLALSRRELLEALNEGQGLEADTVEKLIRIAPTEEEQSQILNFNGDPTRLADAESFLFHILKAIPSAFTRLNAMQFRSNYDLEILHMKESLQTLELGCKELRSQRLFMRLLEAILKAGNRMNAGTARGNAQAFNLTSLLKLSDVKSTDGKTTLLHFVVEEVVRSEGKKCFISRSRSLSRNSSRSSNSSSDHSTPKEDREKEYVTLGLPVVGGLSAEFTNVKKAATIDFNTFTGTCSSLTARMAEIKQLVLQCMADGKGGFAKEMKGFIDDAEEELKVIREEQNRVMDLVKKTTEYYQAGAKKDPFQIFVIVRDFLGMVDQACVEIARNQQRRKSSTGSYGSRSPNSQESRTKMRFPILPASFMTGKSGSNSSDSDVDS >EOY02207 pep chromosome:Theobroma_cacao_20110822:2:42325603:42327736:-1 gene:TCM_011908 transcript:EOY02207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serin protease MLINFSSSCTCPPFSSSSSSYFLGRLSNSKPPYLEFPLRSRTHLFKSLSPSVSYSSSPSSKTKFLYSPATRVDFRSWALPGFDLGSVESVLEAAALLTAIIVVHESGHFLAASLQGIHVSKFAVGFGPILAKFNANNVEYSIRAFPLGGFVGFPDNDPNSDIPDDDENLLKNRPILDRVIVISAGVVANIMFAYAIIFTQVLSVGLPVQEAFSGVLVPEVRAFSAASRDGLLPGDVILAINGIELPKTGPGVVSQVVEIIKQNPKRNVFLKVERGKQDFEIGVTPDENLDGTGKIGVQLSPNVKITKVRPNDIFEAFNYAGKEFWGLSYNVVDSLRQTFMNFSQSASKVSGPVAIIAVGAEVARSTVDGLYEFAALLNLNLAVINLLPLPALDGGSLALILLEAARGGRKLPLELEQLIMSSGIMFVILLGLFLIVRDTLNLEFIKDML >EOX97224 pep chromosome:Theobroma_cacao_20110822:2:1667035:1668161:-1 gene:TCM_006314 transcript:EOX97224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hemoglobin-2 MTTYEGKVFTEEQEALVVKSWSVMKKNAAELGLKFFLKIFEIAPSAQKLFSFLRDSNVPLDQNPKLKPHAMSVFVMTCESAVQLRKAGKVTVRESSLKKLGAVHFKYGVVDEHFEVTKFALLETIKEAVPEMYTAEMKNAWGEAYDRLVAAIKMEMKACSQAS >EOX99224 pep chromosome:Theobroma_cacao_20110822:2:9278517:9293169:1 gene:TCM_007834 transcript:EOX99224 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H-like protein MEEEQRERMDRMERAQEEMREQLAKMMELMMSLSKGKRTIEEPAPSENPPAQDSGNQKEDPPYPPGFTPPHAQTSQRVHPQVMPSVYYNAPPPMGHQPTHGQFGQYPGINPIEPIHVPDLDDPKEQEKLRKDSSQTGENEKDQKKYDLLEERLRAIEGVDRFGTMDATELCLVPDVLIPAKFKVPEFEKYDGTKCPMAHITMYCRKMAAQSHDDKLLIHFFQDSLTGSVARWYIQLDRNHLILSGEIIEGAIKSGKIEGHEVASSKKGSTHRKKEGDVQAVAHDSQQAHNFNPYYPYPPYQPFYPHIGNVTQNPYVYQPVPQPTFQTNVLPQTPPPRPVASTNNPGHGQRGPKTTPERPKFDPIPVPYTTLLPQLIENRLLARTPLEPLRPPFPKWYDPNAHCDYHFGIQGHSTENCTALKHKVQALIKAGLLNFAKKDSSNVDGNPLPNHGRPTVNAIHEGMIRRVKKGIDEIQMPMDKVFEALSKINAITPEPIDTKELGHDLTYSCKFHMGAIGHSIQNCDGFRHTPAEVASSSFGANKPKPLTIFYEENKSPMNDTSPTMIRNGITIEVPSPFPYKSDKAVPWNYQCNISGTASSAPQASFEDLTGVGGITRSGRCYSPEVVERVGKGKPAQEEGGLKKADTFSKDQVDESVVAPNNEVKNPVTEKEAGEFLKFIKHSEYSVVEQLTKMPARISLLSLLLNSEAHRNALLKVLNQAYVAQDISVEKLNHIVGNITVGNFIAFNDEKIPLGGRGSNKALHITIKCKDHAVPRALVDNGSALNVMPRFTLTKLSVDVSYMRTNRMVVQFQVMDIAPSYNCLLGRPWIHMAGAIPSSLHQKVKFIAEGQLISVCAEEDILAIQPSSAPYVEATEEVSECSFRSFEFVNATYVGERKVIPTPRLSVATKMRVKQTVGKGCRAGFIHPEAPSKVNQVLRIFDELSIHMIRDEEPDGKIPVVYPVLPGEELSNWTATELPIIFKSSKMSLNNEHEDNLDNDLNIDFEIILNIDELKNEEEVDDYSLPPDLSRMLEQEEKEILPHQELTEMINLGNGEEKKEVKIGTSLSSDERQKLEELLREYVDVFAWSYQDMPGLNTDMVVHKLPLEPDCKPIKQKLRMMKPEMLLKIKEEVKRQFDAGFLEVAKYPEWVANIVPVPKKDGKVRMCVDYRDLNRASPKDSFPLPHIDTLVDNTAKHALFSFMNGFSGYNQIKMAPEDMEKTTFVTMWGTFCYKVMPFGLKNAGATYQRAMVALFHDMMHKEIEVYVDDMIAKSHTERDHTVNLKKLFERLRKFQLKLNPAKCTFGVTFGKLLGFIVSENGIEVDPDKIRAIQELPPPKTQKEVRGFLGRLNYIARFISQLTCKCDPIFKLLRKRDPGEWNEECQIAFDKFKEYLTNPLVLMPPTVGKPLILYLTVNKDSMGCVLGQHDETGKKERAVYYLSKKFMEYESKYSALEKMCCALAWTAQRLRQYMLYHTTWLVAKLDPIKYIFEKPCLSERIVRWQVLLSEYDIVYVSQKSIKGSAIADFLADRANEDYESVSFDFPDEDLMAVLHIEKVGPNELNPWKVYFDGASNALGHGIGAVLISPNGKYYPATARLNFNCTNNMAEYEALVMGLQAAIDMKADAIDVYGDSTLVICQMKGEWETRDPKLVPYKKLVTELSKQFKEISFNHLPREENQIADALATLAAMFKIKEAADVRPFDLEVREVSTHCLNVEEEVDGKPWYHDIMQYIKHQAYPENVTDNDKRTLRRLAIGFFLSGEVLYKRSRDQKYTMDGAPQFHKVGEVKESATSRFAQSINQGQFLKLNIRLFSLSGLGVHYLKDLMSAL >EOX97703 pep chromosome:Theobroma_cacao_20110822:2:3135266:3136757:-1 gene:TCM_006647 transcript:EOX97703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin depolymerizing factor 5 MNFNGISKLISLYKAHCHTQFSSPSVSSISNPKKNWAHPSNSTTMAMAFKMATTGMWVTDECKNSFMEMKWKKVHRYIVFKIDEKSRLVTVDKVGGPGESYDDLAASLPTDDCRYAVFDFDFVTVDNCRKSKIFFIAWSPTASRIRAKMLYATSKDGLRRVLDGIHYEVQATDPTEMGIDVIKDKAN >EOX99148 pep chromosome:Theobroma_cacao_20110822:2:8712712:8716409:-1 gene:TCM_007746 transcript:EOX99148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic initiation factor 4A-III isoform 2 MAAAATTSRAARRMGAEDDKLVFETTEGIEPILSFDQMGLKDDLLRGIYNYGFEKPSAIQQRAVMPIINGRDVIAQAQSGTGKTSMIALTVCQVVDTASREVQALILSPTRELASQTEKVIRTIGDFMNIQAHACIGGKSVGEDIRKLENGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDESDEMLSRGFKDQIYDVYRHLPPELQVCLISATLPHEILEITSKFMTDPIRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMRSNNFTVSSMHGDMPQKERDAIMDEFREGATRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >EOX99149 pep chromosome:Theobroma_cacao_20110822:2:8713328:8716595:-1 gene:TCM_007746 transcript:EOX99149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic initiation factor 4A-III isoform 2 MAAAATTSRAARRMGAEDDKLVFETTEGIEPILSFDQMGLKDDLLRGIYNYGFEKPSAIQQRAVMPIINGRDVIAQAQSGTGKTSMIALTVCQVVDTASREVQALILSPTRELASQTEKVIRTIGDFMNIQAHACIGGKSVGEDIRKLENGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDESDEMLSRGFKDQIYDVYRHLPPELQVCLISATLPHEILEITSKFMTDPIRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVGIG >EOX96863 pep chromosome:Theobroma_cacao_20110822:2:279436:282841:-1 gene:TCM_006013 transcript:EOX96863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 9, putative MNSLTRTLAKIATSEAQQASRRWILQSFSTSTTSKRLTQPENPSDSKHSAPKEWPRPGEIPYQSKVANSVSLSGYIHMPVQFEAASDGKFWAGTVIAQNPSSDSPPLWIPIIFEGDLAHIAACHLKENDHVYIDGQLSADPPSSNATHAQANVQVMVRTINFVDESPPMTKGIASHKQEGTLSHSAGTKQGTETAPNPWRDLLDNPKEWQDYRENKLNGLVKPKYPDFKHKDSGLALWLDSAPKWVLSELEGLQFDVPIQKPKQLNRHKGDGSWKDLVENPNKWWDNRLDKFNGKINERYPDFKHKETGEALWLTDSPVWVEPKLPSLTSKNGTANHERGRVPS >EOX98717 pep chromosome:Theobroma_cacao_20110822:2:6830681:6832723:-1 gene:TCM_007414 transcript:EOX98717 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MGFRHRKLMSDSTDETSPDYCEDCYRCYTSCNKLCPPACYKDPYPPPVPVSDPASDTKTPNKLLIITFTVLAAAFLVLCYYIYYVRCSRGRSNARRRSQPQTTETRDEFLDEDHGPIIDHPFWYINTVGLQPSIINSITVCKYKRGEGLVEGTECSVCLNEFEEDETLRLLPKCSHAFHIPCIDTWLRSHTNCPMCRAPIVFNTVNRGPSSSEVNTEDSAVTEETQVIIMEDDGEQHERETEGGTRELRVRPEQEEELAVENERKTGESSGVEDGIQPMRRSVSLDSLAASQISHAIANGFPEGSSGNSDNELAKGKESSVRIVTRRTAGNQGLLRLMCHSSMGRSLQSRPIFMKRSFSCNGKFSLPICNNKNRNKNPPLRSF >EOY02021 pep chromosome:Theobroma_cacao_20110822:2:41708495:41709163:1 gene:TCM_011781 transcript:EOY02021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYFDLLSLEAFTMVIAQVNNSGKASTLLQDEVLSYVNGSHLLVVLFVVIGNQAFKHETERLVNELRNSAQYAEDKLVSIQDRTNVLLQKSNQIH >EOX97471 pep chromosome:Theobroma_cacao_20110822:2:2387104:2390008:1 gene:TCM_006473 transcript:EOX97471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-dependent monooxygenase 1 MERQVAIVGAGISGLLACKYTLSKGFHPIVFESQSSVGGVWTQTLETTRLQTPKSVYQFSDFPWPSSVTDDFPTQHDVFDYVKAYAQHFDLLKHIKFNTKVAGIEYEGPPDEEMRSWSLWGGIGEPFSSKGKWKVIVEDLESLSTEIYLVDFVIICVGRFSGLPNIPEFPPNKGPEAFRGKVIHSMDYAAMDNEKAAEFIKGKRVIVVGLQKSALDIAMEYTFANGVENPCTVFYRTAHWNVPDYLPWGIPLGYMYLNRFSELMVHKPGEGLLLGLLATILAPVRWSYSKFVESDIKRKLRLAKHGMVPTHSFLHDISSCLISTVPEKFYDKVEEGKIKLKKAPGFCFCHNGVLVEGEATPVGADVVILATGFKGEKKLRDIFVSQTFQDYIAGSPDAAMPLYRECIQPHIPQLAVIGFSESVSNLYISEMRCRWLAELLDGTFKLPSIKEMEKDVTKWDEYRKRYSGKNYHRKCIAALHVWYNDQLCKDMGWNPRRKKGFFAELFEPYGPLDYVPSSAAS >EOY00872 pep chromosome:Theobroma_cacao_20110822:2:35632147:35634694:1 gene:TCM_010794 transcript:EOY00872 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative MFSLKSIPSTASVLSTYTTFTALAMLVRSVVSEVQTISSQLIPEQLQTILLSKFVGFWGTPPSQVTLLIDEYDGYTINQLYEASEIYLATKITASVDKLKVSLAPRDKTLSVTIDKDENIIDIFEDIQLKWRTTCIEMKEASQQGKYEKRVIELSFHKKRLEKVVKSYLPYVLERSKATKEEKKVVKLYSLGNYYAEYQGEWASTNLDHPATFETLAMDATLKKELVDDLDRFLRRKDFYRRVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFSIYDLELASIYSNSDLRRLLVSTTNRSILVIEDIDCSIELKDRQAGDTNQSERQLTLSGLLNFIDGLWSSCGDERIIVFTTNHKDKLDPALLRPGRMDMHIHMSYCTPSGFRILASNYLGITSHKLFTQIDELMMEVEVTPAEVAEGLMKSEDADIALEGLIKFLQNKKSGSCKFDPARGNEDSKCETNTGSKRKGRGRTTGNTNKKVVKRKYPKRLKFV >EOX98097 pep chromosome:Theobroma_cacao_20110822:2:4548953:4553024:-1 gene:TCM_006935 transcript:EOX98097 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase 2.10 isoform 1 MFSPYFDIFQVFIVFSQSSTPSKRIWAESRGDLRTSSARVPHRESKPPLKKKRSSEIFRSNYPHAHLQKHTMEKYELVKDIGSGNFGVARLMRNKETKELVAMKYIERGHKIDENVAREIINHKSLRHPNIIRFIEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVSYCHTMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTISRIMSVQYKIPDYVHVSQDCRHLLSRIFVASPSRRISIKDIKNHPWFLKNLPRELTEAAQTAYYRKENPTFSLQSVEEIMKIVEEAKAAPPVLRSIGGFGWGGEEDGDAKEEDAEEEEEEEDEYEKRVKEAHASGEVNVS >EOX98098 pep chromosome:Theobroma_cacao_20110822:2:4549494:4552326:-1 gene:TCM_006935 transcript:EOX98098 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase 2.10 isoform 1 MEKYELVKDIGSGNFGVARLMRNKETKELVAMKYIERGHKIDENVAREIINHKSLRHPNIIRFIEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVSYCHTMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTISRIMSVQYKIPDYVHVSQDCRHLLSRIFVASPSRRISIKDIKNHPWFLKNLPRELTEAAQTAYYRKENPTFSLQSVEEIMKIVEEAKAAPPVLRSIGGFGWGGEEDGDAKEEDAEEEEEEEDEYEKRVKEAHASGEVNVS >EOX99970 pep chromosome:Theobroma_cacao_20110822:2:20119670:20138319:1 gene:TCM_009113 transcript:EOX99970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERDLSWMYFKQGLSGFLRTKFVNGVEEFISFAFYQPRYVLPMHTQYGNHMVNHSMSDNHQDILFMIMSKK >EOY00172 pep chromosome:Theobroma_cacao_20110822:2:28305741:28328664:-1 gene:TCM_009866 transcript:EOY00172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKLMLSLAGFRSAFRVMSAYRDVAAIVMGPMGVPGHQMIMRLRSLDSPMLANIVETAMACGIWWSEAPRGLFGTWRDLPEVSDAGSDRGGVVTKASREDVMVPKKSHESHIDYGIKKPCLTLSRFPSSCENKISFSPRFPPIDGVVVPALVYCRLVIKTESERAGEKEKKIIILPWLYRQPFMEQGEREKECW >EOY00998 pep chromosome:Theobroma_cacao_20110822:2:36399183:36410580:1 gene:TCM_010899 transcript:EOY00998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein, putative MHGDWQQQASALGIRRGSKVERFAESERRTEIGSNKPLLSAQEGEANESYLQSCAYLPWLCVLGSTLSSIIFESTEGKVEIFKEKITVDEANKSVTLVALEGHVMEEFKSYKIVFGVTPMSDQSSVVKITLDYEKLNENIPDPNKYLQFLMNVIKDIDAHLLKA >EOY01822 pep chromosome:Theobroma_cacao_20110822:2:41033432:41037074:1 gene:TCM_011630 transcript:EOY01822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin chaperone subunit family protein MESLRHEKIQKFEEFVDRRLKPDLVRAIAERDKVFEQQKIFSDLRKNIENLEKNSVTSLRTLVNLGSEVYMQAEVPDTQRIFVDIGLGFHVEFTWSEALKFISLREEKLESLPVCQGTDWLVIVVSFSILKFSINHHRSSIVEVITEGTWNRLWQIEEYTCLIASIKAQIKLVALTMSHINPDYRTLLTMRSLLNPFWAGAEGGSSLPAEDMGRSNRISMARGEKLMALRSLLLSWIGLNLLISSPVLLDSVPVVKHEETSKRSRHESLHCVKSYRMDGTVQETPFKSLSLSPSAFVLGRGMIPTVGFINQHLTVDAHISEKQWGDGRGPDCPLYQNKVTVARWYRISTRPCYREGVRVLEIG >EOX99473 pep chromosome:Theobroma_cacao_20110822:2:11498542:11499378:1 gene:TCM_008156 transcript:EOX99473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative MPRLLACITFFVLIFGSAVTGESNNCSKGANYFFPCINYVGGFSPKPAKDCCSGLEELNKMTKEKEGPENICQCIEDMAYVTNVPFIASRVQSLPEDCHIHLSFPISISMNCSR >EOX98959 pep chromosome:Theobroma_cacao_20110822:2:7934396:7947195:-1 gene:TCM_007619 transcript:EOX98959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroflavonol 4-reductase-like1 MDRVKGKVCVTGASGFLASWLVKRLLLSGYHVIGTVRDPGNEKKLAHLWRLEGAKERLRLVRAELLEEGSFDDAIMGCQGVFHTASPVIKPSFDPKACSSIFGLLNICMAEILEPAVKGTVNVLRSCKKNPSLRRVVLTSSSAAVRARNDIDPKVPLDESSWSSIELCEMLQFLPEHDNGGALQKIWYALSKTQAEKAAWEFCNENKIDLVTILPSFVLGPCLPPDLCSTASDVLALLKGETEIKWHGRMGYVHIDDVALCHILVYEHEAANGRYLCSSTVIDNDELAAILSARYPSLTVPKRFEDVDRPYYEFNTSKIRSLGFNFRPIEEMLDDCIESLVEQGHLSLQSGYQSPLC >EOX97069 pep chromosome:Theobroma_cacao_20110822:2:1087352:1087920:1 gene:TCM_006176 transcript:EOX97069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein group 8 protein, putative MSRMSSAKEQTSPRGESQSQSQSQKKETLEGLPLESSPYVKYRDLEDYKRQAYGTEGHLPVEIKQGASGSTDAPTLTGAAVSDAKSILTNASCN >EOY00126 pep chromosome:Theobroma_cacao_20110822:2:26295313:26296767:1 gene:TCM_009668 transcript:EOY00126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein, putative MEEEKKRCHKKVMVAIDERGCSYHALMWVLKNLKESITKSPLVIFAAQPLPESRYFTFAAQLGFARMYCPESTTSDLIDSVKEKNKKVALGLLEKAKGICTRQGVKVETVTEVGDPKETICNAVETYKISLLVVGDQANGILQRVFQESLSSYCLKNAKCPVLVVNETVIPK >EOY00035 pep chromosome:Theobroma_cacao_20110822:2:23552966:23553790:1 gene:TCM_009404 transcript:EOY00035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDIVHSFGLSVVTTLILFPLIIRPCPKNTAFANSHSSLYLTKAYLVSLAFMGLGRKMSTTTPNSPNNSLICFHSSS >EOY01622 pep chromosome:Theobroma_cacao_20110822:2:40335352:40338072:1 gene:TCM_011471 transcript:EOY01622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEKMEILTVLDCRLILLQPFEQYCVSVSAATLPTEMKAADLAVAGVILVCCFICLVIFRGSSSKKTMKAPGRNHRIYRDDFTRNPKGYFRDLRKRKG >EOY01231 pep chromosome:Theobroma_cacao_20110822:2:38413298:38415691:1 gene:TCM_011175 transcript:EOY01231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein MNKKPMKVPVDQQFLSGNIQMVQNHYILNKDGSRSHKILPRFHNHPTKPRTPGHTNFVFSFNMLQRLCIYIHGFSSAKLRVEKVLCELASFTEMALRFSLKPCVVVLYVFLISYSVLVRDVDAAGECGRTPIRSAAASLSPCLGAAGNAKAKVPPACCSKVAALLKTTPKCLCAILLSPLAKQAGIKPGIAIGIPKRCNIRNRQAGKKCGSYTVP >EOX97162 pep chromosome:Theobroma_cacao_20110822:2:1412625:1414243:1 gene:TCM_006251 transcript:EOX97162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase APK1B, chloroplast, putative MKEMDCEEIVEFDYEEVVKATQGFSPSRLIGKGSHGAVYQGFLQDNKVVAVKRSSINGVEARLDNLKKLDNEISVLSSLRESSHIISFLGVSHDLAKDDKLLVMELMPNGSLHDLLHVAATPPPWPKRVEIAMQIARAVQFLHEGKPLVIHRDIKSANILFDSSWTAKLADFGLAVLPDDSLSQATQPAGTLGYLDPSYTAPDKLSTKNDVFSLGVVFLEIISGRKVIDVSKAPASIVEWAIPLVEKQRLTGICDPRVPFPTYMEGVIRRILSVTSRCLSENEARRPSIGEIVMAMETCSIERVRTNYITAWTSTLQNLILITRRRRKLMGQCRAVCATTQEGDGNSDVSRGKMLLKEILADVTLK >EOX96824 pep chromosome:Theobroma_cacao_20110822:2:159559:164375:1 gene:TCM_005981 transcript:EOX96824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting receptor 7 MMTCICMVRYFKKLSALFLAFILVLSRVSARFVVEKNNIRVLSPLSLRAKHDGAIGNFGIPDYGGFIIGSVVYPDKGANGCEPFDGVTFKSKLPRPTVLLLDRGECFFALKVWHGQQAGAAAVLVADSIDEPLITMDSPEESSDANEYVEKIGIPSALIEKSFGDSLKEALKKGEDVVVKMDWRESVPHPDQRVEYELWTNSNDECGTRCDEQMNFVKNFKGHAQILEKGGYTFFTPHYITWFCPPAFVFSSQCKSQCINHGRYCAPDPEQDFGEGYQGKDVVFENLRQLCVHRVANESKRSWIWWDYVTDFHIRCSMKEKRYSKECAEDVMRSLDLPIEKIKKCMGDPEADVENEVLKTEQERQVGRGSRGDVTILPTLVINNAQYRGKLDRTAVLKAICAGFKETTEPPVCLSSDLETNECLERNGGCWRDKRANITACKDTFRGRVCECPTVKGVQYRGDGYVSCEAFGPARCTINNGGCWSETKNGLTFSACSENQLKGCQCPQGFRGDGHNCEDINECKERSACKCDGCSCKNTWGSYECKCKGDLLYIREQDACIVIFSIISIFNAFDIEFRCHFEEEMLKRNGSRFGWFLTFLVLAAVAGIGLVGYIFYKYRLRSYMDSEIMAIMSQYMPLDSQHNNEVPSEAQPLRQGSTA >EOY01823 pep chromosome:Theobroma_cacao_20110822:2:41038721:41043321:-1 gene:TCM_011631 transcript:EOY01823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein MEETEEHHANKKCTTKTRTFKGRTNPHKHHYQHPLLQYSSHFGFFNQNQFQGYSYYPALLPLPPPMPLQLALTPPLSQNQTFQTKTHLQKLSCKVNDPPLATSSVSDTQVPVVTITQAPEGLQGRKGLPFKGSNGRKIMSTTKQALVAARRPDSGGVDGPVISLLANHFLVKFDPSLKIYHYNVEISPNPSKEVARMIKQKLVESNSGLLSGAHPAYDGRKNFYSPVEFQNDKLEFFISLPIPTTKSSLPFGELNGFQQKQHQLKVFRVNIRHVSKFDGKDLSSYLSKEGGDWIPLPQDYLHALDVVLRESPMEKCISVGRSFYSSSMGGTKEIGGGAIGWRGFFQSLRPTQQGLALNVDFSITAFHESIGVIPYLQKRLNFLRDLSQRKTRTLSDEERKEVEKALRNIRVFVCHRETVQRYRVHGLTEDATENLYFADRDGKNLRLVNYFKDHYNYDIQFRNLPCLQISRSKPCYLPMELCMICEGQKFLGKLSDDQTARILKMGCQRPKERKAIIDGIMRGPVGPTSGNQAGEFKLHVSREMTRLNGRILQPPKLKLGDGGHIRDITPSRRDRQWNLLESHVFEGTRIEKWALISFGGTPDQKSNIPKFINQLSQRCEQLGISLNKSTIVSPYFESTQVLNNVTLLESKLKKIHRDASNNLQLLICIMEKKHKGYADLKRIAETSVGVVSQCCLYPNLGKLSSQFLANLALKINAKVGGCTVALYNSLPSQIPRLLQPDEPVIFMGADVTHPHPLDDFSPSVAAVVGSMNWPAANKYVSRMRSQTHRQEIIQDLAAMVGELLDDFYQEVNKLPKRIIFFRDGVSETQFYKVLKEELQAVREACARFPGYKPPITFAVVQKRHHTRLFPFEIDSSSTQNQLFDENIPPGTVVDTVITHPREFDFYLCSHWGVKGTSRPTHYHVLWDENQFTSDELQKLVYNLCYTFVRCTKPVSLVPPAYYAHLAAYRGRLYLERSESAAFMRSSSTISRAAPPKATPLPKLSENVKKLMFYC >EOX99922 pep chromosome:Theobroma_cacao_20110822:2:18285937:18292736:1 gene:TCM_008931 transcript:EOX99922 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, putative MCLKQEKSNTQNSFEYVGDQYLNKQKLAAPVKVAVDKFQLIPEFLKIRRLVTQQLDSFNYFVNTGIKRIVQANHQIVSGVDASIYVRFKDVRIGQPSMVVNAIMQKLNPHACRLSNMTNIFEVPFVFGPLCICLLFHSLENDFIIGRMPIMLRSCCCELYGLRLGECPLDPGGCFIIKGTEKFENDILEDSPHFVALFLRTGQWLSFVDV >EOY02009 pep chromosome:Theobroma_cacao_20110822:2:41666187:41669086:1 gene:TCM_011773 transcript:EOY02009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase 2B MGNCLRKKTQDLNYPQPEPAHPPTGVDAIHISGAKKISTSSVQQRTSSGYAISNLSLRLSSSLELFLTMNDPFILFFIPDAAKSSKDEQCGAALQERSRHVSSYSRKEVRRKNRKQGFPANPRDWPVSKVKKVGRVPARNINGFRYSVLRAATQKFSDENLIGEGGFGGVYLGYINLSSMDAANPNTSRAVAIKKLGRRGVQGDEQWKNELRFLSTFNHPNVVTLVGYCYERDNRLLVYEYMCKGSLDAHLSSENDTELNCSRRIKIAVGAARAVDYLHTRPKPVIHRDLKASNILLDADFNPKLSDFGLARFGPLDDQSYVSTRILGTRGYFAPEYFKTGHLTVKTDVYSFGVVLLEILSGCVAVKKYADGTTRDLPVWAKPHLSNQMELHNIIDMRIARDIEMDEAHKFATIIQQCLSSDPKDRPTMSEVLADLEQLQQNMLLSNLNSIGLSKYHRSRRALIT >EOX99930 pep chromosome:Theobroma_cacao_20110822:2:18340013:18342751:1 gene:TCM_008939 transcript:EOX99930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MKVRVRNCMGWYCPGSFLSFSSSSRFLSAIAACESASNFTSELTHLCSKGLAKQAFDRFHPQIWADPSLFSHLIQSCIPQNSLSLGKQLHSLVITSGSSKDRFISNHLLNMYSKFGNLRTAVSLYGVMLRKNIMSCNILINGHVQVGDLEGARKLFGEMPLRNLATWNAMVGGFIEFEFNEEGLRLFKEMHFLGFMPDDFTLSTVLRGCAGLKALLEGRQVHCYVMKCGFEFHLVVGNSLAHMYMKSGRLGEGERVMKSLPIQNVVAWNTLIAGNAHNGYSESVLNLYCMMNMAGVRPDKITFVSVISSCSELATLGQGQQIHADVVKTGASSVVGVISSLISMYSRCGCLGDSIKIFLECEEPDLVVWSSMIAAYGFHGRGVEAVELFEQIEQEELGPNDVTFLSLLYACSHCGFKDKGLEFFNLMTEKYGVKPRLEHYTCVVDLLGRFGGLDEAEAMIRSIPMKADAIIWKTLLSACKIHKNADMARRIAEEVLKLDPQDSASYVLLSNIHASAERWQDVSEVRKAMRDKGVKKEPGISWLEIKNQVHQFSMGDKSHPQSEEIDIYLKELTAEMKLHGYVPDTGSVLHDMANEEKEYNLTHHSEKMAIAFALKNTPAGAPIRVMKNLRVCSDCHVAIKIISEIKNREIIVRDASRFHHFKNGKCSCSDYW >EOY01235 pep chromosome:Theobroma_cacao_20110822:2:38430838:38431719:1 gene:TCM_011179 transcript:EOY01235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLEGQLNFIIKQATSIACNKYMAKYAPFETEGSSLRCSTDQQDAFIFFRKKNKMLSCVGADRLETGMRGAFRKPQGTCA >EOX97550 pep chromosome:Theobroma_cacao_20110822:2:2640469:2642264:1 gene:TCM_006540 transcript:EOX97550 gene_biotype:protein_coding transcript_biotype:protein_coding description:RS-norcoclaurine 6-O-methyltransferase MGDQMKMRGVGVGEDAEAAIDVWRYIFGFVEMAVVKCAIELGIADAIENHGSPMTLSELSAALGCEPSRLHRIMRFMVHNQVFKEEPISQGSIGYAQTPLSRRLTSSGVNSMAALVLLESSPVMLAPWHSLRARVLASGDSPFEAAHGKDIWSYAEANPGHSTLINEAMACAARVSVPAIIEGCPEVFDGLGSLVDVGGGNGTALTTLVKAFPWIRGINFDLPHVVAAATQFDGIENVGGDMFESIPKADAAFFMWVLHDWDDEECTKILKKCREAIPEDKGKVIIVEAVLEDDTDDKLDFLRLMLDMVMMAHTNKGKERTLKEWRYVLGHAGFTRINVKRIRAVQSVIEAYP >EOX98655 pep chromosome:Theobroma_cacao_20110822:2:6629416:6631830:-1 gene:TCM_007365 transcript:EOX98655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein MQQLLLPTTSFPPPLFPLKSHPFPRKQQFCLRDGLSLYAPPKMASFSGFVAKSQMQTTADGGDANGFSTLMEYVGKGGLDVGDELVVLLYHMQYACKRIAALVASPFNSALGKHSGLSAGTSGSGGLDRDKPKPLDIVANEVILSSLRNSGKVSVMASEEDDAPIWINDDGPFVVVLDPLDGSRNIDASIPTGTIFGIYKRLVELDHLPTEEKASLNSLQSGTRLLAAGYVLYSSATILCASFGSGTHAFTLDHSTGDFILTHPSIRIPARGQIYSVNDARYFDWPEGLRQYINTVRQGKGKYPKKYSARYICSLVADFHRTLLYGGLAMNPRDHLRLVYEANPLSFLAEQAGGKGSDGKSRILSIQPVKLHQRLPLFLGSLEDIDELESYEDVQQKVNPGYAI >EOX99541 pep chromosome:Theobroma_cacao_20110822:2:12053912:12054501:-1 gene:TCM_008240 transcript:EOX99541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin, putative MQQAIPYKSWPLPCATTTSHRAPSTLGHNNLVLSRGGGSKDVLNIVLENAVIVFARKGCCMSHVVRRLLLALGVNPAVYEIDDKDEVGVLNELEMICKGDGKDKKVQLPAVFIGGRLFGGLDKVMATHISGELVPVLKDAGALWL >EOY00535 pep chromosome:Theobroma_cacao_20110822:2:33252846:33258578:1 gene:TCM_010416 transcript:EOY00535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTADTATLSYWLNWRFLLCALFILTSMVVSAIIIWKYEGRKKSEHQERENQKEAPGFLCEDEAWNTCLKSIHPAWLLSFRVFAFIMLLALLMANVVIDGGGIFYFYTQWTFTLVTIYFGVGSAISIYGCCKHWGKVGGDKADQVSSDSEQGTYIPPTLGETADASNQLKHLDTHEAPYHPPKAGAWIYAFQIIYQTCAGAVMLTDSVFWLILFPFLTSKDYGLNFLIVCMHSINAVFLLGDTILNCMRFPLFRFAYFVLWTGTFVVFQWIIHACVNLWWPYPFLDLSSTYAPLWYLGVGLMHVPCYGIFALIIKFKGFSLSRSVPECYRKWR >EOY00534 pep chromosome:Theobroma_cacao_20110822:2:33252996:33258120:1 gene:TCM_010416 transcript:EOY00534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTADTATLSYWLNWRFLLCALFILTSMVVSAIIIWKYEGRKKSEHQERENQKEAPGFLCEDEAWNTCLKSIHPAWLLSFRVFAFIMLLALLMANVVIDGGGIFYFYTQWTFTLVTIYFGVGSAISIYGCCKHWGKVGGDKADQVSSDSEQGTYIPPTLGETADASNQLKHLDTHEAPYHPPKAGAWIYAFQIIYQTCAGAVMLTDSVFWLILFPFLTSKDYGLNFLIVCMHSINAVFLLGDTILNCMRFPLFRFAYFVLWTGTFVVFQWIIHACVNLWWPYPFLDLSSTYAPLWYLGVGLMHVPCYGIFALIIKFKGFSLSRSVPECYRKWR >EOX99929 pep chromosome:Theobroma_cacao_20110822:2:18332697:18335243:1 gene:TCM_008938 transcript:EOX99929 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsH extracellular protease family isoform 1 MAASPACLLGHGLSTHTTKPKLSKEFSGRNIFFTSGLTSLGRTSKAVLVKASLEQKQHEGRRGFLKLLLGSGGIGVPALLGSGRAYADEQGVSSSRMSYSRFLEYLDKDRVKKVDSFENGTIAIVEAVSPELGNRVQRVRVQLPGLSQELLQKFREKNIDFAAHNAQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGMGGPGGPGFPLAFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVTVDVPDIRGRTEILKVHGSNKKFDADVSLDVIAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEI >EOX99928 pep chromosome:Theobroma_cacao_20110822:2:18332523:18337518:1 gene:TCM_008938 transcript:EOX99928 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsH extracellular protease family isoform 1 MAASPACLLGHGLSTHTTKPKLSKEFSGRNIFFTSGLTSLGRTSKAVLVKASLEQKQHEGRRGFLKLLLGSGGIGVPALLGSGRAYADEQGVSSSRMSYSRFLEYLDKDRVKKVDSFENGTIAIVEAVSPELGNRVQRVRVQLPGLSQELLQKFREKNIDFAAHNAQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGMGGPGGPGFPLAFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVTVDVPDIRGRTEILKVHGSNKKFDADVSLDVIAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLIPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEVIFGEPEVTTGAVGDLQQITGLAKQMVVTFGMSEIGPWSLMDSSAQSADVIMRMMARNSMSEKLAEDIDAAVKRLSDRAYEIALSHIRSNREAIDKIVEVLLEKETMTGDEFRAILSEFVEIPSENRAPPSVPSPVSV >EOX98522 pep chromosome:Theobroma_cacao_20110822:2:6072553:6075531:-1 gene:TCM_007268 transcript:EOX98522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASLQLLNPVFSSISISHSNFPGKSDFRKKLLSFNRCRSFGPLHNQRSKIHCATQEGDNKSNGEEPPESQFMKELKRRGMTPASLLEDAKRTNYGVDEEMKVGEEAGTFSNRNVVSTEYEKSLSNQRERSMELNSEGLEGLVPRAKLLLTIGGTFFLGFWPVILSTIAFFTALYLYFGSSFIHDASETSISPPQYVDPFALLEDERISQTAPRVN >EOX98523 pep chromosome:Theobroma_cacao_20110822:2:6072750:6075633:-1 gene:TCM_007268 transcript:EOX98523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASLQLLNPVFSSISISHSNFPGKSDFRKKLLSFNRCRSFGPLHNQRSKIHCATQEGDNKSNGEEPPESQFMKELKRRGMTPASLLEDAKRTNYGVDEEMKVGEEAGTFSNRNVVSTEYEKSLSNQRERSMELNSEGLEGLVPRAKLLLTIGGTFFLGFWPVILSTIAFFTALYLYFGSSFIHDASETSISPPQYVDPFALLEDERISQTAPRVN >EOX98929 pep chromosome:Theobroma_cacao_20110822:2:7805810:7808874:-1 gene:TCM_007592 transcript:EOX98929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLRIKAVVDKFVEELKEALEADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >EOX99367 pep chromosome:Theobroma_cacao_20110822:2:10534533:10539925:-1 gene:TCM_008018 transcript:EOX99367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C 52 isoform 1 MGCCVSTSSRSTCSTRSHGGAVSPSCFEVGFCGQKRTKRTFSDHVIALQHLPSVPNRIFTNGKSRTSCIFTQQGRKGINQDAMIVWEDFMSEDVTFCGVFDGHGPHGHLVARKVRDALPLKLLSSMHSYQSRQNGSGRTCFRGNSKKSDGGDSEKDGSAEDRLNSIWKEAFMKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRILTERDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAAGILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYEEQGFSSATLQSNHSGNAVESDDGQKSEPSLQRNFTVRSSEESDSYGRLPPEFEGNADTVAAEDQNWLGLEGVTRVNSLVQLPRFSEERPNP >EOX99366 pep chromosome:Theobroma_cacao_20110822:2:10534533:10539010:-1 gene:TCM_008018 transcript:EOX99366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C 52 isoform 1 MGCCVSTSSRSTCSTRSHGGAVSPSCFEVGFCGQKRTKRTFSDHVIALQHLPSVPNRIFTNGKSRTSCIFTQQGRKGINQDAMIVWEDFMSEDVTFCGVFDGHGPHGHLVARKVRDALPLKLLSSMHSYQSRQNGSGRTCFRGNSKKSDGGDSEKDGSAEDRLNSIWKEAFMKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRILTERDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAAGILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYEEQGFSSATLQSNHSGNAVESDDGQKSEPSLQRNFTVRSSEESDSYGRLPPEFEGNADTVAAEDQNWLGLEGVTRVNSLVQLPRFSEERPNP >EOY00385 pep chromosome:Theobroma_cacao_20110822:2:31954953:31967097:1 gene:TCM_010253 transcript:EOY00385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine synthase 1 MAEESGAPVSCSDLPVKRPREDEENGVSAAAMETEGDKSNASNNVKEADGISSVIPGWFSEINQMWPGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKEFFPDVAVGYEDPRVTLHIGDGVAFLKAVPEGTYDAIIVDSSDPIGPAQELFEKPFFESVAKALRPGGVVCTQAESIWLHMHIIEDIVANCRQIFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPPVDFKHPVNPIDSDDSCCKSKRPLRFYNSEIHSAAFCLPSFAKKVIDSKH >EOX98978 pep chromosome:Theobroma_cacao_20110822:2:7974962:7985544:1 gene:TCM_007627 transcript:EOX98978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 2 MAPSSSSGFFPVVFGILCLMFISSLLLANSAKVKGTRVGKIELTDSATIRRNLLDNGLGLTPQMGWNSWNHFHCDINETLIKETADAMVSTGLAAVGYTYINLDDCWGELNRDSQGNLVPKASTFPSGIKALARYVHSKGLKLGIYSDAGTQTCSKTMPGSLGHEEQDAKTFASWGIDYLKYDNCANTGASPKQRYPKMSKALLDSGRPIFFSLCEWGQEDPATWAPNIGNSWRTTGDIEDKWESMTSIADQNDKWASYAQPGAWNDPDMLEVGNGGMTTEEYRCHFSIWALAKAPLLIGCDVRSMDNVTFELLSNKEVIAVNQDKLGVQGKKVKKDGDLEVWAGPLTNHKVAVVLWNRGSSLANITAYWSDIGLKPSTIVDARDLWANEQHSTERSAQKQISAEVDSHACKICGVSHFPCKLTEELIHQTADGMVPSGLSALGYEYIKLGLLTCSKTMPGSLGHEQQDANTFASWGIDYLKYDNCHNQGVSPQERWVRLFKTPEDLCSTLFVNGALKTLQLGYLALGTVGEQLETSRILGRDLDMLEVGNGGMSTEEYRSHFSSWALVKAPRILGCDTRSMDNDTFELLSNNEVIAVNQDELGVQGKKVRKIGDLEVWADGMTLGSTLLQLLMLETYGRHSVRNQIKATLVSHACKMYVLTPQ >EOX97317 pep chromosome:Theobroma_cacao_20110822:2:1974260:1974905:1 gene:TCM_006382 transcript:EOX97317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organ-specific protein P4, putative MKTFFAVFIFCFLLLSANPNHARKEPGDYWKSVMKDQPMPEAIKGLLHQDPASALGSEKNMKHFVKDFDTKHSVIIYDSGPQSKVEDNPHVKDLKDQKQQKSDKKN >EOY01626 pep chromosome:Theobroma_cacao_20110822:2:40347007:40348620:-1 gene:TCM_011473 transcript:EOY01626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein isoform 2 MGRTGTRLPGFCLNRIRPHARVRSPPIQAKPKVDSATADQKAEICGKVGEDKSSNGEKPGLVIGRKIMIVVDSSIEAKGAIQWALSHTVQCQDTIILLYVTKPSKQVTVNEFDKNRPARDSEPVCSLKNMCKQKRPEVVQVEVTVVEGKEKGPTIVEEAKRQGVALLVLGQKKKSMTWRLIMMWAGNRVTGGVVEYCIQNASCMAVAVRRKSKKLGGYLITTKRHKDFWLLA >EOY01625 pep chromosome:Theobroma_cacao_20110822:2:40347030:40351607:-1 gene:TCM_011473 transcript:EOY01625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein isoform 2 MGRTGTRLPGFCLNRIRPHARVRSPPIQAKPKVDSATADQKAEICGKVGEDKSSNGEKPGLVIGRKIMIVVDSSIEAKGAIQWALSHTVQCQDTIILLYVTKPSKQVTVNEFDKNRPARDSEPVCSLKNMCKQKRPEVQVEVTVVEGKEKGPTIVEEAKRQGVALLVLGQKKKSMTWRLIMMWAGNRVTGGVVEYCIQNASCMAVAVRRKSKKLGGYLITTKRHKDFWLLA >EOY01873 pep chromosome:Theobroma_cacao_20110822:2:41243357:41244561:1 gene:TCM_011674 transcript:EOY01873 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbQ-like 2 MSTFTNSTALIHVSQSFTAPQTHLCNKPSIPIIRASFPSQQNDDVMTTSTNRRKLVTTILATPLAALGLHGTSVAVAENWGTRSFLRERFFEPGLSPEDAAARIKQTAEGLHSMREMLDNMSWRYVMFYIRLKQAYLSQDLKNAMSTLPQARKEEYVKTANELVDNMAEFDYYVRTPKVYESYLYYEKTLKSIDDLVALLG >EOY00953 pep chromosome:Theobroma_cacao_20110822:2:36091130:36098273:1 gene:TCM_010859 transcript:EOY00953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQNIRNVNIDFKFSITLITLLFRFKRLELREQTYASEILLNTAPYQVATVAYPISSLESRKLDESIAPGDPGVKCNPSCIPSPPPPSPPPPSPPPPCPPPPELPPPTPKKPPTKYCPPPPSPPSFIYITGPPGSLYPVDQDFGGASRNLEVGLLGLVCGFLILVAF >EOX97021 pep chromosome:Theobroma_cacao_20110822:2:909581:932589:1 gene:TCM_006140 transcript:EOX97021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSFRVKKNQAAECLANDGVRRHGLNSSACPTFYCSSERLRPGGTGNHAWKPLHCVCFG >EOY00357 pep chromosome:Theobroma_cacao_20110822:2:31598851:31601291:1 gene:TCM_010206 transcript:EOY00357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase YNR047W MWVVGGFSKNVKPHKLHGRRIADKGGNKEMASWSKALLSFRLEPHPSVPSSSTPRLSFCSNSFSSSPSFIRYSLFFAFKTSAIIKLNNSSAYPLITSRKPTPFSLSFSSTKFVCKAAEYKFPDPIPEFADAETDKFRKHLLNKLSKKDIFGDSVEEVVGICTQIFSTFLRTEYGGPGMLLVLPFIDMADTLNERGLPGGPQAARAAVKWAQDHVDKDWKEWTGSN >EOY01159 pep chromosome:Theobroma_cacao_20110822:2:37950998:37955366:1 gene:TCM_011094 transcript:EOY01159 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCCH-type zinc finger family protein, putative MVAATQQQQQQQLQQQQQQQQQQQKQAAAAAAAVAGATKTSAEEEALKRNTDCVYFLASPLTCKKGSECEYRHSEYARVNPRDCYFWLNGNCLNPKCGFRHPPLDGLLGTQVAASAGSSISSSQMAATPTAAAHTLYNQGASSNSSKQAVPCIFFQKGLCLKGDRCAFLHGPTTNNKAPQPAAATPATEPHSLKKAFGALETSQLQKFPPSNVSKTVGVSLEGKPAPKVEAVSGRTGAGIERSVPCPAGSDEELPRHKGANITQVVNGGSVGRSSRLHQAHASVEQSFHAGKDTDEFLRESSPGFDVLVDDELRDSDFYHGEDQYGGTRGHEGRNVNEYDIGRSANYDAMADVDREMFRDARGYDSFDHVQGHYGWDQQRASSERISLGPSTLERRGYSVVDSPDPVEESDLRYHLSKHRRFNGLRSVVSHDHAFENHEEERNYRGSLRDSHHLPGHESSLSSRLRGRIKLPGRSLVNGTDLHSEREIERGRNWGQLSPGKPQTSSHQGRLHDRIKGRVEGEYNNKEGRNFRGPRTRRDIMDESNANFAGPKSLAELKVGKNTENKEQQSLGKRKNIEEYQQTEGDLSFEGPMPLSEILKRKRQSGAAASGSGIAPVNKEDINQKQSKESLIGSSTNTVVSPTLEEANKKEESKSTIAESGKMEIVHGESSQLRNASKHEAEDGMIGDERMEDHELEADDQRDGDYYYEQGDEGDYNYEEGENVDPEEEYIEEEDGEDGDDFEKKLGVMFS >EOY01233 pep chromosome:Theobroma_cacao_20110822:2:38420135:38426119:1 gene:TCM_011177 transcript:EOY01233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLWLLAQILPYLLGSGLIVFFSLGEEAFDLLDHVYLSSLQYRISNIQAPPAKGKLQEIVVASPYATSPANHTNSPLHKTGIKGCNAFGAVEPNNQPDIGLLQDKGFSHSTLLLAFGKRLGLLPSFGYLTNSPIDS >EOX98104 pep chromosome:Theobroma_cacao_20110822:2:4572448:4574100:-1 gene:TCM_006940 transcript:EOX98104 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II ctd phosphatase, putative MSFVTDSPVHSSCSDDFAALLDAELEVGSSGFSPDEEDEEADGDNDNDDDLDSQKEQKVQDREVGRLRRTSGSNLSGFDRNNLEEECSKSLSQLKSDEREPDGALASILKLLRQIHHMFFDELDCNLARRDVKQLLTTVRKEVLRGCKIIFSRAFPTDFRTDTHPLWKMAERLGATWSTETELSVTHVVSKDAGTEKSHWAVKEKKFLVHPWWIEVANFSWHKQPEQNFPVSQGRKQ >EOX99488 pep chromosome:Theobroma_cacao_20110822:2:11568952:11575939:-1 gene:TCM_008163 transcript:EOX99488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMCDRWTDKQVYIERLAWANGLCLLGPCANHDPRLGCDNVKTTFLNSDLEEEIYMVQPDECVLLGQENKIYTKSQSSSLDYIKQSDKIFERWTCDRDKGGGVPSDVKTNIKGKRRLIKSFVSIS >EOX97805 pep chromosome:Theobroma_cacao_20110822:2:3533904:3540783:1 gene:TCM_006738 transcript:EOX97805 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MGFRLLRIVSAKQGLKRTLSFSETTPVPKGHFTVYIGEAEKKRFVVPISLLKDPSFQNLLRQAEEEYGFNHPMGALTIPCSEEAFIDLTCSLQGS >EOX98784 pep chromosome:Theobroma_cacao_20110822:2:7211031:7216590:-1 gene:TCM_007478 transcript:EOX98784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the outer membrane of chloroplasts 64-V isoform 1 PKPQTKNPARPVYARLIVYPQRTEANSNFSLRSLTFPLKPFQNPFSPLCFVFFVILFPSLLPPFSLNPIMSKALHLIKTNASNPKVWIVAGVTVAGIIVLAETSRRMRRKAIIIKREDFGAFLERFELIPFPQPPPPAAKLPLSGLTFAIKDIFDVKGYVTGFGNPDWRRTHEPADKTAVVVTALLKNGAKCVGKTVMDELAFGVTGENKHYGTPTNPNMESNVPGGSSSGSAVAVAAELVDFALGTDTIGCVRIPASFCGILGFRPSHGAVSTIGLLPNSQSLDAIGWFARDPSILHCVGHVLLQLKAVEPRRARRLVFADDLFQLSKVPKQKTVYVVSKAIEKLSGYQPPKHINFAQYVASNVPSLKGFCQQSTHLQNGISILKALSSVMVSLQRYEFKTNHEEWVKDVKPRLGAEISNHVLASINTTYGNVKNLYKVRTEMRAAVQSLLKDDGILVIPTIADAPLKLNSKKGFLFH >EOX98785 pep chromosome:Theobroma_cacao_20110822:2:7212468:7216590:-1 gene:TCM_007478 transcript:EOX98785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the outer membrane of chloroplasts 64-V isoform 1 MSKALHLIKTNASNPKVWIVAGVTVAGIIVLAETSRRMRRKAIIIKREDFGAFLERFELIPFPQPPPPAAKLPLSGLTFAIKDIFDVKGYVTGFGNPDWRRTHEPADKTAVVVTALLKNGAKCVGKTVMDELAFGVTGENKHYGTPTNPNMESNVPGGSSSGSAVAVAAELVDFALGTDTIGCVRIPASFCGILGFRPSHGAVSTIGLLPNSQSLDAIGWFARDPSILHCVGHVLLQLKAVEPRRARRLVFADDLFQLSKVPKQKTVYVVSKAIEKLSGYQPPKHINFAQYVASNVPSLKGFCQQSTHLQNGISILKALSSVMVSLQRYEFKTNHEEWVKDVKPRLGAEISNHVLASINTTYGNVKNLYKVRTEMRAAVQSLLKDDGILVIPTIADAPLKLNSKKGFLFH >EOX98782 pep chromosome:Theobroma_cacao_20110822:2:7210659:7216523:-1 gene:TCM_007478 transcript:EOX98782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the outer membrane of chloroplasts 64-V isoform 1 MSKALHLIKTNASNPKVWIVAGVTVAGIIVLAETSRRMRRKAIIIKREDFGAFLERFELIPFPQPPPPAAKLPLSGLTFAIKDIFDVKGYVTGFGNPDWRRTHEPADKTAVVVTALLKNGAKCVGKTVMDELAFGVTGENKHYGTPTNPNMESNVPGGSSSGSAVAVAAELVDFALGTDTIGCVRIPASFCGILGFRPSHGAVSTIGLLPNSQSLDAIGWFARDPSILHCVGHVLLQLKAVEPRRARRLVFADDLFQLSKVPKQKTVYVVSKAIEKLSGYQPPKHINFAQYVASNVPSLKGFCQQSTHLQNGISILKALSSVMVSLQRYEFKTNHEEWVKDVKPRLGAEISNHVLASINTTYGNVKNLYKVRTEMRAAVQSLLKDDGILVIPTIADAPLKLNSKKGYSTEFHDKAYALLSIASMSGGCQVSVPLGKHDDCPVSVSFVTYHGADKFLLDTVLDMYASLQDQVSIASNLAPLPDVNGNMDASELLKEKGNAAFKGMQWNKAVSYYSKAIKLNGTTATYYNNRAAAYLELGCFQQAEEDCSKAISLDKKNVKAYLRRGTARESLLCYKEALEDFKHALVLEPQNKVANLAEKRLRKLIC >EOX98783 pep chromosome:Theobroma_cacao_20110822:2:7212524:7216381:-1 gene:TCM_007478 transcript:EOX98783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the outer membrane of chloroplasts 64-V isoform 1 MSKALHLIKTNASNPKVWIVAGVTVAGIIVLAETSRRMRRKAIIIKREDFGAFLERFELIPFPQPPPPAAKLPLSGLTFAIKDIFDVKGYVTGFGNPDWRRTHEPADKTAVVVTALLKNGAKCVGKTVMDELAFGVTGENKHYGTPTNPNMESNVPGGSSSGSAVAVAAELVDFALGTDTIGCVRIPASFCGILGFRPSHGAVSTIGLLPNSQSLDAIGWFARDPSILHCVGHVLLQLKAVEPRRARRLVFADDLFQLSKVPKQKTVYVVSKAIEKLSGYQPPKHINFAQYVASNVPSLKGFCQQSTHLQNGISILKALSSVMVSLQRYEFKTNHEEWVKDVKPRLGAEISNHVLASINTTYGNVKNLYKVRTEMRAAVQSLLKDDGILVIPTIADAPLKLNSKKGYSTEFHDKAYALLSIASMSGGCQVSVPLGKHDDCPVSVSFVTYHGADKFLLDTVLDMYASLQDQVSIASNLAPLPDVNGNMDASELLKEKREMLHLRECSGIRLSVTIVKLLS >EOX98241 pep chromosome:Theobroma_cacao_20110822:2:5021142:5023254:1 gene:TCM_007048 transcript:EOX98241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cadmium resistance 2, putative MYPTMNDHPSYSYDSHMGPVPASGVPDPSPAQPYPVHHHAYISNSLPRAPPAPVSGQWTSGLCHCFDDPVNCVITCVCPCITFGQITEIVNRGSKSCVSRGLLFGLLALTGCACFYSCFYRSKLRGQYDLQEEPCTDCLVHFCCWSCALCQEYRELKNRGFDMGIGWEANMDRQKRGVTVAPIVIPGMAR >EOY02126 pep chromosome:Theobroma_cacao_20110822:2:42038328:42044694:1 gene:TCM_011856 transcript:EOY02126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein isoform 1 MAVHCNSVLFSCKTWRNRDTKPISTILPTRLCSVPQTPFSFHSDGLKLSTCGWDRVVSAVVSEESAVGSSSSGTDVFKLTYLEGNSWLWDVSGVRILVDPILVGSLDFGIPWLYDAAKKFLKNFKLTDLPQVDCLLITQSLDDHCHLKTLKPLSEMSPELRVIATPNAKPLLDPLFKNVTYLEPGQDSEVEAGNGSKVRIEATAGPVLGPPWQRPENGYLIISPQGQLNLYYEPHCIYNKNFLEKERADIVITPVVKQLLPNFTLVSGQEDAVQLAKLLRAKYIVPMKNGDLDSKGLLASIIQSEGTVESFKELLSKELPDAQALEPTPGVPLQIPAP >EOY02127 pep chromosome:Theobroma_cacao_20110822:2:42038413:42041092:1 gene:TCM_011856 transcript:EOY02127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein isoform 1 MAVHCNSVLFSCKTWRNRDTKPISTILPTRLCSVPQTPFSFHSDGLKLSTCGWDRVVSAVVSEESAVGSSSSGTDVFKLTYLEGNSWLWDVSGVRILVDPILVGSLDFGIPWLYDAAKKFLKNFKLTDLPQVDCLLITQSLDDHCHLKTLKPLSEMSPELRVIATPNAKPLLDPLFKNVTYLEPGQDSEVEAGNGSKVRIEATAGPVLGPPWQRPENGYCANEKWRS >EOY01600 pep chromosome:Theobroma_cacao_20110822:2:40201636:40202641:1 gene:TCM_011454 transcript:EOY01600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKKLIMEDSGAEFPGFKICVHKDELVFRRPLSRLQRRAPRPLQVKPNASSLESKAVASQVMDCSLNPSSSSSAAAAAAATSASSFNSFYQSKDPIPLLSPLVLPCLLESSYLHQEGNTAK >EOX99306 pep chromosome:Theobroma_cacao_20110822:2:10074374:10074941:1 gene:TCM_007940 transcript:EOX99306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-responsive family protein, putative MSYLNRVWMATSMAVVQGHGENQGQKWKAGVKFLQRGQGRLFGGDSSDLRPVSGMIGSDFPGMVGNRDAQADESIRRVMYLNCWGQG >EOY00394 pep chromosome:Theobroma_cacao_20110822:2:32004872:32005748:1 gene:TCM_010263 transcript:EOY00394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSRKFVQNDHPSNYGMPSNSVFEPYKLASIHFHQHMLDFIPSYGYIILGSGIDPIPILWTKGRVVFLGLSDFFQPHLLK >EOX96880 pep chromosome:Theobroma_cacao_20110822:2:336918:337711:1 gene:TCM_006023 transcript:EOX96880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQMWLLWWPKNTCTTTKSGGDTGAAGSTATTRATTGRTSSLGKNGTTRSCCNCRCLARFMRKVKKQSKEMVRSAGASRQSSFQCRYDPLSYSLNFDRSGCGSSVDDEDYYQFYAFSSRFVANPRSRRPCSTHTLPAASQHSPSTITS >EOX97919 pep chromosome:Theobroma_cacao_20110822:2:3974164:3981161:-1 gene:TCM_006824 transcript:EOX97919 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein, putative MGKKKRSEKRPESEHSPSTVFVTNLPYSFTNSQLEETFSDVGPIRRCFMVTKKGSTEHRGFGFVQFAVTEDANRAIDLKNGSSIGGRKIGVKHAMHRAPLEQRRSKATQDDGTKTKDDKDGFTSTVNEHGSNPPKLEKPVQPRKAATLCADLADKENCSGKQRVARTVIFGGLLNNEMAEDVHRCAKESGTVCAVTYPLPKEELERHGLAQDGCKMDASAVLFTSIKSARAVVAMLHQKEIQGGIVWARQLGGEGSKTQKWKIIIRNLPYKAKVNEIRDMFSSAGFVWDVFIPYNSETGLSKGFAFVKFTCKQDAENAIQKFNGQKFAKRPIAVDWAVPKKLYSGGANAAVASDGGQLHEGDEESDSSSIDMEDEGGDGDNDGGIASDDSNMLDTARAPTAIDFDMEADIARKVLNNLVTSSHDDAVLPKRDDELNVDETINVQNKSLIESAIGSDMTKPEKSSKNKQANIKLTDGEDDLQRTIFISNLPFDIDDKEVKERFSGFGEVQYFLPVLHPVTKRPRGTGFLKFKTIDAAIAAVSAVNAASGLGIFLKGRQLKVLKALDRKSAHDKELEKAKVEEHDHRNLYLAKEGLIVEGTPPAKDVSASDMEKRKMLHEKKMTKLQSPNFHVSKTRLIIYNLPKSMTEKELKQLCIDAVISRATKQKPVIRQIKFLKSVKKGKLVIKNQSRGVAFVEFTEHQHALVALRVLNNNPETFGPEHRPIVEFAVDNVQTLKLRKAKLQAQQLDGRDDMNNAQQNAESNSFDAHPTKSRKRKSRDDKRVTKQPEFKKAEMENAVAAEDGQATKKPKHNPAGEKTKPTSLKENLEGSNWKLKGSNRKPKDHKGVPKPDIGSSDKVQTTANDTRKSKSFKEMEAVLQPKERMPQQQAKQQEGEKSSKRKRSQKKKNPSGRDVVDKLDMLIEQYRSKFSQPKSETAGAEKQGSKKLRRWFQA >EOX97828 pep chromosome:Theobroma_cacao_20110822:2:3613972:3618070:-1 gene:TCM_006759 transcript:EOX97828 gene_biotype:protein_coding transcript_biotype:protein_coding description:C globular stage, putative MEEPERTLVDVREELMVSPTGQNQNPCSRTAHFLKPIFSSLENPLPKLPSQCLSSLEESSLEPKNLPLSISFHGWRCRAKNWSTWIEKMAALHESTWKKAGIFEAIMNSTYQIKRNNDLIFGLAEKWCCETKSFIFSWGEVSVTLEDIMILGGFSVLGSPVLTPFETEELKEVEESLKSARIEIVRSKAKKACPRLWMQKFMDTGSEFEHEAFLAFWLSRYVFTNAHETIREHVFSIAIHLARGTRLALAPAVLASIYRDLCLLKDAITASTKLGKEEVFTLTLWSPFQLVQVWAWERFAELRPKPNSIAKGEPRLVQWHDVSCKVENVRLALESASGSFEWRPYAMQIDNWKQPKFYRENEVCISITARLDKELESFARCLKASELVGLDCVEQYLPHRVAMQFGIDQDIPDSVPRSDETPEIAWLNYCESLSGVKLYIPSRLYKAGVTAQYLNWWKQSVLESKGKAKGLKKSAKNAKGKKHGKSASGCPGFHQKIESFHGKTEASDPSVSLNCSMKSSKKQGDSVKRNNNGSGSHSCFPLKKSKSVSQILEEKQVDNNDATFSGSCRRNSKKPAENLKGNKKGKEESSTPSFPLGSSKESVPTLKRKEKDDKESASPGFRKKRLKKSAENSKGMREDAHVPASSRFLLRSSKKSAQVIKDKEEGSSNSASEALPSVNSKQPPRTLKRKKGSNSHVPMGFTSNFDGKEAEDSPESNNPTIAEMMRSCKKRGNIGAKDCDEDGNPSGHSQSLSSTIADDEVVKYLEPLAILAEKAMQDESVLRGAGETFEGAYKDQRELQMVQEKVVMGEPEKTVKCANEANPEHPVHKMLSINGVEGECSCYAVEIPGLTLEARISRLEKLVEELKAMRSACK >EOX97113 pep chromosome:Theobroma_cacao_20110822:2:1231327:1238197:1 gene:TCM_006214 transcript:EOX97113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPQATKMFSKSLTETDIKKRLAIPAKILPSLPHFNGSHAVTIPLMYGTRTWPIVCSVRKTGYKKSVFSAGWRNFVICNDFHVGDRLTMYKVKDEAGSFHYRVEVEKPATPSVALSARAFSLNHEVDETTGTSHTKISNFQHDQEQLPKADAPVIQKGATMELADAAANAPDPFVNHVIAKPPGMIFGTVVSDEATSKAHFKPEHETEMKFFGITMAIGLGEPMLHACYITKEERDIKAPVDLNGSLSTGRLILDLVLGQPNLTKEEGDIKAPFDLSGGGSLAVFGTSQATEEAYSDSTGRLNLDLVLGQPSPYNGAVNLDLTLAQPLGDNRGTVLAHSKP >EOX98394 pep chromosome:Theobroma_cacao_20110822:2:5622997:5626921:1 gene:TCM_007167 transcript:EOX98394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAWRSAGSLSRTVMSAARAPSLRTPPPLPRLRPPTSSAPRLQSRRPSLAPSRNFGELGCVQSFLPLHSMVATARLTSHLTVNVRACCELSHGIGIGIDREEWKRWVMHVDFQSAKGERMIPHRRYLKGPWISAAECNTQLRESEISFVLWESRGISWWNVTRSKKGF >EOY00180 pep chromosome:Theobroma_cacao_20110822:2:28716651:28718991:-1 gene:TCM_009901 transcript:EOY00180 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box type zinc finger protein with CCT domain, putative MISTKNVANAVGGKTARACDSCIKKRARWYCAADDAFLCQACDSSVHSANPLARRHERVRLKTASLQSSGHEAPLESFAPSWHKGFTRKARTRRPTKASIHQKLKAEHTKRNSNPFPLVPEIGADEISYEENEEEQLLYRVPIFDPLVAKLCTSTTSNEAAVSAVGNDVETADAAVSESKAFLACNGQDADGSHGLFPSEMDLAEFAADVESLLGKGLENESFGMEDLGLKDSKEKYFRDCSLGNGKVKIEDEESFEAVGACHVDSEIDMAREPFKLNFSCDSPGNCGEEDELVKEEVTVKSYEEYEEDTAKKKKRKILLSLNYESVITAWASQGSPWTSGGRPDFDPDECWPDCMGTGGTEVHHTYSDLIGMGAHQALGDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRASFLAGPAFPFVNK >EOX98172 pep chromosome:Theobroma_cacao_20110822:2:4748204:4752094:1 gene:TCM_006992 transcript:EOX98172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductases, acting on the aldehyde or oxo group of donors, NAD or NADP as acceptor,copper ion binding MSTATFSSICCNQGYLWKEEGKISKLKNRNEKVRLNVGGASALTTKCMQSSQQNKKEVRIGLLGASGYTGAEIVRLLANHPYFGITLMTADRKAGQSMGSVFPHLITQNLPTMVSVKDADFSNVDAVFCCLPHGTTQEIIKGLPRHLKIVDLSADFRLRDIDEYEEWYGQPHSAPELQKEAVYGLTEILREEVKSARLVANPGCYPTSIQLPLVPLIKAKLIEHRNIIIDSKSGVSGAGRGAKEANLYSEIAEGIYSYGVTRHRHAPEIEQGLSDAAHSKITVSFTPHLMPMTRGMQSTIYVEMSQGVTIEDLYEQLRKYYEDEEFVKLLDKGVVPHTHNVRGSNYCFMNVFPDRIPGRAIIISVIDNLVKGASGQALQNLNIMLGYPENTGLLYQPLFP >EOY00142 pep chromosome:Theobroma_cacao_20110822:2:26622742:26623952:-1 gene:TCM_009707 transcript:EOY00142 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein, putative LISNNLLFPFFSTLKLFAFAPCQVVRIFFNFLGFCFSFFSHPIENLSIHSTMSPVNSHERPPRRDLMINGLRPSPLKINKDSHFIQKSSGLVLSQAAAAPLKNQYQQQQRQGPIIIYTHSPKIIHTQARDFMALVQKLTGFSRSDEVTKTAPSKLRKHKAKDNSISSLEGNISMELARNRQEDNDSSSALTDENGGFGVGGGDVNNVSLSSAPSITSPPNPFFADIALFTPNSVDFFCSPRPVYKFADNEIVSPTLGLSSPSLLEFMKGLPDY >EOX98202 pep chromosome:Theobroma_cacao_20110822:2:4866562:4869656:1 gene:TCM_007015 transcript:EOX98202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MPLLNLQIHRSLSSASSPADALLAGKALTFLKRHPYHLNSLTSNFTPEAAFCLLLKSQNDQTLILKFLKWARPHPFFTPQCKCLTLHILTKFKLYKSAQSLAEDLAVNTSDDEKGSFVFQCLKETYHSCASSSSVFDLVVKSYARLKLIVKALNIVNLAKFNGFMPGVLSYNAILDAVIRCKKPVRFAEEVFAEMIRHGVTPNVFTYNILIRGFCTAGNLYMGLRFFSEMERKGCLPNVVTYNTLIDAHCKLKKIDGAFKLLRAMGLKGLEPNLISYNVIINGLCREGRMKETSEVLREMSWKGFSPDEITYNTLVNGYCKDGNFHQALVLHAEMVRNGLTPNVITYTSLINSMCKAGNMNRAMEFFDQMHVRGLRPNERTYTTLIDGFSQQGRLNEAYRLLDEMGRNGFSPSLVTYNTLINGHCMVGSVEESLKLIQDMVGKGLAPDLVSYSTIISGFCKSQDLERAFRMKQEMVEKGFLPDAITYSSLIQGLCQQSRLTEACDLFQEMLNAGVTPDEFTYTTLINSYCKEGDIEKAFLLHDEMVQKGLLPDVVTYSVLINGLNKQTRTREAKKLLLKFFYDESVPSDVMYNTLIENCRNIEFKSVLALMKGFCMNGLMKEAERVFESMLQRNFKPDEAVYNVIIHGHCRGGNIQKAYDLYKEMVNSGFVPHTLTVIALVKALFMDGKTDKISQVIANTIRSCKLIDAELAKVLVEINHKEGNMDAAFNVLTEMAKDGLLPNSG >EOX96990 pep chromosome:Theobroma_cacao_20110822:2:841629:855163:-1 gene:TCM_006114 transcript:EOX96990 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MANDGLEVAIELAKEVWNYLVGKRSLSNNVDSNFDMMIVAAERLQAKRDDNERTVQQNRTKTTTCCYNMWLSSVMKILEKVESLRAEYNRERSSHLIRRSDYSGKVMNICQEVQRLVEEGDFQGGFLVDKPPAAIVKLNAPDIKGFPTLQRSLEEILQLLTNDKVKRISIFGTVGVGKSTIMKNLNNHEEVAKMFDVVIWVNVSRERNEEKLQLDIAQRLKLSREGATCAGEVARIISEELNDKRFLLLLDEVLDSIDLQQIGIPDNGNGSKVVLTTEFRHVCYIMTERMVKVDRLSSAEAWRMFQQIAAEKIDLPDVEPIARMVTEECDRLPLVIRTVASSFKLKDSDSEWRNGLRELEKWPEIEIPGLTNMHAFLKFCYDELKDEKKKKCFLYGALYPADSKIYTDYLVECWVAEGLLGNIDDRRRLRDARDEGYDILGHLTNVSLLEKGERMVYVQLNNSVRQVALYISSQDPDCKFIAQKGETSPYTQSVKDWQQAKRISMIEGKLHNLPESPNCNKLFSLLLQRNPDLATIPSSFFKNMQKLLVLDLCQTGIASLPSSVSKLIRLKALFLNDCPNITKLPPQVAELCFLEVLDIRGCKIIFIPPLIGKLVHLRCLRMSYHKCSNTEDCCDMEIDYKVISRLQRLEELMIDVTSYGHWRIDVARVIREVASLENLTTLRICFPQPEILRMLMENKPSWRDHKQLTSFWFFVGCQNKSNPPILECFEYKVNRYMRYCYPGNNDSTIRDVLPKTDALELIGHKNIKCLSDFMHVTSLNHVRGCLIERCNEMETILDGNNVGVIDILPILEQLHLRSLLCLKSVFEGPIAGKSLSKLHTIVVKSCPMLTKILSNGVIQQLSKLKKLAIESCSKVEELIENCWGIEPFSYELPSLEILELVDLPKLRTICAGEPLAWPYLKVLKISECHELKLLPFNKDNATELKLIEGEQIWWEALQWRNSEVRDHLQSFCSLS >EOX98792 pep chromosome:Theobroma_cacao_20110822:2:7230480:7240185:-1 gene:TCM_007482 transcript:EOX98792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator protein with CG-1 and Ankyrin domains, putative isoform 1 MADRASYSLAPRLDIEQILLEAQHRWLRPAEICEILRNYQKFHISSEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSIDVLHCYYAHGEENENFQRRSYWMLEQELMHIVFVHYLEVKGSRTIGGIRDTGDVSNSQTSSPSTSSYSVSHTKAPSGNTDSASPTSTLTSLCEDADSEDSHQASSRIPTSPQVGNATMMDKMDPGFLNPYSSHPFPGRSSIPGVNEVSHLHGDRPMGIDYGTYMTEAQKTLDLASWEGGLEQYMPLYPVVSSHASMASAQPDTMSISQQQMMKGKQLDVESADKEFGNLLPTQSNWQIPLADNALELPKWPMDQSSNFELAYDTRLFEQKTDDFHLPNALEEFTNNDVLNEQPVHKNLQTQLINADTNSVMKSYPENDTHLEGNINYAFSLKKSLLDGEESLKKVDSFSRWITKELGEVDNLQMQSSSGIAWSSVECGNVSDDASLSPSISQDQLFSIVDFSPKWAYTDLETEVLIIGTFLKSQEEVAKYNWSCMFGEVEVPAEVIADGILFCHAPPHSVGQVPFYVTCSNRLACSEVREFDYRAGFAKGIHVSHIYGVASTEMLLRFQMLLSLKSFSSLNHHLEGVGEKRDLIAKIILMKEEEECHQIVDPSSDKDLSQREEKEWLLQKLMKEKLYSWLLHKIVEDGKGPNILDEKGQGVLHLAAALGYDWALKPTVTAGVSINFRDVNGWTALHWAAFCGREQTVAILVFLGADPGALTDPSPEFPLGRTPADLASDNGHKGISGFLAESSLTSYLSSLTMNDAKAAVQTVSERMATPVNDSDLQDILLKDSITAVCNATQAADRIHQMFRLQSFQRKQLTESGDAVSDEHAISIVTAKARRSLQSEGVAHAAATQIQKKFRGWKKRKEFLLIRQRIVKIQGLQYGYEQYRRSS >EOX98791 pep chromosome:Theobroma_cacao_20110822:2:7230282:7240370:-1 gene:TCM_007482 transcript:EOX98791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator protein with CG-1 and Ankyrin domains, putative isoform 1 MADRASYSLAPRLDIEQILLEAQHRWLRPAEICEILRNYQKFHISSEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSIDVLHCYYAHGEENENFQRRSYWMLEQELMHIVFVHYLEVKGSRTIGGIRDTGDVSNSQTSSPSTSSYSVSHTKAPSGNTDSASPTSTLTSLCEDADSEDSHQASSRIPTSPQVGNATMMDKMDPGFLNPYSSHPFPGRSSIPGVNEVSHLHGDRPMGIDYGTYMTEAQKTLDLASWEGGLEQYMPLYPVVSSHASMASAQPDTMSISQQQMMKGKQLDVESADKEFGNLLPTQSNWQIPLADNALELPKWPMDQSSNFELAYDTRLFEQKTDDFHLPNALEEFTNNDVLNEQPVHKNLQTQLINADTNSVMKSYPENDTHLEGNINYAFSLKKSLLDGEESLKKVDSFSRWITKELGEVDNLQMQSSSGIAWSSVECGNVSDDASLSPSISQDQLFSIVDFSPKWAYTDLETEVLIIGTFLKSQEEVAKYNWSCMFGEVEVPAEVIADGILFCHAPPHSVGQVPFYVTCSNRLACSEVREFDYRAGFAKGIHVSHIYGVASTEMLLRFQMLLSLKSFSSLNHHLEGVGEKRDLIAKIILMKEEEECHQIVDPSSDKDLSQREEKEWLLQKLMKEKLYSWLLHKIVEDGKGPNILDEKGQGVLHLAAALGYDWALKPTVTAGVSINFRDVNGWTALHWAAFCGREQTVAILVFLGADPGALTDPSPEFPLGRTPADLASDNGHKGISGFLAESSLTSYLSSLTMNDAKAAVQTVSERMATPVNDSDLQDILLKDSITAVCNATQAADRIHQMFRLQSFQRKQLTESGDAVSDEHAISIVTAKARRSLQSEGVAHAAATQIQKKFRGWKKRKEFLLIRQRIVKIQAHVRGHQVRKQYRTIIWSVGILEKVILRWRRKGSGLRGFRRDALTKEPESQCMPTKEDEYDFLKEGRKQTEERLQKALTRVKSMAQNPEGRGQYRRLLTLVQGIRENKACNMVMNSTEEVADGDEDLIDIDSLLDDDNFMSIAFE >EOY01057 pep chromosome:Theobroma_cacao_20110822:2:37206306:37208011:1 gene:TCM_010995 transcript:EOY01057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cadmium resistance 2 MADSKSRGEAPWSTGLFDCFSDCPLCCQTTFCPCITFGRNAEIIKKGSCSCCENCLLYVAIHYLTGALLSILYGCYYRRKLREQYGLKASPCHDYCVHCFCHYCALCQEYRELRNQGFDMKIGWAANVDRGVTKAPVAEGGMKR >EOX98101 pep chromosome:Theobroma_cacao_20110822:2:4564751:4565562:1 gene:TCM_006938 transcript:EOX98101 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein, A,ATFD2 MASTATLSSAMVSTSFVRRQPVTSLRSLPTFRQALFGLKAQRGGRLTMAAYKVTLLTPEGPLEFECPDDVYILDQAEEEGIDIPYSCRAGSCSSCAGKVTKGSVDQSDGSFLDDEQIDSGFVLTCVAYPTSDVVIETHKEDDL >EOX98460 pep chromosome:Theobroma_cacao_20110822:2:5849738:5854959:1 gene:TCM_007218 transcript:EOX98460 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MVVCKCRKATKLYCFVHKVPVCGECICFPEHQICVIRTYSEWVIDGEYDWPPKCCKCQAVLEEGAGSETTRLGCLHVIHTNCLVSHIKSFPPHTAPAGYACPSCSTSIWPPKSVKDSASRLHSLLKEAIMQTGVEKNLFGNHPVSLPTTEPRGPPPAFASDPLINFTSTGGIEYDGNLSPSVAKDEGYSAVGGPSKLTVTEIMEIDGPSSAGNYMKTSSPVAPVATTRKNTVHVDRQNSEISYYADDEDGNRKKYSRRGPLRHKFLRALLPFWSSALPTLPVTAPPRKDGSNADDVPEGRLRHQRSARVDPRKMLLVIAIMACMATMGILYYRIAQRALGERLAEDEQQ >EOX98461 pep chromosome:Theobroma_cacao_20110822:2:5849630:5854961:1 gene:TCM_007218 transcript:EOX98461 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MVVCKCRKATKLYCFVHKVPVCGECICFPEHQICVIRTYSEWVIDGEYDWPPKCCKCQAVLEEGAGSETTRLGCLHVIHTNCLVSHIKSFPPHTAPAGYACPSCSTSIWPPKSVKDSASRLHSLLKEAIMQTGVEKNLFGNHPVSLPTTEPRGPPPAFASDPLINFTSTGGIEYDGNLSPSVAKDEGYSAVGGPSKLTVTEIMEIDGPSSAGNYMKTSSPVAPVATTRKNTVHVDRQNSEISYYADDEDGNRKKYSRRGPLRHKFLRALLPFWSSALPTLPVTAPPRKDGSNADDVPEGRLRHQRSARVDPRKMLLVIAIMACMATMGILYYRIAQRALGERLAEDEQQ >EOY00222 pep chromosome:Theobroma_cacao_20110822:2:29942371:29943761:-1 gene:TCM_010014 transcript:EOY00222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLSHIFVWCTSSPQRRSLKSLALRFSTLYNSTSITLTSMVDPPQQTYPMVTRCQNNIFKPKQLYTVTKHPLPAPTKPTNAAQAFKDLCKQAIFEEYNALA >EOX99487 pep chromosome:Theobroma_cacao_20110822:2:11565520:11566939:-1 gene:TCM_008162 transcript:EOX99487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erf domain protein 9, putative MAPKDKNASQMLKKGNVNGNIKSKEVHYRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAAKAYDAAAREFRGPKAKTNFPLPDETNSYIGNKGQNQQSPSQSSTVEESSSPTVDRELKRGGSSVTGIVGRFPFACHQQLALGGQVYPVRFEPVGVELSMGFGGGFQSESDSSSVVDCKPRLPGPGLDLNLPPPVDA >EOX99844 pep chromosome:Theobroma_cacao_20110822:2:17306094:17309493:1 gene:TCM_008817 transcript:EOX99844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, 2OG-Fe(II) oxygenase family protein MYGSDGAGDNAERTAFRKAEKKYKLYYEEHSKSSKKKKQPQQVDLSEVLDPKSISESYNQKGELPPGIVAVNCGFNRPVFRLEDRPGFYFIPGALTVEEQCQWIRASLRKFPQPPNRTNHNAIYGPIRNLFVAAQEGKVLVEEEGSDNCSDSESSASVSNGDAHRWKFYEEDIARSRAKSCKSVSASVLLRKLRWSTLGLQFDWSKRNYDVSLPCNKIPDALCQLAKRMAEPAMPIGEEFRPEAAIVNYFGLGDTLGGHLDDMEADWSKPIVSMSLGCKAIFLLGGKSRQDEPLAMFLRSGDVVLMAGEARECFHGVPRVFTDEENAEVTPLESQFLHIDDHCYLEYIRTSRININIRQVF >EOX97773 pep chromosome:Theobroma_cacao_20110822:2:3388413:3392244:1 gene:TCM_006700 transcript:EOX97773 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor family protein isoform 1 MRAQRRPIHAVSMWVRRQPPKVKAFLAVVSGMAALVLLRFIVHDHDNLFVAAEAVHSIGISVLIYKLTKEKTCAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTLLDLATLATTLWVIYMIRFKLKSSYMEDKDNFAIYYVVAPCAVLALLIHPSTSHNLLNRIFWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYIKSVFGGQLVLRLPSGVV >EOX97774 pep chromosome:Theobroma_cacao_20110822:2:3388444:3392414:1 gene:TCM_006700 transcript:EOX97774 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor family protein isoform 1 MRAQRRPIHAVSMWVRRQPPKVKAFLAVVSGMAALVLLRFIVHDHDNLFVAAEAVHSIGISVLIYKLTKEKTCAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTLLDLATLATTLWVIYMIRFKLKSSYMEDKDNFAIYYVAPCAVLALLIHPSTSHNLLNRIFWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYIKSVFGGQLVLRLPSGVV >EOX98334 pep chromosome:Theobroma_cacao_20110822:2:5413977:5415861:-1 gene:TCM_007122 transcript:EOX98334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion binding protein, putative MCVGASSPPSVPASPPDGDDNTSTPTTPPTTYTPPSIPLPSPPTPPTTYTPPTESQNPPPSSPSPPTPVPSTTYTPPSTSPPSPPTESQNPPPSPPSPPTPTPPTTYTPPSPPTESQNPPASPPSPTQSQNPPPYTSPKTVKCKNENYPQCYNMKHIRPALAPVDVRLIASLANLFATDQDFCLVSDSNLHINAHFIGRRNQNMKRDFTWVQSIAILFDKHYLFLGALKTSTWDDSIDDLSLTFDGEPVTLPESEGDKWQSANVPNVSITRASDTNNVIVEVEGNFKITAKVVPITEEDSRIHNYGIKKDDCFAHLDLGFKFYSLSDDANGVLGQTYKLGYASHLNIGAKMPVMGGDRDFQTSSLFAPDCVVCKR >EOX99632 pep chromosome:Theobroma_cacao_20110822:2:12885541:12886998:-1 gene:TCM_008354 transcript:EOX99632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHLLLILGFVFLLTGAPFAWGLGPSESQNQQTGRSQFSPSPILVYFFHLFPFIWFFFFIFLSYERNKKLNFGLIAGFPCTDDTYLTNSNLNHVSLSLF >EOX98008 pep chromosome:Theobroma_cacao_20110822:2:4235267:4236602:1 gene:TCM_006875 transcript:EOX98008 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ subfamily C member 2 MASSSLQSRDSVSWTAKQNKLFEKALAKYDKDTPDRWHNVAKAVGGKTAEEVKRHYEILVEDLKHIESGRVPIPNYRSTGNADEEERYNLHDFVGNFFQSLCNSYHPMIAKLQKVAGAPKICLKPADWSQHYPLFCWYQ >EOX96964 pep chromosome:Theobroma_cacao_20110822:2:726544:734936:1 gene:TCM_006088 transcript:EOX96964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-chloride co-transporter 1 MSNGDLEGGGDEGFHGGGRKYRPVVAHDRAVLEMSSMDPGSSSSGSQSSIRKIKVVTQGNSDSDGREGSIAENGGANGPHRENKLELFGFDSLVNILGLKSMTGEQIPAPSSPRDGEEVSITNGHPKPSDVKMGTMMGVFVPCLQNILGIIYYIRFSWIVGMGGIGESLLLVSFCGLCTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGALYVLGAVETFLKALPSAGIFTETTTKVNGTVSEPIQSISTHDLQIYGIVVTIILCFIVFGGVKMINRVAPAFLVPVLFSVFCIFIGIFLAKKDDPQPGITGLSLESFKDNWSSDYQNTNNAGIPDTEGKVHWDFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLAATLTTTALYIVSVLLFGAVATRDKLLTDRLLTATIAWPFPAIIHIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVADGSEPYIATLFTSFICMGCVIIGNLDLITPTITMFFLLCYSGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLLGASLCIVIMFLISWSFTVVSLALTSLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLVFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVNILDGDYHERAEDAKAACKQLDTYINYKNCEGVAEIVVAPNMTEGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLNEIPTRFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDADAEGLKADVKKFLYDLRMQAEVIVITIKSWDVQPEGGSQQDESLEAFSAAQQRVAGYLSEIKEAAKKEGTPLMADGKPVVVNEQQVEKFLYTTLKLNSTILRYSRMAAVVLVSLPPPPVSHPAYCYMEYMDLLVENVPRLLIVRGYRRDVVTLFT >EOY00765 pep chromosome:Theobroma_cacao_20110822:2:34932208:34943814:-1 gene:TCM_010684 transcript:EOY00765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ketoacyl reductase 1-like protein MFLRPPKNLKNYGSWAIVTSCTDGIGKALAFDLASKGLNLALVKQNPLKLEATSNDIGVLINNASSAYLGARFFHKVDLELMESIIKVNIEGATSVTKAVLPHVRFLCLWQLLENNVFHKVQKSHIAKFLQIIRKDLLNLGRKLLQSFALNLGEANIILGIKIIRCDSGLMLTQEHYIERLLKKFGCFNVTFSEQIYIKSQSRSLDCLK >EOX96829 pep chromosome:Theobroma_cacao_20110822:2:176053:179637:-1 gene:TCM_005986 transcript:EOX96829 gene_biotype:protein_coding transcript_biotype:protein_coding description:MMP37-like protein MDDEKKAHLLSFLKVIPPVDFCCVYGSALHSTNHDKSTMVDFILGVSDPLQWHSENLKMNAEHYASWMVFLGGAKLITEVADDIGVGVHFNPFVTWKNKMLKYGVVRMHDLVQDILNWERFYLSGRLQKPVNMLMDNLDIENVNSVNLRAAVSAALLLLPPKFTEEDLYAKICSLSYMGDLRMLFAEDRNKVKKIVQGQFDLFQSMYKPFLEEYEGKGFLRFSSSENHHAHISQDCGLTVARSHVSSLPPTIRSQMWIKLGKKKVISEYGQVLHEVVIGSREEAAKCMQKVLRRTVMVSSARQALSGLLTVGGIKAARYVTNKMEKAWRSWT >EOY00012 pep chromosome:Theobroma_cacao_20110822:2:23083328:23085481:-1 gene:TCM_009353 transcript:EOY00012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYNNDTKLAHFSSLWPAKARTKEEKEEQNLRWKNSREKCENSRKQVKKGGELSGKSKEIVPEDQESEYSEFDSRNTE >EOY00717 pep chromosome:Theobroma_cacao_20110822:2:34745938:34746516:1 gene:TCM_010655 transcript:EOY00717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factor, putative MGISPLPLLSAHLNGNGEMSESNVSLWSKLLEAQANRRLKVKKQRVATKKVRHLRCRRPRSILMKRRARLEGSRRPMNRVEKKLKTLKKLIPNNESMGLDGLFRDTADYILSLQMRVEVMQIMVKVLTGSNK >EOY01308 pep chromosome:Theobroma_cacao_20110822:2:38935984:38937504:1 gene:TCM_011241 transcript:EOY01308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane protein family, putative MAPNSRSYQVSATPFTVFGHLLFIAVATLVFVWLLKFREGLAFESANKFKIFNDEAEIPDMFTLHSWLGMIAICLFGLQLLLGFFSFVFPGAESYSRAGYTPWHIFGGLVIFFLAIATAEMGLLLKFLRLGLFRSQEALIVNFIGLLLFLFAVAVGLSVVLTRGY >EOY00932 pep chromosome:Theobroma_cacao_20110822:2:35918786:35925169:1 gene:TCM_010837 transcript:EOY00932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAAATALHLYRTSLPTHNRFITKSRPPIRPSITCYAPHSINKCKRDYVSVMIVPTGVGASIGGFAGDALPVARALSSVVDCLISHPNVLNAAMLYWPMPNVMYVEGYALDRFAQGLWALQPVHQNKVGLVLDAGIEEYLQIRHLQVADASRASLGLPVVEYVVTDTPLEVEKWVNPTTGQSTGRIKHPDSLLRAVKNLVKRSQVDAVAVVGRFPDDEVDNLDDYRQGIGIDILAGVEAVISHLVVKEFQIPCAHAPALSPLPLTSSLCPKSAAEEIGYTFLPCVLAGLSNAPRYLVKNPEFSEKGCILASDVDSIILPIDACAGDATLAFARNKKNKPLIICVEENETVLNDTADKLGIKVVKVSNYWEAIGVIAAHKAGIDPNSLRRNRIDNIRCSSIVPANGFAVSRATSIT >EOY00934 pep chromosome:Theobroma_cacao_20110822:2:35918786:35925169:1 gene:TCM_010837 transcript:EOY00934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAAATALHLYRTSLPTHNRFITKSRPPIRPSITCYAPHSINKCKRDYVSVMIVPTGVGASIGGFAGDALPVARALSSVVDCLISHPNVLNAAMLYWPMPNVMYVEGYALDRFAQGLWALQPVHQNKVGLVLDAGIEEYLQIRHLQVADASRASLGLPVVEYVVTDTPLEVEKWVNPTTGQSTGRIKHPDSLLRAVKNLVKRSQVDAVAVVGRFPDDEVDNLDDYRQGIGIDILAGVEAVISHLVVKEFQIPCAHAPALSPLPLTSSLCPKSAAEEMLVQEMLLLLLQETRKISL >EOY00933 pep chromosome:Theobroma_cacao_20110822:2:35918786:35924732:1 gene:TCM_010837 transcript:EOY00933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAAATALHLYRTSLPTHNRFITKSRPPIRPSITCYAPHSINKCKRDYVSVMIVPTGVGASIGGFAGDALPVARALSSVVDCLISHPNVLNAAMLYWPMPNVMYVEGYALDRFAQGLWALQPVHQNKVGLVLDAGIEEYLQIRHLQVADASRASLGLPVVEYVVTDTPLEVEKWVNPTTGQSTGRIKHPDSLLRAVKNLVKRSQVDAVAVVGRFPDDEVDNLDDYRQGIGIDILAGVEAVISHLVVKEFQIPCAHAPALSPLPLTSSLCPKSAAEEIGYTFLPCVLAGLSNAPRYLVKNPEFSEKGCILASDVDSIILPIDACAGDATLAFARNKKNKPLIICVEENETVLNDTADKLGIKVVKVSNYWEAIGVIAAHKAGIDPNSLRRNRIDNIRCSSIVPANGFAVSRATSIT >EOX98708 pep chromosome:Theobroma_cacao_20110822:2:6795082:6802674:-1 gene:TCM_007405 transcript:EOX98708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase-related kinase 2 MEALGKKRKGPEVLPSCRRSFSPVTIVWSPLSLEDYSRQKKKCKEDEVKVAVGSVRSVVRGIVTAPPCGSATLDPSNRGIKRKIGCIDAATTLGRKKKIEQDYDLGATIGCGKFGSVVLCRSKVNGEEFACKTLCKGEELVHQEVEIMQHLSGHPGIVTLKAVYEDLKSFFLVMELCSGGRLLDQMAKERQYSEYCAANILKELVLVIKYCHDMGVVHRDIKPENILLTASGQMKLADFGLAVRMSNGQSLTGVVGSPAYVAPEVLMGNYSEKVDIWSAGVLLHALLVSVLPFQGDTLDSIFEAIKNVNLDFENGVWRSISQPARDLVAHMLTRDVSARLTADEVLRHPWILFHTEATLEMVALKPGMRNHGRLTSQQLTISPRVVSERNKEIPRGFLNDDCFCFLSSDSSSTTRSEVQDCMLVDALTVAISHVRISEPKRTRLCGSTGPVPQECSSNVKINNLCTAF >EOX98824 pep chromosome:Theobroma_cacao_20110822:2:7360771:7368908:-1 gene:TCM_007504 transcript:EOX98824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polymerase/histidinol phosphatase-like MSSSFSLLLFLLFSLYSPSPPPPPPPPTPFSLPRVKRKTKNSSSMVGLGDSNSSCNNNNKAKDKKRKKKKKRGGSKRKMTAEQTLAFKSVTEWVYLDHQNSSSTAALSSWVVDDFGVQKSLGRGMEKVVFELHSHSKHSDGFLSPSKLVERAHGNGVKVLALTDHDTMSGIPEAIETARRFGIKIIPGVEISTIFSPRNSEMEEPVHILAYYSSCGPTRYEELETFLANIRDGRYLRAKDMVLKLNKLKLPLKWEHVTKIAGKGVAPGRLHVARAMVEAGYVENLKQAFARYLYDGGPAYSTGSEPLAEEAVQLICETGGLAVLAHPWALKNPIPIIRSLKDAGLHGMEVYRSDGRLAAYSDLADTYDLLKLGGADYHGRGGHGESELGSVNLPVLVLHDFLKVARPIWCGAIKDILETYAEEPSDSNLARIARFGRMGSFRGSSPLSCGQDFIGCCLSSWLTTEERQNAEFEAIRLKLSYISIDLGGVQAPIGSK >EOX99858 pep chromosome:Theobroma_cacao_20110822:2:17495297:17501818:-1 gene:TCM_008842 transcript:EOX99858 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding HORMA family protein MVVAQKVKGAEITEQDSLLLTRNLLRIAIFNISYIRGLFPEKYFNDKSVPALEMKIKKLMPLDAESRRLIDWMEKGVYDALQKKYLKTLLFCICESIDGAMIEQYSFSFSYSRSDSQEVSMNINRTGNKKQGGTFKCNSTTEITPNQMRSSACKMVRTLVQLMRTLDKMPEERTILMKLLYFDDVTPLDYEPPFFRGCTEEEAHNPWTKNPLKMEVGNVNSKHFVLALKVKSVLDPCGDENDDIQDDEVSLGADSVQRDESSDSDSEVNESQENQFFVAPADKQRPEEDNSMVDEDDTQDSMEDEQQLARVKDWINNRHLDTVELTDVLSNFPDISVVLTEEIMDKLVKEGVLSSTGKDSYTIKKQKESEYDFTVKEEMDGQVKVGQKSPRVQDHMYMKALYHAIPMKYVTVAKLKSKLDGQANQTTVRRIINKMTRDGFLEAKGNRRLGKRVIHSSLTEKKLLEVKRALSNDAMDVDTNEPHNKTNHLEFHATGSNHRDMSTCGFLHSIGSDLTRMRGRSEVNQNGSIRSEQTISKTRENGSTPISRAQPIASRESFVPGCENNGVNGNCNEVDTVMCSRPSQDKRGRKASTVKEPILQYMKRQKSQAV >EOX97812 pep chromosome:Theobroma_cacao_20110822:2:3558584:3559020:1 gene:TCM_006745 transcript:EOX97812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-induced SAUR protein MAIRLPRIVSAKKVPKGYFAVYVGENQKRFVIPVSFLNQPSFQDLLDLSEEEFGYSHPTGGLRIPCDEDIFLDVTSRLN >EOX99975 pep chromosome:Theobroma_cacao_20110822:2:20318194:20321423:-1 gene:TCM_009133 transcript:EOX99975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMGGSTSQHNDAHNDDDHKDDGHDDDVGVDEEHSGGDDHLFDKGVYHDKVNDHGDDDCHADEGVNQVMGVITSASSVSKGQNFHGIGRPYNLDDAENFMRFVVLHEGGQAFMGEKVERGRYNPHPLQCWCPLGCCEDPISLVKDKVVDSVATLVS >EOX99519 pep chromosome:Theobroma_cacao_20110822:2:11748500:11752049:-1 gene:TCM_008193 transcript:EOX99519 gene_biotype:protein_coding transcript_biotype:protein_coding description:GBF's pro-rich region-interacting factor 1 MLAVSPLRNTTNDENKGEMESFTISSEEFPDFADGNLLESIDFDDLFVSINEGDMLPDLEMDPEIIAELPTNGSEESEMNTSIDKTDEDNNQRKEEEDKVSGSGSGLGSSSSKGEEIVSKREEPTAVKTPTKDADKGRKSSLQAKNNNQGKRKVKVDWTPELHRRFVQAVEQLGVDKAVPSRILELMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAASWTHRRQMYGAATPAGGGKRDMNPWLAPTMGFPPMSPMHHHHHFRPLHVWGHPTVDQSLMHLWPKHLAHTPSPSPPPPPTWGPHPPPADPSYWHHHDQRVPNGLTPGTPCFPQPLAPTRFAAPPVPGIPPHHPMYKADPGIGVPAGQSGPHPLIDFHPSKESIDAAIEDVLSKPWLPLPLGLKPPSTDSVLGELQRQGVPKVPPSCA >EOX98882 pep chromosome:Theobroma_cacao_20110822:2:7619755:7622587:-1 gene:TCM_007552 transcript:EOX98882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrodolichyl diphosphate synthase 6 MLKWKLPKTFVSTFSSLNREEQFDMEKGVIESKILSGWRSIFRKCVFRVLRVGPIPSHIAIIMDGNRRYAKTKKVDKGAGYEAGTLALLYMLVCCYELGVKHLTVYAFSIDNFKRKPEEVQKVMDLVRESIPLLTRMVKRCPMRVHFAGNLQLLGADIRIPAERLMESTADNSKFVFTICVAYNSTDEILHAVRESCREKCDHIQEISGIDGSNGLQGKNGDIHEGDQDLIKLEDIEKHMYMGIAPDPDILIRTAGEYRLSNFLLWQTSCSQLSSSFTLWPKFGIWHLAWVVLDFQRNHPYFGKKKKAAVDLANGSYFSSSPPSKS >EOY01360 pep chromosome:Theobroma_cacao_20110822:2:39151610:39156133:1 gene:TCM_011275 transcript:EOY01360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar iron transporter 1, putative MHSLNSLDTPIPARTERAQYLDSTPTFPMAANGYVDPEKLKLLLEDQKESKQTEKHFKSGETVRDIVLGVSDGLTVPFALAAGLSSANVPSSVILVAGIAEVVAGAISMGLGGYLAAKSEAEVFSSELKRKHQAIVDDPELEAATAAEILSKHGIEPHESEPAVKALRRNPQLWLDFMMKFELGLEKPDPLRGLISGLTIATSYVVGGSMPLLPYLIFPVAGEALVASIVLTIIALMIFGLAKGYFTGSNPFKSSIQTAFIGAFASAAAYYLAKLIK >EOX99425 pep chromosome:Theobroma_cacao_20110822:2:11115466:11116290:-1 gene:TCM_008104 transcript:EOX99425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSDCAVSWGLKCKPSSHFLTCESATSCTCQAIRLIRLLKERQLSREEFAEIYINNKSAQALVQNVPSPKLWRLDLQNAFQWSCSVFTGFGLSKSTMKSCPYGFDLLKLKMRCVGSISPATSSATTIMFRITSVLTEIKVHCEMHVPSLIPLKLTKDGD >EOY00030 pep chromosome:Theobroma_cacao_20110822:2:23510982:23514758:1 gene:TCM_009396 transcript:EOY00030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRGLHQGCPLSPFLFIIIVEALSCMIKKVEVSGKCKGVQIAKRGFRISHLQFADDTLLFCNNELDELLGPKRILKCFQSVSGLKINYQKSQLLGIGIKEHMVEKWTRVINCKVGNVWKWDIPLRRTLFYWERSQWYTTKSFCKMVLNTNSEESKIWKEVWSGVAPHRIEAFVWQLLFEKVGVKVELADKGMLKDIYDLCVFCEHEKETCNHLFIRCAESWKIWGMWCKLFNIKWVALETVKSFFVSWNDCVIGKKKWDEYQCWELVKFRITSWANSKWPMDYGSISDVFFDPSVGCLGLASIDGIMRNESGDVKIVFSKPIGKVDSSQAEIMAVNEAIFIFATSKWKGSHMLIIESDASNVMYWVKN >EOX97567 pep chromosome:Theobroma_cacao_20110822:2:2700049:2701447:1 gene:TCM_006553 transcript:EOX97567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWRGMFTHLSSENLYELDLSRISSRFSVANFCRSKRSKIQRGAAMVSNMGWHKSNNCTLFWVGILSVSRKAIWLMRNKTVFKDNVWDVDQVFDNSRLRVATWAQALWPTENESAFRFLNSMLWSSSRGIERSGYWRCVKERE >EOY02099 pep chromosome:Theobroma_cacao_20110822:2:41947499:41949418:-1 gene:TCM_011833 transcript:EOY02099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hop2 MAPKSDSAEAIVLNYVNEQNRPLNSQNVADSLQKFNLKKASIQKTLDTLADSGKISFKEYGKQKIYLARQDQFNIPNNEELASMKEENAKLQEQLEQQKKAITGVEGEIKILQSNLTLEQIYDKEEKLRKEVKEMEDKLVKLRGGVTLVRPEEKRAVEAIYSEKMSQWRRRKRMFKDLWDAITENSPKDLKEFKEKLGIEYDEDVGVNLQSFSELLQHGKKRARGQ >EOX99182 pep chromosome:Theobroma_cacao_20110822:2:8860713:8861619:-1 gene:TCM_007771 transcript:EOX99182 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box type zinc finger family protein, putative MCRGLQQGNPSGFCLKEGVSPNATRVSGLVNCELCSSRASLYCQADDAFLCRKCDKWVHEANFLALRHIRCFLCNTCQNLTQRYLIGASHEAMLPTMVFHGGRSGRKRFLDGCIHM >EOX99267 pep chromosome:Theobroma_cacao_20110822:2:9656808:9660996:1 gene:TCM_007877 transcript:EOX99267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic electron transfer C MASSTLSPAAPSQLCSSKSGLFCPSQALLVKPTRTQMVKKDKGMRLTCQATSIPADDRVPDMGKRQLMNLLLLGAVSLPSGFMLVPYGAFFVPAGGRGAGDGTVAKDAFGNDVIAAEWLKTHGPGDRTLTQGLKGDPTYLVVEKDKTLATYGINGVCTHLGCVVPWNQAENKFICPCHGSQYNEQGRVVRGPAPLSLALAHADVDDGKVVFVPWVETDFRTGEAPWWA >EOX98174 pep chromosome:Theobroma_cacao_20110822:2:4752241:4754515:1 gene:TCM_006993 transcript:EOX98174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1273, putative isoform 2 MATTNPCSSHLLSASTHFHGFPKMSLKIHSPFLHKLKDSSQYLEAHRCSLTMKQNQVIWSTKTSICSAINMATGQSGDPEKINFDHVIEKARRLWDSSPLPVKRFPWNRVLENFVQLILDLILAVVKYLSVPLLAVSSLSEMSYCAHEKKLTIIPVPLLIGFVLMGVLKETALELSPLLKAHITPIGG >EOX98173 pep chromosome:Theobroma_cacao_20110822:2:4752241:4755024:1 gene:TCM_006993 transcript:EOX98173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1273, putative isoform 2 MATTNPCSSHLLSASTHFHGFPKMSLKIHSPFLHKLKDSSQYLEAHRCSLTMKQNQVIWSTKTSICSAINMATGQSGDPEKINFDHVIEKARRLWDSSPLPVKRFPWNRVLENFVQLILDLILAVVKYLSVPLLAVSSLSEMSYCAHEKKLTIIPVPLLIGFVLMGVLKETALELSPLLKDAEVPWHLIAIVIIFTLIKLPGPYYPYWGRIFIPHFANGALLRTLWFMFLWHRRPKNISGAVLPNSLVNASESETE >EOY01756 pep chromosome:Theobroma_cacao_20110822:2:40830843:40832301:1 gene:TCM_011583 transcript:EOY01756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFAPTPLPSPPLPPLSSLFSLVNYIFSCASCFALKPSLPSPSFLLSSHFRCRQSGFISLPASHSHISRNRRRKTTRTNAAPMTCYRLLIFIFLLLLLLKPCTPTLPRTSPRNRLNHQVHRHKAANYYRSCDSFSRTQQRSLCFQLQRIHKIQPNFLPSSFPQPIPPPPPSELNEIDPRYGVEKRLVPSGPNPLHN >EOY01437 pep chromosome:Theobroma_cacao_20110822:2:39443866:39447726:-1 gene:TCM_011326 transcript:EOY01437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate lyase isoform 3 MAASFSVPSMIMEEEGRFEAEIAEVQAWWNSERFKLTRRPYSARDVVALRGNLRQSYGSNEMAKKLWRTLKTHQANSTASRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTTTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMSMSREERARTPYVDYLKPIIADGDTGFGGTTATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAVNEHINRLVAARLQFDVMGVETVLVARTDAVAATLIQTNVDTRDHQFILGVTNPNLQGKSLATMLAEGMAAGKNGPELQAIEDNWLAMAQLKTFSECVIDAIKNMNIREDEKRRRMSEWMNHTSYDKCLSNERAREIAERLGLKNIYWDWDLPRTREGFYRFKGSVAAAVVRGWAFASHADLIWMETSSPDLVECTKFAEGVKSMQPEIMLAYNLSPSFNWDASGMTDDQMRDFIPRIAKLGFCWQFITLAGFHADALVTDTFARDFARKGMLAYVEKIQREERNNGVDTLAHQKWSGANFYDRYLKTVQGGISSTAAMGKGVTEEQFKETWTRTGATGVGGEGNPVVAKARM >EOY01438 pep chromosome:Theobroma_cacao_20110822:2:39440974:39447728:-1 gene:TCM_011326 transcript:EOY01438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate lyase isoform 3 MAASFSVPSMIMEEEGRFEAEIAEVQAWWNSERFKLTRRPYSARDVVALRGNLRQSYGSNEMAKKLWRTLKTHQANSTASRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTTTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMSMSREERARTPYVDYLKPIIADGDTGFGGTTATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAVNEHINRLVAARLQFDVMGVETVLVARTDAVAATLIQTNVDTRDHQFILGVTNPNLQGKSLATMLAEGMAAGKNGPELQAIEDNWLAMAQLKTFSECVIDAIKNMNIREDEKRRRMSEWMNHTSYDKCLSNERAREIAERLGLKNIYWDWDLPRTREGFYRFKGSVAAAVVRGWAFASHADLIWMETSSPDLVECTKFAEGVKSMQPEIMLAYNLSPSFNWDASGMTDDQMRDFIPRIAKLGFCWQFITLAGFHADALVTDTFARDFARKGMLAYVEKIQREERNNGVDTLAHQKWSGANFYDRYLKTVQGGISSTAAMGKGVTEEQFKETWTRTGATGVGGEGNPVVAKARM >EOY01439 pep chromosome:Theobroma_cacao_20110822:2:39443969:39447341:-1 gene:TCM_011326 transcript:EOY01439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate lyase isoform 3 MQVSSRQTIMEEEGRFEAEIAEVQAWWNSERFKLTRRPYSARDVVALRGNLRQSYGSNEMAKKLWRTLKTHQANSTASRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTTTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMSMSREERARTPYVDYLKPIIADGDTGFGGTTATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAVNEHINRLVAARLQFDVMGVETVLVARTDAVAATLIQTNVDTRDHQFILGVTNPNLQGKSLATMLAEGMAAGKNGPELQAIEDNWLAMAQLKTFSECVIDAIKNMNIREDEKRRRMSEWMNHTSYDKCLSNERAREIAERLGLKNIYWDWDLPRTREGFYRFKGSVAAAVVRGWAFASHADLIWMETSSPDLVECTKFAEGVKSMQPEIMLAYNLSPSFNWDASGMTDDQMRDFIPRIAKLGFCWQFITLAGFHADALVTDTFARDFARKGMLAYVEKIQREERNNGVDTLAHQKWSGANFYDRYLKTVQGGISSTAAMGKGVTEEQFKETWTRTGATGVGGEGNPVVAKARM >EOX99680 pep chromosome:Theobroma_cacao_20110822:2:13631196:13633418:1 gene:TCM_008435 transcript:EOX99680 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: LPS-induced tumor necrosis factor alpha factor (InterPro:IPR006629); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eu /.../es - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G13190) TAIR;Acc:AT5G13190] MSKAEQPVVGVPYYVGMNPYQAGMVPPNAIYADPKGIPIQQTMYRDTPAPFNCPFCGNSGLTVVRSKPSLAAVVGCMMPFMLGICFLCPSMDCLWHKYHYCPKCTEKVASFEKSDPCAVVDVPQWKQESFAVPA >EOY01736 pep chromosome:Theobroma_cacao_20110822:2:40748483:40754668:-1 gene:TCM_011567 transcript:EOY01736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cobalamin biosynthesis CobW-like protein MANDEEEPPLAIQIDQAVQAPSQSNPEQPQDDNVSVGVTVITGYLGAGKSTLVNYILNAQHGKRIAVILNEFGEEIGVERAMINEGESGALVEEWVELANGCVCCTVKHSLVQALEQLVQMKKRLDHILLETTGLANPAPLASVLWLDDQLESSVKLDSIVTVVDAKNLHFQLNSHRDSSSFPEAFLQIAFADVVILNKVDLVSPEGSEGTVEELENEIHSINSLANVIHSVRCQVDLSQILNRQAYDAKHATHLEALLEESKSIPSRDLHDSGVRTLCFNQTEAVDLHKVRLWIEEILWDKKYGLDVYRCKGVLSIQNSDHLHTLQAVREIYELVPARQWRKEDKQMNRIVFIGHNLDENILAYSFRVHNFEIYYNNNCKTLILNLCIYVKFLPSRLLSSLLPSLAAIEISAKLIFEQQQLSDWWSESNFSVERKKCIKGYRYELWCLARAAGIRYCVLYCDVEEAQCRKWNEERREKGEAAYNDAIFEDLARRFEKPDRRNRWDSPLYELWPHKDGVEKSSDAIADVVSYLTKRVDSKSRDVKILQPTIATQNMRFSEANSLYELDRATQEVINAIVEAQSQATGGPLGGICISQGLPSIDISRSVGLPELRRLRRTFIKLTGQTSLSGRPPPSDADSAKRMFVDYLNRELGTSA >EOX98200 pep chromosome:Theobroma_cacao_20110822:2:4849750:4851959:1 gene:TCM_007012 transcript:EOX98200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVFGGDSWGREAQYRKRRIDQVVIEGVDGSCYKKLSTGKYVCIVCPHNPILDSPLMLSMHCKGSRHRAAESKLKEKEHMRLDEMNKRIALSNPPTSSVNSSTTMQNAHLGSKPLIQMAQRAASETLTDKTPEHNLRNDYHDMVLRQNDVKNVTLDFCQNHSFPTKETLDKSLQKPLDFQERQERELKFTSAGWKRDCHGKWYKDENVEFDSDEEDPNLLLD >EOX97373 pep chromosome:Theobroma_cacao_20110822:2:2119025:2121201:-1 gene:TCM_006417 transcript:EOX97373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MSIRWPRVLTPTHLAQIIRTQKNPLTALQIFNQAQQKYPNYRHNGPVYTTMIDILGNSGRLSEMTQVIDQMKEDSCECKDSTFAGVIRTFASAGMVNEAVDLFNSIPQFNCINFTQSFTTLLGIMVKESDFKAAYQLFLENSWRLEVKSRVKSLNLLMEGLCQFKKSDLALNIFQEMDFQGCYPDRESYRILMKGLSDDGRLNEATHLLYSMFWRISQKGSGEDIVIYRILLYALCDNGKVEEALELLGKILRKGLKAPKSRRHQIDLSRCANGEDSEATKRLITEALIRGGVPNMGSYSAMAIDLYNEGRVDEAETVLDEMRKKGFWPSLLMYEAKAEALSKKGGVSEAVKVIEEDIKEGTCVPSVRLYNIVLKCLCDAGDSALAVGYLKKMAKQVGCAADKQTYSILVDGLCKDGKFVEASRVLEEMVIKSHWPGAETYNILVRGLCSVGRQYEAVMWLEEMVSQGMVPHFSLWNSLVASVCCKRSDIDACFEGILTQ >EOX99559 pep chromosome:Theobroma_cacao_20110822:2:12187890:12190346:-1 gene:TCM_008259 transcript:EOX99559 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein, putative MANPNLTVVVLNLSPTVTLGELTAFFSYCGHVEKILLQGANKDGSQSALVTFRQPYAYQTALLLNDATFAGQPIRVLPKKDFANPPLPHQLPHVTENNRIQGNIPVMQAVVQVMASEGIEKLNHTRDEIEKKYKLSEKSRELMNKTRSAVYAADQAVSAAEEAARDVATRIMNTDYIAKGATWLSGVLDKTSKFVSELGTMKGYNPNSRKYI >EOX97474 pep chromosome:Theobroma_cacao_20110822:2:2391065:2398429:-1 gene:TCM_046712 transcript:EOX97474 gene_biotype:protein_coding transcript_biotype:protein_coding description:LysM domain-containing GPI-anchored protein 2 MMLTSFKGPTISGGLTNRKREWNKINKSTSSHSLLNQTEFCKFRKELGCADGVRNCHLSAVHRNSLLSPLVAHRNSRFPVFRNQLRSDFGVEDVEERLASNEEEDTALLNSTENVNAREVTGTSVDVKRELIMLSLPAIAGQAIDPLAQLMETAYIGRLSSVALASAGVSVSVFNIISKLFNMPLLSVATSFVAEDISKNAVENLLAGERANGKPLDSIAERKQLSSVSTALVLAVLIGIFEALVLSLGSGLFLNFMGVPSTSDMHAPAQQFLSLRALGAPAVVVSLALQGIFRGFKDTKTPVFCLGVGNLLAIFFFPLFMYGFGMGVTGAALSTVLSQYIVAFLMIRYLNKRVVLMPPKMGALQFDTYIKSGGFLIGRTLAVLITMTLGTSMAARQGSLSMAAHQICMQVWLAVSLLTDALAASGQALIASSLSKGELKTVKEITNFVLKIGFVTGVSLAAILGVSFGSLATLFTQDAEVLGIVKTGILFVSASQPINALAFIFDGLHYGISDFPYAACSMMLVGAMSSAFLLFAPTVLGLRGIWLGMTLFMGLRMTAGFVRILSKTGPWWFLHRDFERAEFSVCS >EOY01976 pep chromosome:Theobroma_cacao_20110822:2:41579069:41580042:-1 gene:TCM_011753 transcript:EOY01976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKRPSPRILEGTDKIGGATATVPRGKNWSWMTSALKGEKIPTVESDMALSAHPPTLPDRLEKDIPISELEGRSHTL >EOY01248 pep chromosome:Theobroma_cacao_20110822:2:38581445:38584145:-1 gene:TCM_011191 transcript:EOY01248 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein MVNKLITSSVIRQLAKYFFHSNYSLMFSMEQFKLTLTIKGCSGMGSANIQHTDYSRKNKQLIVMAVVVPEVTLSSSDGLAIPVIGMGTMSSPPVGPEITKDAIIEAIKAGYRHFDTAFVYRTEQPLGEAIAEALRLGLVKSRDELYISSKLWCSFGEKGLILPAINMSLRNLQLDYLDMYLIHWPLKLSEEVRQMPAKKEHISPLDIKSVWEAMEECKSLGLTKGIGVSNFTCKQLEELLSTAKIPPAVNQVEMNPLWQQKKLREFCKARGIQVTAYSPLGAFNTKWGDNRILECYVLEEIAKAKGKTTAQISLRWLYEQEVSSVAKSFNKERMKQNLDIFDWSLTEEESNKISQLPQRKGITFASIFGLHDWLLQLDAED >EOX99776 pep chromosome:Theobroma_cacao_20110822:2:16167579:16178642:-1 gene:TCM_008691 transcript:EOX99776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQSKWDRSEGKTSLDNNGRSETPTNMIDQKNLMKEDILRCSYSNALLHDESMIGFDNVYFEEESASGPKFTRCNKRKKLNFTRVQLIEQQLKKLGVISFRMLNPLINYATKDLSEVETSLSVASYCEEYGRWDIDQLQRNLAMHISLKIKAVMVDLLSNEEDMPFWTLTSNGDFTIKLAYDSQLNRHHPTANYWQNIWRFTSSREIKLFLWRLLHDSLPTST >EOX99897 pep chromosome:Theobroma_cacao_20110822:2:17849120:17871536:-1 gene:TCM_008882 transcript:EOX99897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MAEEVDTWGKARKAADDLYELRDTFFPQNPDDKTSKLQQESDLALKLLDSIPPEQRKLPVERATYEYLRGKILDVVPDYRKEAEDHLSKAVKLNPSLADAWLCLGNCIWKKGDLPSAKNCFNLALSKGANKNILCQLSMLERKMAQGAENQSQMVEESIQHAKEAISLDVKDGNSWYNMGNACLTSFFVTGAWDHSKLLQSLKAYQNAEKDERMKSNPDLYFNCATVNKYLENYDRALSGFEAAALKDPSLNASEEVEKMLNLLDKLENLLRGHGRAKRLASFSSTISRVNLNPSYKRATLDVLSEGLNKAVAVLGKVVLFVKHENITPLYFLVCDSNQSCFVLSVYGICNDAIKEGDQLTLLEPYFHHVDFSWRGKHHQFKSIRVDFVEQVLVNGKVLPPHQAIRTSIYAQHKPLEGCAIGTCANASSEAAKGIFQEIKRHIRYVFIYKKNVDKFEKKLEVLKAKRTSVQLEVVAADRRGKKIKPDVKLWCNKVDKVINEDVKTMKDLEDKAKNNFKSRYQLSKKAEECVPAVDDLIQQYCQFKGVGYRDVLEGPKCVPPKNFKAFDSRKEVFDGVIEALKDSTISMVGVYGTDGVGKTTLVNQVARQVQEDKLFDWVVKATVTRTPNIHKIQDEIAESLRLKLEDNTVRARASLLLKRLKKEERIPEVAEPSMKAEGTPEIAEKLKKEERIFISLEDIWARLDLEEVGIPFGDQHEGC >EOX97045 pep chromosome:Theobroma_cacao_20110822:2:1023545:1028708:1 gene:TCM_046709 transcript:EOX97045 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein MALRMPAPKAAEIAIGSIGCGYDIGMDLRLKYCKGDSKNSCLIEIDEDGGREIVLPGGISIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQEISLTGKIPSGLFNSMFEFSGCWQKDAANTKMLAFDGVFITLYSVALEKSQMVLRDHVKKAVPSTWEPAALARFIDTYGTHIIVGVKMGGKDVIYIKQHHSSNLQPADIQKRLKDMADKRFLDANGHYSMASEQDFQSDKFEIREQRLRFAHNSPSSSYAHKEDIISIYKRRGGSDNRNLSHNDWLQTVQSEPDVISMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQSTASLQFSFMGPKLFVNTTPVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPKIFQLVDDPNGNLCHKSHDRNYYEKVYWKNYSHVCTAPVESDEDLSIVTGAQLQVENHGFKNILFLRLRFSTVLGAVSAKHPEWDGSPGLAPKSGLISTLISHHFTTVQKPPPRPAEVNINSAVYPGGPPVPVQAPKLLKFVDTTEMTRGPQEIPGYWVVSGARLLVEKGRISLRVKYSLLTAIMPDEEGIEEH >EOY02186 pep chromosome:Theobroma_cacao_20110822:2:42251558:42252450:1 gene:TCM_011894 transcript:EOY02186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASLKAEKPVGTPSSGSGQAKKEPIKPSGSAPKAPISKPAPKKTEQKPREPKKKASGAKSAAKVAK >EOX98127 pep chromosome:Theobroma_cacao_20110822:2:4648411:4649758:1 gene:TCM_006962 transcript:EOX98127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQNPDQAKERFSKRLTQNEIEKCLVLFPITAVEGIFTFEEGRMFCLDVVGRTGEAWTFMATFQTNEDMGSVVSISWPQFVREKALKANDEVTFVRLAPDENDNIHWKKFKIEVRRKIRLFGQDIWGDLMV >EOY01079 pep chromosome:Theobroma_cacao_20110822:2:37418690:37419648:-1 gene:TCM_011022 transcript:EOY01079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata-located protein 6 MVFQLMSSLLCMKAISDVFFQFFVNVDTNNDDDEIEKTLAILIGLIAGVALIIVFVSFLSKVCEKGKGGK >EOY01597 pep chromosome:Theobroma_cacao_20110822:2:40183432:40187165:1 gene:TCM_011450 transcript:EOY01597 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein METPQTGLSLPKYLQEEELTEECKELISSLPTEKGWIGPPLYQFQGFWHSSKILSTILTCQKNFQAQDSDIFLTTTPKSGTTWLKAIVFALVNRRKYPDPINHPLVTNNPHALVRYLELEPRIDYQAYDTSTTIASPRLIATHLPFVSLPESVKNSACKLVYLCRNPKDNFVSFWQFANAVIPKEMGTNSLEETLDKFCRGGNIYGPFWDHVLGYWKESLENPQKLLFLKYEEMKEHPEIHLRRIAEFIGCPLSLEEESKGVVDDILKLCSFDTLSNLEVNKSGKLSSGHDTSVFFRRGEVGDWKNHLTPEMIRKLDQITEEKWRGSGLSF >EOY01306 pep chromosome:Theobroma_cacao_20110822:2:38932917:38935101:1 gene:TCM_011240 transcript:EOY01306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane protein family, putative isoform 2 MPSTQEVTYGVHRRSASRLTIVAHMFGILAFVLMLVWLLHYRGGIEYDSYDGYRVFNVHPFLMFCGFIFLSGEAMMVYKTVQAIHIVQKVVHMILQLTAFVLGVVGLCAVFKFHDMASIEDVYSLHSWIGIGTISLFALQWLAGLSTYMFPQTQTTRITLLPWHVCHGRTLLYMSIAAALTGLMEKATFLNLRPGHETRLINFIGLSILLFGIFVDLSVALARYV >EOY01307 pep chromosome:Theobroma_cacao_20110822:2:38932919:38935101:1 gene:TCM_011240 transcript:EOY01307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane protein family, putative isoform 2 MPSTQEVTYGVHRRSASRLTIVAHMFGILAFVLMLVWLLHYRGGIEYDSYDGYRVFNVHPFLMFCGFIFLSGEAMMVYKTVQAIHIVQKVVHMILQLTAFVLGVVGLCAVFKFHDMASIEDVYSLHSWIGIGTISLFALQDIAIHVDSCSSHWLDGEGYLPQPAPWPRNSFDQFHRTIYPLVWHICRSQRCSCPLCLIIYELF >EOY01684 pep chromosome:Theobroma_cacao_20110822:2:40607322:40611543:-1 gene:TCM_011525 transcript:EOY01684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 2, putative MKCYLFCKALILFSFELFVVFTLVSGKLVSEKRILLEFKSSVFDPSGILSSWNSTKNPNHCSWFGVSCNLRSQVISISIPGGCGEGYKGNLTRACSCSSKLPQFPFYGFGLRRRACSNGKLVGNLSPLIGKLTELRVLSLAFNDMGGEIPLELWGLVKLEELDLEGNLFTGKLPNEFVGLRNLRVLNLGFNELEGEISRSLSKCVNLEVLSLAGNKLEGSVPDYFGSFYKLKGLYLSNNRLNGRILDNFGSNCRYLEHLDLSGNFLDGRIPGSLGHCRQLRTLLLFSNMFDGVIPNELGQLYKLEILDVSRNNLSSLIPHGLGNCVHLSALVLSNLFDPVLTRQSSSKELSLGLPLATTYEYNRFQGSIPMEITTLPKLKILWVPRANLEGKLPSNWSGCENLEMVDLAQNHFSGEIFGAFNGCKKLQHLDLSSNRLTGNLDEKLPVPCMTLFDISGNVMSGSIPRFNYSVCPGFFSLSSELPQTHDPASAYLSFFTYKTRLAMLLPFSGSKAVLIHNFSGNNFSGSLPWLPIAPTRLGKQTDYAFLAGGNKLTGSFPGSLFGNCNKLHGMIANVSKNRLSGDIPSGIGAICRSLRFLDVSENEIGGVIPQSLRELESLVFLDLSGNKLRGPIPEGLHQLKHLKHLSLVRNNLTGAVPSSFGRFRSLEVLELSSNSLSGKIPKRLVNLRNNGSGVNSTSVYRKPFLLSHHVFSLSVRPADLTTNTEDSQNDVSPSHNAVDKSLDSIEIASIASASAIVSVLLVLLVLFFYTRKWVPTSRVQVSESREITAFVDIGVPLTYESIVQATGNFSAGKCIGNGGFGATYKAEIAPGTLVAVKRLAVGRFQGVQQFHAEIKILERMRHSNLVTLIGYHASETEMFLIYNYLSGGNLENFIKERSTRAVDWKIIHKIALNIAHALAYLHDQCAPKVLHRDVKPSNILLDNDCNAYLSDFGLSRLLGTSETHATTGVAGTFGYVAPEYAMTCRVSEKADVYSYGVVLLELISDKKALDPSFSSQADGFNIVSWACMLLRQGQAKDVFTTRLWDTAPHDELVELLHLAITCTVDSLSTRPTMRQVVQRLKQIQPSSMR >EOX98952 pep chromosome:Theobroma_cacao_20110822:2:7905456:7911223:1 gene:TCM_007612 transcript:EOX98952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein, putative MDKSEAYGKVALNKMSMLNGNSIRVFVIFFALLQLSTATAASFKKKRVFSYDGFDDSHRSVLTLLNRESSNIHGGALQLTPDTTNDDMIAIHYNKSGRIMYHKPFRLWLSDDTLASFSSAFVINIFRNKNWTAGHGLAFLIAPNISSVPANGLPDFDPDDNHIALDINSVKSEKFASLDDDNIILSPEVGTNYMVWVDYNGTSQLMEVYMVEEGQDKPSKPLLSAPINLKEHLKQDSFFGFAGSTGDPQIQLNCVLKWSLDIDILPKGKDRKWLRIGLGVGVPVATLLVVLLWAIIVYRRRRKGSDEAADQFGKLKWLPGMPREFKYKELKNATNNFHESMKLGEGGFGIVYKGVVHLHDKDHVNSYNHNANQVAVKKFSRDSIKSKDDFLAELAIIHRLRHKNLVRLVGWCYEKGKLLLVYDFMPNGSLDGHLYGASNHGTLNWSHRYKILTGIASALHYLHNEYDQKVVHRDLKASNILLDVDYNARLGDFGLARALENERHSYAELALSGVPGTMGYVAPECFHTGSATPESDVFGFGAVVLEVVCGRSPGIKIQHQQDLYTLVDWVWMLHRERRIVEAVDERLNNEFVVDEAKRLLLLGLACSHPIASERPQTQDIFQIMNGTLPVPHVPPFKPVFQWPAGILTPLSSIDNSLSSSAFSLQDVVGRRSVKTTSQRNSTHPQA >EOY00203 pep chromosome:Theobroma_cacao_20110822:2:29299967:29303010:1 gene:TCM_009959 transcript:EOY00203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALQKSVVAEGQYTNRLLLFDGSYYAYWNTRMFMKYGIKRKGRKKRSQREKKKNLALKISTLEEELEKLSSDIDEELTMMAIRFKKLMRQKGKRFGRKNYKKDQGFSWKNKHMGDLNKKDEMICFECKKPKHFISECPILKEESSKRMKKPKKAMVAVIS >EOX98070 pep chromosome:Theobroma_cacao_20110822:2:4453404:4454837:1 gene:TCM_006918 transcript:EOX98070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPRDSSSYPHFRIWKEWDSLRDHLLSLDDSHSLAWTSRLKIAADIANAVAYLHFAFPRPIIHRDISKSHVQDQVVDTMGCVAPEYCKVTGCFNEKNDVFSFGVLLLVLLTGTMRFYGFYRYDVKQEIEDDRITDIADSRILDEGTWTGEEEGFQAFAVLAHRCIRNSKRR >EOX99610 pep chromosome:Theobroma_cacao_20110822:2:12677502:12683240:1 gene:TCM_008331 transcript:EOX99610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein isoform 2 MALVSQLPCDGDGICMICKNKPSPEDTLTCKTCATPWHVTCLPSSARPQTIAEALRWDCPDCSLTDHPHPPIAEKTGSSDELVAAVRAIQSDDSLTELEKARRRQELVSGGGARSSSDDGEKAKNKEGINDDNDVLAILDENINCSICMQLPERPVTTPCGHNFCLKCFQKWVAQGKRTCANCRSQIPSAMASQPRINSALVIAIRMAKLEKANVAGGAPKVYHFVHNQNRPDKAYTTERAKKPGKANACSGKIFVTAPPDHFGPILAENDPLRKQGVLVGETWEDRMECRQWGAHLPHVAGIAGQSKYGAQSVALSGGYIDDEDHGEWFLYTGSGGRDLSGNKRTNKTQSFDQKFEKLNEALRLSCQKGYPVRVVRSHKEKRSSYAPEAGVRYDGVYRIEKCWRKNGIQGYKVCRYLFVRCDNDPAPWTREFSCQICRDVMTSPLTTPCAHNFCKACLEGAFAGQSFTRERTCEGRRTLRDQKNVMKCPSCLNDIAEFLQNPQVNRELMSVIESLHQRSVGEEQSGEASKEVGSRHEGDAAADDTEMFCGNSEILENNNLKSRMEGKAQQTDKFKRARKGKSTFQERSMLEQLDVEKGGNEGGSVKPRVAESRALQTNEAERKIKQTNKRKKSSTAGSPPIATVGVMTRSKRAKLIAK >EOX99609 pep chromosome:Theobroma_cacao_20110822:2:12677459:12683265:1 gene:TCM_008331 transcript:EOX99609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein isoform 2 MALVSQLPCDGDGICMICKNKPSPEDTLTCKTCATPWHVTCLPSSARPQTIAEALRWDCPDCSLTDHPHPPIAEKTGSSDELVAAVRAIQSDDSLTELEKARRRQELVSGGGARSSSDDGEKAKNKEGINDDNDVLAILDENINCSICMQLPERPVTTPCGHNFCLKCFQKWVAQGKRTCANCRSQIPSAMASQPRINSALVIAIRMAKLEKANVAGGAPKVYHFVHNQNRPDKAYTTERAKKPGKANACSGKIFVTAPPDHFGPILAENDPLRKQGVLVGETWEDRMECRQWGAHLPHVAGIAGQSKYGAQSVALSGGYIDDEDHGEWFLYTGSGGRDLSGNKRTNKTQSFDQKFEKLNEALRLSCQKGYPVRVVRSHKEKRSSYAPEAGVRYDGVYRIEKCWRKNGIQGYKVCRYLFVRCDNDPAPWTSDIHGDRPRPLPAIKELKNAIDITERKGSASWDYDEVKSCWMWKNPPPCSRKQVDDGNIVEGKKRRRAVKNLSAREKLLKEFSCQICRDVMTSPLTTPCAHNFCKACLEGAFAGQSFTRERTCEGRRTLRDQKNVMKCPSCLNDIAEFLQNPQVNRELMSVIESLHQRSVGEEQSGEASKEVGSRHEGDAAADDTEMFCGNSEILENNNLKSRMEGKAQQTDKFKRARKGKSTFQERSMLEQLDVEKGGNEGGSVKPRVAESRALQTNEAERKIKQTNKRKKSSTAGSPPIATVGVMTRSKRAKLIAK >EOX97538 pep chromosome:Theobroma_cacao_20110822:2:2607387:2611024:-1 gene:TCM_006531 transcript:EOX97538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative MVGNASINCRIMSYLNGRTVKNKTIIVGLKSDNNSREMLLQLLRTFAKSGDNVLAVHVQERDDALDPNNFHIHEDLCKSKRVDFLVKICTGNSYISALSHQVRENYATILAIGCNLSGPKVSAISNCLKSLPPTCTLLVMDDAGRILVRRQGTSQQGSAGVTLQSYLSSTQTNSMVDQSTTSRLLHKSLTVPSSSTSQSMCQIDSEGQHILQKTVQDQFKKSFTMPSSSASSSKWQMDSGGQLSLNKNVNARRRFHRIAFPEAERSCRCFRSDELSVATDNFSPSMVIGKGGNSMVYRAMLEDGKAAAVKVLKTTHWSAKDLLREVEMLSSIKHENIVEIIGYCDSIELRAIVYNLLKGSLKECLKQLKWAERMGIAISVAKALEYLHHFCDPPIIHRNVKPSNILLSDNCQPQLSDFGAAIVHHQSYQVPANTKPIDIVKTFGYLAPEYIVCGKIDEKIDVYSYGVVLLELITGKDAIQKNQKNHESLVLWARSLLRFGQCERLVDPSLSRNYKTEEMEVMMFIARLCLMHSSSQRPTMKMILRLFEDSEYWLEMQREKDQVLNGFGSTAETDLWRLYELSSVGTLALYDT >EOX98128 pep chromosome:Theobroma_cacao_20110822:2:4649787:4650311:1 gene:TCM_006963 transcript:EOX98128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMISKLLTNTDVEKSLLVPSSSLGVLPFEEGHFFNINVIDKVGKAWSFPCFIQQSEGIESSVVSVGWLKFLCDRDVRVGDMVFLHQESMDDDSTGTGAQLKIEVKRKIRLLGEDIWAAVE >EOY01631 pep chromosome:Theobroma_cacao_20110822:2:40378233:40387636:-1 gene:TCM_011478 transcript:EOY01631 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box transcription factor MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSILCDAEVALIIFSNRGKLYEFCSTSSMAKTLERYHRCTYGTLEPGQTESDTQSSYQEYLKLKAKVEVLQRSQRHFLGEDLGDLGSTELEQLEHQLDFSLKQLRSTKMHSMLDQLAELQRKEEILLETNRNLRRKLEESTSTLRSSWETGEQSNPYNHHPPPQPEGFFEPLQCSSSMQIGYNPTAADQDAAAASAPNGFIPGWML >EOY00164 pep chromosome:Theobroma_cacao_20110822:2:27865231:27867742:-1 gene:TCM_009826 transcript:EOY00164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYLIKEKSKTLDKFKVFKTKVEKQLRKIITTVKSDKGDKYYGRHDINRQQKGLNKFLASDILNLMK >EOY01097 pep chromosome:Theobroma_cacao_20110822:2:37627446:37640475:1 gene:TCM_011050 transcript:EOY01097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin 155 MSWEEEIVMRDVTNAGLVVSDRIGREVAAQLDLEEALEASRYASHPYSTHPREWPPLIEVVDTWELPPVLIERYNAAGGEGTALCGIFPEIRRAWASVDNSLFLWRFDKWDGQCPEYNAEEQAICAVGLAKSRPGIFVEAIQYLLILATPVELILVGVCCSGGGDGTDPYAEVSLQPLPEYTVPSDGVTMTCINCTDKGRIFMAGRDGHIYELHYTTGSGWHKRCRKVCLTAGVGSVISRWVIPNVFKFGVVDPIVEMVVDNERQILYARTEEMKIQVFVMGPNGDGPLKKVAEERNLLNQKDGHYGGRQTAAPRASNRSAKPSIVSISPLSTLESKWLHLVAILSDGRRMYLSTSSSSGSNGTVGGLGGFNNHHHRPSCLKVVTTRPSPPLGVSGGLTFGAMSLAGRTQTEDLSLKVETSYYSAGTLVLSDASPPTMSSLLIVSRDSSSQSSQSGGLGASARSSRALRESVSSLPVEGRMLFVADVLPLPDAAATVLSLYSELEFCGFESSAESCEKASGKLWARGDLSTQHILPRRRIVVFSTMGMMEVVFNRPVDILRRLLESNSPRSILEDLFNRFGAGEAAAMCLMLAARIVHCENPISNVVAEKAAEAFEDPRIVGVPQLEGSSGLSNTRTAAGGFSMGQVVQEAEPVFSGAHEGLCLCSSRLLFPVWELPVMVAKGGQDAASENGVIACRLSVGAMQVLENKIRALEKFLRSRRNQRRGLYGCVAGLGDLTGSILYGTGSELGAGDRSMVRNLFGAYSRSVESNGGGASNKRQRLPYSPAELAAMEVRAMECIRQLLLRSAEALFLLQLVSQHHVTRLVQGFDANLRQALLQLTFHQLVCSEEGDRLATRLISALMEYYTGPDGRGTVDDISGKLREGCPSYFKESDYKFFLAVECLERAAVTPDPDVKENLAREAFNFLSKVPESADLRTVCKRFEDLRFYEAVVRLSLQKAQALDPAGDAFNEQIDPAIREYAIAQREQCYEIITSALRSLKDGGSQREFGSPARPVAVRSTLDQASRRKYICQIVQLGVQSPDRLFHEYLYRAMIDLGLENELLEYGGPDLVPFLQTAGREPVQEVQALSALTSATPSMGQPGAPIHSDQAKYFDLLARYYVLKRQHVLAAHVLLRLAERRSTDGSNAPTLEQRRQYLSNAVLQAKSASNNDGLVGSSRGAFDSGLLDLLEGKLTVLQFQIKIKEELEAIASRLEATPVTSESVQNGSVPDSRYNGDAHLANAAREKAKELSLDLKSITQLYNEYAVPFELWEICLEMLYFANYSGDADSSIIRETWARLIDQALLRGGVAEACAVLKRVGSRVYPGDGTVLPLDTLCLHLEKAALERVESGLETVGDEDVARALLAACKGAAEPVLNTYDQLLSNGAILPSPNLRLRLLRSVLVILREWAMSVYAQRMGTSSTGASLILGGTFSLEQTTVLNQGIRDKITSAANRFMTEVRRLALPQSRTEAVYRGFRELEESLISPFSFDRF >EOY01272 pep chromosome:Theobroma_cacao_20110822:2:38763564:38764383:1 gene:TCM_011217 transcript:EOY01272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein 32, putative MEKETYRDCQRNHACYLGGYAIDGCSEFIPSNNKESHCKACGCHRNYHRKVPFIYSKEYPEIGHRSGKSFWSLREAKRIARQHRVLPAPSPQVLVLKQQNSNRKFKQRKSKFTGGQREAMRALAESLGWTMRNKGRQTEINRFCGRIGVSRLHFKTWLNNNKKLYFKGTASMSGANSSSSKAV >EOX99782 pep chromosome:Theobroma_cacao_20110822:2:16277780:16281204:-1 gene:TCM_008708 transcript:EOX99782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRVPWNDDGRDFDAFGRLSIFTHAGRPLGLFEKQRYLEEDEFYAAKLYAFMNCEEILPYIDYTTSDSTSSIFTTGCAITNATWMYMIDTIEVHSYVHTGGYSIQSKGNVTNSDYRRRTFAKIGVTPTITRIIKSHFSGPWLTSRLILTVMKMPCSINLRLQTTQTHIYGFSTRIQPATLFAPIVTFEFACGPTPYAVAAQVSSFEIKGYQEMKINVKELKTDMHDIKSIMKIILERLPDLAGEFSSL >EOX97104 pep chromosome:Theobroma_cacao_20110822:2:1196434:1198218:-1 gene:TCM_006204 transcript:EOX97104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNGNAGYLLPRKRKRIIPGGDDDVFEQDQLRLNRAGCLIMGFPHHQLYSGIPFIGFGSSFLQNSPSLLAHCDTCALPIGLLSVCLCSKYLEKQDRNRRGNPTDQYGGSTGSLDQVHVNSALPDASHMAGMIRLVAFVPYHTNLTGQGKLSSLAQSKNEAARVKAPQEAYKEL >EOY00329 pep chromosome:Theobroma_cacao_20110822:2:31338916:31350549:1 gene:TCM_010177 transcript:EOY00329 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARG1-like 1 MPAHRSKSEKNDGMAKQLRRDPYEVLGVSRNSTDQEIKSAYRKMALKYHPDKNGNDPVAADMFKEVTFSYNILSDPDKRRQYDTAGFEAVESDNQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSATVLEEALNGVVTIRPLLLEQPVSRKVEKQCAHFYSVTITEEEARDGFVCRVQSSDKSKFKLLYFDQEENSGLSLALQEDSAKTGKVTSAGMYFLGFPVYRLDQTVNSVAAAKDPDTAFFKKLDGFQPCEITELKPGTHVFAVYGDNFFKSVSYTIEAICAAPFIEEKGNLRAVEAEILSKRVELSKFETEYREVLAQFTEMTSRYAKEMQEIDELLKQRNEIHASYTTAPPIKRSSSRSRSKGASKEVKEDGEVRDRKHSMRDRTKKKKWYNIRLKVDKRKPC >EOX99963 pep chromosome:Theobroma_cacao_20110822:2:19750690:19792638:1 gene:TCM_009073 transcript:EOX99963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAIVFALKIWRHYLYGLKRDVAKFVSKCLVCQQVKAEHQKPARLLQPLPVPEWKWEHIAMDFVTGLPRTSGGYDSIWIVVDRLTKSAHFLSVKTTYGAAQYARVYVDEIVRLHGIPISIVSDRGAQFTSRFWGKLQEALGTKLDFSTAFHPQTDGQSERTIQTLEDMLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFKALYGRRCRSPIGWLEVGERKLLGPELVQDATEKIHIIRQRMLTAQSRQKSYADNRRRDLEFQVGDHVFLKVSPTKGVMRFGKKGKLSPRYIGPFEILEKVGAVAYRLALPPDLSNIHPVFHVSMLRKYNPDPSHVIRYETIQLQDDLTYEEQPVAILDRQVKKLRSKDVASVKVLWRNHTSEEICVHLLVGVSAFGSCISIRVTPLEVEYYFVMYR >EOX97286 pep chromosome:Theobroma_cacao_20110822:2:1819218:1821634:1 gene:TCM_006355 transcript:EOX97286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase isoform 1 MFKSIHSWHLEGNIIPTPPFNFHPLSRKRKEMEFSSEANQRIARISAHLHPPNHQMKESSALRRADCRAKGGAPGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNAHGVTADLSHMDTGAVVRGFLGQPELESALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVRTLCEGVAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVAEVLGLDPREVNVPVVGGHAGVTILPLMSQVKPPCTFTPEETEYLTNRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRGLRGDAGIVECAFVASQVTELPFFATKVRLGRTGAEEVYQLGPLNEYERVGLAKAKKELAGSIQKGISFIKK >EOX97285 pep chromosome:Theobroma_cacao_20110822:2:1818796:1821681:1 gene:TCM_006355 transcript:EOX97285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase isoform 1 MFKSIHSWHLEGNIIPTPPFNFHPLSRKRKEMEFSSEANQRIARISAHLHPPNHQMKESSALRRADCRAKGGAPGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNAHGVTADLSHMDTGAVVRGFLGQPELESALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVRTLCEGVAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVAEVLGLDPREVNVPVVGGHAGVTILPLMSQVKPPCTFTPEETEYLTNRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRGLRGDAGIVECAFVASQVTELPFFATKVRLGRTGAEEVYQLGPLNEYERVGLAKAKKELAGSIQKGISFIKK >EOX98583 pep chromosome:Theobroma_cacao_20110822:2:6267870:6274024:-1 gene:TCM_007302 transcript:EOX98583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein MVYKAGCKSNLTTFNVRIQYLVDRRRAWQANDLMPKMGIVPDEVAYNLVTKGFCRASYLEMAKKEVTRVNGEITECFSELGLMTEEQEMSNKRKSNQEFQVSKRFYEFDEEESDLLALSLSYGPRKTKVTLSEPLAPAPLTLLLPQQQEPQEIVSQSLSMQTLLSQPPPQFPFQLPASHPLYNVPFGSEASSSAPLVYPQEPVPSPVPGTSRSRPVRVRRNPTQALREGKSETVPAPFPWATTHRATVHSVDYLLSKQIYTIIGDVQCKRCERQYEIGYDLRDKFAEVGTFIAENKNAMHDRAPPVWMNPVLPKCKLCDQENSVKPVISENKKSINWLFLLLGQMLGCCTLEQLKYFCKHTNNHRTGAKDRVLYLTYLGLCKQLDPNGPFSR >EOY01525 pep chromosome:Theobroma_cacao_20110822:2:39834495:39835458:-1 gene:TCM_011390 transcript:EOY01525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine-zipper 42 MIPGELTGLRYLAPENPILIPANLGMMQNTIPDFNFNRFLSNLPISHIPLPAHEFTAQSSSISNHSTSDEAEEHQLSIIDERKQRRMISNRESARRSRMRKQKHLDELWSQVIRLRNENHSLMDKLNHVSECHDLVLQENARLKEEASDLRQMLTDLKIGSPYSLALRELEDIPCNTAHLRAESTNQSIANSVDLLH >EOX99179 pep chromosome:Theobroma_cacao_20110822:2:8825862:8834812:-1 gene:TCM_007767 transcript:EOX99179 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS (ERD1/XPR1/SYG1) family protein isoform 2 MFGGPVAAPINSPHLRKSGGRAVVSDLADELGNGVEDGHLHPIEVNGLKSASTPMVTVAIAPSPMLLWRFKVLLFLLWGFICSKIGWHSVMRMSVDLRDLFLYEAFLYYNPLLLVTTMVWLWGINLWVFSQSNVNYAKIFDLDQNHLTHREIWKCATWMTIIVPTSMTAYLYLYSHGEVSLAASQPVLLYFAVVMILIFPFDIFYFQSRYYLLRTLWRIVLPLQAISFSDFFLADILTSMAKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSIAIPLVLVLPYLFRFFQCLRQYKDTGERSTLLNALKYSTAVPVIFLSALKYHVLPDSWINFYRPLWLLSSVLNSLYSFYWDVTRDWDLSGFTRIFKFNKSHLCSHLSHGRIWVYIWVIGSNLILRCTWTYKLSAHLRHNYLTVFTIAALEIFRRFQWIFFRVENEWNKMNSRKKVPAPQ >EOX99178 pep chromosome:Theobroma_cacao_20110822:2:8826463:8833882:-1 gene:TCM_007767 transcript:EOX99178 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS (ERD1/XPR1/SYG1) family protein isoform 2 MFGGPVAAPINSPHLRKSGGRAVVSDLADELGNGVEDGHLHPIEVNGLKSASTPMVTVAIAPSPMLLWRFKVLLFLLWGFICSKIGWHSVMRMSVDLRDLFLYEAFLYYNPLLLVTTMVWLWGINLWVFSQSNVNYAKIFDLDQNHLTHREIWKCATWMTIIVPTSMTAYLYLYSHGEVSLAASQPVLLYFAVVMILIFPFDIFYFQSRYYLLRTLWRIVLPLQAISFSDFFLADILTSMAKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSIAIPLVLVLPYLFRFFQCLRQYKDTGERSTLLNALKYSTAVPVIFLSALKYHVLPDSWINFYRPLWLLSSVLNSLYSFYWDVTRDWDLSGFTRIFKFNKSHLCSHLSHGRIWVYIWVIGSNLILRCTWTYKLSAHLRHNYLTVFTIAALEIFRRFQWIFFRVENEWNKMNSRSNIQLSMNNPTNEEVKLLASDGHNVLIKE >EOX99180 pep chromosome:Theobroma_cacao_20110822:2:8826434:8834737:-1 gene:TCM_007767 transcript:EOX99180 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXS (ERD1/XPR1/SYG1) family protein isoform 2 MFGGPVAAPINSPHLRKSGGRAVVSDLADELGNGVEDGHLHPIEVNGLKSASTPMVTVAIAPSPMLLWRFKVLLFLLWGFICSKIGWHSVMRMSVDLRDLFLYEAFLYYNPLLLVTTMVWLWGINLWVFSQSNVNYAKIFDLDQNHLTHREIWKCATWMTIIVPTSMTAYLYLYSHGEVSLAASQPVLLYFAVVMILIFPFDIFYFQSRYYLLRTLWRIVLPLQAISFSDFFLADILTSMAKVFSDLERSVCRMVHRQVVATIAWFEADSVCGSHSIAIPLVLVLPYLFRFFQCLRQYKDTGERSTLLNALKYSTAVPVIFLSALKYHVLPDSWINFYRPLWLLSSVLNSLYSFYWDVTRDWDLSGFTRIFKFNKSHLCSHLSHGRIWVYIWVIGSNLILRCTWTYKLSAHLRHNYLTVFTIAALEIFRRFQWIFFRVENEWNKMNSRSNIQLSMNNPTNEEVKLLASDGHNVLIKE >EOX99143 pep chromosome:Theobroma_cacao_20110822:2:8673393:8680257:1 gene:TCM_007743 transcript:EOX99143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase 1,4 MALLSDLINLDLSNTTEKIIAEYIWIGGSGMDLRSKARTLPGPVTDPAKLPKWNYDGSSTDQAPGDDSEVILYPQAVFKDPFRRGNNILVMCDAYTPAGDPIPTNKRFNAAKIFNHPAVAAEEPWYGIEQEYTLLQKDVKWPLGWPVGGFPGPQGPYYCGVGADKSFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDQLWVARYILERITEVAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMRNDGGIHVIKKAIEKLGLRHKEHIAAYGEGNERRLTGRHETADINSFSWGVANRGASIRVGRDTEKAGKGYFEDRRPASNMDPYVVTSMIAETTMLWKP >EOY01095 pep chromosome:Theobroma_cacao_20110822:2:37590293:37600525:-1 gene:TCM_011046 transcript:EOY01095 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MADALSVIPASVLRNLSDKLYEKRKNAALEVEGIVKQLASSGDHEKISAVINLLTTEFTYSPQANHRKGGLIGLAAATVGLTSEAAQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIIFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRAIKADPAEAFDVGAILSIARRQLLSEWEATRIEALHWISTLLNRHRAEVLCFLNDIFDTLLKALSDSSDEVVLLVLDIHACIAQDPLHFRQLVVFLVHNFRVDHSLLERRGALIIRRLCVLLDAERVYRELSTILEGEADLDFACIMVQALNLILLTSAELSELRELLKKSLVNAAGKDLFVSLYASWCHSPMAIISLCLLAQTYQHASAVIQSLVEEDINVKFLVQLDKLVRLLETPIFAYLRLQLLEPGRYIWLLKLLYGLLMLLPQQQSAAFKILQTRLKTVPSYSFNGDQLKRTSSGNPYTQILHHSGSQITEDGDISQDNGNLQNGINFALRLQQFEQMQQQHRLLAKSQAQLRNSSSSLSKEGQKALTRRPPTSDTSRPPSRSSRKGPGQLQL >EOY01094 pep chromosome:Theobroma_cacao_20110822:2:37590109:37600979:-1 gene:TCM_011046 transcript:EOY01094 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MADALSVIPASVLRNLSDKLYEKRKNAALEVEGIVKQLASSGDHEKISAVINLLTTEFTYSPQANHRKGGLIGLAAATVGLTSEAAQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIIFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRAIKADPAEAFDVGAILSIARRQLLSEWEATRIEALHWISTLLNRHRAEVLCFLNDIFDTLLKALSDSSDEVVLLVLDIHACIAQDPLHFRQLVVFLVHNFRVDHSLLERRGALIIRRLCVLLDAERVYRELSTILEGEADLDFACIMVQALNLILLTSAELSELRELLKKSLVNAAGKDLFVSLYASWCHSPMAIISLCLLAQTYQHASAVIQSLVEEDINVKFLVQLDKLVRLLETPIFAYLRLQLLEPGRYIWLLKLLYGLLMLLPQQSAAFKILQTRLKTVPSYSFNGDQLKRTSSGNPYTQILHHSGSQITEDGDISQDNGNLQNGINFALRLQQFEQMQQQHRLLAKSQAQLRNSSSSLSKEGQKALTRRPPTSDTSRPPSRSSRKGPGQLQL >EOX98625 pep chromosome:Theobroma_cacao_20110822:2:6514392:6515041:1 gene:TCM_007340 transcript:EOX98625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein, putative MPIRVEIILTSNVMLDSSFNAKLGDFGLARLVDHGTGSQTTLLAGTMGYMAPECVSSGKLVRESDVYSFGVCRIGNCMRYVDHRQANAAMASGVRASEPYVSTLTITVPR >EOX97749 pep chromosome:Theobroma_cacao_20110822:2:3306193:3309260:-1 gene:TCM_006685 transcript:EOX97749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein, putative MAATVSSPWGKPGAWALDAEEHEAELQQQDQNHGDSSSEKHADFPSLATAAAAKTKKKKSQTLSLAEFTTYGAAKPSEPTRLTHEDLLVLPTGPRQRSPEELDRNRLGGGFKSYGSNRYNSNGDDSSSNGRWGSSRASNRDSNREIAPSRADEIDNWASAKKSTSTGNGFGGGFERRERGGGGFFDSQSKADEVDNWAANKSYKSANEAPPRRFGGGFERRSSFDSLQSRDSPRDLDNWGKKKEESGSAGSGGVRPRLVLQPRTVTEEGKKEATLAKPRGANPFGEARPREEVLKEKGKDWKEIDEKLEAVKIKETVAVTERGERGGKVSFGNGRAPVERSWRKSDSVEAVAADADQSQSSENGHVEEN >EOY00412 pep chromosome:Theobroma_cacao_20110822:2:32128822:32130753:-1 gene:TCM_010278 transcript:EOY00412 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MSWIHSQIRIKDGPLSYHHHSLLSSYSSPSSSPSLPYNSDYQKQLNPSSSSSSASKISPVVLFIIVVLAVIFFISGLLHLLVRFLMKQRSPSSVSESNRYPELSGSDAFQRQLQQLFHLHDSGLDQAFIDALPVFLYKEIMGLKEPFDCAVCLCEFLEQDKLRLLPMCSHAFHIDCIDTWLMSNSTCPLCRGTLFTSGLPIENPVFDFEYPRDENILSSNGGNGVSLVPKPAENDIGKRVFSVRLGKFRSSNDGRGEGEGEGEGVLRGEGETSRSNLGARRCYSMGSYQYVVADSDLQVALCPSRGCNGSARMKLVKGRSGQNGNSSNDGDVEGKKINMRSKGESFSVSKIWQWSSKKGKFPSPSDTIGSASVTVGLPWTDSRTQVK >EOY01518 pep chromosome:Theobroma_cacao_20110822:2:39807993:39809187:1 gene:TCM_011384 transcript:EOY01518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein MAHKFPPILLVFNRPTALYSHRIGSKTIFVIHSPLLSLSQAMLSRGIVSPFRTPTFPFPTTTCKYSISDQDLESRGFFLRRTISDLNLDHLNSVFVAVGFPRRDPEKIKLALEHTESMLWVEYKKTQKPVAFARATGDGVFNAIIWDVVVDPSFQGIGLGKAVMERLVEELLEKGICNIALYSEPRVLGFYRPLGFVADPDGIRGMVYSRKQRKKK >EOX98203 pep chromosome:Theobroma_cacao_20110822:2:4869909:4873121:-1 gene:TCM_007016 transcript:EOX98203 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIF / Ping-Pong family of plant transposases MDETFLLMLSNLLHLHNSLDPTTSLLSPASSSPPPTSSPSPSSPSSLLSSSSPAPLLFFTLASLLSFLATSSSKKGSKNSSPSSENREPNSSPSPSPSPSSSSHFSISAFRALSTDHIWTMDAQIRDARWRSLYGLSYPVFTTVVEKLKPFITASNLSLPSDYAVAMVLSRLSHGYSAKTIASRSSLDPYLVSKITNMVTRLLATKLYPEFIKIPVSRRRLIETTQGFEELTSLPNICGAIDGSPMKVRGLKLDRNLMNCYKSKYGYDSVLLQVVADHRKIFWDVCVKAPGGADDATHFRDSLLYNRLTSGDIVWDKMINVRGHHVRPYIVGDWCYPLLSFLMTPFSPDGAGTPAQNLFDGMLMKGRSVVVDAIGLLKTRWRILQDLNVGLNHAPQTIVACCVLHNLCQIAREPEPEIRKDPDENGALARVLESEKQFYYFGESLRQALADDLHQRLSSR >EOY02144 pep chromosome:Theobroma_cacao_20110822:2:42106516:42107342:1 gene:TCM_011868 transcript:EOY02144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MACMASGMTLLANVSMRLCCSHTGQGVEALCVSTPVCIMASNETQDPDYSNSSTTPCGPFFQTSCDSSENLKGRPASVRHLICVAWRAGPVVSPSIFEPTSMICKAQQVHERFSYMVHTALNFPEELFSLS >EOY01685 pep chromosome:Theobroma_cacao_20110822:2:40612786:40617817:-1 gene:TCM_011526 transcript:EOY01685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFDHMNGSSKHSRVDVDESDGSGSGKHSGRRLELVVGSRSSRHSSEGSGSSKHSSEESGSSEHSRRHLELDDETERRNRSCEGSGSSERLSEGSGSSGHSRRHLELDHETGSSKHSSEGSGSSKQYPEVLNRSGENESNHFELNPEIIKGWGNNNSSEMHPEVIDGSESSKRSEVIQEEGDRNEGDILFSTEKLRLSSSSSPSSSGSSTDDQFQVDKKSRPGNTSTSSPKFDEDTEPSSKDKSNVSGNNFPKSEGWQNGSAGPKSTSQQVSSVSHESTSTQSPPIQVMDRQEEYDPYRIPSAVFARSKSTTPVDWSIASNESLFSIQVGNNSFSRDHILNLKSGELFKSGEFIAFSPSPVALTVDTEKKSVQLDKSKATVVSDDAVKDKTGLCVEDPIQEKPTHPTVTWNSSTISNHSDDSGTSGHSFAFQIRKKKKKKQKKCAWPSCYCSNCSWAFCYCTWPSCCFSLTDGRNDGVKENVKQQQEQQTLDSAVPSKSGCCSCCTWFPSCQCGWHWRWCYSCNCCRGNSC >EOY00090 pep chromosome:Theobroma_cacao_20110822:2:25536160:25548388:-1 gene:TCM_009576 transcript:EOY00090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MAKRGLLKQIVGDLSLPDSSPFAKLLDSYIQSKSLLDVHRLHARITKSNFASETFILNRLIDAYGKCGSLEDARKVFDRMPQRNIFSWNSAITALTKFGFVDEAARIFGSMSEHDQCSWNSIISGFAQQDKFEEALYYFVRMHREDFALNEYSFGSALSACSGLKDMKMGTQIHALMTKTLFLSDVYMGSALVDMYGKCGSVCCAQRAFDDMNQRNRVSWNSLITCYEQNGPAGVALEVFLRMMDCGIEPDEVTLASVVSACASLSAIKEGKQIHARVVKCIKLRDDLVLCNALVDMYAKCSRINEARCVFDRMPVRNVVSETSMVSGYAKAASVKTARLMFMKMMERNIVSWNALIAGYTQNGEDEEALRLFRLLKRESVCPTHYTFGNLLNACANLADLQLGRQAHTHVLKHGFRFQFGEDSDIFVGNSLIDMYMKCGSVEDGDQVFKNMMERDWVSWNAMIVGYAQNGYGNKALELFKNMLVSGEKPDHVTMIGVLCACSHAGLVEEGRHHFSSMSSEHGLVPLKDHYTCMVDLLGRAGCLNEAKNLIETMPMKPDAVVWGSLLGACKIHRDITLGKYVAEKLLEIDPSNSGPYVLLSNMYAELGKWGDVVRVRKLMKKRGVIKQPGCSWIEIQGHVSVFMVKDKRHPQRKEIYSVLNALIKQMKQAGYLPDAADQEAYEEESESELAFFLQKEFAT >EOX97248 pep chromosome:Theobroma_cacao_20110822:2:1715857:1720211:-1 gene:TCM_006328 transcript:EOX97248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pinin-like protein MFKRSPSRNQRSKSIKTKHVLQICLLLGVCFWLIYQVKHSHDKRKEFDEKDDKASVKAQNDDVILKFGRKDLPHVEEVSKNYKHEEEEEEEHGVEEEHKHDEELEEKATRLEEEEQEGASKHEEEELEEASKHEEEEPEEASKHEEEEQEEVSKHEEEEEQEEMREDEGNKHDDEEQEAEIKDEEVDDEGRGDDEVDENEQERADGDADREEEFIDEEKEREAEGDDKDNEEKEIEEKEGQEESDNSANDQNHDGGGRNAHEAREEHYKADDASSAVSHDTQIINSEADKLDMENSNDNSTMNVLEQESKDNATEETNGDENKSELKVDDGKHSEDGSSLNVTDTKENDHETGSSNSEHISLPNTTNSTVFTDQASNNSTDVSKETGNKPAEVNTEMPDSLQDGTATVLELTSAQNTTEDGMVTEEKHEEQANEKISNKSGMSEDMTKVNATAGGDNFGSSMTKENTDSTQNEKSGGDKESGGTDESSDTSFSNGTVDQGQHDPIDSSDNTLSQEEKDARVDLSTLPDIRTEGSDNEDAAAE >EOY01638 pep chromosome:Theobroma_cacao_20110822:2:40429280:40430436:-1 gene:TCM_011485 transcript:EOY01638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIESIIKLGSMIRYLLSSIFVFICQTNYGSILIRTKAYSTRGLMKIPVPWEEKAGGLMSKRRDYTYEMSDALMVTKSRREVPWGNLVANKCIYILNSERETPKEEKQVNEKAKPPLHRTKARALSAKAPLGKSIYTSKER >EOX99748 pep chromosome:Theobroma_cacao_20110822:2:15385385:15386815:1 gene:TCM_008614 transcript:EOX99748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLKNKAAILALASVLSLEDREVLAYMITRSLKTTTTATNSSLISQDYSSKKRSSKKPPPTASKLTQKNGALPHKPPVFDCDCFDCYTSYWFRWDSSPNRELIHQVIEAFEDHLTNGEAQKPSKKNIRPKKRDSTSKVASRVPDSPVGDLPVQPDQEVLVSESSSTDEASVFNDDVTSREKDVKEEVADAAEVTEEFAVVEDAEVEIRTAATSSHKGLARKVLPDVLGLLNSRLWGLWNPNV >EOX97793 pep chromosome:Theobroma_cacao_20110822:2:3469899:3473072:-1 gene:TCM_006726 transcript:EOX97793 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MGVRVPSLLSGAKQILKLHSREKPDVRKGHIAVYVGEKQTKRFVVPVSVVNHPSFRDLLKRAEEEFGFNHPMGRLTIPCKEDREPLKSPSCQYGDETSQFGSLSKLKMMALQCLPSSPNSTNTNTLSAFELQKPVRIYKLYYNL >EOX99322 pep chromosome:Theobroma_cacao_20110822:2:10139440:10141256:-1 gene:TCM_007953 transcript:EOX99322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQQAYIFTELWRQKRRTSWWAEGPNIRSFRSYSLDRNRTKPYSNVTQPVLN >EOY00529 pep chromosome:Theobroma_cacao_20110822:2:33235406:33236950:1 gene:TCM_010410 transcript:EOY00529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein 1589 of unknown function [Source:Projected from Arabidopsis thaliana (AT1G10657) TAIR;Acc:AT1G10657] MVSNAWPREIMYCHNYNHHHVLPCLHCHPHSYIRMIQHLIERCLLLHMNRQQCVKALANYASIRPRITVTVWRELQKENRDFFQAYFHALSPRPFMGRFIQRKPRFERKKQ >EOY00734 pep chromosome:Theobroma_cacao_20110822:2:34793077:34850631:1 gene:TCM_010663 transcript:EOY00734 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MDAMAEEQIDFGDEEYGGAQKMQYQGSGAIPALADEEMMGEDDEYDDLYNDVNVGEGFLQLQRSEAPPQPGGMGSTGLQAQKNEAPEPRGEAGGSQGLNIPGVSVQGKHLNVTARYPEQDGQPAVSRPEMGSGSYPSGTSISQKGRVMEGTQDTQVKNMGFQGLSSASHKVGIDPSGVPQKIANVPAQSLNSGTGGPQGAPHVPPNQMGLNVNHPMISENQVRPPIENGPTMLFVGELHWWTTDAELESVLSQYGRVKEIKFFDERASGKSKGYCQVEFYDPASAAACKEGMDGYMFNGRACVVAFASPQTLKQMGASYMNKNQGQSQAQPQGRRPNDGLGRGGNMNYQSGDAGRNYGRGGWGRGGQGVVNRSGVGGPMRGRGGVGVKNMVGSSAGVGNGANGGAAYGQGPAGPPFGGPAGGMMHPQGMMGAGFDPTYMGRGGSYGGFPGPGFPGMLPSFPAVNTLGLAGVAPHVNPAFFGRGMAPNGMGMMGGPGMDGPHVGMWTDTSMGGWGGDEHGRRTRESSYGGEDGASEYGYGDANHEKGRSSGASREKERVSDREWSGNSDRRHRDEKERDWDRSEREHREHRYREEKDSYREHRHRERDLDYDDDLDRGQSSSRSRRRSHAMPEEQRRSRSRDVDYGKRRRLPSE >EOY00735 pep chromosome:Theobroma_cacao_20110822:2:34845592:34848829:1 gene:TCM_010663 transcript:EOY00735 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MDAMAEEQIDFGDEEYGGAQKMQYQGSGAIPALADEEMMGEDDEYDDLYNDVNVGEGFLQLQRSEAPPQPGGMGSTGLQAQKNEAPEPRGEAGGSQGLNIPGVSVQGKHLNVTARYPEQDGQPAVSRPEMGSGSYPSGTSISQKGRVMEGTQDTQVKNMGFQGLSSASHKVGIDPSGVPQKIANVPAQSLNSGTGGPQGAPHVPPNQMGLNVNHPMISENQVRPPIENGPTMLFVGELHWWTTDAELESVLSQYGRVKEIKFFDERASGKSKGYCQVEFYDPASAAACKEGMDGYMFNGRACVVAFASPQTLKQMGASYMNKNQGQSQAQPQGRRPNDGLGRGGNMNYQSGDAGRNYGRGGWGRGGQGVVNRSGVGGPMRGRGGVGVKNMVGSSAGVGNGANGGAAYGQGPAGPPFGGPAGGMMHPQGMMGAGFDPTYMGRGGSYGGFPGPGFPGMLPSFPAVNTLGLAGVAPHVNPAFFGRGMAPNGMGMMGGPGMDGPHVGMWTDTSMGGWGGDEHGRRTRESSYGGEDGASEYGYGDANHEKGRSSGASREKERVSDREWSGNSDRRHRDEKERDWDRSEREHREHRYREEKDSYREHRHRERDLDYDDDLDRGQSSSRSRRRSHAMPEEQRRSRSRDVDYGKRRRLPSE >EOY01492 pep chromosome:Theobroma_cacao_20110822:2:39664234:39665258:1 gene:TCM_011361 transcript:EOY01492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFLHFFFPKDIVVVVVPFWSLKVSPLFFFHEVLLVFLGSSSLADAAADGGSTWGTEI >EOX98396 pep chromosome:Theobroma_cacao_20110822:2:5628850:5629643:1 gene:TCM_007169 transcript:EOX98396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 7, putative MIFEREEAAEAGSISTKQQSELRINEDINEAIEEENPGDWLNLRVGGNSLSSTAGDSDPQSRPVSAKVFSCNFCMRKFYSSQALGGHQNAHKRERGAARRYQSQRMMSMMGLPIRSLGVRPHSLVQKTSRDGAASVARFNDTYTGFGMAAMHCTVDDAMDFMWPGSFRLDPQLPKPPSDPAKLDLNLRL >EOY02180 pep chromosome:Theobroma_cacao_20110822:2:42219183:42220700:-1 gene:TCM_011890 transcript:EOY02180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 1 MQNSVVMMAETKPVPRVGVVLFVLKGNSVLLGRRRSSIGDSTFALPGGHLEFGESFEECGARELKEETGLEMGKADFLTVTNNLFLEETKPAHYVTIFLRAVLADPNQVPQNLEPNKCHGWDWYDWDNLPQPLFWPLEKMVRSGFNPFPSECVLGLDGQ >EOX99439 pep chromosome:Theobroma_cacao_20110822:2:11234145:11240689:1 gene:TCM_008121 transcript:EOX99439 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative MGCMSSKSAAVEDSRENHKERLTRKGSLDKLVPRANSSRREQAVRSKEYDGGDVKVLLIDKKTSGSNRFCYNDQVEKKKIIDKFEMIEKNKVEKCDVTIAGHNPGSGRVLNSIEGEQVAAGWPSWLVAVAGEAIKGWIPRRANTFEKLDKIGQGTYSSVYKARDVIHNKLVALKKVRFDNHDPESVKFMAREIILLRRLDHPNVIKLEGLITSPMSCSLYLVFEYMEHDLVGLASLPGIKFPEPQIKCYMQQLLSGLDHCHSNGVLHRDIKGSNLLIDSNGILKIADFGLACSFDPHDSVPMTSRVVTLWYRPPELLLGASHYGVAIDLWSAGCILGELYSGKPILPGKTEVEQLHKIFKLCGSPSEEYWKRAKLPHSTVFKPLHPYRRCVAETFKDFPSPAVSLMETLLSIDPVHRRTAAFALKSEFFKTEPLACDPSSLPKYPPSKEIDAKLRDEEARRQRAVGSRGSRVDMERRGQKESLAIPTSNSNTELATSMQRRQPHPNFKSRSEMFNSRKADAISGFLNDPPKQTHAAKEGGRDFLEYNGKKISHSGPLVHGTVFGKSGKEHDDLHMVSSRANLSKLSGLVATRTLASEDHREKPGPLTLEAVNQVGRSQRSFNELESAGKQNVRRHMPKTAESPQTGGGRACIKESSLHGRGSRGNKIYVSGPLLAPSDNVDQMLKEHDRKIQEFARRARLDKTKLGKLQAQGKQVTENSLFLSTHGAG >EOY01827 pep chromosome:Theobroma_cacao_20110822:2:41062055:41063338:1 gene:TCM_011634 transcript:EOY01827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGAEASEGFSEWEQIESPTYSTPHPTDMVAVKDNDVRRQHELSVFPPSHHEGLDITSEAEDDEEGEEVHVRDEEVNSAVLESWSSTGDEGNSRPLRKANGIGEILTSGIVKVAARVRCFVAFGWGVWSFGAVGGVVAAVLMSLVYAKVRRWRTRVKGEKKDQLEFLIQEKDQKINQLLVQIAHMNELLSARRRVPVLRVS >EOY00641 pep chromosome:Theobroma_cacao_20110822:2:34174730:34179830:1 gene:TCM_010538 transcript:EOY00641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEFCCLSLGMSSPRLPHLQDIGSGFGSILHLIKLRSFAGSLFKVQSKRLITSYSLVKKLGLYGSNGEQCGVWIKCPITPSRKLVHFPTRTSTSTATKGPRKLRLGLNLIVEALNLILTMLLKAIQNSSHTLPIECDASNVAKWIKKPKDVPWRLRPLIIQILSLLGRITQWNIQHIPRSANGVVDSVAKAGVSYPHDLLWIINDTQTEGNQTIDG >EOY01676 pep chromosome:Theobroma_cacao_20110822:2:40574976:40577750:-1 gene:TCM_011518 transcript:EOY01676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMALSEDGVSKGFCRFVSTPDFHRLHYQRSSKMTLLNEGDYLTPPQVDYRIAEVDEKLLLCTADHEGRIISQFTPEIKIGAHTVGFGYMPSKNEYKVVRCFYRKNDYDNPNNFELGCEILTISNYGTDNSIADCCSAWRMLEEGCPHLMDANPALVNGSLHWKIDLVWERREDEQILSFDLDAEKFWILPIPPCVIRNPETLNLAELRQDLWLSHYDYSIPHVMDMWVLKDFEKFTWVKLPIQCLLLSISEYFLSSTLEARPAVTKSSSSPLNFPPWVWLSKGEASPMTRESAYSKAATSGPPDFITVKVKIPGIETSGRWATFSNLVLATPVILFPFYMHVDSRLITEGYCVPNALLEDRKKLEDVGDEDGQQGRRENELCLNVRDIVKLEKCGDGLGTAMTKGSSS >EOX97593 pep chromosome:Theobroma_cacao_20110822:2:2792748:2796291:1 gene:TCM_006575 transcript:EOX97593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT4G38500) TAIR;Acc:AT4G38500] MDSELQRPVSFRLNRRADRNTQRPFGKDDAGGLISSGRLGQDNPMKIVWKQGFVRLVLVGGILWMFLILIVLLFHVWSCQSSFSFFSAICNKEGKVFIALDVFGFVPKAQHRCSIPVADDPDKIVIPEGRTPDVIVKDLTYIEGEDLVNNGSQSFPLFGGNQSWLQREESFKLKPSMKVHCGFLQNGGADMNPVDVEYVKKCRFVVASGIFDGYDVPHQPSNISLRSRKLFCFLMVVDEVSLKFIKKNVTIRKDNDKGMWVGIWRLIPLKHPPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLILERYLWRGKHTYAIAQHKYHRSVYEDADANKRRKRYARPLIDLQMKIYYYEGMEPWSLKKNTISDVPEGAIIIREHTALNNLFNCLWFNEVNLFTPRDQLSFGYVVYRLKGLFKFFMFPNCEYNSLFVLHPHTREHSSKVEWVKSLSEFKGSGSSMKESKGGFGLWTPYSKNLNSVALPPVVRTSKAG >EOX99535 pep chromosome:Theobroma_cacao_20110822:2:12005217:12013769:-1 gene:TCM_008230 transcript:EOX99535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate-binding family protein MGSQCDDNGKLKMNPETVDALKRINGPIGVVSVCGRARKGESFILNQLLGKSNGFRVASTHGACTKGLWNWCAPLKRTALDGSENGFLLIDTEGIDAYDPTETYSTQIFSLAVLIEHVYLQSGEPIANKPASVAFLLVTAFSLDHSCWYSKIFLDALRDGAVPTILSLWQRLLVVCYLNMKHLFMVQQTADVILLLEKEDALLNQTKKQIDHVLSEKKTLLLNCRSIEDKMELPDKHLEACEKLKGEHQKHYEDAIDDMTKLSDPKRTESLEWKRKHEGLLTKRNADNDNVNAEIAVVRTTCLVVLGAVNRTRAAESRIESCDNKSSDLNLKIRLLSEMHELLKREAHVLVQMRSKLLQQNLSGTKRFQEVYKRCEVAKQVVRILRVEILACQNERKEGIICKHFKDNSTLMIAFFTEA >EOY00339 pep chromosome:Theobroma_cacao_20110822:2:31449246:31449688:-1 gene:TCM_010190 transcript:EOY00339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSLIKATISAACFATLIIVVGAEMDMPPGMVMPPAPTPNVSNLVSPSVVIGFLTLIVTVFVVTERA >EOX96813 pep chromosome:Theobroma_cacao_20110822:2:116517:119391:-1 gene:TCM_005970 transcript:EOX96813 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein, putative MCCSKFKLHKDSNIASTVAEINGRPVLQPPSNQITSSDKRNSLKKISSNSPALSAPLQLSNSRARAVKATMPSLSPPISPKSPRPTALKRGKDSNELNSSSEKVIAPRCNVKLDSKVKKPKNASGGGVALTSVDAKYSSSFMVLEAPGSIAAARREQVAMIQEQRKMRIAHYGRTKSAKYERKMVGLDSSAARTAARQDQRRCSFITVNSDPVYAAYHDEEWGVAVHDDKLLFELVVLIGAQVGSDWTSVLKKRQDFREAFSGFDAEVIAGFSEKNILSISSDYGIDVSQVRAAVDNANRILEVRKEFGSFNNYLWGFVNHKPIVTQYKSCHKIPVKTSKSEAISKDMVRRGFRFVGPTVIHSLMQAAGLTNDHLSTCPRHLQCIALASQFPTVAPAL >EOY00667 pep chromosome:Theobroma_cacao_20110822:2:34417300:34419078:-1 gene:TCM_010587 transcript:EOY00667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfur E2 MSLIKLLMWGQNPNYTKFWRVILMASKPFYKKDFFFFIFFHIFNWIVSSASSISTQNLKHRQDNRWATIETRSETTYEGVSDKIQLLVSEFKSLTEPVDRVKRLLHYAAMLAPFDESARVPENRVKGCATQVWLDARIDQNGKVRFRADSDSEITKGFCSCLIWMMDGADPEEVVEVRAEDLVELNVGVHGKAQSRVNTWQNVLISMRDRTEGLMLERQRELPLASASVSYIIKL >EOX97182 pep chromosome:Theobroma_cacao_20110822:2:1528360:1528904:-1 gene:TCM_006276 transcript:EOX97182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIVSKMIDEGDKKQLTITENFDGEPFPFAAHGGKMRVRDEQGTLWRFTYKVKLTNERVLSGPWEQFLENNSVRVGDTVAIDNNDRWSSGAAEYKIEVISRGS >EOY01760 pep chromosome:Theobroma_cacao_20110822:2:40836164:40838694:-1 gene:TCM_011585 transcript:EOY01760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MSSSSRRCLKLLERCKNMNQLRQAHAHAITCGLGTNSFALSRLLAFCANPNRGSVTYACNLFQRIENPTICICNTMIKALFLKGEIFKTIELYNNMLDKGMHPDNYTLPYVLKACAKLQYFYFGELVYGHCLKLGFVFDIFVGNALIAMFCAFDNVKVARYIFDEIPWPDFVSWTVMISGYGKIGDIDTARLLFDEASVKDAGIWGAMISGYVKNNCFKEGLYMFRLMQMSDIEPDEAIYVSVLCACAHLGALDTGIWIHKYLGKQKFPLSLRLSTCLLDMYAKCGNLDIAKKLFDGMQQRDVVSWNAMISGMAMHGDGESALELFWQMEKDGVRPDDITFIAVFSACSYSGMAFEGLTILDRMCKVYNIEPKSEHFGCIIDLLSRGGLFEEANKIIQRMPDSSNPSDEAIAWRALLSSCCSNGQTKLAEVAAEKLMQLEDHSGVYVLLSNLYAASGKYYDAKIIKQMMKNRGVNKVPGCSSVKIIGVVHEFIAGEKSHPQMEDIHLILEKLEKQMDYRTIYI >EOX96815 pep chromosome:Theobroma_cacao_20110822:2:126326:127689:1 gene:TCM_005972 transcript:EOX96815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVSATVIGALLGLGTQMYSNALRKLPYMRHPWEHVLGMGLGAVFVNQLVKWDAQLQQDLDKMLEKAKEANERRYFNEDDD >EOY00513 pep chromosome:Theobroma_cacao_20110822:2:33168286:33172494:-1 gene:TCM_010397 transcript:EOY00513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase/isomerase D isoform 1 MAQISDKELNAVTRRIATFSNHLLPVGSSGGSIGFCNASMNDSYHRIHGEVPSHEVEWKTACDEHGKEFTDIICEKAVDEGIAKITINRPQRRNAFRPQTIKELMRAFNDARDDSSVGVIILTGKGTKAFCSGGDQEFRKADGYADFENFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVLTYHLLCYFCNKAHKKCPAWLIVIFLLDSVAGPNCKVGSFDAGYGSSIMSRLVGPKKAREMWFLARFYTASEAEKMGLVNAVVPLEKLEQETIKWCREILRNSPTAIRVLKSALNAVDDGHAGLQELGGNATLIFYGTEEGNEGKTAYVQRRRPDFSKFPRRP >EOY00514 pep chromosome:Theobroma_cacao_20110822:2:33168638:33172266:-1 gene:TCM_010397 transcript:EOY00514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase/isomerase D isoform 1 MAQISDKELNAVTRRIATFSNHLLPVGSSGGSIGFCNASMNDSYHRIHGEVPSHEVEWKTACDEHGKEFTDIICEKAVDEGIAKITINRPQRRNAFRPQTIKELMRAFNDARDDSSVGVIILTGKGTKAFCSGGDQEFRKADGYADFENFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVLTYHLLCYFCNKAHKKCPAWLIVIFLLDSVAGPNCKVC >EOX98894 pep chromosome:Theobroma_cacao_20110822:2:7682165:7683363:-1 gene:TCM_007564 transcript:EOX98894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant EC metallothionein-like protein, putative MDLKDSKRKVNVLKAKGIGVACDERCGCPSPCPGGVACRCASGDTSEVDGHRRCSCGEHCSCNPCSCTNAVAGTGIGKAFCKCGELGMAVLALLVHPKFCIHPQPCFCFHVAYAVCLLTFGHKFI >EOX97105 pep chromosome:Theobroma_cacao_20110822:2:1198313:1202751:1 gene:TCM_006205 transcript:EOX97105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein MVEAMDVENQNSGAKIVGCDAEQDVKVQEILSKLRQWALALKITKMHVKVQNYKVLHSSGNEDQLDKSSASEDDSSVGQDMYVAEQVTCAEQDGLDQVQEMEVEEHDTDSEQPTNIDEKTVKRTALKSASAVKVHQAKNRLMSEEEGEFSVPCLIWGKVRSHPWWPGQIFDPSDASEKAVKYHKEDCFLVAYFGDRTFAWNEASFLKPFRTHFSQIEKQSNSESFQNADAYDNITFQKVENTGFQQESSIRDGVDVSLSASSFEPDKLVDYMKALAESPSAGGYHQPPEFQFCGGLNENEANTAHSEENMYFGEEIEHTTPMDTVAEQISTGQETSKSQRSFFLKRKHNLRDGLYPSKMERTLSELMGETFYCPDIENGTDGIANRLPSSSSGKKRKAVDSFDDSVVLEGRKTISLAKVSSTTSHSPKPSFKIGECIRRATSPMTGSPLIPKGKLDGGSENPAADGYDVPFDNSEDAQRKRMNVTTEYSSLDELLPQLHLAASEPITSYSSFNNFISFFSDFRDSLVVDQLPGDKAGGKRKKSPNSIFGPPGTFEFEDMNDTYWTDRIVQNRSEEHPLHGNGRGQYQIVPVEVKKPLQKGRKSRKRYSDVNHDLTAEKPPGCVDERAPAELVMNFSEITSVPSETKLNKMFKHFGPLKESETEVDRETCCARVVFRRSSDAEVAYNSAGKFNIFGSVAVNYQLTYTISESFN >EOY00306 pep chromosome:Theobroma_cacao_20110822:2:31022079:31025223:-1 gene:TCM_010139 transcript:EOY00306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator ARR4 MPPVREPCRSFPYTDLTARSSIDLRSTSLLDPDHSLAPRFDQFLSSHDKMIFKARYWKEIGSGGAPKWISESQRLLSLCIKERKRQRQIDITLQRIKARPQNKGNPVFASRANKFTIVELGFSSFSLLEGFFFLLSFKFRFHREIWLLSVFFFYYLEMARNGAVTWRRRTEKIDGFDLSPSDSEEVHVLAVDDSHVDRKVIERLLRISSCKVTAVDSGRRALQFLGLDEEENSNGFDGLKVDLIITDYCMPGMTGYELLKKIKESSAFREIPVVIMSSENVLARIDRCLEEGAEDFIVKPVKLSDVKRIKDYMTREFRVGEGERGGGIHKRKLRDSCDLSSSPPSTLSSSPASPASSPSSQSPSPSPSILSASAPCSPSSLDSPTRRLKMTSSE >EOX97232 pep chromosome:Theobroma_cacao_20110822:2:1682749:1684230:-1 gene:TCM_006318 transcript:EOX97232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSISIPISDSLHPPPSVDASHVGHPQTPPKCEADNTVFTIFLCKTEPTNAANPFGPRNKKKNEILIRAFPCNHQEPLQATLILPSLRLDSSLDSCRIFSLTHHNMGRVDPKPKETFGPRRTGESGNSFYGNSYLPVEPMVYKSEADEILYWCNITLPYSRFDIFTGYQTIHQREQSYKVGDFDSLIFFIFLIQSACLI >EOX98597 pep chromosome:Theobroma_cacao_20110822:2:6307188:6314592:-1 gene:TCM_007309 transcript:EOX98597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MGKKRVMVPAEKLDLSTVKYEHEEIQAPHLTGLMLKFFVRVIEAPVIGSFIISFMKKQNKMVELLQNTVIPEAPMFKPEFPPQEIEPSVVIVDEEGKPEDRVETALKCLPHYDPASYWSGDSLPSFRYWKIRDYAYAYRSKVVTPSMVAEQLISVIKGCNYHKPPTPLLVSFDAEEVRKQAAASTQRFEEGNPLSILDGIFMAIKDDIDCYPHPSKGATTWMHEVRSVKKDAVCVSRLRRCGVILIGKANMHELGMGTTGNNPNYGTTRNPHAPERYTGGSSSGPAALVASGLCSAALGTDGGGSVRIPSSLCGVVGLKTTYGRTDMGGSLCDSGTVEIIGPIASTVEDVILVYAAILGSSPSDRISLKPSPPCFPVLSSLDNANTLGSLRLGKYTEWFNDVHSTDITDVCEDVLNLLSKTHGCETIEIVIPELHEMRTAHVVSIGSETLCSLNPDCEDGKGVKLTYDTRTSMALFRSFTASDYVAAQCLRRRIMHHHMEIFKKVDVIVTPTTGMTAPQIPPSALKHGETDMQVTGYLMRFVIAANLLGLPAITVPVGYDKQGLPIGLQLIGRPWGEASILRLASALEELCGKSRKKPASFYDVLKTE >EOX97068 pep chromosome:Theobroma_cacao_20110822:2:1086184:1087137:1 gene:TCM_006175 transcript:EOX97068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSETSLRPRPVVSKQASQSRSSTNNHEPGETPRSPSRPPSLSQRAISQTLTSTANLANLLPTGTLLAFQLLMPIFTNNGSCDSATRPMTLVLLLLLALSCFLACFTDSVKSSDGQVYYGFATFKGMFLFDFPDPTGSTLPDLSKYRIKFIDGVHAVLSVLVFGAVALRDKNVLNCFYPTPKHETQEVLSIAPVGIGLICSLLFVVFPTRRHGIGYPITLGK >EOX97807 pep chromosome:Theobroma_cacao_20110822:2:3544393:3544879:1 gene:TCM_006740 transcript:EOX97807 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MGFRLPRIVNPKQSLKRTLSFSETTVVPKGHFAVYVGEVEKKRFVVPISFLKHHSFKILLSEAEEEYGFNHPMGALTIPCSEEAFLDLIGSLQSS >EOY01279 pep chromosome:Theobroma_cacao_20110822:2:38778831:38779729:-1 gene:TCM_011220 transcript:EOY01279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMKIWSVAGCEPTFCFEMLYQLVAMDVVSGYPLHDSCQRMCVLHTYSARHHLGMLGSITYKLLTLLM >EOY01393 pep chromosome:Theobroma_cacao_20110822:2:39264236:39264916:1 gene:TCM_011298 transcript:EOY01393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGVLVLKFVIILEIVNLKGVVVTFRILHSGFHWFLTLQGLHSPGQVINHSPHLCQLSLLGLIASTSTSSSTNHNLLTQILIG >EOX96860 pep chromosome:Theobroma_cacao_20110822:2:269973:270946:1 gene:TCM_006010 transcript:EOX96860 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MPPFPCPSSHLPAPYIHPHRSLASCFLLLCVYHVSLPLHFSHLYLLTLDVHSPPFHPLSMAQTSPTPSATTPALSIACQETQEPTVDLNLMIIVAAMLCALVCALGLHSMLQCVFQCTHRAVTEPREWVASRRLNSGLKKKEMVALPTSTYANSGSPSSASGCAICLADFLDGDEIRMLPKCDHRFHVACIDKWLLSHSSCPTCRHRLKSNDSMSSLDQIVTAL >EOX99105 pep chromosome:Theobroma_cacao_20110822:2:8530218:8533367:1 gene:TCM_007720 transcript:EOX99105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 1, putative MFNNFILIMEITVVYRCFLLLLLLCIVLNCRGCMMEEKDALLQIKASINSPEGTAFSSWYGEDCCQWEGVECDASTARIRRIFFHYQRDQSLLENWYPNATLFAQFKGLKELELPGNRIKGFTSLDELQKLKHLQKLNLHDNLIENASYLCWGKGALPSLYSLDLSKNRLLGHISECFCDSLTLTELILYDNHLQDNIFTWLSNMTSLKCLDLSDNQFSGSFPSFLVHNLTNIETLTISRNQFKGRVSFSIFANLSRLRQLDISDNAHLKLETESPIWFPSFNITVLNLAGCNLRNIPSFLSTQNQLEFLDLSDNLIIEKFPSWLMKNTISELRIGGNSVSGPFPKTFRNLSSQLTSLDISNNSFYGPLPEDINVIFPELLVLDASLNVFRGGIPPSFGRFKRFLLLKLSGNKLRGEIPYLLTSNMSSLEHLYLSNNNLGGDALLKNSSLPKLMVLDLGRNDFTGNFQDSLSRSFSQSFAFPQLRVLILRGNRLQGQIPQQLCRMRRLSVLDLSNNYLSGNIPECIDNITSWTAVDQRGAEMISYDLYLFTALDLSCNRLTGSIPLQITQLKAIIVLNMSHNLLTGQIPASLGNLEALEALDLSHNKLFGELSQELTALSFLLFFDVSLNNLSGAIPQGKQFDTFANDSYVGNPGLCGIPLERKCGAHTQKPSYVLSNTATTCSFLFHCIVFILVINFILFP >EOX99633 pep chromosome:Theobroma_cacao_20110822:2:12886526:12887719:1 gene:TCM_008355 transcript:EOX99633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLHTWHWTPSTRWRMAGSEELWPSAPNLRLEREKQRPNKVPFLFIFLIPTLQNFGYNNTSEERNS >EOX97124 pep chromosome:Theobroma_cacao_20110822:2:1289757:1290628:1 gene:TCM_006224 transcript:EOX97124 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein, putative MQKNRISSLNRDKSRCLAVGNIKDKLQTCLLLSSECFPMPLIKSDSFAEKKTVVSMAIIFSKILTKTDVEKRLSIPTKHLKSFPCFQGGHAVNFRAIDESGKVWPLQCSIRKGKYLKPVVFRGWVEFVRSNKLEVSDKIKFYGEPGGEYMIKVKKPVKVFGAIIAYAPAHG >EOY00599 pep chromosome:Theobroma_cacao_20110822:2:33754930:33756681:1 gene:TCM_010483 transcript:EOY00599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQKLMLSLAGFRSAFGVMSAYRDVATVVTGSMGVPGRDISGLREISRRFLTCLNSKNTDQGIE >EOY01128 pep chromosome:Theobroma_cacao_20110822:2:37776809:37777724:-1 gene:TCM_011070 transcript:EOY01128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADFRLQGFCQSQFTKIAAEKAKVAAEEYFKLGNIDRAIQQGFAALDLNPELRIVQKYVAAYLIHKFGSMLKLCKEMNMDDTKVLYSILFIEDCSSVDAATIKKHYKEVVLLVHPDKNDSVAAEGAFKIVHQTWEILLSDHNKRRRKA >EOX97563 pep chromosome:Theobroma_cacao_20110822:2:2692615:2697921:1 gene:TCM_006550 transcript:EOX97563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MMKNKRSKTSVDKAVVDVWQREVGELSTRSFAHRLAASEDLVLRLEIYKKLEKHRGCVNTVSFNADGNILVSGSDDKLVVLWDWETGHAKLSFQSGHVNNVFQAKIMPYTDDRSLVTCAADGQVRHAQILERGVKTRLLAKHQGRAHKLAIEPGSPHIFYTCGEDGLVQHIDLRTAAATELFTCLPIDDTRPVIHLNAIAIDPRNPNLFAVAGSDEYARLYDIRKYKWDGSTEFGQPTDYFCPAHLIGDEHVGITGLAFSDQSELLVSYNDEFIYLFTQDMGLGPNPVPSSPLSACSEASEMELDHSAASASAMEAGEKASPLVYKGHRNCETVKGVSFFGPKSEYVVSGSDCGRIFIWKKKSGELIRVMEADKHVVNCIEPHPHTTVLASSGIEKDIKIWTPKAIDKATLPTNIEQVPKPALFRWLSFAAFDEDDDDNNFIGIDDDDEDDDEEDDSDDDYDDVYDEIDDEEDDDNDNDDDDDDDGDEDVDGGDDSDCSDDGDNDFKPKPRGWMYRVTTPQDLMLHLFSLQRWGSSPERNGENSSAAGGELLDLMLTFNDNSDASSDGGNASGPEDFFS >EOX97564 pep chromosome:Theobroma_cacao_20110822:2:2692938:2697921:1 gene:TCM_006550 transcript:EOX97564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MMKNKRSKTSVDKAVVDVWQREVGELSTRSFAHRLAASEDLVLRLEIYKKLEKHRGCVNTVSFNADGNILVSGSDDKLVVLWDWETGHAKLSFQSGHVNNVFQAKIMPYTDDRSLVTCAADGQVRHAQILERGVKTRLLAKHQGRAHKLAIEPGSPHIFYTCGEDGLVQHIDLRTAAATELFTCLPIDDTRPVIHLNAIAIDPRNPNLFAVAGSDEYARLYDIRKYKWDGSTEFGQPTDYFCPAHLIGDEHVGITGLAFSDQSELLVSYNDEFIYLFTQDMGLGPNPVPSSPLSACSEASEMELDHSAASASAMEAGEKASPLVYKGHRNCETVKGVSFFGPKSEYVVSGSDCGRIFIWKKKSGELIRVMEADKHVVNCIEPHPHTTVLASSGIEKDIKIWTPKAIDKATLPTNIEQFKPKPRGWMYRVTTPQDLMLHLFSLQRWGSSPERNGENSSAAGGELLDLMLTFNDNSDASSDGGNASGPEDFFS >EOY01894 pep chromosome:Theobroma_cacao_20110822:2:41272920:41278494:1 gene:TCM_011686 transcript:EOY01894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin A1, ALPHA 1.2,EXPA1 MLVSFHISDQTVSHTVHFHFHGKNQQEERRQIIKPKTKISTVSEKRIKMVINPMENPIKSSHANSTPSLITSHQFSLIWFTNEHRESRFLDNMVKFAHGIANGLSHGRVNEGDGMEFGKAEEKIAKSINQLKFPLLPPHLPKNSINPPHPIPICFTQLPHIILSPLVSHTLIFMKMAFAGVFLVGFLAMVSAVHGDGGGWINAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEIKCVNDGKWCLPGSIVVTATNFCPPNNALPNNAGGWCNPPLHHFDLSQPVFQHIAQYRAGIVPVAYKRVPCTRRGGIRFTINGHSYFNLILITNVGGAGDVHAVAIKGSRTGWQPMSRNWGQNWQSNNYLNGQSLSFKVTTSDGRTVVSYNVAPAGWSFGQTFAGAQFR >EOY00314 pep chromosome:Theobroma_cacao_20110822:2:31045586:31047924:-1 gene:TCM_010145 transcript:EOY00314 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCHC-type integrase, putative MDEEVPNIKMVPIVNEFENVFQDELPSLTNAPATFMDLLNRVFRPYLDRFVVIFIDGGYVVYCDASKVGLGCVLMQNGKVIAYASRQLKRHEQNYLTHDLEMATIMFALKILHHYLYGESFEIYTDHKSLKYIFQQRDLNLRQRQWMELRKDYDCTIQYHSGKANVVADALSWMSMGSLAHLSTERRSIVRGWQSLGSIGVKIVIDGPSALIAHFRVQPMILDRIRNA >EOY00387 pep chromosome:Theobroma_cacao_20110822:2:31969194:31970806:-1 gene:TCM_010255 transcript:EOY00387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNMEIDYTQIMSKEKLEFLDILKEALTIPHKHINFIIFSLLTSFPYFGLSVFFEIVLQQTLLKTFKFFTPVPSDSFYYDWESSVALHDDWHRSGGNLSGEWIKLCLLYLAPYHLLGLLNIVMTVNAASMIYAGEKPISLRDMLVQKTRLKGPFITSIYVLLLSTCILLGLVGVVTNCYILSKEWSAGWNMALVISVLEETYGIEAFELATYFSRGSTRRGLLLMLVFVAWEIVFRLPCLFGRWSERLGAIVFTSVFTGLICVGNLMKWVVCVVYFFDCKKRILEKKVDEEVGSDGKVVNV >EOX98124 pep chromosome:Theobroma_cacao_20110822:2:4642471:4643090:1 gene:TCM_006959 transcript:EOX98124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQHQNNTTRVTISKHLTRNDVEQSLLFPVPRIFNVQEGDLVYMHVRYNFGGTCKFPCCIKKYEETGSVLAIHWFEFVRLKGLRPNDEVILVAEFSSGKKTQMQLTMELKRKITLFGKDIWGHF >EOY02102 pep chromosome:Theobroma_cacao_20110822:2:41955012:41958544:1 gene:TCM_011836 transcript:EOY02102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter 9 MKMGSLKHDWADNSQARLPLLVSFRGDEDTGSRWTYLKVTRAKIKNSWDDLKDFGRKALEMGRSDPRKVIFAMKMGLALSIVSLLIFWKGSFEDIAQYSIWAILTVIVMFEFSIGATFIKGFNRGLGTLCAGILAFCFAELSLVAGKFEEGVIVISIFVAGFCASYLRLYPTMKPYDYGFRVFVLTYCILMIAGNRTRAYTEAILTRLVLIAVGAGVCFVVNICIYPIWAGESLHNLVVKNFKDLATSLEGCVNGYLKCVEYERIPSKILTYQASDDPLYNCYRSVVQSTSQEDTLLGFAVWEPPHGRYRMRNYPWENYVKVSGAVRHCAFMVMALHGCILSEIQASPERRKVFSSELQRVGTEGAKVLRELGNKIERMEKLSPGDILQTVHEAAEQLQKKIDQKSYLLVNSESWEIRARPKELEDLKNLVDAQEDENMQLGFKSLSEAVLDVRSIPARTASLPPSETAQNMFRRWPSNLSSKAESFVKGDESKTYESASALSLATFASLLIEFVARLGNLVDSFEELSQKANFKEPVINMPTGKKRVGTWVV >EOX99799 pep chromosome:Theobroma_cacao_20110822:2:16464412:16471416:-1 gene:TCM_008737 transcript:EOX99799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 1 MSLLHVFGFGLKVGHLLWMLCCWIASMISMNWFINGEFKDAKAGLLGDSGSKMWFKCWDKISSYSFKIHHHYYQYIGSKRLGKTWWRKLLFSWVIVWTIASIWIFCYMSSQATEKRKETLASMCDERARMLQDQFNVSMNHIQAMSILISTFHHGKHPSAIDQRTFARYTERTAFERPLTSGVAYAVRVLHSEREQFEKQQGWTIKRMDTLEKNPVHKDDYNPDLLEPSPIQEEYAPVIFAQDIISHVVSIDMLSGKEDRENVLRARKSGKGVLTAPFRLLKTNRLGVILTFAVYKGDLPSNATPNERIQATDGYLGGVFDIESLVEKLLQQLASKQTILVNVLDTTNQSHPISMYGSNASDDGLEHVSHLNFGDPFRKHEMRCRFKQKPPWPWLAITTSIGILVIALLVGHIFHATVNRIAKVEDDFHEMMELKKKAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTDLDVTQLDYVRTAQASGKALVALINEVLDQAKIESGKLELEEVQFDLRAVLDDVLSLFSGKSQDKGVELAVYISDRVPEMLIGDPGRFRQIITNLMGNSIKFTEKGHILVTVHLVEEVIDSIEVETESSSKNTLSGFPVADRCVSWKGFRTFSQEGSMQPFSDSINLIVSVEDTGEGIPLEAQSRVFTRFMQVGPSISRTHGGTGIGLSISKCLVGLMKGEIGFVSIPKIGSTFTFTAVFTGGCSSSNEYKSQQINKQSNSVSSEFHGMRALLVDTRPVRAKVSRYHIQRLGIHVEVASDWNQGLSSISRGNNAIHMVLIEQEVWDRDLNSSALFISSLEKIDHGTPPKAFLLSNSISSSRANTTTSGVCNLTVIPKPLRASMLAASLQRAMGVGNKGNPRNGELPSLSLRNLLLGRKILIVDDNNVNLKVAAGALKKYGADVISAARGIEAIELLTPPHQFDACFMDIQMPEMDGFEATKKIRDMEQNINNRIQFGELSVKTYNNVFNWHVPILAMTADVIQATHEECLRCGMDGYVSKPFEAEQLYREVSRFFQ >EOY01043 pep chromosome:Theobroma_cacao_20110822:2:37070722:37076047:1 gene:TCM_010978 transcript:EOY01043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich receptor-like protein kinase 10 MKKSKSKSFFQNLIKPFMFNSGKEGQNEEDLEQIAQQEQKHFPFETLVAATKDFHPHHKLGEGGFGPVYKGKLDDGREIAVKKLSHSSNQGKKEFQNEAKLLSRVQHRNVVNLLGYCAHGTEKLLVYEYVTNESLDKLLFKSNRKEELDWKQRYDIITGIARGLLYLHEDSHNCIIHRDIKASNILLDDKWVPKIADFGMARLFPEDQTHVNTRVAGTNGYMAPEYVMHGHLSKKADVFSFGVVVLELISGQRNSSFNLNVDAQNLLDWAYKLYKKGKGLEIMDPALAPSAAPEQVAMCIQIGLLCTQSDPQLRPTMGRVVVMLSKKPGSIEEPTRPGVPGSRYRRSRRPPGFSSTLGSSGDSDSRTSESTFNTASASASASASASALASPLRSDPHGKRPMQS >EOX98662 pep chromosome:Theobroma_cacao_20110822:2:6640431:6641627:1 gene:TCM_007369 transcript:EOX98662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLAFVSQDDAYEILFNGIEGGEGDQPIVEHNVLKEIKEEQEKGMLHFHMRINTKVTYQRWGLLWRGSQPGVNSYCWDLMVEFAHETGAGRLLDEGQKERRAQGQ >EOX99792 pep chromosome:Theobroma_cacao_20110822:2:16429440:16432922:-1 gene:TCM_008728 transcript:EOX99792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSTGKRGNYTDKVVNDKMIEDPKVVKKEIAKHFAELYKKNGVLEVDVQDCDIRMLSQNAMTSFEVPITEEEVWDTICGCEGSKAPGLDGYNMNFYKKQ >EOY00602 pep chromosome:Theobroma_cacao_20110822:2:33772110:33772968:1 gene:TCM_010486 transcript:EOY00602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKQCDLFGFVGAMSNSRTGREILGKEYLITQNNSFRQSQVWGRLTQHDLVGCLRSKDIMWGTAANCKATTGIFDPVNNIWVKGYRF >EOX96835 pep chromosome:Theobroma_cacao_20110822:2:188312:194778:-1 gene:TCM_005991 transcript:EOX96835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance protein ABC transporter family MSNLLLIALPSSAAYHGFPILSSPPLPCLCYVSAVRASLLLNAIFAGVFTGASYAGPYLVPNFITYISGTGRHDEPRYYGLLLAFLFFFAKTLESLCERQWRFGANRIGIRVRAALMATIYKKSLSVRNAGAKNGQIINYINADIEKVGELVARCHEFWLLPLQVVLALIIMKKHVGWLPSIAAITATILVMVMNTPVSKLQRRWHSGIMEAKDCRMKATSEAIKSMKVSKFHGWESTFLQKLFQLRERERGWLKSYLYAQALVVCLYWSSPSVILLTTFGICTLLRRPLTSGSVLSTLATLRILQEPIYNMPELASLIAQAKISIDRLQDFLREENQDNNLNLRQPAIIIDKDIQIKKGCKVAVCGLVGSGKSSLLCSILGEIPRVSGTGTEHCLRGLLSLKTVVYATHQLELLAAADIVIVLREGRIVQIGTCRELIADPSGELALLMAAHEESLDQVNPSPQPFHSGELELGNQTEDTSIPDKNPVNNFQPEERSLEEKMETGRVSWNVYSSFITAAYKGAFVPIILLSHVLFQMLQIESNYWMAWATEEEGRVSRGRMMGMFALISFGSSVFISARAVLLSAMTLKTAQQLFVGMITSIFHAPMSFFDITPSSRMLDRFSTDQSVVDTDISYRLAGLVFAIIQLISVVFLLSLVDWKFVLLFLVIFYISMRYQAYYITTARELARMVGVQKAPVLHHFSETIAGAATIRCFDQEEQFFARILNLIDDFSCIAFHNSATIEWLCVRVNFLFNIGFFLVLVFLVSLPTLAVNPSLAGLAVAYGLSLNVLQSWVIWNLCNVENKMISVERILQFTNVQSEAPLVIEDNRPRPEWPEHGCIEFRNLQVRYGPALPIVLHGITCTFSGEKKIGIVGRTGSGKSTLIQVLFRLVEPSQGQIIIDGMDISMLGLQDLRSRLSIIPQDPTLFQGTMKHNLDPLQQHSDKEIWEARIRSPSN >EOX97481 pep chromosome:Theobroma_cacao_20110822:2:2408440:2420287:1 gene:TCM_006480 transcript:EOX97481 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein MKLKNLKQLIFSLKMRRKKRERNNILLHGSSYFSTGLNLIVWRKRHRLFSFISAVFGCFLLLLFAFSVFSSPPIATDHFLPHYSSSSSSVKEREAVESNLDAVFRVPASGGYLGRDLWSSSQSKFYYGCSNASMKFQTADVKTHPNRYLLIATSGGLNQQRTGITDAVVAAYVLNATLVIPKLDQHSYWKDTSDFAEIFNVDWFISSLSRDVEIIKELPPKGGKAWIPRSMRVPRKCNPKCYQTRLLPILNKKHAVELTKFDYRLSNRLDTDLQKLRCRVNYHALRFTDPILQMGKRLVERMRMNAEHFIALHLRFEPDMLAFSGCYYGGGDKERGELGAIRKRWKTLHVSNPEKVRRHGRCPLTPEEIGLMLRALGFGSDVHIYVASGEVYGGEETLAPLKALFPNFHSKETIATKEELAPFSSFSGRMAALDYIVCDESDVFVTNNNGNMARMLAGRRRYFGHKPTIRPNAKKLYKLFLDRNNMTWEEFASKVRAYQIGFMGEPKEMKPGRGEFHENPDSCICETEGVKTNQNHQTPEDQENDNKMKDATEVSDEQQSIEEDPDWTDIDYLDNLGGLKELSNLDSSVLTKQEQPEVEEFFSD >EOY01619 pep chromosome:Theobroma_cacao_20110822:2:40319849:40331326:1 gene:TCM_011469 transcript:EOY01619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin/receptor protein kinase family protein, putative MLEMKEPRSKERNRMVRRTMMGKRRRPTGLNSSVMASVIASPWSPNKNGANTTMPNTTASITPWVHHFASLVTLCLYVPGLSEFLSCASSTTMSLDIQDNESKMGRPILSRVSISNPGDLELLKTSACIFKIPSNSTFTVSPGPKLVRLHFYPISYPSLNISKALFNVSIGSYTLLTISKSSYSKGAFDVEHIIKEYCVPVEGHVLNILFTPSSDDSDAYGFVNMIEVVSVPPKLYIGDLLLPLIGHPKQFYSMNDTALETFYRVNVGGSPISAGHDTGSRMSRSWSGDQGHLFPNTDIVHFKEAEINFVSEVSAPKEVYSTARKTFIPDDNLTWSLPVDSGFCYLIRLHLYMPSTTMFTGGNKMVVHIYSPDHAEINLTEELGVPIYRDYLVNFSRKYHEIMFLKISIQRYKSTIYSMPILNGLEIFKLSDGSNSLAGPNPFKARNVCDTSSVDNQKFMKIVAGILTAVFALSFVFYVCFLLSHFQPRRCSFLKWNRRVTYLQPEDCPHFSLVDIKLATNNFSGALLLGSGGFGKVYKGCIIDGIDNTTVAIKRANPNSHQGVNEFQTEITTLSKLRHCHLVSLIGCCMEDDEMILVYNFMAGGTLRDHLYNTKKTPLPWKQRLKICIGAARGLHYLHTGGKQTIIHRDVKTTNILLDENWVAKVSDFGLSKIGPNMITESETHVSTLVKGSFGYLDPEYYRRQRLTEKSDVYSFGVVLFEVIFARPAVLPLVESEEEHDKVNLAEWAIHCYQMGTLDQNIDPSLLGQINPECFQTFTAVARKCLADKGSDRPSMGEVLCNLELAWQQEHKCSLLEANSLQGRANVGIGGNLPPTIDGQRCLPIGNSDPTPGAEFSEIIVPIGR >EOX97187 pep chromosome:Theobroma_cacao_20110822:2:1550189:1551423:1 gene:TCM_046710 transcript:EOX97187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 82C4, putative isoform 2 MATASATLSPVTLAAATVISPGRRTSVNVKYISGLNAFGGLKAHNNVVSLGLPVCTEQSFAKVVSSLRAPSKGKGGGALSSTCNAVGEIFRIAAIMNGLVLVGVAVGFVLLRIEASLEEAD >EOX97186 pep chromosome:Theobroma_cacao_20110822:2:1550212:1556258:1 gene:TCM_046710 transcript:EOX97186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 82C4, putative isoform 2 MATASATLSPVTLAAATVISPGRRTSVNVKYISGLNAFGGLKAHNNVVSLGLPVCTEQSFAKVVSSLRAPSKGKGGGALSSTCNAVGEIFRIAAIMNGLVLVGVAVGYLMLICMRVELGDRTLPAAAWSPSFFGKNQLIHRKLGSLADEYGPAYLISLGIHRALVVSGWEVIKECFTTYDKVFPTRPRTLAAKLMGYDHTLMGSAPYGPYWRHTRKIATVERLSNRRLELLKHVREAEINNLLKELYEESVKSGGVAVVQMREKFTDLAMNVIVKMMTGKRYGGPDGRNDEESKRCQKALNEFFYLAGLFTVSDAVPVLGWIDVLTGTISKFKRTAKELDYVLGSWVNEHRERRLAGDTKGDKDFIDVMLSILDDGKTSTKEADITIKATCQSLLLGGNDTTVLTLTWALSLLLNNRHALKKAQDELETQVGRDRQVEESDMKNLPYLHAIVKEALRLYPAAPLSPREAMEDCTVAGFHVPAGTRLIVNLWKMMRDPNVWEKPSEFVPERFLNEHAKFDVRGQDFEFVPFGSRRRMCPGATFALQILHLTLARLIHGFELGKVSDKPVDMTESPALTLPKATPLEVTVTPRLSSNLYAC >EOX98681 pep chromosome:Theobroma_cacao_20110822:2:6708073:6708987:-1 gene:TCM_007382 transcript:EOX98681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSQIGELNFYRQGIRATNNKNALQTETNGKTFQTHHSCKAEEAICTNCISNTTTVLAMLAFLSSSKSTITISNLCPLLLSDKRFSVTHGPMPNINQIFVTLLNGQEMLSLSLSHGYHTFAPVKEKVINCYTL >EOY01534 pep chromosome:Theobroma_cacao_20110822:2:39875726:39877220:-1 gene:TCM_011398 transcript:EOY01534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ileal sodium/bile acid cotransporter, putative MPPYSNLSSPTGLPFSLLSTIKEVFHRVVRILSQFRPCSDANSLILPITRSSSLPTSRAITRMRFYTASYQWRSQALDCIPLSINSLEIEMQSYQPRPPVSLGKTILSLSFQIVVALALSSSMGQTHHVLPIDIVKISMIMAFAASFSGIFLRSSYPKMANIIENIGSLIAAVGFFIMTSIFLPGNLYWVTWLACAFSLLAFFSSLGKS >EOX97847 pep chromosome:Theobroma_cacao_20110822:2:3659829:3661652:1 gene:TCM_006771 transcript:EOX97847 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0/V0 complex, subunit C protein MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >EOX97902 pep chromosome:Theobroma_cacao_20110822:2:3916597:3918264:1 gene:TCM_006815 transcript:EOX97902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLPISLPVVVKDVRLLPLGPAGRTPCSRPQLEPTHVSVMTGSYVLGSRFSTAPVRLPFSSPVVLNDYREF >EOY02212 pep chromosome:Theobroma_cacao_20110822:2:42333334:42334754:-1 gene:TCM_011910 transcript:EOY02212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGNGDGRKVDAKAKEFGLDKLRGRISRQAHIQMDLCPDCKMINTCACPDPPPGPGLFPFGTCHRGW >EOY00775 pep chromosome:Theobroma_cacao_20110822:2:35023385:35024339:1 gene:TCM_010693 transcript:EOY00775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSSRTHWKNFGRSMLHCSNCVIVYADYFNAYKTIMGNLAGFWVFKGIQGMLWLWRWIWISQLLTCTVYVACLAPVPAATQPSWSHPLGWDSSHRSKASTDNSSLPPQRLLQAIFCSSS >EOX99925 pep chromosome:Theobroma_cacao_20110822:2:18319407:18320338:-1 gene:TCM_008935 transcript:EOX99925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIGIKVIYQWFKGINRDALGWGDFRGEGIFLFQGGGTLSFWVVLLLVFCGFSLGVDVLLCPPFGWCFDSLLLQLSRGFLCLSCVPDAASSSRSCSPRRCSRVLVPLPVVHLELIMLILICSDLSTCCQLFLLQLDLGFDDQGLQGILKSLLCFWIIFVLWS >EOX97024 pep chromosome:Theobroma_cacao_20110822:2:950544:951101:-1 gene:TCM_006144 transcript:EOX97024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase superfamily MATKPGILTNWPWESLGKFKYVILAPWLVHSVHSWMTKAANERGLANFLIFPFLLTRILHNQIWISYSRHRTAKGSNNIVDEGIDFEQVDNM >EOY01506 pep chromosome:Theobroma_cacao_20110822:2:39765014:39767638:-1 gene:TCM_011377 transcript:EOY01506 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein MHVYIEFFYVVPPLKVFDIVNLSWRAEKCLFIKIYVDFVQKLEHMVSSFEFSPSRIDCHKVLRRRGHSDIISNLPDNVIESILGRLPIRDAVRTSILSRSWRYKWTALPNLVFDVIDADRSIFRLNNNASQFEDDDELVKVIYRILCQHKSPILKFRLLIQSMRSYPDVDQWINFLSRNGIREINLECLKEDRYKIHSSLFSCANLRQLRLQHCVIPSPPPTFNGFNCLTRLIVYSVTVNNEGFENLVARCPQLNQLYLICVDGLDRLNIDHAPKLQSLLFVGSLKYICLKNTPSLSHVTISLSQLPDMNENLWNNGGSISLINNLDCLSNLKSIVAGFYSLKFLAECRARKRLPSTFIHLRSIYISMLMFEDVDSLSCVVGLITSSPNLHKLVVTTCTNSAAEMETATEYLEGESRSIGGLMKLRYVVMKQISGLGPEMELMKLILAKSPSLKQMKIEPDKTVDISSESRILKDLVRFPRASRIAEIIYQNQDHSPAD >EOY02115 pep chromosome:Theobroma_cacao_20110822:2:42004641:42010865:-1 gene:TCM_011849 transcript:EOY02115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein MGFFFWVLFAGFFFTAPFVGCSRSLPQSHLPTTVLDVAEALEKTRNVFSFDPTKKPAFSPVDQSLSASSSSLLSLQVYSRASVHKSSHLDYKSLTLSRLKRDSGRVRSLTTRLDLAVNGISRSDLEPLDIGSEFSAEEMEGPIVSGSSQGSGEYFSRVGIGKPPSQVYMVLDTGSDVNWVQCAPCADCYQQADPIFEPSSSSTYSPLSCETQQCKYLDTSECRNDTCLYEVSYGDGSYTVGDFVTETITLGSASVDNVAIGCGHNNEGLFVGAAGLLGLGGGPLSFSSQLNASSFSYCLVDRDSDSASTLEFDSALPPNAVKAPLLRNHQLDTFYYLGLTGISVGGELLPIPQSAFQMDESGNGGTIIDSGTAVTRLQSDTYDILRDAFVKGTKNLPSTDSVALFDTCYDLSKRSSVDVPTVSFHFPEGQVLPLPAKNYLIPVDSEGTFCFAFAPTSSSLSIIGNVQQQGTRVGFDLGNSLVEFVPDKC >EOX98090 pep chromosome:Theobroma_cacao_20110822:2:4526264:4532235:1 gene:TCM_006932 transcript:EOX98090 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 11, putative isoform 2 MRLAKLDELRQAAKTGVEMRFKKEREKLGTKVESRFQQAEANRMLILKAYSQRRATIKERLSQSLSRRMARESKYKERVRAAIHQKRAAAEKKRLGLLEAEKKKARARFLQVRRVAKSVCHQREVERSRMRDQLEDRLQRAKRQRAEYLRQRGRPHKSVQVNWNRMHRQADLLSRKLARCWRRFLRQRKTTLDLAKAFDALKINENSIKSMPFEQLALLIESITTLQTVKALLDRIESRVKASRVVSATDHLSSLDNIDHLLKRVATPTKKSTTPRTSMRGREAKKVVSVREAAKSLAKLSRYPVRVALCAYMILGHPEAVFSGQGEREIALAKSAEAFVREFELLIKIILEGPIQSSDEESDSALPKRLTFRSQLTSFDKAWCSYLNCFVVWKVKDAQSLEEDLVRAACQLELSMIQKCKLTPEGDNTALTHDMKAIQRQVTEDQKLLREKVLHLSGDAGIERMECALSQTRAKFFQARESGSPMGSPITPFLSPNTHGSPSSSARTDNRSDLTQMPNRVVRSLFKEDGTSPSKNSGSSVPSSSHSDAQLGTYIEKQRVTENELIVHEFFHEQLGFVDSFSVTDEDQISIKAKIRETMEKAFWDGITESMRQDEPNYDRVIELVREVRDEICEMAPQSWREEITDAIDLEILSQVLKSGNLDIDYLGRILEFALITLQKLSSPANDDEMKAANQSLLKELAEICEAREKPNNSPALAMIKGLRFVLEQIQNLKREISKAHIRMMEPLLKGPAGLDYLRKAFANRYGSSSDAYTSLPLTMRWLSSVRNCKDQEWGEHQNSLSTLKAQDSSSQGLLTSITLKTGGSYNSENASQKTFINPNASARSVTGQQPECKGEIVDILLRLGLLKLVSGVSGLTPDALPETFMLNLSRLRGVQAEIQKIIVISQSYLSANPLERGSSI >EOX98089 pep chromosome:Theobroma_cacao_20110822:2:4523008:4532523:1 gene:TCM_006932 transcript:EOX98089 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 11, putative isoform 2 MMMETPESGRAVALEFPASETPSFSRVPRRIRKRLLAECKTPCTVEEIEAKLRHADLRRQQFYESVSSKARSKPRSPSRSSSHEEDLGQRLEARLQAAEQKRLSILAKAQMRLAKLDELRQAAKTGVEMRFKKEREKLGTKVESRFQQAEANRMLILKAYSQRRATIKERLSQSLSRRMARESKYKERVRAAIHQKRAAAEKKRLGLLEAEKKKARARFLQVRRVAKSVCHQREVERSRMRDQLEDRLQRAKRQRAEYLRQRGRPHKSVQVNWNRMHRQADLLSRKLARCWRRFLRQRKTTLDLAKAFDALKINENSIKSMPFEQLALLIESITTLQTVKALLDRIESRVKASRVVSATDHLSSLDNIDHLLKRVATPTKKSTTPRTSMRGREAKKVVSVREAAKSLAKLSRYPVRVALCAYMILGHPEAVFSGQGEREIALAKSAEAFVREFELLIKIILEGPIQSSDEESDSALPKRLTFRSQLTSFDKAWCSYLNCFVVWKVKDAQSLEEDLVRAACQLELSMIQKCKLTPEGDNTALTHDMKAIQRQVTEDQKLLREKVLHLSGDAGIERMECALSQTRAKFFQARESGSPMGSPITPFLSPNTHGSPSSSARTDNRSDLTQMPNRVVRSLFKEDGTSPSKNSGSSVPSSSHSDAQLGTYIEKQRVTENELIVHEFFHEQLGFVDSFSVTDEDQISIKAKIRETMEKAFWDGITESMRQDEPNYDRVIELVREVRDEICEMAPQSWREEITDAIDLEILSQVLKSGNLDIDYLGRILEFALITLQKLSSPANDDEMKAANQSLLKELAEICEAREKPNNSPALAMIKGLRFVLEQIQNLKREISKAHIRMMEPLLKGPAGLDYLRKAFANRYGSSSDAYTSLPLTMRWLSSVRNCKDQEWGEHQNSLSTLKAQDSSSQGLLTSITLKTGGSYNSENASQKTFINPNASARSVTGQQPECKGEIVDILLRLGLLKLVSGVSGLTPDALPETFMLNLSRLRGVQAEIQKIIVISTSILICRQILLSEEVVSSPTDMESIISKCTEQLLVLLDHVEDVGIEGIVEIISGFSRDGDQVADTEKLQMRKVMMGRMLAKGLQAGDAVFERVSRAVYLAFRGIVLGGSSSHGRKLAEIALRQVGAGSLTERVVKAAEVVVVAATVSMGVHGPWYTNLIGNM >EOX98325 pep chromosome:Theobroma_cacao_20110822:2:5369336:5372724:1 gene:TCM_007113 transcript:EOX98325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Granulin repeat cysteine protease family protein MRILVQHGQHIVTGIHVANFNLPERQSNPCVKFPYKSYFWSERNYLKPRTSPNIGWATLSHDQAVTDTNSKLQLQRSFPVSFFSYKYQQINPLSLINVSSPILARTETMALLKKPSRIFFVMLFFSLTLSSALDMSIIDYDLKHGGQQQKRTETQIRRMYETWLVKHGKAYNGLGEKEKRFEIFKDNLKFIEEHNSVNGTYKVGLNRFADLTNEEYKAMYLGARLDGKTVSHRLAGKEKSQRYVFRVGDKLPESVDWREKGAVVAVKDQGQCGSCWAFSTVAAVEGINQIVTGDLISLSEQELVDCDRLYNQGCNGGLMDNAFDFITKNGGIDTEEDYPYRASDNTCDPNRKNARVVSIDGYEDVPENDENSLKKAVAHQPVSVAIEAGGRPFQLYHSGVFTGHCGTNLDHGVVAVGYGTEDGVDYWTVKNSWGPDWGENGYIRMERNVAGTSTGKCGIATMASYPIKKGQNPPKPSPSPPSPVKPPTVCDDYYSCPEGSTCCCLYEFGKFCFGWGCCPLESATCCDDHYSCCPQEYPICDLATGTCRMSKDNPLGVKLLRRGPATSTRPQARTRISRA >EOY02185 pep chromosome:Theobroma_cacao_20110822:2:42246570:42250890:1 gene:TCM_011893 transcript:EOY02185 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein MMPPEQETSNITIHNSPASIILTNRTENNVSVHAEPSASSINVVPCSQEPIPAHQQQEQQTPLRISVLRESLRPVTLKFEEVAYSIYSNTTARRCLSSQEPKPRRSVLNGVSGVVRPGELLAMLGPSGSGKTTLLTALGGRLTGKLSGTITYNGRPFSSSMKRKTGFVSQDDVLYPHLTVLETLTYAALLKLPKELTREEKIEQAELIIVELGLVRCRNNIVGGPLLRGVSGGERKRVSIGLEMLVNPSLLLLDEPTSGLDSTTAQRIVATLRRLARGGRTVITTIHQPSSRLYRMFDKVLVLYEGCPIYCGRADQAMEYFSSIGFTPGFNFLNPADFLLDLANGIAPDVKPDEQLEFHGRVDHHDDQNSTKHYLILSYKKNIFPVLKADIDRNLHDSSLSTVISSKSRCEVRWNTTWWEQFKVLLRRGLQERKHESYSCLRIFQVMSVSILSGLLWWHSDVSHIQDQVGLLFFFSIFWGFFPLFNAIFAFPQERPMLIKERASGMYRLSSYYFARTAGDLPMELVLPTIFVTVTYWMGGLKPSLVTFVLTLLIVLFNVLVSQGLGLALGAILMDVKQGTTLASVTMLVFLLAGGYYIQHIPLFIAWLKYISFSHFCYKLLVGVQYSVNEVYECDIGMHCKIMDFPAIKYLGLDNKLWDVAALTIMLVGYRLLAYVALRIGQPH >EOX96841 pep chromosome:Theobroma_cacao_20110822:2:207468:209864:-1 gene:TCM_005996 transcript:EOX96841 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyltransferase, putative MNKFHVVFISAPGIGNFVPTVEFARHLNNHDPRFIVTILMIEIAERPIVNAYIQSCVATHTSINFINLPPVDPPSPDEYQTSLGYICLLIAKHKLHVKNAIEDLMSTESPSDSDMVDVRVAGLVVDMFCTSMIDVAKELGIPCYLYFTSPVSFLGFMLYFPTLDGIFTTEFVDSDSGLMVPKDSAAANTSELTIPGFANPLPHLMLPNRVLYRKQEGYFWFLHHARRYKETKGMVVNTFRELEPYAIDSVSNGNGDWPPIYPIGPVLDLVGPAQWHPERAQHDSIVQWLDNQPPSSVVFLCFGSMGSLSGAQLREIAVGLERSGFRFLWSIREPPKRELDLPGEYKNGEEIEMLPQGFVDRSRSAGIGLVCGWVPQAVILGHQAIGGFVSHCGWNSILESIWYGVPIATWPLYAEQQMNAFQLVKELELAVEIRLDYREGSDLVSPEELERALRRLMKGNDEVRRKMREMKQKSRAALMPNGSSYKSLASLIEELTAGT >EOY01632 pep chromosome:Theobroma_cacao_20110822:2:40378846:40380899:-1 gene:TCM_011479 transcript:EOY01632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKIVKQKTEVLPNPTHVFSFRFLAFFFLQAAAQWSYRQIKNPTTQTNNLTLNYTKITCLVPRKRNCLSINVLTYKCLFFNFNQSVVIFIRKSFHIEIA >EOX98852 pep chromosome:Theobroma_cacao_20110822:2:7502269:7505623:1 gene:TCM_007529 transcript:EOX98852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein MGALLSLNSSRAGMSEPFEVSQNETCKRQKLSSCFCEENPRLIPSLPDEISYQILARIPRIYYLNMRLVSRAWKAAIMNTELFNIRKELGTTEEWLYILTKVEGDKLLWYALDPLSRRWQRLPLMPNVSIEDGSRKGLASLRMWNVVGSSIKIADVIRGWLGRKDALDRMPFCGCAISAVDGCLYVLGGFSRASALRCVWQYNPVLNLWSEISPMSIGRAYCKTGILCNKLYVVGGVTRGRGGLTPLQSAEVFDPHTGIWSQIPSMPFSKAQVLPTVFLADLLKPIATGMTSYRGRLFVPQSLYCWPFFVDVGGEVYDPEVNSWVEMPVGMGEGWPARQAGTKLSVTVDGELYALDPSSSPESARIKVYDHQDDAWKVAVGEVPIPHVTESESPCLLAGLLGKLHVITRDANNISILQTDVQNHLTSLPSTTSGDSSCVHAMSAESAPAIGTNLWRVIATRMAESSELVSCQTLNI >EOX99900 pep chromosome:Theobroma_cacao_20110822:2:17988906:17990464:1 gene:TCM_008894 transcript:EOX99900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVCMMMYVYTNIHIYIYICYRKFMKIIVIVLCVGIVNCSQIAFHVNKNMAFDVIRLISIKMLCFSLQRDSFSLQQETLGFWGSHWPDSRCNEKLSASGVVLSLR >EOX98569 pep chromosome:Theobroma_cacao_20110822:2:6217170:6220374:-1 gene:TCM_007294 transcript:EOX98569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase family protein MMGGRREGPLMRNASQSAVKSRILMAIATGISLGCVLAFLYPHGFFSSSAPTFHSRRTQVPSTKCESSEKLNELKTEYAAASIRNAELRQQVKKLTEKLRLSEQEKDHAQKQVEALGEQHKAGSFGTVKGLITNPTVIPDESVNPRLAKFLENVAVQRELIVALANWNVKDSLEIWFTSIKRVGIHNYLVVALDDKIAEFCESNDVPVYKRDPDEGIDSIGRAGTNHAVSGLKFRILREFLQLGYNVLLSDVDIVYLQNPFNYLYRDSDVESMTDGHNNMTAYGFDDVFDEPTMGWARYAHTIRLWVYNSGFFYIRPTIPSIELLDRVASRLAREPKSWDQAVFNEELFFPSHPGYEGLHAARRTMDFYLFMNSKVLFKTVRKDARLSKFKPVIIHVNYHPNKLERMKAIVEYYGNGNQDALKAFPDGSDW >EOY00004 pep chromosome:Theobroma_cacao_20110822:2:22311514:22314650:1 gene:TCM_009279 transcript:EOY00004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRISKVMVRGLLRPSELCSSDPCASHNPFRKWDVTQSHHPKSLNGWHQSTIGENHKQHFYHRPCLPPSLQV >EOX97010 pep chromosome:Theobroma_cacao_20110822:2:899262:901913:-1 gene:TCM_006136 transcript:EOX97010 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase 1 MAANSTTIPLLIPYKMGNFNLSHRVVLAPLTRNRSYNNVPQPHAILYYSQRTTNGGFLIAEATGVSNTAQGYPDTPGIWTKEQVEAWKPIVEAVHEKGGIFFCQIWHVGRASSYAFQPDGQAPISCTDKGVTPGLDGMDWSPPRRLRTDEVPGIVNDFRLAARNAIEAGFDGVEIHGANGYLLDQFMKDQVNDRTDEYGGSLKKRCRCPLDIVEAVVTEVGADRVGMRLSPFASYMEAADSNPEALGLYMANAVNELGILYLHVIEPRVIKINDKYETPHSLLPMRKAFKGTFIAAGGYNREDGNKAVADNYSDLVAFGRLFLANPDLPRRFELNAPLNKYNRNTFYIPDPVIGYTDYPFLEHSS >EOY00562 pep chromosome:Theobroma_cacao_20110822:2:33560067:33591230:1 gene:TCM_010459 transcript:EOY00562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLEGASFLKEKTKNRWCCFGHRRRQHQCTQTETDIAQEPTRGNFSKMASCCCKISPIIPTFVFFLLVSSSICPVYASETGNLHASNQTFRPEEELQKLTIIRKRLKKINKPAVKTIQSPDGDFIDCVLLHHQPAFDHPQLKGQKPLDPPERPSGHNPNGMAAEDFQLWSMSGESCPEGTIPIRRTTEQDMLRASSVRRFGRKPRRRVRRDSTSNGHEHAVGYVSGDQYYGAKASINVWAPRVSNQYEFSLSQLWVISGSFGDDLNTIEAGWQVSPELYGDNYARFFTYWTTDAYQATGCYNLLCSGFVQTNNRIAIGAAISPTSSYNGGQFDISLLVWKDPKHGNWWLEFGSGILVGYWPSFLFTHLRDHASMVQFGGEIVNSRPGGFHTSTEMGSGHFAREGFGKASYFRNLQVVDWDNNLIPLANLRVLADHPNCYDIQGGINRVWGNYFYYGGPGRNVRCP >EOX97181 pep chromosome:Theobroma_cacao_20110822:2:1523907:1524522:-1 gene:TCM_006275 transcript:EOX97181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIFEKTIDGDDINQLTITDRFNAEPFPSAASGGAMTVKDEQGLLWTFKYKVKSRNKRVLSGHWVHFVRNNRVRVGDRVAISNNDGWSSEAEYKIEVLRGF >EOX97257 pep chromosome:Theobroma_cacao_20110822:2:1738608:1739363:1 gene:TCM_006336 transcript:EOX97257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLCNIQRKIIKHSRDHFEGEKSRAMVWLIRALALDVSNRIIAANNKNPTILTLSSAAPKVNRFAPDNLSVVQAVITTAIARVGGVVIVRLLASPHFQGVDAVEVAIAFSEVLTVGSI >EOY01103 pep chromosome:Theobroma_cacao_20110822:2:37663678:37676041:-1 gene:TCM_011055 transcript:EOY01103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor 73 kDa subunit-II MAIDCLVLGAGQEVGKSCVVVSINGKRIMFDCGMHMGYTDSRRYPDFSLISKTGDFDNALTCVIITHFHLDHIGALPYFTEVCGYRGPVYMTYPTKALAPLMLEDYRKNMDRRGEDGQFTSDHITECMKKVIPVDLKQTVQVDKDLQIRAYYAGHVLGAAMFYAKVGDAAMVYTGDYNMTPDRHLGAAQIDRLQLDLLITESTYATTIRDSRYGREREFLKAVHNCVAAGGKVLIPTFALGRAQELCILLEDYWERMNLKVPIYFSSGLTIQANMYYKMLINWTSQKIKETYATHNAFDFKNVQNFDRSLINAPGPCVLFATPGMISGGFSLEVFMQWAPSEINLITLPGYCVAGTIGHKLMSGKPTKIDLDKDTQVDVRCQIHQLSFSPHTDAKGIMDLVKFLSPKHAILVHGEKPKMATLKERIQSELGIQCYCPANNDTVTIPTTHYVKADASDAFIKSCLNPNFKFSKSSSVDKSYSGSNDSKAIPGLQVSDERVAEGILVVEKGKKAKVIHQDELLHMLGENKHEVQFAYCFPMRTERLEKTRSEDLPSADDLLCGLDKCTLISLLSTKLSNELSDGNIQDLGEQLQVESFCLSICLKDNCPHRISDSLQNDSEVVFFCCSWSVADEMLAWKIISIMKNYTLPLEGRLQVRI >EOX97214 pep chromosome:Theobroma_cacao_20110822:2:1639635:1641268:1 gene:TCM_006305 transcript:EOX97214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein, expressed, putative MNEVPGSVGTSAGFSLRLAQALFSSASLLFMSLGVEFYSYTAFCYLVTIMGLVIPWSFTLALVDGYSVLVKCPVRQPGILLIIAAGDWVLSVLTLAAACSTASVVDLLLHSGGPYCPPKCCSRYQLSAAMAFLTWFLSLASALLNLWVLPSL >EOX97796 pep chromosome:Theobroma_cacao_20110822:2:3492116:3496820:-1 gene:TCM_006729 transcript:EOX97796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein MRWEISILVQPRCLLLFIVLSIFVIFSLSGTKREEEATVEEEHEITHRVYLDVDIDGQRVGRIIIGLYGEVVPKTVENFRALCTGEKGKGAREVSLYMVAPFLMRTFKKKNSHAGVVSMANTGPDSNGSQFFITTVKASWLDGEHVVFGKVIQGMDIVYMIEGGAGTYSGKPRKKVIIADSGEIPKSKWDEEN >EOX97722 pep chromosome:Theobroma_cacao_20110822:2:3198552:3199399:1 gene:TCM_006659 transcript:EOX97722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGRGGERCTDPSALHEYSKRFFNGRKKNFLCLTWIDACTLTESVSVSCGRPLLLSCPVANSKFSISRPYKISQVLGGTKKS >EOX99899 pep chromosome:Theobroma_cacao_20110822:2:17946439:17948224:-1 gene:TCM_008890 transcript:EOX99899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCNSANAKERLVLKIKMCFTCKYHNNLGGLSWMPRPRKGKKLICKPCGVLIGIPGNECQSGRRGGCHGSEGGSGS >EOY00240 pep chromosome:Theobroma_cacao_20110822:2:30392277:30393695:1 gene:TCM_010068 transcript:EOY00240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase-like 20 MKLKRSFITLLPFISLIFLPSLVSSQACQRSCGNLPIKYPFGTGPGCGDARFQQYVTCDQQKLTLTTHTGNYPITNIDYSNQVIYISDPSMSTCACSQPSKGFGLDWDAPFSFTDDNVFTLLDCSTTSSPIFRSNSYNVDNSSAVPLCDKQGAPICSYLYSCRAISILNLPISTCCVYTPVDLGPSFELNLQKLQCSSYSGFYSFSGQESNPDNWKYGISLKYKFNVYNDYPNSCADCEKSDGACGYTGTYNSFICNCPNGINTTSTCFFVSSFSNGLRLLPLQTGTLFIYSLAWILAMVLL >EOY00200 pep chromosome:Theobroma_cacao_20110822:2:29292310:29296374:-1 gene:TCM_009957 transcript:EOY00200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein isoform 2 MAVEVNQSSKETIIEQREKEEEQLWSWGAGTDGQLGTARLQDEHLPQLLNLPSLSSAGPVSILACGGAHVVALTYGGKVLTWGRGNSGQLGHGEMVNTLLAPKPVMSLESYFITQVSAGWSHSGFVSDEGCAFTCGDGSFGQLGHGDYRSHCSPVKVSFFVNKHVEQIACGMRHSLVLLKDNSGNQLYGFGSGKRGQLGVPMDRIKSINTPQFTCGFDDVKIISIGANGDHSAALSAEGQLYIWGRGFGATSDFLIPQHIPSPLLSKAVLGWNHALILSDDGEVYMLGGSHHGVLSNPEKMNPSKHLSGNPFGKLKTRINLINYIASIRVDDHVYTLKLPQHKYNLFCFGETGAAVLERVPGLDGMKVVQIAAGAEHSAIITEDGVIKTWGWGEHGQLGLGSTCDQNIPQTVSLGHDIEHNDATFRVYCGSGFTYAIRTLCPPP >EOY00201 pep chromosome:Theobroma_cacao_20110822:2:29292215:29296272:-1 gene:TCM_009957 transcript:EOY00201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein isoform 2 MAVEVNQSSKETIIEQREKEEEQLWSWGAGTDGQLGTARLQDEHLPQLLNLPSLSSAGPVSILACGGAHVVALTYGGKVLTWGRGNSGQLGHGEMVNTLLAPKPVMSLESYFITQVSAGWSHSGFVSDEGCAFTCGDGSFGQLGHGDYRSHCSPVKVSFFVNKHVEQIACGMRHSLVLLKDNSGNQLYGFGSGKRGQLGVPMDRIKSINTPQFTCGFDDVKIISIGANGDHSAALSAEGQLYIWGRGFGATSDFLIPQHIPSPLLSKAVLGWNHALILSDDGEVYMLGGSHHGVLSNPEKMNPSKHLSGAAVLERVPGLDGMKVVQIAAGAEHSAIITEDGVIKTWGWGEHGQLGLGSTCDQNIPQTVSLGHDIEHNDATFRVYCGSGFTYAIRTLCPPP >EOX99810 pep chromosome:Theobroma_cacao_20110822:2:16785708:16790015:-1 gene:TCM_008765 transcript:EOX99810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYGVMRKFLLFSLKKKGKMRILCWNCGGLGNPRTILMLLKYLRSQNADVAFFMETKKNSTEMERLWILFGMDGCLLMDVIGRLRGLALMWRRDVDIVVVSIQKTILIQRFSEKEGGKDRRESQMREFREVSDNCELEGLGFRGPKFTWWNNREEEAFIKCRLDKALRSDTWHELFPRAAIFNESPGASDHFAERLDLFHLQRVRWKRRLRYEEAWLYDDDCKRMIVEVLSNNDGSHRSLMKKLGLVKEMIWRCLTSVSYFVLGINLCRGELNITHLLFANSGMIFGRARGQEVCASKAIFMKYEKALGQKINMEKSALLFSRNIAEEDRQMVKRILGLYDVQWGGMYLGMPLIAGGSKKQIFNVVKGKIAKKITNWRNRLLLVVGREILIKAVAQAIPAYVMSCFKLSDSTCQEIDSVIAQFWAGDCKWKRQLLRLWFLKQDISQQPILRMLQLDLILATCGEALKRVKGLIRRVGDGKEISVSRGNWLPCDSPRPIMSFKCAVEDDLWVSKLIIENTVTWNVEKLNNIFLPCERDLVLSIPLSFRRSRDRQVWFFNNHGRYTVQSGYRLIQATSLNNVVDCSNDVNKAHGSLSLQQTRIVTWCLPRVCKMNVDAALVGKKTGAGFVCCKREGLTIPELELDNLIVVNWIKEKKVNGVLGNIVGDCIVLMHEVGCESIQYCPRVCNNVAHLIAKGVKEMVEEAVVWRKIEDVFSIVQDAVLRDRRSSN >EOX96957 pep chromosome:Theobroma_cacao_20110822:2:692194:710714:1 gene:TCM_006083 transcript:EOX96957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 13 MAIEPLVWYCQPQVNEAWAKEVDGAFGAYTPCAIDSVVISISHLVLLGLCCYRIWMMKKNSKVERFYLRSKCYNYVLGLLAGYCTIEPLLRLLMDISIFNLNGETGVAPYEATSLIIEATAWCSVLIMIGLETKSYIKEFRWYVRFGVVYVLVGDAVLLNRVLPMTDLYSSYALYLSISLVFCQSESLDDEEYEPLRGGEQICPERQANIFSRIVFGWITPLMRQGHRRPITERDVWKLDTWDQTETLIQKFHRCWVKETQRPKPWLLRALNSSLGGRFWLGGVFKIGTDLCQFAGPMLLNHLLQSMQRGDPAWIGYIYAFLMFLGVLSVVLLESQYMQNVWRVGFRLRSTLVAAIFHKSLRLTHEARKNFPSGKITNMITTDANALQQICQQLHVLWSAPFRIIISMVLLYQQLGVASLLGALMLVLMVPLQTIVISKMRKMTKQGLQWTDRRVSLMNEILAAMDTVKFYAWEKSFQSRVDSIRNDELSWLRRAQLLSAFNSFILNSIPVVVTVVSFGTFTLLGGGLTPARAFTSLSLFALLRMPLNTLPNLLSQVVNANISLQRLEELFLAEERVLVPNPPLQPGLPAISIKDGNFSWDSKAEKPTLANINLDVPVGSLVAIVGGTGEGKTSLISAMLGELPCDANSSVVIRGTVAYVPQVSWIFNATVRNNILFGSSFQPERYWKTIDVTALWHDLDILPDHDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVAQQVFNRCIKEELLGKTRILVTNQLHFLPHVDRILLVSDGVIKEEGTFEEISKNGRLFQKLMENAGKMEKQGEGKEDGKNSNLEDLNATSNEMVEIDELLKNANPAKKRKGRKAVLVKQEEKETGVVSWNVLMRYKDALGGLWVVMILFTFYFSTEVLRISSSTWLRFWTDQSTSKSYKPEYYILIYAVLGFSQVTVTLTNSFWLITSSLRAARTLHDMMLNSILRAPMLFFQTNPIGRVINRFSRDLGDIDRNVANFMNMFMNQVWQLLSTFALIGLVNTFSLWAIMPLLILFYAAYLYYQSTSREVKRLDSITRSPVYAQFGEALNGLSSIRAYKAYDRVANINGKSMDNNIKFTLANSSSNRWLTIRLEALGGLMIWLTATFAVLQNGRAEDQAAFASAMGLLLSYTLNITSLLSGVLRQASRAENSLNAVERVGTYINLPAEAPDVIESHCPPAGWPSSGSIQFEDVVLRYRPELPPVLCGLSFSTSPSEKLGIVGRTGAGKSSMLNALFRIVELEKGRILIDGCDLATFGLTDLRKVLSIIPQSPVLFSGTVRLNLDPFNEHNDADLWEALERAHLKDFIRRNSFGLDAEVLEGGENFSVGQRQLLCLARALLRRSKILVLDEATAAVDVKTDAFIQKTIREEFKSCTMLIIAHRLNTIIDCDRILVLDAGQVSEHDTPVELLTNEESTFSKWFKVQGLQMLNTYVA >EOX98739 pep chromosome:Theobroma_cacao_20110822:2:6933543:6951875:1 gene:TCM_007432 transcript:EOX98739 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown complex protein 11 MYMSAFIQVFERERLNGHYGVTAFVFGNTFSALPFVALIALIPGIITYFLPGLHKGFESFFFVLTLFACMLLVESLMMIVASIVPNFQMGIIAGTGIQGLMVLVDGFFRLPTDLRKPMLKYPLYHIPFRKYAYQGLFKNEFEGLTFPNIQAGGPPSLTGEEILKNTWNVKMAYSKWVDLAILLAMVVLYRFLFLIINKIEGSYSACLSRHDVVDKLTMTLLLIPLFSSIIESPQGRPEIQAAFGVETINPMPGTNTGVSNRFSEDGVSLTWEDLWVTVPGGKNGSRSILQGLTGYARPGELLAIMGPSGCGKSSLLDTLAGRLGPNTRQAGDILINGRKQALAYGTSAYVTQDDSLITTLTVREAVYYSAQLQLPDTISMSEKKERAEMTITEMGLKDAMNTRIGGWGAKGLSGGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYYVMSRIASLNQKDGIRRTIIASIHQPSSEVFQLFHNLYLLSAGKTVYFGPVSAANEFFALNGFPCPNLQNPSDHFLKTINKDFEKDIEQGFANGIPAEEVINILVKSYKSSDVYQTVQREVAQICKQDARALEKNESHAGFFTQCHVLTKRSFINMYRDLGYYWLRLGVYIALAVVLATVFSHIGMSYGSIQARGSLIMFVASFLTFMAIGGFPSFVEEMKVFERERLNGHYGVTAFVIGNTLSALPFLALVALIPGSITYFLPGLHKGYENFLFFVLTLFACMMLVESLMMIVASMVPNYLMGIIAGAGIQGLMILVGGFFRLPADLPKPILKYPLYYIAFHKYAYQGLFKNEFEGLTFPISQAGGPPSLTGEEILKMTWNVEMAYSKWVDLAILLAMVVLYRFLFLIIIKATEKVKPMIAKLKSAALKEREQVMVNPSATPLYEENMQPVTVKS >EOX97721 pep chromosome:Theobroma_cacao_20110822:2:3195464:3197258:-1 gene:TCM_006658 transcript:EOX97721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRAARICLFTSLRSVPRVSVASLMVKRSSSLSSLLMVVVPRLLMLLAPTGTLFVAPRDPDAVAVAAAAEVMVVVDMEAVGLVVMEAAATVVVVAEGEVVMAVAVAVVMVVAVVVALSVGRWDIWQGIVDKVAVVAEGDTVEEEAAVVQGLVITVAALGISQGSVRTMVVKAERGLLCLFTIPLTLPFSLRCVGTDCRGLLLFFLYI >EOX97640 pep chromosome:Theobroma_cacao_20110822:2:2949848:2952909:-1 gene:TCM_006611 transcript:EOX97640 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-affinity nickel-transport family protein MERLLYSSSPTALKISPRPSPFLSRLGRFDSPKVNFLPSLTQPQLRRVISISCKTDNPSQFSSPQSNPSNELPSLLSPRLDSANGSTPSFNFLKLIAIGASAQRKASHGSAVVICFVMHCIAVNIAITAGTFIALSAIVMFLIQPIFAPAAFATLQTAAKTSGTAAAAVGGRVIRGELLSSAWTGFFAGCLHTLSGPDHLAALAPLSIGRTRMESAVVGALWGCGHDAGQVIFGLLFLLLKDRLHVEVIRTWGTRVVGLTLLAIGAMGIREASEVPAPCVALENVECDVSVYETLENPAVGKKKLGFATFATGIIHGLQPDALMMVLPALALPSRLAGAAFLIMFLLGTVVAMGCYTVFIGSCSQALKDRVPRITEKLTWASSLVAIALGIAILVSQFFGYSLY >EOX98845 pep chromosome:Theobroma_cacao_20110822:2:7474096:7489600:1 gene:TCM_007524 transcript:EOX98845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative MAPPKFSLALTIAILSVWAASAAHHHAAAPSPSSSSVDCSSLILNMADCLSFVSSGSEVSKPEGSCCSGLKTVLKTDAECLCEAFKSSASLGVTLNVTKAMTLPAVCKVSAPSATNCAISLAPAGAPGATAGAPTTFQGANEVAPAPAPGSSGSPVLSVSIGSLVLGLIFMLVSGY >EOY01129 pep chromosome:Theobroma_cacao_20110822:2:37778814:37787941:1 gene:TCM_011071 transcript:EOY01129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDYDTRLCLECMTTCQQLHAEVITECITCSSTTLYVVPLFDIRSLNPVKYTHSFPKNIHIKKFRLYLKRISGVAQQGGISNPLCGPLEQNVKIGSLGRFDIQALAASGQIPPQTLAALHAELLGRLTAFPNTSHNPFRRLQKPKQQQQQSTVPEPSRSINVQPCCLVVPSQSSAGFQSGNSGVSVNQNGSFSRTPVNDYSLLSSQSNNSSLNIGQVSDEDLQTTGVRTQTSSMTFKASRHLPGFVHSTRDAQGPYGGTKSGEVLDQAHFLDLGYFNKEACLPTWFAVDEFQSPMSSSSSRGKVFAEDISTRVKQEPKARNQHLTSKKLIAGMKKEMQLLMILKCFVGIVKFWKITIWNLVWKLNHSKTDKLKRARKGKATFQERSMLEQLDVGKR >EOX98804 pep chromosome:Theobroma_cacao_20110822:2:7288915:7295710:1 gene:TCM_007490 transcript:EOX98804 gene_biotype:protein_coding transcript_biotype:protein_coding description:CD2-binding protein-related, putative MEEKPSSSHRKRQFFEDEDSDKPPAQKRVRFPKGKKVKPGEEPVNRVDVEDGSGDLKDPRLAAKERAKHRSQITTELFTADGQGMLNDVSAAEVAYEDNENFVDDGIQIEPFNLNKEREEGYFDADGNFVEYVNDNEIKDAWLDSVEADIKYTGKTSATTNDEDDNEVVTQDLSSQDIGIMKRRIANVLEPGETVLRALRRLKGTSNNRKEKMSAETKHVFDQLTEDAMKLMENGDYNVYHEKQEVFQREAEGYEKLALARGKSLAVNVGLENSGPNLGIDTLTDINNPGVTSSILPYSAVGTSNSNLTAADVSSNTADSYDMFADDEDDENLKPSSEPNSNAVIQASYEAVYTSSETGDVQNDYVYDVSSGYYYSSTLGYYYDPSTGLYCSAASGQWYSFNEATGTYDEVKEVASSTN >EOY01536 pep chromosome:Theobroma_cacao_20110822:2:39897862:39987048:1 gene:TCM_011404 transcript:EOY01536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTFVIHSNKMCHDPELPIGPVTTAARPRQTFFTPNVDRNLARLSYQLRTSPKPQHHQEQQQQIAQDNMKKASVSMKFFIFLLFASSMVFSMVPGAQGQPCTTVADCKDLFCIDKTLECLDGRCQCVPTFGTKISCSKDFDCNKEV >EOY01719 pep chromosome:Theobroma_cacao_20110822:2:40720457:40720967:1 gene:TCM_011556 transcript:EOY01719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTMPKMTTPIVENFQNTTDISKLLLSPGNKINPSFVISKTLTRRALLLHCFTLTVKRNGFTNGDLDPNPDSIPAKPNQIHFHIPFPLSGTPTRRVD >EOY00798 pep chromosome:Theobroma_cacao_20110822:2:35213686:35216007:1 gene:TCM_010723 transcript:EOY00798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLEVEVISEEIIKPSSPTPHQFCHYQLSSLDQLAPQVYNHLVLFFPTTSDVQIDKIKNTLDHLKLSFLQNPVPRELNKLFPFALNDAVELPMGIQFNTFDFGGIGIGLCISHKIGDALSYFTFLNTWAAIARGDQKNVVSPEFLSAVLFPPRIMSSLPEPEMRDSIRKIDKEYVRKLQVGEDLFDSINEVNESSNKEETVPFVFTSLCRFPAYEADFGRGKPIWVSSASLTTKNLVVFMDTASDLIMDCPGTLYIIQMQHSEEAYFETQGGNAINSSKEA >EOY01705 pep chromosome:Theobroma_cacao_20110822:2:40668294:40668455:-1 gene:TCM_011540 transcript:EOY01705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 FLLPQNFFLSPGLDIPPHPKIHFEIKDYRI >EOX99490 pep chromosome:Theobroma_cacao_20110822:2:11628569:11636411:1 gene:TCM_008172 transcript:EOX99490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine transaminase family protein, putative MENGSKQWRFQCIKELNPASAISLRGVLDLVIGNLRTDDPRPIVPLGNGDPSQFPCFRTSTIAEDAIVDALHSAKYNGYATPLGVPSARRAIADYLNLDLPYKLSADDIYPTSGCIQAIEVALAAIALPGTNILLPRPGFTFYESRAAHNHLQKTVAMVIINPGNPCGTVYSYEHLKRVAETARKLGILVIADEVYGHLTFGSTPFVPMAVFGSIVPVLTLGSISKRWIVPGWRLGWLVTSDPNGILRKLGIVDSITGYLNITANPATFIQGAVPHILENTKDDFFSKIIVTLREAAEICYNGIKEVPCLSCAKKPEGSMFVMVKLNISLLEDINDDMDFCKKLAKEESVIIVPAGIAFGMKNWLRITFACEISALEEGLGRIKAFYQRHAKKE >EOX97724 pep chromosome:Theobroma_cacao_20110822:2:3207143:3209524:1 gene:TCM_006661 transcript:EOX97724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLPSFYLGSLLVDWDSATALGAQRCSAALVVSILCSLKLPSAELLRNLTQLSRASLMMASHELGRQKSTQEALHLDRACATYG >EOY01217 pep chromosome:Theobroma_cacao_20110822:2:38351402:38356604:-1 gene:TCM_011164 transcript:EOY01217 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MEGSFREMAEAFLFNIADGILGKLGNLTLQEMGLIWGVKEELEKLKNTVSTIKAVLLDAEEQHAKSHEVIDWLGKLKDAVYDADDLLDDFSTHVLQRQVMMQGKRGKQVSFLFSKANQVAYNLKIGHQIKAIRQRLDAIAADKTKYHFTDRSLVSIPVVKVERKQTHSFVRKEGVVGREGDKEAIMKRLLDSDVADNVSVIPIVGIGGQGKTTVAELVYNDEKIVKHFELRIWVCVSDVFDVKLIAQKMLESATNAKFENLEMDSLQTHLRKRIDGRKYLLILDDVWNDNRERWRNLRDLLMNGARGSKIIVTTRAQVVASITGTTEPYLLEGLPEDMSWSLLEKMAFKEGREPNNSRLVAIGKDIVKRCAGNPLAIRTIGGVLYTKDTETEWLSLKEGQLLMITQKDDVLPILKLSYEQLPSYLKQCFAYCSLFPRDYEINKQMLITLWMAEGFIQSLQGMQRLEELGDQYFMDLLRRSFFQDVEYDEWGNVISCKMHDLMHDLAQLVAGSDSSMVDLDCKNISERIRHVSFNAELDSSWKIPTPLLKATKIRTFLLPVQPVHRVILDKVDHETVISSFRLLRLLDLHNTGIDILPSSIGTLKHLRYLDLSKNEVIRRLPSSITELLNLQTLKLCSCKRLEELPRKLRNMTSLRHLETGQCTGLTRMPSGLGQLTSLQTLTRFVVGMDSFKRPSGGLRELKDLNDLRGEVMIAKLENLKNVVSECKEANSKGKQHLEVLTLEWSREVNDHTTFEEDEEILEGLQPHSNLQEFHIYGYRAGSFPKWMLSDMSLVLPNLLEITLWRCNRCLHLPLFSHLPKLRVLRLEVVTAVEYIEDSGAESSSLSFGGNRLKGGTEGKESTAFFPCLKQLMLFDLRNLKGWWREVTAIANDNLGLAAASSQRPLQQKESMTSFPCLSKLTIGICTNLTYMPLHPLLEELELKCVSAKLLQQSVMIAAETEQIPMAAAAHLSYPLYLSKLKVMHIDSIMDLVSFPEKGLHHLTSLQHLSIANCPKLVCLPEEGLNSLRFFFISGCDMLKSLSKGFRHLTALEELEIKECRELDLSKDVEENVMELRFLRSLRTLKIGDMPKLNSLPDGLQHVTTLKYLQISSCSNLKSLPEWICNLTVLQRFEIFDCPQLVSLQQALCSLNALQYLEISGCPLLMDTCQNKTSKNWPRIAHIPEIYIDGKKM >EOX96953 pep chromosome:Theobroma_cacao_20110822:2:669366:671469:-1 gene:TCM_006078 transcript:EOX96953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Temperature sensing protein-related MKEEEVNRCQIQEWYPKFKSVSIRTLIHELPESFVQYLLDDSGPFLLPVSISNEDALPNRIHNPEEEEDYQVSEGSGDEAEPSVPPSFPELELKIKESIETLGGAVFPKLNWSAPKDSAWISTSGTLRCATFSEIALLLRSSDSLTHDLCHAYDSCSDKNMSRPPNFFLALRKWYPSLRPEMEFRCFVQGQHLVGVSQREVTTFYPVLCEKKNDLEMLVKEFFNDNVRLQFESEDYTFDVYVTKDERVKVLDFNPWGAFTLPLLFTWEELEQKPREGDCLEFRIVESQCAVRPGLKTAVPFDYLDTSPGSGWDQFLRNADEELQLQTRSPEAGA >EOY02018 pep chromosome:Theobroma_cacao_20110822:2:41704686:41706361:-1 gene:TCM_011779 transcript:EOY02018 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein isoform 1 MATTSLLLPAAIAAAAAAASDTHQSLHLSSKFPFPNLLFKPTSKTNGPILSTKLHVSSPTNQPATTSTPSKSFSKNPKEETIFFDGGAHYGDLIANLLLGFTLLWLPLTLAAVSRAFFLRYRFTNLRVTVISGLTGQDRSDFSYKVIKDVQVVPRFIGEWGDVVITLKDGTKVDLRSVPRFREIAKYCLSMADKPVVLKETGRKGF >EOY02019 pep chromosome:Theobroma_cacao_20110822:2:41704931:41706434:-1 gene:TCM_011779 transcript:EOY02019 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein isoform 1 MATTSLLLPAAIAAAAAAASDTHQSLHLSSKFPFPNLLFKPTSKTNGPILSTKLHVSSPTNQPATTSTPSKSFSKNPKEETIFFDGGAHYGDLIANLLLGFTLLWLPLTLAAVSRAFFLRYRFTNLRVTVISGLTGQDRSDFSYKVIKDVQVVPRFIGEWGDVVITLKDGTKVDLRSVPRFREIAKYCLSMADKPVVLKETGRKGF >EOY00620 pep chromosome:Theobroma_cacao_20110822:2:33954584:33979327:1 gene:TCM_010507 transcript:EOY00620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKIEKKQEEIMGQLSKILELISTDKGKKVAGSFGTPEAVQQTETNTDPVYPPGFTPPPARNASIPMPSMGQYPFFGMPIGPPPTYAQQRPIGGASPSDPISVPDLDDPKEQEKLKCGSVESKDNPDTHQKFDLFEERLRMVEGMGMYCSMDAIELCLVPDVVIPPKFKVSDFEKYDGTKCPVTHITMYCRRMAAYAHDDKLLIHCFQDSLTGAAAKWYVQLDRNRIHTWKDLARAFVAQYKHVTDMAPDRLSLQNMEKKTTESFKEYAQRWRNVASQVQPPLTEKETTVMFVNTLRAPYYERLVGSATKNFADMVISGEMIETAIKQGKIEGGDMANTRKGGTFKRKEGEAQVITSGQHQGGTYNPYQPYLPYPYYPAVHNTSQSPYPYPLMPNAFPNPYPYNPIQRTPYPPASTPVTASTTQQTTPSNNHTTGESRGWRNKQEKVQFDPIPIPYAELFTQLVANHLVAPLYIEPLKPPFPRWYDTSAHCDYHYGIEGHSIENCTAFKHKVQGLIKAGILNFEKKPEQNVNNNPLPNHAGAGVNAIEREVYVKRNIREVETSMEKVFEALVKADMLKVWPECPNVNDSRDIQRLCCLYHKGCVGHSIQGCSSFRKEVQRMMDESKIEFYTEASESAVNMISKESTHPMKIKPLTIFYEPKGELVEDKNHAKMVIEVPKPFPYKDNKAVPWNYNCNVQVSEAKKWIAESQDDAANITGVGGITRSGRCYSPEAFENLKNEKGGEKEQSPREEKVQPPESTDGSKRSVTEKEAAEFLKFIKHSEYNVVEQLNRMPARISLLSLLLSSEPHRNSLMKILNQAYVDHDISVENLDYIVGNISVGNIISFSDEEIPSGGRGNYKALHITTKCKGCTVAKVLLDNGSSLNVMPMRTLARLPINMSYMRKSQMIVRAFDGTRREVVGDIEIPVEIGPCTFTIEFQVMDIAPSYNYLLGRPWIHMAGAIPSSLHQKVKFIMEGKIVCVNGEEDLLISKPADTPYVEAAEEVPECSFRSFEFVNTTYVGEGTTPPIPRLSKTTKMIVSQILGKGYRAGAGLGKELQGIRSPIHTTKNEEKFGLGYKPTKKEREEMIAGRRKERLARFKGHELEIRGMTYPHLYKTFRSGGCIFPESLTVENQESVSALGGTFSDLSICATEEGEEQPRNADEIPTTYFGPPNLKLSNWTTMSLPVTCDSISKIPNNECKDDNDSGFEVDFEKGTSVSELDSTENVEDYDLTPDLLRLVEQEGRQIVPHQEILETINLGDEENKKEVRIGVTLVSMEKEKLIKLLHEYVDVFAWSYQDMPGLNTDIVAHKLPLKPECKPIKQKLRRMKPEMLLKIKEEVKKQFDARFLEVAKYPEWVANIVPVPKKDGKVRMCVDYRDLNRASPKDNFPLPHIDTLVDNTARHSMFSFMDGFSGYNQIKMAPEDREKTTFITMWGTFCYKVMPFGLKNAGATYQRAMVTLFHDMMHKEVEVYVDDMIVKARKTEDHATNLEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXCDPIFKLLRKHNPGAWNEECQVAFNKVKEYLLSPPVLAPPVVGRPLLLYLTVNEGSMGCVLGQHDETGKKERAVYYLSKKFTEYESKYSSLEKMCWALAWTAHRLRQYMLYHTTWLIAKLDPIKYIFEKPSLSGVVLVSPEGDHYPVIAKLNFYCTNNVAEYEACVMGIQAAIERKIHILEVYGDSALVIYQLRGEWETRDSKLVRYHKYVSKLVENFDEICFNHLPREENQMADALATLAAMFKVGTNVKIQPIMINLRECPAHCSSVEEEIDGKPWYHDIVHYLKFQQYPDQSSENDKKTIRRLAMNFFLDGNILYKRSRDQTLLRCVDSAEARRIVEEVHEGVCGAHASGHKLARQVMRAGYYWLTLERDCIDFARKCHKCQIYADRIHTPANSLHVLTSPWPFSMWGMDVIGLITPKASNGHRFILVAIDYFTKWVEASSYANVTQKAFTQLINMAYISHKTWILPKSFPRMPWPRHLTETTKAVKCLYIRNSNHALTLAESHSHVVVHVKCTQIFTSKETLHTAL >EOX96881 pep chromosome:Theobroma_cacao_20110822:2:338245:353058:-1 gene:TCM_006024 transcript:EOX96881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit MKDNGSSSSKMINRNWVLKRKRRKLPCGPSLANGKEENLVASESPRSTSAKRRLKGEISSDQLSSKKKGNDGYYYECVICDLGGNLLCCDSCPRTYHLQCLDPPLKRIPMGKWQCPKCCKKTDPLKPITHLDSISKRARSKIIKTKAHTGIKLPATEKMSRIFGTSIIAKKRSSSTKGKSDLAQGVDNLKKEPESSQIDEPCIPKPSLTSLAGAEEGGSSFVNVEDEKKLDASPTDSSAERKLIPPADEVLSHSKTTKSEQNDEAPEGKHELSCDNESPRNKIVLAIGVATRRDRKRKQKVNNEASQKKRKRDKGKHTISTSKKKSKANNIGHGSSKTHQKQKPLNHGVSTSLSKDDDGSKNLDAQKKDEKLPEEVTHQSDESDKGTLDASLIHEDSVPAEVQQVDRVLGCRVQGDNASVLHHASVAVSEDMHSDDLLIVENQNKLSEENSVCDIDSDIAAAENLAEGCSNTLKSSDKEESIKNEVRVDKIHVYRRSVTKKCKGGNSMDLLSKDAKDSDCAILNGKDPDESAVIVEDSRKRNEKLVVEEVDADVILRSHDTSEVPKICETPTRIKEMDVEMKMSSSAENKVEEPAGTQSAFSNGETVSYEFFVKWVGKSHIHNSWISESQLKALAKRKLENYKAKYGTSVINICEEKWKKPQRVISLRVSNDGMKEAFVKWTGLPYDECTWERLEEPVVQQSSHLIDLFDQFERQTLEKDAAKDESRGKGDQQHDIVNLAEQPKELKGGSLFPHQLEALNWLRKCWHKSKNVILADEMGLGKTVSAVAFLSSLYFEFKATLPCLVLVPLSTMPNWLAEFALWAPDLNVVEYHGCAKARAIIRQYEWHASDPNELNKRTASYKFNVLLTTYEMILADSSHLRGVPWEVLVVDEGHRLKNSGSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKRDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQILRNIGKGVAQQSMLNIVMQLRKVCNHPYLIPGTEPESGSMEFLHEMRIKASAKLTLLHSMLKVLYREGHRVLIFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVADRQTAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFNDSSSGKDTGEGNSNKEEVLMDMEHKQRKRGGGLGDVYKDKCTDGGTKIVWDENAILKLLDRSNLQSGSTDIVETDLENDMLGSVKSVEWNDETTDEAGGGESPPAVADDTSVQSSEKKEDNVVNNTEENEWDKLLRVRWEKYQSEEEAALGRGKRQRKAVSYREAYAPHPNETMSESGGEEEREPEAEPEREYTPAGRALKAKYTKLRARQKERLARRNAIEEFRSSEGFPRLELVPQCPSMNERDGDHVNQSAQQTVKEKCSVIDLEDNKLAQSSDEPKSKADSILRLGRLSKHKISGQLDLSINPLHQSSPDIILPSNNHQGISYTSSLSTNNLLPVLGLCAPNANQLDSYHRNFSRSNGRQSRPGTGPEFPFSLAPSTGPSAEKEAKGQETTLDKFRLQDVSPEVLQQRLRNSNQDSWLPFSLYPPAVPQGKGSDRLESSGASFADFQEKMSLPNLPFDEKLLPRFPLPTKSVNMSHHDLLPSLSLGSRHDAVNESMQDLQAMPLLSSLKFPPQDVPRYNQQERDMPPTLGLGQLPSISSFPENHRRVLENIMMRTGSGSGNLYKKKSKVEGWSEDELDFLWIGVRRHGRGNWEAMLRDPRLKFSKYKTSEELANRWEEEQLKILDGPAFPVPKFTKPTKTTKSSSLFPSIPDGMMTRALQGSRFVAPSKFQSHLTDMKLGFGDLASSLSHFEPAEQLGLQNDHFPPIPTWNPDKFRANFSGDSIAGPSDRPGPSSNVPSEKPFFLNSFGASNLGSSLNCSSSYDLHRKEDDYGSMKYGKLPSLLDRSLHILRDSHNNGGSGESASSGLLPDPNKVLNPSHSKGKEVVGNNSSNNKLPHWLREAVNTAAKPPDPDLPPTVSAIAQSVRVLYGEDKSTIPPFVVPGPPPSQPKDPRRGLKKKKKRKSHMFRQVLPDAAGSSSLPPACTIPLAPPFQLHPQSITGTAGLPWIESDLNLPPLNLNMMNPSSSSAYLIPPKKSSMGLSPSPEVLQLVASCVAPGPHMSSSSAMTNSSLLDSKLPLPKSVNEVGYPDSQGVSDKRMAKQSSPIDVQDQPPEERRDEHDSGDSSKTQSDPSRPEQPDVEEISSEGTVSDHPVSDHEP >EOX98905 pep chromosome:Theobroma_cacao_20110822:2:7726308:7730112:1 gene:TCM_007572 transcript:EOX98905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 4,6 MNRTNFPKRYLIVILTFVSTCVCYIERVGFSIAYTVAADAAGINQSSKGTILSTFYYGYACSQVPGGWAAQKIGGRKVLLLSFVLWSSTCFLVPLDPNRVTVLVVARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYLGAAMGMLVLPSLVKFKGPQSVFIAEAALGVVWSLLWFGYATDPPRSEHPKATAAGFGESLLPTKGSQKIKVENGGSTVRTAQIPWKRILVSGPVWAIVVNNFTFHYALYVLMNWLPTYFEQGLQLSLQEMGSSKMMPYFNMFIFSNIGGVLADHLITKRIMSVTKTRKFLNTIGFIIASLALMALPIFRTSGGAIFCSSVALGFLALGRAGFAVNHMDIAPRYAGIVMGVSNTAGTLAGIIGVDMTGQLLEAAKAVYSDLSSPESWRAVFFIPGLLCIFSSFIFLLFSTGERIFD >EOX99358 pep chromosome:Theobroma_cacao_20110822:2:10341864:10344337:-1 gene:TCM_007989 transcript:EOX99358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein, putative MGSISPLPSPPSIPENPFTNYRIYTCFCSNDLLATTNMSNWIECYDPSNNSWHRVDRIPELRENHVRKGFSMASIGDSIYIIGGRLCRKAPGPDSDEIVEGDREVLSSVIRYDIRNGAWSKCEPLGTPRFDFACTVCDDKIYVAGGQCTLGIARGTSSAEVYDPALDEWKPLPNMSVLRYKSVGVTWQGKIYVVGGFAEKADLDKLPWNTIGRCSAEVYDSDNAKWELVMGMWQLDVPPNQIVAVDEKLYSSGDCLNAWKGHIEAYDGKLWNEVDGSHLETLSSPISISAANWPPIKRLYITMAPVGTHLFFLAGYRKPGELCRVVSVVHVFNTSANRDPWRSLEPMEEEGEKELCSHGCVVSLGHNYS >EOY00556 pep chromosome:Theobroma_cacao_20110822:2:33491968:33496431:1 gene:TCM_010450 transcript:EOY00556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MRRDKSLYLLALVAIMFLVFITSSITSVEGRKHNVNKGKPHKHQKDKNHKGNGAPHSSPAPAPLPGYDYYPTGSNIFDVLSFGAKGDGVSDDSKALLAAWKAACKVPGATVEIPSEFKFLVKPLTLQGPCMPNLVFQIDGALLAPPKVGSWPKSSLFQWINFKWIHNFTIQGTGVVDGQGSQWWTPSDQIYYIQKKFKHIPNWKPTALRFYSSYNVTVRDIQIINSPQCHLKFDSSGGIKVNNLTISSPESSPNTDGIHLQNTRDVEILYSNIGCGDDCVSIQTGCSNVHIHHVNCGPGHGISLGGLGKDKSVACVSDIVVEKISLLNTLAGVRIKTWQGGMGSVKNVSFSNIQVSDVKVPIIIDQYYCDKNVCKNQTGAVAISGVTYDQIIGTYSVQPVHLACSNDIPCTDVDLIDIQLKPSLRYRGFHQALCWNSYGKSQAPLLPSNIDSCLRRDGGSVKRIARSREHVCF >EOX97501 pep chromosome:Theobroma_cacao_20110822:2:2488344:2489164:-1 gene:TCM_006500 transcript:EOX97501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTIVEESSKQWSIGSPEAACSIIRFRVMGQRDNSWGTGLVVAVFVCPFFLCAAPALVLPFGKGKKPMMIPEQKECRP >EOX98666 pep chromosome:Theobroma_cacao_20110822:2:6649405:6652797:-1 gene:TCM_007373 transcript:EOX98666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ureidopropionase MEKSEGIEKENGTVEEKQSFKDGSICGYDSLQRLLSANLKPQIFQEVSRLLLGLNCGRPLETIVPPESAIALSSKHDFDLQAFSFSADKELLREPRVVRVGLIQNSIALPTTAPFLDQKKAIFKKLRPIIDAAGASGVNILCLQEAWMMPFAFCTREKRWCEFAEPDNGESTQFLQEFACKYNMVIINPILERDVNHGETLWNTAIIIGNHGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEIFPNPFTSGDGKPEHPDFGHFYGSSHFSAPDASCTPSLSRYKDGLLISDMDLNLCRQLKDKWGFRMTARYELYADILARYLKPDFEPQVIPDPLLHKKSS >EOY01490 pep chromosome:Theobroma_cacao_20110822:2:39648921:39650132:-1 gene:TCM_011358 transcript:EOY01490 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MLNYILWFVSHLKFAWNLLLNYSLFPNYNQEQQLQHRPGVAEKLGLVTYKCKQQQGCFDDNDEEEEEACAVCLCKIEEDDEMRELRCNHLFHKVCLDRWLGYSHSTTCPICRTFLTPAKVIASVEVLTFNYCTFSPNHRDNWWLR >EOX97735 pep chromosome:Theobroma_cacao_20110822:2:3251329:3252111:-1 gene:TCM_006670 transcript:EOX97735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive (dirigent-like protein) family protein MAKLGGILLIISLAAAMPWAQSFRVQSWAARVEAKQEMVTNLQFYFHDTISGKNPSAIKVAQAPDTEKSPTLFGAMLMADDPLTESPDPNSKLLGRAQGLYGSAGQTEVGLIMAMSFCFTDGKYNGSSISILGKNSALTPFREMPVVGGTGLFRLARGYAIAKTHWMDITTGDAIVGYNVTIVHYV >EOX97067 pep chromosome:Theobroma_cacao_20110822:2:1077415:1086089:-1 gene:TCM_006174 transcript:EOX97067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRTKRRRAWKKPPAKKSTALAQNEKATNQNEEAFLSFVNQNNKEDSVSADSNDLEVVDKNDSESDDAESSSSSSSSPSSSDIDESFSAEESSSDDSSTVGRRSRKSIEGSGNGKQKPSKLPKKVGKEDVKSSRVLRSSCKRQNEFNMPQQDPRYNKEELKAALAVIKKVMKRDEAQSFNVPVDPVALGKPDYFNVIDTPMDFGTICSNLENSIKYMNSEDVFNDVQYIWENCCKCNKKGEYIVYLMKRVKKKFMKYWTAAGLCIEQSRNINVGPSYEPSMKDYATRHSRGEPFSPVGLAVDGATQIQQDRLGTNRPHPYLPPLSYSQPHQLQQPPPSTAWPQFSQLPPVRYHQPCQSQHNQPQFSQLQACTGCNSAGYSHFQPPKDIAPKHKKNASLGPAASIDGGTPSHSHSQQPQLSHNQPYGLQQQSINQPSQLQAVADGGHSHSLPQADYTRCSGYVPSCPVDPMVVVPSQSHPQQSSLSHGQSIEQQQLQPQASPCQPQSSPLQDNVDIEHSHLSLTDSALRGIRCAPTTNKSYLENQDQLGPIELQSEQTLQSQEQPHQMPQLPEKKKRGRGPTRCLFLNDLADGDRIFVHVNELGQPTKFDLDPSCKSWVMQSLATKWRDWKAELKASYYDALNTDEERLKVRDPRVVPEQWPSLISYWNSDDTKKRCATNKANRAKQKGGHASGTKSYARICEEERNKRPDGKEPTRAELYILTHTRKNGQPVDETAAAFISKLRKQAAEKQNNSQGSDESNDTFCQVMGEEKRNRVRTYGLGATHTAVFGPRHSHDNLVRMASEAKKSANEEVRKMVVKMEAMEEKYALMEMYIARMNSNMEKFLEKIAGSSKILGSEQNCICYGVEIIGYFWK >EOY00949 pep chromosome:Theobroma_cacao_20110822:2:36059128:36062526:1 gene:TCM_010854 transcript:EOY00949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKVVNRKMHMHRSPHKMYVSPVSQSSSRVKGPAILLKTHHIRLHGCSTLYKGVAVLNQGESSQMPHVAEMMERMGFFLNHNGISDMINLLKLSS >EOX98488 pep chromosome:Theobroma_cacao_20110822:2:5948329:5950080:1 gene:TCM_007239 transcript:EOX98488 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein MATFAGTQQKCMACDKTVYLVDKLTADNRVFHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHYDQLFKRTGSLDKSFEGTPKIVKPEKHIENENAQKVVNLFGGTREKCVGCNKTVYPIEKVTVNGTPYHKSCFKCTHGGCTISPSNYIAHEGKLYCKHHHIQLFKEKGNYSQLESDREKTPMNEKLTSMEIAAES >EOX98291 pep chromosome:Theobroma_cacao_20110822:2:5219937:5221135:1 gene:TCM_007087 transcript:EOX98291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERIWHGQLISCNQFSLVGVLEHKPIVKCSFQCLHSLTIMGLYTKWGDSAIPEPRSSAYCSRIQFSFGMNTCKMFIGDLLLKNIISLHIFQLPKPHISHLKSQENLKHLCFDVLEVWLYDYVAYQ >EOX98691 pep chromosome:Theobroma_cacao_20110822:2:6723939:6724999:1 gene:TCM_007388 transcript:EOX98691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein MPEKPELPKPELPEIPPFPKVELPRPELPDVPKPEIPKVPELPKPELPKTPELPKPEFPKVPELPKPELPKTSELPKSELPIVPESPKPELPKLSELRKPEEVKAPEFPTPELPTVPELPKAPELPEPELPKVPELPKPELPKLPDMPKPEEPKVPELPKPELPKLPDMPKPEEPKVPGVAQARTTQVA >EOX97809 pep chromosome:Theobroma_cacao_20110822:2:3546939:3550992:1 gene:TCM_006742 transcript:EOX97809 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MGFRLPRIVNAKRILRASPPLATEIVPKGCLAVYVGENQKRFVMPISYLNNPLFQDLLSLSEEEFGYDHPNGGLRIPCSEDIFVDLASRLKIVGSREIAAAQFHKYFLQRHTSIKKKMAIRLPRIVSAKKVPKGYFAVYVGENQKRFVIPVSFLNQPSFQDLLGLSEEEFGYSHPTGGLRIPCDEDLFLDVTSRLN >EOX98269 pep chromosome:Theobroma_cacao_20110822:2:5147541:5152602:-1 gene:TCM_007072 transcript:EOX98269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MFRLVPTYRVRHISKIFPLLQVRCPLFSAAANSLQGTSNGCEDNDKSIDFNHLFKSCTQLHLAKRLHALVLVSGKAQSIFISAKLVNLYAYLCDVSFSRRTFDQINEKDVYTWNSMVSAYVRSGRFQEAVDCFYQFFSTSGLRPDFYTFPPVLKACKNLPDGMRMHCLVLKLGFEWDVFVTASLVHMYTRFRIVGSARKLFDDMPVRDMGSWNAMISGYCQNGNAAEALEVLNEMRLERVMMDPVTIASILPICAQLDDILYGRLIHLYAIKSGLEFDLFVSNALINMYAKFGKLEHAQKVFDHMVVRDLVSWNSIIAAYEQNDDPHMALGLFYNMKLIGINPDYLTLVSLSSIVAQLSDSRKGKSVHGFVMRRGWFLKDVISGNSVVDMYAKLGIMDSAHAVFYVLPVKDVVSWNTLITGYAQNGLAGEAIEAYGMMQECKEITPNQATWVSILPAYSNVGALQQGMRVHGRLIKNSFYLDIFVGTCLIDMYGKCGKLDDAMSLFFEVPKMTSVPWNAIISCHGIHGHAEKALKLFREMREEGVKPDHVTFVSLLSACSHSGLVDEGQWCFHVMQEEYGIEPILKHYGCMVDLFGRAGHLEMAYNFIKNLPVKPDASVWGALLGACRIHGNIDLGTFASDRLFEVDSDNVGYYVLLSNIYANIGKWEGVDKVRAVARDKGLRKTPGWSSIEVSNKVDVFYTGNRSHPKCEEIFKELRSLTAKMKSLGYVPDYSFVLQDVEEDEKEHILMSHSERLAIAYGIISSPPKSPIRIFKNLRVCGDCHNATKFISQITDREIIVRDSNRFHHFKDGICSCGDYW >EOX99537 pep chromosome:Theobroma_cacao_20110822:2:12035208:12037927:-1 gene:TCM_008235 transcript:EOX99537 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein MLEQMTEESISNGFVQNPIAGSNPPLAKKKRNLPGTPDPEAEVIALSPKTLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRTTKEARKRVYVCPEKSCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYKCDCGTLFSRRDSFITHRAFCDALAEETARVNAASNMHSLATSNINYHLMGNPLGPGMAQHFSSIFKPISSNDETLDQTRRGLSLWMAQASQGHDAIGKSLQEIHQFGSVNSGSIYSDPLVSTSNAPASDYPLNWVFGNKVSSCNAEEITSTSLPLNNVKENGPQLVSVPSLFSTQHHSHQTPSANMSATALLQKAAQIGATSTDTSFLGSFATKCSSSQVQDGNKYSGLYGSNTPATTLGSDLENSANDISTLNQLQMYPAKRRHTQNEDSTGGQTRDFLGVGVQAICHPSSINGWI >EOX98409 pep chromosome:Theobroma_cacao_20110822:2:5698408:5701410:1 gene:TCM_007179 transcript:EOX98409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble secretory phospholipase A2 receptor MEKKQSFFSALKEEVVRGLSPSRSRPNSPARARSPMASLLRRKKSNHNNYGGAYVAQPEPLIVRSGSLRPVGEALAPLMEGPDPDGGEVGDSKRVGSGLGQWVKGQLSRTPSVASMSYKRSDLRLLLGVMGAPLAPVHVSSNDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQNSIRNAYAMGKLKMVASEYETATRTVKNRNGSRGAESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTASMFADARCIGEKKINGEDCFILKLCTDPQTLKARSEGPAEIIRHVLFGYFSQKTGLLVHMEDSHLTRIQSNGGDAVYWETTINSYLDDYRPVEGIMIAHSGRSIVTLFRFGEVAMSHTKTKMEEAWTIEEVAFNIPGLSVDCFIPPGDLRSGSISETCELPQDEREKGAIALAAHRAKVAALEKAQDGGADSMVWRMQV >EOY01132 pep chromosome:Theobroma_cacao_20110822:2:37803863:37807856:1 gene:TCM_011074 transcript:EOY01132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein MEKSQELALTQMRKSVEKLGFSTEKYGDPTLMRFLIARSMDTEKAAKMFVHWLQWRSSMVPNGFIAESEVPDQLEARKIFLQDSSKTGYPVLIVKACKHFPPKDLIQFKKFVVYILDKTIASAVKGREIGNEKLIGILDFQQITYKNVDARGLITGFQFLQAYFPERLAKLYILHMPRFFVSVWRMVSRFIEKSTLEKIVIVTNEDEKKCFIDEAGEEAVPVEYGGKAKLTAIQDVVVPPLEG >EOX98014 pep chromosome:Theobroma_cacao_20110822:2:4257945:4262869:1 gene:TCM_006880 transcript:EOX98014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H protein MSVNFWRDKWLSDKTLANITCRVANSALDKVVVRDFLNPNGHWDYDKLSYCLPNEVVLQVVQIMPPTVTIAQDMPYWGKSASGQFTIASAYDYLRQLSSPTKARPSGIWQGAWKWQGSQRVRTFLFQCLHGRLLTNRKRLHRQLTADSLCPQCRMEDETVTHVLRDCMVATSLWKQQLILGNPWSIVFRLACWYLWKWRNGVVFDVAFNPTRKRISMIKSMATATIAPSADFDGVQVERRKKEEVLIEWRAPQVGWVCLNTDGAYKRSIEEASAGGVKRNAEGDWQAGFVAKLGKCSAYRAELWGILHGLRLAWDSGFKKVQVQVDNKMVVQAISTDKLIPGANTDLISAIKNVLQKEWEVSFMHTYREGNMVADYLASYAFVLEESYVVLEQAPTGARKLLIYDMLGTMTNASQLRPAAASPPCDMQTGEFSALITRKKGKYGKQFNSPSPSGTPLCICPLDAKFPSLDRWELIEAADIPHIPWLSGVDKVNIISHQWSPVGKEDGTTSMELFEAELDGGWDKGGQA >EOX99837 pep chromosome:Theobroma_cacao_20110822:2:17184256:17186282:1 gene:TCM_008802 transcript:EOX99837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRLEIPLLASLIYAKCTRSERLVLWDCLRSLAINIHTPWIVGGDFNAIIHSGERLNGAVPHAGSMEDFATALLDRAFWAKQRRLKQALKRWNKEVFRDIFHNLKVAEQRAADCEIIFQQEQSTENRATMNKAYAQLNHLLSVEELFWQQKSSIKWLVEGKRNTKFFHMRVKKKRIKSHIFKIQNLDGSWIKEPDAVKSSVVDFFSSLMKKEPCDMSRFDTSLIPAIIFENENLSLCAVPSMEELEEAVFNIDKDNVVGPDGFFSYFYQQCWDIVANDFLDAVVDFFHGIDLPRGITSTTIMLLPKNNNAPKWSDFKPISLCNVLNKIITKILANRLANVLPSMITDNQSGFVGGKTDQRQYTFCPKTNWED >EOX97213 pep chromosome:Theobroma_cacao_20110822:2:1638394:1639257:1 gene:TCM_006304 transcript:EOX97213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSFPTNKGVSKAMTCGKSTTLLLKASAHLVPPVLCTLFPLGFYLFFFFIDFLMLLALTKVAAFGPHLMMLPFSYQP >EOX98898 pep chromosome:Theobroma_cacao_20110822:2:7697419:7700277:-1 gene:TCM_007568 transcript:EOX98898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein MGFEKEAISSSSSKKLRSPLPREDTPLISKDKPLSSQPKTFANVFIAVVGAGVLGLPYAFKRTGWIMGLLMLFFVAALTTYCMMLLVYTRRKLESFDNGFAKIASFGDLGFAVCGTVGRCVVDVLIILSQAGFCVGYLIFIANTMANLFNNEISMNSSLSLGMSSFTAKSLYIWGCFPFQLGLNSIATLTHLAPLSIFADVVDLGAMGVVLVEDLSLILKQRHEVMAFGGLSVFFYGMGVAVYAFEGIGMVLPIESEMKDTAKCGKILALSMGLISLMYGAFGALGYFAFGAETKDIITANLGAGWISTLVQLGLCINLFFTFPLMMNPVYEIVERRFWGGNYCLWLRWLLVMIVSLVALFVPNFADFLSLVGSSVCCGLGFVLPAFFHLLVFKEELGWKGWTLDVGIVALGLVLGISGTWYSLMEIFSVKV >EOX98768 pep chromosome:Theobroma_cacao_20110822:2:7101247:7104125:-1 gene:TCM_007456 transcript:EOX98768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MHQFKMSKCFSFTASRDWFYRHSLANAGLRSVRTDLGEGTVMHCWVPKIQNKSRPNLLLVHGFGANAMWQYGEHLRHFTFRFNVYVQNSSSSVNPTQPEPTGPSRSRLNAAVSRKMEKLVLCCAGVCLEEKDLEEGFFNVADLDEALSILLPQTPEKLRELMRFSFVKPAGRWVPSYFLTDFIDVKFTFPITCPYMDMCLVQVMCTDYLEEKGELIRAILKDRKFSNLPKMTQRTLIIWGEKDLIFPMELGHGLKRLVEQSEINYVLYCHLSFLCKYKIWATAPPLLAYPPPLHTRDGAELVVIKNAGHAVNMERPKEFIKHLKSWVLFLNKLYV >EOX98820 pep chromosome:Theobroma_cacao_20110822:2:7340065:7344540:-1 gene:TCM_007500 transcript:EOX98820 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase 2, putative MARLRAHLCSLLAYATFLSLISCHSLPENHVALIIFGDSLFDPGNNNYINTTFAYQANFWPYGETFFRYPTGRFSDGRLIPDFIAEYAGLPLIPAYLQPGDRKFIHGVNFASGGAGALVETNQGFVIDLKTQVSYFKKAEKSLRQELGVADAKKLLSRAVYLISIGANDYLTRNSTASDVEYVAMVIGNLTIALKEIYKIGGRKFGFPNMAPLGCLPFIKARVGSNGSCLDEVNKLAQLHDQELPKVLHELEKQLPGFKYSNYNFYKTVGERLSNPSKYGFKDATTACCGSGLFRGIYSCGGKRGIKEYELCEHPSEKLFFDSYHPSEKAYQQFAREMWSGARWMGVWSADFDRLSGGAELLWGGWE >EOY02111 pep chromosome:Theobroma_cacao_20110822:2:41991654:41992319:1 gene:TCM_011844 transcript:EOY02111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDMNRNVEPGYEYEGIDEDDVFYADIRRRILLLTADDDEDCQETKSFHPVCNGKPGSNRAVGNLSSSLQYGGYFSSWESENTDSVPTWLANLWRNGNGTGVFIPHIVKSRRHHRPGRMNKRKLYRPAETKQS >EOX98573 pep chromosome:Theobroma_cacao_20110822:2:6234399:6237989:1 gene:TCM_007297 transcript:EOX98573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPDIRKDILTCCRCMNQLLIIITLVKSQSAAETHQPVYFLTKCEEIKPFDTMDWFMFNLHLKYGIAAFTFLDPDRLADLRHVNFVLFDEGQGFSFE >EOY00543 pep chromosome:Theobroma_cacao_20110822:2:33362517:33367230:1 gene:TCM_010432 transcript:EOY00543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) [Source:Projected from Arabidopsis thaliana (AT1G60790) TAIR;Acc:AT1G60790] MDVKKHVLSEQLLPRGKVFSRLGWGLVASLIVITGLLLNNSLKGPLVWSLFQGSYSVSNATVSLHSSFPLSSSPTPRPSVSLTNATEELQKPLDTEKNIETYNWAKVGEKQDFSEENEDDGVDIDIEETQLGNADEGAENRNFDAEGGGRIQQAAQDVNGSVVPETGNLLGSNGDGVILDKTQLGNFSETVNNVTLVGENVNANKVIEGEFVDNPGDANTVNPENNNVDNLSNNGEVVGLSVGEDKDMELTDQGNLVVENKTINCPGADPQVVEGPNGSFETCDFFDGRWVRDDRSKPYYRPGSCPYIDRDFNCQRNGRPDNGYIKWRWQPNRCDIPRLNATDFLERLRGKRLVFVGDSLNRNMWESMVCILRRSIKKKKRVYEISGRSDFKKKGFYAFRFEDYNCSVDFVAAPFLVKESSFRGENGSIETLRLDLMDPATSMYHDAELMVFNTGHWWTHEKTSKGEDYYQEGDYVYPRLKVLKAYQKALTTWSRWIDKNVDSSRAQIFFRGYSVTHFRGGQWNSGGKCHQETEPISNETYLTKYPSKMRAVELVLQKTKTPVIYLNISRLTDYRKDAHPSIYRREYKTEEERNAAVRVQDCSHWCLPGVPDTWNELLYASLLKAGRGIWREFGEREQCLYKS >EOX97009 pep chromosome:Theobroma_cacao_20110822:2:896918:898682:-1 gene:TCM_006135 transcript:EOX97009 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase 1 MAANTTIPLLSPYRLGRFNLFHRVVLPQLTRCRSYNNVPQPHAVLYYSQRATNGGLLIAEATGVSDTAQGYPHTPGIWTKVQVEAWKRIVEAVHAKGGIFFCQIWHVGRCSTYGYQPNGEAPTSCTDKGVTPGLDGVDWSPPHRLTANEIPHIVNDFRLAARNAIEAGFDGVEIHGANGYLIEQFLKDGINDTTDEYVGSLENRC >EOY00331 pep chromosome:Theobroma_cacao_20110822:2:31357206:31370410:1 gene:TCM_010179 transcript:EOY00331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWRRMEKQIGTRRNRLTQKARSITKHTGDSVPFIVHAKRMETYIATLSHKYGEDSSSQLELDSHAWTKAIGGMIITRTYVYGFGSRRPATTILNDAVTSESIVNLCIGFNIRLKEKVKNLSNDMSQMREQMTQHFGAMNEFIVSMKAMMIKRRSRKGHVSSSSLASDKFGIAGR >EOY01250 pep chromosome:Theobroma_cacao_20110822:2:38604013:38606164:-1 gene:TCM_011193 transcript:EOY01250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFSLLPNSRHHLPSMESQAAFPFYQSQNFLVLEIRYIRVRQCFGRSLDGRFFISRGQEMRWRIISPSWGLKELMTCCKSYTNFQRMATVYGWSTTLFVSVGALFSTLVCKSTDDEFLLD >EOY00600 pep chromosome:Theobroma_cacao_20110822:2:33767962:33770004:-1 gene:TCM_010484 transcript:EOY00600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKATWLDVNQASAKQIRLVVICWDKLVCDSSGRWPGGIESDKNVQNLASERSPVTALHMPETRPWLQVPFGTKLYDAYVTAACVILKDDPGFLVLLIKGEKPIIQILFVSVSGNRKTKSFTAGSVKGTQKKSTLSFLFVQQGTD >EOX97606 pep chromosome:Theobroma_cacao_20110822:2:2821136:2824799:-1 gene:TCM_006585 transcript:EOX97606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWVFPANVKDLFVVWNKWQLGELDVTLWRMGFFAVLWSIWKSRNETVCQGKEWNMDHVFELARIRVAFWAYAKWPREYPTVLDVYRQPPTQGQEAKKARGCPGPAGIGEILRNHKGEVKIIFSKAIGEADSNWAEMMAVREAFTIFSASRWKDNYKLLMESNSGNTVKWTTHPDTAPWRMRKVVLQLESLKEGLEGWEIQHARERQTRELML >EOY00181 pep chromosome:Theobroma_cacao_20110822:2:28748994:28750306:1 gene:TCM_009905 transcript:EOY00181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSIPYFLRHISTEGMRKELVNARTAFEYEKAMEQNLLCMACQVKKLRAEQMNADSRAHGPGGYGTMGGNPEMRYPGRAFAEGYHGGWRPNSNCDPPRR >EOY00799 pep chromosome:Theobroma_cacao_20110822:2:35222654:35225344:-1 gene:TCM_010724 transcript:EOY00799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARCREIDYSSMTMVLNKELTELIQFSELRKLMTMVLNGELTYFLQYCPWMELALQSYKDSPTEYSGRGGSILVRMPSSLQSTYKLPIMRPACGGNRRTQRAGKETDIKSHWIMAWPAWVSFKHASKIHANRIPTPEGLTHLSR >EOY01788 pep chromosome:Theobroma_cacao_20110822:2:40883857:40887794:-1 gene:TCM_011604 transcript:EOY01788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MMKRLLTFFHRQGFVSLTRRKPCFQLTCLSYTTDHPLEYPSMDRTLASMHSISLNPCFALLGLCRNIDSLKKVHALFVINGIKGDLLCDTKLVSLYGLFGHIGCARLMFDQIPDPDFYSWKVMIRWYFLNDLCMEIIGFYARMRMSVRMCDNVVFSVVLKACSEMRDIDEGRKVHCQIVKAGNPDSFVQTGLVDMYAKCGEIECSRKVFSEIIDRNVVSWTSMIAGYVQNDCAEDALVLFNRMREAMVEGNEFTLGSLVTACGKLGALHQGKWVHGYVIKNGIELNSYSVTTLLDMYVKCGSIRDARSVFDELSSVDLVSWTAMIVGYSQSGFPDEALKLFIDKKWFGILPNAVTIASLLSACAQLSNLSFGRLVHALGIQLGLKDSTVINALVDMYAKCGMIGDARYIFETVSDKNIIAWNSIISGYSQNGSAYEAFELFHQMRSKSVSPDAVTVVSIFSACASLGALQVGSSLHAYSTKGGLLSSSVYVGTAVLNFYAKSGDSKSARAIFDSMGEKNTVTWSAMIGGYGIQGDSSGSLALFNDMVKENVEPNEVIFTTILSACGHTGSLGEGWKYFNSMCQDYNFVPSMKHYACMVDMLARAGRLEEAWDFIDKLPIQPDLSLFGAFLHGCGLHSRFDLGEVAIKKMLDLHPDRGCYYVLISNLYASDGRWTQVNQVRELMKHRGLSKDPACSITEMDTYKDLSYSRVACLA >EOX98920 pep chromosome:Theobroma_cacao_20110822:2:7778438:7783670:-1 gene:TCM_007585 transcript:EOX98920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MTVLEYIEKIHSISDELALAGSVVPNTNLILHVLNGVGSEYKKIVVAVRAYDTPINLEELHDKLIEYKSFLAREVAKHTNGFTANVAQFNRNKSSHSDTAIGPMINTHTFNSTNIQTNNHMEILSKAVATQFVEDQFPSLPSQTKDTSVTSHTLPIWSILSLSLHSFANSNAPVTNISSSLPSSVATNFLATDQSDSTSLQNHRTISSAHSPQTSPPSSSSKTTSHSFPNSFMASQPRQYPSYDHSLQKPSFQTNLKNKLQINVLRQHKTWELVPRMSNQNVVGCKWVYRVKHHPDGSVARYKARLVAKGFHQQLGIDYFETFSPVIKPNTIRVMLTLALSQGWPIHQLDVNNAFLHNHLSEEVFMSQPPGFVDTTYPKHVCRLHRSIYDLKQASRAWFEELKRFVLSFGFTNATSDTSLFVYTHGDSIMYFLVYVDDLFITGSSSVLVRKFIDTLSGQFLVKDLGYLHHFLGVKSATPLSSSSSLVLKDGTSATDATQHRKLIGSMQYLSISQPDIAYAHSISHGLFLNHSSSNHFTAYCDVNWAGNRDDFTSTSAFIIFLGFNPVSWCSKKQRTIARSSTKAEYRSIASTTTEIMWLRNLLHELQVSLFRPPQLLCDNLGATYLCANPVFHSRMKHIALNYHFVREQVSTGQLQVAHVSTIHQLAVLLTKPLHKTRFQLLRNKIGVSDDATILRGHNR >EOX99229 pep chromosome:Theobroma_cacao_20110822:2:9321960:9327658:-1 gene:TCM_007839 transcript:EOX99229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSHRPGVNLGASHFWHGGIGEIIGSGGTAVASATGAYHVGYGVHGDHYAHYGNFKHHGGKFKHGKHGKFKHGKHKRRKHGSSGGKFKKWK >EOY00635 pep chromosome:Theobroma_cacao_20110822:2:34097489:34098966:1 gene:TCM_010525 transcript:EOY00635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCHLCLFFFPLFFLLARILNMIKRKASKKRKDAMPPRKHELGKPIFNSERSASVFKKVVAVMDPTTSNPRLRAVRSPLMGDHDVGAETFYW >EOX97535 pep chromosome:Theobroma_cacao_20110822:2:2597748:2600511:-1 gene:TCM_006528 transcript:EOX97535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast signal recognition particle component METLFVNQTLSRLDLSPKPKLPLSISPQPLQFLATKTNLIKPNRGLALFAVQDQEKSFQETGYTLQADIKDEADESYGVVSKIIGNRALPGGKGMEYLIEWKDGHAPSWVPHDFIAKDVVAEYETPWWTASKKADEKALAELLAAEEDWRDVDAVDPDGRTALLFVSGLGSEPCVKILAEAGADIDHRDNAGGLTALHMAAGYVKPGVAKLLLDLGADPELEDDRGLTPLALAKEILKVTPKGNPMQFGRRLGLESVIKVLEGAIYEYAEVQEILEKRGKGENLEYLIKWKDGSDNEWVKARFVAEDLARDFEAGLEYAVAEGVVGKRMGDDGKNEYLVKWADMEEATWEPEENVDPDLIKEFEAGQIEGNGAVKSQPSVDASGQIDGNGAVKNQSSVDGS >EOX98586 pep chromosome:Theobroma_cacao_20110822:2:6284529:6288371:1 gene:TCM_047000 transcript:EOX98586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Undecaprenyl pyrophosphate synthetase family protein, putative MDFKKETRILYCWIAQIGNLLLRSLWRLIHVIINLCYLTLGIAKAFESYLISWGILRRYKSLQVAKLRYLAIVVESKDACQTSKIIELLQWLADVGVKHVCLYDMEGILKKSKDFILEELDGAILFGEAYENNEVPDQAHMTLEFASFSDGKEAVAKAANVLFMKYLKSGATDQNQEEAIFTESQMAEALKTVGSGGPEPDLLLVYGPARCHLGFPAWRMRYTEIIHMGPLKSMKYGSLIKAIYKFTMVRQNYGK >EOY01169 pep chromosome:Theobroma_cacao_20110822:2:37970161:37971975:-1 gene:TCM_011098 transcript:EOY01169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGENCPNFACQDAIFVDMKVNSCKEEILRVLFPKPANLCGEVLPPASYDEGKSSKVVPSLSSGSLPDQDLNAQNQNDSDMLSSKVVPSLSSGCLPDQDLNAQNQNDKCFKNEGNCYKHGYLGKCIFLLNAAAEGCLQKKPAGSEDDDFSREAISMLDEMKQLHTQLEPSELKLEGSISIIGALCEELHSARSALEPFLKQLFSQQDENLISDESVANVMCMTEECSRGKKPASEATVTCKPRGNHKSKKNKRNLAEYIGR >EOY01333 pep chromosome:Theobroma_cacao_20110822:2:39101966:39105413:1 gene:TCM_011264 transcript:EOY01333 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein MSLLFLISFLFSLLLLNPSPSLSQQNHSFSSSDFPWLPTQNRILLSPNRDFAAGFMQIPSSSNHYTFSIWYYNISGNRTTVWSAKTNSTIDRTSSLVISNTSELRLINSAGGTLWPEPAAIGNPNSTLVLKDEGNLVYGTWQSFDYPTDTILPNQTLKAKNGTAMQSKNDKFIFQNSKILVFNSSEYWNIDNAFQKLDENGRVLQDNGATLVSSDFGEPNRLRRLTLDNDGNLRIYSFGSEAGEWEVVWQAVQEMCTVHGTCGPNAICMNDASNSDPTSCVCPPGFRKRANDNNSCEIKIPLRNPGNTKFLQLDYVNFSGSSDQSNLNVKNFSMCQSRCLANPNCLGFGFKYDGKGSCVLQIDRLLYGYWSPGTESAFFLRVDKSETDRSNFTGMTSLLETTCPVNIRLPLPPDESNTTTRNIVIICTLFAAELISGVLFFWAFLKKYIKYRDMARTFGLEFLPAGGPKRFTFAELKAATNDFSNLIGKGGFGDVYKGELTDHRVVAVKCLKNVTGGDAEFWAEVTIIARMHHLNLVRLWGFCAEKGQRILVYEYVPNGSLDKYLFPASRVPSLDKEVEMDPIGTDVPNPILDWNIRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLGDDFCPKISDFGLAKLRKKEDMVSMSRIRGTRGYMAPEWVKMDPITPKADVYSFGMVLLELVSGVRNFEMQGSLMDSEDWYFPRWAFDKVFKEMKVEDILDRQIKHFYDSRLHFDLVDRMVKTAIWCLQDRPEARPSMGKVAKMLEGTVEITEPKEPKIFYLVDG >EOX99918 pep chromosome:Theobroma_cacao_20110822:2:18255686:18266047:-1 gene:TCM_008926 transcript:EOX99918 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MSSGANNNTPFWPTWRSGHDYGAYAPTNSNDPNRIEPIFRHSLPFQATADASRGSRDPWQMPEERVTTRPFSLSGFPDLPRTRTRTPVQIVWLDNVPARAVNPRFSGPLQRFTVQQVQLPASPAQQEDSRSARDEQSNVLSKLKKEIYNPIPKQMTRRLSMYYRDQNRSNLYNEKEREKDEDGKRCAICLEDFEPREQVMLTPCDHMFHEECIVPWVKSHGQCPVCRFVLSERIRQNASSISNNNASNVAATDLFAGELISIIRAMEEAFLWGNSH >EOX99726 pep chromosome:Theobroma_cacao_20110822:2:15156971:15169146:1 gene:TCM_008587 transcript:EOX99726 gene_biotype:protein_coding transcript_biotype:protein_coding description:PERQ amino acid-rich with GYF domain-containing protein 1, putative MAEGKLDLPDDLLSSKPSDRSWTSKVEALGGNDEKVDDSKDQLASESSIPLSPQWLYAKPTETKMDTRVPTSMSTGNFSDPNQKEGWRLDGSEEKKDWRRVVTESESSRRWREEERETGLLSGRRDRRKGERRVDTASMRETTESRSLLSSDRWHDGNSRNPGHESRRDSKWSSRWGPEDKEKESRSEKRTDAEKEKEDTHNDNQSFVGSNRSVSERDTDSRDKWRPRHRMEVHSSGSTSSRAAPGFGPEKGRVENHNPGFTIGRGRSAGIGRSSSASTIGAIYSFRSETVPGKPNLLADTFRYPRGKLLDIYRRQKLDPSFAAMPDGKEESPPLTQVGIVEPLAFVAPDAEEEAILGDIWKGKVTSSGVVYNSCRQGRSNENVSEVGDVESSEEKQGILSQKLSGATVDPLQEAASTDAHRAHVVAGKGVTHEEVDRISSSSRPPNSDGFVPTVPKTNGICSAMEVGSTHHNISENWQMDFASFGHPQFEGNESTPSSDIKLNLPGDSSSLFHVAFEQNQSSDGQLMESNSEAKSVGGGTSLEEFTLFYVDPQGNTQGPFLGADIIMWFEQGFFGLDLLVRLADSPEGTPFQELGDVMPQLKAKDGHGSVIDLNKLEESGAFGVNLEASLPASAPVSNIPASSIENDLHHSVSEFNSLSFQHVQSRISEPEAPLQMPHSEGQNFEDFVAQDEEIVFPGRSDNSGNPVAKSSGHVHDPLANSSNHLSLPIELTETCMPNQNNSKLHHFGLLWSELESAQSRNNQSSNGIGRAASYGPAADPAVAGGESWSDVYRKSVLPDNNLYQDVLAARHMLHVEQESNHFDLAEQLMSQQAQKQQFQQLNMLSPHARLNESVLEHVPSQNQNLVRQRQLSNHSAPDMEHLLALEMQQQRQLQLQQYQLQQQLQFHQQQKLLQERQQSQVQQVLLEQLLRGQVPDPGLGQSYLDPILSKNVLDQILLEQQLIHELQHQSHNHQRHVPSIEQLVQAKFGQAPQEEPQRDLFELISRAQHGQLQSLEHQLLQKEQLQRQLSMGLRQHNEQRDLDSIWPADRTNQLLRSNAGINQVHSSGFSPLDFYQQQQRPIHEEPLSHLERNLSLRDQLNQVRFEPSSLQFERSMSLPAGASGVNMDVVNAMARAKGLDVLEPSTHIQSTGQAVTFSSGIHPHNPHHSLVPDQGHVSQLDANEGRWSESNGQLGNDWLESQIQKLCINSERQKRDLEVKMTSENPGLWMSDGLNEDKSRQLLMELLHQKSGHHPESLDRASSGIYTGSSSLDHPFGVLAEQEAGLNKSFMVGSYGSSSSEPSHISLADKQAGSLESNERLPFRAESGAFSEGQPFLSRVGENTQAIYRGANMTGLLTAAKELPDLECRNYGSKSDALTMGSMFEGQDGKAKPGRLASAEKGEIPINALSRHSSLGVSGGNAGFYGDQIGSCNLFSEDIAKDCVQVPAKAQDNMLLRHIPVSRTSSSQEGLSDLVSNPGSRGKNSLSSNEGGKRDFEGNVANHLDIAASAKKEMRFRRTSSYGDGDVSEASFIDMLKSNAKKNATAEVHGTAGPESSDGTQGGRGGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIDD >EOX99375 pep chromosome:Theobroma_cacao_20110822:2:10818228:10821498:-1 gene:TCM_008056 transcript:EOX99375 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase MRSKKQNLLEKKEMDSKEAKALVQGQATIWQFMFGFADSMALKCAVELRIADIINSHHRPITLAQIASCIDGSASPDITCLARIMRFLIRRKLFIAHHPSDGGECLYGLTHSSRWLLHDSELSLAPLILMQDHPWLMAPWHYFSRCAREGGIAFKVAHGREVFEFASANPTFNKLFNDAMACTSKVVTRAILSEYKDGFSSIGSLVDVGGGTGGMISEIVRAYPHVKGTNFDLPHVIETAPTYHGVCHIGGDMFQAIPNADAVIMKWILHDWSSEDCIKILRNCRDAIPSETGKVILVECVLQPDGSGLFDDVSLILDLVMLAHSSGGKQRTELEWKKLLNEAGFPRYKVLNIPALPCIIEAYPK >EOY00637 pep chromosome:Theobroma_cacao_20110822:2:34156470:34158573:1 gene:TCM_010532 transcript:EOY00637 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein METKIFRLVNGSGPLSLTPKYILPQEKRPNLSEITILASIPIIDLKEPSALVVEQISKACEEYGFFQIVNHGIPVELCDKMMAVITDFFELPPEEKAPFFTTDLTKQVKLFNYFVKDGSQNKVSMWSECFSHPWHPLDDIIHLLPQNPPQYREVVAEYAKEIGVLMKRLLSLISQGLGLEKGCLVKKLGEKPILRAQGNYYPPCPDPELTLGLNVHTDLNALTIVRQSEGVTGLQVIREGKWVAVESIPNAFVINLGDQIQVLSNGRYKSVHHRAVTNKTQKRVSVATFYAPSRDAIIGPIEDLIDEQHPAVYRKYHYSEFLDEFYKQEGTRRLVKEIFELKY >EOX97271 pep chromosome:Theobroma_cacao_20110822:2:1777447:1781005:-1 gene:TCM_006346 transcript:EOX97271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene monooxygenase isoform 1 MVDQVILGGVIASLLGVVLLHHIRRRKVKGASSMGIIQGNECLESSQNEMLSGSEIATTSTDIIIVGAGVAGSALAYTLGKEGRRVRVIERDLSEPDRIVGELLQPGGYLKLIELGLDDCVKQIDSQQVFGYALYKDGKNTRLSYPLEGFRSDVSGRGFHYGRFIQKMREKAASLPNVSLEQGTVTSLIEEKGTIKGVTYKTNDGQELRANAPLTVVCDGCFSNLRRSLCNPKVDIPSCFVGLVLENCDLPYLNHGHVILADPSPILFYPISSNEIRCLVDVPGQKVPSIPNGDMAQYLKSVVAPQIPPELYTSFISAIDKGNIRTMHNRSMPAAPYPTPGALLMGDAFNMRHPLTGGGMTVALSDVVVLRDLLRPLRDLHDASALCRYLESFYTLRKPVASTINTLAGALYKVFCASPDPARKEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVLHFFAVAIFGVGRLLLPFPSLNRVWIGARLISGASGIIIPILKAEGVRQVFFPVTVPAYHRAAPVH >EOX97272 pep chromosome:Theobroma_cacao_20110822:2:1777635:1780708:-1 gene:TCM_006346 transcript:EOX97272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene monooxygenase isoform 1 MVDQVILGGVIASLLGVVLLHHIRRRKVKGASSMGIIQGNECLESSQNEMLSGSEIATTSTDIIIVGAGVAGSALAYTLGKEGRRVRVIERDLSEPDRIVGELLQPGGYLKLIELGLDDCVKQIDSQQVFGYALYKDGKNTRLSYPLEGFRSDVSGRGFHYGRFIQKMREKAASLPNVSLEQGTVTSLIEEKGTIKGVTYKTNDGQELRANAPLTVVCDGCFSNLRRSLCNPKVDIPSCFVGLVLENCDLPYLNHGHVILADPSPILFYPISSNEIRCLVDVPGQKVPSIPNGDMAQYLKSVVAPQIPPELYTSFISAIDKGNIRTMHNRSMPAAPYPTPGALLMGDAFNMRHPLTGGGMTVALSDVVVLRDLLRPLRDLHDASALCRYLESFYTLRKPVASTINTLAGALYKVFCASPDPARKEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVLHFFAVAIFGVGRLLLPFPSLNRVWIGARLISVCAHLYTPFLVTSTRNYSFFYLI >EOX99270 pep chromosome:Theobroma_cacao_20110822:2:9688982:9692707:1 gene:TCM_007880 transcript:EOX99270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWLSINSGWDMENDVLLDMSWSTRLCRGNAILHEDFEINYSEHLKVYSKVLRKDLLDEGCRNVRVREPDR >EOX99701 pep chromosome:Theobroma_cacao_20110822:2:13957998:13959554:-1 gene:TCM_008477 transcript:EOX99701 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441 MEKLNFVKNGVLRLPPGFRFHPTDEELVVQYLRRKVLAWPLPASIIPEVDVCKADPWELPGDLEQERYFFSTREAKYPNGNRSNRATVSGYWKATGIDKQIITSRSNQVVGMKKTLVFYRGKPPHGSRTDWIMHEYRLVSAETAACNAPHKKSQTQSHAVPMENWVLCRIFLKKRSSTTKNDDDSMQSCNENGARKVRTSRPVFYDFLTKDRTDLNLAPSCSSSGSSGITEVSHNDTDDHEESSSCNSFPYFRRKP >EOY00968 pep chromosome:Theobroma_cacao_20110822:2:36200212:36212448:1 gene:TCM_010873 transcript:EOY00968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGNVNFLKFGSTAFDSISWNFLDHVMGFIGFGVKWRCWIKDCIFTAKISILVNGSPSRQFNMERGLRQGCPFSPLLFNIAGEALSAMLCKVESIKICKGVMIGRNGLSLTHLQYADDTILFFNFTKSHLIGIGINQMLVGRWAEKIMCKVGYLPTTYLGLPLGAKHNSTRFWDLVFEKVRRKLAGWKTKMLSFGGRITLLKSILTSMPVFYMSLFQVPHKVKNELKKLQRKFLWGGDDQKRKIHLVKWDKVCNYKDCGGIGITNIEIKNRAMLNKWIWRYGMETDSLWRTVIVDKGSSNPSILLPNMSVVPNFGFAVSKGDNMLFWSDEWIDGIILSQAFPRIFALAVNRNEVIFSGKEWNVDQCLDLVKVRVVSWSNAKWPADYSSVLDTYKEPLACGQPRKNRKNIKNILWTAPNERTLKFNVDGATQGCLGPAGIGGLLRNCKREVKIIFSKHISEADSNLAEYRAVREAFAIFVASKWKEDYSLLIENNS >EOX98197 pep chromosome:Theobroma_cacao_20110822:2:4827897:4828717:1 gene:TCM_007009 transcript:EOX98197 gene_biotype:protein_coding transcript_biotype:protein_coding description:CUB and sushi domain-containing protein 3 MGRFTFAQGLVLVLIAASMLGVSLANKDWGYGFNHSAWGSHHPHNHTNGPKKIIVGGSQNWQFGVNYTDWSLKNAPFYFNDTLVFKYDPPSNTTFPHSVYLLPNLRSFLNCDLRKAKMIANPTQGGGNGFEFVLKRWRPYYFACGERNGFHCKDGLMKFAVVPLLRWYY >EOY00585 pep chromosome:Theobroma_cacao_20110822:2:33738420:33743253:1 gene:TCM_010477 transcript:EOY00585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate phosphoribosyltransferase, putative MRALLTPEPSLSFSSINTNSRNPNPSRTRTSLHFSARDGGHRLIGRKSRLTATRAALDSATMEQLGLKESDIRNPAISSTYRNSKLPKPNQTVLEAQARVCTGPTQTRPLSEEQAFKVLDTILRSVRGELKDEEQVSKAQLGAFFAGMTIRANAFPEATQWSEGESRAMNIFWPLLARALPADMIFIADPEGSIMGSGSSIGPQFVGNGTSEMRLVGALREILAGGHLGYEEVQGVLRDVLPLKLEDGKSTGVSESLLSAFLIGQRMNRETDRELKAYCLAFDDELGIPPVADVRSLTHYGEPYDGNTRYFRSTLFVAAVRSCYGESSLLHGVEWMPPKGGVTEEQMLKFMGANTNLSLHQAKELIEDEEVGFAYVSQREARPSLYSLIGLREQIKKRPPLATTEKVQQFIRAKGRESIVTGFYHEGYEEPLLMLMKRRGVHSGLVVKGEEGALSMTTRLRSVNTSERLPVNYCSGFRSVGMESACEVDGVSRQSFRLEVNAMDYGFEPTDTPRTDRSVSKNIELGLAALHGQKGPAYDRIVLNAGIVDHLLGCDGAEDVSLALDRAREAMDSGKALRRLLHYIKMSHKGK >EOX97167 pep chromosome:Theobroma_cacao_20110822:2:1421192:1422143:1 gene:TCM_006254 transcript:EOX97167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKARNSPDQINNVADIQARAGSGQGINPGSHVVEQGATYMECRRNYVASIGGYIIDGCPKFVEGGHDDEIKEALLCATCGCHRSFHRKVVLPPPHLRDTRYSIMNYLRSLPLAALQPRPPTPWLMQSPILPNCELIEGQGSSTSPDESESDSGDEINQASKINKAG >EOX98158 pep chromosome:Theobroma_cacao_20110822:2:4713434:4720665:1 gene:TCM_006984 transcript:EOX98158 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein MGEIDPAFIQEPEHRPKLRSIEVNEIPVIDLSVYNTGDTKQIASEIGNACKKWGFFQVINHGVPLELRCRVEKVAKAFFDLPIEEKRKVKRDELNFTGYHDEEHTKNVRDWKEVFDMLIQDPTLLPASPEPDDEEITTYTNKWPEYPPEFRETCQEYAREVEKLAFKLLELISLSLGSPANRLGDYFKHQTGMLRFNYYPPCPSPELALGVGRHRDGGALTVLAQDDVGGLQIKEQSSGEWIPVKPIPNAYIINIADSLQVWSNDLYVSAEHRVVVNSERERFSIPFFFFPSHYVTVKPLEELVNEQNPAKYEEYNWGKYYVNRTGSNYKKLEAENIQIHHFKRSD >EOX98631 pep chromosome:Theobroma_cacao_20110822:2:6543547:6555891:1 gene:TCM_046720 transcript:EOX98631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 6B2 MMDIGSTELNEQPQMLPPPPGTFVDREELIQHVGEFSVSQGYVVTIKQSKREKVVVLGCDRGGVYRNRRKTVDESSVECIRRRKTGSRLTNCPFEVVGKKDDGLWVLTVKNGTHNHEPLKDIAEHPSARRFSEKEVLLIKEMTEAGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNMSVRNYKSLRPQKSAVRNNYLSVMEPPWRQRNPPRVPNLIGGRFVDSQSFASIDVINPATQEVVSQVPMTTNEEFRAAVFAAKRAFPSWRNTPITTRQRIMFKFQELIRRDIDKLAMNITNEHGKALKDAYDDVLCGLEVVEHACGLATLQTGEFVSNVPNGVDTYAIREPLGVCAGICPFDFPAMIPLLMLSIAVTCGNTFIVKPSEKDPGAAVMLAELAVEAGLPNGVLNIVHGTNEIINDMCDDDDIKAISFVGPYTVGAYVYSRASAKGKRVQCNIGAKNHAVVMPDASMETTLNALVTAGFGGAGQKCMALSTVVFVGGMTPWEDKLVERCKALKVQPGTKPDTDLGPLISKQAKELLCRLIQSSVESGAKLVVDGRNIVVPGYEHGNFIGPTILSDVKASMECYKDEISGPVLLCMEADSIEEAIDIVNRNKYGNGASIFTTSGIAARKFQTEVDVGQVGINVPISVPLPFSSFISSKPYIAGDLNFDGKAGIQFYTQIKTVTQQWKDLEGSDVATLQVPSS >EOY00499 pep chromosome:Theobroma_cacao_20110822:2:33071437:33075144:1 gene:TCM_010384 transcript:EOY00499 gene_biotype:protein_coding transcript_biotype:protein_coding description:DC1 domain-containing protein MAEAESNVVETINGDCHDFQSLLSSSNRDFLVRNNGDRVKIDSLNGTKLGLYFSASWCGPCRRFTPNLMEVYSELSPKGDFEIIFVSGDQDEESFNGYFSKMPWLAIPFSDSETRSRLDELFKVMGIPHLVLLGENGKVLTDDGVGIIQEYGVEGYPFNPEKIQELRDLEEKARTEQSIKTILVSRSRDFVVTSDGNKVPVSELEGKTVGLYFSVSSYKASADFTPKLAEVYKKLKEKGENFEIVVISLDDEEEESFKESFVAPWLALPFKDKSCKKLARYFELSTLPTVVIIGPDGKTLHSNVAEAIEGHGIQAYPFSPERFAELAEIEKAKEAAQTLESILISGDLDFVIGKDGAKVQVTELVGKTVLLYFSAHWCPPCRGFTPKLVEAYKKIKAKNEAFEVVFVSSDRDQASFEEYYSEMPWLALPFGDARKPLLSRKFKVRGIPMLVAIGPTGKTVTKETRNLIMAHGADAYPFTEERLKEIEAQYEEMAKGWPEKLKHELHKEHELVLSRRTYYNCDACGDQGQVWSFYCGECDFDLHPKCALEEDKGSKADEEEGTPKEGWVCDGEVCTRA >EOY02187 pep chromosome:Theobroma_cacao_20110822:2:42253737:42254272:1 gene:TCM_011895 transcript:EOY02187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASLKAEKPVGTQLFGQAKKEPAKASDGASKPAAKKGVAQKPQQPSKKKKGKGGKAASKH >EOY01938 pep chromosome:Theobroma_cacao_20110822:2:41410167:41411397:1 gene:TCM_011715 transcript:EOY01938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34e superfamily protein MVQRLTYRTRHSYATKSNQHRIVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLPRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQSSKS >EOX99769 pep chromosome:Theobroma_cacao_20110822:2:15917518:15925020:1 gene:TCM_008667 transcript:EOX99769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein KLFARLLFAHLCIVYYYCLHLPTLYQCHLAQFLHHVFGGFRYFRKKNDENASMSQKIIFYYFDLETTYNFLKYEI >EOY02192 pep chromosome:Theobroma_cacao_20110822:2:42276533:42277983:-1 gene:TCM_011899 transcript:EOY02192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPMSWALILTCLSFQLAITNAQGPAASPSTTPTTITPPSTSPPPPTATPPPSTATPPPTTTPPPSTTPTAPAQPPATTPPPVTTPAPKVAPATSPTVPPPQIPPPQPPQTPPTTAPVQPPAVPPPPASPPRVSPAPAQAPPAPAPAKEAPVPAPAKLPPALAPIILPPAPAPAPSKHRRRHRHRHRRHHAPAPAPTVPSPPAPPTVPTTDDTTPAPSPSLNLNGGDSLFLAGGKSLWARTGLTIAILIAITGYSF >EOX99681 pep chromosome:Theobroma_cacao_20110822:2:13633496:13636556:1 gene:TCM_008436 transcript:EOX99681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFLGINGGNENDHRMQYIIMLSLFGTHQSSVGLLDPRRESVVSTFLLVFQFLELLPGRRQVILGYKTKTPDFISEGRQSRTKSLKQYLISGQVGFPLINGKPNEVTPLPSFSSSSFPFIISLFQFQHQPNPRPNLH >EOX97470 pep chromosome:Theobroma_cacao_20110822:2:2383360:2385576:1 gene:TCM_006472 transcript:EOX97470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-dependent monooxygenase 1-like protein MRISRTRTMPRETIDFEGPTDEEMRSWHLWGGTDEPFSSKGKWNVVVQDTQNHSTEVYQVDFVVLGLGRFSGIPNIPSFPPGKGPETFHGEAGFSDMKFQSILFPGVELPCTVLYKTERWGVPNYLPWGMPLAYLYLNRFSELLVHKPGEGFLLSSLATILSPLRTEIGSLLLNRDGYSQNSSRVISNGNLPLAKFAMVPKHSFHKEISSCLTSTVPKNFYDRVEEGSILPKKTQNISFMKKDNGK >EOX99733 pep chromosome:Theobroma_cacao_20110822:2:15254490:15270258:1 gene:TCM_008594 transcript:EOX99733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCHAGPCAFTYETKSLNKTDEKMEKERDKVAMGAIGLHGGAKEVKAWAFAIVARMKNLRFVMVEREAMHLKIGAFMLLMES >EOY02188 pep chromosome:Theobroma_cacao_20110822:2:42254568:42261232:-1 gene:TCM_011896 transcript:EOY02188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MPSPILPISNHPSSASAATMLLKAEIDPDPSTSLLISSQFDTEKAIPIKPLLPRASSYNSTSNVNNSNAFINYQQRRRRTASDSSLALLADESPRQSISREVGHAAAETFLITRLSLKLLRYLGVGYRWITRFLALGCYALLLMPGFIQVGYYYFFSRQVRRSIIYGEQPRNRLDLYLPKGSQGPKPVVAFITGGAWIIGYKAWGSLLGQQLSERDIMVACIDYRNFPQGTISEMVKDASQGISFVCNNIAEYGGDPNRIYLMGQSAGAHIAACSLVEQAIKEAGEGDSVSWSVSQIKAYFGLSGGYNLFNLIDHFHSRGLYRSIFLSIMEGEDSMRRFSPEVLVQDLNIKHAVSLLPPIILFHGTGDYSIPSDASKNFADTLQRLGGKAESVLYDGKTHTDLFLQDPMRGGRDEMFEDLVAIIHAGDEDALARDAVAPPRRRLVPEFMLKLAHSVSPF >EOY00498 pep chromosome:Theobroma_cacao_20110822:2:33035586:33053827:1 gene:TCM_010382 transcript:EOY00498 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MKAGSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLRWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTNVTRSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRAPHKRKSELHHALCNMLSNILAPLAEGGKNQWPPTGVEPALTLWYEAVGRIRVNLMHWMDKQSKHIAVGYPLVTLLLCLGDPQIFHNNLSPHMEQLYKLLRDKNHRFMALDCLHRVLRFYLSVHAANQPPNRIWDYLDSVTSQLLTVLRKGMLTQDVQHDKLVEFCVTIAEHNLDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPSSQHIGLEIFKGHDIGHYIPKVKAAIESILRSCHKTYSQALLTSSRTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRSDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAVMRGMANFILRLPDEFPLLIQTSLGRLLELMRFWRACLIDDKLEQDAQDAQDAKRMLQQSNGFKKSSFHQPGEAIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDLTLREQPDHSIRYEAEPIFIIDVLEEHGDDIVQSCYWDSGRLFDYRRESDVIPPEVTLQSIIFESPDKNRWARCLSEIVKYAAELCPSSVQDAKVEVLQRLAHITPAELGGKAHQSQDVDNKLDQWLMYAMFVCSCPPDSRETGSIAATRELYHLIFPSLKSGSEAHIHAATMALGHSHLESCEIMFSELTSFVDEVSSESEGKPKWKSQKQTRREDLRVHIANIYRAVAENIWPGFLGRKPVFRRHYLRFIEDTTKQIGQASAESFQETQPLRYALASVLRSLAPEFVDSRSERFDLKIRKRLFDMLLPWCDDTGSTWGQDGVSDYRREVERYKTSHRSKDSVDKISFDKELSEQIEAIQWASMTAMASLLYGPCFDDNARKMSGRVIFWINSLFNEPAPKAPYGYSPVDPRTPSYSKYTGEGRGAAGRDRHKGGHHRVALAKLALKNLLLSNLDLFPACIDQCYYSDPAIADGYFSVLAEVYMRQEIPKCQIQRLLSLILYKVVDPSRQIRDDALQMLETLSVREWAEDGTEGSGSYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLSQRMLEDSIELIGPGANRADANGNFILEFSQGPAAAQIASVADSQPHMSPLLVRGSLDGPLRNTSGSLSWRTAGVTGRSASGPLSPMPPELNIVPVTAGRSGQLLPALVNMSGPLMGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDILHSGVGMHGVNAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYEVESSDGENKQQVVSLIKYVQSKRGSMMWENEDPTVTRTELPSAALLSALVQSMVDAIFFQGDLRETWGVEALKWAMECTSRHLACRSHQIYRALRPSVTSDTCVLLLRCLHRCLGNPIPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFIHVYCQVLELFSRVIDRLSFRDRTIENVLLSSMPRDELDNVDIGDFQRMDSRGYDLPATSGNLPAFEGVQPLVLKGLMSTVSHGVAIEVLSRITVHSCDSIFGDCETRLLMHITGLLPWLCLQLCKDPLVGPASPLQQQYHKACSVTANISIWCRAESLDELATVFMAYSRGEIKSIDNLLACVSPLLCNEWFPKHSALAFGHLLRLLERGPVEYQRVILLMLKALLQHTPMDSAQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSLPGSHPHESGTFENGTDEKMLAPQSSFKARSGPLQYAMGSGFGVGSTSVPQAVSMESGMTPREVALQNTRLILGRVLDSCALGRRREYRRLVPFVTTIGNP >EOX98728 pep chromosome:Theobroma_cacao_20110822:2:6866444:6867588:-1 gene:TCM_007423 transcript:EOX98728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLSADGSALGKPESTELAAIKKGISFFLSNPWSNSHSLIIQGDSRNIVNWVNNHNSVPWRMKSLSNSIEVLKCDVKAIIFKHIFREENNLAGGLVKQVLCNRVNSVQYFNASPVIDLTIMIYDGLQFIPTFSLFFSMEDGYSFWEVYCKSLVPTVLFFIYFISACTSGHE >EOY00231 pep chromosome:Theobroma_cacao_20110822:2:30145621:30149069:-1 gene:TCM_010035 transcript:EOY00231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRRTTEKVEARQKNEKEVKLADCGTNIQNFSFSSSVDISSQKLEIHPFKEKCRKSTSDIPSIPQDVKSNSDDDYSERKQRSIRQNGRLYGALPNNGAIEEFASIILNYGLMDAGYEGIQYTWANSSIDFFNNLMQPETSDLSIFNDPLILSVISMEDNNVLSVPPTFEEVREVVFGIEKNCLVGRDGFSSYFYQCY >EOX98252 pep chromosome:Theobroma_cacao_20110822:2:5056815:5058814:-1 gene:TCM_007057 transcript:EOX98252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disulfide isomerases, putative MLPPNPSLFHAKPSPLLPCLSRTSLRCSATGEIPAGLIFPKWFHFPTSTTASSDIFGGGVRIGQDNLEAPAGSSIKVKKWSRNRESYLSNDDDPLPLPMTYPDTSPVTPEEIDKRLQCDPKIQDCKEVVYEWTGECRSCQGTGLVSYYNKRGKEIICKCIPCLGIGYVQKITARKDIEVMEDLDNGKPP >EOY01317 pep chromosome:Theobroma_cacao_20110822:2:38997596:38998780:-1 gene:TCM_011250 transcript:EOY01317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSITPALSLKMLPIPTSLGFPQAALSVLNLSQPSFGFDQEGEFLAFLANLNHTAQALIISGSSIAAYFITVNKTVKESARRNSRAQFEWPDLAVVQRFQEQQ >EOY01974 pep chromosome:Theobroma_cacao_20110822:2:41565427:41567556:-1 gene:TCM_011751 transcript:EOY01974 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22 C MVSIDPYVAQCNWCFMCAMIFTTTHDCIPKYSVPLFLCSIRFSGEISVFQPKGRKTKPIPQGQKLNLVSRSKGVMGSPNFLQVVAKNFDVLALPLVTLVYPLYASIKAIETRSSNDDQQWLTYWVLYSMLTLFELTFAKVLELFPIWPYAKLIVTCWLVLPHFNGAAYVYRRFIRPFYMNPQSASTIWYVPRKKNIFSKQDDILTAAEKYIQEHGTEEFARLVTKAEREERYKRSNNYMIFDDDYIY >EOY00186 pep chromosome:Theobroma_cacao_20110822:2:28932734:28942668:1 gene:TCM_009922 transcript:EOY00186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTTLTWCIFANVVVWCSGMLTCKPVNMGQPGPSPSGLKKYGTSGASSFFIWAVKSPIQPPLASPWTGAGPPQPTPAH >EOX98515 pep chromosome:Theobroma_cacao_20110822:2:6034838:6036976:1 gene:TCM_007258 transcript:EOX98515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLAFHSNKNQKLISLIFSFSLFAIGSPFSSNTDRHSLSVPIINFALYPSTPLPLLTLSSPLNFATSMASRAIKSTTAKQLKEHLQEQQDPFTLSIYLSERGYLVKCLSSNGRNGCCQINLFKNLSRPRSYNLNKKMVLISTRIVKSILYKLVSSNDIQEPSCRSDKAHQDEFQTAETNGFTEVKGLTPFGAFPSCAPEEEPLPLKHCRTSQASNVGYVEQQKTLTDKTCQRKCTQEKHLNLMSMLNTLSSDKVHHIITRQESLSSRSSTLTENAGGNFMFTTFPWKWLGKSLIERYSLIGFKESKEIIEPCSPQHRRSKELVNRRKPLFNLTGEREPIQNNDRKNVRNKYNYIHWFIGVGNPIKAEQIYSCTKYSRDSSMDFSNTLEEWNYSRQMQRKIGFELGDTIMDEIIEEIIYLLRQ >EOY00133 pep chromosome:Theobroma_cacao_20110822:2:26431308:26440281:-1 gene:TCM_009681 transcript:EOY00133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTNFAKQREQRFFIYKNLYIDSIKHLKIGIISLPFLLLPSIFSNPSQLEFFLRIEVARSLAGIELSQHEHVLDILAESGFTSCKPANFPIEQQHKLLLDFVKI >EOX97586 pep chromosome:Theobroma_cacao_20110822:2:2766469:2767919:1 gene:TCM_006569 transcript:EOX97586 gene_biotype:protein_coding transcript_biotype:protein_coding description:21 kDa seed protein, putative MMKTTLAMLLLLLFVFLSKSSAADEDGPVYDLNSDELCPGVEYYVVPAIWGAGGGGLYLGKGRNQTCPYDVVQEKPALPVTFSPVDTKGGVIHGSADLNIRFIPPGPTACSQSTVWEVDSYDGSRGECEIGRYSSGFDPLIPLVLSDNELPFVFIKAGHEVLKQVVHI >EOX99152 pep chromosome:Theobroma_cacao_20110822:2:8725164:8729110:-1 gene:TCM_007749 transcript:EOX99152 gene_biotype:protein_coding transcript_biotype:protein_coding description:YELLOW STRIPE like 7 MERSGERGEEWETASHETAEAFQTDQVFVEDAFRNTQVPSWTNQITLRAVVTSLLLSLVFNFIVCKLNLTTGVIPSLNVAAGLLGFAIVKAWTTTLAKVGFLKQPFTRQENTVIQTCVVASSGIAFSSGTASYLLGMSPLVAGQGDSGNTPENVKKLSIGWMMGFLFVVSFVGLFSIVPLRKIMILKYKLTYPSGTATAYLINSFHTPKGAKLAKKQVVYLFKSFVFSFLFAFFQWFYTAVDGCGFSNFPTFGLQAFNKRFYFDFSSTYVGVGMICPYMVNVSLLIGAIISWGIMWPMIESKKGIWYSANLSPSSLHGIQGYRVFIAIAMMLGDGLYHVLFMLIKTIHSLIAKNFSKDSSVVTPDVTDQAAQIANYDERRRTEYFLKDQIPNKVAMGGYIVLAVISIIAVPFIFHQLKWYHILVAYAIAPVLAFCNAYGCGLTDWSLASNYGKLAIIIFSSWVGLEHGGVIAGLASCGVMMSIVSTASDLMQDFKTGYLTLSSPRSMFFSQVLGTAMGCFLTPLVFWFFYNAYPIGDPNGTYPAPYGLLYRGIALLGVDGISSLPKNCLNLVIGFFLAGIFINLLQEFLKRYETRLGIYRFIPSPMCMAIPFYLGGYFAIDMCIGSLILFLWERKNRQRATDFAPAIASGLICGDSLWSVPAAILALLNVNPPICMKFLSASVNAKVDKFLDGS >EOX99790 pep chromosome:Theobroma_cacao_20110822:2:16393772:16395652:-1 gene:TCM_008722 transcript:EOX99790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNMFYSIFIVDFIGEFVFNLGSQAESWFCNSSTSATIMPLQEVNVCHLFVNSVGQSSRLQRKIGKSMADWGPVVIAVVLFVLLSPGLLFQLPGRSKVVEFGNMQTSGISILVHTIIFFGLITIFLIAIGVHIYTG >EOY00987 pep chromosome:Theobroma_cacao_20110822:2:36306833:36308161:1 gene:TCM_010887 transcript:EOY00987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFTITKNTGYGLSYWLEFLKHWREACFFIEGWGYSVACRTAKRFEGTNCYYVICGFSCETIFVFIEAEQDTG >EOX98925 pep chromosome:Theobroma_cacao_20110822:2:7801639:7803485:1 gene:TCM_007590 transcript:EOX98925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSSFMLQAPPIFDGDNYLIWFVKMKAYLGAFGLWDVVETGGEPPALPANPTIAQINQHNEEVAKPYKALSYIHSAVTDAIFTRIMTCETPKEASDKLKEEFQGSERTRQRQILNLMREFEVLKMKDNEPVKEYIDKLVLIVNQVRLLGGDMPEKRLIEKVLVSIPERFESIISFLGWSKDLSKLTLTEVVDALQASEYRRAIRLDVITENALHAKEEGQETRNSLQALKQGCSSFRESRGSMT >EOY01532 pep chromosome:Theobroma_cacao_20110822:2:39871164:39871770:-1 gene:TCM_011396 transcript:EOY01532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like family protein, putative MRKASLGVASLLVIMTVASCVSNSVAQSEADAKCSRDIDCAFQCKHGGFCDLKTGRCSCLPAAASRNVVPIVDANCRRDPDCAKVCPRGCKITNCINGTCFCEC >EOX98125 pep chromosome:Theobroma_cacao_20110822:2:4643615:4644959:1 gene:TCM_006960 transcript:EOX98125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQNPDQARARFSKRLTQNEVDKCLLLFHITAVAGMFTFDKDRLFCLDAVGRSGKAWTLLASFQTNEAWGSVFSISCPEFVREYALRANDEVIFVRQALDDNDKAPRMKFKIEVRRKIRLFGQDIWGEVMV >EOX97558 pep chromosome:Theobroma_cacao_20110822:2:2669328:2670322:1 gene:TCM_006546 transcript:EOX97558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel family protein MRFMREDEALRTMNIFEGAYEKRRISKSSLKNWVVNVYRERRALALTLNDTKTAVNNLHHMVNVIAFIIIAVIWLVLLEIASSRIILLFSSQLVVAAFIFGNTCKTIFESIIFLFIIHPFDVGDRCEIDGAQFVVEEMNILTTVFLRFDNLKITFPNSVLSTKPIGNFYRSPDMGDSIDFCIHIATPIEKVAVMKQRILRAERSIGVVLPRLY >EOY01292 pep chromosome:Theobroma_cacao_20110822:2:38853841:38857629:1 gene:TCM_011231 transcript:EOY01292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 3 isoform 1 MVLLCQKTMLLTLASTLLLGLFVVSCVAELQRFEHAAKPDGSLSFLVVGDWGRRGLYNQSEVALQMGIIGEKLDVDFIISTGDNFYDNGLKGVDDPAFHESFTDIYTAPSLQKQWYSVLGNHDYRGNVEAQLSPILTKMDSRWLCLRSFILNAGPEMAEFFFVDTTPFVRRYFIDPEDHVYDWKGVLPRKNYLKNLLMELDSALRESKAKWKIVVGHHTIKSAGHHGNTHELAKHLLPIVQAYDVDFYINGHDHCLEHISSTESPIQFLTSGGGSKAWRGDVNWWNPQEMKFYHDGQGFMSVQMTRTEVDATFYDVFGNVMHKWTTSKQLSSAI >EOY01293 pep chromosome:Theobroma_cacao_20110822:2:38853865:38857635:1 gene:TCM_011231 transcript:EOY01293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 3 isoform 1 MVLLCQKTMLLTLASTLLLGLFVVSCVAELQRFEHAAKPDGSLSFLVVGDWGRRGLYNQSEVALQMGIIGEKLDVDFIISTGDNFYDNGLKGVDDPAFHESFTDIYTAPSLQKQCTFGISVLGNHDYRGNVEAQLSPILTKMDSRWLCLRSFILNAGPEMAEFFFVDTTPFVRRYFIDPEDHVYDWKGVLPRKNYLKNLLMELDSALRESKAKWKIVVGHHTIKSAGHHGNTHELAKHLLPIVQAYDVDFYINGHDHCLEHISSTESPIQFLTSGGGSKAWRGDVNWWNPQEMKFYHDGQGFMSVQMTRTEVDATFYDVFGNVMHKWTTSKQLSSAI >EOY01944 pep chromosome:Theobroma_cacao_20110822:2:41433295:41437321:1 gene:TCM_011721 transcript:EOY01944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome kinase substrate 1, putative MWMVWTWLAEMNVVLIVGHGFQFVCLFSGFGIFEGINPRLPETRRKLYFVSSLFNILLLVPANILSEVSGAPSMAMLTLKSTYNTNLSQTVALEKNNGSPCDASFSTFLSGAEENYVRKQLSESGRDLGPKISNQGDHHYSGRKKEEDGEIGVFGAEKYFNGGIDADSPRLTKIDAMKLECVKREGVNLEPIKPVIHQGIPSVRSESSWNSQSALLQIVTRNPPRPKANKVNGKTFLSGLVACKCYCSDRDSVDIEEQVGEISFKRTANGGVVQGRQNKAAASKASLEVNKPPAEPWIKEDLFTFPTMNSTVGIQPVNVPLQGEVDEIGRKSLEVFGSPVLGRRNKPLNLGRRLKMLSWDSNPKAEETENPKGNYNDTESDASSDLFEIESLTGKAYPFLARQASEAASGCVTPTTCYAPSEVSIEWSVVTASAADFSGMSDYEELRPSTTTLPSPIKTFSTTIDAKTKSDKEFQRRRSGGLLGCNSQKAVKVAEDAYKTNDKAGFEPRMRRVSDSYTPATRFRAETQLAGFEPIQTPRILATRSLPHPHSSPRASPLLYIQ >EOY00649 pep chromosome:Theobroma_cacao_20110822:2:34267438:34270182:-1 gene:TCM_010552 transcript:EOY00649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTWQMQPLNLHKEFEILRMKENEIIKGYSDKIMKLVNQLRLLEEDLSERRIVNKVLVSLPKKFEAKISSLEDSKDLSQLTIIELVNTFQAQEQRHFI >EOY00819 pep chromosome:Theobroma_cacao_20110822:2:35411627:35413654:-1 gene:TCM_010754 transcript:EOY00819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSQLNQMRPLKHSEPGPLPDLNNLVQMSLCSHAVGTERTILSPFTKEYRVLAAWGGIRQFLKGLSFPGKRPKIPVKSFQLIAIPDECLLWSLN >EOX99041 pep chromosome:Theobroma_cacao_20110822:2:8257289:8262602:1 gene:TCM_007667 transcript:EOX99041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of the outer mitochondrial membrane 40 MAGLVPPGITTIPADASKTAHTKHEEKTDYMNLPCPIPYEEIHREALMSLKPETFEGLRFDFTKGLNQKFSLSHSVFMGPTEIPSQSAETIKIPTAHYEFGANFIDPNLMLIGRVLTDGRLNARVKWDLTDNLALKANAQLTNEPHMSHGMVNFDYKGKDYRSQFQMGNGALFGASYIQSVTPHLSLGGEVFWAGQHRKSGIGYAARYETDKMVATGQVASTGMVALSYVQKVSEKVSLASDLMYNYMSREVTSSVGYDYILRQCRLRGKIDSNGCTAAYLEERLNMGLNFVLSAEIDHRKKDYKFGFGLTVG >EOX99026 pep chromosome:Theobroma_cacao_20110822:2:8141154:8150560:-1 gene:TCM_007655 transcript:EOX99026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endosomal targeting BRO1-like domain-containing protein isoform 2 MGCLLSTPDVAGETRRRPKNIGEIVVFVPGLRIPMPLDFAQPLGDGLSKSLVERLSALRTRIVVMAGQEAPMTTKRRRTATQHGGSTLADLQQGLEDYLPVLLGLVENGNQLKHNLQFCWVNQEDEVEETTMSDSWYEVLSVLQLMAILSLSQANLLLLPNTSADGYQSKVSEVPQPGVAFPIPYSLEDPLTLRKGKCQLILRLLCKRASIDIFLKAAGYLDFAVQQVLPQLPSELRKDLPLDLTEGVLKALCLQALGQNGSRGELLSDVQLGYIELTDIQGVEIQLGMAIDSVKATLAVKRRLACEMVKYWHQAQEHIMDLPLANGWGEKHKLFIRWKHVEAKAVAYYLHGLILEEGNAEKSAENAAAALHAAEEYLKESKKACDSFHMTPPASRNPPLWGASKYLSERIPKDVSSKTFNWDSENHEMIMQLAPALPDFVLSLKPDDYQLPSMDPSWNDLQVQNLFPTK >EOX99027 pep chromosome:Theobroma_cacao_20110822:2:8143845:8151442:-1 gene:TCM_007655 transcript:EOX99027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endosomal targeting BRO1-like domain-containing protein isoform 2 MGCLLSTPDVAGETRRRPKNIGEIVVFVPGLRIPMPLDFAQPLGDGLSKSLVERLSALRTRIVVMAGQEAPMTTKRRRTATQHGGSTLADLQQGLEDYLPVLLGLVENGNQLKHNLQFCWVNQEDEVEETTMSDSWYEVLSVLQLMAILSLSQANLLLLPNTSADGYQSKVSEECKRASIDIFLKAAGYLDFAVQQVLPQLPSELRKDLPLDLTEGVLKALCLQALGQGVEIQLGMAIDSVKATLAVKRRLACEMVKYWHQAQEHIMDLPLANGWGEKHKLFIRWKHVEAKAVAYYLHGLILEEGNAEKSAENAAAALHAAEEYLKESKKACDSFHMTPPASRNPPLWGASKYLSERIPKDVSSKTFNWDSENHEMIMQLAPALPDFVLSLKPDDYQLPSMDPSWNDLQVQNLFPTK >EOX98651 pep chromosome:Theobroma_cacao_20110822:2:6614291:6618595:-1 gene:TCM_007360 transcript:EOX98651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zim-like 2 MANSNHQPTSMYGSGAMNMQQNLEEEDDDVPGGTGGGGEESVDNPQIGYQETGGVVTVMNNGMEEASHANIYGQGSDLTVVPGNGGSDQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGYEIPSGIPALGTVPVTQRGLGDFPGRAIQPQRAASLNRFREKRKERCFDKKIRYTVRKEVALRMQRKKGQFTSSKAISDEVASASSGWSVTPGSGQDESMEETSCTHCGISSKSTPMMRRGPTGPRTLCNACGLKWANKGVLRDLSKVSTIPIQDASAKPTEQSDAEANDSEAVTVTTDVVSSSNGDNSSVSAER >EOY01493 pep chromosome:Theobroma_cacao_20110822:2:39665770:39671179:-1 gene:TCM_011362 transcript:EOY01493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein MSFKSKGELSTKSFVSKKWTFLLCLGCFCAGMLFTNRMGSVPESKGIMRTTAVEAEKLKLISEGCNLKAKEEKHVSKDIIGEVFKTHHAIQTLDKTISNLEMELAAARAAQESLLSGSPLSTDANRADTPRKRRYLMVIGINTAFSSRKRRDSVRATWMPQGEKRKKLEDEKGIIVRFVIGHSATTGGILDRAIEAEDRKHGDFLRLDHVEGYLELSAKTKIYFATAVALWDADFYVKVDDDVHVNIATLGETLVRHRKKPRIYIGCMKSGPVLSQKGVRYHEPEHWKFGEAGNKYFRHATGQLYAISKDLATYISINQHVLHKYANEDVSLGSWFIGLDAEHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSADRIKEVHRRCGEERERERESIEMGAFTIEGKCDYSSPKRKRLSPPTHSFRLEG >EOX99104 pep chromosome:Theobroma_cacao_20110822:2:8527716:8530123:-1 gene:TCM_007719 transcript:EOX99104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 1, putative MCNNTELELPKRENKLLIFVVRIIWHVNMNNFSPDSGILFLFMTMIICCNLGCSLGCLDEEKRALQDIRESMGYACEYFYPDRHSVDCCRWDGVHCSPTSSHVIRIFFYHEKQDEDQWFPDMSLFSQHKQLQELHLEGNHIGGLTNPEAICELVYLELLDLSDNSIEDVVPTCWGNMPSLRALKLSKNRFQGNLTSFLANLSNIELIGVSHNLFEGLLPFSIFANFTKLSHLDLSYIYQLEVETETPIWHPSFQVQHLFLAGCNLNRQSGHIIPRFLSTQHKLQNLDLSSNLLVGNFPTWMLHNVSSVLSLRGNSFVGQFPLGRQNKSSLTSLDISDNHLDGHLPTNIILVLPELYKFNASSNQFSGNILPSLGELKNLQSLDLSNNLLSGVVPVGLTQNSPLWYLNLSNNSLHGEPLPENCGMPKLTWLLLHNNLFVGNMPACLSNCSSLKLIDVQHNQLSGTISNLPVFMQLGALLLGANQFRGHLPKQLCQMQMLQFLDFSKNKFSGNIPSCLNNNSSYQGIPLTLMTGIDFSVNELAGAIPYEIGELSELHSLNLSQNLLTGHIPTSFKNLTNLESLDLSHNNLTGQIPPEIVQINTLSTFSVAFNNLSGRIPFNEQLSTFSESSFQGNQKLCGDQLLRKCSGNDNEDDGGKENPNERAEDSVLDNHLLFYSLVFISYAVGFWSVIAPLCISSNWRRKYFATVDGWIEYLSDKL >EOX97571 pep chromosome:Theobroma_cacao_20110822:2:2713079:2713604:1 gene:TCM_006557 transcript:EOX97571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGKASPKREFKQVRSRNQKALRSNLLEAIAEANGGGVVSHINEDGVVRMKIVVRKQDLKQMLGMINGGGRISSNQSPSPSVEERLNLLRRKHLMRSNAVKKSPRPWSPELQSIPEE >EOY02000 pep chromosome:Theobroma_cacao_20110822:2:41642906:41645161:1 gene:TCM_011764 transcript:EOY02000 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD domain class transcription factor MSCNGMAFFQANFMLQTPHEEDHQPPSSINQLLPSCTPQDFHGVASFLGKRSMSFSGIDVCEEANGEDDLSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQYEAIKADNDALQAQNQKLHEEIMALKSREPTESINLNKETEGSCSNRSENSSDIKLDISRTPAIDSPLSTHPTSRTLFPTSVRPTGTVAQLFQNASSRPDLQPQKMDQIQMVKEESLSNMFCTIEDQTGFWPWLEQQHFN >EOY00063 pep chromosome:Theobroma_cacao_20110822:2:24509814:24516883:-1 gene:TCM_009497 transcript:EOY00063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spliceosomal U5 snRNP-specific 15 kDa protein, putative MLHAIPKRLRLTSTSTLSLWMDCGSGHPGRGKVSCHHPVRPRLGRNLLAGMFNFFSKFFFIFWRVRPTLPCSSSMCWRNKRIMIDLGTGNNKINWALKDKQEFISIVDTIYHGARKGRGSVIAHKDYSTKYCYLVCLKYAIDCKS >EOX98366 pep chromosome:Theobroma_cacao_20110822:2:5508728:5509518:-1 gene:TCM_007144 transcript:EOX98366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGQGQVRAMNAVACLLLFLSILVISKTDAQSLRGLRFSSSHGVTEWGSSIQTMKRSGPSPSGPGHKSSESPSQGSTQGSGPRREEGFLHFSRVHP >EOX98937 pep chromosome:Theobroma_cacao_20110822:2:7826123:7832163:1 gene:TCM_007599 transcript:EOX98937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate tRNA synthetase MIDTLRQGIYPWNVKYSPLASSQPLLSHQIDLTHGCKSLKRCCISLLSFVEFLFISRFVSEMATLVAGTPWMRIRVFPELGPPCLFRRHFRRNLSVRASIDSDVPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTRESEKAVLRDLAWLGLDWDEGPGVGGDCGPYRQSERNAMYKQYAEKLLESGHVYRCFCSNEELEKMKEIAELKKLPPVYTGKWATATDEEVQDELAKGTPYTYRFRVPQEGSLKINDLIRGEVSWKLDTLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFPMPFFAHVSLILAPDRSKLSKRHGATSVGQFREMGYLPQAMVNYLALLGWGDGTENEFFTIEQLVEKFTIGRVNKSGAVFDSTKLRWMNGQHLRALPFEELTKLIGEHWKSTGLLTESEGPFIDEAVLLLKDGIDLVTDSDTALSNLLSYPVHATLTSPEGKPVVEDKLSEVSASLLAAYDSGELLGALEEGHAGWQKWVKGFGKTLKRKGKSLFMPLRVLLTGKLHGPDMGSSVVLIHKAGNYGVVAPKAGFVPLRERFEMLRQLDWEALNQDQPALESAATVSN >EOX99682 pep chromosome:Theobroma_cacao_20110822:2:13710559:13715138:1 gene:TCM_008442 transcript:EOX99682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTPYTIAPSLVTTGYVCYSHYSMIIIKKRKMQGYLVHVLFQVQGYLVHVLFQVQKGSPTKHLPLRSQHVPMIIYTKDECFPLRLS >EOX97901 pep chromosome:Theobroma_cacao_20110822:2:3914870:3915611:1 gene:TCM_006814 transcript:EOX97901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKQTKSEVLVFSDMKEDPEEIFFRGEEEGSDLSYWEFINSSDSDADDVLSLSDASWHSSLSSSPKDSPIPDLIQDSDDRLHHHDYEPFHDGYGEPYIDDQEEDDGDDYDDDYDEYDDDGYDLDDELVPRALSGKFGRQRMRKLGKRVFAKMHTSKKSPFLYVKPGCVHGKHGLGLKHSC >EOY02107 pep chromosome:Theobroma_cacao_20110822:2:41981670:41983244:-1 gene:TCM_011840 transcript:EOY02107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cadmium resistance 11, putative MYTPSSMEFGNESPFPTPTAPPYPPSPPVASLPSHVEHSTVTTTGLPLNKTKPSNPPTAPNPDSHSPVPWSTGLCDCCDDCNSCCLTCWCPCVTFGRIAEMVDRGSTSCGVSGALYMLILCVTGCSCLYSCFYRSKLRGQYFLKESPCTDCCIHCCCEECALCQEYRELKNRGFDMSIGWHGNMERKKRMAALPPSIQVGMFR >EOY01077 pep chromosome:Theobroma_cacao_20110822:2:37403183:37410450:-1 gene:TCM_011019 transcript:EOY01077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 2, putative MDEMKDKWKGFVKKVNNQFSSSSSSSGKFKGQGRVLGSSSSAPVNPILNGPTQTQTPTPKPISSSSSSSNSKPSMPLKPPGSDQNKPSSINNPEPTRKTGNGFDPYDSLITSSKVSKNGFTLNMFECPVCGASYTSEEEVSIHVETCVNTNSSYREGSDGVSGSNENVLEECRSGLEVCVGSYLSGKPPDGSVEVVLRLLRNIVKEPANDKFRKIRMTNPKIREAIGEVAGGVELLEFVGFGLKEEGGEMWEVMEVPKEEQITLINTAIALLEPRKIEELRKSENLPSTAPAEKEESVEPKKIDRQIRVFFSVPENIAARIELPDSFYNLSVEELKREADMRKKKIAESQLLIPKSYKEKQAKAARKRYRRAIIRIQFPDGVVLQGVFAPWEPTSALYEFVSSALKEPCLEFELLDPVMVKRRVIPSFPAAGEKARTLEEEDLVPSALIKFKPIETDSVLFTGLSNELLEICEPLVTD >EOX99887 pep chromosome:Theobroma_cacao_20110822:2:17755796:17757062:1 gene:TCM_008867 transcript:EOX99887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microsomal signal peptidase 12 kDa subunit (SPC12) MDWQGQKVAEQTMQIMLLVFAVIAFITGYVLGSFQIMILIYAGGVTLTTLITVPNWPFFNCHPLNWLDPSEAEKHPKPQVAVSSKKKATKK >EOX98819 pep chromosome:Theobroma_cacao_20110822:2:7331330:7332299:1 gene:TCM_007499 transcript:EOX98819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFMPYFGHELSQSLHCIRYVQPCESQVKKFPNQLSISSGICHLIFFACFS >EOX97877 pep chromosome:Theobroma_cacao_20110822:2:3787349:3794222:1 gene:TCM_006797 transcript:EOX97877 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein MEAIRKQATRLREQVARQQQAVLKQFGAGGYGGSDNLVTDEAELQQHQKLEKLYISTRAGKHFQRDIVRGVEGYIVTGSKQVEIGTKLSEDSRKYGAENTCTSGNTLSKAALGYGRARAQMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQAKVRETPGNPESVMKLESAETKLQDLKSNMAILGKEAAAAMAAVEAQQQRLTLQRLIAMVEAERTYHQRVLQILDQLEGEMMSERQRIEAPPAPSVDSMPPPPSYEEVNGVYASQTHNGSTDCMGYFLGEVMHPYNGESDVELSLLVGDYVVVRKVTNNGWAEGECKGKAGWFPFGYIERRERVLASKVAEVF >EOX98443 pep chromosome:Theobroma_cacao_20110822:2:5790761:5792813:-1 gene:TCM_007207 transcript:EOX98443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein MADQAGASSSHLKIILEEEDDYDLIYGAESGWVEARTQCDHLASLSSDLAHIPTPNTPCNRCQHPSENWLCLCCKDVLCSRFVNKHMLEHYKQTTHCIALSYSDLSVWCFACDTYLDAQVIRQLRPVHETAYILKFGEAPPHRSEECPQIEDKQAKDMPSGS >EOX97108 pep chromosome:Theobroma_cacao_20110822:2:1210902:1212707:1 gene:TCM_006208 transcript:EOX97108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein MVEAMDVENQNSDTKIVGSDAEQDVKVQEDSIGVETVGVGTENHKHACEGSELLGHHRGAFVGSDGGEVLKVNSNVSNQISTTVASDKVFHSSGNEDQLAKSSAYEDDSSVGQDMYVEEQVTGAEQDGLDQVQEMEVEEHDTDSEQPTNIDEKAVKRTALNSASAVKVHQAKYRLMSEEEGEFSVSAISNSFSQIEKQSNSESFQNAVNYALEEVSRMAELGLACSCMPQDAYDKINFQKVENTGVQQESSIRDGVDMSLSASSFEPDKLVDYMKALAESTFGGGDRLDLVIAKAQLFAFYRLKGYHQLPEFQFCGGLSENEASTSHSEENMYFGEEIEHTTPMCWEIAELSLKNYPRSNPINRIKKERNQAHPQEHKNLRGLVF >EOX97752 pep chromosome:Theobroma_cacao_20110822:2:3319425:3337958:-1 gene:TCM_006688 transcript:EOX97752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3414) [Source:Projected from Arabidopsis thaliana (AT4G38760) TAIR;Acc:AT4G38760] MATTTKSVDPSLWWEPFSSLLTDLENASPSDDLPKPLAKKLKENHDWFVETVTRFKPPNEKSKEALNSQQIKIGPHELTVKPDFRDKALQVSSYLCLDEVQSYILVDRYLEQGNAAENYIVHDSIHVVLLQYYIERQCLFKCTRQILMHALFLGNILKEGSFIREEALKLISDGLEKKLISVLEALMSCSHPEQMDVDLFTLWAEETLLEDNLVLDIIFLIYYESLCTCSAEKWKKLCLIYKGILSGSYNFGKLAISPEALYSFYHAKVLLLLVLIETLNLENLLQMVHDEIPFRQGASVFMLNDVQAIDALISSFDIFEMREAGPLVLAWAVFLCLISSLPQKEETNVLMEIDHVGYVRQAFEASSLGYFLEILQSDILKESDGPVAGYRSVLRTFISAFIASYEINLQLEDGTLNLILDILCYVYRGEESLCIQFWDRASFIDGPIRCLLCNLEGEFPFRTVELLRLLSSLCEGSWPAECVYNFLDKSTGISSLFDITSESLLDRTSQIVETQHPVCIPGVDGLHIPSRTRGHILKVVGGNTALVRWEHKKSAVFVLLLRLAQTPHLENNEEAFLTLDLLGRMVSFNMAVCFSMMDSCNFLHVQATGMNGQIENNLWVVEIISIIVRNLSPSPSGAALMSMAFVIMAKMLKCSPSQVAAIALKSNIFDVASNSSVFNVGWNGLSSGSWLLSGKLAKMLLIDSEQSDYDCLLTISVLDFTMQLVRTGVEDDIVVSLIVFSLQYILVNHEYWKYKVKNTRWKVTLKVLEVMKTCILATSSSEKLGGVIWDLLLYDSSIHNTLFRIMCTTSEALERLYLNRLIELVEIEGLQLAISSALDISYIMLTKFSKDMSSSIPAFHQAMLSSMTKPIPVVAAVISLISFFNDPAIQVGAAKLLSVLLRMAEPYPFVNSCFGPDDKLMTDLRHSINSILLEHGVLNEDLFIAVLNLLTSAACYQPAFFVAIFDTKEDTDVQLATAGGLKQSTNEALSDSLGSKISSVVDALLQYVVRSDDAVNSNPCIPLNILNLLKSLWHGAGLYTMILERLKSSDKFWKQLSNSISRTAGSEVPLSMKESEALHLGYRYQCQSAILETMAYDMFLMKKLLYAESLIKEPPESNKKIEADNYALKDIISNWCKSSVLGRMIKSYTSCKYDNDTYFRAKVALSLLTVHIMGKLAAGDAGSLSVSLVEKIRLLFKKLTIQPAFSELLAQYSQRGYSEGKELKALIISDLYYHLHGELEGRKMSPGPFKELFQFLIESKVVKIYENKCRVDPFSTADDVYVFDLQRIEADLGLDMWDYSEWKTSKTIADTMLCYMQGANSMVLIGNSKLSSLKALITVLTVYDDSSLEKMVRVGGKIPDQLILPCIDHICQSFLDTLEFLTPVPDVSQGVFDFLTAQADLLLHLMRSVQNSLSSSACVLVLKTSGTGLKVLSDLRTMVSGVNKTMKLLLMLILSAVEFYRLDSSITGVKDKESVEGLAEISNVSLGLLPILCNCITISECFSLALTALDLALKCFLTPDTWFPIIHKHLQLQHVVLKLQDKNSFGSIPILLKFFLAIAHVRGGAEMLLNAGFFSSLKVLYADMSDGRVSSVINSGKSLSILSDKTEKPQHIWGLGLAVVTAIVHSLGASSSCIDIAENVIPYFFSEKAHLISYFLSAPEFPSDDHDKKRPRAQRTWTSLSSLKETEQTLMLMCVLARHWKSWVKAMKNMDSQLREMSIHLLAFISRGNQRLGEASSRTAPLLCPPILKDEFDCCKKPSFVNSRNGWFALSPLGCVSKPKFSGILTTTALVIKDQGTESNNHVPQTYFSDLVAIEMYRITFLLLKFLCLQAEGAAKRAEELGYVDLAHFPELPMPEILHGIQDQAIAIVTELCETNKLKQIHYELQRVCLLLLQIMEMALYLELCVLQICGIRPVLGRVEDLSKELKFLIKATEGHAFLKGSMKSLNQIISLVYPDISNTAVTLSQII >EOY00279 pep chromosome:Theobroma_cacao_20110822:2:30908680:30914864:1 gene:TCM_010122 transcript:EOY00279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cofactor assembly of complex C MEAGTILHIGIQWTPRHPHAPLPNFPRFVRASSIQRGSDKGPKPSRDWIAHWVSKKDEAVRSLPIYVGGASLLTVLFNRAVSGIAPVADASSSQSRADLLTLGLAVTSILTGLVWLSIQPKSITPVDPQGVECQVFYSQLSEWVVSEIFWAWESLSTITCCRSLVIIYDCKCIVQIGAAAKSPNDGEPVIVDAAKLMQGSLCIGVLKSGAQRYLANLSLYPGRSELPFLPSNTQAVILQPLGDKGIAILGGDTIRGFTTSDQAWITFIGEKLDATLAKFMSDMPTVVQE >EOY00051 pep chromosome:Theobroma_cacao_20110822:2:23876045:23885509:1 gene:TCM_009437 transcript:EOY00051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 2.8, putative MIHLQYKTDGLEVKSETRGVEVNTSIQVGVILDLKSPLGAMAEICISMAVSDFYDGHSDYQTRLVLNTRDAHDSVGMASSVVDLLKNEQAHAIIGPQWSAEAKFAIELGEMAHVPMVSFSATSPSLSPTQNTYFIRTAQNDASQIRAIVAVLKQFGWPQVVLIHEDTEYGTEIVPYLFDSWRENDIGLAYKSKISSSATDFEISRELNKLRALQTKVFLVHMTSSLGSRLFSLVDKAGMMSIGYSWLITDGISNSLEDMDPAVIHSMEGVLGVKPHVPETHVVKTFKKRWQRNLHLLKPNSSVGELNIFGFWAYDTVWALATAAERIVPVNPTFLKVGTNGSVMDLANLSISKIGPRFLSEILNTKFKGLGGDFHLVDGQLQPSVFEIFNVIGRGQRIVGFWTPKEGISRALSSTERVASSGLKNIIWPGDSTEVPTGWAIPMLRIGVPVKTGFTQFVKIDKNGADGIRCTGFSIEVFEAALKKLAFNVSYVYVPFMNDEGKSNGSYNDLLHQIEHKIVDAVVGDTTIIAERTSYVDFTLPYTESRIVMVVPIKHEKSLWSFLQPLGWDLWFTIIGSCIFFGLVIRIMERHHTANTRFGGPPSRQLGMIFWFPFSSLVFPQRELLLNDYSIFVLVMWLFLAYILMQSYTASLSSILTVDQLHPTFFSVQNLKTKGYNVGYQNGSFVLDFLKNRLKFDESKLKAYDTIQDYDKALSVGSEHDGVAAIFDEIPFIRLFLDKYGSNYMITGPTYRTDGFGFAFPRGSPLVPYISRAILKVREDTIMDNIEKKYFKHQVTSLYSAAPISADSRSLSLHSFGGLFIITGIATLLALVISEGYFWEKPVSMAKKYGQRYLSSRASNIETKLVAHSTTVMNASTHSLDEIQINSGDSSGVSDLSDGSVHQENE >EOY01849 pep chromosome:Theobroma_cacao_20110822:2:41133347:41134735:1 gene:TCM_011654 transcript:EOY01849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCEVRFLTTRTPLSTACGGGDNRGQHAASPSGNRCDDVLISAASDSSKSGIHWTICVVWINPSFPSVDWQDLVRIVCLVSCLAVGFLKVVGHLAKSVQPALARTLLGHR >EOX97044 pep chromosome:Theobroma_cacao_20110822:2:1017227:1022221:1 gene:TCM_046708 transcript:EOX97044 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein MTAPKAAEMAIGSIGCGYDIATGLRLKYCKGNSKDSCLIEIDEDGIHEIVLPGGISIPNVSKSIKCYKGERTRFKSDLLSFGQMSEQFNQEISSTGNIPSGLFNSMFEFSGCWQKDAANAKTLAFDGVFITLYSVALEKSQMVLRDHVKKAVPSFWEPAALARFIETYGTHITVGVKMGGKDVIYMKQQHSSNLQPAEIQKRLKDVADKRFLDANVHSSMAAEQVFQSDKFEITEQQLRFAHNSPTSSYAHKEDILSIYKRRGGSDNRNLSHNDWLQTVQSEPDVISMSFIPITSLLNGVPGSGFLSHVINLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQSTASLQFSFMGPKLFVNTTTVDAGKRPVTGLRLYLQGNRKNRLSIHLQHLSSLPKIFQLADDPNGNFCQKSHDHKYYERVYWKNYSHVCVAPVESVGDLSIVTGAQLQVENHGSKDILFLRLRFSTVLGAMVAKHPEWDGSPGLAPKAGLLSILSSSLVSDISFKEPPPRLADVSINSAIYPGGPPEPVQAPKLLKFVDTTEMTRGPEEIPGYWVVSGARLVVEQGKISLQVKYSLLTVIMPDEEAIEEH >EOX96795 pep chromosome:Theobroma_cacao_20110822:2:62870:67838:1 gene:TCM_005962 transcript:EOX96795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein MVSSDMNFLFLFSLVISFLCSSTRVSSYNLSLEKQASILVSLKQEFDSSTPLRSWNVSHHLSVCSWTGVQCDVLNRSIVSLDISNSNISGSLSPVITQLRSLANLSVSGNSFSGDFPQEIHKLTRLQFLNISNNMFSGEPNWDFSQMKELVVLDAYDNNFNGSLPLGVTKLPKLTHLNFGGNYFSGEIPKAYGSLEQLKYLSLAGNDLGGSIPAELGNLTNLKQLFLGYYNEFDGGIPPEFGKLVNLVHLDLANCSLEGPIPPELGNLKKLDTLFLQTNEISGSIPPHIGNLSNLKSLDLSNNMITGDIPLELSGLCELILLNLFVNRFHGEIPQFIAELPKLEVLKLWHNNFTGSIPSKLGANGRLVELDLSTNKLTGLVPKSLCFGRRLQILILFNNFLFGPLPEDLSKCDTLSRVRMGQNYLTGSIPNGFLYLPELSLVELQNNYLSGRIPQDTGMVPTKLGQLNLSNNRLSGSLPASIGNFSSLQILLLSSNRFTGEFPSEIGRLKSVLKLDMSRNNFSGTIPSKIGNCILLTYLDLSQNQLSGPIPVQIAQIHILNYLNVSWNHLNQSLPKEIGSIKSLTSADFSHNNFSGSIPQFGQYSFFNSTSFVGNAQLCGSYLNTCNYSSTSPLELHNQSGTTSQVPAKFKLLFALALLVCSLIFAALAIIKTRKVRRNSHSWKLTAFQKLEFGSKDILECIKENNVIGRGGAGIVYRGIIPTGEQVAVKKLLGISKGCSHDNGLSAEIQTLGKIRHRNIVRLLAFCSNKETNLLVYEYMPNGSLGEVLHGKGGGYLRWDTRLKIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLNSDFEAHVADFGLAKFLQDTGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRRPVGDFGEEGLDIVQWTKRQTNWNKEGVVKILDQRASNIPVEEAMQVFFVAMLCVEEHSVERPTMREVVQMLAQAKQPNTFHMQ >EOX96817 pep chromosome:Theobroma_cacao_20110822:2:132261:139170:1 gene:TCM_005974 transcript:EOX96817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MAESALGVASASASASSPLFSTLAETSPLDNLLPFNYYHQSCPKLEEIINKKVKEWVAKDYTLAASLLRLHFHDCAVTGCDASILLNHEGSERRAEASKTLRGFQVIDDIKAEVEKLCPATVSCADILTAATRDATVLLGGPYWMVHYGRKDSRISNAKDANMVPHGRESITTLIEFFQSRGLNVIDLVVLSGAHTIGRTACDSIQHRVHGLNGTGMPTPNPSIDDHYLDFLQRKCRSCAPDSESNYVDLDATTPTTFDAQFYANLQKKMGLLSTDQMLYSDSRTRPIVNTLIQDPSIFRNQFWVSMVKLGNIQVLSGQNEGEIRTNCNFVNYY >EOY01224 pep chromosome:Theobroma_cacao_20110822:2:38388920:38392623:-1 gene:TCM_011170 transcript:EOY01224 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase 1 beta subcomplex subunit 7 isoform 2 TLDPKSVREKKTPKDRSISITQRKMEVEGSSKKMIATQEEMVENKVPIPYRDQCAHLLIPLNKCRQAEFYLPWKCEIERHSYEKCEYELVMERMLQMQKIREEEAKLKQAGKQGGSIPLIPKTANA >EOY01223 pep chromosome:Theobroma_cacao_20110822:2:38389057:38392659:-1 gene:TCM_011170 transcript:EOY01223 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase 1 beta subcomplex subunit 7 isoform 2 MEVEGSSKKMIATQEEMVENKVPIPYRDQCAHLLIPLNKCRQAEFYLPWKCEIERHSYEKCEYELVMERMLQMQKIREEEAKLKQAGKQGGSIPLIPKTANA >EOY00884 pep chromosome:Theobroma_cacao_20110822:2:35681281:35682946:-1 gene:TCM_010804 transcript:EOY00884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MSFLSLGANILTGSIPEQLCHLSNIHILDLAQNNLSGSIPTCLGSLPRLKTLRTIFRLGPSSTETTFNNHMKLVIEGPEIVFTKIIPLINGIDLSSNNLVVEIPEEITNLSTLGFLHLSWNLLTARIPENLGSLQWLEALDLSHNHLSSPIPPSISSMALLNYLNLSYNNLLGQIPSSNQLQTIAYPSIYEGNPGLCGPPLSINCSIPIDGDIYANDKDGDRAEKPHFYLSALLGFFVGFWPFYAVWL >EOX98027 pep chromosome:Theobroma_cacao_20110822:2:4314881:4316519:1 gene:TCM_006891 transcript:EOX98027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQAYQASWGMRTSEYGLQPKLHNVLLKSLTGPIQDGSWTSLQPKQNIQIRRCRFSFVRKDTGFCGQALNSQIQIVGFKEETGLLFPQPRASSSNNFQTREEMASFCRSAVMAGSRSLASRSKTLTLKSLTPKPMSSPFSSPSTRSFPCASRILSVLGGVESMMPLHSAIASARLQSSIAVDSSCWSWLSQGLATPL >EOX97247 pep chromosome:Theobroma_cacao_20110822:2:1713642:1715249:1 gene:TCM_006327 transcript:EOX97247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erg28 like protein MKALGWWLMLVGSLRLVSVWLGFFNVRSLHRGVYSKSQVTEVHGRTFGIWTLLTCTLCYLCAFNLENKALCLVTFLSFVYAFVHFLTEYLIYGTTTVRNLSAVSFFAGTSIIWMLLHSGIHINLKEP >EOY00788 pep chromosome:Theobroma_cacao_20110822:2:35097821:35100225:1 gene:TCM_010704 transcript:EOY00788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHRLPFPKSSWKAKALQEFAYLNNNGYFILFVDDFTRMMWVYFLKQKSKAFLIFCQFKVMVEKESGHILKILRIDRGAFGCINTYMYLHNIRKSLIRKEKYIYLLDIVMSQKDISCLIQRIIIS >EOX99905 pep chromosome:Theobroma_cacao_20110822:2:18026317:18029931:1 gene:TCM_008900 transcript:EOX99905 gene_biotype:protein_coding transcript_biotype:protein_coding description:AFR, putative MQTLEDIFAPNTSIIKKMPGFVRGKKRFTEPNRCLSSSVKEDILIHSKGNPQSSSQATDELDSPLLPGLPDDVAKYCLALVPRSSFPAMGGVCKRWRSFIQSKEFFTERRIAGMLEEWLYVLTMDSEGKESHWEVLDCLGQKHQLLPPMPGPAKVGFGVAVLNGKLLVMAGYSVNNGTVSASADVYQYDSCLNRWSKLADLNVARYDFACAEVNGMVYVVGGYGNDGESLSTAEVYDTDTDKWTLIESLRRPRWGCFACGLNGKLYVLGGRSSFTIGNSRFVDVYNPEKHTWGEMKNGCVMVTAHAVLERKLFCMEWKNQRKLAIFNPEDNSWKMVPVPLTGSTSIGFCFGILDGKLLLFSVEGDPGYHTLLYDPNAAPGSEWQTSDIKPSGLCLCSVTIKA >EOX98234 pep chromosome:Theobroma_cacao_20110822:2:4997970:4999037:-1 gene:TCM_007043 transcript:EOX98234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFNNEQQQQGLFSPTMDPRISFSNDFADPHQGLKYESNYREAPVSSDFEFSVKNYAMIPADEIFFKGMLLPSKDNIGTDQGRKLTLRDTLLVDDEFQDSLPRLHKGSGWWKERLGLKRTNVASQKVNRNDPILEKVVEDKISPTFVYHEDLISQQP >EOY01130 pep chromosome:Theobroma_cacao_20110822:2:37786789:37791524:-1 gene:TCM_011072 transcript:EOY01130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYIQSGRFWGFCAAIFSERKEGGRKEGLGSAVGQGEKALVGLAANEGNKVGFGSCFTLVPVFLAKTFPRLEELLIEIAAKKAKVAAVEYFKLGFRQGHSTSICSS >EOX97269 pep chromosome:Theobroma_cacao_20110822:2:1769547:1773709:1 gene:TCM_006344 transcript:EOX97269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein MSLMSNLSTSMISSSSSAFLAPTSFNSSRSQSVSVPVKSIKICKCVATPQEQQQTGLKMVLCGLFVCFEAYKTKVSRNSNIAKLQAGYLFPEVARRRAAHLLKYPNAQVISLGIGDTTEPIPDVITSAMAKRSQALSTLEGYSGYGAEQGEKALRVALASTFYSNLGIEEDDIFVSDGAKCDISRLQVVFGSNVTMAVQDPSYPAYVDSSVIMGQTGQFQKDVEKYANIEYMRCTPENGFFPDLSTVARTDIIFFCSPNNPTGAAATREQLTRLVQFAKDNGSIIVYDSAYAIYMSDDNPRSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTIVPKQLLFSDGFPVAKDFNRIVCTCFNGASNIAQAGGLACLSPEGLEAMQEVIGFYKENTKIIVETFNSLGFKVYGGKNAPYVWVHFPGRNSWDVFSEILEKTHIVTTPGSGFGPGGEGFIRVSAFGHRENVLEACRRFKQLYN >EOX97725 pep chromosome:Theobroma_cacao_20110822:2:3216123:3216620:-1 gene:TCM_006662 transcript:EOX97725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein MASSTSLYEVLGIPMSANGNEIKAAYRRLARTCHPDVVSINQKEMSANQFMKIHAAYSTLSDPDKRANYDRDLYRRNRPFHSSPLTSATKAAASAYEFSSYCSRRNWETDQCW >EOX97246 pep chromosome:Theobroma_cacao_20110822:2:1709846:1712326:1 gene:TCM_006326 transcript:EOX97246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAC34331.1 MDPCPFVRILVGNLALKFPVSTKPSLSRIHPSTSSCYCKIKLKNFPHQVATIPFIQSQEDSSTSSSSSSSFQKSLAACFSLSKSQIDRIVSRGSSSYKLSIEVYADPDGSSCGLTYGKLLGKVSVPLDLRGAESRPSVVHNGWIAIGRNRSNKNGSSAQLCLTVRTEPDPRFVFQFGGEPECSPQVFQVQGGLKQAVFTCKFGFRNTSDRNLGSRSSLPESNTTRNWLPSLKTEKEQSSKERKGWSITVHDLSGSPVAMASMVTPFVPSPGSDRVSRSNPGAWLILRPGCGTWKPWGRLEAWREPGFTDALGYRFDLFHDDYIAATSTTATLASSILSTKLGGKFTMDMTTNVAATPSTSPQSSCDFGSGSRPGSGSGSDFGFAASISPQSLYRGGFVMSSTVEGAGKCSKPEVEVGVQHVTCTEDAAVFVALAAAMDLSVDACRSFSQKLRKELRQQTQNFVV >EOX98196 pep chromosome:Theobroma_cacao_20110822:2:4825192:4826842:1 gene:TCM_007008 transcript:EOX98196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450-like protein MHSILLALWPPAITPNFCRPNSLKIDSLKPLVILGRQRFRKLIPYGSCKVGFRLGKRKSEAMLGKLDNLCAEDRRAGKKLVSRTSTRTAITVALEQVEQVGVSPCGFIYETLRLYPPVPFEIRKPTQPDTLPSGHHVDQNTRILICTYAMGRTTWLWGEDYLEVKPERWITKEGKLRREPPSKFFSFNAGPRNCPGKNLALIMMKATIATIFHYYNVQVIDQGQNVTLKHGVILHMKHGLMARIKNRWS >EOY00871 pep chromosome:Theobroma_cacao_20110822:2:35602081:35604605:1 gene:TCM_010789 transcript:EOY00871 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative MEMLLIFKKKKIGNKVFYIWKLSLCLQSPNMFSLKSIPSTTLVLSTYTTFTASAMLVRGVVSEVQAITSQIIPKQLQTILLSKLGASEIYLQNKITTSMDRLKVSKAPRDKKIAVTINKGEKVIDIFEGIQLKWEMTFVETKDNHQGKFEKRVIDLSFHNKCMEKVLSSYLPYVMERSKAIKEENKVVKLFSLGNLCGDRDGEWGSANLDHPATFDTLAMDPSLKKELIHDLDRFVRRRDFYRRVGKAWKRGYLLHGPPGTGKSSLIAAMANYLKFNVYDLELPSLYSNSDLRRLLVSTRSRSILVIEDIDCSIELQDRVAAGYEYDQGHNQLTLSGLITFIDGLWSSCDDERIIVFTTNHRDKLDPALLRPGRMDMHIHMSYCTPSGFRILASNYLGITSHNLFTRIDELMMEVEVTPAEVAEELMKSEYADIALEGLIKFLQNKKLGSG >EOY01800 pep chromosome:Theobroma_cacao_20110822:2:40936945:40976932:1 gene:TCM_011619 transcript:EOY01800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial MinE 1 isoform 1 MAISGNLRVAATLSSYHQHHPFRSSILSSKVDFMGSMKGGSSFSEISLKWNGITINSRDIRGQTKRLAGIMGDFKLSTNAVNHEVESFLLNAINMSFFERLNLAWKIVFPSPASKRSSNANIAKQRLKMILFSDRCAVSDEAKQKIVKNIVRALSDFVEIESKDKVHLSVSTDSDLGTIYSVTVPVRRVKAEYQVADEAGTIRNIEYKDTGERSGSVDVRFDFYVPDE >EOY01799 pep chromosome:Theobroma_cacao_20110822:2:40974133:40977050:1 gene:TCM_011619 transcript:EOY01799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial MinE 1 isoform 1 MAISGNLRVAATLSSYHQHHPFRSSILSSKVDFMGSMKGGSSFSEISLKWNGITINSRDIRGQTKRLAGIMGDFKLSTNAVNHEVESFLLNAINMSFFERLNLAWKIVFPSPASKRSSNANIAKQRLKMILFSDRCAVSDEAKQKIVKNIVRALSDFVEIESKDKVHLSVSTDSDLGTIYSVTVPVRRVKAEYQVADEAGTIRNIEYKDTGERSGSVDVRFDFYVPDE >EOY00003 pep chromosome:Theobroma_cacao_20110822:2:22273076:22273929:1 gene:TCM_009274 transcript:EOY00003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDWLSPCHASVDCYHKLIRFDFPNEPSFSIQGDRSNAPTNLISVMFAKRLLRQGCIGYLAVVMDTQAKVGDISQVSVVNEFMDVFPEELPGLFPKREIEFCIDLILDTRPISIPAYRMAPAELKELKDQLEDLLDKGFIRPSISPWGAPVLFVKKKDGSFRLCIDYR >EOY01768 pep chromosome:Theobroma_cacao_20110822:2:40854679:40856304:1 gene:TCM_011595 transcript:EOY01768 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 88A1, putative MSNSDGIQSCLHVALLPSSGMGHLIPFLRLAASFLRCHCQLTLITTDPVVSLAESQLISRFLSAFPPVTEKKFTLLPLDPATANSTDPFTLQWETIRRSAHLLSPLISSLSPPLSFIVTDITLMSSVIPISANLCLPNYMLFTSSARMFSLLAYFPSTKTADGSFQFGNVIEIPGIPPIPRSSLPPVLLNSNSLFAKIFSENSQTITKLNGVLINTFEGLEKQALDMLNSAKGLPPVFPIGPLLRCEFEGAESLATLKWLDDQKEGSVLYVGFGSRTTTSKEQIKEIGMGLLLSGCKFLWVVRTKILDKEEEEGLDEILGYELMQRIKSSNNGLVVKEWVNQCEILSHKAVGGFLSHCGWNSVVEAALNGVPMLACPQRQFGDQRINLEVVEAAGWVLCVKSSGWGEDVLLKGEEIGEKIKELMASESVKLEAARIGQEARKAAGFGGSCKDSLKKLLQSWNKAH >EOX98362 pep chromosome:Theobroma_cacao_20110822:2:5496644:5497482:-1 gene:TCM_007140 transcript:EOX98362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl alcohol dehydrogenase 1, putative MGHHITVISSSDNKREEALEHLGVDEYLVSSDKKGMQGAGKSILVGPVDDPLQFISSNIFLESRSTVGSLTESVKETEELLEFWKEKGLRSMNEIIKMEYINTAFQRLEQNDVRYRFVVHVAGSKL >EOY00666 pep chromosome:Theobroma_cacao_20110822:2:34395435:34400189:-1 gene:TCM_010585 transcript:EOY00666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 4B1 MSKPWGGIGAWAAEAEREEAEEREAAKAAAAAAAAAPTAESQSFPSLREAVSTKAKKKKMTLSEFTMGTYSSSGGGARVTEYNRLTPEEMMLLPTRPKERSAEEMQYGRLGGGFSSYGRSGPPAGRVMRDREDSDGSWGSGRRAYGGFDEERRGPPSRVSDYDPPSRADEVDNWAMAKKATSSFDSGRQNRYGGLGTGGGGGMSRADEVDNWTAGKRPIPVRSSTFGSGFRDSGPEPDRWTRGGGGGGGFREERPRLVLDPPRGEVNEPVVKTNKPNPFGAARPREEVLAEKGLDWKTLDSEIEAKKVTSRPTSAHSSRPSSAQSSRSEGPQQQAIENVVKPRPKVNPFGDAKPREVLLEERGQDWRKIDRELERRRIERPETEEKILKEEIDNLKKEIEKESISKVEPAGDQPTLRDTLLRKERELEILIRDLDNKVRFGQKAVERPGSGAGRIGSLPDRPSSQSGSIDGSRGVDFMNNRPRSRGTADAWTRPGDERRGFQGGKDRGFLGNRDLDRSRSRERW >EOX98320 pep chromosome:Theobroma_cacao_20110822:2:5333898:5343201:-1 gene:TCM_007107 transcript:EOX98320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein, putative MRALSLSTSFLLFTIIFHSCLHHGVPSVDPLIGTNRETLEIIIGGGGEAPAPSHIEECPPPPPPPEPECPPPPSPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPCKYRDPQYGCFESPLIAKAYPVIQNFKTQIFSDPKGYAKTWKGNNVCKYKGFTCATRPDLKLKAVAAVDFNAALLAGHGSCLPLDGFIDKLDDLAIFHANSNNFTGTVPFGVSKIKYLYELDLSNNKINGDFPMEVLGASNLTFLDIRFNSLKGEVPQQVFKLDLDVLFINNNNLEQTLPDNLGDTPVLYLTFANNKFTGPIPPSIGRARNLLEVLFLNNQLTGCLPYEIGYLSKATVFDVGSNKLTGPIPNSFGCLRKIELLNLANNEFYGAVPEIVCQLPKIENLSLANNYFTQVGPACRKLIWKKKLDVRNNCILDLPNQKSKDECAKFFSRPPLGCERKESFKWVPCMKGGYDYSNSTEKSHQESTASLPTVKTYSTLIPHRL >EOX99853 pep chromosome:Theobroma_cacao_20110822:2:17383543:17384555:1 gene:TCM_008828 transcript:EOX99853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVCDIYTWQGHGMIYVARPQVVICGCDHIISPMSATSSRLPWPWEFGGGGPPRCYYVEVGPQIDTYDYLLESNISLGFQTCILLHGENY >EOY01670 pep chromosome:Theobroma_cacao_20110822:2:40557277:40560091:-1 gene:TCM_011514 transcript:EOY01670 gene_biotype:protein_coding transcript_biotype:protein_coding description:T1K7.26 protein MGRGVSSGGGQSSLGYLFGSGEAPKPGTNKPQAAPTEAPAVSKSAPAPAPAPAPEPVDITKQTPAGINSTSTNNYLRADGQNTGNFITDRPSTKVHAAPGGGSSLGYLFGGAPGSGK >EOX99912 pep chromosome:Theobroma_cacao_20110822:2:18148197:18149411:-1 gene:TCM_008913 transcript:EOX99912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQTLKKHELYAKFSKCEFWLDSVNFFGHIVFEDKMKVDLKKIEVMKNWSMSRSMMEIHSFLRLADYYRSFVKDFSRIIAPMTKLT >EOY00217 pep chromosome:Theobroma_cacao_20110822:2:29896391:29906629:-1 gene:TCM_010006 transcript:EOY00217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEYEVIVGIKNDIVTIKVAIEAIRYKELCVPKFVCTASHRCNPTRDDHARDEPLCKEDGSNDGQSHALTANERGYNIMLFCGNLGNYNCI >EOY00614 pep chromosome:Theobroma_cacao_20110822:2:33841310:33847027:1 gene:TCM_010495 transcript:EOY00614 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MEDVKQPLLHYPREVDEDNHLQSQLLSKASNASFSSTTFTPGTHDVPPINSVRDFFREFLTESKKLWFLAGPAIFTSICQYSLGAITQVFSGQVGTLALAAVSVENSVIAGFSFGAMLGMGSALETLCGQAFGAGQHDMLGIYMQRSWVILNSTALILSLLYIFAGPILNLIGQTASISKAAGIFSIWMIPQLFAYAVNFPMAKFLQAQSKMMVMAVIAGVALFLHTVFSWLLMLKLGLGLVGAAIVLNASWIFIDVAQFLYIISGTCGRAWTGFSWKAFQHLWGFVRLSLASAVMLCLEIWYFMALILFAGYLKNAEVSVDALSICMNILGWTVMVALGMNAAISVRVSNELGAGHPRTAKFSLVVAVISSFLIGLIISLILIIFRNKYPYLFSNDTQVQDLVKELTPLLALCIVINNVQPVLSGMAIGAGWQAAVAYVNIACYYIFGVPLGLTLGYALDMGVQGIWYGMLSGTVAQTCVLFAMIYKTNWNKEASIAEERIKIWGGNSNSEQTNVENNA >EOY02002 pep chromosome:Theobroma_cacao_20110822:2:41649239:41651035:-1 gene:TCM_011766 transcript:EOY02002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger-like protein MASATYSCTNEQHIVYQPLVEPEIFYPTPPSLQIELTFFILLRRTRHYRLIDHLVDLDDDYSLFSQETMRFDLDVLQSYDRTYQILAPMLVRLKVNPNARRPRAIIDEIIRQGCSVETSESNKESQVWPLHAMLWVTIVQHVNEEEEEEDLIERALEESASEFESSNYNMVPAKESSIKNMLKSVRVEAVECDQKGEERIMERLLETENCVICLEELKVGSDASRMPCSHTFHGDCIEEWLKQSHYCPICRFEMPTE >EOX98956 pep chromosome:Theobroma_cacao_20110822:2:7920899:7924342:1 gene:TCM_007617 transcript:EOX98956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complex small chain family protein MIHFVLLISRQGKVRLTKWYSPYSQKERTKVIRELSGVILARGPKLCNFVEWRGFKVVYKRYASLYFCMCIDQDDNELEILEIIHHYVEILDRYFGSVCELDLIFNFHKAYYILDELLIAGELQEPSKKTVARLIAAQDSLVETAKEQASSISNIIAQATK >EOX99371 pep chromosome:Theobroma_cacao_20110822:2:10645135:10655681:1 gene:TCM_008037 transcript:EOX99371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFNFKNQFFFFSSIHQNNILSHSLQKCTSPSSFSIFQPLEFHDSILVNLENVTGAFSIQQSDSQTTSPTSTVLNSSSRNDLRMNFARDMVHPFDAQPHQTMVKTHPMSI >EOY00027 pep chromosome:Theobroma_cacao_20110822:2:23496806:23501290:1 gene:TCM_009392 transcript:EOY00027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative MADSTSDDLEPLFDYRRVQPLNFVCIEDDGSDASPDPSPKRRKIPDTDVVKVDEDVEVIKVVNVEEEDWLAPPPMVSTDALSKIGEDSTIKELRLRKQELLSVAQSTKNMLLEVEESVKRELSGSLKASLDAGAEQPKNPTSERAKIVISIQNKDECKQFRVYMDDKFERLFSMYADKVKLDLQSLVFSFDGDKISAAATPASLGMEDDDIIEVHVKKR >EOX98075 pep chromosome:Theobroma_cacao_20110822:2:4474384:4476528:-1 gene:TCM_006924 transcript:EOX98075 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP40/DnaJ peptide-binding protein, putative MGDHSHHHTRSLSTDLYGILGISSKGSSIKDMCKAYKSLVHKWYPDHRSPSSKSEEEGNFKDIDEAYKTINSNKQEQGFGSSDRPSTREKLNNLSVDDSFFSRRSSFLSKSTSRRSTTPSPRPTYLSKSSSRRGSPSKTLNFALSRTFSRRSRADAETSPNVSGSVGGHSPSPLSRSMSRKCTSETEMRNISRSTSRRSTTPIIFSQSVARRKPPPVEKMLECTLEELCHGGLKKIKVIRDVISDEGIIVQEEETLTINLKPGWRKGTKVTFEGKGNEKPGYLPADIIFLIQEKSHPLFTRQGDDLEIAVEIPLVKALTGCSLSVPLLGGETMSIHFNDIIYPGYEKVIQGQGMPNAKEGKRGDLRITFLVNFPSELSHQQRSEACSILEDCS >EOY01264 pep chromosome:Theobroma_cacao_20110822:2:38730153:38732942:-1 gene:TCM_011210 transcript:EOY01264 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein MLDNTPTSALPSSSSDPLTPLENGATQKRKRKPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETQEVKKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNQKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACTVRRVQPELQALQPACSSRTASSTSPSSDANFSIGPLPGLPLPKSTEPIFLYSHASTFDHQHQLQHNLELQLLPSSSTHSSRNSDENYATRLKLSIGSSDCGEKREANKLSLDTCREKSASETALEATRLKEFAIEQLKLAMAEKAYAEKARQEAKRQIEMAELEFASAKRIRQQAQGELEKAQVLKDQATKKISTTIMQITCQACKHQFQTSTAAVPADETSLAMSYMSSATTEGEGE >EOX97853 pep chromosome:Theobroma_cacao_20110822:2:3680073:3684147:-1 gene:TCM_006776 transcript:EOX97853 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MKMEVFCSPTSLSWTHFISPSLHIPHSFSVGETNKFRVFGGAAQSQKANQMTVSVTGATGFIGRRLVQRLHADNHNVRVLTRSRSKAESIFSVKDFPGIIIAEEAGWKDCIEGSNAVVNLAGMPISTRWSPEIKMEIKQSRVRVTTKVVDLINNSPQGVRPTVLVSATAVGYYGTSETQVFDESSPSGNDYLAQVCREWEGTALKVSKDVRLTLIRIGVVLGKDGGALAKMIPLFMMFAGGPLGSGQQWFSWIHLDDIVNLICEALRNPSYKGVINGTAPNPIRLSEMCEHLGNAMGRPSWLPVPDFALKAVLGEGASVVLEGQKVLPAKAKELGFPFKYSYVKDALKSILSQG >EOX97943 pep chromosome:Theobroma_cacao_20110822:2:4040467:4041751:-1 gene:TCM_006837 transcript:EOX97943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVMHLKKIVLLFLLASAFLLSTSLAARPSKFVNKLAEEVDAAFEDGGEATSVHERLLRANTKDYGRYDPSPAIVKPPFKLIPN >EOX99337 pep chromosome:Theobroma_cacao_20110822:2:10196605:10199567:-1 gene:TCM_007968 transcript:EOX99337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVHKPPAQPDLYYDVITSPRVQNLKVGVAVKASFSPWGSHQFNAPTPRNRDNTVQSSKPPRKILSEICPHLTVFCVRRSS >EOY00096 pep chromosome:Theobroma_cacao_20110822:2:25629395:25633026:1 gene:TCM_009589 transcript:EOY00096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase family protein MARRTCLIPHILLSFLFFFLVQATPNPDLKPLMDFKSASDKANKLSSWNSTTDLCTWVGVTCLKSRVSRLVLENLDLTGSFEPLTSLTQLRVLSLKQNRLSGPVPNLSNLTALKLLFLSHNEFTGEFPASVQSLFRLYRLDLSFNNFTGEIPVTVNRLTHLLTLRLEENLFSGQVSGLNLPNLQDFNVSGNRLSGEIPESLSSFSESAFGSNAALCGAPMEKCKSIGIDPTKPGSGGALASPLMPGRNPTVVASSPSSLPGTGNPYKTPNSQRHSAAKISPLALIAIILGDVLVLALVSLLLYCYFWRNYVAKMRDGKGSKVLEGEKIVYSSSPYPAQPGFERGRMVFFEGVKRFELEDLLRASAEMLGKGGFGTAYKAVLDDGNVVAVKRLKDANVGGKREFEQQMEVLGRLRHPNLVSLKAYYFAREEKLLVYDYMPNGSLFWLLHGNRGPGRTPLDWTTRLKIAAGAARGLAFIHNTCKTLKLTHGNVKSTNVLLDKTGNARVSDFGLSIFASPTNNAPRSNGYRAPELSSDGRKPTQKSDVYSFGVLLLEILTGKCPSIVDNGGPGYGYGGAVDLPRWVQSVVREEWTAEVFDLELMRYKDIEEEMVGLLQIAMACTSASPDQRPKISHVVKMIEEIRGVEVSPCHEGLDSVSDSPCLSEDTCGAGSQ >EOX98026 pep chromosome:Theobroma_cacao_20110822:2:4312135:4314872:-1 gene:TCM_006890 transcript:EOX98026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-helical ferredoxin MAAIFARNSLNSLRARHLAVSGQVLQGSQQFGLRLTAHSYSTKKDDEEREQLAKEISKDWSAVFEQSINTLFLTEMVRGLMLTLKYFFDRKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGLCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >EOY01058 pep chromosome:Theobroma_cacao_20110822:2:37212706:37213240:1 gene:TCM_010996 transcript:EOY01058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREEKKENMSGGELGRIRPHQRMAKYFFLEKTRTFRKKKRENRLGSKERKKERKKGEKRKRQGRFGPVVSS >EOY00358 pep chromosome:Theobroma_cacao_20110822:2:31601193:31628711:-1 gene:TCM_010207 transcript:EOY00358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiol-disulfide oxidoreductase DCC MKVQKFRSIVEKGKTMGMLMKRIANLTETTAKPALPFSWKILFSPSFTHRSLFSTSTKTDVVEGTAADVDAISGGDDLVYSDPPVSTTVKPLLPNVLQPRVVIYDGVCHLCHQGVKWVIKADKYRKIKFCCVQSKAAEPYLRVCGVDREDVLRRFVFIEGLGVYHQASTAALRVLSYLPLPYSALSAFLIIPTPLRDAVYDHVAKRRYDWFGKSEDCLVLQENELLKRFIDREEMMDRSQSNL >EOY01434 pep chromosome:Theobroma_cacao_20110822:2:39432441:39435003:1 gene:TCM_011323 transcript:EOY01434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative MRVIIVACGREFAMEVGFQEPVLAIKRQAEQLLGIPVERQTLSVCDWELVDGLDMEDYPIVTHGTKIDLTLKPLSPSLNHRTKIQITVKFSSRQVNIEVDRTETVRSLKEKIHIVEATPIKRMLLFFSGVELADDFRNLNEYGISEFSEISVVLRTTNRLKEDPPTRGLNIVVQMSSSLLNAATIPLEMKDSSTVNDLRQLLLSRKILPGDDYLFIHKQRIMRDNCSLRWHGVENGDCLYVFKGTVSRDGH >EOY00026 pep chromosome:Theobroma_cacao_20110822:2:23454747:23459741:-1 gene:TCM_009387 transcript:EOY00026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 6-like protein MKESSRSNIPRCSRGLPILLVDHDTTSLMYLATMLERYSYKVTTTVLPSVAVSMIQQGNNSFKLVMANINMKDKNSLSFLRALLKKDIPVIVMSSERSANVAQKAIAYGASLHLQKPISVNDLKYLWQHAYRNVKNQAIKIKEVATQSKPSVPRNGHFIGIDETNDPKGKNKEVAETDVRILKTNCGMELKKTPIEHMLAKQNLEHGETSSKSRKSYADKEDKTENKRNKPNPELADFGKAETAKQEGWKDKTSNQSSSPKGKKLRLVWNPELHYKFTAALSALGDENARPKSILQMMNEPTLTHRQVASHLQDLSIKPWYSQSFTISYM >EOY02222 pep chromosome:Theobroma_cacao_20110822:2:42365795:42368329:1 gene:TCM_011916 transcript:EOY02222 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-cell receptor-associated 31-like MIQLLYSVIMLQVGFIITLLFKTPFRKLLIMALDRVKRGRGPVVLTTVAATLMVVLASTLYSMLKIQRRTIDAAALNPTDQVLMSKHMLEASLMGFVLFLALMIDRLHHYIRELRFLRKSMETAKKQSQGYEDRKNAGELKALGEETVILKTKIKKLESECETKSKEVEAAQAEAEALRKQSEGLLLEYDRLLEDNQNLQNQLESIEQSLSEPGAKKNM >EOX98221 pep chromosome:Theobroma_cacao_20110822:2:4954138:4958764:1 gene:TCM_007034 transcript:EOX98221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase msrA MMGGGSVYWGRKEESKEVKGIVVIFAWVSIHDKHLQNYVDLYSSLGWNSLVSRADFLNAYYPERAMSLAFVLLNELVEELKFRTCPVVLVAFSGSPKACMYKVFQIIQGTGDSQLNLDGDQLVRNCVSGQIYDSSPVDFASDLNAQFALHPSIRKMPGPSKLVSWIAKGVASGLDGLYLTGFQSQRAEYWQTLYSSVDLNAPYLILCSENDDLASYPVISNFAQCLQDLGADVKVVKWNDSPHLEHYKHYPIQYRAAVASFLEKATSVYSHRIQKLRERNGMHDEISELICDLQKAAVNSNQSLRRVALGPSDHFFLPSSAEYRNGRESGSLQDEQRERLISLPVPPSINAHSVLGQILFDACVPKNIEGWDIRFSGSVKGQPFAAARRHSPFLGIRCNRRSKL >EOY00520 pep chromosome:Theobroma_cacao_20110822:2:33198404:33201433:-1 gene:TCM_010405 transcript:EOY00520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C15, pyroglutamyl peptidase I-like MELTNRRTSQKLESVKARGTYDSGVRLFGRKNKNFIAPLKSWFEYNILSSELHQESDYFPLNGIGFNHSVFLFDQKVFDSILIALANMGFGFLVYWGLVLRQPLLILLTENWELHFCRRQGDGTAGNGALPMLYKVLESGISGINANNEQVIWLHMGVNSGAQQFALEKQAVNEATFRCPDELGWQPQQLQVVAEDGGTYRKREADLYAIMSTTTAEQKGHKSLFVHVPIFSKINEETQMQFSASLLEAIASTC >EOX98246 pep chromosome:Theobroma_cacao_20110822:2:5044013:5050827:-1 gene:TCM_007053 transcript:EOX98246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIKFAEYQIAIRNQEKIDGWLTRIKDFKNSKNVQAYIITPTMDMKSKGKLSFDSHLSSQIKDICKCVDNKEELKSEIKSLKYEIEKLKGLLEYDKNNQQLKEKEKQQEYESLKCEMEKLKELLEYEKNNQQLKEKEKQQEYESLKCEIEKLKELLDEGDKKYLLDTASFALTLVNKEHLSYQIPNVKQKVRKNAIKKSRSKVCPQSPSVSLPYKLSDDLNDICNYVWNKHSNSEEKIVDFIDTYAIRSEMMTLEPRKWLDDTAAYFEKLFKGTLFASKETCWEIVVPNDVPRQSNSV >EOX98277 pep chromosome:Theobroma_cacao_20110822:2:5175981:5178479:-1 gene:TCM_007078 transcript:EOX98277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGSRQPSHPCHNQMAFPHCISTLSTMYWMASALFSPKSTLITFTNCQFILPHKYPETFSQLHTHVADHFLDLRNIPGYGLWICAREMACQSGMEFNSSYCNKKLIGARSFSKGMLQERIDISKTDDDYDSPRDYVGHDTHTSSTKAGSRVVGVEYFGYAKGTATEIAPKIRIADGVNAKSLPSGFFEIPFDENPIAVGAFAPLKKGNNGPHASVEKCSMRLLGSLPFGAGRTIGGDFAAHVTLGDGDLTVTRKPAYKDNLFVSGVPIYFGQETEPKNSAKFYSLDTEKVDGKNLVPLLRLQRRSPWILKPDILASGVDISAAWFPNSGLAPIGDDDYLLTDYAIICGTSTSFPRAAGIAKLLRATHRDWSSVAIRSAMMTTADNTDNANGRIIDMIIGVRGTPLDFGAVNPNKTMEPGFDYDIENQDYHQLPVWVKLYENTDPNYHVAFHYAGDNANQDLNYPSLIVILKNTNTTCITFQKKLANCGRW >EOY00759 pep chromosome:Theobroma_cacao_20110822:2:34908890:34909738:1 gene:TCM_010679 transcript:EOY00759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II core complex proteins psbY MAAAVATMAMLNAKCLSISSNKTINPTKPSAKPISLLSMQNLPKGLTISKPAEKSILPSSLAGTAIAGAVFSTLSSCNPAFAAQQIAEIAEGDNRGLALLLPIIPAIAWVLFNILRPALNQLDRMRSTKGVIIGLGLGGLAASGFMSTSDASASEIAMIADAASSDNRGTLLLFVVAPALLWVAYNILQPALNQLNRMRSQ >EOY00815 pep chromosome:Theobroma_cacao_20110822:2:35388867:35394025:1 gene:TCM_010751 transcript:EOY00815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein MYLSEKPRPIDLYKEEGPTTARDMIIEVTTNVDLPPHHHPPPLQQQQQQMILGDSSGEDPEVKAPKKRAETWVQDETRSLIGFRREMDGLFNTSKSNKHLWEQISAKMREKGFDRSPTMCTDKWRNLLKEFKKAKHQDRGSGSAKMSYYKEIEEILRERTKNAYKSPTPPPKVDSFMHFADKGFEDTGISFGPVEASGRPTLNLERRLDHDGHPLAITATDAVAASGVPPWNWRETPGNGGDCQSYGGRVITVKFGDYTRRIGIDGTADAIREAIKSAFRLRTKRAFWLEDEDHIVRSLDREMPLGIYTLHVDEGLAIKVCLYDESDHIPVHTEEKIFYTEDDYREYLARRGYTGLRDIDGYRNVDNMDDLRTNVIYRGVS >EOY00312 pep chromosome:Theobroma_cacao_20110822:2:31036112:31041203:-1 gene:TCM_010143 transcript:EOY00312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVTINTRYLKSKELFKLRTNENVLKLFEIYQGCKKIHLYLDLILAIPLQIMNSISSKYSVNVNNVTCLAGNMHVALNVDDVNIDAIGNVDDNVNIDDVPIINGTDIRRVSSLERGRGKGKDKGKVKDRVGLGSSSSKDPTIVGGANVNSGRGDTNLEKDIDVFSEDIDLMLKDSK >EOX99208 pep chromosome:Theobroma_cacao_20110822:2:9070636:9072859:1 gene:TCM_007806 transcript:EOX99208 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor MKTEVRGNGASISLQNPSLFNTPQSSITGALRGCLGSLDGACIEKLLLHCASALESNDVTLAQQVMWVLNNVASSVGDPNQRLTSWFLKALISKASRVCPTTMNFHGGSTFQRRLMTVIELAGYVDLLPWHRFGFCASNSAIFKAVRGYPKVHILDFSITHCMQWPTLIDALAKRPEGPSSLRITVPSYRPPVPPMLNVSTEEVGHRLANFAKFRDVPFEFHVIDDPSFPSSGEILSKESSAFQFESLLSHLTPSALDLREDEALVINCQNWLRYLSDERIGNTAHDSSLRDVFLDIIKGLNPRIIVVVDEDSDLSAPSLTSRITTCFNYLWIPFDALETFLPKDSSQRLEYESDIGQKIENIISFEGFQRIERLESGAKLSERMKNASFFSVPFCEETVTEVKFLLDEHASGWGMKEEEDMLILTWKGHKSVFATAWTSTGLED >EOX98310 pep chromosome:Theobroma_cacao_20110822:2:5283736:5287111:1 gene:TCM_007097 transcript:EOX98310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase family protein MGSISFANYQTLKLFPSFNSFLHSKQPCIVSLYHHSHNVVPSLSLPNRRSFRRAAGLIANSVPSRNGNYTVGDFMTRKEDLHVVKTTTTVDEALEALVEKRVTGFPVIDDDWKLVGVVSDYDLLALDSISGCSQNDTTMFPNVDSTWKTFNEIQKLISKNNGRVVGDLMTPSPLVVRESTNLEDAARLLLETKYRRLPVVDGDGKLVGIITRGNVVRAALQIKRASERSS >EOY00276 pep chromosome:Theobroma_cacao_20110822:2:30874740:30876526:1 gene:TCM_010117 transcript:EOY00276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MIAELKALEDNGTWSIVPLPSNCHAVGCKWVYKVKLHANGSIERYKARLVAKGYNQVEGFDYQETFSPIAKQTTVKVFFALTAAYNWHLSHHDVNNAFLDGDLAEEVYMEIPQGYSIKGEYPNSSSKMVCRLHKSLYGLKQASRQWNAKLTASIVKYGFKQSTSDYSLFTMRTINGDFVALLVHVDDIFIASNSTQTEFDVKNFLSSQFKLKDLGKVKYFLGLEVARSPEGISICQRKYTLDLLEEYGLLGPKPVSTPIDYNHRLSKVSEGNELVDATSYRQLVGKLLVLKYLKKAPGQGILMKSKNNLKISGYSNSDWAGCLDTRKSITGYCIFIGDSLVSWKSKKQSVVARSSAEAEYRAMATTCCEIIWLKYLMSDFGINDSEAINLYSDSQSAIHISRNPVHHERTKHIEMDCHFIREKVLTGVIKPLHISTDSQLSDIFTKALQPRQFYKLLGKMNVHNIHCPS >EOX98930 pep chromosome:Theobroma_cacao_20110822:2:7808807:7811210:1 gene:TCM_007593 transcript:EOX98930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MKAVSGKLKYLRTSPSSVSRSYSLTPLASHAKPKNSSSSTLLDEFVNFCYQRDLSRAMKAMAVMERHGIYADSVTYSELIKSCLARNAVEQGKLVHKHVFSNGHQPKTFLVNILISMYVKFNLLEQARALFDQMPERNVVTWTTMISAYANAKLSVKALEFLVLMLREGVLPNTYTFSSVLRACDGLVNLRQLHCGIIKVGLESDVFVRSALIDVYSKLDKLKDAVCVFNEMQTRDYVVWNSIIGGLAQNSDGDEALNQFKRMKRAGFSADQSTLTSVLRACTGLALLEVGRQVHVHVLKFNVDLILNNALLDMYCKCGSLEDAKSVFERMVDRDVISWSTMIAGLAQNGYSREALKFFDLMKASGVKPNYITILGVLFACSHAGLVDDGRYYFQSMKRLYGIDPGREHYGCIIDLLGRAGKLDEAVKLIHEMKCEPDAVTWRTLLGACRVHRNVDLAIYAAKQVLKLDPEDSGTYVLLSNIYANSQRWEDVSEIRRAMRHRGITKEPGCSWIEVNKQIHAFILGDTAHPKINEINRRLNQLIHKLMGMGYVPDTDFVLQDLEGEQRDDSLRYHSEKVAIVFGLMSLSAGTAIRIRKNLRICGDCHNFAKFVAKMECRLIVIRDPIRYHHFQNGVCSCGDYW >EOY00338 pep chromosome:Theobroma_cacao_20110822:2:31447813:31450788:-1 gene:TCM_010189 transcript:EOY00338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASGSWVRATIFAACFALLIMVVAAHEGHEHTPGMAMAPQPEASKGNLVSLTTVIGFLALIVTTLVAAERV >EOY01212 pep chromosome:Theobroma_cacao_20110822:2:38305211:38310290:-1 gene:TCM_011155 transcript:EOY01212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase family protein MSFPHQLALRFHRRRFPPPPAVSTCCSFATPPPRDSSFLSSSSSSSVAMSPEISMLIRRAAFIFTAVTLSALLLYTANDSLRFLRLSPGSLSSPSFSRFFPYLYDNSSSTNPRQQLEEVLKNASMKSNTVILTTLNDAWASPNSVIDLFLRSFMLGDDTRRLLDHLVIVALDEKAYNRCQLVHKHCYALVTEDVDFHQEAYFMTPHYLKMMWRRIDFLRSVLELGYSFVFTDADIMWFRDPFPRFFSDADFQIACDNFLGRPDDMNNRPNGGFNYVKSNNRSIAFYKFWYSSRETYPGYHDQDVLNKIKFHPFISEIGLKIRFLDTAYFGGLCEPSKDLNLVCTMHANCCYGMDSKLHDLKIMLQDWRAFMSLPPDLKKESIFSWRVPQNCSLSSLRHFDSPSPEMKAQQEEKH >EOY00223 pep chromosome:Theobroma_cacao_20110822:2:30027906:30038493:1 gene:TCM_010023 transcript:EOY00223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWQGHGMIYVAKPQVDIRGCDHLISPMSTNIVETTMAVGIRWKGASRLLLRGNSDQPSVYSSAPIAHSG >EOY00496 pep chromosome:Theobroma_cacao_20110822:2:33011266:33013952:1 gene:TCM_010377 transcript:EOY00496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAYQLCIIGFQYNDALVVVGKILKYVNGLVEDLTFDPNKEILGVDEGLLIFVNVVGDGFSPSNKRDEDEGNLNEYYDSYEFGDIVIDEEDMVDYATRKRDRDLRGNVEDFVSSWYHKDVYMVAYGNALQPMHDI >EOY00210 pep chromosome:Theobroma_cacao_20110822:2:29474526:29485798:1 gene:TCM_009972 transcript:EOY00210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVDRDTAAVVTSSREVPGRDKSLRGALRGKLSLGKLRNCGKGLELRMFGEKFFYIQEKVIVSDVGREHQRLRENLTEYI >EOX99368 pep chromosome:Theobroma_cacao_20110822:2:10574363:10575103:1 gene:TCM_008025 transcript:EOX99368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II family protein MASPTLLTPTSKLKPLLPIKAKAASAAQPHPPPQQQPLRRQFLTLAATTTTTLSLAGILPVTAAFAASDEEYVKETEVVINKVRSTINMDKNDPNVAAAVAELRETSNSWVAKYRREKALLGRASFRDIYSALNAVSGHYISFGPTAPIPAKRKARILEEMDTAEKALLRGR >EOX97518 pep chromosome:Theobroma_cacao_20110822:2:2547329:2548071:1 gene:TCM_006513 transcript:EOX97518 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR auxin-responsive family protein MISTKKLTRMARNWQKKAAIGRKRITSNMMASKKPSVVDKGHFVIYTTDKRRFAIPLVYLSNSIFLKLLKMSEEEFGLSSDGPITLPCDSLVMNCIILLIQRGLAKDLEKAVLNSRNYYGCSSYDTTFSNEGHANQLSLVCGF >EOY01787 pep chromosome:Theobroma_cacao_20110822:2:40880233:40883761:1 gene:TCM_011603 transcript:EOY01787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MTTLYMPLFRSCTKLRSLTQLHAYLLVAGLHRDPLPSTKLIESYAQMGSLRSSKLVFKNFPNPDSFMWGVLIKCSVWNNLFQEAIFLYQTMMKNNQAQISSFIFPSVLGACSGFGDLGTGGMVHGTIIKNGLDEDSVIQTSLLCMYGEMGCLSYAKKVFDEMPIRDLVSWSSIILSYVENGKASEGLEMFRLMVLEGIKPDWVTMLSVAEACGELGLLKLARLVHGYIVNRKIESDGSLANSLVTMYSKCGDLGSAQRIFLNVTNRSTTLSTAMISSYNRSGRFIDAIEAFVDMLDARVEPNAVTMMSVLGSYAGLGWLREGKLVHCYIIRKEMDPEYDILGPALIELYAECGKLNYSEKVLQSVAGRNIVSWNMLISIYSQKGLLTEALVLFVQMQTRGLMPDTFSLASSLSACAGGGLLQFGDQIHAHIITRGLSDKFVQNSLIDMYSKCGLTELAYMIFDKIKEKSVITWNSMICGFSQNGNSVEAISLFDQVYLNGLEMNDVTFLSVLQACSNLGYLEKGKWLHHKLLTYGVRTNLYIDTALTDMYAKCGDLRTAQRVFDSMSDKSVVSWSVMIAGYGAHGRVNASISLFNQMVESSVRPNQVTFMNILSACSHAGSMEDGKSYFNSMRDFGVEPNSEHYACMVDLLSRGGDLNEAYRIINSMPFPADASIWSALLNGCRIHHRIDMIKTIEKDLLDINTDDTGYYTLLSNVYGEEGNWKEFGKVRSAMKGIGLRKVPGYSTIELDKRVYRFSVGDTSLLQTEESLCFLENFQNLAQEYICNFENSNSMLDSVIISKDIYSQQEVSNCTWNNQIPVDNYTSHLIDL >EOX99338 pep chromosome:Theobroma_cacao_20110822:2:10218477:10223074:1 gene:TCM_007971 transcript:EOX99338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Developmental regulator, ULTRAPETALA MENGVERESGPMMFSEEELREVSGLKEGGDFIEVTCGCTSHRYGDAVGKLRVFCNGDLEITCECTPGCNEDKLTPAAFEKHSGRETARKWKNNVWVIVNGEKVSLSKTALLKYYNQASKNANGAHRSHSGRVCHRDEFVRCSRCNKERRFRLRTKEECRIHHDALADVNWKCSDLQYDRITCDDDEERASRRVYRGCTRSPTCKGCTSCVCFGCEICRFSDCSCQTCIDFTRNAKA >EOX97860 pep chromosome:Theobroma_cacao_20110822:2:3712238:3714785:-1 gene:TCM_006786 transcript:EOX97860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDVEEDTREGSVSSVILESLESTQKGDKLSAEDLAWVDSCLISDTEILERNWTSFKDVLLEIIGDQPESLDSSATGSDGFAGGTEIKIVPSTEEAETAKYSRRTDDDLVVIPINGDSETNTDGDPIKRTAFRSRVLQEDSTETFRGDPFLPTYNEDERRGEAIDLGLELSLSADETNSSMVDIFRVWDLDIPAEEDGLIKQLNKAIAEPSFQSMPSTFEDSMAWKDLKDEPLDNLIASIADLSLNKSSS >EOX97861 pep chromosome:Theobroma_cacao_20110822:2:3712638:3713387:-1 gene:TCM_006786 transcript:EOX97861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDVEEDTREGSVSSVILESLESTQKGDKLSAEDLAWVDSCLISDTEILERNWTSFKDVLLEIIGDQPESLDSSATGSDGFAGGTEIKIVPSTEEAETAKYSRRTDDDLVVIPINGDSETNTDGDPIKRTAFRSRVLQEDSTETFRGDPFLPTYNEDERRGEAIDLGLELSLSADETNSSMVDIFRVWDLDIPAEEDGLIKQLNKAIAEPSFQSMPSTFEDSMAWKDLKDEPLDNLIASIADLSLNKSSS >EOX98714 pep chromosome:Theobroma_cacao_20110822:2:6807647:6809819:-1 gene:TCM_007409 transcript:EOX98714 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative MAASAPFLSLPSQASLTHTLPKRTSIKSLAELPGLTSMPPIYTFPTNANDQPFSDAKESIPTIDFSHLTSNNPDERSKVLQELGESCQDWGFFMVINHGVPESMMKAIIEACRGFFELTEEEKQEFEGKHVLDPIRCGTSFNVSVDKVLFWRDFLKVFQHPEFHSPNKPAAFSEIALEFSKRVRQVARIIVRGISESLGLEENYIDEALNLENGLQVLVANFYPPCPQPELALGLPPHSDHGLLTLLIQNEIRGLQVQHKGKWMNVNPIPNSFLANVGDHIEILSNGKYKSVLHRAVVNNKDTRISIAMPHGPALNAVVAPASKLQDHENNPPAYKAMKYKDYLELQQSSKLDGKSCLERIQDRTV >EOY02005 pep chromosome:Theobroma_cacao_20110822:2:41658663:41660532:-1 gene:TCM_011769 transcript:EOY02005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger-like protein MAFPTYHSTNLNLQHIILYQPFAEPEIFYPTPPFLQIELTIIVQLRLRRHYCLTDQFVNLDDDDSLFFQETIRFDLQALRRYDRTYRILAPILLRLRLNPNAPSSHAIMDEIIRRGLSIGASESNQGRRVLPLHALLWGTLVEHVNEEEEEEVLIERAVEESALEFESSNYNMVPAKESSVKKMLKRVRVEAAECDKKGEEKIKKRRLEAENCVICLEELKVGSNASRMPCSHTFHGDCIDEWLKQSHYCPICRFEMPTE >EOY01633 pep chromosome:Theobroma_cacao_20110822:2:40398291:40401071:-1 gene:TCM_011480 transcript:EOY01633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLEYGVGSAGESEPQLCPLWKWCTSGAAALEFWSRGARSIVLGAFSSFMALRRRMKYRHFISNKRQARPIHPDATCPAGPFWNPMHHCLSGIVRFGGLGSCCTEHQTMCLERSRLWSRPVVLGHR >EOY00767 pep chromosome:Theobroma_cacao_20110822:2:34971998:34976250:-1 gene:TCM_010687 transcript:EOY00767 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA reductase 1 MPLLSRHDPPNLFTYSPCPANCDILLGNSMQSVKKTLSASINCLTMQPTWLLLLSFLGFLSLLKHSISLLKWVFDTIFRASKNLNKYGSWALVTGATDGIGKAFARQLAQQGLNLILVSRNSNKLKTVLNEIRAELPHIKIRDVAHDFSSDVTTSVKLIEEAIKEVEVGVLINNVGVTYPGAMFFDEVDEKVWMEVVRVNLEATTWVTRAVLPGMLNRKRGAIVNIGSGASIVVPSHPLYTIYAATKAYVDQLSRSLYVEYKLCGIDVQCQVPLYVATNLASKVALIEKSSWLVPTPKDYAEAGIRHIGYEPRCTPYWSHSIQWCFARLLPDALLDAWRLSVGIRRRGDLVA >EOX97738 pep chromosome:Theobroma_cacao_20110822:2:3259600:3261031:-1 gene:TCM_006673 transcript:EOX97738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein MYFHTTNKAEPMGRTLMLAWILVLCLWQVLPCVPKVEKVTNLHFFLHETTGGEDPTAVMVARPDITNNDNSSPVPFGSVVAIDDRLTVGPEYNSEVIGNAQGLGVSASKDTTTVVLYLDFGFTKGKLNGSSISIFSRNPVIEKERELSVVGGRGKFKMAKGFALLKTYFLNETNVIVEYNVTVIHY >EOX97570 pep chromosome:Theobroma_cacao_20110822:2:2707503:2707745:1 gene:TCM_006556 transcript:EOX97570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQELGICLLGRDNLSITNPMENITQKEKHPTFGRCDLNGRYGGDPYGHSTRKNLARSILLHMYYLIIN >EOY01055 pep chromosome:Theobroma_cacao_20110822:2:37194349:37195930:-1 gene:TCM_010993 transcript:EOY01055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTWTVFAAKIKGRQNPSQASELGPPDVAIATIVRMLHYNAEIIDRGASSCGASAILHELVRSLPGILPLALFGLYYRQKLRHQCGMEPNPCNDFCVHCFCHPSLVSRVSWHANIERQNHRISMSPRVEGGMNRGALEQPAEGALATPKLLFEGAVTLSLRGTIWSSRSCQISP >EOX99030 pep chromosome:Theobroma_cacao_20110822:2:8176637:8179221:1 gene:TCM_007658 transcript:EOX99030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein MQILRWVLKVLLICSILEVFPLSLAQTASQAPAVCSEADRAALLGFKAKILKDTTDSLSSWIGRDCCGGDWEGVQCNPAGRVTTLALQRPERDSSLYMKGTLSPSLGSLQFLEVLVISGMKLITGPIPENFSNLTRLTQLVLEDNSLEGNIPSGLGRLYLVQTLSLAGNRFRGPVPPSLGNLRNLVLINFGRNSLTGPIPSSFKSLLRLQSFDLSFNLLSGFIPEFVGQFRNITYIDLSNNHLSGHLPISMFSLVTLSDLSLSHNQLTGIIPDQIGNLKSLTSLSLSSNKFIGHIPASISRLQNLWSLNLSRNGFSDPLPVISSRGIPSLLSIDLSFNNLSLGTVPDWIMHRQLSDVNLAGCKLRGTLPKFTRPDSMSSIDLSDNFLTGSISAFFTNMTSLQKLKLSNNQLKFDLSELAVPDGISSIDLHSNQVFGSLSSILNNRTSSFLEVIDVSNNLISGTMPEFTEGLSLKVLNIGSNKIADQVPSSISNLIELERLDISRNQITGTIPTSLGQLVKLEWLDLSINRLTGKIPTTLLGIHRMRHASFRANRLCGEIPQGRPYNIFPASAYAHNLCLCGKPLPPCRGKK >EOY02097 pep chromosome:Theobroma_cacao_20110822:2:41940882:41947470:1 gene:TCM_011830 transcript:EOY02097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein, putative MGKKLDALLGRAFKPSKFKSLISLAISRLAVFKNKHQSRCNQARSDVVQMLELGQHDRALLRVEQVIKEQNTLDVFVMLEGYCNLVIERVHLIEQDRVCPDELQEAISGLLFASSRCGDFPELQEIRGVFMSRYGKEFAARAIELRNNCGVNTKIIQKLSTRQPDLKSRRDVLKEIAAENGIALQFEETSGSSEENLDGSKKQSQPKLDTSAKAGGIGGDDDEFTDSMKGRKKYRDVADAAQAAFESAAYAAAAARAAVELSRSDSHDPDDQNSPNSQGKRVSDRHESNSKYKQTHLGGQAEGLNQNKKTPEISSPSSEGSAEGTLDLRTMSLDEVDPIKLLEKEVVIHESDDETYDSHDLSFDMNTRKLKDKVQDTDKDDEPSEKTGLTFQYSSNKQIPSSLRAGLKVETETENPTAHAAKSSEMKGKQHFTINKGPFSVRTRQVRGY >EOY00951 pep chromosome:Theobroma_cacao_20110822:2:36082980:36084905:-1 gene:TCM_010857 transcript:EOY00951 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein MAPIKPSFVFLIIILLIAPALATKPHVINFRWRNLYPEGMAWDPSAQHFIVGSLSHRSIHSVSDAGVIETVISDPTLPENVTVLGLTVDSTKKRLLACLHSAPPLPPFNALVAYDLLTRQRLFLSLLPSDPDSNIASIGRGRDVANDVAVDFKGNAYVTNSVGNFIWKVNESGESSIFSRSPVFSRYASVMDQNEPFNDCGLNGIAYVSKGYLLVVQSNTGKMFKVDADDGTARVVLLNEDLVMPDGIAIRRDGVVLVVSTQKLWFLKSADSWAEGVVYDKTALDAEGSATSVVMGEEDRVYVLYGHVVEGIMGNGEGRERFEIVEVRSEKESGEEHVWVFVLVGLGLAYFLFWRFQMRQLVKNMDKKIN >EOY02085 pep chromosome:Theobroma_cacao_20110822:2:41905796:41907142:-1 gene:TCM_011821 transcript:EOY02085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKRKKLTNYGNKNNIYMDLKDIIGEIARRYLPAKSLFQCTGVCRGWKHQISTPFFAHNQSIYLHSTSGFFYQTQAGEPSFMSLDPMAYGVPDPSLTFLPEPVDIRTSCNGLLCCQGPAGYQAYYICNALVCAVPSELGGYEFDIYSSEKGSRRTSGEIYFGDRKLLPKSGVHVNGTVYWLSSQGVIAFDLTSERSQILSFASRGIMNGALDMMNGQLCVAYVLGRSLYVSVLSNSYSNTMRLRSDARTWVKIRSDINLDTSYQFSSSYGHGLGSVVFVSGDTHGVASEWEHIIFLRHEEKGLLFFG >EOY01087 pep chromosome:Theobroma_cacao_20110822:2:37547346:37549460:-1 gene:TCM_011039 transcript:EOY01087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase MVCLVSRTGRHLQRYDDLGRRQVVGCIPYRFKCSSDGTISDDLEVLVISSQKGQKMMFPKGGWEVDESREEAALRESVEEAGVLGSVECELGRWNFISKSHGTFYEGYMFPLLVKEELDLWPEQNVRQRAWLNVKEARDVCQHWWMKEALDILVERLTSSLQQQKEQNMSICSLI >EOX97482 pep chromosome:Theobroma_cacao_20110822:2:2420335:2422974:1 gene:TCM_006481 transcript:EOX97482 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MALRLPTQLATRGTFHHHNHTKTTTFTSLSWRRVLSPDDRLPSSTSLATKKSHVQQLVTCSASGAAQAVNLAPGTPVRPTSILVVGATGTLGRQIVRRALDEGYDVRCLVRPRPTPADFLRDWGATVVNADLTKPETIPATLVGIHTVIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKYCTEKFLQDSGLNHVIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTFIALRNENINGKLLTFAGPRAWTTQEVIALCERLAGQDANVTIVPVSILRFTRQLTRFFEWTNDVADRLAFSEVLTSDTVFSAPMTETYSLLGVDSKDIVTLETYLQDYFTNILKKLKDIKAQSKQTDIFF >EOY00530 pep chromosome:Theobroma_cacao_20110822:2:33237118:33239930:-1 gene:TCM_010411 transcript:EOY00530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein MSTLNHLFDLPEQICYVQCGFCTTILLVSVPCSSLSMVVTVRCGHCSSLLSVNMMKASFVPLQLLASLGHDHDDEPKEGAVCEEVAAPRKTAAHRRSPSLMTSSDNEEEDIVRVNPTVNKPPEKRQRAPSAYNRFIKLIPGGREEIRRLKAQNPNIPHKEAFSTAAKNWAHFPPMDTKGDGESCGLDEGQALWNSDATQVLHVSRFDGKNKFTYKAMLSVKEKPQGVPYGPRHRLREDALDIDFY >EOX99896 pep chromosome:Theobroma_cacao_20110822:2:17847003:17850245:-1 gene:TCM_008881 transcript:EOX99896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance RPS5-like protein MDAKKALPIDVLEEIEAWNLFKETAENDFKDSELRSVATEVDKKCAGLPVAIVTVARALRSKELYAWKDALAQLQRPSPSDMQSGVPAAVYSAIELSYNNLKSEELKQTFLLCGLLGHNARAEDLVRYGMGLRLFENVDTVEDTRNRVLTLVSNLKAFCLLRDSYSHERFDMHDFDCDVALAIASRDNHTFALKHEGVFDDWPDEERMRKLKMISLSFDSVEKLPHQLECPQLEFFCMGSKDSDAEIPVNFQLFLNYTLGFPSLEKVIMRQCPKMEIFSKRELSTSKLKGVQSTEGEYVGRWEGNLNATIQQLFIEKNDQNCEEETAVSF >EOY01287 pep chromosome:Theobroma_cacao_20110822:2:38806315:38811005:-1 gene:TCM_011224 transcript:EOY01287 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein MWPRLVANKILRKRLGSNNFVADFPSRKETLLGIPSLDQPSLSPKTIFSHHKDTHNYSIFVTTWNVGGVAPHEGLDMEDLLDTGNTACDIYVLGFQEIVPLSASNVLGSENSKISTKWNSLIREALNKKIRCRDKAQHSSEDGKSSIENSIPGQDFRCIISKQMVGILISVWVRSYLRPYIRYPSVSCIGCGIMGCLGNKGSVSVRFRLHETSFCFVCSHLASGGREGDEKLRNSNVSEIFSRTSFPRGPSRDLPRKILDHDRVILLGDLNYRISLPESTIRLLVDTREWNGLLEHDQLRMELMNGEFEGWHEGSITFAPTYKYRPNSDAYYGCFHQSKKGEKKRAPAWCDRIIWYGKGLKQHVYNRGEEKFSDHRPVKALFTAEVAVLHSMKQFQSFFLSDRFDRITSQLEIPSSADDFLCKGRSSFQI >EOY01484 pep chromosome:Theobroma_cacao_20110822:2:39619050:39620841:1 gene:TCM_011355 transcript:EOY01484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYVTRPLSMYKKFPSTLALPPPEGPNSGILVILDEEAEPTCCFGLCKSHELDGLPFPQNKKIELRYSSGSGQHEDVYHKPVAFIPVLDQPLSSNRYYVLKPRGRHKGEAFTNSTEEDAVTCCFCKCFPDIEPQYADHHDIYQQFEICPRSRGGFVAKSVAPDGVPPKFLRRKGWGVSISTPHGFRLGEALGLDTALRARLPEFNVPLSCKSSEPVVVGKWYCPFIFIKDGRPKDQMARSMYYEMTLEQRWEQIYACDNGYNEDNVATVDVTVEREVVRVDGMEALQNIGREMLDGVMWFRSSNQVGGEVAVGLSMAIFERMKWEQERFGWTSGNETQERIKRVEESGRDGGWNKFGCYVLVERFVLRRIDGSLALTYDFKHTHHIRSKWE >EOY01966 pep chromosome:Theobroma_cacao_20110822:2:41541348:41543407:1 gene:TCM_011745 transcript:EOY01966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNLSFNFSHFKIKLNVILTSHQMNDVSKTLHLFFYFILYLIGFEIFLMMGKMCWDVTINRFIILSGDKVHSILAKQKENEEKA >EOX99689 pep chromosome:Theobroma_cacao_20110822:2:13770328:13772611:-1 gene:TCM_008453 transcript:EOX99689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTTEATTFPTACFSLHIPIKSVFKASSKVALLVVGGHQQIHNFLLVYIRSNRYPRPGTHKTSTVFASSLMTKAIRLAFTTKQTLTKTNKEEW >EOX97611 pep chromosome:Theobroma_cacao_20110822:2:2856633:2859023:-1 gene:TCM_006589 transcript:EOX97611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGYRNISMQYFTVRPLGRRFLSHGWSLKAKGCETLEDKKVTDIIFNEETGCITNIFLFSFFWSNCFHRKQLILCIEYTRFPSQIQAMLVLDLMIYSLT >EOX98556 pep chromosome:Theobroma_cacao_20110822:2:6176667:6180751:1 gene:TCM_007284 transcript:EOX98556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQDRNDTINEETEGENLVGYNFDDEDFSNIQPQTLAPISETTSARKRKRLNETGDLITSKSIIAAATILGEIIKDVGIEFSKSVGAKVNIQQKAQELDEILSQVEGLTAREGFLLQLNFRNLQVLCLCFLVLILTEDLKS >EOX99075 pep chromosome:Theobroma_cacao_20110822:2:8349132:8374804:1 gene:TCM_007690 transcript:EOX99075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hydroxyisobutyryl-CoA hydrolase 1, putative MLTNFQAYEQDSTTNFVILKSNGRAFCAGGDVVAVLGALIIGHWSFGANFYRKQYALDYLLATYEKPLISLINGVVMGGGAGLSMHAKFRIVTENAVFAMPEASIGLFPDVGASYFLSRLPGYFGEYLGLTGARLDGMEMLACGLATHFVLSKDLVLLENELDKVGTSDTTEIARQIERFSCAAPIKRESAYARLDTINKCFSKNTVEDILLALIKKGREQTLEQCLRFEYIVACNILRGSISKDFWEGGRAKLIEKDNKPKWAPSNLQSVSEETVNRYFTEVDDPHWEILRLPDRPNLVDALKSKITENLFLILSHLFASESEVDLEKMSFLLEFLKCALCCEDEHVDGSCEDECSDVSDNETSFSNIYSTTRIYNTFSSWLSTPSSSIDESSSVTRTRNYPQHYLNSSLSSSLLNPTRKESTWQSRPSDVPVEYRVVLGSETNNPSSIGSLYLKSVDRIYQVPQNPRSSGTYNIHSSPTPTKPPQSSTKLILAPCSPSPSSLKPPTSSPKPPASSKPSPSSPTPSKPSMWSPKPSSTSSKPSSSSSTPSSSSSNPLPSL >EOY02023 pep chromosome:Theobroma_cacao_20110822:2:41711063:41712244:-1 gene:TCM_011783 transcript:EOY02023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYCKLPSCTLSLNSKPNEKKRTEKTTSSKAINKLSNMRRGLSHRLEARKTNKQKLFTLSASQTDIRGNSGFVSATFRYSHHEKYENMASEKHLTQP >EOY00337 pep chromosome:Theobroma_cacao_20110822:2:31436206:31438880:1 gene:TCM_010187 transcript:EOY00337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLVRDLTKIPRKSQTLVATKEGSSERGGPIGQIARPQSSQSFSKRRADTSFGDTGGDYPEVPTATLEEIAAGLQGLTQECAEFKRQGVCQPNETMGSSFEDSDYQPYKEIDRGNVMVTLGEGSFRYKNPSRGKDVDIAGQPGQRDGNLLRGSTFSSPPNQRRNFQFRSPPHSSDFSGINYRWAMSSGMTNLNPRQSGQWGRFCTFCGQIHTRPCNQMKAFYYECGGIRHVKRDCPTYRHNQEMARNSIRPNFATALTKNVRRDKGKRVASYSQGR >EOX97764 pep chromosome:Theobroma_cacao_20110822:2:3370073:3371203:1 gene:TCM_006697 transcript:EOX97764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRQATSMQAPRIAGLRMVEERKGGGIFHNSSTHNSNRPSGKILQNFQRPRPASYAAIQEKLRNPEVNYSRYI >EOY01092 pep chromosome:Theobroma_cacao_20110822:2:37573844:37585702:-1 gene:TCM_011044 transcript:EOY01092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polcalcin Jun o, putative MGFGSIFNRKKKRHSLSNASNLTGSPAVGANGSSFLQSPMRTPTRNLTQTQLQELEEVFKKFDVNGDGKISSSELGSIMGSLGHKPSEDELQKMIKEFDADGDGSINFKEFVELNTKGVDSDEVLENLKDAFSVYDIDGNGSISAEELHEVLKSLGDECSIAECRKMISGVDSDGDGMIDFEEFKVMMMAGARYDSIES >EOX97304 pep chromosome:Theobroma_cacao_20110822:2:1919033:1922071:-1 gene:TCM_006371 transcript:EOX97304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoeugenol synthase 1, putative MEAKEEVGTMEASAEASKILIFGGTGYIGKYMVKASIKYGHQTFVYTRPITPQSNPDKVNLHKEFASIGVTIIQGELDEHDKIVDAVRRVDVVISALPFPQVPDQVNIIEAIKVAGNIKRFLPSEFGVEEDRVTTLPPFEACLDKKRKIRRAVEAAGIPYTYVSANGFAAYFVNYLLRPHEEHEDVVVYGSGKAKALLNYEEDVAEYTIKVANDPRTCNRIVIYQMPKNIVSQLELIALWEKKTGRSFKRIHVHEEELVKLSETLPFPDNIPVSILHSLFVKVFLDFPSLVMAPTAAMLILSRHCKTTSPALPPPRSPPLDVKASALKWVALDSLLTHRGRSGSNNNQESKTVEADRSFSADSALEKRFKEALEFSWW >EOX97504 pep chromosome:Theobroma_cacao_20110822:2:2511789:2512540:1 gene:TCM_006505 transcript:EOX97504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSSSEQNWHTEKTKNLDRGTSVGLLNQLTLHIFHEALITRGKSPAALTFSSKTGFIPFDQRRDRAEQPFEKNWTRDEVTPSKWQSAPTHFPGTEAKRTRSVTT >EOX97296 pep chromosome:Theobroma_cacao_20110822:2:1871905:1873196:1 gene:TCM_006365 transcript:EOX97296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEIFQANIAPNHARKLTFSCNADALPQAARFDLIVKDDATGRSWKFECKREPQANSFSFSGSQWRDFATPRINASITLFGNGNIYVIRVRPM >EOX99892 pep chromosome:Theobroma_cacao_20110822:2:17814223:17815046:-1 gene:TCM_008875 transcript:EOX99892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFSTSLSTLTDVHRISKIIKHRQYGQLNILSNCRAIPQFHSIHVMETSKSLLGDVVVVVVDAVGRLNDNVAMVED >EOX98960 pep chromosome:Theobroma_cacao_20110822:2:7942670:7947021:1 gene:TCM_007620 transcript:EOX98960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQKKNYYRDRLFELKFIWGHMGNIRKIHALNWDKLCAKKEEGGLHIRETRKFNLALLTKLGWSIWQQKYSF >EOX97499 pep chromosome:Theobroma_cacao_20110822:2:2479366:2481072:1 gene:TCM_006498 transcript:EOX97499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic chitinase MSFRALSVFSLFLSYLILGSAEQCGRQAGGALCPGGLCCSQFGWCGNTDDYCKKENGCQSQCSGSGGDTGGLDSLITRERFDQMLLHRNDGGCPARGFYTYDAFIAAAKSFPAFATTGDDATRKREVAAFLAQTSHETTGGAGWAAPDGPYTWGYCYNRELNPADYCQWDPNYPCAPGKQYFGRGPMQLTWNYNYGQCGRAIGVDLLNNPDLLATDPTISFKSAFWFWMTPQSPKPSCHDVIIGAWSPSGSDQAAGRVPGFGLITNIINGGLECGQGWNAKVEDRIGFYKRYCDTLGVGYGNNLDCYNQRSYNNGLSVDSM >EOX99054 pep chromosome:Theobroma_cacao_20110822:2:8288441:8292227:1 gene:TCM_007674 transcript:EOX99054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSAFPFPNTFTLTNSSVSRSLATRLHARVLVSATSIKSTLNHSNHSTQVTPCGPSNSPLWTVTDIAEAVGGRIVKWGPPGTICTDTRTIEPGQWFFAIVGENFDAHDFVTPELSECGCVGVIGNRVCENWDVGFVKIDGDTLISLVKMATFARNRFLGKLIAVTGSVGKTSTKAMIALALESLESRIHHSYGNWNTRIGVALSLIGIPRNVDIAILEMGMSGKGEILELARMGRPDIRVILNVGPSHLEKLGSLEEVAMAKGELLEEAKPGDVCVLNADDPLVVGLPVPPGVRRVLFGQSLECDVRLVAADSICGGLGVRVVLENNMEMVEFVIPGLGLHLALNACAAAAVVTYFGHPLSQVGRSLSRYVPVNMRSEFVAAKCGIRIVNDVYNANPVSTKAAIDTLKSIDCNGKRVAIFGDMLELGPAEMEYHEEILNYCLDAHIDLVGIAGKRFHVAAENMNLHKKINIIHAVDAENIVPKILNCLNINDVVLVKGSRSMQMEKVVDALKAMHGFTPSPCSGNLDEDKIEDKS >EOX98377 pep chromosome:Theobroma_cacao_20110822:2:5554481:5555659:-1 gene:TCM_007153 transcript:EOX98377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant basic secretory protein (BSP) family protein MAHHLFFFSLLILSLAAIQGTHAVEYAVKDNTGNSGGGNRFRNEIGVDYSQQTLSSATDFIWRIFQQNNPADRKNVQKVTLFIENGNGVAFAVNNEIHVNAKYLGDYSGDVKREFTGVLYHEMTHIWQWNGNSQAPGGLIEGIADFVRLKANYIPSHWVQPGQGNRWDQGYDVTARFLDYCNSLRNGFVAELNTKMRTGYSADYFVELLGKTVDQLWSDYKAKYGN >EOX99746 pep chromosome:Theobroma_cacao_20110822:2:15379232:15380624:-1 gene:TCM_008612 transcript:EOX99746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative MGHGQQHQGGGQIVEVKLEKSCENNQEKGAKDSNKGKEIVLKVYMHCEGCAAKVFNCLKGFQGVEQVKTDMEGDRVIVKGQNADPLKILERVKKKYSRNAELISPKPKPKATDGKQSQNKQEPPIKFVVLKMYMHCEGCANDIKRSIGRMKGILNVEPDMKKSTVTVRGNFDPPKLVEAIAKQFGKYAEIVAEGPTDKANGTGKQGKEEEIMFHYPPQYSLQHIYPTQIFSDENILSCSIM >EOY01924 pep chromosome:Theobroma_cacao_20110822:2:41365441:41369532:1 gene:TCM_011706 transcript:EOY01924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase-like protein MVCQTWVHSSRLEIGGKQALVLLASLKILTCNGTALVSGAFSSFCATLEPQNIKSIEGGSTGTRIHVFGYRVEGKTENPVFDFKEGMESLRVNPGLSAYAEDPEGAADSLRELLEFGRRKVPRKLWGETEKLIEANPEELPVQGGWRKKQWNATAHQWDAAVRANGSQTAELSPQGWAGRQVKDVRVCPSEERLLVEGGNNRLLILANSAELMSESEYSLRRVHVNHQGYEG >EOY00839 pep chromosome:Theobroma_cacao_20110822:2:35485442:35490611:-1 gene:TCM_010768 transcript:EOY00839 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein MGLSGFIRGLNFLHGAKPRIRVHPSGYIQRGVSSHSKILNSNDSVLPVLVVGAGPVGLVLSILLTKFGVKCTVLEKNKGFSKHPQAHFINNRTMEVFRKLDGLAEEIQRSQPPVDFWRKFIYCTSLTGPMLGSVDHMHPRDFEKIVSPISVAHFSQYKLTRLLVKLLENLGFQICTSEGPEGLDHEPLRGREILMGHECVSVEMTGQCVTATVSFSKEGKLMTKKIQSKILVGADGAGSTVRKLVGIDLKGEKDLQKLVSVHFLSNDLGRYLLNERPGMLFFIFNTEAIGVLVAHDLKRGEFVLQVPFYPPQQNLEDFNPEICKKLIYKLVGRELSDIDVIDIKPWMMHAEVAEKFVCGNNQVILAGDAAHRFPPAGGFGMNTGIQDAHNLAWKIASLLNRVTPSSLLATYETERKPIAIFNTALSVQNFRAAMAVPATLGLDPAVANSVHQVITKGVGSILPPGLQKAILDGIFSIGRSQLSEFILNENNPLGSSRLAKLRHIFEEGKSLQLQFPAEDLGFRYLEGALVPDSKDPVPAPEVPTGRRRDFVPCADPGSRLPHMTVRVLSNLPSEETISTLDLVSADKVEFLLIIAPVDESYNLAQAAFKVAEECKISTKVCVIWPAATVTGVQPGSKLTLAPWKNYIDVIEVQRSSDSLSWWRTCQMTDKGSLLVRPDEHIAWRSKSSVVGDPYSEMKMVFSTILGIESTNIRNHALNI >EOY00954 pep chromosome:Theobroma_cacao_20110822:2:36098783:36099864:1 gene:TCM_010860 transcript:EOY00954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative MANSLNQAITTLFFFSSIILSFFVQAKQDNPCPYPCYPPPTGTGGGTQIGGTVPQTPPASYSPPPPQGTSYPTPTGTLPYYPPPPYGNSLYGQPPPDPILPYFPYYYRKPPHKTDDESSATSNPGKSLLTIATTNFIVFVFLVVSSLGY >EOX99142 pep chromosome:Theobroma_cacao_20110822:2:8655294:8663309:-1 gene:TCM_007741 transcript:EOX99142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set MLPIRFSFFGGGGSSKVGNYVEGDKSELNPTLKLQTDKDVYMPGDSVYVTIEICNPLASGNTGSIVPSLLIERLGFEIKGIEKLDIQWFATQKPLAGTKQRRGEHVFLDCSTPSMVSTQIVPSGSAKTYVVRAVLPSIIPPSYKGATIRYLYYIKSTLFVRWLILENGHSSEESVKDLSEVEARVPFQVWVTQKRNGLVLENGQTDVMSSLSPLVEVCPATTIQTDIYWKEMDGDSEWARVSDMYDGVEEGYESSRDEISSVSSYNPSKENLYKTFGSSLSLKSSAARSSYRDGPYHDGDRLSLSSNVGLPRLSVAEVLYDPSADILPADKSSAILSPSQQRTPTRSLAADDITGVSSAPSPGATESVASEGFIRGRSYNIRMDDQVLLRFSPKNSESTYYFSDMIGGTLTFFHEGARRCLEVSVTLETSETINRRFVHPSRRNSPTITKVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHVSVQWALRFEFFTTPKNVDWARYEHPLLIEGRDKSEWVLPITVHAPPPGTPAARSRSEKPFSLEPLWVRS >EOY01181 pep chromosome:Theobroma_cacao_20110822:2:38104677:38114221:-1 gene:TCM_011122 transcript:EOY01181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lignin-forming anionic peroxidase MVIAVRNSLSLVASRAAVVVILLLLISDCQCQAQLSSTFYEKTCPNALGTIRTAIRTAIARERRMAASLIRLHFHDCFVQGCDASILLDDAPSITSEKNALQNKDSARGYEVIDKAKSDVENICPGVVSCADILAVAARDASEYVGGPSWTVKLGRRDSTTASVSLATSELPRFTASLESLIDLFRSKGLSARDMVALSGSHTIGQAQCVTFRNRIYNNASDIDAGFASTRRRRCPATLGNGDGNLAALDLVTPNSFDNNYFKNLMQKKGLLESDQVLFSGGSTDNIVSEYSRNPSTFKSDFAAAMIKMGDIEPLTGSAGIIRRICSRVD >EOY01951 pep chromosome:Theobroma_cacao_20110822:2:41466189:41467356:-1 gene:TCM_011729 transcript:EOY01951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingoid base hydroxylase 2 MTALGLSDELLGAFMPVVVYWVYSGMYMAFGSCDNYRLHPKQEEDEKNLVSKRTVIKGVLLIQFLQVTAAILLYMVIGGNDAGASAAQPSSFIVIARQFIVAMVVLDTYQYFLHRYIHHNKFLYRHLHSRHHRLVVPYTFGAIYNHPLEAFIFDTVGGALAFVVSGMSPRASIFFFSFGTIKSVDDHCGILLPGNPFHFFFRNNTAFHDLHHQLYGGKYNFSQPFFVMWDRILGTYMPYSLEKRGGGFQLRPGKTCKDN >EOX97268 pep chromosome:Theobroma_cacao_20110822:2:1767184:1769479:-1 gene:TCM_006343 transcript:EOX97268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLETENRIAAILLKEAAELKRQADRDGVHVYLQQPKVRGRPNSRFLTATVLGVQQANRAVEVNEMWRVRQKELELNNRLKGRSRDDSRHSRSDGDISNPSRSTSRRPDSNASASCSSSKRVAESSYSGEDEGLRDEEIEEFLNSRIKRGRGSIGSRMDETGPYLQTNCDSPVKLSTSPIAREHRVTLGPERPSSLKSDEELHEHRRKKEKAGSDKKQSRKHKSKEKSREKKKKRKEEYKSKHRK >EOX99263 pep chromosome:Theobroma_cacao_20110822:2:9583682:9587743:1 gene:TCM_007868 transcript:EOX99263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 15, putative MVRAPFYDKNGMKKGAWSAEEDHKLRSYIQRYGHWNWRELPKFAGLKRCGKSCRLRWMNYLQPQVKHGNYTEEEDALIMKLHEELGNRWSTIAARLPGRTDNDIKNHWHTHLKKRAKRNLKTSDGKEYDYTTSQTEATHNSEGEAESIIIDTLPSMILESSPLSPTTSSSTELYSFSSESGFISSLNIGATEDICLHSSEIYEDQSSGDFWSEPFVADNIYNQDGYPSFLARGEFALPLPYDMYFDDSADLLYQVLMHELPPTHFYFDVIGLWLRMVLADLSFGRNVNGESSHQMPLLNACHVIPALALQPPYFITDDILILTYTAFDITLFTLTAAISIL >EOX97423 pep chromosome:Theobroma_cacao_20110822:2:2234621:2235713:-1 gene:TCM_006437 transcript:EOX97423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREDRESYSWVKASYVNDAESGNVAVINVMYKLAANDGINLSIVMVYRLTFAAEVMVPLALIYRLIFPY >EOX98752 pep chromosome:Theobroma_cacao_20110822:2:7015533:7017352:-1 gene:TCM_007443 transcript:EOX98752 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 85A3 MEPAKQHHAVCIPLPAQGHTNPMLKLAKLFHFKGFHITFVHTEFNYQRLLNSRGLDSLSGLADFQFETIPGGLPPTNQRGIQDLPALCLTCPSYYLHNSDGVMSFTLEIAQEFGIPEMVLFTPSACGMLGYLHFEELKERGYFPLKDESYLNNGYLDTAIDWIPAMKGVRLKVIPTFIRTTNPDDIIFNYNLQSVNNARKAAAAILHTFDDFEQEVLDAIKTKFTKLYTIGPLSMLHPQICPTNLDSIGSNLWKEDAECLAWLDRRKPRSVVYVNYGSLITMTPEQLREFAWGLADSEYPFLWVIRADLVNGGEEILSKEFMEAISDRGLLVGWCPQERVLSHPSVGGFLTHCGWNSTMESICEEVPLICWPFFAEQQMNCLYSCTKWGTGMEIDSDVEREKVEALVRELMEGVKGQGLRQRVIDWKKKAEVATSPEGSSYTNFDKLVRQLKQGMVNF >EOX98204 pep chromosome:Theobroma_cacao_20110822:2:4873954:4878025:1 gene:TCM_007017 transcript:EOX98204 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein MTEYWVSQGNKWCDFCKIFISNNPSSIRNHELGQRHKENVAKRLTTMRKESAAKEKEQKEAVRALEQIEAKAKRSYQKDVANFEARDSNAQALDGQEDWDYDSSSGYYYNQSNGLYYDPKSGFYYSDAIGRWVTREEAYSTTQVSSNPKSKDPGLKKSFPTSGVRPVAESKSAAKSPNDAAAGPVVSASLNPMRSAKGAPSSLAVKRKRQDEKPKAISKEEDAALKAREAARKRVEKREKPLLGLYNGSR >EOY01039 pep chromosome:Theobroma_cacao_20110822:2:37011785:37013730:1 gene:TCM_010973 transcript:EOY01039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 50 MGVESTRKACFFILFFLCRATSTPLFPKEALPTKSGYLPVNPATDSAIFYTFYEAQTPTSPLSETPLLIWLQGGPGCSSMIGNFFELGPWRVVSSCSQNVEHLSLEPNSGSWNRLFGLLFLDNPIGTGFSIASTPQEIPRDQISVAKHLFIAITKFISLDPLFKHRPIYITGESYAGKYVPAIGYYILKKNSQLVASERLNLKGVAIGDGFTDPETQVATHAVNAYYSGLINEKQKHELEEVQWEAIKLVKVRNWSEATNARSKAMHLLASMTGLATLYDFTRKMPYQTSIATEFLNIDEVKKALEVDESIIFEGCSGIVNAAMHEDMMKSVKYMVEFLVKKTRVLLYQGFYDVRIGVVSNEAWVKTMKWEGIERFLMADRKIWRVSGELAGYVQKWESNLTNVVVLGAGHLLPADQALISQAMIEDWVLENGLFGGELVNLSTNFREPT >EOX96994 pep chromosome:Theobroma_cacao_20110822:2:857567:867009:-1 gene:TCM_006124 transcript:EOX96994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase MSERKILDNGFDEGDKDASNHSINKVDPRKPAPLTWNRKLNGEGYVPSMFTLTFQEKLHMAPIGIRLLQHIREQSTKGRRVFINPFAKRYITSCHGVPLGGVGAGSIGRSYKGEFQRWQLFPRICEEKPVLANQFSVFVSRSNGEKYSSVLCPASPELLKENAVSGIGTWDWNLKGNNSTYHALYPRAWTVYEGEPDPELKIVCRQISPVIPDNYKESSFPVSAFTFTVYNTGKTTADVTLLFTWANSVGGVSEFSGRHSNSKIMMKDGVHGILLHHMTADGLPPVTFAIAAQETDGVHVSECPCFLISGNSQGITAKDMWQEIKEHGSFEHLKSTDASVPSEPGSSIGAAIAASLAIPSDAVRTVTFSLAWDCPEVDFLGGKTYHRRYTKFYGTDGDVAANIAHDAILGHSHWESLIEAWQRPILEDKRLPEWYPVTLFNELYYLNSGGTIWTDGSPPVHSLVSIGGRKFSLDRSQLGLKSIIDVPHQNGTAIDILGRMTSILEQIHTPIASNSAFGTNLLQEGEENIGQFLYLEGIEYHMWNTYDVHFYASFALIMLFPKLQLSIQRDFAAAVMMHDPSKMKLLHDGQWVPRKVLGAVPHDIGIDDPWFEVNAYCLYDTDRWKDLNPKFVLQVYRDVVATGDKRFAEAVWPSVYVAMAYMDQFDKDGDGMIENEGFPDQTYDTWSVSGVSAYSGGLWVAALQAASALAREVGDKGSEDYFWFKFLKAKAVYQKLWNGSYFNYDDSGSRTSSSIQADQLAGQWYARACGLLPIVDEDKARSTLEKVYNYNVLKVKDGKRGAVNGMLPDGRVDMSSMQSREIWSGVTYAVAATMIHEDLVDMAFHTAGGIFEAVWSEKGLGYSFQTPEAWNVDDQYRSLAYMRPLAIWAMQWALSRQKLPKQEPKPELKADSLRIHHAGFSKVARLLKLPEEQGTRSLLQVMFDYTCKRMLI >EOY01441 pep chromosome:Theobroma_cacao_20110822:2:39454521:39455496:1 gene:TCM_011328 transcript:EOY01441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycoprotein family, putative MGAGKTSRRGLKICCCVTAIFIVIIAIVLITLAFTVFKPKNPEITVYPQGLENIAFDLQGLAMLSNTTANVTVGMIIAINNRNYGSFKFKNTTALVNYRGGVVAEIPIIQSHVPAHGKLNITTNADFMVDKLISNPSFWVDVMAGSVNFTSEATVRGKVTMLKILKMHASVPSSCYISIFVQSQRIESICMTKLKL >EOY01363 pep chromosome:Theobroma_cacao_20110822:2:39160734:39165206:-1 gene:TCM_011279 transcript:EOY01363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock transcription factor A8, putative MKAYSKLHAVEQGLSFKISVMVKPSENGSQSIAPFLKKCYEMVDDEATDSVISWSQNSDSFIIWDMTEFSIHLLPKYFKHSNFSSFIRQLNIYGFRKIDTDRWEFANDGFVRGQKDLLKNIARRKYSQGSDQRKSLQQQPPQQLENSVGSCENNENVGLWKEVENLKTDKNALMQELVKLRQHQETADNKMLLLKDRLHGMEKSQQQLLSFLVMAMQSPGFLVQLIQPNENNWCMAEASNMLEQVPEDGESVPFDHMIVRYQPPIDGTSKPVLTPMVDSENPHESDNSSDATKDFWMNIDFVKVLMDESHIPFIPPDLQDDGAWEKLLLANTFVENNDDGNLDKERPVNSGMEMEVTGSGTHLEKSHNFELILQNMGKSQNLEIQPLVNESQLENYQDFELLTEQMGHLTSKSTKLQGTP >EOY00712 pep chromosome:Theobroma_cacao_20110822:2:34694781:34695562:-1 gene:TCM_010649 transcript:EOY00712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPRKMKQQALKPKILKKNLKARLQVERLKAETGKIREDQKCIREKQRELRGRFGEIERQCYQLKEATELIVKQTARTQIKLALMFKIMKARRGGDFKEAAMLTRFLRVIVSKERANATSAEVKNEQP >EOX99561 pep chromosome:Theobroma_cacao_20110822:2:12195449:12197256:-1 gene:TCM_008261 transcript:EOX99561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein, putative MATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRTNTQVKKRVYVCPEPNCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHTKICGTREYRCDCGTIFSRKDSFVTHRAFCDALTEENYKLNHNLAASGGILQSQAQELFTSSMPSSDSCSNANTMMNLSVSNEKMDNSLRPLSLNPAGVMISSNLDPIFNPRTSLASPLAIGSAYTSATALLQKAAEMGAKISDHTIAPILLRGFTGYSTGSMNSAGSAQEGSSMVGSNIATNAASTNGLFVGDETYDKNLEAGDLRCHHTVAPTALFDSHSLDSENGSAANLLGEAYMRGGEKMTVDFLGGEPAGHQSIGKKRSYDGNIVNLEYSNAQQSPNNLRSNW >EOX98205 pep chromosome:Theobroma_cacao_20110822:2:4875044:4875631:1 gene:TCM_007018 transcript:EOX98205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSMSLVQHILLCQYFPRHDSRYLSSNSKKFSLVAFWSKVTLPVSLSLVVCHAFFSKNHSRYIWYKTYFRF >EOY02179 pep chromosome:Theobroma_cacao_20110822:2:42216020:42218669:-1 gene:TCM_011889 transcript:EOY02179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF617 [Source:Projected from Arabidopsis thaliana (AT3G25640) TAIR;Acc:AT3G25640] MKTITAKSPHDSSFSFSRRYFNWKKKVEDDDDEEEILTFSSSSHFCEEAEELRVPVPSQVSLVPAPRKKLPIVAVSKLRSALAVFGKNRSAYRSGLGTRVVGTLFGYRRGHVHFAFQEEAKSSPAFLIELATPTSVLVREMASGLVRIALECEKKTGKKGVKLLEEPVWRTYCNGKKCGFAMRRACGPGEWKVLKAVEPISMGAGVLPGNCDGNGAGSEGELMYMRARFERVVGSKDSEAFYMMNPDGTGGPELSVYLLRV >EOX99958 pep chromosome:Theobroma_cacao_20110822:2:19402042:19405416:1 gene:TCM_009044 transcript:EOX99958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYQYMKGCIDTFSSVARMSFPCNEDSLSYLTYLCNIEAFILQMTTIAHVHAPQAYTSSFQVYSSNHLANITFRP >EOX98046 pep chromosome:Theobroma_cacao_20110822:2:4368043:4371782:-1 gene:TCM_006903 transcript:EOX98046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Roline-rich extensin-like receptor kinase 4 MASAPEGSPNSTSTASPPPRPQPIINSPPPPMQESKSSPPPPSSSSSPPSPPSPPSPPPPPPANNENNGSNQNNAATAIQTPASPSPTPKSTAGNGSSTLASPPRILSPPPPKSSNSSASNTSSSPSLPIIIGVSVGVGLLLILVVALIIAVCNRKKKKSKFDNHIQYYKNSMPPKGGVGYGNVLSPRRPNNMNNGYQANDRHLAINLPPPPGGNAGRGGWPQEPRGQIPPLTSEMSSRYSGQHGPPLPSAYSPVALGLKQSTFTYEDLAVATQGFSEANLLGQGGFGFVHKGVLPSGKEVAVKSLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYSIAGDKKMLVYEFLPNKTLEFHLHEKDLPTMDWPTRLKIALAAAKGLAYLHEDCHPRIIHRDIKSANILLDFSFEAKVADFGLAKLSQDNNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVVLLELITGRRPLDLTGEMDESLVDWARPLCASAMEDGNFSQLVDPRLENNFVQHEMVRMVACAAACVRHSARRRPKMRQIVRALEDDVSMDDLNDAMRPGQSSRFSSMASYDYDTDAHGIDMKKIRKMALDCQDYGSSDYGNTSEYGLNPSSSSSDSSGNISRRQRL >EOX96906 pep chromosome:Theobroma_cacao_20110822:2:482535:486201:-1 gene:TCM_006049 transcript:EOX96906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MAARISDVKIDVNAASSPSNSPGADKGVEVGNSTLDQRDGLVPDQYLFTDEGRISYITYGVPLYQAAMKGDVEEVGRIIGQNQQALRQSVTEGMETVLHIAALGKQVQLVEKLVEWIKAQGLKVALRLRNRDGNTALSFAAISGIVPLAELMVNEDQSLPKIRNNARVTPLHLAALLGHRDMVKYLYEHTDDDLTDAERHGIFIICIRTGLYDVAFDIQNKEPSLATKRGSYQETALHVLAQKPLLFSVNENQLGIYSRIISRSGMNHDSLKLDQALQLVKSLWEKVLQQTHERMWSLVEHPTVLMLDAAEAGNVEFLVQLINLYPDLIWRVNGQKQSIFHYAILYRHESVFSLIQEIGSIKDLIATYEDDNNNNMLHLAARLPPQDRLKIVSGAALQMQRELLWFQEVERLVQPSCREQRNNEHFTPWELFMEQHKGLMKEGEEWMKKTAESSLMVPTLIATVAFASIFTVPGGSKDNSGEPNFLRRMSFLVFVTSDATALFSALASILMFLSILTSRYKEHDFIKRLPFMLMIGLATFFMSIGSVIVAFSATVFIIYYHGHLWVPAAIAILGSVPIALFASLNLPLFIDVWNSTYGSRSLFHPRNKLFKQPYHITSP >EOY00538 pep chromosome:Theobroma_cacao_20110822:2:33311556:33313576:1 gene:TCM_010425 transcript:EOY00538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein, putative MPPFCPLSHYASVCLSTLPSNITKPKSQNTERKARRKGHHFMMTEEHKVEAETEAQVAIHPDVWETIFSYLPLINLLPASRVSKSWNAAAFSSLRFNKTKPWLIVHTQNIRAPHATTAFAYDPRSEVWLQIHQNLPTRHVSALRSSNSTLLYVLIPSKFSFSIDPFHLTWRHVKPPAVWRTDPVVALVGHRIVVAGGACDFEDDPLAVEIYDINTRTWEKSESMPATLKGSAASTWLSVAANTKTMYVMQKMSGVTHSFNPSSKIWSGPYNLRPDRNIYFSVIRFRVDSLIMLGLLGDPENPNGMKVWELSGESLEFCKEIGMMPKELVEKLKGEGPSFSSVIVNSMADIFCIYNPEEPEELVVFEVGHKGLCRWGSLKNAAVSDRGMVAERMVLTCSDVGLGDLGRAVWSGQGSFTVI >EOX98887 pep chromosome:Theobroma_cacao_20110822:2:7651834:7653357:-1 gene:TCM_007559 transcript:EOX98887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Undecaprenyl pyrophosphate synthetase family protein, putative MNNFSKVRSVRHTLSNLFRKGVCKVLSVGPIPTHIAFIMNGNRRYDQKLNLAKGTGHSIGLLVMLTMLMHCCDLGIRYVTIYAFSIDNFKRKPEEVERGMDLLKFTFELLSEKNSIANLYSVKFHFSGNLDLLNEPVKNAAKELMANTANNSKAFFTFCIAYTSTDEMVSAIREACDERRRAMQEQKKTGGNYGLTKPVEVVDIKKHMYMAIAPYPDIVVRTAGENRLSNFLLWQTACSQLYSTPTLWPEVNFWHLVLAVLNFQRNHSYLDKQKKLL >EOY01262 pep chromosome:Theobroma_cacao_20110822:2:38718686:38719454:1 gene:TCM_011207 transcript:EOY01262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein IIHLSLCHSNHSSTSLSVMATHVNPPAFRLALASPLPSIPIPSIAIKLKKKSKLFKTSRGRVPNRRLSQSITQAEVINRSQLTKSKNCRNGRLSSFNRPIALP >EOX98695 pep chromosome:Theobroma_cacao_20110822:2:6737560:6738056:1 gene:TCM_007392 transcript:EOX98695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative MAYNQFPSFVLLTLLVKAVLSLSGHTFSVEARNLQQTTLSKPELPKPELPTLPKPELPPLPEIPTLPEPEVPKMPELPPLPHIPDLPQPTLPTIPSLPKDLPIPFFSPPHSTTSP >EOY00182 pep chromosome:Theobroma_cacao_20110822:2:28751260:28754761:-1 gene:TCM_009906 transcript:EOY00182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase family protein MGFGKGTSLLEDLIDKAGGCAVIDGGFATQLETHGASINDPLWSALCLIKDPDLIKQVHLEYLEAGADILVTSSYQATIPGFLSRGLSLEEAESLLEKSVQLAVEARDKFWDAVGSVPGNSYNRALVAASIGSYGAYLADGSEYSGCYGPEVNLDKLKDFHRQRLQVLVKAGPDLLAFETIPNKLEAQACVELLEEENIQIPSWICFSSVDGENAPSGESFKECLDILNKSVKVNAVGINCAPPHFIESLVCKFKEMTSKAIVVYPNSGEIWDGRAKKWLPSKCFGDDKFELFAARWRELGAKLIGGCCRTTPATVGAISKALKERS >EOX96825 pep chromosome:Theobroma_cacao_20110822:2:164348:165860:1 gene:TCM_005982 transcript:EOX96825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MKTLKLQQFQFLLPPIAASKTQPSKQQIFSYSFSAIPNLTDTYLNTRPKNFPTLSQEQVSKINLLIPRLCLSNHLTTAIQLTTTALLTNASPNPKSLSVSILIHSLTLQPDLKLSMSLLTRLNHIPQAHPHLTPVSTMLIASYLKKGRHKDALKVYNWMRRPGSPCTVDKDAYGILVGRFCASGVVLEGLMVLRDMLKVHLLPGEGLRKKVVRSLLREARVREAEAFEELLPCVACVGALNKVLDLLDHLIGNWTQ >EOX97634 pep chromosome:Theobroma_cacao_20110822:2:2922161:2923132:-1 gene:TCM_006605 transcript:EOX97634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPAGWLTRVPRSCGLSFSFPIAHGPRLGFLKEVTFLLRVFSPLRNPESLCEETWLSCAPVWKKGHSGDDCPTISTRSKTTSII >EOY01642 pep chromosome:Theobroma_cacao_20110822:2:40442605:40445490:-1 gene:TCM_011487 transcript:EOY01642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reversibly glycosylated polypeptide 3 MATSSAKPTPLLKDELDIVIPTIRNLDFLEMWRPFFEKYHLIIVQDGDPSKTIRVPNGFDYELYNRNDINRILGPKASCISFKDSACRCFGYLVSKKKYIFTIDDDCFVAKDPSGKDINALEQHIKNLLTPSTPHFFNTLYDPYREGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVMTVPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCMKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEKAIPFFQSVVLPKDCTTVQKCYLALADQVKTKLGEVDPYFLKLADAMVTWIEAWDEVNSPGGKPAAKTPNGSSK >EOY00395 pep chromosome:Theobroma_cacao_20110822:2:32049606:32050917:-1 gene:TCM_010267 transcript:EOY00395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEFFAEIVFLCDKNLQNHGYLYSEFAEVLRAFGMMFGAWDVSKLVPKSQQTMKDGASKNLGQHR >EOX97050 pep chromosome:Theobroma_cacao_20110822:2:1042490:1044362:1 gene:TCM_006164 transcript:EOX97050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MDTLAYIVLFIFAVFFFFALNRSKSSSGLPGNNRLFLVILSLLFPSLDLQLHDKIAEVLERSKRTVHIDQYSWITGGTKILVTSDPANVRHILRTNFSRYPKGLKWREKIDILGDTLFSVDLEEWEQERKLVRGFTGHQKFHQVMTNIIWERAEKGLIPVLERVSERGLAVDLQDLFRKHILDIAWMMVIGYNPNSLSIEFREDQFSKALEDACEASFSRYLMPESLWKLQRWLGIGKEKKLKDAWKTIDRVFAECISKKQEESTKGSFNFEGFYYSPGHDDQAFGSAPTRKGLRDNVISLIFATQDTTSSVLTWFFWMVSKHPSVEAKIRDEIAKVSQENQDARSSKSEIPFKPQELNELVYLHAALCETLRLFPPGPVLSRTALQPDTLPSGHGVDQNTIILIAVHAMGRMTSVWGEDCHEFKPERWLTEGGDRQIKHVPHYQFVAFSAGPRICLGKELAFTMMKATAATIIHNYKIQIPRDYPVKPVNSVIFHMKHGLKARIKNRWT >EOX97143 pep chromosome:Theobroma_cacao_20110822:2:1375417:1376942:1 gene:TCM_006242 transcript:EOX97143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVLSKVLTKTDVQKRLSVRTVNKKCFLDFGNKHKVEFKVEDKNGDVWPFVCSTRKGQDYPKPVLSKGWLRFVRRWKLAIGDRVVLHEIQGKAGTGLYRIEVIKRAKQSPGVLSPSILNHDGDRSMGNIGKEPTGTTHSTDQAMAYNQTDGPRDQTVSTVTSHSTDQAMAYKQTEGLRDQPVSAVTFHSTDQAMAYNQTERLNDLPVTDRVGSTMVEFICLKPRVQVKEPKFIDFFELESQDRKGKDMVESPSTSLTTRFFEFL >EOY00879 pep chromosome:Theobroma_cacao_20110822:2:35660919:35664043:1 gene:TCM_010798 transcript:EOY00879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSALFNFHSFLTVVLLGICTCTYLKMQFPAILEQRTGFRGFFWKAARIGERLSPWVAVGCFTMGVSIIFF >EOX97429 pep chromosome:Theobroma_cacao_20110822:2:2244013:2246001:1 gene:TCM_006443 transcript:EOX97429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diphthamide synthesis DPH2 family protein MDPVMEKTNQHQPEEKRETPLPLQRNANNSKPAPKRFVKNQIPDSILNDASLNAAISLLPSNYNFEIHKCVWRVRSTGAKRVALQFPEGLLMYSLVISDILTSFADVTHCFILGDVTYGACCIDDLSATALGADLLIHYGHSCLVPIDSTKIPCLYVFVEIKINVDGLINTIEHNLTSSKESVVLAGTIQFSSAIRSAKPELEKRGFRVLIPQSKPLSAGEVLGCTAPKIGTVANANETVAVFVADGRFHLEAFMIANPGIKAFRYDPYLGKLFLEEYDHKGMRETRRRAIQKAKRAKSWGIVLGTLGRQGNPRVLERLEGKMREKGFDYTIILMSEISPTRIALFEDAVDAWIQIACPRLSIDWGDAFVKPLLTTFEAEIALGEISGWWERDGCGNGLSCGESKSCCGCRGREDFRGDYPMDYYAQDGGEWNSSYVKKLARPVRRNIVPPSGNGAVL >EOX97428 pep chromosome:Theobroma_cacao_20110822:2:2241289:2243143:-1 gene:TCM_006442 transcript:EOX97428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factors, putative MVKLLINVVSYVEEGASSDCMGGVRRKQFMLQRIGKWKCVSKVMVKRGYHVSPQQCEDKFNDLNKRYKRCNDFLGRGTSCKVAENSELLDTKYLSDKAKEEVKKILSSKNLFNEEMCSYHKGNRLHLPHDPEVQRSSHLPLRCRDNKEPNQSRQNKSDDDCDKGQQGAGADDQIEETGDNGGTPRFSELSLKSKSDGLQEQWMAFRLLQLKEQKLYIQVQKLELEKQRSKWQRVNWYNNRNLDKMRLENQCMKFENKCLAFELKRKKISSV >EOY01791 pep chromosome:Theobroma_cacao_20110822:2:40909686:40913194:1 gene:TCM_011607 transcript:EOY01791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 61 protein, putative MIPMKRRNSAATLIVCLALFAFFFVFEINLSSIFRLIATRSTGIQESERLELKPMRTQEPLIKAIGKEARPLQQSPPQRQIISCDRSHREYDLCSINGPTVVDPNTSTFFLMDPTTSSPQSTVEKIKPYPRKWENFPMARIKELTITSSPSGPRCKVRHKAPAIVFSAGGYTGNVFHDFNDGFIPLFITVDSIYQNQDVILVISEARDWWVSKYRNLLDVFSTHKIVSLDNDTSTHCFPSATLGLISYGFMALMPNSSQTLLHFRALLDQAFGHGKNNPYSISNEFLKFSRKPRLVFINRLRGQGREILNKDEAKRVAEEIGFDVISFEPTDRTSLDQVYALLNSSHAMVGMHGAALTHLLFLRPGSVLVQVMPLGTNWAGKMCHGEPARAMGIEYMEYKIDVKESSLVEKYDKDDMVIKDPAGLQGKKWSLDVMKIYLKEQNVKLDLVRFRECLKEAYRKAKVFMEKEE >EOY01716 pep chromosome:Theobroma_cacao_20110822:2:40707633:40709997:-1 gene:TCM_011552 transcript:EOY01716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata callose-binding protein 3 MALLIWLVLFLAMTGHSSATYCLCKDGLGDQALQKTLDYACGAGADCTAILQNGGCYNPNTVKGHCNYAVNSYFQRKGQVTGSCDFSGTATVSANPPSNVASTCNFPASSTGTTPSTGTGTPSTTPTTGTTTGTTTGTTTGTPTVFGGTTLGPAGTTGINEPSDAVSVFRNTNLFFSVVMTLWIVGLSWI >EOY02153 pep chromosome:Theobroma_cacao_20110822:2:42161724:42162327:1 gene:TCM_011877 transcript:EOY02153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clavata3/esr-related 12, putative MAWKVSHLVFFTVLWLSLLFLLLHEFHSFKFKINGRQASSITFSSLSRNPLISRKVVAGKFDFTPFQKHHQQEQQDKHSPDMKRQSQAADTEIDPRYGVEKRLVPTGPNPLHH >EOX98911 pep chromosome:Theobroma_cacao_20110822:2:7755231:7758489:1 gene:TCM_007578 transcript:EOX98911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 3,3 isoform 2 MKERRFCEEFSPGYYGICTVGGMLSAGTTHLAVTPLDVLKVNMQVNPIKYSSISSGFSALWREQGPSSLWRGWSGKFFGYGVQGGCKFGLYEYFKGLYSNVLVDQNRTFIFFLSSASAQVFADVALCPFEAIKVRVQTQPNFAKGLVDGFPKLYRTEGFAGFYKGLCPLWGRNLPFSMIMFSTFEQSVDFIYRSIIQKRKEDCSRAQQLGVTCLAGYAAGAVGTVVSNPADVIVSSLYNKKAENVLQAVKNIGLFNLFTRSLPVRITLVGPVVTLQWFFYDTIKVLCGLPTSGGLNRPLREANVSS >EOX98912 pep chromosome:Theobroma_cacao_20110822:2:7755734:7758497:1 gene:TCM_007578 transcript:EOX98912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 3,3 isoform 2 MCGIAVFKEGMSWNVHQGKSSAASLLDMVNPIKYSSISSGFSALWREQGPSSLWRGWSGKFFGYGVQGGCKFGLYEYFKGLYSNVLVDQNRTFIFFLSSASAQVFADVALCPFEAIKVRVQTQPNFAKGLVDGFPKLYRTEGFAGFYKGLCPLWGRNLPFSMIMFSTFEQSVDFIYRSIIQKRKEDCSRAQQLGVTCLAGYAAGAVGTVVSNPADVIVSSLYNKKAENVLQAVKNIGLFNLFTRSLPVRITLVGPVVTLQWFFYDTIKVLCGLPTSGGLNRPLREANVSS >EOY00457 pep chromosome:Theobroma_cacao_20110822:2:32503639:32507300:-1 gene:TCM_010320 transcript:EOY00457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein IVDRKWQSTKVEGRPSFRIKVWCLVLRWWDLVWVMPHTVEGIIRSWDGHRVDGGMKSQWTAVCGSTFWSLWLACNQTIFNGKKLGWGRTYLPHKNELYVVDYGQSRW >EOY00010 pep chromosome:Theobroma_cacao_20110822:2:22826969:22832300:1 gene:TCM_009332 transcript:EOY00010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEFLLSISLGISILNLCITAGDHLTRDLAICVASPSGPTPSFYSLETRYLVTIAHKGGGKLMQEPCEVLVDISGNEGLPRLHGDCHGLEGGSGS >EOX99357 pep chromosome:Theobroma_cacao_20110822:2:10306984:10310878:-1 gene:TCM_007987 transcript:EOX99357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGAQDYWDTVPPTVAPLNLEREEHWRRFDNSVNAVSFGFVATAILISMFLVMAIFERFLRPRSLSSNARNRTDLESQTTFNGKLDYPSPKMTIYANGVSVLMPGEETPTFIAHPAPAPCPPERILKPLLHQNQSIEGSGAWRKCAPFLVEEPPCNLSP >EOX98064 pep chromosome:Theobroma_cacao_20110822:2:4436438:4439132:1 gene:TCM_006914 transcript:EOX98064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MLFQIHSLLSELSKPHQTILKTKQIHALIAKTRLSLDPFFATKLVRFYAHNDDLCSAHNLFDETPARSVFLWNSIIRAYARARKFNDALSLFERMLGTETKPDNFTYACVVRACCENFDLDVMRLVHTRVIVSGLGLDLICGSALVTGYSKLYLVDEASKVFYGMPERDLALWNSMVSGYGHCGLCNKGLELFSWMRHMGQQPDGYTLVGLISGLVDSHGLLSVGQGLHGFCLKSGFDRTVHVGSSLVSMYSRFKCMDSANIVFSSLLQPDVVAWSSLITGYSQCGEYGKALLYFWKLNMEMDKKADPILISAVLEAAARSTNARFGSEIHGYVVRHGFESNVMVSSALIDMYFKCGFTCLGIGVFEIMPERSTISYNCLISGLGLNGMAYQAFEMFDEMLVVGLKPDDSTFSALLTACCHAGLLNDGWEIFRRMKYEFSIQPRTEHYVHMVKLLGMAGELEEAYNFILCLPKPVDSSIWGAMLSCCDGHGNSELAEVVSQQLLENEPKKGAYRVMLSNIYAGDGRWDDVQKLRGDIAERGVSVFSCDHQAEAANINASMWLQTAIFHGAFSVLNSNENGGSSSLEVLPFQSLSIPSQHQKQFEEISSLGNQPTLQNSFPNCFQT >EOX99464 pep chromosome:Theobroma_cacao_20110822:2:11429909:11435977:1 gene:TCM_008145 transcript:EOX99464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSSGVPITRLVIRHDDQWVDSIYKGDVVGVNSEIDEIELHALISTPRELSRPIIKDDEDVALILLELRNVPAVYVNIKGCQTNVMSHEKAEQHEPVGGVDVRDVQCDDLIYNNPIVDENGIHSLSTLLHDNYQERGNAGISRTWVELKRALNMLALKEQFGIRVKRSCKARYEHARQMSITVLIEFIRDMFQCWFHDRYEEAIKVTMLLNLWVTRQLSKRFNDAHCFVVKLINRVEFEVKDEKMDGLVNLSTKTCSCYIVNVPYHEHTAADTVILPMHFSFWQMNVQWHRCQIPCLSSCFVEITGLVVDDWHKCRKGCHLSHSHSVILSIQSSCGFHHLDRPPHQVDLRYHPMPTNIARCEDEIDIFPFFLVCRLFTLHIHPQLIWNYHS >EOX98156 pep chromosome:Theobroma_cacao_20110822:2:4706034:4711077:1 gene:TCM_006982 transcript:EOX98156 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAT2 domain-containing protein 1 MEDTKNPPPQQMEDKEKRESAGKKEEPKKEEQRSASTTTAKSGGGWGGWGFSAFSVLSDLQQAATVAAEEISRNASVVAEKAAKSLADMQLAEDSESSKEEEAEESPIEKEGEDENDKLRKSALDKLEKASDDSFLGQGLKVFDNSVENLASGAWQALGSAWKGGTNLVQKLEHSAANIADSIQHGGLPTGSVAPSLIETGKAFTTKGMQVLEYVGKETMDLLITETGIEVEKNPKGTEQPSDEDQLFEEVSFDRCFYIYGGPEQLEELEALSSHYALLFNRRKAKLPSEQKSVYEGKLKQIQQIFSLDAEMEGNGPELAKGKKIETGTEGSQDEMKNLHDSSVSKAADMAAGFTNALSGLAVNDIIQRTAGRLESLHSEGVHRLSEMCCFAVSQLLMLGKSIISSANKVQDEDADGDMLNIDWPEDSIEKAKLIRVKAQSMIGYAEAVSSSFITGISDVAEAYLAAIKSATVDSHEALPQASIQEKANAFFKHLHGDQTTAVSKIKDGLQYLTYVVLSTTMPAA >EOX98858 pep chromosome:Theobroma_cacao_20110822:2:7542089:7547469:1 gene:TCM_007536 transcript:EOX98858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-D-xylosidase 4 MSAKGKVRVTSFFSSVYESRAPKVSVFLCFLISLHCFPLISTRVFGQTSPVFACDATKNPEVTSYDFCNASLGIESRVADLVQRLTLQEKILFIVSGAGSVSRLGIPKYEWWSEALHGVSNVGPGTKFSSLVPGATSFPQVILTAASFNTTLFEAIGRVVSTEARAMYNVGLAGLTYWSPNINIFRDPRWGRGQETPGEDPLLTSKYGSSYVKGLQQSDGGDPSRLKVAACCKHYTAYDLDNWKGVDRYHFNAVVTQQDMDDTFQPPFKSCVIDGNVASVMCSYNQVNGKPTCADPDLLAGVVRGQWKLNGYIVSDCDSVEVFYKSQHYTKTPEEAAAKAILAGLDLNCGSFLGQHTEAAVKAGLLNESAIDNAVTNNFATLMRLGFFNGDPSKQLYGKLGPKDVCTPEHQELAREAARQGIVLLKNSAGSLPLSPTAIQTLAVIGPNANVTKTMIGNYEGIPCKYTTPLQGLTASAATTYLPGCSNVACGTAQVDDAKKLAASADATVLVMGIDQSLERESFDRVDLVLPGQQPLLITEVAKAAKGPVILVIMSGGGFDISFAKNNDKITSILWVGYPGEAGGAAIADVIFGYYNPSGRLPMTWYPQSYVDKVPMTNMNMRPDPSSGYPGRTYRFYTGETIYTFGDGLSYSKFSHQLVKAPQLVSIPLEEVHVCRSSECKSVDAIEQSCKNLAFDIHLRVQNKGRNSGSHTVFLFSTPPSVHNSPRKHLLGFEKVLLTGKSESLVRFKVDVCKDLSVVDERGSRKVSLGQHVLHVGSLKHSLNVRI >EOX97995 pep chromosome:Theobroma_cacao_20110822:2:4199490:4205400:-1 gene:TCM_006868 transcript:EOX97995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 11 MSTAILLRVDQAGHGDFKKIQDAIDAVPSNNSELYFILVKPGTYREKIVVPADKPFITLSGTRAQDTIITWNESGGIFDSAALTVLASDFVGQYLTIQNTFGTGGKAVAMRVSGDRAAFDGCRILSYQDTLLDDTGKHYFKNCYIEGGTDFICGNASSFYEGCHLHSLSTKSGSITAQHRNSPSENTGFTFLGCKITGIGAAFLGRPWGAFSRVVFAETYMSNVIIPQGWDDWQDPRKQRTAYYGEYKCYGPGADASKRVQWSHRLSQNEAAPFLTMDMIGGRGWLRPAPTNFKRRPGSITNAAVRRYQFDIQVKNVSRLCHAKPVVTVNGMFPGPTIYAREGDRVLINVANYAQYNMSIHWHGLKQFRNGWADGPAFITQCPIQTGHSYTYDFNVTGQRGTLWWHAHIFWLRATVYGAIVIMPKQGTPFPFPQPYRETNIILGEWWNNDVEEIVKQGNKLGLPPNTSDAHTINGKPGPLFPCSEKYTFAMEVEQGKTYLLRIINAALNDELFLAIAGHNMTVVEIDAVYTKPFTTQAILIAPGQTTNVLVQATQAPGRYFMAARPFMDAPLTVDNKTATAILQYKGVPNTVLPILPQLPSPNDTAFALRYNAKLRSLNSLQYPANVPLKVDRHLFYTIGLGINPCATCLNGTQLTASLNNITFVMPQIGLLQAQYFNVKGVFRTDFPDRPPKPFNYTGAPLTANLGTTLGTRLSKIAFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPAKDPAKFNLVDPPERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTGWGLKTAFVVENGKGPDQSILPPPKDLPPC >EOX99927 pep chromosome:Theobroma_cacao_20110822:2:18323847:18324650:1 gene:TCM_008937 transcript:EOX99927 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase MKELVDGIHTFQDFVRGGLIEYLDVNEQNNALIALYEGEATSETTHIEIEPLTILGVCAGLIPYPHHNQSPRNTYRVLSIVLISNSLIFSFMAFKCYGIFSFNLMG >EOX99292 pep chromosome:Theobroma_cacao_20110822:2:9962993:9963688:1 gene:TCM_007921 transcript:EOX99292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMISGYPIIVKKAPERRQPKDISYQRTFRDVVADGRHFEGKDNCAKPRKVDDKPKVVDTSQEMNAQSQSRKVKEKPKAAKKVKERIGASAFVPKLNVASSKKSGLGFVREGLCRDG >EOX99964 pep chromosome:Theobroma_cacao_20110822:2:19807005:19822739:-1 gene:TCM_009082 transcript:EOX99964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRFEMPPQTRASLRAAGELDSLKEIDERLQVFTFRGRGRTRIREKRSVQRSSEVDSAWLIEGRSMEARALCESTRKTKTEGQTSQKNTSQEITFGRSSRPDQRDIVQNKGQVSTRFQGSRRNSQFSSHLVVEMFLEQIIVEELSYDACFNCGQSGHMRRDCPYDGRSQGTNRGFVQLVSVVASAVSPPARRGRPDKGKGIAFTSQSRPTESVPLGTSGEGQAKVFAMSPQDVPACNDVVIGGETFGKGKGIALEGY >EOY00178 pep chromosome:Theobroma_cacao_20110822:2:28472592:28473221:1 gene:TCM_009879 transcript:EOY00178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clavata3/esr-related 43, putative MGTWFFSSRKLLYSSVVVLLIIFFLQIWVCCDCRAGAIRILPGNGMRKMTESQGMKDNKGKGDLFQKYFNGRSFSFNKTDRGFEESKRRVPSCPDPLHN >EOY00118 pep chromosome:Theobroma_cacao_20110822:2:26002305:26004010:1 gene:TCM_009638 transcript:EOY00118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKTLLTKQTFKTKKRWQRWLLFFVSLSFSFFLLLYIEVVSCRLLEKKKVSAFNFMSFFGLKNILGFFSKHLPLSSISILYIGVVNVVLSTLLAVDFLFLLPLPGVI >EOX97117 pep chromosome:Theobroma_cacao_20110822:2:1252743:1262638:1 gene:TCM_006218 transcript:EOX97117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPQAKKMFSKSLTDTDINKRLAIPAKILPSLPDFNGSHAVTIHLMYGTRTWPIICSVRKIGYKKPVFSGGWRKFVICNDFHVGEVLTMYKVQDEEGSFHYKVEVEKLATPSVALSARSFSLNPEVDETTGTSHTKISNFQHDQEQLPKADAPVIQEGATMELADAAANAPVPFVDHVIPKPPGMIFGTAVSDEVTSKARFKPEHETEMKLFGITMRIDMGEPQLIKAPFDPNESESTGRLNLDLVLGQPNLTKEERDIKAPFDLNESESTGRLNLDLVLGQPNLTKEERDIKAPFDLDGGGSLAVFGTSQATEEAYSESTGRLNLDLVMGQPNLYNGAVNLDFGAAPCRYSKHRICSLKTIVGIYKIEES >EOX99761 pep chromosome:Theobroma_cacao_20110822:2:15648280:15649527:1 gene:TCM_008644 transcript:EOX99761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVRAFDGMKREVVWDIEIPIEIGPCTFTTEFQVMDIAPSYNYLLGRPWIHLAGAIPSSLHQKVKFIVDGKIVCVNGEDDLLISKPADTPYVEAVEEVLECSFRSFQFVNTTYVGEGTTPPISRLSKTTKMVVSQIVGKRYRVGVRLGKELQSIKKPIHATKNEERFGLGYKSTKKEREEMIAERRRERLARFKGHGLENHRMIYPHLHKTFRFGGYIFPESLTIGGRD >EOX99798 pep chromosome:Theobroma_cacao_20110822:2:16464177:16465891:1 gene:TCM_008736 transcript:EOX99798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKASAEGELKRTMGSDKGAGRGGGCPPPLHKFQTCCWVVSFSPCSCHPCENYYCGEGSHLHPLIIFNANLFTSSLALHLVTKSSGYNSHSCSNGSIVTVLVTWNACFNGLSTLLQQR >EOX99957 pep chromosome:Theobroma_cacao_20110822:2:19312575:19323989:-1 gene:TCM_009037 transcript:EOX99957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent periplasmic protein translocase MGSTSTSAALISHLQLNKSSFKLVKSTRTELKLNSIKLGDSRRGRLEFGASRSKKGFGRAVCFAAVDDDVKENRQQDLTKTSSASAIEDRPDVANILSEETPQRFEQNKEGSGLYNFLYPDKELLPDDKEMTIFDHLEELRQRIFVSVLAIGGAMLGCFAFSKELIMFLEAPVKTQGVRFLQLAPGEFFFTTLKVSGYCGLLLGSPIILYEIIAFVLPGLTRAERRFLGPIVLGSSVLFYAGIAFSYSVLAPAALNFFVTYAEGVVESLWSIDQYFEFVLVLMFSTGLSFQVPVIQFLLGQLGLVSGDQMLSIWRYVVVGAVIAAAVLTPSTDPLTQMLLATPLLGLYLGGAWIVKLTGR >EOY01086 pep chromosome:Theobroma_cacao_20110822:2:37515785:37516760:1 gene:TCM_011036 transcript:EOY01086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDHQMTLRSGLQKADSPSTIYNNKNGNCRAGLGEANNSSEELTSGPMDLYVQASSLNAKMGNYTCADLGIRDNYPNKPNEEVARSQMSNPQRKRAWEGL >EOX97360 pep chromosome:Theobroma_cacao_20110822:2:2078660:2081218:1 gene:TCM_006406 transcript:EOX97360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein MALTSSPAFTVITLPKALQSSNEPITYSPLLSIFSSKSLVSSSKPNICNNLSSLENALSLKWRTRASFFSKGKDVQSLKDELFDAIAPLDRGAEATRDDQERVDQIARKLEAVNEIKEPLNSTLLNGKWELLYTTSQSLLQTKRPKFLRPNGKIFQAINLDTLRAQNLETSPFFNQVITDCYSIQLATANLVPLNARRVAVKFDYFRIAGLIPVKFHGSGRGQLEITYLDEELRMSRGDRGNLFLLKMVDPFYRVPL >EOX99660 pep chromosome:Theobroma_cacao_20110822:2:13148654:13150574:-1 gene:TCM_008391 transcript:EOX99660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKEQREDQAGAFSSWVDSQVLRQQSQWLQTCLAGTLESPSRALQYSIFFFFLLLFVPPVYTVVSGDSMESINATASKDLDRKINAILSIIVQDDGDSLAKRAEMYYEKRPELIHMVEDLHKSYRSLADKYDQLRSEVKRDSHPRSVLSLTSSVNQVHGLQNYVERLEGKELSGQSNFHSKSSTAEDPDHETESDDENIPADSCKNTKMKPDDGSDYENQEKKKIAGIGFRRNTSDDSRAAMLEDEKLWNELRFKVSELVEDNLSHQAELIRRNDEKRETIRELSSKMNMVQEHEIRGVKSKSSLVSHKLPKKSRSQFSRLKRLFVGRFMK >EOX98139 pep chromosome:Theobroma_cacao_20110822:2:4669085:4671766:1 gene:TCM_006970 transcript:EOX98139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHVIQDIFFEGLNKLMAHRTRKRPRSNHVVEEESLHFFKIILPHTIAEKKLKIPKKFVGKFGHELSSLATFVLPNGRKWKIGLTKADDRIWLDDGWHEFVEYNSIRYGYFLIFRYERNSTFHVIIFDNSACEVDYPSYVPSNDEELNDGESEKHSIHQDSETEEDDSPEFLGVKAPNLDERTNREAIISGKQAASSQQRLVRKPQGDAASHKNAEVKQAKVTGVRRCKTEEVEFDHLNESRQINSNIKELRRSHRLLPSKSHLMNQNATGIHDQDLSVQLRDLKQQFDGKKLKITIQRANLQSLEVMHKGNEANKKTESGKQGQHGSIQDEETEIYVSRMFFGISSTSRDRERAIRAVEVIKPMNPCFMIILRRCHFNSSTMPVPAGFAAEYLSGVTDHIRVEDSDGREWFIELRRQNNCTLILRKGCHRFWRDNNLKEGDVCFFELRDKKAAVHKISIFRADSN >EOX98630 pep chromosome:Theobroma_cacao_20110822:2:6537316:6538795:1 gene:TCM_007345 transcript:EOX98630 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF593-containing protein 2, putative MQILGQFILLVIVCSVLELHRILQVFLGAFMMDCASCLKFLTQGNEFFGCGFFVFGRFSHVFNVLGLFLVFGLGLKFLQFGLTNIGIMQFLCDVREKSNYLRGRICLKHDLDEVYDPKIRSCLSGSLKPLENCKDFVKEDTDGKAKYVVEEDSDDKEKECCPEDEEFDVMALRKLVKLERRRAKAACQELEKERIAAASAADEAMAMILRLQNEKSSMEIDANQYKRMAGQKQEYDQQVIESLQWIVMKHESERSLLENQLQLCKQQLKHYVKDDELGQFEVDVGFSFLHATQEDGMENESVSSHETETLVL >EOY00826 pep chromosome:Theobroma_cacao_20110822:2:35433024:35437949:1 gene:TCM_010759 transcript:EOY00826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein MPPSYFPLRWESTGDQWWYALPIDWASANGLYDLVIELLHLDTNLLIKLTSLRRIRRLETVWDDEAQFHDVAKCRSLVARRLLQECETKKGNNSLIRAGYGGWLLYTAASAGDVDFVKELLERDPLLVFGEGEYGVTDIFYAAARSKSSEVFRLLLDFAVSSRSCLSSEGEAEDELSESRSVFKWEMMNRAVHAAARGGSLEILRELLGDCSDVLVYRDMQGSTILHTASGRGQVEVVQDLLASFDIVASPDNQGNTALHVAAYRGYLKVVEFLIHASPCLGYVKNNHGDTFLHVAVAAFRTPGFRRIDRQIELMKQLVCGEVVDMQDIINARNLDGRTALHMAVSENVQSNLVELLMAVPSIDLNVRDGDGMTPLDLLQRRPKSASSEILIKELIAAGGISYCQDNVARSAIVSHLRGQGIGGSPGTSFRIPDAEIFLYTGSENAYDAGCDQASVEYSSCLSELSDLNLSDSLDDKKSSSINHTARRLRFFLQWPRKKETKAASTESVDDDPLEFMSTCRNWGDSHIPLREKYSKPLSLPKNKRTFSLRSDLPSRSTRKKFTAGLTQGVIQAVPHLAAPFKSPPSPFSGSSVASPVSVDEQKGVRVAQLPCSNPSFNSKTAQMNPKQTSLDKRLMNRCFCLGAQGLAVEDSRSCARLDRSIKSVGSLVA >EOY01118 pep chromosome:Theobroma_cacao_20110822:2:37725762:37727512:-1 gene:TCM_011061 transcript:EOY01118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 9, putative MEQENKVVLLGMWASPYTKRVELALKLKGISYEYVEEDMFNKSPLVFKYNPAYKRLPVLVHNGNPIVESLIIYEYIDETWKNGPQILPADPYKRAQVRFWASFIHQQQFYGYILFPFKTVETMVTVLKSGGEAQEKDINKMLEIIKVLEEGVKDIYNVGYLDFLICSVFSFRSAMEKVLGIKILDSEKTPLLYSWVTKLNDLALVKETIASHEKLVEVLKVVREKALQTCAT >EOY00318 pep chromosome:Theobroma_cacao_20110822:2:31069222:31070823:-1 gene:TCM_010149 transcript:EOY00318 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein MDSCVGPNSFTIPEYALPSSGKRMPLLGLGTAASPPVGSEATKMAILQAIKLGYRHFDTAAKYGSEEPLGAGIAEALSLGLIQSRDELFITTKLWCGDAHGELVVPALKRSLQNLKLEYLDLFLIHWPMSAKPGTYEFPIKEENFLPMDFNSVWAAMEDCQRLGLTKAIGVSNFSCKKLRDIVAIAKIPPAVNQVEMNPLWQQKKLREFCQANGIFLTAYSPLGANGTRWGSNRVLECEVLKEIAEAKGKTVAQISLRWAYDQGVGVIVKSFNGERMKQNLEIFDWSLNEDELNKISEIPQSRGVTGVDYISRYGPFKTVEEIWDGEM >EOX97900 pep chromosome:Theobroma_cacao_20110822:2:3908063:3914639:1 gene:TCM_006813 transcript:EOX97900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, alpha subunit MLTKFETKSNRVKGLSFHAKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHMSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGSLRKKTVSPADDILRLSQMNTDLFGGVDSVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNETKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDVTKRTGLQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRYYEFSTQREAQVIPIRRPGSTTLNQSPRTLSYSPTENAVLICSDVDGGSYELYVIPKDSIGRGDSLQEAKRGLGSSAIFVARNRFAVLDKGNNQVLIKNLKNEVVKKSGLPVPTDAIFYAGTGNLLCRSEDRVVIFDLQQRIVLGDLQTPFVKYIVWSNDMESVALLSKHAIIITNKKLVHQCTLHETIRVKSGGWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKVSGNTLFCLDRDGKNRTIVIDATEYIFKLSLLRKRYDHVMGMIRNSKLCGEAMIAYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGVEALRQGNAGIVEYAYQKTKNFERLSFLYLITGNLEKLSKMLKIAEVKNDVMGQFHNALYLGDIQERVKILENSGHLPLAYLTASVHGLQDVAERLAAELGDDVPPLPEGKEPSLLMPSAPVLCGGDWPLLRVMKGIFEGGLDSDIGRGAVDEEEEGVEGDWGEDLDVVDVDGLQNGDITAILEDGEVAEENEEEGGWDLEDLELPPEADTPKVSGNARSSVFVAPTPGMPVNQIWIQRSSLAAEHAAAGNFDTAMRLLSRQLGIRNFAPLKSMFLDLNTGSHSYLRAFASAPVVSLAVERGWNESASPNVRGPPALVFNSSQLDEKVNAGYKATTAGKFTEALRLFLNILHTIPLIVVESRREVDEVKELIIIAKEYVLGLQMELRRKEMKDNPVRQQELAAYFTHCNLRTPHLRLALQNAMSVCFKAKNMATAANFGRRLLETNPTNENQAKTARQVLQAAERNMADASQLNYDFRNPFVVCGATHVPIYRGQKDVSCPYCTTRFVPSQEGQLCTICELAVVGADASGLLCSPSQIR >EOX98378 pep chromosome:Theobroma_cacao_20110822:2:5558506:5561482:-1 gene:TCM_007154 transcript:EOX98378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant basic secretory protein (BSP) family protein, putative MAHQIFFLVSLLALATLHGMDAVDYAVTNQAATTAGGIIFNNQLGVEYTRQQMQSASEFIWNLFQQTDPQDGKRNSISTVSLFVVDTLPPQIPASTSNDKIQVSDKHIEAFSGDKLKPEFNGVLYHEMTHVWQWNGNGQQDETLGKLIEGIADFVRLKAGYVPAGWAQPGQGDKWYDGYSVTARFLDYCEGLRPGFVAELNRKMRDGYSTDFFSQLLGKTVDQLWADYKNQPRN >EOY01017 pep chromosome:Theobroma_cacao_20110822:2:36772276:36774032:1 gene:TCM_010938 transcript:EOY01017 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLP-like protein 28 MSSVLTGKLEADVEIKASAEKFHDMFCNRPHHVSKASSDKIQGCDLHDGDWGTVGSIVYWSYVHDGEAKKAKELVEAIDSENNSITFRVIEGDLMKEYKSFVIKIQATPKREGEGSIVHWTFEYEKLHEGIAHPETLLEFAVQVSKDMDTHLIQGN >EOX97136 pep chromosome:Theobroma_cacao_20110822:2:1352599:1357156:-1 gene:TCM_006236 transcript:EOX97136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat only 4 MMQADQKILIEQLLVGLIVLARQVGEAVDQAKSFRIYRGEMEKRVVQLSQMLNNLACFIAMSKILFNLNPVDCVIREVCKMLQEALTLACKCRRKTIVCRLFTGTKSKLDTTLHKLYHLLDVSIESMKCLLILYNPDFGSSFDEIFLSFPQFLSNDSSTLSAWSCMVAEKLIWQLAPLLSETSPPELKICCAEALSMLNVGSVLNSSRMIDETKKLMFCLAKLVEAEDGEFQYNCLMIIEEITAAAESDLDLRCKTFKTSSRGAKAIVEQLLRVIKESEDHPKLQVPAIKSIGSLARIFKEGESPHMISVLVSQLVNVHQEVATEAIVALQKFAYPGSYFCKEHSKTMIEFNAVKPLMKLLSDGERTQQLHGLVLLCYLAVNTDYSAAMEEARVRTVIQQLVTRKGSLHRYVVSQRPELKELFIQFCPLSCLVLDIPATFDDIRMSIFQAEAEWIDGKESSAAAAVTVRVSSTPDANAAKSTEQVSNAAIGASCAFPLIENRWVLQALEHENERKKNQNTVF >EOX99214 pep chromosome:Theobroma_cacao_20110822:2:9114431:9120113:-1 gene:TCM_007813 transcript:EOX99214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLYYYKRTTTMFGTTIIILNETKEESLNISIPDSDRLNTSMTGIQNTTTPRNESIALPLNQSRQVRTKLDKLEAGLQRARAAIKEAKNGSLLQDPDYVPIGPMYWDAKAFHRYRSAN >EOX97473 pep chromosome:Theobroma_cacao_20110822:2:2390010:2391009:-1 gene:TCM_006475 transcript:EOX97473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILGVLYYNIPLSFGAEGLISPTPEMPRSHLFIRWPFEVNANDFGIESDMPKQVKMMGISFNILQHLMLARELFCD >EOY01158 pep chromosome:Theobroma_cacao_20110822:2:37937902:39262995:-1 gene:TCM_011092 transcript:EOY01158 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MPPMQRAEVQRQVEELLEKGLVRESKSPCACPALLAPKKDGSWRMCVDSRAINKITIKYRFPIPRLDEMLDQLVGSRVFSKIDLKSGYHQIRMRDGDEWKTAFKTPDGLFEWLVMPFGLSNAPSTFMRVMAEVLKPFLNSFVVVYFDDILIYSHTKEKHLKHLRQVLEVLQKEQLYINLKKCSFMQPEVVFLGFIVSAEGLKPDPEKIRAISEWPAPTSIKEVRSFHGLASFYRRFIRNFSSIMSPITESLKKDGFEWSHSAQKAFERVKALMTEAPVLALPDFEKLFVVECDASYVGIGAVLSQDGRPIEFFSEKLTDSRRRYSTYDLEFYALVRAIRHWQHYLAYREFAVYSDHQALRYLHSQKKLSNQHAKWSSFLNEFNFSLKYKSGQSNTVADALSRRCKMLSVMSTQVTGFEELKNQYSSDSYFSKIIADLQGSLQAENLPYRLHEDYLFKGNQLCIPEGSLREQIIRELHGNGLGGHFGRDKTLAMVADRYYWPKMRRDVERLVKRCPACLFGKGSAQNTGLYVPLPEPDAPWIHLSMDFVLGLPKTAKGFDSIFVVVDRFSKMAHFIPCFRTSNATHIAELFFREIVRLHGIPTSIVSDRDVKFMGHFWRTLWRKFGTELKYSSTCHPQTDGQTEVVNRSLGNMLRCLIQNNPKTWDLVIPQAEFAYNNSVNRSIKKTPFEAAYGLKPQHVLDLVPLPQEARVSNEGELFADHIRKIHEEVKAALKASNAEYSFTANQHRRKQEFEEGDQVLVHLRQERFPKGTYHKLKSRKFGPCKV >EOY01497 pep chromosome:Theobroma_cacao_20110822:2:39691272:39694663:-1 gene:TCM_011366 transcript:EOY01497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLPPSSVIILILQPLIQLSHCFQFNHEDFFQFNHEDFFHSSFCSLCFCSPKKSYNLINSFLTAHDVVPKNYHQFDLPQVTRPESITFNCKNQGPYVGVSNGRIFKWHGPNIGWKEFVIPSPTRYVYTYYINLHG >EOX98129 pep chromosome:Theobroma_cacao_20110822:2:4651715:4652279:1 gene:TCM_006964 transcript:EOX98129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLFSKLLTQTDIEKRLSVPTHILHLFPFLDGDRFADLQVKDSSGGLWTFRCIYRDGIYAKPVFSKGWLEFVYAKNLQIDDEVAFHKDKDIEAAVPYRIEVKRKLMRLMGQDIWIEVEQLHLYGLS >EOX98554 pep chromosome:Theobroma_cacao_20110822:2:6151406:6181789:-1 gene:TCM_007281 transcript:EOX98554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein MSSMEIDFKEYQLRCHLRGHEDDVRGICVCGSEGIATSSRDRTVRFWSLDSSDKRKYVSSKILLGHSSFVGPLAWISPDEEFPEGGIVSGGMDTMVFVWDLRTGEKVQSLKGHQLQVTGVLLDNGDIVSSSVDCTLRRWRNGQPVESWEAHKSAIQAVIKLPSGELVSGSTDTTLKLWRGKTCVHTFVGHTDTVRGLAVMPGLGVLSASHDGSIRLWAQSGEVLMEMVGHTSIVYSVDSHISGLIVSGSEDHFAKIWKDGVCVQSLEHPGCVWDAKFLENGEIVTACSDGVLRIWTVDRGNIADPVELEAYASELSQYKLSRKTVGGLKLEDLPGLEALQIPGTSDGQTKIVREGDNGVAYTWNMREQIWDKIGEVVDGPDDSMKRSVLDGVQYDYVFDVDIGDGEPIRKLPCNRSDNPYDTADKWLLKENLPLSYRQQIVEFILQNTGQKDFTIDPTFCDPYTGSSAYVPGQPSYASGISAKPTFKHIPKRGMLVFDAAQFDGILKKISEFNNTLLADLVPLVDFISGFRFILSSLTSSFLYNGSIYILVKEKKDLSLTELEIARLSAIIKILKDTSHYHSSSFADVDIVLLLKLLKSWPLAMIFPVIDIVRMIVLHPGGASILLRHVEVNDVLMEMIKKVTTDPALPANLLTSIRAITNIFKDSCYYGWLQKNRSEILDAFSFCLASPNKNLQLAYSTLILNYAVLLTEKKDEEGQSHVLSAALEIAEQENLEVDSRFRALVAIGSLMLEGLVKKIAMDLEVENIAKAAKASKEAKIAEIGADIELLTKQR >EOY01271 pep chromosome:Theobroma_cacao_20110822:2:38760546:38762179:-1 gene:TCM_011216 transcript:EOY01271 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS/GAT family protein, putative MTLWKRAAGAIKDRNSIVVANLSRKSSFRNPDLEAAIIKATSHDEYFIDKRNAQRVFSWIRASPISLRPFIWGLSKRMEKTQSWVVAIKGLMLMHGVFCCKVPAVQKIGRLPFDMSSFSDGHSRSSKTWGFNAFIRAYFAFLDQRAIVSFEQDNKRDEQPSLMLQQLSKLQKWQSLLDMLLQIRPLADNMKVGLILEAMDCVIIEIFDVYSKICSEIARVLMKIYSVGKVEAVMALKILQKAMMQGEELSLYFEFCQEYGVLNANEFPTVTQIPEEDVQELERIINGASEKTYKDVSFKENNQMARVVREEHNAIVEQKEPKGALKTIITDKWVVFDENIIMINGENTGFSNHKNTAAAARDLPLVPIDVPVYNHYEIPDLITF >EOX98622 pep chromosome:Theobroma_cacao_20110822:2:6483751:6485856:1 gene:TCM_007334 transcript:EOX98622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase MNLYTNNFNGKIPGILFPKSCSLRSFRINSNQLEGPIPQSLVNCKDLELLDLGNNNLRDIFPSWLGKLNLQVLALRSNRFHGHIVNSEVASSFSHLRIIDLSHNDFSGCLPPKFFESLNAMSNGYEKTGEVQYMRYISSFGVYYDKSFSVTTKGLEMMLMRILMALTIIDFSNNRFNGQIPEIIGKLQSLIVLNLSHNSLTGHIPSSLSNLSKLESLDLSSNKLEGRIPAQLQSLEFLEVLNLSWNKITGPIPRGNQFNTFTNDSYIGNFGLCGFPLSKSCGNDQDSEPPPTIFDDEDDTTKELNWKFSILMGYGCGLVLGLSLGFIVFTTGEPWWFIEMIKRVQQKYIC >EOX96985 pep chromosome:Theobroma_cacao_20110822:2:814180:819169:-1 gene:TCM_006107 transcript:EOX96985 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MEILLILKKKNYSDKVITMCKKVEKLVKEGAFKRGFLVEKPPEKTVKLNAPDLEGFATLQRSLQEILELLRSDKLKGIEIFGTEGVGKTTILKNLNNHEEVAKMFDVVIWINVSRERNDEKLQLNIAQRLKLKVERATCSGELARIISEYMKHKKYLLLLNEVMDSIDLLQIGISDMIAAEKIDLPDVEPVARLVVDECDRLPLVIRTVASSFKLKDSDSEWRNGLSELEKWPKIEIPGLTKMYAALKFCYDELKDEKKKKCFLYGALYPADSKIYTDYLLECWAAEGLLGNIDDGRSLRDARDKGYDILGHLTNVAMLKDWQQAKKISMIEGKLHDLPESPNCNKLLSLLLQKNPDLVTIPPSFFKNMQKLLVLDLYQTGIASLPSSVSKLIRLKALFLNDCPNVTKLPPQVAELRFLEVLDIRGCKIIFIPPVIGKLVYLRCLRMSYHKCSNTEDYPDMEIDYKVISRLLRLEELMIDVTSYGHWCIDVAKRVVQEVAFLKNLTTRRVSFPRPEILKMLIKNRPSWRDREQLTSFWFFVGCERNNNPLILDCLEYKVNRYMRYCYPGNDDSTVRDVLPKTDALELIGHNNIKCLSDFMNAASQNHVRGCLIERCNKITSIIDAEKEGEMDILTILEQLHLRNLLLLKSIF >EOX99852 pep chromosome:Theobroma_cacao_20110822:2:17354025:17356899:1 gene:TCM_008826 transcript:EOX99852 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCP-like superfamily protein with MYND-type zinc finger MRTRRGLCYPRADVCVEKLVVKRRDFAGDNMACRKRQRFSPEIARKSDLFDALPDDLVISILCKLSSTARCPSDFVNVLITCKRLNSLALHSLVLSKASPKMFAIKAKNWSDSAHRFLKSCADAGNVEAGYTLGMIRFYCLQNRGSGASLMAKAAISSHAPALYSLAVIQFNGSGGSKNDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVRQNIAEGRRFLVQANARELAAVLSSTAASNIPTRSWLTWSPHPIPHPNHRHPTVPGCPLLSDFGCNVPAPEAHPASRFLAEWFSARGGMPGPGLRLCSHVGCGRPETRKHEFRRCSVCGAVNYCSRACQALDWKLRHKAECAPVERWLDEEGDGGEGNGAVDGNDDVIAES >EOY00544 pep chromosome:Theobroma_cacao_20110822:2:33380634:33389827:1 gene:TCM_010433 transcript:EOY00544 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOTTED1-like homeobox gene 6 MEEMYGFHSTGEYADRALMSPENLILPSEYQAWLCSSGRIPMFGSDELISAASAVSEAASITPEIQREEDMSSVIKAKIASHPSYPRLLEAYIDCQKVGAPPEVASILDEILRENDVNKRDIVPTCLGTDPELDEFMETYCDMLVKYKSDLSRPFDEATTFLNKIEMQLRNLCTGASIQGASDEGAASSDEDLSGGEVDAQEAQPRSEDRDLKDRLLRRFGSHISTLKLEFSKKKKKGKLPREARQTLLDWWNVHYKWPYPTEADKIALAESTGLDQKQINNWFINQRKRHWKPSESMQFAVMDSLSGQFFTED >EOX99800 pep chromosome:Theobroma_cacao_20110822:2:16473025:16473678:1 gene:TCM_008738 transcript:EOX99800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLTKTTKGFVNKGEVGGAEMSKLWVIGDNSGKTVFRGKKAVAKVGGHFNQGFVTKVIRPSLPTSFGCPLGELFVIATSHVGPPVLLLQPIAHSNV >EOX99791 pep chromosome:Theobroma_cacao_20110822:2:16410111:16410699:-1 gene:TCM_008724 transcript:EOX99791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF 3339) [Source:Projected from Arabidopsis thaliana (AT5G08391) TAIR;Acc:AT5G08391] MSDWGPVFVAVVLFVLLTPGLLFQVPGHLRCVDFGNFKTSGASILVHSLLYFGLICVFLLAIKVHLYLG >EOY00504 pep chromosome:Theobroma_cacao_20110822:2:33097364:33103788:1 gene:TCM_010388 transcript:EOY00504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNVSSIQKLCTHLISSAFQRCRLSKDLCRLSVVLESSPTTPMIRVSISDTGIGSCLEEFQDLKYTREGIGTEKWDGLLSVITTRISDNEIYHYHLNLRESVSARRLTRLPSNPKNGAKFSGTEVCLSISDTVDSLLAEINHFFQKMLILKIPNVAAELVIERGDVLGLQCENVFLTNECSSLRFSTSNVERLKSGLEEYVLKHGNSINIKCDSCFCSREQLKIGTGVACSLESHRNSELLMEAVIVISEVSELTTSCFRSCSNKTEVLHFKDFSPCSVSQASLKALTSIDWRNYGLTLGSVVDQGDHALIEWESLPPYLHIDMALHCYHKQVMILPGKYKTQPDRHLIRKAVKLALDDLKERHTGFLLSAHAVKICSYAPDLASTIAGLILSSNDSDFQSECISLLGIQSQEIVGEAIEDCIEKKIISVIESNDQKPEKCKEAALFLFEDDCPQGPYFEVYEEGEDVFSSPD >EOX98738 pep chromosome:Theobroma_cacao_20110822:2:6928149:6933026:-1 gene:TCM_007431 transcript:EOX98738 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family protein MREKTNDTFYCCKITRQQCNMLKPLAATLSSSCPGSGVGVHHENFAKQRYLARSCEGGRWPTAFGGSLHFCSNDQNFFQIRRIRIRCPTRRQHIFPKCTADISDSPCQEEKDVSKATLIWRAIKLPIYSVALVPLTVGGSVAYLQTGLFSVRRYLMLLASSVLIITWINLSNDVYDFDIGADKNKKESVVNLVGSRSGPFIAAYLSLALGFMGLTWVSADAGSMRSLLLLACAITCGYIYQCPPFRLSYQGLGEPLCFAAFGPFATTAFYLLLGSTSEIIFLPLTRTALSASLLVGVTTTLILFCSHFHQIEEDMAVGKMSPLVRMGTERASVVVKGAILTLYAVLFALGFCRALPLTCVVFCALTLPIGKLVVSYVEENHKDKGKIFIAKYYCVRLHALFGAAMTAGLVYARMLAK >EOY01612 pep chromosome:Theobroma_cacao_20110822:2:40266135:40273675:-1 gene:TCM_011462 transcript:EOY01612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MTTLGNPVIILSSFFCLFLLFVLFRFLHRLWWTPFYIQYLLASQGIKGPSYKFIHGNTQDILKMRNEALSKPMALSHDIFSWVQPQAYSGINKYETELIKEVLNNRDRAYPKVGLPFYVMKLMGDGLATSEGEKWANHRKLLNYVFQGESLKNMIPEMIVLKTRCWKQENITKGKRLRCSKNLGY >EOY01318 pep chromosome:Theobroma_cacao_20110822:2:39002468:39003542:1 gene:TCM_011251 transcript:EOY01318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRVKEEEAVQEFSKKLMKLVNQLTLLREELTGKHIAIKVFGLPERFDSKISPLEELRDITRLTLSELINALKAQEQRKAFREEDYINSALVARTRNLKLGNNSSKRSELDRKDKEKKNFDSKPGKQKQKYQPYTLQEDYLLSQVLLVQT >EOX97973 pep chromosome:Theobroma_cacao_20110822:2:4126578:4127117:1 gene:TCM_006852 transcript:EOX97973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like protein, putative MAMSLKSVHFFALFFIVVLLANQEMPVAEAKLCQKRSKTWTGPCIKTKNCDHQCRKWEKAQHGACHWQWPGFACFCYVNC >EOY01645 pep chromosome:Theobroma_cacao_20110822:2:40456791:40459034:1 gene:TCM_011490 transcript:EOY01645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B5, n6,ATCB5-A,CB5-A MPTLTKLYTMQEASQHNSKEDCWVVIDGKVYDVTSYLDEHPGGDDVVLASTGKDATDDFEDAGHSKSAKELMQTFCIGELDTSSPIIPELEISSKKETTDYSQKLMGLTKQYWAVPVAVVGISVVVGFLYLRRK >EOX97520 pep chromosome:Theobroma_cacao_20110822:2:2551799:2554309:1 gene:TCM_006515 transcript:EOX97520 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR auxin-responsive family protein MITTKKLIRMARKWQKTAAIGRKRITSARTNYKKMAAVSHSKQSSVVEKGYFVIYTIDERRFVIPLAFLRNSIFQELLKMSEEEFGLPSDGPITLPCDAVVMNYIISLVKRGLLAKDLERAVLSSITGYRFSSYSVMTTPVSGVRLDPTRIHARVLRKQQTQTAI >EOY01012 pep chromosome:Theobroma_cacao_20110822:2:36691479:36692705:1 gene:TCM_010928 transcript:EOY01012 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLP-like protein 28, putative MMTLEDSGPHSDTKNYFVKKMSSLIGKVETDVELKSSAVKFHDMFCNKPHHVSNACSDKVQSCDLHEGNWGNEGSIVCWNYVHEGKPKVAKERIESIDPNNNSIFCRVIEGDLLKEYKSFVIKIQVTPKSQGEGSIARWTMEYEKLHEGIAHPETLLELAVQVSKDVDAHLIQGN >EOX97506 pep chromosome:Theobroma_cacao_20110822:2:2519254:2523330:-1 gene:TCM_006507 transcript:EOX97506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-like protein, putative MESVYDVGDFNFNVDEFARGKSNLTGCEGVLKDSNYAELMQAFMAMANQGLGQDILNFELSTCFLIPWFCYNNHIKEGGQTKLVAMEVAQAGSSNWNFMNRNYGAVWDTSRVPTGALQIRFVVTSGFDGEWIWAKNVLPADWKTGFQISDIAKEDCSPRDDGIWR >EOX98379 pep chromosome:Theobroma_cacao_20110822:2:5561682:5566270:1 gene:TCM_007155 transcript:EOX98379 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-methyl-5-thioribose kinase MAFPEFRPLDEKSLVEYIKVTPFLSSKIGDKYDDIKIKEVGDGNLNFVYIVVGASGSFVIKQALPYVRSLTESWPMTKERAYFEAVALKEHGGLCPRHVPEVYHFDRTMSLIGMRYLEPPHIILRKGLIAGIEYPFLAEHVSVYMAKTLFCTSLLYRSTTEHKRADNVVIPNVMALERNASVLAEFCGNVELCRLTEQVVFSDPYKVSEYNRWTSPYLDHDAEAVRDDVILKLEVAELKSKFCERAQALIHGDLHTGSVMVTRDSTQVIDPEFAFYGPMGYDIGAFIGNLILAFFAQDGHADQGNDRKIYKEWILKTIEDTWNLFHQKFTALWDQHKDGPGEAYLPAIYNNPELQKLIQEKYMKELFHDTLGFGAAKMIRRIVGVAHVEDFESIKEASIRADCERRALELAKTLLKRRREFLSISEVISAIRHVQS >EOY01265 pep chromosome:Theobroma_cacao_20110822:2:38733097:38733852:-1 gene:TCM_011211 transcript:EOY01265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGRQKFRRKKKKKKVKSLPEMITASLKVTNPASFTFRKAAWRKNSNQGKGGVKEKRSREEGGEVTWKRGGGQ >EOY01770 pep chromosome:Theobroma_cacao_20110822:2:40859686:40861343:1 gene:TCM_011597 transcript:EOY01770 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 88A1, putative MSNADVIHARIHVALFPSSGMGHLTPFLRFAAALLRCHCQLTLITTDPVVSLAESQLISRFLSAFPQVTEKKITLLPLDPATINSADPFTLQWETIRRSAHLLSPLISSLSPPLSFIVTDISLQSSIIPITANLRLPNYILFISSARMFSLLAYFPSTKTDDGSFQFGNVIIIPGIPPIPRSSLPPVLLNSNSPFAKNFSEGSQTITKVNGVLINTFDGLEKQALDMLNTVKGLPPVFPVGPLLPCEFEGPESLATLKWLEDQKEGSVLFVCFGSRTATSKEQIREIGMGLLLSGCKFLWVVRIKIFDKEEEEGLDEILGYELMQRIKSSNNGLVVKEWVNQCEILSHKAVGGFLSHCGWNSVVEAALNGVPMLACPQRQFGDQRINLEVVEAAGWVLCVKSSGWGEDVLLKGEEIGEKIKELMASESVKLEAARIGQEARKAAGVGGSCEDSLKKLLQSWNKAH >EOX97367 pep chromosome:Theobroma_cacao_20110822:2:2097741:2103989:-1 gene:TCM_006412 transcript:EOX97367 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MEGETATSTSTQRSKRNAVFMAFGTKGDVYPIAAIAAAFAADQNGYDVVLITHSAHENLISHLEKKNVVFLPISSPPVLSSNGTDDKTGSSGIEFSEQKRIITKEHRRECYSAVERIFGDGPSLEGDFIGINFFALEGWSLAELFRVCCVVVAPYVVPYSAPSSFERHFRKELPFLYKYLQEAPTDKVCWKDVIHWMWPLFSENWESWRSEDLNLSPYPFADPVTGLPTWHDRPQSPLLLYGFSKEIVECPDYWPSKTRVCGFWFLPIEWQFSCKECGEISTLLSSGHLTTDDMCSVHAELRIFLRTPISPPPIFIGLSSIGSMGFMRNPQAFLRILQTVLETTCYRFILFTAGYEPLDSTVQEIACEASSFSNQRQLIQNGISLFDSRLFCFSGMIPYNWLFPRCVAAIHHGGSCIACLQWKTSGSTAAALYAGIPQILCPFMLDQFYWAEKMFWLGVAPEPLRRNHLVPENDSDTSIRVAAKILSQAIHDALSPRVKERALEIGKRISLEDGVSEAVKILKEEIGNTIWDN >EOX97489 pep chromosome:Theobroma_cacao_20110822:2:2454034:2455735:1 gene:TCM_006488 transcript:EOX97489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSPPNPKPLFHARSDSSPSRPHLFRSQLEEHLCRLRATDASSSSSSSICNQLSCLRDLYDSVDSFLQLGQTQRALAKEFSGKQFDKVLDGSLRRLDVSSITKDVLSRTKEQAQELQSIFRRRRGDECSFRNEVNVYLTSRKEAKNVTLKSLRDIKSKCRFSPADHENMGMVSMLREIDEVSLLVFESLLSYVSGANAQSKPSSWSSVSRFMHSKRIACNEEANDNNEFEKADSTLSTLIKTRKSSKSKPCQCPKCLGEIGVKHSGS >EOY01537 pep chromosome:Theobroma_cacao_20110822:2:39914569:39915045:1 gene:TCM_011407 transcript:EOY01537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKASFSMQFFIFLLFASSMVFSVVPRAQGQPCTTVADCKDIFCIDKTLECLNGRCQCVPTFGTKISCSKDFDCNKEV >EOX97220 pep chromosome:Theobroma_cacao_20110822:2:1650361:1660525:-1 gene:TCM_006310 transcript:EOX97220 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MRSDYPHFLLATLPFQSHLNPTFRLARRLIQAGARVTFATTINGQRKIKSFPSLEGLAYASVSNGFDDGTSPSDEQEDVMSRCEHVGSQTLTNLLLSLSNDGHPVSFLIYGPSLSWVADVARAMSIPSAFLCIQAAALLAIYHHYLNSQTGAYDSKVNCPPSVIKFEGLPPFGWKDLPCFLLPNSPLSFATTAFQKHIQILEEDPNPCVLINTFDALEEYAIKALAHNSNINLITIGPLVPSDKFNGCELFENSSHDCYINWLNSKPDCSVVYISFGSVAVLPRNQMEEIFDGMVESGYTFLWVIRPSEDGKEEGFKNVIKNKMKEEQGLIVPWCSQVEVLNHRAVGCFVTHCGWNSTLEGLVAGVPMIALPQFADQMTNAKLVDEVWETGVRVKANEGAAVAEKEEIRRCLEMVMGNGQIGEELRRNAKKWRGLALEATSQGGSSANNFKVFMESFVK >EOY00322 pep chromosome:Theobroma_cacao_20110822:2:31164505:31165149:-1 gene:TCM_010157 transcript:EOY00322 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--glycerol-3-phosphate 3-phosphatidyltransferase, putative MEPHGSSGTSWADQWDYGPDPLPAEPSKSSSGGAKAKCSKKVEDGLGKTKAAAVTGMKKAKVGAAAGINWIKEKCSKTTQK >EOX99181 pep chromosome:Theobroma_cacao_20110822:2:8853778:8859978:1 gene:TCM_007770 transcript:EOX99181 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONSTANS-like zinc finger protein, putative MEPLCDFCRGVRAVVYCKSDAARLCLSCDGCVHSANLLSRRHARSLLCEKCNSQPAVVRCLDEKLSLCQACDWNSNSCSSFRHRREALNCYTGCPSLAEFRRIWSSVLDASSSSAFDAGLPVGSLPANDNCVINCLNQREPGGAFGLVGTKLNEPDPCPKLEPWMGPSSLIPTNANYMPYCRNQEPLFSEESNIPKGCSDLKDFKLLDGDDLCEGINMNDVQLNFETADEIFGCSQGQNRSQFDNVGTEGLVMEKNITLTESDVPIEHTLEASSSGQKDCMAFPGSQVGGSASVMAAMTGTSNCMLMNRGCNRNINLEYPAGQIPSTIALSLSNIAGEHGAADFQDYGLSPVFLTGESPWESNLEASCPLARDKAKMRYNEKKKTRTFGKQIRYASRKARADTRKRVKGRFVKAGEAYDYDPLVARNF >EOY00936 pep chromosome:Theobroma_cacao_20110822:2:35951495:35954561:1 gene:TCM_047007 transcript:EOY00936 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 10 MAGGLASHQAGDASPAFLLRLVHDIISGNVGGGNGHLNVVFKKDCTDLVRRIALFTHLLEEISDFGQSDHDDASSSASSWSADLAVALQAAKRLLSVASAYHSNNSSDGAAKRITFQFQCVTWKLEKALEKIPYDQLQISEEVQEQVSLVKAQLKRATERYGSLNLRKFSNALPQPLEKEYDRTNHESLAMLDGIPENWGPQRHGADQVTKILERVKSSSTSSEVCLSNENDSKGQENVAIKGTEELKKPDALVIPDDFLCPISLELMRDPVIVATGQTYERSYIQRWIDCGNITCPKTQQKLENLTLTPNYVLRSLINQWCAKHNIEQPCGLANGRLKKSDGSFRDVSGDMAAIQALVCKLSSRCLEERRAAVAEIRSLSKRSTDNRILIADAGAIPVLVNLLTTDDVSLQEHAVTSVLNLSIFENNKSLIMLAGAIPSIVQVLRAGSMEARENAAATLFSLSLADENKIIIGASGAIPALVDLLQHGSARGKKDAATALFNLCIYQGNKGRAVRAGIVTALLKMLTDSRNCMVDEALTILSVLASNHDAKAAIVKASTIPVLIDLLRTGLPRNKENAAAILLSLCKRDSENLACISRLGAAIPLTELTKSGTERAKRKATSLLEHLHKLQQL >EOX99747 pep chromosome:Theobroma_cacao_20110822:2:15381150:15383368:1 gene:TCM_008613 transcript:EOX99747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGVTLAVAPRSEPDKTIAPGEKLEHKPLRQQQQQSVSGGLMGSLRVIELQLVAFIMVFSISGLVPLLDLVFPAFASTYIIALSLFAFPSHGRISTGSQEIFQGSKLFRLYVILGTTIGLFLPLAYVLGGFARGDKHAVRSATPHLFLLSFQILTENVISGLSLFSPPVRALVPVLYTVRRIFIIIDWMHDVWLNKTLPANAQLKDIAWDWFGKGLAAANLFYFSINLLCFLIPRFLPRAFERYFRERDEVDAKMSEDKRSTAAKKSQATDKKVD >EOY00206 pep chromosome:Theobroma_cacao_20110822:2:29410016:29417851:1 gene:TCM_009968 transcript:EOY00206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNSWNLWIYLEDLCKQMVLIKSYKLNNICGSWAKLVRVKKWHRESCAVPESSKAHYALYCSTSAPSLALGPKGTQTMDEMTKGDMERTWTSGPTKIAYMCDDSPERRKNLRLELKKETLVCLSQPTNPTISTSRAPLGHIDQSPGSHFHCNSYPVSVPPRNRLKGSDTWKGKDPTFLPIPMSFLCYQALAATLHRFCPFDWPPVGPIAP >EOX98467 pep chromosome:Theobroma_cacao_20110822:2:5878419:5883448:1 gene:TCM_007223 transcript:EOX98467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein MYAKYIDQFYFSHCKQPFVPSIYERQEKDETNPFIYLTYFYKRIASACNIPPCKGNLNQQAMKTQVSLALCLSLFLVVPCNTQQFACDKNDPNTSQFPFCDYALSYEDRAKDLVSHLTLQEKVQQLVNTASGISRLGVPAYEWWSEALHGVSDLGPGTRFNATVPGATSFPAVILSAASFNATLWFKMGQVVSTEARAMYNVGLAGLTYWSPNVNVFRDPRWGRGQETPGEDPLVVSRYAVNYVRGLQEVGGESNSTHDKLKVSSCCKHYTAYDLDNWKGVDRFHFDAKVTKQDLEDTYQPPFKSCVEEGHVSSVMCSYNRVNGIPTCADPDLLKGIVRGQWGLDGYIVSDCDSVAVFYNSIHYTATPEDAVAVALKAGLNMNCGDYLGKYTVNAVNLKKVEESIVDQALIYNYIVLMRLGFFDGNPKLLLFGDLGPSDVCADDHQLLAHDAAKQGIVLLDNNGALPLSQNITKNLAVIGPNANATTVMISNYAGVPCHYTSPLQGLQKYVSVVTYEAGCSDVKCSNETLIEAAVQAAAKADALVVVVGLDQSIEAEGLDRVNLTLPGYQEKLVTDVANAANGTVILVIMAAGPIDISFAKNVSQIGGILWVGYPGQAGGEAIAQVIFGDYNPAGRSPFTWYPQEYADQVPMTDMNMRANTSENFPGRTYRFYTGKCIYEFGHGLSYTSFSKFILSAPSTILIQSIPNNILSSKSIREPYAYSNGEAIDVSNINCNDLQFNLVIGVKNNGPMNGAHVVLLFWKPPSSRVVTGAPNVQLVGFERLEVKRGKTQNVTMSLGVCKEFTLVDAEGNRKLITGQHTLFVGSTSEYQVRHHFVVRQAVNASVEGSVSM >EOY00403 pep chromosome:Theobroma_cacao_20110822:2:32092825:32097682:1 gene:TCM_010274 transcript:EOY00403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-phosphatase/synthase 9 MASRTCANFLHLVSGDLLDIPQTPRALPRVMTVPGIISDVDYCSSNDGDSDVTSSGCRERKIIVANMLPLHAKRDAETSEWRFSWDEDSLRLQLKDGFSPETEVIYVGSLKVDIDASEQEEVAQKLLEEFNCVPTFLPQDLQKKFYLGFCKQQLWPLFHYMLPICPDHGDRFDRFLWQAYVSANKIFADKVMEVINPDDDYVWVHDYHLMVLPTFLRKCFHRIKLGFFLHSPFPSSEIYRTLPVRDEILRGLLNCDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVFIKILPVGVHMGRLESVLNLSSTACKVKEIQKLFEGKKLILGVDDMDIFKGISLKLLAVEQLLRQHPDLQGKVVLVQIVNPARGSGKDVQEAKKETYLTAKKINEVYGSPNYQPVILIDRPVPRFEKSAYYALAECCIVNAVRDGMNLVPYKYIVCRQGTPFMDEALGIKSDSSRTSMLVVSEFIGCSPSLSGAIRVNPWDIDAVAEALNTAITIPESEKQLRHEKHYRYVSTHDVAYWAHSFAQDLERACQDHYSKRCWGIGLGLGFRVVSLSPSFRRLGIDHIVSSYKRTNRRAIFLDYDGTVVPEASIIKTPSPEVISILKTLCDDPKNTVFIVSGRGRTSLSDWLAPCEMLGIAAEHGYFIRWSKDSEWETSPVGADLEWKRIVEPVMSLYREATDGSSIETKESALVWHHKDADPDFGSCQAKELLDHLENVLANEPAVVRRGQHIVEVKPQGVSKGLVAEKVLSRMVNGGKPPDFVMCVGDDKSDEDMFESILTSVSNPSLPVAPEIFACTVGRKPSKAKYYLDDAADVLKLLQGLATATSSKPRCLPEIQVSFESTA >EOX97817 pep chromosome:Theobroma_cacao_20110822:2:3575098:3575480:1 gene:TCM_006750 transcript:EOX97817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-induced SAUR protein MAIRLLRVVSAKKVPKGYFAVYVGETQKRFVIPVSFLNQPSFQDLLGLSEKEFGYNHPTGGLRIPCNEDMFLDVTSRLN >EOX99584 pep chromosome:Theobroma_cacao_20110822:2:12524783:12525353:-1 gene:TCM_008303 transcript:EOX99584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSNRENKGLIFEEGVLFYDKTEVWCPTEPYCQSLRGPPWRNSPTILGSQERGEDITLVRHIQGKAVSARGRRDQDGPRLDQDQCFIRSRLQTISGSMVVVTGREHRRVECIPMGHLCLESDR >EOX97467 pep chromosome:Theobroma_cacao_20110822:2:2367214:2369229:1 gene:TCM_006468 transcript:EOX97467 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein MEGLVQCSANYSPLTPVAALGPNTPELCELHFAVPMFPLVVLMLETYPVAKTASSSYHLSGYRSYDSLLIPTNGYGYEIVRPKGECDPISIVFTSGTTGVPKGVVHSHIAAYLTTLAEILLNEMNTMPVYLWTVPMYHCNGWCFIWAIAALGGTNICLRKYDAKFIFDSIIRMSEILGPGTVYPWRPEYSSLPPLERARMKALHGLNHLLMEGVDVKDRVTMESVPFDGLTSGESSSSVRGGCGGRPNEVVGETVCAFVMLKQGRNARSEEIIKFCGERLSENMVPETVVFDGLPHTSTGKTKKAILRERAMALI >EOY01637 pep chromosome:Theobroma_cacao_20110822:2:40426590:40429537:-1 gene:TCM_011484 transcript:EOY01637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein MPPKGPGSLVFFVHQEDNSVLEKDIKNPNLFSLLLKPTAPLFTSLAALCSILFSSLLFPSLPFPSFDNMNLEEKVGMDLVPQSEHLCYVRCNFCNTVLAVGIPCKRLLDTVTVKCGHCSNLSFLSTRPPLQGQCLDPQTSLSLQSFCGDFRKGQSPSPSSSPSSEPSSPKAPFVVKPPEKKHRLPSAYNRFMKEEIQRIKAANPEIPHREAFSAAAKNWARYIPNSPATSVSGSRSNE >EOX97434 pep chromosome:Theobroma_cacao_20110822:2:2257279:2260229:1 gene:TCM_006448 transcript:EOX97434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol-cytochrome C reductase hinge protein MADEDPVDQKKYLEEACKPKCVKPLLAYQECVKRIQGDESGHKHCTGQYFDYWSCVDKCVAPKLFSELK >EOX99452 pep chromosome:Theobroma_cacao_20110822:2:11372367:11373522:-1 gene:TCM_008136 transcript:EOX99452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 3, putative MHFISLIISISSLDFLRFSSLCQKLFGSVGFFSSLHYGRMGSKRFLGFLWIVTVLSFLSSTQGYKFYVGGKEGWVVSPSEKYNHWAERNRFQVNDTLLFKYRKGSDSVLLVTREAYYSCNTSNPIQSLTDGDSIFTFDRSGPFFFIGGNADNCNKGQKLIVVVLAVRHKPQKQPPSPSPSTAVPATRPVSPAPSPVPKTNPPVESPKTSDTPSDIDAPAPAPSEQKSGSLGLVCSTSLVLGFSVWVSMILRSL >EOX98458 pep chromosome:Theobroma_cacao_20110822:2:5842006:5845993:1 gene:TCM_007216 transcript:EOX98458 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit MATSLLSPLTAPNPEAVGPNIRLSKSCFLSGTKLFFLKPHPRKPTAHRCYTTPFAKSLDHIPKQFRQENLKDGLMDNFKNVPQYLYGLSPSQMDMFMTEDNPVRRQSERVTEESISSARNYLDNGGMWSMSGSNDRGPSKYSMSVSMYRGGARGYGRPRTAPPDLPSLLLDARICYLGMPIVPAVTELLVAQFMWLDYDNPSKPIYLYINSSGTQNEKMETVGSETEAYAIADTMAYCKADVYTVNCGMAYGQAAMLLSLGAKGFRAVQPNSSTKLYLPKVNRSSGAVIDMWIKAKELDANTEYYIELLAKGTGKTEEEIAKDVQRPKYFQAQEAIDYGLVDKIIDSRDAAFEKRNYDEMLAQSKAMRRGAGFGPQAAPSGFR >EOY20476 pep supercontig:Theobroma_cacao_20110822:scaffold_368:496:4026:1 gene:TCM_046344 transcript:EOY20476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLLRWQISHGHLPLGGEVDVVGPQDSEAGNTVSRREEGQSSGDVDRQPTGGITIEDLAAGLQRVNRVVEMMTTRMEDIQRVVEGRPTVQESFSSQRQVDRQHHELARYAPYLVSTEDIKIQRFVDGLVEPLVRAVASRDSAAVDCAQRIEMRTSESRARGIEQKGPRWRVIKVVEILAVGCRLLTFRVHKGTHDYPKGGVTCLVLVLGRDRKPSVLKGSKILDRVVKLSTLVILVGDGIEEDASMLPEFVSYAVSLDILEGISQWLINRKGQARVFALTPQEAQTSNAMVSSTLSVCNMDARVLFDPGATHSFISPCFASRLGKDRARREEQFIVSTLLKEVFVAEWEYESCVVRVKDKDILVNLVVLDTLDFDVILGMDWLTPYHASVDCFHKLVIFDFPGYLAVVRDTQAKVGDISQVSVLNEFKDVFPEELPDLRSGYHQLRIRNEDIPKTAFRTRYGHYEFLVTSFGLTNAPAAFMDLMNRVFKPYLDKFVVVFINDILIYSKSREEHEQHLKIVLQTLREYRLYAKFSKCEFWLESVAFLGHVVSKDGVQVDPKKVEAVEKWPRPTLFTEIRSFLGLAGYYSRFMKDFSKIVTPLTKLTRKDTKFEWSDACENSFEKLKACLTTAPQRDLNLRQRRWMELPKDYDCANLYHPGKANVVADALSQKSMGSLAHISTNRRFLIREVHSLGDMGVHLEVLEASALLAHFKVKLILMDRIKEAQSKDEFLAKALEDLQ >EOY20432 pep supercontig:Theobroma_cacao_20110822:scaffold_273:4113:5594:-1 gene:TCM_046320 transcript:EOY20432 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein isoform 2 MNSQIVSSGEDGDDLSERLRALNLNDGVLRFEQKSDRTEGENNEPNRMNPQQPVLDVPPLSCVHYIGPPSPGDTFSSPTREQTEASERIGPAMIFLPSQSTREELDNMMAHTKYGVALTGAAATGSIGPLRGLRNISESEDSYHFRVNVPGASMEKGDFSCDIEPDG >EOY20431 pep supercontig:Theobroma_cacao_20110822:scaffold_273:3727:5594:-1 gene:TCM_046320 transcript:EOY20431 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein isoform 2 MNSQIVSSGEDGDDLSERLRALNLNDGVLRSDRTEGENNEPNRMNPQQPVLDVPPLSCVHYIGPPSPGDTFSSPTREQTEASERIGPAMIFLPSQSTREELDNMMAHTKYGVALTGAAATGSIGPLRGLRNISESEDSYHFRVNVPGASMEKGDFSCDIEPDGTVVIKGISTTGEKVVHWGSLVFEMLTQNLGPLGPFTISFQLPGPVNPQEVVSRLADGIFEAIVKKK >EOY20300 pep supercontig:Theobroma_cacao_20110822:scaffold_11:1467374:1470712:1 gene:TCM_045760 transcript:EOY20300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A-2 isoform 2 MPSHSDLDRQIEHLMQCKPLSEAEVMTLCEQARAILVEEWNVQPVKCPVTVCGDIHGQFHDLVELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISGQFNHTNGLTLISRAHQLVMEGYNWSQDKNVVTVFSAPNYCYRCGNMAAILEIGENMEQSFLQFDPAPRQIEPETTRRTPDYFL >EOY20299 pep supercontig:Theobroma_cacao_20110822:scaffold_11:1467489:1470712:1 gene:TCM_045760 transcript:EOY20299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A-2 isoform 2 MPSHSDLDRQIEHLMQCKPLSEAEVMTLCEQARAILVEEWNVQPVKCPVTVCGDIHGQFHDLVELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISGQFNHTNGLTLISRAHQLVMEGYNWSQDKNVVTVFSAPNYCYRCGNMAAILEIGENMEQSFLQFDPAPRQIEPETTRRTPDYFL >EOY20279 pep supercontig:Theobroma_cacao_20110822:scaffold_11:608767:609803:-1 gene:TCM_045682 transcript:EOY20279 gene_biotype:protein_coding transcript_biotype:protein_coding description:CwfJ-like family protein, putative MMCLNLKYNPFCLHNMNQPQEQLTIACGMKFITSKECLVVIFAKDDKKLVFLDMLMAWVQQHRHCLIDCIPMPQEIVKQALVYFKKARFVIFILSIDIFVNAIDGAEDEWSEHNAKKLIDTSDKGLYGSILKKFPYLHVEFGLNKGFVHVIDDESQFKSNLGLNVIRGMLQLPEEDMYCHRRHQSVKEQKQYFTSFAHDWELFDWRRQLH >EOY20283 pep supercontig:Theobroma_cacao_20110822:scaffold_11:793373:797522:-1 gene:TCM_045701 transcript:EOY20283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 1 MDPPLINESSFSAANLSSYSLAEIWPFPINSRSDPNVTTAGRLGVRMGTLGGFGESSGHRDGFGADLSSEDESSKMVSTTSANEFARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRMNMSPSIEGFHSKDLGSQPYDAAGMIFGAQAAREYAQGSQPEWLQMHVGGNFERAT >EOY20281 pep supercontig:Theobroma_cacao_20110822:scaffold_11:793373:797464:-1 gene:TCM_045701 transcript:EOY20281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 1 MDPPLINESSFSAANLSSYSLAEIWPFPINSRSDPNVTTAGRLGVRMGTLGGFGESSGHRDGFGADLSSEDESSKMVSTTSANEFNDSNGKRVKSSTKNENVISKAEVETSSAAGSKPEQYGKPTEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRMNMSPSIEGFHSKDLGSQPYDAAGMIFGAQAAREYAQGSQPEWLQMHVGGNFERAT >EOY20282 pep supercontig:Theobroma_cacao_20110822:scaffold_11:795090:797153:-1 gene:TCM_045701 transcript:EOY20282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 1 MDPPLINESSFSAANLSSYSLAEIWPFPINSRSDPNVTTAGRLGVRMGTLGGFGESSGHRDGFGADLSSEDESSKMVSTTSANEFNDSNGKRVKSSTKNENVISKAEVETSSAAGSKPEQYGKPTEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRMNMSPSIEGFHSKDGRGI >EOY20292 pep supercontig:Theobroma_cacao_20110822:scaffold_11:1299449:1317659:-1 gene:TCM_045746 transcript:EOY20292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSSHEKGKSTTEPIVEDTLATPSPASTGQAAEGPAFHAESFVGLVPQDKDAEPMIDPKVELDKEKGSNKGTEVLGSLDGTSPPIQDPQPEPQPSPSPSEEVSIMGLFHQMVHEEQVEKEAAKVKTQQVTSAPTHTTKKQTKKEKEKAIATPQAKSKPPGKGIKRMATKTKFLKRRKSSRITEKARPATISSPQEPLEVFDKSSPEQSPPKPSLEPLNVFYGTDESTLSASSED >EOY20305 pep supercontig:Theobroma_cacao_20110822:scaffold_11:1685316:1686524:1 gene:TCM_045783 transcript:EOY20305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGIKTLQARLIPLSLLTTIFVGTHIVIRGWSSTHSQVRSIARIHPYDGTQIHNKAIMPLSFHMSTTYDKSYLLSFNRL >EOY20278 pep supercontig:Theobroma_cacao_20110822:scaffold_11:472109:500172:1 gene:TCM_045675 transcript:EOY20278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMIRSKEKMKEAIAREGYYPRKVSTVRNFSPGCGRGAALVYREECIRIQQAWIKDKMGKSQEMEKDLEEDSSICPDQGNNDPSNT >EOY20296 pep supercontig:Theobroma_cacao_20110822:scaffold_11:1348960:1351752:-1 gene:TCM_045750 transcript:EOY20296 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase isoform 3 MSYTTAHFKAPFFRQPSSDPVGSQRTSIVAMFNNNQEQDHLESIFKQKRILRSKVRQALKSMDPSLRSQEDDVIQTIVLEAPWFKSNGSMLQTAKKLYVPRVEDKNCNMRMFHISRIDDLVGNSMSILEPAPVDADGNEREDVMHANDAVDLVIMPGLAFDRSGRRLGRGGGYYDCFLKNYKELAKERNWKQPLFVALSYSVQIIDEVIPVTANDALVDALVSPCGVVPISPAALERMKL >EOY20295 pep supercontig:Theobroma_cacao_20110822:scaffold_11:1349314:1351691:-1 gene:TCM_045750 transcript:EOY20295 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase isoform 3 MSYTTAHFKAPFFRQPSSDPVGSQRTSIVAMFNNNQEQDHLESIFKQKRILRSKVRQALKSMDPSLRSQEDDVIQTIVLEAPWFKSSKRLCAYISCSALREVNTLKLLSQILQNPSADGSMLQTAKKLYVPRVEDKNCNMRMFHISRIDDLVGNSMSILEPAPVDADGNEREDVMHANDAVDLVIMPGLAFDRSGRRLGRGGGYYDCFLKNYKELAKERNWKQPLFVALSYSVQIIDEVIPVTANDALVDALVSPCGVVPISPAALERMKL >EOY20297 pep supercontig:Theobroma_cacao_20110822:scaffold_11:1348856:1351752:-1 gene:TCM_045750 transcript:EOY20297 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase isoform 3 MSYTTAHFKAPFFRQPSSDPVGSQRTSIVAMFNNNQEQDHLESIFKQKRILRSKVRQALKSMDPSLRSQEDGSMLQTAKKLYVPRVEDKNCNMRMFHISRIDDLVGNSMSILEPAPVDADGNEREDVMHANDAVDLVIMPGLAFDRSGRRLGRGGGYYDCFLKNYKELAKERNWKQPLFVALSYSVQIIDEVIPVTANDALVDALVSPCGVVPISPAALERMKL >EOY20302 pep supercontig:Theobroma_cacao_20110822:scaffold_11:1600519:1602346:1 gene:TCM_045775 transcript:EOY20302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEFEDLAVECQIWPNLRRNLVRPYSVIKSPSQPLPSQSPSLSLPSPPPLTSSPALMPLRERIREERMGEERERK >EOY20291 pep supercontig:Theobroma_cacao_20110822:scaffold_11:1285876:1286683:1 gene:TCM_045743 transcript:EOY20291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTYFLLGHAHLFSIWVMPIFIFYLSHAHFYFLFLFFSFLFLSFFSLSPSLPSPPCLPLFTTVASSLPWVVFFLLRWQHFQLSFPQKIGNTKLLFLP >EOY20273 pep supercontig:Theobroma_cacao_20110822:scaffold_11:195440:200398:-1 gene:TCM_045637 transcript:EOY20273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein MKKSKLSKQDKFDIFISLSKQRSIQILFIVGFLYIVLVTVEIPFVFRTGFNTLSQEPLTRLPRLASQVDVQQKEAPSRPLSWVSKNSPSPTRFQHNQQLRTQSGIVSNLSFDDKTFDPSGKGGSLELHKSAKVAWELGRKLWEKLESGKVKIDLIKKPDNGFELCPPSVYLSGSEFSAHGKVMELPCGLTLGSHITVVGKPRGAHSETKPKIALLKDGEDSVMVSQFMMELQGLKTVDGEEPPRILHFNPRLKGDWSRKPVIEQNTCYRMQWGSAMRCEGWKSKADEETIDGQVKCEKWIRDDNDHSEESKATWWLKRLIGRTKKVTVDWPFPFAEGKLFVLTLSAGLEGYHVNVDGRHITSFPYRTGYTLEDATGLTLNGDIDVHSVFAASLPTSHPSFASQRHLEKSYRWKAPPLPEQPVELFIGILSAGNHFAERMAVRKSWMQHKLVKSSNVVARFFVAMHARKEVNVELKKEAEFFGDIVIVPYMDNYDLVVLKTVAICEYGARVVSAKYIMKCDDDTFVRVDAVINEAKKVHEGRSFYIGNINYYHKPLRSGKWAVTYEEWPEEAYPPYANGPGYILSSDIVIFIVSEFERHKLRLFKMEDVSMGMWVEKFNSSKPVDYLHSLKFCQFGCIEDYYTAHYQSPRQMICMWDKLQRQTRPQCCNMR >EOY20280 pep supercontig:Theobroma_cacao_20110822:scaffold_11:773797:779641:1 gene:TCM_045699 transcript:EOY20280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAYVVHPGATKMYQDLKEVYWWEGLKRDVAEFVSKCLVCQQVKAEHQKPTGLLQPLPVPEWKWEHIAMDFVTGLPRTSGGYDSIWIVVDRLTKSAHFLLVKTTYGAAQYARVYVDEIVRLHGIPISIVSDREAQFTSRFWGKLQEALGTKLDFSTAFHPQTDGQSERTIQTLEDMLRACVIDLGVKWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWLEVGERKLLGPELVQDATEKIHMIRQKMLTTQSRQKSYADNRRRDLEFQVGDHVFLKVSPTKGVMRFGKKGKLSPRYIRPFDILEKVGAVAYRLALPPDLSNIHPVFHVSMLRKYNPDPSHVIRYETIQLQNDLTYEEQPVAILDRQVKKLRSKDVASVKVLWQNHTSEEVTWEAEDEMRTKHPHLFDM >EOY20301 pep supercontig:Theobroma_cacao_20110822:scaffold_11:1470640:1476427:-1 gene:TCM_045761 transcript:EOY20301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFSVGTTFGWKVHDKPLFQEMAKRTFKQFVEQELGQVLKFFIYAVLEWIMIFVLFIDGFLAFFANEFANFFELPIPCLLCTRIDHVLVRRNSDFYYNDSICEHHKKNVSTLAFCHAHKKLSDIRNMCESCLLSFATGKESDCDTYKSLLGILHKDIELFVDEDHEVHLSLPAGKKENEGAIEKSHDHLCSCCGEPLKVKSSYIKGKHSSLAPAPSPRAPANPNLDLSHINYTELKLNSDESEVHEDNDRSRGISLEKPFEDAKAATVPLLMDADDEDKTPNFIRGNKFFGIPLSDSATNSPRWTRITRKSLLEKTEFASESGDGPVPNEAEGDILHHLKRQVRMDRKSLMALYMELDEERSASTVAANNAMAMITRLQAEKAAVQMEALQYQRMMEEQAEYDQEALQEMSNLLAKREEEIKDLEAELEVYRQNYGCLKEVDFEGQGEESDGGYRVLKPPSNSSYNGRTECTSPTRSLSEGSNAGVKAQNDYQSDSMQDEVGGEALDKSKKASQGLRHLDRLKNLDKKLKLSPSSDGGDVSPNSSSENDDYMEEETGIGSKAILRSELSRISEKIEALAAAKMHNYLPNHQWMCIRFLLDRFGALRLRCLRLKTSSKWSFATTWGKQACDLPWNRSSEILVET >EOY20277 pep supercontig:Theobroma_cacao_20110822:scaffold_11:391412:392819:1 gene:TCM_045664 transcript:EOY20277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFCIFFFCLSFGFCLALNFFTRMAQKRSKQSSSGSFDRSKFVSAYVLSRYHTSLINKVPISKRGIDIPILPYEEIHQMLQELHWQLFSNQPEAVVMLVVQEFYANAVKHVDGVAFVHSKQVPFHNQVINAFFGTPNIEKDECGQYLGDHQDCNEIILKLYVEGAQWKTSNGEPISFK >EOY20294 pep supercontig:Theobroma_cacao_20110822:scaffold_11:1347032:1348829:-1 gene:TCM_045749 transcript:EOY20294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily T member 2 MHLGILFGEDTHVNEFRDQQIRIYDDPLLSSLTVFSRREIQVMASSITSLRFHLLCCLTAVWLLIPPSHSADPDPLQDFCVANISASISVNGFPCKPASEVTLEDFFFDGFTKEGNTSNIFASSLTPANVLTFPGLNTLGIAMNRVDFAPGGINPPHSHPRASETGVVIEGKLLVGFVTTNNVFYSKVLSAGQMFVIPRGLVHFQLNVGEGKALAFTAFNSHLPGAAVVPFNLFASSIPNEVLTKTFRVDTDLINTIRSKFGS >EOY20287 pep supercontig:Theobroma_cacao_20110822:scaffold_11:978537:981269:1 gene:TCM_045716 transcript:EOY20287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVAISLYRGNLHRAPAIPRRWLMPTPKISLEDFKSLLHRRNKALSRLRSSSSSCNPNPNPASNLKPHIQSPKPNGLPIEPKLGAQVDPEPPQDLTAGPTSAVVKVEVLGGSDGGDCSVKQEDEQPEKDETLQVDEKLPEETKANVEVSEKVNELNEKEKRKRDVEEKLQILNAKKHNLVQVLKQILNAEEELKRRNSPPGMAIRPAVPLQVETMNDSGSITRIVTPRMGSEANLAGENEVGEADDVSNANVHNRHVFRMSSTSPSSESPLRRPTYIQHNVCLTVYLEKPLGWRFPFDGTTIYYIKSLWLLSW >EOY20286 pep supercontig:Theobroma_cacao_20110822:scaffold_11:978537:981270:1 gene:TCM_045716 transcript:EOY20286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVAISLYRGNLHRAPAIPRRWLMPTPKISLEDFKSLLHRRNKALSRLRSSSSSCNPNPNPASNLKPHIQSPKPNGLPIEPKLGAQVDPEPPQDLTAGPTSAVVKVEVLGGSDGGDCSVKQEDEQPEKDETLQVDEKLPEETKANVEVSEKVNELNEKEKRKRDVEEKLQILNAKKHNLVQVLKQILNAEEELKRRNSPPGMAIRPAVPLQVETMNDSGSITRIVTPRMGSEANLAGENEVGEADDVSNANVHNRHVFRMSSTSPSSESPLRRPTYIQHNVCLTVYLEKPLGWRFPFDGTTIYYIKSLWLLSW >EOY20285 pep supercontig:Theobroma_cacao_20110822:scaffold_11:978430:981970:1 gene:TCM_045716 transcript:EOY20285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVAISLYRGNLHRAPAIPRRWLMPTPKISLEDFKSLLHRRNKALSRLRSSSSSCNPNPNPASNLKPHIQSPKPNGLPIEPKLGAQVDPEPPQDLTAGPTSAVVKVEVLGGSDGGDCSVKQEDEQPEKDETLQVDEKLPEETKANVEVSEKVNELNEKEKRKRDVEEKLQILNAKKHNLVQVLKQILNAEEELKRRNSPPGMAIRPAVPLQVETMNDSGSITRIVTPRMGSEANLAGENEVGEADDVSNANVHNRHVFRMSSTSPSSESPLRRPTYIQHNVVPHPSRASMGVTGSPSRFAPTGNQGHPGNPPAVSVSGTNYVASSPSPAASGGSSVFREARQPSPWN >EOY20289 pep supercontig:Theobroma_cacao_20110822:scaffold_11:982176:984246:1 gene:TCM_045717 transcript:EOY20289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein, putative isoform 1 MAHRTTNRRILAAPEPNPSSHSIDPTLRGLPNPTITSHPTTQKSDPLIPPKKPFFMTNHFSRLNLHHKTRTPHKPTNDHHNHAPPQPSRDIHLQAKAMTVSADAADPSKFSLIKANYLPLKTAKESLKEKVRKASKDLDKKREQHHQRSVLDSKKVDLTVKEKQVKNLHDVKKASASLGRRRSFCGSQVELADILANCGVKIVSVDMPPFMQIHAVDCARKTHDSLEKFTSKTLALTLKKEFDGVYGPAWHCIVGTSFGSFVTHSVGGFLYFSMDQKLYVLLFKTTVQRAD >EOY20288 pep supercontig:Theobroma_cacao_20110822:scaffold_11:982176:987444:1 gene:TCM_045717 transcript:EOY20288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein, putative isoform 1 MAHRTTNRRILAAPEPNPSSHSIDPTLRGLPNPTITSHPTTQKSDPLIPPKKPFFMTNHFSRLNLHHKTRTPHKPTNDHHNHAPPQPSRDIHLQAKAMTVSADAADPSKFSLIKANYLPLKTAKESLKEKVRKASKDLDKKREQHHQRSVLDSKKVDLTVKEKQVKNLHDVKKASASLGRRRSFCGSQVELADILANCGVKIVSVDMPPFMQIHAVDCARKTHDSLEKFTSKTLALTLKKEFDGVYGPAWHCIVGTSFGSFVTHSVENGSCFNFRILALIETWHTMVGPCTRRVSKTREHPPNAPPATPRLSGTFGRRIRRARNLKIVPFDPKKKKNS >EOY20276 pep supercontig:Theobroma_cacao_20110822:scaffold_11:262853:263158:-1 gene:TCM_045650 transcript:EOY20276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAHQSPDFARGSTQAASSASSVAVSSGREVGGSRGRGAGTSFQGRPSGSGHQSSIGRGQARVFALTQQEAQTSNAVVSSILSVCNMNAQVLFDPGATHSFIS >EOY20275 pep supercontig:Theobroma_cacao_20110822:scaffold_11:258453:266668:-1 gene:TCM_045650 transcript:EOY20275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAIVFALKIWRHYLYGETCEIYTDHKSLKYIFQQRDLNLRQRRWMELLKDYDCTILYHPGKANVVADALSRKSMGSLAHISIGRRSLVREIHSLGDIGVRLEVAETNALLAHFRVRPILMDKIKEAQSKDEFVIKALEDPRGRKGKMFTKGTDGVLRYGTRLYVPDGDGLRREILEEAHMAAYVVHPGATKMYQDLKEVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWWEGLKRDVAEFVSKCLVCQQVKAEHQKPAGLLQPLPAPEWKWEHIAMDFVTGLPRTSGGYDSIWIVMDRLTKSAHFLPVKTTYGAAQYARVYVDEIVRLHGIPISIVSDREAQFTSRFWGKLQEALGTKLDFSTAFHPQTDGQSERTIQTLEDMLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWLEVGERKLLGPELVQDATEKIHMISQKMLTAQSRQKSYADNRRRDLEFQVGDHVFLKVSPTKGVMRFGKKGKLSPRYIGPFEILEKVGAVAYRLALPPDLSNIHPVFHVSMLRKYNPDPSHVI >EOY20290 pep supercontig:Theobroma_cacao_20110822:scaffold_11:996730:999947:1 gene:TCM_045720 transcript:EOY20290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRRGGSPDTPHSASEGSLDSTTSSQWQPEPSSPESADRNKESFESSEKFESESSSDTPKTAKDFLLKQPKEYHREWARKAIARKVSYKECRRIQQAWIEEQRRKSQEEENPEEDLKKDLE >EOY20298 pep supercontig:Theobroma_cacao_20110822:scaffold_11:1421594:1424304:1 gene:TCM_045756 transcript:EOY20298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNSQVDVLNPCSGFHYSKNVFSLQRETFGWLGKMSARIFATTRNSRVVGSKFALKFTTANFRCNENAFPLQRDSLCYACLA >EOY20284 pep supercontig:Theobroma_cacao_20110822:scaffold_11:973980:977647:1 gene:TCM_045715 transcript:EOY20284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein, putative MVRPPCCDKLNVKKGLWTEEEDAKILAYVSKHGTGNWTAVPKKAGLRRCGKSCRLRWTNYLRPDLKRESFAPQEEELIIRLHAAIGSRWSIIAQQLPGRTDNDVKNYWNTKLRKKLSEMGIDPVTHKPFSQILADYGNIGGLPKSRTRIGSLNRDMKNAFLLKAEPYPSPAAAAEGLMTTIASSGMEPIQDNFFANNSINHHADSDSSLDLLSQLQAIKALVTEASNYTGHQTISPDQFPNECSLSSSPSSSTCSTCSTAAQQKSALAFSWRDFLLEDAFLPADHPQGQEDHALEFSSRDFAGHQTPNVVPQSHSNNEISAERKVDNNNVNNNHNGVQGMDSGVPSYGFHASSSTDDSSFIATMLDQENEMFSEFANLLEDPCY >EOY20293 pep supercontig:Theobroma_cacao_20110822:scaffold_11:1342227:1347028:1 gene:TCM_045748 transcript:EOY20293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein MDPPLINESSFSAANPSSYSLAEIWPFPINPRSDPNVATAGRLGVRMGNLGGFGESSGHRDGSMEESTVTEQSVGCGGRRKRKDLSSEDESSKIVSTTSANELNDSNGKRMKSSSTKNENADSKAELEASSAAGSKPEQYSKPAEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRMNMSPSIEGFHSKDLGSQPFDAAGMIFGSQAAREYAQGSEPEWLQMHVGGNFERAT >EOY20271 pep supercontig:Theobroma_cacao_20110822:scaffold_11:53057:69061:-1 gene:TCM_045627 transcript:EOY20271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRTILSLGDLMIIMARHFPSPPWLPPFTNVASSLHHRGFLSSFPLKIDHQKTYCLTLFTTIFCSKISRFSAQFPATKLLNFGSTKLSNLLAQLLPNSPNFFAQIGSKQLQFSVAKVVLQ >EOY20274 pep supercontig:Theobroma_cacao_20110822:scaffold_11:213143:264559:-1 gene:TCM_045640 transcript:EOY20274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKICKALGCSSVRLVELAAFQLEDVAQEWYSSLCRGRPTNATPLAWSEFSVAFLDRFLPLSVRNARAREFETLAVHGNPSL >EOY20272 pep supercontig:Theobroma_cacao_20110822:scaffold_11:194811:195318:-1 gene:TCM_045636 transcript:EOY20272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQGEKCFSKFFTQGAARVLGLMCCLTTLLRRVVKISLFFYYKASILSLFIILIPCLIELILIKSLEIKT >EOY20304 pep supercontig:Theobroma_cacao_20110822:scaffold_11:1678966:1680341:-1 gene:TCM_045782 transcript:EOY20304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-tonoplast intrinsic protein MPPRRYAFGRSDEATHPDSMRATLAEFLSTLIFVFAGEGSILALDKMYKDASTTPAGLVMVALAHALSLFSGVAVSFNVSGGHVNPAVTFGALLGGRISFIRAVYYWVAQLLGAIVACLLLLVTAGMRPAGFTVASGVGELRGLVLEIVLTYGLVYTVYATAIDPKRGSLGTIAPLAIGLIVGANILVGGPFDGAAMNPARAFGPALVGWRWNNHWIYWVGPLLGGGLAGLIYEYMIIPAEPPHHTHQPLAPEDY >EOY20303 pep supercontig:Theobroma_cacao_20110822:scaffold_11:1674514:1677768:-1 gene:TCM_045781 transcript:EOY20303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKIDFEKAYDCVNWNLLDLIMRKMGFGDRWRLWVKEYISSVMVSVLVNGSPTKQFKIKRGLRQGCPLSPFLFTTTMEALNCMLKRAETKGLCRGVKIGKRGNEENIMFLMDEWIEGHILAEKFPTIFALSINKAGKVADFGRWSGNVWIWDIPLRRYSTKSFCRILSNDDNEERKIWKDVWASMAPHRIEAFVWQLLHGKIGVKVELAVRGIFHESSNLCVLCIVDKETCCHLFTKCNETWKIWGMWCKLFNISWITPGFVVTFFEAWNNCHVGKHNSRLWKLAYFTIVWTIWKSRSEVVFKRKKWDDKECWELVKFRIASWANAKWPKDYGSISDIFFNPSVGSYFNVDSSAKGYLGPAGIVGIMKNELEKVKISFFKLIGITDSSQAEIMAVKEAVQIFSTSK >EOY20406 pep supercontig:Theobroma_cacao_20110822:scaffold_254:3206:8152:1 gene:TCM_046310 transcript:EOY20406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 71D10, putative MSEMLKNPRVLRKAQDEVRQAFHGKGDVDEASIHELKYLASVIKETLRLHPSLPLLLPRESRENCEIMGYQVPAKTKVIINAWAIGRDPKYWNEPETFYPERFLNTSTDFKGKNFEYIPFGAGRRMCPGILFALPNIELPLAKLLYHFDWKLPSGMRHENLDMTETFVVKVVKRVTASDRNIKLPPGPWKLPFIGNLHQLVGSLPHRILRDLANQHGPLMHLQLGEISTIVVSSPAIAKDVLITHGIVFAQRPQLLSMSIITYDFRDIGMAPYGNYWRQVRKICTVELLTAKQVQSFHSIRQEEVSALVKSISSNEGSQINLSDKIFSLTYGITSRAAFGNKCKDQETFSSTLREEVKLISGFSIADMYPSFRVLQLISGMRQKLGTLHQKSDTILQGIIDEHRERMERGKISEGEAKEDLVTVLLRIQLLDDLEFPLTDNNIKAVIWDIFSGGSETSSTIVDWAMSEMLKNPRVLRKAQDEVRQVFHGKGDVDEASIHELKYLASVIKETLRLHPSLPLLLPRESRENCEIMGYQVPVKTNVIINAWAIGRDPKYWNEPETFYPERFLKTSTDFKGTDLEYIPFGAGRRMCPGIMFALPNIELPLAKLLYHFDWNLPSGMRHENLDMTETFGVTSRRKDDLILIPTTHSHSSAA >EOY20444 pep supercontig:Theobroma_cacao_20110822:scaffold_309:3428:5061:-1 gene:TCM_046327 transcript:EOY20444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2, putative MQRHLLVAAVLATLSLLVSGQTDFFYKLSLQWPPSVCGPSQCGSPIPRTFTIHGLWPQFVTNDRPVPPYNPTTNKCTNVTPTAPGQILVPLKPIQDQLNELWPSLLKQTTNVAFWRHEWEKHGMCSDYPDKPHDYFTAALDLTTTYNPLEGTEVKPRQDPYKAIEIREAIKAKLGKYPEISCGKVSNTIQLKEIRLCFERAKPPVVLRDCPTKYSNQCSDDDNQVKFPPATSEVLEF >EOY20521 pep supercontig:Theobroma_cacao_20110822:scaffold_85:15666:21678:1 gene:TCM_046168 transcript:EOY20521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVRSQVLPFRLKSVGDKEDAYPNLIVHSAYKRPQHLIVTWSTLGPLSWDNCCQYPGQQSPSEQLLLLRMELVTLFLIQLVGPQALPLHLNPNDDREGAYPSLIVHLAHKHSRHSTVTWPTLGPSPWDNCC >EOY20508 pep supercontig:Theobroma_cacao_20110822:scaffold_55:29936:30265:1 gene:TCM_046132 transcript:EOY20508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPRHGHPPLTRSARRGRGRPRQNRPDLMEEESAASTIRAAPAVEQPESLPHPPPPTSTPAMPPEMVQALVAFLTAMAGQAQAG >EOY20441 pep supercontig:Theobroma_cacao_20110822:scaffold_281:4676:5219:1 gene:TCM_046321 transcript:EOY20441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIITYGSAIFAVTPDGETFQLALITAAAPLILRCLIQLLVKLKNRKPEPPCLIQAFVGPRNKTAEPPKDQTQQANP >EOY20514 pep supercontig:Theobroma_cacao_20110822:scaffold_703:1:1210:1 gene:TCM_046364 transcript:EOY20514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein RFSQESFVNNVFCRLPSQSSAAYHGDKDQLKLVVVHRHFHLPAVVDEALSKDRPLRQALERQNSEILVSPMQKYIRYFVGKGDTF >EOY20311 pep supercontig:Theobroma_cacao_20110822:scaffold_115:7:670:1 gene:TCM_046206 transcript:EOY20311 gene_biotype:protein_coding transcript_biotype:protein_coding description:3R-linalool synthase MTEKSLDYCFKQGGELIYWSSLITRLADDLGTSKAESARGDVAKSTECYMIETGASKEEARDHIKELMAHSWKKLNEESYKNLLPRSMINMCLNMARTAQCIFQHGDGIGTSNGVTKDLLISLIAEPITAE >EOY20312 pep supercontig:Theobroma_cacao_20110822:scaffold_115:15346:17105:1 gene:TCM_046209 transcript:EOY20312 gene_biotype:protein_coding transcript_biotype:protein_coding description:3R-linalool synthase, putative MFLKYEFHGTKLKELKKEVTSLLAFAPDPWATCKLIDLMQRLGVAYHFGEEIDEALNNVLKETVIGDLYTTSLLFRILREHAFPISTDVFNKFRGRDGKFVDSLRGDMAGLLNLYEASHLGMHGEDVMEEAKKFSAEHLKSSLGKIGSNLAFQVQQSLQVPLHWKMPRIEARTFIDVYQKDDSKNSILIELAKLDFNLVQAVHQQELKELAT >EOY20463 pep supercontig:Theobroma_cacao_20110822:scaffold_318:2:3531:-1 gene:TCM_046330 transcript:EOY20463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MQPKTAYLTCLLTIIWWLFLEESASASEGQFNLLNSGCSQYNVTNFREFSSNLNSTFLQLREQLMNSDNKYFATAQQARGSDPAYAMVQCRKYLSSADCLACFEAALSRIRNCSANGARVIYDDCFLRYESNDFYNQTTQEGHSMMCGNQSASEPSAFEAAVQGLLADLQTATPRIDGYFAATKKEVVGGGTGISATVYGVAQCIETITESGCRECMQVVTSDIQRCPPNTNGRAVDVGCFLRYSDSPFFADNQTIDIRPFLRSGSSSKKKAIIIGGVVGGASLLLLVITALLVWFKLSTRQRGTLRGDILGATELQGPLNYKYKDLMSATKNFGEEYKLGEGGFGDVYKGVLKNGKIVAVKKLAVLQSRRAKLDFDSEVRLISNVHHRNLIRLLGCCSKGLELLLVYEYMANSSLDKFLFGERRGSLNWKQRYGIILGTARGLAYLHEEFHVCIIHRDIKSSNILLDDDLQPKIADFGLARLLPEDKSHLSTKFAGTL >EOY20478 pep supercontig:Theobroma_cacao_20110822:scaffold_37:11534:14086:-1 gene:TCM_046086 transcript:EOY20478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase MEITMKESTMVCPAEETPNQRLWLSNLDLVVTVYHMSTVYFYKPNGSSDFFDTKVLKESLSKILVPFYPVAGRLGYDENGRLEIICNAKGVLFIVAETTSIMDDLVQDFTDGSKVPQLLPKIDYSGGISSYPLLGLQVTTFKCGGISLGVSFEHTLADGSSGLHFINSWANTVRGLSPSIAPFLDRTLLRARNPLILKFRHVEFEPSPRLQTMFSTLESQVSPKPSIVSAFKITADQLNALKAKINENSNSNTKYSTYRILSAHIWRCATKARDLLDDQQLKLNLPIDGRNRLRPPLPPGYFGIVIFFAALFTLAGDLLSESFIDTVKRIHEILKEMDN >EOY20480 pep supercontig:Theobroma_cacao_20110822:scaffold_37:21260:25951:-1 gene:TCM_046088 transcript:EOY20480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase MEITMKESTMVCPAEETPNQRLWLSNLDLVVTVYHMSTVYFYKPNGSSDFFDTKVLKESLSKILVPFYPVAGRLGYDENGRLEIICNAKGVLFIVAETTSIMDDLVQDFTDGSKVPQLLPKIDYSGGISSYPLLGLQQLKLNMPIDGRNRLHPPLPPGYFGNVIFFAALFTRAGDLLSESFIDTVKRIHEILKEMDNEYLRSGIDYIERAPDIEAISRGPQTLR >EOY20481 pep supercontig:Theobroma_cacao_20110822:scaffold_37:64466:65421:1 gene:TCM_046095 transcript:EOY20481 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H MVKDVCAKFKIKHHNSTTYRPKMNGAVEAANKNIKKIVEKITEVYKDWHEKLPFALHAYRTSVRTSTGATPYSLVYGAEAVLPVEVEIPSLRVLMETKLEDAEWVRSRYEQLNLIEEKRLTALCHGQMYQRRMMRAYEKKVHPRQFREGELVLKRILPNQTDFRGKWMPNWEGPYVVKKAFSGGALILADMDGGDLPNPINADAVKKYYA >EOY20479 pep supercontig:Theobroma_cacao_20110822:scaffold_37:14208:16681:-1 gene:TCM_046087 transcript:EOY20479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase MEIAVKESTMVCPAEETPSRKLWVSNLDLVMTIYHISTVYCYRPTGSSDFFDTKVLKDSLSKILVPFYPIAGRLGYDENGRLEIICNAKGVLFMEVETTSIMDDLVQDFTDGSKVPQLVPKMDYSGGISSYPLLGLQEQVTTFKCGGISLGVSFDHTLTDGSSGLHFINSWANTVRGLSPSIVPFLDRTLLRARNPPTLKFRHVEFKPSPPLQIMFSTSESQVSPKPSIVSIFRITADQLNALKAKVNENSNSNTKYSTYSILTAHIWCCATKARDLLEDQQLKLNMSIDGRNRLRPPLPPGYFGNVISIAALFTLAGDLLLESFIDTVKRIHKILKEMDNEYLRSGIDYIEMDNEYLKLFKQIQISF >EOY20477 pep supercontig:Theobroma_cacao_20110822:scaffold_37:126:11161:-1 gene:TCM_046085 transcript:EOY20477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase MDLVVTVYHMSTIYFYKPNGSFDFFETKELKESLSKILVPFYAIAGRWGYDENGRLETICNANGVLFIEVETTSIMDDFVQDFTDGSKFLN >EOY25488 pep chromosome:Theobroma_cacao_20110822:6:229665:232214:-1 gene:TCM_026904 transcript:EOY25488 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Domain of unknown function KxDL (InterPro:IPR019371); Has 135 Blast hits to 135 proteins in 54 species: Archae - 0; Bacteria - 0; Metazoa - 106; Fungi - 0; Plants - 26; Viruses - 0; Other Eukaryotes - 3 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G29130) TAIR;Acc:AT3G29130] MEESEKESIRAASKEVSRQFKTLIDTNDLDSLKHLQHLILGRLQDSNAVLSHFNEYSEHCFAEVSSDFSRNTRLLKSMKSDLNYIFQKLSIGFTFRHIAIFVPDLDYGA >EOY25487 pep chromosome:Theobroma_cacao_20110822:6:229615:232220:-1 gene:TCM_026904 transcript:EOY25487 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Domain of unknown function KxDL (InterPro:IPR019371); Has 135 Blast hits to 135 proteins in 54 species: Archae - 0; Bacteria - 0; Metazoa - 106; Fungi - 0; Plants - 26; Viruses - 0; Other Eukaryotes - 3 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G29130) TAIR;Acc:AT3G29130] MEESEKESIRAASKEVSRQFKTLIDTNDLDSLKHLQHLILGRLQDSNAVLSHFNEYSEHCFAEVSSDFSRNTRLLKSMKSDLNYIFQKLRSMKEKIMATYPDAFPDELTREEFDQRPDLQVPQ >EOY26180 pep chromosome:Theobroma_cacao_20110822:6:5308285:5313996:1 gene:TCM_027599 transcript:EOY26180 gene_biotype:protein_coding transcript_biotype:protein_coding description:High chlorophyll fluorescence phenotype 173 protein isoform 2 MSAATATTAMSASTGLGHGFNSSPIWLKWQPSPCQRSTFLILRAASSPNTGKGNKKKPKAKKTSPTPTPITTTTTTTTSTESISQDQPQQQQQQVSLSLDDVNPVGLGRKSRQIFDEVWRKFSGLGQISRTTRVDDREALDALLIREGPMCEFAIPGAQNTTVLVVGATSRIGRIVVRKLMLRGYTVKALVRKADQAVLDMLPRSVEIVIGDVGEPSTLQAAVEGCNKIIYCATARSTITGDLYRVDHQGVLNLTKALQDYNNKLAQIRAGKSSKSKLLLAKFKSEDSLNGWEIRQGTYFQDVIASKYDGGMDAKFEYTETGQAVFSGYVFTRGGYVELSKKFSLPLGCTLDRNEGLVLSLGGNGRSYVVILEAGPSADTTQSKLYFARINTKVGFCRVRVPFSSFRPVKLDDPPLDPFLVHTLTIRFEPRRQRPVEGPAGMKQDPRSFKLILEYIKALPTGQETDFVLVSCTGLGVEPNRREQVLKAKRAGEDSLRRSGLGYTIIRPGPLKPKYVLNQSLVLTGGTWWPTCSYI >EOY26181 pep chromosome:Theobroma_cacao_20110822:6:5308173:5314963:1 gene:TCM_027599 transcript:EOY26181 gene_biotype:protein_coding transcript_biotype:protein_coding description:High chlorophyll fluorescence phenotype 173 protein isoform 2 MSAATATTAMSASTGLGHGFNSSPIWLKWQPSPCQRSTFLILRAASSPNTGKGNKKKPKAKKTSPTPTPITTTTTTTTSTESISQDQPQQQQQQVSLSLDDVNPVGLGRKSRQIFDEVWRKFSGLGQISRTTRVDDREALDALLIREGPMCEFAIPGAQNTTVLVVGATSRIGRIVVRKLMLRGYTVKALVRKADQAVLDMLPRSVEIVIGDVGEPSTLQAAVEGCNKIIYCATARSTITGDLYRVDHQGVLNLTKALQDYNNKLAQIRAGKSSKSKLLLAKFKSEDSLNGWEIRQGTYFQDVIASKYDGGMDAKFEYTETGQAVFSGYVFTRGGYVELSKKFSLPLGCTLDRNEGLVLSLGGNGRSYVVILEAGPSADTTQSKLYFARINTKVGFCRVRVPFSSFRPVKLDDPPLDPFLVHTLTIRFEPRRQRPVEGPAGMKQDPRSFKLILEYIKALPTGQETDFVLVSCTGLGVEPNRREQVLKAKRAGEDSLRRSGLGYTIIRPGPLKPKYVLNQSLVLTGGTWWPTCSYI >EOY26182 pep chromosome:Theobroma_cacao_20110822:6:5307574:5314453:1 gene:TCM_027599 transcript:EOY26182 gene_biotype:protein_coding transcript_biotype:protein_coding description:High chlorophyll fluorescence phenotype 173 protein isoform 2 MSAATATTAMSASTGLGHGFNSSPIWLKWQPSPCQRSTFLILRAASSPNTGKGNKKKPKAKKTSPTPTPITTTTTTTTSTESISQDQPQQQQQQVSLSLDDVNPVGLGRKSRQIFDEVWRKFSGLGQISRTTRVDDREALDALLIREGPMCEFAIPGAQNTTVLVVGATSRIGRIVVRKLMLRGYTVKALVRKADQAVLDMLPRSVEIVIGDVGEPSTLQAAVEGCNKIIYCATARSTITGDLYRVDHQGVLNLTKALQDYNNKLAQIRAGKSSKSKLLLAKFKSEDSLNGWEIRQGTYFQDVIASKYDGGMDAKFEYTETGQAVFSGYVFTRGGYVELSKKFSLPLGCTLDRNEGLVLSLGGNGRSYVVILEAGPSADTTQSKLYFARINTKVGFCRVRVPFSSFRPVKLDDPPLDPFLVHTLTIRFEPRRQRPVEGPAGMKQDPRSFKLILEYIKALPTGQETDFVLVSCTGLGVEPNRREQVLKAKRAGEDSLRRSGLGYTIIRPGPLKRRNLVANVLLYLIKETGFLRALVVLMWLISA >EOY26179 pep chromosome:Theobroma_cacao_20110822:6:5308122:5314952:1 gene:TCM_027599 transcript:EOY26179 gene_biotype:protein_coding transcript_biotype:protein_coding description:High chlorophyll fluorescence phenotype 173 protein isoform 2 MSAATATTAMSASTGLGHGFNSSPIWLKWQPSPCQRSTFLILRAASSPNTGKGNKKKPKAKKTSPTPTPITTTTTTTTSTESISQDQPQQQQQQVSLSLDDVNPVGLGRKSRQIFDEVWRKFSGLGQISRTTRVDDREALDALLIREGPMCEFAIPGAQNTTVLVVGATSRIGRIVVRKLMLRGYTVKALVRKADQAVLDMLPRSVEIVIGDVGEPSTLQAAVEGCNKIIYCATARSTITGDLYRVDHQGVLNLTKALQDYNNKLAQIRAGKSSKSKLLLAKFKSEDSLNGWEIRQGTYFQDVIASKYDGGMDAKFEYTETGQAVFSGYVFTRGGYVELSKKFSLPLGCTLDRNEGLVLSLGGNGRSYVVILEAGPSADTTQSKLYFARINTKVGFCRVRVPFSSFRPVKLDDPPLDPFLVHTLTIRFEPRRQRPVEGPAGMKQDPRSFKLILEYIKALPTGQETDFVLVSCTGLGVEPNRREQVLKAKRAGEDSLRRSGLGYTIIRPGPLKEEPGGQRALIFDQGNRISQGISCVDVADICVKALHDPTARNKSFDVCHEYVAEEGRELYELVAHLPDKANNYLTPALSVLEKNT >EOY27625 pep chromosome:Theobroma_cacao_20110822:6:22548725:22554173:-1 gene:TCM_029422 transcript:EOY27625 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 23 isoform 3 MAARTSAGTRTRVGKYELGRTLGEGTFAKVKFARNIETEQNVAIKILDKEKVLKHKMIGQIKREISTMKLIRHPNVIHMYEVMASKTKIYIVLEFVTGGELFDKIASRGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDANGVLKISDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGARADLWSCGVILFVLMAGYLPFEDSNLTALYKKIFKADFNCPPWFSSSAKKLIKRILDPNPLTIIRVFHYLLGLVKRETRFTSKCPANEIISKIEEAAMPLGFDVKKNNYKMKLLGEKTGRKGHLAVTTEPCLFPISDFSGGSFT >EOY27626 pep chromosome:Theobroma_cacao_20110822:6:22548317:22553633:-1 gene:TCM_029422 transcript:EOY27626 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 23 isoform 3 MAARTSAGTRTRVGKYELGRTLGEGTFAKVKFARNIETEQNVAIKILDKEKVLKHKMIGQIKREISTMKLIRHPNVIHMYEVMASKTKIYIVLEFVTGGELFDKIASRGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDANGVLKISDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGARADLWSCGVILFVLMAGYLPFEDSNLTALYKKIFKADFNCPPWFSSSAKKLIKRILDPNPLTRITIPEIIENEWFKKGYIPPRFEQADVSLDDVDVIFNESGDTQNLVVERREEGPRVPATMNAFELISTSQGLNLSSLFEKQMGLVKRETRFTSKCPANEIISKIEEAAMPLGFDVKKNNYKMKLLGEKTGRKGHLAVTTEIFQVAPSLCMVELRKSGGDTLEFHKFYNNLSTGLKDIVWKTAEEGKVEEKDG >EOY27622 pep chromosome:Theobroma_cacao_20110822:6:22548714:22553633:-1 gene:TCM_029422 transcript:EOY27622 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 23 isoform 3 MAARTSAGTRTRVGKYELGRTLGEGTFAKVKFARNIETEQNVAIKILDKEKVLKHKMIGQIKREISTMKLIRHPNVIHMYEVMASKTKIYIVLEFVTGGELFDKIASRGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDANGVLKISDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGARADLWSCGVILFVLMAGYLPFEDSNLTALYKKIFKADFNCPPWFSSSAKKLIKRILDPNPLTRITIPEIIENEWFKKGYIPPRFEQADVSLDDVDVIFNESGDTQNLVVERREEGPRVPATMNAFELISTSQGLNLSSLFEKQMGLVKRETRFTSKCPANEIISKIEEAAMPLGFDVKKNNYKMKLLGEKTGRKGHLAVTTEPCLFPISDFSGGSFTLHG >EOY27621 pep chromosome:Theobroma_cacao_20110822:6:22547439:22554257:-1 gene:TCM_029422 transcript:EOY27621 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 23 isoform 3 MAARTSAGTRTRVGKYELGRTLGEGTFAKVKFARNIETEQNVAIKILDKEKVLKHKMIGQIKREISTMKLIRHPNVIHMYEVMASKTKIYIVLEFVTGGELFDKIASRGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDANGVLKISDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGARADLWSCGVILFVLMAGYLPFEDSNLTALYKKIFKADFNCPPWFSSSAKKLIKRILDPNPLTRITIPEIIENEWFKKGYIPPRFEQADVSLDDVDVIFNESGDTQNLVVERREEGPRVPATMNAFELISTSQGLNLSSLFEKQMGLVKRETRFTSKCPANEIISKIEEAAMPLGFDVKKNNYKMKLLGEKTGRKGHLAVTTEIFQVAPSLCMVELRKSGGDTLEFHKFYNNLSTGLKDIVWKTAEEGKVEEKDGAAAGSAPSR >EOY27624 pep chromosome:Theobroma_cacao_20110822:6:22547439:22554257:-1 gene:TCM_029422 transcript:EOY27624 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 23 isoform 3 MAARTSAGTRTRVGKYELGRTLGEGTFAKVKFARNIETEQNVAIKILDKEKVLKHKMIGQIKREISTMKLIRHPNVIHMYEASRGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDANGVLKISDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGARADLWSCGVILFVLMAGYLPFEDSNLTALYKKIFKADFNCPPWFSSSAKKLIKRILDPNPLTRITIPEIIENEWFKKGYIPPRFEQADVSLDDVDVIFNESGDTQNLVVERREEGPRVPATMNAFELISTSQGLNLSSLFEKQMGLVKRETRFTSKCPANEIISKIEEAAMPLGFDVKKNNYKMKLLGEKTGRKGHLAVTTEIFQVAPSLCMVELRKSGGDTLEFHKFYNNLSTGLKDIVWKTAEEGKVEEKDGAAAGSAPSR >EOY27623 pep chromosome:Theobroma_cacao_20110822:6:22547439:22554257:-1 gene:TCM_029422 transcript:EOY27623 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 23 isoform 3 MAARTSAGTRTRVGKYELGRTLGEGTFAKVKFARNIETEQNVAIKILDKEKVLKHKMIGQQIKREISTMKLIRHPNVIHMYEVMASKTKIYIVLEFVTGGELFDKIASRGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDANGVLKISDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGARADLWSCGVILFVLMAGYLPFEDSNLTALYKKIFKADFNCPPWFSSSAKKLIKRILDPNPLTRITIPEIIENEWFKKGYIPPRFEQADVSLDDVDVIFNESGDTQNLVVERREEGPRVPATMNAFELISTSQGLNLSSLFEKQMGLVKRETRFTSKCPANEIISKIEEAAMPLGFDVKKNNYKMKLLGEKTGRKGHLAVTTEIFQVAPSLCMVELRKSGGDTLEFHKFYNNLSTGLKDIVWKTAEEGKVEEKDGAAAGSAPSR >EOY26396 pep chromosome:Theobroma_cacao_20110822:6:9196511:9214824:-1 gene:TCM_027964 transcript:EOY26396 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein enga, putative MSHYLSAQKRRHLVFTLLRRTATYRGTTSSAHPIVSTFGSFVDAILFKSHGIAHLRNSFRSSMQATMRFCTLSVSGDDACAKLEKSQLHSKNTKEAAFVSKKNIDFTKIDINLLPTVMIVGRPNVGKSALFNRLIRRREALVYNTPDDHVTRDIREGLAKLGDLRFRVLDSAGLETEASSGSILSRTAGMTANVLARSQFAIFLIDVRTGLHPLDKEVGKWLRRHAPGVNPIVAMNKSESLHYDIDSFAEAAMEAQILGFGEPIAISAETGLGMVALYEALRPIFEDYMVRVLDDNSSQDENFKPNSDSCKVDESKLPLQLAIVGRPNVGKSTLLNILLQEDRVLVGPEAGLTRDSVRAEFQYQGRTVYLVDTAGWLQRADRQKGPASLSVMQSRKNLMRAHVVALVLDAEEIAKARRSMTHAEVVIARRAVEEGRGLVVIVNKMDLLKGKRNSTLYKKVVEAVPQEIQMVMPQVTGIPVIFISAIEGRGRTAVMRQVIDTYDKWCLRLSTARLNRWLRKVMSRHSWKDQGAQTKIKYFTQVKARPPTFVAFLSGKTMLSDTYVKFLTKSLKEDFDLGGIPIRIMQRSVPRVSGGSGSKSGQSIGRGVGRILSDKRSVDA >EOY26283 pep chromosome:Theobroma_cacao_20110822:6:7054741:7066755:-1 gene:TCM_027764 transcript:EOY26283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio, putative isoform 2 MATESPMRMIESSGATKWHSSKDALVFGLPLKDMEVEELRLLLKEQRIHGDQTDTVPNRSGSAPPSMEGSFAALGNLLAQQNNSLTSSLASLSSVIENCESEEQLRSDPAYFAYYSSNINLNPRLPPPLISRENRRLARHIGGFGNNWRARSIDDSGSGSLMFYQSSLSTHGEESEDDRSPRQASDKWPEDSTVSLPEQDSASLTGRHKSLVDLIQEDFPRTPSPVYSQSRSSGITATEETIDHDVHAISSNFPSINASEVPDSNFGSTDVCMDTSALDAHTIALISQNDSLETSIPGQPCSEQTGRLPGPQKEDTSLKDASLDADASDNVQQSVVSTVESRMRKKQEAQQSHGRNIPQHYSSIQPGSPHQAQGVAAQGFSQGLSHLYSHPKFSSPESQPLLHSSGLTPPMYATAAAYVTSGNPFYPNFQPSGVYGPQYDVGGYAVSPALFPPFMPGYPSHSAIPLTFDSTVSGSSFNNRTSGASTGETTPHSSGLQHLGHFYGQHGLMLPPSLVDPLHMQYLQHPFNNVFGASVQRGHLASTGVTGGQVDSFVQKESTVAAYIGDPKLQPPINGSLSIPNPGKVGATGGSYGGHPSMGVIAQYPSSPLASPLMPSSPVGGMSPLSRRNEIRFPPKAVPYSGWHGQRGFNSFEDSKRHSFLEELKSSNARKFEISDIAGRIVEFSVDQHGSRFIQQKLEHCSVEDKESVFKEVLPHASRLMTDVFGNYVIQKFFEHGSSEQRKELADQLVGNMLNFSLQMYGCRVIQKALEVIELDQKTQLVQELDGHIMKCVRDQNGNHVIQKCIECVPTYRIGFIISAFRGQVATLSTHPYGCRVIQRVLEHCSDEMQSQCIVDEILDAAYDLAQDQYGNYVTQHVLERGKPHERSHIISKLTGKIVQMSQHKYASNVVEKCLEYGDSTERELLVEEIIGQSDENDTLLILDISNDRQ >EOY26285 pep chromosome:Theobroma_cacao_20110822:6:7057742:7066755:-1 gene:TCM_027764 transcript:EOY26285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio, putative isoform 2 MATESPMRMIESSGATKWHSSKDALVFGLPLKDMEVEELRLLLKEQRIHGDQTDTVPNRSGSAPPSMEGSFAALGNLLAQQNNSLTSSLASLSSVIENCESEEQLRSDPAYFAYYSSNINLNPRLPPPLISRENRRLARHIGGFGNNWRARSIDDSGSGSLMFYQSSLSTHGEESEDDRSPRQASDKWPEDSTVSLPEQDSASLTGRHKSLVDLIQEDFPRTPSPVYSQSRSSGITATEETIDHDVHAISSNFPSINASEVPDSNFGSTDVCMDTSALDAHTIALISQNDSLETSIPGQPCSEQTGRLPGPQKEDTSLKDASLDADASDNVQQSVVSTVESRMRKKQEAQQSHGRNIPQHYSSIQPGSPHQAQGVAAQGFSQGLSHLYSHPKFSSPESQPLLHSSGLTPPMYATAAAYVTSGNPFYPNFQPSGVYGPQYDVGGYAVSPALFPPFMPGYPSHSAIPLTFDSTVSGSSFNNRTSGASTGETTPHSSGLQHLGHFYGQHGLMLPPSLVDPLHMQYLQHPFNNVFGASVQRGHLASTGVTGGQVDSFVQKESTVAAYIGDPKLQPPINGSLSIPNPGKVGATGGSYGGHPSMGVIAQYPSSPLASPLMPSSPVGGMSPLSRRNEIRFPPKAVPYSGWHGQRGFNSFEDSKRHSFLEELKSSNARKFEISDIAGRIVEFSVDQHGSRFIQQKLEHCSVEDKESVFKEVLPHASRLMTDVFGNYVIQKFFEHGSSEQRKELADQLVGNMLNFSLQMYGCRVIQKALEVIELDQKTQLVQELDGHIMKCVRDQNGNHVIQKCIECVPTYRIGFIISAFRGQVATLSTHPYGCRVIQVILHFVGFLCFWLNIRKHVVLSALFL >EOY26284 pep chromosome:Theobroma_cacao_20110822:6:7056802:7066755:-1 gene:TCM_027764 transcript:EOY26284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio, putative isoform 2 MATESPMRMIESSGATKWHSSKDALVFGLPLKDMEVEELRLLLKEQRIHGDQTDTVPNRSGSAPPSMEGSFAALGNLLAQQNNSLTSSLASLSSVIENCESEEQLRSDPAYFAYYSSNINLNPRLPPPLISRENRRLARHIGGFGNNWRARSIDDSGSGSLMFYQSSLSTHGEESEDDRSPRQASDKWPEDSTVSLPEQDSASLTGRHKSLVDLIQEDFPRTPSPVYSQSRSSGITATEETIDHDVHAISSNFPSINASEVPDSNFGSTDVCMDTSALDAHTIALISQNDSLETSIPGQPCSEQTGRLPGPQKEDTSLKDASLDADASDNVQQSVVSTVESRMRKKQEAQQSHGRNIPQHYSSIQPGSPHQAQGVAAQGFSQGLSHLYSHPKFSSPESQPLLHSSGLTPPMYATAAAYVTSGNPFYPNFQPSGVYGPQYDVGGYAVSPALFPPFMPGYPSHSAIPLTFDSTVSGSSFNNRTSGASTGETTPHSSGLQHLGHFYGQHGLMLPPSLVDPLHMQYLQHPFNNVFGASVQRGHLASTGVTGGQVDSFVQKESTVAAYIGDPKLQPPINGSLSIPNPGKVGATGGSYGGHPSMGVIAQYPSSPLASPLMPSSPVGGMSPLSRRNEIRFPPKAVPYSGWHGQRGFNSFEDSKRHSFLEELKSSNARKFEISDIAGRIVEFSVDQHGSRFIQQKLEHCSVEDKESVFKEVLPHASRLMTDVFGNYVIQKFFEHGSSEQRKELADQLVGNMLNFSLQMYGCRVIQKALEVIELDQKTQLVQELDGHIMKCVRDQNGNHVIQKCIECVPTYRIGFIISAFRGQVATLSTHPYGCRVIQRVLEHCSDEMQSQCIVDEILDAAYDLAQDQYGNYVTQVK >EOY26286 pep chromosome:Theobroma_cacao_20110822:6:7059610:7066621:-1 gene:TCM_027764 transcript:EOY26286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio, putative isoform 2 MATESPMRMIESSGATKWHSSKDALVFGLPLKDMEVEELRLLLKEQRIHGDQTDTVPNRSGSAPPSMEGSFAALGNLLAQQNNSLTSSLASLSSVIENCESEEQLRSDPAYFAYYSSNINLNPRLPPPLISRENRRLARHIGGFGNNWRARSIDDSGSGSLMFYQSSLSTHGEESEDDRSPRQASDKWPEDSTVSLPEQDSASLTGRHKSLVDLIQEDFPRTPSPVYSQSRSSGITATEETIDHDVHAISSNFPSINASEVPDSNFGSTDVCMDTSALDAHTIALISQNDSLETSIPGQPCSEQTGRLPGPQKEDTSLKDASLDADASDNVQQSVVSTVESRMRKKQEAQQSHGRNIPQHYSSIQPGSPHQAQGVAAQGFSQGLSHLYSHPKFSSPESQPLLHSSGLTPPMYATAAAYVTSGNPFYPNFQPSGVYGPQYDVGGYAVSPALFPPFMPGYPSHSAIPLTFDSTVSGSSFNNRTSGASTGETTPHSSGLQHLGHFYGQHGLMLPPSLVDPLHMQYLQHPFNNVFGASVQRGHLASTGVTGGQVDSFVQKESTVAAYIGDPKLQPPINGSLSIPNPGKVGATGGSYGGHPSMGVIAQYPSSPLASPLMPSSPVGGMSPLSRRNEIRFPPKAVPYSGWHGQRGFNSFEDSKRHSFLEELKSSNARKFEISDIAGRIVEFSVDQHGSRFIQQKLEHCSVEDKESVFKEVLPHASRLMTDVFGNYVIQK >EOY26282 pep chromosome:Theobroma_cacao_20110822:6:7053607:7068213:-1 gene:TCM_027764 transcript:EOY26282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio, putative isoform 2 MATESPMRMIESSGATKWHSSKDALVFGLPLKDMEVEELRLLLKEQRIHGDQTDTVPNRSGSAPPSMEGSFAALGNLLAQQNNSLTSSLASLSSVIENCESEEQLRSDPAYFAYYSSNINLNPRLPPPLISRENRRLARHIGGFGNNWRARSIDDSGSGSLMFYQSSLSTHGEESEDDRSPRQASDKWPEDSTVSLPEQDSASLTGRHKSLVDLIQEDFPRTPSPVYSQSRSSGITATEETIDHDVHAISSNFPSINASEVPDSNFGSTDVCMDTSALDAHTIALISQNDSLETSIPGQPCSEQTGRLPGPQKEDTSLKDASLDADASDNVQQSVVSTVESRMRKKQEAQQSHGRNIPQHYSSIQPGSPHQAQGVAAQGFSQGLSHLYSHPKFSSPESQPLLHSSGLTPPMYATAAAYVTSGNPFYPNFQPSGVYGPQYDVGGYAVSPALFPPFMPGYPSHSAIPLTFDSTVSGSSFNNRTSGASTGETTPHSSGLQHLGHFYGQHGLMLPPSLVDPLHMQYLQHPFNNVFGASVQRGHLASTGVTGGQVDSFVQKESTVAAYIGDPKLQPPINGSLSIPNPGKVGATGGSYGGHPSMGVIAQYPSSPLASPLMPSSPVGGMSPLSRRNEIRFPPKAVPYSGWHGQRGFNSFEDSKRHSFLEELKSSNARKFEISDIAGRIVEFSVDQHGSRFIQQKLEHCSVEDKESVFKEVLPHASRLMTDVFGNYVIQKFFEHGSSEQRKELADQLVGNMLNFSLQMYGCRVIQKALEVIELDQKTQLVQELDGHIMKCVRDQNGNHVIQKCIECVPTYRIGFIISAFRGQVATLSTHPYGCRVIQRVLEHCSDEMQSQCIVDEILDAAYDLAQDQYGNYVTQHVLERGKPHERSHIISKLTGKIVQMSQHKYASNVVEKCLEYGDSTERELLVEEIIGQSDENDTLLTMMKDQFANYVVQKILDISNDRQREVLLGRVRVHLNALKKYTYGKHIAARFEQLFGEESDESGH >EOY27647 pep chromosome:Theobroma_cacao_20110822:6:22611343:22612786:-1 gene:TCM_029439 transcript:EOY27647 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase arkadia-A, putative MDTDLEKLQNFPLLKFTRNSSISPDPEIEDEPRYTSLKDVILSSSPPPCRSINQEGNEFDYSKIIIRNRLVKSAASAYLQSAAILANRNENCLLNLWGKLKNNVASSFSCWNVYFRDPFEACFGAIYQFLACTLGGAWGRIFGYQETLLNER >EOY28933 pep chromosome:Theobroma_cacao_20110822:6:26912183:26913415:1 gene:TCM_030397 transcript:EOY28933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRESINDPTIVFQSSIALLQERFRQLQRMKEMREERELLRKHAEPKQCNPTLPYEPSRLFFHFLPPRSPPPQVPFSIWSGSQHRCSGTDSQSTEAPLFESLLPTASKIPDSMHVSQNKFDDSDCDDVDTSLHL >EOY29060 pep chromosome:Theobroma_cacao_20110822:6:27221416:27227884:1 gene:TCM_030480 transcript:EOY29060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein MQKSWLWPGLWGWWVCLCVCSASLDFFFPFDFGLQTLSEGRNLTGWVAPRSASSSDDQQMYQPKTVPGSSLVRNNSIVHGQHLDCGASQMDPISGGNSLTNNPNLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKADKKETGDMLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLAEAPGSGASVPALGDNGLESDKKTDPATPAPTSESPLQDKAAKERAPAKSHSIDESFSSHHEPLTPDSGCHVGSPAGSPKGERLMKKQRVSMAAAFAKPEVVLPHQILESSISSSFQQSHSVFMTREQFDPSSGISMGNEDQLEKASGTEL >EOY27630 pep chromosome:Theobroma_cacao_20110822:6:22569029:22569500:-1 gene:TCM_029426 transcript:EOY27630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGRPAPFALTGVLLSVVALVDTAHVTQHSDGCSNFVVSGSTKQQQIKRTVPSAIRFRLRILQPPS >EOY28145 pep chromosome:Theobroma_cacao_20110822:6:24333935:24339990:1 gene:TCM_029792 transcript:EOY28145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein / auxin-responsive factor AUX/IAA-related isoform 4 EWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAAQIKNGTSFPSLCSQQLNRSNFADVVHAISMKSVFSIYYNPRASSSEFIIPVHKFWKSLDHSFAVGMRFKMRFETEDAAERRYTGLVTGISDMDPVRWPGSKWRCLLVRWDDIDANRHSRVSPWEIELSGTISSSNNLLTPGVKRNRIGLPSGKPEFMVPDGIGASDFGEPLRFQKVLQGQEILGFNTLYDGADGQNLHRSEIRRCFPGSNGSGIVAIGNVGRDPLGNSEISYRGVGFGESFRFHKVLQGQEIYVSPPYIRGPTTNDTQENDGLGVRDAGQFSGTRSGWSSLMQSYNTHSHIRPSAPSAQVSSPSSVLMFQQASNPIPNINPIYSVNNQEKEQGVDNRSSFRAPEMYGGKLLQSSTGECSSRGRHHGTFDSFGHSNDSVQLGDSQPLAAQPTFRTSQDIASSCKSSCRLFGFSLTEGSQDANKEDNMVKATSSLGPRAFLPCIGEQFHPKPPAVTNTVGSNCTKVSNLYAVRDMLFDIAL >EOY28144 pep chromosome:Theobroma_cacao_20110822:6:24335545:24340055:1 gene:TCM_029792 transcript:EOY28144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein / auxin-responsive factor AUX/IAA-related isoform 4 EWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAAQIKNGTSFPSLCSQQLNRSNFADVVHAISMKSVFSIYYNPRASSSEFIIPVHKFWKSLDHSFAVGMRFKMRFETEDAAERRYTGLVTGISDMDPVRWPGSKWRCLLVRWDDIDANRHSRVSPWEIELSGTISSSNNLLTPGVKRNRIGLPSGKPEFMVPDGIGASDFGEPLRFQKVLQGQEILGFNTLYDGADGQNLHRSEIRRCFPGSNGSGIVAIGNVGRDPLGNSEISYRGVGFGESFRFHKVLQGQEIYVSPPYIRGPTTNDTQENDGLGVRDAGQFSGTRSGWSSLMQSYNTHSHIRPSAPSAQVSSPSSVLMFQQASNPIPNINPIYSVNNQEKEQGVDNRSSFRAPEMYGGKLLQSSTGECSSRGRHHGTFDSFGHSNDSVQLGDSQPLAAQPTFRTSQDIASSCKSSCRLFGFSLTEGSQDANKEDNMVKATSSLGPRAFLPCIGEQFHPKPPAVTNTVGSNCTKGILQRCLKNYIY >EOY28142 pep chromosome:Theobroma_cacao_20110822:6:24333935:24339990:1 gene:TCM_029792 transcript:EOY28142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein / auxin-responsive factor AUX/IAA-related isoform 4 MGGLIDLNTTEDDETPSSGSLSPSSSSTSVLSASGISAPGSASSSSVCLELWHACAGPLISLPKRGSVVVYFPQGHLEQVSDFSGVAAAYDLPPHVFCRVVDVKLHAEAATDEVYAQVSLVPESEQTEQKLREGKIEAGGEEEDVEADIKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQQRPSQELVAKDLHGLEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAAQIKNGTSFPSLCSQQLNRSNFADVVHAISMKSVFSIYYNPSRASSSEFIIPVHKFWKSLDHSFAVGMRFKMRFETEDAAERRYTGLVTGISDMDPVRWPGSKWRCLLVRWDDIDANRHSRVSPWEIELSGTISSSNNLLTPGVKRNRIGLPSGKPEFMVPDGIGASDFGEPLRFQKVLQGQEILGFNTLYDGADGQNLHRSEIRRCFPGSNGSGIVAIGNVGRDPLGNSEISYRGVGFGESFRFHKVLQGQEIYVSPPYIRGPTTNDTQENDGLGVRDAGQFSGTRSGWSSLMQSYNTHSHIRPSAPSAQVSSPSSVLMFQQASNPIPNINPIYSVNNQEKEQGVDNRSSFRAPEMYGGKLLQSSTGECSSRGRHHGTFDSFGHSNDSVQLGDSQPLAAQPTFRTSQDIASSCKSSCRLFGFSLTEGSQDANKEDNMVKATSSLGPRAFLPCIGEQFHPKPPAVTNTVGSNCTKGILQRCLKNYIY >EOY28143 pep chromosome:Theobroma_cacao_20110822:6:24333935:24339990:1 gene:TCM_029792 transcript:EOY28143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein / auxin-responsive factor AUX/IAA-related isoform 4 MGGLIDLNTTEDDETPSSGSLSPSSSSTSVLSASGISAPGSASSSSVCLELWHACAGPLISLPKRGSVVVYFPQGHLEQVSDFSGVAAAYDLPPHVFCRVVDVKLHAEAATDEVYAQVSLVPESEQTEQKLREGKIEAGGEEEDVEADIKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQQRPSQELVAKDLHGLEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAAQIKNGTSFPSLCSQQLNRSNFADVVHAISMKSVFSIYYNPRASSSEFIIPVHKFWKSLDHSFAVGMRFKMRFETEDAAERRYTGLVTGISDMDPVRWPGSKWRCLLVRWDDIDANRHSRVSPWEIELSGTISSSNNLLTPGVKRNRIGLPSGKPEFMVPDGIGASDFGEPLRFQKVLQGQEILGFNTLYDGADGQNLHRSEIRRCFPGSNGSGIVAIGNVGRDPLGNSEISYRGVGFGESFRFHKVLQGQEIYVSPPYIRGPTTNDTQENDGLGVRDAGQFSGTRSGWSSLMQSYNTHSHIRPSAPSAQVSSPSSVLMFQQASNPIPNINPIYSVNNQEKEQGVDNRSSFRAPEMYGGKLLQSSTGECSSRGRHHGTFDSFGHSNDSVQLGDSQPLAAQPTFRTSQDIASSCKSSCRLFGFSLTEGSQDANKEDNMVKATSSLGPRAFLPCIGEQFHPKPPAVTNTVGSNCTKVSNLYAVRDMLFDIAL >EOY28141 pep chromosome:Theobroma_cacao_20110822:6:24335223:24339023:1 gene:TCM_029792 transcript:EOY28141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein / auxin-responsive factor AUX/IAA-related isoform 4 MSKQISSQRRPTCSARPLRLLIPVHMEASLFRVELLRTASRPWIIISRGPHKSLLQKTFMAWNGGFDTFIGGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAAQIKNGTSFPSLCSQQLNRSNFADVVHAISMKSVFSIYYNPSRASSSEFIIPVHKFWKSLDHSFAVGMRFKMRFETEDAAERRYTGLVTGISDMDPVRWPGSKWRCLLVRWDDIDANRHSRVSPWEIELSGTISSSNNLLTPGVKRNRIGLPSGKPEFMVPDGIGASDFGEPLRFQKVLQGQEILGFNTLYDGADGQNLHRSEIRRCFPGSNGSGIVAIGNVGRDPLGNSEISYRGVGFGESFRFHKVLQGQEIYVSPPYIRGPTTNDTQENDGLGVRDAGQFSGTRSGWSSLMQSYNTHSHIRPSAPSAQVSSPSSVLMFQQASNPIPNINPIYSVNNQEKEQGVDNRSSFRAPEMYGGKLLQSSTGECSSRGRHHGTFDSFGHSNDSVQLGDSQPLAAQPTFRTSQDIASSCKSSCRLFGFSLTEGSQDANKEDNMVKATSSLGPRAFLPCIGEQFHPKPPAVTNTVGSNCTKVSNLYAVRDMLFDIAL >EOY28140 pep chromosome:Theobroma_cacao_20110822:6:24333391:24340545:1 gene:TCM_029792 transcript:EOY28140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein / auxin-responsive factor AUX/IAA-related isoform 4 MGGLIDLNTTEDDETPSSGSLSPSSSSTSVLSASGISAPGSASSSSVCLELWHACAGPLISLPKRGSVVVYFPQGHLEQVSDFSGVAAAYDLPPHVFCRVVDVKLHAEAATDEVYAQVSLVPESEQTEQKLREGKIEAGGEEEDVEADIKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQQRPSQELVAKDLHGLEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGIRRAAQIKNGTSFPSLCSQQLNRSNFADVVHAISMKSVFSIYYNPRASSSEFIIPVHKFWKSLDHSFAVGMRFKMRFETEDAAERRYTGLVTGISDMDPVRWPGSKWRCLLVRWDDIDANRHSRVSPWEIELSGTISSSNNLLTPGVKRNRIGLPSGKPEFMVPDGIGASDFGEPLRFQKVLQGQEILGFNTLYDGADGQNLHRSEIRRCFPGSNGSGIVAIGNVGRDPLGNSEISYRGVGFGESFRFHKVLQGQEIYVSPPYIRGPTTNDTQENDGLGVRDAGQFSGTRSGWSSLMQSYNTHSHIRPSAPSAQVSSPSSVLMFQQASNPIPNINPIYSVNNQEKEQGVDNRSSFRAPEMYGGKLLQSSTGECSSRGRHHGTFDSFGHSNDSVQLGDSQPLAAQPTFRTSQDIASSCKSSCRLFGFSLTEGSQDANKEDNMVKATSSLGPRAFLPCIGEQFHPKPPAVTNTVGSNCTKGILQRCLKNYIY >EOY28405 pep chromosome:Theobroma_cacao_20110822:6:25256382:25261374:1 gene:TCM_029981 transcript:EOY28405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein isoform 2 MQTRYMERSNSMAREKRGLDSSSGDEGPDRKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPAKLTANSSPKRIEGPDGRNLQVHFRSRLSLPLFTGGKVEGEQGAAIHIVLIDSNTRHVVTCGPESSVKLDVVVLEGDFNNEDDDNWTQEEFDSHVVKEREGKRPLLTGDLQVTLKDGVGTLGELTFTDNSSWIRSRKFRLGLKVASGSCEGIRIREAKTDAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLNKAGIFTVEDFLQLVVRDSQRLRNILGSGMSNKMWDVLVEHAKTCVLSGKLYVYYPDDIRTVGIVFNNIYELSGLIANGEYYAAESLSDNQKVYVDALVKKAYENWMHVVEYDGKSLLGSKEDDNAGASQANVPMDLQGYPNSINQQQTLPSLSVPVPSEQPPMDSGLNVGGYDDSMAARLSLQSQNVHLNAQTQLNGASFTLQNHLVSASQQVQLPGNDNELALGSSQSSMPDFHGVGTSNIPTYRGVEDFFSEEEIRMRSHEMLENEDMQHLLRIFNMGSHGHTSFNATEDGYPHSSAYMSTPSLNYGFDNEASRSSGKAVVGWLKLKAALRWGIFIRKKAAERRAHLVELDDS >EOY28404 pep chromosome:Theobroma_cacao_20110822:6:25256390:25261253:1 gene:TCM_029981 transcript:EOY28404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein isoform 2 MQTRYMERSNSMAREKRGLDSSSGDEGPDRKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPAKLTAKKCSSSPKRIEGPDGRNLQVHFRSRLSLPLFTGGKVEGEQGAAIHIVLIDSNTRHVVTCGPESSVKLDVVVLEGDFNNEDDDNWTQEEFDSHVVKEREGKRPLLTGDLQVTLKDGVGTLGELTFTDNSSWIRSRKFRLGLKVASGSCEGIRIREAKTDAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLNKAGIFTVEDFLQLVVRDSQRLRNILGSGMSNKMWDVLVEHAKTCVLSGKLYVYYPDDIRTVGIVFNNIYELSGLIANGEYYAAESLSDNQKVYVDALVKKAYENWMHVVEYDGKSLLGSKEDDNAGASQANVPMDLQGYPNSINQQQTLPSLSVPVPSEQPPMDSGLNVGGYDDSMAARLSLQSQNVHLNAQTQLNGASFTLQNHLVSASQQVQLPGNDNELALGSSQSSMPDFHGVGTSNIPTYRGVEDFFSEEEIRMRSHEMLENEDMQHLLRIFNMGSHGHTSFNATEDGYPHSSAYMSTPSLNYGFDNEASRSSGKAVVGWLKLKAALRWGIFIRKKAAERRAHLVELDDS >EOY27278 pep chromosome:Theobroma_cacao_20110822:6:21012654:21018409:1 gene:TCM_029158 transcript:EOY27278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 7B4 isoform 2 MGFARKQYEFLSEIGLSTSNLGCFVNGTWKGSGPVVSTVNPANNQIIAEVGEASIQDYEDGMQACNEAAKIWMQVPAPKRGDIVRQIGDSLRTKLQQLGRLVSLEMGKILPEGIGEVQEIIDMCDFAVGLSRQLNGSVIPSERPNHMMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCIVWKGAPTTPLVTIAMTKLVAGVLEKNNLPGAIFTSFCGGAEIGEAIAKDRRIPLVSFTGSSTVGLKVQQTVNARFGKCLLELSGNNAIIVMDDADVQLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYQRVLDQLLDVYKQVKIGDPLQRGTLLGPLHTSSSRKNFEKGIEIIKSQGGKILTGGGLIESEGNFVQPTIVEISPNADVVKEELFAPVLYVMKFKTLNEAIEINNSVPQGLSSSIFTRKPEVIFKWIG >EOY27279 pep chromosome:Theobroma_cacao_20110822:6:21012654:21019139:1 gene:TCM_029158 transcript:EOY27279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 7B4 isoform 2 MGFARKQYEFLSEIGLSTSNLGCFVNGTWKGSGPVVSTVNPANNQIIAEVGEASIQDYEDGMQACNEAAKIWMQVPAPKRGDIVRQIGDSLRTKLQQLGRLVSLEMGKILPEGIGEVQEIIDMCDFAVGLSRQLNGSVIPSERWNACIALVCGNCIVWKGAPTTPLVTIAMTKLVAGVLEKNNLPGAIFTSFCGGAEIGEAIAKDRRIPLVSFTGSSTVGLKVQQTVNARFGKCLLELSGNNAIIVMDDADVQLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYQRVLDQLLDVYKQVKIGDPLQRGTLLGPLHTSSSRKNFEKGIEIIKSQGGKILTGGGLIESEGNFVQPTIVEISPNADVVKEELFAPVLYVMKFKTLNEAIEINNSVPQGLSSSIFTRKPEVIFKWIGPLGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCKVRT >EOY27275 pep chromosome:Theobroma_cacao_20110822:6:21012654:21019139:1 gene:TCM_029158 transcript:EOY27275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 7B4 isoform 2 MGFARKQYEFLSEIGLSTSNLGCFVNGTWKGSGPVVSTVNPANNQIIAEVGEASIQDYEDGMQACNEAAKIWMQVPAPKRGDIVRQIGDSLRTKLQQLGRLVSLEMGKILPEGIGEVQEIIDMCDFAVGLSRQLNGSVIPSERPNHMMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCIVWKGAPTTPLVTIAMTKLVAGVLEKNNLPGAIFTSFCGGAEIGEAIAKDRRIPLVSFTGSSTVGLKVQQTVNARFGKCLLELSGNNAIIVMDDADVQLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYQRVLDQLLDVYKQVKIGDPLQRGTLLGPLHTSSSRKNFEKGIEIIKSQGGKILTGGGLIESEGNFVQPTIVEISPNADVVKEELFAPVLYVMKFKTLNEAIEINNSVPQGLSSSIFTRKPEVIFKWIGPLGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCKVRT >EOY27277 pep chromosome:Theobroma_cacao_20110822:6:21012653:21019113:1 gene:TCM_029158 transcript:EOY27277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 7B4 isoform 2 MGFARKQYEFLSEIGLSTSNLGCFVNGTWKGSGPVVSTVNPANNQIIAEVGEASIQDYEDGMQACNEAAKIWMQVPAPKRGDIVRQIGDSLRTKLQQLGRLVSLEMGKILPEGIGEVQEIIDMCDFAVGLSRQLNGSVIPSERPNHMMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCIVWKGAPTTPLVTIAMTKLVAGVLEKNNLPGAIFTSFCGGAEIGEAIAKDRRIPLVSFTGSSTVGLKVQQTVNARFGKCLLELSGNNAIIVMDDADVQLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYQRVLDQLLDVYKQVKIGDPLQRGTLLGPLHTSSSRKNFEKGIEIIKSQGGKILTGGGLIESEGNFVQPTIVEISPNADVVKEELFAPVLYVMKFKTLNEAIEINNSVPQGLSSSIFTRKPEVIFKWIGPLGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGNELPLAQGINFG >EOY27276 pep chromosome:Theobroma_cacao_20110822:6:21012773:21019086:1 gene:TCM_029158 transcript:EOY27276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 7B4 isoform 2 MGFARKQYEFLSEIGLSTSNLGCFVNGTWKGSGPVVSTVNPANNQIIAEVGEASIQDYEDGMQACNEAAKIWMQVPAPKRGDIVRQIGDSLRTKLQQLGRLVSLEMGKILPEGIGEVQEIIDMCDFAVGLSRQLNGSVIPSERPNHMMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCIVWKGAPTTPLVTIAMTKLVAGVLEKNNLPGAIFTSFCGGAEIGEAIAKDRRIPLVSFTGSSTVGLKVQQTVNARFGKCLLELSGNNAIIVMDDADVQLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYQRVLDQLLDVYKQVKIGDPLQRGTLLGPLHTSSSRKNFEKGIEIIKSQGGKILTGGGLIESEGNFVQPTIVEISPNADVVKEELFAPVLYVMKFKTLNEAIEINNSVPQGLSSSIFTRKPEVIFKWIGPLGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGNELPLAQGINFG >EOY29021 pep chromosome:Theobroma_cacao_20110822:6:27136906:27139946:1 gene:TCM_030459 transcript:EOY29021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesyl diphosphate synthase 1 isoform 1 FYFFIFFTNKTEQFGNLLFPAFTVPEERRGAFQSQKNTLALMADRRSAFLQVYYKLKSELLEDPSFEFTDVSRQWVDRMLDYNVPGGKLNRGLSVIDSYRLLKEGKELTENEIFLASALGWCIEWLQAYFLVLDDIMDNSHTRRGHPCWFRVPQVGLIAVNDGILLRNHICRILKNHFREKPYYVDLLDLFNEVEFQTASGQMIDLITTLEGEKDLSKYSLSQHRRIVQYKTAYYSFYLPVACALVMSGENLDNHIDVKNILVDMGIYFQVQDDYLDCFGDPETIGKIGTDIEDFKCSWLVVKALEICNEEQKKVLHNYGKLDPVNVANVKALYNELNL >EOY29022 pep chromosome:Theobroma_cacao_20110822:6:27136886:27140791:1 gene:TCM_030459 transcript:EOY29022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesyl diphosphate synthase 1 isoform 1 KPSPFYFFIFFTNKTEQFGNLLFPAFTVPEERRGAFQSQKNTLALMADRRSAFLQVYYKLKSELLEDPSFEFTDVSRQWVDRMLDYNVPGGKLNRGLSVIDSYRLLKEGKELTENEIFLASALGWCIEWLQAYFLVLDDIMDNSHTRRGHPCWFRVPQVGLIAVNDGILLRNHICRILKNHFREKPYYVDLLDLFNEVEFQTASGQMIDLITTLEGEKDLSKYSLSQHRRIVQYKTAYYSFYLPVACALVMSGENLDNHIDVKNILVDMGIYFQVQDDYLDCFGDPETIDWN >EOY29020 pep chromosome:Theobroma_cacao_20110822:6:27137029:27140218:1 gene:TCM_030459 transcript:EOY29020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesyl diphosphate synthase 1 isoform 1 MADRRSAFLQVYYKLKSELLEDPSFEFTDVSRQWVDRMLDYNVPGGKLNRGLSVIDSYRLLKEGKELTENEIFLASALGWCIEWLQAYFLVLDDIMDNSHTRRGHPCWFRVPQVGLIAVNDGILLRNHICRILKNHFREKPYYVDLLDLFNEVEFQTASGQMIDLITTLEGEKDLSKYSLSQHRRIVQYKTAYYSFYLPVACALVMSGENLDNHIDVKNILVDMGIYFQVQDDYLDCFGDPETIGKIGTDIEDFKCSWLVVKALEICNEEQKKVLHENYGKLDPVNVANVKALYNELNLKGVFEEYESKSYEKLITAIDVHPSKAVQSVLKSFLAKIYKREK >EOY25594 pep chromosome:Theobroma_cacao_20110822:6:579023:580502:-1 gene:TCM_026976 transcript:EOY25594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIPLLRIIYTRMLSGKAEPFMAEIDGKLYVLNSSKSIYGCTKTFEVFDPKLNQRTALSDPPFITGIHPVRPGFAEFCYLTLTSFKEIFLSSPAFGVLRYDIDDDIWYSDMTFGPPLLPLMDKSGVSFNFGDEEVCIGFENGFLTAYLVKEIWGFKKVTKVQKLGLIASEDNGCCFARLGGLKMCLVANTEDSDLDETDVVVATCEFERLKDIAASQGKPVVDDTNGCDFTLEEREAILRSDFLERDFVDFRILSKYCYPRDRFATGSCVLWKAIGCFVL >EOY27000 pep chromosome:Theobroma_cacao_20110822:6:19662655:19665578:1 gene:TCM_028956 transcript:EOY27000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVAIKAYIVLCERIDEIETVQWKLSEMINTLSDETKDALSTLQGDRGKKAKMLKPKRYEWVRDAKELENFLFDIEQYFRALQTELNEDKVAMAAMYLERDAKIWSEALDEDGVTVTKVQDVTSAMLANPQVREVNRDCLLRTHHNPEQLSHGALNLKLQYLVFTAMNLIGAFDNFITPRKAKRYGLKVEKNFRQMEAINSPTLAIMGNSKDVKVKIGS >EOY27545 pep chromosome:Theobroma_cacao_20110822:6:22346714:22351541:-1 gene:TCM_029385 transcript:EOY27545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol monophosphatase like 1 isoform 2 MGRSLVFSTNIPLRFSQTPRSISPRVHPNQYQPYKFTANSPLGHQKVGFLSTNSTRKLCTGALLSEVSNQRQYPKVGAKSTGPIPPSQLIEVVETAAKAGAEVVMDAVNKPRNITYKGLTDLVTDTDKMSEAAILEVVKRNFGDHLILGEEGGIIGDTSSDYLWCIDPLDGTTNFAHCYPSFAVSVGVLFRGNPAAASVVEFVGGPMCWNTRTFSATAGGGAFCNGQKIHPSHTDKVEQSLLVTGFGYEHDDAWITNIELFKEFTDISRGVRRLGAAAVDMCHVALGVAEAYWEYCLKPWDMAAGVLLKKLVVQ >EOY27544 pep chromosome:Theobroma_cacao_20110822:6:22346588:22351425:-1 gene:TCM_029385 transcript:EOY27544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol monophosphatase like 1 isoform 2 MGRSLVFSTNIPLRFSQTPRSISPRVHPNQYQPYKFTANSPLGHQKVGFLSTNSTRKLCTGALLSEVSNQRQYPKVGAKSTGPIPPSQLIEVVETAAKAGAEVVMDAVNKPRNITYKGLTDLVTDTDKMSEAAILEVVKRNFGDHLILGEEGGIIGDTSSDYLWCIDPLDGTTNFAHCYPSFAVSVGVLFRGNPAAASVVEFVGGPMCWNTRTFSATAGGGAFCNGQKIHPSHTDKVEQSLLVTGFGYEHDDAWITNIELFKEFTDISRGVRRLGAAAVDMCHVALGVAEAYWEYCLKPWDMAAGVLIVEEAGGAVTRMDGGKFCVFDRSVLVSNGALHAKLLERIAPATEKLKNKGIDFSLWYKPENYLTDL >EOY27547 pep chromosome:Theobroma_cacao_20110822:6:22346997:22351541:-1 gene:TCM_029385 transcript:EOY27547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol monophosphatase like 1 isoform 2 MGRSLVFSTNIPLRFSQTPRSISPRVHPNQYQPYKFTANSPLGHQKVGFLSTNSTRKLCTGALLSEVSNQRQYPKVGAKSTGPIPPSQLIEVVETAAKAGAEVVMDAVNKPRNITYKGLTDLVTDTDKMSEAAILEVVKRNFGDHLILGEEGGIIGDTSSDYLWCIDPLDGTTNFAHCYPSFAVSVGVLFRGNPAAASVVEFVGGPMCWNTRTFSATAGGGAFCNGQKIHPSHTDKVEQSLLVTGFGYEHDDAWITNIELFKEFTDISRGVRRLGAAAVDMCHVALGVAEAYWEYCLKPWDMAAGVLIVEEAGGAVTRMDGGKFCVFDRSVLVSNGALHAKLLERIAPATEKLKNKGIDFSLWYKPENYLTDL >EOY27546 pep chromosome:Theobroma_cacao_20110822:6:22346400:22351354:-1 gene:TCM_029385 transcript:EOY27546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol monophosphatase like 1 isoform 2 MGRSLVFSTNIPLRFSQTPRSISPRVHPNQYQPYKFTANSPLGHQKVGFLSTNSTRKLCTGALLSEVSNQRQYPKVGAKSTGPIPPSQLIEVVETAAKAGAEVVMDAVNKPRNITYKGLTDLVTDTDKMSEAAILEVVKRNFGDHLILGEEGGIIGDTSSDYLWCIDPLDGTTNFAHCYPSFAVSVGVLFRGNPAAASVVEFVGGPMCWNTRTFSATAGGGAFCNGQKIHPSHTDKVEQSLLVTGFGYEHDDAWITNIELFKEFTDISRGVRRLGAAAVDMCHVALGVAEAYWEYCLKPWDMAAGVLIVEEAGGAVTRMDGGKFCVFDRSVLVSNGALHAKLLERIAPATEKLKNKGIDFSLWYKPENYLTDL >EOY27548 pep chromosome:Theobroma_cacao_20110822:6:22346326:22351541:-1 gene:TCM_029385 transcript:EOY27548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol monophosphatase like 1 isoform 2 MGRSLVFSTNIPLRFSQTPRSISPRVHPNQYQPYKFTANSPLGHQKVGFLSTNSTRKLCTGALLSEVSNQRQYPKVGAKSTGPIPPSQLIEVVETAAKAGAEVVMDAVNKPRNITYKGLTDLVTDTDKMSEAAILEVVKRNFGDHLILGEEGGIIGDTSSDYLWCIDPLDGTTNFAHCYPSFAVSVGVLFRGNPAAASVVEFVGGPMCWNTRTFSATAGGGAFCNGQKIHPSHTDKVEQSLLVTGFGYEHDDAWITNIELFKEFTDISRGVRRLGAAAVDMCHVALGVAEAYWEYCLKPWDMAAGVLIVEEAGGAVTRMDGGKFCVFDRSVLVSNGALHAKLLERIAPATEKLKNKGIDFSLWYKPENYLTDL >EOY28819 pep chromosome:Theobroma_cacao_20110822:6:26621600:26626916:-1 gene:TCM_030310 transcript:EOY28819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit beta isoform 2 MSPSLVTNNGDVATVKTAPVAGRLAAVYSEVQTSRIDHALPLPSVLRNPFKIVDGPPSSAAGNPDEIAKLFPNLFGQPSAMLVPNGADSIGSDQKLKIGVVLSGGQAPGGHNVISGIFDYLQDCAKGSILYGFRGGPAGIMKCKYVELTADYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAVKLDLDGLLVIGGDDSNTNACLLAENFRTKNLKTQVIGCPKTIDGDLKCKEVPTSFGFDTACRIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKQTLKNVTDYIVDVVCKRAELGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDIVDENGLWKKKLTDQSLKLFEFLPQAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELDTRKQAGSYKGQFKGQSHFFGYEGRCGLPTNFDASYCYALGYGAGALLHSGKTGLISSVGNLGTPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFKKFSSMREEWALKNCYISPGPIQFVGPVSNAVNHTLLLELGAQA >EOY28820 pep chromosome:Theobroma_cacao_20110822:6:26622459:26627193:-1 gene:TCM_030310 transcript:EOY28820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit beta isoform 2 MSPSLVTNNGDVATVKTAPVAGRLAAVYSEVQTSRIDHALPLPSVLRNPFKIVDGPPSSAAGNPDEIAKLFPNLFGQPSAMLVPNGADSIGSDQKLKIGVVLSGGQAPGGHNVISGIFDYLQDCAKGSILYGFRGGPAGIMKCKYVELTADYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAVKLDLDGLLVIGGDDSNTNACLLAENFRTKNLKTQVIGCPKTIDGDLKCKEVPTSFGFDTACRIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKQTLKNVTDYIVDVVCKRAELGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDIVDENGLWKKKLTDQSLKLFEFLPQAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELDTRKQAGSYKGQFKGQSHFFGYEGRCGLPTNFDASYCYALGYGAGALLHSGKTGLISSVCFLLFLCRKFGYPS >EOY28448 pep chromosome:Theobroma_cacao_20110822:6:25384889:25387207:1 gene:TCM_030011 transcript:EOY28448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRAQEGHAFVSFILNKMFRVKTLKVDGIAGLFRGYTMALAQLGVVTMLSPPLMPWHHFLYFQARSTYLGRAIVTYAFDLTGKLVLLYHPYDIYAAALGSVYYGSIPGLSISFKWKYEGGFSLSFSWEWDEDN >EOY27138 pep chromosome:Theobroma_cacao_20110822:6:20342538:20350877:-1 gene:TCM_029059 transcript:EOY27138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair defective 3 GTP-binding protein (RHD3) isoform 1 MRAIICRGFYHGTTKQREMDAFKGRSQTTKGIWLANCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHKETPLSEFFNVEVVALSSYEEKEEQFKEQVANLRQRFFHSIAPGGLAGDRRGAVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKYVSFMANENWCLLEEAVQSGPIAGFGKKLNSILYTFLSEYEAEATYFDEGVRSAKRKQLEEKLLQLVQPAYQSMLGHLRSGTLQKFKEAFEKALNGGEGFSMAARNCTESYMALFDEGCADAVVELANWDSSKVRDKLHRDIDAHVASVRAAKLSELTSSYEAKLNEALSGPVEALLDGASNETWPAIRKLLQRETESAISGLSGALSGFDMDEQTKDKMLTSLEDYARGVVEAKAREEAGRVLIRMKDRFSTLFSHDSDSMPRVWTGKEDIRAITKTARSASLKLLSVMAAIRLDDNADNIENTLSSALVDTKNNAAVTDRSITAFDPLASSTWEQVPPAKTLITPVQCKSLWRQFRAETEYSVTQAISAQEANKRNNNWLPPPWAIVALIVLGFNEFMTLLRNPLYLGVIFVGFLIMKALWVQLDISGEFRNGAVSVQLNFCLSPV >EOY27136 pep chromosome:Theobroma_cacao_20110822:6:20340946:20395253:-1 gene:TCM_029059 transcript:EOY27136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair defective 3 GTP-binding protein (RHD3) isoform 1 MFGSGPLMNLSKSEECCSTQLIDGDGIFNDTGIDQFIKEVKLGECGLSYAVVSIMGPQSSGKSTLLNNLFGTNFREMDAFKGRSQTTKGIWLANCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHKETPLSEFFNVEVVALSSYEEKEEQFKEQVANLRQRFFHSIAPGGLAGDRRGAVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKYVSFMANENWCLLEEAVQSGPIAGFGKKLNSILYTFLSEYEAEATYFDEGVRSAKRKQLEEKLLQLVQPAYQSMLGHLRSGTLQKFKEAFEKALNGGEGFSMAARNCTESYMALFDEGCADAVVELANWDSSKVRDKLHRDIDAHVASVRAAKLSELTSSYEAKLNEALSGPVEALLDGASNETWPAIRKLLQRETESAISGLSGALSGFDMDEQTKDKMLTSLEDYARGVVEAKAREEAGRVLIRMKDRFSTLFSHDSDSMPRVWTGKEDIRAITKTARSASLKLLSVMAAIRLDDNADNIENTLSSALVDTKNNAAVTDRSITAFDPLASSTWEQVPPAKTLITPVQCKSLWRQFRAETEYSVTQAISAQEANKRNNNWLPPPWAIVALIVLGFNEFMTLLRNPLYLGVIFVGFLIMKALWVQLDISGEFRNGALPGLLSLSTKFLPTVMNLLRKLAEEGQMPANNNPQRNPAVASKGFQNGSTSSDLSSSASSEVTSSGNGTEYSSPTKED >EOY27137 pep chromosome:Theobroma_cacao_20110822:6:20341216:20350870:-1 gene:TCM_029059 transcript:EOY27137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair defective 3 GTP-binding protein (RHD3) isoform 1 MAKSEECCSTQLIDGDGIFNDTGIDQFIKEVKLGECGLSYAVVSIMGPQSSGKSTLLNNLFGTNFREMDAFKGRSQTTKGIWLANCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHKETPLSEFFNVEVVALSSYEEKEEQFKEQVANLRQRFFHSIAPGGLAGDRRGAVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKYVSFMANENWCLLEEAVQSGPIAGFGKKLNSILYTFLSEYEAEATYFDEGVRSAKRKQLEEKLLQLVQPAYQSMLGHLRSGTLQKFKEAFEKALNGGEGFSMAARNCTESYMALFDEGCADAVVELANWDSSKVRDKLHRDIDAHVASVRAAKLSELTSSYEAKLNEALSGPVEALLDGASNETWPAIRKLLQRETESAISGLSGALSGFDMDEQTKDKMLTSLEDYARGVVEAKAREEAGRVLIRMKDRFSTLFSHDSDSMPRVWTGKEDIRAITKTARSASLKLLSVMAAIRLDDNADNIENTLSSALVDTKNNAAVTDRSITAFDPLASSTWEQVPPAKTLITPVQCKSLWRQFRAETEYSVTQAISAQEANKRNNNWLPPPWAIVALIVLGFNEFMTLLRNPLYLGVIFVGFLIMKALWVQLDISGEFRNGALPGLLSLSTKFLPTVMNLLRKLAEEGQMPANNNPQRNPAVASKGFQNGSTSSDLSSSASSEVTSSGNGTEYSSPTKED >EOY28473 pep chromosome:Theobroma_cacao_20110822:6:25426733:25428727:1 gene:TCM_030019 transcript:EOY28473 gene_biotype:protein_coding transcript_biotype:protein_coding description:D6-type cyclin, putative isoform 7 MEYFDLEDPFTSLKEHQSDTISALFSSESDHMPSQNYLQCLKTSDFYVSFRQEAISLILQQGNPWVLRLLVIACISLAAKMKEIHFSSSNFQREEGFIFDAPAIQRMELLILDALNWRMRSVTPFSFICFFMSLFELKDPPLTQALKDRASNIIFQAHGEINLLEFKPSILAASALLLASHELFPLQFPSVETSILSCEYVNKESLLKCFNATQDMVVNQISESIVDTVSSSSTRTPLSVLDCHCTKAESESTTSTMGATAMAEKREIKRRKLNDFCSESTRVQISQIQPFG >EOY28472 pep chromosome:Theobroma_cacao_20110822:6:25426489:25428170:1 gene:TCM_030019 transcript:EOY28472 gene_biotype:protein_coding transcript_biotype:protein_coding description:D6-type cyclin, putative isoform 7 MEYFDLEDPFTSLKEHQSDTISALFSSESDHMPSQNYLQCLKTSDFYVSFRQEAISLILQAQYSCNLDPYTPYLAVNYMDRFISRQDIPQGNPWVLRLLVIACISLAAKMKEIHFSSSNFQREEGFIFDAPAIQRMELLILDALNWRMRSVTPFSFICFFMSLFELKDPPLTQALKDRASNIIFQAHEINLLEFKPSILAASALLLASHELFPLQFPSVETSILSCEYVNKDMVVNQISESIVDTVSSSSTRTPLSVLDCH >EOY28474 pep chromosome:Theobroma_cacao_20110822:6:25426733:25428727:1 gene:TCM_030019 transcript:EOY28474 gene_biotype:protein_coding transcript_biotype:protein_coding description:D6-type cyclin, putative isoform 7 MEYFDLEDPFTSLKEHQSDTISALFSSESDHMPSQNYLQCLKTSDFYVSFRQEAISLILQQGNPWVLRLLVIACISLAAKMKEIHFSSSNFQREEGFIFDAPAIQRMELLILDALNWRMRSVTPFSFICFFMSLFELKDPPLTQALKDRASNIIFQAHGEINLLEFKPSILAASALLLASHELFPLQFPSVETSILSCEYVNKDMVVNQISESIVDTVSSSSTRTPLSVLDCHCTKAESESTTSTMGATAMAEKREIKRRKLNDFCSESTRVQISQIQPFG >EOY28467 pep chromosome:Theobroma_cacao_20110822:6:25426733:25428727:1 gene:TCM_030019 transcript:EOY28467 gene_biotype:protein_coding transcript_biotype:protein_coding description:D6-type cyclin, putative isoform 7 MEYFDLEDPFTSLKEHQSDTISALFSSESDHMPSQNYLQCLKTSDFYVSFRQEAISLILQLVLVGQAQYSCNLDPYTPYLAVNYMDRFISRQDIPQGNPWVLRLLVIACISLAAKMKEIHFSSSNFQREEGFIFDAPAIQRMELLILDALNWRMRSVTPFSFICFFMSLFELKDPPLTQALKDRASNIIFQAHGEINLLEFKPSILAASALLLASHELFPLQFPSVETSILSCEYVNKESLLKCFNATQDMVVNQISESIVDTVSSSSTRTPLSVLDCHCTKAESESTTSTMGATAMAEKREIKRRKLNDFCSESTRVQISQIQPFG >EOY28471 pep chromosome:Theobroma_cacao_20110822:6:25426733:25428727:1 gene:TCM_030019 transcript:EOY28471 gene_biotype:protein_coding transcript_biotype:protein_coding description:D6-type cyclin, putative isoform 7 MEYFDLEDPFTSLKEHQSDTISALFSSESDHMPSQNYLQCLKTSDFYVSFRQEAISLILQAQYSCNLDPYTPYLAVNYMDRFISRQDIPQGNPWVLRLLVIACISLAAKMKEIHFSSSNFQREEGFIFDAPAIQRMELLILDALNWRMRSVTPFSFICFFMSLFELKDPPLTQALKDRASNIIFQAHGEINLLEFKPSILAASALLLASHELFPLQFPSVETSILSCEYVNKDMVVNQISESIVDTVSSSSTRTPLSVLDCHCTKAESESTTSTMGATAMAEKREIKRRKLNDFCSESTRVQISQIQPFG >EOY28469 pep chromosome:Theobroma_cacao_20110822:6:25426733:25428727:1 gene:TCM_030019 transcript:EOY28469 gene_biotype:protein_coding transcript_biotype:protein_coding description:D6-type cyclin, putative isoform 7 MEYFDLEDPFTSLKEHQSDTISALFSSESDHMPSQNYLQCLKTSDFYVSFRQEAISLILQLVLVGQAQYSCNLDPYTPYLAVNYMDRFISRQDIPQGNPWVLRLLVIACISLAAKMKEIHFSSSNFQREEGFIFDAPAIQRMELLILDALNWRMRSVTPFSFICFFMSLFELKDPPLTQALKDRASNIIFQAHGEINLLEFKPSILAASALLLASHELFPLQFPSVETSILSCEYVNKDMVVNQISESIVDTVSSSSTRTPLSVLDCHCTKAESESTTSTMGATAMAEKREIKRRKLNDFCSESTRVQISQIQPFG >EOY28466 pep chromosome:Theobroma_cacao_20110822:6:25426733:25428727:1 gene:TCM_030019 transcript:EOY28466 gene_biotype:protein_coding transcript_biotype:protein_coding description:D6-type cyclin, putative isoform 7 MEYFDLEDPFTSLKEHQSDTISALFSSESDHMPSQNYLQCLKTSDFYVSFRQEAISLILQAQYSCNLDPYTPYLAVNYMDRFISRQDIPQGNPWVLRLLVIACISLAAKMKEIHFSSSNFQREEGFIFDAPAIQRMELLILDALNWRMRSVTPFSFICFFMSLFELKDPPLTQALKDRASNIIFQAHGEINLLEFKPSILAASALLLASHELFPLQFPSVETSILSCEYVNKESLLKCFNATQDMVVNQISESIVDTVSSSSTRTPLSVLDCHCTKAESESTTSTMGATAMAEKREIKRRKLNDFCSESTRVQISQIQPFG >EOY28468 pep chromosome:Theobroma_cacao_20110822:6:25426402:25428951:1 gene:TCM_030019 transcript:EOY28468 gene_biotype:protein_coding transcript_biotype:protein_coding description:D6-type cyclin, putative isoform 7 MEYFDLEDPFTSLKEHQSDTISALFSSESDHMPSQNYLQCLKTSDFYVSFRQEAISLILQLVLVGQAQYSCNLDPYTPYLAVNYMDRFISRQDIPQGNPWVLRLLVIACISLAAKMKEIHFSSSNFQREEGFIFDAPAIQRMELLILDALNWRMRSVTPFSFICFFMSLFELKDPPLTQALKDRASNIIFQAHEINLLEFKPSILAASALLLASHELFPLQFPSVETSILSCEYVNKESLLKCFNATQDMVVNQISESIVDTVSSSSTRTPLSVLDCHCTKAESESTTSTMGATAMAEKREIKRRKLNDFCSESTRVQISQIQPFG >EOY28470 pep chromosome:Theobroma_cacao_20110822:6:25426489:25428729:1 gene:TCM_030019 transcript:EOY28470 gene_biotype:protein_coding transcript_biotype:protein_coding description:D6-type cyclin, putative isoform 7 MEYFDLEDPFTSLKEHQSDTISALFSSESDHMPSQNYLQCLKTSDFYVSFRQEAISLILQAQYSCNLDPYTPYLAVNYMDRFISRQDIPQGNPWVLRLLVIACISLAAKMKEIHFSSSNFQREEGFIFDAPAIQRMELLILDALNWRMRSVTPFSFICFFMSLFELKDPPLTQALKDRASNIIFQAHEINLLEFKPSILAASALLLASHELFPLQFPSVETSILSCEYVNKESLLKCFNATQDMVVNQISESIVDTVSSSSTRTPLSVLDCHCTKAESESTTSTMGATAMAEKREIKRRKLNDFCSESTRVQISQIQPFG >EOY28543 pep chromosome:Theobroma_cacao_20110822:6:25738275:25742650:-1 gene:TCM_030085 transcript:EOY28543 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein MTLPNLLWTAAHFTHSSCGNIPKCEITWCRTLQSSRPDNDTATAGYKSNLTDVLDSISSKASDHSFYNDSLNGIYSLFLCRGDVSSDVCQDCVSNATQTLTQRCPSDKSAIIWVSMWNTLNKTSPDEGNIGAQGLIFSLVDHAPYTENMFETKETVVGNGPDRRYGLVQCSRDLNFYEQPSAPPPDNEVRKRRQKDEGTSQVILLRGCQGSKRADLMEAGIHLSDEDHSGELHHINLATIQSATNNFSRGNKLGEGGFGPVYKGLEEFKNEVKLIFKLQHKNLVRLLGYCLEEDEKLLVYEYMANTSLDAFLFDPEKCKVLDWEKRSNIINGTARGLQYLHEDSRLKIIHRDLKASNVLLDDGMNPKISDFGTARIFGGNQIEANTERIVGTYGYMAPEYALEGLFSNKSDVYSFGILMLEILSGKKNRGFYRQDCGQSLITYAWQLWKDGRGLELIDSNIADGCPIHDVARWIHIALLCVQDDPALRPTMSSVILMLGSRSVNLPQPSSPPYSAARFVTTSDLSSTTQTGTGILTSGQSSTTASS >EOY27649 pep chromosome:Theobroma_cacao_20110822:6:22622272:22625331:1 gene:TCM_029440 transcript:EOY27649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol transporter 2 isoform 2 MAIAGAIIGAAVGGWMNDRYGRRTAILIADFLFLIGAVIMASAPSAPLLIVGRIFVGLGVGMASMTSPLYISEASPAKIRGALVSTNGFLITGGQFLSYLINLAFTKAPGTWRWMLGVAGLPPIVQFILMFGLPESPRWLFRKGREEEAKAILRKIYPVDEVEQEILDLKESVEAEIREEGSSEKINIIKLLQTKTVRRGLTAGVGLQVFQQFVGINTVMYYSPTIVQLAGFASNRTALLLSLVTAGLNAFGSIVSIYFIDRTGRKKLLIISLLGLVISLGVLSGVFHETTSHSPVVSSVETSHFSNYTCPDYSSAANSATWDCMKCLKASSPHCGFCASPTNKLLPGACLISNDTVKKQCHDESRLWYTRGCPSKYGWLALIGLALYIIFFSEEIEKMLEVRALHWRFWEKSDEPKEKSQEV >EOY27648 pep chromosome:Theobroma_cacao_20110822:6:22621164:22625362:1 gene:TCM_029440 transcript:EOY27648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol transporter 2 isoform 2 MEGGIHTGTDASAFKECFSLTWRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFESVDRQTVLQESIVSMAIAGAIIGAAVGGWMNDRYGRRTAILIADFLFLIGAVIMASAPSAPLLIVGRIFVGLGVGMASMTSPLYISEASPAKIRGALVSTNGFLITGGQFLSYLINLAFTKAPGTWRWMLGVAGLPPIVQFILMFGLPESPRWLFRKGREEEAKAILRKIYPVDEVEQEILDLKESVEAEIREEGSSEKINIIKLLQTKTVRRGLTAGVGLQVFQQFVGINTVMYYSPTIVQLAGFASNRTALLLSLVTAGLNAFGSIVSIYFIDRTGRKKLLIISLLGLVISLGVLSGVFHETTSHSPVVSSVETSHFSNYTCPDYSSAANSATWDCMKCLKASSPHCGFCASPTNKLLPGACLISNDTVKKQCHDESRLWYTRGCPSKYGWLALIGLALYIIFFSPGMGTAPWIVNSEIYPLRFRGVCGGIAATANWISNLIVAQSFLSLTETIGTSWTFLIFGVISVVALLFVIIFVPETKGLPIEEIEKMLEVRALHWRFWEKSDEPKEKSQEV >EOY27378 pep chromosome:Theobroma_cacao_20110822:6:21470554:21472086:-1 gene:TCM_029238 transcript:EOY27378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGSLKFKTDGAARVDLRLAAIGGVLRDKVGTIKVTFSKQWRVTILIEQKFLLLRRLLSFLVLPSGQAHMTLWLRVIRAMLLYEHRIQKKPHKN >EOY28957 pep chromosome:Theobroma_cacao_20110822:6:26957156:26958276:1 gene:TCM_030414 transcript:EOY28957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDEYKQKMKLQAVGKLYHRDHFLKRTLQLVVSVALLSFFLCHSSGFFVFPHSFSVYFSTFLFSFFTHTLERKYMFLICNGILAFLAKSSVPSSSSPSESDLGAQLSTSSTNLTQTNEVVPRYSDDGDNDVPLVAEREEAEDAYENEVEEQGEQDNIEPLGRVEGKEDDEERESEVSVIVEDGEYKEGESGLVMQEDQHKEEGAGAPLAANEDVPSTEDLNQKFEEFIRKMKEEIRIEAQQQLIAV >EOY28428 pep chromosome:Theobroma_cacao_20110822:6:25331435:25332304:-1 gene:TCM_029997 transcript:EOY28428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein isoform 1 MLEGKAIVGETDMLHKMQQDALHLAAKALDIFEATESTDIARFIKKDFDRTYGSGWQCVVGTDFSSFVTHCHGCFIHFCVGSLAILLFRGAVNQADEANLLPNFEALHA >EOY28429 pep chromosome:Theobroma_cacao_20110822:6:25331583:25332613:-1 gene:TCM_029997 transcript:EOY28429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein isoform 1 MLEGKAIVGETDMLHKMQQDALHLAAKALDIFEATESTDIARFIKKDFDRTYGSGWQCVVGTDFSSFVTHCHGCFIHFCVGSLAILLFRGAVNQADEANLLPNFEALHA >EOY25844 pep chromosome:Theobroma_cacao_20110822:6:2306754:2318047:-1 gene:TCM_027212 transcript:EOY25844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing-splicing factor isoform 1 MWNNNNNGAQIAPPGTGGSTIPPPPAAQPSYTVLAPQTTPQEAEAKLEEKARKWMQLNSKRYGDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFIPHAVYKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLEMDEEEDSAVYAWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVKLGVYHTPMVMYIKTEDPDLPAFYYDPLIHPITTTNKERREKKIYDDEDEDDFVLPEGVEPLLNDTQLYTDTTAAGISLLFAPRPFNMRSGRMRRAEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWVEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTSEGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTKVETRHPIRLYSRYIDKVHILFRFTHDEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKTRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDMFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIVTEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTRTTNVHGDELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQIAGYLYGISPPDNPQVKEIRCIAMPPQWGTHQQVHLPSALPEHDFLNDLEPLGWMHTQPNELPQLSPQDVTSHARILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRVNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNGPWNYNFMGVKHTVSMKYGVKLGPPREYYQEDHRPTHYLEFSNLEEGETAEGDREDTFT >EOY25845 pep chromosome:Theobroma_cacao_20110822:6:2307587:2318288:-1 gene:TCM_027212 transcript:EOY25845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing-splicing factor isoform 1 MWNNNNNGAQIAPPGTGGSTIPPPPAAQPSYTVLAPQTTPQEAEAKLEEKARKWMQLNSKRYGDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFIPHAVYKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLEMDEEEDSAVYAWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVKLGVYHTPMVMYIKTEDPDLPAFYYDPLIHPITTTNKERREKKIYDDEDEDDFVLPEGVEPLLNDTQLYTDTTAAGISLLFAPRPFNMRSGRMRRAEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWVEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTSEGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTKVETRHPIRLYSRYIDKVHILFRFTHDEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKTRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDMFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIVTEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTRTTNVHGDELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKVKEIRCIAM >EOY25843 pep chromosome:Theobroma_cacao_20110822:6:2301724:2318288:-1 gene:TCM_027212 transcript:EOY25843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing-splicing factor isoform 1 MWNNNNNGAQIAPPGTGGSTIPPPPAAQPSYTVLAPQTTPQEAEAKLEEKARKWMQLNSKRYGDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFIPHAVYKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLEMDEEEDSAVYAWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVKLGVYHTPMVMYIKTEDPDLPAFYYDPLIHPITTTNKERREKKIYDDEDEDDFVLPEGVEPLLNDTQLYTDTTAAGISLLFAPRPFNMRSGRMRRAEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWVEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTSEGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTKVETRHPIRLYSRYIDKVHILFRFTHDEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKTRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDMFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIVTEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTRTTNVHGDELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQIAGYLYGISPPDNPQVKEIRCIAMPPQWGTHQQVHLPSALPEHDFLNDLEPLGWMHTQPNELPQLSPQDVTSHARILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRVNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNGPWNYNFMGVKHTVSMKYGVKLGPPREYYQEDHRPTHYLEFSNLEEGETAEGDREDTFT >EOY25846 pep chromosome:Theobroma_cacao_20110822:6:2305397:2318241:-1 gene:TCM_027212 transcript:EOY25846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing-splicing factor isoform 1 MWNNNNNGAQIAPPGTGGSTIPPPPAAQPSYTVLAPQTTPQEAEAKLEEKARKWMQLNSKRYGDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFIPHAVYKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLEMDEEEDSAVYAWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVKLGVYHTPMVMYIKTEDPDLPAFYYDPLIHPITTTNKERREKKIYDDEDEDDFVLPEGVEPLLNDTQLYTDTTAAGISLLFAPRPFNMRSGRMRRAEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWVEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTSEGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTKVETRHPIRLYSRYIDKVHILFRFTHDEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKTRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDMFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIVTEPHHIWPSLTDDQWMKVAWPERSVEA >EOY26246 pep chromosome:Theobroma_cacao_20110822:6:6416777:6425484:1 gene:TCM_027709 transcript:EOY26246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCHSMLCYLASSFFFLGLEDSEPVKHIDRIYTYVMGKKIVVTQHTLDFVLDFDIHQGNLGYVKGILPNEVLSTMYTYSPTSEKNITSVKQLSFYHRILHHVMSHTLCPHGINYSTIKSEDFWFLYCIKSNHHVNLAKFILDDMLKIINKSDKTFLYGMAINAIIDSKGINTRDEGNDDTFAEPSATFSASSSVHRSVDLSFLPMSIAFNKKIRSYFTTNSCLRSNFELTFHHPFKLLTWDITYSKLLLYSLD >EOY28351 pep chromosome:Theobroma_cacao_20110822:6:25104037:25107087:-1 gene:TCM_029948 transcript:EOY28351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MWRSMAGRSRQVVARIFCAANINQVLSETQKHYHYLPKTLLSQTPRFLSNFSANPSDDSSRGFAENGDSQLDGFAPAIETNAVEVPSFEGSVGDETQMDSSVSGDDRNDIEEDIQVYEIDGNKLENVLSLLQSRVDGSLESSLDVMALDLNEDFVVKVLQTPFISGENLIRFFKWVMKKPGCKVTTSVVDSLVKGICSDLRKKDAYDLWDLVKDIGDKENGVLTVNVLNELIALFSKLGKGKAAMEVFNKFGDFGCVPNIVTYYFTIEALCRRSIYDWAWSVCEKMLDGESLPDGEQVGKIISWFCRGGKAENAHTVYLLAKEKNKQLPRSSVNFLISSLCKKDETVNLALEMLDGFSGEARKYAIKPFSSVVRGLCRMRNLDEAKTLLLKMIADGPPPGNAVFNSVVNGYSKAGDMDKAKEMIKLMEDRGLKPDVYTYTVVMSGYANGGQMDEACEVLSEAKKKHMKLSPVTYHTLIRGYCKIEEFDKALKLLAEMKDFGVQPNVDEYNKLIQSLCLKALDWQTAEKLLDEMKENGLYLNGITQGLIKAVKELEAEEVDSREATTTEV >EOY28352 pep chromosome:Theobroma_cacao_20110822:6:25104342:25106526:-1 gene:TCM_029948 transcript:EOY28352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MDSSVSGDDRNDIEEDIQVYEIDGNKLENVLSLLQSRVDGSLESSLDVMALDLNEDFVVKVLQTPFISGENLIRFFKWVMKKPGCKVTTSVVDSLVKGICSDLRKKDAYDLWDLVKDIGDKENGVLTVNVLNELIALFSKLGKGKAAMEVFNKFGDFGCVPNIVTYYFTIEALCRRSIYDWAWSVCEKMLDGESLPDGEQVGKIISWFCRGGKAENAHTVYLLAKEKNKQLPRSSVNFLISSLCKKDETVNLALEMLDGFSGEARKYAIKPFSSVVRGLCRMRNLDEAKTLLLKMIADGPPPGNAVFNSVVNGYSKAGDMDKAKEMIKLMEDRGLKPDVYTYTVVMSGYANGGQMDEACEVLSEAKKKHMKLSPVTYHTLIRGYCKIEEFDKALKLLAEMKDFGVQPNVDEYNKLIQSLCLKALDWQTAEKLLDEMKENGLYLNGITQGLIKAVKELEAEEVDSREATTTEV >EOY28541 pep chromosome:Theobroma_cacao_20110822:6:25730754:25734335:-1 gene:TCM_030083 transcript:EOY28541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfite exporter TauE/SafE family protein, putative MKIQNLLRWIGLTLPIYIILASQNQSHATQTQPIFDDLTTDSFIKKTLHWKRHLIKFQGNRLKLSAPTVLSGVFCFIASSISSAGGVGGGGLYIPILTIVAGLDLKTASTFSAFMVAGGSTANVIYNLRTTSSKFGGKTLIDYDVALLSEPCMLLGVSVGVVCNLVFPEWLITILFATFLAWSTFKTCRNGIGLWKMESEHQETRNRCEKVENGTCGESGEINDLEEPLVSTEGKVKSRFPWKKLVVLVMVWFSFFVIYLLRGNRYGQGVMPMKPCGVGYWTLSLFQMPLAIAFTAWILKRKEAIACQGPNKQGVNKLIFPFMALLAGGLGGVFGIGGGMLISPLLLHVGVAPEVTAATCSFMVFFSSTMSAFQYLLLGMKQTGTALIFSVICFVASLLGLVVVQKAIRELGRASLIVFSVGIVMALSAILMTSFGALNVWDDYTSGSYMGFKQPC >EOY28906 pep chromosome:Theobroma_cacao_20110822:6:26855913:26857161:1 gene:TCM_030379 transcript:EOY28906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVQLILGSQILRLTTWTWPSFCLAASPAAVAAQVRVSRQIKLAMAATWYGGGSWALPYRCSNLTTRPPNPSAAFQEG >EOY26722 pep chromosome:Theobroma_cacao_20110822:6:17484846:17488379:-1 gene:TCM_028685 transcript:EOY26722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex 2 protein Pcf11, putative isoform 6 MDGNVMADPDSESYFQSDTLGLRHISSSLFNIPGFLVGFSTKGSSDSDMVRSPTSPLDLRVFANFSNPFSVRSPRSSSQSGYQKKWDCSKMGLGIVNLLADEIKSDGEDLDSPKRKNIIFGPQVKTKFPSSSRYSHEFLGNSMKSNSLPRNYIISQLSKDRKPNTNSGGSSLVFGNEEVPLEPKSDSSRLSPSFIASTKNCNLSSRSFCSENGTTSLNSSSLPIGRALQVDDSLLSKPSSLPIPVGHSIGSLSAHEIELSEDYTCIISHGPNPKTTHIFGDCILECHNTELTNFDKKAEPETKVSQLDKSPETSTPYPSDEFLSFCYSCQKKLEKDEDIYIGEKAFCSFDCRSEEIFAEEMEKTCNNSFNGSPEQSDDEDLFLMEYMLHRGLEVHERSNAG >EOY26719 pep chromosome:Theobroma_cacao_20110822:6:17485439:17488618:-1 gene:TCM_028685 transcript:EOY26719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex 2 protein Pcf11, putative isoform 6 MDGNVMADPDSESYFQSDTLGLRHISSSLFNIPGFLVGFSTKGSSDSDMVRSPTSPLDLRVFANFSNPFSVRSPRSSSQSGYQKKWDCSKMGLGIVNLLADEIKSDGEDLDSPKRKNIIFGPQVKTKFPSSSRYSHEFLGNSMKSNSLPRNYIISQLSKDRKPNTNSGGSSLVFGNEEVPLEPKSDSSRLSPSFIASTKNCNLSSRSFCSENGTTSLNSSSLPIGRALQVDDSLLSKPSSLPIPVGHSIGSLSAHEIELSEDYTCIISHGPNPKTTHIFGDCILECHNTELTNFDKKAEPETKVSQLDKSPETSTPYPSDEFLSFCYSCQKKLEKDEDIYMYRGEKAFCSFDCRSEEIFAEEMEKTCNNSFNGSPEQSDDEDLFLMAMDDRSINHESWIAKHRV >EOY26717 pep chromosome:Theobroma_cacao_20110822:6:17485439:17488831:-1 gene:TCM_028685 transcript:EOY26717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex 2 protein Pcf11, putative isoform 6 MDGNVMADPDSESYFQSDTLGLRHISSSLFNIPGFLVGFSTKGSSDSDMVRSPTSPLDLRVFANFSNPFSVRSPRSSSQSGYQKKWDCSKMGLGIVNLLADEIKSDGEDLDSPKRKNIIFGPQVKTKFPSSSRYSHEFLGNSMKSNSLPRNYIISQLSKDRKPNTNSGGSSLVFGNEEVPLEPKSDSSRLSPSFIASTKNCNLSSRSFCSENGTTSLNSSSLPIGRALQVDDSLLSKPSSLPIPVGHSIGSLSAHEIELSEDYTCIISHGPNPKTTHIFGDCILECHNTELTNFDKKAEPETKVSQLDKSPETSTPYPSDEFLSFCYSCQKKLEKDEDIYIGEKAFCSFDCRSEEIFAEEMEKTCNNSFNGSPEQSDDEDLFLMAMDDRSINHESWIAKHRV >EOY26718 pep chromosome:Theobroma_cacao_20110822:6:17485707:17488375:-1 gene:TCM_028685 transcript:EOY26718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex 2 protein Pcf11, putative isoform 6 MDGNVMADPDSESYFQSDTLGLRHISSSLFNIPGFLVGFSTKGSSDSDMVRSPTSPLDLRVFANFSNPFSVRSPRSSSQSGYQKKWDCSKMGLGIVNLLADEIKSDGEDLDSPKRKNIIFGPQVKTKFPSSSRYSHEFLGNSMKSNSLPRNYIISQLSKDRKPNTNSGGSSLVFGNEEVPLEPKSDSSRLSPSFIASTKNCNLSSRSFCSENGTTSLNSSSLPIGRALQVDDSLLSKPSSLPIPVGHSIGSLSAHEIELSEDYTCIISHGPNPKTTHIFGDCILECHNTELTNFDKKAEPETKVSQLDKSPETSTPYPSDEFLSFCYSCQKKLEKDEDIYMYRGEKAFCSFDCRSEEIFAEEMEKTCNNSFNGSPEQSDDEDLFLMGMPINIHG >EOY26721 pep chromosome:Theobroma_cacao_20110822:6:17484846:17488831:-1 gene:TCM_028685 transcript:EOY26721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex 2 protein Pcf11, putative isoform 6 MDGNVMADPDSESYFQSDTLGLRHISSSLFNIPGFLVGFSTKGSSDSDMVRSPTSPLDLRVFANFSNPFSVRSPRSSSQSGYQKKWDCSKMGLGIVNLLADEIKSDGEDLDSPKRKNIIFGPQVKTKFPSSSRYSHEFLGNSMKSNSLPRNYIISQLSKDRKPNTNSGGSSLVFGNEEVPLEPKSDSSRLSPSFIASTKNCNLSSRSFCSENGTTSLNSSSLPIGRALQVDDSLLSKPSSLPIPVGHSIGSLSAHEIELSEDYTCIISHGPNPKTTHIFGDCILECHNTELTNFDKKAEPETKVSQLDKSPETSTPYPSDEFLSFCYSCQKKLEKDEDIYMYRGEKAFCSFDCRSEEIFAEEMEKTCNNSFNGSPEQSDDEDLFLMEYMLHRGLEVHERSNAG >EOY26723 pep chromosome:Theobroma_cacao_20110822:6:17486027:17487109:-1 gene:TCM_028685 transcript:EOY26723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex 2 protein Pcf11, putative isoform 6 MDGNVMADPDSESYFQSDTLGLRHISSSLFNIPGFLVGFSTKGSSDSDMVRSPTSPLDLRVFANFSNPFSVRSPRSSSQSGYQKKWDCSKMGLGIVNLLADEIKSDGEDLDSPKRKNIIFGPQVKTKFPSSSRYSHEFLGNSMKSNSLPRNYIISQLSKDRKPNTNSGGSSLVFGNEEVPLEPKSDSSRLSPSFIASTKNCNLSSRSFCSENGTTSLNSSSLPIGRALQVDDSLLSKPSSLPIPVGHSIGSLSAHEIELSEDYTCIISHGPNPKTTHIFGDCILECHNTELTNFDKKAEPETKVSQLDKSPETSTPYPSDEFLSFCYSCQKKLEKDEDIYMYRFIQFRSLCCFYFSCILF >EOY26720 pep chromosome:Theobroma_cacao_20110822:6:17485715:17487109:-1 gene:TCM_028685 transcript:EOY26720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex 2 protein Pcf11, putative isoform 6 MDGNVMADPDSESYFQSDTLGLRHISSSLFNIPGFLVGFSTKGSSDSDMVRSPTSPLDLRVFANFSNPFSVRSPRSSSQSGYQKKWDCSKMGLGIVNLLADEIKSDGEDLDSPKRKNIIFGPQVKTKFPSSSRYSHEFLGNSMKSNSLPRNYIISQLSKDRKPNTNSGGSSLVFGNEEVPLEPKSDSSRLSPSFIASTKNCNLSSRSFCSENGTTSLNSSSLPIGRALQVDDSLLSKPSSLPIPVGHSIGSLSAHEIELSEDYTCIISHGPNPKTTHIFGDCILECHNTELTNFDKKAEPETKVSQLDKSPETSTPYPSDEFLSFCYSCQKKLEKDEDIYIGEKAFCSFDCRSEEIFAEEMEKTCNNSFNGSPEQSDDEDLFLMGMPINIHG >EOY26724 pep chromosome:Theobroma_cacao_20110822:6:17486027:17487109:-1 gene:TCM_028685 transcript:EOY26724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex 2 protein Pcf11, putative isoform 6 MDGNVMADPDSESYFQSDTLGLRHISSSLFNIPGFLVGFSTKGSSDSDMVRSPTSPLDLRVFANFSNPFSVRSPRSSSQSGYQKKWDCSKMGLGIVNLLADEIKSDGEDLDSPKRKNIIFGPQVKTKFPSSSRYSHEFLGNSMKSNSLPRNYIISQLSKDRKPNTNSGGSSLVFGNEEVPLEPKSDSSRLSPSFIASTKNCNLSSRSFCSENGTTSLNSSSLPIGRALQVDDSLLSKPSSLPIPVGHSIGSLSAHEIELSEDYTCIISHGPNPKTTHIFGDCILECHNTELTNFDKKAEPETKVSQLDKSPETSTPYPSDEFLSFCYSCQKKLEKDEDIYMYRFIQFRSLCCFYFSCILF >EOY26725 pep chromosome:Theobroma_cacao_20110822:6:17485696:17487132:-1 gene:TCM_028685 transcript:EOY26725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex 2 protein Pcf11, putative isoform 6 MDGNVMADPDSESYFQSDTLGLRHISSSLFNIPGFLVGFSTKGSSDSDMVRSPTSPLDLRVFANFSNPFSVRSPRSSSQSGYQKKWDCSKMGLGIVNLLADEIKSDGEDLDSPKRKNIIFGPQVKTKFPSSSRYSHEFLGNSMKSNSLPRNYIISQLSKDRKPNTNSGGSSLVFGNEEVPLEPKSDSSRLSPSFIASTKNCNLSSRSFCSENGTTSLNSSSLPIGRALQVDDSLLSKPSSLPIPVGHSIGSLSAHEIELSEDYTCIISHGPNPKTTHIFGDCILECHNTELTNFDKKAEPETKVSQLDKSPETSTPYPSDEFLSFCYSCQKKLEKDEDIYMYRFIQFRSLCCFYFSCILF >EOY26268 pep chromosome:Theobroma_cacao_20110822:6:6835661:6855322:1 gene:TCM_027744 transcript:EOY26268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 3 VPKNPTKRKSTSKQSGPSPAQAPGLSAQFDGKSNSSSLDIDFEERLEAIRRAAVQQKKAEEQKEFGPIDYDAPAESDKKTIGLGTQIGVGVAVVVFGLVFALGDFLPSGSTNPPEEAAVIDKKLSNEEKATLQTRLKQFEAMLSISPKDPTALEGAAVTLTELGDYARAASLLQDLAKEKTSDPDVFRLLGEVKYALKDYDGSAAAYKLSAMVSKDVNFEVLRGLTNALLAAKRPDEAVQFLLSSRERMNSERLNRPNLKADSNKMETELQKVDPIQVDFLLGKAYSDWGHVSDAVAVYDQLISSHPNDFRGYLAKGIILKENGNVGDAERMFIQARFFAPEKAKALVDRYSRQ >EOY26267 pep chromosome:Theobroma_cacao_20110822:6:6835437:6855276:1 gene:TCM_027744 transcript:EOY26267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 3 MTMWIAAAATTASASSRFLSFQIQCSDSKAKRGFGSKKPNQKANKVSASREEKGMKLQQRKSTSKQSGPSPAQAPGLSAQFDGKSNSSSLDIDFEERLEAIRRAAVQQKKAEEQKEFGPIDYDAPAESDKKTIGLGTQIGVGVAVVVFGLVFALGDFLPSGSTNPPEEAAVIDKKLSNEEKATLQQTRLKQFEAMLSISPKDPTALEGAAVTLTELGDYARAASLLQDLAKEKTSDPDVFRLLGEVKYALKDYDGSAAAYKLSAMVSKDVNFEVLRGLTNALLAAKRPDEAVQFLLSSRERMNSERLNRPNLKADSNKMETELQKVDPIQVDFLLGKAYSDWGHVSDAVAVYDQLISSHPNDFRGYLAKRELF >EOY26269 pep chromosome:Theobroma_cacao_20110822:6:6838077:6855322:1 gene:TCM_027744 transcript:EOY26269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 3 RNGWKQLEAVQQKKAEEQKEFGPIDYDAPAESDKKTIGLGTQIGVGVAVVVFGLVFALGDFLPSGSTNPPEEAAVIDKKLSNEEKATLQTRLKQFEAMLSISPKDPTALEGAAVTLTELGDYARAASLLQDLAKEKTSDPDVFRLLGEVKYALKDYDGSAAAYKLSAMVSKDVNFEVLRGLTNALLAAKRPDEAVQFLLSSRERMNSERLNRPNLKADSNKMETELQKVDPIQVDFLLGKAYSDWGHVSDAVAVYDQLISSHPNDFRGYLAKGIILKENGNVGDAERMFIQARFFAPEKAKALVDRYSRQ >EOY26266 pep chromosome:Theobroma_cacao_20110822:6:6835423:6855360:1 gene:TCM_027744 transcript:EOY26266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 3 MTMWIAAAATTASASSRFLSFQIQCSDSKAKRGFGSKKPNQKANKVSASREEKGMKLQQRKSTSKQSGPSPAQAPGLSAQFDGKSNSSSLDIDFEERLEAIRRAAVQQKKAEEQKEFGPIDYDAPAESDKKTIGLGTQIGVGVAVVVFGLVFALGDFLPSGSTNPPEEAAVIDKKLSNEEKATLQTRLKQFEAMLSISPKDPTALEGAAVTLTELGDYARAASLLQDLAKEKTSDPDVFRLLGEVKYALKDYDGSAAAYKLSAMVSKDVNFEVLRGLTNALLAAKRPDEAVQFLLSSRERMNSERLNRPNLKADSNKMETELQKVDPIQVDFLLGKAYSDWGHVSDAVAVYDQLISSHPNDFRGYLAKGIILKENGNVGDAERMFIQARFFAPEKAKALVDRYSRQ >EOY28509 pep chromosome:Theobroma_cacao_20110822:6:25578600:25584130:1 gene:TCM_030052 transcript:EOY28509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDDVPLASLFSTRHLERIRELGSNNGCPAVQAKPTGPEAKRTRASERDESLIGGTRCNTKEEFLDEANVGDVVVALVDLAGNLSYVLFYLVLFVFFSLDFVECYDDDLVSLVFPTLCSAPPRAYCQAALLMDLQLVTSLISVAKTLAEQRYTTQRQIGSVICMLLDENPLVELTEEDATNLIRLLSASVRKAVGEKTNFKVVVQLIIDAFFKHGEKDALRSCPKAIQFCCTESPGELQDFSHNKLKDLEDELTKKLKSAMKEVMLSGPLLNESLYEDIITVLHSFRNLDDEEFLAPKVISHFVMHGSSMVEIVNNLITVLKKTDDDISSIFLEVLKRRSTNILFESGLPAFYGTLQIDNESLRCKSFQGCEDLAVQLAGTFEGAAHNKHRSDFLKIVKEGIEYAFEDASKQLSFLEAAVCHFVSKLPISDVLDM >EOY25760 pep chromosome:Theobroma_cacao_20110822:6:1706543:1751606:-1 gene:TCM_027133 transcript:EOY25760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MGGIGKTTLAKIVYTQMSSDFEDKCLTVSMESELVSLQKKFLSEMFPGKEFRFSTDYEGNEIIRCWLRNKQVFVVIAGAADIRPLESLAKHEWFGLGSRTIITTRNEHLLRVDVADDVYKSTTVNDSESLWLLSLKAFDSDKPEQNETFTLSADAFLKMKKLRLLIVRGVLEFCDLVYLSNELRVLGWLKYPLKSLPWAFHPDNLVVLLLPNSCIAQLWEGNKKLYLDGTGIEELPSSVGHLGSLEVLNLSDCSKLENLSTSLIRRKYRMGRGPTCKSLSTCQDSSSRIAVCKKLETLPELQCQLKGKATKAVKKCGARLVHRSDIEDMDLTIEQPSTPTSPTFHHVHQHTTHGLTGNVKEAFAKHEDRYNQDKTQSWRDALSEAANISGWHLEDRYESEFIGLIIKKISTKLCQTRSSVPNDLIGIDSRLDKLCDKIDFGEDRDILIVGICGMGGIGKTALASVVYTQMSGYFEGKCFLAGVREVAMKFGLVSLQEQLLSKIFPGENFQLSSVYDGIETISRRLRHKKVLLVIDDADNMQHFKCLAEKRDWFGLGSRIIITSRDEHLLLRNYRVDDVYKPTTLHDFEALRLLSLKAFKSDTPKEDFMSLSQSVVKYAGGLPLALEVLGSFLCGRGAAQWRSAIDRLKSEPDNRIHNCLKISFDGLTDSEKNIFLDIAHFFKGRDRDFVTKILDGCGYYPDIGLCVLIERSLITLENNKIRMHDLLQEMGRYIVRQKSPDEPSKRCRLSEESDVYQVLTQNSCTEAIEGMVVNSTIREQKETFTLNADAFSKMKKLRLLMIHDLLKSCDLTYLSNELRLFEWSEWPLKSLPWDFQPDNLVALLLPDSCIQQLWNRDRLLNKLKFLDLQGSRKLIRTPDFTRIENLESLNLEGCTNLVHVHPSIAFLPKLKLLNFGNCTSLKSLPTKIRMESLETFILSNCSNLRRFPEFAREMECLLELYLDGTGIKELPSSFGHLSSLKVLNLLGCSKLENLPDFTMIENLESLNLEGCISLVDVHPSISFLPKLKLLNLRNCVSLRSLSINNEMESLETLILSGCKNLKRFLEISRKMEHLLELHLDGTSMEELPSSVGNLSSLKVLNLSGCSILENSPPSFLQRIYKKGCEVLLSSLNPMLLKKGSNFMALTLPCLSSLSSLRKLNISGMNLCEGALPSDICCLSSLETLILNRNNFVSLPANLSQLTKLSRLQLMGCSKLETLPQLPSSVQGLMLDGCTSLQRVPNPTNPYNPSWVTWFYGVNCFKFAANNNALRMLKGYLKALVNARIRVDIVIPGSEIFEWFSHQSEKCSIMIPILHNDIQWMGFALCCVIVPASNNVDWTEEKITCTVRIHFEDLRFELCTHWIGFNTQTSKDHLWLWYLPVEKLLHDEFGNLQGRDWIRSCIGIEFLFDTTGIGNKVNKCGVRLMYPSDLEDLDPTMEQPSKKRKTYD >EOY27476 pep chromosome:Theobroma_cacao_20110822:6:21972834:21976636:-1 gene:TCM_029316 transcript:EOY27476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97 / Spc98 family of spindle pole body component, putative isoform 3 NLCRTFPLYSCHCHSKHKKNKIFRSIYMGEKEEEVLGNNSIEDVSWLCSLSESELDLLISLKMLAMKRARVIGHVQLAKNFDLKMLRALGFILMEYLKEKVKDSSIVSGVAESAAFIDSSNLLKSKLDDMMSIEELKEGIAIKTRKEFCKRPREKDAANRSSKRPRSSSDLKEETESC >EOY27474 pep chromosome:Theobroma_cacao_20110822:6:21972732:21976552:-1 gene:TCM_029316 transcript:EOY27474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97 / Spc98 family of spindle pole body component, putative isoform 3 MGEKEEEVLGNNSIEDVSWLCSLSESELDLLISLKMLAMKRARVIGHVQLAKNFDLKMLRALGFILMEYLKEKVKDSSIVSGVAESAAFIDSSNLLKSKLDDMMSIEELKEGIAIKTRKEFCKRPREKDAANRSSKRPRSSSDLKEETESC >EOY27477 pep chromosome:Theobroma_cacao_20110822:6:21972604:21976605:-1 gene:TCM_029316 transcript:EOY27477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97 / Spc98 family of spindle pole body component, putative isoform 3 MGEKEEEVLGNNSIEDVSWLCSLSESELDLLISLKMLAMKRARVIGHVQLAKNFDLKMLRALGFILMEYLKEKVKDSSIVSGVAESAAFIDSSNLLKSKLDDMMSIEELKEGIAIKTRKEFCKSRCSSII >EOY27475 pep chromosome:Theobroma_cacao_20110822:6:21972977:21976522:-1 gene:TCM_029316 transcript:EOY27475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97 / Spc98 family of spindle pole body component, putative isoform 3 MGEKEEEVLGNNSIEDVSWLCSLSESELDLLISLKMLAMKRARVIGHVQLAKNFDLKMLRALGFILMEYLKEKVKDSSIVSGVAESAAFIDSSNLLKSKLDDMMSIEELKEGIAIKTRKEFCKRFLYKDHVRRMRQIEVVKDLDHHQI >EOY25693 pep chromosome:Theobroma_cacao_20110822:6:1287107:1289895:1 gene:TCM_027078 transcript:EOY25693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSLHKEQEILQHFIGCPNIVRCFGGFTSVECERKIFNLFLEYASGGCLLDLMENSGKIPERHVKTVNIKLKVSIPRGCHDTFRREWRGSLLADRMLDCGLSEDSSYTDSRTNINYISNATYIQTGSSKRILPEFRAEFHQRRSLKLTPAKHTNYRIHRKVLQFTHAQVQRITNNFKTVLDKGGFGTVFHSYLDDTQVVVKMLSPSSVQRHKQFHAEVELLLRVNHRNLTALIGYCGDGINMELIYG >EOY26740 pep chromosome:Theobroma_cacao_20110822:6:17556884:17558932:-1 gene:TCM_028697 transcript:EOY26740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate family protein 14, putative MSKKLQKSLQDYLAKMKKPSPTTQFPSNSLSSSKKWTLKGCKHPKTLSFAVNHDRNDQGTCDNDDAATLSDVDRFLFENFKSLYIKDDNEEVNESRGVREDREDHHQVRSPGGILFESPRFVDPPPDLCGSNRFFVATGLSSSLIEEARSSAVTNTTMSTSEDVGSTSTSTSTNATANDSNSCGGDHTKCPSIPDECIAVLTYSPNPYDDFRRSMREMVEARLQHHSTIDWDFMEELLFCYLNLNDKKSYKFILSAFVDLIVTLRQNERKIPARSRNSKAVRDRRSRRTRYNNVT >EOY28882 pep chromosome:Theobroma_cacao_20110822:6:26782068:26784707:-1 gene:TCM_030361 transcript:EOY28882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set superfamily protein isoform 2 MSLAVGEDSSSKSMGFDKDGRDDSEASETKTEPKTPPNEGDVVEHHPAIARKASESSLCPTEDEDDDEERKIELGPQFTLKEQLEKDKDDESLRRWKEQLLGTVDFESVGETLEPDVKILSLAIKSPGRPDMVLPIPEDGKPKGLWFTLKEGSKYSLQFSFQVSNNIVSGLKYTNTVWKTGVKVDSTKEMLGTFSPQAEPYTHEMPEETTPSGMFARGSYSARSPAVIFLENQKKKERKMYICNPISLSVSIDSVTIFSNGSKPIVSGSMFHEPGFYRFKLFADDDNKSYLEINYTFDIRKEWQS >EOY28883 pep chromosome:Theobroma_cacao_20110822:6:26782858:26784968:-1 gene:TCM_030361 transcript:EOY28883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set superfamily protein isoform 2 MSLAVGEDSSSKSMGFDKDGRDDSEASETKTEPKTPPNEGDVVEHHPAIARKASESSLCPTEDEDDDEERKIELGPQFTLKEQLEKDKDDESLRRWKEQLLGTVDFESVGETLEPDVKILSLAIKSPGRPDMVLPIPEDGKPKGLWFTLKEGSKYSLQFSFQVSNNIVSGLKYTNTVWKTGVKVDSTKEMLGTFSPQAEPYTHEMPEETTPSGMFARGSYSA >EOY28884 pep chromosome:Theobroma_cacao_20110822:6:26782009:26784509:-1 gene:TCM_030361 transcript:EOY28884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin E-set superfamily protein isoform 2 MSLAVGEDSSSKSMGFDKDGRDDSEASETKTEPKTPPNEGDVVEHHPAIARKASESSLCPTEDEDDDEERKIELGPQFTLKEQLEKDKDDESLRRWKEQLLGTVDFESVGETLEPDVKILSLAIKSPGRPDMVLPIPEDGKPKGLWFTLKEGSKYSLQFSFQVSNNIVSGLKYTNTVWKTGVKVDSTKEMLGTFSPQAEPYTHEMPEETTPSGMFARGSYSARSKFADDDNKSYLEINYTFDIRKEWQS >EOY25885 pep chromosome:Theobroma_cacao_20110822:6:2561118:2563753:1 gene:TCM_027256 transcript:EOY25885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein MNALQQLILQRTDTQDDLDRFIPNRSAMDFDYAHYMLTQGRKIIEKTDNIRSPCTEAYQKRLAEALNMNQTRILAFKNKRPMSGRDMIRSEMGSQPAKKRRYISQTSERTLDAPEILDDFSLNLLDWGCNNVLAIALNDIVYLWDASNGSASELVTINSEDGPITSVSWAPDGQHIAIGLNNSHVQLWDCQVKRQLRTLRGGHRHGTRVNALAWNNHILTTGGMDARVINNDVRVREHTVECYMGHQLEVCSLKWSSSGQQLASGGNDNLLFIWDRFMASSNSRTQWLHKLDDHTAAVKALAWCPFQRNLLASGGSRSDQCIRFWNTHTGACLNSVDTGSEVCALLWNKHERELLSSHGFPDNQLILWKYPSMKKMAELLGHKSRALYMAESPNGCTVATAAGDERLQFWNVFGTPEVAISAAKLEPEPFANISRIR >EOY27405 pep chromosome:Theobroma_cacao_20110822:6:21570329:21571545:1 gene:TCM_029256 transcript:EOY27405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSKSPVHPKHETSDYACYEFDPQVNFSQFLEEARQHARDINFQRSSSCSEEVGKKRFGGEKKSKKSWKNSLFSWWKIDRKSKPSPDPVDGLHISKPTKGYGSGPLCGTARGVDTRRRRPSSGPVSILFHSTRKVENEVPYMCLDQPNDPYQINAYGPVYLVT >EOY27404 pep chromosome:Theobroma_cacao_20110822:6:21570002:21571804:1 gene:TCM_029256 transcript:EOY27404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSKSPVHPKHETSDYACYEFDPQVNFSQFLEEARQHARDINFQRSSSCSEEVGKKRFGGEKKSKKSWKNSLFSWWKIDRKSKPSPDPVDGLHISKPTKGYGSGPLCGTARGVDTRRRRPSSGPVSILFHSTRKVENEVPYMCLDQPNDPYQINAYGPVYLVT >EOY27403 pep chromosome:Theobroma_cacao_20110822:6:21570053:21571419:1 gene:TCM_029256 transcript:EOY27403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSKSPVHPKHETSDYACYEFDPQVNFSQFLEEARQHARDINFQRSSSCSEEVGKKRFGGEKKSKKSWKNSLFSWWKIDRKSKPSPDPVDGLHISKPTKGYGSGPLCGTARGVDTRRRRPSSGPVSILFHSTRKVENEVPYMCLDQPNDPYQINAYGPVYLVT >EOY28740 pep chromosome:Theobroma_cacao_20110822:6:26399692:26401625:-1 gene:TCM_030254 transcript:EOY28740 gene_biotype:protein_coding transcript_biotype:protein_coding description:F19K23.17 protein isoform 1 MMLTVNPPGAIGKSQAAFLGNITLFCKLPSAAIFRKLPAGIHCNLPSWKDSRKLTTHHLVSHRLAPKRWLCQSSGSVSSDDEYRSSRNIAISLFRRYRNVIDRGGGDNLKEFISAGVNAYALGCTDEGLRKELVAMKESGIEIEAMQNYGGSTSLKSKICAEEVDECILWLSIIFITILCTPQPTIVRWSSTPAVSDDVLYQWKGFCALIANAYYIRGMAWLPVKTLQLEQMAVVERAEEPSVVASRMRLVFSTLEVVSPQWPRV >EOY28739 pep chromosome:Theobroma_cacao_20110822:6:26399619:26401706:-1 gene:TCM_030254 transcript:EOY28739 gene_biotype:protein_coding transcript_biotype:protein_coding description:F19K23.17 protein isoform 1 MMLTVNPPGAIGKSQAAFLGNITLFCKLPSAAIFRKLPAGIHCNLPSWKDSRKLTTHHLVSHRLAPKRWLCQSSGSVSSDDEYRSSRNIAISLFRRYRNVIDRGGGDNLKEFISAGVNAYALGCTDEGLRKELVAMKESGIEIEAMQNYGGSTSLKSKICAEEVDECILWLSIIFITILCTPQPTIVRWSSTPAVSDDVLYQWKGFCALIANAYYIRGMAWLPVKTLQLEQMAVVERAEEPSVVASRMRLVFSTLEVVSPQWPRV >EOY28741 pep chromosome:Theobroma_cacao_20110822:6:26399749:26401811:-1 gene:TCM_030254 transcript:EOY28741 gene_biotype:protein_coding transcript_biotype:protein_coding description:F19K23.17 protein isoform 1 MMLTVNPPGAIGKSQAAFLGNITLFCKLPSAAIFRKLPAGIHCNLPSWKDSRKLTTHHLVSHRLAPKRWLEFISAGVNAYALGCTDEGLRKELVAMKESGIEIEAMQNYGGSTSLKSKICAEEVDECILWLSIIFITILCTPQPTIVRWSSTPAVSDDVLYQWKGFCALIANAYYIRGMAWLPVKTLQLEQMAVVERAEEPSVVASRMRLVFSTLEVVSPQWPRV >EOY27784 pep chromosome:Theobroma_cacao_20110822:6:23110000:23115947:-1 gene:TCM_029542 transcript:EOY27784 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acetyltransferase family protein isoform 1 MRFLTMEDSFLLENRATLRAMAEIGAILVYFYICDRTNLLGESTKKYNRDLFLFLYALLIIVSAMTSLKKHSDKSAFSGKTMQYLSRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSVARFTQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIFSKYNEIPSVMAVKILACFLVVILIWEIPGVFEIFWSPLSFLLGYTDPAKPDLSRLHEWHFRSGLDRYIWIIGMIYAYYHPNVEKWMEKLEECETKRKFSIKASVIAVSLFVGYMWYECIYKLDKVSYNKYHPYTSWIPISVYICLRNCTQQLRNYTLTLFAWLGKITLETYISQFHIWLRPEKARIRGLDRAFTLDIGKRIYSWSKIRTIRKMSADVAFESSVSNNFE >EOY27782 pep chromosome:Theobroma_cacao_20110822:6:23110645:23115726:-1 gene:TCM_029542 transcript:EOY27782 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acetyltransferase family protein isoform 1 MVVSGPITPGQVSFLLGVIPVFIAWIYSEFLEYKKSASHSKVHSDNNLVELGEETIKEDDRAVLLEGGLTRSASAKFHSASIKTNLMRFLTMEDSFLLENRATLRAMAEIGAILVYFYICDRTNLLGESTKKYNRDLFLFLYALLIIVSAMTSLKKHSDKSAFSGKTMQYLSRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSVARFTQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIFSKYNEIPSVMAVKILACFLVVILIWEIPGVFEIFWSPLSFLLGYTDPAKPDLSRLHEWHFRSGLDRYIWIIGMIYAYYHPNVEKWMEKLEECETKRKFSIKASVIAVSLFVGYMWYECIYKLDKVSYNKYHPYTSWIPISVYICLRNCTQQLRNYTLTLFAWLGKITLETYISQFHIWLRSDIPNGQPKWLLSLVPEYPLLNFMLTTAIYILISHRLFELTNTLKSVFIPTKENRRLLYNFVAGIAISLILYCTALILLQIPHSRA >EOY27785 pep chromosome:Theobroma_cacao_20110822:6:23110177:23116171:-1 gene:TCM_029542 transcript:EOY27785 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acetyltransferase family protein isoform 1 MVVSGPITPGQVSFLLGVIPVFIAWIYSEFLEYKKSASHSKVHSDNNLVELGEETIKEDDRAVLLEGGLTRSASAKFHSASIKTNLMRFLTMEDSFLLENRATLRAMAEIGAILVYFYICDRTNLLGESTKKYNRDLFLFLYALLIIVSAMTSLKKHSDKSAFSGKTMQYLSRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSVARFTQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIFSKYNEIPSVMAVKILACFLVVILIWEIPGVFEIFWSPLSFLLGYTDPAKPDLSRLHEWHFRSGLDRYIWIIGMIYAYYHPNVDIPNGQPKWLLSLVPEYPLLNFMLTTAIYILISHRLFELTNTLKSVFIPTKENRRLLYNFVAGIAISLILYCTALILLQIPHSRA >EOY27786 pep chromosome:Theobroma_cacao_20110822:6:23110645:23115726:-1 gene:TCM_029542 transcript:EOY27786 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acetyltransferase family protein isoform 1 MVVSGPITPGQVSFLLGVIPVFIAWIYSEFLEYKKSASHSKVHSDNNLVELGEETIKEDDRAVLLEGGLTRSASAKFHSASIKTNLMRFLTMEDSFLLENRATLRAMAEIGAILVYFYICDRTNLLGESTKKYNRDLFLFLYALLIIVSAMTSLKKHSDKSAFSGKTMQYLSRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSVARFTQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIFSKYNEIPSVMAVKILACFLVVILIWEIPGVFEIFWSPLSFLLGYTDPAKPDLSRLHEWHFRSGLDRYIWIIGMIYAYYHPNVDIPNGQPKWLLSLVPEYPLLNFMLTTAIYILVNIFSTLPCSANSFDYIHLFIFLSSCLASLQISHRLFELTNTLKSVFIPTKENRRLLYNFVAGIAISLILYCTALILLQIPHSRA >EOY27783 pep chromosome:Theobroma_cacao_20110822:6:23110873:23116167:-1 gene:TCM_029542 transcript:EOY27783 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acetyltransferase family protein isoform 1 MVVSGPITPGQVSFLLGVIPVFIAWIYSEFLEYKKSASHSKVHSDNNLVELGEETIKEDDRAVLLEGGLTRSASAKFHSASIKTNLMRFLTMEDSFLLENRATLRAMAEIGAILVYFYICDRTNLLGESTKKYNRDLFLFLYALLIIVSAMTSLKKHSDKSAFSGKTMQYLSRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSVARFTQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIFSKYNEIPSVMAVKILACFLVVILIWEIPGVFEIFWSPLSFLLGYTDPAKPDLSRLHEWHFRSGLDRYIWIIGMIYAYYHPNVEKWMEKLEECETKRKFSIKASVIAVSLFVGYMWYECIYKLDKVSYNKYHPYTSWIPISVYICLRNCTQQLRNYTLTLFASDIPNGQPKWLLSLVPEYPLLNFMLTTAIYILISHRLFELT >EOY26208 pep chromosome:Theobroma_cacao_20110822:6:5654664:5659898:1 gene:TCM_027633 transcript:EOY26208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MGEDGTPTFTVDEALLAMGFGKFQILVLAYAGMGWVSEAMEMMLLSFIGPAVQSIWGLSSHEESLITSVVFVGMLIGAYSWGVVSDKHGRRKGFLITAVVTSGAGFLSALAPNYVSLIILRCLVGLGLGGGPVLCSWFLEFIPAPSRGTWMVVFQGFWTVGTIFEASLAWFVMPTLGWRWLLALSSLPSSFLLLFYGLAPESPRFLCLKGRKAEALGILEKIARLNGAKVPSGILVSDHEIELAGKSIPMEDTQLLPPKDSEYTTPMETNPNAGGISSVLKLLSPELVRSTTLLWIVFFGNAFSYYGLVLLTTELHNGRNRCGPNELHSEKSQDVSYKDVFITTFAEFPGLLLSAATVDKFGRKFSMSIMFFLCCIFLPPLVFHQPQALTTGLLFGARICITTTFTVVYIYAPEIYPTSIRSTGVGVASSVGRIGGMVCPLVAVGLVHGCHQTAAILLFEIVIFVSGICVLFFPLETKGRDLSDSISSSKQTNQLV >EOY26209 pep chromosome:Theobroma_cacao_20110822:6:5655688:5658672:1 gene:TCM_027633 transcript:EOY26209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MGEDGTPTFTVDEALLAMGFGKFQILVLAYAGMGWVSEAMEMMLLSFIGPAVQSIWGLSSHEESLITSVVFVGMLIGAYSWGVVSDKHGRRKGFLITAVVTSGAGFLSALAPNYVSLIILRCLVGLGLGGGPVLCSWFLEFIPAPSRGTWMVVFQGFWTVGTIFEASLAWFVMPTLGWRWLLALSSLPSSFLLLFYGLAPESPRFLCLKGRKAEALGILEKIARLNGAKVPSGILVSDHEIELAGKSIPMEDTQLLPPKDSEYTTPMETNPNAGGISSVLKLLSPELVRSTTLLWIVFFGNAFSYYGLVLLTTELHNGRNRCGPNELHSEKSQDVSYKDVFITTFAESFLGSSYLLPQWINSVVSFQCQLCSSCVAFSCLHWYSISLRL >EOY26207 pep chromosome:Theobroma_cacao_20110822:6:5654824:5659894:1 gene:TCM_027633 transcript:EOY26207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MGEDGTPTFTVDEALLAMGFGKFQILVLAYAGMGWVSEAMEMMLLSFIGPAVQSIWGLSSHEESLITSVVFVGMLIGAYSWGVVSDKHGRRKGFLITAVVTSGAGFLSALAPNYVSLIILRCLVGLGLGGGPVLCSWFLEFIPAPSRGTWMVVFQGFWTVGTIFEASLAWFVMPTLGWRWLLALSSLPSSFLLLFYGLAPESPRFLCLKGRKAEALGILEKIARLNGAKVPSGILVSDHEIELAGKSIPMEDTQLLPPKDSEYTTPMETNPNAGGISSVLKLLSPELVRSTTLLWIVFFGNAFSYYGLVLLTTELHNGRNRCGPNELHSEKSQDVSYKDVFITTFAEFPGLLLSAATVDKFGRKFSMSIMFFLCCIFLPPLVFHQPQALTTGLLFGARICITTTFTVVYIYAPEIYPTSIRSTGVGVASSVGRIGGMVCPLVAVGLVHGCHQTAAILLFEIVIFVSGICVLFFPLETKGRDLSDSISSSKQTNQLV >EOY26206 pep chromosome:Theobroma_cacao_20110822:6:5654782:5659785:1 gene:TCM_027633 transcript:EOY26206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MGEDGTPTFTVDEALLAMGFGKFQILVLAYAGMGWVSEAMEMMLLSFIGPAVQSIWGLSSHEESLITSVVFVGMLIGAYSWGVVSDKHGRRKGFLITAVVTSGAGFLSALAPNYVSLIILRCLVGLGLGGGPVLCSWFLEFIPAPSRGTWMVVFQGFWTVGTIFEASLAWFVMPTLGWRWLLALSSLPSSFLLLFYGLAPESPRFLCLKGRKAEALGILEKIARLNGAKVPSGILVSDHEIELAGKSIPMEDTQLLPPKDSEYTTPMETNPNAGGISSVLKLLSPELVRSTTLLWIVFFGNAFSYYGLVLLTTELHNGRNRCGPNELHSEKSQDVSYKDVFITTFAEFPGLLLSAATVDKFGRKFSMSIMFFLCCIFLPPLVFHQPQALTTGLLFGARICITTTFTVVYIYAPEIYPTSIRSTGVGVASSVGRIGGMVCPLVAVGLVHGCHQTAAILLFEIVIFVSGICVLFFPLETKGRDLSDSISS >EOY26210 pep chromosome:Theobroma_cacao_20110822:6:5655688:5658482:1 gene:TCM_027633 transcript:EOY26210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MGEDGTPTFTVDEALLAMGFGKFQILVLAYAGMGWVSEAMEMMLLSFIGPAVQSIWGLSSHEESLITSVVFVGMLIGAYSWGVVSDKHGRRKGFLITAVVTSGAGFLSALAPNYVSLIILRCLVGLGLGGGPVLCSWFLEFIPAPSRGTWMVVFQGFWTVGTIFEASLAWFVMPTLGWRWLLALSSLPSSFLLLFYGLAPESPRFLCLKGRKAEALGILEKIARLNGAKVPSGILVSDHEIELAGKSIPMEDTQLLPPKDSEYTTPMETNPNAGGISSVLKLLSPELVRSTTLLWIVFFGNAFSYYGLVLLTTELHNGRNRCGPNELHSEKSQDVSYKDVFITTFAGIVLCLSSDNFIFL >EOY26205 pep chromosome:Theobroma_cacao_20110822:6:5654664:5659898:1 gene:TCM_027633 transcript:EOY26205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MGEDGTPTFTVDEALLAMGFGKFQILVLAYAGMGWVSEAMEMMLLSFIGPAVQSIWGLSSHEESLITSVVFVGMLIGAYSWGVVSDKHGRRKGFLITAVVTSGAGFLSALAPNYVSLIILRCLVGLGLGGGPVLCSWFLEFIPAPSRGTWMVVFQGFWTVGTIFEASLAWFVMPTLGWRWLLALSSLPSSFLLLFYGLAPESPRFLCLKGRKAEALGILEKIARLNGAKVPSGILVSDHEIELAGKSIPMEDTQLLPPKDSEYTTPMETNPNAGGISSVLKLLSPELVRSTTLLWIVFFGNAFSYYGLVLLTTELHNGRNRCGPNELHSEKSQDVSYKDVFITTFAEFPGLLLSAATVDKFGRKFSMSIMFFLCCIFLPPLVFHQPQALTTGLLFGARICITTTFTVVYIYAPEIYPTSIRSTGVGVASSVGRIGGMVCPLVAVGLVHGCHQTAAILLFEIVIFVSGICVLFFPLETKGRDLSDSISSSKQTNQLV >EOY27767 pep chromosome:Theobroma_cacao_20110822:6:23072767:23082968:-1 gene:TCM_029533 transcript:EOY27767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase 4 isoform 1 MSAKLSTCFFNHGFISLNYNNNSKKNVISYKKHVNLRLLFVPSRRLLPASCKMRQKNFSSQNKRPQGKKLPSEQIPTSAKLQPNSDEESEPENSVPNSVDMEHIVQNETLYEDDVNTRVDVEYINEQNLGTLSVSAIETNRDVEHTDGQNLDSLTLPAVTKALAINRDGGEQLSGVLLEDLIGMIKNAERNILLLNQARVHALEDLHKILSEKESLQGEINILEMRLAEADARIKVASQEKIHVELLEDQLEKLRNELIHRGGSGKSELELYENQNKISKEEMLLACDRHVHSLSKEVDSLRTENLALKHDIQALKSMLSNVKDTNEHMVTLENERSFLESALKELESKLSVSQQDSSNISALKVECKDLWAKVENLQLLLDKATKQADQAISVLQQNHDLRKKVDKLEESLEDANVFKLSSEKMQHYNELMQQKMKLLEERLQKSDQEIHSYVQLYQESVQEFQETLDSLKEESKKRALDEPVDDMPWEFWSHLLLTIDGWVLEKKISSSDANLLREFVQKRDRRIHDAFMACKEKNEREVISKFLHLTSSQASPGLYVIHIAAEMAPVAKVGGLGDVVTGLGKALQKKGHLVEIVLPKYDCMQYDRIRDLRALDVTVESYFDGKLFQNKVWVGTVEGLPVYFIEPHHPNKFFWRGQCYGEHDDFKRFSFFSRAALELLLQAGKKPDIIHCHDWQTAFVAPLYWDLYAPKGLNSARICFTCHNFEYQGSASASELASCGLDVQQLNRPDRMQDNSANDRVNPVKGAIVFSNIVTTVSPTYAQEVRTAEYSANDLQGKAENKAAMRRHLGLSSADDRQPLVGSITRLVPQKGMHLIRHAIYRTLEMGGQFVLLGSSPVAHIQREFEGIANQFQNHDHIRLILKYDESLSHYIYAASDMFIIPSIFEPCGLTQMIAMRYGSVPIARQTGGLKDSVFDVDDDTIPHQFQNGFTFMTPDEQGVNSALERAFNLYKHDKASWQRLVQKDMNIDFSWDSSASQYEELYAKSVARARAAASHT >EOY27769 pep chromosome:Theobroma_cacao_20110822:6:23073714:23082968:-1 gene:TCM_029533 transcript:EOY27769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase 4 isoform 1 MSAKLSTCFFNHGFISLNYNNNSKKNVISYKKHVNLRLLFVPSRRLLPASCKMRQKNFSSQNKRPQGKKLPSEQIPTSAKLQPNSDEESEPENSVPNSVDMEHIVQNETLYEDDVNTRVDVEYINEQNLGTLSVSAIETNRDVEHTDGQNLDSLTLPAVTKALAINRDGGEQLSGVLLEDLIGMIKNAERNILLLNQARVHALEDLHKILSEKESLQGEINILEMRLAEADARIKVASQEKIHVELLEDQLEKLRNELIHRGGSGKSELELYENQNKISKEEMLLACDRHVHSLSKEVDSLRTENLALKHDIQALKSMLSNVKDTNEHMVTLENERSFLESALKELESKLSVSQQDSSNISALKVECKDLWAKVENLQLLLDKATKQADQAISVLQQNHDLRKKVDKLEESLEDANVFKLSSEKMQHYNELMQQKMKLLEERLQKSDQEIHSYVQLYQESVQEFQETLDSLKEESKKRALDEPVDDMPWEFWSHLLLTIDGWVLEKKISSSDANLLREFVQKRDRRIHDAFMACKEKNEREVISKFLHLTSSQASPGLYVIHIAAEMAPVAKVGGLGDVVTGLGKALQKKGHLVEIVLPKYDCMQYDRIRDLRALDVTVESYFDGKLFQNKVWVGTVEGLPVYFIEPHHPNKFFWRGQCYGEHDDFKRFSFFSRAALELLLQAGKKPDIIHCHDWQTAFVAPLYWDLYAPKGLNSARICFTCHNFEYQGSASASELASCGLDVQQLNRPDRMQDNSANDRVNPVKGAIVFSNIVTTVSPTYAQEVRTAEGGRGLHSTLNFHSKKFMGILNGIDTDAWNPATDTFLKVQYSANDLQGKAENKAAMRRHLGLSSADDRQPLVGSITRLVPQKGMHLIRHAIYRTLEMGGQFVLLGSSPVAHIQREFEGIANQFQNHDHIRLILKYDESLSHYIYAASDMFIIPSIFEPCGLTQMIAMRYGSVPIARQTGGLKDSVFDVDDDTIPHQFQNGFTFMTPDEQGVNSALERAFNLYKHDKASWQRLVQKDMNIDFSWDSSASQYEELYAKSVARARAAASHT >EOY27768 pep chromosome:Theobroma_cacao_20110822:6:23073630:23083025:-1 gene:TCM_029533 transcript:EOY27768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase 4 isoform 1 MSAKLSTCFFNHGFISLNYNNNSKKNVISYKKHVNLRLLFVPSRRLLPASCKMRQKNFSSQNKRPQGKKLPSEQIPTSAKLQPNSDEESEPENSVPNSVDMEHIVQNETLYEDDVNTRVDVEYINEQNLGTLSVSAIETNRDVEHTDGQNLDSLTLPAVTKALAINRDGGEQLSGVLLEDLIGMIKNAERNILLLNQARVHALEDLHKILSEKESLQGEINILEMRLAEADARIKVASQEKIHVELLEDQLEKLRNELIHRGGSGKSELELYENQNKISKEEMLLACDRHVHSLSKEVDSLRTENLALKHDIQALKSMLSNVKDTNEHMVTLENERSFLESALKELESKLSVSQQDSSNISALKVECKDLWAKVENLQLLLDKATKQADQAISVLQQNHDLRKKVDKLEESLEDANVFKLSSEKMQHYNELMQQKMKLLEERLQKSDQEIHSYVQLYQESVQEFQETLDSLKEESKKRALDEPVDDMPWEFWSHLLLTIDGWVLEKKISSSDANLLREFVQKRDRRIHDAFMACKEKNEREVISKFLHLTSSQASPGLYVIHIAAEMAPVAKVGGLGDVVTGLGKALQKKGHLVEIVLPKYDCMQYDRIRDLRALDVTVESYFDGKLFQNKVWVGTVEGLPVYFIEPHHPNKFFWRGQCYGEHDDFKRFSFFSRAALELLLQAGKKPDIIHCHDWQTAFVAPLYWDLYAPKGLNSARICFTCHNFEYQGSASASELASCGLDVQQLNRPDRMQDNSANDRVNPVKGAIVFSNIVTTVSPTYAQEVRTAEGGRGLHSTLNFHSKKFMGILNGIDTDAWNPATDTFLKVQYSANDLQGKAENKAAMRRHLGLSSADDRQPLVGSITRLVPQKGMHLIRHAIYRTLEMGGQFVLLGSSPVAHIQREFEGIANQFQNHDHIRLILKYDESLSHYIYAASDMFIIPSIFEPCGLTQMIAMRYGSVPIARQTGGLKDSVFDVDDDTIPHQFQNGFTFMTPDEQGVNSALERAFNLYKHDKASWQRLVQKDMNIDFSWDSSASQYEELYAKSVARARAAASHT >EOY27766 pep chromosome:Theobroma_cacao_20110822:6:23073538:23083025:-1 gene:TCM_029533 transcript:EOY27766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase 4 isoform 1 MSAKLSTCFFNHGFISLNYNNNSKKNVISYKKHVNLRLLFVPSRRLLPASCKMRQKNFSSQNKRPQGKKLPSEQIPTSAKLQPNSDEESEPENSVPNSVDMEHIVQNETLYEDDVNTRVDVEYINEQNLGTLSVSAIETNRDVEHTDGQNLDSLTLPAVTKALAINRDGGEQLSGVLLEDLIGMIKNAERNILLLNQARVHALEDLHKILSEKESLQGEINILEMRLAEADARIKVASQEKIHVELLEDQLEKLRNELIHRGGSGKSELELYENQNKISKEEMLLACDRHVHSLSKEVDSLRTENLALKHDIQALKSMLSNVKDTNEHMVTLENERSFLESALKELESKLSVSQQDSSNISALKVECKDLWAKVENLQLLLDKATKQADQAISVLQQNHDLRKKVDKLEESLEDANVFKLSSEKMQHYNELMQQKMKLLEERLQKSDQEIHSYVQLYQESVQEFQETLDSLKEESKKRALDEPVDDMPWEFWSHLLLTIDGWVLEKKISSSDANLLREFVQKRDRRIHDAFMACKEKNEREVISKFLHLTSSQASPGLYVIHIAAEMAPVAKVGGLGDVVTGLGKALQKKGHLVEIVLPKYDCMQYDRIRDLRALDVTVESYFDGKLFQNKVWVGTVEGLPVYFIEPHHPNKFFWRGQCYGEHDDFKRFSFFSRAALELLLQAGKKPDIIHCHDWQTAFVAPLYWDLYAPKGLNSARICFTCHNFEYQGSASASELASCGLDVQQLNRPDRMQDNSANDRVNPVKGAIVFSNIVTTVSPTYAQEVRTAEGGRGLHSTLNFHSKKFMGILNGIDTDAWNPATDTFLKVQYSANDLQGKAENKAAMRRHLGLSSADDRQPLVGSITRLVPQKGMHLIRHAIYRTLEMGGQFVLLGSSPVAHIQREFEGIANQFQNHDHIRLILKYDESLSHYIYAASDMFIIPSIFEPCGLTQMIAMRYGSVPIARQTGGLKDSVFDVDDDTIPHQFQNGFTFMTPDEQGVNSALERAFNLYKHDKASWQRLVQKDMNIDFSWDSSASQYEELYAKSVARARAAASHT >EOY26778 pep chromosome:Theobroma_cacao_20110822:6:17826885:17829809:1 gene:TCM_028737 transcript:EOY26778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein MDFWPEFLASSWGREFVAGGFGGMAGIISGYPLDTLRIRQQSSNSGSALSILRRVVATEGPGALYRGMGAPLASVTFQNAMVFQIYAILSRAFDSSVSSTDPPAYKGVALAGVGTGALQSIMLSPVELVKIRLQLQNTSYPTLQLPRALTGPVTVAKSILKTEGLRGLYCGFTITALRDAPAHGFYFWTYEYMREQLHPGCRKSGQESLRTMLIAGGLAGVASWICCYPLDVVKTRLQAQSPSSPQKYNGIIDCLQKSVKQEGFGVLWRGLGTAVARAFVVNGAIFSAYEITLRCLFNNGNIQTENTIENNLDNT >EOY25788 pep chromosome:Theobroma_cacao_20110822:6:1897528:1899058:-1 gene:TCM_027152 transcript:EOY25788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo-specific protein 3 isoform 2 MIRAVTLLLPLTFIFFNVADATRILPLPQLQPQPQPVKSLKINASQDVGSCSYTVSIRTSCSSTSYTRDQISLAFGDAYGNQVYAPRLDDPYSRTFERCSTDTFQIKGPCTYQVCSLYLYRSGNDGWKPESVTVYGYYTKSVTFYYNTFIPYGVWYGFNLCNGGASASS >EOY25789 pep chromosome:Theobroma_cacao_20110822:6:1897891:1902512:-1 gene:TCM_027152 transcript:EOY25789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo-specific protein 3 isoform 2 MIRAVTLLLPLTFIFFNVADATRILPLPQLQPQPQPVKSLKINASQDVGSCSYTVSIRTSCSSTSYTRDQISLAFGDAYGNQVYAPRLDDPYSRTFERCSTDTFQIKGPCTYQVCSLYLYRSGNDGWKPESVTVYGYYTKSVTFYYNTFIPYGVW >EOY26839 pep chromosome:Theobroma_cacao_20110822:6:18460171:18461612:-1 gene:TCM_028816 transcript:EOY26839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-dependent peroxidase 1 isoform 2 MTCTAVGDTIPDGTLSYADEDDQILNVSVHSLAACKQVILVGVPGAFTPTCSLKHVPGFIEKAEELKSKGIINDPYVMKAWAKSYPENKHVKFFSDSSAAYIKTLGLDLDVSDRGFGVRSQRFALLIDDLKVKVVNVESGGQFKVSSAEDMLKSL >EOY26838 pep chromosome:Theobroma_cacao_20110822:6:18460192:18461544:-1 gene:TCM_028816 transcript:EOY26838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-dependent peroxidase 1 isoform 2 MTCTAVGDTIPDGTLSYADEDDQILNVSVHSLAACKQVILVGVPGAFTPTCSLKHVPGFIEKAEELKSKGISEIFLISVNDPYVMKAWAKSYPENKHVKFFSDSSAAYIKTLGLDLDVSDRGFGVRSQRFALLIDDLKVKVVNVESGGQFKVSSAEDMLKSL >EOY27925 pep chromosome:Theobroma_cacao_20110822:6:23605981:23608835:-1 gene:TCM_029637 transcript:EOY27925 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative MGCSGSKRTRGDEAAKKIRRPKPWKHSEPITRTQLMQMRDEFWDTAPHYGGQKEIWDALRAAAEAELILAQAIIDSAGVIVQNDDLTICYDERGAKYELPKYVLSDPINLTHEN >EOY27327 pep chromosome:Theobroma_cacao_20110822:6:21257233:21260238:-1 gene:TCM_029194 transcript:EOY27327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKVFWAEVVNTANYILNMAYTRVLSNKTACEMWHEHKPSVSHMKTFGSVYCAKIPAEKRSTFDPKPVLAIFIGYSDLSRSYKLYNVKSEKVFINKDVKFDERLSWNWDNEIVENSGDVFLGVDEQLQGDNYELTNLEDENLAVKGTRSLEDIYNKCNLAMTDPTSFWIYRTKLNLDGSINKYKARLAVNESINWGGLENIPFGCQVSRSKWNLKEKIYIAQPEGYERIDDYFKGQGLNRSITEPTFYVKSSNESAELVVALYVDDLLITRPDTEYLQEFKAQMMSIFEITGLGLMSYFLKMEVQKNTPLTAGNKFSKNDGSAKADGSIYRSIVGSLLYLSATKPDIRYATCLLSRVIQTPSLVHFTAAKRILRYVKGMIVFGLLYLKKDSGELQGFSDNDWARSVDDSKSTGSFCFLLGSAVFTRASKKQEIVAQSSAEAEYIAIALAAIHTKWLRKDAIKDQEIELRYCQTDDQLADIFTIGLGKERFELLRAGLGIYQVPNQGGVLKG >EOY27014 pep chromosome:Theobroma_cacao_20110822:6:19736322:19741196:-1 gene:TCM_028968 transcript:EOY27014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MADALVSAVLQQLTAVVYQEIEQEVTLVVDVRKEAQKLKSTLQTIQAVLIDAEKRQVKEEAVKLWLDKLKGTSYDMDDLIDEWNAFILTSQIAKKKVCYCVPSPCFSFSKIVLHRDFAVKIKDLNKRLQVIGREKDTFSFDLVRGNEEVERPITTSFIDVSEICGRDQDKHTILNKLLSENSQEQRGPHIISVVGMGGIGKTTLAQLAYNDQRVKAYFDKRIWVCVSDPFDEIRIAKAILEALTEVAPNVIELETLLQKIHHLIERKKFLLVLDDVWTEDCTKWESLKHSLKCGSPGSKILITTRKENVANIMGSTTLFPLGQLSEEECWLLFSQVAFFGRTSEECKCLEGIGRKIANKCKGLPLAAKVLGGLLRFKKSKEQWQSVLDSELWELEEAEKGIFPPLLLSYYDLSSTLKQCFSYCAIFLKDSVIEKDKLIKLWMAQGFFKGTKNKQVETIGQECFDDLAMRSFFQDFQKNENNSRILKCKMHDIVHDFARFLTKNECSMLEVKAVNDPKIESCTEKGRHLVVVLEKGCSFLPYIYNFQKLRSLLIKSYNKNSSIGGALPRLFDELICLRSLDLSWCLIKEIPKEIGKLIRLRYLKLSNNHHLRELPETLCDLYNLQTLDLTRCRSLRTLPSGIGKLLNLRHLDNWETFRLRVMPKGLERLTCLRTLKELVVGDGCNDSGTFTIGDLANLSCLQGDLNIRGLGNATDLTEARKAKLRNKNDLIGLTLNFDFSTGRIGGEDIILEALQPPPYIERLEIRCFNGPLLFPSWLQSSTLAQLRRITLSNCRNWEYLPPLGKLPSLESLEMLNMKRVKTVGVEFLGVTREEGQASSPTSSLASSSSMIAFPNLTSLRFTNMGEWKDWISCEIASTRGAEVDIAVMPRLHSLDIQRCPMLKTLPDHLLRLTSLKELSIAWCPILSEYCRKEWPSISYIRDIRVDGVYVQRNGH >EOY27414 pep chromosome:Theobroma_cacao_20110822:6:21591906:21600763:1 gene:TCM_029262 transcript:EOY27414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRARLVVFPIRGRNWCFSRSIDPSAAESSAANTPSTVKELWKKMSSDSKPLNAKAEVLVDFVSNKMNKAWMGLEKAPEGSFKNKLHGFGLRLLARVKPTEILLKSITKEVTNVQITYPSSLNARLVRRRLRQIALRGTVIHRKYLYGSVSLLPVTTAFAVLPLPNIPFFWVLFRTYSHWRAFQGSVKLLQLVSDYSQAQNSIVSNGKSNESGQNDSNYGTKDPQGLVWVLEPSKELEHIVRRGLETGSLSEQAISDICITFSLNKTDVLNLNARLVRRRLRQIALTGTVIHRKYLYGSVSLLPLTTPFSVLPLPLPNIPFFWVLFRTYSHWRAFQGSVKLLQLVSDHSQAQNSIVSNGKSNESGQNKSKYGTKEPQGLQWVLEPSKKLEHIVRRGVETGSLSEHATSDICMTFSLNKTDVLKYRDLV >EOY27462 pep chromosome:Theobroma_cacao_20110822:6:21905005:21909419:-1 gene:TCM_029308 transcript:EOY27462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 1 isoform 1 MDPEAGSVTRESRLKIYRTTLCLAYQSFGVVYGDLSTSPIYVYKSTFSGRLSLHEEDDEILGVLSLVFWTLTLIPLCKYIIFVLGADDNGEGGTFALYSLLCRRAKLGLLSASDAPDDDISAYNSGLPRKETVASSILKEFFDKYQSSRIVLLLLVLLGTSMVIGDGILTPSMSVLSAVNGIKIKATGLHDNYTVLIACVILVGLFELQHVGTRRVGFLFAPILLAWLFCISVVGIYNIIHWNPRVISALSPYYVYNFFKKTGKDGWSSLGGIVLCVTGLSITPQDKPILFKVILIRIMNIPSFNLSGAEAMFADLGHFSQLSIRIAFTVVVYPCLILAYMGEAAYLSKHKVDLQRSFYKSIPEVVFWPVFIIATLATVVGSQAIISATFSIISQCRALKCFPRVRVIHTSNHIHGQIYIPEVNWILMVLCLAVVAGYRDTDMIGNAYGLAVITVMLVTTCLMFLVIVLVWKRNILGAVAFVIVFGSLEMSYFSACLAKVHKGGWFPLIFSLIVLSTMCIWHYGTLKKHSYESHNKVSLDMLLSMGPNLGINRVPGIGLIYSNVTTGVPPMFTHFVTNFPAFHRILIFVTIRSLTVPKVPLNQRYVISRIGPAEFRLFQCVVRYGYKDERKDSHDFESRLIETVAEFLQSGSDDSEVRALGMEFGLNQQQSSPPVDVAALGLENGISSRASKRTVRFRGVGCSKELEDLTEAKESGLAYMMGSTCILASETSSYLKKFVINIVYGFLRQNCRHPATALGIPHTSLIEVGMVYRV >EOY27463 pep chromosome:Theobroma_cacao_20110822:6:21904904:21909635:-1 gene:TCM_029308 transcript:EOY27463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 1 isoform 1 MVIGDGILTPSMSVLSAVNGIKIKATGLHDNYTVLIACVILVGLFELQHVGTRRVGFLFAPILLAWLFCISVVGIYNIIHWNPRVISALSPYYVYNFFKKTGKDGWSSLGGIVLCVTGAEAMFADLGHFSQLSIRIAFTVVVYPCLILAYMGEAAYLSKHKVDLQRSFYKSIPEVVFWPVFIIATLATVVGSQAIISATFSIISQCRALKCFPRVRVIHTSNHIHGQIYIPEVNWILMVLCLAVVAGYRDTDMIGNAYGLAVITVMLVTTCLMFLVIVLVWKRNILGAVAFVIVFGSLEMSYFSACLAKVHKGGWFPLIFSLIVLSTMCIWHYGTLKKHSYESHNKVSLDMLLSMGPNLGINRVPGIGLIYSNVTTGVPPMFTHFVTNFPAFHRILIFVTIRSLTVPKVPLNQRYVISRIGPAEFRLFQCVVRYGYKDERKDSHDFESRLIETVAEFLQSGSDDSEVRALGMEFGLNQQQSSPPVDVAALGLENGISSRASKRTVRFRGVGCSKELEDLTEAKESGLAYMMGSTCILASETSSYLKKFVINIVYGFLRQNCRHPATALGIPHTSLIEVGMVYRV >EOY27460 pep chromosome:Theobroma_cacao_20110822:6:21904623:21909888:-1 gene:TCM_029308 transcript:EOY27460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 1 isoform 1 MDPEAGSVTRESRLKIYRTTLCLAYQSFGVVYGDLSTSPIYVYKSTFSGRLSLHEEDDEILGVLSLVFWTLTLIPLCKYIIFVLGADDNGEGGTFALYSLLCRRAKLGLLSASDAPDDDISAYNSGLPRKETVASSILKEFFDKYQSSRIVLLLLVLLGTSMVIGDGILTPSMSDEPYEIEYNCFLLLDLMASKLRPPVFMIVSQTSIFDLPLECRIPFQLLQDYTVLIACVILVGLFELQHVGTRRVGFLFAPILLAWLFCISVVGIYNIIHWNPRVISALSPYYVYNFFKKTGKDGWSSLGGIVLCVTGAEAMFADLGHFSQLSIRIAFTVVVYPCLILAYMGEAAYLSKHKVDLQRSFYKSIPEVVFWPVFIIATLATVVGSQAIISATFSIISQCRALKCFPRVRVIHTSNHIHGQIYIPEVNWILMVLCLAVVAGYRDTDMIGNAYGLAVITVMLVTTCLMFLVIVLVWKRNILGAVAFVIVFGSLEMSYFSACLAKVHKGGWFPLIFSLIVLSTMCIWHYGTLKKHSYESHNKVSLDMLLSMGPNLGINRVPGIGLIYSNVTTGVPPMFTHFVTNFPAFHRILIFVTIRSLTVPKVPLNQRYVISRIGPAEFRLFQCVVRYGYKDERKDSHDFESRLIETVAEFLQSGSDDSEVRALGMEFGLNQQQSSPPVDVAALGLENGISSRASKRTVRFRGVGCSKELEDLTEAKESGLAYMMGSTCILASETSSYLKKFVINIVYGFLRQNCRHPATALGIPHTSLIEVGMVYRV >EOY27461 pep chromosome:Theobroma_cacao_20110822:6:21904904:21909635:-1 gene:TCM_029308 transcript:EOY27461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 1 isoform 1 MDPEAGSVTRESRLKIYRTTLCLAYQSFGVVYGDLSTSPIYVYKSTFSGRLSLHEEDDEILGVLSLVFWTLTLIPLCKYIIFVLGADDNGEGGTFALYSLLCRRAKLGLLSASDAPDDDISAYNSGLPRKETVASSILKEFFDKYQSSRIVLLLLVLLGTSMVIGDGILTPSMSVLSAVNGIKIKATGLHDNYTVLIACVILVGLFELQHVGTRRVGFLFAPILLAWLFCISVVGIYNIIHWNPRVISALSPYYVYNFFKKTGKDGWSSLGGIVLCVTGAEAMFADLGHFSQLSIRIAFTVVVYPCLILAYMGEAAYLSKHKVDLQRSFYKSIPEVVFWPVFIIATLATVVGSQAIISATFSIISQCRALKCFPRVRVIHTSNHIHGQIYIPEVNWILMVLCLAVVAGYRDTDMIGNAYGLAVITVMLVTTCLMFLVIVLVWKRNILGAVAFVIVFGSLEMSYFSACLAKVHKGGWFPLIFSLIVLSTMCIWHYGTLKKHSYESHNKVSLDMLLSMGPNLGINRVPGIGLIYSNVTTGVPPMFTHFVTNFPAFHRILIFVTIRSLTVPKVPLNQRYVISRIGPAEFRLFQCVVRYGYKDERKDSHDFESRLIETVAEFLQSGSDDSEVRALGMEFGLNQQQSSPPVDVAALGLENGISSRASKRTVRFRGVGCSKELEDLTEAKESGLAYMMGSTCILASETSSYLKKFVINIVYGFLRQNCRHPATALGIPHTSLIEVGMVYRV >EOY26956 pep chromosome:Theobroma_cacao_20110822:6:19355605:19357670:-1 gene:TCM_028910 transcript:EOY26956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin 5 MSWQTYVDDHLMCEIDSGHHLSAAAIIGHDGSVWAQSTSFPQFKPEEIIAIMKDFDEPGSLAPSGLHLGGTKYMVIQGEPGAVIRGKKGAGGITVKKTGQALIFGLYDEPVTPGQCNMVVERLGDYLVDQGL >EOY28928 pep chromosome:Theobroma_cacao_20110822:6:26888377:26889757:-1 gene:TCM_030391 transcript:EOY28928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMMEGLESGSELRKELERERRRIRDRQRRQSMSLEEREKHLARRRRNYQLRRQRAETARLNPAPPIQLQQTSISSVQLSLSNAATCVSDVSPHFDDAAAAAAGLVGSNHGQERPMPDTRSCQSLEIPAHKLAILPGKVRLNRIKHLARAMNDPVGDGVAVGGMMRENGASDYHCSGLVSRGLRLN >EOY28925 pep chromosome:Theobroma_cacao_20110822:6:26887215:26889492:-1 gene:TCM_030391 transcript:EOY28925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMMEGLESGSELRKELERERRRIRDRQRRQSMSLEEREKHLARRRRNYQLRRQRAETARLNPAPPIQLQQTSISSVQLSLSNAATCVSDVSPHFDDAAAAAAGLVGSNHGQERPMPDTRSCQSLEIPAHKLAILPGKVRLNRIKHLARAMNDPVGDGVAVGGMMRENGASDCLVSRGLRLNRVKRLARALNPAAQETVSQSHQSITEG >EOY28924 pep chromosome:Theobroma_cacao_20110822:6:26887497:26889535:-1 gene:TCM_030391 transcript:EOY28924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMMEGLESGSELRKELERERRRIRDRQRRQSMSLEEREKHLARRRRNYQLRRQRAETARLNPAPPIQLQQTSISSVQLSLSNAATCVSDVSPHFDDAAAAAAGLVGSNHGQERPMPDTRSCQSLEIPAHKLAILPGKVRLNRIKHLARAMNDPVGDGVAVGGMMRENGASDCLVSRGLRLNRVKRLARALNPAAQETVSQSHQSITEG >EOY28927 pep chromosome:Theobroma_cacao_20110822:6:26887237:26889692:-1 gene:TCM_030391 transcript:EOY28927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMMEGLESGSELRKELERERRRIRDRQRRQSMSLEEREKHLARRRRNYQLRRQRAETARLNPAPPIQLQQTSISSVQLSLSNAATCVSDVSPHFDDAAAAAAGLVGSNHGQERPMPDTRSCQSLEIPAHKLAILPGKVRLNRIKHLARAMNDPVGDGVAVGGMMRENGASDCLVSRGLRLNRVKRLARALNPAAQETVSQSHQSITEG >EOY28923 pep chromosome:Theobroma_cacao_20110822:6:26887131:26889763:-1 gene:TCM_030391 transcript:EOY28923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMMEGLESGSELRKELERERRRIRDRQRRQSMSLEEREKHLARRRRNYQLRRQRAETARLNPAPPIQLQQTSISSVQLSLSNAATCVSDVSPHFDDAAAAAAGLVGSNHGQERPMPDTRSCQSLEIPAHKLAILPGKVRLNRIKHLARAMNDPVGDGVAVGGMMRENGASDCLVSRGLRLNRVKRLARALNPAAQETVSQSHQSITEDWRTKMIAIAERSITDVENSLEKRVRDIQN >EOY28926 pep chromosome:Theobroma_cacao_20110822:6:26887215:26889556:-1 gene:TCM_030391 transcript:EOY28926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMMEGLESGSELRKELERERRRIRDRQRRQSMSLEEREKHLARRRRNYQLRRQRAETARLNPAPPIQLQQTSISSVQLSLSNAATCVSDVSPHFDDAAAAAAGLVGSNHGQERPMPDTRSCQSLEIPAHKLAILPGKVRLNRIKHLARAMNDPVGDGVAVGGMMRENGASDCLVSRGLRLNRVKRLARALNPAAQETVSQSHQSITEG >EOY28181 pep chromosome:Theobroma_cacao_20110822:6:24478392:24480566:-1 gene:TCM_029821 transcript:EOY28181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRFENGRHKHTQGSDGRITRAVQTRISRSTQSTWNDLSVSYLETRSLIPLTRRLFFRRTPSYHWHLHFLFLLPAPRSLIYIFAPFARVYLAATVFHLAYHISRPSNTTLVKKSNRFIRFRHVYRTSQFSAQNLYFPFHI >EOY27689 pep chromosome:Theobroma_cacao_20110822:6:22815032:22816278:1 gene:TCM_029476 transcript:EOY27689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLLAIVEREAGGQTKNFINQNYVYGLLCKSVHEDPMICFQSWYELGHGMRNGKVWKMVFYAIAWSIWLFRNEVDFKGKGNSVETFDLIKLRLAWWVKAKWPKLNLCIIMWEEPPLGSLKFNIDAACKGCMGEAGIRGVLKDDKGSMLLIFSKVVGVMDSNAAELMALWEGFQIIVASDWARSHNIIFESNSHNAIIWVLNPHQVSWRMRSTIMKTEGLKLKIVSWSVRQVPCSAHGKRGG >EOY28231 pep chromosome:Theobroma_cacao_20110822:6:24655905:24659124:1 gene:TCM_029858 transcript:EOY28231 gene_biotype:protein_coding transcript_biotype:protein_coding description:F3H9.11 protein isoform 1 MKICIYFGLFTGEDQESDNVPKVNDSLPLNNSGSPLINEVQSVRIDNWTSLPVIVEGTEVRVMPPPPPSYFLGYTLPPGHPCATFSLPPPPADKKRTGPRPCPVCYLPVEDAIALMPKVPSFSPVVKNLTYIYEENLNKETEFGGSDFGGYPTLKQRDDSYDIRESMNVHCGFVKGSKPGHGTGFDIDDNDLLEMEKCHGVVVASAIFGAFDIIQQPKNISEYSKQTICFYMFADEETEADLKANRGLGESKKIGVWRIVVVRNLPYTDGRRNGKIPKLLPHRLFPNARFSLWIDGKLELVADPYQILERFLWRKNATFAISRHYKRFDVFDEAEANKAAGKYDNASIDFQVDFYKKEGLTPYSEAKLPITSDVPEGCVIIREHVPISNLFTCLWFNDVDRFTSRDQISFSTVRDKIAAKTNWSVNMFLDCERRNFVVQKHHKEVLAHLAQMSPPTIYPPPSPLSLANDPPRLFSLETSGESIVSTPLRKVSPRRGRDKRSGSRRHRKVVRGTDSS >EOY28230 pep chromosome:Theobroma_cacao_20110822:6:24654677:24658920:1 gene:TCM_029858 transcript:EOY28230 gene_biotype:protein_coding transcript_biotype:protein_coding description:F3H9.11 protein isoform 1 MTGGSLGIRSGSYGSLDKQLQNGVLPIQAPSATRTKPSKMFKEKETLVHWICKFAGRKKVGMLLLCAISAAVFVWVLYVGKGEDQESDNVPKVNDSLPLNNSGSPLINEVQSVRIDNWTSLPVIVEGTEVRVMPPPPPSYFLGYTLPPGHPCATFSLPPPPADKKRTGPRPCPVCYLPVEDAIALMPKVPSFSPVVKNLTYIYEENLNKETEFGGSDFGGYPTLKQRDDSYDIRESMNVHCGFVKGSKPGHGTGFDIDDNDLLEMEKCHGVVVASAIFGAFDIIQQPKNISEYSKQTICFYMFADEETEADLKANRGLGESKKIGVWRIVVVRNLPYTDGRRNGKIPKLLPHRLFPNARFSLWIDGKLELVADPYQILERFLWRKNATFAISRHYKRFDVFDEAEANKAAGKYDNASIDFQVDFYKKEGLTPYSEAKLPITSDVPEGCVIIREHVPISNLFTCLWFNDVDRFTSRDQISFSTVRDKIAAKTNWSVNMFLDCERRNFVVQLVPLASLPL >EOY28232 pep chromosome:Theobroma_cacao_20110822:6:24654677:24659663:1 gene:TCM_029858 transcript:EOY28232 gene_biotype:protein_coding transcript_biotype:protein_coding description:F3H9.11 protein isoform 1 MTGGSLGIRSGSYGSLDKQLQNGVLPIQAPSATRTKPSKMFKEKETLVHWICKFAGRKKVGMLLLCAISAAVFVWVLYVGKGEDQESDNVPKVNDSLPLNNSGSPLINEVQSVRIDNWTSLPVIVEGTEVRVMPPPPPSYFLGYTLPPGHPCATFSLPPPPADKKRTGPRPCPVCYLPVEDAIALMPKVPSFSPVVKNLTYIYEENLNKETEFGGSDFGGYPTLKQRDDSYDIRESMNVHCGFVKGSKPGHGTGFDIDDNDLLEMEKCHGVVVASAIFGAFDIIQQPKNISEYSKQTICFYMFADEETEADLKANRGLGESKKIGVWRIVVVRNLPYTDGRRNGKIPKLLPHRLFPNARFSLWIDGKLELVADPYQILERFLWRKNATFAISRHYKRFDVFDEAEANKAAGKYDNASIDFQVDFYKKEGLTPYSEAKLPITSGRVQDACSCFILFGYASFSLMNFALMAGSADVPEGCVIIREHVPISNLFTCLWFNDVDRFTSRDQISFSTVRDKIAAKTNWSVNMFLDCERRNFVVQKHHKEVLAHLAQMSPPTIYPPPSPLSLANDPPRLFSLETSGESIVSTPLRKVSPRRGRDKRSGSRRHRKVVRGTDSS >EOY28228 pep chromosome:Theobroma_cacao_20110822:6:24654563:24659482:1 gene:TCM_029858 transcript:EOY28228 gene_biotype:protein_coding transcript_biotype:protein_coding description:F3H9.11 protein isoform 1 MTGGSLGIRSGSYGSLDKQLQNGVLPIQAPSATRTKPSKMFKEKETLVHWICKFAGRKKVGMLLLCAISAAVFVWVLYVGKGEDQESDNVPKVNDSLPLNNSGSPLINEVQSVRIDNWTSLPVIVEGTEVRVMPPPPPSYFLGYTLPPGHPCATFSLPPPPADKKRTGPRPCPVCYLPVEDAIALMPKVPSFSPVVKNLTYIYEENLNKETEFGGSDFGGYPTLKQRDDSYDIRESMNVHCGFVKGSKPGHGTGFDIDDNDLLEMEKCHGVVVASAIFGAFDIIQQPKNISEYSKQTICFYMFADEETEADLKANRGLGESKKIGVWRIVVVRNLPYTDGRRNGKIPKLLPHRLFPNARFSLWIDGKLELVADPYQILERFLWRKNATFAISRHYKRFDVFDEAEANKAAGKYDNASIDFQVDFYKKEGLTPYSEAKLPITSDVPEGCVIIREHVPISNLFTCLWFNDVDRFTSRDQISFSTVRDKIAAKTNWSVNMFLDCERRNFVVQKHHKEVLAHLAQMSPPTIYPPPSPLSLANDPPRLFSLETSGESIVSTPLRKVSPRRGRDKRSGSRRHRKVVRGTDSS >EOY28229 pep chromosome:Theobroma_cacao_20110822:6:24655301:24659663:1 gene:TCM_029858 transcript:EOY28229 gene_biotype:protein_coding transcript_biotype:protein_coding description:F3H9.11 protein isoform 1 MSLARAGEDQESDNVPKVNDSLPLNNSGSPLINEVQSVRIDNWTSLPVIVEGTEVRVMPPPPPSYFLGYTLPPGHPCATFSLPPPPADKKRTGPRPCPVCYLPVEDAIALMPKVPSFSPVVKNLTYIYEENLNKETEFGGSDFGGYPTLKQRDDSYDIRESMNVHCGFVKGSKPGHGTGFDIDDNDLLEMEKCHGVVVASAIFGAFDIIQQPKNISEYSKQTICFYMFADEETEADLKANRGLGESKKIGVWRIVVVRNLPYTDGRRNGKIPKLLPHRLFPNARFSLWIDGKLELVADPYQILERFLWRKNATFAISRHYKRFDVFDEAEANKAAGKYDNASIDFQVDFYKKEGLTPYSEAKLPITSDVPEGCVIIREHVPISNLFTCLWFNDVDRFTSRDQISFSTVRDKIAAKTNWSVNMFLDCERRNFVVQKHHKEVLAHLAQMSPPTIYPPPSPLSLANDPPRLFSLETSGESIVSTPLRKVSPRRGRDKRSGSRRHRKVVRGTDSS >EOY27035 pep chromosome:Theobroma_cacao_20110822:6:19819515:19826021:1 gene:TCM_028984 transcript:EOY27035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MNGVGEDQIVFEIAVVVPKRNLKEENEGYDCVEVLVNEFRNVGFVVERVIGLSDEFIKLAAPLETLGRAAAKLHMKKPTRIGIDLQFEWEEVEAFVRQPDGSLFSWCERFQCYHHLIYETVNKKNSCITLKFDGKEIQWEVGESLLRRLELEGIVRQVFPLHDETKRKKLLRNWALNCWDFTNQPIDDIYAYFGTKIAVYFAFLGMYTRWMIFPAAFGLILQLVDFGSLQLLVLPAFFISIVLWAVLFFQFWKRKNSALSASTSQGYKLLGREWGSLQSPMELTKNLGTDKTKEKEAFQKYEWFSYLKRFRNDAIIILSIICLQLPFELAYAHLYEVIKSDIVKFGLTAVYLLVIQYFTKIGGKISIRLIKYENNENTEYKADSLVYKVFGLYFMQSYIGVFYHALLHRNFMTLRQVLIQRLILSEVLENLLENSLPYLKYSYKKYRAVRNKKKREKGSTGKIQFTSRVEKEYLKPTYSASICEELEDGLFDDFLELALQFGMIMMFACAFPLAFAFSALNNIAEIRTDALKLLAMLKRPGPRAAATIGAWLNIFQFLILMSICTNSALLVWLYDQEGKWKIEPGLAAILVMEHVLLLIKFGFSRFVPEEPAWVRANRMKNATQAQDMCSKQLLRSISGGEKAFSGSIPRKTPDGLKKTVMQRATD >EOY27036 pep chromosome:Theobroma_cacao_20110822:6:19819921:19825852:1 gene:TCM_028984 transcript:EOY27036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MNGVGEDQIVFEIAVVVPKRNLKEENEGYDCVEVLVNEFRNVGFVVERVIGLSDEFIKLAAPLETLGRAAAKLHMKKPTRIGIDLQFEWEEVEAFVRQPDGSLFSWCERFQCYHHLIYETVNKKNSCITLKFDGKEIQWEVGESLLRRLELEGIVRQVFPLHDETKRKKLLRNWALNCWDFTNQPIDDIYAYFGTKIAVYFAFLGMYTRWMIFPAAFGLILQLVDFGSLQLLVLPAFFISIVLWAVLFFQFWKRKNSALSARWHLNFSVSTSQGYKLLGREWGSLQSPMELTKNLGTDKTKEKEAFQKYEWFSYLKRFRNDAIIILSIICLQLPFELAYAHLYEVIKSDIVKFGLTAVYLLVIQYFTKIGGKISIRLIKYENNENTEYKADSLVYKVFGLYFMQSYIGVFYHALLHRNFMTLRQVLIQRLILSEVLENLLENSLPYLKYSYKKYRAVRNKKKREKGSTGKIQFTSRVEKEYLKPTYSASICEELEDGLFDDFLELALQFGMIMMFACAFPLAFAFSALKLEQTP >EOY27034 pep chromosome:Theobroma_cacao_20110822:6:19819515:19826021:1 gene:TCM_028984 transcript:EOY27034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MNGVGEDQIVFEIAVVVPKRNLKEENEGYDCVEVLVNEFRNVGFVVERVIGLSDEFIKLAAPLETLGRAAAKLHMKKPTRIGIDLQFEWEEVEAFVRQPDGSLFSWCERFQCYHHLIYETVNKKNSCITLKFDGKEIQWEVGESLLRRLELEGIVRQVFPLHDETKRKKLLRNWALNCWDFTNQPIDDIYAYFGTKIAVYFAFLGMYTRWMIFPAAFGLILQLVDFGSLQLLVLPAFFISIVLWAVLFFQFWKRKNSALSARWHLNFSVSTSQGYKLLGREWGSLQSPMELTKNLGTDKTKEKEAFQKYEWFSYLKRFRNDAIIILSIICLQLPFELAYAHLYEVIKSDIVKFGLTAVYLLVIQYFTKIGGKISIRLIKYENNENTEYKADSLVYKVFGLYFMQSYIGVFYHALLHRNFMTLRQVLIQRLILSEVLENLLENSLPYLKYSYKKYRAVRNKKKREKGSTGKIQFTSRVEKEYLKPTYSASICEELEDGLFDDFLELALQFGMIMMFACAFPLAFAFSALNNIAEIRTDALKLLAMLKRPGPRAAATIGAWLNIFQFLILMSICTNSALLVWLYDQEGKWKIEPGLAAILVMEHVLLLIKFGFSRFVPEEPAWVRANRMKNATQAQDMCSKQLLRSISGGEKAFSGSIPRKTPDGLKKTVMQRATD >EOY28941 pep chromosome:Theobroma_cacao_20110822:6:26930359:26931688:-1 gene:TCM_030406 transcript:EOY28941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine acetyltransferase 3, mitochondrial, putative MAACIDNPKGEPANKWCRLRSSERLACCSLCRFAKVCGPSFSNLVSCRAINWVSVKIPDTTVCPCDEDEDEDDLWVKIREEAQSDAKQEPILLNYYYSLVLSHSSLEIALANHLAMKLSNSTLSRDAVFKVFLEAFAQDHEIKRAISDDTKATRQRDPACISYVHCFLHFKGFQACQAHRAAHKLWSQGRLSLALLIQSRVSEVFAVDIHPAAKIGRGIVLDHATGIVIGETAVIGDHVTILHNVTLGGTGKVLGDRHPKIGNGVLIGAGTKILGNIRVGEGAKIGAGSLVLKEVPPHSTAVGNPARVLTTPTVQANREHSHQ >EOY28396 pep chromosome:Theobroma_cacao_20110822:6:25235718:25237140:-1 gene:TCM_029975 transcript:EOY28396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 124 MNDLISISFKKYTDLKQQAYLDDMEAGNESVNLDKFFEDVENVKEDLKNVERLYKALQDANEESKTVHNAKTMKQLRARMDTDVEQVLKRVKIIKGKLEALERSNAASRSIPGCGPGSSADRTRTSVVSGLGKKLKVLMDDFQGLRSRMQSEYKETVERRYFTITGQKADEDTIENLISSGESESFLQRAIQEQGRGQIMDTISEIQERHDAVKEIEKNLIELHQIFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTENLQEAREYQKNSRKWTCIAILAAAVLIFVLLFPLLPTIINLL >EOY28485 pep chromosome:Theobroma_cacao_20110822:6:25471216:25476309:1 gene:TCM_030029 transcript:EOY28485 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus-specific glycoprotein S6 MSSFYVSVFSFSLLLNLLFFQFCTAIDVLTPSQALSQGQTLTSPGNVFELGFVSFNDSSLYYVGIWHKNIVPRRVLWVANREKPLTDSLSSSLMIAGDGNLKLMNGMQDIVWSTKVPIQSNNSVAVLLDSGNFVLKDNSSGQISWESFSHPGDTFWPGMMIGMNVKTGEKRFLISSKSKDDPSPGSFVGGTGAQSSPIIEGFIWSGTRPYWRSRQWNGIKFLGMPHMSAVYTNGISIVSDSQEGSQYVALNVVNTSLIEVVFLSPEGYLQLIIWDEGEKEWRVQLQEPESQCDIYGACGPNGICNKEKSPICRCLEGFEPSSSEEWSRGNWTNGCVRRVELNCDKNISLLASSRNKTDGFFKLSGLKLPAHSQYLKFEVDTEACKFSCLNNCSCVAFASVTGIGCMLWTEDLMDVQAFSSTGEDLFVRVAHAELGKEKHKSKVMFPVAASCTLIVLSTLLVYGFFRYRANHKGESREALHESDSADATNPLRDTRKGIAGSNIIKQKDSLIFDFNHVVVATDNFSLTNKLGEGGFGPVYKGKLQNGKEIAVKRLSSHSGQGMEEFKNEIVFISKLQHRNLVRLLGCCVEGEEKLLIYEYMPNKSLDTFLFDPTRKTQLVWAKRFSIIQGIARGLVYLHRDSFLRVIHRDLKASNVLLDEDMNPKISDFGLARTFQNTQELANTRRVVGTLGYMSPEYVIGGRFSEKSDVFSFGVLLLEIVSGEKNSGFQNDEHQNLLGYAWRLWCEGRVLNLIDQALGDSFCNVEVMRCIHVGLLCVQENPADRPSTPAVILQLTSGTSLPQPKQPAFAFDNSRYSSHRSNSNSYIGSVNEVKLSATEGR >EOY28580 pep chromosome:Theobroma_cacao_20110822:6:25891141:25894893:1 gene:TCM_030131 transcript:EOY28580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 29, putative MDCSRQFFFSSLIVTFFLSLTVAQQQRFYYFCLETSGSFTRSSTYEANLNRVLSSFSSNTENDYGFYNISSGQGSDAANAIALCRGDVNSGDCLDCINNAATELRNLCANENEAIIGYEKCLLRYTNRSIFGVAETNPSFYMWNVNNVTDLDAFNQALIALMDNLSTKASSGTSRSKFAMGSAEVAASQTIYALVQCTPDLTGPACSSCLSQAIALLPSCCNSKQGGMVFGPSCNLRFDIIRFYNLATPDTPFRRRSTPAPPLSLPPSMHNTKKKGKWIIIITVSAAAFAVLLISSCIFFLRVKKSKAKAEKSLQYDFSTIRAATDDFSDANKLGQGGFGAVYKGTIGSEELIAVKRLTTNSGQGDLEFKNEVQLVAKLQHKNLVRLQGFCLEGNERLLIYEFVPNASLDQFLFDPVRRAYLDWERRHKIIRGIAQGLLYLHEDSRLQIIHCNLKASNILLDAELNPKISDFGMARLCALDQTQSVTSRIEGTNGYMAPEYALHGQFSIKSDVFSFGVLLLEILCGQKNSAFCVGENVEDLLSYAWRNWKDGTALKLVDPILGEAPRTEGKFSTTKKLPALTNNLTRF >EOY26684 pep chromosome:Theobroma_cacao_20110822:6:16942189:16947467:-1 gene:TCM_028633 transcript:EOY26684 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASC3/Barentsz eIF4AIII binding, putative isoform 6 MATAGEEDVEYESDPEEVKRSLAMRRREAASDDEEGERGEDNNTKARMDRRAVIHSEESDGQGGAADYDDDDEELDLEEDEEEEGAYDEEEEEEEEIDEEETEEVGKGEMKGNVERTGEDVEEAVVGDGSRNVEDGLEINNNIINGNNNNNYNNNNNNNNNNHLGEEDEDEEEKKENEPFAVPTAGAFYMHDDRFRDNAGGRHRRTHGARKLWESKDDRKWGHDKFEEMTLQEKHYEGGRRSSRGRYRARSKNRGPDHGYPRGSRSKAFEKNNNQNQAPKGVRGRGPRRYEPTVKNSSQAPPTQNKLSGKPLEKTSQANSSRALTPATNADTTSVPARKHVFASSLSSASPPFYPSGSSNKDITLTPKKDVQTGSVSRNLRPSVTDDNSSVSQSNSLRGKNVLDSLSMAKLYIDDSSTSASGKPLTNLQMLPSGSSLGNTSQPSQSRVQGRGMAIPGQKAYQPAPHQSQVNRVSPPTQVNAGQRSPVQGRVQSSVQSAAQQLSQHPGIGSQASSPPKTAMSVSSYESGEVESSETSKSKGALVSKGKSSVQGASRGSFMYGGAQIMGATGSMAVSHGDQNFPAFLPVMQFGGQHPGGLSVPAVGMAFPGYVAQPQLGMGNSEMTWLPVLTGAAGALGATYCSPYIAVDGSYHARPSGQASSTGSSRAKKILLTNQIMNGSLLKDLSL >EOY26681 pep chromosome:Theobroma_cacao_20110822:6:16940763:16947803:-1 gene:TCM_028633 transcript:EOY26681 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASC3/Barentsz eIF4AIII binding, putative isoform 6 MATAGEEDVEYESDPEEVKRSLAMRRREAASDDEEGERGEDNNTKARMDRRAVIHSEESDGQGGAADYDDDDEELDLEEDEEEEGAYDEEEEEEEEIDEEETEEVGKGEMKGNVERTGEDVEEAVVGDGSRNVEDGLEINNNIINGNNNNNYNNNNNNNNNNHLGEEDEDEEEKKENEPFAVPTAGAFYMHDDRFRDNAGGRHRRTHGARKLWESKDDRKWGHDKFEEMTLQEKHYEGGRRSSRGRYRARSKNRGPDHGYPRGSRSKAFEKNNNQNQAPKGVRGRGPRRYEPTVKNSSQAPPTQNKLSGKPLEKTSQANSSRALTPATNADTTSVPARKHVFASSLSSASPPFYPSGSSNKDITLTPKKDVQTGSVSRNLRPSVTDDNSSVSQSNSLRGKNVLDSLSMAKLYIDDSSTSASGKPLTNLQMLPSGSSLGNTSQPSQSRVQGRGMAIPGQKAYQPAPHQSQVNRVSPPTQVNAGQRSPVQGRVQSSVQSAAQQLSQHPGIGSQASSPPKTAMSVSSYESGEVESSETSKSKGALVSKGKSSVQGASRGSFMYGGAQIMGATGSMAVSHGDQNFPAFLPVMQFGGQHPGGLSVPAVGMAFPGYVAQPQLGMGNSEMTWLPVLTGAAGALGATYCSPYIAVDGSYHARPSGQASSTGSSSKENTSNKPNNEWKPSQRPELVSDEFGQRQNNPNKPRRYSEMSFSK >EOY26685 pep chromosome:Theobroma_cacao_20110822:6:16942112:16946900:-1 gene:TCM_028633 transcript:EOY26685 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASC3/Barentsz eIF4AIII binding, putative isoform 6 MHDDRFRDNAGGRHRRTHGARKLWESKDDRKWGHDKFEEMTLQEKHYEGGRRSSRGRYRARSKNRGPDHGYPRGSRSKAFEKNNNQNQAPKGVRGRGPRRYEPTVKNSSQAPPTQNKLSGKPLEKTSQANSSRALTPATNADTTSVPARKHVFASSLSSASPPFYPSGSSNKDITLTPKKDVQTGSVSRNLRPSVTDDNSSVSQSNSLRGKNVLDSLSMAKLYIDDSSTSASGKPLTNLQMLPSGSSLGNTSQPSQSRVQGRGMAIPGQKAYQPAPHQSQVNRVSPPTQVNAGQRSPVQGRVQSSVQSAAQQLSQHPGIGSQASSPPKTAMSVSSYESGEVESSETSKSKGALVSKGKSSVQGASRGSFMYGGAQIMGATGSMAVSHGDQNFPAFLPVMQFGGQHPGGLSVPAVGMAFPGYVAQPQLGMGNSEMTWLPVLTGAAGALGATYCSPYIAVDGSYHARPSGQASSTGSSSKENTSNKPNNEWKPSQRPELVSDEFGQRQNNPNKPRRQVEIFKLFL >EOY26686 pep chromosome:Theobroma_cacao_20110822:6:16940671:16947018:-1 gene:TCM_028633 transcript:EOY26686 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASC3/Barentsz eIF4AIII binding, putative isoform 6 MHDDRFRDNAGGRHRRTHGARKLWESKDDRKWGHDKFEEMTLQEKHYEGGRRSSRGRYRARSKNRGPDHGYPRGSRSKAFEKNNNQNQAPKGVRGRGPRRYEPTVKNSSQAPPTQNKLSGKPLEKTSQANSSRALTPATNADTTSVPARKHVFASSLSSASPPFYPSGSSNKDITLTPKKDVQTGSVSRNLRPSVTDDNSSVSQSNSLRGKNVLDSLSMAKLYIDDSSTSASGKPLTNLQMLPSGSSLGNTSQPSQSRVQGRGMAIPGQKAYQPAPHQSQVNRVSPPTQVNAGQRSPVQGRVQSSVQSAAQQLSQHPGIGSQASSPPKTAMSVSSYESGEVESSETSKSKGALVSKGKSSVQGASRGSFMYGGAQIMGATGSMAVSHGDQNFPAFLPVMQFGGQHPGGLSVPAVGMAFPGYVAQPQLGMGNSEMTWLPVLTGAAGALGATYCSPYIAVDGSYHARPSGQASSTGSSSKENTSNKPNNEWKPSQRPGKQ >EOY26683 pep chromosome:Theobroma_cacao_20110822:6:16940671:16947018:-1 gene:TCM_028633 transcript:EOY26683 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASC3/Barentsz eIF4AIII binding, putative isoform 6 MHDDRFRDNAGGRHRRTHGARKLWESKDDRKWGHDKFEEMTLQEKHYEGGRRSSRGRYRARSKNRGPDHGYPRGSRSKAFEKNNNQNQAPKGVRGRGPRRYEPTVKNSSQAPPTQNKLSGKPLEKTSQANSSRALTPATNADTTSVPARKHVFASSLSSASPPFYPSGSSNKDITLTPKKDVQTGSVSRNLRPSVTDDNSSVSQSNSLRGKNVLDSLSMAKLYIDDSSTSASGKPLTNLQMLPSGSSLGNTSQPSQSRVQGRGMAIPGQKAYQPAPHQSQVNRVSPPTQVNAGQRSPVQGRVQSSVQSAAQQLSQHPGIGSQASSPPKTAMSVSSYESGEVESSETSKSKGALVSKGKSSVQGASRGSFMYGGAQIMGATGSMAVSHGDQNFPAFLPVMQFGGQHPGGLSVPAVGMAFPGYVAQPQLGMGNSEMTWLPVLTGAAGALGATYCSPYIAVDGSYHARPSGQASSTGSSSKENTSNKPNNEWKPSQRPELVSDEFGQRQNNPNKPRRYSEMSFSK >EOY26682 pep chromosome:Theobroma_cacao_20110822:6:16940763:16947803:-1 gene:TCM_028633 transcript:EOY26682 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASC3/Barentsz eIF4AIII binding, putative isoform 6 MATAGEEDVEYESDPEEVKRSLAMRRREAASDDEEGERGEDNNTKARMDRRAVIHSEESDGQGGAADYDDDDEELDLEEDEEEEGAYDEEEEEEEEIDEEETEEVGKGEMKGNVERTGEDVEEAVVGDGSRNVEDGLEINNNIINGNNNNNYNNNNNNNNNNHLGEEDEDEEEKKENEPFAVPTAGAFYMHDDRFRDNAGGRHRRTHGARKLWESKDDRKWGHDKFEEMTLQEKHYEGGRRSSRGRYRARSKNRGPDHGYPRGSRSKAFEKNNNQNQAPKGVRGRGPRRYEPTVKNSSQAPPTQNKLSGKPLEKTSQANSSRALTPATNADTTSVPARKHVFASSLSSASPPFYPSGSSNKDITLTPKKDVQTGSVSRNLRPSVTDDNSSVSQSNSLRGKNVLDSLSMAKLYIDDSSTSASGKPLTNLQMLPSGSSLGNTSQPSQSRVQGRGMAIPGQKAYQPAPHQSQVNRVSPPTQVNAGQRSPVQGRVQSSVQSAAQQLSQHPGIGSQASSPPKTAMSVSSYESGEVESSETSKSKGALVSKGKSSVQGASRGSFMYGGAQIMGATGSMAVSHGDQNFPAFLPVMQFGGQHPGGLSVPAVGMAFPGYVAQPQLGMGNSEMTWLPVLTGAAGALGATYCSPYIAVDGSYHARPSGQASSTGSSSKENTSNKPNNEWKPSQRPELVSDEFGQRQNNPNKPRRQIF >EOY26680 pep chromosome:Theobroma_cacao_20110822:6:16940725:16947915:-1 gene:TCM_028633 transcript:EOY26680 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASC3/Barentsz eIF4AIII binding, putative isoform 6 MATAGEEDVEYESDPEEVKRSLAMRRREAASDDEEGERGEDNNTKARMDRRAVIHSEESDGQGGAADYDDDDEELDLEEDEEEEGAYDEEEEEEEEIDEEETEEVGKGEMKGNVERTGEDVEEAVVGDGSRNVEDGLEINNNIINGNNNNNYNNNNNNNNNNHLGEEDEDEEEKKENEPFAVPTAGAFYMHDDRFRDNAGGRHRRTHGARKLWESKDDRKWGHDKFEEMTLQEKHYEGGRRSSRGRYRARSKNRGPDHGYPRGSRSKAFEKNNNQNQAPKGVRGRGPRRYEPTVKNSSQAPPTQNKLSGKPLEKTSQANSSRALTPATNADTTSVPARKHVFASSLSSASPPFYPSGSSNKDITLTPKKDVQTGSVSRNLRPSVTDDNSSVSQSNSLRGKNVLDSLSMAKLYIDDSSTSASGKPLTNLQMLPSGSSLGNTSQPSQSRVQGRGMAIPGQKAYQPAPHQSQVNRVSPPTQVNAGQRSPVQGRVQSSVQSAAQQLSQHPGIGSQASSPPKTAMSVSSYESGEVESSETSKSKGALVSKGKSSVQGASRGSFMYGGAQIMGATGSMAVSHGDQNFPAFLPVMQFGGQHPGGLSVPAVGMAFPGYVAQPQLGMGNSEMTWLPVLTGAAGALGATYCSPYIAVDGSYHARPSGQASSTGSSSKENTSNKPNNEWKPSQRPELVSDEFGQRQNNPNKPRRYSEMSFSK >EOY27200 pep chromosome:Theobroma_cacao_20110822:6:20658705:20667484:-1 gene:TCM_029104 transcript:EOY27200 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 3, putative isoform 1 MNMQQVVLPKSSANGFGRRRVDREVGARLENKGQSGKSNQGRMQTTGALAGGKTGGYESSCRDRLVYLTTCLIGHPVEVHVKSGSIYTGIFHATDAEKDFGIILKMARLVKDGTLRGQKAIAEFVSKAPSKILIIPAKELVQVIAKDVAVTRDGFASELQPEKHLEILIDSAISQSRHVEVERELERWVPDEDDPQCPELENIFDGPWNRNWNQFETNQKLFGVKSTFNEELYTTKLERGPQMRELEKEAMRIAREIEGEETQDLHLAEERGFHLHDNFDIDEEMRFSSVYRGRGVDDSGYEEDEDIMLDSHNSETFGDSSGSVSKRPADLTSLQSTDGARVSSSPFLMDEAPSSQAAIGTDLNHSGFNDQARQLASELPSKSFSVSGSESRIQDNLLGELGGSSNAKEFAEKQSPSEDLQLSNSIDSQSLLNDKIDESDKGGTSANPTTHAPSNSLSKFSEKPSSSGELSEGPASSKIAGEIQSVNSRGRPSSSTSSNSDYVGAVSASSGPGLSPSSSMGSLASEKSTLNPHAKRNSNSTLMQRVSHHLNRL >EOY27199 pep chromosome:Theobroma_cacao_20110822:6:20658692:20667458:-1 gene:TCM_029104 transcript:EOY27199 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 3, putative isoform 1 MNMQQVVLPKSSANGFGRRRVDREVGARLENKGQSGKSNQGRMQTTGALAGGKTGGYESSCRDRLVYLTTCLIGHPVEVHVKSGSIYTGIFHATDAEKDFGIILKMARLVKDGTLRGQKAIAEFVSKAPSKILIIPAKELVQVIAKDVAVTRDGFASELQPEKHLEILIDSAISQSRHVEVERELERWVPDEDDPQCPELENIFDGPWNRNWNQFETNQKLFGVKSTFNEELYTTKLERGPQMRELEKEAMRIAREIEGEETQDLHLAEERGFHLHDNFDIDEEMRFSSVYRGRGVDDSGYEEDEDIMLDSHNSETFGDSSGSVSKRPADLTSLQSTDGARVSSSPFLMDEAPSSQAAIGTDLNHSGFNDQARQLASELPSKSFSVSGSESRIQDNLLGELGGSSNAKEFAEKQSPSEDLQLSNSIDSQSLLNDKIDESDKGGTSANPTTHAPSNSLSKFSEKPSSSGELSEGPASSKIAGEIQSVNSRGRPSSSTSSNSDYVGAVSASSGPGLSPSSSMGSLASEKSTLNPHAKEFKLNPNAKSFTPSQSPVRPPSPVSDGSFYYPTQMSPVPHMHMPVSFGIGPSFPGHQHVIFNPQVAPIQSPQAYFHPNGPQYGQQMLLGQRQLVYYQPEMQFKGREY >EOY27196 pep chromosome:Theobroma_cacao_20110822:6:20658692:20667484:-1 gene:TCM_029104 transcript:EOY27196 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 3, putative isoform 1 MNMQQVVLPKSSANGFGRRRVDREVGARLENKGQSGKSNQGRMQTTGALAGGKTGGYESSCRDRLVYLTTCLIGHPVEVHVKSGSIYTGIFHATDAEKDFGIILKMARLVKDGTLRGQKAIAEFVSKAPSKILIIPAKELVQVIAKDVAVTRDGFASELQPEKHLEILIDSAISQSRHVEVERELERWVPDEDDPQCPELENIFDGPWNRNWNQFETNQKLFGVKSTFNEELYTTKLERGPQMRELEKEAMRIAREIEGEETQDLHLAEERGFHLHDNFDIDEEMRFSSVYRGRGVDDSGYEEDEDIMLDSHNSETFGDSSGSVSKRPADLTSLQSTDGARVSSSPFLMDEAPSSQAAIGTDLNHSGFNDQARQLASELPSKSFSVSGSESRIQDNLLGELGGSSNAKEFAEKQSPSEDLQLSNSIDSQSLLNDKIDESDKGGTSANPTTHAPSNSLSKFSEKPSSSGELSEGPASSKIAGEIQSVNSRGRPSSSTSSNSDYVGAVSASSGPGLSPSSSMGSLASEKSTLNPHAKEFKLNPNAKSFTPSQSPVRPPSPVSDGSFYYPTQMSPVPHMHMPVSFGIGPSFPGHQHVIFNPQVAPIQSPQAYFHPNGPQYGQQMLLGQRQLVYYQPEMQFKGREY >EOY27197 pep chromosome:Theobroma_cacao_20110822:6:20659533:20665476:-1 gene:TCM_029104 transcript:EOY27197 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 3, putative isoform 1 MNMQQVVLPKSSANGFGRRRVDREVGARLENKGQSGKSNQGRMQTTGALAGGKTGGYESSCRDRLVYLTTCLIGHPVEVHVKSGSIYTGIFHATDAEKDFGIILKMARLVKDGTLRGQKAIAEFVSKAPSKILIIPAKELVQVIAKDVAVTRDGFASELQPEKHLEILIDSAISQSRHVEVERELERWVPDEDDPQCPELENIFDGPWNRNWNQFETNQKLFGVKSTFNEELYTTKLERGPQMRELEKEAMRIAREIEGEETQDLHLAEERGFHLHDNFDIDEEMRFSSVYRGRGVDDSGYEEDEDIMLDSHNSETFGDSSGSVSKRPADLTSLQSTDGARVSSSPFLMDEAPSSQAAIGTDLNHSGFNDQARQLASELPSKSFSVSGSESRIQDNLLGELGGSSNAKEFAEKQSPSEDLQLSNSIDSQSLLNDKIDESDKGGTSANPTTHAPSNSLSKFSEKPSSSGELSEGPASSKIAGEIQSVNSRGRPSSSTSSNSDYVGAVSASSGPGLSPSSSMGSLASEKSTLNPHAKEFKLNPNAKSFTPSQSPVRPPSPVSDGSFYYPTQMSPVPHMHMPVSFGIGPSFPGHQHVIFNPQVAPIQSPQAYFHPNGPQYGQQMLLGQRQLVYYQPVSGSENLEFM >EOY27198 pep chromosome:Theobroma_cacao_20110822:6:20658705:20667484:-1 gene:TCM_029104 transcript:EOY27198 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 3, putative isoform 1 MNMQQVVLPKSSANGFGRRRVDREVGARLENKGQSGKSNQGRMQTTGALAGGKTGGYESSCRDRLVYLTTCLIGHPVEVHVKSGSIYTGIFHATDAEKDFGIILKMARLVKDGTLRGQKAIAEFVSKAPSKILIIPAKELVQVIAKDVAVTRDGFASELQPEKHLEILIDSAISQSRHVEVERELERWVPDEDDPQCPELENIFDGPWNRNWNQFETNQKLFGVKSTFNEELYTTKLERGPQMRELEKEAMRIAREIEGEETQDLHLAEERGFHLHDNFDIDEEMRFSSVYRGRGVDDSGYEEDEDIMLDSHNSETFGDSSGSVSKRPADLTSLQSTDGARVSSSPFLMDEAPSSQAAIGTDLNHSGFNDQARQLASELPSKSFSVSGSESRIQDNLLGELGGSSNAKEFAEKQSPSEDLQLSNSIDSQSLLNDKIDESDKGGTSANPTTHAPSNSLSKFSEKPSSSGELSEGPASSKIAGEIQSVNSRGRPSSSTSSNSDYVGAVSASSGPGLSPSSSMGSLASEKSTLNPHAKEFKLNPNAKSFTPSQSPVRPPSPVSDGSFYYPTQMSPVPHMHMPVSFGIGPSFPGHQHVIFNPQVAPIQSPQAYFHPNGPQYGQQMLLGQRQLVYYQPVSGSENLEFM >EOY28392 pep chromosome:Theobroma_cacao_20110822:6:25218736:25222060:-1 gene:TCM_029971 transcript:EOY28392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEQCGSVPPIHFHLVKDSSYGQEQGIWEMGWKKMGHFFKSTVQVRYWSGAPSFRWMGDRFHPLIGTWLP >EOY26979 pep chromosome:Theobroma_cacao_20110822:6:19508197:19512280:1 gene:TCM_028932 transcript:EOY26979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITLTLCLLCRGRIRRSRLTEDYLLKGFKVPTPNLQKNNRLRDNVYGFMWLWAMEAILAFQKLVAFSTPKDNVYPWHALSHHDGEHHNDADDGQHDEPGVHIDHNVIDVDGENVTHVDDVLDDSVAGDVTFQLVDAEGDHVPQADVIVDASAGEEGDLHSVEAEGNHVLQANVVVEAVAAGDENLASAQAEGDHSTLEGSASRLSSLKLSNVHHHKALISNLIERARVKMASKCITSLCNIEILGDQGLDFFTRLKDPKEEMASEQIDAHLSVLSYPTEAKRSTMKIIDELRGHSVVVKIDLVKWTIKVVDSAKTSAAKDNRVRATQMTPLMMMMPIVCHQSGYFNKTRHKTRDSTSIPLEIHLPKSLVHR >EOY27594 pep chromosome:Theobroma_cacao_20110822:6:22511419:22515590:1 gene:TCM_029415 transcript:EOY27594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MGRDNFDDGNGDYAKFRDMGVRDSGELCLFDDHNGGRQKNRNLKFGDFDEVEEEEEEGEEGRDCRDIDDNFMILNSCNGHRVQREDVWRVELEEDEFRHPLVREICRLIQLRSAWNAKLESDLRYLLRSLKPRQVCAVLLSQVDERVALEFFYWADRQWRYRHNLIVYYIMLEILSKTKLCQGAKRVLRLMARRGIECQPEAFSYLMVSYSRAGKLRDAMKVLTLMQKAGVELNLSVCNTAIHVLVMANRMEKALRFFQRMQLVGITPNVVTYNCLIKGYCNMYQVEDALLLIAEMPSKNCSPDKVSYYTIMSFLCKEKQVKEVRDLMEKMSKDSNLFPDQVTYNTLIHMLSKHGHADEALEFLREAEGRGFRIDKVGHSAIVHSYCKQGRIDEAKSIVNEMLSKGCSPDVVTYTAVVDGFCRIGKLDQAEKMLQQMYKHGCKPNTVSYTALLTGLCRKGNSLRAREMMNVSEEEWWTPNAISYSVVMHGLRKEGKLSEACHVVREMVSKGFFPGPVEINLLIESLCQEGKMDEAKKFLEECLNKGCAVNVVNFTTLIHGYCRKDDLEAALSLLDDMYLSNKHPDAVTYTTVIDALGKNGRIEEATDLTMKMLKKGLVPTPVTYRTVIHRYCQMGRVEDLLKLLDKMLSRQKCKTAYNQVIEKLCSFGNLEEADKLLGRILKTASRTDAKTCTMLMESYLSKEMPLSAYKVACRMFNRNLIPDLKLSEKVIKQLMLEGKSAEADNLMLRFVEHGCHSPQFE >EOY27596 pep chromosome:Theobroma_cacao_20110822:6:22510955:22515661:1 gene:TCM_029415 transcript:EOY27596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MHSGSISYPRIREILARPCKCFGFFQFHTCFYCSKVAFVAKKASCELTSRFYDYPFAYTRFNAYFSSFSVRNFNSGSHFLSNSSVQFMGRDNFDDGNGDYAKFRDMGVRDSGELCLFDDHNGGRQKNRNLKFGDFDEVEEEEEEGEEGRDCRDIDDNFMILNSCNGHRVQREDVWRVELEEDEFRHPLVREICRLIQLRSAWNAKLESDLRYLLRSLKPRQVCAVLLSQVDERVALEFFYWADRQWRYRHNLIVYYIMLEILSKTKLCQGAKRVLRLMARRGIECQPEAFSYLMVSYSRAGKLRDAMKVLTLMQKAGVELNLSVCNTAIHVLVMANRMEKALRFFQRMQLVGITPNVVTYNCLIKGYCNMYQVEDALLLIAEMPSKNCSPDKVSYYTIMSFLCKEKQVKEVRDLMEKMSKDSNLFPDQVTYNTLIHMLSKHGHADEALEFLREAEGRGFRIDKVGHSAIVHSYCKQGRIDEAKSIVNEMLSKGCSPDVVTYTAVVDGFCRIGKLDQAEKMLQQMYKHGCKPNTVSYTALLTGLCRKGNSLRAREMMNVSEEEWWTPNAISYSVVMHGLRKEGKLSEACHVVREMVSKGFFPGPVEINLLIESLCQEGKMDEAKKFLEECLNKGCAVNVVNFTTLIHGYCRKDDLEAALSLLDDMYLSNKHPDAVTYTTVIDALGKNGRIEEATDLTMKMLKKGLVPTPVTYRTVIHRYCQMGRVEDLLKLLDKMLSRQKCKTAYNQVIEKLCSFGNLEEADKLLGRILKTASRTDAKTCTMLMESYLSKEMPLSAYKVACRMFNRNLIPDLKLSEKVIKQLMLEGKSAEADNLMLRFVEHGCHSPQFE >EOY27595 pep chromosome:Theobroma_cacao_20110822:6:22510955:22515661:1 gene:TCM_029415 transcript:EOY27595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MHSGSISYPRIREILARPCKCFGFFQFHTCFYCSKVAFVAKKASCELTSRFYDYPFAYTRFNAYFSSFSVRNFNSGSHFLSNSSVQFMGRDNFDDGNGDYAKFRDMGVRDSGELCLFDDHNGGRQKNRNLKFGDFDEVEEEEEEGEEGRDCRDIDDNFMILNSCNGHRVQREDVWRVELEEDEFRHPLVREICRLIQLRSAWNAKLESDLRYLLRSLKPRQVCAVLLSQVDERVALEFFYWADRQWRYRHNLIVYYIMLEILSKTKLCQGAKRVLRLMARRGIECQPEAFSYLMVSYSRAGKLRDAMKVLTLMQKAGVELNLSVCNTAIHVLVMANRMEKALRFFQRMQLVGITPNVVTYNCLIKGYCNMYQVEDALLLIAEMPSKNCSPDKVSYYTIMSFLCKEKQVKEVRDLMEKMSKDSNLFPDQVTYNTLIHMLSKHGHADEALEFLREAEGRGFRIDKVGHSAIVHSYCKQGRIDEAKSIVNEMLSKGCSPDVVTYTAVVDGFCRIGKLDQAEKMLQQMYKHGCKPNTVSYTALLTGLCRKGNSLRAREMMNVSEEEWWTPNAISYSVVMHGLRKEGKLSEACHVVREMVSKGFFPGPVEINLLIESLCQEGKMDEAKKFLEECLNKGCAVNVVNFTTLIHGYCRKDDLEAALSLLDDMYLSNKHPDAVTYTTVIDALGKNGRIEEATDLTMKMLKKGLVPTPVTYRTVIHRYCQMGRVEDLLKLLDKMLSRQKCKTAYNQVIEKLCSFGNLEEADKLLGRILKTASRTDAKTCTMLMESYLSKEMPLSAYKVACRMFNRNLIPDLKLSEKVIKQLMLEGKSAEADNLMLRFVEHGCHSPQFE >EOY27597 pep chromosome:Theobroma_cacao_20110822:6:22510919:22515661:1 gene:TCM_029415 transcript:EOY27597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MHSGSISYPRIREILARPCKCFGFFQFHTCFYCSKVAFVAKKASCELTSRFYDYPFAYTRFNAYFSSFSVRNFNSGSHFLSNSSVQFMGRDNFDDGNGDYAKFRDMGVRDSGELCLFDDHNGGRQKNRNLKFGDFDEVEEEEEEGEEGRDCRDIDDNFMILNSCNGHRVQREDVWRVELEEDEFRHPLVREICRLIQLRSAWNAKLESDLRYLLRSLKPRQVCAVLLSQVDERVALEFFYWADRQWRYRHNLIVYYIMLEILSKTKLCQGAKRVLRLMARRGIECQPEAFSYLMVSYSRAGKLRDAMKVLTLMQKAGVELNLSVCNTAIHVLVMANRMEKALRFFQRMQLVGITPNVVTYNCLIKGYCNMYQVEDALLLIAEMPSKNCSPDKVSYYTIMSFLCKEKQVKEVRDLMEKMSKDSNLFPDQVTYNTLIHMLSKHGHADEALEFLREAEGRGFRIDKVGHSAIVHSYCKQGRIDEAKSIVNEMLSKGCSPDVVTYTAVVDGFCRIGKLDQAEKMLQQMYKHGCKPNTVSYTALLTGLCRKGNSLRAREMMNVSEEEWWTPNAISYSVVMHGLRKEGKLSEACHVVREMVSKGFFPGPVEINLLIESLCQEGKMDEAKKFLEECLNKGCAVNVVNFTTLIHGYCRKDDLEAALSLLDDMYLSNKHPDAVTYTTVIDALGKNGRIEEATDLTMKMLKKGLVPTPVTYRTVIHRYCQMGRVEDLLKLLDKMLSRQKCKTAYNQVIEKLCSFGNLEEADKLLGRILKTASRTDAKTCTMLMESYLSKEMPLSAYKVACRMFNRNLIPDLKLSEKVIKQLMLEGKSAEADNLMLRFVEHGCHSPQFE >EOY27992 pep chromosome:Theobroma_cacao_20110822:6:23878079:23879069:-1 gene:TCM_029692 transcript:EOY27992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSIGDQSTSCLNFLVQDGVSVANRETTYTRATERYESKDILQVLDNRVSCSEMVINHEVWSNFEWVKDSIEQLGSIAISFAKDGTTWGIREFITM >EOY27021 pep chromosome:Theobroma_cacao_20110822:6:19764702:19767650:-1 gene:TCM_028973 transcript:EOY27021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 1 MTDAFVSGVLEQLTSIGLHVAENGVRLVVGVNEEVKNLSSTFRTIRAVLVDAEKRQVKEEAVKVWLDKLRNVSYDIEDVLDEWNTAILRSQIPSSTPQSQVRSLIPSSSISIPRLVQRRDIAIKIKELNERLQAIAKEKDDYAFIVNLNRNNDLGPERPKTTYFIDESEICGRDQDRNTIMSMLLGENNHEERGIPIISIVGMGGIGKTTLAQIVYNHHELNAYFQKKIWVCVSDPFDEMRVAKAILETVTGVASSFSELSTVLEKIHESIVGKRFLLVLDDVWTEDERKWQSLKYCLNSGSQGSKILVTTRKENVATIMGCTKLFQLGQLSKEECWSLFSHIAFFGRNERERESLEDIGKKIADKCQGLPLAAKTLGGLLRFKRSREQWQRILDSHMWELDEAEKGLFSPLLLSYYDLPSPLRQCFSYCAIFPKDQKIEKDLLIKLWMAQGFLREMEGKKMEIVGEEYFDNLAMRSFFQEFEKDEDDDSIMRCKMHDIVHEFAQLLRKAECFVVASNGIEEQRADCYHENARHLTVILDDEHVAIPNPIYNLKKLRTLRVDSSLYDTSTLNTSLPNLFDQLTCLRMLDLSNNRFRQQCAIEELPRKIGKLMHLRYFNLEGNKGLKQLPETLCNLCNLQTLNIRLCSSLIELPIGFERLVNLRHLQNAGTYGCNSMPPGMQRLTCLQTLEEFVVSSFRGWSIKGRSNISDLGSLAHLRGNLKIIGLGNVRTENEAEDAGLRNKTGLRNLTLSFNSNSKTTRITDEASITDEASVLEALQPPPYLESLNINRMNGPTVFPTWMASLSMLKRVILWACFNWKTLPSMGKLPFLEHLEMWEMKKVKKVGEEFMGVEREEGQTSSSSSSSNNNNIAFPNLKHLKFQFMEEWEEWEFGNPSASIAHDGSSSITIMPCLHSLNIISCLKLKSLPRHLLQKTTLQSLEIFDCPSLRERCLRNGTGEDWPYISHIPTITINGEDVQRYAH >EOY27022 pep chromosome:Theobroma_cacao_20110822:6:19761242:19767768:-1 gene:TCM_028973 transcript:EOY27022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 1 MTDAFVSGVLEQLTSIGLHVAENGVRLVVGVNEEVKNLSSTFRTIRAVLVDAEKRQVKEEAVKVWLDKLRNVSYDIEDVLDEWNTAILRSQIPSSTPQSQVRSLIPSSSISIPRLVQRRDIAIKIKELNERLQAIAKEKDDYAFIVNLNRNNDLGPERPKTTYFIDESEICGRDQDRNTIMSMLLGENNHEERGIPIISIVGMGGIGKTTLAQIVYNHHELNAYFQKKIWVCVSDPFDEMRVAKAILETVTGVASSFSELSTVLEKIHESIVGKRFLLVLDDVWTEDERKWQSLKYCLNSGSQGSKILVTTRKENVATIMGCTKLFQLGQLSKEECWSLFSHIAFFGRNERERESLEDIGKKIADKCQGLPLAAKTLGGLLRFKRSREQWQRILDSHMWELDEAEKGLFSPLLLSYYDLPSPLRQCFSYCAIFPKDQKIEKDLLIKLWMAQGFLREMEGKKMEIVGEEYFDNLAMRSFFQEFEKDEDDDSIMRCKMHDIVHEFAQLLRKAECFVVASNGIEEQRADCYHENARHLTVILDDEHVAIPNPIYNLKKLRTLRVDSSLYDTSTLNTSLPNLFDQLTCLRMLDLSNNRFRQQCAIEELPRKIGKLMHLRYFNLEGNKGLKQLPETLCNLCNLQTLNIRLCSSLIELPIGFERLVNLRHLQNAGTYGCNSMPPGMQRLTCLQTLEEFVVSSFRGWSIKGRSNISDLGSLAHLRGNLKIIGLGNVRTENEAEDAGLRNKTGLRNLTLSFNSNSKTTRITDEASITDEASVLEALQPPPYLESLNINRMNGPTVFPTWMASLSMLKRVILWACFNWKTLPSMGKLPFLEHLEMWEMKKVKKVGEEFMGVEREEGQTSSSSSSSNNNNIAFPNLKHLKFQFMEEWEEWEFGNPSASIAHDGSSSITIMPCLHSLNIISCLKLKSLPRHLLQKTTLQSLEIFDCPSLRERCLRNGTGEDWPYISHIPTITINGEDVQRYAH >EOY27020 pep chromosome:Theobroma_cacao_20110822:6:19764702:19767650:-1 gene:TCM_028973 transcript:EOY27020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 1 MTDAFVSGVLEQLTSIGLHVAENGVRLVVGVNEEVKNLSSTFRTIRAVLVDAEKRQVKEEAVKVWLDKLRNVSYDIEDVLDEWNTAILRSQIPSSTPQSQVRSLIPSSSISIPRLVQRRDIAIKIKELNERLQAIAKEKDDYAFIVNLNRNNDLGPERPKTTYFIDESEICGRDQDRNTIMSMLLGENNHEERGIPIISIVGMGGIGKTTLAQIVYNHHELNAYFQKKIWVCVSDPFDEMRVAKAILETVTGVASSFSELSTVLEKIHESIVGKRFLLVLDDVWTEDERKWQSLKYCLNSGSQGSKILVTTRKENVATIMGCTKLFQLGQLSKEECWSLFSHIAFFGRNERERESLEDIGKKIADKCQGLPLAAKTLGGLLRFKRSREQWQRILDSHMWELDEAEKGLFSPLLLSYYDLPSPLRQCFSYCAIFPKDQKIEKDLLIKLWMAQGFLREMEGKKMEIVGEEYFDNLAMRSFFQEFEKDEDDDSIMRCKMHDIVHEFAQLLRKAECFVVASNGIEEQRADCYHENARHLTVILDDEHVAIPNPIYNLKKLRTLRVDSSLYDTSTLNTSLPNLFDQLTCLRMLDLSNNRFRQQCAIEELPRKIGKLMHLRYFNLEGNKGLKQLPETLCNLCNLQTLNIRLCSSLIELPIGFERLVNLRHLQNAGTYGCNSMPPGMQRLTCLQTLEEFVVSSFRGWSIKGRSNISDLGSLAHLRGNLKIIGLGNVRTENEAEDAGLRNKTGLRNLTLSFNSNSKTTRITDEASITDEASVLEALQPPPYLESLNINRMNGPTVFPTWMASLSMLKRVILWACFNWKTLPSMGKLPFLEHLEMWEMKKVKKVGEEFMGVEREEGQTSSSSSSSNNNNIAFPNLKHLKFQFMEEWEEWEFGNPSASIAHDGSSSITIMPCLHSLNIISCLKLKSLPRHLLQKTTLQSLEIFDCPSLRERCLRNGTGEDWPYISHIPTITINGEDVQRYAH >EOY27023 pep chromosome:Theobroma_cacao_20110822:6:19764702:19767650:-1 gene:TCM_028973 transcript:EOY27023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 1 MTDAFVSGVLEQLTSIGLHVAENGVRLVVGVNEEVKNLSSTFRTIRAVLVDAEKRQVKEEAVKVWLDKLRNVSYDIEDVLDEWNTAILRSQIPSSTPQSQVRSLIPSSSISIPRLVQRRDIAIKIKELNERLQAIAKEKDDYAFIVNLNRNNDLGPERPKTTYFIDESEICGRDQDRNTIMSMLLGENNHEERGIPIISIVGMGGIGKTTLAQIVYNHHELNAYFQKKIWVCVSDPFDEMRVAKAILETVTGVASSFSELSTVLEKIHESIVGKRFLLVLDDVWTEDERKWQSLKYCLNSGSQGSKILVTTRKENVATIMGCTKLFQLGQLSKEECWSLFSHIAFFGRNERERESLEDIGKKIADKCQGLPLAAKTLGGLLRFKRSREQWQRILDSHMWELDEAEKGLFSPLLLSYYDLPSPLRQCFSYCAIFPKDQKIEKDLLIKLWMAQGFLREMEGKKMEIVGEEYFDNLAMRSFFQEFEKDEDDDSIMRCKMHDIVHEFAQLLRKAECFVVASNGIEEQRADCYHENARHLTVILDDEHVAIPNPIYNLKKLRTLRVDSSLYDTSTLNTSLPNLFDQLTCLRMLDLSNNRFRQQCAIEELPRKIGKLMHLRYFNLEGNKGLKQLPETLCNLCNLQTLNIRLCSSLIELPIGFERLVNLRHLQNAGTYGCNSMPPGMQRLTCLQTLEEFVVSSFRGWSIKGRSNISDLGSLAHLRGNLKIIGLGNVRTENEAEDAGLRNKTGLRNLTLSFNSNSKTTRITDEASITDEASVLEALQPPPYLESLNINRMNGPTVFPTWMASLSMLKRVILWACFNWKTLPSMGKLPFLEHLEMWEMKKVKKVGEEFMGVEREEGQTSSSSSSSNNNNIAFPNLKHLKFQFMEEWEEWEFGNPSASIAHDGSSSITIMPCLHSLNIISCLKLKSLPRHLLQKTTLQSLEIFDCPSLRERCLRNGTGEDWPYISHIPTITINGEDVQRYAH >EOY27019 pep chromosome:Theobroma_cacao_20110822:6:19762229:19767727:-1 gene:TCM_028973 transcript:EOY27019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 1 MTDAFVSGVLEQLTSIGLHVAENGVRLVVGVNEEVKNLSSTFRTIRAVLVDAEKRQVKEEAVKVWLDKLRNVSYDIEDVLDEWNTAILRSQIPSSTPQSQVRSLIPSSSISIPRLVQRRDIAIKIKELNERLQAIAKEKDDYAFIVNLNRNNDLGPERPKTTYFIDESEICGRDQDRNTIMSMLLGENNHEERGIPIISIVGMGGIGKTTLAQIVYNHHELNAYFQKKIWVCVSDPFDEMRVAKAILETVTGVASSFSELSTVLEKIHESIVGKRFLLVLDDVWTEDERKWQSLKYCLNSGSQGSKILVTTRKENVATIMGCTKLFQLGQLSKEECWSLFSHIAFFGRNERERESLEDIGKKIADKCQGLPLAAKTLGGLLRFKRSREQWQRILDSHMWELDEAEKGLFSPLLLSYYDLPSPLRQCFSYCAIFPKDQKIEKDLLIKLWMAQGFLREMEGKKMEIVGEEYFDNLAMRSFFQEFEKDEDDDSIMRCKMHDIVHEFAQLLRKAECFVVASNGIEEQRADCYHENARHLTVILDDEHVAIPNPIYNLKKLRTLRVDSSLYDTSTLNTSLPNLFDQLTCLRMLDLSNNRFRQQCAIEELPRKIGKLMHLRYFNLEGNKGLKQLPETLCNLCNLQTLNIRLCSSLIELPIGFERLVNLRHLQNAGTYGCNSMPPGMQRLTCLQTLEEFVVSSFRGWSIKGRSNISDLGSLAHLRGNLKIIGLGNVRTENEAEDAGLRNKTGLRNLTLSFNSNSKTTRITDEASITDEASVLEALQPPPYLESLNINRMNGPTVFPTWMASLSMLKRVILWACFNWKTLPSMGKLPFLEHLEMWEMKKVKKVGEEFMGVEREEGQTSSSSSSSNNNNIAFPNLKHLKFQFMEEWEEWEFGNPSASIAHDGSSSITIMPCLHSLNIISCLKLKSLPRHLLQKTTLQSLEIFDCPSLRERCLRNGTGEDWPYISHIPTITINGEDVQRYAH >EOY27018 pep chromosome:Theobroma_cacao_20110822:6:19761894:19767727:-1 gene:TCM_028973 transcript:EOY27018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 1 MTDAFVSGVLEQLTSIGLHVAENGVRLVVGVNEEVKNLSSTFRTIRAVLVDAEKRQVKEEAVKVWLDKLRNVSYDIEDVLDEWNTAILRSQIPSSTPQSQVRSLIPSSSISIPRLVQRRDIAIKIKELNERLQAIAKEKDDYAFIVNLNRNNDLGPERPKTTYFIDESEICGRDQDRNTIMSMLLGENNHEERGIPIISIVGMGGIGKTTLAQIVYNHHELNAYFQKKIWVCVSDPFDEMRVAKAILETVTGVASSFSELSTVLEKIHESIVGKRFLLVLDDVWTEDERKWQSLKYCLNSGSQGSKILVTTRKENVATIMGCTKLFQLGQLSKEECWSLFSHIAFFGRNERERESLEDIGKKIADKCQGLPLAAKTLGGLLRFKRSREQWQRILDSHMWELDEAEKGLFSPLLLSYYDLPSPLRQCFSYCAIFPKDQKIEKDLLIKLWMAQGFLREMEGKKMEIVGEEYFDNLAMRSFFQEFEKDEDDDSIMRCKMHDIVHEFAQLLRKAECFVVASNGIEEQRADCYHENARHLTVILDDEHVAIPNPIYNLKKLRTLRVDSSLYDTSTLNTSLPNLFDQLTCLRMLDLSNNRFRQQCAIEELPRKIGKLMHLRYFNLEGNKGLKQLPETLCNLCNLQTLNIRLCSSLIELPIGFERLVNLRHLQNAGTYGCNSMPPGMQRLTCLQTLEEFVVSSFRGWSIKGRSNISDLGSLAHLRGNLKIIGLGNVRTENEAEDAGLRNKTGLRNLTLSFNSNSKTTRITDEASITDEASVLEALQPPPYLESLNINRMNGPTVFPTWMASLSMLKRVILWACFNWKTLPSMGKLPFLEHLEMWEMKKVKKVGEEFMGVEREEGQTSSSSSSSNNNNIAFPNLKHLKFQFMEEWEEWEFGNPSASIAHDGSSSITIMPCLHSLNIISCLKLKSLPRHLLQKTTLQSLEIFDCPSLRERCLRNGTGEDWPYISHIPTITINGEDVQRYAH >EOY27017 pep chromosome:Theobroma_cacao_20110822:6:19761605:19767723:-1 gene:TCM_028973 transcript:EOY27017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 1 MTDAFVSGVLEQLTSIGLHVAENGVRLVVGVNEEVKNLSSTFRTIRAVLVDAEKRQVKEEAVKVWLDKLRNVSYDIEDVLDEWNTAILRSQIPSSTPQSQVRSLIPSSSISIPRLVQRRDIAIKIKELNERLQAIAKEKDDYAFIVNLNRNNDLGPERPKTTYFIDESEICGRDQDRNTIMSMLLGENNHEERGIPIISIVGMGGIGKTTLAQIVYNHHELNAYFQKKIWVCVSDPFDEMRVAKAILETVTGVASSFSELSTVLEKIHESIVGKRFLLVLDDVWTEDERKWQSLKYCLNSGSQGSKILVTTRKENVATIMGCTKLFQLGQLSKEECWSLFSHIAFFGRNERERESLEDIGKKIADKCQGLPLAAKTLGGLLRFKRSREQWQRILDSHMWELDEAEKGLFSPLLLSYYDLPSPLRQCFSYCAIFPKDQKIEKDLLIKLWMAQGFLREMEGKKMEIVGEEYFDNLAMRSFFQEFEKDEDDDSIMRCKMHDIVHEFAQLLRKAECFVVASNGIEEQRADCYHENARHLTVILDDEHVAIPNPIYNLKKLRTLRVDSSLYDTSTLNTSLPNLFDQLTCLRMLDLSNNRFRQQCAIEELPRKIGKLMHLRYFNLEGNKGLKQLPETLCNLCNLQTLNIRLCSSLIELPIGFERLVNLRHLQNAGTYGCNSMPPGMQRLTCLQTLEEFVVSSFRGWSIKGRSNISDLGSLAHLRGNLKIIGLGNVRTENEAEDAGLRNKTGLRNLTLSFNSNSKTTRITDEASITDEASVLEALQPPPYLESLNINRMNGPTVFPTWMASLSMLKRVILWACFNWKTLPSMGKLPFLEHLEMWEMKKVKKVGEEFMGVEREEGQTSSSSSSSNNNNIAFPNLKHLKFQFMEEWEEWEFGNPSASIAHDGSSSITIMPCLHSLNIISCLKLKSLPRHLLQKTTLQSLEIFDCPSLRERCLRNGTGEDWPYISHIPTITINGEDVQRYAH >EOY27561 pep chromosome:Theobroma_cacao_20110822:6:22394250:22399594:-1 gene:TCM_029394 transcript:EOY27561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein MILRFHGIKPRLSTNPLFTLNPSYLHFDTNFIDTQSPTPSSEPQSFIKTICSQVYESYHQQAHLRFSPPKLTLNINPYCLTHEQAISIVASLENEAGSMVALSFFHWVLEISKFRLFMRLYIVTATSLIKNGNFDKANEVMQCLVRSFAEVGRLKEAVEMVFEMQNHGLKPKAETLNCILGVGFEMGLMDYLEKVFDEMSERGVCGDCSSYKLMVVGYCRMGMVSEVVKWLTEMLGRGFIVDNATCTLVISLFCEKGFASRASWYFDKMVKMGFKPNLINYSCLINGLCKRGSIKQAFGKLEDMVRAGWKPNVYIHTALIDGLCRKGWTEKAFRLFLKLVRSDNYKLNVLTYTSMISGYCKEEKLNRAEMLLSRMKEQGLVPNTNTYTTLIDGHCKVGNFDRAYEFMDVMDKEGFAPNICTYNAIIGGLCKKGRVEEAHELLRDGLLHGLQADRVTYTILITEHCKQADTGRVLAFFCKTVKVGLQPDMHSYNTLIASFCKQKKMKESENLFEEALRLGLVPTKETYTSMICGYSRDGNVSLGLKFFSKMNDHGCVPDSIAYGTVISGLCKESRLEEACQLYETMMDRGLSPCEVTRLTIAYEYCKKGDSAVAMVMLERLEKKLWMRTVNTLIRKLCSEKKVGIAALFFHRLLDKDRNVDRVTLAAFMTACYETDKFALVSDLNERISKGIG >EOY28113 pep chromosome:Theobroma_cacao_20110822:6:24261568:24262857:1 gene:TCM_029772 transcript:EOY28113 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor, putative MAVESSQHAASESILENVWANFIGGEEADGATSKITTKSSKSWQELPSLDGRDGSMEILQRLPSLGRWISMGADAWEDLLDGIIPSGNIEQSCNDNTSKSISVSTRGPKVNSVRVEKVATRHYRGVRRRPWGKYAAEIRDSSRKGARVWLGTFDTAEEAALAYDKAALRIRGPKAYLNFPLETVAKAMGIDYTEPDFNACLSEKYQGKDSASTYSGFNKNFRNHRKRESRDWEKNGDQVVMVEQPRLKRMASVEEVLEDGYDVLEFQDLGSDYLESLLSSF >EOY27170 pep chromosome:Theobroma_cacao_20110822:6:20537822:20543889:-1 gene:TCM_029084 transcript:EOY27170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 1 MAFSGSATLTMEEIPSITAVAIDRDRNSQLAVKWAVDNLLNNNTGSQCILVHVRSQSLHPHSRTDVAMNSSIGSIMGIFINYLRFLLHLTWARLVFTEDFEAVPKEGRPPTEAELQQFFLPYRGYCARKGIQSKEVVLHDIDVPSALVDYINNNNIGNIVVGASSRNVLTRKLRNPDVPTSLLKSAPESCAVYVISKGKVQFSRLASGPRTDEDNASVNRAPHIKALLSKLTFDSPKIEEFSRTVSSREGSKYRITSDRISDSFKLTPRDKLKTNNGVKSSTPSTGSQTPESPNRISISENSNFSGPPSFRSTDMSGSNSECTAEDFNSLNSLTPRGLETEMRRLRQELKKSMEMFNSVCKETVAAKEKARMLQEWKAAEERKLEEARLAEEAAMAVAEAERQKTKAAMEAAQMAQLLADMEAQKRKLAELKAIREAEAKKRALDQLANNKAVYRKYTIDEIEAATGYFASSHKIGEGGYGPVFRATLDHTAVAIKVLRPGISQGQKQFQQEVEVLSCMRHPHMVLLIGACPEYGCLVYEYMENGSLEDRLFRKDNTPSIPWKTRFRIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPQSFADNATDYRLTAARGTFCYIDPEYQQTGMLGVKSDLYSFGVVLLQLITSRPPVGLTTQVQVAIEKRTFSEILDPTVPDWPVEEVLSLAKLALQCCELRKRDRPDLASVVLPELNRLRDLGLDYEANNSEKLVYAPRPYKSVPQIRSQESQEGMTNNPNVEMEIQT >EOY27169 pep chromosome:Theobroma_cacao_20110822:6:20537149:20544061:-1 gene:TCM_029084 transcript:EOY27169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 1 MAFSGSATLTMEEIPSITAVAIDRDRNSQLAVKWAVDNLLNNNTGSQCILVHVRSQSLHPHSRTDVAMNSSIGSIMGIFINYLRFLLHLTWARLVFTEDFEAVPKEGRPPTEAELQQFFLPYRGYCARKGIQSKEVVLHDIDVPSALVDYINNNNIGNIVVGASSRNVLTRKLRNPDVPTSLLKSAPESCAVYVISKGKVQFSRLASGPRTDEDNASVNRAPHIKALLSKLTFDSPKIEEFSRTVSSREGSKYRITSDRISDSFKLTPRDKLKTNNGVKSSTPSTGSQTPESPNRISISENSNFSGPPSFRSTDMSGSNSECTAEDFNSLNSLTPRGLETEMRRLRQELKKSMEMFNSVCKETVAAKEKARMLQEWKAAEERKLEEARLAEEAAMAVAEAERQKTKAAMEAAQMAQLLADMEAQKRKLAELKAIREAEAKKRALDQLANNKAVYRKYTIDEIEAATGYFASSHKIGEGGYGPVFRATLDHTAVAIKVLRPGISQGQKQFQQEVEVLSCMRHPHMVLLIGACPEYGCLVYEYMENGSLEDRLFRKDNTPSIPWKTRFRIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPQSFADNATDYRLTAARGTFCYIDPEYQQTGMLGVKSDLYSFGVVLLQLITSRPPVGLTTQVQVAIEKRTFSEILDPTVPDWPVEEVLSLAKLALQCCELRKRDRPDLASVVLPELNRLRDLGLDYEANNSEKLVYAPRPYKSVPQIRSQESQITVRSGWQRLKSMTKTWKAGCLPPNARAAGKWNKKPGEVGCWSLVSCSGAPPQPRSVKSFSS >EOY27697 pep chromosome:Theobroma_cacao_20110822:6:22838943:22847447:-1 gene:TCM_029482 transcript:EOY27697 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MADEPSVTRWSFLDFKMFYDAKFGRKRLPEPQDGQAADTPVSNGISSNVTSNGNHSVKNTSDMAIYEQYRNRDTNSVNSNGAVANGFDSRPQKSLLPDFETAEMRALGESLSRDIVRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSVVSKWRGDSEKLIKVLFDLARHHAPSTIFLDEIDAIISQRGGEGRSEHEASRRLKTELLIQLSTILSQKLIIRIRLQMDGLTRSDELVFVLAATNLPWELDAAMLRRLEKRLKKILVPLPEPEARRAMFEELLPEQHGEETLPYDILVEKSEGYSGSDIRLLCKEAAMQPLRRLMTVLEDRHELVPDDELPKVGPITPDDIETALKNTRPSAHLHAHRYEKFNTDYGSQILQ >EOY27698 pep chromosome:Theobroma_cacao_20110822:6:22838826:22848064:-1 gene:TCM_029482 transcript:EOY27698 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MADEPSVTRWSFLDFKMFYDAKFGRKRLPEPQDGQAADTPVSNGISSNVTSNGNHSVKNTSDMAIYEQYRNRDTNSVNSNGAVANGFDSRPQKSLLPDFETAEMRALGESLSRDIVRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSVVSKWRGDSEKLIKVLFDLARHHAPSTIFLDEIDAIISQRGGEGRSEHEASRRLKTELLIQMDGLTRSDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARRAMFEELLPEQHGEETLPYDILVEKSEGYSGSDIRLLCKEAAMQPLRRLMTVLEDRHELVPDDELPKVGPITPDDIETALKNTRPSAHLHAHRYEKFNTDYGSQILQ >EOY27699 pep chromosome:Theobroma_cacao_20110822:6:22838864:22843750:-1 gene:TCM_029482 transcript:EOY27699 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MADEPSVTRWSFLFGRKRLPEPQDGQAADTPVSNGISSNVTSNGNHSVKNTSDMAIYEQYRNRDTNSVNSNGAVANGFDSRPQKSLLPDFETAEMRALGESLSRDIVRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSVVSKWRGDSEKLIKVLFDLARHHAPSTIFLDEIDAIISQRGGEGRSEHEASRRLKTELLIQMDGLTRSDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARRAMFEELLPEQHGEETLPYDILVEKSEGYSGSDIRLLCKEAAMQPLRRLMTVLEDRHELVPDDELPKVGPITPDDIETALKNTRPSAHLHAHRYEKFNTDYGSQILQ >EOY27833 pep chromosome:Theobroma_cacao_20110822:6:23250712:23262111:-1 gene:TCM_029573 transcript:EOY27833 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-glycoprotein 9 isoform 1 MKEMADDDKGNKKDKNKKADDQKVPFYKLFTFADRLDIVLIIVGTIAAIANGLTQPIMTLIFGQLINSFGATTPSNVVKEVSKIAVKFLYLGIYACVASLLQVVCWMVTGERQAARIRGLYLKTILRQDIGFFDTETTTGEVIGRMSGDTILIQEAMGEKVGKFIQLVATFIGGFIIAFAKGWQLALVLSACIPLVAFAGGIMAMIMAKMSSRGQLAYAEAGNVVEQTIGAIRTVASFTGEKQAIEKYNSKLQVAYTATTHQGLVSGVGLGTMLVVVFSSYGLAVWYGSKLIADHGYNGGQVINVIIAIMTGGMSLGQTTPSLNAFASGQAAAYKMFETIKRKPTIDAYDTSGITLEDIEGEINLKDVYFRYPARPDVQIFSGFTLHVPSGTTAALVGQSGSGKSTVISLVERFYDPDSGEVLIDGVDLKKMQLRWIRGKIGLVSQEPILFATSIRENIAYGKENATYEEIRTAIELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESERVVQEALVKVMSNRTTVVVAHRLTTIRNADIIAVVHQGKLVEKGTHEELIRDPEGAYSQLVRLQEGAKETEDARAKDVEKSDATSEIDKAITRSASTSLSLSLRRSISRNSSSSRHSFTYNFGVPGPINFCETEEGSVEPGLTDEFSVQRRKNVSIRRLASLNKPEVPAILIGCIAAAVHGVIFPLFGLFFSSAIKSFFEPAKQLLKDAREWALWYVGMGVVILVVGPVQNYLFGVAGGKLIQRIRSLTFEKVVHQEISWFDDPANSSGAVGARLSTDASTVRNLVGDTLALIVQNMSTIAAGLIIAFSANWRLALAILAVSPFMLLQGYLQMKFLKGFSGDAKLMYEEASQVANDAVGSIRTVASFCSEQKVMDLYQEKCKGPMKQGVRLGLVSGLGFGFSFLALYCTNAFCFYIGAVLVKHGKATFGEVFKVFFALTISAIGVSQTSALAPDTNKAKDSAASIFEILDRKPEIDSSSTAGTTLPSVTGNIELEHVSFRYPTRPDIQIFRDMCLSIPSGKTVALVGESGSGKSTVISLIERFYDPDSGRVTLDGMDLRKIRLSWLRQQMGLVSQEPILFNETIRTNLAYGKQGNATEEEIMAATKAANAHNFISSLPQGYDTSVGERGVQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERVVQEALDRVMVNRTTVVVAHRLTTIKGADIIAVVKNGVVAEKGRHEALMKITDGAYASLVALHMSAT >EOY27836 pep chromosome:Theobroma_cacao_20110822:6:23253235:23262111:-1 gene:TCM_029573 transcript:EOY27836 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-glycoprotein 9 isoform 1 MKEMADDDKGNKKDKNKKADDQKVPFYKLFTFADRLDIVLIIVGTIAAIANGLTQPIMTLIFGQLINSFGATTPSNVVKEVSKIAVKFLYLGIYACVASLLQVVCWMVTGERQAARIRGLYLKTILRQDIGFFDTETTTGEVIGRMSGDTILIQEAMGEKVGKFIQLVATFIGGFIIAFAKGWQLALVLSACIPLVAFAGGIMAMIMAKMSSRGQLAYAEAGNVVEQTIGAIRTVASFTGEKQAIEKYNSKLQVAYTATTHQGLVSGVGLGTMLVVVFSSYGLAVWYGSKLIADHGYNGGQVINVIIAIMTGGMSLGQTTPSLNAFASGQAAAYKMFETIKRKPTIDAYDTSGITLEDIEGEINLKDVYFRYPARPDVQIFSGFTLHVPSGTTAALVGQSGSGKSTVISLVERFYDPDSGEVLIDGVDLKKMQLRWIRGKIGLVSQEPILFATSIRENIAYGKENATYEEIRTAIELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESERVVQEALVKVMSNRTTVVVAHRLTTIRNADIIAVVHQGKLVEKGTHEELIRDPEGAYSQLVRLQEGAKETEDARAKDVEKSDATSEIDKAITRSASTSLSLSLRRSISRNSSSSRHSFTYNFGVPGPINFCETEEGSVEPGLTDEFSVQRRKNVSIRRLASLNKPEVPAILIGCIAAAVHGVIFPLFGLFFSSAIKSFFEPAKQLLKDAREWALWYVGMGVVILVVGPVQNYLFGVAGGKLIQRIRSLTFEKVVHQEISWFDDPANSSGAVGARLSTDASTVRNLVGDTLALIVQNMSTIAAGLIIAFSANWRLALAILAVSPFMLLQGYLQMKFLKGFSGDAKLMYEEASQVANDAVGSIRTVASFCSEQKVMDLYQEKCKGPMKQGVRLGLVSGLGFGFSFLALYCTNAFCFYIGAVLVKHGKATFGEVFKVFFALTISAIGVSQTSALAPDTNKAKDSAASIFEILDRKPEIDSSSTAGTTLPSVTGNIELEHVSFRYPTRPDIQIFRDMCLSIPSGKTVALVGESGSGKSTVISLIERFYDPDSGRVTLDGMDLRKIRLSWLRQQMGLVSQEPILFNETIRTNLAYGKQGNATEEEIMAATKAANAHNFISSLPQGYDTSVGERGVQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERVVQEALDRVMVNRTTVVVAHRLTTIKGADIIAVVKNGVVAEKGRHEALMKITDGAYASLVALHMSAT >EOY27830 pep chromosome:Theobroma_cacao_20110822:6:23250712:23262111:-1 gene:TCM_029573 transcript:EOY27830 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-glycoprotein 9 isoform 1 MKEMADDDKGNKKDKNKKADDQKVPFYKLFTFADRLDIVLIIVGTIAAIANGLTQPIMTLIFGQLINSFGATTPSNVVKEVSKIAVKFLYLGIYACVASLLQVVCWMVTGERQAARIRGLYLKTILRQDIGFFDTETTTGEVIGRMSGDTILIQEAMGEKVGKFIQLVATFIGGFIIAFAKGWQLALVLSACIPLVAFAGGIMAMIMAKMSSRGQLAYAEAGNVVEQTIGAIRTVASFTGEKQAIEKYNSKLQVAYTATTHQGLVSGVGLGTMLVVVFSSYGLAVWYGSKLIADHGYNGGQVINVIIAIMTGGMSLGQTTPSLNAFASGQAAAYKMFETIKRKPTIDAYDTSGITLEDIEGEINLKDVYFRYPARPDVQIFSGFTLHVPSGTTAALVGQSGSGKSTVISLVERFYDPDSGEVLIDGVDLKKMQLRWIRGKIGLVSQEPILFATSIRENIAYGKENATYEEIRTAIELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESERVVQEALVKVMSNRTTVVVAHRLTTIRNADIIAVVHQGKLVEKGTHEELIRDPEGAYSQLVRLQEGAKETEDARAKDVEKSDATSEIDKAITRSASTSLSLSLRRSISRNSSSSRHSFTYNFGVPGPINFCETEEGSVEPGLTDEFSVQRRKNVSIRRLASLNKPEVPAILIGCIAAAVHGVIFPLFGLFFSSAIKSFFEPAKQLLKDAREWALWYVGMGVVILVVGPVQNYLFGVAGGKLIQRIRSLTFEKVVHQEISWFDDPANSSGAVGARLSTDASTVRNLVGDTLALIVQNMSTIAAGLIIAFSANWRLALAILAVSPFMLLQGYLQMKFLKGFSGDAKLMYEEASQVANDAVGSIRTVASFCSEQKVMDLYQEKCKGPMKQGVRLGLVSGLGFGFSFLALYCTNAFCFYIGAVLVKHGKATFGEVFKVFFALTISAIGVSQTSALAPDTNKAKDSAASIFEILDRKPEIDSSSTAGTTLPSVTGNIELEHVSFRYPTRPDIQIFRDMCLSIPSGKTVALVGESGSGKSTVISLIERFYDPDSGRVTLDGMDLRKIRLSWLRQQMGLVSQEPILFNETIRTNLAYGKQGNATEEEIMAATKAANAHNFISSLPQGYDTSVGERGVQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERVVQEALDRVMVNRTTVVVAHRLTTIKGADIIAVVKNGVVAEKGRHEALMKITDGAYASLVALHMSAT >EOY27835 pep chromosome:Theobroma_cacao_20110822:6:23255623:23261903:-1 gene:TCM_029573 transcript:EOY27835 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-glycoprotein 9 isoform 1 MKEMADDDKGNKKDKNKKADDQKVPFYKLFTFADRLDIVLIIVGTIAAIANGLTQPIMTLIFGQLINSFGATTPSNVVKEVSKIAVKFLYLGIYACVASLLQVVCWMVTGERQAARIRGLYLKTILRQDIGFFDTETTTGEVIGRMSGDTILIQEAMGEKVGKFIQLVATFIGGFIIAFAKGWQLALVLSACIPLVAFAGGIMAMIMAKMSSRGQLAYAEAGNVVEQTIGAIRTVASFTGEKQAIEKYNSKLQVAYTATTHQGLVSGVGLGTMLVVVFSSYGLAVWYGSKLIADHGYNGGQVINVIIAIMTGGMSLGQTTPSLNAFASGQAAAYKMFETIKRKPTIDAYDTSGITLEDIEGEINLKDVYFRYPARPDVQIFSGFTLHVPSGTTAALVGQSGSGKSTVISLVERFYDPDSGEVLIDGVDLKKMQLRWIRGKIGLVSQEPILFATSIRENIAYGKENATYEEIRTAIELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESERVVQEALVKVMSNRTTVVVAHRLTTIRNADIIAVVHQGKLVEKGTHEELIRDPEGAYSQLVRLQEGAKETEDARAKDVEKSDATSEIDKAITRSASTSLSLSLRRSISRNSSSSRHSFTYNFGVPGPINFCETEEGSVEPGLTDEFSVQRRKNVSIRRLASLNKPEVPAILIGCIAAAVHGVIFPLFGLFFSSAIKSFFEPAKQLLKDAREWALWYVGMGVVILVVGPVQNYLFGVAGGKLIQRIRSLTFEKVVHQEISWFDDPANSSGAVGARLSTDASTVRNLVGDTLALIVQNMSTIAAGLIIAFSANWRLALAILAVSPFMLLQGYLQMKFLKGFSGDAKLMYEEASQVANDAVGSIRTVASFCSEQKVMDLYQEKCKGPMKQGVRLGLVSGLGFGFSFLALYCTNAFCFYIGAVLVKHGKATFGEVFKVFFALTISAIGVSQTSALAPDTNKAKDSAASIFEILDRKPEIDSSSTAGTTLPSVTGNIELEHVSFRYPTRPDIQIFRDMCLSIPSGKTVALVGESGSGKSTVISLIERFYDPDSGRVTLDGMDLRKIRLSWLRQQMGLVSQEPILFNETIRTNLAYGKQGNATEEEIMAATKAANAHNFISSLPQGYDTSVGERGVQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERVVQEALDRVMVNRTTVVVAHRLTTIKGADIIAVVKNGVVAEKGRHEALMKITDGAYASLVALHMSAT >EOY27834 pep chromosome:Theobroma_cacao_20110822:6:23253230:23262112:-1 gene:TCM_029573 transcript:EOY27834 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-glycoprotein 9 isoform 1 MKEMADDDKGNKKDKNKKADDQKVPFYKLFTFADRLDIVLIIVGTIAAIANGLTQPIMTLIFGQLINSFGATTPSNVVKEVSKIAVKFLYLGIYACVASLLQVVCWMVTGERQAARIRGLYLKTILRQDIGFFDTETTTGEVIGRMSGDTILIQEAMGEKVGKFIQLVATFIGGFIIAFAKGWQLALVLSACIPLVAFAGGIMAMIMAKMSSRGQLAYAEAGNVVEQTIGAIRTVASFTGEKQAIEKYNSKLQVAYTATTHQGLVSGVGLGTMLVVVFSSYGLAVWYGSKLIADHGYNGGQVINVIIAIMTGGMSLGQTTPSLNAFASGQAAAYKMFETIKRKPTIDAYDTSGITLEDIEGEINLKDVYFRYPARPDVQIFSGFTLHVPSGTTAALVGQSGSGKSTVISLVERFYDPDSGEVLIDGVDLKKMQLRWIRGKIGLVSQEPILFATSIRENIAYGKENATYEEIRTAIELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESERVVQEALVKVMSNRTTVVVAHRLTTIRNADIIAVVHQGKLVEKGTHEELIRDPEGAYSQLVRLQEGAKETEDARAKDVEKSDATSEIDKAITRSASTSLSLSLRRSISRNSSSSRHSFTYNFGVPGPINFCETEEGSVEPGLTDEFSVQRRKNVSIRRLASLNKPEVPAILIGCIAAAVHGVIFPLFGLFFSSAIKSFFEPAKQLLKDAREWALWYVGMGVVILVVGPVQNYLFGVAGGKLIQRIRSLTFEKVVHQEISWFDDPANSSGAVGARLSTDASTVRNLVGDTLALIVQNMSTIAAGLIIAFSANWRLALAILAVSPFMLLQGYLQMKFLKGFSGDAKLMYEEASQVANDAVGSIRTVASFCSEQKVMDLYQEKCKGPMKQGVRLGLVSGLGFGFSFLALYCTNAFCFYIGAVLVKHGKATFGEVFKVFFALTISAIGVSQTSALAPDTNKAKDSAASIFEILDRKPEIDSSSTAGTTLPSVTGNIELEHVSFRYPTRPDIQIFRDMCLSIPSGKTVALVGESGSGKSTVISLIERFYDPDSGRVTLDGMDLRKIRLSWLRQQMGLVSQEPILFNETIRTNLAYGKQGNATEEEIMAATKAANAHNFISSLPQGYDTSVGERGVQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERVVQEALDRVMVNRTTVVVAHRLTTIKGADIIAVVKNGVVAEKGRHEALMKITDGAYASLVALHMSAT >EOY27832 pep chromosome:Theobroma_cacao_20110822:6:23254235:23262112:-1 gene:TCM_029573 transcript:EOY27832 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-glycoprotein 9 isoform 1 MKEMADDDKGNKKDKNKKADDQKVPFYKLFTFADRLDIVLIIVGTIAAIANGLTQPIMTLIFGQLINSFGATTPSNVVKEVSKIAVKFLYLGIYACVASLLQVVCWMVTGERQAARIRGLYLKTILRQDIGFFDTETTTGEVIGRMSGDTILIQEAMGEKVGKFIQLVATFIGGFIIAFAKGWQLALVLSACIPLVAFAGGIMAMIMAKMSSRGQLAYAEAGNVVEQTIGAIRTVASFTGEKQAIEKYNSKLQVAYTATTHQGLVSGVGLGTMLVVVFSSYGLAVWYGSKLIADHGYNGGQVINVIIAIMTGGMSLGQTTPSLNAFASGQAAAYKMFETIKRKPTIDAYDTSGITLEDIEGEINLKDVYFRYPARPDVQIFSGFTLHVPSGTTAALVGQSGSGKSTVISLVERFYDPDSGEVLIDGVDLKKMQLRWIRGKIGLVSQEPILFATSIRENIAYGKENATYEEIRTAIELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESERVVQEALVKVMSNRTTVVVAHRLTTIRNADIIAVVHQGKLVEKGTHEELIRDPEGAYSQLVRLQEGAKETEDARAKDVEKSDATSEIDKAITRSASTSLSLSLRRSISRNSSSSRHSFTYNFGVPGPINFCETEEGSVEPGLTDEFSVQRRKNVSIRRLASLNKPEVPAILIGCIAAAVHGVIFPLFGLFFSSAIKSFFEPAKQLLKDAREWALWYVGMGVVILVVGPVQNYLFGVAGGKLIQRIRSLTFEKVVHQEISWFDDPANSSGAVGARLSTDASTVRNLVGDTLALIVQNMSTIAAGLIIAFSANWRLALAILAVSPFMLLQGYLQMKFLKGFSGDAKLMYEEASQVANDAVGSIRTVASFCSEQKVMDLYQEKCKGPMKQGVRLGLVSGLGFGFSFLALYCTNAFCFYIGAVLVKHGKATFGEVFKVFFALTISAIGVSQTSALAPDTNKAKDSAASIFEILDRKPEIDSSSTAGTTLPSVTGNIELEHVSFRYPTRPDIQIFRDMCLSIPSGKTVALVGESGSGKSTVISLIERFYDPDSGRVTLDGMDLRKIRLSWLRQQMGLVSQEPILFNETIRTNLAYGKQGNATEEEIMAATKAANAHNFISSLPQGYDTSVGERGVQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERVVQEALDRVMVNRTTVVVAHRLTTIKGADIIAVVKNGVVAEKGRHEALMKITDGAYASLVALHMSAT >EOY27831 pep chromosome:Theobroma_cacao_20110822:6:23255623:23261903:-1 gene:TCM_029573 transcript:EOY27831 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-glycoprotein 9 isoform 1 MKEMADDDKGNKKDKNKKADDQKVPFYKLFTFADRLDIVLIIVGTIAAIANGLTQPIMTLIFGQLINSFGATTPSNVVKEVSKIAVKFLYLGIYACVASLLQVVCWMVTGERQAARIRGLYLKTILRQDIGFFDTETTTGEVIGRMSGDTILIQEAMGEKVGKFIQLVATFIGGFIIAFAKGWQLALVLSACIPLVAFAGGIMAMIMAKMSSRGQLAYAEAGNVVEQTIGAIRTVASFTGEKQAIEKYNSKLQVAYTATTHQGLVSGVGLGTMLVVVFSSYGLAVWYGSKLIADHGYNGGQVINVIIAIMTGGMSLGQTTPSLNAFASGQAAAYKMFETIKRKPTIDAYDTSGITLEDIEGEINLKDVYFRYPARPDVQIFSGFTLHVPSGTTAALVGQSGSGKSTVISLVERFYDPDSGEVLIDGVDLKKMQLRWIRGKIGLVSQEPILFATSIRENIAYGKENATYEEIRTAIELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESERVVQEALVKVMSNRTTVVVAHRLTTIRNADIIAVVHQGKLVEKGTHEELIRDPEGAYSQLVRLQEGAKETEDARAKDVEKSDATSEIDKAITRSASTSLSLSLRRSISRNSSSSRHSFTYNFGVPGPINFCETEEGSVEPGLTDEFSVQRRKNVSIRRLASLNKPEVPAILIGCIAAAVHGVIFPLFGLFFSSAIKSFFEPAKQLLKDAREWALWYVGMGVVILVVGPVQNYLFGVAGGKLIQRIRSLTFEKVVHQEISWFDDPANSSGAVGARLSTDASTVRNLVGDTLALIVQNMSTIAAGLIIAFSANWRLALAILAVSPFMLLQGYLQMKFLKGFSGDAKLMYEEASQVANDAVGSIRTVASFCSEQKVMDLYQEKCKGPMKQGVRLGLVSGLGFGFSFLALYCTNAFCFYIGAVLVKHGKATFGEVFKVFFALTISAIGVSQTSALAPDTNKAKDSAASIFEILDRKPEIDSSSTAGTTLPSVTGNIELEHVSFRYPTRPDIQIFRDMCLSIPSGKTVALVGESGSGKSTVISLIERFYDPDSGRVTLDGMDLRKIRLSWLRQQMGLVSQEPILFNETIRTNLAYGKQGNATEEEIMAATKAANAHNFISSLPQGYDTSVGERGVQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERVVQEALDRVMVNRTTVVVAHRLTTIKGADIIAVVKNGVVAEKGRHEALMKITDGAYASLVALHMSAT >EOY27270 pep chromosome:Theobroma_cacao_20110822:6:20965566:20966447:-1 gene:TCM_029152 transcript:EOY27270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTQQTTFTGFPTQKSGGNYTQHKGIQPKKEGKRNTYLCALVSARIVKVESDAAMMIREINKKRV >EOY27924 pep chromosome:Theobroma_cacao_20110822:6:23593774:23599584:-1 gene:TCM_029636 transcript:EOY27924 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 1 MTRAVRDRILKDANGDISDHLRNHIHLTNCIHLKNHMHKHSPILADRSIMRDLIVLQRSRSLRDPSASPSSWHSPSVIDLLYKKGDKDAVREGRRSAGVERQRDGRRLSISSPPIANFASSKVAPGEASVVNEGVPAISDRSSKSGARDSRRIKREESSWRSNRTDLLGENKEPVQEQDGNGLAPDAISGNSGLKDRKSKKLKGKHTHGLQMKTLSEQLNDLPLDSDDVASSNVHLRGRHVRPEKIGEEPEVSIHGYSSGLNRVKRRKFRGARRARAAPSSREVGGQNELSVASNSFAQGSVHPKYGMEEEENEYDERNVTRAPRNGCGIPWNWSRIHHRGKTILDIAGRSFSCGLSDSRLRKGGAVSHGRNVPEMPVAFDQSSSSAKSDAEALPLLIEASGSQYSTENAGWVNDYSGELGIFADNLLKRNVDSDLASEARSGDQRKLGGNHHGRHQNLTQKYMPRTFRDLVGQNLVSQALSNAVMKRKVGFLYVFYGPHGTGKTSCARIFARALNCQSLEQPKPCGFCNSCISHDMGKSRNIREVGPVSNFDFESIMDLLDNMIISQLPSQYRVFIFDDCDTLSPDCWSAISKVIDRVPRRVVFILVSSSLDILPHIIMSRCQKFFFPKLKDADIIYTLQWIASREDIEIEKDALKLIASRSDGSLRDAEMTLEQLSLLGQRISVPLVQELVGLISDEKLVDLLDLALSADTVNTVKSLRVIMETGVEPLALMSQLATVITDILAGSYDFSKERHRRKFFRRQPYLCNPVSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYILPFSSADTSSHHSPLPSDVGGRDIARKGGELVELHSNTRGLSTNARLENLHAGRSGDSETGIIKGINLDRKRHVVAGMAPQQTSTVSADLIRVTARQNLVKNRKGIEEIWLEVLEKIQLSSLKEFLYQEGKLISVSFGAAPTVQLMFSSHMTKSKAEKFRGHILQAFESVLGSPMTIEIRCEVKKDATGFQGLLVLPASRDGPSQMIMDPESSSGNRIPRAGFDDISKRVMRDRDTGVSSQAQLLHPESLEAGRSEIVEIPASPREANDNEHADTIESNRRGSRVADAAAYRKSTLMSNSGGRKLGELSQSQSIVRSKVSLAHVLQQAEGCQRNGWSKRKAVSIAEKLEQENLRLEPRSRSLLCWKASRVTRQKLSRLKIRTRRPHSLLKLVSCGKCLSSKSPR >EOY27922 pep chromosome:Theobroma_cacao_20110822:6:23592711:23600627:-1 gene:TCM_029636 transcript:EOY27922 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 1 MTRAVRDRILKDANGDISDHLRNHIHLTNCIHLKNHMHKHSPILADRSIMRDLIVLQRSRSLRDPSASPSSWHSPSVIDLLYKKGDKDAVREGRRSAGVERQRDGRRLSISSPPIANFASSKVAPGEASVVNEGVPAISDRSSKSGARDSRRIKREESSWRSNRTDLLGENKEPVQEQDGNGLAPDAISGNSGLKDRKSKKLKGKHTHGLQMKTLSEQLNDLPLDSDDVASSNVHLRGRHVRPEKIGEEPEVSIHGYSSGLNRVKRRKFRGARRARAAPSSREVGGQNELSVASNSFAQGSVHPKYGMEEEENEYDERNVTRAPRNGCGIPWNWSRIHHRGKTILDIAGRSFSCGLSDSRLRKGGAVSHGRNVPEMPVAFDQSSSSAKSDAEALPLLIEASGSQYSTENAGWVNDYSGELGIFADNLLKRNVDSDLASEARSGDQRKLGGNHHGRHQNLTQKYMPRTFRDLVGQNLVSQALSNAVMKRKVGFLYVFYGPHGTGKTSCARIFARALNCQSLEQPKPCGFCNSCISHDMGKSRNIREVGPVSNFDFESIMDLLDNMIISQLPSQYRVFIFDDCDTLSPDCWSAISKVIDRVPRRVVFILVSSSLDILPHIIMSRCQKFFFPKLKDADIIYTLQWIASREDIEIEKDALKLIASRSDGSLRDAEMTLEQLSLLGQRISVPLVQELVGLISDEKLVDLLDLALSADTVNTVKSLRVIMETGVEPLALMSQLATVITDILAGSYDFSKERHRRKFFRRQPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYILPFSSADTSSHHSPLPSDVGGRDIARKGGELVELHSNTRGLSTNARLENLHAGRSGDSETGIIKGINLDRKRHVVAGMAPQQTSTVSADLIRVTARQNLVKNRKGIEEIWLEVLEKIQLSSLKEFLYQEGKLISVSFGAAPTVQLMFSSHMTKSKAEKFRGHILQAFESVLGSPMTIEIRCEVKKDATGFQGLLVLPASRDGPSQMIMDPESSSGNRIPRAGFDDISKRVMRDRDTGVSSQAQLLHPESLEAGRSEIVEIPASPREANDNEHADTIESNRRGSRVADAAAYRKSTLMSNSGGRKLGELSQSQSIVRSKVSLAHVLQQAEGCQRNGWSKRKAVSIAEKLEQENLRLEPRSRSLLCWKASRVTRQKLSRLKIRTRRPHSLLKLVSCGKCLSSKSPR >EOY27923 pep chromosome:Theobroma_cacao_20110822:6:23591631:23600658:-1 gene:TCM_029636 transcript:EOY27923 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 1 MTRAVRDRILKDANGDISDHLRNHIHLTNCIHLKNHMHKHSPILADRSIMRDLIVLQRSRSLRDPSASPSSWHSPSVIDLLYKKGDKDAVREGRRSAGVERQRDGRRLSISSPPIANFASSKVAPGEASVVNEGVPAISDRSSKSGARDSRRIKREESSWRSNRTDLLGENKEPVQEQDGNGLAPDAISGNSGLKDRKSKKLKGKHTHGLQMKTLSEQLNDLPLDSDDVASSNVHLRGRHVRPEKIGEEPEVSIHGYSSGLNRVKRRKFRGARRARAAPSSREVGGQNELSVASNSFAQGSVHPKYGMEEEENEYDERNVTRAPRNGCGIPWNWSRIHHRGKTILDIAGRSFSCGLSDSRLRKGGAVSHGRNVPEMPVAFDQSSSSAKSDAEALPLLIEASGSQYSTENAGWVNDYSGELGIFADNLLKRNVDSDLASEARSGDQRKLGGNHHGRHQNLTQKYMPRTFRDLVGQNLVSQALSNAVMKRKVGFLYVFYGPHGTGKTSCARIFARALNCQSLEQPKPCGFCNSCISHDMGKSRNIREVGPVSNFDFESIMDLLDNMIISQLPSQYRVFIFDDCDTLSPDCWSAISKVIDRVPRRVVFILVSSSLDILPHIIMSRCQKFFFPKLKDADIIYTLQWIASREDIEIEKDALKLIASRSDGSLRDAEMTLEQLSLLGQRISVPLVQELVGLISDEKLVDLLDLALSADTVNTVKSLRVIMETGVEPLALMSQLATVITDILAGSYDFSKERHRRKFFRRQPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYILPFSSADTSSHHSPLPSDVGGRDIARKGGELVELHSNTRGLSTNARLENLHAGRSGDSETGIIKGINLDRKRHVVAGMAPQQTSTVSADLIRVTARQNLVKNRKGIEEIWLEVLEKIQLSSLKEFLYQEGKLISVSFGAAPTVQLMFSSHMTKSKAEKFRGHILQAFESVLGSPMTIEIRCEVKKDATGFQGLLVLPASRDGPSQMIMDPESSSGNRIPRAGFDDISKRVMRDRDTGVSSQAQLLHPESLEAGRSEIVEIPASPREANDNEHADTIESNRRGSRVADAAAYRKSTLMSNSGGRKLGELSQSQSIVRSKVSLAHVLQQAEGCQRNGWSKRKAVSIAEKLEQENLRLEPRSRSLLCWKASRVTRQKLSRLKIRTRRPHSLLKLVSCGKCLSSKSPSLCD >EOY26960 pep chromosome:Theobroma_cacao_20110822:6:19377291:19379061:-1 gene:TCM_028914 transcript:EOY26960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stem 28 kDa glycoprotein, putative MALFLFLFLATTLGISQGSDQDTVTHKIHLLRPQSGAAGNHVPGLSCLSWRLGVETNNVVGWITVPEECEEYVGHYMLGHQYRKDSRVVANQALLYAQSLSLARDCKDVWVFDIDETALSNLPYYAQHGFGVEQYNPTLFNKWVMEGKAPALPETLNLYKKLLSLGTKVVFLTGRPEYQRSVTANNLRKAGYQTWEKLILKGSSYSGKSAVVYKSSERKKLAMSGYKIIGNMGDQWSDLLGTDVGNRTFKMPDPMYYIS >EOY27850 pep chromosome:Theobroma_cacao_20110822:6:23316387:23318616:-1 gene:TCM_029584 transcript:EOY27850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 4E-1 isoform 2 MGVEENLKSLSISEEGNKNPNPNVKEDEEEEPEEGEIVGEEDDSTSSSSKKGVVEQPHPLEHSWTFWFDNPSAKSKQAIWGSSMRPIYTFASVEQFWSLYNNIHHPSKLAVGADFHCFKYKIEPKWEDPVCANGGKWTVTLPRGKSDTCWLYTLLALIGEQFEYGDEICGAVVSVRGRQEKIALWTKNAANETAQQISIGKQWKELLDCNDTIGFIFHEDAKKLDKAAKNRYTI >EOY27849 pep chromosome:Theobroma_cacao_20110822:6:23316473:23318549:-1 gene:TCM_029584 transcript:EOY27849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 4E-1 isoform 2 MGVEENLKSLSISEEGNKNPNPNVKEDEEEEPEEGEIVGEEDDSTSSSSKKGVVEQPHPLEHSWTFWFDNPSAKSKQAIWGSSMRPIYTFASVEQFWSLYNNIHHPSKLAVGADFHCFKYKIEPKWEDPVCANGGKWTVTLPRGKSDTCWLYTLLALIGEQFEYGDEICGAVVSVRGRQEKIALWTKNAANETAQISIGKQWKELLDCNDTIGFIFHEDAKKLDKAAKNRYTI >EOY28286 pep chromosome:Theobroma_cacao_20110822:6:24820756:24823536:-1 gene:TCM_029899 transcript:EOY28286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase family protein isoform 2 MTVYEEKKGKTILKEENLLSGEKIRGRRREKRKKENTDKRFVEREREMGRGERREEEKEGVVMANDFFWSYTDEPHASRRRQILSQYPQIKELFGPDPLAFLKISVVVLLQLWTATSLRDAGWLKILAVAYFFGSFLNHNLFLAIHELSHNLAFSTPVYNRWLGIFANLPIGVPMSVTFQKYHLEHHRFQGVDGMDMDVPSYTEAHLVTNVVTKAIWVIFQLFFYALRPVFLKPKPPGYWEFINLFVQIGLDATLVYFCGWKSFAYLILSTFVGGGMHPMAGHFISEHYVFKPDQETYSYYGPLNLLTWSVGYHNEHHDFPRIPGNKLGCVFS >EOY28285 pep chromosome:Theobroma_cacao_20110822:6:24820882:24823413:-1 gene:TCM_029899 transcript:EOY28285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase family protein isoform 2 MTVYEEKKGKTILKEENLLSGEKIRGRRREKRKKENTDKRFVEREREMGRGERREEEKEGVVMANDFFWSYTDEPHASRRRQILSQYPQIKELFGPDPLAFLKISVVVLLQLWTATSLRDAGWLKILAVAYFFGSFLNHNLFLAIHELSHNLAFSTPVYNRWLGIFANLPIGVPMSVTFQKYHLEHHRFQGVDGMDMDVPSYTEAHLVTNVVTKAIWVIFQLFFYALRPVFLKPKPPGYWEFINLFVQIGLDATLVYFCGWKSFAYLILSTFVGGGMHPMAGHFISEHYVFKPDQETYSYYGPLNLLTWSVGYHNEHHDFPRIPGNKLHKVKKIAPEYYEGLESYKSWSQVIYMYVMDRTVGPFSRMKRKLSKKSE >EOY27722 pep chromosome:Theobroma_cacao_20110822:6:22943518:22948926:1 gene:TCM_029499 transcript:EOY27722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor eIF-2B subunit delta, putative isoform 7 MDSHVGPTTATATATAGPTPNSPPRDLLDFIPVGSPEEEITSPPSEEDHDHDHDRDHYHYHEHGQDQDQNQSHDNSSATGVLTEDLKNKIIKQLFTVLVENLPEDHSVENIRRIFGEVGRIKNISIYDPLAVEESKKKGRADILISSKIHALVEYETVEAAEKAVATLNDEQDWRNGMRVKLLKRVSKYGQRRQAWRGSDPEKNSTGRASDQTGDEENNTSSEHHEDIPDEEDGEHLSKDKNGHRFRNRGRARRQKHRGTNGLGHGSTSAHAIEPSKPPPGPRMPDGTRGFTMGRGRPLDSKQS >EOY27720 pep chromosome:Theobroma_cacao_20110822:6:22941984:22948926:1 gene:TCM_029499 transcript:EOY27720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor eIF-2B subunit delta, putative isoform 7 EKKRKRKGGRVLIFDPFNQNFFPSPLLSLPKMDSHVGPTTATATATAGPTPNSPPRDLLDFIPVGSPEEEITSPPSEEDHDHDHDRDHYHYHEHGQDQDQNQSHDNSSATGVLTEDLKNKIIKQVEYYFSDVNLPTDKYMMSLIKKNKDGFVPISVIASFRKMKKLTRNYPSIITALKESSLLVVSSDEKKVKRKNPLPFIEVRDPKLFTVLVENLPEDHSVENIRRIFGEVGRIKNISIYDPLAVEESKKKGRADILISSKIHALVEYETVEAAEKAVATLNDEQDWRNGMRVKLLKRVSKYGQRRQAWRGSDPEKNSTGRASDQTGDEENNTSSEHHEDIPDEVSSLLHLR >EOY27719 pep chromosome:Theobroma_cacao_20110822:6:22943803:22948052:1 gene:TCM_029499 transcript:EOY27719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor eIF-2B subunit delta, putative isoform 7 MDSHVGPTTATATATAGPTPNSPPRDLLDFIPVGSPEEEITSPPSEEDHDHDHDRDHYHYHEHGQDQDQNQSHDNSSATGVLTEDLKNKIIKQVEYYFSDVNLPTDKYMMSLIKKNKDGFVPISVIASFRKMKKLTRNYPSIITALKESSLLVVSSDEKKVKRKNPLPFIEVRDPKLFTVLVENLPEDHSVENIRRIFGEVGRIKNISIYDPLAVEESKKKGRADILISSKIHALVEYETVEAAEKAVATLNDEQDWRNGMRVKLLKRVSKYGQRRQAWRGSDPEKNSTGRASDQTGDEENNTSSEHHEDIPDEEVGSTFFYGSVSVSNCSTVFVT >EOY27717 pep chromosome:Theobroma_cacao_20110822:6:22941984:22948926:1 gene:TCM_029499 transcript:EOY27717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor eIF-2B subunit delta, putative isoform 7 MDSHVGPTTATATATAGPTPNSPPRDLLDFIPVGSPEEEITSPPSEEDHDHDHDRDHYHYHEHGQDQDQNQSHDNSSATGVLTEDLKNKIIKQVEYYFSDVNLPTDKYMMSLIKKNKDGFVPISVIASFRKMKKLTRNYPSIITALKESSLLVVSSDEKKVKRKNPLPFIEVRDPKLFTVLVENLPEDHSVENIRRIFGEVGRIKNISIYDPLAVEESKKKGRADILISSKIHALVEYETVEAAEKAVATLNDEQDWRNGMRVKLLKRVSKYGQRRQAWRGSDPEKNSTGRASDQTGDEENNTSSEHHEDIPDEEDGEHLSKDKNGHRFRNRGRARRQKHRGTNGLGHGSTSAHAIEPSKPPPGPRMPDGTRGFTMGRGRPLDSKQS >EOY27723 pep chromosome:Theobroma_cacao_20110822:6:22943518:22948926:1 gene:TCM_029499 transcript:EOY27723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor eIF-2B subunit delta, putative isoform 7 MDSHVGPTTATATATAGPTPNSPPRDLLDFIPVGSPEEEITSPPSEEDHDHDHDRDHYHYHEHGQDQDQNQSHDNSSATGVLTEDLKNKIIKQLFTVLVENLPEDHSVENIRRIFGEVGRIKNISIYDPLAVEESKKKGRADILISSKIHALVEYETVEAAEKAVATLNDEQDWRNGMRSKYGQRRQAWRGSDPEKNSTGRASDQTGDEENNTSSEHHEDIPDEEDGEHLSKDKNGHRFRNRGRARRQKHRGTNGLGHGSTSAHAIEPSKPPPGPRMPDGTRGFTMGRGRPLDSKQS >EOY27718 pep chromosome:Theobroma_cacao_20110822:6:22941984:22948906:1 gene:TCM_029499 transcript:EOY27718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor eIF-2B subunit delta, putative isoform 7 MDSHVGPTTATATATAGPTPNSPPRDLLDFIPVGSPEEEITSPPSEEDHDHDHDRDHYHYHEHGQDQDQNQSHDNSSATGVLTEDLKNKIIKQVEYYFSDVNLPTDKYMMSLIKKNKDGFVPISVIASFRKMKKLTRNYPSIITALKESSLLVVSSDEKKVKRKNPLPFIEVRDPKLFTVLVENLPEDHSVENIRRIFGEVGRIKNISIYDPLAVEESKKKGRADILISSKIHALVEYETVEAAEKAVATLNDEQDWRNGMRSKYGQRRQAWRGSDPEKNSTGRASDQTGDEENNTSSEHHEDIPDEEDGEHLSKDKNGHRFRNRGRARRQKHRGTNGLGHGSTSAHAIEPSKPPPGPRMPDGTRGFTMGRGRPLDSKQS >EOY27721 pep chromosome:Theobroma_cacao_20110822:6:22943803:22947812:1 gene:TCM_029499 transcript:EOY27721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor eIF-2B subunit delta, putative isoform 7 MDSHVGPTTATATATAGPTPNSPPRDLLDFIPVGSPEEEITSPPSEEDHDHDHDRDHYHYHEHGQDQDQNQSHDNSSATGVLTEDLKNKIIKQVEYYFSDVNLPTDKYMMSLIKKNKDGFVPISVIASFRKMKKLTRNYPSIITALKESSLLVVSSDEKKVKRKNPLPFIEVRDPKLFTVLVENLPEDHSVENIRRIFGEVGRIKNISIYDPLAVEESKKKGRADILISSKIHALVEYETVEAAEKAVATLNDEQDWRNGMRVKLLKRVVLLLAYLTSLT >EOY28040 pep chromosome:Theobroma_cacao_20110822:6:24026973:24030177:1 gene:TCM_029724 transcript:EOY28040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 37 isoform 1 MGFRCKSLLCLFLLVLLVFLQKASAGQHYYNVTRFRGRKQENGCNLFQGKWVFDPSYPFYDSSGCPFIDPEFDCLKYGRPDKQYLKYSWKPDACDLPRFDGASFLAKWRGKKIMFVGDSLSLNMWESLACMIHASVPNSKTTYVRKDPLSFVIFEDYAVTLYLYRTPYLVDIVRESVGAVLNLGSINGGNAWKGMDMLVFNSWHWWTHKGKSQAWDYIRDGSELYKDMDRFVAFNKGLTTWANWVDSNVDPTKTKVFFQGISPTHYEGREWNQPKKNCYGELEPLSGSTYPAGAPPAAAILNKVLGTMKKPVYLLDITTLSQLRKDAHPSTYSGEHSGNDCSHWCLPGLPDTWNQLLYAALVM >EOY28039 pep chromosome:Theobroma_cacao_20110822:6:24026666:24030122:1 gene:TCM_029724 transcript:EOY28039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 37 isoform 1 MGFRCKSLLCLFLLVLLVFLQKASAGQHYYNVTRFRGRKQENGCNLFQGKWVFDPSYPFYDSSGCPFIDPEFDCLKYGRPDKQYLKYSWKPDACDLPRFDGASFLAKWRGKKIMFVGDSLSLNMWESLACMIHASVPNSKTTYVRKDPLSFVIFEDYAVTLYLYRTPYLVDIVRESVGAVLNLGSINGGNAWKGMDMLVFNSWHWWTHKGKSQAWDYIRDGSELYKDMDRFVAFNKGLTTWANWVDSNVDPTKTKVFFQGISPTHYEGREWNQPKKNCYGELEPLSGSTYPAGAPPAAAILNKVLGTMKKPVYLLDITTLSQLRKDAHPSTYSGEHSGNDCSHWCLPGLPDTWNQLLYAALVM >EOY29023 pep chromosome:Theobroma_cacao_20110822:6:27140560:27141311:-1 gene:TCM_030460 transcript:EOY29023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSKLPGYTCHPVPRPFFLFHAPVFLCVHVSYIPFHRIAMANADSKVHYTMRLQPTPRIEIPSPILGLFHK >EOY27612 pep chromosome:Theobroma_cacao_20110822:6:22534757:22537688:-1 gene:TCM_029419 transcript:EOY27612 gene_biotype:protein_coding transcript_biotype:protein_coding description:MTERF-like protein isoform 2 MSAAAATALQSSLCISSQKPSSSPGNSQQPNSLSAAKPKSLLHKHPLYSSTHQNLSLQIKEKILCLEIMGIDSGKALSLNPSLHTTSLHSIHSIMSFLQSKGIHQKDFPRIFGMCPKILTSNVKADLNPVFSFLSQDLHVPENNYRKVINKCPRLLTSSVRDRLKPALFYLQRLGFKDLGALAYQDPVLLVSSVEHTLIPKLKFLESIGFSTNEAKSMVLRCPGLFTFSIENNYKPKFEYFNEEMKGELEELKEFPQFFAFSLEKRIKPRHIEVMQSGVKLVLANMLRSTDEEFKELLREGGNR >EOY27609 pep chromosome:Theobroma_cacao_20110822:6:22535261:22538699:-1 gene:TCM_029419 transcript:EOY27609 gene_biotype:protein_coding transcript_biotype:protein_coding description:MTERF-like protein isoform 2 MDYKNSAESLILEGKDGSSQEIVHPSHLKDLALANQLQPNRHVSLSHNIQIRPIRSVFGQPLRKPSLCLSTMSAAAATALQSSLCISSQKPSSSPGNSQQPNSLSAAKPKSLLHKHPLYSSTHQNLSLQIKEKILCLEIMGIDSGKALSLNPSLHTTSLHSIHSIMSFLQSKGIHQKDFPRIFGMCPKILTSNVKADLNPVFSFLSQDLHVPENNYRKVINKCPRLLTSSVRDRLKPALFYLQRLGFKDLGALAYQDPVLLVSSVEHTLIPKLKFLESIGFSTNEAKSMVLRCPGLFTFSIENNYKPKFEYFNEEMKGELEELKEFPQFFAFSLEKRIKPRHIEVMQSGVKLVLANMLRSTDEEFKELLREGGNR >EOY27613 pep chromosome:Theobroma_cacao_20110822:6:22534467:22537688:-1 gene:TCM_029419 transcript:EOY27613 gene_biotype:protein_coding transcript_biotype:protein_coding description:MTERF-like protein isoform 2 MSAAAATALQSSLCISSQKPSSSPGNSQQPNSLSAAKPKSLLHKHPLYSSTHQNLSLQIKEKILCLEIMGIDSGKALSLNPSLHTTSLHSIHSIMSFLQSKGIHQKDFPRIFGMCPKILTSNVKADLNPVFSFLSQDLHVPENNYRKVINKCPRLLTSSVRDRLKPALFYLQRLGFKDLGALAYQDPVLLVSSVEHTLIPKLKFLESIGFSTNEAKSMVLRCPGLFTFSIENNYKPKFEYFNEEMKGELEELKEFPQFFAFSLEKRIKPRHIEVMQSGVKLVLANMLRSTDEEFKELLREGGNR >EOY27610 pep chromosome:Theobroma_cacao_20110822:6:22535439:22537688:-1 gene:TCM_029419 transcript:EOY27610 gene_biotype:protein_coding transcript_biotype:protein_coding description:MTERF-like protein isoform 2 MSAAAATALQSSLCISSQKPSSSPGNSQQPNSLSAAKPKSLLHKHPLYSSTHQNLSLQIKEKILCLEIMGIDSGKALSLNPSLHTTSLHSIHSIMSFLQSKGIHQKDFPRIFGMCPKILTSNVKADLNPVFSFLSQDLHVPENNYRKVINKCPRLLTSSVRDRLKPALFYLQRLGFKDLGALAYQDPVLLVSSVEHTLIPKLKFLESIGFSTNEAKSMVLRCPGLFTFSIENNYKPKFEYFNEEMKGELEELKEFPQFFAFSLEKRIKPRHIEVMQSGVKLVLANMLRSTDEEFKELLREGGNR >EOY27611 pep chromosome:Theobroma_cacao_20110822:6:22534649:22537665:-1 gene:TCM_029419 transcript:EOY27611 gene_biotype:protein_coding transcript_biotype:protein_coding description:MTERF-like protein isoform 2 MSAAAATALQSSLCISSQKPSSSPGNSQQPNSLSAAKPKSLLHKHPLYSSTHQNLSLQIKEKILCLEIMGIDSGKALSLNPSLHTTSLHSIHSIMSFLQSKGIHQKDFPRIFGMCPKILTSNVKADLNPVFSFLSQDLHVPENNYRKVINKCPRLLTSSVRDRLKPALFYLQRLGFKDLGALAYQDPVLLVSSVEHTLIPKLKFLESIGFSTNEAKSMVLRCPGLFTFSIENNYKPKFEYFNEEMKGELEELKEFPQFFAFSLEKRIKPRHIEVMQSGVKLVLANMLRSTDEEFKELLREGGNR >EOY27764 pep chromosome:Theobroma_cacao_20110822:6:23068297:23070066:-1 gene:TCM_029530 transcript:EOY27764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MRPPSLHSSFFSSLKQVEKRLKLETLPDSGPSNSTSSKVPETNLTPTESLGTPLYLQLDQPTNVYTGNTLQDSSEPPQAFLSSSPKFLPIHQTPPQINPPDPPTITNDVEDIEFFMQLLGLSDNQEETQKRRKQEVVACGGNSCGCECGFFEKIVGVKGPKCEKEVKRMGGWIRYFLRNGSEPLRLAFLLMGKAAFEGGDDCDFESLEFPSAIEEFLKIDPPKD >EOY27761 pep chromosome:Theobroma_cacao_20110822:6:23068704:23070155:-1 gene:TCM_029530 transcript:EOY27761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MRPPSLHSSFFSSLKQVEKRLKLETLPDSGPSNSTSSKVPETNLTPTESLGTPLYLQLDQPTNVYTGNTLQDSSEPPQAFLSSSPKFLPIHQTPPQINPPDPPTITNDVEDIEFFMQLLGLSDNQEETQKRRKQEVVACGGNSCGCECGFFEKIVGVKGPKCEKEVKRMGGWIRYFLRNGSEPLRLAFLLMGKAAFEGGDDCDFESLEFPSAIEEFLKIDPPKD >EOY27763 pep chromosome:Theobroma_cacao_20110822:6:23068368:23070066:-1 gene:TCM_029530 transcript:EOY27763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MRPPSLHSSFFSSLKQVEKRLKLETLPDSGPSNSTSSKVPETNLTPTESLGTPLYLQLDQPTNVYTGNTLQDSSEPPQAFLSSSPKFLPIHQTPPQINPPDPPTITNDVEDIEFFMQLLGLSDNQEETQKRRKQEVVACGGNSCGCECGFFEKIVGVKGPKCEKEVKRMGGWIRYFLRNGSEPLRLAFLLMGKAAFEGGDDCDFESLEFPSAIEEFLKIDPPKD >EOY27762 pep chromosome:Theobroma_cacao_20110822:6:23067832:23070066:-1 gene:TCM_029530 transcript:EOY27762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MRPPSLHSSFFSSLKQVEKRLKLETLPDSGPSNSTSSKVPETNLTPTESLGTPLYLQLDQPTNVYTGNTLQDSSEPPQAFLSSSPKFLPIHQTPPQINPPDPPTITNDVEDIEFFMQLLGLSDNQEETQKRRKQEVVACGGNSCGCECGFFEKIVGVKGPKCEKEVKRMGGWIRYFLRNGSEPLRLAFLLMGKAAFEGGDDCDFESLEFPSAIEEFLKIDPPKD >EOY26792 pep chromosome:Theobroma_cacao_20110822:6:17872194:17877870:1 gene:TCM_028747 transcript:EOY26792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline extensin-like receptor kinase 1 MSTPPSPSPTSPPSSSNTTSPPAALPPSTNTSSSVSPPPPAAAPPNGTSPVAQESPPSGGLPRGTLAGLIVGVGLGAIIVLIGVGIFVIFYRRRKRKLAQNYSGGPPPQGPKVDPQHWQHNAPQVAGLPKPTPPPGIAPHLHQPLVNEYASAEPPSTSTSLGFEKPSHPLASPGMGIGHSLGTFTYEDLALATDNFSDPNLIGQGGFGYVHKGVLKNGKVVAVKQLKAGSGQGEREFQAEVDIISRVHHRHLVSLVGYCITGDKRLLVYEFVPNNTLEFHLHGKDRPVMNWSTRLKIALGAAKGLAYLHEDCQPKIIHRDIKAANILLDNGFEAKVADFGLAKYSLDTDTHVSTRVMGTFGYMAPEYASSGKLTEKSDVFSFGIVLLGLITGRRPVDKAQPFFDDSIVDWARPLLSQALEQGNFDAFVDPRLQKDYDSSELTQMVACAAACVRHSARHRPRMSQIARTLEGNMSLDDLNEGITPGHSTVFSSYGSSDYSATQYKEDIKKFRKMALESQELGSSEYSGHTSDYGLNPSSSSTEGQHTTQEMDTSKVEKESKDVSGSS >EOY26434 pep chromosome:Theobroma_cacao_20110822:6:10234269:10258862:-1 gene:TCM_028049 transcript:EOY26434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited Ca(2+)-ATPase 9 isoform 4 MSSVSSGNGLLHLEDMEAGPSKDNDDLNNHLDPDADPSNPFDIAHTKNAPLETLQRWRQAALVLNASRRFRYTLDLRKEEEKEQRKRMIRAHAQVIRAALLFKLAGEKQIVPGTPVALPVAGGDYAIELEQLASMTRDHKLSALQQYDGVKGLSGLLRTNLELGINEDEADLLKRRNAFGSNTYPRKKGRSFWRFLWEAWQDLTLIILIIAAAVSLGLGIKTEGLEEGWYDGGSIFFAVFLVIVVTATSDYRQSLQFQNLSEEKRNIQIEVMRGGRTVKISIYDVVVGDVVPLKIGDQVPADGILITGHSLAIDESSMTGESKIVHKDQKEPFLMSGCKVADGVGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLAVAVSVLAVLLARYFTGHTEDPNGNREFIKGQTTVEDAFNDVVKIFTIAVTIIVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVEAFVGKKKINPPADSSQLHPSVVSLLSEGVAQNSTGNVFVPKDGGDVEISGSPTEKAILSWAVKLGMKYDVIRSESTVLHVFPFNSEKKRGGVALQLSDSQVHIHWKGAAELVLASCSRYLDSNGCLQSIDEEKDYLKAAIDEMATSSLRCIALAYRLCEKEEIPTDEESFNRWVLPEDNLVLLAIVGIKDPCRPGVKDAVKICMDAGVKVRMVTGDNIQTAKAIALECGILSSAEDATEPTIIEGRVFRALSEKEREQVAKKITVMGRSSPNDKLLLVQALRKGGDVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVIRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNSVQLLWVNLIMDTLGALALATEPPTDNLMHKTPVGRREPLITNIMWRNLLIQQALYQVTVLLALNFAGLSILHLKDDGNRAHAFEVKNTVIFNAFVMCQIFNEFNARKPEEINCFKGVTKNYLFMGIVGFTFILQIIIVEFLGKFTSTVRLDWELWLVSVGIGLVSWPLAMVGKLISVPKTPLAAYLTKPFERCRGSQNA >EOY26432 pep chromosome:Theobroma_cacao_20110822:6:10233710:10260763:-1 gene:TCM_028049 transcript:EOY26432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited Ca(2+)-ATPase 9 isoform 4 MSSVSSGNGLLHLEDMEAGPSKDNDDLNNHLDPDADPSNPFDIAHTKNAPLETLQRWRQAALVLNASRRFRYTLDLRKEEEKEQRKRMIRAHAQVIRAALLFKLAGEKQIVPGTPVALPVAGGDYAIELEQLASMTRDHKLSALQQYDGVKGLSGLLRTNLELGINEDEADLLKRRNAFGSNTYPRKKGRSFWRFLWEAWQDLTLIILIIAAAVSLGLGIKTEGLEEGWYDGGSIFFAVFLVIVVTATSDYRQSLQFQNLSEEKRNIQIEVMRGGRTVKISIYDVVVGDVVPLKIGDQVPADGILITGHSLAIDESSMTGESKIVHKDQKEPFLMSGCKVADGVGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLAVAVSVLAVLLARYFTGHTEDPNGNREFIKGQTTVEDAFNDVVKIFTIAVTIIVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVEAFVGKKKINPPADSSQLHPSVVSLLSEGVAQNSTGNVFVPKDGGDVEISGSPTEKAILSWAVKLGMKYDVIRSESTVLHVFPFNSEKKRGGVALQLSDSQVHIHWKGAAELVLASCSRYLDSNGCLQSIDEEKDYLKAAIDEMATSSLRCIALAYRLCEKEEIPTDEESFNRWVLPEDNLVLLAIVGIKDPCRPGVKDAVKICMDAGVKVRMVTGDNIQTAKAIALECGILSSAEDATEPTIIEGRVFRALSEKEREQVAKKITVMGRSSPNDKLLLVQALRKGGDVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVIRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNSVQLLWVNLIMDTLGALALATEPPTDNLMHKTPVGRREPLITNIMWRNLLIQALYQVTVLLALNFAGLSILHLKDDGNRAHAFEVKNTVIFNAFVMCQIFNEFNARKPEEINCFKGVTKNYLFMGIVGFTFILQIIIVEFLGKFTSTVRLDWELWLVSVGIGLVSWPLAMVGKLISVPKTPLAAYLTKPFERCRGSQNA >EOY26435 pep chromosome:Theobroma_cacao_20110822:6:10233967:10259788:-1 gene:TCM_028049 transcript:EOY26435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited Ca(2+)-ATPase 9 isoform 4 MSSVSSGNGLLHLEDMEAGPSKDNDDLNNHLDPDADPSNPFDIAHTKNAPLETLQRWRQAALVLNASRRFRYTLDLRKEEEKEQRKRMIRAHAQVIRAALLFKLAGEKQIVPGTPVALPVAGGDYAIELEQLASMTRDHKLSALQQYDGVKGLSGLLRTNLELGINEDEADLLKRRNAFGSNTYPRKKGRSFWRFLWEAWQDLTLIILIIAAAVSLGLGIKTEGLEEGWYDGGSIFFAVFLVIVVTATSDYRQSLQFQNLSEEKRNIQIEVMRGGRTVKISIYDVVVGDVVPLKIGDQVPADGILITGHSLAIDESSMTGESKIVHKDQKEPFLMSGCKVADGVGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLAVAVSVLAVLLARYFTGHTEDPNGNREFIKGQTTVEDAFNDVVKIFTIAVTIIVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVEAFVGKKKINPPADSSQLHPSVVSLLSEGVAQNSTGNVFVPKDGGDVEISGSPTEKAILSWAVKLGMKYDVIRSESTVLHVFPFNSEKKRGGVALQLSDSQVHIHWKGAAELVLASCSRYLDSNGCLQSIDEEKDYLKAAIDEMATSSLRCIALAYRLCEKEEIPTDEESFNRWVLPEDNLVLLAIVGIKDPCRPGVKDAVKICMDAGVKVRMVTGDNIQTAKAIALECGILSSAEDATEPTIIEGRVFRALSEKEREQVAKKITVMGRSSPNDKLLLVQALRKGGDVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVIRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNSVQLLWVNLIMDTLGALALATEPPTDNLMHKTPVGRREPLITNIMWRNLLIQALYQVTVLLALNFAGLSILHLKDDGNRAHAFEVKNTVIFNAFVMCQIFNEFNARKPEEINCFKGVTKNYLFMGIVGFTFILQVINLTFFYYYYFCFSWAVMFDLR >EOY26433 pep chromosome:Theobroma_cacao_20110822:6:10233334:10259828:-1 gene:TCM_028049 transcript:EOY26433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited Ca(2+)-ATPase 9 isoform 4 MSSVSSGNGLLHLEDMEAGPSKDNDDLNNHLDPDADPSNPFDIAHTKNAPLETLQRWRQAALVLNASRRFRYTLDLRKEEEKEQRKRMIRAHAQVIRAALLFKLAGEKQIVPGTPVALPVAGGDYAIELEQLASMTRDHKLSALQQYDGVKGLSGLLRTNLELGINEDEADLLKRRNAFGSNTYPRKKGRSFWRFLWEAWQDLTLIILIIAAAVSLGLGIKTEGLEEGWYDGGSIFFAVFLVIVVTATSDYRQSLQFQNLSEEKRNIQIEVMRGGRTVKISIYDVVVGDVVPLKIGDQVPADGILITGHSLAIDESSMTGESKIVHKDQKEPFLMSGCKVADGVGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLAVAVSVLAVLLARYFTGHTEDPNGNREFIKGQTTVEDAFNDVVKIFTIAVTIIVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVEAFVGKKKINPPADSSQLHPSVVSLLSEGVAQNSTGNVFVPKDGGDVEISGSPTEKAILSWAVKLGMKYDVIRSESTVLHVFPFNSEKKRGGVALQLSDSQVHIHWKGAAELVLASCSRYLDSNGCLQSIDEEKDYLKAAIDEMATSSLRCIALAYRLCEKEEIPTDEESFNRWVLPEDNLVLLAIVGIKDPCRPGVKDAVKICMDAGVKVRMVTGDNIQTAKAIALECGILSSAEDATEPTIIEGRVFRALSEKEREQVAKKITVMGRSSPNDKLLLVQALRKGGDVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVIRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNSVQLLWVNLIMDTLGALALATEPPTDNLMHKTPVGRREPLITNIMWRNLLIQALYQVTVLLALNFAGLSILHLKDDGNRAHAFEVKNTVIFNAFVMCQIFNEFNARKPEEINCFKGVTKNYLFMGIVGFTFILQIIIVEFLGKFTSTVRLDWELWLVSVGIGLVSWPLAMVGKLISVPKTPLAAYLTKPFERCRGSQNA >EOY28649 pep chromosome:Theobroma_cacao_20110822:6:26142268:26149689:1 gene:TCM_030191 transcript:EOY28649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipases,galactolipases isoform 3 MSWGLGWKRPSEIFRLSLSYGNEESAEDLDRTSSASSTSSVSSSSASLPPQNQQEVGFRIDLDWIAGDDEDQVALRLQSQLMVALPVPQDAVAIELRQTEGNVVGVEMKVEKRREPLRAVTMVKAAGSGQQSDGVGVLVRLLRSNLVPSGDGSPVQCGDHWRSVTLLSLCGCGLMTLPVELTRLPILEKLYLDYNKLSVLPPELGELKTLKVLRVDYNMLVSVPVELRQCVGLVELSLEHNKLVRPLLDFRAMAELQILRLFGNPLEFLPEILPLRKLRHLSLANIRIVADENLRSVTVQIEMENSSYFGASRHKLSAFFSLIFRFSSCHHPLLASALAKIIMQDQGNRVVIGKDENAVRQLISMISSDNRHVVEQACSALSTLAGDVSVAMQLMKCDIMQPIETVMRSPAPEELVSVLQVVVTLAFVSDTVAQKMLTKDVLRSLKMLCAHKNPEVQRLALLAVGNLAFCLENRRILVTSESLKELLMRLTIAPEPRVNRAAARALAILGENENLRRAIRGRQIPKQGLRILSMDGGGMKGLATVKILKEIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLMTLDQCEEIYKNLGKLVFAEPVPKDNEAATWREKLDQLYKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLVSVMPAQPFVFRNYQYPVGTPEVPFAISESSGITFLGSPTTGAQVGYKRSAFIGSCKHHIWQAIRASSAAPYYLDDFSDDVYRWQDGAIVANNPTIFAIREAQLLWPDTKIDCLVSIGCGSVPTKARKGGWRYLDTGQVLIESACSVDRVEEALSTLLPMLPEIQYFRFNPVDERCDMELDETDPTVWLKLEAAVEDYIQNNSESFKNACERLLLPFAHDEKWTENLKSQHFARAKASSADENSPSLGWRRNVLLVEALHSPDLGRVVHHARALESFCARNGIRLSLLHGLSGISKTLPATTFPTPFTSPLITGSFPSSPLLFSPDVGLQRLGRIDMVPPLSLDGLQSGKTATSPPKSPPAPRQLSLPVRSLHEKLQNLPQVGIIHLALQNDSVGSILRMTFLSWRNLENWLISFYKVSRLACCQ >EOY28650 pep chromosome:Theobroma_cacao_20110822:6:26142269:26150603:1 gene:TCM_030191 transcript:EOY28650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipases,galactolipases isoform 3 MAELQILRLFGNPLEFLPEILPLRKLRHLSLANIRIVADENLRSVTVQIEMENSSYFGASRHKLSAFFSLIFRFSSCHHPLLASALAKIIMQDQGNRVVIGKDENAVRQLISMISSDNRHVVEQACSALSTLAGDVSVAMQLMKCDIMQPIETVMRSPAPEELVSVLQVVVTLAFVSDTVAQKMLTKDVLRSLKMLCAHKNPEVQRLALLAVGNLAFCLENRRILVTSESLKELLMRLTIAPEPRVNRAAARALAILGENENLRRAIRGRQIPKQGLRILSMDGGGMKGLATVKILKEIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLMTLDQCEEIYKNLGKLVFAEPVPKDNEAATWREKLDQLYKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLVSVMPAQPFVFRNYQYPVGTPEVPFAISESSGITFLGSPTTGAQVGYKRSAFIGSCKHHIWQAIRASSAAPYYLDDFSDDVYRWQDGAIVANNPTIFAIREAQLLWPDTKIDCLVSIGCGSVPTKARKGGWRYLDTGQVLIESACSVDRVEEALSTLLPMLPEIQYFRFNPVDERCDMELDETDPTVWLKLEAAVEDYIQNNSESFKNACERLLLPFAHDEKWTENLKSQHFARAKASSADENSPSLGWRRNVLLVEALHSPDLGRVVHHARALESFCARNGIRLSLLHGLSGISKTLPATTFPTPFTSPLITGSFPSSPLLFSPDVGLQRLGRIDMVPPLSLDGLQSGKTATSPPKSPPAPRQLSLPVRSLHEKLQNLPQVGIIHLALQNDSVGSILSWQNDVFVVAEPGELADKFLQSVKVSMLSVIRSQHRNDASSFANITTIADLIHYRPYFQVGNIIHKYIGRQTQVMEDDQEIGAYMFRRTVPSLHLTPDDVRWMVGAWRDRIIICTGTYGPTANLTKAFLDSGAKAVICPSAEPQEVSMTAVNGSGEYNVLENGRFEIGEEDAEEEEEAEPISPVSDWEDSDLEKNGNHSTGFRDEEEEELSRFVCQLYDPVFREGARVDVALKKALASHRKLRFSCHLPNVK >EOY28648 pep chromosome:Theobroma_cacao_20110822:6:26142268:26149868:1 gene:TCM_030191 transcript:EOY28648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipases,galactolipases isoform 3 MSWGLGWKRPSEIFRLSLSYGNEESAEDLDRTSSASSTSSVSSSSASLPPQNQQEVGFRIDLDWIAGDDEDQVALRLQSQLMVALPVPQDAVAIELRQTEGNVVGVEMKVEKRREPLRAVTMVKAAGSGQQSDGVGVLVRLLRSNLVPSGDGSPVQCGDHWRSVTLLSLCGCGLMTLPVELTRLPILEKLYLDYNKLSVLPPELGELKTLKVLRVDYNMLVSVPVELRQCVGLVELSLEHNKLVRPLLDFRAMAELQILRLFGNPLEFLPEILPLRKLRHLSLANIRIVADENLRSVTVQIEMENSSYFGASRHKLSAFFSLIFRFSSCHHPLLASALAKIIMQDQGNRVVIGKDENAVRQLISMISSDNRHVVEQACSALSTLAGDVSVAMQLMKCDIMQPIETVMRSPAPEELVSVLQVVVTLAFVSDTVAQKMLTKDVLRSLKMLCAHKNPEVQRLALLAVGNLAFCLENRRILVTSESLKELLMRLTIAPEPRVNRAAARALAILGENENLRRAIRGRQIPKQGLRILSMDGGGMKGLATVKILKEIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLMTLDQCEEIYKNLGKLVFAEPVPKDNEAATWREKLDQLYKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLVSVMPAQPFVFRNYQYPVGTPEVPFAISESSGITFLGSPTTGAQVGYKRSAFIGSCKHHIWQAIRASSAAPYYLDDFSDDVYRWQDGAIVANNPTIFAIREAQLLWPDTKIDCLVSIGCGSVPTKARKGGWRYLDTGQVLIESACSVDRVEEALSTLLPMLPEIQYFRFNPVDERCDMELDETDPTVWLKLEAAVEDYIQNNSESFKNACERLLLPFAHDEKWTENLKSQHFARAKASSADENSPSLGWRRNVLLVEALHSPDLGRVVHHARALESFCARNGIRLSLLHGLSGISKTLPATTFPTPFTSPLITGSFPSSPLLFSPDVGLQRLGRIDMVPPLSLDGLQSGKTATSPPKSPPAPRQLSLPVRSLHEKLQNLPQVGIIHLALQNDSVGSILSWQNDVFVVAEPGELADKFLQSVKVSMLSVIRSQHRNDASSFANITTIADLIHYRPYFQVGNIIHKYIGRQTQVMEDDQEIGAYMFRRTVPSLHLTPDDVRWMVGAWRDRIIICTGTYGPTANLTKAFLDSGAKAVICPSAEPQEVSMTAVNGSGEYNVLENGRFEIGEEDAEEEEEAEPISPVSDWEDSDLEKNGNHSTGFRDEEEEELSRFVCQLYDPVFREGARVDVALKKALASHRKLRFSCHLPNVK >EOY28651 pep chromosome:Theobroma_cacao_20110822:6:26143772:26149868:1 gene:TCM_030191 transcript:EOY28651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipases,galactolipases isoform 3 MAELQILRLFGNPLEFLPEILPLRKLRHLSLANIRIVADENLRSVTVQIEMENSSYFGASRHKLSAFFSLIFRFSSCHHPLLASALAKIIMQDQGNRVVIGKDENAVRQLISMISSDNRHVVEQACSALSTLAGDVSVAMQLMKCDIMQPIETVMRSPAPEELVSVLQVVVTLAFVSDTVAQKMLTKDVLRSLKMLCAHKNPEVQRLALLAVGNLAFCLENRRILVTSESLKELLMRLTIAPEPRVNRAAARALAILGENENLRRAIRGRQIPKQGLRILSMDGGGMKGLATVKILKEIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLMTLDQCEEIYKNLGKLVFAEPVPKDNEAATWREKLDQLYKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLVSVMPAQPFVFRNYQYPVGTPEVPFAISESSGITFLGSPTTGAQVGYKRSAFIGSCKHHIWQAIRASSAAPYYLDDFSDDVYRWQDGAIVANNPTIFAIREAQLLWPDTKIDCLVSIGCGSVPTKARKGGWRYLDTGQVLIESACSVDRVEEALSTLLPMLPEIQYFRFNPVDERCDMELDETDPTVWLKLEAAVEDYIQNNSESFKNACERLLLPFAHDEKWTENLKSQHFARAKASSADENSPSLGWRRNVLLVEALHSPDLGRVVHHARALESFCARNGIRLSLLHGLSGISKTLPATTFPTPFTSPLITGSFPSSPLLFSPDVGLQRLGRIDMVPPLSLDGLQSGKTATSPPKSPPAPRQLSLPVRSLHEKLQNLPQVGIIHLALQNDSVGSILSWQNDVFVVAEPGELADKFLQSVKVSMLSVIRSQHRNDASSFANITTIADLIHYRPYFQVGNIIHKYIGRQTQVMEDDQEIGAYMFRRTVPSLHLTPDDVRWMVGAWRDRIIICTGTYGPTANLTKAFLDSGAKAVICPSAEPQEVSMTAVNGSGEYNVLENGRFEIGEEDAEEEEEAEPISPVSDWEDSDLEKNGNHSTGFRDEEEEELSRFVCQLYDPVFREGARVDVALKKALASHRKLRFSCHLPNVK >EOY26249 pep chromosome:Theobroma_cacao_20110822:6:6514689:6518863:-1 gene:TCM_027716 transcript:EOY26249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MLLLLKMKGSSQLIQIPKANLSLFLSVASKSSSSSPLSSEIKTEIERITRIINDHPFPDEPLQPTLLQQIPRIALSVSFVESVLGRLFAAHSNGLKALEFFKYSVHYSQLTPSVDAFEKTLHILTRMRYFDKAWELMIEMGHTHPYLLTLKSMSIMLAKIAKFQSYEDTLKAFKRMETDVFVGRNFSTDEFNVLLRAFCSQREMKEARSVFQKMHSRFSPDTKTMNILLLGFKESGNVAAMELFFHDMVRRGFKPNSMTYNIRIDAYCKKGCLGDGLRLLEEMEQVHCLPTLETITTLIHGAGVARNMPKAKQLFDEIPKRNLQPDVGAYNALISSLIRSRDIKSAIELMDEMERKQIEHDGLTYHTMFLGMMKLSGIEGVCELYYKMTERNFIPKTPTVVMLMKYFCENQNLDLGLNLWDYLVEKGYCPHSHALDLLVTGLCSRGRLPEAFVCCKQMLERGRHMSESVYRMMQRFLKQYDEMDKLMDLDRMIMKLQSVLPSSRGQAIGSTC >EOY25611 pep chromosome:Theobroma_cacao_20110822:6:649889:652390:-1 gene:TCM_026989 transcript:EOY25611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MYQRNLRSICKLIAPLTNVHTTTSQHIPRPSEFPTTQLASFLQSTSFPSNLQQGKQVHARLILNEITTTDPLLLAMYLRCGSFNDAKNMFYRIDLGCVKRWNLMIRGLVKMGWFHLGLLFYFKMLGCGVSPDNFTFPSVVKACSGLNNVRFGTLIHEAIMSMGFEVNVFVGSSLINFYVENGHVDRARPLFDKIPVRDCVLWNVMLNGYVKCEELDKAMEIFEEMRKGETKPDEVTFAAIFSLCASEGMVDFGTQLHGLVVCCGLEFDSVVANALLSMYSKCGWLSDAHKLFGMMPQADLVSWNGMISGYVQNGFMQDASCLFNEMISSGLKPDAITFSSFLPAVTGLGCFRKGKEIHGYILRHGVSLDVFLKSALIDVYFKCRDVEMARKVYNQRTEVDVVMCTAMISGYVLNGMNNDALEIFRWLLKEKIRPNAVTLASVLPACADLAALKVGKELHGYIIKNGLDCGCHVGSAVIDMYAKCGRLDVTHDIFRRLSERDSVCWNSMITSCSQNGKPEKAIDLFCWMGSTGMKYDCVSISAALSACANLPALHYGKEIHGFMIKGSFCSDPFAKSALIDMYAKCGNLGSSQHVFDMMEEKNEVSWNSIIAAYGNHGRLEDCLALFHEMLKNEIQPDHVTFLAIISACGHAGKVDDGIHYFQSMTEEYGITARMEHYACVVDLFGRAGRLNEAFETIKSMPFSPDAGVWGTLLGACRNHGNVELAEFASRHLFDLDPQNSGYYVLLSNLLADAGHWGSVLKIRSLMKERGVQKVPGYSWIEVNNTTHMFVAADESHPRSSHIYSLLKTLLLELKREGYVPQLYLPMHPQHMVS >EOY25613 pep chromosome:Theobroma_cacao_20110822:6:648398:652551:-1 gene:TCM_026989 transcript:EOY25613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MYQRNLRSICKLIAPLTNVHTTTSQHIPRPSEFPTTQLASFLQSTSFPSNLQQGKQVHARLILNEITTTDPLLLAMYLRCGSFNDAKNMFYRIDLGCVKRWNLMIRGLVKMGWFHLGLLFYFKMLGCGVSPDNFTFPSVVKACSGLNNVRFGTLIHEAIMSMGFEVNVFVGSSLINFYVENGHVDRARPLFDKIPVRDCVLWNVMLNGYVKCEELDKAMEIFEEMRKGETKPDEVTFAAIFSLCASEGMVDFGTQLHGLVVCCGLEFDSVVANALLSMYSKCGWLSDAHKLFGMMPQADLVSWNGMISGYVQNGFMQDASCLFNEMISSGLKPDAITFSSFLPAVTGLGCFRKGKEIHGYILRHGVSLDVFLKSALIDVYFKCRDVEMARKVYNQRTEVDVVMCTAMISGYVLNGMNNDALEIFRWLLKEKIRPNAVTLASVLPACADLAALKVGKELHGYIIKNGLDCGCHVGSAVIDMYAKCGRLDVTHDIFRRLSERDSVCWNSMITSCSQNGKPEKAIDLFCWMGSTGMKYDCVSISAALSACANLPALHYGKEIHGFMIKGSFCSDPFAKSALIDMYAKCGNLGSSQHVFDMMEEKNEVSWNSIIAAYGNHGRLEDCLALFHEMLKNEIQPDHVTFLAIISACGHAGKVDDGIHYFQSMTEEYGITARMEHYACVVDLFGRAGRLNEAFETIKSMPFSPDAGVWGTLLGACRNHGNVELAEFASRHLFDLDPQNSGYYVLLSNLLADAGHWGSVLKIRSLMKERGVQKVPGYSWIEVNNTTHMFVAADESHPRSSHIYSLLKTLLLELKREGYVPQLYLPMHPQHMVS >EOY25610 pep chromosome:Theobroma_cacao_20110822:6:645138:652516:-1 gene:TCM_026989 transcript:EOY25610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MYQRNLRSICKLIAPLTNVHTTTSQHIPRPSEFPTTQLASFLQSTSFPSNLQQGKQVHARLILNEITTTDPLLLAMYLRCGSFNDAKNMFYRIDLGCVKRWNLMIRGLVKMVKACSGLNNVRFGTLIHEAIMSMGFEVNVFVGSSLINFYVENGHVDRARPLFDKIPVRDCVLWNVMLNGYVKCEELDKAMEIFEEMRKGETKPDEVTFAAIFSLCASEGMVDFGTQLHGLVVCCGLEFDSVVANALLSMYSKCGWLSDAHKLFGMMPQADLVSWNGMISGYVQNGFMQDASCLFNEMISSGLKPDAITFSSFLPAVTGLGCFRKGKEIHGYILRHGVSLDVFLKSALIDVYFKCRDVEMARKVYNQRTEVDVVMCTAMISGYVLNGMNNDALEIFRWLLKEKIRPNAVTLASVLPACADLAALKVGKELHGYIIKNGLDCGCHVGSAVIDMYAKCGRLDVTHDIFRRLSERDSVCWNSMITSCSQNGKPEKAIDLFCWMGSTGMKYDCVSISAALSACANLPALHYGKEIHGFMIKGSFCSDPFAKSALIDMYAKCGNLGSSQHVFDMMEEKNEVSWNSIIAAYGNHGRLEDCLALFHEMLKNEIQPDHVTFLAIISACGHAGKVDDGIHYFQSMTEEYGITARMEHYACVVDLFGRAGRLNEAFETIKSMPFSPDAGVWGTLLGACRNHGNVELAEFASRHLFDLDPQNSGYYVLLSNLLADAGHWGSVLKIRSLMKERGVQKVPGYSWIEVNNTTHMFVAADESHPRSSHIYSLLKTLLLELKREGYVPQLYLPMHPQHMVS >EOY25612 pep chromosome:Theobroma_cacao_20110822:6:645607:652516:-1 gene:TCM_026989 transcript:EOY25612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MYQRNLRSICKLIAPLTNVHTTTSQHIPRPSEFPTTQLASFLQSTSFPSNLQQGKQVHARLILNEITTTDPLLLAMYLRCGSFNDAKNMFYRIDLGCVKRWNLMIRGLVKMGWFHLGLLFYFKMLGCGVSPDNFTFPSVVKACSGLNNVRFGTLIHEAIMSMGFEVNVFVGSSLINFYVENGHVDRARPLFDKIPVRDCVLWNVMLNGYVKCEELDKAMEIFEEMRKGETKPDEVTFAAIFSLCASEGMVDFGTQLHGLVVCCGLEFDSVVANALLSMYSKCGWLSDAHKLFGMMPQADLVSWNGMISGYVQNGFMQDASCLFNEMISSGLKPDAITFSSFLPAVTGLGCFRKGKEIHGYILRHGVSLDVFLKSALIDVYFKCRDVEMARKVYNQRTEVDVVMCTAMISGYVLNGMNNDALEIFRWLLKEKIRPNAVTLASVLPACADLAALKVGKELHGYIIKNGLDCGCHVGSAVIDMYAKCGRLDVTHDIFRRLSERDSVCWNSMITSCSQNGKPEKAIDLFCWMGSTGMKYDCVSISAALSACANLPALHYGKEIHGFMIKGSFCSDPFAKSALIDMYAKCGNLGSSQHVFDMMEEKNEVSWNSIIAAYGNHGRLEDCLALFHEMLKNEIQPDHVTFLAIISACGHAGKVDDGIHYFQSMTEEYGITARMEHYACVVDLFGRAGRLNEAFETIKSMPFSPDAGVWGTLLGACRNHGNVELAEFASRHLFDLDPQNSGYYVLLSNLLADAGHWGSVLKIRSLMKERGVQKVPGYSWIEVNNTTHMFVAADESHPRSSHIYSLLKTLLLELKREGYVPQLYLPMHPQHMVS >EOY25609 pep chromosome:Theobroma_cacao_20110822:6:645245:652544:-1 gene:TCM_026989 transcript:EOY25609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MYQRNLRSICKLIAPLTNVHTTTSQHIPRPSEFPTTQLASFLQSTSFPSNLQQGKQVHARLILNEITTTDPLLLAMYLRCGSFNDAKNMFYRIDLGCVKRWNLMIRGLVKMGWFHLGLLFYFKMLGCGVSPDNFTFPSVVKACSGLNNVRFGTLIHEAIMSMGFEVNVFVGSSLINFYVENGHVDRARPLFDKIPVRDCVLWNVMLNGYVKCEELDKAMEIFEEMRKGETKPDEVTFAAIFSLCASEGMVDFGTQLHGLVVCCGLEFDSVVANALLSMYSKCGWLSDAHKLFGMMPQADLVSWNGMISGYVQNGFMQDASCLFNEMISSGLKPDAITFSSFLPAVTGLGCFRKGKEIHGYILRHGVSLDVFLKSALIDVYFKCRDVEMARKVYNQRTEVDVVMCTAMISGYVLNGMNNDALEIFRWLLKEKIRPNAVTLASVLPACADLAALKVGKELHGYIIKNGLDCGCHVGSAVIDMYAKCGRLDVTHDIFRRLSERDSVCWNSMITSCSQNGKPEKAIDLFCWMGSTGMKYDCVSISAALSACANLPALHYGKEIHGFMIKGSFCSDPFAKSALIDMYAKCGNLGSSQHVFDMMEEKNEVSWNSIIAAYGNHGRLEDCLALFHEMLKNEIQPDHVTFLAIISACGHAGKVDDGIHYFQSMTEEYGITARMEHYACVVDLFGRAGRLNEAFETIKSMPFSPDAGVWGTLLGACRNHGNVELAEFASRHLFDLDPQNSGYYVLLSNLLADAGHWGSVLKIRSLMKERGVQKVPGYSWIEVNNTTHMFVAADESHPRSSHIYSLLKTLLLELKREGYVPQLYLPMHPQHMVS >EOY25614 pep chromosome:Theobroma_cacao_20110822:6:645245:652544:-1 gene:TCM_026989 transcript:EOY25614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative isoform 1 MYQRNLRSICKLIAPLTNVHTTTSQHIPRPSEFPTTQLASFLQSTSFPSNLQQGKQVHARLILNEITTTDPLLLAMYLRCGSFNDAKNMFYRIDLGCVKRWNLMIRGLVKMGWFHLGLLFYFKMLGCGVSPDNFTFPSVVKACSGLNNVRFGTLIHEAIMSMGFEVNVFVGSSLINFYVENGHVDRARPLFDKIPVRDCVLWNVMLNGYVKCEELDKAMEIFEEMRKGETKPDEVTFAAIFSLCASEGMVDFGTQLHGLVVCCGLEFDSVVANALLSMYSKCGWLSDAHKLFGMMPQADLVSWNGMISGYVQNGFMQDASCLFNEMISSGLKPDAITFSSFLPAVTGLGCFRKGKEIHGYILRHGVSLDVFLKSALIDVYFKCRDVEMARKVYNQRTEVDVVMCTAMISGYVLNGMNNDALEIFRWLLKEKIRPNAVTLASVLPACADLAALKVGKELHGYIIKNGLDCGCHVGSAVIDMYAKCGRLDVTHDIFRRLSERDSVCWNSMITSCSQNGKPEKAIDLFCWMGSTGMKYDCVSISAALSACANLPALHYGKEIHGFMIKGSFCSDPFAKSALIDMYAKCGNLGSSQHVFDMMEEKNEVSWNSIIAAYGNHGRLEDCLALFHEMLKNEIQPDHVTFLAIISACGHAGKVDDGIHYFQSMTEEYGITARMEHYACVVDLFGRAGRLNEAFETIKSMPFSPDAGVWGTLLGACRNHGNVELAEFASRHLFDLDPQNSGYYVLLSNLLADAGHWGSVLKIRSLMKERGVQKVPGYSWIEVNNTTHMFVAADESHPRSSHIYSLLKTLLLELKREGYVPQLYLPMHPQHMVS >EOY26810 pep chromosome:Theobroma_cacao_20110822:6:17987465:17988098:1 gene:TCM_028766 transcript:EOY26810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S17 MSITSSLQSLKLSSPFLHGSTSLSLLSKPNSSLSHQPLKTPTFLPPIRALKSLQGKVVCATNDKTVSVEVVRLAPHPKYKRRVRKKKKFQAHDPDNQFKVGDYVQLEKSRPISKTKTFIAVPVPSKNGRQEKKETGELGIPLESQQPQEQQA >EOY27753 pep chromosome:Theobroma_cacao_20110822:6:23043554:23044907:-1 gene:TCM_029523 transcript:EOY27753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHNTNALICADIFRDMRAHCHACMVLFLSPLFLLFEPVGSGVSGAPSRPFFISVFQLLPSFVLIGPTSWINVAKLDFLQHHFQLVMSFPPLYNLDNRVVVNGSRAKSYGN >EOY27801 pep chromosome:Theobroma_cacao_20110822:6:23171658:23176063:1 gene:TCM_029558 transcript:EOY27801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein isoform 3 NSNSPPSLTLLSPTPLQKPPPFLPNAAVWAKKTLITALTGALSLTLLVSSPAPSFSRDSTSFQPTQPPQFSNPLPDRCTEEEQQQEQEEDKVELKPEFVTNEEIVQEAWQIVNDSFLDTRRHRWSPQSWLQKREDILGTSIQTRSKAHELIKRMLASLGDPYTRFLSPAEFSKMARYDMTGIGINIREVSDDIGGVKLKVQGLILDGPAHTAGVKQGDEVLAVNGENVRGKSAFEVSSLLQGPNETFVTIKVRHGNCGPTESLQVQRQLVARTPVFYRLEQVSNGPTSAGYMRLKEFNALARKDLFIAMKRLQDMGASFFILDLRDNLGGLVEAGIEIAKLFRSDSICL >EOY27802 pep chromosome:Theobroma_cacao_20110822:6:23171676:23176849:1 gene:TCM_029558 transcript:EOY27802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein isoform 3 RILLCNSNSPPSLTLLSPTPLQKPPPFLPNAAVWAKKTLITALTGALSLTLLVSSPAPSFSRDSTSFQPTQPPQFSNPLPDRCTEEEQQQEQEEDKVELKPEFVTNEEIVQEAWQIVNDSFLDTRRHRWSPQSWLQKREDILGTSIQTRSKAHELIKRMLASLGDPYTRFLSPAEFSKMARYDMTGIGINIREVSDDIGGVKLKVQGLILDGPAHTAGVKQGDEVLAVNGENVRGKSAFEVSSLLQGPNETFVTIKVRHGNCGPTESLQVQRQLVARTPVFYRLEQVSNGPTSAGYMRLKEFNALARKDLFIAMKRLQDMGASFFILDLRDNLGGLVEAAWNDVAQHLLQCNLLRQG >EOY27800 pep chromosome:Theobroma_cacao_20110822:6:23171462:23177726:1 gene:TCM_029558 transcript:EOY27800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein isoform 3 NQRKKMRILLCNSNSPPSLTLLSPTPLQKPPPFLPNAAVWAKKTLITALTGALSLTLLVSSPAPSFSRDSTSFQPTQPPQFSNPLPDRCTEEEQQQEQEEDKVELKPEFVTNEEIVQEAWQIVNDSFLDTRRHRWSPQSWLQKREDILGTSIQTRSKAHELIKRMLASLGDPYTRFLSPAEFSKMARYDMTGIGINIREVSDDIGGVKLKVQGLILDGPAHTAGVKQGDEVLAVNGENVRGKSAFEVSSLLQGPNETFVTIKVRHGNCGPTESLQVQRQLVARTPVFYRLEQVSNGPTSAGYMRLKEFNALARKDLFIAMKRLQDMGASFFILDLRDNLGGLVEAGIEIAKLFLEEGETIIYTVGRDPQYQKTIVADTAPMVTAPVIGLIQSVFELRDGSGVVVTVGKYVTPNHLDINGNGIEPDYRNFPAWNDVAQHL >EOY27799 pep chromosome:Theobroma_cacao_20110822:6:23171673:23176092:1 gene:TCM_029558 transcript:EOY27799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein isoform 3 MRILLCNSNSPPSLTLLSPTPLQKPPPFLPNAAVWAKKTLITALTGALSLTLLVSSPAPSFSRDSTSFQPTQPPQFSNPLPDRCTEEEQQQEQEEDKVELKPEFVTNEEIVQEAWQIVNDSFLDTRRHRWSPQSWLQKREDILGTSIQTRSKAHELIKRMLASLGDPYTRFLSPAEFSKMARYDMTGIGINIREVSDDIGGVKLKVQGLILDGPAHTAGVKQGDEVLAVNGENVRGKSAFEVSSLLQGPNETFVTIKVRHGNCGPTESLQVQRQLVARTPVFYRLEQVSNGPTSAGYMRLKEFNALARKDLFIAMKRLQDMGASFFILDLRDNLGGLVEAGIEIAKLFLEEGETIIYTVGRDPQYQKTIVADTAPMVTAPVIVLVNNKTASASEIVASALHDNCRAVLVGERTFGKGLIQSVFELRDGSGVVVTVGKYVTPNHLDINGNGIEPDYRNFPAWNDVAQHLLQCNLLRQG >EOY26071 pep chromosome:Theobroma_cacao_20110822:6:4238338:4241401:1 gene:TCM_027463 transcript:EOY26071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MPSRPLPPGPNLPRPSFISKTRVIFLILTISSSVVILFTIIYFLYHLWNSLSNRARTIPFDSSAPLKLQRFCYKELKNATNDFDDANIIGKGGSGTVFRGIVRDGKLFAIKRLDTVSLQTEREFQNELQILGGLRSPFLVTLLGYCVEKNKRLLVYEYMPNKSLQESLFGDGHLSLNWQRRFDVIVDVAKALEFLHFGCDPPVIHGDIKPSNVLLDSDFRAKISDFGLSRIKVEGEFGVDFFSQDLGRSQELWKSQELSGNLGGTTGEQTPAIGTPVDSNNNEVDFALALQASSSSKNSKKCYNVKALNLNSFNYNANIASESDCKVGNNGKGKEVTGVDIAGDDWNTKCVPYDDEFGSIDHSKELNSSASLGVDEAANTKQWGKDWWWRQDGSGELCSKDYVMEWIGSQICPSNPDWDDEKKATPEKIELDNSTQLEKLDDVNEPQVQGLGFEALDKGFEKKEPKGRKNRKKKHRKMQEWWKDEHLGEISKKDSKLKQLKTKWKKGFKMPYFDLGRRFYFHRRKKFGEPNQDDCDANGEFSFRRGWRRKNNNSVGSDMWSGDLFSRELSSTTSMRGTLCYVAPEYNGCGYLMEKADIYSLGVLILVIVSGRRPLHVLASPMRLEKANLISWCRQLAQSGNVLELVDERLKDDYNKEQASLCINLALSCLQKMPELRPDIGEIVRILKGEMDLPPIPFEFSPSPPSKLYSRSRRKQKANAE >EOY27600 pep chromosome:Theobroma_cacao_20110822:6:22521126:22546942:1 gene:TCM_029416 transcript:EOY27600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain-containing protein, putative isoform 3 MDESWRRCMGMPTTHHLPRRKSVENTIFSMSHHVTMVADHTLDADDFFDVFGGPPRSVLCRTFSGDFTRSTSFYEEVFRPPEFVSSRKTKCGRSLPAFRIPARGEGFYSDIFGSDDDLRRSRERSGSNSKAKSNSSSVLSSEELSPLRPVVGDDVGLSSFASKLRPINVPCRWNSTTMMPDQELGMQRGMALPAFPSSRSFYNENLYMENEHNVDNLRSSCYGFCRRASSPEIISLEPHSFRSVKISADDLEFNSPSSPASSLCHEAEARAGVQTDSVQEEEEEEEEEEEEDEDEVMSSYVIDINSDLRECTGEAVSIDEAIAWAKERYNTQSSEREHEKEQPIETEASSNNAHEYFDRQTDGHGTKQSPMEEEQKKSKAEEEKERSEEHIKMGLLDEDVKLWSSGKENNIRLLLSTLHHRLAHDSSHEPYRELTSEKSLSESKAVSSPRQTATKRCNTITKICRRQGLFHPPGCMGCIHLPRCVQEVSSNLVG >EOY27599 pep chromosome:Theobroma_cacao_20110822:6:22520924:22524231:1 gene:TCM_029416 transcript:EOY27599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain-containing protein, putative isoform 3 MDESWRRCMGMPTTHHLPRRKSVENTIFSMSHHVTMVADHTLDADDFFDVFGGPPRSVLCRTFSGDFTRSTSFYEEVFRPPEFVSSRKTKCGRSLPAFRIPARGEGFYSDIFGSDDDLRRSRERSGSNSKAKSNSSSVLSSEELSPLRPVVGDDVGLSSFASKLRPINVPCRWNSTTMMPDQELGMQRGMALPAFPSSRSFYNENLYMENEHNVDNLRSSCYGFCRRASSPEIISLEPHSFRSVKISADDLEFNSPSSPASSLCHEAEARAGVQTDSVQEEEEEEEEEEEEDEDEVMSSYVIDINSDLRECTGEAVSIDEAIAWAKERYNTQSSEREHEKEQPIETEASSNNAHEYFDRQTDGHGTKQSPMEEEQKKSKAEEEKERSEEHIKMGLLDEDVKLWSSGKENNIRLLLSTLHHILWPNSGWHMIPLMSLTESSQVKKAYQKARLCLHPDKLQQRGATLSQKYVADKAFSILQDAWAAFISQDVCKKYLVIW >EOY27598 pep chromosome:Theobroma_cacao_20110822:6:22521274:22523932:1 gene:TCM_029416 transcript:EOY27598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain-containing protein, putative isoform 3 MDESWRRCMGMPTTHHLPRRKSVENTIFSMSHHVTMVADHTLDADDFFDVFGGPPRSVLCRTFSGDFTRSTSFYEEVFRPPEFVSSRKTKCGRSLPAFRIPARGEGFYSDIFGSDDDLRRSRERSGSNSKAKSNSSSVLSSEELSPLRPVVGDDVGLSSFASKLRPINVPCRWNSTTMMPDQELGMQRGMALPAFPSSRSFYNENLYMENEHNVDNLRSSCYGFCRRASSPEIISLEPHSFRSVKISADDLEFNSPSSPASSLCHEAEARAGVQTDSVQEEEEEEEEEEEEDEDEVMSSYVIDINSDLRECTGEAVSIDEAIAWAKERYNTQSSEREHEKEQPIETEASSNNAHEYFDRQTDGHGTKQSPMEEEQKKSKAEEEKERSEEHIKMGLLDEDVKLWSSGKENNIRLLLSTLHHILWPNSGWHMIPLMSLTESSQVKKAYQKARLCLHPDKLQQRGATLSQKYVADKAFSILQDAWAAFISQDVFFN >EOY27146 pep chromosome:Theobroma_cacao_20110822:6:20443211:20444538:-1 gene:TCM_029069 transcript:EOY27146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNVEVIAVKLSHGSKARNKAVLIAPLSKPFNSLVDSLGGRLCCRLIFYELTKNFPTMKGWGPGTLKSTSNNHQ >EOY26420 pep chromosome:Theobroma_cacao_20110822:6:9984989:10001795:-1 gene:TCM_028025 transcript:EOY26420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERVNMSENGSRYGIVSPLERFRERESPVMDLRVSLNLRHNQLGKKKERGLLEGNEGQRRKEAGREIGRETKELQARLKENMLKKEENSFEDGATLREMLKAVSKRRKEKGKGKVEDPDGTDMLKQNNRVEESADEIENRALTIDMTGKLHIKRLNKCPNSNSVMGGTSKRRERNIMIENSIRPWSYYENKRDKGEETMIPVKNRGDRRAMWERLKLDMESFDGKLCIGGNFNTVCYEEERIGRGDIERLAVSFNDFINVLGLMDLPLEGGKFTWCNYKEQAAFSCLDRLEEIKKGVAEYFKKFYEQQNILRVKNIHSKWPREYGTILSTFIKPSVGAALKKAKKARTEIEWEKVMEGTMKFNVDGAANIVETAMAMGIRWKGAFRMLLCGSGSMG >EOY28830 pep chromosome:Theobroma_cacao_20110822:6:26658805:26660076:-1 gene:TCM_030321 transcript:EOY28830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDILNSVQFTHSYRLPQNLLSLPLVHQWLILSCIMSLCFGASCNLGVPRSTVLAPTEHIACFISYLFFFPIDTGKPAHVWLLLLTDWFCYYVVVSVMKLEELEF >EOY26516 pep chromosome:Theobroma_cacao_20110822:6:13393080:13472089:1 gene:TCM_028299 transcript:EOY26516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFDKHRKNKTKSEIWLELLHRQYNKHLVNIEKAASNHTTPETMRAPTTYQKTKIHHISLLFNFAVE >EOY26264 pep chromosome:Theobroma_cacao_20110822:6:6787804:6790623:1 gene:TCM_027739 transcript:EOY26264 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MGSRNASELNLISDNDVMIDILQRLPLKTLMRCKCVCKWWNNLISDPTFKSSYSRRNPQYYVSGFFLQKFLFLELHSKLLFFPCEGHIDAAPEPSLSFIEDDKGVRIQHSCNGLLLCSSFRCLEKDRQFYICKPTTKQYLRLPYPVCRIVFGSNIAYDPSKSPHYKIVCICDSYLSENHRQIKIFSPFTGSWKVSGNPFSVLDEVMLFNRGIFFNGMLHWVGRGNLALRFDVEREVMLTMPMPPIQEGWTERRVRYFGESGGLLFLIETYGPLTAGIDVKEMKSDYSGWFVKYHLNLDTVAFHSPGIRINYTLAILHIAHQRVGDEDESFMVIHVPGDLVSFKLKDNTLMELQTSNQVNKALGLWYSWEGVYPYSNTYCYL >EOY25895 pep chromosome:Theobroma_cacao_20110822:6:2600497:2609083:-1 gene:TCM_027265 transcript:EOY25895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox domain-containing protein, putative isoform 3 MNLYAHDLSLFDFANFSDNPIIDHQSSSDFLIRPHDDSNGRDSGGDDDDENKRASMANRRSPPRYRHDGTSPLPLGMDWSIPPRKWDGRDTVWPHDPHTGWSYCITIPSWVLLPKSRGSDPIVFFRVQVGIQSPEGITTSREILRRFSDFLKLLSELKKIFPKKSLPPAPPKGILRMKSRTLLEERRCSLEDWMEKVLSDIDISRSVSVATFLELEAAARSSFNNINQSNAVSSVSGVVPSFLSKTNSDVSLIIGSSIASDHDASSEDMSELGTPRYRKVSSADPSMEPSTSEPHLIEPLEKTMKYGIFNKNFILENLEKFSKQKMHSGKESEIAGDKLRGNTIDTRFLPGDGAKHLPELDYSKMDGHVRRLSTESIGSDLSSVRASEISNLGVASLFGDGILNLPENAEAPRTLDSFSSDLQFHRDLLVLFLSEERHKLKRVLNTLQRRLATAKTDMEDLISRLNQEVAVRQFLETKVKDLEVELETTRDNCDENMQQAVLLERERFTQMQWDMEELRKQCLEMELKLKTEQDEKARVESANLLIIQESKMLLQELDVAREQLANLHKHHEELEVKSKAEVKVLVKEVKSLRSSQSELKQELSRVMKEKLELERVLQKEKQRMEHANAANTKLLHECNLLRDRLEECSVNFLSEEEDKLIVDTSSPSDALDLLTTSDNRIGLLLAEAQLLAQDVENSVARLDESHSMKGGDKRTDDELRKMVTDIFVDNATLRKQVNSVIRCALNTYVKSEDKDDEEEEAPLSKTVLSKFL >EOY25897 pep chromosome:Theobroma_cacao_20110822:6:2600937:2609057:-1 gene:TCM_027265 transcript:EOY25897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox domain-containing protein, putative isoform 3 MNLYAHDLSLFDFANFSDNPIIDHQSSSDFLIRPHDDSNGRDSGGDDDDENKRASMANRRSPPRYRHDGTSPLPLGMDWSIPPRKWDGRDTVWPHDPHTGWSYCITIPSWVLLPKSRERRCSLEDWMEKVLSDIDISRSVSVATFLELEAAARSSFNNINQSNAVSSVSGVVPSFLSKTNSDVSLIIGSSIASDHDASSEDMSELGTPRYRKVSSADPSMEPSTSEPHLIEPLEKTMKYGIFNKNFILENLEKFSKQKMHSGKESEIAGDKLRGNTIDTRFLPGDGAKHLPELDYSKMDGHVRRLSTESIGSDLSSVRASEISNLGVASLFGDGILNLPENAEAPRTLDSFSSDLQFHRDLLVLFLSEERHKLKRVLNTLQRRLATAKTDMEDLISRLNQEVAVRQFLETKVKDLEVELETTRDNCDENMQQAVLLERERFTQMQWDMEELRKQCLEMELKLKTEQDEKARVESANLLIIQESKMLLQELDVAREQLANLHKHHEELEVKSKAEVKVLVKEVKSLRSSQSELKQELSRVMKEKLELERVLQKEKQRMEHANAANTKLLHECNLLRDRLEECSVNFLSEEEDKLIVDTSSPSDALDLLTTSDNRIGLLLAEAQLLAQDVENSVARLDESHSMKGGDKRTDDELRKMVTDIFVDNATLRKQVNSVIRCALNTYVKSEDKDDEEEEAPLSKTVLSKFL >EOY25896 pep chromosome:Theobroma_cacao_20110822:6:2600937:2607946:-1 gene:TCM_027265 transcript:EOY25896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox domain-containing protein, putative isoform 3 MEKVLSDIDISRSVSVATFLELEAAARSSFNNINQSNAVSSVSGVVPSFLSKTNSDVSLIIGSSIASDHDASSEDMSELGTPRYRKVSSADPSMEPSTSEPHLIEPLEKTMKYGIFNKNFILENLEKFSKQKMHSGKESEIAGDKLRGNTIDTRFLPGDGAKHLPELDYSKMDGHVRRLSTESIGSDLSSVRASEISNLGVASLFGDGILNLPENAEAPRTLDSFSSDLQFHRDLLVLFLSEERHKLKRVLNTLQRRLATAKTDMEDLISRLNQEVAVRQFLETKVKDLEVELETTRDNCDENMQQAVLLERERFTQMQWDMEELRKQCLEMELKLKTEQDEKARVESANLLIIQESKMLLQELDVAREQLANLHKHHEELEVKSKAEVKVLVKEVKSLRSSQSELKQELSRVMKEKLELERVLQKEKQRMEHANAANTKLLHECNLLRDRLEECSVNFLSEEEDKLIVDTSSPSDALDLLTTSDNRIGLLLAEAQLLAQDVENSVARLDESHSMKGGDKRTDDELRKMVTDIFVDNATLRKQVNSVIRCALNTYVKSEDKDDEEEEAPLSKTVLSKFL >EOY25894 pep chromosome:Theobroma_cacao_20110822:6:2600600:2609102:-1 gene:TCM_027265 transcript:EOY25894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox domain-containing protein, putative isoform 3 MNLYAHDLSLFDFANFSDNPIIDHQSSSDFLIRPHDDSNGRDSGGDDDDENKRASMANRRSPPRYRHDGTSPLPLGMDWSIPPRKWDGRDTVWPHDPHTGWSYCITIPSWVLLPKSRGSDPIVFFRVQVGIQSPEGITTSREILRRFSDFLKLLSELKKIFPKKSLPPAPPKGILRMKSRTLLEERRCSLEDWMEKVLSDIDISRSVSVATFLELEAAARSSFNNINQSNAVSSVSGVVPSFLSKTNSDVSLIIGSSIASDHDASSEDMSELGTPRYRKVSSADPSMEPSTSEPHLIEPLEKTMKYGIFNKNFILENLEKFSKQKMHSGKESEIAGDKLRGNTIDTRFLPGDGAKHLPELDYSKMDGHVRRLSTESIGSDLSSVRASEISNLGVASLFGDGILNLPENAEAPRTLDSFSSDLQFHRDLLVLFLSEERHKLKRVLNTLQRRLATAKTDMEDLISRLNQEVAVRQFLETKVKDLEVELETTRDNCDENMQQAVLLERERFTQMQWDMEELRKQCLEMELKLKTEQDEKARVESANLLIIQESKMLLQELDVAREQLANLHKHHEELEVKSKAEVKVLVKEVKSLRSSQSELKQELSRVMKEKLELERVLQKEKQRMEHANAANTKLLHECNLLRDRLEECSVNFLSEEEDKLIVDTSSPSDALDLLTTSDNRIGLLLAEAQLLAQDVENSVARLDESHSMKGGDKRTDDELRKMVTDIFVDNATLRKQVNSVIRCALNTYVKSEDKDDEEEEAPLSKTVLSKFL >EOY26625 pep chromosome:Theobroma_cacao_20110822:6:15680545:15684528:1 gene:TCM_028512 transcript:EOY26625 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate-dependent dioxygenase MGSESSPNVPFLDFSDEDLKPGTDTWLLACKKVRQALEEYGCFILEYNKFPLELHNQVFAVLEELFDLPTETKMKNRYEKPLNGYVGQIPKLPLHESMGIDNANTLEGTRFFTNLMWPQGNDRFCEYIYKYAKVAAELDQMVTRMIFESYGLDKYSDAYIDTTTYLLRLLKNRAPRENEPNLGFITHTDKSFTTILHQNQVNALEVETRDGTWIDVDFSSPSSFVVIAGDALMAWSNDRVLSPSHRVVMRGNMDRYSLGLFAFNSGTIQVPEELVDEEHPLKYKAFEHLGLLRFYRTDKGYKSKCPIKAYCGV >EOY27218 pep chromosome:Theobroma_cacao_20110822:6:20745421:20750088:-1 gene:TCM_029113 transcript:EOY27218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Developmentally regulated G-protein 1 isoform 1 MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGAGEGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVIGIDDVDKLARQPNSVVISCNLKACSSILGLNVNGSWEYTVGLKMQLVSSTAMFRNCWRVISFISFWLVISNHVFYEI >EOY27217 pep chromosome:Theobroma_cacao_20110822:6:20744606:20749917:-1 gene:TCM_029113 transcript:EOY27217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Developmentally regulated G-protein 1 isoform 1 MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGAGEGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVIGIDDVDKLARQPNSVVISCNLKLNLDRLLSKMWEEMGLVRVYTKPQGQQPDFSDPVVLSADRGGCTVEDFCNHIHRNLLKDVKYVLVWGTSARHYPQHCGLSQSLQDEDVVQIVKKKEKEEGGRGRFKSHSTAPARISDREKKAPLKT >EOY27221 pep chromosome:Theobroma_cacao_20110822:6:20745849:20749267:-1 gene:TCM_029113 transcript:EOY27221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Developmentally regulated G-protein 1 isoform 1 MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGAGEGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVIGIDDVDKLARQPNSVVISCNLKACSSILGLNVNGSWEYTVGLKMQLVSSTAMFRNCWRVISFISFWLVISNHVFYEI >EOY27220 pep chromosome:Theobroma_cacao_20110822:6:20745417:20749542:-1 gene:TCM_029113 transcript:EOY27220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Developmentally regulated G-protein 1 isoform 1 MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGAGEGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVIGIDDVDKLARQPNSVVISCNLKLNLDRLLSKMWEEMGLVRVYTKPQGQQPDFSDPVVLSAVCHISSYHCIYSIWYMLLHLGRKFSYCLFLSLNPFFFV >EOY27219 pep chromosome:Theobroma_cacao_20110822:6:20745412:20749542:-1 gene:TCM_029113 transcript:EOY27219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Developmentally regulated G-protein 1 isoform 1 MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGAGEGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVIGIDDVDKLARQPNSVVISCNLKLNLDRLLSKMWEEMGLVRVYTKPQGQQPDFSDPVVLSARWLYS >EOY28746 pep chromosome:Theobroma_cacao_20110822:6:26413649:26416182:-1 gene:TCM_030259 transcript:EOY28746 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein with a domain of Uncharacterized protein function MASLQASNFVLSSSSKQIHAAISVPKLPSIRLSVPKVPTRVLSDELNTRDGFINTIPVEKNVTRTTLVQESSSVSMATVQLYAILEAVADRVEMHSNIGEQRENWNTLLLNSINMITLTAATMAGVTATGGAGVSILGLKLASTLLFSAATGMLVMMNKIQPSQLVEEQRNATRLFKQLQSQIQTLLAIGSPSKGDVKDAMEKVLALDKAYPLPLLGVMLEKFPESLEPAVWWPKNQSPKTNKSQETKHFNRKVDNNGWTEELETEMREIVEVIKRKDSEDYERLGNKALKINKVLAKSGPLLTGIAALGSAFMGSSNGPWAAIVAAVAGALASAVNTFEHGGQVGMVFEMYRNNTGFFKLVQESIESNLDENDVEKRENGELLEMKVALQLGRSLSRLRDLAKKSSYSRIEGSPIDEFASKLF >EOY28072 pep chromosome:Theobroma_cacao_20110822:6:24163429:24164562:-1 gene:TCM_029750 transcript:EOY28072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVLQKSLRRSEMSAFSPLSCIVPKQIHYASHQCSWASHIVELASPIPARLRNKRKTDDLRSPLHCYKENIQFQSHTKF >EOY27346 pep chromosome:Theobroma_cacao_20110822:6:21351541:21352416:-1 gene:TCM_029212 transcript:EOY27346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSRDRPPQYPAPLFGLVKGNENKVVGPHPCKLPNKIACRVPRLYFSPRFPSLSICQTIWATCMVSVWRFQGREKITAGLNSRGCLIPF >EOY26063 pep chromosome:Theobroma_cacao_20110822:6:4207949:4216402:1 gene:TCM_027460 transcript:EOY26063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low PSII Accumulation 3 isoform 2 MAMTFMALSFSSPLSIYPPRPAFIPLHLPSHHFPTLSPKHRISIQCATRDNTEANPPAASTQSYDPKKGVPLYKPKSYDVLVTDAANSLAFALQDGKTRLEIDFPPLPSNISSYKGSSDEFIDANIQLALAVVRKLQQKREARACIVFPDKPEKRRASQLFKTALDSIDGISIGSLDDVPSGAVTTFFKSIKNTLDFDFQDENEGRWEPKEPPTLYIFINCSTRELSVIEKYVEMYALSTPTLLFNLELDTLRADLGLLGFPPKDLHYRFLSQFIPAFYIRIREYSKTVAVAPFVVNYSGALFRQYPGPWQVMLKQSDGSYACVAESATRFTLGETKEELLRVLGLQEEQGSQLEFLRRGYKTSTWWEEDVDLEASSAWRS >EOY26065 pep chromosome:Theobroma_cacao_20110822:6:4208021:4216404:1 gene:TCM_027460 transcript:EOY26065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low PSII Accumulation 3 isoform 2 PLHLPSHHFPTLSPKHRISIQCATRDNTEANPPAASTQSYDPKKGVPLYKPKSYDVLVTDAANSLAFALQDGKTRLEIDFPPLPSNISSYKGSSDEFIDANIQLALAVVRKLQQKREARACIVFPDKPEKRRASQLFKTALDSIDGISIGSLDDVPSGAVTTFFKSIKNTLDFDFQDENEGRWEPKEPPTLYIFINCSTRELSVIEKYVEMYALSTPTLLFNLELDTLRADLGLLGFPPKDLHYRFLSQFIPAFYIRIREYSKTVAVAPFVVNYSGALFRQYPGPWQVMLKQSDGSYACVAESATRFTLGETKEELLRVLGLQEEQGSQLEFLRRGYKTSTWWEEDVDLEASSAWRS >EOY26064 pep chromosome:Theobroma_cacao_20110822:6:4208214:4216133:1 gene:TCM_027460 transcript:EOY26064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low PSII Accumulation 3 isoform 2 AFALQDGKTRLEIDFPPLPSNISSYKGSSDEFIDANIQLALAVVRKLQQKREARACIVFPDKPEKRRASQLFKTALDSIDGISIGSLDDVPSGAVTTFFKSIKNTLDFDFQDENEGRWEPKEPPTLYIFINCSTRELSVIEKYVEMYALSTPTLLFNLELDTLRADLGLLGFPPKDLHYRFLSQFIPAFYIRIREYSKTVAVAPFVVNYSGALFRQYPGPWQVMLKQSDGSYACVAESATRFTLGETKEELLRVLGLQEEQGSQLEFLRRGYKKLGSHLAWDYSKTHIYFGNIALIERT >EOY26067 pep chromosome:Theobroma_cacao_20110822:6:4208017:4215557:1 gene:TCM_027460 transcript:EOY26067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low PSII Accumulation 3 isoform 2 IPLHLPSHHFPTLSPKHRISIQCATRDNTEANPPAASTQSYDPKKGVPLYKPKSYDVLVTDAANSLAFALQDGKTRLEIDFPPLPSNISSYKGSSDEFIDANIQLALAVVRKLQQKREARACIVFPDKPEKRRASQLFKTALDSIDGISIGSLDDVPSGAVTTFFKSIKNTLDFDFQDENEGRWEPKEPPTLYIFINCSTRELSVIEKYVEMYALSTPTLLFNLELDTLRADLGLLGFPPKDLHYRFLSQFIPAFYIRIREYSKDLGR >EOY26066 pep chromosome:Theobroma_cacao_20110822:6:4208018:4214127:1 gene:TCM_027460 transcript:EOY26066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low PSII Accumulation 3 isoform 2 IPLHLPSHHFPTLSPKHRISIQCATRDNTEANPPAASTQSYDPKKGVPLYKPKSYDVLVTDAANSLAFALQDGKTRLEIDFPPLPSNISSYKGSSDEFIDANIQLALAVVRKLQQKREARACIVFPDKPEKRRASQLFKTALDSIDGISIGSLDDVPSGAVTTFFKSIKNTLDFDFQDENEGRWEPKEPPTLYIFINCSTRELSVIEKYVEMYALSTPTLLFNLELDTLRADLGLLGFPPKDLHYRFLSQFIPAFYIRIREYSK >EOY26068 pep chromosome:Theobroma_cacao_20110822:6:4208017:4216404:1 gene:TCM_027460 transcript:EOY26068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low PSII Accumulation 3 isoform 2 IPLHLPSHHFPTLSPKHRISIQCATRDNTEANPPAASTQSYDPKKGVPLYKPKSYDVLVTDAANSLAFALQDGKTRLEIDFPPLPSNISSYKGSSDEFIDANIQLALAVVRKLQQKREARACIVFPDKPEKRRASQLFKTALDSIDGISIGSLDDVPSGAVTTFFKSIKNTLDFDFQDENEGRWEPKEPPTLYIFINCSTRELSVIEKYVEMYALSTPTLLFNLELDTLRADLGLLGFPPKDLHYRFLSQFIPAFYIRIREYSKTVAVAPFVVNYSGALFRQYPRLPLGGKRMLTWKHLQHGVVE >EOY28727 pep chromosome:Theobroma_cacao_20110822:6:26368596:26371405:1 gene:TCM_030244 transcript:EOY28727 gene_biotype:protein_coding transcript_biotype:protein_coding description:APS reductase 3 isoform 2 MDRALEKFGDDIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYRFFDEVEKHYGIRIEYMFPDSVEVQALVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEVAVVQVDPVFEGLEGGVGSLVKWNPVANVDGKDIWNFLRAMNVPVNSLHSQGFVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNLKQDSAAQLNGNGNGAAHSNGTATQSDIFNSQSLVTLSRTGIENLARLENRKEPWLVVLYAPWCPFCQAMEESYVELAEKLAGSGVKVAKFRADGEQKEYAQTELQLGSFPTILFFPKHSSRPIKYASEKRDVDSLMAFINALR >EOY28726 pep chromosome:Theobroma_cacao_20110822:6:26368596:26371291:1 gene:TCM_030244 transcript:EOY28726 gene_biotype:protein_coding transcript_biotype:protein_coding description:APS reductase 3 isoform 2 MAFAVTSSSSTAISGSGFSRSGASSDIKAPQIGSIRLADRHATVNLSQKRCAVRPVNAEPKRNDSVVPSAATIVAPEVSEKVEVEDYELLAKELDKASPLEIMDRALEKFGDDIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYRFFDEVEKHYGIRIEYMFPDSVEVQALVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEVAVVQVDPVFEGLEGGVGSLVKWNPVANVDGKDIWNFLRAMNVPVNSLHSQGFVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNLKQDSAAQLNGNGNGAAHSNGTATQSDIFNSQSLVTLSRTGIENLARLENRKEPWLVVLYAPWCPFCQAMEESYVELAEKLAGSGVKVAKFRADGEQKEYAQTELQLGSFPTILFFPKHSSRPIKYASEKRDVDSLMAFINALR >EOY25938 pep chromosome:Theobroma_cacao_20110822:6:2824792:2830646:-1 gene:TCM_027304 transcript:EOY25938 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 1 isoform 2 MSGLGRDETNWHPYRVCNEPTRQQKGFNSKPLITKHSTPNPRIRPIRPQPQTVGIAQPFASAHLHKNGRKFTRLGRLAEAPKPTINPISAATAAGIADRGASVVTIAAMNGDAWSLGNVEPRISEESSDKSKVWKLTEISEPSQCRSLRLPENSRVTKISRLIFTNSGNAILALASNAIHLLWKWQRSDLNSNGKATATVPPQLWRPSSGILMKNDVAGTNHEDAVPCFALSKNDSYVISASGGKISLFNLLTFTTMVTFMPPPPAATFLAFHPHDNNIMAIGMDNSTIVIYNVRVNEVIAKLRGHSKRITGLAFSHVLNVLVSSGADSQLCVWNTDGWEMQEARFLQVSAWRTPTAQSDMAVQFHQDQMHFLVVDETQLAIYETTKLECLKQWVPRESSAPITHATFSCDSQLVYASLLDATVCVFSAVNLRLCCRINPSAYLPASVSSNVHPLVIAAHPSEPNGFALGLSDGGVLVFEPLESENKWGVPPPVENGSASSVAATPSVGAPGPEQAQRR >EOY25940 pep chromosome:Theobroma_cacao_20110822:6:2824794:2829634:-1 gene:TCM_027304 transcript:EOY25940 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 1 isoform 2 MNGDAWSLGNVEPRISEESSDKSKVWKLTEISEPSQCRSLRLPENSRVTKISRLIFTNSGNAILALASNAIHLLWKWQRSDLNSNGKATATVPPQLWRPSSGILMKNDVAGTNHEDAVPCFALSKNDSYVISASGGKISLFNLLTFTTMVTFMPPPPAATFLAFHPHDNNIMAIGMDNSTIVIYNVRVNEVIAKLRGHSKRITGLAFSHVLNVLVSSGADSQLCVWNTDGWEMQEARFLQVSAWRTPTAQSDMAVQFHQDQMHFLVVDETQLAIYETTKLECLKQWVPRESSAPITHATFSCDSQLVYASLLDATVCVFSAVNLRLCCRINPSAYLPASVSSNVHPLVIAAHPSEPNGFALGLSDGGVLVFEPLESENKWGVPPPVENGSASSVAATPSVGAPGPEQAQRR >EOY25939 pep chromosome:Theobroma_cacao_20110822:6:2824655:2829634:-1 gene:TCM_027304 transcript:EOY25939 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 1 isoform 2 MNGDAWSLGNVEPRISEESSDKSKVWKLTEISEPSQCRSLRLPENSRVTKISRLIFTNSGNAILALASNAIHLLWKWQRSDLNSNGKATATVPPQLWRPSSGILMKNDVAGTNHEDAVPCFALSKNDSYVISASGGKISLFNLLTFTTMVTFMPPPPAATFLAFHPHDNNIMAIGMDNSTIVIYNVRVNEVIAKLRGHSKRITGLAFSHVLNVLVSSGADSQLCVWNTDGWEMQEARFLQVSAWRTPTAQSDMAVQFHQDQMHFLVVDETQLAIYETTKLECLKQWVPRESSAPITHATFSCDSQLVYASLLDATVCVFSAVNLRLCCRINPSAYLPASVSSNVHPLVIAAHPSEPNGFALGLSDGGVLVFEPLESENKWGVPPPVENGSASSVAATPSVGAPGPEQAQRR >EOY28971 pep chromosome:Theobroma_cacao_20110822:6:26990429:27015093:-1 gene:TCM_030424 transcript:EOY28971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative isoform 2 MEEKKVTTMELKVDLQCRRCYNKVKKLLSKFPQIRDQRFDEKANTVTITVVCCCPEKFRDKLCRKGGGSIKGIKIIKPSPPPPRPSPPSPPKPAKKAGAQGEKRMVVSNAIVRKD >EOY28972 pep chromosome:Theobroma_cacao_20110822:6:26990432:27014697:-1 gene:TCM_030424 transcript:EOY28972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative isoform 2 MVTTMELKVDLQCRRCYNKVKKLLSKFPQIRDQRFDEKANTVTITVVCCCPEKFRDKLCRKGGGSIKGIKIIKPSPPPPRPSPPSPPKPRPRGWRSCLFKAKKAGAQGEKRMVVSNAIVRKD >EOY28417 pep chromosome:Theobroma_cacao_20110822:6:25290845:25298266:1 gene:TCM_029988 transcript:EOY28417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASLGIENLEKQRKKNERESLGDHGHSPHPENVQGVEELDLNFSLANVLYKISSGFDDVKTMKTLKLCRCDLELLPPFQGLTSLRTPTLTKIKIAADSIKAVFSNFLSLETLELVLKTVSKIGFNRQSLIDKGVEELDLNSCLARIPDKISSHFEAIGTLKRFSFRTRPYWEDNLCPLVEQHPQLFNCLQFIKLEGFKSGDHEIEMVKYLLQRATGLECLVLVSPNTNKYRSISAGNEPMCRKICQDCDISPKVVHFYGHNVDNSPAPQHSRTWHDDDL >EOY25733 pep chromosome:Theobroma_cacao_20110822:6:1474665:1476506:1 gene:TCM_027108 transcript:EOY25733 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 1, putative MMNSKVKGVCSMPNKKPVGGASEGSVNPKEWEVTPGGMLVQKRDSSSNQSSVPIPTIRVRVKHGSTYHEIRVSSQASFGELKKMLADHTGLHPLDQKLIYRKKERDSKAFLDVARVKDGSKMVLVEDIASKERRCLEMLKIAKMEKSSKSLLQIGLEVDKYAEQVKALETTVARGSKVQQMDVDHLTGLLMTSLVKLDELAVIGDLKSQKTMLERKLQKQVETLDAMKLQNTMPRSKGDKIPVKQHEHSIGKGPIATQKEQTQEKQKSLIVQMPMLQQTQRHSEQSVVFTTKWETFD >EOY25828 pep chromosome:Theobroma_cacao_20110822:6:2156136:2158273:1 gene:TCM_027194 transcript:EOY25828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Post-illumination chlorophyll fluorescence increase isoform 6 MFELGRAPVYWKTMNGLPPTSGKKVKLFYNPAATKLVPNEEYGIAFNGGFNQPIMCGGEPRAMLRKSRGKADSPIYTIQICVPKHAVNLIFSFTNGANWDGPYRLQFQVPKAWQNRAIEFFNQGLAEELSQEGACDRAIFPDTNIVVDGCVMIGNLSKEGVILQGDRCSLDLVPGCMDPNSYLYNPLANVDDGSCLIDSDSED >EOY25823 pep chromosome:Theobroma_cacao_20110822:6:2151399:2158317:1 gene:TCM_027194 transcript:EOY25823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Post-illumination chlorophyll fluorescence increase isoform 6 MAATASIFTSPTQPFSATRSVRGTSAKPSISKPNAASSFMGASLPRDFAKTKRLVKISVKVTAAATVTKNPMEQIKEYALPSWAMFELGRAPVYWKTMNGLPPTSGKKVKLFYNPAATKLVPNEEYGIAFNGGFNQPIMCGGEPRAMLRKSRGKADSPIYTIQICVPKHAVNLIFSFTNGANWDGPYRLQFQVPKAWQNRAIEFFNQGLAEELSQEGACDRAIFPDTNIVVDGCVMIGNLSKEGGDRCSLDLVPGCMDPNSYLYNPLANVDDGSCLIDSDSED >EOY25825 pep chromosome:Theobroma_cacao_20110822:6:2151593:2158679:1 gene:TCM_027194 transcript:EOY25825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Post-illumination chlorophyll fluorescence increase isoform 6 MAATASIFTSPTQPFSATRSVRDAASSFMGASLPRDFAKTKRLVKISVKVTAAATVTKNPMEQIKEYALPSWAMFELGRAPVYWKTMNGLPPTSGKKVKLFYNPAATKLVPNEEYGIAFNGGFNQPIMCGGEPRAMLRKSRGKADSPIYTIQICVPKHAVNLIFSFTNGANWDGPYRLQFQVPKAWQNRAIEFFNQGLAEELSQEGACDRAIFPDTNIVVDGCVMIGNLSKEGGDRCSLDLVPGCMDPNSYLYNPLANVDDGSCLIDSDSED >EOY25824 pep chromosome:Theobroma_cacao_20110822:6:2151612:2158618:1 gene:TCM_027194 transcript:EOY25824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Post-illumination chlorophyll fluorescence increase isoform 6 MFELGRAPVYWKTMNGLPPTSGKKVKLFYNPAATKLVPNEEYGIAFNGGFNQPIMCGGEPRAMLRKSRGKADSPIYTIQICVPKHAVNLIFSFTNGANWDGPYRLQFQVPKAWQNRAIEFFNQGLAEELSQEGACDRAIFPDTNIVVDGCVMIGNLSKEGGDRCSLDLVPGCMDPNSYLYNPLANVDDGSCLIDSDSED >EOY25826 pep chromosome:Theobroma_cacao_20110822:6:2151612:2158618:1 gene:TCM_027194 transcript:EOY25826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Post-illumination chlorophyll fluorescence increase isoform 6 MAATASIFTSPTQPFSATRSVRDAASSFMGASLPRDFAKTKRLVKISVKVTAAATVTKNPMEQIKEYALPSWAMFELGRAPVYWKTMNGLPPTSGKKVKLFYNPAATKLVPNEEYGIAFNGGFNQPIMCGGEPRAMLRKSRGKADSPIYTIQICVPKHAVNLIFSFTNGANWDGPYRLQFQVPKAWQNRAIEFFNQGLAEELSQEGACDRAIFPDTNIVVDGCVMIGNLSKEGVNKLLICFLLYSTSSFRYSASNC >EOY25827 pep chromosome:Theobroma_cacao_20110822:6:2151593:2158679:1 gene:TCM_027194 transcript:EOY25827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Post-illumination chlorophyll fluorescence increase isoform 6 MAATASIFTSPTQPFSATRSVRDAASSFMGASLPRDFAKTKRLVKISVKVTAAATVTKNPMEQIKEYALPSWAMFELGRAPVYWKTMNGLPPTSGKKVKLFYNPAATKLVPNEEYGIAFNGGFNQPIMCGGEPRAMLRKSRGKADSPIYTIQICVPKHAVNLIFSFTNGANWDGPYRLQFQVPKAWQNRAIEFFNQGDRCSLDLVPGCMDPNSYLYNPLANVDDGSCLIDSDSED >EOY28452 pep chromosome:Theobroma_cacao_20110822:6:25399780:25406191:-1 gene:TCM_030013 transcript:EOY28452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase 3B, putative isoform 2 MEEEEGALPDHLRCKRTDGRQWRCRRRVTEGKKLCELHHIQGRHRQKKQKVPESLKMQRNKRKKKAFEKNKLEIRAKLLKLAKPMKRKRVIGGESEALDEAVRKMKLKRGDLPLELIRMVLKREIEKKKRKESDCSDFDDEEEEEKGDLMRELPNGLMAISSSSPHFDNAGSCSGSGSGSGSVSGSCFNVKVGETETNTVAITRRRFRSKNIEPLPVGTLQVVPYKKDMVNLRRGRRIRCHWCRKGGVRSLIKCSSCRQQFFCLDCIKEQYFVMQEEVKIACPVCRGTCGCKACSVSQHRDTESKEFLRDKNKVDKVLHFHYLICMLLPVLKQINQDQSVEIEVEAKVKGKKLSDIQVQPAEFGGNKQYCCSNCKTFILDFHRSCSKCSYNLCLSCCRDNFQGSLVGSIKEINCKCPNRRKTCVPGIRLSHKKSVRTSKKNYDSRYFDSSASLPSRKAPDGNVPISCPPTEFGGCGDGLLDLRCILPLRWFKELEISAEEIVGSYELPEAFNTLSCCSLCPGTDYEAKGVKQLQEAARRKISNDNFLFDPTIMNIHADNLEHFQKHWGKGHPVIVRNVLRDTSDLSWNPVFLFCTYLKNSFAKSENEELTKATGCLDWFEVEIGIKQLFLGSLRGLAQSNSCDEKMKLKGWLSSHLFQEQFPDHYTEIIRALPLPEYMDPRSGLLNIAARLPEEITKPDLGPCISISYCSGEELVQANSVTKLCYDLCDVVNILAHATDAPVSMKQLNKIRKLMKKKKFQDQREVAKTTLDRKAANKVKEKSAPHDENMEEVGLNDMLSKEMHAHERVPKVSHLPSAVHEAQDLGFKDRNAYHDKGDSSDSDSDSDCNSNSEAALLPCHTIHGSEAKSCGAEWDVFRRQDVPKLMEYLRKYSNEFGNTRGFQKHVVHPILDQNFFLDTSHKTRLKEEYEIEPWTFEQHVGEAVIIPAGCPYQIRNVKSCVNVVLDFVSPENVTECIQLIDELRLLPENHKAQAEKFEVKKMALYRTSAAIKEIRELTCAESSAEFSEYLKTEEQ >EOY28458 pep chromosome:Theobroma_cacao_20110822:6:25400112:25405678:-1 gene:TCM_030013 transcript:EOY28458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase 3B, putative isoform 2 MQRNKRKKKAFEKNKLEIRAKLLKLAKPMKRKRVIGGESEALDEAVRKMKLKRGDLPLELIRMVLKREIEKKKRKESDCSDFDDEEEEEKGDLMRELPNGLMAISSSSPHFDNAGSCSGSGSGSGSVSGSCFNVKVGETETNTVAITRRRFRSKNIEPLPVGTLQVVPYKKDMVNLRRGRRIRCHWCRKGGVRSLIKCSSCRQQFFCLDCIKEQYFVMQEEVKIACPVCRGTCGCKACSVSQHRDTESKEFLRDKNKVDKVLHFHYLICMLLPVLKQINQDQSVEIEVEAKVKGKKLSDIQVQPAEFGGNKQYCCNCKTFILDFHRSCSKCSYNLCLSCCRDNFQGSLVGSIKEINCKCPNRRKTCVPGIRLSHKKSVRTSKKNYDSRYFDSSASLPSRKAPDGNVPISCPPTEFGGCGDGLLDLRCILPLRWFKELEISAEEIVGSYELPEAFNTLSCCSLCPGTDYEAKGVKQLQEAARRKISNDNFLFDPTIMNIHADNLEHFQKHWGKGHPVIVRNVLRDTSDLSWNPVFLFCTYLKNSFAKSENEELTKATGCLDWFEVEIGIKQLFLGSLRGLAQSNSCDEKMKLKGWLSSHLFQEQFPDHYTEIIRALPLPEYMDPRSGLLNIAARLPEEITKPDLGPCISISYCSGEELVQANSVTKLCYDLCDVVNILAHATDAPVSMKQLNKIRKLMKKKKFQDQREVAKTTLDRKAANKVKEKSAPHDENMEEVGLNDMLSKEMHAHERVPKVSHLPSAVHEAQDLGFKDRNAYHDKGDSSDSDSDSDCNSNSEAALLPCHTIHGSEAKSCGAEWDVFRRQDVPKLMEYLRKYSNEFGNTRGFQKHVVHPILDQNFFLDTSHKTRLKEEYGEFAKFGLSLVTVEDNVTEISISYCF >EOY28455 pep chromosome:Theobroma_cacao_20110822:6:25400112:25406946:-1 gene:TCM_030013 transcript:EOY28455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase 3B, putative isoform 2 MEEEEGALPDHLRCKRTDGRQWRCRRRVTEGKKLCELHHIQGRHRQKKQKVPESLKMQRNKRKKKAFEKNKLEIRAKLLKLAKPMKRKRVIGGESEALDEAVRKMKLKRGDLPLELIRMVLKREIEKKKRKESDCSDFDDEEEEEKGDLMRELPNGLMAISSSSPHFDNAGSCSGSGSGSGSVSGSCFNVKVGETETNTVAITRRRFRSKNIEPLPVGTLQVVPYKKDMVNLRRGRRIRCHWCRKGGVRSLIKCSSCRQQFFCLDCIKEQYFVMQEEVKIACPVCRGTCGCKACSVSQHRDTESKEFLRDKNKVDKVLHFHYLICMLLPVLKQINQDQSVEIEVEAKVKGKKLSDIQVQPAEFGGNKQYCCNCKTFILDFHRSCSKCSYNLCLSCCRDNFQGSLVGSIKEINCKCPNRRKTCVPGIRLSHKKSVRTSKKNYDSRYFDSSASLPSRKAPDGNVPISCPPTEFGGCGDGLLDLRCILPLRWFKELEISAEEIVGSYELPEAFNTLSCCSLCPGTDYEAKGVKQLQEAARRKISNDNFLFDPTIMNIHADNLEHFQKHWGKGHPVIVRNVLRDTSDLSWNPVFLFCTYLKNSFAKSENEELTKATGCLDWFEVEIGIKQLFLGSLRGLAQSNSCDEKMKLKGWLSSHLFQEQFPDHYTEIIRALPLPEYMDPRSGLLNIAARLPEEITKPDLGPCISISYCSGEELVQANSVTKLCYDLCDVVNILAHATDAPVSMKQLNKIRKLMKKKKFQDQREVAKTTLDRKAANKVKEKSAPHDENMEEVGLNDMLSKEMHAHERVPKVSHLPSAVHEAQDLGFKDRNAYHDKGDSSDSDSDSDCNSNSEAALLPCHTIHGSEAKSCGAEWDVFRRQDVPKLMEYLRKYSNEFGNTRGFQKHVVHPILDQNFFLDTSHKTRLKEEYEIEPWTFEQHVGEAVIIPAGCPYQIRNVKSCVNVVLDFVSPENVTECIQLIDELRLLPENHKAQAEKFEQVKKMALYRTSAAIKEIRELTCAESSAEFSEYLKTEEQ >EOY28453 pep chromosome:Theobroma_cacao_20110822:6:25399780:25406191:-1 gene:TCM_030013 transcript:EOY28453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase 3B, putative isoform 2 MEEEEGALPDHLRCKRTDGRQWRCRRRVTEGKKLCELHHIQGRHRQKKQKVPESLKMQRNKRKKKAFEKNKLEIRAKLLKLAKPMKRKRVIGGESEALDEAVRKMKLKRGDLPLELIRMVLKREIEKKKRKESDCSDFDDEEEEEKGDLMRELPNGLMAISSSSPHFDNAGSCSGSGSGSGSVSGSCFNVKVGETETNTVAITRRRFRSKNIEPLPVGTLQVVPYKKDMVNLRRGRRIRCHWCRKGGVRSLIKCSSCRQQFFCLDCIKEQYFVMQEEVKIACPVCRGTCGCKACSVSQHRDTESKEFLRDKNKVDKVLHFHYLICMLLPVLKQINQDQSVEIEVEAKVKGKKLSDIQVQPAEFGGNKQYCCNCKTFILDFHRSCSKCSYNLCLSCCRDNFQGSLVGSIKEINCKCPNRRKTCVPGIRLSHKKSVRTSKKNYDSRYFDSSASLPSRKAPDGNVPISCPPTEFGGCGDGLLDLRCILPLRWFKELEISAEEIVGSYELPEAFNTLSCCSLCPGTDYEAKGVKQLQEAARRKISNDNFLFDPTIMNIHADNLEHFQKHWGKGHPVIVRNVLRDTSDLSWNPVFLFCTYLKNSFAKSENEELTKATGCLDWFEVEIGIKQLFLGSLRGLAQSNSCDEKMKLKGWLSSHLFQEQFPDHYTEIIRALPLPEYMDPRSGLLNIAARLPEEITKPDLGPCISISYCSGEELVQANSVTKLCYDLCDVVNILAHATDAPVSMKQLNKIRKLMKKKKFQDQREVAKTTLDRKAANKVKEKSAPHDENMEEVGLNDMLSKEMHAHERVPKVSHLPSAVHEAQDLGFKDRNAYHDKGDSSDSDSDSDCNSNSEAALLPCHTIHGSEAKSCGAEWDVFRRQDVPKLMEYLRKYSNEFGNTRGFQKHVVHPILDQNFFLDTSHKTRLKEEYEIEPWTFEQHVGEAVIIPAGCPYQIRNVKILFFSLTCHNLESCVNVVLDFVSPENVTECIQLIDELRLLPENHKAQAEKFEVKKMALYRTSAAIKEIRELTCAESSAEFSEYLKTEEQ >EOY28459 pep chromosome:Theobroma_cacao_20110822:6:25401012:25405831:-1 gene:TCM_030013 transcript:EOY28459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase 3B, putative isoform 2 MEEEEGALPDHLRCKRTDGRQWRCRRRVTEGKKLCELHHIQGRHRQKKQKVPESLKMQRNKRKKKAFEKNKLEIRAKLLKLAKPMKRKRVIGGESEALDEAVRKMKLKRGDLPLELIRMVLKREIEKKKRKESDCSDFDDEEEEEKGDLMRELPNGLMAISSSSPHFDNAGSCSGSGSGSGSVSGSCFNVKVGETETNTVAITRRRFRSKNIEPLPVGTLQVVPYKKDMVNLRRGRRIRCHWCRKGGVRSLIKCSSCRQQFFCLDCIKEQYFVMQEEVKIACPVCRGTCGCKACSVSQHRDTESKEFLRDKNKVDKVLHFHYLICMLLPVLKQINQDQSVEIEVEAKVKGKKLSDIQVQPAEFGGNKQYCCNCKTFILDFHRSCSKCSYNLCLSCCRDNFQGSLVGSIKEINCKCPNRRKTCVPGIRLSHKKSVRTSKKNYDSRYFDSSASLPSRKAPDGNVPISCPPTEFGGCGDGLLDLRCILPLRWFKELEISAEEIVGSYELPEAFNTLSCCSLCPGTDYEAKGVKQLQEAARRKISNDNFLFDPTIMNIHADNLEHFQKHWGKGHPVIVRNVLRDTSDLSWNPVFLFCTYLKNSFAKSENEELTKATGCLDWFEVEIGIKQLFLGSLRGLAQSNSCDEKMKLKGWLSSHLFQEQFPDHYTEIIRALPLPEYMDPRSGLLNIAARLPEEITKPDLGPCISISYCSGEELVQANSVTKLCYDLCDVVNILAHATDAPVSMKQLNKIRKLMKKKKFQDQREVAKTTLDRKAANKVKEKSAPHDENMEEVGLNDMLSKEMHAHERVPKVSHLPSAVHEAQDLGFKDRNAYHDKGDSSDSDSDSDCNSNSEAALLPCHTIHGSEAKSCGAEWDVFRRQDVPKLMEYLRKYSNEFGNTRGFQKHVVHPILDQNFFLDTSHKTRLKEEYEIEPWTFEQHVGEAVIIPAGCPYQIRNVKVMYTTDIFGLEFLI >EOY28454 pep chromosome:Theobroma_cacao_20110822:6:25400106:25406105:-1 gene:TCM_030013 transcript:EOY28454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase 3B, putative isoform 2 MEEEEGALPDHLRCKRTDGRQWRCRRRVTEGKKLCELHHIQGRHRQKKQKVPESLKMQRNKRKKKAFEKNKLEIRAKLLKLAKPMKRKRVIGGESEALDEAVRKMKLKRGDLPLELIRMVLKREIEKKKRKESDCSDFDDEEEEEKGDLMRELPNGLMAISSSSPHFDNAGSCSGSGSGSGSVSGSCFNVKVGETETNTVAITRRRFRSKNIEPLPVGTLQVVPYKKDMVNLRRGRRIRCHWCRKGGVRSLIKCSSCRQQFFCLDCIKEQYFVMQEEVKIACPVCRGTCGCKACSVSQHRDTESKEFLRDKNKVDKVLHFHYLICMLLPVLKQINQDQSVEIEVEAKVKGKKLSDIQVQPAEFGGNKQYCCNCKTFILDFHRSCSKCSYNLCLSCCRDNFQGSLVGSIKEINCKCPNRRKTCVPGIRLSHKKSVRTSKKNYDSRYFDSSASLPSRKAPDGNVPISCPPTEFGGCGDGLLDLRCILPLRWFKELEISAEEIVGSYELPEAFNTLSCCSLCPGTDYEAKGVKQLQEAARRKISNDNFLFDPTIMNIHADNLEHFQKHWGKGHPVIVRNVLRDTSDLSWNPVFLFCTYLKNSFAKSENEELTKATGCLDWFEVEIGIKQLFLGSLRGLAQSNSCDEKMKLKGWLSSHLFQEQFPDHYTEIIRALPLPEYMDPRSGLLNIAARLPEEITKPDLGPCISISYCSGEELVQANSVTKLCYDLCDVVNILAHATDAPVSMKQLNKIRKLMKKKKFQDQREVAKTTLDRKAANKVKEKSAPHDENMEEVGLNDMLSKEMHAHERVPKVSHLPSAVHEAQDLGFKDRNAYHDKGDSSDSDSDSDCNSNSEAALLPCHTIHGSEAKSCGAEWDVFRRQDVPKLMEYLRKYSNEFGNTRGFQKHVVHPILDQNFFLDTSHKTRLKEEYEIEPWTFEQHVGEAVIIPAGCPYQIRNVKSCVNVVLDFVSPENVTECIQLIDELRLLPENHKAQAEKFEVKKMALYRTSAAIKEIRELTCAESSAEFSEYLKTEEQ >EOY28457 pep chromosome:Theobroma_cacao_20110822:6:25400655:25405831:-1 gene:TCM_030013 transcript:EOY28457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase 3B, putative isoform 2 MEEEEGALPDHLRCKRTDGRQWRCRRRVTEGKKLCELHHIQGRHRQKKQKVPESLKMQRNKRKKKAFEKNKLEIRAKLLKLAKPMKRKRVIGGESEALDEAVRKMKLKRGDLPLELIRMVLKREIEKKKRKESDCSDFDDEEEEEKGDLMRELPNGLMAISSSSPHFDNAGSCSGSGSGSGSVSGSCFNVKVGETETNTVAITRRRFRSKNIEPLPVGTLQVVPYKKDMVNLRRGRRIRCHWCRKGGVRSLIKCSSCRQQFFCLDCIKEQYFVMQEEVKIACPVCRGTCGCKACSVSQHRDTESKEFLRDKNKVDKVLHFHYLICMLLPVLKQINQDQSVEIEVEAKVKGKKLSDIQVQPAEFGGNKQYCCNCKTFILDFHRSCSKCSYNLCLSCCRDNFQGSLVGSIKEINCKCPNRRKTCVPGIRLSHKKSVRTSKKNYDSRYFDSSASLPSRKAPDGNVPISCPPTEFGGCGDGLLDLRCILPLRWFKELEISAEEIVGSYELPEAFNTLSCCSLCPGTDYEAKGVKQLQEAARRKISNDNFLFDPTIMNIHADNLEHFQKHWGKGHPVIVRNVLRDTSDLSWNPVFLFCTYLKNSFAKSENEELTKATGCLDWFEVEIGIKQLFLGSLRGLAQSNSCDEKMKLKGWLSSHLFQEQFPDHYTEIIRALPLPEYMDPRSGLLNIAARLPEEITKPDLGPCISISYCSGEELVQANSVTKLCYDLCDVVNILAHATDAPVSMKQLNKIRKLMKKKKFQDQREVAKTTLDRKAANKVKEKSAPHDENMEEVGLNDMLSKEMHAHERVPKVSHLPSAVHEAQDLGFKDRNAYHDKGDSSDSDSDSDCNSNSEAALLPCHTIHGSEAKSCGAEWDVFRRQDVPKLMEYLRKYSNEFGNTRGFQKHVVHPILDQNFFLDTSHKTRLKEEYEIEPWTFEQHVGEAVIIPAGCPYQIRNVKSCVNVVLDFVSPENVTECIQLIDELRLLPENHKAQAEKFEVNFVKTLLQLTVFIFCINATVILVCS >EOY28456 pep chromosome:Theobroma_cacao_20110822:6:25400112:25406657:-1 gene:TCM_030013 transcript:EOY28456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase 3B, putative isoform 2 MLLPVLKQINQDQSVEIEVEAKVKGKKLSDIQVQPAEFGGNKQYCCNCKTFILDFHRSCSKCSYNLCLSCCRDNFQGSLVGSIKEINCKCPNRRKTCVPGIRLSHKKSVRTSKKNYDSRYFDSSASLPSRKAPDGNVPISCPPTEFGGCGDGLLDLRCILPLRWFKELEISAEEIVGSYELPEAFNTLSCCSLCPGTDYEAKGVKQLQEAARRKISNDNFLFDPTIMNIHADNLEHFQKHWGKGHPVIVRNVLRDTSDLSWNPVFLFCTYLKNSFAKSENEELTKATGCLDWFEVEIGIKQLFLGSLRGLAQSNSCDEKMKLKGWLSSHLFQEQFPDHYTEIIRALPLPEYMDPRSGLLNIAARLPEEITKPDLGPCISISYCSGEELVQANSVTKLCYDLCDVVNILAHATDAPVSMKQLNKIRKLMKKKKFQDQREVAKTTLDRKAANKVKEKSAPHDENMEEVGLNDMLSKEMHAHERVPKVSHLPSAVHEAQDLGFKDRNAYHDKGDSSDSDSDSDCNSNSEAALLPCHTIHGSEAKSCGAEWDVFRRQDVPKLMEYLRKYSNEFGNTRGFQKHVVHPILDQNFFLDTSHKTRLKEEYEIEPWTFEQHVGEAVIIPAGCPYQIRNVKSCVNVVLDFVSPENVTECIQLIDELRLLPENHKAQAEKFEVKKMALYRTSAAIKEIRELTCAESSAEFSEYLKTEEQ >EOY26279 pep chromosome:Theobroma_cacao_20110822:6:7045549:7049775:1 gene:TCM_027762 transcript:EOY26279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 1 MALVVPKELEELDKPSSLSSPRPFEVRFQVPDFGVPIRDFLRTREVGEFLSGALAGAMTKAVLAPLETIRTRMVVGVGSKNISGSFIEVIEQQGWQGLWAGNGINMLRIIPTQAIELGTFECVKRAMTTAKEKWKQNESPKLQIGHVNVNFSLSWISPAAVAGAAAGIVSTLACHPLEVLKDRLTVSPDIYPSLSIAISKIYKDGGVGAFYAGISPTLIGMLPYSTCYYFLYEKLKISYCKSKKKKSLNRPEMLLVGALAGFTASTISFPLEVARKRLMVGALQGKCPPNMAAALAEVIRDEGLMGLYRGWGASCLKVMPSSGITWMFYEAWKDILLVEKRML >EOY26280 pep chromosome:Theobroma_cacao_20110822:6:7045447:7049869:1 gene:TCM_027762 transcript:EOY26280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 1 MALVVPKELEELDKPSSLSSPRPFEVRFQVPDFGVPIRDFLRTREVGEFLSGALAGAMTKAVLAPLETIRTRMVVGVGSKNISGSFIEVIEQQGWQGLWAGNGINMLRIIPTQAIELGTFECVKRAMTTAKEKWKQNESPKLQIGHVNVNFSLSWISPAAVAGAAAGIVSTLACHPLEVLKDRLTVSPDIYPSLSIAISKIYKDGGVGAFYAGFTASTISFPLEVARKRLMVGALQGKCPPNMAAALAEVIRDEGLMGLYRGWGASCLKVMPSSGITWMFYEAWKDILLVEKRML >EOY26278 pep chromosome:Theobroma_cacao_20110822:6:7045448:7050011:1 gene:TCM_027762 transcript:EOY26278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 1 MTPKATAPSQKNNYRVFGNMALVVPKELEELDKPSSLSSPRPFEVRFQVPDFGVPIRDFLRTREVGEFLSGALAGAMTKAVLAPLETIRTRMVVGVGSKNISGSFIEVIEQQGWQGLWAGNGINMLRIIPTQAIELGTFECVKRAMTTAKEKWKQNESPKLQIGHVNVNFSLSWISPAAVAGAAAGIVSTLACHPLEVLKDRLTVSPDIYPSLSIAISKIYKDGGVGAFYAGISPTLIGMLPYSTCYYFLYEKLKISYCKSKKKKSLNRPEMLLVGALAGFTASTISFPLEVARKRLMVGALQGKCPPNMAAALAEVIRDEGLMGLYRGWGASCLKVMPSSGITWMFYEAWKDILLVEKRML >EOY27326 pep chromosome:Theobroma_cacao_20110822:6:21249631:21257285:1 gene:TCM_029193 transcript:EOY27326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid biosynthesis 1 MWWYVVLPKYFVGTGAFKINPMHSCSFLKEYYSLPGPISSLFLPCNNGLQHFQAKMASSTSARQRHIKRVGKTMAVTVQHASRPRVVVTGLGLVTPLGHEPDVFYNNLLDGVSGISEIENFDCSQFPTKIAGEIKSFSIDGWVSPKLAKKADKYTLYLLTAAKKALADGGITDEVDGDYDKKKRGIIIGSSLGGFHLFQETIETMRESIKKIKPFSLPIGISNMGPAILAMELGWMGPNYALSAACATSNFCILSAAGLITRGETDMMLCGGSDAAVVQIALGGFTACRSLSRRNSDPEKASRPWDIDRDGFVIGEGAGVLLLEELEHAKRRGAKIYAEFLGGSFTSDAYHITDPHPDGTGMNLCIKKALDQAGVAKEDVNYINAFASSTQKGDQREYQTLMDHFGQNPQLRINSSKSMIGHLQGASGAVEAIATIKAIETGWIHPNINLDNPDEGLDTNILVGPKKEQLDIKLALSNSFGYGGQNSSILFAPIK >EOY26297 pep chromosome:Theobroma_cacao_20110822:6:7205856:7210876:-1 gene:TCM_027779 transcript:EOY26297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid-binding serum glycoprotein family protein isoform 1 MSDNRDNDTSTSVGNSFGKLPDHLLIEIFIRVPVSEWAELSCVKKQWANLFRGECLWQAALMRTFPSASQVKRWPGPIPQGLSKRRFAALYVSKHIFALENEIDEIVGHTYLFLKEQLELSTMPPPSGVLHGTIIDQFIACGKSRDTAHELASQIWLAVLDNLEENQHTFLSLKHLALEGDVFLPYPYSRSIKVQWKVFEKLFTDFRDCLNRADYYDVLAMAKNKFQPIPSAWLGY >EOY26298 pep chromosome:Theobroma_cacao_20110822:6:7206206:7210877:-1 gene:TCM_027779 transcript:EOY26298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid-binding serum glycoprotein family protein isoform 1 MSDNRDNDTSTSVGNSFGKLPDHLLIEIFIRVPVSEWAELSCVKKQWANLFRGECLWQAALMRTFPSASQVKRWPGPIPQGLSKRRFAALYVSKHIFALENEIDEIVGHTYLFLKEQLELSTMPPPSGVLHGTIIGSIYCLWEVKRHSPRACFTDLAGSS >EOY25624 pep chromosome:Theobroma_cacao_20110822:6:691714:695621:-1 gene:TCM_026998 transcript:EOY25624 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein isoform 1 MAKKNKEKKVNVSGKPKHSLDVNRSDGKNKDSRSAATVRRLKMYNTRPKRDSKGKILSHEFQSKELPNTRIQPDRRWFGNTRVVNQKELEFFREELQSRMSSNYNVILKEKKLPLSLLKDHSKQARAHLLDTQPFEDAFGPKTKRKRPKLLAADYESLVKKADGSQDVFEQKYGASTSAEAGEADGFRELVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPQGTRCHHLERHLKEHCKHKHMILLLNKCDLVPAWATKVWLRVLSKEYPTLAFHASINKSFGKGSLLSVLRQFARLKSDKQAISVGFIGYPNVGKSSVINTLRTKNVCKVAPIPGVRVTNLEDAAEHIGEVLKRVKKEHLERAYKIKDWVDENDFLVQLCQSTGKLLKVCVNFGFKPHLVFNCIIQRESKFKL >EOY25622 pep chromosome:Theobroma_cacao_20110822:6:689424:695853:-1 gene:TCM_026998 transcript:EOY25622 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein isoform 1 MAKKNKEKKVNVSGKPKHSLDVNRSDGKNKDSRSAATVRRLKMYNTRPKRDSKGKILSHEFQSKELPNTRIQPDRRWFGNTRVVNQKELEFFREELQSRMSSNYNVILKEKKLPLSLLKDHSKQARAHLLDTQPFEDAFGPKTKRKRPKLLAADYESLVKKADGSQDVFEQKYGASTSAEAGEADGFRELVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPQGTRCHHLERHLKEHCKHKHMILLLNKCDLVPAWATKVWLRVLSKEYPTLAFHASINKSFGKGSLLSVLRQFARLKSDKQAISVGFIGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQNSDTETDIVLKGVVRVTNLEDAAEHIGEVLKRVKKEHLERAYKIKDWVDENDFLVQLCQSTGKLLKGGEPDLMTGAKMILHDWQRGRIPFFVAPPRQEADASLEEPTVHGIDKDAVADNNQADAALKAIANVMLFQQQKSVPVKTDLFSENELVGEAGNQLPTTEMAGEVRASDDEVGGSSSDEP >EOY25625 pep chromosome:Theobroma_cacao_20110822:6:691093:695736:-1 gene:TCM_026998 transcript:EOY25625 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein isoform 1 MAKKNKEKKVNVSGKPKHSLDVNRSDGKNKDSRSAATVRRLKMYNTRPKRDSKGKILSHEFQSKELPNTRIQPDRRWFGNTRVVNQKELEFFREELQSRMSSNYNVILKEKKLPLSLLKDHSKQARAHLLDTQPFEDAFGPKTKRKRPKLLAADYESLVKKADGSQDVFEQKYGASTSAEAGEADGFRELVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPQGTRCHHLERHLKEHCKHKHMILLLNKCDLVPAWATKVWLRVLSKEYPTLAFHASINKSFGKGVDENDFLVQLCQSTGKLLKGGEPDLMTGAKMILHDWQRGRIPFFVAPPRQEADASLEEPTVHGIDKDAVADNNQADAALKAIANVMLFQQQKSVPVKTDLFSENELVGEAGNQLPTTEMAGEVRASDDEVGGSSSDEP >EOY25623 pep chromosome:Theobroma_cacao_20110822:6:691097:695736:-1 gene:TCM_026998 transcript:EOY25623 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein isoform 1 MAKKNKEKKVNVSGKPKHSLDVNRSDGKNKDSRSAATVRRLKMYNTRPKRDSKGKILSHEFQSKELPNTRIQPDRRWFGNTRVVNQKELEFFREELQSRMSSNYNVILKEKKLPLSLLKDHSKQARAHLLDTQPFEDAFGPKTKRKRPKLLAADYESLVKKADGSQDVFEQKYGASTSAEAGEADGFRELVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPQGTRCHHLERHLKEHCKHKHMILLLNKCDLVPAWATKVWLRVLSKEYPTLAFHASINKSFGKGSLLSVLRQFARLKSDKQAISVGFIGYPNVGKSSVINTLRTKNVCKVAPIPGVRVTNLEDAAEHIGEVLKRVKKEHLERAYKIKDWVDENDFLVQLCQSTGKLLKGGEPDLMTGAKMILHDWQRGRIPFFVAPPRQEADASLEEPTVHGIDKDAVADNNQADAALKAIANVMLFQQQKSVPVKTDLFSENELVGEAGNQLPTTEMAGEVRASDDEVGGSSSDEP >EOY26524 pep chromosome:Theobroma_cacao_20110822:6:13542563:13544057:-1 gene:TCM_028313 transcript:EOY26524 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 82, putative MEKNSLAPGFRFHPTDVELLQYYLRRKVLGKKFSFEAIAEVDIYKYAPWDLPHKSLLRIGDLKWYFFCPMKKKYGKGSRFNRATPYGFWKTTGKDRPVRYNDKVVGSIRTLVFHRGKAPRGDRTDWVLHEYRLEDEHLLDEGVVQDDYVLCVIFCKDGPGHRNGAQYGAPFREEDWTDDEEVIKEVFNSTDLPTPTFTTASPCFPQSQCLGSPAESSHFAASPSVVLDADKSLTSMEASQVLVDDSISAMLSAGQSEDYSPVAIANDDLEFLESPDIAVDDEIMSLLATFREDDTLNSLML >EOY25954 pep chromosome:Theobroma_cacao_20110822:6:2920583:2921577:-1 gene:TCM_027313 transcript:EOY25954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLQYLDTKSMEEVCYVMWVLWKGRNSTVFKNERLNLRQVVQMGIDMYTQYRAVVVIERPPQLNVELSCDRWKCPSITKLNCDAALYGINGISCATVGFIVRGPVGELLIVGRKRFRSIRNTAITELRSLLWALMVWSQKLVIHEMEMDCLQVAAWIKDRKFTSEVGQVVEACCMLLHQLNSFEILHRKKEANMVAHGIAKSSMVAEMD >EOY28954 pep chromosome:Theobroma_cacao_20110822:6:26950662:26952489:-1 gene:TCM_030412 transcript:EOY28954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tapetum determinant 1, putative isoform 3 MIRALGSVDEKRLSMTFVAMLLALVLLFFVVESIQEEAVGHSSIKRMVFSKKNSSAVARKLLQSSGIWGGDANRIGTACTKDDIVILQGSTAPLPNGIPSYSVEIVNVCADLGCSISNIHVSCGWFSSVRLINPTVFRRLHYDDCLVNDGEALGPEQCLSFEYSNSFSYPLSVTSVTCC >EOY28955 pep chromosome:Theobroma_cacao_20110822:6:26950662:26952761:-1 gene:TCM_030412 transcript:EOY28955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tapetum determinant 1, putative isoform 3 MVFSKKNSSAVARKLLQSSGGGDANRIGTACTKDDIVILQGSTAPLPNGIPSYSVEIVNVCADLGCSISNIHVSCGWFSSVRLINPTVFRRLHYDDCLVNDGEALGPEQCLSFEYSNSFSYPLSVTSVTCC >EOY28953 pep chromosome:Theobroma_cacao_20110822:6:26950776:26952908:-1 gene:TCM_030412 transcript:EOY28953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tapetum determinant 1, putative isoform 3 MIRALGSVDEKRLSMTFVAMLLALVLLFFVVESIQEEAVGHSSIKRMVFSKKNSSAVARKLLQSSGGGDANRIGTACTKDDIVILQGSTAPLPNGIPSYSVEIVNVCADLGCSISNIHVSCGWFSSVRLINPTVFRRLHYDDCLVNDGEALGPEQCLSFEYSNSFSYPLSVTSVTCC >EOY29046 pep chromosome:Theobroma_cacao_20110822:6:27190218:27197027:1 gene:TCM_030472 transcript:EOY29046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative isoform 2 MASTGNPNQQPQVGGGGGGFDMTKLFKPSSGPLLQQQQQQLHQNLNVIATPPSPSPSPSTTNLTTSPSFPAQSSTPPPPPYLTPSSSYPPPTGPYAFHHPHYLPYPSPPQHQHPLHPHHHQPQLNINRPFPYQAQPQPSPPATPTSGNDLLMAFFGTPAQTQSQTPAPLPSAPPLNSNVTPSAPSASPSPSPSPVRLLSSKAPKGRHLFGTNLLYDIHVRLPGEVQPQLEVTPITKYASDPGLVLGRQIAVNRNYICYGLKLGNIRILNINTALRSLLRGHTQRVTDMAFFAEDVHLLASASVDGRVFVWKINEGPDDDDKPQIFGKVVIAIQIVGQEESIHPRVCWHPHKQEILMVAIGNRILKIDTMKVGKLEGFSAEEPLNCSVDKLIDGVQFVGKHDGEITELSMCQWLSTRLASASVDGMVKIWEDRKASPLAVLRPHDGHPVNSATFLTAPHRPDHIVLITGGPLNRELKIWASASEEGWLLPNDTESWQCTQTLELRSSVESKVEDAFFNQVVALPRAGLFLLANAKKNAIYAVHIDYGPNPAETRMDYIAEFTVTMPILSLTGTSDSLPGGEHTVQVYCVQTQAIQQYALDLSQCLPPPLENADLEKTDSNVSRVLDVSNSDVSASLESSHGYKPTDMTLSSSIPMSPLHSSSPDSATMASRPQKLASSEVTSISESSVSGIESKPSALPSHSSAENMHTASPPLPVSPRLSQKSSGFRSPSSADHIGNHSAHDHSVDHRVDVVKENKVDIPSSGDNLRKGQNETAQNDISMISDPSVVFKHPTHLVTPSEILSTVASSAENAQISQDISAGEATVQDVVANNDAESMEVEVKVVGETGFGQTNETDHPRDSHSTVADKKEKAFYSQASDLGIQMARDFCAETYDVEGAQQANDVGVAGQAVRPTNARDGEDQNGTKDVPPKVGESDTAITVSPSLASAKGKKQKGKNSQVSGPSSPSASPYNSTDSSNEPGCSSGALLADAAFPQLLAMQDVLEQLVSMQREMQKQMNAIVSAPVNKEGKRLEVSLGRSIEKVVKANTDALWARFQDENAKHEKLERDRTQQISNLITNCINKDLPAMFEKSLKKEISAVGPVVARAITPTLEKSISSAITESFQVKAERSRREGSESTGEVS >EOY29047 pep chromosome:Theobroma_cacao_20110822:6:27190218:27197027:1 gene:TCM_030472 transcript:EOY29047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative isoform 2 MASTGNPNQQPQVGGGGGGFDMTKLFKPSSGPLLQQQQQQLHQNLNVIATPPSPSPSPSTTNLTTSPSFPAQSSTPPPPPYLTPSSSYPPPTGPYAFHHPHYLPYPSPPQHQHPLHPHHHQPQLNINRPFPYQAQPQPSPPATPTSGNDLLMAFFGTPAQTQSQTPAPLPSAPPLNSNVTPSAPSASPSPSPSPVRLLSSKAPKGRHLFGTNLLYDIHVRLPGEVQPQLEVTPITKYASDPGLVLGRQIAVNRNYICYGLKLGNIRILNINTALRSLLRGHTQRVTDMAFFAEDVHLLASASVDGRVFVWKINEGPDDDDKPQIFGKVVIAIQIVGQEESIHPRVCWHPHKQEILMVAIGNRILKIDTMKVGKLEGFSAEEPLNCSVDKLIDGVQFVGKHDGEITELSMCQWLSTRLASASVDGMVKIWEDRKASPLAVLRPHDGHPVNSATFLTAPHRPDHIVLITGGPLNRELKIWASASEEGWLLPNDTESWQCTQTLELRSSVESKVEDAFFNQVVALPRAGLFLLANAKKNAIYAVHIDYGPNPAETRMDYIAEFTVTMPILSLTGTSDSLPGGEHTVQVYCVQTQAIQQYALDLSQCLPPPLENADLEKTDSNVSRVLDVSNSDVSASLESSHGYKPTDMTLSSSIPMSPLHSSSPDSATMASRPQKLASSEVTSISESSVSGIESKPSALPSHSSAENMHTASPPLPVSPRLSQKSSGFRSPSSADHIGNHSAHDHSVDHRVDVVKENKVDIPSSGDNLRKGQNETAQNDISMISDPSVVFKHPTHLVTPSEILSTVASSAENAQISQDISAGEATVQDVVANNDAESMEVEVKVVGETGFGQTNETDHPRDSHSTVADKKEKAFYSQASDLGIQMARDFCAETYDVEGAQQANDVGVAGQAVRPTNARDGEDQNGTKDVPPKVGESDTAITVSPSLASAKGKKQKGKNSQVSGPSSPSASPYNSTDSSNEPGCSSGALLADAAFPQLLAMQDVLEQLVSMQREMQKQMNAIVSAPVNKEGKRLEVSLGRSIEKVVKANTDALWARFQDENAKHEKLERDRTQQISNLITNCINKDLPAMFEKSLKKEISAVGPVVARAITPTLEKSISSAITESFQVKEPNCVVISECFVSHWSEVFIYLDRCTIMNEAMTGNVAILSYGKC >EOY29045 pep chromosome:Theobroma_cacao_20110822:6:27190191:27199817:1 gene:TCM_030472 transcript:EOY29045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative isoform 2 MASTGNPNQQPQVGGGGGGFDMTKLFKPSSGPLLQQQQQQLHQNLNVIATPPSPSPSPSTTNLTTSPSFPAQSSTPPPPPYLTPSSSYPPPTGPYAFHHPHYLPYPSPPQHQHPLHPHHHQPQLNINRPFPYQAQPQPSPPATPTSGNDLLMAFFGTPAQTQSQTPAPLPSAPPLNSNVTPSAPSASPSPSPSPVRLLSSKAPKGRHLFGTNLLYDIHVRLPGEVQPQLEVTPITKYASDPGLVLGRQIAVNRNYICYGLKLGNIRILNINTALRSLLRGHTQRVTDMAFFAEDVHLLASASVDGRVFVWKINEGPDDDDKPQIFGKVVIAIQIVGQEESIHPRVCWHPHKQEILMVAIGNRILKIDTMKVGKLEGFSAEEPLNCSVDKLIDGVQFVGKHDGEITELSMCQWLSTRLASASVDGMVKIWEDRKASPLAVLRPHDGHPVNSATFLTAPHRPDHIVLITGGPLNRELKIWASASEEGWLLPNDTESWQCTQTLELRSSVESKVEDAFFNQVVALPRAGLFLLANAKKNAIYAVHIDYGPNPAETRMDYIAEFTVTMPILSLTGTSDSLPGGEHTVQVYCVQTQAIQQYALDLSQCLPPPLENADLEKTDSNVSRVLDVSNSDVSASLESSHGYKPTDMTLSSSIPMSPLHSSSPDSATMASRPQKLASSEVTSISESSVSGIESKPSALPSHSSAENMHTASPPLPVSPRLSQKSSGFRSPSSADHIGNHSAHDHSVDHRVDVVKENKVDIPSSGDNLRKGQNETAQNDISMISDPSVVFKHPTHLVTPSEILSTVASSAENAQISQDISAGEATVQDVVANNDAESMEVEVKVVGETGFGQTNETDHPRDSHSTVADKKEKAFYSQASDLGIQMARDFCAETYDVEGAQQANDVGVAGQAVRPTNARDGEDQNGTKDVPPKVGESDTAITVSPSLASAKGKKQKGKNSQVSGPSSPSASPYNSTDSSNEPGCSSGALLADAAFPQLLAMQDVLEQLVSMQREMQKQMNAIVSAPVNKEGKRLEVSLGRSIEKVVKANTDALWARFQDENAKHEKLERDRTQQISNLITNCINKDLPAMFEKSLKKEISAVGPVVARAITPTLEKSISSAITESFQKGVGERAVNQLEKSVSSKLEATVARQIQAQFQTSGKQALQDALRSSLESSIIPAFEMSCKSMFEQIDVTFQKGLIKHTTAAQQQFENSHSSLAVALRDAINSATSITQTLSGELADGQRKLLAIAAAGANSKAGNTLVTQLSNGPLAHLHEMPEAHVDPTKELSRLIAERKYDEAFTAALHRSDVSIVSWLCSQVDLQGILSMKQCPLSQGVLLALFQQLACDINKETSRKLAWMTDVAVAINPSDPMIAVHVLPIFRQVSQIVEHLQSLPSTSASESASIRVLMFVINSVLSCK >EOY29044 pep chromosome:Theobroma_cacao_20110822:6:27190191:27199817:1 gene:TCM_030472 transcript:EOY29044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative isoform 2 MASTGNPNQQPQVGGGGGGFDMTKLFKPSSGPLLQQQQQQLHQNLNVIATPPSPSPSPSTTNLTTSPSFPAQSSTPPPPPYLTPSSSYPPPTGPYAFHHPHYLPYPSPPQHQHPLHPHHHQPQLNINRPFPYQAQPQPSPPATPTSGNDLLMAFFGTPAQTQSQTPAPLPSAPPLNSNVTPSAPSASPSPSPSPVRLLSSKAPKGRHLFGTNLLYDIHVRLPGEVQPQLEVTPITKYASDPGLVLGRQIAVNRNYICYGLKLGNIRILNINTALRSLLRGHTQRVTDMAFFAEDVHLLASASVDGRVFVWKINEGPDDDDKPQIFGKVVIAIQIVGQEESIHPRVCWHPHKQEILMVAIGNRILKIDTMKVGKLEGFSAEEPLNCSVDKLIDGVQFVGKHDGEITELSMCQWLSTRLASASVDGMVKIWEDRKASPLAVLRPHDGHPVNSATFLTAPHRPDHIVLITGGPLNRELKIWASASEEGWLLPNDTESWQCTQTLELRSSVESKVEDAFFNQVVALPRAGLFLLANAKKNAIYAVHIDYGPNPAETRMDYIAEFTVTMPILSLTGTSDSLPGGEHTVQVYCVQTQAIQQYALDLSQCLPPPLENADLEKTDSNVSRVLDVSNSDVSASLESSHGYKPTDMTLSSSIPMSPLHSSSPDSATMASRPQKLASSEVTSISESSVSGIESKPSALPSHSSAENMHTASPPLPVSPRLSQKSSGFRSPSSADHIGNHSAHDHSVDHRVDVVKENKVDIPSSGDNLRKGQNETAQNDISMISDPSVVFKHPTHLVTPSEILSTVASSAENAQISQDISAGEATVQDVVANNDAESMEVEVKVVGETGFGQTNETDHPRDSHSTVADKKEKAFYSQASDLGIQMARDFCAETYDVEGAQQANDVGVAGQAVRPTNARDGEDQNGTKDVPPKVGESDTAITVSPSLASAKGKKQKGKNSQVSGPSSPSASPYNSTDSSNEPGCSSGALLADAAFPQLLAMQDVLEQLVSMQREMQKQMNAIVSAPVNKEGKRLEVSLGRSIEKVVKANTDALWARFQDENAKHEKLERDRTQQISNLITNCINKDLPAMFEKSLKKEISAVGPVVARAITPTLEKSISSAITESFQKGVGERAVNQLEKSVSSKLEATVARQIQAQFQTSGKQALQDALRSSLESSIIPAFEMSCKSMFEQIDVTFQKGLIKHTTAAQQQFENSHSSLAVALRDAINSATSITQTLSGELADGQRKLLAIAAAGANSKAGNTLVTQLSNGPLAHLHEMQPEAHVDPTKELSRLIAERKYDEAFTAALHRSDVSIVSWLCSQVDLQGILSMKQCPLSQGVLLALFQQLACDINKETSRKLAWMTDVAVAINPSDPMIAVHVLPIFRQVSQIVEHLQSLPSTSASESASIRVLMFVINSVLSCK >EOY26417 pep chromosome:Theobroma_cacao_20110822:6:9865039:9870570:-1 gene:TCM_028016 transcript:EOY26417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein isoform 1 LVPSKPHVAAKSSPFFSHIHINFPSPGRNVELRRRARIGSCRIKCSAEVDNGSAKVKERSVSVILLAGGKGKRMGASMPKQYLPLLGQPIALYSLYTFSRMIEVKEIVVVCDPSYNDIFEETKDKINVDLKFTLPGKERQDSVYSGLQAVDSNCELVCIHDSARPLVTSGDVEKVLKDGWLVGAAVLGVPVKATIKEANSHSYVVRTLERKTLWEMQTPQVYFQSC >EOY26416 pep chromosome:Theobroma_cacao_20110822:6:9865227:9870570:-1 gene:TCM_028016 transcript:EOY26416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein isoform 1 LVPSKPHVAAKSSPFFSHIHINFPSPGRNVELRRRARIGSCRIKCSAEVDNGSAKVKERSVSVILLAGGKGKRMGASMPKQYLPLLGQPIALYSLYTFSRMIEVKEIVVVCDPSYNDIFEETKDKINVDLKFTLPGKERQDSVYSGLQAVDSNCELVCIHDSARPLVTSGDVEKVLKDGWLVGAAVLGVPVKATIKEANSHSYVVRTLERKTLWEMQTPQVYLLKEIIYHITIFLRML >EOY26415 pep chromosome:Theobroma_cacao_20110822:6:9865039:9870570:-1 gene:TCM_028016 transcript:EOY26415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein isoform 1 LVPSKPHVAAKSSPFFSHIHINFPSPGRNVELRRRARIGSCRIKCSAEVDNLLVCTQGSAKVKERSVSVILLAGGKGKRMGASMPKQYLPLLGQPIALYSLYTFSRMIEVKEIVVVCDPSYNDIFEETKDKINVDLKFTLPGKERQDSVYSGLQAVDSNCELVCIHDSARPLVTSGDVEKVLKDGWLVGAAVLGVPVKATIKEANSHSYVVRTLERKTLWEMQTPQVYFQSC >EOY26414 pep chromosome:Theobroma_cacao_20110822:6:9863436:9870677:-1 gene:TCM_028016 transcript:EOY26414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein isoform 1 MGLLHLNHSLTLTCSTSSLLVPSKPHVAAKSSPFFSHIHINFPSPGRNVELRRRARIGSCRIKCSAEVDNGSAKVKERSVSVILLAGGKGKRMGASMPKQYLPLLGQPIALYSLYTFSRMIEVKEIVVVCDPSYNDIFEETKDKINVDLKFTLPGKERQDSVYSGLQAVDSNCELVCIHDSARPLVTSGDVEKVLKDGWLVGAAVLGVPVKATIKEANSHSYVVRTLERKTLWEMQTPQVIKPELLRKGFELVNREGLEVTDDVSIVEHLKHPVYITEGSYTNIKVTTPDDLLLAERILNMTSSELPK >EOY27542 pep chromosome:Theobroma_cacao_20110822:6:22342022:22343901:1 gene:TCM_029383 transcript:EOY27542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-A10, putative MSDGCGRGIKFSIEDPPALNQEIKIEFLPTSHPLFPAYKITCLTVTFISNYIGPFAFSTNIFTMATKPHHDADGGPITDEQGVYRFKPRAFNIVWGNDNRYWRIPSTRSNNDEVAELVQVSWLEVTGLVELRPLKTYQVTFTLSFKEDAFGWNGSPVFLMAKVGKKGKYKWRRLKELESLPKVPTEVPSNSEPFLVEVPDDVPDKRLYFGLYEVWSGKWKGGLRVHGATVKEKK >EOY26419 pep chromosome:Theobroma_cacao_20110822:6:9916449:9920472:-1 gene:TCM_028021 transcript:EOY26419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVVFGWLSGLDSEYDVIHSQVLANKVVSSLFDVVTTVLSTKESVFSTSDVPNRSALVSQGTNEFGNGYRRGSSEGRGNFGGSHGGKGTGGSGGPRVCYNYREKVTSGISTLNPYRDNKIIIINLLHKGNKNNYSSIRSPLNLLMQHHKLAKSQQPSSSFIATLVKSSNPTTCLSSLSRHWVIDSGAIDHMTRNSVSTPSLDTPSSSSRPLITQLYTCRHGTDAAVPQPVDTMSDSLLVPTSMSLDSDLDLLIALRKVSQHGIHDLKVFLQAKFQTKDLGSLKYFLGIKVTRSKKGIFLSQRKYVVELLKDVGLLREKPCETPIDSSVKLIARDREAFADLEKYRRLEVNSCQHLLLFIRML >EOY27099 pep chromosome:Theobroma_cacao_20110822:6:20153755:20158341:1 gene:TCM_029033 transcript:EOY27099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxylate reductase 2 isoform 2 MTSTLLVKPNANCSYNFLSSTVMALCSTFCPRIPNNFRVTPVSSFPSKPLLSLSFKALSSQASDASSQGKFSGQIGFLGLGIMGSPMAQNLIKAGCDVTVWNRTKSKCDPFISLGAKYRSSPEEVAANCDVTFAMLADPESAIDVACGKNGVLSGMGPGKGYVDISTVDGATSKMINGHIKATGALFLEAPVSGSKKPAEDGQLIFLTAGDRSLYDSVAPLLNILGKSRFYLGEVGNGAAMKLVVNMIMGSMMASFSEGLLLSKKVGLDPNVLVEVVAQGAISAPMYSVKGPSMVKSHYPTAFPLKHQQKDLRLALGLAESVSQSTPIAAAANELYKVAKAYGLSDEDFSAVIEALKAKSDDSA >EOY27101 pep chromosome:Theobroma_cacao_20110822:6:20154074:20158226:1 gene:TCM_029033 transcript:EOY27101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxylate reductase 2 isoform 2 MGSPMAQNLIKAGCDVTVWNRTKSKCDPFISLGAKYRSSPEEVAANCDVTFAMLADPESAIDVACGKNGVLSGMGPGKGYVDISTVDGATSKMINGHIKATGALFLEAPVSGSKKPAEDGQLIFLTAAGDRSLYDSVAPLLNILGKSRFYLGEVGNGAAMKLVVNMIMGSMMASFSEGLLLSKKVGLDPNVLVEVVAQGAISAPMYSVKGPSMVKSHYPTAFPLKHQQKDLRLALGLAESVSQSTPIAAAANELYKVAKAYGLSDEDFSAVIEALKAKSDDSA >EOY27100 pep chromosome:Theobroma_cacao_20110822:6:20154044:20158210:1 gene:TCM_029033 transcript:EOY27100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxylate reductase 2 isoform 2 MTSTLLVKPNANCSYNFLSSTVMALCSTFCPRIPNNFRVTPVSSFPSKPLLSLSFKALSSQASDASSQGKFSGQIGFLGLGIMGSPMAQNLIKAGCDVTVWNRTKSKCDPFISLGAKYRSSPEEVAANCDVTFAMLADPESAIDVACGKNGVLSGMGPGKGYVDISTVDGATSKMINGHIKATGALFLEAPVSGSKKPAEDGQLIFLTAGDRSLYDSVAPLLNILGKSRFYLGEVGNGAAMKLVVNMIMGSMMASFSEGLLLSKKVGLDPNVLVEVVAQGAISAPMYSVKGPSMVKSHYPTAFPLKHQQKTCFGISRICFPIHSNCSRSKRAV >EOY28862 pep chromosome:Theobroma_cacao_20110822:6:26729962:26732157:1 gene:TCM_030341 transcript:EOY28862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:Projected from Arabidopsis thaliana (AT4G22310) UniProtKB/TrEMBL;Acc:A0A178USR1] MASSKLQALWNHPAGPKTTVTCTGIIWSRYSTVITPKNWNLFSVNIVMAGTGLYQLARKIQHDHFAEAKAEPAVVKE >EOY28861 pep chromosome:Theobroma_cacao_20110822:6:26729962:26732157:1 gene:TCM_030341 transcript:EOY28861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:Projected from Arabidopsis thaliana (AT4G22310) UniProtKB/TrEMBL;Acc:A0A178USR1] MASSKLQALWNHPAGPKTIHFWAPTFKWGISIANVADFSKPPENLSYPQQVAVTCTGIIWSRYSTVITPKNWNLFSVNIVMAGTGLYQLARKIQHDHFAEAKAEPAVVKE >EOY27708 pep chromosome:Theobroma_cacao_20110822:6:22892912:22893557:1 gene:TCM_029490 transcript:EOY27708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSKVTESHRENAEIYYGEDICKQKCLELLEEISLPKGIIPVEIVEFGRDRSTGFVWMKLKSKKEHKFKRINKVVSYDTEITFFAENGRVKKLTGIKSKELLFWVSISDMFIEDPSSGKIFFAVRSGVRAHFPISAFELEEDKKNSTDSKHTT >EOY27282 pep chromosome:Theobroma_cacao_20110822:6:21030737:21036505:-1 gene:TCM_029160 transcript:EOY27282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mono-/di-acylglycerol lipase isoform 1 MATATMATAAGAAALLYYTLNRKLQTNKPGEDDNENGSDLSGTAPLGIERVSHRLIQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGINFLLKRQGHLHVASVFGGKDSIELKGSDITAELRYLLNLLTLCWHFSKKPFPLFLEETGYAEEDVLLQEPKAGIVGHSLGGGTGALLTYVLREQKELSTTTCVTFAPAACMTWELAESGTDFITSVINGADLVPTFSAASVDDLRAEVTASAWLNDLRNQIERTRILSTVYRSASALGSRLPSIASAKAKVAGAGAILRPVSNGTQVVMRRAQSMAQAAWTRPAINLSSWSCIGPRHRGTAARSNLKEEGSSQKISPNKAETSEPLLTSPQRNSSSSTIETIELPVSSSGVEWTSEIECSCSDDPHHDSDANLDDGEDLISHNSHEDHMDEVELWQQLEHELYNRTEGEEADVASQIREEEAAAIAEVGEGQPDSAVPETKEVHRFFPAGKIMHI >EOY27281 pep chromosome:Theobroma_cacao_20110822:6:21029927:21036613:-1 gene:TCM_029160 transcript:EOY27281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mono-/di-acylglycerol lipase isoform 1 MATATMATAAGAAALLYYTLNRKLQTNKPGEDDNENGSDLSGTAPLGIERVSHRLIQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGINFLLKRQGHLHVASVFGGKDSIELKGSDITAELRYLLNLLTLCWHFSKKPFPLFLEETGYAEEDVLLQEPKAGILKPAFTILVDHKRKCFLLLIRGTHSIKDTLTAATGAVVPFHHSVVKEGGVSNLVLGYAHCGMVAAARWIAKLATPCLIKVLGQYPTYKVKIVGHSLGGGTGALLTYVLREQKELSTTTCVTFAPAACMTWELAESGTDFITSVINGADLVPTFSAASVDDLRAEVTASAWLNDLRNQIERTRILSTVYRSASALGSRLPSIASAKAKVAGAGAILRPVSNGTQVVMRRAQSMAQAAWTRPAINLSSWSCIGPRHRGTAARSNLKEEGSSQKISPNKAETSEPLLTSPQRNSSSSTIETIELPVSSSGVEWTSEIECSCSDDPHHDSDANLDDGEDLISHNSHEDHMDEVELWQQLEHELYNRTEGEEADVASQIREEEAAAIAEVGEGQPDSAVPETKEVHRFFPAGKIMHIITLQSDAVESEASTPASNDTDNGQRTMEAKIGIFLTPRSLYSKLRLSQTMISDHFMPIYRRQIEKLIKELEEEQAVSGQNHGGYTAEVVL >EOY27815 pep chromosome:Theobroma_cacao_20110822:6:23220338:23226919:1 gene:TCM_029565 transcript:EOY27815 gene_biotype:protein_coding transcript_biotype:protein_coding description:16S rRNA processing protein RimM family, putative isoform 2 MQKASLLCSSNLISSPPTSLYTRLPLTAPFRNRCFRSLSASPRLRLSLNRFHGGRHGLSPLHSTATEEMIEASKNVSGFVEIGFLSSVHGLQGEICIKPRTDFPELRFCKAGRRWLRQQVSGKETIKEVELIEGKEHPGRKSWIIRFSGIETVDQASQLVGSTLLAEEEDRPRLEEGEFYTRDLVGMRVILKETGQVVGTVVNVFNSGASDLLHVMLKSSVLMPNGSGSNSTESGDAGPLVWVPFVEEIVPNVDLTRREMQITPPKGLLELNVRSDERSKKERRQLEWKERKKHQKRLIAAKKKLCEMEQQHIFHGFRFGEKSETSLLADQILSVNSKLLQQALQNIEIASKRWSITESLTGTMLVRNRLRISEKCFTPCTSEEKLGANFNLQEKALHLVSKGKVALVLDMSDQRNQGKEYDPSLAFSVSMENSETSFLQTLLCNDERFVKVEYRLSVPLVLICPADEINSMEKLFLSNNYFGFDPEKVWFLEEEKLPVVSSLLEQNRHKILMKSPWEILQSPVGSGGVISLLSSNDIAENLARMSVEYIQVCRGERYISGSSLLLGFVNSKEADIGVQVFKDREDIEEGFGMIFSMDIMKKLTRQIHKLQFYAVAKPNSHVELVEKEWVEVDPSSPNSYEFYSTIFSCLNACSLGKICVTEITK >EOY27816 pep chromosome:Theobroma_cacao_20110822:6:23220845:23226799:1 gene:TCM_029565 transcript:EOY27816 gene_biotype:protein_coding transcript_biotype:protein_coding description:16S rRNA processing protein RimM family, putative isoform 2 MQKASLLCSSNLISSPPTSLYTRLPLTAPFRNRCFRSLSASPRLRLSLNRFHGGRHGLSPLHSTATEEMIEASKNVSGFVEIGFLSSVHGLQGEICIKPRTDFPELRFCKAGRRWLRQQVSGKETIKEVELIEGKEHPGRKSWIIRFSGIETVDQASQLVGSTLLAEEEDRPRLEEGEFYTRDLVGMRVILKETGQVVGTVVNVFNSGASDLLHVMLKSSVLMPNGSGSNSTESGDAGPLVWVPFVEEIVPNVDLTRREMQITPPKGLLELNVRSDERSKKERRQLEWKERKKHQKRLIAAKKKLCEMEQQHIFHGFRFGEKSETSLLADQILSVNSKLLQQALQNIEIASKRWSITESLTGTMLVRNRLRISEKCFTPCTSEEKLGANFNLQEKALHLVSKGKVALVLDMSDQRNQGKEYDPSLAFSVSMENSETSFLQTLLCNDER >EOY27817 pep chromosome:Theobroma_cacao_20110822:6:23220721:23226810:1 gene:TCM_029565 transcript:EOY27817 gene_biotype:protein_coding transcript_biotype:protein_coding description:16S rRNA processing protein RimM family, putative isoform 2 MQKASLLCSSNLISSPPTSLYTRLPLTAPFRNRCFRSLSASPRLRLSLNRFHGGRHGLSPLHSTATEEMIEASKNVSGFVEIGFLSSVHGLQGEICIKPRTDFPELRFCKAGRRWLRQQVSGKETIKEVELIEGKEHPGRKSWIIRFSGIETVDQASQLVGSTLLAEEEDRPRLEEGEFYTRDLVGMRVILKETGQVVGTVVNVFNSGASDLLHVMLKSSVLMPNGSGSNSTESGDAGPLVWVPFVEEIVPNVDLTRREMQITPPKGLLELNVRSDERSKKERRQLEWKERKKHQKRLIAAKKKLCEMEQQHIFHGFRFGEKSETSLLADQILSVNSKLLQQALQNIEIASKRWSITESLTGTMLVRNRLRISEKCFTPCTSEEKLGANFNLQEKALHLVSKGKVALVLDMSDQRNQGKEYDPSLAFSVSMENSETSFLQTLLCNDERFNIVYQCHWF >EOY27134 pep chromosome:Theobroma_cacao_20110822:6:20321233:20328739:1 gene:TCM_029057 transcript:EOY27134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MGLQLTVLVMKVCITLSAMSVDGSSVRVSWPPRGLPTETPTVHPIGPGQPPLIQPDPAPSLTRTPPSSGRTRNGELAAAPSSKESHHSSSINHSPTKALHPQPVLKPPSIAVAPSASSFGSPARDWMHGPASSPSLSFYKHDYAMNGFGDSAPEPSYLIHQPADSQQVPAVSPSQYSIPSWVSPAPALSPTDPSRHFNMPTLQPAISPVGSSLENTKGSPAPVVMALPPPPPNKGLPASPPAASSSASAIDDGPYSGHDNHGEAIKPLGVDVPRKKTDGIRQSLIAVIILSCFSAFVVCLGIIWLILLKYGACVKEREHLPQAIKSSPEKPSGAGAMMQGSTSSAASMSIGSKGLTYTGLAKNFTLNDIERATNSFDASRVIGEGGFGIVYRGILDDGAPVAVKALKREDKRGGQEFLAEVEMLSRLHHRNLVKLIGICTEDNIRCLVYELVPNGSLESHLHGVDKETGPLDWGARMKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALDEGNKYISTHVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDLSQPPGQENLVAWARPLLTATEGLETVIDPAIKSDVSFDSIAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEFDEKKEVESKVCVQEDFPTTVDSLVSRLSSELVEASDTYHQVPGCDFSRGSNIALSASDFLSIPMGLEEQEPASFRRHSCSGPVGTGRRSHFWQRIRSLSRGSRSEHGFSVKFWPGSR >EOY27131 pep chromosome:Theobroma_cacao_20110822:6:20320026:20328739:1 gene:TCM_029057 transcript:EOY27131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MGLQLTVLVMKVCITLSAMSVDGSSVRVSWPPRGLPTETPTVHPIGPGQPPLIQPDPAPSLTRTPPSSGRTRNGELAAAPSSKESHHSSSINHSPTKALHPQPVLKPPSIAVAPSASSFGSPARDWMHGPASSPSLSFYKHDYAMNGFGDSAPEPSYLIHQPADSQQVPAVSPSQYSIPSWVSPAPALSPTDPSRHFNMPTLQPAISPVGSSLENTKGSPAPVVMALPPPPPNKDCTSMACTEPLTYTPSGSPCGCVWPIQVKLRLGVAIYTFFPLVSELAQEIAASVRLNHSQVRIMGANAASQELEKSTVLINLVPWEVKFDSSTSLLVYKKFWNRHVFIKPSLFGSYEVVYVHYPGLPASPPAASSSASAIDDGPYSGHDNHGEAIKPLGVDVPRKKTDGIRQSLIAVIILSCFSAFVVCLGIIWLILLKYGACVKEREHLPQAIKSSPEKPSGAGAMMQGSTSSAASMSIGSKGLTYTGLAKNFTLNDIERATNSFDASRVIGEGGFGIVYRGILDDGAPVAVKALKREDKRGGQEFLAEVEMLSRLHHRNLVKLIGICTEDNIRCLVYELVPNGSLESHLHGVDKETGPLDWGARMKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALDEGNKYISTHVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDLSQPPGQENLVAWARPLLTATEGLETVIDPAIKSDVSFDSIAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEFDEKKEVESKVCVQEDFPTTVDSLVSRLSSELVEASDTYHQVPGCDFSRGSNIALSASDFLSIPMGLEEQEPASFRRHSCSGPVGTGRRSHFWQRIRSLSRGSRSEHGFSVKFWPGSR >EOY27132 pep chromosome:Theobroma_cacao_20110822:6:20320936:20328212:1 gene:TCM_029057 transcript:EOY27132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MATKRTANRNTNCSSYRARSTTIDTTSSGRTRNGELAAAPSSKESHHSSSINHSPTKALHPQPVLKPPSIAVAPSASSFGSPARDWMHGPASSPSLSFYKHDYAMNGFGDSAPEPSYLIHQPADSQQVPAVSPSQYSIPSWVSPAPALSPTDPSRHFNMPTLQPAISPVGSSLENTKGSPAPVVMALPPPPPNKDCTSMACTEPLTYTPSGSPCGCVWPIQVKLRLGVAIYTFFPLVSELAQEIAASVRLNHSQVRIMGANAASQELEKSTVLINLVPWEVKFDSSTSLLVYKKFWNRHVFIKPSLFGSYEVVYVHYPGLPASPPAASSSASAIDDGPYSGHDNHGEAIKPLGVDVPRKKTDGIRQSLIAVIILSCFSAFVVCLGIIWLILLKYGACVKEREHLPQAIKSSPEKPSGAGAMMQGSTSSAASMSIGSKGLTYTGLAKNFTLNDIERATNSFDASRVIGEGGFGIVYRGILDDGAPVAVKALKREDKRGGQEFLAEVEMLSRLHHRNLVKLIGICTEDNIRCLVYELVPNGSLESHLHGVDKETGPLDWGARMKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALDEGNKYISTHVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDLSQPPGQENLVAWARPLLTATEGLETVIDPAIKSDVSFDSIAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEFDEKKEVESKVCVQEDFPTTVDSLVSRLSSELVEASDTYHQVPGCDFSRGSNIALSASDFLSIPMGLEEQEPASFRRHSCSGPVGTGRRSHFWQRIRSLSRGSRSEHGFSVKFWPGSR >EOY27133 pep chromosome:Theobroma_cacao_20110822:6:20321233:20328739:1 gene:TCM_029057 transcript:EOY27133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MGLQLTVLVMKVCITLSAMSVDGSSVRVSWPPRGLPTETPTVHPIGPGQPPLIQPDPAPSLTRTPPSSGRTRNGELAAAPSSKESHHSSSINHSPTKALHPQPVLKPPSIAVAPSASSFGSPARDWMHGPASSPSLSFYKHDYAMNGFGDSAPEPSYLIHQPADSQQVPAVSPSQYSIPSWVSPAPALSPTDPSRHFNMPTLQPAISPVGSSLENTKGSPAPVVMALPPPPPNKELAQEIAASVRLNHSQVRIMGANAASQELEKSTVLINLVPWEVKFDSSTSLLVYKKFWNRHVFIKPSLFGSYEVVYVHYPGLPASPPAASSSASAIDDGPYSGHDNHGEAIKPLGVDVPRKKTDGIRQSLIAVIILSCFSAFVVCLGIIWLILLKYGACVKEREHLPQAIKSSPEKPSGAGAMMQGSTSSAASMSIGSKGLTYTGLAKNFTLNDIERATNSFDASRVIGEGGFGIVYRGILDDGAPVAVKALKREDKRGGQEFLAEVEMLSRLHHRNLVKLIGICTEDNIRCLVYELVPNGSLESHLHGVDKETGPLDWGARMKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALDEGNKYISTHVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDLSQPPGQENLVAWARPLLTATEGLETVIDPAIKSDVSFDSIAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEFDEKKEVESKVCVQEDFPTTVDSLVSRLSSELVEASDTYHQVPGCDFSRGSNIALSASDFLSIPMGLEEQEPASFRRHSCSGPVGTGRRSHFWQRIRSLSRGSRSEHGFSVKFWPGSR >EOY27290 pep chromosome:Theobroma_cacao_20110822:6:21087976:21091789:1 gene:TCM_029170 transcript:EOY27290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein isoform 1 MPYLGPEDIYLSTSLASYLDKKLLVLLRDGRKLMGTLRSFDQFANAVLEGACDRVIVGDLYCDIPLGLYVIRGENVVLIGELDLEREELPPHMTCVSAAEIRRAQKAEREARDLKGTMRKRMEFLDLD >EOY27291 pep chromosome:Theobroma_cacao_20110822:6:21088724:21091692:1 gene:TCM_029170 transcript:EOY27291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein isoform 1 MPYLGPEDIYLSTSLASYLDKKLLVLLRDGRKLMGTLRSFDQFANAVLEGACDRVIVGDLYCDIPLGLYVIRGENVVLIAAEIRRAQKAEREARDLKGTMRKRMEFLDLD >EOY25490 pep chromosome:Theobroma_cacao_20110822:6:232351:235626:1 gene:TCM_026905 transcript:EOY25490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin chaperone subunit family protein, putative isoform 1 MGKTEMKRTVTSLSSMFPTEEAQKAAKRVEETLLDKQNEMNQLRGFIADNTSLINLVQKLPDELHHDIMVPFGKAAFLPGRLIHTNEFLVLLGESYYAERTAKQAAEILKRRGKSLESKVDSLKAVMQDLKAEASFFDSTASEAAEGLVEIREEYEDESSTQRESQSDPLEQDSPSFTEADNMVGASEDEEYARIMSRLEELEKEELAAESCGEDDEDQDNNPAESDGDDEEQTKAVFDRKKNKGYSSLDHDQRYSESRKPLQQSKGKDPMKEEMSNNYHHQDLINQLACTGLTVEPVTKGKMSHSGNMRQDTKMLNPSITASAPSEKKVKFAVEHSSRNEKSVQTSNSGFDGSKAFTGSIVEHTENMEKNLAGQSTTSSQLSGSQPWKPVSRFKMQRK >EOY25489 pep chromosome:Theobroma_cacao_20110822:6:232431:237286:1 gene:TCM_026905 transcript:EOY25489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin chaperone subunit family protein, putative isoform 1 MGKTEMKRTVTSLSSMFPTEEAQKAAKRVEETLLDKQNEMNQLRGFIADNTSLINLVQKLPDELHHDIMVPFGKAAFLPGRLIHTNEFLVLLGESYYAERTAKQAAEILKRRGKSLESKVDSLKAVMQDLKAEASFFDSTASEAAEGLVEIREEYEDESSTQRESQSGRNKMVIDYFSEDEDYKSGETFSFLDPLEQDSPSFTEADNMVGASEDEEYARIMSRLEELEKEELAAESCGEDDEDQDNNPAESDGDDEEQTKAVFDRKKNKGYSSLDHDQRYSESRKPLQQSKGKDPMKEEMSNNYHHQDLINQLACTGLTVEPVTKGKMSHSGNMRQDTKMLNPSITASAPSEKKVKFAVEHSSRNEKSVQTSNSGFDGSKAFTGSIVEHTENMEKNLAGQSTTSSQLSGSQPWKPVSRFKMQRKYIQSSDRHFNSFKTCFFGPTQNPKNKSINDQLSPLSTPSNFPKSTSAKPQTLTLMPAL >EOY28839 pep chromosome:Theobroma_cacao_20110822:6:26679347:26683607:1 gene:TCM_030325 transcript:EOY28839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MESEAQSSLSKNRANPGYITQEGLELKSFRLCLYWVCLDQSSLWRVGLSWSVFFVLAVGVPIVSHFVLLCSNCDEEHQRPYDGLVQLSLSSFAAISFISLSSWARKYGIRRFLFLDKLCDVSDKVRQGYAKELQKSMKLLCIFVLPCFAAESAYRIWWYATGASQIPYLGNYYISDIIACTLQLSSWLYRTSIFILACILYQLTCHLQILRLEDFAQVFQKETEVGSILAEHLRIRRNLRIISHRFRLFLLLSLVFITASQFIALFMTTRTSTTVNFYEAGELALCSISLVTGLFICLRSATKITHRAQSITSLAAKWHVCATINSFDDADGETPTAQIVSSQMLPAGVDWESEEEEDGEDDLDNTNLVPIFAHTISFQKRQALVTYLEHNRAGITVFGFMVDRTGIHTIFVIELALLLWLLNKTIGISYSPLFISCKTAMLKAERVMRYLNLPVRQQ >EOY28840 pep chromosome:Theobroma_cacao_20110822:6:26680140:26683512:1 gene:TCM_030325 transcript:EOY28840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MESEAQSSLSKNRANPGYITQEGLELKSFRLCLYWVCLDQSSLWRVGLSWSVFFVLAVGVPIVSHFVLLCSNCDEEHQRPYDGLVQLSLSSFAAISFISLSSWARKYGIRRFLFLDKLCDVSDKVRQGYAKELQKSMKLLCIFVLPCFAAESAYRIWWYATGASQIPYLGNYYISDIIACTLQLSSWLYRTSIFILACILYQLTCHLQILRLEDFAQVFQKETEVGSILAEHLRIRRNLRIISHRFRLFLLLSLVFITASQFIALFMTTRTSTTVNFYEAGELALCSISLVTGLFICLRSATKITHRAQSITSLAAKWHVCATINSFDDADGETPTAQIVSSQMLPAGVDWESEEEEDGEDDLDNTNLVPIFAHTISFQKRQALVTYLEHNRAGITVFGFMVDRTGIHTIFVIELALLLWLLNKTIANLT >EOY27866 pep chromosome:Theobroma_cacao_20110822:6:23355785:23362914:-1 gene:TCM_029592 transcript:EOY27866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-6-phosphate synthase isoform 2 MSISSQEICILRKDKNLALLQTNGMPGNKYNCSPGTPRTRLERLLRERELRKFNKSLNEGETESSTNDPSSPDAETSTWFNDEESLETVSAGRVSLDGSKQRLLVVANRLPVSAVRRGEDSWQLEMSVGGLVTALLGVKEFETRWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQLDAYKKANQMFADVVNEHYEEGDVVWCHDYHLMFLPKCLKERNNKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSDRFIRALELPQVQDHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPNWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFPALCALYAVTDVALVTSSRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVASSIGYALNMPADEREKRHHHNFMHVTTHTSQEWAATFVSELNDTIVEAQLRLRQIPPILPIEVAVDRYSKSNNRLLILGLNATLTEPVDTLGRKANQIKELELKLHPDLQEPLKKLCDDPKTTIVVLSGSDRSVLDDNFGDYNLWLAAENGMFLRVTGGEWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSLIWNYKYADVEFGRLQARDMLQHLWTGPISNASLDVVQGSRSVEVRAVGVTKGAAIDRILGEIVHNKGMKEPIDYVLCIGHFLAKDEDIYTFFEPELPSEAPAPVRPQIPTPVRTSVSKLPVSKSGSKAARLKKQRSLSTLERNTGYPVIGGASQSTVLERMSLHEGSSVLDLRGDNYFSCSVARKRSNARYLLGSSDDVVKLLRELADS >EOY27867 pep chromosome:Theobroma_cacao_20110822:6:23357590:23362596:-1 gene:TCM_029592 transcript:EOY27867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-6-phosphate synthase isoform 2 MSISSQEICILRKDKNLALLQTNGMPGNKYNCSPGTPRTRLERLLRERELRKFNKSLNEGETESSTNDPSSPDAETSTWFNDEESLETVSAGRVSLDGSKQRLLVVANRLPVSAVRRGEDSWQLEMSVGGLVTALLGVKEFETRWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQLDAYKKANQMFADVVNEHYEEGDVVWCHDYHLMFLPKCLKERNNKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSDRFIRALELPQVQDHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPNWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFPALCALYAVTDVALVTSSRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVASSIGYALNMPADEREKRHHHNFMHVTTHTSQEWAATFVSELNDTIVEAQLRLRQIPPILPIEVAVDRYSKSNNRLLILGLNATLTEPVDTLGRKANQIKELELKLHPDLQEPLKKLCDDPKTTIVVLSGSDRSVLDDNFGDYNLWLAAENGMFLRVTGGEWMTTMPENLNMDWVDSVKVHTLVRLSLV >EOY27868 pep chromosome:Theobroma_cacao_20110822:6:23357069:23362918:-1 gene:TCM_029592 transcript:EOY27868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-6-phosphate synthase isoform 2 MSISSQEICILRKDKNLALLQTNGMPGNKYNCSPGTPRTRLERLLRERELRKFNKSLNEGETESSTNDPSSPDAETSTWFNDEESLETVSAGRVSLDGSKQRLLVVANRLPVSAVRRGEDSWQLEMSVGGLVTALLGVKEFETRWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQLDAYKKANQMFADVVNEHYEEGDVVWCHDYHLMFLPKCLKERNNKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSDRFIRALELPQVQDHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPNWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFPALCALYAVTDVALVTSSRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITEVASSIGYALNMPADEREKRHHHNFMHVTTHTSQEWAATFVSELNDTIVEAQLRLRQIPPILPIEVAVDRYSKSNNRLLILGLNATLTEPVDTLGRKANQIKELELKLHPDLQEPLKKLCDDPKTTIVVLSGSDRSVLDDNFGDYNLWLAAENGMFLRVTGGEWMTTMPENLNMDWVDSVKMLSLEDFKQ >EOY26479 pep chromosome:Theobroma_cacao_20110822:6:12599525:12603625:-1 gene:TCM_028230 transcript:EOY26479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVEGRQPVPPPPLQPLVPSLPKQLVAPHNLSATENPVSQSIHGAPQDLKTQTQLPISPRTQKKSFLSVTLGEKSAIITLNREPFLYRDRPTEIRNAFKGIGLAGAYDIRWLDYKHIHIGLSNEQDMNRIWLKQVWFISNQKLRVFKWTKDFQPEKESSLVPVWISFPNLRAHLYEKSAVLVIAKTVGRPLFVDEATDNGTRPSLARVCIEYDCLKPPLDQVWIVMRDRRTGEITGGFMQKVDFERMPDYCTHCCHVGHSVSTCIVMGNKRVMQGPERAKPSDEKNKINTEEIGKDKQPVERRERLVRTENGNESIDINVKKQGMEWREVMKAGKSGTKDDGGVEIAAQDKEKGPVQNFSLGTITVVEERLDNEARFTGQNGGIRVTEQSASVGISSLIEQVGESPVHEFECEGNNVCHAQGPGTRNNKKGKSQQKSTERAAEPILHAEGMQSQEDASDREKGLGGVAMSSIAAAVRSPTRHGQWEPSNEEMSKSEMDFPQPAKGYKTLMQNPLSASAGGTIKNYFKEHAAHVIKPVDVDVSMAPAAGGDIACQSFLLPGSQAGSAAALSRELAAEQRTGGNGKDGKGDLSAGEKAAASSAKPSDVQEKRAALFLNVQGKRGKINIKKKKIRSQTGPVDTLEGSGEHNPNTEKSATQTTNNNKSASIVACPSDRIEVYAENPPNLEFVSEIEVHPRVRRRRHSDTEVSIDKILSLALDRAVDMGENDEASDEDAISVNFATSWERERYF >EOY28250 pep chromosome:Theobroma_cacao_20110822:6:24731381:24737560:-1 gene:TCM_029874 transcript:EOY28250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 1 isoform 1 MSAPRRRPISTRGRGGALRAQPPPTPPMREVYNIIPVHDLLADHPSLRYPEVRAVGAALLSPALNLPKPPFITLEPHMDLMDWLGYSFGFQSDNVRNQREHLVLHLANSQMRLQPPPTKPHELDPNVLRRFRKKLLQNYTSWCSFLGVKSHLHLSARRSNSNDVTRELLYVSLYLLIWGEAANLRFCPELLSYIYHHMAMELNKVLEEHLDEFTGRPFVPSISGNCAFLKCIVMPFYRTINTEVESSRNGTAPHSAWRNYDDINEYFWSKRCFKSLKWPIDYESNFFDTVEKSKRVGKTGFVEQRSFWNVFRSFDRLWILLILFLQASIIVAWAGTKYPWEALEERDVQVELLTVFITWAGLRFLQSVLDAGTQYSLVSKETLWLGIRMVLKSVVALTWIVVFGVFYGRIWSQKNADRRWSFEANQRIVTFLEAVFVFVIPELLSLLFFVIPWVRNWIEGLDWVVISWLMWWFHTWIFVGRGLREGLVDNIRYTLFWVVVLVWKFAFSYFLQIKPLVAPTKALLSLSNLSYNWHQFFGSSNRIAVVLLWLPVVLIYFIDLQIWYSVFSSFVGATVGLFSHLGEIRNMEQLRLRFQFFASAMQFNLMPEDQLLSPKATLVKKLRDAIHRVKLRYGLGQPYKKIESSQVEATRFALIWNEIIISLREEDLISDREVELMELPPNCWEIRVIRWPCFLLCNELLLALSKAKELADAPDLWLWLKICKNEYGRCAVIEAYDSVKYLLLWVVKYGTEEYSIVLKLFQEIDFYMQNGKLTSAYKMDVLQQIHGKLESLVDLLVEQKNDQSQAVNLLQALYELCIREFPKMKRSMAQLREEGLAPRNPATDEGLLFENAIKFPDAEDADFHKQLRRLQTILTSKDSMHNVPLNLEARRRIAFFSNSLFMNMPRASNVEKMMAFSVLTPYYDEEVLFKKGMLQDENEDGISTLFYLQKIYEDEWSNFMERMHREGMDDDDDIWKTKLRDLRLWASYRGQTLSRTVRGMMYYYRALKMLSFLDSASEMDIRTGSQEIASHHSLNQNRGLVDGIRPPTPKKLSRAISGVRLLFKGHEYGCALMKFTYVVTCQLYGRQKAKGESHAEEILYLMKNNEALRVAYVDEVQLERDEVEYYSVLVKYDQQRQEEVEIYRIRLPGPLKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYFEEALKMRNLLEEFKTNYGIRKPTILGVRENVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYMQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDLFRMLSFYYTTVGHYFNTMMVVLTVYTFLWGRLYLALSGVEKEAKNKSISNEALGTILNQQFIIQLGLFTALPMIVENCLEHGFLTSIWDFLKMQLQLASFFYTFSMGTRTHFFGRTILHGGAKYRATGRGFVVEHKSFAENYRLYARSHFVKAIELGVILAVYASYSPLAKDTFVYIAMTISSWFLVVSWIMSPFVFNPSGFDWLKTVYDFDDFMNWIWCRGGVFAEADKSWEIWWYEEQDHLRTTGLWGKLLEIILDLRFFFFQYGIVYQLGIADKSTRITVYLLSWIYVVVAVGIYVIIAYAQDKYAAKKHIYYRVVQLVVTILTVLVIALLLNLTKFKFLDLVTSLLAFIPTGWGLISIALVLRPFLQSTVVWETVVSLARLYDMLFGVIVIAPVALLSWLPGFQSMQTRILFNEAFSRGLQISRIISGKKSTA >EOY28249 pep chromosome:Theobroma_cacao_20110822:6:24730704:24737595:-1 gene:TCM_029874 transcript:EOY28249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 1 isoform 1 MSAPRRRPISTRGRGGALRAQPPPTPPMREVYNIIPVHDLLADHPSLRYPEVRAVGAALLSPALNLPKPPFITLEPHMDLMDWLGYSFGFQSDNVRNQREHLVLHLANSQMRLQPPPTKPHELDPNVLRRFRKKLLQNYTSWCSFLGVKSHLHLSARRSNSNDVTRELLYVSLYLLIWGEAANLRFCPELLSYIYHHMAMELNKVLEEHLDEFTGRPFVPSISGNCAFLKCIVMPFYRTINTEVESSRNGTAPHSAWRNYDDINEYFWSKRCFKSLKWPIDYESNFFDTVEKSKRVGKTGFVEQRSFWNVFRSFDRLWILLILFLQASIIVAWAGTKYPWEALEERDVQVELLTVFITWAGLRFLQSVLDAGTQYSLVSKETLWLGIRMVLKSVVALTWIVVFGVFYGRIWSQKNADRRWSFEANQRIVTFLEAVFVFVIPELLSLLFFVIPWVRNWIEGLDWVVISWLMWWFHTWIFVGRGLREGLVDNIRYTLFWVVVLVWKFAFSYFLQIKPLVAPTKALLSLSNLSYNWHQFFGSSNRIAVVLLWLPVVLIYFIDLQIWYSVFSSFVGATVGLFSHLGEIRNMEQLRLRFQFFASAMQFNLMPEDQLLSPKATLVKKLRDAIHRVKLRYGLGQPYKKIESSQVEATRFALIWNEIIISLREEDLISDREVELMELPPNCWEIRVIRWPCFLLCNELLLALSKAKELADAPDLWLWLKICKNEYGRCAVIEAYDSVKYLLLWVVKYGTEEYSIVLKLFQEIDFYMQNGKLTSAYKMDVLQQIHGKLESLVDLLVEQKNDQSQAVNLLQALYELCIREFPKMKRSMAQLREEGLAPRNPATDEGLLFENAIKFPDAEDADFHKQLRRLQTILTSKDSMHNVPLNLEARRRIAFFSNSLFMNMPRASNVEKMMAFSVLTPYYDEEVLFKKGMLQDENEDGISTLFYLQKIYEDEWSNFMERMHREGMDDDDDIWKTKLRDLRLWASYRGQTLSRTVRGMMYYYRALKMLSFLDSASEMDIRTGSQEIASHHSLNQNRGLVDGIRPPTPKKLSRAISGVRLLFKGHEYGCALMKFTYVVTCQLYGRQKAKGESHAEEILYLMKNNEALRVAYVDEVQLERDEVEYYSVLVKYDQQRQEEVEIYRIRLPGPLKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYFEEALKMRNLLEEFKTNYGIRKPTILGVRENVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYMQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDLFRMLSFYYTTVGHYFNTMMVVLTVYTFLWGRLYLALSGVEKEAKNKSISNEALGTILNQQFIIQLGLFTALPMIVENCLEHGFLTSIWDFLKMQLQLASFFYTFSMGTRTHFFGRTILHGGAKYRATGRGFVVEHKSFAENYRLYARSHFVKAIELGVILAVYASYSPLAKDTFVYIAMTISSWFLVVSWIMSPFVFNPSGFDWLKTVYDFDDFMNWIWCRGGVFAEADKSWEIWWYEEQDHLRTTGLWGKLLEIILDLRFFFFQYGIVYQLGIADKSTRITVYLLSWIYVVVAVGIYVIIAYAQDKYAAKKHIYYRVVQLVVTILTVLVIALLLNLTKFKFLDLVTSLLAFIPTGWGLISIALVLRPFLQSTVVWETVVSLARLYDMLFGVIVIAPVALLSWLPGFQSMQTRILFNEAFSRGLQISRIISGKKSTA >EOY26368 pep chromosome:Theobroma_cacao_20110822:6:8292441:8339853:-1 gene:TCM_027886 transcript:EOY26368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative isoform 1 MNSLNTLYLSNNSFDLADVPSWFPALQALTTLMMEHTQLQGQVPATFFELPNLQTVVLKGNRLNGTLEIGPSFSNQLKTIDLQYNSITGFNDRGRTYKFDIILVDNPVCTARETTSTYCELPPSNSSPSYLTPPSKTCPCSSGQISSPSCGCAYPYTGTLKFRALLFSDFGNLTRYKELEQSLGQFFLSHQLPVDSVSLSYPKRASFEYRLLLDLAVFPSGQKSFNRTGISMIASVFSNQTFKPPKELFGPYVFDGDEYEHFSDEPANSKKSSIAIKIGATAGASVLFLLLVLVGIYAYRQKKRAERATKESNPFAHWDSKKSSGSIPQLKGARCFSFEELKKYTNNFSEANDIGSGGYGKVYRGTLPSGELIAIKRAQQRSMQGGLEFKTEIELLSRVHHKNVVSLLGFCFERGEQMLIYEYVPNGSLSDSLSGKSGIRLDWTRRLKITVGAARGLAYLHELANPPIIHRDIKSTNILLDERLNAKVADFGLSKPMGDSEKGHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLEIVTARKPIERGKYIVREVRLAMDKTKSLYNLQEILDASIGLAATPKGLEMFVDLAMSCVEESGANRPTMSEVVKEIENIMQLAGMNPNAESASGSATYEEATKGGSLHPYSDDSFAYSGVFPASKIEPQ >EOY26369 pep chromosome:Theobroma_cacao_20110822:6:8292953:8376197:-1 gene:TCM_027886 transcript:EOY26369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative isoform 1 LDMLIHTKHFHFGKNKLSGQIPFQLFSSDMTLIHLLFESNELTGSLPSTLGLVQTLEVVRFDNNSLNGHLPLNLNNLSRVQYLFLSNNKLTGPLPDLTGMNSLNTLYLSNNSFDLADVPSWFPXXXXXXXXRMEHTQLRGQVPASIFELPNLKTVVLKGNQLNGTLEIGPSFSNQLKTIDLQYNSISGFDDGGRTYKFDIILVDNPVCTARETTSTYCELPPSNSSPSYLTPPSKTCPCSSGQISSPSCGCAYPYTGTLKFRALLFSDFGNLTRYKELEQSLGQFFLSHQLPVDSVSLSYPKRASFEYRLLLDLAVFPSGQKSFNRTGISMIASVFSNQTFKPPKELFGPYVFDGDEYEHFSDEPANSKKSSIAIKIGATAGASVLFLLLVLVGIYAYRQKKRAERATKESNPFAHWDSKKSSGSIPQLKGARCFSFEELKKYTNNFSEANDIGSGGYGKVYRGTLPSGELIAIKRAQQRSMQGGLEFKTEIELLSRVHHKNVVSLLGFCFERGEQMLIYEYVPNGSLSDSLSGKSGIRLDWTRRLKITVGAARGLAYLHELANPPIIHRDIKSTNILLDERLNAKVADFGLSKPMGDSEKGHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLEIVTARKPIERGKYIVREVRLAMDKTKSLYNLQEILDASIGLAATPKGL >EOY26370 pep chromosome:Theobroma_cacao_20110822:6:8293758:8339793:-1 gene:TCM_027886 transcript:EOY26370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative isoform 1 LFESNELTGSLPSTLGLVQTLEVVRFDNNSLNGHLPLNLNNLSRVQYLFLSNNKLTGPLPDLTGMNSLNTLYLSNNSFDLADVPSWFPALQALTTLMMEHTQLQGQVPATFFELPNLQTVVLKGNRLNGTLEIGPSFSNQLKTIDLQYNSITGFNDRGRTYKFDIILVDNPVCTARETTSTYCELPPSNSSPSYLTPPSKTCPCSSGQISSPSCGCAYPYTGTLKFRALLFSDFGNLTRYKELEQSLGQFFLSHQLPVDSVSLSYPKRASFEYRLLLDLAVFPSGQKSFNRTGISMIASVFSNQTFKPPKELFGPYVFDGDEYEHFSDEPANSKKSSIAIKIGATAGASVLFLLLVLVGIYAYRQKKRAERATKESNPFAHWDSKKSSGSIPQLKGARCFSFEELKKYTNNFSEANDIGSGGYGKVYRGTLPSGELIAIKRAQQRSMQGGLEFKTEIELLSRVHHKNVVSLLGFCFERGEQMLIYEYVPNGSLSDSLSGKSGIRLDWTRRLKITVGAARGLAYLHELANPPIIHRDIKSTNILLDERLNAKVADFGLSKPMGDSEKGHVTT >EOY26424 pep chromosome:Theobroma_cacao_20110822:6:10102915:10105789:1 gene:TCM_028037 transcript:EOY26424 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC-rich sequence DNA-binding factor-like protein, putative MKGIAASEALAELLVTIRTGLSEAVAYIMVPTWSPLVMKAEPNAALVAAYQFGMSVRLMRNICFWKEILALPVLEKLALDDLLYGKILPHVRNITSDVQYAVKRTERIVASLSGCGQAQMPHKIPAVFLCFHFLRDIYCKNLCSHKLQPLVDCVLLLGKTLERRLAYGVTESETGGLARRLKKMLVERNEYDSARDIARRFHLKEAF >EOY28796 pep chromosome:Theobroma_cacao_20110822:6:26563056:26568357:1 gene:TCM_030297 transcript:EOY28796 gene_biotype:protein_coding transcript_biotype:protein_coding description:STRUBBELIG-receptor family 8 isoform 1 MGYLLSDLMSLRTLDLSNNNIHDTIPYQLPPNLTSLNLAGNNLSGNLPYSISTMVTLTYLNLSHNSLSLSVGDIFANLADLGTLDLSFNNFSGDLPNSFSSLSNLSMLYMQNNQLTGSLNVLSGLSLTILNVAKNHFSGWIPQELFSLPTFIYDGNSFANGPAPPPPPYSPPPPRRSHNKHNSGSGARTSPASDGQSSDSDNGPSAQLIVGIVLGSLLLVVLALLALVFCIHKNKKKVSGARASRGSLSVGSNDGHTEMQEQRVKNVAAVIDLKPPPAEKLTVDKMSKNGSLKRMKSPITATSYTVASLQTATNSFSQEYLIGEGSLGRVYRAEFPNGKTMAIKKIDNAALSLQEEDNFLEAVSNMSRLRHPNIVTLAGYCAEHGQRLLVYEYVGNGSLHDMLHFSDDGSKTLSWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVSTQMVGSFGYSAPEFALSGLYTVKSDVYSFGVVMLELLTGRKPLDSSRTRSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLVQRASVVKRRSSDESGFAYKTPDHDAVDMSF >EOY28795 pep chromosome:Theobroma_cacao_20110822:6:26562682:26568697:1 gene:TCM_030297 transcript:EOY28795 gene_biotype:protein_coding transcript_biotype:protein_coding description:STRUBBELIG-receptor family 8 isoform 1 MAYNNRAFSLSLTRLLLTDLVLQCLILAFTPVQCTTDSTDVQALQVMYTALNSPAKLTNWKTNGGDPCGESWKGVTCEGSAVVSVDISGLGLSGAMGYLLSDLMSLRTLDLSNNNIHDTIPYQLPPNLTSLNLAGNNLSGNLPYSISTMVTLTYLNLSHNSLSLSVGDIFANLADLGTLDLSFNNFSGDLPNSFSSLSNLSMLYMQNNQLTGSLNVLSGLSLTILNVAKNHFSGWIPQELFSLPTFIYDGNSFANGPAPPPPPYSPPPPRRSHNKHNSGSGARTSPASDGQSSDSDNGPSAQLIVGIVLGSLLLVVLALLALVFCIHKNKKKVSGARASRGSLSVGSNDGHTEMQEQRVKNVAAVIDLKPPPAEKLTVDKMSKNGSLKRMKSPITATSYTVASLQTATNSFSQEYLIGEGSLGRVYRAEFPNGKTMAIKKIDNAALSLQEEDNFLEAVSNMSRLRHPNIVTLAGYCAEHGQRLLVYEYVGNGSLHDMLHFSDDGSKTLSWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVSTQMVGSFGYSAPEFALSGLYTVKSDVYSFGVVMLELLTGRKPLDSSRTRSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLVQRASVVKRRSSDESGFAYKTPDHDAVDMSF >EOY28085 pep chromosome:Theobroma_cacao_20110822:6:24192756:24193857:1 gene:TCM_029759 transcript:EOY28085 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MGREDDDEGRKFAVSRKIMLAAIASLLGVVMLIILLHIYARYLLRRQERRRRAALIYSQRTQVTPIDEISANNEPPKSSGLDPLVIASLPTFTYKLTASSQIDDHDEPTECSVCLGTITDESTVRLLPNCKHMFHVECIDTWLGSHTTCPICRTVAEPTVQPEDMESGTRVQPTAPPVEENAFHGAAQVEKEGEPSSSSSSGSRFGSFRRMLGRDRSSSRITHSCGDEVGTQDLERQ >EOY26324 pep chromosome:Theobroma_cacao_20110822:6:7600584:7616877:1 gene:TCM_027822 transcript:EOY26324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MQLLICISLTVIWCLLELVASDPQTFLLNQGCSQFNVTSNSDFSRNLNATLTELRGQLENNMFFAVAQDATGSNPVYAMVQCRNYMSKKDCLSCFTTASSQIRNCSAANGARVIYDGCFLRYESNMFYQQSTQIGHVGICGNRTASQQTVFETTVESLLGDLVEATPRIDSFFGATKKEVVGVNGNVTVYAVAQCVETIDNRGCKECLQVAYANIQRCPPDSGGRAVDTGCFLRYSDLPFFGANNTIDITPFLKSRDSTKKKAIIGGLVGGGGLLLLLTIFFVWIKTSRKKKAVPQGDIEGPPELQGPLSYTYKELNFATTNFSEENKLGEGGFGEVYKGILKNGRVVAVKKLAISKSERVKTEFDTEVKLISNVHHRNLVRLIGCCSKGPELLLVYQYMANGSLDKHLFGEGHGSLNWKQRFDIILGTAKGLAYLHEEFHACIIHRDIKPGNILLDRDFQPKIADFGLVRLLPEDQTHLSTKFAGTFGYTAPEYAIHGQLSEKVDTYSFGVVVLEIISGQKNTDTSLDPTAEFLLKRAWRLYQDDMATEIVDRSLDPTGYNLEDMKRIIQIAFLCTQSSAALRPTMSEVVAMLKTMSSLEPRQPTRPAFIDSERRIVPEDRSTSTASSNATNSISQVSGR >EOY26961 pep chromosome:Theobroma_cacao_20110822:6:19383634:19390019:-1 gene:TCM_028915 transcript:EOY26961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial-processing peptidase subunit alpha isoform 2 MYRTALSRFRAVKGRTGNLAAAHYATSSAVASLETPLEGVSLPPALPDYVASSETKVKTLSNGVKIASEKLLTPAASIGLFINSGSIYETPISSGASHLLERMAFKSTTNRTHLRIVREVEAIGGNTSTSASRECMAYTFDALKSYVPEMVELLIDCVRNPAFLDWEVNEELQKLKAELEEVSKNPERLILEAVHSTGYCGALANPLLAPESALDRLDSSILEEFVTENYTGTRMVLAASGIEHEELLQIAEPLLSDLPAGPYLEEPESVYVGGDFRRQADAPSSHFALAFEVPGGWNSEKESVILTVMQMLMGGGGSFSAGGPGKGMHSRLYLRVLNEYQQIQSFSAFNSIFNNTGLFGIYGSTSSDFVSKAVDIAAEELLLLAEEGAVSRLMIKRAQEATKSAVLMNLESRMIVAEDIGRQILTYGERKSVQSFLKMVDEVTLRDIADMAKKILSSPLTMASYGDVINVPSYESVSSKFHAKRV >EOY26962 pep chromosome:Theobroma_cacao_20110822:6:19384072:19389909:-1 gene:TCM_028915 transcript:EOY26962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial-processing peptidase subunit alpha isoform 2 MYRTALSRFRAVKGRTGNLAAAHYATSSAVASLETPLEGVSLPPALPDYVASSETKVKTLSNGVKIASEKLLTPAASIGLFINSGSIYETPISSGASHLLERMAFKSTTNRTHLRIVREVEAIGGNTSTSASRECMAYTFDALKSYVPEMVELLIDCVRNPAFLDWEVNEELQKLKAELEEVSKNPERLILEAVHSTGYCGALANPLLAPESALDRLDSSILEEFVTENYTGTRMVLAASGIEHEELLQIAEPLLSDLPAGPYLEEPESVYVGGDFRRQADAPSSHFALAFEVPGGWNSEKESVILTVMQQMLMGGGGSFSAGGPGKGMHSRLYLRVLNEYQQIQSFSAFNSIFNNTGLFGIYGSTSSDFVSKAVDIAAEELLLLAEEGAGSISANDKTCTRGHKICSTDESRI >EOY26963 pep chromosome:Theobroma_cacao_20110822:6:19384608:19389989:-1 gene:TCM_028915 transcript:EOY26963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial-processing peptidase subunit alpha isoform 2 MYRTALSRFRAVKGRTGNLAAAHYATSSAVASLETPLEGVSLPPALPDYVASSETKVKTLSNGVKIASEKLLTPAASIGLFINSGSIYETPISSGASHLLERMAFKSTTNRTHLRIVREVEAIGGNTSTSASRECMAYTFDALKSYVPEMVELLIDCVRNPAFLDWEVNEELQKLKAELEEVSKNPERLILEAVHSTGYCGALANPLLAPESALDRLDSSILEEFVTENYTGTRMVLAASGIEHEELLQIAEPLLSDLPAGPYLEEPESVYVGGDFRRQADAPSSHFALAFEVPGGWNSEKESVILTVMQMLMGGGGSFSAGGPGKGMHSRLYLRVLNEYQQIQSFSAFNSIFNNTGLFGIYGSTSSDFVSKAVDIAAEELLLLAEEGAGLLALHFSYFLPLCFLATPFRMASFFIIATPALFVFFSFFTNF >EOY26964 pep chromosome:Theobroma_cacao_20110822:6:19385742:19390019:-1 gene:TCM_028915 transcript:EOY26964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial-processing peptidase subunit alpha isoform 2 MYRTALSRFRAVKGRTGNLAAAHYATSSAVASLETPLEGVSLPPALPDYVASSETKVKTLSNGVKIASEKLLTPAASIGLFINSGSIYETPISSGASHLLERMAFKSTTNRTHLRIVREVEAIGGNTSTSASRECMAYTFDALKSYVPEMVELLIDCVRNPAFLDWEVNEELQKLKAELEEVSKNPERLILEAVHSTGYCGALANPLLAPESALDRLDSSILEEFVTENYTGTRMVLAASGIEHEELLQIAEPLLSDLPAGPYLEEPESVYVGGDFRRQADAPSSHFALAFEVPGGWNSEKESVILTVMQMLMGGGGSFSAGGPGKGMHSRLYLRVLNEYQQIQSFSAFNSIFNNTGLFGIYGSTVRLSLHTLLPCLFAQKFCSSVCTCTCRCAYGLYYSTL >EOY25989 pep chromosome:Theobroma_cacao_20110822:6:3405909:3426354:1 gene:TCM_027377 transcript:EOY25989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLGLNFIVCIFFLFCFLFCSFREIERVSGAVVLGCGYPDREKGEKEKEKEKEKERKKREKRREKTSGERERERGRRKRKKKEKRKKSKKKKKNKRSNSLTAPFWNNFKAPNGACCCILGGLPRSTRLSFFGLF >EOY28241 pep chromosome:Theobroma_cacao_20110822:6:24699597:24708559:-1 gene:TCM_029866 transcript:EOY28241 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 1 LAFTNLAYCSASDLHNYAVPGTRLFLANVGDDFVLSVSPHESIRNGYIALNAIQRRVARVSNGDTVSVSRFIPPEDFNLALLTLELEFVKKGTKSEQVDAVLLSNQLRKRFVNQVMTAGQKVTFEYHGNNYIFTVNQAQVEGQEKANAPERGMISSDTYFIFEAQNSSGIKIVNQREAASSNIFRHKEFNLQSLGIGGLSAEFADIFRRAFASRVFPPHVTNKLGIKHVKGMLLYGPPGTGKTLMARQIGKMLNGKEPKIVNGPEVLSKFVGETEKNVRDLFADAENDQRTCGDDSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLLDEALLRPGRLEVQVEISLPDENGRLQILQIHTNKMKENSFLSPDVSLQELAARTKNYSGAELEGVVKSAVSFALNRQVSMDDLTKPVDEESIKVTMDDFLNALVEVVPAFGASTDDLERCRLNGMVDCGDRHKHIYQRAMLLVEQVKVSKGSPLLTSLLEGPSGSGKTALAATVGIDSDFPYVKIVSAESMIGLHESTKCAQIVKVFEDAYKSPLSIIILDDIERLLEYVAIGPRFSNIISQTLLVLLKRLPPKGKKLLVIGTTSEVGFLDSVGISDSFSVTYHLPTLKTNDAKKVLHQLNVFAEEDVSAAAEALNDMPIKKLYMLIEMAAQGEQGGAAEAIYSGKEKIKLSHFYDCLQDVVRY >EOY28240 pep chromosome:Theobroma_cacao_20110822:6:24699212:24708713:-1 gene:TCM_029866 transcript:EOY28240 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 1 MAGRIRNGYIALNAIQRRVARVSNGDTVSVSRFIPPEDFNLALLTLELEFVKKGTKSEQVDAVLLSNQLRKRFVNQVMTAGQKVTFEYHGNNYIFTVNQAQVEGQEKANAPERGMISSDTYFIFEAQNSSGIKIVNQREAASSNIFRHKEFNLQSLGIGGLSAEFADIFRRAFASRVFPPHVTNKLGIKHVKGMLLYGPPGTGKTLMARQIGKMLNGKEPKIVNGPEVLSKFVGETEKNVRDLFADAENDQRTCGDDSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLLDEALLRPGRLEVQVEISLPDENGRLQILQIHTNKMKENSFLSPDVSLQELAARTKNYSGAELEGVVKSAVSFALNRQVSMDDLTKPVDEESIKVTMDDFLNALVEVVPAFGASTDDLERCRLNGMVDCGDRHKHIYQRAMLLVEQVKVSKGSPLLTSLLEGPSGSGKTALAATVGIDSDFPYVKIVSAESMIGLHESTKCAQIVKVFEDAYKSPLSIIILDDIERLLEYVAIGPRFSNIISQTLLVLLKRLPPKGKKLLVIGTTSEVGFLDSVGISDSFSVTYHLPTLKTNDAKKVLHQLNVFAEEDVSAAAEALNDMPIKKLYMLIEMAAQGEQGGAAEAIYSGKEKIKLSHFYDCLQDVVRY >EOY27490 pep chromosome:Theobroma_cacao_20110822:6:22043335:22046320:1 gene:TCM_029326 transcript:EOY27490 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB isoform 1 MATSGNKNINAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNQASFERAKKWVQELQAQGNPNMVMALAGNKADLLDARKVAAEEAQTYAQENGLFFMETSAKTASNVNDIFYEIAKRLPRVQPAQNPAGMVLMDRPAERTASASCCS >EOY27491 pep chromosome:Theobroma_cacao_20110822:6:22043335:22046320:1 gene:TCM_029326 transcript:EOY27491 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB isoform 1 MATSGNKNINAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNQASFERAKKWVQELQAQGNPNMVMALAGNKADLLDARKVAAEATQTYAQENGLFFMETSAKTASNVNDIFYEIAKRLPRVQPAQNPAGMVLMDRPAERTASASCCS >EOY26981 pep chromosome:Theobroma_cacao_20110822:6:19519640:19523829:-1 gene:TCM_028936 transcript:EOY26981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol oxygenase 1 MAILIDQPEFLEAGFNKVDNVEKEMVFDGGFMVPQTNSFGYTFRDYHVESERQQGVENFYRTNHINQTYDFVKRMRKEYGKLNKVGMSIWECCELLNDVVDESDPDLDEPQIEHLLQTAEAIRKDYPDEDWLHLAGFVHDLGKVLLHPSFEGLPQWAVVGDTYPVGCAFDKSIVHHKYFEENPDFYNSAYNTKYGVYSEGCGLNNVAKVNNTTWPPAALFIIRYHSFYALHRSEAYKHLMSEEDVENLKWLQIFNKSKVRIDVEKVNPYYLSLIEKYFPAKLRW >EOY27583 pep chromosome:Theobroma_cacao_20110822:6:22479992:22481557:-1 gene:TCM_029409 transcript:EOY27583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMQRYKLKESCNTIIITIMHLGFLLSKLSLSFVQANCFRKRVGGSFLFPAMEIVVGNHCSGGSLLNDMVANLQLATCNLQLATLPLS >EOY28645 pep chromosome:Theobroma_cacao_20110822:6:26135227:26136403:-1 gene:TCM_030189 transcript:EOY28645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVMPCFTGWFVCLFMEQWNRPVVPFSFGFCVNIYWLHLLVMNLHGALLLPHSKIYEVFVYHFISCCAEVFALAKISRDN >EOY28324 pep chromosome:Theobroma_cacao_20110822:6:24934723:24943426:1 gene:TCM_029922 transcript:EOY28324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASLLPPSSTATNGASPISVTTSFLPKTSQLCIAGKRRRNFVSRAVSCKATNGDQNPSPSTKNVEECSLNKFDRRDVLVGLGGLYGATSLNGPLAFAAPVSPPDVTKCGKADLPAGAKPVNCCPPPSTKILDFKLRPSNSPPRIRPAVHLVDKEYLAKFSRALELMKALPEDDPRSFTQQANVHCAYCDGAYHQIGFPDLDLQVHNSWLFFPFHRYYLYFFEKILGKLIDDPTFAIPFWNWDSPAGMQMPAIYANPNSPLYDENRNPNHQPPTLLDLDFNGTEESSSTRDQISSNLNVMYRQMVSNGKTAKLFLGNAYRAGDQPDPGAGSLENIPHGPIHIWCGDNRQPNLEDMGNFYSAGRDPIFYAHHSNVDRMWSVWKTLGGKRTDFTDSDWLDSGFLFYDENANLVRVKVRDCLDTRKMGYDYQKVDIPWLRAKPTPRRIASKVARALGVAHAAETKKKVLSDVQFPLVLNNVVSLEVSRPKKSRSKAEKEEEEEVLVIENIEFDRDQLVKFDVYINDEDDTTIGPDNTEFAGSFINVPHKHRHGNKMTTCLRLGLTDLLEELGAEDDDGVVVTLVPKSGKGLAKIGGVKIDFARD >EOY25934 pep chromosome:Theobroma_cacao_20110822:6:2792882:2797400:-1 gene:TCM_027300 transcript:EOY25934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 17 isoform 2 MATLSMDIATTFFNITTRCCGHHHRTPPLSGIRTTLLPLFFKPTTIKTQPLSSKTTSSLPRTIITSSNCCKAHRRRFSVSATATTTPQSEDSDVSTKIPPDNRIPATIITGFLGSGKTTLLNHILTADHAAGAEDIVMLNNGCLCCTVRGDLVRMIAELVDKRKGKFDHIVIETTGLANPAPIIQTFYAEDQIFNDVKLDGVVTLVDAKHAGFHLDEVKPKGVVNEAVEQIAYADRIIVNKTDLVGEPEIATLVKRIRNINGMAHLKRTEFGKVDLEYVLGIGGFDLERIESSVNDEGAKDDHAHHGHDQDHDHDHHHHHHHHDEQHHEHEHHHDHHSHDHTHDPGVS >EOY25933 pep chromosome:Theobroma_cacao_20110822:6:2791182:2797374:-1 gene:TCM_027300 transcript:EOY25933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 17 isoform 2 MATLSMDIATTFFNITTRCCGHHHRTPPLSGIRTTLLPLFFKPTTIKTQPLSSKTTSSLPRTIITSSNCCKAHRRRFSVSATATTTPQSEDSDVSTKIPPDNRIPATIITGFLGSGKTTLLNHILTADHGKRIAVIENEYGEIDIDGSLVAAKAAGAEDIVMLNNGCLCCTVRGDLVRMIAELVDKRKGKFDHIVIETTGLANPAPIIQTFYAEDQIFNDVKLDGVVTLVDAKHAGFHLDEVKPKGVVNEAVEQIAYADRIIVNKTDLVGEPEIATLVKRIRNINGMAHLKRTEFGKVDLEYVLGIGGFDLERIESSVNDEGAKDDHAHHGHDQDHDHDHHHHHHHHDEQHHEHEHHHDHHSHDHTHDPGVSSVSIVCEGSLDLEKANIWLGTLLLERSEDIYRMKGLLSVQGMNERFVFQGVHDIFQGSPDRLWGPDEPRVNKIVFIGKNLNAQELESGFKACLL >EOY26895 pep chromosome:Theobroma_cacao_20110822:6:18930732:18932366:-1 gene:TCM_028861 transcript:EOY26895 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 9, putative MEAADFFVGGYYGGAAGGDFSSEMRMSAEQKLAENFTVDDLLDFSNEDAIISDGFFDNVAGNSTDSSTVTCNSSVSGGDNHFSSANFPHSSQFSGELCVPYDDLAELEWLSNFVEDSFSTDQNLQSNLQILATSKSPTPESSSSSTRIESLTRSPTNPIFQNDTPLPGKARSKRSRAAPCDWSTRLLHLTPKSTGQKKRDNPNANSESLGRKCLHCAAEKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPASSPTFMSTKHSNSHRKVVELRRQKDLQRAQQQQFLSQTSIFGISNGGGGGDDFLIHHGGPDFRHMI >EOY27058 pep chromosome:Theobroma_cacao_20110822:6:19896501:19901417:-1 gene:TCM_028998 transcript:EOY27058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dentin sialophosphoprotein-related, putative isoform 2 MPPQVKSKENASSSEKEIPTRATGAVREMPGRRGNFGPKPMDLQSLLITLLKENPKGMSLKALEKAVGDTIPNSARKIETIVKKIATFQAPGRYFLKPGVELDSLKKPSSESGSSPEDNHHQTPAPEENHDQTPAPVASIVEKVSEMEEQNHLDSKLGVESNVLEQIDIQQHSPDLGGDRKASDNSEGQANSASDSGSDSDSDSDSSDSGSDSGSRSRSRSRSGSPAGSGSGSSSDSESDASSNSKEGSDEDVDIMTSDDYKETKQDLQASEPGVVQSPIPWQTEHDRPLQNGMDENQDGDGSDAVDIEGNGSDAVDVEGHGSDGVDIEKDLPEDEQQIGMAVSTRKEGEKPEEGAKPSSSDCDELQERQNFIGNLFDDAENLVKDSVRHEQSDNSERLPKAKSKRGSDLKHIDEKSERTKRSKSESLSQPHVSGSRDPNFFGSIRNFSPNRPIDDPYQSSSVQMMNKGDREEHADFGSQKGYNQVFPRKSSSDFHQSGRRPSDQGAWAKATIAAERPMKHTESSGHGRKFSEKSVHEGHFIQKDNPSRDTQNEDGLMKDKKLPRNTKEGGAGGKNAVPSDFHHRKLGETVGKFKDAGQISSSYINSPPKDNSRVTADRYPVNGKSNMLQRELSHLELGEIREPLVEETPIKKQFERKSSFKQSGSGPSTSENFNPDLSRGKSVGKTNWDSGKPSPPNLSGLRRTPEYHVEDLTRSHLRVVQSQPQHLSRVDRPEVGSHSNKLADTSSKTRQNETGAKLGVDLEGYGESHKKAPASASQPQESKRGSVSQVIKDSKTLASNKMVDVTDGRKDTVLAEGNVNGRRKRGSSSDEECCPYSKYEKDEPEYRGPIKDSSQ >EOY27054 pep chromosome:Theobroma_cacao_20110822:6:19895914:19905926:-1 gene:TCM_028998 transcript:EOY27054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dentin sialophosphoprotein-related, putative isoform 2 MYGGSSKLGRGGGGGRGGGGPRNRSSFPPPPPHRPAAPAGRLSLGSAPRNRPGVGGGLGPAPAVEESFSLVSGNNPLAFAMIIRLAPDLVEEIRRLEAQGGTARIKFDSIPTNPSGNVIDVGGKEFRFTWSREFVDLCDIYEERQSGEDGNGLLVESGCAWRKLNVQRVLDESMTNHVKMRSEEAERKHKSRKAIVLDHGNPSMKNQIKQLAAAEASPWKSHFKKKEPAFKKRKVETAQASNAKGGRSTSPIPSPPERSGAAASPIGIGNISKVHSGIEDVMPPQVKSKENASSSEKEIPTRATGAVREMPGRRGNFGPKPMDLQSLLITLLKENPKGMSLKALEKAVGDTIPNSARKIETIVKKIATFQAPGRYFLKPGVELDSLKKPSSESGSSPEDNHHQTPAPEENHDQTPAPVASIVEKVSEMEEQNHLDSKLGVESNVLEQIDIQQHSPDLGGDRKASDNSEGQANSASDSGSDSDSDSDSSDSGSDSGSRSRSRSRSGSPAGSGSGSSSDSESDASSNSKEGSDEDVDIMTSDDYKETKQDLQASEPGVVQSPIPWQTEHDRPLQNGMDENQDGDGSDAVDIEGNGSDAVDVEGHGSDGVDIEKDLPEDEQQIGMAVSTRKEGEKPEEGAKPSSSDCDELQERQNFIGNLFDDAENLVKDSVRHEQSDNSERLPKAKSKRGSDLKHIDEKSERTKRSKSESLSQPHVSGSRDPNFFGSIRNFSPNRPIDDPYQSSSVQMMNKGDREEHADFGSQKGYNQVFPRKSSSDFHQSGRRPSDQGAWAKATIAAERPMKHTESSGHGRKFSEKSVHEGHFIQKDNPSRDTQNEDGLMKDKKLPRNTKEGGAGGKNAVPSDFHHRKLGETVGKFKDAGQISSSYINSPPKDNSRVTADRYPVNGKSNMLQRELSHLELGEIREPLVEETPIKKQFERKSSFKQSGSGPSTSENFNPDLSRGKSVGKTNWDSGKPSPPNLSGLRRTPEYHVEDLTRSHLRVVQSQPQHLSRVDRPEVGSHSNKLADTSSKTRQNETGAKLGVDLEGYGESHKKAPASASQPQESKRGSVSQVIKDSKTLASNKMVDVTDGRKDTVLAEGNVNGRRKRGSSSDEECCPYSKYEKDEPEYRGPIKDSSQYEEYMNEFREKYESYNDLDKTLQTYRNDFEKLGKDLEYSKDRDMDKYYKTLNLLLESYQQCGMRHKRLKKIFVVLHYELKNLKQRLHEYAQSHSID >EOY27053 pep chromosome:Theobroma_cacao_20110822:6:19895376:19906057:-1 gene:TCM_028998 transcript:EOY27053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dentin sialophosphoprotein-related, putative isoform 2 MYGGSSKLGRGGGGGRGGGGPRNRSSFPPPPPHRPAAPAGRLSLGSAPRNRPGVGGGLGPAPAVEESFSLVSGNNPLAFAMIIRLAPDLVEEIRRLEAQGGTARIKFDSIPTNPSGNVIDVGGKEFRFTWSREFVDLCDIYEERQSGEDGNGLLVESGCAWRKLNVQRVLDESMTNHVKMRSEEAERKHKSRKAIVLDHGNPSMKNQIKQLAAAEASPWKSHFKKKEPAFKKRKVETAQAAVGGPPKSGYKSGLISASNAKGGRSTSPIPSPPERSGAAASPIGIGNISKVHSGIEDVMPPQVKSKENASSSEKEIPTRATGAVREMPGRRGNFGPKPMDLQSLLITLLKENPKGMSLKALEKAVGDTIPNSARKIETIVKKIATFQAPGRYFLKPGVELDSLKKPSSESGSSPEDNHHQTPAPEENHDQTPAPVASIVEKVSEMEEQNHLDSKLGVESNVLEQIDIQQHSPDLGGDRKASDNSEGQANSASDSGSDSDSDSDSSDSGSDSGSRSRSRSRSGSPAGSGSGSSSDSESDASSNSKEGSDEDVDIMTSDDYKETKQDLQASEPGVVQSPIPWQTEHDRPLQNGMDENQDGDGSDAVDIEGNGSDAVDVEGHGSDGVDIEKDLPEDEQQIGMAVSTRKEGEKPEEGAKPSSSDCDELQERQNFIGNLFDDAENLVKDSVRHEQSDNSERLPKAKSKRGSDLKHIDEKSERTKRSKSESLSQPHVSGSRDPNFFGSIRNFSPNRPIDDPYQSSSVQMMNKGDREEHADFGSQKGYNQVFPRKSSSDFHQSGRRPSDQGAWAKATIAAERPMKHTESSGHGRKFSEKSVHEGHFIQKDNPSRDTQNEDGLMKDKKLPRNTKEGGAGGKNAVPSDFHHRKLGETVGKFKDAGQISSSYINSPPKDNSRVTADRYPVNGKSNMLQRELSHLELGEIREPLVEETPIKKQFERKSSFKQSGSGPSTSENFNPDLSRGKSVGKTNWDSGKPSPPNLSGLRRTPEYHVEDLTRSHLRVVQSQPQHLSRVDRPEVGSHSNKLADTSSKTRQNETGAKLGVDLEGYGESHKKAPASASQPQESKRGSVSQVIKDSKTLASNKMVDVTDGRKDTVLAEGNVNGRRKRGSSSDEECCPYSKYEKDEPEYRGPIKDSSQYEEYMNEFREKYESYNDLDKTLQTYRNDFEKLGKDLEYSKDRDMDKYYKTLNLLLESYQQCGMRHKRLKKIFVVLHYELKNLKQRLHEYAQSHSID >EOY27055 pep chromosome:Theobroma_cacao_20110822:6:19896497:19905926:-1 gene:TCM_028998 transcript:EOY27055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dentin sialophosphoprotein-related, putative isoform 2 MYGGSSKLGRGGGGGRGGGGPRNRSSFPPPPPHRPAAPAGRLSLGSAPRNRPGVGGGLGPAPAVEESFSLVSGNNPLAFAMIIRLAPDLVEEIRRLEAQGGTARIKFDSIPTNPSGNVIDVGGKEFRFTWSREFVDLCDIYEERQSGEDGNGLLVESGCAWRKLNVQRVLDESMTNHVKMRSEEAERKHKSRKAIVLDHGNPSMKNQIKQLAAAEASPWKSHFKKKEPAFKKRKVETAQAAVGGPPKSGYKSGLISASNAKGGRSTSPIPSPPERSGAAASPIGIGNISKVHSGIEDVMPPQVKSKENASSSEKEIPTRATGAVREMPGRRGNFGPKPMDLQSLLITLLKENPKGMSLKALEKAVGDTIPNSARKIETIVKKIATFQAPGRYFLKPGVELDSLKKPSSESGSSPEDNHHQTPAPEENHDQTPAPVASIVEKVSEMEEQNHLDSKLGVESNVLEQIDIQQHSPDLGGDRKASDNSEGQANSASDSGSDSDSDSDSSDSGSDSGSRSRSRSRSGSPAGSGSGSSSDSESDASSNSKEGSDEDVDIMTSDDYKETKQDLQASEPGVVQSPIPWQTEHDRPLQNGMDENQDGDGSDAVDIEGNGSDAVDVEGHGSDGVDIEKDLPEDEQQIGMAVSTRKEGEKPEEGAKPSSSDCDELQERQNFIGNLFDDAENLVKDSVRHEQSDNSERLPKAKSKRGSDLKHIDEKSERTKRSKSESLSQPHVSGSRDPNFFGSIRNFSPNRPIDDPYQSSSVQMMNKGDREEHADFGSQKGYNQVFPRKSSSDFHQSGRRPSDQGAWAKATIAAERPMKHTESSGHGRKFSEKSVHEGHFIQKDNPSRDTQNEDGLMKDKKLPRNTKEGGAGGKNAVPSDFHHRKLGETVGKFKDAGQISSSYINSPPKDNSRVTADRYPVNGKSNMLQRELSHLELGEIREPLVEETPIKKQFERKSSFKQSGSGPSTSENFNPDLSRGKSVGKTNWDSGKPSPPNLSGLRRTPEYHVEDLTRSHLRVVQSQPQHLSRVDRPEVGSHSNKLADTSSKTRQNETGAKLGVDLEGYGESHKKAPASASQPQESKRGSVSQVIKDSKTLASNKMVDVTDGRKDTVLAEGNVNGRRKRGSSSDEECCPYSKYEKDEPEYRGPIKDSSQYEEYMNEFREKYESYNDLDKTLQTYRNDFEKLGKDLEYSKDRDMDKYYKTLNLLLESYQQCGMEFCSD >EOY27057 pep chromosome:Theobroma_cacao_20110822:6:19896925:19901417:-1 gene:TCM_028998 transcript:EOY27057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dentin sialophosphoprotein-related, putative isoform 2 MPPQVKSKENASSSEKEIPTRATGAVREMPGRRGNFGPKPMDLQSLLITLLKENPKGMSLKALEKAVGDTIPNSARKIETIVKKIATFQAPGRYFLKPGVELDSLKKPSSESGSSPEDNHHQTPAPEENHDQTPAPVASIVEKVSEMEEQNHLDSKLGVESNVLEQIDIQQHSPDLGGDRKASDNSEGQANSASDSGSDSDSDSDSSDSGSDSGSRSRSRSRSGSPAGSGSGSSSDSESDASSNSKEGSDEDVDIMTSDDYKETKQDLQASEPGVVQSPIPWQTEHDRPLQNGMDENQDGDGSDAVDIEGNGSDAVDVEGHGSDGVDIEKDLPEDEQQIGMAVSTRKEGEKPEEGAKPSSSDCDELQERQNFIGNLFDDAENLVKDSVRHEQSDNSERLPKAKSKRGSDLKHIDEKSERTKRSKSESLSQPHVSGSRDPNFFGSIRNFSPNRPIDDPYQSSSVQMMNKGDREEHADFGSQKGYNQVFPRKSSSDFHQSGRRPSDQGAWAKATIAAERPMKHTESSGHGRKFSEKSVHEGHFIQKDNPSRDTQNEDGLMKDKKLPRNTKEGGAGGKNAVPSDFHHRKLGETVGKFKDAGQISSSYINSPPKDNSRVTADRYPVNGKSNMLQRELSHLELGEIREPLVEETPIKKQFERKSSFKQSGSGPSTSENFNPDLSRGKSVGKTNWDSGKPSPPNLSGLRRTPEYHVEDLTRSHLRVVQSQPQHLSRVDRPEVGSHSNKLADTSSKTRQNETGAKLGVDLEGYGESHKKAPASASQPQESKRGSVSQVIKDSKTLASNKMVDVTDGRKDTVLAEGNVNGRRKRGSSSDEECCPYSKYEKDEPEYRGPIKDSSQYEEYMNEFREKYESYNDLDKTLQTYRNDFEKLGKDLEYSKDRDMDKYYKTLNLLLESYQQCGM >EOY27056 pep chromosome:Theobroma_cacao_20110822:6:19897170:19905671:-1 gene:TCM_028998 transcript:EOY27056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dentin sialophosphoprotein-related, putative isoform 2 MYGGSSKLGRGGGGGRGGGGPRNRSSFPPPPPHRPAAPAGRLSLGSAPRNRPGVGGGLGPAPAVEESFSLVSGNNPLAFAMIIRLAPDLVEEIRRLEAQGGTARIKFDSIPTNPSGNVIDVGGKEFRFTWSREFVDLCDIYEERQSGEDGNGLLVESGCAWRKLNVQRVLDESMTNHVKMRSEEAERKHKSRKAIVLDHGNPSMKNQIKQLAAAEASPWKSHFKKKEPAFKKRKVETAQAAVGGPPKSGYKSGLISASNAKGGRSTSPIPSPPERSGAAASPIGIGNISKVHSGIEDVMPPQVKSKENASSSEKEIPTRATGAVREMPGRRGNFGPKPMDLQSLLITLLKENPKGMSLKALEKAVGDTIPNSARKIETIVKKIATFQAPGRYFLKPGVELDSLKKPSSESGSSPEDNHHQTPAPEENHDQTPAPVASIVEKVSEMEEQNHLDSKLGVESNVLEQIDIQQHSPDLGGDRKASDNSEGQANSASDSGSDSDSDSDSSDSGSDSGSRSRSRSRSGSPAGSGSGSSSDSESDASSNSKEGSDEDVDIMTSDDYKETKQDLQASEPGVVQSPIPWQTEHDRPLQNGMDENQDGDGSDAVDIEGNGSDAVDVEGHGSDGVDIEKDLPEDEQQIGMAVSTRKEGEKPEEGAKPSSSDCDELQERQNFIGNLFDDAENLVKDSVRHEQSDNSERLPKAKSKRGSDLKHIDEKSERTKRSKSESLSQPHVSGSRDPNFFGSIRNFSPNRPIDDPYQSSSVQMMNKGDREEHADFGSQKGYNQVFPRKSSSDFHQSGRRPSDQGAWAKATIAAERPMKHTESSGHGRKFSEKSVHEGHFIQKDNPSRDTQNEDGLMKDKKLPRNTKEGGAGGKNAVPSDFHHRKLGETVGKFKDAGQISSSYINSPPKDNSRVTADRYPVNGKSNMLQRELSHLELGEIREPLVEETPIKKQFERKSSFKQSGSGPSTSENFNPDLSRGKSVGKTNWDSGKPSPPNLSGLRRTPEYHVEDLTRSHLRVVQSQPQHLSRVDRPEVGSHSNKLADTSSKTRQNETGAKLGVDLEGYGESHKKAPASASQPQESKRGSVSQVIKDSKTLASNKMVDVTDGRKDTVLAEGNVNGRRKRGSSSDEECCPYSKYEKDEPEYRGPIKDSSQYEEYMNEFREKYESYNDLDKTLQTYRNDFEKLGKDLEYSKDRDMDKYYKTLNLLLESYQQCGMVYFSI >EOY26765 pep chromosome:Theobroma_cacao_20110822:6:17738774:17744176:-1 gene:TCM_028726 transcript:EOY26765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 1 MAKSIVCAVLEKLGSLISEEIKQNVGLALGLEEGIQKMTSNFEDIKSVLQDAETKQVKDANVRHWLKKLKDVAYDVDDVLDEWNTAKLKSQIEKQVKDVDNAPLLKKIRNSISSFTSQSTIFYNIAWKIRDLNERLDCIATDKDRYNFGLETAVEEPKRQITASFLDEEEVYGRSQETTILVNMLVGEKSNGGESSLHVISIVGMGGIGKTTLAQLVYNHNEVECHFDKRIWICVSDPFDEIRIAKEILEAFKGETPNMVGKDNILRQIRSYVLEKKILLVLDDVWIEDATKWEQLKNSLKYCSLGSRILITTRKNKVAIIMGTTTENLYPLHTLSQEECWSLLSHRAFYGRTREECENLEDISKKIAVKCQGLPLAAKILGGLLRFKRSREQWQSVLDCEMLNLEEAERDLFPPLFLSYYELPMALKQCISYCAVFPKGKILNKDELIKLWMAQGYLKGAQCKEMETVGEEYFDELMMRSFLQDFKRVVELDSGIMECKMHAIVHDFVQLLTKTECLILVNDDFEELRVDAFCEDARHVTLIRKEAIPTDPNINHFKKLRSLFIDSSNHDTSSLSTSLPKLFDQLDCLRTLNLSNSMFGNSIKELPDQIGKLVHLRYLDLKHNRKLKKLPESVCELCNLQTLNLTWCKSLKELPCGIGKLINLRHLENEKTDLSLMAMPKGIGRLTCLQTLRVFVAMDGGINGKASTLGDLRNLMHLQGHLKISGLGDVWDVTEAKRAELQNKKGLRGLILDFTNSKGLMSKRLPRRDDELLLEALQPPLSLEKLEIWGYSSTTSFPNWMMGLTKLRHVSLGFCCHLNFLPPLGKLPSLESLYIGEMRRVEKVGVEFLGVGEGTLALSPSSESSSSSIIAFPSLKHLEFRNMEEWDCWISFTSTGADHICIMPRLCSLTIDSCPKLRALPWYILQNTSLEQLDISQSPFLSERCRKETGEDWLHISHIPSIIIDGLCMQVNCSSFEEFGVLQLQPLHSSLLSSNDFLKVFEQN >EOY26764 pep chromosome:Theobroma_cacao_20110822:6:17738774:17744176:-1 gene:TCM_028726 transcript:EOY26764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 1 MAKSIVCAVLEKLGSLISEEIKQNVGLALGLEEGIQKMTSNFEDIKSVLQDAETKQVKDANVRHWLKKLKDVAYDVDDVLDEWNTAKLKSQIEKQVKDVDNAPLLKKIRNSISSFTSQSTIFYNIAWKIRDLNERLDCIATDKDRYNFGLETAVEEPKRQITASFLDEEEVYGRSQETTILVNMLVGEKSNGGESSLHVISIVGMGGIGKTTLAQLVYNHNEVECHFDKRIWICVSDPFDEIRIAKEILEAFKGETPNMVGKDNILRQIRSYVLEKKILLVLDDVWIEDATKWEQLKNSLKYCSLGSRILITTRKNKVAIIMGTTTENLYPLHTLSQEECWSLLSHRAFYGRTREECENLEDISKKIAVKCQGLPLAAKILGGLLRFKRSREQWQSVLDCEMLNLEEAERDLFPPLFLSYYELPMALKQCISYCAVFPKGKILNKDELIKLWMAQGYLKGAQCKEMETVGEEYFDELMMRSFLQDFKRVVELDSGIMECKMHAIVHDFVQLLTKTECLILVNDDFEELRVDAFCEDARHVTLIRKEAIPTDPNINHFKKLRSLFIDSSNHDTSSLSTSLPKLFDQLDCLRTLNLSNSMFGNSIKELPDQIGKLVHLRYLDLKHNRKLKKLPESVCELCNLQTLNLTWCKSLKELPCGIGKLINLRHLENEKTDLSLMAMPKGIGRLTCLQTLRVFVAMDGGINGKASTLGDLRNLMHLQGHLKISGLGDVWDVTEAKRAELQNKKGLRGLILDFTNSKGLMSKRLPRRDDELLLEALQPPLSLEKLEIWGYSSTTSFPNWMMGLTKLRHVSLGFCCHLNFLPPLGKLPSLESLYIGEMRRVEKVGVEFLGVGEGTLALSPSSESSSSSIIAFPSLKHLEFRNMEEWDCWISFTSTGADHICIMPRLCSLTIDSCPKLRALPWYILQNTSLEQLDISQSPFLSERCRKETGEDWLHISHIPSIIIDGLCMQVNCSSFEEFGVLQLQPLHSSLLSSNDFLKVFEQN >EOY26763 pep chromosome:Theobroma_cacao_20110822:6:17738940:17743882:-1 gene:TCM_028726 transcript:EOY26763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 1 MAKSIVCAVLEKLGSLISEEIKQNVGLALGLEEGIQKMTSNFEDIKSVLQDAETKQVKDANVRHWLKKLKDVAYDVDDVLDEWNTAKLKSQIEKQVKDVDNAPLLKKIRNSISSFTSQSTIFYNIAWKIRDLNERLDCIATDKDRYNFGLETAVEEPKRQITASFLDEEEVYGRSQETTILVNMLVGEKSNGGESSLHVISIVGMGGIGKTTLAQLVYNHNEVECHFDKRIWICVSDPFDEIRIAKEILEAFKGETPNMVGKDNILRQIRSYVLEKKILLVLDDVWIEDATKWEQLKNSLKYCSLGSRILITTRKNKVAIIMGTTTENLYPLHTLSQEECWSLLSHRAFYGRTREECENLEDISKKIAVKCQGLPLAAKILGGLLRFKRSREQWQSVLDCEMLNLEEAERDLFPPLFLSYYELPMALKQCISYCAVFPKGKILNKDELIKLWMAQGYLKGAQCKEMETVGEEYFDELMMRSFLQDFKRVVELDSGIMECKMHAIVHDFVQLLTKTECLILVNDDFEELRVDAFCEDARHVTLIRKEAIPTDPNINHFKKLRSLFIDSSNHDTSSLSTSLPKLFDQLDCLRTLNLSNSMFGNSIKELPDQIGKLVHLRYLDLKHNRKLKKLPESVCELCNLQTLNLTWCKSLKELPCGIGKLINLRHLENEKTDLSLMAMPKGIGRLTCLQTLRVFVAMDGGINGKASTLGDLRNLMHLQGHLKISGLGDVWDVTEAKRAELQNKKGLRGLILDFTNSKGLMSKRLPRRDDELLLEALQPPLSLEKLEIWGYSSTTSFPNWMMGLTKLRHVSLGFCCHLNFLPPLGKLPSLESLYIGEMRRVEKVGVEFLGVGEGTLALSPSSESSSSSIIAFPSLKHLEFRNMEEWDCWISFTSTGADHICIMPRLCSLTIDSCPKLRALPWYILQNTSLEQLDISQSPFLSERCRKETGEDWLHISHIPSIIIDGLCMQVNCSSFEEFGVLQLQPLHSSLLSSNDFLKVFEQN >EOY26766 pep chromosome:Theobroma_cacao_20110822:6:17739380:17743882:-1 gene:TCM_028726 transcript:EOY26766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 1 MAKSIVCAVLEKLGSLISEEIKQNVGLALGLEEGIQKMTSNFEDIKSVLQDAETKQVKDANVRHWLKKLKDVAYDVDDVLDEWNTAKLKSQIEKQVKDVDNAPLLKKIRNSISSFTSQSTIFYNIAWKIRDLNERLDCIATDKDRYNFGLETAVEEPKRQITASFLDEEEVYGRSQETTILVNMLVGEKSNGGESSLHVISIVGMGGIGKTTLAQLVYNHNEVECHFDKRIWICVSDPFDEIRIAKEILEAFKGETPNMVGKDNILRQIRSYVLEKKILLVLDDVWIEDATKWEQLKNSLKYCSLGSRILITTRKNKVAIIMGTTTENLYPLHTLSQEECWSLLSHRAFYGRTREECENLEDISKKIAVKCQGLPLAAKILGGLLRFKRSREQWQSVLDCEMLNLEEAERDLFPPLFLSYYELPMALKQCISYCAVFPKGKILNKDELIKLWMAQGYLKGAQCKEMETVGEEYFDELMMRSFLQDFKRVVELDSGIMECKMHAIVHDFVQLLTKTECLILVNDDFEELRVDAFCEDARHVTLIRKEAIPTDPNINHFKKLRSLFIDSSNHDTSSLSTSLPKLFDQLDCLRTLNLSNSMFGNSIKELPDQIGKLVHLRYLDLKHNRKLKKLPESVCELCNLQTLNLTWCKSLKELPCGIGKLINLRHLENEKTDLSLMAMPKGIGRLTCLQTLRVFVAMDGGINGKASTLGDLRNLMHLQGHLKISGLGDVWDVTEAKRAELQNKKGLRGLILDFTNSKGLMSKRLPRRDDELLLEALQPPLSLEKLEIWGYSSTTSFPNWMMGLTKLRHVSLGFCCHLNFLPPLGKLPSLESLYIGEMRRVEKVGVEFLGVGEGTLALSPSSESSSSSIIAFPSLKHLEFRNMEEWDCWISFTSTGADHICIMPRLCSLTIDSCPKLRALPWYILQNTSLEQLDISQSPFLSERCRKETGEDWLHISHIPSIIIDGLCMQVNCSSFEEFGVLQLQPLHSSLLSSNDFLKVFEQN >EOY26853 pep chromosome:Theobroma_cacao_20110822:6:18754204:18758902:-1 gene:TCM_028833 transcript:EOY26853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTRHSQSHSHSHSHSHSPKTPTKMLDRALSSRRTQPHSDFDFPATAAPTAVSSDLESSSLLADESKTKKQHLYLLATNYISRLGLVKSPCLCLSLCLLFVLFMLFSLMLNSRSFVCVSSYDPISRASFFGLDGLDSDFGSLGVPWCRSKHGKTVEWTSKDLIKGLEEFVPVYETRPIKNNMYGMGFDHSFGLWFIARWLKPDIMIESGAFKGHSTWVLRQAMPDRPIISLTPRHPEKYLKKGPAYVDGNCTYFAGKDFVDFGSVDWDRVLKNHGISDFSRVLVFFDDHQNELKRLKQALKAGFHHLVFEDNYDTGTGDHYSLRQICDQFYIRGGGHSCFRDSDEARIRSKRKKFWEKAVDIDELCGPHEAWWGVSGEMRDNFNHNKTAISYGEHFQNSRFVESILDVYWELPPAAGPSLTHQTRYDPARAPTPIVEDGRYGLFQRLGLGRLERSVFNGYTQMVYLRISKPES >EOY26048 pep chromosome:Theobroma_cacao_20110822:6:3805401:3808980:-1 gene:TCM_027428 transcript:EOY26048 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 25 MEEQAENQRISKKNGTRNIIFRKYEMGRVLGQGTFAKVYYGKNISTQESVAIKVTNKDQVKKEGFMEQIKREIAIMRLVRHPNVVELKEVMATKARIFFVMEYVKGGELFAKVAKGKLKEDSARKYFQQLVSAVDFCHSRGVFHRDLKPENLLLDENENLKVTDFGLSALPEQLRNDGLLHTQCGTPAYVAPEVLRKKGYDGAKADIWSCGVILFVLLTGYLPFQAENVMKMYRKVFKAEYEFPPWISNDARRLISKLLVADPEKRITIPGIMRNPWFRKGFTKPVVVSTEESSAEIGDKRDIESSGVLEMATTKSSPPFYNAFELISSMSSGFDLSNLFESKTKPASLFTSKCSASAIMARLESMAKKLNFRVLTMKEFKVKMQGKAEGRKGKLAVTAEVFEVAPEVAVVEFSKSAGDTLEYNRFCEEDMRPALRDIVWSWQGENNCQ >EOY28248 pep chromosome:Theobroma_cacao_20110822:6:24727071:24730398:1 gene:TCM_029873 transcript:EOY28248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF2921) [Source:Projected from Arabidopsis thaliana (AT4G21700) TAIR;Acc:AT4G21700] MKPPKPPRFYPKNPSKICDFPSSSFCLFLAFFFLLQIPKTASLFPTQAPPEYSKYCNDVVPESPVEPTTLFPSSTANNLDFRIGYFTGGDSFFFQSNIAADAPKAAAFYAQYFHNTLYNNTTQIYKIQGKLGLQIPRSFFVSSSNDSLLNPHRGLRRKFRIRGPRIPVIGRGTPSFSLSGYWSESAGRLCMVGSGVSNGNAGRYRTFNVVLKLNYSNNFNVFGSLISGVLECLDSEHSLSYFEPVSLLGVRRSFENYEFSLVENGKGSSCLSEVEGEGENLDVSENDGGVCSAIVERTIRFELDYGKDCDKASCASVFKDVKYVPSFMFFRQLKCVDKGKMQILLGFHNSSRMHTLFPFDPNTTLIGEGTWDEKKNKVCGIACRVLNFRDSLTRAFVGDCSIKFSLRYPKVLSLRNRYSLVGKLWSDKSEDDPSYFGMIRFRSIWEVSPGFMSVLGLKYEYTEVDSARRSCASKNIAKHKGKTYPDGDSIDMRFDMLVTDSKGESAWGFGNPLFVDDQLYKHQRYGPLPLAVHLSNNDSRLLNISYQISYTYQSSNAPALSRVVEISAEGIYDRDTGVLCMVGCKHVRYYNQILIENGLLDCDVVVTVQFSPVNAAEIYRVKGTIESTRAKSDPLYFEPINLSSKSFYTRQAKESIWRIDLEITMVLISNTLACIFVGLQLFHVKKHPEVLPFISVVMLIVLTLGHMIPLLLNFEALFVTNRNQQNAFLESGGWLEVNEIIVRAVTMVAFLLQFRLLQLTWSVRQGNESQKGLWDAEKKVLLVSLPLYVSGGLIAWLVHQWKNSRQSPFLQPHRNGLHMTLQQHFYQQYSFWSDLKSYGGLVFDGFLLPQVVFNVLSKSNEKALAASFYIGTTMVHLLPHAYDLYRAHSSSGYLGLSYIYANHKMDFFSTAWDIIIPCGGLLFAIFIFLQQRYGGHCFLPKRFREDAVYEKVPVEIGVELQGESVQKNFYSL >EOY27865 pep chromosome:Theobroma_cacao_20110822:6:23349350:23354522:-1 gene:TCM_029591 transcript:EOY27865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein isoform 2 MLNLPFFTATSTASMALLQCSPQMKPPSSLVISNTLLPHSPFFSFLSWGYYIILFLVCLLTTEMEEVVSVFPTRSRNYSLQTTRSWEFVGLNEEEGLSSGHESNMGREDLLAKASYGKDVIIGVLDSGVWPESASFSDEGMEPIPESKSWKGICQEGVAFNSSHCNRKIIGARYYVKGFEHEKGTVNATEDYLSPRDMDGHGSHTASTAAGRQVPDVAALGGLARGTASGGAPLARLAIYKVCWAIPNQSKADGNLCMFEDILAGIDDAIADGVDIISISIGTSDPVPYEEDYLAVGALHAAKRNILVVCSAGNNGPAPGTLSNPAPWLMTVGASSLDRAFLAPVMLGNGREIMGQTVAPDKLENEMYPLVYAGDAVFPDVPQNSTGQCLPGSLNPDMVKGKIVVCMRGAGRRLDKGLEVKRAGGVGLILGNAEANGNRLSCDPHFLPASAVSYNDATKILEYIRSTENPMATISPAQTVMHYKPAPFMAGFTSQGPNVIDLNILKPDITAPGIQILAAWSEASSPTKLEYDHRIVKYNFDSGTSMACPHVSGAAALLKAIHPDWSVAAIRSALMTTARITNNLDQLIRDEVGNTATPFQYGAGHFQPIKAADPGLIYDASYDDYLLYLCSLGLNKLDSTFKCPEDPPSPVNLNYPSFAIPNLNGTVTITRTVTNVGSSNSKYYFSVKPPPGVHVKASPSILFFDHIGQKQSFSITVSPKDFGPIAKRSAYGFGFYTWTDGFYRVRSPMAVYLP >EOY27864 pep chromosome:Theobroma_cacao_20110822:6:23349015:23355024:-1 gene:TCM_029591 transcript:EOY27864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein isoform 2 METIYRFFLPLIFFPLLASCLEKQVYIVHFGEHSGEKGLHEIEETHQSYLYSVKETKEDAQSSLLYSYKHSINGFAAVLTPDEASKLSEMEEVVSVFPTRSRNYSLQTTRSWEFVGLNEEEGLSSGHESNMGREDLLAKASYGKDVIIGVLDSGVWPESASFSDEGMEPIPESKSWKGICQEGVAFNSSHCNRKIIGARYYVKGFEHEKGTVNATEDYLSPRDMDGHGSHTASTAAGRQVPDVAALGGLARGTASGGAPLARLAIYKVCWAIPNQSKADGNLCMFEDILAGIDDAIADGVDIISISIGTSDPVPYEEDYLAVGALHAAKRNILVVCSAGNNGPAPGTLSNPAPWLMTVGASSLDRAFLAPVMLGNGREIMGQTVAPDKLENEMYPLVYAGDAVFPDVPQNSTGQCLPGSLNPDMVKGKIVVCMRGAGRRLDKGLEVKRAGGVGLILGNAEANGNRLSCDPHFLPASAVSYNDATKILEYIRSTENPMATISPAQTVMHYKPAPFMAGFTSQGPNVIDLNILKPDITAPGIQILAAWSEASSPTKLEYDHRIVKYNFDSGTSMACPHVSGAAALLKAIHPDWSVAAIRSALMTTARITNNLDQLIRDEVGNTATPFQYGAGHFQPIKAADPGLIYDASYDDYLLYLCSLGLNKLDSTFKCPEDPPSPVNLNYPSFAIPNLNGTVTITRTVTNVGSSNSKYYFSVKPPPGVHVKASPSILFFDHIGQKQSFSITVSPKDFGPIAKRSAYGFGFYTWTDGFYRVRSPMAVYLP >EOY27366 pep chromosome:Theobroma_cacao_20110822:6:21433454:21437046:-1 gene:TCM_029229 transcript:EOY27366 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase 5 MARLSFLFFILFLHIALTATRCRGKSQLPEKHARAAVPFFLFGDSFLDVGNNNYINTSTLDQANFWPYGETYFKFPTGRFSDGRLVSDFIAKHANLPLIPPFLQPGFRQYYLGVNFASAGAGALAETFQGFVIDLKTQLSYYSKVESWLRQKLGNDEAKMTISRAVYLFSIGSNDYMSPFLTNSTILNNYPDSTYVGMVIGNLTTTIKEIYTRGGRKFAFINLPDLGCVPGMRIIKSENNGSCLEEVTSLANLHNKALSKLLFDLEKRLKGFKYSLFDFNSNLRQRMHHPSKYGFKEGEAACCGTGQYRGVFSCGGKRKVKEFQLCKDPNDYVFWDSFHLTEKIYKQLADQMWSGTSNSRDVGPYNLRKLFRIN >EOY26451 pep chromosome:Theobroma_cacao_20110822:6:10962966:10965343:1 gene:TCM_028107 transcript:EOY26451 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MLSSPSVSFGSKVLHSWGMWYLRRGYELIQRKLKQWKNGQGQHQLRRLEALWVGGVLMQHGKVIAYASRQLKRHEQNYPILDLEMAVIVFALKIWRHYLYGETCEIYTDHKSLKYIFQQRDFNLRQRRWMELLKDYDCTILYHPGKANVVADALSRKSMGSLAHISIGRRSLVREIHSLGDIGVRLEVAETNALLAHFRVRPILMDRIKEAQSKDEFVIKALEDPRGRKGKMFTKGTDGVLRYGTRLYVPDGDGLRREILEEAHMAAYVVHPGATKMYQDLKEVYWWEGLKRDVAEFVSKCLVCQQVKAEHQKPAGLLQPLPVPEWKWEHIAMDFVTGLPRTSGGYDSIWIVVDQLTKSAHFLPVKTTYGAAHYARVYVDEIVRLHGIPISIVSDRGAQFTSRFWGKLQEALGTKLDFSTAFHPQTDGQSERTIQTLEDMLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWLEVGERKLLGPELVQDATEKIHMIRQRMLTAQSRQKSYADNRRRDLEFQVGDHVFLKFSPTKGVMRFGKKGKLSPRYIGPFKILEKVGAVAYRLALPPDLSNIHPVFHVSMLRKYNLDPSHVIRYETIQLQDDLSYEEQPVAILDRQVKKLRSKDVASVKVLWRNHTSEEVTWEAEDEMRTKHPHLFDM >EOY28082 pep chromosome:Theobroma_cacao_20110822:6:24185810:24191085:-1 gene:TCM_029758 transcript:EOY28082 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 9, putative isoform 1 MGSGNLLKTIIKKVKNDSPKQVKGSSASTKSNGFKWKKHQRKSSTKTSFTSGNANTLGMPIEDLAAIRIQTAFRAYRARKSLRRLKGTVRLQAKTQTYSIEKQATTTLNYLHSWSNIQAQIRARRLCMVTEGHLRQKKIANQLKLEAKLHDLEVEWSGGPETMETILTKIHQREEAAVKRERTMAYAFSHQWRAPNSKNNGLGNYELAKANWGWSWVERWIAVRPWESRLSIQSITPKKVQNTQISKSKAGKNSNSPKPKASASVKPPSFPNGKGALKPRRLSYPGAEKPTTRRESSKAEEVNNKKEETVTW >EOY28081 pep chromosome:Theobroma_cacao_20110822:6:24185810:24191355:-1 gene:TCM_029758 transcript:EOY28081 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 9, putative isoform 1 MGSGNLLKTIIKKVKNDSPKQVKGSSASTKSNGFKWKKHQRKSSTKTSFTSGNANTLGMPIEDLAAIRIQTAFRAYRARKSLRRLKGTVRLQAKTQTYSIEKQATTTLNYLHSWSNIQAQIRARRLCMVTEGHLRQKKIANQLKLEAKLHDLEVEWSGGPETMETILTKIHQREEAAVKRERTMAYAFSHQWRAPNSKNNGLGNYELAKANWGWSWVERWIAVRPWESRLSIQSITPKKVQNTQISKSKAGKNSNSPKPKASASVKPPSFPNGKGALKPRRLSYPGAEKPTTRRESSKAEEVNNKKEETVTW >EOY28080 pep chromosome:Theobroma_cacao_20110822:6:24185827:24191288:-1 gene:TCM_029758 transcript:EOY28080 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 9, putative isoform 1 MGSGNLLKTIIKKVKNDSPKQVKGSSASTKSNGFKWKKHQRKSSTKTSFTSGNANTLGMPIEDLAAIRIQTAFRAYRARKSLRRLKGTVRLQAKTQTYSIEKQATTTLNYLHSWSNIQAQIRARRLCMVTEGHLRQKKIANQLKLEAKLHDLEVEWSGGPETMETILTKIHQREEAAVKRERTMAYAFSHQWRAPNSKNNGLGNYELAKANWGWSWVERWIAVRPWESRLSIQSITPKKVQNTQISKSKAGKNSNSPKPKASASVKPPSFPNGKGALKPRRLSYPGAEKPTTRRESSKAEEVNNKKEETVTW >EOY28084 pep chromosome:Theobroma_cacao_20110822:6:24185818:24191459:-1 gene:TCM_029758 transcript:EOY28084 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 9, putative isoform 1 MGSGNLLKTIIKKVKNDSPKQVKGSSASTKSNGFKWKKHQRKSSTKTSFTSGNANTLGMPIEDLAAIRIQTAFRAYRARKSLRRLKGTVRLQAKTQTYSIEKQATTTLNYLHSWSNIQAQIRARRLCMVTEGHLRQKKIANQLKLEAKLHDLEVEWSGGPETMETILTKIHQREEAAVKRERTMAYAFSHQWRAPNSKNNGLGNYELAKANWGWSWVERWIAVRPWESRLSIQSITPKKVQNTQISKSKAGKNSNSPKPKASASVKPPSFPNGKGALKPRRLSYPGAEKPTTRRESSKAEEVNNKKEETVTW >EOY28083 pep chromosome:Theobroma_cacao_20110822:6:24185818:24191205:-1 gene:TCM_029758 transcript:EOY28083 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 9, putative isoform 1 MGSGNLLKTIIKKVKNDSPKQVKGSSASTKSNGFKWKKHQRKSSTKTSFTSGNANTLGMPIEDLAAIRIQTAFRAYRARKSLRRLKGTVRLQAKTQTYSIEKQATTTLNYLHSWSNIQAQIRARRLCMVTEGHLRQKKIANQLKLEAKLHDLEVEWSGGPETMETILTKIHQREEAAVKRERTMAYAFSHQWRAPNSKNNGLGNYELAKANWGWSWVERWIAVRPWESRLSIQSITPKKVQNTQISKSKAGKNSNSPKPKASASVKPPSFPNGKGALKPRRLSYPGAEKPTTRRESSKAEEVNNKKEETVTW >EOY27633 pep chromosome:Theobroma_cacao_20110822:6:22576822:22578045:1 gene:TCM_029429 transcript:EOY27633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSGMRYLQTCEKAPALVISHKKSSTSPRLETIVEEGSELNFEFGQKMVFFLLPVFLSVISYILLYRDIA >EOY27996 pep chromosome:Theobroma_cacao_20110822:6:23891851:23896592:1 gene:TCM_029695 transcript:EOY27996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factors isoform 1 MGDLTDSLTPSTTPTPLSTTTHSRPLPVREDCWSEEATSTLVDAWGRRYLELNRGNLRQKDWQDVADAVNALHGHTKKTHRTDVQCKNRIDTIKKKYKIEKARVTSSNGTLTSSWPFFERLDALIGSNFSAKKPSPSPKISPKPSPRLSPRIPGSPPVALPLPMPYRRTPASATVVALPQKRPADDGYFRRNYSAVAAAAAAAAAETDEEEGEESEAEESEGEGEEREGMSRLARAIERFGEVYERVEGEKLRQMVELEKQRMQFAKDLEVQRMRMFMDTQVQLERIKRGKRSSGSSDIYS >EOY27995 pep chromosome:Theobroma_cacao_20110822:6:23891851:23896592:1 gene:TCM_029695 transcript:EOY27995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factors isoform 1 MGDLTDSLTPSTTPTPLSTTTHSRPLPVREDCWSEEATSTLVDAWGRRYLELNRGNLRQKDWQDVADAVNALHGHTKKTHRTDVQCKNRIDTIKKKYKIEKARVTSSNGTLTSSWPFFERLDALIGSNFSAKKPSPSPKISPKPSPRLSPRIPGSPPVALPLPMPYRRTPASATVVALPQKRPADDGYFRRNYSAVAAAAAAAAAETDEEEGEESEAEESEGEGEEREGMSRLARAIERFGEVYERVEGEKLRQMVELEKQRMQFAKDLEVQRMRMFMDTQVQLERIKRGKRSSGSSGIYVSQVEAIGVNLGFWIFKTFIASHQGKSFDCFLRGKNMKNIDFYSHPLKVHTVLADTGL >EOY25628 pep chromosome:Theobroma_cacao_20110822:6:710337:716400:1 gene:TCM_027002 transcript:EOY25628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein isoform 1 MDGLSYYCERCEFWLHNSCVHQQLPLQISAHPLHSQHNLSLLWSNHIDYVCAKCFNLSRGHRYHCKDCDFSLEYQCAFSTNDEKNRLSDETSKKIGRFNKSLALFNYRRVRKYEHICSWCENHLSGMSYGCLNDGVYIWFHESCLINMPSIIFKHPFHPLHPLPLSNIHFDNELCNACNLPIWEFRKAYCCRKCKFLLHVHCAKLRPSLKVELHEHDLTYFRIKANIATHLCRICGFNFDALGRESAFYRCVQCNFNYHFNCLTISHSTSHKYHRHDLVLMDSFIEDVSEEYYCDICEEERKPKHSVYCCKKCKFIAHIECALKKVVDIKLDQCLTSSLLDSEASSLKVQIEHFDHQHALSYNESIEQSESLLCNACHQEVFDQHYACEDCKYNLHEKCTTLPYEVSHPLHCQHPLKLFTDIVEFTCHACRDHSGGFAYMCLPCDFQLDVKCATSPIPPKNEGQKLKEMEKVFKLCPFNQNHKLDFFNRRSNLKDLAVECNACKLPILGPGYTCRDCFNIKIHESCLALMREMQLNFHPLHPLDPQIGDWENCSACRFKIIESIDYSCRQCDFHLHLHCANSLKLALKIKSHMHNLYYFGPDYEKSYQLCNKCKSYIGKEPFYYCVECNMNLHLKCVPIPCSVKSKCHMHRLTLKDHFVEDDSGEYYCDICEEERNSKNHCYYCEECAGQFVAHIECALLTDFEFTDENFHEFFNLKDVDSPIESSSTDELLSQPHAEVYLNQNRMKYWVDKKLNKYCFMLFARDLSITWAENHRYWRWSYQRETNSDVLIDVAELLDVCWLEMNVKFNVKKLSPKTLYGLVFVLMLTKEARGWETPVNFGFTLPNGYKVERKETLKSKPRGVWIEIPVGEFTTSSEIVGELDIYCHEYATGNWKRGLIVKGVTILPKN >EOY25630 pep chromosome:Theobroma_cacao_20110822:6:710437:716324:1 gene:TCM_027002 transcript:EOY25630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein isoform 1 MDIKPYLHEHHLLYDDYSESKALCNICNKKMDGLSYYCERCEFWLHNSCVHQQLPLQISAHPLHSQHNLSLLWSNHIDYVCAKCFNLSRGHRYHCKDCDFSLEYQCAFSTNDEKNRLSDETSKKIGRFNKSLALFNYRRVRKYEHICSWCENHLSGMSYGCLNDGVYIWFHESCLINMPSIIFKHPFHPLHPLPLSNIHFDNELCNACNLPIWEFRKAYCCRKCKFLLHVHCAKLRPSLKVELHEHDLTYFRIKANIATHLCRICGFNFDALGRESAFYRCVQCNFNYHFNCLTISHSTSHKYHRHDLVLMDSFIEDVSEEYYCDICEEERKPKHSVYCCKKCKFIAHIECALKKVVDIKLDQCLTSSLLDSEASSLKIEHFDHQHALSYNESIEQSESLLCNACHQEVFDQHYACEDCKYNLHEKCTTLPYEVSHPLHCQHPLKLFTDIVEFTCHACRDHSGGFAYMCLPCDFQLDVKCATSPIPPKNEGQKLKEMEKVFKLCPFNQNHKLDFFNRRSNLKDLAVECNACKLPILGPGYTCRDCFNIKIHESCLALMREMQLNFHPLHPLDPQIGDWENCSACRFKIIESIDYSCRQCDFHLHLHCANSLKLALKIKSHMHNLYYFGPDYEKSYQLCNKCKSYIGKEPFYYCVECNMNLHLKCVPIPCSVKSKCHMHRLTLKDHFVEDDSGEYYCDICEEERNSKNHCYYCEECAGQFVAHIECALLTDFEFTDENFHEFFNLKDVDSPIESSSTDELLSQPHAEVYLNQNRMKYWVDKKLNKYCFMLFARDLSITWAENHRYWRWSYQRETNSDVLIDVAELLDVCWLEMNVKFNVKKLSPKTLYGLVFVLMLTKEARGWETPVNFGFTLPNGYKVERKETLKSKPRGVWIEIPVGEFTTSSEIVGELDIYCHEYATGNWKRGLIVKGVTILPKN >EOY25629 pep chromosome:Theobroma_cacao_20110822:6:704908:806207:1 gene:TCM_027002 transcript:EOY25629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein isoform 1 MDIKPYLHEHHLLYDDYSESKALCNICNKKMDGLSYYCERCEFWLHNSCVHQQLPLQISAHPLHSQHNLSLLWICGFNFDALGRESAFYRCVQCNFNYHFNCLTISHSTSHKYHRHDLVLMDSFIEDVSEEYYCDICEEERKPKHSVYCCKKCKFIAHIECALKKVVDIKLDQCLTSSLLDSEASSLKVQIEHFDHQHALSYNESIEQSESLLCNACHQEVFDQHYACEDCKYNLHEKCTTLPYEVSHPLHCQHPLKLFTDIVEFTCHACRDHSGGFAYMCLPCDFQLDVKCATSPIPPKNEGQKLKEMEKVFKLCPFNQNHKLDFFNRRSNLKDLAVECNACKLPILGPGYTCRDCFNIKIHESCLALMREMQLNFHPLHPLDPQIGDWENCSACRFKIIESIDYSCRQCDFHLHLHCANSLKLALKIKSHMHNLYYFGPDYEKSYQLCNKCKSYIGKEPFYYCVECNMNLHLKCVPIPCSVKSKCHMHRLTLKDHFVEDDSGEYYCDICEEERNSKNHCYYCEECAGQFVAHIECALLTDFEFTDENFHEFFNLKDVDSPIESSSTDELLSQPHAEVYLNQNRMKYWVDKKLNKYCFMLFARDLSITWAENHRYWRWSYQRETNSDVLIDVAELLDVCWLEMNVKFNVKKLSPKTLYGLVFVLMLTKEARGWETPVNFGFTLPNGYKVERKETLKSKPRGVWIEIPVGEFTTSSEIVGELDIYCHEYATGNWKRGLIVKGVTILPKN >EOY29001 pep chromosome:Theobroma_cacao_20110822:6:27077257:27093291:1 gene:TCM_030447 transcript:EOY29001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Androgen induced inhibitor of proliferation / pds5 isoform 2 MAQKLEQQLKEVGSKLESPPSTKDALLKLLKQAATCLSELDQSPPSSIMESMQPFLNAIVKPELLKHQDRDAKLLVATCICEITRITAPEAPYSDDVLKDIFHLIVGTFHGLSDTSGPSFGRRVVILETLAKYRSCVVMLDLECDDLVNEMFSTFFAVVRDDHPESVLSSMQTIMIVVLEESEDIRDDLLLIILSALGRNKSDVTPAARRLAMNVIEQCSGKLEAGIKQFLISLMSGDNQSVNSEIDYHEVIYDVYCCAPQILSGVVPYLTGELLTDQLDTRLRAVGLVGDLFALPGSTISEAFQPIFSEFLKRLTDRVVSVRMSVLEHVKSCLLSYPSRSEAPEIISALCDRLLDYDENVRKQVVAVICDVACHSLVSIPIETVKLVAERLRDKSKLVKKYTMERLAEIFRVYCASCSDGSINPDEFDWIPGRILRCFYDKDFRSETIESVLCGFLFPTEFSIRDKVKCWIRVFSGFDKIEVKALERMLEQKQRLQQEMQKYLSLRQMHQDSDAPEIQKKVLFGFRIMSRPFSDPVKAEECFQILDQLKDANIWKILMNLLDPNTSFHQASSGRDDLLKILGEKHRLYDFLSTLSLKCSYLLFNKEHVKEILLEAAVQKSTGNTQYTQSCMNLLVILARFCPLLLGGAEEELVNFLKDDNEIIIEGILHVLAKAGGTIREQLAVLSSSIDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKTHLPAVLQSLGCIAQTAMPVFETRESEIEEFIKSKILRCSNKADGSAKECWDDKSEICLLKVFGIKTLVKSYLPVKDAHLRPGIDDLLVLLGNILSFGEISEDIESSSVDKAHLRLAAAKAVLRLSRTWDHKIPLDVFHLTLRTPEISFPQARKLFLSKVHQYIKDRLLDAKYACAFLFSITGSKLLECDEEKQNLADIFQMCQQAKARQVAIQADTNSSTTYPEYILPYLVHALAHHSCPNTDECKDVKAFELIYRQLYMTIFMLVNKDEDTKSEAGANKEKESISMIFSIFQSIKRSEDLLDATKSKNSHAICDLGLSVMKRLAYKEEDLQGLIQSVSLPPLLYKPYEKKEGEDSQAGEGQTWLADENILSHFESLKLECDGTAHMEIAEDESLKDSEIDGNEVPLRKMIKRLKSKGAKDGKAKKNKSPSAEAKDAENDVDILKMVREINLDSLVMPSKFESSNGHKHFPTKKAKLEQEHQKGKKRKITGADSVPVPKRRRSLPAHGAFKISRSASTVPSRDSGDDWHQVKDSSFQSTEMKVVELHDSKDKMPTHQKLNENTESDYLVSCIRRKRSVSSKGKGKGSDWVHSDEENEDGADDENVEKLGTTIGTKSVAGSSKKQKRRSISGLAKCSTKEGGIDIADLIGHRIKVWWPMDKQFYAGTVKSYDPIKRKHVVVLYDDGDVEVLRLERERWELIDTGRKSGKKANSMKGSKGARKELSPGQKSKSSGGSRQNKSSLKIVKGKRTPKKNLKHPLRGALNSNFTEADAEEKTDASKSKPTAVNKIHKINSGDSEGAHTEMVDENLTDREESEKEVASVSQERCSEDMKGSPNQAEQSDEVKSDADGNLSEDVDSISGKAQKGEEEEKSHSEEKVAGDSTEDLREDASKATDTEPKETQESDNSESRSPILKKFRKGSSMLSDTVDSGISDDEPLSKWKRKAGKSGSKRVQ >EOY29000 pep chromosome:Theobroma_cacao_20110822:6:27076984:27093111:1 gene:TCM_030447 transcript:EOY29000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Androgen induced inhibitor of proliferation / pds5 isoform 2 MAQKLEQQLKEVGSKLESPPSTKDALLKLLKQAATCLSELDQSPPSSIMESMQPFLNAIVKPELLKHQDRDAKLLVATCICEITRITAPEAPYSDDVLKDIFHLIVGTFHGLSDTSGPSFGRRVVILETLAKYRSCVVMLDLECDDLVNEMFSTFFAVVRDDHPESVLSSMQTIMIVVLEESEDIRDDLLLIILSALGRNKSDVTPAARRLAMNVIEQCSGKLEAGIKQFLISLMSGDNQSVNSEIDYHEVIYDVYCCAPQILSGVVPYLTGELLTDQLDTRLRAVGLVGDLFALPGSTISEAFQPIFSEFLKRLTDRVVSVRMSVLEHVKSCLLSYPSRSEAPEIISALCDRLLDYDENVRKQVVAVICDVACHSLVSIPIETVKLVAERLRDKSKLVKKYTMERLAEIFRVYCASCSDGSINPDEFDWIPGRILRCFYDKDFRSETIESVLCGFLFPTEFSIRDKVKCWIRVFSGFDKIEVKALERMLEQKQRLQQEMQKYLSLRQMHQDSDAPEIQKKVLFGFRIMSRPFSDPVKAEECFQILDQLKDANIWKILMNLLDPNTSFHQASSGRDDLLKILGEKHRLYDFLSTLSLKCSYLLFNKEHVKEILLEAAVQKSTGNTQYTQSCMNLLVILARFCPLLLGGAEEELVNFLKDDNEIIIEGILHVLAKAGGTIREQLAVLSSSIDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKTHLPAVLQSLGCIAQTAMPVFETRESEIEEFIKSKILRCSNKADGSAKECWDDKSEICLLKVFGIKTLVKSYLPVKDAHLRPGIDDLLVLLGNILSFGEISEDIESSSVDKAHLRLAAAKAVLRLSRTWDHKIPLDVFHLTLRTPEISFPQARKLFLSKVHQYIKDRLLDAKYACAFLFSITGSKLLECDEEKQNLADIFQMCQQAKARQVAIQADTNSSTTYPEYILPYLVHALAHHSCPNTDECKDVKAFELIYRQLYMTIFMLVNKDEDTKSEAGANKEKESISMIFSIFQSIKRSEDLLDATKSKNSHAICDLGLSVMKRLAYKEEDLQGLIQSVSLPPLLYKPYEKKEGEDSQAGEGQTWLADENILSHFESLKLECDGTAHMEIAEDESLKDSEIDGNEVPLRKMIKRLKSKGAKDGKAKKNKSPSAEAKDAENDVDILKMVREINLDSLVMPSKFESSNGHKHFPTKKAKLEQEHQKGKKRKITGADSVPVPKRRRSLPAHGAFKISRSASTVPSRDSGDDWHQVKDSSFQSTEMKVVELHDSKDKMPTHQKLNENTESDYLVSCIRRKRSVSSKGKGKGSDWVHSDEENEDGADDENVEKLGTTIGTKSVAGSSKKQKRRSISGLAKCSTKEGGIDIADLIGHRIKVWWPMDKQFYAGTVKSYDPIKRKHVVLYDDGDVEVLRLERERWELIDTGRKSGKKANSMKGSKGARKELSPGQKSKSSGGSRQNKSSLKIVKGKRTPKKNLKHPLRGALNSNFTEADAEEKTDASKSKPTAVNKIHKINSGDSEGAHTEMVDENLTDREESEKEVASVSQERCSEDMKGSPNQAEQSDEVKSDADGNLSEDVDSISGKAQKGEEEEKSHSEEKVAGDSTEDLREDASKATDTEPKETQESDNSESRSPILKKFRKGSSMLSDTVDSGISDDEPLSKWKRKAGKSGSKRVQ >EOY26614 pep chromosome:Theobroma_cacao_20110822:6:15315020:15322475:1 gene:TCM_028484 transcript:EOY26614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 12 isoform 3 MEGNDLYQVRSNSLRGNSPSKWRDAGVNVFSRSFREEDDEEALKWAAIERLPTFSRVRKGLLTTSNGETSEVDIPKLGYRERKSLIDRLIKDTEEDNESFLLRLRQRLDRVGIEIPTLEVRFEHLRVEAEAYIGSRALPSFFNFFINKLESILQHLHLLSSRKKTLSILRDVSGIIRPSRMTLLLGPPNSGKTTLLLALAGKLGRDLKFSGRVTYDGHEMNEFVAQRTAAYIGQYDVHIPELTVRETLAFSARCQGVGPRYEMLAELARREKAANIKPDPDIDIFMKAASIEGQETSVIADYIIKVLGLDNCADTLVGDEMFRGISGGQRKRVTTGEMLAGPAKLLLMDEISTGLDSSTTYQIVKSLRQFIHILNGTAFISLLQPAPETYELFDDIILLSDGQIVYQGPREHVLEFFESMGFKCPARKGVADFLQEVTSRKDQRQYWMENDTAYTFVTVEEFAEAFQSFHVGKRLKINLATPFDKSLSNPTLLTTKIYGVKKMELLKACFSKELLLMKRNSFVYIFKLVQLVVMALVGSTLFLRTEMQKKTAVNGVVRMGALFFSVFMIMFNGLAELGLTLFKLPVFFKQRDNLFYPAWAYALPTWILKIPISIIEVGIWVAVTYYAMGLDPNIFRFLKQLLLLLLTSQMASALFRLISALGRDLTFTSTFASFSLLVLFANCGFVLSRDEVKKWWIWGYWISPMMYTQNAIAINEFLGESWKQVIPTTTESLGLIVLKARGLFTEAYWYWIGVGALVGFILVFNFFYTLALTYLNPLDESRGIKSEAQSIEDDDRRGGDAQLLAQRSNSELLRSEAESHTIRGRKKGMILPYQQHCITFEEITYAVDMPQEMKAQGVMEDRLVLLRGISGALRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIKISGFPKKQETFARISGYCEQNDIHSPNLTVYESLLYSAWLRLTPEVNSETRKMFVEEVMELIELTPLRQALVGLPGVSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPGIDIFEAFDELLLLKQGGQEIYVGPLGNNSCDLIKYFEGIEGVSKIKDGYNPATWMLELSTPAHEMALGVDFADLYKNSELHRRNKALIEQLNMPSPGSKELQFPTQYSQSFFTQVLICLCKQRWSYWRNTSYTAVRFFFTTVIALIFGTMFWNLGSKSARQQDLFNSAGSMYAAILFVGIQNASSIQPVASIERTVFYREKAAGMYSPMAYAFAQVLVELPYVFVQALTYGIIVYSMMAFEWTAAKFL >EOY26613 pep chromosome:Theobroma_cacao_20110822:6:15316789:15323069:1 gene:TCM_028484 transcript:EOY26613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 12 isoform 3 MENDTAYTFVTVEEFAEAFQSFHVGKRLKINLATPFDKSLSNPTLLTTKIYGVKKMELLKACFSKELLLMKRNSFVYIFKLVQLVVMALVGSTLFLRTEMQKKTAVNGVVRMGALFFSVFMIMFNGLAELGLTLFKLPVFFKQRDNLFYPAWAYALPTWILKIPISIIEVGIWVAVTYYAMGLDPNIFRFLKQLLLLLLTSQMASALFRLISALGRDLTFTSTFASFSLLVLFANCGFVLSRDEVKKWWIWGYWISPMMYTQNAIAINEFLGESWKQVIPTTTESLGLIVLKARGLFTEAYWYWIGVGALVGFILVFNFFYTLALTYLNPLDESRGIKSEAQSIEDDDRRGGDAQLLAQRSNSELLRSEAESHTIRGRKKGMILPYQQHCITFEEITYAVDMPQEMKAQGVMEDRLVLLRGISGALRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIKISGFPKKQETFARISGYCEQNDIHSPNLTVYESLLYSAWLRLTPEVNSETRKMFVEEVMELIELTPLRQALVGLPGVSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPGIDIFEAFDELLLLKQGGQEIYVGPLGNNSCDLIKYFEGIEGVSKIKDGYNPATWMLELSTPAHEMALGVDFADLYKNSELHRRNKALIEQLNMPSPGSKELQFPTQYSQSFFTQVLICLCKQRWSYWRNTSYTAVRFFFTTVIALIFGTMFWNLGSKSARQQDLFNSAGSMYAAILFVGIQNASSIQPVASIERTVFYREKAAGMYSPMAYAFAQVLVELPYVFVQALTYGIIVYSMMAFEWTAAKFLWYIFFMYFTLLYFTFFGMMSVGMTPNYHIASVVSTAFYAVWNLFTGFLVPRMEIPMWWRWNYWICPLAWTLYGLVVSQYGDVKDVLDSGETTDEFLKSYFGFRHDFIGVVAVVIVGWTLFFAFLFALLIKLLNFQKR >EOY26612 pep chromosome:Theobroma_cacao_20110822:6:15282857:15330228:1 gene:TCM_028484 transcript:EOY26612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 12 isoform 3 MEGNDLYQVRSNSLRGNSPSKWRDAGVNVFSRSFREEDDEEALKWAAIERLPTFSRVRKGLLTTSNGETSEVDIPKLGYRERKSLIDRLIKDTEEDNESFLLRLRQRLDRVGIEIPTLEVRFEHLRVEAEAYIGSRALPSFFNFFINKLESILQHLHLLSSRKKTLSILRDVSGIIRPSRMTLLLGPPNSGKTTLLLALAGKLGRDLKFSGRVTYDGHEMNEFVAQRTAAYIGQYDVHIPELTVRETLAFSARCQGVGPRYEMLAELARREKAANIKPDPDIDIFMKAASIEGQETSVIADYIIKVLGLDNCADTLVGDEMFRGISGGQRKRVTTGEMLAGPAKLLLMDEISTGLDSSTTYQIVKSLRQFIHILNGTAFISLLQPAPETYELFDDIILLSDGQIVYQGPREHVLEFFESMGFKCPARKGVADFLQEVTSRKDQRQYWMENDTAYTFVTVEEFAEAFQSFHVGKRLKINLATPFDKSLSNPTLLTTKIYGVKKMELLKACFSKELLLMKRNSFVYIFKLVQLVVMALVGSTLFLRTEMQKKTAVNGVVRMGALFFSVFMIMFNGLAELGLTLFKLPVFFKQRDNLFYPAWAYALPTWILKIPISIIEVGIWVAVTYYAMGLDPNIFRFLKQLLLLLLTSQMASALFRLISALGRDLTFTSTFASFSLLVLFANCGFVLSRDEVKKWWIWGYWISPMMYTQNAIAINEFLGESWKQVIPTTTESLGLIVLKARGLFTEAYWYWIGVGALVGFILVFNFFYTLALTYLNPLDESRGIKSEAQSIEDDDRRGGDAQLLAQRSNSELLRSEAESHTIRGRKKGMILPYQQHCITFEEITYAVDMPQEMKAQGVMEDRLVLLRGISGALRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIKISGFPKKQETFARISGYCEQNDIHSPNLTVYESLLYSAWLRLTPEVNSETRKMFVEEVMELIELTPLRQALVGLPGVSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPGIDIFEAFDELLLLKQGGQEIYVGPLGNNSCDLIKYFEGIEGVSKIKDGYNPATWMLELSTPAHEMALGVDFADLYKNSELHRRNKALIEQLNMPSPGSKELQFPTQYSQSFFTQVLICLCKQRWSYWRNTSYTAVRFFFTTVIALIFGTMFWNLGSKSARQQDLFNSAGSMYAAILFVGIQNASSIQPVASIERTVFYREKAAGMYSPMAYAFAQEIPMWWRWNYWICPLAWTLYGLVVSQYGDVKDVLDSGETTDEFLKSYFGFRHDFIGVVAVVIVGWTLFFAFLFALLIKLLNFQKR >EOY26128 pep chromosome:Theobroma_cacao_20110822:6:4881785:4891076:1 gene:TCM_027548 transcript:EOY26128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 11 MGHGKSNNVDKIPTFSPQLQNTEEIGFQSLSNEAVCFDGLTGVETVDAPLNELSHFPSSHLGDMSLKGIDWMIHGSQLDSYQNFMVHPHVMNGTGYVPSQYSTLENIASNTGGLQMGMQGAKVYNSKPQSIGNFMSCGSRAPLLCGAQDGREMGSNNNLVDCVVQSDYPETLDGSFLTLGVGVNTESRSKANALSRDFIGKIDGAIKMQLNPSHVQSGYESSFSPDFRMAVALSDNQTYAGGFSSIEENAVGLSSLKHNLDGLHSIVQNAGESSNVSAFAGTVQNAGESSNVSAFAGPVQNVDSCSLSEYHLGVSDSTSSNFSLSPSQMLPMPQSHVSHPLLTPDDQKFCTGFANIDPFHGLSGVSPNIVHISSQSGLPPNQSFLGLPGVSPIVHGSSQFGLPPNEGFHSLCCESQIVHSSRQSGLPVQAQHRMAPWPSLSSYMTSKYATLASDQLQKCNMGSIPCFQWGTSVASPVLGNIESTSNQYQSDQLFACDGGASQVSTTIPFSKNSDKLSASDGTAAEVVSITPSFKNIGVQPSSTGQVISFSRESGPANLLAGPSRKRKAAQSPPATPQVQIKKTRSAKPSIRSSTLYRARDAPFVSPLPPVVSQAYKGPSLPSLSQVTPAYAPLTWTAPVPPSARMSHPPRIKWQDPELLQLSGHNCLLCKRDLSYAPEGPVFQPALPPPVAVLSCGHCFHDLCLERITPKDEADNPPCIPCVISES >EOY26134 pep chromosome:Theobroma_cacao_20110822:6:4881785:4891076:1 gene:TCM_027548 transcript:EOY26134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 11 MGHGKSNNVDKIPTFSPQLQNTEEIGFQSLSNEAVCFDGLTGVETVDAPLNELSHFPSSHLGDMSLKGIDWMIHGSQLDSYQNFMVHPHVMNGTGYVPSQYSTLENIASNTGGLQMGMQGAKVYNSKPQSIGNFMSCGSRAPLLCGAQDGREMGSNNNLVDCVVQSDYPETLDGSFLTLGVGVNTESRSKANALSRDFIGKIDGAIKMQLNPSHVQSGYESSFSPDFRMAVALSDNQTYAGGFSSIEENAVGLSSLKHNLDGLHSIVQNAGESSNVSAFAGTVQNAGESSNVSAFAGPVQNVDSCSLSEYHLGVSDSTSSNFSLSPSQMLPMPQSHVSHPLLTPDDQKFCTGFANIDPFHGLSGVSPNIVHISSQSGLPPNQSFLGLPGVSPIVHGSSQFGLPPNEGFHSLCCESQIVHSSRQSGLPVQAQHRMAPWPSLSSYMTSKYATLASDQLQKCNMGSIPCFQWGTSVASPVLGNIESTSNQYQSDQLFACDGGASQVSTTIPFSKNSDKLSASDGTAAEVVSITPSFKNIGVQPSSTGQVISFSRESGPANLLAGPSRKRKAAQSPPATPQVQIKKTRSAKPSIRSSTLYRARDAPFVSPLPPVVSQGAPVPSLTQSTSTVPPVKLTARPLPPLAYKGPSLPSLSQVTPAYAPLTWTAPVPPSARMSHPPRIKWQDPELLQLSGHNCLLCKRDLSYAPEGPVFQPALPPPVAVLSCGHCFHDLCLERITPKDEADNPPCIPCVISES >EOY26135 pep chromosome:Theobroma_cacao_20110822:6:4881808:4890987:1 gene:TCM_027548 transcript:EOY26135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 11 MGHGKSNNVDKIPTFSPQLQNTEEIGFQSLSNEAVCFDGLTGVETVDAPLNELSHFPSSHLGDMSLKGIDWMIHGSQLDSYQNFMVHPHVMNGTGYVPSQYSTLENIASNTGGLQMGMQGAKVYNSKPQSIGNFMSCGSRAPLLCGAQDGREMGSNNNLVDCVVQSDYPETLDGSFLTLGVGVNTESRSKANALSRDFIGKIDGAIKMQLNPSHVQSGYESSFSPDFRMAVALSDNQTYAGGFSSIEENAVGLSSLKHNLDGLHSIVQNAGESSNVSAFAGTVQNAGESSNVSAFAGPVQNVDSCSLSEYHLGVSDSTSSNFSLSPSQMLPMPQSHVSHPLLTPDDQKFCTGFANIDPFHGLSGVSPNIVHISSQSGLPPNQSFLGLPGVSPIVHGSSQFGLPPNEGFHSLCCESQIVHSSRQSGLPVQAQHRMAPWPSLSSYMTSKYATLASDQLQKCNMGSIPCFQWGTSVASPVLGNIESTSNQYQSDKLSASDGTAAEVVSITPSFKNIGVQPSSTYRSSNFIFKGKWTC >EOY26130 pep chromosome:Theobroma_cacao_20110822:6:4881808:4890632:1 gene:TCM_027548 transcript:EOY26130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 11 MGHGKSNNVDKIPTFSPQLQNTEEIGFQSLSNEAVCFDGLTGVETVDAPLNELSHFPSSHLGDMSLKGIDWMIHGSQLDSYQNFMVHPHVMNGTGYVPSQYSTLENIASNTGGLQMGMQGAKVYNSKPQSIGNFMSCGSRAPLLCGAQDGREMGSNNNLVDCVVQSDYPETLDGSFLTLGVGVNTESRSKANALSRDFIGKIDGAIKMQLNPSHVQSGYESSFSPDFRMAVALSDNQTYAGGFSSIEENAVGLSSLKHNLDGLHSIVQNAGESSNVSAFAGTVQNAGESSNVSAFAGPVQNVDSCSLSEYHLGVSDSTSSNFSLSPSQMLPMPQSHVSHPLLTPDDQKFCTGFANIDPFHGLSGVSPNIVHISSQSGLPPNQSFLGLPGVSPIVHGSSQFGLPPNEGFHSLCCESQIVHSSRQSGLPVQAQHRMAPWPSLSSYMTSKYATLASDQLQKCNMGSIPCFQWGTSVASPVLGNIESTSNQYQSAVWQHYPAHHGGANQTVENAPFSKRIEDQLFACDGGASQVSTTIPFSKNSDKLSASDGTAAEVVSITPSFKNIGVQPSSTGQVISFSRESGPANLLAGPSRKRKAAQSPPATPQVQIKKTRSAKPSIRSSTLYRARDAPFVSPLPPVVSQGAPVPSLTQSTSTVPPVKLTARPLPPLAYKGPSLPSLSQVTPAYAPLTWTAPVPPSARMSHPPRIKWQDPELLQLSGHNCLLCKRDLSYAPEGPVFQPALPPPVAVLSCGHCFHDLCLERITPKDEADNPPCIPCVISES >EOY26131 pep chromosome:Theobroma_cacao_20110822:6:4882560:4890636:1 gene:TCM_027548 transcript:EOY26131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 11 MSYLNSKQTTNMGHGKSNNVDKIPTFSPQLQNTEEIGFQSLSNEAVCFDGLTGVETVDAPLNELSHFPSSHLGDMSLKGIDWMIHGSQLDSYQNFMVHPHVMNGTGYVPSQYSTLENIASNTGGLQMGMQGAKVYNSKPQSIGNFMSCGSRAPLLCGAQDGREMGSNNNLVDCVVQSDYPETLDGSFLTLGVGVNTESRSKANALSRDFIGKIDGAIKMQLNPSHVQSGYESSFSPDFRMAVALSDNQTYAGGFSSIEENAVGLSSLKHNLDGLHSIVQNAGESSNVSAFAGTVQNAGESSNVSAFAGPVQNVDSCSLSEYHLGVSDSTSSNFSLSPSQMLPMPQSHVSHPLLTPDDQKFCTGFANIDPFHGLSGVSPNIVHISSQSGLPPNQSFLGLPGVSPIVHGSSQFGLPPNEGFHSLCCESQIVHSSRQSGLPVQAQHRMAPWPSLSSYMTSKYATLASDQLQKCNMGSIPCFQWGTSVASPVLGNIESTSNQYQSAVWQHYPAHHGGANQTVENAPFSKRIEDQLFACDGGASQVSTTIPFSKNSDKLSASDGTAAEVVSITPSFKNIGVQPSSTGQVISFSRESGPANLLAGPSRKRKAAQSPPATPQVQIKKTRSAKPSIRSSTLYRARDAPFVSPLPPVVSQGHSCLCATDMDCSCPSLS >EOY26136 pep chromosome:Theobroma_cacao_20110822:6:4881784:4888563:1 gene:TCM_027548 transcript:EOY26136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 11 MGHGKSNNVDKIPTFSPQLQNTEEIGFQSLSNEAVCFDGLTGVETVDAPLNELSHFPSSHLGDMSLKGIDWMIHGSQLDSYQNFMVHPHVMNGTGYVPSQYSTLENIASNTGGLQMGMQGAKVYNSKPQSIGNFMSCGSRAPLLCGAQDGREMGSNNNLVDCVVQSDYPETLDGSFLTLGVGVNTESRSKANALSRDFIGKIDGAIKMQLNPSHVQSGYESSFSPDFRMAVALSDNQTYAGGFSSIEENAVGLSSLKHNLDGLHSIVQNAGESSNVSAFAGTVQNAGESSNVSAFAGPVQNVDSCSLSEYHLGVSDSTSSNFSLSPSQMLPMPQSHVSHPLLTPDDQKFCTGFANIDPFHGLSGVSPNIVHISSQSGLPPNQSFLGLPGVSPIVHGSSQFGLPPNEGFHSLCCESQIVHSSRQSGLPVQAQHRMAPWPSLSSYMTSKYATLASDQLQKCNMGSIPCFQWGTSVASPVLGNIESTSNQYQSGDCMATLSSSPRWC >EOY26129 pep chromosome:Theobroma_cacao_20110822:6:4881785:4891076:1 gene:TCM_027548 transcript:EOY26129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 11 MGHGKSNNVDKIPTFSPQLQNTEEIGFQSLSNEAVCFDGLTGVETVDAPLNELSHFPSSHLGDMSLKGIDWMIHGSQLDSYQNFMVHPHVMNGTGYVPSQYSTLENIASNTGGLQMGMQGAKVYNSKPQSIGNFMSCGSRAPLLCGAQDGREMGSNNNLVDCVVQSDYPETLDGSFLTLGVGVNTESRSKANALSRDFIGKIDGAIKMQLNPSHVQSGYESSFSPDFRMAVALSDNQTYAGGFSSIEENAVGLSSLKHNLDGLHSIVQNAGESSNVSAFAGTVQNAGESSNVSAFAGPVQNVDSCSLSEYHLGVSDSTSSNFSLSPSQMLPMPQSHVSHPLLTPDDQKFCTGFANIDPFHGLSGVSPNIVHISSQSGLPPNQSFLGLPGVSPIVHGSSQFGLPPNEGFHSLCCESQIVHSSRQSGLPVQAQHRMAPWPSLSSYMTSKYATLASDQLQKCNMGSIPCFQWGTSVASPVLGNIESTSNQYQSAVWQHYPAHHGGANQTVENAPFSKRIEDQLFACDGGASQVSTTIPFSKNSGNKLSASDGTAAEVVSITPSFKNIGVQPSSTGQVISFSRESGPANLLAGPSRKRKAAQSPPATPQVQIKKTRSAKPSIRSSTLYRARDAPFVSPLPPVVSQGAPVPSLTQSTSTVPPVKLTARPLPPLAYKGPSLPSLSQVTPAYAPLTWTAPVPPSARMSHPPRIKWQDPELLQLSGHNCLLCKRDLSYAPEGPVFQPALPPPVAVLSCGHCFHDLCLERITPKDEADNPPCIPCVISES >EOY26133 pep chromosome:Theobroma_cacao_20110822:6:4881808:4890632:1 gene:TCM_027548 transcript:EOY26133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 11 MGHGKSNNVDKIPTFSPQLQNTEEIGFQSLSNEAVCFDGLTGVETVDAPLNELSHFPSSHLGDMSLKGIDWMIHGSQLDSYQNFMVHPHVMNGTGYVPSQYSTLENIASNTGGLQMGMQGAKVYNSKPQSIGNFMSCGSRAPLLCGAQDGREMGSNNNLVDCVVQSDYPETLDGSFLTLGVGVNTESRSKANALSRDFIGKIDGAIKMQLNPSHVQSGYESSFSPDFRMAVALSDNQTYAGGFSSIEENAVGLSSLKHNLDGLHSIVQNAGESSNVSAFAGTVQNAGESSNVSAFAGPVQNVDSCSLSEYHLGVSDSTSSNFSLSPSQMLPMPQSHVSHPLLTPDDQKFCTGFANIDPFHGLSGVSPNIVHISSQSGLPPNQSFLGLPGVSPIVHGSSQFGLPPNEGFHSLCCESQIVHSSRQSGLPVQAQHRMAPWPSLSSYMTSKYATLASDQLQKCNMGSIPCFQWGTSVASPVLGNIESTSNQYQSDQLFACDGGASQVSTTIPFSKNSGNKLSASDGTAAEVVSITPSFKNIGVQPSSTGQVISFSRESGPANLLAGPSRKRKAAQSPPATPQVQIKKTRSAKPSIRSSTLYRARDAPFVSPLPPVVSQGSLLLMRH >EOY26132 pep chromosome:Theobroma_cacao_20110822:6:4881785:4891076:1 gene:TCM_027548 transcript:EOY26132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 11 MGHGKSNNVDKIPTFSPQLQNTEEIGFQSLSNEAVCFDGLTGVETVDAPLNELSHFPSSHLGDMSLKGIDWMIHGSQLDSYQNFMVHPHVMNGTGYVPSQYSTLENIASNTGGLQMGMQGAKVYNSKPQSIGNFMSCGSRAPLLCGAQDGREMGSNNNLVDCVVQSDYPETLDGSFLTLGVGVNTESRSKANALSRDFIGKIDGAIKMQLNPSHVQSGYESSFSPDFRMAVALSDNQTYAGGFSSIEENAVGLSSLKHNLDGLHSIVQNAGESSNVSAFAGTVQNAGESSNVSAFAGPVQNVDSCSLSEYHLGVSDSTSSNFSLSPSQMLPMPQSHVSHPLLTPDDQKFCTGFANIDPFHGLSGVSPNIVHISSQSGLPPNQSFLGLPGVSPIVHGSSQFGLPPNEGFHSLCCESQIVHSSRQSGLPVQAQHRMAPWPSLSSYMTSKYATLASDQLQKCNMGSIPCFQWGTSVASPVLGNIESTSNQYQSDQLFACDGGASQVSTTIPFSKNSDKLSASDGTAAEVVSITPSFKNIGVQPSSTGQVISFSRESGPANLLAGPSRKRKAAQSPPATPQVQIKKTRSAKPSIRSSTLYRARDAPFVSPLPPVVSQGHSCLCATDMDCSCPSLS >EOY26127 pep chromosome:Theobroma_cacao_20110822:6:4881785:4891076:1 gene:TCM_027548 transcript:EOY26127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 11 MGHGKSNNVDKIPTFSPQLQNTEEIGFQSLSNEAVCFDGLTGVETVDAPLNELSHFPSSHLGDMSLKGIDWMIHGSQLDSYQNFMVHPHVMNGTGYVPSQYSTLENIASNTGGLQMGMQGAKVYNSKPQSIGNFMSCGSRAPLLCGAQDGREMGSNNNLVDCVVQSDYPETLDGSFLTLGVGVNTESRSKANALSRDFIGKIDGAIKMQLNPSHVQSGYESSFSPDFRMAVALSDNQTYAGGFSSIEENAVGLSSLKHNLDGLHSIVQNAGESSNVSAFAGTVQNAGESSNVSAFAGPVQNVDSCSLSEYHLGVSDSTSSNFSLSPSQMLPMPQSHVSHPLLTPDDQKFCTGFANIDPFHGLSGVSPNIVHISSQSGLPPNQSFLGLPGVSPIVHGSSQFGLPPNEGFHSLCCESQIVHSSRQSGLPVQAQHRMAPWPSLSSYMTSKYATLASDQLQKCNMGSIPCFQWGTSVASPVLGNIESTSNQYQSAVWQHYPAHHGGANQTVENAPFSKRIEDQLFACDGGASQVSTTIPFSKNSDKLSASDGTAAEVVSITPSFKNIGVQPSSTGQVISFSRESGPANLLAGPSRKRKAAQSPPATPQVQIKKTRSAKPSIRSSTLYRARDAPFVSPLPPVVSQAYKGPSLPSLSQVTPAYAPLTWTAPVPPSARMSHPPRIKWQDPELLQLSGHNCLLCKRDLSYAPEGPVFQPALPPPVAVLSCGHCFHDLCLERITPKDEADNPPCIPCVISES >EOY25887 pep chromosome:Theobroma_cacao_20110822:6:2566876:2575007:-1 gene:TCM_027258 transcript:EOY25887 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MKLWYLCFFFKFTLRSYKSSSETETIMVAGNLPSDLIIEILSRLPVKSLLRFRCVHITWLCLLKSSYFITKHVQNPNNKDHEFLFIQYRDVSGISRYKLLTRDTSEVSLDLRIPFPSWESVVGSCNGLVCLYIDHPFPCTKILLYNPALRVQKIIPESTLSRPESGRLHHVTLGLGYDSVNNDYKVYGPQAFLNGAIHWLGMVCKNKKITQVIVSFDVSTEVFKLFPLPDFVLRERWVTWIDVYKNLLCVVKTEDGAYYEIWAMEEYGVPELWTRLHAIQLSLSYPLRFLGLGMNGKCVHKTWLCLLKSSYFITKHLQNPNNKDHAFVFVKYTDASDMSRFKLLTHDTLEVSLDLGLPFPRWDTVVGSCNGLVCLYIDHHFPRTKIMLYNPALRVHKIIPESTLPGPESRSVGHVFLGFGYDSVNNDYKVVRVVSLNCHKEGKLVSSVKAEVYTLGVNSWREVAIPPGVNFGLYKPQVFLNGAIHWLGMVEKNRNAIKVLVSFDVSTEVFNLIPLPVFAQREIWPMWIDVYKNSLCVVKTAEGPCYEIWVMKEFGVQESWIRLHAIQLSLSNQPWPLGLGMNGKLVMQNLTGLTVYDPNTEEIKNVEFDHPVNPNNKDRGLVFVNAKFPGDALGKSRFRLLADDTLEVSLDLRIPFPWWDNVVGSYNGLVCFCDIDHLLMRTKILLYSPALRVHKIIPESPFSRPKNGCFGPVSLGFGYDSINNVYKVAMIVSMHYDFVLRNRCLRWIDVHRNFPCVIKPEEGQCYEIWVMEEYGVQESWTRLHAIQLFSLSHPLRFLGLGMNGRLVFENLENHRELTVYYPENKKLKNVELDNSKVDLLTVGTYIESLVAL >EOY28987 pep chromosome:Theobroma_cacao_20110822:6:27038502:27042106:-1 gene:TCM_030434 transcript:EOY28987 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase beta-2 subunit protein isoform 2 MAAPMGHSPPHSPTATHSPLMFTPQRPDEIHTPSQSWLQATIGYEDTCTEKGIPTMITWGYGGKEVAVEGSWDNWKTRIPLQRCGKDFTIMKVLPSGVYQYRFIVDGQWRYAPDLPWAQDDVGNANNILDLQDYVPEDLESISSFEPPQSPESSYSNLPLGTEDFAKEPPLVPPHLQLPLLNLPAAHMEIPPPMSRPKHVILNHLYIQKGKIGQPVVALGSTHRFLAKYVTVVLYKPVQR >EOY28986 pep chromosome:Theobroma_cacao_20110822:6:27038350:27042679:-1 gene:TCM_030434 transcript:EOY28986 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase beta-2 subunit protein isoform 2 MGNVNGREDGSSSTSGVEEEGGNSVHEAMAAPMGHSPPHSPTATHSPLMFTPQVPIVPLQRPDEIHTPSQSWLQATIGYEDTCTEKGIPTMITWGYGGKEVAVEGSWDNWKTRIPLQRCGKDFTIMKVLPSGVYQYRFIVDGQWRYAPDLPWAQDDVGNANNILDLQDYVPEDLESISSFEPPQSPESSYSNLPLGTEDFAKEPPLVPPHLQLPLLNLPAAHMEIPPPMSRPKHVILNHLYIQKGKIGQPVVALGSTHRFLAKYVTVVLYKPVQR >EOY27160 pep chromosome:Theobroma_cacao_20110822:6:20476649:20481012:-1 gene:TCM_029077 transcript:EOY27160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myristoyl-CoA:protein N-myristoyltransferase MVDSNASSGSPEDTPNPNPDGNAPSESDLALDALAQKVQESLGLERRHKFWESQPVGQFKDLGDSSLPEGPIEAPTPLSEVKQEPYNLPNMYEWVTCDIDSDEMCTEVYKLLANNYVEDDENMFRFNYSKEFLHWAVRPPGYFKSWHIGVRVKSSKKLVAFITGVPARIRVRDDVVNMAEVNFLCVHKKLRSNRLAPVMIKEVTRRVHLENIWQAAYTAGIVIPTPITSCQYWHRSLNPKKLIEVGFSRLGARMTMSRTIKLYKLPESTVTPGFRKMELRDVPAVTRLLRNYLSQFVVAPDFSEHDVEHWLLPTEGVVDSYIVESSETHEITDFCSFYTLSSLILGNQNHSTLKAAYSYYNISTKTPLLQLMNDALIVAKQKDFDVFNALDVMHNESFLKELKFGPGDGSLHYYLYNYRIRNTLKPSELGLVLH >EOY28504 pep chromosome:Theobroma_cacao_20110822:6:25552154:25554360:1 gene:TCM_030046 transcript:EOY28504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant U-box 8 MATQLPDDFKCPISLEIMSDPVILASGHTFDRTSIQRWLDSGHRTCPITKLPLPEHPFLIPNHALRSLISNYTLVTPSNSQPCPQPQTLCSALTSPSSSVETKLESLTHLAKLTKRDSALRRKLTESGAVPAVLKCVDSGDPSLQEKALSLLLNLSLDDDNKVGLVAEGAINRVVKVLRVGLPDCRAIAATIVTSLAVVEVNKATIGAYPDAIPALVRLLIAGKGREKKEAATALYAICSFADNRRRAIDCGAVPILMGLLDSGLERAIEVLGLLVKCKEGREEMMKVNGCVKVLVEVLRNGSSRGVQYGLFTLNCLCNYNESFCFEARNEGVLEICMGLVEEENEKIRRYTSSLLQTLRGNHAIG >EOY28330 pep chromosome:Theobroma_cacao_20110822:6:24966600:24968526:1 gene:TCM_029926 transcript:EOY28330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Larreatricin hydroxylase isoform 2 MASPVLPSSTPTTISSSPIQTSFFPKTSQLFLNKKIKKPDYSGPSKVVSCKATNNGSKGDSSLNRFDRRDLLIGLGGLYGATNLSNDPFALAAPIAAPDLTLCGDATISDTTKETVYCCPPETTKVIDFKPPTYSKIRYRSAAHLVDPDYLAKFTKAMELMRALPDDDPRSFKQQANIHCAYCNGAYDQVGFDQDIQVHFSWLFFPFHRLYLYFYERILGKLIGDPDFAMPFWNWDAPAGMPIPAIYVNPNSPLYDDKRNVNHQPPKVVDLDYNGTDKDITDKAMVQSNLKVMYKQMVSGSKTASLFHGKVYRAGDKPSPGGGVVELGSHTAIHRWCGDPRQTYSEDMGNFYSAGRDPLFYAHHSNVDRMWSIWKGLPGKKRNDFTDTDWLDASFVLKKKKKKYWCWKAFS >EOY28328 pep chromosome:Theobroma_cacao_20110822:6:24960472:24968827:1 gene:TCM_029926 transcript:EOY28328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Larreatricin hydroxylase isoform 2 MYHQILAMASPVLPSSTPTTISSSPIQTSFFPKTSQLFLNKKIKKPDYSGPSKVVSCKATNNGSKGDSSLNRFDRRDLLIGLGGLYGATNLSNDPFALAAPIAAPDLTLCGDATISDTTKETVYCCPPETTKVIDFKPPTYSKIRYRSAAHLVDPDYLAKFTKAMELMRALPDDDPRSFKQQANIHCAYCNGAYDQVGFDQDIQVHFSWLFFPFHRLYLYFYERILGKLIGDPDFAMPFWNWDAPAGMPIPAIYVNPNSPLYDDKRNVNHQPPKVVDLDYNGTDKDITDKAMVQSNLKVMYKQMVSGSKTASLFHGKVYRAGDKPSPGGGVVELGSHTAIHRWCGDPRQTYSEDMGNFYSAGRDPLFYAHHSNVDRMWSIWKGLPGKKRNDFTDTDWLDASFVFYDENANLVRARVRDCLDTRTLGYDYQGVDVPWLKTKPVPRKFSKKGGKGHGQAVAAETKNKNVIRNAFPIVLDKIVSIEIPRPKKSRTKLEKEEEEVLVLESIQLDTSTPVKFDIYINDEDDEAPSGPEDSEFAGSFTNVPHNHSHSKKLETSFSLAISEVLEDLDVEGDDNIVVTLVPREGKGLVSVGNIKIDYIRE >EOY28329 pep chromosome:Theobroma_cacao_20110822:6:24966600:24968697:1 gene:TCM_029926 transcript:EOY28329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Larreatricin hydroxylase isoform 2 MASPVLPSSTPTTISSSPIQTSFFPKTSQLFLNKKIKKPDYSGPSKVVSCKATNNGSKGDSSLNRFDRRDLLIGLGGLYGATNLSNDPFALAAPIAAPDLTLCGDATISDTTKETVYCCPPETTKVIDFKPPTYSKIRYRSAAHLVDPDYLAKFTKAMELMRALPDDDPRSFKQQANIHCAYCNGAYDQVGFDQDIQVHFSWLFFPFHRLYLYFYERILGKLIGDPDFAMPFWNWDAPAGMPIPAIYVNPNSPLYDDKRNVNHQPPKVVDLDYNGTDKDITDKAMVQSNLKVMYKQMVSGSKTASLFHGKVYRAGDKPSPGGGVVELGSHTAIHRWCGDPRQTYSEDMGNFYSAGRDPLFYAHHSNVDRMWSIWKGLPGKKRNDFTDTDWLDASFVLKKKKKKYWCWKAFS >EOY26980 pep chromosome:Theobroma_cacao_20110822:6:19517860:19518369:-1 gene:TCM_028935 transcript:EOY26980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPGEYPRSFLITFVIFALVLSPMLPCDAVRLIGHPAPIEKQPICPVCVCCTDPPPGHCCRCCASSIGSQRSQMGSP >EOY28618 pep chromosome:Theobroma_cacao_20110822:6:26053008:26054777:1 gene:TCM_030169 transcript:EOY28618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tumor suppressor candidate, putative isoform 2 MAISATHLFLVSFITLSLFLVISKAESESELVADLLALQSESKSGVIHLDDRTVSKFLTSPKTPRPYSFVIFFDATQLHDKSELHLRELRNEFALVASSFITNNNSSNTKLFFCDIEFRESQSSFHLFGVNSLPHIRLVGPTAKSLKDDSEQMDQGDFSRMAESMAEFIESRTKLTVGPIHRPPILSKKQLALIAALLLIWSPFVAKKIFAGQTLLHDPKIWLSGAVFVYFFSVSGAMHNIIRKMPMFLVDRNDPNKLVFFYQGSGMQLGAEGFAPSVWRCLLRFSFRSGR >EOY28617 pep chromosome:Theobroma_cacao_20110822:6:26052946:26055289:1 gene:TCM_030169 transcript:EOY28617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tumor suppressor candidate, putative isoform 2 MAISATHLFLVSFITLSLFLVISKAESESELVADLLALQSESKSGVIHLDDRTVSKFLTSPKTPRPYSFVIFFDATQLHDKSELHLRELRNEFALVASSFITNNNSSNTKLFFCDIEFRESQSSFHLFGVNSLPHIRLVGPTAKSLKDDSEQMDQGDFSRMAESMAEFIESRTKLTVGPIHRPPILSKKQLALIAALLLIWSPFVAKKIFAGQTLLHDPKIWLSGAVFVYFFSVSGAMHNIIRKMPMFLVDRNDPNKLVFFYQGSGMQLGAEGFAVGFLYTIVGLLLAFVTHVLVYVKDATAKRVAMLVAIFVSFWAVKKVIFLDNWKTGYGVHGFWPSSWN >EOY26970 pep chromosome:Theobroma_cacao_20110822:6:19423193:19427237:1 gene:TCM_028921 transcript:EOY26970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin binding family protein, putative isoform 2 MMLKAKRDLRPLLVKFGLAVALSFAGFLFSRLRTRKFRPYLPRPPSPRVSDRGSKVDSGGKDQYKDDAQALKISPTSGPEEMHMQRASVDNASVGLSPSIRHGGDGFLVPEFNVLVEEYDFSATGAGPSPKKEVETPRSDVDASRTFRSAEKDNYEEEIKHLRNMVRMLRERERNLEVQLLEYYGLKEQETAALELQNRLKINNMEAKLFTLKIESLQSENRRLESQVADHAKVVAELETARSRIKLLKKKLRHEAEQNREQILNLQKRVARLQEQELKALADNQDIESKLQRLKVLEGEADELRKSNRSLQTENSELAQKLESTQILANSVLEDPETEALNEMSNCLRQENEDLTKQIEQLQADRCADVEELVYLRWINACLRYELRNYQPPPGKTVARDLSKSLSPKSEEKAKKLILEYAHTEGMGDRGMNSMDFDCDQWSSSQASYGTDTGELDDSSFENSSATKTTNSGKIKFFKNLRRLLRGKDSHHHHSQVSSTSKTDHLEDVDSPTWSSGRGNDSITMLQSHSDRVTTPSLSSCRPSLDIPRWRSLNVDHIKDVENFRRSSDGSSYGYKRFILGRDDASESPLEHLLDQDSDSKSDLVKFAEVLKESEPRRGKIHKKSASII >EOY26971 pep chromosome:Theobroma_cacao_20110822:6:19423505:19427231:1 gene:TCM_028921 transcript:EOY26971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin binding family protein, putative isoform 2 MMLKAKRDLRPLLVKFGLAVALSFAGFLFSRLRTRKFRPYLPRPPSPRVSADRGSKVDSGGKDQYKDDAQALKISPTSGPEEMHMQRASVDNASVGLSPSIRHGGDGFLVPEFNVLVEEYDFSATGAGPSPKKEVETPRSDVDASRTFRSAEKDNYEEEIKHLRNMVRMLRERERNLEVQLLEYYGLKEQETAALELQNRLKINNMEAKLFTLKIESLQSENRRLESQVADHAKVVAELETARSRIKLLKKKLRHEAEQNREQILNLQKRVARLQEQELKALADNQDIESKLQRLKVLEGEADELRKSNRSLQTENSELAQKLESTQILANSVLEDPETEALNEMSNCLRQENEDLTKQIEQLQADRCADVEELVYLRWINACLRYELRNYQPPPGKTVARDLSKSLSPKSEEKAKKLILEYAHTEGMGDRGMNSMDFDCDQWSSSQASYGTDTGELDDSSFENSSATKTTNSGKIKFFKNLRRLLRGKDSHHHHSQVSSTSKTDHLEDVDSPTWSSGRGNDSITMLQSHSDRVTTPSLSSCRPSLDIPRWRSLNVDHIKDVENFRRSSDGSSYGYKRFILGRDDASESPLEHLLDQDSDSKSDLVKFAEVLKESEPRRGKIHKKSASII >EOY26931 pep chromosome:Theobroma_cacao_20110822:6:19207393:19214624:1 gene:TCM_028891 transcript:EOY26931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein isoform 3 MASYRPFTPQSFPPPPPQNQNPLPPQQQQQQQQHYGQNYNQMNPNSNYHYPPSRPPQQQQHPPPPPPTQQQYSYPPPPPPPPDSSYPPPPPPPLPTMPQNAINSQPPMYYPPSQYPSQYGNPAMQPAQPPPPPPPPSSPGSAIPPPPPPSSPPPPPPPKESVGDKGLNERSQGGNRDFLGSGRREHGHSNHAAGVRDQKPMMPPVKKPNGPAGRVETEEERRLRKKREFEKQRQEEKHRQQMKESQKTQMMPSGKGHGSMVGSRMGDRRATPFLSGERIENRLKKPTTFLCKLKFTKYTITSLEKMYKPKLFVEPDLGIPLDLLDLSVYNPPSVRPSLAPEDAELLHDDEAVTPIKKDGIRRKERPTDKGVSWLVKTQYISPLSMESTKQSLTEKQAKELRELKGGRNILENLNNRERQIKEIEASFEASKLRPVHATNKNLEPVEVMPLLPDFDRYNDQFVMVAFDGAPTADSEIFSKLDDSVRDEHESRAIMKSYLAASSDPANPEKFLAYMVPSLDELSKGMYDEHEDVSYSWVREYNWDVRGDDANDPTTYLVSFDEGEARYVPLPTKLNLRKKRAREGRTGDEIEHFPIPARITVRRRSTVAAIELKEPEVYTSSRGGMSSSKIGRLDAEDGLGRSHKLARHHDVDQYSGAEDDLSE >EOY26929 pep chromosome:Theobroma_cacao_20110822:6:19207176:19213210:1 gene:TCM_028891 transcript:EOY26929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein isoform 3 MASYRPFTPQSFPPPPPQNQNPLPPQQQQQQQQHYGQNYNQMNPNSNYHYPPSRPPQQQQHPPPPPPTQQQYSYPPPPPPPPDSSYPPPPPPPLPTMPQNAINSQPPMYYPPSQYPSQYGNPAMQPAQPPPPPPPPSSPGSAIPPPPPPSSPPPPPPPKESVGDKGLNERSQGGNRDFLGSGRREHGHSNHAAGVRDQKPMMPPVKKPNGPAGRVETEEERRLRKKREFEKQRQEEKHRQQMKESQKTQMMPSGKGHGSMVGSRMGDRRATPFLSGERIENRLKKPTTFLCKLKFRNELPDPSAQPKLMALKKDKDRFTKYTITSLEKMYKPKLFVEPDLGIPLDLLDLSVYNPPSVRPSLAPEDAELLHDDEAVTPIKKDGIRRKERPTDKGVSWLVKTQYISPLSMESTKQSLTEKQAKELRELKGGRNILENLNNRERQIKEIEASFEASKLRPVHATNKNLEPVEVMPLLPDFDRYNDQFVMVAFDGAPTADSEIFSKLDDSVRDEHESRAIMKSYLAASSDPANPEKFLAYMVPSLDELSKGMYDEHEDVSYSWVREYNWDVRGDDANDPTTYLVSFDEGEARYVPLPTKLNLRKKRAREGRTGDEIEHFPIPARITVRRRSTVAAIELKEPEVYTSSRGGMSSSKIGRLDAEDGLGRSHKLARHHDVDQYSGAEDDLSE >EOY26930 pep chromosome:Theobroma_cacao_20110822:6:19208367:19213743:1 gene:TCM_028891 transcript:EOY26930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein isoform 3 MQPAQPPPPPPPPSSPGSAIPPPPPPSSPPPPPPPKESVGDKGLNERSQGGNRDFLGSGRREHGHSNHAAGVRDQKPMMPPVKKPNGPAGRVETEEERRLRKKREFEKQRQEEKHRQQMKESQKTQMMPSGKGHGSMVGSRMGDRRATPFLSGERIENRLKKPTTFLCKLKFRNELPDPSAQPKLMALKKDKDRFTKYTITSLEKMYKPKLFVEPDLGIPLDLLDLSVYNPPSVRPSLAPEDAELLHDDEAVTPIKKDGIRRKERPTDKGVSWLVKTQYISPLSMESTKQSLTEKQAKELRELKGGRNILENLNNRERQIKEIEASFEASKLRPVHATNKNLEPVEVMPLLPDFDRYNDQFVMVAFDGAPTADSEIFSKLDDSVRDEHESRAIMKSYLAASSDPANPEKFLAYMVPSLDELSKGMYDEHEDVSYSWVREYNWDVRGDDANDPTTYLVSFDEGEARYVPLPTKLNLRKKRAREGRTGDEIEHFPIPARITVRRRSTVAAIELKEPEVYTSSRGGMSSSKIGRLDAEDGLGRSHKLARHHDVDQYSGAEDDLSE >EOY26850 pep chromosome:Theobroma_cacao_20110822:6:18750425:18753843:1 gene:TCM_028832 transcript:EOY26850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-associated protein 2 isoform 1 MSTPLEHDYIGLTETSPMERSSEKISSSSSSSSTHSIEDKANKTTNNTTLNLKETELRLGLPGSQSPERKVSLFGKDLESNDKNSGFSVSPLKNLVSGAKRGFSDAIDASNGKWVFPMNGKSDVELAKGAGLASSRGCLESKNNSQQAKVSIPAMKEVGGLPQSPKPVQDKKNLVSPPNEHASAPAAKAQVVGWPPIRSFRKNTMASNLAKNSDDAAGCLYVKVSMDGAPYLRKVDLKTYKNYTELSSALEKMFSCFTIGQCSSHGLPVRDGLTESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCRRLRIMKGSEAIGLVSPYACFQLQGPWRNARTRPKRKSMVKTKNKQVLKTPEFEG >EOY26851 pep chromosome:Theobroma_cacao_20110822:6:18750346:18753840:1 gene:TCM_028832 transcript:EOY26851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-associated protein 2 isoform 1 MSTPLEHDYIGLTETSPMERSSEKISSSSSSSSTHSIEDKANKTTNNTTLNLKETELRLGLPGSQSPERKVSLFGKDLESNDKNSGFSVSPLKNLVSGAKRGFSDAIDASNGKWVFPMNGKSDVELAKGAGLASSRGCLESKNNSQQAKVSIPAMKEVGGLPQSPKPVQDKKNLVSPPNEHASAPAAKAQVVGWPPIRSFRKNTMASNLAKNSDDAAGCLYVKVSMDGAPYLRKVDLKTYKNYTELSSALEKMFSCFTIGQCSSHGLPVRDGLTESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCRRLRIMKGSEAIGLAPRAMEKCKNQT >EOY26852 pep chromosome:Theobroma_cacao_20110822:6:18750279:18752826:1 gene:TCM_028832 transcript:EOY26852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-associated protein 2 isoform 1 MERSSEKISSSSSSSSTHSIEDKANKTTNNTTLNLKETELRLGLPGSQSPERKVSLFGKDLESNDKNSGFSVSPLKNLVSGAKRGFSDAIDASNGKWVFPMNGKSDVELAKGAGLASSRGCLESKNNSQQAKVSIPAMKEVGGLPQSPKPVQDKKNLVSPPNEHASAPAAKAQVVGWPPIRSFRKNTMASNLAKNSDDAAGCLYVKVSMDGAPYLRKVDLKTYKNYTELSSALEKMFSCFTIGQCSSHGLPVRDGLTESRLMDLLHGSEYVLTYEDKDGDWMLVGDVP >EOY28254 pep chromosome:Theobroma_cacao_20110822:6:24748509:24754307:1 gene:TCM_029879 transcript:EOY28254 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase family protein isoform 1 MLPHVGVGDFCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPAVIPQATKIFVNGCWVGVHRNPDMLVTTLRRLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVEKQRLLIKKKDIHALQQRESPEDGGWHDLVAKGFIEYIDTEEEETTMISMTINDLVQARVNPEEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRANTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTTPISQEEAQGQASRYSRRDHSISLRHSETGIVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISRALHKCGYQMRGFETMYNGHTGRRLSAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCERCGLIAIANLKKNSFECRGCKNKTDIVPGIHSLRL >EOY28253 pep chromosome:Theobroma_cacao_20110822:6:24746130:24754467:1 gene:TCM_029879 transcript:EOY28253 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase family protein isoform 1 MEDDSEYDPQLMDDEDDEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQSDFAETIYKISFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSAPLYVDVTKRVIKKGHDGEEVTETQDFTKVFIGKVPIMLRSSYCTLYQNSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAESQNRPPSTMFVRMLSRTSAKGGSSGQYIRATLPYIRTEIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGDFCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPAVIPQATKIFVNGCWVGVHRNPDMLVTTLRRLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVEKQRLLIKKKDIHALQQRESPEDGGWHDLVAKGFIEYIDTEEEETTMISMTINDLVQARVNPEEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRANTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTTPISQEEAQGQASRYSRRDHSISLRHSETGIVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISRALHKCGYQMRGFETMYNGHTGRRLSAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCERCGLIAIANLKKNSFECRGCKNKTDIVQVYIPYACKLLFQELMAMAIAPRMLTKEPPKDQKKKGA >EOY27210 pep chromosome:Theobroma_cacao_20110822:6:20690148:20697684:1 gene:TCM_029107 transcript:EOY27210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase-related protein of unknown function (DUF1296) [Source:Projected from Arabidopsis thaliana (AT3G13990) TAIR;Acc:AT3G13990] MVNGARIEGDISAPVRKTIQSIKEIVGNHSDADIYVALKEANMDPNETTQKLLHQDTFHEVRRKRDRKKESIEYKVSLDSRKRSENVGQGMKFRPYPERGSRRGSYTRNTLPDAGVNREFRVVRDNRVNQNANKDMKTPFSQCSTSANEQVPVNVAEKGSTGTSSNQRPFSSRSLSQTSNGPSSSQTRHARDANSSGIDRKEISEEKRNFIPNAVLRSQAVKPNNSQAHAATQSSSSSVVGVYSSSTDPVHVPSPDSRSSGAVGAIKREVGVVGVRRQPSENAVKDSSGSSGSLSNSLVGRDNSSEAFRSFPSISRADQLSHTSATESIMPGISGSRSFLSNQYGSRQNQQALGHQKANQHNKEWKPKLSQKSSVNNPGVIGTPKKSASPPADDAKGLDSETAKLQDKFSQVNIYENENVIIAQHIRVPENDRCRLTFGSFGVEFDSLRNFVPGFQATGVAEDSNGESAASDDAAGGKPIEILDDQIGNSGSDSPLSGTASEHQLPDTKDTSSPQNLDSYADIGLVQDNSPSYAPSESQKQQDPPELPSFSQAYDPQTGYDLPYFRPPIDETARGQGLPSPQEALSAHTANVPASTIPMMQQQQPPVAQMYPQVHVSHFANIMPYRQFVSPIYLPQMAMPGYSSNPAYPHPSNGSSYVLMPGGSSHLNANGLKYGIQQFKPVPAGSPTGFGNFTSPSGYAINAPGVVGNPTGLEDSSRIKYKDGNIYVPNQQADTSDLWIQNPRELPGLQSAPYYNMPQTPHGYMPSHTGHASFNAAAAQSSHMQFPGLYHPPPQPAAMANPHLGPAMGANVGVGVAPAAPGAQVGAYQQPQLGHLNWTTNF >EOY27206 pep chromosome:Theobroma_cacao_20110822:6:20690158:20698080:1 gene:TCM_029107 transcript:EOY27206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase-related protein of unknown function (DUF1296) [Source:Projected from Arabidopsis thaliana (AT3G13990) TAIR;Acc:AT3G13990] MVNGARIEGDISAPVRKTIQSIKEIVGNHSDADIYVALKEANMDPNETTQKLLHQDTFHEVRRKRDRKKESIEYKVSLDSRKRSENVGQGMKFRPYPERGSRRGSYTRNTLPGVNREFRVVRDNRVNQNANKDMKTPFSQCSTSANEQVPVNVAEKGSTGTSSNQRPFSSRSLSQTSNGPSSSQTRHARDANSSGIDRKEISEEKRNFIPNAVLRSQAVKPNNSQAHAATQSSSSSVVGVYSSSTDPVHVPSPDSRSSGAVGAIKREVGVVGVRRQPSENAVKDSSGSSGSLSNSLVGRDNSSEAFRSFPSISRADQLSHTSATESIMPGISGSRSFLSNQYGSRQNQQALGHQKEASYCSAFHPFIDQISLWESLSCIFDAANQHNKEWKPKLSQKSSVNNPGVIGTPKKSASPPADDAKGLDSETAKLQDKFSQVNIYENENVIIAQHIRVPENDRCRLTFGSFGVEFDSLRNFVPGFQATGVAEDSNGESAARLVFSPNLSVSAPDTSSDDAAGGKPIEILDDQIGNSGSDSPLSGTASEHQLPDTKDTSSPQNLDSYADIGLVQDNSPSYAPSESQKQQDPPELPSFSQAYDPQTGYDLPYFRPPIDETARGQGLPSPQEALSAHTANVPASTIPMMQQQQPPVAQMYPQVHVSHFANIMPYRQFVSPIYLPQMAMPGYSSNPAYPHPSNGSSYVLMPGGSSHLNANGLKYGIQQFKPVPAGSPTGFGNFTSPSGYAINAPGVVGNPTGLEDSSRIKYKDGNIYVPNQQADTSDLWIQNPRELPGLQSAPYYNMPQTPHGYMPSHTGHASFNAAAAQSSHMQFPGLYHPPPQPAAMANPHLGPAMGANVGVGVAPAAPGAQVGAYQQPQLGHLNWTTNF >EOY27209 pep chromosome:Theobroma_cacao_20110822:6:20689543:20698107:1 gene:TCM_029107 transcript:EOY27209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase-related protein of unknown function (DUF1296) [Source:Projected from Arabidopsis thaliana (AT3G13990) TAIR;Acc:AT3G13990] MVNGARIEGDISAPVRKTIQSIKEIVGNHSDADIYVALKEANMDPNETTQKLLHQDTFHEVRRKRDRKKESIEYKVSLDSRKRSENVGQGMKFRPYPERGSRRGSYTRNTLPGVNREFRVVRDNRVNQNANKDMKTPFSQCSTSANEQVPVNVAEKGSTGTSSNQRPFSSRSLSQTSNGPSSSQTRHARDANSSGIDRKEISEEKRNFIPNAVLRSQAVKPNNSQAHAATQSSSSSVVGVYSSSTDPVHVPSPDSRSSGAVGAIKREVGVVGVRRQPSENAVKDSSGSSGSLSNSLVGRDNSSEAFRSFPSISRADQLSHTSATESIMPGISGSRSFLSNQYGSRQNQQALGHQKANQHNKEWKPKLSQKSSVNNPGVIGTPKKSASPPADDAKGLDSETAKLQDKFSQVNIYENENVIIAQHIRVPENDRCRLTFGSFGVEFDSLRNFVPGFQATGVAEDSNGESAASLSVSAPDTSSDDAAGGKPIEILDDQIGNSGSDSPLSGTASEHQLPDTKDTSSPQNLDSYADIGLVQDNSPSYAPSESQKQQDPPELPSFSAYDPQTGYDLPYFRPPIDETARGQGLPSPQEALSAHTANVPASTIPMMQQQQPPVAQMYPQVHVSHFANIMPYRQFVSPIYLPQMAMPGYSSNPAYPHPSNGSSYVLMPGGSSHLNANGLKYGIQQFKPVPAGSPTGFGNFTSPSGYAINAPGVVGNPTGLEDSSRIKYKDGNIYVPNQQADTSDLWIQNPRELPGLQSAPYYNMPQTPHGYMPSHTGHASFNAAAAQSSHMQFPGLYHPPPQPAAMANPHLGPAMGANVGVGVAPAAPGAQVGAYQQPQLGHLNWTTNF >EOY27207 pep chromosome:Theobroma_cacao_20110822:6:20690148:20697684:1 gene:TCM_029107 transcript:EOY27207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase-related protein of unknown function (DUF1296) [Source:Projected from Arabidopsis thaliana (AT3G13990) TAIR;Acc:AT3G13990] MVNGARIEGDISAPVRKTIQSIKEIVGNHSDADIYVALKEANMDPNETTQKLLHQDTFHEVRRKRDRKKESIEYKVSLDSRKRSENVGQGMKFRPYPERGSRRGSYTRNTLPDAGVNREFRVVRDNRVNQNANKDMKTPFSQCSTSANEQVPVNVAEKGSTGTSSNQRPFSSRSLSQTSNGPSSSQTRHARDANSSGIDRKEISEEKRNFIPNAVLRSQAVKPNNSQAHAATQSSSSSVVGVYSSSTDPVHVPSPDSRSSGAVGAIKREVGVVGVRRQPSENAVKDSSGSSGSLSNSLVGRDNSSEAFRSFPSISRADQLSHTSATESIMPGISGSRSFLSNQYGSRQNQQALGHQKANQHNKEWKPKLSQKSSVNNPGVIGTPKKSASPPADDAKGLDSETAKLQDKFSQVNIYENENVIIAQHIRVPENDRCRLTFGSFGVEFDSLRNFVPGFQATGVAEDSNGESAASLSVSAPDTSSDDAAGGKPIEILDDQIGNSGSDSPLSGTASEHQLPDTKDTSSPQNLDSYADIGLVQDNSPSYAPSESQKQQDPPELPSFSQAYDPQTGYDLPYFRPPIDETARGQGLPSPQEALSAHTANVPASTIPMMQQQQPPVAQMYPQVHVSHFANIMPYRQFVSPIYLPQMAMPGYSSNPAYPHPSNGSSYVLMPGGSSHLNANGLKYGIQQFKPVPAGSPTGFGNFTSPSGYAINAPGVVGNPTGLEDSSRIKYKDGNIYVPNQQADTSDLWIQNPRELPGLQSAPYYNMPQTPHGYMPSHTGHASFNAAAAQSSHMQFPGLYHPPPQPAAMANPHLGPAMGANVGVGVAPAAPGAQVGAYQQPQLGHLNWTTNF >EOY27208 pep chromosome:Theobroma_cacao_20110822:6:20690562:20697684:1 gene:TCM_029107 transcript:EOY27208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase-related protein of unknown function (DUF1296) [Source:Projected from Arabidopsis thaliana (AT3G13990) TAIR;Acc:AT3G13990] MKFRPYPERGSRRGSYTRNTLPDAGVNREFRVVRDNRVNQNANKDMKTPFSQCSTSANEQVPVNVAEKGSTGTSSNQRPFSSRSLSQTSNGPSSSQTRHARDANSSGIDRKEISEEKRNFIPNAVLRSQAVKPNNSQAHAATQSSSSSVVGVYSSSTDPVHVPSPDSRSSGAVGAIKREVGVVGVRRQPSENAVKDSSGSSGSLSNSLVGRDNSSEAFRSFPSISRADQLSHTSATESIMPGISGSRSFLSNQYGSRQNQQALGHQKANQHNKEWKPKLSQKSSVNNPGVIGTPKKSASPPADDAKGLDSETAKLQDKFSQVNIYENENVIIAQHIRVPENDRCRLTFGSFGVEFDSLRNFVPGFQATGVAEDSNGESAASLSVSAPDTSSDDAAGGKPIEILDDQIGNSGSDSPLSGTASEHQLPDTKDTSSPQNLDSYADIGLVQDNSPSYAPSESQKQQDPPELPSFSAYDPQTGYDLPYFRPPIDETARGQGLPSPQEALSAHTANVPASTIPMMQQQQPPVAQMYPQVHVSHFANIMPYRQFVSPIYLPQMAMPGYSSNPAYPHPSNGSSYVLMPGGSSHLNANGLKYGIQQFKPVPAGSPTGFGNFTSPSGYAINAPGVVGNPTGLEDSSRIKYKDGNIYVPNQQADTSDLWIQNPRELPGLQSAPYYNMPQTPHGYMPSHTGHASFNAAAAQSSHMQFPGLYHPPPQPAAMANPHLGPAMGANVGVGVAPAAPGAQVGAYQQPQLGHLNWTTNF >EOY27211 pep chromosome:Theobroma_cacao_20110822:6:20689543:20698107:1 gene:TCM_029107 transcript:EOY27211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase-related protein of unknown function (DUF1296) [Source:Projected from Arabidopsis thaliana (AT3G13990) TAIR;Acc:AT3G13990] MVNGARIEGDISAPVRKTIQSIKEIVGNHSDADIYVALKEANMDPNETTQKLLHQDTFHEVRRKRDRKKESIEYKVSLDSRKRSENVGQGMKFRPYPERGSRRGSYTRNTLPGVNREFRVVRDNRVNQNANKDMKTPFSQCSTSANEQVPVNVAEKGSTGTSSNQRPFSSRSLSQTSNGPSSSQTRHARDANSSGIDRKEISEEKRNFIPNAVLRSQAVKPNNSQAHAATQSSSSSVVGVYSSSTDPVHVPSPDSRSSGAVGAIKREVGVVGVRRQPSENAVKDSSGSSGSLSNSLVGRDNSSEAFRSFPSISRADQLSHTSATESIMPGISGSRSFLSNQYGSRQNQQALGHQKANQHNKEWKPKLSQKSSVNNPGVIGTPKKSASPPADDAKGLDSETAKLQDKFSQVNIYENENVIIAQHIRVPENDRCRLTFGSFGVEFDSLRNFVPGFQATGVAEDSNGESAASDDAAGGKPIEILDDQIGNSGSDSPLSGTASEHQLPDTKDTSSPQNLDSYADIGLVQDNSPSYAPSESQKQQDPPELPSFSAYDPQTGYDLPYFRPPIDETARGQGLPSPQEALSAHTANVPASTIPMMQQQQPPVAQMYPQVHVSHFANIMPYRQFVSPIYLPQMAMPGYSSNPAYPHPSNGSSYVLMPGGSSHLNANGLKYGIQQFKPVPAGSPTGFGNFTSPSGYAINAPGVVGNPTGLEDSSRIKYKDGNIYVPNQQADTSDLWIQNPRELPGLQSAPYYNMPQTPHGYMPSHTGHASFNAAAAQSSHMQFPGLYHPPPQPAAMANPHLGPAMGANVGVGVAPAAPGAQVGAYQQPQLGHLNWTTNF >EOY28155 pep chromosome:Theobroma_cacao_20110822:6:24380810:24384904:-1 gene:TCM_029800 transcript:EOY28155 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAESA-like 1 isoform 2 MLLLVLSFLFFTFPPPSLSLNQEGLYLLQVKASLADPDSALSSWNSRDPTPCNWRGVSCDSATGSVTSLNLSSTNLAGPFPSLLCRLQNLTSVSLYYNNINSTIPSDISTCQNLIHLDLSQNLLTGELPHTLADLPNLKYLDLTGNNLSGDIPVSFGRFQRLEVLSLVYNLLDGTIPAFLGNISTLKMLNLSYNPFSPGRIPPELGNLTNLEILWLTECNLVGEIPDSVGRLKKLTDLDLAINHLVGKIPSSLTELTSVVQIELYNNSLTGELPRRFSNLTKLRLLDASMNELTGTIPDELTQLPLESLNLYQNNFEGALPPSIADSPALYELRIFQNRLTGELPQNLGKNSPLRWLDVSNNQFTGLIPPSLCEKGNLEEILMIYNSFSGQLPSSLAECRSLNRIRLGYNKLSGEIPAGFWGLPHVYLLELVNNSFSGQIGKSIANAANLSLLVISRNEFTGSLPEEIGSVDNLVQISAGENKFSGPLPKSIVNLDGLGILNLHGNELEGELPTGIESLKKLNELNLANNKFSGKIPDGIGSLSVLNYLDLSNNQLTGRIPLGLQNLKLNQLNLSNNLLSGELPPLFDKEMYKNSFLGNPGLCGNFSDLCAGRDGDKHKGYVWLLRSIFVLAALVFVVGVVWFYLKYRSYKKARAIDKSKWTLMSFHKLGFSEYEILDCLDEDNVIGRGSSGKVYKVVLSNGEAVAVKKLWGGAKKGCESVDLEKGQAQVQDDGFEAEVETLGKIRHKNIVKLWCCCTTRDCKLLVYEYMQNGSLGDLLHSSKGGLLDWPTRYKIIVDAAEGLSYLHHDCVPAIVHRDVKSNNILLDGDFGARVADFGVAKVVDAAGRGAKSMSVIAGSCGYIAPAEYAYTLRVNEKSDIYSFGVVILELVTGRLPIDPEYGEKDLVKWVCTTLDQKGVDHVLDSKLDPCFKEEICKVLNIGLLCTSPLPINRPSMRRVVKMLQEAGAESHPKAAAKKDGKLTPYYYEDASDQGSVA >EOY28154 pep chromosome:Theobroma_cacao_20110822:6:24380969:24389083:-1 gene:TCM_029800 transcript:EOY28154 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAESA-like 1 isoform 2 MLLLVLSFLFFTFPPPSLSLNQEGLYLLQVKASLADPDSALSSWNSRDPTPCNWRGVSCDSATGSVTSLNLSSTNLAGPFPSLLCRLQNLTSVSLYYNNINSTIPSDISTCQNLIHLDLSQNLLTGELPHTLADLPNLKYLDLTGNNLSGDIPVSFGRFQRLEVLSLVYNLLDGTIPAFLGNISTLKMLNLSYNPFSPGRIPPELGNLTNLEILWLTECNLVGEIPDSVGRLKKLTDLDLAINHLVGKIPSSLTELTSVVQIELYNNSLTGELPRRFSNLTKLRLLDASMNELTGTIPDELTQLPLESLNLYQNNFEGALPPSIADSPALYELRIFQNRLTGELPQNLGKNSPLRWLDVSNNQFTGLIPPSLCEKGNLEEILMIYNSFSGQLPSSLAECRSLNRIRLGYNKLSGEIPAGFWGLPHVYLLELVNNSFSGQIGKSIANAANLSLLVISRNEFTGSLPEEIGSVDNLVQISAGENKFSGPLPKSIVNLDGLGILNLHGNELEGELPTGIESLKKLNELNLANNKFSGKIPDGIGSLSVLNYLDLSNNQLTGRIPLGLQNLKLNQLNLSNNLLSGELPPLFDKEMYKNSFLGNPGLCGNFSDLCAGRDGDKHKGYVWLLRSIFVLAALVFVVGVVWFYLKYRSYKKARAIDKSKWTLMSFHKLGFSEYEILDCLDEDNVIGRGSSGKVYKVVLSNGEAVAVKKLWGGAKKGCESVDLEKGQAQVQDDGFEAEVETLGKIRHKNIVKLWCCCTTRDCKLLVYEYMQNGSLGDLLHSSKGGLLDWPTRYKIIVDAAEGLSYLHHDCVPAIVHRDVKSNNILLDGDFGARVADFGVAKVVDAAGRGAKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGRLPIDPEYGEKDLVKWVCTTLDQKGVDHVLDSKLDPCFKEEICKVLNIGLLCTSPLPINRPSMRRVVKMLQEAGAESHPKAAAKKDGKLTPYYYEDASDQGSVA >EOY28260 pep chromosome:Theobroma_cacao_20110822:6:24772431:24774673:-1 gene:TCM_029884 transcript:EOY28260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MRKGHGLEQVENFFSSISKRLKGFQVHVALPNCYAHENSVEKAEVLMQKMREMGFTNIPCYNILLGLYYLLNYSKLDAHMLEMEVNGIRYDRYTFGIRLSAYAAAYDVEGIDKIVEKVETSPQTALEWDTYAAAAQGNDAFDILLKLYADIGEKDEIYRIWNRYKEMGIIYNKGYKTLISALLKLDQVEGAEKIFEEWHSKKLSYDTRIPKLLIDVYSQKGLLGKAESLMDHAQAKGAEKFGWWMVLFGKWVS >EOY28442 pep chromosome:Theobroma_cacao_20110822:6:25365534:25369635:1 gene:TCM_030008 transcript:EOY28442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein isoform 2 MIPATDLHLLHSSPGFSAIRFFPCHRSRTPLRGRFFTVRSSLPFPNEKAKYHKELEAAMEVVERACRLCLDVQRSLFSDEGRILEKNDQTPVTVADFGVQALVSLELSKLFPSIPLVAEEDSGFLRSNNLVDPVVSAVSDKTSFDEESLSRADVLEAIDRGGKDAFAFGTKPATYWILDPIDGTRGFVKGSQALYVVGLSLVVEGEIVLGAMGCPNWVVDTSYRSTADVQGYKNSSPGLGIIMVAHVGCGTWTKRLKDMLDSSTKMSSDWTRCFVDGCCLVPEARFCISDSQTWESLPLSILYEARIDADDDIRDKEIRLLPTCCGSLCKYLMVASGRASVFILQARSQKVIKAWDHAVGVICVHEAGGKVTDWTGSELDLAADQVERRNIYPAGGVLVTNGNIHDQILEMISSNSTVV >EOY28443 pep chromosome:Theobroma_cacao_20110822:6:25365534:25369635:1 gene:TCM_030008 transcript:EOY28443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein isoform 2 MEVVERACRLCLDVQRSLFSDEGRILEKNDQTPVTVADFGVQALVSLELSKLFPSIPLVAEEDSGFLRSNNLVDPVVSAVSDKTSFDEESLSRADVLEAIDRGGKDAFAFGTKPATYWILDPIDGTRGFVKGSQALYVVGLSLVVEGEIVLGAMGCPNWVVDTSYRSTADVQGYKNSSPGLGIIMVAHVGCGTWTKRLKDMLDSSTKMSSDWTRCFVDGCCLVPEARFCISDSQTWESLPLSILYEARIDADDDIRDKEIRLLPTCCGSLCKYLMVASGRASVFILQARSQKVIKAWDHAVGVICVHEAGGKVTDWTGSELDLAADQVERRNIYPAGGVLVTNGNIHDQILEMISSNSTVV >EOY28712 pep chromosome:Theobroma_cacao_20110822:6:26333318:26335907:-1 gene:TCM_030234 transcript:EOY28712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFGTYKRLWSAVRKHKATVQARKVSETVSYSEHKIRATGKTNMARTRAYSMAESGKPEKHVIWHLWLTENILMRKVKDIINLKHKDACSGVNGGLPVGFLC >EOY29059 pep chromosome:Theobroma_cacao_20110822:6:27217858:27221363:1 gene:TCM_030479 transcript:EOY29059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MDMYHPRLQAHLHHPHHQEEMLQNLNHKGALAEPCLVLTSDPKPRLRWTADLHDRFVDAVTQLGGPNNFFCLWVTTAEATPKAIMRTMNVKGLTLFHLKSHLQKYRLGKQSGKDMGEGPKDGMSASYLLESPGTNNSTPSLPSSDMNEGYEVKEALRAQMEVQSKLHLQVEAEKHLQIRQDAERRYMAMLERACKMLADHFIGGGADTETENLGFSSKVPRNYCVDPLGFYSSQSAEVVNACGQDEEMPSGLHSQRADCSTESCLTSHESPGGLTMEGSAVEGKKKMLNLDSTTGSLIWGDAKVNPHGLTGYGM >EOY29058 pep chromosome:Theobroma_cacao_20110822:6:27217870:27221363:1 gene:TCM_030479 transcript:EOY29058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MDMYHPRLQAHLHHPHHQEEMLQNLNHKGALAEPCLVLTSDPKPRLRWTADLHDRFVDAVTQLGGPNKATPKAIMRTMNVKGLTLFHLKSHLQKYRLGKQSGKDMGEGPKDGMSASYLLESPGTNNSTPSLPSSDMNEGYEVKEALRAQMEVQSKLHLQVEAEKHLQIRQDAERRYMAMLERACKMLADHFIGGGADTETENLGFSSKVPRNYCVDPLGFYSSQSAEVVNACGQDEEMPSGLHSQRADCSTESCLTSHESPGGLTMEGSAVEGKKKMLNLDSTTGSLIWGDAKVNPHGLTGYGM >EOY27524 pep chromosome:Theobroma_cacao_20110822:6:22249142:22256856:-1 gene:TCM_029362 transcript:EOY27524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDYQYLTDLQLFKPPATIKVKILRIWKSTTPDNPENRLSLDFLIADAKKNVMQAMVRGFDAPLFRLILKEGSIYLIDKYRVMKSKHNFNVLPEDLMIVLSRMSKLKEVVEDSSQYPDYYFNFVHFKDLPHKIYKKKVLTDFVGMVTTITPVTKVQLNNRDIPVQKRDIYIQNASCESLKVVLYGDIALSVEEQEILQRNTNVVLVFTKLMIKTYMPGLRFCAGKFLCPIHDEKTPVLTMIQELTIEDLIGKIQLLAFGQQTEKLIGATIGELAVIKTINKMVLPPPVKALINTRRTFKVGLTGKAIEAGLTIFKIFDSTN >EOY26316 pep chromosome:Theobroma_cacao_20110822:6:7471159:7471925:-1 gene:TCM_027806 transcript:EOY26316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nine-cis-epoxycarotenoid dioxygenase 4, putative MSKNLFLNTLNIIDPPLHPSIDPNLVFTGNFAPVSELDPTDCQVTEGELPLSLNGVYIRNGPNSQLQPRRALHLFDGDGMLHSLRLSNGNATYCSRYVKTYKYMLEQDAGFPIIPNFSLVSMVSWMLSDSLWI >EOY28893 pep chromosome:Theobroma_cacao_20110822:6:26811856:26814747:-1 gene:TCM_030369 transcript:EOY28893 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MDLVMVSSCKSGQVNTSRLLDVHSLSILREVRPDLENQNMMGNLPLDLIADILSRLPVKYVLRLRCVSKAWRSLIGDPDFIKLHLRHSLESRTNHTLILKSSDLYAADLACLGPFAKLEHPLMSYNHGVKILGSCNGLLCIRNIVEDMAIWNPYTRKHQVLPSLSSCNAYVYGFGYDTVSDDYKVVKIMQLGGVDGKALESEVKICSLKRLAWRRIPDIPCIFSFPGVNGVFASGALHWVLTHKVQLSEENVIVALDLAAEKYREIPQPEYIDKRFQLDVGVLGGCLCAIANYDDVRVDLWVMKEYGLKESWTRLFSVAREEVIGPLRYVKPLAYSRSGDQVLLEHNSMNHFWYDLKERKANDVWFDDMRFSCETEICLQSLVSLYVNRRQLNREDNGDIQKMDDFLSEGFKLVL >EOY25768 pep chromosome:Theobroma_cacao_20110822:6:1808367:1819660:1 gene:TCM_027138 transcript:EOY25768 gene_biotype:protein_coding transcript_biotype:protein_coding description:STELAR K+ outward rectifier isoform 2 MREINGIRDESPANGEEYDYEVEELRDRIQSSRGSRFDLIANEFGLAPARGRRKFSRRTVIDGIKDLRGLAIHPDNRWYRAWTKFILIWALYSSFFTPMEFGFFRGLPENLFILDIAGQVAFLLDIVLQFFLAYRDSQTYRMIYKRTSIAIRYLKSSFVIDLLGCMPWDIIYKASGRKEEVRYLLWIRLYRVRKVTEFFQNIEKDIRINYLFTRIIKLIFVELYCTHTAACIFYFLATTLPPEEEGYTWIGSLKLGDYSFSHFREIDLWKRYTTSMYFAIVTMATVGYGDIHAVNMREMIFIMIYVSFDMILGAYLIGNMTALIVKGSKTEKFRDKMTDVIKYMNRNRLDRDIRNQIKGHLRLQYESSYTEGAVLQDIPISIRAKISQSLYMPYIVNVSLFKGCSAEFINQIVIRLHEEFFLPGEVIMEQGNVVDQLYFVCHGVLEEVAIGEDGSEETVSLLQPNSSFGEISILCNIPQPYTVRVCDLCRLLRLDKQSFSNILEIYFYDGRRILNNLLEGKESNLRVKQLESDISFHIGKQEAELALRVNCAAYHGDLHQLKSLIRAGADPDKTDYDGRSPLHLAASKGHDDITKYLIQHGVDINLKDKFGNAPLLEAIKNGHDHVAAMLVREGAYLNIDDAGSFLCAAVVKGDSDFIKRVLSNGIDLNSRDYDHRTALHVAASEGLYLMAKLLIEAGASVFTKDRWGNTPLDEGRMCGNKHLIKLLEDAKSTQLTEFPYCSREITDKMHPKKCTVFPFHPQEAKEQRRHGIVLWIPHTIEDLVKTAAEQLEFPDGSCVLSEDAGKILDVDMINDGEKLYLISETH >EOY25767 pep chromosome:Theobroma_cacao_20110822:6:1808143:1819533:1 gene:TCM_027138 transcript:EOY25767 gene_biotype:protein_coding transcript_biotype:protein_coding description:STELAR K+ outward rectifier isoform 2 MREINGIRDESPANGEEYDYEVEELRDRIQSSRGSRFDLIANEFGLAPARGRRKFSRRTVIDGIKDLRGLAIHPDNRWYRAWTKFILIWALYSSFFTPMEFGFFRGLPENLFILDIAGQVAFLLDIVLQFFLAYRDSQTYRMIYKRTSIAIRTNVVDFLLNLYLKYLKSSFVIDLLGCMPWDIIYKASGRKEEVRYLLWIRLYRVRKVTEFFQNIEKDIRINYLFTRIIKLIFVELYCTHTAACIFYFLATTLPPEEEGYTWIGSLKLGDYSFSHFREIDLWKRYTTSMYFAIVTMATVGYGDIHAVNMREMIFIMIYVSFDMILGAYLIGNMTALIVKGSKTEKFRDKMTDVIKYMNRNRLDRDIRNQIKGHLRLQYESSYTEGAVLQDIPISIRAKISQSLYMPYIVNVSLFKGCSAEFINQIVIRLHEEFFLPGEVIMEQGNVVDQLYFVCHGVLEEVAIGEDGSEETVSLLQPNSSFGEISILCNIPQPYTVRVCDLCRLLRLDKQSFSNILEIYFYDGRRILNNLLEGKESNLRVKQLESDISFHIGKQEAELALRVNCAAYHGDLHQLKSLIRAGADPDKTDYDGRSPLHLAASKGHDDITKYLIQHGVDINLKDKFGNAPLLEAIKNGHDHVAAMLVREGAYLNIDDAGSFLCAAVVKGDSDFIKRVLSNGIDLNSRDYDHRTALHVAASEGLYLMAKLLIEAGASVFTKDRWGNTPLDEGRMCGNKHLIKLLEDAKSTQLTEFPYCSREITDKMHPKKCTVFPFHPQEAKEQRRHGIVLWIPHTIEDLVKTAAEQLEFPDGSCVLSEDAGKILDVDMINDGEKLYLISETH >EOY26388 pep chromosome:Theobroma_cacao_20110822:6:8920673:8943551:-1 gene:TCM_027932 transcript:EOY26388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVEKFDKNRNKDMFKVTRPRVDIHGCDHMISPMLANIVETAMAMGIRWKGASHIVIMWKKIHGLIIYDYPLESLESF >EOY25813 pep chromosome:Theobroma_cacao_20110822:6:2050774:2053931:-1 gene:TCM_027178 transcript:EOY25813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance-like protein MTHNFIKSESTYRSKPPSDSSLLVNQTPHLIMGSLCTFQIGIDTIISDCRDCIVGQACYTCKLKRNHKALTRARENLEARKGDVNDSVARAEQQLIKPSNEVKLWLTRPEKTIEKAEKLIDRASGEIEKLRLAGCFSKDCKSSYKFGKQVARELKEIGVLLNEGYFDKIGEKEAASKVERRPSEPTVGLGSTLSLVRSSLEDNNVGIIGLYGLGGVGKTSLLTEINNMLCDPPIRYDVVIWVESSRSDTVQEKICEKIRPSDEPWKKKVGGEMAQDIYRILSQRKFVLLLDDVWKLVNLKDVGIPNPSPENGSKVVLTTRLSHVCRAMRAQRIIEVKCLGEPEAWTLFQQKVTFTNSDPEVREVAKSVAAECGGLPLALDIIGRAMTGKTRRESWDFAKKVLKNSAHKFVEIRKLYSVLSDSYETLLRDRMKSCFLYSSLFPEGSPILKSSLINYWFGEGLLDMFESINDALTHGHYVIEQLVSANLFQQDEKDDYVKLHDVIRDMALWIACGREAAGKRFLVQAGLQLTEAPKVGNWKDMRRMSLMQNEIKNLSFIPRCPKIETLFLNSNDLQVIKGGFFQSIRNLRVLNLSDNRNLTRLPEGISELVSLECLDLSSTGLRELPIKLESLSKLKLLDLKNIKKMERIPQKLIRLIEELRRLQDLNMLSTHIGSISALERFLSSHNLRGCMVELWLHTLSESEELNVISLANMKLESLNVCNCEIMKEVIRIQIPTNTPRFDKLGTVEISFGHNLKDTTWLILAPNLKSLTVAFCQEMEKIMSKHKLSEVANVVGDLDSKQFDKLQILWLNTLPNWKAYAGKPYLSHV >EOY25568 pep chromosome:Theobroma_cacao_20110822:6:471874:522269:-1 gene:TCM_026953 transcript:EOY25568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-soluble NSF attachment protein isoform 2 MSTSDPSKLISKADKLTKLSLTRWSADWKSATVLYEQAANGFRVARNYEKAKLAFEKASQGQEMLSSPWDAAKHMESAAALAKELRNWAEVIDFYRKASELYMQCDRPQPASDALAKGARALEDALPDDAIQLYTDACVILEDDGKEQMAFDLYRAATSVYVKLEKYTDAAAFLLRLGLAADKCNARNSQCKAYLSAIIVYLYAHDLKQAEKCYNDCSQIDAFLGSDQNRCASKLLSAYTEGDVEEIKRVAQSSAISNLDNVIIKLARKLP >EOY25570 pep chromosome:Theobroma_cacao_20110822:6:471875:475589:-1 gene:TCM_026953 transcript:EOY25570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-soluble NSF attachment protein isoform 2 MSTSDPSKLISKADKLTKLSLTRWSADWKSATVLYEQAANGFRVARNYEKAKLAFEKASQGQEMLSSPWDAAKHMESAAALAKELRNWAEVIDFYRKASELYMQCDRPQPASDALAKGARALEDALPDDAIQLYTDACVILEDDGKEQMAFDLYRAATSVYVKLEKYTDAAAFLLRLGLAADKCNARNSQCKAYLSAIIVYLYAHDLKQAEKCYNDCSQIDAFLGSDQNRCASKLLSAYTEDHKACKKVA >EOY25569 pep chromosome:Theobroma_cacao_20110822:6:471341:484548:-1 gene:TCM_026953 transcript:EOY25569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-soluble NSF attachment protein isoform 2 KLISKADKLTKLSLTRWSADWKSATVLYEQAANGFRVARNYEKAKLAFEKASQGQEMLSSPWDAAKHMESAAALAKELRNWAEVIDFYRKASELYMQCDRPQPASDALAKGARALEDALPDDAIQLYTDACVILEDDGKEQMAFDLYRAATSVYVKLEKYTDAAAFLLRLGLAADKCNARNSQCKAYLSAIIVYLYAHDLKQAEKCYNDCSQIDAFLGSDQNRCASKLLSAYTEGDVEEIKRVAQSSAISNLDNVDHKACKKVAYW >EOY25567 pep chromosome:Theobroma_cacao_20110822:6:471320:475504:-1 gene:TCM_026953 transcript:EOY25567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-soluble NSF attachment protein isoform 2 MSTSDPSKLISKADKLTKLSLTRWSADWKSATVLYEQAANGFRVARNYEKAKLAFEKASQGQEMLSSPWDAAKHMESAAALAKELRNWAEVIDFYRKASELYMQCDRPQPASDALAKGARALEDALPDDAIQLYTDACVILEDDGKEQMAFDLYRAATSVYVKLEKYTDAAAFLLRLGLAADKCNARNSQCKAYLSAIIVYLYAHDLKQAEKCYNDCSQIDAFLGSDQNRCASKLLSAYTEGDVEEIKRVAQSSAISNLDNVIIKLARKLPTGDVSAFKTDAANEEEPLDENDLT >EOY28814 pep chromosome:Theobroma_cacao_20110822:6:26608283:26612889:-1 gene:TCM_030307 transcript:EOY28814 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MVVLERLARTARSWRKIASPKILTPSRASSRDLPSALYHRSSGCLKCILDTQQLRLAAASSGSYSMLPAVLAGLLGAGVLETAYAEADEVAAKPPLPSETPASHVNLEETAKKERQRIEQLLKDKGMKSGSYPRFTVAIKGQKVTIKFQIPPSCEVAQLIANLVSNLGLKVEERGGGSDMLLRAWDSSVAWQLTLNPLEKQKETGVNEGHSVDRNGDGGNLCILIFHSLISSDKAEIEFLKQGSLNPNELDALVSVLQLAGGKLGQSKSRVGKPREGSSQMPSAEKSIASLEAMGVRIYGLDAPHQNSSYSEISWDNIAGYDQQKREIEDTILLALNSPEVYDDIARGTRCKFESNRPRAVLFEGPPGTGKTSCARVIANQAGVPLLYVPLEVVMSKYYGESERLMGQVFSLANQLPDGAIVFLDEVDAFAIARDGEIHEATRRVLSVLLRQIDGFEQDKKVVVIAATNRKQDLDPALISRFDAMIGFGLPDEQNRQEIAAQYAKHLTESELIELARVTDEISCFSLFTEHCHLAYPECLGGTSVM >EOY28816 pep chromosome:Theobroma_cacao_20110822:6:26608283:26612889:-1 gene:TCM_030307 transcript:EOY28816 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MVVLERLARTARSWRKIASPKILTPSRASSRDLPSALYHRSSGCLKCILDTQQLRLAAASSGSYSMLPAVLAGLLGAGVLETAYAEADEVAAKPPLPSETPASHVNLEETAKKERQRIEQLLKDKGMKSGSYPRFTVAIKGQKVTIKFQIPPSCEVAQLIANLVSNLGLKVEERGGGSDMLLRAWDSSVAWQLTLNPLEKQKETGVNEGHSVDRNGDGGNLCILIFHSLISSDKAEIEFLKQGSLNPNELDALVSVLQLAGGKLGQSKSRVGKPREGSSQMPSAEKSIASLEAMGVRIYGLDAPHQNSSYSEISWDNIAGYDQQKREIEDTILLALNSPEVYDDIARGTRCKFESNRPRAVLFEGPPGTGKTSCARVIANQAGVPLLYVPLEVVMSKYYGESERLMGQVFSLANQLPDGAIVFLDEVDAFAIARDGEIHEATRRVLSVLLRQIDGFEQDKKVVVIAATNRKQDLDPALIRYEDAVSHAEANYTLS >EOY28813 pep chromosome:Theobroma_cacao_20110822:6:26607239:26612838:-1 gene:TCM_030307 transcript:EOY28813 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MVVLERLARTARSWRKIASPKILTPSRASSRDLPSALYHRSSGCLKCILDTQQLRLAAASSGSYSMLPAVLAGLLGAGVLETAYAEADEVAAKPPLPSETPASHVNLEETAKKERQRIEQLLKDKGMKSGSYPRFTVAIKGQKVTIKFQIPPSCEVAQLIANLVSNLGLKVEERGGGSDMLLRAWDSSVAWQLTLNPLEKQKETGVNEGHSVDRNGDGGNLCILIFHSLISSDKAEIEFLKQGSLNPNELDALVSVLQLAGGKLGQSKSRVGKPREGSSQMPSAEKSIASLEAMGVRIYGLDAPHQNSSYSEISWDNIAGYDQQKREIEDTILLALNSPEVYDDIARGTRCKFESNRPRAVLFEGPPGTGKTSCARVIANQAGVPLLYVPLEVVMSKYYGESERLMGQVFSLANQLPDGAIVFLDEVDAFAIARDGEIHEATRRVLSVLLRQIDGFEQDKKVVVIAATNRKQDLDPALISRFDAMIGFGLPDEQNRQEIAAQYAKHLTESELIELARVTDEMSGRDIRDACQQAERSWASKLIRGQATKNEEQKSLPPLEEYIKSAMNRRKALLSVAEQRNHNSNLRTKKPHLDYGVLID >EOY28815 pep chromosome:Theobroma_cacao_20110822:6:26608385:26612889:-1 gene:TCM_030307 transcript:EOY28815 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MVVLERLARTARSWRKIASPKILTPSRASSRDLPSALYHRSSGCLKCILDTQQLRLAAASSGSYSMLPAVLAGLLGAGVLETAYAEADEVAAKPPLPSETPASHVNLEETAKKERQRIEQLLKDKGMKSGSYPRFTVAIKGQKVTIKFQIPPSCEVAQLIANLVSNLGLKVEERGGGSDMLLRAWDSSVAWQLTLNPLEKQKETGVNEGHSVDRNGDGGNLCILIFHSLISSDKAEIEFLKQGSLNPNELDALVSVLQLAGGKLGQSKSRVGKPREGSSQMPSAEKSIASLEAMGVRIYGLDAPHQNSSYSEISWDNIAGYDQQKREIEDTILLALNSPEVYDDIARGTRCKFESNRPRAVLFEGPPGTGKTSCARVIANQAGVPLLYVPLEVVMSKYYGESERLMGQVFSLANQLPDGAIVFLDEVDAFAIARDGEIHEATRRVLSVLLRQIDGFEQDKKVVVIAATNRKQDLDPALISRFDAMIGFGLPDEQNRQEIAAQYAKHLTESELIELARVTDDIPFLCLFL >EOY28374 pep chromosome:Theobroma_cacao_20110822:6:25172765:25173751:1 gene:TCM_029960 transcript:EOY28374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELSESCESHNSPNASKLKTRFPTKDLCFLLSLQSPGLCGSERKKNGRCKKGNDQQWEFFTKDCWTSDPKEGSSESGNIGGDCPFFCFYIFSKQSQGSCCCKLVLALHLCPKQGKKERHEILMILEERVGGVVACPRKDVSCLVFG >EOY28808 pep chromosome:Theobroma_cacao_20110822:6:26596433:26597154:1 gene:TCM_030303 transcript:EOY28808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTINRMIMIRIMGSTCRAILGNSPLEKQLAFQISPSPHKEKYPTMHPYHSKAKQIFSRSWMTLGLLRMWI >EOY25916 pep chromosome:Theobroma_cacao_20110822:6:2735813:2740174:-1 gene:TCM_027288 transcript:EOY25916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein isoform 3 MSKEEVFIGSVDQGTTSTRFIIYDKSARPIGSHQVEFTQFYPEAGWVEHDPMEILESVRVCIAKALDKATADGHNVDSGLKAIGLTNQRETTLLWSKSTGCPLYNAIVWMDARTSSVCRKLEKELPGGRTHFVESCGLPISTYFSAVKLLWLMENVDAVKAAIKEGDALFGTIDTWLIWNLTGGINGGLHVTDVSNASRTMLMDLKTLDWDKTTLQTLGIPAEILPKIISNSEVIGKIGKGWPIAGVPIAGCLGDQHAAMVGQACRKGEAKSTYGTGAFILLNTGDEMVRSNHGLLSTLAFKLGPKAPTNYALEGSIAIAGAAVQWLRDSLGIISTASEIESLALQVDSTGGVYFVPAFNGLFAPWWRDDARGVCIGITRFTSKAHIARAVLESMCFQVKDVLDSMHKDAGEKGEVKNEKGEFLLRVDGGATVNNLLMQIQADLLGSPVVRPADIETTALGAAYAAGLAVGVWKEDEIFASREKIKTATIFRPVLSEELRKKKVDSWCKAVERTFDLADLSI >EOY25918 pep chromosome:Theobroma_cacao_20110822:6:2735837:2740088:-1 gene:TCM_027288 transcript:EOY25918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein isoform 3 MSKEEVFIGSVDQGTTSTRFIIYDKSARPIGSHQVEFTQFYPEAGWVEHDPMEILESVRVCIAKALDKATADGHNVDSGLKAIGLTNQRETTLLWSKSTGCPLYNAIVWMDARTSSVCRKLEKELPGGRTHFVESCGLPISTYFSAVKLLWLMENVDAVKAAIKEGDALFGTIDTWLIWNLTGGINGGLHVTDVSNASRTMLMDLKTLDWDKTTLQTLGIPAEILPKIISNSEVIGKIGKGWPIAGVPIAGCLGDQHAAMVGQACRKGEAKSTYGTGAFILLNTGDEMVRSNHGLLSTLAFKLGPKAPTNYALEGSIAIAGAAVQWLRDSLGIISTASEIESLALQVDSTGGVYFVPAFNGLFAPWWRDDARGVCIGITRFTSKAHIARAVLESMCFQVKDVLDSMHKDAGEKGEVKNEKGEFLLRVDGGATVNNLLMQIQTCWGALW >EOY25917 pep chromosome:Theobroma_cacao_20110822:6:2736115:2740036:-1 gene:TCM_027288 transcript:EOY25917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein isoform 3 MSKEEVFIGSVDQGTTSTRFIIYDKSARPIGSHQVEFTQFYPEAGWVEHDPMEILESVRVCIAKALDKATADGHNVDSGLKAIGLTNQRETTLLWSKSTGCPLYNAIVWMDARTSSVCRKLEKELPGGRTHFVESCGLPISTYFSAVKLLWLMENVDAVKAAIKEGDALFGTIDTWLIWNLTGGINGGLHVTDVSNASRTMLMDLKTLDWDKTTLQTLGIPAEILPKIISNSEVIGKIGKGWPIAGVPIAGCLGDQHAAMVGQACRKGEAKSTYGTGAFILLNTGDEMVRSNHGLLSTLAFKLGPKAPTNYALEGSIAIAGAAVQWLRDSLGIISTASEIESLALQVDSTGGVYFVPAFNGLFAPWWRDDARGVCIGITRFTSKAHIARAVLESMCFQVKDVLDSMHKDAGEKGEVKNEKGEFLLRVDGGATVNNLLMQIQRQTCWGALW >EOY25854 pep chromosome:Theobroma_cacao_20110822:6:2345208:2347417:1 gene:TCM_027219 transcript:EOY25854 gene_biotype:protein_coding transcript_biotype:protein_coding description:NBS-LRR type disease resistance protein MRNSSKQAVFSNPQFIHSFFCVHQPNIMGNLCAISISTADTVSRCWDCIVGQASYICKLEDNLKALSVELAKLKARSDDLKHRVDLAEQQRMKQLNQVQLWLSRVQTVAAEAEELIQNGPREIQKLCFAGCFSKNCKSSYKFGKQVSRKLEEIVDLNEKGEFERVVENELAPQVDVRPTEPTVGLESTLANVWRLLEEKDVGIVGLYGLGGVGKTTLLTQINNKLSNNLFGYDVVIWVVVSKDHTIEKVQEKICEKRVDLIKVGIPVPNQDNVSKLIFTTRFLEVCGKMEAQEKIEVKCLRKDEAWELFEKKVGEETLDSHPNTRGLAKQVAAKRGGLPLALITIGRAMACKKMPHNWKYAIEVLKKFSHKLARMDQQVYSLLKFSYDSLPIDTMRSCLLCCSLYPEDFFISVNMLIEC >EOY27739 pep chromosome:Theobroma_cacao_20110822:6:23004105:23005944:1 gene:TCM_029514 transcript:EOY27739 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG-box DNA-binding family protein isoform 1 MAKKATKSKKSRSSSTSAASAKNPSTPSNQKMVLRVKSSEKMKRSAQQSVVSEREKRRTSKSRPKSKQKKKNTRIDAKMPKKPPTAFFYFLEDFRKEFQEQNPDIKSMRDIGRACGEKWKTMTYE >EOY27738 pep chromosome:Theobroma_cacao_20110822:6:23004044:23006324:1 gene:TCM_029514 transcript:EOY27738 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG-box DNA-binding family protein isoform 1 MAKKATKSKKSRSSSTSAASAKNPSTPSNQKMVLRVKSSEKMKRSAQQSVVSEREKRRTSKSRPKSKQKKKNTRIDAKMPKKPPTAFFYFLEDFRKEFQEQNPDIKSMRDIGRACGEKWKTMTYEEKVKYYDIATEKRAEFDRAMADYIKRKENGEDQETEDDSEFDE >EOY28281 pep chromosome:Theobroma_cacao_20110822:6:24813954:24818743:1 gene:TCM_029896 transcript:EOY28281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor-related isoform 2 MPPLAKKYVLQMLYIDVPVTAKSLEEWVLADGSSKHKVAIDRLIQLRILEVIDRKKETSYKLNPTFQTNLRKHLIYGGILPREPMPSNVTVRLPTSEELDAYAHEQWECFLLQLISSGQAEKPTNFSSSMMRIFQRGLLCQREKEAPRLTESGFQFLLMDTNAQLWYIIREYISNSEEQGVDQADLIAFLLELSFHTTGEAYNMNTLTDDQRAMIKDLSDLGLVKLQQGRKDSWFIPTKLATNLSVSLTDSSSRKQGFVVVETNFRMYAYSSSKLHCEILRLFSRVEYQLPNLIVGAITKESLYNAFENGIAAEQQNAHPRVAEKLPSVPENVTDQIRLWETDLNRVEMTPAHFYDDFPSRDVFEAASDLARVHCGLLWEDAKKMRMVVKAEIHMLMREQLRGQNK >EOY28279 pep chromosome:Theobroma_cacao_20110822:6:24813619:24818824:1 gene:TCM_029896 transcript:EOY28279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor-related isoform 2 MPQVKIIAKNFMDMVASLPAIKLDMLYRNQFICEAILRSMPPLAKKYVLQMLYIDVPVTAKSLEEWVLADGSSKHKVAIDRLIQLRILEVIDRKKETSYKLNPTFQTNLRKHLIYGGILPREPMPSNVTVRLPTSEELDAYAHEQWECFLLQLISSGQAEKPTNFSSSMMRIFQRGLLCQREKEAPRLTESGFQFLLMDTNAQLWYIIREYISNSEEQGVDQADLIAFLLELSFHTTGEAYNMNTLTDDQRAMIKDLSDLGLVKLQQGRKDSWFIPTKLATNLSVSLTDSSSRKQGFVVVETNFRMYAYSSSKLHCEILRLFSRVEYQLPNLIVGAITKESLYNAFENGIAAEQIITFLQQNAHPRVAEKLPSVPENVTDQIRLWETDLNRVEMTPAHFYDDFPSRDVFEAASDLARVHCGLLWEDAKKMRMVVKAEIHMLMREQLRGQNK >EOY28280 pep chromosome:Theobroma_cacao_20110822:6:24813676:24818751:1 gene:TCM_029896 transcript:EOY28280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor-related isoform 2 MPPLAKKYVLQMLYIDVPVTAKSLEEWVLADGSSKHKVAIDRLIQLRILEVIDRKKETSYKLNPTFQTNLRKHLIYGGILPREPMPSNVTVRLPTSEELDAYAHEQWECFLLQLISSGQAEKPTNFSSSMMRIFQRGLLCQREKEAPRLTESGFQFLLMDTNAQLWYIIREYISNSEEQGVDQADLIAFLLELSFHTTGEAYNMNTLTDDQRAMIKDLSDLGLVKLQQGRKDSWFIPTKLATNLSVSLTDSSSRKQGFVVVETNFRMYAYSSSKLHCEILRLFSRVEYQLPNLIVGAITKESLYNAFENGIAAEQIITFLQQNAHPRVAEKLPSVPENVTDQIRLWETDLNRVEMTPAHFYDDFPSRDVFEAASDLARVHCGLLWEDAKKMRMVVKAEIHMLMREQLRGQNK >EOY28282 pep chromosome:Theobroma_cacao_20110822:6:24813676:24818781:1 gene:TCM_029896 transcript:EOY28282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor-related isoform 2 MPQVKIIAKNFMDMVASLPAIKLDMLYRNQFICEAILRSMPPLAKKYVLQMLYIDVPVTAKSLEEWVLADGSSKHKVAIDRLIQLRILEVIDRKKETSYKLNPTFQTNLRKHLIYGGILPREPMPSNVTVRLPTSEELDAYAHEQWECFLLQLISSGQAEKPTNFSSSMMRIFQRGLLCQREKEAPRLTESGFQFLLMDTNAQLWYIIREYISNSEEQGVDQADLIAFLLELSFHTTGEAYNMNTLTDDQRAMIKDLSDLGLVKLQQGRKDSWFIPTKLATNLSVSLTDSSSRKQGFVVVETNFRMYAYSSSKLHCEILRLFSRVEYQLPNLIVGAITKESLYNAFENGIAAEQQNAHPRVAEKLPSVPENVTDQIRLWETDLNRVEMTPAHFYDDFPSRDVFEAASDLARVHCGLLWEDAKKMRMVVKAEIHMLMREQLRGQNK >EOY29033 pep chromosome:Theobroma_cacao_20110822:6:27160570:27165634:1 gene:TCM_030465 transcript:EOY29033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 2 isoform 1 MVQCLEGLKHLCAAVINCCDADLYKQPKGLEDPEALARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILDFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >EOY29034 pep chromosome:Theobroma_cacao_20110822:6:27160570:27165657:1 gene:TCM_030465 transcript:EOY29034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 2 isoform 1 MVQCLEGLKHLCAAVINCCDADLYKQPKGLEDPEALARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILDFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQETFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >EOY28993 pep chromosome:Theobroma_cacao_20110822:6:27052870:27059972:-1 gene:TCM_030439 transcript:EOY28993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase, putative isoform 1 MSASANSTTAIVIAEMKNEFKNLNSLMRPTGKKVVWIKLPIQHVNLVEAAVKPNYLMLAYWIPGGTRTLPANASHRIGIGVFVMNEKREVLVVQENTGRFRGTGVWKFPTGVVNEGGDLCTAAVREVKEETAWMPFEEYAAQPFVQTNELSNCIVDICKAKEDRKYSGFVPVPTSSLFSYEKNYMYFNTRDFGGR >EOY28994 pep chromosome:Theobroma_cacao_20110822:6:27053227:27055792:-1 gene:TCM_030439 transcript:EOY28994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase, putative isoform 1 MLAYWIPGGTRTLPANASHRIGIGVFVMNEKREVLVVQENTGRFRGTGVWKFPTGVVNEGGDLCTAAVREVKEETAWMPFEEYAAQPFVQTNELSNCIVDICKAKEDRKYSGFVPVPTSSLFSYEKNYMYFNTRDFGGR >EOY27655 pep chromosome:Theobroma_cacao_20110822:6:22640495:22653635:1 gene:TCM_029442 transcript:EOY27655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Teosinte branched 1, putative isoform 4 MEVEEIQTQACKFSRVGNGRNDSSKIGQKVSDNYPDDEEDGELNKRAGANGGGGGGGGGADTAGTNRLRGWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKAASDAIAELPSLNSSFPDTPKQLSDEKRASGGNEQGFDSAEVELDGDPNNYQQNQSQHLSLSKSACSSTSETSRNSGLSLSRSEIRVKARERARERAAKEKEKEQESRIAHHQNVNPISQNSSFTELLTGGIGSVGNNNASPTASAHQNPNGEPDFFHKANTARQWPGTPMDYFTSGLLAPSSSSRSHHSSGFPGQIQLGNSLPQSISISPFNVSGENHQELQHFSFVPNPDHLIPVATTQPGPGGDYNLNFTISSGLAGFNRGTLQSNSPSFSPHLLQRFSSIDGSSPFYIGTPPVENHHHHQFPAGLDGRLQLCYGDGSRSSDQKGKGKN >EOY27651 pep chromosome:Theobroma_cacao_20110822:6:22640360:22653536:1 gene:TCM_029442 transcript:EOY27651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Teosinte branched 1, putative isoform 4 MEVEEIQTQACKFSRVGNGRNDSSKIGQKVSDNYPDDEEDGELNKRAGANGGGGGGGGGADTAGTNRLRGWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKAASDAIAELPSLNSSFPDTPKQLSDEKRASGGNEQGFDSAEVELDGDPNNYQQNQSQHLSLSKSACSSTSETSRNSGLSLSRSEIRVKARERARERAAKEKEKEQESRIAHHQNVNPISQNSSFTELLTGGIGSVGNNNASPTASAHQNPNGEPDFFHKANTARQWPGTPMDYFTSGLLAPSSSSRSHHSSGFPGQIQLGNSLPQSISISPFNVSGENHQELQHFSFVPNPDHLIPVATTQPGPGGDYNLNFTISSGLAGFNRGTLQSNSPSFSPHLLQRFSSIDGSSPFYIGTPPVENHHHHQFPAGLDGRLQLCYGDGSRSSDQKGKGKN >EOY27653 pep chromosome:Theobroma_cacao_20110822:6:22641500:22653946:1 gene:TCM_029442 transcript:EOY27653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Teosinte branched 1, putative isoform 4 MEVEEIQTQACKFSRVGNGRNDSSKIGQKVSDNYPDDEEDGELNKRAGANGGGGGGGGGADTAGTNRLRGWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKAASDAIAELPSLNSSFPDTPKQLSDEKRASGGNEQGFDSAEVELDGDPNNYQQNQSQHLSLSKSACSSTSETSRNSGLSLSRSEIRVKARERARERAAKEKEKEQESRIAHHQNVNPISQNSSFTELLTGGIGSVGNNNASPTASAHQNPNGEPDFFHKANTARQWPGTPMDYFTSGLLAPSSSSRSHHSSGFPGQIQLGNSLPQSISISPFNVSGENHQELQHFSFVPNPDHLIPVATTQPGPGGDYNLNFTISSGLAGFNRGTLQSNSPSFSPHLLQRFSSIDGSSPFYIGTPPVENHHHHQFPAGLDGRLQLCYGDGSRSSDQKGKGKN >EOY27652 pep chromosome:Theobroma_cacao_20110822:6:22640736:22653635:1 gene:TCM_029442 transcript:EOY27652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Teosinte branched 1, putative isoform 4 MEVEEIQTQACKFSRVGNGRNDSSKIGQKVSDNYPDDEEDGELNKRAGANGGGGGGGGGADTAGTNRLRGWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKAASDAIAELPSLNSSFPDTPKQLSDEKRASGGNEQGFDSAEVELDGDPNNYQQNQSQHLSLSKSACSSTSETSRNSGLSLSRSEIRVKARERARERAAKEKEKEQESRIAHHQNVNPISQNSSFTELLTGGIGSVGNNNASPTASAHQNPNGEPDFFHKANTARQWPGTPMDYFTSGLLAPSSSSRSHHSSGFPGQIQLGNSLPQSISISPFNVSGENHQELQHFSFVPNPDHLIPVATTQPGPGGDYNLNFTISSGLAGFNRGTLQSNSPSFSPHLLQRFSSIDGSSPFYIGTPPVENHHHHQFPAGLDGRLQLCYGDGSRSSDQKGKGKN >EOY27654 pep chromosome:Theobroma_cacao_20110822:6:22640353:22643903:1 gene:TCM_029442 transcript:EOY27654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Teosinte branched 1, putative isoform 4 MEVEEIQTQACKFSRVGNGRNDSSKIGQKVSDNYPDDEEDGELNKRAGANGGGGGGGGGADTAGTNRLRGWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKAASDAIAELPSLNSSFPDTPKQLSDEKRASGGNEQGFDSAEVELDGDPNNYQQNQSQHLSLSKSACSSTSETSRNSGLSLSRSEIRVKARERARERAAKEKEKEQESRIAHHQNVNPISQNSSFTELLTGGIGSVGNNNASPTASAHQNPNGEPDFFHKANTARQWPGTPMDYFTSGLLAPSSSSRSHHSSGFPGQIQLGNSLPQSISISPFNVSGENHQELQHFSFVPNPDHLIPVATTQPGPGGDYNLNFTISSGLAGFNRGTLQSNSPSFSPHLLQRFSSIDGSSPFYIGTPPVENHHHHQFPAGLDGRLQLCYG >EOY28760 pep chromosome:Theobroma_cacao_20110822:6:26467381:26468117:-1 gene:TCM_030272 transcript:EOY28760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific Stig1 family protein, putative MKSLKLFFMLAMVMALGAIALSASTPPSKEEPFLNNGDDKNATETSDRVPTSIRGAGRFLAQSSSRAALTCDKNPKVCRTKGSQGPSCCSKKCVDLKTDRFNCGKCGKKCKYSKICCQGKCVNPLSNSKHCGGCNNSCGNGNACLYGMCSYA >EOY26017 pep chromosome:Theobroma_cacao_20110822:6:3669452:3674728:-1 gene:TCM_027409 transcript:EOY26017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi snare 11 isoform 1 MDVPSSWDALRKQARKLEAQLDEQMNSYRKLVSTKVSTKVDSEENDLESGIDRLLKQLQQVNMQMQDWVSSGGSEMVSHTLTRHQEILQDLTQQMDSVISHAQATLGALVLQRSTFGGINSKLSNVGSRLPTVNHILSAIKRKKSMDTIILSFVASVCTFLIFIYWLSK >EOY26016 pep chromosome:Theobroma_cacao_20110822:6:3669341:3674635:-1 gene:TCM_027409 transcript:EOY26016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi snare 11 isoform 1 MDVPSSWDALRKQARKLEAQLDEQMNSYRKLVSTKVSTKVDSEENDLESGIDRLLKQLQQVNMQMQDWVSSGGSEMVSHTLTRHQEILQDLTQEFYRLRSSLRAKQEHASLLEDFREFDRTRLDLEEGVGSTEQALLREHAAISRSTGQMDSVISHAQATLGALVLQRSTFGGINSKLSNVGSRLPTVNHILSAIKRKKSMDTIILSFVASVCTFLIFIYWLSK >EOY28335 pep chromosome:Theobroma_cacao_20110822:6:25019552:25024431:1 gene:TCM_029932 transcript:EOY28335 gene_biotype:protein_coding transcript_biotype:protein_coding description:P(E)-nerolidol/(E,E)-geranyl linalool synthase, putative isoform 1 MELLHVSIRALVKEIKEEMLDIDPCLFVSSSAYDTAWLAMINPAADSDRPHSSPMFKACLDWVLNNQTEEGYWGECDSHGNPTIESLPATLACVIALKKWNVGNKNTEKGLDFVHGNAEKLLGVNHDHFPRWFTIVFPGMIELARKAGLELAFPSQLNELLSDIFYQRQRILETEELVDGQYPPLLSYIEALPSSYDISGEDITMNLSGDGSLFQSPAATARAFMATGKEECLGYLESLVRRCANGVPPTYPRDEELIKLCVVNQLQRLGLADHFTHEIEANLAQLYRNYNSQGSQAKPRSNPVSAIQLHKDSLAFRLLRMHGYSVSPWQFFWFLKNQEVRARIEKDYEYFSSAMLNVYRATDFMFPGEYELEEARSFSRKVLEKVLFKGTRDNKDNFRSTNLEKMMEHELRHPWIARLDHLEHRTWIEEKNSNVLWVGKASFHRFSTLLTEKLMQLAVADYEFRQLIYRNELEEVKRWSRNRGLSDMGFGREKTTYCFFAIASSIPLPYDSDIRMIIAKGAIVVTVADDFYDMEGSLDELNSLTDAIGRWDASGLRGHSKTIFDALDDLVREIVAKVLQQQETDITIFLQQIWYETFASWLVEAKWSRGGFLPSIDEYLGTGMISIAAHTIILPASFLLNPSLIDCKVRPGEYETVTKLLMLIPRLLNDIQSYQKEQEEGKMNCVLLYLKENPGADIEDSTAYVREILDKKWGELLQHVLMDGFEDLPKPCKLLHLSCVKVFQMFFHSRNRFDSNTEMLQDIQKAIYVPLNVGTSKPLMPPQKEDETINSHSGRPPKYEISQIELASS >EOY28336 pep chromosome:Theobroma_cacao_20110822:6:25019577:25029645:1 gene:TCM_029932 transcript:EOY28336 gene_biotype:protein_coding transcript_biotype:protein_coding description:P(E)-nerolidol/(E,E)-geranyl linalool synthase, putative isoform 1 MELLHVSIRALVKEIKEEMLDIDPCLFVSSSAYDTAWLAMINPAADSDRPHSSPMFKACLDWVLNNQTEEGYWGECDSHGNPTIESLPATLACVIALKKWNVGNKNTEKGLDFVHGNAEKLLGVNHDHFPRWFTIVFPGMIELARKAGLELAFPSQLNELLSDIFYQRQRILETEELVDGQYPPLLSYIEALPSSYDISGEDITMNLSGDGSLFQSPAATARAFMATGKEECLGYLESLVRRCANGVPPTYPRDEELIKLCVVNQLQRLGLADHFTHEIEANLAQLYRNYNSQGSQAKPRSNPVSAIQLHKDSLAFRLLRMHGYSVSPWQFFWFLKNQEVRARIEKDYEYFSSAMLNVYRATDFMFPGEYELEEARSFSRKVLEKVLFKGTRDNKDNFRSTNLEKMMEHELRHPWIARLDHLEHRTWIEEKNSNVLWVGKASFHRFSTLLTEKLMQLAVADYEFRQLIYRNELEEVKRWSRNRGLSDMGFGREKTTYCFFAIASSIPLPYDSDIRMIIAKGAIVVTVADDFYDMEGSLDELNSLTDAIGRWDASGLRGHSKTIFDALDDLVREIVAKVLQQQETDITIFLQQIWYETFASWLVEAKWS >EOY27727 pep chromosome:Theobroma_cacao_20110822:6:22970617:22972410:1 gene:TCM_029504 transcript:EOY27727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18ae/LX family protein MVTFRYHQYQVVGRALPTESDEHPKIYRMRLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRFPCIQIIKTATIPAKLCKRDSTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASRPNLFM >EOY26084 pep chromosome:Theobroma_cacao_20110822:6:4295272:4301981:-1 gene:TCM_027476 transcript:EOY26084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter 2 isoform 2 MADLKERLLPPKPQSAVNLRDASYRASASGRQPFQGMDLLGLKKRGQGLRSWIRVDTSGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTSGVGEVWQSEGPELNRRRSSRSFDNSFGSTSPDYLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFAIPLFDDPGAFKWVLIVTGICGIIIFCAFVWFFKYRRLMPL >EOY26083 pep chromosome:Theobroma_cacao_20110822:6:4295223:4302003:-1 gene:TCM_027476 transcript:EOY26083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter 2 isoform 2 MADLKERLLPPKPQSAVNLRDASYRASASGRQPFQGMDLLGLKKRGQGLRSWIRVDTSGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTSGVGEVWQSEGPELNRRRSSRSFDNSFGSTSPDYLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKSRMESSFYGDQSLMGFRSIDGLSISAPVSPVSSPPETRRLEKSLSIARSRHESMRSSESTTESIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFAIPLFDDPGAFKWVLIVTGICGIIIFCAFVWFFKYRRLMPL >EOY26085 pep chromosome:Theobroma_cacao_20110822:6:4295312:4300738:-1 gene:TCM_027476 transcript:EOY26085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter 2 isoform 2 MDLLGLKKRGQGLRSWIRVDTSGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTSGVGEVWQSEGPELNRRRSSRSFDNSFGSTSPDYLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKRDEIEQLMDDDGDMAEMYLTEKKSRMESSFYGDQSLMGFRSIDGLSISAPVSPVSSPPETRRLEKSLSIARSRHESMRSSESTTESIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFAIPLFDDPGAFKWVLIVTGICGIIIFCAFVWFFKYRRLMPL >EOY27877 pep chromosome:Theobroma_cacao_20110822:6:23383819:23389691:1 gene:TCM_029599 transcript:EOY27877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPVDGRSSGILSIWDKSFFFLSSKLVRDFNAIQNADERGDVSLWELASQMSMSLLISLLVLLGYESEKPRRNDDCNPLFP >EOY28794 pep chromosome:Theobroma_cacao_20110822:6:26561855:26562806:1 gene:TCM_030296 transcript:EOY28794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESFQIQSQKRERVCLLPMSSQLDILVNFRNSSQTPPASLNLPGQGARLRLSQSLAIPGHQGPASLNEKVANNGITGNNIFTFGRWIMTFFTSLVRVFHRCPFFSC >EOY28393 pep chromosome:Theobroma_cacao_20110822:6:25223215:25225130:1 gene:TCM_029972 transcript:EOY28393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSMSTLILSLKVLFISIGMLAIAFGLKVSVPLVLEFSVSQAPLLWSTFRSWLKPPYLYVIINGIIITIAASSRFNHKTGEKDQKEQMQQRSKISVDQGPAFEDEMKSGLDFGVVESSALVYEQEQRGEEVETRGFEEESNAAVEDVGDGGDEFAISKSEWIPPRRMDSSEIPSDSLLPTEKPPAASRFGHRKPVRANPEGGRALRVAKPKRHETLENTWKMITEGRAMPLTRHLKKSDTWENHGRDVNVEALADSPLMKKSETFRDRTNYQPPPVQVTSSPASGKLRKEPSLSQDELNRRVEAFIKKFNDEMRLQRQESLNQYMEMINRGS >EOY27227 pep chromosome:Theobroma_cacao_20110822:6:20770095:20780930:1 gene:TCM_029118 transcript:EOY27227 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-block binding subunit of TFIIIC, putative isoform 1 MDSIITSALEEICFHGQGGISLSSLCSKLDIPPPLISPLWKNLLSIPTLRFKARNAEFFSPSDDSIQCAEDAEKFEIKILADEKLRNNFVGLYDENVQISSQQRRTLERLAIARTNGVTQSQLAKEFGIEGKNFFYILKNLECRGLIVKQPAVVRKKEPCTEGESRNSSPVTTNLIYLYRYAKRLGSQQRFEINKEEQTVENLGYEDENVPDEDGFALENVKENVLVNDYLPAMKAVCDKLEEANGKVLVVSDIKRDLGYTRSSGHKAWRNIYRRLKDAGLVEDLQAVVNEKVELCLRLVKKFSEKNFEPKLLGFDDHLDKGQQLKFGRTLRNVDQIVELPIDNQIYDMVDAEGSEGLPAMTVCERLGIDKKRSYSRFFNMFSRFGMHLQAESHKKTTAYRVWTSGNANPKSSNAFLIKPKNPHDENEISNFDVGNSEVPEGSNQNFIEYDPSTSGGNFSTPMKVNDMENHTETSCGSLGETNHIVVYSDNMQEFPSEQSNTAFDAELDLVSAESEIHPTPSKSTCCALLKPPDSGSRQRYACQVLTADGARREQRILERLQDEKFILRPELYRWLVELEKDKSTKMDRKTVDRMLKKLQQQGHCKCMHINVPVVTNCGRSRITQVVLHPSVESLHQELLSEIHDRLRSFEMQIRGHGSSKWKNNDTVAVLDGVQRTQSHVISDAKASKSEAMRANGFVMAKMVRSKLLHGFLWGFLHSSHGWNGALSLEKHLHDQKNLHGSCILFSLEAAIKAIPLELFLQIVGTTLKFDDMIEKCKKGFCLSDLPIHEYKLLMDTQATGRLSLLIDILRRLKLIRLVPGECSDNRVKVPHANLTHAMELKPYIEEPLSLVATSTFRSFDLRPRIRHDFILLSKEAVDDYWKTLEYCYAAADPRAALHAFPGSAVHEVFLNRSWASVRVMTADQRAQLLKRILKDNINEKLSFKDCEKIAKDLNLTVEQVLRVYYDKHQKRLNRFQGLPNSIEEQHQLERNKQSSGRKRKRSSKMKLVESARVDARTIQMDEQKVAALPDSIDGFTMKENDSLASSVGPEVLQAHQEADHVEAVNKPGSLEEDDDCYSLISQYAFPKMKPTRKKRFSWTDEADRELVTQYARYRAALGAKFHRVDWTSIAGLPAPPRACARRMTSLKKSIKFRKALMKLCNMLSERYVIHLEKNQNRAFNNNDCGFLVRSSSVEFSSGIEHGEDAGFEEERWDDFDDRKIRRALEDVLRFKQIAKLEASKRVGSVSAEWSNMNMNSEDYNLQGPEMVSQTTLGEDMGTGAGQLKSSIQSSRHHRFHQKLVKLWNIGHGVGRQVHESLAVSNAVELFKLVFLSTSTAAPFPNLLAETLRRYSEHDLFAAFSYLRDRKIMIGGTCGQPFVLSQQFLHSISKSPFPRNTGKRAANFSAWLHQREKDLMQGGINLTEDLQCGDIFHLFSLVSSGELSVSPSLPDEGVGEAEDLRSLKCRAEDSELCDADKAKKLKSIAEGEFVSRREKGFPGIMVSVYSSTVSTANALELFNDEETCTLAFGNDETTSQKVNISSTNSDYMKEMLQLGSNVIIASKSSESPWEAMASYAEHLLSKPSDEGQSSHFYPEIIKAVCAEIQKAGDQGLSIEDVYSIVNLPGEMTPEIIIDTLQAFGRALKGQWL >EOY27226 pep chromosome:Theobroma_cacao_20110822:6:20769817:20783657:1 gene:TCM_029118 transcript:EOY27226 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-block binding subunit of TFIIIC, putative isoform 1 MDSIITSALEEICFHGQGGISLSSLCSKLDIPPPLISPLWKNLLSIPTLRFKARNAEFFSPSDDSIQCAEDAEKFEIKILADEKLRNNFVGLYDENVQISSQQRRTLERLAIARTNGVTQSQLAKEFGIEGKNFFYILKNLECRGLIVKQPAVVRKKEPCTEGESRNSSPVTTNLIYLYRYAKRLGSQQRFEINKEEQTVENLGYEDENVPDEDGFALENVKENVLVNDYLPAMKAVCDKLEEANGKVLVVSDIKRDLGYTRSSGHKAWRNIYRRLKDAGLVEDLQAVVNEKVELCLRLVKKFSEKNFEPKLLGFDDHLDKGQQLKFGRTLRNVDQIVELPIDNQIYDMVDAEGSEGLPAMTVCERLGIDKKRSYSRFFNMFSRFGMHLQAESHKKTTAYRVWTSGNANPKSSNAFLIKPKNPHDENEISNFDVGNSEVPEGSNQNFIEYDPSTSGGNFSTPMKVNDMENHTETSCGSLGETNHIVVYSDNMQEFPSEQSNTAFDAELDLVSAESEIHPTPSKSTCCALLKPPDSGSRQRYACQVLTADGARREQRILERLQDEKFILRPELYRWLVELEKDKSTKMDRKTVDRMLKKLQQQGHCKCMHINVPVVTNCGRSRITQVVLHPSVESLHQELLSEIHDRLRSFEMQIRGHGSSKWKNNDTVAVLDGVQRTQSHVISDAKASKSEAMRANGFVMAKMVRSKLLHGFLWGFLHSSHGWNGALSLEKHLHDQKNLHGSCILFSLEAAIKAIPLELFLQIVGTTLKFDDMIEKCKKGFCLSDLPIHEYKLLMDTQATGRLSLLIDILRRLKLIRLVPGECSDNRVKVPHANLTHAMELKPYIEEPLSLVATSTFRSFDLRPRIRHDFILLSKEAVDDYWKTLEYCYAAADPRAALHAFPGSAVHEVFLNRSWASVRVMTADQRAQLLKRILKDNINEKLSFKDCEKIAKDLNLTVEQVLRVYYDKHQKRLNRFQGLPNSIEEQHQLERNKQSSGRKRKRSSKMKLVESARVDARTIQMDEQKVAALPDSIDGFTMKENDSLASSVGPEVLQAHQEADHVEAVNKPGSLEEDDDCYSLISQYAFPKMKPTRKKRFSWTDEADRELVTQYARYRAALGAKFHRVDWTSIAGLPAPPRACARRMTSLKKSIKFRKALMKLCNMLSERYVIHLEKNQNRAFNNNDCGFLVRSSSVEFSSGIEHGEDAGFEEERWDDFDDRKIRRALEDVLRFKQIAKLEASKRVGSVSAEWSNMNMNSEDYNLQGPEMVSQTTLGEDMGTGAGQLKSSIQSSRHHRFHQKLVKLWNIGHGVGRQVHESLAVSNAVELFKLVFLSTSTAAPFPNLLAETLRRYSEHDLFAAFSYLRDRKIMIGGTCGQPFVLSQQFLHSISKSPFPRNTGKRAANFSAWLHQREKDLMQGGINLTEDLQCGDIFHLFSLVSSGELSVSPSLPDEGVGEAEDLRSLKCRAEDSELCDADKAKKLKSIAEGEFVSRREKGFPGIMVSVYSSTVSTANALELFNDEETCTLAFGNDETTSQKVNISSTNSDYMKEMLQLGSNVIIASKSSESPWEAMASYAEHLLSKPSDEGQSSHFYPEIIKAVCAEIQKAGDQGLSIEDVYSIVNLPGEMTPEIIIDTLQAFGRALKVNGYETVRVVDALYHSKYFLASSPCFHQDHKPPSPLTSQGKDDSNLILQQENQSLDTANLSGSVSVGDVHKVTILNLPEEHALSSKETPTSNVNESYMADGTINRMVYNGLIRRVLGIVMQNPGISEEDIICRMDVLNPQSCRKLLELMIWDKHLMVKKMLQMTDSGPPALLATLLGNSCRKSKLVFRKHFFANPTSTFLL >EOY28990 pep chromosome:Theobroma_cacao_20110822:6:27045392:27047719:-1 gene:TCM_030436 transcript:EOY28990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein, putative MVSYFCYCKTLGVVVMLLLIQSSMAESDEHPLLYESSSREEMMQMAGYGEEKLSTVLVTGTVLCEACLHAEPQLRAWPISGALVAVKCQTPCKRISGSAQAVTDEYGDFLIDLPSHLHGIPNLPKICAVKVLRIPKNSMCRPAFVKKHKGLRFSSVGNGIRTYTAGRIRFQHITSKPLKACIGRAKQ >EOY28050 pep chromosome:Theobroma_cacao_20110822:6:24053801:24059011:1 gene:TCM_029729 transcript:EOY28050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MASFIASRWRELSGEKNWKGLLHPLDLDLRRYIIHYLQRAGAAGDIFNDNRASKSFGLSLYPPDEYFSRAGLEIGNPYKYRVTNFIYGAADNKSEYFGFVAVATDEGKAVLGRRDILVSWRGTVTSADWNEDKNFFPTSAKELFGTDSAQVHSGFLSIYTGKLADSLYSKTSARDQALKAVQDQVDKYQNEEVSITVAGYSLGAALATLNAMDIVANGFNKPTGNSAKSFMVTAFPVASPRVGNQKFLEIFNELEDLHLLRIVNSTDHIPTVPVGFGYTHVGEELGIDTTKSTYLKSNADPHNLGVYGHGVAGVQENGEFKLEEELDFDNATVNKHGDCLLDKYKTPIEWWNNEKFKGMVQIDDGHWKFVDSAYVPDPPSA >EOY26508 pep chromosome:Theobroma_cacao_20110822:6:13358025:13372995:-1 gene:TCM_028293 transcript:EOY26508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 5 MWSTLPFDVLAKIFSFLSPDSLACARSACRQWHTCARTYPSTLPQHHQAWFLALPTRNRGQCCYVHNPVVDKWHMLSLDFLPDPIRPVASIGTLILVRPTNCTILQLALCNPFTRQFKYLPMLNITRTNPAVGVVILEPGQPGPNPDFRVYVAGGMSEAPRGGATYEATLEMYDSVDDAWHIMGFMPVEFAVRLTVWTPNESVYSDGVLYWMTSARAYSVMGFHLGSHTWRELSVPMADRLEFAALLWRNGRLTLVGGTCGEDACIWELTEGDGWGLIEKVPIELGIKFLGGKRSWGSTKCVGSEGAICLYREFGSGMVVWREIEEKVRWEWFWVEGCHFIRDKQVQNLQIKGSGNSIVS >EOY26505 pep chromosome:Theobroma_cacao_20110822:6:13347157:13372995:-1 gene:TCM_028293 transcript:EOY26505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 5 MWSTLPFDVLAKIFSFLSPDSLACARSACRQWHTCARTYPSTLPQHHQAWFLALPTRNRGQCCYVHNPVVDKWHMLSLDFLPDPIRPVASIGTLILVRPTNCTILQLALCNPFTRQFKYLPMLNITRTNPAVGVVILEPGQPGPNPDFRVYVAGGMSEAPRGGATYEATLEMYDSVDDAWHIMGFMPVEFAVRLTVWTPNESVYSDGVLYWMTSARAYSVMGFHLGSHTWRELSVPMADRLEFAALLWRNGRLTLVGGTCGEDACIWELTEGDGWGLIEKVPIELGIKFLGGKRSWGSTKCVGSEGAICLYREFGSGMVVWREIEEKVRWEWFWVEGCHFIRDKQVQNLQIKGGESSDKGKEIASKD >EOY26506 pep chromosome:Theobroma_cacao_20110822:6:13354897:13372995:-1 gene:TCM_028293 transcript:EOY26506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 5 MWSTLPFDVLAKIFSFLSPDSLACARSACRQWHTCARTYPSTLPQHHQAWFLALPTRNRGQCCYVHNPVVDKWHMLSLDFLPDPIRPVASIGTLILVRPTNCTILQLALCNPFTRQFKYLPMLNITRTNPAVGVVILEPGQPGPNPDFRVYVAGGMSEAPRGGATYEATLEMYDSVDDAWHIMGFMPVEFAVRLTVWTPNESVYSDGVLYWMTSARAYSVMGFHLGSHTWRELSVPMADRLEFAALLWRNGRLTLVGGTCGEDACIWELTEGDGWGLIEKVPIELGIKFLGGKRSWGSTKCVGSEGAICLYREFGSGMVVWREIEEKVRWEWFWVEGCHFIRDKQVQNLQIKGSV >EOY26507 pep chromosome:Theobroma_cacao_20110822:6:13348752:13372995:-1 gene:TCM_028293 transcript:EOY26507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 5 MWSTLPFDVLAKIFSFLSPDSLACARSACRQWHTCARTYPSTLPQHHQAWFLALPTRNRGQCCYVHNPVVDKWHMLSLDFLPDPIRPVASIGTLILVRPTNCTILQLALCNPFTRQFKYLPMLNITRTNPAVGVVILEPGQPGPNPDFRVYVAGGMSEAPRGGATYEATLEMYDSVDDAWHIMGFMPVEFAVRLTVWTPNESVYSDGVLYWMTSARAYSVMGFHLGSHTWRELSVPMADRLEFAALLWRNGRLTLVGGTCGEDACIWELTEGDGWGLIEKVPIELGIKFLGGKRSWGSTKCVGSEGAICLYREFGSGMVVWREIEEKVRWEWFWVEGCHFIRDKQVQNLQIKGTKISSSS >EOY26509 pep chromosome:Theobroma_cacao_20110822:6:13315747:13372995:-1 gene:TCM_028293 transcript:EOY26509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 5 MWSTLPFDVLAKIFSFLSPDSLACARSACRQWHTCARTYPSTLPQHHQAWFLALPTRNRGQCCYVHNPVVDKWHMLSLDFLPDPIRPVASIGTLILVRPTNCTILQLALCNPFTRQFKYLPMLNITRTNPAVGVVILEPGQPGPNPDFRVYVAGGMSEAPRGGATYEATLEMYDSVDDAWHIMGFMPVEFAVRLTVWTPNESVYSDGVLYWMTSARAYSVMGFHLGSHTWRELSVPMADRLEFAALLWRNGRLTLVGGTCGEDACIWELTEGDGWGLIEKVPIELGIKFLGGKRSWGSTKCVGSEGAICLYREFGSGMVVWREIEEKVRWEWFWVEGCHFIRDKQVQNLQIKEGVPWTSLLEGHGKLHYIITSNGEARRVSP >EOY26436 pep chromosome:Theobroma_cacao_20110822:6:10301133:10305392:1 gene:TCM_028053 transcript:EOY26436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMIAMTVGKPLFIEEATTNGSHPIMAHVCVEYYYQKAPLYHVWIVSRDRKTGAMIGGFSQRVEFSKLLDYCIHCLHVGHSISICMVLGNKPENSGVKKPLPLRIIKQSDDLSRVQILSSNEEKLVKEVESSDEFLTALRSEPEFLNSVGDDVALEGLWMVGGDFNSILSSDEWLHGASPHDGSMEDFATALLNCGLIDIISQTTYFLHKTLFVIYLGAPLFKGLIKILLFDSLITKIRDRISRWENKILSPGGRITLLWSVLSSMPIYLLQILKPPMTVIEKIERLFNSFLWVGSIACKKTHWVAWSKISFPCLEEGA >EOY27289 pep chromosome:Theobroma_cacao_20110822:6:21074213:21086213:1 gene:TCM_029168 transcript:EOY27289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFFGWMQNKFNGKQGSSKPNTVSATRRMKQEPREEFSDWPHGLLAIGTFGNNDLKENPQCQNTIQQDHPSDIQEEPSSSEDLQEFTQEEVGKLQKELTKLLSRKPTSDVQKELANLPLDRFLNCPSSLEVDRRISNALCSDSGDREEDIDRTISVILGRCKDICAENKKKAIGKKSISFLLKKMFVCASGFSPAPSLSDTLQESRMEKLLRVMLHKKIYSQNPSRASSLKKYLEDKQTPKRQNNQDETRERKSEDGSKWVKTDSEYIVLEI >EOY27314 pep chromosome:Theobroma_cacao_20110822:6:21174862:21178225:-1 gene:TCM_029186 transcript:EOY27314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEALVQTLKELWKDWQLRGLVLFSLFFQTILIVVGNRRKYGYQLLVRFAVWVAYLAADTVATMALGIISNDLGDTSDNGEQDANIELHVFWSPFLLLHLGGPDTITAYSLEDNELWLRHLLGLALQTGVAFNIFLMAWRGSHLSILSTLMVFVGLSKYVERTWVLRSASSEQIKGSMLARRDLPGLTNPNLLKEYNVKKEEGYICNTNRVIDIQLPMEAFAIEDNSISKNNELLKAYGLLQIFKRIFVNLLLSSRDRDTSLAVFQNLSFQKAFEVVEMELAFMFDLLYTKASAVYSRWGLSLRCINLSLTCIVLVFFSLADDKHKYKKADLVITFLLLVVAIVLDIYAALVILFSDWTVVWLSLRKKTSVLRAITSVRLFSNPRWSNSMAQYNLLSFALREKPMIYDPILSQVKPHLLHRILKLFKFLGFVEKQEKERYVTKEVISNSLKEWIFKYLKERLKAKATVYDTQDAGRQIFAVEIYGQGELKWSVEKGFDERILTWHIATDICYYLEETIETTQSKREISILMSRYMLHLLVNYPSMLPAGLGDILIEDTCAEVIDFCNTPEPPKHKTDAYDRLVKATIGTELLGRRYRARKSLLSDGSRLARSLNEISNKEEKWSLIADTWVEMLAHAASHCEGIQHRQHLRRGGQLLTHVWLLMAHLGLTDHFQILQPRDITRLTAK >EOY28984 pep chromosome:Theobroma_cacao_20110822:6:27033714:27038335:1 gene:TCM_030433 transcript:EOY28984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter isoform 1 MATKNSEDPEKPNGNAAEDDRSPVEEVALVVPETDDPTLPVMTFRAWFLGLTSCMLLIFLNTFFTYRTQPLTISAILMQISVLPIGKFMARTLPTREYSLLGRSFSLNPGPFNMKEHVIITIFANCGVSFGGGDAYSIGAITVMKAYYKQSLNFLCGLLIVLTTQILGYGWAGMLRRYLVDPAEMWWPSNLAQVSLFRALHEKDTNKKGLTRMKFFLVALVASFAYYSFPGYLFPVLTFFSWVCWVWPHSITAQQIGSGYHGLGIGAFTLDWAGISAYHGSPLVTPWSSILNVGIGFVMFIYIIVPLCYWKFDTFDAGKFPIFSNQLFTSSGHKYDTTKILTPEYDLNISAYNSYGKLYLSPLFALSIGSGFARFTATLTHVALFHGSDILKQSRSAMKNVKLDIHARLMKRYKQVPEWWFFILLIGSIALSLLMSFVYKEEVQLPWWGMLFAFGLAWIVTLPIGVIQATTNQQPGYDIIAQFIIGYVLPGKPIANLLFKIYGRISTIHALSFLSDLKLGHYMKIPPRCMYTAQLVGTLVAGTVNLAVAWWMLDSIDNICDVEGKHPESPWTCPKYRVTFDASVIWGLIGPKRLFGPGGLYRNLVWLFLIGAVLPVPVWVLSKIFPEKKWIPLINIPVISYGFAGMPPATPTNIASWLITGTIFNYFVFRYHKRWWQKYNYVLSAALDAGTAFMGVLLFFALQNEGHNVKWWGTEVDHCPLASCPTAPGITVEGCPVFK >EOY28985 pep chromosome:Theobroma_cacao_20110822:6:27033724:27038101:1 gene:TCM_030433 transcript:EOY28985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter isoform 1 MATKNSEDPEKPNGNAAEDDRSPVEEVALVVPETDDPTLPVMTFRAWFLGLTSCMLLIFLNTFFTYRTQPLTISAILMQISVLPIGKFMARTLPTREYSLLGRSFSLNPGPFNMKEHVIITIFANCGVSFGGGDAYSIGAITVMKAYYKQSLNFLCGLLIVLTTQILGYGWAGMLRRYLVDPAEMWWPSNLAQVSLFRALHEKDTNKKGLTRMKFFLVALVASFAYYSFPGYLFPVLTFFSWVCWVWPHSITAQQIGSGYHGLGIGAFTLDWAGISAYHGSPLVTPWSSILNVGIGFVMFIYIIVPLCYWKFDTFDAGKFPIFSNQLFTSSGHKYDTTKILTPEYDLNISAYNSYGKLYLSPLFALSIGSGFARFTATLTHVALFHGSDILKQSRSAMKNVKLDIHARLMKRYKQVPEWWFFILLIGSIALSLLMSFVYKEEVQLPWWGMLFAFGLAWIVTLPIGVIQATTNQQPGYDIIAQFIIGYVLPGKPIANLLFKIYGRISTIHALSFLSDLKLGHYMKIPPRCMYTAQLVGTLVAGTVNLAVAWWMLDSIDNICDVEGKHPESPWTCPKYRVTFDASVIWGLIGPKRLFGPGGLYRNLVWLFVFRYHKRWWQKYNYVLSAALDAGTAFMGVLLFFALQNEGHNVKWWGTEVDHCPLASCPTAPGITVEGCPVFK >EOY28773 pep chromosome:Theobroma_cacao_20110822:6:26503196:26506128:-1 gene:TCM_030284 transcript:EOY28773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 4 MMKKLPAPVPARQVLWLGWKLVILLSVALCFVALLRLHFSPDLSSPNSLSRPARVRSRISGGTFDGIPKIAFLFLARFNLPLDFLWGSFFENADVANFSIYIHSAPGFVFDESTTRSLFFYDRQLTNSIQVIWGESSMIEAERLLLESALEDPANQRFVLLSDSSCVPLYNFSYIYRYLMSSSRSFVDSFLDAKDGRYHPKMSPVIPKEKWRKGSQWISLLRSHAEVIVDDEVVLPVFKKFCKRRPPMDTGKGKLNIVKTSKAAQLYPR >EOY28774 pep chromosome:Theobroma_cacao_20110822:6:26503804:26505867:-1 gene:TCM_030284 transcript:EOY28774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 4 MMKKLPAPVPARQVLWLGWKLVILLSVALCFVALLRLHFSPDLSSPNSLSRPARVRSRISGGTFDGIPKIAFLFLARFNLPLDFLWGSFFENADVANFSIYIHSAPGFVFDESTTRSLFFYDRQLTNSIQVIWGESSMIEAERLLLESALEDPANQRFVLLSDSCVPLYNFSYIYRYLMSSSRSFVDSFLDAKDGRYHPKMSPVIPKEKWRKGSQWISLLRSHAEVIVDDEVVLPVFKKFCKRRPPMDTGKGKLNIVSEFNPLMNATGLTGHSLFYLFEMRIGG >EOY28771 pep chromosome:Theobroma_cacao_20110822:6:26503264:26506321:-1 gene:TCM_030284 transcript:EOY28771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 4 MMKKLPAPVPARQVLWLGWKLVILLSVALCFVALLRLHFSPDLSSPNSLSRPARVRSRISGGTFDGIPKIAFLFLARFNLPLDFLWGSFFENADVANFSIYIHSAPGFVFDESTTRSLFFYDRQLTNSIQVIWGESSMIEAERLLLESALEDPANQRFVLLSDSCVPLYNFSYIYRYLMSSSRSFVDSFLDAKDGRYHPKMSPVIPKEKWRKGSQWISLLRSHAEVIVDDEVVLPVFKKFCKRRPPMDTGKGKLNIKLQKQHNCIPDEHYVQTLFASNSVVE >EOY28775 pep chromosome:Theobroma_cacao_20110822:6:26503599:26506128:-1 gene:TCM_030284 transcript:EOY28775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 4 MMKKLPAPVPARQVLWLGWKLVILLSVALCFVALLRLHFSPDLSSPNSLSRPARVRSRISGGTFDGIPKIAFLFLARFNLPLDFLWGSFFENADVANFSIYIHSAPGFVFDESTTRSLFFYDRQLTNSIQVIWGESSMIEAERLLLESALEDPANQRFVLLSDSCVPLYNFSYIYRYLMSSSRSFVDSFLDAKDGRYHPKMSPVIPKEKWRKGSQWISLLRSHAEVIVDDEVVLPVFKKFCKRRPPMDTGKGKLNIVKTSKAAQLY >EOY28772 pep chromosome:Theobroma_cacao_20110822:6:26502421:26506002:-1 gene:TCM_030284 transcript:EOY28772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 4 MMKKLPAPVPARQVLWLGWKLVILLSVALCFVALLRLHFSPDLSSPNSLSRPARVRSRISGGTFDGIPKIAFLFLARFNLPLDFLWGSFFENADVANFSIYIHSAPGFVFDESTTRSLFFYDRQLTNSIQVIWGESSMIEAERLLLESALEDPANQRFVLLSDSCVPLYNFSYIYRYLMSSSRSFVDSFLDAKDGRYHPKMSPVIPKEKWRKGSQWISLLRSHAEVIVDDEVVLPVFKKFCKRRPPMDTGKGKLNIKLQKQHNCIPDEHYVQTLFAMSELEGELERRTLTYTLWNQSAAKMDNKAWHPVMFNYADASPKKIKEIKDINHVYYESEFRTEWCQTNSTSVPCFLFARKFSRGAAMRLLSEGVVGPFEASALLGNSS >EOY28776 pep chromosome:Theobroma_cacao_20110822:6:26503287:26506128:-1 gene:TCM_030284 transcript:EOY28776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 4 MMKKLPAPVPARQVLWLGWKLVILLSVALCFVALLRLHFSPDLSSPNSLSRPARVRSRISGGTFDGIPKIAFLFLARFNLPLDFLWGSFFENADVANFSIYIHSAPGFVFDESTTRSLFFYDRQLTNSIQVIWGESSMIEAERLLLESALEDPANQRFVLLSDSCVPLYNFSYIYRYLMSSSRSFVDSFLDAKDGRYHPKMSPVIPKEKWRKGSQWISLLRSHAEVIVDDEVVLPVFKKFCKRRPPMDTGKGKLNIVKTSKAAQLY >EOY27367 pep chromosome:Theobroma_cacao_20110822:6:21439798:21441487:-1 gene:TCM_029230 transcript:EOY27367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycoprotein family, putative MNAAMPDLACLCFQQQSKQKRFLRRCCFFLSVNLLVIVATVGVGGLTVVFVLKPQKPVFSIQTIRLDAYKLNVYSNSTLFVSSVASLILNASNPNKIGLSYSPSRFQLYLEGLPIAAIRVPRFYQPAHSNNVSLRTRVLVSCVNVSQVMGGGWLQDQQGQNIIPMKLLGDIKVNLHILHLTLPKIKVALDCDISFEYSQLAFLNEAYSNKAAKNLFASFSNDSKSFLKQCALAIYI >EOY26731 pep chromosome:Theobroma_cacao_20110822:6:17497505:17499580:-1 gene:TCM_028690 transcript:EOY26731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein isoform 1 MKTIMPSSALRRSISTLSHLCEPIKQTENEIVQMFQLPSPKKEAQDLAVKRKKPSVRTLDERFIRILKIFKWGSDAEKALEVLKLKVDQRLVREVLMIDVEINVKIQFFKWAGKRRNFEHDSTTYMTLIHCLDEAGLVGEMWKTIQEMLRSTCAIGPAELSEIVRILGKAKMVNKALSIFYQIKCRKCKPTSSTYNNIILMLMQEGHHEKVHELYNEMCNEGNCLPDTVTYSALISTFSKLGRHDSAIRLFEEMKENGLQPTSKIYTTLIKIYFKLGETWKALGLVQEMKGKGCKPTVFTYTELIKGLGKAGRVQDAYGIFMNMLKEGGKPDVVLINNMINILGKAGRLEDAFKLFNKMKSWQCIPNVVTYNTVIKALFDSKAPSSEASLWFEKMKADGVVPSSFTYSILIDGFCKTNKVEKALLLLEEMDERGFPPCPAAYCSLINSLGKAKRYEAANELFQELKENCGCSSARVYAVMIKHFGKCGRLSDAVDLFNEMKKLGCNPDVYAYNALMSGMVRAGMINEAHSLLRTMEENGCAPDLNSHNIILNGLARTGGPRRAMEMFMKMENSNIKPDAVSYNTVLGCLSRAGMLEEAAKLMKEMKARGFEYDLITYSSILEAVGKIDEDRSLSTF >EOY26729 pep chromosome:Theobroma_cacao_20110822:6:17496194:17501361:-1 gene:TCM_028690 transcript:EOY26729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein isoform 1 MKTIMPSSALRRSISTLSHLCEPIKQTENEIVQMFQLPSPKKEAQDLAVKRKKPSVRTLDERFIRILKIFKWGSDAEKALEVLKLKVDQRLVREVLMIDVEINVKIQFFKWAGKRRNFEHDSTTYMTLIHCLDEAGLVGEMWKTIQEMLRSTCAIGPAELSEIVRILGKAKMVNKALSIFYQIKCRKCKPTSSTYNNIILMLMQEGHHEKVHELYNEMCNEGNCLPDTVTYSALISTFSKLGRHDSAIRLFEEMKENGLQPTSKIYTTLIKIYFKLGETWKALGLVQEMKGKGCKPTVFTYTELIKGLGKAGRVQDAYGIFMNMLKEGGKPDVVLINNMINILGKAGRLEDAFKLFNKMKSWQCIPNVVTYNTVIKALFDSKAPSSEASLWFEKMKADGVVPSSFTYSILIDGFCKTNKVEKALLLLEEMDERGFPPCPAAYCSLINSLGKAKRYEAANELFQELKENCGCSSARVYAVMIKHFGKCGRLSDAVDLFNEMKKLGCNPDVYAYNALMSGMVRAGMINEAHSLLRTMEENGCAPDLNSHNIILNGLARTGGPRRAMEMFMKMENSNIKPDAVSYNTVLGCLSRAGMLEEAAKLMKEMKARGFEYDLITYSSILEAVGKIDEDRSLSTF >EOY26728 pep chromosome:Theobroma_cacao_20110822:6:17495405:17501361:-1 gene:TCM_028690 transcript:EOY26728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein isoform 1 MKTIMPSSALRRSISTLSHLCEPIKQTENEIVQMFQLPSPKKEAQDLAVKRKKPSVRTLDERFIRILKIFKWGSDAEKALEVLKLKVDQRLVREVLMIDVEINVKIQFFKWAGKRRNFEHDSTTYMTLIHCLDEAGLVGEMWKTIQEMLRSTCAIGPAELSEIVRILGKAKMVNKALSIFYQIKCRKCKPTSSTYNNIILMLMQEGHHEKVHELYNEMCNEGNCLPDTVTYSALISTFSKLGRHDSAIRLFEEMKENGLQPTSKIYTTLIKIYFKLGETWKALGLVQEMKGKGCKPTVFTYTELIKGLGKAGRVQDAYGIFMNMLKEGGKPDVVLINNMINILGKAGRLEDAFKLFNKMKSWQCIPNVVTYNTVIKALFDSKAPSSEASLWFEKMKADGVVPSSFTYSILIDGFCKTNKVEKALLLLEEMDERGFPPCPAAYCSLINSLGKAKRYEAANELFQELKENCGCSSARVYAVMIKHFGKCGRLSDAVDLFNEMKKLGCNPDVYAYNALMSGMVRAGMINEAHSLLRTMEENGCAPDLNSHNIILNGLARTGGPRRAMEMFMKMENSNIKPDAVSYNTVLGCLSRAGMLEEAAKLMKEMKARGFEYDLITYSSILEAVGKIDEDRSLSTF >EOY26730 pep chromosome:Theobroma_cacao_20110822:6:17496041:17501361:-1 gene:TCM_028690 transcript:EOY26730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein isoform 1 MKTIMPSSALRRSISTLSHLCEPIKQTENEIVQMFQLPSPKKEAQDLAVKRKKPSVRTLDERFIRILKIFKWGSDAEKALEVLKLKVDQRLVREVLMIDVEINVKIQFFKWAGKRRNFEHDSTTYMTLIHCLDEAGLVGEMWKTIQEMLRSTCAIGPAELSEIVRILGKAKMVNKALSIFYQIKCRKCKPTSSTYNNIILMLMQEGHHEKVHELYNEMCNEGNCLPDTVTYSALISTFSKLGRHDSAIRLFEEMKENGLQPTSKIYTTLIKIYFKLGETWKALGLVQEMKGKGCKPTVFTYTELIKGLGKAGRVQDAYGIFMNMLKEGGKPDVVLINNMINILGKAGRLEDAFKLFNKMKSWQCIPNVVTYNTVIKALFDSKAPSSEASLWFEKMKADGVVPSSFTYSILIDGFCKTNKVEKALLLLEEMDERGFPPCPAAYCSLINSLGKAKRYEAANELFQELKENCGCSSARVYAVMIKHFGKCGRLSDAVDLFNEMKKLGCNPDVYAYNALMSGMVRAGMINEAHSLLRTMEENGCAPDLNSHNIILNGLARTGGPRRAMEMFMKMENSNIKPDAVSYNTVLGCLSRAGMLEEAAKLMKEMKARGFEYDLITYSSILEAVGKIDEDRSLSTF >EOY26732 pep chromosome:Theobroma_cacao_20110822:6:17495415:17500222:-1 gene:TCM_028690 transcript:EOY26732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein isoform 1 MKTIMPSSALRRSISTLSHLCEPIKQTENEIVQMFQLPSPKKEAQDLAVKRKKPSVRTLDERFIRILKIFKWGSDAEKALEVLKLKVDQRLVREVLMIDVEINVKIQFFKWAGKRRNFEHDSTTYMTLIHCLDEAGLVGEMWKTIQEMLRSTCAIGPAELSEIVRILGKAKMVNKALSIFYQIKCRKCKPTSSTYNNIILMLMQEGHHEKVHELYNEMCNEGNCLPDTVTYSALISTFSKLGRHDSAIRLFEEMKENGLQPTSKIYTTLIKIYFKLGETWKALGLVQEMKGKGCKPTVFTYTELIKGLGKAGRVQDAYGIFMNMLKEGGKPDVVLINNMINILGKAGRLEDAFKLFNKMKSWQCIPNVVTYNTVIKALFDSKAPSSEASLWFEKMKADGVVPSSFTYSILIDGFCKTNKVEKALLLLEEMDERGFPPCPAAYCSLINSLGKAKRYEAANELFQELKENCGCSSARVYAVMIKHFGKCGRLSDAVDLFNEMKKLGCNPDVYAYNALMSGMVRAGMINEAHSLLRTMEENGCAPDLNSHNIILNGLARTGGPRRAMEMFMKMENSNIKPDAVSYNTVLGCLSRAGMLEEAAKLMKEMKARGFEYDLITYSSILEAVGKIDEDRSLSTF >EOY26733 pep chromosome:Theobroma_cacao_20110822:6:17496041:17501361:-1 gene:TCM_028690 transcript:EOY26733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein isoform 1 MKTIMPSSALRRSISTLSHLCEPIKQTENEIVQMFQLPSPKKEAQDLAVKRKKPSVRTLDERFIRILKIFKWGSDAEKALEVLKLKVDQRLVREVLMIDVEINVKIQFFKWAGKRRNFEHDSTTYMTLIHCLDEAGLVGEMWKTIQEMLRSTCAIGPAELSEIVRILGKAKMVNKALSIFYQIKCRKCKPTSSTYNNIILMLMQEGHHEKVHELYNEMCNEGNCLPDTVTYSALISTFSKLGRHDSAIRLFEEMKENGLQPTSKIYTTLIKIYFKLGETWKALGLVQEMKGKGCKPTVFTYTELIKGLGKAGRVQDAYGIFMNMLKEGGKPDVVLINNMINILGKAGRLEDAFKLFNKMKSWQCIPNVVTYNTVIKALFDSKAPSSEASLWFEKMKADGVVPSSFTYSILIDGFCKTNKVEKALLLLEEMDERGFPPCPAAYCSLINSLGKAKRYEAANELFQELKENCGCSSARVYAVMIKHFGKCGRLSDAVDLFNEMKKLGCNPDVYAYNALMSGMVRAGMINEAHSLLRTMEENGCAPDLNSHNIILNGLARTGGPRRAMEMFMKMENSNIKPDAVSYNTVLGCLSRAGMLEEAAKLMKEMKARGFEYDLITYSSILEAVGKIDEDRSLSTF >EOY29007 pep chromosome:Theobroma_cacao_20110822:6:27098791:27104275:1 gene:TCM_030451 transcript:EOY29007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiolase family protein isoform 1 MAPPASSSSSSSSDSVIRPRDVCIVGVARTPMGAFLGSLSSFSATQLGSIAIHSALKRANLDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSIICTTVNKVCASGMKAVMLASQTIQLGINDVVIAGGMESMSNAPKYLAEARKGSRLGHDTIIDGMLKDGLWDVYNDFGMGVCAEICADQHNITREEQDSYAIQSFERGIAAQNNGLLAWEIVPVEVSGRRGKPFTIIDRDEGLGKFDAAKLRKLRPSFKEEGGSVTAGNASSISDGAAAIVLVSGEKATKLGLQVVAKIRGYADAAQAPELFTTAPALAIPKAISAAGLEASQIDYYEINEAFSVVALANQKLLGLNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVMRQKNGKFGVGGICNGGGGASALVFELMPVTKVGPSLL >EOY29009 pep chromosome:Theobroma_cacao_20110822:6:27098791:27104275:1 gene:TCM_030451 transcript:EOY29009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiolase family protein isoform 1 MAPPASSSSSSSSDSVIRPRDVCIVGVARTPMGAFLGSLSSFSATQLGSIAIHSALKRANLDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSIICTTVNKVCASGMKAVMLASQTIQLGINDVVIAGGMESMSNAPKYLAEARKGSRLGHDTIIDGMLKDGLWDVYNDFGMGVCAEICADQHNITREEQDSYAIQSFERGIAAQNNGLLAWEIVPVEVSGRRGKPFTIIDRDEGLGKFDAAKLRKLRPSFKEEGGSVTAGNASSISDGAAAIVLVSGEKATKLGLQVVAKIRGYADAAQAPELFTTAPALAIPKAISAAGLEASQIDYYEINEAFSVVALANQKLLGLNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVMRQKNGKFGVGGICNGGGGASALVFELMPVTKVGPSLL >EOY29008 pep chromosome:Theobroma_cacao_20110822:6:27098791:27104275:1 gene:TCM_030451 transcript:EOY29008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiolase family protein isoform 1 MAPPASSSSSSSSDSVIRPRDVCIVGVARTPMGAFLGSLSSFSATQLGSIAIHSALKRANLDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSIICTTVNKVCASGMKAVMLASQTIQLGINDVVIAGGMESMSNAPKYLAEARKGSRLGHDTIIDGMLKDGLWDVYNDFGMGVCAEICADQHNITREEQDSYAIQSFERGIAAQNNGLLAWEIVPVEVSGRRGKPFTIIDRDEGLGKFDAAKLRKLRPSFKEEGGSVTAGNASSISDGAAAIVLVSGEKATKLGLQVVAKIRGYADAAQAPELFTTAPALAIPKAISAAGLEASQIDYYEINEAFSVVALANQKLLGLNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVMRQKNGKFGVGGICNGGGGASALVFELMPVTKVGPSLL >EOY25851 pep chromosome:Theobroma_cacao_20110822:6:2328008:2330883:1 gene:TCM_027216 transcript:EOY25851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNLCSISISTGDTVPRCCHCIVGEASYTSKLEDNLKALKKELAKLNARRDDVNRRVDLAEQQHMELLNEVQLWLSSVQAAGAEAEEMIENAPQAVRDTRSLEDIYSRCNEALAEPANFKQAKLKDHWKSAMDAEMQMITKNETWTLVDRPTDKNIIKVKWIYRTKLNPDGSAPKAWNKRIDDHFKNQGFQRSMNESTLYVKKENGSALLIVALYGDDLLISGPKGKYLTKFKAQMQKVFEITDLGEMTYFLGMEIIQSAREVVLHQGNYAKDLLNRFNMGGCKAVSTPLSTSAKFCKDDGTAKANGQLYRSIIGSLLYLVVTRPDIMFATCLVSRFMQDPSEIHFATAKRVVAQSTAEAEYIACLAAANHALWLRKLLVELGFKQVKGTLMNVDNQSAIAIVKNLVQHERTKHI >EOY28644 pep chromosome:Theobroma_cacao_20110822:6:26133236:26135052:1 gene:TCM_030188 transcript:EOY28644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 77, subfamily B, polypeptide 1 MELVDLFVIGLALIFLRLWWRYWSVTGGGPKNLPPGPPGWPLVGNLIQVILQRRHFIFIVRELRKIYGPIFTLRMGQRTMVIVTDSRLIHEALVQRGPTFASRPPDSPIRLVFSMGKCAINSAEYGPLWRTLRKNFVTELITPTRVKQCSWIRKWALENHMKRIKSEAFENGFVEVMSNCRLTICSILICLCFGAKISEERIKKIESILKDVMLITSPQLPDFLPILTPLFRRQMKEAKALRKRQLECLVPLIKNRRAFVEKGENPNQEMVSPIGAAYIDSLFGLEPATRGPLGEEEYVTLCSEVISAGTDTSATTVEWAMLHLVTKQEIQDKLYQEIVAVVGKNGDIQEEDVEKMPYLDAVVKETLRRHPPGHFLLSHAAIKDTELGGYTIPEGVFVEFYTAWITENPDIWSDPGEFQPERFLHGDGVGVDVTGTRAVKMVPFGAGRRICPAWSLGILHINMLLAKMVQAFKWLPVPDAPPDLTETYAFTVVMKNPLRAVILPR >EOY27161 pep chromosome:Theobroma_cacao_20110822:6:20481060:20487268:-1 gene:TCM_029078 transcript:EOY27161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like/winged-helix DNA-binding family protein, putative MGNQKQKWTAEEEEALLAGVAKHGPGKWKNILKDPDFAPYLTHRSNIDLKDKWRNLSVSTSAQGSRDKSRAPKVKAIVASLPNTPSSAPAASRAHIVTTDAVVGDASNSSLDGKNAPRYNTMIFEALSTIKDTNGSDISAIVSYIEQRHEVPPNFRRLLSSRLRRLVSQGKLEKIQNCYKIRKDILMGTKTPTPKQKDIRLRQNSGVVSSGETMEEAAITAAYKVAEAENKSFLAAEAVKEAERVSKMAEDTDSMLQLVKEIYEQCSRGEIVLLA >EOY27062 pep chromosome:Theobroma_cacao_20110822:6:19924144:19927252:-1 gene:TCM_029002 transcript:EOY27062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ATP synthase subunit G protein isoform 2 MASKLQTKAAQVSQFVTKNGSSYYKELMERNNQYIQKPSSAHTCQLLAKQLFYTRLARTGTCGIQSVKAQLLGKGLKLSYMGGKRFLNLAEFSIPRRYEALWKELDSLKQFLKTKDAWSMENASVAALFGVECYAWSWGGEIIGRGFTLTGYYV >EOY27063 pep chromosome:Theobroma_cacao_20110822:6:19924353:19927399:-1 gene:TCM_029002 transcript:EOY27063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ATP synthase subunit G protein isoform 2 MASKLQTKAAQVSQFVTKNGSSYYKELMERNNQYIQKPSSAHTCQLLAKQLFYTRLASIPRRYEALWKELDSLKQFLKTKDAWSMENASVAALFGVECYAWSWGGEIIGRGFTLTGYYV >EOY28768 pep chromosome:Theobroma_cacao_20110822:6:26492573:26494072:1 gene:TCM_030281 transcript:EOY28768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein, putative MTFYCLVFLSLIFPLPSISAQVSVCNEELSFATLVGKNQEWQVDRTLSDMEHQIQAASVQSTETGATAGLRPWKGQRTLRHRHHLKNVHGILNILGWGFLLPTGAIIARNFRKFPLKCNEWYNLYVLCQSSGYIVGTVGWGIGLWLGNSSKQYTLKTHRILGIIIFTFATLQMSALWLQPKAEDECRKCWEIYHHLLGYALIVLSIANIFQGISNVKSHAAEKWRWVYVGMLIVLASTAVALEIYRWIKSKSPQQMAFDENEIYASEQI >EOY28025 pep chromosome:Theobroma_cacao_20110822:6:23970030:23976465:1 gene:TCM_029712 transcript:EOY28025 gene_biotype:protein_coding transcript_biotype:protein_coding description:ThiF family protein isoform 4 MAKEGSGSILQFAPFQSSVDEGFWHRLSSLKLNKFGIDDSPIPISGFFAPCSHPQVSNYLTLLAESLPSDSNEESSIPAFNRGNRNRCSVPGILYNTNTMESFHGLDKQGLLKAEAKKIWEDIHSGKVLEDSAVLSRFLLISFADLKKWSFHYWFAFPALILDPPATLVDLRPASQWFTLEEAESVSTACNEWRNSSVTADVPFILVSVGSDSRAAVRHLKDWETCQDDGQKLLFAFYDPCHLPNNPGWALRNFLAFICARWNLKTVHFLCYRENRGFADLSLSLVGEALITISQGWREHQCVPNAVGWELNKGRKVPRCINLAKSMDPTRLAISAADLNLKLMRWRALPSLNLDILFSIKCLLLGAGTLGCQVARMLMAWGVRKITLVDNGRVAMSNPLRQSLYTLDDCLSGGDFKATAAVRSLQRIFPAVVAEGVVMAIPMPGHPVSSQEENSVLEDCRRLNDLIGSHDVIFLLTDTRESRWLPTLLCANTNKITITAALGFDSFLVMRHGPGPFNSTPDLKVEMPNSLSAVMDNLALTNTDGKQRLGCYFCNDVVAPTDSTSNRTLDQQCTVTRPGLAPIASALAVELLVGILHHPYGIFAEAEIANSNNGGSSELPLGILPHQIRGSLPHFQQMTLVGHSSNSCTACCCTVVSEYRKRGMQFILQAINHPTFLEDLTGLTELMRSANTFQLDWDDEIEDADDECIEL >EOY28028 pep chromosome:Theobroma_cacao_20110822:6:23970189:23974585:1 gene:TCM_029712 transcript:EOY28028 gene_biotype:protein_coding transcript_biotype:protein_coding description:ThiF family protein isoform 4 MAKEGSGSILQFAPFQSSVDEGFWHRLSSLKLNKFGIDDSPIPISGFFAPCSHPQVSNYLTLLAESLPSDSNEESSIPAFNRGNRNRCSVPGILYNTNTMESFHGLDKQGLLKAEAKKIWEDIHSGKVLEDSAVLSRFLLISFADLKKWSFHYWFAFPALILDPPATLVDLRPASQWFTLEEAESVSTACNEWRNSSVTADVPFILVSVGSDSRAAVRHLKDWETCQDDGQKLLFAFYDPCHLPNNPGWALRNFLAFICARWNLKTVHFLCYRENRGFADLSLSLVGEALITISQGWREHQCVPNAVGWELNKGRKVPRCINLAKSMDPTRLAISAADLNLKLMRWRALPSLNLDILFSIKCLLLGAGTLGCQVARMLMAWGVRKITLVDNGRVAMSNPLRQSLYTLDDCLSGGDFKATAAVRSLQRIFPAVVAEGVVMAIPMPGHPVSSQEENSVLEDCRRLNDLIGSHDVIFLLTDTRESRWLPTLLCANTNKITITAALGFDSFLVMRHGPGPFNSTPDLKVEMPNSLSAVMDNLALTNTDGKQRLGCYFCNDVVAPTDSTSNRTLDQQCTVTRPGLAPIASALAVELLVGILHHPYG >EOY28026 pep chromosome:Theobroma_cacao_20110822:6:23970030:23976465:1 gene:TCM_029712 transcript:EOY28026 gene_biotype:protein_coding transcript_biotype:protein_coding description:ThiF family protein isoform 4 MESFHGLDKQGLLKAEAKKIWEDIHSGKVLEDSAVLSRFLLISFADLKKWSFHYWFAFPALILDPPATLVDLRPASQWFTLEEAESVSTACNEWRNSSVTADVPFILVSVGSDSRAAVRHLKDWETCQDDGQKLLFAFYDPCHLPNNPGWALRNFLAFICARWNLKTVHFLCYRENRGFADLSLSLVGEALITISQGWREHQCVPNAVGWELNKGRKVPRCINLAKSMDPTRLAISAADLNLKLMRWRALPSLNLDILFSIKCLLLGAGTLGCQVARMLMAWGVRKITLVDNGRVAMSNPLRQSLYTLDDCLSGGDFKATAAVRSLQRIFPAVVAEGVVMAIPMPGHPVSSQEENSVLEDCRRLNDLIGSHDVIFLLTDTRESRWLPTLLCANTNKITITAALGFDSFLVMRHGPGPFNSTPDLKVEMPNSLSAVMDNLALTNTDGKQRLGCYFCNDVVAPTDSTSNRTLDQQCTVTRPGLAPIASALAVELLVGILHHPYGIFAEAEIANSNNGGSSELPLGILPHQIRGSLPHFQQMTLVGHSSNSCTACCCTVVSEYRKRGMQFILQAINHPTFLEDLTGLTELMRSANTFQLDWDDEIEDADDECIEL >EOY28027 pep chromosome:Theobroma_cacao_20110822:6:23970189:23974585:1 gene:TCM_029712 transcript:EOY28027 gene_biotype:protein_coding transcript_biotype:protein_coding description:ThiF family protein isoform 4 MVHLGRESVSTACNEWRNSSVTADVPFILVSVGSDSRAAVRHLKDWETCQDDGQKLLFAFYDPCHLPNNPGWALRNFLAFICARWNLKTVHFLCYRENRGFADLSLSLVGEALITISQGWREHQCVPNAVGWELNKGRKVPRCINLAKSMDPTRLAISAADLNLKLMRWRALPSLNLDILFSIKCLLLGAGTLGCQVARMLMAWGVRKITLVDNGRVAMSNPLRQSLYTLDDCLSGGDFKATAAVRSLQRIFPAVVAEGVVMAIPMPGHPVSSQEENSVLEDCRRLNDLIGSHDVIFLLTDTRESRWLPTLLCANTNKITITAALGFDSFLVMRHGPGPFNSTPDLKVEMPNSLSAVMDNLALTNTDGKQRLGCYFCNDVVAPTDSTSNRTLDQQCTVTRPGLAPIASALAVELLVGILHHPYGIFAEAEIANSNNGGSSELPLGILPHQIRGSLPHFQQMTLVGHSSNSCTACCCTVVSEYRKRGMQFILQAINHPTFLEDLTGLTELMRSANTFQL >EOY26716 pep chromosome:Theobroma_cacao_20110822:6:17477145:17483653:-1 gene:TCM_028684 transcript:EOY26716 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAR binding filament-like protein 1, putative isoform 1 MVFVIGSSCYLQTLISNPQMLFSSSQSVFFNVRNAETKRRRRSIRSPMACLAHEDPNDDVSSKRRAVLLVGMSILPFLQLRAQALEGSTLKGSEVKKPERNQIAESQLNKLEANQIAESQLNKPEEAREDIPSNPFLSLLNGLGIFGAGVLGALYALVQKEKKATNETIESMKIKLQEKEAAIFSTEKDFESQLLNEQEKQTKQLKEAKEEQLSLMDRLDAANNTITGLGQELKNEKRLIEKLKAQIDSLQSNLTKAGEEKRSLEEELKKKLDSIEVLQQKVNLLSSELNDKEGKIQKLNSSLVEKESELKNLNSTLKQTKEELGKAHTEIEGLKEELLRNQSELESKSSVVDELNARISYLMVERDNSTQEFGALQDDYNDLKLSSEKKAAEGSKLLGEREKEIHQLKDKLELALNDVSENKAIFADLNKEKEHLKGALEVELHNVKNLKDELQLAEETIAKTRSEASDVCNQLNKSINHCKELESEVSRVRAEFDEAKLRLQGSLDESEQSGKVLASELTTAKELLKKTREELQIFSHELTAVTENRDSLQKELVDVYKKAETTANDLKEEKKIVSSLNKEVQALEKQIVKDKEARKSLETDLEEATKSLDEMNRNILKFSVDLERANAKISSLEDEKMVLYKTLTEQKNASKEARENMEDAHNLVMTLGKERESLEKRAKKLEEELASAKGEILRLRSQINSSKVGANDQPQQKGETEAKVTVSARKSTRRRKSSSQ >EOY26714 pep chromosome:Theobroma_cacao_20110822:6:17477007:17483750:-1 gene:TCM_028684 transcript:EOY26714 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAR binding filament-like protein 1, putative isoform 1 MVFVIGSSCYLQTLISNPQMLFSSSQSVFFNVRNAETKRRRRSIRSPMACLAHEDPNDDVSSKRRAVLLVGMSILPFLQLRAQALEGSTLSRERQRKRKKDGEVEETMELPMAVASEGSEVKKPERNQIAESQLNKLEANQIAESQLNKPEEAREDIPSNPFLSLLNGLGIFGAGVLGALYALVQKEKKATNETIESMKIKLQEKEAAIFSTEKDFESQLLNEQEKQTKQLKEAKEEQLSLMDRLDAANNTITGLGQELKNEKRLIEKLKAQIDSLQSNLTKAGEEKRSLEEELKKKLDSIEVLQQKVNLLSSELNDKEGKIQKLNSSLVEKESELKNLNSTLKQTKEELGKAHTEIEGLKEELLRNQSELESKSSVVDELNARISYLMVERDNSTQEFGALQDDYNDLKLSSEKKAAEGSKLLGEREKEIHQLKDKLELALNDVSENKAIFADLNKEKEHLKGALEVELHNVKNLKDELQLAEETIAKTRSEASDVCNQLNKSINHCKELESEVSRVRAEFDEAKLRLQGSLDESEQSGKVLASELTTAKELLKKTREELQIFSHELTAVTENRDSLQKELVDVYKKAETTANDLKEEKKIVSSLNKEVQALEKQIVKDKEARKSLETDLEEATKSLDEMNRNILKFSVDLERANAKISSLEDEKMVLYKTLTEQKNASKEARENMEDAHNLVMTLGKERESLEKRAKKLEEELASAKGEILRLRSQINSSKVGANDQPQQKGETEAKVTVSARKSTRRRKSSSQ >EOY26715 pep chromosome:Theobroma_cacao_20110822:6:17477145:17483653:-1 gene:TCM_028684 transcript:EOY26715 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAR binding filament-like protein 1, putative isoform 1 MVFVIGSSCYLQTLISNPQMLFSSSQSVFFNVRNAETKRRRRSIRSPMACLAHEDPNDDVSSKRRAVLLVGRERQRKRKKDGEVEETMELPMAVASEGSEVKKPERNQIAESQLNKLEANQIAESQLNKPEEAREDIPSNPFLSLLNGLGIFGAGVLGALYALVQKEKKATNETIESMKIKLQEKEAAIFSTEKDFESQLLNEQEKQTKQLKEAKEEQLSLMDRLDAANNTITGLGQELKNEKRLIEKLKAQIDSLQSNLTKAGEEKRSLEEELKKKLDSIEVLQQKVNLLSSELNDKEGKIQKLNSSLVEKESELKNLNSTLKQTKEELGKAHTEIEGLKEELLRNQSELESKSSVVDELNARISYLMVERDNSTQEFGALQDDYNDLKLSSEKKAAEGSKLLGEREKEIHQLKDKLELALNDVSENKAIFADLNKEKEHLKGALEVELHNVKNLKDELQLAEETIAKTRSEASDVCNQLNKSINHCKELESEVSRVRAEFDEAKLRLQGSLDESEQSGKVLASELTTAKELLKKTREELQIFSHELTAVTENRDSLQKELVDVYKKAETTANDLKEEKKIVSSLNKEVQALEKQIVKDKEARKSLETDLEEATKSLDEMNRNILKFSVDLERANAKISSLEDEKMVLYKTLTEQKNASKEARENMEDAHNLVMTLGKERESLEKRAKKLEEELASAKGEILRLRSQINSSKVGANDQPQQKGETEAKVTVSARKSTRRRKSSSQ >EOY26743 pep chromosome:Theobroma_cacao_20110822:6:17582319:17585227:-1 gene:TCM_028701 transcript:EOY26743 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette protein 1 isoform 1 MASLLANGISSFTTQPTSDSTKFAKGFYQKLDSVKTMTQKPPNSRLFKVRADVGFDSQTLTSDPSSSSEPDWMLEFRLNAYGKFLKMKEPKWSDNRYPPINFQDICYYSAPKKKPTLNSLDEADPELLKYFDRLGVSLNERNRLANVAVDAVLDSVSIATTHRKTLEKAGVIFCSISEAIREYPDLVRKYLGRVVPIEDNYYAALNSAVFSDGSFCYIPKDTKCPMPISTYFRINALETGQFERTLIVADEGSFVEYLEGCTAPSYERNQLHAAVVELYCAKGAEIKYSTVQNWYAGDEEGKGGIYNFVTKRGLCAGDHSKISWTQVETGSAITWKYPSVVLEGDDTVGEFYSVALTNNYQQADTGTKMIHKGENTRSRIISKGISAGNSRNCYRGLVQVQSKAENARNSSQCDSMLIGDNAAANTYPYIQVKNPSARVEHEASTSKIGEDQLFYFQQRGIDYEKAMAAMISGFCRDVFNELPDEFGAEVNQLMSLKLEGSVG >EOY26742 pep chromosome:Theobroma_cacao_20110822:6:17582319:17585222:-1 gene:TCM_028701 transcript:EOY26742 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette protein 1 isoform 1 MASLLANGISSFTTQPTSDSTKFAKGFYQKLDSVKTMTQKPPNSRLFKVRADVGFDSQTLTSDPSSSSGKSYDEKIQEILRNRDYNKKFGFTMDIDSFSIPKGLCKETIRLISSLKEEPDWMLEFRLNAYGKFLKMKEPKWSDNRYPPINFQDICYYSAPKKKPTLNSLDEADPELLKYFDRLGVSLNERNRLANVAVDAVLDSVSIATTHRKTLEKAGVIFCSISEAIREYPDLVRKYLGRVVPIEDNYYAALNSAVFSDGSFCYIPKDTKCPMPISTYFRINALETGQFERTLIVADEGSFVEYLEGCTAPSYERNQLHAAVVELYCAKGAEIKYSTVQNWYAGDEEGKGGIYNFVTKRGLCAGDHSKISWTQVETGSAITWKYPSVVLEGDDTVGEFYSVALTNNYQQADTGTKMIHKGENTRSRIISKGISAGNSRNCYRGLVQVQSKAENARNSSQCDSMLIGDNAAANTYPYIQVKNPSARVEHEASTSKIGEDQLFYFQQRGIDYEKAMAAMISGFCRDVFNELPDEFGAEVNQLMSLKLEGSVG >EOY28438 pep chromosome:Theobroma_cacao_20110822:6:25358391:25361609:-1 gene:TCM_030006 transcript:EOY28438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 4-2 isoform 2 MAIETEQKSPSDGKVWGFFKLPFLQIGNNTSTTSSSSSASHLYGQSQPHVEGSNHQGSANSVSSVAKSLLPTRRRLKLDPGSKLYFPYEPGKQVRSAVRIKNTSKSFVAFKFQTTAPKSCFMRPPGAILAPGESIIATVFKFVEHPENNEKPMDQKSKVKFKIMSLKVKGPMDYVPELFDEQKDQVAIEQILRVVFLDPGRPCPALEKLKRQLADADAALEARKKPPEDTGPRIIGEGLVIDEWKERRERYLARQQVEGVDSA >EOY28440 pep chromosome:Theobroma_cacao_20110822:6:25359456:25361434:-1 gene:TCM_030006 transcript:EOY28440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 4-2 isoform 2 MAIETEQKSPSDGKVWGFFKLPFLQIGNNTSTTSSSSSASHLYGQSQPHVEGSNHQGSANSVSSVAKSLLPTRRRLKLDPGSKLYFPYEPGKQVRSAVRIKNTSKSFVAFKFQTTAPKSCFMRPPGAILAPGESIIATVFKFVEHPENNEKPMDQKSKVKFKIMSLKVKGPMDYVPECLFFAV >EOY28439 pep chromosome:Theobroma_cacao_20110822:6:25358911:25361557:-1 gene:TCM_030006 transcript:EOY28439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 4-2 isoform 2 MAIETEQKSPSDGKVWGFFKLPFLQIGNNTSTTSSSSSASHLYGQSQPHVEGSNHQGSANSVSSVAKSLLPTRRRLKLDPGSKLYFPYEPGKQVRSAVRIKNTSKSFVAFKFQTTAPKSCFMRPPGAILAPGESIIATVFKFVEHPENNEKPMDQKSKVKFKIMSLKVKGPMDYVPECLFFAV >EOY26909 pep chromosome:Theobroma_cacao_20110822:6:19011115:19014613:-1 gene:TCM_028873 transcript:EOY26909 gene_biotype:protein_coding transcript_biotype:protein_coding description:F9L1.16, putative isoform 2 MTLFTLLKPPKTKSIVTFKGNSACIGKDFRFWSVMDDKDSWDSVSEFTLAEILEMENIYKEIGEKTLNKEFCQELATNFSCSSNRMGKSAVTWQQVQIWFQEKQMETQSKQRPSPMALELFVDLSSANSSKPPGSLRRHKGKVEDLKELSFEARSSKDYAWYDVDSFLTYRVLSTGELEVRVRFSGFAKTEDEWVNVEKAVRERSIPLEPSECNIVKIGDLVLCYQTQRPDDLLIFPCNSPVYGLALRSNGRVYLTDIDGEGTVRKDREHYQVYYDAHVVDIQRRVHDVRGCSCIFVVCYDHDYSKEKVPLQRLCCRPPQ >EOY26912 pep chromosome:Theobroma_cacao_20110822:6:19011426:19014665:-1 gene:TCM_028873 transcript:EOY26912 gene_biotype:protein_coding transcript_biotype:protein_coding description:F9L1.16, putative isoform 2 MTLFTLLKPPKTKSIVTFKGNSACIGKDFRFWSVMDDKDSWDSVSEFTLAEILEMENIYKEIGEKTLNKEFCQELATNFSCSSNRMGKSAVTWQQVQIWFQEKQMETQSKQRPSPMALELFVDLSSANSSKPPGSLRRHKGKVEDLKELSFEARSSKDYAWYDVDSFLTYRVLSTGELEVRVRFSGFAKTEDEWVNVEKAVRERSIPLEPSECNIVKIGDLVLCYQSCLWFRYLNRTTVKSWCFAFWIYIHELPIKITQH >EOY26913 pep chromosome:Theobroma_cacao_20110822:6:19010822:19014715:-1 gene:TCM_028873 transcript:EOY26913 gene_biotype:protein_coding transcript_biotype:protein_coding description:F9L1.16, putative isoform 2 MTLFTLLKPPKTKSIVTFKGNSACIGKDFRFWSVMDDKDSWDSVSEFTLAEILEMENIYKEIGEKTLNKEFCQELATNFSCSSNRMGKSAVTWQQVQIWFQEKQMETQSKQRPSPMALELFVDLSSANSSKPPGSLRRHKGKVEDLKELSFEARSSKDYAWYDVDSFLTYRVLSTGELEVRVRFSGFAKTEDEWVNVEKAVRERSIPLEPSECNIVKIGDLVLCYQKRFRCRGYVAGLRNRFFGFTSK >EOY26910 pep chromosome:Theobroma_cacao_20110822:6:19011329:19014665:-1 gene:TCM_028873 transcript:EOY26910 gene_biotype:protein_coding transcript_biotype:protein_coding description:F9L1.16, putative isoform 2 MTLFTLLKPPKTKSIVTFKGNSACIGKDFRFWSVMDDKDSWDSVSEFTLAEILEMENIYKEIGEKTLNKEFCQELATNFSCSSNRMGKSAVTWQQVQIWFQEKQMETQSKQRPSPMALELFVDLSSANSSKPPGSLRRHKGKVEDLKELSFEARSSKDYAWYDVDSFLTYRVLSTGELEVRVRFSGFAKTEDEWVNVEKAVRERSIPLEPSECNIVKIGDLVLCYQSCLWFRYLNRTTVKSWCFAFWIYIHELPIKITQH >EOY26911 pep chromosome:Theobroma_cacao_20110822:6:19010906:19014575:-1 gene:TCM_028873 transcript:EOY26911 gene_biotype:protein_coding transcript_biotype:protein_coding description:F9L1.16, putative isoform 2 MDDKDSWDSVSEFTLAEILEMENIYKEIGEKTLNKEFCQELATNFSCSSNRMGKSAVTWQQVQIWFQEKQMETQSKQRPSPMALELFVDLSSANSSKPPGSLRRHKGKVEDLKELSFEARSSKDYAWYDVDSFLTYRVLSTGELEVRVRFSGFAKTEDEWVNVEKAVRERSIPLEPSECNIVKIGDLVLCYQDREHYQVYYDAHVVDIQRRVHDVRGCSCIFVVCYDHDYSKEKVPLQRLCCRPPQ >EOY26254 pep chromosome:Theobroma_cacao_20110822:6:6662524:6665488:1 gene:TCM_027726 transcript:EOY26254 gene_biotype:protein_coding transcript_biotype:protein_coding description:F17F16.3 protein MCEETWQPTVRWCMSEGNVAREGSFWLLQLRRVSSCSSQSGKFSHWISSSTVSFLFKTTDKSSGDFASFWSVLSGRRRQRRMKWDKAENTRPEMMPKLRFRKEGKYSSSIGLKSTSRKLTELTMRSGCTLSCAQSPVRDLLKRSKTPSLARTVPRRNQIKVKVGRAKNEYEGGKGRQEYDTSTRGHRRNFPARAVGEGVYRILRHNSGKKKMHTHLIYKIEFPPEDEENEPQESLNIKREGSFVIQIKNPEQPGKSQFRGLQKKRKAAFPAHLQGQLGQNRYHPADPPDFLNYEGCEFLLISTRSWVWNLRPKGKQIHLVQIWSGLSGRLHLQLPFSRAFGLE >EOY25556 pep chromosome:Theobroma_cacao_20110822:6:436024:439480:-1 gene:TCM_026945 transcript:EOY25556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hercules receptor kinase 2 isoform 1 MGKVQGSKVLSLLLVIVFSASFRKGEAQSKSFLINCGTNSSVNVDGRKWVGDLSPDNNLTLSSPGVVSTTSTLSGDSIFAPLYKSARLFSDELNYTFNGIQGNYFLRLHFCPFSFEDHNVNESSFDVVANGLKLLEQFNVAGEIAHKNLYLQGMGTNFSSFSLVKEYILPINLDMLVIEFTPTKGSFGFINAMEMVPVADKLFADSVSKVGGNDANLNLSGRGIETMYRLNVGGPEINASQDSDYWRTWDVDSGYMITANAGFEIHNSSNITYASANDSSVAPLLVYETARSMSNTDMLEKRINMSWRFEVDPDFDYLVRLHFCELVYDKPSQRIFRIYINNRTAANNFDLFVKAGGINKAYHQDYFDAVSSKINILWIQLGPDTAAGASGTDALLNGLEIFKLSRNGNLAHVQIYDSTGNSTHTSKSWILWVGIGAGVASVAILAAAGTFLFCFCKKQRRESGDMKNNTPGWRPLFLHGSILNSTANAKGSSRLRNINGSIASTGVGKQFTLAEIRTATNNFDESLVIGVGGFGKVFKGEIEDGTLAAIKRANPQSEQGLTEFHTEIEMLSKLRHRHLVSLIGFCDEQNEMILVYEYMANGTLRSHLFGNDVPPLTWKQRLEACIGAARGLHYLHTGAERGIIHRDVKTTNILLDQNFVAKMSDFGLSRTGPSLEHTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVVCARAVINPSLPKDQINLAEWAMRWQRQRSLETIIDPHLRGKYSPESMEKFGEIAEKCLADEGKNRPTMGEVLWHLEYVLQLHQAWIRANTMDNSFSGSQALGDLEDREAENRQYDGNSGAGASKPEAI >EOY25555 pep chromosome:Theobroma_cacao_20110822:6:435938:439911:-1 gene:TCM_026945 transcript:EOY25555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hercules receptor kinase 2 isoform 1 MGKVQGSKVLSLLLVIVFSASFRKGEAQSKSFLINCGTNSSVNVDGRKWVGDLSPDNNLTLSSPGVVSTTSTLSGDSIFAPLYKSARLFSDELNYTFNGIQGNYFLRLHFCPFSFEDHNVNESSFDVVANGLKLLEQFNVAGEIAHKNLYLQGMGTNFSSFSLVKEYILPINLDMLVIEFTPTKGSFGFINAMEMVPVADKLFADSVSKVGGNDANLNLSGRGIETMYRLNVGGPEINASQDSDYWRTWDVDSGYMITANAGFEIHNSSNITYASANDSSVAPLLVYETARSMSNTDMLEKRINMSWRFEVDPDFDYLVRLHFCELVYDKPSQRIFRIYINNRTAANNFDLFVKAGGINKAYHQDYFDAVSSKINILWIQLGPDTAAGASGTDALLNGLEIFKLSRNGNLAHVQIYDSTGNSTHTSKSWILWVGIGAGVASVAILAAAGTFLFCFCKKQRRESGDMKNNTPGWRPLFLHGSILNSTANAKGSSRLRNINGSIASTGVGKQFTLAEIRTATNNFDESLVIGVGGFGKVFKGEIEDGTLAAIKRANPQSEQGLTEFHTEIEMLSKLRHRHLVSLIGFCDEQNEMILVYEYMANGTLRSHLFGNDVPPLTWKQRLEACIGAARGLHYLHTGAERGIIHRDVKTTNILLDQNFVAKMSDFGLSRTGPSLEHTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVVCARAVINPSLPKDQINLAEWAMRWQRQRSLETIIDPHLRGKYSPESMEKFGEIAEKCLADEGKNRPTMGEVLWHLEYVLQLHQAWIRANTMDNSFSGSQALGDLEDREAENRQYDGNSGAGASKPEAI >EOY26195 pep chromosome:Theobroma_cacao_20110822:6:5471851:5482563:-1 gene:TCM_027617 transcript:EOY26195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MCDSSVVQSAVCQNDSISNSSHSKAGSQLDIVGELQSSNSSFEGRKYSEETGSVESCFKSSDSYPYRFQLEQDVHKLQQKLQEEIELHSILKNAIEKNATELSSPSCLPHHAQEVLSHIAVLEVTISKLEQEMVSLHFQLSQERNERRLAEYRLRHSFSPSISHSSRCLKHSNSELHHSSEDNACQEPTDQPSESTGESSSTESVREQNAVDSLLHLDGKKISAKTDGKSCQPLQFEKISRGIPPKGLWDHPNQLSEEMVRCMRNIFIFLADSPIPSKSSAFESHNSTLSPRGHLSNSSWWSSSERSMIPSWVQSPQIDIQSNSEVLASENSFDPYRVRGKLSWAEIGNYSLANEVSCMSVGKKQLEYASGALRRFRILVEQLAKVNPIHLSSNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTVGGHSFSAAVIEYVILRMKPPLHRPQIALLLALHKLKVSDEQRKSAIDAYEPRVSFALSSGMYSSPVVRIYTAKNVREELEEAQRDFIRASVGVSSKGKLLVPKLLHCFAKGFVDDSNLAVWISHYLPSHQAAFVEQCISQTRQSLLGSRNCGILPFDSRFRYLFLPDKISLQ >EOY26196 pep chromosome:Theobroma_cacao_20110822:6:5473823:5482479:-1 gene:TCM_027617 transcript:EOY26196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MCDSSVVQSAVCQNDSISNSSHSKAGSQLDIVGELQSSNSSFEGRKYSEETGSVESCFKSSDSYPYRFQLEQDVHKLQQKLQEEIELHSILKNAIEKNATELSSPSCLPHHAQEVLSHIAVLEVTISKLEQEMVSLHFQLSQERNERRLAEYRLRHSFSPSISHSSRCLKHSNSELHHSSEDNACQEPTDQPSESTGESSSTESVRENAVDSLLHLDGKKISAKTDGKSCQPLQFEKISRGIPPKGLWDHPNQLSEEMVRCMRNIFIFLADSPIPSKSSAFESHNSTLSPRGHLSNSSWWSSSERSMIPSWVQSPQIDIQSNSEVLASENSFDPYRVRGKLSWAEIGNYSLANEVSCMSVGKKQLEYASGALRRFRILVEQLAKVNPIHLSSNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTVGGHSFSAAVIEYVILRMKPPLHRPQIALLLALHKLKVSDEQRKSAIDAYEPRVSFALSSGMYSSPVVRIYTAKNVREELEEAQRDFIRASVGVSSKGKLLVPKLLHCFAKGFVDDSNLAVWISHYLPSHQAAFVEQCISQTRQSLLGSRNCGILPFDSRFRYLFLPDKISLQ >EOY26197 pep chromosome:Theobroma_cacao_20110822:6:5476215:5483372:-1 gene:TCM_027617 transcript:EOY26197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MCDSSVVQSAVCQNDSISNSSHSKAGSQLDIVGELQSSNSSFEGRKYSEETGSVESCFKSSDSYPYRFQLEQDVHKLQQKLQEEIELHSILKNAIEKNATELSSPSCLPHHAQEVLSHIAVLEVTISKLEQEMVSLHFQLSQERNERRLAEYRLRHSFSPSISHSSRCLKHSNSELHHSSEDNACQEPTDQPSESTGESSSTESVRENAVDSLLHLDGKKISAKTDGKSCQPLQFEKISRGIPPKGLWDHPNQLSEEMVRCMRNIFIFLADSPIPSKSSAFESHNSTLSPRGHLSNSSWWSSSERSMIPSWVQSPQIDIQSNSEVLASENSFDPYRVRGKLSWAEIGNYSLANEVSCMSVGKKQLEYASGALRRFRILVEQLAKVNPIHLSSNEKLAFWINLYNALIMHVGFFVSFASMIFVMTSLE >EOY26194 pep chromosome:Theobroma_cacao_20110822:6:5471851:5483672:-1 gene:TCM_027617 transcript:EOY26194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MCDSSVVQSAVCQNDSISNSSHSKAGSQLDIVGELQSSNSSFEGRKYSEETGSVESCFKSSDSYPYRFQLEQDVHKLQQKLQEEIELHSILKNAIEKNATELSSPSCLPHHAQEVLSHIAVLEVTISKLEQEMVSLHFQLSQERNERRLAEYRLRHSFSPSISHSSRCLKHSNSELHHSSEDNACQEPTDQPSESTGESSSTESVRENAVDSLLHLDGKKISAKTDGKSCQPLQFEKISRGIPPKGLWDHPNQLSEEMVRCMRNIFIFLADSPIPSKSSAFESHNSTLSPRGHLSNSSWWSSSERSMIPSWVQSPQIDIQSNSEVLASENSFDPYRVRGKLSWAEIGNYSLANEVSCMSVGKKQLEYASGALRRFRILVEQLAKVNPIHLSSNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTVGGHSFSAAVIEYVILRMKPPLHRPQIALLLALHKLKVSDEQRKSAIDAYEPRVSFALSSGMYSSPVVRIYTAKNVREELEEAQRDFIRASVGVSSKGKLLVPKLLHCFAKGFVDDSNLAVWISHYLPSHQAAFVEQCISQTRQSLLGSRNCGILPFDSRFRYLFLPDKISLQ >EOY25750 pep chromosome:Theobroma_cacao_20110822:6:1559244:1566990:1 gene:TCM_027120 transcript:EOY25750 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit clpX isoform 1 MLSLAKHTARALTTAIRRRKTTVGVTSLWSISRQKWDGVEYDHIRADVNCPRCFNQMPVLFSNRPLSITAREPGLYQALNLCPNCKTAFYFRPFKLVPLQGSFVELGRIMGDAEGISGKEPGQIGKLSDGNDDADEDKDDVARRLERELPTPKEICGRLDEFVIGQQKAKKVLSVAVYNHYKRIYHASQQNEACILGDDENDANDFVELDKSNVLLIGPTGSGKTLLAKTLARIVNVPFVIVDATTLTQASYVGEDVESILYKLLVEAEFDVEAAQHGIVYIDEVDKITKKAESSNIGRDVSGEGVQQALLKLLEGTIVNVPLPDKGARRYPRGDSFQIDTKDILFVCGGAFIDLEKTISERRQDSSIGFGAPVRANMRSSGLTTAAVTSSLLESVESDDLIAYGLIPEFIGRFPILVSLSGLNEDQLVEVLMKPKNALGKQYKKMFSMNGVKLHFTANALRLISKKAMAKNTGARGLRAILENILTEAMFEIPDTKMESQGVNAVLVDEEAVGSFDETGCGAKILYGDGELDRF >EOY25749 pep chromosome:Theobroma_cacao_20110822:6:1559393:1569056:1 gene:TCM_027120 transcript:EOY25749 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit clpX isoform 1 MLSLAKHTARALTTAIRRRKTTVGVTSLWSISRQKWDGVEYDHIRADVNCPRCFNQMPVLFSNRPLSITAREPGLYQALNLCPNCKTAFYFRPFKLVPLQGSFVELGRIMGDAEGISGKEPGQIGKLSDGNDDADEDKDDVARRLERELPTPKEICGRLDEFVIGQQKAKKVLSVAVYNHYKRIYHASQQNEACILGDDENDANDFVELDKSNVLLIGPTGSGKTLLAKTLARIVNVPFVIVDATTLTQAGYVGEDVESILYKLLVEAEFDVEAAQHGIVYIDEVDKITKKAESSNIGRDVSGEGVQQALLKLLEGTIVNVPLPDKGARRYPRGDSFQIDTKDILFVCGGAFIDLEKTISERRQDSSIGFGAPVRANMRSSGLTTAAVTSSLLESVESDDLIAYGLIPEFIGRFPILVSLSGLNEDQLVEVLMKPKNALGKQYKKMFSMNGVKLHFTANALRLISKKAMAKNTGARGLRAILENILTEAMFEIPDTKMESQGVNAVLVDEEAVGSFDETGCGAKILYGDGELDRFLAKRTWKDFVTA >EOY25748 pep chromosome:Theobroma_cacao_20110822:6:1559067:1568981:1 gene:TCM_027120 transcript:EOY25748 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit clpX isoform 1 MLSLAKHTARALTTAIRRRKTTVGVTSLWSISRQKWDGVEYDHIRADVNCPRCFNQMPVLFSNRPLSITAREPGLYQALNLCPNCKTAFYFRPFKLVPLQGSFVELGRIMGDAEGISGKEPGQIGKLSDGNDDADEDKDDVARRLERELPTPKEICGRLDEFVIGQQKAKKVLSVAVYNHYKRIYHASQQNEACILGDDENDANDFVELDKSNVLLIGPTGSGKTLLAKTLARIVNVPFVIVDATTLTQAGYVGEDVESILYKLLVEAEFDVEAAQHGIVYIDEVDKITKKAESSNIGRDVSGEGVQQALLKLLEGTIVNVPLPDKGARRYPRGDSFQIDTKDILFVCGGAFIDLEKTISERRQDSSIGFGAPVRANMRSSGLTTAAVTSSLLESVESDDLIAYGLIPEFIGRFPILVSLSGLNEDQLVEVLMKPKNALGKQYKKMFSMNGVKLHFTANALRLISKKAMAKNTGARGLRAILENILTEAMFEVRIWFLLSFGVMTTIQDEGLFSFSPEIPDTKMESQGVNAVLVDEEAVGSFDETGCGAKILYGDGELDRFLAKRTWKDFVTA >EOY25751 pep chromosome:Theobroma_cacao_20110822:6:1559027:1565715:1 gene:TCM_027120 transcript:EOY25751 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit clpX isoform 1 MLSLAKHTARALTTAIRRRKTTVGVTSLWSISRQKWDGVEYDHIRADVNCPRCFNQMPVLFSNRPLSITAREPGLYQALNLCPNCKTAFYFRPFKLVPLQGSFVELGRIMGDAEGISGKEPGQIGKLSDGNDDADEDKDDVARRLERELPTPKEICGRLDEFVIGQQKAKKVLSVAVYNHYKRIYHASQQNEACILGDDENDANDFVELDKSNVLLIGPTGSGKTLLAKTLARIVNVPFVIVDATTLTQAGYVGEDVESILYKLLVEAEFDVEAAQHGIVYIDEVDKITKKAESSNIGRDVSGEGVQQALLKLLEGTIVNVPLPDKGARRYPRGDSFQIDTKDILFVCGGAFIDLEKTISERRQDSSIGFGAPVRANMRSSGLTTAAVTSSLLESVRIKTQDG >EOY28422 pep chromosome:Theobroma_cacao_20110822:6:25308793:25309659:1 gene:TCM_029993 transcript:EOY28422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEDENENPWEYLKSIEIVTMVSSSKTISNLSFLSSNDPLLSFVITLYILILLYFPQSFSLKIFFFPVLVLTASLLLSLLRLGAIQRTQTETKEKRSLAEAEAEKTDFSQQELKWSTCKKDPELVMQSFEETFVEWDVGAPLEVIYEGHEGEEEDPNENVSNPTRVIERYPSLSLYYPESDSDSSSSETDYLAIGEWVSSEKMCYRWEEEDREGLIEIALDKRDLDFHGEEENLIEIDISSVKD >EOY26515 pep chromosome:Theobroma_cacao_20110822:6:13392942:13396745:-1 gene:TCM_028298 transcript:EOY26515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MGNIFSIQLSCDTIFSRCWDCSAGQAIYTCRLEENLADLKTALNKLMELRNDVMRKVNIAEQGNMKRLDQVEGWLSRTEAMINEVDQLITDSPQEIKKLCMGGCFSKNYMSSLRFSKRVAKKLNDVKDLNLDGAFKEVATTVPAALVVERPSDSAIGLESIFNTLWSSFEEKHVGIIGIYGIGGVGKTRLLTQINNKIGVSSGGFEVVIWVVVSKGFYVDKVQDDIADRIGLSSGTWNDKTPEQKATEMFGVLKKKKFVLLLDDIWERVNLSKVGIPYPTQENGSKLIFTTRSIEVCGQMRADKKIEVTCLPEEKAWQLFEEHVGKDLFDSHPNIRDLAQEVAKECGGLPLALITIGRSMACKTTSEEWKYAIDVLRRSSATSISPDMGKEVYPHLKFSYDSLPNDMVRSCLLYCSLFSEDFRIEKERLIDCWIGEGFLDEHDNISQARNQGHHIIGSLIHACLLEEASDWFVKMHDVIRDMCLWIACTCEAEKWKFFVQAGYQLTKVPNVGKWRGIKRMSLMDNKIENLREAPNCPDLQTLFLSGNKPLEVIDNDFFQFMCVLKVLDLSFNRGITEFPKGISKLVSLEYLDLSGTTIRELPTELRALKKLKCLGLQHIDNRIKIPRGLMAGFSKLEILRMFSYYPFDEAVEDDNECLVEELQCLNHLNVLTLSVTSAFALDRFLSAEKLHNFIETIGLQYFKDSKQLNILSLANFKSLNTLFLEECESLEEVKTVWEGEGRIIKAAIEIQTSVIASVPCFQNLLHVYIVRCSKLRDITWLILAPNLKDLIVIDCDKMEEIINETKLSQVAELVRTLSPFSKLEGLHLIRLPELKSICLDALPFSCMKSIRVRECPKLRSLPLNSNSAKGNKISIYGEEKWWKELQWEDESTQNAFLRSFIPRRN >EOY28732 pep chromosome:Theobroma_cacao_20110822:6:26381643:26385894:1 gene:TCM_030247 transcript:EOY28732 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 4 isoform 1 MEDGKLLLCCFIAYILFFGVNGENPYRYITWKVTYGDIYPLGVKQQGILINGQFPGPQIDAVTNDNLIISVYNYLNEPFLISWNGVQQRRNSWQDGVFGTNCPIRPGKNFTYVLQVKDQIGSFFYFPSLLFHKAAGGYGGIRIWSRPKIPVPFPTPAGDFTVLAGDWYKRNHYVLRRLLDSGRNLPFPDGLLINGRGWNGYTFTVDPGRTYRFRVSNVGLTTSINFRIQGHNLKLIEVEGSHTLQNTYSSFDIHLGQSCSFLVTADQVSKDYYVVVSSRFTSRVLTTTAVLHYSNSKQGVSGPVPGASTIQIAPSLLQERSIRWNLTASGPRPNPQGSYHYGLITPSRTIMLANSAPWINGKQRYAVNGVSYVPADTPLKLADYFKIPGVFNLGSIPTWPPSGNNAYFQTSVMAANFREYIEIVFQNWEDTVQSWHIDGYSFFVVGMDGGQWTPGSRANYNLRDTVARCTTQQVYPRSWTAIYMALDNVGMWNIRSENWARQYLGQQFYLRVYSPANSWRDELPIPKNAPLCGRARGRHTRPL >EOY28730 pep chromosome:Theobroma_cacao_20110822:6:26380921:26385716:1 gene:TCM_030247 transcript:EOY28730 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 4 isoform 1 MEDGKLLLCCFIAYILFFGVNGENPYRYITWKVTYGDIYPLGVKQQGILINGQFPGPQIDAVTNDNLIISVYNYLNEPFLISWNGVQQRRNSWQDGVFGTNCPIRPGKNFTYVLQVKDQIGSFFYFPSLLFHKAAGGYGGIRIWSRPKIPVPFPTPAGDFTVLAGDWYKRNHYVLRRLLDSGRNLPFPDGLLINGRGWNGYTFTVDPGRTYRFRVSNVGLTTSINFRIQGHNLKLIEVEGSHTLQNTYSSFDIHLGQSCSFLVTADQVSKDYYVVVSSRFTSRVLTTTAVLHYSNSKQGVSGPVPGASTIQIAPSLLQERSIRWNLTASGPRPNPQGSYHYGLITPSRTIMLANSAPWINGKQRYAVNGVSYVPADTPLKLADYFKIPGVFNLGSIPTWPPSGNNAYFQTSVMAANFREYIEIVFQNWEDTVQSWHIDGYSFFVVGMDGGQWTPGSRANYNLRDTVARCTTQVYPRSWTAIYMALDNVGMWNIRSENWARQYLGQQFYLRVYSPANSWRDELPIPKNAPLCGRARGRHTRPL >EOY28731 pep chromosome:Theobroma_cacao_20110822:6:26381643:26385894:1 gene:TCM_030247 transcript:EOY28731 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 4 isoform 1 MEDGKLLLCCFIAYILFFGVNGENPYRYITWKVTYGDIYPLGVKQQGILINGQFPGPQIDAVTNDNLIISVYNYLNEPFLISWNGVQQRRNSWQDGVFGTNCPIRPGKNFTYVLQVKDQIGSFFYFPSLLFHKAAGGYGGIRIWSRPKIPVPFPTPAGDFTVLAGDWYKRNHYVLRRLLDSGRNLPFPDGLLINGRGWNGYTFTVDPGRTYRFRVSNVGLTTSINFRIQGHNLKLIEVEGSHTLQNTYSSFDIHLGQSCSFLVTADQVSKDYYVVVSSRFTSRVLTTTAVLHYSNSKQGVSGPVPGASTIQIAPSLLQERSIRWNLTASGPRPNPQGSYHYGLITPSRTIMLANSAPWINGKQRYAVNGVSYVPADTPLKLADYFKIPGVFNLGSIPTWPPSGNNAYFQTSVMAANFREYIEIVFQNWEDTVQSWHIDGYSFFVVGMDGGQWTPGSRANYNLRDTVARCTTQVYPRSWTAIYMALDNVGMWNIRSENWARQYLGQQFYLRVYSPANSWRDELPIPKNAPLCGRARGRHTRPL >EOY26152 pep chromosome:Theobroma_cacao_20110822:6:5079384:5083427:1 gene:TCM_027567 transcript:EOY26152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKLGYYFGIGNGKVYLFLNSKAIGEFKLVDNLYRCIRGKMTKTNKKRATHSNDLLEIMHTNISGPLIPTLCGNKYFVTFINYLSCFGYLYLIDEKSDAYEKFKIFKIEVEKQLKKAIKIVRSNQRGEYYGKYGTIGQHMGLFTKYLQECGIVAQYTMLGSLEQNVVSEIRNCNFKEMMKSMISYLNHSKGYRFFCPTCGTKVIESQVAKFLELNVANKDMTEVVDCSEPIRHVIIHLPASEGSVVPIAIERDDAREYDVSSPPQDFVLALIDVHPIQENEVKVPLRRSSRQRKLAISNDYMVFLRESDYDISHVEDLVTFNYTINCTQSAIWMDAIKD >EOY28289 pep chromosome:Theobroma_cacao_20110822:6:24832886:24835405:1 gene:TCM_029901 transcript:EOY28289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MRLSASNARNVVEAAVDNVGNSYRENQKPSSKKKEAGEVGLQLLQYLGNFFGEKSVASNPNKVKDDVKSERVDTDLNNQTRGDVSFPAVEDRVSSSPNKRKGVSNQGFAQDSLNNYAINGDRDSRIEIDMENGKIRSEFLGGRTKRFVDSEEYNYESNRSQFVNTHGISFDSSHGNVSRRWKYDDNLLDSVDFSVRLEHTKTEASFLHEQLLQRSSCRSSHNGKKSENEAYGKRRCYEDDSYLADQLSSHENEVSSSSSKFADDVIFDKYLTEASGLLREAKEYMKGRHDEERVHIILNRSATLLSQAITMKPMSLLAVGQLGNTYLLHGELKLHVSRELRTLLARNDPIIGEMPRGRVLKGLDDQFFSRDKIVSLLVSACEECEELLVRAGRKYRLALSIDGDDVRSLYNWGLALSFRAQLIADIGPEAAFDADKLFLAAIDKFDAMMTRGNVHAPDALFRWGVTLQQRCRLRPGNSKEKVKLLQQAKRLYEDALHMDSKNLQVRDALSSCISELNYGYF >EOY28288 pep chromosome:Theobroma_cacao_20110822:6:24832248:24835955:1 gene:TCM_029901 transcript:EOY28288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MRTLIYKSPIKFRIANSQISPSGFPFRFPSRENLRFRHISRGKCSCIPGRSIDVSPAKASLSAESPSYGGWDDFEPGSWSANSGESTQFRDFLVSIGIDDKKHVFMFLLGLVCALAISRVRVSTIIVFPASVLVFGIGFSFGFVKGGSFNELSSTKRRSKEEILRVYSDKLRNLANFFHGFDVQVNKLKNNIQRAIDSSRITISDLENYVSLVESMRLSASNARNVVEAAVDNVGNSYRENQKPSSKKKEAGEVGLQLLQYLGNFFGEKSVASNPNKVKDDVKSERVDTDLNNQTRGDVSFPAVEDRVSSSPNKRKGVSNQGFAQDSLNNYAINGDRDSRIEIDMENGKIRSEFLGGRTKRFVDSEEYNYESNRSQFVNTHGISFDSSHGNVSRRWKYDDNLLDSVDFSVRLEHTKTEASFLHEQLLQRSSCRSSHNGKKSENEAYGKRRCYEDDSYLADQLSSHENEVSSSSSKFADDVIFDKYLTEASGLLREAKEYMKGRHDEERVHIILNRSATLLSQAITMKPMSLLAVGQLGNTYLLHGELKLHVSRELRTLLARNDPIIGEMPRGRVLKGLDDQFFSRDKIVSLLVSACEECEELLVRAGRKYRLALSIDGDDVRSLYNWGLALSFRAQLIADIGPEAAFDADKLFLAAIDKFDAMMTRGNVHAPDALFRWGVTLQQRCRLRPGNSKEKVKLLQQAKRLYEDALHMDSKNLQVRDALSSCISELNYGYF >EOY28538 pep chromosome:Theobroma_cacao_20110822:6:25725563:25727547:1 gene:TCM_030081 transcript:EOY28538 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal cysteine residue is changed to a serine 1 MEGQEQQSKSRVVKIDSVESWDFYVNQATNQGCPIVVHFTASWCMPSVAMNPFFEELASSFQDVLFLTVDVDDVKEVATRMEIKAMPTFLLMRGGTVVDKLVGANPEEIRKRIHGFVQSIRLYVA >EOY28089 pep chromosome:Theobroma_cacao_20110822:6:24206456:24213455:-1 gene:TCM_029762 transcript:EOY28089 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 3 MQDATRIKFLTDGVLLREMMEDPLLTKYSVIMVDEAHERSISTDILLGLLKKIQKRRPELRLVISSATIEAKAMSDFFQSSKRRRVSEGEELRPRLEPAILSVEGRGFNVQIHYVEDPVQDYVQAAVSTVLLIHDQEPAGDILVFLTGQDDIDVAVKMLTEEARSDGKHSSGLIILPLYSGLSRAEQDLVFSPTPKGKRKVVISTNIAETSLTLEGIVYVVDSGFSKQRFYNPISDIENLVVAPISKASARQRAGRAGRLRPGKCYRLYSEEYFVNEMSAQGIPEIQRSNLVSCVIQLKALGIDNILGFDWPASPSPESMIRALEVLYSLGVLNDDAKLTSPVGFQVAEIPLEPMISKMILASNELGCSEEIITIAAVLSIQSIWFSGRGVQRELDEAKLRFAAAEGDHVTFLNIYKGFLQSGKSSQWCHRNFINYHAMKKVMEIREQLKRIALRLGIVLKSCERDTQLVRKAVTAGFFANACRLEAFSHSGMYKTIRGFQEVYIHPSSVLFRVNPKWVIY >EOY28090 pep chromosome:Theobroma_cacao_20110822:6:24207291:24213455:-1 gene:TCM_029762 transcript:EOY28090 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 3 MQDATRIKFLTDGVLLREMMEDPLLTKYSVIMVDEAHERSISTDILLGLLKKIQKRRPELRLVISSATIEAKAMSDFFQSSKRRRVSEGEELRPRLEPAILSVEGRGFNVQIHYVEDPVQDYVQAAVSTVLLIHDQEPAGDILVFLTGQDDIDVAVKMLTEEARSDGKHSSGLIILPLYSGLSRAEQDLVFSPTPKGKRKVVISTNIAETSLTLEGIVYVVDSGFSKQRFYNPISDIENLVVAPISKASARQRAGRAGRLRPGKCYRLYSEEYFVNEMSAQGIPEIQRSNLVSCVIQLKALGIDNILGFDWPASPSPESMIRALEVLYSLGVLNDDAKLTSPVGFQVAEIPLEPMISKMILASNELGCSEEIITIAAVLSIQSIWFSGRGVQRELDEAKLRFAAAEGDHVTFLNIYKGFLQSGKSSQWCHRNFINYHAMKKVMEIREQLKRIALRLGIVLKSCERDTQLVRKAVTAGFFANACRLEVILIPRRMVTCL >EOY28091 pep chromosome:Theobroma_cacao_20110822:6:24208059:24214310:-1 gene:TCM_029762 transcript:EOY28091 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 3 MAQFWKPGTEKPRLLDDEEGGVLFLSSSFSSSSSGYGYASIEKQRQRLPVYKYRTSILYLVESHATTIVVGETGSGKTTQIPQYLKEAGWADGGRVIACTQPRRLAVQAVASRVAEEMGVKVGEEVGYTIRFEDISNPDATRIKFLTDGVLLREMMEDPLLTKYSVIMVDEAHERSISTDILLGLLKKIQKRRPELRLVISSATIEAKAMSDFFQSSKRRRVSEGEELRPRLEPAILSVEGRGFNVQIHYVEDPVQDYVQAAVSTVLLIHDQEPAGDILVFLTGQDDIDVAVKMLTEEARSDGKHSSGLIILPLYSGLSRAEQDLVFSPTPKGKRKVVISTNIAETSLTLEGIVYVVDSGFSKQRFYNPISDIENLVVAPISKASARQRAGRAGRLRPGKCYRLYSEEYFVNEMSAQGIPEIQRSNLVSCVIQLKALGIDNILGFDWPASPSPESMIRALEVLYSLGVLNDDAKLTSPVGFQVAEIPLEPMISKMILASNELGCSEEIITIAAVLSIQGDHVTFLNIYKGFLQSGKSSQWCHRNFINYHAMEKSNGNQRTTQKNSTEVGHCLEIL >EOY28093 pep chromosome:Theobroma_cacao_20110822:6:24206458:24214234:-1 gene:TCM_029762 transcript:EOY28093 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 3 MQDATRIKFLTDGVLLREMMEDPLLTKYSVIMVDEAHERSISTDILLGLLKKIQKRRPELRLVISSATIEAKAMSDFFQSSKRRRVSEGEELRPRLEPAILSVEGRGFNVQIHYVEDPVQDYVQAAVSTVLLIHDQEPAGDILVFLTGQDDIDVAVKMLTEEARSDGKHSSGLIILPLYSGLSRAEQDLVFSPTPKGKRKVVISTNIAETSLTLEGIVYVVDSGFSKQRFYNPISDIENLVVAPISKASARQRAGRAGRLRPGKCYRLYSEEYFVNEMSAQGIPEIQRSNLVSCVIQLKALGIDNILGFDWPASPSPESMIRALEVLYSLGVLNDDAKLTSPVGFQVAEIPLEPMISKMILASNELGCSEEIITIAAVLSIQGDHVTFLNIYKGFLQSGKSSQWCHRNFINYHAMKKVMEIREQLKRIALRLGIVLKSCERDTQLVRKAVTAGFFANACRLEAFSHSGMY >EOY28094 pep chromosome:Theobroma_cacao_20110822:6:24206051:24214339:-1 gene:TCM_029762 transcript:EOY28094 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 3 WKPGTEKPRLLDDEEGGVLFLSSSFSSSSSGYGYASIEKQRQRLPVYKYRTSILYLVESHATTIVVGETGSGKTTQIPQYLKEAGWADGGRVIACTQPRRLAVQAVASRVAEEMGVKVGEEVGYTIRFEDISNPDATRIKFLTDGVLLREMMEDPLLTKYSVIMVDEAHERSISTDILLGLLKKIQKRRPELRLVISSATIEAKAMSDFFQSSKRRRVSEGEELRPRLEPAILSVEGRGFNVQIHYVEDPVQDYVQAAVSTVLLIHDQEPAGDILVFLTGQDDIDVAVKMLTEEARSDGKHSSGLIILPLYSGLSRAEQDLVFSPTPKGKRKVVISTNIAETSLTLEGIVYVVDSGFSKQRFYNPISDIENLVVAPISKASARQRAGRAGRLRPGKCYRLYSEEYFVNEMSAQGIPEIQRSNLVSCVIQLKALGIDNILGFDWPASPSPESMIRALEVLYSLGVLNDDAKLTSPVGFQVAEIPLGDHVTFLNIYKGFLQSGKSSQWCHRNFINYHAMKKVMEIREQLKRIALRLGIVLKSCERDTQLVRKAVTAGFFANACRLEAFSHSGMYKTIRGFQEVYIHPSSVLFRVNPKWVIY >EOY28092 pep chromosome:Theobroma_cacao_20110822:6:24206454:24214300:-1 gene:TCM_029762 transcript:EOY28092 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 3 WKPGTEKPRLLDDEEGGVLFLSSSFSSSSSGYGYASIEKQRQRLPVYKYRTSILYLVESHATTIVVGETGSGKTTQIPQYLKEAGWADGGRVIACTQPRRLAVQAVASRVAEEMGVKVGEEVGYTIRFEDISNPDATRIKFLTDGVLLREMMEDPLLTKYSVIMVDEAHERSISTDILLGLLKKIQKRRPELRLVISSATIEAKAMSDFFQSSKRRRVSEGEELRPRLEPAILSVEGRGFNVQIHYVEDPVQDYVQAAVSTVLLIHDQEPAGDILVFLTGQDDIDVAVKMLTEEARSDGKHSSGLIILPLYSGLSRAEQDLVFSPTPKGKRKVVISTNIAETSLTLEGIVYVVDSGFSKQRFYNPISDIENLVVAPISKASARQRAGRAGRLRPGKCYRLYSEEYFVNEMSAQGIPEIQRSNLVSCVIQLKALGIDNILGFDWPASPSPESMIRALEVLYSLGVLNDDAKLTSPVGFQVAEIPLSIWFSGRGVQRELDEAKLRFAAAEGDHVTFLNIYKGFLQSGKSSQWCHRNFINYHAMKKVMEIREQLKRIALRLGIVLKSCERDTQLVRKAVTAGFFANACRLEAFSHSGMYKTIRGFQEVYIHPSSVLFRVNPKWVIY >EOY28088 pep chromosome:Theobroma_cacao_20110822:6:24205672:24214892:-1 gene:TCM_029762 transcript:EOY28088 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 3 MAQFWKPGTEKPRLLDDEEGGVLFLSSSFSSSSSGYGYASIEKQRQRLPVYKYRTSILYLVESHATTIVVGETGSGKTTQIPQYLKEAGWADGGRVIACTQPRRLAVQAVASRVAEEMGVKVGEEVGYTIRFEDISNPDATRIKFLTDGVLLREMMEDPLLTKYSVIMVDEAHERSISTDILLGLLKKIQKRRPELRLVISSATIEAKAMSDFFQSSKRRRVSEGEELRPRLEPAILSVEGRGFNVQIHYVEDPVQDYVQAAVSTVLLIHDQEPAGDILVFLTGQDDIDVAVKMLTEEARSDGKHSSGLIILPLYSGLSRAEQDLVFSPTPKGKRKVVISTNIAETSLTLEGIVYVVDSGFSKQRFYNPISDIENLVVAPISKASARQRAGRAGRLRPGKCYRLYSEEYFVNEMSAQGIPEIQRSNLVSCVIQLKALGIDNILGFDWPASPSPESMIRALEVLYSLGVLNDDAKLTSPVGFQVAEIPLEPMISKMILASNELGCSEEIITIAAVLSIQSIWFSGRGVQRELDEAKLRFAAAEGDHVTFLNIYKGFLQSGKSSQWCHRNFINYHAMKKVMEIREQLKRIALRLGIVLKSCERDTQLVRKAVTAGFFANACRLEAFSHSGMYKTIRGFQEVYIHPSSVLFRVNPKWVIYHSLVSTDRQYMRNVISIDPSWLTEAAPHFYQQQRLNPIIH >EOY27153 pep chromosome:Theobroma_cacao_20110822:6:20456228:20461452:1 gene:TCM_029073 transcript:EOY27153 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein isoform 2 MAGGRIRARIRRSHLYTFSCLRPSATEEGPHSIEGPGYSRIVHCNQPLMHKKKPLNYRSNYISTTKYNFLTFLPKALYEQFHRVANLYFLGAAIVSVTPLSPFSAVSMIAPLAFVVGLSMAKEALEDWRRFMQDMKVNTRKVKVHKEEGIFGNKSWQKVQVGDVLKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEVTLPLDDDEAFKNFTGTIKCEDPNPSLYTFVGNLEYERQVYPLDPSQILLRDSKLRNTAFVYGVVIFTGHDSKVMQNATKSPSKRSRIERKMDYIIYVLFSLLLVISLMSSIGFAVKTKFYMPDWWYLQPQSTDDYYNPEKPVVSGVTHLVTALMLYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEETGNPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLRCSIAGTAYGVRSSEVELAAAQQMAIDLEDQDVERSTVSRQKGKQQEIELETVVTSKDEKNYKSPIKGFSFEDSRIMKGNWLKEPKADIIKLFFRTLAICHTAIPELNEETGSYTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFIHERYSSSGQPIEREFKILNMLEFTSKRKRMTVIVRDEDGQILLLCKGADSIIFDRLSKNGRMYEEDTTRHLNEYGEAGLRTLALAYRKLEESEYSAWNNEFQKAKTSIGADRETMLEKVADMMERELILIGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICITAISSDAKETALLFVTDQVVKENILMQITNASQMIKLEKDPHAAFALIIDGKTLAYALGDDMKQQFLGLAVDCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGCNGQ >EOY27151 pep chromosome:Theobroma_cacao_20110822:6:20456527:20462965:1 gene:TCM_029073 transcript:EOY27151 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein isoform 2 MAGGRIRARIRRSHLYTFSCLRPSATEEGPHSIEGPGYSRIVHCNQPLMHKKKPLNYRSNYISTTKYNFLTFLPKALYEQFHRVANLYFLGAAIVSVTPLSPFSAVSMIAPLAFVVGLSMAKEALEDWRRFMQDMKVNTRKVKVHKEEGIFGNKSWQKVQVGDVLKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEVTLPLDDDEAFKNFTGTIKCEDPNPSLYTFVGNLEYERQVYPLDPSQILLRDSKLRNTAFVYGVVIFTGHDSKVMQNATKSPSKRSRIERKMDYIIYVLFSLLLVISLMSSIGFAVKTKFYMPDWWYLQPQSTDDYYNPEKPVVSGVTHLVTALMLYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEETGNPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLRCSIAGTAYGVRSSEVELAAAQQMAIDLEDQDVERSTVSRQKGKQQEIELETVVTSKDEKNYKSPIKGFSFEDSRIMKGNWLKEPKADIIKLFFRTLAICHTAIPELNEETGSYTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFIHERYSSSGQPIEREFKILNMLEFTSKRKRMTVIVRDEDGQILLLCKGADSIIFDRLSKNGRMYEEDTTRHLNEYGEAGLRTLALAYRKLEESEYSAWNNEFQKAKTSIGADRETMLEKVADMMERELILIGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICITAISSDAKEVVKENILMQITNASQMIKLEKDPHAAFALIIDGKTLAYALGDDMKQQFLGLAVDCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSVAQFRFLERLLVVHGHWCYKRIAQMVCYFFYKNIAFGLTLFYFEAFTGFSGQSVYDDWYMLLFNVVLTSLPVISLGVFEQDVSSEVCLQFPALYQQGPRNLFFDWYRILGWMGNGLYSSLIIFFLNIIIFYDQAFRAGGQTADMAALGTTMFTCIIWALNCQIALTMSHFTWIQHLFIWGSIVTWYLFLLVYGMVSPTISGNAYQILVEALAPAPIYWSATLLVTVACNLPYMAHISFQRCFHPLDHHIIQEIKYYRKDVEDQRMWSRERSKARQKTKIGFTARVDAKIRQLRGRLQRKQPSLETHSPMSPS >EOY27154 pep chromosome:Theobroma_cacao_20110822:6:20456262:20461656:1 gene:TCM_029073 transcript:EOY27154 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein isoform 2 MAGGRIRARIRRSHLYTFSCLRPSATEEGPHSIEGPGYSRIVHCNQPLMHKKKPLNYRSNYISTTKYNFLTFLPKALYEQFHRVANLYFLGAAIVSVTPLSPFSAVSMIAPLAFVVGLSMAKEALEDWRRFMQDMKVNTRKVKVHKEEGIFGNKSWQKVQVGDVLKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEVTLPLDDDEAFKNFTGTIKCEDPNPSLYTFVGNLEYERQVYPLDPSQILLRDSKLRNTAFVYGVVIFTGHDSKVMQNATKSPSKRSRIERKMDYIIYVLFSLLLVISLMSSIGFAVKTKFYMPDWWYLQPQSTDDYYNPEKPVVSGVTHLVTALMLYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEETGNPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLRCSIAGTAYGVRSSEVELAAAQQMAIDLEDQDVERSTVSRQKGKQQEIELETVVTSKDEKNYKSPIKGFSFEDSRIMKGNWLKEPKADIIKLFFRTLAICHTAIPELNEETGSYTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFIHERYSSSGQPIEREFKILNMLEFTSKRKRMTVIVRDEDGQILLLCKGADSIIFDRLSKNGRMYEEDTTRHLNEYGEAGLRTLALAYRKLEESEYSAWNNEFQKAKTSIGADRETMLEKVADMMERELILIGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICITAISSDAKEVVKENILMQITNASQMIKLEKDPHAAFALIIDGKTLAYALGDDMKQQFLGLAVDCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQVCYFFYK >EOY27152 pep chromosome:Theobroma_cacao_20110822:6:20456262:20461662:1 gene:TCM_029073 transcript:EOY27152 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein isoform 2 MAGGRIRARIRRSHLYTFSCLRPSATEEGPHSIEGPGYSRIVHCNQPLMHKKKPLNYRSNYISTTKYNFLTFLPKALYEQFHRVANLYFLGAAIVSVTPLSPFSAVSMIAPLAFVVGLSMAKEALEDWRRFMQDMKVNTRKVKVHKEEGIFGNKSWQKVQVGDVLKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEVTLPLDDDEAFKNFTGTIKCEDPNPSLYTFVGNLEYERQVYPLDPSQILLRDSKLRNTAFVYGVVIFTGHDSKVMQNATKSPSKRSRIERKMDYIIYVLFSLLLVISLMSSIGFAVKTKFYMPDWWYLQPQSTDDYYNPEKPVVSGVTHLVTALMLYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEETGNPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLRCSIAGTAYGVRSSEVELAAAQQMAIDLEDQDVERSTVSRQKGKQQEIELETVVTSKDEKNYKSPIKGFSFEDSRIMKGNWLKEPKADIIKLFFRTLAICHTAIPELNEETGSYTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFIHERYSSSGQPIEREFKILNMLEFTSKRKRMTVIVRDEDGQILLLCKGADSIIFDRLSKNGRMYEEDTTRHLNEYGEAGLRTLALAYRKLEESEYSAWNNEFQKAKTSIGADRETMLEKVADMMERELILIGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICITAISSDAKEVVKENILMQITNASQMIKLEKDPHAAFALIIDGKTLAYALGDDMKQQFLGLAVDCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGCNGQ >EOY27150 pep chromosome:Theobroma_cacao_20110822:6:20456570:20463549:1 gene:TCM_029073 transcript:EOY27150 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein isoform 2 MAGGRIRARIRRSHLYTFSCLRPSATEEGPHSIEGPGYSRIVHCNQPLMHKKKPLNYRSNYISTTKYNFLTFLPKALYEQFHRVANLYFLGAAIVSVTPLSPFSAVSMIAPLAFVVGLSMAKEALEDWRRFMQDMKVNTRKVKVHKEEGIFGNKSWQKVQVGDVLKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEVTLPLDDDEAFKNFTGTIKCEDPNPSLYTFVGNLEYERQVYPLDPSQILLRDSKLRNTAFVYGVVIFTGHDSKVMQNATKSPSKRSRIERKMDYIIYVLFSLLLVISLMSSIGFAVKTKFYMPDWWYLQPQSTDDYYNPEKPVVSGVTHLVTALMLYGYLIPISLYVSIEVVKVLQATFINQDIQMYDEETGNPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLRCSIAGTAYGVRSSEVELAAAQQMAIDLEDQDVERSTVSRQKGKQQEIELETVVTSKDEKNYKSPIKGFSFEDSRIMKGNWLKEPKADIIKLFFRTLAICHTAIPELNEETGSYTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFIHERYSSSGQPIEREFKILNMLEFTSKRKRMTVIVRDEDGQILLLCKGADSIIFDRLSKNGRMYEEDTTRHLNEYGEAGLRTLALAYRKLEESEYSAWNNEFQKAKTSIGADRETMLEKVADMMERELILIGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICITAISSDAKETALLFVTDQVVKENILMQITNASQMIKLEKDPHAAFALIIDGKTLAYALGDDMKQQFLGLAVDCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSVAQFRFLERLLVVHGHWCYKRIAQMVCYFFYKNIAFGLTLFYFEAFTGFSGQSVYDDWYMLLFNVVLTSLPVISLGVFEQDVSSEVCLQFPALYQQGPRNLFFDWYRILGWMGNGLYSSLIIFFLNIIIFYDQAFRAGGQTADMAALGTTMFTCIIWALNCQIALTMSHFTWIQHLFIWGSIVTWYLFLLVYGMVSPTISGNAYQILVEALAPAPIYWSATLLVTVACNLPYMAHISFQRCFHPLDHHIIQEIKYYRKDVEDQRMWSRERSKARQKTKIGFTARVDAKIRQLRGRLQRKQPSLETHSPMSPS >EOY26819 pep chromosome:Theobroma_cacao_20110822:6:18051321:18057950:1 gene:TCM_028773 transcript:EOY26819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 3 MLSFLITVFFFFFALNLFFLSSSLKPKMLTAESFVFSSGDGAYNNMAMRGRHLDAYSMYKRGSKDYINAHDKEQGSARLLNGAGKDIGNPSWNRSLPHILVATLSSLLFGYHLGVVNETLESMSHDLGFHGNTMAEGLVVSTCLGGAFVGSLFSGSIADGVGRRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGSGMGIGPPVAALYVTEVSPAYVRGTYGSCTQIATCLGLMGALFIGLPAKETEGWWRICFWVSAVPAAMLALFMEFSAESPQWLFKRGRGADAEAEFEKLLGGPYVKGAMAELSKSDRGDEADTVRFSELLYGRHRKVIFIGSTLFALQQLSGINAVFYFSSTVFKNAGVPSESANICVGIANLIGSFVALLLMDKLGRKLLLIGSFSGMAVAMGLQATSASSLVSSSNEVYMSVGGMLLFVLTFAMGAGPVPGLLLSEMFPGRIRAKAMSICMAVHWVINFFVGLLFLRLLEQIGPLVLNTIFGTFCLLAVIFVKKNVLETKGKSLQEIEIAFLPPE >EOY26820 pep chromosome:Theobroma_cacao_20110822:6:18051320:18058097:1 gene:TCM_028773 transcript:EOY26820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 3 MAMRGRHLDAYSMYKRGSKDYINAHDKEQGSARLLNGAGKDIGNPSWNRSLPHILVATLSSLLFGYHLGVVNETLESMSHDLGFHGNTMAEGLVVSTCLGGAFVGSLFSGSIADGVGRRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGSGMGIGPPVAALYVTEVSPAYVRGTYGSCTQIATCLGLMGALFIGLPAKETEGWWRICFWVSAVPAAMLALFMEFSAESPQWLFKRGRGADAEAEFEKLLGGPYVKGAMAELSKSDRGDEADTVRFSELLYGRHRKVIFIGSTLFALQQLSGINAVFYFSSTVFKNAGVPSESANICVGIANLIGSFVALLLMDKLGRKLLLIGSFSGMAVAMGLQATSASSLVSSSNEVYMSVGGMLLFVLTFAMGAGPVPGLLLSEMFPGRIRAKAMSICMAVHWVINFFVGLLFLRLLEQIGPLVLNTIFGTFCLLAVIFVKKNVLETKGKSLQEIEIAFLPPE >EOY26821 pep chromosome:Theobroma_cacao_20110822:6:18051860:18057885:1 gene:TCM_028773 transcript:EOY26821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 3 MAMRGRHLDAYSMYKRGSKDYINAHDKEQGSARLLNGAGKDIGNPSWNRSLPHILVATLSSLLFGYHLGVVNETLESMSHDLGFHGNTMAEGLVVSTCLGGAFVGSLFSGSIADGVGRRRAFQLCALPMIIGSSMSATAKNLWGMLLGRLFVGSGMGIGPPVAALYVTEVSPAYVRGTYGSCTQIATCLGLMGALFIGLPAKETEGWWRICFWVSAVPAAMLALFMEFSAESPQWLFKRGRGADAEAEFEKLLGGPYVKGAMAELSKSDRGDEADTVRFSELLYGRHRKVIFIGSTLFALQQLSGINAVFYFSSTVFKNAGVINFFVGLLFLRLLEQIGPLVLNTIFGTFCLLAVIFVKKNVLETKGKSLQEIEIAFLPPE >EOY26400 pep chromosome:Theobroma_cacao_20110822:6:9477659:9478766:1 gene:TCM_027983 transcript:EOY26400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASRSTTSAPITSSRPLVSQTQQRHPRFSKSEMTTSEKSFGGFDKCRHCGKYHVGLCRKLVRCFHCDQLSHYRSDCPQLGRTTVVVPSPSARTNIQRKDSTKL >EOY28635 pep chromosome:Theobroma_cacao_20110822:6:26109112:26111440:1 gene:TCM_030183 transcript:EOY28635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate/phosphate translocator 2 isoform 1 MISSIKHSTSAFNSTSFFSKKRSITRPQFSPLPVIKNVHNGFPNQSCSYQKPLHVAAIENLAILKKTQQRKIECQAYEADRSRPLDINIELPEIKIGIYFATWWALNVVFNIYNKKVLNVFPYPWLTSTLSLAAGSLMMLISWVTRVADAPKTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGEAFPLPVYLSLLPIIGGCALSAATELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLLILTPFAIAVEGPQLWAVGWQKAVSQIGPNFVWWVVAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNALGAAVAILGTFLYSQVYSLLSLRNLGGTNSSEWPFDILLGQHYCFLNR >EOY28634 pep chromosome:Theobroma_cacao_20110822:6:26106238:26111508:1 gene:TCM_030183 transcript:EOY28634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate/phosphate translocator 2 isoform 1 MISSIKHSTSAFNSTSFFSKKRSITRPQFSPLPVIKNVHNGFPNQSCSYQKPLHVAAIENLAILKKTQQRKIECQAYEADRSRPLDINIELPEIKIGIYFATWWALNVVFNIYNKKVLNVFPYPWLTSTLSLAAGSLMMLISWVTRVADAPKTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGEAFPLPVYLSLLPIIGGCALSAATELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLLILTPFAIAVEGPQLWAVGWQKAVSQIGPNFVWWVVAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNALGAAVAILGTFLYSQAKQ >EOY27164 pep chromosome:Theobroma_cacao_20110822:6:20523765:20529818:1 gene:TCM_029081 transcript:EOY27164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase, long form protein isoform 2 MAYASHIINHSKKLRNVPNLMRHVRSFSHGALSSVCKREDVRKTHPLGYVPAERERVSKLVACSPVSSGLSKNSLSRTTMRLGSPVGGLLYGRELTCSQVQSRRGYASNAGLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVTPGEVLCEVETDKATVEMECMEEGYLAKIIKGDGSKEIKVGEIIAITVEEEEDIAKFKDYSPSASDSGAPAAKGPAAPSPPKQEPVEQPVSSPEPKTTKPISPPSGDRIFASPLARKLAEDHKLPLSSIKGTGPDGHIVKADIEDYLGIIIKFLYRVIDAVGFPCHVGSRGSAPTSKAMETKVAALDYVDIPHSQIRKVTASRLLFSKQTIPHYYLTVDTCVDKLMDLRSQLNSLQEASGGKRISVNDLVIKAAALALRKVPQCNSSWTDDYIRQYNNVNINVAVQTDNGLYVPVIRDADKKGLSSISEEVKHLAQKAKENSLKPEDYEGGTFTVSNLGGPFGIKQFCAIINPPQSGILAVGSAEKRVIPGSGPEQFKFASFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >EOY27165 pep chromosome:Theobroma_cacao_20110822:6:20525247:20529886:1 gene:TCM_029081 transcript:EOY27165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase, long form protein isoform 2 MPSLSPTMTEGNIARWLKKEGDKVTPGEVLCEVETDKATVEMECMEEGYLAKIIKGDGSKEIKVGEIIAITVEEEEDIAKFKDYSPSASDSGAPAAKGPAAPSPPKQEPVEQPVSSPEPKTTKPISPPSGDRIFASPLARKLAEDHKLPLSSIKGTGPDGHIVKADIEDYLGSRGSAPTSKAMETKVAALDYVDIPHSQIRKVTASRLLFSKQTIPHYYLTVDTCVDKLMDLRSQLNSLQEASGGKRISVNDLVIKAAALALRKVPQCNSSWTDDYIRQYNNVNINVAVQTDNGLYVPVIRDADKKGLSSISEEVKHLAQKAKENSLKPEDYEGGTFTVSNLGGPFGIKQFCAIINPPQSGILAVGSAEKRVIPGSGPEQFKFASFMSVTLSCDHRVIDGMCNWC >EOY27587 pep chromosome:Theobroma_cacao_20110822:6:22484190:22488901:1 gene:TCM_029410 transcript:EOY27587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 6 isoform 3 MRFRMLFETEESSVRRYMGTITGISDLDPARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPAPFPLRLKRPWPPGLPSFHGIKDDDLGMNSPLMWLRGDADRGMQSLNLQGIGVTPWMQPRLDASMVGLPADMYQAMAAAALQDLRAVDPSKPATASLLQFQQPQNLPCRPAALMQPQMLQQSQPQAFLQGVEDNQHQSQSQAQTPPHLLQQQLQHQNSFNNQQHPQHPLSQQHQQLVDHQQIHSAVSAMSQYASASQSQSSSLQAMPSLCQQQSFSDSNGNTVTSPIVSPLHSLLGSFPQDESSNLLNLPRSNPVITSAAWPSKRAAVEVLSSGSPQCVLPQVEQLGPTQTNMSQNSISLPPFPGRECSIDQEGGTDPQSHLLFGVNIEPSSLLMPNGMSSLRGVGSDSDSTTIPFSSNYMSTAGTDFSVNPAMTPSSCIDESGFLQSPENVGQGNPQTRTFVKVYKSGSFGRSLDISKFSSYNELRSELARMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPQEVQQMGKRGLELLNSVPVQRLSNGSCDDYVSRQDSRNLSSGIASVGSLDY >EOY27586 pep chromosome:Theobroma_cacao_20110822:6:22484190:22488901:1 gene:TCM_029410 transcript:EOY27586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 6 isoform 3 TNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPAPFPLRLKRPWPPGLPSFHGIKDDDLGMNSPLMWLRGDADRGMQSLNLQGIGVTPWMQPRLDASMVGLPADMYQAMAAAALQDLRAVDPSKPATASLLQFQQPQNLPCRPAALMQPQMLQQSQPQAFLQGVEDNQHQSQSQAQTPPHLLQQQLQHQNSFNNQQHPQHPLSQQHQQLVDHQQIHSAVSAMSQYASASQSQSSSLQAMPSLCQQQSFSDSNGNTVTSPIVSPLHSLLGSFPQDESSNLLNLPRSNPVITSAAWPSKRAAVEVLSSGSPQCVLPQVEQLGPTQTNMSQNSISLPPFPGRECSIDQEGGTDPQSHLLFGVNIEPSSLLMPNGMSSLRGVGSDSDSTTIPFSSNYMSTAGTDFSVNPAMTPSSCIDESGFLQSPENVGQGNPQTRTFVKVSDLLFLIYTSRLMNLAGLLSSIGFVNFVALVLRTQIF >EOY27584 pep chromosome:Theobroma_cacao_20110822:6:22480358:22488890:1 gene:TCM_029410 transcript:EOY27584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 6 isoform 3 MRLASAGFNPQTQEGEKRVLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKEAYLPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPAPFPLRLKRPWPPGLPSFHGIKDDDLGMNSPLMWLRGDADRGMQSLNLQGIGVTPWMQPRLDASMVGLPADMYQAMAAAALQDLRAVDPSKPATASLLQFQQPQNLPCRPAALMQPQMLQQSQPQAFLQGVEDNQHQSQSQAQTPPHLLQQQLQHQNSFNNQQHPQHPLSQQHQQLVDHQQIHSAVSAMSQYASASQSQSSSLQAMPSLCQQQSFSDSNGNTVTSPIVSPLHSLLGSFPQDESSNLLNLPRSNPVITSAAWPSKRAAVEVLSSGSPQCVLPQVEQLGPTQTNMSQNSISLPPFPGRECSIDQEGGTDPQSHLLFGVNIEPSSLLMPNGMSSLRGVGSDSDSTTIPFSSNYMSTAGTDFSVNPAMTPSSCIDESGFLQSPENVGQGNPQTRTFVKVYKSGSFGRSLDISKFSSYNELRSELARMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPQEVQQMGKRGLELLNSVPVQRLSNGSCDDYVSRQDSRNLSSGIASVGSLDY >EOY27585 pep chromosome:Theobroma_cacao_20110822:6:22480358:22488890:1 gene:TCM_029410 transcript:EOY27585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 6 isoform 3 MRLASAGFNPQTQEDFAGEKRVLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKEAYLPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPAPFPLRLKRPWPPGLPSFHGIKDDDLGMNSPLMWLRGDADRGMQSLNLQGIGVTPWMQPRLDASMVGLPADMYQAMAAAALQDLRAVDPSKPATASLLQFQQPQNLPCRPAALMQPQMLQQSQPQAFLQGVEDNQHQSQSQAQTPPHLLQQQLQHQNSFNNQQHPQHPLSQQHQQLVDHQQIHSAVSAMSQYASASQSQSSSLQAMPSLCQQQSFSDSNGNTVTSPIVSPLHSLLGSFPQDESSNLLNLPRSNPVITSAAWPSKRAAVEVLSSGSPQCVLPQVEQLGPTQTNMSQNSISLPPFPGRECSIDQEGGTDPQSHLLFGVNIEPSSLLMPNGMSSLRGVGSDSDSTTIPFSSNYMSTAGTDFSVNPAMTPSSCIDESGFLQSPENVGQGNPQTRTFVKVYKSGSFGRSLDISKFSSYNELRSELARMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPQEVQQMGKRGLELLNSVPVQRLSNGSCDDYVSRQDSRNLSSGIASVGSLDY >EOY26301 pep chromosome:Theobroma_cacao_20110822:6:7229428:7232473:-1 gene:TCM_027783 transcript:EOY26301 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA directed RNA polymerase, 7 kDa subunit MDPQPEPVTYICGDCGQENTLKHGDVIQCRECGYRILYKKRTRRIVQYEAR >EOY27941 pep chromosome:Theobroma_cacao_20110822:6:23674352:23676501:-1 gene:TCM_029650 transcript:EOY27941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSSEVFLSSPSLPERSPVKVQLVSKSVSDRLLEKFFDVSQYNFDYEKSGLWSPPVRRSAFLSSPGRIFTEQEMLERLKSVMDRRRSRRYNICFSVRLYTFPVVYNRIHFYFMHFAALEGFTVDLLSIMGTVTSAV >EOY27167 pep chromosome:Theobroma_cacao_20110822:6:20533420:20536792:1 gene:TCM_029083 transcript:EOY27167 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MPPAFRPAVIQAGRRYPNLDDYYQKKARKFWDNFYKRHKNKFFKDRHYLEKDWGQYLSDDAHSPNGKVVLEVGCGAGNTIFPLVAAYPKIYVHACDISPHAVALVKSHVKFKEDRVNAFVYDVTVDNLLERINPSSVDVITLIFMLSAVSPYKMALILQNIRRVLKPDGYVLLRDYAVGDFAQVKLENKNQMISEGFYVRGDGTCSFYFSEDFLSTLFLQAGFNTVDMSTYNKQIKNHHRNINMDRHWIRAVFNNSG >EOY27168 pep chromosome:Theobroma_cacao_20110822:6:20533420:20536927:1 gene:TCM_029083 transcript:EOY27168 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MPPAFRPAVIQAGRRYPNLDDYYQKKARKFWDNFYKRHKNKFFKDRHYLEKDWGQYLSDDAHSPNGKVVLEVGCGAGNTIFPLVAAYPKIYVHACDISPHAVALVKSHVKFKEDRVNAFVYDVTVDNLLERINPSSVDVITLIFMLSAVSPYKMALILQNIRRVLKPDGYVLLRDYAVGDFAQVKLENKNQMISEGFYVRGDGTLASTL >EOY26325 pep chromosome:Theobroma_cacao_20110822:6:7636344:7637966:1 gene:TCM_027823 transcript:EOY26325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEELKNGHVSPSSSPPTPPSPLPISVGPGNQKYFFSPSPTPSPPFSPSPSSHASAENLPLLHDHNPPAAPAQVTSTFSLDRKDPDELEDKSSCLKDLLEWLVQKCCSCCS >EOY26326 pep chromosome:Theobroma_cacao_20110822:6:7636365:7637469:1 gene:TCM_027823 transcript:EOY26326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEELKNGHVSPSSSPPTPPSPLPISVGPGNQKYFFSPSPTPSPPFSPSPSSHASAENLPLLHDHNPPAAPAQVTSTFSLDRKDPDELEDKSSCLKDLFLLHPGF >EOY26327 pep chromosome:Theobroma_cacao_20110822:6:7636365:7637469:1 gene:TCM_027823 transcript:EOY26327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEELKNGHVSPSSSPPTPPSPLPISVGPGNQKYFFSPSPTPSPPFSPSPSSHASAENLPLLHDHNPPAAPAQVTSTFSLDRKDPDELEDKSSCLKDL >EOY28975 pep chromosome:Theobroma_cacao_20110822:6:27002710:27004103:-1 gene:TCM_030426 transcript:EOY28975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWHREGPCEKSKVGAKAAQVMGGSAGIVAIVAASLVKGVAALPVADATACLGLVVGTATGTVKVAAALLATADLAVGATTGRVKGAAAHPVAGATAGLVKGAAALLAAAATAGLVVDTVAGLVKGAAALLAAAATAGRASGAVTFPALVTVDVLAVGAQAVL >EOY26169 pep chromosome:Theobroma_cacao_20110822:6:5201910:5205686:1 gene:TCM_027585 transcript:EOY26169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein isoform 2 MLHLLLRLLFLLLPSFLYISPCSAACNQDDHDSLLAFYSNLTLSPSSPLNWSPSKDCCSWEGIDCDTSSDDDRVTQLWLPSRGLSGHLSPSLVNLTRLTHLNLSRNRMSGSLPTGFFSSLNQLKVLDLSFNSLNGQLPLDFFSDNNNNLSPIEAVDLSSNRFSGTIQSNSFLQAARNLTIFNVSNNTFTGQVPSSICLNTSLTLLDLSYNKLNGEIRHGLGKCSKLQIFRAGFNNLSGTLPDDIYTVTSLQQLSLPLNHLSGRIQDAIAHLTQLTILELSSNEFGGAIPKDIGQLPKLERLLLHVNNFTGSLPPSLMNCTSLITLNLRVNQLEGDLSAFNFSTLLRLSTLDLGNNNFTGTLPLSLYSCKSLTAVRLASNQLEGQISPAILALQSLSFLSISTNNLTNFTGAIRILKGCKNLTTLILSKNFMNEAIPNDGNIVGEEGFQNLQILGLGGCNFTGQVPSWLANLKNLEVLDLSQNRITGLIPSWFGSLRNLFYIDLSDNLISGEFPKELTSLWALATQESNDEVDRSYLELPVFVLPYNATSQQLYNQLSSLPPAIYLRNNNLSGSIPEAIGQLKFLHVLDLGQNDFSGSIPDQISNLTNLEKLDLSGNRLSGQIPASLRGLHFLSSFSVAYNDLQGPIPSGGQFDTFTSSSFEGNPGLCGSIVQRSCPNAAGIAHSTTTPKSLNAKLIIGLVLGICFGTGLVITLLALWILSKRRIIPGGDTDKIELDTFSSNSFSGVPPQTDKDASLVMLFPNKTNEVKDLTIFELLKATDNFNQENIIGCGGFGLVYKAILADGTKLAVKKLSGDFGLMEREFKAEVEALSTAQHENLVSLQGYCVHEGFRLLIYSYMENGSLDYWLHEKADGPSQLDWPTRLKIARGASNGLAYMHQICEPHIVHRDIKSSNILLDDKFEAHVADFGLSRLILPYHTHVTTELVGTLGYIPPEYGQAWVATLRGDVYSFGVVMLELLTGKRPVDMSRPKTSRELVAWVQKMRSEGKQDEVFDPLLKGKGSYEEMLQVLDVACVCINQNPFKRPTIKEVVDWLKNVGTTISNQNKD >EOY26168 pep chromosome:Theobroma_cacao_20110822:6:5201371:5205686:1 gene:TCM_027585 transcript:EOY26168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein isoform 2 MSCCSASYFVPMMIDDKETSLDASNSRLRPSATTFFMLHLLLRLLFLLLPSFLYISPCSAACNQDDHDSLLAFYSNLTLSPSSPLNWSPSKDCCSWEGIDCDTSSDDDRVTQLWLPSRGLSGHLSPSLVNLTRLTHLNLSRNRMSGSLPTGFFSSLNQLKVLDLSFNSLNGQLPLDFFSDNNNNLSPIEAVDLSSNRFSGTIQSNSFLQAARNLTIFNVSNNTFTGQVPSSICLNTSLTLLDLSYNKLNGEIRHGLGKCSKLQIFRAGFNNLSGTLPDDIYTVTSLQQLSLPLNHLSGRIQDAIAHLTQLTILELSSNEFGGAIPKDIGQLPKLERLLLHVNNFTGSLPPSLMNCTSLITLNLRVNQLEGDLSAFNFSTLLRLSTLDLGNNNFTGTLPLSLYSCKSLTAVRLASNQLEGQISPAILALQSLSFLSISTNNLTNFTGAIRILKGCKNLTTLILSKNFMNEAIPNDGNIVGEEGFQNLQILGLGGCNFTGQVPSWLANLKNLEVLDLSQNRITGLIPSWFGSLRNLFYIDLSDNLISGEFPKELTSLWALATQESNDEVDRSYLELPVFVLPYNATSQQLYNQLSSLPPAIYLRNNNLSGSIPEAIGQLKFLHVLDLGQNDFSGSIPDQISNLTNLEKLDLSGNRLSGQIPASLRGLHFLSSFSVAYNDLQGPIPSGGQFDTFTSSSFEGNPGLCGSIVQRSCPNAAGIAHSTTTPKSLNAKLIIGLVLGICFGTGLVITLLALWILSKRRIIPGGDTDKIELDTFSSNSFSGVPPQTDKDASLVMLFPNKTNEVKDLTIFELLKATDNFNQENIIGCGGFGLVYKAILADGTKLAVKKLSGDFGLMEREFKAEVEALSTAQHENLVSLQGYCVHEGFRLLIYSYMENGSLDYWLHEKADGPSQLDWPTRLKIARGASNGLAYMHQICEPHIVHRDIKSSNILLDDKFEAHVADFGLSRLILPYHTHVTTELVGTLGYIPPEYGQAWVATLRGDVYSFGVVMLELLTGKRPVDMSRPKTSRELVAWVQKMRSEGKQDEVFDPLLKGKGSYEEMLQVLDVACVCINQNPFKRPTIKEVVDWLKNVGTTISNQNKD >EOY27259 pep chromosome:Theobroma_cacao_20110822:6:20896053:20903195:1 gene:TCM_029142 transcript:EOY27259 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MDDVEKAILISFDESVTLDSGLKSQAVNFCQRIKETPSICSLCIEKLCFCKLVQVQFWCLQTLRDVISVKYGSMSLEEKNFIRKSVFSMACLERIDGKLCAVLESPTFIKNKLAQVLVILVYFEYPLIWSSVFVDFLPHLSKGAVLIDMFSRLLNALDDELISLDYPRTPEEVAVAGRVKDAMRQQCVAQIVRAWYDIVSMYRSSDPEVCTTVLDCMRRYISWIDIGLIVNDAFIPLLFELILLDGLSEQLRGAAAGCVLAVVSKRMDAQSKLTLLKSLQISRVFGLISDDNDSELVLKVAALITGYAVEVLECSKRLNSEDAKVVSMELLDEVLPTVFYVMQNCEMDAAFSIVQFLSGYVATMKTLSPLQEKQMLHISQILEVIRTQIRYDPMYRNNLDILDKIGMEEEDRMVEFRKDLFVLLRNVGRVAPEVTQIFITNSFASAIASSSDRNVEEVEAALSLLYALGESMTDEAMRAGTGLLSELVTNLLSTRFPCHSNRIVALVYLETITRYMKFVQENTQYIPLVLAAFHDERGIHHPNINVSRRASYLFMRVVKLLKSKLLLFIEMILQSLQDVVARFTSMNFASEDGAHIFEAIGLLIGMEDVPLEKQSDYLSSLLTPLCQQVEAMLMNAKILTPEEYPLKIANIQQIIVAINALSKGFSERLATASRPAIGHMFKQTLDVLLQILVVFPKVEPLRTKVLSFIHRMVDTLGASVFPYLPKALEQLLAESEPKEMVGFLLLLNQLICKFSTLVHDILEEVFPAIAGRIFSAIRRIADSSGPEANTEEIRELLELQKTLYTFLHVITTHDLSSVFLSPKSLGYLTSIMQLLLHTSCHHKDINTRKACVQIFIRLIKDWCARPYGEEKVYLVSKVL >EOY27258 pep chromosome:Theobroma_cacao_20110822:6:20895818:20903216:1 gene:TCM_029142 transcript:EOY27258 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MDDVEKAILISFDESVTLDSGLKSQAVNFCQRIKETPSICSLCIEKLCFCKLVQVQFWCLQTLRDVISVKYGSMSLEEKNFIRKSVFSMACLERIDGKLCAVLESPTFIKNKLAQVLVILVYFEYPLIWSSVFVDFLPHLSKGAVLIDMFSRLLNALDDELISLDYPRTPEEVAVAGRVKDAMRQQCVAQIVRAWYDIVSMYRSSDPEVCTTVLDCMRRYISWIDIGLIVNDAFIPLLFELILLDGLSEQLRGAAAGCVLAVVSKRMDAQSKLTLLKSLQISRVFGLISDDNDSELVLKVAALITGYAVEVLECSKRLNSEDAKVVSMELLDEVLPTVFYVMQNCEMDAAFSIVQFLSGYVATMKTLSPLQEKQMLHISQILEVIRTQIRYDPMYRNNLDILDKIGMEEEDRMVEFRKDLFVLLRNVGRVAPEVTQIFITNSFASAIASSSDRNVEEVEAALSLLYALGESMTDEAMRAGTGLLSELVTNLLSTRFPCHSNRIVALVYLETITRYMKFVQENTQYIPLVLAAFHDERGIHHPNINVSRRASYLFMRVVKLLKSKLLLFIEMILQSLQDVVARFTSMNFASEDGAHIFEAIGLLIGMEDVPLEKQSDYLSSLLTPLCQQVEAMLMNAKILTPEEYPLKIANIQQIIVAINALSKGFSERLATASRPAIGHMFKQTLDVLLQILVVFPKVEPLRTKVLSFIHRMVDTLGASVFPYLPKALEQLLAESEPKEMVGFLLLLNQLICKFSTLVHDILEEVFPAIAGRIFSAIRRIADSSGPEANTEEIRELLELQKTLYTFLHVITTHDLSSVFLSPKSLGYLTSIMQLLLHTSCHHKDINTRKACVQIFIRLIKDWCARPYGEEKVPGFQSFMIETFATNCCLYSVLDKSFEFGDANTLILFGEIVLAQKVMYEKFGDDFLVHFVSKGFPSAHCPQNLVEQYCQKLKNGSLVFR >EOY27260 pep chromosome:Theobroma_cacao_20110822:6:20896053:20903195:1 gene:TCM_029142 transcript:EOY27260 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MDDVEKAILISFDESVTLDSGLKSQAVNFCQRIKETPSICSLCIEKLCFCKLVQVQFWCLQTLRDVISVKYGSMSLEEKNFIRKSVFSMACLERIDGKLCAVLESPTFIKNKLAQVLVILVYFEYPLIWSSVFVDFLPHLSKGAVLIDMFSRLLNALDDELISLDYPRTPEEVAVAGRVKDAMRQQCVAQIVRAWYDIVSMYRSSDPEVCTTVLDCMRRYISWIDIGLIVNDAFIPLLFELILLDGLSEQLRGAAAGCVLAVVSKRMDAQSKLTLLKSLQISRVFGLISDDNDSELVLKVAALITGYAVEVLECSKRLNSEDAKVVSMELLDEVLPTVFYVMQNCEMDAAFSIVQFLSGYVATMKTLSPLQEKQMLHISQILEVIRTQIRYDPMYRNNLDILDKIGMEEEDRMVEFRKDLFVLLRNVGRVAPEVTQIFITNSFASAIASSSDRNVEEVEAALSLLYALGESMTDEAMRAGTGLLSELVTNLLSTRFPCHSNRIVALVYLETITRYMKFVQENTQYIPLVLAAFHDERGIHHPNINVSRRASYLFMRVVKLLKSKLLLFIEMILQQSLQDVVARFTSMNFASEDGAHIFEAIGLLIGMEDVPLEKQSDYLSSLLTPLCQQVEAMLMNAKILTPEEYPLKIANIQQIIVAINALSKGFSERLATASRPAIGHMFKQTLDVLLQILVVFPKVEPLRTKVLSFIHRMVDTLGASVFPYLPKALEQLLAESEPKEMVGFLLLLNQLICKFSTLVHDILEEVFPAIAGRIFSAIRRIADSSGPEANTEEIRELLELQKTLYTFLHVITTHDLSSVFLSPKSLGYLTSIMQLLLHTSCHHKDINTRKRLVYRYLLD >EOY27262 pep chromosome:Theobroma_cacao_20110822:6:20895818:20903216:1 gene:TCM_029142 transcript:EOY27262 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MDDVEKAILISFDESVTLDSGLKSQAVNFCQRIKETPSICSLCIEKLCFCKLVQVQFWCLQTLRDVISVKYGSMSLEEKNFIRKSVFSMACLERIDGKLCAVLESPTFIKNKLAQVLVILVYFEYPLIWSSVFVDFLPHLSKGAVLIDMFSRLLNALDDELISLDYPRTPEEVAVAGRVKDAMRQQCVAQIVRAWYDIVSMYRSSDPEVCTTVLDCMRRYISWIDIGLIVNDAFIPLLFELILLDGLSEQLRGAAAGCVLAVVSKRMDAQSKLTLLKSLQISRVFGLISDDNDSELVLKVAALITGYAVEVLECSKRLNSEDAKVVSMELLDEVLPTVFYVMQNCEMDAAFSIVQFLSGYVATMKTLSPLQEKQMLHISQILEVIRTQIRYDPMYRNNLDILDKIGMEEEDRMVEFRKDLFVLLRNVGRVAPEVTQIFITNSFASAIASSSDRNVEEVEAALSLLYALGESMTDEAMRAGTGLLSELVTNLLSTRFPCHSNRIVALVYLETITRYMKFVQENTQYIPLVLAAFHDERGIHHPNINVSRRASYLFMRVVKLLKSKLLLFIEMILQSLQDVVARFTSMNFASEDGAHIFEAIGLLIGMEDVPLEKQSDYLSSLLTPLCQQVEAMLMNAKILTPEEYPLKIANIQQIIVAINALSKGFSERLATASRPAIGHMFKQTLDVLLQILVVFPKVEPLRTKVLSFIHRMVDTLGASVFPYLPKALEQLLAESEPKEMVGFLLLLNQLICKFSTLVHDILEEVFPAIAGRIFSAIRRIADSSGPEANTEEIRELLELQKTLYTFLHVITTHDLSSVFLSPKSLGYLTSIMQLLLHTSCHHKDINTRKACVQIFIRLIKDWCARPYGEEKVPGFQSFMIETFATNCCLYSVLDKSFEFGDANTLILFGEIVLARMEVLFSDSSIKMAK >EOY27261 pep chromosome:Theobroma_cacao_20110822:6:20895583:20903195:1 gene:TCM_029142 transcript:EOY27261 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MDDVEKAILISFDESVTLDSGLKSQAVNFCQRIKETPSICSLCIEKLCFCKLVQVQFWCLQTLRDVISVKYGSMSLEEKNFIRKSVFSMACLERIDGKLCAVLESPTFIKNKLAQVLVILVYFEYPLIWSSVFVDFLPHLSKGAVLIDMFSRLLNALDDELISLDYPRTPEEVAVAGRVKDAMRQQCVAQIVRAWYDIVSMYRSSDPEVCTTVLDCMRRYISWIDIGLIVNDAFIPLLFELILLDGLSEQLRGAAAGCVLAVVSKRMDAQSKLTLLKSLQISRVFGLISDDNDSELVLKVAALITGYAVEVLECSKRLNSEDAKVVSMELLDEVLPTVFYVMQNCEMDAAFSIVQFLSGYVATMKTLSPLQEKQMLHISQILEVIRTQIRYDPMYRNNLDILDKIGMEEEDRMVEFRKDLFVLLRNVGRVAPEVTQIFITNSFASAIASSSDRNVEEVEAALSLLYALGESMTDEAMRAGTGLLSELVTNLLSTRFPCHSNRIVALVYLETITRYMKFVQENTQYIPLVLAAFHDERGIHHPNINVSRRASYLFMRVVKLLKSKLLLFIEMILQSLQDVVARFTSMNFASEDGAHIFEAIGLLIGMEDVPLEKQSDYLSSLLTPLCQQVEAMLMNAKILTPEEYPLKIANIQQIIVAINALSKGFSERLATASRPAIGHMFKQTLDVLLQILVVFPKVEPLRTKVLSFIHRMVDTLGASVFPYLPKALEQLLAESEPKEMVGFLLLLNQLICKFSTLVHDILEEVFPAIAGRIFSAIRRIADSSGPEANTEEIRELLELQKTLYTFLHVITTHDLSSVFLSPKSLGYLTSIMQLLLHTSCHHKDINTRKACVQIFIRLIKDWCARPYGEEKVPGFQSFMIETFATNCCLYSVLDKSFEFGDANTLILFGEIVLAQKVMYEKFGDDFLVHFVSKGFPSAHCPQNLVEQYCQKLKGSDIKALRSFYQLLIENLRLQQNGSLVFR >EOY27257 pep chromosome:Theobroma_cacao_20110822:6:20895583:20903587:1 gene:TCM_029142 transcript:EOY27257 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MDDVEKAILISFDESVTLDSGLKSQAVNFCQRIKETPSICSLCIEKLCFCKLVQVQFWCLQTLRDVISVKYGSMSLEEKNFIRKSVFSMACLERIDGKLCAVLESPTFIKNKLAQVLVILVYFEYPLIWSSVFVDFLPHLSKGAVLIDMFSRLLNALDDELISLDYPRTPEEVAVAGRVKDAMRQQCVAQIVRAWYDIVSMYRSSDPEVCTTVLDCMRRYISWIDIGLIVNDAFIPLLFELILLDGLSEQLRGAAAGCVLAVVSKRMDAQSKLTLLKSLQISRVFGLISDDNDSELVLKVAALITGYAVEVLECSKRLNSEDAKVVSMELLDEVLPTVFYVMQNCEMDAAFSIVQFLSGYVATMKTLSPLQEKQMLHISQILEVIRTQIRYDPMYRNNLDILDKIGMEEEDRMVEFRKDLFVLLRNVGRVAPEVTQIFITNSFASAIASSSDRNVEEVEAALSLLYALGESMTDEAMRAGTGLLSELVTNLLSTRFPCHSNRIVALVYLETITRYMKFVQENTQYIPLVLAAFHDERGIHHPNINVSRRASYLFMRVVKLLKSKLLLFIEMILQSLQDVVARFTSMNFASEDGAHIFEAIGLLIGMEDVPLEKQSDYLSSLLTPLCQQVEAMLMNAKILTPEEYPLKIANIQQIIVAINALSKGFSERLATASRPAIGHMFKQTLDVLLQILVVFPKVEPLRTKVLSFIHRMVDTLGASVFPYLPKALEQLLAESEPKEMVGFLLLLNQLICKFSTLVHDILEEVFPAIAGRIFSAIRRIADSSGPEANTEEIRELLELQKTLYTFLHVITTHDLSSVFLSPKSLGYLTSIMQLLLHTSCHHKDINTRKACVQIFIRLIKDWCARPYGEEKVPGFQSFMIETFATNCCLYSVLDKSFEFGDANTLILFGEIVLAQKVMYEKFGDDFLVHFVSKGFPSAHCPQNLVEQYCQKLKGSDIKALRSFYQLLIENLRLQQNGSLVFR >EOY27643 pep chromosome:Theobroma_cacao_20110822:6:22598307:22600645:1 gene:TCM_029436 transcript:EOY27643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQEQQQPSPAPASQPDHPPPPPFDPSRMIGIIKRKALIKELAAVYHAECLAYCQELLELQRKWDEPFIDVKTPDDLRKEKTRPPKRLKKSR >EOY25522 pep chromosome:Theobroma_cacao_20110822:6:337875:338913:1 gene:TCM_026924 transcript:EOY25522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 GWTYPMRGLSSCCNMGLDSCLVQLRHLFCTGL >EOY28959 pep chromosome:Theobroma_cacao_20110822:6:26960622:26964097:-1 gene:TCM_030416 transcript:EOY28959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 10 isoform 1 MTSVPSKNIWIRRQQCPCGDWKCYVTYEGDAEETSISSQLVKNESVQSEAMVAPYVGMVFKSDEDAFEYYGNFARKNGFSIRKERSRLSPQLGIYKRDFVCYRSGFAPLRKKPTGEHHRDRKSVRCGCDAKMYLSKEVIDGVSQWFVVQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPIHRIVKVLELEKGIQGGQLPFLERDVRNFVQNRKKVVQENDALLNEKRENDTMELLEACKATKEADQDFVYDYTVDENDKVENIAWSYGDSVNSYTMYGDVVYFDTTYRSITYDMLFGAWIGIDNNGRPIFFGCVLLQDETMRSFAWALQTFISFMKGRCPQTISTDLDPGLRDAIRSELPSTKHVMSIWNILPKVSSWFPLQLGSQYAEFKSEFDALYRLESTEDFELRWNQMVSIFGLGSDKHITLLYSLRTSWAQSYVRGYFLARMVTTTYSKSVDAFLKGIFSAQTSLRRFFEQVGVAANIQNQPHQEMQHMHLKTCLPIEQHSRRLMGKVLLYGFQKMNRFTVPVRSLSLQEYYADMLFAYL >EOY28958 pep chromosome:Theobroma_cacao_20110822:6:26960378:26964111:-1 gene:TCM_030416 transcript:EOY28958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 10 isoform 1 MTSVPSKNIWIRRQQCPCGDWKCYVTYEGDAEETSISSQLVKNESVQSEAMVAPYVGMVFKSDEDAFEYYGNFARKNGFSIRKERSRLSPQLGIYKRDFVCYRSGFAPLRKKPTGEHHRDRKSVRCGCDAKMYLSKEVIDGVSQWFVVQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPIHRIVKVLELEKGIQGGQLPFLERDVRNFVQNRKKVVQENDALLNEKRENDTMELLEACKATKEADQDFVYDYTVDENDKVENIAWSYGDSVNSYTMYGDVVYFDTTYRSITYDMLFGAWIGIDNNGRPIFFGCVLLQDETMRSFAWALQTFISFMKGRCPQTISTDLDPGLRDAIRSELPSTKHVMSIWNILPKVSSWFPLQLGSQYAEFKSEFDALYRLESTEDFELRWNQMVSIFGLGSDKHITLLYSLRTSWAQSYVRGYFLARMVTTTYSKSVDAFLKGIFSAQTSLRRFFEQVGVAANIQNQPHQEMQHMHLKTCLPIEQHSRQILTPFAFNALQHELIASMQYAASEMANGSYLVRHFRKIDGESLVIWIPEDEQIHCSCKEFESSGILCRHALRVFIDKNYFQLPDKYFLSRWRRESSLMFYDDHSVHDNDDEWFQEFQSLTETLFTESSITKERSDYMRRELTKELTRLLNEVRDMPESDGAPMDFTLSPAS >EOY28961 pep chromosome:Theobroma_cacao_20110822:6:26961757:26964078:-1 gene:TCM_030416 transcript:EOY28961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 10 isoform 1 MTSVPSKNIWIRRQQCPCGDWKCYVTYEGDAEETSISSQLVKNESVQSEAMVAPYVGMVFKSDEDAFEYYGNFARKNGFSIRKERSRLSPQLGIYKRDFVCYRSGFAPLRKKPTGEHHRDRKSVRCGCDAKMYLSKEVIDGVSQWFVVQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPIHRIVKVLELEKGIQGGQLPFLERDVRNFVQNRKKVVQENDALLNEKRENDTMELLEACKATKEADQDFVYDYTVDENDKVENIAWSYGDSVNSYTMYGDVVYFDTTYRSITYDMLFGAWIGIDNNGRPIFFGCVLLQDETMRSFAWALQTFISFMKGRCPQTISTDLDPGLRDAIRSELPSTKHVMSIWNILPKVSSWFPLQLGSQYAEFKSEFDALYRLESTEDFELRWNQMVSIFGLGSDKHITLLYSLRTSWAQSYVRGYFLARMVTTTYSKSVDAFLKGIFSAQTSLRRFFEQED >EOY28960 pep chromosome:Theobroma_cacao_20110822:6:26961375:26963621:-1 gene:TCM_030416 transcript:EOY28960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 10 isoform 1 MTSVPSKNIWIRRQQCPCGDWKCYVTYEGDAEETSISSQLVKNESVQSEAMVAPYVGMVFKSDEDAFEYYGNFARKNGFSIRKERSRLSPQLGIYKRDFVCYRSGFAPLRKKPTGEHHRDRKSVRCGCDAKMYLSKEVIDGVSQWFVVQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPIHRIVKVLELEKGIQGGQLPFLERDVRNFVQNRKKVVQENDALLNEKRENDTMELLEACKATKEADQDFVYDYTVDENDKVENIAWSYGDSVNSYTMYGDVVYFDTTYRSITYDMLFGAWIGIDNNGRPIFFGCVLLQDETMRSFAWALQTFISFMKGRCPQTISTDLDPGLRDAIRSELPSTKHVMSIWNILPKVSSWFPLQLGSQYAEFKSEFDALYRLESTEDFELRWNQMVSIFGLGSDKHITLLYSLRTSWAQSYVRGYFLARMVTTTYSKSVDAFLKGIFSAQTSLRRFFEQVGVAANIQNQPHQEMQHMHLKTCLPIEQHSRQILTPFAFNALQHELIASMQYAASEMANGSYLVRHFRKIDGESLVIWIPEDEQIHCSCKEFESSGILCRHALRVFIDKNYFQLPDKYFLSRWRRESSLMFYDDHSVHDNDDEWFQEFQSLTETLFTESSITKERSDYMRRELTKELTRLLNEVRDMPESDGAPMDFTLSPAS >EOY28962 pep chromosome:Theobroma_cacao_20110822:6:26961960:26964078:-1 gene:TCM_030416 transcript:EOY28962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 10 isoform 1 MTSVPSKNIWIRRQQCPCGDWKCYVTYEGDAEETSISSQLVKNESVQSEAMVAPYVGMVFKSDEDAFEYYGNFARKNGFSIRKERSRLSPQLGIYKRDFVCYRSGFAPLRKKPTGEHHRDRKSVRCGCDAKMYLSKEVIDGVSQWFVVQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPIHRIVKVLELEKGIQGGQLPFLERDVRNFVQNRKKVVQENDALLNEKRENDTMELLEACKATKEADQDFVYDYTVDENDKVENIAWSYGDSVNSYTMYGDVVYFDTTYRSITYDMLFGAWIGIDNNGRPIFFGCVLLQDETMRSFAWALQTFISFMKGRCPQTISTDLDPGLRDAIRSELPSTKHVMSIWNILPKVSSWFPLQLGSQYAEFKSEFDALYRLESTEDFELRWNQMVSIFGLGSDKHITLLYSLRTSWAQSYVRGYFLARMVTTTYSKSVDAFLKGIFSAQTSLRRFFEQVY >EOY28963 pep chromosome:Theobroma_cacao_20110822:6:26961895:26963978:-1 gene:TCM_030416 transcript:EOY28963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 10 isoform 1 MTSVPSKNIWIRRQQCPCGDWKCYVTYEGDAEETSISSQLVKNESVQSEAMVAPYVGMVFKSDEDAFEYYGNFARKNGFSIRKERSRLSPQLGIYKRDFVCYRSGFAPLRKKPTGEHHRDRKSVRCGCDAKMYLSKEVIDGVSQWFVVQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPIHRIVKVLELEKGIQGGQLPFLERDVRNFVQNRKKVVQENDALLNEKRENDTMELLEACKATKEADQDFVYDYTVDENDKVENIAWSYGDSVNSYTMYGDVVYFDTTYRSITYDMLFGAWIGIDNNGRPIFFGCVLLQDETMRSFAWALQTFISFMKGRCPQTISTDLDPGLRDAIRSELPSTKHVMSIWNILPKVSSWFPLQLGSQYAEFKSEFDALYRLESTEDFELRWNQMVSIFGLGSDKHITLLYSLRTSWAQSYVRGYFLARMVTTTYSKSVDAFLKGIFSAQTSLRRFFEQVY >EOY27468 pep chromosome:Theobroma_cacao_20110822:6:21936074:21942587:1 gene:TCM_029311 transcript:EOY27468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transforming growth factor-beta receptor-associated protein 1 isoform 1 MAKPKSRTAVEPLAHFDLPTPNLSIRSLSLSQSTLYLGTQNGYLLLLSLNPNPNPVPTPNPPPIEAVSPSSLSRNVSLLRTVPLSDSPVESIFVLAEIGVVLVLSDGFLFLTDSLLIQPVKKLGGLKGVAVIARRFRGTHSQSTDLTDNTSNLSKGQRILDKFGGVRANGVKTSVLEQSREGSSVFALVIGRKLMLIELVLGSSFLNASFVILREIQCFDGVKSMVWLDDSVIVGTINGYSLFSCVTGQSGVIFSLPDLSRPPLLKLLWREWKVLLLVDNVGVVVDALGQPVGGSLVFRKGGPDSVGELSSYAVVVRDGKMELYHKKSGNCIQTVTFGVEGVGQCIVADEENRSGEVVAVATPTKVICYRKVPSEEQIKDLLRKKNFKEAISLVEELECEGEMSKEMLSLFHAQVGFLLLFDLHFEEAVDHFLQSETMQPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPVPLEDVVDNGLLAIQRAIFLRKAGVETVVDKRFLSNPPTRAELLESAIKNMIRYLEVSHQKDLTLSVKEGVDTLLMYLYRALNCVDDMEKLASSENCCIVEELETLLDGSGHLRTLAFLYASKGMSSKALAIWRILARNYSSGLWKDPAVENGVHDGSACVVSGRETAATEASKILEDSSDQDLVLQHLSWIADINPVLAVRVLTSEKRTNQFSPDEVIAAIDPKKVEILQRYLQWLIEDQDCDDTRFHTFYAISLAKAAIETFDSDIRSQSHDTERQEQVKIIDTQRESIFQSPVRERLQIFLQSSDLYDPEEVLFLVETSELWLEKAILYRKLGQETLVLRILALEAAEQYCAEIGRPDAYMQLLDMYLDPQDGKEPMFKAAVRLLHNHGESLDPLQVLEVKPLSLSPASFCLVLHII >EOY27467 pep chromosome:Theobroma_cacao_20110822:6:21936074:21942587:1 gene:TCM_029311 transcript:EOY27467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transforming growth factor-beta receptor-associated protein 1 isoform 1 MAKPKSRTAVEPLAHFDLPTPNLSIRSLSLSQSTLYLGTQNGYLLLLSLNPNPNPVPTPNPPPIEAVSPSSLSRNVSLLRTVPLSDSPVESIFVLAEIGVVLVLSDGFLFLTDSLLIQPVKKLGGLKGVAVIARRFRGTHSQSTDLTDNTSNLSKGQRILDKFGGVRANGVKTSVLEQSREGSSVFALVIGRKLMLIELVLGSSFLNASFVILREIQCFDGVKSMVWLDDSVIVGTINGYSLFSCVTGQSGVIFSLPDLSRPPLLKLLWREWKVLLLVDNVGVVVDALGQPVGGSLVFRKGGPDSVGELSSYAVVVRDGKMELYHKKSGNCIQTVTFGVEGVGQCIVADEENRSGEVVAVATPTKVICYRKVPSEEQIKDLLRKKNFKEAISLVEELECEGEMSKEMLSLFHAQVGFLLLFDLHFEEAVDHFLQSETMQPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPVPLEDVVDNGLLAIQRAIFLRKAGVETVVDKRFLSNPPTRAELLESAIKNMIRYLEVSHQKDLTLSVKEGVDTLLMYLYRALNCVDDMEKLASSENCCIVEELETLLDGSGHLRTLAFLYASKGMSSKALAIWRILARNYSSGLWKDPAVENGVHDGSACVVSGRETAATEASKILEDSSDQDLVLQHLSWIADINPVLAVRVLTSEKRTNQFSPDEVIAAIDPKKVEILQRYLQWLIEDQDCDDTRFHTFYAISLAKAAIETFDSDIRSQSHDTERQEQVKIIDTQRESIFQSPVRERLQIFLQSSDLYDPEEVLFLVETSELWLEKAILYRKLGQETLVLRILALKLEDSEAAEQYCAEIGRPDAYMQLLDMYLDPQDGKEPMFKAAVRLLHNHGESLDPLQVLEVKPLSLSPASFCLVLHII >EOY27466 pep chromosome:Theobroma_cacao_20110822:6:21936143:21942914:1 gene:TCM_029311 transcript:EOY27466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transforming growth factor-beta receptor-associated protein 1 isoform 1 MAKPKSRTAVEPLAHFDLPTPNLSIRSLSLSQSTLYLGTQNGYLLLLSLNPNPNPVPTPNPPPIEAVSPSSLSRNVSLLRTVPLSDSPVESIFVLAEIGVVLVLSDGFLFLTDSLLIQPVKKLGGLKGVAVIARRFRGTHSQSTDLTDNTSNLSKGQRILDKFGGVRANGVKTSVLEQSREGSSVFALVIGRKLMLIELVLGSSFLNASFVILREIQCFDGVKSMVWLDDSVIVGTINGYSLFSCVTGQSGVIFSLPDLSRPPLLKLLWREWKVLLLVDNVGVVVDALGQPVGGSLVFRKGGPDSVGELSSYAVVVRDGKMELYHKKSGNCIQTVTFGVEGVGQCIVADEENRSGEVVAVATPTKVICYRKVPSEEQIKDLLRKKNFKEAISLVEELECEGEMSKEMLSLFHAQVGFLLLFDLHFEEAVDHFLQSETMQPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPVPLEDVVDNGLLAIQRAIFLRKAGVETVVDKRFLSNPPTRAELLESAIKNMIRYLEVSHQKDLTLSVKEGVDTLLMYLYRALNCVDDMEKLASSENCCIVEELETLLDGSGHLRTLAFLYASKGMSSKALAIWRILARNYSSGLWKDPAVENGVHDGSACVVSGRETAATEASKILEDSSDQDLVLQHLSWIADINPVLAVRVLTSEKRTNQFSPDEVIAAIDPKKVEILQRYLQWLIEDQDCDDTRFHTFYAISLAKAAIETFDSDIRSQSHDTERQEQVKIIDTQRESIFQSPVRERLQIFLQSSDLYDPEEVLFLVETSELWLEKAILYRKLGQETLVLRILALKLEDSEAAEQYCAEIGRPDAYMQLLDMYLDPQDGKEPMFKAAVRLLHNHGESLDPLQVLETLSPDMPLQLASDTILRMLRARLHHHRQGQVVHYLSRAVHMDARLARLEERSRLVQINDESLCDSCHARLGTKLFAMYPDDTVVCYKCFRRQGDSTSVTGRDFKEDVLFKPGWLVSR >EOY25981 pep chromosome:Theobroma_cacao_20110822:6:3248869:3250255:1 gene:TCM_027357 transcript:EOY25981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIATPSSMVILLVPGATLNRREEILVGWFSPPTRWAAVNSSGAYRGTINRVVAKRVLKDSGSTWLGGYACSLGKCTAYRVELWGVYSGLCLA >EOY25922 pep chromosome:Theobroma_cacao_20110822:6:2761127:2766032:-1 gene:TCM_027291 transcript:EOY25922 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative MPVKFIDYEVMDKGARDDIALCLHLCTKISPIPAQSGTVLDSEIACTRELPSQVAESAAYAADQLNALFDTSNFPVILLELYYPAVNMASPSILPANVLLSRRVQEMVINGEEPESLYICRDEKEDEDVPSPLAPIPIIDLSLFSSSTLSTKREEELQKLKSALCSWGCFQCHMNTVRYQEIRDAVTDSANEYVKIRQPYYFLIKSTEMEAIGHGIPSSFLDEIRQVTTEFFEQPMDEKKKYSKGVEDVQGYGGDPTPEEGQFLDWQDRLFLTSYPEYLRDTKFWPESPKSFRNVLEYYTTKMRMVTELVSKSMAKSLQLEENCFLNQFGERAALQVRFNYYPCCQKPEIVLGLKPHADGTGYTIILQDDVEGLQVLKEEHWFTVPTIPNALLVLMGDQMEIMTNGMFKSPVHRVVTNKEKERTSIAVFYTPEKHKEIGPEDGLVNEERPRLFKKVKDYDITHWEYYQRGRRALHTAEI >EOY27336 pep chromosome:Theobroma_cacao_20110822:6:21299798:21307886:-1 gene:TCM_029206 transcript:EOY27336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug/pheromone exporter, MDR family, ABC transporter family MADSSFEIDFSSSLNYHQCHNTPASRYASSSFSPLHSSRISRTTPRRLQHRTPATPFATDDDMSWQSDVSWQFEPSGWRDSRNLGAALSPWAASSTSSSNSQAFRRRSASEYYLSRTSGGFRSFANSYYEFSGHRAVPSGRLELQSYVARDNDSSSHLHLGDHSKSHHKLSRLATIKEGSSRNGASPLANENELSTIDYDTLEDVERQIRQLEIDPNSHTNVGSHWFTVSQAYVDDEDDVSLGGHHYGHGLSHQGSDGHGGRHKVDNDLDLAMQYELHGHGQPTSHHDGGGHRYDDLGLSLDFNEDDNAEHGYGHGLSHHGVNSGLGGHHQIRHKLEGLDHKLHQVHHGHDTWQSASHQYGDDHEYDDFVPAPDINEDDNDEEEEDAEPPRPVGLLKLFKYSTKWDIVLVILGCLGALINGGSLPWYSYFFGDFVNKIATESSKGNKIQMMKDVEKICILMSGLATIVVVGAYLEITCWRLVGERSAQRIRTKYLRAVLRQDISFFDTEVSTGDIMHGISTEVAQIQEVMGDKMAHFIHHVFTFICGYTVGFLRSWKVSLVVFSVTPLTMFCGIAYKAVYGGLTAKEEACYRKAGTIAEQAISSIRTVFSFVAEDNLAARYAELLAKSVPLGAKIGFAKGAGMGVIYLVTYSTWALAFWYGSILVARKEISGGAAVACFFGVNVGGRGLALSLTYFAQFAQGTVAAGRIFDIMDRVPEIDPYDPEGRTLSSVRGRIEFKGVNFAYPSRPDTTVLSSLNLVIRSLKTLALVGASGGGKSTIFALIERFYDPDKGVITLDGHDLRTLQVKWLRRQIGMVGQEPVLFATTILENVMMGKENATKKEAVAACVAANAHSFIYDLPLGYDTQVGAKGTQLSGGQKQRIALARALIKDPRILLLDEPTSALDPESEAVVQQAIDKISTGRTTIVIAHRLATVRNANTIVVLDQGSVVETGNHRQLMERSGAYYKLVKLASEALSNPTLNEKNTQKSIEFSTYDKSAYEGSRSPCAYEISSSKYIKSIQEANQVEEEIQQRLKPGEFQISKIWTLQRPEVVTLLLGFLLGLHAGAILSIFPLLLGLALQAYFDDSTSKLKREVAKLSLALVGLGFGCIIAMTGQQGFCGWAGTKLTIRVRDLLFRSILKQEPGWFDFEDNSTGILVSRLSVDCLSFRAVLGDRYSVLLMGVSSAAVGLGVSFYFGWRLTLLAAALTPFTLGASYLNLIINIGPRLDNSSYAKASNIASGAVSNIRTVTTFSAQEEIVKSFDKALSEPRKQSVKRSQILGLTLGLSQGAMYGAYTLTLWFGAYLVKQGKTDFGDVYIIFLILVLSSFSVGQLAGLAPDTTMAPTTIPAVFDIINRRPLIGNFRDKGRKIERSKPLDIELKMVTFAYPSRPEVIVLKDFCLKVKDGSMVALVGPSGSGKSTVIWLVQRFYDPNEGKVMMGGIDLVEINLKWLRKQIALVGQEPALFAGSIRENIAFGNQNATWGEIEDAAKEAYIHKFISGLPQGYETQVGESGVQLSGGQKQRIAIARAILKKSRVLLLDEASSALDLESEKHVQDALRRVSKQATTIIIAHRLSTIREANMIAVVKDGAVVEYGSHDALLASHLDGVYAGLVRAEREANAFS >EOY29015 pep chromosome:Theobroma_cacao_20110822:6:27126054:27130611:1 gene:TCM_030457 transcript:EOY29015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 5 MEHPDTEVITLSHQTKQIDNTEITEDTDDEVNDSPIEQVRLTVPIRDDPTLPCLTFRTWALGITSCVVLGFLNQFFQYRQNSLHISSVSAQILVLPVGKLMAAYLPRKSIRIPSTKWSFSLNPGPFNLKEHVLITIFANTSSINLYAVNIITLVKAFYHGEIHPLAAMLLAQSTQMLGYGWAGIFRKFLVDSPYMWWPNNLIQVSLFRALHNVEVRSKGGLTRLQFFLVVFISSFAYYIVPNYFFPSITALSFVCWVWKDSVTAQIIGAGRGGLGIGSFALDWSTVASFLQSPLATPAFAIINIMVGFVIVVYILLPIAYWSNSYEARRFPIISSHVFTADGEKYDVSRVLNYTAFEFNQQGYDGYSKINLSVFFVYSYGLSFATLAATMSHVVFFDGRTIWQQAISAFQDKFSDVHYRLMKKTYEPVPQWWFYTLLTIVIGLAMLTCEGFGRQLQLPYWGVLLAIGLALIFTLPIGVIMATTNQQLGLNVITELIIGYIYSGKPLANVVFKTYGYISTAQAILFLQDFKLGHYMKIPPKSMFLVQLVETVIASSVYFGTAWWLLTTVKHICDPSNLPEGSPWTCPGDDIFYNASIIWGVVGPLRMSGRLGLYSKMNYFFLIGILAPFPVWVLSHMFPEQKWIKLINMPLIIGGSGALPAARVVNYWCWGVVGMFFNFFVYRRFKGWWARHNYILSAGLDSGVAFMAILCYFTLQMRSINGPSWWGAQLDDHCPLASCPTAPGIEVEGCPVFQY >EOY27659 pep chromosome:Theobroma_cacao_20110822:6:22669462:22675835:-1 gene:TCM_029451 transcript:EOY27659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine-delta-aminotransferase isoform 2 MAATRKPLLSLLSRVSRASRRSYGAVAEGSTSSSPSSSNHLINLEYEYSAHNYHPVPVVFSQAKGSSIWDPEGKKYLDFLSAYSAVNQGHCHPKITKAFQEQAERLTLSSRAFYNDRFPVFAERLTSMFGYEMVLPMNTGAEGVETALKLARKWGYEKKKIPKDEAIIVSCCGCFHGRTLAVISMSCDNEATRGFGLLLPGHVKVDFGDDVALEKIFEEHGHRIAGFLFEPIQGEAGILGKALGGGVIPVSAVLADKDVMLCIRPGEHGSTFGGNPLASAVAIASLDVIQEEKLAERSAHLGQELLHQLLKIQEQFPNYIKEVRGRGLFTAVEFNSRTLFPVSAYDICLKMKERGVLAKPTHDTIVRLTPPLCMSPDELQEGSKVLRDVLELDLPNLQKTKPKDSPTTATICDRCGRNLYDSSDKDL >EOY27658 pep chromosome:Theobroma_cacao_20110822:6:22669520:22678148:-1 gene:TCM_029451 transcript:EOY27658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine-delta-aminotransferase isoform 2 MAATRKPLLSLLSRVSRASRRSYGAVAEGSTSSSPSSSNHLINLEYEYSAHNYHPVPVVFSQAKGSSIWDPEGKKYLDFLSAYSAVNQGHCHPKITKAFQEQAERLTLSSRAFYNDRFPVFAERLTSMFGYEMVLPMNTGAEGVETALKLARKWGYEKKKIPKDEAIIVSCCGCFHGRTLAVISMSCDNEATRGFGLLLPGHVKVDFGDDVALEKIFEEHGHRIAGFLFEPIQGEAGVIIPPEGYLKAVRELCSKYNILMIADEIQSGLARTGKMLACDWEEVRPDVVILGKALGGGVIPVSAVLADKDVMLCIRPGEHGSTFGGNPLASAVAIASLDVIQEEKLAERSAHLGQELLHQLLKIQEQFPNYIKEVRGRGLFTAVEFNSRTLFPVSAYDICLKMKERGVLAKPTHDTIVRLTPPLCMSPDELQEGSKVLRDVLELDLPNLQKTKPKDSPTTATICDRCGRNLYDSSDKDL >EOY26517 pep chromosome:Theobroma_cacao_20110822:6:13416856:13418875:-1 gene:TCM_028302 transcript:EOY26517 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 82, putative MERNSLAPGFRFHPTDVELCQYYLRRKVLGKKFSFEAIAEVDIYKYAPWDLRDESLLRIGDLKWYFFCPMEKKYGKWSRFNRATAYGLEDEPLLDKGVVQDAYILCVIFCKDGPGHRNGAQYGAPFREEDLTDDEEVIKEVSNSTDLPTPTFTGAARPCFPQSQCLGSPAESSHFAASPSVVLDANKSLTPMEASQVLVDDSISAMLSSGQSEDYSPVAIANDDLEFLESPEIAVDDEIMSLLATLNVIT >EOY26587 pep chromosome:Theobroma_cacao_20110822:6:14644131:14650955:-1 gene:TCM_028427 transcript:EOY26587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo-adjacent domain-containing protein, putative isoform 1 MSHLKEKNSEEKNLGFKWGVEKGVGGKNRDIMFYESFTYEGEEYFVYDCVYFYLGQPEASIGKLVKMCEGPDHAKKVKVVWFMRPSEIRNFLGNYQPRWNEIFLASGQGPGVSNVNPVESIVGKCNVVCISNDRRNPQATEADVRWADYFFCCRFDVGGPAISDVFPDMVDGVKVEHFFNKKKEQKPLGPPNLKSNVKEQTGLPNFSSKLKVKKVIGNTVRDDHSGSRLIPLLKESKTAPVGTSKQVRFPSENTPPRLKTSIPSGGTQHGGSSHCQVQDKFDKAEVKFPKDSLTNTAEVQPYKKRKLLLDERASRKFDNLHHQQGQDRGTKIDNQLVQVLRRPHADSRNWFKQLPWEQRLERAQESGSLVSLENLDPSYTSAEVEDLVWHAFKEKVEAKMIEKSTFSCPHYGKALIIFKSKEAADAAISHLTKRCLVLADGRPVIAKRETLRKPSKLAGFVGHLTIDRVQHKRQTEEMKKAKSTSHPSQPNNIEYDMGMEWRILQEKSDMWWKALHEVLLHPQSQSNKQRRYKMLGNS >EOY26589 pep chromosome:Theobroma_cacao_20110822:6:14644385:14650480:-1 gene:TCM_028427 transcript:EOY26589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo-adjacent domain-containing protein, putative isoform 1 MSHLKEKNSEEKNLGFKWGVEKGVGGKNRDIMFYESFTYEGEEYFVYDCVYFYLGQPEASIGKLVKMCEGPDHAKKVKVVWFMRPSEIRNFLGNYQPRWNEIFLASGQGPGVSNVNPVESIVGKCNVVCISNDRRNPQATEADVRWADYFFCCRFDVGGPAISDVFPDMVDGVKVEHFFNKKKEQKPLGPPNLKSNVKEQTGLPNFSSKLKVKKVIGNTVRDDHSGSRLIPLLKESKTAPVGTSKQVRFPSENTPPRLKTSIPSGGTQHGGSSHCQVQDKFDKAEVKFPKDSLTNTAEVQPYKKRKLLLDERASRKFDNLHHQQGQDRGTKIDNQLVQVLRRPHADSRNWFKQLPWEQRLERAQESGSLVSLENLDPSYTSAEVEDLVWHAFKEKVEAKMIEKSTFSCPHYGKALIIFKSKEAADAAISHLTKRCLVLADGRPVIAKRETLRKPSKLAGFVGHLTIDRVQHKRQTEEMKKAKSTSHPSQPNNIEYDMGMEWRILQEKSDMWWKALHEVLTS >EOY26588 pep chromosome:Theobroma_cacao_20110822:6:14644024:14650955:-1 gene:TCM_028427 transcript:EOY26588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo-adjacent domain-containing protein, putative isoform 1 MSHLKEKNSEEKNLGFKWGVEKGVGGKNRDIMFYESFTYEGEEYFVYDCVYFYLGQPEASIGKLVKMCEGPDHAKKVKVVWFMRPSEIRNFLGNYQPRWNEIFLASGQGPGVSNVNPVESIVGKCNVVCISNDRRNPQATEADVRWADYFFCCRFDVGGPAISDVFPDMVDGVKVEHFFNKKKEQKPLGPPNLKSNVKEQTGLPNFSSKLKVKKVIGNTVRDDHSGSRLIPLLKESKTAPVGTSKQVRFPSENTPPRLKTSIPSGGTQHGGSSHCQVQDKFDKAEVKFPKDSLTNTAEVQPYKKRKLLLDERASRKFDNLHHQQGQDRGTKIDNQLVQVLRRPHADSRNWFKQLPWEQRLERAQESGSLVSLENLDPSYTSAEVEDLVWHAFKEKVEAKMIEKSTFSCPHYGKALIIFKSKEAADAAISHLTKRCLVLADGRPVIAKRETLRKPSKLAGFVGHLTIDRVQHKRQTEEMKKAKSTSHPSQPNNIEYDMGMEWRILQEKSDMWWKALHEQQAKEIQNVRKQLKMKSSS >EOY25929 pep chromosome:Theobroma_cacao_20110822:6:2782508:2783832:1 gene:TCM_027295 transcript:EOY25929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 21 MGRYLTSVNISFWVVLLLLQTHGFRGCLEKERIGLLKLKAFIESVSEGPDTTLITWVDGHERSNCCSWDRVKCNATTGRLMELSLSHARTRIPGTLFRICNLNISLFLPFEELVSLDLSDNAFGGWIDQTEGYTSFSSLKKLEKLDLTNNYFNTNIFSSFSQFKSLKTLIMPYNDLKGSFPINGSKGLLSLKKLEILDLNNNLLSSSTLSSLTAVTSLRTLILSNNNMEGSFPIQELIKLKNLEMLDLSGNRFNASIQGSNLQHLHISIVATNLSC >EOY28110 pep chromosome:Theobroma_cacao_20110822:6:24250535:24253347:1 gene:TCM_029770 transcript:EOY28110 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative isoform 1 MKKTMVRRAVVLVQVLAMAAAVMPLLSGALDTHRVKQLAATYNVTCVLVFGDSSVDPGNNNYLATPMKGNFLPYGKDFFRGRPTGRFSNGRLATDFIAEALGYTKAIRPFLNKRLRPIDILHGVSFASAASGYDELTANLSNVLPVSKQLEYFRQYKIRLRQLVGARTAEDITKNAVAVMSMGTNDFLQNYNLEPIRPKQYTLEEYQIYLASCMSQDLKTMHSLGITRLVVVGVPPLGCMPLVKTLMNRDTCVENYNNFSASFNSKIKAKLATLRTTLGMKIGYVDAYGIIQDAVNNPKKYGLIEISKGCCGSGTVEYGDSCKGLSTCADASKYVFWDAVHPTEKMYEIIADQAIDSLEEQLMG >EOY28111 pep chromosome:Theobroma_cacao_20110822:6:24250519:24253362:1 gene:TCM_029770 transcript:EOY28111 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative isoform 1 MKKTMVRRAVVLVQVLAMAAAVMPLLSGALDTHRVKQLAATYNVTCVLVFGDSSVDPGNNNYLATPMKGNFLPYGKDFFRGRPTGRFSNGRLATDFIAEALGYTKAIRPFLNKRLRPIDILHGVSFASAASGYDELTANLSNVLPVSKQLEYFRQYKIRLRQLVGARTAEDITKNAVAVMSMGTNDFLQNYNLEPIRPKQYTLEEYQIYLASCMSQDLKTMHSLGITRLVVVGVPPLGCMPLVKTLMNRDTCVENYNNFSASFNSKIKAKLATLRTTLGMKIGYVDAYGIIQDAVNNPKKYGCLIEISKGCCGSGTVEYGDSCKGLSTCADASKYVFWDAVHPTEKMYEIIADQAIDSLEEQLMG >EOY26001 pep chromosome:Theobroma_cacao_20110822:6:3511178:3513046:1 gene:TCM_027389 transcript:EOY26001 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAse THREE protein 1, putative isoform 1 MAENLCKRKREMEKTKSNRKPQKFIVNLKDLPPIDPSLITPLCQDKYENPSSRNDHNVARRSPKEGTNLVGFDEGLRIADIADRNLGQDMKVEEGVCLSSTKLIDSNNAKGFNFNRENENCRNNLAIQDSPLRLLLRCRKHRLQFWSALVILSPRRKWQQSMQLKGHCGT >EOY26000 pep chromosome:Theobroma_cacao_20110822:6:3511196:3513046:1 gene:TCM_027389 transcript:EOY26000 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAse THREE protein 1, putative isoform 1 MAENLCKRKREMEKTKSNRKPQKFIVNLKDLPPIDPSLITPLCQDKYENPSSRNDHNVARRSPKEGTNLVGFDEGLRIADIADRNLGQDMKVEEGVCLSSTKLIDSNNAKGFNFNRENENCRNNLAIQDAPKRVSAKSELHEICATNNWKLPLYECCKEEGLSHMKLFTFKVVVEMQKTSTTILECFSDPQPKKKMAAEHAAEGALWYLRHLGYFSPNKSKAC >EOY27042 pep chromosome:Theobroma_cacao_20110822:6:19837100:19840424:-1 gene:TCM_028990 transcript:EOY27042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L28e protein family isoform 1 MATVPGQLIWEIVKKNNSFLVKQFGRGTASVQFSKEPNNLYNLNSYKHSGLANKKTVTIQSGGKDQSVLLATTKTKKQNKPSSLLHKSVMRKEFPRMAKAVKNQVWYCLHSNSNFLKVLSCGDEAITGKKDLFVGFFYEIYLLRLASELLNLSPTVLPFLPTFPPFFHLALGCYYILNYINVADNYYRPDLTKAALARLSAVNRSLKVAKSGVKKRNRQALKIRGRK >EOY27043 pep chromosome:Theobroma_cacao_20110822:6:19837808:19840344:-1 gene:TCM_028990 transcript:EOY27043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L28e protein family isoform 1 MATVPGQLIWEIVKKNNSFLVKQFGRGTASVQFSKEPNNLYNLNSYKHSGLANKKTVTIQSGGKDQSVLLATTKTKKQNKPSSLLHKSVMRKEFPRMAKAVKNQVADNYYRPDLTKAALARLSAVNRSLKVAKSGVKKRNRQALKIRGRK >EOY25832 pep chromosome:Theobroma_cacao_20110822:6:2235009:2238145:1 gene:TCM_027202 transcript:EOY25832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Post-illumination chlorophyll fluorescence increase MAATASIFTSPTQPFSATRSVRGGFNQPIMCGGEPRAMLRKSRGKADSPIYTIQICVPKHAVNLIFSFTNGANWDGPYRLQFQVPKAWQNRPIEFFNQGIFPAGAFSRNSDLKLHVFI >EOY25847 pep chromosome:Theobroma_cacao_20110822:6:2301735:2306398:1 gene:TCM_027213 transcript:EOY25847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brassinosteroid insensitive 1-associated receptor kinase 1 isoform 1 MGFCSIICCGKGVDRKEKGKKQPTWRVFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKAEVEFSVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSTDCQLEWTRRMNIAIGSAEGIAYLHHHSTPHIIHRDIKASNVLLDSDFQPQVADFGFAKFIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVYSFGILLLELASGRKPLEKLSATSKRSIAEWALPLACEGKFSEVADPRLNGKYVEEELKRLVLIALVCADNRPEKRPTMLEVLELLDGESKEKLVELENNEVFKNPQSAVCYDDGTPAAEESSDIIKEENDPKPVKETKHENALDG >EOY25848 pep chromosome:Theobroma_cacao_20110822:6:2302808:2306847:1 gene:TCM_027213 transcript:EOY25848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brassinosteroid insensitive 1-associated receptor kinase 1 isoform 1 WRVFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKAEVEFSVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSTDCQLEWTRRMNIAIGSAEGIAYLHHHSTPHIIHRDIKASNVLLDSDFQPQVADFGFAKFIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVYSFGILLLELASGRKPLEKLSATSKRSIAEWALPLACEGKFSEVADPRLNGKYVEEELKRLVLIALVCADNRPEKRPTMLEVLELLDGESKEKLVELENNEVFKNPQSAVCYDDGTPAAEESSDIIKEENDPKPVKETKHENALDG >EOY28676 pep chromosome:Theobroma_cacao_20110822:6:26207223:26212854:-1 gene:TCM_030211 transcript:EOY28676 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein, expressed isoform 2 MDSQQELNQPILNSMHDHQPPPQPESGASTSHRYVDSRLEKVLSDPELSFFKRLRLASWIELRLIFSLAAPAIFVYMINNAMSLSTRVFCGHLGNLELAAASLGNSGVQLFAYGLMLGMGSAVETLCGQAYGALRYNMLGVYLQRSTIVLTLTGIPLALAYVFSKPILILLGESAMVASASAVFVYGLIPQIFAYAVNFPMQKFLQAQSIVNPSAYISAAALGVHLLLSWLAVYKLGLGLIGASLVLSLSWWIIVVAQMVYILTSKKCKLTWLGLSLQAFSGLVDFFKLSAASAVMLCLETWYFQVLVLIAGLLENPELSLDSLSICMSISAFLLMVSVGFNAAASVRVSNELGAGHPKSVAFTVAVVTLVSFIISVVEAVVVLALRHVISYAFTDGEAVADAVSDLCPFLAVTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPLGCLLGFKFGLGAKGIWSGMIGGTLMQTIVLLWVTFRTDWNKEVETARKRLDKWEDKKEPLLKN >EOY28677 pep chromosome:Theobroma_cacao_20110822:6:26207347:26211034:-1 gene:TCM_030211 transcript:EOY28677 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein, expressed isoform 2 MDSQQELNQPILNSMHDHQPPPQPESGASTSHRYVDSRLEKVLSDPELSFFKRLRLASWIELRLIFSLAAPAIFVYMINNAMSLSTRVFCGHLGNLELAAASLGNSGVQLFAYGLMLGMGSAVETLCGQAYGALRYNMLGVYLQRSTIVLTLTGIPLALAYVFSKPILILLGESAMVASASAVFVYGLIPQIFAYAVNFPMQKFLQAQSIVNPSAYISAAALGVHLLLSWLAVYKLGLGLIGASLVLSLSWWIIVVAQMVYILTSKKCKLTWLGLSLQAFSGLVDFFKLSAASAVMLCLETWYFQVLVLIAGLLENPELSLDSLSICMSISAFLLMVSVGFNAAASSVRVSNELGAGHPKSVAFTVAVVTLVSFIISVVEAVVVLALRHVISYAFTDGEAVADAVSDLCPFLAVTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPLGCLLGFKFGLGAKGIWSGMIGGTLMQTIVLLWVTFRTDWNKEVETARKRLDKWEDKKEPLLKN >EOY28939 pep chromosome:Theobroma_cacao_20110822:6:26927647:26930059:-1 gene:TCM_030405 transcript:EOY28939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MATRYKSYDARSSTSSHFSDPSSSMELNKSSSRRPESSSSSSSSRALVKSKPLDVGPGSRSKTKADNNLTSMVKRFMDKKSTNKTIGQGQLVIPSDVLAEDLKKAERKGAAFTALQRKLFGKGSADKKEVKALTEVKGNTRTLAMVLRSERELLSANKDQEMEIAELKLLLQDKNREVEKLKDLCLKQREEIKSLKSAILFPDVMNSQLQEIVEKQGSELTQAKQLIPTLQRQVTSLTGQLQCLAQDLAQVKADKYSARAFHQRHGSSPRTPRYDREEPSDSLEFSSADATTPGSPDDLFLEDLNPCLTPYYTKTKSKEFDEIGFNSPHNESLSKNNKQTFTELGFSSRSKKLSKSSDCYQDSNRGSSMARTNRRSDESTGSYRKQMHHKPF >EOY28940 pep chromosome:Theobroma_cacao_20110822:6:26928019:26930109:-1 gene:TCM_030405 transcript:EOY28940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MATRYKSYDARSSTSSHFSDPSSSMELNKSSSRRPESSSSSSSSRALVKSKPLDVGPGSRSKTKADNNLTSMVKRFMDKKSTNKTIGQGQLVIPSDVLAEDLKKAERKGAAFTALQRKLFGKGSADKKEVKALTEVKGNTRTLAMVLRSERELLSANKDQEMEIAELKLLLQDKNREVEKLKDLCLKQREEIKSLKSAILFPDVMNSQLQEIVEKQGSELTQAKQLIPTLQRQVTSLTGQLQCLAQDLAQVKADKYSARAFHQRHGSSPRTPRYDREEPSDSLEFSSADATTPGSPDDLFLEDLNPCLTPYYTKTKSKFSQQEVVQE >EOY27128 pep chromosome:Theobroma_cacao_20110822:6:20303813:20309909:-1 gene:TCM_029055 transcript:EOY27128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-60 kDa protein isoform 2 MHRRASKLASFICSSTSKKLVYSRVICNRNYVAKVINFGFGARAAMLQGVSEVAEAVKVTMGPKGRNVIIEKRPGYPKVTKDGVTVAKSIKFKNKAKNVGADLVKQVANATNKVAGDGTTCATVLTQAILLEGCKSVAAGVNVMDLRSGINMAVDAVISDLKSRAIMISTPEEITQVATISANGEREIGELIARAMEKVGKEGVITVTEGNTLDNELEVVEGMKLSRGYISSYFITDQKTQKCELENPLIIIHDKKISDMNSLVRILELAINKNRPLLVVAEDVESDSLALLILNKHHAGLKVCAIKAPGFGDNRRANLDDLAILTGGEVISDERGLTLDKIKVEMLGTAKRVTVSLDDTIILHGGGDKKLIEERSADGHGEEHCHV >EOY27127 pep chromosome:Theobroma_cacao_20110822:6:20303024:20312015:-1 gene:TCM_029055 transcript:EOY27127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-60 kDa protein isoform 2 MHRRASKLASFICSSTSKKLVYSRVICNRNYVAKVINFGFGARAAMLQGVSEVAEAVKVTMGPKGRNVIIEKRPGYPKVTKDGVTVAKSIKFKNKAKNVGADLVKQVANATNKVAGDGTTCATVLTQAILLEGCKSVAAGVNVMDLRSGINMAVDAVISDLKSRAIMISTPEEITQVATISANGEREIGELIARAMEKVGKEGVITVTEGNTLDNELEVVEGMKLSRGYISSYFITDQKTQKCELENPLIIIHDKKISDMNSLVRILELAINKNRPLLVVAEDVESDSLALLILNKHHAGLKVCAIKAPGFGDNRRANLDDLAILTGGEVISDERGLTLDKIKVEMLGTAKRVTVSLDDTIILHGGGDKKLIEERCEQLRTAMEKSTAMFDREKAQERLSKLSGGVAVFKVGGASEAEVGERKDRVTDALNATRAAVEEGIVPGGGVALLYGIKVLQNLQTQNEDQKRGIQIIQDALKSPTLTITSNAGYDGSLVLGRLLEQDDDNLGFDASKGTYVDMVKAGIIDPLKVVRTALVDAASISLLLTTTEASVLENPDEKKPPSRMPDIDNDY >EOY27129 pep chromosome:Theobroma_cacao_20110822:6:20303076:20309909:-1 gene:TCM_029055 transcript:EOY27129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-60 kDa protein isoform 2 MHRRASKLASFICSSTSKKLVYSRVICNRNYVAKVINFGFGARAAMLQGVSEVAEAVKVTMGPKGRNVIIEKRPGYPKVTKDGVTVAKSIKFKNKAKNVGADLVKQVANATNKVAGDGTTCATVLTQAILLEGCKSVAAGVNVMDLRSGINMAVDAVISDLKSRAIMISTPEEITQVATISANGEREIGELIARAMEKVGKEGVITVTEGNTLDNELEVVEGMKLSRGYISSYFITDQKTQKCELENPLIIIHDKKISDMNSLVRILELAINKNRPLLVVAEDVESDSLALLILNKHHAGLKVCAIKAPGFGDNRRANLDDLAILTGGEVISDERGLTLDKIKVEMLGTAKRLRTAMEKSTAMFDREKAQERLSKLSGGVAVFKVGGASEAEVGERKDRVTDALNATRAAVEEGIVPGGGVALLYGIKVLQNLQTQNEDQKRGIQIIQDALKSPTLTITSNAGYDGSLVLGRLLEQDDDNLGFDASKGTYVDMVKAGIIDPLKVVRTALVDAASISLLLTTTEASVLENPDEKKPPSRMPDIDNDY >EOY28301 pep chromosome:Theobroma_cacao_20110822:6:24870013:24870977:1 gene:TCM_029909 transcript:EOY28301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTRSSACALCLAYQCQYSVTTASRKNQVPPRIWHMQQRVESYVTPPFLPPCGCGLLSIFEEKNHYITEFIICLNECPRGEDKMVNANPEHLLMLSGEF >EOY27393 pep chromosome:Theobroma_cacao_20110822:6:21548249:21552620:-1 gene:TCM_029251 transcript:EOY27393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin methylesterase 3 isoform 1 MTRIKELLTKISDSGKHISSTKKHKKVILAVFGSLVIVAAIIGIVAGVNSRNNSDETDTSHHAIVKSACSITRYPDLCFSEVAAAPAATSKKVKSKKDVIELSLNITTTAVEHNYFKIKKLLAKKGLTEREKTALHDCLETIDETLDELHEAVEDLHEYPNKKSLTQHADDLKTLMSAAMTNQETCLDGFSHDAADKKIRKVLIDGEKYVERMCSNALAMIKNMTDTDIANEMLKTSSNRKLKEQENGIAWPEWLSAGDRRLLQSSSVTPDVVVAADGSGNYKTVSEAVAKAPQRSSKRYVIKIKAGVYRENVEVPKKKTNIMFLGDGRTETIITGSRNVVDGSTTFHSATVAVVGERFLARDITFQNTAGPSKHQAVALRVGADLSAFYECDMLAYQDTLYAHSNRQFYVNCIIAGTVDFIFGNAAAVFQNCDIHARRPNSGQKNMVTAQGRTDPNQNTGIVIQKCRIGATSDLQSVRSNFPTYLGRPWKEYSRTVVMQ >EOY27392 pep chromosome:Theobroma_cacao_20110822:6:21545519:21551921:-1 gene:TCM_029251 transcript:EOY27392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin methylesterase 3 isoform 1 MTRIKELLTKISDSGKHISSTKKHKKVILAVFGSLVIVAAIIGIVAGVNSRNNSDETDTSHHAIVKSACSITRYPDLCFSEVAAAPAATSKKVKSKKDVIELSLNITTTAVEHNYFKIKKLLAKKGLTEREKTALHDCLETIDETLDELHEAVEDLHEYPNKKSLTQHADDLKTLMSAAMTNQETCLDGFSHDAADKKIRKVLIDGEKYVERMCSNALAMIKNMTDTDIANEMLKTSSNRKLKEQENGIAWPEWLSAGDRRLLQSSSVTPDVVVAADGSGNYKTVSEAVAKAPQRSSKRYVIKIKAGVYRENVEVPKKKTNIMFLGDGRTETIITGSRNVVDGSTTFHSATVAVVGERFLARDITFQNTAGPSKHQAVALRVGADLSAFYECDMLAYQDTLYAHSNRQFYVNCIIAGTVDFIFGNAAAVFQNCDIHARRPNSGQKNMVTAQGRTDPNQNTGIVIQKCRIGATSDLQSVRSNFPTYLGRPWKEYSRTVVMQSVISDVIHPAGWHEWSESFALRTLFYGEYQNTGAGAGTSGRVKWEGYKVITSASEAQAYSPGRFIAGGSWLSSTGFPFSLGL >EOY28098 pep chromosome:Theobroma_cacao_20110822:6:24229035:24232985:-1 gene:TCM_029765 transcript:EOY28098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apoptosis inhibitory protein 5 (API5) isoform 2 MTDASEEAKQIEKLYEFGERLNEAKDKSQNVKDYEGIIDATKTSLKAKQLAAQLIPRFFKFFPNLSSRALNAHFDLIEEEDLAVRVQAIRGLPLFCKDTKEYISKIVDILGQLLTAEEIVERDAVHKALMSVLRQDVKESLTALFKHIWSVEDPSQDDTIRDKVLCFIRDKVFPLKAELLRPQEEMERHITDLIKKSLGDVTGAEFRMFMDFLKSLSIFGEKAPPERLKELIGIIEGQADLDAQFDVSDADHIDRLISCLFMALPFFVRGASGSKFLNYINKHIIPVFDKLPEERKLDLLKALAEISPYTTPQDSRQILPSVVQLLKKYMPRRKTGEEMNFTYVECLLFAFHHLAHKAPNALNSLC >EOY28097 pep chromosome:Theobroma_cacao_20110822:6:24226792:24232836:-1 gene:TCM_029765 transcript:EOY28097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apoptosis inhibitory protein 5 (API5) isoform 2 MTDASEEAKQIEKLYEFGERLNEAKDKSQNVKDYEGIIDATKTSLKAKQLAAQLIPRFFKFFPNLSSRALNAHFDLIEEEDLAVRVQAIRGLPLFCKDTKEYISKIVDILGQLLTAEEIVERDAVHKALMSVLRQDVKESLTALFKHIWSVEDPSQDDTIRDKVLCFIRDKVFPLKAELLRPQEEMERHITDLIKKSLGDVTGAEFRMFMDFLKSLSIFGEKAPPERLKELIGIIEGQADLDAQFDVSDADHIDRLISCLFMALPFFVRGASGSKFLNYINKHIIPVFDKLPEERKLDLLKALAEISPYTTPQDSRQILPSVVQLLKKYMPRRKTGEEMNFTYVECLLFAFHHLAHKAPNALNSLCGYKIVTGQPSDRLGEDFSEFYKDFTERLSNVEDLTRATMKKLTQGMAEHNKAMAAAKSDEAKDSIKTQKQNTTTGLRTCNNILAMTKPLHSKTPSFIGDKSVNLSWKEVIKPSVPSVSTSTGVKRPANASNGSNNMSTKKGRGAGGMQNQLVNRALEGISYGGRGGGGRGRGRGWGGRGRGRGYR >EOY28916 pep chromosome:Theobroma_cacao_20110822:6:26868084:26871915:-1 gene:TCM_030384 transcript:EOY28916 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MRDRATGRARGFGFVVFADPAIAERVVMEKHMIDGRTVEAKKAVPRDDQNILNKSNVSIHGSPGPARTKKIFVGGLASTVTESDFKRYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLQRTFHELNGKMVEVKRAVPKESSPGPSRNQLGGYNFGLSRVNSFLNGYMQGYNTSSVGGYGFRMEGRFSPVTAGRSGFPPLSPGYGMGLNFESNLSPSYGGSSNLGSNLSYGRGLYTSFNGNSNRFGSPFGYGGGSGGNSSILNSAGRNMWGNGSFNYATNSTTSSAIVGSGSGNSGVSSFGSIGALWDSSPSLGQGGGAASAYNGGNLRYGSGDFGVGSGGIGYGRNSNVAQLSTHGASNGGYDGAYANIYENGSFYGDSTWRSSPSDLERSSSFGFGLGDASSDVMTNNSADYIGGYSVTNRQANRGIAA >EOY28917 pep chromosome:Theobroma_cacao_20110822:6:26868084:26871940:-1 gene:TCM_030384 transcript:EOY28917 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MEMELGKLFIGGISWDTNEDRLREYFQAFGDVVEAVIMRDRATGRARGFGFVVFADPAIAERVVMEKHMIDGRTVEAKKAVPRDDQNILNKSNVSIHGSPGPARTKKIFVGGLASTVTESDFKRYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLQRTFHELNGKMVEVKRAVPKESSPGPSRNQLGGYNFGLSRVNSFLNGYMQGYNTSSVGGYGFRMEGRFSPVTAGRSGFPPLSPGYGMGLNFESNLSPSYGGSSNLGSNLSYGRGLYTSFNGNSNRFGSPFGYGGGSGGNSSILNSAGRNMWGNGSFNYATNSTTSSAIVGSGSGNSGVSSFGSIGALWDSSPSLGQGGGAASAYNGGNLRYGSGDFGVGSGGIGYGRNSNVAQLSTHGASNGGYDGAYANIYENGSFYGDSTWRSSPSDLERSSSFGFGLGDASSDVMTNNSADYIGGYSVTNRQANRGFSLPGLIKM >EOY28914 pep chromosome:Theobroma_cacao_20110822:6:26868908:26871940:-1 gene:TCM_030384 transcript:EOY28914 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MEMELGKLFIGGISWDTNEDRLREYFQAFGDVVEAVIMRDRATGRARGFGFVVFADPAIAERVVMEKHMIDGRTVEAKKAVPRDDQNILNKSNVSIHGSPGPARTKKIFVGGLASTVTESDFKRYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLQRTFHELNGKMVEVKRAVPKESSPGPSRNQLGGYNFGLSRVNSFLNGYMQGYNTSSVGGYGFRMEGRFSPVTAGRSGFPPLSPGYGMGLNFESNLSPSYGGSSNLGSNLSYGRGLYTSFNGNSNRFGSPFGYGGGSGGNSSILNSAGRNMWGNGSFNYATNSTTSSAIVGSGSGNSGVSSFGSIGALWDSSPSLGQGGGAASAYNGGNLRYGSGDFGVGSGGIGYGRNSNVAQLSTHGASNGGYDGAYANIYENGSFYGDSTWRSSPSDLERSSSFGFGLGDASSDVMTNNSADYIGGYSVTNRQANRGIAA >EOY28915 pep chromosome:Theobroma_cacao_20110822:6:26869556:26871940:-1 gene:TCM_030384 transcript:EOY28915 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MEMELGKLFIGGISWDTNEDRLREYFQAFGDVVEAVIMRDRATGRARGFGFVVFADPAIAERVVMEKHMIDGRTVEAKKAVPRDDQNILNKSNVSIHGSPGPARTKKIFVGGLASTVTESDFKRYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLQRTFHELNGKMVEVKRAVPKESSPGPSRNQLGGYNFGLSRVNSFLNGYMQGYNTSSVGGYGFRMEGRFSPVTAGRSGFPPLSPGYGMGLNFESNLSPSYGGSSNLGSNLSYGRGLYTSFNGNSNRFGSPFGYGGGSGGNSSILNSAGRNMWGNGSFNYATNSTTSSAIVGSGSGNSGVSSFGSIGALWDSSPSLGQGGGAASAYNGGNLRYGSGDFGVGSGGIGYGRNSNVAQLSTHGASNGGYDGAYANIYENGSFYGDSTWRSSPSDLERSSSFGFGLGDASSDVMTNNSADYIGGYSVTNRQANRGMTSLLCRFTFLYSPLFILPCSCTY >EOY28913 pep chromosome:Theobroma_cacao_20110822:6:26868904:26872938:-1 gene:TCM_030384 transcript:EOY28913 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 3 MEMELGKLFIGGISWDTNEDRLREYFQAFGDVVEAVIMRDRATGRARGFGFVVFADPAIAERVVMEKHMIDGRTVEAKKAVPRDDQNILNKSNVSIHGSPGPARTKKIFVGGLASTVTESDFKRYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLQRTFHELNGKMVEVKRAVPKESSPGPSRNQLGGYNFGLSRVNSFLNGYMQGYNTSSVGGYGFRMEGRFSPVTAGRSGFPPLSPGYGMGLNFESNLSPSYGGSSNLGSNLSYGRGLYTSFNGNSNRFGSPFGYGGGSGGNSSILNSAGRNMWGNGSFNYATNSTTSSAIVGSGSGNSGVSSFGSIGALWDSSPSLGQGGGAASAYNGGNLRYGSGDFGVGSGGIGYGRNSNVAQLSTHGASNGGYDGAYANIYENGSFYGDSTWRSSPSDLERSSSFGFGLGDASSDVMTNNSADYIGGYSVTNRQANRGIAA >EOY26847 pep chromosome:Theobroma_cacao_20110822:6:18724176:18727612:-1 gene:TCM_028828 transcript:EOY26847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pex2/Pex12 N-terminal domain-containing protein / zinc finger family protein isoform 2 TSQGFLAAFSETGQSPGKKRNHLLIFKASTSNKSHQIIFPKKTHIITHQMISVRSNPSPPALPQEDAWITSYQKLLPHWHSLSLSHQYSTLPISISRVNQFDAARLDIEMSAMLKEQLVKVFSLMKPGMLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAMEIRRKVRTGLEGPGLTVAQKMWYCIATVGGQYIWARLQSFSAFRRWGDSEQRPLARRAWGLMQRIEGLYKAASFGNLLIFLYTGRYRNLIERALRARLVYESPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSTVKSFLHPFSKDKSSSSTEDDSTCPICRASPTLPY >EOY26846 pep chromosome:Theobroma_cacao_20110822:6:18722832:18727657:-1 gene:TCM_028828 transcript:EOY26846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pex2/Pex12 N-terminal domain-containing protein / zinc finger family protein isoform 2 MISVRSNPSPPALPQEDAWITSYQKLLPHWHSLSLSHQYSTLPISISRVNQFDAARLDIEMSAMLKEQLVKVFSLMKPGMLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAMEIRRKVRTGLEGPGLTVAQKMWYCIATVGGQYIWARLQSFSAFRRWGDSEQRPLARRAWGLMQRIEGLYKAASFGNLLIFLYTGRYRNLIERALRARLVYESPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSTVKSFLHPFSKDKSSSSTEDDSTCPICRASPTLPYLALPCQHRYCYYCLRTRCAAAPSFRCSRCSEPVVAMQRHGSVVEHRTQSQ >EOY25500 pep chromosome:Theobroma_cacao_20110822:6:264175:267462:1 gene:TCM_026910 transcript:EOY25500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root FNR 1 isoform 1 MSVQQASKSKVAVSPLELENAKEPPLNLFKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPHNVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDHSKNGVCSNFLCNTKPGDKVQITGPSGKIMLLPEDNPNANHIMIATGTGVAPFRGYLRRMFMEDVTFKFRGLAWLFLGVANADSLLYDDEFTKYLQDFPDHFRYDGALSREQKNKSGGKMYVQDKIEEYSDEIFKLLDDGAHIYFCGLKGMMPGIQETLKRVAEKRGENWDEKLSQLKKKKQWHVEVY >EOY25499 pep chromosome:Theobroma_cacao_20110822:6:263648:267457:1 gene:TCM_026910 transcript:EOY25499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root FNR 1 isoform 1 MAHSAVSQVSVAVPIGSDISLRRSVSKFLTQKHLALLQRNSISFGDKSWVSTLSLDLKSRNIQKSNQYIVCMSVQQASKSKVAVSPLELENAKEPPLNLFKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPHNVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDHSKNGVCSNFLCNTKPGDKVQITGPSGKIMLLPEDNPNANHIMIATGTGVAPFRGYLRRMFMEDVTFKFRGLAWLFLGVANADSLLYDDEFTKYLQDFPDHFRYDGALSREQKNKSGGKMYVQDKIEEYSDEIFKLLDDGAHIYFCGLKGMMPGIQETLKRVAEKRGENWDEKLSQLKKKKQWHVEVY >EOY26737 pep chromosome:Theobroma_cacao_20110822:6:17528175:17536137:1 gene:TCM_028695 transcript:EOY26737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVREKPKTLQKCPSITEKCKIPPGQVQCKRITCSGDPSHLKDKNSELLSNLKCKKLTDFKWYKDMFMTRVMQRFDNNQPSWKKNFLTGLLTLLGKKVINQIKETYKGILPYENLTYGELISFPQKERLKICQDLKLQKQIKKERKLYTKN >EOY27441 pep chromosome:Theobroma_cacao_20110822:6:21742058:21745698:1 gene:TCM_029286 transcript:EOY27441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad23 UV excision repair protein family isoform 2 MKLTVKTLKGSHFEIRVQPNDTVMAVKKNIEDAQGKDNYPCGQQLLIHNGKVLKDETTLADNKVSEDGFLVVMLSKSKSLGSAGTSSAQPASSTPSVTPPASNPAPAPEAPAQAPTSKGTTSASDTATANPNTDTYSQAASNLVAGNNLEQTIQQLMDMGGGNWDKETVTRALRAAYNNPERAVDYLYSGIPESAEVAVPVGRFPTSQTTETGAAPAAPVSGAPNSSPLNMFPQETLSGAGAGGLGSLDFLRNNQQFQALRSMVQSNPQILQELGKQNPQLLRLIQEHHAEFLQLINEPLEGS >EOY27440 pep chromosome:Theobroma_cacao_20110822:6:21741808:21746439:1 gene:TCM_029286 transcript:EOY27440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad23 UV excision repair protein family isoform 2 MKLTVKTLKGSHFEIRVQPNDTVMAVKKNIEDAQGKDNYPCGQQLLIHNGKVLKDETTLADNKVSEDGFLVVMLSKSKSLGSAGTSSAQPASSTPSVTPPASNPAPAPEAPAQAPTSKGTTSASDTATANPNTDTYSQAASNLVAGNNLEQTIQQLMDMGGGNWDKETVTRALRAAYNNPERAVDYLYSGIPESAEVAVPVGRFPTSQTTETGAAPAAPVSGAPNSSPLNMFPQETLSGAGAGGLGSLDFLRNNQQFQALRSMVQSNPQILQPMLQELGKQNPQLLRLIQEHHAEFLQLINEPLEGSEGDIFDQAEQDMPHAINVTPAEQEAIERLEAMGFDRALVIEAFLACDRNEELAANYLLENAGDFED >EOY26241 pep chromosome:Theobroma_cacao_20110822:6:6341075:6345023:-1 gene:TCM_027700 transcript:EOY26241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRGVTSTTIVLLPKKIDAMQWSDFQLISLCIILNKTIIKILANRLAKILSSIITENQSGLKSCFITHNNALSSRKQIIVNVIGFVHKALPIAYLGASLNKGLTKVILFASLVDKIRDKLLGWENKILSSGDLITLLQSVLFSMSIYLLQNISQVIWAWAYSGNYSKPGHHDDMTC >EOY27958 pep chromosome:Theobroma_cacao_20110822:6:23750782:23752490:1 gene:TCM_029665 transcript:EOY27958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho termination factor, putative isoform 2 MAAAVLSFQSISHFHSCFPVNKQLKLRKPVLSLTEIADKSRPFCSLQVTVSSITSDGNRRGSRPRKSSASGRKKEDESKKPPVGNEAPNSSNQEDIIALFRRIQSSISKGETGSAKAKSLSSSKDKSTAESVLDVLRESRKNVRGIRSNKGGKASRWKSGVPKKIEGMGKKANAATQDFKLLRPPSNFVKRSPVPYPTAPRVKGLEQNNEVVATNEGLKLANIEKLKLTELKDLAKARGIKGYSRFKKSELVRLLRS >EOY27957 pep chromosome:Theobroma_cacao_20110822:6:23750764:23752670:1 gene:TCM_029665 transcript:EOY27957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho termination factor, putative isoform 2 MAAAVLSFQSISHFHSCFPVNKQLKLRKPVLSLTGNNFCDATFFPESQSLCYSFFVFLVVPSVFEGDRSSASKVVLVWVLFCLNNDPLIVTLRCSCKEVPSVPLCLLLPMSWLLPWNLLNLTEIADKSRPFCSLQVTVSSITSDGNRRGSRPRKSSASGRKKEDESKKPPVGNEAPNSSNQEDIIALFRRIQSSISKGETGSAKAKSLSSSKDKSTAESVLDVLRESRKNVRGIRSNKGGKASRWKSGVPKKIEGMGKKANAATQDFKLLRPPSNFVKRSPVPYPTAPRVKGLEQNNEVVATNEGLKLANIEKLKLTELKDLAKARGIKGYSRFKKSELVRLLRS >EOY28214 pep chromosome:Theobroma_cacao_20110822:6:24598886:24599668:1 gene:TCM_029845 transcript:EOY28214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ralf-like 4, putative MGSKVWLVFLLLALAMVAESTTFHEASWGLTHFGNDELSSCIDGQCDVEDVSQTLMDSEINRRQLAQKRYISYGALKANSVPCNRRGNSYYNCQNRKRANPYNRGCSAITHCYRYTS >EOY27103 pep chromosome:Theobroma_cacao_20110822:6:20158814:20163610:-1 gene:TCM_029034 transcript:EOY27103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane nine 7 isoform 4 MGNPLSVKVNKLSSTKTQLPYDYYYLNYCKPSKIVNSAENLGEVLRGDRIENSIYTFDMREDQPCKAVCRKKLDAESAKNFKEKIDDEYRVNMILDNLPVAVLRQRRDGSQSTTYEHGFRVGFKGNYAGSKEEKYFINNHLSFRVMFHRDTETDAARIVGFEVTPNSINHEYKEWDEKNPQITTCNKDTKNLIQGSTVPQEVDVGKEVVFTYDVTFKESDIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDIANYNQLETQDEAQEETGWKLVHGDAFRAPINYGLLCVYVGTGVQILAMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGIFAGYSSARLYKMFKGTEWKRNTLKTAFMFPGILFAVFFVLNALIWGEQSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKKPAIEDPVKTNKIPRQIPEQAWYMKPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILIITCAEITIVLCYFQLCSEDYHWWWRSYLTAGSSALYLFLYSVFYFFTKLEITKLVSGILYFGYMVIVSYAFFVLTGTIGFYACFWFVRKIYSSVKID >EOY27104 pep chromosome:Theobroma_cacao_20110822:6:20159488:20163610:-1 gene:TCM_029034 transcript:EOY27104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane nine 7 isoform 4 MCFLFILIIYMPLQSKEEKYFINNHLSFRVMFHRDTETDAARIVGFEVTPNSINHEYKEWDEKNPQITTCNKDTKNLIQGSTVPQEVDVGKEVVFTYDVTFKESDIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDIANYNQLETQDEAQEETGWKLVHGDAFRAPINYGLLCVYVGTGVQILAMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGIFAGYSSARLYKMFKGTEWKRNTLKTAFMFPGILFAVFFVLNALIWGEQSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKKPAIEDPVKTNKIPRQIPEQAWYMKPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILIITCAEITIVLCYFQLCSEDYHWWWRSYLTAGSSALYLFLYSVFYFFTKLEITKLVSGILYFGYMVIVSYAFFVLTGT >EOY27105 pep chromosome:Theobroma_cacao_20110822:6:20159874:20163954:-1 gene:TCM_029034 transcript:EOY27105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane nine 7 isoform 4 MGTKRKTLFFAFLLLLSTAHSFYLPGVAPRDFQMGNPLSVKVNKLSSTKTQLPYDYYYLNYCKPSKIVNSAENLGEVLRGDRIENSIYTFDMREDQPCKAVCRKKLDAESAKNFKEKIDDEYRVNMILDNLPVAVLRQRRDGSQSTTYEHGFRVGFKGNYAGSKEEKYFINNHLSFRVMFHRDTETDAARIVGFEVTPNSINHEYKEWDEKNPQITTCNKDTKNLIQGSTVPQEVDVGKEVVFTYDVTFKESDIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDIANYNQLETQDEAQEETGWKLVHGDAFRAPINYGLLCVYVGTGVQILAMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGIFAGYSSARLYKMFKGTEWKRNTLKTAFMFPGILFAVFFVLNALIWGEQSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKKPAIEDPVKTNKIPRQIPEQA >EOY27106 pep chromosome:Theobroma_cacao_20110822:6:20159430:20163954:-1 gene:TCM_029034 transcript:EOY27106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane nine 7 isoform 4 MCFLFILIIYMPLQSKEEKYFINNHLSFRVMFHRDTETDAARIVGFEVTPNSINHEYKEWDEKNPQITTCNKDTKNLIQGSTVPQEVDVGKEVVFTYDVTFKESDIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDIANYNQLETQDEAQEETGWKLVHGDAFRAPINYGLLCVYVGTGVQILAMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGIFAGYSSARLYKMFKGTEWKRNTLKTAFMFPGILFAVFFVLNALIWGEQSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKKPAIEDPVKTNKIPRQIPEQAWYMKPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILIITCAEITIVLCYFQLCSEDYHWWWRSYLTAGSSALYLFLYSVFYFFTKLEITKLVSGILYFGYMVIVSYAFFVLTGTIGFYACFWFVRKIYSSVKID >EOY27102 pep chromosome:Theobroma_cacao_20110822:6:20158687:20163877:-1 gene:TCM_029034 transcript:EOY27102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane nine 7 isoform 4 MGTKRKTLFFAFLLLLSTAHSFYLPGVAPRDFQMGNPLSVKVNKLSSTKTQLPYDYYYLNYCKPSKIVNSAENLGEVLRGDRIENSIYTFDMREDQPCKAVCRKKLDAESAKNFKEKIDDEYRVNMILDNLPVAVLRQRRDGSQSTTYEHGFRVGFKGNYAGSKEEKYFINNHLSFRVMFHRDTETDAARIVGFEVTPNSINHEYKEWDEKNPQITTCNKDTKNLIQGSTVPQEVDVGKEVVFTYDVTFKESDIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDIANYNQLETQDEAQEETGWKLVHGDAFRAPINYGLLCVYVGTGVQILAMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGIFAGYSSARLYKMFKGTEWKRNTLKTAFMFPGILFAVFFVLNALIWGEQSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKKPAIEDPVKTNKIPRQIPEQAWYMKPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILIITCAEITIVLCYFQLCSEDYHWWWRSYLTAGSSALYLFLYSVFYFFTKLEITKLVSGILYFGYMVIVSYAFFVLTGTIGFYACFWFVRKIYSSVKID >EOY28673 pep chromosome:Theobroma_cacao_20110822:6:26198334:26201669:-1 gene:TCM_030208 transcript:EOY28673 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif-containing protein, putative isoform 1 MSSQNQGASLCSSADTPMKRKRGRPRKDESVQGDSTPVTPASDILMKNKQSMGTSNTASDEMVGQMVSGVIEGSFDAGYLLHVKVGDTNTHLRGVVFLPGRFTPVTAANDVAPHAKMYERKEISIPFVNPQSQHHAVGPPSGKSEKPVENKNDAPNLPDQSLHTGLQSGGTTASESKSASILIPPASNLQINDTGLPLGQKVLKEQILGSRLQNDKAVVQDQSLEGFEALKLMKGPNIDVEAPKASEPVSATFTSTLPATDTVILKPQVEHQALSLDLKPQELIHDDVKSLDFGNNQTPKFPEPEPQSVACEPTGIKMFEKQASSRQDKDISQDTQPEHAKKIICGNDKSRMDGLSTSDTATTTVTVPCSVSTSLPIMIFGAETIPSESRPGAEESDVPRRVVSEVSSSSMAANTNSVESNAKDAIPPAQS >EOY28672 pep chromosome:Theobroma_cacao_20110822:6:26198523:26201724:-1 gene:TCM_030208 transcript:EOY28672 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif-containing protein, putative isoform 1 MSSQNQGASLCSSADTPMKRKRGRPRKDESVQGDSTPVTPASDILMKNKQSMGTSNTASDEMVGQMVSGVIEGSFDAGYLLHVKVGDTNTHLRGVVFLPGRFTPVTAANDVAPHAKMYERKEISIPFVNPQSQHHAVGPPSGKSEKPVENKNDAPNLPDQSLHTGLQSGGTTASESKSASILIPPASNLQINDTGLPLGQKVLKEQILGSRLQNDKAVVQDQSLEGFEALKLMKGPNIDVEAPKASEPVSATFTSTLPATDTVILKPQVEHQALSLDLKPQELIHDDVKSLDFGNNQTPKFPEPEPQSVACEPTGIKMFEKQASSRQDKDISQDTQPEHAKKIICGNDKSRMDGLSTSDTATTTVTVPCSVSTSLPIMIFGAETIPSESRPGAEESDVPRRVVSEVSSSSMAANTNSVESNAKDAIPPAQS >EOY28675 pep chromosome:Theobroma_cacao_20110822:6:26205680:26206273:1 gene:TCM_030210 transcript:EOY28675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANLRRSYAYSKVDKEDPEEIIHRRAQFLIYKVLEQADSKRKPSFLRIRLCRLKVKIGRRLKKLRKCALVSISAARGGAYKQVIDQLKTWRRLFSRGGGTISSLPRPLLA >EOY25674 pep chromosome:Theobroma_cacao_20110822:6:1144290:1146663:1 gene:TCM_027058 transcript:EOY25674 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MKEQPAKMKAAASAILSALSIFFISLSLASSQSIQDKFVQCLCKQSQSSNPIAGAIYTPNNASFSSVLESYVRNLRFMTPETPKPLVIVTALHESHVQATVVCSKSVGLQIRIRSGGHDYEGLSYVSSVPFVILDMFNLRGIDINVRDESAWVQAGASLGEVYYRIAEKSPVHGFPAGVCPTLGVGGHFTGGGYGNMMRKYGLSVDNIIDAKVVDASGRILDRASMGEDLFWAIRGGGAASFCVVLSWKIKLVPVPETVTVFRVDKTLEEGATDLVVKWQQVADRLDDDLFIRLMLSPEKIGSPPGQNTIQASFVAMFLGQTERLLQLMNESFPELGLQKKDCIKMRWVESVLFWINYPNVSSTDVLLQRIPKSESFLKRKSDYVQQPIPKAGLEAIWKVMMEAENVGMNWNPYGGKMSEILPTATPFPHRAGNIFKIQYGSNWKKPGNEVADNFLNWTRKLYEAMTPYVSKNPRESFLNYRDIDIGVNSNGTLEEGRVYGSKYFKENFDRLVDVKTQVDPDNFFSYEQSIPTRSSDCRG >EOY28583 pep chromosome:Theobroma_cacao_20110822:6:25916287:25971485:-1 gene:TCM_030138 transcript:EOY28583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYCWTKTWLPRYQISAWQGFFVKIRIQLTLKELWEHMDTWLQNMQWRDFSL >EOY28888 pep chromosome:Theobroma_cacao_20110822:6:26791174:26793136:1 gene:TCM_030363 transcript:EOY28888 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB complex BAF60b domain-containing protein, putative isoform 1 MVSDQEIARGLEALLRQSDPNATTTLNGVVQQLEAKLGLDLSHKAGFIRDQINLLHPRQPQAQPPPKDHFTLQHHPQFHSHQHQQFPLHFALQHHSQFPSHDLNFRQHAPQPQPQPRAPLTALPPPQVQPQLQQQQQQQQQQLQLQPQPQPAVTKAEVFPQNAAAIATSEVPKESAPVGAKRRGGPGGLNKVCSVSPALQAIVGEPALPRTEIVKQLWAYIRKNSLQDPSNKRKIICDEALRLVFETDCTDMFKMNKLLAKHITPLEPSSIF >EOY28887 pep chromosome:Theobroma_cacao_20110822:6:26788743:26794779:1 gene:TCM_030363 transcript:EOY28887 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB complex BAF60b domain-containing protein, putative isoform 1 MVSDQEIARGLEALLRQSDPNATTTLNGVVQQLEAKLGLDLSHKAGFIRDQINLLHPRQPQAQPPPKDHFTLQHHPQFHSHQHQQFPLHFALQHHSQFPSHDLNFRQHAPQPQPQPRAPLTALPPPQVQPQLQQQQQQQQQQLQLQPQPQPAVTKAEVFPQNAAAIATSEVPKESAPVGAKRRGGPGGLNKVCSVSPALQAIVGEPALPRTEIVKQLWAYIRKNSLQDPSNKRKIICDEALRLVFETDCTDMFKMNKLLAKHITPLEPSKESSQAKRVKVDVESTTESVERGPNPVIISEALAKFLGAGGREMLATEAKRRVWEYIEVNRLEDPLNSTVVLCDAKLRELLGCESIPVMGIDDTLLRHHLFKHS >EOY28886 pep chromosome:Theobroma_cacao_20110822:6:26790965:26794848:1 gene:TCM_030363 transcript:EOY28886 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB complex BAF60b domain-containing protein, putative isoform 1 MVSDQEIARGLEALLRQSDPNATTTLNGVVQQLEAKLGLDLSHKAGFIRDQINLLHPRQPQAQPPPKDHFTLQHHPQFHSHQHQQFPLHFALQHHSQFPSHDLNFRQHAPQPQPQPRAPLTALPPPQVQPQLQQQQQQQQQQLQLQPQPQPAVTKAEVFPQNAAAIATSEVPKESAPVGAKRRGGPGGLNKVCSVSPALQAIVGEPALPRTEIVKQLWAYIRKNSLQDPSNKRKIICDEALRLVFETDCTDMFKMNKLLAKHITPLEPSKESSQAKRVKVDVESTTESVERGPNPVIISEALAKFLGAGGREMLATEAKRRVWEYIEVNRLEDPLNSTVVLCDAKLRELLGCESIPVMGIDDTLLRHHLFKHS >EOY25743 pep chromosome:Theobroma_cacao_20110822:6:1519670:1538674:1 gene:TCM_027116 transcript:EOY25743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic spindle assembly checkpoint protein MAD1 isoform 3 MILRTPPPKRQRGEAIVHESPSTVAASEGRLVIYEDNPPAALPPESSQQPSDHLLCTYQCRQMVKAEFLYTLSNAEKQICDYKSKLEALNENFSKAEAERKKFRDQFLYAEQELAAAKGREQMLHAQLLNEVDDSQERFKNQLESYNELQKKLQNEMNLRKKAESSAASAEEKATVLEGKLSQLSQSIEREKKRLHNELAQLKGESKLSVSRISADLEKMEFRANNAEKESDILKEQLEDLKKQFNECLHQKSELEKKLSSFSFPEVTSTESNILIKHLQEELRNYESEVREARKLKSSHENIELLKAKLLEEKGRRERAESELSKLQELQISFNKLEDELSSWKLMMKDIPGVSCPEDIPVKFATLQKEVIDSTIKIGDANARLKQIEVALDAAQLAKHDTETDAMLAKEKAEVLKSEVKRIELMLSVVTEERDKLRNVIDELKRPKNEEAGDEAASGNVVQELESSLAKKESCIKELQSNLHEQKEVNDRQHNEIKLLHDRLNNEARRIKSLERESDRLCSEISLLESKLGHGDYSAANTKVLRMVNTLAVDNEAKQTIEALQTELQKTKEKLQALEELKSQSGDTGKLVDSYISEKIMKLKEQIATLEKREERYKTVFADRISVFRRACCELFGYKIVMDEHQRPNGIPVTHFTLQSIYAQSDDEKLEFEYESGNTNILANDYTAHPEISHQVDIFVRKMNSIPAFTANLTVESFNKRTLS >EOY25745 pep chromosome:Theobroma_cacao_20110822:6:1519853:1537901:1 gene:TCM_027116 transcript:EOY25745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic spindle assembly checkpoint protein MAD1 isoform 3 MVKAEFLYTLSNAEKQICDYKSKLEALNENFSKAEAERKKFRDQFLYAEQELAAAKGREQMLHAQLLNEVDDSQERFKNQLESYNELQKKLQNEMNLRKKAESSAASAEEKATVLEGKLSQLSQSIEREKKRLHNELAQLKGESKLSVSRISADLEKMEFRANNAEKESDILKEQLEDLKKQFNECLHQKSELEKKLSSFSFPEVTSTESNILIKHLQEELRNYESEVREARKLKSSHENIELLKAKLLEEKGRRERAESELSKLQELQISFNKLEDELSSWKLMMKDIPGVSCPEDIPVKFATLQKEVIDSTIKIGDANARLKQIEVALDAAQLAKHDTETDAMLAKEKAEVLKSEVKRIELMLSVVTEERDKLRNVIDELKRPKNEEAGDEAASGNVVQELESSLAKKESCIKELQSNLHEQKEVNDRQHNEIKLLHDRLNNEARRIKSLERESDRLCSEISLLESKLGHGDYSAANTKVLRMVNTLAVDNEAKQTIEALQTELQKTKEKLQALEELKSQSGIKHCNG >EOY25744 pep chromosome:Theobroma_cacao_20110822:6:1519853:1537901:1 gene:TCM_027116 transcript:EOY25744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic spindle assembly checkpoint protein MAD1 isoform 3 EAIVHESPSTVAASEGRLVIYEDNPPAALPPESSQQPSDHLLCTYQCRQMVKAEFLYTLSNAEKQICDYKSKLEALNENFSKAEAERKKFRDQFLYAEQELAAAKGREQMLHAQLLNEVDDSQERFKNQLESYNELQKKLQNEMNLRKKAESSAASAEEKATVLEGKLSQLSQSIEREKKRLHNELAQLKGESKLSVSRISADLEKMEFRANNAEKESDILKEQLEDLKKQFNECLHQKSELEKKLSSFSFPEVTSTESNILIKHLQEELRNYESEVREARKLKSSHENIELLKAKLLEEKGRRERAESELSKLQELQISFNKLEDELSSWKLMMKDIPGVSCPEDIPVKFATLQKEVIDSTIKIGDANARLKQIEVALDAAQLAKHDTETDAMLAKEKAEVLKSEVKRIELMLSVVTEERDKLRNVIDELKRPKNEEAGDEAASGNVVQELESSLAKKESCIKELQSNLHEQKEVNDRQHNEIKLLHDRLNNEARRIKSLERESDRLCSEISLLESKLGHGDYSAANTKVLRMVNTLAVDNEAKQTIEALQTELQKTKEKLQALEELKSQSGDTGKLVDSYISEKIMKLKEQIATLEKREERYKTVFADRISVFRRACCELFGYKVDIFVRKMN >EOY25807 pep chromosome:Theobroma_cacao_20110822:6:2002604:2008292:1 gene:TCM_027169 transcript:EOY25807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CPG-binding domain 10 MESLEVISVELPAPASWKKMYFPKKVGSPRKTEIMFVAPTGEEINNRKQLEQYLKSHPGNPPIAEFDWGTGETPRRSARISEKAKATPTPEKEPPKKRGRRSLSAKKENKETEAVPEKAEGEKGSEKQDTQATVKETAEGEKEKDGEVKQVENGGKTKAADLTGDSDAKMEEPGEEEAGKDVKIPDTAKDDKKGEAAGTAENPTSMEFQEKPAEACCTDGTPTEEEKVEAPIEKVPQTQAEKENGTCEKQSENPETVTMEANGGVEKENPYGATFVPEGEAKEKQGVLEVSGKCNVQVEEKGKAVDGELIENGKVGQTDAPQPPGPAAISC >EOY28767 pep chromosome:Theobroma_cacao_20110822:6:26491052:26492448:-1 gene:TCM_030280 transcript:EOY28767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific Stig1 family protein MFDLIIATIAILAATSLADFDEKQTSLRGLSRFLAQQNLKANVTCDNFPRICRLTNSPGPDCCKKRCVNVKTDRMNCGMCGYKCKYGEICCKGQCVNASFDKRNCGGCNKRCKKGEFCVYGMCNYA >EOY28360 pep chromosome:Theobroma_cacao_20110822:6:25118730:25126354:1 gene:TCM_029950 transcript:EOY28360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKVWDLTAGKLLHDFKFHEGHIHSIEFHPLEFLLATGSADRTVKFWDLETFELIGSSRPEATGVRSITFHPDGRTLFCGLDDDLKVYSWEPVVCHDSVDMGWSTLGDLCINEGKLLGCSFYRNSVGVWVADVAHIEPYGRNDLTEKKFNLEGSYSLEKAGCGMRSTLGSRPMSPDYDTKEIKNIYVDTAGSNPPITSHKAGSLNSPKVVFPFDASEISNLAAEKQSPGTGVNAKSNGQSGNKSFITPSAVPQDSPLGKDSINSGKESITFSRTKPGMLLRPAHVRRPSVNKFEVEKLSAAVESGTLSNTKSALDSSMDLNSQTRLVSEDRARKSCDEKDSNIKSVTEKSEKMLSAKTPPNQETCNESLNCNKDSNSVKFVNGVAVVPGRTRTLVEKFERRERLNSSGDPTTNSTLPVVPETDSTPAIMKGGTQISEAQSISANDGKSAESLISRTKSTSGSEGSITGSQIPRRESNPTSDGIITGDQISRRELTVTPDRIITGNQISRKESTITPDRIIPGNQISRREPNSSPSGGIFTGSQISRRESTSASDGIIAGNQISRRESTSVSDGIIPRNSISRREATYANDRNGPSGSITENQISRRGLSSANDGNVTIIESQISKGESISANDGNITESLMQTHDSFLSTLRSRLTKLQVVRHFWEKNDIKGAIGALRKLPDH >EOY28356 pep chromosome:Theobroma_cacao_20110822:6:25119181:25124945:1 gene:TCM_029950 transcript:EOY28356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MAKRGYKLQEFVAHTANVNCLSMGKKTRRLLITGGDDHKVNVWAIGKPTSLMSLCGHTSPVESLAFDSAEVLVLAGASTGVIKLWDLEETKMVRGLTGHRSNCTAMEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKVWDLTAGKLLHDFKFHEGHIHSIEFHPLEFLLATGSADRTVKFWDLETFELIGSSRPEATGVRSITFHPDGRTLFCGLDDDLKVYSWEPVVCHDSVDMGWSTLGDLCINEGKLLGCSFYRNSVGVWVADVAHIEPYGRNDLTEKKFNLEGSYSLEKAGCGMRSTLGSRPMSPDYDTKEIKNIYVDTAGSNPPITSHKAGSLNSPKVVFPFDASEISNLAAEKQSPGTGVNAKSNGQSGNKSFITPSAVPQDSPLGKDSINSGKESITFSRTKPGMLLRPAHVRRPSVNKFEVEKLSAAVESGTLSNTKSALDSSMDLNSQTRLVSEDRARKSCDEKDSNIKSVTEKSEKMLSAKTPPNQETLAVVPGRTRTLVEKFERRERLNSSGDPTTNSTLPVVPETDSTPAIMKGGTQISEAQSISANDGKSAESLISRTKSTSGSEGSITGSQIPRRESNPTSDGIITGDQISRRELTVTPDRIITGNQISRKESTITPDRIIPGNQISRREPNSSPSGGIFTGSQISRRESTSASDGIIAGNQISRRESTSVSDGIIPRNSISRREATYANDRNGPSGSITENQISRRGLSSANDGNVTIIESQISKGESISANDGNITESLMQTHDSFLSTLRSRLTKLQVVRHFWEKNDIKGAIGALRKLPDHSVGIYGKISCASRCNQCSHGKNGDSHLRSVFWPNSCAYGLIR >EOY28361 pep chromosome:Theobroma_cacao_20110822:6:25118730:25126354:1 gene:TCM_029950 transcript:EOY28361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MAKRGYKLQEFVAHTANVNCLSMGKKTRRLLITGGDDHKVNVWAIGKPTSLMSLCGHTSPVESLAFDSAEVLVLAGASTGVIKLWDLEETKMVRGLTGHRSNCTAMEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKVWDLTAGKLLHDFKFHEGHIHSIEFHPLEFLLATGSADRTVKFWDLETFELIGSSRPEATGVRSITFHPDGRTLFCGLDDDLKVYSWEPVVCHDSVDMGWSTLGDLCINEGKLLGCSFYRNSVGVWVADVAHIEPYGRNDLTEKKFNLEGSYSLEKAGCGMRSTLGSRPMSPDYDTKEIKNIYVDTAGSNPPITSHKAGSLNSPKVVFPFDASEISNLAAEKQSPGTGVNAKSNGQSGNKSFITPSAVPQDSPLGKDSINSGKESITFSRTKPGMLLRPAHVRRPSVNKFEVEKLSAAVESGTLSNTKSALDSSMDLNSQTRLVSEDRARKSCDEKDSNIKSVTEKSEKMLSAKTPPNQETLAVVPGRTRTLVEKFERRERLNSSGDPTTNSTLPVVPETDSTPAIMKGGTQISEAQSISANDGKSAESLISRTKSTSGSEGSITGSQIPRRESNPTSDGIITGDQISRRELTVTPDRIITGNQISRKESTITPDRIIPGNQISRREPNSSPSGGIFTGSQISRRESTSASDGIIAGNQISRRESTSVSDGIIPRNSISRREATYANDRNGPSGSITENQISRRGLSSANDGNVTIIESQISKGESISANDGNITESLMQTHDSFLSTLRSRLTKLQVVRHFWEKNDIKGAIGALRKLPDHWWCHSKMCRGIEFSSSRMMMNAAILNPSAAEA >EOY28358 pep chromosome:Theobroma_cacao_20110822:6:25118970:25126281:1 gene:TCM_029950 transcript:EOY28358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MAKRGYKLQEFVAHTANVNCLSMGKKTRRLLITGGDDHKVNVWAIGKPTSLMSLCGHTSPVESLAFDSAEVLVLAGASTGVIKLWDLEETKMVRGLTGHRSNCTAMEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKVWDLTAGKLLHDFKFHEGHIHSIEFHPLEFLLATGSADRTVKFWDLETFELIGSSRPEATGVRSITFHPDGRTLFCGLDDDLKVYSWEPVVCHDSVDMGWSTLGDLCINEGKLLGCSFYRNSVGVWVADVAHIEPYGRNDLTEKKFNLEGSYSLEKAGCGMRSTLGSRPMSPDYDTKEIKNIYVDTAGSNPPITSHKAGSLNSPKVVFPFDASEISNLAAEKQSPGTGVNAKSNGQSGNKSFITPSAVPQDSPLGKDSINSGKESITFSRTKPGMLLRPAHVRRPSVNKFEVEKLSAAVESGTLSNTKSALDSSMDLNSQTRLVSEDRARKSCDEKDSNIKSVTEKSEKMLSAKTPPNQETLAVVPGRTRTLVEKFERRERLNSSGDPTTNSTLPVVPETDSTPAIMKGGTQISEAQSISANDGKSAESLISRTKSTSGSEGSITGSQIPRRESNPTSDGIITGDQISRRELTVTPDRIITGNQISRKESTITPDRIIPGNQISRREPNSSPSGGIFTGSQISRRESTSASDGIIAGNQISRRESTSVSDGIIPRNSISRREATYANDRNGPSGSITENQISRRGLSSANDGNVTIIESQISKGESISANDGNITESLMQTHDSFLSTLRSRLTKLQVVRHFWEKNDIKGAIGALRKLPDHSVVQADVISVLMEKMEILTLDLFSGLIPVLMGLLDSKMERHVNVSLEMLLKLVAVFGPMIRSTVSARRGVGVDLHAEQRAGMLQSMFYAAAKDSETSSTTCKERWCHSKMCRGIEFSSSRMMMNAAILNPSAAEA >EOY28362 pep chromosome:Theobroma_cacao_20110822:6:25120686:25125501:1 gene:TCM_029950 transcript:EOY28362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 KLLHDFKFHEGHIHSIEFHPLEFLLATGSADRTVKFWDLETFELIGSSRPEATGVRSITFHPDGRTLFCGLDDDLKVYSWEPVVCHDSVDMGWSTLGDLCINEGKLLGCSFYRNSVGVWVADVAHIEPYGRNDLTEKKFNLEGSYSLEKAGCGMRSTLGSRPMSPDYDTKEIKNIYVDTAGSNPPITSHKAGSLNSPKVVFPFDASEISNLAAEKQSPGTGVNAKSNGQSGNKSFITPSAVPQDSPLGKDSINSGKESITFSRTKPGMLLRPAHVRRPSVNKFEVEKLSAAVESGTLSNTKSALDSSMDLNSQTRLVSEDRARKSCDEKDSNIKSVTEKSEKMLSAKTPPNQETCNESLNCNKDSNSVKFVNGVAVVPGRTRTLVEKFERRERLNSSGDPTTNSTLPVVPETDSTPAIMKGGTQISEAQSISANDGKSAESLISRTKSTSGSEGSITGSQIPRRESNPTSDGIITGDQISRRELTVTPDRIITGNQISRKESTITPDRIIPGNQISRREPNSSPSGGIFTGSQISRRESTSASDGIIAGNQISRRESTSVSDGIIPRNSISRREATYANDRNGPSGSITENQISRRGLSSANDGNVTIIESQISKGESISANDGNITESLMQTHDSFLSTLRSRLTKLQVVRHFWEKNDIKGAIGALRKLPDHSVQADVISVLMEKMEILTLDLFSGLIPVLMGLLDSKMERRECCNQCFMQLQKIQKLL >EOY28359 pep chromosome:Theobroma_cacao_20110822:6:25118332:25126410:1 gene:TCM_029950 transcript:EOY28359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MAKRGYKLQEFVAHTANVNCLSMGKKTRRLLITGGDDHKVNVWAIGKPTSLMSLCGHTSPVESLAFDSAEVLVLAGASTGVIKLWDLEETKMVRGLTGHRSNCTAMEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKVWDLTAGKLLHDFKFHEGHIHSIEFHPLEFLLATGSADRTVKFWDLETFELIGSSRPEATGVRSITFHPDGRTLFCGLDDDLKVYSWEPVVCHDSVDMGWSTLGDLCINEGKLLGCSFYRNSVGVWVADVAHIEPYGRNDLTEKKFNLEGSYSLEKAGCGMRSTLGSRPMSPDYDTKEIKNIYVDTAGSNPPITSHKAGSLNSPKVVFPFDASEISNLAAEKQSPGTGVNAKSNGQSGNKSFITPSAVPQDSPLGKDSINSGKESITFSRTKPGMLLRPAHVRRPSVNKFEVEKLSAAVESGTLSNTKSALDSSMDLNSQTRLVSEDRARKSCDEKDSNIKSVTEKSEKMLSAKTPPNQETCNESLNCNKDSNSVKFVNGVAVVPGRTRTLVEKFERRERLNSSGDPTTNSTLPVVPETDSTPAIMKGGTQISEAQSISANDGKSAESLISRTKSTSGSEGSITGSQIPRRESNPTSDGIITGDQISRRELTVTPDRIITGNQISRKESTITPDRIIPGNQISRREPNSSPSGGIFTGSQISRRESTSASDGIIAGNQISRRESTSVSDGIIPRNSISRREATYANDRNGPSGSITENQISRRGLSSANDGNVTIIESQISKGESISANDGNITESLMQTHDSFLSTLRSRLTKLQVVRHFWEKNDIKGAIGALRKLPDHWWCHSKMCRGIEFSSSRMMMNAAILNPSAAEA >EOY28357 pep chromosome:Theobroma_cacao_20110822:6:25119181:25125343:1 gene:TCM_029950 transcript:EOY28357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MAKRGYKLQEFVAHTANVNCLSMGKKTRRLLITGGDDHKVNVWAIGKPTSLMSLCGHTSPVESLAFDSAEVLVLAGASTGVIKLWDLEETKMVRGLTGHRSNCTAMEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKVWDLTAGKLLHDFKFHEGHIHSIEFHPLEFLLATGSADRTVKFWDLETFELIGSSRPEATGVRSITFHPDGRTLFCGLDDDLKVYSWEPVVCHDSVDMGWSTLGDLCINEGKLLGCSFYRNSVGVWVADVAHIEPYGRNDLTEKKFNLEGSYSLEKAGCGMRSTLGSRPMSPDYDTKEIKNIYVDTAGSNPPITSHKAGSLNSPKVVFPFDASEISNLAAEKQSPGTGVNAKSNGQSGNKSFITPSAVPQDSPLGKDSINSGKESITFSRTKPGMLLRPAHVRRPSVNKFEVEKLSAAVESGTLSNTKSALDSSMDLNSQTRLVSEDRARKSCDEKDSNIKSVTEKSEKMLSAKTPPNQETCNESLNCNKDSNSVKFVNGVAVVPGRTRTLVEKFERRERLNSSGDPTTNSTLPVVPETDSTPAIMKGGTQISEAQSISANDGKSAESLISRTKSTSGSEGSITGSQIPRRESNPTSDGIITGDQISRRELTVTPDRIITGNQISRKESTITPDRIIPGNQISRREPNSSPSGGIFTGSQISRRESTSASDGIIAGNQISRRESTSVSDGIIPRNSISRREATYANDRNGPSGSITENQISRRGLSSANDGNVTIIESQISKGESISANDGNITESLMQTHDSFLSTLRSRLTKLQVVRHFWEKNDIKGAIGALRKLPDHSVQADVISVLMEKMEILTLDLFSGLIPVLMGLLDSKMERHVNVSLEMLLKLVAVFGPMIRSTVSARRGVGVDLHAEQRCY >EOY28355 pep chromosome:Theobroma_cacao_20110822:6:25118332:25126410:1 gene:TCM_029950 transcript:EOY28355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MAKRGYKLQEFVAHTANVNCLSMGKKTRRLLITGGDDHKVNVWAIGKPTSLMSLCGHTSPVESLAFDSAEVLVLAGASTGVIKLWDLEETKMVRGLTGHRSNCTAMEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKVWDLTAGKLLHDFKFHEGHIHSIEFHPLEFLLATGSADRTVKFWDLETFELIGSSRPEATGVRSITFHPDGRTLFCGLDDDLKVYSWEPVVCHDSVDMGWSTLGDLCINEGKLLGCSFYRNSVGVWVADVAHIEPYGRNDLTEKKFNLEGSYSLEKAGCGMRSTLGSRPMSPDYDTKEIKNIYVDTAGSNPPITSHKAGSLNSPKVVFPFDASEISNLAAEKQSPGTGVNAKSNGQSGNKSFITPSAVPQDSPLGKDSINSGKESITFSRTKPGMLLRPAHVRRPSVNKFEVEKLSAAVESGTLSNTKSALDSSMDLNSQTRLVSEDRARKSCDEKDSNIKSVTEKSEKMLSAKTPPNQETCNESLNCNKDSNSVKFVNGVAVVPGRTRTLVEKFERRERLNSSGDPTTNSTLPVVPETDSTPAIMKGGTQISEAQSISANDGKSAESLISRTKSTSGSEGSITGSQIPRRESNPTSDGIITGDQISRRELTVTPDRIITGNQISRKESTITPDRIIPGNQISRREPNSSPSGGIFTGSQISRRESTSASDGIIAGNQISRRESTSVSDGIIPRNSISRREATYANDRNGPSGSITENQISRRGLSSANDGNVTIIESQISKGESISANDGNITESLMQTHDSFLSTLRSRLTKLQVVRHFWEKNDIKGAIGALRKLPDHSVQADVISVLMEKMEILTLDLFSGLIPVLMGLLDSKMERHVNVSLEMLLKLVAVFGPMIRSTVSARRGVGVDLHAEQRRECCNQCFMQLQKIQKLLPPLVRRGGAIARCAEELNLVLQE >EOY28911 pep chromosome:Theobroma_cacao_20110822:6:26862042:26865998:-1 gene:TCM_030382 transcript:EOY28911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridylyltransferase-related MAVAMASAANCLVYFSSNTNKIPVFELKNHSWRAVFVSRPPNDLGKRSVSLSSSTMGITPPATPSATAVENDGSFGDTDTVPTPKVIIDQDSDPDVTLVEVTFGDRLGALLDTMNALKNLGLNVVKANVYLDSSGKHNTFAITKASTGRKVEEPELLEAIRLTIINNLLEYHPESSSQLAMGAAFGVEPPKEKVDVDIATHISVNDDGPDRSLLYVETADRPGLLVDLVKIITDINIAVESGEFDTEGLLAKAKFHVSYKSKAIIKPLQQVLANSLRYFLRRPTTEEASF >EOY28197 pep chromosome:Theobroma_cacao_20110822:6:24517884:24523820:1 gene:TCM_029830 transcript:EOY28197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease-related, putative isoform 2 MGLPETVDFVRNFSVLVRVQGPDPKGLKMRKHAFHQYHSGKTTLSASGMLLPDTLYNTEVAKCIWDSDGDQNLMLVMTVASVVEPFLTIQHRENLSQGLPELIPGAQIDIMVEENMGVNLVKGASCWVAARLLKMVDVPRSSRALQSLVEASSGSQEHGWEFDPTRSDVEALFQIEYDKKILMERQRLLVGELSSPSLMARSTTRIAVLGVNLYLNVTFLSLVTLSFLLIYCVTATDMDLPNIGISPLNKRGEFLLAMGSPFGILSPVHFFNSISMGSVANCYPPKSSDRALLMADIRCLPGMEGGPVFGDQNTLVGILIIPLRQKSSDAEIQLVIPWEAIASACSDLLLKEPQIAEKGIHINKGNLNAVGNGLLSNSNGSNELCCYNHDHPNSSCPSRLPIEKAMASICLITIDDGVWASGVVLNDQGLILTNAHLLEPWRFGKTTVGTGTRTEVPFFPPEESASPEGKGFNRYQKSSMPPFSLKIVNSSVVDDHKGNKLKSLYHGHRSIRVRLGHLDPWIWCEAKVVYICRGPLDVALLQLDRIPDKLSSIVVDFAQPSLGSKAYVIGHGLLAPRCGFSPSVCSGVVAKVVKAEMPLYYKSLIPGDSEFPAMLETTAAVHPGGSGGAVVNSDGRLIGLVTSNARHGGGTVIPYLNFSIPSAVLMPIFQFARDMQDLSPLQNLDQPNEHLSSVWALMPPLSHKPGLPPELPQSLLEDNNNEEGKGSRFAKFIAERNELLKRPAQFGKVERLPNEILPSKL >EOY28198 pep chromosome:Theobroma_cacao_20110822:6:24517961:24523774:1 gene:TCM_029830 transcript:EOY28198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease-related, putative isoform 2 MGLPETVDFVRNFSVLVRVQGPDPKGLKMRKHAFHQYHSGKTTLSASGMLLPDTLYNTEVAKCIWDSDGDQNLMLVMTVASVVEPFLTIQHRENLSQGLPELIPGAQIDIMVEENMGVNLVKGASCWVAARLLKMVDVPRSSRALQSLVEASSGSQEHGWEFGWSLASTHQPSVGSIQTQIEYDKKILMERQRLLVGELSSPSLMARSTTRIAVLGVNLYLNDLPNIGISPLNKRGEFLLAMGSPFGILSPVHFFNSISMGSVANCYPPKSSDRALLMADIRCLPGMEGGPVFGDQNTLVGILIIPLRQKSSDAEIQLVIPWEAIASACSDLLLKEPQIAEKGIHINKGNLNAVGNGLLSNSNGSNELCCYNHDHPNSSCPSRLPIEKAMASICLITIDDGVWASGVVLNDQGLILTNAHLLEPWRFGKTTVGTGTRTEVPFFPPEESASPEGKGFNRYQKSSMPPFSLKIVNSSVVDDHKGNKLKSLYHGHRSIRVRLGHLDPWIWCEAKVVYICRGPLDVALLQLDRIPDKLSSIVVDFAQPSLGSKAYVIGHGLLAPRCGKSVVYCIYLFVVVLMRNEIILLCLHDTLVELFCFVLDFFIVHVEPSHVKFPILPS >EOY26494 pep chromosome:Theobroma_cacao_20110822:6:13140909:13144440:-1 gene:TCM_028272 transcript:EOY26494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFASRGLYHRCSYDSVTSTIATNYARTFGFTMSPMTSSNGRLWSSCSVCGLVVAFVRVSCCTASLQSVQVSDNILVETRVYTHGKSISSVLR >EOY26978 pep chromosome:Theobroma_cacao_20110822:6:19500872:19502640:1 gene:TCM_028931 transcript:EOY26978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPEVKWDLLEFDADLKGKRMMTCFIKENRRKLGVENSRVGPKKIVPLVKVLPSQVDMGGRVFLWGGYIGMLCNTEAKLVHKNSVQQREPSIPTPSRLTTVSYSIFGVMTSPCLHHDF >EOY26461 pep chromosome:Theobroma_cacao_20110822:6:11229947:11232138:-1 gene:TCM_028132 transcript:EOY26461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVFEWTPDFQSKKESSFVLVWISFSNLRAHLYEKSALFVIAKIMGRLLMVDKAIANGTRPSMMRIYVEYNCPKPLVDQVWIVTRDRKTEDVTKGFMQKVEFARPSEYCTHAVMWDTAFRLDNACNVTMRKQKKKKKGHPGERSVGLALADDDKFFDKEQMREVNKHSVAQSMHCFDKVESSDENSPLLENKLGNCGDNKEFSSIPSHAGSSHATIKVHLTSMHRSKSGNVFLVTLNAQYYSDEAEGCEEKDRMQEYSISAGFPTHTFP >EOY27081 pep chromosome:Theobroma_cacao_20110822:6:20030603:20037102:-1 gene:TCM_029016 transcript:EOY27081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D alpha 4 MEGKQKFLHGTLEATIFDATPYIPPFPLNCVLANGKPTYVTIKLDNKKVAKTTLERDRVWNQTFQILCAHPPDSTITITMKTKCSILGKFCIQAGQILNEASLISGFFPLQMENGKTNPELKLRFMLWFKPAEFEPTWGEVLKYGGFQGLRNATFPQRSNCHVMLYQDAHHSSAFQPPFSLCGSPRKLWEDVYKAIEGAKHLIYIAGWSFNPKMVLVRDPQTNIPHAKGIKLGELLKRKAEEGVAVRVMLWNDETSLPFIKNKGVMRTHDEDAFAYFKHTKVRCKLCPRLHHKFPTLFAHHQKTITVDARTYSTSSVNDREIMSFVGGVDLCDGRYDTEQHSLFRTLNTGAHCFDFYQTNISGASLHKGGPREPWHDAHACITGEAAWDVLANFEQRWTKQCDPSLLLPTSSIPNLIRQPFASSISNDRNWKVQVFRSIDHVSVSQLSKNLTVEQSIHEAYVEAIRRAERFIYIENQYFIGGCHLWDKDKHSGCRNLIPVEIALKVASKIKAKERFTVYILIPMWPEGVPDSEPVQDILHWTRETMSIMYKLIGESIKESGEPGHPRDYLNFFCLANRETQSKEEFVPPHSPQPSTQYWNAQKHRRFMVYVHSKVMIVDDLYILIGSANVNQRSMDGRRDTEIAIGCYQLPENPESNNSTTPRDIHAYRMSLWYEHTGLADDVFLEPQSLECVQKVRSLGDQMWQIYANEEEIADMEGVHLVTYPVNVTVDGLTEDVADGGGNFPDTKSPVKGRRSKMLPPIFTT >EOY26878 pep chromosome:Theobroma_cacao_20110822:6:18810019:18818553:-1 gene:TCM_028844 transcript:EOY26878 gene_biotype:protein_coding transcript_biotype:protein_coding description:LETM1 and EF-hand domain-containing protein anon-60Da isoform 2 MKNQDCGILLPCALNPKRKPAKSIVNVPGLAGAGGLNSDGNGKYHHWFLSENWGFANSRSAELWAIREWPYIHIHNALLVLLNECRCLYGTAECGSSEAHLAGRENNCADKLARSNGDEGDAFGQTFRSYNGWNWKINSSAPHCSKFRGRQQGNNFLAKKSNSLGLWFAGYTFSRVIFQSALSSMSSSPKYCGPTESGDGALVPEPDPPAVEFNRVNCLVWVLHESARSFSLAVESLELAGSSAELAMAWNGKDVHQWHRHIAHRVAVYALLKTAIEVEILLSQERHNNPSPVRKILTPETDLLEEFIETQLKLRHSELVQWFRVVELPRIAGFFIPLLKKWSMEYAGSGVAGIIVAISCCAAVEKLGSDRTSCPLSTMSIGDVLVELMNLSHSIVSVDKLHQLATEAGFELDFLSHFGAKILSSKKSDELEFWIGLALRKLSVAFCKETMIPGKLAIYSKGQADSLATLGLFAYLGRKTRLYLSRMRINDLDELVKDFLSYLECGSLFIYPEFSSISVYQFFMEVVTDEIGWLDFYDTTRCISNQEKRRSKQHTIQAEKEIILSQVFTVCYDVFSGFAHFSRSAQQPLNSELLAFLLRSQSLLTICLEDYWAAYDRSGEPLKITESGASRHTPSTGATGTTQLAVVMEAQEELTGLMTEECQNDESEHEHMLKKTSSSASTDAITCGEGIDIPKSNSPNESLIRKYSIKLISSSFDVWLGTQLLFIDITVSLELLLKRLRGQKVTARDKRKLQRTLNDIATLIPVTILMLLPVSAVGHAAMLAAINKYIPSLIPSPFSSERLDVAKQLKRTKKMEVKSWSNLEGTNL >EOY26877 pep chromosome:Theobroma_cacao_20110822:6:18810969:18818553:-1 gene:TCM_028844 transcript:EOY26877 gene_biotype:protein_coding transcript_biotype:protein_coding description:LETM1 and EF-hand domain-containing protein anon-60Da isoform 2 MKNQDCGILLPCALNPKRKPAKSIVNVPGLAGAGGLNSDGNGKYHHWFLSENWGFANSRSAELWAIREWPYIHIHNALLVLLNECRCLYGTAECGSSEAHLAGRENNCADKLARSNGDEGDAFGQTFRSYNGWNWKINSSAPHCSKFRGRQQGNNFLAKKSNSLGLWFAGYTFSRVIFQSALSSMSSSPKYCGPTESGDGALVPEPDPPAVEFNRVNCLVWVLHESARSFSLAVESLELAGSSAELAMAWNGKDVHQWHRHIAHRVAVYALLKTAIEVEILLSQERHNNPSPVRKILTPETDLLEEFIETQLKLRHSELVQWFRVVELPRIAGFFIPLLKKWSMEYAGSGVAGIIVAISCCAAVEKLGSDRTSCPLSTMSIGDVLVELMNLSHSIVSVDKLHQLATEAGFELDFLSHFGAKILSSKKSDELEFWIGLALRKLSVAFCKETMIPGKLAIYSKGQADSLATLGLFAYLGRKTRLYLSRMRINDLDELVKDFLSYLECGSLFIYPEFSSISVYQFFMEVVTDEIGWLDFYDTTRCISNQEKRRSKQHTIQAEKEIILSQVFTVCYDVFSGFAHFSRSAQQPLNSELLAFLLRSQSLLTICLEDYWAAYDRSGEPLKITESGASRHTPSTGATGTTQLAVVMEAQEELTGLMTEECQNDESEHEHMLKKLLQTSSSASTDAITCGEGIDIPKSNSPNESLIRKYSIKLISSSFDVWLGTQLLFIDITVSLELLLKRLRGQKVTARDKRKLQRTLNDIATLIPVTILMLLPVSAVGHAAMLAAINKYIPSLIPSPFSSERLDVAKQLKRTKKMEVKSWSNLEGTNL >EOY27236 pep chromosome:Theobroma_cacao_20110822:6:20811113:20813734:1 gene:TCM_029125 transcript:EOY27236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein isoform 1 AIFLHPCSEIHLTRVLAQATMAAESPTSVRKVVVHLRATGDAPILKQAKFKIPGTDKFAKVIDFLRRQLHRDTLFVYVNSAFSPNPDELVIDLYNNFGFDGKLVVNYACSMAWG >EOY27238 pep chromosome:Theobroma_cacao_20110822:6:20811192:20813846:1 gene:TCM_029125 transcript:EOY27238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein isoform 1 AESPTSVRKVVVHLRATGDAPILKQAKFKIPGTDKFAKVIDFLRRQLHRDTLFVYVNSAFSPNPDELVIDLYNILTSYFHTSKGISLVVF >EOY27237 pep chromosome:Theobroma_cacao_20110822:6:20811045:20813851:1 gene:TCM_029125 transcript:EOY27237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein isoform 1 MAAESPTSVRKVVVHLRATGDAPILKQAKFKIPGTDKFAKVIDFLRRQLHRDTLFVYVNSAFSPNPDELVIDLYNNFGFDGKLVVNYACSMILTSYFHTSKGISLVVF >EOY27235 pep chromosome:Theobroma_cacao_20110822:6:20811126:20813868:1 gene:TCM_029125 transcript:EOY27235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein isoform 1 MAAESPTSVRKVVVHLRATGDAPILKQAKFKIPGTDKFAKVIDFLRRQLHRDTLFVYVNSAFSPNPDELVIDLYNNFGFDGKLVVNYACSMAWG >EOY28662 pep chromosome:Theobroma_cacao_20110822:6:26167737:26170005:-1 gene:TCM_030197 transcript:EOY28662 gene_biotype:protein_coding transcript_biotype:protein_coding description:DERLIN-2.2 isoform 2 MAQAVEEWYKQMPIITRSYLTAAVVTTIGCSLEIISPYHLYLNPKLVVKQYQFWRLITNFLYFRKMDLDFMFHMFFLARYCKLLEENSFRGRTADFFYMLLFGASVLTGIVLIGGMIPYLSESFAKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPRMTGRRPLRTPSFIKALFADEAVVVARPANVRFAPPPGEEFHRD >EOY28663 pep chromosome:Theobroma_cacao_20110822:6:26168811:26170207:-1 gene:TCM_030197 transcript:EOY28663 gene_biotype:protein_coding transcript_biotype:protein_coding description:DERLIN-2.2 isoform 2 MAQAVEEWYKQMPIITRSYLTAAVVTTIGCSLEIISPYHLYLNPKLVVKQYQFWRLITNFLYFRKMDLDFMFHMFFLARYCKLLEENSFRGRTADFFYMLLFGASVLTGIVLIGGMIPYLSESFAKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWV >EOY28242 pep chromosome:Theobroma_cacao_20110822:6:24710368:24712647:1 gene:TCM_029868 transcript:EOY28242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L21 MAHRRCVQSLARHATALTSLKTTRPLSTLEILTSKLNPVITITNLEPSWCTRWSHSRYFSSSKSDDSENEVEMEEASDGETEEEEAASDLDRDYSPEEKEAEAAAIGYKVLGPLQRSDRVFKDYEPVFAVVQIGSHQFKVSNGDCIFTERLKFCEVNDKLILNKVLLLGSPTQTIIGRPILPDAAVHAVVEEHALDAKVIIFKKKKRKNYRRTKGHRQELTKLRITDIQGIEKPEMKTDGTPLKAAVKKPEEIVAAA >EOY29050 pep chromosome:Theobroma_cacao_20110822:6:27197759:27202658:1 gene:TCM_030473 transcript:EOY29050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MNFFCYRGRVSEAVVNGFLNNRIMAMKLKSRVAYAASRYSTYAIASTLLPRQQTKWDYCSSSSCIIEDKDLLQKSLHPSGTGLHVLDLLDQGSLEPHRALYHLLLKKCTRLRKPKLGKIVHAHILNSLFRHDLVILNSLLNMYAKCGCLDDARNLFDQMPVKDMVSWTTLITAYSQHGRPFHAILLFPHMLSLGFFPNQFTLSSLLKASAALPDTPHIHFHGTQLHAFCFKCGLHSNVYVGSSLLDMYARCGCMDEARLIFDALHSKNEVSWNALIAGHARKGQADHALWLFRKMLRQDFQPTHFTFCSILGACASTGSLEQGKWVHAHVIKSGGEIVAFMGNTLLHMYAKSGSIQDAKMIFDRLVKSDVVSWNSMLTAYAQHGLGKEAVQWFQEMLRIGVGPNDITFLCLLTACSRAGLLDQGQYYFKLMSNYNIEPQISHYVTIVDLFGRAGLLDKAERFIREMPIKPTAAVWGALLGACRMHKNMELGTYAAERVFELDPHDSGPLVLLSNIYASAGRWSDAAKVRKMMKESGVKKEPACSWVEIENTVHVFVANDDAHPQMEEIHKMWEEISARIKEIGYVPDISHVLFYMDQQEREIKLQYHSEKLALAFALLNSSPGSTIRIKKNIRVCGDCHSAIKFVSQVVRREIIVRDTNRFHHFRGGSCSCGDYW >EOY29049 pep chromosome:Theobroma_cacao_20110822:6:27197759:27203639:1 gene:TCM_030473 transcript:EOY29049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MNFFCYRGRVSEAVVNGFLNNRIMAMKLKSRVAYAASRYSTYAIASTLLPRQQTKWDYCSSSSCIIEDKDLLQKSLHPSGTGLHVLDLLDQGSLEPHRALYHLLLKKCTRLRKPKLGKIVHAHILNSLFRHDLVILNSLLNMYAKCGCLDDARNLFDQMPVKDMVSWTTLITAYSQHGRPFHAILLFPHMLSLGFFPNQFTLSSLLKASAALPDTPHIHFHGTQLHAFCFKCGLHSNVYVGSSLLDMYARCGCMDEARLIFDALHSKNEVSWNALIAGHARKGQADHALWLFRKMLRQDFQPTHFTFCSILGACASTGSLEQGKWVHAHVIKSGGEIVAFMGNTLLHMYAKSGSIQDAKMIFDRLVKSDVVSWNSMLTAYAQHGLGKEAVQWFQEMLRIGVGPNDITFLCLLTACSRAGLLDQGQYYFKLMSNYNIEPQISHYVTIVDLFGRAGLLDKAERFIREMPIKPTAAVWGALLGACRMHKNMELGTYAAERVFELDPHDSGPLVLLSNIYASAGRWSDAAKVRKMMKESGVKKEPACSWVEIENTVHVFVANDDAHPQMEEIHKMWEEISARIKEIGYVPDISHVLFYMDQQEREIKLQYHSEKLALAFALLNSSPGSTIRIKKNIRVCGDCHSAIKFVSQVVRREIIVRDTNRFHHFRGGSCSCGDYW >EOY29048 pep chromosome:Theobroma_cacao_20110822:6:27199346:27202251:1 gene:TCM_030473 transcript:EOY29048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MNFFCYRGRVSEAVVNGFLNNRIMAMKLKSRVAYAASRYSTYAIASTLLPRQQTKWDYCSSSSCIIEDKDLLQKSLHPSGTGLHVLDLLDQGSLEPHRALYHLLLKKCTRLRKPKLGKIVHAHILNSLFRHDLVILNSLLNMYAKCGCLDDARNLFDQMPVKDMVSWTTLITAYSQHGRPFHAILLFPHMLSLGFFPNQFTLSSLLKASAALPDTPHIHFHGTQLHAFCFKCGLHSNVYVGSSLLDMYARCGCMDEARLIFDALHSKNEVSWNALIAGHARKGQADHALWLFRKMLRQDFQPTHFTFCSILGACASTGSLEQGKWVHAHVIKSGGEIVAFMGNTLLHMYAKSGSIQDAKMIFDRLVKSDVVSWNSMLTAYAQHGLGKEAVQWFQEMLRIGVGPNDITFLCLLTACSRAGLLDQGQYYFKLMSNYNIEPQISHYVTIVDLFGRAGLLDKAERFIREMPIKPTAAVWGALLGACRMHKNMELGTYAAERVFELDPHDSGPLVLLSNIYASAGRWSDAAKVRKMMKESGVKKEPACSWVEIENTVHVFVANDDAHPQMEEIHKMWEEISARIKEIGYVPDISHVLFYMDQQEREIKLQYHSEKLALAFALLNSSPGSTIRIKKNIRVCGDCHSAIKFVSQVVRREIIVRDTNRFHHFRGGSCSCGDYW >EOY28317 pep chromosome:Theobroma_cacao_20110822:6:24906437:24913151:1 gene:TCM_029917 transcript:EOY28317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich spliceosome-associated family protein isoform 1 MTAEVLSHQNGAVVSNGDLNKKTSNANPSVASKKSRESERRRRRRKQKKNKKTSHLQNDAANGAVSDAGDSDAGEDETKENSDPQQITEQVVVEYVPEKAELDDGIDEEFRKVFEKFSFWEAAGSEETDKKDESAEDADAKKKDDSDSDEEEQDNQQKEKGVSNKKKKLQRRMKIAELKQICSRPDVVEVWDATASDPKLLVFLKAYRNTVPVPRHWCQKRKYLQVSDSWGAAVTTLEPGLGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTTHGDLYHEGKEFEVKLREMKPGSLSHELKEALGMPEGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPLGAIFGYHPGGWGKPPVDEYGRPLYGDVFGVQQQEQPNYEEEPVDKSKHWGDLEEEEEEEEEEEEEEIEEEELEDGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPERPLYQVLEEKEERIAPGTLLGTTHTYVVNTGTQDKSAAKRVDLLKGQKSDRVEVSLQPEELELMDNVLPAKYEEAREEEKLRSQREDFSDMVAENEKKRKRKMQEKEGKSKKKDFKF >EOY28318 pep chromosome:Theobroma_cacao_20110822:6:24906652:24913136:1 gene:TCM_029917 transcript:EOY28318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich spliceosome-associated family protein isoform 1 MTAEVLSHQNGAVVSNGDLNKKTSNANPSVASKKSRESERRRRRRKQKKNKKTSHLQNDAANGAVSDAGDSDAGEDETKENSDPQQITEQVVVEYVPEKAELDDGIDEEFRKVFEKFSFWEAAGSEETDKKDESAEDADAKKKDDSDSDEEEQDNQQKEKGVSNKKKKLQRRMKIAELKQICSRPDVVEVWDATASDPKLLVFLKAYRNTVPVPRHWCQKRKYLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTTHGDLYHEGKEFEVKLREMKPGSLSHELKEALGMPEGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPLGAIFGYHPGGWGKPPVDEYGRPLYGDVFGVQQQEQPNYEEEPVDKSKHWGDLEEEEEEEEEEEEEEIEEEELEDGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPERPLYQVLEEKEERIAPGTLLGTTHTYVVNTGTQDKSAAKRVDLLKGQKSDRVEVSLQPEELELMDNVLPAKYEEAREEEKLRSQREDFSDMVAENEKKRKRKMQEKEGKSKKKDFKF >EOY27435 pep chromosome:Theobroma_cacao_20110822:6:21719855:21731376:-1 gene:TCM_029283 transcript:EOY27435 gene_biotype:protein_coding transcript_biotype:protein_coding description:FASCICLIN-like arabinogalactan protein 14 precursor, putative MSPVSSPVVALFFSLFLLLPAADAFNITQILSQFPDFTTFNNYLIHTGVADQINSEQTVTVLVVANGNMSEVSGQSPDAMKKTLSVHVILDYFGVEKLKNLQNKTALTLTTLYQQSGQAQNQQGFLNVAHVGNGGVAFGSAAAGSNLDSIFIKPVASQPYNISVLQISNIINVPSNSTTPSSPPEQAPASSPITKPHPPAASPPRKVLAPAPSPKKSPPGNASPPPDADSPAASPPNPSNATAPSVAKPPAADTPAESASNPVADGPDSDEADNNSSSASVTSGNYLAAILMMFTSAWFLLTMI >EOY28719 pep chromosome:Theobroma_cacao_20110822:6:26345975:26352389:-1 gene:TCM_030239 transcript:EOY28719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative isoform 2 MKLGQVRGTWKERGETFSSMLRGEIPKEEDWVIRDNQGVETGKKRRMSRSSSASMTTPFPAIKPEAYKHSPVHYAVVLGDHTTLTRLVSTLPKLADPAQIHSECDSLSQERVADEISAVLDRRDVPFRETPLHLAVRLNDAVAARTLAAAGADVSLQNAAGWNPLQEALCRRSSDIALVLLKLHHRSAWSKWRRRLPRVIAVLRRMRDFYMEISFHFESSVIPFVGKIAPSDTYKIWKRDGNLRADTSLAGFDGLKIQRADQSFLFLGDGDHVHNIPCGSLLVLNRDDRKIFDAFENAGAPMSESDIAGFYSQTSVYRPGMDVTRAELVGRTNWRRQEKTESVGEWKAKVYEVHNVIFSFRSRKVASSENDVAGSEQVLPLELDEDDDGFLVCENPNFAMPDRRRHSSFVREEREWISVGRKSVDVFPSSAAAAVPPRRSTTFVPTTTTTTVVPPPQTKEKEYVRSLRPSVWLTEQFPLKTEELLPLLDILANKVKAVRRMRELLTTKFPPGTFPVKVAIPVVPTVRVVITFTKFVELQPTEQFYTPLSSPRHFSYGGGRGGGQAEEDQKPETHKSSLPSSSSSSSWPSTAWLRRSNSQSVSASKQQQQQQQQRSSSSSSMAQQADPFAIPSGYTWTTADDKSSKMKKSKSTRKSK >EOY28720 pep chromosome:Theobroma_cacao_20110822:6:26346686:26351874:-1 gene:TCM_030239 transcript:EOY28720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative isoform 2 MSRSSSASMTTPFPAIKPEAYKHSPVHYAVVLGDHTTLTRLVSTLPKLADPAQIHSECDSLSQERVADEISAVLDRRDVPFRETPLHLAVRLNDAVAARTLAAAGADVSLQNAAGWNPLQEALCRRSSDIALVLLKLHHRSAWSKWRRRLPRVIAVLRRMRDFYMEISFHFESSVIPFVGKIAPSDTYKIWKRDGNLRADTSLAGFDGLKIQRADQSFLFLGDGDHVHNIPCGSLLVLNRDDRKIFDAFENAGAPMSESDIAGFYSQTSVYRPGMDVTRAELVGRTNWRRQEKTESVGEWKAKVYEVHNVIFSFRSRKVASSENDVAGSEQVLPLELDEDDDGFLVCENPNFAMPDRRRHSSFVREEREWISVGRKSVDVFPSSAAAAVPPRRSTTFVPTTTTTTVVPPPQTKEKEYVRSLRPSVWLTEQFPLKTEELLPLLDILANKVKAVRRMRELLTTKFPPGTFPVKVAIPVVPTVRVVITFTKFVELQPTEQFYTPLSSPRHFSYGGGRGGGQAEEDQKPETHKSSLPSSSSSSSWPSTAWLRRSNSQSVSASKQQQQQQQQRSSSSSSMAQQADPFAIPSGYTWTTADDKSSKMKKSKSTRKSK >EOY27645 pep chromosome:Theobroma_cacao_20110822:6:22602821:22605971:-1 gene:TCM_029437 transcript:EOY27645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum oxidoreductins 1 isoform 2 MVESEVKKGKKSEKMRRRWVIGAFITIVVAIALASKSTLKISLFGHTNKSCLCSQDKHKYSGIVQDCCCDYETVDHLNEEVLHPLLQELVKTPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPESEFPELFKKPNHRGLSSDDLMCQEGKPQAAVDRTLDSKAFRGWTETDNPWTHDDETDNSEMTYVNLQLNPERYTGYTGPSARRIWDAVYSENCPKYPSEESCQEEKILYKLISGLHSSISIHIASDYLLDEATNLWGHNLELMYDRVLRYPYRVENLYFTFLFVLRAVTKATDYLEQAEYDTGNPTEDLKTQSLMRQLVYNPKLQAACPLPFDEAKLWKGQRGPELKQKIQAQFKNISDSSGLLQ >EOY27644 pep chromosome:Theobroma_cacao_20110822:6:22601208:22606310:-1 gene:TCM_029437 transcript:EOY27644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum oxidoreductins 1 isoform 2 MVESEVKKGKKSEKMRRRWVIGAFITIVVAIALASKSTLKISLFGHTNKSCLCSQDKHKYSGIVQDCCCDYETVDHLNEEVLHPLLQELVKTPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPESEFPELFKKPNHRGLSSDDLMCQEGKPQAAVDRTLDSKAFRGWTETDNPWTHDDETDNSEMTYVNLQLNPERYTGYTGPSARRIWDAVYSENCPKYPSEESCQEEKILYKLISGLHSSISIHIASDYLLDEATNLWGHNLELMYDRVLRYPYRVENLYFTFLFVLRAVTKATDYLEQAEYDTGNPTEDLKTQSLMRQLVYNPKLQAACPLPFDEAKLWKGQRGPELKQKIQAQFKNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSVNGEEHLGQTLQLQRNEVIALMNLLNRLSESVKFVHEMGHAAEKLIEGKISSPTGPNSLVHRIWASIVKT >EOY27427 pep chromosome:Theobroma_cacao_20110822:6:21669914:21671594:1 gene:TCM_029274 transcript:EOY27427 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MAQIKPTSDLDFVDDSYYLALFDQDEEEIFPPSDDKYAEELQFQEALMSSAISSQMTTSVSKPCRIHASSPILIQATPHLELLEMETTEAGESSLSFCEICVERKESDQMFTTGSCVHSYCSDCISKHVLTRVEESITIITCPGVNCKAVLELDICRPVLPDLVVHRWEDALCQEFINTSQRLYCPFRDCSAPLLNDNGGEDIRESECPFCHRLFCARCNVPWHPGIECEDYQRLNEDERGTVDLMVRELAKEKKWARCPRCKYYVERTQGCPHMTCRCQFQFCYGCEQEWNQNHGGCQRN >EOY25504 pep chromosome:Theobroma_cacao_20110822:6:282403:289776:1 gene:TCM_026914 transcript:EOY25504 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain, putative isoform 1 MESENNGISLESNAHPLEENDEIQQTDEKMQGRQKRKLSSQVSTFSEHFPKKSSIDGKAIAKCKHCGIVLNCDSKHEIDNLKRYSENCVGGDTREIGQMISSNQHGSTLTRSSNLDPEKFRELVIGAIFMHNLPLSFVEYRGSRALSSYLHEDVTLISRNTLKAYMIKMHRAERSKIKCLLEETPGRINLTFDLWNSITTDTYICLIAHFVDKNWVLQKRVLNFSFMPPPYNCVALIEKVYALLAEWGIESKLFSVTLDNVLASNAFVELLKKNLNVRKTFLVGGKFFHLRCFAQVLNLIVQDSLKEVDCVVQKVRESVKYVKGSQVRKQKFLECVTLMKLNAKGGLRQDVSTKWNSTFLMLKRALYFRKAFSHLEIRDSNYRYCPSEDEWERVEKLYKLLAVFYDVTCVFSRTKYPTANLFFPSMFIAHSTLQEHMSGQDVYMKNMSTQMLVKFVKYWSDFSLILAIAVILDPRYKIHFVEWSYGKLYGNDSTQFKNVRDWLFSLYNEYAVKASPTPSSFNNTSDEHTLTEGKRDFFEEFDSYATVKFGAATQKSQLEWYLSEPMVERTKELNILQFWKENQYRYPELAAMARDVLSIPISATASEFAFSVGGKILDQHRSSLKPDILEATVCCKDWLFGEVEHEDMDLNVVIEDNMNSDVGMEEVTSANREVEVQE >EOY25506 pep chromosome:Theobroma_cacao_20110822:6:282378:289873:1 gene:TCM_026914 transcript:EOY25506 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain, putative isoform 1 MESENNGISLESNAHPLEENDEIQQTDEKMQGRQKRKLSSQVSTFSEHFPKKSSIDGKAIAKCKHCGIVLNCDSKHEIDNLKRYSENCVGGDTREIGQMISSNQHGSTLTRSSNLDPEKFRELVIGAIFMHNLPLSFVEYRGSRALSSYLHEDVTLISRNTLKAYMIKMHRAERSKIKCLLEETPGRINLTFDLWNSITTDTYICLIAHFVDKNWVLQKRVLNFSFMPPPYNCVALIEKVYALLAEWGIESKLFSVTLDNVLASNAFVELLKKNLNVRKTFLVGGKFFHLRCFAQVLNLIVQDSLKEVDCVVQKVRESVKYVKGSQVRKQKFLECVTLMKLNAKGGLRQDVSTKWNSTFLMLKRALYFRKAFSHLEIRDSNYRYCPSEDEWERVEKLYKLLAVFYDVTCVFSRTKYPTANLFFPSMFIAHSTLQEHMSGQDVYMKNMSTQMLVKFVKYWSDFSLILAIAVILDPRYKIHFVEWSYGKLYGNDSTQFKNVRDWLFSLYNEYAVKASPTPSSFNNTSDEHTLTEGKRDFFEEFDSYATVKFGAATQKSQLEWYLSEPMVERTKELNILQFWKENQYRYPELAAMARDVLSIPISATASEFAFSVGGKILDQHRSSLKPDILEATVCCKDWLFGEVEHEDMDLNVVIEDNMNSDVGMEEVTSANREVEVQE >EOY25507 pep chromosome:Theobroma_cacao_20110822:6:282386:289776:1 gene:TCM_026914 transcript:EOY25507 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain, putative isoform 1 MESENNGISLESNAHPLEENDEIQQTDEKMQGRQKRKLSSQVSTFSEHFPKKSSIDGKAIAKCKHCGIVLNCDSKHEIDNLKRYSENCVGGDTREIGQMISSNQHGSTLTRSSNLDPEKFRELVIGAIFMHNLPLSFVEYRGSRALSSYLHEDVTLISRNTLKAYMIKMHRAERSKIKCLLEETPGRINLTFDLWNSITTDTYICLIAHFVDKNWVLQKRVLNFSFMPPPYNCVALIEKVYALLAEWGIESKLFSVTLDNVLASNAFVELLKKNLNVRKTFLVGGKFFHLRCFAQVLNLIVQDSLKEVDCVVQKVRESVKYVKGSQVRKQKFLECVTLMKLNAKGGLRQDVSTKWNSTFLMLKRALYFRKAFSHLEIRDSNYRYCPSEDEWERVEKLYKLLAVFYDVTCVFSRTKYPTANLFFPSMFIAHSTLQEHMSGQDVYMKNMSTQMLVKFVKYWSDFSLILAIAVILDPRYKIHFVEWSYGKLYGNDSTQFKNVRDWLFSLYNEYAVKASPTPSSFNNTSDEHTLTEGKRDFFEEFDSYATVKFGAATQKSQLEWYLSEPMVERTKELNILQFWKENQYRYPELAAMARDVLSIPISATASEFAFSVGGKILDQHRSSLKPDILEATVCCKDWLFGEVEHEDMDLNVVIEDNMNSDVGMEEVTSANREVEVQE >EOY25505 pep chromosome:Theobroma_cacao_20110822:6:282386:289776:1 gene:TCM_026914 transcript:EOY25505 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain, putative isoform 1 MESENNGISLESNAHPLEENDEIQQTDEKMQGRQKRKLSSQVSTFSEHFPKKSSIDGKAIAKCKHCGIVLNCDSKHEIDNLKRYSENCVGGDTREIGQMISSNQHGSTLTRSSNLDPEKFRELVIGAIFMHNLPLSFVEYRGSRALSSYLHEDVTLISRNTLKAYMIKMHRAERSKIKCLLEETPGRINLTFDLWNSITTDTYICLIAHFVDKNWVLQKRVLNFSFMPPPYNCVALIEKVYALLAEWGIESKLFSVTLDNVLASNAFVELLKKNLNVRKTFLVGGKFFHLRCFAQVLNLIVQDSLKEVDCVVQKVRESVKYVKGSQVRKQKFLECVTLMKLNAKGGLRQDVSTKWNSTFLMLKRALYFRKAFSHLEIRDSNYRYCPSEDEWERVEKLYKLLAVFYDVTCVFSRTKYPTANLFFPSMFIAHSTLQEHMSGQDVYMKNMSTQMLVKFVKYWSDFSLILAIAVILDPRYKIHFVEWSYGKLYGNDSTQFKNVRDWLFSLYNEYAVKASPTPSSFNNTSDEHTLTEGKRDFFEEFDSYATVKFGAATQKSQLEWYLSEPMVERTKELNILQFWKENQYRYPELAAMARDVLSIPISATASEFAFSVGGKILDQHRSSLKPDILEATVCCKDWLFGEVEHEDMDLNVVIEDNMNSDVGMEEVTSANREVEVQE >EOY26573 pep chromosome:Theobroma_cacao_20110822:6:14353413:14354224:-1 gene:TCM_028400 transcript:EOY26573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVSTMLAEIREIMWLQPCKSTCGLATYIKPWPCHVYITDRGLATSNRPSTIQRFSSWSSLLHKDHTIAM >EOY27765 pep chromosome:Theobroma_cacao_20110822:6:23070143:23071354:-1 gene:TCM_029531 transcript:EOY27765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic MAAATMALSSPSFAGKAVKLSPLTPEIQGNGRVSMRKTGTRPPSGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVTDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >EOY28735 pep chromosome:Theobroma_cacao_20110822:6:26390272:26391486:-1 gene:TCM_030250 transcript:EOY28735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTYLCLDLVVGPVLFFLLFNDEEVPSANCLFGNLIKFYYKPHFEVSNSCSMMIVSYSQSRTRKKGTLL >EOY26812 pep chromosome:Theobroma_cacao_20110822:6:17990060:17994353:-1 gene:TCM_028767 transcript:EOY26812 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP IV family of homeobox-leucine zipper protein with lipid-binding START domain isoform 1 MGVDMSNPPTKDFFASPALSLSLAGIFRDAGAAAAAAAANMEVEEGDEGSGGGGSGKREETVEISSENSGPARSRSEDDLLEHDDEEDDGDKSKKKKRKKYHRHTAEQIREMEALFKESPHPDEKQRQQLSKQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKHELDKLRDDNKAMRETINKACCPNCGMATTSKDGSVTTEEQQLRIENAKLKAEVEKLRAAIGKYAPGAASTSSCSAGNDQENRSSLDFYTGIFGLEKSRIMEIVNQATEELKKMATASEPLWVRSVETGREILNYDEYVKEFSVENSSNGRPKRSIEASRETGVVFVDLPRLVQSFMDVNQWKEMFPCLVSKVATVDVICNGEAPNRNGAVQLMFAELQMLTPLVPTREVYFVRYCKQLSAEQWAIVDVSIDKVEENIDASLVKCRKRPSGCIIEDKSNGHCKVTWVEHLECQKSTVHTMYRTVVSSGLAFGARHWMATLQLQCERLVFFMATNVPTKDSTGVATLAGRKSILKLAQRMTWSFCHAIGASSYNTWNKVPSKTGEDIRVSSRKNLNDPGEPLGVIVCAVSSVWLPVSPNALFDFLRDEAHRNE >EOY26811 pep chromosome:Theobroma_cacao_20110822:6:17988857:17994430:-1 gene:TCM_028767 transcript:EOY26811 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP IV family of homeobox-leucine zipper protein with lipid-binding START domain isoform 1 MGVDMSNPPTKDFFASPALSLSLAGIFRDAGAAAAAAAANMEVEEGDEGSGGGGSGKREETVEISSENSGPARSRSEDDLLEHDDEEDDGDKSKKKKRKKYHRHTAEQIREMEALFKESPHPDEKQRQQLSKQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKHELDKLRDDNKAMRETINKACCPNCGMATTSKDGSVTTEEQQLRIENAKLKAEVEKLRAAIGKYAPGAASTSSCSAGNDQENRSSLDFYTGIFGLEKSRIMEIVNQATEELKKMATASEPLWVRSVETGREILNYDEYVKEFSVENSSNGRPKRSIEASRETGVVFVDLPRLVQSFMDVNQWKEMFPCLVSKVATVDVICNGEAPNRNGAVQLMFAELQMLTPLVPTREVYFVRYCKQLSAEQWAIVDVSIDKVEENIDASLVKCRKRPSGCIIEDKSNGHCKVTWVEHLECQKSTVHTMYRTVVSSGLAFGARHWMATLQLQCERLVFFMATNVPTKDSTGVATLAGRKSILKLAQRMTWSFCHAIGASSYNTWNKVPSKTGEDIRVSSRKNLNDPGEPLGVIVCAVSSVWLPVSPNALFDFLRDEAHRNEWDIMSNGGPVQSIANLAKGQDRGNAVTIQAMKSKENSMWVLQDSCTNAFESMVIFAPVDIAGMQSVITGCDSSNMAILPSGFSILPDGLESRPLVITSRQEKSNDTEGGSLLTIAFQILTNSSPTAKLTMESVESVNTLISCTLRNIKTSLQCEDG >EOY26176 pep chromosome:Theobroma_cacao_20110822:6:5219275:5227096:1 gene:TCM_027588 transcript:EOY26176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like transcription factor protein isoform 2 MSRLSFRPRPLDIHKKLPIVKSVKDFEDDETPTSATRNSQMLRLAAAEVQQTPTKKHAPEIPTPQFVVVDTYERDYSRTFFQPTSYLRARGARAEIGEFVEYDLDNEDEDWLQGYNKDKKILAPEKLESLLFKLEVLDHKARERAGVITPTLVSPIPVLLTMDAAIEALQSQSIKYGVFQSVYNYWKEKRERWQKPILRRLQPPPPVNDNNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKTLLEALIKREEKKRDVMESEVSLQRIQMKYKYETELLEDITLPGFAPISSKFGSSEDEFMDSDDLANSRPRTRPAAVQNPPLVDSNVAMVPAGNLKQEFRRRHMLHGWLHKLDPLEPVLLFTKPLVPDKLAAAGIVPPSDTSTTKNGASAPPYKFHGRIGRGGRIVFDRWNPLMHTPIDCGNSYYIPPKPRPSTYN >EOY26174 pep chromosome:Theobroma_cacao_20110822:6:5219385:5229569:1 gene:TCM_027588 transcript:EOY26174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like transcription factor protein isoform 2 MSRLSFRPRPLDIHKKLPIVKSVKDFEDDETPTSATRNSQMLRLAAAEVDNEVQQTPTKKHAPEIPTPQFVVVDTYERDYSRTFFQPTSYLRARGARAEIGEFVEYDLDNEDEDWLQGYNKDKKILAPEKLESLLFKLEVLDHKARERAGVITPTLVSPIPVLLTMDAAIEALQSQSIKYGVFQSVYNYWKEKRERWQKPILRRLQPPPPVNDNNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKTLLEALIKREEKKRDVMESEVSLQRIQMKYKYETELLEDITLPGFAPISSKFGSSEDEFMDSDDLANSRPRTRPAAVQNPPLVDSNVAMVPAGNLKQEFRRRHMLHGWLHKLDPLEPVLLFTKPLVPDKLAAAGIVPPSDTSTTKNGASAPPYKFHGRIGRGGRIVFDRWNPLMHTPIDCGNSYYIPPKPRPSTYN >EOY26175 pep chromosome:Theobroma_cacao_20110822:6:5219275:5227096:1 gene:TCM_027588 transcript:EOY26175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like transcription factor protein isoform 2 MSRLSFRPRPLDIHKKLPIVKSVKDFEDDETPTSATRNSQMLRLAAAEVDNEQTPTKKHAPEIPTPQFVVVDTYERDYSRTFFQPTSYLRARGARAEIGEFVEYDLDNEDEDWLQGYNKDKKILAPEKLESLLFKLEVLDHKARERAGVITPTLVSPIPVLLTMDAAIEALQSQSIKYGVFQSVYNYWKEKRERWQKPILRRLQPPPPVNDNNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKTLLEALIKREEKKRDVMESEVSLQRIQMKYKYETELLEDITLPGFAPISSKFGSSEDEFMDSDDLANSRPRTRPAAVQNPPLVDSNVAMVPAGNLKQEFRRRHMLHGWLHKLDPLEPVLLFTKPLVPDKLAAAGIVPPSDTSTTKNGASAPPYKFHGRIGRGGRIVFDRWNPLMHTPIDCGNSYYIPPKPRPSTYN >EOY25994 pep chromosome:Theobroma_cacao_20110822:6:3471249:3471751:-1 gene:TCM_027383 transcript:EOY25994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane lipoprotein-like protein MVSPKLQAMGFLFLSIVLFAQLNRIGAQSTNNCEIVKGTTCFVVSECIKPCESIGHTPTSALCIPSPIAGEGLTCCCSTL >EOY25763 pep chromosome:Theobroma_cacao_20110822:6:1772487:1786415:-1 gene:TCM_046820 transcript:EOY25763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin-associated and neddylation dissociated MANLQMTGILEKMTGKDKDYRYMATSDLLNELNKEGFKADSDLEIKLSNIILQQLDDVAGDVSGLAVKCLAPLVKKVGEPRVVEMTNKLCDNLLNGKDQHRDIASIALKTIIAEITTPSLAQSILISLSPQLIRGITGPGTSTEIKCECLDILCDVLHKFGNLMAADHEMLLNALLSQLSSNQASVRKKTVSCIASLSSSLSDELLAKTTIEVVRNLGSKGTKSELIRTNIQMIGALSRAVGYRFGPHLEDTVPVLINYCTTASENDEELREYSLQALESFLLRCPRDISSYCDEILHLALEYLSYDPNFTDNMEEDTDDENHEEEEDDESANEYTDDEDVSWKVRRAAAKCLAALIVSRPEMLCKLYEEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGQTDMNELSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADHIGTLIPGIEKALNDKSSTSNLKIEALIFTRLVLASHSPSVFHPYIKDLSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNLEVLDFDFKPYVHPIYNAIMSRLTNQDQDQEVKECAISCMGLVISTFGDNLGAELPACLPVLVDRMGNEITRLTAVKAFAVIAASQLWVDLSCVLEHVIAELTGFLRKANRALRQATLGTLNSLIVAYGDKIGPSAYEVIIVELSTLISDSDLHMTALALELCCTLMADKRSCRNVGSAVRNRVLPQALTLIKSSLLQGQALLALQNFFAALVYSANTSFDALLESLLSSAKPSPQSGGVAKQALYSIAQCVAVLCLAAGDQKCSSTVKMLTDILKDDSTTNSAKQHLALLCLGEIGRRKDLSSHAHIETIIIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILKLLFNHCESEEEGVRNVVAECLGKIALIEPVKLIPALKVRTTSPAAFTRATVVIAVKYSIVERPEKIDEIIYPEIASFLMLIKDQDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTIVKQELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLQKTINFKPKQDAVKQEVDRNEDMIRSALRAIASLNRISGGDCSLKFKNLMSEISKSPTLWDKYYSIRNE >EOY25732 pep chromosome:Theobroma_cacao_20110822:6:1467889:1471727:1 gene:TCM_027107 transcript:EOY25732 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT (membrane bound O-acyl transferase) family protein isoform 5 MELDMGTMAASIGVSVPVLRFLLCFVATIPVSFSWRLVPGRLAKHVYSAFSGALLSYLSFGFSSNLHFLVPMVLGYAAMVLYRPKCGVITFFLGFGYLIGCHVYYMSGDAWKEGGIDATGALMVLTLKVISCAINYNDGLLKEEGLREAQKKNRLIKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLDWTEGKGIWAHSDKRSPSPYGATFRALVQASICMAFYLYLVPYHPLTRFTEPIYQEWGLWRKLSYQYMSGFTARWKYYFIWSISEASIIISGLGFSGWTESSPPKPKWDRAKNVDILGVELAKSSVVLPLVWNIQVSTWLRHYVYERLITKGRKPGFFQLLATQTVSAVWHVSHFTLLKKFYDVHNTCHPFSFWYKTNL >EOY25731 pep chromosome:Theobroma_cacao_20110822:6:1467625:1472384:1 gene:TCM_027107 transcript:EOY25731 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT (membrane bound O-acyl transferase) family protein isoform 5 MELDMGTMAASIGVSVPVLRFLLCFVATIPVSFSWRLVPGRLAKHVYSAFSGALLSYLSFGFSSNLHFLVPMVLGYAAMVLYRPKCGVITFFLGFGYLIGCHVYYMSGDAWKEGGIDATGALMVLTLKVISCAINYNDGLLKEEGLREAQKKNRLIKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLDWTEGKGIWAHSDKRSPSPYGATFRALVQASICMAFYLYLVPYHPLTRFTEPIYQEWGLWRKLSYQYMSGFTARWKYYFIWSISEASIIISGLGFSGWTESSPPKPKWDRAKNVDILGVELAKSSVVLPLVWNIQVSTWLRHYVYERLITKGRKPGFFQLLATQTVSAVWHGLYPGYIIFFVQSALMIAGSRVIYRWEQATNMALVKKAFVFMNFAYTLLVLNYSAVGFMVLSMHETLASYSSVYYIGTILPIALILLGYIIPAKPARSKARKEQ >EOY25729 pep chromosome:Theobroma_cacao_20110822:6:1467889:1471727:1 gene:TCM_027107 transcript:EOY25729 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT (membrane bound O-acyl transferase) family protein isoform 5 MFRGDDTGALLSYLSFGFSSNLHFLVPMVLGYAAMVLYRPKCGVITFFLGFGYLIGCHVYYMSGDAWKEGGIDATGALMVLTLKVISCAINYNDGLLKEEGLREAQKKNRLIKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLDWTEGKGIWAHSDKRSPSPYGATFRALVQASICMAFYLYLVPYHPLTRFTEPIYQEWGLWRKLSYQYMSGFTARWKYYFIWSISEASIIISGLGFSGWTESSPPKPKWDRAKNVDILGVELAKSSVVLPLVWNIQVSTWLRHYVYERLITKGRKPGFFQLLATQTVSAVWHGLYPGYIIFFVQSALMIAGSRVIYRWEQATNMALVKKAFVFMNFAYTLLVLNYSAVGFMVLSMHETLASYSSVYYIGTILPIALILLGYIIPAKPARSKARKEQ >EOY25728 pep chromosome:Theobroma_cacao_20110822:6:1467625:1472387:1 gene:TCM_027107 transcript:EOY25728 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT (membrane bound O-acyl transferase) family protein isoform 5 MELDMGTMAASIGVSVPVLRFLLCFVATIPVSFSWRLVPGRLAKHVYSAFSGALLSYLSFGFSSNLHFLVPMVLGYAAMVLYRPKCGVITFFLGFGYLIGCHVYYMSGDAWKEGGIDATGALMVLTLKVISCAINYNDGLLKEEGLREAQKKNRLIKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLDWTEGKGIWAHSDKRSPSPYGATFRALVQASICMAFYLYLVPYHPLTRFTEPIYQEWGLWRKLSYQYMSGFTARWKYYFIWSISEASIIISGLGFSGWTESSPPKPKWDRAKNVDILGVELAKSSVVLPLVWNIQVSTWLRHYVYERLITKGRKPGFFQLLATQTVSAVWHGLYPGYIIFFVQSALMIAGSRVIYRWEQATNMALVKKAFVFMNFAYTLLVLNYSAVGFMVLSMHETLASYSSVYYIGTILPIALILLGYIIPAKPARSKARKEQ >EOY25730 pep chromosome:Theobroma_cacao_20110822:6:1467889:1471727:1 gene:TCM_027107 transcript:EOY25730 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT (membrane bound O-acyl transferase) family protein isoform 5 MVLTLKVISCAINYNDGLLKEEGLREAQKKNRLIKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLDWTEGKGIWAHSDKRSPSPYGATFRALVQASICMAFYLYLVPYHPLTRFTEPIYQEWGLWRKLSYQYMSGFTARWKYYFIWSISEASIIISGLGFSGWTESSPPKPKWDRAKNVDILGVELAKSSVVLPLVWNIQVSTWLRHYVYERLITKGRKPGFFQLLATQTVSAVWHGLYPGYIIFFVQSALMIAGSRVIYRWEQATNMALVKKAFVFMNFAYTLLVLNYSAVGFMVLSMHETLASYSSVYYIGTILPIALILLGYIIPAKPARSKARKEQ >EOY28431 pep chromosome:Theobroma_cacao_20110822:6:25343854:25344841:1 gene:TCM_030000 transcript:EOY28431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSQKKKRFANCKIVSSIELSINFSRLSLCKDDITNEALHFYELVDVKLPTQRSVGRNSCLVSPLSCKIIV >EOY26073 pep chromosome:Theobroma_cacao_20110822:6:4243978:4254155:-1 gene:TCM_046826 transcript:EOY26073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 17 isoform 1 MLSSTSNQLILLSFVLIFGTVTATDHIVGANKGWNPGINYTLWANNHTFYVGDLISFRYQKNQYNVFEVNQTGYDNCTTEGALGNWSSGKDFIPLNESKRYYFICGNGQCFNGMKVSVLVHPLPSPAASPVANHTSNSSAAAPIVLPGGVVGLRALVVALASIWFGSGWI >EOY26072 pep chromosome:Theobroma_cacao_20110822:6:4253216:4254155:-1 gene:TCM_046826 transcript:EOY26072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 17 isoform 1 MLSSTSNQLILLSFVLIFGTVTATDHIVGANKGWNPGINYTLWANNHTFYVGDLISFRYQKNQYNVFEVNQTGYDNCTTEGALGNWSSGKDFIPLNESKRYCFICGNGQCFNGMKVSVLVHPLPSPAASPVANHTSNSSAAAPVVLPGGVVGLRALVVALASIWFGSGWV >EOY26311 pep chromosome:Theobroma_cacao_20110822:6:7320710:7321964:-1 gene:TCM_027792 transcript:EOY26311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSAYENVIGGKLKLKGKALDVKAGGIKKKKKHRKHQDQITQATQNDLSAVGSAEVSVDPTEEDINDADKSSGEGKAPHYDDHLTPAERRYIEQREELDVHRLAKEANKSHRDRIQDFNQYLANMSEHYDIPKVGPG >EOY28770 pep chromosome:Theobroma_cacao_20110822:6:26496525:26502924:1 gene:TCM_030283 transcript:EOY28770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MNKIRSVPTSSTKSFNSIINNLSSQGSYHEVLVTYTTMLNSSTPDSYTFPSLLKACTSLNLFSIGLSVHQQVILRGFSSDSYTASSLINFYSKFGHTKHARKVFDKTLNRDVVPWTAIIGCYGRGGDVGNAFSMLNEMRYNGVEPSPVTFLSLLSGALEVAQVQALHGCAVLYGFESDIAVGNSLLNAYSKCGSIGEARGLFELMDQKDKISWNSLISGYAQLGNVEEILQLLYAMKIENMGPDQQTFGSLVTAVAAQSTLDIGRVVHGQILRSGFDSDAHIETALMVMYLKCGTTDAAYQIFEGVSQKDVVLWTAMISGLVQNNFADKALTVFYQMMKSRVEPSTATLTSALAACAQLGSFDLGTSIHAYILRHGLTIDIPVQNSLVTMYAKCGHLEQSCAVFERINKRDLVSWNAIISGCAQNDHLSKALFFFNKMRLTLQKPDSLTAVTLLQASASTGALHQGKWFHNFVIRSCLRPCILVDTALVDMYCKCGDLDAAVKCFRVMSQQDLISWSTIIAGYGSHGKGEMALNMYFEFLHSGMEPNKVIFLTVLSACSHNGLVDEGLSIFQSMARDFGIQPELEHRACIVDLLCRAGRVEEAYNFYKGNFSEPAVDVLSILLDACRANDNLELGDVIAQDVIRLRPASAANYVQIAHCYASMSRWNSVGEAWSQMRSLGLRKLPGWSFIDLHGTVTSFLSGQNAHPKHEEIVATLKTLSWEMSEVCMNFKMHQILDIFLETQSILNASECIHLVKTEQQQDSRTQLFNFREQGENREMKMTLEHNKSGDNGKAMRLGVCSGQLQPMQPTATVDFCNRRLLFATVGHANWPRRLIGFALGGTPSLPNRSNNWPVIVLVFMDGGKTGGGKERMRNTCFMLLLGTALGVGILVGVCKAQLAYDYYKYSCPNVENIARKVMLGVVLTDPTAPAAFLRLLFHDCQVQGCDASILLDSGALKGNSEVVSGRNFGIRKLETIEYIKYILEAVCPGQVSCADIIALAAKESVSLSGGPNIQIPLGRKDSTTCSRQAADTHLPSPDITVDQLLNIFMSKGLNLEESVAIMGGHTVGGGHCINIAGRLYGRHPDDPMNPGFEGLLRLYCPTKTPLANLTIVPNDKTPLIFDNLYFRDVLMGKGLFTVDSSISRDPRTAPIVRQFAANVNHFFQLFCVYDSVVYQQNFSRHSACHPTFILEVDELRVRVVQEIVIADHEELPNNAEASKGPTTPSLKRRMAAPRENFLANKKQGTDVELV >EOY28573 pep chromosome:Theobroma_cacao_20110822:6:25851985:25857081:-1 gene:TCM_030119 transcript:EOY28573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 25 MLVYKSFITLFFLSLFSFTIKAQPAYVVHDCSNTITFPTNGTYQADLNHLLSSLSSNATRGNRFYNTTSGRNSDMVYGLFLCRGDVSNSSCGECVSTATKDITQRCPVEKIANPRNVADQERFNQILADTMDAAATLAVSARSDFKYFATREASISGFQTLYSLVQCTPDLSSGDCNACLRGAIAALPDCCSGKQGARVLKPSCNIRYEVSPFYNQTTVAAPAAPSLLTPPPPAASDMHEPIYPTTLKSKGGGGQLNSFTSLGDPTYLYHFCSNVTTFTRNSVYITNINNLFSYLTSNATVLDGFYKTTAGQNPNMIHGLFLCRGDVLPEFCQYCIELAATDVAQRCPNQTWAIIWYDECMLRYSNQSIVDSTPERPKVNLRNNIDVMEPDRFNQQVATLMKNIATRASNASLGAKKFATEEVRLKAFLIVYSLAQCTPDISSGDCCRCLENAIADLLKCCSGKTGVFALYPSCTVQYQLAPFYNSSNQTIAAPPPASPALPLLLSPPPPGRKGMSSQRVIKIVVPTVGFLVFSTLCVLGWKARKKLIVQKMQSGKSKARNMQSLQFDLSIVEAATNKFAEVNKIGAGGFGSVYKGTLPDGQEIAVKRLSTSSGQGDEEFQNEVQLVAKLQHRNLVKLYGYCLEAKERMLIYEFVPNRSLDYFIFDPEKQRQLDWPTRYKIIKGIARGLLYLHSDSHLKIVHRDLKASNILLDEDITPKISDFGMARIVGENKSVECTKRIVGTYGYMSPEYAMHGRFSEKSDVFSFGILILEIICAKMNTSFCHSQYTDNLLTHVWRHWKNGTPIDLMDSTLQDSYVSNEVLRCIQIGLLCVQEDPGARPTMARVVLMLSSSSVTLPSPQKTAFFFGTITGRKFSEQKSDRSKSTSSSATANEASISELFAR >EOY28014 pep chromosome:Theobroma_cacao_20110822:6:23940087:23943446:-1 gene:TCM_029704 transcript:EOY28014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase-like protein family isoform 1 MAMASLIQAGCGYSPIFSNNSNNCSFRTGSFGPFGCSSPSSSCTCSSSSHNLDFRSGTNNNWKHQGHRAQAMSTAPQGNFASSKSISNGKNEPDHLLVLVHGILASPSDWTYVEAELKRRLGRNFLIYASSCNTYTKTLTGVDGAGKRLADEVLQVVKKTESLKKISFLAHSLGGLFARYAVAVLYTENDSSGTQSDKVTDSSEGNLQTSRPSRRGTIAGLEAVSFITLATPHLGVRGRKQIWLAGAHPPSGGRKNLLSPHYDLWMVTNMLWMWTTVHQFLLMAPVFLPKLPKQRRQHRMHLTYKTQSNIMNSWKRK >EOY28012 pep chromosome:Theobroma_cacao_20110822:6:23940034:23943531:-1 gene:TCM_029704 transcript:EOY28012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase-like protein family isoform 1 MAMASLIQAGCGYSPIFSNNSNNCSFRTGSFGPFGCSSPSSSCTCSSSSHNLDFRSGTNNNWKHQGHRAQAMSTAPQGNFASSKSISNGKNEPDHLLVLVHGILASPSDWTYVEAELKRRLGRNFLIYASSCNTYTKTLTGVDGAGKRLADEVLQVVKKTESLKKISFLAHSLGGLFARYAVAVLYTENDSSGTQSDKVTDSSEGNLQTSRPSRRGTIAGLEAVSFITLATPHLGVRGRKQLPFLLGVPILEKLAPPIAPFFVGRTGKQLFLTDGKPNRPPLLLRMASDCEDGKFLSALGAFRCRIAYANVSYDHMVGWRTSSIRREKELVKPPLRSLDGYKHVVDVDYCPPVSSDGPSFPPEAAKAKEAAQNAPNVQNTVEYHELMEEEMIQGLQKVGWKKVDVSFHSALWPFFAHNNIHVVSPS >EOY28013 pep chromosome:Theobroma_cacao_20110822:6:23939645:23943531:-1 gene:TCM_029704 transcript:EOY28013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase-like protein family isoform 1 MAMASLIQAGCGYSPIFSNNSNNCSFRTGSFGPFGCSSPSSSCTCSSSSHNLDFRSGTNNNWKHQGHRAQAMSTAPQGNFASSKSISNGKNEPDHLLVLVHGILASPSDWTYVEAELKRRLGRNFLIYASSCNTYTKTLTGVDGAGKRLADEVLQVVKKTESLKKISFLAHSLGGLFARYAVAVLYTENDSSGTQSDKVTDSSEGNLQTSRPSRRGTIAGLEAVSFITLATPHLGVRGRKQLPFLLGVPILEKLAPPIAPFFVGRTGKQLFLTDGKPNRPPLLLRMASDCEDGKFLSALGAFRCRIAYANIDMVGWRTSSIRREKELVKPPLRSLDGYKHVVDVDYCPPVSSDGPSFPPEAAKAKEAAQNAPNVQNTVEYHELMEEEMIQGLQKVGWKKVDVSFHSALWPFFAHNNIHVKNEWFHNAGAGVVAHVADSLKQQESSSPFISASL >EOY28011 pep chromosome:Theobroma_cacao_20110822:6:23939799:23943531:-1 gene:TCM_029704 transcript:EOY28011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase-like protein family isoform 1 MAMASLIQAGCGYSPIFSNNSNNCSFRTGSFGPFGCSSPSSSCTCSSSSHNLDFRSGTNNNWKHQGHRAQAMSTAPQGNFASSKSISNGKNEPDHLLVLVHGILASPSDWTYVEAELKRRLGRNFLIYASSCNTYTKTLTGVDGAGKRLADEVLQVVKKTESLKKISFLAHSLGGLFARYAVAVLYTENDSSGTQSDKVTDSSEGNLQTSRPSRRGTIAGLEAVSFITLATPHLGVRGRKQLPFLLGVPILEKLAPPIAPFFVGRTGKQLFLTDGKPNRPPLLLRMASDCEDGKFLSALGAFRCRIAYANVSYDHMVGWRTSSIRREKELVKPPLRSLDGYKHVVDVDYCPPVSSDGPSFPPEAAKAKEAAQNAPNVQNTVEYHELMEEEMIQGLQKVGWKKVDVSFHSALWPFFAHNNIHVKNEWFHNAGAGVVAHVADSLKQQESSSPFISASL >EOY28321 pep chromosome:Theobroma_cacao_20110822:6:24922392:24925110:-1 gene:TCM_029919 transcript:EOY28321 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ subfamily C member 22 MASTLLLALVFVIDLIAFGLAVAAEQRRSTAAVANDGNESYCVYDKDIATGLGVGSFLFLLLSQILIMVASRCLCCGKAMRPSGSRAWAIVLFITCWVFFFIAEVCLLLGSVRNAYHTKYKNLLNNPPTCATLRKGVFGAGAAFVFLTAIVSELFYVSYSKANELQANHGRDTGVRMGNI >EOY27573 pep chromosome:Theobroma_cacao_20110822:6:22430933:22433347:-1 gene:TCM_029402 transcript:EOY27573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MALSTMIHNHLPTALNTDVNRISAIRPHQEVVFHQPKASKASNRGARRLAESLSNLLHLHIEPPSRKNLQHSNWDLFFEEKHNTPTTSPKQMIADKWRDIHGSMDWVNLLDPLHPWLRREIVKYGEFAQATYDAFDFDSFSEFCGSCRYNRNKLFEKLGHGKNGYKVTKYIYAMSHIEMPQWLERSHLMDTWSKDSNWMGYVAVSDDEETRRIGRRDIVVAWRGTVAPAEWYEDFQRNLEPIGIGDAKVEHGFLSIYTSKNESTRYNKSSASEQVMEEVTKLVQFYQGNGEEVSLTITGHSLGGALALLNAYEAAASLPGLPVSVISFGAPRVGNSAFRDELDGLGVKTLRVVVKQDLVPRMPGIVFNESLQRFDDITGTLEWVYTHVGAELRLDVSSSPYLKRGFSPLGFHSLETYLHLVDGFHSADSAFRSDARRDVSLVNKACDMLVDKLRIPHCWYQLSNKGLVRNEHGRWVKPRRDPEDIPSPIGEACDYALKIEGQESYQMLYAS >EOY28052 pep chromosome:Theobroma_cacao_20110822:6:24076416:24077604:1 gene:TCM_029732 transcript:EOY28052 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H MWGMDVIGLITPKASNGHRFILVAIDYFTKWVEAASYANVTQKVVCKFIQKEIICRYGLPERIITDNASNLNGAMVKDVCTKFKIKHHNSTTYRPKMNGAVEAANKNIKKIVEKMTEVYKDWHEKLPFALHAYRTSVRTSTGATPYSLVYGAEAVLPVEVEIPSLRVLMETELEDAEWVRSRYEQLNLIEEKRLAALCHGQMYQRRMMRAYEKKVHPRQFREGELVLKRILPNQTDFQGKWMPNWEGPYVVKKAFSGGALILANMDGGDLPNPINTDAVKKYYA >EOY25561 pep chromosome:Theobroma_cacao_20110822:6:446218:452402:1 gene:TCM_026947 transcript:EOY25561 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1 zinc finger family protein isoform 2 MFVARYAIQLPKSLPLSNADGDLGYPDHVSSNQPKRNDMAQLICGGCRTLLMYTRGATSVRCSCCHTINFAQASNQIAHINCGHCRTTLMYPYGAPSVKCAVCQYVTNVGMGNVRVPLPVNRPNGVAATGTTPSTST >EOY25562 pep chromosome:Theobroma_cacao_20110822:6:446248:452717:1 gene:TCM_026947 transcript:EOY25562 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1 zinc finger family protein isoform 2 MQSQLVCSGCRSILLYPRGAANVCCALCNTVTQVPPPGNDMAQLICGGCRTLLMYTRGATSIAHINCGHCRTTLMYPYGAPSVKCAVCQYVTNVGMGNVRVPLPVNRPNGVAATGTTPSTSTSQTVVVENPMSVDESGKLVTNVVVGVT >EOY25560 pep chromosome:Theobroma_cacao_20110822:6:446207:452751:1 gene:TCM_026947 transcript:EOY25560 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1 zinc finger family protein isoform 2 MQSQLVCSGCRSILLYPRGAANVCCALCNTVTQVPPPGNDMAQLICGGCRTLLMYTRGATSVRCSCCHTINFAQASNQIAHINCGHCRTTLMYPYGAPSVKCAVCQYVTNVGMGNVRVPLPVNRPNGVAATGTTPSTSTSQTVVVENPMSVDESGKLVTNVVVGVTTDKK >EOY25486 pep chromosome:Theobroma_cacao_20110822:6:228227:229360:-1 gene:TCM_026903 transcript:EOY25486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 7 MQQRPQTIAALICWTAFSFVKEMGEGRGRGRGRSEIEKERTKMRERQRRAITTNIFHGLRRHGGYHLFPRADINQVLRQLANEAGWVVEPDGTTYRSVSFSKTANCCPVCGAMKPITAPTPSSSVVIGGGECSTTASPRHNPVAAVEDPIRVIDSFCDNLIPIAPYIYGGEDGVSTLALQQLYPQEAAPPSQCMPPGSPLVRGNKEENI >EOY26015 pep chromosome:Theobroma_cacao_20110822:6:3646825:3647457:-1 gene:TCM_027407 transcript:EOY26015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKNVAVTCLKWNRRIDIAKFIMDDMLRTIQRGIQNLFYGMLVSAIIDNFSVDTQCDPPKSHALFNPIDEHIVKKLGFKLKNDNWVRKGVLDLSVIDDEGG >EOY27531 pep chromosome:Theobroma_cacao_20110822:6:22287461:22293400:1 gene:TCM_029371 transcript:EOY27531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MESSEEDDDFPSIESITPQSKIDSVHQSHTEKGIRKLCCELLDLKDAVENLCGNMRTKYLAFLRISEEVVEMEHELIELRRHISSQGILVQDLISGVCCELDEWNRANADMNDTPPDPEISKIQDPLPNKMDDHKKIFLEKIDVLLAEHKVEEAQQALEAEERNFPELKGSGDSSTEASTYKSSFLERKAMLEDQLIEIAEQPAVSANELKKALSGLIKLGKGPSAHQLLLKCSGSRLQKNIEVFLPSCSVCPKTFPATLSRLVFSMISLTTRESGLIFGDNPVYTNRVVQWAEWEIEFFVRLVKDNAPSSETVSALRAASICVQDSLNYCSMLESQGLKLSKLLLVLLRPYIEEVLELNFRRARKAVFDSIEVDENLPMSPHFVSSLTAFATSSDSVLIDSGMKFLFIMADILDQLTPLVVLHFGGNVLTRISQLFDKYMDALIRALPGPSDDDSLTELKETIPFRAETDSEQLAILGIAFTIMDELLPSRVVKIWSPKSESQEPGNEHIVPNASTTTELKDWRRQLQHSFDKLRDHFCRQYVLSFIYSREGKTRLNAQIYLGGDGEDSQWDTLPSLPFQALFSKLQQLATVAGDVLLGKEKLQKILLARLTETVLMWLSDEQEFWGVFEDKSTPLQPLGLQQLVLRVTHLGMCTRLHLP >EOY27530 pep chromosome:Theobroma_cacao_20110822:6:22287467:22293400:1 gene:TCM_029371 transcript:EOY27530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MESSEEDDDFPSIESITPQSKIDSVHQSHTEKGIRKLCCELLDLKDAVENLCGNMRTKYLAFLRISEEVVEMEHELIELRRHISSQGILVQDLISGVCCELDEWNRANADMNDTPPDPEISKIQDPLPNKMDDHKKIFLEKIDVLLAEHKVEEAQQALEAEERNFPELKGSGDSSTEASTYKSSFLERKAMLEDQLIEIAEQPAVSANELKKALSGLIKLGKGPSAHQLLLKCSGSRLQKNIEVFLPSCSVCPKTFPATLSRLVFSMISLTTRESGLIFGDNPVYTNRVVQWAEWEIEFFVRLVKDNAPSSETVSALRAASICVQDSLNYCSMLESQGLKLSKLLLVLLRPYIEEVLELNFRRARKAVFDSIEVDENLPMSPHFVSSLTAFATSSDSVLIDSGMKFLFIMADILDQLTPLVVLHFGGNVLTRISQLFDKYMDALIRALPGPSDDDSLTELKETIPFRAETDSEQLAILGIAFTIMDELLPSRVVKIWSPKSESQEPGNEHIVPNASTTTELKDWRRQLQHSFDKLRDHFCRQYVLSFIYSREGKTRLNAQIYLGGDGEDSQWDTLPSLPFQALFSKLQQLATVAGDVLLGKEKLQKILLARLTETVLMWLSDEQEFWGVFEDKSTPLQPLGLQQLILDMHFTVEIARFAGYPSRHVHQIASAITARAIRTFTARDVESALPEDEWFVETAKSAINKLLMVASGSDTSEIDEDHIMIHDDIGSDSDDSASSLSSVESFESFASASMGELESPNFTDQES >EOY28437 pep chromosome:Theobroma_cacao_20110822:6:25357145:25357777:-1 gene:TCM_030005 transcript:EOY28437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSYLSRVCMAASVAAVEGHRDCSSKWNSGLRPINASKRRYASTASSSDDRLRARGKPKEDNGNQSEESLQRVMYLNCWTQS >EOY25673 pep chromosome:Theobroma_cacao_20110822:6:1115843:1117489:1 gene:TCM_027057 transcript:EOY25673 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding and BBE domain-containing protein MFNLRSIQIDMASETAWVQAGATTGELYYRIAEKSKVHGFPSGVCTTLGIGGHFSGGGYGPLMRKYGLSIDNVIDAQLIDANGRILNRKSMGEDVFWAIRGGGGTSFGIILSWTIKLVRVPAKVTVFNVQRTLDQGATDLSYRWQQVAPNLPKDLFLRLQAEPITGTGSGNKTIRVSFIAHFLGQTDRLIQLMNTNFPELGLQRSDCIEMSWVESTLFWAGFPNGTSIDVLLNRVQEDRDFYKTKSDYYKKVIPKEGLETLWEILMDIEDIVIQLNPYGGRMEEISESETAFAHRAGNLYKVQYTVLWSESDGGISAAERYVELSRRLYSAVTPYASSNPREAFINYRDLDIGSNESNNTDFAVASVYGAKYFKNNFLRLTRVKAMIDPENFFKNEQSIPPLPSHKF >EOY28342 pep chromosome:Theobroma_cacao_20110822:6:25064668:25066064:1 gene:TCM_029939 transcript:EOY28342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MEREEDIHYWGNISEEDYHKQQGIKGSKSFYTSPRGLSLFTRSWLPISGTPRGVIFGVHGYGNDMSWTFQSTPIFLAQKGFACFALDLEGHGRSQGLRGYVPNVDLVVQDCLSYFNLIKQDPNLDGLPCFLYGESMGGAICLLIHFADPKGFRGAILVSPMCKISDKVKPRWPLPQVLTFIAKLLPTLAIVPTEDLLYKSVKVEEKKIIGNKNPLRYRGKPRLGTVVELLRVTEYLSQKLCDVSIPFLVVHGSADVVTDPAVSRTLYEEASSQDKTIKIYEGMWHSLLFGEPDDNIEIVRSDILSWLNDRCNTKI >EOY26148 pep chromosome:Theobroma_cacao_20110822:6:5010427:5016647:-1 gene:TCM_027561 transcript:EOY26148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MEEQEEKETKQPRHQDSGGNHTRRNNVRKAFGEMMLRPLQWLKMLGEELHWSFVLGVVIVYGISQGFGVGLSRVSTQYYMKDVQKVQPSQAQVLIGVIHFPWIVKPLWGLLTDVVPIFGYRRRPYFIFAGLLGVISMLVLSLHKSLHLAFALLSLVAGSAGIAIADVAIDACVTQHTISHPSLAGDMQSLCGLSSSIRALIGFSLSGFFVHLAGAKGVFGLLSIPASLVVLVGILLRESHVRNFAYKRVKEKLVDASKTMWTTLKCQDVWRPCLYMYLSLALGLHIHEGMFYWYTDAKEGPSFSQEVVGSIFSVGAVGSLFGVLLYQNFLKNHPFRDVLFWAQLLYGMSGLLDLVLVLRINLKLGMPDYIFVVIDEAVSRLIGRIKWMPFLVLSSKLCPAGIEGTFFALIMSIDHIGLLSSAWSGGLVLHILNVTRTQFDNLWIAILIRSLLRLIPIGFLFLIPRSDPKLSILPSEMLRTKKSNDILEQENIEMASLVNSID >EOY26784 pep chromosome:Theobroma_cacao_20110822:6:17848450:17849345:-1 gene:TCM_028742 transcript:EOY26784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLMDSIQKSKNFFHKTVENLKSLFFVGYQKLSKPPLLVLDSFSCTGGSRKKHQQDQFYTDFSDDWEVCLEEAKMRKQNDAMTLKGQMMEEDAYSGSSMDFADKNPVKNKKQNGGKEEIKKVGNSQLVKGEEQYYYKRNGGGYALAQKMKELEMMDVGDMEHVLDVEEALHYYSRLKSPVYLSIVDKFFMDMYSDFSVPQASASINRSKRRFRSIRL >EOY27543 pep chromosome:Theobroma_cacao_20110822:6:22344882:22346197:1 gene:TCM_029384 transcript:EOY27543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-A1, putative MGASESQVSVPNVENNIVKPQNQENHAQPMAKPAHPELVDAKAKAMEKTEAIIQLPQNYKAILRDADSPVESSSVDKLLSQLHAGVYLNQKRKKYWVDKKSNKNCFMLFARDLSITWAEDYRYWHWSNQKETNSDVFIDVAELLQVCWLEIHVKFDVAKLSPGTLYGVAFVFMLRDEAFGWEFPVNFRVTLPNGYKVEHKVNLMTKPKEVWIEIPIGEFTTSSQSVGEIDIYCHEYEIGNWKGGLIVKGVSILPKN >EOY25544 pep chromosome:Theobroma_cacao_20110822:6:400121:406732:-1 gene:TCM_026938 transcript:EOY25544 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein isoform 2 MAMRMRTYGHRLRALLSNSFSKVEHQSQQLLVSSSPFHSFPTRSFASSNLSSHFESVGFIGLGNMGSRMANNLLKAGYKVTVHDVNCNVMKMYSDMGVSTKQTPFEVAEASEVVITMLPSSSHVLEVYNGPNGLLHGGSLLTPRLLIDSSTIDPQTSRKLSVSVSNCTLKDTKDNWESPLMLDAPVSGGVVAAEAGTLTFMVGGSEDAYLAAKSLLLSMGKNAIFCGGPGTGSAAKICNNLAVAVSMLGVMPGVPSSRNYSGGFASKLMAKDLNLAAASAEEVGVKSPLTFLAQNIYAEICENGHESEDFSCVFHHYYSGKG >EOY25542 pep chromosome:Theobroma_cacao_20110822:6:400109:406721:-1 gene:TCM_026938 transcript:EOY25542 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein isoform 2 MAMRMRTYGHRLRALLSNSFSKVEHQSQQLLVSSSPFHSFPTRSFASSNLSSHFESVGFIGLGNMGSRMANNLLKAGYKVTVHDVNCNVMKMYSDMGVSTKQTPFEVAEASEVVITMLPSSSHVLEVYNGPNGLLHGGSLLTPRLLIDSSTIDPQTSRKLSVSVSNCTLKDTKDNWESPLMLDAPVSGGVVAAEAGTLTFMVGGSEDAYLAAKSLLLSMGKNAIFCGGPGTGSAAKICNNLAVAVSMLGVSEALALGQSLGITASTLTKIFNCSSARCWSSDSYNPVPGVMPGVPSSRNYSGGFASKLMAKDLNLAAASAEEVGVKSPLTFLAQNIYAEICENGHESEDFSCVFHHYYSGKGEPN >EOY25543 pep chromosome:Theobroma_cacao_20110822:6:399790:406944:-1 gene:TCM_026938 transcript:EOY25543 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein isoform 2 MAMRMRTYGHRLRALLSNSFSKVEHQSQQLLVSSSPFHSFPTRSFASSNLSSHFESVGFIGLGNMGSRMANNLLKAGYKVTVHDVNCNVMKMYSDMGVSTKQTPFEVAEASEVVITMLPSSSHVLEVYNGPNGLLHGGSLLTPRLLIDSSTIDPQTSRKLSVSVSNCTLKDTKDNWESPLMLDAPVSGGVVAAEAGTLTFMAAKICNNLAVAVSMLGVSEALALGQSLGITASTLTKIFNCSSARCWSSDSYNPVPGVMPGVPSSRNYSGGFASKLMAKDLNLAAASAEEVGVKSPLTFLAQNIYAEICENGHESEDFSCVFHHYYSGKGEPN >EOY25546 pep chromosome:Theobroma_cacao_20110822:6:402401:406915:-1 gene:TCM_026938 transcript:EOY25546 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein isoform 2 MAMRMRTYGHRLRALLSNSFSKVEHQSQQLLVSSSPFHSFPTRSFASSNLSSHFESVGFIGLGNMGSRMANNLLKAGYKVTVHDVNCNVMKMYSDMGVSTKQTPFEVAEASEVVITMLPSSSHVLEVYNGPNGLLHGGSLLTPRLLIDSSTIDPQTSRKLSVSVSNCTLKDTKDNWESPLMLDAPVSGGVVAAEAGTLTFMVGGSEDAYLAAKSLLLSMGKNAIFCGGPGTGSAAKICNNLAVAVSMLGVSEALALGQSLGITASTLTKIFNCSSARCW >EOY25545 pep chromosome:Theobroma_cacao_20110822:6:400166:406889:-1 gene:TCM_026938 transcript:EOY25545 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein isoform 2 MAMRMRTYGHRLRALLSNSFSKVEHQSQQLLVSSSPFHSFPTRSFASSNLSSHFESVGFIGLGNMGSRMANNLLKAGYKVTVHDVNCNVMKMYSDMGVSTKQTPFEVAEASEVVITMLPSSSHVLEVYNGPNGLLHGGSLLTPRLLIDSSTIDPQTSRKLSVSVSNCTLKDTKGVSEALALGQSLGITASTLTKIFNCSSARCWSSDSYNPVPGVMPGVPSSRNYSGGFASKLMAKDLNLAAASAEEVGVKSPLTFLAQNIYAEICENGHES >EOY25606 pep chromosome:Theobroma_cacao_20110822:6:634827:640333:1 gene:TCM_026987 transcript:EOY25606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein isoform 1 MREENPSENRAKASKFADQNQAPRSHNTKTTTHQSKPKSSWGSHIVKGFTADKKTKVQTITVPTKKETISNSDAGNQKNPSLASHSRVKRSLISDLACSVNANQVHPQVYQTHRRQSSGSRDLFIELDHVRSLLQESKERELKLQAELAEWKTNAKVLDLERQLQRRNSEVDDLSHRVGLLESEKTSLCEQVATLSSILERNEDNLEISKEPQSIRNLEMEVVELRRLNKELQLQKRNLACKLSSLESELASLAKANESDVVAKIKAEASMLRHTNENLSKQVEGLQMSRLNEVEELAYLRWVNSCLRDELRNSCSTMNFDKTLSPAQSKGEYVDTPNSLSCKSPEYSSVMRLSLIKKLKKWPISSQDFSSTECAANLVDKDWVHLEEGRSPGRRHSISGSKCYVEELIPNKRRQSDGFMCTKEVEREAEPLSSQKYGSVQRMRFFGNCQETNKPAASLDVEKRALRIPNPPPRPSCSISNGPKEESSTQIPPPPPPPPPPPPKFSVRSGAGLVQRAPQVVEFYHSLMKRDSRKDSTNGGICDVPDVANVRSSMIGEIENRSSHLLAIKADVETQGEFVNSLIREVNNAVYQNIEDVVAFVKWLDDELCYLVDERAVLKHFAWPEKKADTLREAAFGYRDLKKLESEVLYYKDDSRMPCDIALKKMVALSEKMERTVYNLLRTRESSMRNCKQFQIPTDWMLDNGIISKIKLGSVKLAKKYMKRVAMELQLKATLEKDPSMDYMLLQGVRFAFRIHQFAGGFDSETMHAFEELRNLANLLNKK >EOY25607 pep chromosome:Theobroma_cacao_20110822:6:634901:639610:1 gene:TCM_026987 transcript:EOY25607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein isoform 1 MREENPSENRAKASKFADQNQAPRSHNTKTTTHQSKPKSSWGSHIVKGFTADKKTKVQTITVPTKKETISNSDAGNQKNPSLASHSRVKRSLISDLACSVNANQVHPQVYQTHRRQSSGSRDLFIELDHVRSLLQESKERELKLQAELAEWKTNAKVLDLERQLQRRNSEVDDLSHRVGLLESEKTSLCEQVATLSSILERNEDNLEISKEPQSIRNLEMEVVELRRLNKELQLQKRNLACKLSSLESELASLAKANESDVVAKIKAEASMLRHTNENLSKQVEGLQMSRLNEVEELAYLRWVNSCLRDELRNSCSTMNFDKTLSPAQSKGEYVDTPNSLSCKSPEYSSVMRLSLIKKLKKWPISSQDFSSTECAANLVDKDWVHLEEGRSPGRRHSISGSKCYVEELIPNKRRQSDGFMCTKEVEREAEPLSSQKYGSVQRMRFFGNCQETNKPAASLDVEKRALRIPNPPPRPSCSISNGPKEESSTQIPPPPPPPPPPPPKFSVRSGAGLVQRAPQVVEFYHSLMKRDSRKDSTNGGICDVPDVANVRSSMIGEIENRSSHLLAIKADVETQGEFVNSLIREVNNAVYQNIEDVVAFVKWLDDELCYLVDERAVLKHFAWPEKKADTLREAAFGYRDLKKLESEVLYYKDDSRMPCDIALKKMVALSEKMERTVYNLLRTRESSMRNCKQFQIPTDWMLDNGIISKRSRKAKRTCALLSYAY >EOY26837 pep chromosome:Theobroma_cacao_20110822:6:18409789:18433412:1 gene:TCM_028812 transcript:EOY26837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKLMLSLAGFRSAFGVMSAYRDVAAVVTGPMGVPGGEQSTLVKVQRLCLETGIRSTSTPRTCESLCESLARFRSTFGVKNVCRGFAAVVTGSMGSSGDSGFVLLVIRVHSVMMPSVKAFSDDDYVLCRLLRQWGVTMMICCLSVGHCSCMPVMNPRICLPDSCMPIMDHWVWIVINLVLEGIVKIQPKTRATSWAMTEYDVFDETMVRPQTSSLRSRGRARAARCAQNVEPSDVPISDDYKEGRNDHSTRRHAT >EOY26632 pep chromosome:Theobroma_cacao_20110822:6:16100795:16118718:1 gene:TCM_028547 transcript:EOY26632 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative MGEEISCETPLGLPIIDFSNKDLKQGTPEWDSVKIQVRKALQEFGCFEALVDEVPPELREAVFGALKELFDLPLETKMLNVSEKPYHGYLGVHPERSPLYESFGIEDPNIENVEGLSNILWPEGNLAFSKPIHYLAEQVLGLERMVRRMILESLSLEKYMEEHMDSNYYLLRLMKYKGPETTEAKLGLYGHTDKNIMTILYQNNDVHALQVRAKDGEWIHVKPSPRSFIVMIGDPLKAWLNGHMHSPFHRVMMKGNETRFSTGLFSIPKAGYTIKAPEELVDEQHPLLFKPFTYDEFLGFLYTEAGQTAECALTDYCGV >EOY25459 pep chromosome:Theobroma_cacao_20110822:6:62681:73199:-1 gene:TCM_026881 transcript:EOY25459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec10 isoform 6 MKERSKSSSASNLPLILDIDDFKLLPSFQEEEADTADGHSIGGTDVLPNGHIRVSSDATKFAQGLSAPLFPEVDALLSLFKDSCRELVDLRKQIDGKLYNLKKEVSTQDAKHRKTLTELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIARAVPSVVGSVTASRGLEVAVANLQEYCNELENRLLARFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDTRLVLGNQGSQASPSNVARGLSSLYKEITDTIRKEAATIMAVFPSPNDVMSILVQRVLEQRVTTLLDKLLSKPSLVNPPPIEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSVHKDEYPEHEQASLRQLYQAKLEELRAESQKVSESSGTIGRSKGASVASSHQPISVAVVTEFVRWNEEAISRCTLFSSQPATLAANVKAVFTCLLDQVSQYITDGLERARDNLTEAAALRERFVLGTNLSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKATDYCSPDDGMAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLIHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGM >EOY25464 pep chromosome:Theobroma_cacao_20110822:6:62958:69293:-1 gene:TCM_026881 transcript:EOY25464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec10 isoform 6 MEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIARAVPSVVGSVTASRGLEVAVANLQEYCNELENRLLARFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDTRLVLGNQGSQASPSNVARGLSSLYKEITDTIRKEAATIMAVFPSPNDVMSILVQRVLEQRVTTLLDKLLSKPSLVNPPPIEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSVHKDEYPEHEQASLRQLYQAKLEELRAESQKVSESSGTIGRSKGASVASSHQPISVAVVTEFVRWNEEAISRCTLFSSQPATLAANVKAVFTCLLDQVSQYITDGLERARDNLTEAAALRERFVLGTNLSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKATDYCSPDDGMAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLIHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGM >EOY25458 pep chromosome:Theobroma_cacao_20110822:6:62958:69465:-1 gene:TCM_026881 transcript:EOY25458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec10 isoform 6 MAFPSCIYVVFSCQSADAQRETASQTIELIKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIARAVPSVVGSVTASRGLEVAVANLQEYCNELENRLLARFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDTRLVLGNQGSQASPSNVARGLSSLYKEITDTIRKEAATIMAVFPSPNDVMSILVQRVLEQRVTTLLDKLLSKPSLVNPPPIEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSVHKDEYPEHEQASLRQLYQAKLEELRAESQKVSESSGTIGRSKGASVASSHQPISVAVVTEFVRWNEEAISRCTLFSSQPATLAANVKAVFTCLLDQVSQYITDGLERARDNLTEAAALRERFVLGTNLSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKATDYCSPDDGMAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLIHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGM >EOY25456 pep chromosome:Theobroma_cacao_20110822:6:60252:73191:-1 gene:TCM_026881 transcript:EOY25456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec10 isoform 6 MKERSKSSSASNLPLILDIDDFKGDFSFDALFGNLVNELLPSFQEEEADTADGHSIGGTDVLPNGHIRVSSDATKFAQGLSAPLFPEVDALLSLFKDSCRELVDLRKQIDGKLYNLKKEVSTQDAKHRKTLTELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIARAVPSVVGSVTASRGLEVAVANLQEYCNELENRLLARFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDTRLVLGNQGSQASPSNVARGLSSLYKEITDTIRKEAATIMAVFPSPNDVMSILVQRVLEQRVTTLLDKLLSKPSLVNPPPIEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSVHKDEYPEHEQASLRQLYQAKLEELRAESQKVSESSGTIGRSKGASVASSHQPISVAVVTEFVRWNEEAISRCTLFSSQPATLAANVKAVFTCLLDQVSQYITDGLERARDNLTEAAALRERFVLGTNLSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVSCSLPVSSNILILLDNSMGDNYRIWVERLLSAEQKATDYCSPDDGMAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLIHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGILANVFIVAPESLSTLFEGTPSIRKDAQRFIQLREDYKSAKLASRLSSLWSSSS >EOY25457 pep chromosome:Theobroma_cacao_20110822:6:60717:73163:-1 gene:TCM_026881 transcript:EOY25457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec10 isoform 6 MKERSKSSSASNLPLILDIDDFKGDFSFDALFGNLVNELLPSFQEEEADTADGHSIGGTDVLPNGHIRVSSDATKFAQGLSAPLFPEVDALLSLFKDSCRELVDLRKQIDGKLYNLKKEVSTQDAKHRKTLTELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIARAVPSVVGSVTASRGLEVAVANLQEYCNELENRLLARFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDTRLVLGNQGSQASPSNVARGLSSLYKEITDTIRKEAATIMAVFPSPNDVMSILVQRVLEQRVTTLLDKLLSKPSLVNPPPIEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSVHKDEYPEHEQASLRQLYQAKLEELRAESQKVSESSGTIGRSKGASVASSHQPISVAVVTEFVRWNEEAISRCTLFSSQQPATLAANVKAVFTCLLDQVSQYITDGLERARDNLTEAAALRERFVLGTNLSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKATDYCSPDDGMAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLIHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGILANVFIVAPESLSTLFEGTPSIRKDAQRFIQLREDYKSAKLASRLSSLWSSSS >EOY25461 pep chromosome:Theobroma_cacao_20110822:6:61127:69299:-1 gene:TCM_026881 transcript:EOY25461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec10 isoform 6 MEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIARAVPSVVGSVTASRGLEVAVANLQEYCNELENRLLARFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDTRLVLGNQGSQASPSNVARGLSSLYKEITDTIRKEAATIMAVFPSPNDVMSILVQRVLEQRVTTLLDKLLSKPSLVNPPPIEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSVHKDEYPEHEQASLRQLYQAKLEELRAESQKVSESSGTIGRSKGASVASSHQPISVAVVTEFVRWNEEAISRCTLFSSQPATLAANVKAVFTCLLDQVSQYITDGLERARDNLTEAAALRERFVLGTNLSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKATDYCSPDDGMAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLIHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGM >EOY25462 pep chromosome:Theobroma_cacao_20110822:6:63038:73199:-1 gene:TCM_026881 transcript:EOY25462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec10 isoform 6 MKERSKSSSASNLPLILDIDDFKGDFSFDALFGNLVNELLPSFQEEEADTADGHSIGGTDVLPNGHIRVSSDATKFAQGLSAPLFPEVDALLSLFKDSCRELVDLRKQIDGKLYNLKKEVSTQDAKHRKTLTELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIARAVPSVVGSVTASRGLEVAVANLQEYCNELENRLLARFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDTRLVLGNQGSQASPSNVARGLSSLYKEITDTIRKEAATIMAVFPSPNDVMSILVQRVLEQRVTTLLDKLLSKPSLVNPPPIEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSVHKDEYPEHEQASLRQLYQAKLEELRAESQKVSESSGTIGRSKGASVASSHQPISVAVVTEFVRWNEEAISRCTLFSSQPATLAANVKAVFTCLLDQVSQYITDGLERARDNLTEAAALRERFVLGTNLSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKATDYCSPDDGMAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLIHWQKFTFNPSMH >EOY25460 pep chromosome:Theobroma_cacao_20110822:6:60582:73199:-1 gene:TCM_026881 transcript:EOY25460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec10 isoform 6 MKERSKSSSASNLPLILDIDDFKLLPSFQEEEADTADGHSIGGTDVLPNGHIRVSSDATKFAQGLSAPLFPEVDALLSLFKDSCRELVDLRKQIDGKLYNLKKEVSTQDAKHRKTLTELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIARAVPSVVGSVTASRGLEVAVANLQEYCNELENRLLARFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDTRLVLGNQGSQASPSNVARGLSSLYKEITDTIRKEAATIMAVFPSPNDVMSILVQRVLEQRVTTLLDKLLSKPSLVNPPPIEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSVHKDEYPEHEQASLRQLYQAKLEELRAESQKVSESSGTIGRSKGASVASSHQPISVAVVTEFVRWNEEAISRCTLFSSQPATLAANVKAVFTCLLDQVSQYITDGLERARDNLTEAAALRERFVLGTNLSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKATDYCSPDDGMAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLIHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGILANVFIVAP >EOY25463 pep chromosome:Theobroma_cacao_20110822:6:60582:73199:-1 gene:TCM_026881 transcript:EOY25463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec10 isoform 6 MEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIARAVPSVVGSVTASRGLEVAVANLQEYCNELENRLLARFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDTRLVLGNQGSQASPSNVARGLSSLYKEITDTIRKEAATIMAVFPSPNDVMSILVQRVLEQRVTTLLDKLLSKPSLVNPPPIEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSVHKDEYPEHEQASLRQLYQAKLEELRAESQKVSESSGTIGRSKGASVASSHQPISVAVVTEFVRWNEEAISRCTLFSSQPATLAANVKAVFTCLLDQVSQYITDGLERARDNLTEAAALRERFVLGTNLSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKATDYCSPDDGMAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLIHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGILANVFIVAP >EOY27521 pep chromosome:Theobroma_cacao_20110822:6:22242854:22245778:-1 gene:TCM_029360 transcript:EOY27521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 726 isoform 1 MVQKSLIYAFVSRGEVILAEYTEFSGNFNSIAFQCLQKLPSSNNKFTYNCDGHTFNYLVDNGYTYCVVADESAGRQVPIAFLERIKDDFVSKYGSGKAATAPANGLNKEFGPKLKEHMQYCVEHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHQQAQDFRSTGTKIRRKMWLQNMKIKLIVLGILIALILIIVLSVCHGFNCGK >EOY27522 pep chromosome:Theobroma_cacao_20110822:6:22243175:22245867:-1 gene:TCM_029360 transcript:EOY27522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 726 isoform 1 MVQKSLIYAFVSRGEVILAEYTEFSGNFNSIAFQCLQKLPSSNNKFTYNCDGHTFNYLVDNGYTYCVVADESAGRQVPIAFLERIKDDFVSKYGSGKAATAPANGLNKEFGPKLKEHMQYCVEHPEEISKLAKVKAQVSEVKGVMMENIEKVDRGKDRAASG >EOY27751 pep chromosome:Theobroma_cacao_20110822:6:23042642:23043199:-1 gene:TCM_029522 transcript:EOY27751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein isoform 2 MLPTLNISGNLLLAERISTRTGKLRPGDVVILRSPESPRKIVCKRLIGMEGDQVTYVVDPMNSDRCQTVVVPKGHVWVEGDNIYASKDSRNFGAVPYGLLKGRVFWTVLPRKDFGSLAPKPK >EOY27749 pep chromosome:Theobroma_cacao_20110822:6:23041996:23043430:-1 gene:TCM_029522 transcript:EOY27749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein isoform 2 MGGWSSFISIVRAFCLLHVTNNYLGTAVFTYGPSMLPTLNISGNLLLAERISTRTGKLRPGDVVILRSPESPRKIVCKRLIGMEGDQVTYVVDPMNSDRCQTVVVPKGHVWVEGDNIYASKDSRNFGAVPYGLLKGRVFWTVLPRKDFGSLAPKPK >EOY27750 pep chromosome:Theobroma_cacao_20110822:6:23042642:23043199:-1 gene:TCM_029522 transcript:EOY27750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein isoform 2 MLPTLNISGNLLLAERISTRTGKLRPGDVVILRSPESPRKIVCKRLIGMEGDQVTYVVDPMNSDRCQTVVVPKGHVWVEGDNIYASKDSRNFGAVPYGLLKGRVFWTVLPRKDFGSLAPKPK >EOY27752 pep chromosome:Theobroma_cacao_20110822:6:23042144:23043221:-1 gene:TCM_029522 transcript:EOY27752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein isoform 2 MLPTLNISGNLLLAERISTRTGKLRPGDVVILRSPESPRKIVCKRLIGMEGDQVTYVVDPMNSDRCQTVVVPKGHVWVEGDNIYASKDSRNFGAVPYGLLKGRVFWTVLPRKDFGSLAPKPK >EOY28636 pep chromosome:Theobroma_cacao_20110822:6:26111992:26113316:1 gene:TCM_030184 transcript:EOY28636 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein, putative MTLKGGTASACAACKYQRRKCTPECPLAPYFPAEQARVFQNAHKLFGVSNILKILKNLNPAQQAEAMRSIKYQSNVRDQFPVYGCLGVIRQLQYQIQLVEEELHAVHAQLEMYRQHHQISSIADDVPSQLELGMAPPSNALPLFNQVPQQHYNSLAALPVSMQHSYSNSSNVDYSSSYMDSKENVANSLWVQHPFAKNNNTDNGSTMAIQSQLVAPQTVAVQQQVVQDYDEIHPFFDAIDDRQSYIDSKEPYESSSEESLKDTTQSVEHVAENELKSAAACFSLTSVN >EOY28870 pep chromosome:Theobroma_cacao_20110822:6:26755914:26760125:-1 gene:TCM_030350 transcript:EOY28870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription activators,DNA binding,RNA polymerase II transcription factors,catalytics,transcription initiation factors isoform 4 MSGLPSFSKKKNAENKWSLQKEGLQGRQLTDPLREKYKNKPWMLEDETGQAQYQGHLEGSQSATYYLLMMQGKEFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRRKTADGYERWMMKAANNGAAAFGEVEKFDDKDNSVAGGKGRKKPTGDDDEGHVSDRGEEDEEEEAARKNRLGLDKKGGDDDEEGPRGGDLDMDDDDIEKGDDWEHEEIFTDDDEAVGNEPEERDLAPEVPAPPEIKEDEDEEDEEQEGGLSKSGKELKKLLGKAGGLNDSDADDDDDDDDDDDMSFNPAPASKQKDAPKEEPADNSPMKPASSASARGTSTASKSAKGKRKANGDDTKASNGTPLKKVKSETDSKSSVKEESASASKGSVPPKGTPSSAKAGSTSSAVTSSSAKAGSASTAGPVTEEEIRAVLLQKAPVTTSDLVAKFKARLKSPEDKKAFADILRRISKIQKTNGPNNYVVLRDK >EOY28869 pep chromosome:Theobroma_cacao_20110822:6:26750280:26760755:-1 gene:TCM_030350 transcript:EOY28869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription activators,DNA binding,RNA polymerase II transcription factors,catalytics,transcription initiation factors isoform 4 MSFDLMLKSSCSGCGSTVDLYGSNCKHMTLCVTCGKTMAENQGKCFECGAIVTRLIREYNVRPSPSTDKNFFIGRFMSGLPSFSKKKNAENKWSLQKEGLQGRQLTDPLREKYKNKPWMLEDETGQAQYQGHLEGSQSATYYLLMMQGKEFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRRKTADGYERWMMKAANNGAAAFGEVEKFDDKDNSVAGGKGRKKPTGDDDEGHVSDRGEEDEEEEAARKNRLGLDKKGGDDDEEGPRGGDLDMDDDDIEKGDDWEHEEIFTDDDEAVGNEPEERDLAPEVPAPPEIKEDEDEEDEEQEGGLSKSGKELKKLLGKAGGLNDSDADDDDDDDDDDDMSFNPAPASKQKDAPKEEPADNSPMKPASSASARGTSTASKSAKGKRKANGDDTKASNGTPLKKVKSETDSKSSVKEESASASKGSVPPKGTPSSAKAGSTSSAVTSSSAKAGSASTAGPVTEEEIRAVLLQKAPVTTSDLVAKFKARLKSPEDKKAFADILRRISKIQKTNGPNNYVVLRDK >EOY28872 pep chromosome:Theobroma_cacao_20110822:6:26755562:26760575:-1 gene:TCM_030350 transcript:EOY28872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription activators,DNA binding,RNA polymerase II transcription factors,catalytics,transcription initiation factors isoform 4 MSFDLMLKSSCSGCGSTVDLYGSNCKHMTLCVTCGKTMAENQGKCFECGAIVTRLIREYNVRPSPSTDKNFFIGRFMSGLPSFSKKKNAENKWSLQKEGLQGRQLTDPLKMKNRRKTADGYERWMMKAANNGAAAFGEVEKFDDKDNSVAGGKGRKKPTGDDDEGHVSDRGEEDEEEEAARKNRLGLDKKGGDDDEEGPRGGDLDMDDDDIEKGDDWEHEEIFTDDDEAVGNEPEERDLAPEVPAPPEIKEDEDEEDEEQEGGLSKSGKELKKLLGKAGGLNDSDADDDDDDDDDDDMSFNPAPASKQKDAPKEEPADNSPMKPASSASARGTSTASKSAKGKRKANGDDTKASNGTPLKKVKSETDSKSSVKEESASASKGSVPPKGTPSSAKAGSTSSAVTSSSAKAGSASTAGPVTEEEIRAVLLQKAPVTTSDLVAKFKARLKSPEDKKAFADILRRISKIQKTNGPNNYVVLRDK >EOY28871 pep chromosome:Theobroma_cacao_20110822:6:26755617:26760744:-1 gene:TCM_030350 transcript:EOY28871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription activators,DNA binding,RNA polymerase II transcription factors,catalytics,transcription initiation factors isoform 4 MSFDLMLKSSCSGCGSTVDLYGSNCKHMTLCVTCGKTMAENQGKCFECGAIVTRLIREKYKNKPWMLEDETGQAQYQGHLEGSQSATYYLLMMQGKEFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRRKTADGYERWMMKAANNGAAAFGEVEKFDDKDNSVAGGKGRKKPTGDDDEGHVSDRGEEDEEEEAARKNRLGLDKKGGDDDEEGPRGGDLDMDDDDIEKGDDWEHEEIFTDDDEAVGNEPEERDLAPEVPAPPEIKEDEDEEDEEQEGGLSKSGKELKKLLGKAGGLNDSDADDDDDDDDDDDMSFNPAPASKQKDAPKEEPADNSPMKPASSASARGTSTASKSAKGKRKANGDDTKASNGTPLKKVKSETDSKSSVKEESASASKGSVPPKGTPSSAKAGSTSSAVTSSSAKAGSASTAGPVTEEEIRAVLLQKAPVTTSDLVAKFKARLKSPEDKKAFADILRRISKIQKTNGPNNYVVLRDK >EOY26122 pep chromosome:Theobroma_cacao_20110822:6:4790026:4790872:1 gene:TCM_027537 transcript:EOY26122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MRIQLIIITATILYSKTRLHFWNSIYDPKSTLSNWENAVPVCNFTGVTCDNRHHPVSQSDLHRFGLVGKISPFISNLTGLRVLNLFQNYFFGTIPPQLSSLRHVRTLILDGNNLTGPVPDSFALLNNLTLFFVQRNYLTLQIPAEIGDCPNLWSLNLYNNQFTGQLPASLTNTSLYNLDVGYNLLYGELPSDLIAKLLELGFLY >EOY29055 pep chromosome:Theobroma_cacao_20110822:6:27209116:27211979:1 gene:TCM_030477 transcript:EOY29055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MSGHLSKLPALIRRFAHFNFLFSAHPIRHHTDIFVTKPASYTRECNMRINDFGRRGKVKAAKQLFDEMLQSHRDAASYASMITVYLKNNHLPKAEALFTSMPRTHRNIVAESAMIHGYVKAGRLPEARKLFDDMVDRNVFSWTSLISGYFAVGQVAEGRLLFEQMPMSVKNVVSWTTVVLGYAHNGLIDEARHVFDRMPDKNIVAWTAMLKSYVDNGRIDDAIRLFYQMPQRNLYAWNIMISACLKVSRVCLAIQLFDSLPHKNLISWTSMVSGLARNGMTKQAREYFDQMPVSKDIAAWNAMITAYVDQGDMPKASELFYSMPNKNIVAWNVMIDGYSRNGAQGEAFSLLILMLRSGFRPNETTITSVLTSCNSILEVGQAHALVFRLGFDFEHGISLTKTLLSAYSRIGDLNSARLAFQGLKAKDLVSWTAMILAYSHHGYGKHALHVFAAMLTSGAKPDEITFVGVLSACSHAGFVEKGQRLFDSMSHMYGLQPRAEHYSCLVDILGRAGQVEKAMSVVNKMPPSECDGAVLGALLAACRLHRDDKIANHIGKQLIELEPTDSGGYVLLANVYAAQEKWNEFARVRKMMKERKVKKVAGFSYVEVKGKNHVFFVGDRCHPQVEEMYEMLRVMLPPRTSGVDCIQGDPTIPLS >EOY26867 pep chromosome:Theobroma_cacao_20110822:6:18781567:18785368:1 gene:TCM_028839 transcript:EOY26867 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNAse Z4 isoform 3 MGEEGYTVKIWGPSDLNFLVGAMKSFIPHAAMVHTQSFGPASTSDDAADMPTPSKVADPIVLVEDEVVKISAILLQPHCSGQSQIKPGEMSVIYVCELPELMGKFDPKKAAALGLKAGPKYGELQHGKSVKSDSLDIMVHPSDVMDPPVPGPIVFLVDCPTESHVQELLSIECLNGYYTDVSGHLTQGTKPVNCVIHLSPASVVSSPNYQKWMKKFGSAQHIMAGHETKNLEVPILKSSARIAARLNYLCPQFFPAPGFWSLQHLNYKESDAIASREGRASKICESISAENLLKFTLRPYAQLGLDRSHIPTLIGQSEVIDELHSEIPEIADAAQQVRQLWRGLKGSREELTPLNDNRVIVEEPWLAENTLPNCLENIRRDDLEIVLLGTGSSQPSKYRNVSSVYINLFSKGSLLLDCGEGTLGQLKRRYGVDGADTAIRNLKCVWISHIHADHHTGLARVLALRRDLLKGVPHEPLLVIGPRQLKRYLDAYQRLEDLDMQFLDCRSTTEASWDTFESDKESNNDGSSPGSPRHSNVNNESMQDINGTLFARGSRMQSYWRRPGSPVDHSAAYPFLKNLKKVLGEAGLEALVSFPVVHCPQAFGIVLKAAERVNSVGKVIPGWKIVYSGDTRPCPELVDASRGATVLIHEASNF >EOY26866 pep chromosome:Theobroma_cacao_20110822:6:18779534:18785368:1 gene:TCM_028839 transcript:EOY26866 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNAse Z4 isoform 3 MGEEGYTVKIWGPSDLNFLVGAMKSFIPHAAMVHTQSFGPASTSDDAADMPTPSKVADPIVLVEDEVVKISAILLQPHCSGQSQIKPGEMSVIYVCELPELMGKFDPKKAAALGLKAGPKYGELQHGKSVKSDSLDIMVHPSDVMDPPVPGPIVFLVDCPTESHVQELLSIECLNGYYTDVSGHLTQGTKPVNCVIHLSPASVVSSPNYQKWMKKFGSAQHIMAGHETKNLEVPILKSSARIAARLNYLCPQFFPAPGFWSLQHLNYKESDAIASREGRASKICESISAENLLKFTLRPYAQLGLDRSHIPTLIGQSEVIDELHSEIPEIADAAQQVRQLWRGLKGSREELTPLNDNRVIVEEPWLAENTLPNCLENIRRDDLEIVLLGTGSSQPSKYRNVSSVYINLFSKGSLLLDCGEGTLGQLKRRYGVDGADTAIRNLKCVWISHIHADHHTGLARVLALRRDLLKGVPHEPLLVIGPRQLKRYLDAYQRLEDLDMQFLDCRSTTEASWDTFESDKESNNDGSSPGSPRHSNVNNESMQDINGTLFARGSRMQSYWRRPGSPVDHSAAYPFLKNLKKVLGEAGLEALVSFPVVHCPQAFGIVLKAAERVNSVGKVIPGWKIVYSGDTRPCPELVDASRGATVLIHEATFEDGLVEEAVARNHSTTKEAIEVGNSAGAYRIVLTHFSQRYPKIPVFDETHMHKTCIAFDMMSINIADLPVLPKVVPYLKLLFRNEMAVDESDDVIDTRGAALATQTAFGFES >EOY26865 pep chromosome:Theobroma_cacao_20110822:6:18779001:18785465:1 gene:TCM_028839 transcript:EOY26865 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNAse Z4 isoform 3 MPCISPNLRLLFFPVKPALSLPLFISKPNPKPFSLFTLLASSSPSKRPRSVPYRDSLNLARRRSSTFNERKGRGREVAMEETVEESGGSSSSSFGFNKRRAEGKDKSDRPNKNPQLKERKLNPTNTIAYVQILGTGMDTQDTSPSVLLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLSRVCSETAGGLPGLLLTLAGMGEEGYTVKIWGPSDLNFLVGAMKSFIPHAAMVHTQSFGPASTSDDAADMPTPSKVADPIVLVEDEVVKISAILLQPHCSGQSQIKPGEMSVIYVCELPELMGKFDPKKAAALGLKAGPKYGELQHGKSVKSDSLDIMVHPSDVMDPPVPGPIVFLVDCPTESHVQELLSIECLNGYYTDVSGHLTQGTKPVNCVIHLSPASVVSSPNYQKWMKKFGSAQHIMAGHETKNLEVPILKSSARIAARLNYLCPQFFPAPGFWSLQHLNYKESDAIASREGRASKICESISAENLLKFTLRPYAQLGLDRSHIPTLIGQSEVIDELHSEIPEIADAAQQVRQLWRGLKGSREELTPLNDNRVIVEEPWLAENTLPNCLENIRRDDLEIVLLGTGSSQPSKYRNVSSVYINLFSKGSLLLDCGEGTLGQLKRRYGVDGADTAIRNLKCVWISHIHADHHTGLARVLALRRDLLKGVPHEPLLVIGPRQLKRYLDAYQRLEDLDMQFLDCRSTTEASWDTFESDKESNNDGSSPGSPRHSNVNNESMQDINGTLFARGSRMQSYWRRPGSPVDHSAAYPFLKNLKKVLGEAGLEALVSFPVVHCPQAFGIVLKAAERVNSVGKVIPGWKIVYSGDTRPCPELVDASRGATVLIHEATFEDGLVEEAVARNHSTTKEAIEVGNSAGAYRIVLTHFSQRYPKIPVFDETHMHKTCIAFDMMSINIADLPVLPKVVPYLKLLFRNEMAVDESDDVIDTRGAALATQTAFGFES >EOY27232 pep chromosome:Theobroma_cacao_20110822:6:20784771:20792330:1 gene:TCM_029120 transcript:EOY27232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit A isoform 2 MAETASSSASPNPMMMDPSSSTATPPSSSATTNINPTPTPPPPTASATTTLTQTPSTTSSILPQSNNPSPQIPPPSPSIDPSLQNPQQNLQQQQHHHQHQQQISQISSPPLPPLSQQQQQQQQQLLQQQQTQQNVAALSNFQIQQTLQRSPSFSRLNPLQQQQQQQSQQQQQQQQQSQYGTVLRQQAGLYGQMNFGGSASIQANQQQNQQIQQISNPNLPRSALIGQSGHLPMLSGAAAAAAAQLSLQPQLLASPRQKAGLVQGSQFHPGNPTGQSLQGMQAMGMMNLSSQLRANGNLYAQHRINQGQMRQQLSQQTQLTSPQVQSLPRTSSQAFINPQLSGLAQSGQPGMMQNSLLQQQWLKQMPSISGPGSPSFRLQRQSQVLLPQQLASSSPQLHQNSMALNSQQLSQLVQQQSQMGHSQMQQPQQHQQQQQQQQQQQQQQQHQLQQQPLQQLQPQQPPLHQPQQQQSPRMPGPAGQKSLSLTGSQPDATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQQVDSQGKLEPEVEDLLLEIADDFIDSVTTFACSLAKHRKSSTLESKDLLLHLEKNWRLTIPGFSSEERNQTRPLSSDLHKQRLDMIRALMESSQPETTANNPKEMIRQGLGNPVGANHLMRPSPSSEQLVSQAAGSQMLQQITRLW >EOY27229 pep chromosome:Theobroma_cacao_20110822:6:20784771:20792330:1 gene:TCM_029120 transcript:EOY27229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit A isoform 2 MAETASSSASPNPMMMDPSSSTATPPSSSATTNINPTPTPPPPTASATTTLTQTPSTTSSILPQSNNPSPQIPPPSPSIDPSLQNPQQNLQQQQHHHQHQQQISQISSPPLPPLSQQQQQQQQQLLQQQQTQQNVAALSNFQIQQTLQRSPSFSRLNPLQQQQQQQSQQQQQQQQQSQYGTVLRQQAGLYGQMNFGGSASIQANQQQNQQIQQISNPNLPRSALIGQSGHLPMLSGAAAAAAAQLSLQPQLLASPRQKAGLVQGSQFHPGNPTGQSLQGMQAMGMMNLSSQLRANGNLYAQHRINQGQMRQQLSQQTQLTSPQVQSLPRTSSQAFINPQLSGLAQSGQPGMMQNSLLQQQWLKQMPSISGPGSPSFRLQRQSQVLLPQQLASSSPQLHQNSMALNSQQLSQLVQQQSQMGHSQMQQPQQHQQQQQQQQQQQQQQQHQLQQQPLQQLQPQQPPLHQPQQQQSPRMPGPAGQKSLSLTGSQPDATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDSQGKLEPEVEDLLLEIADDFIDSVTTFACSLAKHRKSSTLESKDLLLHLEKNWRLTIPGFSSEERNQTRPLSSDLHKQRLDMIRALMESSQPETTANNPKEMIRQGLGNPVGANHLMRPSPSSEQLVSQAAGSQMLQQITRY >EOY27231 pep chromosome:Theobroma_cacao_20110822:6:20784560:20795603:1 gene:TCM_029120 transcript:EOY27231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit A isoform 2 MAETASSSASPNPMMMDPSSSTATPPSSSATTNINPTPTPPPPTASATTTLTQTPSTTSSILPQSNNPSPQIPPPSPSIDPSLQNPQQNLQQQQHHHQHQQQISQISSPPLPPLSQQQQQQQQQLLQQQQTQQNVAALSNFQIQQTLQRSPSFSRLNPLQQQQQQQSQQQQQQQQQSQYGTVLRQQAGLYGQMNFGGSASIQANQQQNQQIQQISNPNLPRSALIGQSGHLPMLSGAAAAAAAQLSLQPQLLASPRQKAGLVQGSQFHPGNPTGQSLQGMQAMGMMNLSSQLRANGNLYAQHRINQGQMRQQLSQQTQLTSPQVQSLPRTSSQAFINPQLSGLAQSGQPGMMQNSLLQQQWLKQMPSISGPGSPSFRLQRQSQVLLPQQLASSSPQLHQNSMALNSQQLSQLVQQQSQMGHSQMQQPQQHQQQQQQQQQQQQQQQHQLQQQPLQQLQPQQPPLHQPQQQQSPRMPGPAGQKSLSLTGSQPDATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDSQGKLEPEVEDLLLEIADDFIDSVTTFACSLAKHRKSSTLESKDLLLHLEKNWRLTIPGFSSEERNQTRPLSSDLHKQRLDMIRALMESSQPETTANNPKEMIRQGLGNPVGANHLMRPSPSSEQLVSQAAGSQMLQQITRLW >EOY27230 pep chromosome:Theobroma_cacao_20110822:6:20784877:20789693:1 gene:TCM_029120 transcript:EOY27230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit A isoform 2 MAETASSSASPNPMMMDPSSSTATPPSSSATTNINPTPTPPPPTASATTTLTQTPSTTSSILPQSNNPSPQIPPPSPSIDPSLQNPQQNLQQQQHHHQHQQQISQISSPPLPPLSQQQQQQQQQLLQQQQTQQNVAALSNFQIQQTLQRSPSFSRLNPLQQQQQQQSQQQQQQQQQSQYGTVLRQQAGLYGQMNFGGSASIQANQQQNQQIQQISNPNLPRSALIGQSGHLPMLSGAAAAAAAQLSLQPQLLASPRQKAGLVQGSQFHPGNPTGQSLQGMQAMGMMNLSSQLRANGNLYAQHRINQGQMRQQLSQQTQLTSPQVQSLPRTSSQAFINPQLSGLAQSGQPGMMQNSLLQQQWLKQMPSISGPGSPSFRLQRQSQVLLPQQLASSSPQLHQNSMALNSQQLSQLVQQQSQMGHSQMQQPQQHQQQQQQQQQQQQQQQHQLQQQPLQQLQPQQPPLHQPQQQQSPRMPGPAGQKSLSLTGSQPDATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDSQGKLEPEVEDLLLEIADDFIDSV >EOY28561 pep chromosome:Theobroma_cacao_20110822:6:25802476:25812210:-1 gene:TCM_030102 transcript:EOY28561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 29 MDSSRQFFFSSLIVTFLLSLTVTQQEPLYNFCLDTSGNFTRNSTYEANLNRLLSSFSSNTANDNGFYNMSSGQGSETANVIALCRGDVNSGDCLDCINNATTELRNLCPNQKEAIIWYDFCMLRYTNRSIFGVAETNPSFYMWNPNNVTDVDAFNQSLSALMNNLRTNASSGTSLRKFATGSRQVTAFQTIYALVQCTPDLTEPECSSCLSQAIEFIPTCCDRKQGGRVIGPSCNFRFEIERFYNLTIADTPSLLSPPPPPPTPVSPLSPPPSNDTTSSTGKKSNSSRTIIIITIAAVAFAVLLISSYIFFFLRVRKSKVKAETREAAEAVDEIGSAESLQYDFSTIRAATDDFSDANKLGQGGFGAVYKGTLASGELIAVKRLSTDSGQGDLEFKNEVQLVAKLQHRNLVRLQGFCLEGNERLLIYEFVPNASLDQFLFDPVKCAHLDWERRNKIIGGIARGLLYLHEDSRLRIIHRDLKASNILLDAEMNPKISDFGMARLCALDQTQGATSRIVGTYGYMAPEYAMHGQFSVKSDVFSFGVLLLEILCGKKNSAFRVGENVEDLLSYAWRNWKDGTALKLVDPILREASRTEVMRCIHIGLLCVQENAAQRPNMASVVLMLTSYSVTLPLPSEPAFFMHSNTQSDMLWLNSGATESTQSRNEVAAVSENEIKIFTEERDAIAMGFSRLLLFFYSVVLFFATLTLGADPLFQSDCANSAGNYTANSTYQTNLDSIFSQVTSLTEFNYGFYNLSAGQNPNKVNAIALCRGDRNQDECNSCLNDTVSELRQRCPLSKEVVGWSEFCTLRYANRNILGEMEISPGSCLLNTQNVTNANQFNQALSDLLNNLSSLAAAAGPLRKYAAGNSEVRLFQTVYALVQCTPDLSEEECDECLNVAKEGIGSCCEGKMGCRVLRPSCFLRFESSQFYQTPVPLPSPPPSPTSSPPPSTGEKGNNTTRTIIIVVASVVGVVVLITISICIFLRARKNWEKVETVDEIIRVESLQFDFATIRIATDNFSDANKLGQGGFGAVYKGLLSDGQEVAVKRLSTDSGQGEVEFKNEVLLVAKLQHRNLVRLLGFCLEGRERLLIYEFVPNTSLDHFIFDQVKRAQLDWERRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNVLLDAEMAPKIADFGMARLFIRDETQGNTSRIVGTFGYMAPEYAMHGQFSVKSDVFSFGVIILEIISGQKNNCFRNGESVEDLLSCAWKNWREGTALNIIDPTLRDGSRNEMLRCIHIGLLCVQENVANRPTMATVVLMLNSISISLPLPSQPAFFMHSNIDSDMSSSWDYNSRVSESKPSKRESIPLSQNEASITELYPR >EOY27638 pep chromosome:Theobroma_cacao_20110822:6:22583936:22587200:-1 gene:TCM_029432 transcript:EOY27638 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEM3 (ligand-effect modulator 3) family protein / CDC50 family protein isoform 2 MTDTDQERDRNKETAFYKFTQQTLPACKPVLTPAWVITIFLFTGCIFIPVGLVTLRASRSVVEIVDRYDAECVPEPFRIDKVSYVQDDSIPKNCSRFLKVHKYMKAPIYIYYQLDNYYQNHRRYVKSRSDQQLLHGRKYHGTSSCQPVEFNNDHAIVPCGLVAWSLFNDTFKFIRERAELKVNRKNIAWKSDRDHKFGKKVYPYNFQNGTLIGGGKLNPRIPLSDQEDLIVWMRISALPSFRKLYGRIEEDLDVDDVVVVHLMNNYNTYSFSGKKKLVLSTSSWLGGKNDFLGLAYVFVGSSSLILALVFMLLHLRYRR >EOY27639 pep chromosome:Theobroma_cacao_20110822:6:22583345:22588197:-1 gene:TCM_029432 transcript:EOY27639 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEM3 (ligand-effect modulator 3) family protein / CDC50 family protein isoform 2 MTDTDQERDRNKETAFYKFTQQTLPACKPVLTPAWVITIFLFTGCIFIPVGLVTLRASRSVVEIVDRYDAECVPEPFRIDKVSYVQDDSIPKNCSRFLKVHKYMKAPIYIYYQLDNYYQNHRRYVKSRSDQQLLHGRKYHGTSSCQPVEFNNDHAIVPCGLVAWSLFNDTFKFIRERAELKVNRKNIAWKSDRDHKFGKKVYPYNFQNGTLIGGGKLNPRIPLSDQEDLIVWMRISALPSFRKLYGRIEEDLDVDDVVVVHLMNNYNTYSFSGKKKLVLSTSSWLGGKNDFLGLAYVFVGSSSLILALVFMLLHLRYRRPYRDTIYLPWNRKSLSV >EOY27636 pep chromosome:Theobroma_cacao_20110822:6:22583345:22588197:-1 gene:TCM_029432 transcript:EOY27636 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEM3 (ligand-effect modulator 3) family protein / CDC50 family protein isoform 2 MTDTDQERDRNKETAFYKFTQQTLPACKPVLTPAWVITIFLFTGCIFIPVGLVTLRASRSVVEIVDRYDAECVPEPFRIDKVSYVQDDSIPKNCSRFLKVHKYMKAPIYIYYQLDNYYQNHRRYVKSRSDQQLLHGRKYHGTSSCQPVEFNNDHAIVPCGLVAWSLFNDTFKFIRERAELKVNRKNIAWKSDRDHKFGKKVYPYNFQNGTLIGGGKLNPRIPLSDQEDLIVWMRISALPSFRKLYGRIEEDLDVDDVVVVHLMNNYNTYSFSGKKKLVLSTSSWLGGKNDFLGLAYVFVGSSSLILALVFMLLHLRYRRPYRDTIYLPWNRKSLSV >EOY27637 pep chromosome:Theobroma_cacao_20110822:6:22583936:22587200:-1 gene:TCM_029432 transcript:EOY27637 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEM3 (ligand-effect modulator 3) family protein / CDC50 family protein isoform 2 MTDTDQERDRNKETAFYKFTQQTLPACKPVLTPAWVITIFLFTGCIFIPVGLVTLRASRSVVEIVDRYDAECVPEPFRIDKVSYVQDDSIPKNCSRFLKVHKYMKAPIYIYYQLDNYYQNHRRYVKSRSDQQLLHGRKYHGTSSCQPVEFNNDHAIVPCGLVAWSLFNDTFKFIRERAELKVNRKNIAWKSDRDHKFGKKVYPYNFQNGTLIGGGKLNPRIPLSDQEDLIVWMRISALPSFRKLYGRIEEDLDVDDVVVVHLMNNYNTYSFSGKKKLVLSTSSWLGGKNDFLGLAYVFVGSSSLILALVFMLLHLRYRR >EOY25961 pep chromosome:Theobroma_cacao_20110822:6:3010432:3011109:-1 gene:TCM_027325 transcript:EOY25961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATKRSASPSSARTCLCSPTTHPGSFRCSFHRSFGKASTKSAAAPHANRVESKAATMMMMTTASKACLIKAFLMQIIKPSSHDLQRRRNFQRKPTRFCPLNSSANGVAVS >EOY26480 pep chromosome:Theobroma_cacao_20110822:6:12632580:12638952:1 gene:TCM_028235 transcript:EOY26480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 2 MSKTLLSRIKPLHIPKRNPSPPFRFPPRLKILITETIQILKTHPQWPDSLETRFCDEETCVSEVAHYVFDHIHDVELGIKFFDWVSKQEPQHFPLNELAHSSFLKLLARFRLFSEIETALENMKMEEIKPTHEALSFIVRVYVDSGFVDKALELFYSVVNIYNSVPNVSACNSLLNSLVELKKVEIAHQVFDEMVEREGCVDNYSVCIMVKGLCKVGKVEEGKKLVEDRWGEGCVPNVVFYNTLIDGCSTKRDVQRAKDLFKELKMKGFLPTLKTYGAMINGFCKKGDFKEIDKLLKEMKEMGLGVNTQVYNNIIDARFKHGFEVKVTETIKQMIESGCEPDIVTYNTLIIGLCKDGKVWEAGQLLKQAMKMGLIPNKFSYTPLIQGYCRVGEYFVALDLLIEMTESGHKPDLVAFGALVHGLVAKGEVDVALMIRHRMVEKGVLPDAGIYNVLMNGLCKKGRFSAAKVLLAKMLDQNVTPDAFVYATLVDGFIRNGDLLEAKKLFEIMIKEGMDPGTVGYNAMIKGFCKFGQMKEALLCVTRMMEVQVTLDEYTYSTIIDGYIKHHDMYGALRVFGQMVKRKCKPNVVTYTSLINGFCRSGDFNTAENAFKEMRSCGLEPNVVTYTILIGSFCKEGKLAKAVFYFELMLSNKCMPNDITFHYVVNGFSNSPTAILDNQSLEKKSLFIESFNMMISDGSAQRAAVYNSVLLCLCQNGMTGIAFQLKDKITNKGFVPDPVSFAAFLHGICLEGKSKEWRKMISNDLNEQELQTALKYSQLLNQYLPYGITSGASPILKTLIKDCSSRDQNNDLIVSVK >EOY26481 pep chromosome:Theobroma_cacao_20110822:6:12635747:12640469:1 gene:TCM_028235 transcript:EOY26481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 2 MKMEEIKPTHEALSFIVRVYVDSGFVDKALELFYSVVNIYNSVPNVSACNSLLNSLVELKKVEIAHQVFDEMVEREGCVDNYSVCIMVKGLCKVGKVEEGKKLVEDRWGEGCVPNVVFYNTLIDGCSTKRDVQRAKDLFKELKMKGFLPTLKTYGAMINGFCKKGDFKEIDKLLKEMKEMGLGVNTQVYNNIIDARFKHGFEVKVTETIKQMIESGCEPDIVTYNTLIIGLCKDGKVWEAGQLLKQAMKMGLIPNKFSYTPLIQGYCRVGEYFVALDLLIEMTESGHKPDLVAFGALVHGLVAKGEVDVALMIRHRMVEKGVLPDAGIYNVLMNGLCKKGRFSAAKVLLAKMLDQNVTPDAFVYATLVDGFIRNGDLLEAKKLFEIMIKEGMDPGTVGYNAMIKGFCKFGQMKEALLCVTRMMEVQVTLDEYTYSTIIDGYIKHHDMYGALRVFGQMVKRKCKPNVVTYTSLINGFCRSGDFNTAENAFKEMRSCGLEPNVVTYTILIGSFCKEGKLAKAVFYFELMLSNKCMPNDITFHYVVNGFSNSPTAILDNQSLEKKSLFIESFNMMISDGSAQRAAVYNSVLLCLCQNGMTGIAFQLKDKITNKGFVPDPVSFAAFLHGICLEGKSKEWRKMISNDLNEQELQTALKYSQLLNQYLPYGITSGASPILKTLIKDCSSRDQNNDLIVSVK >EOY26482 pep chromosome:Theobroma_cacao_20110822:6:12632577:12640956:1 gene:TCM_028235 transcript:EOY26482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 2 MSKTLLSRIKPLHIPKRNPSPPFRFPPRLKILITETIQILKTHPQWPDSLETRFCDEETCVSEVAHYVFDHIHDVELGIKFFDWVSKQEPQHFPLNELAHSSFLKLLARFRLFSEIETALENMKMEEIKPTHEALSFIVRVYVDSGFVDKALELFYSVVNIYNSVPNVSACNSLLNSLVELKKVEIAHQVFDEMVEREGCVDNYSVCIMVKGLCKVGKVEEGKKLVEDRWGEGCVPNVVFYNTLIDGCSTKRDVQRAKDLFKELKMKGFLPTLKTYGAMINGFCKKGDFKEIDKLLKEMKEMGLGVNTQVYNNIIDARFKHGFEVKVTETIKQMIESGCEPDIVTYNTLIIGLCKDGKVWEAGQLLKQAMKMGLIPNKFSYTPLIQGYCRVGEYFVALDLLIEMTESGHKPDLVAFGALVHGLVAKGEVDVALMIRHRMVEKGVLPDAGIYNVLMNGLCKKGRFSAAKVLLAKMLDQNVTPDAFVYATLVDGFIRNGDLLEAKKLFEIMIKEGMDPGTVGYNAMIKGFCKFGQMKEALLCVTRMMEVQVTLDEYTYSTIIDGYIKHHDMYGALRVFGQMVKRKCKPNVVTYTSLINGFCRSGDFNTAENAFKEMRSCGLEPNVVTYTILIGSFCKEGKLAKAVFYFELMLSNKCMPNDITFHYVVNGFSNSPTAILDNQSLEKKSLFIESFNMMISDGSAQRAAVYNSVLLCLCQNGMTGIAFQLKDKITNKGFVPDPVSFAAFLHGICLEGKSKEWRKMISNDLNEQELQTALKYSQLLNQYLPYGITSGASPILKTLIKDCSSRDQNNDLIVSVK >EOY26033 pep chromosome:Theobroma_cacao_20110822:6:3741222:3743614:1 gene:TCM_027419 transcript:EOY26033 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S12 isoform 2 MSGEEAVATVAPIEAPEMDLTTAIQVVLRKSLAHGGLARGLHEGAKVIEKHAALLCLLAEDCDQPDYVKLVKALCSDHQTDLLPIPSAKVLGEWAGLCKIDSEGK >EOY26032 pep chromosome:Theobroma_cacao_20110822:6:3741210:3744567:1 gene:TCM_027419 transcript:EOY26032 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S12 isoform 2 MSGEEAVATVAPIEAPEMDLTTAIQVVLRKSLAHGGLARGLHEGAKVIEKHAALLCLLAEDCDQPDYVKLVKALCSDHQTDLLPIPSAKVLGEWAGLCKIDSEGKARKVVGCSCVVVKDFGEDSTALTFLQDHIKSQG >EOY27122 pep chromosome:Theobroma_cacao_20110822:6:20270746:20273160:1 gene:TCM_029049 transcript:EOY27122 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 7 MDPYSGGGSWTMIPSVPTHSNVSTPTNQDHLYLSPPPPQQQFHPQQFQQQRILHQQQQQQQNQHHQSLASHFHLLQLVENLGDAIDNGSRDQHSDALINELNNHFEKCQQLLNSIAASINTKAMTVEGQKQKLEESEQLLNQRRDLIANYGISVEDLVKTEP >EOY29052 pep chromosome:Theobroma_cacao_20110822:6:27204050:27208047:1 gene:TCM_030475 transcript:EOY29052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase 4 isoform 2 MKVRHLVLGLLSVTVIAPIFLYTDRVATFNPSSSGRDFLDDVATFTLLGDTRRLNVLPQETSTAIKEPAGIVYSDHSNNSFRKVTETREHKSTRVLSATDEERQPQLHNPIRQVTDPAPANLTTPLDSHPNASHHLGTKLEQQPTQLAGNIDQKEHSDNKTSRLAEPVDAQVRHLKDQLIRAKVYLSLPAIKSNQHVTRELRLRIKEVSRALGDATKDSDLPKNAFDKLKAMEQSLEKGKQIQDDCAAVVKKLRAMLHSTEEQLRVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYTLNSSQQNFLNQEKLEDPRLYHYALFSDNVLAAAVVVNSTVSHAKHPSNHVFHIVTDRLNYAAMRMWFLNNPPGKATIQVQNIEEFTWLNSSYSPVLKQLGSPSMIDYYFRAHRANSDSNLKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVRKDISGLWSLDLKGNVNGAVETCGESFHRFDRYLNFSNPLISKNFDPHACGWAYGMNIFDLEEWRRQNITEVYHRWQKLNHDRQLWKLGTLPPGLITFWKRTYPLDRSWHVLGLGYNPNVNQREVERAAVIHYNGNLKPWLEIGIPKYKNYWAKYVDYDNMYLRDCNINP >EOY29053 pep chromosome:Theobroma_cacao_20110822:6:27204189:27207913:1 gene:TCM_030475 transcript:EOY29053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase 4 isoform 2 MKVRHLVLGLLSVTVIAPIFLYTDRVATFNPSSSGRDFLDDVATFTLLGDTRRLNVLPQETSTAIKEPAGIVYSDHSNNSFRKETREHKSTRVLSATDEERQPQLHNPIRQVTDPAPANLTTPLDSHPNASHHLGTKLEQQPTQLAGNIDQKEHSDNKTSRLAEPVDAQVRHLKDQLIRAKVYLSLPAIKSNQHVTRELRLRIKEVSRALGDATKDSDLPKNAFDKLKAMEQSLEKGKQIQDDCAAVVKKLRAMLHSTEEQLRVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYTLNSSQQNFLNQEKLEDPRLYHYALFSDNVLAAAVVVNSTVSHAKHPSNHVFHIVTDRLNYAAMRMWFLNNPPGKATIQVQNIEEFTWLNSSYSPVLKQLGSPSMIDYYFRAHRANSDSNLKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVRKDISGLWSLDLKGNVNGAVETCGESFHRFDRYLNFSNPLISKNFDPHACGWAYGMNIFDLEEWRRQNITEVYHRWQKLNHDRQLWKLGTLPPGLITFWKRTYPLDRSWHVLGLGYNPNVNQREVERAAVIHYNGNLKPWLEIGIPKYKNYWAKYVDYDNMYLRDCNINP >EOY26816 pep chromosome:Theobroma_cacao_20110822:6:18032914:18049907:1 gene:TCM_028772 transcript:EOY26816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 5 isoform 1 MAWFSGKVSLGGFPDLAGAVNKLQESVKNIEKNFDTALGFEEKSESSSNEGSGLWSSDRKALFDPVMALMGHKSEETAVESSGKLESSQAPPEVEEKEEAETDRSLHSPDQTTAEEDKSAVQVEKDDEHSEVVESSDNVFPDPGKTEPESEPVSVQPSESTFQNVESSDSPDNEQQKESSGLVPSESADSKEAKLEAAEIDQVEDAMAVPAESSNVVDMHESTDEQKPQTEDALEKGSPVKSEESRDSQASAGGGPDELEFLRSHSITVEETKSAHEFLLPSVVPSDEAQGMVSESVFFENDANTKRVEVDQRTNDSETDAKEEQCLSSATTMSDSADSMHELEKVKMEMKMMESALQGAARQAQAKADEIAKLMNENEQLKVVIEDLKRKSNEAEIESLREEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIINQVMAEGEELSKKQAAQEAQIRKLRAQIRELEEEKKGLTTKLQVEENKVESIKKDKTATEKLLQETIEKHQAELAGQKEFYTNALNAAKEAEALAEARANSEARTELESRLREAEEREAMLVQTLEELRQTLSRKEQQAVFREDMLRRDVEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQETTSRRAEAWAAVERSLNSRLQEAEAKAAAAEERERSVNERLSQTLSRINVLEAQISCLRAEQTQLSKSIEKERQRAAENRQEYLAAKEEADTQEGRANQLEEEIRELRRKHKQELHDALVHRELLQQEVEREKAARLDLERTARVHSVAVSEQASISRHNSALENGSLSRKLSTASSMGSMEESYFLQASLDSSDGFAEKRNIGEATLSPLYMKSMTPSAFESALRQKEGELASYMSRLTSMESIRDSLAEELVKMTEQCEKLKAEAATLPGIRAELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQIMSSSNG >EOY26817 pep chromosome:Theobroma_cacao_20110822:6:18040149:18049525:1 gene:TCM_028772 transcript:EOY26817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 5 isoform 1 EKEEAETDRSLHSPDQTTAEEDKSAVQVEKDDEHSEVVESSDNVFPDPGKTEPESEPVSVQPSESTFQNVESSDSPDNEQQKESSGLVPSESADSKEAKLEAAEIDQVEDAMAVPAESSNVVDMHESTDEQKPQTEDALEKGSPVKSEESRDSQASAGGGPDELEFLRSHSITVEETKSAHEFLLPSVVPSDEAQGMVSESVFFENDANTKRVEVDQRTNDSETDAKEEQCLSSATTMSDSADSMHELEKVKMEMKMMESALQGAARQAQAKADEIAKLMNENEQLKVVIEDLKRKSNEAEIESLREEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIINQVMAEGEELSKKQAAQEAQIRKLRAQIRELEEEKKGLTTKLQVEENKVESIKKDKTATEKLLQETIEKHQAELAGQKEFYTNALNAAKEAEALAEARANSEARTELESRLREAEEREAMLVQTLEELRQTLSRKEQQAVFREDMLRRDVEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQETTSRRAEAWAAVERSLNSRLQEAEAKAAAAEERERSVNERLSQTLSRINVLEAQISCLRAEQTQLSKSIEKERQRAAENRQEYLAAKEEADTQEGRANQLEEEIRELRRKHKQELHDALVHRELLQQFTEGSFWFVTGSGKGESCSVRLGKDSAGTFCGCV >EOY26818 pep chromosome:Theobroma_cacao_20110822:6:18040149:18049525:1 gene:TCM_028772 transcript:EOY26818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 5 isoform 1 MAVPAESSNVVDMHESTDEQKPQTEDALEKGSPVKSEESRDSQASAGGGPDELEFLRSHSITVEETKSAHEFLLPSVVPSDEAQGMVSESVFFENDANTKRVEVDQRTNDSETDAKEEQCLSSATTMSDSADSMHELEKVKMEMKMMESALQGAARQAQAKADEIAKLMNENEQLKVVIEDLKRKSNEAEIESLREEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIINQVMAEGEELSKKQAAQEAQIRKLRAQIRELEEEKKGLTTKLQVEENKVESIKKDKTATEKLLQETIEKHQAELAGQKEFYTNALNAAKEAEALAEARANSEARTELESRLREAEEREAMLVQTLEELRQTLSRKEQQAVFREDMLRRDVEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQETTSRRAEAWAAVERSLNSRLQEAEAKAAAAEERERSVNERLSQTLSRINVLEAQISCLRAEQTQLSKSIEKERQRAAENRQEYLAAKEEADTQEGRANQLEEEIRELRRKHKQELHDALVHRELLQQEVEREKAARLDLERTARVHSVAVSEQASISRHNSALENGSLSRKLSTASSMGSMEESYFLQASLDSSDGFAEKRNIGEATLSPLYMKSMTPSAFESALRQKEGELASYMSRLTSMESIRDSLAEELVKMTEQVSFPSAAELNAKS >EOY26809 pep chromosome:Theobroma_cacao_20110822:6:17978232:17987146:1 gene:TCM_028765 transcript:EOY26809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MHNSILDVMICSGPGCSSIGDGFCQISWSYSGTAGDYCNGDDSTNKILFTFILKWVCNLQYTLGNISQGSRTVDSYFIELKGIWEELRSYRPLPHCKCGNCNSDCFKAYSDQYQKDMVFRFLNGLNDSFSAIRSQIIMMDPIPTLDKVYSLVQREETQRSLLIQGQAVFESSAMMTVVETRKKCTRKDISRDHCGKKGHTKDKCYKVIGFLEDFKFTKSKPNFMKAKAVANNATSIHEGPESDGCKIEQLEEGTGTGSMSQLLAIKQQISITLGHLCFHTSNNLPPNLKNVNAQMIKQNHWIVDSGATDHICYSLDSFESTKTVNNCYVELPNDRKATVSHIGIVKLSPTLTLKNVLHVPSFKFNLLFVGKLTQNKKTYVLFTDMHCIVQDVISQTVIGVARAYTGLYLMQEKHVEKDTSMSGFDKLKFPFISSVNGCDSADRFHQLSCVETLQQNGVVERKHQHILVVARALLFQSSLPTHFWGDAVMTAVHIINRVPTRLLKNKSLFELLYKRQPSYEHLRVFGSLCFVSTLSQHRKKLDQRATKCIFLGYPNNTKGYRVYDLNANRIIISRNIVFHEGTFPFQSKQHTTWPEPFCQTLGMHTKYSPQIDSKLPNFHCQDYIPADTYSSEPNTSAQTPSTSQINDFEPNSLDTVSMPTATNNENVTSSNEHDPNENLPVRKSTRHKHAPKYMDAYHLDMPSKSHNVTAHPITKFLSTKNLSPAYKTFTTSLSYTFEPSTYHQAASYSHWRDAMSAELKALQDNETWSIIPRPLNSHVIGCKWVYKVKLNADGQIERYKARLVAKGYNQIAGFDYKETFSPVAKQTTVRVFFAIATAFNWHLTQLDVNNAFLNGDLKEEVYMDIPPGYEIQGEYPENVKLVCKLHKSLYGLKQASREWNAKITSLIIQYGFIQSNADRSLFTMKTNNGEFFALLLYVDDILVGSYSKQAADAMKLFLSSHFKLKDLGTVKYFLGLEIARSPKGISISQRKYTLDLLEEHGLLGAKPVSTPIDYNQKLVKAQDEEKLINSIDYRQLVKKLLYLTFSRPDIAYAVQVLSQFMDKPSLEHMNAAHRVLKYLKGSLGQGILMKSESNLMIVGYCDSDWAGCPNSRKSITRYNMFIGNSLVTWKFKKQSVVSRSSEEAKYRAMASASCEIIWLKHLLADFGIEHKVAVVLYSDSQSTIHISKNPVFHERTKHIEMDCHFIREKVIEGTIKPIYISTDLQLADLFTKALQPRQFHNLLNKMNVHNIHISS >EOY26669 pep chromosome:Theobroma_cacao_20110822:6:16839691:16851040:1 gene:TCM_028618 transcript:EOY26669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere protein C, putative isoform 3 MFRRSVKYKHHYSTAMSPVENFEEEILSPLGCSQQEESDPNVELQEKELSGLVTNAEKKVNELLDHLLTSNYDKDEAVSLLQERLQIKPINLEKICLPDMQDIRRIDLKASRESLAKPRNSVSDIQSLMKGISKRTPKRQAESSVHHLASCTPPRSPLASISLLKKQMLQSDVLSDPFSTDDIDRLPVRNSSPIGSISKQSGQVDTHKELSGSHNNNSRTLQQQAESSAHHSASPTPPRNPLASILLQKNQISQSDSPSHPFSTDNIDQSPGRNASLVHGINKQSSQVDMEKELNMSHMLRSPILEANQTETANASSELNGRDFAGLFDKFVNDNARRFNSGSPVVSSGSLADLESNSIIRPEDDADSHTIKLNEFSVRVEDIPMEAVASAQTQLNVEGPTIDNSHIIQREPDEYNPAMAEDCTMDGSMKTAESGQELHGQYNKGKTKPHPRNERMRKALSRRQSLAGSGTTYNAEGRRRSTRIRSRPLEFWKGERFLYGRIHSSLATVIGIKYESPVRPDGKNATLKVKSFVSDEYKDLVERAARF >EOY26668 pep chromosome:Theobroma_cacao_20110822:6:16839023:16850873:1 gene:TCM_028618 transcript:EOY26668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere protein C, putative isoform 3 MNDPNLTPSKQKEMPLQTLAPDPLEGYTGLSLFARTFASLPNPPRPYDPDDLQHSHHFLKSMPLQSPNKLLEQAKAIVDESSELVNLDTSSSDAEVMENLRERRPALGRKRARFSLKPNSSQPTGNLGLSLDIDKLKDPEEFFTAFERAETAKREIQKQTDGVLMDLDQNIQSMAARPRRPGILRRSVKYKHHYSTAMSPVENFEEEILSPLGCSQQEESDPNVELQEKELSGLVTNAEKKVNELLDHLLTSNYDKDEAVSLLQERLQIKPINLEKICLPDMQDIRRIDLKASRESLAKPRNSVSDIQSLMKGISKRTPKRQAESSVHHLASCTPPRSPLASISLLKKQMLQSDVLSDPFSTDDIDRLPVRNSSPIGSISKQSGQVDTHKELSGSHNNNSRTLQQQAESSAHHSASPTPPRNPLASILLQKNQISQSDSPSHPFSTDNIDQSPGRNASLVHGINKQSSQVDMEKELNMSHMLRSPILEANQTETANASSELNGRDFAGLFDKFVNDNARRFNSGSPVVSSGSLADLESNSIIRPEDDADSHTIKLNEFSVEDIPMEAVASAQTQLNVEGPTIDNSHIIQREPDEYNPAMAEDCTMDGSMKTAESGQELHGQYNKGKTKPHPRNERMRKALSRRQSLAGSGTTYNAEGRRRSTRIRSRPLEFWKGERFLYGRIHSSLATVIGIKYESPVRPDGKNATLKVKSFVSDEYKDLVERAARF >EOY26667 pep chromosome:Theobroma_cacao_20110822:6:16835115:16850975:1 gene:TCM_028618 transcript:EOY26667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere protein C, putative isoform 3 MNDPNLTPSKQKEMPLQTLAPDPLEGYTGLSLFARTFASLPNPPRPYDPDDLQHSHHFLKSMPLQSPNKLLEQAKAIVDESSELVNLDTSSSDAEVMENLRERRPALGRKRARFSLKPNSSQPTGNLGLSLDIDKLKDPEEFFTAFERAETAKREIQKQTDGVLMDLDQNIQSMAARPRRPGILRRSVKYKHHYSTAMSPVENFEEEILSPLGCSQQEESDPNVELQEKELSGLVTNAEKKVNELLDHLLTSNYDKDEAVSLLQERLQIKPINLEKICLPDMQDIRRIDLKASRESLAKPRNSVSDIQSLMKGISKRTPKRQAESSVHHLASCTPPRSPLASISLLKKQMLQSDVLSDPFSTDDIDRLPVRNSSPIGSISKQSGQVDTHKELSGSHNNNSRTLQQQAESSAHHSASPTPPRNPLASILLQKNQISQSDSPSHPFSTDNIDQSPGRNASLVHGINKQSSQVDMEKELNMSHMLRSPILEANQTETANASSELNGRDFAGLFDKFVNDNARRFNSGSPVVSSGSLADLESNSIIRPEDDADSHTIKLNEFSVRVEDIPMEAVASAQTQLNVEGPTIDNSHIIQREPDEYNPAMAEDCTMDGSMKTAESGQELHGQYNKGKTKPHPRNERMRKALSRRQSLAGSGTTYNAEGRRRSTRIRSRPLEFWKGERFLYGRIHSSLATVIGIKYESPVRPDGKNATLKVKSFVSDEYKDLVERAARF >EOY27520 pep chromosome:Theobroma_cacao_20110822:6:22222472:22242415:1 gene:TCM_029358 transcript:EOY27520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain transcription factor, putative MNKPKSRRKSNTQQETTPPGDFSFNITKVAVSQICKSVGFRRSQVSALETLTLVATKYLEALGKSAVSFSNAASRSQSNLLDLTNAIHDLSLRAGFTGASTLYDSNCLLKSSVLEGLSCFVRSTDEIPFAKPIERAKEREREGVKASPGNLQRGAHVPEWLPGFPHMGSNEKSKKRVNGEELWENSSSVLGCQIDVLEDKRNGGNGCKLAKKRTRVKFRINGVGKRMHWNRYSANNEFTQTKDEDAEAEYKNPVKSKEAQTLVFKRRKR >EOY28898 pep chromosome:Theobroma_cacao_20110822:6:26823466:26827858:1 gene:TCM_030373 transcript:EOY28898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast dicarboxylate transporter isoform 2 MKGNHDSDDDPKSPLLPVNDPVEPSSRSPFSLKSLVTLKSLYVLLGPLLCTVICLCVKFDGPVASRNMLALLAWVFAWWLTEAVPMPITSMAPLFLFPLFGIASADSVAHSYMDDVITLVLGSFILVLAVERYNIHRRLALNITLRFCGDPVNPPLLLLGICATTFFVSMWMHNVACAVMMMPVATGILQRLPVGPTRSTLVGNFCRAVVLGVTYAAPIGGMSTLTGTGVNLILVAMWKSSSQEADSIGFNTWFFFGFPLALLIFFALWAILCLLYLSRGSSQALSAYLDKAHLKRELDTLGPMAFAEKMILAVFGMLIALWMTRSITEDIPGWGALFNGRVGDGTVSVMMATLLFIIPNKKRKGEKLMDWNECKKLPWNIILLLGAGFAIADGVQSSGLADVLSKTLDFLEQAPYLAIAPAVCLISAIITEFITSNDATATLLLPLLIQMAKTMHVHPLLLMIPGAVGSQFAFMLPTGTPSNIVGFTTGHINIQDMIKTGLPLKIAGTAILSFLMPTLGKLFLRIPIISFFRKEMVGFIISQVLLLQVLMFLEQTEFNSCKADCDVRVVGLANIVKFIEPRCIDPAPVVYHHEMNICLAWLTMS >EOY28897 pep chromosome:Theobroma_cacao_20110822:6:26823513:26829179:1 gene:TCM_030373 transcript:EOY28897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast dicarboxylate transporter isoform 2 MKGNHDSDDDPKSPLLPVNDPVEPSSRSPFSLKSLVTLKSLYVLLGPLLCTVICLCVKFDGPVASRNMLALLAWVFAWWLTEAVPMPITSMAPLFLFPLFGIASADSVAHSYMDDVITLVLGSFILVLAVERYNIHRRLALNITLRFCGDPVNPPLLLLGICATTFFVSMWMHNVACAVMMMPVATGILQRLPVGPTRSTLVGNFCRAVVLGVTYAAPIGGMSTLTGTGVNLILVAMWKSSSQEADSIGFNTWFFFGFPLALLIFFALWAILCLLYLSRGSSQALSAYLDKAHLKRELDTLGPMAFAEKMILAVFGMLIALWMTRSITEDIPGWGALFNGRVGDGTVSVMMATLLFIIPNKKRKGEKLMDWNECKKLPWNIILLLGAGFAIADGVQSSGLADVLSKTLDFLEQAPYLAIAPAVCLISAIITEFITSNDATATLLLPLLIQMAKTMHVHPLLLMIPGAVGSQFAFMLPTGTPSNIVGFTTGHINIQDMIKTGLPLKIAGTAILSFLMPTLGEQQQQDIRWNPSIPVSPRAGFLTVLFLASMDNESVSHFLHCHRTSPKSLILGHLPTSMSKTKKCRNPLFFLPRWATGLQHPHGDMVALAWRNSPSAQFPSPRSGTHLHLLPPMTFPAGLAVPESSYTAYHIT >EOY27037 pep chromosome:Theobroma_cacao_20110822:6:19826450:19827454:-1 gene:TCM_028985 transcript:EOY27037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRHNLTRSNRGASNLITRWSIGVKSIRSIFKVTERSIIPTSSSGPHRTYKTMSVKKTVALDNAQVVKSNVTSKRRHFNQCFSFKEISMEPAPSLSHLDSNKFKSEIKRWAKAVVAYARQVSGRFGSSRRSDPYGSSRSSADVHS >EOY28380 pep chromosome:Theobroma_cacao_20110822:6:25193184:25201090:-1 gene:TCM_029965 transcript:EOY28380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 8 MDTKKPLSLALVLVLVFVSYCFESVLSTTVTYDHRALVIDGKRRVLQSGSIHYPRSTPEVWPELIRKSKEGGLDVIETYVFWNYHEPVRGQYYFEGRFDLVKFVKAVQEAGLLVHLRIGPYACAEWNYGGFPLWLHFIPGIQFRTTNDMFKREMLRFLTKIVGLMKEENLFASQGGPIILAQVENEYELVEWAYGIAGELYVKWAAETAISLNTTVPWVMCRQEDAPDPIINTCNGFYCDRFTPNSPSKPKMWTENYSGWFKSFGYPIPHRPVEDLAFAIARFFETGGAFHNYYMYFGGTNFGRTAGGPLVATSYDYDAPIDEYGFIRQPKWGHLRDLHLAIKHCEEYLISSDPTHQQLGHNLEAHIYYKSSNDCAAFLANYDSNLDANVTFNGNLYFLPAWSVSILPDCKNVIFNTAKVVSQRNFGDNSFAQTTTVNQFSLSASSWSWYNEEPGVWGNNLFTESRLLEQISTTKDTSDYLWYTTSINIMPDQSKEVFLLIESLGHAALIFVNKRLVAFGYGNHDDASFSISEKISLVEGNNTLDMLSMMVGLQNFGPWFDVQGAGIFSVVLVDPWNRKNDVSSGEWTYQVGLEGEYLGLDKVSLANSSLWKKGSIPPVNKTLIWYKVTFLAPEGKGPLALNLTSMGKGQAWVNGQSIGRYWPAYLSPSEGCTKNCDYRGEYDSTKCQQNCGQPAQTLYHIPRSWVHPGENLLVLHEELGGDPTKISVLTRTGQEICSLVSEKDPLPADSWKPNLGFMSQTPEARLTCEKGWRIASINFASFGSPQGNCGEFRTGICHADIISMVQKACIGQEQCSIPVSTANLGDPCPGILKSLAIEALCSD >EOY27627 pep chromosome:Theobroma_cacao_20110822:6:22558090:22564363:1 gene:TCM_029423 transcript:EOY27627 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase 1 MEEVKEKSVPILPWMRNPIDVSLFEDCPLSLVPCLDHRLEVALENMGISSLFPVQVAVWQETIGPGAFERDLCINSPTGSGKTLAYALPIVQTLSTRAVKCLRALVVLPTRDLALQVKDVFASIAPAVGLSVGLAVGQSSIADEISELIKRPKLEAGICYDPEDLAYELQSSVDILVATPGRLMDHINSTKGFTLEHLHYLVVDETDRLLREAYQSWLPTVLQLTQSNDESLFPYADSFLSSTFGSLKTIRRFGVERGFKGKSYPRLVKMVLSATLTQDPSKLAQLSLHHPLLMTTGKRRYQLPEKLESYKLICDSNVRPLYLVALLQELGEEKCIVFTSSTESTHRLCTLLNLFGDLSIKIKEYSGLQHQSVRSKTLKAFREGKVQVLVSSDAMTRGMDVEGVRNVINYDMPPYIKTYIHRAGRTARAGQAGRCFTLLHKHEVKRFKKMLGKADNASVPHYSVPSSSIESLHAAYSSALGKLKETVESEASRKRKIGSKFSRLSKSKKTDHQKG >EOY27192 pep chromosome:Theobroma_cacao_20110822:6:20649097:20650013:-1 gene:TCM_029102 transcript:EOY27192 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0/V0 complex, subunit C protein MRPELVMKAIVPVVMAGVLGIYGLIIAVIIGTGINPKANSYYLSDGYAHLSSGIAGIPIGIVGDAGVRTNAQQPQLGFCWDDPHSHLC >EOY27356 pep chromosome:Theobroma_cacao_20110822:6:21381728:21389655:-1 gene:TCM_029220 transcript:EOY27356 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT/enhancer-binding protein zeta isoform 3 PQDVELLKSDIASFASSLGFSTHAALPYSGFNDVDFRKTGPLKRPKPPRTPNIKNQSSQPEKKPNNTQIPKTDSTRNNQRPKPKPPVLSLEDTNKNNRFLKEHDKFKNLPALPLVKPSALSAWYEDELELEKKVFGGEGKGKKAVEVRNVEEWKRLVEKKRELGERLMWQYTKDYELSKGKSGDMKMVMASQRSGTAADKVSAFSFVVADNPVANLKSLDGLLGLVTSKVGKRYAFTGFEALKELFISKLLPDRKLKTLLQHPVNELPETKDGHSLLLFWYWEDCLKQRYERFVIAVEEASRDMLPALKDKALKTMYVLLKSKSEQERKLLSSLVNKLGDPQNKGASNADFYLSNLLSDHPNMKAVVIDEVDTFLFRPHLGLRAKYHAINFLSQIRLSQKGDGPKVAKRLIDVYFALFKVLITEAGRSEQLDNKSKKAVKISPSSRENKLKGSGESHVELDSRLLSVLLTGINRAFPYVSSNEADDIIDMQTPMLFQLVHSKNFNVGIQALMLLDKISSKNQVVSDRFYRALYSKLLLPASMNSSKAKMFIGLLLRAMKCDVNLKRVSAFSKRVLQVALQQPPQYACGCLFLISEVLKARPQLWNMVLQNESVDEDLEHFEDIVEETDTGPTCASKKEENSADVHGGEGANSDSNCSEDEDVLPTNYSDDDGSDDADELFIRESPNDPQKPKMISNQKVLKPQVSSTQSFLPGGYNPRHREPSYSDADRASWWELMVLSTHVHPSVATMAATLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKASSWHGGSQIEPAKKLDMSNHLIGQEILSLAETDVPPEDLVFHKFYMNKMNSSNKPKKKKKKKKGAEEEAAEELFDVGGDDVDDDYVDGGDESDNEEIENMLDSANPSLDADGDYDYDDLDQVANDDDDDLIGDASDAEMDITSDDANGEDFVAAGGDGRIDDDAIDIGNADDVSDDDDEFNPRKRKRKSGKKTLASPFASLEDYEHLLNEDGHTDKESTKKKKPRRGKRKSSK >EOY27358 pep chromosome:Theobroma_cacao_20110822:6:21380774:21389657:-1 gene:TCM_029220 transcript:EOY27358 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT/enhancer-binding protein zeta isoform 3 PQDVELLKSDIASFASSLGFSTHAALPYSGFNDVDFRKTGPLKRPKPPRTPNIKNQSSQPEKKPNNTQIPKTDSTRNNQRPKPKPPVLSLEDTNKNNRFLKEHDKFKNLPALPLVKPSALSAWYEDELELEKKVFGGEGKGKKAVEVRNVEEWKRLVEKKRELGERLMWQYTKDYELSKGKSGDMKMVMASQRSGTAADKVSAFSFVVADNPVANLKSLDGLLGLVTSKVGKRYAFTGFEALKELFISKLLPDRKLKTLLQHPVNELPETKDGHSLLLFWYWEDCLKQRYERFVIAVEEASRDMLPALKDKALKTMYVLLKSKSEQERKLLSSLVNKLGDPQNKGASNADFYLSNLLSDHPNMKINFLSQIRLSQKGDGPKVAKRLIDVYFALFKVLITEAGRSEQLDNKSKKAVKISPSSRENKLKGSGESHVELDSRLLSVLLTGINRAFPYVSSNEADDIIDMQTPMLFQLVHSKNFNVGIQALMLLDKISSKNQVVSDRFYRALYSKLLLPASMNSSKAKMFIGLLLRAMKCDVNLKRVSAFSKRVLQVALQQPPQYACGCLFLISEVLKARPQLWNMVLQNESVDEDLEHFEDIVEETDTGPTCASKKEENSADVHGGEGANSDSNCSEDEDVLPTNYSDDDGSDDADELFIRESPNDPQKPKMISNQKVLKPQVSSTQSFLPGGYNPRHREPSYSDADRASWWELMVLSTHVHPSVATMAATLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKASSWHGGSQIEPAKKLDMSNHLIGQEILSLAETDVPPEDLVFHKFYMNKMNSSNKPKKKKKKKKGAEEEAAEELFDVGGDDVDDDYVDGGDESDNEEIENMLDSANPSLDADGDYDYDDLDQVANDDDDDLIGDASDAEMDITSDDANGEDFVAAGGDGRIDDDAIDIGNADDVSDDDDEFNPRKRKRKSGKKTLASPFASLEDYEHLLNEDGHTDKESTKKKKPRRGKRKSSK >EOY27357 pep chromosome:Theobroma_cacao_20110822:6:21380774:21389657:-1 gene:TCM_029220 transcript:EOY27357 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT/enhancer-binding protein zeta isoform 3 PQDVELLKSDIASFASSLGFSTHAALPYSGFNDVDFRKTGPLKRPKPPRTPNIKNQSSQPEKKPNNTQIPKTDSTRNNQRPKPKPPVLSLEDTNKNNRFLKEHDKFKNLPALPLVKPSALSAWYEDELELEKKVFGGEGKGKKAVEVRNVEEWKRLVEKKRELGERLMWQYTKDYELSKGKSGDMKMVMASQRSGTAADKVSAFSFVVADNPVANLKSLDGLLGLVTSKVGKRYAFTGFEALKELFISKLLPDRKLKTLLQHPVNELPETKDGHSLLLFWYWEDCLKQRYERFVIAVEEASRDMLPALKDKALKTMYVLLKSKSEQERKLLSSLVNKLGDPQNKGASNADFYLSNLLSDHPNMKAVVIDEVDTFLFRPHLGLRAKYHAINFLSQIRLSQKGDGPKVAKRLIDVYFALFKVLITEAGRSEQLDNKSKKAVKISPSSRENKLKGSGESHVELDSRLLSVLLTGINRAFPYVSSNEADDIIDMQTPMLFQLAEMFIGLLLRAMKCDVNLKRVSAFSKRVLQVALQQPPQYACGCLFLISEVLKARPQLWNMVLQNESVDEDLEHFEDIVEETDTGPTCASKKEENSADVHGGEGANSDSNCSEDEDVLPTNYSDDDGSDDADELFIRESPNDPQKPKMISNQKVLKPQVSSTQSFLPGGYNPRHREPSYSDADRASWWELMVLSTHVHPSVATMAATLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKASSWHGGSQIEPAKKLDMSNHLIGQEILSLAETDVPPEDLVFHKFYMNKMNSSNKPKKKKKKKKGAEEEAAEELFDVGGDDVDDDYVDGGDESDNEEIENMLDSANPSLDADGDYDYDDLDQVANDDDDDLIGDASDAEMDITSDDANGEDFVAAGGDGRIDDDAIDIGNADDVSDDDDEFNPRKRKRKSGKKTLASPFASLEDYEHLLNEDGHTDKESTKKKKPRRGKRKSSK >EOY27359 pep chromosome:Theobroma_cacao_20110822:6:21380526:21389711:-1 gene:TCM_029220 transcript:EOY27359 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT/enhancer-binding protein zeta isoform 3 MSNSKTPQDVELLKSDIASFASSLGFSTHAALPYSGFNDVDFRKTGPLKRPKPPRTPNIKNQSSQPEKKPNNTQIPKTDSTRNNQRPKPKPPVLSLEDTNKNNRFLKEHDKFKNLPALPLVKPSALSAWYEDELELEKKVFGGEGKGKKAVEVRNVEEWKRLVEKKRELGERLMWQYTKDYELSKGKSGDMKMVMASQRSGTAADKVSAFSFVVADNPVANLKSLDGLLGLVTSKVGKRYAFTGFEALKELFISKLLPDRKLKTLLQHPVNELPETKDGHSLLLFWYWEDCLKQRYERFVIAVEEASRDMLPALKDKALKTMYVLLKSKSEQERKLLSSLVNKLGDPQNKGASNADFYLSNLLSDHPNMKAVVIDEVDTFLFRPHLGLRAKYHAINFLSQIRLSQKGDGPKVAKRLIDVYFALFKVLITEAGRSEQLDNKSKKAVKISPSSRENKLKGSGESHVELDSRLLSVLLTGINRAFPYVSSNEADDIIDMQTPMLFQLAEMFIGLLLRAMKCDVNLKRVSAFSKRVLQQVALQQPPQYACGCLFLISEVLKARPQLWNMVLQNESVDEDLEHFEDIVEETDTGPTCASKKEENSADVHGGEGANSDSNCSEDEDVLPTNYSDDDGSDDADELFIRESPNDPQKPKMISNQKVLKPQVSSTQSFLPGGYNPRHREPSYSDADRASWWELMVLSTHVHPSVATMAATLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKASSWHGGSQIEPAKKLDMSNHLIGQEILSLAETDVPPEDLVFHKFYMNKMNSSNKPKKKKKKKKGAEEEAAEELFDVGGDDVDDDYVDGGDESDNEEIENMLDSANPSLDADGDYDYDDLDQVANDDDDDLIGDASDAEMDITSDDANGEDFVAAGGDGRIDDDAIDIGNADDVSDDDDEFNPRKRKRKSGKKTLASPFASLEDYEHLLNEDGHTDKESTKKKKPRRGKRKSSK >EOY27355 pep chromosome:Theobroma_cacao_20110822:6:21380526:21389711:-1 gene:TCM_029220 transcript:EOY27355 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT/enhancer-binding protein zeta isoform 3 MSNSKTPQDVELLKSDIASFASSLGFSTHAALPYSGFNDVDFRKTGPLKRPKPPRTPNIKNQSSQPEKKPNNTQIPKTDSTRNNQRPKPKPPVLSLEDTNKNNRFLKEHDKFKNLPALPLVKPSALSAWYEDELELEKKVFGGEGKGKKAVEVRNVEEWKRLVEKKRELGERLMWQYTKDYELSKGKSGDMKMVMASQRSGTAADKVSAFSFVVADNPVANLKSLDGLLGLVTSKVGKRYAFTGFEALKELFISKLLPDRKLKTLLQHPVNELPETKDGHSLLLFWYWEDCLKQRYERFVIAVEEASRDMLPALKDKALKTMYVLLKSKSEQERKLLSSLVNKLGDPQNKGASNADFYLSNLLSDHPNMKAVVIDEVDTFLFRPHLGLRAKYHAINFLSQIRLSQKGDGPKVAKRLIDVYFALFKVLITEAGRSEQLDNKSKKAVKISPSSRENKLKGSGESHVELDSRLLSVLLTGINRAFPYVSSNEADDIIDMQTPMLFQLVHSKNFNVGIQALMLLDKISSKNQVVSDRFYRALYSKLLLPASMNSSKAEMFIGLLLRAMKCDVNLKRVSAFSKRVLQVALQQPPQYACGCLFLISEVLKARPQLWNMVLQNESVDEDLEHFEDIVEETDTGPTCASKKEENSADVHGGEGANSDSNCSEDEDVLPTNYSDDDGSDDADELFIRESPNDPQKPKMISNQKVLKPQVSSTQSFLPGGYNPRHREPSYSDADRASWWELMVLSTHVHPSVATMAATLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKASSWHGGSQIEPAKKLDMSNHLIGQEILSLAETDVPPEDLVFHKFYMNKMNSSNKPKKKKKKKKGAEEEAAEELFDVGGDDVDDDYVDGGDESDNEEIENMLDSANPSLDADGDYDYDDLDQVANDDDDDLIGDASDAEMDITSDDANGEDFVAAGGDGRIDDDAIDIGNADDVSDDDDEFNPRKRKRKSGKKTLASPFASLEDYEHLLNEDGHTDKESTKKKKPRRGKRKSSK >EOY28534 pep chromosome:Theobroma_cacao_20110822:6:25714278:25715464:1 gene:TCM_030077 transcript:EOY28534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAKNVGFVICLLIMAMDITAGILGIQAEIAENKVKHLRMWIFECRDPSFQAFKLGLAAAVLLGLAHVIGNMLAGCVCIWTKEDLDRASANKQLAVASLIFSWIILAVGFSMLIIGTLSNSKSRKTCGISHHRLFSIGGILCFIHGLFTVAYYVSATAAAREERTNRPRANA >EOY26329 pep chromosome:Theobroma_cacao_20110822:6:7638277:7646340:-1 gene:TCM_027824 transcript:EOY26329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator subunit 8 isoform 2 MEGMIQDPSQQQQAQQKNQVVAGAERLNQAVQQQLNLESLKTRAISLFKAISRILEDFDAYSRTNTTPKWLDILGQYSMVNLELFNIVDEIKKVSKAFVVHPKNVNAENASILPVMLSSKLLPEMETEDNLKREQLLQGMQNLPIPSQIEKLKTRIDMIGAACESAEKVLADTRKAYCFGSRQGPAILPTLDKGQAAKIQEQENLLRAAVNFGEGLHLPADQRQITPSLPLHLADIMPAADGVHSFSDPSGMYMKNTPLTSSNIGSQGSLVQASGAQLIGRSAASPSAATSATSFDNTTNSPLPYANSPRSGTNMMNTPSPQQQTQQQQQQQQQQQQQQQQQQQRQKMMQLPQHQLLAQQQFRQSTMQGLGQNQLPLHDLQGQTQQKFQSMQFSQPLAHQQYQGRQLPPGHVQHGIGQSQLNQGNQLGRHLSQFSSPANSALYNAAQGTPSTQMIPNMSATMPSQSLLPRMQFGLPGGNPQRSHASQILTDQMFNMGSGPGGMMPMQPQQQQQQQQHGSQGAFSNMPTAQNLQSNMVALQNNPQSHPNFAQQRQQGQQ >EOY26330 pep chromosome:Theobroma_cacao_20110822:6:7638277:7646340:-1 gene:TCM_027824 transcript:EOY26330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator subunit 8 isoform 2 MEGMIQDPSQQQQAQQKNQVVAGAERLNQAVQQQLNLESLKTRAISLFKAISRILEDFDAYSRTNTTPKWLDILGQYSMVNLELFNIVDEIKKVSKAFVVHPKNVNAENASILPVMLSSKLLPEMETEDNLKREQLLQGMQNLPIPSQIEKLKTRIDMIGAACESAEKVLADTRKAYCFGSRQGPAILPTLDKGQAAKIQEQENLLRAAVNFGEGLHLPADQRQITPSLPLHLADIMPAADGVHSFSDPSGMYMKNTPLTSSNIGSQGSLVQLHGQMQFSQPLAHQQYQGRQLPPGHVQHGIGQSQLNQGNQLGRHLSQFSSPANSALYNAAQGTPSTQMIPNMSATMPSQSLLPRMQFGLPGGNPQRSHASQILTDQMFNMGSGPGGMMPMQPQQQQQQQQHGSQGAFSNMPTAQNLQSNMVALQNNPQSHPNFAQQRQQGQQ >EOY26328 pep chromosome:Theobroma_cacao_20110822:6:7638028:7646412:-1 gene:TCM_027824 transcript:EOY26328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator subunit 8 isoform 2 MEGMIQDPSQQQQAQQKNQVVAGAERLNQAVQQQLNLESLKTRAISLFKAISRILEDFDAYSRTNTTPKWLDILGQYSMVNLELFNIVDEIKKVSKAFVVHPKNVNAENASILPVMLSSKLLPEMETEDNLKREQLLQGMQNLPIPSQIEKLKTRIDMIGAACESAEKVLADTRKAYCFGSRQGPAILPTLDKGQAAKIQEQENLLRAAVNFGEGLHLPADQRQITPSLPLHLADIMPAADGVHSFSDPSGMYMKNTPLTSSNIGSQGSLVQASGAQLIGRSAASPSAATSATSFDNTTNSPLPYANSPRSGTNMMNTPSPQQQTQQQQQQQQQQQQQQQQQQQRQKMMQLPQHQLLAQQQFRQSTMQGLGQNQLPLHDLQGQTQQKFQSLHGQMQFSQPLAHQQYQGRQLPPGHVQHGIGQSQLNQGNQLGRHLSQFSSPANSALYNAAQGTPSTQMIPNMSATMPSQSLLPRMQFGLPGGNPQRSHASQILTDQMFNMGSGPGGMMPMQPQQQQQQQQHGSQGAFSNMPTAQNLQSNMVALQNNPQSHPNFAQQRQQGQQ >EOY27029 pep chromosome:Theobroma_cacao_20110822:6:19797414:19798231:-1 gene:TCM_028980 transcript:EOY27029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPKTSFSLVILVILSISMAKFSIPAKADHNEHKETKISVYFHDYASSDLFVFDDLIIEGPDPKSASVGRGQGITVTACLDGLNVYVSLLIVFTNEAYNGSTIQIQGNNNQLKVIREYGVVSGTGKFWYAKGYATFENYFFDPSTSYSIIRCNISIRH >EOY25692 pep chromosome:Theobroma_cacao_20110822:6:1267276:1277749:1 gene:TCM_027077 transcript:EOY25692 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein, putative MSKMRLDGQLLVFTIFPILFFVSSASPDLLFYGAFYQCIAFHSPFLTQVHDLLHSAHSPEYLPILQSSIQNLRFNSSVGQRPRYIITPRCADHVRAAVLCSKYHGLQVRIRSGGHDYEGLSYKANTPFVLIDLFYLRKVTVDLKSKTAWVGAGATLGELYYQIANRSQTLGFPAGICSSIGVGGHLSGGGQGTLMRKFGLAADNIVDAILVNADGNILDRRAMGEDLFWAIRGGGAASFGVIVEWKVKLVPVPPKVTAFNVPITLQQGATSLIHRWQQIATRLPEDLFIRILLTVGKESDGRTTVRAIFNSLYLGPLHQLFPLIDEKFPELSLHLQEEHCKELSWIESVLFLDRGFAKGKNVDVLTDRKNNLQSFFIAKSDFVNKPITKRGLKAIWNVMQEGEAGIMIWDPYGGKMDEIPQDATPFPHRAGTLYNIQYFTNWKEGGPDVETKRTDWINKLYNFMEPYVSQNPRAAYLNYRDLSLGINNKYGSPSYLRSKVWGEKYFKNNFERLARVKHAVDPGNFFRNEQSIPPYSGF >EOY25699 pep chromosome:Theobroma_cacao_20110822:6:1311903:1312818:1 gene:TCM_027083 transcript:EOY25699 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MSDDFETCCHVWDVDWEAPEDESFPCATFSINIQARFISASVDDDDDEEEEDHEPCFTEPESVVFEKTEEVRVDLLMNENDNVSTVRDMLVSMDVPVHDFMVDKILACAHRMAMAQRYRTRKVLRMRVEIEAVVDELPDHDDDEEEEDESEESETAALMVEKLRKVVVDRPNSCCTICLEDFLVGSEATSMPCSHVFHHHCILPWLCKKKLCPLCRS >EOY26628 pep chromosome:Theobroma_cacao_20110822:6:15733623:15734371:-1 gene:TCM_028517 transcript:EOY26628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAQSQFPITDNEQNDRETVNLNKRVYQKDEVSFNSQFDHSSIDLDNNTILLTSEYEEDNMVVDVEHNEEDDKAEGVKDEIEEESDEQDENDTDDDEYEKKENELPYSDDE >EOY28069 pep chromosome:Theobroma_cacao_20110822:6:24152474:24154626:-1 gene:TCM_029747 transcript:EOY28069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISFKPLIHHSQGRARRWLSSTWKSDSTRIQEKTSPSIRDFISREKKEYNFFIKKNYKQLNLNPLVPANQRLFVIRQCF >EOY26453 pep chromosome:Theobroma_cacao_20110822:6:10999047:11009719:1 gene:TCM_028111 transcript:EOY26453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase 9A1 MSMFGRDPWGGPLEINAADSATDDDRSRNLQDLDRAALSRPLDETQQSWLLGPGEQKKKKYVDLGCIIVSRKIFVWTVGTLLVSGLLAGFITLIVKTVPRHHHAHGPPDNYTRALHKALMFFNAQRSGKMPKHNNVSWRGNSCLQDGKSDPSTLMKDLVGGYYDAGDAIKFNFPASFAMTMLSWSVIEYSAKYEAAGELNHVKEIIKWGTDYFLKTFNNSADTIDRVAAQDIDYPRPVYECHSCSDLAAEMAAALASASIVFKDNKAYSQKLVHGARTLFEFARDQRGRYSAGGSDAAIFYNSSSYWDEFVWGGAWLYYATGNSSYLQLATHPKLAKHAGAFWGGPDYGVLSWDNKLAGAQVLLSRLRLFLSPGYPYEEILRTFHNQTSIVMCSFLPVFTSFNRTKGGLIQLNHGRPQPLQYVVNAAFLATLYSDYLDAADTPGWYCGPNFYSTDVLRDFAKTQIDYILGKNPRKMSYIVGFGNHYPRHVHHRGASIPKNKIKYNCKGGWKWRDTTKPNPNTLVGAMVAGPDKHDGFHDVRTNYNYTEPTLAGNAGLVAALVALSVSLDFVFFGDKDLAIVVGIYVIFVLNMAEEESSSLQYSPTWVVASVCFLIVLISLIAERGLHRLGKFLKHNKQDALFEALQKLKEELMLLGFISLLLTVSQGLVSRMCIPDDLVSNMLPCKRDSEKKGHEEYSPQLINNRRRLFSTESSSGQCSHEGQVPLLSLEALHQLHIFIFVLAVVHVIFCVTTMVLGGARIREWKKWEKWVSEGRREETHRHRKLFQQHAQGFWRKAAVVSWTISFFKQFYGSVTMSDYIALREGFIMAHCPGHSKFNFHKYMMRTLEADFKKVVGISWYLWLFVVVFLLLNVKGWHTYFWLSFLPVVLLLLVGAKLEHIIIRLAQDVIEKRERGEGAQVKPSDEHFWFGRPGIVLHLIHFILFQNSFELAFFFWILCTYGFRSCIMEKVGYIIPRLIMGVMVQVLCSYITLPLYVLVTQMGSKFKEGMFDDFVVESLGKWRQGRRGETSMGGSSSAHVGQVHRITKESCQSIQIEEKQTMNIEENIKSITELSVSGQNP >EOY28691 pep chromosome:Theobroma_cacao_20110822:6:26258062:26263797:1 gene:TCM_030221 transcript:EOY28691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homer protein isoform 1 MVELQSCASLVNASALCAIEQEMKGESVNVIAEIAAELQREREKNAELMKRISSLEAQIQEREKESLLTNEKLNPSKSLLSLLNGLASYVLSQVSCLDTTERSLKRFKRQKIETYSHRTEDGKSSKTEMASKAENGTQCMPSTDENQDDRLVNWMSMDETLFSHFDKFKDSDLAADREDTDDSDDEDEYYEEDDPNTGYKDRETEENLRSAYEEQPHEDGVGPGMHISCLQSYSTSQSELTFTNSSQLIKENREKDYTLQDIQVVFNRNNPKYVMKETEKNGEHKSPADMATSGQEPCQTVSGKTSSNKKPPKVPFCPKEIRKILASDALLLKNAQSHTIRKIIVFASLGIRNGCEDMYELDFNHFSILRKGDPYVSPKNPGEHVLYENPGVQRKVFYPNRQNPTLCPVQILEEEKTMRPSDPCCPSCLFLCIKYGGRTRNLPQNEYVRQRMGRNKLKSFGPVMCRMAMLVHIRSGSFFFKALGITLLFMAGFPDDLVQRETKYRNLDLLQKYYRTDEDAEDEELFLSHPIAFDTARPSSQQLTGKTTSTKSKGRRQTNSSSKSHNFPKASVQESAPSSSTPGTQFGLMGYTSIQTQAMAAFQSTQSQTPAETTQVSNPMMKSLGNNVSYHNQTAYHLFPPQPANTFMPMVYWPPPVVFPPSPYPTSYGYRSYPTNANNISIHPQPYYNHPSSSSFIPKIIERNRTDVSASLEPDSDSDSSLSSSEEPKEALASCR >EOY28692 pep chromosome:Theobroma_cacao_20110822:6:26259392:26264065:1 gene:TCM_030221 transcript:EOY28692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homer protein isoform 1 MVELQSCASLVNASALCAIEQEMKGESVNVIAEIAAELQREREKNAELMKRISSLEAQIQEREKESLLTNEKVSCLDTTERSLKRFKRQKIETYSHRTEDGKSSKTEMASKAENGTQCMPSTDENQDDRLVNWMSMDETLFSHFDKFKDSDLAADREDTDDSDDEDEYYEEDDPNTGYKDRETEENLRSAYEEQPHEDGVGPGMHISCLQSYSTSQSELTFTNSSQLIKENREKDYTLQDIQVVFNRNNPKYVMKETEKNGEHKSPADMATSGQEPCQTVSGKTSSNKKPPKVPFCPKEIRKILASDALLLKNAQSHTIRKIIVFASLGIRNGCEDMYELDFNHFSILRKGDPYVSPKNPGEHVLYENPGVQRKVFYPNRQNPTLCPVQILEEEKTMRPSDPCCPSCLFLCIKYGGRTRNLPQNEYVRQRMGRNKLKSFGPVMCRMAMLVHIRSGSFFFKALGITLLFMAGFPDDLVQRETKYRNLDLLQKYYRTDEDAEDEELFLSHPIAFDTARPSSQQLTGKTTSTKSKGRRQTNSSSKSHNFPKASVQESAPSSSTPGTQFGLMGYTSIQTQAMAAFQSTQSQTPAETTQVSNPMMKSLGNNVSYHNQTAYHLFPPQPANTFMPMVYWPPPVVFPPSPYPTSYGYRSYPTNANNISIHPQPYYNHPSSSSFIPKIIERNRTDVSASLEPDSDSDSSLSSSEEPKEALASCR >EOY25866 pep chromosome:Theobroma_cacao_20110822:6:2458374:2474554:1 gene:TCM_027234 transcript:EOY25866 gene_biotype:protein_coding transcript_biotype:protein_coding description:NBS-LRR type disease resistance protein MGNLCSISISTADTIPRCWDCIVGHASYTRKLEDNLKALSVELAKLNARRDDVKRRVDLAEQQRMEPLNQVQLWLSRVQTVGADAEVLINGGTQQIQKLCFGGCFSKNCKSSYNFGKQVTRKLAETVDLKNEGDFERVAEYELTAQVDVRPIEPTVGLEPTLVKVWRLLEENDVGIIGLHGLGGVGKTTLLTQINNNLSSMPMGYDVVIWVVVSKDHTIERVQEKIGEKVGLSIELWKNKSCDEKAIDIFRVLSKKKFVLLLDDLWERVDLIKVGIPVPNQDNGIHMEHLESLIIRFCESMEKIVIRPIDKAPCFHTLSRVSLCSCNNLRDITWLILAPNLTHLFVVFCSRMEEIISDQVTDVVGIPIPSPFAKLEELDLRDLPELKSIYWDALSFPCLRKIKVFNCSKLKKLPLNLDSGNQISIEGYKEWWEKIQWKDEATRKFFLPSFKCVEWWKEVEFQDEPVQYLHCFFW >EOY25978 pep chromosome:Theobroma_cacao_20110822:6:3186952:3191843:-1 gene:TCM_027350 transcript:EOY25978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSEEEWVKEAITNDMLVAEVLLSLAQAEPPPPPPPPNQSAKNNCGSPALQLEWSVRQRRSKQALRKKGEPARASPTTPLSWSSGTSVSGGGGADGSEGSSRPSLKPVGNARSKVSATNETTPPKRSRRKKTLPELKEEMSSHLKENKSLKSELDMVKLKFESLRATNETLSRKLKFEKEKATNESSKRIKLEYQSHQATKTTTACPEPENTISELSQQREVAYQPSSVGCNKREVSVLDVASCEASFMLPDLNLPIGDD >EOY25758 pep chromosome:Theobroma_cacao_20110822:6:1664383:1667460:-1 gene:TCM_027129 transcript:EOY25758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MICYKRWEDILFNKSLLMNLANDVDCLRKVMFIKC >EOY26395 pep chromosome:Theobroma_cacao_20110822:6:9123200:9124338:-1 gene:TCM_027958 transcript:EOY26395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDWLSPCHASVDCYHKLVRFDFPGEPSFSIQGDRSNAPTKLISVMSTKRLLRQCCSGYLVVVRDTQAKVGDINQVSVVNEFMDVFLEELLGLPPKREIEFCIDLIPDTRPIFIPLYRMAPTELKELKDQLEDLLDKGFIGPSVSP >EOY26052 pep chromosome:Theobroma_cacao_20110822:6:3870167:3872047:1 gene:TCM_027435 transcript:EOY26052 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 40, putative isoform 2 MDSSSWVDTSLDLNASARSLRLGTPKKESTSNLIVFGKKLSATEETGALVEELNRVSAENKKLTEMLTAMCESYNALQSQLMDLMSKNPEKEFSPSKKRKSESSNNNDNKFGIIGNSESSSTDEESCKKPREEIIKAKISRVSVRTEASDTSLVVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPSCPVKKKVQRSVEDQLVLVATYEGEHNHLPPSQMEATSGSSRCMTLGSVPCSASLNSSGPTVTLDLTKSKSSTDEARNSKSKMESPEVRQYLVEQMASSLTKDPNFTAALAAAISGRMFSTKSN >EOY26053 pep chromosome:Theobroma_cacao_20110822:6:3870300:3872201:1 gene:TCM_027435 transcript:EOY26053 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 40, putative isoform 2 MDSSSWVDTSLDLNASARSLRLGTPKESTSNLIVFGKKLSATEETGALVEELNRVSAENKKLTEMLTAMCESYNALQSQLMDLMSKNPEKEFSPSKKRKSESSNNNDNKFGIIGNSESSSTDEESCKKPREEIIKAKISRVSVRTEASDTSLVVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPSCPVKKKVQRSVEDQLVLVATYEGEHNHLPPSQMEATSGSSRCMTLGSVPCSASLNSSGPTVTLDLTKSKSSTDEARNSKSKMESPEVRQYLVEQMASSLTKDPNFTAALAAAISGRMFSTKSN >EOY27760 pep chromosome:Theobroma_cacao_20110822:6:23064620:23069796:1 gene:TCM_029529 transcript:EOY27760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein MVSKCVSLIVVVLCSVLVNVLSAEPSLEVEVEALQAFKSSITHEPLGQLADWTEANHHCNWSGIACDPSSSRVISISLVDKQLKGEISPFLGNLSSLQVLDLSSNSFSGHIPPQLGLCSQLSELTLYDNSLSGPIPPEIGNLRNLQSIDLGDNSLNGSIPDSICNCTSLLALGIIFNNLTGTIPKDIGNLVNLQILVAYGNNLQGSIPVSIGMLGDLQSLDLSENQLSGVIPSQIGNLSSLEYILLFKNSFVGEIPSELGHCRMLMALELYTNKFTGAIPSELGNLIHLQTLRLYENRLNSTIPLSLFQLKSLTHLGLSVNELTGTVPNELGSLSSLEVLTLHSNKLRGEIPSSITNLTNLTYLSMSYNFLTGELPPNIGLLYNLKNLSLEVNLLEGSIPPSIINCTRLLFISLGFNRMTGKIPSGLGQLPNLTILSIGPNRMSGEIPDDLFNCLNLRILSIAENNFSGSLKPVIGKLYNVQVLKASFNSFVGAIPPEIGNLSQLVTLTLAGNGFTGKIPPELSKLHLLQGLSLHDNALEGSLPEKIFELKQLTYLDLQHNKITGSIPDAVSKADFLTYLNLNGNMLNGSIPNSMERLFRLSTLDLSHNHLTGSIPKSVLAGIKGGMQLYLNLSYNFLEGSIPDELGMLEMVQAIDISNNNLSGVIPMTLGGCRNLFSLDLSGNKLSGPILAEVFTQMDMLRSLNLSKNKLDGEIPQNLAKLKHLSSLDLSQNQLKGNIPESFTNSSSLKHLNLSFNQLEGHVPENGIFKTINSSSLVGNIALCGNKFLRSCSKRSSHRFSRKAVIILTILGSVSVLLILLVAVSILIQRAKKRKPVKLENPEPDFTPALKRFDKMELQNATNSFSEDNIIGASSLSTVYRGVLEDGQLIAVKKLNLHQFSKESDKSFHREVKNLSHLRHRNLVKVLGYAWESENLKAVILQYMENGSLDSVIHDSVMERIWTLSERIDLWISVASALDYLHSGYDFPIVHCDLKPSNILLDGDWVAHVSDFGTARMLDVHLQDGSSLSSSSAFEGTIGYMAPEFAYMRNVTTKVDVFSFGIVVMEFLTKRRPTGLMEEEGLPASLRQLVEKALASGTKGILQVLDPVLASNVSKEQTEALEDLFKLALSCTFPNPEERPNMNEVLSFLLKLKAKHHDTDTQYPREE >EOY26293 pep chromosome:Theobroma_cacao_20110822:6:7189329:7189674:-1 gene:TCM_027776 transcript:EOY26293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSRDARVENGEEAASEEEKVPLREQLQIFQQDMHALIDNLMQRTFDLEAAILSNKKILAEIEFKVYELRKK >EOY28981 pep chromosome:Theobroma_cacao_20110822:6:27025529:27028725:1 gene:TCM_030430 transcript:EOY28981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein isoform 3 MTANFWSDLVESPLLLTLQFCEENREENKGKEKKEEAKDEKKEDEKKEEKKEEEPPEIVLKVDMHCEACARKVARALKGFEGVEEVSTDSKASKVVVKGKTADPIKVCERLQKKSGRKVELISPLPKPPEEKKEENKEPKEEKKEEPPAAITVVMKVRMHCEACAQVLQKRIRKIQGVESVETDVGNDQVIVKGVVDPTKLVDDVYKKTKKQASIVKDEEKKEEEKKEEKKEEKEGEKKEGEEGKGEEDTKSDIKRSEYYPSKFYSEYAYHPEIFSDENPNACSVM >EOY28979 pep chromosome:Theobroma_cacao_20110822:6:27025298:27028433:1 gene:TCM_030430 transcript:EOY28979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein isoform 3 MGEENREENKGKEKKEEAKDEKKEDEKKEEKKEEEPPEIVLKVDMHCEACARKVARALKGFEGVEEVSTDSKASKVVVKGKTADPIKVCERLQKKSGRKVELISPLPKPPEEKKEENKEPKEEKKEEPPAAITVVMKVRMHCEACAQVLQKRIRKIQGVESVETDVGNDQVIVKGVVDPTKLVDDVYKKTKKQASIVKDEEKKEEEKKEEKKEEKEGEKKEGEEGKGEEDTKSDIKRSEYYPSKFYSEYAYHPEIFSDENPNACSVM >EOY28980 pep chromosome:Theobroma_cacao_20110822:6:27025298:27028453:1 gene:TCM_030430 transcript:EOY28980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein isoform 3 MGEENREENKGKEKKEEAKDEKKEDEKKEEKKEEEPPEIVLKVDMHCEACARKVARALKGFEDSKASKVVVKGKTADPIKVCERLQKKSGRKVELISPLPKPPEEKKEENKEPKEEKKEEPPAAITVVMKVRMHCEACAQVLQKRIRKIQGVESVETDVGNDQVIVKGVVDPTKLVDDVYKKTKKQASIVKDEEKKEEEKKEEKKEEKEGEKKEGEEGKGEEDTKSDIKRSEYYPSKFYSEYAYHPEIFSDENPNACSVM >EOY27016 pep chromosome:Theobroma_cacao_20110822:6:19756272:19761588:-1 gene:TCM_028972 transcript:EOY27016 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MADALVSAVSQQLTAILFQEAEYGVRLFVGIKEEEVKRLSSTLQTIRAVLVDAEKRQLKEQAVKVWLDKFQNVSYDIEDVLGEWEIAILKMKIARDQSSSTSILLRKVRSWIHSPIPCITRAIHRYDIAVKIKKLNERLQVIAKEKDDYAFTVDQSRRHDLEPERERPITTSFIDVSDIRGRDRDKNVLVSMLLSKNNHEERGIPVISLVGMGGIGKTTLAQIAYNDHKVKAYFDKRIWVCVSNPFDEMRTAKAILEALTGVVSNFTELNTLLEQIHESIKGERFLLVLDDLWSEDERKWQSLKYSLNYGSQESKILMTTRKENVATIMGCSKLFRLGKLSKEESWSLFSHLAFFGRNDKERESLEDIGKKIAQKCQGLPLAAKTLGGLLRFKRSREQWQRMLSSRIWELEEAENGLFSPLLLSYYDLPSPLRQCFSYCSIFPKDYRIEKDFLIKSWMAQGFLGETQHKDMEIIGEEYFDNLVIHSFFQEFVKDENDDCIISCKMHDIVHDFAQYLRRNKSFLVASNNIEELDIESYQENARHLTLIHDEPVAIPDPIFNVKKLRSLHLNLNDSSAVRASLAKLLDQLTCLRILSFKDMNFGFKTSINAIPKEIGKLMHLRYLNLEGNSELEKLPETVCDLCNLQTLNIKSCKNLIKLPHRIGKLINLRHVQNVGTDRCRFMPKGMQRLTSLRTLEEFVVSRSDVESKSCSLGDLGNFTHLRGELEIRGLGNVAEPREAKKAGLRTKSGLRVLRLKFDSQEMQRINIEDENVVFEALQPPPHLESLGILNCRGPVAFPNWMTSLSMLKRVQLQNCLNWESLPPMGKLQSLESLEIEFLNKVKKVEDEILGVERGEGQSSSSSSNNNNNNIAFPVLKSLKFYYMKEWEDWEYGNLLTSTSEVIMPHLRSLTINYCLKLKALPGHLLHNTTLQELHIRGCPVLGARFEKGRGEDWPSISHIPTIQIDDELVLG >EOY27433 pep chromosome:Theobroma_cacao_20110822:6:21709583:21711896:-1 gene:TCM_029281 transcript:EOY27433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQPPHQHSRINLIELKAQLIKRLGLERSKLYFHYLNKLLNLKISKIEFNKLCFRVLGRENVRLHNLLISSILKNACLAKVPPLPVTGDENQQSGNVLLLPGKASSRASTRLNAKVDSASHESIITNENVVSGNGKLTCHDIRKLVQHHQEVLGKADNGRDVLLHNPEILPLTKGSVGGFLSEDSREKSELLVVEDGKELCARSSLQAPLGISLFSDSISGARRALPSVRSGGYSNSYDTGGLLDSEALRERMQQLAALEGLGGVSMDCANILSNGLDIYLKSLIRMCIELVGTRHGCNLSKNNTLKHHLFGKLVNGVLPSYHNQLQNSSRALEGIDGQISYKLISLLDFKVAMELNPQGLGEDWPLLLEKIYMRSFEE >EOY26452 pep chromosome:Theobroma_cacao_20110822:6:10969462:10978622:-1 gene:TCM_028108 transcript:EOY26452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQGKCNTPYFDIVTNKAYRMQIEVFEVALQHNISSNEEKGNDSHSTEKGSMDSIAESRFVQECESQGSENGEDEENPQEAEDDLECDPLMCTGLDSYSC >EOY28424 pep chromosome:Theobroma_cacao_20110822:6:25312854:25317399:1 gene:TCM_029994 transcript:EOY28424 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase B2 isoform 1 MRTFDFLGRLSRAFQDYPSLSRIIVVSTISGGGLIAYAEANTYNGSRGHIAHADAITSNGAHGIASSEAVPKKKKVVLLGTGWAGMSFLKDLNNPSYEVEVVSPRNFFVFTPLLPSVTCGKVEARSIVEPIRNIIRKKNVDISFSEAECVKIDPQNKKIYCRATVNSKLKGEEEFAVDYDYLIIAVGAQVNTFNTPGVEQNCHFLKEVEDAQKIRKNVIESFEKASLPNLSDEERKKILHFVIVGGGPTGVEFAAELYDFVNEDVVKLYPKVREFVKITLLEATDHILNMFDKRITNFAEGKFGRDGIDVKLGSMVTKIDDNEISTKARGNGNTTNTPYGMVLWSTGIGPRPLIKEFMKQIGQGNRRALATDEWLRVEGFDTIYALGDCATINQRKVMEDISEIFKKADKDESGTLTVKEFQEIIDDICERYPQVELYLKSKQVRNIVDRYRHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKQVSWRTRALVVSDWVRRFIFGRDTSGI >EOY28423 pep chromosome:Theobroma_cacao_20110822:6:25312854:25317399:1 gene:TCM_029994 transcript:EOY28423 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase B2 isoform 1 MRTFDFLGRLSRAFQDYPSLSRIIVVSTISGGGLIAYAEANTYNGSRGHIAHADAITSNGAHGIASSEAVPKKKKVVLLGTGWAGMSFLKDLNNPSYEVEVVSPRNFFVFTPLLPSVTCGKVEARSIVEPIRNIIRKKNVDISFSEAECVKIDPQNKKIYCRATVNSKLKGEEEFAVDYDYLIIAVGAQVNTFNTPGVEQNCHFLKEVEDAQKIRKNVIESFEKASLPNLSDEERKKILHFVIVGGGPTGVEFAAELYDFVNEDVVKLYPKVREFVKITLLEATDHILNMFDKRITNFAEGKFGRDGIDVKLGSMVTKIDDNEISTKARGNGNTTNTPYGMVLWSTGIGPRPLIKEFMKQIGQGNRRALATDEWLRVEGFDTIYALGDCATINQRKVMEDISEIFKKADKDESGTLTVKEFQEIIDDICERYPQVELYLKSKQVRNIVDLLKEAKGDAAKESIELNIEEFKSALSQVDSQMKNLPATAQVANQQGAYLAKCFNRMEECEKNPEGPARFRGTGRHRFHPFRYRHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKQVSWRTRALVVSDWVRRFIFGRDTSGI >EOY28523 pep chromosome:Theobroma_cacao_20110822:6:25678579:25681773:-1 gene:TCM_030068 transcript:EOY28523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein, putative MQVFCDLQVDINGEEVFMVDKRTLASFSGRFSKLFGNLMDDTRNLKVVFHDFPGGAEGFELVARFCYNEGRTEITPANVVLLNCAARFMEMESDGLRPSLLNQTKKSLDGISFWSWSELLVALKECQDLLAASSSSIILDKVLDCVIGRIASPIVASSYTSSSENSSFKCSSDTRSSYSWRNNFSQVSWWFEDLLFLNIDIIDRVIKMMIRQRFDHPTISKFLFCYQRSRFLNASPTQKCKVTEVIIKLFSLLDRSSLSCKLLFDIFRVASSLKISKYCKSILENLIGSQLDQATIDFLLLPSPQRKGYMYDVNLVLRLVKAFCNEGRSCCLSPVRLRKVASLVDSYLVEVAADFHLNPSKFGALVMLLPDSARESHDRLFQAIDIYLEVHGGLHEAEKMGICCALNYAKLSTDALRHLARNSKFPSRIAIQAFINQQSKLENLLEGQKHLDTFSGSLSAEESINEKENSDQMLLYAKRLNLPRKAEQLDVQLQGMQCRVTEVEKFCGIMQTQIGNIPRTRLSSLGNNARFLPKLCS >EOY25932 pep chromosome:Theobroma_cacao_20110822:6:2788112:2790546:-1 gene:TCM_027299 transcript:EOY25932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMESMSHNSSFCSLLDSSLPQATLLAHKSLLWLLFLIGSTKPDDMPIFLDQRFPLADLIKMQETVSKNKDAGENDDEDDDGGDQTDDEDDEAESEEESDDNEDDSDDDVEAHSDEESDDDDEDDDDDEDDDDEEDDDDDNDSDEDEQEQPPPKKK >EOY28319 pep chromosome:Theobroma_cacao_20110822:6:24919233:24921467:1 gene:TCM_029918 transcript:EOY28319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger DNA-binding family protein, putative isoform 2 MLTSHHLPGNFLAFDTLHYPIASKHHTVWLISKNLIILLFFRTLLLIALTCGSLLEVSEVRYRDMGLSSKQVSSDGLGWSQSLLQAQTLGLPKASPAKRQQPQNQQQQSEPLKCPRCDSTNTKFCYYNNYNKSQPRHFCKTCKRHWTKGGTLRNVPVGGGRKNKRLKTSNSSTAAAAPASTSTTSAIKSSTASGVNNNRLNTFMAVQPQQQRQDLQLPLADQKNISNIQFQALSRPPSSLLQQNSINCSNLDGKSFSTSNGVFLGSTLPLPQTQGLQFPFSSSSSSSFDTTRSSISTSFQSSTIYNYTGETMEDPTITSVIMPTTSGTFSQPWQVPITSSGMDMTNYWNWDDIDALVSTDLNMPWDDSEIKP >EOY28320 pep chromosome:Theobroma_cacao_20110822:6:24919441:24921123:1 gene:TCM_029918 transcript:EOY28320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger DNA-binding family protein, putative isoform 2 EFPRIRHAPLSDRFKTSHCLAYKQESHHPALLQAQTLGLPKASPAKRQQPQNQQQQSEPLKCPRCDSTNTKFCYYNNYNKSQPRHFCKTCKRHWTKGGTLRNVPVGGGRKNKRLKTSNSSTAAAAPASTSTTSAIKSSTASGVNNNRLNTFMAVQPQQQRQDLQLPLADQKNISNIQFQALSRPPSSLLQQNSINCSNLDGKSFSTSNGVFLGSTLPLPQTQGLQFPFSSSSSSSFDTTRSSISTSFQSSTIYNYTGETMEDPTITSVIMPTTSGTFSQPWQVPITSSGMDMTNYWNWDDIDALVSTDLNMPWDDSEIKP >EOY26501 pep chromosome:Theobroma_cacao_20110822:6:13251525:13253769:-1 gene:TCM_028282 transcript:EOY26501 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H MNFFLEGNILYKRSRDQTLLRCVDSTEAWRIVEEVHEGVCGAHASGHKLARQVMRAGYYWLTLETDCIDFARKCHKCQIYADKIHTPANSLHVLTSPWPFSMWGMDVIGLITPKASNGHRFILVAIDYFTKIITDNASNLNSSMMKEVCAKFKIKHHNSTPYHPKMNGEVEAANKNIKRIIEKMTDVYKDWHEKLPFALHAYRTTVRTSTGATPFSLVYGMEAVLPIEVEIPSLRVLKEVQLEEAEWVNACYEQLNLIEEKRLTALCHGQLYQKRMIRAYGKKAHPRQFREGELVLKRILPNQHDPRGKWTLNWEGPFVVKKAFSGGALILAEMDGREFSNPVNADAVKKYFA >EOY25598 pep chromosome:Theobroma_cacao_20110822:6:589059:594376:1 gene:TCM_026979 transcript:EOY25598 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein isoform 1 MASAIPHLHFPVAWKTNPRIRKRKPATSCSLSEASPLFRAAKHTIDAYVKSGMVIGLGSGQASGMAIEYLGQQLRAGALKDLVGIPTSVVSASEAAKAGIPLGEYENSSQIDFAFDDVDIIEERTLISVIGRRRLQGEESIIQEKLNWLETAEEIDDLFLGDAEVWRRPSIGHADPLGGDFPLVTREGHSVLDVIFTSPIASLAEVAESLEKVDGVVDHGVVSKFPCKAIVASESGLSIVGNRPTNTVGGV >EOY25597 pep chromosome:Theobroma_cacao_20110822:6:589067:594451:1 gene:TCM_026979 transcript:EOY25597 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein isoform 1 MASAIPHLHFPVAWKTNPRIRKRKPATSCSLSEASPLFRAAKHTIDAYVKSGMVIGLGSGQASGMAIEYLGQQLRAGALKDLVGIPTSVVSASEAAKAGIPLGEYENSSQIDFAFDDVDIIEERTLISVIGRRRLQGEESIIQEKLVVSMADQLVFMVKEDLYKCGLEGSIPVLVESLNWLETAEEIDDLFLGDAEVWRRPSIGHADPLGGDFPLVTREGHSVLDVIFTSPIASLAEVAESLEKVDGVVDHGVVSKFPCKAIVASESGLSIVGNRPTNTVGGV >EOY26090 pep chromosome:Theobroma_cacao_20110822:6:4334482:4336121:-1 gene:TCM_027485 transcript:EOY26090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon Tto1 DNA MDVKIAFLHGDLKKEIYMEQLKGFVIKGKENYVCKLKKNLYGWKQASRQWHKKFEIDKLKKKLGKSLAMKDLGPARQILGLQIIYDRETKKLWLSHEKYIEKVLQWFHMDKAKVISTLLTNHFRLNTRLFSSSDREKEDMQHVPYAFTVASLMYAMICTRPDIAHAIGVVSHFPSNLGKMR >EOY25899 pep chromosome:Theobroma_cacao_20110822:6:2620422:2623606:1 gene:TCM_027267 transcript:EOY25899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MISTKTSTSLTLFSHNLEPPLFLIENCKSMDQLQQIHCQTVKSGLIRKPISQNKLISFCCTNESGDMNHALQMFNQISEPKSVFLWNTMIKGYSRVDCPKHGISMYLNMLKQDVKPDDYTFPFLLKGFDRDVGLSCGKKLHGHAVKFGFGSNVFVQNALIHMYSLCGQMEMARAVFDVSCKRDVITWNVIITGYNRMKQYDETNKLFDEMERNGMVPTSVTLVSLLSACSKLKDLEVGKRVHKYIQKCKVESNLTLENALMDMYAACGEMDVAVRIFDRMKTKDVISWTTIVSGFVNKGEIDLARDYFDRMPERDYVSWTAMIDGYLRVNCFKEALVLFREMQALNIRPDEFTMVSILTACAQLGALQIGEWIKTYIERNKVKNDVFVGNALIDMYFKCGSIEKAQRVFNGMPWRDKFTWTAMIFGLAINGHGEEALGMFSEMLRASIKPDEVTYIGVLCACTHAGMVDEGRKFFASMTTEHGVQPNVAHYGCMVDLLGRAGHLQEACEVIKNMPMKPNSIVWGALLGGCRLHKDVEIAEMAAKQILESDPDNGAVYVMLCNIYASCKRWDSLHDLRESMMHRGIKKTPGCSLIEMNGVVHEFVAGDQSHPQSKEIYLKLDKVMRDLEVAGYSPDTSEVFLDIGEEDKQSTLCWHSEKLALAFGLICSRPGVTIRIVKNLRMCVDCHRVAKLVSKLYDREVIVRDRTRFHHFRHGSCSCKDYW >EOY26510 pep chromosome:Theobroma_cacao_20110822:6:13347032:13358024:-1 gene:TCM_028292 transcript:EOY26510 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MPPRRGRPPLTRSVGRGRGRSQRHQPDTVEEESAASTIRAAPAAEQADSPPHPPSPQPPTGIPAMPTEAAQALTAFFAAMAGQAQTGQVSLVVLSTTPLAPPPVQDVSISKKLKEARQLGCVFFTGELDATVAKDWINQVSEALSDMGLDDDMKLMQRPSRFSRSAMTDFGKSSGGSDRCKNCENYHSGLCRGPTRCFQCGQTGHIRSNCPQLGRATVAASSPPARTDMQMRDSSRPQTRTATRVFAVTEDEARVRPGAVTGAMSLFDKDAYVLIDSGSDRSYVSTTFASIADKNLSPLEGEIVVHTPLGEQLIRNTCYRDCGVRVAEEEFRGDLIPLEILDFDLIFAIKASKLVQKGYPAYLAYVIDTSNGEPKLEDVPIVSEFPDVFPDDLPRLPPDRELEFPIDLLSGTAPISIPPYRMAPAELKELKVQLQDLVDKGFIRPSISPWGAPVLFVKKKDGTLRLCIDYRQLNRVTIKNKYPLPWIDDLFDQLRGAMVFSKIDLRSGYYQLRIKEQDVPKTAFRTRYGHYEFLVMLFGLTNAPAVFMDLMNRVFHPYLDKFVIVFIDDILLKEVVFLGHVVSGAGIYVDPKKIEAILQWEQPRTVTEIRSFLGLVGYYRRFVQRFSLIAAPLTRLTRKGVKFEWDDVCENRFQELKNRLTSAPILTLSVSEKEFVVYSDAPKLGLGCVLMQDEKVIAYASRQLMKHETNYLTHDLELAAVVFALKIWRHYLYGERCRIFFDHKSLKYLLTQKELNLRQRRWLELIKDYDLVIDYHPGKANVVTDALSRKSSSSLATLRSSYFPMLLEMKSLGIQLNNGEDGTLLASFVVRPSLLNQIRELQKFDDWLKQEVQKLQDGEASEFRLSDDGTLMLRDRICVPKDDQLRRAILEEAHSSAYALHPGSTKMYQTIKESYWWPGMKRDIAEFVAKCLICQQIKAEHQKSSGTLQPLPIPEWKWEHVTMDFVLGLPRTQSGKDAIWVIMGRLTKSAHFLAIHSTYSIERLARLYIDEVVRLHGVPVSIVSDRDPRFTSRFWPKFQEALGTKLRFSTAFHPQIDGQSERTIQTLEDMLRACVIDFIRSWDRHLPLVEFAYNNSFQSSIGMATYEALYGRKCRTPLCWDEVGERKLVNVELIDLTNDKVKVIRERLKTAQDRQKNYSDKRRKDLEFEVDDKVFLKVPPWKDLDRIHNVFHISMLKKYVPDPSHILETPPIELHEDLKFEVKPVRILDRKNRVLKNKSIPMVKVLWKNARMEEMTWEVESQMRNQYPHIFFESGCLGQGVPENGFMAQA >EOY28715 pep chromosome:Theobroma_cacao_20110822:6:26340717:26342332:1 gene:TCM_030236 transcript:EOY28715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein isoform 1 MAKSSFKLEHPLGSQAEASRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFVFVKNTLPPT >EOY28714 pep chromosome:Theobroma_cacao_20110822:6:26340784:26342699:1 gene:TCM_030236 transcript:EOY28714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein isoform 1 MAKSSFKLEHPLERRQAEASRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFVFVKNTLPPTAALMSAMYEENKDEDGFLYMTYSGENTFGLPLLLA >EOY26318 pep chromosome:Theobroma_cacao_20110822:6:7524256:7528605:1 gene:TCM_027811 transcript:EOY26318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase MLDYYPSIVSMPAGALGVVIVIKYQTNVSAQSNFGVNSISQVKFHQQSKACLFLCFLICFNNLTGTFYNDSPWIGVTCGSNHQRVITLDLTNMSLIGTIPPHLGNLSFLAHLNIRFNHFHGSLPMELANLSSLEYINFGHHNFSGEIPLWFDSFTQLQRLLLYNNCFSDVIPSSLGSLSNLEELILSYNDLKGQIPTAIGNLSNLKWLYLDNNQLSGQLPLALFKCQELRILSLFHNALEGCVPQEIKNLTKLSDLYLDTNNLTGMLNKPLYFYFQLKKFLYFYFEPNKALILLFLATSPYE >EOY28251 pep chromosome:Theobroma_cacao_20110822:6:24738258:24743125:-1 gene:TCM_029877 transcript:EOY28251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MVCEALSLTIPLFSNLTSKDTKRATKHHNLHRSTLRIRCSSSSAEWPITADKHEDDHSLTGTAYDFERATVSLTRKSLSTSKRVTLVRHGLSSWNEEGRVQGSSNLSVLTETGVKQAERCRQALSNKHFDQCFSSPISRAKTTAEVLWQGREAPLVFLDSLKEAHLFFLEGMKNVDARVIYPKEYVTWREDPANFYVNGVYPVRKLWATAREAWREILLTPGENFLVVTHKSMLRALICTALGLAPERFRAIDVNNGGISTFSFNKRGEAMLQSLNMTAHIITLTPLEHELTISCPPFDPQDFPSLSHVDSLSWQCYMEAKQLHRAPPSSHSPTYRAILRAGPRLKPLQQVHARIVITGLGHSQSLITKLLSFACAATSPVCYTRRLFLSISKPDTFLFHSLITLTSKFNFPLESLVCHRRMISANISPSNYTFSAVVKCCTDLMAFNIGKTVHCQVLSCGYGLDSYVQAALVSFYAKSGDLGVARKVFDKMPEKTVVAWNSMISGYEQNGFGKEAVGLFYLMQDSGVKPDSTTFVCLLSACAQLGAAGLGCWVHEYIVRNCFDVNVVLGTALINMYSRCGNVSKAREAFDSMEEKNVVAWTAMISGYGMHGHGSQAIELFSEMRVHGPRPNNVTFVAILSACAHAGLVNEGRQIFASMRQQYGLVPSVEHHVCVVDMLGRAGHLHEAYQFIISVIPEEPAPAVWTAMLGACKMHKNFDLGVEVAEHLLSIEPENPGHYVMLSNIYALAGRMDRVEKVRNVMIRNRLKKEVGYSTIDIDQKICLFSMGDKSHPETNKIYLYLDELMSRCREAGYTPASESVMHEVEEEEREHALRYHSEKLAIAFGLLKTSSGVAIRIVKNLRMCEDCHTAIKFISIVTNREISVRDRLRFHLFKDGSCSCQDYW >EOY26294 pep chromosome:Theobroma_cacao_20110822:6:7196426:7205582:-1 gene:TCM_027778 transcript:EOY26294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain base1 isoform 1 MESAALNLVNATLNWVTLALDAPSARAVVFGVHIGGHLFVEVLLLVVILFLLSQKSYKPPKRPLTKKEIDELCDEWVPESLIPPITEEMQSEPPVLESAAGPHTIINGKEVVNFASANYLGFIGHEKLLESCTSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSILYSYGLSTMFSAIPCFCKKGDIIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMESLEKTLEKITAENQRAKKLRRYIVVEAVYQNSGQIAPLDKIIRLKEKYRFRVLLDESNSFGVLGRTGRGLTEYCGVPIEKIDIVTAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDVLEQNPELTSKLKENVAILWKGLSDILGLSVASNPESPIVFLRLEKSTGSVKSDLQLLEEIADHALKEDSIFVVVSKRSTLDKCPLPVGIRLFVSAAHIESDLLKACASLKRVAAEVLSLRDRR >EOY26295 pep chromosome:Theobroma_cacao_20110822:6:7196512:7205299:-1 gene:TCM_027778 transcript:EOY26295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain base1 isoform 1 MESAALNLVNATLNWVTLALDAPSARAVVFGVHIGGHLFVEVLLLVVILFLLSQKSYKPPKRPLTKKEIDELCDEWVPESLIPPITEEMQSEPPVLESAAGPHTIINGKEVVNFASANYLGFIGHEKLLESCTSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSILYSYGLSTMFSAIPCFCKKGDIIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMESLEKTLEKITAENQRAKKLRRYIVVEAVYQNSGQIAPLDKIIRLKEKYRFRVLLDESNSFGVLGRTGRGLTEYCGVPIEKIDIVTAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDVLEQNPELTSKLKENVAILWKGLSDILGLSVASNPESPIVFLRLEKSTGSVKSDLQLLEEIADHALKEDSIFVVVSKRSTLDKCPLPVGIRLFVSAAHIESDLLKACASLKRVAAEVLSLRDRR >EOY26296 pep chromosome:Theobroma_cacao_20110822:6:7196486:7205257:-1 gene:TCM_027778 transcript:EOY26296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain base1 isoform 1 MESAALNLVNATLNWVTLALDAPSARAVVFGVHIGGHLFVEVLLLVVILFLLSQKSYKPPKRPLTKKEIDELCDEWVPESLIPPITEEMQSEPPVLESAAGPHTIINGKEVVNFASANYLGFIGHEKLLESCTSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSILYSYGLSTMFSAIPCFCKKGDIIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMESLEKTLEKITAENQRAKKLRRYIVVEAVYQNSGQIAPLDKIIRLKEKYRFRVLLDESNSFGVLGRTGRGLTEYCGVPIEKIDIVTAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDVLEQNPELTSKLKENVAILWKGLSDILGLSVASNPESPIVFLRLEKSTGSVKSDLQLLEEIADHALKEDSIFVVVSKRSTLDKCPLPVGIRLFVSAAHIESDLLKACASLKRVAAEVLSLRDRR >EOY26873 pep chromosome:Theobroma_cacao_20110822:6:18793137:18799873:-1 gene:TCM_028841 transcript:EOY26873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein, putative isoform 1 MKEPLRRTKVVIRHLPPSVTQSFLFSQIDDRFSDRYNWFSFRLGKSSHKHQRYSRAYINFKRPEDVFEFAEFFDGHVFVNEKGTQFKAIVEYAPSQRVPKPGTKKDGREGTIFKDPDYLEFLKLIAKPVDNLPSAEIQLERKEVELSGAPKETPVITPLMAFVRQKRAAESGTQGPVTRRKIGRKAGAASTGKSGSSSKRGSEKKKYILKDSVKGTHHKDKSKFFVASKQEDQPVPSVGKEKRENGTVYGIDGPVTGITLTADSGKKKILLLKPKDQEAPHVPQGASEQQGSSSPVANSPGSTAPKQSQRREAGGRLIRSILLSNEASQNQPLAGVKPQQKTQTMNLDNVKRPPRPANTRLGMHGHASNNEIPALKSDGDKKGASNDKFIKKGLYGSGSGSEKHEKRIRNKDRLDRGVWAPLRGSDVSQASEERFSPSMSQSAQASSNSIEGEMKGDIPNGRSGRNVPSENGSNRHFDRRSAAYNIKDDGSVISSESKSSKRGATGSGAHEKQIWVQKSSSGS >EOY26872 pep chromosome:Theobroma_cacao_20110822:6:18793137:18799873:-1 gene:TCM_028841 transcript:EOY26872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein, putative isoform 1 MKEPLRRTKVVIRHLPPSVTQSFLFSQIDDRFSDRYNWFSFRLGKSSHKHQRYSRAYINFKRPEDVFEFAEFFDGHVFVNEKGTQFKAIVEYAPSQRVPKPGTKKDGREGTIFKDPDYLEFLKLIAKPVDNLPSAEIQLERKEVELSGAPKETPVITPLMAFVRQKRAAESGTQGPVTRRKIGRKAGAASTGKSGSSSKRGSEKKKYILKDSVKGTHHKDKSKFFVASKQEDQPVPSVGKEKRENGTVYGIDGPVTGITLTADSGKKKILLLKPKDQEAPHVPQGASEQQGSSSPVANSPGSTAPKQSQRREAGGRLIRSILLSNEASQNQPLAGVKPQQKTQTMNLDNVKRPPRPANTRLGMHGHASNNEIPALKSDGDKKGASNDKFIKKGLYGSGSGSEKHEKRIRNKDRLDRGVWAPLRGSDVSQASEERFSPSMSQSAQASSNSIEGEMKGDIPNGRSGRNVPSENGSNRHFDRRSAAYNIKDDGSVISSESKSSKRGATGSGAHEKQIWVQKSSSGS >EOY26870 pep chromosome:Theobroma_cacao_20110822:6:18791751:18805139:-1 gene:TCM_028841 transcript:EOY26870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein, putative isoform 1 MKEPLRRTKVVIRHLPPSVTQSFLFSQIDDRFSDRYNWFSFRLGKSSHKHQRYSRAYINFKRPEDVFEFAEFFDGHVFVNEKGTQFKAIVEYAPSQRVPKPGTKKDGREGTIFKDPDYLEFLKLIAKPVDNLPSAEIQLERKEVELSGAPKETPVITPLMAFVRQKRAAESGTQGPVTRRKIGRKAGAASTGKSGSSSKRGSEKKKYILKDSVKGTHHKDKSKFFVASKQEDQPVPSVGKEKRENGTVYGIDGPVTGITLTADSGKKKILLLKPKDQEAPHVPQGASEQQGSSSPVANSPGSTAPKQSQRREAGGRLIRSILLSNEASQNQPLAGVKPQQKTQTMNLDNVKRPPRPANTRLGMHGHASNNEIPALKSDGDKKGASNDKFIKKGLYGSGSGSEKHEKRIRNKDRLDRGVWAPLRGSDVSQASEERFSPSMSQSAQASSNSIEGEMKGDIPNGRSGRNVPSENGSNRHFDRRSAAYNIKDDGSVISSESKSSKRGATGSGAHEKQIWVQKSSSGS >EOY26871 pep chromosome:Theobroma_cacao_20110822:6:18791650:18800080:-1 gene:TCM_028841 transcript:EOY26871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein, putative isoform 1 MKEPLRRTKVVIRHLPPSVTQSFLFSQIDDRFSDRYNWFSFRLGKSSHKHQRYSRAYINFKRPEDVFEFAEFFDGHVFVNEKGTQFKAIVEYAPSQRVPKPGTKKDGREGTIFKDPDYLEFLKLIAKPVDNLPSAEIQLERKEVELSGAPKETPVITPLMAFVRQKRAAESGTQGPVTRRKIGRKAGAASTGKSGSSSKRGSEKKKYILKDSVKGTHHKDKSKFFVASKQEDQPVPSVGKEKRENGTVYGIDGPVTGITLTADSGKKKILLLKPKDQEAPHVPQGASEQQGSSSPVANSPGSTAPKQSQRREAGGRLIRSILLSNEASQNQPLAGVKPQQKTQTMNLDNVKRPPRPANTRLGSGSEKHEKRIRNKDRLDRGVWAPLRGSDVSQASEERFSPSMSQSAQASSNSIEGEMKGDIPNGRSGRNVPSENGSNRHFDRRSAAYNIKDDGSVISSESKSSKRGATGSGAHEKQIWVQKSSSGS >EOY26874 pep chromosome:Theobroma_cacao_20110822:6:18791650:18800080:-1 gene:TCM_028841 transcript:EOY26874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein, putative isoform 1 MKEPLRRTKVVIRHLPPSVTQSFLFSQIDDRFSDRYNWFSFRLGKSSHKHQRYSRAYINFKRPEDVFEFAEFFDGHVFVNEKGTQFKAIVEYAPSQRVPKPGTKKDGREGTIFKDPDYLEFLKLIAKPVDNLPSAEIQLERKEVELSGAPKETPVITPLMAFVRQKRAAESGTQGPVTRRKIGRKAGAASTGKSGSSSKRGSEKKKYILKDSVKGTHHKDKSKFFVASKQEDQPVPSVGKEKRENGTVYGIDGPVTGITLTADSGKKKILLLKPKDQEAPHVPQGASEQQGSSSPVANSPGSTAPKQSQRREAGGRLIRSILLSNEASQNQPLAGVKPQQKTQTMNLDNVKRPPRPANTRLGSGSEKHEKRIRNKDRLDRGVWAPLRGSDVSQASEERFSPSMSQSAQASSNSIEGEMKGDIPNGRSGRNVPSENGSNRHFDRRSAAYNIKDDGSVISSESKSSKRGATGSGAHERNKFGFRSHLQVLRKST >EOY26875 pep chromosome:Theobroma_cacao_20110822:6:18793335:18799873:-1 gene:TCM_028841 transcript:EOY26875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein, putative isoform 1 MKEPLRRTKVVIRHLPPSVTQSFLFSQIDDRFSDRYNWFSFRLGKSSHKHQRYSRAYINFKRPEDVFEFAEFFDGHVFVNEKGTQFKAIVEYAPSQRVPKPGTKKDGREGTIFKDPDYLEFLKLIAKPVDNLPSAEIQLERKEVELSGAPKETPVITPLMAFVRQKRAAESGTQGPVTRRKIGRKAGAASTGKSGSSSKRGSEKKKYILKDSVKGTHHKDKSKFFVASKQEDQPVPSVGKEKRENGTVYGIDGPVTGITLTADSGKKKILLLKPKDQEAPHVPQGASEQQGSSSPVANSPGSTAPKQSQRREAGGRLIRSILLSNEASQNQPLAGVKPQQKTQTMNLDNVKRPPRPANTRLGSGSEKHEKRIRNKDRLDRGVWAPLRGSDVSQASEERFSPSMSQSAQASSNSIEGEMKGDIPNGRSGRNVPSENGVQIDILIGAQQPII >EOY26444 pep chromosome:Theobroma_cacao_20110822:6:10673382:10675547:-1 gene:TCM_028079 transcript:EOY26444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein MNSSPAACSQSWSISEDSLRRYVQFASESCIQELLSASDSNKLGNANDGWKILTLDNGVEISKRRSGSLHIFRSRWLLRSVSPQQFITVATAIDAAKQWDPDLVEARYIKDLEDNLSIIRLSFGEKSKPLFRKREFIVYERRETMEDGTLVVAVASLPKEIAAGLHPKQNDAIRGLLLQSGWVVEQLEDINSCIVTYVVQLDPAGWLPKCFVNRLNTKLVMIIENLRKLVQSSN >EOY27888 pep chromosome:Theobroma_cacao_20110822:6:23431244:23444818:-1 gene:TCM_029606 transcript:EOY27888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase, putative MRVVKMKRVNDMLGAMFRSGMLVLIIILMAMEAQAGKLPKDEVDALREIAKELGKKDWVFSVDPCSNHSSWVTPKLQDRPLYNNTVNCSCSFPGDVCHVVSIFLKGQDLPGVLPPSLVKLPHLRFIDLTRNYLNGPIPREWASLKLEFLSLNANRLSGTNLETNLFSGPVPPQLGKLVILENLILSANNLTGQLPRALTSLTKLAELRISSNNFTGRIPDIFQSWKQLKQLEIQASGFQGPIPPSISSLSNLTELRISDLNGGVSQFPYLRNMTSLDKLMLRSCRISGPIPDYLSELPLLRIIDLSFNRFGGNISNLTSIAKMEYLYLTNNSLNGPIPGWIKSANGKSPIDLSYNNFSMDPEPSACRETLNLFKSSFGGKNLQLSGCLDTNPCTKDRFSLAINCGGGRTPVGNIVYEEDYDKGGAAKYVPGTKNWEVSSTGHFWDGNPSSDDYVAHNKSVLKIKDSALYTTARVSPLSLTYYVRCLGNGNYTVKLHFAEIVFRDNSSFYSLGRRLFDVCIQGKRKLKDFDIESAAKGVDKEYIHEFKEVTVNNKTLEIQFYWASKGTTAVPKRATYGPLISAISVKSEFKPPNDRQKKIFIVVGAVGLVLLLVLMILGALWWKGCLWDRISREEELRGLDLKTGIFTLRQIKAATNNFDAANKLGEGGFGSVYKGILLDGTTIAVKQLSSRSRQGNREFVNEIGMISGLQHPNVVRLYGCCAEGNQLLLVYEYMENNSLAHALFGTGEVQLILDWPKRLRICIGIAKGLAFLHDESALKIVHRDIKTANVLLDKDINPKISDFGLARLDEEENTHISTRVAGTIGYMAPEYALWGYLTYKADVYSFGVVALEIVAGKNNMKFRPNENYVCLLDWALVLQQKGNLMELLDTKLGSKFNKEEAMRIIRVALLCTNPSPALRPTMSTAVSMLEGHTAVHEISGEPSFHGDDMRFKSFPDYDQVVLQSSETHSIPLLDSMSMKSSSTSAYDL >EOY26574 pep chromosome:Theobroma_cacao_20110822:6:14389571:14426854:-1 gene:TCM_028403 transcript:EOY26574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLNFIDWWDNDELTAMGGFSWTSLLEGHSKPRYILPPYEKRGWITPEANTFRVHFTPNHHMRVNSANANEWLCPRATIKFQLPLRVTNVYRDVATIVMGSRGVPGSDTTRSDK >EOY26932 pep chromosome:Theobroma_cacao_20110822:6:19212503:19225068:-1 gene:TCM_028892 transcript:EOY26932 gene_biotype:protein_coding transcript_biotype:protein_coding description:MMS19 nucleotide excision repair protein, putative isoform 3 MAETSQLIQGIESFVDSTRSPTQQAASLDVIASLLKNNQLTIETLVREMEGYLTTADNIIRARGILLLGEVLMHLASKPLDDATIHSLIQFFTDRLADWRALRGALVGCLALLRRKSSGGIVSETDAKAVAESYLQNLQVQSLGKYDRKLCFELLLCLLERYPKAIASLGDNLIYGICEAVDGEKDPHCLMLIFHIIEILPQLFPDPLGPFTSFAHDLFENLSYYFPVHFTHPKGEDVNIKRDDLARALMLAFSSTPLFEPFAIPLLIEKLSSSLPSAKVDSLRYLSDCTVKYGVDRMAKHGEALWSSLKDAVFTSLDGVLSFTPESLEGLCLPENEIAAEALSLLQKLIVQNTNFFLDLIVVDEDINMIFNMISSYKSYHGIPAQSKQRLHAVGCILSASVKASTASCNRVFECFFSRLMDILGLCVRNSSGNLSSDDSIMIPKRYNHGALYLSIELLSACRDVIASSETIIAASAHTEETWSYLLRSFSSSLTKAFCSASICTSEDSHDADVYFGVKGLLILATFPEGYLLISKPVFEKILMTFVSIVTVDYSNTLLWKLALKALVQIGSFIEKCHESEKEPSYLGLVVEKIVSFSSLGDFSIPFPLRLEALSEIGTSGKSYMLKVVEGLEEAIYANLSEVYVHGSSNSAEIVTQLLKCYSDKVIPWIQCAKGFDEVPLQFAIHIWNQIELSMVFNATQTNKIEVLDVMMKAMKLAVASCSEENQNIIVQKSYHILSSSTSFPLKELFRQESFQIVQVDNSSSRDEWILSLFAAVVIAVHPETYVPNIKPLLYLFMTTLLKGNVVTAQALGSVVNKLGLESAGVQTDCTLEEVMDIILNLSLWIFHSNSSADIQAKMTSAHDISLINLCSSIGSCTSLQIHAIVGLAWIGKGLLMRGHEKVKDITMIFLRCLQPNGRAEILHQEEGISESNNELDLHHSVMKSAADAFQILMGDSEVCLNRGFHAVIRPLYKQRFFSTMMPILQSLIMKSEPLSRPLLLRASAHIIVDTPLIVVLSDAKKIIPMLLDGLSALSNDILDKDVIYGLLLVLSGILMDKNGQEAVSDSAHTITNRLIELIQYPHMMLVRETAIQCLVAISGLSYARVYPMRTQVLQAIAKALDDPKRAVRQEAVRCRQAWASIASRSLHF >EOY26934 pep chromosome:Theobroma_cacao_20110822:6:19213560:19225110:-1 gene:TCM_028892 transcript:EOY26934 gene_biotype:protein_coding transcript_biotype:protein_coding description:MMS19 nucleotide excision repair protein, putative isoform 3 MAETSQLIQGIESFVDSTRSPTQQAASLDVIASLLKNNQLTIETLVREMEGYLTTADNIIRARGILLLGEVLMHLASKPLDDATIHSLIQFFTDRLADWRALRGALVGCLALLRRKSSGGIVSETDAKAVAESYLQNLQVQSLGKYDRKLCFELLLCLLERYPKAIASLGDNLIYGICEAVDGEKDPHCLMLIFHIIEILPQLFPDPLGPFTSFAHDLFENLSYYFPVHFTHPKGEDVNIKRDDLARALMLAFSSTPLFEPFAIPLLIEKLSSSLPSAKVDSLRYLSDCTVKYGVDRMAKHGEALWSSLKDAVFTSLDGVLSFTPESLEGLCLPENEIAAEALSLLQKLIVQNTNFFLDLIVVDEDINMIFNMISSYKSYHGIPAQSKQRLHAVGCILSASVKASTASCNRVFECFFSRLMDILGLCVRNSSGNLSSDDSIMIPKRYNHGALYLSIELLSACRDVIASSETIIAASAHTEETWSYLLRSFSSSLTKAFCSASICTSEDSHDADVYFGVKGLLILATFPEGYLLISKPVFEKILMTFVSIVTVDYSNTLLWKLALKALVQIGSFIEKCHESEKEPSYLGLVVEKIVSFSSLGDFSIPFPLRLEALSEIGTSGKSYMLKVVEGLEEAIYANLSEVYVHGSSNSAEIVTQLLKCYSDKVIPWIQCAKGFDEVPLQFAIHIWNQIELSMVFNATQTNKIEVLDVMMKAMKLAVASCSEENQNIIVQKSYHILSSSTSFPLKELFRQESFQIVQVDNSSSRDEWILSLFAAVVIAVHPETYVPNIKPLLYLFMTTLLKGNVVTAQALGSVVNKLGLESAGVQTDCTLEEVMDIILNLSLWIFHSNSSADIQAKMTSAHDISLINLCSSIGSCTSLQIHAIVGLAWIGKGLLMRGHEKVKDITMIFLRCLQPNGRAEILHQEEGISESNNELDLHHSVMKSAADAFQILMGDSEVCLNRGFHAVIRPLYKQRFFSTMMPILQSLIMKSEPLSRPLLLRASAHIIVDTPLIVVLSDAKKIIPMLLDGLSALSNDILDKDVIYGLLLVLSGILMDKNACS >EOY26936 pep chromosome:Theobroma_cacao_20110822:6:19213960:19225068:-1 gene:TCM_028892 transcript:EOY26936 gene_biotype:protein_coding transcript_biotype:protein_coding description:MMS19 nucleotide excision repair protein, putative isoform 3 MAETSQLIQGIESFVDSTRSPTQQAASLDVIASLLKNNQLTIETLVREMEGYLTTADNIIRARGILLLGEVLMHLASKPLDDATIHSLIQFFTDRLADWRALRGALVGCLALLRRKSSGGIVSETDAKAVAESYLQNLQVQSLGKYDRKLCFELLLCLLERYPKAIASLGDNLIYGICEAVDGEKDPHCLMLIFHIIEILPQLFPDPLGPFTSFAHDLFENLSYYFPVHFTHPKGEDVNIKRDDLARALMLAFSSTPLFEPFAIPLLIEKLSSSLPSAKVDSLRYLSDCTVKYGVDRMAKHGEALWSSLKDAVFTSLDGVLSFTPESLEGLCLPENEIAAEALSLLQKLIVQNTNFFLDLIVVDEDINMIFNMISSYKSYHGIPAQSKQRLHAVGCILSASVKASTASCNRVFECFFSRLMDILGLCVRNSSGNLSSDDSIMIPKRYNHGALYLSIELLSACRDVIASSETIIAASAHTEETWSYLLRSFSSSLTKAFCSASICTSEDSHDADVYFGVKGLLILATFPEGYLLISKPVFEKILMTFVSIVTVDYSNTLLWKLALKALVQIGSFIEKCHESEKEPSYLGLVVEKIVSFSSLGDFSIPFPLRLEALSEIGTSGKSYMLKVVEGLEEAIYANLSEVYVHGSSNSAEIVTQLLKCYSDKVIPWIQCAKGFDEVPLQFAIHIWNQIELSMVFNATQTNKIEVLDVMMKAMKLAVASCSEENQNIIVQKSYHILSSSTSFPLKELFRQESFQIVQVDNSSSRDEWILSLFAAVVIAVHPETYVPNIKPLLYLFMTTLLKGNVVTAQALGSVVNKLGLESAGVQTDCTLEEVMDIILNLSLWIFHSNSSADIQAKMTSAHDISLINLCSSIGSCTSLQIHAIVGLAWIGKGLLMRGHEKVKDITMIFLRCLQPNGRAEILHQEEGISESNNELDLHHSVMKSAADAFQILMGDSEVCLNRGFHAVIRPLYKQRFFSTMMPILQSLIMKSEPLSRPLLLRASAHIIVDTPLIVVLSDAKKIIPMLLDGLSALSNDILDKDVIYGLLLVLSGILMDKNGQEAVSDSAHTITNRLIELIQYPHMMVIDTFTRRMNVVVYPLVLLSRLLFYEIAFWQWSISLPPLPPATPSPPTQRKGGKKKPSSFHIYLTELYFISS >EOY26935 pep chromosome:Theobroma_cacao_20110822:6:19213774:19225110:-1 gene:TCM_028892 transcript:EOY26935 gene_biotype:protein_coding transcript_biotype:protein_coding description:MMS19 nucleotide excision repair protein, putative isoform 3 MAETSQLIQGIESFVDSTRSPTQQAASLDVIASLLKNNQLTIETLVREMEGYLTTADNIIRARGILLLGEVLMHLASKPLDDATIHSLIQFFTDRLADWRALRGALVGCLALLRRKSSGGIVSETDAKAVAESYLQNLQVQSLGKYDRKLCFELLLCLLERYPKAIASLGDNLIYGICEAVDGEKDPHCLMLIFHIIEILPQLFPDPLGPFTSFAHDLFENLSYYFPVHFTHPKGEDVNIKRDDLARALMLAFSSTPLFEPFAIPLLIEKLSSSLPSAKVDSLRYLSDCTVKYGVDRMAKHGEALWSSLKDAVFTSLDGVLSFTPESLEGLCLPENEIAAEALSLLQKLIVQNTNFFLDLIVVDEDINMIFNMISSYKSYHGIPAQSKQRLHAVGCILSASVKASTASCNRVFECFFSRLMDILGLCVRNSSGNLSSDDSIMIPKRYNHGALYLSIELLSACRDVIASSETIIAASAHTEETWSYLLRSFSSSLTKAFCSASICTSEDSHDADVYFGVKGLLILATFPEGYLLISKPVFEKILMTFVSIVTVDYSNTLLWKLALKALVQIGSFIEKCHESEKEPSYLGLVVEKIVSFSSLGDFSIPFPLRLEALSEIGTSGKSYMLKVVEGLEEAIYANLSEVYVHGSSNSAEIVTQLLKCYSDKVIPWIQCAKGFDEVPLQFAIHIWNQIELSMVFNATQTNKIEVLDVMMKAMKLAVASCSEENQNIIVQKSYHILSSSTSFPLKELFRQESFQIVQVDNSSSRDEWILSLFAAVVIAVHPETYVPNIKPLLYLFMTTLLKGNVVTAQALGSVVNKLGLESAGVQTDCTLEEVMDIILNLSLWIFHSNSSADIQAKMTSAHDISLINLCSSIGSCTSLQIHAIVGLAWIGKGLLMRGHEKVKDITMIFLRCLQPNGRAEILHQEEGISESNNELDLHHSVMKSAADAFQILMGDSEVCLNRGFHAVIRPLYKQRFFSTMMPILQSLIMKSEPLSRPLLLRASAHIIVDTPLIVVLSDAKKIIPMLLDGLSALSNDILDKDVIYGLLLVLSGILMDKNGQEAVSDSAHTITNRLIELIQYPHMMLVRETAIQCLVAISGLSYARVYPMRTQVVNHGEHLLFWNILLPHFGCKMFL >EOY26933 pep chromosome:Theobroma_cacao_20110822:6:19212425:19228531:-1 gene:TCM_028892 transcript:EOY26933 gene_biotype:protein_coding transcript_biotype:protein_coding description:MMS19 nucleotide excision repair protein, putative isoform 3 MAETSQLIQGIESFVDSTRSPTQQAASLDVIASLLKNNQLTIETLVREMEGYLTTADNIIRARGILLLGEVLMHLASKPLDDATIHSLIQFFTDRLADWRALRGALVGCLALLRRKSSGGIVSETDAKAVAESYLQNLQVQSLGKYDRKLCFELLLCLLERYPKAIASLGDNLIYGICEAVDGEKDPHCLMLIFHIIEILPQLFPDPLGPFTSFAHDLFENLSYYFPVHFTHPKGEDVNIKRDDLARALMLAFSSTPLFEPFAIPLLIEKLSSSLPSAKVDSLRYLSDCTVKYGVDRMAKHGEALWSSLKDAVFTSLDGVLSFTPESLEGLCLPENEIAAEALSLLQKLIVQNTNFFLDLIVVDEDINMIFNMISSYKSYHGIPAQSKQRLHAVGCILSASVKASTASCNRVFECFFSRLMDILGLCVRNSSGNLSSDDSIMIPKRYNHGALYLSIELLSACRDVIASSETIIAASAHTEETWSYLLRSFSSSLTKAFCSASICTSEDSHDADVYFGVKGLLILATFPEGYLLISKPVFEKILMTFVSIVTVDYSNTLLWKLALKALVQIGSFIEKCHESEKEPSYLGLVVEKIVSFSSLGDFSIPFPLRLEALSEIGTSGKSYMLKVVEGLEEAIYANLSEVYVHGSSNSAEIVTQLLKCYSDKVIPWIQCAKGFDEVPLQFAIHIWNQIELSMVFNATQTNKIEVLDVMMKAMKLAVASCSEENQNIIVQKSYHILSSSTSFPLKELFRQESFQIVQVDNSSSRDEWILSLFAAVVIAVHPETYVPNIKPLLYLFMTTLLKGNVVTAQALGSVVNKLGLESAGVQTDCTLEEVMDIILNLSLWIFHSNSSADIQAKMTSAHDISLINLCSSIGSCTSLQIHAIVGLAWIGKGLLMRGHEKVKDITMIFLRCLQPNGRAEILHQEEGISESNNELDLHHSVMKSAADAFQILMGDSEVCLNRGFHAVIRPLYKQRFFSTMMPILQSLIMKSEPLSRPLLLRASAHIIVDTPLIVVLSDAKKIIPMLLDGLSALSNDILDKDVIYGLLLVLSGILMDKNGQEAVSDSAHTITNRLIELIQYPHMMLVRETAIQCLVAISGLSYARVYPMRTQVLQAIAKALDDPKRAVRQEAVRCRQAWASIASRSLHF >EOY26185 pep chromosome:Theobroma_cacao_20110822:6:5315289:5316515:1 gene:TCM_027601 transcript:EOY26185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit tim-10 isoform 1 MLICGPGAAFCVRTSLYCVNSNSRNNIASKSKSYLTRYSRRCHLASSFLAAAALMVSAAGAAEVPQDSETLSNIPQTLSGECASSKDCKKPRIQRPKSRKAESCTTKCLATCIRGGVGSPGEGPLNIRRPLVVFKEGFRSRKYWSQVDSSL >EOY26184 pep chromosome:Theobroma_cacao_20110822:6:5314646:5316766:1 gene:TCM_027601 transcript:EOY26184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit tim-10 isoform 1 MLICGPGAAFCVRTSLYCVNSNSRNNIASKSKSYLTRYSRRCHLASSFLAAAALMVSAAGAAEVPQDSETLSNIPQTLSGECASSKDCKKPRIQRPKSRKAESCTTKCLATCIRGGVGSPGEGPLNIRRPLVVFKEGFRSRKYCLVECSDICNLIGDEDYGP >EOY26996 pep chromosome:Theobroma_cacao_20110822:6:19637911:19638817:1 gene:TCM_028952 transcript:EOY26996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MEMLKHVLLAFIICSIAFDILVPAQLDQSGSVNLSFNENFNTLSLTREGQRPLFVAFSGFISLDSGLPEDSSYTEATTGIDYVSDAAYGVTGVGKSVLPEFQTGMQRQIWHVRSFPEGDRNCYNLTLTKGDQYLIKASFMYGNYDELNEVPQFDLHLGPNLWGTVTLQNASTAKTIDIIHVLQENHLHVCLVNTGNGIPSISALELRLLKNTIYRTQSKSLELFTRYDVGSTTGSTFRQVTLTFNLLKLFHNKEL >EOY26489 pep chromosome:Theobroma_cacao_20110822:6:12958563:12960606:-1 gene:TCM_028259 transcript:EOY26489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESTSGKSRINKELIPVPYFLENYFAEMEVHPRVQHKRNSDPVGLSLASEKAMDMREKDGMSDDDSISVIQRRLKSLKLVHKIMLLVVLEPMVEETKAEFFRRKLGYEKVITNNSKKIWIFHSFDISYEVILNHNQCLHVSLSFQWLECPILATFVYVKCTRTERIPLWIVLRSLSVDIRVPWLVGGDFNVILNRAERLYGASPHAGSMDDFAATLLDYGLVDGGFEGNTYTWTNSHVFQRLDRIVYNHQWMGLLPITRV >EOY28626 pep chromosome:Theobroma_cacao_20110822:6:26076635:26081130:1 gene:TCM_030175 transcript:EOY28626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protodermal factor 2 isoform 1 MFSPNLFDSPHMFDMTHKTSEGELGKIRDDDYETKSGTETMDVPSGDEQDPNQRPKKKRYHRHTQLQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKAQHERHENAILKAENEKLRAENNRYKEALSNATCPNCGGPAALGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPLTSFPHISSHLHSRSLDPGASNFGTQSGFVGEMYGGGDLLRSVSGPTEADKPMIVELAVAAMEELIRMAQSGEPLWVPGEKSTDVLNEDEYLRTFPRGIGPKPLGLRSEASRESAVVIMNHVNLVEILMDVNQWSSAFCGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHTDGTWAVVDVSLDNLRPSPMSKCRRRPSGCLIQELPNGYSKVIWVEHVEVDDRAVHNIYRPLVNSGLAFGAKRWVATLDRQCERLASSMASNIPAGDLCVITSPEGRKSMLKLAERMVTSFCTGVGASTAHAWTTLSATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVPPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCSDATGSYVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPGLNGGGILEIGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKAAVA >EOY28625 pep chromosome:Theobroma_cacao_20110822:6:26075377:26080806:1 gene:TCM_030175 transcript:EOY28625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protodermal factor 2 isoform 1 MFSPNLFDSPHMFDMTHKTSEGELGKIRDDDYETKSGTETMDVPSGDEQDPNQRPKKKRYHRHTQLQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKAQHERHENAILKAENEKLRAENNRYKEALSNATCPNCGGPAALGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPLTSFPHISSHLHSRSLDPGASNFGTQSGFVGEMYGGGDLLRSVSGPTEADKPMIVELAVAAMEELIRMAQSGEPLWVPGEKSTDVLNEDEYLRTFPRGIGPKPLGLRSEASRESAVVIMNHVNLVEILMDVNQWSSAFCGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHTDGTWAVVDVSLDNLRPSPMSKCRRRPSGCLIQELPNGYSKVIWVEHVEVDDRAVHNIYRPLVNSGLAFGAKRWVATLDRQCERLASSMASNIPAGDLCVITSPEGRKSMLKLAERMVTSFCTGVGASTAHAWTTLSATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVPPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCSDATGSYVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPGLNGGGILEIGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKAAVA >EOY28624 pep chromosome:Theobroma_cacao_20110822:6:26075488:26081120:1 gene:TCM_030175 transcript:EOY28624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protodermal factor 2 isoform 1 MFSPNLFDSPHMFDMTHKTSEGELGKIRDDDYETKSGTETMDVPSGDEQDPNQRPKKKRYHRHTQLQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKAQHERHENAILKAENEKLRAENNRYKEALSNATCPNCGGPAALGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPLTSFPHISSHLHSRSLDPGASNFGTQSGFVGEMYGGGDLLRSVSGPTEADKPMIVELAVAAMEELIRMAQSGEPLWVPGEKSTDVLNEDEYLRTFPRGIGPKPLGLRSEASRESAVVIMNHVNLVEILMDVNQWSSAFCGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHTDGTWAVVDVSLDNLRPSPMSKCRRRPSGCLIQELPNGYSKVIWVEHVEVDDRAVHNIYRPLVNSGLAFGAKRWVATLDRQCERLASSMASNIPAGDLCVITSPEGRKSMLKLAERMVTSFCTGVGASTAHAWTTLSATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVPPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCSDATGSYVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPGLNGGGILEIGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKAAVA >EOY28156 pep chromosome:Theobroma_cacao_20110822:6:24392195:24394108:-1 gene:TCM_029801 transcript:EOY28156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFYSTTRFSFSVTPIMRERERERGESLKGCRQHSHQKQYKTRNKKRGKKLYILIMFRNARHEISKFHLSSYPFVDASSLLFCHAFYYKTPKPRVRATQI >EOY26456 pep chromosome:Theobroma_cacao_20110822:6:11147194:11150202:1 gene:TCM_028124 transcript:EOY26456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPRRGLPPIARSIGRGRGRSRHRQPNLVRGNRLRLLLRQHLLLNRLRLLHILHLFHHLLVFLYSCHVS >EOY26641 pep chromosome:Theobroma_cacao_20110822:6:16440944:16443038:-1 gene:TCM_028576 transcript:EOY26641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 3-oxidase 1, putative MPSRLSDAFRAHPVHLQQLKHPDFTSLQELPDLYAWNQRDDYPCGDAFAAESVPIIDLDDPNALQGIGHACKTWGVFQVINHGIPPSLLDMVECTGRTLFSLPVHQKLKAARSPDGVSGYGFARISSFFSKLMWSEGFTIVGSPDEHFRQLWPQDYSNYCDVIKEYKEEMKKLAGRLMWLVLGSLGIRAKQDMKWAGPKGDFREASAALQLNFYPACPDPERAMGLAAHTDSTLLTILYQNNTSGLQVLKEGAEWVTVPPVPGGLVINVGDLMHILSNGSYPSVLHRAMVNRSRHRLSIAYLYGPPSSVKISPHPKLVGPSHPPLYRPVTWNEYLDTKAKHFNKALSSVRVCVPLNGLVDVNEHNNSVTVG >EOY27856 pep chromosome:Theobroma_cacao_20110822:6:23328958:23335564:1 gene:TCM_029587 transcript:EOY27856 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein isoform 1 MGIQGLLPLLKSIMVPIHIKDLEGCSVAIDTYSWLHKGALSCSTELCKGLPTSRHIEYCMHRVNLLRHYGVKPVLVFDGGLLPMKIEQENKRARARKENLARAIEHESYGNSAAAYECYQKAVDISPSTAHELIVVLKQENVCFVVAPYEADAQMTFLAVSKQVDAVITEDSDLIPFGCPRVIFKMDKFGQGVEFKSSMLQQNKELSFAGFTKQMLLEMCILSGCDYLQSLSGMGLKRAHALMKKFKSYDKVIKHLRYSSVSIPPLYEESFKKAIFTFQHQRVYDPIIEDVVHLSDISDNIGDDLDFLGPSIPQHIAQGIARGDLDPFTQMPFQGVSYSSQLVLDRNLHLKSFKPESERKKLDLPVQKNLLTNYFCFASLEAKRTFRAPRVSPKHSTLVADSSISPKEHIIVEDSSCEIDTLLLPSPDSANTNNSAENGFNSKLPEYSESPSPDEMRSPDHALPLESNQSIHGPSPASHKEHDCSIVLDAVKSKTITESRKVIIKSRYFQNKQHNENDLEDKQGKFCSKDGIANDLPESGNPDGYGNTYFKGMTLKRKNSSLECVETENVNPKQIYMGASRDDNGHCNPKLETFMDTKAGEAKFGSNISHLGRYSDIAEKSMERFVSVISSFRFSSPGSRASGLRAPLKDARNTCTNRSSASVDLSQFAYVPKN >EOY27858 pep chromosome:Theobroma_cacao_20110822:6:23328958:23335570:1 gene:TCM_029587 transcript:EOY27858 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein isoform 1 MGIQGLLPLLKSIMVPIHIKDLEGCSVAIDTYSWLHKGALSCSTELCKGLPTSRHIEYCMHRVNLLRHYGVKPVLVFDGGLLPMKIEQENKRARARKENLARAIEHESYGNSAAAYECYQKAVDISPSTAHELIVVLKQENVCFVVAPYEADAQMTFLAVSKQVDAVITEDSDLIPFGCPRVIFKMDKFGQGVEFKSSMLQQNKELSFAGFTKQMLLEMCILSGCDYLQSLSGMGLKRAHALMKKFKSYDKVIKHLRYSSVSIPPLYEESFKKAIFTFQHQRVYDPIIEDVVHLSDISDNIGDDLDFLGPSIPQHIAQGIARGDLDPFTQMPFQGVSYSSQLVLDRNLHLKSFKPESERKKLDLPVQKNLLTNYFCFASLEAKRTFRAPRVSPKHSTLVADSSISPKEHIIVEDSSCEIDTLLLPSPDSANTNNSAENGFNSKLPEYSESPSPDEMRSPDHALPLESNQSIHGPSPASHKEHDCSIVLDAVKSKTITESRKVIIKSRYFQNKQHNENDLEDKQGKFCSKDGIANDLPESGNPDGYGNTYFKGMTLKRKNSSLECVETENVNPKQIYMGASRDDNGHCNPKLETFMDTKAGEAKFGSNISHLGRYSDIAEKSMERFVSVISSFRFSSPGSRASGLRAPLKDARNTCTNRSSASVDLSQFAYVPKN >EOY27860 pep chromosome:Theobroma_cacao_20110822:6:23328958:23335564:1 gene:TCM_029587 transcript:EOY27860 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein isoform 1 MGIQGLLPLLKSIMVPIHIKDLEGCSVAIDTYSWLHKGALSCSTELCKGLPTSRHIEYCMHRVNLLRHYGVKPVLVFDGGLLPMKIEQENKRARARKENLARAIEHESYGNSAAAYECYQKAVDISPSTAHELIVVLKQENVCFVVAPYEADAQMTFLAVSKQVDAVITEDSDLIPFGCPRVIFKMDKFGQGVEFKSSMLQQNKELSFAGFTKQMLLEMCILSGCDYLQSLSGMGLKRAHALMKKFKSYDKVIKHLRYSSVSIPPLYEESFKKAIFTFQHQRVYDPIIEDVVHLSDISDNIGDDLDFLGPSIPQHIAQGIARGDLDPFTQMPFQGVSYSSQLVLDRNLHLKSFKPESERKKLDLPVQKNLLTNYFCFASLEAKRTFRAPRVSPKHSTLVADSSISPKEHIIVEDSSCEIDTLLLPSPDSANTNNSAENGFNSKLPEYSESPSPDEMRSPDHALPLESNQSIHGPSPASHKEHDCSIVLDAVKSKTITESRKVIIKSRYFQNKQHNENDLEDKQGKFCSKDGIANDLPESGNPDGYGNTYFKGMTLKRKNSSLECVETENVNPKQIYMGASRDDNGHCNPKLETFMDTKAGEAKFGSNISHLGRYSDIAEKSMERFVSVISSFRFSSPGSRASGLRAPLKDARNTCTNRSSASVDLSQFAYVPKN >EOY27859 pep chromosome:Theobroma_cacao_20110822:6:23328958:23335570:1 gene:TCM_029587 transcript:EOY27859 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein isoform 1 MGIQGLLPLLKSIMVPIHIKDLEGCSVAIDTYSWLHKGALSCSTELCKGLPTSRHIEYCMHRVNLLRHYGVKPVLVFDGGLLPMKIEQENKRARARKENLARAIEHESYGNSAAAYECYQKAVDISPSTAHELIVVLKQENVCFVVAPYEADAQMTFLAVSKQVDAVITEDSDLIPFGCPRVIFKMDKFGQGVEFKSSMLQQNKELSFAGFTKQMLLEMCILSGCDYLQSLSGMGLKRAHALMKKFKSYDKVIKHLRYSSVSIPPLYEESFKKAIFTFQHQRVYDPIIEDVVHLSDISDNIGDDLDFLGPSIPQHIAQGIARGDLDPFTQMPFQGVSYSSQLVLDRNLHLKSFKPESERKKLDLPVQKNLLTNYFCFASLEAKRTFRAPRVSPKHSTLVADSSISPKEHIIVEDSSCEIDTLLLPSPDSANTNNSAENGFNSKLPEYSESPSPDEMRSPDHALPLESNQSIHGPSPASHKEHDCSIVLDAVKSKTITESRKVIIKSRYFQNKQHNENDLEDKQGKFCSKDGIANDLPESGNPDGYGNTYFKGMTLKRKNSSLECVETLSQENVNPKQIYMGASRDDNGHCNPKLETFMDTKAGEAKFGSNISHLGRYSDIAEKSMERFVSVISSFRFSSPGSRASGLRAPLKDARNTCTNRSSASVDLSQFAYVPKN >EOY27857 pep chromosome:Theobroma_cacao_20110822:6:23328958:23335570:1 gene:TCM_029587 transcript:EOY27857 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein isoform 1 MGIQGLLPLLKSIMVPIHIKDLEGCSVAIDTYSWLHKGALSCSTELCKGLPTSRHIEYCMHRVNLLRHYGVKPVLVFDGGLLPMKIEQENKRARARKENLARAIEHESYGNSAAAYECYQKAVDISPSTAHELIVVLKQENVCFVVAPYEADAQMTFLAVSKQVDAVITEDSDLIPFGCPRVIFKMDKFGQGVEFKSSMLQQNKELSFAGFTKQMLLEMCILSGCDYLQSLSGMGLKRAHALMKKFKSYDKVIKHLRYSSVSIPPLYEESFKKAIFTFQHQRVYDPIIEDVVHLSDISDNIGDDLDFLGPSIPQHIAQGIARGDLDPFTQMPFQGVSYSSQLVLDRNLHLKSFKPESERKKLDLPVQKNLLTNYFCFASLEAKRTFRAPRVSPKHSTLVADSSISPKEHIIVEDSSCEIDTLLLPSPDSANTNNSAENGFNSKLPEYSESPSPDEMRSPDHALPLESNQSIHGPSPASHKEHDCSIVLDAVKSKTITESRKVIIKSRYFQNKQHNENDLEDKQGKFCSKDGIANDLPESGNPDGYGNTYFKGMTLKRKNSSLECVETLSQENVNPKQIYMGASRDDNGHCNPKLETFMDTKAGEAKFGSNISHLGRYSDIAEKSMERFVSVISSFRFSSPGSRASGLRAPLKDARNTCTNRSSASVDLSQFAYVPKN >EOY25816 pep chromosome:Theobroma_cacao_20110822:6:2098665:2107671:-1 gene:TCM_027187 transcript:EOY25816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MGNLCSISISMEDTVSRCWDCIVRQASYMCKLEENHKALSLSLEELRAQRDDVNRRVDCAELQLLKRLSQVQVWLSRAETMITEVEELIKDIPQETQKLCHACCFSKNFKSSYKLGQQVARKLEEMDDLKKKGVFDKVAENQPVAPMDVRPIESTVGLESTLLEVWSLLQEKDVGIIGLYGLGGVCKTSLLAQINNKFCSMPVGYDIVIWLVVSKDHTVSKIQEKIAEKIGLSNDMWKMKSCDGKARDIFTVLNKKKFVLFLDDLWERVDLIKVGIPLPSLENGSKLVFTTRHLEVCGKMEAQKKIKVECLGADESWKLFQDKVGEETLDSHPDIRKLAKMVAAECGGLPLALITIGRAMACKKIPEAWEYAIEVLKQSAHRFAGMEEEVFSLLIFSYDSLPSDTMRSCLLYFSLYPEDHVINKRNLIEYWFCEGLLDEFDSMRKALLQGYNIIDSLLNACLLEQAGEDYVRMHDVIRDMCLWIACEREAVEKRFFVQVGHQLGEAPDVGKWEGVRRMSLMRNQIVNLRSTPTCPNLRTLFLNKNTLKVISEGFFKLMPNLRVLNLSDNTDLRELPEGISELVSLEYLNLSGTGIEELPVKLKSLTKFKCLDLSSTENLKRIPQQLISSFSILQVYRMVGSGVYYQDGMIEGNVLNGGNAGLIEELKCLQHLNMLSIEIKSAFALETFLTSRNLQGCAEELVLRNFPESKVLDVLSLANMERLEFLHVANCDNMEEMKITRDFGEMRMAQTNTPFNSLGRVFIERCRRLRDVTWLTFAPNLTMLSVHCCPKMEEIMSERKLNETENVVGIPYPNRFAKLKELHLRHLPQLKSICSDALPFPCLTDISVFKCSKLKKLPLSFDPERNKISIQGTLSWWKELEWEDETARNKCLFVDSSLGLW >EOY27245 pep chromosome:Theobroma_cacao_20110822:6:20845615:20849373:1 gene:TCM_029132 transcript:EOY27245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MQALSTLAFKIDKLSPYFAQTSDFHPNLDTSNHLYQDQSQAGSQRVQAAFELSIRGISHIFGALSIGAMIVTIASALLFVEIKDAAFLKTLSEVMLSLWLKWELRAMVVLSLTVQLILIRYGNRRKFSGKNLKLVSFLVWTMYLFADWLATVALSTLLRSRREQITSPLVIFWTPFLLLHLGGPDTITAYSLSDNELWPRHFFGLCFQVGVALYVYVKFWTLTVTVLTFMAIPIFIVGIIKYGERVRALFLASNMRFRKSVFSASAAKSFELEIDLLQSPSKRDMKLEEYLNHRQIKDKYRYLYRAFLLFQVFRPLFSDLKLRIYRDLSYIFKMENKVSAEEAFKMVEIELGFLYDLLYTKIPIVISRSGVILRCVCLSLTVSTLISFLIIVGKHGYSKVDIGISYLLMVGAIFIEIYSAILHLSSDRGILWFTSQNNRFLKATGSKLVFFTKAKKGIQKMAQHSLLGYCLQPRKIKLAAVFNIFDPEDNLEKYFHTSWKDVNPDLKIIIYSHLKEKRDQYEGFGYYNLSDLLDNRGYDVLKKKGITNDFGWIVTDVEFTHSLLLWHIATDLVFYDDHQRHRVGSLGPYCQLSKLLSDYMMYLLFLCPAMLPEGIGNLRHHDTCIEAKRFFHKDVKIKDAIRGSYGIDIESRSFFIEMGSRRKSAFFEGCQIASQLQEWVSSFQWDHQEKWELIGEVWLDMLTYAASQCSWKEHARQLQQGEELLTHVALLMAHLGLTRKINLVDLPERLQKVDFQPSWYWDRLDRLAYYLA >EOY27246 pep chromosome:Theobroma_cacao_20110822:6:20846265:20849286:1 gene:TCM_029132 transcript:EOY27246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIVTIASALLFVEIKDAAFLKTLSEVMLSLWLKWELRAMVVLSLTVQLILIRYGNRRKFSGKNLKLVSFLVWTMYLFADWLATVALSTLLRSRREQITSPLVIFWTPFLLLHLGGPDTITAYSLSDNELWPRHFFGLCFQVGVALYVYVKFWTLTVTVLTFMAIPIFIVGIIKYGERVRALFLASNMRFRKSVFSASAAKSFELEIDLLQSPSKRDMKLEEYLNHRQIKDKYRYLYRAFLLFQVFRPLFSDLKLRIYRDLSYIFKMENKVSAEEAFKMVEIELGFLYDLLYTKIPIVISRSGVILRCVCLSLTVSTLISFLIIVGKHGYSKVDIGISYLLMVGAIFIEIYSAILHLSSDRGILWFTSQNNRFLKATGSKLVFFTKAKKGIQKMAQHSLLGYCLQPRKIKLAAVFNIFDPEDNLEKYFHTSWKDVNPDLKIIIYSHLKEKRDQYEGFGYYNLSDLLDNRGYDVLKKKGITNDFGWIVTDVEFTHSLLLWHIATDLVFYDDHQRHRVGSLGPYCQLSKLLSDYMMYLLFLCPAMLPEGIGNLRHHDTCIEAKRFFHKDVKIKDAIRGSYGIDIESRSFFIEMGSRRKSAFFEGCQIASQLQEWVSSFQWDHQEKWELIGEVWLDMLTYAASQCSWKEHARQLQQGEELLTHVALLMAHLGLTRKINLVDLPERLQKVDFQPSWYWDRLDRLAYYLA >EOY26849 pep chromosome:Theobroma_cacao_20110822:6:18746518:18750380:1 gene:TCM_028831 transcript:EOY26849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGRKEKMKVGWMRGSMVARGGQRSSREKWEKCKDGDSKVGGLCDGRRGSLVIVVVLSRAIPFPALCCRLPACAAPSAPLGSCSFTFPPSIYYPYAFIFFL >EOY26079 pep chromosome:Theobroma_cacao_20110822:6:4266622:4269170:1 gene:TCM_027469 transcript:EOY26079 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAG1At protein MGNEAKTTPTTASGSNVGGGGFRARMEHYIYSGEKKHVMAGIAIIAVVFGAPWFLMNRGTKHQSHQDYLEKADKARSQRLSSSK >EOY27241 pep chromosome:Theobroma_cacao_20110822:6:20826767:20828550:-1 gene:TCM_029128 transcript:EOY27241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGHTLGGSLLDLGLGEELEMSEEWAEHPAFAANRERLPFEKEHGHVGERWSWSRSRSRSSPLGHSVVQLEQEACLAGSSVISRSTAVSYSVPHFVSTKTVLYPLRN >EOY25840 pep chromosome:Theobroma_cacao_20110822:6:2292465:2294444:1 gene:TCM_027210 transcript:EOY25840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MEKEQEENGNRSQKTMEKEQEENGNRCQKTMVKEQEENGNSSSCCSVLIELPVGEAAAAEGAGPFNLEKAVCSHGLFMMAPNQWDPISRSLSRPLRLLDHHSPPLTVQVRISQPTASTLHLRVYGTRCLSPQHRHSLLNQVSRMLRLSEEEESKVREFRKIVEALHGEEEAAAECLRSFSGRVFRSPTLFEDMVKCILLCNCQFSRTLSMAKALCELQFETQRPFSGVRAAEDDFIPKTPAGNELKRKLRVSKVSMRLEGKFAEPRADHSKSDLQPSQELDEPHAYKGMGSFPSPEELANLDESFLAKRCNLGYRASRILKLAKGIVQGIIQLMQLEEGCKEISLSSYNKLAEQLRQIDGFGPFTCANVLMCMGFYHVIPADSETIRHLKQVHSKSSTMQTVGRDVEGIYAKYAPFQFLAY >EOY25839 pep chromosome:Theobroma_cacao_20110822:6:2292503:2294444:1 gene:TCM_027210 transcript:EOY25839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MEKEQEENGNRCQKTMVKEQEENGNSSSCCSVLIELPVGEAAAAEGAGPFNLEKAVCSHGLFMMAPNQWDPISRSLSRPLRLLDHHSPPLTVQVRISQPTASTLHLRVYGTRCLSPQHRHSLLNQVSRMLRLSEEEESKVREFRKIVEALHGEEEAAAECLRSFSGRVFRSPTLFEDMVKCILLCNCQAAEDDFIPKTPAGNELKRKLRVSKVSMRLEGKFAEPRADHSKSDLQPSQELDEPHAYKGMGSFPSPEELANLDESFLAKRCNLGYRASRILKLAKGIVQGIIQLMQLEEGCKEISLSSYNKLAEQLRQIDGFGPFTCANVLMCMGFYHVIPADSETIRHLKQVHSKSSTMQTVGRDVEGIYAKYAPFQFLAYWAELWHYYEQRFGKLSEMPFCGYKLITASNMKMKATSKRTKVSDRE >EOY25841 pep chromosome:Theobroma_cacao_20110822:6:2292503:2294444:1 gene:TCM_027210 transcript:EOY25841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MEKEQEENGNRCQKTMVKEQEENGNSSSCCSVLIELPVGEAAAAEGAGPFNLEKAVCSHGLFMMAPNQWDPISRSLSRPLRLLDHHSPPLTVQVRISQPTASTLHLRVYGTRCLSPQHRHSLLNQVSRMLRLSEEEESKVREFRKIVEALHGEEEAAAECLRSFSGRVFRSPTLFEDMVKCILLCNCQFSRTLSMAKALCELQFETQRPFSGVRAAEDDFIPKTPAGNELKRKLRVSKVSMRLEGKFAEPRADHSKSDLQPSQELDEPHAYKGMGSFPSPEELANLDESFLAKRCNLGYRASRILKLAKGIVQGIIQLMQLEEGCKEISLSSYNKLAEQLRQIDGFGPFTCANVLMCMGFYHVIPADSETIRHLKQVHSKSSTMQTVGRDVEGQSCGTTMSKGLGN >EOY25838 pep chromosome:Theobroma_cacao_20110822:6:2292265:2294555:1 gene:TCM_027210 transcript:EOY25838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MEKEQEENGNRSQKTMEKEQEENGNRCQKTMVKEQEENGNSSSCCSVLIELPVGEAAAAEGAGPFNLEKAVCSHGLFMMAPNQWDPISRSLSRPLRLLDHHSPPLTVQVRISQPTASTLHLRVYGTRCLSPQHRHSLLNQVSRMLRLSEEEESKVREFRKIVEALHGEEEAAAECLRSFSGRVFRSPTLFEDMVKCILLCNCQFSRTLSMAKALCELQFETQRPFSGVRAAEDDFIPKTPAGNELKRKLRVSKVSMRLEGKFAEPRADHSKSDLQPSQELDEPHAYKGMGSFPSPEELANLDESFLAKRCNLGYRASRILKLAKGIVQGIIQLMQLEEGCKEISLSSYNKLAEQLRQIDGFGPFTCANVLMCMGFYHVIPADSETIRHLKQVHSKSSTMQTVGRDVEGIYAKYAPFQFLAYWAELWHYYEQRFGKLSEMPFCGYKLITASNMKMKATSKRTKVSDRE >EOY29005 pep chromosome:Theobroma_cacao_20110822:6:27096487:27098543:1 gene:TCM_030450 transcript:EOY29005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, 2OG-Fe(II) oxygenase family protein isoform 3 MSLLKLKAVPDPDSNPNDNAKKTQTIDLGNGSEVVYVPRFVAYDDGWEFFNYLDKHIPWTRPTLRVFGRSCLQPRDTCYVATAGLPDLIYSGYQPHAYSWDDFPPLKDILDAVHKMLPGTRFNSLLLNRYKGSNDYAGWHADDEKLYGSTPEIASVSFGCEREFFLKKKSRKSSQDLMKDLHRRGLRKATTLISTALD >EOY29004 pep chromosome:Theobroma_cacao_20110822:6:27096487:27098543:1 gene:TCM_030450 transcript:EOY29004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, 2OG-Fe(II) oxygenase family protein isoform 3 MSLLKLKAVPDPDSNPNDNAKKTQTIDLGNGSEVVYVPRFVAYDDGWEFFNYLDKHIPWTRPTLRVFGRSCLQPRDTCYVATAGLPDLIYSGYQPHAYSWDDFPPLKDILDAVHKMLPGTRFNSLLLNRYKGSNDYAGWHADDEKLYGSTPEIASVSFGCEREFFLKKKSRKSSQERSDEGPPSKRLKKSNNVDQHCFRLKHGSLLVMRGYTQRDWLHSVPKRAKAETTRINLTFRHVL >EOY29003 pep chromosome:Theobroma_cacao_20110822:6:27096364:27098741:1 gene:TCM_030450 transcript:EOY29003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, 2OG-Fe(II) oxygenase family protein isoform 3 MSLLKLKAVPDPDSNPNDNAKKTQTIDLGNGSEVVYVPRFVAYDDGWEFFNYLDKHIPWTRPTLRVFGRSCLQPRDTCYVATAGLPDLIYSGYQPHAYSWDDFPPLKDILDAVHKMLPGTRFNSLLLNRYKGSNDYAGWHADDEKLYGSTPEIASVSFGCEREFFLKKKSRKSSQVLERSDEGPPSKRLKKSNNVDQHCFRLKHGSLLVMRGYTQRDWLHSVPKRAKAETTRINLTFRHVL >EOY29006 pep chromosome:Theobroma_cacao_20110822:6:27096487:27098607:1 gene:TCM_030450 transcript:EOY29006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, 2OG-Fe(II) oxygenase family protein isoform 3 MAGNSSITSTNTFLGQGPPYASLPRDTCYVATAGLPDLIYSGYQPHAYSWDDFPPLKDILDAVHKMLPGTRFNSLLLNRYKGSNDYAGWHADDEKLYGSTPEIASVSFGCEREFFLKKKSRKSSQERSDEGPPSKRLKKSNNVDQHCFRLKHGSLLVMRGYTQRDWLHSVPKRAKAETTRINLTFRHVL >EOY26533 pep chromosome:Theobroma_cacao_20110822:6:13619747:13624268:1 gene:TCM_028322 transcript:EOY26533 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MQLGANPSPVLRIFGSCNGLLAVCHSEQGVIALWNPSTRKCHYLPTPGDDIMDHDIVPRYWYSDNTVLGFGYDVCNSDYKVVKMLRSKTQNCFKVMVYSLKAKSWRIKDCPYGNPRDFIDGAYVNGALYWVGDEIGKISRGKLIFTFDLGTEEYYVIFEGDIRFRKEKCGYDGTIFIK >EOY25501 pep chromosome:Theobroma_cacao_20110822:6:267931:277851:1 gene:TCM_026911 transcript:EOY25501 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 13 isoform 3 MSGTTTAKARLKNLLSQTDNRHCADCAAPDPKWASANIGVFICLKCCSVHRSLGTHISKVLSVTLDEWSDDEIDAMIEVGGNSSANAIYEAYIPENCSKPGPDASHDERRRFIRSKYELQEFVKPSLRITSGKSSASLQSSFSRKILDSFRVKPSENSEGMVEFIGLLKVKVVKGTNLAIRDMMTSDPYVVLTLGQQTVQTTIIKSNLNPVWNEELMLSVPQNFGPVKLQVFDHDTFSADDIMGEAEVDIQPLITSAMAYGDPEMFGNMQIGKWLKSHDNALIEDSIINIVDGKVKQDVSLKLQNVESGELYLEVEWLPLDQ >EOY25502 pep chromosome:Theobroma_cacao_20110822:6:267980:277822:1 gene:TCM_026911 transcript:EOY25502 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 13 isoform 3 MSGTTTAKARLKNLLSQTDNRHCADCAAPDPKWASANIGVFICLKCCSVHRSLGTHISKVLSVTLDEWSDDEIDAMIEVGGNSSANAIYEAYIPENCSKPGPDASHDERRRFIRSKYELQEFVKPSLRITSGKSSASLQSSFSRKILDSFRVKPSENSTVQTTIIKSNLNPVWNEELMLSVPQNFGPVKLQVFDHDTFSADDIMGEAEVDIQPLITSAMAYGDPEMFGNMQIGKWLKSHDNALIEDSIINIVDGKVKQDVSLKLQNVESGELYLEVEWLPLDQ >EOY25503 pep chromosome:Theobroma_cacao_20110822:6:268370:277600:1 gene:TCM_026911 transcript:EOY25503 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 13 isoform 3 MIEVGGNSSANAIYEAYIPENCSKPGPDASHDERRRFIRSKYELQEFVKPSLRITSGKSSASLQSSFSRKILDSFRVKPSENSEGMVEFIGLLKVKVVKGTNLAIRDMMTSDPYVVLTLGQQTVQTTIIKSNLNPVWNEELMLSVPQNFGPVKLQVFDHDTFSADDIMGEAEVDIQPLITSAMAYGDPEMFGNMQIGKWLKSHDNALIEDSIINIVDGKVKQDVSLKLQNVESGELYLEVEWLPLDQ >EOY27532 pep chromosome:Theobroma_cacao_20110822:6:22293447:22296763:1 gene:TCM_029372 transcript:EOY27532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subunit C, putative MEEDKGTTGKINLRQKDKEEEVSISGQVHHLPCCIKFNGPCSVSQYFRPKAKGMEIDGLAVEEAHFRGRKLQGTTISIPNGYSGFVLGKNNSGKRKACDVSEGSLNSWQMKAKFDKLTYWNHDSPPSTDDAFLRSFHWFAVAEALHKPVKAEDLTAASIALEEK >EOY26098 pep chromosome:Theobroma_cacao_20110822:6:4449729:4455871:1 gene:TCM_027499 transcript:EOY26098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl-CoA shikimate/quinate hydroxycinnamoyl transferase isoform 1 MIVNVKESTMVRPAEETPRQSLWNSNVDLVVPRFHTPSVYFYRPTGASNFFDPQVMKEALSKALVPFYPMGGRLKRDEDGRIEIDCNGEGVLLVEAETNSVIDDFGDFAPTLELRQLIPTVDYSGGIGTYPLLVLQVTYFKCGGASLGVGMQHHAADGFSGLHFINTWSDMARGLDLTIPPFIDRTLLRARDPPQPVFHHIEYQPPPAMNTPPQSTGPESTAVSIFKLTREQLNALKAKSKEDGNTVNYSSYEMLSGHVWRSVCKARGLAGDQGTKLYIATDGRARLRPPLPPGYFGNVIFTATPIAVAGDLLSKPTWYAAGTIHDALARMDDDYLRSALDYLELQPDLSALVRGAHTFKCPNLGITSWARLPIHDADFGWGRPIFMGPGGIPYEGLSFVLPSPTNDGSLSVAISLQAEHMKLFEKLIYDI >EOY26097 pep chromosome:Theobroma_cacao_20110822:6:4450081:4456039:1 gene:TCM_027499 transcript:EOY26097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl-CoA shikimate/quinate hydroxycinnamoyl transferase isoform 1 MIVNVKESTMVRPAEETPRQSLWNSNVDLVVPRFHTPSVYFYRPTGASNFFDPQVMKEALSKALVPFYPMGGRLKRDEDGRIEIDCNGEGVLLVEAETNSVIDDFGDFAPTLELRQLIPTVDYSGGIGTYPLLVLQVTYFKCGGASLGVGMQHHAADGFSGLHFINTWSDMARGLDLTIPPFIDRTLLRARDPPQPVFHHIEYQPPPAMNTPPQSTGPESTAVSIFKLTREQLNALKAKSKEDGNTVNYSSYEMLSGHVWRSVCKARGLAGDQGTKLYIATDGRARLRPPLPPGYFGNVIFTATPIAVAGDLLSKPTWYAAGTIHDALARMDDDYLRSALDYLELQPDLSALVRGAHTFKCPNLGITSWARLPIHDADFGWGRPIFMGPGGIPYEGLSFVLPSPTNDGSLSVAISLQAEHMKLFEKLIYDI >EOY27401 pep chromosome:Theobroma_cacao_20110822:6:21564810:21568967:1 gene:TCM_029255 transcript:EOY27401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 34 isoform 2 MAEKSCVKRLQKEYRALCKEPVSHVVARPSPNDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGITMITPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDNSPTTGSVNTTAAEKQRLAKASLAFNCKNPTFRKLFPEYVDKYNKQQQQLVSEHLSPESTKEENLKPEAEKRVKSSVEDGKRVDPLRDTRRNRKQSFPTWMMLLLVSIFGIVMALPLLQL >EOY27399 pep chromosome:Theobroma_cacao_20110822:6:21564810:21568967:1 gene:TCM_029255 transcript:EOY27399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 34 isoform 2 MAEKSCVKRLQKEYRALCKEPVSHVVARPSPNDILEWRKHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGITMITPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDNSPTTGSVNTTAAEKQRLAKASLAFNCKNPTFRKLFPEYVDKYNKQQQQLVSEHLSPESTKEENLKPEAEKRVKSSVEDGKRVDPLRDTRRNRKQSFPTWMMLLLVSIFGIVMALPLLQL >EOY27400 pep chromosome:Theobroma_cacao_20110822:6:21564810:21568967:1 gene:TCM_029255 transcript:EOY27400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 34 isoform 2 MAEKSCVKRLQKEYRALCKEPVSHVVARPSPNDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGITMITPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDNSPTTGSVNTTAAEKQRLAKASLAFNCKNPTFRKLFPEYVDKYNKQQQQLVSEHLSPESTKEENLKPEAEKRVKSSVEDGKRVDPLRDTRRNRKQSFPTWMMLLLVSIFGIVMALPLLQL >EOY27402 pep chromosome:Theobroma_cacao_20110822:6:21564810:21568416:1 gene:TCM_029255 transcript:EOY27402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 34 isoform 2 MAEKSCVKRLQKEYRALCKEPVSHVVARPSPNDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGITMITPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDNSPTTGSVNTTAAEKQRLAKASLAFNSQHSENCFQSMWISTTSSSSSLFQ >EOY27398 pep chromosome:Theobroma_cacao_20110822:6:21564948:21568909:1 gene:TCM_029255 transcript:EOY27398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 34 isoform 2 MAEKSCVKRLQKEYRALCKEPVSHVVARPSPNDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGITMITPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDNSPTTGSVNTTAAEKQRLAKASLAFNCKNPTFRKLFPEYVDKYNKQQQQLVSEHLSPESTKEENLKPEAEKRVKSSVEDGKRVDPLRDTRRNRKQSFPTWMMLLLVSIFGIVMALPLLQL >EOY28918 pep chromosome:Theobroma_cacao_20110822:6:26873373:26878296:1 gene:TCM_030385 transcript:EOY28918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MLSTISRMKSMIFPTFSPPSLHFPKTIPFAFFSLASKEPNLRNLPTLQNPKFLHLKPTMPSPGTPIFSTPSRNLHTETSQSSSPCGEVHVIVGPMFAGKTTTLLRKIQSESSNGSFQAKPRKNYIQLNKALRGLCFAGRLSEAVGLLWRTRLKADAGTYALLLQECIFRKEYKNGRRIHAQMVVVGYVPNEYLKIKLLILYAKLGDLETAHALFDKLLEKNLISWNAMIAGFVQKGCGEFGLDLYYKMRKNGLTPDQYTFASVFRACASLATLEHGKRAHGILIKSPITENVVVSSALMDMYFKCSSLTHGHQVFDEVVYRNVVTWTSLISGYGQHGRVIEVLESFDKMKNEGFRPNYVTFLAVLSACSHGGLVDKGWHYFLSMTRDYGMQPRGQHYAAMVDLIGRSGKLREAYEFILNSSFKEHPAIWGALLGACRIHGDIDLIKLAADKYFELDPENAGKYVVLSNAYATFGFWDNVASLRRMMRNSGVKKEPAYSRIEIQGEVHFFLRGDISHKHSAEIYELIKLMTSILKDAGYVPDINGT >EOY27529 pep chromosome:Theobroma_cacao_20110822:6:22283180:22286328:-1 gene:TCM_029369 transcript:EOY27529 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein MLLIHCYASSTHHRASPHPTRHYLFRETPASSPIISATFPHPSSSSSSSTSSRRTLSVSIATTTISSLILSFYSSALSKSAIKTDFFDLPNSGGVKALDLRPGTGATPVDGDQVAIHYYGRLVAKQGWRFDSTYDHKDGTGEPIPFVISGIEAAVRSMKVGGTRQVIIPPSQGYQNTLQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTVIFDIELISLRH >EOY28224 pep chromosome:Theobroma_cacao_20110822:6:24626318:24629764:-1 gene:TCM_029853 transcript:EOY28224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine kinase, putative MADDANSWIRRTKFSHTVCHRLDSSRLVSFPFHLQSDRNLEWSLGLKPRSAVASAKQKSVHNDPQIQRNPITNKQRSVSPLPQTILSDTFKEARSERRRFSTPNPQRKESDKRFRGKFFHKESHDKKGSNSPASSNSSPLRHLGSIKVQDRSKSRKESAWTKYFDHAGGRVNAVEAADEHTVELSQLFLGLRFAHGAHSRLYHGIYKEEPVAVKIIRVPDDDENGNLAARLEKQFNREVTLLSRLHHPNVIKFVAACRKPPVFCVITEYLSEGSLRAYLHKLDHKSLPMEKLIAIVLEVARGMEYIHSQGVIHRDLKPENVLIDQEFHLKIADFGIACEEAYCDLLADDPGTYRWMAPEMIKKKSYGRKVDVYSFGLILWEMVAGTIPYEDMNPIQAAFAVVNKNLRPVIPHNCPPAMRALIEQCWSLHPEKRPDFWQIVKVLEQFESSLNQDGTLKLVQNPSCQDHKKGLLHWIQKLGPVHPHSNAYSSPMPKPKFT >EOY28597 pep chromosome:Theobroma_cacao_20110822:6:26004629:26006935:1 gene:TCM_030153 transcript:EOY28597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMDMAENPKLDLASSHEARGSEEKMSTQKISVSDHLNGFQYTTDKSDSFVIDMESFSHGGANKEINPNSRITLQRNLSRKGSQRGDKKMITPSCTNPNSSSSVNDRDSFAATSSPKGSSTPEKPTAVVVGSTDHASNPQIHHQITITTGSSTAAPESRFSLRRNSFRRSHPQWQLNPKRILFFFATLSSLGTILLIYFTLSISKMNGEENALD >EOY26695 pep chromosome:Theobroma_cacao_20110822:6:17403133:17412371:-1 gene:TCM_028674 transcript:EOY26695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, beta' subunit isoform 8 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSDGEYAVRESTSKIKIFSKNFQEKRSVRPTFSAERIYGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGRPVDEQGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFELAIQLGRLEIAKEIAMEVQSESKWKQLGELAMSTGKLEMAEECMKQAMDLSGLLLLYSSLGDAEGISRLALLSKEQGKNNVAFLCLFMLGKLEECLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPEEYPNLFEDWQVALSVESKVAETRGVYPPAADYLNHADRSQMTLVEAFRNMQIEDEEPLENGDLDHEAAEPNGHDQNAEEQNGDEGSLEEAVVVDADSNDGAVLVNGNEPEEEWGTNNEGTPSA >EOY26703 pep chromosome:Theobroma_cacao_20110822:6:17401613:17441860:-1 gene:TCM_028674 transcript:EOY26703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, beta' subunit isoform 8 MAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSDGEYAVRESTSKIKIFSKNFQEKRSVRPTFSAERIYGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGRPVDEQGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFELAIQLGRLEIAKEIAMEVQSESKWKQLGELAMSTGKLEMAEECMKQAMDLSGLLLLYSSLGDAEGISRLALLSKEQGKNNVAFLCLFMLGKLEECLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPEEYPNLFEDWQVALSVESKVAETRGVYPPAADYLNHADRSQMTLVEAFRNMQIEDEEPLENGDLDHEAAEPNGHDQNAEEQNGDEGSLEEAVVVDADSNDGAVLVNGNEPEEEWVLTPDH >EOY26700 pep chromosome:Theobroma_cacao_20110822:6:17404834:17412371:-1 gene:TCM_028674 transcript:EOY26700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, beta' subunit isoform 8 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSDGEYAVRESTSKIKIFSKNFQEKRSVRPTFSAERIYGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGRPVDEQGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFELAIQLGRLEIAKEIAMEVQSESKWKQLGELAMSTGKLEMAEECMKQAMDLSGLLLLYSSLGDAEGISRLALLSKEQGKNNVAFLCLFMLGKLEECLQLLVER >EOY26696 pep chromosome:Theobroma_cacao_20110822:6:17403582:17412371:-1 gene:TCM_028674 transcript:EOY26696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, beta' subunit isoform 8 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSDGEYAVRESTSKIKIFSKNFQEKRSVRPTFSAERIYGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGRPVDEQGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFELAIQLGRLEIAKEIAMEVQSESKWKQLGELAMSTGKLEMAEECMKQAMDLSGLLLLYSSLGDAEGISRLALLSKEQGKNNVAFLCLFMLGKLEECLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPEEYPNLFEDWQVALSVESKVAETRWICY >EOY26702 pep chromosome:Theobroma_cacao_20110822:6:17401316:17412847:-1 gene:TCM_028674 transcript:EOY26702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, beta' subunit isoform 8 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSDGEYAVRESTSKIKIFSKNFQEKRSVRPTFSAERIYGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGRPVDEQGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFELAIQLGRLEIAKEIAMEVQSESKWKQLGELAMSTGKLEMAEECMKQAMDLSGLLLLYSSLGDAEGISRLALLSKEQGKNNVAFLCLFMLGKLEECLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPEEYPNLFEDWQVALSVESKVAETRGVYPPAADYLNHADRSQMTLVEAFRNMQIEDEEPLENGDLDHEAAEPNGHDQNAEEQNGDEGSLEEAVVVDADSNDGAVLVNGNEPEEEWVLTPDH >EOY26699 pep chromosome:Theobroma_cacao_20110822:6:17401613:17411851:-1 gene:TCM_028674 transcript:EOY26699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, beta' subunit isoform 8 MAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSDGEYAVRESTSKIKIFSKNFQEKRSVRPTFSAERIYGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGRPVDEQGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFELAIQLGRLEIAKEIAMEVQSESKWKQLGELAMSTGKLEMAEECMKQAMDLSGLLLLYSSLGDAEGISRLALLSKEQGKNNVAFLCLFMLGKLEECLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPEEYPNLFEDWQVALSVESKVAETRGVYPPAADYLNHADRSQMTLVEAFRNMQIEDEEPLENGDLDHEAAEPNGHDQNAEEQNGDEGSLEEAVVVDADSNDGAVLVNGNEPEEEWGTNNEGTPSA >EOY26697 pep chromosome:Theobroma_cacao_20110822:6:17401984:17412499:-1 gene:TCM_028674 transcript:EOY26697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, beta' subunit isoform 8 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSDGEYAVRESTSKIKIFSKNFQEKRSVRPTFSAERIYGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGRPVDEQGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFELAIQLGRLEIAKEIAMEVQSESKWKQLGELAMSTGKLEMAEECMKQAMDLSGLLLLYSSLGDAEGISRLALLSKEQGKNNVAFLCLFMLGKLEECLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPEEYPNLFEDWQVALSVESKVAETRGVYPPAADYLNHADRSQMTLVEAFRNMQIEDEEPLENGDLDHEAAEPNGHDQNAEEQNGDEGSLEEAVVVDADSNDGAVLVNGNEPEEEWGTNNEGTPSA >EOY26698 pep chromosome:Theobroma_cacao_20110822:6:17401984:17412499:-1 gene:TCM_028674 transcript:EOY26698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, beta' subunit isoform 8 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSDGEYAVRESTSKIKIFSKNFQEKRSVRPTFSAERIYGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGRPVDEQGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFELAIQLGRLEIAKEIAMEVQSESKWKQLGELAMSTGKLEMAEECMKQAMDLSGLLLLYSSLGDAEGISRLALLSKEQGKNNVAFLCLFMLGKLEECLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPEEYPNLFEDWQVALSVESKVAETRSQMTLVEAFRNMQIEDEEPLENGDLDHEAAEPNGHDQNAEEQNGDEGSLEEAVVVDADSNDGAVLVNGNEPEEEWGTNNEGTPSA >EOY26701 pep chromosome:Theobroma_cacao_20110822:6:17402426:17412499:-1 gene:TCM_028674 transcript:EOY26701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, beta' subunit isoform 8 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSDGEYAVRESTSKIKIFSKNFQEKRSVRPTFSAERIYGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVQSYLDSGRPVDEQGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFELAIQLGRLEIAKEIAMEVQSESKWKQLGELAMSTGKLEMAEECMKQAMDLSGLLLLYSSLGDAEGISRLALLSKEQGKNNVAFLCLFMLGKLEECLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPEEYPNLFEDWQVALSVESKVAETRGVYPPAADYLNHADRSQMTLVEAFRNMQIEDEEPLENGDLDHEAAEPNGHDQNAEEQNGDEGSLEEAVVVDADSNDGAVLVNGNEPEEEWGTNNEGTPSA >EOY26313 pep chromosome:Theobroma_cacao_20110822:6:7403682:7423754:-1 gene:TCM_027799 transcript:EOY26313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol biosynthesis ERG4/ERG24 family isoform 2 MAAATESKMVHSAVVTYSSMLSLLSLCPPFVILLWYTMVHADGSVAQTWNFLKQHGLQGFIEIWPRPTAMAWKIIFIYGAFEAALQLFLPGKRVEGPISPTGNRPVYKANGMAAYFVTLITYISLWWFGIFNPTVVYDHLGEIFSALIFGSLIFCIFLYIKGHVAPSSTDSGSSGNIIIDFYWGMELYPRIGKNFDIKVFTNCRFGLMSWAVLAVTFCIKQYELNGKVADSMLVNTILTLVYVTKFFWWEDGYWNTMDIAHDRAGFYICWGCLVWVPSVYTSPGMYLVNHPVNLGTQLALYILLAGILCIYINYDCDRQRQEFRRTNGKCRVWGKAPSKIEATYTTTSGETKTSLLLTSGWWWGLSRHFHYVPEILAAFFWTVPALFNHFLPYFYVVFLTILLFDRAKRDDDRCRSKYGKFWKLYCNKVPYKIIPGIY >EOY26314 pep chromosome:Theobroma_cacao_20110822:6:7404980:7423754:-1 gene:TCM_027799 transcript:EOY26314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol biosynthesis ERG4/ERG24 family isoform 2 MAAATESKMVHSAVVTYSSMLSLLSLCPPFVILLWYTMVHADGSVAQTWNFLKQHGLQGFIEIWPRPTAMAWKIIFIYGAFEAALQLFLPGKRVEGPISPTGNRPVYKANGMAAYFVTLITYISLWWFGIFNPTVVYDHLGEIFSALIFGSLIFCIFLYIKGHVAPSSTDSGSSGNIIIDFYWGMELYPRIGKNFDIKVFTNCRFGLMSWAVLAVTFCIKQYELNGKVADSMLVNTILTLVYVTKFFWWEDGYWNTMDIAHDRAGFYICWGCLVWVPSVYTSPGMYLVNHPVNLGTQLALYILLAGILCIYINYDCDRQRQEFRRTNGKCRVWGKAPSKIEATYTTTSGETKTSLLLTSGWWGLSRHFHYVPEILAAFFWTVPALFNHVSLFLIVISMLLGATCNHI >EOY26312 pep chromosome:Theobroma_cacao_20110822:6:7380053:7423805:-1 gene:TCM_027799 transcript:EOY26312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol biosynthesis ERG4/ERG24 family isoform 2 MAAATESKMVHSAVVTYSSMLSLLSLCPPFVILLWYTMVHADGSVAQTWNFLKQHGLQGFIEIWPRPTAMAWKIIFIYGAFEAALQLFLPGKRVEGPISPTGNRPVYKANGMAAYFVTLITYISLWWFGIFNPTVVYDHLGEIFSALIFGSLIFCIFLYIKGHVAPSSTDSGSSGNIIIDFYWGMELYPRIGKNFDIKVFTNCRFGLMSWAVLAVTFCIKQYELNGKVADSMLVNTILTLVYVTKFFWWEDGYWNTMDIAHDRAGFYICWGCLVWVPSVYTSPGMYLVNHPVNLGTQLALYILLAGILCIYINYDCDRQRQEFRRTNGKCRVWGKAPSKIEATYTTTSGETKTSLLLTSGWWGLSRHFHYVPEILAAFFWTVPALFNHFLPYFYVVFLTILLFDRAKRDDDRCRSKYGKFWKLYCNKVPYKIIPGIY >EOY27853 pep chromosome:Theobroma_cacao_20110822:6:23320000:23323972:-1 gene:TCM_029585 transcript:EOY27853 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding bromodomain-containing protein, putative isoform 1 MIAAESVVPKQRLKIKFPSQRIEAISAPQSFEFGQQLSSSFDGKKTSSAGTLKVTSGAYKRGPEGVIVDSQPEKRRKMDRGMTQQCSALLKALMKHPAGWVFNQPVDPEALGIPDYFSIVKNPMDLGTIKSKLVKNAYLGIEEFVADINLTFSNAMLYNPASNNVHKMAEEMNEFFEVRWKSLEDKWNQEDLKGGHGKNLSVRLKDVNESRQSCPKSQLSRNDSLPKSSRPSEDKVVKVPLNARAAEVPFMVELPKPAQKCVSRLAGKSLQKGTTSGGRAHGSINAKPPLSPDACKCSSCGSIKCQCSLPSDSNHASSSDVTSERSLGGDLRACRTDASKLDCSAKSTLTSQMTKSDPDSDGLLTPIFAIQMSPKKALRAAMLRSRFADTILKAKQKRLLDHGDKVDPVKMQQQKEKLERRQREEKAKIEAQIRAAEAAAKMKAEVELKKQREREREAARNALQQVNNLVFSFCPYFYYWL >EOY27854 pep chromosome:Theobroma_cacao_20110822:6:23320000:23323972:-1 gene:TCM_029585 transcript:EOY27854 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding bromodomain-containing protein, putative isoform 1 MIAAESVVPKQRLKIKFPSQRIEAISAPQSFEFGQQLSSSFDGKKTSSAGTLKVTSGAYKRGPEGVIVDSQPEKRRKMDRGMTQQCSALLKALMKHPAGWVFNQPVDPEALGIPDYFSIVKNPMDLGTIKSKLVKNAYLGIEEFVADINLTFSNAMLYNPASNNVHKMAEEMNEFFEVRWKSLEDKWNQEDLKGGHGKNLSVRLKDVNESRQSCPKSQLSRNDSLPKSSRPSEDKVVKVPLNARAAEVPFMVELPKPAQKCVSRLAGKSLQKGTTSGGRAHGSINAKPPLSPDACKCSSCGSIKCQCSLPSDSNHASSSDVTSERSLGGDLRACRTDASKLDCSAKSTLTSQMTKSDPDSDGAVSALDDENVCLSSQLTTPATDAASGEGEKAKIEAQIRAAEAAAKMKAEVELKKQREREREAARNALQQVNNLVFSFCPYFYYWL >EOY27851 pep chromosome:Theobroma_cacao_20110822:6:23319503:23324194:-1 gene:TCM_029585 transcript:EOY27851 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding bromodomain-containing protein, putative isoform 1 MIAAESVVPKQRLKIKFPSQRIEAISAPQSFEFGQQLSSSFDGKKTSSAGTLKVTSGAYKRGPEGVIVDSQPEKRRKMDRGMTQQCSALLKALMKHPAGWVFNQPVDPEALGIPDYFSIVKNPMDLGTIKSKLVKNAYLGIEEFVADINLTFSNAMLYNPASNNVHKMAEEMNEFFEVRWKSLEDKWNQEDLKGGHGKNLSVRLKDVNESRQSCPKSQLSRNDSLPKSSRPSEDKVVKVPLNARAAEVPFMVELPKPAQKCVSRLAGKSLQKGTTSGGRAHGSINAKPPLSPDACKCSSCGSIKCQCSLPSDSNHASSSDVTSERSLGGDLRACRTDASKLDCSAKSTLTSQMTKSDPDSDGAVSALDDENVCLSSQLTTPATDAASGEGLLTPIFAIQMSPKKALRAAMLRSRFADTILKAKQKRLLDHGDKVDPVKMQQQKEKLERRQREEKAKIEAQIRAAEAAAKMKAEVELKKQREREREAARNALQQMEKTAEIEQNVEILKELEMLIGFSLSNNWHGRKNPLHQLGLFMKDEYFEDGHEDAVMNEVGEEGEILS >EOY27852 pep chromosome:Theobroma_cacao_20110822:6:23320955:23323040:-1 gene:TCM_029585 transcript:EOY27852 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding bromodomain-containing protein, putative isoform 1 MIAAESVVPKQRLKIKFPSQRIEAISAPQSFEFGQQLSSSFDGKKTSSAGTLKVTSGAYKRGPEGVIVDSQPEKRRKMDRGMTQQCSALLKALMKHPAGWVFNQPVDPEALGIPDYFSIVKNPMDLGTIKSKLVKNAYLGIEEFVADINLTFSNAMLYNPASNNVHKMAEEMNEFFEVRWKSLEDKWNQEDLKGGHGKNLSVRLKDVNESRQSCPKSQLSRNDSLPKSSRPSEDKVVKVPLNARAAEVPFMVELPKPAQKCVSRLAGKSLQKGTTSGGRAHGSINAKPPLSPDACKCSSCGSIKCQCSLPSDSNHASSSDVTSERSLGGDLRACRTDASKLDCSAKSTLTSQMTKSDPDSDGAVSALDDENVCLSSQLTTPATDAASGEGLLTPIFAIQMSPKKALRAAMLRSRFADTILKAKQKRLLDHVSFCWGIFWMPVVYFMLCGVELVLNSNNLCCLVT >EOY26219 pep chromosome:Theobroma_cacao_20110822:6:5913293:5918548:1 gene:TCM_027659 transcript:EOY26219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 5 isoform 4 MDAEEQHADPENVKEQPLSTKNETSVSPNSSQDAAPIGHPRSGASQSVSFGSGGDRNVYSPTIYAPQAQAFYYRAGYDNAAGEWDEFAPYVNPEGLELGSSGVYNDNPSLVFHTGYGYNPQMPYGPYSPVTTPLPSIGGDAQLYSPQQFPFSGPQYYQQLVPPSMPYITSPTPVSQPELTTLVNVDQQGDNMLFGPRPSYPTPLGSFGRGSFPGNPGAVGFNDLPQGFDGLRSGGLWSDWSKPSDRQRSLTPISPAVSPQPIGPIGHNVAMASQQQRSFYRLESGMNSYNRGYLQSGLNQGPSFGSASVPSLGANSRGWLSLDSNRRRGRGSGLSLCGCNGALDILSEQNRGPRASKPKNQITAEHNSSIDDNKNNKPSAKIHDESFNRPDFATDYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYREAKENQDTCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVRLEHGIEMLNIFKNFDTDMSILDDFDFYEDRQKAMQERKARQQASLMSVGVVGESEHRNTVTLSNDFIIKQMSKSFAQVVALDDGNKESTTIERTNAASDGSKGGRVNLEDAITAAVSSAQAS >EOY26217 pep chromosome:Theobroma_cacao_20110822:6:5912137:5917897:1 gene:TCM_027659 transcript:EOY26217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 5 isoform 4 MDAEEQHADPENVKEQPLSTKNETSVSPNSSQDAAPIGHPRSGASQSVSFGSGGDRNVYSPTIYAPQAQAFYYRGYDNAAGEWDEFAPYVNPEGLELGSSGVYNDNPSLVFHTGYGYNPQMPYGPYSPVTTPLPSIGGDAQLYSPQQFPFSGPQYYQQLVPPSMPYITSPTPVSQPELTTLVNVDQQGDNMLFGPRPSYPTPLGSFGRGSFPGNPGAVGFNDLPQGFDGLRSGGLWSDWSKPSDRQRSLTPISPAVSPQPIGPIGHNVAMASQQQRSFYRLESGMNSYNRGYLQSGLNQGPSFGSASVPSLGANSRGWLSLDSNRRRGRGSGLSLCGCNGALDILSEQNRGPRASKPKNQITAEHNSSIDDNKNNKPSAKIHDESFNRPDFATDYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYREAKENQDTCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVSYRIKPLDLGDNDSSGNKASFFQQDLDP >EOY26220 pep chromosome:Theobroma_cacao_20110822:6:5912019:5918512:1 gene:TCM_027659 transcript:EOY26220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 5 isoform 4 MAATPDRTPEEQHADPENVKEQVVPLSTKNETSVSPNSSQDAAPIGHPRSGASQSVSFGSGGDRNVYSPTIYAPQAQAFYYRGYDNAAGEWDEFAPYVNPEGLELGSSGVYNDNPSLVFHTGYGYNPQMPYGPYSPVTTPLPSIGGDAQLYSPQQFPFSGPQYYQQLVPPSMPYITSPTPVSQPELTTLVNVDQQGDNMLFGPRPSYPTPLGSFGRGSFPGNPGAVGFNDLPQGFDGLRSGGLWSDWSKPSDRQRSLTPISPAVSPQPIGPIGHNVAMASQQQRSFYRLESGMNSYNRGYLQSGLNQGPSFGSASVPSLGANSRGWLSLDSNRRRGRGSGLSLCGCNGALDILSEQNRGPRASKPKNQITAEHNSSIDDNKNNKPSAKIHDESFNRPDFATDYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYREAKENQDTCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVRLEHGIEMLNIFKNFDTDMSILDDFDFYEDRQKAMQERKARQQASLMSVGVVGESGRVNLEDA >EOY26218 pep chromosome:Theobroma_cacao_20110822:6:5912083:5918932:1 gene:TCM_027659 transcript:EOY26218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 5 isoform 4 MDAEEQHADPENVKEQPLSTKNETSVSPNSSQDAAPIGHPRSGASQSVSFGSGGDRNVYSPTIYAPQAQAFYYRGYDNAAGEWDEFAPYVNPEGLELGSSGVYNDNPSLVFHTGYGYNPQMPYGPYSPVTTPLPSIGGDAQLYSPQQFPFSGPQYYQQLVPPSMPYITSPTPVSQPELTTLVNVDQQGDNMLFGPRPSYPTPLGSFGRGSFPGNPGAVGFNDLPQGFDGLRSGGLWSDWSKPSDRQRSLTPISPAVSPQPIGPIGHNVAMASQQQRSFYRLESGMNSYNRGYLQSGLNQGPSFGSASVPSLGANSRGWLSLDSNRRRGRGSGLSLCGCNGALDILSEQNRGPRASKPKNQITAEHNSSIDDNKNNKPSAKIHDESFNRPDFATDYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYREAKENQDTCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVRLEHGIEMLNIFKNFDTDMSILDDFDFYEDRQKAMQERKARQQASLMSVGVVGESEHRNTVTLSNDFIIKQMSKSFAQVVALDDGNKESTTIERTNAASDGSKGGRVNLEDAITAAVSSAQAS >EOY26222 pep chromosome:Theobroma_cacao_20110822:6:5912083:5918932:1 gene:TCM_027659 transcript:EOY26222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 5 isoform 4 MAATPDRTPEEQHADPENVKEQPLSTKNETSVSPNSSQDAAPIGHPRSGASQSVSFGSGGDRNVYSPTIYAPQAQAFYYRGYDNAAGEWDEFAPYVNPEGLELGSSGVYNDNPSLVFHTGYGYNPQMPYGPYSPVTTPLPSIGGDAQLYSPQQFPFSGPQYYQQLVPPSMPYITSPTPVSQPELTTLVNVDQQGDNMLFGPRPSYPTPLGSFGRGSFPGNPGAVGFNDLPQGFDGLRSGGLWSDWSKPSDRQRSLTPISPAVSPQPIGPIGHNVAMASQQQRSFYRLESGMNSYNRGYLQSGLNQGPSFGSASVPSLGANSRGWLSLDSNRRRGRGSGLSLCGCNGALDILSEQNRGPRASKPKNQITAEHNSSIDDNKNNKPSAKIHDESFNRPDFATDYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYREAKENQDTCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVRLEHGIEMLNIFKNFDTDMSILDDFDFYEDRQKAMQERKARQQASLMSVGVVGESEHRNTVTLSNDFIIKQMSKSFAQVVALDDGNKESTTIERTNAASDGSKGGRVNLEDAITAAVSSAQAS >EOY26221 pep chromosome:Theobroma_cacao_20110822:6:5912019:5918512:1 gene:TCM_027659 transcript:EOY26221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 5 isoform 4 MAATPDRTPEEQHADPENVKEQPLSTKNETSVSPNSSQDAAPIGHPRSGASQSVSFGSGGDRNVYSPTIYAPQAQAFYYRGYDNAAGEWDEFAPYVNPEGLELGSSGVYNDNPSLVFHTGYGYNPQMPYGPYSPVTTPLPSIGGDAQLYSPQQFPFSGPQYYQQLVPPSMPYITSPTPVSQPELTTLVNVDQQGDNMLFGPRPSYPTPLGSFGRGSFPGNPGAVGFNDLPQGFDGLRSGGLWSDWSKPSDRQRSLTPISPAVSPQPIGPIGHNVAMASQQQRSFYRLESGMNSYNRGYLQSGLNQGPSFGSASVPSLGANSRGWLSLDSNRRRGRGSGLSLCGCNGALDILSEQNRGPRASKPKNQITAEHNSSIDDNKNNKPSAKIHDESFNRPDFATDYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYREAKENQDTCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVRLEHGIEMLNIFKNFDTDMSILDDFDFYEDRQKAMQERKARQQASLMSVGVVGESGRVNLEDA >EOY26752 pep chromosome:Theobroma_cacao_20110822:6:17698851:17702455:1 gene:TCM_028718 transcript:EOY26752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATSNERDERRARFSAKGLIRMSHITSGRTQSISSSSLPPLDHDHRPPTSHLFDQTNDAKKQSSDAQGEGARDERRKIAEERLNLTSHMRGQSQSNPQTLDHSALFSNYHQAPQIYLSDQISAGPVVAGDASSSSYLNHRGSYEISGANSLDVGGQAELKFQKQGTDKDAISALVVGERGELQPLQETSSLQRASSNLEPLQKPCRNQPNFFSSNRLNSCIIASERTRSFCALLIALFVLLSYIDYPLLGMNIVRSESIVASRPLYIILLTDLTVVLGQMFLDKKGDSEEAENKKAGSQNNRQSWAGAVKLLERGLVAYQTIRALFIDCSIYAVVVICGLSLM >EOY25855 pep chromosome:Theobroma_cacao_20110822:6:2347419:2364913:-1 gene:TCM_027220 transcript:EOY25855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNCRTLGSLRGKLGFRDWNGGLGGGRSLEAEWTVIVEVFPPIYTFERRLKSISIDFIVPLNLFPPLLISFNANLIPTVKVEWKLCQLGAIEYFDLSQTWEGECILTYALQFW >EOY25986 pep chromosome:Theobroma_cacao_20110822:6:3381846:3399578:1 gene:TCM_027374 transcript:EOY25986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-Amyrin Synthase MWRLTIGEGGNNPYIYSTNNYLGRQTWEFDPNAGTPEERAEVEEVRQNYYNNRKHVRPSSDLLWQIQSLKEKNFKQTIPPVKIKDGEEITCETATAALRRGVHLLSALQASDGHWPAENSGPMFYFPPLAMALYITGHIDTVFSAEHRKEILRYIYCHQNEDGGWGLHIEGHSIMFSTALNYICMRLLGEGPDGGQDNACKRARKWILDRGGVTTIPSWGKTWLSILGLFDWSGCHPMPPEFWVLPSYFPIHPAKMWCYCRITYLPMSYLYGKKFVGPITPLILQLREELHIEPYHKINWRQKRHLCAEEDLYYPHTVLQMLLWNSLSTFTEPLLSRWPLNKLREKALQKTMDHIHYEDECSRYITIGGVEKPLCMLACWLENPTGDYFKKHLARIADYLWVGEDGMKMQSFGSQVWDSSFALQALLASDLTNDIRPTLMKGHDFLKHSQVKDNPPGDFKRMFRHISKGSWTFSDQDHGWQVSDCTAESLKCCLYFSKMPPETVGEKMEPEKFYDAVNVIISLQSQNGGFSGWEPATAGLWMEWLNPVEFLDDNVIEHEYTECTASAIQALVLFTESYPGHRGKETEKCIAKAVLFLENVQKPDGSWYGSWGICFTYGTWFALGGLAAAGKNYNNCLAVRKGLDFLLETQTDDGGWGESYLSCPKEVYTPLEGNRSNLVHTAMALMGLIHGGQAKRDPNPLHRAAKLLINSQLPDGDFPQQEMMGVFMSNCMLHYASYRNTFPTWALAEYRKHVPLPSKCI >EOY29067 pep chromosome:Theobroma_cacao_20110822:6:27241814:27246150:-1 gene:TCM_030486 transcript:EOY29067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function, putative isoform 4 MMVQAGGVVESNHAVAPPLPHPPQRRPRVREVSSRFMSPVASSYSGELAKSPLLKQLRSISAQRQRRHLEMEADENRPAPSETPRSLESSFVNTNSNSNIQKKHHPSRTYSDSGKLFGRSTTGAPSKPDTPTPTISSFDRTATLSSSSRIRLNHRSANISSTATASAAARLLQSSGMALSSKPNVSSPSQEASSVSSNDMGSTTRSLVNFCSSMPEADLLPSVSTRLLTDRNVNNVVDSSKLPASPLSRSLNSPLSICEPSLFHHPNPPIKGVSTRMGPLSLPPVPSHTKAGTDAIRRPKKISSHQEDLHSLKLLHNYYLQWRYANAKAEASMQIQKGETERTLYSLEVKIAELNDCVRRKRIELELLQRMKTLSKILEAQMPYLEEWSAFQGDYLNSLAEAIQSLLNTSHRLPISGNVKIQER >EOY29069 pep chromosome:Theobroma_cacao_20110822:6:27242065:27246150:-1 gene:TCM_030486 transcript:EOY29069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function, putative isoform 4 MMVQAGGVVESNHAVAPPLPHPPQRRPRVREVSSRFMSPVASSYSGELAKSPLLKQLRSISAQRQRRHLEMEADENRPAPSETPRSLESSFVNTNSNSNIQKKHHPSRTYSDSGKLFGRSTTGAPSKPDTPTPTISSFDRTATLSSSSRIRLNHRSANISSTATASAAARLLQSSGMALSSKPNVSSPSQEASSVSSNDMGSTTRSLVNFCSSMPEADLLPSVSTRLLTDRNVNNVVDSSKLPASPLSRSLNSPLSICEPSLFHHPNPPIKGVSTRMGPLSLPPVPSHTKAGTDAIRRPKKISSHQEDLHSLKLLHNYYLQWRYANAKAEASMQIQKGETERTLYSLEVKIAELNDCVRRKRIELELLQRMKTLSKILEAQMPYLEEWSAFQGDYLNSLAEAIQSLLNTSHRLPISGNVKADTRKVGEAMKSAIKWMEMILCHVQSFMPKGKRAV >EOY29065 pep chromosome:Theobroma_cacao_20110822:6:27242170:27245980:-1 gene:TCM_030486 transcript:EOY29065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function, putative isoform 4 MMVQAGGVVESNHAVAPPLPHPPQRRPRVREVSSRFMSPVASSYSGELAKSPLLKQLRSISAQRQRRHLEMEADENRPAPSETPRSLESSFVNTNSNSNIQKKHHPSRTYSDSGKLFGRSTTGAPSKPDTPTPTISSFDRTATLSSSSRIRLNHRSANISSTATASAAARLLQSSGMALSSKPNVSSPSQEASSVSSNDMGSTTRSLVNFCSSMPEADLLPSVSTRLLTDRNVNNVVDSSKLPASPLSRSLNSPLSICEPSLFHHPNPPIKGVSTRMGPLSLPPVPSHTKAGTDAIRRPKKISSHQEDLHSLKLLHNYYLQWRYANAKAEASMQIQKGETERTLYSLEVKIAELNDCVRRKRIELELLQRMKTLSKILEAQMPYLEEWSAFQGDYLNSLAEAIQSLLNTSHRLPISGNVKADTRKVGEAMKSAIKWMEMILCHVQSFMPKAEEMERLISELARVAVGERALIDECGDLLSKTNTFLVEESSLRGQLMQLQLIHVPVNSNHM >EOY29068 pep chromosome:Theobroma_cacao_20110822:6:27242453:27246150:-1 gene:TCM_030486 transcript:EOY29068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function, putative isoform 4 MMVQAGGVVESNHAVAPPLPHPPQRRPRVREVSSRFMSPVASSYSGELAKSPLLKQLRSISAQRQRRHLEMEADENRPAPSETPRSLESSFVNTNSNSNIQKKHHPSRTYSDSGKLFGRSTTGAPSKPDTPTPTISSFDRTATLSSSSRIRLNHRSANISSTATASAAARLLQSSGMALSSKPNVSSPSQEASSVSSNDMGSTTRSLVNFCSSMPEADLLPSVSTRLLTDRNVNNVVDSSKLPASPLSRSLNSPLSICEPSLFHHPNPPIKGVSTRMGPLSLPPVPSHTKAGTDAIRRPKKISSHQEDLHSLKLLHNYYLQWRYANAKAEASMQIQKGETERTLYSLEVKIAELNDCVRRKRIELELLQRMKTLSKILEAQMPYLEEWSAFRYKKGRGGNEICNKMDGNDTLPCSKLYAKGRVVVLQISYSKKKERKEKKRKLKKWKD >EOY29071 pep chromosome:Theobroma_cacao_20110822:6:27241371:27246075:-1 gene:TCM_030486 transcript:EOY29071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function, putative isoform 4 MMVQAGGVVESNHAVAPPLPHPPQRRPRVREVSSRFMSPVASSYSGELAKSPLLKQLRSISAQRQRRHLEMEADENRPAPSETPRSLESSFVNTNSNSNIQKKHHPSRTYSDSGKLFGRSTTGAPSKPDTPTPTISSFDRTATLSSSSRIRLNHRSANISSTATASAAARLLQSSGMALSSKPNVSSPSQEASSVSSNDMGSTTRSLVNFCSSMPEADLLPSVSTRLLTDRNVNNVVDSSKLPASPLSRSLNSPLSICEPSLFHHPNPPIKGVSTRMGPLSLPPVPSHTKAGTDAIRRPKKISSHQEDLHSLKLLHNYYLQWRYANAKAEASMQIQKGETERTLYSLEVKIAELNDCVRRKRIELELLQRMKTLSKILEAQGREQFKRAAYAVAIDSCPSKLQPYVIFSY >EOY29070 pep chromosome:Theobroma_cacao_20110822:6:27243082:27246150:-1 gene:TCM_030486 transcript:EOY29070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function, putative isoform 4 MMVQAGGVVESNHAVAPPLPHPPQRRPRVREVSSRFMSPVASSYSGELAKSPLLKQLRSISAQRQRRHLEMEADENRPAPSETPRSLESSFVNTNSNSNIQKKHHPSRTYSDSGKLFGRSTTGAPSKPDTPTPTISSFDRTATLSSSSRIRLNHRSANISSTATASAAARLLQSSGMALSSKPNVSSPSQEASSVSSNDMGSTTRSLVNFCSSMPEADLLPSVSTRLLTDRNVNNVVDSSKLPASPLSRSLNSPLSICEPSLFHHPNPPIKGVSTRMGPLSLPPVPSHTKAGTDAIRRPKKISSHQEDLHSLKLLHNYYLQWRYANAKAEASMQIQKGETERTLYSLEVKIAELNDCVRRKRIELELLQRMKTLSKILEAQVRLLTW >EOY29066 pep chromosome:Theobroma_cacao_20110822:6:27242478:27245980:-1 gene:TCM_030486 transcript:EOY29066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function, putative isoform 4 MMVQAGGVVESNHAVAPPLPHPPQRRPRVREVSSRFMSPVASSYSGELAKSPLLKQLRSISAQRQRRHLEMEADENRPAPSETPRSLESSFVNTNSNSNIQKKHHPSRTYSDSGKLFGRSTTGAPSKPDTPTPTISSFDRTATLSSSSRIRLNHRSANISSTATASAAARLLQSSGMALSSKPNVSSPSQEASSVSSNDMGSTTRSLVNFCSSMPEADLLPSVSTRLLTDRNVNNVVDSSKLPASPLSRSLNSPLSICEPSLFHHPNPPIKGVSTRMGPLSLPPVPSHTKAGTDAIRRPKKISSHQEDLHSLKLLHNYYLQWRYANAKAEASMQIQKGETERTLYSLEVKIAELNDCVRRKRIELELLQRMKTLSKILEAQMPYLEEWSAFQGDYLNSLAEAIQSLLNTSHRLPISGNVKADTRKVGEAMKSAIKWMEMILCHVQSFMPKVGWLSYRYPIQKRKKEKKRKES >EOY25962 pep chromosome:Theobroma_cacao_20110822:6:3026736:3031499:-1 gene:TCM_027327 transcript:EOY25962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLCTKSRPSLLLPTFFLVFSTFFAVSRASLSYHVPNKPNPGVMGESKYGVVSDGMRRSVVGVYVKAMKNSTLVLAAERTHRKDPLDNFNYYKGGWNISEKHYFSSVGFTAAPLFLIAAFWFLGFGMCLLVITLCHCCCRRQHYDYSQTIYLLSLIFLTLFTIAAVIGCIVLYVGQGKFHTSTTVTLEYVVEQADTTVDKLKNVSEYLEAAKQIQVNQIFLPPNIQGNIERVDKKINDSAKILERKSKENSEKIRHVLDYVSLALIIIASVMLLMAFLGFSFSVSGMRFCVYILVIIGWILVTVIFILCGIFIIVHNVMDDSCVAMEQWVLYPTAHTALDDIIPCVDRATAKEALDESKDVSTRLVGTVNALINNVANLNVPPNPSPIYYNQSGPLVPALCSPYNSDKTDRKCNADEVNLGNAAQRNQKVDYWKVNAKSGVRSHSICEMN >EOY27882 pep chromosome:Theobroma_cacao_20110822:6:23391793:23394848:-1 gene:TCM_029600 transcript:EOY27882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrin-linked protein kinase family isoform 5 MENKAAVRFTLGKQSSMAPEKNRAEPDGKEKEEGEEIDGVRLMYLANEGDLDGIRELLGSGIDVNFRDIDDRTALHIAACQAQTDVVSLLLQRGANVESKDRWGSTPLADAIYYKNHDVIKLLEKHGAKPLMAPMHVNHAREVPEYEIDPKELDFTNSVDITKGTFCRASWRGTEVAVKKLGDEVLTDEDKVRAFRDELALFQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRLFLKGKGALRPIKALRFALDIARGMNYLHENKPAPIIHRDLEPSNILRDDSGHLKVADFGVSKLLTVKEDKPLTCQETSCRYVAPEVFKNGDYDTKVDVFSFALILHEVNFFHASAHMFSK >EOY27879 pep chromosome:Theobroma_cacao_20110822:6:23390126:23395007:-1 gene:TCM_029600 transcript:EOY27879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrin-linked protein kinase family isoform 5 MENKAAVRFTLGKQSSMAPEKNRAEPDGKEKEEGEEIDGVRLMYLANEGDLDGIRELLGSGIDVNFRDIDDRTALHIAACQAQTDVVSLLLQRGANVESKDRWGSTPLADAIYYKNHDVIKLLEKHGAKPLMAPMHVNHAREVPEYEIDPKELDFTNSVDITKGTFCRASWRGTEVAVKKLGDEVLTDEDKVRAFRDELALFQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRLFLKGKGALRPIKALRFALDIARGMNYLHENKPAPIIHRDLEPSNILRDDSGHLKVADFGVSKLLTVKEDKPLTCQETSCRYVAPEVFKNGDYDTKVDVFSFALILHEMIEGYPPFSAKQENEVPHAYASRERPPFKAPAKHYAHGLKELIEDCWNEKPAKRPTFRQIITRLESIHNSFSHKKRWKVRPLKCFQNLEAMLKKDHSSPSSHSGSSRSTGGI >EOY27880 pep chromosome:Theobroma_cacao_20110822:6:23390217:23395258:-1 gene:TCM_029600 transcript:EOY27880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrin-linked protein kinase family isoform 5 MENKAAVRFTLGKQSSMAPEKNRAEPDGKEKEEGEEIDGVRLMYLANEGDLDGIRELLGSGIDVNFRDIDDRTALHIAACQAQTDVVSLLLQRGANVESKDRWGSTPLADAIYYKNHDVIKLLEKHGAKPLMAPMHVNHAREVPEYEIDPKELDFTNSVDITKGTFCRASWRGTEVAVKKLGDEVLTDEDKVRAFRDELALFQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRLFLKGKGALRPIKALRFALDIARGMNYLHENKPAPIIHRDLEPSNILRDDSGHLKVADFGVSKLLTVKEDKPLTCQETSCRYVAPEVFKNGDYDTKVDVFSFALILHEMIEGYPPFSAKQENEVPHAYASRERPPFKAPAKHYAHGLKELIEDCWNEKPAKRPTFRQIITRLESIHNSFSHKKRWKVSSLSPNYISPFCHLHFQRKCMHAS >EOY27878 pep chromosome:Theobroma_cacao_20110822:6:23389470:23394968:-1 gene:TCM_029600 transcript:EOY27878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrin-linked protein kinase family isoform 5 MENKAAVRFTLGKQSSMAPEKNRAEPDGKEKEEGEEIDGVRLMYLANEGDLDGIRELLGSGIDVNFRDIDDRTALHIAACQAQTDVVSLLLQRGANVESKDRWGSTPLADAIYYKNHDVIKLLEKHGAKPLMAPMHVNHAREVPEYEIDPKELDFTNSVDITKGTFCRASWRGTEVAVKKLGDEVLTDEDKVRAFRDELALFQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRLFLKGKGALRPIKALRFALDIARGMNYLHENKPAPIIHRDLEPSNILRDDSGHLKVADFGVSKLLTVKEDKPLTCQETSCRYVAPEVFKNGDYDTKVDVFSFALILHEMIEGYPPFSAKQENEVPHAYASRERPPFKAPAKHYAHGLKELIEDCWNEKPAKRPTFRQIITRLESIHNSFSHKKRWKVRPLKCFQNLEAMLKKDHSSPSSHSGSSRSTGGI >EOY27881 pep chromosome:Theobroma_cacao_20110822:6:23390485:23395258:-1 gene:TCM_029600 transcript:EOY27881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrin-linked protein kinase family isoform 5 MENKAAVRFTLGKQSSMAPEKNRAEPDGKEKEEGEEIDGVRLMYLANEGDLDGIRELLGSGIDVNFRDIDDRTALHIAACQAQTDVVSLLLQRGANVESKDRWGSTPLADAIYYKNHDVIKLLEKHGAKPLMAPMHVNHAREVPEYEIDPKELDFTNSVDITKGTFCRASWRGTEVAVKKLGDEVLTDEDKVRAFRDELALFQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRLFLKGKGALRPIKALRFALDIARGMNYLHENKPAPIIHRDLEPSNILRDDSGHLKVADFGVSKLLTVKEDKPLTCQETSCRYVAPEVFKNGDYDTKVDVFSFALILHEA >EOY28500 pep chromosome:Theobroma_cacao_20110822:6:25529346:25535375:1 gene:TCM_030043 transcript:EOY28500 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MLRCDICFVHTFVVRICSAVDTITSKQSILDGQELVSSGGSFILGFFSPSQSKHRYLGIWYRNISPQTIVWVGNRGRPINDSYGQLTVSADGNLILLDGAGNTIWSSKSNSARSIKEPTAKLLHSGNLVLVDGTDTNSDGYVWQSFDYPGNTLLPGMRLGWDAKTGLHRQLTSWKSADDPSPGNFTFSIDIGVLPQFVLRQGVIRKYRSGIWNGFGFNSNLRTSTGAVVPTFTFNSNEIIYMAGSADDTTTILVMGHNGFVEQYAWDKETLQWITIYEARKDRCDNYGICGPNSICNTHNLPVLCDCLPGFIPRSQVEWDAFNWAGGCIRKTQLDCRKPDGFMTLRRVKLPDVLQFWTNENMNLKECKEECLKNCKCTAYANLNVIEGGQGCLVWFGDLYDMRLFISHAGDDEKKEQDLHIRLAASDVESIADGRKKKRPTMMIVIILVVSGVLVLVSFIICFIIKERKQKDNKGTRDNLNEDLELPLFSLATVLTATDNFCCENKLGEGGFGPVYKGILAEGQEIAVKRLSETSRQGISEFKNEVMLVAKLQHRNLVKLLGVCTQGEERMLIYEHMENKSLDQFIFDSRRSKMLDWKRRLDVIVGIARGLLYLHQDSRLTIIHRDLKTSNILLDTEMNPKISDFGMARIFEADQSRVKTKRIAGTYGYMSPEYGIDGLFSVKSDVFGFGVIVLEILSGMKNRAFQHPDHHHNLLGHAWILWKEGRPLELMDVNLGSSGFKSELLKFMQVGLLCVQRAPEDRPTMSAVVFMLSNDGLTLAEPKQPGFFVERCPSCYTKEEYCTHSAVTITLVEAR >EOY26622 pep chromosome:Theobroma_cacao_20110822:6:15592414:15600373:1 gene:TCM_028502 transcript:EOY26622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDIVVILGIDWLPPYYAILDTLVDVGNVTNVTIVVDYVDVFSKKLLRLPLDYIGSIITVIPTCITQAGGIIPISIRLIIKGAFSSFFFDNIETRQKHGVKAKYVHTYFKSNN >EOY29036 pep chromosome:Theobroma_cacao_20110822:6:27165850:27168846:1 gene:TCM_030466 transcript:EOY29036 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit rpb4, putative isoform 1 MSEKGGKGFSLPTKTTPKSALKSTPASATARHGKDDNSAKSKRGRKVQFGMEGLPNLGFNFSSPKSDGKFAIPVGKGDWAKGGKGEKVVNGGKAPVAKEAKSLELRVEQELPENVKCLMDCEAANILEGIQEQMVMLSQDSTIKLPESFHLGLQYAKTRSYYTNPQSVRRVLEALSKYGVSYSEICVIANTCPETVDEVFALVRSLEAKKSRLSEPLKDVLDELGKLKKST >EOY29037 pep chromosome:Theobroma_cacao_20110822:6:27165850:27168658:1 gene:TCM_030466 transcript:EOY29037 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit rpb4, putative isoform 1 MSEKGGKGFSLPTKTTPKSALKSTPASATARHDDNSAKSKRGRKVQFGMEGLPNLGFNFSSPKSDGKFAIPVGKGDWAKGGKGEKVVNGGKAPVAKEAKSLELRVEQELPENVKCLMDCEAANILEGIQEQMVMLSQDSTIKLPESFHLGLQYAKTRSYYTNPQSVRRVLEALSKYGVSYSEICVIANTCPETVDEVFALVRSLEAKKSRLSEP >EOY29038 pep chromosome:Theobroma_cacao_20110822:6:27165850:27168353:1 gene:TCM_030466 transcript:EOY29038 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit rpb4, putative isoform 1 MSEKGGKGFSLPTKTTPKSALKSTPASATARHGKDDNSAKSKRGRKVQFGMEGLPNLGFNFSSPKSDGKFAIPVGKGDWAKGGKGEKVVNGGKAPVAKEAKSLELRVEQELPENVKCLMDCEAANILEGIQEQMVMLSQDSTIKLPESFHLGLQYAKTRSYYTNPQSVRRVLEALSKYGVSY >EOY29035 pep chromosome:Theobroma_cacao_20110822:6:27165962:27169098:1 gene:TCM_030466 transcript:EOY29035 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit rpb4, putative isoform 1 MSEKGGKGFSLPTKTTPKSALKSTPASATARHGKDDNSAKSKRGRKVQFGMEGLPNLGFNFSSPKSDGKFAIPVGKGDWAKGGKGEKVVNGGKAPVAKEAKSLELRVEQELPENVKCLMDCEAANILEGIQEQMVMLSQDSTIKLPESFHLGLQYAKTRSYYTNPQSVRRVLEALSKYGVSYSEICVIANTCPETVDEVFALVRSLEAKKSRLSEPLKDVLDELGKLKKST >EOY26252 pep chromosome:Theobroma_cacao_20110822:6:6625765:6642526:1 gene:TCM_027725 transcript:EOY26252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 1 MWTPNRYASSAKKGVGNGLVAVAIDKDKGSQHALRWAVENLLSRGQTVILIHVVNKAASVTHVASTAVVCDINLPLSNKQMAEKLSKDLFLTFHCYCTRKDIHCLDIILEDTDIVKALTEYVSYAAIEKLVLGAPARSGFMRKFRADIPSCVSKLSPDFCTVYVISKGKVSSVRNASRSAPHSSPLLDEIKKQNTDSVDKPFLHSGSVKGADRMVKPRTSVDRASRSPYPGARPSLMKAFGDFSESDTDISFVSSERPSTDRNSSVFFDSFVDSSRNSRISSSTDHSIGSMRLGIKWSDRTTPHEFSSVSQESGRSSCSSQNLEEVEAEMRRLRLELKQTMDLYSNACREALSAKQQAMELNRCKHQEEQRLEEARLAEEAAMSAAEKERVKCQEAVQAAEAAQKVAESESLRRLKIDGETLRETVEMKKMLDTLSRSNIKYRRYSIEEIEQATDGFACSRKIGEGGYGPVYKCYLDHTSVAVKVLRPDAAQGRLQFLQEIEVLSCIRHPNMVLLLGACPEYGILVYEYMGKGSLDDCIFRRANTPAMSWQLRFRIAAEIATGLLFLHQTKPEPIVHRDLKPGNILLDHNYVSKISDVGLARLVPAVAENVTQFRVTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGILLLQLITAKPPMGLTHYVERAIEKGTFFTEMLDPAVTDWPAEETLSLAKLALQCAELRRKDRPDLGKEVLPELCRLRDIAEEKMNHFFFAHSAGPSPNHSQVSSISQEAKSDAYQVENSTSSKTEEEKSDKD >EOY26253 pep chromosome:Theobroma_cacao_20110822:6:6625765:6631698:1 gene:TCM_027725 transcript:EOY26253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 1 MWTPNRYASSAKKGVGNGLVAVAIDKDKGSQHALRWAVENLLSRGQTVILIHVVNKAASVTHVASTAVVCDINLPLSNKQMAEKLSKDLFLTFHCYCTRKDIHCLDIILEDTDIVKALTEYVSYAAIEKLVLGAPARSGFMRKFRADIPSCVSKLSPDFCTVYVISKGKVSSVRNASRSAPHSSPLLDEIKKQNTDSVDKPFLHSGSVKGADRMVKPRTSVDRASRSPYPGARPSLMKAFGDFSESDTDISFVSSERPSTDRNSSVFFDSFVDSSRNSRISSSTDHSIGSMRLGIKWSDRTTPHEFSSVSQESGRSSCSSQNLEEVEAEMRRLRLELKQTMDLYSNACREALSAKQQVQAMELNRCKHQEEQRLEEARLAEEAAMSAAEKERVKCQEAVQAAEAAQKVAESESLRRLKIDGETLRETVEMKKMLDTLSRSNIKYRRYSIEEIEQATDGFACSRKIGEGGYGPVYKCYLDHTSVAVKVLRPDAAQGRLQFLQEIEVLSCIRHPNMVLLLGACPEYGILVYEYMGKGSLDDCIFRRANTPAMSWQLRFRIAAEIATGLLFLHQTKPEPIVHRDLKPGNILLDHNYVSKISDVGLARLVPAVAENVTQFRVTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGILLLQLITAKPPMGLTHYVERAIEKGTFFTEMLDPAVTDWPAEETLSLAKLALQCAELRRKDRPDLGKEVLPELCRLRDIAEEKMNHFFFAHSAGPSPNHSQVSSISQEAKSDAYQVENSTSSKTEEEKSDKD >EOY25984 pep chromosome:Theobroma_cacao_20110822:6:3325951:3329412:-1 gene:TCM_027368 transcript:EOY25984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEEKQCQKYSDEPSTKPKFDLEAWTKAIGRPNSTQTHIYGFGTKVPTSRLLTPTAMSKFAFGPEAASPLLPPTPKLVGYQQLSFPITDEITIDRIKPLSTKLSTDHSIDILPTE >EOY27773 pep chromosome:Theobroma_cacao_20110822:6:23084784:23086427:1 gene:TCM_029534 transcript:EOY27773 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine transferase subunit ALG14 isoform 1 MEKNSSCCFSNLSSNLPITCFVTVIAIILVRILYVIWRTCKPLRKRTSQQPLSTLVVLGSGGHTAEMIDLLLVLQKNKFTPRFYIAAATDNMSLQKARVLENSLADSSGVKEISAEFMQIYRSREVGQSYVTSVWTTLVALAHALWLMIKIRPQVVVGIRWSSI >EOY27772 pep chromosome:Theobroma_cacao_20110822:6:23084670:23086752:1 gene:TCM_029534 transcript:EOY27772 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine transferase subunit ALG14 isoform 1 MEKNSSCCFSNLSSNLPITCFVTVIAIILVRILYVIWRTCKPLRKRTSQQPLSTLVVLGSGGHTAEMIDLLLVLQKNKFTPRFYIAAATDNMSLQKARVLENSLADSSGVKEISAEFMQIYRSREVGQSYVTSVWTTLVALAHALWLMIKIRPQVVLCNGPGTCIPLCVIAFIFKVVGIRWSSIFYVESIARVKRLSLSGLLLYKLRIADQFFVQWPQLQRKYPRAHYVGCLM >EOY27771 pep chromosome:Theobroma_cacao_20110822:6:23084658:23086787:1 gene:TCM_029534 transcript:EOY27771 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine transferase subunit ALG14 isoform 1 MEKNSSCCFSNLSSNLPITCFVTVIAIILVRILYVIWRTCKPLRKRTSQQPLSTLVVLGSGGHTAEMIDLLLVLQKNKFTPRFYIAAATDNMSLQKARVLENSLADSSGVKEISAEFMQIYRSREVGQSYVTSVWTTLVALAHALWLMIKIRPQVVLCNGPGTCIPLCVIAFIFKVVGIRWSSIFYVESIARVKRLSLSGLLLYKLRIADQFFVQWPQLQRKYPRAHYVGCLM >EOY28235 pep chromosome:Theobroma_cacao_20110822:6:24663074:24669114:-1 gene:TCM_029861 transcript:EOY28235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase 3, putative isoform 1 MAGTKEILCSATADMFPSKSLLSNNFIHQQQNSFSPFTSSFNSCKRRVVQLRKVVAVAAAVPMQTLIELDSTKAIPEIPVQFTVTAQVTVKYDAKENMKEMVFNLLDSGANATQRGVFLQLVSTDVDPRTGGPKVSKEAVLDWSRDLHITADKVSHEVQFLVDANFGVPGAILVSNKNQEFYLESITIEGLVHFNCHSWVQPEKLHPDKRVFFSKKAFLPSETPAGLKVLRKEELRQLRGNGEGVRAVSDRIYDYDVYNDLGHPDKGTEHARPILGGQHRPYPRRCRTGRPSTKSADPRAEAPVNESLPMYVPRDEAFGDVKRQTVDAGSWKGMVNNFFPFLKDSSTNGEAITFSEINELYKENSCNESQQKESPKKASFPIKLNKMIKESTADAFRFDPPNIVSRDASCCLRDDEFGRLTLAGMNPLSIERLKVFPPVSKLDPSLYGPQESALREEHIIHHLDGMSVQRAMEKNKLFILDYHDTYLPFLNSINAHPDRKAYATRTILFLTQMGTLKPIAIELSLPPMNPYIPSKQVITPPVDATTCWQWQLAKAHVCSNDSGAHELIQHWLRTHACIEPFIIAAHRHLSVMHPIHKLLRPHMRYTMDINARARELLISAGGIIESLFSTKEVSMEITSFAYKNWRFDMETLPADLIRRGIAEPDPTEPHGLKLLIEDYPYANDGLLIWAAIEKLVRDFVTYYYPSAGHIQSDPELNAWYYEFINVGHADIRHESWWPRLSTPEDLVSILTTIIWIASAEHAALNFGQYHYGGYVPVRPSYMRRLVPNEHDPEYATFLADPEGYFVSSLPSLREMTFLMSVLDILSTHSPDEEYLGDTRDLSTWTGDPEIIEAFYRFSMDMRMVEKEIAKRNADPKLRNRCGAGVSPYELLVPSSKPGVTCRGVPNSVTI >EOY28234 pep chromosome:Theobroma_cacao_20110822:6:24663032:24669618:-1 gene:TCM_029861 transcript:EOY28234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase 3, putative isoform 1 MAGTKEILCSATADMFPSKSLLSNNFIHQQQNSFSPFTSSFNSCKRRVVQLRKVVAVAAAVPMQTLIELDSTKAIPEIPVQFTVTAQVTVKYDAKENMKEMVFNLLDSGANATQRGVFLQLVSTDVDPRTGGPKVSKEAVLDWSRDLHITADKVSHEVQFLVDANFGVPGAILVSNKNQEFYLESITIEGLVHFNCHSWVQPEKLHPDKRVFFSKKAFLPSETPAGLKVLRKEELRQLRGNGEGVRAVSDRIYDYDVYNDLGHPDKGTEHARPILGGQHRPYPRRCRTGRPSTKSDPRAEAPVNESLPMYVPRDEAFGDVKRQTVDAGSWKGMVNNFFPFLKDSSTNGEAITFSEINELYKENSCNESQQKESPKKASFPIKLNKMIKESTADAFRFDPPNIVSRDASCCLRDDEFGRLTLAGMNPLSIERLKVFPPVSKLDPSLYGPQESALREEHIIHHLDGMSVQRAMEKNKLFILDYHDTYLPFLNSINAHPDRKAYATRTILFLTQMGTLKPIAIELSLPPMNPYIPSKQVITPPVDATTCWQWQLAKAHVCSNDSGAHELIQHWLRTHACIEPFIIAAHRHLSVMHPIHKLLRPHMRYTMDINARARELLISAGGIIESLFSTKEVSMEITSFAYKNWRFDMETLPADLIRRGIAEPDPTEPHGLKLLIEDYPYANDGLLIWAAIEKLVRDFVTYYYPSAGHIQSDPELNAWYYEFINVGHADIRHESWWPRLSTPEDLVSILTTIIWIASAEHAALNFGQYHYGGYVPVRPSYMRRLVPNEHDPEYATFLADPEGYFVSSLPSLREMTFLMSVLDILSTHSPDEEYLGDTRDLSTWTGDPEIIEAFYRFSMDMRMVEKEIAKRNADPKLRNRCGAGVSPYELLVPSSKPGVTCRGVPNSVTI >EOY28421 pep chromosome:Theobroma_cacao_20110822:6:25301988:25310461:1 gene:TCM_029992 transcript:EOY28421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterogeneous nuclear ribonucleoprotein U-like protein 1, putative MHGRFDLRLTISTRRKEEIQDFEFRALSLLLSPFKNQRKSHFMASTRGDLPHSETEAEQEPPDKTAKTNDKDDTEKKKQRVSYAVIITDGNWSGWVEVKLLTFFELSMVGRDFIIEDDLIGSARHDQGFAYCWSGARANVGITVGKYCFGCKSLSTQPVEMEGTPPDQQHVCRLGISRGGVEVGRLGETRNSFGFEGTGKFSNAENFSVYGEKFGVGETIVCAVDLASKPLASIGFVKNGKWLGTAKQFNTDPEDLGVVDSPLRKLPWKSAVFPHVLLKNVVVQLQFSFEDGLVPENGYKPWASAFEDGNVIKGPDLHSETDCEVMMMVGLHAAGKTTWAKKWIRRTYGEIPDPWTGLVSQISDFLISRAAKIRRNYVFDQLNICKSRRNRKLRLFERFRKIAVVVFPKPEELNLRIAKKLEGTGTGLPADEVNNMLAKYTLPLTKNMPGSDELFDEVKFVELDRGESQRYLDEMKRSVESASVPYSQQNTVGSLDSCSSVVEGHWHPSLHSAPPPLNYGYQMPNQVYLTYPGVQALPEGYQLYQVSQQPVPYWPYLYNGSSSIPGENVYSTYPGVQAYSEWYQVYQVQQQPATYGPSLHNGSSSIPRKNVYSTDPGVQAYSEWYQVYQVQEQPAPYGPSLHNGSSSIPRENVYSTDPGVQAYSEGYQVYQVPQQPAPYWPYLDNGSSSIPRENAGSFGSYHPIPGDGSNHYQSPYNGVDNIHGRPASSCSSSMVEPSPVRSDYHVGQCSSGGGLEHASFQAPRPPIFEQSPPPSTDGSRYPTPRCSPPNTQHTQGYPPSHP >EOY26781 pep chromosome:Theobroma_cacao_20110822:6:17839149:17845390:1 gene:TCM_028740 transcript:EOY26781 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein isoform 1 MNGMGRQGQRSGSTGVQVHHQRQYSDNFLETTSNGRWLQSAGLQHLHSSNNSIPPLQDYAFYGGGVGGGGGQGSRMYRNVQRGFNTGNDFFTEPTTPPVSSRPSSQRKNGGDSPSEFSPGLLDLHSFDTELLPEMPVPNLYDGPSLYNPVRGRSFDDSEPYISDNKQASRARGVPENSLLKSFASDKEKANSVAKIKVVVRKRPLNKKELAKNEEDIIETLSNSLVVHETKLKVDLTEYMEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFQRTKATCFAYGQTGSGKTYTMKPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLSDRKKLCMREDGKQQVCIVGLQEYRVSDVETIKELIEKGNATRSTGTTGANEESSRSHAILQLAIKRSVDGNESKPPRVVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFMGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNPKKDVLSSTLNLKESTALPLSSVLPTASTFEDDINDTWPDQNERDDFDASEDSYEPEKAMWKKNVKPDQYSFSTLEDKLWKPNGQTKWKEPLRTDFKHSKSDDDLNALLQEEEDLVNAHRKQVEETMNIVKEEMNLLVEADKPGNQLDDYISRLNAILSQKAAGIMQLQTRLAHFQKRLKEHNVLVSSSGY >EOY26782 pep chromosome:Theobroma_cacao_20110822:6:17839199:17845034:1 gene:TCM_028740 transcript:EOY26782 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein isoform 1 MNGMGRQGQRSGSTGVQVHHQRQYSDNFLETTSNGRWLQSAGLQHLHSSNNSIPPLQDYAFYGGGVGGGGGQGSRMYRNVQRGFNTGNDFFTEPTTPPVSSRPSSQRKNGGDSPSEFSPGLLDLHSFDTELLPEMPVPNLYDGPSLYNPVRGRSFDDSEPYISDNKQASRARGVPENSLLKSFASDKEKANSVAKIKVVVRKRPLNKKELAKNEEDIIETLSNSLVVHETKLKVDLTEYMEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFQRTKATCFAYGQTGSGKTYTMKPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLSDRKKLCMREDGKQQVCIVGLQEYRVSDVETIKELIEKGNATRSTGTTGANEESSRSHAILQLAIKRSVDGNESKPPRVVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFMGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNPKKDVLSSTLNLKESTALPLSSVLPTASTFEDDINDTWPDQNERDDFDASEDSYEPEKAMWKKNVKPDQYSFSTLEDKLWKPNGQTKWKEPLRTDFKHSKSDDDLNALLQVILQDNDYLLKFY >EOY28165 pep chromosome:Theobroma_cacao_20110822:6:24407600:24418248:1 gene:TCM_029805 transcript:EOY28165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like transcriptional regulator, putative isoform 1 MDPGSEEENNPSKNPNKNVNSSNEGHVKPKRQMKTPYQLEALEKAYALETYPSEATRAGLSEKLGLSDRQLQMWFCHRRLKEKKETPSKKPRKGAALPPESPIDDLHAGPEPDYGSGSGSGSSPYTDSRKLGGSSSRGMTEDVPTARRYYESQQSIMELRAIACVEAQLGEPLRDDGPMLGMEFDPLPPDAFGAIPEPQKRSGHPYESKAYERHDGRSSKAAVRALHEYQFLPEHASLRSDAYGQVTQSHFHESPVDGARARATSFVHGEEPLPRVHGIQGHGSRVRVLPQQDKTGIIPTSSQVADDSLAERESFTNGRLNTQSIGHPVLGSEDSYVLSTGQTLNIDADLRNDRKRKSDENRIAREVEAHENRIRKELEKLDLKRRKSEERMRKEMERHARERRKEEERLVREKQREEERSQREQRREMERREKFLQKECLRAEKRRQKEELRREKEAERRRVAMEKATARKIAKESMDLIEDEQLELMELAAASKGIPSIIHLDHDSLQNLESFRDSLSLFPPKSVQLKRPFAIQPWIDSEENVGNLLMAWRFLITFADVLRLWPFTLDEFVQAFHDYDSRLLGEIHVALLKSIIKDIEDVARTPSTGLGMNQYCAANPEGGHPQIVEGAYSWGFDIRNWQRHLNPLTWPEIFRQLAISAGLGPQLKKRNAAWTFMGDNDEGKGCEDVVSTLRNGSAAENAFVLMREKGLLLPRRSRHRLTPGTVKFAAFHVLSLEGREGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDAKLFERIAPSTYCVRPAYRKDPTDAEAILAAARKKIRQFENGFLGGEDADEVERDEVERDEESECDVDEEPEVDDIATPSNANKDADYPKDEVNTCSGSGKVHVSTDALNVPSEFDKDFSSFPPNIMKDANGPSNTGQYVAREEMGTGNPDQQNIEIDESKSGESWIQGLSEGEYSHLSVEERLNALVALIGIANEGNSIRAVLEDRLEAANALKKQMWVEAQLDKSRLKEETMVKMDFPSMMGIKAEPQLPNSVVEGSQSPFPAAYNKNDEASPSIPDDQKPLLCSQNVQNDLNSYPAERALVLQEASMGPDNFSAQQIGHASKRSRSQLKSYIAHRAEEMYVYRSLPLGQDRRRNRYWQFVASASKNDPCSGRIFVELRDGNWRLIDSEEAFDTLLTSLDARGIRESHLRIMLQKIETSFKENVRRNLQCARAIGRSGSSTENEVSELDSSPDFPASFDSPSSAICGLNFDALETLPSFKIQLGRNENEKKLALKRYQDFQRWIWKECYNSSTLCAMKYGKKRCVQLLAVCDVCLRSHIPEEMHCGYCHQTFGSVNNSFNFSEHEIQCKENRKLDTKDTCTIDYSLPLGISLLKSLCALVEVSIPPEALESVWIEGRRKMWGRELNASSSVDELLKILTHLESAIKRDHLLSNFETTKELLGSNLQSESDSSVSVLPWIPETTAAVALRLLELDVSIMCVKQEKVEPSENKEARAYIKLPSRTSLFIKNKELELKELDQDEAMKEENFADMSHSKRNSYKRGRGGREQGSGRKWQRRASGSRYDTGKRSAREKNNLSFRLKQQGQRTNGRSSGRGRRTVRKRAERRAADNTMVARVADVIKPKVSDVRDLDEEWRTEKFRVMQMVNPPDSNSAEEESDDNAQGEGYGQGNWDLDYNGASNGWNAEAMEASDEDDDAYEDDNGVEQLGEEDSDGDLEISDASDVVANKAGNDDGSDLAVSEDYSD >EOY28162 pep chromosome:Theobroma_cacao_20110822:6:24408232:24418680:1 gene:TCM_029805 transcript:EOY28162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like transcriptional regulator, putative isoform 1 MDPGSEEENNPSKNPNKNVNSSNEGHVKPKRQMKTPYQLEALEKAYALETYPSEATRAGLSEKLGLSDRQLQMWFCHRRLKEKKETPSKKPRKGAALPPESPIDDLHAGPEPDYGSGSGSGSSPYTDSRKLGGSSSRGMTEDVPTARRYYESQQSIMELRAIACVEAQLGEPLRDDGPMLGMEFDPLPPDAFGAIPEPQKRSGHPYESKAYERHDGRSSKAAVRALHEYQFLPEHASLRSDAYGQVTQSHFHESPVDGARARATSFVHGEEPLPRVHGIQGHGSRVRVLPQQDKTGIIPTSSQVADDSLAERESFTNGRLNTQSIGHPVLGSEDSYVLSTGQTLNIDADLRNDRKRKSDENRIAREVEAHENRIRKELEKLDLKRRKSEERMRKEMERHARERRKEEERLVREKQREEERSQREQRREMERREKFLQKECLRAEKRRQKEELRREKEAERRRVAMEKATARKIAKESMDLIEDEQLELMELAAASKGIPSIIHLDHDSLQNLESFRDSLSLFPPKSVQLKRPFAIQPWIDSEENVGNLLMAWRFLITFADVLRLWPFTLDEFVQAFHDYDSRLLGEIHVALLKSIIKDIEDVARTPSTGLGMNQYCAANPEGGHPQIVEGAYSWGFDIRNWQRHLNPLTWPEIFRQLAISAGLGPQLKKRNAAWTFMGDNDEGKGCEDVVSTLRNGSAAENAFVLMREKGLLLPRRSRHRLTPGTVKFAAFHVLSLEGREGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDAKLFERIAPSTYCVRPAYRKDPTDAEAILAAARKKIRQFENGFLGGEDADEVERDEVERDEESECDVDEEPEVDDIATPSNANKDADYPKDEVNTCSGSGKVHVSTDALNVPSEFDKDFSSFPPNIMKDANGPSNTGQYVAREEMGTGNPDQQNIEIDESKSGESWIQGLSEGEYSHLSVEERLNALVALIGIANEGNSIRAVLEDRLEAANALKKQMWVEAQLDKSRLKEETMVKMDFPSMMGIKAEPQLPNSVVEGSQSPFPAAYNKNDEASPSIPDDQKPLLCSQNVQNDLNSYPAERALVLQEASMGPDNFSAQQIGHASKRSRSQLKSYIAHRAEEMYVYRSLPLGQDRRRNRYWQFVASASKNDPCSGRIFVELRDGNWRLIDSEEAFDTLLTSLDARGIRESHLRIMLQKIETSFKENVRRNLQCARAIGRSGSSTENEVSELDSSPDFPASFDSPSSAICGLNFDALETLPSFKIQLGRNENEKKLALKRYQDFQRWIWKECYNSSTLCAMKYGKKRCVQLLAVCDVCLRSHIPEEMHCGYCHQTFGSVNNSFNFSEHEIQCKENRKLDTKDTCTIDYSLPLGISLLKSLCALVEVSIPPEALESVWIEGRRKMWGRELNASSSVDELLKILTHLESAIKRDHLLSNFETTKELLGSNLQSESDSSVSVLPWIPETTAAVALRLLELDVSIMCVKQEKVEPSENKEARAYIKLPSRTSLFIKNKELELKELDQDEAMKEENFADMSHSKRNSYKRGRGGREQGSGRKWQRRASGSRYDTGKRSAREKNNLSFRLKQQGQRTNGRSSGRGRRTVRKRAERRAADNTMVARVADVIKPKVSDVRDLDEEWRTEKFRVMQMVNPPDSNSAEEESDDNAQGEGYGQGNWDLDYNGASNGWNAEAMEASDEDDDAYEDDNGVEQLGEEDSDGDLEISDASDVVANKAGNDDGSDLAVSEDYSD >EOY28163 pep chromosome:Theobroma_cacao_20110822:6:24407767:24419838:1 gene:TCM_029805 transcript:EOY28163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like transcriptional regulator, putative isoform 1 MDPGSEEENNPSKNPNKNVNSSNEGHVKPKRQMKTPYQLEALEKAYALETYPSEATRAGLSEKLGLSDRQLQMWFCHRRLKEKKETPSKKPRKGAALPPESPIDDLHAGPEPDYGSGSGSGSSPYTDSRKLGGSSSRGMTEDVPTARRYYESQQSIMELRAIACVEAQLGEPLRDDGPMLGMEFDPLPPDAFGAIPEPQKRSGHPYESKAYERHDGRSSKAAVRALHEYQFLPEHASLRSDAYGQVTQSHFHESPVDGARARATSFVHGEEPLPRVHGIQGHGSRVRVLPQQDKTGIIPTSSQVADDSLAERESFTNGRLNTQSIGHPVLGSEDSYVLSTGQTLNIDADLRNDRKRKSDENRIAREVEAHENRIRKELEKLDLKRRKSEERMRKEMERHARERRKEEERLVREKQREEERSQREQRREMERREKFLQKECLRAEKRRQKEELRREKEAERRRVAMEKATARKIAKESMDLIEDEQLELMELAAASKGIPSIIHLDHDSLQNLESFRDSLSLFPPKSVQLKRPFAIQPWIDSEENVGNLLMAWRFLITFADVLRLWPFTLDEFVQAFHDYDSRLLGEIHVALLKSIIKDIEDVARTPSTGLGMNQYCAANPEGGHPQIVEGAYSWGFDIRNWQRHLNPLTWPEIFRQLAISAGLGPQLKKRNAAWTFMGDNDEGKGCEDVVSTLRNGSAAENAFVLMREKGLLLPRRSRHRLTPGTVKFAAFHVLSLEGREGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDAKLFERIAPSTYCVRPAYRKDPTDAEAILAAARKKIRQFENGFLGGEDADEVERDEVERDEESECDVDEEPEVDDIATPSNANKDADYPKDEVNTCSGSGKVHVSTDALNVPSEFDKDFSSFPPNIMKDANGPSNTGQYVAREEMGTGNPDQQNIEIDESKSGESWIQGLSEGEYSHLSVEERLNALVALIGIANEGNSIRAVLEDRLEAANALKKQMWVEAQLDKSRLKEETMVKMDFPSMMGIKAEPQLPNSVVEGSQSPFPAAYNKNDEASPSIPDDQKPLLCSQNVQNDLNSYPAERALVLQEASMGPDNFSAQQIGHASKRSRSQLKSYIAHRAEEMYVYRSLPLGQDRRRNRYWQFVASASKNDPCSGRIFVELRDGNWRLIDSEEAFDTLLTSLDARGIRESHLRIMLQKIETSFKENVRRNLQCARAIGRSGSSTENEVSELDSSPDFPASFDSPSSAICGLNFDALETLPSFKIQLGRNENEKKLALKRYQDFQRWIWKECYNSSTLCAMKYGKKRCVQLLAVCDVCLRSHIPEEMHCGYCHQTFGSVNNSFNFSEHEIQCKENRKLDTKDTCTIDYSLPLGISLLKSLCALVEVSIPPEALESVWIEGRRKMWGRELNASSSVDELLKILTHLESAIKRDHLLSNFETTKELLGSNLQSESDSSVSVLPWIPETTAAVALRLLELDVSIMCVKQEKVEPSENKEARAYIKLPSRTSLFIKNKELELKELDQDEAMKEENFADMSHSKRNSYKRGRGGREQGSGRKWQRRASGSRYDTGKRSAREKNNLSFRLKQQGQRTNGRSSGRGRRTVRKRAERRAADNTMVARVADVIKPKVSDVRDLDEEWRTEKFRVMQMVNPPDSNSAEEESDDNAQGEGYGQGNWDLDYNGASNGWNAEAMEASDEDDDAYEDDNGVEQLGEEDSDGDLEISDASDVVANKAGNDDGSDLAVSEDYSD >EOY28164 pep chromosome:Theobroma_cacao_20110822:6:24407600:24418955:1 gene:TCM_029805 transcript:EOY28164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like transcriptional regulator, putative isoform 1 MDPGSEEENNPSKNPNKNVNSSNEGHVKPKRQMKTPYQLEALEKAYALETYPSEATRAGLSEKLGLSDRQLQMWFCHRRLKEKKETPSKKPRKGAALPPESPIDDLHAGPEPDYGSGSGSGSSPYTDSRKLGGSSSRGMTEDVPTARRYYESQQSIMELRAIACVEAQLGEPLRDDGPMLGMEFDPLPPDAFGAIPEPQKRSGHPYESKAYERHDGRSSKAAVRALHEYQFLPEHASLRSDAYGQVTQSHFHESPVDGARARATSFVHGEEPLPRVHGIQERESFTNGRLNTQSIGHPVLGSEDSYVLSTGQTLNIDADLRNDRKRKSDENRIAREVEAHENRIRKELEKLDLKRRKSEERMRKEMERHARERRKEEERLVREKQREEERSQREQRREMERREKFLQKECLRAEKRRQKEELRREKEAERRRVAMEKATARKIAKESMDLIEDEQLELMELAAASKGIPSIIHLDHDSLQNLESFRDSLSLFPPKSVQLKRPFAIQPWIDSEENVGNLLMAWRFLITFADVLRLWPFTLDEFVQAFHDYDSRLLGEIHVALLKSIIKDIEDVARTPSTGLGMNQYCAANPEGGHPQIVEGAYSWGFDIRNWQRHLNPLTWPEIFRQLAISAGLGPQLKKRNAAWTFMGDNDEGKGCEDVVSTLRNGSAAENAFVLMREKGLLLPRRSRHRLTPGTVKFAAFHVLSLEGREGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDAKLFERIAPSTYCVRPAYRKDPTDAEAILAAARKKIRQFENGFLGGEDADEVERDEVERDEESECDVDEEPEVDDIATPSNANKDADYPKDEVNTCSGSGKVHVSTDALNVPSEFDKDFSSFPPNIMKDANGPSNTGQYVAREEMGTGNPDQQNIEIDESKSGESWIQGLSEGEYSHLSVEERLNALVALIGIANEGNSIRAVLEDRLEAANALKKQMWVEAQLDKSRLKEETMVKMDFPSMMGIKAEPQLPNSVVEGSQSPFPAAYNKNDEASPSIPDDQKPLLCSQNVQNDLNSYPAERALVLQEASMGPDNFSAQQIGHASKRSRSQLKSYIAHRAEEMYVYRSLPLGQDRRRNRYWQFVASASKNDPCSGRIFVELRDGNWRLIDSEEAFDTLLTSLDARGIRESHLRIMLQKIETSFKENVRRNLQCARAIGRSGSSTENEVSELDSSPDFPASFDSPSSAICGLNFDALETLPSFKIQLGRNENEKKLALKRYQDFQRWIWKECYNSSTLCAMKYGKKRCVQLLAVCDVCLRSHIPEEMHCGYCHQTFGSVNNSFNFSEHEIQCKENRKLDTKDTCTIDYSLPLGISLLKSLCALVEVSIPPEALESVWIEGRRKMWGRELNASSSVDELLKILTHLESAIKRDHLLSNFETTKELLGSNLQSESDSSVSVLPWIPETTAAVALRLLELDVSIMCVKQEKVEPSENKEARAYIKLPSRTSLFIKNKELELKELDQDEAMKEENFADMSHSKRNSYKRGRGGREQGSGRKWQRRASGSRYDTGKRSAREKNNLSFRLKQQGQRTNGRSSGRGRRTVRKRAERRAADNTMVARVADVIKPKVSDVRDLDEEWRTEKFRVMQMVNPPDSNSAEEESDDNAQGEGYGQGNWDLDYNGASNGWNAEAMEASDEDDDAYEDDNGVEQLGEEDSDGDLEISDASDVVANKAGNDDGSDLAVSEDYSD >EOY26317 pep chromosome:Theobroma_cacao_20110822:6:7522288:7524487:-1 gene:TCM_027810 transcript:EOY26317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nine-cis-epoxycarotenoid dioxygenase 4 MMKVTKPCYNVPIPHFQALNDSPTKPKELVTCKLSSSNTNPFLTKTQKIVSLPLSASSLFSTLASIPSFLYASFSKILDPPLQPLVDPRHLYTGNLAPVDEMEPTDCPVIEGKLPLSLKGVYIRNGPNPQIQSPRALLLFDGDGMLHSLRFSNGHATYCCRYVRTYKYKLEGEAGFPLIPNVFSGFFGFGDIVRFLMTTRRIMTGHINLMNGFGVANTGLAFFSDHLFALCESDLPYIINLTQEGDIETLGRWEFEKKLLSNMTAHPKVDLDTKETFAFSWSLSFPHLKFFHFDGNGVKQNEVPIFSINQPCFIHDFAITKRFAIFHETQLVYSLGKVMTGRGTLVDYEPNKTPRIGIIPKYAINDSEMRWVRVPGFNTIHIINAWENGDDEIVFMASNIICVTNIFNKTVDVSLEKVKINIKTGDVSRKIISPRNLEFGSINPSYVGRKTRYAYLGVLEEVPKMSGLVKIDLETGREVARRFYGPGCFGGEPLFVTKDMENIHSDEDDGYVMNYVHDEKANESKFIIMDAKSPELDIVAVVKLPSRVPYGFHGLFFSM >EOY28043 pep chromosome:Theobroma_cacao_20110822:6:24031348:24033007:-1 gene:TCM_029725 transcript:EOY28043 gene_biotype:protein_coding transcript_biotype:protein_coding description:3R-hydroxymyristoyl-dehydratase-hydroxymyristoyl ACP dehydrase isoform 5 MSICLVSKLPSFTSTGSVSFAPKTYPSLSSKSLLFPIIQPPTRPRFQRPIIATAAMAAEKSGGTSTASKTSNPAMKLLFVEMGVGYDQHGQDITSAAMRACRDAISSNSIPAFRRGSIPGVSFDQMKLLIKLGVPHSLQQALDIERVKSVFPYFPIVYSGKILDVEVV >EOY28044 pep chromosome:Theobroma_cacao_20110822:6:24031346:24033170:-1 gene:TCM_029725 transcript:EOY28044 gene_biotype:protein_coding transcript_biotype:protein_coding description:3R-hydroxymyristoyl-dehydratase-hydroxymyristoyl ACP dehydrase isoform 5 MSICLVSKLPSFTSTGSVSFAPKTYPSLSSKSLLFPIIQPPTRPRFQRPIIATAAMAAEKSGGTSTASKTSNPAMKLLFVEMGVGYDQHGQDITSAAMRACRDAISSNSIPAFRRGSIPGVSFDQMKLLIKLGVPHSLQQALDIERVKSVFPYVYSGKILDVEVV >EOY28045 pep chromosome:Theobroma_cacao_20110822:6:24031347:24033170:-1 gene:TCM_029725 transcript:EOY28045 gene_biotype:protein_coding transcript_biotype:protein_coding description:3R-hydroxymyristoyl-dehydratase-hydroxymyristoyl ACP dehydrase isoform 5 MSICLVSKLPSFTSTGSVSFAPKTYPSLSSKSLLFPIIQPPTRPRFQRPIIATAAMAAEKSGGTSTASKTSNPAMKLLFVEMGVGYDQHGQDITSAAMRACRDAISSNSIPAFRRGSIPGVSFDQMKLLIKLGVPHSLQQALDIERWKNFGR >EOY28042 pep chromosome:Theobroma_cacao_20110822:6:24030142:24033106:-1 gene:TCM_029725 transcript:EOY28042 gene_biotype:protein_coding transcript_biotype:protein_coding description:3R-hydroxymyristoyl-dehydratase-hydroxymyristoyl ACP dehydrase isoform 5 MSICLVSKLPSFTSTGSVSFAPKTYPSLSSKSLLFPIIQPPTRPRFQRPIIATAAMAAEKSGGTSTASKTSNPAMKLLFVEMGVGYDQHGQDITSAAMRACRDAISSNSIPAFRRGSIPGVSFDQMKLLIKLGVPHSLQQALDIERVKSVFPYGKILDVEVVDGGLICSSGVLVEEMGDKNDDCYIVNAAVYIGY >EOY28041 pep chromosome:Theobroma_cacao_20110822:6:24030795:24033170:-1 gene:TCM_029725 transcript:EOY28041 gene_biotype:protein_coding transcript_biotype:protein_coding description:3R-hydroxymyristoyl-dehydratase-hydroxymyristoyl ACP dehydrase isoform 5 MSICLVSKLPSFTSTGSVSFAPKTYPSLSSKSLLFPIIQPPTRPRFQRPIIATAAMAAEKSGGTSTASKTSNPAMKLLFVEMGVGYDQHGQDITSAAMRACRDAISSNSIPAFRRGSIPGVSFDQMKLLIKLGVPHSLQQALDIERVKSVFPYGKILDVEVVDGGLICSSGVLVEEMGDKNDDCYIVNAAVYIGY >EOY28716 pep chromosome:Theobroma_cacao_20110822:6:26343213:26344082:-1 gene:TCM_030237 transcript:EOY28716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative isoform 1 MASDAPSWADQWGAGGIGAMEDEDATAKKENGSKKKSEGKGGFNKAKTAALMGAKKFKLGVSKGITWVKNKCQKKGSSK >EOY28717 pep chromosome:Theobroma_cacao_20110822:6:26343201:26344098:-1 gene:TCM_030237 transcript:EOY28717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative isoform 1 MASDAPSWADQWGAGGIGAMEDEDATAKKENGSKKKSEGKGGFNKAKTAALMGAKKFKLGVSKGITWVKNKCQKKGSSK >EOY25973 pep chromosome:Theobroma_cacao_20110822:6:3134889:3141206:1 gene:TCM_027344 transcript:EOY25973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopropylmalate dehydrogenase 2 isoform 1 MAASIQLNVRSIKAPFNLTPVPKQCPKPSRISCAAAAATPTKRYSITLLPGDGIGPEIIFVTKNVLKLAGSLEGIEFSFQEMPMGGAALDLTGVPLPEETLSAAKKADAILLGAIGGYKWDKNEKHLKPETGLLQLREGLKVFANLRPATVLPQLVDSSTLKKDVAEGVDLMVVRELTGGIYFGKPRGFGINEKGEDIGFNTEVYATHEIARKRRGQLCSVDKANVLEASMLWRKRVTAIASEYPDVELSHMYVDNAAMQLVRYPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGEENAAKRIENAVLDTLNRGFRTGDIYSAGNKLVGCKEMGEEVLKSVDSPVLAAI >EOY25972 pep chromosome:Theobroma_cacao_20110822:6:3134889:3141206:1 gene:TCM_027344 transcript:EOY25972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopropylmalate dehydrogenase 2 isoform 1 MAASIQLNVRSIKAPFNLTPVPKQCPKPSRISCAAAAATPTKRYSITLLPGDGIGPEIIFVTKNVLKLAGSLEGIEFSFQEMPMGGAALDLTGVPLPEETLSAAKKADAILLGAIGGYKWDKNEKHLKPETGLLQLREGLKVFANLRPATVLPQLVDSSTLKKDVAEGVDLMVVRELTGGIYFGKPRGFGINEKGEDIGFNTEVYATHEIDRIARVAFEIARKRRGQLCSVDKANVLEASMLWRKRVTAIASEYPDVELSHMYVDNAAMQLVRYPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGEENAAKRIENAVLDTLNRGFRTGDIYSAGNKLVGCKEMGEEVLKSVDSPVLAAI >EOY25656 pep chromosome:Theobroma_cacao_20110822:6:966285:980315:1 gene:TCM_027034 transcript:EOY25656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toprim domain-containing protein isoform 1 MLRFPDHIRNNPRLRLHKLSLFSCNSAALMASKTFSSSFSLLPLTPSPKRLSTPCKRLVPYLSSKPYSKNHSLSLRTNGFSSIPSANVSAPVYSKELEDRPLNMRSLEILKHKLKQLGIDISACVPGRENRLLCPSCNGGESEEISLSLFINQDGSSASWMCFRAKCGWKGITKAFADGKPSYANLSRVNKVKVKREITVESLQLEPLCNQLIAYFAERMISAETLKRNAVMQKKSGEEIAIAFPYWRKGSLVNCKYRDIAKRFWQEKDTEKIFYGLDDIEDASDIIIVEGEIDKLAMEEAGFRNCVSVPDGAPPSVSSKEVPAEEQDTKYQYLWNCKEYLKKASRIILATDGDPPGQALAEELARRLGRERCWRVKWPKKNEVDHFKDANEVLMYLGPSVLKDVIENAELYPIRGLFNFRDFFDEIDRYYHRTLGYEFGVPTGWRALDGLYNVVPGELTVVTGVPNSGKSEWIDALLCNLNESVGWKFALCSMENKVRDHARKLLEKCIRKPFFDTSYGSSVERMSVEELEKGKKWLSDTFYLVRCENDSLPSIKWVLDLAKAAVLRHGGDWRIYGH >EOY25655 pep chromosome:Theobroma_cacao_20110822:6:966243:979778:1 gene:TCM_027034 transcript:EOY25655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toprim domain-containing protein isoform 1 MLRFPDHIRNNPRLRLHKLSLFSCNSAALMASKTFSSSFSLLPLTPSPKRLSTPCKRLVPYLSSKPYSKNHSLSLRTNGFSSIPSANVSAPVYSKELEDRPLNMRSLEILKHKLKQLGIDISACVPGRENRLLCPSCNGGESEEISLSLFINQDGSSASWMCFRAKCGWKGITKAFADGKPSYANLSRVNKVKVKREITVESLQLEPLCNQLIAYFAERMISAETLKRNAVMQKKSGEEIAIAFPYWRKGSLVNCKYRDIAKRFWQEKDTEKIFYGLDDIEDASDIIIVEGEIDKLAMEEAGFRNCVSVPDGAPPSVSSKEVPAEEQDTKYQYLWNCKEYLKKASRIILATDGDPPGQALAEELARRLGRERCWRVKWPKKNEVDHFKDANEVLMYLGPSVLKDVIENAELYPIRGLFNFRDFFDEIDRYYHRTLGYEFGVPTGWRALDGLYNVVPGELTVVTGVPNSGKSEWIDALLCNLNESVGWKFALCSMENKVRDHARKLLEKCIRKPFFDTSYGSSVERMSVEELEKGKKWLSDTFYLVRCENDSLPSIKWVLDLAKAAVLRHGVRGLLIDPYNELDHQRPVSQTETEYVSQMLTKIKRFAQHHSCHVWFVAHPRQLHHWIGAPPNLYDISGSAHFINKCDNGIVIHRNRDPEAGPVDQVQVCVRKVRNKVVGTIGDAFLSYDRSNRSSCSCKCGLKFCIVWIMMT >EOY25654 pep chromosome:Theobroma_cacao_20110822:6:966243:979778:1 gene:TCM_027034 transcript:EOY25654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toprim domain-containing protein isoform 1 MLRFPDHIRNNPRLRLHKLSLFSCNSAALMASKTFSSSFSLLPLTPSPKRLSTPCKRLVPYLSSKPYSKNHSLSLRTNGFSSIPSANVSAPVYSKELEDRPLNMRSLEILKHKLKQLGIDISACVPGRENRLLCPSCNGGESEEISLSLFINQDGSSASWMCFRAKCGWKGITKAFADGKPSYANLSRVNKVKVKREITVESLQLEPLCNQLIAYFAERMISAETLKRNAVMQKKSGEEIAIAFPYWRKGSLVNCKYRDIAKRFWQEKDTEKIFYGLDDIEDASDIIIVEGEIDKLAMEEAGFRNCVSVPDGAPPSVSSKEVPAEEQDTKYQYLWNCKEYLKKASRIILATDGDPPGQALAEELARRLGRERCWRVKWPKKNEVDHFKDANEVLMYLGPSVLKDVIENAELYPIRGLFNFRDFFDEIDRYYHRTLGYEFGVPTGWRALDGLYNVVPGELTVVTGVPNSGKSEWIDALLCNLNESVGWKFALCSMENKVRDHARKLLEKCIRKPFFDTSYGSSVERMSVEELEKGKKWLSDTFYLVRCENDSLPSIKWVLDLAKAAVLRHGVRGLLIDPYNELDHQRPVSQTETEYVSQMLTKIKRFAQHHSCHVWFVAHPRQLHHWIGAPPNLYDISGSAHFINKCDNGIVIHRNRDPEAGPVDQVQVCVRKVRNKVVGTIG >EOY25653 pep chromosome:Theobroma_cacao_20110822:6:966285:980315:1 gene:TCM_027034 transcript:EOY25653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toprim domain-containing protein isoform 1 MLRFPDHIRNNPRLRLHKLSLFSCNSAALMASKTFSSSFSLLPLTPSPKRLSTPCKRLVPYLSSKPYSKNHSLSLRTNGFSSIPSANVSAPVYSKELEDRPLNMRSLEILKHKLKQLGIDISACVPGRENRLLCPSCNGGESEEISLSLFINQDGSSASWMCFRAKCGWKGITKAFADGKPSYANLSRVNKVKVKREITVESLQLEPLCNQLIAYFAERMISAETLKRNAVMQKKSGEEIAIAFPYWRKGSLVNCKYRDIAKRFWQEKDTEKIFYGLDDIEDASDIIIVEGEIDKLAMEEAGFRNCVSVPDGAPPSVSSKEVPAEEQDTKYQYLWNCKEYLKKASRIILATDGDPPGQALAEELARRLGRERCWRVKWPKKNEVDHFKDANEVLMYLGPSVLKDVIENAELYPIRGLFNFRDFFDEIDRYYHRTLGYEFGVPTGWRALDGLYNVVPGELTVVTGVPNSGKSEWIDALLCNLNESVGWKFALCSMENKVRDHARKLLEKCIRKPFFDTSYGSSVERMSVEELEKGKKWLSDTFYLVRCENDSLPSIKWVLDLAKAAVLRHGVRGLLIDPYNELDHQRPVSQTETEYVSQMLTKIKRFAQHHSCHVWFVAHPRQLHHWIGAPPNLYDISGSAHFINKCDNGIVIHRNRDPEAGPVDQVQVCVRKVRNKVVGTIGDAFLSYDRVTGVYTDIDEPQKKQ >EOY27249 pep chromosome:Theobroma_cacao_20110822:6:20865105:20867560:1 gene:TCM_029136 transcript:EOY27249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulation-signaling pathway 1 protein, putative MIKQLYISQPFSLFTFFLCFKHSFQSTIMTLEEAEPNPTPDHILDWLEDSVSFLPSFLDDPYSTGEINSYQWWDQDQGIGQDLINIGATAIDSPITAAAAVANTTNGSLIQSGSSFPNPGLPPNSSKKRKPSDDQVPRAAQNRQQKKNQSSKINENEKGKAGLEEVVANKRSAGNKKNSNKSSGNNCNNGNNKEGRWAEQLLNPCATAITAGNLTRVQHLLYVLNELASSTGDSNHRLADHGLRALTHHLSSSSASAGPVTFASTEPKFFQRSLLKFYEVSPWFAFPNNVANASILQILAQEPDKTRNLHILDIGVSHGVQWPTLLEALTRRSGGPPPLVRITVVAATAEKSQIMDTPFSIGPPDHDFYSRLPGFAKSMNISLQINRLENHPLQNLNTKIIDNSPGETLIVCAQFRLHHLNHNTPDQRTEFLKVLRSLEPKGVILSENNMDCSCSNCGDFTTGFSRRVEYLWKFLDSTSSAFKGRESEERRVMEGEAAKALTNQGEMNEGKEKWCERMRGVGFVGEVFGEDAIDGARALLRKYDSNWEMRVEEKNGCVGLWWRGQPVSFCSLWKLDIKVEES >EOY27487 pep chromosome:Theobroma_cacao_20110822:6:22006874:22015741:-1 gene:TCM_029323 transcript:EOY27487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair defective 3 GTP-binding protein (RHD3) isoform 2 MAGVDHCYSTQLIDGDGEFNVVGLDNFMRNTKLSNCGLSYAVVAIMGPQSSGKSTLLNHLFHTNFREMDAYRGRTQTTKGIWIAHCVGIEPFTMAMDLEGTDGRERGEDDTTFEKQSALFALAVADIVLINMWCHDIGREHAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLEYLEPILREDIQKIWNAVRKPEAHKDTPLSEFFNVEVTALSSYEEKEELFKEQVTELRQRFFNSISPGGLAGDRRGVVPASGFSFSAQRIWKVIKENKDLDLPAHKVMVATVRCEEIANEKLHCLSSDEDWLALEQAGQSGPVSGFGRKLSSILETYFSEYDMETIYFDEGVRNAKRKQLESKALDCVHPAYLNLLGNLRVKALENFKSRLEQMLNKGEGFAASAHTCIKSCMLEFDQGCADAAIRQADWDASKVRDKLRRDIDAHTSSVRNAKLSELMASYEKQLSQALSEPVESLFDAAGIDTWASIRKLLKRETETAASEFSTAISSFELDQPTNEKMLQDLSNYARNVVEKKAREEAGKVLIRMKDRFSTVFSHDNDSMPRVWTGKEDIRTITKDARTASLRLLSVMAAVRLDEKPDKIESILFSTLMDGSLAVASSQQRSISTSSDPLASSTWEEVSPNNTLITPVQCKSLWRQFKAETEYTVTQAISAQEAYKRTNNWLPPPWAIVAMVVLGFNEFMLLLRNPLYLMLLFVAYLLSKAMWVQMDVGGQFQHGTLAGLISISSRFLPTVVNLLRRLAEEAQGHQTAEAPRQQPSMAFQSFRNQSQLNPTSSIPESSVSSSVSASDGGIEYSSPNLTQRRSTKVQEAELS >EOY27486 pep chromosome:Theobroma_cacao_20110822:6:22006522:22031004:-1 gene:TCM_029323 transcript:EOY27486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair defective 3 GTP-binding protein (RHD3) isoform 2 MAGVDHCYSTQLIDGDGEFNVVGLDNFMRNTKLSNCGLSYAVVAIMGPQSSGKSTLLNHLFHTNFREMDAYRGRTQTTKGIWIAHCVGIEPFTMAMDLEGTDGRERGEDDTTFEKQSALFALAVADIVLINMWCHDIGREHAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLEYLEPILREDIQKIWNAVRKPEAHKDTPLSEFFNVEVTALSSYEEKEELFKEQVTELRQRFFNSISPGGLAGDRRGVVPASGFSFSAQRIWKVIKENKDLDLPAHKVMVATVRCEEIANEKLHCLSSDEDWLALEQAGQSGPVSGFGRKLSSILETYFSEYDMETIYFDEGVRNAKRKQLESKALDCVHPAYLNLLGNLRVKALENFKSRLEQMLNKGEGFAASAHTCIKSCMLEFDQGCADAAIRQADWDASKVRDKLRRDIDAHTSSVRNAKLSELMASYEQNVDILLSTQKQLSQALSEPVESLFDAAGIDTWASIRKLLKRETETAASEFSTAISSFELDQPTNEKMLQDLSNYARNVVEKKAREEAGKVLIRMKDRFSTVFSHDNDSMPRVWTGKEDIRTITKDARTASLRLLSVMAAVRLDEKPDKIESILFSTLMDGSLAVASSQQRSISTSSDPLASSTWEEVSPNNTLITPVQCKSLWRQFKAETEYTVTQAISAQEAYKRTNNWLPPPWAIVAMVVLGFNEFMLLLRNPLYLMLLFVAYLLSKAMWVQMDVGGQFQHGTLAGLISISSRFLPTVVNLLRRLAEEAQGHQTAEAPRQQPSMAFQSFRNQSQLNPTSSIPESSVSSSVSASDGGIEYSSPNLTQRRSTKVQEAELS >EOY27410 pep chromosome:Theobroma_cacao_20110822:6:21582851:21586803:1 gene:TCM_029259 transcript:EOY27410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Muscle M-line assembly protein unc-89, putative isoform 3 MKLVNLGHAQSKETREKIGIGVRMGWERRREKLMVQENCHFEWMNLIAEASRKGYLGEEELQWDSYKILAAQLTKDWLESVEERKTMPRTKGSKRAPKSLEQRRKIAAAIAAKWADPEYRKRVCSGLAKYHGTQAGAERKPKRKPTGGAQSKQSPSKRKASDTNYSSTSETISPIERLSLRRRNKPLYKDPMASSKLEMIKNIRAQRATEESRKIEAVERARLLIAEAEKAAKALEVAAVKSPVARASLIETRKLIAEAIQSIESIERGQVTSDENGGYISVDSAEPVSQVEKKTQIESENSGLSQAEQKEVNGKQNLSLSKNEEFNFPNFMFQRIVNGDNDELTSPSSNNYSLSTLNFESLIKKSDSSKHVDLLETNGIIKHERNPLPNGIKVKLKDGDVPSKPVTVTRKWVRGKLVEVTEEAS >EOY27409 pep chromosome:Theobroma_cacao_20110822:6:21581721:21586583:1 gene:TCM_029259 transcript:EOY27409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Muscle M-line assembly protein unc-89, putative isoform 3 MPLLDIATAQPSLQSHLVPLRAQTLIQGQVLSNPWKSSQLPTRLNFHVGHLETLTQGGKLQIRAVATLEPKCSVPKEDGRNTSQLGRDSSPSSTQLESLKSGDSDEEPDEREKLRRMRISKANKGNTPWNKGRKHSAETLQRIREGTRLAMQNPKVKMKLVNLGHAQSKETREKIGIGVRMGWERRREKLMVQENCHFEWMNLIAEASRKGYLGEEELQWDSYKILAAQLTKDWLESVEERKTMPRTKGSKRAPKSLEQRRKIAAAIAAKWADPEYRKRVCSGLAKYHGTQAGAERKPKRKPTGGAQSKQSPSKRKASDTNYSSTSETISPIERLSLRRRNKPLYKDPMASSKLEMIKNIRAQRATEESRKIEAVERARLLIAEAEKAAKALEVAAVKSPVARASLIETRKLIAEAIQSIESIERGQVTSDENGGYISVDSAEPVSQVEKKTQIESENSGLSQAEQKEVNGKQNLSLSKNEEFNFPNFMFQRIVNGDNDELTSPSSNNYSLSTLNFESLIKKSDSSKHVDLLETNGIIKHERNPLPNGIKVKLKDGDVPSKPVTVTRKWVRGKLVEVTEEAS >EOY27408 pep chromosome:Theobroma_cacao_20110822:6:21580155:21587234:1 gene:TCM_029259 transcript:EOY27408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Muscle M-line assembly protein unc-89, putative isoform 3 MHSFKFKQVVLVGKPGALAAAVYTGKWPIWKLCHIATAQPSLQSHLVPLRAQTLIQGQVLSNPWKSSQLPTRLNFHVGHLETLTQGGKLQIRAVATLEPKCSVPKEDGRNTSQLGRDSSPSSTQLESLKSGDSDEEPDEREKLRRMRISKANKGNTPWNKGRKHSAETLQRIREGTRLAMQNPKVKMKLVNLGHAQSKETREKIGIGVRMGWERRREKLMVQENCHFEWMNLIAEASRKGYLGEEELQWDSYKILAAQLTKDWLESVEERKTMPRTKGSKRAPKSLEQRRKIAAAIAAKWADPEYRKRVCSGLAKYHGTQAGAERKPKRKPTGGAQSKQSPSKRKASDTNYSSTSETISPIERLSLRRRNKPLYKDPMASSKLEMIKNIRAQRATEESRKIEAVERARLLIAEAEKAAKALEVAAVKSPVARASLIETRKLIAEAIQSIESIERGQVTSDENGGYISVDSAEPVSQVEKKTQIESENSGLSQAEQKEVNGKQNLSLSKNEEFNFPNFMFQRIVNGDNDELTSPSSNNYSLSTLNFESLIKKSDSSKHVDLLETNGIIKHERNPLPNGIKVKLKDGDVPSKPVTVTRKWVRGKLVEVTEEAS >EOY28227 pep chromosome:Theobroma_cacao_20110822:6:24645865:24649088:-1 gene:TCM_029857 transcript:EOY28227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein MNKHPKHPLFLLLFVILFSQSVLSVDFVFNGFNSANLSLYGIADIDSRILTLTNETDFVVGRALYRPKIPTKTPNSSHVLPFSTSFIFSMAPSRNKAILPGHGLVFIFTPNTGINGTSSSQHLGLFNLTNNGNQSNHVFGVEFDVFANQEFGDIDDNHVGIDLNSLTSTSSHTAGYWPDNIKSSSNSNDSDDEDKGFKELKLNNGKNYQVWIDYADSVINVTMAPVGIERPKRPLLNVSLNLSDVFEDEMYVGFTSATGRLVQSHRILAWSFSNSNFALSESLITTGLPSFAIPKTPFYKHKSFIAGVTVGIFLILVFFALFALFLVKRERRRARERAEMEDWEFEYWPHKITYQEIDAATKGFSEKNVIGFGGNGKVYKGVLPGGTEIAVKRISHKNDGMREFLAEISSLGRLKHRRLVVLKGWCKKEKGTFMLIYDYMENGSLDKRVYHDCDESRVLSCEHRIRILKDVASAVLYLHEGWESKVLHRDIKASNVLLDKDMNGRLGDFGLARMHGHGHEASTTRVVGTVGYMAPEVVKSGRASAQTDVFGFGVLILEVMCGRRPIEEGKPPLVDWAWQLMVQGELLAAVDSRLRAKGGFDEEEVEKVMHLALLCSYPDPKSRPTMRQVVNVLEGKNEPFESETEDMKANLLQKVKSMDSWTSYSRNFGYSYC >EOY26322 pep chromosome:Theobroma_cacao_20110822:6:7562294:7570057:1 gene:TCM_027817 transcript:EOY26322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger-like protein MPWMILVSHTFSKQWRVNFDGDRIPEDNELEVVDPSLEGRYRCCHALIDLEQPDSLKVTTRLEQKLFHQRCFIPSGRPVPELVQLDCECDTLNFSEFLVKDEGNVNRSKFLIIGMQGELEGDQIPEDYELEVVDPDLQGRFRCCHALIDREQPDSLQVVTILEQKLFHQHSYIPSGQPVPELIQTSCRCDILNFTESLLRDECNANRSKFLIIVMFGPLAGCNDDEIELQEEIEIDTAGDRMDVEVNEHRFVPASKSAIEALENVCWLGDNECVICLGNFAKEEEAKRMPCGHVFHGGCIVRWLEKSHLCPLCRYAMPLD >EOY26503 pep chromosome:Theobroma_cacao_20110822:6:13282181:13295487:-1 gene:TCM_028287 transcript:EOY26503 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein isoform 1 MDAFQAVASATQIISSMMGAVGALEQASRNLDEAPKKIRSLEEFVRDLENLTQRIRQKHSSKLHNAQLDYQIQSLHSLIERLRPNIRKARTIVSKSKIKNIANVFWNSMAGDPLGKLTYSIKDDLNWWLETQMLAQNVEKVIESTAQDIPVRLKIKADQGHPISSKCNFVRDLLERGNSHRVILIVGLSGIGKSCLARQVASDPPKKFVGGAVELGFGQWCSRAACNGSKVEYQKRLARKISKFLVQIGFWKKIKEENSGDLDYVCCLLQEALYGKSILILLDDVWEQDIVQWFAKLYDNDCKYLVTTRNEAVYEITEAEKVELSKDEIREISKEILLYHSLLSKEELPIIAESLLERCGHHPLTVAVMGKALRKEVRVEKWEKAITNLSTFATCAPGPVSYVNEKDAEDTLTIFGSFEFSLEAMPVDSKRLFIALAALSWAGPVPEACVEAVWSFLGQESLFSLIVCKLVEGSLLMKEDMDPLYQVHDMVSLYLDSKTTDSIEMLLHGSTPEKAAFICPWLFIFGKENVKKIVEQRMKLFFEILEEKQAVITLESIIEALMASNTISELEASRASFSWILGPRIADIISTNSESLIAVSAEAIINIFSKTDYCNYFPSLETASTVDKLASILESCEDPEIQTNILTILAKLAEFGSPEIVDKVLQSIPFNQLAYLLSPDAKEWHESMFTILMSLTIAGKSKAVERMFAFEIEKNLIKLIESGSEIVQHHAIVTLKAFYELAGPSSNSSLQPANLDLLPWQVRLRLERFVMSDRNIPLSPKPQTFEDLIHKVLDYDNKQVLEAMQDLIPIIEKAGDPSFREMILQSPLIRRLSELLQSGHTEHNPVRSESAFLLMKLAYSGGEPCIKKFLECDVISELVKMMQCHIAELQDSAYTALHQMLFGNGGVLVLKKIFLMGLIRPIAHALESKSLKTREVNVHFILDIVEVGNKNCLEQMLSLQVVEKLTKLEKSGGGSGENLVGFLKGMDKCKHLSVAERKVMKQQVVRRVRTSLKGHKFEARTLAALDAFLSGGSRAASSSGSGRNRKLSKT >EOY26504 pep chromosome:Theobroma_cacao_20110822:6:13281619:13288723:-1 gene:TCM_028287 transcript:EOY26504 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein isoform 1 MDAFQAVASATQIISSMMGAVGALEQASRNLDEAPKKIRSLEEFVRDLENLTQRIRQKHSSKLHNAQLDYQIQSLHSLIERLRPNIRKARTIVSKSKIKNIANVFWNSMAGDPLGKLTYSIKDDLNWWLETQMLAQNVEKVIESTAQDIPVRLKIKADQGHPISSKCNFVRDLLERGNSHRVILIVGLSGIGKSCLARQVASDPPKKFVGGAVELGFGQWCSRAACNGSKVEYQKRLARKISKFLVQIGFWKKIKEENSGDLDYVCCLLQEALYGKSILILLDDVWEQDIVQWFAKLYDNDCKYLVTTRNEAVYEITEAEKVELSKDEIREISKEILLYHSLLSKEELPIIAESLLERCGHHPLTVAVMGKALRKEVRVEKWEKAITNLSTFATCAPGPVSYVNEKDAEDTLTIFGSFEFSLEAMPVDSKRLFIALAALSWAGPVPEACVEAVWSFLGQESLFSLIVCKLVEGSLLMKEDMDPLYQVHDMVSLYLDSKTTDSIEMLLHGSTPEKAAFICPWLFIFGKENVKKIVEQRMKLFFEILEEKQAVITLESIIEALMASNTISELEASRASFSWILGPRIADIISTNSESLIAVSAEAIINIFSKTDYCNYFPSLETASTVDKLASILESCEDPEIQTNILTILAKLAEFGSPEIVDKVLQSIPFNQLAYLLSPDAKEWHESMFTILMSLTIAGKSKAVERMFAFEIEKNLIKLIESGSEIVQHHAIVTLKAFYELAGPSSNSSLQPANLDLLPWQVRLRLERFVMSDRNIPLSPKPQTFEDLIHKVLDYDNKQVLEAMQDLIPIIEKAGDPSFREMILQSPLIRRLSELLQSGHTEHNPVRSESAFLLMKLAYSGGEPCIKKFLECDVISELVKMMQCHIAELQDSAYTALHQMLFGNGGVLVLKKIFLMGLIRPIAHALESKSLKTREVNVHFILDIVEVGNKNCLEQMLSLQVVEKLTKLEKSGGGSGENLVGFLKGMDKCKHLSVAERKVMKQQVVRRVRTSLKGHKFEARTLAALDAFLSGGSRAASSSGSGRNRKLSKT >EOY25862 pep chromosome:Theobroma_cacao_20110822:6:2403928:2405902:1 gene:TCM_027227 transcript:EOY25862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein family MSVLSSYEDEMDEDNVLNSGNEDLLKELKCLAHLDELRIEIKSVFALESLLSFHNLRGCTEQLLLLDFRETKVFNVSCLANMERLESLVVRKCESMEEMVMRKMENEFGEGRMIESSSLFPTNSNRIAPCFHVLSEVSLGGCNKLKNAAWLAFVSTLTKLVVMSCSRMEEIISDQVTNMVAIPNPSPFAKLEKLDLRDLPKLKSICWGALPFPCLRQIRVFNCSKLIKLPLNFDSGNQISIEGYQEWWEEIQWNDEVTRNAFLPSFKRVDWWKDVDWEDEAIIHPTLLFR >EOY26653 pep chromosome:Theobroma_cacao_20110822:6:16638028:16638530:-1 gene:TCM_028595 transcript:EOY26653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHHHSNRFHTMIMLTNAMTIRASSMPVCLRFVAAVAAMKHANAAWKIPAVAVPEFLEGDCQFREAIIPINLSFTTFIC >EOY28384 pep chromosome:Theobroma_cacao_20110822:6:25201771:25202941:1 gene:TCM_029966 transcript:EOY28384 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF300 family protein isoform 4 MDFSHLNRGQITLMGSAFCVMLTMHFTLQLLSQHLFYWKNPKEQKAILIIILMAPIYAIDSFVGLLDVRGSKAFFMFLESVKECYEALVIAKFLALMYSYLNISISKNIVPDEIKGREIHHSFPMTLFQPRTVRLNHRTLKLLKHWTWQFVVIRPVCSILMIILQVLGVYPSWLSWTFTIILNISVSLALYSLVIFYHVFAKELAPHKPLAKFMCIKGIVFFCF >EOY28383 pep chromosome:Theobroma_cacao_20110822:6:25201735:25204275:1 gene:TCM_029966 transcript:EOY28383 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF300 family protein isoform 4 MDFSHLNRGQITLMGSAFCVMLTMHFTLQLLSQHLFYWKNPKEQKAILIIILMAPIYAIDSFVGLLDVRGSKAFFMFLESVKECYEALVIAKFLALMYSYLNISISKNIVPDEIKGREIHHSFPMTLFQPRTVRLNHRTLKLLKHWTWQFVVIRPVCSILMIILQVLGVYPSWLSWTFTIILNISVSLALYSLVIFYHVFAKELAPHKPLAKFMCIKGIVFFCFWQGVVLDILVAMGIIRSHHFWLDVEHLEEALQNVLVCLEMVVFSVLQQYAYHVAPYSGEVEAKMKLGKKNE >EOY28382 pep chromosome:Theobroma_cacao_20110822:6:25201769:25204273:1 gene:TCM_029966 transcript:EOY28382 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF300 family protein isoform 4 MDFSHLNRGQITLMGSAFCVMLTMHFTLQLLSQHLFYWKNPKEQKAILIIILMAPIYAIDSFVGLLDVRGSKAFFMFLESVKECYEALVIAKFLALMYSYLNISISKNIVPDEIKGREIHHSFPMTLFQPRTVRLNHRTLKLLKHWTWQFVVIRPVCSILMIILQSWHLTSHLQSSCASRGLFSSAFGRGVVLDILVAMGIIRSHHFWLDVEHLEEALQNVLVCLEMVVFSVLQQYAYHVAPYSGEVEAKMKLGKKNE >EOY28381 pep chromosome:Theobroma_cacao_20110822:6:25201568:25204134:1 gene:TCM_029966 transcript:EOY28381 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF300 family protein isoform 4 MDFSHLNRGQITLMGSAFCVMLTMHFTLQLLSQHLFYWKNPKEQKAILIIILMAPIYAIDSFVGLLDVRGSKAFFMFLESVKECYEALVIAKFLALMYSYLNISISKNIVPDEIKGREIHHSFPMTLFQPRTVRLNHRTLKLLKHWTWQFVVIRPVCSILMIILQVLGVYPSWLSWTFTIILNISVSLALYSLVIFYHVFAKELAPHKPLAKFMCIKGIVFFCFWQGVVLDILVAMGIIRSHHFWLDVEHLEEALQNVLVCLEMVVFSVLQQYAYHVAPYSGEVEAKMKLGKKNE >EOY26984 pep chromosome:Theobroma_cacao_20110822:6:19543703:19546878:-1 gene:TCM_028939 transcript:EOY26984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol oxygenase 1 isoform 3 MVLDGGFMVPQTNSFGHTFRDYHVESERQQGVENFYRTNHINQTYDFVSNSCFYVKRMRKEHGKLNKVELSIWECCELLNDVVDESDPDLDEPQIEHLLQTAEAIRKDYPDEDWLHLAGLVHDLGKVLLHPGFGGLPQWAVVGDTYPVGCAFDKTIVHHKYFEENPDFYNSAYNTKHGVYSEGCGLNNVMMSWGHDDYMYLVAKENNTTLPPAALFIIRYHSFYALHRSEAYKHLMSEEDVENLKWLQIFNKYDLYSKSKVRIDVEKVKPYYLSLIEKYFPAKLRW >EOY26983 pep chromosome:Theobroma_cacao_20110822:6:19543710:19547156:-1 gene:TCM_028939 transcript:EOY26983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol oxygenase 1 isoform 3 MTILIDQPEFGVEAGFDKVDNVEKGMVLDGGFMVPQTNSFGHTFRDYHVESERQQGVENFYRTNHINQTYDFVKRMRKEHGKLNKVELSIWECCELLNDVVDESDPDLDEPQIEHLLQTAEAIRKDYPDEDWLHLAGLVHDLGKVLLHPGFGGLPQWAVVGDTYPVGCAFDKTIVHHKYFEENPDFYNSAYNTKHGVYSEGCGLNNVMMSWGHDDYMYLVAKENNTTLPPAALFIIRYHSFYALHRSEAYKHLMSEEDVENLKWLQIFNKYDLYSKSKVRIDVEKVKPYYLSLIEKYFPAKLRW >EOY26985 pep chromosome:Theobroma_cacao_20110822:6:19543703:19546878:-1 gene:TCM_028939 transcript:EOY26985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol oxygenase 1 isoform 3 MVLDGGFMVPQTNSFGHTFRDYHVESERQQGVENFYRTNHINQTYDFVKRMRKEHGKLNKVELSIWECCELLNDVVDESDPDLDEPQIEHLLQTAEAIRKDYPDEDWLHLAGLVHDLGKVLLHPGFGGLPQWAVVGDTYPVGCAFDKTIVHHKYFEENPDFYNSAYNTKHGVYSEGCGLNNVMMSWGHDDYMYLVPKRTTQHCRQQLFSLLDTIHSMHCIGQKHTST >EOY26987 pep chromosome:Theobroma_cacao_20110822:6:19543533:19547055:-1 gene:TCM_028939 transcript:EOY26987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol oxygenase 1 isoform 3 MRKEHGKLNKVELSIWECCELLNDVVDESDPDLDEPQIEHLLQTAEAIRKDYPDEDWLHLAGLVHDLGKVLLHPGFGGLPQWAVVGDTYPVGCAFDKTIVHHKYFEENPDFYNSAYNTKHGVYSEGCGLNNVMMSWGHDDYMYLVAKENNTTLPPAALFIIRYHSFYALHRSEAYKHLMSEEDVENLKWLQIFNKYDLYSKSKVRIDVEKVKPYYLSLIEKYFPAKLRW >EOY26986 pep chromosome:Theobroma_cacao_20110822:6:19543703:19546878:-1 gene:TCM_028939 transcript:EOY26986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol oxygenase 1 isoform 3 MVLDGGFMVPQTNSFGHTFRDYHVESERQQGVENFYRTNHINQTYDFVKRMRKEHGKLNKVELSIWECCELLNDVVDESDPDLDEPQIEHLLQTAEAIRKDYPDEDWLHLAGLVHDLGKVLLHPGFGGLPQWAVVGDTYPVGCAFDKTIVHHKYFEENPDFYNSAYNTKHGVYSEGCGLNNVMMSWGHDDYMYLVAKENNTTLPPAALFIIRYHSFYALHRSEAYKHLMSEEDVENLKWLQIFKYDFACF >EOY25901 pep chromosome:Theobroma_cacao_20110822:6:2627565:2631007:-1 gene:TCM_027270 transcript:EOY25901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 6 MDRVSLNTDPVVDDDADEFEIEGDCGITECIGQSGVIQGENPLPPAVGMEFESYEDVYYFYNCYAKEQGFGVRVSNTWYRKSKERYRGKLSCSSAGFKKKSEANRPRPETRTGCPAMIKFRLMENRRWRIIEVELDHNHLISPASGKFYKSHKHIGLGTKRALQLDGADEVKKIKLFRTVVIDVEGNESADLSDGEFRTTSSKSNQLRLKEGDAQAVHNYFSGLQMTDPNFIYVVDLNEKGCLRNLFWIDARSRAAYGYFGDVVVIDTTCLTYKYEVPLVSFVGVNHHGQSVLLGCGLLAGETIESYTWLFRAWLTCMLGRPPQAIITDQCRTLQAAVADVFPRASHCLSLSCIMQKVPEKLGELYEFEAIRMALNNAVYYSLRPEEFEATWEDMVNRHGIRDHIWLQTLYEDRRRWVPVYLKETSLAGMFPTRPNEVMESFFDGYLDKRTSLKEFLDKYEQALQENHQLETLADMDSRNSGFTMKSRCYFELQLAKLYTNNILREFEREVEGMYSCFGTRQINVEGQIMTYMVREQIDVEANRRETRDFEVLYNATEMEVLCVCGLFNLRGYLCRHALSVLHQNGMEEIPPQYILSRWRKDIKRSYVLNHSCGGIDVNNPVHRYDHLYKCIMQVVEEGRKSQDRYKDTVQALDEILSKLHLVQGHL >EOY27187 pep chromosome:Theobroma_cacao_20110822:6:20589824:20603389:1 gene:TCM_029097 transcript:EOY27187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin family protein with Dil domain isoform 1 MAGPDNIIIGSQGWVEDPNLAWIDGEVVRINGNEVHVKTTNGKTVVTNISKAFPKDTEAPPGGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDTHMMEQYKGATFGELSPHVFAVGDAAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQISNPERNYHCFYLLCAAPPEDIERYKLGSPKTFHYLNQSNCYELDGVNDAHEYLATRRAMDIVGINDQEQEAIFRVVAAILHLGNINFAKGKEIDSSVIKDEKSRFHLNMTAELLRCDAQSLEDALIKRVMVTPEEIITRTLDPENAVASRDALAKTVYSRLFDWLVDKINISIGQDPNSKSIIGVLDIYGFESFKCNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTDFTISHYAGEVTYQANQFLDKNKDYVVAEHQALLTASECSFVASLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLSATEPHYIRCVKPNNVLKPAIFENFNIIQQLRCGGVLEAIRISCAGYPTRRTFYDFLNRFGLLAPDVLEGNYDDKTACQMILDKKGLKGYQIGKTKIFLRAGQMAELDARRAEVLGNAARTIQRQIRTYVARKEFISLHGAAINLQSYLRGNMARKIYEELRKEAGALKIQKNFRRHIDRKSYLTMRKSAITLQTGLRTMTARNEFRFRKQTKAAIIIQAHWRCHQAYSYYQSLQKAVLVSQCGWRCRVARRELRKLKMAARETGALKAAKDKLEKRVEELTWRLQLEKRLRTDLEEAKAQEIAKLQDALHEKQLQVEEANSMVIKEREAARKAIEEAPPVIKETPVIVQDTERINSLISEVEKLKALLLAEKQTAEEAKQAHAATQAKNGELTKKLEDAEKRAEHLQDSVHRLEEKLSNLESENQVLRQQALTMSPTGKALTARPRTTIIQRSPENGNVLNEEIKKALPKPQVPETEEKPQKFLNEKQQENQELLIKCISQDLGFSGGKPVAACLIYKCLLHWRSFEVERTSIFDRIIQAIGISIEAPDNNDLLSYWLSNSSTLLLLLQRTLKASGAASLTPQRRRSTSASLFGRMSQGLRGSPQSAGFSFLNGRVLGGLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEIAPVLASCIQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVKSLNNYLKTMRANYVPSFLVCKVFTQTFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCHDATEEFAGSAWDELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHSVSSDVSNCEYESYDD >EOY27185 pep chromosome:Theobroma_cacao_20110822:6:20589232:20604503:1 gene:TCM_029097 transcript:EOY27185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin family protein with Dil domain isoform 1 MAGPDNIIIGSQGWVEDPNLAWIDGEVVRINGNEVHVKTTNGKTVVTNISKAFPKDTEAPPGGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDTHMMEQYKGATFGELSPHVFAVGDAAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQISNPERNYHCFYLLCAAPPEDIERYKLGSPKTFHYLNQSNCYELDGVNDAHEYLATRRAMDIVGINDQEQEAIFRVVAAILHLGNINFAKGKEIDSSVIKDEKSRFHLNMTAELLRCDAQSLEDALIKRVMVTPEEIITRTLDPENAVASRDALAKTVYSRLFDWLVDKINISIGQDPNSKSIIGVLDIYGFESFKCNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTDFTISHYAGEVTYQANQFLDKNKDYVVAEHQALLTASECSFVASLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLSATEPHYIRCVKPNNVLKPAIFENFNIIQQLRCGGVLEAIRISCAGYPTRRTFYDFLNRFGLLAPDVLEGNYDDKTACQMILDKKGLKGYQIGKTKIFLRAGQMAELDARRAEVLGNAARTIQRQIRTYVARKEFISLHGAAINLQSYLRGNMARKIYEELRKEAGALKIQKNFRRHIDRKSYLTMRKSAITLQTGLRTMTARNEFRFRKQTKAAIIIQAHWRCHQAYSYYQSLQKAVLVSQCGWRCRVARRELRKLKMAARETGALKAAKDKLEKRVEELTWRLQLEKRLRTDLEEAKAQEIAKLQDALHEKQLQVEEANSMVIKEREAARKAIEEAPPVIKETPVIVQDTERINSLISEVEKLKALLLAEKQTAEEAKQAHAATQAKNGELTKKLEDAEKRAEHLQDSVHRLEEKLSNLESENQVLRQQALTMSPTGKALTARPRTTIIQRSPENGNVLNEEIKKALPKPQVPETEEKPQKFLNEKQQENQELLIKCISQDLGFSGGKPVAACLIYKCLLHWRSFEVERTSIFDRIIQAIGISIEAPDNNDLLSYWLSNSSTLLLLLQRTLKASGAASLTPQRRRSTSASLFGRMSQGLRGSPQSAGFSFLNGRVLGGLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEIAPVLASCIQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVKSLNNYLKTMRANYVPSFLVCKVFTQTFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCHDATEEFAGSAWDELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHSVSSDVIASMRVMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMQQIEVAEIDPPPLIRGNSGFTFLLQHSE >EOY27186 pep chromosome:Theobroma_cacao_20110822:6:20589233:20604503:1 gene:TCM_029097 transcript:EOY27186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin family protein with Dil domain isoform 1 MAGPDNIIIGSQGWVEDPNLAWIDGEVVRINGNEVHVKTTNGKTVVTNISKAFPKDTEAPPGGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDTHMMEQYKGATFGELSPHVFAVGDAAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQISNPERNYHCFYLLCAAPPEDIERYKLGSPKTFHYLNQSNCYELDGVNDAHEYLATRRAMDIVGINDQEQEAIFRVVAAILHLGNINFAKGKEIDSSVIKDEKSRFHLNMTAELLRCDAQSLEDALIKRVMVTPEEIITRTLDPENAVASRDALAKTVYSRLFDWLVDKINISIGQDPNSKSIIGVLDIYGFESFKCNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTDFTISHYAGEVTYQANQFLDKNKDYVVAEHQALLTASECSFVASLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLSATEPHYIRCVKPNNVLKPAIFENFNIIQQLRCGGVLEAIRISCAGYPTRRTFYDFLNRFGLLAPDVLEGNYDDKTACQMILDKKGLKGYQIGKTKIFLRAGQMAELDARRAEVLGNAARTIQRQIRTYVARKEFISLHGAAINLQSYLRGNMARKIYEELRKEAGALKIQKNFRRHIDRKSYLTMRKSAITLQTGLRTMTARNEFRFRKQTKAAIIIQAHWRCHQAYSYYQSLQKAVLVSQCGWRCRVARRELRKLKMAARETGALKAAKDKLEKRVEELTWRLQLEKRLRTDLEEAKAQEIAKLQDALHEKQLQVEEANSMVIKEREAARKAIEEAPPVIKETPVIVQDTERINSLISEVEKLKALLLAEKQTAEEAKQAHAATQAKNGELTKKLEDAEKRAEHLQDSVHRLEEKLSNLESENQVLRQQALTMSPTGKALTARPRTTIIQRSPENGNVLNEEIKKALPKPQVPETEEKPQKFLNEKQQENQELLIKCISQDLGFSGGKPVAACLIYKCLLHWRSFEVERTSIFDRIIQAIGISIEAPDNNDLLSYWLSNSSTLLLLLQRTLKASGAASLTPQRRRSTSASLFGRMSQGLRGSPQSAGFSFLNGRVLGGLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEIAPVLASCIQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVKSLNNYLKTMRANYVPSFLVCKVFTQTFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCHDATEEFAGSAWDELKHIRQAVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHSVSSDVIASMRVMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMQQIEVAEIDPPPLIRGNSGFTFLLQHSE >EOY26037 pep chromosome:Theobroma_cacao_20110822:6:3744587:3753396:1 gene:TCM_027420 transcript:EOY26037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast-like protein isoform 2 MISFERKMELEGQLKEAGNNLLNPPSSIDELLFLLDNPSRLIFRFMVWKKVENLLISVEQAPPRSMQKALLPLMKALISDALLRHSDMDVKVSVASCITEITRITAPDAPYNDERMKEIFQLTVAAFENLSHVSSRCYTKAVSILDTVARVRLCLLMLDLDCDELIIEMFQQFLKKIRSNHPNTVFLAMESIMTLVLDESEDISWGLLSPLLASVRKENQKILPTSWKLGENVIANCAYKIKPYLMEAVQSMDIALDEYSPIIASICKSESDALNKSGDHLVTVGPVFRGELCQAVDAISKSLMRNVTAGTRENNRINNGILNIKPSKILEHSHVEVPRGADAPGGAGPDNFVSLYPVKSETEPDTMPKKRGRRHNSLMNAKEDHDHSWICMARNPLQIPHHRKRHDKGVDCSVVADPDLKDAAPQLKDEKVTESEMSCQINEIIGASSASPNGGLPGGRHRRRGQSKGKESMTTENADPNSSLANRLEFKTQIVDKLTRPTDASLKMKSEDKTSERKRPKRSRRVEIDAKPIQAPPYFVPEKEARVRSDLEEKKLLQATLKKYINKRTLDDDAMLDESITGASGNQKMNSRPVTTARKGGAYLEKTPKTNPKGQRNAGKEMASELPDLGEELIGRRIKVWWPMDKTFYEGVVASYDSITMKHRVLYEDGDEERLNLRRQRWQLIHDKGQEIDLPKPDVSLDILPKLKGKTHSSSPKSAKRRSSFKREWCSCKHSNTKI >EOY26035 pep chromosome:Theobroma_cacao_20110822:6:3741261:3752683:1 gene:TCM_027420 transcript:EOY26035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast-like protein isoform 2 MISFERKMELEGQLKEAGNNLLNPPSSIDELLFLLDKVENLLISVEQAPPRSMQKALLPLMKALISDALLRHSDMDVKVSVASCITEITRITAPDAPYNDERMKEIFQLTVAAFENLSHVSSRCYTKAVSILDTVARVRLCLLMLDLDCDELIIEMFQQFLKKIRSNHPNTVFLAMESIMTLVLDESEDISWGLLSPLLASVRKENQKILPTSWKLGENVIANCAYKIKPYLMEAVQSMDIALDEYSPIIASICKSESDALNKSGDHLVTVGPVFRGELCQAVDAISKSLMRNVTAGTRENNRINNGILNIKPSKILEHSHVEVPRGADAPGGAGPDNFVSLYPVKSETEPDTMPAPQLKDEKVTESEMSCQINEIIGASSASPNGGLPGGRHRRRGQSKGKESMTTENADPNSSLANRLEFKTQIVDKLTRPTDASLKMKSEDKTSERKRPKRSRRVEIDAKPIQAPPYFVPEKEARVRSDLEEKKLLQATLKKYINKRTLDDDAMLDESITGASGNQKMNSRPVTTARKGGAYLEKTPKTNPKGQRNAGKEMASELPDLGEELIGRRIKVWWPMDKTFYEGVVASYDSITMKHRVLYEDGDEERLNLRRQRWQLIHDKGQEIDLPKPDVSLDILPKLKGKTHSSSPKSAKRRSSFKRNGVHASTATRKSKSYGGISPDGGMLEKPSVHESVKDASTTMTDSRSSGDGQKFADELKAESNSMESKPTIAEMLPSSDGTSLKDGESLSA >EOY26036 pep chromosome:Theobroma_cacao_20110822:6:3741261:3752683:1 gene:TCM_027420 transcript:EOY26036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast-like protein isoform 2 MISFERKMELEGQLKEAGNNLLNPPSSIDELLFLLDKVENLLISVEQAPPRSMQKALLPLMKALISDALLRHSDMDVKVSVASCITEITRITAPDAPYNDERMKEIFQLTVAAFENLSHVSSRCYTKAVSILDTVARVRLCLLMLDLDCDELIIEMFQQFLKKIRSNHPNTVFLAMESIMTLVLDESEDISWGLLSPLLASVRKENQKILPTSWKLGENVIANCAYKIKPYLMEAVQSMDIALDEYSPIIASICKSESDALNKSGDHLVTVGPVFRGELCQAVDAISKSLMRNVTAGTRENNRINNGILNIKPSKILEHSHVEVPRGADAPGGAGPDNFVSLYPVKSETEPDTMPAPQLKDEKVTESEMSCQINEIIGASSASPNGGLPGGRHRRRGQSKGKESMTTENADPNSSLANRLEFKTQIVDKLTRPTDASLKMKSEDKTSERKRPKRSRRVEIDAKPIQAPPYFVPEKEARVRSDLEEKKLLQATLKKYINKRTLDDDAMLDESITGASGNQKMNSRPVTTARKGGAYLEKTPKTNPKGQRNAGKEMASELPDLGEELIGRRIKVWWPMDKTFYEGVVASYDSITMKHRVLYEDGDEERLNLRRQRWQLIHDKGQEIDLPKPDVSLDILPKLKGKTHSSSPKSAKRRSSFKRNGVHASTATRKSKSYGGISPDGGMLEKPSVHESVKDASTTMTDSRSSGDGQKFADELKAESNSMESKPTIAEMLPSSDGTSLKDGESLSA >EOY26034 pep chromosome:Theobroma_cacao_20110822:6:3744438:3753388:1 gene:TCM_027420 transcript:EOY26034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast-like protein isoform 2 MISFERKMELEGQLKEAGNNLLNPPSSIDELLFLLDKVENLLISVEQAPPRSMQKALLPLMKALISDALLRHSDMDVKVSVASCITEITRITAPDAPYNDERMKEIFQLTVAAFENLSHVSSRCYTKAVSILDTVARVRLCLLMLDLDCDELIIEMFQQFLKKIRSNHPNTVFLAMESIMTLVLDESEDISWGLLSPLLASVRKENQKILPTSWKLGENVIANCAYKIKPYLMEAVQSMDIALDEYSPIIASICKSESDALNKSGDHLVTVGPVFRGELCQAVDAISKSLMRNVTAGTRENNRINNGILNIKPSKILEHSHVEVPRGADAPGGAGPDNFVSLYPVKSETEPDTMPKKRGRRHNSLMNAKEDHDHSWICMARNPLQIPHHRKRHDKGVDCSVVADPDLKDAAPQLKDEKVTESEMSCQINEIIGASSASPNGGLPGGRHRRRGQSKGKESMTTENADPNSSLANRLEFKTQIVDKLTRPTDASLKMKSEDKTSERKRPKRSRRVEIDAKPIQAPPYFVPEKEARVRSDLEEKKLLQATLKKYINKRTLDDDAMLDESITGASGNQKMNSRPVTTARKGGAYLEKTPKTNPKGQRNAGKEMASELPDLGEELIGRRIKVWWPMDKTFYEGVVASYDSITMKHRVLYEDGDEERLNLRRQRWQLIHDKGQEIDLPKPDVSLDILPKLKGKTHSSSPKSAKRRSSFKRNGVHASTATRKSKSYGGISPDGGMLEKPSVHESVKDASTTMTDSRSSGDGQKFADELKAESNSMESKPTIAEMLPSSDGTSLKDGESLSAMPCDIRGNQEKETSL >EOY27588 pep chromosome:Theobroma_cacao_20110822:6:22491527:22503572:-1 gene:TCM_029411 transcript:EOY27588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein isoform 2 MEYERIDKVQSGISISPSKLRMKLMGPLHRKKDGSNSNSSRTSPSRIEDAEFVNSLLASKSGEFYEEVPSLDVAPVKISNETVLDSSLNDQISSQPKESLPRESIDVGRSKLQQFSKSDNGNSSAIHPIRTIEDENLDYDSNASSSSFEFHKGERALPNSMTRSYSRPMSSKWNDAEKWIMNRQNLQATYAKKNAFNNQANRFHMTNMVRVAPESANYDQRSAVNRIADTKRVDFCQSAVQMPFEKFSFIPAGAHPISAQACGGNLSSDQYPHSKDLREVAQRESSAEDTTVVPAIRSVSMRDMGTEMTPVTSQEPSRTATPAGATTPLRSPTSSIPSTPRRGEPTSTPLDHTTDDESQRPTNNRKKELSEQELKLKTRREIVALGVQLGKMNIAAWASKDEKENNTSSVETSNTEEPEQIEYEKRAAAWEEAEKSRHTARYKREEIKIQAWESQQRAKLEAEMRRIEAKVEQMRAQAQAKMVKKIAMARQRSEEKRAAAEARKNREAERTAAQAEYIRQTGRMPSSHYICCGWLS >EOY27589 pep chromosome:Theobroma_cacao_20110822:6:22491459:22503572:-1 gene:TCM_029411 transcript:EOY27589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein isoform 2 MEYERIDKVQSGISISPSKLRMKLMGPLHRKKDGSNSNSSRTSPSRIEDAEFVNSLLASKSGEFYEEVPSLDVAPVKISNETVLDSSLNDQISSQPKESLPRESIDVGRSKLQQFSKSDNGNSSAIHPIRTIEDENLDYDSNASSSSFEFHKGERALPNSMTRSYSRPMSSKWNDAEKWIMNRQNLQATYAKKNAFNNQANRFHMTNMMPFEKFSFIPAGAHPISAQACGGNLSSDQYPHSKDLREVAQRESSAEDTTVVPAIRSVSMRDMGTEMTPVTSQEPSRTATPAGATTPLRSPTSSIPSTPRRGEPTSTPLDHTTDDESQRPTNNRKKELSEQELKLKTRREIVALGVQLGKMNIAAWASKDEKENNTSSVETSNTEEPEQIEYEKRAAAWEEAEKSRHTARYKREEIKIQAWESQQRAKLEAEMRRIEAKVEQMRAQAQAKMVKKIAMARQRSEEKRAAAEARKNREAERTAAQAEYIRQTGRMPSSHYICCGWLS >EOY27590 pep chromosome:Theobroma_cacao_20110822:6:22491874:22495795:-1 gene:TCM_029411 transcript:EOY27590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein isoform 2 MEYERIDKVQSGISISPSKLRMKLMGPLHRKKDGSNSNSSRTSPSRIEDAEFVNSLLASKSGEFYEEVPSLDVAPVKISNETVLDSSLNDQISSQPKESLPRESIDVGRSKLQQFSKSDNGNSSAIHPIRTIEDENLDYDSNASSSSFEFHKGERALPNSMTRSYSRPMSSKWNDAEKWIMNRQNLQATYAKKNAFNNQANRFHMTNMVRVAPESANYDQRSAVNRIADTKRVDFCQSAVQMPFEKFSFIPAGAHPISAQACGGNLSSDQYPHSKDLREVAQRESSAEDTTVVPAIRSVSMRDMGTEMTPVTSQEPSRTATPAGATTPLRSPTSSIPSTPRRGEPTSTPLDHTTDDESQRPTNNRKKELSEQELKLKTRREIVALGVQLGKMNIAAWASKDEKENNTSSVETSNTEEPEQIEYEKRAAAWEEAEKSRHTARYKREEIKIQAWESQQRAKLEAEMRRIEVEIMQLTELSAITKSVNI >EOY27591 pep chromosome:Theobroma_cacao_20110822:6:22491581:22494628:-1 gene:TCM_029411 transcript:EOY27591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein isoform 2 MTRSYSRPMSSKWNDAEKWIMNRQNLQATYAKKNAFNNQANRFHMTNMVRVAPESANYDQRSAVNRIADTKRVDFCQSAVQMPFEKFSFIPAGAHPISAQACGGNLSSDQYPHSKDLREVAQRESSAEDTTVVPAIRSVSMRDMGTEMTPVTSQEPSRTATPAGATTPLRSPTSSIPSTPRRGEPTSTPLDHTTDDESQRPTNNRKKELSEQELKLKTRREIVALGVQLGKMNIAAWASKDEKENNTSSVETSNTEEPEQIEYEKRAAAWEEAEKSRHTARYKREEIKIQAWESQQRAKLEAEMRRIEAKVEQMRAQAQAKMVKKIAMARQRSEEKRAAAEARKNREAERTAAQAEYIRQTGRMPSSHYICCGWLS >EOY27155 pep chromosome:Theobroma_cacao_20110822:6:20463548:20469511:1 gene:TCM_029074 transcript:EOY27155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase 1-like protein 2 MEPRVGNKYRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYKILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIANPPTRALGPGAGPSSGIPPAGAIADRQSGGEDGRPSGWSSTDPTRRRHSGPIVNSGNLAKQKSPVANDPPLAKDPMAMLSGSNFLRSSGSSRRAAVSSSRDAAIMGSDSEPSRLRSIDTSAGSLPKISSGQRSSPVLSSEVKRSSSGRNTSNMKNIESALRGIEGLHFSNDERLHY >EOY26255 pep chromosome:Theobroma_cacao_20110822:6:6665310:6673196:1 gene:TCM_027727 transcript:EOY26255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative isoform 2 MSTKSFSTHWNLKSLFPNTCFLHFHRKSFPNALPFSFFQYPRIHCPKTYFPQPPLALSYPSDQTSHNLTQAQESVSEYLQDLGLSLEDSISIASNSPKYTQMLVDGVKELEEWNAWNNSNGEGDHLGFKEMVIYMAKEKGDNGKVAFLESVGLTLSSAMSVARYLSSESLPSLIHKVKYMKEIIFSGGDDKWLSGKNARRMMMYLSIPSDEDVEQTLSFFEKIEARRGGLDMLGSVDATFRFLLESFPRILLLPVESHLIPLVELLENIGVPRGSIGKVFLLFPPVLFCNVQGIKTKASAFEKVGAANKDVGKMLLKYPWILSTSIQNNYEHILLFFEEEKIPKASVDRAIRSWPHILGCSISKLKLMVEQFGELDVRNKKLGRVIAKSPQLLLRKPQELLQFVLFLEGLGFDRETVGKLGCRCPEIFAANIDKTLKKKIEFLVELGISNHHLPWVIKKYPELLVSDVDKALRPRYCFYSLQLHYHTGNELDDPALIILRIQYLMEIGLSKREIALMVRRFSPLLGYSIEEVLRPKLKFLLDTMEKPVKDVVDYPRFFSYSLEKKIKPRFWVLKGRNMECSLKDMLGKNDEEFAAEFMGVGRMLISPSSHQ >EOY26256 pep chromosome:Theobroma_cacao_20110822:6:6667093:6673290:1 gene:TCM_027727 transcript:EOY26256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative isoform 2 MSTKSFSTHWNLKSLFPNTCFLHFHRKSFPNALPFSFFQYPRIHCPKTYFPQPPLALSYPSDQTSHNLTQAQESVSEYLQDLGLSLEDSISIASNSPKYTQMLVDGVKELEEWNAWNNSNGEGDHLGFKEMVIYMAKEKGDNGKVAFLESVGLTLSSAMSVARYLSSESLPSLIHKVKYMKEIIFSGGDDKWLSGKNARRMMMYLSIPSDEDVEQTLSFFEKIEARRGGLDMLGSVDATFRFLLESFPRILLLPVESHLIPLVELLENIGVPRGSIGKVFLLFPPVLFCNVQGIKTKASAFEKVGAANKDVGKMLLKYPWILSTSIQNNYEHILLFFEEEKIPKASVDRAIRSWPHILGCSISKLKLMVEQFGELDVRNKKLGRVIAKSPQLLLRKPQELLQFVLFLEGLGFDRETVGKLGCRCPEIFAANIDKTLKKKIEFLVELGISNHHLPWVIKKYPELLVSDVDKALRPRIQYLMEIGLSKREIALMVRRFSPLLGYSIEEVLRPKLKFLLDTMEKPVKDVVDYPRFFSYSLEKKIKPRFWVLKGRNMECSLKDMLGKNDEEFAAEFMGVGRMLISPSSHQ >EOY25945 pep chromosome:Theobroma_cacao_20110822:6:2826870:2840196:-1 gene:TCM_027305 transcript:EOY25945 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 1 isoform 1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAQVPAPLAGWMSNPSTVTHPAVSGGGAIGLGASSIPAALKHPRTPPTNPSVDYPPGDSDHVSKRTRPMGISDEVNLPVNVLPVTFPGHGHSQTFNAPDDLPKTVARTLNQGSSPMSMDFHPKQQTLLLVGTNVGEIALWEVGSREQLVLKNFRVWELSACSMPLQAALAKDPAVSVNRVIWNQPHPEGSLFGVAYSRHIVQIYSYHGGDDVRQHLEIDAHVGGVNDLAFSLPNKQLCVITCGDDKTIKVWDASTGAKQFIFEGHEAPVYSVCPHHKENIQFIFSTAVDGKIKAWLYDNMGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKEGDSFIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNISLLTSIDADGGLPASPRIRFNKDGSLLAVSTNDNGIKILANSDGMRLLRTMENLSYDASRASEAPKPTINSISAAAAAAAAVAATSAGIADRSASVVAIAAMNGDARSLGDVKPRITEESSDKSKIWKLTEISEPSQCRSLRLPENLRVTKISRLIFTNSGNAILALASNAIHLLWKWQRSERNTIGKNILK >EOY25943 pep chromosome:Theobroma_cacao_20110822:6:2830234:2840712:-1 gene:TCM_027305 transcript:EOY25943 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 1 isoform 1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAQVPAPLAGWMSNPSTVTHPAVSGGGAIGLGASSIPAALKHPRTPPTNPSVDYPPGDSDHVSKRTRPMGISDEVNLPVNVLPVTFPGHGHSQTFNAPDDLPKTVARTLNQGSSPMSMDFHPKQQTLLLVGTNVGEIALWEVGSREQLVLKNFRVWELSACSMPLQAALAKDPAVSVNRVIWNQPHPEGSLFGVAYSRHIVQIYSYHGGDDVRQHLEIDAHVGGVNDLAFSLPNKQLCVITCGDDKTIKVWDASTGAKQFIFEGHEAPVYSVCPHHKENIQFIFSTAVDGKIKAWLYDNMGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKEGDSFIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNISLLTSIDADGGLPASPRIRFNKDGSLLAVSTNDNGIKILANSDGMRLLRTMENLSYDASRASEAPKPTINSISAAAAAAAAVAATSAGIADRSASVVAIAAMNGDARSLGDVKPRITEESSDKSKIWKLTEISEPSQCRSLRLPENLRVTKISRLIFTNSGNAILALASNAIHLLWKWQRSERNTIGKATASVPPQLWQPSSGILMTNDVADTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMATFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADSQLCVWNTDGWEKQKARFLQVSAGRTPMAQSDTRVQFHQDQIHFLVVHETQLAIYETTKLECVKQWVPRESSAPITHATFSCDSQLVYASFLDATVCVFSAANLRLRCRINPSAYLPASISSNVHPLVIAAHPSEPNEFALGLSDGGVHVFEPLESENKWGVPPPVENGSASSVAATPSVGAPGPEQAQR >EOY25942 pep chromosome:Theobroma_cacao_20110822:6:2830694:2841036:-1 gene:TCM_027305 transcript:EOY25942 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 1 isoform 1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAQVPAPLAGWMSNPSTVTHPAVSGGGAIGLGASSIPAALKHPRTPPTNPSVDYPPGDSDHVSKRTRPMGISDEVNLPVNVLPVTFPGHGHSQTFNAPDDLPKTVARTLNQGSSPMSMDFHPKQQTLLLVGTNVGEIALWEVGSREQLVLKNFRVWELSACSMPLQAALAKDPAVSVNRVIWNQPHPEGSLFGVAYSRHIVQIYSYHGGDDVRQHLEIDAHVGGVNDLAFSLPNKQLCVITCGDDKTIKVWDASTGAKQFIFEGHEAPVYSVCPHHKENIQFIFSTAVDGKIKAWLYDNMGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKEGDSFIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNISLLTSIDADGGLPASPRIRFNKDGSLLAVSTNDNGIKILANSDGMRLLRTMENLSYDASRASEAPKPTINSISAAAAAAAAVAATSAGIADRSASVVAIAAMNGDARSLGDVKPRITEESSDKSKIWKLTEISEPSQCRSLRLPENLRVTKISRLIFTNSGNAILALASNAIHLLWKWQRSERNTIGKATASVPPQLWQPSSGILMTNDVADTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMATFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADSQLCVWNTDGWEKQKARFLQVSAGRTPMAQSDTRVQFHQDQIHFLVVHETQLAIYETTKLECVKQWVPRESSAPITHATFSCDSQLVYASFLDATVCVFSAANLRLRCRINPSAYLPASISSNVHPLVIAAHPSEPNEFALGLSDGGVHVFEPLESENKWGVPPPVENGSASSVAATPSVGAPGPEQAQR >EOY25946 pep chromosome:Theobroma_cacao_20110822:6:2826321:2840196:-1 gene:TCM_027305 transcript:EOY25946 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 1 isoform 1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAQVPAPLAGWMSNPSTVTHPAVSGGGAIGLGASSIPAALKHPRTPPTNPSVDYPPGDSDHVSKRTRPMGISDEVNLPVNVLPVTFPGHGHSQTFNAPDDLPKTVARTLNQGSSPMSMDFHPKQQTLLLVGTNVGEIALWEVGSREQLVLKNFRVWELSACSMPLQAALAKDPAVSVNRVIWNQPHPEGSLFGVAYSRHIVQIYSYHGGDDVRQHLEIDAHVGGVNDLAFSLPNKQLCVITCGDDKTIKVWDASTGAKQFIFEGHEAPVYSVCPHHKENIQFIFSTAVDGKIKAWLYDNMGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKEGDSFIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNISLLTSIDADGGLPASPRIRFNKDGSLLAVSTNDNGIKILANSDGMRLLRTMENLSYDASRASEAPKPTINSISAAAAAAAAVAATSAGIADRSASVVAIAAMNGDARSLGDVKPRITEESSDKSKIWKLTEISEPSQCRSLRLPENLRVTKISRLIFTNSGNAILALASNAIHLLWKWQRSERNTIGKNILK >EOY25944 pep chromosome:Theobroma_cacao_20110822:6:2831096:2840062:-1 gene:TCM_027305 transcript:EOY25944 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 1 isoform 1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAQVPAPLAGWMSNPSTVTHPAVSGGGAIGLGASSIPAALKHPRTPPTNPSVDYPPGDSDHVSKRTRPMGISDEVNLPVNVLPVTFPGHGHSQTFNAPDDLPKTVARTLNQGSSPMSMDFHPKQQTLLLVGTNVGEIALWEVGSREQLVLKNFRVWELSACSMPLQAALAKDPAVSVNRVIWNQPHPEGSLFGVAYSRHIVQIYSYHGGDDVRQHLEIDAHVGGVNDLAFSLPNKQLCVITCGDDKTIKVWDASTGAKQFIFEGHEAPVYSVCPHHKENIQFIFSTAVDGKIKAWLYDNMGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKEGDSFIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNISLLTSIDADGGLPASPRIRFNKDGSLLAVSTNDNGIKILANSDGMRLLRTMENLSYDASRASEAPKPTINSISAAAAAAAAVAATSAGIADRSASVVAIAAMNGDARSLGDVKPRITEESSDKSKIWKLTEISEPSQCRSLRLPENLRVTKISRLIFTNSGNAILALASNAIHLLWKWQRSERNTIGKATASVPPQLWQPSSGILMTNDVADTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMATFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADSQLCVWNTDGWEKQKARFLQVSAGRTPMAQSDTRVQFHQDQIHFLVVHETQLAIYETTKLECVKQWVPRESSAPITHATFSCDSQLVYASFLDATVCVFSAANLRLRCRINPSAYLPASISSNVHPLVIAAHPSEPNEFALGLSDGGVHVFEPLESENKWGVPPPVENGSASSVAATPSVGAPGPEQAQR >EOY25941 pep chromosome:Theobroma_cacao_20110822:6:2830734:2840712:-1 gene:TCM_027305 transcript:EOY25941 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 1 isoform 1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAQVPAPLAGWMSNPSTVTHPAVSGGGAIGLGASSIPAALKHPRTPPTNPSVDYPPGDSDHVSKRTRPMGISDEVNLPVNVLPVTFPGHGHSQTFNAPDDLPKTVARTLNQGSSPMSMDFHPKQQTLLLVGTNVGEIALWEVGSREQLVLKNFRVWELSACSMPLQAALAKDPAVSVNRVIWNQPHPEGSLFGVAYSRHIVQIYSYHGGDDVRQHLEIDAHVGGVNDLAFSLPNKQLCVITCGDDKTIKVWDASTGAKQFIFEGHEAPVYSVCPHHKENIQFIFSTAVDGKIKAWLYDNMGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKEGDSFIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNISLLTSIDADGGLPASPRIRFNKDGSLLAVSTNDNGIKILANSDGMRLLRTMENLSYDASRASEAPKPTINSISAAAAAAAAVAATSAGIADRSASVVAIAAMNGDARSLGDVKPRITEESSDKSKIWKLTEISEPSQCRSLRLPENLRVTKISRLIFTNSGNAILALASNAIHLLWKWQRSERNTIGKATASVPPQLWQPSSGILMTNDVADTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMATFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADSQLCVWNTDGWEKQKARFLQVSAGRTPMAQSDTRVQFHQDQIHFLVVHETQLAIYETTKLECVKQWVPRESSAPITHATFSCDSQLVYASFLDATVCVFSAANLRLRCRINPSAYLPASISSNVHPLVIAAHPSEPNEFALGLSDGGVHVFEPLESENKWGVPPPVENGSASSVAATPSVGAPGPEQAQR >EOY26031 pep chromosome:Theobroma_cacao_20110822:6:3738198:3739631:-1 gene:TCM_027418 transcript:EOY26031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein isoform 1 MSLLPKSDSIQIREVWNDNLEEEFALIREIVDDYPFVAMDTEFPGIVLRPVGNFKNSYDFHYQTLKDNVDMLKLIQLGLTLSDDQGNLPTCGTDKYCIWQFNFCEFNVNEDVFANDSIELLRQSGIDFKKNNEKGIDAMRFGELLMSSGIVLNDSVHWVTFHSGYDFGYLLKLLTCQNLPDTQVEFFSLINIYFPTLYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTSCTFRKLKENFFSGSLEKYSGVLYGLGIENGQSTY >EOY26030 pep chromosome:Theobroma_cacao_20110822:6:3738377:3739654:-1 gene:TCM_027418 transcript:EOY26030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein isoform 1 MSLLPKSDSIQIREVWNDNLEEEFALIREIVDDYPFVAMDTEFPGIVLRPVGNFKNSYDFHYQTLKDNVDMLKLIQLGLTLSDDQGNLPTCGTDKYCIWQFNFCEFNVNEDVFANDSIELLRQSGIDFKKNNEKGIDAMRFGELLMSSGIVLNDSVHWVTFHSGYDFGYLLKLLTCQNLPDTQVEFFSLINIYFPTLYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTSCTFRKLKENFFSGSLEKYSGVLYGLGIENGQSTY >EOY25616 pep chromosome:Theobroma_cacao_20110822:6:656994:668020:1 gene:TCM_026991 transcript:EOY25616 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC MDSARSWFKKFQQKNENSPKKKKEMENAKDAHKPPIDGPGAPSTATKQKAAAAKQYIENHYKSQMKNLQDRKERRWMLERKLADADITAEEQMSMLKFLEKKETEYMRLQRHKMGVDDFELLTIIGRGAFGEVRLCKEKATGNVYAMKKLQKSEMLRRGQVEHVKAERNLLAEVDSKCIVKLYCSFQDDEYLYLVMEYLPGGDMMTLLMRKDTLTEDEARFYVGQTVLAIESIHKHNYIHRDIKPDNLLLDHNGHMKLSDFGLCKPLHSSSFPDLREDDYGGGRNIKPSMESGKHSNLPPTPRRTQQEQLLHWQKNRRTLAYSTVGTPDYIAPEVLLKRGYGMECDWWSLGAIMFEMLVGYPPFYSEEPLSTCRKIVNWRTHLKFPEEAKLSAEAKDLIRKLLCNVERRLGTKGAHEIKAHPWFQDIEWDRLYQMEAAFIPEVNSELDTQNFEKFEEMGAQVQTSTKSGPWRKMLPSKDANFVGYTYKNVEIVNEHHLSGIAELRKKSNAPKRPSVKSLFETPGPPDPPTKGTFLNLLPTQLEEPESPVPEHQSTRSTQYFRKPLQR >EOY26590 pep chromosome:Theobroma_cacao_20110822:6:14651732:14652768:-1 gene:TCM_028428 transcript:EOY26590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPDSRRWKEILDTYIAPTDSKKISSHRAGITVVGRRATLEKSEKLFTYDGHLVMAANVKHSNMKRWRKAKPTSHFAQLNNTLENDMAMELALNARAVRWVVEPDTCGATDSLWISFYFFSNLMLSQLVCAFSEQNKRNSW >EOY28207 pep chromosome:Theobroma_cacao_20110822:6:24566933:24569388:1 gene:TCM_029839 transcript:EOY28207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 family protein MAATAAAAAPALSSLSSLTVRTPTPPFSFVFPGPLKPFSLSRSFPSLSLIAHAKASDIDTSFFDNVNPEEDVVFDPPTPPEGFTPPPSFDDGPDETEDEIAAAYEELYGPAYSGFSVLGNDVYVMDSKVKKTSAFGKVKKEKVRDGFEERVVQVRRVTKVVKGGKQLHFRAIVVVGDKQGQVGVGVGKAKEVIAAVQKSAVNARRNLITVPMTKYLTFPHRSEGDFGAAKVMLRPASPGTGVIAGGAVRIVLEMAGVENALGKQIGSKNALNNARATVVAVQKMRQFRDVAQERGIPMEELWK >EOY26211 pep chromosome:Theobroma_cacao_20110822:6:5660720:5682108:1 gene:TCM_027634 transcript:EOY26211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSDYGLLLHEGKVILEQGGRGVADVQVKLYASEIEEYMDLSSQDLDFDLNINMIMEERLKEVDEKDSNDDEGDDSVSLFAFMKLKPPSFTGSTVGKDSCRVLDTMERICGTLGALSTRSVTLASFRQ >EOY28447 pep chromosome:Theobroma_cacao_20110822:6:25383312:25384312:1 gene:TCM_030010 transcript:EOY28447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine-binding protein, putative isoform 2 SVEPLVVGRVIGDVLDIFTPAAELTVHYSTKQVHNGCDIKPSSAADKPHVMVDPDAPTPSEPRLREWLHWIVVDIPEGHDATKGKEMVPYMGPQPPTGIHRYILVLFKQERATEGGCQLPDARANFSTRQFAAQNSLGLPVAAVYFNSQKEPAVKKR >EOY28445 pep chromosome:Theobroma_cacao_20110822:6:25383250:25395427:1 gene:TCM_030010 transcript:EOY28445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine-binding protein, putative isoform 2 MARSVEPLVVGRVIGDVLDIFTPAAELTVHYSTKQVHNGCDIKPSSAADKPHVRILSPVVSSSLYTLVMVDPDAPTPSEPRLREWLHWIVVDIPEGHDATKGKEMVPYMGPQPPTGIHRYILVLFKQERATEGGCQLPDARANFSTRQFAAQNSLGLPVAAVYFNSQKEPAVKKR >EOY28446 pep chromosome:Theobroma_cacao_20110822:6:25383334:25394862:1 gene:TCM_030010 transcript:EOY28446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine-binding protein, putative isoform 2 GRVIGDVLDIFTPAAELTVHYSTKQVHNGCDIKPSSAADKPHVRILSPVVSSSLYTLVMVDPDAPTPSEPRLREWLHWIVVDIPEGHDATKGKEMVPYMGPQPPTGIHRYILVLFKQERATEGGCQLPDARANFSTRQFAAQNSLGLPVAAVYFNSQKEPAVKKR >EOY26562 pep chromosome:Theobroma_cacao_20110822:6:13935170:13941093:-1 gene:TCM_047060 transcript:EOY26562 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyase family protein isoform 2 MTPSHSLLNSNMPSGSASLIWFRKGLRIHDNPALEYASRASAFVYPLFVIDPHYMEPDPKAFSPGSTRAGISRIRFLLESLADLDLSLKKLGSRLLVLKGEPSEVLIRCLKEWDVKKICFEYDTDPYYQALDNKIKNYASLAGIEVFSPVSHTLFNPADIIEKNGGRPPLSYQSFLKLAGEPSWASSPLLVELSSVPPVGDVASFEISQVPTLKELGYVQNDQEELTPFRGGESEALRRLRESLSDKEWVANFEKPKGDPSAYIKPATTVLSPYLKQFGCLSSRYFYQCLKDVYKNVKRHTSPPVSLVGQLLWREFFYTVAFGTPNFDKMNGNKICKQIPWNDDDELLAAWREARTGYPWIDAIMVQLREWGWMHHLARHCVACFLTRGDLFLHWEKGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQYNRIYSPTSFGKKYDPHGDYIRHFLPILKDMPKEYIYEPWTAPLSVQNKAKCIIGRDYPKPVVSHDSASKECRRKMGEAYALNKKLNGVVSEDDVKSLRRRLDEDGGQEARGRRQRQKLIS >EOY26563 pep chromosome:Theobroma_cacao_20110822:6:13935049:13941093:-1 gene:TCM_047060 transcript:EOY26563 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyase family protein isoform 2 MTPSHSLLNSNMPSGSASLIWFRKGLRIHDNPALEYASRASAFVYPLFVIDPHYMEPDPKAFSPGSTRAGISRIRFLLESLADLDLSLKKLGSRLLVLKGEPSEVLIRCLKEWDVKKICFEYDTDPYYQALDNKIKNYASLAGIEVFSPVSHTLFNPADIIEKNGGRPPLSYQSFLKLAGEPSWASSPLLVELSSVPPVGDVASFEISQVPTLKELGYVQNDQQEELTPFRGGESEALRRLRESLSDKEWVANFEKPKGDPSAYIKPATTVLSPYLKFGCLSSRYFYQCLKDVYKNVKRHTSPPVSLVGQLLWREFFYTVAFGTPNFDKMNGNKICKQIPWNDDDELLAAWREARTGYPWIDAIMVQLREWGWMHHLARHCVACFLTRGDLFLHWEKGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQYNRIYSPTSFGKKYDPHGDYIRHFLPILKDMPKEYIYEPWTAPLSVQNKAKCIIGRDYPKPVVSHDSASKECRRKMGEAYALNKKLNGVVSEDDVKSLRRRLDEDGGQEARGRRQRQKLIS >EOY26561 pep chromosome:Theobroma_cacao_20110822:6:13934008:13941093:-1 gene:TCM_047060 transcript:EOY26561 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyase family protein isoform 2 MTPSHSLLNSNMPSGSASLIWFRKGLRIHDNPALEYASRASAFVYPLFVIDPHYMEPDPKAFSPGSTRAGISRIRFLLESLADLDLSLKKLGSRLLVLKGEPSEVLIRCLKEWDVKKICFEYDTDPYYQALDNKIKNYASLAGIEVFSPVSHTLFNPADIIEKNGGRPPLSYQSFLKLAGEPSWASSPLLVELSSVPPVGDVASFEISQVPTLKELGYVQNDQEELTPFRGGESEALRRLRESLSDKEWVANFEKPKGDPSAYIKPATTVLSPYLKFGCLSSRYFYQCLKDVYKNVKRHTSPPVSLVGQLLWREFFYTVAFGTPNFDKMNGNKICKQIPWNDDDELLAAWREARTGYPWIDAIMVQLREWGWMHHLARHCVACFLTRGDLFLHWEKGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQYNRIYSPTSFGKKYDPHGDYIRHFLPILKVVSHDSASKECRRKMGEAYALNKKLNGVVSEDDVKSLRRRLDEDGGQEARGRRQRQKLIS >EOY26240 pep chromosome:Theobroma_cacao_20110822:6:6337254:6341071:1 gene:TCM_027699 transcript:EOY26240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDPIILLFCTIFFAAISLGVLLYSKNPNASHPNLPPGRMGLPLIGESLEYLLTGRKGYPEKFLNDRMAKYSSQVFKTSILGESMAVVCGAAGNKFLFSNENKLVTAWWPDSVNKIFPSSTQTSSKEESKKMRKMLPNFLKPEALQRYIGMMDNIAQRHFEASWEGKQEITVFPLAKRYTFWVACKVFLSIEDPEHVSKFADPFNALASGIISVPINLPGTPFRRAINASELIRKELMAIIKQRKIDLAENKAAPNQDILSHMLLATDENGQYLNELNIADRILGLLIGGHDTASAAITFIIKYLAELPDIYNEVYKEQMEIARSKEPGELLNWEDIQKMKYSWNVACEVMRLAPPLQGAFREAITDFTFSGFSIPKGWKLHWNVNSTHKNVECFPEPEKFDPTRFEGNGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHNVMKRFNWEKLLPDEKIIVDPLPMPAKGLPVRLLPHKP >EOY26842 pep chromosome:Theobroma_cacao_20110822:6:18475147:18479045:-1 gene:TCM_028818 transcript:EOY26842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismatase family protein isoform 3 MADKWKQTALIVIDMQKDYILEDKIMAVKGGKAIVPNVIKAVEIARQRGILVVWVVREHDYFGRDVELFRRHFYSPEKGGPTTKGSVGAELVDGLVIKEEDYKLVKTRFSAFFNTNLHIFLQSNGVNNLVVVGVQTPNCIRQTVFDAVAHDYQSVAVIVDATAAATPEVHDVSLT >EOY26841 pep chromosome:Theobroma_cacao_20110822:6:18475360:18479040:-1 gene:TCM_028818 transcript:EOY26841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismatase family protein isoform 3 MADKWKQTALIVIDMQKDYILEDKIMAVKGGKAIVPNVIKAVEIARQRGILVVWVVREHDYFGRDVELFRRHFYSPEKGGPTTKGSVGAELVDGLVIKEEDYKLVKTRFSAFFNTNLHIFLQSNGVNNLVVVGVQTPNCIRQTVFDAVAHDYQSVAVIVDATAAATPEVHDASIFDMKNIGVATPTLQEWCKTNA >EOY26843 pep chromosome:Theobroma_cacao_20110822:6:18475595:18478811:-1 gene:TCM_028818 transcript:EOY26843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismatase family protein isoform 3 MAVKGGKAIVPNVIKAVEIARQRGILVVWVVREHDYFGRDVELFRRHFYSPEKGGPTTKGSVGAELVDGLVIKEEDYKLVKTRFSAFFNTNLHIFLQSNGVNNLVVVGVQTPNCIRQTVFDAVAHDYQSVAVIVDATAAATPEVHDASIFDMKNIGVATPTLQEWCKTNA >EOY27938 pep chromosome:Theobroma_cacao_20110822:6:23666127:23674023:1 gene:TCM_029648 transcript:EOY27938 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MESCGSTAISSSSSFSSILSIQGPSSNVGRKFSKNCMHPQHLSCPLPKPFLQLNGRPKCFLYQQRSAYLSSRTYKGPISAEKQSWDLGRFLKTLYFFNGPPSLAKVVEFIIGKLSGPSPEESAKKMETSSFILVAGATGGVGRRVVDNLRKKGLPVKALVRNEEKARRMLAPEIELIVGDITKESTLAPEYFKGVRKVINAASVIIGPKEGDTPDRAKYSQGIKFFEPEIKGASPEMVEYIGMKNLINAVKESAGLRSGKLLFGFEGQSSKELSWGALDDVVMGGVSESTFQIDWTGGESGKPTGLFKGIVSTANNGGFTSIRTRNFSTPEDLSAYDGLELRLNGDGRRYKLIVRTSTDWDTVGYTTSFDTIGGQWQSICFPFSSLRPVFRARTASDAPAFDPSNVVSLQLMFSKFEYDGKLNPTFVEGPFELPVSSIRAYIKDPITPRFVHVSSAGVTRPDRPGIDLSKQPPAVRLNKDLGFVLTFKLKGEDLIRESGIPYTIVRPCALTEEPAGADLIFDQGDNIMGKISREEIARICIAALESPYACDKTFEVKSVVPFSEPFTVDPENPPPEKDYNIYFKTLKDGFTGKESLEQSAIAV >EOY27940 pep chromosome:Theobroma_cacao_20110822:6:23666127:23674023:1 gene:TCM_029648 transcript:EOY27940 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MESCGSTAISSSSSFSSILSIQGPSSNVGRKFSKNCMHPQHLSCPLPKPFLQLNGRPKCFLYQQRSAYLSSRTYKGPISAEKQSWDLGRFLKTLYFFNGPPSLAKVVEFIIGKLSGPSPEESAKKMETSSFILVAGATGGVGRRVVDNLRKKGLPVKALVRNEEKARRMLAPEIELIVGDITKESTLAPEYFKGVRKVINAASVIIGPKEGDTPDRAKYSQGIKFFEPEIKGASPEMVEYIGMKNLINAVKESAGLRSGKLLFGFEAGQSSKELSWGALDDVVMGGVSESTFQIDWTGGESGKPTGLFKGIVSTANNGGFTSIRTRNFSTPEDLSAYDGLELRLNGDGRRYKLIVRTSTDWDTVGYTTSFDTIGGQWQSICFPFSSLRPVFRARTASDAPAFDPSNVVSLQLMFSKFEYDGKLNPTFVEGPFELPVSSIRAYIKDPITPRFVHVSSAGVTRPDRPGIDLSKQPPAVRLNKDLGFVLTFKLKGEDLIRESGIPYTIVRPCALTEEPAGADLIFDQGDNIMGKISREEIARICIAALESPYACDKTFEVKSVVPFSEPFTVDPENPPPEKDYNIYFKTLKDGFTGKESLEQSAIAV >EOY27939 pep chromosome:Theobroma_cacao_20110822:6:23666242:23672273:1 gene:TCM_029648 transcript:EOY27939 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MESCGSTAISSSSSFSSILSIQGPSSNVGRKFSKNCMHPQHLSCPLPKPFLQLNGRPKCFLYQQRSAYLSSRTYKGPISAEKQSWDLGRFLKTLYFFNGPPSLAKVVEFIIGKLSGPSPEESAKKMETSSFILVAGATGGVGRRVVDNLRKKGLPVKALVRNEEKARRMLAPEIELIVGDITKESTLAPEYFKGVRKVINAASVIIGPKEGDTPDRAKYSQGIKFFEPEIKGASPEMVEYIGMKNLINAVKESAGLRSGKLLFGFEGQSSKELSWGALDDVVMGGVSESTFQIDWTGGESGKPTGLFKGIVSTANNGGFTSIRTRNFSTPEDLSAYDGLELRLNGDGRRYKLIVRTSTDWDTVGYTTSFDTIGGQWQSICFPFSSLRPVFRARTASDAPAFDPSNVVSLQLMFSKFEYDGKLNPTFVEGPFELPVSSIRAYIKDPITPRFVHVSSAGVTRPDRPGIDLSKQPPAVRLNKDLGFVLTFKLKGEDLIRESGIPYTIVRPCALTEEPAGADLIFDQGDNIMGKISREEIARICIAALESPYACDKTFEMGLDT >EOY26140 pep chromosome:Theobroma_cacao_20110822:6:4911421:4912342:1 gene:TCM_027552 transcript:EOY26140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSITAAFTRGTSHATKYQVGALNPLLLTHGTPHVTKYQIRTSSPPLPTHETPYAIKCQVEASSPLFYTQLSINKQL >EOY27986 pep chromosome:Theobroma_cacao_20110822:6:23858640:23860357:-1 gene:TCM_029686 transcript:EOY27986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylem bark cysteine peptidase 3 isoform 2 MFTGSCWAFSSTGAMEGINALVTGNLISLSEQELMDCDSTNYGCDGGYMDYAFEWVINNGGIDSEADYPYEGVDGTCNITKEETKVVSIDGYKDVEESDSALLCAVVQQPVSVGIDASSIDFQLYTGGIFDGSCSDNPDDIDHAVLIVGYGSEDGEDYWIVKNSWGTSWGMDGYFYLKRDTDLPYGVCAVNAMASYPTKESSSPSPYPSPSVPPPPPPPSTPPPPPPPPPPSPSPSECGDFSYCPSDETCCCLFEFYDYCLIYGCCAYENAVCCTGTEYCCPSDYPICDVQEGLCLKNAGDYLGVAAKKRKMAKHKLPWTKTEQTEKTYQPLQWKRNPFAAMR >EOY27985 pep chromosome:Theobroma_cacao_20110822:6:23853833:23862199:-1 gene:TCM_029686 transcript:EOY27985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylem bark cysteine peptidase 3 isoform 2 MGFQRSVLAFVFLILASRTCLSSSLPTEYSILEHDLDAFLSDERVVEIFRQWKEKHQKVYKHVEEAEKRFENFKGNLKYILERNAKRKSTEGGHRVGLNKFADMSNEEFRKAYLAKVKKPINKGSTLSRNMRRKVQSCDAPSSLDWRNYGIVTGVKDQGSCGSCWAFSSTGAMEGINALVTGNLISLSEQELMDCDSTNYGCDGGYMDYAFEWVINNGGIDSEADYPYEGVDGTCNITKEETKVVSIDGYKDVEESDSALLCAVVQQPVSVGIDASSIDFQLYTGGIFDGSCSDNPDDIDHAVLIVGYGSEDGEDYWIVKNSWGTSWGMDGYFYLKRDTDLPYGVCAVNAMASYPTKESSSPSPYPSPSVPPPPPPPSTPPPPPPPPPPSPSPSECGDFSYCPSDETCCCLFEFYDYCLIYGCCAYENAVCCTGTEYCCPSDYPICDVQEGLCLKNAGDYLGVAAKKRKMAKHKLPWTKTEQTEKTYQPLQWKRNPFAAMR >EOY26320 pep chromosome:Theobroma_cacao_20110822:6:7551737:7558595:1 gene:TCM_027815 transcript:EOY26320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 43 MTLMTGFIRRICEGQLLFKCQELEYISLSQNALEGSVPQQIENLTKLIVLYLNHNNLTGGIPSIIESLHFLKFLDLSFNNLTGQLPSALFKCQELEILSLSGNVLEGSVPQEVGNLTKLSELQLYTNNLTGPYSYSNLNLSILKWSTSINSIQLPRVKRGELNFSSKIPSIIGSLPFLMFLDLSFNNLTGRLPPLQPSLRGLSVAQNNLIGEIPSSICNMSSLKYYFILSKNKFHGIIPKCLGDLSNSIEFMDLSMNSFHGKIPGNFHKSCLLRCFFINHNQLEGPLPRSLVNCSKLELLDVANNNLNDTFPN >EOY27088 pep chromosome:Theobroma_cacao_20110822:6:20076684:20086706:1 gene:TCM_029022 transcript:EOY27088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance protein ABC transporter family isoform 2 MASMTTLLGVLAFICEGKLDFGSFCFQRTIIDVINLLFLFVFYLLLLGGSIKKHQSSVVNIRDWISLVVSICCALTSILYLGAGLWNLIAKNDGFNNFSWLVALVRGLIWISLAISLFVQKSQWMRFLITAWWVSFSLLVSALHIEVLFGTHSIEILDIFPWLVNILLLFCALRNFIHLVRKRAEDESLSELLLEEKEEKNQTEICQASFLRKLAFSWINPLLSLGYVRPLALEDIPSIAIEDESNLAYQKFANAWESLVRETSSSDRRNLVLRAITKVFFKENIIIVVCALLRTIAVVALPLLLYAFVNYSNQDEENLQEGLVLLGCLILSKVVESLSQRHWYFDSRRSGMRMRSALMVAVYQKQLKLSSLGRRRHSAGEIVNYIAVDAYRMGECLWWFHSTWSLVLQLFMSIGVLFSVVGLGAIPGLVPLLTCGFLNMPFAKLLQKCQSEFMIAQDERLRTTSEILNSMKIIKLQSWEEKFKGLIESQRGKEFKWLSKQQLFRPYGTVLYWVSPTIVSSVVFLGCALFGSAPLNAGTIFTVLATLRSMAEPVRMLPEALSILIQVKVSFDRINTFLLDDELNNNEVRKIPLQNSDRSVKIQAGNFSWDPEITSPTLKSLDLEIKRGQKIAVCGPVGAGKSSLLYAVLGEIPKLSGSVHVFESIAYVSQTSWIQSGTIRDNILYGKPMDADKYEKAIKACALDKDINSFDHGDLTEIGQRGINMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAVLFNDCVMTALEKKTVILVTHQVEFLSEVDRILVMEGGKITQSGSYEELLKAGTAFQQLVNAHRDAITVLGSLNSEGQGESQGLAVVRPEMFNGSYPTKQNSEGEISVKGPPGVQLTQDEEKEIGDVGWKPFLDYVSVSKGSLHLSLSILTQSTFVILQAASTYWLAFAIQIPNMSSSMLIGVYTGIATLSAVFVYFRSYYAAHLGLKASKAFFSGLTNAIFKAPMLFFDSTPVGRILTRASSDMSILDFDIPFAIIFVAAGVTEVIATIGIMAFITWQVLIVAILAMVAVNYIQGYYMSSARELIRVNGTTKAPVMNYAAETSLGVVTIRAFNMVDRFFKNYLKLVDTDATLFFLSNAAMEWLVLRIETLQNLTLFTAAFFLLLLPKSQVTPGLVGLSLSYALSLTGTQIFASRWYCNLSNYIISVERIKQFMHLPAEPPAIIEDNRPPSSWPPKGRIELQELKIRYRPNAPLVLKGISCTFREGTRVGVVGRTGSGKTTLISALFRLVEPASGKILIDGLDICSMGLKDLRMKLSIIPQEPTLFRGSIRTNLDPLGLYSDDEIWKALEKCQLKTTISGLPNKLDSSVSDEGENWSVGQRQLFCLGRVLLKRNRILVLDEATASIDSATDAILQRVIRQEFSNCTVITVAHRVPTVIDSDMVMVLSYGKLLEYDEPSNLMEINSSFSKLVAEYWSSCRRNSYQNFSSYQ >EOY27089 pep chromosome:Theobroma_cacao_20110822:6:20079386:20085949:1 gene:TCM_029022 transcript:EOY27089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance protein ABC transporter family isoform 2 MRFLITAWWVSFSLLVSALHIEVLFGTHSIEILDIFPWLVNILLLFCALRNFIHLVRKRAEDESLSELLLEEKEEKNQTEICQASFLRKLAFSWINPLLSLGYVRPLALEDIPSIAIEDESNLAYQKFANAWESLVRETSSSDRRNLVLRAITKVFFKENIIIVVCALLRTIAVVALPLLLYAFVNYSNQDEENLQEGLVLLGCLILSKVVESLSQRHWYFDSRRSGMRMRSALMVAVYQKQLKLSSLGRRRHSAGEIVNYIAVDAYRMGECLWWFHSTWSLVLQLFMSIGVLFSVVGLGAIPGLVPLLTCGFLNMPFAKLLQKCQSEFMIAQDERLRTTSEILNSMKIIKLQSWEEKFKGLIESQRGKEFKWLSKQQLFRPYGTVLYWVSPTIVSSVVFLGCALFGSAPLNAGTIFTVLATLRSMAEPVRMLPEALSILIQVKVSFDRINTFLLDDELNNNEVRKIPLQNSDRSVKIQAGNFSWDPEITSPTLKSLDLEIKRGQKIAVCGPVGAGKSSLLYAVLGEIPKLSGSVHVFESIAYVSQTSWIQSGTIRDNILYGKPMDADKYEKAIKACALDKDINSFDHGDLTEIGQRGINMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAVLFNDCVMTALEKKTVILVTHQVEFLSEVDRILVMEGGKITQSGSYEELLKAGTAFQQLVNAHRDAITVLGSLNSEGQGESQGLAVVRPEMFNGSYPTKQNSEGEISVKGPPGVQLTQDEEKEIGDVGWKPFLDYVSVSKGSLHLSLSILTQSTFVILQAASTYWLAFAIQIPNMSSSMLIGVYTGIATLSAVFVYFRSYYAAHLGLKASKAFFSGLTNAIFKAPMLFFDSTPVGRILTRASSDMSILDFDIPFAIIFVAAGVTEVIATIGIMAFITWQVLIVAILAMVAVNYIQGYYMSSARELIRVNGTTKAPVMNYAAETSLGVVTIRAFNMVDRFFKNYLKLVDTDATLFFLSNAAMEWLVLRIETLQNLTLFTAAFFLLLLPKSQVTPGLVGLSLSYALSLTGTQIFASRWYCNLSNYIISVERIKQFMHLPAEPPAIIEDNRPPSSWPPKGRIELQELKIRYRPNAPLVLKGISCTFREGTRVGVVGRTGSGKTTLISALFRLVEPASGKILIDGLDICSMGLKDLRMKLSIIPQEPTLFRGSIRTNLDPLGLYSDDEIWKALEKCQLKTTISGLPNKLDSSVSDEGENWSVGQRQLFCLGRVLLKRNRILVLDEATASIDSATDAILQRVIRQEFSNCTVITVAHRVPTVIDSDMVMVLSYGKLLEYDEPSNLMEINSSFSKLVAEYWSSCRRNSYQNFSSYQ >EOY27841 pep chromosome:Theobroma_cacao_20110822:6:23283030:23288763:-1 gene:TCM_029577 transcript:EOY27841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endo-transglycosylase MGNLRVSSMLVLSLIVGSIIAAFANNFHQDVEITWGGRHAQILGRQGSLLTLSMDKTSRGAGFESTKDFLFGRFNMQMKLIAGNSAGTVTTFYLSSEGPSHDEIDLEFLGNKSGAPYTLHTNVFSHGQGGREEEFHLWFDPTKHFHAYSIVWNPQNIIILVDNIPIRVFSNQESIGVPYPNHQRMKVYASLWDADDWATRGGRVKTDWSKAPFTAYYRNFVASSAWEMQGLSARGRQLLTWVQKRYRIYNYCNDLKRKQRHGRPPECGHGPSEQQER >EOY28936 pep chromosome:Theobroma_cacao_20110822:6:26917056:26920087:1 gene:TCM_030401 transcript:EOY28936 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein POPTRDRAFT_818956 isoform 2 MNYLGVGVSPGNVPVYHATNLKVIDRRVRVAELVLRCVICVLSVLAAVLVATDTQVKEIFSIQKKARFTDMKALVFLVVANGVAAAYSLIQGVRCVVAMVRGSVLFSKPFAWAIFSGDQALAYLTVAAVGAAAQSAVFGKLGQTELQWLKICSMYGKFCNQVGDGVAMALLVSLGMVALSCISAFSLFRLYGSTKARNNSRR >EOY28937 pep chromosome:Theobroma_cacao_20110822:6:26916902:26919491:1 gene:TCM_030401 transcript:EOY28937 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein POPTRDRAFT_818956 isoform 2 MNYLGVGVSPGNVPVYHATNLKVIDRRVRVAELVLRCVICVLSVLAAVLVATDTQVKEIFSIQKKARFTDMKALVFGGSQWGSCSLLIDTRGALCCGYGERKCAFQQAFCLGHFLWRSVNRRLMLDLKCWSVSWYEKNNDLMHQTEASRGFENPTNAGVERRKSCRKMLANNALAYLTVAAVGAAAQSAVFGKLGQTELQWLKICSMYG >EOY27006 pep chromosome:Theobroma_cacao_20110822:6:19698414:19699988:-1 gene:TCM_028962 transcript:EOY27006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSGTNKEGSQMGRTIMVLQRDRVEDRTWRNIWKNLMPYRIEIFCWQVLHERVAVKQELAKKNLIDQEAAVCGLFKNESELVRHLFFHCLEVWHVWMRWCSEWGVTWVVLEDLVISFNTWNAGPVRKGEFKIWCMAFYAILWSVWLYRNDMVFRRATWNADQVFELVKLKVATWAQAKWPFEYGVVLDTFRYPAEGTMVKKRKITRIVEEWSKPHKG >EOY25639 pep chromosome:Theobroma_cacao_20110822:6:800820:806883:1 gene:TCM_027015 transcript:EOY25639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein MDIKPFLHEHHLLYDDYDGSEALCNICNQKVRGMAYCCERCKFSLHDSCAHQQLPPQISDPCHLQHNLTLERICGFDFDASGDESAFYRCVHCNFNYHFECLTIPHSTSHKYHRHDLMLMDSFIEDVSEEYYCDICEEERKPKHSVFCCKKCKFVAHIECALNKVVDTKLDQSLTFSLLDNKASTSKVQIEHFDHQHPLSYNETIEQNESLLCNACRQEIFYQHYACEDCKYYLHETCTTLPYEVSHPLHCQHLLKLFTDIVEFTCHGCREHSGGFAYMCLPCDFQLDVKCATTPIPPQNERQKLKEMEKVSKLCPFNQNHKLDFFNRRLNFKDLVLECDACKLPILGPGYTCRDCFNIKIHESCLALMREMQHTFHLLHPLHPQIGDWENCSACRFKIIESIGYSCRQCDFHLHLHCANSLKLALKIKSHMHNLYYFGPDYEKSYQLCNKCKSYIGKEPFYYCVECNMNLHLKCVPIPCSIKSKCHMHWLTLKNHFVEDDSGEYYCDICEEERNSKNHCYYCEECAGQFVAHIECVFLKDFEFTNGNFHEISNLKDVDSPIECSFMDKLLSQPHAEVYLNQNRMKYWVDKKLNKYCFMLFARNLTITWSEDHRYWHWSYQRETNSDLLINVVELLDVCWLEMHVKFNVKKLSPKTLYGLVFVLMLTKEAYGWEHLVNFGFTLPNGYKVEHKESLKSKPRGEWIEIIVGEFTTSSEIVGELDIYCHEYDVLFWKRGLIVKGVAILPKN >EOY26967 pep chromosome:Theobroma_cacao_20110822:6:19402767:19421801:-1 gene:TCM_028919 transcript:EOY26967 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent peptidases,nucleotide binding,serine-type endopeptidases,DNA helicases,ATP binding,damaged DNA binding,nucleoside-triphosphatases isoform 1 MKAIRSIYYTHKHFLLLNPTRIPISISFLSRHFHLTNPRFALNFPETDGTDKTPRVWTVYEPLTGELSIRSSKQKSTNQDVDSGSGDEENADFETERTYGKAKNANWSNGTKIQKGVSGSNDRARYSPKENTDGMSRKKTFSVNGLGLDIVGNKKKGKGKVRWVCEDCGYSDGQWWGVCRSCDRSGTMKRFTEGETKNRGLEFSETVLRSWLPKDAGDVEPVRLMDVNCGIKKMDYRIPLLGPFGNEVARVLGGGLVPGSLVLIGGDPGVGKSTLLLQMAALIAEGQDSDEPASVVYVSGEESVEQISSRAERMKIGANDLFLYSGTDIEDILMKIQPLSPRALIVDSIQTVYLKEVTGSAGGLSQVRECTSALLRFAKKTNIPVLLVGHVTKSGDIAGPRVLEHIVDAVLYLEGEKCSSHRLLRSVKNRFGSTDELGVFEMSQLGLQAVSNPSEMFLSDQNSDSEFLAGLAVAVIMDGSRAFLIEIQALCVSSSTVSRHVNGIQASRADMIISVLAKQAGLKIQENAVFLNVVSGVSLTETAGDLAIAASICSSFLEFPIPNGVAFIGEIGLGGELRMVPRMDKRVSTVAKLGYKKCIVPMSAEKSLATLDCGEMEIIGCKDLKEVINNVFTKH >EOY26966 pep chromosome:Theobroma_cacao_20110822:6:19402888:19411178:-1 gene:TCM_028919 transcript:EOY26966 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent peptidases,nucleotide binding,serine-type endopeptidases,DNA helicases,ATP binding,damaged DNA binding,nucleoside-triphosphatases isoform 1 MKAIRSIYYTHKHFLLLNPTRIPISISFLSRHFHLTNPRFALNFPETDGTDKTPRVWTVYEPLTGELSIRSSKQKSTNQDVDSGSGDEENADFETERTYGKAKNANWSNGTKIQKGVSGSNDRARYSPKENTDGMSRKKTFSVNGLGLDIVGNKKKGKGKVRWVCEDCGYSDGQWWGVCRSCDRSGTMKRFTEGETKNRGLEFSETVLRSWLPKDAGDVEPVRLMDVNCGIKKMDYRIPLLGPFGNEVARVLGGGLVPGSLVLIGGDPGVGKSTLLLQMAALIAEGQDSDEPASVVYVSGEESVEQISSRAERMKIGANDLFLYSGTDIEDILMKIQPLSPRALIVDSIQTVYLKEVTGSAGGLSQVRECTSALLRFAKKTNIPVLLVGHVTKSGDIAGPRVLEHIVDAVLYLEGEKCSSHRLLRSVKNRFGSTDELGVFEMSQLGLQAVSNPSEMFLSDQNSDSEFLAGLAVAVIMDGSRAFLIEIQALCVSSSTVSRHVNGIQASRADMIISVLAKQAGLKIQENAVFLNVVSGVSLTETAGDLAIAASICSSFLEFPIPNGVAFIGEIGLGGELRMVPRMDKRVSTVAKLGYKKCIVPMSAEKSLATLDCGEMEIIGCKDLKEVINNVFTKH >EOY26969 pep chromosome:Theobroma_cacao_20110822:6:19404140:19411401:-1 gene:TCM_028919 transcript:EOY26969 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent peptidases,nucleotide binding,serine-type endopeptidases,DNA helicases,ATP binding,damaged DNA binding,nucleoside-triphosphatases isoform 1 MKAIRSIYYTHKHFLLLNPTRIPISISFLSRHFHLTNPRFALNFPETDGTDKTPRVWTVYEPLTGELSIRSSKQKSTNQDVDSGSGDEENADFETERTYGKAKNANWSNGTKIQKGVSGSNDRARYSPKENTDGMSRKKTFSVNGLGLDIVGNKKKGKGKVRWVCEDCGYSDGQWWGVCRSCDRSGTMKRFTEGETKNRGLEFSETVLRSWLPKDAGDVEPVRLMDVNCGIKKMDYRIPLLGPFGNEVARVLGGGLVPGSLVLIGGDPGVGKSTLLLQMAALIAEGQDSDEPASVVYVSGEESVEQISSRAERMKIGANDLFLYSGTDIEDILMKIQPLSPRALIVDSIQTVYLKEVTGSAGGLSQVRECTSALLRFAKKTNIPVLLVGHVTKSGDIAGPRVLEHIVDAVLYLEVGGKVLISSFASICEESFWVN >EOY26968 pep chromosome:Theobroma_cacao_20110822:6:19401262:19411491:-1 gene:TCM_028919 transcript:EOY26968 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent peptidases,nucleotide binding,serine-type endopeptidases,DNA helicases,ATP binding,damaged DNA binding,nucleoside-triphosphatases isoform 1 MKAIRSIYYTHKHFLLLNPTRIPISISFLSRHFHLTNPRFALNFPETDGTDKTPRVWTVYEPLTGELSIRSSKQKSTNQDVDSGSGDEENADFETERTYGKAKNANWSNGTKIQKGVSGSNDRARYSPKENTDGMSRKKTFSVNGLGLDIVGNKKKGKGKVRWVCEDCGYSDGQWWGVCRSCDRSGTMKRFTEGETKNRGLEFSETVLRSWLPKDAGDVEPVRLMDVNCGIKKMDYRIPLLGPFGNEVARVLGGGLVPGSLVLIGGDPGVGKSTLLLQMAALIAEGQDSDEPASVVYVSGEESVEQISSRAERMKIGANDLFLYSGTDIEDILMKIQPLSPRALIVDSIQTVYLKEVTGSAGGLSQVRECTSALLRFAKKTNIPVLLVGHVTKSGDIAGPRVLEHIVDAVLYLEGEKCSSHRLLRSVKNRFGSTDELGVFEMSQLGLQAVSNPSEMFLSDQNSDSEFLAGLAVAVIMDGSRAFLIEIQALCVSSSTVSRHVNGIQASRADMIISVLAKQAGLKIQENLLRVSYSQWSCVHW >EOY26265 pep chromosome:Theobroma_cacao_20110822:6:6818206:6822096:1 gene:TCM_027742 transcript:EOY26265 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MGSRNASELNLISDTDVMIDILLRLPLKTLMGCKCVCKWWNNLISDPTFKSNYSRRNPQYYVSGFFLQNFLFLELRSELLFFPYEGQIDAAPEPSLSFIEDDKGVEGVRIEDSCNGLLLCSSFPGQEKHRPYYICKPTTKQYLPLPYLECRNVFSSTIAYDPNKSPHYKIVCICASYLSENHCQIKIFSPVTGSWKVSGKPFPVFDEGMLLNRGVFFNGILHWIGRRNLALRFDLEREVMLTMPMPPIPEGWTERKVRYFGESGGHLFLIETYGALTAGIDVKEMKSDYSGWFVKYHLNLDTVAFHSPGIRRNYKLAILHIAHQHVGDEDESFMVIHVPGEFVSFKLKDNTLKELQTNNQVNEDLGLWYSWEGVYPYSNTDCYL >EOY27340 pep chromosome:Theobroma_cacao_20110822:6:21308061:21321247:-1 gene:TCM_029207 transcript:EOY27340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKSDTLLDYAVFQLSPKRSRCELFVSSNGNTEKLASGLVKPFVTHLKVAEEQVALSIQSIKLEIEKRKNAETWFTKGTLERFVRFVSTPEVLELVNTFDAEMSQLEAAQRIYSQGVGDQPSGALGGDGAGMTAAADATKKELLRAIDVRLITVQQDLATAFARASAAGFNSDTVSELQQFADRFGAHRLHEACTKFISLCQRRPELISPWKPGVDDQVVRASWGSDMSIDDPNEDQIGSHVNSRSHQPPQNKHQEQQLQPNATQTQHHIDQSKPAISQQPKPSITTQQRSQNENKEEEKKDEGVTESSPSQVSQPARRLSVQDRINLFENKQKESSSSGGKPIAVGKSVELRRLSSEVSSAPAVVEKAVLRRWSGASDMSIDLGNDKKDGSTDSPLCTPSSSSASQGKSNVFQGLSEDKEQKDEKGLSDKVSSVKVEPKSGSGRDADSGLKDHGEVQVQVGNSLGKEEDVGLKGRMNLKDQLGSQYNQYHQSFTSKSEQLELGDQVVSQEKVKGSLTGERGGSEVQSRVFPDKAVIVGVKNQPTSQAQVGVADTVGDAMSEGELKNRVEAQGEDQSTMHLRLRAQGHSRTLSGQFEGSIGLKTKEAQYIGTEGDQLTPQPRWRAFTGEVEELGKKDVASSEKQISKVEDSGAQKMKFKKQLPVGPEQSKKSLGRRDDSGSLYVNNKSVLGKKVPESEESFSAPKMQEPTQRIRQTRGNQELNDELKMKANELEKLFAEHKLRVPGDQFSSVRRSKPADVLIEQEASSQYKKPVAVDVSPAQMPDKNSVSEPMGSLSNMAKFCTPLTKMVESQECADTLTQNLSGISFSDDSRGRFYERYMQKRDAKLREEWGSKRAEKEAKLKAMQDILERSRAEMKAKFSGSADRQDSVSSARRRAEKVRSFNFQSQHPISSIQSEEDEDLSEFSDQKYYGQDRSFNEVSLPDGSSRSSNTKKLLPNRNVSLSTPRTMAAAVPRSAAKVANASSGRRRAQSENPLVQSVPNFSDLRKENTKPSSGAAKMTSRSQVRNYARTKSTNEEIALGKDDQPRRSQSLRKSSAGPVEFSDLSALNSDGIVLAPLKFDKEQMEQSFSDKFLQNVETKTFLRKGNGIGPGAGVNIAKFKASEASVTPKEEGESDELAFEADDSMDMAKEDEEDELESMVVEDSADMENGRSRLSQESDKLDNSGSENGDCLRSLSQVDPASVAELPAAVPTTFHTAVSLQDSPEESPVSWNSRLHHPFSYPHETSDIDASMDSPIGSPASWNSHSLAQTEVDAARMRKKWGSAQKPFLVANATHNQSRRDVTKGFKRLLKFGRKSRGTDSLVDWISATTSEGDDDTEDGRDPANRSSEDLRKSRMGFSQGHPSDDGFNESELFNDQTPRSFFSLSSFRSKGSDSKPR >EOY27337 pep chromosome:Theobroma_cacao_20110822:6:21308017:21321500:-1 gene:TCM_029207 transcript:EOY27337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKSDTLLDYAVFQLSPKRSRCELFVSSNGNTEKLASGLVKPFVTHLKVAEEQVALSIQSIKLEIEKRKNAETWFTKGTLERFVRFVSTPEVLELVNTFDAEMSQLEAAQRIYSQGVGDQPSGALGGDGAGMTAAADATKKELLRAIDVRLITVQQDLATAFARASAAGFNSDTVSELQQFADRFGAHRLHEACTKFISLCQRRPELISPWKPGVDDQVVRASWGSDMSIDDPNEDQIGSHVNSRSHQPPQNKHQEQQLQPNATQTQHHIDQSKPAISQQPKPSITTQQRSQNENKEEEKKDEGVTESSPSQVSQPARRLSVQDRINLFENKQKESSSSGGKPIAVGKSVELRRLSSEVSSAPAVVEKAVLRRWSGASDMSIDLGNDKKDGSTDSPLCTPSSSSASQGKSNVFQGLSEDKEQKDEKGLSDKVSSVKVEPKSGSGRDADSGLKDHGEVQVQVGNSLGKEEDVGLKGRMNLKDQLGSQYNQYHQSFTSKSEQLELGDQVVSQEKVKGSLTGERGGSEVQSRVFPDKAVIVGVKNQPTSQAQVGVADTVGDAMSEGELKNRVEAQGEDQSTMHLRLRAQGHSRTLSGQFEGSIGLKTKEAQYIGTEGDQLTPQPRWRAFTGEVEELGKKDVASSEKQISKVEDSGAQKMKFKKQLPVGPEQSKKSLGRRDDSGSLYVNNKSVLGKKVPESEESFSAPKMQEPTQRIRQTRGNQELNDELKMKANELEKLFAEHKLRVPGDQFSSVRRSKPADVLIEQEASSQYKKPVAVDVSPAQMPDKNSVSEPMGSLSNMAKFCTPLTKMVESQECADTLTQNLSGISFSDDSRGRFYERYMQKRDAKLREEWGSKRAEKEAKLKAMQDILERSRAEMKAKFSGSADRQDSVSSARRRAEKVRSFNFQSQHPISSIQSEEDEDLSEFSDQKYYGQDRSFNEVSLPDGSSRSSNTKKLLPNRNVSLSTPRTMAAAVPRSAAKVANASSGRRRAQSENPLVQSVPNFSDLRKENTKPSSGAAKMTSRSQVRNYARTKSTNEEIALGKDDQPRRSQSLRKSSAGPVEFSDLSALNSDGIVLAPLKFDKEQMEQSFSDKFLQNVETKTFLRKGNGIGPGAGVNIAKFKASEASVTPKEEGESDELAFEADDSMDMAKEDEEDELESMVVEDSADMENGRSRLSQESDKLDNSGSENGDCLRSLSQVDPASVAELPAAVPTTFHTAVSLQDSPEESPVSWNSRLHHPFSYPHETSDIDASMDSPIGSPASWNSHSLAQTEVDAARMRKKWGSAQKPFLVANATHNQSRRDVTKGFKRLLKFGRKSRGTDSLVDWISATTSEGDDDTEDGRDPANRSSEDLRKSRMGFSQGHPSDDGFNESELFNDQIQSLHSSIPAPPANFKLREDHMSGSSIKAPRSFFSLSSFRSKGSDSKPR >EOY27339 pep chromosome:Theobroma_cacao_20110822:6:21308017:21321503:-1 gene:TCM_029207 transcript:EOY27339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKSDTLLDYAVFQLSPKRSRCELFVSSNGNTEKLASGLVKPFVTHLKVAEEQVALSIQSIKLEIEKRKNAETWFTKGTLERFVRFVSTPEVLELVNTFDAEMSQLEAAQRIYSQGVGDQPSGALGGDGAGMTAAADATKKELLRAIDVRLITVQQDLATAFARASAAGFNSDTVSELQQFADRFGAHRLHEACTKFISLCQRRPELISPWKPGVDDQVVRASWGSDMSIDDPNEDQIGSHVNSRSHQPPQNKHQEQQLQPNATQTQHHIDQSKPAISQQPKPSITTQQRSQNENKEEEKKDEGVTESSPSQVSQPARRLSVQDRINLFENKQKESSSSGGKPIAVGKSVELRRLSSEVSSAPAVVEKAVLRRWSGASDMSIDLGNDKKDGSTDSPLCTPSSSSASQGKSNVFQGLSEDKEQKDEKGLSDKVSSVKVEPKSGSGRDADSGLKDHGEVQVQVGNSLGKEEDVGLKGRMNLKDQLGSQYNQYHQSFTSKSEQLELGDQVVSQEKVKGSLTGERGGSEVQSRVFPDKAVIVGVKNQPTSQAQVGVADTVGDAMSEGELKNRVEAQGEDQSTMHLRLRAQGHSRTLSGQFEGSIGLKTKEAQYIGTEGDQLTPQPRWRAFTGEVEELGKKDVASSEKQISKVEDSGAQKMKFKKQLPVGPEQSKKSLGRRDDSGSLYVNNKSVLGKKVPESEESFSAPKMQEPTQRIRQTRGNQELNDELKMKANELEKLFAEHKLRVPGDQFSSVRRSKPADVLIEQEASSQYKKPVAVDVSPAQMPDKNSVSEPMGSLSNMAKFCTPLTKMVESQECADTLTQNLSGISFSDDSRGRFYERYMQKRDAKLREEWGSKRAEKEAKLKAMQDILERSRAEMKAKFSGSADRQDSVSSARRRAEKVRSFNFQLCIWQHPISSIQSEEDEDLSEFSDQKYYGQDRSFNEVSLPDGSSRSSNTKKLLPNRNVSLSTPRTMAAAVPRSAAKVANASSGRRRAQSENPLVQSVPNFSDLRKENTKPSSGAAKMTSRSQVRNYARTKSTNEEIALGKDDQPRRSQSLRKSSAGPVEFSDLSALNSDGIVLAPLKFDKEQMEQSFSDKFLQNVETKTFLRKGNGIGPGAGVNIAKFKASEASVTPKEEGESDELAFEADDSMDMAKEDEEDELESMVVEDSADMENGRSRLSQESDKLDNSGSENGDCLRSLSQVDPASVAELPAAVPTTFHTAVSLQDSPEESPVSWNSRLHHPFSYPHETSDIDASMDSPIGSPASWNSHSLAQTEVDAARMRKKWGSAQKPFLVANATHNQSRRDVTKGFKRLLKFGRKSRGTDSLVDWISATTSEGDDDTEDGRDPANRSSEDLRKSRMGFSQGHPSDDGFNESELFNDQIQSLHSSIPAPPANFKLREDHMSGSSIKAPRSFFSLSSFRSKGSDSKPR >EOY27338 pep chromosome:Theobroma_cacao_20110822:6:21308481:21321503:-1 gene:TCM_029207 transcript:EOY27338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKSDTLLDYAVFQLSPKRSRCELFVSSNGNTEKLASGLVKPFVTHLKVAEEQVALSIQSIKLEIEKRKNAETWFTKGTLERFVRFVSTPEVLELVNTFDAEMSQLEAAQRIYSQGVGDQPSGALGGDGAGMTAAADATKKELLRAIDVRLITVQQDLATAFARASAAGFNSDTVSELQQFADRFGAHRLHEACTKFISLCQRRPELISPWKPGVDDQVVRASWGSDMSIDDPNEDQIGSHVNSRSHQPPQNKHQEQQLQPNATQTQHHIDQSKPAISQQPKPSITTQQRSQNENKEEEKKDEGVTESSPSQVSQPARRLSVQDRINLFENKQKESSSSGGKPIAVGKSVELRRLSSEVSSAPAVVEKAVLRRWSGASDMSIDLGNDKKDGSTDSPLCTPSSSSASQGKSNVFQGLSEDKEQKDEKGLSDKVSSVKVEPKSGSGRDADSGLKDHGEVQVQVGNSLGKEEDVGLKGRMNLKDQLGSQYNQYHQSFTSKSEQLELGDQVVSQEKVKGSLTGERGGSEVQSRVFPDKAVIVGVKNQPTSQAQVGVADTVGDAMSEGELKNRVEAQGEDQSTMHLRLRAQGHSRTLSGQFEGSIGLKTKEAQYIGTEGDQLTPQPRWRAFTGEVEELGKKDVASSEKQISKVEDSGAQKMKFKKQLPVGPEQSKKSLGRRDDSGSLYVNNKSVLGKKVPESEESFSAPKMQEPTQRIRQTRGNQELNDELKMKANELEKLFAEHKLRVPGDQFSSVRRSKPADVLIEQEASSQYKKPVAVDVSPAQMPDKNSVSEPMGSLSNMAKFCTPLTKMVESQECADTLTQNLSGISFSDDSRGRFYERYMQKRDAKLREEWGSKRAEKEAKLKAMQDILERSRAEMKAKFSGSADRQDSVSSARRRAEKVRSFNFQSQHPISSIQSEEDEDLSEFSDQKYYGQDRSFNEVSLPDGSSRSSNTKKLLPNRNVSLSTPRTMAAAVPRSAAKVANASSGRRRAQSENPLVQSVPNFSDLRKENTKPSSGAAKMTSRSQVRNYARTKSTNEEIALGKDDQPRRSQSLRKSSAGPVEFSDLSALNSDGIVLAPLKFDKEQMEQSFSDKFLQNVETKTFLRKGNGIGPGAGVNIAKFKASEASVTPKEEGESDELAFEADDSMDMAKEDEEDELESMVVEDSADMENGRSRLSQESDKLDNSGSENGDCLRSLSQVDPASVAELPAAVPTTFHTAVSLQDSPEESPVSWNSRLHHPFSYPHETSDIDASMDSPIGSPASWNSHSLAQTEVDAARMRKKWGSAQKPFLVANATHNQSRRDVTKGFKRLLKFGRKSRGTDSLVDWISATTSEGDDDTEDGRDPANRSSEDLRKSRMGFSQGHPSDDGFNESELFNDQIQSLHSSIPAPPANFKLREDHMSGSSIKAPRSFFSLSSFRSKGSDSKPR >EOY27342 pep chromosome:Theobroma_cacao_20110822:6:21308976:21321245:-1 gene:TCM_029207 transcript:EOY27342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKSDTLLDYAVFQLSPKRSRCELFVSSNGNTEKLASGLVKPFVTHLKVAEEQVALSIQSIKLEIEKRKNAETWFTKGTLERFVRFVSTPEVLELVNTFDAEMSQLEAAQRIYSQGVGDQPSGALGGDGAGMTAAADATKKELLRAIDVRLITVQQDLATAFARASAAGFNSDTVSELQQFADRFGAHRLHEACTKFISLCQRRPELISPWKPGVDDQVVRASWGSDMSIDDPNEDQIGSHVNSRSHQPPQNKHQEQQLQPNATQTQHHIDQSKPAISQQPKPSITTQQRSQNENKEEEKKDEGVTESSPSQVSQPARRLSVQDRINLFENKQKESSSSGGKPIAVGKSVELRRLSSEVSSAPAVVEKAVLRRWSGASDMSIDLGNDKKDGSTDSPLCTPSSSSASQGKSNVFQGLSEDKEQKDEKGLSDKVSSVKVEPKSGSGRDADSGLKDHGEVQVQVGNSLGKEEDVGLKGRMNLKDQLGSQYNQYHQSFTSKSEQLELGDQVVSQEKVKGSLTGERGGSEVQSRVFPDKAVIVGVKNQPTSQAQVGVADTVGDAMSEGELKNRVEAQGEDQSTMHLRLRAQGHSRTLSGQFEGSIGLKTKEAQYIGTEGDQLTPQPRWRAFTGEVEELGKKDVASSEKQISKVEDSGAQKMKFKKQLPVGPEQSKKSLGRRDDSGSLYVNNKSVLGKKVPESEESFSAPKMQEPTQRIRQTRGNQELNDELKMKANELEKLFAEHKLRVPGDQFSSVRRSKPADVLIEQEASSQYKKPVAVDVSPAQMPDKNSVSEPMGSLSNMAKFCTPLTKMVESQECADTLTQNLSGISFSDDSRGRFYERYMQKRDAKLREEWGSKRAEKEAKLKAMQDILERSRAEMKAKFSGSADRQDSVSSARRRAEKVRSFNFQSQHPISSIQSEEDEDLSEFSDQKYYGQDRSFNEVSLPDGSSRSSNTKKLLPNRNVSLSTPRTMAAAVPRSAAKVANASSGRRRAQSENPLVQSVPNFSDLRKENTKPSSGAAKMTSRSQVRNYARTKSTNEEIALGKDDQPRRSQSLRKSSAGPVEFSDLSALNSDGIVLAPLKFDKEQMEQSFSDKFLQNVETKTFLRKGNGIGPGAGVNIAKFKASEASVTPKEEGESDELAFEADDSMDMAKEDEEDELESMVVEDSADMENGRSRLSQESDKLDNSGSENGDCLRSLSQVDPASVAELPAAVPTTFHTAVSLQDSPEESPVSWNSRLHHPFSYPHETSDIDASMDSPIGSPASWNSHSLAQTEVDAARMRKKWGSAQKPFLVANATHNQSRRDVTKGFKRLLKFGRKSRGTDSLVDWISATTSEGDDDTEDGRDPANRSSEDLRKSRMGFSQGHPSDDGFNESELFNDQIQSLHSSIPAPPANFKLREDHMSGSSIKGDLLLMLM >EOY27341 pep chromosome:Theobroma_cacao_20110822:6:21308481:21321503:-1 gene:TCM_029207 transcript:EOY27341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKSDTLLDYAVFQLSPKRSRCELFVSSNGNTEKLASGLVKPFVTHLKVAEEQVALSIQSIKLEIEKRKNAETWFTKGTLERFVRFVSTPEVLELVNTFDAEMSQLEAAQRIYSQGVGDQPSGALGGDGAGMTAAADATKKELLRAIDVRLITVQQDLATAFARASAAGFNSDTVSELQQFADRFGAHRLHEACTKFISLCQRRPELISPWKPGVDDQVVRASWGSDMSIDDPNEDQIGSHVNSRSHQPPQNKHQEQQLQPNATQTQHHIDQSKPAISQQPKPSITTQQRSQNENKEEEKKDEGVTESSPSQVSQPARRLSVQDRINLFENKQKESSSSGGKPIAVGKSVELRRLSSEVSSAPAVVEKAVLRRWSGASDMSIDLGNDKKDGSTDSPLCTPSSSSASQGKSNVFQGLSEDKEQKDEKGLSDKVSSVKVEPKSGSGRDADSGLKDHGEVQVQVGNSLGKEEDVGLKGRMNLKDQLGSQYNQYHQSFTSKSEQLELGDQVVSQEKVKGSLTGERGGSEVQSRVFPDKAVIVGVKNQPTSQAQVGVADTVGDAMSEGELKNRVEAQGEDQSTMHLRLRAQGHSRTLSGQFEGSIGLKTKEAQYIGTEGDQLTPQPRWRAFTGEVEELGKKDVASSEKQISKVEDSGAQKMKFKKQLPVGPEQSKKSLGRRDDSGSLYVNNKSVLGKKVPESEESFSAPKMQEPTQRIRQTRGNQELNDELKMKANELEKLFAEHKLRVPGDQFSSVRRSKPADVLIEQEASSQYKKPVAVDVSPAQMPDKNSVSEPMGSLSNMAKFCTPLTKMVESQECADTLTQNLSGISFSDDSRGRFYERYMQKRDAKLREEWGSKRAEKEAKLKAMQDILERSRAEMKAKFSGSADRQDSVSSARRRAEKVRSFNFQSQHPISSIQSEEDEDLSEFSDQKYYGQDRSFNEVSLPDGSSRSSNTKKLLPNRNVSLSTPRTMAAAVPRSAAKVANASSGRRRAQSENPLVQSVPNFSDLRKENTKPSSGAAKMTSRSQVRNYARTKSTNEEIALGKDDQPRRSQSLRKSSAGPVEFSDLSALNSDGIVLAPLKFDKEQMEQSFSDKFLQNVETKTFLRKGNGIGPGAGVNIAKFKASEASVTPKEEGESDELAFEADDSMDMAKEDEEDELESMVVEDSADMENGRSRLSQESDKLDNSGSENGDCLRSLSQVDPASVAELPAAVPTTFHTAVSLQDSPEESPVSWNSRLHHPFSYPHETSDIDASMDSPIGSPASWNSHSLAQTEVDAARMRKKWGSAQKPFLVANATHNQSRRDVTKGFKRLLKFGRKSRGTDSLVDWISATTSEGDDDTEDGRDPANRSSEDLRKSRMGFSQGHPSDDGFNESELFNDQIQSLHSSIPAPPANFKLREDHMSGSSIKEHHDHSFHSHHFEARVVTPSLDKFRLRKVQDKLLESS >EOY28373 pep chromosome:Theobroma_cacao_20110822:6:25165499:25167977:-1 gene:TCM_029959 transcript:EOY28373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRRVTTCGTLAYTMADIRGTSIHTVAETQEPRRFGLDGELRNSINGSISQIMEGHRYNPSDNSTGTSSSGLRLQP >EOY27159 pep chromosome:Theobroma_cacao_20110822:6:20473251:20476386:-1 gene:TCM_029076 transcript:EOY27159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 4A1 isoform 1 MAGLAPEGSQFDARQYDQKMTELLQTDGDEFFTSYDEVYDSFDSMGLQENLLRGIYAYGAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQQLPAKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDER >EOY27158 pep chromosome:Theobroma_cacao_20110822:6:20473252:20474526:-1 gene:TCM_029076 transcript:EOY27158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 4A1 isoform 1 MGLQENLLRGIYAYGAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQQLPAKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDER >EOY27157 pep chromosome:Theobroma_cacao_20110822:6:20472865:20476679:-1 gene:TCM_029076 transcript:EOY27157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 4A1 isoform 1 MAGLAPEGSQFDARQYDQKMTELLQTDGDEFFTSYDEVYDSFDSMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGVVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQQLPAKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQKFYNVVVEELPSNVADLL >EOY27318 pep chromosome:Theobroma_cacao_20110822:6:21199364:21199858:-1 gene:TCM_029189 transcript:EOY27318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATALEKTGKEDITQIPLNVQRKLNYRSSDSFPSRLSRKLKVFLVSELSPTQIEEEAHITL >EOY28559 pep chromosome:Theobroma_cacao_20110822:6:25794521:25797594:-1 gene:TCM_030100 transcript:EOY28559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 29, putative MDSSRQFFFSSLIVTFLLSLTVAQQEPLFHFCLDTSGNFTRNSTYEANLNRQLSSFSSNTANDYGFYNMSSGQGSERANVIALCRGDVNSGDCLHCINNATPELRNRCPNQKEAIIWYDFCMLRYTNRSIFGIMETEPSFYMWNLNNVTDVDAFNQALSALMNNLRTNASSGTSLGNFATGSRQVTAFQTIYALVQCTPDLTEPECSSCLSQAIEFIPTCCDRKQGGRVIGPSCNFRFEIERFYNLTTADTPSLLSPPPPTSVSPLSPPPSNDTTSSTGKKSNSSRAIIIITIAAVAFAVLLISSCIFFILRVRKSKVKAETREAAEAVDEIGSAESLQYEFSTIRAATDDFSDANKLGQGGFGAVYKGTLADGELIAVKRLSTDSGQGDLEFKNEVRLVAKLQHRNLVRLRGFCLDGNERLLIYEFVPNASLDQFLFDPVKHAYLDWERRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNILLDAEMNPKISDFGMARLCALDQTQGATSRIVGTYGYMAPEYAMHGQFSVKSDVFSFGVLLLEILCGQKNSAFRVGENVEDLLSYAWRNWKDGTPLNLVDPILREASRTEVMRCIHIGLLCVQENAAQRPNMASVVLMLTSYSVTLPLPAEPAFFMHSNTQSDMLWLNSGATESTQSRNDAAAVSENEVSITELHPR >EOY28150 pep chromosome:Theobroma_cacao_20110822:6:24360915:24367377:1 gene:TCM_029797 transcript:EOY28150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate-binding protein 3 isoform 3 MMKLFGRGKESSPDVSPQSFGHSASPSTSLESPVTGPARPIRLLYCDEKGKFRMDPEAVAALQLVKGPIGVVSVCGRARQGKSFILNQLLGRSSGFQVASTHRPCTKGLWLWSAPLKRTALDGTEYNLLLLDTEGIDAYDQTGTYSTQIFSLAVLLSSMFVYNQMGGIDEAALDRLSLVTQMTKHIRVKAGGRITTASELGQFSPIFVWLLRDFYLDLVEDNRKITPRDYLELALRPVQGSGKDIAAKNEIRDSIRALFPDRECFTLVRPLNNENDLQRLHQISLDRLRPEFRAGLDAFTKFVFERTRPKQVGATVMTGPVLIGITESYLDALNNGAVPTISSSWQSVEEAECRRAYDSAAEFYMSTFDRTKPPEEVALREAHEEAVQKSLAIYNASAVGVGSMRKKYEELLQKFFRKAFEDYKRNAYMEADSRCSNAIQSMGKRLRAACHASDASIDNVVKVLDALLSEYEASCHGPGKWQKLAVFLQQSMEVPVLDFTKRLVDQIGSEKSSLALKCRSIEDKMKLLNKQLEDSEKYKSEYLKRYDDAINDKKKLADEYASRMNNLQGDNSSLKERCSSLMKALDSAKQEILDSRRKHDQVLSKQKAKDDQTTSEMEVLKSRSTAAEARLAAARERAESAQEEAEEWKRKYDFAVREAKAALEKAANVQERTGKETQLREDALREEFSHTLAEKDEELKDKSAKIEHAEQCLTTIKLELKAAESKIKSYDAEISSLKVEIRELADKLENANTKAQSFEREARILEQEKIHLEQKYSSEFRRFAEVEERCRLAEKEAKKATELADKARAESVAAQKEKSEIQRMAMERLAQIERAERQIENLERQKTDLGDELHRVQVSEMDAVSKVVLLEARVEEREKEIESLLKTNNEQRTSTVKVLQDLLDSERAAHADANDRAEALSLQLQAAQAKLDLLQQELTSVRLNETALDSKLKTASRGKRLRGDDFEMGVGSVQEMDTSDRILRANKKSRSTTSPLRYSQSEDGGSVYKGDEDNPNQQNNQEDYTKFTVQKLKQELTKHNFGGELLALRNPNKKDILSLYEKCVLQKS >EOY28149 pep chromosome:Theobroma_cacao_20110822:6:24360871:24368446:1 gene:TCM_029797 transcript:EOY28149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate-binding protein 3 isoform 3 MMKLFGRGKESSPDVSPQSFGHSASPSTSLESPVTGPARPIRLLYCDEKGKFRMDPEAVAALQLVKGPIGVVSVCGRARQGKSFILNQLLGRSSGFQVASTHRPCTKGLWLWSAPLKRTALDGTEYNLLLLDTEGIDAYDQTGTYSTQIFSLAVLLSSMFVYNQMGGIDEAALDRLSLVTQMTKHIRVKAGGRITTASELGQFSPIFVWLLRDFYLDLVEDNRKITPRDYLELALRPVQGSGKDIAAKNEIRDSIRALFPDRECFTLVRPLNNENDLQRLHQISLDRLRPEFRAGLDAFTKFVFERTRPKQVGATVMTGPVLIGITESYLDALNNGAVPTISSSWQSVEEAECRRAYDSAAEFYMSTFDRTKPPEEVALREAHEEAVQKSLAIYNASAVGVGSMRKKYEELLQKFFRKAFEDYKRNAYMEADSRCSNAIQSMGKRLRAACHASDASIDNVVKVLDALLSEYEASCHGPGKWQKLAVFLQQSMEVPVLDFTKRLVDQIGSEKSSLALKCRSIEDKMKLLNKQLEDSEKYKSEYLKRYDDAINDKKKLADEYASRMNNLQGDNSSLKERCSSLMKALDSAKQEILDSRRKHDQVLSKQKAKDDQTTSEMEVLKSRSTAAEARLAAARERAESAQEEAEEWKRKYDFAVREAKAALEKAANVQERTGKETQLREDALREEFSHTLAEKDEELKDKSAKIEHAEQCLTTIKLELKAAESKIKSYDAEISSLKVEIRELADKLENANTKAQSFEREARILEQEKIHLEQKYSSEFRRFAEVEERCRLAEKEAKKATELADKARAESVAAQKEKSEIQRMAMERLAQIERAERQIENLERQKTDLGDELHRVQVSEMDAVSKVVLLEARVEEREKEIESLLKTNNEQRTSTVKVLQDLLDSERAAHADANDRAEALSLQLQAAQAKLDLLQQELTSVRLNETALDSKLKTASRGKRLRGDDFEMGVGSVQEMDTSDRILRANKKSRSTTSPLRYSQSEDGGSVYKGDEDNPNQQNNQEDYTKFTVQKLKQELTKHNFGGELLALRNPNKKDILSLYEKCVLQKS >EOY28151 pep chromosome:Theobroma_cacao_20110822:6:24362646:24367651:1 gene:TCM_029797 transcript:EOY28151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate-binding protein 3 isoform 3 MTGPVLIGITESYLDALNNGAVPTISSSWQSVEEAECRRAYDSAAEFYMSTFDRTKPPEEVALREAHEEAVQKSLAIYNASAVGVGSMRKKYEELLQKFFRKAFEDYKRNAYMEADSRCSNAIQSMGKRLRAACHASDASIDNVVKVLDALLSEYEASCHGPGKWQKLAVFLQQSMEVPVLDFTKRLVDQIGSEKSSLALKCRSIEDKMKLLNKQLEDSEKYKSEYLKRYDDAINDKKKLADEYASRMNNLQGDNSSLKERCSSLMKALDSAKQEILDSRRKHDQVLSKQKAKDDQTTSEMEVLKSRSTAAEARLAAARERAESAQEEAEEWKRKYDFAVREAKAALEKAANVQERTGKETQLREDALREEFSHTLAEKDEELKDKSAKIEHAEQCLTTIKLELKAAESKIKSYDAEISSLKVEIRELADKLENANTKAQSFEREARILEQEKIHLEQKYSSEFRRFAEVEERCRLAEKEAKKATELADKARAESVAAQKEKSEIQRMAMERLAQIERAERQIENLERQKTDLGDELHRVQVSEMDAVSKVVLLEARVEEREKEIESLLKTNNEQRTSTVKVLQDLLDSERAAHADANDRAEALSLQLQAAQAKLDLLQQELTSVRLNETALDSKLKTASRGKRLRGDDFEMGVGSVQEMDTSDRILRANKKSRSTTSPLRYSQSEDGGSVYKGDEDNPNQQNNQEDYTKFTVQKLKQELTKHNFGGELLALRNPNKKDILSLYEKCVLQKS >EOY25927 pep chromosome:Theobroma_cacao_20110822:6:2777452:2778962:1 gene:TCM_027293 transcript:EOY25927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate cyclases MMRYITPTPTMRKSPFPRAWIKTKLPQINPSTQVSNFSLNSHTQMEVEVKLRLLNAISHQKLSNLISRFHTKTLIQENIFFDTPKCTLASNNAALRLRFYDLDSYSILSLKSKPELSQGISQVEEHEEPIDPSLARSFLANPRGLLDLTSSSQIMKRVKGEFGADELVCLGGFKNVRGVYDWKGLKLELDETLYDFGVSYEIECESKEPERDKKSIEGLLEENGIDYEYSELNKFAVFLSGKLPT >EOY27967 pep chromosome:Theobroma_cacao_20110822:6:23783479:23788523:1 gene:TCM_029672 transcript:EOY27967 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MGKQGSPRSPRPMSKNTNSVFRTKDYHIRSSDSSGASDPSIGRRILGGENYWNSKAALLHGLKADSGKFTPCKGVYVGKRHTWFRRNVKSIAFTVVLIAFLFLLDSLMVSIFGSINLQSSTNSTSREEDSVAYIHEERPTVQMYGRLLNLASTALAEKVFKQDSVNFWEEPYPQASKWKPCADRKTPTSPGKPEKSNGYILVSANGGLNQQRVAICNAVAVASLLNATLVLPIFLYSNVWKDPSQFGDIYQEDYFMRILQDDIDIVKELPPHLKSLDIEVIGSLITDADIEKEAKPNDYIRSVLPLLVQNRVVHFLGFGNRLGFDPLPPDLQRLRCKCNFHALKFVPKIQEVGSLLIRRIRKYYAAKRQLDKQLLGDFMPSISSKTHNAARGPSKYLALHLRFEEDMVAYSLCDFGGGEYEQKELQAYREVHFPLLIERLKNSKPVYPVELRKFGRCPLTPEEAALVLAALGFKRETYIYLAGSHIYGGSSRMHPFTSLYPNLVTKETLLTTNELAPFRNFSSQLAALDFIACATSDVFAMTDSGSQLSSLVSGFRTYYGDGHAPTLRPNKKRLAAILSENSTIGWNIFEDRVRKMIEEGQRVRVRGSGRSIYRQPRCPECMCRSQ >EOY27968 pep chromosome:Theobroma_cacao_20110822:6:23784224:23787488:1 gene:TCM_029672 transcript:EOY27968 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MGKQGSPRSPRPMSKNTNSVFRTKDYHIRSSDSSGASDPSIGRRILGGENYWNSKAALLHGLKADSGKFTPCKGVYVGKRHTWFRRNVKSIAFTVVLIAFLFLLDSLMVSIFGSINLQSSTNSTSREEDSVAYIHEERPTVQMYGRLLNLASTALAEKVFKQDSVNFWEEPYPQASKWKPCADRKTPTSPGKPEKSNGYILVSANGGLNQQRVAICNAVAVASLLNATLVLPIFLYSNVWKDPSQFGDIYQEDYFMRILQDDIDIVKELPPHLKSLDIEVIGSLITDADIEKEAKPNDYIRSVLPLLVQNRVVHFLGFGNRLGFDPLPPDLQRLRCKCNFHALKFVPKIQEVGSLLIRRIRKYYAAKRQLDKQLLGDFMPSISSKTHNAARGPSKYLALHLRFEEDMVAYSLCDFGGGEYEQKELQAYREVHFPLLIERLKNSKYGPTCLLVL >EOY26259 pep chromosome:Theobroma_cacao_20110822:6:6721717:6726217:1 gene:TCM_027733 transcript:EOY26259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta vacuolar processing enzyme, putative MTEVSKLTKKELIPQTKQWTTPLPTSNPVSASTNSSRVLQVESKMIPCCLRLFLFLFLSIFAVESGRLNANSYNVVSRFSEFNHEDNVHPNVNGMEGKRWAILIAGSRGYGNYRHQADVCHAYQILRNGGLEDDNIVVFMYDDIAFSVYNPRPGVIINKPNGEDVYKGVPKDYTGQDVNMNNFFAVILGNKTGLTGGSGKVVESGPNDRIFIYYTDHGSPGLLGMPSGDDLSAKDLINVLKKKHEAKSYKSMVLYVEACESGSMFEGILPNNLNIYAITAANAVENSWGTYCPEGYPSSPSEFDTCLGDLFSISWMEDSDIHDLRNETLEQQYQVVRRRTAVDNLVVSSHVMQYGNMTLEKDVLFSYMGTNPANDNYKPTATAHPMNSPMSSKVVSQRDASLLHLWHKFHRAPEESAEKAEAHKRLLDELSHRKHIDRSINQIIAILFGHQNVSEMLKSVQSAGQPLVHDWNCFKMLVNAFKNYCGSTSRYDMKYSGAFANMCNAGVNMKQATATITQACSMHSPSS >EOY26069 pep chromosome:Theobroma_cacao_20110822:6:4216560:4223593:-1 gene:TCM_027461 transcript:EOY26069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide gated channel 8 MFDCAYKSQLIGGQKEKFVRLDDLDSTMSMQSGTGVMKKCRFNIEGLTFGGRGKKNASKSFRMGMKRGSEGLLTFGRSLRSGVTRAVFPEDLKVSDKMIIDPQDRSLLLWNKFFVVSCILSVAVDPLFFYLPVFNHVSNCLGIDVKLGVTTTTIRTIIDTFYLIRMGLQFRTAYIAPSSRVFGRGELVIDPAQIATRYLSRYFIVDFLSVLPLPQIVVWRFLHRSKGSEVWATKQALLLIVFIQYVPRFVRFIPLTSELKKTAGTFAEGAWSGAAYYLLWYMLASHIVGAFWYLLAVERNDTCWRNACIGSGKCNIDFLYCGNKHMQGYADWRMVSENILGSKCSGIDDHDQPSPFNYGIYTQAIQSGTVSSNIFFTKFCYCLWWGLQNLSTLGQGLITSTYPGEVLFSIAIAIFGLILFALLIGNMQTYLQSLTVRLEEMRIKRRDSEQWMHHRALPQDLRERVRRYDQYKWLETRGVDEENLVQSLPKDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPSLYTEHTYIVREGDPVNEMLFIIRGRLESVTTDGGRSGFFNRGLLKEGDFCGEELLTWALDPKAGSNLPSSTRTVKALSEVEAFALEAEELTFVSSQFRRLHSRQVQHTFRFYSQQWRTWAACFIQTAWRKYSRRKIVELRRKEEEELDYDEKHDDEMALVEQRHNSAESYSLGATIFASRFAANVRGNKNRGTTSPKSLMKLPKPPEPNFNDPDTDELGHKID >EOY29062 pep chromosome:Theobroma_cacao_20110822:6:27227981:27236436:-1 gene:TCM_030483 transcript:EOY29062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MIDQFINFVIRPPRADYNPDQYLWEREFTLAGRQYKRQDLELTNARGYMLRCSHYMPSPFPKETPLPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDYVSLGWHERDDLKIVVSYLRSEKQISRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRVIQKKAKFDIMDLNCLKVAPKTFIPALFGHASNDKFIQPHHSDLILKLYAGDKNVIKFDGDHNSSRPQFYYDSVSIFFYNVLHPPQISSTCSSKLEKYYDLGDLKVGAGMDESLLYEIITGLQSASTNAASSSSAPPSILTTKPVNELLSEVAPLSRLDSMLSGDNRPDSDEPSNLLDKPNGQSDECCSYTSSNRESWGRCSSLGGSDEESSADCTTADNSHQVTLNVLATPLKSMQQKSPDPSKEEIMKKRAPTIPKKPKREKFEKLEALSKRLRLCILKRVNHRRHRSP >EOY29063 pep chromosome:Theobroma_cacao_20110822:6:27228705:27236637:-1 gene:TCM_030483 transcript:EOY29063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MIDQFINFVIRPPRADYNPDQYLWEREFTLAGRQYKRQDLELTNARGYMLRCSHYMPSPFPKETPLPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDYVSLGWHERDDLKIVVSYLRSEKQISRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRVIQKKAKFDIMDLNCLKVAPKTFIPALFGHASNDKFIQPHHSDLILKLYAGDKNVIKFDGDHNSSRPQFYYDSVSIFFYNVLHPPQISSTCSSKLEKYYDLGDLKVGAGMDEIPCSVEIIDLTVTSHQIYWISLTAKVTNVAHIQAQTGKVGEDALHWGAVMKNLQQTVRLLTTAIR >EOY29061 pep chromosome:Theobroma_cacao_20110822:6:27227949:27236650:-1 gene:TCM_030483 transcript:EOY29061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MIDQFINFVIRPPRADYNPDQYLWEREFTLAGRQYKRQDLELTNARGYMLRCSHYMPSPFPKETPLPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGLSDGDYVSLGWHERDDLKIVVSYLRSEKQISRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRVIQKKAKFDIMDLNCLKVAPKTFIPALFGHASNDKFIQPHHSDLILKLYAGDKNVIKFDGDHNSSRPQFYYDSVSIFFYNVLHPPQISSTCSSKLEKYYDLGDLKVGAGMDESLLYEIITGLQSASTNAASSSSAPPSILTTKPVNELLSEVAPLSRLDSMLSGDNRPDSDEPSNLLDKPNGQSDECCSYTSSNRESWGRCSSLGGSDEESSADCTTADNSHQVTLNVLATPLKSMQQKSPDPSKEEIMKKRAPTIPKKPKREKFEKLEALSKRLRLCILKRVNHRRHRSP >EOY26469 pep chromosome:Theobroma_cacao_20110822:6:12135228:12137293:-1 gene:TCM_028188 transcript:EOY26469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTMMECTSRDCTHDDDVFICKCGGFCLRKRGIFTGALFLTTARLNFAATSSSRVVRVFTRFGSRCSEKVTIAFCCSEKLSICPTKFRCSEKPSVHFAILRVFAIFFYFIYTLVEYGLPTCLGIIYLSLE >EOY28713 pep chromosome:Theobroma_cacao_20110822:6:26335145:26339805:-1 gene:TCM_030235 transcript:EOY28713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGQCHSAPSTGDDEIDQRGHCEETTTSSLKKRCLTMAKEQRSRFYILRRCVIMLLCWQKYGNKKNPKTMALAGEIGIQGEGMVALSSLVL >EOY25990 pep chromosome:Theobroma_cacao_20110822:6:3425766:3435931:-1 gene:TCM_027378 transcript:EOY25990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLDKPPELDPPESSSFYPGDFNDIARVEEKSGGSLFSVNRAPKFMDRWEECGLLDMVAIGARFTWVWKMNGKVVIRERLDRVLINCHAQEKLPEAKTVNLPCLSSNHHPVLFHFSMTTPPCLSKISHSDIKRLR >EOY26183 pep chromosome:Theobroma_cacao_20110822:6:5311177:5312164:1 gene:TCM_027600 transcript:EOY26183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTYFELLVVVFSSIIHLNDDFCFHITIIDGLLIFRLDISRLACQNFGHKHTQEVALENRIMHFRVACRKFSFCCQWQLHFLVHDLINILILAFVMKILL >EOY26115 pep chromosome:Theobroma_cacao_20110822:6:4496170:4496524:-1 gene:TCM_027509 transcript:EOY26115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDIALHVKLLELHCIFFHRHCFLPQVMKFDKNFLSIMLRKELTLEFSTHLIPCSDLVTAFSFSLSFEIFPPNRSSQSRKSSG >EOY27469 pep chromosome:Theobroma_cacao_20110822:6:21945778:21952751:1 gene:TCM_029312 transcript:EOY27469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein, putative isoform 1 MAGMLPGDSSHHSTSESGPSRNSQEKQEEVGRWYFSRKEIEENSPSRRDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFIRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAAQRIKQKEVYEQQKELILLGERLVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPPSQGSEVEGSAGGGTSHRAPAKHPSGSEDKQISSRSAADHSSADNHGVPSRTAQNQSNDNGSGEMGSVITDHKMDMETKDNQHPEQLPQKENLREVSNKSRSGMERTGGEDHERTGGRNEIAETGEWRDDGASRKASSVVGRNLDMREGPVGQSPKEAIKIDKDKLKAALEKRRKSRGETMKKKDVMDEDDLIERELEDGVELAVEDEKIKRERRQTWSRNENLDHGKDHGEMGEGNHFVTKGQSSRGFEAEAAEEGEMLDDASPMVNSRKRKGGSPPDRQLEGKKRHEYMSSYNHDSIEDGQKMGRSSYADKEHRRHAHENHL >EOY27470 pep chromosome:Theobroma_cacao_20110822:6:21945675:21952789:1 gene:TCM_029312 transcript:EOY27470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin family protein, putative isoform 1 MAGMLPGDSSHHSTSESGPSRNSQEKQEEVGRWYFSRKEIEENSPSRRDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFIRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAAQRIKQKEVYEQQKELILLGERLVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPPSQGSEVEGSAGGGTSHRAPAKHPSGSEDKQISSRSAADHSSADNHGVPSRTAQNQSNDNGSGEMGSVITDHKMDMETKDNQHPEQLPQKENLREVSNKSRSGMERTGGEDHERTGGRNEIAETGEWRDDGASRKASSVVGRNLDMREGPVGQSPKEAIKIDKDKLKAALEKRRKSRGETMKKKDVMDEDDLIERELEDGVELAVEDEKIKRERRQTWSRNENLDHGKDHGEMGEGNHFVTKGQSSRGFEAEAAEEGEMLDDASPMVNSRKRKGGSPPDRQLEGKKRHEYMSSYNHDSIEDGQKMGRSSYADKEHRRHAHENHL >EOY26914 pep chromosome:Theobroma_cacao_20110822:6:19014850:19017395:-1 gene:TCM_028874 transcript:EOY26914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Downstream target of A-4, putative MAVSPESMPEKPLDFRAPPPSPIASGRRSCVTNDDVLSEFLEHSLRVPDLILPDKVFPRQKFIENPPKIDFQLLSSMESDSVPKILDSIATIGCFQLVNYGIPGESIRSALAAAAGIFQLPPEKRTAVTRSPEKLYGFEEVHGEEEGEQSEEFVWCRGEGLKLEMEGIWTVGYSNFSEKMETLLSDIEKVAEKILLVIKESSPQKSVYENDMMQGQDIIGSACYLYKHSRNVSADQWSSSLRYDVIRMLIRGIDYSHALCLHICDESSEFHVYSKKGWVSFCPEKDALVITVGDQTQALSGGQFKHVIGRPIYKGEKEDYISMAFLYSPPPPSISSRIDQEKGKTISLSQQAMAAILLTLVYRILVYVYNKF >EOY26110 pep chromosome:Theobroma_cacao_20110822:6:4476269:4478548:-1 gene:TCM_027506 transcript:EOY26110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 23 isoform 6 MEDGVILREWFGRVDSEKTGSITATQLKSAFAIGNLDFPLSVVQQMIRMYDFDRNGTMSFEEFLALNKFLIKVQQAFSDLERNRGFLATDDVYEALNKIGFSLDTPAFYAACESFDQKKNGRLQLDDFISL >EOY26109 pep chromosome:Theobroma_cacao_20110822:6:4475598:4478571:-1 gene:TCM_027506 transcript:EOY26109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 23 isoform 6 MEDGVILREWFGRVDSEKTGSITATQLKSAFAIGNLDFPLSVVQQMIRMYDFDRNEFLALNKFLIKVQQAFSDLERNRGFLATDDVYEALNKIGFSLDTPAFYAACESFDQKKNGRLQLDDFISLCIFLQSARNLFNAFDTAKQGRVTLDLNQFVYCAASCRI >EOY26105 pep chromosome:Theobroma_cacao_20110822:6:4475825:4478548:-1 gene:TCM_027506 transcript:EOY26105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 23 isoform 6 MEDGVILREWFGRVDSEKTGSITATQLKSAFAIGNLDFPLSVVQQMIRMYDFDRNGTMSFEEFLALNKFLIKVQQAFSDLERNRGFLATDDVYELFWLVIIQPLLQALNKIGFSLDTPAFYAACESFDQKKNGRLQLDDFISLCIFLQSARNLFNAFDTAKQGRVTLDLNQFVYCAASCRI >EOY26106 pep chromosome:Theobroma_cacao_20110822:6:4476269:4478548:-1 gene:TCM_027506 transcript:EOY26106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 23 isoform 6 MEDGVILREWFGRVDSEKTGSITATQLKSAFAIGNLDFPLSVVQQMIRMYDFDRNGTMSFEEFLALNKFLIKVQQAFSDLERNRGFLATDDVYELFWLVIIQPLLQALNKIGFSLDTPAFYAACESFDQKKNGRLQLDDFISL >EOY26107 pep chromosome:Theobroma_cacao_20110822:6:4476269:4478548:-1 gene:TCM_027506 transcript:EOY26107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 23 isoform 6 MEDGVILREWFGRVDSEKTGSITATQLKSAFAIGNLDFPLSVVQQMIRMYDFDRNGTMSFEEFLALNKFLIKVQQAFSDLESSLYRNRGFLATDDVYEALNKIGFSLDTPAFYAACESFDQKKNGRLQLDDFISL >EOY26111 pep chromosome:Theobroma_cacao_20110822:6:4475710:4478575:-1 gene:TCM_027506 transcript:EOY26111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 23 isoform 6 MEDGVILREWFGRVDSEKTGSITATQLKSAFAIGNLDFPLSVVQQMIRMYDFDRNGTMSFEEFLALNKFLIKQAFSDLERNRGFLATDDVYEALNKIGFSLDTPAFYAACESFDQKKNGRLQLDDFISL >EOY26103 pep chromosome:Theobroma_cacao_20110822:6:4475484:4478791:-1 gene:TCM_027506 transcript:EOY26103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 23 isoform 6 MEDGVILREWFGRVDSEKTGSITATQLKSAFAIGNLDFPLSVVQQMIRMYDFDRNGTMSFEEFLALNKFLIKVQQAFSDLERNRGFLATDDVYEALNKIGFSLDTPAFYAACESFDQKKNGRLQLDDFISLCIFLQSARNLFNAFDTAKQGRVTLDLNQFVYCAASCRI >EOY26113 pep chromosome:Theobroma_cacao_20110822:6:4476584:4478548:-1 gene:TCM_027506 transcript:EOY26113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 23 isoform 6 MEDGVILREWFGRVDSEKTGSITATQLKSAFAIGNLDFPLSVVQQMIRMYDFDRNGTMSFEEFLALNKFLIKVQQAFSDLERNRGFLATDDVYEALNKIGFSLDTPAFYAACESFDQKKNGRLQLDDFISLCIFLQSARYSISHVCRSAC >EOY26108 pep chromosome:Theobroma_cacao_20110822:6:4475598:4478571:-1 gene:TCM_027506 transcript:EOY26108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 23 isoform 6 MEDGVILREWFGRVDSEKTGSITATQLKSAFAIGNLDFPLSVVQQMIRMYDFDRNGTMSFEEFLALNKFLIKQAFSDLERNRGFLATDDVYEALNKIGFSLDTPAFYAACESFDQKKNGRLQLDDFISLCIFLQSARNLFNAFDTAKQGRVTLDLNQFVYCAASCRI >EOY26112 pep chromosome:Theobroma_cacao_20110822:6:4475710:4478575:-1 gene:TCM_027506 transcript:EOY26112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 23 isoform 6 MEDGVILREWFGRVDSEKTGSITATQLKSAFAIGNLDFPLSVVQQMIRMYDFDRNEFLALNKFLIKVQQAFSDLERNRGFLATDDVYEALNKIGFSLDTPAFYAACESFDQKKNGRLQLDDFISL >EOY26104 pep chromosome:Theobroma_cacao_20110822:6:4475598:4478571:-1 gene:TCM_027506 transcript:EOY26104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 23 isoform 6 MEDGVILREWFGRVDSEKTGSITATQLKSAFAIGNLDFPLSVVQQMIRMYDFDRNGTMSFEEFLALNKFLIKVQQAFSDLESSLYRNRGFLATDDVYEALNKIGFSLDTPAFYAACESFDQKKNGRLQLDDFISLCIFLQSARNLFNAFDTAKQGRVTLDLNQFVYCAASCRI >EOY27960 pep chromosome:Theobroma_cacao_20110822:6:23755405:23758189:-1 gene:TCM_029667 transcript:EOY27960 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative MDQEERGDRVETSENLSQVEEGVSGDSKNPVNGVVSETVIVINAEENVCFSRENADVRLQNDGFGSLKDQEVDYGRGIGPPLIQNDLGSGGHSSSFADRNVLENEERDLGDKNNGVVSTEVLGEAGGEMFDQETNQMGGVGETTGQVDQGSSRSLNNSVDRFVVETIIVVNTQEATCVDGSNRLEVKDNGLGSSKVMTERPKTKVAEAEDSCVIDIKGSDGGGRQYKESWDGERVCRICHLTTEQSLESTDITFSTAATMDLIQLGCGCKDELGIAHSHCAEAWFKLKGNRMCEICGQTAKNITGVRDNRFIEDWHDQGSTSGGGISSDRGGGCWRGQPFCNFLMACLVIAFVLPWFFRVNMF >EOY26500 pep chromosome:Theobroma_cacao_20110822:6:13248647:13252159:1 gene:TCM_028281 transcript:EOY26500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLEPSHHTANSLGRRSARGLTSANSSLSSICRWPQGFPYGRLPNWWRHSPPGPALPSRIDRSSGETIGLLLSHSSPVDVNPLGTDRVLSLSSLCRVWWCDGRASSLSPFSCALSAFLSPTADRLLSTSQLPPVFEDFFSGLNAPPECYSAPSPLYPVLGLWRGHAPLPCLWALGGTFRYPTRLEFFTSGRVREVPGGVRSHPASQPSL >EOY26089 pep chromosome:Theobroma_cacao_20110822:6:4324031:4327655:1 gene:TCM_027482 transcript:EOY26089 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALA-interacting subunit 1 MEMDTNGAGTSSAAAGGGGVSTSTSDSSSAAKRNSKKPKYSRFTQQELPACKPILTPGLVITTFTIIGIIFIPIGLVSLSASEHVVEIVDRYDEGCVPPNYSKNKLAYIQSSLTNKSCTRTLNVPKLMKSPVFIYYQLDNFYQNHRRYVKSRSDKQLRSKAYERDTKSCDPEGFVLDGPIVPCGLIAWSLFNDTYGFSVKSKMLEVNKKNIAWDSDKEHKFGSDVYPKNFQSGGLIGGAKLNSSIPLSEQEDLIVWMRTAALPTFRKLYGRIEEDLQANEQITVVIQNNYNTYSFGGKKKLVLSTTSWIGGKNDFLGIAYITVGGLCLFLAVSFILLYVIKPRPLGDPSYLSWNRSPSGHPN >EOY26059 pep chromosome:Theobroma_cacao_20110822:6:4083828:4086721:-1 gene:TCM_027450 transcript:EOY26059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid N-methyltransferase MGMLAGVGILVPFPFYYLLWTYPRSWVNLCGKGRDPSKVMALFSHFFKLVQFISLFSVCSLSWPPPLYFWPLFAFGQLLNFRVYKLLGESGTYYGVRFGKNIPWVTEFPFGFIRDPQYVGSIMSLVACLSWVPFQYILLWSLGYLFMMHVESTENLATRAKPLS >EOY25993 pep chromosome:Theobroma_cacao_20110822:6:3465745:3470562:-1 gene:TCM_027382 transcript:EOY25993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNASRVVLVMLALLLISQIVTEARSHSHHRGQKEELRTRRGSSRSRGSGGRRARRSSNCDPFFLYLFGTCGQWPFPTAPSPDNPFEPTPRPSPRRRSPPLPPPVVQPPLLPSPPPLLPSPPPPITSPPPSSPPPSPPPPSLPPPSPPPPFPSPPPPLVPSPPPPSISPPTLPFVFPPPPLVSSPPPPEITPSPIFPWLSPPDINADTPPIPLFSPPPDLFLPPPEEDTPPDELTPAPPLVPFFSPPDEFTPATPLLPIFAPPSEPNLPPDEFQPAPPFLPISFPPPAQDFPPDETEPAPLVPIFSSPPEPPQIPLLPPEQPFTFTPPIETNPGAPNLFLPPPVIPAIPEIPDQQALPFSSTPPAPDTGFVEPVQPMSPPQPFLPPFQLPPSDSPPFKS >EOY27961 pep chromosome:Theobroma_cacao_20110822:6:23760424:23761641:1 gene:TCM_029668 transcript:EOY27961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILSSDQVNRSRWLMLPSEQWPMHVSVTSWLLILFSFWVQWPTFPTLPIVH >EOY25935 pep chromosome:Theobroma_cacao_20110822:6:2799442:2800203:-1 gene:TCM_027301 transcript:EOY25935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 22 MRKAIRGSSILALGMLLLMLQYEATHSAPVTYKVGDDNGWDLSISLQAWTRGKDFHAGDILEFIYDEQLFNVVVVDKKGHDTCTANDGAMEFDSGDDKIPLAFGANYFICNTQPDLCAAGYKMAINATAPPPSSK >EOY26677 pep chromosome:Theobroma_cacao_20110822:6:16927910:16934079:-1 gene:TCM_028630 transcript:EOY26677 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 1 MEESVSKGPSSLRQGSFKSSLSGRSTPKSSPTFRRLNSSRTPRREARSGAGGIQWFRSNRLVYWLLLITLWAYLGFYVQSRWAHGHNKEEFLGFSGNPRNGLIDAEQNPRRDLLADDSLVAVNNGTNKTQVYSDRKFDVILAKKRNEVSFNKKRSRRSKRAGRNLSKMRGKRKATINIENGETEGQEHEILQKNSTYGLLVGPFGSVEDRILEWSPEKRSGTCDRKGDFARLVWSRRLVLVFHELSMTGAPISMMELATELLSCGATVSAVVLSKKGGLMSELARRRIKVIEDRADLSFKTAMKADLVIAGSAVCASWIDQYIAHFPAGGSQIAWWIMENRREYFDRSKLVLHRVKMLIFLSELQSKQWLTWCQEENIKLRSQPALVPLAVNDELAFVAGIPCSLNTPSASPEKMLEKRQLLRDAVRKEMGLTDNDMLVMSLSSINTGKGQLLLLEAAGLMIDQDPLQTDSEVTKSLDIRQDQSTLTVKHHLRGLLQKSSDVDVSSTDLRLFASVNGTNAVSIDSSHRRRNMLFDSKGTQEQALKILIGSVGSKSNKMPYVKEILRFLSQHAKLSESVLWTPATTHVASLYSAADVYVMNSQGLGETFGRVTVEAMAFGLPVLGTDAGGTKEIVENNVTGLFHPMGHPGAQALAGNLRFLLKNPSARKQMGMEGRKKVERKYLKRHMYKRFVEVLTRCMRIK >EOY26679 pep chromosome:Theobroma_cacao_20110822:6:16929509:16932429:-1 gene:TCM_028630 transcript:EOY26679 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 1 MEESVSKGPSSLRQGSFKSSLSGRSTPKSSPTFRRLNSSRTPRREARSGAGGIQWFRSNRLVYWLLLITLWAYLGFYVQSRWAHGHNKEEFLGFSGNPRNGLIDAEQNPRRDLLADDSLVAVNNGTNKTQVYSDRKFDVILAKKRNEVSFNKKRSRRSKRAGRNLSKMRGKRKATINIENGETEGQEHEILQKNSTYGLLVGPFGSVEDRILEWSPEKRSGTCDRKGDFARLVWSRRLVLVFHELSMTGAPISMMELATELLSCGATVSAVVLSKKGGLMSELARRRIKVIEDRADLSFKTAMKADLVIAGSAVCASWIDQYIAHFPAGGSQIAWWIMENRREYFDRSKLVLHRVKMLIFLSELQSKQWLTWCQEENIKLRSQPALVPLAVNDELAFVAGIPCSLNTPSASPEKMLEKRQLLRDAVRKEMGLTDNDMLVMSLSSINTGKGQLLLLEAAGLMIDQDPLQTDSEVTKSLDIRQDQSTLTVKHHLRGLLQKSSDVDVSSTDLRLFASVNGTNAVSIDSSHRRRNMLFDSKGTQEQALKILIGSVGSKSNKMPYVKEILRFLSQHAKLSESVLWTPATTHVASLYSAADVYVMNSQVMMSLN >EOY26678 pep chromosome:Theobroma_cacao_20110822:6:16927699:16933805:-1 gene:TCM_028630 transcript:EOY26678 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 1 MEESVSKGPSSLRQGSFKSSLSGRSTPKSSPTFRRLNSSRTPRREARSGAGGIQWFRSNRLVYWLLLITLWAYLGFYVQSRWAHGHNKEEFLGFSGNPRNGLIDAEQNPRRDLLADDSLVAVNNGTNKTQVYSDRKFDVILAKKRNEVSFNKKRSRRSKRAGRNLSKMRGKRKATINIENGETEGQEHEILQKNSTYGLLVGPFGSVEDRILEWSPEKRSGTCDRKGDFARLVWSRRLVLVFHELSMTGAPISMMELATELLSCGATVSAVVLSKKGGLMSELARRRIKVIEDRADLSFKTAMKADLVIAGSAVCASWIDQYIAHFPAGGSQIAWWIMENRREYFDRSKLVLHRVKMLIFLSELQSKQWLTWCQEENIKLRSQPALVPLAVNDELAFVAGIPCSLNTPSASPEKMLEKRQLLRDAVRKEMGLTDNDMLVMSLSSINTGKGQLLLLEAAGLMIDQDPLQTDSEVTKSLDIRQDQSTLTVKHHLRGLLQKSSDVDVSSTDLRLFASVNGTNAVSIDSSHRRRNMLFDSKGTQEQALKILIGSVGSKSNKMPYVKEILRFLSQHAKLSESVLWTPATTHVASLYSAADVYVMNSQQGLGETFGRVTVEAMAFGLPVLGTDAGGTKEIVENNVTGLFHPMGHPGAQALAGNLRFLLKNPSARKQMGMEGRKKVERKYLKRHMYKRFVEVLTRCMRIK >EOY28744 pep chromosome:Theobroma_cacao_20110822:6:26405633:26409906:-1 gene:TCM_030257 transcript:EOY28744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyllysine-residue succinyltransferase component of 2-oxoglutarate dehydrogenase complex MWAVLRRRVASSSSSSVLAQSFRDARSSRCYISTPIETLVSRGGSRIAGIVGHLGYQVVTGFPIGSKPLREVISLVRKYPPVSVHTRLFSADTGELVDAVVPFMGESVTDGTLANFLKQPGDRVELDEPIAQIETDKVTIEVNSPEAGVIEQLVAKVGDTVEPGTKIAVISKSGGVTHVAPSEEKPSKAVSELSTARTKKVDMEKPKAETPPPKSETPPIKDKPKAPSLPPSKPSAKEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDAFVEQHGVKLGLMSGFVKAAVSALQNQPIINAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRNADRMNFAEIEKEINILAKKANDGSISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSLVSRPMAVGGEIVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >EOY26087 pep chromosome:Theobroma_cacao_20110822:6:4304515:4305963:-1 gene:TCM_027478 transcript:EOY26087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein MASAVGMIGRNGSSSWMQVKGKGKKKTVNRVRVRCSASSVMDPYKTLRIQRGASESEVKKAFRQLALQYHPDVCRGSNCGVQFQTINEAYDIVMSNFRGESNESRMYEAYDEGIDEPMRGMDDPDWDMWEEWMGWEGAGIRDYSSHINPYI >EOY28832 pep chromosome:Theobroma_cacao_20110822:6:26663284:26670696:-1 gene:TCM_030323 transcript:EOY28832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 3 MGESSMGGALVPTATKSEQPPSSPESTAPLPPPTAPLPSPPPPPPPPPPLLPSPPAMPPSAAKEEAALEADADKDMLCPICMQLIKDAFLTACGHSFCYMCILTHLRNKSDCPCCSHYLTNSHIFPNFLLNKLLKKTSARQLARTASPVEHLRQAIQQGCEVSAKELDGLLSLLVDKKRKMEQEEAETNMQILLDFLNCLRRQKLEELNEIQNDLQYIKEDINAVEKRSIELYRAKERCSVKLRMLVDNSFAAKTSPSLIDEHNNSIMSGAHNLRGWMGSASFQNNVDVKAQTSSQGIRSKDAYGGSDLVYETNSGLAEARKRRVHAQFNDLQECYLQKRRHWTKQFHKQEGKDPNAMNREGYNPGLEDFESVLTTFTRYSRLRVIAELRHGDIFHSANIVSSIEFDHDDELFATAGVSRRIKVFEFSSVVNEPADVHCPIVEMTTRSKLSCLSWNKYTKTHIASSDYDGIVTVWDITTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKIWCTKQEASVLNIDMIANICSVKYNPGSSVHVAVGSADHHIHYYDLRNVSQPLYVFGGHRKAVSYVKFLSNNELASASTDSTLRLWDVKENLPLRTFRGHMNEKNFVGLTVNSEYIACGSETNEVFVYHKAISKPAAWHRFGSDMADAEEDAGSYFISAVCWKSDSPTMLTANSRGTIKVLVLAA >EOY28836 pep chromosome:Theobroma_cacao_20110822:6:26663968:26669093:-1 gene:TCM_030323 transcript:EOY28836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 3 MEQEEAETNMQILLDFLNCLRRQKLEELNEIQNDLQYIKEDINAVEKRSIELYRAKERCSVKLRMLVDNSFAAKTSPSLIDEHNNSIMSGAHNLRGWMGSASFQNNVDVKAQTSSQGIRSKDAYGGSDLVYETNSGLAEARKRRVHAQFNDLQECYLQKRRHWTKQFHKQEGKDPNAMNREGYNPGLEDFESVLTTFTRYSRLRVIAELRHGDIFHSANIVSSIEFDHDDELFATAGVSRRIKVFEFSSVVNEPADVHCPIVEMTTRSKLSCLSWNKYTKTHIASSDYDGIVTVWDITTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKIWCTKQEASVLNIDMIANICSVKYNPGSSVHVAVGSADHHIHYYDLRNVSQPLYVFGGHRKAVSYVKFLSNNELASASTDSTLRLWDVKENLPLRTFRGHMNEKNFVGLTVNSEYIACGSETNEVFVYHKAISKPAAWHRFGSDMADAEEDAGSYFISAVCWKSDSPTMLTANSRGTIKVLVLAA >EOY28833 pep chromosome:Theobroma_cacao_20110822:6:26664567:26670204:-1 gene:TCM_030323 transcript:EOY28833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 3 MGESSMGGALVPTATKSEQPPSSPESTAPLPPPTAPLPSPPPPPPPPPPLLPSPPAMPPSAAKEEAALEADADKDMLCPICMQLIKDAFLTACGHSFCYMCILTHLRNKSDCPCCSHYLTNSHIFPNFLLNKLLKKTSARQLARTASPVEHLRQAIQQGCEVSAKELDGLLSLLVDKKRKMEQEEAETNMQILLDFLNCLRRQKLEELNEIQNDLQYIKEDINAVEKRSIELYRAKERCSVKLRMLVDNSFAAKTSPSLIDEHNNSIMSGAHNLRGWMGSASFQNNVDVKAQTSSQGIRSKDAYGGSDLVYETNSGLAEARKRRVHAQFNDLQECYLQKRRHWTKQFHKQEGKDPNAMNREGYNPGLEDFESVLTTFTRYSRLRVIAELRHGDIFHSANIVSSIEFDHDDELFATAGVSRRIKVFEFSSVVNEPADVHCPIVEMTTRSKLSCLSWNKYTKTHIASSDYDGIVTVWDITTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKIWCTKQEASVLNIDMIANICSVKYNPGSSVHVAVGSADHHIHYYDLRNVSQPLYVFGGHRKAVSYVKFLSNNELASASTDSTLRLWDVKENLPLRTFRGHMNEKNFVGLTVNSEYIACGSETNEVFVYHKVSHHIGIRCFGIKTSL >EOY28834 pep chromosome:Theobroma_cacao_20110822:6:26664047:26668706:-1 gene:TCM_030323 transcript:EOY28834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 3 MEQEEAETNMQILLDFLNCLRRQKLEELNEIQNDLQYIKEDINAVEKRSIELYRAKERCSVKLRMLVDNSFAAKTSPSLIDEHNNSIMSGAHNLRGWMGSASFQNNVDVKAQTSSQGIRSKDAYGGSDLVYETNSGLAEARKRRVHAQFNDLQECYLQKRRHWTKQFHKQEGKDPNAMNREGYNPGLEDFESVLTTFTRYSRLRVIAELRHGDIFHSANIVSSIEFDHDDELFATAGVSRRIKVFEFSSVVNEPADVHCPIVEMTTRSKLSCLSWNKYTKTHIASSDYDGIVTVWDITTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKIWCTKQEASVLNIDMIANICSVKYNPGSSVHVAVGSADHHIHYYDLRNVSQPLYVFGGHRKAVSYVKFLSNNELASASTDSTLRLWDVKENLPLRTFRGHMNEKNFVGLTVNSEYIACGSETNEVFVYHKAISKPAAW >EOY28835 pep chromosome:Theobroma_cacao_20110822:6:26663427:26670684:-1 gene:TCM_030323 transcript:EOY28835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 3 MEQEEAETNMQILLDFLNCLRRQKLEELNEIQNDLQYIKEDINAVEKRSIELYRAKERCSVKLRMLVDNSFAAKTSPSLIDEHNNSIMSGAHNLRGWMGSASFQNNVDVKAQTSSQGIRSKDAYGGSDLVYETNSGLAEARKRRVHAQFNDLQECYLQKRRHWTKQFHKQEGKDPNAMNREGYNPGLEDFESVLTTFTRYSRLRVIAELRHGDIFHSANIVSSIEFDHDDELFATAGVSRRIKVFEFSSVVNEPADVHCPIVEMTTRSKLSCLSWNKYTKTHIASSDYDGIVTVWDITTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKIWCTKQEASVLNIDMIANICSVKYNPGSSVHVAVGSADHHIHYYDLRNVSQPLYVFGGHRKAVSYVKFLSNNELASASTDSTLRLWDVKENLPLRTFRGHMNEKNFVGLTVNSEYIACGSETNEVFVYHKAISKPAAWHRFGSDMADAEEDAGSYFISAVCWKSDSPTMLTANSRGTIKVLVLAA >EOY28899 pep chromosome:Theobroma_cacao_20110822:6:26828632:26833490:1 gene:TCM_030374 transcript:EOY28899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mo25 family protein MELPLMDLKERKRKEACRSCVGCSGKALNEWGMHVNVKVERGGFLVGLDCNCKVESTQSKCKVFCFLVDPPKVLYLRVHYPSSNKKWEIPKLSGAVGLIVIKTAEKSQTELKEEKKEGIRTGSETLRESGAASRAVAQRLSQAAALPDTTAATANHLDKSSSTKMKGLFKSKPRTPVDIVRQTRDLLIYAGRSPDNRESKREEKMAELCKNIRELKSILYGNSESEPVSEACAQLTQEFFRENTLRLLITCLPKLNLEARKDATQVVANLQRQQVQSRLIASDYLEANIDLMDILLAGYENTDMALHYGAMLRECIRHQTVARYVLESQHMKKFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYEWFFADYNSKLLESSNYITRRQAVKLLGDILLDRSNSAVMTRYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANQNKPPDIVSILVANKSKLLRLFADFKTDKEDEQFEADKAQVVKEIAALEPKDRP >EOY26233 pep chromosome:Theobroma_cacao_20110822:6:6255292:6256110:-1 gene:TCM_027693 transcript:EOY26233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMVVSDDNASDQIEDDVEEDNMADWNDKLQDDCEDDYIGRQDDCSEDDKGQHNDIQDCNHANGSTGHATTVVLKEVQCDDHATTVELEDVEGADPIYDNPITLENNIRSLDDITTEESRSMDDHLYRGKVFPSKVELKQALSMLALKEHFEIKVKKSCYSRLEFGCKEKACKFALRATKLPEGEYWRA >EOY28087 pep chromosome:Theobroma_cacao_20110822:6:24201949:24205806:-1 gene:TCM_029761 transcript:EOY28087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger family protein / calcium-binding EF hand family protein, putative MTMLRFTVAILVLILTINYGSGSRSIKEKSSPVSDGMDQTSKSSVLELDLPITTTVTCEPIYGFLPCTTTLWGQLFLLVVYEYLLSLSEEFISSGSNLFFQMFGTGIFGASLFHILGIIPQVMLVLVSGASASGETIEARATIGMGLLAGSAVLMLTLVWGSVIAFGSYDLSDTSSPNSSNPDNADTSISSNSKNKKPFSLTGYGVRTDIETRYSAIIMILSMVPFLILQLAKILSSATAVRVVVLISLIVTLALLGGYCTYQVFEPWIQDRRLEYLMRRYIQKNLLHRLVSGNGRANEFEIKKLFLKIDKNNNSRISPAELRAFILGIQIEEVGLDEEDFETKVMEEFDFSGDSDINETEFVRGVSNWLNKVNDQAQGERRLFHVNAKKNDEEKRSLLPAKKRSKARKGTDNPWWNYTKAFFLITLGTAITVLLANPLMITLQEFSTSANIPSFLVSYVVIPWALSFRLAFRAISSARQKTENAASLTFSELYGAVFMNNVMGLVIFLSLVYIRNIPWGVSAEVLVVLLICTAMGLFATFSTKIELWTCILVYLLYPISLLLIYVLTNVLGWS >EOY28169 pep chromosome:Theobroma_cacao_20110822:6:24431284:24431993:1 gene:TCM_029809 transcript:EOY28169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDTTWEQKLQASTHILTSPTTSPPLHSQFFISSQIPCYLNWDYPPVLCNKPNTDRFPSLHLKWGFSIFLKRVSTLGLPETSWRSKCPYHHPPPLILAKGVEEAQWDDGQKRVFVRKRLRRKLRGSDIQPWIPILIPDLLLFSLLLFNPFPLNDS >EOY28303 pep chromosome:Theobroma_cacao_20110822:6:24871054:24878277:-1 gene:TCM_029910 transcript:EOY28303 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal domain phosphatase-like 1 isoform 3 MTSMYKSVVYRGEEVLGEVEIYPQQQLQQQQQLREEEDERKIMVMEEEMKEIRIEYLTQGSERCPPLAVLHTITSSGICFKMESSKDNNYSSSQDSPPLHLLHSECIRDNKTAVMPMGDCELHLVAMYSRNSDRPCFWGFNVSRGLYDSCLLMLNLRCLGIVFDLDETLIVANTMRSFEDRIEALQRKMTTEVDPQRVAGMVAEMKRYQDDKAILKQYAENDQVVENGKVIKIQSEVVPALSDNHQPIIRPLIRLQEKNIILTRINPQIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPESNLINSKELLDRIVCVKSGSRKSLFNVFQDGICHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEANNTIPVLCVARNVACNVRGGFFREFDEGLLQRIPEISYEDDIKDIPSPPDVGNYLVSEDDTSALNGNKDPLLFDGMADAEVERRLKEAISATSTVSSAAINLDPRLTPSLQYTMPSSSSSIPPSASQPSIVSFSNMQFPLAAPVVKPVAPVAVPEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDHTPPEPAFPPVRPTMQVSVPRGQSRGSWFAAEEEMSPRQLNRAAPKEFPLDSERMHIEKHRHPPFFPKVESSIPSDRLLRENQRLSKEALHRDDRLGLNHTPSSYHSFSGEEMPLSQSSSSHRDLDFESGRTVTSGETSAGVLQDIAMKCGAKVEFRPALVASLDLQFSIEAWFAGEKVGEGVGRTRREAQRQAAEESIKNLANTYLSRIKPDSGSAEGDLSRLHNINDNGFPSNVNSFGNQLLAKEESLSFSTASEQSRLADPRLEGSKKSMGSVTALKELCMMEGLGVVFQPQPPSSSNALQKDEVYAQVEIDGQVLGKGTGLTWEEAKMQAAEKALGSLRSMLGQYSQKRQGSPRCVILLVMLPGEKTSVAPFTPSYLLYYWLCGQGHCKVCKINA >EOY28302 pep chromosome:Theobroma_cacao_20110822:6:24870106:24878320:-1 gene:TCM_029910 transcript:EOY28302 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal domain phosphatase-like 1 isoform 3 MTSMYKSVVYRGEEVLGEVEIYPQQQLQQQQQLREEEDERKIMVMEEEMKEIRIEYLTQGSERCPPLAVLHTITSSGICFKMESSKDNNYSSSQDSPPLHLLHSECIRDNKTAVMPMGDCELHLVAMYSRNSDRPCFWGFNVSRGLYDSCLLMLNLRCLGIVFDLDETLIVANTMRSFEDRIEALQRKMTTEVDPQRVAGMVAEMKRYQDDKAILKQYAENDQVVENGKVIKIQSEVVPALSDNHQPIIRPLIRLQEKNIILTRINPQIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPESNLINSKELLDRIVCVKSGSRKSLFNVFQDGICHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEANNTIPVLCVARNVACNVRGGFFREFDEGLLQRIPEISYEDDIKDIPSPPDVGNYLVSEDDTSALNGNKDPLLFDGMADAEVERRLKEAISATSTVSSAAINLDPRLTPSLQYTMPSSSSSIPPSASQPSIVSFSNMQFPLAAPVVKPVAPVAVPEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDHTPPEPAFPPVRPTMQVSVPRGQSRGSWFAAEEEMSPRQLNRAAPKEFPLDSERMHIEKHRHPPFFPKVESSIPSDRLLRENQRLSKEALHRDDRLGLNHTPSSYHSFSGEEMPLSQSSSSHRDLDFESGRTVTSGETSAGVLQDIAMKCGAKVEFRPALVASLDLQFSIEAWFAGEKVGEGVGRTRREAQRQAAEESIKNLANTYLSRIKPDSGSAEGDLSRLHNINDNGFPSNVNSFGNQLLAKEESLSFSTASEQSRLADPRLEGSKKSMGSVTALKELCMMEGLGVVFQPQPPSSSNALQKDEVYAQVEIDGQVLGKGTGLTWEEAKMQAAEKALGSLRSMLGQYSQKRQGSPRSLQGMQNKRLKPEFPRVLQRMPSSGRYPKNAPPVP >EOY28304 pep chromosome:Theobroma_cacao_20110822:6:24871155:24878277:-1 gene:TCM_029910 transcript:EOY28304 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal domain phosphatase-like 1 isoform 3 MTSMYKSVVYRGEEVLGEVEIYPQQQLQQQQQLREEEDERKIMVMEEEMKEIRIEYLTQGSERCPPLAVLHTITSSGICFKMESSKDNNYSSSQDSPPLHLLHSECIRDNKTAVMPMGDCELHLVAMYSRNSDRPCFWGFNVSRGLYDSCLLMLNLRCLGIVFDLDETLIVANTMRSFEDRIEALQRKMTTEVDPQRVAGMVAEMKRYQDDKAILKQYAENDQVVENGKVIKIQSEVVPALSDNHQPIIRPLIRLQEKNIILTRINPQIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPESNLINSKELLDRIVCVKSGSRKSLFNVFQDGICHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYAPQAEANNTIPVLCVARNVACNVRGGFFREFDEGLLQRIPEISYEDDIKDIPSPPDVGNYLVSEDDTSALNGNKDPLLFDGMADAEVERRLKEAISATSTVSSAAINLDPRLTPSLQYTMPSSSSSIPPSASQPSIVSFSNMQFPLAAPVVKPVAPVAVPEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDHTPPEPAFPPVRPTMQVSVPRGQSRGSWFAAEEEMSPRQLNRAAPKEFPLDSERMHIEKHRHPPFFPKVESSIPSDRLLRENQRLSKEALHRDDRLGLNHTPSSYHSFSGEEMPLSQSSSSHRDLDFESGRTVTSGETSAGVLQDIAMKCGAKVEFRPALVASLDLQFSIEAWFAGEKVGEGVGRTRREAQRQAAEESIKNLANTYLSRIKPDSGSAEGDLSRLHNINDNGFPSNVNSFGNQLLAKEESLSFSTASEQSRLADPRLEGSKKSMGSVTALKELVRY >EOY26003 pep chromosome:Theobroma_cacao_20110822:6:3519363:3542970:-1 gene:TCM_027391 transcript:EOY26003 gene_biotype:protein_coding transcript_biotype:protein_coding description:H(+)-ATPase 9 MVDKENSLQEIKSENVDLERIPIEEVFEQLKCTKEGLTSEEGHKRLQIFGPNKLEEKKESKFLKFLGFMWNPLSWVMEIAAIMAICLANGGGKPPDWQDFLGIVVLLLINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWCEQEAAILVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPVSKNSGDEVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAIGMVIEILVMYPIQRRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSMIEVFIKDVDKDMLLLLAARASRVENQDAIDACVVGMLGDPKEARADITEVHFFPFNPVDKRTAMTYIDSDGSWHRVSKGAPEQIISLCNLREDVKKKAHDIIDKFADRGLRSLGVARQTVPEKTKESSGDPWEFVGLMPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDETIDAIGVDELIEKADGFAGVFPEHKYEIVKRLQQRNHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVCAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLLALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLKEIFATGIVLGAYLACMTVVFFWAAQESDFFSDKFGVRSIRNSHEELTAAVYLQVSIVSQALIFVTRSRSWSFIERPGLLLVTAFIIAQLVATILAVYANWGFARMKGIGWGWAGVIWIYSIVFYIPLDILKFFIRYSLSGKAWDNLLQNKTAFTTKKDYGREEREAQWATAQRTLHGLQTPGSVDILNDKNSHEELSEIAEQAKKRAEVARLREFHTLKGHVESVVKLKGLDIDTIQQHYTV >EOY26299 pep chromosome:Theobroma_cacao_20110822:6:7211055:7217719:1 gene:TCM_027780 transcript:EOY26299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MNVVRIKTAKAINFLNRTQLRGTKSLCNGGEIQQDSKLVQLDSSFYMRILQLCINSKAKRQALLVHSQIITNGYISNVHLATKLIISYAKIGDMIAAKKVFDKMSERTIVSWTAVISGYSQNGFFENALLVFSEMRKAGFKGNQFSYGSALKACTGLRCLERGLQIQGCVEKGRFVMNLFVQSGLLDLHAKCGNMEDASRLFYRMGERDLVSWNVMIGGFALQGFPDDAFQLFREMMREGKIPDCFTFGSVLRVSFGEGGLMKVSQVHGLITLLGFESCNLLAGSLIDAYSKCGNLQCASKLYRNMPKKDIISCTALIASFARQGKHNRDPLDLFKEINSTQMGMDNMILCSILNICANVAELSLGRQIHAFSLKCQPSSDLAMGNALIDMYAKSGQIKDANKVFNEMDERNVISWTSLIAGYGRHGYGHEAIALYEKMEHEGLKPNDVTFLSLLFACSHTGLVNEGLELFNAMISKYKILPRAEHLSCMVDLLARGGQLEAAYNLIQEMNIEPTTSLWGALLGASNIYGNMSIGEAAAAHLFNMDPEKSVNYIALAGIYAGAGAWENAWETRKLMDKRSAVKDPAYSLLSSTEKKVVLLQLH >EOY25512 pep chromosome:Theobroma_cacao_20110822:6:304744:313156:-1 gene:TCM_026920 transcript:EOY25512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-activating enzyme 14, putative MGFYSEAHICQCFSRLTTEKRNSVVTIRGDRQKTGHQLVECVLSLARALLHLGLRNGDVVAISAFNSDTYLEWLLAVAFIGGIVAPLNYRWSFEEARMAMVTVTPKMLATDESCYDWHSALQSHAIPSLRWHISLSSPSSDFINKYKILTMEMLLEQSVRSGSMNYSCAPEGAVVICFTSGTTGKPKGVVISHTALIVQSLAKVAIVGYSEDDVYLHTAPLCHIGGLSSAMAMLMIGACHVFIPKFEARLALEALEQHHVTSLITVPAIMADLISLIRPKRSWKGRDSVKKILNGGGGLSYDLVKDVIKFFPRAKLLSAYGMTETCSSLAFTTLFEPMLEASGTSLQLFSKSNPSPVKPGGVCVGKPAPHVDLKICFDDCSDVGRILTRGPHVMLRYWDQIPENASGSIEEAWLDTGDIGFIDDHGNLWLVGRTNGRIKSGGENVYPEEVEAVLDQHPGVISSIVVGIPDPRLTEMVVACIRLRDNWQWSNNSSKFSVQSNELFLSSEILRHYCRERNLSRFKIPKIFILWKTPFPLTTTGKIRRDQVRRDVMSQLQSSPSKL >EOY27819 pep chromosome:Theobroma_cacao_20110822:6:23227298:23229321:1 gene:TCM_029566 transcript:EOY27819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L32e isoform 1 MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNIKELELLMMHNRTYCAEIAHDVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >EOY27818 pep chromosome:Theobroma_cacao_20110822:6:23227445:23229315:1 gene:TCM_029566 transcript:EOY27818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L32e isoform 1 MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNIKELELLMMHNRTYCAEIAHDVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >EOY27820 pep chromosome:Theobroma_cacao_20110822:6:23227438:23229315:1 gene:TCM_029566 transcript:EOY27820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L32e isoform 1 MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNIKELELLMMHNRTYCAEIAHDVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >EOY27821 pep chromosome:Theobroma_cacao_20110822:6:23227250:23229371:1 gene:TCM_029566 transcript:EOY27821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L32e isoform 1 MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNIKELELLMMHNRTYCAEIAHDVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >EOY26690 pep chromosome:Theobroma_cacao_20110822:6:17230096:17234092:-1 gene:TCM_028656 transcript:EOY26690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKKHFIILVGKVNEVNVNYEICNVYALNEIFNPVQIGDKHSRVKDANFTLDFLNFIEEAGLVDLPMLEVSRAAWLAARWGFRNLDLYVSSVADWFKLLCDKMVKENLEEVVSVMWAFWKSRNAMLFKQQSPEPLTIAKLGINVVSQCRSANCLAGNTEAESVSIMVPS >EOY25704 pep chromosome:Theobroma_cacao_20110822:6:1336880:1341695:-1 gene:TCM_027087 transcript:EOY25704 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein 49 isoform 2 MRAGSYNYTNNYCNYPKTPLPTLATRCCFQSNSQCRIRSPPPAALRLHRSTFPGARVSSTNCGCCEIRAVYGGERPQGSGTASAWDEKPFEVLPNGEKAYLDEMDIITFLHPPKDLIPLDPTSYNAAAYLWKKIGDVPEERRHRLLQSLNPRLISRAWEIAGTRYDDPKLVKKSASNLLSNKDGEIPSEFYNCRTSGGPLPIAWINIFKKTIFCGSNGKTYGRFIGGSIVAQFANRFSPLYFEVTQLKEVMSTEQPCDFAYEFGDGLLDLHECPAGFPRPVKHPYPFSDQVVIYIRHIGPGVLVGQAWQEGKKLDQVPRKLCGEILMVKDYAATIEII >EOY25705 pep chromosome:Theobroma_cacao_20110822:6:1337213:1341709:-1 gene:TCM_027087 transcript:EOY25705 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein 49 isoform 2 MRAGSYNYTNNYCNYPKTPLPTLATRCCFQSNSQCRIRSPPPAALRLHRSTFPGKKIGDVPEERRHRLLQSLNPRLISRAWEIAGTRYDDPKLVKKSASNLLSNKDGEIPSEFYNCRTSGGPLPIAWINIFKKTIFCGSNGKTYGRFIGGSIVAQFANRFSPLYFEVTQLKEVMSTEQPCDFAYEFGDGLLDLHECPAGFPRPVKHPYPFSDQVVIYIRHIGPGVLVGQAWQEGKKLDQVPRKLCGEILMVKDYAATIEII >EOY25703 pep chromosome:Theobroma_cacao_20110822:6:1336961:1341695:-1 gene:TCM_027087 transcript:EOY25703 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein 49 isoform 2 MRAGSYNYTNNYCNYPKTPLPTLATRCCFQSNSQCRIRSPPPAALRLHRSTFPAGARVSSTNCGCCEIRAVYGGERPQGSGTASAWDEKPFEVLPNGEKAYLDEMDIITFLHPPKDLIPLDPTSYNAAAYLWKKIGDVPEERRHRLLQSLNPRLISRAWEIAGTRYDDPKLVKKSASNLLSNKDGEIPSEFYNCRTSGGPLPIAWINIFKKTIFCGSNGKTYGRFIGGSIVAQFANRFSPLYFEVTQLKEVMSTEQPCDFAYEFGDGLLDLHECPAGFPRPVKHPYPFSDQVVIYIRHIGPGVLVGQAWQEGKKLDQVPRKLCGEILMVKDYAATIEII >EOY27550 pep chromosome:Theobroma_cacao_20110822:6:22353223:22358249:-1 gene:TCM_029386 transcript:EOY27550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MAMLLEDIVQSVEMWLKLMRKPQPYVDPDLDPVLLVPGIAGSILNAVDGQNGKEERVWVRILGADYKLRTKLWSRFDPSTGRTVSLDPSTSITVPEERYGLYAIDVLDPDMIIGRECVYYFHDMIVEMIKWGFQEGKTLFGFGYDFRQSNRLQETLDRLAAKLESVYKASGGKKINIISHSMGGLLIKCFMALHSDIFEKYVKNWIAIAAPFRGAPGYIASTFLNGMSFVDGWEQNFFISKWSMHQLLIECPSIYELMACPHFHWQHIPLLEIWREKQDPDGYPRIILESYHPGESIDIFKEALSGNSVDYDGEIVPLPFNLEILKWAKETQKVLSHAKVPSGVKFYNIYGINLETPHSVCYGSEETPVTHLQELPFFQPTYVCVDGDGTVPAESAKADGLHAEARVGVPAFEMESHQEKGLQVTSLKEEWEIVSEEQDNLDDVASRNPFVSSISVSQGGNQQSARSEAHATVIVHPQNEGKQHIELNAISVSIDA >EOY27549 pep chromosome:Theobroma_cacao_20110822:6:22351905:22358468:-1 gene:TCM_029386 transcript:EOY27549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MAMLLEDIVQSVEMWLKLMRKPQPYVDPDLDPVLLVPGIAGSILNAVDGQNGKEERVWVRILGADYKLRTKLWSRFDPSTGRTVSLDPSTSITVPEERYGLYAIDVLDPDMIIGRECVYYFHDMIVEMIKWGFQEGKTLFGFGYDFRQSNRLQETLDRLAAKLESVYKASGGKKINIISHSMGGLLIKCFMALHSDIFEKYVKNWIAIAAPFRGAPGYIASTFLNGMSFVDGWEQNFFISKWSMHQLLIECPSIYELMACPHFHWQHIPLLEIWREKQDPDGYPRIILESYHPGESIDIFKEALSGNSVDYDGEIVPLPFNLEILKWAKETQKVLSHAKVPSGVKFYNIYGINLETPHSVCYGSEETPVTHLQELPFFQPTYVCVDGDGTVPAESAKADGLHAEARVGVPGEHRGILCEPHVFRILKHWLKAGAPDPFYNPINDYVILPTAFEMESHQEKGLQVTSLKEEWEIVSEEQDNLDDFLVGVAVLLLHFSWGKQLAVEQHMDLGLEGDRIEAEEDTLALAPLEDMK >EOY28599 pep chromosome:Theobroma_cacao_20110822:6:26008488:26011242:-1 gene:TCM_030155 transcript:EOY28599 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPDK regulatory protein isoform 3 MVELKTKMLACSTFNLRAAATSANPNISEPEPEPKVRKLKASPQLNRWYRARALRSGHKLERSSQPAGPLEVNRSVQRTRESPSSESTSTVSDGDRDVEFTPGKPIYMVSDGTGWTVEHSVNAALGQFEHCLVDRVCPVNTHLFSGIDDVETLMEIIKQAAKEGAMLVYTLADPSMAESANQACKLWGIPSTDVLGPITESIAAHLGVSPSGLPRGAPGRNFPLSDEYFRRIEAVEFTIKQDDGALPQNLCRADIVLTGVSRTGKTPLSIYLAQKGYKVANVPIVKGVALPKGLFEVDPEKVFGLTINPLVLQTIRKARAKSLGFSEEARSNYSEMDYVKQELEFARRVFAQNPVWPVIEVTGKAIEETAAVILRLYHDRKHKCSMPRISKRY >EOY28601 pep chromosome:Theobroma_cacao_20110822:6:26009338:26010948:-1 gene:TCM_030155 transcript:EOY28601 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPDK regulatory protein isoform 3 MVELKTKMLACSTFNLRAAATSANPNISEPEPEPKVRKLKASPQLNRWYRARALRSGHKLERSSQPAGPLEVNRSVQRTRESPSSESTSTVSDGDRDVEFTPGKPIYMVSDGTGWTVEHSVNAALGQFEHCLVDRVCPVNTHLFSGQAAKEGAMLVYTLADPSMAESANQACKLWGIPSTDVLGPITESIAAHLGVSPSGLPRGAPGRNFPLSDEYFRRIEAVEFTIKQDDGALPQNLCRADIVLTGVSRTGKTPLSIYLAQKGYKVANVPIVKGVALPKGLFEVDPEKVFGLTINPLVLQTIRKARAKSLGFSEEARSNYSEMDYVKQELEFARRVFAQNPVWPVIEVTGKAIEETAAVILRL >EOY28600 pep chromosome:Theobroma_cacao_20110822:6:26008912:26010948:-1 gene:TCM_030155 transcript:EOY28600 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPDK regulatory protein isoform 3 MVELKTKMLACSTFNLRAAATSANPNISEPEPEPKVRKLKASPQLNRWYRARALRSGHKLERSSQPAGPLEVNRSVQRTRESPSSESTSTVSDGDRDVEFTPGKPIYMVSDGTGWTVEHSVNAALGQFEHCLVDRVCPVNTHLFSGAAKEGAMLVYTLADPSMAESANQACKLWGIPSTDVLGPITESIAAHLGVSPSGLPRGAPGRNFPLSDEYFRRIEAVEFTIKQDDGALPQNLCRADIVLTGVSRTGKTPLSIYLAQKGYKVANVPIVKGVALPKGLFEVDPEKVFGLTINPLVLQTIRKARAKSLGFSEEARSNYSEMDYVKQELEFARRVFAQNPVWPVIEVTGKAIEETAAVILRLYHDRKHKCSMPRISKRY >EOY27125 pep chromosome:Theobroma_cacao_20110822:6:20280150:20281087:1 gene:TCM_029052 transcript:EOY27125 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 22 MSIPRTGSNGTTQACAACKYQRRKCAPDCILAPYFPHDRQRQFLNAHKLFGVSNITKIIKNLTPPEKDIAMRTIVFQSDARANDPVGGCYRIIQELQRQIEYSQAELDLVFHQLAICRAQAHQQQQQSHLQMHEPGDSSLGCEMVNADPLNSYNSNYYYVEEPHEQQFSVNNNHHHLQENYDSWGIQESTTLDSLNVKQSFIRVSDNEVKPDLDILVKDIRSGLRLKN >EOY26303 pep chromosome:Theobroma_cacao_20110822:6:7294640:7303250:1 gene:TCM_027786 transcript:EOY26303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATP synthase subunit A isoform 3 MPAVYGARLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLTVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDTLWDFQPKKIGEGDLLTGGDLYATVFENSLMQHHVALPPDAMGKITYIAPPGQYSLKDTVLELEFQGVKKQLTMLQTWPVRTPRPVATKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSGALESFYEKFDQDFIYIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQIFGKVGGKKMATILSAGVMLHFIADKIKYDSRGVGEISNASCVQAVEKAAGMDGQKITYSLIKHRLGDLFYRLVSQKFEDPAEGEEALVAKLKKLYEDLTAGFRALEDETR >EOY26305 pep chromosome:Theobroma_cacao_20110822:6:7294758:7302750:1 gene:TCM_027786 transcript:EOY26305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATP synthase subunit A isoform 3 MPAVYGARLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLTVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDTLWDFQPKKIGEGDLLTGGDLYATVFENSLMQHHVALPPDAMGKITYIAPPGQYSLKDTVLELEFQGVKKQLTMLQTWPVRTPRPVATKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSGALESFYEKFDQDFIYIRTKAREVLQREDDLNEIVQL >EOY26304 pep chromosome:Theobroma_cacao_20110822:6:7294896:7303272:1 gene:TCM_027786 transcript:EOY26304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATP synthase subunit A isoform 3 MPAVYGARLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLTVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDTLWDFQPKKIGEGDLLTGGDLYATVFENSLMQHHVALPPDAMGKITYIAPPGQYSLKDTVLELEFQGVKKQLTMLQTWPVRTPRPVATKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSGALESFYEKFDQDFIYIRTKAREVLQREDDLNEIVQV >EOY26306 pep chromosome:Theobroma_cacao_20110822:6:7294758:7302750:1 gene:TCM_027786 transcript:EOY26306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATP synthase subunit A isoform 3 MPAVYGARLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLTVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDTLWDFQPKKIGEGDLLTGGDLYATVFENSLMQHHVALPPDAMGKITYIAPPGQYSLKDTVLELEFQGVKKQLTMLQTWPVRTPRPVATKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSGALESFYEKFDQDFIYIRTKAREVLQREDDLNEIVQL >EOY26123 pep chromosome:Theobroma_cacao_20110822:6:4813427:4821092:1 gene:TCM_027541 transcript:EOY26123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEKEENSLSRISSSQVFLTHLCCGLALAVGFWVAYNVYSINLVSDPTNTLRLIWAIECPVVILLFSCFRKKPEKCSYLKAVARGLVGLPAGALVNALGALALGSPVGLQYFEKTVIWSLLMSLFIFVPASSVYGSSWTDWHRIFACTKPKGSLDFMLCLPAHGAVIGAWFGAWPMPLDWERPWQDWPICVSYGAMAGYVVGINRKKV >EOY26124 pep chromosome:Theobroma_cacao_20110822:6:4819612:4820438:1 gene:TCM_027541 transcript:EOY26124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRAEVTPPRAVPCSRPLKSAFKFSLTASFKMCFVGFEKEEEGRIAVGKLNGVYGSSISALGFILQGMEEGTTSGEKEGKDRITQMALKGTKGKSGESWNLRDGIKKQPRSCKGDINVDNLHNLESSIIACWPLKKYFIACSEDRVSAANLQEYLSMEGNQQLRVRNLAPNYFLVALNDKTSFEELRKESWGWLNKWFKSIEAWSMDFSTPYVHKWVPVKGALAHAWYHSTFKSIAKCCGELLIVDERP >EOY29012 pep chromosome:Theobroma_cacao_20110822:6:27110009:27115483:-1 gene:TCM_030453 transcript:EOY29012 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor, putative MGIVSQEAIVQLQALMDRVDEPLKKTFENVHQGYRAETLARFLKAREWSVVKAHKMLVDSLLWRVQNDIDNILTKPIFPTELYRAVRDSQLIGMSGYSREGLPVFAIGVGLSTFDKASVHYYVQSHIQINEYRDRVILPSASKKHGRPITTCIKVLDMTGLKLSALTHIKLLTIISTIDDLNYPEKTNTYYIVNAPYIFSACWKVVKPLLQERTRKKIQVLPGCGRDELLKIMDLASMPHFCGKEGSGSSRHSENENCFSLDHPFHQQLYNYVKQQSLVTEPAQPIKQGSFHVDLPEPAAEGTEIAKTLESELHKFENGNGLSRSISGIKIDDD >EOY28854 pep chromosome:Theobroma_cacao_20110822:6:26704350:26708233:1 gene:TCM_030334 transcript:EOY28854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-butyric acid response 1 isoform 2 EGASVVISSRKQKNVDEAVEKLEKKGIQVLGVVCHVSNAQQRKDLIRKTVEKYGKIDVVVSNAAVNPTVDPLLQTQESILDKLWETNVKATVLLLQEAAPHLQKGSSVVLISSIAGFHPQAAMAMYGVTKTALLGLTKALAVEMAPDTRVNCVAPGFVPTYFAAYITKDEVVRKAAEDQTLLQRLGTPEDMAAATAFLASDDASYITGENLVVAGGIPSRL >EOY28853 pep chromosome:Theobroma_cacao_20110822:6:26706253:26708273:1 gene:TCM_030334 transcript:EOY28853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-butyric acid response 1 isoform 2 MKKMEMKGRRRFEGKVAIVTASTQGIGLSIAERLGLEGASVVISSRKQKNVDEAVEKLEKKGIQVLGVVCHVSNAQQRKDLIRKTVEKYGKIDVVVSNAAVNPTVDPLLQTQESILDKLWETNVKATVLLLQEAAPHLQKGSSVVLISSIAGFHPQAAMAMYGVTKTALLGLTKALAVEMAPDTRVNCVAPGFVPTYFAAYITKDEVVRKAAEDQTLLQRLGTPEDMAAATAFLASDDASYITGENLVVAGGIPSRL >EOY27066 pep chromosome:Theobroma_cacao_20110822:6:19928404:19936595:-1 gene:TCM_029003 transcript:EOY27066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A, regulatory subunit PR55, BETA isoform 3 MNGGDEVVAAPAGPPQPLDWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKDHGVPRRDLERIDYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISDMNLDPSKAVGNGSIASSSNSCSPKPCVANGGSPDRSYNYLGNDFSFPPGGIASLRLPVTSLETNLMARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHTKLFEEPEAPGSRSFFTEIIASISDIKFAKDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPRLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEATTLEASKNPMRRQVQTPSRPSRSLSSITRVVRRGAEAPGVDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >EOY27067 pep chromosome:Theobroma_cacao_20110822:6:19928561:19935781:-1 gene:TCM_029003 transcript:EOY27067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A, regulatory subunit PR55, BETA isoform 3 MNGGDEVVAAPAGPPQPLDWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKDHGVPRRDLERIDYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISDMNLDPSKAVGNGSIASSSNSCSPKPCVANGGSPDRSYNYLGNDFSFPPGGIASLRLPVVTSLETNLMARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHTKLFEEPEAPGSRSFFTEIIASISDIKFAKDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPRLCDLYENDSIFDKFECCLSGDGLRVATGSYSSNLFRVFGCAPGSTEATTLEASKNPMRRQVQTPSRPSRSLSSITRVVRRGSRSPWS >EOY27064 pep chromosome:Theobroma_cacao_20110822:6:19927894:19936388:-1 gene:TCM_029003 transcript:EOY27064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A, regulatory subunit PR55, BETA isoform 3 MNGGDEVVAAPAGPPQPLDWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKDHGVPRRDLERIDYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISDMNLDPSKAVGNGSIASSSNSCSPKPCVANGGSPDRSYNYLGNDFSFPPGGIASLRLPVVVTSLETNLMARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHTKLFEEPEAPGSRSFFTEIIASISDIKFAKDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPRLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEATTLEASKNPMRRQVQTPSRPSRSLSSITRVVRRGAEAPGVDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >EOY27065 pep chromosome:Theobroma_cacao_20110822:6:19927760:19936403:-1 gene:TCM_029003 transcript:EOY27065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A, regulatory subunit PR55, BETA isoform 3 MNGGDEVVAAPAGPPQPLDWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKDHGVPRRDLERIDYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISDMNLDPSKAVGNGSIASSSNSCSPKPCVANGGSPDRSYNYLGNDFSFPPGGIASLRLPVVTSLETNLMARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHTKLFEEPEAPGSRSFFTEIIASISDIKFAKDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPRLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEATTLEASKNPMRRQVQTPSRPSRSLSSITRVVRRGAEAPGVDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >EOY26101 pep chromosome:Theobroma_cacao_20110822:6:4470167:4473599:1 gene:TCM_027503 transcript:EOY26101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVVRLYNIEDARQYALSAEKRVLRYGARKPLYGTHWQNNSEARRAEGSEMGIMYALVTKHLKSYQMSKSPQYPTEIQQLLKEFGELFNEDFSKSLPPLRSIQHAIDLVPGAALPNLPAYRMPPMQRAEVQRQVEELLEKGLVRESKSPCACPTLLAPKKDGSWRMCVDSRAINKITIKYRFPIPRLDEMLDQLVGSRVFSKIDLKSGYHQIRMRDGDEWKTAFKTPDGLFEWLVMPFGLSNAPSTFIRVMAEVLKPFLNSFVVVYFDDILIYSHTKEKHLKHLRQVLEVLQKEQLYINLKKCSFMQPEVVFLGFIVSAEGLKPDPEKIRAISEVEVF >EOY28388 pep chromosome:Theobroma_cacao_20110822:6:25206878:25208375:1 gene:TCM_029969 transcript:EOY28388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLCFCLNFANPLRRRLREFYHPLPRNKFLTRDTAGSKLSDVTNQLSRAREATFPCLFLSHQLSAGYFLFFPHNSTAVSVGSGGAVDFRNVDVLSSCPTSGWLLLMHGYQVFYFNVRTQDRFDLPDLPASLEGTRKGCFSRCPTDESNVTMIIDHQSISFLVYRYKSDIGYWVQDEGLVVPDTHLDASTHTKVDDEFYWVCKSDNQLFILKKWSSKIITDEELAETRKKREALDIQLLSFDIDVREADAVSSVVDPSGCFLVLEKNELFELYKLVIGDDDVAHTVRIVDLNQKNFFTVSDSCGFWLSSVVDTNTLYLASYLEHDCVKCYLIMVSTKRKNLKGNEKKNGQLRLFFMHCNSRVSLNH >EOY26555 pep chromosome:Theobroma_cacao_20110822:6:13914000:13919665:1 gene:TCM_028353 transcript:EOY26555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tesmin/TSO1-like CXC domain-containing protein isoform 2 MESPKSDGNAVEAFPASALKSPFSKFLNNLSPIESANAARYIERLSESSLPTTPSVFGSPHLDLQPETGFLESEEIAASTSNAHGQLYSPSSSALIPCIQKQFQSCNPSECVDDFLADPLEVDSAQHAETFLQSANVVPLLLPSCFTASQVTTKNDDYTNDWVVEVGAQTLPHLSKKHLLSGSLILLEGSGDQSIDESFDKILKFSSENICNYVEPDELLEHQEASQHQRGIRRHLRFEATLDCKDNAAFNCHTSSRVREVVDHVDSDHQSSEAVVVDNEGHLPDNIEPPWDTQQVSCFDQQTLPCGGQRVEVLSQYAESSKGKRNRETYTYESGDFKRCNCQMSRCLKLYCECFAAGLYCVDSCACENCYNRTDYEDWVEDSREQIELRNPLAFAPTIVEQANDSPILADDGNWTTPSSARHKRGCKCKRSKCLKKYCECYRAKVGCSGGCRCEGCDNSFGKKSESIFQREEEWKNLLNMEELMSDQKGGTANQFSPTWEELGNTSHLTPLSHQVPSLILSKIWDFPYISQAQPQDGSGLQLSPGQLHWYSSALASVNAPCEIMGDGSPHIHNDNSNPANKLQSGSPNQERVFPPQQIQSDRLGSSSTAGLQSGRKLSSQAVSSFPPLSPHRNSKDRMNQIEDEQ >EOY26556 pep chromosome:Theobroma_cacao_20110822:6:13914000:13919665:1 gene:TCM_028353 transcript:EOY26556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tesmin/TSO1-like CXC domain-containing protein isoform 2 MEMPLRRSLLPHYEEIAASTSNAHGQLYSPSSSALIPCIQKQFQSCNPSECVDDFLADPLEVDSAQHAETFLQSANVVPLLLPSCFTASQVTTKNDDYTNDWVVEVGAQTLPHLSKKHLLSGSLILLEGSGDQSIDESFDKILKFSSENICNYVEPDELLEHQEASQHQRGIRRHLRFEATLDCKDNAAFNCHTSSRVREVVDHVDSDHQSSEAVVVDNEGHLPDNIEPPWDTQQVSCFDQQTLPCGGQRVEVLSQYAESSKGKRNRETYTYESGDFKRCNCQMSRCLKLYCECFAAGLYCVDSCACENCYNRTDYEDWVEDSREQIELRNPLAFAPTIVEQANDSPILADDGNWTTPSSARHKRGCKCKRSKCLKKYCECYRAKVGCSGGCRCEGCDNSFGKKSESIFQREEEWKNLLNMEELMSDQKGGTANQFSPTWEELGNTSHLTPLSHQVPSLILSKIWDFPYISQAQPQDGSGLQLSPGQLHWYSSALASVNAPCEIMGDGSPHIHNDNSNPANKLQSGSPNQERVFPPQQIQSDRLGSSSTAGLQSGRKLSSQAVSSFPPLSPHRNSKDRMNQIEDEQ >EOY28762 pep chromosome:Theobroma_cacao_20110822:6:26474783:26477834:1 gene:TCM_030275 transcript:EOY28762 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI/FBD-like domains-containing protein MERGCDRISNLPDPLLEHILTFLPTKYAIRTGVLSKRWKDLWVSQPYISLSHDGLIGRIAEFKNLSVRDRTVKVSKYKNFFNKVLLQPQAKVKKLQISAPERLEALEFNRWFPAIMKEGLEELDLDFAIFCDAPVSILAVCNTLVTLKLDFGALSAHKFPKSFYFPTLKTMQLCGFVLANNFTHQLLQSKNLESLILPYFMFDLMSRDSVPNCSDQKQALPNLNYAQMNCPYTFRGEDSTRSFLKNMINVVSNAKDLNLSLSIMEYLAHDDLPEFNNLKHIKLHLQSFHVRAMCYILQKAPNLEFLHIEFVRPYGNEPLMLEELRSCCSRANLKVIQMTNFILEEDLVLELVQLIFESAGSLEGIVIELVEQPKMNNFLRCQKLLKLPRLSECSILHLKWDSNYS >EOY26514 pep chromosome:Theobroma_cacao_20110822:6:13388379:13392927:-1 gene:TCM_028297 transcript:EOY26514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MGGLCSVSISIENTISFCCNHAAKHASYACRLGKHLDVLETKMEELKALRNDVKRRVENAERQHMKRLDQVEWWLSRVEALEGEVEDLMKESVNEKKCPGCCYPKNCRASYKLGKKAAEMLKKVRRHRKKGQFERVAETLPPAPGDLKPCEHTVGMESMIATVWNCLSEEQAVIIGLYGMGGIGKTTLLTQINNMLLSLPSNVDFVIWAVASKDLKLEKIQDEIGEKLGYSDNRWRNKRIEQKAIDIYRVLSNRKFVLLLDDLWDRVDLTKIGVPIPDQQNNSKVVFTTRSKEVCGLMEAHKRFRVECLPPPFAWHLFQRKVGNDTLNLHPDIPKLAETVAKECAGLPLALITVGRAMACKKTPKEWIRAIEVLRKCASEFSGMGDKVFPLLKFSFDHLPNEKVRCCFLYCTLFPEDFVIHKTDLIDYWICEELLDEGNDRNGAQNQGYDIIGTLVYACLLEEEGDYVKMHDVIRDMSLWIANECKYFEERFLVQAGVRLVEAPGIKKWETVRRISLMANCVQSLMETPSCPNLLTLFLNENTLNTITNDFFQSMPNLRVLDLSSNSGISELPQGISKLVSLKYLNLSKTSIRQLPNELKSLEKLEYLNLEHTFALNTIPCQLISSFPFLQVLRMFGCGSSDLVVHGNLLSGGNECLVQELQCLKKLSMLSLTVKSASALEGFLSSHKFKSCARDLCLEFLSGSNVLNISCLADMKQLNMLEISDCNSLEELKHDWLQEPRKILTSIDFHSSMILKDRCFNNLQRVSVDNCIRLGDLTWLMLAPNLASLCVSRCSQIKEIISTAKCGRLAEVLLGSIKPFEKLEVLHLSYLPELKCIYQDPLPFLSLKKISIFGCPKLKKLPVNVQNAEGHGIAIYGWEFWWKELEWDDETTKNAFVPCFKSMPLNISLQ >EOY28276 pep chromosome:Theobroma_cacao_20110822:6:24802683:24807771:-1 gene:TCM_029892 transcript:EOY28276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoinase, putative isoform 2 MPGLIDVHAHLDDPGRAEWEGFPSGTKAAAAGGITTLIDMPLNSFPSTVSTETLKLKIEAAEKRIFVDVGFWGGLVPGNAFNATALEGLLNAGVLGLKSFMCPSGINDFPMTDANHIKAGLSVLAKYRRPLLVHSEIQQDVESYLQDEDGDDPRLYSTYLKTRPPSWEEAAIRELLTVTKDTRIGGPAEGAHLHVVHLSDASSSLDLIKEAKRRGDSITVETCPHYLAFSAEEIPDGDTRFKCAPPIRDAANKENLWNALKGAIAIGNHADIVVWEPEVEFDLNADHPMYVKNPSISAYIGKRLSGKVLATFVRGNLVYNEGNHAAAACGALILAT >EOY28273 pep chromosome:Theobroma_cacao_20110822:6:24802753:24807779:-1 gene:TCM_029892 transcript:EOY28273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoinase, putative isoform 2 MPGLIDVHAHLDDPGRAEWEGFPSGTKAAAAGGITTLIDMPLNSFPSTVSTETLKLKIEAAEKRIFVDVGFWGGLVPGNAFNATALEGLLNAGVLGLKSFMCPSGINDFPMTDANHIKAGLSVLAKYRRPLLVHSEIQQDVESYLQDEDGDDPRLYSTYLKTRPPSWEEAAIRELLTVTKDTRIGGPAEGAHLHVVHLSDASSSLDLIKEAKRRGDSITVETCPHYLAFSAEEIPDGDTRFKCAPPIRDAANKENLWNALMEGHIDMLSSDHSPTVPELKLLNDGNFLRAWGGISSIQFVLPVTWSYGRKFGVTLEQLALWWSERPAKLAQQHSKGAIAIGNHADIVVWEPEVEFDLNADHPMYVKNPSISAYIGKRLSGKVLATFVRGNLVYNEGNHAAAACGALILAT >EOY28274 pep chromosome:Theobroma_cacao_20110822:6:24802753:24807873:-1 gene:TCM_029892 transcript:EOY28274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoinase, putative isoform 2 MDWQWKLLPLLTLLASFLFLYYIQDSFKPFQSDCSLLPYSHYWIASKHIVTPQGIISGAVEVKGGNIISIVKDKDWNGKSKQVVDYGYAVVMPGLIDVHAHLDDPGRAEWEGFPSGTKAAAAGGITTLIDMPLNSFPSTVSTETLKLKIEAAEKRIFVDVGFWGGLVPGNAFNATALEGLLNAGVLGLKSFMCPSGINDFPMTDANHIKAGLSVLAKYRRPLLVHSEIQQDVESYLQDEDGDDPRLYSTYLKTRPPSWEEAAIRELLTVTKDTRIGGPAEGAHLHVVHLSDASSSLDLIKEAKRRGDSITVETCPHYLAFSAEEIPDGDTRFKCAPPIRDAANKENLWNALMEGHIDMLSSDHSPTVPELKLLNDGNFLRAWGGISSIQFVLPVTWSYGRKFGVTLEQLALWWSERPAKLAQQHSKSISAYIGKRLSGKVLATFVRGNLVYNEGNHAAAACGALILAT >EOY28272 pep chromosome:Theobroma_cacao_20110822:6:24802704:24808223:-1 gene:TCM_029892 transcript:EOY28272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoinase, putative isoform 2 MDWQWKLLPLLTLLASFLFLYYIQDSFKPFQSDCSLLPYSHYWIASKHIVTPQGIISGAVEVKGGNIISIVKDKDWNGKSKQVVDYGYAVVMPGLIDVHAHLDDPGRAEWEGFPSGTKAAAAGGITTLIDMPLNSFPSTVSTETLKLKIEAAEKRIFVDVGFWGGLVPGNAFNATALEGLLNAGVLGLKSFMCPSGINDFPMTDANHIKAGLSVLAKYRRPLLVHSEIQQDVESYLQDEDGDDPRLYSTYLKTRPPSWEEAAIRELLTVTKDTRIGGPAEGAHLHVVHLSDASSSLDLIKEAKRRGDSITVETCPHYLAFSAEEIPDGDTRFKCAPPIRDAANKENLWNALMEGHIDMLSSDHSPTVPELKLLNDGNFLRAWGGISSIQFVLPVTWSYGRKFGVTLEQLALWWSERPAKLAQQHSKGAIAIGNHADIVVWEPEVEFDLNADHPMYVKNPSISAYIGKRLSGKVLATFVRGNLVYNEGNHAAAACGALILAT >EOY28275 pep chromosome:Theobroma_cacao_20110822:6:24803607:24807771:-1 gene:TCM_029892 transcript:EOY28275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoinase, putative isoform 2 MPGLIDVHAHLDDPGRAEWEGFPSGTKAAAAGGITTLIDMPLNSFPSTVSTETLKLKIEAAEKRIFVDVGFWGGLVPGNAFNATALEGLLNAGVLGLKSFMCPSGINDFPMTDANHIKAGLSVLAKYRRPLLVHSEIQQDVESYLQDEDGDDPRLYSTYLKTRPPSWEEAAIRELLTVTKDTRIGGPAEGAHLHVVHLSDASSSLDLIKEAKRRGDSITVETCPHYLAFSAEEIPDGDTRFKCAPPIRDAANKENLWNALMEGHIDMLSSDHSPTVPELKLLNDGNFLRAWGGISSIQFVLPVTWSYGRKFGVTLEQLALWWSERPAKLAQQHSKGAIAIGNH >EOY28867 pep chromosome:Theobroma_cacao_20110822:6:26743374:26749439:-1 gene:TCM_030348 transcript:EOY28867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidinediphosphate diacylglycerol synthase 2 isoform 1 MQKENNTAPPSAATVRIRHRKRSNEVVPEPSKANGGNLLVDDRNKYKSMWIRTYSTVWMIGGFALIVYMGHLYITAMVVVIQIYMAKELFNLLRKAHEDTHLPGFRLLNWHFFFTAMLFVYGRLLSQPLVNTVSSDKFLYQFVSSLIKYHMAICYFSYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIFAYIFGFFFGRTPLIKLSPKKTWEGFIGASVTTIISAFMLANIMGRFQWLTCPRKDLSTGWLQCDPGPLFKAESYTLPGWISQWIPSKEISVLPVQWHALCLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFIVREGISVEIILDQILTNLTFEEQQTLLVKLGQILQERLGHS >EOY28868 pep chromosome:Theobroma_cacao_20110822:6:26743609:26749436:-1 gene:TCM_030348 transcript:EOY28868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidinediphosphate diacylglycerol synthase 2 isoform 1 MQKENNTAPPSAATVRIRHRKRSNEVVPEPSKANGGNLLVDDRNKYKSMWIRTYSTVWMIGGFALIVYMGHLYITAMVVVIQIYMAKELFNLLRKAHEDTHLPGFRLLNWHFFFTAMLFVYGRLLSQPLVNTVSSDKFLYQFVSSLIKYHMAICYFSYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIFAYIFGFFFGRTPLIKLSPKKTWEGFIGASVTTIISAFMLANIMGRFQWLTCPRKDLSTGWLQCDPGPLFKAESYTLPGWISQWIPSKEISVLPVQWHALCLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFIVREGISVEIILDQILTNLTFEEQQTLLVKLGQILQERLGHS >EOY26619 pep chromosome:Theobroma_cacao_20110822:6:15457322:15465266:1 gene:TCM_028495 transcript:EOY26619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMHCMHFLNIVRNCKMKKRFPLLALEVTRVMNLQNCDFEIFCNENGFNHNFSVLRTPQQMKWLKGKIGL >EOY26156 pep chromosome:Theobroma_cacao_20110822:6:5125588:5130420:1 gene:TCM_027572 transcript:EOY26156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAALSSSSFLCSNSSKTISTRLTLFTSTLIFTPKKSISIVMAATPTTTAAKVVPSVIVGGGRVGKALQDMGKGDDLLVKRGEPVPLDFEGPILVCTRNDDLESVLEATPKSRWDDLVFFQNGMLEPWLQSKGLNDADQVLAYFAVSKLGEPPVDGKTDTNPEGLTAAYGKWASAIATRLHAGGLSCKVKRSAAIKLPQLLSSFSLDNVGYKNDFYEEMVLDKEAFQKQMLEKLIWISAFMLVGARHPGATVGDVEKEYRSEVSSLIAELASAAAGEKGITFEGAMEDRLCAYSRAVAHFPTAVKEFKWRNGWFYSISEKAIVEGKPDPCPLHTAWLKELKVV >EOY26158 pep chromosome:Theobroma_cacao_20110822:6:5125731:5130284:1 gene:TCM_027572 transcript:EOY26158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAALSSSSFLCSNSSKTISTRLTLFTSTLIFTPKKSISIVMAATPTTTAAKVVPSVIVGGGRVGKALQDMGKGDDLLVKRDLVFFQNGMLEPWLQSKGLNDADQVLAYFAVSKLGEPPVDGKTDTNPEGLTAAYGKWASAIATRLHAGGLSCKVLDKEAFQKQMLEKLIWISAFMLVGARHPGATVGDVEKEYRSEVSSLIAELASAAAGEKGITFEGAMEDRLCAYSRAVAHFPTAVKEFKWRNGWFYSISEKAIVEGKPDPCPLHTAWLKELKVV >EOY26157 pep chromosome:Theobroma_cacao_20110822:6:5125588:5130420:1 gene:TCM_027572 transcript:EOY26157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAALSSSSFLCSNSSKTISTRLTLFTSTLIFTPKKSISIVMAATPTTTAAKVVPSVIVGGGRVGKALQDMGKGDDLLVKRGEPVPLDFEGPILVCTRNDDLESVLEATPKSRWDDLVFFQNGMLEPWLQSKGLNDADQVLAYFAVSKLGEPPVDGKTDTNPEGLTAAYGKWASAIATRLHAGGLSCKVLDKEAFQKQMLEKLIWISAFMLVGARHPGATVGDVEKEYRSEVSSLIAELASAAAGEKGITFEGAMEDRLCAYSRAVAHFPTAVKEFKWRNGWFYSISEKAIVEGKPDPCPLHTAWLKELKVV >EOY28609 pep chromosome:Theobroma_cacao_20110822:6:26034070:26035874:1 gene:TCM_030160 transcript:EOY28609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyubiquitin 10 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >EOY28964 pep chromosome:Theobroma_cacao_20110822:6:26964392:26966208:1 gene:TCM_030417 transcript:EOY28964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-activated outward-rectifying potassium channel, putative MAREDASQPLLSAMTDSSLNETKAIQRRKPQRRSMAPSEKGSQEQQRIPSAINPESALVAQEVRFKYVLLWLASYLGIGTLWFSLIRNQIDGKKTNGVLDAIYFCVVTMTTVGYGDLVPHSTLAKILACIYVFTGMALVGLIISKAADYIVEKQEILLVRAMHMNEKFNAAEILMEVETDKVKYKFLVTSQLLLVLIVVGISFLSLVEKMEFIDAIYCVCSTITTLGYGDESFSTGVGRIFAIFWILSSTVCLAQFFLYLAELYTERRQKALVKWVLTRKLTSSDLEAADLDHDGVVSTAEFILYKLKEMGKICQEDVLPLMERFKTLDVDHTGTLTASDLI >EOY26753 pep chromosome:Theobroma_cacao_20110822:6:17702485:17708076:-1 gene:TCM_028719 transcript:EOY26753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPEALHSGQNKIYNHLFYRFSFTMELSKWCSSGTQDLRWSQGYAKSQYDRMHSINVLVTRSKLPRWRMLWRRLMREKKKIFDCSSSTRVHVSYDPYTYAQNFDQGLMSADPDDLSRSFSARFAVPSRVFEKSGCKLR >EOY25475 pep chromosome:Theobroma_cacao_20110822:6:184740:198328:1 gene:TCM_026898 transcript:EOY25475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-2A, putative isoform 4 MEAIEELAELSESMRQAAAILADEDVDETSSSSSKRSSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPISIDLARDGSLSSKSIILQIDNKSQQVSASALRHSLQDRLSKGSSGRSRDEIYLKLRTSTAPPLKLIDLPGLEQRIVDDSLVREYVEHNDAILLVIVPAAQAPEISSSRALRIAKEYDSEGTRTVGIISKIDQAASDSKALAAVQALLSNQGPPKTSDIPWVALIGQSVSIASAQSGSASSDNSLETAWRAENESLKSILTGAPQSKLGRVALVDTLAGQIRNRMKLRLPNLLSGLQGKSQIVQDELLRLGEQMVSTAEGTRAIALELCREFEDKFLQHITGGEGNGWKIVASFEGSFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLLDIVSAAANATPGLGRYAPFKREVVAIASAALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKNRSSKKALDAEQSILNRATSPQTGGQQSEGSLKTLKDKSSKQEKDVQEGSALKTAGPGGEITAGFLLKKSGKTNGWSRRWFVLNEKTGKFGYTKKQEERHFRGVITLEECNIEEVADDESGSSKSSKDKKANGPDSGKGPSLVFKITSRVPYKTVLKLTVLCC >EOY25477 pep chromosome:Theobroma_cacao_20110822:6:184828:198318:1 gene:TCM_026898 transcript:EOY25477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-2A, putative isoform 4 MEAIEELAELSESMRQAAAILADEDVDETSSSSSKRSSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPISIDLARDGSLSSKSIILQIDNKSQQVSASALRHSLQDRLSKGSSGRSRDEIYLKLRTSTAPPLKLIDLPGLEQRIVDDSLVREYVEHNDAILLVIVPAAQAPEISSSRALRIAKEYDSEGTRTVGIISKIDQAASDSKALAAVQALLSNQGPPKTSDIPWVALIGQSVSIASAQSGSASSDNSLETAWRAENESLKSILTGAPQSKLGRVALVDTLAGQIRNRMKLRLPNLLSGLQGKSQIVQDELLRLGEQMVSTAEGTRAIALELCREFEDKFLQHITGGEGNGWKIVASFEGSFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLLDIVSAAANATPGLGRYAPFKREVVAIASAALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKNRSSKKALDAEQSILNRATSPQTGGQQSEGSLKTLKDKSSKQEKDVQEGSALKTAGPGGEITAGFLLKKSGKTNGWSRRWFVLNEKTGKFGYTKKQEERHFRGVITLEGMQH >EOY25476 pep chromosome:Theobroma_cacao_20110822:6:184740:198328:1 gene:TCM_026898 transcript:EOY25476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-2A, putative isoform 4 MEAIEELAELSESMRQAAAILADEDVDETSSSSSKRSSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPISIDLARDGSLSSKSIILQIDNKSQQVSASALRHSLQDRLSKGSSGRSRDEIYLKLRTSTAPPLKLIDLPGLEQRIVDDSLVREYVEHNDAILLVIVPAAQAPEISSSRALRIAKEYDSEGTRTVGIISKIDQAASDSKALAAVQALLSNQGPPKTSDIPWVALIGQSVSIASAQSGSASSDNSLETAWRAENESLKSILTGAPQSKLGRVALVDTLAGQIRNRMKLRLPNLLSGLQGKSQIVQDELLRLGEQMVSTAEGTRAIALELCREFEDKFLQHITGGEGNGWKIVASFEGSFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLLDIVSAAANATPGLGRYAPFKREVVAIASAALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKNRSSKKALDAEQSILNRATSPQTGGQQSEGSLKTLKDKSSKQEKDVQEGSALKTAGPGGEITAGFLLKKSGKTNGWSRRWFVLNEKTGKFGYTKKQEERHFRGVITLEECNIEEVADDESGSSKSSKDKKANGPDSGKGPSLVFKITSRVPYKTVLKLTVLCC >EOY25474 pep chromosome:Theobroma_cacao_20110822:6:184441:198875:1 gene:TCM_026898 transcript:EOY25474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-2A, putative isoform 4 MEAIEELAELSESMRQAAAILADEDVDETSSSSSKRSSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPISIDLARDGSLSSKSIILQIDNKSQQVSASALRHSLQDRLSKGSSGRSRDEIYLKLRTSTAPPLKLIDLPGLEQRIVDDSLVREYVEHNDAILLVIVPAAQAPEISSSRALRIAKEYDSEGTRTVGIISKIDQAASDSKALAAVQALLSNQGPPKTSDIPWVALIGQSVSIASAQSGSASSDNSLETAWRAENESLKSILTGAPQSKLGRVALVDTLAGQIRNRMKLRLPNLLSGLQGKSQIVQDELLRLGEQMVSTAEGTRAIALELCREFEDKFLQHITGGEGNGWKIVASFEGSFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLLDIVSAAANATPGLGRYAPFKREVVAIASAALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKNRSSKKALDAEQSILNRATSPQTGGQQSEGSLKTLKDKSSKQEKDVQEGSALKTAGPGGEITAGFLLKKSGKTNGWSRRWFVLNEKTGKFGYTKKQEERHFRGVITLEECNIEEVADDESGSSKSSKDKKANGPDSGKGPSLVFKITSRVPYKTVLKAHSAVLLKAESTADKVEWLERLRNVVESKGGQVKGESAPPMRQSLSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLIQLYSSVSAISNARIEELLQEDQNAKRRRERYQKQSSLLSKLTRLLSIHDNRAAAASSWSNGSVAENSPRASGPSSGEDWRSAFDAAANGPVESSRYGANGHSRRYSDPAQNGDVGSGSSSGSRRTPTRLPPAPPQSASSYRY >EOY27667 pep chromosome:Theobroma_cacao_20110822:6:22688925:22692633:-1 gene:TCM_029456 transcript:EOY27667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease degS, putative isoform 2 MVVMASLHTLPSPLPTTTTSSSSESSDNKSLVITRRRAIVSGSTVAVASLLQLSNPVSSLYSAIALQQQDEELDEEEDRIVRLFQETSPSVVFIKDLELAKIPKSSSQEVTLAEDEDAKVEGTGSGFIWDKFGHIVTNYHVVDKLATDQSGLQRCKVFLVDARGTSFYKEGKIVGIDPAYDLAVLKVDVEGYELKPVVLGTSRDLRVGQSCFAIGNPFGYENTLTTGVVSGLGREIPSPNGRAIRGAIQTDAAINAGNSGGPLIDSYGHVIGVNTATFTRKGTGVSSGVNFAIPIDTVVRTVPYLIVYGTPYSDRF >EOY27668 pep chromosome:Theobroma_cacao_20110822:6:22688639:22692813:-1 gene:TCM_029456 transcript:EOY27668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease degS, putative isoform 2 MVVMASLHTLPSPLPTTTTSSSSESSDNKSLVITRRRAIVSGSTVAVASLLQLSNPVSSLYSAIALQQQDEELDEEEDRIVRLFQETSPSVVFIKDLELAKIPKSSSQEVTLAEDEDAKVEGTGSGFIWDKFGHIVTNYHVVDKLATDQSGLQRCKVFLVDARGTSFYKEGKIVGIDPAYDLAVLKVDVEGYELKPVVLGTSRDLRVGQSCFAIGNPFGYENTLTTGVVSGLGREIPSPNGRAIRGAIQTDAAINAGNSGGPLIDSYGHVIGVNTATFTRKGVSSGVNFAIPIDTVVRTVPYLIVYGTPYSDRF >EOY27135 pep chromosome:Theobroma_cacao_20110822:6:20330220:20335502:-1 gene:TCM_029058 transcript:EOY27135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline (GMC) oxidoreductase family protein MAAFVGAVKLFLCLALWLNTLSSLQGAKDFYEFRYPFIKRASSFSSSSSFSSSNTDEVSYDYIIVGGGTAGCPLAATLSRNFSVLLLERGGVPFSNANVSFLSNFHIALADTSPSSASQPFVSTDGVLNARARVLGGGTCINAGFYTRANSDFIRRVGWDARLVNESYPWVEKQIVHQPKLAGWQDAFKDGLLDVGVAPYNGFTYDHIYGTKVGGTIFDRFGRRHTAAELLATANPKMLTVLVYATVQKVLFDKTGKRPRAMGVIFKDENGNQHQAFLTNSRRSEVILSCGAIGTPQMLMLSGIGPKAELEKLNISMVLHNEFVGKGMADNPMNSVFVPTNRPVEQSLIQTVGITKMGVYIEASSGFGQSQDSIRCHHGILSAEIGQLSTIPPKQRTPEAIQAFLKRKRDLPHEAFKGGFILEKIASPISTGHLNLVNTNIDDNPSVTFNYFGHPHDLQRCVDGIRMAAKVIQSDRFTNFTKCDKPTVEKLLNMSVKANINLIPKHTNDTKFLQQFCKDTVITIWHYHGGCHVGKVVHPDHRVLGTNRLRIVDGSTFSESPGTNPQGTVLMMGRYMGVKILRRRLGRAAGV >EOY27538 pep chromosome:Theobroma_cacao_20110822:6:22324233:22325885:-1 gene:TCM_029379 transcript:EOY27538 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein MLNRLIIVSAMYQILAIHFSPSICSAKKVSAMFVFGDSLVEGAHICMDAQINNFAKTRQDIISRIGAAAARKLLRQALYIIIKGANVVFDKAASSSHDDDSIYFDDMISKFRSQLTSLYNLDAREIAVTNSRPVGCTPNQRDRFSTDDCVVARVNQLSKLYNTRLKNLLTTLTTSLAGSTFVYQDTYAALEDILQNYKSYGIENADSACCRVLGKPGG >EOY28049 pep chromosome:Theobroma_cacao_20110822:6:24051871:24053752:1 gene:TCM_029728 transcript:EOY28049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MASSIASRWRELSGEKNWEGLLHPLDLDLRRYIIHYLQRAGAAGDLFNNKKASKSYGLSLYPPDEYFSRAGLEIGNPYKYRVTNFIYGTVGTSQSEYFGFVAVATDEGKAVLGRRDILVSWRGSMTRADWSENIDFVPTSAKELFGTDLAQVHSGFLFIYAGKMADSLYNKTSARDQALKAVQEQVDKYQNEDVSITVTGHSLGAALATLNAMDIVANSFNKPTGNSAKSSMVTAFPVASPRVGNLKFMEIFDELKDLHLLRIVNSIDPVPNVPIGFDYTHVGEELGIDTTKSTYLKSNVHPHNLDVYGHGVAGVQENGEFKLEEELEFDNAVVNKTGDCLLDEYKIPIEWWNNEKFKGMVQMDDGHWKFVDSAYVPDPPSA >EOY27737 pep chromosome:Theobroma_cacao_20110822:6:22996808:23001761:-1 gene:TCM_029513 transcript:EOY27737 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-acyl carrier protein synthase I isoform 3 MRASINKSSGQYFSFNSIHKQSSLVLFCLLLFSAVPANSNFPQHTKSTHAFFFLSFSFVSLFTSLSSPPLLPPSTMQALQAPSLRGSPLNPLQKPNPNPHFSNVSRLRPRPTKRFSSITASSPTVSAPKREKDPKKRVVITGMGLVSVFGNDVDAYYDKLLAGESGIGPIDRFDASKFPTRFGGQIRGFTSQGYIDGKNDRRLDDCLRYCIVAGKKALEDADLGGDKLSKIDKERTGVLVGTGMGGLTVFSDGVQNLIEKGYRKITPFFIPYAITNMASALLGIELGFMGPNYSISTACATSNYCFYAAANHIRRGEAELMLAGGTEAAIIPIGLGGFVACRALSQRNDDPQTASRPWDKDRDGYDRALPGCSGWFRSHCHCEGHYDRMAASLHKSI >EOY27735 pep chromosome:Theobroma_cacao_20110822:6:22996808:23001798:-1 gene:TCM_029513 transcript:EOY27735 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-acyl carrier protein synthase I isoform 3 MRASINKSSGQYFSFNSIHKQSSLVLFCLLLFSAVPANSNFPQHTKSTHAFFFLSFSFVSLFTSLSSPPLLPPSTMQALQAPSLRGSPLNPLQKPNPNPHFSNVSRLRPRPTKRFSSITASSPTVSAPKREKDPKKRVVITGMGLVSVFGNDVDAYYDKLLAGESGIGPIDRFDASKFPTRFGGQIRGFTSQGYIDGKNDRRLDDCLRYCIVAGKKALEDADLGGDKLSKIDKERTGVLVGTGMGGLTVFSDGVQNLIEKGYRKITPFFIPYAITNMASALLGIELGFMGPNYSISTACATSNYCFYAAANHIRRGEAELMLAGGTEAAIIPIGLGGFVACRALSQRNDDPQTASRPWDKDRDGFVMGEGSGVLVMESLEHAMKRGAPIIAEYLGGAVNCDAYHMTDPRADGLGVSSCIERSLEDAGVSPEEVNHINAHATSTLAGDLAEINAIKKVFKNTSDIKINATKSMIGHCLGAAGGLEAIATVKAITTGWLHPSINQFNPEPSVEFDTVANVKQQHEVNVAISNSFGFGGHNSVVAFSAFKP >EOY27736 pep chromosome:Theobroma_cacao_20110822:6:22997369:23001760:-1 gene:TCM_029513 transcript:EOY27736 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-acyl carrier protein synthase I isoform 3 MRASINKSSGQYFSFNSIHKQSSLVLFCLLLFSAVPANSNFPQHTKSTHAFFFLSFSFVSLFTSLSSPPLLPPSTMQALQAPSLRGSPLNPLQKPNPNPHFSNVSRLRPRPTKRFSSITASSPTVSAPKREKDPKKRVVITGMGLVSVFGNDVDAYYDKLLAGESGIGPIDRFDASKFPTRFGGQIRGFTSQGYIDGKNDRRLDDCLRYCIVAGKKALEDADLGGDKLSKIDKERTGVLVGTGMGGLTVFSDGVQNLIEKGYRKITPFFIPYAITNMASALLGIELGFMGPNYSISTACATSNYCFYAAANHIRRGEAELMLAGGTEAAIIPIGLGGFVACRALSQRNDDPQTASRPWDKDRDGFVMGEGSGVLVMESLEHAMKRGAPIIAEYLGGAVNCDAYHMTDPRADGLGVSSCIERSLEDAGVSPEEVNHINAHATSTLAGDLAEINAIKKVFKNTSDIKINATKSMIGHCLGAAGGLEAIATVKAITTGWLHPSINQFNPEPSVEFDTVANVKQQHEVNVGKTPNPWKPHN >EOY28603 pep chromosome:Theobroma_cacao_20110822:6:26011368:26018542:-1 gene:TCM_030156 transcript:EOY28603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-oxidase 8 isoform 1 MVDTHNLMANINQPLGMDSDPPFHETYKTLFANSIIKSSPSNDDPGDTVEERELPLIDLSRLGLGGELEREGCKKEIARAAHEWGFFQVINHGISRDILETMREEQVKVFKQPFHNKFRCFSAGSYRWGTPTATSLRQLSWSEAFHIPMTDISTPGGFDTTLSSPMEQFATKVASLAQELAEILAEKLGHKSTFFQENCLPSTCYLRLNRYPPCPIALEMFGLMPHTDSDFLTILHQDQVGGLRLVKDGKWIAVKPNPEALIINIGDLFQAWSNLFYKSVQHCVVTNPSKERFSAAYFLCPSYETVIESCSKPSVYRKFSFREYRQQVQEDVQKYGYKVGLPRFLV >EOY28602 pep chromosome:Theobroma_cacao_20110822:6:26011401:26018322:-1 gene:TCM_030156 transcript:EOY28602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-oxidase 8 isoform 1 MVDTHNLMANINQPLGMDSDPPFHETYKTLFANSIIKSSPSNDDPGDTVEERELPLIDLSRLGLGGELEREGCKKEIARAAHEWGFFQVINHGISRDILETMREEQVKVFKQPFHNKFRCFSAGSYRWGTPTATSLRQLSWSEAFHIPMTDISTPGGFDTTLSSPMEQFATKVASLAQELAEILAEKLGHKSTFFQENCLPSTCYLRLNRYPPCPIALEMFGLMPHTDSDFLTILHQDQVGGLRLVKDGKWIAVKPNPEALIINIGDLFQAWSNLFYKSVQHCVVTNPSKERFSAAYFLCPSYETVIESCSKPSVYRKFSFREYRQQVQEDVQKYGYKVGLPRFLV >EOY26884 pep chromosome:Theobroma_cacao_20110822:6:18862994:18864061:1 gene:TCM_028851 transcript:EOY26884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MTETNHSSSEVESCSSNNSNSSASSSSQTRQNLPRKSNPAQSPEDSRAKKPRDSSSKHPVYRGVRMRSWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGDSAILNFPELAELLPRPVSLMPRDIQAAAAKAASMVNFDTLSSSSSSSPESSSLSESNASEEPEELGEIVQLPNIEGNFDSLFDSRNEFILLDSVDGWAYPPQDFYGGFCDQIWATENLIPGSFGTSMWE >EOY28344 pep chromosome:Theobroma_cacao_20110822:6:25077564:25080892:1 gene:TCM_029941 transcript:EOY28344 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH and RanBPM domains containing protein isoform 2 MPPFWIVIRQLVEIEEQMATSKKVITREEWEKRLNDVKIRKEDMNKLVMNFLVTEGYVEAAEKFRMESGTEPDIDLATITDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVSNCPVGELMDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKATYPRINDLSNATLEDPTV >EOY28345 pep chromosome:Theobroma_cacao_20110822:6:25077579:25080968:1 gene:TCM_029941 transcript:EOY28345 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH and RanBPM domains containing protein isoform 2 MPPFWIVIRQLVEIEEQMATSKKVITREEWEKRLNDVKIRKEDMNKLVMNFLVTEGYVEAAEKFRMESGTEHIDLATITDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVSNCPVGELMDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKATYPRINDLSNATLEDPTV >EOY25661 pep chromosome:Theobroma_cacao_20110822:6:1036454:1049206:1 gene:TCM_027044 transcript:EOY25661 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MTCIVFKRFLFGTTHSSLNLKFSYKFLLHLLAFGIIQKTMGSLRPAAVVSLLSVLLLSISLQGTSDSAQETFLQCLLDNSHPSYPISEAIFTPQNPSYSSVLQSSIRNLRFNETFTPKPFLILTAKHESHIQAAIVCARKDNIQMKIRSGGHDYEGLSYVATVPFFVLDMFNLRSIDVDVANETAWVQTGATLGEVYYRISEKSKTHGFPAGVCPTVGVGGHFGGGGYGNMMRKYGLSVDNIVDAYFIDVNGRLHDRKSMGEDIFWAIRGGGAASFGVVLAYKIKLVRVPETVTVFRVEKTLEENATDIVDQWQHVADKLPEDLFVRLVLDVVNSSRNTGEKTVRAAFISLFLGDSERLLSIMNERFPALGLTQSDCIETSWVQSVLFWTNIPIETETAILLDRTPSSLVFLKRKSDYVKKPIPKAGLEWLWKRMIELQVPQLLFNPYGGRMAEIPSTATPFPHRAGNLWKIQYVTNWNEAGTEAADHYIGLTRKLHGYMTRFVSKNPREAFLNYRDIDLGVNHNGRQSYMEGRVYGIKYFKGNFNRLVQIKTRVDPGNFFRNEQSIPTLPYKGN >EOY26160 pep chromosome:Theobroma_cacao_20110822:6:5131149:5145757:-1 gene:TCM_027573 transcript:EOY26160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase isoform 3 MAEPPLQQQEYLDVLTKSGEKTGVSKPRGDVHRDGDYHRAVHVWIFAESTQELLLQKRAHCKDSWPGLWDISSAGHISAGDSSLITAQRELHEELGVVLPKDAFELIFIFLEECVINDGKFINNEYSDVYLVTTLDPIPLEAFTLQDTEVSEVKYLSYEEYRSRLAQEDAEYVPYDVNKQYGLLFDIIRRRYKENTEARSLALQKQLQRYASVSLTAELTGLTDADKEALVLLIKAAKIMDEIFYLQVWYSNPVLREWLKEHADVSQLDRLKWMYYLINKSPWSCLDENEAFLTTADSAIKLLPEATKPITGWKGLEYKAAFPMLKPPGANFYPPDMDKMEFKLWKGSLKADQQQDAISFFSVIKRHSQVNWDSFLYNNIFDGTNDSAGSSHDLYIIPYSQEYHSFLTRASELLHKAGDLVRSPSSLKRLLHSKADAFLSNDYYDSDIAWMELDSELDVTIGPYETYEDALFGFKATFEAFIGVRDKKATAQLKLFGDNLQVFWNKTFLWMMHTNQRISLLLQFVLFSLFIMQGM >EOY26161 pep chromosome:Theobroma_cacao_20110822:6:5135563:5145622:-1 gene:TCM_027573 transcript:EOY26161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase isoform 3 MAEPPLQQQEYLDVLTKSGEKTGVSKPRGDVHRDGDYHRAVHVWIFAESTQELLLQKRAHCKDSWPGLWDISSAGHISAGDSSLITAQRELHEELGVVLPKDAFELIFIFLEECVINDGKFINNEYSDVYLVTTLDPIPLEAFTLQDTEVSEVKYLSYEEYRSRLAQEDAEYVPYDVNKQYGLLFDIIRRRRYKENTEARSLALQKQLQRYASVSLTAELTGLTDADKEALVLLIKAAKIMDEIFYLQVWYSNPVLREWLKEHADVSQLDRLKWMYYLINKSPWSCLDENEAFLTTADSAIKLLPEATKPITGWKGLEYKAAFPMLKPPGANFYPPDMDKMEFKLWKGSLKADQQQDAISFFSVIKRHSQVNWDSFLYNNIFDGTNDSAGSSHDLYIIPYSQEYHSFLTRASELLHKAGDLVRSPSSLKRLLHSKADAFLSNDYYDSDIAWMELDSELDVTIGPYETYEDALFGFKATFEAFIGVRDKKATAQLKLFGDNLQVFWNKTFLWMMHTNQRISLLLQFVLFSLFIMQGM >EOY26159 pep chromosome:Theobroma_cacao_20110822:6:5130531:5145882:-1 gene:TCM_027573 transcript:EOY26159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase isoform 3 MAEPPLQQQEYLDVLTKSGEKTGVSKPRGDVHRDGDYHRAVHVWIFAESTQELLLQKRAHCKDSWPGLWDISSAGHISAGDSSLITAQRELHEELGVVLPKDAFELIFIFLEECVINDGKFINNEYSDVYLVTTLDPIPLEAFTLQDTEVSEVKYLSYEEYRSRLAQEDAEYVPYDVNKQYGLLFDIIRRRYKENTEARSLALQKQLQRYASVSLTAELTGLTDADKEALVLLIKAAKIMDEIFYLQVWYSNPVLREWLKEHADVSQLDRLKWMYYLINKSPWSCLDENEAFLTTADSAIKLLPEATKPITGWKGLEYKAAFPMLKPPGANFYPPDMDKMEFKLWKGSLKADQQQDAISFFSVIKRHSQVNWDSFLYNNIFDGTNDSAGSSHDLYIIPYSQEYHSFLTRASELLHKAGDLVRSPSLKRLLHSKADAFLSNDYYDSDIAWMELDSELDVTIGPYETYEDALFGFKATFEAFIGVRDKKATAQLKLFGDNLQVLEQNLPMDDAYKSKDIIAAPIRVVQLIYNAGDVKGPQTIAFNLPNDERIVKDRGTAMVILKNVSEAKFEQILQPIADACITKEQHELVDFESFFTHTICHECCHGIGPHTIILPDGRKSTVRLELQDLHSALEEAKADIVGLWALKFLIKKHLLPNSLTKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWLLEKEAFILHPDETFAVNFDKVEEAVESLSRTILTIQAKGDKEGASLLLEKYCTMTQPLKVALQKLECIQVPVDIAPTFPAAQMLLE >EOY27345 pep chromosome:Theobroma_cacao_20110822:6:21341018:21342786:-1 gene:TCM_029211 transcript:EOY27345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancy/auxin associated family protein, putative MGFLHKLWDETLAGPMPETGLGKLRKYDSFSSTRSSPAPVVDGNNKMMITRSITILKSNSGFRNLSVEPSSTPDSPSGSSTPGTPFSPGTPRGDFRRFTSRKSSAEALESAESRSPTVYDWIVISALDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXYIYIYIYIYIYIYISIAYI >EOY26540 pep chromosome:Theobroma_cacao_20110822:6:13747458:13748464:1 gene:TCM_028336 transcript:EOY26540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein D-7, putative MCGMNKWLWECCNDARQSGIGGKMASHEQSYRAGKAEGRARVVYYYSHSFNIVCLVNFHWQKLEFWGEQMKDKAEAAKHKTFEATQEAKDKTYQTGQAAKESAESAKEKTGGILQQTGETVMNKAQGAAVAVKHTFGMADADEDEDNYPSRRDTSNY >EOY28660 pep chromosome:Theobroma_cacao_20110822:6:26163030:26166814:1 gene:TCM_030196 transcript:EOY28660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tobamovirus multiplication 1 isoform 2 MVRMRSIPLSLAPMELPAMVSDWWDEINESTQWQDGIFYALCAAYALVSSVALVISYLSSFDFQVYVLFLFFNLILMNFIVNGVRAIVFGFHKQVFVLHPKVLTLVLLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRIFYMSINGVIYFIQVCIWVYLWIDDNSVVEFIGKIFIAVVSFIAALGFLLYGGRLFFMLRRFPIESKGRRKKLHEVGSVTAICFTCFLIRCFVVVLSAFDSDASLDVLDHPVLNLIYYMVVEILPSALVLYILRKLPPKRIS >EOY28659 pep chromosome:Theobroma_cacao_20110822:6:26162868:26166977:1 gene:TCM_030196 transcript:EOY28659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tobamovirus multiplication 1 isoform 2 MVRMRSIPLSLAPMELPAMVSDWWDEINESTQWQDGIFYALCAAYALVSSVALIQLIRIELRVPEYGWTTQKVFHLMNFIVNGVRAIVFGFHKQVFVLHPKVLTLVLLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRIFYMSINGVIYFIQVCIWVYLWIDDNSVVEFIGKIFIAVVSFIAALGFLLYGGRLFFMLRRFPIESKGRRKKLHEVGSVTAICFTCFLIRCFVVVLSAFDSDASLDVLDHPVLNLIYYMVVEILPSALVLYILRKLPPKRISAQYHPIR >EOY28661 pep chromosome:Theobroma_cacao_20110822:6:26163311:26167221:1 gene:TCM_030196 transcript:EOY28661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tobamovirus multiplication 1 isoform 2 MVRMRSIPLSLAPMELPAMVSDWWDEINESTQWQDGIFYALCAAYALVSSVALIQLIRIELRVPEYGWTTQKVFHLMNFIVNGVRAIVFGFHKQVFVLHPKVLTLVLLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRIFYMSINGVIYFIQVCIWVYLWIDDNSVVEFIGKIFIAVVSFIAALGFLLYGGRLFFMLRRFPIESKGRRKKLHEVLCGGFICFRFRCIT >EOY28682 pep chromosome:Theobroma_cacao_20110822:6:26223846:26228576:-1 gene:TCM_030214 transcript:EOY28682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSAGVSLKHRVIMFLLELFVFFACFRECLSSPLDHVKGYMLTDRRADAFVPEITPSASPQPFLPLLAPSPLSPFTNSSVPKLSGLCILNFTAAQSLMSMTSIDCWAAFAPLLANVICCPQLHATLVILVGQSSKDTGVLALNRTLANPCLSDIEKVLEGQGAGENLKQICSIHPSNLTEASCPVKDVDEFESTVNSSELLASCEKIDPVKECCDQVCQGAISEAAIRLALKASDPLNMDVPHVLPEHSTRVNDCKTVVLRWLASKLDPYRAKEVLRGLTNCNVNKVCPLVFPNMRHVANSCWNGINNQTACCDAMDSYVSHLQKQTLITNLQALDCATSLGLKLQKYNITRNVYSQCHISLKDFSLQLEVRYLDASCQACPLMRHLTSSLVLVSFAI >EOY28681 pep chromosome:Theobroma_cacao_20110822:6:26224845:26228737:-1 gene:TCM_030214 transcript:EOY28681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSAGVSLKHRVIMFLLELFVFFACFRECLSSPLDHVKGYMLTDRRADAFVPEITPSASPQPFLPLLAPSPLSPFTNSSVPKLSGLCILNFTAAQSLMSMTSIDCWAAFAPLLANVICCPQLHATLVILVGQSSKDTGVLALNRTLANPCLSDIEKVLEGQGAGENLKQICSIHPSNLTEASCPVKDVDEFESTVNSSELLASCEKIDPVKECCDQVCQGAISEAAIRLALKASDPLNMDVPHVLPEHSTRVNDCKTVVLRWLASKLDPYRAKEVLRGLTNCNVNKVCPLVFPNMRHVANSCWNGINNQTACCDAMDSYVSHLQKQTLITNLQALDCATSLGLKLQKYNITRNVYSQCHISLKDFSLQG >EOY28684 pep chromosome:Theobroma_cacao_20110822:6:26225456:26228279:-1 gene:TCM_030214 transcript:EOY28684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSAGVSLKHRVIMFLLELFVFFACFRECLSSPLDHVKGYMLTDRRADAFVPEITPSASPQPFLPLLAPSPLSPFTNSSVPKLSGLCILNFTAAQSLMSMTSIDCWAAFAPLLANVICCPQLHATLVILVGQSSKDTGVLALNRTLANPCLSDIEKVLEGQGAGENLKQICSIHPSNLTEASCPVKDVDEFESTVNSSELLASCEKIDPVKECCDQVCQGAISEAAIRLALKASDPLNMDVPHVLPEHSTRVNDCKTVVLRWLASKLDPYRAKEVLRGLTNCNVNKVCPLVFPNMRHVANSCWNGINNQTACCDAMDSYVSHLQKQTLITNLQALDCATSLGLKLQKYNITRNVYSQCHISLKDFSLQG >EOY28680 pep chromosome:Theobroma_cacao_20110822:6:26223406:26228524:-1 gene:TCM_030214 transcript:EOY28680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSAGVSLKHRVIMFLLELFVFFACFRECLSSPLDHVKGYMLTDRRADAFVPEITPSASPQPFLPLLAPSPLSPFTNSSVPKLSGLCILNFTAAQSLMSMTSIDCWAAFAPLLANVICCPQLHATLVILVGQSSKDTGVLALNRTLANPCLSDIEKVLEGQGAGENLKQICSIHPSNLTEASCPVKDVDEFESTVNSSELLASCEKIDPVKECCDQVCQGAISEAAIRLALKASDPLNMDVPHVLPEHSTRVNDCKTVVLRWLASKLDPYRAKEVLRGLTNCNVNKVCPLVFPNMRHVANSCWNGINNQTACCDAMDSYVSHLQKQTLITNLQALDCATSLGLKLQKYNITRNVYSQCHISLKDFSLQVGSQVSGCLLPSLPSDATFDKFSGISFICDLNDNIPAPWPTLSLLPASSCKKSVRIPALPAATNAQTGLYNEYVAVYLLIASSMAIMMLLQF >EOY28683 pep chromosome:Theobroma_cacao_20110822:6:26224841:26228651:-1 gene:TCM_030214 transcript:EOY28683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSAGVSLKHRVIMFLLELFVFFACFRECLSSPLDHVKGYMLTDRRADAFVPEITPSASPQPFLPLLAPSPLSPFTNSSVPKLSGLCILNFTAAQSLMSMTSIDCWAAFAPLLANVICCPQLHATLVILVGQSSKDTGVLALNRTLANPCLSDIEKVLEGQGAGENLKQICSIHPSNLTEASCPVKDVDEFESTVNSSELLASCEKIDPVKECCDQVCQGAISEAAIRLALKASDPLNMDVPHVLPEHSTRVNDCKTVVLRWLASKLDPYRAKEVLRGLTNCNVNKVCPLVFPNMRHVANSCWNGINNQTACCDAMDSYVSHLQKQTLITNLQALDCATSLGLKLQKYNITRNVYSQCHISLKDFSLQVSGCLLPSLP >EOY28592 pep chromosome:Theobroma_cacao_20110822:6:25990649:25994166:1 gene:TCM_030150 transcript:EOY28592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trimeric LpxA-like enzymes superfamily protein, putative isoform 3 MAVTLKRLSFICPFLFRNFPIQNFPLSTSSRFGTFCNFSVSPTNQTTLQSSTASSEKGAEFDHRGFLKWQNGGGYFHESACIDPTVLIEIGAIVHSKSVLGANAHVGSGTVIGPSVTIGQFTKIGYNTALSNCTVGDSCVIHNGVCIGQDGFGFFVDEDGSMVKKPQMLNARIGNYVEIGANTCIDRGSWRDTVIGDHAKIDNLVQIGHNVVIGNSCMLCGQVGIAGSVTIGDYVVLGGRVAVRDHVSIASKVRLAANSCVTKDIRTPGDYGGFPAVPIHVWRRQIAIQCQGSNKGTS >EOY28593 pep chromosome:Theobroma_cacao_20110822:6:25990766:25994167:1 gene:TCM_030150 transcript:EOY28593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trimeric LpxA-like enzymes superfamily protein, putative isoform 3 FPLSTSSRFGTFCNFSVSPTNQTTLQSSTASSEKGAEFDHRGFLKWQNGGGYFHESACIDPTVLIEIGAIVHSKSVLGANAHVGSGTVIGPSVTIGQFTKIGYNTALSNCTVGDSCVIHNGVCIGQDGFGFFVDEDGSMVKKPQMLNARIGNYVEIGANTCIDRGSWRDTVIGDHAKIDNLVQIGHNVVIGNSCMLCGQVGIAGSVTIGDYVVLGGRVAVRDHVSIASKVYFIRKYLSWRLQVRLAANSCVTKDIRTPGDYGGFPAVPIHVWRRQIAIQCQGSNKGTSFHFFDPRRSLTFFFLLAILPSLPIGTFPSLCMSWCLLFMPHVLYLIFVDKSTFISAVAFSIALRRAFHRPVSTFTWASTSRVLSFP >EOY28594 pep chromosome:Theobroma_cacao_20110822:6:25990660:25993589:1 gene:TCM_030150 transcript:EOY28594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trimeric LpxA-like enzymes superfamily protein, putative isoform 3 MAVTLKRLSFICPFLFRNFPIQNFPLSTSSRFGTFCNFSVSPTNQTTLQSSTASSEKGAEFDHRGFLKWQNGGGYFHESACIDPTVLIEIGAIVHSKSVLGANAHVGSGTVIGPSVTIGQFTKIGYNTALSNCTVGDSCVIHNGVCIGQDGFGFFVDEDGSMVKKPQMLNARIGNYVEIGANTCIDRGSWRDTVIGDHAKIDNLVQIGHNVVIGNSCMLCGQVGIAG >EOY28591 pep chromosome:Theobroma_cacao_20110822:6:25990637:25994444:1 gene:TCM_030150 transcript:EOY28591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trimeric LpxA-like enzymes superfamily protein, putative isoform 3 MAVTLKRLSFICPFLFRNFPIQNFPLSTSSRFGTFCNFSVSPTNQTTLQSSTASSEKGAEFDHRGFLKWQNGGGYFHESACIDPTVLIEIGAIVHSKSVLGANAHVGSGTVIGPSVTIGQFTKIGYNTALSNCTVGDSCVIHNGVCIGQDGFGFFVDEDGSMVKKPQMLNARIGNYVEIGANTCIDRGSWRDTVIGDHAKIDNLVQIGHNVVIGNSCMLCGQVGIAGSVTIGDYVVLGGRVAVRDHVSIASKVRLAANSCVTKDIRTPGDYGGFPAVPIHVWRRQIAIQCQAVAFSIALRRAFHRPVSTFTWASTSRVLSFP >EOY26616 pep chromosome:Theobroma_cacao_20110822:6:15424464:15425252:1 gene:TCM_028491 transcript:EOY26616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPFSNETMTLEDNTTMLKYNNASDEGNEDLFLVGEARFDDHSNEEFDEWHDNSLDDDWFYDSDIPICNNVKGETEHVGGVDVRDVQCDDPIYNNPMASENGIRLLEALLDDSFKEIGNVGISRTWLISGA >EOY25538 pep chromosome:Theobroma_cacao_20110822:6:385800:389794:-1 gene:TCM_026936 transcript:EOY25538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MWSNEKNLPSRSRGFFTPQPPAWKKAQSSVLPMSERKRISPADQADSFHVIHKVPASDSPYGRAKHVQLIDKDPSKAISLFWAAINAGDRVDSALKDMAVVMKQLNRSDEAIEAIKSFRHLCPHDSQESLDNVLVELYKRSGRVEEEIEMLQNKLRNIEGGTVFGGKRTKIARSQGKKIQITIEQEKSRILGNLAWAYLQQHNYGIAEQHYRKALSLEPDMNKQCNLAICLMHMNRISEAKSLLQDVKASSGNEQMDESHSKSYERALDMLIQVESQSMLEPVAKEPDKGREIQRPSTPCRDRGLKEAGIFLPRNEDNISGFMGRRRLPYAHWEGKMLIDEQNGESYRRNPLEKNDNFPGYDDRSSKCTPIGQKGYLQSSPQSMFTEKWRIGSYWESPCEGYSTGEEVGSAQKKIYASSAASKKNSEALFTQPRRCSQGFNNADQKRGGRWGEDTVRNSIRKLSFEQSLTSESEPLHSIQNLNEKPQASNNGKSENSATGPVEEEVQEGLSGVLFTQPRNSLLWLNNRDQRMERWAEESVGCPFRKLSFEKNITGVTPHSADGLNGEPLFSSKDESEIGLERPANAPNKKSWADMVEEEEKEELLNSYDGFNREEVFNDENLNSNIIYPRPDCKDHIGNITQQLESFDMKGGDNASANTVSSRRNRLPVFRDITSS >EOY27379 pep chromosome:Theobroma_cacao_20110822:6:21472877:21486361:-1 gene:TCM_029239 transcript:EOY27379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALKLRSPFKLEGESEEGKNKPTYASSTKSSPVVVGLRILTQISQGKSNVVVKSALKFRPPTSKKHHGHPDGGSPADQSCFLKSCHLCNKNLSLDKEVYMYRGDQGYCSIECRDRQIVLDEMKELEVSTKQMMASYRNCSSSGRRETSVLLEDLRRRNKTPHQIRKHWAIVS >EOY28546 pep chromosome:Theobroma_cacao_20110822:6:25743217:25747196:-1 gene:TCM_030086 transcript:EOY28546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 29, putative isoform 3 MASCTKTGEGQGETLAMGCSGRLLFYCSVIVSLAALALADESDPYFECRHVEDKGNYTANSTYQANLNGIVSQLSSLTEFNYGFFNLSAGESPDKVNAIALCSGDRTQDECNSCLNHTATVLLQRCPWYKEATAWYDFCLVRYANRDIFGQLENEPRTCAYNERNASNPEQFNNGLSELLNNLSSIAAAGGPLRKYEAGNAPAGNLQTVYAAVQCTPDMDEQNCTACLNHGKQEFLKCCYGRIGCRVLRPTCILRYESDPFYNQTLVPLPSSPPSPTPPGPTSPPTPRGGKGNNTTRTVIIVIASVVSFLILIIVSRCIFSRRRKPFEKVETADVQITGAESLQFDFASVLDATNNFSDANKLGQGGFGAVYKGQLPNGQKIAVKRLSRDSGQGDLEFKNEVLLVAKLQHRNLVRLLGFCLEGQERLLIYESNQACTIGLGNTLQNHSRHCTWTPLPS >EOY28544 pep chromosome:Theobroma_cacao_20110822:6:25742623:25747270:-1 gene:TCM_030086 transcript:EOY28544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 29, putative isoform 3 MASCTKTGEGQGETLAMGCSGRLLFYCSVIVSLAALALADESDPYFECRHVEDKGNYTANSTYQANLNGIVSQLSSLTEFNYGFFNLSAGESPDKVNAIALCSGDRTQDECNSCLNHTATVLLQRCPWYKEATAWYDFCLVRYANRDIFGQLENEPRTCAYNERNASNPEQFNNGLSELLNNLSSIAAAGGPLRKYEAGNAPAGNLQTVYAAVQCTPDMDEQNCTACLNHGKQEFLKCCYGRIGCRVLRPTCILRYESDPFYNQTLVPLPSSPPSPTPPGPTSPPTPRGGKGNNTTRTVIIVIASVVSFLILIIVSRCIFSRRRKPFEKVETADVQITGAESLQFDFASVLDATNNFSDANKLGQGGFGAVYKGQLPNGQKIAVKRLSRDSGQGDLEFKNEVLLVAKLQHRNLVRLLGFCLEGQERLLIYEFVPNRSLDHFIFDPIKRAQLDWETRYKIIAGIARGLLYLHEDSRLRIIHRDLKASNILLDTDMIPKIADFGMARLFGQDESQANTSRIVGTYGYMAPEYVINGQFSVKSDVFSFGVLLLEIISGQKSNRFRYEETEEYLLNFAWRNWREGTALNLIDPTLSDGSRDDMMRCIHIGLLCVQENVAGRPTMASVVFMLNSFSTTLAVPSQPAFALQSNNIESDRSSSLGTNSWPSESNQSKNELLPVSQNEVSITELSPR >EOY28545 pep chromosome:Theobroma_cacao_20110822:6:25743089:25772765:-1 gene:TCM_030086 transcript:EOY28545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 29, putative isoform 3 GLFLFYWSVIVSLAAIAFADDYDNYFLCRYVEDKGNYTANSTYQANLNGIVSQLSSLTEFNYGFFNLSAGESPDKVNAIALCSGDRTQDECNSCLNHTATVLLQRCPWYKEATAWYDFCLVRYANRDIFGQLENEPRTCAYNERNASNPEQFNNGLSELLNNLSSIAAAGGPLRKYEAGNAPAGNLQTVYAAVQCTPDMDEQNCTACLNHGKQEFLKCCYGRIGCRVLRPTCILRYESDPFYNQTLVPLPSSPPSPTPPGPTSPPTPRGGKGNNTTRTVIIVIASVVSFLILIIVSRCIFSRRRKPFEKVETADVQITGAESLQFDFASVLDATNNFSDANKLGQGGFGAVYKGQLPNGQKIAVKRLSRDSGQGDLEFKNEVLLVAKLQHRNLVRLLGFCLEGQERLLIYEFVPNRSLDHFIFDPIKRAQLDWETRYKIIAGIARGLLYLHEDSRLRIIHRDLKASNILLDTDMIPKIADFGMARLFGQDESQANTSRIVGTYGYMAPEYVINGQFSVKSDVFSFGVLLLEIISGQKSNRFRYEETEEYLLNFAWRNWREGTALNLIDPTLSDGSRDDMMRCIHIGLLCVQENVAGRPTMASVVFMLNSFSTTLAVPSQPAFALQSNNIESDRSSSLGTNSWPSESNQSKNELLPVSQNEVSITELSPR >EOY25527 pep chromosome:Theobroma_cacao_20110822:6:353617:356280:-1 gene:TCM_026927 transcript:EOY25527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase 1 isoform 1 MVKKPFTVDLNKPLVFQVGHLGEAYDKWVHQPVVSKECPRFFGNDFCELLTRTKWWVIPLVWLPVVCWFVSTSIQRGLTPTQAASAVAGGVFIWTLIEYTLHRFLFHMKTTSYWGNTLHFLLHGCHHKHPMDRLRLVFPPVATAILCVPVWSLFKLFSTPSTTPALFAGGLLGYVIYDCTHYYLHHGKPSEGLSQTLKRYHLNHHFRVQSKGFGITSSIWDHVFGTYPAMRATEKSR >EOY25526 pep chromosome:Theobroma_cacao_20110822:6:353987:355517:-1 gene:TCM_026927 transcript:EOY25526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase 1 isoform 1 MVKKPFTVDLNKPLVFQVGHLGEAYDKWVHQPVVSKECPRFFGNDFCELLTRTKWWVIPLVWLPVVCWFVSTSIQRGLTPTQAASAVAGGVFIWTLIEYTLHRFLFHMKTTSYWGNTLHFLLHGCHHKHPMDRLRLVFPPVATAILCVPVWSLFKLFSTPSTTPALFAGGLLGYVIYDCTHYYLHHGKPSEGLSQTLKRYHLNHHFRVQSKGFGITSSIWDHVFGTYPAMRATEKSR >EOY28778 pep chromosome:Theobroma_cacao_20110822:6:26508231:26513966:-1 gene:TCM_030285 transcript:EOY28778 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA synthetase class I (I, L, M and V) family protein isoform 2 MNITHAKVQPFPRSPPLHHPTLIFSSGQKLPFPRKFITAHIGRSSSFASILCKKHTLLAHGCFGVSRSRIRSSVSEVEEEQKQKSVVVKRAYPFNEIEPKWQRYWEENRTFRTPDDVDTSKPKYYVLDMFPYPSGAGLHVGHPLGYTATDILARFKRMQGYNVLHPMGWDAFGLPAEQYAIETGTHPKLTTLRNINRFRSQLKLLGFSYDWDREISTIEPEYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVVDGVSERGGHPVIRKPMQQWMLKITAYADRLLEDLDELDWPESIKEMQRNWIGRSEGAEMEFYVLDSDGRETDMKITVYTTRPDTIFGATYLVVAPEYTLLSSIVSAKQSESVEEYKDIASRKSDLERTELQKEKTGVFGGCYAKNPANGEPIPIWVADYVLGSYGTGAIMAVPAHDTRDHEFASKYTIPIKWVVTPNVGSCIESGKAYSGEGIVINSSNMMVGLDINGFSSKEAAHKVIEWAEKTGNGKKKVNYKLRDWLFARQRYWGEPIPVIFLADSGESIPVLETELPLTLPELDDFTPTGTGEPPLSKAVSWVKTIDPSSGKPATRETNTMPQWAGSCWYYLRFMDPKNSKELVDKAKEMYWSPVDIYVGGAEHAVLHLLYSRFWHKVLYDIGVVSTKEPFKCVINQGIILGEVRLKNMEY >EOY28777 pep chromosome:Theobroma_cacao_20110822:6:26506559:26514158:-1 gene:TCM_030285 transcript:EOY28777 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA synthetase class I (I, L, M and V) family protein isoform 2 MNITHAKVQPFPRSPPLHHPTLIFSSGQKLPFPRKFITAHIGRSSSFASILCKKHTLLAHGCFGVSRSRIRSSVSEVEEEQKQKSVVVKRAYPFNEIEPKWQRYWEENRTFRTPDDVDTSKPKYYVLDMFPYPSGAGLHVGHPLGYTATDILARFKRMQGYNVLHPMGWDAFGLPAEQYAIETGTHPKLTTLRNINRFRSQLKLLGFSYDWDREISTIEPEYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVVDGVSERGGHPVIRKPMQQWMLKITAYADRLLEDLDELDWPESIKEMQRNWIGRSEGAEMEFYVLDSDGRETDMKITVYTTRPDTIFGATYLVVAPEYTLLSSIVSAKQSESVEEYKDIASRKSDLERTELQKEKTGVFGGCYAKNPANGEPIPIWVADYVLGSYGTGAIMAVPAHDTRDHEFASKYTIPIKWVVTPNVGSCIESGKAYSGEGIVINSSNMMVGLDINGFSSKEAAHKVIEWAEKTGNGKKKVNYKLRDWLFARQRYWGEPIPVIFLADSGESIPVLETELPLTLPELDDFTPTGTGEPPLSKAVSWVKTIDPSSGKPATRETNTMPQWAGSCWYYLRFMDPKNSKELVDKAKEMYWSPVDIYVGGAEHAVLHLLYSRFWHKVLYDIGVVSTKEPFKCVINQGIILGEVQYVACKGTDGNYISADSANELGEHFQEIIPEERVVKSGEYFVLKDNPNICLIARAHKMSKSRGNVVNPDDVVAEYGADSLRLYEMFMGPFRDSKTWNTSGIEGVHRFLGRTWRLIVGSPLPHGMFRDGTMVTDEEPTMEQLRALHKCIAKVTEEIEGTRFNTGISAMMEFINAAYKWDKHPKSIIEAFVLLLSPYTPHMAEELWSRLGHQDSIAYAPFPKADPTYLKESIIVLPVQINGKTRGTIQVEKGCSEEDAFTLASQDEKLSKYLDGKPIKKKIFVPGKILNVILDRQNVKVGVQ >EOY28121 pep chromosome:Theobroma_cacao_20110822:6:24288565:24291426:-1 gene:TCM_029780 transcript:EOY28121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein PP28, putative MYASDSYNRKKEQGVPIRTLAHKKTPDGGCLGFFESARNKTKSDKPQISNLYFLFGKLSERVEKQPFVIVVDFLLSLLLTMGRGKFKAKPTGQRHFSTPEELLAGTSSRPRTFKRQEAEYKEEEEEESGQESGEESDDETDQKRKGTQGVIEINNPNLVKPKNLKAGDVDTGKTTELSRREREELEKQRAHERYMRLQEQGKTEQARKDLERLALIRQQREEAARKREEEKAAKEQKKAEARK >EOY28983 pep chromosome:Theobroma_cacao_20110822:6:27030528:27031845:1 gene:TCM_030432 transcript:EOY28983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVQKMHKINCQLYMSQGKNISYIYIYICMYLCMYLVLVSLVGGTCNAEWRNKDLLEGRVNSSGELSNIKSKV >EOY26427 pep chromosome:Theobroma_cacao_20110822:6:10138571:10141960:-1 gene:TCM_028040 transcript:EOY26427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRTTNKALVLWETPPPGWLKFTMNVVVNGYPRQIGIRGYYVMMLSYGEIGFLILYRKASFVYFSLYCSFF >EOY27304 pep chromosome:Theobroma_cacao_20110822:6:21140573:21143609:-1 gene:TCM_029180 transcript:EOY27304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MAVIIHCSCFLTRPCSVPSPSYAKPQFPGKVASFMCKVENFRPSFKDINGPVGLHHLLRERGKQSLLHCQKSEGNRVLDCISSDYDVFLQQLSALEGGLWSRVPVTAFLAANILIFTAPLKALAETCEADNSFFNMPLLLFVALIGATVGGLLARQRRGELQRLNEQLRQINAALRRQAKIESYAPSLSYTPVGRISENEVIVDPRKEELISRLKTGKIFLRNQEPEKAFPEFKTALELAQSLKDPIEEKKAARGLGASLQRQGKYREAIKYHSMVLAISEREGEDSGNTEAYGAIADCYTELGDLEKAGKFYDKYIARLETD >EOY27306 pep chromosome:Theobroma_cacao_20110822:6:21141007:21142984:-1 gene:TCM_029180 transcript:EOY27306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MMLLLGQGGLWSRVPVTAFLAANILIFTAPLKALAETCEADNSFFNMPLLLFVALIGATVGGLLARQRRGELQRLNEQLRQINAALRRQAKIESYAPSLSYTPVGRISENEVIVDPRKEELISRLKTGKIFLRNQEPEKAFPEFKTALELAQSLKDPIEEKKAARGLGASLQRQGKYREAIKYHSMVLAISEREGEDSGNTEAYGAIADCYTELGDLEKAGKFYDKYIARLETD >EOY27308 pep chromosome:Theobroma_cacao_20110822:6:21140925:21143188:-1 gene:TCM_029180 transcript:EOY27308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MDQLGFIICCEKGVNSPYSIAKSQRETGSWIAFRQIMMYFCNSYLLLRGLWSRVPVTAFLAANILIFTAPLKALAETCEADNSFFNMPLLLFVALIGATVGGLLARQRRGELQRLNEQLRQINAALRRQAKIESYAPSLSYTPVGRISENEVIVDPRKEELISRLKTGKIFLRNQEPEKAFPEFKTALELAQSLKDPIEEKKAARGLGASLQRQGKYREAIKYHSMVLAISEREGEDSGNTEAYGAIADCYTELGDLEKAGKFYDKYIARLETD >EOY27305 pep chromosome:Theobroma_cacao_20110822:6:21140785:21143598:-1 gene:TCM_029180 transcript:EOY27305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MAVIIHCSCFLTRPCSVPSPSYAKPQFPGKVASFMCKVENFRPSFKDINGPVGLHHLLRERGKQSLLHCQKSEGNRVLDCISSDYDVFLQQLSALELLLGQGGLWSRVPVTAFLAANILIFTAPLKALAETCEADNSFFNMPLLLFVALIGATVGGLLARQRRGELQRLNEQLRQINAALRRQAKIESYAPSLSYTPVGRISENEVIVDPRKEELISRLKTGKIFLRNQEPEKAFPEFKTALELAQSLKDPIEEKKAARGLGASLQRQGKYREAIKYHSMVLAISEREGEDSGNTEAYGAIADCYTELGDLEKAGKFYDKYIARLETD >EOY27307 pep chromosome:Theobroma_cacao_20110822:6:21140564:21143011:-1 gene:TCM_029180 transcript:EOY27307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MMGGLWSRVPVTAFLAANILIFTAPLKALAETCEADNSFFNMPLLLFVALIGATVGGLLARQRRGELQRLNEQLRQINAALRRQAKIESYAPSLSYTPVGRISENEVIVDPRKEELISRLKTGKIFLRNQEPEKAFPEFKTALELAQSLKDPIEEKKAARGLGASLQRQGKYREAIKYHSMVLAISEREGEDSGNTEAYGAIADCYTELGDLEKAGKFYDKYIARLETD >EOY26353 pep chromosome:Theobroma_cacao_20110822:6:7901055:7909368:1 gene:TCM_027857 transcript:EOY26353 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 1 MEKRPPPETIQIRLLCPSAKTGALIGKGGSVIRQLQSLTSTKIRILDDPFDERIIQIVADSRTLITSNADNPNANAEPNQDSSDDGCNSSSGGGGGAGEEETTSSWSPLQKAVVRVFERIVKGDAADDKDKEKEKESENLVVCCRMLLGFNQAGCLLGRGGRVLEKIGQENGTQIRVLTRDQVPPFAAPGDELLQITGNFLAVKKALFSISSCLQEMHSQVDPYPPWGYTSGLHAADYHSRGYPSNPGHENAVVHNRVGLEEEVAFKLLCQVDKVGSLIGKGGSVIRALQCETGASIKIADTSHDSDERIVVISAREHAEQRYSPAQDAVIRVHSRIAEIGFEPGAAIVARLLVHSQQIGCLLGKGGHIVTEMRRATGASIRVFPKEQLTKCVGSQNDEVVQVIGSLQSVQDALFHITGRLRESMFPMKPPFPGINPPPYLPPFPEMPPPSFRPRHNPASPGPYPSPGGSFHGIDRSVVPSQPLDHQPSFSHGMDHVGPSNLDRVPYPYGGERPGHGPMFDRPSSPGSWTPQAATSGNPRGISDVGSGFAARNGPPGSGNQAPVLTSTKVEIVIPQIYLCHVYGESNGNLGHIRQISGANLVIHDPKPGAAEGVVVVSGTSDQLRTAQSLIQAFILCGQTAA >EOY26354 pep chromosome:Theobroma_cacao_20110822:6:7901256:7907274:1 gene:TCM_027857 transcript:EOY26354 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 1 MEKRPPPETIQIRLLCPSAKTGALIGKGGSVIRQLQSLTSTKIRILDDPFDERIIQIVADSRTLITSNADNPNANAEPNQDSSDDGCNSSSGGGGGAGEEETTSSWSPLQKAVVRVFERIVKGDAADDKDKEKEKESENLVVCCRMLLGFNQAGCLLGRGGRVLEKIGQENGTQIRVLTRDQVPPFAAPGDELLQITGNFLAVKKALFSISSCLQEMHSQVDPYPPWGYTSGLHAADYHSRGYPSNPGHENAVVHNRVGLEEEVAFKLLCQVDKVGSLIGKGGSVIRALQCETGASIKIADTSHDSDERIVVISAREHAEQRYSPAQDAVIRVHSRIAEIGFEPGAAIVARLLVHSQQIGCLLGKGGHIVTEMRRATGASIRVFPKEQLTKCVGSQNDEVVQVIGSLQSVQDALFHITGRLRESMFPMKPPFPGINPPPYLPPFPEMPPPSFRPRHNPASPGPYPSPGGSFHGIDRSVVPSQPLDHQPSFSHGMDHVGPSNLDRVPYPYGGERPGHGPMFDRPSSPGSWTPQSGCYLWEP >EOY26352 pep chromosome:Theobroma_cacao_20110822:6:7901020:7909753:1 gene:TCM_027857 transcript:EOY26352 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 1 MEKRPPPETIQIRLLCPSAKTGALIGKGGSVIRQLQSLTSTKIRILDDPFDERIIQIVADSRTLITSNADNPNANAEPNQDSSDDGCNSSSGGGGGAGEEETTSSWSPLQKAVVRVFERIVKGDAADDKDKEKEKESENLVVCCRMLLGFNQAGCLLGRGGRVLEKIGQENGTQIRVLTRDQVPPFAAPGDELLQITGNFLAVKKALFSISSCLQEMHSQVDPYPPWGYTSGLHAADYHSRGYPSNPGHENAVVHNRVGLEEEVAFKLLCQVDKVGSLIGKGGSVIRALQCETGASIKIADTSHDSDERIVVISAREACKLNYSITYVEIINLMNDGLFFKTIRSSTRITNFICVSLILWHIGWFWIMRTGYGTRHAEQRYSPAQDAVIRVHSRIAEIGFEPGAAIVARLLVHSQQIGCLLGKGGHIVTEMRRATGASIRVFPKEQLTKCVGSQNDEVVQLVYSTEAFNFTELLVIGSLQSVQDALFHITGRLRESMFPMKPPFPGINPPPYLPPFPEMPPPSFRPRHNPASPGPYPSPGGSFHGIDRSVVPSQPLDHQPSFSHGMDHVGPSNLDRVPYPYGGERPGHGPMFDRPSSPGSWTPQVGGVAFECIILAATSGNPRGISDVGSGFAARNGPPGSGNQAPVLTSTKVEIVIPQIYLCHVYGESNGNLGHIRQISGANLVIHDPKPGAAEGVVVVSGTSDQLRTAQSLIQAFILCGQTAA >EOY26473 pep chromosome:Theobroma_cacao_20110822:6:12385870:12386414:-1 gene:TCM_028207 transcript:EOY26473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLGIRAAMENMRFEVETEGVASTPDTRETRSSRVMRKSISRDLFTMVETRLTHQEERVVELIDRCEESEAWMDGCKEQMAELREELQARLNETLEALTQLDARREAEA >EOY28476 pep chromosome:Theobroma_cacao_20110822:6:25432244:25435053:-1 gene:TCM_030021 transcript:EOY28476 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein MAELEKQEKVVVNGKGEGGGGGEEEEEERLLEGMAVLDFDMLCSTVALQTQGKWRKLERAEDCLEQGNGDLGGVLRMWEGEVVLDFFDDRRVALESACCPCYRFGKNMRRAGFGCCFLQGTVYFILVVSAFLNFIAFIVTKRNCFLYFAVAFIISVGAYLGFFRTQIKRKFNIRGNDSLLDDCVYHLICPCCTLSQESRTLEMNNVQDGTWHGRGDTICIGSYGEGNKAFFELQPPSPISIRTPEPRGVQNS >EOY28612 pep chromosome:Theobroma_cacao_20110822:6:26041823:26043035:-1 gene:TCM_030164 transcript:EOY28612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Postsynaptic protein-related isoform 2 MRSTVTPVLIPKVLCVVQGYVQCAVSKCLTPSFTSRAMYNIEGDIGWSSSRYHSCPLSLITHQLGQRL >EOY28611 pep chromosome:Theobroma_cacao_20110822:6:26041806:26043082:-1 gene:TCM_030164 transcript:EOY28611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Postsynaptic protein-related isoform 2 MVCEKCQRKLSKVIVPDKWKEGATNTTESGGRKINENKLLSKKHRWTPYGNTKCMICKQQVHQDAKYCHTCAYSKGVCAMCGKQVLDTKFYKQSNV >EOY26091 pep chromosome:Theobroma_cacao_20110822:6:4338308:4344682:1 gene:TCM_027486 transcript:EOY26091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFPVLNNLLLVFVFAIASFGASSLMLTGGIQNEDVGRRKLVTENPGFISIDCGANGDYHDEATGIVYRTDREFIDTGENHEVSTENGNYLSSDNLIQRQLKNLRSFPNGTRNCYTLNCNLNRLRVTPNLCLANTGSGIPFISALELPLPDISNSIYTTDFGALKHVKTYDLGISPSSPAMRYNDDVYDRIWHPYAFSNSEPIGTSQCIDDFYGLEVVLRTAVRPADGLSSLNYTMIASNSSMKYCVYSHFAEIKEIAQYQLREFSITLNDINYGSITVHYLKPMSLRSNLQSKVM >EOY28606 pep chromosome:Theobroma_cacao_20110822:6:26025707:26030317:1 gene:TCM_030158 transcript:EOY28606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase-related isoform 1 MPRSQIGYLVPVNKNLEESASLPKLPLFYGPNFIGRDDVSVPDKRLSRKHIALTTSPDGSAHLLVEGTNPVVVRSGELRKKLSSRENAAINNGDIIELIPGHHFFKYVSSSTGEKKRSFGEANSDGESEKLTAKRNRNQRPEYKAKSANKLKEEEEDNNKGKIFVEAIRDFCVSNDELPHTFRLLKVQGLPAWANANCVSIGDVIQGDVLLAVLSNYMVDIDWLHSACPKLAKIPNVLVIHGEGDSRLDHMKRDKPSNWILHKPPLPISFGTHHSKAMLLVYPQGVRVIVHTANLIYVDWNNKSQGLWMQDFPWKDQNNSKKGCGFENDLIDYLSTLKWPEFTANFPALGNFNINSTFFKKFDYSNARVRLIASVPGYHTGPNLKKWGHMKLRSVLQECVFNKEFQKSPLVYQFSSLGSLDEKWMAELASSMSSGYSEDKAPLGLGEPLIIWPSVEDVRCSLEGYAAGSAVPSPLKNVEKGFLKNYWAKWKASHSGRCRAMPHIKTYTRYKGQKLA >EOY28607 pep chromosome:Theobroma_cacao_20110822:6:26025484:26029388:1 gene:TCM_030158 transcript:EOY28607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase-related isoform 1 MPRSQIGYLVPVNKNLEESASLPKLPLFYGPNFIGRDDVSVPDKRLSRKHIALTTSPDGSAHLLVEGTNPVVVRSGELRKKLSSRENAAINNGDIIELIPGHHFFKYVSSSTGEKKRSFGEANSDGESEKLTAKRNRNQRPEYKAKSANKLKEEEEDNNKGKIFVEAIRDFCVSNDELPHTFRLLKVQGLPAWANANCVSIGDVIQGDVLLAVLSNYMVDIDWLHSACPKLAKIPNVLVIHGEGDSRLDHMKRDKPSNWILHKPPLPISFGTHHSKAMLLVYPQGVRVIVHTANLIYVDWNNKSQGLWMQDFPWKDQNNSKKGCGFENDLIDYLSTLKWPEFTANFPALGNFNINSTFFKKFDYSNARVRLIASVPGYHTGPNLKKWGHMKLRSVLQECVFNKEFQKSPLVYQFSSLGSLDEKWMAELASSMSSGYSEDKAPLGLGEPLIIWPSVEDVRCSLELEVQFQVHLRMWKKDS >EOY28605 pep chromosome:Theobroma_cacao_20110822:6:26025529:26031037:1 gene:TCM_030158 transcript:EOY28605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase-related isoform 1 MPRSQIGYLVPVNKNLEESASLPKLPLFYGPNFIGRDDVSVPDKRLSRKHIALTTSPDGSAHLLVEGTNPVVVRSGELRKKLSSRENAAINNGDIIELIPGHHFFKYVSSSTGEKKRSFGEANSDGESEKLTAKRNRNQRPEYKAKSANKLKEEEEDNNKGKIFVEAIRDFCVSNDELPHTFRLLKVQGLPAWANANCVSIGDVIQGDVLLAVLSNYMVDIDWLHSACPKLAKIPNVLVIHGEGDSRLDHMKRDKPSNWILHKPPLPISFGTHHSKAMLLVYPQGVRVIVHTANLIYVDWNNKSQGLWMQDFPWKDQNNSKKGCGFENDLIDYLSTLKWPEFTANFPALGNFNINSTFFKKFDYSNARVRLIASVPGYHTGPNLKKWGHMKLRSVLQECVFNKEFQKSPLVYQFSSLGSLDEKWMAELASSMSSGYSEDKAPLGLGEPLIIWPSVEDVRCSLEGYAAGSAVPSPLKNVEKGFLKNYWAKWKASHSGRCRAMPHIKTYTRYKGQKLAWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPSHVKEEGGEFSCTGNGGSSKGSGRCGSIGNSEVTKTKLVTLAWQGSKTSEVIQLPVPYELPPKPYSSEDIPWSWERRYNKKDVHGQVWPRLV >EOY27303 pep chromosome:Theobroma_cacao_20110822:6:21135004:21140375:1 gene:TCM_029178 transcript:EOY27303 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein MQHQRLKQQQQALMQQALLQQQSLYHPGILAPPQIEPIPSGNLPPGFDPSTCRSVYVGNIHTQVTEPLLNEVFASTGPVEGCKLIRKEKSSYGFIHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDAMLFACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLSGKWLGSRQIRCNWATKGAGTNDDKQSSDAKSVVELTNGSSEDGKETTNSEAPENNPQYTTVYVGNLAPEVNQLELHRHFHALGAGVIEEVRIQRDKGFGFVRYSTHTEAALAIQMGNTQSFLCGKQIKCSWGSKPTPPGTSSTPLPPPAAAPLPGLSATDLLAYERQLAMSKMGVHALMHPQGQHPLKQAAMGVGAAGASQAIYDGGFQNVAAAQQLMYYQ >EOY27422 pep chromosome:Theobroma_cacao_20110822:6:21632838:21641395:-1 gene:TCM_029267 transcript:EOY27422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited H(+)-ATPase isoform 1 MSEELEKPLLDPGNFNREGIDLERLPLEEVFEQLRTSRGGLTSEDAEARLVIFGPNKLEEKPENKFLKFLSFMWNPLSWVMEAAAVMAIVLANGGGQGPDWQDFVGIICLLFINSTISFIEENNAGNAAAALMARLAPKTKVLRDGQWQERDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKRTGDEVFSGSTCKHGEIEAVVIATGVHSFFGKAAHLVDSTEVIGHFQQVLTSIGNFCICSIAVGMVLEIIVMFPIQHRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLVEVFSKDMDKDMIVLLAARASRLENQDAIDAAIINMLSDPKEARANIKEVHFLPFNPVEKRTAITYIDPDGNWYRASKGAPEQILSLCREKNEIAGKVHAIIDKFAERGLRALGVAFQEVQERTKESPGGPWTFCGLLALFDPPRHDSAETIRRALNLGVCVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGRDKDEHEALPVDELIEKADGFAGVFPEHKYEIVKILQEKKHVVGMTGDGVNDAPALKKADIGIAVADATDAARSAADIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLALIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPRPDSWKLNEIFATGVVIGTYLALVTVLFYWIVVDTEFFETHFNVKSISDSSEQISSAVYLQVSIISQALIFVTRSQSWSFLERPGALLMCAFVVAQLVATLIAVYAHISFAYISGIGWGWAGVIWLYSLIFYIPLDIIKFTVRYALSGEAWNLLFDRKTAFTSKKDYGKEDRAAQWVLSQRSLQGLMAADLDFNGRKSRSSLIAEQARRRAEIARLGELHTLKGHIESVVRLKNLDLNMIQSAHTV >EOY27421 pep chromosome:Theobroma_cacao_20110822:6:21632893:21641735:-1 gene:TCM_029267 transcript:EOY27421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited H(+)-ATPase isoform 1 MSEELEKPLLDPGNFNREGIDLERLPLEEVFEQLRTSRGGLTSEDAEARLVIFGPNKLEEKPENKFLKFLSFMWNPLSWVMEAAAVMAIVLANGGGQGPDWQDFVGIICLLFINSTISFIEENNAGNAAAALMARLAPKTKVLRDGQWQERDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKRTGDEVFSGSTCKHGEIEAVVIATGVHSFFGKAAHLVDSTEVIGHFQQVLTSIGNFCICSIAVGMVLEIIVMFPIQHRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLVEVFSKDMDKDMIVLLAARASRLENQDAIDAAIINMLSDPKEARANIKEVHFLPFNPVEKRTAITYIDPDGNWYRASKGAPEQILSLCREKNEIAGKVHAIIDKFAERGLRALGVAFQEVQERTKESPGGPWTFCGLLALFDPPRHDSAETIRRALNLGVCVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGRDKDEHEALPVDELIEKADGFAGVFPEHKYEIVKILQEKKHVVGMTGDGVNDAPALKKADIGIAVADATDAARSAADIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLALIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPRPDSWKLNEIFATGVVIGTYLALVTVLFYWIVVDTEFFETHFNVKSISDSSEQISSAVYLQVSIISQALIFVTRSQSWSFLERPGALLMCAFVVAQLVATLIAVYAHISFAYISGIGWGWAGVIWLYSLIFYIPLDIIKFTVRYALSGEAWNLLFDRKTAFTSKKDYGKEDRAAQWVLSQRSLQGLMAADLDFNGRKSRSSLIAEQARRRAEIARLGELHTLKGHIESVVRLKNLDLNMIQSAHTV >EOY27420 pep chromosome:Theobroma_cacao_20110822:6:21632838:21641871:-1 gene:TCM_029267 transcript:EOY27420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited H(+)-ATPase isoform 1 MSEELEKPLLDPGNFNREGIDLERLPLEEVFEQLRTSRGGLTSEDAEARLVIFGPNKLEEKPENKFLKFLSFMWNPLSWVMEAAAVMAIVLANGGGQGPDWQDFVGIICLLFINSTISFIEENNAGNAAAALMARLAPKTKVLRDGQWQERDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQASACYRVKNMPSDRLHALTGESLPVTKRTGDEVFSGSTCKHGEIEAVVIATGVHSFFGKAAHLVDSTEVIGHFQQVLTSIGNFCICSIAVGMVLEIIVMFPIQHRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLVEVFSKDMDKDMIVLLAARASRLENQDAIDAAIINMLSDPKERMKETLYFQARANIKEVHFLPFNPVEKRTAITYIDPDGNWYRASKGAPEQVKNISMSKADPKTNYLVMVNEVATEQDIYSSSSSSSSSPQYQILSLCREKNEIAGKVHAIIDKFAERGLRALGVAFQEVQERTKESPGGPWTFCGLLALFDPPRHDSAETIRRALNLGVCVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGRDKDEHEALPVDELIEKADGFAGVFPEHKYEIVKILQEKKHVVGMTGDGVNDAPALKKADIGIAVADATDAARSAADIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLALIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPRPDSWKLNEIFATGVVIGTYLALVTVLFYWIVVDTEFFETHFNVKSISDSSEQISSAVYLQVSIISQALIFVTRSQSWSFLERPGALLMCAFVVAQLVATLIAVYAHISFAYISGIGWGWAGVIWLYSLIFYIPLDIIKFTVRYALSGEAWNLLFDRKTAFTSKKDYGKEDRAAQWVLSQRSLQGLMAADLDFNGRKSRSSLIAEQARRRAEIARLGELHTLKGHIESVVRLKNLDLNMIQSAHTV >EOY27431 pep chromosome:Theobroma_cacao_20110822:6:21682691:21684147:1 gene:TCM_029278 transcript:EOY27431 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MNIRATSSMLKPKARKSATKAGESSLSFCEICAERKERRQMFPISGCSHSFCSDCISMHVRTKLEGNITIIMCPGDCRVILELEACRPLLPKEVVNLWEDLLCEELLCATGGRLYCPFKDCSALLLNDNQGEVIAECECPFCHRLFCAQCHVPWHPGIDCEEYQNLTEDERGREDLMVRKLVK >EOY27430 pep chromosome:Theobroma_cacao_20110822:6:21682167:21684147:1 gene:TCM_029278 transcript:EOY27430 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MNIRATSSMLKPKARKSATKAGESSLSFCEICAERKERRQMFPISGCSHSFCSDCISMHVRTKLEGNITIIMCPGDCRVILELEACRPLLPKEVVNLWEDLLCEELLCATGGRLYCPFKDCSALLLNDNQGEVIAECECPFCHRLFCAQCHVPWHPGIDCEEYQNLTEDERGREDLMVRKLVK >EOY26310 pep chromosome:Theobroma_cacao_20110822:6:7316589:7319070:-1 gene:TCM_027791 transcript:EOY26310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome BC1 synthesis, putative MSSHQKVIKARSLLSTAASIAATAMLIRTIANDFIPADVQNYFSVSLQNLSRHFSSQLTIVIEEFRGLSINQVFEAADVYLGSKTTPSIQRLKVGKSEKENKLALSMDRGEVLVDVYENVEMKWKLFVRELNQYAFGTQTRVILMDLCAPNDIQDTRYGFGAEKGSDGGSGKLYQRGTILQKSWESLETWYLLYGPPGTGKSSLIAAMANHLKYNIYDLDLTAIQTNSDLRFLLLAMPSRSILVVEDIECSIELENRESENEPRRRRFNGGDNQVTLSGLLNFIDGLWSCCGEERIIIFTTNHKERLDPALLRPGRMDMHIHMSYCNASVFKQLAFNYLGICDHQLFQQLEKLLEEVDVTPAEVAGELMKNSNREAAFHGLVKFLYEKISERDTKQENGNKNEQEDE >EOY25814 pep chromosome:Theobroma_cacao_20110822:6:2088682:2093681:-1 gene:TCM_027184 transcript:EOY25814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MGNLCSIQISTDHTVSRCWDCIVGRANYTCKLEKNLRALRVAVEELKALRDDVNRRVHCAEQQLMIKRLSQVQVWLSRAETMIMESEELIRDASTEKEKLCLAGCFSKNCKSSYKFGRQVAKKLKQVVELKSKGVFDKVAENEPTGPVDVRPIEPTVGLESTLAKVWSLLQDKQVGMIGLYGLGGVGKTTLLTQVNNKLSGNPLDFDVVIWVVVSKDHTVETVQEKIGKRVGLFNGWMQNKSSDEKAVDIFRILSKRKFVLFLDDVWERVHLTKIGIPLPSQENGSKVVFTTRFLEICNEMEAQKVEVECLRANEAWELFREKVGEETLHSHPDIPGLAQKMAAKCGGLPLSLITIGRAMASKKTPQAWEYAIEVLKKSAHKLARMGQEVYPLLKFSYDSLHCDTMRSCLLYCGLYPEDVLILKSELIEYWFCEGLLDEFDSVRRARLQGYNIIDSLVNSCLLEEDGEYYVKMHDVIRDMTLWIAGESEAPEKSFFVQAGLQLTETPDVGKWENVRRLSLAGNKIKDLTSTPTCPNLETLLLNYNELEVISDGFFKLMPNLRVLNLAGNRGLRQLPEGISELVSLECLNLSRTGLRELSIKLKSLTKLRYLDLRYTDDLRRIPGKLISSFPKLQIFRMFRSGGGYSQEEMAADNEDGIVELGCLPHLNGGINDFHNPYETDGDNLLNDGNEGLIEELGCLQSLNTLSIHIMSASALERFLSFPNLPGCTQELSLCAFKESKSLNVLSLANMQCLERLDISECARLEEMKIEKEGEGRMIQASLFRTLREVTIFECGNLRDMTWIILVPNLRFLWVVNCLKMEEIMSKEKMSEAADLVRSLNPNPFAKLQNLTLQFLPELKSIHWDVLPFPCLTEIFVRECPKLRELPLSSDSAKGNPICIQGEKEWWETLEWKNKATQNAFLPFFEPH >EOY28578 pep chromosome:Theobroma_cacao_20110822:6:25869355:25877884:1 gene:TCM_030125 transcript:EOY28578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLLEKEEKIVFGKRFVPDKLMNIPLSPLDMIGIVYAQKRLRIKEVVLKNPSYVKLLSSAGILDVIRITSKLNIRREKRIDVWRAIFARWSTFSHTMTTAWGEFTFTLEDVCVLLELPCIGKDDFHSIKLSEEEMCLWERFGTCAPVPNAYPFASFFMNNPLSRNNYRAWAWHDRLPRGNVLEVMDVTKEFNPRPYVQPINGFGDLEIYYDRHPLQSGRMSSQAFDRVGIHTSRWFAYWMECIEEWGSFIMPLTNPRACLYTLSISNPNVSLRLISLKKKRNVNEEEDDAPCALTHQTKHVHRNAIRDEVVLDTETILAVEVVLESTPNVEVIHDVGVDTDDVRAIPMTPHASSSPVPKHRDASSASGTQVAHTEQSGKKVDFHGFQVSLEALAIAHAPLMSTSLEELQQMLQDFDDACNFGFKLECLSDCRSKAKIFLNKSSLKNELEDIAVKIALLKKREAEVQK >EOY28647 pep chromosome:Theobroma_cacao_20110822:6:26138862:26141821:1 gene:TCM_030190 transcript:EOY28647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine/AMP deaminase family protein isoform 2 VQLGTLLSCDRTLHEVFKLFDLIHILTTDHSTVTRITKEVIEDFASENVVYLELRTTPKRNDSIGMSKRSYMEAVMEGLKAVSSVDVDYAPAGLKTNTFNGTTRKKLYVRFLLSIDRRESTEAAMETVKLALEMRDSGVVGIDLSGNPIVGNWTTFLPALKYAREQGLCVTLHCGEVPNQEEIKAMLDFLPQRIGHACCFEEENWRKLKSLKIPVEICLTSNIRTETISSIDIHHFAELYKAKHPLVLCTDDSGVFSTSLSGEYHLASSAFGLGKTEMFQLAETAICFIFADDGVKEELRAIFEVAAGKLKL >EOY28646 pep chromosome:Theobroma_cacao_20110822:6:26138694:26141618:1 gene:TCM_030190 transcript:EOY28646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine/AMP deaminase family protein isoform 2 MNRQDMEWCVSMPKVELHAHLNGSVRDSTLLELARVLGKKGIIAFSDVENVIMKSDRTLHEVFKLFDLIHILTTDHSTVTRITKEVIEDFASENVVYLELRTTPKRNDSIGMSKRSYMEAVMEGLKAVSSVDVDYAPAGLKTNTFNGTTRKKLYVRFLLSIDRRESTEAAMETVKLALEMRDSGVVGIDLSGNPIVGNWTTFLPALKYAREQGLCVTLHCGEVPNQEEIKAMLDFLPQRIGHACCFEEENWRKLKSLKIPVEICLTSNIRTETISSIDIHHFAELYKAKHPLVLCTDDSGVFSTSLSGEYHLASSAFGLGKTEMFQLAETAICFIFADDGVKEELRAIFEVAAGKLKL >EOY26676 pep chromosome:Theobroma_cacao_20110822:6:16923262:16927061:-1 gene:TCM_028629 transcript:EOY26676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPS5, putative MRRTEQVERWLTSVERFVNEINGVIEQGHQQLQDTCLGSFCPKNLPSTYKIGKRIVNRLGSARDLRHMSESFFSISDFTIVERLPGLRLLMPELLVENTVGLDSAVERVWRCNKDENTQVIRLCGIGGVGKSTLSKKLSNEFHIRNHDFDVVIWAEVSRQEEGLYRETSRDDIREQFDLLRLGIHLQMGNQNSSKVIYTTRSMELCNAVEALETIEVKCLPPQQALKLFRMMVGENILNNDPELSELAEIIALTCGGLPLAFLTVGRAMASRRNPREWHLAVELIHSNPSEIDGFGDRVFPLLKFSYDGLNNATAQKCFRYCYIFPKDYNVRIDELIGLWIGEGFFDGSNPRDQAEFIVGTLKLAYLLESDEYKQFIGMHDVVHDMALWLVRDQGKRKKVLVTKGVTITYEEHKKWEEANWISLYGSRNQGLVELPLDIGNVKTLQYLNLSLTSKVELPASLTNLRSLRCLLLDYTTNLKRVPKEALECLNRINKLGITIFAAPSVDNIIKSYTLRSCIRKLTFMECNGLISLRFTQELGNLERLEIFRCCSLKEFKISEWCKLGNLRQDYIGVFPLLLNLDFLAYARNLVTLTILDCESLESVTSEIIAFPGLKTISLTCLRNLESICPLPRCFPSLSEIEVSQCSLLRQLPFDLETAKFLQKIRGKTMTLKEKERFFAVIWSLWLARNDIIFGGQTWDRAQTYELVKLRVATWAKAKWPRDYNRTLDTFIEPRLGAVLICVKKTRPKVEWTNPVDGSMKFNVDGAASGCPREAGIGGILRNSAGETKMMFSKSIGMGDSNLAEVLAIKQAFMMFFASNWNGSHSLVIESDSSNAVSWIQAPNQALWRMRKWILQIEMLERKVKRWEIKHVKREAN >EOY27555 pep chromosome:Theobroma_cacao_20110822:6:22379805:22386578:-1 gene:TCM_029390 transcript:EOY27555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein isoform 1 MERNRLDLRFHHSGSIESEESALDLERNCCNHFNLPSSSPSPLQPFASGAQHSESNAAYFSWPTSSRLIDAAEDRANYFGNLQKGVLPETLGRLPSGQQATTLLELMTIRAFHSKKLRRFSLGTAIGFRIRRGVLTKIPAILVFVARKVHRQWLSQFQCLPAALEGPGGVWCDVDVVEFSYYGAPAATPKEQLYTELVDGLRGSDPIIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDVLWYGIFAGINPETFVRADGAFIPFAEDFNMNNVTTTVKGVGEIGDVHIIDLQSPISSLIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLVLLTGRNREKPRPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDLITTNVGLQAAVQDQRNVSAAGIDSTVVESSPLVQTLSKDKIEENFGPINLNIQQVLAEGESQQGVTLPIMHNEYRAEDRVKAAPNLEHQFIPSFNGTSRVHDNNKRENPESRNLSALRNGSDEEIYVSLQLGEPEPKRRKHSDSLRSIRESEVKE >EOY27556 pep chromosome:Theobroma_cacao_20110822:6:22380559:22386080:-1 gene:TCM_029390 transcript:EOY27556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein isoform 1 MERNRLDLRFHHSGSIESEESALDLERNCCNHFNLPSSSPSPLQPFASGAQHSESNAAYFSWPTSSRLIDAAEDRANYFGNLQKGVLPETLGRLPSGQQATTLLELMTIRAFHSKKLRRFSLGTAIGFRIRRGVLTKIPAILVFVARKVHRQWLSQFQCLPAALEGPGGVWCDVDVVEFSYYGAPAATPKEQLYTELVDGLRGSDPIIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDVLWYGIFAGINPETFVRADGAFIPFAEDFNMNNVTTTVKGVGEIGDVHIIDLQSPISSLIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLVLLTGRNREKPRPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDLITTNVGLQAAVQDQRNVSAAGIDSTVVESSPLVQTLSKDKIEENFGPINLNIQQVLAEGESQQGV >EOY27553 pep chromosome:Theobroma_cacao_20110822:6:22379688:22386528:-1 gene:TCM_029390 transcript:EOY27553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein isoform 1 MERNRLDLRFHHSGSIESEESALDLERNCCNHFNLPSSSPSPLQPFASGAQHSESNAAYFSWPTSSRLIDAAEDRANYFGNLQKGVLPETLGRLPSGQQATTLLELMTIRAFHSKKLRRFSLGTAIGFRIRRGVLTKIPAILVFVARKVHRQWLSQFQCLPAALEGPGGVWCDVDVVEFSYYGAPAATPKEQLYTELVDGLRGSDPIIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDVLWYGIFAGINPETFVRADGAFIPFAEDFNMNNVTTTVKGVGEIGDVHIIDLQSPISSLIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLVLLTGRNREKPRPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDLITTNVGLQAAVQDQRNVSAAGIDSTVVESSPLVQTLSKDKIEENFGPINLNIQQVLAEGESQQGVTLPIMHNEYRAEDRVKAAPNLEHQFIPSFNGTSRVHDNNKRENPESRNLSALRNGSDEEIYVSLQLGEPEPKRRKHSDSLRSIRESEVKE >EOY27554 pep chromosome:Theobroma_cacao_20110822:6:22379550:22386918:-1 gene:TCM_029390 transcript:EOY27554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein isoform 1 MERNRLDLRFHHSGSIESEESALDLERNCCNHFNLPSSSPSPLQPFASGAQHSESNAAYFSWPTSSRLIDAAEDRANYFGNLQKGVLPETLGRLPSGQQATTLLELMTIRAFHSKKLRRFSLGTAIGFRIRRGVLTKIPAILVFVARKVHRQWLSQFQCLPAALEGPGGVWCDVDVVEFSYYGAPAATPKEQLYTELVDGLRGSDPIIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDVLWYGIFAGINPETFVRADGAFIPFAEDFNMNNVTTTVKGVGEIGDVHIIDLQSPISSLIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLVLLTGRNREKPRPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDLITTNVGLQAAVQDQRNVSAAGIDSTVVESSPLVQTLSKDKIEENFGPINLNIQQVLAEGESQQGVTLPIMHNEYRAEDRVKAAPNLEHQFIPSFNGTSRVHDNNKRENPESRNLSALRNGSDEEIYVSLQLGEPEPKRRKHSDSLRSIRESEVKE >EOY27664 pep chromosome:Theobroma_cacao_20110822:6:22684133:22686629:1 gene:TCM_029454 transcript:EOY27664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein isoform 2 MEQEVGESLIQLGSSDDPNSSSTDPVTRVRKLLFRRMLVGIKDGRFFLGTFHCIDKQGNIILQDSIEYRSTRHSSPSPMEQRCLGLILIPFSCRTSCHVDCSINEQLSLLKAKNEIKECSYGR >EOY27665 pep chromosome:Theobroma_cacao_20110822:6:22684137:22686116:1 gene:TCM_029454 transcript:EOY27665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein isoform 2 MKHPQTIIQGEGFNYSSLNLFLLSLCTSMEQEVGESLIQLGSSDDPNSSSTDPVTRVRKLLFRRMLVGIKDGRFFLGTFHCIDKQGNIILQDSIEYRSTRHSSPSPMEQRCLGLILIPFSCRTSCHVDCSINEQLSLLKV >EOY28065 pep chromosome:Theobroma_cacao_20110822:6:24133887:24137329:1 gene:TCM_029744 transcript:EOY28065 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein isoform 1 MRPKIYLFGDSITEESFRDGGWGASLANLFSRTVDVVLRGYSGYNSRWALKVLDRVFPAAESGGSDGASPPPLAVTVFFGANDACLPDRYAAFQHVPVDEYQQNLHSIVSSLKKRWPKTLILLITPPPIDEDERLRHPYAENPSGLPERTNEAAGAFAKACVETAGQCGIPVVDIWTRMQQYPDWRKAYLRDGLHLTQDGNKVVFEEVVKKLNVGGLSLEKLTVDLPLLANIDHGDPLKAFQW >EOY28066 pep chromosome:Theobroma_cacao_20110822:6:24129425:24137321:1 gene:TCM_029744 transcript:EOY28066 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein isoform 1 MRPKIYLFGDSITEESFRDGGWGASLANLFSRTVDVVLRGYSGYNSRWALKVLDRVFPAAESGGSDGASPPPLAVTVFFGANDACLPDRYAAFQHVPVDEYQQNLHSIVSSLKKRWPKTLILLITPPPIDEDERLRHPYAENPSGLPERTNEAAGAFAKACVETAGQCGIPVVDIWTRMQQYPDWRKAYLRDGLHLTQDGNKVVFEEVVKKLNVGGLSLEKLTVDLPLLANIDHGDPLKAFQW >EOY28798 pep chromosome:Theobroma_cacao_20110822:6:26569908:26572932:1 gene:TCM_030298 transcript:EOY28798 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MVTANGGMNQQRVAVCNAVVVARLLNATLVVPKFMYSSVWKDVSQFSDIYQEEHFINYLTPDIRIVKELPEELQSLDLEAIGSVVTDVDITKESKPSFYLKNILPILLQKRVVHFVGFGNRLAFDPIPFQLQRLRCRCNFHALKFVPKIQETGALLLERLRRHSAHPGALDHFLVGSRAVSAMIARSDRAAKASKYLALHLRFEIDMVAHSLCEFGGGEEERQELEAYRQIHFPALTELKKTEKLPSPAVLRSEGLCPLTPEEAVLMLAALGFNRKTHVYVAGAQIYGGRARLAALTSLYPNLVTKENLLSPKELEPFMNFSSQLAALDFIACTAADAFAMTDSGSQLSSLVSGYRIYYGGGRMPTIRPNKRRLAAIFMKNNTIEWKVFEQRVRKAVRQTKHVQSRPKARSVYRYPRCKECMCLTN >EOY28797 pep chromosome:Theobroma_cacao_20110822:6:26568733:26573097:1 gene:TCM_030298 transcript:EOY28797 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MSLSSNANNFHNLALESKLDQPNTIRIPDRVDLRLSPRSRQGSPSQSPRVGPSRFMNGGNSGASLVGAELLGKLWGGRKKQSKKVKRKGGKVWYQRKGVKGLVVVVALVGLFFLVNWFMLLRLQDHRVRLHDRSSRNSSVSIQGKVKKLSKGKRQYHGIYGRMLALAAHALAEGQNKREPKDLWQEPVVPASAWRPCADQRNWEPSEGKNGYLMVTANGGMNQQRVAVCNAVVVARLLNATLVVPKFMYSSVWKDVSQFSDIYQEEHFINYLTPDIRIVKELPEELQSLDLEAIGSVVTDVDITKESKPSFYLKNILPILLQKRVVHFVGFGNRLAFDPIPFQLQRLRCRCNFHALKFVPKIQETGALLLERLRRHSAHPGALDHFLVGSRAVSAMIARSDRAAKASKYLALHLRFEIDMVAHSLCEFGGGEEERQELEAYRQIHFPALTELKKTEKLPSPAVLRSEGLCPLTPEEAVLMLAALGFNRKTHVYVAGAQIYGGRARLAALTSLYPNLVTKENLLSPKELEPFMNFSSQLAALDFIACTAADAFAMTDSGSQLSSLVSGYRIYYGGGRMPTIRPNKRRLAAIFMKNNTIEWKVFEQRVRKAVRQTKHVQSRPKARSVYRYPRCKECMCLTN >EOY28372 pep chromosome:Theobroma_cacao_20110822:6:25158831:25160290:-1 gene:TCM_029958 transcript:EOY28372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAIQIQIIYTHNSSVAYPCAITSAPCDDAYNDSMVPLEGTGNCERDMVRSGDDISMNINEAIPPYGCSRAFKEFM >EOY28823 pep chromosome:Theobroma_cacao_20110822:6:26634764:26637966:-1 gene:TCM_030313 transcript:EOY28823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MEKEIAIASETERVEDIGLPYGKALQGDARGLRRFYQDKPADALFDPITAYKDTVFHIAAQRKGSKEALRVLLRMVPQTRRLELLKMKNIQGNTILHEVASTGNVEDADSLITKLSSSKVPTVADELETGEIRKQILGVRNNLGETPLFRAAEFGNTEMVKYLVQQAEEIGNLHDHYRRDDGVTILHSAVIGQHFETAIWLLNKDQQLATYKDRNGKTILHLLAKMPTAFKSTTPMIRLKAFIYNCFPSHSDDDNEAGLLSSSQNNDLEYDKLSEIHHLLKRYVDSKMNQTICKYLAKGWVTLGDIWTSKKTHTLAVKLVEKLMRADASLCVALAHTPEQDSTICLEGEVKEEAETKTEEGSTNLALSERRSKSPDTPLLIAASTGIMEIVRLILERYPQAVELVNQNGQNILHVSILHRQFNIYELVKKEKKEAVKRLVLGIDNDGYTILHHAAVTTYYHGGSKPTPALQLQEELTWFKNVEKRIPHPYTMHRNKENHTAKELFDKQHEEQLKQAQEWVKNTCQSSSTVAVLIAGVVFAAAYTAPGGFHAQSGRPVLLTTEKPLYSFFTVMDIAGLASSLTAVVVFLSILTSSLEQQQFARTIPRKMSIGFTSLFFSVTATILTFTATIFLVVHLEKKWTTSLTYAAALLPICVFALFQFPLYYLFFQAAVTSILDFMKKILPGSWTSSND >EOY26886 pep chromosome:Theobroma_cacao_20110822:6:18886959:18888093:1 gene:TCM_028854 transcript:EOY26886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MVLPTQWHQRKHLSKSCGHLSALSTIEASRKDLHEHGYGEKSNVQEEGELSEPESSKEEQDKLRNLWQLKCASERHRKELSKLHRSRQHKQYEMHREAALNARNTIILVAILIATVTFAAGINPPGGVYQEGPLKGKSTVGRTKAFKVFMISNYIALFTSLGIVVALVSIIPFQRKQLMRLILITHKLLWVSLSFTTTAFISGTCVVMPQGRDGGWIVEFLLAASVGSLGILFIYLGVALSRHRRRKLRWREEKDKKKETVVDAAIEGKNRSQYSIFDSRSENQSELSQSTNSDVDSSTSLGYHAY >EOY26739 pep chromosome:Theobroma_cacao_20110822:6:17540657:17554061:1 gene:TCM_028696 transcript:EOY26739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 rtel1, putative isoform 2 MPTYKLRGIDVDFPFEAYDCQLVYMEKVIEALQKRCNALLESPTGTGKTLCLLCATLAWRKSLGGFSTGSSQIKSLFSGSQSDVGSSKSQPGNLPTIVYTSRTHSQLRQVVQELRRSNYRPKMVVLGSREQLCIHDEVSLLHGKAQTNACRALCRKRQKRHCTHFPRVAEYMKNNPHIGDEPLDIEDLVNIGRRFGPCPYYVSRELHKVVDILFAPYNYLIDRDYRRSLNLEWHNSVLIFDEAHNLEGICADAASFDLSSGLLTACISEAKNCVDLAVARREESNDKSRNPDNFAILRALLLKLQKRIAEVPIESKELGFTKPGPYIYELLADLNITHETASKLIDIIEEAAVLLEEDRQQDTKGSGCRLESIGNILKSIFRDKGNNHADYYRVHVQEAEVNATDIFRGKTSRILSWWCFNPGIAMQEFSKMGVASIVLTSGTLSPLDSFAQELKLDFPVRLENPHVISSDQIWAGVVPIGPSGRSFNSSYRNRDSPEYKQELGNAIVNFARIVPDGLLVFFPSYYLLDQCISYWKNMGNANSSTVWERICKFKKPVIEPRQSSLFPLAIEDYMSKLKDTSTSGAVFFAVCRGKVSEGLDFADYAGRAVVITGMPFATRTDPKVRLKREYLDLQTQSPREGCKMLKLLTGEEWYNQQASRAVNQAVGRVIRHRQDYGAIIFCDERFAHSNRQSQISLWIQPHIKCYTKFGDVVFTLTRFFRDGGSRSPTKLKFADHEKKDLSPSSATKTVPVEDIKESSSGSRREIQTAQPLDKSYLKLLPDLSTSMEQTHSKDKLSSHFEVKSSNNSSQLGRILPASLSCFTPCMYMKKLKRSSDLMVNEKKLSITGTGKMQYQNHDVIDSTGDLLLDRQQREEQHLESCSSKKCRVLPIELDTLQHDKSSNNYASDAQRSGPSDLPFIVSSVKHEKLQISGARSALNAKVHLLHKDDGAAGVLFSAEPCGAEETKGSAFLIQVKLRRNLVLQNIKNLWGL >EOY26738 pep chromosome:Theobroma_cacao_20110822:6:17540437:17556152:1 gene:TCM_028696 transcript:EOY26738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 rtel1, putative isoform 2 MPTYKLRGIDVDFPFEAYDCQLVYMEKVIEALQKRCNALLESPTGTGKTLCLLCATLAWRKSLGGFSTGSSQIKSLFSGSQSDVGSSKSQPGNLPTIVYTSRTHSQLRQVVQELRRSNYRPKMVVLGSREQLCIHDEVSLLHGKAQTNACRALCRKRQKRHCTHFPRVAEYMKNNPHIGDEPLDIEDLVNIGRRFGPCPYYVSRELHKVVDILFAPYNYLIDRDYRRSLNLEWHNSVLIFDEAHNLEGICADAASFDLSSGLLTACISEAKNCVDLAVARREESNDKSRNPDNFAILRALLLKLQKRIAEVPIESKELGFTKPGPYIYELLADLNITHETASKLIDIIEEAAVLLEEDRQQDTKGSGCRLESIGNILKSIFRDKGNNHADYYRVHVQEAEVNATDIFRGKTSRILSWWCFNPGIAMQEFSKMGVASIVLTSGTLSPLDSFAQELKLDFPVRLENPHVISSDQIWAGVVPIGPSGRSFNSSYRNRDSPEYKQELGNAIVNFARIVPDGLLVFFPSYYLLDQCISYWKNMGNANSSTVWERICKFKKPVIEPRQSSLFPLAIEDYMSKLKDTSTSGAVFFAVCRGKVSEGLDFADYAGRAVVITGMPFATRTDPKVRLKREYLDLQTQSPREGCKMLKLLTGEEWYNQQASRAVNQAVGRVIRHRQDYGAIIFCDERFAHSNRQSQISLWIQPHIKCYTKFGDVVFTLTRFFRDGGSRSPTKLKFADHEKKDLSPSSATKTVPVEDIKESSSGSRREIQTAQPLDKSYLKLLPDLSTSMEQTHSKDKLSSHFEVKSSNNSSQLGRILPASLSCFTPCMYMKKLKRSSDLMVNEKKLSITGTGKMQYQNHDVIDSTGDLLLDRQQREEQHLESCSSKKCRVLPIELDTLQHDKSSNNYASDAQRSGPSDLPFIVSSVKHEKLQISGARSALNAKVHLLHKDDGAAGVLFSAEPCGAEETKGSAFLIQVKEKLSPTEYKEFVGFMKAMKSKVMKISNVLQSIVGLFSGPERLPLLERFKDYVPAKYQSLYEQYIETSKEMPDNQRN >EOY26331 pep chromosome:Theobroma_cacao_20110822:6:7648325:7649588:1 gene:TCM_027826 transcript:EOY26331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine repetitive matrix protein 2, putative isoform 1 MARSLSQTVIKLSLVTKPRPSSRLLPLRTLSNQPNHSDPSDPSSDTSSSDPLLQKLEDAIHRIIVRRSAPDWLPFIPGSSYWVPPSTAQSYGLAQLVEKLANPLTPEESMSTTTVRGWPSSEYFIKEYNVLYDISGGTPHSVELNTSSNTASKSEDEEG >EOY26333 pep chromosome:Theobroma_cacao_20110822:6:7648217:7649505:1 gene:TCM_027826 transcript:EOY26333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine repetitive matrix protein 2, putative isoform 1 EQGNSYPLSPSQLPLSINRVPPLSPLSPLASPSSLFMARSLSQTVIKLSLVTKPRPSSRLLPLRTLSNQPNHSDPSDPSSDTSSSDPLLQKLEDAIHRIIVRRSAPDWLPFIPGSSYWVPPSTAQSYGLAQLVEKLANPLTPEESMSTTTVRGWPSSEYFIKGKFQLRIAY >EOY26332 pep chromosome:Theobroma_cacao_20110822:6:7648237:7650061:1 gene:TCM_027826 transcript:EOY26332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine repetitive matrix protein 2, putative isoform 1 PSQLPLSINRVPPLSPLSPLASPSSLFMARSLSQTVIKLSLVTKPRPSSRLLPLRTLSNQPNHSDPSDPSSDTSSSDPLLQKLEDAIHRIIVRRSAPDWLPFIPGSSYWVPPSTAQSYGLAQLVEKLANPLTPEESMSTTTVRGWPSSEYFIKGGTPHSVELNTSSNTASKSEDEEG >EOY27679 pep chromosome:Theobroma_cacao_20110822:6:22763253:22765311:1 gene:TCM_029467 transcript:EOY27679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLGAKLVGRGSLCHEKRGRVRSYGDHMLMVMGMMRKKILKLGLRPFVRPALIWRPLSHSDQTIYCP >EOY28568 pep chromosome:Theobroma_cacao_20110822:6:25833600:25837902:-1 gene:TCM_030110 transcript:EOY28568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich protein, putative MVSLNTSLVFMLLFTLLSFSSEAAPTYSSHYCDNSTSFTPNSTYQANIKALLFSLSSNISSGKNGFYNTTAGQDPNLVYGTFLCRGDVSANLCQDCVASASSEITRRCLMEKMGVIWYDECTVRYSDQNIFSTVREVPGMDKSSSASITDKDRFNQLLVSVMKILENRAAYEDKSGKKFAAGEANFTSSQTLYSLVQCTPDLTDALCFRCLQSAIATLPMCCDGKQGGRVLLPSCNIRYETFPFYHLNGTGNTVLVPLPSSPNSTKGQRKISSPIIIAIVVPVVISLVLLALALFLLRRRASKKYNALPEDKVAEETTASESLKFNLATIEAATNNFSSDNKIGEGGFGEVYKGKLSNGQEVAVKRLSKSSVQGAEQFKNEVVLLAKLEHRNLVRLLGFCLDGEEKLLIYEYVPNKSLDYFLFDPEKQGNLDWSRRYKIIGGIARGLLYLHEDSRLRIIHRDLKAGNVLLDEDMNAKISDFGTARIFGVDQTQANTRRIVGTFGYMSPEYAMRGKFSMKSDVFSFGVLILEIISGRKNSSFYQSDGADDLLSYSWKHWTNETPLELLDSNLRANCSRNEVVRCIHIGLLCVQEDPANRPTMTRVGLMLDSYSVSLPLPQKPAFFLRSRNELSPQGKGLKSSDQSSSKSTTLSINEVSVTELEPR >EOY28844 pep chromosome:Theobroma_cacao_20110822:6:26691726:26692505:-1 gene:TCM_030329 transcript:EOY28844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonspecific lipid-transfer protein, putative MEKKLMSLSWSLGVLGLVVLFAAASSVHAITCQDAIMALMPCQKFLTGFAYKPCALCCKAMANVNAAANTTQERRDLCTCFQQAGPALGVMPDKAKQLPQFCGLTVSVPMDPNIDCSTVN >EOY26578 pep chromosome:Theobroma_cacao_20110822:6:14498051:14499181:1 gene:TCM_028410 transcript:EOY26578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPCHKFSPFRVTHSHQGVSQTLNPTMSQPCHIFLPCLSHILTMTCHIFRPWHVTSSHWRVAYYHNVVSHIPSMGHIFSPCHVTHSHHAISHILIMLCQTFPPCHVTNSKPCCVTTMSQILTMSSHKFSPCHVTNSKPYHVTTVSHILTMMSNTFSPCRVTHSHHSMSPPCHVIAMSHILTMLCHTFSPCHVTDSRHDVSHIPTMVC >EOY25631 pep chromosome:Theobroma_cacao_20110822:6:706691:727435:-1 gene:TCM_027001 transcript:EOY25631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELNEIFHSLKQNSMSIEEYTSGFNNLSLGVGLKESNEQLTSCYLAGLNQSITDEMGVTCLFNLKMLDNWP >EOY26366 pep chromosome:Theobroma_cacao_20110822:6:8156276:8205245:-1 gene:TCM_027875 transcript:EOY26366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 3 MGSVIWSLLLVVFLQIYIIAATTDAGDSAALKSLMDEWEKAPPSWVGGDPCGDSWVGIGCNDSRVTSVTLPSMKLVGRLSGDISTLSELQQVDLSYNNGLTGSLPTSIGNLKKLTNLILVGCGFNGPIPDAIGSLSQLRFLSLNSNGFTGRIPPSIGNLSNLYWLDLADNQLEGPIPVSSGSTPGLDMLIHTKHFHFGKNKLSGQIPAQLFSSSMTLIHVLFESNKLTGILPSTLGHVRTLEVVRFDNNSLNGRLPLNINNLTSVHDLFLSNNKLTGPLPNLTQMNSLNTLYLSNNSFDSADVPSWFPALPALTTLMMENTQLRGQIPAIFFELPNLQTVLVGNPVCDETGTTRSYCNLPPSNSSPLYSTPSQNCLPVPCSSSQISSPLCRCAYPYTGTLNFRGLLFSAFGNSTPYQILEQSLMHFFQSHQLPVDSVSLSDPRMDPNEYFLLNLRAFPYGQESFNRTGISMIAFVFSNQTFKPPDQLFGPYFFRGDEYEHFSDDPANSKKSSIAIKIGAAAGASVLFLLLVLAGIYAYRQKKRAERATKESNPFAHWDPKKSSGSIPQLKGARCFSFEELKKYANNFSEANDIGSGGYGKVYRGTLPTGELIAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVSLLGFCFERGEQMLIYEYVPNGSLSDSLSGKSGIRMDWTRRLKIALGAARGLAYLHELANPPIIHRDIKSTNILLDERLNAKVADFGLSKPMGDSERGHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLEIVTARRPIERGKYIVREVRMAMDKTKSLYNLQEILDASMGFAATPKGLEKFVDLAMSCVEESGANRPTMGEVVKEIENIMQLAGMNPNAESASSSATYEEATKGGSLHPYGDDSFAYSGVFPASKIEPQ >EOY26367 pep chromosome:Theobroma_cacao_20110822:6:8169683:8178067:-1 gene:TCM_027875 transcript:EOY26367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 3 MGSVIWSLLLVVFLQIYIIAATTDAGDSAALKSLMDEWEKAPPSWVGGDPCGDSWVGIGCNDSRVTSVTLPSMKLVGRLSGDISTLSELQQVDLSYNNGLTGSLPTSIGNLKKLTNLILVGCGFNGPIPDAIGSLSQLRFLSLNSNGFTGRIPPSIGNLSNLYWLDLADNQLEGPIPVSSGSTPGLDMLIHTKHFHFGKNKLSGQIPAQLFSSSMTLIHVLFESNKLTGILPSTLGHVRTLEVVRFDNNSLNGRLPLNINNLTSVHDLFLSNNKLTGPLPNLTQMNSLNTLYLSNNSFDSADVPSWFPALPALTTLMMENTQLRGQIPAIFFELPNLQTVLVGNPVCDETGTTRSYCNLPPSNSSPLYSTPSQNCLPVPCSSSQISSPLCRCAYPYTGTLNFRGLLFSAFGNSTPYQILEQSLMHFFQSHQLPVDSVSLSDPRMDPNEYFLLNLRAFPYGQESFNRTGISMIAFVFSNQTFKPPDQLFGPYFFRGDEYEHFSDDPANSKKSSIAIKIGAAAGASVLFLLLVLAGIYAYRQKKRAERATKESNPFAHWDPKKSSGSIPQLKGARCFSFEELKKYANNFSEANDIGSGGYGKVVYRGTLPTGELIAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVSLLGFCFERGEQMLIYEYVPNGSLSDSLSGKSGIRMDWTRRLKIALGAARGLAYLHELANPPIIHRDIKSTNILLDERLNAKVADFGLSKPMGDSERGHVTTQVKGTMGLFGS >EOY26365 pep chromosome:Theobroma_cacao_20110822:6:8169936:8177915:-1 gene:TCM_027875 transcript:EOY26365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 3 MGSVIWSLLLVVFLQIYIIAATTDAGDSAALKSLMDEWEKAPPSWVGGDPCGDSWVGIGCNDSRVTSVTLPSMKLVGRLSGDISTLSELQQVDLSYNNGLTGSLPTSIGNLKKLTNLILVGCGFNGPIPDAIGSLSQLRFLSLNSNGFTGRIPPSIGNLSNLYWLDLADNQLEGPIPVSSGSTPGLDMLIHTKHFHFGKNKLSGQIPAQLFSSSMTLIHVLFESNKLTGILPSTLGHVRTLEVVRFDNNSLNGRLPLNINNLTSVHDLFLSNNKLTGPLPNLTQMNSLNTLYLSNNSFDSADVPSWFPALPALTTLMMENTQLRGQIPAIFFELPNLQTVVLKGNQLNGTLDIGQISSNQLQIIDLQNNLITDFNNSDRPYNFDIILVGNPVCDETGTTRSYCNLPPSNSSPLYSTPSQNCLPVPCSSSQISSPLCRCAYPYTGTLNFRGLLFSAFGNSTPYQILEQSLMHFFQSHQLPVDSVSLSDPRMDPNEYFLLNLRAFPYGQESFNRTGISMIAFVFSNQTFKPPDQLFGPYFFRGDEYEHFSDDPANSKKSSIAIKIGAAAGASVLFLLLVLAGIYAYRQKKRAERATKESNPFAHWDPKKSSGSIPQLKGARCFSFEELKKYANNFSEANDIGSGGYGKVYRGTLPTGELIAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVSLLGFCFERGEQMLIYEYVPNGSLSDSLSGKSGIRMDWTRRLKIALGAARGLAYLHELANPPIIHRDIKSTNILLDERLNAKVADFGLSKPMGDSERGHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLEIVTARRPIERGKYIVREVRMAMDKTKSLYNLQEILDASMGFAATPKGLEKFVDLAMSCVEESGANRPTMGEVVKEIENIMQLAGMNPNAESASSSATYEEATKGGSLHPYGDDSFAYSGVFPASKIEPQ >EOY28522 pep chromosome:Theobroma_cacao_20110822:6:25672172:25678120:-1 gene:TCM_030067 transcript:EOY28522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide binding, putative MLEKIGLPTKPSLRGNNWVDDASHCQGCSSQFTFINRKHHCRRCGGLFCNSCTQQRMVLRGQGDSPVRICEPCKKLEEAARFELRHGYKSRAGRGSLKPAAKDEDDILNQILGADRKESSSSGVASNKDMNPSVRRAASSSSYSNVQAGVSHDGGGEICRSQSVDQPMQNDMASSSPEELRQQALDEKRKYKILKGEGKSEEALRAFKRGKELERQAESLEIYIRKNRKKGLPSGNMSEIQNKDAPKESGRKSKVPHQVGRDKDDLAAELRELGWSDMDLHDTDKKSTNMSLEGELSSLLGDIPKKTNAHGTDKTQVVAIKKKALMLKREGKLAEAKEELKRAKVLEKQLEEQEVLAGAEDSDDELSAIIHSMDDDKQDEMLIQYEDTDDLDFDHLVGTADDLGIDSNFELTDKDMEDPEIAAALKSLGWTEDSNPTEDLVAQSAPVNREALVSEILSLKREALSQKRAGNVAEAMAQLKKAKLLEKDLESFGCQAENLTVNKNDPTPHTSDISVKSVKLGDENVNAIKDVDVKPAPKSGLMIQKELLGLKKKALALRREGRLDEAEEELKKGKILERQLEEMENTSNMKAAQVPIGSKGKDMINEHPYVLENLTVEGGDVTDQDMHDPTYLSILRNLGWNDNDDERSNSLLKHSKQKDSEQIIESSLTCAPPKTPAKASRRTKAEIQRELLGLKRKALSLRRQGNTDEAEEVLETAKTLEAEIAEMEAPKKVVESNWPNEKAMLPPLNSAAQEADDENVTEKDMNDPALLSVLKNLGWKDEELEHATMQEKYSKSARESLHSGHPSVSQPSSGISVSLPRSKGEIQRELLGLKRKALALRRNGQAEEAEELLQRAKVLEAEMAELEVPKGEIVLDSSKDSTSGNSESFTNQGRQGNLKNEMTLKEGPVAVAVGPSETVVGSSIGLGRMESDTDNPTLRNSELLFPAATGPLEDKKSSFEKSDPSGAMGLLGGKGKVETASFVSPPDQSANIVDLLTGDDLISSQILAEKLKEKSDFGSNFSSLARPNVQLASQEDLRTKDEDTTGISRVVNGEQKPHAFDVSPVQGFVSHNSQDSLKQAVLSHKKKALALKRDGKLAEAREELRQAKLLEKSLAEDSTPSKGGANGASTSSSTVPSDAPKEQGASSLAPKPLSGRDRFKLQQESLSHKRQALKLRREGRMQEAEAEFEMAKSLEAQLEELAGHDSSKSSTVGAEPVDDVGVEDLLDPQLLSALKAIGLDDLSVVARGPERTEPVKPNGSKSEKVDQERIQLEERIKAEKLKAVNLKRSGKQAEALDALRRAKMLEKKLNSLSS >EOY28334 pep chromosome:Theobroma_cacao_20110822:6:25014190:25016177:1 gene:TCM_029931 transcript:EOY28334 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 25 MESTDSSSASPHPQLPPGFRFHPTDEELVVHYLKRKAASAPLPVTIIAEVDLYKFDPWELPSKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPIVTSNGNQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLVDNNSTSKPQIADVANRKGSLRLDDWVLCRIYKKNNTQRPMERDKDYSTVGMLATLPTSTHQNPKPPTSKATSYGSLLENEENFFEGILTGEGMQHSTISQIPAASSSSKQDLSMSLASTTTNTFPVKRTIPPQYWNEPNSSFGSQSGKRFQGDLNSSSTAGIDDTNSFVSLLHHLPQNAPFYPGTLVGSLGDGVSRQQFILPSMNWNS >EOY25575 pep chromosome:Theobroma_cacao_20110822:6:502901:509104:-1 gene:TCM_026958 transcript:EOY25575 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 5-like protein MLTSCRLMESQENNLSDAKYLHELSKDEILGLEFDDLEDVYEFYKAYACAMGLGVHKGSCRRNKNGIEVMKHFACSKEGHRAEKWEKLENWVREPKRHIKVVDVYEAKAMKVAGIKTCQVMNVFAAQVGGIQNVGFTRKDFYNRMAAKRHAKVNDGDVQATLLYFGVKKEIDNGFYMKHTTYDDNKLKNLFWADSISRLDYACFGDVLAYDTTYKKNTFNLPLLVFIGVNHHHMTTIFALALLTNEDAESYIWALTTFLECMMNKKPISVVIDGDRAMRKALEMVFPGVRHRLCSWHLARNAQANVPLPGFV >EOY28922 pep chromosome:Theobroma_cacao_20110822:6:26881490:26887040:-1 gene:TCM_030389 transcript:EOY28922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENTVSSSSDSQNLKASLRSNSPDNNLSLSLQNLRLNPGVENDSACVYPGNNALRLPSNQSFARAMLNGYSSTSNGNRSGETMTTDRTSVGRDSLFNNNFNLFSGLARQTSPTSFQHEPIAEGGSNVSYGFDNGRPAGNPGGFWFQQSLDHAHLPQMNTIIIENALTQDGSDIIKDLLSLKEPRITNKIFEGVIDFMFELMINQHGHNVFAELIKLCSDDQLGMIIEKITSHAPLGGIISASKTRTGSRSIKKLIELLKKSSLIIKVIAALKEGFYELMISQTGSSVILKCVDLIDTRSNELLYVAAIEQSLKLAVNARGCISLNYFIDNIRGLHRIALLHVIAKNSLYLSQDPSGNYVLQKVVELDNPDIIQEICAQLNGHIVKLSMQKAGSHVVEKMLNSRGMHPVVKELLESKQLLQVAKDQYGNYVVQTALKASKLEDVIINLHDLSDAAHSLAFQRAAGQTGILFVHPEDNVTYKLSSTLTVLPPGSSSVDELSHIPSPYGAASLPLPSGTGHETYIQMSTKFPLFFTDASVSVTGDRNPFLCLGQIERIMFVYSKVNVT >EOY27332 pep chromosome:Theobroma_cacao_20110822:6:21285215:21286041:1 gene:TCM_029202 transcript:EOY27332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLHTEFSTEDARAKMQISDELQGYVEGERPTYAKKREDVDFILAPCNVGGHWVVAKINLVRWTIKVVDSARTLDAKDNGVRASQMTPLTIMMPFICHHVGYFNNICRKRRDLTSMPLVIHLPKAKVHRQNDSVSCGMFMIEYIEHILQSEKIEIKQNMITKMCRQYALKIFSNNCESEP >EOY28391 pep chromosome:Theobroma_cacao_20110822:6:25213070:25217718:1 gene:TCM_029970 transcript:EOY28391 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 5, putative isoform 3 MQCSGIHRSLGVHISKVRSATLDTWLPEQVSFIQSMGNEKSNNYWEAELPPNYDRVGIENFIRAKYEEKRWVPRGRKPKLPSSVREEKELLYRQPRSGGFKYMNNVNHVLEEKKVTHPSIANNSIPTPKSCSQAHVNVPQKVTPDTRSQEPLQNSEPSVSMAESPNEEVNPTPSVSNAESIKQDVKTTSSAAPPKIDYATELFNLLSMGDSRENGSKTSAHDNFWAGLSSAEAKSTRDASDSSILSQTKVQCKYGIEDLFRDSTTVKQTFPEKPQEDAKPDIQYSSSMVSPTSIHQQQLAMLSQQQSFMATAAKPNGGSQAFPVNAHHGMHFPAPNSGSIGHQFPRVVMPVAGLQKHMLVGSNQQMYPAGNSVNFPTSRARSSTNHWHEKHWR >EOY28390 pep chromosome:Theobroma_cacao_20110822:6:25212825:25217718:1 gene:TCM_029970 transcript:EOY28390 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 5, putative isoform 3 MNEKANVSKQLNAKHRKILEGLLKLPENRECADCKSKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVSFIQSMGNEKSNNYWEAELPPNYDRVGIENFIRAKYEEKRWVPRGRKPKLPSSVREEKELLYRQPRSGGFKYMNNVNHVLEEKKVTHPSIANNSIPTPKSCSQAHVNVPQKVTPDTRSQEPLQNSEPSVSMAESPNEEVNPTPSVSNAESIKQDVKTTSSAAPPKIDYATELFNLLSMGDSRENGSKTSAHDNFWAGLSSAEAKSTRDASDSSILSQTKVQCKYGIEDLFRDSTTVKQTFPEKPQEDAKPDIQYSSSMVSPTSIHQQQLAMLSQQQSFMATAAKPNGGSQAFPVNAHHGMHFPAPNSGSIGHQFPRVVMPVAGLQKHMLVGSNQQMYPAGNSVNFPTSSLHTPGPGVPPTIGMKSIGGRPISASPVPSVTPTQWGKDYDFSSLTQGMFTKQ >EOY28389 pep chromosome:Theobroma_cacao_20110822:6:25212502:25217672:1 gene:TCM_029970 transcript:EOY28389 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 5, putative isoform 3 MNEKANVSKQLNAKHRKILEGLLKLPENRECADCKSKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVSFIQSMGNEKSNNYWEAELPPNYDRVGIENFIRAKYEEKRWVPRGRKPKLPSSVREEKELLYRQPRSGGFKYMNNVNHVLEEKKVTHPSIANNSIPTPKSCSQAHVNVPQKVTPDTRSQEPLQNSEPSVSMAESPNEEVNPTPSVSNAESIKQDVKTTSSAAPPKIDYATELFNLLSMGDSRENGSKTSAHDNFWAGLSSAEAKSTRDASDSSILSQTKVQCKYGIEDLFRDSTTVKQTFPEKPQEDAKPDIQYSSSMVSPTSIHQQQLAMLSQQQSFMATAAKPNGGSQAFPVNAHHGMHFPAPNSGSIGHQFPRVVMPVAGLQKHMLVGSNQQMYPAGNSVNFPTSSLHTPGPGVPPTIGMKSIGGRPISASPVPSVTPTQWGKDYDFSSLTQGMFTKQ >EOY25634 pep chromosome:Theobroma_cacao_20110822:6:750470:755593:1 gene:TCM_027008 transcript:EOY25634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCRWDCNQKPKDFYKTIQKLESSDQALREYFVDLDVPLSEGNEYVPIGHMEDRSAWGLGARLKKKSLKEKRASSGTKRMRTAAALVDELMDEGDDHGQGSEQLLQNHPPVFLRCRVETTCRLRKRRQYAEATIGPEAPIGPTPPQTANELPLTQSRTVNDGAVTTRQLRWIMRKHEKDMLELKASIQSLSVAMQTIEDHIVGRILDGLKSQGDPSHSAGLEHDDADDGQHHELGVDIDDDVLGVDGEHVTHVDDVVEEAVAVDVTLQSDAEGEHLPPAYAFIDAAAGAIVHYRESTPDTVEIRLSSPESFAVHHGAAKVSDPTERARLKMVNKYMASPFVDPLVTRRNVRDKIGEDYEAFKKEESASLLCKRMTGPKSKLYTARACMVDTIFSMPKLKCKFDELRGYVEGERPTYAKKWKDVDFIIVPCNVGGHWVVAKIDLVRWTIKVVDEAITSNVKDNRVRAGQMTPLTTMMPLICHQAGYFNNIRRKRRDLTPMPLDIHLPKTKVH >EOY28369 pep chromosome:Theobroma_cacao_20110822:6:25157121:25158015:-1 gene:TCM_029956 transcript:EOY28369 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-type peptidyl-prolyl cis-trans isomerase 5 MMWFGKGWGNGIIGGGYGAGYGGPNGGYSKGGIIRPTVVCKERGPCYNKKLTCPAKCFNSFSRSGKGYGSGGGGGGCTMDCKKKCVAYC >EOY28401 pep chromosome:Theobroma_cacao_20110822:6:25246935:25251759:-1 gene:TCM_029978 transcript:EOY28401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine kinase 1 isoform 3 MDQGGQPENLSTLLSDRVLVNGTVVPLTFTGDGKLRWTGKDQRCLTMEKEVLGFALEGTRIRVKYAVEKGDGICCLGNRGDLVRQSFVFEPLSDDSLRLWSQKLRDYIDSLGRPKRLLVFVNPYGGKKSATKIFSEDVKPYLEDADVQITVIETKHQLHAKEVAKTLDLSKYDGIVCVSGDGILVEVVNGLLEREDWAAAIKIPIGMVPAGTGNGMVKSLLDAVGQPCSTSNAILAVIRGHKCSLDVATILQGETRFFSVLMLAWGLIADIDIESEKYRWMGSARLDFYALQRLLHLRHYNGCVSFVPAPGFEDYGEPTGYHGEPTSEESPTEENSVKTQRHGYHGSDFKLENKHWRTISGPFISVWLHNVPWGSEDCMAAPKAKFSDGCLDLIMVRDSPKLPLLSLMSKMNDGSHVKSPHVTYIKVKAFVLEPGPRVEDPAKEGIIDSDGEVLARGKGTYKCDQKALMAYDKLQLTLDQA >EOY28400 pep chromosome:Theobroma_cacao_20110822:6:25247006:25251640:-1 gene:TCM_029978 transcript:EOY28400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine kinase 1 isoform 3 MDQGGQPENLSTLLSDRVLVNGTVVPLTFTGDGKLRWTGKDQRCLTMEKEVLGFALEGTRIRVKYAVEKGDGICCLGNRGDLVRQSFVFEPLSDDSLRLWSQKLRDYIDSLGRPKRLLVFVNPYGGKKSATKIFSEDVKPYLEDADVQITVIETKHQLHAKEVAKTLDLSKYDGIVCVSGDGILVEVVNGLLEREDWAAAIKIPIGMVPAGTGNGMVKSLLDAVGQPCSTSNAILAVIRGHKCSLDVATILQGETRFFSVLMLAWGLIADIDIESEKYRWMGSARLDFYALQRLLHLRHYNGCVSFVPAPGFEDYGEPTGYHGEPTSEESPTEENSVKTQRHGYHGSDFKLENKHWRTISGPFISVWLHNVPWGSEDCMAAPKAKFSDGCLDLIMVRDSPKLPLLSLMSKMNDGSHVKSPHVTYIKVKAFVLEPGPRVEDPAKEGIIDSDGEVLARGKGTYKCDQKALMAYDKLQLTLDQEPTKEK >EOY28402 pep chromosome:Theobroma_cacao_20110822:6:25247982:25251795:-1 gene:TCM_029978 transcript:EOY28402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine kinase 1 isoform 3 MDQGGQPENLSTLLSDRVLVNGTVVPLTFTGDGKLRWTGKDQRCLTMEKEVLGFALEGTRIRVKYAVEKGDGICCLGNRGDLVRQSFVFEPLSDDSLRLWSQKLRDYIDSLGRPKRLLVFVNPYGGKKSATKIFSEDVKPYLEDADVQITVIETKHQLHAKEVAKTLDLSKYDGIVCVSGDGILVEVVNGLLEREDWAAAIKIPIGMVPAGTGNGMVKSLLDAVGQPCSTSNAILAVIRGHKCSLDVATILQGETRFFSVLMLAWGLIADIDIESEKYRWMGSARLDFYALQRLLHLRHYNGCVSFVPAPGFEDYGEPTGYHGEPTSEESPTEENSVKTQRHGYHGSDFKLENKHWRTISGPFISVWLHNVPWGSEDCMAAPKAKFSDGCLDLIMVRDSPKLPLLSLMSKMNDGSHVKSPHVTYIKVSSLNEPGMILLTFFYTR >EOY26726 pep chromosome:Theobroma_cacao_20110822:6:17492345:17494090:1 gene:TCM_028688 transcript:EOY26726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase transcription factor Myb/SANT-like family protein MDDIEDDARYPPNPYGVTHQQGYGSLNRQKLPVRNAPYARPIVNQYVDDDEDEEEEEDEEDLGEEEENHNQNNGVRYVGKDMDDDDDDDELDEDEDDDDEGGDKQKGYNRKSDEVDLERHPKKRKLKSLVSSYEFAPRVPAAAVAATSVPKPSYGGRNSLTDWTERETFVLLDAWGDRFLQRGRKSLRSEEWQDVAERVSEVSKIERTDTQCRNRLDTLKKKYKKEKAMLAETGATTSKWVYFRKMDMLMSTPPQQGGLSCGLDSGEYVFMNPRVYLNRANGLDEMRDSPANSESADGEEDISDGLPPKKRRFGRQSDEGSSFRLLADSIQKFSDIYEKIENSKRQQMLELEKMRMDFHRELEMQKRQIMERAQAEIAKIQQGDDEENDVSAENASE >EOY28558 pep chromosome:Theobroma_cacao_20110822:6:25788691:25792752:-1 gene:TCM_030099 transcript:EOY28558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 29, putative isoform 1 MLRYANRDILGEMEVSPSACLLNTQDVTNADQFNQALENLLNNLSSQAAAEGPLRKYAADNLTAGVFQTVYTMVQCTPDLSEQECGECLTVVKNGIGNCCLGKRGCRVLRPSCFLRFESSPFFETPVPLPSPPPSPTTPPPPATGGKGNNTTRTIIIVVASVVGVVILITISICIFLRATDGQEVAVKRLSTDSGQGEVEFKNEVLLVAKLQHRNLVRLLGFCLEGRERLLIYEFVPNASLDHFIFDQVKRIQLDWERRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNILLDAEMIPKIADFGMARLFVRDETQGNTSRIVGTYGYMAPEYAMHGQFSVKSDVFSFGVIILEIISGQKNNCFRNGETVEDLLSYAWKNWREGTALNLIDPTLRDGSRNEMLRCIHIGLLCVQENVANRPTMATVVLMLNSFSISLPLPSQPAFFIHSNIDSDMSSSRGYNSRMSESQQSKSESIPLSMNEASITELYPR >EOY28557 pep chromosome:Theobroma_cacao_20110822:6:25788726:25793142:-1 gene:TCM_030099 transcript:EOY28557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 29, putative isoform 1 MAMGFSRVLLLFYSVLLFLATLTLGADPFFQARCVNTAGNYTANSTYQNNLDNIFSQVTSLTEFNYGFHNLSSGQNPNKVNAIALCRGDTNLDNCNSCLNETVSELRQRCPLYKEVVGWSEFCMLRYANRDILGEMEVSPSACLLNTQDVTNADQFNQALENLLNNLSSQAAAEGPLRKYAADNLTAGVFQTVYTMVQCTPDLSEQECGECLTVVKNGIGNCCLGKRGCRVLRPSCFLRFESSPFFETPVPLPSPPPSPTTPPPPATGGKGNNTTRTIIIVVASVVGVVILITISICIFLRARKNWEKVETVDEIIRVESLQFDFATIRVATDNFSDANKLGQGGFGAVYKGLLPDGQEVAVKRLSTDSGQGEVEFKNEVLLVAKLQHRNLVRLLGFCLEGRERLLIYEFVPNASLDHFIFDQVKRIQLDWERRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNILLDAEMIPKIADFGMARLFVRDETQGNTSRIVGTYGYMAPEYAMHGQFSVKSDVFSFGVIILEIISGQKNNCFRNGETVEDLLSYAWKNWREGTALNLIDPTLRDGSRNEMLRCIHIGLLCVQENVANRPTMATVVLMLNSFSISLPLPSQPAFFIHSNIDSDMSSSRGYNSRMSESQQSKSESIPLSMNEASITELYPR >EOY26340 pep chromosome:Theobroma_cacao_20110822:6:7677257:7678432:-1 gene:TCM_027836 transcript:EOY26340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFCCFYFEVKPLERTSLLDMVLYSPIILLCAYYSNLRKSFVACCVAQTWARKNDELEYQRLFEVH >EOY26024 pep chromosome:Theobroma_cacao_20110822:6:3716584:3722364:1 gene:TCM_027414 transcript:EOY26024 gene_biotype:protein_coding transcript_biotype:protein_coding description:XH/XS domain-containing protein MDSSSGEESDLSESEINDYIEKPYEQLKSGKYQVKALNGSLRCPFCAGKKKQDYKYKDLLQHASGVGKGSANRSAIQKANHLALAKYLEIDLASEADQTLRPAVPRPVNQTPEQNDLYVWPWMGIILNIVAESKDKNALHDQGYWLKKFAKYKPLEVQSFWNEQDLTGQAILKFNNDWNGFMNATEFEKVFVTELRSKKHWNEKQTHLGSNIYGWCARADDYQSDGPIGEYLRKVGKLRTISDIVQEAAQDRNNVVANLATRIDLTNENLEELQYKYNETTMSLSRMLEEKDKLHLAFIEETRKMQRLARDNVRRILEEQEKLNYELETKKRKIDYWNKELNKREALTERERQKLDEDKKKNNERNNSLLLASMEQKKADENVLRLVEEQKREKEEALKKILQLEKQLDVKQKLEMEIEELKGKLQVMKHLGQDDAVVQKKMEEMNNELKEKIEDLQDMESTNQALIVKERQSNDELQEARKVLIQGLRELLGARVNIGLKRMGELDEKAFQNTCKLRFSPDEAAVQATTLCSLWEENLKNPEWHPFKIINEGGNHKEIVNEEDEKLRNLKQEWGEGIYEAVVTAFKELNEYNPSGRYVISELWNFKENRKATLKEVINYIVKNIKTAKRKRT >EOY26244 pep chromosome:Theobroma_cacao_20110822:6:6399302:6407070:-1 gene:TCM_027707 transcript:EOY26244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGKGASIYFWMDKWRLANEPLSAKYLRLFSLVVDNDAQDNDAYNEILLELSNAVLVPRKENRLLWKHHPKGHFSVKIFCSLLDADLMDHDSALFSDLPFLIPSPLNIFLHLAEFILMRSKDFVVGSSLRVSWQPPNGGDLKFIVDSLARGKPDLTSCGGILRNLEGYVVGVFFDPLVYLNSNFVELIAIFYALRLFALSPYIGFNVCNTP >EOY25586 pep chromosome:Theobroma_cacao_20110822:6:529580:538355:1 gene:TCM_026967 transcript:EOY25586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 1 MDTSSVLFNQLKGAEPFFLLAGPNVIESEDHILRMAKHIKTIATKLGLPLVFKSSFDKANRTSSKSFRGPGMAEGLKILEKVKVAYDIPIVTDIHETIQCEPVGRVADIIQIPAFLCRQTDLLVAAAKTGRIINIKKGQFCAPSVMVNSAEKIRLAGNPNVMVCERGTMFGYNDLIVDPRNLEWMREANCPVVADITHSLQQPAGRKLDGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDNPLNAPVDGPTQWPLRHLEELLEELMAIARVSKGKQRFNIDLTPYHD >EOY25585 pep chromosome:Theobroma_cacao_20110822:6:529584:538447:1 gene:TCM_026967 transcript:EOY25585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 1 MDTSSVLFNQLKGAEPFFLLAGPNVIESEDHILRMAKHIKTIATKLGLPLVFKSSFDKANRTSSKSFRGPGMAEGLKILEKVKVAYDIPIVTDIHETIQCEPVGRVADIIQIPAFLCRQTDLLVAAAKTGRIINIKKGQFCAPSVMVNSAEKIRLAGNPNVMVCERGTMFGYNDLIVDPRNLEWMREANCPVVADITHSLQQPAGRKLDGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDNPLNAPVDGPTQWPLRHLEELLEELMAIARVSKGKQRFNIDLTPYHD >EOY25582 pep chromosome:Theobroma_cacao_20110822:6:529554:538390:1 gene:TCM_026967 transcript:EOY25582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 1 MDTSSVLFNQLKGAEPFFLLAGPNVIESEDHILRMAKHIKTIATKLGLPLVFKSSFDKANRTSSKSFRGPGMAEGLKILEKVKVAYDIPIVTDIHETIQCEPVGRVADIIQIPAFLCRQTDLLVAAAKTGRIINIKKGQFCAPSVMVNSAEKIRLAGNPNVMVCERGTMFGYNDLIVDPRNLEWMREANCPVVADITHSLQQPAGRKLDGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDNPLNAPVDGPTQWPLRHLEELLEELMAIARVSKGKQRFNIDLTPYHD >EOY25583 pep chromosome:Theobroma_cacao_20110822:6:529471:538704:1 gene:TCM_026967 transcript:EOY25583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 1 MDTSSVLFNQLKGAEPFFLLAGPNVIESEDHILRMAKHIKTIATKLGLPLVFKSSFDKANRTSSKSFRGPGMAEGLKILEKVKVAYDIPIVTDIHETIQCEPVGRVADIIQIPAFLCRQTDLLVAAAKTGRIINIKKGQFCAPSVMVNSAEKIRLAGNPNVMVCERGTMFGYNDLIVDPRNLEWMREANCPVVADITHSLQQPAGRKLDGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDNPLNAPVDGPTQWPLRHLEELLEELMAIARVSKGKQRFNIDLTPYHD >EOY25584 pep chromosome:Theobroma_cacao_20110822:6:529580:538355:1 gene:TCM_026967 transcript:EOY25584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 1 MDTSSVLFNQLKGAEPFFLLAGPNVIESEDHILRMAKHIKTIATKLGLPLVFKSSFDKANRTSSKSFRGPGMAEGLKILEKVKVAYDIPIVTDIHETIQCEPVGRVADIIQIPAFLCRQTDLLVAAAKTGRIINIKKGQFCAPSVMVNSAEKIRLAGNPNVMVCERGTMFGYNDLIVDPRNLEWMREANCPVVADITHSLQQPAGRKLDGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDNPLNAPVDGPTQWPLRHLEELLEELMAIARVSKGKQRFNIDLTPYHD >EOY25581 pep chromosome:Theobroma_cacao_20110822:6:529580:538355:1 gene:TCM_026967 transcript:EOY25581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 1 MDTSSVLFNQLKGAEPFFLLAGPNVIESEDHILRMAKHIKTIATKLGLPLVFKSSFDKANRTSSKSFRGPGMAEGLKILEKVKVAYDIPIVTDIHETIQCEPVGRVADIIQIPAFLCRQTDLLVAAAKTGRIINIKKGQFCAPSVMVNSAEKIRLAGNPNVMVCERGTMFGYNDLIVDPRNLEWMREANCPVVADITHSLQQPAGRKLDGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDNPLNAPVDGPTQWPLRHLEELLEELMAIARVSKGKQRFNIDLTPYHD >EOY28951 pep chromosome:Theobroma_cacao_20110822:6:26943706:26947915:-1 gene:TCM_030410 transcript:EOY28951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein / bromo-adjacent domain-containing protein, putative isoform 2 MSENNYSFVGWEEHIICQERGNRVVHFYLKEASGPLVLAVVGTERSIRHMMYVVSGEFLQAYGSHGFINASSKWRARREVVEWLQSLVSMNRPLPDLQMDDSIKGFGSFEVSMTGPLGCRTCLPHHMVGRKLKAQNSDIEWSGIAWICAKQLKHYSSFCRNGTTIAVHCFVFVMAEEENHYLGYLEDMYEDKKGQKKVKVRWFHHNREVKGVIPQLNPHPREVFITPNVQVISAECVDGLATVLTPIHYEKCVAVVPQTSQLAVHMCFRQLKNNKVKPFTLTKLRGYSNQAILSSLDGPVVPKQTGKNRNSHEEDKKALTFDDSLRVTAKRNRSCEGQAGLESGSGGRNSVAVPAYEFMRCEPTYPKLKLRFSRKTMGIVSQPRHPLSFKVDEKIELLSHDSGIRGCWFRCKVLKSSQKHLKVQYDDVQDADGSGNLESCST >EOY28950 pep chromosome:Theobroma_cacao_20110822:6:26943227:26947915:-1 gene:TCM_030410 transcript:EOY28950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein / bromo-adjacent domain-containing protein, putative isoform 2 MNRPLPDLQMDDSIKGFGSFEVSMTGPLGCRTCLPHHMVGRKLKAQNSDIEWSGIAWICAKQLKHYSSFCRNGTTIAVHCFVFVMAEEENHYLGYLEDMYEDKKGQKKVKVRWFHHNREVKGVIPQLNPHPREVFITPNVQVISAECVDGLATVLTPIHYEKCVAVVPQTSQLAVHMCFRQLKNNKVKPFTLTKLRGYSNQAILSSLDGPVVPKQTGKNRNSHEEDKKALTFDDSLRVTAKRNRSCEGQAGLESGSGGRNSVAVPAYEFMRCEPTYPKLKLRFSRKTMGIVSQPRHPLSFKVDEKIELLSHDSGIRGCWFRCKVLKSSQKHLKVQYDDVQDADGSGNLEEWIPASRVAAPDKLGMRCPGRLTIRPCPPKDTTTAFKIEIGAAVDLWWSDGWWEGVTTRIGICGDDDLQVYLPGEDKFLTVQRENSRISKDWVDNRWVDINGRPDILSYLSSNISPSMKPSTCSAMVEASRCGSIASMEHKALTTSKLEAVKEDEQELPWPATCDDPEDMNAMSLEKRPHDNDKDGSKESGGAAYVEGKEDSDNEGNGGNKSESFLEEEFESANKRCRTVE >EOY28949 pep chromosome:Theobroma_cacao_20110822:6:26942901:26949188:-1 gene:TCM_030410 transcript:EOY28949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agenet domain-containing protein / bromo-adjacent domain-containing protein, putative isoform 2 MSENNYSFVGWEEHIICQERGNRVVHFYLKEASGPLVLAVVGTERSIRHMMYVVSGEFLQAYGSHGFINASSKWRARREVVEWLQSLVSMNRPLPDLQMDDSIKGFGSFEVSMTGPLGCRTCLPHHMVGRKLKAQNSDIEWSGIAWICAKQLKHYSSFCRNGTTIAVHCFVFVMAEEENHYLGYLEDMYEDKKGQKKVKVRWFHHNREVKGVIPQLNPHPREVFITPNVQVISAECVDGLATVLTPIHYEKCVAVVPQTSQLAVHMCFRQLKNNKVKPFTLTKLRGYSNQAILSSLDGPVVPKQTGKNRNSHEEDKKALTFDDSLRVTAKRNRSCEGQAGLESGSGGRNSVAVPAYEFMRCEPTYPKLKLRFSRKTMGIVSQPRHPLSFKVDEKIELLSHDSGIRGCWFRCKVLKSSQKHLKVQYDDVQDADGSGNLEEWIPASRVAAPDKLGMRCPGRLTIRPCPPKDTTTAFKIEIGAAVDLWWSDGWWEGVTTRIGICGDDDLQVYLPGEDKFLTVQRENSRISKDWVDNRWVDINGRPDILSYLSSNISPSMKPSTCSAMVEASRCGSIASMEHKALTTSKLEAVKEDEQELPWPATCDDPEDMNAMSLEKRPHDNDKDGSKESGGAAYVEGKEDSDNEGNGGNKSESFLEEEFESANKRCRTVE >EOY25874 pep chromosome:Theobroma_cacao_20110822:6:2484847:2489109:1 gene:TCM_027241 transcript:EOY25874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 2 MAHSLPSSRFSAFFCCILLLLLISSATVSGARLGNARLTDSSSIRRILLNNGLGLTPQMGWNSWNRFHCNINETLIKETADAMVSSGLAALGYTYINLDDCWGELNRDTQGNLVPKASTFPSGIKALADYVHSKGLKLGIYADAGTQTCSKTMPGSLGYEEQDAKTFASWGVDYLKYDNCANTGISPKERYPKMSKALLSSGRTMFFSLCEWGNEDPATWAPSIGNSWRTTGDIKDNWDRMTSIADQNDKWASYAQPGSWNDPDMLEVGNGGMTTEEYRSHFSIWSLAKAPLLIGCDIRSMDNVTFELLSNKEVIAVNQDKLGVQGKKVKKDGDLEVWAGPLTDNRVAVVLWNRGSSSANITAYWSDIGLKPSTVCDVQHLWAHSTELSVQDQLSAQVDAHACRMYTITPR >EOY28016 pep chromosome:Theobroma_cacao_20110822:6:23948423:23949027:1 gene:TCM_029706 transcript:EOY28016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEKEGGIVKKGHDEGMKMATTLLEEFGLPKGLLPLADVIEVGFVRNTGFMWIVQKNKVEHNFKLISKLVSYATEITGFVDKKRIKKLKGVKAKELMLWPPVNEIVADDPPTGKIHFKSLAGVTKTFPAEAFDAGQ >EOY26121 pep chromosome:Theobroma_cacao_20110822:6:4698911:4701070:-1 gene:TCM_027531 transcript:EOY26121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MALIILLSILLLRYLVSVSGNADSAYNHHQHYSLLKDKAALLEFKRSIYDPKSTLSNWENAVPVCNFTGVTCDNRHHRVSEIDLHRFGLVGKISPFISNLTGLRVLNLVENHFFGTIPPQLSSLRRLHTLFLDGNNLNGPVPDSSALLTNLTVFSVHMNNLTGPLPPSFFSNCTQLRVIDLSLNFLTCQIPAEIGNCPNLWSLNLYNNQFTGQLPASLTNTSLFNLDVGYNLLSGELPSDLIAKLPTLAYLYLSFNNMTSHHNNSNLYPFFAALQNCTDLEELALDGMGLGGRLL >EOY27688 pep chromosome:Theobroma_cacao_20110822:6:22812011:22812585:1 gene:TCM_029475 transcript:EOY27688 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein, putative MAATATSQEDVKVVLIDTQYVETDPVSFKSVVQRLTGKDSCVAWIEESSFSGGKTETRVALKGAAPERSCGPLGGCFGGGVSMLTKGLSFKDLDRMILEAPPVDELNWLWAN >EOY28376 pep chromosome:Theobroma_cacao_20110822:6:25179964:25182326:-1 gene:TCM_029962 transcript:EOY28376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional nuclease i MITLWRLSSFGFVLLVGLAFILLPRTHGWSKEGHILTCRIAQGLLEPEAAEAVENLLPHYANGDLSSLCVWPDQIRHWHRYRWTSSLHFIDTPDDACTYDYSRDCHDPHGLKDMCVTGAIQNFTSQLLHYREGTSDRRHNMTEALLFLSHFMGDVHQPMHIGFTSDKGGNTIALRWFRHKSNLHHVWDREIVLTALADYYEKNLDSLQEEIVGNLTDGIWFDDVASWKECDDLLPCLDKYATESINIACKWGYQGVKSGETLADEYFNSRMPIVMKRIAQGGVRLAMILNQVFGQPEEGFAAAT >EOY25572 pep chromosome:Theobroma_cacao_20110822:6:482495:484618:-1 gene:TCM_026955 transcript:EOY25572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTHKIVVVCVELPVKLENLFIGKYLVCICKQTLYEQALLIVLLSSGTQMLQLSFLRLGLAADKCNA >EOY28581 pep chromosome:Theobroma_cacao_20110822:6:25906346:25915300:-1 gene:TCM_030134 transcript:EOY28581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 10 MDHSRSRKTIRLLLLLLCSFLFGLHDLALADPPYAHCSNNTSNNLLNSSFQNNLNNLLSLLHSQASISKYNNTSYGNGTDRVYGQYMCLDYVPYDTCQACISAASQAIVNLCRNRTEAVVWEEYCQLRYSDINFFGRLNVADNFFQDNVINISDPVHFQSIVENKLRDLTKRAAFNSSANMYAAGGEPYTGDDTLYAMVQCTRDLSPDNCSKCLEAAIKDVSSECYASRGARLLSRSCYLRYELYAFYEGAEDSSVSTKKEGGGGRKTWMIVVLTIGSAVLVILLLASTIYCVARKKGTGKGKEKILRNQMQIHNIGDPENTDLQNQYFEGLDELRAHDSYFDLATINSATDNFSDSKLLGQGGFGPVYKGVLPDGKEVAVKRLSSFSEQGTLEFTNEVLLILKLQHKNLVRLLGFCVDQQEKLLVYEFMPNSSLDVVLFDTKKRAQLNWSRRLNIINGIARGILYLHEDSRLRIIHRDIKASNVLLDCDMNPKISDFGMARIFAGADSEANTARIVGTYGYMAPEYAMEGLYSIKSDVFSFGVLLLEIITGRRNAGFHQSKRDPSLVAYAWHLWNEGNALELMDPLLTDGCPDEFLRFIHIGLLCVEEDAFNRPSMPSVVVMLKGETVTLSQPQQPAFSVRRLGNYTANSAYERDLNGLFNEISSITKPNYGFFYSQYFGEVDALALCRGDIKLDDCTRCLNETLSQIKQNCPQNKEAIGWSGHCMIHYSSRNISGRLESSPVTCRCKAEHLLKTDELPFFEVQGKLLNDLGSRAAAGGSLLKYAAGNSSLNVSQSLFALVQCTPDLTEGECNACLTTAMAEMRDCCIETTGGMVFRPRCFLRFETYPFFDAAVAVPNPQPPPDERSPKGPYNSEETKQKKSNWIPFGASLSAILGLALFSACGFFIWERRTNIQENGENRQEAQLLDLVGGRICSEHSSETFSGQNVAKSQEFLSFQLHILHAATDHFADKNKLGEGGFGSVYKGTLPDGKEIAVKRLSGTSSQGLLELKNEVMLIAKLQHRNLVRLLGCCLEKTEKLLVYEFMPNRSLDVFLFDSSVAALLSWQKRFSVIKGIARGIMYLHEDSRLKIIHRDLKASNVLLDHEMNPKISDFGMARIFGGDQNQANTKRVVGTYGYMAPEYVMEGVFSIKSDVFSFGVLLLEIISGKRNNGYHVSERGESLLSFAWKLWSKGQGIELIDQLLVQSCVATQVLKCVQIGLLCVQKDPADRPSMTSVIVMLESETLTLPPPAEPAFFVERVVAEPTQSTSSDGISSINEITISDTLPR >EOY25915 pep chromosome:Theobroma_cacao_20110822:6:2732484:2733104:-1 gene:TCM_027287 transcript:EOY25915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper binding protein 6, putative MAKERGSAIIAMALLLFFLLHSETTHAATFKVGDDDGWRFGVSDWPNGKSFKAGDILEFVYNRANHNVAVVDKDGHDSCTVPDNATVFQTGDDKITLEKGENYFICGFTGHCANGMNLAITAA >EOY26138 pep chromosome:Theobroma_cacao_20110822:6:4900334:4905557:-1 gene:TCM_027550 transcript:EOY26138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVQLMSSGKRVGVKLEVEEALEDGLAPLHKRSKLDPSLQEGNIESGDFPIPPSLYNPLDEPSPLGLRLKKSPSFLDLIQMKLSQQNANKLTALKKKDSKGTSVSCGQDKLKASNFPASVLRIGSWEYKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKVEIQWSDIVAIKANYPEDGPGTLDVALARQPLFFRETNPQPRKHTLWQATSDFTGGQASIHRQHFLQCPQGLLGKHFEKLIQCDPRLNFLSKQRETLLESPYFEPKISGFKDSNEAGHKVELEGEEGPTIFGLQDTSSPAAGQSSSCKKEQDFSGRAAEHFCQGTPSPSSVMDTNAIEEIRGNTANEGKLLRQWDQIRFSGLHASMSMSDLVNHIGNCISEQMTSSNDDLQGQDILEEITQYLLNDSLHASASDEQCLMARVNSLCCLLQKDSAVAPDFPTKKDVAVDVHDHGKNVEGSSVSAAARESKTAESFPVSMDESNDVSNSKRPQAMSRKDSVGDLLLNLPRIASLPQFLFNIMEDSDIQAR >EOY26879 pep chromosome:Theobroma_cacao_20110822:6:18818969:18820997:-1 gene:TCM_028845 transcript:EOY26879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase 19-1 isoform 1 MSAALYFLRFNWKFISCLINVLGRCWFCGAFGGNRGLSPVPPEKGIFPLDHLHECDLEKKEYLNCLKTSGHKSDKCRQFSKKYLQCRMEKNLMAKQDLSELGFGKQSEMEASGEKNTQTIDN >EOY26880 pep chromosome:Theobroma_cacao_20110822:6:18819329:18821005:-1 gene:TCM_028845 transcript:EOY26880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase 19-1 isoform 1 MSAGGAFGGNRGLSPVPPEKGIFPLDHLHECDLEKKEYLNCLKTSGHKSDKCRQFSKKYLQCRMEKNLMAKQDLSELGFGKQSEMEASGEKNTQTIDN >EOY25969 pep chromosome:Theobroma_cacao_20110822:6:3131323:3134451:-1 gene:TCM_027343 transcript:EOY25969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 2 MLSSISSKRLTPFSLLSPIRLLFSSTSRSLFLNPTSHFHSQPPNPLPDQPNFDHQTVRETLSCYSNDWKRALEFFNWVETQCQFPHTTETFNKMLDILGKSFEFDLSWDLIDRMKNKPCSIPDHATFRILFKRYITAHLVKEAISTFDRLEEFNLKDEISFCNLVDALCEYKHVIEAQELCFFGKIKEIGLSVNDTKIHNMILRGWFKMGWWSKCREFWQEMDKKGVKKDLHSYSIYMDIMCKSGKPWKAVKLYKEMKKKGMKLDVVAYNTVIRAIGISEGADFGVGVFREMRDLGCEPNVVTYNTVIKLLCENGRVRQAYAVLDQMLKKDCAPDVITYHCFFGCLEKPREILKLFDLMITNGIQPRMDTYVMLMRKFGRWGFLRPVFMVWKKMEELGSSPNEFAYNALIDALIQKGMLDMARKYDEEMLEKGLSSKPREELGTKQRIAECLGEVNLMVPAFFHLSSENSRNEAGTRNAYG >EOY25971 pep chromosome:Theobroma_cacao_20110822:6:3132193:3134267:-1 gene:TCM_027343 transcript:EOY25971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 2 MLDILGKSFEFDLSWDLIDRMKNKPCSIPDHATFRILFKRYITAHLVKEAISTFDRLEEFNLKDEISFCNLVDALCEYKHVIEAQELCFFGKIKEIGLSVNDTKIHNMILRGWFKMGWWSKCREFWQEMDKKGVKKDLHSYSIYMDIMCKSGKPWKAVKLYKEMKKKGMKLDVVAYNTVIRAIGISEGADFGVGVFREMRDLGCEPNVVTYNTVIKLLCENGRVRQAYAVLDQMLKKDCAPDVITYHCFFGCLEKPREILKLFDLMITNGIQPRMDTYVMLMRKFGRWGFLRPVFMVWKKMEELGSSPNEFAYNALIDALIQKGMLDMARKYDEEMLEKGLSSKPREELGTKLVQGGEDT >EOY25970 pep chromosome:Theobroma_cacao_20110822:6:3131409:3134075:-1 gene:TCM_027343 transcript:EOY25970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 2 MKNKPCSIPDHATFRILFKRYITAHLVKEAISTFDRLEEFNLKDEISFCNLVDALCEYKHVIEAQELCFFGKIKEIGLSVNDTKIHNMILRGWFKMGWWSKCREFWQEMDKKGVKKDLHSYSIYMDIMCKSGKPWKAVKLYKEMKKKGMKLDVVAYNTVIRAIGISEGADFGVGVFREMRDLGCEPNVVTYNTVIKLLCENGRVRQAYAVLDQMLKKDCAPDVITYHCFFGCLEKPREILKLFDLMITNGIQPRMDTYVMLMRKFGRWGFLRPVFMVWKKMEELGSSPNEFAYNALIDALIQKGMLDMARKYDEEMLEKGLSSKPREELGTKLVQGGEDT >EOY28742 pep chromosome:Theobroma_cacao_20110822:6:26402214:26405268:-1 gene:TCM_030256 transcript:EOY28742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage T-protein family isoform 1 MRGSLWQLGQSITRRLAQADKKAITRRYFASEADLKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTINCRQNGGLFDVSHMCGLSLKGKDCVPFLEKLVIADVAGLAHGTGTLTVFTNEKGGAIDDSVITKVKDDHIYLVVNAGCRDKDLAHIEEHMKAFKAKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKDDLSKLYFGEFRILDINGATCFLTRTGYTGEDGFEISVPSENAEDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHVTPVEAGLTWAIGKRRRAEGGFLGAEVILKQLAEGPSIRRVGFISTGPPPRSHSEIQDEKGSNIGEITSGGFSPCLKKNIAMGYVKSGLHKAGTKAKILVRGKAYDGVVTKMPFVPTKYYKPS >EOY28743 pep chromosome:Theobroma_cacao_20110822:6:26402651:26405106:-1 gene:TCM_030256 transcript:EOY28743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage T-protein family isoform 1 MRGSLWQLGQSITRRLAQADKKAITRRYFASEADLKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTINCRQNGGLFDVSHMCGLSLKGKDCVPFLEKLVIADVAGLAHGTGTLTVFTNEKGGAIDDSVITKVKDDHIYLVVNAGCRDKDLAHIEEHMKAFKAKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKDDLSKLYFGEFRILDINGATCFLTRTGYTGEDGFEISVPSENAEDLAKAILEKSEGKVRLTGLGARDSLRLEAGLC >EOY28845 pep chromosome:Theobroma_cacao_20110822:6:26693942:26694566:-1 gene:TCM_030330 transcript:EOY28845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid transfer 12-like protein MEKKMMGFSCFFWILGLVFLVVTTNPVHADREATCRDCMVNFVPFCKPYLVGQAATPDVRCCIGLSNTDGGITKPQTRKDICECLHKLAVRDGYKPDRAKKINEFCNLNFPVPLAPSADDCKK >EOY25799 pep chromosome:Theobroma_cacao_20110822:6:1956727:1962351:1 gene:TCM_027159 transcript:EOY25799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSGICSQGLVLATAMVVSSTVIFLTFSRQKTLPPSKQTLRSCLSSEGKRRGRKKKKVQFAENVKDTSGNGEEYRKEQNKKLIAATAGRSRKVDRFCRNEMPENRIALYNGILRDRVHRMECSY >EOY28387 pep chromosome:Theobroma_cacao_20110822:6:25205069:25211554:-1 gene:TCM_029968 transcript:EOY28387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MERQRNEFIRRQRNVVRRPLLTELPRPSPPNSNRKPTGHTQTAVDLKCNTCHYIIPRGTNMPFRCYWRSYIPGCPDPRFKRHMNCSNCSADIVIDLVDGKYVAVMGATQQEDDSEAICVTRGRLSNFYKISRVILKQFESLRDTSVSFTNQDLCRMILKLFESLGDTSCSSTNPDRWRMILKQIESLGDTSVSSTSHDLCRMILKQFESLGDTSVSSTNQDLCRLILKQFESLGDTSVSSTNQDLCRMILKQFESLGDTSVSSANQERAP >EOY28386 pep chromosome:Theobroma_cacao_20110822:6:25209359:25211330:-1 gene:TCM_029968 transcript:EOY28386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MERQRNEFIRRQRNVVRRPLLTELPRPSPPNSNRKPTGHTQTAVDLKCNTCHYIIPRGTNMPFRCYWRSYIPGCPDPRFKRHMNCSNCSADIVIDLVDGKYVAVMGATQVPDPEDDSEAICVTRGRLSNFYKISRVILKQFESLRDTSVSFTNQDLCRMILKLFESLGDTSCSSTNPDRWRMILKQIESLGDTSVSSTSHDLCRMILKQFESLGDTSVSSTNQDLCRLILKQFESLGDTSVSSTNQDLCRMILKQFESLGDTSVSSANQESAPP >EOY28385 pep chromosome:Theobroma_cacao_20110822:6:25204044:25211608:-1 gene:TCM_029968 transcript:EOY28385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MERQRNEFIRRQRNVVRRPLLTELPRPSPPNSNRKPTGHTQTAVDLKCNTCHYIIPRGTNMPFRCYWRSYIPGCPDPRFKRHMNCSNCSADIVIDLVDGKYVAVMGATQEDDSEAICVTRGRLSNFYKISRVILKQFESLRDTSVSFTNQDLCRMILKLFESLGDTSCSSTNPDRWRMILKQIESLGDTSVSSTSHDLCRMILKQFESLGDTSVSSTNQDLCRLILKQFESLGDTSVSSTNQDLCRMILKQFESLGDTSVSSANQESAPP >EOY29013 pep chromosome:Theobroma_cacao_20110822:6:27115781:27117657:1 gene:TCM_030454 transcript:EOY29013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein, putative MSTPWTETNVAAAQTCRFSSSASEIEEEEEEDGNFSSELFEINHGEGLASIKEEDASSLFSFDVNNAEDIVYVAVGKSESSIDALSWTLSHFVSTSSVLYLIHVFPEIHHIPSPLGMLPKSKVSPAQVENYMAQERGKRRELLQKFLNICSASKVKVDTMLIESDMVAKAILDLIPILNIRKLVVGTSNCSPRKLKSRRGFGIADQIFQNAPDTCEVKVVCEGKEVIISQMIGPPSPSAGNEDNFKALQKADHNNDSFSCMCFRPKF >EOY25800 pep chromosome:Theobroma_cacao_20110822:6:1965294:1969871:1 gene:TCM_027160 transcript:EOY25800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGCLGCYKRPTFNPLVNESSKGLNVQDQTVRKASISEDFWTTSTCDMDNSAVQSQGSISSISTSNQTLDPHDSAASANAPSEFVNHGLLLWNQSRQRWVGNKKFKNRPRQGREPKLNWNATYESLLGSNKPFPQPIPLAEMIDFLVDIWEQEGLYD >EOY27250 pep chromosome:Theobroma_cacao_20110822:6:20868219:20870877:-1 gene:TCM_029137 transcript:EOY27250 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 Ubiquitin ligase family protein isoform 2 MSSHEQALASLISQLALSFDGAVLGVALAYAAVRTIFRFKSTSTALRKIRVAPSLGVADLRSLLEEDQSDSTEEPIVVIRGAVEARSAGDGRSWKSLRSNVLVSQESGDKAVIIQRTQTYIYHEWRGFFGWTSDLRAIIGRSWNKKESTSMRKVPFILVEGGQWPQSDCVIVNMDGSRHPLPLTTVYHQLQPINASPYTFLQALFGHEYPVGLLDEEKILPLGKEITAVGICSFNNGVPEIKSCKELPYFLSDKTKDQMLLDLAFKTKILLWSGMVLGSLSIGILGYAFVRNWNKWKEWRLRRFQQSAHAAPDDATSQIAGDEEAGDVPDGELCVICLMRRRRSAFIPCGHLVCCQHCAVSVEREVVPKCPVCRMAIRSSVRIYSS >EOY27251 pep chromosome:Theobroma_cacao_20110822:6:20868364:20870859:-1 gene:TCM_029137 transcript:EOY27251 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 Ubiquitin ligase family protein isoform 2 MSSHEQALASLISQLALSFDGAVLGVALAYAAVRTIFRFKSTSTALRKIRVAPSLGVADLRSLLEEDQSDSTEEPIVVIRGAVEARSAGDGRSWKSLRSNVLVSQESGDKAVIIQRTQTVGLLDEEKILPLGKEITAVGICSFNNGVPEIKSCKELPYFLSDKTKDQMLLDLAFKTKILLWSGMVLGSLSIGILGYAFVRNWNKWKEWRLRRFQQSAHAAPDDATSQIAGDEEAGDVPDGELCVICLMRRRRSAFIPCGHLVCCQHCAVSVEREVVPKCPVCRMAIRSSVRIYSS >EOY26150 pep chromosome:Theobroma_cacao_20110822:6:5045297:5060876:1 gene:TCM_027563 transcript:EOY26150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMHQETQSTSLEIEQTTEEAHLDKGKAIDTDPVAKKTVGKSRKTMVTKTKAFRRRKSTRLALTSTQ >EOY28326 pep chromosome:Theobroma_cacao_20110822:6:24951985:24954198:1 gene:TCM_029924 transcript:EOY28326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Larreatricin hydroxylase MAFPVLLSSNPIIVPSLSIQTSFFPKTSQLSLNEKIKKPHYSVPNKVVSCKAANNGNQNPTPSSRKTTKIIDFKLPSFSKLRYRRPAHLVDADYVAEFTKAVNLMKDLPPDDPRSFMQQANVYRAYCNGAYDQVGFPDQDLQIHFSSLFFPFHRLYLYFYERILGKLIGDPDFAMPFWNWDAPAGMSIPAIYVNPQSLLYDDKRNVSHQPPKLVDLDYNGTDKEITDKELVLSNLKVMYRQMVSGAKTASLFHGKVYRAGDKPIPGAGSIEAGCYTAIRMWVEDQKQEYEEDMGNFYSSGRDVMFYGLHANVDRMWSVWEKTLGQNNFNDAERLNATFYFYDENANLVRAKVRDCLDNKTLGYDYEPVEMPWRLTKPVTRKLGKKGGRGHGHAMAAEIKNKNIIRNAFPIVLDKTLSIEIPRPRKSRSKREKEEEEVLVLESIQLERDASVKFDVYINDEDDEAPSGPEDAEFAGSFTNIPHNHKHAKKLETSFSLAISDLLEDLDVEGDDNIVVTLVPRRGKGLVTVGNIKINYIRE >EOY27870 pep chromosome:Theobroma_cacao_20110822:6:23369245:23371920:1 gene:TCM_029594 transcript:EOY27870 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein MEKWWGVLGVVLVLNLSFGVRGAPQVPCYFIFGDSLVDNGNNNQLSSLARANYLPYGIDFPNGPTGRFSNGKTTIDVIAELLGFDNYIPPYSTVSGRQILGGVNYASAAAGIREETGQQLGGRISFSGQVRNYRETVSQVVNLLGDEDTAANYLSKCIYSIGLGSNDYLNNYFMPAFYSTSRQYNPEQYADVLIQQYTEQLQDLYNYGARKFVLVGLGQIGCSPNELAQNSGDGRTCVERINDANRIFNSKLRALVDQFNNNNSDAKFIYINAYGIFQDITSNPAAYGFKVTNAGCCGVGRNNGQITCLPYQTPCQNRDEYLFWDAFHPGEAANVIIGRRSYSAQSSSDAYPIDIRRLAQL >EOY26532 pep chromosome:Theobroma_cacao_20110822:6:13618723:13619652:-1 gene:TCM_028321 transcript:EOY26532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSCCVETILPYPTATASALLTSMLSVSRRSSGWTPEGQDKTR >EOY25797 pep chromosome:Theobroma_cacao_20110822:6:1944734:1956678:1 gene:TCM_027158 transcript:EOY25797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D alpha 2 isoform 1 MEDILLHGTLHVTIYEADKLHSGGGGGHFFRKLMANVEETIGIGKGIPKIYATIDLERARVGRTRIIEKEISNPRWYESFHIYCAHRASNVVFTVKDDNPIGATLIGRAYVSVDELLSGEEVDRWVEILDEDKNPIESGGKIHVKLQYFDVTRDRNWNRGIISRKFPGVPFTFYSQRQGCKVSLYQDAHIPDGFVPKIPLAGGKYFEPHRCWEDVFDAITNAKHLICITGWSVYTEITLVRDSRRPKPGGDITLGDLLKKKASEGVRVNMLVWDDRTSVGLLKKDGLMATHDEETENFFKDTDVNCVLCPRNPDDGGSFVQDLQISTMFTHHQKIVVVDAAMPNGDTETRRIVSFVGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFTGAAITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWKKQGGKDVLVKLRELEGIIIPPSPVTFLDDHETWNVQLFRSIDGGAAFGFPETPEDAARAGLVSGKDNIIDRSIQDAYINAIRRAKNYIYIENQYFLGSSFGWSADGIKPEDINALHLIPKELSLKIVSKIQAGERFTVYVVVPMWPEGIPESASVQAILDWQKRTMDMMYSDIINALRDKGSEEDPRNYLTFFCLGNREVKNGGEYEPSEKPEPDTDYARAQEARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLSVRQPARGQVHGFRMALWYEHLGMLDDTFLFPESEECVRKVNQIADKYWDLYSSESLERDLPGHLLRYPIGISSEGTVTELPGFEFFPDTKARVLGAKSDYLPPILTT >EOY25798 pep chromosome:Theobroma_cacao_20110822:6:1950766:1956545:1 gene:TCM_027158 transcript:EOY25798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D alpha 2 isoform 1 MEDILLHGTLHVTIYEADKLHSGGGGGHFFRKLMANVEETIGIGKGIPKIYATIDLERARVGRTRIIEKEISNPRWYESFHIYCAHRASNVVFTVKDDNPIGATLIGRAYVSVDELLSGEEVDRWVEILDEDKNPIESGGKIHVKLQYFDVTRDRNWNRGIISRKFPGVPFTFYSQRQGCKVSLYQDAHIPDGFVPKIPLAGGKYFEPHRCWEDVFDAITNAKHLICITGWSVYTEITLVRDSRRPKPGGDITLGDLLKKKASEGVRVNMLVWDDRTSVGLLKKDGLMATHDEETENFFKDTDVNCVLCPRNPDDGGSFVQDLQISTMFTHHQKIVVVDAAMPNGDTETRRIVSFVGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFTGAAITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWKKQGGKDVLVKLRELEGIIIPPSPVTFLDDHETWNVQLFRSIDGGAAFGFPETPEDAARAGLVSGKDNIIDRSIQDAYINAIRRAKNYIYIENQYFLGSSFGWSADGIKPEDINALHLIPKELSLKIVSKIQAGERFTVYVVVPMWPEGIPESASVQAILDWQKRTMDMMYSDIINALRDKGSEEDPRNYLTFFCLGNREVKNGGEYEPSEKPEPDTDYARAQEARRFMIYVHAKNESLMMNT >EOY25796 pep chromosome:Theobroma_cacao_20110822:6:1944734:1956678:1 gene:TCM_027158 transcript:EOY25796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D alpha 2 isoform 1 MEDILLHGTLHVTIYEADKLHSGGGGGHFFRKLMANVEETIGIGKGIPKIYATIDLERARVGRTRIIEKEISNPRWYESFHIYCAHRASNVVFTVKDDNPIGATLIGRAYVSVDELLSGEEVDRWVEILDEDKNPIESGGKIHVKLQYFDVTRDRNWNRGIISRKFPGVPFTFYSQRQGCKVSLYQDAHIPDGFVPKIPLAGGKYFEPHRCWEDVFDAITNAKHLICITGWSVYTEITLVRDSRRPKPGGDITLGDLLKKKASEGVRVNMLVWDDRTSVGLLKKDGLMATHDEETENFFKDTDVNCVLCPRNPDDGGSFVQDLQISTMFTHHQKIVVVDAAMPNGDTETRRIVSFVGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFTGAAITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWKKQGGKDVLVKLRELEGIIIPPSPVTFLDDHETWNVQLFRSIDGGAAFGFPETPEDAARAGLVSGKDNIIDRSIQDAYINAIRRAKNYIYIENQYFLGSSFGWSADGIKPEDINALHLIPKELSLKIVSKIQAGERFTVYVVVPMWPEGIPESASVQAILDWQKRTMDMMYSDIINALRDKGSEEDPRNYLTFFCLGNREVKNGGEYEPSEKPEPDTDYARAQEARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLSVRQPARGQVHGFRMALWYEHLGMLDDTFLFPESEECVRKVNQIADKYWDLYSSESLERDLPGHLLRYPIGISSEGTVTELPGFEFFPDTKARVLGAKSDYLPPILTT >EOY26038 pep chromosome:Theobroma_cacao_20110822:6:3764539:3768790:1 gene:TCM_027422 transcript:EOY26038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamoyl coa reductase 1 isoform 2 MPVDSSSPSSQTVCVTGAGGFIASWIVKLLLEKGYTVKGTVRNPDDPKNAHLRELEGAKERLTLHKADLLDYESLKDAINGCDGVFHTASPVTDDPEQMVEPAVIGTKNVIMAAAEAKVRRVVFTSSIGAVYMDPSRSPDVVVDESCWSDLEFCKNTKNWYCYGKAVAEQAAWETAKEKGVDLVVITPVLVLGPLLQSTVNASIIHILKYLTGSAKTYANSVQAYVHVRDVALAHIMVFENPSASGRYLCAESVLHRGEVVEILAKFFPEYPIPTKCSDEKNPRAKPYEFSNRKLKDLGLEFTPVKQCLYETVKSLQEKGHLPIPAQQDDSLRIHS >EOY26039 pep chromosome:Theobroma_cacao_20110822:6:3764186:3769129:1 gene:TCM_027422 transcript:EOY26039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamoyl coa reductase 1 isoform 2 KNKKNINNKPRHVKSLPLFFDFLYKQTKKERKMVSHCVPSPLIFNSPVVGKHGKHGFCPINTLPSLQIQNKAPNRKKEGHVHKSFHIKPNQICQLTALPLLAKPSVSPVLVASSLQRLTLHKADLLDYESLKDAINGCDGVFHTASPVTDDPEQMVEPAVIGTKNVIMAAAEAKVRRVVFTSSIGAVYMDPSRSPDVVVDESCWSDLEFCKNTKNWYCYGKAVAEQAAWETAKEKGVDLVVITPVLVLGPLLQSTVNASIIHILKYLTGSAKTYANSVQAYVHVRDVALAHIMVFENPSASGRYLCAESVLHRGEVVEILAKFFPEYPIP >EOY27384 pep chromosome:Theobroma_cacao_20110822:6:21507507:21517508:1 gene:TCM_029244 transcript:EOY27384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein, putative isoform 3 MDAPNKTFSELVSLLKSWLPWRSEPANVSRDFWMPDHSCRVCYDCDSQFTLFNRRHHCRLCGRVFCAKCTANSVPAPSNDTRLPQEEREKIRVCNYCFKQWEQGITSIDDGVQVPNQELSTSPSATSFISTKSSGTANTSSFTFGSKPYPAGPYQRVQQRPILSPHQLSAMNTSMDRPGKRAPERSNDLVMDAEDPSSNHYGFSLNRSDDEDDEYSLYLSDSETKHFCQENGYYSPVDFDEMSNDDGSHKFHPDSENIDSKILSSSPINNGFPSTGLEGISQLVKKDEREIGEECEASSSLYAAEDLDAEAVDFENNGLLWLPPEPEDEEDEREAALFDDDDDDGNASGEWGYLRNSSSFGSGEYRTRDRSSEEHKKAMKNIVDGHFRALVAQLLQVENLPVGDENDEESWLEIITALSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRRCESMVVKGVVCKKNVAHRRMTSKIEKPRLLILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIHAHQPNILLVEKSVSRFAQDYLLEKDISLVLNIKRPLLERIARCTGAQIIPSIDHLSTQKLGYCEKFHVERFMEDLGSAGQGGKKLFKTLMYFEGCPKPLGCTILLRGANGDELKKVKHVVQYGIFAAYHLALETSFLADEGASLPEFPLNSPITVALLDKPSSIARSISTVPGFLLPANKKSPEPQHSSELRRANSSLTLDLSSSIMSHNIQKIEETPPSCLPNGTSLWSAQPNFIESTAHLSSASEKVVSDTLFKRYEMGPKESSMVGVFTDKSELAVTNNRLTFSIVGSLESLGQFSMVQIEQENHSAAVEIQPGGSEASSVQQDSKNHKNHSEEPKPLKEEFPPSPSDNQSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDQSYRCHSCDMPSEAHVHCYTHRQGTLTISVKKVPEIFLPGEREGKIWMWHRCLRCPRTNGFPPATQRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASVDVHSVYLPPPKLDFDFQNQEWIRKETDKVVDRAELLFSEVLNSLSQISGKKLGTGAPNNVAKTPELRHQITELQGILQKEKLEFEESLQKALKREVRKGQPVIDILEINRLRRQLLFQSYMWDHRLVFAANLENYGLQDGFSNSISGHEEKSPTDGEKFKDMDLLELGKGSECSDSAIVEAKLDRDFDQRELNGNTNQSDVIHQGPDMSENSNLGNKDYGNLSASQSMYDRSDCEKPAANVRRVLSEGQFPSVENLSDTLDAAWTGEIQRASVIPKNTSCSLSDSAAAADIAAIGAATEGLDLEDHSEEILGLKVLHSLSPALSTKGSENMEDSVSWLRMPFLSFYRSLNKNFLGSASKLDTFSEYDPVYVSSFRESELQGGASLLLPVGVNDTVIPVFDDEPTSMISYALASPEYHFQLSDDGDRPKDSGDLMASVPLSDSVNSQLLHSVDEMTLDSHRSLGSTDDITGSRSSLIMDPLYCTKALHVRVSFGDDGSVDKVKYTVTCYFAKRFEALRRICCPSELDFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPGYFKYLSESISSGSPTCLAKILGIYQVTAKHLKGGKESRMDVLVMENLMFRRSVTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIESMPTCPIFVSNKAKRLLERAVWNDTAFLAVSFKSVCLCPRKEGV >EOY27385 pep chromosome:Theobroma_cacao_20110822:6:21507507:21517508:1 gene:TCM_029244 transcript:EOY27385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein, putative isoform 3 MDAPNKTFSELVSLLKSWLPWRSEPANVSRDFWMPDHSCRVCYDCDSQFTLFNRRHHCRLCGRVFCAKCTANSVPAPSNDTRLPQEEREKIRVCNYCFKQWEQGITSIDDGVQVPNQELSTSPSATSFISTKSSGTANTSSFTFGSKPYPAGPYQRVQQRPILSPHQLSAMNTSMDRPGKRAPERSNDLVMDAEDPSSNHYGFSLNRSDDEDDEYSLYLSDSETKHFCQENGYYSPVDFDEMSNDDGSHKFHPDSENIDSKILSSSPINNGFPSTGLEGISQLVKKDEREIGEECEASSSLYAAEDLDAEAVDFENNGLLWLPPEPEDEEDEREAALFDDDDDDGNASGEWGYLRNSSSFGSGEYRTRDRSSEEHKKAMKNIVDGHFRALVAQLLQVENLPVGDENDEESWLEIITALSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRRCESMVVKGVVCKKNVAHRRMTSKIEKPRLLILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIHAHQPNILLVEKSVSRFAQDYLLEKDISLVLNIKRPLLERIARCTGAQIIPSIDHLSTQKLGYCEKFHVERFMEDLGSAGQGGKKLFKTLMYFEGCPKPLGCTILLRGANGDELKKVKHVVQYGIFAAYHLALETSFLADEGASLPEFPLNSPITVALLDKPSSIARSISTVPGFLLPANKKSPEPQHSSELRRANSSLTLDLSSSIMSHNIQKIEETPPSCLPNGTSLWSAQPNFIESTAHLSSASEKVVSDTLFKRYEMGPKESSMVGVFTDKSELAVTNNRLTFSIVGSLESLGQFSMVQIEQENHSAAVEIQPGGSEASSVQQDSKNHKNHSEEPKPLKEEFPPSPSDNQSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDQSYRCHSCDMPSEAHVHCYTHRQGTLTISVKKVPEIFLPGEREGKIWMWHRCLRCPRTNGFPPATQRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASVDVHSVYLPPPKLDFDFQNQEWIRKETDKVVDRAELLFSEVLNSLSQISGKKLGTGAPNNVAKTPELRHQITELQGILQKEKLEFEESLQKALKREVRKGQPVIDILEINRLRRQLLFQSYMWDHRLVFAANLENYGLQDGFSNSISGHEEKSPTDGEKFKDMDLLELGKGSECSDSAIVEAKLDRDFDQRELNGNTNQSDVIHQGPDMSENSNLGNKDYGNLSASQSMYDRSDCEKPAANVRRVLSEGQFPSVENLSDTLDAAWTGEIQRASVIPKNTSCSLSDSAAAADIAAIGAATEGLDLEDHSEEILGLKVLHSLSPALSTKGSENMEDSVSWLRMPFLSFYRSLNKNFLGSASKLDTFSEYDPVYVSSFRESELQGGASLLLPVGVNDTVIPVFDDEPTSMISYALASPEYHFQLSDDGDRPKDSGDLMASVPLSDSVNSQLLHSVDEMTLDSHRSLGSTDDITGSRSSLIMDPLYCTKALHVRVSFGDDGSVDKVKYTVTCYFAKRFEALRRICCPSELDFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPGYFKYLSESISSGSPTCLAKILGIYQSCDVMDYS >EOY27383 pep chromosome:Theobroma_cacao_20110822:6:21506856:21518040:1 gene:TCM_029244 transcript:EOY27383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein, putative isoform 3 MDAPNKTFSELVSLLKSWLPWRSEPANVSRDFWMPDHSCRVCYDCDSQFTLFNRRHHCRLCGRVFCAKCTANSVPAPSNDTRLPQEEREKIRVCNYCFKQWEQGITSIDDGVQVPNQELSTSPSATSFISTKSSGTANTSSFTFGSKPYPAGPYQRVQQRPILSPHQLSAMNTSMDRPGKRAPERSNDLVMDAEDPSSNHYGFSLNRSDDEDDEYSLYLSDSETKHFCQENGYYSPVDFDEMSNDDGSHKFHPDSENIDSKILSSSPINNGFPSTGLEGISQLVKKDEREIGEECEASSSLYAAEDLDAEAVDFENNGLLWLPPEPEDEEDEREAALFDDDDDDGNASGEWGYLRNSSSFGSGEYRTRDRSSEEHKKAMKNIVDGHFRALVAQLLQVENLPVGDENDEESWLEIITALSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRRCESMVVKGVVCKKNVAHRRMTSKIEKPRLLILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIHAHQPNILLVEKSVSRFAQDYLLEKDISLVLNIKRPLLERIARCTGAQIIPSIDHLSTQKLGYCEKFHVERFMEDLGSAGQGGKKLFKTLMYFEGCPKPLGCTILLRGANGDELKKVKHVVQYGIFAAYHLALETSFLADEGASLPEFPLNSPITVALLDKPSSIARSISTVPGFLLPANKKSPEPQHSSELRRANSSLTLDLSSSIMSHNIQKIEETPPSCLPNGTSLWSAQPNFIESTAHLSSASEKVVSDTLFKRYEMGPKESSMVGVFTDKSELAVTNNRLTFSIVGSLESLGQFSMVQIEQENHSAAVEIQPGGSEASSVQQDSKNHKNHSEEPKPLKEEFPPSPSDNQSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDQSYRCHSCDMPSEAHVHCYTHRQGTLTISVKKVPEIFLPGEREGKIWMWHRCLRCPRTNGFPPATQRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASVDVHSVYLPPPKLDFDFQNQEWIRKETDKVVDRAELLFSEVLNSLSQISGKKLGTGAPNNVAKTPELRHQITELQGILQKEKLEFEESLQKALKREVRKGQPVIDILEINRLRRQLLFQSYMWDHRLVFAANLENYGLQDGFSNSISGHEEKSPTDGEKFKDMDLLELGKGSECSDSAIVEAKLDRDFDQRELNGNTNQSDVIHQGPDMSENSNLGNKDYGNLSASQSMYDRSDCEKPAANVRRVLSEGQFPSVENLSDTLDAAWTGEIQRASVIPKNTSCSLSDSAAAADIAAIGAATEGLDLEDHSEEILGLKVLHSLSPALSTKGSENMEDSVSWLRMPFLSFYRSLNKNFLGSASKLDTFSEYDPVYVSSFRESELQGGASLLLPVGVNDTVIPVFDDEPTSMISYALASPEYHFQLSDDGDRPKDSGDLMASVPLSDSVNSQLLHSVDEMTLDSHRSLGSTDDITGSRSSLIMDPLYCTKALHVRVSFGDDGSVDKVKYTVTCYFAKRFEALRRICCPSELDFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPGYFKYLSESISSGSPTCLAKILGIYQVTAKHLKGGKESRMDVLVMENLMFRRSVTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIESMPTCPIFVSNKAKRLLERAVWNDTAFLASCDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNESPTVISPKQYKKRFRKAMTTYFLMIPDQWSPPIISSKSQSDIGEENGQGGSSVK >EOY26541 pep chromosome:Theobroma_cacao_20110822:6:13750846:13754499:1 gene:TCM_028337 transcript:EOY26541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSGGLEAAEGTCGYVGVWVALSCVIPYWHYILPYLSQKPRPLLQLEVEKRHLEARK >EOY27726 pep chromosome:Theobroma_cacao_20110822:6:22966802:22969925:1 gene:TCM_029502 transcript:EOY27726 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L18a, plant, putative MSEEGKNRGVTSNPQSQYRYGTFQGVANYYPPFPLQPPQPFVGFPQPVPPPGSANPYVHGYQTVTGYPVVEATPLRRRRLPVCGLGMGWLLFFLGFFFGGIPWYIGTFILLCVQVDCREKAGYLACAIASVIAMIAVTFGVTKGAHAW >EOY28752 pep chromosome:Theobroma_cacao_20110822:6:26427987:26429966:-1 gene:TCM_030264 transcript:EOY28752 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLAC1, putative MSSFMVTLMGEIECKPPIQVIIEASIITTPEESRGPSINNFAEPSPSSILTRLHAGYFRISLSFGGQALLWKILTEPNGVPQDAWHVFRKLPSTVCLLLWCLAVLTQISLSSVYVLRCFFHFHLVKAEFSHHIGVNYLYAPWISWLILLQSAPIVFPNSIYYLVLCWIFITPLAMLDIKIYGQWFTTEKRFLSIMANPTSQISVIGNLVAARAAARMGWKESAVCMWSLGMVHYLVLFVTLYQRLSGGNCFPLILRPTFFLFFAAPSMASLAWNSITGAFDTTSKMLFFLSLFLFMSLACRPSLFKKSMRKFNVAWWAYSFPLTFLALAAAEYAQEVKGHVAAVLMLLLSVLSLLVFLGLMLLTAANANRLLGETDPMESFSSNLKSRT >EOY26707 pep chromosome:Theobroma_cacao_20110822:6:17446382:17452380:-1 gene:TCM_028679 transcript:EOY26707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta'-2 MALSLIIEKEFVQTSERVKSVDLHPTKPWILAALYSGNVCIWDYQLQKIEKSFKVTESPARSAKFIVRENWIVVGADDGFIRVYNYDTMEMIKEIEAHTDFIRSLAIHPTLPFILSSSDDKLIKLWDWEKGWICSRIFEGHGHYVMQVAFNPKDLNTFASASLDCTIKVPAEDLLIYCKQLLHWINCIEFFVAANKPYLISGSDDYTAKVWDYETKSCVQTLEGHTHNVTAICGHPELPNIITCSEDGTVGVWDTTSCRLEKTLEYGLERVWTVAYMKGSSKVVFGCDKGTIVAKISSSLGSDSAIV >EOY28799 pep chromosome:Theobroma_cacao_20110822:6:26573080:26576918:-1 gene:TCM_030299 transcript:EOY28799 gene_biotype:protein_coding transcript_biotype:protein_coding description:PA-domain containing subtilase family protein MANGVAALAASSSAILLLSMSFIASFAEERAIYLVLMEGEAVAFNGDSLPRQHGRKFDPKSEASKVHAKKLVDSHDQVLQSTLETGSYNKLYSFTNVLNGFAVHTAPSQATKLKHAPGIKLVERDRRTKLMTTYTPQFLGLPEGVWAQEGGERNAGEGIVIGFVDTGINPSHPSFAYDLVNPFTSNISHFSGACETGPEFPPFSCNGKIVSAKLFSAGAQAAASLNASVDILSPSDTVGHGSHVASTAAGNAGVPVVVNGLYYGRASGMAPRARIAVYKAIYPTVGTLADVVAAIDQAVSDGVDILTLSIGLDEPPQDTVTFLSMFDIAMLFARRAAIFVVQAAGNQGPGPSTVVSYSPWAVGAASSRIDRRYIGSLLLGNGQNISGVGLTAPSLGNGSVLYKLVLAKDAVNLKGAFPRTPPYVEECQYPEALDPNIVRGSIVICTFSAGFSNETSTLTAIIDTARTLGFMGFVLVANPSYGDFIAQPIPYSVSGTLIPKVADAKIVSQYYEQQTYRDAGGFVREFNARAAIGDGRVASFCGQAPIVSRFSSRGPDFIDINKDPADVLKPDILAPGHEIWAAWSPMSALDPILSGYNFALLSGTSMAAPHVAGIAALIKQKYPSWTPSMIASAISTTASKFDNNGELIRAEGSDVGSLYSSTYFDSGAGFVSPSRAMDPGLVLSSEFEDYISFLCSIPNIDRFAIRAATGVWCSRSLGLPANLNLPSVTISALERSVTVRRSFKNVATKPETYVSLAIPPNGTTITLNPPWFAIAPEETQDLDIEINAIQSTNQFSFGEIILTGSLNHIVRIPLSIRPVSTV >EOY28399 pep chromosome:Theobroma_cacao_20110822:6:25246679:25247745:1 gene:TCM_029977 transcript:EOY28399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILGTTLMCCKWIHLIWLSCHRPCQRDPTHPERESSRRLESFLVSGCECESAFAYMAFDSFVFEVLAIVLDRPPKTEPNQTVKETEADTERCYVTVPT >EOY28837 pep chromosome:Theobroma_cacao_20110822:6:26675834:26679109:-1 gene:TCM_030324 transcript:EOY28837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alternative oxidase family protein isoform 2 MDPKTCQTKAHDDINCCKQRAATRRCAHPNRLSLKNYQTTGRSRLPLTFVHRSLPLCSLGFEVSYFLKHAGEMTTASLSSAAFATSVSSSFRARNSRTSLPSNYQNPLRCSSPPSYRPLSGKLYPVKATLLQEDEEEVVVEKSFRTKGFPGNEVEEGWESRANSSSSDLERWVIKVEQSVNVFLTDSVIKILDTLYHDRDYPRFFVLETIARVPYFAFISVLHMYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNSWWFDRFLAQHIAIFYYIMTVFMYAISPRMAYHFSECVESHAFETYDKFIRAKGKELKEKPAPEVAIKYYTGGDLYLFDEFQTERAPCSRRPKIENLYDVFVNIRDDEAEHCKTMKACQTHGNLRSPHSYPVDGFEDMPGCMIPEANCEGIVDCIKKSLTPSQVKQKEEI >EOY28838 pep chromosome:Theobroma_cacao_20110822:6:26675298:26679252:-1 gene:TCM_030324 transcript:EOY28838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alternative oxidase family protein isoform 2 MTTASLSSAAFATSVSSSFRARNSRTSLPSNYQNPLRCSSPPSYRPLSGKLYPVKATLLQEDEEEVVVEKSFRTKGFPGNEVEEGWESRANSSSSDLERWVIKVEQSVNVFLTDSVIKILDTLYHDRDYPRFFVLETIARVPYFAFISVLHMYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNSWWFDRFLAQHIAIFYYIMTVFMYAISPRMAYHFSECVESHAFETYDKFIRAKGKELKEKPAPEVAIKYYTGGDLYLFDEFQTERAPCSRRPKIENLYDVFVNIRDDEAEHCKTMKACQTHGNLRSPHSYPVDGFEDMPGCMIPEANCEVLLEGPKQSFQWSNWNLASD >EOY26977 pep chromosome:Theobroma_cacao_20110822:6:19476977:19484546:-1 gene:TCM_028929 transcript:EOY26977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase protein, putative MVGRHKLFIVLHNLLPLFIFVFGITSSWDTPTLTEATKQDVGRRKLATQNNPGFISIDCGVEEDYLNDETGIFYKSDKDFIGTGENHDISPSASGTNYYPVHWREYRNLRSFPNGKKNCYTLKPEQGRNNSYRIRASFLYGNYDDKNKIPEFELYVGVNYWDTVRLPSVWYMLFLEIIHFFTADTGYVCLVNTGFGVPFISALELRLSNDSAFNTTNSVALGNVKTVDLGISSNNSFRYKDDGYDRIWLPLQFPSSVPISPSLNIANQGNDLYKVPAEVLRTAIRPVNGSRSLNYTYHSSLFPSSQYLVCFYFAEVVETARQDQLRKFTITLNGVKSKPITLEYLKPLSVSSQNLPVQGVINFTIDATEESDLPPILNAMEFYRVLPLPFSPTATTDGKKQELRCNQPITTWDKQKKNLIQALFLYLPSKQLAVIVEGDAIMAIKQTYNIIKDDWQGDPCLPEVYTWSGLTCRFNGTPRIISLNLSASKLMGAISLSFSDLQAIESLDLSNNELTGPVPEVLSQLPNLKVLNLSGNQLTGLVPQSLKDKSDNGSLVLSLPENPDLCQMDSCHDKEKNKFVVPVVASIVSVLVLIFLSILFVFCIIRRRRQGVSRLSLHDNVTTRDAVYFLSLEHILDLITVDILHEC >EOY26798 pep chromosome:Theobroma_cacao_20110822:6:17892664:17894824:1 gene:TCM_028752 transcript:EOY26798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSMSLGSAVANEDSKDRPTSWEHFLKWNTDHCLAILVRYDKLFRAVLFPNFLIGSYQRSFNGIAAKLTNQEAKELAARSAYRTYRSIKNSARAGYVVRRACKSHVIRKVSLIV >EOY25663 pep chromosome:Theobroma_cacao_20110822:6:1049984:1050940:1 gene:TCM_027046 transcript:EOY25663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPRHRVGTSWSPPPTGEFKFNVDDLAKGKSGPAGCGGVLRDSEGYVVGLFFCPLGLHDSNFAKLMAILKALLPFAATPYTASRLIIESDSHVVLS >EOY26423 pep chromosome:Theobroma_cacao_20110822:6:10082297:10086223:1 gene:TCM_028032 transcript:EOY26423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton gradient regulation 7 isoform 1 LDQASRQLSVDKRSTLHVQCGLRTPQCTIQGSLDKPADATVLRRLHSVWKKRFGEVADEDSLYIVDAERVLQMEDFNEDGVWVTSSAYRNANPDPLRDFAEGIVKEINTNNMEDVLRFCNIYVDLDFQVLEAKMIWVDRLGFDVRIYSPQKGVFDVRIPFPQEVTDEKGAKSSFNGMSQLAWEVEKNFHVPDFEKVKQLKQITYSGVQ >EOY26422 pep chromosome:Theobroma_cacao_20110822:6:10080506:10086270:1 gene:TCM_028032 transcript:EOY26422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton gradient regulation 7 isoform 1 MNLQTQPLSTRFLLPLFPPKPKSLPPKQTPFPRISLTLCSLSTVSDPTTTHANHKPFPAEVSRTIMELSCMGTLSTLAQDGWPFGVGVRFAVDAEGTPVLCLDQASRQLSVDKRSTLHVQLEQCGLRTPQCTIQGSLDKPADATVLRRLHSVWKKRFGEVADEDSLYIVDAERVLQMEDFNEDGVWVTSSAYRNANPDPLRDFAEGIVKEINTNNMEDVLRFCNIYVDLDFQVLEAKMIWVDRLGFDVRIYSPQKGVFDVRIPFPQEVTDEKGAKSSFNGMSQLAWEVEKNFHVPDFEKVKQLKQITYSGVQ >EOY27915 pep chromosome:Theobroma_cacao_20110822:6:23570102:23573627:1 gene:TCM_029631 transcript:EOY27915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein, putative isoform 1 MSGTLSQPPILYFTEMANSVSEIQQAHAHLLKTGLFYNHPLASNKLISFAVNNPDPKTLSYAHSVFTHTTNPNSYSYNSLIRAYANSHTPQNALSLFRQMLQGPVFPDKYSFTFVLKACAGFGGVQEGRQIHGLVLRMGIGFDVFVANTLIHVYGKGGYFGVARSLLDRMPKRDAVSWNALLSAYIETGYIRLASGLFEEMEERNVESWNFMISGYLSAGLVEEARSVFYRMPVKNVVSWNALITGYAHTSCFGEVLVLFEDMQREKVKPDNCTLVNVLSACAHLGALGQGEWIHSYIDKNAIGINGYIATALVDMYSKCGNIDKALYVFRNASRKDISTWNSIIVGLGMHGLGEHALEIFSEMLVNGFEPNEVTFIGLLSACSRAGLLNEGHHIFQIMVDDYGIQPTIEHFGCMVDLLGQVGLLEEALDLVKKRPLKEAPVLWESLLSACKKHGNVEMAEHVARKLLELNPQDSAGYVQLSNTYAALQRWDDVMNVRSKMKALKIKKEPGCSMIEVDGVVHEFLSGEGMILEQI >EOY27914 pep chromosome:Theobroma_cacao_20110822:6:23570102:23573997:1 gene:TCM_029631 transcript:EOY27914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein, putative isoform 1 MSGTLSQPPILYFTEMANSVSEIQQAHAHLLKTGLFYNHPLASNKLISFAVNNPDPKTLSYAHSVFTHTTNPNSYSYNSLIRAYANSHTPQNALSLFRQMLQGPVFPDKYSFTFVLKACAGFGGVQEGRQIHGLVLRMGIGFDVFVANTLIHVYGKGGYFGVARSLLDRMPKRDAVSWNALLSAYIETGYIRLASGLFEEMEERNVESWNFMISGYLSAGLVEEARSVFYRMPVKNVVSWNALITGYAHTSCFGEVLVLFEDMQREKVKPDNCTLVNVLSACAHLGALGQGEWIHSYIDKNAIGINGYIATALVDMYSKCGNIDKALYVFRNASRKDISTWNSIIVGLGMHGLGEHALEIFSEMLVNGFEPNEVTFIGLLSACSRAGLLNEGHHIFQIMVDDYGIQPTIEHFGCMVDLLGQVGLLEEALDLVKKRPLKEAPVLWESLLSACKKHGNVEMAEHVARKLLELNPQDSAGYVQLSNTYAALQRWDDVMNVRSKMKALKIKKEPGCSMIEVDGVVHEFLSGEGMILEQI >EOY27916 pep chromosome:Theobroma_cacao_20110822:6:23570102:23573632:1 gene:TCM_029631 transcript:EOY27916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein, putative isoform 1 MSGTLSQPPILYFTEMANSVSEIQQAHAHLLKTGLFYNHPLASNKLISFAVNNPDPKTLSYAHSVFTHTTNPNSYSYNSLIRAYANSHTPQNALSLFRQMLQGPVFPDKYSFTFVLKACAGFGGVQEGRQIHGLVLRMGIGFDVFVANTLIHVYGKGGYFGVARSLLDRMPKRDAVSWNALLSAYIETGYIRLASGLFEEMEERNVESWNFMISGYLSAGLVEEARSVFYRMPVKNVVSWNALITGYAHTSCFGEVLVLFEDMQREKVKPDNCTLVNVLSACAHLGALGQGEWIHSYIDKNAIGINGYIATALVDMYSKCGNIDKALYVFRNASRKDISTWNSIIVGLGMHGLGEHALEIFSEMLVNGFEPNEVTFIGLLSACSRAGLLNEGHHIFQIMVDDYGIQPTIEHFGCMVDLLGQVGLLEEALDLVKKRPLKEAPVLWESLLSACKKHGNVEMAEHVARKLLELNPQDSAGYVQLSNTYAALQRWDDVMNVRSKMKALKIKKEPGCSMIEVDGVVHEFLSGEGMILEQI >EOY27917 pep chromosome:Theobroma_cacao_20110822:6:23570102:23573689:1 gene:TCM_029631 transcript:EOY27917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein, putative isoform 1 MSGTLSQPPILYFTEMANSVSEIQQAHAHLLKTGLFYNHPLASNKLISFAVNNPDPKTLSYAHSVFTHTTNPNSYSYNSLIRAYANSHTPQNALSLFRQMLQGPVFPDKYSFTFVLKACAGFGGVQEGRQIHGLVLRMGIGFDVFVANTLIHVYGKGGYFGVARSLLDRMPKRDAVSWNALLSAYIETGYIRLASGLFEEMEERNVESWNFMISGYLSAGLVEEARSVFYRMPVKNVVSWNALITGYAHTSCFGEVLVLFEDMQREKVKPDNCTLVNVLSACAHLGALGQGEWIHSYIDKNAIGINGYIATALVDMYSKCGNIDKALYVFRNASRKDISTWNSIIVGLGMHGLGEHALEIFSEMLVNGFEPNEVTFIGLLSACSRAGLLNEGHHIFQIMVDDYGIQPTIEHFGCMVDLLGQVGLLEEALDLVKKRPLKEAPVLWESLLSACKKHGNVEMAEHVARKLLELNPQDSAGYVQLSNTYAALQRWDDVMNVRSKMKALKIKKEPGCSMIEVDGVVHEFLSGEGMILEQI >EOY27913 pep chromosome:Theobroma_cacao_20110822:6:23570096:23573690:1 gene:TCM_029631 transcript:EOY27913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein, putative isoform 1 MSGTLSQPPILYFTEMANSVSEIQQAHAHLLKTGLFYNHPLASNKLISFAVNNPDPKTLSYAHSVFTHTTNPNSYSYNSLIRAYANSHTPQNALSLFRQMLQGPVFPDKYSFTFVLKACAGFGGVQEGRQIHGLVLRMGIGFDVFVANTLIHVYGKGGYFGVARSLLDRMPKRDAVSWNALLSAYIETGYIRLASGLFEEMEERNVESWNFMISGYLSAGLVEEARSVFYRMPVKNVVSWNALITGYAHTSCFGEVLVLFEDMQREKVKPDNCTLVNVLSACAHLGALGQGEWIHSYIDKNAIGINGYIATALVDMYSKCGNIDKALYVFRNASRKDISTWNSIIVGLGMHGLGEHALEIFSEMLVNGFEPNEVTFIGLLSACSRAGLLNEGHHIFQIMVDDYGIQPTIEHFGCMVDLLGQVGLLEEALDLVKKRPLKEAPVLWESLLSACKKHGNVEMAEHVARKLLELNPQDSAGYVQLSNTYAALQRWDDVMNVRSKMKALKIKKEPGCSMIEVDGVVHEFLSGEGMILEQI >EOY25689 pep chromosome:Theobroma_cacao_20110822:6:1249771:1252110:1 gene:TCM_027074 transcript:EOY25689 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein isoform 1 MALSSISILPLLLVLLSASWATSASIQDNFIQCLDDNSEQVIPISAVCAQNNSSFTSVLNSTAQNLRYLEPSVPKPQFIFTPLNESHVQAAVICAKKLGIHLRFRSGGHDYEGLSYASEIETPFIMLDLARLRSVNVDIDDNTAWVQAGATIGEVYYRISETSKTHGFPAGLCSSLGIGGHITGGAYGSMMRKYGLGADNVLDARIVDANGKVLDRAAMGEDHFWAIRGGGGASFGIILAWKIKLVPVPETVTVFTVPKTLEQGATKILYRWQQVADKLDEDLFIRVIIQVTKAGQKGERTVTTAYNALFLGDADRLLQVMNQSFPELGLTRKECIETSWIKSVLYIAGYPSDTPPEVLQQGKSLFKNYFKAKSDFVQRPIPETGLEGLWKRLLQEDSPLMIWNPYGGMMANISESAIAFPHRKGNLFKIQYVTSWYEGSQDATTKHTDWIRGLYDYMAAYVPTSPRAAYVNYRDLDLGINDKTNTSLSQARVWGTKYFKGNFDRLVKVKSKVDPDNFFRHEQSIPPALV >EOY25688 pep chromosome:Theobroma_cacao_20110822:6:1247735:1252081:1 gene:TCM_027074 transcript:EOY25688 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein isoform 1 MALSSISILPLLLVLLSASWATSASIQDNFIQCLDDNSEQVIPISAVCAQNNSSFTSVLNSTAQNLRYLEPSVPKPQFIFTPLNESHVQAAVICAKKLGIHLRFRSGGHDYEGLSYASEIETPFIMLDLARLRSVNVDIDDNTAWVQAGATIGEVYYRISETSKTHGFPAGLCSSLGIGGHITGGAYGSMMRKYGLGADNVLDARIVDANGKVLDRAAMGEDHFWAIRGGGGASFGIILAWKIKLVPVPETVTVFTVPKTLEQGATKILYRWQQVADKLDEDLFIRVIIQVTKAGQKGERTVTTAYNALFLGDADRLLQVMNQSFPELGLTRKECIETSWIKSVLYIAGYPSDTPPEVLQQGKSLFKNYFKAKSDFVQRPIPETGLEGLWKRLLQEDSPLMIWNPYGGMMANISESAIAFPHRKGNLFKIQYVTSWYEGSQDATTKHTDWIRGLYDYMAAYVPTSPRAAYVNYRDLDLGINDKTNTSLSQARVWGTKYFKGNFDRLVKVKSKVDPDNFFRHEQSIPPALV >EOY26408 pep chromosome:Theobroma_cacao_20110822:6:9759132:9772387:1 gene:TCM_028001 transcript:EOY26408 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein, putative isoform 2 MSDMRISDPSRLHLKKELTQIRKAARVLRDPGTTSSWKSPLSSSRSVAAAAAAAAGSASTCSALRNNFDNESLNRPNGNAYLDSSQLPFRVESNGHGYKNNAINSNGIEKEKRVFLYNWKSQKSSSINVEDDDDDEDDDYDDDVDDDDGEQSSSWIQGSFDDNSLSDARNCGDSKSDTYLGESRSASMMFRCRDANLVSLVTPSTRRMLGPNKKNKKNSAHLDVLSRYEQNKSAVARNSVNSRKFLKAHPALALNLGRDDSVDQSDDTEDFSNSEDFRKISGPSPLLLKVKQKNWSHASSRLLKTGRKEDSSYSYSTPALSTSSYNRYFNQNPSTVGSWDATTISLNDGDDEVDDPLDLPGRQGCGIPCYWTKRTPKHRGVCGSCYSPSLSDTLRRKGSSILCGSQPVYHRHRHSSSLSNKQRIALRSAQGLLPLLSNSGDRRGGSSIGTRCSDDELSTNFGELDLEALSRLDGRRWSSSCRSQDGLEIVALTGEGEEEGTPENIKSLSQKYKPMFFDELIGQNIVVQSLMNAVSRGRIAPVYLFQGPRGTGKTSTAKIFAAALNCLATEGAKPCGYCRECAEFVSGKSRELWEVDSTNKKGIDGVRYLLKSLSKGLPSSSSRYKVFVIDECHLLPSKIWLALLKFLEDPPPRVVFVFITTDLDNVPRTVQSRCQKYLFNKIKDGDIMARLRKISTDEKLEVESDALDLIALNADGSLRDAETMLDQLSLLGKRITTSLVNELVGVVSDEKLLELLELAMSSDTAETVKRARELMDSGVDPMVLMSQLASLIMDIIAGTYNIVDSKYSHSFFGGRALSEAELERLKHALKLLSEAEKQLRVSSERSTWFTATLLQLGSLPSPDLTQSGSSRRQSSKTTEDDPSSTSWEATAYKQKSGIQYMPRKSTSPASLHKYVNGNSNHQGELLSRIDGYDSDLKPSQGRIMDGGALPAACDNNLSGNMILTCRNSEKLDEIWAKCIDKCHSKTLRQLLHAHGKLLSLAEVEGVLIAYLAFGDGDIKSRAERFLSSITNSIEIVMRRNVEVRIILLTNGEVSLNHANPAEKPESLQQAETAVEIEKERKAISKIVGDGFSSLNLHQESRKVSKESFSDLEGKLRGVQDYSNCSAQSIVRTPELLAEGNAEIGSSKESRQEIPMQRIESIIREQRLETAWLQVAEKGTPGSLSRLKPEKNQVLPQEVFRQSNLGSMNSSAFSSQQWEDELNHELKILKTNDGQGQAIQKDQMARRGDQYPMSPSLLHNSSLSKENLGYDSGSGNGGCSGLFCWNNTKPHRRAKVVKGTPVRARRSGRFSLFGECGKSKKIENRRRRTGNQQI >EOY26406 pep chromosome:Theobroma_cacao_20110822:6:9743479:9772803:1 gene:TCM_028001 transcript:EOY26406 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein, putative isoform 2 MSDMRISDPSRLHLKKELTQIRKAARVLRDPGTTSSWKSPLSSSRSVAAAAAAAAGSASTCSALRNNFDNESLNRPNGNAYLDSSQLPFRVESNGHGYKNNAINSNGIEKEKRVFLYNWKSQKSSSINVEDDDDDEDDDYDDDVDDDDGEQSSSWIQGSFDDNSLSDARNCGDSKSDTYLGESRSASMMFRCRDANLVSLVTPSTRRMLGPNKKNKKNSAHLDVLSRYEQNKSAVARNSVNSRKFLKAHPALALNLGRDDSVDQSDDTEDFSNSEDFRKISGPSPLLLKVKQKNWSHASSRLLKTGRKEDSSYSYSTPALSTSSYNRYFNQNPSTVGSWDATTISLNDGDDEVDDPLDLPGRQGCGIPCYWTKRTPKHRGVCGSCYSPSLSDTLRRKGSSILCGSQPVYHRHRHSSSLSNKQRIALRSAQGLLPLLSNSGDRRGGSSIGTRCSDDELSTNFGELDLEALSRLDGRRWSSSCRSQDGLEIVALTGEGEEEGTPENIKSLSQKYKPMFFDELIGQNIVVQSLMNAVSRGRIAPVYLFQGPRGTGKTSTAKIFAAALNCLATEGAKPCGYCRECAEFVSGKSRELWEVDSTNKKGIDGVRYLLKSLSKGLPSSSSRYKVFVIDECHLLPSKIWLALLKFLEDPPPRVVFVFITTDLDNVPRTVQSRCQKYLFNKIKDGDIMARLRKISTDEKLEVESDALDLIALNADGSLRDAETMLDQLSLLGKRITTSLVNELVGVVSDEKLLELLELAMSSDTAETVKRARELMDSGVDPMVLMSQLASLIMDIIAGTYNIVDSKYSHSFFGGRALSEAELERLKHALKLLSEAEKQLRVSSERSTWFTATLLQLGSLPSPDLTQSGSSRRQSSKTTEDDPSSTSWEATAYKQKSGIQYMPRKSTSPASLHKYVNGNSNHQGELLSRIDGYDSDLKPSQGRIMDGGALPAACDNNLSGNMILTCRNSEKLDEIWAKCIDKCHSKTLRQLLHAHGKLLSLAEVEGVLIAYLAFGDGDIKSRAERFLSSITNSIEIVMRRNVEVRIILLTNGEVSLNHANPAEKPESLQQAETAVEIEKERKAISKIVGDGFSSLNLHQESRKVSKESFSDLEGKLRGVQDYSNCSAQSIVRTPELLAEGNAEIGSSKESRQEIPMQRIESIIREQRLETAWLQVAEKGTPGSLSRLKPEKNQVLPQEVFRQSNLGSMNSSAFSSQQWEDELNHELKILKTNDGQGQAIQKDQMARRGDQYPMSPSLLHNSSLSKENLGYDSGSGNGGCSGLFCWNNTKPHRRAKVKGTPVRARRSGRFSLFGECGKSKKIENRRRRTGNQQI >EOY26407 pep chromosome:Theobroma_cacao_20110822:6:9758963:9771219:1 gene:TCM_028001 transcript:EOY26407 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein, putative isoform 2 MSDMRISDPSRLHLKKELTQIRKAARVLRDPGTTSSWKSPLSSSRSVAAAAAAAAGSASTCSALRNNFDNESLNRPNGNAYLDSSQLPFRVESNGHGYKNNAINSNGIEKEKRVFLYNWKSQKSSSINVEDDDDDEDDDYDDDVDDDDGEQSSSWIQGSFDDNSLSDARNCGDSKSDTYLGESRSASMMFRCRDANLVSLVTPSTRRMLGPNKKNKKNSAHLDVLSRYEQNKSAVARNSVNSRKFLKAHPALALNLGRDDSVDQSDDTEDFSNSEDFRKISGPSPLLLKVKQKNWSHASSRLLKTGRKEDSSYSYSTPALSTSSYNRYFNQNPSTVGSWDATTISLNDGDDEVDDPLDLPGRQGCGIPCYWTKRTPKHRGVCGSCYSPSLSDTLRRKGSSILCGSQPVYHRHRHSSSLSNKQRIALRSAQGLLPLLSNSGDRRGGSSIGTRCSDDELSTNFGELDLEALSRLDGRRWSSSCRSQDGLEIVALTGEGEEEGTPENIKSLSQKYKPMFFDELIGQNIVVQSLMNAVSRGRIAPVYLFQGPRGTGKTSTAKIFAAALNCLATEGAKPCGYCRECAEFVSGKSRELWEVDSTNKKGIDGVRYLLKSLSKGLPSSSSRYKVFVIDECHLLPSKIWLALLKFLEDPPPRVVFVFITTDLDNVPRTVQSRCQKYLFNKIKDGDIMARLRKISTDEKLEVESDALDLIALNADGSLRDAETMLDQLSLLGKRITTSLVNELVGVVSDEKLLELLELAMSSDTAETVKRARELMDSGVDPMVLMSQLASLIMDIIAGTYNIVDSKYSHSFFGGRALSEAELERLKHALKLLSEAEKQLRVSSERSTWFTATLLQLGSLPSPDLTQSGSSRRQSSKTTEDDPSSTSWEATAYKQKSGIQYMPRKSTSPASLHKYVNGNSNHQGELLSRIDGYDSDLKPSQGRIMDGGALPAACDNNLSGNMILTCRNSEKLDEIWAKCIDKCHSKTLRQLLHAHGKLLSLAEVEGVLIAYLAFGDGDIKSRAERFLSSITNSIEIVMRRNVEVRIILLTNGEVSLNHANPAEKPESLQQAETAVEIEKERKAISKIVGDGFSSLNLHQESRKVSKESFSDLEGKLRGVQDYSNCSAQSIVRTPELLAEGNAEIGSSKESRQEIPMQRIESIIREQRLETAWLQVAEKGTPGSLSRLKPEKNQVLPQEVFRQSNLGSMNSSAFSSQQWEDELNHELKILKTNDGQGQAIQKDQMARRGDQYPMSPSLLHNSSLSKENLHSLVDMIRGQEMEDAVGFSVGTTPSLIEGQR >EOY26409 pep chromosome:Theobroma_cacao_20110822:6:9758963:9771219:1 gene:TCM_028001 transcript:EOY26409 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein, putative isoform 2 MSDMRISDPSRLHLKKELTQIRKAARVLRDPGTTSSWKSPLSSSRSVAAAAAAAAGSASTCSALRNNFDNESLNRPNGNAYLDSSQLPFRVESNGHGYKNNAINSNGIEKEKRVFLYNWKSQKSSSINVEDDDDDEDDDYDDDVDDDDGEQSSSWIQGSFDDNSLSDARNCGDSKSDTYLGESRSASMMFRCRDANLVSLVTPSTRRMLGPNKKNKKNSAHLDVLSRYEQNKSAVARNSVNSRKFLKAHPALALNLGRDDSVDQSDDTEDFSNSEDFRKISGPSPLLLKVKQKNWSHASSRLLKTGRKEDSSYSYSTPALSTSSYNRYFNQNPSTVGSWDATTISLNDGDDEVDDPLDLPGRQGCGIPCYWTKRTPKHRGVCGSCYSPSLSDTLRRKGSSILCGSQPVYHRHRHSSSLSNKQRIALRSAQGLLPLLSNSGDRRGGSSIGTRCSDDELSTNFGELDLEALSRLDGRRWSSSCRSQDGLEIVALTGEGEEEGTPENIKSLSQKYKPMFFDELIGQNIVVQSLMNAVSRGRIAPVYLFQGPRGTGKTSTAKIFAAALNCLATEGAKPCGYCRECAEFVSGKSRELWEVDSTNKKGIDGVRYLLKSLSKGLPSSSSRYKVFVIDECHLLPSKIWLALLKFLEDPPPRVVFVFITTDLDNVPRTVQSRCQKYLFNKIKDGDIMARLRKISTDEKLEVESDALDLIALNADGSLRDAETMLDQLSLLGKRITTSLVNELVGVVSDEKLLELLELAMSSDTAETVKRARELMDSGVDPMVLMSQLASLIMDIIAGTYNIVDSKYSHSFFGGRALSEAELERLKHALKLLSEAEKQLRVSSERSTWFTATLLQLGSLPSPDLTQSGSSRRQSSKTTEDDPSSTSWEATAYKQKSGIQYMPRKSTSPASLHKYVNGNSNHQGELLSRIDGYDSDLKPSQGRIMDGGALPAACDNNLSGNMILTCRNSEKLDEIWAKCIDKCHSKTLRQLLHAHGKLLSLAEVEGVLIAYLAFGDGDIKSRAERFLSSITNSIEIVMRRNVEVRIILLTNGEVSLNHANPAEKPESLQQAETAVEIEKERKAISKIVGDGFSSLNLHQESRKVSKESFSDLEGKLRGVQDYSNCSAQSIVRTPELLAEGNAEIGSSKESRQEIPMQRIESIIREQRLETAWLQVAEKGTPGSLSRLKPEKNQVLPQEVFRQSNLGSMNSSAFSSQQWEDELNHELKILKTNDGQGQAIQKDQMARRGDQYPMSPSLLHNSSLSKENLGYDSGSGNGGCSGLFCWNNTKPHRRAKVGSCYSPSPLAFSQQIKVTGIAFKNLQHDLCFFSSQKKKKTQVKGTPVRARRSGRFSLFGECGKSKKIENRRRR >EOY27078 pep chromosome:Theobroma_cacao_20110822:6:20015098:20017872:1 gene:TCM_029013 transcript:EOY27078 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA double-strand break repair rad50 ATPase isoform 2 MAGRNRIPREAFNDRRGFPPERPFLRGPPLPQPPPHPVLLEEELEMQHAEIRRLLTDNRRLVEDRMAMQQEVGAAKEEIHRLNLVIGEIRVEQEVHSRELIEKGLKLEADIRATEPLKKEAVQLRTEVQKLKNVRQELTGQVQTLKQDVARLQVDNQQIPILRAEIDGLHQELMHARTAIDYEKKANIELMEQRQAMEKNMVSMAREVEKLRAELASVDGRPWAAGMTCLSFMYLVAVSPSNVSSVMFVV >EOY27077 pep chromosome:Theobroma_cacao_20110822:6:20014997:20022571:1 gene:TCM_029013 transcript:EOY27077 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA double-strand break repair rad50 ATPase isoform 2 MAGRNRIPREAFNDRRGFPPERPFLRGPPLPQPPPHPVLLEEELEMQHAEIRRLLTDNRRLVEDRMAMQQEVGAAKEEIHRLNLVIGEIRVEQEVHSRELIEKGLKLEADIRATEPLKKEAVQLRTEVQKLKNVRQELTGQVQTLKQDVARLQVDNQQIPILRAEIDGLHQELMHARTAIDYEKKANIELMEQRQAMEKNMVSMAREVEKLRAELASVDGRPWAAGGPYGMKFNSSEGAFPAPYEGYGVHLGVADKGPFYGPGPAAWEKPRMTRR >EOY25985 pep chromosome:Theobroma_cacao_20110822:6:3338082:3371691:1 gene:TCM_027369 transcript:EOY25985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid cyclases family protein MWRLKIGEGGNDPYLYSTNNYLGRQTWEFDPNAGTPKERAEVEEVRQNYYNNRKHVQPSSDLLWQIQFLREKNFKQTIPQPKVEDGEEITFEATTAAVRRSVHFFSALQSKDGHWPAENAGPMFYFPPLVMSLYITGHLHTIFFAEHRKEILRYIYCHQNEDGGWGLYIGGHSTMFCTALNYICMRLLGEGPDGGLNNACERSRKWILDRGGVTTIPSWGKTWLSILGVYEWSGCHPIPPEFWLLPSYFPIHPAKMFCYCRLTYMPMSYLYGRKFVGPITPLIVQLREELHIEPYNEINWSKKRHLCAKEDLHYPHTMLQIFLWDSLYIFTEPLLNCWPFNKLREKALKVTMNHIHYEDESSQYITIGCVEKPLCMLACWVEDPNGVYFKKHLARIADYVWVGEDGIKMQSFGSQVWDASLTLQALLASQLFDEIGPILMKGHNFLKNSQVRDNPPSDFKRMFRHISKGSWTFSDRDHGWQVSDCTAESLKCCLYFAMMPPEMVGEKMELKQFYDSVNVILSLQSENGGLSAWEPTGGGFWWEWLNPVEFLEDLVKEYEYVECTSSSIQALVLFQKLYPEHKKREIANFISKAAQFLEEIQYPDGSWYGNWGICFIYGTWFGLQGLKAAGKTYNNCLAIRKGVDFLLKTQREDGGWGESYLSCPKRVYIPSEGNRTNLVQTALALMGLIIGGQAERDPTPLHRAAKLLINSQLPNGDFPQQELAGVFMRNCMLHYALYRNVFPLWALAEYCKHFWQGVAAATVNGGQDEFDYCDEENPKASSDPLTDHKQTQTSPLELEVSKRGLWCQIHRHGSKYCWPLQCPKSMPQDQTLTGSTTDHRTYEIKPKSGIVGVVGVERWPAQEQTKIMWSLCFVCRGTDRGSTLALTSTEKNLFCTNLMHNIMLCRTNEPDAIEGELWFTIGKTKARFSKREFYLVTRLKLCAMRTLKPTAEEMLTAYWADIKFAVAEAAGAVAEAAGAVEALDSPLVAFHAASPIAPHAATPVTPHAALPLASTTVSHALAHVDACNAIGDLAGNAVGDPVGNADANAVTTSAYPAVTARAKGSDCRSGQLIGEEDGACDKEGSDIGVNLDDVGGINQSIASAQSSPPTTLIEHYRTLIPSPLEAAQYS >EOY26712 pep chromosome:Theobroma_cacao_20110822:6:17468134:17473185:1 gene:TCM_028682 transcript:EOY26712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 3,4 MGANSNRVEDFSSHNGSAATTTTLKVSTEIPMPPPEAMEIHNVCLPPQKTTFQKLKHRLSEIFFPDDPLYRFKKQTWRKKLVLGLQCLFPIFQWGPEYNLSLFRSDIISGLTIASLAIPQGISYAKLANLPPIIGLYSSFIPPLIYSVLGSSRHLAVGPVSIASLAMGTMLSESVSPVEEPILYLKLAFTATFFAGLFQASLGLLRLGFVIDFLSKATLIGFMAGAAVIVSLQQLKGLLGIVHFTGKMQLIPVMTSVFDHRKEWSWQTVGLGSIFLLFLLTTRHISMRKPKLFWVSAAAPLTSVILSTLFVFCVKSKAHGISIIGQLQKGLNPPSLNMLYFNGQYLALAIKTGIITGILSLTEGIAVGRTFASLRNYQVDGNKEMMAIGLMNIAGSCTSCYVTTGSFSRSAVNYNAGAQTAVSNIVLATAVLVTLLFLMPLFYYTPNVILGAIIITAVIGLIDYQAAYKLWKVDKLDFLACVCSFFGVLFISVPLGLAIAVGVSVFKILLHVTRPNTLVLGNIPRTEIYQSLNRYREASRVPSFLILAIESPIYFANSTYLQERILRWVREEEEWIKANRESTLKCIIIDMTAVTAIDTSGIDMVCELRKMLEKRSLQLVLVNPVGSVTEKLHHSKILESFGMNAFYLTVGKAVADVSASWKPQP >EOY26900 pep chromosome:Theobroma_cacao_20110822:6:18955078:18962294:1 gene:TCM_028866 transcript:EOY26900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein isoform 4 MPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAELLKDRPSWFRDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLKNTQNGPSMPAVQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWRVPEVLRPLYESSTVLAQKTTMAALRQLRQIAQEVSQSNVTGWGRRPAALRALSQRLSRGFNEALNGFTDEGWSMMGNDGMDDVTILVNSSPDKLMGLNLSFANGFPSVSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADSSIDAYSAAAVKVGPCSLPGSRVGGFGGQVILPLAHTIEHEEFLEVIKLEGVAHSPEDAIMPRDVFLLQLCSGMDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSGKEASSPNRTLDLASALEIGPTGNKASNDYSGNSGCMRSVMTIAFEFAFESHMQEHVASMARQYVRSIISSVQRVALALSPSHLSSHAGLRTPLGTPEAQTLARWICQSYRLYMGVELLKSGSEGSETILKTLWHHSDAIMCCSLKFLQALPVFTFANQAGLDMLETTLVALQDITLEKIFDDHGRKTLCTEFPQIMQQGFACLQGGICLSSMGRPVSYERAVAWKVLNEEENAHCICFMFINWSFV >EOY26902 pep chromosome:Theobroma_cacao_20110822:6:18955078:18962294:1 gene:TCM_028866 transcript:EOY26902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein isoform 4 MPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAELLKDRPSWFRDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLKNTQNGPSMPAVQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWRVPEVLRPLYESSTVLAQKTTMAALRQLRQIAQEVSQSNVTGWGRRPAALRALSQRLSRGFNEALNGFTDEGWSMMGNDGMDDVTILVNSSPDKLMGLNLSFANGFPSVSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADSSIDAYSAAAVKVGPCSLPGSRVGGFGGQVILPLAHTIEHEEFLEVIKLEGVAHSPEDAIMPRDVFLLQLCSGMDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSGKEASSPNRTLDLASALEIGPTGNKASNDYSGNSGCMRSVMTIAFEFAFESHMQEHVASMARQYVRSIISSVQRVALALSPSHLSSHAGLRTPLGTPEAQTLARWICQSYRLYMGVELLKSGSEGSETILKTLWHHSDAIMCCSLKDITLEKIFDDHGRKTLCTEFPQIMQQGFACLQGGICLSSMGRPVSYERAVAWKVLNEEENAHCICFMFINWSFV >EOY26899 pep chromosome:Theobroma_cacao_20110822:6:18953085:18961923:1 gene:TCM_028866 transcript:EOY26899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein isoform 4 MAMSCKDGKLGNLDNGKYVRYTPEQVEALERLYHECPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYFRQHTQNATLATKDPSCESVVTSGQHHVTPQHPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAELLKDRPSWFRDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLKNTQNGPSMPAVQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWRVPEVLRPLYESSTVLAQKTTMAALRQLRQIAQEVSQSNVTGWGRRPAALRALSQRLSRGFNEALNGFTDEGWSMMGNDGMDDVTILVNSSPDKLMGLNLSFANGFPSVSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADSSIDAYSAAAVKVGPCSLPGSRVGGFGGQVILPLAHTIEHEEFLEVIKLEGVAHSPEDAIMPRDVFLLQLCSGMDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSGKEASSPNRTLDLASALEIGPTGNKASNDYSGNSGCMRSVMTIAFEFAFESHMQEHVASMARQYVRSIISSVQRVALALSPSHLSSHAGLRTPLGTPEAQTLARWICQSYRLYMGVELLKSGSEGSETILKTLWHHSDAIMCCSLKALPVFTFANQAGLDMLETTLVALQDITLEKIFDDHGRKTLCTEFPQIMQQGFACLQGGICLSSMGRPVSYERAVAWKVLNEEENAHCICFMFINWSFV >EOY26901 pep chromosome:Theobroma_cacao_20110822:6:18955078:18962294:1 gene:TCM_028866 transcript:EOY26901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein isoform 4 MPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAELLKDRPSWFRDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLKNTQNGPSMPAVQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWRVPEVLRPLYESSTVLAQKTTMAALRQLRQIAQEVSQSNVTGWGRRPAALRALSQRLSRGFNEALNGFTDEGWSMMGNDGMDDVTILVNSSPDKLMGLNLSFANGFPSVSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADSSIDAYSAAAVKVGPCSLPGSRVGGFGGQVILPLAHTIEHEEFLEVIKLEGVAHSPEDAIMPRDVFLLQLCSGMDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSGKEASSPNRTLDLASALEIGPTGNKASNDYSGNSGCMRSVMTIAFEFAFESHMQEHVASMARQYVRSIISSVQRVALALSPSHLSSHAGLRTPLGTPEAQTLARWICQSYRLYMGVELLKSGSEGSETILKTLWHHSDAIMCCSLKAGLDMLETTLVALQDITLEKIFDDHGRKTLCTEFPQIMQQGFACLQGGICLSSMGRPVSYERAVAWKVLNEEENAHCICFMFINWSFV >EOY26948 pep chromosome:Theobroma_cacao_20110822:6:19336339:19339505:1 gene:TCM_028905 transcript:EOY26948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iq-domain 14 isoform 1 MGRATRWLKGLLGMKKEKDKDRDQIGHSNSVLCDKKEKKRWSFAKSGKDANAISQIPLSITRSIPANDAAWLRSYIAESEKEQKKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSNGRGTLFGGGRERWAAVKIQTVFRGYLARKALRALKGLVRLQALVRGYLVRKRATATLRSMQALIRAQAAVRSQRLRRSFNKENRYYPENRPRKSIERFDDPRSAIHSKRLSASIEISAYDESPKIVEIDTFKTRSRSRRYNTALSDCGDDLPYQIISSPLPCPVPARASVPNCQNLHDFEWCFTGDECRFSTAHSTPRFANTAMSNAPTTPAKSVCGDGYFRPYSNFPNYMANTQSFKAKLRSHSAPKQRPEPGVKKRLSLNEIMAARNSMSGVRMNKSCYQVEEALDF >EOY26949 pep chromosome:Theobroma_cacao_20110822:6:19336247:19339506:1 gene:TCM_028905 transcript:EOY26949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iq-domain 14 isoform 1 MGRATRWLKGLLGMKKEKDKDRDQIGHSNSVLCDKKEKKRWSFAKSGKDANAISQIPLSITRSIPANDAAWLRSYIAESEKEQKKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSNGRGTLFGGGRERWAAVKIQTVFRGYLARKALRALKGLVRLQALVRGYLVRKRATATLRSMQALIRAQAAVRSQRLRRSFNKENRYYPENRPRKSIERFDDPRSAIHSKRLSASIEISAYDESPKIVEIDTFKTRSRSRRYNTALSDCGDDLPYQIISSPLPCPVPARASVPNCQNLHDFEWCFTGDECRFSTAHSTPRFANTAMSNAPTTPAKSVCGDGYFRPYSNFPNYMANTQSFKAKLRSHSAPKQRPEPGVKKRLSLNEIMAARNSMSGVRMNKSCYQVEEALDF >EOY28759 pep chromosome:Theobroma_cacao_20110822:6:26465074:26467338:-1 gene:TCM_030271 transcript:EOY28759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair specific 14 MSQIAQPNSAMAASLTSFVLFSFLLSNFALTSQAAYSLEGYTSKPLADYVPSVAPKKVMNVIDSCWRTRSNWATNRRALADCAVGFGKAAIGGKYGAIYVVTNPYDNPINPAPGTLRYGVIQTSPLWIIFARDMVITLKNELIVNSFKTIDGRGAKVEIAYGPCITVQGVTNVIIHGISIYDCKPGKAGMVRSSPTHVGKRGGCDGDAISIFASSNIWVDHCYLARSADGLIDVIRASTAVTISNNYFTQHDKVMLLGHSDKFTADKVMKVTVAFNRFGEGLIERMPRVRIGYAHVANNRYDEWKMYAIGGSANPTIFSEGNYFIAPDNSASKEVTKREASNWRNWTWQSSKDVFKNGAYFVPSGYGSCAPRYTRAQSFKAAPGYMVPALTSDAGPLRCLVGKAC >EOY28002 pep chromosome:Theobroma_cacao_20110822:6:23915805:23920961:1 gene:TCM_029698 transcript:EOY28002 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAR family protein, putative isoform 3 SHIHFAYTAGSEWHPRIHNEKNHFIYNDLPRFIMDSYEVCRDPPCLHLLDKFDAGGKGSCMKRYSDPTYFKRASGSCIEEDAEKVPRDKKTRKSKKRRSSHRNGELSRVASLSNRSGRMQYTSPIVNGRTSSSQTASTVDMALKSDMGEHSTSFDSRTGSGYINCVLNLGSSMLPEEQEHKEVSSRLMQETDTLSSDFPVGQTQVVDDNFSHSSSQEQIALSSYCVTWDEKAEIVESKAGNWDGDEAPEMNFDVDVQESGPANLGNGDQTDIPFNDTDAPQSSSIDNQNDEIESEPDNYMDALNTIESESENDIECHTKREVELCSENDVECQTKWEVEQIDDANAVNNENREDGMHAVMDSNANHHPSIIESSASSDILSNNGMSMSLSDPVPSENFASEQIPQISGKAPDPDHSPGTDLCMSDEIHNGSQVESAISDPSSSSGSTISDMQDLVSDRIINNVSDSEYSHTEFSGVHSVGFWTNGGLLGLQPSKPPDFAVSTAGQSFAAKSSEAFGPPNQTLMPIHDGPKGNTGTVVENAESAEKVPSSCSEKTSLPIADLAANLEKAVSSQCDNNLDNFNGAGLSLNTSLPHGNKHPVNPNIKATSVESDEENDDNSSRMFGLGHKLLVNGFRRKVSIAHYGESEPATSTKTGVLEPRNGHQSILYQKIPRTTFDEQIGNGSPVNSLTSSPPLEHMKISFNPIDGFETSKLRLQFPDGNHYQESVRDMFPSFQLVPGPVVPVHDVGSDSDDDTFCRSSPYMSDDCLSHCSESNSEQWESGETPESKDPALYDALSRLSSVESVSSSLHFGEAANNGIHVNGGHKSVVPGIGAEPSLPLSLDLPSFDAINPILQDETNSNSVHKNQPELQNSTDVTPLPPPPPPVQWRVSKPCLDETEERQHALSESLRHELDLKLFSAVSVESKPPSDDQQQISDEAIALKPEKKSFNLRPTATAKPTVTSGPTTNVKVTAILQKANAIRQAVGSDDGED >EOY28001 pep chromosome:Theobroma_cacao_20110822:6:23915809:23920277:1 gene:TCM_029698 transcript:EOY28001 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAR family protein, putative isoform 3 MDSYEVCRDPPCLHLLDKFDAGGKGSCMKRYSDPTYFKRASGSCIEEDAEKVPRDKKTRKSKKRRSSHRNGELSRVASLSNRSGRMQYTSPIVNGRTSSSQTASTVDMALKSDMGEHSTSFDSRTGSGYINCVLNLGSSMLPEEQEHKEVSSRLMQETDTLSSDFPVGQTQVVDDNFSHSSSQEQIALSSYCVTWDEKAEIVESKAGNWDGDEAPEMNFDVDVQESGPANLGNGDQTDIPFNDTDAPQSSSIDNQNDEIESEPDNYMDALNTIESESENDIECHTKREVELCSENDVECQTKWEVEQIDDANAVNNENREDGMHAVMDSNANHHPSIIESSASSDILSNNGMSMSLSDPVPSENFASEQIPQISGKAPDPDHSPGTDLCMSDEIHNGSQVESAISDPSSSSGSTISDMQDLVSDRIINNVSDSEYSHTEFSGVHSVGFWTNGGLLGLQPSKPPDFAVSTAGQSFAAKSSEAFGPPNQTLMPIHDGPKGNTGTVVENAESAEKVPSSCSEKTSLPIADLAANLEKAVSSQCDNNLDNFNGAGLSLNTSLPHGNKHPVNPNIKATSVESDEENDDNSSRMFGLGHKLLVNGFRRKVSIAHYGESEPATSTKTGVLEPRNGHQSILYQKIPRTTFDEQIGNGSPVNSLTSSPPLEHMKISFNPIDGFETSKLRLQFPDGNHYQESVRDMFPSFQLVPGPVVPVHDVGSDSDDDTFCRSSPYMSDDCLSHCSESNSEQWESGETPESKDPALYDALSRLSSVESVSSSLHFGEAANNGIHVNGGHKSVVPGIGAEPSLPLSLDLPSFDAINPILQDETNSNSVHKNQPELQNSTDVTPLPPPPPPVQWRVSKPCLDETEERQHALSESLRHELDLKLFSAVSVESKPPSDDQQQISDEAIALKPEKRWTKRI >EOY27999 pep chromosome:Theobroma_cacao_20110822:6:23914896:23921826:1 gene:TCM_029698 transcript:EOY27999 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAR family protein, putative isoform 3 MPLVRAQVRNEYGLGQPELYKEANREDPKAVLDGVAVAGLVGILRQLGDLAEFAAEVFHGLQEQVMSTASRSHKLMIRVQRIEAALPPLEKAVLAQTSHIHFAYTAGSEWHPRIHNEKNHFIYNDLPRFIMDSYEVCRDPPCLHLLDKFDAGGKGSCMKRYSDPTYFKRASGSCIEEDAEKVPRDKKTRKSKKRRSSHRNGELSRVASLSNRSGRMQYTSPIVNGRTSSSQTASTVDMALKSDMGEHSTSFDSRTGSGYINCVLNLGSSMLPEEQEHKEVSSRLMQETDTLSSDFPVGQTQVVDDNFSHSSSQEQIALSSYCVTWDEKAEIVESKAGNWDGDEAPEMNFDVDVQESGPANLGNGDQTDIPFNDTDAPQSSSIDNQNDEIESEPDNYMDALNTIESESENDIECHTKREVELCSENDVECQTKWEVEQIDDANAVNNENREDGMHAVMDSNANHHPSIIESSASSDILSNNGMSMSLSDPVPSENFASEQIPQISGKAPDPDHSPGTDLCMSDEIHNGSQVESAISDPSSSSGSTISDMQDLVSDRIINNVSDSEYSHTEFSGVHSVGFWTNGGLLGLQPSKPPDFAVSTAGQSFAAKSSEAFGPPNQTLMPIHDGPKGNTGTVVENAESAEKVPSSCSEKTSLPIADLAANLEKAVSSQCDNNLDNFNGAGLSLNTSLPHGNKHPVNPNIKATSVESDEENDDNSSRMFGLGHKLLVNGFRRKVSIAHYGESEPATSTKTGVLEPRNGHQSILYQKIPRTTFDEQIGNGSPVNSLTSSPPLEHMKISFNPIDGFETSKLRLQFPDGNHYQESVRDMFPSFQLVPGPVVPVHDVGSDSDDDTFCRSSPYMSDDCLSHCSESNSEQWESGETPESKDPALYDALSRLSSVESVSSSLHFGEAANNGIHVNGGHKSVVPGIGAEPSLPLSLDLPSFDAINPILQDETNSNSVHKNQPELQNSTDVTPLPPPPPPVQWRVSKPCLDETEERQHALSESLRHELDLKLFSAVSVESKPPSDDQQQISDEAIALKPEKKQVDQENLNRQKEANQVSSGRGVDEKEDFLHQIRTKSFNLRPTATAKPTVTSGPTTNVKVTAILQKANAIRQAVGSDDGEDDDNWSDT >EOY28003 pep chromosome:Theobroma_cacao_20110822:6:23915805:23920961:1 gene:TCM_029698 transcript:EOY28003 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAR family protein, putative isoform 3 MDSYEVCRDPPCLHLLDKFDAGGKGSCMKRYSDPTYFKRASGSCIEEDAEKVPRDKKTRKSKKRRSSHRNGELSRVASLSNRSGRMQYTSPIVNGRTSSSQTASTVDMALKSDMGEHSTSFDSRTGSGYINCVLNLGSSMLPEEQEHKEVSSRLMQETDTLSSDFPVGQTQVVDDNFSHSSSQEQIALSSYCVTWDEKAEIVESKAGNWDGDEAPEMNFDVDVQESGPANLGNGDQTDIPFNDTDAPQSSSIDNQNDEIESEPDNYMDALNTIESESENDIECHTKREVELCSENDVECQTKWEVEQIDDANAVNNENREDGMHAVMDSNANHHPSIIESSASSDILSNNGMSMSLSDPVPSENFASEQIPQISGKAPDPDHSPGTDLCMSDEIHNGSQVESAISDPSSSSGSTISDMQDLVSDRIINNVSDSEYSHTEFSGVHSVGFWTNGGLLGLQPSKPPDFAVSTAGQSFAAKSSEAFGPPNQTLMPIHDGPKGNTGTVVENAESAEKVPSSCSEKTSLPIADLAANLEKAVSSQCDNNLDNFNGAGLSLNTSLPHGNKHPVNPNIKATSVESDEENDDNSSRMFGLGHKLLVNGFRRKVSIAHYGESEPATSTKTGVLEPRNGHQSILYQKIPRTTFDEQIGNGSPVNSLTSSPPLEHMKISFNPIDGFETSKLRLQFPDGNHYQESVRDMFPSFQLVPGPVVPVHDVGSDSDDDTFCRSSPYMSDDCLSHCSESNSEQWESGETPESKDPALYDALSRLSSVESVSSSLHFGEAANNGIHVNGGHKSVVPGIGAEPSLPLSLDLPSFDAINPILQDETNSNSVHKNQPELQNSTDVTPLPPPPPPVQWRVSKPCLDETEERQHALSESLRHELDLKLFSAVSVESKPPSDDQQQISDEAIALKPEKRWTKRI >EOY28000 pep chromosome:Theobroma_cacao_20110822:6:23915250:23921377:1 gene:TCM_029698 transcript:EOY28000 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAR family protein, putative isoform 3 MPLVRAQVRNEYGLGQPELYKEANREDPKAVLDGVAVAGLVGILRQLGDLAEFAAEVFHGLQEQVMSTASRSHKLMIRVQRIEAALPPLEKAVLAQTSHIHFAYTAGSEWHPRIHNEKNHFIYNDLPRFIMDSYEVCRDPPCLHLLDKFDAGGKGSCMKRYSDPTYFKRASGSCIEEDAEKVPRDKKTRKSKKRRSSHRNGELSRVASLSNRSGRMQYTSPIVNGRTSSSQTASTVDMALKSDMGEHSTSFDSRTGSGYINCVLNLGSSMLPEEQEHKEVSSRLMQETDTLSSDFPVGQTQVVDDNFSHSSSQEQIALSSYCVTWDEKAEIVESKAGNWDGDEAPEMNFDVDVQESGPANLGNGDQTDIPFNDTDAPQSSSIDNQNDEIESEPDNYMDALNTIESESENDIECHTKREVELCSENDVECQTKWEVEQIDDANAVNNENREDGMHAVMDSNANHHPSIIESSASSDILSNNGMSMSLSDPVPSENFASEQIPQISGKAPDPDHSPGTDLCMSDEIHNGSQVESAISDPSSSSGSTISDMQDLVSDRIINNVSDSEYSHTEFSGVHSVGFWTNGGLLGLQPSKPPDFAVSTAGQSFAAKSSEAFGPPNQTLMPIHDGPKGNTGTVVENAESAEKVPSSCSEKTSLPIADLAANLEKAVSSQCDNNLDNFNGAGLSLNTSLPHGNKHPVNPNIKATSVESDEENDDNSSRMFGLGHKLLVNGFRRKVSIAHYGESEPATSTKTGVLEPRNGHQSILYQKIPRTTFDEQIGNGSPVNSLTSSPPLEHMKISFNPIDGFETSKLRLQFPDGNHYQESVRDMFPSFQLVPGPVVPVHDVGSDSDDDTFCRSSPYMSDDCLSHCSESNSEQWESGETPESKDPALYDALSRLSSVESVSSSLHFGEAANNGIHVNGGHKSVVPGIGAEPSLPLSLDLPSFDAINPILQDETNSNSVHKNQPELQNSTDVTPLPPPPPPVQWRVSKPCLDETEERQHALSESLRHELDLKLFSAVSVESKPPSDDQQQISDEAIALKPEKKVDQENLNRQKEANQVSSGRGVDEKEDFLHQIRTKSFNLRPTATAKPTVTSGPTTNVKVTAILQKANAIRQAVGSDDGEDDDNWSDT >EOY28989 pep chromosome:Theobroma_cacao_20110822:6:27043358:27045465:1 gene:TCM_030435 transcript:EOY28989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial acyl carrier protein 3 isoform 2 AAIKQAHNSQRAIAHQVHYVLQSADSQPKQALNRGSPVSHQCLLSTFHFLLPTISPFLFLFLVCFLVFHLWVSRLVIKIYCFEALLNMQSIRSAILRHVSMRESTGEWSFFTCGGNMFKLLRHQICTSTGASNAQIMDRVIGLVKKYDKIDASKVTETADFQKDLCLDSLDRVELVMAFEEEFSFEIPDEKADKLTCCADVAKYIVSRSGSDITKS >EOY28988 pep chromosome:Theobroma_cacao_20110822:6:27042820:27045204:1 gene:TCM_030435 transcript:EOY28988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial acyl carrier protein 3 isoform 2 MFENGHKNCVEDQAHNSQRAIAHQVHYVLQSADSQPKQALNRGSPVSRLVIKIYCFEALLNMQSIRSAILRHVSMRESTGEWSFFTCGGNMFKLLRHQICTSTGASNAQIMDRVIGLVKKYDKIDASKVTETADFQKDLCLDSLDRVELVMAFEEEFSFEIPDEKADKLTCCADVAKYIVSRSGSDITKS >EOY26868 pep chromosome:Theobroma_cacao_20110822:6:18787288:18791350:1 gene:TCM_028840 transcript:EOY26868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 12 isoform 1 MLAEEEFLHRQALSMALHQHQLSQRFDGSMSRRIGTTSSRRHSDPLANNEKKVLESLENVKFKRIILIHGEGFGAWCWYKTIAQLEEVGLQPTALDLTGSGIDLTDTNTVTTLAEYSKPLIQYLENLPEDEKVILVGHSSGGACLSYALEHFPEKISKAIFLCATMVSNGQRPFDVFAEELGSAERFMQESQFLIHGNGKDKPPTGFMFENQLMKGLYFNQSPTKDVALAMVSMRPTPLGPIMEKLSLSPEKYGTGRRFYIQTLDDRALSPDVQEKLVRENPPAGVFKIKGSDHCPFFSKPQSLHKILTTGGWSLHSCDRPFLYVEH >EOY26869 pep chromosome:Theobroma_cacao_20110822:6:18787758:18791429:1 gene:TCM_028840 transcript:EOY26869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 12 isoform 1 MRLSIYQKPLLSCTISGLSFAFLKKKDTKDNGSRSKRVGRSQRKMLAEEEFLHRQALSMALHQHQLSQRFDGSMSRRIGTTSSRRHSDPLANNEKKVLESLENVKFKRIILIHGEGFGAWCWYKTIAQLEEVGLQPTALDLTGSGIDLTDTNTVTTLAEYSKPLIQYLENLPEDEKVILVGHSSGGACLSYALEHFPEKISKAIFLCATMVSNGQRPFDVFAEELGSAERFMQESQFLIHGNGKDKPPTGFMFENQLMKGLYFNQSPTKDVALAMVSMRPTPLGPIMEKLSLSPEKYGTGRRFYIQTLDDRALSPDVQEKLVRENPPAGVFKIKGSDHCPFFSKPQSLHKILTTGGWSLHSCDRPFLYVEH >EOY25541 pep chromosome:Theobroma_cacao_20110822:6:392224:399694:1 gene:TCM_026937 transcript:EOY25541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA binding protein-related / DsRBD protein-related, putative isoform 1 MALEKLGIRPSVDNLTAEEAWTDLIARVKYIFSNEFLAGLHPLSSHFKAALCRVGDHDGSIPASVIAICDGKLNNLCKIINPKVESHPFMVVSYIMRAATGLPELVVNPERQLSIRKENPYPPDVIESSVSQQSESITTMAIYIPCSPEKAVEPVILNISPKGYYLDVIAQKLGLSDANEILISRTIGKASSETRFYFAASKSYLLEMSSDLLNAKAVKFGGPLNARASYICGQDIYGDSILASIGYTWKGQDLFHEDVTLQSYYRMLISKIPSGAYKLSREAILAAELPLTFTTKTNWRGSYPREILCSFCRQHWLLEPVFSTSSIPKKASLELSRLNKKLKVSESAEQEVEYANGHDIVDADAKSVGMGSSFICEVKLYSKCQDLILECASNVLYKKQNDAVQNASLKVLSWLNAYFKDIDMPLEKLKQLANVFDIKFYPQNFSKEVVSCLSVENFQNHDTLGGKVPESNGISIPNDVVEDDVSSIDIEGPDSGVCPSYGSLLCVCYSASLVTKGELQKELLESAEEFEFEMGTGAVIPCLEAVVTKMSIGQSTCFYTELPSQDLVLAAAKDSANALAFLSSPCWLEYSIILLQVTEPPEDRMEQALFSPPLSKQRVEYALQHIKDSCATSLVDFGCGSGSLLESLLDYPTSLETIVGVDLSKKSLSRAAKVLHSKLTMMSDPEAPCKSIKSAVLYDGSITDFDSRLCGFDLGTCLEVVIEHMEEDQACLFGDVVLSSFRPKILVVSTPNYEYNVILQRSNITSQEDDPEEKIYSQSCKFRNHDHKFEWTREQFNHWASELAVRHNYSVEFSGVGGSADLEPGFASQIAVFRRVFQPKEDDLQDDEGLACQYRVVWEWNRSKPALTN >EOY25539 pep chromosome:Theobroma_cacao_20110822:6:390719:399789:1 gene:TCM_026937 transcript:EOY25539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA binding protein-related / DsRBD protein-related, putative isoform 1 METGGSLAHTIRKPTLTPKAIIHQKFGSKASYKVEEVEEPTQNGCPGLAILQKGPCLYRCSLELPDFSVVSGSFKKKKDAEQSAAQMALEKLGIRPSVDNLTAEEAWTDLIARVKYIFSNEFLAGLHPLSSHFKAALCRVGDHDGSIPASVIAICDGKLNNLCKIINPKVESHPFMVVSYIMRAATGLPELVVNPERQLSIRKENPYPPDVIESSVSQQSESITTMAIYIPCSPEKAVEPVILNISPKGYYLDVIAQKLGLSDANEILISRTIGKASSETRFYFAASKSYLLEMSSDLLNAKAVKFGGPLNARASYICGQDIYGDSILASIGYTWKGQDLFHEDVTLQSYYRMLISKIPSGAYKLSREAILAAELPLTFTTKTNWRGSYPREILCSFCRQHWLLEPVFSTSSIPKKASLELSRLNKKLKVSESAEQEVEYANGHDIVDADAKSVGMGSSFICEVKLYSKCQDLILECASNVLYKKQNDAVQNASLKVLSWLNAYFKDIDMPLEKLKQLANVFDIKFYPQNFSKEVVSCLSVENFQNHDTLGGKVPESNGISIPNDVVEDDVSSIDIEGPDSGVCPSYGSLLCVCYSASLVTKGELQKELLESAEEFEFEMGTGAVIPCLEAVVTKMSIGQSTCFYTELPSQDLVLAAAKDSANALAFLSSPCWLEYSIILLQVTEPPEDRMEQALFSPPLSKQRVEYALQHIKDSCATSLVDFGCGSGSLLESLLDYPTSLETIVGVDLSKKSLSRAAKVLHSKLTMMSDPEAPCKSIKSAVLYDGSITDFDSRLCGFDLGTCLEVIEHMEEDQACLFGDVVLSSFRPKILVVSTPNYEYNVILQRSNITSQEDDPEEKIYSQSCKFRNHDHKFEWTREQFNHWASELAVRHNYSVEFSGVGGSADLEPGFASQIAVFRRVFQPKEDDLQDDEGLACQYRVVWEWNRSKPALTN >EOY25540 pep chromosome:Theobroma_cacao_20110822:6:391250:399713:1 gene:TCM_026937 transcript:EOY25540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA binding protein-related / DsRBD protein-related, putative isoform 1 METGGSLAHTIRKPTLTPKAIIHQKFGSKASYKVEEVEEPTQNGCPGLAILQKGPCLYRCSLELPDFSVVSGSFKKKKDAEQSAAQMALEKLGIRPSVDNLTAEEAWTDLIARVKYIFSNEFLAGLHPLSSHFKAALCRVGDHDGSIPASVIAICDGKLNNLCKIINPKVESHPFMVVSYIMRAATGLPELVVNPERQLSIRKENPYPPDVIESSVSQQSESITTMAIYIPCSPEKAVEPVILNISPKGYYLDVIAQKLGLSDANEILISRTIGKASSETRFYFAASKSYLLEMSSDLLNAKAVKFGGPLNARASYICGQDIYGDSILASIGYTWKGQDLFHEDVTLQSYYRMLISKIPSGAYKLSREAILAAELPLTFTTKTNWRGSYPREILCSFCRQHWLLEPVFSTSSIPKKASLELSRLNKKLKVSESAEQEVEYANGHDIVDADAKSVGMGSSFICEVKLYSKCQDLILECASNVLYKKQNDAVQNASLKVLSWLNAYFKDIDMPLEKLKQLANVFDIKFYPQNFSKEVVSCLSVENFQNHDTLGGKVPESNGISIPNDVVEDDVSSIDIEGPDSGVCPSYGSLLCVCYSASLVTKGELQKELLESAEEFEFEMGTGAVIPCLEAVVTKMSIGQSTCFYTELPSQDLVLAAAKDSANALAFLSSPCWLEYSIILLQVTEPPEDRMEQALFSPPLSKQRVEYALQHIKDSCATSLVDFGCGSGSLLESLLDYPTSLETIVGVDLSKKSLSRAAKVLHSKLTMMSDPEAPCKSIKSAVLYDGSITDFDSRLCGFDLGTCLEVIEHMEEDQACLFGDVVLSSFRPKILVVSTPNYEYNVILQRSNITSQEDDPEEKIYSQSYCCL >EOY27673 pep chromosome:Theobroma_cacao_20110822:6:22715490:22720650:1 gene:TCM_029459 transcript:EOY27673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 1 MEITNVTEYEAIAKEKLPKMVYDYYASGAEDQWTLKENRNAFSRILFRPRILVDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVCKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKTDDSGLASYVAGQVDRSLSWKDVKWLQTITSLPILVKGVLTAEDARLAIQAGAAGIIVSNHGARQLDFVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMLRDEFELTMALNGCRSLKEITRNHIVTDWDQPRVVPRL >EOY27671 pep chromosome:Theobroma_cacao_20110822:6:22715599:22720619:1 gene:TCM_029459 transcript:EOY27671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 1 MEITNVTEYEAIAKEKLPKMVYDYYASGAEDQWTLKENRNAFSRILFRPRILVDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVCKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKTDDSGLASYVAGQVDRSLSWKDVKWLQTITSLPILVKGVLTAEDARLAIQAGAAGIIVSNHGARQLDFVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMLRDEFELTMALNGCRSLKEITRNHIVTDWDQPRVVPRL >EOY27672 pep chromosome:Theobroma_cacao_20110822:6:22715547:22720650:1 gene:TCM_029459 transcript:EOY27672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 1 MEITNVTEYEAIAKEKLPKMVYDYYASGAEDQWTLKENRNAFSRILFRPRILVDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVCKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKTDDSGLASYVAGQVDRSLSWKDVKWLQTITSLPILVKGVLTAEDARLAIQAGAAGIIVSNHGARQLDFVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMLRDEFELTMALNGCRSLKEITRNHIVTDWDQPRVVPRL >EOY27183 pep chromosome:Theobroma_cacao_20110822:6:20575176:20583315:-1 gene:TCM_029095 transcript:EOY27183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase M1, putative MDLKQNIEQFKGQPRLPKFAIPKRYDLYLKLDLSACTFSGLVHVDLSIVEPTKFIVLNACELVVRQVFFTNSLNHRFTPCDVVLDSDDEILVLFFDEVLGTGEGVLRIEFSGALNEHLKGLYKWCFISSLFSHKPFVVLFVFGTKKKNTYVDKGVRKNMAVTQFEAVHARRCFPCWDEPALKATFRITLDLPSELMALSNMPIIDEKFDGNVKTIYFEESPIMSSYLVAVAVGLFDHIEETTADGIKVGVYCPVGKSDEGKFSLEVAVKSLDIFTRYFSMPYPLPKLDMVAVPEFSGGAMENYGLIIFRENEMLHNDLHTTAARKQILTIVVAHEVAHQWFGNLVTMEWWTHLWLNEGFATWISYMATDIMFPEWKIWNQFLQQTNGGLRLDAQEQSHPIEVEIQHAHSVDEIFDAISYKKGSAVIRMLQGYLGDEIFQKSLGLYIKRYAWNNARTEDLWNVLSEESGIQVNSMMDSWTKQKGYPVVSVKYKDRILEFGQSQFSSSGFHGDGEWTVPIILCLGSYDRRKSFLLESNFEKLDASELFPSSDEKNEDEYGEASWIKVNVEQSGFYRVKYGEELGARLRKAIQKDCLSETDKYGILDDTYALCVACEQSLSSLLSLMDIYRKEIDYIVLSKLIEVCYNVLEVLRDAIPGLVNALKEFFVDVLLFSAEKLGWESAHGENHLNVLMRGEVFMALAALDHVKTHDEAMQRFQAFLDDRGTLLLSADTKRAAYIAVMRNANATSRDGFESLLKIYREADSVQEKERVLRTIASSPEPDILVEVLNFLISDEVRDQDIIYGLAGISLEGHEIAWRWLKENWNFIIIKYGAGLLLTHFIGNIITPFCSNEKADEIEEFFMSRMRPSFAMNLKRSIEQVRIKAHWAESIKQEQQSLQDLLKQLAHRDEL >EOY28737 pep chromosome:Theobroma_cacao_20110822:6:26394618:26395728:1 gene:TCM_030252 transcript:EOY28737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPSAKFWYVPLLLLSVAGALSGDESGYGGPGGWRYDDSDNEDDSYCSYRSWRSCGSFFGRGGKGYGGGGGGGGGGEGGGVGNGVGHGEGHGAGGGSGNGGAGGGGGGGDGAGAGNGSGHGEGFGAGGGVGGIGGGAGGGGGEGGGGGANGGSGHGSGYGAGGGIGVANGGGGGGGEGGGSGYGSSGEGFGHGSGFGAGASIAGEAGGGGGGGGGGKGSNGGYGHGSGYGGGGGGSGGGAGHGSGFGEGFGIGSTGGGGGGGGGGGGGEGYGHGEGMGFGGGIGGSNDEKGLGGGQGGGNGINMGFGMGVGIGFGFGIGTGGGKDSNNNNGDP >EOY28652 pep chromosome:Theobroma_cacao_20110822:6:26151312:26152210:1 gene:TCM_030192 transcript:EOY28652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQYKASFTNTKFFPEKMAAATPVAIGTRGTVGSLLKKEIEYFTKFELEGRGSCWKPHGQVVEMVQMDCRSGHGRQSLWLSITGWKRKKRRGGSGFLPSMCSATEVADKNQLNRIPGFNYRILKSDVNNFHF >EOY27059 pep chromosome:Theobroma_cacao_20110822:6:19907077:19914822:1 gene:TCM_028999 transcript:EOY27059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 6 MLTVSVKWQKEVFKAVEIDTSQPPYVFKCQLFDLTGVPPERQKIMVKGGLLKDDADWSTVGVKQGQKLMMVGTADEIVKAPEKGPVFMEDLPEEEQVVSLGHSAGLFNLGNTCYMNSTVQCLHSVPELKSALVKYSHSGRSNDLDQTSHMLTVATRDLFSELDKSVKPVAPMQFWMVLRKKYPQFGQLHNGVFMQQDAEECWTQLLYTLSQSLRSPGSSENLDTVKDLFGIELASRIHCQESGEESSETESVYSLKCHISQEVNHLHEGLKHGLKSELEKASPALGRSAIYLKESRINGLPRYLTIQFVRFFWKRESNQKAKILRKVDYPLELDVYDLCSDELRKQLEGPRQILRDEEGKKLGLKANEKSSGTKDDDVKMTDAEGSSNASGESSATTPQEGVLSDKESCLTGIYDLVAVLTHKGRSADSGHYVAWVKQESGKWIEFDDDNPIPQREEDIVKLSGGGDWHMAYICMYKARSIAM >EOY27680 pep chromosome:Theobroma_cacao_20110822:6:22769314:22771928:-1 gene:TCM_029468 transcript:EOY27680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nine-cis-epoxycarotenoid dioxygenase 5 MAFSTGHWAKAQISRPLASSSSTVDLGISPTSISWKKRQPNKTTLRRTNNINIIHSALHSPSVLHFPKQPYQNPVIPREETPVNAKPKTHQPQQQQPQWNLLQKAAAMALDMAESALVSRELQHPLPKTADPRVQISGNFAPVPEQPVKQSLPINGTIPSCINGVYLRNGANPLFEPVAGHHFFDGDGMVHAVTIDNGNASYACRFTETQRLLQEKELGRPVFPKAIGELHGHSGIARLLLFYARGLFGLVDHKQGTGVANAGLVYFNNRLLAMSEDDLPYHVRITSSGDLETVGRYNFDDQLKSTMIAHPKIDPFSKELFALSYDVIHKPYLKYFRFLPDGKKSPNVEIPLPVPTMMHDFAITENFVVIPDQQVVFKLQEMIIGGSPVIYDKNKKSRFGILSKNASDAKDIIWVESPDTFCFHLWNAWEEPESDEVVVIGSCMTPPDSIFNECDESLKSVLSEIRLNLKTGESIRRPIISESEQVNLEAGMVNRNRLGRKTRYAYLAIAEPWPKVSGFAKVDLFTGEVKKHIYGDRRYGGEPFFLPRDDNCESAEDDGYILSFVHDEKTWKSELQIVNAMNLQLEASIKLQSRVPYGFHGTFIDAKALVNQA >EOY27323 pep chromosome:Theobroma_cacao_20110822:6:21215892:21219694:-1 gene:TCM_046843 transcript:EOY27323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 1 MAAEPVNVNEFRELARRALPKMCYDFYSGGAEDQYTLKENEEAFGKIIILPRILRDVSGIDLSTTVLGYNISMPVMIAPTGMHKLANPAGEIATAKAASACKTIMVLSSASTCTLEEVAACCNAVRFFQLYVYKRRDISAKLVQRAENNGYKAIVLTADSPRLGRREADIKNKLVVPQPKNLEGLLSTKSVSDGGSGLEALARGTLDPSFCWEDIRWLKSITNLPILIKGVLTHEDAIKALEVGVAGIIVSNHGARQLDYSPATISVLEEVVHAVGGKVPVFLDGGVRRGTDIFKAVALGAQAVLVGRPVLYGLAAKGEYGVRQVLEMLMDELEITMALSGCSSVKEITRSHVRTKHEQLLSML >EOY27324 pep chromosome:Theobroma_cacao_20110822:6:21215864:21220164:-1 gene:TCM_046843 transcript:EOY27324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein isoform 1 MAAEPVNVNEFRELARRALPKMCYDFYSGGAEDQYTLKENEEAFGKIIILPRILRDVSGIDLSTTVLGYNISMPVMIAPTGMHKLANPAGEIATAKAASACKTIMVLSSASTCTLEEVAACCNAVRFFQLYDGGSGLEALARGTLDPSFCWEDIRWLKSITNLPILIKGVLTHEDAIKALEVGVAGIIVSNHGARQLDYSPATISVLEEVVHAVGGKVPVFLDGGVRRGTDIFKAVALGAQAVLVGRPVLYGLAAKGEYGVRQVLEMLMDELEITMALSGCSSVKEITRSHVRTKHEQLLSML >EOY28425 pep chromosome:Theobroma_cacao_20110822:6:25318449:25323070:1 gene:TCM_029995 transcript:EOY28425 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 1 MESSEVNMSRDSSQLDESARGSSSPDVALERVLSLLKSHRDRSSVSLVCKDWYNAERWSRTHVFIGNCYSVSPEIVARRFPKIRSVTLKGKPRFSDFNLVPQNWGADIHPWLVVFAAKYPFLEELRLKRMTISDESLEFLAVSFPNFKALSLLSCDGFSTDGLAIIATHCKNLTELDIQENGIDDKGGSWLSCFPESFTSLEALNFANLTSDINFDALERLVGRCKSMRVLKVNRSISLEQLQRLLVNALQLAELGTGSFSQELTFRQYEELESTLSSSKNIHTLSGLWEAKGLHLPALYPVCTHLTFLNLSYAPLQSGELAELLAHCPQLRRLWVLDTVEDEGLEAVGSSCPLLEELRVFPADPFDEDIIHGVTEAGFVAVSYGCPRLHSVLYFCRQMTNAAVATIVQNCPDFTHFRLCIMDPGQPDYLTNEPMDEAFGAVVKTCTKLQRLSVSGLLTDLTFEYIGRYAKNLETLSVAFAGSSDWGMQCVLTGCPKLRKLEIRDCPFGNAALLSGLDKYESMRSLWMSACNVTMDGCRQLAREMPRLNVEVMKEDGSDDSEADKVYVYRSIAGPRRDAPPFVLTL >EOY28426 pep chromosome:Theobroma_cacao_20110822:6:25319492:25332657:1 gene:TCM_029995 transcript:EOY28426 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein isoform 1 MESSEVNMSRDSSQLDESARGSSSPDVALERVLSLLKSHRDRSSVSLVCKDWYNAERWSRTHVFIGNCYSVSPEIVARRFPKIRSVTLKGKPRFSDFNLVPQNWGADIHPWLVVFAAKYPFLEELRLKRMTISDESLEFLAVSFPNFKALSLLSCDGFSTDGLAIIATHCKNLTELDIQENGIDDKGGSWLSCFPESFTSLEALNFANLTSDINFDALERLVGRCKSMRVLKVNRSISLEQLQRLLVNALQLAELGTGSFSQELTFRQYEELESTLSSSKNIHTLSGLWEAKGLHLPALYPVCTHLTFLNLSYAPLQSGELAELLAHCPQLRRLWVLDTVEDEGLEAVGSSCPLLEELRVFPADPFDEDIIHGVTEAGFVAVSYGCPRLHSVLYFCRQMTNAAVATIVQNCPDFTHFRLCIMDPGQPDYLTNEPMDEAFGAVVKTCTKLQRLSVSGLLTDLTFEYIGRYAKNLETLSVAFAGSSDWGMQCVLTGCPKLRKLEIRDCPFGNAALLSGLDKYESMRSLWMSACNVTMDGCRQLAREMPRLNVEVMKEDGMMVFKQIKYMFIVLLQAQGGMRHHLCLLSEMLAVKSYAGDDIPFCRHRVGLMFIQQSNSVKA >EOY26548 pep chromosome:Theobroma_cacao_20110822:6:13905803:13908660:1 gene:TCM_028351 transcript:EOY26548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin 4 isoform 1 MARLFSNAVIKGIAGLSAARSSTKALGSFYRDGLKYSTTVPGDPDTHEDFRPTNKVQGSPVSLKDVVEQDVKENPVMIYMKGVPDFPQCGFSSLAVRVLKHYNVPLSARNILEDPELKTAVKAFSHWPTFPQIFINGEFIGGSDIILNMHQSGELKDKLKGIAASPKSE >EOY26549 pep chromosome:Theobroma_cacao_20110822:6:13905587:13908710:1 gene:TCM_028351 transcript:EOY26549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin 4 isoform 1 MARLFSNAVIKGIAGLSAARSSTKALGSFYRDGLKYSTTVPGDPDTHEDFRPTNKVQGSPVSLKDVVEQDVKENPVMIYMKGVPDFPQCGFSSLAVRVLKHYNVPLSARNILEDPELKTAVKAFSHWPTFPQIFINGEFIGGSDIILNMHQSGELKDKLKGIAASPKSE >EOY27890 pep chromosome:Theobroma_cacao_20110822:6:23433580:23454611:-1 gene:TCM_029608 transcript:EOY27890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase, putative isoform 2 MGSFGGVSMTVFHLMILTLVLMCFRTNNVEAQVEPPLPPDSEMEALNEIAAELGKKGWNFTENPCNNRSSWFTPPPPPNVAGVTNNSTVTCNCSFPNGECHIDGIYLRGQDLNGVLPRSLSKLSYLKTIDLNRNYINGTIPREWATMELELISVSMNRLSGPIPGFLGNITTLVYLSLENNQFSGSIPHELGKLVNLENLILSANFLTGEFPLALSNLSKLTELRISSNNFTGQIPDIFPSWKQLEKLEIQAGGFEGPIPPSLAVLNNLKELRISDLHGEGSKFPNLQNMTNMNRLMLRSCNISGSIPKYIWEYSQLQILDLSFNRLEGKIADSNSLVSTQYMYLTGNLLTGPIPEWLNTRDGRYQIDLSYNNFSESSEQASCRENLNLFKSSSEGKNLGLDKCLKNFPCSKDWYSVHINCGGGATTIGDINYEEDEDAGGPAKYFPIKETWETSSTGLFWDTSVSAKDYIAQNVSLLRTNNSNLYTTARLSPLSLTYFVRCLANGNYTVTLHFAEIVNRQNSSFRSLGRRIFDVYVQEKRELQDFNIENEAKGVDKEVIRRIKTVVRDKTLAIRFHWAGKGTTGIPKRGTYGPLISAISVDSDFKPPVANDWKRKMKFVVAAAVSVPCLLLVILGILWWKGCFEAKVSREQVLRGLDLQTGFFTFRQMKAATNNFDAANKLGEGGFGSVYK >EOY27889 pep chromosome:Theobroma_cacao_20110822:6:23445533:23454611:-1 gene:TCM_029608 transcript:EOY27889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase, putative isoform 2 MGSFGGVSMTVFHLMILTLVLMCFRTNNVEAQVEPPLPPDSEMEALNEIAAELGKKGWNFTENPCNNRSSWFTPPPPPNVAGVTNNSTVTCNCSFPNGECHIDGIYLRGQDLNGVLPRSLSKLSYLKTIDLNRNYINGTIPREWATMELELISVSMNRLSGPIPGFLGNITTLVYLSLENNQFSGSIPHELGKLVNLENLILSANFLTGEFPLALSNLSKLTELRISSNNFTGQIPDIFPSWKQLEKLEIQAGGFEGPIPPSLAVLNNLKELRISDLHGEGSKFPNLQNMTNMNRLMLRSCNISGSIPKYIWEYSQLQILDLSFNRLEGKIADSNSLVSTQYMYLTGNLLTGPIPEWLNTRDGRYQIDLSYNNFSESSEQASCRENLNLFKSSSEGKNLGLDKCLKNFPCSKDWYSVHINCGGGATTIGDINYEEDEDAGGPAKYFPIKETWETSSTGLFWDTSVSAKDYIAQNVSLLRTNNSNLYTTARLSPLSLTYFVRCLANGNYTVTLHFAEIVNRQNSSFRSLGRRIFDVYVQEKRELQDFNIENEAKGVDKEVIRRIKTVVRDKTLAIRFHWAGKGTTGIPKRGTYGPLISAISVDSDFKPPVANDWKRKMKFVVAAAVSVPCLLLVILGILWWKGCFEAKVSREQVLRGLDLQTGFFTFRQMKAATNNFDAANKLGEGGFGSVYKGVLLDGTIIAVKQLSSKSRQGDREFLNELSMIAGLQHPNLVKLYGCCIEGNQLLLVYEYLENNSLYRALFGPNESRSKLDWPTRQKICLGIAKGLAFLHEESSLKIVHRDIKTTNVLLDMDLNAKISDFGLAKFDEEENTHISTRVAGTIGYMAPEYALWGYLTYKADVYSFGIVALETVAGKKNTRYGPEEDFVCLQDWALVLQQKGNLMELVDPSLGGEFNKEEAVRMIKVALLCTNPSPALRPNMSEVVKMLKGRTHVPELIMDPSIFGDELRLGALRDQFNQMQPRKGGESSTFTHSSDSGVLPGSSSMSF >EOY27471 pep chromosome:Theobroma_cacao_20110822:6:21953548:21954889:1 gene:TCM_029313 transcript:EOY27471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I subunit F MSLTIPTNLSKPLLKPKLNSQVTPKVSRSLVVCSSTPSSDKSSTSPSASPLQAFSAALALSSILLSAPQPAVADISGLTPCKESKQFAKREKQQIKKLESSLNLYAPDSAPALAIKATIEKTKRRFDNYGKYGLLCGSDGLPHLIVNGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAIRDDKKPAMKEIIIDVPLASSLLFRGFIWPVAAYRDLVNGDLIAKDV >EOY27287 pep chromosome:Theobroma_cacao_20110822:6:21064616:21066368:1 gene:TCM_029165 transcript:EOY27287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase family protein isoform 1 MKVCITQFLTRFLFFSVLIIFRCCSSNGSGEKERTLGIIKPDGLSGNYTDRIRKVILESGFHINKEMIIQLDEVNAADFYAEHSSKSFFTSLIKYMTSGPVLVMILEKEDAVAHWRHLIGPTDAGKAKITHPQRNKD >EOY27285 pep chromosome:Theobroma_cacao_20110822:6:21064715:21067271:1 gene:TCM_029165 transcript:EOY27285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase family protein isoform 1 MKVCITQFLTRFLFFSVLIIFRCCSSNGSGEKERTLGIIKPDGLSGNYTDRIRKVILESGFHINKEMIIQLDEVNAADFYAEHSSKSFFTSLIKYMTSGPVLVMILEKEDAVAHWRHLIGPTDAGKAKITHPQSIRAMCGVDLEKNCVHGSDSHQSAQREIAFFFKETPPDEAVRKHDEL >EOY27286 pep chromosome:Theobroma_cacao_20110822:6:21064616:21067346:1 gene:TCM_029165 transcript:EOY27286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase family protein isoform 1 MKVCITQFLTRFLFFSVLIIFRCCSSNGSGEKERTLGIIKPDGLSGNYTDRIRKVILESGFHINKEMIIQLDEVNAADFYAEHSSKSFFTSLIKYMTSGPVLVMILEKEDAVAHWRHLIGPTDAGKAKITHPQSIRAMCGVDLEKNCVHGSDSHQSAQREIAFFFKETPPDEAVRKHDEL >EOY26472 pep chromosome:Theobroma_cacao_20110822:6:12384640:12385544:-1 gene:TCM_028206 transcript:EOY26472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQISDLSELEALFCFLDGLKPWARQMLQLSGVQDITTTMAAIKSLIEFRKGDVKKDAGKGKAKVLANAKPLSRGITSWQGSTWGIDQGNRMEDKGKRPLKCFLCDGPHLPSHSKGLMFANIKVVGKKLNALVDTGASNLFAFVETVKMLGLDTKARAAHIKTVNSNEIPTIGTASNMDVRLGEWVGKKTTEVIPLDDYDFVIGLDFVDRINAMIVPFSNYIVILDSRG >EOY28766 pep chromosome:Theobroma_cacao_20110822:6:26489363:26490048:-1 gene:TCM_030279 transcript:EOY28766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific Stig1 family protein, putative MKSSKIMFTWLGLGIVALAITLSAVQTVAQLHSTTNEENPNDHFPLPKTEEPSYHQGRIGRFLADGPRAPARMTCDKYPTVCRARGSPGSDCCNKQCVNVMTDKGNCGKCGKRCSYSEMCCQGRCVNPSVDEYHCGRCNNACKKGSSCLYGLCSYAN >EOY26659 pep chromosome:Theobroma_cacao_20110822:6:16740947:16744296:-1 gene:TCM_028605 transcript:EOY26659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myristoyl-acyl carrier thioesterase, chloroplastic-like protein MLCAYFRLTVNSLLFLIWTDFSKVGGESSIQGLITSAASNIHQVQCFHLTIMAASSNIMTSKFFMAISPSSWNSTNKSKICLQQIDTSSNTNGKMVKFTRDSSLKVKLQAQALLTNDSRATSMIESLKDEEMMTSPPATMEHLTTEGRLINDGLVFQQNFSIRSFEIDSEYKVSARAIMNYLQESSLNHRKKMGMSSDSLVGVTPEMIKRDLLWIFRGMCIEVDRYPSWADVVQIYHRIYTSGRTGLRLEWIVNDSKTGETLVRASCLAVMMNKKTRKTCKFPEEVKQELKPYLTTDAEPLFEADKILCPQVGKMDNIRTGLTSYWHDLDFNYHVNNAKYLDWILEGTPTSLIHSHELSRVSLQYRKECLKDDVIQSLSRVVTKETGLSTNNQEIELEHVLRLESGPELAGARTAWRPKSICRQTIN >EOY26814 pep chromosome:Theobroma_cacao_20110822:6:18024001:18026925:1 gene:TCM_028770 transcript:EOY26814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane phosphoprotein 14 kDa MASITASLPPPLFLQASKTLFRTLQKPPVPCIRERQNCAFVVKATGESSESSTSLSIVKSVQNVWDKSDEDRVGLIGLGFATIVALWASANLVSAVDKLPLIPNVLEVIGILFSLWFIYRYLLFKPDREELFQIIIKSISEIFGR >EOY28348 pep chromosome:Theobroma_cacao_20110822:6:25090070:25094178:1 gene:TCM_029945 transcript:EOY28348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGQEERYFYSWPPVGAPLNVQREDHWRHFDNSVNAVSFGFVATAILISMFLVMAIFERFLRPNSSHGGRNHGDLESQLGFNGKLSHPSPKAMSHLRSEGSAEVDWLVPDQRVQQIDGTPDFVLKDPCLHPGILRHNVPGGALSSSCWGTLKLMTIYTSGVSVLMPGDEIPTFIAHPAPVPCHPERVLPHHQRNSSANPASNSSEVFYETSKTIQSGSELPFLSFSSIVTLDPDDGHGLAIEWIILHVKNLRYKIVVKYGRKFQGQFVTDEMENNIKNSKFEPPFSTMPLKYMTVI >EOY27334 pep chromosome:Theobroma_cacao_20110822:6:21292669:21295041:1 gene:TCM_029204 transcript:EOY27334 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate-dependent dioxygenase family protein, putative isoform 1 MNRGRGRTTNGYSGRGGRSWRSGGYFDHGRSPVGAERHSGEDSCYYQDDGMSQSRGRHLQKMSPASGSSYSKHDSTAAFGYKPKKPFPDGTGLKWQNDPPQASDTSGAVLKDDFPSLSCQLDSKGSQPYAGRTQVEPLPVEETENCASVLHHDFSRRVNFSCLQDESEPSESSQKMSPQNSAGFGDSVHTECQVVVDPFDICLSKAGTPVMLKPSLLVKNREKRNEIKRSMEGQNGIVLRSGMVLLKKYLSLSDQVKIVKACRELGFGSGGFYQPGYRDGAKLHLKMMCLGKNWDPETGNYEDLRPIDCAVPPHIPREFYLLVEKAIKDSHALLQQKAIASHVEDILPWMSPNICIVNFYSASGRLGLHQFDDQRMDI >EOY27333 pep chromosome:Theobroma_cacao_20110822:6:21292719:21295716:1 gene:TCM_029204 transcript:EOY27333 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate-dependent dioxygenase family protein, putative isoform 1 MNRGRGRTTNGYSGRGGRSWRSGGYFDHGRSPVGAERHSGEDSCYYQDDGMSQSRGRHLQKMSPASGSSYSKHDSTAAFGYKPKKPFPDGTGLKWQNDPPQASDTSGAVLKDDFPSLSCQLDSKGSQPYAGRTQVEPLPVEETENCASVLHHDFSRRVNFSCLQDESEPSESSQKMSPQNSAGFGDSVHTECQVVVDPFDICLSKAGTPVMLKPSLLVKNREKRNEIKRSMEGQNGIVLRSGMVLLKKYLSLSDQVKIVKACRELGFGSGGFYQPGYRDGAKLHLKMMCLGKNWDPETGNYEDLRPIDCAVPPHIPREFYLLVEKAIKDSHALLQQKAIASHVEDILPWMSPNICIVNFYSASGRLGLHQDRDESPESLHKRLPVVSFSIGDSAEFLYGDQRDVDKAEKVELESGDVLIFGGNSRHIFHGVTAIKQNTAPRALVDETNLRPGRLNLTFREY >EOY26692 pep chromosome:Theobroma_cacao_20110822:6:17316057:17319605:1 gene:TCM_028663 transcript:EOY26692 gene_biotype:protein_coding transcript_biotype:protein_coding description:To encode a PR protein, Belongs to the plant thionin family with the following members:, putative MEKRGVSAVLMVCLVLGTLVGQSTAQGTILCYAACFIPCMADSTTTTFYCAAKCLKDCILPKSTVGGIKDTQYFCKLGCATALCTNISTKEDPGQSTAQGTNVLCYAACFIPCMADPNTTTFYCTIKCLKNCILPKSTVGGIKDTQYFCKLGCATALCTNISTKEDPGEKKVGSCVDACSATCAKKN >EOY27713 pep chromosome:Theobroma_cacao_20110822:6:22915785:22917670:-1 gene:TCM_029495 transcript:EOY27713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVESLNGIFKKFKASVELESGCKVKCLRIDNGGEFNSDEFEAFLSIVGIKHQFTVPYSLQQNGVCERKNRTILNMARRLLFEKSMPKEFWAKAANTVVYLQNILPTKALEKLTPYEAWYNVKPTVNHLKVFGCICYVHVPKAKRTKLKPKAELRVFLGYSLQSKGYKVFNLSSKKDSGTCPRVPREGNGACPLQNPRIGVQRA >EOY26380 pep chromosome:Theobroma_cacao_20110822:6:8483563:8527207:-1 gene:TCM_027902 transcript:EOY26380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRNFHQARDNRRDVSIDTHYSECRSKPRKIGIGLACNRRRVRSIVDSGVHRHEIVRRDVNSNHTSEDTLRASPTEESFENSESLESEDSSDTSETVRNFLLKQSEEWERECTRKAIARGDIHPRKVSGVRHFPLGCGIGAALVSVEEYKRIQQAWIKEQRRKSQEEEEDPKEDPSMCPDQDDENPKDT >EOY27439 pep chromosome:Theobroma_cacao_20110822:6:21731245:21740617:-1 gene:TCM_029285 transcript:EOY27439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MEKKKYPIGPEYYTLHEEVGQGVSASVHRAVCIPFNEIVAIKILDFERDNCDLNNISREAQTMILVDHPNVLKSHCSFVSDHNLWVVMPYMAGGSCLHILKAAYPDGFEEVVIATVLREVLKGLEYLHHHGHIHRDVKAGNILIDSRGAIKLGDFGVSACIFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDRKFSKSFKQMIASCLVKDPLKRPSAKKLLKHSFFKQARSNDYIARTLLDGLPALGDRIQALKRKEEDMLAQKKMPDGEKEELSQNEYKRGISGWNFNLEDMKAQASLIQDEDLVSDTNQGGSSSSLSTLDGQDKQSECQTSSQPLDKEDNDPVQNQPTPVAAVEPTINIAKVRFERSDDDSSVASPSHEHHAISPHHDDHVESNLGEKSVLEINGKSSDNMSKPFYQRTTSFSGSTSIPETIVPPIKGESDKQNQPQNIFVGNGAAVPAGGEDSISDLHSKASKSSAVNSDDLDEKAKPPVVQQRGRFKVTSENVDLEKVAPAPILQKSHSMQVLTPHPVVSLAPPPSDAASSTLAAHHLFPLLQSVLQTNILQRENILNLIKHISAVDSTVNRAFEGVCTPANVAVTEKSLLEAAHDRERELLHEITELQWRLICAQEELQKYKTENAQV >EOY27436 pep chromosome:Theobroma_cacao_20110822:6:21730580:21740918:-1 gene:TCM_029285 transcript:EOY27436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MEKKKYPIGPEYYTLHEEVGQGVSASVHRAVCIPFNEIVAIKILDFERDNCDLNNISREAQTMILVDHPNVLKSHCSFVSDHNLWVVMPYMAGGSCLHILKAAYPDGFEEVVIATVLREVLKGLEYLHHHGHIHRDVKAGNILIDSRGAIKLGDFGVSACIFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDRKFSKSFKQMIASCLVKDPLKRPSAKKLLKHSFFKQARSNDYIARTLLDGLPALGDRIQALKRKEEDMLAQKKMPDGEKEELSQNEYKRGISGWNFNLEDMKAQASLIQDEDLVSDTNQGGSSSSLSTLDGQDKQSECQTSSQPLDKEDNDPVQNQPTPVAAVEPTINIAKVRFERSDDDSSVASPSHEHHAISPHHDDHVESNLGEKSVLEINGKSSDNMSKPFYQRTTSFSGSTSIPETIVPPIKGESDKQNQPQNIFVGNGAAVPAGGEDSISDLHSKASKSSAVNSDDLDEKAKPPVVQQRGRFKVTSENVDLEKVAPAPILQKSHSMQVGTLEVLTPHPVVSLAPPPSDAASSTLAAHHLFPLLQSVLQTNILQRENILNLIKHISAVDSTVNRAFEGVCTPANVAVTEKSLLEAAHDRERELLHEITELQWRLICAQEELQKYKTENAQV >EOY27438 pep chromosome:Theobroma_cacao_20110822:6:21731553:21740079:-1 gene:TCM_029285 transcript:EOY27438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MEKKKYPIGPEYYTLHEEVGQGVSASVHRAVCIPFNEIVAIKILDFERDNCDLNNISREAQTMILVDHPNVLKSHCSFVSDHNLWVVMPYMAGGSCLHILKAAYPDGFEEVVIATVLREVLKGLEYLHHHGHIHRDVKAGNILIDSRGAIKLGDFGVSACIFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDRKFSKSFKQMIASCLVKDPLKRPSAKKLLKHSFFKQARSNDYIARTLLDGLPALGDRIQALKRKEEDMLAQKKMPDGEKEELSQNEYKRGISGWNFNLEDMKAQASLIQDEDLVSDTNQGGSSSSLSTLDGQDKQSECQTSSQPLDKEDNDPVQNQPTPVAAVEPTINIAKVRFERSDDDSSVASPSHEHHAISPHHDDHVESNLGEKSVLEINGKSSDNMSKPFYQRTTSFSGSTSIPETIVPPIKGESDKQNQPQNIFVGNGAAVPAGGEDSISDLHSKASKSSAVNSDDLDEKAKPPVVQQRGRFKVTSENVDLEKVAPAPILQKSHSMQVGTLEVLTPHPVVSLAPPPSDAASSTLAAHHLFPLLQSVLQTNILQRENILNLIKHISAVDSTVNRAFEGVCTPANVAVTEKSLLEAAHDRERELLHEITELQWRLICAQEELQKYKTENAQV >EOY27437 pep chromosome:Theobroma_cacao_20110822:6:21731245:21740617:-1 gene:TCM_029285 transcript:EOY27437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MEKKKYPIGPEYYTLHEEVGQGVSASVHRAVCIPFNEIVAIKILDFERDNCDLNNISREAQTMILVDHPNVLKSHCSFVSDHNLWVVMPYMAGGSCLHILKAAYPDGFEEVVIATVLREVLKGLEYLHHHGHIHRDVKAGNILIDSRGAIKLGDFGVSACIFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDRKFSKSFKQMIASCLVKDPLKRPSAKKLLKHSFFKQARSNDYIARTLLDGLPALGDRIQALKRKEEDMLAQKKMPDGEKEELSQNEYKRGISGWNFNLEDMKAQASLIQDEDLVSDTNQGGSSSSLSTLDGQDKQSECQTSSQPLDKEDNDPVQNQPTPVAAVEPTINIAKVRFERSDDDSSVASPSHEHHAISPHHDDHVESNLGEKSVLEINGKSSDNMSKPFYQRTTSFSGSTSIPETIVPPIKGESDKQNQPQNIFVGNGAAVPAGGEDSISDLHSKASKSSAVNSDDLDEKAKPPVVQQRGRFKVTSENVDLEKVAPAPILQKSHSMQVLTPHPVVSLAPPPSDAASSTLAAHHLFPLLQSVLQTNILQRENILNLIKHISAVDSTVNRAFEGVCTPANVAVTEKSLLEAAHDRERELLHEITELQWRLICAQEELQKYKTENAQV >EOY28199 pep chromosome:Theobroma_cacao_20110822:6:24524132:24526937:1 gene:TCM_029831 transcript:EOY28199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein MGESSAPSGYKEYLAGLLAGVATVITGHPFDTVKVKLQKHNTEVHGIKYRNGLHCTARILATEGVRGLYTGATSSFVGVAFESSLLFGIYSQTKQSLQGGVQSTGPQPQVIIPSAAFGGAIISFILCPSELVKCRMQVQGTDSVVPKSCSYSSPLDCALKTIKGDGVTGIFRGGSTTLLRESLGNAVFFSVYEYVRHYLHLQLNTGSFNHSNLINMGIGILSGGLGGVAFWSAVLPLDVAKTIIQTAPDKSSPTNPFQVLNSIYRRAGLRGCYAGLGPTIVRAFPANAAAIVTWELAMKLLEIKND >EOY28371 pep chromosome:Theobroma_cacao_20110822:6:25158130:25164565:-1 gene:TCM_029957 transcript:EOY28371 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP-specific phosphatase HAL2-like isoform 1 MEVMEDHRNLALSSPGPEKYSKELDVAVRAVQMACSLCQKVQESLISKANSPVHSKDDNSPVTVADWSVQATVSWILCESFGRRNVSMLAEEDVQSLSKTDATGLLDAVVKTVNDCLTEAPLFGLKGPETPLGSSDVLEAISRCNSNRGPTGRFWALDPVDGTLGFVRGDQYAVALALIEDGEVVLGVLGCPNYPMKKEWLSYHHRYHRIISKLTPPASESWDKGCVIYARKGSGEAWMQPLCQKNKLLAWPNSAIPVRVSSIDNPALATFCEPVEKSNSSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDAEIFMKFARTGYREKIWDHAAGVVIMQEAGGVVTDAGGSPLDFSKGIYLEGLDRGIIACSGAKLHEKIIRAVDASWNSSCL >EOY28370 pep chromosome:Theobroma_cacao_20110822:6:25158130:25169547:-1 gene:TCM_029957 transcript:EOY28370 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP-specific phosphatase HAL2-like isoform 1 MPLNCSSLVFRVPHILGQTRITNTKKLTSTTYIGNCFPKHRKETPQNKSFHIVSQLKFNQNYSSSSSSSSSVMEDHRNLALSSPGPEKYSKELDVAVRAVQMACSLCQKVQESLISKANSPVHSKDDNSPVTVADWSVQATVSWILCESFGRRNVSMLAEEDVQSLSKTDATGLLDAVVKTVNDCLTEAPLFGLKGPETPLGSSDVLEAISRCNSNRGPTGRFWALDPVDGTLGFVRGDQYAVALALIEDGEVVLGVLGCPNYPMKKEWLSYHHRYHRIISKLTPPASESWDKGCVIYARKGSGEAWMQPLCQKNKLLAWPNSAIPVRVSSIDNPALATFCEPVEKSNSSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDAEIFMKFARTGYREKIWDHAAGVVIMQEAGGVVTDAGGSPLDFSKGIYLEGLDRGIIACSGAKLHEKIIRAVDASWNSSCL >EOY28623 pep chromosome:Theobroma_cacao_20110822:6:26069937:26070729:1 gene:TCM_030174 transcript:EOY28623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycoprotein family, putative MGKWKICCGVTAILFIIVVVVFVILTFTLFKPKDPKITPQSVSLKSIDLVVFPVIKGNVSLGLVVTVDNPNYGGFKYMNSTAYVNYRGNLVGEASIESDSIPARAKHNMSTTVIIFADRLATDPNFLTDFLGGVLNFTSSTILHGTVRVLNLLKLKASSSSSCNISILVQTESVDSVCKAKIRL >EOY25485 pep chromosome:Theobroma_cacao_20110822:6:223321:228209:1 gene:TCM_026902 transcript:EOY25485 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein MLGGLYGDLPPPSDEDNKPSTNSTVWSSSTKMAPPTLRKPFSGFAPPQTILRSQNKPKNSIPKTTPSVSASASPSPTPVAPDEMAQQQPALVGVTSTVMEEYDPARPNDYEDYRRERKRKAMEEEMRRELERRRREEEEREREREEREREREREREGDYNDSRLNISGEEAWRRRAAMSGGVPRSPSPPGNAEGFTIGKSETSGLGVGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITTPLMAKKTDRRAGVIVNASETKPDKKVKSVSFNGPPTRVLLLRNMVGPGEVDDELEDEVGSECAKYGSVTRVLIFEITEPNFPVEEAVRIFIQFERSEETTKALIDLDGRYFGGRVVKASFYDEERFSKNELAPMPGEIPGFS >EOY25809 pep chromosome:Theobroma_cacao_20110822:6:2013852:2015291:1 gene:TCM_027172 transcript:EOY25809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNYNTSCACGTQGQTIKRENDTSTGRKWVLKAFCDKLEKDWEKERVKMKEKKRTMSGKNGLALLQQDHFFFS >EOY26704 pep chromosome:Theobroma_cacao_20110822:6:17442177:17452607:-1 gene:TCM_028677 transcript:EOY26704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLLCFQTTIFTVNLSPATQTQAIEEGRKELMEMIRNMPEFSYELSLKDMVDEQHASEEVKGKAVSEDESFCSETEAQTKKQKKKKRKKRKAGPISRSGGMEADSFLIKMFFPSSLSFKKNQRLKIAPRFPLVHPLRDLGSLTKSNGGLKGFSSGGIIKTEMITAAATAVQAVLPSDPSSSCPARLLPSSTTQIEAFFP >EOY26190 pep chromosome:Theobroma_cacao_20110822:6:5400006:5403126:1 gene:TCM_027608 transcript:EOY26190 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MGAERDYTVTVSKKEVVAAVLPLQEHWLPFSNLDLLLPAVDVGVFFCYKKAVGVGDAMSFGSMVSVLKKAMAQALVSYYAFASEVVPNTVGEPELVCNNRGVDFIEAYADVELRNLDLHNPDESIEGKLVPKKKQGVLSVQATELRCGGLVVACTFDHRIADAYSANMFLVSWAEMARSKSISVVPSFRRSLLNPRRPGRIDPSLDDMYMPISSLPPPKQHHQATDQLISRIYYIAAEQLNELQSLACSNGYKRTKIESFSAFLWKMVALVAAKDDDSEVTKMGIVVDGRGRLGEGDKDRASLMSCYFGNVLSIPYGSQRVNELIERPLSWVANQVHNFLDQAVTKEHFLGLIDWVEAHRPEPALAKIYSNGSDDGPAFVVSSGQRFPVSKVDFGWGCPVFGSYHFPWGGDAGYVMPMPSPAREGDWVVYMHLFKRQLDLIETEASHVFRPLTFDYLDFSGSN >EOY26954 pep chromosome:Theobroma_cacao_20110822:6:19348922:19350102:-1 gene:TCM_028908 transcript:EOY26954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidative stress 3, putative isoform 2 MISKMAQADLKGMFQAPGFVEKKDKHDEKHGDHCWMNVEDDDDDDDDVITTTSESSLGEYSRTSQGSTSCSSDLVDDASSSTSNSSTICNGPLYEMSELMAQLPIKRGLSKYFQGKSQSFTSLSSVKSLEDLAKKETPYRKKMKACKSYGGGLDTHKFYPLPRATISKKVSRNSLSLSFPGRRSSFLSGRPPPIPVQKNFSSV >EOY26953 pep chromosome:Theobroma_cacao_20110822:6:19348881:19349988:-1 gene:TCM_028908 transcript:EOY26953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidative stress 3, putative isoform 2 MFQAPGFVEKKDKHDEKHGDHCWMNVEDDDDDDDDVITTTSESSLGEYSRTSQGSTSCSSDLVDDASSSTSNSSTICNGPLYEMSELMAQLPIKRGLSKYFQGKSQSFTSLSSVKSLEDLAKKETPYRKKMKACKSYGGGLDTHKFYPLPRATISKKVSRNSLSLSFPGRRSSFLSGRPPPIPVQKNFSSV >EOY28495 pep chromosome:Theobroma_cacao_20110822:6:25512576:25517829:1 gene:TCM_030038 transcript:EOY28495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor kinase 3 isoform 1 MKFSFSLVIYYVFTSLAFQIPSATAADTLAANNTLKDGQTLVSSGQRFEFGFFSLGSSSRRYLGIWYKNINPLTVVWVANRDDPITSSSGSLVFNPQGALSLSNGTVFIWFVNVTRALSNPVLQLLDNGNLVLTGDGGDYLWQSFDYITDTLLPGMKLGWNLKTGLKRDMTSWLSSDDPATGEFTFSLDPPEAPELVLRKGDQKEYRWGPWDGVRFSGSNELRPNPVYTPEFNSSREEIYYTFKVDDSSILSRFIVTSQGLLQYLTWTNHSNEWALMVTLQRDSCDRYESCGPYGNCYADDPNCRCLRGFTPKSPESWRLIDWSDGCVRKRGLDCQNGDGFVKYDRMKLPDNSHLVTNRNFSLSLEECEAECLKNCSCMAYTKIDIHGNGGDCVMWFGDLVDMKYFPNGGSNLYIRMAQAELESIADAKRKKRVKVAALITMSIVLGMLLGVLVWRIYLTRKAKIRRAAISENNSYRDTNDETQEGDLELPLFGLDVVSAATNKFSFEKKIGEGGFGPVYKGVLPTGQEVAVKRLSQNSGQGLREFKNEVILISKLQHRNLVKLLGCCIQGEERMLIYEYQPNKSLDQFLFDKTRRKFLTWKKRFDIVIGIARGLLYLHQDSRLRIIHRDLKASNILLDGEMNPKISDFGIARIFGEKTQEMTKRVIGTYGYMSPEYAMGGHFSVKSDVFSYGVLVLEIVSGKKNWGFYHPDHDLNLLGHTWKLWNEGNPLELMDELMEDTISENEVVRCIQVGLLCVQQRMEDRPTMSSVLLMLSNESIMVPQPKEPGFCTEISSGGDTSSSVNNLHTANELTVTDLGGR >EOY28496 pep chromosome:Theobroma_cacao_20110822:6:25512884:25516449:1 gene:TCM_030038 transcript:EOY28496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor kinase 3 isoform 1 MKFSFSLVIYYVFTSLAFQIPSATAADTLAANNTLKDGQTLVSSGQRFEFGFFSLGSSSRRYLGIWYKNINPLTVVWVANRDDPITSSSGSLVFNPQGALSLSNGTVFIWFVNVTRALSNPVLQLLDNGNLVLTGDGGDYLWQSFDYITDTLLPGMKLGWNLKTGLKRDMTSWLSSDDPATGEFTFSLDPPEAPELVLRKGDQKEYRWGPWDGVRFSGSNELRPNPVYTPEFNSSREEIYYTFKVDDSSILSRFIVTSQGLLQYLTWTNHSNEWALMVTLQRDSCDRYESCGPYGNCYADDPNCRCLRGFTPKSPESWRLIDWSDGCVRKRGLDCQNGDGFVKYDRMKLPDNSHLVTNRNFSLSLEECEAECLKNCSCMAYTKIDIHGNGGDCVMWFGDLVDMKYFPNGGSNLYIRMAQAELESIADAKRKKRVKVAALITMSIVLGMLLGVLVWRIYLTRKAKIRRAISENNSYRDTNDETQEGDLELPLFGLDVVSAATNKFSFEKKIGEGGFGPVYKGVLPTGQEVAVKRLSQNSGQGLREFKNEVILISKLQHRNLVKLLGCCIQGEERMLIYEYQPNKSLDQFLFDKTRRKFLTWKKRFDIVIGIARGLLYLHQDSRLRIIHRDLKASNILLDGEMNPKISDFG >EOY27025 pep chromosome:Theobroma_cacao_20110822:6:19783421:19786879:1 gene:TCM_028976 transcript:EOY27025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSELSESTTRNTAPNPQLTSQISQANDPPSPYYLHHTDHLGSVVVNPKLTTNNYVAWSRSFLLALSIRNKVGFINGSIPKPSITDDLHPIWNRCNNLIVSWLLNSISQPIASTIFFMESVAEIWNTLKLNYAQPDNTCVCNLQYTLGSVTQRVKIVYAYFIELKCIWEELRNYRPLPHCECGKCNANCFKKFSDQYQKDMVFRFLNGLNESFSAIRSQIILMDPIPSLDKVYSMVLREESQKNMFLQSQPFLESLAMLAATNVKKKPMKDLTCTHCGKKGHVKEKCYRIIRFPEDFKFTKGKPYVKKGAAVKQCYYNG >EOY26478 pep chromosome:Theobroma_cacao_20110822:6:12572778:12593952:-1 gene:TCM_028229 transcript:EOY26478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVNKGSGWNLTCDSHLLCMMPSVKRLLWMMIGMPLVENTQGDAVHYNISPVEDYDTISGEIAPDNDFNILPVALVLSMICFSAD >EOY25472 pep chromosome:Theobroma_cacao_20110822:6:162421:165968:-1 gene:TCM_026895 transcript:EOY25472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein MPRGRGEDGERHMGLLKLVQVLSFLVVFVAGIIIGLATSSHINTYFSSQAQAQLFSTSTATSFRVSSGTTKANCSQKVDCFSMDAFLHPTNLSHKMSDEELFWRASMAPYKNEFPFPRVPKVAFMFLTRGPLPLLPLWERFFKGHEKYFSIYLHTPPAYHLNVSSHSPFYGRQIPSQNVEWGTVLLADAERRLLANALLDFSNERFVLLSESCIPVYNFPTVYKYLIGSTYSFVESYDDPSRYGRGRYSRKMLPDIKLYQWRKGSQWFEMHRLVAIYIISDTKYYTLFRKYCRPACYPDEHYIPTFLNMFHGSLNANRSVTWVDWSMGGPHPAMHGGANITEGFIQAIRKNGTLCSYNEEQTSACYLFARKFAPSALESLLNLSSTVMEF >EOY27755 pep chromosome:Theobroma_cacao_20110822:6:23050000:23051946:-1 gene:TCM_029526 transcript:EOY27755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase-beta-glucanase, putative isoform 1 MAKPIFSLPIFSLFVLFSLLHSGGSLKMANGQKTWCIANPLSSDSELAANIEFACSQLDCRLIEPDGPCFEPDTQMHHASYVMNLYYQTYGRHLANCDFRHSGVVSLTDPSYGNCTFQSGGALAEQEPSGTWCVAKPGTSDDLLQQNINFACNQVDCGPTHSGGACFYPTTLINHASYAMNLYYQTTGRKKSSCDFRETGLLVSNDPSYGNCAYQYSHD >EOY27756 pep chromosome:Theobroma_cacao_20110822:6:23050149:23052528:-1 gene:TCM_029526 transcript:EOY27756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase-beta-glucanase, putative isoform 1 MANGQKTWCIANPLSSDSELAANIEFACSQLDCRLIEPDGPCFEPDTQMHHASYVMNLYYQTYGRHLANCDFRHSGVVSLTDPSYGNCTFQSGGALAEQEPSGTWCVAKPGTSDDLLQQNINFACNQVDCGPTHSGGACFYPTTLINHASYAMNLYYQTTGRKKSSCDFRETGLLVSNDPSYGNCAYQYSHD >EOY27757 pep chromosome:Theobroma_cacao_20110822:6:23050368:23051934:-1 gene:TCM_029526 transcript:EOY27757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase-beta-glucanase, putative isoform 1 MAKPIFSLPIFSLFVLFSLLHSGGSLKMANGQKTWCIANPLSSDSELAANIEFACSQLDCRLIEPDGPCFEPDTQMHHASYVMNLYYQTYGRHLANCDFRHSGVVSLTDPSYGNCTFQSGGALAEQEPSVIWCNNMSRSMTSLGKTWHLMIMGLQGTWCVAKPGTSDDLLQQNINFACNQVDCGPTHSGGACFYPTTLINHASYAMNLYYQTTGRKKSSCDFRETGLLVSNDPSYGNCAYQYSHD >EOY25902 pep chromosome:Theobroma_cacao_20110822:6:2632202:2635043:-1 gene:TCM_027271 transcript:EOY25902 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 6 isoform 1 MEEEEASLSNDQLPEGKCNGEALKERDSGPTELDGQNGLPEGKKEFVAPAVGMEFESYDDAYNYYNCYAKEVGFRVRVKNSWFKRNSREKYGAVLCCSSQGFKRIKDVNRLRKETRTGCPAMIRMRVMDSKRWRVLEVTLEHNHLLGAKIYKSIKKMGSGTKRKLQSSSDAEVRTIKLYRALVIDAGVNGNPNSNAREVRNFSEHPNQLNLRKGDSQAIYNYLCRLQLTNPNFFYLMDLNDEGHLRNVFWVDSHCRASCGYFGDVIYIDNTCLSNRYETPLVALVGINHHGQTVLLGCGLLAGETSECYTWLFKAWLTCMSGQCPQTIITDRCKALQNAIAEVFPKSNHRFSLLHIMKKVPEKLGGLRNYDAIRKTFVKAVYETLKVIEFEAAWGFMVQRFGITDHEWLRSLYEDRDRWAPVYLKDIFFAGMSSSRPGENVSPFFEKYVHKQTPVKEFLDKYELALQKKHKEETLADIESRNSSPTLRTRCSFELQLSKLYTREIFKRFQFEVEEMYSCFSTTQLHVDGPIIIFLVKERVLGEGNRREIRDYEVLYNRTASEVRCICSCFNFCGYLCRHALCVLNFNGVEEIPSKYILSRWKKDYKRLYVPDQGFNNVDVVDRIQWFNQLYRSALQVVEEGAISLDHYKVALQAFEESLNRVHEVEEKQE >EOY25903 pep chromosome:Theobroma_cacao_20110822:6:2632279:2635009:-1 gene:TCM_027271 transcript:EOY25903 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 6 isoform 1 MEEEEASLSNDQLPEGKCNGEALKERDSGPTELDGQNGLPEGKKEFVAPAVGMEFESYDDAYNYYNCYAKEVGFRVRVKNSWFKRNSREKYGAVLCCSSQGFKRIKDVNRLRKETRTGCPAMIRMRVMDSKRWRVLEVTLEHNHLLGAKIYKSIKKMGSGTKRKLQSSSDAEVRTIKLYRALVIDAGVNGNPNSNAREVRNFSEHPNQLNLRKGDSQAIYNYLCRLQLTNPNFFYLMDLNDEGHLRNVFWVDSHCRASCGYFGDVIYIDNTCLSNRYETPLVALVGINHHGQTVLLGCGLLAGETSECYTWLFKAWLTCMSGQCPQTIITDRCKALQNAIAEVFPKSNHRFSLLHIMKKVPEKLGGLRNYDAIRKTFVKAVYETLKVIEFEAAWGFMVQRFGITDHEWLRSLYEDRDRWAPVYLKDIFFAGMSSSRPGENVSPFFEKYVHKQTPVKEFLDKYELALQKKHKEETLADIESRNSSPTLRTRCSFELQLSKLYTREIFKRFQFEVEEMYSCFSTTQLHVDGPIIIFLVKERVLGEGNRREIRDYEVLYNRTASEVRCICSCFNFCGYLCRHALCVLNFNGVEEIPSKYILSRWKKDYKRLYVPDQGFNNVDVVDRIQWFNQLYRSALQVVEEGAISLDHYKVALQAFEESLNRVHEVEEKQE >EOY28524 pep chromosome:Theobroma_cacao_20110822:6:25682457:25686950:-1 gene:TCM_030069 transcript:EOY28524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor-related, putative isoform 3 MSKKKAFSGNTMTLKDFHGGSIPTDLPLPSAPGVIVRPTDRSGYDRAISWGNPIGRPDHRPRPNSSPATRHFDDKTPFLTNSVHIGRNFDEDERKPLDGVSAPRRTISDESFRVPPSGLELKPESAYAGRVSGRHGSAPVSPLSSGAGNSYSSRLPEAAHVGVSSQSAGGNHRPAASGSYPNAWAARKEVSMSVAEPPQSAWSEQSAVSKLAHASALEKVSSGRWQSKLSVQYQKDVDVSKHSEIENGLQSQGYDDKMYSRMNAMGGREYSDATLARHVERGLNIEDEIQGNRKDLPDYERNQAPNYLEVKERKSVIYGEGIQSTRSDGKFVGSELQPSPSVPSEASERPKLKLLPRTKPLDNLESPVIDPKQLNESVVTHAEIGNGSHGNVNTSKPGLAGSESGNQTVERPKLNLKPRSQPVEQLEGNIEKERNALFGGARPRELVLKERGIDDSNQEPGQHPDRVKHSVPRTEKVADQAAPSHGERVENPPVDQRAGRKSERNHRVENERVDMQRRNWRNDNRRNGRETERQPQQQQQQQRQPSPESWRKPADQPKPVSPESAGVRYGKAASAVELAQAFSKSFSDQKTDDRYAGQRGLPGRNPIPFSRLMGPTPRPQINGY >EOY28526 pep chromosome:Theobroma_cacao_20110822:6:25683364:25686941:-1 gene:TCM_030069 transcript:EOY28526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor-related, putative isoform 3 MSKKKAFSGNTMTLKDFHGGSIPTDLPLPSAPGVIVRPTDRSGYDRAISWGNPIGRPDHRPRPNSSPATRHFDDKTPFLTNSVHIGRNFDEDERKPLDGVSAPRRTISDESFRVPPSGLELKPESAYAGRVSGRHGSAPVSPLSSGAGNSYSSRLPEAAHVGVSSQSAGGNHRPAASGSYPNAWAARKEVSMSVAEPPQSAWSEQSAVSKLAHASALEKVSSGRWQSKLSVQYQKDVDVSKHSEIENGLQSQGYDDKMYSRMNAMGGREYSDATLARHVERGLNIEDEIQGNRKDLPDYERNQAPNYLEVKERKSVIYGEGIQSTRSDGKFVGSELQPSPSVPSEASERPKLKLLPRTKPLDNLESPVIDPKQLNESVVTHAEIGNGSHGNVNTSKPGLAGSESGNQTVERPKLNLKPRSQPVEQLEGNIEKERSKCVVWWCSPTRTGAQGERD >EOY28525 pep chromosome:Theobroma_cacao_20110822:6:25682854:25686941:-1 gene:TCM_030069 transcript:EOY28525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor-related, putative isoform 3 MSKKKAFSGNTMTLKDFHGGSIPTDLPLPSAPGVPTDRSGYDRAISWGNPIGRPDHRPRPNSSPATRHFDDKTPFLTNSVHIGRNFDEDERKPLDGVSAPRRTISDESFRVPPSGLELKPESAYAGRVSGRHGSAPVSPLSSGAGNSYSSRLPEAAHVGVSSQSAGGNHRPAASGSYPNAWAARKEVSMSVAEPPQSAWSEQSAVSKLAHASALEKVSSGRWQSKLSVQYQKDVDVSKHSEIENGLQSQGYDDKMYSRMNAMGGREYSDATLARHVERGLNIEDEIQGNRKDLPDYERNQAPNYLEVKERKSVIYGEGIQSTRSDGKFVGSELQPSPSVPSEASERPKLKLLPRTKPLDNLESPVIDPKQLNESVVTHAEIGNGSHGNVNTSKPGLAGSESGNQTVERPKLNLKPRSQPVEQLEGNIEKERNALFGGARPRELVLKERGIDDSNQEPGQHPDRVKHSVPRTEKVADQAAPSHGERVENPPVDQRAGRKSERNHRVENERVDMQRRNWRNDNRRNGRETERQPQQQQQQQRQPSPESWRKPADQPKPVSPESAGVRYGKAASAVELAQAFSKSFSDQKTDDRYAGQRGLPGRNPIPFSRLMGPTPRPQINGY >EOY28058 pep chromosome:Theobroma_cacao_20110822:6:24110775:24114556:-1 gene:TCM_029739 transcript:EOY28058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase MKITMLGSAVAALKKAAAASPSTCASTHLLSRSYYSAGSYPERKVAILGAAGGIGQPLALLMKLNPFVSSLSLYDIANTPGVAADVSHINYPAQVAGYVGEEELGKALEGSDLVIIPAGVPRKPGMTRDDLFNINAGIVKSLCVAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKAKVPVADVDVPVIGGHAGITILPLFSQATPKANLPDDDIEALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACIKGLNGVPDIVECSFVQSSVTELPFFASQVKLGKNGVEKVYGLGLLSEFEKQGLEKLKPELKASIEKGIKFANQN >EOY27536 pep chromosome:Theobroma_cacao_20110822:6:22321367:22322599:-1 gene:TCM_029377 transcript:EOY27536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGTLKFNVDGVVRGSPGDEGIGDLLRDNTGRVKSPLLQVQSESSNAAKWVNDPKNAPWQMRKWVLNIEGLRRQINEWQVVHILSETNKDADNLAKAGVCRSDDLLSVFA >EOY27700 pep chromosome:Theobroma_cacao_20110822:6:22852195:22854247:-1 gene:TCM_029483 transcript:EOY27700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-beta-dioxygenase isoform 1 MVVLSQPALDHYTSIKTCKPTSVFTGLPVINLRDPEAKTLMVKACEEYGFFKLVNHGVPMEFMIRLETEALRFFNLPQSVKDKAGPPEPFGYGSKRIGPNGDVGWIEYLLLNTNPQVTSLKTLTIFRQNPEIFRSAVNDYILAVKRMAFEVLDLMAEGLKIEPRNALSRLLRDEKSDSCFRLNYYPPCPELQALSGRNLIGFGEHTDPQIISVLRSNNTSGLQICLRDGTWVSVPPDQTSFFINIGDALQVMTNGRLKSVRHRVLAESLKSRVSMIYFGGPPLSEKIAPLPSLVAKGEESLYKEFTWWEYKTSAYKSRLADYRLGLFEKNAGH >EOY27701 pep chromosome:Theobroma_cacao_20110822:6:22853082:22854247:-1 gene:TCM_029483 transcript:EOY27701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-beta-dioxygenase isoform 1 MVVLSQPALDHYTSIKTCKPTSVFTGLPVINLRDPEAKTLMVKACEEYGFFKLVNHGVPMEFMIRLETEALRFFNLPQSVKDKAGPPEPFGYGSKRIGPNGDVGWIEYLLLNTNPQVTSLKTLTIFRQNPEIFRSSAVNDYILAVKRMAFEVLDLMAEGLKIEPRNALSRLLRDEKSDSCFRLNYYPPCPELQALSGRNLIGFGEHTDPQIISVLRSNNTSGLQICLRDGTWVSVPPDQTSFFINIGDALQVYIMSTVF >EOY25859 pep chromosome:Theobroma_cacao_20110822:6:2386937:2393150:1 gene:TCM_027224 transcript:EOY25859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin F-box MQEYNIINSLLNACLLERDEDVKFVRMHDVIHDMLLWIARECEALEKKFLVRVGEGSIEAFDVGNWEGVRMPSVKNGIEDLRGNPAYPNLQTLFLIDNKLKGTSELISLEYLDLSYTGIKELPIELNRLSKLKFLKLFETYYVPKIPRQLICRFSKLKRFIMTRSESCGDEMDEDNILNGDNEGLIKELKYLQHLDELIIEIKCIFALESLFSSHKLRGYTEHLCLRDLRKTKVLNALCLANMEHLERLNISCCKSLEEMAIRKMEKELEEGTSSLFPTNINTFSPCFHALSRVCISKCINLTDMAWFIFAPNLKCLSVMFCLRMEGIISEVATVVGVPQPSPFAKLEKLDLREQARKLDLRELLELKSIYWDALPFPCLRQIKEFNCPKLKKLPLNFDSGKQISIEGYEEWWEELQWKDEATRNAFLPSFKQVDWWKERLAHGQVNQFDDSYMYVEINIEREKGCWKEEYTGIYLVEIFMVPAFKSIAGEEMKLGKRHPSEVAKSLPGWERKLMSYKALKKQVKLINPHCNGKKGSRSGDRKLSEGGSNAGNSPAQGTGSLDRELNKINTYYIDKQEDYVIRFRYISMYACIRFSSFKDIVILFFLEVDNPAISSVVIVRLFQSLGSQDIKRSALNLVPMLVIPTKKSNKNRKLYSLQAKAKICDIELPKSYGTRFCGSCYDWLAMVDENMIITLLNPFKDGITIDLPKIEVVKTSSYEYDIQKVILSVDPLSYPDNYVVVVIHGTHYRLAFYKSGQRDWIYLDKDFTLFTDVIFYRSLVYAIGNWNMIVSFDVNDSSLDDTLKPPKLKILVPADKWYQNLDNYSYKAYLVESSKGNLFSIKRDLDFDKDDNYLTKNFKIFKLILDDQSGELLEQKEVKNIDGDVVFVGDNRTLAISILDFPEAEQPNSIYFIDDLFDMYAYQPYGPRDVGIFNIKDETLAEHYQFKPSHKNLSPYTWILPPVDFKLKPV >EOY25752 pep chromosome:Theobroma_cacao_20110822:6:1572161:1587220:-1 gene:TCM_027121 transcript:EOY25752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein, putative MLTSSPSSFSSSSQWKYDVFLSFRGEDTRKSFTDHFYTCLKDHGIITFKDDEKLEQGESVAPKLVEAIRESWCSIIVFSETYASSSWCLNELVEILKQKNESGHKVFPVFYHINPSDLRNQRGNVKEAFAKHEGRHNQDATQRWRNALSEAANISGWHLKDSSESQFIRGIVKKISEKLVPIRSRVPDNLIGIRSRLVELCDKIKFGEDDVRIVGICGMGGIGKTTLAKVVYTQMSGCFEGKCFFAGVREVAMNSGLDSLQKQLLSKMFPGEEFRFSTDYEGNEIICRKLRNKKVIVVIDDAANIQQLECLAKREWFGLGSRIIITTRDEHLLQEYGVDDVYKPTTLNDLEALRLLSLKAFKSNTPKDDFKLLSRGVVKYAGGLPLALEVLGSFLCNRSAAEWRSAIDRLKSEPEEKIHGRLTISFDGLKEKEKNIFLDIAHFFKGWDRDFVTEILDGCGYNSGIGLQVLIERSLITVENNKIWMHDLLQEMGRYIVQQKSPNEPGRRCRLSEESDVHQVLTQNSGTEAIEGMVINSTTVYKYIPPFIKEAIEGLVINSTIGEQNETFILRADAFLKMKKLKLLMVHGLLKSCDLTYLSNELRLFEWPGCPLKSLPRCFQPNNLVALLLPDSCINQLWKGSKLLNKLKFIDLQGSRKLIRTPDLTSAENLESLNLEGCTNLGHVHPSITLLPKLKLLNLRNCTSLKSLATKIRMESLETFVLSSCSNLRRFPKIIGEMKCLLELYLDGTSIKKLPSSFGHLSNLKVLNLSSCSKLENLPDFTMIENLESLNLEGCISLVDVHPSISILPKLKLLNLRNCTSLRSLSINNEMESLETLILSGCKNLKRFPEITRKMEHLLELHIDATGIEELPSSVENLSSLKVLNLSGYSVLENSPPSFLQWIHRNGCRVLLSSQNHKLSKKSPNSLALRLPRLSGFSSLTELDISGRNLCEGALPSDICSLFSLEKLILKDNNFVSLPTNLCQLSNLHLLELADCNKLVTLPQLPSSINAVGLDGCASLEIVPNLAKANTALNKIHYYGVNCFKLAANNNALRMLKGHLKIVANARQMFDILIPGSEIFEWFSYQNEECSVRIPNLQNDIQWMGFALCCALVPASNNDAWTGEEINCIIKIHFEDFACEVPTAGYLFNSKSGQISEDHLWLRYLPRDILDNFVEVRCIFDLFNKFFEDQSSFDSLENFFENRSSQFEILRSGDWIRSCIGIEILFETLGIGTKVNKCGVRLVYPSDLEDLDPTMEQPSTSTSPNSHHTRQHATHGLSKKGKENLDGSTG >EOY25519 pep chromosome:Theobroma_cacao_20110822:6:327369:348190:1 gene:TCM_026923 transcript:EOY25519 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS54 isoform 2 MDPQPSPSGRSSPVGRSPSFSVTDAGSQSLSSILNNPHAARLEASWVGWWSVSPPEFAPLISTKASSDLTRSDFQSYVSSVSDSYHRFEDIRNHSTKEQTLDVDNIGEALVACLREVPALYFKEDFALEDGPTFRAACPFTDVSENIVLQEKLSHYLDVVELHLVKEISLRSNSFFEAQGQLQDLNVKIVEGCSRIRELKETIRLLDTDLVDSARQIQELNANRTNLFALQHKLKLILSVNQALSALKLLVASAECAGALDVIDDLQHLLDGDELTGLHCFRHLRDHVVASIDSINSILSAEFMRASIHDTGDADAVILLKAKARASISLNGKDVEQVKLDEEETSNFRDRLLPLIIGLLRTAKLPFVLRTYRDTLTADMKTAIKTAVAELLPVLVARPLESDLTAERSMDIDGGGSSLASKLRSLSSESFVQLLAAIFKIVQAHLVRAAEVKRAIEWIMCNLDGHYAADSVASAIALGAMVAESAQESNGQGGPLLPYAPLRSTAKALSSPGKASDAISPSNLSKNFRADVLRENTEAVFAACDAAHGRWAKLLGVRALLHPRLRLQEFLSIYNITQEFITATEKIGGRLGYSIRGTLQSQAKSFVDFQHESRMTKIKAVLDQETWVEVDVPDEFQAIVSSLHSEAIISGNKDNAETNMTSYSDMVACNEGSQVADTGLQGALEQHEQTDSSGTTALNAAQGKAEAIEKMKSDSVTSSQSNSSNMKERGKPTTQMLEYGGVGYHMVNCGLILVKMLSEYIDMNHLLPSLSLEVVHRVVEILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFTYAIIPGNQANPVSESSRASKVTIVVGV >EOY25520 pep chromosome:Theobroma_cacao_20110822:6:327369:348190:1 gene:TCM_026923 transcript:EOY25520 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS54 isoform 2 MDPQPSPSGRSSPVGRSPSFSVTDAGSQSLSSILNNPHAARLEASWVGWWSVSPPEFAPLISTKASSDLTRSDFQSYVSSVSDSYHRFEDIRNHSTKEQTLDVDNIGEALVACLREVPALYFKEDFALEDGPTFRAACPFTDVSENIVLQEKLSHYLDVVELHLVKEISLRSNSFFEAQGQLQDLNVKIVEGCSRIRELKETIRLLDTDLVDSARQIQELNANRTNLFALQHKLKLILSVNQALSALKLLVASAECAGALDVIDDLQHLLDGDELTGLHCFRHLRDHVVASIDSINSILSAEFMRASIHDTGDADAVILLKAKARASISLNGKDVEVKLDEEETSNFRDRLLPLIIGLLRTAKLPFVLRTYRDTLTADMKTAIKTAVAELLPVLVARPLESDLTAERSMDIDGGGSSLASKLRSLSSESFVQLLAAIFKIVQAHLVRAAEVKRAIEWIMCNLDGHYAADSVASAIALGAMVAESAQESNGQGGPLLPYAPLRSTAKALSSPGKASDAISPSNLSKNFRADVLRENTEAVFAACDAAHGRWAKLLGVRALLHPRLRLQEFLSIYNITQEFITATEKIGGRLGYSIRGTLQSQAKSFVDFQHESRMTKIKAVLDQETWVEVDVPDEFQAIVSSLHSEAIISGNKDNAETNMTSYSDMVACNEGSQVADTGLQGALEQHEQTDSSGTTALNAAQGKAEAIEKMKSDSVTSSQSNSSNMKERGKPTTQMLEYGGVGYHMWFNIGEDAVGVH >EOY25517 pep chromosome:Theobroma_cacao_20110822:6:327045:348163:1 gene:TCM_026923 transcript:EOY25517 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS54 isoform 2 MDPQPSPSGRSSPVGRSPSFSVTDAGSQSLSSILNNPHAARLEASWVGWWSVSPPEFAPLISTKASSDLTRSDFQSYVSSVSDSYHRFEDIRNHSTKEQTLDVDNIGEALVACLREVPALYFKEDFALEDGPTFRAACPFTDVSENIVLQEKLSHYLDVVELHLVKEISLRSNSFFEAQGQLQDLNVKIVEGCSRIRELKETIRLLDTDLVDSARQIQELNANRTNLFALQHKLKLILSVNQALSALKLLVASAECAGALDVIDDLQHLLDGDELTGLHCFRHLRDHVVASIDSINSILSAEFMRASIHDTGDADAVILLKAKARASISLNGKDVEVKLDEEETSNFRDRLLPLIIGLLRTAKLPFVLRTYRDTLTADMKTAIKTAVAELLPVLVARPLESDLTAERSMDIDGGGSSLASKLRSLSSESFVQLLAAIFKIVQAHLVRAAEVKRAIEWIMCNLDGHYAADSVASAIALGAMVAESAQESNGQGGPLLPYAPLRSTAKALSSPGKASDAISPSNLSKNFRADVLRENTEAVFAACDAAHGRWAKLLGVRALLHPRLRLQEFLSIYNITQEFITATEKIGGRLGYSIRGTLQSQAKSFVDFQHESRMTKIKAVLDQETWVEVDVPDEFQAIVSSLHSEAIISGNKDNAETNMTSYSDMVACNEGSQVADTGLQGALEQHEQTDSSGTTALNAAQGKAEAIEKMKSDSVTSSQSNSSNMKERGKPTTQMLEYGGVGYHMVNCGLILVKMLSEYIDMNHLLPSLSLEVVHRVVEILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFTYAIIPEIRQILFLKVPEPRKSLLLLEFDRVAQDYKVHRDEIHTKLVQIMRERLLVHLRGLPQIVESWNRPEDAEPQPSQFARSLIKEVGYLQRVLSRTLHEADVQAIFRQVVVIFHSQISEAFSRLEITTPQAKDRLHRDIKHILGCIRSLPTDNLNNSATPNWGQLDEFLVQRFGAEAG >EOY25518 pep chromosome:Theobroma_cacao_20110822:6:326901:348665:1 gene:TCM_026923 transcript:EOY25518 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS54 isoform 2 MDPQPSPSGRSSPVGRSPSFSVTDAGSQSLSSILNNPHAARLEASWVGWWSVSPPEFAPLISTKASSDLTRSDFQSYVSSVSDSYHRFEDIRNHSTKEQTLDVDNIGEALVACLREVPALYFKEDFALEDGPTFRAACPFTDVSENIVLQEKLSHYLDVVELHLVKEISLRSNSFFEAQGQLQDLNVKIVEGCSRIRELKETIRLLDTDLVDSARQIQELNANRTNLFALQHKLKLILSVNQALSALKLLVASAECAGALDVIDDLQHLLDGDELTGLHCFRHLRDHVVASIDSINSILSAEFMRASIHDTGDADAVILLKAKARASISLNGKDVEVKLDEEETSNFRDRLLPLIIGLLRTAKLPFVLRTYRDTLTADMKTAIKTAVAELLPVLVARPLESDLTAERSMDIDGGGSSLASKLRSLSSESFVQLLAAIFKIVQAHLVRAAEVKRAIEWIMCNLDGHYAADSVASAIALGAMVAESAQESNGQGGPLLPYAPLRSTAKALSSPGKASDAISPSNLSKNFRADVLRENTEAVFAACDAAHGRWAKLLGVRALLHPRLRLQEFLSIYNITQEFITATEKIGGRLGYSIRGTLQSQAKSFVDFQHESRMTKIKAVLDQETWVEVDVPDEFQAIVSSLHSEAIISGNKDNAETNMTSYSDMVACNEGSQVADTGLQGALEQHEQTDSSGTTALNAAQGKAEAIEKMKSDSVTSSQSNSSNMKERGKPTTQMLEYGGVGYHMVNCGLILVKMLSEYIDMNHLLPSLSLEVVHRVVEILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFTYAIIPEIRQILFLKVPEPRKSLLLLEFDRVAQDYKVHRDEIHTKLVQIMRERLLVHLRGLPQIVESWNRPEDAEPQPSQFARSLIKEVGYLQRVLSRTLHEADVQAIFRQVVVIFHSQISEAFSRLEITTPQAKDRLHRDIKHILGCIRSLPTDNLNNSATPNWGQLDEFLVQRFGAEAG >EOY25516 pep chromosome:Theobroma_cacao_20110822:6:326901:348665:1 gene:TCM_026923 transcript:EOY25516 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS54 isoform 2 MDPQPSPSGRSSPVGRSPSFSVTDAGSQSLSSILNNPHAARLEASWVGWWSVSPPEFAPLISTKASSDLTRSDFQSYVSSVSDSYHRFEDIRNHSTKEQTLDVDNIGEALVACLREVPALYFKEDFALEDGPTFRAACPFTDVSENIVLQEKLSHYLDVVELHLVKEISLRSNSFFEAQGQLQDLNVKIVEGCSRIRELKETIRLLDTDLVDSARQIQELNANRTNLFALQHKLKLILSVNQALSALKLLVASAECAGALDVIDDLQHLLDGDELTGLHCFRHLRDHVVASIDSINSILSAEFMRASIHDTGDADAVILLKAKARASISLNGKDVEQVKLDEEETSNFRDRLLPLIIGLLRTAKLPFVLRTYRDTLTADMKTAIKTAVAELLPVLVARPLESDLTAERSMDIDGGGSSLASKLRSLSSESFVQLLAAIFKIVQAHLVRAAEVKRAIEWIMCNLDGHYAADSVASAIALGAMVAESAQESNGQGGPLLPYAPLRSTAKALSSPGKASDAISPSNLSKNFRADVLRENTEAVFAACDAAHGRWAKLLGVRALLHPRLRLQEFLSIYNITQEFITATEKIGGRLGYSIRGTLQSQAKSFVDFQHESRMTKIKAVLDQETWVEVDVPDEFQAIVSSLHSEAIISGNKDNAETNMTSYSDMVACNEGSQVADTGLQGALEQHEQTDSSGTTALNAAQGKAEAIEKMKSDSVTSSQSNSSNMKERGKPTTQMLEYGGVGYHMVNCGLILVKMLSEYIDMNHLLPSLSLEVVHRVVEILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFTYAIIPEIRQILFLKVPEPRKSLLLLEFDRVAQDYKVHRDEIHTKLVQIMRERLLVHLRGLPQIVESWNRPEDAEPQPSQFARSLIKEVGYLQRVLSRTLHEADVQAIFRQVVVIFHSQISEAFSRLEITTPQAKDRLHRDIKHILGCIRSLPTDNLNNSATPNWGQLDEFLVQRFGAEAG >EOY25521 pep chromosome:Theobroma_cacao_20110822:6:327369:348190:1 gene:TCM_026923 transcript:EOY25521 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS54 isoform 2 MDPQPSPSGRSSPVGRSPSFSVTDAGSQSLSSILNNPHAARLEASWVGWWSVSPPEFAPLISTKASSDLTRSDFQSYVSSVSDSYHRFEDIRNHSTKEQTLDVDNIGEALVACLREVPALYFKEDFALEDGPTFRAACPFTDVSENIVLQEKLSHYLDVVELHLVKEISLRSNSFFEAQGQLQDLNVKIVEGCSRIRELKETIRLLDTDLVDSARQIQELNANRTNLFALQHKLKLILSVNQALSALKLLVASAECAGALDVIDDLQHLLDGDELTGLHCFRHLRDHVVASIDSINSILSAEFMRASIHDTGDADAVILLKAKARASISLNGKDVEVKLDEEETSNFRDRLLPLIIGLLRTAKLPFVLRTYRDTLTADMKTAIKTAVAELLPVLVARPLESDLTAERSMDIDGGGSSLASKLRSLSSESFVQLLAAIFKIVQAHLVRAAEVKRAIEWIMCNLDGHYAADSVASAIALGAMVAESAQESNGQGGPLLPYAPLRSTAKALSSPGKASDAISPSNLSKNFRADVLRENTEAVFAACDAAHGRWAKLLGVRALLHPRLRLQEFLSIYNITQEFITATEKIGGRLGYSIRGTLQSQAKSFVDFQHESRMTKIKAVLDQETWVEVDVPDEFQAIVSSLHSEAIISGNKDNAETNMTSYSDMVACNEGSQVADTGLQGALEQHEQTDSSGTTALNAAQGKAEAIEKMKSDSVTSSQSNSSNMKERGKPTTQMLEYGGVGYHMVNCGLILVKMLSEYIDMNHLLPSLSLEVVHRVVEILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFTYAIIPEIRQILFLKVPEPRKSLLLLEFDRVAQDYKVHRDEIHTKLVQIMRERLLVHLRGLPQIVESWNRPEDAEPQPSQFARSLIKEVGYLQRVLSRTLHEADVQAIFRASCCNLPFANFRSIFTLRDHHSSGKR >EOY26351 pep chromosome:Theobroma_cacao_20110822:6:7897466:7900491:-1 gene:TCM_027856 transcript:EOY26351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTEEKLSVIPPTRDSITYKDRPTAIFYEDEIQILARPFSNSIIGKFNRMPKLQEIRQEFKGIGLLAKTVGKPLYVDEATVNRTRPSVAQVCMEYDYRQPLVEEVWIVIQNKDTKAVTGEYSQKVEFSRMLNYYNHCCHVGHNVSDCLILASRSNNHKPGDKKAFDLPQGPDNIAASWDRKNTEERPTVTVGEAVVVVKKRKKYEPRGTGETESTMAGVLGSMEKDGNREKNRMEKQGQTKYVNSTPTGKNFSSSVPVDVKEKRESDAVEIRRIQEGQSLVLVMNVDNDEGFSMQLLTTTNESIEGNRELKPSGIQFQANEKSQMNDKSVSGSKIRLLKKPHDPTEVSEGVEKDRRQLLDKATMDVEESSDEYTCSNPVQEKRLVDHMQSPMQSHAESMNHDLDVHPHVSKRRKSDSSIYSSENWNFLNASEALEGPWMVGGDFNFIVSSAERLLSAPPHDGSMEDFATTLLNCGLLDVGFEGNNYTWTNNHMFRRLDRVVYNQEWAECFSYSRVQHLNSDGSDHCLFLISYPNTTHRGPSTFRFLHLWTKHHDFLPFVEWSWKTPMQATGMLAF >EOY25805 pep chromosome:Theobroma_cacao_20110822:6:1989366:1990528:-1 gene:TCM_027167 transcript:EOY25805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSFGGDPTEITLKSSLQIPSSILSFQPFSFAVIRHLFHLFSSFTTCHPKRLLQSSSLLPLAHPYSLIAARPLSLIKRTLYRDKVSQSCSRLFKPVTSFGSWHC >EOY27963 pep chromosome:Theobroma_cacao_20110822:6:23761475:23766332:-1 gene:TCM_029669 transcript:EOY27963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MWMVLLLFRWFCWVHSVSAGDSLLSPKGVNYEVAALMSVKREMIDARNVMDGWDINSVDPCTWNMVACSAEGFVISLEMASTGLSGLLSPSIGNLSHLRTMLLQNNQLSGPIPDEIGKFSELQTLDLSGNQFVGVIPSSLGSLTHLSYLRLSKNNLSGPIPRLIANLTGLSFLDLSFNNLSGPTPKILAKGYSITGNNFLCTSSSEQICTDVTKPLNGSVSSSRVSGYHRWVLSVAIGISSAFVVSVMLLVCWVHWYRSRLLLTSYVQQDYDFEIGHLKRFSFRELQIATGNFNPKNILGQGGFGVVYKGCLPNRTVVAVKRLKDPNFTGEVQFQTEVEMIGLALHRNLLRLYGFCMTPDERLLVYPYMPNGSVADRLRDTSREKPSLDWNRRMHIALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDQRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKTLDAGNGQIQKGMILDWVRTLHEEKRLEVLVDRDLKGCFGALELEKTAELALQCTRPQPHLRPKMSEVLKVLEGLEQSGTEESQGGTNLCETRACSFSRNYSDIHEESSFIIEAMELSGPR >EOY27962 pep chromosome:Theobroma_cacao_20110822:6:23762334:23766321:-1 gene:TCM_029669 transcript:EOY27962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MWMVLLLFRWFCWVHSVSAGDSLLSPKGVNYEVAALMSVKREMIDARNVMDGWDINSVDPCTWNMVACSAEGFVISLEMASTGLSGLLSPSIGNLSHLRTMLLQNNQLSGPIPDEIGKFSELQTLDLSGNQFVGVIPSSLGSLTHLSYLRLSKNNLSGPIPRLIANLTGLSFLDLSFNNLSGPTPKILAKGYSITGNNFLCTSSSEQICTDVTKPLNVAGSVSSSRVSGYHRWVLSVAIGISSAFVVSVMLLVCWVHWYRSRLLLTSYVQQDYDFEIGHLKRFSFRELQIATGNFNPKNILGQGGFGVVYKGCLPNRTVVAVKRLKDPNFTGEVQFQTEVEMIGLALHRNLLRLYGFCMTPDERLLVYPYMPNGSVADRLRDTSREKPSLDWNRRMHIALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDQRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKTLDAGNGQIQKGMILDWVRTLHEEKRLEVLVDRDLKGCFGALELEKTAELALQCTRPQPHLRPKMSEVLKVLEGLEQSGTEESQGGTNLCETRACSFSRNYSDIHEESSFIIEAMELSGPR >EOY25757 pep chromosome:Theobroma_cacao_20110822:6:1641674:1644086:-1 gene:TCM_027128 transcript:EOY25757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin-associated and neddylation dissociated MVRTTSPAAFTTATVVIAVKYSIVEQLEKIDEIIYPEIASFLMLIKDQDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLHDQTIVKQELIRTVDLGPFKHIVDDALELRKAAFECADTLLDSCLDRD >EOY25514 pep chromosome:Theobroma_cacao_20110822:6:317578:322871:1 gene:TCM_026921 transcript:EOY25514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early endosome antigen, putative isoform 1 MGMESCRDVNASVVSCCDASINGSVHIGDTIKEGEELDVDFLNEFDSYVEDINDRLTVSRLVSDSVIRGMVNAVEQEAADRIAQKELELVRLKKMMNHYHVCSDENKSLLKHYEPNIEKDGVFSRLSDSFCEHDRIRESLGSLQNAAKGQFKNLRIEIDKIRGHSSIRRINSSPEWVGLGGILQEDETTDWIDVDKTLDSLRITLDTIYEQVDDIICSSSVSLCQWQLELEYQEDVEHMVVTSCIRSLKEQFEERLWDQNAQCYGNGNVNWIEKINEISSLRQELDTISKSLSNPETGMLNSHSSLEINDDLSNNKRTDHLHRKVSENHVSSLWEGNGKQEESVIAVPENLDAAQLSHMSKGELVNFFKIEMTKMKRNHDYKLQQLTEEYFTLKREYLKERGSSLPFRKDKEFDVLRKKIPDVIVKLDRILVGNEKFPLVSNNGETLGSLKDRLESLLSENHQLRDSLFDKKKEVNSLSSQVSDAIVKISQYSLTEDNLLKKVENLESAVEDVHIESAISGDVYKCFIREAISQTKRISEDLEVEHIIMKEIYDLIWRDASCNMPHASKSEFEDSDLESLIMEGLCAIVFRAAFSEAKEKLHDLSKDACKKERVLKLEVEEKEELQQHMLLMASTIDEKEKLLNETSAAMEREKEKFMLASQELDVVRDKTNRQQMIISKCNEESNVLKVNLRQASEKLELQQVETCKLNEKLDQAVKDLRESDDEKRRLLVAAKEKENILSLFEANENEHRKQMESIIILVEGLYKTFADFECQVAEDMKRSNLRLENLNSQFSSLIQMANVLKRKGLHYKQNLERRCSDLEKAETEVDVLLGLLEKIYIALDHYSPILKHYTGVSCFFVLLNFYIVIFLKSESKQIHASMERFLGHKDQ >EOY25513 pep chromosome:Theobroma_cacao_20110822:6:317391:324311:1 gene:TCM_026921 transcript:EOY25513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early endosome antigen, putative isoform 1 MGMESCRDVNASVVSCCDASINGSVHIGDTIKEGEELDVDFLNEFDSYVEDINDRLTVSRLVSDSVIRGMVNAVEQEAADRIAQKELELVRLKKMMNHYHVCSDENKSLLKHYEPNIEKDGVFSRLSDSFCEHDRIRESLGSLQNAAKGQFKNLRIEIDKIRGHSSIRRINSSPEWVGLGGILQEDETTDWIDVDKTLDSLRITLDTIYEQVDDIICSSSVSLCQWQLELEYQEDVEHMVVTSCIRSLKEQFEERLWDQNAQCYGNGNVNWIEKINEISSLRQELDTISKSLSNPETGMLNSHSSLEINDDLSNNKRTDHLHRKVSENHVSSLWEGNGKQEESVIAVPENLDAAQLSHMSKGELVNFFKIEMTKMKRNHDYKLQQLTEEYFTLKREYLKERGSSLPFRKDKEFDVLRKKIPDVIVKLDRILVGNEKFPLVSNNGETLGSLKDRLESLLSENHQLRDSLFDKKKEVNSLSSQVSDAIVKISQYSLTEDNLLKKVENLESAVEDVHIESAISGDVYKCFIREAISQTKRISEDLEVEHIIMKEIYDLIWRDASCNMPHASKSEFEDSDLESLIMEGLCAIVFRAAFSEAKEKLHDLSKDACKKERVLKLEVEEKEELQQHMLLMASTIDEKEKLLNETSAAMEREKEKFMLASQELDVVRDKTNRQQMIISKCNEESNVLKVNLRQASEKLELQQVETCKLNEKLDQAVKDLRESDDEKRRLLVAAKEKENILSLFEANENEHRKQMESIIILVEGLYKTFADFECQVAEDMKRSNLRLENLNSQFSSLIQMANVLKRKGLHYKQNLERRCSDLEKAETEVDLLGDQVDVLLGLLEKIYIALDHYSPILKHYTGVMEILNLVRRELSGESTRPV >EOY28805 pep chromosome:Theobroma_cacao_20110822:6:26581196:26584721:-1 gene:TCM_030301 transcript:EOY28805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L4/L1 family isoform 1 MALSISRRILRSFGSLSALARWDSLTIPSHSFQASDLNACISGDNLPHAECFSFSKGGLSFLACRKFATTILTPDSAESAFPSDLLSAKTVLTPDRTIGLYQDLVIPVTNFHNEDKGLMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWRQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLNKKVRRLGLKIALSASAAEGKLLVFEDLEVPTHKTKNIVNYVNQMEKTKKLLLVDGGPINEKLKLATQNLHYVNVLPSIGLNVYGILLHDTLVMSRDAVNRIVERMHTPINR >EOY28803 pep chromosome:Theobroma_cacao_20110822:6:26580937:26584627:-1 gene:TCM_030301 transcript:EOY28803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L4/L1 family isoform 1 MALSISRRILRSFGSLSALARWDSLTIPSHSFQASDLNACISGDNLPHAECFSFSKGGLSFLACRKFATTILTPDSAESAFPSDLLSAKTVLTPDRTIGLYQDLVIPVTNFHNEDKGLMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWRQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLNKKVRRLGLKIALSASAAEGKLLVFEDLEVPTHKTKNIVNYVNQMEKTKKLLLVDGGPINEKLKLATQNLHYVNVLPSIGLNVYGILLHDTLVMSRDAVNRIVERMHTPINR >EOY28804 pep chromosome:Theobroma_cacao_20110822:6:26581257:26584585:-1 gene:TCM_030301 transcript:EOY28804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L4/L1 family isoform 1 MALSISRRILRSFGSLSALARWDSLTIPSHSFQASDLNACISGDNLPHAECFSFSKGGLSFLACRKFATTILTPDSAESAFPSDLLSAKTVLTPDRTIGLYQDLVIPVTNFHNEDKGLMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWRQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLNKKVRRLGLKIALSASAAEGKLLVFEDLEVPTHKTKNIVNYVNQMEKTKKLLLVDGGPINEKLKLATQNLHYVNVLPSIGLNVYGILLHDTLVMSRDAVNRIVERMHTPINR >EOY28215 pep chromosome:Theobroma_cacao_20110822:6:24601080:24602524:-1 gene:TCM_029846 transcript:EOY28215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKAALRLAVKERVDNWARNLDSFVLFFLCEGKKGCQGRQAILTSTVSTSSNCGLGCSMQQFIVYHLGLVGRSTIRGHYGKNVTIGYEMGPIQSCHLMQLFNTEKF >EOY26454 pep chromosome:Theobroma_cacao_20110822:6:11026895:11031045:-1 gene:TCM_028112 transcript:EOY26454 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family MPNSSEDLEKQSTNSGHHVREDSEYVRLVTSDEEPTATEMDTLQPQPPTRSNSFVWWIKAIVFCIFTLILLLIFLKWGVPFLFEKVLFPIMQWEATAFGRPVLAIVLVASLALFPVFLIPSGPSMWLAGMIFGYGLGFLIIMVGTTVGMVLPYLIGLLFRDRIHHWLKKWPQKAAMIRLAGEGSWSHQFRVVALFRVSPFPYTIFNYVIVVTSMRFWPYLCGSIAGMIPEAFIYIYSGRLIRTLADVKYGNHHLTAVEIIYNIISLIIAIVTTIAFTVYAKRALKDLENGETKEEDDSASYQGGLEMEKLPLERPKNLGFMSFSS >EOY26993 pep chromosome:Theobroma_cacao_20110822:6:19609639:19613756:1 gene:TCM_028947 transcript:EOY26993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MGKRRYFLLFSSFALAFLIIHAQDQSGFVSLDCGLPEGSSYNESTTGISYTSDAPYIQTGISNRLPEFNSGMQQQVLEYLRSFPQGDRNCYMINLTKGEKYLIRTGFMYGNYDAKNEAPEFDLYLGPNLWATMVFQNASTAIFKEIIQVLQSNYLHVCLVNTGKGIPFISALELRLLKNTTYNTQSATEALEFFLRDDFGSTSNATFRFPQDVYDRIWQPYQRNDLGQISTSSLISSNSDYQPPLLAMRTASIPANASQPLNFSVQDSDSSAQFYLYVHVAEIEELQANQSREFIIYVNDKLWFQAYSPTYLRADTIQSLSAVKGGQFSMVRTRGSTLPPIINALEAYRVKELIQSQTVEKDVNAIVNIKSMYGLKRNWQGDPCAPQKYSWEGLNCSYEDSNPPRIISLNLSSSSLSGEIPPYIVNLTQLLYLDLSNNNLTGPVPEFLTQLQSLSLLNLEGNALNGSVPTGLIDRSNRGLLQLNVEGNQIPCTWESCSKKKNSAVVPVVASVASVLSFLIIASALLWWFKRTKPSGKLDLGSRKPYQQKELKNRQFTFSDVQKITNNFERVIGKGGFGTVFLGCLGDTQVAVKMLSKSSIQGYKQFEAEVELLLRVHHRNLTSLIGYCDDGTNLGLIYEYMAKGNLAEYLSDSSSSLLNWEGRLGIALEAAQGLEYLHHGCKPPIIHRDVKSTNILLTENLQAKLSDFGLSKTFPIEGGSHVSTVVAGTPGYLDPEYSTSNRLTEKSDVYSFGVVLLEIITNRPVITRTIDEPTHISHWVGSMLSNGDIENIVDSRLQGNFEINSVWKAIEVAMACLSPASTKRPTMNYVVTELSDCLLAEIKRTRGVNEDESQESIGMISMNLGSEITPLAR >EOY25996 pep chromosome:Theobroma_cacao_20110822:6:3477295:3481253:1 gene:TCM_027384 transcript:EOY25996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 isoform 1 MGDMNLLCLLPPMWACTFSLLSLIILARPESTVSSISASVLLSKQEPISQFSSEMEAVSPGAAVVRVVHHQDLNRRILVALIVASTLLGGILLFLLCFWICRQKNLKNSNGKSKQNLEPAKALSLSPIVDRFNSLWMASKKGSVAVIEYQLLEVATNNFQESNVLGEGGRGRVYKAHFDEKFLAAVKKLDGGGQDAEREFENELEWLVKIQHQNIVSLLGYCIHGETRLLVYEMMQNGSLESQLHGPTQGSALTWHLRMKIAIDVARALEHLHEHCSPPVVHRDIKSSNILLDSNFNAKLSDFGLAVTTGSQNKNVKLSGTLGYVAPEYLLEGKLTDKSDVYAFGVVLLELLIGKKPLEKMSPTQCQSLVTWAMPQLTDRSKLPNIVDSVIKETMDLKHLYQVAAVAVLCIQPEPSYRPLITDVLHSLIPLVPAELGGCDGLSSLLAAHSFPHELFLRGPDAI >EOY25995 pep chromosome:Theobroma_cacao_20110822:6:3477236:3481325:1 gene:TCM_027384 transcript:EOY25995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 isoform 1 MGDMNLLCLLPPMWACTFSLLSLIILARPESTVSSISASVLLSKQEPISQFSSEMEAVSPGAAVVRVVHHQDLNRRILVALIVASTLLGGILLFLLCFWICRQKNLKNSNGKSKQNLEPAKALSLSPIVDRFNSLWMASKKGSVAVIEYQLLEVATNNFQESNVLGEGGRGRVYKAHFDEKFLAAVKKLDGGGQDAEREFENELEWLVKIQHQNIVSLLGYCIHGETRLLVYEMMQNGSLESQLHGPTQGSALTWHLRMKIAIDVARALEHLHEHCSPPVVHRDIKSSNILLDSNFNAKLSDFGLAVTTGSQNKNVKLSGTLGYVAPEYLLEGKLTDKSDVYAFGVVLLELLIGKKPLEKMSPTQCQSLVTWAMPQLTDRSKLPNIVDSVIKETMDLKHLYQVAAVAVLCIQPEPSYRPLITDVLHSLIPLVPAELGGSLRVATASPPYLLRILFHMNFSSGGLMQFNYAMVVLI >EOY25997 pep chromosome:Theobroma_cacao_20110822:6:3476046:3480518:1 gene:TCM_027384 transcript:EOY25997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 isoform 1 MGDMNLLCLLPPMWACTFSLLSLIILARPESTVSSISASVLLSKQEPISQFSSEMEAVSPGAAVVRVVHHQDLNRRILVALIVASTLLGGILLFLLCFWICRQKNLKNSNGKSKQNLEPAKALSLSPIVDRFNSLWMASKKGSVAVIEYQLLEVATNNFQESNVLGEGGRGRVYKAHFDEKFLAAVKKLDGGGQDAEREFENELEWLVKIQHQNIVSLLGYCIHGETRLLVYEMMQNGSLESQLHGPTQGSALTWHLRMKIAIDVARALEHLHEHCSPPVVHRDIKSSNILLDSNFNAKLSDFGLAVTTGSQNKNVKLSGTLGYVAPEYLLEGKLTDKSDVYAFGVVLLELLIGKKPLEKMSPTQCQSLVTWVISFNASIDLKGIYSWLNCILSLVCMQF >EOY27213 pep chromosome:Theobroma_cacao_20110822:6:20724671:20727863:-1 gene:TCM_029109 transcript:EOY27213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METNHIHKGFYSLLTLFQYQAMPLIYVALVLILGTIAIAIIVFTFVMIGSCANPPWSSVPRSTNPVGERSSSALLNLSPYLASSFKYRRGMEKAQAAGGTETECVVCLLRFEDDDEVRQLHGCKHSFHAPCIDMWMYSHSNCPLCRTPVDRRAALDFVSDDNSSSIDVLTDINKVFFLCLLETSTRLRQIYVSVPTLLSVALLLQILHSFLNGRLSSLSFDTLDMSVLINEPDENEVRDADKDSKQCKKSPPGAHPQRLKAARKHSGHRAHPLKPIISWTSARESDPQQWPHKSIHVPYKRERPKLGSSPVQQQL >EOY27845 pep chromosome:Theobroma_cacao_20110822:6:23292321:23295779:-1 gene:TCM_029579 transcript:EOY27845 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 1 MESRADAEVVNFGKSIIVPSVQELAKEPITKIPHRYLRPEQEPHSVLGDELLPSVPIIDLQKLVAGDFVDSELERLHSACKDWGFFQVVNHGVGSSLLEEFRLEIENFFNLPHEEKKLLWQQPDNHEGFGQLFVVSEEQKLDWSDMFYITTLPHNLRKTDLFEKLPLKLRALEMDAEEMRELFTNGVQSMRMNYYPPCPEPDMAIGFSPHSDADALTILFQLNETEGLQIRKDGKWVSIKPLPNAFVVNIGDIMEIVSNGIYRSIEHRAMVNSTKERLSVATFYSSKLDSEIGPALSLIGPSNPTTFRRVSVEKYFKDFFARQLDGKAYLDFRRIRHGEQNAN >EOY27843 pep chromosome:Theobroma_cacao_20110822:6:23292321:23296713:-1 gene:TCM_029579 transcript:EOY27843 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 1 MWPDLATTTLNQHFPATRSGRRVPDPTVGSGRQASDLILLTARSGSSINFIAATSFSSENSSTRMGAGPTPLECTLSPIIFYLADAEVVNFGKSIIVPSVQELAKEPITKIPHRYLRPEQEPHSVLGDELLPSVPIIDLQKLVAGDFVDSELERLHSACKDWGFFQVVNHGVGSSLLEEFRLEIENFFNLPHEEKKLLWQQPDNHEGFGQLFVVSEEQKLDWSDMFYITTLPHNLRKTDLFEKLPLKLRETLEVYSMEAKNLAMIILAYMARALEMDAEEMRELFTNGVQSMRMNYYPPCPEPDMAIGFSPHSDADALTILFQLNETEGLQIRKDGKWVSIKPLPNAFVVNIGDIMEIVSNGIYRSIEHRAMVNSTKERLSVATFYSSKLDSEIGPALSLIGPSNPTTFRRVSVEKYFKDFFARQLDGKAYLDFRRIRHGEQNAN >EOY27844 pep chromosome:Theobroma_cacao_20110822:6:23292873:23295761:-1 gene:TCM_029579 transcript:EOY27844 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 1 MESRADAEVVNFGKSIIVPSVQELAKEPITKIPHRYLRPEQEPHSVLGDELLPSVPIIDLQKLVAGDFVDSELERLHSACKDWGFFQVVNHGVGSSLLEEFRLEIENFFNLPHEEKKLLWQQPDNHEGFGQLFVVSEEQKLDWSDMFYITTLPHNLRKTDLFEKLPLKLRETLEVYSMEAKNLAMIILAYMARALEMDAEEMRELFTNGVQSMRMNYYPPCPEPDMAIGFSPHSDADALTILFQLNETEGLQIRKDGKWVSIKPLPNAFVVNIGDIMEIVSNGIYRSIEHRAMVNSTKERLSVATFYSSKLDSEIGPALSLIGPSNPTTFRRVSVEKYFKDFFARQLDGKAYLDFRRIRHGEQNAN >EOY27790 pep chromosome:Theobroma_cacao_20110822:6:23132991:23137226:-1 gene:TCM_029545 transcript:EOY27790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded DNA-binding family protein MHILSCFTSKIIGFGELLPSSGICCIVTMTPRKASLLFATPRLKPKNGKIEPPAWGPPSRSEEGLSFRDKDRVPQKLKPAAISNAQNTNHSLSSEEGLNLLFGGGGGDPNTFRSMADPELEAIRQRRMQELMAQHGAGSQQNPDQQKAQEDAKREADERRQMMLSQILSSEARERVARIALVKPEKARGVEDVLLRAAQMGQIVEKVSEERLISMLEQINTQTTKQTKVTIQRRRSVLDDDD >EOY28047 pep chromosome:Theobroma_cacao_20110822:6:24034316:24038312:-1 gene:TCM_029726 transcript:EOY28047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MVAGKVRLAMGLQKSPANPKHETPPKPPLPSPSSGNKNNTSQKAVFSRSFGVYFPRSSAQVQPRPPDVTELLRLVEELRERESRLKTELLEHKLLKESVAIVPVLENEIVAINAELERASKEIENLRNENETLKTEVEEMKEKIEEERKESEKKVREMEEEIAELKKTVLSYSDRNSKAEITVESDDLLSSSQRYQGLVEVSVKSNLIKNLKRNNSKCTDAVVVSTLNNEKVESLEFKREEFETERPRHSRCNSEELVDSTLVNIRSRVPRVPKPPPRPSSSSPSSSTSSISSSDSTEKQIPPPPPPPPPPAPVAAVKQVAPPPPPPPPIKAIAPPPPPPPPKGMRAIAAKVRRVPEVVEFYHSLMRRDSKREAGGCSVPEVLPATANARDMIGEIENRSTHLLAIKTDVETQGDFIRFLIKEVENAAFTDIEDVVPFVKWLEHGVYNLSRMRESATKRYKGFQIPMDWMLETGIVSQFAGGFDVETMRAFQELRDKARSCHVQCQSQQQPKFICRSTTC >EOY28046 pep chromosome:Theobroma_cacao_20110822:6:24033864:24038236:-1 gene:TCM_029726 transcript:EOY28046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MVAGKVRLAMGLQKSPANPKHETPPKPPLPSPSSGNKNNTSQKAVFSRSFGVYFPRSSAQVQPRPPDVTELLRLVEELRERESRLKTELLEHKLLKESVAIVPVLENEIVAINAELERASKEIENLRNENETLKTEVEEMKEKIEEERKESEKKVREMEEEIAELKKTVLSYSDRNSKAEITVESDDLLSSSQRYQGLVEVSVKSNLIKNLKRNNSKCTDAVVVSTLNNEKVESLEFKREEFETERPRHSRCNSEELVDSTLVNIRSRVPRVPKPPPRPSSSSPSSSTSSISSSDSTEKQIPPPPPPPPPPAPVAAVKQVAPPPPPPPPIKAIAPPPPPPPPKGMRAIAAKVRRVPEVVEFYHSLMRRDSKREAGGCSVPEVLPATANARDMIGEIENRSTHLLAIKTDVETQGDFIRFLIKEVENAAFTDIEDVVPFVKWLDDELSYLVDERAVLKHFDWPEQKADALREAAFGYCDLKKLESEASLFRDDARQPCGPALKKMQALLEKLEHGVYNLSRMRESATKRYKGFQIPMDWMLETGIVSQIKLASVKLAMKYMRRVSAELEAVGGGPEEEELIVQGVRFAFRVHQFAGGFDVETMRAFQELRDKARSCHVQCQSQQQPKFICRSTTC >EOY26319 pep chromosome:Theobroma_cacao_20110822:6:7542824:7549388:1 gene:TCM_027814 transcript:EOY26319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTSGSNVPEPVPEGRETRASRRGKSCSRDLISALDARMSRVEVAVGDIRDRLDVQEEHVEELNGQDEELKGEVQEMVREMLENVAERNSQLESVVEIMQRELEDLRAEVRAARAEGGYEAAARPEVRLEVPKPKEFCGKRDAKEIDNFLWGLSSDTALLWWRRRCDDRLGGALVRTWVDFQCELRKQFYPEYAMDEARGKLRRLVQRGDVREYVREFSDLALQVGDLGEREALFTFMDGLKPWAKQELQRRGVQDLTCAMAVAEGLIDYSCPDKDRTEPTKPRDKGKGWADKGKQSRDEDGGNGKPQSPWKGNSAWKGKPSGSKEEKPKNCFLCEGPHFVRDYPQRAKLAAIASEDEEQQGDETVRLGSMQLGAVRKGGKQAKGLMYADMVVAGQHVEALVDTGASDLFVSEQGAAKLDLKADSAGGWVKTVNSKWVRIKGIAKGIDVQLGEWHGTEDIEVIQMDDYEVVMGLNFLERIQALLVPHEDSICIVGSKGQCVVPVRRGCAQSTKTLTAIQLAEGEQICAVVRSVEDTPGNIVEAPDEVLEASEHQLGGANPVAGEPSREATPPASSKVRAELLPHIKEGKAPDPIQQPILESAEAEKTKLAHVSDGLGRAKVDRLHEPQHGGLRRLPLKECRDIGGAGHSGTHRTSVSCPPCSTRHAGSRESSMTRRGSAAARPRWGNIDTRARGRVSRDESAHGQKSRRGAQLEHPRARRALETAKGPRVSEGSVGEVLAKDPGRPAPLVGKVSSVRQEGLPHVLTFHPTKAERAEGPNEWDAQEAQSGARRHRNPLGAQVGKVSMQASRMVAQGARMDSAGLTDGVGLADSAGGAQRTLTAREGLVRAHMSEDAVSLGGGGYHGARFRPVDGAGRCGGVMEHGGVSLGVGPPWGVPGRRKLLARVLETGPWVSQPAQLQADSPHSPDSQSVRGPEQPLRPAPRQPAWCGPSTPALHYSTSAACPAQQCPNFGTFPPKAIPSAALAFEGNFGDFGGKTQYK >EOY28748 pep chromosome:Theobroma_cacao_20110822:6:26420309:26422352:1 gene:TCM_030261 transcript:EOY28748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein isoform 1 MGSVAKKGLQKYVSQLQSHPLRTKAITAAVLSGISDTVSQKLSGIPKLQLRRLVLKMLFGFAYLGPFGHYTYILLDKIFKGKNDSKTVAKKVVLEQLTSSPCNNLLFMIYYGVVVEGRPWMHVKTRIKKEYPKVQLTAWTFWPAVGWINHQYVPLQFRVIFSSLIAFCWGIFLNLRARSMALPKSE >EOY28749 pep chromosome:Theobroma_cacao_20110822:6:26420172:26422322:1 gene:TCM_030261 transcript:EOY28749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein isoform 1 MGSVAKKGLQKYVSQLQSHPLRTKRNEIELLQAITAAVLSGISDTVSQKLSGIPKLQLRRLVLKMLFGFAYLGPFGHYTYILLDKIFKGKNDSKTVAKKVVLEQLTSSPCNNLLFMIYYGVVVEGRPWMHVKTRIKKEYPKVQLTAWTFWPAVGWINHQYVPLQFRVIFSSLIAFCWGIFLNLRARSMALPKSE >EOY27909 pep chromosome:Theobroma_cacao_20110822:6:23552863:23556836:1 gene:TCM_029627 transcript:EOY27909 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD-type zinc finger family protein isoform 3 MEGSNQSYGFDHNSRVPENQVLDSRVSSLSLKKDTGSRNSDSLADDNTGLERCDSPSNVSLERQGMNISGECDDGLSVPTKSMEELNDEEKQPNTTYLKPGKYFFYDSPLAEDTGVWIPVSVPPMLEGDHEESARGFRSNGGYFPDGDMGWGEFLGEEKELTMWDVIVEMILAARGKVNAFTSGDIQRSGISWLSSHLLEQAWQEMSQTLTEANMGSVKEILEAEPPRWLADSAASTCMLCGVRFHPIMCSRHHCRFCGGIFCGECSKGRSLLPEKFRVADPQRVCDVCCVRLESVQPYLMDQVSNAAQLPTHDLTDLSTLRSWVNFPWGQSMEHEIYKATNTIRGYITKVVSLKPEKAIPDSILREAKGLAIISVVKIGAMVTYNIGTGLAIAHRENGSWSPPSAISSFGVGWGAQAGGELTDFIIILRTHDAVKTFSSNAHLSVGAGLSAAVGIVGRAMGADVRAGDGGYAACYTYSCTKGSVVTTRRRENSRFYGSQSITASDILLGSMPRPPAAATLYHALSDLYNTLS >EOY27910 pep chromosome:Theobroma_cacao_20110822:6:23552867:23557086:1 gene:TCM_029627 transcript:EOY27910 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD-type zinc finger family protein isoform 3 MEGSNQSYGFDHNSRVPENQVLDSRVSSLSLKKDTGSRNSDSLADDNTGLERCDSPSNVSLERQGMNISGECDDGLSVPTKSMEELNDEEKQPNTTYLKPGKYFFYDSPLAEDTGVWIPVSVPPMLEGDHEESARGFRSNGGYFPDGDMGWGEFLGEEKELTMWDVIVEMILAARGKVNAFTSGDIQRSGISWLSSHLLEQAWQEMSQTLTEANMGSVKEILEAEPPRWLADSAASTCMLCGVRFHPIMCSRHHCRFCGGIFCGECSKGRSLLPEKFRVADPQRVCDVCCVRLESVQPYLMDQVSNAAQLPTHDLTDLSTLRSWVNFPWGQSMEHEIYKATNTIRGYITKVVSLKPEKAIPDSILREAKGLAIISVVKIGAMVTYNIGTGLAIAHRENGSWSPPSAISSFGVGWGAQVLLDGQWELMYELVMVVMLLVIHTAALKELLLDVHLKEVLSPLADERIPDSMAASQ >EOY27908 pep chromosome:Theobroma_cacao_20110822:6:23552746:23557710:1 gene:TCM_029627 transcript:EOY27908 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD-type zinc finger family protein isoform 3 MEGSNQSYGFDHNSRVPENQVLDSRVSSLSLKKDTGSRNSDSLADDNTGLERCDSPSNVSLERQGMNISGECDDGLSVPTKSMEELNDEEKQPNTTYLKPGKYFFYDSPLAEDTGVWIPVSVPPMLEGDHEESARGFRSNGGYFPDGDMGWGEFLGEEKELTMWDVIVEMILAARGKVNAFTSGDIQRSGISWLSSHLLEQAWQEMSQTLTEANMGSVKEILEAEPPRWLADSAASTCMLCGVRFHPIMCSRHHCRFCGGIFCGECSKGRSLLPEKFRVADPQRVCDVCCVRLESVQPYLMDQVSNAAQLPTHDLTDLSTLRSWVNFPWGQSMEHEIYKATNTIRGYITKVVSLKPEKAIPDSILREAKGLAIISVVKIGAMVTYNIGTGLAIAHRENGSWSPPSAISSFGVGWGAQAGGELTDFIIILRTHDAVKTFSSNAHLSVGAGLSAAVGIVGRAMGADVRAGDGGYAACYTYSCTKGAFVGCSLEGSVVTTRRRENSRFYGSQSITASDILLGSMPRPPAAATLYHALSDLYNTLS >EOY28896 pep chromosome:Theobroma_cacao_20110822:6:26821143:26823246:1 gene:TCM_030372 transcript:EOY28896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMSGSTGQAIMTSWHNKDYYYYNIENCRGRANTILSSTLQGENWSVFTTGKVNSLHIYLREKNNLNRNISTIYVILSRIFVILLKGCPVAEPDCSFEHCPELMFLTSTANDGELFIKQRKATDVSDLLSTSRYTYEDLTSI >EOY27660 pep chromosome:Theobroma_cacao_20110822:6:22678191:22684425:-1 gene:TCM_029452 transcript:EOY27660 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 1 MGESAKRHRGQRDNDGDSRNQKRRLNDKNEKNNDELVVYRILCPDVVIGSVIGKSGKVINLIRQETRAKVKVVDPYPGAKDRVITIYCYVKDKEEVEVDDEFNDKEPLCAAQDALLRVHAAIANAVALIGDSDQKRTDRYGEECQILVPSSQSANIIGKAGTTIKKLRGKTRTIIKVTAKDAGDPNHSCAMDFDNFIQITGEPEAVKKALFAVSAIMYKFSPREEIPLETTVAEAPPAPSIIIPSDVPIYPPGGLYPNPDPTVPSRSVPPILGAAHVPDLQGYADTGSTWPVYSSALPVVSGFGGASRSEELKIRVLCPFDKIGRVIGRGGGTIKSIRQASGARIEVDDTKADRDECIITVTATESPDDLKSMAVEAVLLLQGKINDDDDNSVTMRLLVPSKVIGCVIGKGGSIINEIRKRTKADVRISKGNKPKCADANDELVELAGKVNNVRDALIQIVLRLRDDVLKEKDSGPNPSVGADSFYSSSASLAVPSLLPSVPPVPPLAFDQRAESGSGLGVLPTSSLYGYGTLPMGESGYGSMSSYSSKLYGGLHPSSTLEILIPANAVGKVMGKGGLNLTNIRKISGAMIEISESKSSRGERVALITGTLQQKREAENLIQAFIMAT >EOY27663 pep chromosome:Theobroma_cacao_20110822:6:22679612:22686127:-1 gene:TCM_029452 transcript:EOY27663 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 1 MGESAKRHRGQRDNDGDSRNQKRRLNDKNEKNNDELVVYRILCPDVVIGSVIGKSGKVINLIRQETRAKVKVVDPYPGAKDRVITIYCYVKDKEEVEVDDEFNDKEPLCAAQDALLRVHAAIANAVALIGDSDQKRTDRYGEECQILVPSSQSANIIGKAGTTIKKLRGKTRTIIKVTAKDAGDPNHSCAMDFDNFIQITGEPEAVKKALFAVSAIMYKFSPREEIPLETTVAEAPPAPSIIIPSDVPIYPPGGLYPNPDPTVPSRSVPPILGAAHVPDLQGYADTGSTWPVYSSALPVVSGFGGASRSEELKIRVLCPFDKIGRVIGRGGGTIKSIRQASGARIEVDDTKADRDECIITVTATESPDDLKSMAVEAVLLLQGKINDDDDNSVTMRLLVPSKVIGCVIGKGGSIINEIRKRTKADVRISKGNKPKCADANDELVELAGKVNNVRDALIQIVLRLRDDVLKEKDSGPNPSVGADSFYSSSASLAVPSLLPSVPPVPPLAFDQRAESGSGLGVLPTSSLYGYGTLPVSDGTPLTSAGHTLCSPREPCPPSPHSQGELHPSPSPLAWEHRSPLGEICTPRGVRSVGEGDPAGARSGTPAAARDGRKWLWV >EOY27661 pep chromosome:Theobroma_cacao_20110822:6:22678191:22684038:-1 gene:TCM_029452 transcript:EOY27661 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 1 MGESAKRHRGQRDNDGDSRNQKRRLNDKNEKNNDELVVYRILCPDVVIGSVIGKSGKVINLIRQETRAKVKVVDPYPGAKDRVITIYCYVKDKEEVEVDDEFNDKEPLCAAQDALLRVHAAIANAVALIGDSDQKRTDRYGEECQILVPSSQSANIIGKAGTTIKKLRGKTRTIIKVTAKDAGDPNHSCAMDFDNFIQITGEPEAVKKALFAVSAIMYKFSPREEIPLETTVAEAPPAPSIIIPSDVPIYPPGGLYPNPDPTVPSRSVPPILGAAHVPDLQGYADTGSTWPVYSSALPVVSGFGGASRSEELKIRVLCPFDKIGRVIGRGGGTIKSIRQASGARIEVDDTKADRDECIITVTATESPDDLKSMAVEAVLLLQGKINDDDDNSVTMRLLVPSKVIGCVIGKGGSIINEIRKRTKADVRISKGNKPKCADANDELVELAGKVNNVRDALIQIVLRLRDDVLKEKDSGPNPSVGADSFYSSSASLAVPSLLPSVPPVPPLAFDQRAESGSGLGVLPTSSLYGYGTLPMGESGYGSMSSYSSKLYGGLHPSSTLEILIPANAVGKVMGKGGLNLTNIRKISGAMIEISESKSSRGERVALITGTLQQKREAENLIQAFIMAT >EOY27662 pep chromosome:Theobroma_cacao_20110822:6:22679609:22683558:-1 gene:TCM_029452 transcript:EOY27662 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein isoform 1 MISAVDLYSKTMGESAKRHRGQRDNDGDSRNQKRRLNDKNEKNNDELVVYRILCPDVVIGSVIGKSGKVINLIRQETRAKVKVVDPYPGAKDRVITIYCYVKDKEEVEVDDEFNDKEPLCAAQDALLRVHAAIANAVALIGDSDQKRTDRYGEECQILVPSSQSANIIGKAGTTIKKLRGKTRTIIKVTAKDAGDPNHSCAMDFDNFIQITGEPEAVKKALFAVSAIMYKFSPREEIPLETTVAEAPPAPSIIIPSDVPIYPPGGLYPNPDPTVPSRSVPPILGAAHVPDLQGYADTGSTWPVYSSALPVVSGFGGASRSEELKIRVLCPFDKIGRVIGRGGGTIKSIRQASGARIEVDDTKADRDECIITVTATESPDDLKSMAVEAVLLLQGKINDDDDNSVTMRLLVPSKVIGCVIGKGGSIINEIRKRTKADVRISKGNKPKCADANDELVELAGKVNNVRDALIQIVLRLRDDVLKEKDSGPNPSVGADSFYSSSASLAVPSLLPSVPPVPPLAFDQRAESGSGLGVLPTSSLYGYGTLPLRAGM >EOY25778 pep chromosome:Theobroma_cacao_20110822:6:1864201:1865013:-1 gene:TCM_027146 transcript:EOY25778 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein MATQGNALVPYTRSLWDMMLPAEDPFRILEHTPLTVPKGVETTLALARADWKETPQAHVISLDIPGMKKDDVKIEVEENRVVRVSGERKDEEQAEGDKWHRAERINGKFWRQFRLPGNADLDHIKAHLEDGVLRIVVPKFAEEIKRQPKVIDIVGEEGPSGQDVEATKATQK >EOY27682 pep chromosome:Theobroma_cacao_20110822:6:22782997:22787548:1 gene:TCM_029470 transcript:EOY27682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNFLLAREDAEKHAGTKSCFYCNKVFNNYRALGGHLRIHQEDKTSRTLNYPGRSSNSMDITRNPPVLLPNSQQNSSGGGNNPTPFSRAPPAFDFSRMFYSNEINQASRSKYTGSNPGVSQTQFIMSPDFSYGCGSGATYHSLASRAFAPAGANAVMSPAAFASSGSVVAAGFPIDSSLYLGTNGVCQFNTDEFRISRDGLPPSSGDALQNVQGPHPCSSVDKGGQYDGRSLLMCEEGKRPYLADGSGGADVMNASKKPKIAPTEHVEPKNPQKKELSLFMDVDDSISASETCCGAEEEGPVDVDLSLHL >EOY27683 pep chromosome:Theobroma_cacao_20110822:6:22785712:22787548:1 gene:TCM_029470 transcript:EOY27683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNFLLAREDAEKHAGTKSCFYCNKVFNNYRALGGHLRIHQEDKTSRTLNYPGRSSNSMDITRNPPVLLPNSQQNSSGGGNNPTPFSRAPPAFDFSRMFYSNEINQASRSKYTGSNPGVSQTQFIMSPDFSYGCGSGATYHSLASRAFAPAGANAVMSPAAFASSGSVVAAGFPIDSSLYLGTNGVCQFNTDEFRISRDGLPPSSGDALQNVQGYNFSPHPCSSVDKGGQYDGRSLLMCEEGKRPYLADGSGGADVMNASKKPKIAPTEHVEPKNPQKKELSLFMDVDDSISASETCCGAEEEGPVDVDLSLHL >EOY26484 pep chromosome:Theobroma_cacao_20110822:6:12642024:12646128:-1 gene:TCM_028237 transcript:EOY26484 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 2 MIMVSVKPLFVLISTLSLFLAIVLLSPSRPFSQPSQSVNSLNMLPIRSLSRGKSDIWSVKRIVEWRPCKWWLQSHLTPLPAKSNGYIRVNCYGGLNQMRRDFCDGVGIARLLNATLVLPKFEVAAYWNESSGFADVFDVNYFIKQMSGFVKVVRELPPEISSKEPFRVDCSKRKGQFDYIESVLPSLLKHHYISITPAMSQRRDRYPQYAKAALCQGCYSALRLTKSLEKKANELLEAIPKPFLALHLRFEPDMVAYSQCQYSGLSPTSIEAIEAARGDDRKPWTGEAARIWRKRGKCPLIPNETAFILQAISVPTNTNIYLAAGDGLMEIEGLTSIYTNVVTKSALLSGEDFKSMHGNTKAALDYYVSINSDSYVATYFGNMDKMVAAMRAFKGLYKTLFLSRRAFSEFTSEGLEGKQLMKALWKVHKEDFVMGRGSALPDCFCEFKL >EOY26485 pep chromosome:Theobroma_cacao_20110822:6:12642558:12645028:-1 gene:TCM_028237 transcript:EOY26485 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 2 MRRDFCDGVGIARLLNATLVLPKFEVAAYWNESSGFADVFDVNYFIKQMSGFVKVVRELPPEISSKEPFRVDCSKRKGQFDYIESVLPSLLKHHYISITPAMSQRRDRYPQYAKAALCQGCYSALRLTKSLEKKANELLEAIPKPFLALHLRFEPDMVAYSQCQYSGLSPTSIEAIEAARGDDRKPWTGEAARIWRKRGKCPLIPNETAFILQAISVPTNTNIYLAAGDGLMEIEGLTSIYTNVVTKSALLSGEDFKSMHGNTKAALDYYVSINSDSYVATYFGNMDKMVAAMRAFKGLYKTLFLSRRAFSEFTSEGLEGKQLMKALWKVHKEDFVMGRGSALPDCFCEFKL >EOY26486 pep chromosome:Theobroma_cacao_20110822:6:12642511:12646130:-1 gene:TCM_028237 transcript:EOY26486 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 2 MRRDFCDGVGIARLLNATLVLPKFEVAAYWNESSGFADVFDVNYFIKQMSGFVKVVRELPPEISSKEPFRVDCSKRKGQFDYIESVLPSLLKHHYISITPAMSQRRDRYPQYAKAALCQGCYSALRLTKSLEKKANELLEAIPKPFLALHLRFEPDMVAYSQCQYSGLSPTSIEAIEAARGDDRKPWTGEAARIWRKRGKCPLIPNETAFILQAISVPTNTNIYLAAGDGLMEIEGLTSIYTNVVTKSALLSGEDFKSMHGNTKAALDYYVSINSDSYVATYFGNMDKMVAAMRAFKGLYKTLFLSRRAFSEFTSEGLEGKQLMKALWKVHKEDFVMGRGSALPDCFCEFKL >EOY26339 pep chromosome:Theobroma_cacao_20110822:6:7675171:7675666:1 gene:TCM_027834 transcript:EOY26339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYITTSQLDNYRARGWEQKVRDLSMPCTNDQPLQTDFHIHTRRVTHYLGICEKVLVNKKSNTENQLVQR >EOY25782 pep chromosome:Theobroma_cacao_20110822:6:1877798:1880782:1 gene:TCM_027148 transcript:EOY25782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Modifier of snc1,4 isoform 2 MLEAPPEAARPWASASSAEILDALPYIDDDYGNPKVKEEVDRLVEEEMRRSTKKPADFLKDLPPLPSFNFQNHPMVGKEYERVRAGRPPVTLDFSSRYQVETPAINKRNDEGSWKQALHRSQRSLQHQVIRLENLELMLKYGPDVWKHNNQRLEGFLARMQKLAQQQNDQIETVNRERKYHQQNTAYELNLCHLQDSEVCFLVTVAFNSNLILCSVLVQRVSF >EOY25781 pep chromosome:Theobroma_cacao_20110822:6:1877713:1882407:1 gene:TCM_027148 transcript:EOY25781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Modifier of snc1,4 isoform 2 MGGNGGEILMLEAPPEAARPWASASSAEILDALPYIDDDYGNPKVKEEVDRLVEEEMRRSTKKPADFLKDLPPLPSFNFQNHPMVGKEYERVRAGRPPVTLDFSSRYQVETPAINKRNDEGSWKQALHRSQRSLQHQVIRLENLELMLKYGPDVWKHNNQRLEGFLARMQKLAQQQNDQIETVNRERKYHQQNTAYELNALSAQWKELCLKNIEIHSACAHIENHIEELRREAAERGWNLEANLENGALSPSG >EOY28822 pep chromosome:Theobroma_cacao_20110822:6:26630912:26634726:-1 gene:TCM_030312 transcript:EOY28822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MEKEIDTQTEMATVFEHTRMPYESALQDDAPSLKNFYSCRPDDTLFMPITAGKDTVFHIAAYRGSEELLRVLLEMVPPSRKRDVLKLKNIHGNTILHEVAVSGKVKAADFLVRTLLLPHGSSTVHEKDIREREEILADRNNLGETPLYRAAAFGSAKMVMYLAKEIEEVGTLHDHFKRNDGISILHIAVIYQNFDSAIWLLNKDPNLASYKMEKDGKTCLHLLASMPTAFRSTSRKNIFTEFLYDRLPDSLGDDDDDDDDKFNPLISSIRTQDLERGRGQPSKISDTSFRMRMYRRLWRCLAKGWKTIEKLWTKKKMNTSAVKLMGMLVRRDASWLEPHEAEEDNLICLDREEEDMEAAPSAKRRSRLPDTPLLTAARTGIQEIVKEILEVYPQAVEHVNQNGQNILHLAILHRHSHIFDLVNQKKEASHRLVLGIDNYGCTILHYTAVMEYYTGGTSTTVALKLQEELKWFKDVQHRIPLYYTMHRNKENLTAKESFNKKHGDQHKAAQEWVKNTSQSCSTVAVLVATVVFAAAYTAPGGYLANGKPLLLDRPLYSFFTVMDVAGLASSLTSVVVFLSVLTSSLEIDDFLRTLPRKLMLGFIFLFFSVTATMLSFTATILLLIHLEKKWTATLTYAAAFLPICVFAMFQFPLYYEYTVAAIRSIVDFIQAILPGNWDLDRFRPN >EOY27380 pep chromosome:Theobroma_cacao_20110822:6:21486460:21487153:1 gene:TCM_029240 transcript:EOY27380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated gene 21, putative MAQLSVKSFQLLSRRSYAVAAENMKVQAVASVTRNATDLRAEVLAEQKETFWMRDPKTGNWIPENHFGDIDVAELREKFLSKKQK >EOY26493 pep chromosome:Theobroma_cacao_20110822:6:13077964:13142400:1 gene:TCM_028269 transcript:EOY26493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCNVGVHMTVIVVCCVSAHTDGICHAWFESAHDSYSGCGSAQADSSYVRCGSAHELVRWEFEIHSRCGKKLSSARSLTSLDFRCSKNAFSPQRETLE >EOY29018 pep chromosome:Theobroma_cacao_20110822:6:27131899:27134153:-1 gene:TCM_030458 transcript:EOY29018 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phosphoglycolate phosphatase 2 isoform 4 MEAIEGESPSRGSGAELLSGASVRALLDSVDAFLFDCDGVIWKGDKLIDGVPQTLEKLRSKGKKLVFVTNNSSKSRSQYADKFRSLGLSVTQDEIFSSSFAAAMYLKVNQFPPHKKVYVIGGEGILQELQLAGFTAVGGPEDGEKKVQLKSSGFFEHDKNVGAVVVGIDPNINYYKLQYGTLCIRENPGCLFIATNRDSVGHMTDLQEWPGKEIKSHLQSLL >EOY29017 pep chromosome:Theobroma_cacao_20110822:6:27131048:27134176:-1 gene:TCM_030458 transcript:EOY29017 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phosphoglycolate phosphatase 2 isoform 4 MEAIEGESPSRGSGAELLSGASVRALLDSVDAFLFDCDGVIWKGDKLIDGVPQTLEKLRSKGKKLVFVTNNSSKSRSQYADKFRSLGLSVTQDEIFSSSFAAAMYLKVNQFPPHKKVYVIGGEGILQELQLAGFTAVGGPEDGEKKVQLKSSGFFEHDKNVGAVVVGIDPNINYYKLQYGTLCIRENPGCLFIATNRDSVGHMTDLQEWPGAGCMVGAICGSTEREPIVVGKPSTFMMDFLLQKFHISTSRMCMVGDRLDTDILFGQNAGCKTLLVLSGVTTQSELDDSSNSIQPDYYTGKVSDILELLGE >EOY29016 pep chromosome:Theobroma_cacao_20110822:6:27131048:27134424:-1 gene:TCM_030458 transcript:EOY29016 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phosphoglycolate phosphatase 2 isoform 4 MEAIEGESPSRGSGAELLSGASVRALLDSVDAFLFDCDGVIWKGDKLIDGVPQTLEKLRSKGKKLVFVTNNSSKSRSQYADKFRSLGLSVTQDEIFSSSFAAAMYLKVNQFPPHKKVYVIGGEGILQELQLAGFTAVGGPEDGEKKVQLKSSGFFEHDKNVGAVVVGIDPNINYYKLQYGTLCIRENPGCLFIATNRDSVGHMTDLQEWPGAGCMVGAICGSTEREPIVVGKPSTFMMDFLLQKFHISTSRMCMVGDRLDTDILFGQNAGCKTLLVLSGVTTQSELDDSSNSIQPDYYTGKVSDILELLGE >EOY29019 pep chromosome:Theobroma_cacao_20110822:6:27131035:27133884:-1 gene:TCM_030458 transcript:EOY29019 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phosphoglycolate phosphatase 2 isoform 4 MYLKVNQFPPHKKVYVIGGEGILQELQLAGFTAVGGPEDGEKKVQLKSSGFFEHDKNVGAVVVGIDPNINYYKLQYGTLCIRENPGCLFIATNRDSVGHMTDLQEWPGAGCMVGAICGSTEREPIVVGKPSTFMMDFLLQKFHISTSRMCMVGDRLDTDILFGQNAGCKTLLVLSGVTTQSELDDSSNSIQPDYYTGKVSDILELLGE >EOY26585 pep chromosome:Theobroma_cacao_20110822:6:14588022:14596296:1 gene:TCM_028423 transcript:EOY26585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCYSSNKSTGRFKSHERKQRHNPSKGGRRLNRPKQSNLGQNHGKGKKPVKKHDENIYHHCGMFGHWLLVYVLLTNLYQAILKDKSKRVETHTIENSTIMTNIEANNASVKINPLALVEARTSLEVFDFLEDPNSQDKALE >EOY25737 pep chromosome:Theobroma_cacao_20110822:6:1481787:1487613:-1 gene:TCM_027110 transcript:EOY25737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factors,DNA binding,DNA binding isoform 3 METGKEEETHVFLDPASRPTKGKRMTKLLDEEAEEDELFWNQEAFKEEDNDANYEEELEVADVFDSDFDEDEPEPDDEAENETEERVRTKKRLIFPGKPSMKKKKKKKVLSKLDGDPKDEKSTQKPTSPQHHDAPDDAEGERIIRKSTRTSVIVRQAERDAIRAALQATMKPVKRKKEGEEKRITQEEMLLEAAQTGCSYLEFSKGSSFQSELSTTLPPYPEKAICAVTGLPAKYRDPKTGLSYATKEAFKIIRERFENEHRSAPKEMDMGVLFDSLSGKGLMPRQRRSQISNRSQTSRFQYLGHFHRTPTDDDEESD >EOY25735 pep chromosome:Theobroma_cacao_20110822:6:1482534:1487314:-1 gene:TCM_027110 transcript:EOY25735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factors,DNA binding,DNA binding isoform 3 METGKEEETHVFLDPASRPTKGKRMTKLLDEEAEEDELFWNQEAFKEEDNDANYEEELEVADVFDSDFDEDEPEPDDEAENETEERVRTKKRLIFPGKPSMKKKKKKKVLSKLDGDPKDEKSTQKPTSPQHHDAPDDAEGERIIRKSTRTSVIVRQAERDAIRAALQATMKPVKRKKEGEEKRITQEEMLLEAAQTEIMNLRNLERVLAREEEVKKRAIIHKPVYSGPQIKYVSKDGCSYLEFSKGSSFQSELSTTLPPYPEKAICAVTGLPAKYRDPKTGLSYATKEAFKIIRERFENEHRSAPKEMDMGVLFDSLSGKGLMPRQRRSQISNRSQTSRFQYLGHFHRTPTDDDEESD >EOY25738 pep chromosome:Theobroma_cacao_20110822:6:1482069:1487398:-1 gene:TCM_027110 transcript:EOY25738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factors,DNA binding,DNA binding isoform 3 METGKEEETHVFLDPASRPTKGKRMTKLLDEEAEEDELFWNQEAFKEEDNDANYEEELEVADVFDSDFDEDEPEPDDEAENETEERVRTKKRLIFPGKPSMKKKKKKKVLSKLDGDPKDEKSTQKPTSPQHHDAPDDAEGERIIRKSTRTSVIVRQAERDAIRAALQATMKPVKRKKEGEEKRITQEEMLLEAAQTGCSYLEFSKGSSFQSELSTTLPPYPEKAICAVTGLPAKYRDPKTGLSYATKEAFKIIRERFENEHRSAPKEMDMGVLFDSLSGKGLMPRQRRSQISNRSQTSRFQYLGHFHRTPTDDDEESD >EOY25736 pep chromosome:Theobroma_cacao_20110822:6:1481793:1487445:-1 gene:TCM_027110 transcript:EOY25736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factors,DNA binding,DNA binding isoform 3 METGKEEETHVFLDPASRPTKGKRMTKLLDEEAEEDELFWNQEAFKEEDNDANYEEELEVADVFDSDFDEDEPEPDDEAENETEERVRTKKRLIFPGKPSMKKKKKKKVLSKLDGDPKDEKSTQKPTSPQHHDAPDDAEGERIIRKSTRTSVIVRQAERDAIRAALQATMKAIKRKKEGEEKRITQEEMLLEAAQTEIMNLRNLERVLAREEEVKKRAIIHKPVYSGPQIKYVSKDGCSYLEFSKGSSFQSELSTTLPPYPEKAICAVTGLPAKYRDPKTGLSYATKEAFKIIRERFENEHRSAPKEMDMGVLFDSLSGKGLMPRQRRSQISNRSQTSRFQYLGHFHRTPTDDDEESD >EOY26639 pep chromosome:Theobroma_cacao_20110822:6:16358433:16359214:-1 gene:TCM_028568 transcript:EOY26639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEEKEVEKKEAKEKKKILALKIILLEEELEELLSVDDEEQAMMARQFRKLTGQKGRKFKRKNYKKEQGPS >EOY27049 pep chromosome:Theobroma_cacao_20110822:6:19872403:19893274:-1 gene:TCM_028995 transcript:EOY27049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein isoform 2 MLLPGGGLGMNSTMDDMNLIQAQRHLVRDLGEEIDLEIGPGDDDPSFANTPSLIGGPPREPSAEEQDENKQMAMVSQLPNDDQDTSKSQTPKRKKKVVKRWREEWADTYKWAYVDVKEGTARIFCSVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIVVDKPIFVKALMSKTAGSIIEAALKRDPHEAEFIQSVQEAVHALERVIAKNSHYVSIMERLLEPERMIVFRVPWVDDRGETHVNRGFRVQFSQALGPCRGGIRFHPTMNLSIAKFLGFEQTLKNALSPYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMNEIYRYFGPDKDLPSEEMGVGIREMGYLFGQYRRLAGHFQGSFTGPRIFWSGSSLRTEATAYGLVFFSQLILAEMNKDIKGLRCVVSGFGKIAMHVLEKLVAVGALPITVSDSKGYLVDEDGFDYMKISFLRDLKAQQRSLRDYSKTYARSKYYDEAKPWNERCDVAFPCGSQNEIDQADAINLVNVLVIFLGSNMPCTPEAVDVLKKANVLIAPAMAAGSGGVVAGEIELNHECNVMHWSPEDFESKLQEAMKQTFHRALKAANDFGYQKESPEALLHGAVISAFLAIAQAMTDQGCV >EOY27048 pep chromosome:Theobroma_cacao_20110822:6:19872412:19893210:-1 gene:TCM_028995 transcript:EOY27048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein isoform 2 MLLPGGGLGMNSTMDDMNLIQAQRHLVRDLGEEIDLEIGPGDDDPSFANTPSLIGGPPREPSAEEQDENKQMAMVSQLPNDDQDTSKSQTPKRKKKVVKRWREEWADTYKWAYVDVKEGTARIFCSVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIVVDKPIFVKALMSKTAGSIIEAALKRDPHEAEFIQSVQEAVHALERVIAKNSHYVSIMERLLEPERMIVFRVPWVDDRGETHVNRGFRVQFSQALGPCRGGIRFHPTMNLSIAKFLGFEQTLKNALSPYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMNEIYRYFGPDKDLPSEEMGVGIREMGYLFGQYRRLAGHFQGSFTGPRIFWSGSSLRTEATAYGLVFFSQLILAEMNKDIKGLRCVVSGFGKIAMHVLEKLVAVGALPITVSDSKGYLVDEDGFDYMKISFLRDLKAQQRSLRDYSKTYARSKYYDEAKPWNERCDVAFPCGSQNEIDQADAINLVNSGCRILVEGSNMPCTPEAVDVLKKANVLIAPAMAAGSGGVVAGEIELNHECNVMHWSPEDFESKLQEAMKQTFHRALKAANDFGYQKESPEALLHGAVISAFLAIAQAMTDQGCV >EOY27050 pep chromosome:Theobroma_cacao_20110822:6:19872816:19891628:-1 gene:TCM_028995 transcript:EOY27050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein isoform 2 MLLPGGGLGMNSTMDDMNLIQAQRHLVRDLGEEIDLEIGPGDDDPSFANTPSLIGGPPREPSAEEQDENKQMAMVSQLPNDDQDTSKSQTPKRKKKVVKRWREEWADTYKWAYVDVKEGTARIFCSVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIVVDKPIFVKALMSKTAGSIIEAALKRDPHEAEFIQSVQEAVHALERVIAKNSHYVSIMERLLEPERMIVFRVPWVDDRGETHVNRGFRVQFSQALGPCRGGIRFHPTMNLSIAKFLGFEQTLKNALSPYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMNEIYRYFGPDKDLPSEEMGVGIREMGYLFGQYRRLAGHFQGSFTGPRIFWSGSSLRTEATAYGLVFFSQLILAEMNKDIKGLRCVVSGFGKIAMHVLEKLVAVGALPITVSDSKGYLVDEDGFDYMKISFLRDLKAQQRSLRDYSKTYARSKYYDEAKPWNERCDVAFPCGSQNEIDQADAINLVNVLVIFLGSNMPCTPEAVDVLKKANVLIAPAMAAGSGGVVAGEIELNHECNVMHWSPEDFESKLQEAMKQTFHRALKAANDFGYQKESPEALLHGAVISAFLAIAQAMTDQGCV >EOY28671 pep chromosome:Theobroma_cacao_20110822:6:26193140:26193976:1 gene:TCM_030206 transcript:EOY28671 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MKMRKSSNIQSLPREMLAEILKHAASNSITDFVNAQMSCKAFLGASNDYQILENVSMANISFVPWYKNEKIFLKKCKDAKNSEALYRKGMINCFNRRKLESGLCYLKKAVEKGHFEAKYTYGIMLICLGAELKSQGLQIVSSLDLTSSNTRFKIASCRSKTENMLSSMWVYVSLDRPKESANNAKVNCKCDDIITPRPNRWEANNTLHDNLPCCDSCFWDHEATLFSSMLRKYLINKVH >EOY26773 pep chromosome:Theobroma_cacao_20110822:6:17761843:17766324:-1 gene:TCM_028731 transcript:EOY26773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein, putative MFDLLMKPKFYAKCKSDIRMIKMRLETIQKKRNTVEKYLKNDIAELLRSGLDYNAYGRAEGLLIEQNRTACYNLIEQFSECISKHVSVMQKSSECPEECKEAIPSLIYAAARFADLPELRDLRTMFTERYGNSLESFLNQEFVWKLKAEPPTKEMKLQLMHDVAQEFSIEWDSKALEQKLFKPPPPQQNEAWHKSLDDADDDGHKLYRSKNDTFQKSNNHDDENGLGNMLENTRPKRKETDLTSHGRKDDTDDKYKLHSSSEDELSDKVFPNTSSTSVESVSEDNIENRKPFYYRFMPPPYVRPSLGKEKSSTEEPTTPSDNTDNEKNRKWDDSVGESKPKPRSVRRRPLKTPPGREVLSSDENDGAAKNFSSSAVNREEARKGLASIQMEESDERDNEEKMMDGLLMHYSTKKSPYESASKWKANLRLAPGRQTAEDSSKGSRFRSTKSDPNSPPGRAATFPKEATSPTETTGRHARASSLQPDMFAGHVHPKLPEYDDLAARLAALRGG >EOY26167 pep chromosome:Theobroma_cacao_20110822:6:5199861:5201614:-1 gene:TCM_027584 transcript:EOY26167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METNDHTNVTDDGLSWKSMKALKPYFHHKFYFENDNPLSCSDATVLDNLILNTSPIHSFLHASACASTAII >EOY26002 pep chromosome:Theobroma_cacao_20110822:6:3513991:3519316:-1 gene:TCM_027390 transcript:EOY26002 gene_biotype:protein_coding transcript_biotype:protein_coding description:H(+)-ATPase 9 MADRSISLEEIKNETVDLESKFLKFLGFMWNPLSWVMEIAAIMAICLANGGGKPPDWQDFAGILVLLFINSTISFVEENNAGNAAAALMAGLAPKTKVLRDERWCEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVNKNPGDEVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAVGMIIEVVVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSMIEVFTNNVDKDMVILLAARASRVENQDAIDACIVGMLGDPKEARAGITEVHFFPFNPVDKRTAMTYIESDGSWHRVSKGAPEQIIELCNLRDELKKKAHDVIANFADRGLRSLAVAKQTVPEKTKESPGESWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDETIEAIAVDELIEKADGFAGVFPGSVVVVLTDGYLSEHKYEIVKRLQQRNHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLKEIFATGIVLGAYLACMTVVFFWAAQESDFFSDKFGVRSIRNSHEELTAAVYLQVSIVSQALIFVTRSRSWSFIERPGLLLVTAFIIAQLVATILAVYANWGFARIKGIGWGWAGVIWIYSVVFYFPLDVLKFLIRYSLSGKAWDNLLQNKTAFTTKKDYGRGEREAQWAMAQRTLHGLQPPETTDLFNEKTNYRELSEIAEQARKRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >EOY28218 pep chromosome:Theobroma_cacao_20110822:6:24615964:24617706:-1 gene:TCM_029849 transcript:EOY28218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit 7 (eIF-3) isoform 1 MVGGFEVGAVPFNPDGWGPPDSVTTAPTTTTLPLHVPFAPFSRSEKLGRIADFTRSFPSSNANPSNRPSSAKPGGANSSDAPFDFSLDLDAFPLANPDDDSSFRLVDAKPPPRPKFGPKWRFNQHRPQLPQRRDEEVEARKREAEKERARRDRLYNLNRSNQNQPRREAAIFKSSVDIQPEWNMLDQIPFSTFSKLSFSVPEPEDLLLCGALEYYDRSFDRITPKNERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDTILATLMCAPRSVYSWDIVIQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKDDINSAYSLSVEAAYINQNFSQQVLVRDGNKVSFDEPNPFANEGDEVASVAYRYRRWKLDNDMYLVARCEVQSVVEVNKQKSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLASADLMKLGYVSRVHPRDHFNHVILAVVGYKPRDFAAQINLNTANMWGIVKSIVDLCMKLNEGKYVLVKDPSKPQVRIYEVPADAFENDYVEEPLPEDEQVQPPTEDAEGGEANGTTNDVEDKDIETQN >EOY28219 pep chromosome:Theobroma_cacao_20110822:6:24614751:24617794:-1 gene:TCM_029849 transcript:EOY28219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit 7 (eIF-3) isoform 1 MVGGFEVGAVPFNPDGWGPPDSVTTAPTTTTLPLHVPFAPFSRSEKLGRIADFTRSFPSSNANPSNRPSSAKPGGANSSDAPFDFSLDLDAFPLANPDDDSSFRLVDAKPPPRPKFGPKWRFNQHRPQLPQRRDEEVEARKREAEKERARRDRLYNLNRSNQNQPRREAAIFKSSVDIQPEWNMLDQIPFSTFSKLSFSVPEPEDLLLCGALEYYDRSFDRITPKNERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDTILATLMCAPRSVYSWDIVIQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKDDINSAYSLSVEAAYINQNFSQQVLVRDGNKVSFDEPNPFANEGDEVASVAYRYRRWKLDNDMYLVARCEVQSVVEVNKQKSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLASADLMKLGYVSRVHPRDHFNHVILAVVGYKPRDFAAQINLNTANMWGIVKSIVDLCMKLNEGKYVLVKDPSKPQVRIYEVPADAFENDYVEEPLPEDEQVQPPTEDAEGGEANGTTNDVEDKDIETQN >EOY28220 pep chromosome:Theobroma_cacao_20110822:6:24611016:24617797:-1 gene:TCM_029849 transcript:EOY28220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit 7 (eIF-3) isoform 1 MVGGFEVGAVPFNPDGWGPPDSVTTAPTTTTLPLHVPFAPFSRSEKLGRIADFTRSFPSSNANPSNRPSSAKPGGANSSDAPFDFSLDLDAFPLANPDDDSSFRLVDAKPPPRPKFGPKWRFNQHRPQLPQRRDEEVEARKREAEKERARRDRLYNLNRSNQNQPRREAAIFKSSVDIQPEWNMLDQIPFSTFSKLSFSVPEPEDLLLCGALEYYDRSFDRITPKNERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDTILATLMCAPRSVYSWDIVIQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKDDINSAYSLSVEAAYINQNFSQQVLVRDGNKVSFDEPNPFANEGDEVASVAYRYRRWKLDNDMYLVARCEVQSVVEVNKQKSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLASADLMKLGYVSRVHPRDHFNHVILAVVGYKPRDFAAQINLNTANMWGIVKSIVDLCMKLNEGKYVLVKDPSKPQVRIYEVPADAFENDYVEEPLPEDEQVQPPTEDAEGGEANGTTNDVEDKDIETQN >EOY26547 pep chromosome:Theobroma_cacao_20110822:6:13899098:13900845:1 gene:TCM_028350 transcript:EOY26547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPKDRRVRSLSFDRSRVSPYPCSSRGADGGLQPKLVCPLCRGAIYGWSVVEPARRFMNSKARNCSSETCDFSGTYGELRKHARSEHPLVRPTEVDPERQHDWTRLERERDYEDMLSSIQPVVREESDGESIPDLDDFRSWLTLNLAYLTLALELISDPRSTERGQFGRPGVTMFSYLGGTNRANGEHNSSVPDRDLLGHRNSSSLGERFQGRHRSSQGMLQPRHHSSPSESSFRGRRQSSQADRARRGQGLLWRANGSHTFNNRP >EOY26546 pep chromosome:Theobroma_cacao_20110822:6:13898960:13901524:1 gene:TCM_028350 transcript:EOY26546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPKDRRVRSLSFDRSRVSPYPCSSRDAKQCLPENPLGLGFGSAKDVKEWEDTRCPICMEHPHNAVLLRCSSFEKGCRPFMCNTSYRHSNCLDQFWADGGLQPKLVCPLCRGAIYGWSVVEPARRFMNSKARNCSSETCDFSGTYGELRKHARSEHPLVRPTEVDPERQHDWTRLERERDYEDMLSSIQPVVREESDGESIPDLDDFRSWLTLNLAYLTLALELISDPRSTERGQFGRPGVTMFSYLGGTNRANGEHNSSVPDRDLLGHRNSSSLGERFQGRHRSSQGMLQPRHHSSPSESSFRGRRQSSQADRARRGQGLLWRANGSHTFNNRP >EOY26399 pep chromosome:Theobroma_cacao_20110822:6:9428264:9429707:1 gene:TCM_027978 transcript:EOY26399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MEEKSAASTIRAAPAVEQPESPPHPPPPTGIPAMPPEVAQALAVFFIAMAGQAQTGQVPPIVPPATPTVPPMPDISISKKLKEAREFDGQYYTHFHQKEKRREFLDLKQGNLTVEEYKARFNELMLYVPDLVKSEQDQASYFEEELRNEIRE >EOY28513 pep chromosome:Theobroma_cacao_20110822:6:25587662:25588751:1 gene:TCM_030055 transcript:EOY28513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT1G61667) TAIR;Acc:AT1G61667] MSLSLKTLTPTSFFILFLLFPLTVTSSIQDLLQGQGLPAGLFPDNVKSYKLDPDGRLEVHLETPCMAKFDGRVHFDRVVRANLSYGGLVGLEGLSQEELFLWLPVKGIIVNDPSSGLILFDIGVAHKQLSLSLFEDPPVCKPQVLVEKVGRKKKEFQDQR >EOY28512 pep chromosome:Theobroma_cacao_20110822:6:25587648:25588688:1 gene:TCM_030055 transcript:EOY28512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT1G61667) TAIR;Acc:AT1G61667] MSLSLKTLTPTSFFILFLLFPLTVTSSIQDLLQGQGLPAGLFPDNVKSYKLDPDGRLEVHLETPCMAKFDGRVHFDRVVRANLSYGGLVGLEGLSQEELFLWLPVKGIIVNDPSSGLILFDIGVAHKQLSLSLFEDPPVCKPQEVLVEKVGRKKKEFQDQR >EOY27758 pep chromosome:Theobroma_cacao_20110822:6:23052682:23054011:1 gene:TCM_029527 transcript:EOY27758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPDSKVPADDDGGCPPCNNLLSSPPPRRAEWEMSLVYRVKLSKSVSSTSKRYFSENLPRLENKSLKKITLWYCKSSEHIDKHPEIGDIRRSFRREIFSKVLQQVGKSVFAGGIEDGGSAMTDVVVKIHLVQELGSLRLLEDREEDQCALCLEGLSLALNLILPCWHMYHRNCILPWLRTKQECPVCRHHLPRHINLH >EOY28851 pep chromosome:Theobroma_cacao_20110822:6:26699076:26703374:1 gene:TCM_030332 transcript:EOY28851 gene_biotype:protein_coding transcript_biotype:protein_coding description:EPS15 domain 2 isoform 3 MEASPIPIGSCSKEHQKIYRDWFNVADSDGDGRVTGNDATKFFSMSKLSRQELKQIWAIADSKRQGFLGLTEFIVAMQLVSLAQAGNELTSDVLKSSVDAENISLPIMEGLDALAVKSKDSTTNGEPEMNGTTHPQVPTSAKWFTSKSARKTPASAVTSIIDGLKRLYIEKLKPLEVTYRFNDFVSPFLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLQCDYPGAHIGPEPTTDRFVVVMNGPDERSIPGNTIAVHADLPFGGLTTFGGAFLSKFECSQMPHPLLDQITFVDTPGVLSGEKQRTQRSYDFTGVISWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGNDDKIRVVLNKAHQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKLLKINEFVKRARAAKIHAYIISHLKKEMPAMMGKAKAQQRLIDNLEDEFGKVQRDFHLPAGDFPSVEHYREVLNGYSIDKFEKLKPKMIQAVDDMLGYEIPELLKNFRNPYE >EOY28850 pep chromosome:Theobroma_cacao_20110822:6:26699063:26703632:1 gene:TCM_030332 transcript:EOY28850 gene_biotype:protein_coding transcript_biotype:protein_coding description:EPS15 domain 2 isoform 3 MEASPIPIGSCSKEHQKIYRDWFNVADSDGDGRVTGNDATKFFSMSKLSRQELKQIWAIADSKRQGFLGLTEFIVAMQLVSLAQAGNELTSDVLKSSVDAENISLPIMEGLDALAVKSKDSTTNGEPEMNGTTHPQVPTSAKWFTSKSARKTPASAVTSIIDGLKRLYIEKLKPLEVTYRFNDFVSPFLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLQCDYPAGAHIGPEPTTDRFVVVMNGPDERSIPGNTIAVHADLPFGGLTTFGGAFLSKFECSQMPHPLLDQITFVDTPGVLSGEKQRTQRSYDFTGVISWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGNDDKIRVVLNKAHQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKLLNEAAIGPLGQDLFEKEQDDLLKDLIDIPRKACDRRINEFVKRARAAKIHAYIISHLKKEMPAMMGKAKAQQRLIDNLEDEFGKVQRDFHLPAGDFPSVEHYREVLNGYSIDKFEKLKPKMIQAVDDMLGYEIPELLKNFRNPYE >EOY28848 pep chromosome:Theobroma_cacao_20110822:6:26699041:26703917:1 gene:TCM_030332 transcript:EOY28848 gene_biotype:protein_coding transcript_biotype:protein_coding description:EPS15 domain 2 isoform 3 MEASPIPIGSCSKEHQKIYRDWFNVADSDGDGRVTGNDATKFFSMSKLSRQELKQIWAIADSKRQGFLGLTEFIVAMQLVSLAQAGNELTSDVLKSSVDAENISLPIMEGLDALAVSKDSTTNGEPEMNGTTHPQVPTSAKWFTSKSARKTPASAVTSIIDGLKRLYIEKLKPLEVTYRFNDFVSPFLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLQCDYPGAHIGPEPTTDRFVVVMNGPDERSIPGNTIAVHADLPFGGLTTFGGAFLSKFECSQMPHPLLDQITFVDTPGVLSGEKQRTQRSYDFTGVISWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGNDDKIRVVLNKAHQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKLLNEAAIGPLGQDLFEKEQDDLLKDLIDIPRKACDRRINEFVKRARAAKIHAYIISHLKKEMPAMMGKAKAQQRLIDNLEDEFGKVQRDFHLPAGDFPSVEHYREVLNGYSIDKFEKLKPKMIQAVDDMLGYEIPELLKNFRNPYE >EOY28849 pep chromosome:Theobroma_cacao_20110822:6:26699076:26703374:1 gene:TCM_030332 transcript:EOY28849 gene_biotype:protein_coding transcript_biotype:protein_coding description:EPS15 domain 2 isoform 3 MEASPIPIGSCSKEHQKIYRDWFNVADSDGDGRVTGNDATKFFSMSKLSRQELKQIWAIADSKRQGFLGLTEFIVAMQLVSLAQAGNELTSDVLKSSVDAENISLPIMEGLDALAVKSKDSTTNGEPEMNGTTHPQVPTSAKWFTSKSARKTPASAVTSIIDGLKRLYIEKLKPLEVTYRFNDFVSPFLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLQCDYPGAHIGPEPTTDRFVVVMNGPDERSIPGNTIAVHADLPFGGLTTFGGAFLSKFECSQMPHPLLDQITFVDTPGVLSGEKQRTQRSYDFTGVISWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGNDDKIRVVLNKAHQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKLLNEAAIGPLGQDLFEKEQDDLLKDLIDIPRKACDRRINEFVKRARAAKIHAYIISHLKKEMPAMMGKAKAQQRLIDNLEDEFGKVLLCFISLSSHLFPFIFIRFSLLVLARKQVQRDFHLPAGDFPSVEHYREVLNGYSIDKFEKLKPKMIQAVDDMLGYEIPELLKNFRNPYE >EOY28847 pep chromosome:Theobroma_cacao_20110822:6:26699041:26703917:1 gene:TCM_030332 transcript:EOY28847 gene_biotype:protein_coding transcript_biotype:protein_coding description:EPS15 domain 2 isoform 3 MEASPIPIGSCSKEHQKIYRDWFNVADSDGDGRVTGNDATKFFSMSKLSRQELKQIWAIADSKRQGFLGLTEFIVAMQLVSLAQAGNELTSDVLKSSVDAENISLPIMEGLDALAVKSKDSTTNGEPEMNGTTHPQVPTSAKWFTSKSARKTPASAVTSIIDGLKRLYIEKLKPLEVTYRFNDFVSPFLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLQCDYPGAHIGPEPTTDRFVVVMNGPDERSIPGNTIAVHADLPFGGLTTFGGAFLSKFECSQMPHPLLDQITFVDTPGVLSGEKQRTQRSYDFTGVISWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGNDDKIRVVLNKAHQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKLLNEAAIGPLGQDLFEKEQDDLLKDLIDIPRKACDRRINEFVKRARAAKIHAYIISHLKKEMPAMMGKAKAQQRLIDNLEDEFGKVQRDFHLPAGDFPSVEHYREVLNGYSIDKFEKLKPKMIQAVDDMLGYEIPELLKNFRNPYE >EOY26476 pep chromosome:Theobroma_cacao_20110822:6:12543654:12545110:-1 gene:TCM_028225 transcript:EOY26476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiol-disulfide oxidoreductase DCC isoform 3 MKKCFQQLLSSMALLLSGGCARLNFPTSAQSTNRFTVFATLSRPRPDTVNWVEATSSFFDHDTRPIMLFDGVCNLCNGGVRFVRDVDRNRRIRFESLQSEAGKKLLMRSGRAPDDISSVVLVEKDRSYIKSEAVLKIMEYLDLPLPQLAFVLQFVPLFVRDFMYDNVANNRYALFGYSDSCEI >EOY26477 pep chromosome:Theobroma_cacao_20110822:6:12543803:12545107:-1 gene:TCM_028225 transcript:EOY26477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiol-disulfide oxidoreductase DCC isoform 3 MKKCFQQLLSSMALLLSGGCARLNFPTSAQSTNRFTVFATLSRPRPDTVNWVEATSSFFDHDTRPIMLFDGVCNLCNGGVRFVRDVDRNRRIRFESLQSEAGKKLLMRSGRAPDDISSVVLVEKDRSYIKSEAVLKIMEYLDLPLPQLAFVLQFVPL >EOY26475 pep chromosome:Theobroma_cacao_20110822:6:12542130:12558152:-1 gene:TCM_028225 transcript:EOY26475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiol-disulfide oxidoreductase DCC isoform 3 MTIFQLSTSWTLDSVGSEWYPTFHVEPDKSPLNHFLPKRERKKMKKCFQQLLSSMALLLSGGCARLNFPTSAQSTNRFTVFATLSRPRPDTVNWVEATSSFFDHDTRPIMLFDGVCNLCNGGVRFVRDVDRNRRIRFESLQSEAGKKLLMRSGRAPDDISSVVLVEKDRSYIKSEAVLKIMEYLDLPLPQLAFVLQFVPLFVRDFMYDNVANNRYALFGYSDS >EOY26238 pep chromosome:Theobroma_cacao_20110822:6:6276468:6280608:-1 gene:TCM_027696 transcript:EOY26238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein isoform 5 RKAKSSVNSLFHLLISFSCLVHGKVEEVVGYGYAIQSVGVDQSGKLLKADLRLIKNSTIFGPDIQNLNLIASFDAGERLRIRITDSDDERWEVPQEIIPRRHGSFPQNHSSSLERRVLTHPSSNLIFTLYNTTPFGFAVSRRFSGDILFDTSPDASDSGTFLVFKDQYIQLSSSLPKNRSSLYGLGEHTKSSFKLRTNDTLTLWNADIGSANPDVNLYGSHPFYLDVRLGSEDGRVRTGSSHGVLLLNSNGMDIIYGGDRITYKIIGGIIDLFIFEGPSPEMVVQQYTGLIGRPAPMPYWSFGFHQCRWGYKNVSDIEGVVAGYAKAGIPLEVMWTDIDYMDGFKDFTLDPINFPQEHMKNFVDTLHQNGQKYVLILDPGISVNKSYATYIRGMQADIFIKRDGIPYLGQVWPGSVYFPDFVNPEGRAFWGNEIKLFQDLLPFDGLWLDMNEISNFITSPPTPSSTFDSPPYLINNAGIRRPINNLTVPATSLHFGNITVYNAHNLYGLLEAKATNAALINVTGKRPFILSRSTFVGSGKYTAHWTGDNAATWDDLAYSIPSILSFGIFGIPMVGADICGFSGNTTEELCRRWIQLGAFYPFARDHSALNTRRQELYLWESVAATAKKVLGLRYQLLPHMYTLMYEAHTKGIPIARPLFFSFPRDINTYEISSQFLIGNGILVSPVLKPGAVSVDAYFPAGNWFDLFNHNISIIVENGEYIMLDAPPDHINVHVREGSILVLQGEALTTKEARSMPFHLLVVASSKENSSGQVFLDDGEEVEMGGESRNWSLVKFHAVVVGDKLTIRSSVVNGEFAVSRNWTIDKLTFIGLEKVNGIKGYELPTNKNGNIYVTTSFHSNGDQFGIAEMSDLSLLVGEEFQLELKLNN >EOY26235 pep chromosome:Theobroma_cacao_20110822:6:6276468:6280716:-1 gene:TCM_027696 transcript:EOY26235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein isoform 5 MDKKRGRKAKSSVNSLFHLLISFSCLVHGKVEEVVGYGYAIQSVGVDQSGKLLKADLRLIKNSTIFGPDIQNLNLIASFDAGERLRIRITDSDDERWEVPQEIIPRRHGSFPQNHSSSLERRVLTHPSSNLIFTLYNTTPFGFAVSRRFSGDILFDTSPDASDSGTFLVFKDQYIQLSSSLPKNRSSLYGLGEHTKSSFKLRTNDTLTLWNADIGSANPDVNLYGSHPFYLDVRLGSEDGRVRTGSSHGVLLLNSNGMDIIYGGDRITYKIIGGIIDLFIFEGPSPEMVVQQYTGLIGRPAPMPYWSFGFHQCRWGYKNVSDIEGVVAGYAKAGIPLEVMWTDIDYMDGFKDFTLDPINFPQEHMKNFVDTLHQNGQKYVLILDPGISVNKSYATYIRGMQADIFIKRDGIPYLGQVWPGSVYFPDFVNPEGRAFWGNEIKLFQDLLPFDGLWLDMNEISNFITSPPTPSSTFDSPPYLINNAGIRRPINNLTVPATSLHFGNITVYNAHNLYGLLEAKATNAALINVTGKRPFILSRSTFVGSGKYTAHWTGDNAATWDDLAYSIPSILSFGIFGIPMVGADICGFSGNTTEELCRRWIQKHSQFGLIQKPKERRNSRINMTGLLPRAIPALNCTKPSKKSGSLWCLCFPFNDYDVLMLQLGAFYPFAEYLGAARRSPDDKRSSKHAISPPSCG >EOY26236 pep chromosome:Theobroma_cacao_20110822:6:6276736:6280625:-1 gene:TCM_027696 transcript:EOY26236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein isoform 5 MDKKRGRKAKSSVNSLFHLLISFSCLVHGKVEEVVGYGYAIQSVGVDQSGKLLKADLRLIKNSTIFGPDIQNLNLIASFDAGERLRIRITDSDDERWEVPQEIIPRRHGSFPQNHSSSLERRVLTHPSSNLIFTLYNTTPFGFAVSRRFSGDILFDTSPDASDSGTFLVFKDQYIQLSSSLPKNRSSLYGLGEHTKSSFKLRTNDTLTLWNADIGSANPDVNLYGSHPFYLDVRLGSEDGRVRTGSSHGVLLLNSNGMDIIYGGDRITYKIIGGIIDLFIFEGPSPEMVVQQYTGLIGRPAPMPYWSFGFHQCRWGYKNVSDIEGVVAGYAKAGIPLEVMWTDIDYMDGFKDFTLDPINFPQEHMKNFVDTLHQNGQKYVLILDPGISVNKSYATYIRGMQADIFIKRDGIPYLGQVWPGSVYFPDFVNPEGRAFWGNEIKLFQDLLPFDGLWLDMNEISNFITSPPTPSSTFDSPPYLINNAGIRRPINNLTVPATSLHFGNITVYNAHNLYGLLEAKATNAALINVTGKRPFILSRSTFVGSGKYTAHWTGDNAATWDDLAYSIPSILSFGIFGIPMVGADICGFSGNTTEELCRRWIQKHSQFGLIQKPKERRNSRINMTGLLPRAIPALNCTKPSKKSGSLWCLCFPFNDYDVLMLQLGAFYPFARVLGLRYQLLPHMYTLMYEAHTKGIPIARPLFFSFPRDINTYEISSQFLIGNGILVSPVLKPGAVSVDAYFPAGNWFDLFNHNISIIVENGEYIMLDAPPDHINVHVREGSILVLQGEALTTKEARSMPFHLLVVASSKENSSGQVFLDDGEEVEMGGESRNWSLVKFHAVVVGDKLTIRSSVVNGEFAVSRNWTIDKLTFIGLEKVNGIKGYELPTNKNGNIYVTTSFHSNGDQFGIAEMSDLSLLVGEEFQLELKLNN >EOY26234 pep chromosome:Theobroma_cacao_20110822:6:6265421:6337204:-1 gene:TCM_027696 transcript:EOY26234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein isoform 5 MDKKRGRKAKSSVNSLFHLLISFSCLVHGKVEEVVGYGYAIQSVGVDQSGKLLKADLRLIKNSTIFGPDIQNLNLIASFDAGERLRIRITDSDDERWEVPQEIIPRRHGSFPQNHSSSLERRVLTHPSSNLIFTLYNTTPFGFAVSRRFSGDILFDTSPDASDSGTFLVFKDQYIQLSSSLPKNRSSLYGLGEHTKSSFKLRTNDTLTLWNADIGSANPDVNLYGSHPFYLDVRLGSEDGRVRTGSSHGVLLLNSNGMDIIYGGDRITYKIIGGIIDLFIFEGPSPEMVVQQYTGLIGRPAPMPYWSFGFHQCRWGYKNVSDIEGVVAGYAKAGIPLEVMWTDIDYMDGFKDFTLDPINFPQEHMKNFVDTLHQNGQKYVLILDPGISVNKSYATYIRGMQADIFIKRDGIPYLGQVWPGSVYFPDFVNPEGRAFWGNEIKLFQDLLPFDGLWLDMNEISNFITSPPTPSSTFDSPPYLINNAGIRRPINNLTVPATSLHFGNITVYNAHNLYGLLEAKATNAALINVTGKRPFILSRSTFVGSGKYTAHWTGDNAATWDDLAYSIPSILSFGIFGIPMVGADICGFSGNTTEELCRRWIQLGAFYPFARDHSALNTRRQELYLWESVAATAKKVLGLRYQLLPHMYTLISQFLIGNGILVSPVLKPGAVSVDAYFPAGNWFDLFNHNISIIVENGEYIMLDAPPDHINVHVREGSILVLQGEALTTKEARSMPFHLLVVASSKENSSGQVFLDDGEEVEMGGESRNWSLVKFHAVVVGDKLTIRSSVVNGEFAVSRNWTIDKLTFIGLEKVNGIKGYELPTNKNGNIYVTTSFHSNGDQFGIAEMSDLSLLVGEEFQLELKLNN >EOY26237 pep chromosome:Theobroma_cacao_20110822:6:6276468:6280716:-1 gene:TCM_027696 transcript:EOY26237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein isoform 5 MDKKRGRKAKSSVNSLFHLLISFSCLVHGKVEEVVGYGYAIQSVGVDQSGKLLKADLRLIKNSTIFGPDIQNLNLIASFDAGERLRIRITDSDDERWEVPQEIIPRRHGSFPQNHSSSLERRVLTHPSSNLIFTLYNTTPFGFAVSRRFSGDILFDTSPDASDSGTFLVFKDQYIQLSSSLPKNRSSLYGLGEHTKSSFKLRTNDTLTLWNADIGSANPDVNLYGSHPFYLDVRLGSEDGRVRTGSSHGVLLLNSNGMDIIYGGDRITYKIIGGIIDLFIFEGPSPEMVVQQYTGLIGRPAPMPYWSFGFHQCRWGYKNVSDIEGVVAGYAKAGIPLEVMWTDIDYMDGFKDFTLDPINFPQEHMKNFVDTLHQNGQKYVLILDPGISVNKSYATYIRGMQADIFIKRDGIPYLGQVWPGSVYFPDFVNPEGRAFWGNEIKLFQDLLPFDGLWLDMNEISNFITSPPTPSSTFDSPPYLINNAGIRRPINNLTVPATSLHFGNITVYNAHNLYGLLEAKATNAALINVTGKRPFILSRSTFVGSGKYTAHWTGDNAATWDDLAYSIPSILSFGIFGIPMVGADICGFSGNTTEELCRRWIQVRTFSIWTDSKTERKKKL >EOY28309 pep chromosome:Theobroma_cacao_20110822:6:24881865:24888377:1 gene:TCM_029911 transcript:EOY28309 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAPL protein, putative isoform 6 MIVRTYGRRNRGLTRTFSDSLDDDVSDSPPLSQETAPSQDIYSFPFTSQESSSFWPSSQEFNDDVYKNQVTTHRTTSNFDFDDSRNGVVRRSKKQKKNQSKTEVGYSSMPWISSTSTLMEAQEFGEMMEHVDEVNFALDGLKKGQPVRIRRASFLSLLSICGTAQQRRLLRTHGMAKTIIDAILGLNFDDTPSNLAAVALFYVLTSDGQDEHLLESPSCIRFLIKLLKPVIPTAKENKTGKVGSKLLALRKGADMSRDTTKMLDSSSAAIISKVEEILVSCKEMKSRHGDDSGLRRPELIPKWIALLTLEKACLSKISLEDTTGTVRKTGGNFKEKLRELGGLDAVFEVAMECHSVMEVRVKQSLPSPHIEDKKDVQSLVLLSKCLKIMENAAFLSSDNQSHLLEMKGQLNSDGCRLSFTRLVISVIKILSGLYLKSSSASSSTERAFSNSKARVDTDELALAADCKVGRHDVISVNSSEKFSSLEWSFSEKSFNISQSDPGPSTHCLGRSVSSFRSTPTSTNDSYLLKMRIHSSLSSSSSGKLGSSDDGIPVTSNGSGTLCERPDDTKAGKWQLLEDSQDPYAFGEDDFVPSKWDLLSRKQKIPRTKKHEKLGLRNGEIQDEHQFQFTISQQESSNGEICQTEFTNEEYRHSNATSGSQSAEEEYSSLLSDCLLAAVKVLMNLTNDNPLGCQQIAASGALETLSTLIASHFPSFCSYLPRVSEMEENSLSLELHDRNDRPLTDPELDFLVAILGLLVNLVEKDEHNRSRLAAASVFVPNSEGLAEKSQMAVIPLLCAIFLANQGEDDAAGEVLPWNDEAAVLQEEKEAEKMILEAYAALLLAFLSTERAHEMQLLI >EOY28306 pep chromosome:Theobroma_cacao_20110822:6:24881757:24889066:1 gene:TCM_029911 transcript:EOY28306 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAPL protein, putative isoform 6 MIVRTYGRRNRGLTRTFSDSLDDDVSDSPPLSQETAPSQDIYSFPFTSQESSSFWPSSQEFNDDVYKNQVTTHRTTSNFDFDDSRNGVVRRSKKQKKNQSKTEVGYSSMPWISSTSTLMEAQEFGEMMEHVDEVNFALDGLKKGQPVRIRRASFLSLLSICGTAQQRRLLRTHGMAKTIIDAILGLNFDDTPSNLAAVALFYVLTSDGQDEHLLESPSCIRFLIKLLKPVIPTAKENKTGKVGSKLLALRKGADMSRDTTKMLDSSSAAIISKVEEILVSCKEMKSRHGDDSGLRRPELIPKWIALLTLEKACLSKISLEDTTGTVRKTGGNFKEKLRELGGLDAVFEVAMECHSVMEVRVKQSLPSPHIEDKKDVQSLVLLSKCLKIMENAAFLSSDNQSHLLEMKGQLNSDGCRLSFTRLVISVIKILSGLYLKSSSASSSTERAFSNSKARVDTDELALAADCKVGRHDVISVNSSEKFSSLEWSFSEKSFNISQSDPGPSTHCLGRSVSSFRSTPTSTNDSYLLKMRIHSSLSSSSSGKLGSSDDGIPVTSNGSGTLCERPDDTKAGKWQLLEDSQDPYAFGEDDFVPSKWDLLSRKQKIPRTKKHEKLGLRNGEIQDEHQFQFTISQQESSNGEICQTEFTNEEYRHSNATSGSQSAEEEYSSLLSDCLLAAVKVLMNLTNDNPLGCQQIAASGALETLSTLIASHFPSFCSYLPRVSEMEENSLSLELHDRNDRPLTDPELDFLVAILGLLVNLVEKDEHNRSRLAAASVFVPNSEGLAEKSQMAVIPLLCAIFLANQGEDDAAGEVLPWNDEAAVLQEEKEAEKMILEAYAALLLAFLSTESKSTRNAIADCLPNHSLAILVPVLERFVAFHFTLNMISPETHKAVVEVIESCRIP >EOY28310 pep chromosome:Theobroma_cacao_20110822:6:24881865:24888377:1 gene:TCM_029911 transcript:EOY28310 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAPL protein, putative isoform 6 MIVRTYGRRNRGLTRTFSDSLDDDVSDSPPLSQETAPSQDIYSFPFTSQESSSFWPSSQEFNDDVYKNQVTTHRTTSNFDFDDSRNGVVRRSKKQKKNQSKTEVGYSSMPWISSTSTLMEAQEFGEMMEHVDEVNFALDGLKKGQPVRIRRASFLSLLSICGTAQQRRLLRTHGMAKTIIDAILGLNFDDTPSNLAAVALFYVLTSDGQDEHLLESPSCIRFLIKLLKPVIPTAKENKTGKVGSKLLALRKGADMSRDTTKMLDSSSAAIISKVEEILVSCKEMKSRHGDDSGLRRPELIPKWIALLTLEKACLSKISLEDTTGTVRKTGGNFKEKLRELGGLDAVFEVAMECHSVMEVRVKQSLPSPHIEDKKDVQSLVLLSKCLKIMENAAFLSSDNQSHLLEMKGQLNSDGCRLSFTRLVISVIKILSGLYLKSSSASSSTERAFSNSKARVDTDELALAADCKVGRHDVISVNSSEKFSSLEWSFSEKSFNISQSDPGPSTHCLGRSVSSFRSTPTSTNDSYLLKMRIHSSLSSSSSGKLGSSDDGIPVTSNGSGTLCERPDDTKAGKWQLLEDSQDPYAFGEDDFVPSKWDLLSRKQKIPRTKKHEKLGLRNGEIQDEHQFQFTISQQESSNGEICQTEFTNEEYRHSNATSGSQSAEEEYSSLLSDCLLAAVKVLMNLTNDNPLGCQQIAASGALETLSTLIASHFPSFCSYLPRVSEMEENSLSLELHDRNDRPLTDPELDFLVAILGLLVNLVEKDEHNRSRLAAASVFVPNSEGLAEKSQMAVIPLLCAIFLANQGEDDAAGEVLPWNDEAAVLQEEKEAEKMILEAYAALLLAFLSTERLAFHFTLNMI >EOY28307 pep chromosome:Theobroma_cacao_20110822:6:24881865:24889875:1 gene:TCM_029911 transcript:EOY28307 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAPL protein, putative isoform 6 MIVRTYGRRNRGLTRTFSDSLDDDVSDSPPLSQETAPSQDIYSFPFTSQESSSFWPSSQEFNDDVYKNQVTTHRTTSNFDFDDSRNGVVRRSKKQKKNQSKTEVGYSSMPWISSTSTLMEAQEFGEMMEHVDEVNFALDGLKKGQPVRIRRASFLSLLSICGTAQQRRLLRTHGMAKTIIDAILGLNFDDTPSNLAAVALFYVLTSDGQDEHLLESPSCIRFLIKLLKPVIPTAKENKTGKVGSKLLALRKGADMSRDTTKMLDSSSAAIISKVEEILVSCKEMKSRHGDDSGLRRPELIPKWIALLTLEKACLSKISLEDTTGTVRKTGGNFKEKLRELGGLDAVFEVAMECHSVMEVRVKQSLPSPHIEDKKDVQSLVLLSKCLKIMENAAFLSSDNQSHLLEMKGQLNSDGCRLSFTRLVISVIKILSGLYLKSSSASSSTERAFSNSKARVDTDELALAADCKVGRHDVISVNSSEKFSSLEWSFSEKSFNISQSDPGPSTHCLGRSVSSFRSTPTSTNDSYLLKMRIHSSLSSSSSGKLGSSDDGIPVTSNGSGTLCERPDDTKAGKWQLLEDSQDPYAFGEDDFVPSKWDLLSRKQKIPRTKKHEKLGLRNGEIQDEHQFQFTISQQESSNGEICQTEFTNEEYRHSNATSGSQSAEEEYSSLLSDCLLAAVKVLMNLTNDNPLGCQQIAASGALETLSTLIASHFPSFCSYLPRVSEMEENSLSLELHDRNDRPLTDPELDFLVAILGLLVNLVEKDEHNRSRLAAASVFVPNSEGLAEKSQMAVIPLLCAIFLANQGEDDAAGEVLPWNDEAAVLQEEKEAEKMILEAYAALLLAFLSTERLVCFISFPVLSFHVYILKYFAPFDSKSTRNAIADCLPNHSLAILVPVLERFVAFHFTLNMISPETHKAVVEVIESCRIP >EOY28305 pep chromosome:Theobroma_cacao_20110822:6:24881865:24889875:1 gene:TCM_029911 transcript:EOY28305 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAPL protein, putative isoform 6 MIVRTYGRRNRGLTRTFSDSLDDDVSDSPPLSQETAPSQDIYSFPFTSQESSSFWPSSQEFNDDVYKNQVTTHRTTSNFDFDDSRNGVVRRSKKQKKNQSKTEVGYSSMPWISSTSTLMEAQEFGEMMEHVDEVNFALDGLKKGQPVRIRRASFLSLLSICGTAQQRRLLRTHGMAKTIIDAILGLNFDDTPSNLAAVALFYVLTSDGQDEHLLESPSCIRFLIKLLKPVIPTAKENKTGKVGSKLLALRKGADMSRDTTKMLDSSSAAIISKVEEILVSCKEMKSRHGDDSGLRRPELIPKWIALLTLEKACLSKISLEDTTGTVRKTGGNFKEKLRELGGLDAVFEVAMECHSVMEVRVKQSLPSPHIEDKKDVQSLVLLSKCLKIMENAAFLSSDNQSHLLEMKGQLNSDGCRLSFTRLVISVIKILSGLYLKSSSASSSTERAFSNSKARVDTDELALAADCKVGRHDVISVNSSEKFSSLEWSFSEKSFNISQSDPGPSTHCLGRSVSSFRSTPTSTNDSYLLKMRIHSSLSSSSSGKLGSSDDGIPVTSNGSGTLCERPDDTKAGKWQLLEDSQDPYAFGEDDFVPSKWDLLSRKQKIPRTKKHEKLGLRNGEIQDEHQFQFTISQQESSNGEICQTEFTNEEYRHSNATSGSQSAEEEYSSLLSDCLLAAVKVLMNLTNDNPLGCQQIAASGALETLSTLIASHFPSFCSYLPRVSEMEENSLSLELHDRNDRPLTDPELDFLVAILGLLVNLVEKDEHNRSRLAAASVFVPNSEGLAEKSQMAVIPLLCAIFLANQGEDDAAGEVLPWNDEAAVLQEEKEAEKMILEAYAALLLAFLSTESKSTRNAIADCLPNHSLAILVPVLERFVAFHFTLNMISPETHKAVVEVIESCRIP >EOY28308 pep chromosome:Theobroma_cacao_20110822:6:24882148:24887496:1 gene:TCM_029911 transcript:EOY28308 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAPL protein, putative isoform 6 MIVRTYGRRNRGLTRTFSDSLDDDVSDSPPLSQETAPSQDIYSFPFTSQESSSFWPSSQEFNDDVYKNQVTTHRTTSNFDFDDSRNGVVRRSKKQKKNQSKTEVGYSSMPWISSTSTLMEAQEFGEMMEHVDEVNFALDGLKKGQPVRIRRASFLSLLSICGTAQQRRLLRTHGMAKTIIDAILGLNFDDTPSNLAAVALFYVLTSDGQDEHLLESPSCIRFLIKLLKPVIPTAKENKTGKVGSKLLALRKGADMSRDTTKMLDSSSAAIISKVEEILVSCKEMKSRHGDDSGLRRPELIPKWIALLTLEKACLSKISLEDTTGTVRKTGGNFKEKLRELGGLDAVFEVAMECHSVMEVRVKQSLPSPHIEDKKDVQSLVLLSKCLKIMENAAFLSSDNQSHLLEMKGQLNSDGCRLSFTRLVISVIKILSGLYLKSSSASSSTERAFSNSKARVDTDELALAADCKVGRHDVISVNSSEKFSSLEWSFSEKSFNISQSDPGPSTHCLGRSVSSFRSTPTSTNDSYLLKMRIHSSLSSSSSGKLGSSDDGIPVTSNGSGTLCERPDDTKAGKWQLLEDSQDPYAFGEDDFVPSKWDLLSRKQKIPRTKKHEKLGLRNGEIQDEHQFQFTISQQESSNGEICQTEFTNEEYRHSNATSGSQSAEEEYSSLLSDCLLAAVKVLMNLTNDNPLGCQQIAASGALETLSTLIASHFPSFCSYLPRVSEMEENSLSLELHDRNDRPLTDPELDFLVAILGLLVNLVEKDEHNRLIYLYHGLQRLLFSYQIQKG >EOY25863 pep chromosome:Theobroma_cacao_20110822:6:2415551:2424192:-1 gene:TCM_027229 transcript:EOY25863 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMV resistance protein N, putative MAVSMVQESSSSISQYTYHVFLSFRGADTRKNFTNHLYMALVHAGIHTFRDDDEIERGENIKDEIERALHDSKMSIIVFSKNYASSTWCLNELVKIMEHRKFSKHIVLPIFYDVNPSQVKKQTGSFAEAFARHEESFKYEMDMVQRWRTALREVADLCGMLLEDGYESQFIQDIIKQVQNKLHRTTLYVFPYLVGIDALAAHINWWLRDGSNKVGMATICGIGGIGKTTIAKLVYNQNFQRFESYSFLADVRETTQESNGLVQLQRQLISDILKGKANKIYNLDDGITKIKETICHRRMLFVLDDVDDSKKVTEIVGVQIPFHPGSKIIVTSRHRCLLSDLFIKQMFDLEASSNYGDLCKVFEVKELAFNESLQLFNWYAFRQNDPIESYMDYAKSIVKHCGGLPLALQVLGSSLSSKSINVWRSALEKFKAIPHSKIQKILRISYDSLQDDHDKNLFLDIACVFIEEDRDYTIKILYGCDYYTVIGIENLINRSLLVINERNKLMMHQMIRDMGRHIIRQESFDLGKRSRLWHKDAFDALREKIGTQTIKCLTIDFQRLLEEKHGKATANHSKNSFLMSNEVHIETNAFAKMQRLKLLQLDYVKLKGDYRDFPKSLIWLCWHGFPQEFLPKDLDISRLVVLEMCNSSLKCVWNDTKYFLPNLKILNLSHSHGLLKILNLSSLHSLERLMLKDCTKLIEVDQSIAEIKTLVVLNLKGCKNLRKLPRTIGSLEYLEELILSGCSTFNDAPRDLQNMKSLRVLNLDGTPIYESNSWLSWLSLKRSEELGFFWASLPCSLVKLSLESCRLSNDVMAVDLSYLPSLKSLNLSRNPIHSLPESIIHLTNLDELLLICCKELQWLPKLPTSGLRVITSQSLYRISSLPCLLNLKRCIVFGCEKLTEVEGVFKSKPVENFEVEKIKSLFNMDLIRSIKLKIYNYLTDTKMVATTQIFHDGDITSCFVFGNEVPILFESRSKGSKISFSLPQNPGEKVCWLKLCIVYSLVIDEIFEYLPSVQIVNETKKLTWSYFSSFIGIPETNSNTILWLIHWPIMDYQLEHGDLVSCKLSTSGFNVREFGVTCVSKTKVMYEDDTPQYSHGVI >EOY27977 pep chromosome:Theobroma_cacao_20110822:6:23815626:23822129:-1 gene:TCM_029679 transcript:EOY27977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delay of germination 1, putative MASDNQRLQCCFQEWMTIQEQELSELLQALNLKENDVNSSENTYAKLAEKSINSFQEYIDKRNQLSRQDVSGLFAPSWNTALENSLLWIGGCRPSMYIRLTYALCGSQVEFQLLEILQGLARGDLGQISATQLGKINDLHMKTMKEEERLSNNLASLQENIADQPIAVIAKRLCRVGESSGEVDRALDEHESSMANILQEADKLRLSTLKELLGILTPVQGVDFLAASKKLHLCMHEWGKTRDHRHGQGSGRWSVPLVKEFWKFNDCNVQCANSDIIASLVQIIFVKSGFHGMILGYVRWMRQQDSSQVQPEDGPSPPIVLKSSKRITPSLYRVHVFQPQSCLEKQEISTHRRELVLCLWLKALVICGSVGQTVPTWSAHLENLKGKREAYGPVKMDPFDCWASTWRW >EOY26917 pep chromosome:Theobroma_cacao_20110822:6:19053246:19062769:1 gene:TCM_028878 transcript:EOY26917 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 and Plant PDR ABC-type transporter family protein isoform 3 MIWVTFHFPSTKALKWAAIEKLPTYDRLRTSIMQSFVDHEIVGNKVEHRAVDVTKLDMDDRQKFIDMLFKVAEEDNERFLKKFRNRIDKVGIRLPTVEVRFEHLTIEADCYIGSRALPTLPNVARNIAESALGMVGIRHAKRTNLTILKDASGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDPSLRVKGEVTYNGYRLNEFVPRKTSAYISQNDVHVGEMTVKETLDFSARCQGVGTRYDLLSELARREKDAGIFPEADVDLFMKATAMEGVESSLFTDYTLKLLGLDICKDTIVGDEMQRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTEATILMSLLQPAPETFDLFDDIILLSEGQIVYQGPRQHILEFFESCGFKCPERKGTADFLQEVTSKKDQEQYWADRSKPYRYITVTEFANRFKRFHVGMRLENELSVPFDKSRGHRAALAFQKYSVSKVELLKACWDKEWLLIKRNSFLYVFKTSQIVIVAFIASTVFLRTELHTRTEQDGAIYVGALLFAMITNMFNGIPELSLMINRLPVFYKQRDLLFHPVWTFTLPTFLLRIPISILETTVWMVITYYSIGFAPEASRFFKNFLLVFLIQQMAAGLFRLIAGLCRTMIISNTGGALTLLLVFLLGGFIIPKGQIPNWWEWGYWVSPMSYGFNAFTVNEIYAPRWMNKLASDNVTRLGVAVLRNFDVPNDKNWFWIGVAALLGFTVLFNILFTFALMYLNPLGKRQAIISEETAEELEAGHEGSKEEPRLRRPRSSKDSFPRSLSSADANNSKEMAIRRTSSRTNPNGMSRNDSSLEAVNGVAPKRGMVLPFSPLAMSFDTVNYYVDMPPEMKAQGVAEDRLQLLRGVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARISGYCEQNDIHSPQVTVRESLIYSAFLRVPKEVSNEEKMIFVDEVMELVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFESIPGIPKIKEKYNPATWMLEVSSVAAEVRLGIDFAEHYKSSSLHQRNKALVKELSTPPPGAKDLYFATQYSQSTWGQFKSCLWKQWWTYWRSPDYNLVRYFFTLVAALMVGTIFWQVGTKRESTTDLTMIIGAMYAAVLFVGINNCSTVQPVVSIERTVFYRERAAGMYSALPYALAQVFCEIPYIFVETTYYTLIVYAMVSFQWTAAKFFWFFFVNFFSFLYFTYYGMMTVSITPNLQIAAIFASAFYALFNVFSGFFIPRPRIPKWWIWYYWICPVAWTVYGLIASQYGDTEDTIKAPGIVPDPTVKWYIKDQYGYDADFMGPVAAVLVGFAVFFAFMFAYCIRTLNFQTR >EOY26919 pep chromosome:Theobroma_cacao_20110822:6:19055871:19062107:1 gene:TCM_028878 transcript:EOY26919 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 and Plant PDR ABC-type transporter family protein isoform 3 RCQGVGTRYDLLSELARREKDAGIFPEADVDLFMKATAMEGVESSLFTDYTLKLLGLDICKDTIVGDEMQRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTEATILMSLLQPAPETFDLFDDIILLSEGQIVYQGPRQHILEFFESCGFKCPERKGTADFLQEVTSKKDQEQYWADRSKPYRYITVTEFANRFKRFHVGMRLENELSVPFDKSRGHRAALAFQKYSVSKVELLKACWDKEWLLIKRNSFLYVFKTSQIVIVAFIASTVFLRTELHTRTEQDGAIYVGALLFAMITNMFNGIPELSLMINRLPVFYKQRDLLFHPVWTFTLPTFLLRIPISILETTVWMVITYYSIGFAPEASRFFKNFLLVFLIQQMAAGLFRLIAGLCRTMIISNTGGALTLLLVFLLGGFIIPKGQIPNWWEWGYWVSPMSYGFNAFTVNEIYAPRWMNKLASDNVTRLGVAVLRNFDVPNDKNWFWIGVAALLGFTVLFNILFTFALMYLNPLGKRQAIISEETAEELEAGHEGSKEEPRLRRPRSSKDSFPRSLSSADANNSKEMAIRRTSSRTNPNGMSRNDSSLEAVNGVAPKRGMVLPFSPLAMSFDTVNYYVDMPPEMKAQGVAEDRLQLLRGVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARISGYCEQNDIHSPQVTVRESLIYSAFLRVPKEVSNEEKMIFVDEVMELVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFESIPGIPKIKEKYNPATWMLEVSSVAAEVRLGIDFAEHYKSSSLHQRNKALVKELSTPPPGAKDLYFATQYSQSTWGQFKSCLWKQWWTYWRSPDYNLVRYFFTLVAALMVGTIFWQVGTKRESTTDLTMIIGAMYAAVLFVGINNCSTVQPVVSIERTVFYRERAAGMYSALPYALAQVFCEIPYIFVETTYYTLIVYAMVSFQWTAAKFFWFFFVNFFSFLYFTYYGMMTVSITPNLQIAAIFASAFYALFNVFSGFFIPRPRIPKWWIWYY >EOY26920 pep chromosome:Theobroma_cacao_20110822:6:19056041:19062769:1 gene:TCM_028878 transcript:EOY26920 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 and Plant PDR ABC-type transporter family protein isoform 3 MKATAMEGVESSLFTDYTLKLLGLDICKDTIVGDEMQRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTEATILMSLLQPAPETFDLFDDIILLSEGQIVYQGPRQHILEFFESCGFKCPERKGTADFLQEVTSKKDQEQYWADRSKPYRYITVTEFANRFKRFHVGMRLENELSVPFDKSRGHRAALAFQKYSVSKVELLKACWDKEWLLIKRNSFLYVFKTSQIVIVAFIASTVFLRTELHTRTEQDGAIYVGALLFAMITNMFNGIPELSLMINRLPVFYKQRDLLFHPVWTFTLPTFLLRIPISILETTVWMVITYYSIGFAPEASRFFKNFLLVFLIQQMAAGLFRLIAGLCRTMIISNTGGALTLLLVFLLGGFIIPKGQIPNWWEWGYWVSPMSYGFNAFTVNEIYAPRWMNKLASDNVTRLGVAVLRNFDVPNDKNWFWIGVAALLGFTVLFNILFTFALMYLNPLGKRQAIISEETAEELEAGHEGSKEEPRLRRPRSSKDSFPRSLSSADANNSKEMAIRRTSSRTNPNGMSRNDSSLEAVNGVAPKRGMVLPFSPLAMSFDTVNYYVDMPPEMKAQGVAEDRLQLLRGVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARISGYCEQNDIHSPQVTVRESLIYSAFLRVPKEVSNEEKMIFVDEVMELVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFESIPGIPKIKEKYNPATWMLEVSSVAAEVRLGIDFAEHYKSSSLHQRNKALVKELSTPPPGAKDLYFATQYSQSTWGQFKSCLWKQWWTYWRSPDYNLVRYFFTLVAALMVGTIFWQVGTKRESTTDLTMIIGAMYAAVLFVGINNCSTVQPVVSIERTVFYRERAAGMYSALPYALAQVFCEIPYIFVETTYYTLIVYAMVSFQWTAAKFFWFFFVNFFSFLYFTYYGMMTVSITPNLQIAAIFASEDTIKAPGIVPDPTVKWYIKDQYGYDADFMGPVAAVLVGFAVFFAFMFAYCIRTLNFQTR >EOY26916 pep chromosome:Theobroma_cacao_20110822:6:19048851:19063298:1 gene:TCM_028878 transcript:EOY26916 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 and Plant PDR ABC-type transporter family protein isoform 3 MDSIERARNPSKRTGHSSIGRSLSRSSWSMEDVFSGSKHSRRSSRVDDDEEALKWAAIEKLPTYDRLRTSIMQSFVDHEIVGNKVEHRAVDVTKLDMDDRQKFIDMLFKVAEEDNERFLKKFRNRIDKVGIRLPTVEVRFEHLTIEADCYIGSRALPTLPNVARNIAESALGMVGIRHAKRTNLTILKDASGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDPSLRVKGEVTYNGYRLNEFVPRKTSAYISQNDVHVGEMTVKETLDFSARCQGVGTRYDLLSELARREKDAGIFPEADVDLFMKATAMEGVESSLFTDYTLKLLGLDICKDTIVGDEMQRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTEATILMSLLQPAPETFDLFDDIILLSEGQIVYQGPRQHILEFFESCGFKCPERKGTADFLQEVTSKKDQEQYWADRSKPYRYITVTEFANRFKRFHVGMRLENELSVPFDKSRGHRAALAFQKYSVSKVELLKACWDKEWLLIKRNSFLYVFKTSQIVIVAFIASTVFLRTELHTRTEQDGAIYVGALLFAMITNMFNGIPELSLMINRLPVFYKQRDLLFHPVWTFTLPTFLLRIPISILETTVWMVITYYSIGFAPEASRFFKNFLLVFLIQQMAAGLFRLIAGLCRTMIISNTGGALTLLLVFLLGGFIIPKGQIPNWWEWGYWVSPMSYGFNAFTVNEIYAPRWMNKLASDNVTRLGVAVLRNFDVPNDKNWFWIGVAALLGFTVLFNILFTFALMYLNPLGKRQAIISEETAEELEAGHEGSKEEPRLRRPRSSKDSFPRSLSSADANNSKEMAIRRTSSRTNPNGMSRNDSSLEAVNGVAPKRGMVLPFSPLAMSFDTVNYYVDMPPEMKAQGVAEDRLQLLRGVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARISGYCEQNDIHSPQVTVRESLIYSAFLRVPKEVSNEEKMIFVDEVMELVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFESIPGIPKIKEKYNPATWMLEVSSVAAEVRLGIDFAEHYKSSSLHQRNKALVKELSTPPPGAKDLYFATQYSQSTWGQFKSCLWKQWWTYWRSPDYNLVRYFFTLVAALMVGTIFWQVGTKRESTTDLTMIIGAMYAAVLFVGINNCSTVQPVVSIERTVFYRERAAGMYSALPYALAQVFCEIPYIFVETTYYTLIVYAMVSFQWTAAKFFWFFFVNFFSFLYFTYYGMMTVSITPNLQIAAIFASAFYALFNVFSGFFIPRPRIPKWWIWYYWICPVAWTVYGLIASQYGDTEDTIKAPGIVPDPTVKWYIKDQYGYDADFMGPVAAVLVGFAVFFAFMFAYCIRTLNFQTR >EOY26918 pep chromosome:Theobroma_cacao_20110822:6:19054285:19063298:1 gene:TCM_028878 transcript:EOY26918 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 and Plant PDR ABC-type transporter family protein isoform 3 MVGIRHAKRTNLTILKDASGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDPSLRVKGEVTYNGYRLNEFVPRKTSAYISQNDVHVGEMTVKETLDFSARCQGVGTRYDLLSELARREKDAGIFPEADVDLFMKATAMEGVESSLFTDYTLKLLGLDICKDTIVGDEMQRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTEATILMSLLQPAPETFDLFDDIILLSEGQIVYQGPRQHILEFFESCGFKCPERKGTADFLQEVTSKKDQEQYWADRSKPYRYITVTEFANRFKRFHVGMRLENELSVPFDKSRGHRAALAFQKYSVSKVELLKACWDKEWLLIKRNSFLYVFKTSQIVIVAFIASTVFLRTELHTRTEQDGAIYVGALLFAMITNMFNGIPELSLMINRLPVFYKQRDLLFHPVWTFTLPTFLLRIPISILETTVWMVITYYSIGFAPEASRFFKNFLLVFLIQQMAAGLFRLIAGLCRTMIISNTGGALTLLLVFLLGGFIIPKGQIPNWWEWGYWVSPMSYGFNAFTVNEIYAPRWMNKLASDNVTRLGVAVLRNFDVPNDKNWFWIGVAALLGFTVLFNILFTFALMYLNPLGKRQAIISEETAEELEAGHEGSKEEPRLRRPRSSKDSFPRSLSSADANNSKEMAIRRTSSRTNPNGMSRNDSSLEAVNGVAPKRGMVLPFSPLAMSFDTVNYYVDMPPEMKAQGVAEDRLQLLRGVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARISGYCEQNDIHSPQVTVRESLIYSAFLRVPKEVSNEEKMIFVDEVMELVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFESIPGIPKIKEKYNPATWMLEVSSVAAEVRLGIDFAEHYKSSSLHQRNKALVKELSTPPPGAKDLYFATQYSQSTWGQFKSCLWKQWWTYWRSPDYNLVRYFFTLVAALMVGTIFWQVGTKRESTTDLTMIIGAMYAAVLFVGINNCSTVQPVVSIERTVFYRERAAGMYSALPYALAQVFCEIPYIFVETTYYTLIVYAMVSFQWTAAKFFWFFFVNFFSFLYFTYYGMMTVSITPNLQIAAIFASAFYALFNVFSGFFIPRPRIPKWWIWYYWICPVAWTVYGLIASQYGDTEDTIKAPGIVPDPTVKWYIKDQYGYDADFMGPVAAVLVGFAVFFAFMFAYCIRTLNFQTR >EOY26652 pep chromosome:Theobroma_cacao_20110822:6:16619258:16638027:1 gene:TCM_028593 transcript:EOY26652 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MAAFTMLTPWQATSLHYNINICHYQNQNVYNSTKTINKAKSSSSLLSYHPSPVFSSLSTLAKSNMPPTNFSTSGSKYSWLQDNSMHHDASIASGLRQGPIYSVFPSKPAAVSSVQDLYEFICSGPLMDKVGLTPEKIAESIDKWLFYGSKLCRLFQLNELYLTIPQKARFYHYYIPVFVWCEEQILQHMSKFKDGEEIPPLVIGFSAPQGCGKTTLVFALDYLFRITGRKSATLSIDDFYLTAEGQAKLREENPGNALLELRGNAGSHDLPFSVETLTALSSLTKEGMRMNLPRYDKSAYSGRGDRADPSVWPEVEGPLTVVLFEGWMLGFKPLPTDNVKDVDPQLEMVNKNLEAYYDAWDKFIKAWIVIKIQDPSCVYQWRLQAEIAMREAGKPGMSDEEVKDFVSRYLPAYKAYLPTLYSEGPNGSDPKRLLLIEIDEGRNPILAD >EOY25677 pep chromosome:Theobroma_cacao_20110822:6:1153726:1157219:1 gene:TCM_027061 transcript:EOY25677 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MSLPPPWLKTYNGILADGLIIPTTFKKKFKLSLRTMTNIKNLNQNIPEKATIGHCNKHETYTEKLTQQQSRPLTPRILQLAASQQTLISFLHLLEASNLILGRGSVRMSASRKLSFFTPTDKKAMTMSLPLLLLAVVSITICSSVGASDSVYESFIQCLTSHSNPSDHVSNIVYSQSSSSYNSVLQAYIRNARFNTSATPKPVIIITPLQESHVSAAVICSKKIGFQLKIRSGGHDFEGISYVSDKPFFILDMFNLRSISVNMADESAWVEAGATLGELYYRIWEKSKVHGFPAGVCPTVGVGGHISGAGYGNMVRKYGLSVDHVVDAKIVDVNGKILDRKGMGEDLFWAIRGGGGGSFGVILAYKIKLVPVPETVTVFKVERTLEQNATDVVYKWQSVAPTTDNNLFMRMLVQPVTLNKQKTIRISIYALYLGRADNLVSLLAKDLPELGLKKENCLEMSWIDSALWWGSLNYGTSPNALLSRNYHVKFMKRKSDYVQTPIPKDGLEWLWKRMIELAEPGLVFNPYGGIMNEIKATDTPFPHRAGNLFKIQYSISWKETGMDADRKYRTLVKRLHSYMTGFVSKNPRSAYLNYRDLDIGISETWTYQEGKVYGQSYFNGNFERLVDVKSAVDPENFFRNEQSIPPRTSKA >EOY25483 pep chromosome:Theobroma_cacao_20110822:6:210762:222958:1 gene:TCM_026900 transcript:EOY25483 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIT4 phosphatase-associated family protein isoform 3 MQYIKGHQEIVKQLVDLIGITSIMEVLIRLIGADEHMYTNYMESMQWIEDTDVLEMIVDKFSSSDSAEVHANAAETLCAITRFAPHGLAAKVTSPNFIGRLFRHALEDSRPKSVLVNSLSVCISLLDPKRLTLGVYHAYNRQFSQGSLIAANPETVEGMLESLGDLLKLLDVSSSESTLLTTYGKLQPPLGKHRLKIVEFISVLLTVGSEAAEKELMRLGAVQRILNLFFEYPYNNFLHHHVENIILSCLESKNVPLVEHLLRECNLVGKILEAEKNCTLASDPNMPTISAEGRAPPKIGNIGHLTRISNKLVQLGNSNGEIQAFLQENSEWIDWHTNFLSKRNATENVYQWACGRPTALQDRTRDSDDDDYQDRDYDVAALANNLSQAFRYGIYSNDDMDEVHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRVSNERSIGALASPSPNNEGAGVVNGDGEDEVVVGEDDLDDTATSSQVPDAKLEDNSADVSEDSREAGPSANDKPPAWVEWRETPDTSGLNPDGTTEDAPSSDNTNETALGRCASTDENPGSKPPEPSESVSGNSSPLVTEEEKRASGTECAPEITKDGAEETEE >EOY25481 pep chromosome:Theobroma_cacao_20110822:6:206315:222958:1 gene:TCM_026900 transcript:EOY25481 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIT4 phosphatase-associated family protein isoform 3 MFWRMTGLSTASPVETILDKENFTLEELLDEDEIIQECKALNGRLINFLREKTQVEQLLQYIVVEPPEDAEKKRTFKFPFIACEIFTCEVDIILKTLVEDEELMNLLFSFLDSNHSHSTLLAGYFSKVVICLLLRKTLPFMQYIKGHQEIVKQLVDLIGITSIMEVLIRLIGADEHMYTNYMESMQWIEDTDVLEMIVDKFSSSDSAEVHANAAETLCAITRFAPHGLAAKVTSPNFIGRLFRHALEDSRPKSVLVNSLSVCISLLDPKRLTLGVYHAYNRQFSQGSLIAANPETVEGMLESLGDLLKLLDVSSSESTLLTTYGKLQPPLGKHRLKIVEFISVLLTVGSEAAEKELMRLGAVQRILNLFFEYPYNNFLHHHVENIILSCLESKNVPLVEHLLRECNLVGKILEAEKNCTLASDPNMPTISAEGRAPPKIGNIGHLTRISNKLVQLGNSNGEIQAFLQENSEWIDWHTNFLSKRNATENVYQWACGRPTALQDRTRDSDDDDYQDRDYDVAALANNLSQAFRYGIYSNDDMDEVHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRVSNERSIGALASPSPNNEGAGVVNGDGEDEVVVGEDDLDDTATSSQVPDAKLEDNSADVSEDSREAGPSANDKPPAWVEWRETPDTSEASGSDESCTIPNGEVQVKLEDKGSGLNPDGTTEDAPSSDNTNETALGRCASTDENPGSKPPEPSESVSGNSSPLVTEEEKRASGTECAPEITKDGAEETEE >EOY25482 pep chromosome:Theobroma_cacao_20110822:6:206315:222958:1 gene:TCM_026900 transcript:EOY25482 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIT4 phosphatase-associated family protein isoform 3 MFWRMTGLSTASPVETILDKENFTLEELLDEDEIIQECKALNGRLINFLREKTQVEQLLQYIVVEPPEDAEKKRTFKFPFIACEIFTCEVDIILKTLVEDEELMNLLFSFLDSNHSHSTLLAGYFSKVVICLLLRKTLPFMQYIKGHQEIVKQLVDLIGITSIMEVLIRLIGADEHMYTNYMESMQWIEDTDVLEMIVDKFSSSDSAEVHANAAETLCAITRFAPHGLAAKVTSPNFIGRLFRHALEDSRPKSVLVNSLSVCISLLDPKRLTLGVYHAYNRQFSQGSLIAANPETVEGMLESLGDLLKLLDVSSSESTLLTTYGKLQPPLGKHRLKIVEFISVLLTVGSEAAEKELMRLGAVQRILNLFFEYPYNNFLHHHVENIILSCLESKNVPLVEHLLRECNLVGKILEAEKNCTLASDPNMPTISAEGRAPPKIGNIGHLTRISNKLVQLGNSNGEIQAFLQQENSEWIDWHTNFLSKRNATENVYQWACGRPTALQDRTRDSDDDDYQDRDYDVAALANNLSQAFRYGIYSNDDMDEVHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRVSNERSIGALASPSPNNEGAGVVNGDGEDEVVVGEDDLDDTATSSQVPDAKLEDNSADVSEDSREAGPSANDKPPAWVEWRETPDTSEASGSDESCTIPNGEVQVKLEDKGSGLNPDGTTEDAPSSDNTNETALGRCASTDENPGSKPPEPSESVSGNSSPLVTEEEKRASGTECAPEITKDGAEETEE >EOY25484 pep chromosome:Theobroma_cacao_20110822:6:212515:222412:1 gene:TCM_026900 transcript:EOY25484 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIT4 phosphatase-associated family protein isoform 3 MQGHQEIVKQLVDLIGITSIMEVLIRLIGADEHMYTNYMESMQWIEDTDVLEMIVDKFSSSDSAEVHANAAETLCAITRFAPHGLAAKVTSPNFIGRLFRHALEDSRPKSVLVNSLSVCISLLDPKRLTLGVYHAYNRQFSQGSLIAANPETVEGMLESLGDLLKLLDVSSSESTLLTTYGKLQPPLGKHRLKIVEFISVLLTVGSEAAEKELMRLGAVQRILNLFFEYPYNNFLHHHVENIILSCLESKNVPLVEHLLRECNLVGKILEAEKNCTLASDPNMPTISAEGRAPPKIGNIGHLTRISNKLVQLGNSNGEIQAFLQENSEWIDWHTNFLSKRNATENVYQWACGRPTALQDRTRDSDDDDYQDRDYDVAALANNLSQAFRYGIYSNDDMDEVHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRVSNERSIGALASPSPNNEGAGVVNGDGEDEVVVGEDDLDDTATSSQVPDAKLEDNSADVSEDSREAGPSANDKPPAWVEWRETPDTSEASGSDESCTIPNGEVQVKLEDKGSGLNPDGTTEDAPSSDNTNETALGRCASTDENPGSKPPEPSESVSGNSSPLVTEEEKRASGTECAPEITKDGAEETEE >EOY25480 pep chromosome:Theobroma_cacao_20110822:6:205541:223094:1 gene:TCM_026900 transcript:EOY25480 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIT4 phosphatase-associated family protein isoform 3 MFWRMTGLSTASPVETILDKENFTLEELLDEDEIIQECKALNGRLINFLREKTQVEQLLQYIVVEPPEDAEKKRTFKFPFIACEIFTCEVDIILKTLVEDEELMNLLFSFLDSNHSHSTLLAGYFSKVVICLLLRKTLPFMQYIKHKYGCNQWCYIRCVSIWIRVNGHQEIVKQLVDLIGITSIMEVLIRLIGADEHMYTNYMESMQWIEDTDVLEMIVDKFSSSDSAEVHANAAETLCAITRFAPHGLAAKVTSPNFIGRLFRHALEDSRPKSVLVNSLSVCISLLDPKRLTLGVYHAYNRQFSQGSLIAANPETVEGMLESLGDLLKLLDVSSSESTLLTTYGKLQPPLGKHRLKIVEFISVLLTVGSEAAEKELMRLGAVQRILNLFFEYPYNNFLHHHVENIILSCLESKNVPLVEHLLRECNLVGKILEAEKNCTLASDPNMPTISAEGRAPPKIGNIGHLTRISNKLVQLGNSNGEIQAFLQENSEWIDWHTNFLSKRNATENVYQWACGRPTALQDRTRDSDDDDYQDRDYDVAALANNLSQAFRYGIYSNDDMDEVHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRVSNERSIGALASPSPNNEGAGVVNGDGEDEVVVGEDDLDDTATSSQVPDAKLEDNSADVSEDSREAGPSANDKPPAWVEWRETPDTSEASGSDESCTIPNGEVQVKLEDKGSGLNPDGTTEDAPSSDNTNETALGRCASTDENPGSKPPEPSESVSGNSSPLVTEEEKRASGTECAPEITKDGAEETEE >EOY27863 pep chromosome:Theobroma_cacao_20110822:6:23346350:23348338:1 gene:TCM_029590 transcript:EOY27863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MAFSCVHSISYPFSPWAENKVRRNEVAFLLDSGFSICRISYVKCSQKLGEQSLGISEAVEKKPVKKVGKNEHHLWKKRDSAGSGQKALNLVRIISQLPNEKEAVYGALDKWTAWETEFPLIAAAKALRILRKRSQWLRVIQVAKWMLSKGQGATMGTYDTLLLAFDMDKRVDEAESLWNMILHIHTRSISKRLFSRMISLYDHHNMQDKIIEVFADMEELCVRPDENTVRKVARAFQKLGQEDKQKLVLRRYLSKWKYIHFNGERVRVTRYESDED >EOY27774 pep chromosome:Theobroma_cacao_20110822:6:23087736:23090950:-1 gene:TCM_029535 transcript:EOY27774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease 10 isoform 1 MGKPQELQLHIIVQEVKEENSPQYLNGKKLTSPEPRNYKWWISIIMYAFFVLFGQSASTLLGRLYYDKGGKSIWVATLAQLAGFPILIPCYCLSPPRSCTTNEQTKQPSALILAIIYFSFGICLAAYSLMYSFGLLYLPVSTFSLICASQLAFTALFSFFLHSQKFTPFIINSLVLLTISSTLLIFQTDPASHAEVSKGKYAIGFICTIGASAGYGLMLSLTQLAFKKLLKRETHAKVFEMVIYQSLIATSVAIVGLFISGEWKNLSVEMEEFRLGKFSYVMTLIETAIASEFFVVGAIGLIFEVSSLFSNAISVLGLPVIPILAVIFFHEKMDPIKVIAMVLAIWGFVSYVYQCYLDNTSSKSEYRSGSEVSKSPLLEEVS >EOY27775 pep chromosome:Theobroma_cacao_20110822:6:23088110:23091118:-1 gene:TCM_029535 transcript:EOY27775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease 10 isoform 1 MGKPQELQLHIIVQEVKEENSPQYLNGKKLTSPEPRNYKWWISIIMYAFFVLFGQSASTLLGRLYYDKGGKSIWVATLAQLAGFPILIPCYCLSPPRSCTTNEQTKQPSALILAIIYFSFGICLAAYSLMYSFGLLYLPVSTFSLICASQLAFTALFSFFLHSQKFTPFIINSLVLLTISSTLLIFQTDPASHAEVSKGKYAIGFICTIGASAGYGLMLSLTQLAFKKLLKRETHAKVFEMVIYQSLIATSVAIVGLFISGEWKNLSVEMEEFRLGKFSYVMTLIETAIASEFFVVGAIGLIFEVSSLFSNAISVLGLPVIPILAVIFFHEKMDPIKVIAMVLAIWGFVSYVYQCYLDNTSSKSEYRSGSEVSKSPLLEEVS >EOY26651 pep chromosome:Theobroma_cacao_20110822:6:16594331:16605249:1 gene:TCM_028589 transcript:EOY26651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin a2,3 MRKENVASANAGELNGRITRARAAALRASGQLLPLNAPNQPDQKRVLRANSKRTALDENNTNAPYNAGLQRKKRAVLQDVTNVCCNNSYRKCFNATKIQGKSSKQARKGHASASKVVPTAAAEVQVTQANLQKEGIQETAKLEPESEQVTCSVNLKVDATLRLNSIKDDCIHYHWLANESCAIPSQPQSSPTNAEKVSFSGTSITSSDPDFIDIDSDKKDPQLCSLYAPDIYNNLRVVELVRRPYPNFMETIQRDITKSMRGILVDWLVEVSEEYKLVPDTLYLTVYLIDWFLSQNFIERQRLQLLGITCMLIASKYEEICAPRVEEFCFITDNTYTREEVLKMESQVLKYFGFQIFAPTAKTFLRRFLRAAQASYMSPSIELEYLANYLAEMTLIDYGFLNFPPSIVAASAVFLARWTLDQSCHPWNPTLEHHTAYNVSDLKTTVLALQDLQLNTNGCPLNAIRMKYRQQRFKSVATFSSPKLLETLF >EOY27746 pep chromosome:Theobroma_cacao_20110822:6:23033313:23041717:1 gene:TCM_029520 transcript:EOY27746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MFEEKEKSCTFPRELVGVNVGLAFFDGIIAVIAFSQLARIHFRNLQLGWTRQKVFHLLIGSTNAGYFVYFVLTLVAACRGWLCWSYSCGFIAMAFPRILFFATFLLLLSFWVDLCHQADDEEEEDDEQGFLEALLQNSLNRPRSSTTDSRRICYPFRLIHVGSRQKIVILVTVLVFLFMLTFAVLIWIGMEDNPIDSSTVARVYVDLFAAAILLLGGALACYGLLLCRKMRNVRSERASSEIWKVAGLAIVSVLCFTSSALVALLTDIPVLYHWHELHIDGVYTSLLLILYYFIGSAVPSAFVLWVMRELPPMSANIQEESTTVFITDDPVEIRRPQSWATAASSQNQVHIPSLIYGRDPLTCGCYRRDQEVVLYNCSVIRKHVCLSSQASNVSWKLEKSDAIRVDCAMSSDQKVTIADLTGQSEYSRKVAIAHAMFLTFGAWK >EOY27747 pep chromosome:Theobroma_cacao_20110822:6:23033896:23041890:1 gene:TCM_029520 transcript:EOY27747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 IAVIAFSQLVQLHPFACSPICLPKRNGGLSCSRIHFRNLQLGWTRQKVFHLLIGSTNAGYFVYFVLTLVAACRGWLCWSYSCGFIAMAFPRILFFATFLLLLSFWVDLCHQADDEEEEDDEQGFLEALLQNSLNRPRSSTTDSRRICYPFRLIHVGSRQKIVILVTVLVFLFMLTFAVLIWIGMEDNPIDSSTVARVYVDLFAAAILLLGGALACYGLLLCRKMRNVRSERASSEIWKVAGLAIVSVLCFTSSALVALLTDIPVLYHWHELHIDGVYTSLLLILYYFIGSAVPSAFVLWVMRELPPMSANIQEESTTVFITDDPVEIRRPQSWATAASSQNQGSRGSPI >EOY27748 pep chromosome:Theobroma_cacao_20110822:6:23033557:23041890:1 gene:TCM_029520 transcript:EOY27748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MFEEKEKSCTFPRELVGVNVGLAFFDGIIAVIAFSQLARIHFRNLQLGWTRQKVFHLLIGSTNAGYFVYFVLTLVAACRGWLCWSYSCGFIAMAFPRILFFATFLLLLSFWVDLCHQADDEEEEDDEQGFLEALLQNSLNRPRSSTTDSRRICYPFRLIHVGSRQKIVILVTVLVFLFMLTFAVLIWIGMEDNPIDSSTVARVYVDLFAAAILLLGGALACYGLLLCRKMRNVRSERASSEIWKVAGLAIVSVLCFTSSALVALLTDIPVLYHWHELHIDGVYTSLLLILYYFIGSAVPSAFVLWVMRELPPMSANIQEESTTVFITDDPVEIRRPQSWATAASSQNQGSRGSPI >EOY26044 pep chromosome:Theobroma_cacao_20110822:6:3794395:3800497:-1 gene:TCM_027427 transcript:EOY26044 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRP38 family protein isoform 1 MANRTDPAAKSIRGTNPQNLVEKIVRSKIYQNTYWKEQCFGLTAETLVDKAMELDHIGGTYGGNRKPTPFMCLVMKMLQIQPEKDIVVEFIKNDDYKYVRILGAFYLRLTGTDIDVYRYLEPLYNDYRKLRQKSPDGNFSLTHVDEVIDELLTRDYSCDIALPRIKKRWTLESLGALDPRKSVLEDDFEEEEEKEENEQDGLEDEPAHERDYHRARSPARERERDRRHGSHRYRDRDYDKDRDYDRDRGRGRDRERDRERDRDRDRDRYRLRDEKEYGRERERDREREGRERDRRDKDRSRRRSRSRSRDRKRHARGSTSPRRHEPEDGSTWEETKKEKKEKKEKREKKEKKDDGTDHPDPEIAEANRIRASLGLKPLKL >EOY26045 pep chromosome:Theobroma_cacao_20110822:6:3794190:3801226:-1 gene:TCM_027427 transcript:EOY26045 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRP38 family protein isoform 1 MANRTDPAAKSIRGTNPQNLVEKIVRSKIYQNTYWKEQCFGLTAETLVDKAMELDHIGGTYGGNRKPTPFMCLVMKMLQIQPEKDIVVEFIKNDDYKYVRILGAFYLRLTGTDIDVYRYLEPLYNDYRKLRQKSPDGNFSLTHVDEVIDELLTRDYSCDIALPRIKKRWTLESLGALDPRKSVLEDDFEEEEEKEENEQDGLEDEPAHERDYHRARSPARERERDRRHGSHRYRDRDYDKDRDYDRDRGRGRDRERDRERDRDRDRDRYRLRDEKEYGRERERDREREGRERDRRDKDRSRRRSRSRSRDRKRHARGSTSPRRHEPEDGSTWEETKKEKKEKKEKREKKEKKDDGTDHPDPEIAEANRIRASLGLKPLKL >EOY26046 pep chromosome:Theobroma_cacao_20110822:6:3794190:3801241:-1 gene:TCM_027427 transcript:EOY26046 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRP38 family protein isoform 1 MANRTDPAAKSIRGTNPQNLVEKIVRSKIYQNTYWKEQCFGLTAETLVDKAMELDHIGGTYGGNRKPTPFMCLVMKMLQIQPEKDIVVEFIKNDDYKYVRILGAFYLRLTGTDIDVYRYLEPLYNDYRKLRQKSPDGNFSLTHVDEVIDELLTRDYSCDIALPRIKKRWTLESLGALDPRKSVLEDDFEEEEEKEENEQDGLEDEPAHERDYHRARSPARERERDRRHGSHRYRDRDYDKDRDYDRDRGRGRDRERDRERDRDRDRDRYRLRDEKEYGRERERDREREGRERDRRDKDRSRRRSRSRSRDRKRHARGSTSPRRHEPEDGSTWEETKKEKKEKKEKREKKEKKDDGTDHPDPEIAEANRIRASLGLKPLKL >EOY26047 pep chromosome:Theobroma_cacao_20110822:6:3794351:3800740:-1 gene:TCM_027427 transcript:EOY26047 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRP38 family protein isoform 1 MELDHIGLRLTGTDIDVYRYLEPLYNDYRKLRQKSPDGNFSLTHVDEVIDELLTRDYSCDIALPRIKKRWTLESLGALDPRKSVLEDDFEEEEEKEENEQDGLEDEPAHERDYHRARSPARERERDRRHGSHRYRDRDYDKDRDYDRDRGRGRDRERDRERDRDRDRDRYRLRDEKEYGRERERDREREGRERDRRDKDRSRRRSRSRSRDRKRHARGSTSPRRHEPEDGSTWEETKKEKKEKKEKREKKEKKDDGTDHPDPEIAEANRIRASLGLKPLKL >EOY27884 pep chromosome:Theobroma_cacao_20110822:6:23401216:23407006:-1 gene:TCM_029602 transcript:EOY27884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MPRQEEVFCNTIPLTMSATLDTPRCLPTPNRVLRCKSIPRISQEFVSILFQFSLATTRMLSFLNHQRTHTGIQCVTRPSGRLSSYQLWYFLLFPRFILFNLLLEKLEVVEGKVDWKGKAAVKYKHGGMRAALFILVTFAFENMANLHLAVNLVTFFNGILHFEIADAANALTNFMGTGYILSILFGVLADSYIGRFKTVLISGSIEFLGLALLSVQAHFPSLKPSACNVFDPTSHCEKIKRGDAAFLYIALYLVAAGTGGIKAAVPSHGADQFDEKDSREAKHMSSFFNLLLLAVCLGGAVSLTLIVWVDDHKGWDLGFMVSAIAMVLGVIIAVAGWPLYRIHIVQGTSVIVEIIQVYVAAIRNRNLQLPENPLELYEIDKDKEAAVEADLLPHRNVYRFLDKAAIQTASTAQSPNPWKLCRVTQVENAKIIFGMIPVFACTIIMTLCLAQLQTFSVQQGLTMDTTIVGSFHIPPASLPIIPVGFLIIIVPFYDQIVVPLLRRFTGHPTGITHLQRIGVGLILSSISMAVAAIMEVKRKAVARDHNMLDAIPVLQPLPIRTFWLSFQYFIFGIADMFTYVGLLEFFYSEAPKGLKTVSTCFLWSSMALGYYLSSILVKIVNRATKDNTNSRGWLAGNNINRNHLNLFYLLLSVLSLANFIVYLFVASRYKYRSECPVVLSGDDKAGDKTEGN >EOY27051 pep chromosome:Theobroma_cacao_20110822:6:19881204:19883230:1 gene:TCM_028996 transcript:EOY27051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MVEEVKALAKNETLELVTSPLGKKPIGCQWVFTVKHMANGLVERYKARFEAKGFTHTYGLNYQDTFAPIAKMNTIRITLSSATNLDWDLQQFDVKNAFLHGDLEEELYMKIPPGFDDKKTKRKVCRLKKAVYGLKQSPKAWFNRLNKAIISFGYHQSNADHTLFIKHGSDKITSLIVYVDDIVATGDDKEEIARLRKLLAPAFEIKDLGQL >EOY27142 pep chromosome:Theobroma_cacao_20110822:6:20416059:20420895:-1 gene:TCM_029066 transcript:EOY27142 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 7, putative MGASGKWFKSLITLKTLQAPSNQEKVGDKAKKKWRLWRSSSEGFGSSSSKGLKMRPVASSEASDSSFMVDDDVLAAAMATIVRVQPKDFRAVKREWAAIRIQTAFRGLLLIYAFDVEQANKRRKLGLLILDCSFASMILVNSIVTISLLGRINLMARRALRALKAVVRIQAIFRGRQVRKQAAVTLRCMQALVRVQARVRAQCVISTEGHPVQKLQNEYHSQTDPTKNTERGWCNSPGTLEELSAKQQMRQEGAIKRERAIAYSFSKQQSRSYASPNSKANKQPLPSKHQRLDRNSPDWNWLDRWMAIKPWETRMMEDIHTEASEMTTFSRNSHSSCFEHDSLKVKRNNVTSRVLARPPIASQTSGSLSAPSSESVYDEGSTSTSSTSASPTTLSSNTLVADTLDDDRTQKPSYMNPTESIKAKQKAFRFSSDNMPRHAVDDLHFHKKLVTLPCEDTRSSAGSNASISISRELYRPIQLGRHDCLRNQWRQGR >EOY28653 pep chromosome:Theobroma_cacao_20110822:6:26152635:26155063:-1 gene:TCM_030193 transcript:EOY28653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MSCFFCCGVEVQQRIGSFKESSHGVEGNNKSGKSFKSFMNNLTGKTSSSKQKIAEEIQKIGKAKVSARIFTLRELVVATDNFNPDCLIGEGGFGRVYKGYIESIDKIVAVKQLDRNGMQGSREFFSEVLMLSLVHHPNLVNLIGYCADGDQKILVYEYLPNGSLEKHLLDLPPGKEPLDWNTRMKIAEGAAKGLEYLHDFAEPPIIYRDFKASNILLDADFNPKLSDFGLAKLGPTGGRDHVSTRVMGTYGYCAPEYAMTGQLTTKSDVYSFGVVFLELISGRRAIDIERPSEEQNLVAWAEPLFKDRQKFTLMADPLLAGNYTVKGLYQALAVAAMCLQEEADTRPLIGDVVTALEFLARPKDTNKIAAESETNSSLHASSMKERKFQKGP >EOY26713 pep chromosome:Theobroma_cacao_20110822:6:17473582:17476734:-1 gene:TCM_028683 transcript:EOY26713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural molecules MFYGAVVWDPWLIVAQIVCLQCLYYLSLGVFLSFLVGTRVSRMSLVYFFDFATVTTSTVTGWCVIASFLLSSIAGAGYLLYLIERAKKCLDFSATLYIIHLFICIVYGGWPSSVTWWVVNGIGVAVMALLGEYLCIRRELREIPITRYRSNV >EOY26014 pep chromosome:Theobroma_cacao_20110822:6:3639133:3644022:1 gene:TCM_027406 transcript:EOY26014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITYGGHWVNDTYKSGETRVMGVGSDLTFSSLMKLVKDVVGVNSQNHEIELHALLSHAAVARECSGSIAIHKRHYDGLSANVQLLNFARTITRLGLRWRVMLFPFARLGILVSGKSP >EOY28479 pep chromosome:Theobroma_cacao_20110822:6:25445279:25453478:-1 gene:TCM_030024 transcript:EOY28479 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box type zinc finger family protein, putative isoform 1 MGVELRCEDILELRNGTEHIGIKLSDAHGNSSLRAILSQNKKKKKMKKCELCNSLAKMYCESDLAILCWDCDSRVHGANFLVAKHLRTLLCHLCQSPTPWNGSGPKLGPTVSACDNCVNRNACREESNNEETHDEEDEDDDDDLDGEDDSEDDDGDNGDDEENQVVPWSSTPPDSSSSTSEECSTRFCSVQEGTSQSRTVLSLKRMRETEEPASRQADDPGCSFSPQHQTQNLSNESASFDPFRSLKDQKITARDSLKRLQKGVVAGAI >EOY28480 pep chromosome:Theobroma_cacao_20110822:6:25445231:25446728:-1 gene:TCM_030024 transcript:EOY28480 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box type zinc finger family protein, putative isoform 1 MKKCELCNSLAKMYCESDLAILCWDCDSRVHGANFLVAKHLRTLLCHLCQSPTPWNGSGPKLGPTVSACDNCVNRNACREESNNEETHDEEDEDDDDDLDGEDDSEDDDGDNGDDEENQVVPWSSTPPDSSSSTSEECSTRFCSVQEGTSQSRTVLSLKRMRETEEPASRADDPGCSFSPQHQTQNLSNESASFDPFRSLKDQKITARDSLKRLQKGVVAGAI >EOY28667 pep chromosome:Theobroma_cacao_20110822:6:26183416:26184167:-1 gene:TCM_030200 transcript:EOY28667 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein MEFPFPSYQLSPWHYLLSSPALFSNQLLPENYVRWTETPESHIYSADLPGVTKEEIKVELEDARYLVIRTEAVDELTKPVRNFTRKFRLPGMIDIDGISAGYEDGVLTVTVPRSFRRSGFYIDPADVPERLEVLARAA >EOY26544 pep chromosome:Theobroma_cacao_20110822:6:13757859:13762122:-1 gene:TCM_028339 transcript:EOY26544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Utp12, putative isoform 2 MENRIRKSNKKRAADDPDVATTRGINYTGHGENVDGVLDDDLNEPTMGEKLASLNLVENGKTESHEKQEREESSPLAKPPIADSVNVLLKQALHADDHALLLDCLYTQDEKVIANSVSQLNPSDVLQLLQSLISIIQSRGAVLACALPWIKSLLLQHASGIMSQASSLRALNSLYQLIESRVSTFKSALQISSCLDFLYAGVCFLLKFVAGGILQVNLGFIWYCFFSQFL >EOY26543 pep chromosome:Theobroma_cacao_20110822:6:13757053:13762127:-1 gene:TCM_028339 transcript:EOY26543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Utp12, putative isoform 2 MENRIRKSNKKRAADDPDVATTRGINYTGHGENVDGVLDDDLNEPTMGEKLASLNLVENGKTESHEKQEREESSPLAKPPIADSVNVLLKQALHADDHALLLDCLYTQDEKVIANSVSQLNPSDVLQLLQSLISIIQSRGAVLACALPWIKSLLLQHASGIMSQASSLRALNSLYQLIESRVSTFKSALQISSCLDFLYAGIVEDEFDENATIPVIFEDKDESDEEESEDAMETDQGSEDGEALDDEAFDGVSDFEGIDDMSD >EOY26545 pep chromosome:Theobroma_cacao_20110822:6:13757037:13762153:-1 gene:TCM_028339 transcript:EOY26545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Utp12, putative isoform 2 MGEKLASLNLVENGKTESHEKQEREESSPLAKPPIADSVNVLLKQALHADDHALLLDCLYTQDEKVIANSVSQLNPSDVLQLLQSLISIIQSRGAVLACALPWIKSLLLQHASGIMSQASSLRALNSLYQLIESRVSTFKSALQISSCLDFLYAGIVEDEFDENATIPVIFEDKDESDEEESEDAMETDQGSEDGEALDDEAFDGVSDFEGIDDMSD >EOY29002 pep chromosome:Theobroma_cacao_20110822:6:27093164:27096087:1 gene:TCM_030448 transcript:EOY29002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein MGELLGLLKVVVVQGKRLVIRDFKSSDPYVVVKLGDQVAKTKVINSCLNPVWNEELTFSLTDPVGVLNLEVFDKDRFKADDKMGHAYLNLQPLVSAARMSHVLQVSSGEMPLRKVVPDSDNCLVRDSSICCINGEVVQSVWLRLCAVESGEIELKVRLIETSDGPSR >EOY27840 pep chromosome:Theobroma_cacao_20110822:6:23279721:23285673:-1 gene:TCM_029576 transcript:EOY27840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-related gene 1, putative MESELTKLGSSIPVPSVQEVAKEALGRVPQRYQRPDQDPPFTSIDTCSPQQVPVIDMLKLLSGDHFMDVELEKLHYACKEWGFFQLINHGVSKSLVDKVKKGIQGLFNLPMEEKKKLWQREGDLEGFGQAFVVSEEQKLDWGDMFFMTALPTHLRKPYLFPNLPLPFRDDIEAYSAELRDLALKILAFQAKALGMDPNDLSIFEEGWQAFRMNYYPPCPQPELAIGLSPHSDAVGITILLQINEMEGLQIRKDGAWVPIKPLPDAFVVNIGDIMELVTNGIYGSIEHRATVNSEKERLSIATFYCPKLDGEMGPAPSLITPETPANFKRIGVADFFKELFKRRLEKKSFLDVLRTQN >EOY29054 pep chromosome:Theobroma_cacao_20110822:6:27208165:27209045:1 gene:TCM_030476 transcript:EOY29054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARTRYHPLPSCPSPAHLCLLILFIIAPPLNGTELVKEVCNHTSDYAFCAETFNTGGPRALAGELANAALRLAQTKASHAQSLIARLLKNATTPVDRNRLQICQSCSNKAVSELSSANNDFNSDTLDTMVQGMNSAADATKDCQNQIQGRGTYFSGLATINGFSILINLLLF >EOY27692 pep chromosome:Theobroma_cacao_20110822:6:22827383:22828716:1 gene:TCM_029479 transcript:EOY27692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytomegalovirus, putative MALSSAFRERLETMEHSRNQRLALLQAEKELQANKSQVLESKLAHIRSREQRRLLLDQKIASQNFKISSLQSGIENLDAKYNSSSEQLKVLKSEIEELEEVEKEKDKFYESKSSEINEFRENVGRFLMESRIRVQELRNSVNEMKSTFMELQGNNGYTSNYEIDEAEMKKAELLAMKENLTKSLASNYQIRAELQKQVENMLAAQNQERWKQDNRFMKFA >EOY28377 pep chromosome:Theobroma_cacao_20110822:6:25182518:25187862:-1 gene:TCM_029963 transcript:EOY28377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease 4 isoform 1 MGFRGMGSHELLWIGRVLVLMLLVHGVIGWGKEGHYAVCKIAEGYLTEDALATVKELLPDSAKGELASVCSWPDDIKWYYNWHWTSPLHYVDTPDLKCNYEYCRDCHDLAGHKNICVTGAIFNYTSQLFSAYQDYKPKLKYNLTEALMFLAHFMGDVHQPLHVGFTGDLGGNTITVRWYRRKTNLHHVWDTMIIDSAVKTFYGSDLAIMIQAIQRNITDAWSNDIPSWEYCGYNHAVCPNLYASESVGLACKFAYRNATPGSTLEDDYFLSRLPIVEKRLAQGGIRLAAVLNRIFTSEVKIARA >EOY28378 pep chromosome:Theobroma_cacao_20110822:6:25182407:25187992:-1 gene:TCM_029963 transcript:EOY28378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease 4 isoform 1 MGFRGMGSHELLWIGRVLVLMLLVHGVIGWGKEGHYAVCKIAEGYLTEDALATVKELLPDSAKGELASVCSWPDDIKWYYNWHWTSPLHYVDTPDLKCNYEYCRDCHDLAGHKNICVTGAIFNYTSQLFSAYQDYKPKLKYNLTEALMFLAHFMGDVHQPLHVGFTGDLGGNTITVRWYRRKTNLHHVWDTMIIDSAVKTFYGSDLAIMIQAIQRNITDAWSNDIPSWEYCGYNHAVCPNLYASESVGLACKFAYRNATPGSTLEDDYFLSRLPIVEKRLAQGGIRLAAVLNRIFTSEVKIARA >EOY25870 pep chromosome:Theobroma_cacao_20110822:6:2479604:2484647:1 gene:TCM_027240 transcript:EOY25870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MAEQIKASKQVPSFEYELFEGDPDHLRTVVATPTQTKHWINPASLKLKHRIGRGPFGDVWLATHHQSADEFDEYHEVTVKMLHPLKEEHMQKFVDKFEELFLKCRELQGVCWLHGVSIVNGKICIAMKFYEGSVGDQMARSKGGKLSLPDVLRYGIQLARGLLGLHSMGLLVLNLKPSNFLLNEQNQLFLGDFGIPYLLLGIPLSDSDMVLRLGTPNYMSPEQWEPEVRGPLSLETDIWGFGCSMVEMLTGVQPWFGKSIEEIYHSVVIKKEKPHIPSGLPPAVENVISGCFEYDLRNRPLVSDILLAFESSQTAVNSDGGWIGLGSRPIKEKSVVSGYTTWYLSKDRLQVGDVVRSRSPPNVRKPQTMDIREGTVVGLDNDADKNGFVLVKVPGMHNPLRVQESTLERVTNGLAVGDWVCLKEENNSHSPVGILHLVQRDGTVAVGFIGLETLWIGKSSQLQMAKAYYLGQFVRLKANVFTPRFEWPRKRGGAWATGRISEVLPNGCLVVEFPGRFVLGNEPNRFLADPAEVESVSFDTCPGVVEKYQHVEDFHWAVRPLAIAFALFTAMKLTMSVGCSVSARVKKCRRNGHDGHAGSKSGWRQRIFRDGVTTAGSTR >EOY25872 pep chromosome:Theobroma_cacao_20110822:6:2479734:2484647:1 gene:TCM_027240 transcript:EOY25872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MLHPLKEEHMQKFVDKFEELFLKCRELQGVCWLHGVSIVNGKICIAMKFYEGSVGDQMARSKGGKLSLPDVLRYGIQLARGLLGLHSMGLLVLNLKPSNFLLNEQNQLFLGDFGIPYLLLGIPLSDSDMVLRLGTPNYMSPEQWEPEVRGPLSLETDIWGFGCSMVEMLTGVQPWFGKSIEEIYHSVVIKKEKPHIPSGLPPAVENVISGCFEYDLRNRPLVSDILLAFESSQTAVNSDGGWIGLGSRPIKEKSVVSGYTTWYLSKDRLQVGDVVRSRSPPNVRKPQTMDIREGTVVGLDNDADKNGFVLVKVPGMHNPLRVQESTLERVTNGLAVGDWVCLKEENNSHSPVGILHLVQRDGTVAVGFIGLETLWIGKSSQLQMAKAYYLGQFVRLKANVFTPRFEWPRKRGGAWATGRISEVLPNGCLVVEFPGRFVLGNEPNRFLADPAEVESVSFDTCPGVVEKYQHVEDFHWAVRPLAIAFALFTAMKLTMSVGCSVSARVKKCRRNGHDGHAGSKSGWRQRIFRDGVTTAGSTR >EOY25869 pep chromosome:Theobroma_cacao_20110822:6:2479248:2484569:1 gene:TCM_027240 transcript:EOY25869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MTGQAEDPSSTKYSIFPGVTSDQTLLDLISPRFQVFGSSSSLACPNTPLSFTGGMAEQIKASKQVPSFEYELFEGDPDHLRTVVATPTQTKHWINPASLKLKHRIGRGPFGDVWLATHHQSADEFDEYHEVTVKMLHPLKEEHMQKFVDKFEELFLKCRELQGVCWLHGVSIVNGKICIAMKFYEGSVGDQMARSKGGKLSLPDVLRYGIQLARGLLGLHSMGLLVLNLKPSNFLLNEQNQLFLGDFGIPYLLLGIPLSDSDMVLRLGTPNYMSPEQWEPEVRGPLSLETDIWGFGCSMVEMLTGVQPWFGKSIEEIYHSVVIKKEKPHIPSGLPPAVENVISGCFEYDLRNRPLVSDILLAFESSQTAVNSDGGWIGLGSRPIKEKSVVSGYTTWYLSKDRLQVGDVVRSRSPPNVRKPQTMDIREGTVVGLDNDADKNGFVLVKVPGMHNPLRVQESTLERVTNGLAVGDWVCLKEENNSHSPVGILHLVQRDGTVAVGFIGLETLWIGKSSQLQMAKAYYLGQFVRLKANVFTPRFEWPRKRGGAWATGRISEVLPNGCLVVEFPGRFVLGNEPNRFLADPAEVESVSFDTCPGVVEKYQHVEDFHWAVRPLAIAFALFTAMKLTMSVGCSVSARVKKCRRNGHDGHAGSKSGWRQRIFRDGVTTAGSTR >EOY25873 pep chromosome:Theobroma_cacao_20110822:6:2480951:2484647:1 gene:TCM_027240 transcript:EOY25873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MKFYEGSVGDQMARSKGGKLSLPDVLRYGIQLARGLLGLHSMGLLVLNLKPSNFLLNEQNQLFLGDFGIPYLLLGIPLSDSDMVLRLGTPNYMSPEQWEPEVRGPLSLETDIWGFGCSMVEMLTGVQPWFGKSIEEIYHSVVIKKEKPHIPSGLPPAVENVISGCFEYDLRNRPLVSDILLAFESSQTAVNSDGGWIGLGSRPIKEKSVVSGYTTWYLSKDRLQVGDVVRSRSPPNVRKPQTMDIREGTVVGLDNDADKNGFVLVKVPGMHNPLRVQESTLERVTNGLAVGDWVCLKEENNSHSPVGILHLVQRDGTVAVGFIGLETLWIGKSSQLQMAKAYYLGQFVRLKANVFTPRFEWPRKRGGAWATGRISEVLPNGCLVVEFPGRFVLGNEPNRFLADPAEVESVSFDTCPGVVEKYQHVEDFHWAVRPLAIAFALFTAMKLTMSVGCSVSARVKKCRRNGHDGHAGSKSGWRQRIFRDGVTTAGSTR >EOY25871 pep chromosome:Theobroma_cacao_20110822:6:2479500:2484249:1 gene:TCM_027240 transcript:EOY25871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MAEQIKASKQVPSFEYELFEGDPDHLRTVVATPTQTKHWINPASLKLKHRIGRGPFGDVWLATHHQSADEFDEYHEVTVKMLHPLKEEHMQKFVDKFEELFLKCRELQGVCWLHGVSIVNGKICIAMKFYEGSVGDQMARSKGGKLSLPDVLRYGIQLARGLLGLHSMGLLVLNLKPSNFLLNEQNQLFLGDFGIPYLLLGIPLSDSDMVLRLGTPNYMSPEQWEPEVRGPLSLETDIWGFGCSMVEMLTGVQPWFGKSIEEIYHSVVIKKEKPHIPSGLPPAVENVISGCFEYDLRNRPLVSDILLAFESSQTAVNSDGGWIGLGSRPIKEKSVVSGYTTWYLSKDRLQVGDVVRSRSPPNVRKPQTMDIREGTVVGLDNDADKNGFVLVKVPGMHNPLRVQESTLERVTNGLAVGDWVCLKEENNSHSPVGILHLVQRDGTVAVGFIGLETLWIGKSSQLQMAKAYYLGQFVRLKANVFTPRFEWPRKRGGAWATGRISEVLPNGCLVVEFPGRFVLGNEPNRFLADPAEVESVSFDTCPGVVEKYQHVEDFHWAVRPLAIAFALFTAMKLTMSVGCSVSARVKKCRRNGHDGHAGSKSGWRQRIFRDGVTTAGSTR >EOY27690 pep chromosome:Theobroma_cacao_20110822:6:22818505:22819197:-1 gene:TCM_029477 transcript:EOY27690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLFNLFLKVIKDDAPTKKKKNKDPESTCSIFQGQAQSRTSRTGPVSFHVHAGNLLTVKKGDLSFYITAHAQITTT >EOY26570 pep chromosome:Theobroma_cacao_20110822:6:14082732:14085712:-1 gene:TCM_028376 transcript:EOY26570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMPSVVRLIQMMIVMPVVENTLGDVGHWDIASNEDCDAVLGEIALDDDFDILLVTLELGSIELLTRVKVSIHTPMCRYILGKMNDKGPRKHNLSIHLRMYR >EOY28562 pep chromosome:Theobroma_cacao_20110822:6:25816022:25819439:1 gene:TCM_030104 transcript:EOY28562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 30, putative MWVKALVCLLSHLLIGMSLATALRCYDTGNFTTNSTYGINRDLILASLPANVSANGGFFTATIGKEPNKVYALGLCRGDSTSENCFSSLNSTTQDLIAKCPNQKEAISWGGDPPSMVRYANRSFFGILELDPSEAGTNVNDIKSNLTQFNTVWESLMDSVVRNASMGSFRLKYSTGEADNTVFQKIHALMQCTPDLSQSDCDSCLRESVSAYQRMFYGKQGGYVQRPNCWFRWDLYPFYVSNATTTAPSLSPPPPPTNTTITKEDGGISSQTVVIIVVPIMVLVAVVLIASVILLKRRKPKQENENEKSAADEKRCEESFQFDFNAIRVATDDFSPATQIGKGGFGFVYKGKLPDGQVVAVKRLSGNSGQGEQEFKNEVLLMVKLQHKNLVRLLGFSLEKKERIIIYEFVPNSSLDNFIFDPIKRLLLNWEKRYKIIKGIARGILYLHQDSQYRIIHRDLKAANILLDAEMNPKISDFGMAKLFVVDQTQADTRRIIGTYGYMAPEYARKGHFSVKSDVYSFGVLVLEIVSGKSINGFRDEETGVSLITHAWKNWNEGTPWKLIDDILLDDSRSEMLRCIHLGLLCVQENIAHRPTMDSVVLMLSSSSISLRAPSRPAFLLQSSKVPEGRPESSRSSQSKSAEVQVTVNEASFSELDPR >EOY27978 pep chromosome:Theobroma_cacao_20110822:6:23819949:23821502:1 gene:TCM_029680 transcript:EOY27978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNTNHQLPSVQMQQQGAGLYSDQHINYFSQVPNKILRLFSYGMESQLSQAEKYIWVGKLCSLITRFGPRSLEGLGLKCENGLPIFTSAIIGV >EOY26102 pep chromosome:Theobroma_cacao_20110822:6:4473275:4474183:1 gene:TCM_027505 transcript:EOY26102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGHFWRTLWRKFGTELKYFSTCHPQTDGQTEVVNRSLGNMLRCLIQNNPKTWDLVIPQAEFAYNNFVNRSIKKTPFEAAYGLKPQHVLDLVPLPQEARVSNEGELFADHIRKIHEEVKAALKASNAEYSFTANQHRRKQEFEEGDQVLVHLRQERFPKGTYHKLKSRKFGPCKVLKKISSNAYLIELPPELQINPIFNILDLYPFDGCDGTASTIDAQIQHLPIAKVEVIEDVLDVKEVQSRRGNPYRRFLVKWLGIPANESTWIAEEELKRVDPDIYEEYVKAYSSESSLF >EOY25858 pep chromosome:Theobroma_cacao_20110822:6:2380586:2381996:-1 gene:TCM_027223 transcript:EOY25858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHFWNITWSNLATSKCEVFGWQVMKKSITVIVMDMVRESAGIKATSSKKKSKDNCVWVKPPNSSLKFNIDGAARRCPGPSGIGGALRNHNGYSSNTVKRVNHPSSVPWRFKGISNQINSLKKKCRCWSVIHTLREANHLSD >EOY26815 pep chromosome:Theobroma_cacao_20110822:6:18027337:18032819:-1 gene:TCM_028771 transcript:EOY26815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tesmin/TSO1-like CXC domain-containing protein MRQLEIENGDFPPKTIEVVTATPCFPPKGIKSVERTRDSVKVTVKERSSPHFPPKKKLARQLIFTEFGLSPIITTSPPLPVVKPSSELHLSPRCAFSSIKSETPIPIPLPQANIKANSGTPKGPKQCGCKQSKCLKLYCECFASREYCNGCNCADCCNNVENGDLRKAAAEIILERNPHAFKPKIASTPCSPQNVGGDKEDTPPVGRHERGCHCKKSECLKRYCECFQANVFCSENCKCMDCKNFEVCKGTMAASGKDDSKSKICENSKGCEGRIAISPKDNGNRKFYRSFKGSEGLMAEIGEDCIDTKIYTQRVTAATSNATGLSGQCLSQESRKRKHLELHSNEKETLIESFSDFQKVNNLKNSCPSATLSVEPTCHIINSAMVGSFRHPYRLTLADVLHLEDTRKVCSGLAVLAEAAKQFADKVGKADVKDAAENKHGDALGAKEKDCQGGPAYFQKRVPDDLHLDGGATDAHEGRTLPHGTVKLICNEKHKQFMEPTSPKQILDRDIKNASAEQERCVLSSFRDFLEKLITFTNIKDEAFLFPYWYLVHVTGTNEFSSARAVLMEKTGTEG >EOY26989 pep chromosome:Theobroma_cacao_20110822:6:19550107:19553647:-1 gene:TCM_028940 transcript:EOY26989 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase transcriptional regulation mediator-related isoform 1 MHSIHPLDISQLSKMTGVEYMLSEVMEPNLFVIRKQKRDGPEKVTPMLAYYILDGSIYQAPQLCNVFAARVGRALYYISKAFTTAASKLEKIGYVDTENESETFESKGGKETIDFKEVKRVDHILASLQRKLPPAPPPPPFPEGFIPLATAEAEKDPENQQAVETQPPAIDPIIDQGPAKRMKF >EOY26988 pep chromosome:Theobroma_cacao_20110822:6:19549933:19553842:-1 gene:TCM_028940 transcript:EOY26988 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase transcriptional regulation mediator-related isoform 1 MATPPVAPPQAAAAGNFEAPPPLPMQPPGTDMTGICFRDQLWLNTYPLDRNLIFDYFALSPFYDWTCNNEQLRMHSIHPLDISQLSKMTGVEYMLSEVMEPNLFVIRKQKRDGPEKVTPMLAYYILDGSIYQAPQLCNVFAARVGRALYYISKAFTTAASKLEKIGYVDTENESETFESKGGKETIDFKEVKRVDHILASLQRKLPPAPPPPPFPEGFIPLATAEAEKDPENQQAVETQPPAIDPIIDQGPAKRMKF >EOY26018 pep chromosome:Theobroma_cacao_20110822:6:3676999:3688475:-1 gene:TCM_027410 transcript:EOY26018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi organization, COG2 isoform 2 MPDQISSPAPRSATDLFSDPLDSHPLWFKPSLFLSPNFDSESYITELRTFVPFDTLRSELQAHLSSLNHELIDLINRDYADFVNLSTKLVDVDSAVLRMRAPLLELRDKIQGFRGAVEGSLLALKDGLSQRAEATAAREVLELLLDTFHVVSKVEKLIKELPSVASDWSNGDVNPVQKKNASGLQHVENGTTNLRETQSMLLERIASEMNRLNFYIAHAQNLPFIQNMEKRIRSASLLLDASLGHCFVDGLEHWDANAIYNCLRAYAAVDSTSNAEEIFRTTIVAPLIQKVIPHGSSGGLVSGASGDELENDYQQIKKYVENDCKLLLEISSAENSGLHVFDFLANSILKEVLAAIQKGKPGAFSPGRPKEFLKNYKSSLDFLAYLEGYCPSRAAVAKFRAEPVYVEFMKQWKVGVYFSLRFQEIAGALDSALTASSLVLVQNYQSDENSQNLTLKQSVTLLESLRSCWSEEVLVLSCSDKFLRLSLQLLSRYSNWLSSGLAARKKGSAGANPGCEWALSAAPDDFVYIIHDINCLAKEISGAYLDHVLQVLSSCSTEVLDLVKQSILYCGKSLDDLLPLVINTIMEALVQKSVEDLRQLKGITATYRMTNKPLPVRHSPYVAGVLRPLKTFLDGERATTYLTNAARNDLLLGAATEITGRYYELAADLVSVARKTESSLQRIRQGAQRRAGASSDVSDHNVSDTDKICMQLFLDIQEYGRNLATLGVDAANITAYRSLWQCVAPADRQSVINF >EOY26020 pep chromosome:Theobroma_cacao_20110822:6:3679870:3688208:-1 gene:TCM_027410 transcript:EOY26020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi organization, COG2 isoform 2 MPDQISSPAPRSATDLFSDPLDSHPLWFKPSLFLSPNFDSESYITELRTFVPFDTLRSELQAHLSSLNHELIDLINRDYADFVNLSTKLVDVDSAVLRMRAPLLELRDKIQGFRGAVEGSLLALKDGLSQRAEATAAREVLELLLDTFHVVSKVEKLIKELPSVASDWSNGDVNPVQKKNASGLQHVENGTTNLRETQSMLLERIASEMNRLNFYIAHAQNLPFIQNMEKRIRSASLLLDASLGHCFVDGLEHWDANAIYNCLRAYAAVDSTSNAEEIFRTTIVAPLIQKVIPHGSSGGLVSGASGDELENDYQQIKKYVENDCKLLLEISSAENSGLHVFDFLANSILKEVLAAIQKGKPGAFSPGRPKEFLKNYKSSLDFLAYLEGYCPSRAAVAKFRAEPVYVEFMKQWKVGVYFSLRFQEIAGALDSALTASSLVLVQNYQSDENSQNLTLKQSVTLLESLRSCWSEEVLVLSCSDKFLRLSLQLLSRYSNWLSSGLAARKKGSAGANPGYYS >EOY26019 pep chromosome:Theobroma_cacao_20110822:6:3679883:3688191:-1 gene:TCM_027410 transcript:EOY26019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi organization, COG2 isoform 2 MPDQISSPAPRSATDLFSDPLDSHPLWFKPSLFLSPNFDSESYITELRTFVPFDTLRSELQAHLSSLNHELIDLINRDYADFVNLSTKLVDVDSAVLRMRAPLLELRDKIQGFRGAVEGSLLALKDGLSQRAEATAAREVLELLLDTFHVVSKVEKLIKELPSVASDWSNGDVNPVQKKNASGLQHVENGTTNLRETQSMLLERIASEMNRLNFYIAHAQNLPFIQNMEKRIRSASLLLDASLGHCFVDGLEHWDANAIYNCLRAYAAVDSTSNAEEIFRTTIVAPLIQKVIPHGSSGGLVSGASGDELENDYQQIKKYVENDCKLLLEISSAENSGLHVFDFLANSILKEVLAAIQKGKPGAFSPGRPKEFLKNYKSSLDFLAYLEGYCPSRAAVAKFRAEPVYVEFMKQWKVGVYFSLRFQEIAGALDSALTASSLVLVQNYQSDENSQNLTLKQSVTLLESLRSCWSEEVLVLSCSDKFLRLSLQLLSRYSNWLSSGLAARKKGSAGANPGCEWALSAAPDDFVYIIHDINCLAKEISGAYLDHVLQVLSSCSTEVLDLVKQSILYCGKSLDDLLPLVINTIMEALVQKSVEDLRQLKGITATYRMTNKPLPVRHSPYVAGVLRPLKTFLDGERATTYLTNAARNDLLLGAATEITGRYYELAADLVSVVG >EOY26021 pep chromosome:Theobroma_cacao_20110822:6:3677232:3688208:-1 gene:TCM_027410 transcript:EOY26021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi organization, COG2 isoform 2 MPDQISSPAPRSATDLFSDPLDSHPLWFKPSLFLSPNFDSESYITELRTFVPFDTLRSELQAHLSSLNHELIDLINRDYADFVNLSTKLVDVDSAVLRMRAPLLELRDKIQGFRGAVEGSLLALKDGLSQRAEATAAREVLELLLDTFHVVSKVEKLIKELPSVASDWSNGDVNPVQKKNASGLQHVENGTTNLRETQSMLLERIASEMNRLNFYIAHAQNLPFIQNMEKRIRSASLLLDASLGHCFVDGLEHWDANAIYNCLRAYAAVDSTSNAEEIFRTTIVAPLIQKVIPHGSSGGLVSGASGDELENDYQQIKKYVENDCKLLLEISSAENSGLHVFDFLANSILKEVLAAIQKGKPGAFSPGRPKEFLKNYKSSLDFLAYLEGYCPSRAAVAKFRAEPVYVEFMKQWKVGVYFSLRFQEIAGALDSALTASSLVLVQNYQSDENSQNLTLKQSVTLLESLRSCWSEEVLVLSCSDKFLRLSLQLLSRYSNWLSSGLAARKKGSAGANPGCEWALSAAPDDFVYLLFKE >EOY26883 pep chromosome:Theobroma_cacao_20110822:6:18838038:18839650:1 gene:TCM_028849 transcript:EOY26883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGKILWKMLLKNYVECLGLMQQARVRSSRRVTTASFCIGGIDDEGLSSLIFRFSPKFVRQLSIKACPNCSNIGVPQIVAASWSNSPASSSPSSAVAAAQATAATTVHISDDVAVVQGCNDNGSVLSGGSDNSTTFFLSSDRTITVHAIDRLGWGIVIVAITTPVINT >EOY29064 pep chromosome:Theobroma_cacao_20110822:6:27236805:27240971:-1 gene:TCM_030485 transcript:EOY29064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein MPFSCMLAHPVCVLARTHCLSAGPHAQSDSWSARLSAHGCRCLKSLGAKLRFQSSLLVHLRVGSPILEPQALAIGLSRPSPQKGRHTSARSQNSPSVRDYQIPITILAKHTWAEYGCSGLGQHRRTRTSLQSEMNASAAVGVGISPSTSKPLAMGLTPIPIRLRWRRSCSMIETEAELAASEAQPVSRRLILLRHAKSSWQHTALRDHDRPLSKTGRADAALVSKKLQHMGWIPQLILSSDALRTRATLNIMQEQVRGFLEAEVHFISSFYSIAAMDGQTAEHLQRTICQYSRDEILTIMCMGHNRGWEEAASMFTGASIELKTCNAALLEATGKSWEEAFSVAGFGGWKLQGIVTPSSNL >EOY26051 pep chromosome:Theobroma_cacao_20110822:6:3852239:3861118:1 gene:TCM_027433 transcript:EOY26051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIDEVLAYIQPCVTMAMNEELFTDISVKVVQLALFHMATLKTLGPNILPALFYQRLRVPKKFTKVGIVPRGRLGIASLNRRHIDLILKVAHPELCISTVTYSMFVNEVPRNMIVPTRGLRQGDWFNMLLQQIDKDDIEEICCVMWALWKSRNSIMVKNERMNLIQVVQLEYDMYTWYKVAIFVERSNQLTTGSKGNWWSCLARTKLNYDLAIFELNGERWVGSSFIIKNSNGKLVRRLCLIQMLCWLS >EOY26049 pep chromosome:Theobroma_cacao_20110822:6:3815047:3816155:1 gene:TCM_027429 transcript:EOY26049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNCSFFLDILFFEDFSYVPPFMSCLVHIEFVFLLLFVAFSSWFVYPKAFFFLFTLCCCCLTSFRCSQLFRTEFHFFV >EOY25632 pep chromosome:Theobroma_cacao_20110822:6:718818:735621:1 gene:TCM_027003 transcript:EOY25632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MWMRPISQAVSPQTLQQCPITLVLHPPRKNYKTPKERRTAMEAAGSDSDGREFKNPQEMWREQIGDADEGDNHKKTQWYREGVAYWEGVEASVDGVLGGFGQVNEADVKGSEVFLNTLLHERFDGGGRNHHLVALGSGSGYSRIVPEPDTGSEITIRTRLKRDYRVDLLEPVSHFLDAARESLSQEYFVASDAHKASNFYCVPLQEFTPDAGRYNVIWIQWCIGHLTDDDFVSFFKRAKVGLKPGGFFVLKENIARNEDVYEEYYCDICEEKRKPKHSVYCCKKCKFVAHIECVLNKVIDIKLDQSVTSSLLDGEASTLKAQIEHFDHQHPLSYNGAIERNESLLCNACCQEIFDQHYACGDCKYYLHETCTALPFEVSHPFHCQHPLKLFTDIVEFTCHACREHSSGFAYMCIPCDFQLDVNCATTPIPQKNEGQKLKEMEKVSKLCPFNQNHKLDFFNRRSSLKDLALECDACKLPILGPVKSKYHMHRLTLKNHFVEDDSGEYYCDICEEERNSKNHCYYCEECVGQFVAHIECVLLTDFEFADGNFHEFSNLKDADSPIESSSMDKLLSQPHAEVYLNQNRMKYWVDKKLGKYCFMLFARDLSICWAENHLYWRWSYQRETNSDVLIDVVELLDVWWLEMHVKFNVKKLSPKTLYGLVFVLMLAKEACGWEHPVNFGFTLPNGYKVECKEILMTKPTGVWIEIPVGEFTTSIEIAGELDIYCHQYDELIRKRGLVVKGVTILPKN >EOY25820 pep chromosome:Theobroma_cacao_20110822:6:2148284:2151342:-1 gene:TCM_027193 transcript:EOY25820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase 5 isoform 2 MALITSLLSKPKSLAVSPPQRATTIKTRSCSCKVLNFGHQNPKFNQINGEQSPLQASFITRLNRSYSNRKVLALANGVSVGANEPDPEGKTSGRILLSNVVVQRKKEVFWGRKWNTLDMATAGVVVGMHLLSLFAPFHFNWPAFWLAVGLYGVTGLLGITLSFHRNLSHRSFKVPKWLEYFFAYCGVQALQGNPIDWVSTHRYHHQFCDSDRDPHSPIEGFWFSHMSWLFDTDTVVERCGEPTNVGDLRQQPFYKFLQGTYILHPIALGVLLYALGGFPFLVWGMGVRIVWVYHITWLVNSACHVWGKQAWNTGDLSRNNWWVALLAFGEGWHNNHHAFEYSARHGLEWWQLDMTWCVIKFLQVIGQATEVKLPTEVQKKRMAFSS >EOY25822 pep chromosome:Theobroma_cacao_20110822:6:2149261:2151112:-1 gene:TCM_027193 transcript:EOY25822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase 5 isoform 2 MALITSLLSKPKSLAVSPPQRATTIKTRSCSCKVLNFGHQNPKFNQINGEQSPLQASFITRLNRSYSNRKVLALANGVSVGANEPDPEGKTSGRILLSNVVVQRKKEVFWGRKWNTLDMATAGVVVGMHLLSLFAPFHFNWPAFWLAVGLYGVTGLLGITLSFHRNLSHRSFKVPKWLEYFFAYCGVQALQGNPIDWVSTHRYHHQFCDSDRDPHSPIEGFWFSHMSWLFDTDTVVERVTLSLSFSNMQALTYAQNELIFLMFCINGTFAVWRTNQCWGSTAAALLQVSSGYLHSSSNCTWSFAICSRRVSLPCLGNGCEDCMGLPHHLAGKFSMPCVGEASMEYW >EOY25821 pep chromosome:Theobroma_cacao_20110822:6:2148284:2151134:-1 gene:TCM_027193 transcript:EOY25821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase 5 isoform 2 MALITSLLSKPKSLAVSPPQRATTIKTRSCSCKVLNFGHQNPKFNQINGEQSPLQASFITRLNRSYSNRKVLALANGVSVGANEPDPEGKTSGRILLSNVVVQRKKEVFWGRKWNTLDMATAGVVVGMHLLSLFAPFHFNWPAFWLAVGLYGVTGLLGITLSFHRNLSHRSFKVPKWLEYFFAYCGVQALQGNPIDWVSTHRYHHQFCDSDRDPHSPIEGFWFSHMSWLFDTDTVVERCGEPTNVGDLRQQPFYKFLQGTYILHPIALGVLLYALGGFPFLVWGMGVRIVWVYHITWLVNSACHVWGKQAWNTGDLSRNNWWVALLAFGEGWHNNHHAFEYSARHGLEWWQLDMTW >EOY25643 pep chromosome:Theobroma_cacao_20110822:6:865240:868982:-1 gene:TCM_027020 transcript:EOY25643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKLVYSQRKLSLFGSFSLFYCFIVLSSFFLHRYIVITMSPPYHHCHNYSSSFEPTKCHQDAEIIKELIMRSRLRNYVAKFKNYKINSQDVILKSTTLDLVIKMDWPRIKWRKLDWKTGYYMALI >EOY28697 pep chromosome:Theobroma_cacao_20110822:6:26268907:26274359:-1 gene:TCM_030223 transcript:EOY28697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein / kelch repeat-containing protein isoform 5 MGMAQSVVRVVIVMKVRMVCREILEMPKLDIDPNETTPFSCQSLAGTDGIRSFSLSITKMVIVGEPVERLFLWGHSACTVDNIDKTMVLVFGGFGGIGRHARRNDSFLLDPLLGNLKEINVVGCPSPRLGHTSSLVGDCMFVIGGRADPLNILSDVWVLNTVKNEWRLLDCTGRAFPPRHRHAAAVVGSKIYVFGGLNNDTISSSLHVLDTNTLQWEELVVHGEWPCARHSHSMVTYGSKLFMFGGYHGEKALGDLYSFDTQTCLWKVEKVGGRSPHARFSHSMFVYKNYIGIIGGCPVRQHCQELALLDIRSLVWKHVTLNSIDKELFVRCTANVVHDNLVMVGGGAACYAFGTKFSEPVKIELLPLLSLDDHENAPKMGENQVNNQEEGMTANGNDLIQASHVGNALGSTQSPKPQSLNVGNQMVASSWVVQLERKYAKLGKDILKKFGWLDLERKAYALDDGLRISFPVTEKFCAIFPEDKFEGLIDHHPSKTFRAESVLLNEVSSSAALDILKKCGATKLPDEVIEARKASKSPLKIMTEAVASLIRHKGLSVKLLEQLPSRWERVGDIVVLPVSSFKDPVWDSIGEELWPIIARSLNTCRLARQGRVAPNGTRDSTLEILMGDSGWVDHRENGILYSFDATKCMFSWGNLSEKMRMANLDCTDAVIVDLFAGIGYFVLPFLVRAKAKLVYACEWNPHAIEALKRNLQANSVSDRCIILEGDNRITAPKGVADRVCLGLLPSRVRVEYYTCMGM >EOY28696 pep chromosome:Theobroma_cacao_20110822:6:26269459:26273678:-1 gene:TCM_030223 transcript:EOY28696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein / kelch repeat-containing protein isoform 5 MGMAQSVVRVVIVMKVRMVCREILEMPKLDIDPNETTPFSCQSLAGTDGIRSFSLSITKMVIVGEPVERLFLWGHSACTVDNIDKTMVLVFGGFGGIGRHARRNDSFLLDPLLGNLKEINVVGCPSPRLGHTSSLVGDCMFVIGGRADPLNILSDVWVLNTVKNEWRLLDCTGRAFPPRHRHAAAVVGSKIYVFGGLNNDTISSSLHVLDTNTLQWEELVVHGEWPCARHSHSMVTYGSKLFMFGGYHGEKALGDLYSFDTQTCLWKVEKVGGRSPHARFSHSMFVYKNYIGIIGGCPVRQHCQELALLDIRSLVWKHVTLNSIDKELFVRCTANVVHDNLVMVGGGAACYAFGTKFSEPVKIELLPLLSLDDHENAPKMGENQVNNQEEGMTANGNDLIQASHVGNALGSTQSPKPQSLNVGNQMVASSWVVQLERKYAKLGKDILKKFGWLDLERKAYALDDGLRISFPVTEKFCAIFPEDKFEGLIDHHPSKTFRAESVLLNEVSSSAALDILKKCGATKLPDEVIEARKASKSPLKIMTEAVASLIRHKGLSVKLLEQLPSRWERVGDIVVLPVSSFKDPVWDSIGEELWPIIARSLNTCRLARQGRVAPNGTRDSTLEILMGDSGWVDHRENGILYSFDATKCMFSWGNLSEKMRMANLDCTDAVIVDLFAGIGYFVLPFLVRAKAKLVYACEWNPHAIEALKRNLQANSVSDRCIILEGDNRITAPKGVADRVCLGLLPSSEASWLIAE >EOY28694 pep chromosome:Theobroma_cacao_20110822:6:26268631:26274670:-1 gene:TCM_030223 transcript:EOY28694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein / kelch repeat-containing protein isoform 5 MEFDKRKASTLASLSSNETDKSPKGTLDTPIIPLLDAINNHPSYFTTSSCSGRISILSQPKPDPNSNNPTKKKARGGTWLFITHDMADPDSVISLLFADSTKLTQLSELVFRFEPLIIAVECRDLNSAQNLVSLAIACGFRESGITSVSKRVIVGIRCSIRMEVPLGDTQKIMVSKDYVRFLVEVANEKMEANRQRSEGFLRAFMKDQAGAFENGNGSICGESGDCNEGQDGLQRNFGDAQDIDPNETTPFSCQSLAGTDGIRSFSLSITKMVIVGEPVERLFLWGHSACTVDNIDKTMVLVFGGFGGIGRHARRNDSFLLDPLLGNLKEINVVGCPSPRLGHTSSLVGDCMFVIGGRADPLNILSDVWVLNTVKNEWRLLDCTGRAFPPRHRHAAAVVGSKIYVFGGLNNDTISSSLHVLDTNTLQWEELVVHGEWPCARHSHSMVTYGSKLFMFGGYHGEKALGDLYSFDTQTCLWKVEKVGGRSPHARFSHSMFVYKNYIGIIGGCPVRQHCQELALLDIRSLVWKHVTLNSIDKELFVRCTANVVHDNLVMVGGGAACYAFGTKFSEPVKIELLPLLSLDDHENAPKMGENQVNNQEEGMTANGNDLIQASHVGNALGSTQSPKPQSLNVGNQMVASSWVVQLERKYAKLGKDILKKFGWLDLERKAYALDDGLRISFPVTEKFCAIFPEDKFEGLIDHHPSKTFRAESVLLNEVSSSAALDILKKCGATKLPDEVIEARKASKSPLKIMTEAVASLIRHKGLSVKLLEQLPSRWERVGDIVVLPVSSFKDPVWDSIGEELWPIIARSLNTCRLARQGRVAPNGTRDSTLEILMGDSGWVDHRENGILYSFDATKCMFSWGNLSEKMRMANLDCTDAVIVDLFAGIGYFVLPFLVRAKAKLVYACEWNPHAIEALKRNLQANSVSDRCIILEGDNRITAPKGVADRVCLGLLPSSEASWLIAVRALRSEGGILHVHGNVKDTNEESWTKHVSKSISEIARSEGHCWEVIVEHVERVKWYAPHIRHLVADVRCRQIQPENFHVTK >EOY28698 pep chromosome:Theobroma_cacao_20110822:6:26269459:26273678:-1 gene:TCM_030223 transcript:EOY28698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein / kelch repeat-containing protein isoform 5 MGMAQSVVRVVIVMKVRMVCREILEMPKLDIDPNETTPFSCQSLAGTDGIRSFSLSITKMVIVGEPVERLFLWGHSACTVDNIDKTMVLVFGGFGGIGRHARRNDSFLLDPLLGNLKEINVVGCPSPRLGHTSSLVGDCMFVIGGRADPLNILSDVWVLNTVKNEWRLLDCTGRAFPPRHRHAAAVVGSKIYVFGGLNNDTISSSLHVLDTNTLQWEELVVHGEWPCARHSHSMVTYGSKLFMFGGYHGEKALGDLYSFDTQTCLWKVEKVGGRSPHARFSHSMFVYKNYIGIIGGCPVRQHCQELALLDIRSLVWKHVTLNSIDKELFVRCTANVVHDNLVMVGGGAACYAFGTKFSEPVKIELLPLLSLDDHENAPKMGENQVNNQEEGMTANGNDLIQASHVGNALGSTQSPKPQSLNVGNQMVASSWVVQLERKYAKLGKDILKKFGWLDLERKAYALDDGLRISFPVTEKFCAIFPEDKFEGLIDHHPSKTFRAESVLLNEVSSSAALDILKKCGATKLPDEVIEARKASKSPLKIMTEAVASLIRHKGLSVKLLEQLPSRWERVGDIVVLPVSSFKDPVWDSIGEELWPIIARSLNTCRLARQGRVAPNGTRDSTLEILMGDSGWVDHRENGILYSFDATKCMFSWGNLSEKMRMANLDCTDAVIVDLFAGIGYFVLPFLVRAKAKLVYACEWNPHAIEALKRNLQANSVSDRCIILEGDNRITAPKGVADRVCLGLLPSSEASWLIAVRALRCFMLMLLLVLHFKCTVILMMVQKMQE >EOY28695 pep chromosome:Theobroma_cacao_20110822:6:26268983:26273678:-1 gene:TCM_030223 transcript:EOY28695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein / kelch repeat-containing protein isoform 5 MGMAQSVVRVVIVMKVRMVCREILEMPKLDIDPNETTPFSCQSLAGTDGIRSFSLSITKMVIVGEPVERLFLWGHSACTVDNIDKTMVLVFGGFGGIGRHARRNDSFLLDPLLGNLKEINVVGCPSPRLGHTSSLVGDCMFVIGGRADPLNILSDVWVLNTVKNEWRLLDCTGRAFPPRHRHAAAVVGSKIYVFGGLNNDTISSSLHVLDTNTLQWEELVVHGEWPCARHSHSMVTYGSKLFMFGGYHGEKALGDLYSFDTQTCLWKVEKVGGRSPHARFSHSMFVYKNYIGIIGGCPVRQHCQELALLDIRSLVWKHVTLNSIDKELFVRCTANVVHDNLVMVGGGAACYAFGTKFSEPVKIELLPLLSLDDHENAPKMGENQVNNQEEGMTANGNDLIQASHVGNALGSTQSPKPQSLNVGNQMVASSWVVQLERKYAKLGKDILKKFGWLDLERKAYALDDGLRISFPVTEKFCAIFPEDKFEGLIDHHPSKTFRAESVLLNEVSSSAALDILKKCGATKLPDEVIEARKASKSPLKIMTEAVASLIRHKGLSVKLLEQLPSRWERVGDIVVLPVSSFKDPVWDSIGEELWPIIARSLNTCRLARQGRVAPNGTRDSTLEILMGDSGWVDHRENGILYSFDATKCMFSWGNLSEKMRMANLDCTDAVIVDLFAGIGYFVLPFLVRAKAKLVYACEWNPHAIEALKRNLQANSVSDRCIILEGDNRITAPKGVADRVCLGLLPSSEASWLIAVRALRSEGGILHVHGNVKDTNEESWTKHVSKSISEIARSEGHCWEVIVEHVERVKWYAPHIRHLVADVRCRQIQPENFHVTK >EOY28903 pep chromosome:Theobroma_cacao_20110822:6:26848893:26852724:1 gene:TCM_030377 transcript:EOY28903 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MVSLQDSHSNSNRFPLGRNFYAPGSASTTKIHRHTGRSMRTVRSNLYQNDNSSCSFTSSVPERSGFVSENLTESVIDMRLGELASKSKSVKSESESEGFLDISQAFSDFSACSSDISGELQRLASLPSPENRLGNENSNNGAEPEPELEPCHGFLQRENFSTEIIESISPEDLQPTVKICIDGLQSPSIAVKRSAAAKLRLLAKNRVDNRALIGESGAIPALIPLLRNSDPWTQEHAVTALLNLSLFEANKTLIINAGAIKSLVYVLKTGTETSKQNAACALLSLALIEENKTSIGACGAIPPLVSLLMNGSNRGKKDALTTLYKLCSARQNKERAVSAGAVRPLVGLVGEQGTGMAEKAMVVLSSLAGIEEGREAIVEEGGIAALVEAIEDGSLKGKEFAVLTLLQLCADSIRNRGLLVREGGIPPLVALSQTGSVRAKHKAETLLGYLREPRQEASSSSP >EOY28904 pep chromosome:Theobroma_cacao_20110822:6:26848893:26851817:1 gene:TCM_030377 transcript:EOY28904 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MVSLQDSHSNSNRFPLGRNFYAPGSASTTKIHRHTGRSMRTVRSNLYQNDNSSCSFTSSVPERSGFVSENLTESVIDMRLGELASKSKSVKSESESEGFLDISQAFSDFSACSSDISGELQRLASLPSPENRLGNENSNNGAEPEPELEPCHGFLQRENFSTEIIESISPEDLQPTVKICIDGLQSPSIAVKRSAAAKLRLLAKNRVDNRALIGESGAIPALIPLLRNSDPWTQEHAVTALLNLSLFEANKTLIINAGAIKSLVYVLKTGTETSKQNAACALLSLALIEENKTSIGACGAIPPLVSLLMNGSNRGKKDALTTLYKLCSARQNKERAVSAGAVRPLVGLVGEQGTGMAEKAMVVLSSLAGIEEGREAIVEEGGIAALVEAIEDGSLKGKEFAVLTLLQLCADSIRNRGLLVREGGIPPLVALSQTGSVRAKHKAETLLGYLREPRQEASSSSP >EOY28810 pep chromosome:Theobroma_cacao_20110822:6:26601693:26602418:1 gene:TCM_030305 transcript:EOY28810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MADPNARAGLNGTGSSGENRLKYNFDAGFLSNARPSDTQNPDEEDSNDPPSLDSDSCDSECQSTPLADLSASLRVFSDSMLRMELAGMEMVKAMEASRCEAEKRRTESEAELTRMMLRTQSQIASFIAGDNRKRKRVEEDEQPRDFSVRYRQGALLLSLLQCNLIF >EOY28811 pep chromosome:Theobroma_cacao_20110822:6:26601616:26602420:1 gene:TCM_030305 transcript:EOY28811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MADPNARAGLNGTGSSGENRLKYNFDAGFLSNARPSDTQNPDEEDSNDPPSLDSDSCDSECQSTPLADLSASLRVFSDSMLRMELAGMEMVKAMEASRCEAEKRRTESEAELTRMMLRTQSQIASFIAGDNRKRKRVEEDEQPRDFSVRQGALLLSLLQCNLIF >EOY25718 pep chromosome:Theobroma_cacao_20110822:6:1397766:1400019:-1 gene:TCM_027098 transcript:EOY25718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline (GMC) oxidoreductase family protein isoform 2 MGRFFVFFLECVAFLLLLYPVFSLARPHPQRDPVYLNFVLNATDLPSEDYYDYIIVGGGTAGCPLAATLSQSYRVLVLERGGVPYGNQQLMTQEGFLTTLTQVDTYDSPAQAFTSEDGVPNARGRILGGSSAINAGFYSRADQEFYKHSGVNWDLSLVNQSYQWVERRVVFRPELKNWQSAVRDGLIEAGVDPYNGFSLDHLVGTKIGGSTFDSSGKRHSAADLLNYARPGSIKVAIYASVERVLLASLSSSNAIARQRQSAIGVVFRDQMGRYHHAMVKEQGEVLLCAGALGSPQLLLLSGIGPRSYLSSWGIPVAYHHPYVGQFLYDNPRNGISIVPPVPLEHSLIQVVGITEAGAYVEAASNVIPFTSPARSVFIRTPSSPLFLTVATIMEKIVGPLSSGSLRLASTDVRLNPIVRFNYFTNPIDVERCVNGTRRIGDLLRSRSMDYFKFREWFGTRNFRFVGPELPVDQLNNEQMADFCRRTVSTIWHYHGGCVVGKVVDNNYHVIGIDALRVVDGSTFSVSPGTNPQATLMMLGRYLGLKIIKERTRLK >EOY25717 pep chromosome:Theobroma_cacao_20110822:6:1394956:1400033:-1 gene:TCM_027098 transcript:EOY25717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline (GMC) oxidoreductase family protein isoform 2 MGRFFVFFLECVAFLLLLYPVFSLARPHPQRDPVYLNFVLNATDLPSEDYYDYIIVGGGTAGCPLAATLSQSYRVLVLERGGVPYGNQQLMTQEGFLTTLTQVDTYDSPAQAFTSEDGVPNARGRILGGSSAINAGFYSRADQEFYKHSGVNWDLSLVNQSYQWVERRVVFRPELKNWQSAVRDGLIEAGVDPYNGFSLDHLVGTKIGGSTFDSSGKRHSAADLLNYARPGSIKVAIYASVERVLLASLSSSNAIARQRQSAIGVVFRDQMGRYHHAMVKEQGEVLLCAGALGSPQLLLLSGIGPRSYLSSWGIPVAYHHPYVGQFLYDNPRNGISIVPPVPLEHSLIQVVGITEAGAYVEAASNVIPFTSPARSVFIRTPSSPLFLTVATIMEKIVGPLSSGSLRLASTDVRLNPIVRFNYFTNPIDVERCVNGTRRIGDLLRSRSMDYFKFREWFGTRNFRFVGPELPVDQLNNEQMADFCRRTVSTIWHYHGGCVVGKVVDNNYHVIGIDALRVVDGSTFSVSPGTNPQATLMMLGSDEQPLSKPAMVEYIEADLDFKIAKEQKLSSQPSSSRQPVTRPEPTSFVYVARPQSKFNPETHAKPQVQGDHYQRIAKRLKQLKRSKKKALQAQKPSAEGCRVLLSL >EOY27073 pep chromosome:Theobroma_cacao_20110822:6:19963465:19968998:1 gene:TCM_029009 transcript:EOY27073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSEGPKLYTNKPKKAQLKQFQEQQKGKDFAPTSSAAAASYTMGSQTATNPPPPPQPPKESFARRYKFLWPLLLAVNLTVGAYLFMRTKKKDTSITEEDVARDVGATPVSTTTVTAPPVTEKPLPSPSITQPVKLVEPIPESQQRELFKHILEEKRKIKPKDPEEKKRLDEEKAILKQYIRAESIPRI >EOY25530 pep chromosome:Theobroma_cacao_20110822:6:361082:365944:1 gene:TCM_026929 transcript:EOY25530 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 3 MSFDLTRKEPQQPTPPSAGCNGNGVAVLPSMATAHRLRLNPNTEHKPETYEGLQLEFSPLLFSSLERYLPPPMLSLSRDSKLNYMRDIILRYSPEGERTRVQRHREYRQKIISHYQPLHRELYAMHASNFFVPSFLKAINENKEESFRSIMAEPTLGVFTFEMLQPHFCELLLSEVENFEKWVHETKFRIMRPNTMNKFGAVLDDFGLETMLDKLMEDFIRPISKVFFSDVGGSTLDSHHGFVVEYGIDRDVELGFHVDDSEVTLNVCLGKQFSGGDLFFRGVRCDKHVNTETQSDEILDYSHVPGRAVLHRGRHRHGARATTSGHRVNLLLWCRSSVFRELRKYQKDFSSWCGECQREKKERQRVSIAATKQVKSKSIVFVENKNINSDCLL >EOY25531 pep chromosome:Theobroma_cacao_20110822:6:361082:365944:1 gene:TCM_026929 transcript:EOY25531 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 3 MSFDLTRKEPQQPTPPSAGCNGNGVAVLPSMATAHRLRLNPNTEHKPETYEGLQLEFSPLLFSSLERYLPPPMLSLSRDSKLNYMRDIILRYSPEGERTRVQRHREYRQKIISHYQPLHRELYAMHASNFFVPSFLKAINENKEESFRSIMAEPTLGVFTFEMLQPHFCELLLSEVENFEKWVHETKFRIMRPNTMNKFGAVLDDFGLETMLDKLMEDFIRPISKVFFSDVGGSTLDSHHGFVVEYGIDRDVELGNFGLFPCSRTCSSSSRAP >EOY25529 pep chromosome:Theobroma_cacao_20110822:6:361082:365790:1 gene:TCM_026929 transcript:EOY25529 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 3 MSFDLTRKEPQQPTPPSAGCNGNGVAVLPSMATAHRLRLNPNTEHKPETYEGLQLEFSPLLFSSLERYLPPPMLSLSRDSKLNYMRDIILRYSPEGERTRVQRHREYRQKIISHYQPLHRELYAMHASNFFVPSFLKAINENKEESFRSIMAEPTLGVFTFEMLQPHFCELLLSEVENFEKWVHETKFRIMRPNTMNKFGAVLDDFGLETMLDKLMEDFIRPISKVFFSDVGGSTLDSHHGFVVEYGIDRDVELGFHVDDSEVTLNVCLGKQFSGGDLFFRGVRCDKHVNTETQSDEILDYSHVPGRAVLHRGRHRHGARATTSGHRVNLLLWCRSSVFRELRKYQKDFSSWCGECQREKKERQRVSIAATKQELLKREGKPPT >EOY27361 pep chromosome:Theobroma_cacao_20110822:6:21393839:21403002:-1 gene:TCM_029222 transcript:EOY27361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein MADENCSDAGTDPNPCFLQKFRLYETRSNFYMIGRDKNRAFWRLLKIDRLDPSELTILEDSTTYSEIECCDLLRRIHEGNRSTGGLKFVTACYGIVGFVKFLGPYYMLLITKRRKIGAICGHTIYAITKSEMIPIPNSPVQSNVAYSKDEKRYKKLLCTVDLTKDFFFSYSYNVMHSLQRNLCKDETGLLNYETMFVWNEFLTQGIRNNLKNTLWTVALVYGFFKQVKLSVSGKDFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGCPTQISSVVQNRGSIPLFWSQETSRLNLKPDIILSKKDPNYEATRLHFENLVRRYGNPIIILNLIKRCEKKPRETILRAEFANAIRFLNKSLTKENRLRFLHWDLNRHSRKATSVLALLGRVADYALNLTGIFYCQVTPNFRREGLLNLSCLVQNDECSQILSDISNDVEKLETDVVNDKQNSSDIKPPVFQNGVLRTNCIDCLDRTNVAQYAYGMMALGRQLHAMGFTESQTIDQNSPLADDLMGVYETMGDTLALQYGGSAAHNKIFCQRRGQWKAATQSQEFFRTLQRYYSNAYMDAVKQSAINLFLGHFQPQQGKPALWELDSDQHYSVGRHGPNHFNENDRSLFKRSLSDGNILCGTDSPLAASNVGHHQPLSENTGATHGLSGSTPEMPTCEISYSRFTPRMSCRQLFGDVEKDHFLESNRICYDENGDECNCTNFDMDWLSSSGNSCDDDIYDRSTTGLSSENIGAELKIDMTTSPSESGSSIKGGDRTASDLTCDGILDEFSESFVNWVTHGDMLIPLQFTSK >EOY27377 pep chromosome:Theobroma_cacao_20110822:6:21469051:21470247:1 gene:TCM_029237 transcript:EOY27377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKERKRNISEQSANSTLLLNQENKKEQEPRMKIRKGPKAKKRNKKKKSNASILTTIDVLHKIYKHFLN >EOY27728 pep chromosome:Theobroma_cacao_20110822:6:22976354:22978468:1 gene:TCM_029506 transcript:EOY27728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B561-related protein, putative MQVSHFLGSFTIPALYVFLLALVSCSSHGEDTASSNHKSIKENVHKLSPQMTSYIAIHGLLLWVSMGFLMPAGILFIRMANKEEGGRRVKVLFYLHAMLQILAVLLATIGAIMSIKNFENSFNNSHQRLGLALYGAIWMQALIGFFRPPRGNKRRSTWYLTHWILGTAVSLVGIINIYTGLNAYHKKTSRSTGIWTILFTAEVSFVAFFYLFQDKWEYIQKQGLILGNAPQPTPPSDQENATEQRDNQKVMLPEPCGKRNALRNLFD >EOY28553 pep chromosome:Theobroma_cacao_20110822:6:25762664:25766228:-1 gene:TCM_030090 transcript:EOY28553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQVSRGACFYNIQNANNTDKFNHALIDLLNNLSNQAAVAGSLGKYATNNSTMGFFQTVLGLSPCNSTLSLFGLRQITFRMQISLVKVDLGLLSRIVENFTAFPLKMSLAAPTWTRLAFELKDF >EOY26736 pep chromosome:Theobroma_cacao_20110822:6:17524163:17525592:-1 gene:TCM_028693 transcript:EOY26736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISALVWNVRGISSAVIQRRIKKLQLLHKMKTLVILEPMVDNSKADFIRRKLGFEKVFKNCSQKIWLFHSVDLSCEVLLDQVQCLHVKLTMPWLEIPLLASFIFVKCTRSERLILWDCLRGLSANIHAPWIVGGDFNAIIRSGERMNGAAPHGGSMEDFATALLDCGLMDGEYEGNPFTWTNNRMFQRLDRMVYNHH >EOY28550 pep chromosome:Theobroma_cacao_20110822:6:25757623:25772930:-1 gene:TCM_030088 transcript:EOY28550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase isoform 1 MGCSGLFLFYWSVIVSLAAIAFADDYDNYFECGFVEDRGNYTANSSYQANLNRIVSQLSSLTEFNYGFFNLSAGESPDEVKAIALCTGDRTQDECNSCLHRTATELIQRCPWHKEATAWSEFCLVRYANRDIFGQLESEPRTCAFNTRKASNPVQFNDGLSELLNNLSNIAAAGGPLRKYAAGNATAGNLQTIYAAVQCTPDMDKQNCTHCLDDGKAEFLNCCYGRIGCRVLRPTCILRFESNPFYHTAVPLPSLPPSPTPPGPTTSPTSIGG >EOY28549 pep chromosome:Theobroma_cacao_20110822:6:25757330:25759850:-1 gene:TCM_030088 transcript:EOY28549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase isoform 1 MECSGRLLFYCSLILSLAALALADDPYFECGFVEDRGNYTANSSYQANLNRIVSQLSSLTEFNYGFFNLSAGESPDEVKAIALCTGDRTQDECNSCLHRTATELIQRCPWHKEATAWSEFCLVRYANRDIFGQLESEPRTCAFNTRKASNPVQFNDGLSELLNNLSNIAAAGGPLRKYAAGNATAGNLQTIYAAVQCTPDMDKQNCTHCLDDGKAEFLNCCYGRIGCRVLRPTCILRFESNPFYHTAVPLPSLPPSPTPPGPTTSPTSIGGGNQQNGRRGLIARCRFLYDSKLCLNVKLLRRFTYLCSKTVDICAVFFFCHLGLVTLLFSYMHISAAVFGSFC >EOY28551 pep chromosome:Theobroma_cacao_20110822:6:25757623:25759219:-1 gene:TCM_030088 transcript:EOY28551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase isoform 1 MECSGRLLFYCSLILSLAALALADDPYFECGFVEDRGNYTANSSYQANLNRIVSQLSSLTEFNYGFFNLSAGESPDEVKAIALCTGDRTQDECNSCLHRTATELIQRCPWHKEATAWSEFCLVRYANRDIFGQLESEPRTCAFNTRKASNPVQFNDGLSELLNNLSNIAAAGGPLRKYAAGNATAGNLQTIYAAVQCTPDMDKQNCTHCLDDGKAEFLNCCYGRIGCRVLRPTCILRFESNPFYHTAVPLPSLPPSPTPPGPTTSPTSIGG >EOY25921 pep chromosome:Theobroma_cacao_20110822:6:2756032:2760354:1 gene:TCM_027290 transcript:EOY25921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prephenate dehydrogenase family protein MIKKNEDATFLPSVHLHLTKLLLVGGLEPNLTNLQKKKVKVVEPQPTTSDSKLGPQREGKIKSPLKYSIPQLGEANGNTIFESVHCLPQFCVKFRILRMLLFSATRPLNPPQPLPFLSFSRFVSLSLPLPILPNPSRSTHFPSLQIYSIDAAQPFDYESHLKNRYLQSTSLKIAIIGFGNFGQFLSETFIRHHHTLLAHSRTNYTNLANQLGVSFFSDPNDLFEQHPDVVLLSTSILSTETLLQQLPFQRLRRNTLFVDVLSVKEFPRNLFLKYLPPDFDILCTHPMFGPESGKNTWAGLPFVYDKVRIGDEESRVKRCEKFLDIFEKEGCRMVEMSCMEHDKYAAGSQFVTHTMGRVLEQFGLESSPINTKGYETLLNLVENTKGDSFDLYYGLFMYNQNALEQLERLDMAFESIKKELFGRLHRVYRKQLFGDKEEKERERRFAQKLLGNGGLIDPPLDNVGQDGS >EOY26808 pep chromosome:Theobroma_cacao_20110822:6:17976384:17978137:-1 gene:TCM_028764 transcript:EOY26808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I subunit H2 MASVATLAAVQPTTIKGLGGSSLSGTKLFVKPSRQSFKPKNYRAGAVVAKYGDKSVYFDLEDLGNTTGQWDLYGSDASSPYNSLQSKFFETFAAPFTKRGLLLKFLLLGGGSLLLFLSATASDDLLPIKKGPQLPPKPGPRGKI >EOY26147 pep chromosome:Theobroma_cacao_20110822:6:5007481:5010058:1 gene:TCM_027560 transcript:EOY26147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein MVKAMALLLFPSMLSLLVFSLILHNGVVHCFGEKKLLKLQHFQWKQKWDASTCLSQKSRKEKGATILEMKHRDYCYGGGVKDWNKLLQKRLILDDLRVQSLQARIKNKAFGKTEGVSDTRIPLTSGVELGTLNYIVTVELGGRKMRVIVDTGSDLTWVQCQPCKSCYNQKEPLFNPSASPSYQTVSCNSSTCQSLAFATGNTGICGNNPPTCNYIVSYGDGSYTRGELAHDHLSLGKTPVDNFVFGCGRNNKGLFGGASGLMGLGRSSISLVSQTTDIFGGFFSYCLPSTQAGASGSLVLGGNSSVYKTSSAISYTRMIPNPQLSTFYFLNLTGVSVGGVTLPDSTFGKGAMLIDSGTVITRLPPSIYKALKAEFMKQFSGFPSAPAFSILDTCFNLSAYQEVDVPTIKMQFEGNAEMNVDVTGVFYFVKTDASQVCLALASLSFEDEIGIIANYQQRNQRVIYDTKKAKLGFAHESCSFT >EOY27620 pep chromosome:Theobroma_cacao_20110822:6:22538530:22546973:-1 gene:TCM_029420 transcript:EOY27620 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAP-like protein 1 isoform 1 MNIDVDKIACETCGACLHFASSPSWAASEAEDAGVAFSKQLDVGHKVACPWRGNSCQESLVQFPPAPQSALIAGYKDRCDGLLQFQSLPVIAASAVEHMRVSWGPQVDRLLSQLQNFMTELESRSESIQELDNSRDAAFCLYYRSQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPSAAQVHLSHDPGPSKHASAKDSGKNKFLVMESRSEFRSPLLDCSLCGAAVRILDFLTVPRPARVAPNNIDIPDTSKKMGLTRGVSAASGIGGWLAADDPEKEQTEDRDEVGTTDERKLMQKTDVDLNLTMAGGLSFNQLGKTMTSRNMNDADMGRDLMIGQPSGSEVGDRAASYESRGPSSRKRSLEIGASSDDRPQLRVQQADSVEGTVIDRDGDEVTDGRQYSAGPSKRARDSDIFDTYCSPYPRDSSDAGPSHSIGFETYADGSRVALFRQGSDHVIGIPSTRDSTRASSVIAMDTVCHSADDDSMESVENYRGDVDDIHFPSSSTYGHLDMNDTSELNYSNQAQQSICFQPAAEAVPGEMGISSTNDGEEIFNAETVTAQARDGLSFGISGGSVGMCASHEAEIHGADVSVHRTASVVGDVEPRIEDAENQGQTGESAPDPGLMDEVVPDEINREDPHGDSQEMLSRSLGRADSGSKVDGSAKAESVESGEKISQSCKLVPDNSAHPSLSCNANLYSGNETP >EOY27615 pep chromosome:Theobroma_cacao_20110822:6:22538083:22547083:-1 gene:TCM_029420 transcript:EOY27615 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAP-like protein 1 isoform 1 MREEVISSGGTIDPTPAASSAGASSPAVPTNVGSIDWSGHGHNSKAASQSFVGSQAPWTSLSTSAGGSALGSSRPSCRPWERGDLLRRLATFKPINWFGKPKVASSLACAQRGWMNIDVDKIACETCGACLHFASSPSWAASEAEDAGVAFSKQLDVGHKVACPWRGNSCQESLVQFPPAPQSALIAGYKDRCDGLLQFQSLPVIAASAVEHMRVSWGPQVDRLLSQLQNFMTELESRSESIQELDNSRDAAFCLYYRSQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPSAAQVHLSHDPGPSKHASAKDSGKNKFLVMESRSEFRSPLLDCSLCGAAVRILDFLTVPRPARVAPNNIDIPDTSKKMGLTRGVSAASGIGGWLAADDPEKEQTEDRDEVGTTDERKLMQKTDVDLNLTMAGGLSFNQLGKTMTSRNMNDADMGRDLMIGQPSGSEVGDRAASYESRGPSSRKRSLEIGASSDDRPQLRVQQADSVEGTVIDRDGDEVTDGRQYSAGPSKRARDSDIFDTYCSPYPRDSSDAGPSHSIGFETYADGSRVALFRQGSDHVIGIPSTRDSTRASSVIAMDTVCHSADDDSMESVENYRGDVDDIHFPSSSTYGHLDMNDTSELNYSNQAQQSICFQPAAEAVPGEMGISSTNDGEEIFNAETVTAQARDGLSFGISGGSVGMCASHEAEIHGADVSVHRTASVVGDVEPRIEDAENQGQTGESAPDPGLMDEVVPDEINREDPHGDSQEMLSRSLGRADSGSKVDGSAKAESVESGEKISQSCKLVPDNSAHPSLSCNANLYSGNETPKKEVTNAGKSSSINNCPYPDPESDYAVAHGIGPPKGESNYEEAIEFDPIIHHNQFCPWVNGNVAAAGCSNSGSSTSADVVALCGWQLTLDALDALRSLGHIPVQTVQSESAASLHKDDHQTPGKKLLRRHSMNKSHGQH >EOY27617 pep chromosome:Theobroma_cacao_20110822:6:22539850:22546999:-1 gene:TCM_029420 transcript:EOY27617 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAP-like protein 1 isoform 1 MREEVISSGGTIDPTPAASSAGASSPAVPTNVGSIDWSGHGHNSKAASQSFVGSQAPWTSLSTSAGGSALGSSRPSCRPWERGDLLRRLATFKPINWFGKPKVASSLACAQRGWMNIDVDKIACETCGACLHFASSPSWAASEAEDAGVAFSKQLDVGHKVACPWRGNSCQESLVQFPPAPQSALIAGYKDRCDGLLQFQSLPVIAASAVEHMRVSWGPQVDRLLSQLQNFMTELESRSESIQELDNSRDAAFCLYYRSQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPSAAQVHLSHDPGPSKHASAKDSGKNKFLVMESRSEFRSPLLDCSLCGAAVRILDFLTVPRPARVAPNNIDIPDTSKKMGLTRGVSAASGIGGWLAADDPEKEQTEDRDEVGTTDERKLMQKTDVDLNLTMAGGLSFNQLGKTMTSRNMNDADMGRDLMIGQPSGSEVGDRAASYESRGPSSRKRSLEIGASSDDRPQLRVQQADSVEGTVIDRDGDEVTDGRQYSAGPSKRARDSDIFDTYCSPYPRDSSDAGPSHSIGFETYADGSRVALFRQGSDHVIGIPSTRDSTRASSVIAMDTVCHSADDDSMESVENYRGDVDDIHFPSSSTYGHLDMNDTSELNYSNQAQQSICFQPAAEAVPGEMGISSTNDGEEIFNAETVTAQARDGLSFGISGGSVGMCASHEAEIHGADVSVHRTASVVGDVEPRIEDAENQGQTGESAPDPGLMDEVVPDEINREDPHGDSQEMLSRSLGRADSGSKVDGSAKAESVESGEKISQSCKLVPDNSAHPSLSCNANLYSGNETPKKEVTNAGKSSSINNCPYPDPESDYAVAHGIGPPKGESNYEEAIEFDPIIHHNQFCPWVNGNVAAAGCSNSGSSTSADVVALCGWQLTLDALDALRSLGHIPVQTVQSESAASLHKDDHQTPGKKLLRRHSMNKSHGQH >EOY27616 pep chromosome:Theobroma_cacao_20110822:6:22539850:22546999:-1 gene:TCM_029420 transcript:EOY27616 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAP-like protein 1 isoform 1 MREEVISSGGTIDPTPAASSAGASSPAVPTNVGSIDWSGHGHNSKAASQSFVGSQAPWTSLSTSAGGSALGSSRPSCRPWERGDLLRRLATFKPINWFGKPKVASSLACAQRGWMNIDVDKIACETCGACLHFASSPSWAASEAEDAGVAFSKQLDVGHKVACPWRGNSCQESLVQFPPAPQSALIAGYKDRCDGLLQFQSLPVIAASAVEHMRVSWGPQVDRLLSQLQNFMTELESRSESIQELDNSRDAAFCLYYRSQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPSAAQVHLSHDPGPSKHASAKDSGKNKFLVMESRSEFRSPLLDCSLCGAAVRILDFLTVPRPARVAPNNIDIPDTSKKMGLTRGVSAASGIGGWLAADDPEKEQTEDRDEVGTTDERKLMQKTDVDLNLTMAGGLSFNQLGKTMTSRNMNDADMGRDLMIGQPSGSEVGDRAASYESRGPSSRKRSLEIGASSDDRPQLRVQQADSVEGTVIDRDGDEVTDGRQYSAGPSKRARDSDIFDTYCSPYPRDSSDAGPSHSIGFETYADGSRVALFRQGSDHVIGIPSTRDSTRASSVIAMDTVCHSADDDSMESVENYRGDVDDIHFPSSSTYGHLDMNDTSELNYSNQAQQSICFQPAAEAVPGEMGISSTNDGEEIFNAETVTAQARDGLSFGISGGSVGMCASHEAEIHGADVSVHRTASVVGDVEPRIEDAENQGQTGESAPDPGLMDEVVPDEINREDPHGDSQEMLSRSLGRADSGSKVDGSAKAESVESGEKISQSCKLVPDNSAHPSLSCNANLYSGNETPKKEVTNAGKSSSINNCPYPDPESDYAVAHGIGPPKGESNYEEAIEFDPIIHHNQFCPWVNGNVAAAGCSNSGSSTSADVVALCGWQLTLDALDALRSLGHIPVQTVQSESAASLHKDDHQTPGKKLLRRHSMNKSHGQH >EOY27619 pep chromosome:Theobroma_cacao_20110822:6:22537819:22547094:-1 gene:TCM_029420 transcript:EOY27619 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAP-like protein 1 isoform 1 MNIDVDKIACETCGACLHFASSPSWAASEAEDAGVAFSKQLDVGHKVACPWRGNSCQESLVQFPPAPQSALIAGYKDRCDGLLQFQSLPVIAASAVEHMRVSWGPQVDRLLSQLQNFMTELESRSESIQELDNSRDAAFCLYYRSQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPSAAQVHLSHDPGPSKHASAKDSGKNKFLVMESRSEFRSPLLDCSLCGAAVRILDFLTVPRPARVAPNNIDIPDTSKKMGLTRGVSAASGIGGWLAADDPEKEQTEDRDEVGTTDERKLMQKTDVDLNLTMAGGLSFNQLGKTMTSRNMNDADMGRDLMIGQPSGSEVGDRAASYESRGPSSRKRSLEIGASSDDRPQLRVQQADSVEGTVIDRDGDEVTDGRQYSAGPSKRARDSDIFDTYCSPYPRDSSDAGPSHSIGFETYADGSRVALFRQGSDHVIGIPSTRDSTRASSVIAMDTVCHSADDDSMESVENYRGDVDDIHFPSSSTYGHLDMNDTSELNYSNQAQQSICFQPAAEAVPGEMGISSTNDGEEIFNAETVTAQARDGLSFGISGGSVGMCASHEAEIHGADVSVHRTASVVGDVEPRIEDAENQGQTGESAPDPGLMDEVVPDEINREDPHGDSQEMLSRSLGRADSGSKVDGSAKAESVESGEKISQSCKLVPDNSAHPSLSCNANLYSGNETPKKEVTNAGKSSSINNCPYPDPESDYAVAHGA >EOY27618 pep chromosome:Theobroma_cacao_20110822:6:22539850:22546999:-1 gene:TCM_029420 transcript:EOY27618 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAP-like protein 1 isoform 1 MREEVISSGGTIDPTPAASSAGASSPAVPTNVGSIDWSGHGHNSKAASQSFVGSQAPWTSLSTSAGGSALGSSRPSCRPWERGDLLRRLATFKPINWFGKPKVASSLACAQRGWMNIDVDKIACETCGACLHFASSPSWAASEAEDAGVAFSKQLDVGHKVACPWRGNSCQESLVQFPPAPQSALIAGYKDRCDGLLQFQSLPVIAASAVEHMRVSWGPQVDRLLSQLQNFMTELESRSESIQELDNSRDAAFCLYYRSQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPSAAQVHLSHDPGPSKHASAKDSGKNKFLVMESRSEFRSPLLDCSLCGAAVRILDFLTVPRPARVAPNNIDIPDTSKKMGLTRGVSAASGIGGWLAADDPEKEQTEDRDEVGTTDERKLMQKTDVDLNLTMAGGLSFNQLGKTMTSRNMNDADMGRDLMIGQPSGSEVGDRAASYESRGPSSRKRSLEIGASSDDRPQLRVQQADSVEGTVIDRDGDEVTDGRQYSAGPSKRARDSDIFDTYCSPYPRDSSDAGPSHSIGFETYADGSRVALFRQGSDHVIGIPSTRDSTRASSVIAMDTVCHSADDDSMESVENYRGDVDDIHFPSSSTYGHLDMNDTSELNYSNQAQQSICFQPAAEAVPGEMGISSTNDGEEIFNAETVTAQARDGLSFGISGGSVGMCASHEAEIHGADVSVHRTASVVGDVEPRIEDAENQGQTGESAPDPGLMDEVVPDEINREDPHGDSQEMLSRSLGRADSGSKVDGSAKAESVESGEKISQSCKLVPDNSAHPSLSCNANLYSGNETPKKEVTNAGKSSSINNCPYPDPESDYAVAHGIVGPPKGESNYEEAIEFDPIIHHNQFCPWVNGNVAAAGCSNSGSSTSADVVALCGWQLTLDALDALRSLGHIPVQTVQSESAASLHKDDHQTPGKKLLRRHSMNKSHGQH >EOY27614 pep chromosome:Theobroma_cacao_20110822:6:22539620:22547083:-1 gene:TCM_029420 transcript:EOY27614 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAP-like protein 1 isoform 1 MREEVISSGGTIDPTPAASSAGASSPAVPTNVGSIDWSGHGHNSKAASQSFVGSQAPWTSLSTSAGGSALGSSRPSCRPWERGDLLRRLATFKPINWFGKPKVASSLACAQRGWMNIDVDKIACETCGACLHFASSPSWAASEAEDAGVAFSKQLDVGHKVACPWRGNSCQESLVQFPPAPQSALIAGYKDRCDGLLQFQSLPVIAASAVEHMRVSWGPQVDRLLSQLQNFMTELESRSESIQELDNSRDAAFCLYYRSQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPSAAQVHLSHDPGPSKHASAKDSGKNKFLVMESRSEFRSPLLDCSLCGAAVRILDFLTVPRPARVAPNNIDIPDTSKKMGLTRGVSAASGIGGWLAADDPEKEQTEDRDEVGTTDERKLMQKTDVDLNLTMAGGLSFNQLGKTMTSRNMNDADMGRDLMIGQPSGSEVGDRAASYESRGPSSRKRSLEIGASSDDRPQLRVQQADSVEGTVIDRDGDEVTDGRQYSAGPSKRARDSDIFDTYCSPYPRDSSDAGPSHSIGFETYADGSRVALFRQGSDHVIGIPSTRDSTRASSVIAMDTVCHSADDDSMESVENYRGDVDDIHFPSSSTYGHLDMNDTSELNYSNQAQQSICFQPAAEAVPGEMGISSTNDGEEIFNAETVTAQARDGLSFGISGGSVGMCASHEAEIHGADVSVHRTASVVGDVEPRIEDAENQGQTGESAPDPGLMDEVVPDEINREDPHGDSQEMLSRSLGRADSGSKVDGSAKAESVESGEKISQSCKLVPDNSAHPSLSCNANLYSGNETPKKEVTNAGKSSSINNCPYPDPESDYAVAHGIGPPKGESNYEEAIEFDPIIHHNQFCPWVNGNVAAAGCSNSGSSTSADVVALCGWQLTLDALDALRSLGHIPVQTVQSESAASLHKDDHQTPGKKLLRRHSMNKSHGQH >EOY28086 pep chromosome:Theobroma_cacao_20110822:6:24199021:24201908:1 gene:TCM_029760 transcript:EOY28086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSNSVGGRAVASASGVGDRHDFASSSIRKAKKQRIPKRGPGVAELEKILREQEQKDGAGQIIGGISSSLLPSLPNTYPPPHSSFVSSSNPLPRNVTFLPDHNHLGNAPGTPSLPPVAALSGNINGNGYDNLEGSLQIGGGSVGGNGRSKGVYIGGSGVYLPEQTLLPITWGSTETRKGEEAPKMDADFSFPILVSKGSDRHNPPRMLQKTHSPCHPSMVNFFPRSAASSPSTPPSSSAGVYHHVEPPSNQKSCLNYTCILPEEDKVIGVKRPRPNFPVDKWLPAPPSLPYQLPHSHPHIPRLGPSMSSSNNHGVFNLETASRDPMPTSPLELEKVKSCVNDQGNPSGNGSAPITLGSPTTPLPSTQKCCQPDFSKFKQYPFQDRKESSDVLFQRSSGSEGSVHKRRFFTFLLQPEEQRDAAEATPSFQNEKCEKTGDLIDLTLKL >EOY26163 pep chromosome:Theobroma_cacao_20110822:6:5188941:5199342:-1 gene:TCM_027582 transcript:EOY26163 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative isoform 1 MINVMSAHNHRHINKKNTTSSKSISYWLGQNTILSYLTMLRQNDKLQGQPKLLLFDGGGKKKQGKKKGKEKGIITPLIKKFWFQRYSLFSKYGKGIKIDEDGWYSVTPEEITIKHNEKCDGGGLVIDFFFGVSGNAIQFARLCSFVIAIYVDPQKVELAINNARVYGVEDYIDFIVGDFLQLVPSLKGDMVFLSLP >EOY26164 pep chromosome:Theobroma_cacao_20110822:6:5176906:5199342:-1 gene:TCM_027582 transcript:EOY26164 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative isoform 1 MINVMSAHNHRHINKKNTTSSKSISYWLGQNTILSYLTMLRQNDKLQGQPKLLLFDGGGKKKQGKKKGKEKGIITPLIKKFWFQRYSLFSKYGKGIKIDEDGWYSVTPEEITIKHNEKCDGGGLVIDFFFGVSGNAIQFARLCSFVIAIYVDPQKVELAINNARVYGVEDYIDFIVGDFLQLVPSLKTVNIN >EOY27087 pep chromosome:Theobroma_cacao_20110822:6:20048047:20053337:-1 gene:TCM_029020 transcript:EOY27087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain isoform 1 MSREQKKGKQEKGGTDVAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSHGSGRKWGFPRFAGDCASGSRKSQSGSSSEQKSDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVAAEAKLAQASWVVLDKQLKNEEKRCMEELQCNIVVMKRSQAKVLRLNLVGSPKKEADASCQLNSEMDERSEKHPKSKNGSSGSIRGPAVTPTSSPELGTPFTATEAGTSSVSSSDPGTSPFFISEGNGDLKKEESIVIKENQDLDESSSDTESENLSLSSASLRFQPWITEYLTSHHRSSQHLEETSGRANDRAQASTTKALLEKFSKLDREAGIGISSFRSDTEFSGNVREAISLSRNAPPGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLIELVTGRKAVDLNRPKGQQCLTEW >EOY27085 pep chromosome:Theobroma_cacao_20110822:6:20046235:20053631:-1 gene:TCM_029020 transcript:EOY27085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain isoform 1 MSREQKKGKQEKGGTDVAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSHGSGRKWGFPRFAGDCASGSRKSQSGSSSEQKSDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVAAEAKLAQASWVVLDKQLKNEEKRCMEELQCNIVVMKRSQAKVLRLNLVGSPKKEADASCQLNSEMDERSEKHPKSKNGSSGSIRGPAVTPTSSPELGTPFTATEAGTSSVSSSDPGTSPFFISEGNGDLKKEESIVIKENQDLDESSSDTESENLSLSSASLRFQPWITEYLTSHHRSSQHLEETSGRANDRAQASTTKALLEKFSKLDREAGIGISSFRSDTEFSGNVREAISLSRNAPPGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLIELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDELVDPRLGDCYSEHEVYCMLHAASSCIRRDPHSRPRMSQVLRILEGDMLMDTNYTSPGYDVGNRSGRIWAEQKQHYSGPLVNEASEGFSGKLSLEGLRPGTRRKSCEEDL >EOY27086 pep chromosome:Theobroma_cacao_20110822:6:20045509:20053631:-1 gene:TCM_029020 transcript:EOY27086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain isoform 1 MSREQKKGKQEKGGTDVAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSHGSGRKWGFPRFAGDCASGSRKSQSGSSSEQKSDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVAAEAKLAQASWVVLDKQLKNEEKRCMEELQCNIVVMKRSQAKVLRLNLVGSPKKEADASCQLNSEMDERSEKHPKSKNGSSGSIRGPAVTPTSSPELGTPFTATEAGTSSVSSSDPGTSPFFISEGNGDLKKEESIVIKENQDLDESSSDTESENLSLSSASLRFQPWITEYLTSHHRSSQHLEETSGRANDRAQASTTKALLEKFSKLDREAGIGISSFRSDTEFSGNVREAISLSRNAPPGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLIELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDELVDPRLGDCYSEHEVYCMLHAASSCIRRDPHSRPRMSQVLRILEGDMLMDTNYTSPGYDVGNRSGRIWAEQKQHYSGPLVNEASEGFSGKLSLEGLRPGTRRKSCEEDL >EOY25963 pep chromosome:Theobroma_cacao_20110822:6:3031537:3035042:-1 gene:TCM_027328 transcript:EOY25963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHKPFVSPREKNREIQRRRIMKMPVRLKPFFLIIALFLISSTFFPLSHGQDEKDDQPSDGNSQGAMSLVKERLDEAGSGNASFILAKKETYRKDPLDNFNYYTGGWNIASVHYLASVAFSSAPFAIIAIVWFVLFGLFLFCACICCCCCRRNKPYGYSRLAYALSLIFLVLFTIAAIVGCVIMYIGEGRFQGSVNGATKYIVNQGISVVNNLINVYNYLSSAKNIALNQQFLPPDLVSQIDNVNSLINATGNLPHVTSTHITDSILVFLNPVNMALIIITVFLLLLAFLGFLFSILGMQACVYLFVVIGWIIITLTFILCGIFLVFHNIVADTCVAMDEWVQNPMADSAMKELLPCWDRGFGQNVLNASRSVTTSVDSLLNEYIVLVANNDTLPPETVPLYHNQSGPLVPVLCNPYTTQQGCGEGEVALSDAAEEWKKYVCEVSAAGICTTIGRLTPDMYNQMTSAVNVSYGLHNYGHFLAGIVDCTVLRDTFNGISQNHCPGLRKYSEWVYMGLVTATGSVMLSLILWVLYARERRHRKYTKRINKGYDESPLVGGRKL >EOY28343 pep chromosome:Theobroma_cacao_20110822:6:25066433:25076967:-1 gene:TCM_029940 transcript:EOY28343 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily A member 3-like 3, putative MLMMGEDIGNDSSGFLPRGDPSTDDKFDDLVSDSLCIDLDTFNRILEENSDPLQRNPDDPSGRNMLQGDSAPVSIHFQSGFQLFKELPSSGFGFEDLLRYSSEASDARAGSVGGSFDFHGKLESSAQNCSPVQTSSASFKEWFPIGQGTSYTEGVGMSLLEVPSCSTASSFAEIDGNHVLDRRDNLNFDLVDNRTGIQFKNTSDEFDYKNALFSPDAENINLIYEHSGDYRINTLQTLEATENDVARSVEFPSFGADMSSHNVTSIESTICHGSDVISDFSDPSSVILHRATGDDACFADCSTHYLPSSQNFMFEESMEGEVVEFPTESACSSSRIIFNAQGGTDNRSMSGLSMTHFSDVKRQYFEGKGNGHISPACGNLSYIANDGCFDGKGSVQPFDHSHSCISNNIFFHSAEALDKTSWVKSTNRADDSLSVDEDSKHSLSDISPSISNQEFTVNGKDAPQYYQDINLNVSSHSSLGGGGHLNLTSSEQYFSSSHPIPSTKMQLGCYGDERENKLIPPRSMGLSKVSPESIHSNSSDCRSHDDDEPEIRILEDISQPARTNQSLVLVKKTSSLPNTTFSNPLHNSGMGGIRPKGNDERLIFRVALQGLSQPKSEASPPDGVLTVPLLRHQRIALSWMTQKEKAGSHCLGGILADDQGLGKTVSTIALILKEKPPSSRASSQDMRKVQFETLNLDDNDDDHNEGMKQESVSSQVTSNGAIEKSSSPSGQAKGRPAAGTLIVCPTSVLRQWAEELNNKVTSKANLSVLVYHGSNRTKDPFELAKYDVVLTTYSIVSMEVPKQPPVRGDDDEKGKLEGDNLSSMDFPPSRKRKYSPCSNKKGVKHKKEVDELHVDSASRPLAKVGWFRIVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAAYKSFCSSIKFPITKNPGKGYPKLQAILQTIMLRRTKGTLLDGKPIINLPPKVIELKKVEFTKEERDFYSRLETDSRNQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPLLVRGFDSNSLCSSSIETAKKLPEEKLTFLLSCLASLALCGICNDPPEDAVVAVCGHVFCNQCISEHLSGDDNQCPTTNCKVRLSASSVFSNATLSSTLSEQPGQDSSLNCSGSQIVEVIGPHSEDCSYGSSKIKAALQVLQLLAKPQDHSLKSSGCLEGLSDLHSGDSPNGVLDEKNFGTGESLNDSCKVLGEKAIVFSQWTRMLDLFEGCLKSSSIHYRRLDGTMSVAARDKAVKDFNALPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVKDTVEDRILALQQKKREMVASAFGEDETGGRQTRLTVEDLEYLFMA >EOY27116 pep chromosome:Theobroma_cacao_20110822:6:20228409:20230301:-1 gene:TCM_029042 transcript:EOY27116 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MASIRVISTSMVQAENHDEQQAQKMDLTPWDLQFLLAEYIQRGLLYQIPEVPDVYDQEEEIGNIVVFYMKMFLSSTLSYFAPLAGRLSTTEHDGNTVSFSIDCNNAGALFVHAVADGVTTSNIIQPAYIPAVVDSFFPLNGIKNYQGTSNPLLAVQVTELVDGFFISVSVNHSIVDGASFFHFFNSWLAIACDPTQLSKPPVFQRDFFKDIDFPIRLPRSYFHQTHDKFIPPPLRVRVFHFSRENVAKLKAKANSEVGTKDISSLQALLSHLWRAVIRNRKADPDEETNYCLMISGRPKLQQLPERYFGNVLQVGAITMKVKELLDLGLGNAARQMNKVVAAHNEEMFKNFLKTWMETPKLRTMGNMVSNSLVTSDSPRFNIYGGETGLGTPIAIRSGPANKFDGRVTVHCGVEEGSIDIEICLAPATFGAMENDNEFMDAVTL >EOY28582 pep chromosome:Theobroma_cacao_20110822:6:25916066:25974537:-1 gene:TCM_030137 transcript:EOY28582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 10, putative MLLLILRLFMLFNEVSPNLLFPFCSDNTNYTSNSTFENNLERVLEALPSNTSDTGFYSTSIGDGADQVYGRALCRGDVNTSLCRSCIENASQDIMNLCKTEESIVWYDLCQVQYSFQNSSLMVYTGKYPESNKQETNISHPDHFNDVLTFLMNNISTEAASLSKIMFGFGEIKFNKKETIYGLVQCSRDISGSNCQTCLDSALGDLKACCYSRTGGTVLSRNCNVRFQMYHFYNASNSPLIYPRSAGDKWSSGILVAVICATALVLALLIGTSVVYCRWKKRTQKGMFCYSRCLLSLYGWIAEPFWVLPYYHLNDLFLAMPRSYEEISQKALLYELASPRGVTITQEGELVTSQEFPFLDLPTIREATDDFSDSNRLGQGGFGTVYKGVLADGKEVAVKRLSRRSWQDPEKRPQLDWKTCSEIISGIARGLLYLHEDSRLKIIHRDLKPSNVLLDQDMVAKISDFGMARIFCENQNSANTKRVVGTYGYMAPEYAMEGLFSVKSDVFSFGVIILEILCGKKNSGFYLTEHAQTLLAYAWRLWKEGKELEIVDPCLLESCSTPEINRCIHVGLLCVQEDPADRPTISDIVVVLGSDTHTIALPEPRRPASSVGRVVAVDQSSTRDP >EOY27929 pep chromosome:Theobroma_cacao_20110822:6:23634603:23639522:-1 gene:TCM_029642 transcript:EOY27929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-phosphatase/synthase 7 isoform 3 MMSRSYTNLLDLASGNFPVMGQAREKKRLPRVMTVPGVISELDDDQANSVSSDVPSSVIQDRIIIVANQLPVKAKRRPDNKGWSFSWDDDSLLLQLKDGLPEEMEVLYVGSLKVDVDPNEQDDVSQLLLDRFKCVPAFLPPDILTKFYHGFCKQHLWPLFHYMLPFSANHGGRFDRSLWEAYVAANNIFSQRVIEVINPEDDYVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTIGIKIMPVGIHMAQIESVLRLADKEWRVGELKQQFEGKTVLLGVDDMDVFKGVNLKLLAMEQLLKQHPKWQGRAVLVQITNPARGRGKDLEEIQAEIQASCKRINETFGQPGYDPIVFIDRPVSLSERVAYYTVAECVVVTAVRDGMNLTPYEYIVCRQGVSESGSSSESSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEAMNEAISMADAEKQLRHEKHYRYVSSHDVAFWSRSFFQDMERTCKEHFRRRCWGIGLSFGFRVVALDPNFRKLSIDHIVSVYLRSKNRAILLDYDGTVMPQTSHNKTPSSEVISIINTLSGDIKNTVFVVSGRGRESLGKWFSPCKKLGIAAEHGYFMRWSTNDEWEICGQTSEFGWKEIAEPVMKLYTEATDGSNIEYKESALVWHHRDADPGFGSSQAKEMLDHLESVLSNEPVAVKSGQFIIEVKPQGVSKGVVAEKIFTTMAENGKQADFVLCIGDDRSDEEMFEIISSAISSGVLSSNTSVFACTVGQKPSKAKYYLDDPAELGRTPCLTWEEADDSIWRIFHMSSNREGLRGPAGLIHGDTDLNFERDAQITLLPSHG >EOY27931 pep chromosome:Theobroma_cacao_20110822:6:23634603:23639522:-1 gene:TCM_029642 transcript:EOY27931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-phosphatase/synthase 7 isoform 3 MMSRSYTNLLDLASGNFPVMGQAREKKRLPRVMTVPGVISELDDDQANSVSSDVPSSVIQDRIIIVANQLPVKAKRRPDNKGWSFSWDDDSLLLQLKDGLPEEMEVLYVGSLKVDVDPNEQDDVSQLLLDRFKCVPAFLPPDILTKFYHGFCKQHLWPLFHYMLPFSANHGGRFDRSLWEAYVAANNIFSQRVIEVINPEDDYVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTIGIKIMPVGIHMAQIESVLRLADKEWRVGELKQQFEGKTVLLGVDDMDVFKGVNLKLLAMEQLLKQHPKWQGRAVLVQITNPARGRGKDLEEIQAEIQASCKRINETFGQPGYDPIVFIDRPVSLSERVAYYTVAECVVVTAVRDGMNLTPYEYIVCRQGVSESGSSSESSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEAMNEAISMADAEKQLRHEKHYRYVSSHDVAFWSRSFFQDMERTCKEHFRRRCWGIGLSFGFRVVALDPNFRKLSIDHIVSVYLRSKNRAILLDYDGTVMPQTSHNKTPSSEVISIINTLSGDIKNTVFVVSGRGRESLGKWFSPCKKLGIAAEHGYFMRWSTNDEWEICGQTSEFGWKEIAEPVMKLYTEATDGSNIEYKESALVWHHRDADPGFGSSQAKEMLDHLESVLSNEPVAVKSGQFIIEVKPQLMTRYGEFFT >EOY27932 pep chromosome:Theobroma_cacao_20110822:6:23635752:23638588:-1 gene:TCM_029642 transcript:EOY27932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-phosphatase/synthase 7 isoform 3 MMSRSYTNLLDLASGNFPVMGQAREKKRLPRVMTVPGVISELDDDQANSVSSDVPSSVIQDRIIIVANQLPVKAKRRPDNKGWSFSWDDDSLLLQLKDGLPEEMEVLYVGSLKVDVDPNEQDDVSQLLLDRFKCVPAFLPPDILTKFYHGFCKQHLWPLFHYMLPFSANHGGRFDRSLWEAYVAANNIFSQRVIEVINPEDDYVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTIGIKIMPVGIHMAQIESVLRLADKEWRVGELKQQFEGKTVLLGVDDMDVFKGVNLKLLAMEQLLKQHPKWQGRAVLVQITNPARGRGKDLEEIQAEIQASCKRINETFGQPGYDPIVFIDRPVSLSERVAYYTVAECVVVTAVRDGMNLTPYEYIVCRQGVSESGSSSESSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEAMNEAISMADAEKQLRHEKHYRYVSSHDVAFWSRSFFQDMERTCKEHFRRRCWGIGLSFGFRVVALDPNFRKLSIDHIVSVYLRSKNRAILLDYDGTVMPQTSHNKTPSSEVISIINTLSGDIKNTVFVVSGRGRESLGKWFSPCKKLGIAAEHGYFMRWSTNDEWEICGQTSEFGWKEIAEPVMKLYTEATDGSNIEYKESALVWHHRDADPGFGSSQAKEMLDHLESVLSNEPVAVKSGQFIIEVKPQ >EOY27930 pep chromosome:Theobroma_cacao_20110822:6:23634710:23639179:-1 gene:TCM_029642 transcript:EOY27930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-phosphatase/synthase 7 isoform 3 MMSRSYTNLLDLASGNFPVMGQAREKKRLPRVMTVPGVISELDDDQANSVSSDVPSSVIQDRIIIVANQLPVKAKRRPDNKGWSFSWDDDSLLLQLKDGLPEEMEVLYVGSLKVDVDPNEQDDVSQLLLDRFKCVPAFLPPDILTKFYHGFCKQHLWPLFHYMLPFSANHGGRFDRSLWEAYVAANNIFSQRVIEVINPEDDYVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTIGIKIMPVGIHMAQIESVLRLADKEWRVGELKQQFEGKTVLLGVDDMDVFKGVNLKLLAMEQLLKQHPKWQGRAVLVQITNPARGRGKDLEEIQAEIQASCKRINETFGQPGYDPIVFIDRPVSLSERVAYYTVAECVVVTAVRDGMNLTPYEYIVCRQGVSESGSSSESSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEAMNEAISMADAEKQLRHEKHYRYVSSHDVAFWSRSFFQDMERTCKEHFRRRCWGIGLSFGFRVVALDPNFRKLSIDHIVSVYLRSKNRAILLDYDGTVMPQTSHNKTPSSEVISIINTLSGDIKNTVFVVSGRGRESLGKWFSPCKKLGIAAEHGYFMRWSTNDEWEICGQTSEFGWKEIAEPVMKLYTEATDGSNIEYKESALVWHHRDADPGFGSSQAKEMLDHLESVLSNEPVAVKSGQFIIEVKPQGVSKGVVAEKIFTTMAENGKQADFVLCIGDDRSDEEMFEIISSAISSGVLSSNTSVFACTVGQKPSKAKYYLDDPAEVVNMLEALAKASDPEPFSDTGSEGSL >EOY28865 pep chromosome:Theobroma_cacao_20110822:6:26739614:26741076:-1 gene:TCM_030345 transcript:EOY28865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKDQFKCLGAQAHAKEASDSIDNNYGLVNLRHENRFNQKSSYQDIIIGSLNLVILNPVLEAKIWVFHCSSKTCNTGKFMSTIPRI >EOY26040 pep chromosome:Theobroma_cacao_20110822:6:3770735:3771339:-1 gene:TCM_027423 transcript:EOY26040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGIFVLSPSMKIKAVTDRLFDRFGGGRSPPRGSTLSVDEANRHDRRLLLQSSVVADGGCRLLDCNRPLRTPNK >EOY28782 pep chromosome:Theobroma_cacao_20110822:6:26524417:26526006:1 gene:TCM_030288 transcript:EOY28782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein MFSKAHLLLKLRCIVSASKHQLSTVTAVRITATYSTICQNQAENHTEAAVEPPRDSAELFRKWGCSENDLGQIFSRQPALRHAQVAPLLSKLKLLSDLGLTASDIVTMINCRPRFFCSRINHCFDERLDFFLTLFGSREVLRKALVRNPSLLTYDFHGTIKPVIALYEEMGIAGNDLIAMLISRPTLIPRTSFNDEKMEYIKKTGVSKGSKMYKYVVALIGISRIETIQEKVTNLEKFGCSEDEVWSLLGRSPLILTLSVDKVQRNMTFVVGTMKLSPKLVLQYPFLLFCNLEAVLKPRVLLAGKLKDMELHPQIKGAMMLRALRMKESRFLSAFVTCHPENVATELLEFYKHAKCVKRLAEASKMKVRKGFPF >EOY26876 pep chromosome:Theobroma_cacao_20110822:6:18805242:18810956:1 gene:TCM_028843 transcript:EOY26876 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHS domain-containing protein MDSSRRAVESYWRSRMIDGATSDEDKVTPVYKLEEICELLRSSHVSIVKEVSEFILKRLDHKSPIVKQKALRLIKYAVGKSGVEFRREMQRNSVAVRQLFHYKGQPDPLKGDALNKAVRDTAHEAISAIFAEDNNNTKPSPADDLNKRIQGFGNTNFEMPSDDKKSFLSEVVGIGSASIKQGISSFTQGHSLRKNDNGNYKSPTLRRSLTTESDHSDRYEPVELRNDTQGVSKITASGPWGQDSKVLKTETTNGEASSNYSETKTREERLLETIVTSGGVRLQPTRDAIQAFLVEAAKLDALALSHALESKLLSPMWQVRMKAVCVLESILRRKEDEHFLIVASYFTENKDVVLRCSESPQTSLREKANKVLILLNGEQTGGLASNSEKSLKAETTPVQMPDLIDTGGPDDYTGLDNSTKNQHDQNIANLTATPLIDDLFGDGLDAGLSTSEQKNDDDPFADVSFHTDEGRENVDDLFSGMTIDDKSVVNGNHAAANIKSELIDIFGTNSEAPFEPENKQNDVNDLMVGLSMNENSSSLKQKGISSEAHPENIFADINTHSSHEASNDALSGTLGSQAAGMNTNPMFPLGTMPYSFSPGIMLNPAFSSQPMNYGAMGSFFAQQQLLATMSNLQHLANLNAQNAAINHVSSGSNGGYSSALPDIFQSNFPIQTPSSMMNSSKKEDTRAFDFISDHLAAARDPKRTM >EOY25960 pep chromosome:Theobroma_cacao_20110822:6:3004683:3009602:-1 gene:TCM_027324 transcript:EOY25960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLVENVVGVNSQNHEIELHALPSHVARVSWPVIRDNEDLASILQDERGVVMFVTIKEQHTNAMPHEQTVQHKCVSNEILRSCQQMQPSFKTAVGLSLFSNNITMIISDDDAYDQMHDDYVEDDTTEWNDENYVGENDDCSEEDRGDDNDIRIVIMQLAIQNMPQLLS >EOY27703 pep chromosome:Theobroma_cacao_20110822:6:22873315:22879584:-1 gene:TCM_029485 transcript:EOY27703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEAALCLGYGPLPSISLRMPSSTNVTSPASTKLYFGYNHSSMSVSSGFTNRRKCHHFYSTSGPLALDRSNNSMPSAKEDGGKVVRGAVGASLALACALSIIGCSCKMNLKAIAGPKQQVYRKAPSFQQLTPQPPKKMALKSLLDVTVILTSKDETRVKEGITLTPSPTLRQPFLSKQQIEELKLAAVGLMKRGKPDQALQMLKNEHKRLDGESAYEMSMVLAEILISQP >EOY27702 pep chromosome:Theobroma_cacao_20110822:6:22873581:22876056:-1 gene:TCM_029485 transcript:EOY27702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEAALCLGYGPLPSISLRMPSSTNVTSPASTKLYFGYNHSSMSVSSGFTNRRKCHHFYSTSGPLALDRSNNSMPSAKEDGGKVVRGAVGASLALACALSIIGCSCKMNLKAIAGPKQQVYRKAPSFQQLTPQPPKKMALKSLLDVTVILTSKDETRVKEGITLTPSPTLRQPFLSKQQIEELKLAAVGLMKRGKPDQALQMLKNEHKRLDGESAYEMSMVLAEILISQGKYLEALNFLPADHDQHVSEFDVRPILYKAIVYTMLDKDDDAQQLWNEFAKSSEFSPFGS >EOY28996 pep chromosome:Theobroma_cacao_20110822:6:27061617:27064770:-1 gene:TCM_030444 transcript:EOY28996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase complex component-like protein MRNMKYRGREFIQYEEHEVLGLLRIDLPRTKDGKRALCHCNYCNKDIRIKCAVCPDLDQCIECFSAGAEVTPHKMNHPYGCHGKSLLETSFSLADNLLYCQVFCSILSADNRVLVNRTIYRFLFICPDWNADDEILLPEGIEMCGLGIWAKVVEHVGTKTKEKCIEHYDNVYMKSPYVPLADVCHVVGKNRRELLAMAKGRGEDKKGSCMLGELTVKEESSFLLQESTRQSNRPIQEVDRANNPLILTFKKQVTDPDMETSDNSKCFKWLLRKSSLVRSIEEEVANKTVPEGIIDSLLLIEAMDRKMKFPPSNSMKEAFCTVVLHCTLATLSQP >EOY26289 pep chromosome:Theobroma_cacao_20110822:6:7143172:7147061:-1 gene:TCM_027772 transcript:EOY26289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAF36750.1, putative isoform 1 MDFLKVKKFRKAHKPDPEKDLEDKPVPQPEELTNENGATEGAAAGDNDLNKSSEADAAAEVEDDDDEFITNEVKRRLKELRRNSFMVLIPEEEEESFPEDEEEEAGETSSSEWRDVEAEGRQWWGGFDAVYEKYSERMLFFDRMIAQQLKEAGFRNHSTPSPKSASKKLASPLRCLSLKKIEEPDEETKHLQQPMNNPYQDLETAYVAQICLTWEALHCQYTQLCQIVLCQPENPTCYNQSAQHLQQFQVLLQRFIENEPFQEGLRAEIYARARNILPKLLQIPNIQGSDHKEKVEEESNYLVHAPDIIRIIENSILTFQLFVKMDKKKHSSVLNLFGNQNPMATPLQQVQSLLMKKGMKIKELCKKRRGWKKKSWPQTCEDVPLLFGLIDIKIVSRVLRMARISKEQLFWCEEKMKKLDLCDGKLQRDPLPILFPC >EOY26290 pep chromosome:Theobroma_cacao_20110822:6:7142468:7149213:-1 gene:TCM_027772 transcript:EOY26290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAF36750.1, putative isoform 1 MDFLKVKKFRKAHKPDPEKDLEDKPVPQPEELTNENGATEGAAAGDNDLNKSSEADAAAEVEDDDDEFITNEVKRRLKELRRNSFMVLIPEEEEESFPEDEEEEAGETSSSEWRDVEAEGRQWWGGFDAVYEKYSERMLFFDRMIAQQLKEAGFRNHSTPSPKSASKKLASPLRCLSLKKIEEPDEETKHLQQPMNNPYQDLETAYVAQICLTWEALHCQYTQLCQIVLCQPENPTCYNQSAQHLQQFQVLLQRFIENEPFQEGLRAEIYARARNILPKLLQIPNIQGSDHKEKVEEESNYLVHAPDIIRIIENSILTFQLFVKMDKKKHSSVLNLFGNQNPMATPLQQVQSLLMKERSMESRGLSAAFSLEAAVH >EOY26291 pep chromosome:Theobroma_cacao_20110822:6:7144379:7148163:-1 gene:TCM_027772 transcript:EOY26291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAF36750.1, putative isoform 1 MDFLKVKKFRKAHKPDPEKDLEDKPVPQPEELTNENGATEGAAAGDNDLNKSSEADAAAEVEDDDDEFITNEVKRRLKELRRNSFMVLIPEEEEESFPEDEEEEAGETSSSEWRDVEAEGRQWWGGFDAVYEKYSERMLFFDRMIAQQLKEAGFRNHSTPSPKSASKKLASPLRCLSLKKIEEPDEETKHLQQPMNNPYQDLETAYVAQICLTWEALHCQYTQLCQIVLCQPENPTCYNQSAQHLQQFQVLLQRFIENEPFQEGLRAEIYARARNILPKLLQIPNIQVNALKQGFVQVQIIRKRWKR >EOY27139 pep chromosome:Theobroma_cacao_20110822:6:20395708:20400953:1 gene:TCM_029062 transcript:EOY27139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase MTTTSVKKNMLPPGLVNNLQEVLLSRKGGNNNNEQQKDDSAADSTEPSTSTCNEDTADSNDSSKPVVLLTNGEGIDSLGLVYLVQALVRLGLYNVHVCAPQSDKSVSGHSVTLRETIAVTPAEIDGATAYEVSGTTVDCVSLALSGALFSWSKPLLVISGINRGSSCGHHMFYSGVVAGAREALICGVPSLSISLNWKREESQESDFKDAVAVCLPLINAAIRDIEKGVFPKSCFLSIEIPTSPSANKGFKLTKQSFWRSAPSWQAVSANRHPSAAHFMSNQQSLGIQLAQLSRDASAAGAARRLTTQRKNVEIESVGAAKSDTKKVKKYFRLEFVDREQEDTDEDLDFRALDNGFVAVTPLSLSPQIESDIQTAASDWISSALHGEQ >EOY26357 pep chromosome:Theobroma_cacao_20110822:6:7951146:7963376:1 gene:TCM_027862 transcript:EOY26357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase 1 isoform 2 MARSRSSPSSSRFRYCNPSYYLKRPKRLALLLIVFVSATFFVWDRQTLVREHEVEVSMLNDEVLRLQNMLEELKSKVVPDDPIEIQRREKVKEAMIHAWSSYEKYAWGNDELQPQSKNGVNSFGGLGATLIDSLDTLYIMGLDEQFQRAREWVANSLDFNKDYDASVFETTIRVVGGLLSAFDLSGDKVFLEKARDIADRLLPAWDTSTGIPYNIINLARGNPHNPGWTGGDSILADSGTEQLEFIALSQRTGDPKYQEKVEKVIVALNKTFPADGLLPIYINPDRGTGSYSTITFGAMGDSFYEYLLKVWIQGNKTSSLKIYRDMWETSMKGLLSLIRRSTPSSFAYICEKNGNSLTDKMDELACFAPGMIALGSSGYGPDEAKKFLSLAEELAWTCYNFYQSTPTKLAGENYFFNSGQDMSVGTSWNILRPETIESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRIESGYVGLKDVNSGVKDNMMQSFFLAETLKYLYLLFSPPTVISLDEWVFNTEAHPIRIVNHNDADFAKSEGQHKPVARLRVRKMTSQRL >EOY26359 pep chromosome:Theobroma_cacao_20110822:6:7951491:7963274:1 gene:TCM_027862 transcript:EOY26359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase 1 isoform 2 MLNDEVLRLQNMLEELKSKVVPDDPIEIQRREKVKEAMIHAWSSYEKYAWGNDELQPQSKNGVNSFGGLGATLIDSLDTLYIMGLDEQFQRAREWVANSLDFNKDYDASVFETTIRVVGGLLSAFDLSGDKVFLEKARDIADRLLPAWDTSTGIPYNIINLARGNPHNPGWTGGDSILADSGTEQLEFIALSQRTGDPKYQEKVEKVIVALNKTFPADGLLPIYINPDRGTGSYSTITFGAMGDSFYEYLLKVWIQGNKTSSLKIYRDMWETSMKGLLSLIRRSTPSSFAYICEKNGNSLTDKMDELACFAPGMIALGSSGYGPDEAKKFLSLAEELAWTCYNFYQSTPTKLAGENYFFNSGQDMSVGTSWNILRPETIESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRIESGYVGLKDSSRWMSGYSTQKLTL >EOY26358 pep chromosome:Theobroma_cacao_20110822:6:7951491:7963274:1 gene:TCM_027862 transcript:EOY26358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase 1 isoform 2 MLNDEVLRLQNMLEELKSKVVPDDPIEIQRREKVKEAMIHAWSSYEKYAWGNDELQPQSKNGVNSFGGLGATLIDSLDTLYIMGLDEQFQRAREWVANSLDFNKDYDASVFETTIRVVGGLLSAFDLSGDKVFLEKARDIADRLLPAWDTSTGIPYNIINLARGNPHNPGWTGVCTYVLRCSAKSIKVPCFLCATVFDKGDSILADSGTEQLEFIALSQRTGDPKYQEKVEKVIVALNKTFPADGLLPIYINPDRGTGSYSTITFGAMGDSFYEYLLKVWIQGNKTSSLKIYRDMWETSMKGLLSLIRRSTPSSFAYICEKNGNSLTDKMDELACFAPGMIALGSSGYGPDEAKKFLSLAEELAWTCYNFYQSTPTKLAGENYFFNSGQDMSVGTSWNILRPETIESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRIESGYVGLKDVNSGVKDNMMQSFFLAETLKYLYLLFSPPTVISLDEWVFNTEAHPIRIVNHNDADFAKSEGQHKPVARLRVRKAGRLGDN >EOY26198 pep chromosome:Theobroma_cacao_20110822:6:5484357:5507748:1 gene:TCM_027619 transcript:EOY26198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLFNLNKEREEGYFIVDGNFVEYRNDNEIKDVWLDNVEVDTKYIGKTSATTNNEDNNEVGTQDLSFQDIGIMKRRIVDVLKPGETVILHDDSYSV >EOY27770 pep chromosome:Theobroma_cacao_20110822:6:23072815:23074002:1 gene:TCM_029532 transcript:EOY27770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic MAAATMALSSPSFAGKALKFSPSTPEIQGSGRVSMRKTGTKPPSGSPWYGPDRVLYLGPLSGDPPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVTDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >EOY28100 pep chromosome:Theobroma_cacao_20110822:6:24234059:24235789:-1 gene:TCM_029766 transcript:EOY28100 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase mitochondrial F1 complex assembly factor 1 isoform 1 MQRLTSGITRTVKASSSLRALLLKEAPSSSSSCRLPERSFSAFSLQQHVQNPQKEAIPGDFLKWRSLGSCRTSRFATGFTPLQPKPLNSVMDLDRAKNRSPEDLVSIWDDYHLGRGHIGLTMKAKLYRLLEQRGSDCRYFVIPLWRGSGYTTMFAQVQLPHMLFTGLEDYKARGTQAAPYFTATFYTEFAESKDLVLIRGDIVFTSKLTDEEAKWLLETTQSFYLNDVRYKQVERFNKEPREFEFKDVLRALDMPIM >EOY28099 pep chromosome:Theobroma_cacao_20110822:6:24233231:24235740:-1 gene:TCM_029766 transcript:EOY28099 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase mitochondrial F1 complex assembly factor 1 isoform 1 MQRLTSGITRTVKASSSLRALLLKEAPSSSSSCRLPERSFSAFSLQQHVQNPQKEAIPGDFLKWRSLGSCRTSRFATGFTPLQPKPLNSVMDLDRAKNRSPEDLVSIWDDYHLGRGHIGLTMKAKLYRLLEQRGSDCSRYFVIPLWRGSGYTTMFAQVQLPHMLFTGLEDYKARGTQAAPYFTATFYTEFAESKDLVLIRGDIVFTSKLTDEEAKWLLETTQSFYLNDVRYKQVERFNKEPREFEFKDVLRALDMPIMHWPNGRIISRQQVMFLTEVVLSTTCSFSPGGENGVEMTTFVPESTLKREIILLNRHKYGITQHWSRQKIGQLKRTT >EOY28186 pep chromosome:Theobroma_cacao_20110822:6:24497972:24499674:1 gene:TCM_029825 transcript:EOY28186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFYLIPNIVIIASSSYEKMSSPPAGINRSWFRYFQFEESKDSPSQARNDLLVVFTLIATVTFQAGVTPPGGVWQDDTNGSDRAGRAIYASQPGAFYVFLISNTVAFATSIFVIVSLTHRFPFQLEIRVAAASMLATYASAIFAITPEEVHFRYVLIAVVVPFVLRFLVHMFTKFTNRSRQNPSQFLEFEIPYVETQVHRLSVADNVCEK >EOY28614 pep chromosome:Theobroma_cacao_20110822:6:26046907:26048851:1 gene:TCM_030166 transcript:EOY28614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiazole biosynthetic enzyme MPHVDTQSLITPHRISFIYHTKAPQNTPLQSMAAITATLPSLSSSPKPSFLDHKSSFHGTPIASRFTPIRSSSQDSAISMSLNTPPYDLQSFNFQPIKESYVAREMTRRYMMDMITYADTDVIIVGAGSAGLSCAYEISKNPSIRVAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHIFLDELGIQYDEQENYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKDNRVAGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLKSIGMIDSVPGMKALDMNTAEDAIVRLTREIVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGQPNAIDGTFIEGGRVQPEFVLAAAETEGTVDA >EOY28223 pep chromosome:Theobroma_cacao_20110822:6:24620289:24621946:-1 gene:TCM_029852 transcript:EOY28223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein MEVQPNFPPDYADMSSSLSNELYPKTTMILLERGSCIIRSFASQRITDMEHNPNSSRTDRKLIERNRRNQMKALYSKLNSLIPHHNSRESTSLPDQLGEAANYIKRLQTNLERMKERKDSLLGVERSMNTSRSSGPRSPQIMIQEMGSSLVIGLTTCSSSQFIFNETIRILHEEGAEIVNASFSVVDDTVFHTIHLTVAESYAPDYGAAARISERLQKFVNDIADA >EOY27899 pep chromosome:Theobroma_cacao_20110822:6:23522387:23523511:-1 gene:TCM_029619 transcript:EOY27899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISDFLRRCNFARMLFSKAMILLSAVLALSWIGTHKLEAAMLPEDEVNVLNQIARTMGATNWTFDGDDCQKNDTPRVERGFVPEKNVTCHCENETCHVTHFDERREAVMLRTTYFMKGFGLFVIRKSPMDPSEPSLSFVIKKKTSNS >EOY27742 pep chromosome:Theobroma_cacao_20110822:6:23009224:23012493:1 gene:TCM_029516 transcript:EOY27742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation proton exchanger, putative MDPDIENAEHNEINNASIQCFILNITKHNGIWQGDNPFTESLPLLVTQLAAILILTRSLYHLLKPLRQPRIISDILGGILLGPSALGTTIYFADLFPTINVITVETLAYMALVFHMFLVGLEIDLNVIGRISKKAISFTVTGLLFPFTVGIGFFYLLHAYWEHDQSQGFEVNVQGSFLWAAALAVTSFPVVSGILSDLKLLNSDIGRLAMPIALVSDMGSWVLIVIVIPFCANPTNAPYIITTTIAYVLACVYTFRPFLAWIIRRTSKGKDQNYSKFYLCFVLVGVVLSAFVTDVTGTHSIVGAFVFGLILPTELALVLIERFEYFVSGLLMPVFFAVSGVRVDIFKISEWRLVLLIVVWLCAVKIISFLPISLVSDIKPKDSFALGLLMNTKGVWAILIIHTGLDRGVLQDDDYAVMMITILLMTSIVAPIIAAIYKRTNLSTRYERRTIQHASTEAELRILACIHSFCSVPGILKLLDVSQTTQNGHITVFTLHLVELSGCASAMLIVHDSQNRRFEDPVFDPDYGDSSETEKIVNAFREYENKNGNISIQSLTAVSPFVAMHGDICSLAEDKQATFLILPFHKQATKEGTLPEINAAFRDINKNVLLNAPCSVGIYIDRGLQETAGAKSNNKIHQIAMVFLGGPDDCEALAYAWRMAKNPGVRLTVIRLLEMDTMDFPNGKASMLYSRSYLDRQREIADEYINEFRLRTVGEELIHYEEKILLNGEELAVTLKEIENKFELFIVGRREGLESPLTTLLVTRVDCPELGVIGDLLANSNSATGSVLVVQQFIDSSQGIEDLVATPRSSMHGTVREVGSGRLSTVSGIGLGMYTRQK >EOY25615 pep chromosome:Theobroma_cacao_20110822:6:654906:656716:-1 gene:TCM_026990 transcript:EOY25615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin F-box MTDAEADWKNLPTLPLLLILDKLDVPSNPVRFGAVCKHWYFVFSNFLDLKRRSSPNMVPMLLIPTRMSNRVRQLCSLQIKTKFYNIELPESHSKRFCGSSHGWLAAVDKNMVITLLNPFKNGITIDLPEIAVNPKSASHQYDVHKVILSADPLSHSDSYVVVVIYGLRCRLAFYKSERKSWIYLDKGLTAFTDVIFYKNLAYAIGTRSLIVSFDVNDGFDDNLESPKVKILMPACRKVEDNIDSAYLVESSTGDLFSIKKEIDVEDYHPCAHFTKNFKVFKLVLDDQSGRLLEKEVKNMNGDVVFVGDNHTLAVSALDFPEGQPNSIYFTDDYYIATEYWPLGPRDIGFFNMKDGKVGKYYRFKPWHKYLPPYIWVQPPTEFVLR >EOY27347 pep chromosome:Theobroma_cacao_20110822:6:21352524:21356179:-1 gene:TCM_029213 transcript:EOY27347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain containing protein isoform 3 MANGEEKNNDFYAVLGLNKECTPTELRTAYKKLALRWHPDRCSASGNSKFVEEAKKKFQAIQQAYSVLSDSNKRFLYDVGAYDSDDDENGMGDFLNEMAGMMSQTKSNENGGESFEELQELFEEMFQADIDSFESTGQSTPSCSASSSFGSYGESSSSNKRNSSEMSSVETRLESSSSFDAQFHSFCLGSGGTQARYQATQRSQRRNARSSRR >EOY27349 pep chromosome:Theobroma_cacao_20110822:6:21352524:21356358:-1 gene:TCM_029213 transcript:EOY27349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain containing protein isoform 3 MANGEEKNNDFYAVLGLNKECTPTELRTAYKKLALRWHPDRCSASGNSKFVEEAKKKFQAIQQAYSVLSDSNKRFLYDVGAYDSDDDENGMGDFLNEMAGMMSQTKSNENGGESFEELQELFEEMFQADIDSFESTGQSTPSCSASSSFGSYGESSSSNKRNSSEMSSVETRLESSSSFDAQFHSFCLGVEHRQDIKQHRGARGGMRGAAGGSRRRNGRKQKVSSGHDVTSNDCGISAS >EOY27348 pep chromosome:Theobroma_cacao_20110822:6:21352526:21356348:-1 gene:TCM_029213 transcript:EOY27348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain containing protein isoform 3 MANGEEKNNDFYAVLGLNKECTPTELRTAYKKLALRWHPDRCSASGNSKFVEEAKKKFQAIQQAYSVLSDSNKRFLYDVGAYDSDDDENGMGDFLNEMAGMMSQTKSNENGGESFEELQELFEEMFQADIDSFESTGQSTPSCSASSSFGSYGESSSSNKRNSSEMSSVETRLESSSSFDAQFHSFCLGSGGTQARYQATQRSQRRNARSSRR >EOY28188 pep chromosome:Theobroma_cacao_20110822:6:24500486:24507744:1 gene:TCM_029826 transcript:EOY28188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative isoform 1 MPLSTSAVVARAEKDDERRATSTTEREINSSDMEIDDNDDDEEEGDLQGSSNRNDASNIMESKGHNGCLESGSFSPGKSETRVPSKSLAFSSLLNEPLKTQKEDICANYEDSKYPISGKQPAKEKATQQDVVSEKDARVILQRKAPEDHCEQQSNSKSHSVIGEIHSSVAGKEVVITIPVRYHSGGVNDVKGFKEKSKQMNLQSDDDKRRIKSRSAAPQTSTGSLSPGAELDSGSKRPALICDFFARGWCIKGSSCRFLHIKDSGNNPRQQPEVDVATADAKGAVQLDEGFDNAAERSSSPGSTDTLPSSVKNKTALSSHFFSERVLPSGHDENQRLHPFHEINKFPLLQSKDKLMGTSPASQQFSASIDDLGPSKDVRQNSIGQNLPADSYTKPASLSDRGSSTFRNSFLPEYRSSLSGSVTSLGITYSENRSYRVSTWMGSFPFGSSLSACSLGAQKVLDGDREHHTSRLSSLLQSSSPFSRSEPDNFPLNDIARDPLHVTDFRIKISSDDWEPSVPFRPSFFVTSSISPPRGQYNPLCDSIDMSNAGKRSLKFSFSSQGPSLLNVAYPPTYGDSASTGPVVTECNGDKKIASCHNRYPESLFNNNCHNSGKDSLTTDANDGTSAADMQNGTLVKEEISSVASHVKDISKANKIDTDLDGRHQRDGSRCKKDLKVDRVREKNEIEVEHKADGDPQKESKAMKHFRAALVDLIKELLKPTWREGHLNRDAHNTIVKKAVDKVLGTVQPHQIPITFESVKQYLSSSQPKIAKLVQGYVDKYSKS >EOY28189 pep chromosome:Theobroma_cacao_20110822:6:24500422:24506582:1 gene:TCM_029826 transcript:EOY28189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative isoform 1 MPLSTSAVVARAEKDDERRATSTTEREINSSDMEIDDNDDDEEEGDLQGSSNRNDASNIMESKGHNGCLESGSFSPGKSETRVPSKSLAFSSLLNEPLKTQKEDICANYEDSKYPISGKQPAKEKATQQDVVSEKDARVILQRKAPEDHCEQQSNSKSHSVIGEIHSSVAGKEVVITIPVRYHSGGVNDVKGFKEKSKQMNLQSDDDKRRIKSRSAAPQTSTGSLSPGAELDSGSKRPALICDFFARGWCIKGSSCRFLHIKDSGNNPRQQPEVDVATADAKGAVQLDEGFDNAAERSSSPGSTDTLPSSVKNKTALSSHFFSERVLPSGHDENQRLHPFHEINKFPLLQSKDKLMGTSPASQQFSASIDDLGPSKDVRQNSIGQNLPADSYTKPASLSDRGSSTFRNSFLPEYRSSLSGSVTSLGITYSENRSYRVSTWMGSFPFGSSLSACSLGAQKVLDGDREHHTSRLSSLLQSSSPFSRSEPDNFPLNDIARDPLHVTDFRIKISSDDWEPSVPFRPSFFVTSSISPPRGQYNPLCDSIDMSNAGKRSLKFSFSSQGPSLLNVAYPPTYGDSASTGPVVTECNGDKKIASCHNRYPESLFNNNCHNSGKDSLTTDANDGTSAADMQNGTLVKEEISSVASHVKDISKANKIDTDLDGRHQRDGSRCKKDLKVDRVREKNEIEVEHKADGDPQKESKAMKHFRAALVDLIKELLKPTWREGHLNRDAHNTIVKKAVDKVLGTVQPHQIPITFESVKQYLSSSQPKIAKLVQGYVDKYSKS >EOY28187 pep chromosome:Theobroma_cacao_20110822:6:24500486:24507744:1 gene:TCM_029826 transcript:EOY28187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative isoform 1 MPLSTSAVVARAEKDDERRATSTTEREINSSDMEIDDNDDDEEEGDLQGSSNRNDASNIMESKGHNGCLESGSFSPGKSETRVPSKSLAFSSLLNEPLKTQKEDICANYEDSKYPISGKQPAKEKATQQDVVSEKDARVILQRKAPEDHCEQQSNSKSHSVIGEIHSSVAGKEVVITIPVRYHSGGVNDVKGFKEKSKQMNLQSDDDKRRIKSRSAAPQTSTGSLSPGAELDSGSKRPALICDFFARGWCIKGSSCRFLHIKDSGNNPRQQPEVDVATADAKGAVQLDEGFDNAAERSSSPGSTDTLPSSVKNKTALSSHFFSERVLPSGHDENQRLHPFHEINKFPLLQSKDKLMGTSPASQQFSASIDDLGPSKDVRQNSIGQNLPADSYTKPASLSDRGSSTFRNSFLPEYRSSLSGSVTSLGITYSENRSYRVSTWMGSFPFGSSLSACSLGAQKVLDGDREHHTSRLSSLLQSSSPFSRSEPDNFPLNDIARDPLHVTDFRIKISSDDWEPSVPFRPSFFVTSSISPPRGQYNPLCDSIDMSNAGKRSLKFSFSSQGPSLLNVAYPPTYGDSASTGPVVTECNGDKKIASCHNRYPESLFNNNCHNSGKDSLTTDANDGTSAADMQNGTLVKEEISSVASHVKDISKANKIDTDLDGRHQRDGSRCKKDLKVDRVREKNEIEVEHKADGDPQKESKAMKHFRAALVDLIKELLKPTWREGHLNRDAHNTIVKKAVDKVLGTVQPHQIPITFESVKQYLSSSQPKIAKLVQGYVDKYSKS >EOY26387 pep chromosome:Theobroma_cacao_20110822:6:8795250:8818532:1 gene:TCM_027924 transcript:EOY26387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MRSITLPHCCYSSANCKRTTVILMGKSNKSPSPPPDKGPESAAPRITSNVKQNLQFLKLWKEYQKRKSSAPKPATSYRRKKMQKEDLSDDTELYRDPTTTLYYTNQGLDDAVPVLLVDGYNVCGYWMKLKKHFMKGRLDIARQKLIDELVNFSMLREVKVVVVFDAMMSGLPTHKENFSGVDVVYSGESCADAWIEKEVVALKEDGCPKVWVVTSDHCQQQAAYGAGAFIWSSKALVSEI >EOY26385 pep chromosome:Theobroma_cacao_20110822:6:8795250:8823879:1 gene:TCM_027924 transcript:EOY26385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MRSITLPHCCYSSANCKRTTVILMGKSNKSPSPPPDKGPESAAPRITSNVKQNLQFLKLWKEYQKRKSSAPKPATSYRRKKMQKEDLSDDTELYRDPTTTLYYTNQGLDDAVPVLLVDGYNVCGYWMKLKKHFMKGRLDIARQKLIDELVNFSMLREVKVVVVFDAMMSGLPTHKENFSGVDVVYSGESCADAWIEKEVVALKEDGCPKVWVVTSDHCQQQAAYGAGAFIWSSKALVSEINASQKEFERMLQEQRSSSFQGKLLKHNLNSEVVDALKDLRRQLSENESK >EOY26383 pep chromosome:Theobroma_cacao_20110822:6:8795250:8818378:1 gene:TCM_027924 transcript:EOY26383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MRSITLPHCCYSSANCKRTTVILMGKSNKSPSPPPDKGPESAAPRITSNVKQNLQFLKLWKEYQKRKSSAPKPATSYRRKKMQKEDLSDDTELYRDPTTTLYYTNQGLDDAVPVLLVDGYNVCGYWMKLKKHFMKGRLDIARQKLIDELVNFSMLREVKVVVVFDAMMSGLPTHKENFSGVDVVYSGESCADAWIEKEVVALKEDGCPKVWVVTSDHCQQQAAYGAGAFIWSSKALVSEINASQKEFERMLQEQRSSSFQGKLLKHNLNSEVVDALKDLRRQLSENESK >EOY26384 pep chromosome:Theobroma_cacao_20110822:6:8795250:8823825:1 gene:TCM_027924 transcript:EOY26384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MRSITLPHCCYSSANCKRTTVILMGKSNKSPSPPPDKGPESAAPRITSNVKQNLQFLKLWKEYQKRKSSAPKPATSYRRKKMQKEDLSDDTELYRDPTTTLYYTNQGLDDAVPVLLVDGYNVCGYWMKLKKHFMKGRLDIARQKLIDELVNFSMLREVKVVVVFDAMMSGLPTHKENFSGVDVVYSGESCADAWIEKEVVALKEDGCPKVWVVTSDHCQQQAAYGAGAFIWSSKALVSEINASQKEFERMLQEQRSSSFQGKLLKHNLNSEVVDALKDLRRQLSENESK >EOY26386 pep chromosome:Theobroma_cacao_20110822:6:8795250:8823879:1 gene:TCM_027924 transcript:EOY26386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MRSITLPHCCYSSANCKRTTVILMGKSNKSPSPPPDKGPESAAPRITSNVKQNLQFLKLWKEYQKRKSSAPKPATSYRRKKMQKEDLSDDTELYRDPTTTLYYTNQGLDDAVPVLLVDGYNVCGYWMKLKKHFMKGRLDIARQKLIDELVNFSMLREVKVVVVFDAMMSGLPTHKENFSGVDVVYSGESCADAWIEKEVVALKEDGCPKVWVVTSDHCQQQAAYGAGAFIWSSKALVSEI >EOY27030 pep chromosome:Theobroma_cacao_20110822:6:19801347:19807073:-1 gene:TCM_028981 transcript:EOY27030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein MSFSKFVSFVLMLHLLNTTVVLSSSSLSSSNGWSEIPKKFLHFAKGQELVDWIVGIRRKIHENPELGYEEFETSKLIREELDKMGIPYKYPVSVTGVVGYVGTGKPPFVAIRADMDALAMEELVEFEHKSKNPGKMHACGHDAHVSMLLGAAKILKEHLEELKGTVLLVFQPAEEGGGGAKKMLDAGVLKNVDAIFGLHVVPDQPIGTVASRPGPLLAGSGFFEAVISGKGGHAAIPQHSIDPILAASNVIVSLQHLVSREADPLDSQVVTVAKFQGGGAFNVIPDSVTIGGTFRAFSKESLTQLKQRIEEVIKGQAAVQRCSATVDFYENEKPVPIFPPTVNNKDLHEHFQNVAGDMLGADKVKDMQPLMGSEDFAFYQEAIPGYFFMLGMRDDTGPQLKSVHSPYFMINEDVLPYGAALHASLAARYLLAAEPKFHSPKEELHDEL >EOY27254 pep chromosome:Theobroma_cacao_20110822:6:20880945:20882599:1 gene:TCM_029140 transcript:EOY27254 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA binding protein associated factor 21kDa subunit isoform 1 MAEGEEDLPRDAKIVKSLLKSMGVEDYEPRVIHQFLELWYRYVVDVLTDAQVYSEHAGKQTIDCDDVKLAIQSKVNFSFSQPPPREVLLELARNRNKVPLPKAIPAPGIAIPPDQDTLINTNYQFAIPRKQAVEETEEDEESVDPNPSQEQKTDINPFMKDIIHEVVSLLKRKGCSSVIMMVGEQYRSLPHTKTILGLVWGCCWEF >EOY27255 pep chromosome:Theobroma_cacao_20110822:6:20881442:20882146:1 gene:TCM_029140 transcript:EOY27255 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA binding protein associated factor 21kDa subunit isoform 1 MAEGEEDLPRDAKIVKSLLKSMGVEDYEPRVIHQFLELWYRYVVDVLTDAQVYSEHAGKQTIDCDDVKLAIQSKVNFSFSQPPPREVLLELARNRNKVPLPKAIPAPGIAIPPDQDTLINTNYQFAIPRKQAVEETEEDEESVDPNPSQEQKTDMPQPTSQRVSFPLAKRSK >EOY28414 pep chromosome:Theobroma_cacao_20110822:6:25281039:25285032:1 gene:TCM_029986 transcript:EOY28414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MGEEERQEENQQSNSQIELTETSESTNQQGHEWPLKIKFDVPPYRTYHFHKQFRTGPNPNNFLKGVKWSPDGSCFLTSSEDNTLRLFSLPDNGSSDHVTACSSASEEDSYKAELVVSEGESVYDFCWYPYMSASDPVTCVFATTTRDHPIHLWDATSGLLRCTYRAYDAVDEITAAFSVSFNPAGTKVFAGYNKYVRVFDVHRPGRDFAQYSTLQGNKEGQTGIISAIAFCPAHTGMLATGSYSQTTAIYREDNMELLYVLHGQEGGVTQVQFSKDGNYLYTGARKDPYIMCWDIRKAVEVVYKLYRSSEDTNQRIAFDIESSGRHLGTGGQDGLVHIYDLQTGQWVSGFQVAADTVNGFSFHPFLPMATTSSGHRRFQMPEDDNEDLHLRGDENCASVWSFSYDFTAGEQC >EOY28415 pep chromosome:Theobroma_cacao_20110822:6:25281048:25284739:1 gene:TCM_029986 transcript:EOY28415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MGEEERQEENQQSNSQIELTETSESTNQQGHEWPLKIKFDVPPYRTYHFHKQFRTGPNPNNFLKGVKWSPDGSCFLTSSEDNTLRLFSLPDNGSSDHVTACSSASEEDSYKAELVVSEGESVYDFCWYPYMSASDPVTCVFATTTRDHPIHLWDATSGLLRCTYRAYDAVDEITAAFSVSFNPAGTKVFAGYNKYVRVFDVHRPGRDFAQYSTLQGNKEGQTGIISAIAFCPAHTGMLATGSYSQTTAIYREDNMELLYVLHGQEGGVTQVQFSKDGNYLYTGARKVIQII >EOY27510 pep chromosome:Theobroma_cacao_20110822:6:22179554:22180802:1 gene:TCM_029350 transcript:EOY27510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMVDIYQEAGPTLLEEPESSIVFMDLHRQDEDHIKRLKEFQAYYDMVKRMVKPGCSQEVLKVALNSMSSLKKNLPGCPSDEIACCRFACLYLCIKPSVLLLYSHIVVQINVHLNLLKKQDMGMFYSVTCQHTTLCIASCHTCS >EOY27509 pep chromosome:Theobroma_cacao_20110822:6:22178381:22180792:1 gene:TCM_029350 transcript:EOY27509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDFFEMLGSSTKLAYDNCLSLWQKTESEDINNMMVDIYQEAGPTLLEEPESSIVFMDLHRQDEDHIKRLKEFQAYYDMVKRMVKPGCSQEVLKVALNSMSSLKKNLPGCPSDEIACCRFACLYLCIKPSVLLLYSHIVVQINVHLNLLKKQDMGMFYSVTCQHTTLCIASCHTCS >EOY26392 pep chromosome:Theobroma_cacao_20110822:6:9052701:9055430:1 gene:TCM_027945 transcript:EOY26392 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 35 MAIAAAATMSNDPNDNNNNDDHNNSSSSSKDDHEHDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRAENSRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPQHETERYQKAEISLCRVYKRAGVEDHPSLPRCLPTRPSASLRGQQSGKKYPHDAAQQAMERFQGFGGQSQQMEIEKISETDGSSSSTSDVTTALGLSKQNVYRPMAPISTTLGLPSGIEEEGMFLNQSKQGCSSLVPNCTTVFTVGSSVSPNVVDDLHRLVSYQHATMNQQQHYYSDHHHQQQQQSEFSTLPPQSQQLSLNMLPSSLPMAFSDRLWEWNPIPEANREYNNPFK >EOY26374 pep chromosome:Theobroma_cacao_20110822:6:8337787:8338629:-1 gene:TCM_027891 transcript:EOY26374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLFEYHLLDLAAFRYGQSSFNRTGIFMSAFVLSNPTFRAPRELFGPYIFIGDKYEHFSGNSYRIEVK >EOY26028 pep chromosome:Theobroma_cacao_20110822:6:3733190:3733390:-1 gene:TCM_027417 transcript:EOY26028 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCR4-associated factor 1 AVTTTSQRPVLKKKEKPILGPPIILQTGNPTERKEVPFRNFLDLSLSRICLVYLFLSKKPSIFPLL >EOY26029 pep chromosome:Theobroma_cacao_20110822:6:3728866:3733475:-1 gene:TCM_027417 transcript:EOY26029 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCR4-associated factor 1 MSLLPKGDSIQIREVWNDNLEEEFALIREIVDDYPYVAMDTEFPGVVLRPLGNFKNSYDYHYQTLKDNVDMLKLIQLGLTFSDEEGNLPTCATDKYCIWQFNFREFNVNEDVFANDSIELLRQSGIDFKKNNEKGIDAMRFGELLMSSGIVLNDSVHWVTFHSGYDFGYLLKLLTCQNLPDTQAGFFSLINIYFPTLYDIKHLMKFSNSLYGGLNKLAELLEVERVGICHQAGSDSLLTSCTFRKLKENFFSGSLEKYSGVLYGLGVENGQKDDRMRNIVVNKHGLFTKPFLPVAMNLCICILSSNKEGRESHGAEGASVGFGSEQCSETNLAKIMWEQLESTDPRIGTLTEIDHT >EOY25775 pep chromosome:Theobroma_cacao_20110822:6:1845070:1846252:1 gene:TCM_027143 transcript:EOY25775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRPDISYAVNLRMYAPTAPPLPEAKTILRYLKSRLGCVELRLSLAPYLNLVHTLMGIGAGCSNPTTIYDRLLCLSWLFYIISWGSKKQSMFSRSSAISLFLKCYGFQIFSEVLDFQISINSHDLLLMIFQGRTKNILKLIFSLFKTLLPMALLLLNLFPLQNQLADILTRGLLPIISFSFCLQTSLRTLHHQFAGVIADYMQSVPSVCRVRFYLGIRRYTI >EOY28701 pep chromosome:Theobroma_cacao_20110822:6:26283809:26291328:1 gene:TCM_030225 transcript:EOY28701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 3 MSLCENVQSTEVGSRGHQTAPPVASDGRSKPDDDVEWEAEEEVEDEEDEEEDVDFNPFLKETPSPEASSSLSSEIEGLDGDIVDSRAHTHVTKDVNPSKINAKVQNSDVGDSEHGEEETVMQSTASPELQNTIPLKHDKRKTGSSSQSEREKESQSSTVKDSMVGDLSNATHSQKPVIHLDDAEDDAVCRRTRARYSLASFTLDELEAFLQETDDEDDVQNVDDEEEYRKFLAAVLQGGDGDHQSTQGNENVDDEDEDNDADFEIELEEALESDYDEAALEKTQAEEYQRAGRRPETRQNRRQKASAQYERKLLEQTKRPLRPLLPILPNGPIAPIPTLNGKTCMPETYRSCLPSAAVDGCINGFTPYQIGQLHCLIHEHVQLLIQIFSLCVLDPSRQHIASQLHRLIFEMLHKRDEGVACKSKLYPDTCFKPPYVSSSVPNEVPLLCPTQSTPKTSTFNANGVCFSPNTQMPDAQNIFSPSGRYEHVSSGQLRFSWVPSLNSPGLSILDVAPLNLVGRYMDDVYSAVQEHRQRHLENSCATQYEKEPLFPLPCFPSEVEANNEALRGSALPAGSTVPSSVCQPPPKKTLAATLVEKTKKQSVAVVPKDITKLAQRFFPLFNPVLFPHKPPPVAVANRVLFTDAEDELLALGIMEYNSDWKAIQQRYLPCKSKHQIFVRQKNRCSSKAPENPIKAVRRMKTSPLTAEELQGIQEGLKVYKLDWMSVWKFIVPHRDPSLLPRQWRIALGTQKSYKQDATKKEKRRLYESERRKRKAALTNWQHVSDKEAEEGTHVTEQSNNYVSAVIRPLTGHMQGSPHALNQSQHPYATSHHASNALQPTHPVPNMIWNASKSQIYLRPYRSRKSNNLRLVKLAPDLPPVNLPPSVRVISESALKTNQCGAYTKVSATGDGVVDAGIGNTVSPFSHSAKALANKRHKSNPTRANITSSLSEESGVVKNKSVAEERSTHTDLQMHPLLFQAPEDGQVPYYPLNCGTGASSSFSFFSGNQPQLNLSLFYNPQQTNHSVESLTRSLKMKDSVSISCGIDFHPLLQRTDDTNSELMKSVAQCSPFATRSRPSSPNEKANELDLEIHLSSLSTKENAALSGDAATHHKNSAVSLLNSQNAAETRDTTHSSGNKFVSGARASTIPSKTTGRYMDDTSDQSHLEIVMEQEELSDSDEEFEEHVEFECEEMADSEGEGSGCEQVSEMQDKEAEGSTTRKTVTDEDFNNQQQELSTRCNSQGNICVPEKGTPPFLKLGLTCPRKDASSSWLSLDSSASGRTSRSKPKNEVSTISKGPPTKTLASYRLNRPLKHATPSTRKVTVQEHAIDMAEQLSLGPLSVPTLRKPRKRRANTIANTGSSLGNPKNDAKDSG >EOY28700 pep chromosome:Theobroma_cacao_20110822:6:26283760:26291610:1 gene:TCM_030225 transcript:EOY28700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 3 MSLCENVQSTEVGSRGHQTAPPVASDGRSKPDDDVEWEAEEEVEDEEDEEEDVDFNPFLKETPSPEASSSLSSEIEGLDGDIVDSRAHTHVTKDVNPSKINAKVQNSDVGDSEHGEEETVMQSTASPELQNTIPLKHDKRKTGSSSQSEREKESQSSTVKDSMVGDLSNATHSQKPVIHLDDAEDDAVCRRTRARYSLASFTLDELEAFLQETDDEDDVQNVDDEEEYRKFLAAVLQGGDGDHQSTQGNENVDDEDEDNDADFEIELEEALESDYDEAALEKTQAEEYQRAGRRPETRQNRRQKASAQYERKLLEQTKRPLRPLLPILPNGPIAPIPTLNGKTCMPETYRSCLPSAAVDGCINGFTPYQIGQLHCLIHEHVQLLIQIFSLCVLDPSRQHIASQLHRLIFEMLHKRDEGVACKSKLYPDTCFKPPYVSSSVPNEVPLLCPTQSTPKTSTFNANGVCFSPNTQMPDAQNIFSPSGRYEHVSSGQLRFSWVPSLNSPGLSILDVAPLNLVGRYMDDVYSAVQEHRQRHLENSCATQYEKEPLFPLPCFPSEVEANNEALRGSALPAGSTVPSSVCQPPPKKTLAATLVEKTKKQSVAVVPKDITKLAQRFFPLFNPVLFPHKPPPVAVANRVLFTDAEDELLALGIMEYNSDWKAIQQRYLPCKSKHQIFVRQKNRCSSKAPENPIKAVRRMKTSPLTAEELQGIQEGLKVYKLDWMSVWKFIVPHRDPSLLPRQWRIALGTQKSYKQDATKKEKRRLYESERRKRKAALTNWQHVSDKEDCQAEYTGGENCSGDDDIDNVDESYVHEGFLADWRPGTSKLISSERPCLNIRNKNLPGDMSTEEGTHVTEQSNNYVSAVIRPLTGHMQGSPHALNQSQHPYATSHHASNALQPTHPVPNMIWNASKSQIYLRPYRSRKSNNLRLVKLAPDLPPVNLPPSVRVISESALKTNQCGAYTKVSATGDGVVDAGIGNTVSPFSHSAKALANKRHKSNPTRANITSSLSEESGVVKNKSVAEERSTHTDLQMHPLLFQAPEDGQVPYYPLNCGTGASSSFSFFSGNQPQLNLSLFYNPQQTNHSVESLTRSLKMKDSVSISCGIDFHPLLQRTDDTNSELVTECSTASLSVNLDGKSVAPCNPSNAVQMKSVAQCSPFATRSRPSSPNEKANELDLEIHLSSLSTKENAALSGDAATHHKNSAVSLLNSQNAAETRDTTHSSGNKFVSGARASTIPSKTTGRYMDDTSDQSHLEIVMEQEELSDSDEEFEEHVEFECEEMADSEGEGSGCEQVSEMQDKEAEGSTTRKTVTDEDFNNQQQELSTRCNSQGNICVPEKGTPPFLKLGLTCPRKDASSSWLSLDSSASGRTSRSKPKNEVSTISKGPPTKTLASYRLNRPLKHATPSTRKVTVQEHAIDMAEQLSLGPLSVPTLRKPRKRRANTIANTGSSLGNPKNDAKDSG >EOY28702 pep chromosome:Theobroma_cacao_20110822:6:26283809:26291328:1 gene:TCM_030225 transcript:EOY28702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 3 MSLCENVQSTEVGSRGHQTAPPVASDGRSKPDDDVEWEAEEEVEDEEDEEEDVDFNPFLKETPSPEASSSLSSEIEGLDGDIVDSRAHTHVTKDVNPSKINAKVQNSDVGDSEHGEEETVMQSTASPELQNTIPLKHDKRKTGSSSQSEREKESQSSTVKDSMVGDLSNATHSQKPVIHLDDAEDDAVCRRTRARYSLASFTLDELEAFLQETDDEDDVQNVDDEEEYRKFLAAVLQGGDGDHQSTQGNENVDDEDEDNDADFEIELEEALESDYDEAALEKTQAEEYQRAGRRPETRQNRRQKASAQYERKLLEQTKRPLRPLLPILPNGPIAPIPTLNGKTCMPETYRSCLPSAAVDGCINGFTPYQIGQLHCLIHEHVQLLIQIFSLCVLDPSRQHIASQLHRLIFEMLHKRDEGVACKSKLYPDTCFKPPYVSSSVPNEVPLLCPTQSTPKTSTFNANGVCFSPNTQMPDAQNIFSPSGRYEHVSSGQLRFSWVPSLNSPGLSILDVAPLNLVGRYMDDVYSAVQEHRQRHLENSCATQYEKEPLFPLPCFPSEVEANNEALRGSALPAGSTVPSSVCQPPPKKTLAATLVEKTKKQSVAVVPKDITKLAQRFFPLFNPVLFPHKPPPVAVANRVLFTDAEDELLALGIMEYNSDWKAIQQRYLPCKSKHQIFVRQKNRCSSKAPENPIKAVRRMKTSPLTAEELQGIQEGLKVYKLDWMSVWKFIVPHRDPSLLPRQWRIALGTQKSYKQDATKKEKRRLYESERRKRKAALTNWQHVSDKEAEEGTHVTEQSNNYVSAVIRPLTGHMQGSPHALNQSQHPYATSHHASNALQPTHPVPNMIWNASKSQIYLRPYRSRKSNNLRLVKLAPDLPPVNLPPSVRVISESALKTNQCGAYTKVSATGDGVVDAGIGNTVSPFSHSAKALANKRHKSNPTRANITSSLSEESGVVKNKSVAEERSTHTDLQMHPLLFQAPEDGQVPYYPLNCGTGASSSFSFFSGNQPQLNLSLFYNPQQTNHSVESLTRSLKMKDSVSISCGIDFHPLLQRTDDTNSELVTECSTASLSVNLDGKSVAPCNPSNAVQMKSVAQCSPFATRSRPSSPNEKANELDLEIHLSSLSTKENAALSGDAATHHKNSAVSLLNSQNAAETRDTTHSSGNKFVSGARASTIPSKTTGRYMDDTSDQSHLEIVMEQEELSDSDEEFEEHVEFECEEMADSEGEGSGCEQVSEMQDKEAEGSTTRKTVTDEDFNNQQQELSTRCNSQGNICVPEKGTPPFLKLGLTCPRKDASSSWLSLDSSASGRTSRSKPKNEVSTISKGPPTKTLASYRLNRPLKHATPSTRKVTVQEHAIDMAEQLSLGPLSVPTLRKPRKRRANTIANTGSSLGNPKNDAKDSG >EOY27691 pep chromosome:Theobroma_cacao_20110822:6:22820859:22823230:1 gene:TCM_029478 transcript:EOY27691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein MATFLRRIVVMIHVFVLLSLWFSDYGFLHGVTSLGINYGQVGNNLPPPDRVLDLLSSLKLTKARIYDTNPQILTTFAHSNIELIVTVENQMLPVVMDPQQALQWVSTHIMPYFPATKITGIAVGNEVFTDDDTSLIGYLVPAIVSIHGALVQLGLDKYIQVSTPNSLAVLEQSYPPSAGSFKSEVSGVMSQLLQFLSSTGSPFWINAYPYFAYKDAPNKISLDYVLFNRNPGMVDPYTKLHYDNMLYAQVDAVVCAMFRMGYGGIEVRVSETGWPSKGDSDEIGATVQNAAVYNRNLLRRQMSNEGTPLRPNMRLEVYLFALFNEDMKPGPTSERNYGLFQPDGTIAYNVGLSALATTSSTSSASISLTSSATKAANMEYQSLVYWMFVYLLTFHVFMRRLH >EOY27107 pep chromosome:Theobroma_cacao_20110822:6:20165212:20172536:-1 gene:TCM_029035 transcript:EOY27107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein MKALNGSLWCLSSTIGSLITTSFGLLSLAGPWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDLEAQPNRHAVLGATDSRPDLILTGHQDDAEFALAMCPTEPFVLSGGKDKSVVLWSIHDQISTLAMEPGPTKSPGSTGTSTKHASKGGGNNDKPVDGPVIGPRGIYQGHEDTVEDVQFCPSSTQEFCSVGDDSCLILWDARAGVTPAVKVEKAHNADLHCVDWNPHDVNLILTGSADNTVHMFDRRNLTSGGIGSPVHKFEGHSAAVLCVQWSPDKSSVFGSSAEDGILNIWDHEKIGKKQDTAGSRAANAPPGLFFQHAGHRDKVVDFHWNASDPWTIVSVSDDCESTNGGGTLQMWRMIDLIYRPAEEVLAELDKFKSHILAC >EOY28856 pep chromosome:Theobroma_cacao_20110822:6:26708395:26711948:-1 gene:TCM_030335 transcript:EOY28856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease family C19-related protein isoform 1 FFLEDKDGKVNSQRPKKKLVKAVDPRAVAHQPSRKPQTANSAPPFQREKDTHAITAFPPTRFRHSILLPLPTLPTLTPTTLHSLCNSPNSLSLSLSVLVFISKINKQKRGRMGSRIQSHQLSSGLVVSGRPEQLKERQPTMSLRAVPYTGGDVKKSGELGKMFDIPVLDQSSSNGPSSNPNSKQQQQLVQPSRTSSSSQPNSGSVRSGSNSGPIRKSSGPMPLQPTGLITSGPLSSGPRRSGQLGQAEQAVASSGKAGYGPGVTSLGEGMRFGFRVSKAVIWVVMVVVVMGLLVGAFLMVAVKKVVVLGAVGAVVAPLGLGLLWNCIWGRKGLVGYVRRYPDAELRGAVDGQYVKVTGVVTCGSIPLESSYQRVPRCVYVSTELYEYKGWGGKSANPKHHCFSWGCRHSEKYVADFYISDFQSGLRALVKAGYGAKVAPFVKPATVADITKQNRDLSPSFLRWLAERNLSSDDRIMRLKEGYAIYCSACSIMVIFSAPQLHLCGICRL >EOY28855 pep chromosome:Theobroma_cacao_20110822:6:26708395:26711823:-1 gene:TCM_030335 transcript:EOY28855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease family C19-related protein isoform 1 MGSRIQSHQLSSGLVVSGRPEQLKERQPTMSLRAVPYTGGDVKKSGELGKMFDIPVLDQSSSNGPSSNPNSKQQQQLVQPSRTSSSSQPNSGSVRSGSNSGPIRKSSGPMPLQPTGLITSGPLSSGPRRSGQLGQAEQAVASSGKAGYGPGVTSLGEGMRFGFRVSKAVIWVVMVVVVMGLLVGAFLMVAVKKVVVLGAVGAVVAPLGLGLLWNCIWGRKGLVGYVRRYPDAELRGAVDGQYVKVTGALVPIAERKSLDGVEYMFSAGNNLNIGGVVTCGSIPLESSYQRVPRCVYVSTELYEYKGWGGKSANPKHHCFSWGCRHSEKYVADFYISDFQSGLRALVKAGYGAKVAPFVKPATVADITKQNRDLSPSFLRWLAERNLSSDDRIMRLKEGYIKEGSTVSVMGVVRRHDNVLMIVPPSEPISTGCQWSRCLLPTYVEGLILTCDDNQNDDVVPV >EOY27559 pep chromosome:Theobroma_cacao_20110822:6:22391216:22393906:-1 gene:TCM_029392 transcript:EOY27559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein isoform 2 MARSALDEMSDSGAFMRTASTFRNIISRDPNSRFPPESGRYHLYVSYACPWASRCLAYLKIKGLAKAISFTSVKPIWERTKETDEHMGWVFPTSNTEEPDAEPDPFNGAKSIRKLYELASTNYTGKYTVPVLWDKKFKTIVSNESAEIIRMLNTEFNDIAENPALDLYPSHLRAQIDETNEWIYSGINNGVYKCGFARQQGPYDEAVKQLYEALDKCEEILSKQRYICGNVLTEADVRLFVTLIRFDEVYAVHFKCNKKLLREYPNLFNYTKEIYQIPGISSTVNVQHIKKHYYGSQPSINPFGIIPLGPNIDYSSPHDRARFSA >EOY27560 pep chromosome:Theobroma_cacao_20110822:6:22391345:22402875:-1 gene:TCM_029392 transcript:EOY27560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein isoform 2 MARSALDEMSDSGAFMRTASTFRNIISRDPNSRFPPESGRYHLYVSYACPWASRCLAYLKIKGLAKAISFTSVKPIWERTKETDEHMGWVFPTSNTEEPDAEPDPFNGAKSIRKLYELASTNYTGKYTVPVLWDKKFKTIVSNESAEIIRMLNTEFNDIAENPALDLYPSHLRAQIDETNEWIYSGINNGVYKCGFARQQGPYDEAVKQLYEALDKCEEILSKQRYICGNVLTEADVRLFVTLIRFDEVYAVHFKCNKKLLREYPNLFNYTKEIYQIPGISSTVNVQHIKKHYYGSQPSINPFGIIPLGPNIDYSSPHDRARFSA >EOY27558 pep chromosome:Theobroma_cacao_20110822:6:22391100:22402875:-1 gene:TCM_029392 transcript:EOY27558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein isoform 2 MAQSALDEMSDSGAFMRTASTFRNIISRDPNSRFPPESGRYHLYVSYACPWASRCLAYLKIKGLDKAISFTSVKPIWERTKETDEHMGWVFPTSNTEEPDAEPDPFNGAKSIRKLYELASTNYTGKYTVPVLWDKKFKTIVSNESAEIIRMLNTEFNDIAENPALDLYPSHLRAQIDETNEWIYSGINNGVYKCGFARQQGPYDEAVKQLYEALDKCEEILSKQRYICGNVLTEADVRLFVTLIRFDEVYAVHFKCNKKLLREYPNLFNYTKEIYQIPGISSTVNVQHIKKHYYGSQPSINPFGIIPLGPNIDYSSPHDRARFSA >EOY28533 pep chromosome:Theobroma_cacao_20110822:6:25710989:25711487:1 gene:TCM_030076 transcript:EOY28533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDIALHVKLLELHCIFFHRHCFLPQVMKFDKNFLSIMLRKKLTLEFSTHLIPCSDLVTAFSFSLSFEIFPPNRSVFLQFNCHKLHTFFIGNIFELKNSFYCVEPVKEVIRMKSSFKLRNVDFDSQISGSSSSQATN >EOY25698 pep chromosome:Theobroma_cacao_20110822:6:1308043:1309777:-1 gene:TCM_027082 transcript:EOY25698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase 1 isoform 2 MVAQEFTVDLNKPLVFQVGHLGETYQEWVHQPIVSKEGPRFFESDFWEFLTRTVWWAIPAIWLPVVCWCISMSIRMGHPLPQIALMVGFGIFVWTFLEYTLHRFLFHIETKSYWGNTVHYLLHGCHHKHPMDGLRLVFPPAATAVLCIPFWNLVKLLATPSTTPALFGGGLLGYVMYDVTHYYLHHGQPTKHVAKSLKKYHLNHHFRIQNKGFGITSAFWDRVFRTLP >EOY25697 pep chromosome:Theobroma_cacao_20110822:6:1307588:1310838:-1 gene:TCM_027082 transcript:EOY25697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase 1 isoform 2 MRLQSWIVKVPGWQPSSPTLPHELYPQISSTFFFSLFIPVSSLVHCSKTKPKKPQQKAPKNHLNTVALVPVVLFFIEKLRGFGLDPTHIVLVAKMVAQEFTVDLNKPLVFQVGHLGETYQEWVHQPIVSKEGPRFFESDFWEFLTRTVWWAIPAIWLPVVCWCISMSIRMGHPLPQIALMVGFGIFVWTFLEYTLHRFLFHIETKSYWGNTVHYLLHGCHHKHPMDGLRLVFPPAATAVLCIPFWNLVKLLATPSTTPALFGGGLLGYVMYDVTHYYLHHGQPTKHVAKSLKKYHLNHHFRIQNKGFGITSAFWDRVFRTLP >EOY27526 pep chromosome:Theobroma_cacao_20110822:6:22262479:22264596:-1 gene:TCM_029364 transcript:EOY27526 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein MGTNLNRVFIIHVIYQILAIHYSPGTCSAQNVSAAFIFGDSLVDVGNNYYIDTDAMPMFPNGIDFVNGSPSGRYTNARTIGDIIEEEIGFKNFTPPYLNPNTTGDVILKGVNYASSGSGILNSTGSVFGAPICMDQQINNFAKTRQDIISRIGAPAAQGLLTQAFYLVVIGSNDIFSAEASTSRDVYLDNLISKFQSQLTTLYSLDARKIAVTNAPVVGCIPFEKDLHSSQGGCVDFLNELAKLYNTRLKSLLQELTTNLAGSTFVYLDLYAISEDILQNYKSYGFENAESACCQVIGQHGGLVPCESFSRVCRDRTKYVFWDPFHPTETANLITAKHALDGGLNYVSPINIRQLMNS >EOY26166 pep chromosome:Theobroma_cacao_20110822:6:5181987:5188938:1 gene:TCM_027580 transcript:EOY26166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRTTSNSSLYYLCDTQQNNPYSNTYNPGWRNHPNSSWNNNQGSSLASKSNFPLGFPSRAPMPEKKPLMEDMFMQYMTKIDAFIQSQTTSIRNLEAQVGQLANALNNKPHGTLPSDNEPNSKTEGKEHYKVINLRNGKKVS >EOY26165 pep chromosome:Theobroma_cacao_20110822:6:5184867:5186100:1 gene:TCM_027580 transcript:EOY26165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHKILLEENHKTTIEHQRRLNLIMKEVIKKDIIKWLDASIIYPICDSSWVSPVQCVPKKRVLSQRKAKILHPIYYASRTLNEAPANYTTIEKELLAIIVAFNKFRSYLVRTKVIVYTDHAATNILSRRRIQNHV >EOY25904 pep chromosome:Theobroma_cacao_20110822:6:2635020:2638972:-1 gene:TCM_027272 transcript:EOY25904 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 8 MPIIASTLTHQVNTAKAKDRIPQNAPKWSSRLGKTNLSYFDARLLTLTPTMADDSTFSPSDHQALSPSPDLDITIEEGSQNSEQLFEDDGNELEMEGNELEIEGNDIDIESNGLEIEGNGLDIESNGLQDCDQMLEIEDNHENDGDETTAVAVENGISQGKDYPPPVVGMEFESYDDAYNYYNYYAKELGFAIRVKSSWTKRNSKEKRGAVLCCNCEGFKTIKEANSRRKETRTGCLAMIRLRLVESNRWRVDEVKLEHNHLFDHERAQNCKSHKKMDAVAKRKVEPAVDVEVRTIKLYRTPVVDPVGYGSSNSLEGEISDNVDRSKRLKLKKGDSQIIYNYFSHIQLANPNFVYLMDLNDEGYLRNVFWIDSRSRAAYGYFGDVVKIDTTCLSNKYEIPLVAFVGVNHHGQSILLGCGLLADNTFETYVWLFRAWLTCMSGRPPQTIITDQCRTMQGAISEVFPRAHHRLHLSHVMQSVLENLGELQESGVFQMILNRIVYDSLKVDEFEMGWDDMIRRFGIADHAWLRSLYEERERWAPVYLKDTFFAGMCSFQSGESMSSYFDGYVHKQTSLEEFFDMYELILQKKHKREALDDLESRDSDPMLKTRCYYEIQLSKLYTNSIFRRFQDEVVMMTSCLSITQVNANGPVITYMIKEPEGEGDQRDMRNFEVMYDKAGMEIRCICGCFNFNGYLCRHGLYVLNYNGLEEIPFQYILSRWRKDFKRLYMPDLGSNNIDITNPVQWFDHLYRRSMQVVEEGMRSQDHYMVAWQAFKESLNKVRLVADKHV >EOY27243 pep chromosome:Theobroma_cacao_20110822:6:20834478:20836472:-1 gene:TCM_029130 transcript:EOY27243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 5, putative MISARNRSPFTPTQWQELEHQALIFKYMVSGVPIPPELIYSVKRSLDSSLASRLFPHQPIGWGCFQVGFGRKADPEPGRCRRTDGKKWRCSKEAYPESKYCERHMHRGRNRSRKPVEVNSTTAVCTTPPPTTSSILSPSFSTINRNLSISTSSNSSLSSSSSSFSFSPLSSSPIAPEIYAHHNPNQITHLNPFLYSHPSTSTRPPGSGLSFQNDTSPHHFLDSGAGIVSYSHANKDYRYVHGTREGMDERSFFPEASGSARVVSDSYQPLTVSSYKSYPQSEYQSFGDSGSKQRQQQQQEQHCFVLGTDIKSARPIKLEKDDETQKQVHQFFGDHWPPRNTDSWLDLASNSGVHSDS >EOY27842 pep chromosome:Theobroma_cacao_20110822:6:23288812:23290087:-1 gene:TCM_029578 transcript:EOY27842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase 16 MATFSFPEMLLLSLVMGSFIAASAGDFHQEFELTWGNERAQILDGGKLLTLTLDKTSGSGFRSKNQYIFGRIDMQIKLVAGNSAGTVTAFYLSSEGPNHDEIDFEFLGNLSGDPYILHTNVYSQGKGDREQQFYLWFDPTKNFHTYSIVWNLQRIIFMVDDIPIRVFNNEESYGVPFPKNQPMKLYSSLWDADQWATRGGLVKTDWSKAPFKAYFRNFNANACTWSNGESGCASETSGSVRNNVWRTQALDASGRRILRWVQKYFMVYNYCTDLKRFPQGRPRECRRSRFL >EOY25549 pep chromosome:Theobroma_cacao_20110822:6:425768:428602:-1 gene:TCM_026942 transcript:EOY25549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitinase family protein MAHFNRAIALILTVALVANLAVTGNADGDKKIQVKTVKGKKVCLQGWECNVWSVYCCNQTISDIFQVYQFENLFSKRNSPVAHAVGFWDYQSFILAAADYEHLGFGTTGGKLMQMKEIAAFLGHVGAKTSCGYGVATGGPLAWGLCYNREMSPSQDYCDDSYKYVYPCAPGAQYYGRGALPIYWNYNYGAVGEALKVDLLNHPEYIEQNATLAFQAAIWRWITPIKKKQPSAHDIFVGNWKPTKNDTLAKRGPAFGTTMNVLYGDYLCGKGDNDPMNTIVSHYLYYLDLMGIGREEAGPHDELTCAEQVAFNPTVAPKIESS >EOY26727 pep chromosome:Theobroma_cacao_20110822:6:17495397:17496752:-1 gene:TCM_028689 transcript:EOY26727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALQMMVMEESRNRKNAVVEGCGSFTAKLLEVEYQPYEDEMMKRRLLMLSSLPSLRRYTEMVDLPFHLAHPINSYVEANISADPKFDHIVLAAKQKIMLRPGGERKNSSRIAPTNINSSCLASLCETAEALDSECRKTWVTFVKKHIHHAENRTVKVGVKEIKGEN >EOY27113 pep chromosome:Theobroma_cacao_20110822:6:20183802:20187306:-1 gene:TCM_029039 transcript:EOY27113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 32 protein isoform 1 MLNMAHSFVGFCLFFALLFGHGVVELEASHHVYKNLQTDQSTASANQPYRTGYHFQPPKNWINDPNGPMVYKGLYHLFYQYNPKGAVWGNIVWAHSTSKDLVNWTPHDPAIYPSQPSDINGCWSGSATILPDGKPAILYTGIDPQDKQVQNLAMPKNLSDPYLREWIKSSKNPLMQPTAQNQINASSFRDPTTAWLGPDKKWRLIIGSKIHRQGLAILYKSEDFVHWIQAQSPLHSAKDTGMWECPDFFPVSVRGQNGVDISVNGPFVKHVLKVSLDDTKHEYYTLGSYDIVKDIYTPDKGSVESDSGLRYDYGKFYASKTFFDTAKHRRILWGWINESSSVHDDVKKGWAGVQAIPRKLWLSKSGKQLVQWPIVEIQKLRSNHLRLPSKLLKGGSEIEVSGVTAAQADVDISFKVTDFEKAEVLKPSWTNPQLLCSQQGASVKGGLGPFGLLVLASEGLKENTAVFFRIFKGQNKYVVLMCSDQSRSSLNEDNDKTTYGAFLDVDPEHQYLSLRSLSFHSGELWWRWQSLHHS >EOY27112 pep chromosome:Theobroma_cacao_20110822:6:20183546:20187249:-1 gene:TCM_029039 transcript:EOY27112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 32 protein isoform 1 MLNMAHSFVGFCLFFALLFGHGVVELEASHHVYKNLQTDQSTASANQPYRTGYHFQPPKNWINDPNGPMVYKGLYHLFYQYNPKGAVWGNIVWAHSTSKDLVNWTPHDPAIYPSQPSDINGCWSGSATILPDGKPAILYTGIDPQDKQVQNLAMPKNLSDPYLREWIKSSKNPLMQPTAQNQINASSFRDPTTAWLGPDKKWRLIIGSKIHRQGLAILYKSEDFVHWIQAQSPLHSAKDTGMWECPDFFPVSVRGQNGVDISVNGPFVKHVLKVSLDDTKHEYYTLGSYDIVKDIYTPDKGSVESDSGLRYDYGKFYASKTFFDTAKHRRILWGWINESSSVHDDVKKGWAGVQAIPRKLWLSKSGKQLVQWPIVEIQKLRSNHLRLPSKLLKGGSEIEVSGVTAAQADVDISFKVTDFEKAEVLKPSWTNPQLLCSQQGASVKGGLGPFGLLVLASEGLKENTAVFFRIFKGQNKYVVLMCSDQSRSSLNEDNDKTTYGAFLDVDPEHQYLSLRSLIDHSIVESFGGGGKACITARVYPTLAINNAAHLYVFNNGSEAVEIAELNAWSMKKAKLNLKD >EOY27114 pep chromosome:Theobroma_cacao_20110822:6:20184896:20187223:-1 gene:TCM_029039 transcript:EOY27114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 32 protein isoform 1 MLNMAHSFVGFCLFFALLFGHGVVELEASHHVYKNLQTDQSTASANQPYRTGYHFQPPKNWINDPNGPMVYKGLYHLFYQYNPKGAVWGNIVWAHSTSKDLVNWTPHDPAIYPSQPSDINGCWSGSATILPDGKPAILYTGIDPQDKQVQNLAMPKNLSDPYLREWIKSSKNPLMQPTAQNQINASSFRDPTTAWLGPDKKWRLIIGSKIHRQGLAILYKSEDFVHWIQAQSPLHSAKDTGMWECPDFFPVSVRGQNGVDISVNGPFVKHVLKVSLDDTKHEYYTLGSYDIVKDIYTPDKGSVESDSGLRYDYGKFYASKTFFDTAKHRRILWGWINESSSVHDDVKKGWAGVQAIPRKLWLSKSGKQLVQWPIVEIQKLRSNHLRLPSKLLKGGSEIEVSGVTAAQADVDISFKVTDFEKAEVLKPSWTNPQLLCSQQGASVKGGLGPFGLLVLASEGLKENTAVFFRIFKGQNKYVVLMCSDQSRSSP >EOY26646 pep chromosome:Theobroma_cacao_20110822:6:16509348:16527259:-1 gene:TCM_046833 transcript:EOY26646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein isoform 1 MGSYGMLARRIVETEMPVMVQIQELIRGAKNAMSLAQGVVYWQPPKWALDKVKDLIEEPSISRYGADEGLPELREALIRKLRQENNLRRSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTKILVGPGYPKTLYPDADWLEKTLLETKPVPKLVTVVNPGNPSGTYIPEPLLKRISDLCRNAGCWLVVDNTYEYFMYDGLKHSCIEGNHIVNIFSFSKAYGMMGWRVGYIAYPTEVEGLATQLLKVQDNIPICASIISQRLALHSLEVGPEWVLERVKDLVKNREIVVEALSPLGEGAVKGGEGAIYLWATLPEKYVDDVKVVHWLANRHGVVVIPGTACGCPGHLRISFGGLMEDDCRAAAERLKRGLGELVKDGMVQ >EOY26644 pep chromosome:Theobroma_cacao_20110822:6:16510424:16516820:-1 gene:TCM_046833 transcript:EOY26644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein isoform 1 MGSYGMLARRIVETEMPVMVQIQELIRGAKNAMSLAQGVVYWQPPKWALDKVKDLIEEPSISRYGADEGLPELREALIRKLRQENNLRRSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTKILVGPGYPKTLYPDADWLEKTLLETKPVPKLVTVVNPGNPSGTYIPEPLLKRISDLCRNAGCWLVVDNTYEYFMYDGLKHSCIEGNHIVNIFSFSKAYGMMGWRVGYIAYPTEVEGLATQLLKVQDNIPICASIISQRLALHSLEVGPEWVLERVKDLVKNREIVVEALSPLGEGAVKGGEGAIYLWATLPEKYVDDVKVVHWLANRHGVVVIPGTACGCPGHLRISFGGLMEDDCRAAAERLKRGLGELVKDGMVQ >EOY26645 pep chromosome:Theobroma_cacao_20110822:6:16510557:16517373:-1 gene:TCM_046833 transcript:EOY26645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein isoform 1 MGSYGMLARRIVETEMPVMVQIQELIRGAKNAMSLAQGVVYWQPPKWALDKVKDLIEEPSISRYGADEGLPELREALIRKLRQENNLRRSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTKILVGPGYPKTLYPDADWLEKTLLETKPVPKLVTVVNPGNPSGTYIPEPLLKRISDLCRNAGCWLVVDNTYEYFMYDGLKHSCIEGNHIVNIFSFSKAYGMMGWRVGYIAYPTEVEGLATQLLKVQDNIPICASIISQRLALHSLEVGPEWVLERVKDLVKNREIVVEALSPLGEGAVKGGEGAIYLWATLPEKYVDDVKVVHWLANRHGVVVIPGTACGCPGHLRISFGGLMEDDCRAAAERLKRGLGELVKDGMVQ >EOY26647 pep chromosome:Theobroma_cacao_20110822:6:16510544:16517373:-1 gene:TCM_046833 transcript:EOY26647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein isoform 1 MGSYGMLARRIVETEMPVMVQIQELIRGAKNAMSLAQGVVYWQPPKWALDKVKDLIEEPSISRYGADEGLPELREALIRKLRQENNLRRSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTKILVGPGYPKTLYPDADWLEKTLLETKPVPKLVTVVNPGNPSGTYIPEPLLKRISDLCRNAGCWLVVDNTYEYFMYDGLKHSCIEGNHIVNIFSFSKAYGMMGWRVGYIAYPTEVEGLATQLLKVQDNIPICASIISQRLALHSLEVGPEWVLERVKDLVKNREIVVEALSPLGEGAVKGGEGAIYLWATLPEKYVDDVKVVHWLANRHGVVVIPGTACGCPGHLRISFGGLMEDDCRAAAERLKRGLGELVKDGMVQ >EOY28296 pep chromosome:Theobroma_cacao_20110822:6:24864054:24866647:1 gene:TCM_029907 transcript:EOY28296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MSCGCFRGSFVNRRRNTAQATGGIDEQLLGRVNHISYSQLRSATDDFHSSNKIGRGGFGTVYKGVLKNGTEVAVKTLSAQSKQGVREFLTEINTISNVKHPNLVELIGCCVEGTNRILVYEYVENKSLDKILLDQRSTNIKLEWSKRSAICLGIARGLTFLHEELVPHIVHRDIKSSNILLDKDLNPKIGDFGLAKLFPDNITHISTRIAGTTGYLAPEYALGGQLTMKADVYSFGVLVLEIISGRSSSKANWGGMEKLLLEWAWQLYEGGKLVELVDPELGEFPGEEVLRYMKVALFCTQAASSRRPLMSQVIEMLSRDIRINEKALTAPGFFQEGEASSSTKSIQSSAESTSYQMSSVPVTITQVTPR >EOY28298 pep chromosome:Theobroma_cacao_20110822:6:24863483:24866647:1 gene:TCM_029907 transcript:EOY28298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MSCGCFRGSFVNRRRNTAQATGGIDEQLLGRVNHISYSQLRSATDDFHSSNKIGRGGFGTVYKGVLKNGTEVAVKTLSAQSKQGVREFLTEINTISNVKHPNLVELIGCCVEGTNRILVYEYVENKSLDKILLDQRSTNIKLEWSKRSAICLGIARGLTFLHEELVPHIVHRDIKSSNILLDKDLNPKIGDFGLAKLFPDNITHISTRIAGTTGYLAPEYALGGQLTMKADVYSFGVLVLEIISGRSSSKANWGGMEKLLLEWAWQLYEGGKLVELVDPELGEFPGEEVLRYMKVALFCTQAASSRRPLMSQVIEMLSRDIRINEKALTAPGFFQEGEASSSTKSIQSSAESTSYQMSSVPVTITQVTPR >EOY28295 pep chromosome:Theobroma_cacao_20110822:6:24863483:24866676:1 gene:TCM_029907 transcript:EOY28295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MSCGCFRGSFVNRRRNTAQATGGIDEQLLGRVNHISYSQLRSATDDFHSSNKIGRGGFGTVYKGVLKNGTEVAVKTLSAQSKQGVREFLTEINTISNVKHPNLVELIGCCVEGTNRILVYEYVENKSLDKILLDQRSTNIKLEWSKRSAICLGIARGLTFLHEELVPHIVHRDIKSSNILLDKDLNPKIGDFGLAKLFPDNITHISTRIAGTTGYLAPEYALGGQLTMKADVYSFGVLVLEIISGRSSSKANWGGMEKLLLEWAWQLYEGGKLVELVDPELGEFPGEEVLRYMKVALFCTQAASSRRPLMSQVIEMLSRDIRINEKALTAPGFFQEGEASSSTKSIQSSAESTSYQMSSVPVTITQVTPR >EOY28297 pep chromosome:Theobroma_cacao_20110822:6:24863483:24866634:1 gene:TCM_029907 transcript:EOY28297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MSCGCFRGSFVNRRRNTAQATGGIDEQLLGRVNHISYSQLRSATDDFHSSNKIGRGGFGTVYKGVLKNGTEVAVKTLSAQSKQGVREFLTEINTISNVKHPNLVELIGCCVEGTNRILVYEYVENKSLDKILLDQRSTNIKLEWSKRSAICLGIARGLTFLHEELVPHIVHRDIKSSNILLDKDLNPKIGDFGLAKLFPDNITHISTRIAGTTGYLAPEYALGGQLTMKADVYSFGVLVLEIISGRSSSKANWGGMEKLLLEWAWQLYEGGKLVELVDPELGEFPGEEVLRYMKVALFCTQAASSRRPLMSQVIEMLSRDIRINEKALTAPGFFQEGEASSSTKSIQSSAESTSYQMSSVPVTITQVTPR >EOY28919 pep chromosome:Theobroma_cacao_20110822:6:26873703:26874966:1 gene:TCM_030386 transcript:EOY28919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLQPYNTNLPSPPQQPHLPSLPSLFLLLLKFNLNKQITFPSVLSLYPFPGFSSCKPGFVYHYDPSLRC >EOY27950 pep chromosome:Theobroma_cacao_20110822:6:23732936:23736110:1 gene:TCM_029660 transcript:EOY27950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MEVSNEPISSLCFNSGNENVIYVSTGKEVKCFDVHMLSENSWKPLESYNYNKEEINQVTCNSKSSFLASADDGGEIKIIDIRQQCVFKTLRNGHTSICSSVQFIPWRPWEVITGGLDTKLITWDFSKGRPSKIVDLGLPDMSSASKAGQCFNPAFVHSIKVPDVDMLDKLGKICVVARGDGVIDVIDMESELASIRPKSSTKSRTGIHSASKCSLPAEGGVADEYGRKWFHLDYSIGGHTAAASCVAFSLFGERGKFLVSGGNDKLVKVWDCSRCLDPGQTGNNELLHLNINLSKKVNWLCTTPAESDNLVVCDTTKVVKVYTVS >EOY27949 pep chromosome:Theobroma_cacao_20110822:6:23733014:23736104:1 gene:TCM_029660 transcript:EOY27949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MTEARRLKGHKATATCCIASRDRPGLVATSAEDGCVCWFDMRCKDVQFVMEVSNEPISSLCFNSGNENVIYVSTGKEVKCFDVHMLSENSWKPLESYNYNKEEINQQVTCNSKSSFLASADDGGEIKIIDIRQQCVFKTLRNGHTSICSSVQFIPWRPWEVITGGLDTKLITWDFSKGRPSKIVDLGLPDMSSASKAGQCFNPAFVHSIKVPDVDMLDKLGKICVVARGDGVIDVIDMESELASIRPKSSTKSRTGIHSASKCSLPAEGGVADEYGRKWFHLDYSIGGHTAAASCVAFSLFGERGKFLVSGGNDKLVKVWDCSRCLDPGQTGNNELLHLNINLSKKVNWLCTTPAESDNLVVCDTTKVVKVYTVS >EOY27948 pep chromosome:Theobroma_cacao_20110822:6:23732787:23735941:1 gene:TCM_029660 transcript:EOY27948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MTEARRLKGHKATATCCIASRDRPGLVATSAEDGCVCWFDMRCKDVQFVMEVSNEPISSLCFNSGNENVIYVSTGKEVKCFDVHMLSENSWKPLESYNYNKEEINQVTCNSKSSFLASADDGGEIKIIDIRQQCVFKTLRNGHTSICSSVQFIPWRPWEVITGGLDTKLITWDFSKGRPSKIVDLGLPDMSSASKAGQCFNPAFVHSIKVPDVDMLDKLGKICVVARGDGVIDVIDMESELASIRPKSSTKSRTGIHSASKCSLPAEGGVADEYGRKWFHLDYSIGGHTAAASCVAFSLFGERGKFLVSGGNDKLVKVWDCSRCLDPGQTGNNELLHLNINLSKKVNWLCTTPAESDNLVVCDTTKVVKVYTVS >EOY25740 pep chromosome:Theobroma_cacao_20110822:6:1489825:1497733:-1 gene:TCM_027113 transcript:EOY25740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 1 isoform 2 MAFAAPSAEQQAGIKDGPLYRELWHACAGPLVTLPREGERVYYFPQGHMEQLEASMHQGLEHQMPSFNLPSKILCKVASVQRKAEPDTDEVYAQLTLVPEVDQSEVTSSDPPLPEPERCIVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMTQQPPWQELVATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGTNGELRVGVRRLMRQQTNMPSSVISSHSMHLGVLATASHAIATGSMFSVFYKPRTSRSEFIVSVNKYLEARSHKLSVGMRFKMRFEGEEVPERRFSGTIIGVGDNKSSGWADSEWRSLKVQWDEPSSILRPDRVSPWELEPLVVTNTSSNSQPAQRNKRARPPVLPTPSSDLSSLGMWKSPVESPAFSYCDSQRGHSSPKFSSTAKPNSVGFSGNGSVAAVSSNSMYWSNRVESVTESFAPVVNKESCERKPGPGNGCRLFGIQLDNVNMEENSPVATVSGTVVDDRLVPSVDADSDQLSDPSNLNRSDRPYVSCDPEKSCLRSPQESQSKQIRSCTKVHMQGMVVGRAVDLTRFDCYEDLLRKLEELFDIKGQLCGSARNWQVVYTDDEDDMMMVGDDPWNEFCSMVRKIFIYTSEEVKKLSPKIKLPVNDDSKPAKPGVDTVVNTDDRSSVVSPGC >EOY25741 pep chromosome:Theobroma_cacao_20110822:6:1491953:1497588:-1 gene:TCM_027113 transcript:EOY25741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 1 isoform 2 MAFAAPSAEQQAGIKDGPLYRELWHACAGPLVTLPREGERVYYFPQGHMEQLEASMHQGLEHQMPSFNLPSKILCKVASVQRKAEPDTDEVYAQLTLVPEVDQSEVTSSDPPLPEPERCIVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMTQQPPWQELVATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGTNGELRVGVRRLMRQQTNMPSSVISSHSMHLGVLATASHAIATGSMFSVFYKPRTSRSEFIVSVNKYLEARSHKLSVGMRFKMRFEGEEVPERRFSGTIIGVGDNKSSGWADSEWRSLKVQWDEPSSILRPDRVSPWELEPLVVTNTSSNSQPAQRNKRARPPVLPTPSSDLSSLGMWKSPVESPAFSYCDSQRGHSSPKFSSTAKPNSVGFSGNGSVAAVSSNSMYWSNRVESVTESFAPVVNKESCERKPGPGNGCRLFGIQLDNVNMEENSPVATVSGTVVDDRLVP >EOY26463 pep chromosome:Theobroma_cacao_20110822:6:11552633:11559598:1 gene:TCM_028139 transcript:EOY26463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSANIVETPMTYVGSGAARLSDVITCTNLVKLLILYCVKVVSPPIKARKLHPRTSRQSASKVCILDILTFLAISVYRDTAAVVTGSRGVPGRDKATSDIHGCDHLISPMSANIVETAMAVGSDGAELSNVITWKCKRRKIGRN >EOY26973 pep chromosome:Theobroma_cacao_20110822:6:19427693:19431946:1 gene:TCM_028922 transcript:EOY26973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) binding protein 6, putative isoform 1 MWSHRDPFPRKIGLANLFVKNLDPTVTSARLEGIFCRFGTILSCKVAEENGKSKGFGFVQFDSEESAKAAITALHGTMLEGKKLYVSKFVKKSERTAAAEEEKFTNLYVKNLVDGMTEDLLEEMFSRYGKVCSVVVMKDGKGSSRGFGFVNFQSPDDAKKALEAMNGVQLGSKNLFVGRAQKKAERTELLKHKYKDVFNSRFEKLKASNLYVKNLNVSIDDKKLQELFGQFGKITSARVMRYDNGMSKGFGFVCFSCPREAMSALHGLNGTFFEGRNLYVAVAQRKEDRRLELQNYFVQNTPVQSSYQASCKAVSPQFCPFYFSIPPCPPLFPLQPQPTLSQNSITNVGIQYPFATSHDQQNFSYDLMRNMHPCNAGIRKDWVCRQSPMTYANPDVRIQDLGRGNSGNKKVGFRKKGNRKYEPAEKSSVAVAAIQSVAAASPGSSKKNNENLSCPFVENLESEGYRRAIGDKEF >EOY26972 pep chromosome:Theobroma_cacao_20110822:6:19427557:19431499:1 gene:TCM_028922 transcript:EOY26972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) binding protein 6, putative isoform 1 MPSAPPPSLSLPPLPLPLPATTVAGGAWNPLQRASLYVGDLDPDVTELDLFRIFSTVAPIVSLRLCRCLRTGKSLRYGYINFFSDAHASKALACLNHTDLKGKPVRIMWSHRDPFPRKIGLANLFVKNLDPTVTSARLEGIFCRFGTILSCKVAEENGKSKGFGFVQFDSEESAKAAITALHGTMLEGKKLYVSKFVKKSERTAAAEEEKFTNLYVKNLVDGMTEDLLEEMFSRYGKVCSVVVMKDGKGSSRGFGFVNFQSPDDAKKALEAMNGVQLGSKNLFVGRAQKKAERTELLKHKYKDVFNSRFEKLKASNLYVKNLNVSIDDKKLQELFGQFGKITSARVMRYDNGMSKGFGFVCFSCPREAMSALHGLNGTFFEGRNLYVAVAQRKEDRRLELQNYFVQNTPVQSSYQASCKAVSPQFCPFYFSIPPCPPLFPLQPQPTLSQNSITNVGIQYPFATSHDQQNFSYDLMRNMHPCNAGIRKDWVCRQSPMTYANPDVRIQDLGRGNSGNKKVGFRKKGNRKYEPAEKSSVAVAAIQSVAAASPGSSKKNNENLSCPFVENLERRLQESYWR >EOY26974 pep chromosome:Theobroma_cacao_20110822:6:19427412:19432012:1 gene:TCM_028922 transcript:EOY26974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) binding protein 6, putative isoform 1 MPSAPPPSLSLPPLPLPLPATTVAGGAWNPLQRASLYVGDLDPDVTELDLFRIFSTVAPIVSLRLCRCLRTGKSLRYGYINFFSDAHASKALACLNHTDLKGKPVRIMWSHRDPFPRKIGLANLFVKNLDPTVTSARLEGIFCRFGTILSCKVAEENGKSKGFGFVQFDSEESAKAAITALHGTMLEGKKLYVSKFVKKSERTAAAEEEKFTNLYVKNLVDGMTEDLLEEMFSRYGKVCSVVVMKDGKGSSRGFGFVNFQSPDDAKKALEAMNGVQLGSKNLFVGRAQKKAERTELLKHKYKDVFNSRFEKLKASNLYVKNLNVSIDDKKLQELFGQFGKITSARVMRYDNGMSKGFGFVCFSCPREAMSALHGLNGTFFEGRNLYVAVAQRKEDRRLELQNYFVQNTPVQSSYQASCKAVSPQFCPFYFSIPPCPPLFPLQPQPTLSQNSITNVGIQYPFATSHDQQNFSYDLMRNMHPCNAGIRKDWVCRQSPMTYANPDVRIQDLGRGNSGNKKVGFRKKGNRKYEPAEKSSVAVAAIQSVAAASPGSSKKNNENLSCPFVENLEVTGELLEIKNSDVLKLLNSNSMAVRDKPFQVLKKANARTSRDAVTFANPKSARCLSY >EOY28539 pep chromosome:Theobroma_cacao_20110822:6:25728533:25730546:1 gene:TCM_030082 transcript:EOY28539 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 2 MMSRQPNGEDLVSSENPSGWIFDDYGLLEDIPVPGGDLPSLDPAAPIWSSQSLTCSTPPLSVEFNESFGNSDSLNETGFRKRYTATQPLTFFYCFPLLCFRNAVSALASAPIYCFRARSGSCSASGSKACREKMRRDRLNDRHVLFLELGSILDPGRPLKVDKAVILVDAVRMVTQLRDEAQKLRESNESLQEKINELKAEKNELRDEKQRLKTEKENLEQQVKALGTQPGFLPHPPAIPTPFSTPGQVVGGKLVPFVGYPGVSMWQFLPPASVDTSQDHILRPPVA >EOY28540 pep chromosome:Theobroma_cacao_20110822:6:25728321:25730617:1 gene:TCM_030082 transcript:EOY28540 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 2 MMSRQPNGEDLVSSENPSGWIFDDYGLLEDIPVPGGDLPSLDPAAPIWSSQSLTCSTPPLRARSGSCSASGSKACREKMRRDRLNDRFLELGSILDPGRPLKVDKAVILVDAVRMVTQLRDEAQKLRESNESLQEKINELKAEKNELRDEKQRLKTEKENLEQQVKALGTQPGFLPHPPAIPTPFSTPGQVVGGKLVPFVGYPGVSMWQFLPPASVDTSQDHILRPPVA >EOY26308 pep chromosome:Theobroma_cacao_20110822:6:7309730:7312024:1 gene:TCM_027788 transcript:EOY26308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKHEKDMLELKASIQSLSVAMQTIEDRIVGRILDGLKSQGGPSHGASLEHDDADDGQHHELGVDIDDDVLGADGEHETHVDDVVEEAVAVDVTFQSDDAEGEHLPPADAFVDAVAGAIVLYRESTPDVVEIRLSSPESSAVHYDAAEISDPTEWARLKMASKYMASPFVDPLVTRQDMRDKIVEDYEAFKKEESARRNVGILGDQGADFFITLEDPNEKMTSEHIDACLSLLCKRMTGPKLKLYTTRACMVDTIFFDTIRMLHTEFPTEDA >EOY26568 pep chromosome:Theobroma_cacao_20110822:6:13966491:13969695:-1 gene:TCM_028364 transcript:EOY26568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF642 [Source:Projected from Arabidopsis thaliana (AT1G80240) TAIR;Acc:AT1G80240] MQTISLLLLLICATCRIASSIKDGLLPNGNFEYGPKPSEMKGTKVVSPKAIPNWEISGVVEYIKSGQKQGDMLLIVPEGAFAVRLGNDALIKQTMKVIKGMFYSLTFSAARTCAQEERLNVSVSPNYEKNDYGLFPIQTMYSSNGWDSYAWAFQADEPLIEISIHNPGVEEDAACGPLIDSVALKTLYPPKRTPANLLKNGNFEEGPYIFPNTSWGVLIPPHIEDDHSPLPGWIIESLKAVKYIDSEHFSVPEGKRAIELVAGKESALAQVVKTTIGRSYVLSFTVGDANNACEGSMVVEAFAGKNTVKASYQSKGKGGFKRAILAFKAESSRTRIMFYSTFYTMKSDNSGSLCGPVLDDVKLLSVRKLHHV >EOY26643 pep chromosome:Theobroma_cacao_20110822:6:16507023:16509746:-1 gene:TCM_046832 transcript:EOY26643 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative MVGNSLAGLQDHLKLAREYAPEGLYDTSIIFFDGAIAHCSDQQKALSEEIEVVKQLDVERRSFKEGSTGRRPSSPPIHAMSSFVFQPLDEYWTSLGAPMDNPDVWRPPSQDTTSRRPVRAGEAGGSSRTNTGVRASATGKKDTGSGKSSKEDSANGDAEDGKSKRLQYEGPDPDLAAMLERDVLETTPGVQWDDVAGLTEAKRLLEKAFVLPLWMPEYFQVLAAVAAELSLKWPLMWILIKWLVEQRDSGDDLTNVCRDASLNGMRRKIAGKTWDEIRNMSQDEISKDPVAM >EOY28802 pep chromosome:Theobroma_cacao_20110822:6:26578030:26580372:-1 gene:TCM_030300 transcript:EOY28802 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein / bromo-adjacent domain-containing protein isoform 1 MAKTRPGLSATKPKPGKKDLDSYTIRGTNKVVRAGDCVLMRPSDTGKPPYVAQIEKIEVDSRNNVKVRVRWYYRPEESLGGRRQFHGVKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVGMTIEEAKKLDHFVCSECSEDDVKRSQNGFHASPASDAKCARLLFPF >EOY28801 pep chromosome:Theobroma_cacao_20110822:6:26577404:26580656:-1 gene:TCM_030300 transcript:EOY28801 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein / bromo-adjacent domain-containing protein isoform 1 MAKTRPGLSATKPKPGKKDLDSYTIRGTNKVVRAGDCVLMRPSDTGKPPYVAQIEKIEVDSRNNVKVRVRWYYRPEESLGGRRQFHGVKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVGMTIEEAKKLDHFVCSECSEDDVKRSQNGFHASPASDAKVEPKRRKR >EOY28800 pep chromosome:Theobroma_cacao_20110822:6:26577229:26580442:-1 gene:TCM_030300 transcript:EOY28800 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein / bromo-adjacent domain-containing protein isoform 1 MAKTRPGLSATKPKPGKKDLDSYTIRGTNKVVRAGDCVLMRPSDTGKPPYVAQIEKIEVDSRNNVKVRVRWYYRPEESLGGRRQFHGVKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVGMTIEEAKKLDHFVCSECSEDDVKRSQNGFHASPASDAKDWQCLLLVNTVIGTNMYPNILPGALADGGAQTKEEISEPWGNFVA >EOY28511 pep chromosome:Theobroma_cacao_20110822:6:25585016:25586531:1 gene:TCM_030054 transcript:EOY28511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANYSSSYHDAIFGEYYQTPYGGNSDFFPSQSLASHSSYAYNDCASFEYDPAPCYGAYDPDIGQSIVSYSSYICSDPNYVEYGLDPYGGDYSTVKTRFIVSYSVSEFNEPAFEEYDPTPYGGGYDPDATYGKPLPPSEGICYPLSSVDSNDLSLNNFSYGSIKSPYGKDGVDEPVAKPSNGSKTATAKDQEQQSQGSSGDHNVDSKEKPVDSYQGEDSKENYPDGYSSGSGHGNEYEKRVPQIPPGYGLEAMDLCESLFGYWPCWARAKRENDYRNCQGVCSKVSNDNCLWEGSADYLFGNSYPYGERWGNGGSYEHPIFNYERHYQEQHLCRQVDYHEEYSWLN >EOY27319 pep chromosome:Theobroma_cacao_20110822:6:21200220:21209084:1 gene:TCM_029190 transcript:EOY27319 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Spt20 family (InterPro:IPR021950); Has 8778 Blast hits to 7244 proteins in 477 species: Archae - 6; Bacteria - 326; Metazoa - 4198; Fungi - 1506; Plants - 923; Viruses - 22; Other Eukaryotes - 1797 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G72390) TAIR;Acc:AT1G72390] MGVSFKISKTGNRFKPKPCLQSEVSVDDVSEKSKESSRPRKLQGDVIEGGERVGGVSQSIVSDERLRVPADHEISFTLNLYLDGYSIGKPPEKEALHQATVQDAPKLHPYDRSSETLFSAIESGRLPGDILDDIPCKYVDGTLVCEVRDYRKSAPQQVSTIPSMDGSPIINKVRLRMSLENVVKDIPLSSDNSWTYGELMEAESRILTALQPRLFLDPTPKLERLCTNPFPTTLNLASCSLRRKRLRHAPEVTVTSASKIHGKKVCTDRVPESSNGRLGEAGIISGSLMPQQVQENLTSQNNVSNNMLALRPKSFVQDSSVPALPMTSQSPRYQMGVVNARSMQDHGSSSFVNPSTASPAGQDMTISYADSINSGASLLGKRENPDGPMSPLSGLNKRNRLNAVGPDGIPQQQIGPHMDGLHGPDMTWKNMLLPQQAMARGIQYANVGMQKHPQQVFEGVVNQEAGAMPFAAGQQALRYGAKEEPFDPDKLDGSELNRESDTNHLDQQQTRLQPRLPHGYVRPGFPQTPWNNINQHVEKDARKDEQFQKRKSVQSPRLSGGALPQSPLSSKSGEFSSGSIGPHFGAVATTTALGASQKEKAAVNSVPAVGGTPSLTSSANDSMQRQHQAQVAAKRRSNSLPKTPAINAVGSPASVSNISVPLNASSPSVGTPPLADQSILERFSKIEIVTMRYKLNRKKKKVDEYHIQKPSTHSPQQVSTCLNSVSINEDFKDSSTPLSKSLFGGSMNTYKTRILNFVQVDRVVQGNVVSVVPRVRTRMIMSEKPTDGTVAMFYGDIDDGDIPGAEDYISHFPMLPNTHLADLLAGQFCSLMLREGHHLVEDNVQAKPTCVLMASSSQQNSAATFPNSSAVDMQHTMQQYADAVPGQATNEVAKPNSSNNISINSSPSALGNTRMLPPGNPQALQMSQGLLSGVSMPARPPQLDTQPALQPQPQPQPQQAQQQQAQQQQASQQQQQQQHQQSQHALLQQQHQHFQRSPMMLASNPLSHSNAIGQNSNMQLGNQMVNKHSPLQLQMLQQQQQQQQQQQQHQQQQQPQQQRKLMMGLGTAVGMGNIGNNMVRLGGLGNAIGIGGARGIGGSGISAPMSPISGIGNMGQNPINLNPTSNITNAISQHLRPGPLTPAHAHAALISKLRMGRANMLGNPQSSIAGMSGARQLHPGSASLSMLGQNLNQANMNPMQRTAMGPMGPPKMMPGLNNLYMNQHQQQFQLQHQQQQQLQHQQQQQQQQHLQQLQHQQLQQQQQQQLQQQQQQETTSPLQAVVSPSQVGSPSTMGIPQLNQQSQQQQAQQQTSPQQMNQRTPMSPQLSSGAIHAGNPEACPASPQLSSQTLGSVGSITNSPMELGVNKSNSVGNT >EOY27321 pep chromosome:Theobroma_cacao_20110822:6:21201483:21208391:1 gene:TCM_029190 transcript:EOY27321 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Spt20 family (InterPro:IPR021950); Has 8778 Blast hits to 7244 proteins in 477 species: Archae - 6; Bacteria - 326; Metazoa - 4198; Fungi - 1506; Plants - 923; Viruses - 22; Other Eukaryotes - 1797 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G72390) TAIR;Acc:AT1G72390] MFRFRMFWFFLVFADHEISFTLNLYLDGYSIGKPPEKEALHQATVQDAPKLHPYDRSSETLFSAIESGRLPGDILDDIPCKYVDGTLVCEVRDYRKSAPQQVSTIPSMDGSPIINKVRLRMSLENVVKDIPLSSDNSWTYGELMEAESRILTALQPRLFLDPTPKLERLCTNPFPTTLNLASCSLRRKRLRHAPEVTVTSASKIHGKKVCTDRVPESSNGRLGEAGIISGSLMPQQVQENLTSQNNVSNNMLALRPKSFVQDSSVPALPMTSQSPRYQMGVVNARSMQDHGSSSFVNPSTASPAGQDMTISYADSINSGASLLGKRENPDGPMSPLSGLNKRNRLNAVGPDGIPQQQIGPHMDGLHGPDMTWKNMLLPQQAMARGIQYANVGMQKHPQQVFEGVVNQEAGAMPFAAGQQALRYGAKEEPFDPDKLDGSELNRESDTNHLDQQQTRLQPRLPHGYVRPGFPQTPWNNINQHVEKDARKDEQFQKRKSVQSPRLSGGALPQSPLSSKSGEFSSGSIGPHFGAVATTTALGASQKEKAAVNSVPAVGGTPSLTSSANDSMQRQHQAQVAAKRRSNSLPKTPAINAVGSPASVSNISVPLNASSPSVGTPPLADQSILERFSKIEIVTMRYKLNRKKKKVDEYHIQKPSTHSPQQVSTCLNSVSINEDFKDSSTPLSKSLFGGSMNTYKTRILNFVQVDRVVQGNVVSVVPRVRTRMIMSEKPTDGTVAMFYGDIDDGDIPGAEDYISHFPMLPNTHLADLLAGQFCSLMLREGHHLVEDNVQAKPTCVLMASSSQQNSAATFPNSSAVDMQHTMQQYADAVPGQATNEVAKPNSSNNISINSSPSALGNTRMLPPGNPQALQMSQGLLSGVSMPARPPQLDTQPALQPQPQPQPQQAQQQQAQQQQASQQQQQQQHQQSQHALLQQQHQHFQRSPMMLASNPLSHSNAIGQNSNMQLGNQMVNKHSPLQLQMLQQQQQQQQQQQQHQQQQQPQQQRKLMMGLGTAVGMGNIGNNMVRLGGLGNAIGIGGARGIGGSGISAPMSPISGIGNMGQNPINLNPTSNITNAISQHLRPGPLTPAHAHAALISKLRMGRANMLGNPQSSIAGMSGARQLHPGSASLSMLGQNLNQANMNPMQRTAMGPMGPPKMMPGLNNLYMNQHQQQFQLQHQQQQQLQHQQQQQQQQHLQQLQHQQLQQQQQQQLQQQQQQETTSPLQAVVSPSQVGSPSTMGIPQLNQQSQ >EOY27320 pep chromosome:Theobroma_cacao_20110822:6:21200621:21209042:1 gene:TCM_029190 transcript:EOY27320 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Spt20 family (InterPro:IPR021950); Has 8778 Blast hits to 7244 proteins in 477 species: Archae - 6; Bacteria - 326; Metazoa - 4198; Fungi - 1506; Plants - 923; Viruses - 22; Other Eukaryotes - 1797 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G72390) TAIR;Acc:AT1G72390] MGVSFKISKTGNRFKPKPCLQSEVSVDDVSEKSKESSRPRKLQGDVIEGGERVGGVSQSIVSDERLRVPADHEISFTLNLYLDGYSIGKPPEKEALHQATVQDAPKLHPYDRSSETLFSAIESGRLPGDILDDIPCKYVDGTLVCEVVRDYRKSAPQQVSTIPSMDGSPIINKVRLRMSLENVVKDIPLSSDNSWTYGELMEAESRILTALQPRLFLDPTPKLERLCTNPFPTTLNLASCSLRRKRLRHAPEVTVTSASKIHGKKVCTDRVPESSNGRLGEAGIISGSLMPQQVQENLTSQNNVSNNMLALRPKSFVQDSSVPALPMTSQSPRYQMGVVNARSMQDHGSSSFVNPSTASPAGQDMTISYADSINSGASLLGKRENPDGPMSPLSGLNKRNRLNAVGPDGIPQQQIGPHMDGLHGPDMTWKNMLLPQQAMARGIQYANVGMQKHPQQVFEGVVNQEAGAMPFAAGQQALRYGAKEEPFDPDKLDGSELNRESDTNHLDQQQTRLQPRLPHGYVRPGFPQTPWNNINQHVEKDARKDEQFQKRKSVQSPRLSGGALPQSPLSSKSGEFSSGSIGPHFGAVATTTALGASQKEKAAVNSVPAVGGTPSLTSSANDSMQRQHQAQVAAKRRSNSLPKTPAINAVGSPASVSNISVPLNASSPSVGTPPLADQSILERFSKIEIVTMRYKLNRKKKKVDEYHIQKPSTHSPQQVSTCLNSVSINEDFKDSSTPLSKSLFGGSMNTYKTRILNFVQVDRVVQGNVVSVVPRVRTRMIMSEKPTDGTVAMFYGDIDDGDIPGAEDYISHFPMLPNTHLADLLAGQFCSLMLREGHHLVEDNVQAKPTCVLMASSSQQNSAATFPNSSAVDMQHTMQQYADAVPGQATNEVAKPNSSNNISINSSPSALGNTRMLPPGNPQALQMSQGLLSGVSMPARPPQLDTQPALQPQPQPQPQQAQQQQAQQQQASQQQQQQQHQQSQHALLQQQHQHFQRSPMMLASNPLSHSNAIGQNSNMQLGNQMVNKHSPLQLQMLQQQQQQQQQQQQHQQQQQPQQQRKLMMGLGTAVGMGNIGNNMVRLGGLGNAIGIGGARGIGGSGISAPMSPISGIGNMGQNPINLNPTSNITNAISQHLRPGPLTPAHAHAALISKLRMGRANMLGNPQSSIAGMSGARQLHPGSASLSMLGQNLNQANMNPMQRTAMGPMGPPKMMPGLNNLYMNQHQQQFQLQHQQQQQLQHQQQQQQQQHLQQLQHQQLQQQQQQQLQQQQQQETTSPLQAVVSPSQVGSPSTMGIPQLNQQSQQQQAQQQTSPQQMNQRTPMSPQLSSGAIHAGNPEACPASPQLSSQTLGSVGSITNSPMELGVNKSNSVGNT >EOY25739 pep chromosome:Theobroma_cacao_20110822:6:1488383:1489675:-1 gene:TCM_027112 transcript:EOY25739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMSKALKKLKFWSRKKRKRKSLGLEPSYPDSSHCHCCYSCASTQPSAPPLPSWLQAEPTQDAIHTADHAEPFPVPELSYPTLFQYPTQEDTVSETESHRSPICPAPSYQQYLVPNPVYGLPVVQQAGRRERSAGFLGCVIDFGVDLIRCFCPCFRIREEVCRQL >EOY26598 pep chromosome:Theobroma_cacao_20110822:6:14689842:14692674:1 gene:TCM_028436 transcript:EOY26598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein MMKKLALQESITFPTQSAPDCWFDDACILDMDYFVKTISGIKAKGVRPDLIGSIIAHYASKWLPDLSSNNTEKGLTNFEESSPESVTASWMKKRFFVETLVGILPPERDSVPCNFLLRLLRTANMVGVEPSYRAELEKRISWQLDQASLKELMIPSFSHTCGTLLDVELVIRLVKRFASLDEGARSGAALVKAAKLVDCYLAEAALDTNLSLDEFIALGGALPSHARAMDDGLYRAIDTYLKAHPGVPKQDRKVLCRLIDSRKLSPEASLHAAQNERLPVRAVIQVLFSEQTKLSRHIDWSGSFSGTRSPNPGLEAPARCLSKREMNAQQMEIKKLKEDLLKVQSQCIAMQMQMEKMLDKKKGFFRWRKLGLKPSFKSSVSVFEKIEEGEGEGEVAFGLQTPMDMKAKLVRGRTPPKWRKSMP >EOY25604 pep chromosome:Theobroma_cacao_20110822:6:617840:624069:-1 gene:TCM_026984 transcript:EOY25604 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MLNFARGRIQPRSTRSMPFAGMDYPDPKRKSNFVGKILLAATLTALCIIMLKQSPTFSTPSRFSQHEEGVTHVLVTGGAGYIGSHAALRLLKESYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVVLESMAAHGVKTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFCKNSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALQKAKPRKVGIYNVGTGRGRSVKEFVEACKKATGVDIKVDYLPRRPGDYAEVFSDPTKIRLELNWTAQHTELQKSLQTAWRWQKAHRDGYGSS >EOY25603 pep chromosome:Theobroma_cacao_20110822:6:617840:624425:-1 gene:TCM_026984 transcript:EOY25603 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MLNFARGRIQPRSTRSMPFAGMDYPDPKRKSNFVGKILLAATLTALCIIMLKQSPTFSTPSRFSQHEEGVTHVLVTGGAGYIGSHAALRLLKESYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVVLESMAAHGVKTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFCKNSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALQKAKPRKVGIYNVGTGRGRSVKEFVEACKKATGVDIKVDYLPRRPGDYAEVFSDPTKIRLELNWTAQHTELQKSLQTAWRWQKAHRDGYGSS >EOY27745 pep chromosome:Theobroma_cacao_20110822:6:23025441:23031527:-1 gene:TCM_029519 transcript:EOY27745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous 57 MKCIPKARTFQPRHHQQRIQERTKGLRQTKACQKIEIKRNEDDGERFITFSERKSGIYKKAIELHVTLCGDGIGILVFSSAGKPFYYGHPSIESITNRCLRHRSLLRRVYEIKIRASASSLPSTNPAQATNPFASYRHEYFRNEDDHKRNGNISVNKVVGSGKKTRGRQKIEMKKIENEDDRLITFSKRRSGIYKKASELATMCGAEIAFIVFSPAGKPFSYGHPSVESVVNRYLNQNPLPNDNTHPLVEAHRKVRINTLAQQHNELVTQLDAEKERGKMLDQLTRGKETKGWWEAPIDQLNQQELEKLYLSFAELRTSLHSKMKEKSAEATSSQLALMDPAQLTTPFPANPFPTYLNEQVPAQLNAPFPTNPFPTNLNEQVPAQLNAPFPTNPFPTNLNVQVPAQLTTPFPTNPFPTNLNEQVPGFFPPGFGPGRQ >EOY26893 pep chromosome:Theobroma_cacao_20110822:6:18914737:18918142:-1 gene:TCM_028859 transcript:EOY26893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic phosphoprotein N' end (MPPN) family protein MSTTVHRTPKSGRQSLFFQDLASPVSARRGKFSSPGQAAAVSALWRENFGGSDLPPPPMYTLEDRSDFSPESGILDYPMSPEIKSDPRNPVQTSGRDFSTPAKSKSEASTSFSVLSGQQNQQSPTSLSWWSPTKASGSEQDDKGKGSPVEGVVQPGALITLPPPREVARPEIQRNSIPAGNLDEEEWVTVYGFSPADTNLVLREFEKCGVILKHVPGPRDANWMHILYQNRSDAQRALGKNGMQINGVLIVGVKPVDPMQRQALDERLNNQGFMTLPPPASRSSELNNFRPSHPYYLQNGNTNARQSGGAIANPTKSLGLKVMEFLFGY >EOY28354 pep chromosome:Theobroma_cacao_20110822:6:25109403:25116884:1 gene:TCM_029949 transcript:EOY28354 gene_biotype:protein_coding transcript_biotype:protein_coding description:STRUBBELIG-receptor family 3, putative isoform 2 MGFVTWGMMCVGLVLFLTVPFSAGTTDPRDVSAINSFYTSLGSPPLLGWIPVGGDPCGEEWQGVSCVFSNITELRLSGMNLGGVLDEGIGGFESLIKLDLSHNQIGGSIPSNLPITMRNFYLSGNQFNGSIPATLSTLTQLTELYLDDNHLSEAIPDSFQQLKSLIDLDLSGNNLSGQLPPSFGNLSSLTTLHLQNNRISGLLDVLQDLPLSDLNVENNILSGPIPAKLLNIPNFRKDGNPFNTTILPSPPLALPPYIAWAPSPLEGSRGPAGAPSSVELPQWAKARKFWTNDRVIWIAVAGLIALVVLVVFLLFVWRCCKRRQVNRNSDRHTSEKLNQINKSEKVAKEAVMKPVDGYGLESGGMRISSKLQDEQVADVIRVPSSSRTQKNHETNKGGVDVMPVSLRPPLPPPLFPSAEEVSVSPIMPAGVNGGVRSSRGQDSSSMSAFTVASLQQYTNSFAEENFIGEDMLGSVYRAELPDGKILAIKKLDTRASRWKNDAEFLELVSTIYKLRHPNILELVGYCNEHGQRLLVYEYCRNGTLYDALHVDDGIRKKLSWNARVRVALGVARALQFLHEVCQPPIVHKNIRSANILLDDKLAVRVSECGLAPLVSSGSLSVRGES >EOY28353 pep chromosome:Theobroma_cacao_20110822:6:25107232:25117188:1 gene:TCM_029949 transcript:EOY28353 gene_biotype:protein_coding transcript_biotype:protein_coding description:STRUBBELIG-receptor family 3, putative isoform 2 MGFVTWGMMCVGLVLFLTVPFSAGTTDPRDVSAINSFYTSLGSPPLLGWIPVGGDPCGEEWQGVSCVFSNITELRLSGMNLGGVLDEGIGGFESLIKLDLSHNQIGGSIPSNLPITMRNFYLSGNQFNGSIPATLSTLTQLTELYLDDNHLSEAIPDSFQQLKSLIDLDLSGNNLSGQLPPSFGNLSSLTTLHLQNNRISGLLDVLQDLPLSDLNVENNILSGPIPAKLLNIPNFRKDGNPFNTTILPSPPLALPPYIAWAPSPLEGSRGPAGAPSSVELPQWAKARKFWTNDRVIWIAVAGLIALVVLVVFLLFVWRCCKRRQVNRNSDRHTSEKLNQINKSEKVAKEAVMKPVDGYGLESGGMRISSKLQDEQVADVIRVPSSSRTQKNHETNKGGVDVMPVSLRPPLPPPLFPSAEEVSVSPIMPAGVNGGVRSSRGQDSSSMSAFTVASLQQYTNSFAEENFIGEDMLGSVYRAELPDGKILAIKKLDTRASRWKNDAEFLELVSTIYKLRHPNILELVGYCNEHGQRLLVYEYCRNGTLYDALHVDDGIRKKLSWNARVRVALGVARALQFLHEVCQPPIVHKNIRSANILLDDKLAVRVSECGLAPLVSSGSLSEFSGSLFVSYGYAAPEIESGSYTCQSDVYSLGVVMLELLTGRKSFDRSRPLGEQFLVRWAIPQLHDIDALARMVDIALKGVYPVKSLSRFADIISRCVQWEPGFRPPISEIVQDLLHMI >EOY27204 pep chromosome:Theobroma_cacao_20110822:6:20677463:20689148:1 gene:TCM_029106 transcript:EOY27204 gene_biotype:protein_coding transcript_biotype:protein_coding description:DZC (Disease resistance/zinc finger/chromosome condensation-like region) domain containing protein isoform 2 MLTCIACSKQLNDNNGSIGGQQDEDTLETPRTRQALKALTSQIKDMALKASGAYKNCKPCSGSSNHNHNQNYADSDAASDSARFHCPYRRTGSSNSTPRIWGKEMESRLKGLSSGEGTPASVSGRTESVLFMEEDEPKEWVAQVEPGVLITFVSLPEGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNRQAVPLPTPPRSEDEGSRIESAKDSPVTPPLNKERPRNFVRPAGRGYSSSDSLDHHPTHSRQCYDSAALASTPKLSSISGAKTETSSVDGSVTTSSSREADCSGELSISNASDMETEWVEQDEPGVYITIRALPGGTRELRRVRFSRERFGEMHARMWWDENRARIQEQYL >EOY27205 pep chromosome:Theobroma_cacao_20110822:6:20683832:20689213:1 gene:TCM_029106 transcript:EOY27205 gene_biotype:protein_coding transcript_biotype:protein_coding description:DZC (Disease resistance/zinc finger/chromosome condensation-like region) domain containing protein isoform 2 MALKASGAYKNCKPCSGSSNHNHNQNYADSDAASDSARFHCPYRRTGSSNSTPRIWGKEMESRLKGLSSGEGTPASVSGRTESVLFMEEDEPKEWVAQVEPGVLITFVSLPEGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNRQAVPLPTPPRSEDEGSRIESAKDSPVTPPLNKERPRNFVRPAGRGYSSSDSLDHHPTHSRQCYDSAALASTPKLSSISGAKTETSSVDGSVTTSSSREADCSGELSISNASDMETEWVEQDEPGVYITIRALPGGTRELRRVRFSRERFGEMHARMWWDENRARIQEQYL >EOY28157 pep chromosome:Theobroma_cacao_20110822:6:24397317:24401103:-1 gene:TCM_029802 transcript:EOY28157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 2 MMGTKVAFKWSKKITPSQVVHLIKAEKNIDEALAIFDSATAEYTNGFRHDHSTFGVMISRLVSANKFGPAEDLLDRMKVEKCDIREDIFLFICRGYGRVHRPLDAIRVFDKMKEFPLEPSQRSYITVFDILVEENQLKIALRFYRHMREVGIPASVASLNILIKALCKNSGTMDSALHIFREMPNRGCPPDSYTYGTLINGLCRFGKITEAKELFQEMKTRDCSPSVVTYSSLIHGLCQSKNMNEAMGLLEEMKSNSIKPNVFTYSSLMDGLCKDGRSSEAMELLETMVSKCCRPNTITYSTLIHGLCKEGKLQEAVEILDRMKLQGLQPDAGLYGKVISGFCDVDKFKEAANFLDEMVLGRTSPNRLTWSLHVRIYNMVVRGLCTKSDLSRAFLLYLSMRTRGISVEAGTFEALMKSFCKKGDLQKAARIVDEMLIDGCIPEEGAWGTLVSAFWDQRMVQETVELFEFELMGDFTEPDLEIQAEDRGKPIP >EOY28158 pep chromosome:Theobroma_cacao_20110822:6:24399134:24400597:-1 gene:TCM_029802 transcript:EOY28158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 2 MMGTKVAFKWSKKITPSQVVHLIKAEKNIDEALAIFDSATAEYTNGFRHDHSTFGVMISRLVSANKFGPAEDLLDRMKVEKCDIREDIFLFICRGYGRVHRPLDAIRVFDKMKEFPLEPSQRSYITVFDILVEENQLKIALRFYRHMREVGIPASVASLNILIKALCKNSGTMDSALHIFREMPNRGCPPDSYTYGTLINGLCRFGKITEAKELFQEMKTRDCSPSVVTYSSLIHGLCQSKNMNEAMGLLEEMKSNSIKPNVFTYSSLMDGLCKDGRSSEAMELLETMVSKCCRPNTITYSTLIHGLCKEGKLQEAVEILDRMKLQGLQPDAGLYGKVISGFCDVDKFKEAANFLDEMVLGRTSPNRLTWSLHVRIYNMVVRGLCTKSDLSRAFLLYLSMRTRGISVEAGTFEALMKSFCKKGDLQKAARIVDEMLIDGCIPEEGAWGTLVSAFWDQRMVQETVELFEFELMGDFTEPDLEIQAEGL >EOY28159 pep chromosome:Theobroma_cacao_20110822:6:24398873:24401263:-1 gene:TCM_029802 transcript:EOY28159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 2 MMGTKVAFKWSKKITPSQVVHLIKAEKNIDEALAIFDSATAEYTNGFRHDHSTFGVMISRLVSANKFGPAEDLLDRMKVEKCDIREDIFLFICRGYGRVHRPLDAIRVFDKMKEFPLEPSQRSYITVFDILVEENQLKIALRFYRHMREVGIPASVASLNILIKALCKNSGTMDSALHIFREMPNRGCPPDSYTYGTLINGLCRFGKITEAKELFQEMKTRDCSPSVVTYSSLIHGLCQSKNMNEAMGLLEEMKSNSIKPNVFTYSSLMDGLCKDGRSSEAMELLETMVSKCCRPNTITYSTLIHGLCKEGKLQEAVEILDRMKLQGLQPDAGLYGKVISGFCDVDKFKEAANFLDEMVLGRTSPNRLTWSLHVRIYNMVVRGLCTKSDLSRAFLLYLSMRTRGISVEAGTFEALMKSFCKKGDLQKAARIVDEMLIDGCIPEEGAWGTLVSAFWDQRMVQETVELFEFELMGDFTEPDLEIQAEGL >EOY25719 pep chromosome:Theobroma_cacao_20110822:6:1404828:1427944:1 gene:TCM_027100 transcript:EOY25719 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MSSEEEKLLKEAKKLPWEDRLLHKNWKVRNEANIDLASLCDSITDPKDSRLREIAPFFRKTVADSNAPVQEKALDALIAFLKAADADAGRYAKEVCDAIVAKCLTGRPKTVEKAQAAFMLWVELEAVDVFLDSMEKAIKNKVAKAVVPAIDVMFQALSEFGAKVVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGKDPVKSILFEKMRDTMKKELEAELVNVTGTAKPSRKIRSEQDREPEHEAVSEAAGPGPVEESADNTPQEIDEYELVDPVDILTPLEKSGFWDGVKATKWSERKEAVAELTKLASTKKIAPGDFTEVCRTLKKLVTDVNIAVAVEAIQAVGNLARGLRTHFAGSSRFLLTVLLEKLKEKKPALTESLTQTLQAMHKAGCLNLADIVEDVKTATKNKVPLVRSLTLNWVTFCIETSNKAVILKVHKDYVSICMECLNDGTPDVRDAAFSALAAVAKSVGMRPLERSLEKLDDVRKKKLSEMIAGSGAAVSANTSSAAVQNSGGGVSSTEVSEGSFVRRSAASMLSGKRPVPVAPANKKGASVKSGNNKKVEGAGRPETAKLTEAPEDIEPAEMSLEEIESRLGSLIQADTVSQLKSAVWKERLEAISLLKQQVEGIQDLDKSVEILIRLLCAVPGWNEKNVQVQQQVIEIVTYLASTASKLPKKCVVLCLLGISERVADIKTRAHAMKCLTTFSESVGPGFVFERLYKIMKEHKNPKVLSEGLLWMVSAVDDFGVSHLKLKDLIDLCKDTGLQSSAAATRNATIKVLGALHKFVGPDIKGFLTDVKPALLSALDAEYEKNPFEGTSAIPKKTVKALESTSLSVGGLDGLPREDISGKITPTLLKSLESPDWKVRLESIEAVNKILEEANKRIQPTGTGELFGALRGRLYDSNKNLVMATLTTIGGVASALGPAVEKASKGILSDILKCLGDNKKHMRESTLSTLDAWNAAVHFDKMVPYITSALIDTKLGAEGRKDLFDWSSRQLSGLSEFSDGVHLLKSAATAMMDKSSDVRKAAEGCIGEILRVSGQEIIEKNLKDIQGPALALILERIKPYGSFQESLESSKGVSTGLASKTNAKVVKSTSNGVTKHGNRAVTSRAIPTKALRPETMLSVQDIAVQSQALLNVKDSNKEERERMVVRRFKFEEPRIEQIQDLENDMMKYFREDLHRRLLSTDFKKQVDGLEMLQKALPSIGKEIIEVLDILLRWFVLQFCKSNTTCLLKVLEFLPELFESLKGEAYALTESEAAIFLPCLIEKVGHNIEKVREKMRELAKQIVQMYSASKSYPYILEGLRSKNNRTRIECVDLVGFLIDHHGAEISGQLKSLQIVASLTAERDGEIRKAALNTLATGYKILGEDIWRYVGKLTEAQKSMLDDRFKWKVREMEKRREGRPGEARAALRRSVRENAPDVAEQSGEVSQSVSGSIFARKNYGQPDLNMERHLMPRVLGGVTGPTNWNEALDIISFGSPEQSVEGMKVVCHELTQATNDPEGSLMDELEKDADRLVSCLANKVAKTFDFSLTGASSRSCKYVLNTLMQTFQNKRLAHAVKESTLDNLITELLLWLLDERVPHMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPSRWPSPASNETFAARNQKFSDLVVKCLIKLTKVLQSTIYDVDLDRILQSIHVYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSLVPIDMKPQPIILAYIDLNLETLAAARMLTSTSPGQTHWGDSGANNPAPATNSADAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNAAAGRTPSSLPMSTPPPASLTASSPEFAPLSPVHTNSANDSKSLNTKSDPTNFTLPPSYTEDNRAGNAINTRVLGSENALADQRNERVMSGVTSGTLDAIRERMKSMQLAAAAGNIDYGTRPLMSVNDSLNLGLSTQTRPLDHPAIENPAQGGVLPMDEKALSGLQARMERLKSGALEPL >EOY28462 pep chromosome:Theobroma_cacao_20110822:6:25414306:25415922:1 gene:TCM_030016 transcript:EOY28462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRIFFRYVARDLIRDGSSSKSPRTPRTNILKSSEYPQNQDQPKASCVQAELWGLRDGLSSATSSGV >EOY26617 pep chromosome:Theobroma_cacao_20110822:6:15427471:15430817:1 gene:TCM_028492 transcript:EOY26617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVIHMNQLKQIHVEAHSNLLRMDLERWACALSLARQYQFMLSNIEECVNSCLKHARKMPITVLVEFIRFMFQHWFHDQYEEAVKVTTPFSPWVAKQLRKRFNDVHRFVIKSINQMGFKVKAFILCLNIEFIVFFSKCKHEAVEFCPDYYKITFLVEGYMRSIHPAEHPNDWDIPLHVKQIIVLPPPWRGQVRKPRRKRIPSTSEGSRAWKCSQCKRYGHNRQNCPFPFEVPSANPAPSLSQSAPPQVRRPKACSTCR >EOY28120 pep chromosome:Theobroma_cacao_20110822:6:24287338:24288377:-1 gene:TCM_029779 transcript:EOY28120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 LPPSSASTSKSIPELGNNTAADSSGRPKVRYPNPPDLTNPDPATLRDQWRYAIRQYSRWYSHAWGTAILAGVSFFALGWIIKGSNPLPSFKSDSDKNDHPK >EOY28119 pep chromosome:Theobroma_cacao_20110822:6:24287329:24288486:-1 gene:TCM_029779 transcript:EOY28119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSEHQKPEHPQNLPPSSASTSKSIPELGNNTAADSSGRPKVRYPNPPDLTNPDPATLRDQWRYAIRQYSRWYSHAWGTAILAGVSFFALGWIIKGSNPLPSFKSDSDKNDHPK >EOY26277 pep chromosome:Theobroma_cacao_20110822:6:6977469:6982196:-1 gene:TCM_027759 transcript:EOY26277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein MQKSRLGWQFLSLVRHYSRVAPPPPAYADPVLRVSNSVAYLGAPKQGPKPRQLLSLPPFPGYPLPGKNPGGTARVTAISWLKYYFDEIPDSAIQSHFNKGLVQMESSNAGDTCIERDGLMKPLRKIKHNEVMEVGTRVWVPVSIAETRISKRFDCIPSGTLYPNKDEIDYLQRLVKYKDYAILVLNKPPKLPVKGSLPVHNSMDALAAAALSYDHDEGPKLVHRLDRESSGLLLMGRTKESVAHLHWLFNDLNTVKSSCKAWNDACDVKYQRFWALVIGTPKEKEGLICAPLSKILLDDGKTERVILAQNVGLEASQEAITEYRVLGPMINGCSWIELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRRWKQMPRVDIEPTTGKPYKLRRPEGLDVQKGSVLSKVPLLHLHCRELVLPNIAKFLPVLNKNTETLPPALSMKPDLLRFVATMPKHMKISWNLMSSYLV >EOY26006 pep chromosome:Theobroma_cacao_20110822:6:3568325:3573365:-1 gene:TCM_027395 transcript:EOY26006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MSTFGAAAANHNPNKSFEVLQPPSDSVSSLSFSPKANILVATSWDNQQVRCWEISRNGTAVASTPKASITHDQPVLCSTWKDDGTTVFSGGCDKQVKMWPLLSGGQPMTVAMHDAPIKEVAWIPEMNLLVTGSWDKTLKYWDTRQPNPVHTQQLPDRCYALTVKYPLMVVGTADRNLIVFNLQNPQTEYKRVVSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDDGQQNKNFTFKCHRDGSEIYSVNSLNFHPIHHTFATAGSDGAFNFWDKDSKQRLKAMSRCSQPIPCSTFNNDGSIFAYSVFVMTGVRVLKITTHQQQRPTFSCTCLRNLKLKASHELEQVVESEGCFWLEND >EOY26005 pep chromosome:Theobroma_cacao_20110822:6:3568383:3573332:-1 gene:TCM_027395 transcript:EOY26005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MSTFGAAAANHNPNKSFEVLQPPSDSVSSLSFSPKANILVATSWDNQVRCWEISRNGTAVASTPKASITHDQPVLCSTWKDDGTTVFSGGCDKQVKMWPLLSGGQPMTVAMHDAPIKEVAWIPEMNLLVTGSWDKTLKYWDTRQPNPVHTQQLPDRCYALTVKYPLMVVGTADRNLIVFNLQNPQTEYKRVVSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDDGQQNKNFTFKCHRDGSEIYSVNSLNFHPIHHTFATAGSDGAFNFWDKDSKQRLKAMSRCSQPIPCSTFNNDGSIFAYSVCYDWSKGAENHNPSTAKTYIFLHLPQESEVKGKPRVGTSGRK >EOY27071 pep chromosome:Theobroma_cacao_20110822:6:19954152:19955557:1 gene:TCM_029007 transcript:EOY27071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCVSLIWKLASNENEKKENWKYSGSGHRDVFHAFVMVLLEALVWLRHVHLENVFNRDRREYDDRQPQSTCLGGSSSRILHSRSLPGCLHIASAASMGNRSSLLDKISQIRHSTVRSRRRKHRIWCFPTRSHGWIWHSTARSSRGEAPIWRHSGQIRPDGAPDRRFVTSVAFPAIDP >EOY27120 pep chromosome:Theobroma_cacao_20110822:6:20251076:20268590:1 gene:TCM_029045 transcript:EOY27120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 MMKGLLFHDQQQQVLEENMSNLTSASGEASVSSGNRAEAATNYPQQYFSTPPPETQPAKKKRNLPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKKVYVCPEPSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAITGANPLLSSHQPGASASHINLQVPQFNAQDIQAFSLKKEQQSFSLRPEIPPWLSSQPMLGAGPGPPPQPIDLSSSSSSIFSARLDHHHQEFTQTTHHQDLTHHVNPNPNPTSLGPTLPAYHPTTVPSPHMSATALLQKAAQMGATMSSKTGSSSAPATAAAASLIRPHQQAHVSADSAGSNNNTTTAVFGLNLSSREELAVIMLAQTKDGRFINETFSSTTTTPTTTTNAAAAARNDHETGGIQGEGLTRDFLGLRAFSHSDILNLAGLSNCMNTSHEQRNQSQKPWQVVDSPKFEAVHIALLAAPSAGTGSGYFYLPGTTDSLKAEEMNHSKKLAATESTSKSTAAMARKRSSKTTLIFFVLLSIVAFVAFVPVFASLPSLSSHSHDLHLHLRLHQRQHRLEKSDARKFEIAEDMFWKDGKPFQIIGGDLHYFRILPEYWEDRLLRAKALGLNTIQTYIPWNLHEPEPGKLVFEGIADLVSFLKLCQKLGLLVMLRAGPYICAEWDLGGFPAWLLAIEPDIRLRSSDPAYLQLVEGWWGVLLPKVAPLLYGNGGPIIMVQIENEFGSYGDDKAYLRHLVKLARGHLGEDIILYTTDGGSRETLEKGTLVGDDVFSAVDFTTGDDPWPIFELQKEFNSPGKSPPLSSEFYTGWLTHWGEKIARTDADFTAAALEKILSRNGSVVLYMAHGGTNFGFYNGANTGADESDYKPDLTSYDYDAPITESGDVDNAKFKAIRRVVGKYSSVSLPSFPSSNKKTGYGFIQLQKTRSLFDLLDGFDSAHIVEAENPTAMEYFYQMFGFLLYVSEYASKAGGNKLFIPKVHDRAQVFISCPSRADGGRVSYVGTIERWSNQAIYLPNAKCVSNTSLFILVENMGRVNYGPYLFDRKGILSSVYVDGRVLNRWKMIPIPFQNLNEVPKFNPVIQVASEFPKVSIRKKLEHKSEDVLEGPSFYTGHFSIDKTSEVTDTFISFRAWGKGIAFVNEFNIGRYWPTSGPQCNLYIPAPILRHGENVLVIFELESPNPELVVDSVDQQDFNCGSSKASVRQL >EOY26575 pep chromosome:Theobroma_cacao_20110822:6:14445806:14451754:-1 gene:TCM_028405 transcript:EOY26575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Boron transporter, putative MEHFKTPFKGIASDVRGRAACYKQDWIGGLRSGLGILAPTTYIFFASALPVIAFGEQLSRDTDGSLSTVETLASTAFCGIIHSIFGGQPLLVLGVAEPTVIMYTYLYNFAKGRKDLGQELYLAWAGWVCVWTALLLFLLAMFNACTIINRFTRIAGELFGMLIAVLFIQEAIKGVVSEFEIPKAQDPKLEKYQFQWLYTNGLVGIIFTFGLLYTALKSRRARSWWYGTGWFRSLIADYGVPLMVVLWTVLSFSVPSKVPSGVPRRLFSPLPWESASLEHWTVIKDMGKIPPLYIFSAFLPAVMIAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILLLGFMTLLCGLIGLPPSNGVLPQSPMHTKSLALLKRQLIRRKMVKSAKESIKQKASNSEIYGKMQAVFIEMDSSPETTIVKELEDLKKVVMKGEKKGENEKETFDPERHIDAYLPVRVNEQRVSNLLQSLLVAASVCAIPAIKLIPTSVLWGYFAYMAIDSLPGNQFWERMLLLFITPGRRYKVLEGVHASFVESVPYRYIVMFTLFQLVYLLLCFGVTWIPIAGILFPLPFFLLISIRQYILPKVIQPNYLRELDAAEYEEITGAPRLSLSRSFKERETPRLGNEEDGVEMFDAELLDELTTSRGELKVRTVSFSEDRKGQVYPEAVEKE >EOY26772 pep chromosome:Theobroma_cacao_20110822:6:17757885:17761604:-1 gene:TCM_028730 transcript:EOY26772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein, putative MKGGDSKKDKEEQQQVMSEVHLGCPPGISGPHISRFIISLPAGIPEVESSRFHELFKEEEACTDQDISFDEDGDLVLPRRRRNSRRCFTMKIQHNITSSIPSVGLQVWRAELVLSDFVLHKMCTTTDFDGIVSLELGAGTGLAGMLLAHAAKTVFLTDHGDKILENCVKNVQLNSGVFNCQAAVHVRELDWVQPWPPKVGLDLVSQERYSWSLSELEEVQKASLLVAADVIYSDDLTDALFGILEGLMSQGSEKVLYLALEKRYNFSLEDLDVVANGYSHFRSYLREDDECEGLEHRSLPCFMGRRINVAEIPQYVGEYDRGKDAELWQIRYNKGQL >EOY26214 pep chromosome:Theobroma_cacao_20110822:6:5754624:5772958:1 gene:TCM_027645 transcript:EOY26214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKLNMRMGKFLSEGAKFTLTIKRLINSTTHPNRHKKRDDYGQYLAGNVNLNGVIEVLCNEGVKWKMNKGVPMSFKASAMKSDHKLYDLSMEAKNEDKENDDQ >EOY26606 pep chromosome:Theobroma_cacao_20110822:6:14968184:14978977:-1 gene:TCM_028459 transcript:EOY26606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPPRRGRPPLYRSVGRGRGRARLSQPDPVERESAAPTFRAAPAVEPTEIPPPPPPPTATPSVHAMSLEAVQALAAFLNVIMGQAQAGRVPHTVPPAVSPVPPPPPLVPPPVPDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEKLKEARQLGCTSFVGDLDATAAKDWITQVTETFVDMKLDDDMKLMVATRLLEKKARTWWSSVKSRSITSLTWIDFLQEFNGQYYTYFHQKEKKREFLSLQQGNLTIEEYEARFNELMSYVPDLVKSEQDQASYFEEGLRNEIRERMTVTGREPHKEVVQMALRAEKLTNENRRKRAEFAKRRNPNVSSSQLPKRGKDTFASESTVSVPVISPRPPLSQLQQRPPRFNRSGMSSTSEKSFGGLNKCEKCGRYHVGECWGIRCFHCDQPGHIRSDCPQLGRATVAAPSPLTHTDMQRRDSFGVHPRQGVTVRSEMGSNTPAQPPLRPLTRSSTRVFAITEDEARVRSGERLIICTTPRDIKSIWVQLRGKDTFASESTVSVPVISPRPPLSQLQQRPPRFNRSGMSSTSEKSFGGLNKCEKCGRYHVGECWGIRCFHCDQPGHIRSDCPQLGRATVAAPSPLTHTDMQRRDSFGVHPRQGVTVRSEMGSNTPAQPPLRPLTRSSTRVFAVTEDEARVRSGESE >EOY26011 pep chromosome:Theobroma_cacao_20110822:6:3615846:3621253:1 gene:TCM_027402 transcript:EOY26011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRARTACARFSTHFNLLRTRPFCSSTKDSNNNKKKNKDNVDGSIESNVSTYNESYRQLDNLDFMTAAKILFTHPPKKKKFGIDFHLVQLFFACMPSLAVYLVAQYARYEMRKMEAELEEKKKQEEEAKKKQEEEEEKKKQKEEEKAKEMELIATEHNKGGTDTELLQVKVRLGKLEEAVKEIVVESKKQSAGSITKSQQNASEPGEAQRTSESSSTLGQDKLAKQKSTEQTLSFDQGKVRSAAPVSDASQKDQKGENQKPSQDAKK >EOY28880 pep chromosome:Theobroma_cacao_20110822:6:26775202:26776985:-1 gene:TCM_030359 transcript:EOY28880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA sterol acyl transferase 1, putative MESGEMNNFMKIWLCVVISLSYCYAIGKIIPKGTARLLCLLPVVCLYVLLPLNLSSLHLGGATAFFIAWLGNFKLLLFAFGKGPLSPPLSLLRFLAVACLPIKILHNPTPKSHPNGLNKENPSLKKTLDSQNKEIPGPVKPKKGQKSPINYAIKFLLLALLLRAYDYSEYIHPTFMLVLYCGHVYFCLEIILAMVAALARAMLGLELEQQFNEPYLSTSLQDFWGRRWNIMVTSILRPTVYEPVLNMGARLVGRKWAPLPAVFGSFVVSAVMHELIFYYLGRVRPTWEITWFFLLHGGCLMAEIALKKTLRDKLRLPTAVSTPLTIGFIMVTGSWLFFPQLLRIKADERALEEYAALGAFFKNVSALAITTFQFQL >EOY25523 pep chromosome:Theobroma_cacao_20110822:6:347213:352483:-1 gene:TCM_026926 transcript:EOY25523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein isoform 2 MDRLDLKHLFRNLFVLLIIVCFSSSVHGGSEAVGYGYKLKSVSVDANGKWLTADLGLIRNSSVYGPDIQNLSLFASFETSNRLRIKVTDSGHERWEIGQEIIPRQSQFPHRSLPENHRSSSAKYQGQTPKQQKENYYMSDPTSDLIFTLHNTTPFGFSVRRRSSGDILFDTSPDASDSGTFLVFKDQYIQLSSSLPQGRSSLYGLGEHTKRSFKLQHNDTLTLWNADLASANLDVNLYGSHPFYLDIRSASADGKVSAGTTHGVLLLNSNGMDIVYGGNRITYKIIGGVIDLYVFAGPLPDRVMEQYTQLIGRPAAMPYWSFGFHQCRYGYKNVSDIKGVVAGYAKARIPLEVMWTDIDYMDGFKDFTLDPVNFPKDQMKTFVDKLHQNDQKYVVIIDPGISVNSTYGTYIRGMQADIFIKRDGVPYLGQVWPGPVYFPDFVNPRTETYWAGEIKTFRDFLPVDGLWLDMNEISNFITSPPTPNSALDDPAYKINNQGIQRPINNRTVPAASLHFGNLTEYNVHNLYGLLECKATHAALINVTGKRPFILSRSTFVSSGKYAAHWTGDNVATWEDLAYTIPSILNFGLFGIPMVGADICGFSGDTTEDLCQRWIQLGAFYPFARDHSDFNTIRQELYLWDSVAASARKVLGLRYRLLPYFYTLMYEAHQKGTPIARPLFFTFPQDIHTYEINSQFLLGKGIMVSPVVKSKAVSVDAYFPSGNWFDLFNYSNSVSANSGKYFTLAAPRDHINVHVREGNIIAMQGEARTTKAARMTPFQLLVAVSSTETMTGQVFLDDGEEVEMGVEGGKWSLVRFYGGISSSGDEVFVRSEVENGAFALSQKWMIERVTFIGLENVERLKGYELSSGNNKTNLHANPLVKARLDKNAIFQIVEVSGLRQPVGQEFNLQLKTQKK >EOY25524 pep chromosome:Theobroma_cacao_20110822:6:348477:352483:-1 gene:TCM_026926 transcript:EOY25524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein isoform 2 MSDPTSDLIFTLHNTTPFGFSVRRRSSGDILFDTSPDASDSGTFLVFKDQYIQLSSSLPQGRSSLYGLGEHTKRSFKLQHNDTLTLWNADLASANLDVNLYGSHPFYLDIRSASADGKVSAGTTHGVLLLNSNGMDIVYGGNRITYKIIGGVIDLYVFAGPLPDRVMEQYTQLIGRPAAMPYWSFGFHQCRYGYKNVSDIKGVVAGYAKARIPLEVMWTDIDYMDGFKDFTLDPVNFPKDQMKTFVDKLHQNDQKYVVIIDPGISVNSTYGTYIRGMQADIFIKRDGVPYLGQVWPGPVYFPDFVNPRTETYWAGEIKTFRDFLPVDGLWLDMNEISNFITSPPTPNSALDDPAYKINNQGIQRPINNRTVPAASLHFGNLTEYNVHNLYGLLECKATHAALINVTGKRPFILSRSTFVSSGKYAAHWTGDNVATWEDLAYTIPSILNFGLFGIPMVGADICGFSGDTTEDLCQRWIQLGAFYPFARDHSDFNTIRQELYLWDSVAASARKVLGLRYRLLPYFYTLMYEAHQKGTPIARPLFFTFPQDIHTYEINSQFLLGKGIMVSPVVKSKAVSVDAYFPSGNWFDLFNYSNSVSANSGKYFTLAAPRDHINVHVREGNIIAMQGEARTTKAARMTPFQLLVAVSSTETMTGQVFLDDGEEVEMGVEGGKWSLVRFYGGISSSGDEVFVRSEVENGAFALSQKWMIERVTFIGLENVERLKGYELSSGNNKTNLHANPLVKARLDKNAIFQIVEVSGLRQPVGQEFNLQLKTQKK >EOY25525 pep chromosome:Theobroma_cacao_20110822:6:348196:352616:-1 gene:TCM_026926 transcript:EOY25525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein isoform 2 MDRLDLKHLFRNLFVLLIIVCFSSSVHGGSEAVGYGYKLKSVSVDANGKWLTADLGLIRNSSVYGPDIQNLSLFASFETSNRLRIKVTDSGHERWEIGQEIIPRQSQFPHRSLPENHRSSSAKYQGQTPKQQKENYYMSDPTSDLIFTLHNTTPFGFSVRRRSSGDILFDTSPDASDSGTFLVFKDQYIQLSSSLPQGRSSLYGLGEHTKRSFKLQHNDTLTLWNADLASANLDVNLYGSHPFYLDIRSASADGKVSAGTTHGVLLLNSNGMDIVYGGNRITYKIIGGVIDLYVFAGPLPDRVMEQYTQLIGRPAAMPYWSFGFHQCRYGYKNVSDIKGVVAGYAKARIPLEVMWTDIDYMDGFKDFTLDPVNFPKDQMKTFVDKLHQNDQKYVVIIDPGISVNSTYGTYIRGMQADIFIKRDGVPYLGQVWPGPVYFPDFVNPRTETYWAGEIKTFRDFLPVDGLWLDMNEISNFITSPPTPNSALDDPAYKINNQGIQRPINNRTVPAASLHFGNLTEYNVHNLYGLLECKATHAALINVTGKRPFILSRSTFVSSGKYAAHWTGDNVATWEDLAYTIPSILNFGLFGIPMVGADICGFSGDTTEDLCQRWIQLGAFYPFARDHSDFNTIRQELYLWDSVAASARKVLGLRYRLLPYFYTLMYEAHQKGTPIARPLFFTFPQDIHTYEINSQFLLGKGIMVSPVVKSKAVSVDAYFPSGNWFDLFNYSNSVSANSGKYFTLAAPRDHINVHVREGNIIAMQGEARTTKAARMTPFQLLVAVSSTETMTGQVFLDDGEEVEMGVEGGKWSLVRFYGGISSSGDEVFVRSEVENGAFALSQKWMIERVTFIGLENVERLKGYELSSGNNKTNLHANPLVKARLDKNAIFQIVEVSGLRQPVGQEFNLQLKTQKK >EOY27082 pep chromosome:Theobroma_cacao_20110822:6:20037165:20038675:1 gene:TCM_029017 transcript:EOY27082 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein MYGKAMDLAITLKTHPSLFHQYFYSLTPTSPTSLSFPSQSRSSSPKKKVKPSNFQPKNMASYGTIPTSSSPGPATNLEYLSRAKERIKEGLGTRRPWKLMFNIRSINFPGNLSEAISRVRTNVAYFRMNYAIIVLFVLFLSLLWHPISLIVFIVMMAAWLFLYFLRDEPIVVFSRTIDDRVVLIVLGVLTIVFLLLTHATLNILVSVLIGVVIILVHATLRRTDDLYDEESAALMTGTGPSSSS >EOY26413 pep chromosome:Theobroma_cacao_20110822:6:9851267:9855126:-1 gene:TCM_028013 transcript:EOY26413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVVNIENDFVLSHARLESKEIKLQPISLRRRKFDSDISRMAKFFTSLDEANDSDLKDRQRHSMENREILNRFYAKLNHCLSMEETFWQQKSCIKWLVERERNTKFFHMRMQKKRTWKRMLADSPVTKKHTRWWIGRGKLIFWHDCWMGNEPLVNLFPSFHSSMTQVCYYFDNNEWDVDKLKHVLPDEVIADILKIPSDTSSDDIAYWVPTFDAQRNPFYMSCGMAQWLNRFGIFLQNSFKSM >EOY26801 pep chromosome:Theobroma_cacao_20110822:6:17901586:17904396:-1 gene:TCM_028754 transcript:EOY26801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMLSAKSESDITSLAPSSPSRSPKRPVYYVQSPSRDSHDGDKSSSMQPSPMESPSHPSSFGRHSRNSSASRFSGIFRSSSGRKGSRKRNDKGWPECNVIMEEGSYDEYEDKAFTRRFQALIAVLTFVVLFTVFCLIIWGASKPYKAEITVKSLAVHNFYIGEGSDFSGVPTKMLTVNGSLKLSVYNPATIFGIHVSSNPINLIYSEIPVATGQLKKYYQPRKSRRTVSVVLEGKKVPLYGAGSSLTFTQNGAEIPLTLKFEVHSRGNVVGKLVRTKHRRQISCPLVMDSTRTNPIKFKKGTCTYD >EOY28843 pep chromosome:Theobroma_cacao_20110822:6:26689344:26690019:-1 gene:TCM_030328 transcript:EOY28843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonspecific lipid-transfer protein, putative MTCQQALTELIPCRPFLIATAPSPTAPCCTGVSDVKAAASTTEARRDLCECFEKNAPGYGVKPEKAKLLPGLCGVTVPVPIDPSVNCTTIT >EOY27838 pep chromosome:Theobroma_cacao_20110822:6:23268748:23272141:1 gene:TCM_029574 transcript:EOY27838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSATMSQAAHSGPGAFLMLLHFTGTLFLPRRYFFSFSLLEVTMRFFPIHDYLVCLVYGEESSVSGTYILKEVTMRFFQFMTIWFALSMEKKIEVSSAVGWTVWIEGPDIHVLFRFDFSHVNIVQFMLISDIYFPCNFCHLGLFPANFFWKRQGRGWGYGEVDGDLGRLSPEMMQPMPAGWILLYSDISCKRLAFVTYCWKSWIDIHEVKDILFYVNAEGATRT >EOY25924 pep chromosome:Theobroma_cacao_20110822:6:2769301:2774361:1 gene:TCM_027292 transcript:EOY25924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein isoform 2 MGNASSMLTQYDIEEVQDYCHNLFSQQEIVSLYQRFCQLDRNAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFTAKASVEQKIQLIFKVYDSDGNGKVSFNDILEVLRDLSGSFISDDQREQVLTQLLKEAGYSRDSYLMLDDFIKVFGSSGLKMEVEVPVD >EOY25925 pep chromosome:Theobroma_cacao_20110822:6:2769327:2774597:1 gene:TCM_027292 transcript:EOY25925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein isoform 2 MGNASSMLTQYDIEEVQDYCHNLFSQQEIVSLYQRFCQLDRNAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFTAKASVEQKIQLIFKVYDSDGNGKVSFNDILEVLRDLSGSFISDDQREQVLTQLLKEAGYSRDSYLMLDDFIKVFGSSGLKMEVEVPVD >EOY25926 pep chromosome:Theobroma_cacao_20110822:6:2769319:2775078:1 gene:TCM_027292 transcript:EOY25926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein isoform 2 MGNASSMLTQYDIEEVQDYCHNLFSQQEIVSLYQRFCQLDRNAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFTAKASVEQKIQLIFKVYDSDGNGKVSFNDILEVLRDLSGSFISDDQREQVLTQLLKEAGYSRDSYLMLDDFIKWQTLLEDKQ >EOY25923 pep chromosome:Theobroma_cacao_20110822:6:2769172:2777156:1 gene:TCM_027292 transcript:EOY25923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein isoform 2 MGNASSMLTQYDIEEVQDYCHNLFSQQEIVSLYQRFCQLDRNAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFTAKASVEQKIQLIFKVYDSDGNGKVSFNDILEVLRDLSGSFISDDQREQVLTQLLKEAGYSRDSYLMLDDFIKTFLSLVRLLNYVLAYFTESFVSVLCKLIDQGRASNIFETQSSNSTNLLQEILPRKKIELVSSEMELDSSRKKLLEFKNFKAAASSNKHTTTSNQSILKFKNEGASSSKEVSRVPSNPRSKVCSSFCKGKGVVLQASEAEQLNTRLKILEEENEIMKLAFLETAMERKELVNEICQLFQTLQDYSLHHKDQEDGHRSSYGSLILKPSKGRGTESSGLSQLQLENQGSEDPILAILDQSYTST >EOY26649 pep chromosome:Theobroma_cacao_20110822:6:16529373:16534413:-1 gene:TCM_028585 transcript:EOY26649 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MNVKKALSEETEVVKQLDAERRSFKEGSNGRRPSSPPIHAKSSFVFQPLDEYPTSSGAPMDDPDVWRPPSRDTTSRRPARAGQVGMRKSPQDGTWGGRGNTRTGTTGRGAKAGGSSRSNTGVRASATGKKGAGSGKSSKGDSANGDAEDGKSKRSQYEGPDPDLAAMLERDVLETTPGVRWDDVAGLTEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNTATNEDGSRKIVMVLAATNFPWDIDEALRRLEKRIYIPLPNFESRKELIRINLKTVEVAPDVDIDEVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIKNMSKDEISKDPVAMCDFEEALAKVQRSVSQADIEKHEKWFTEFGSA >EOY26648 pep chromosome:Theobroma_cacao_20110822:6:16527515:16542446:-1 gene:TCM_028585 transcript:EOY26648 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MHGVVVIPGTACGCPRHLRISSGGLIEDNRRAAAERLKRGLEELVKDGMVSSGELGHAGLQDHLKLAREYALEGLYDTSIIFFDGAIAQINKHLNSLDDPLIRSKWMNVKKALSEETEVVKQLDAERRSFKEGSNGRRPSSPPIHAKSSFVFQPLDEYPTSSGAPMDDPDVWRPPSRDTTSRRPARAGQVGMRKSPQDGTWGGRGNTRTGTTGRGAKAGGSSRSNTGVRASATGKKGAGSGKSSKGDSANGDAEDGKSKRSQYEGPDPDLAAMLERDVLETTPGVRWDDVAGLTEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNTATNEDGSRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKELIRINLKTVEVAPDVDIDEVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIKNMSKDEISKDPVAMCDFEEALAKVQRSVSQADIEKHEKWSKVTKSPSQIKPAKSPNPNPKGKP >EOY27008 pep chromosome:Theobroma_cacao_20110822:6:19716397:19719396:-1 gene:TCM_028964 transcript:EOY27008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNLPFSSLIGYKCILLKLEKNPSIPYSASFLLALYHMFSHVHVQVDGRSISSVRNMELAKHEGAIKTIQSEDGDVIDCVDIYKQPAFNHPLLKNHTIQMKPSSYPRGMETEQFESELLQGWHKNGQCPEGTIPIVRAQIHNSTRTMAFVPRRKNLDQVASEAVRNHEYAQVSAVNGNYFGASAMLNVWNPATFDNEFSLAQIWLLSGPQDELNSMEAGWIVSQVDKRTKLFIYWTSDDYQSTGCYNLDCPGFVQTDKKFGLGGNLEPVSTYGGKQYEMSITIHKDKQSGNWWLRIQNVDLGYWPGSIFTGLSDRADFITWGGEIVNSELEGRHTSTQMGSGHFPSEDFGKASFFRNLGYIDDSGAVRDPENLVPYASNPSCYDLHIPTKNDFGTHFYFGGPGYSDKCQ >EOY28312 pep chromosome:Theobroma_cacao_20110822:6:24890993:24893250:-1 gene:TCM_029913 transcript:EOY28312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MEQIQHNFVTVRGLKFHVAEIGTGSNVVVFLHGFPEIWYTWRHQMVAVADAGFRAIAPDYRGYGLSDIPPEPERTSFADIVSDLVAILDHLGVNKVFLVGKDFGVWPAYHVALLHPDRVSAVITLGVPYLPPEPPKFHQSLPEGFYISRWREPGRAEADFGRFDAKTVVRNIYILFSRSEIPIAAENQEIMDLVDASTPLPPWFTEEDLAAYGALYEKSGFRTALQVPYRSFEEDFGITDPIVKVPALLIMGCKDYVFKFPGIEEYIKFGKAQELVPGLDIIYLPEGTHFVQEQSPELVNELILDFLKSHI >EOY28912 pep chromosome:Theobroma_cacao_20110822:6:26866173:26868127:1 gene:TCM_030383 transcript:EOY28912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative MGRLFIETLSGARIFKCKCCKVDSASHDDIVSKDFQGRFGRAYLFRNAVNIILGPTEERMLSSGLHTVNDIYCSSCQQILGWKYEKAYEESQKYKEGMFILEKERMFKEGW >EOY27608 pep chromosome:Theobroma_cacao_20110822:6:22531191:22536185:-1 gene:TCM_029418 transcript:EOY27608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranyl diphosphate synthase 1, putative isoform 1 MTILFSRVVSRISGTPKKTFFNCPQLCQALVFGTSCRSPTDSTPKDKVDPFSLVAHEMSLVSKRLRSSVVAKVPELASAAGYFFKEGVEGKRTCSVVLLLMATAVDIHRPESPFCCIGDTWTIELRRRQQLIAEITEMIHVASLIHDDVLDDADTRRGIGSLSFVVGNKLAVLAGDFLLFRALRTLASLKNTEHGVLYAKDILQDCIVDFKQLQGYCPSVWPNTWSCKVGF >EOY27605 pep chromosome:Theobroma_cacao_20110822:6:22531104:22536216:-1 gene:TCM_029418 transcript:EOY27605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranyl diphosphate synthase 1, putative isoform 1 MTILFSRVVSRISGTPKKTFFNCPQLCQALVFGTSCRSPTDSTPKDKVDPFSLVAHEMSLVSKRLRSSVVAKVPELASAAGYFFKEGVEGKRTCSVVLLLMATAVDIHRPESPFCCIGDTWTIELRRRQQLIAEITEMIHVASLIHDDVLDDADTRRGIGSLSFVVGNKLAVLAGDFLLFRALRTLASLKNTEVVSLLVTAIENLVTGETMQMAATIEQRCSMEYYMQKTYYKTASLISNSCKAIALLSGQTPGVAKLAFEYGKNLGLAYQFIDDILDFTGSSASLGKGSLSDIRLGIITAPILFATEEFPQLHAVIEQGFDDPANVDTALEYLRKSRGIQRTRELATKHASLAAAAIDSLPESCSMDVRKSRQALINLTQILTARNK >EOY27606 pep chromosome:Theobroma_cacao_20110822:6:22532247:22536060:-1 gene:TCM_029418 transcript:EOY27606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranyl diphosphate synthase 1, putative isoform 1 MTILFSRVVSRISGTPKKTFFNCPQLCQALVFGTSCRSPTDSTPKEDAVSQDKVDPFSLVAHEMSLVSKRLRSSVVAKVPELASAAGYFFKEGVEGKRTCSVVLLLMATAVDIHRPESPFCCIGDTWTIELRRRQQLIAEITEMIHVASLIHDDVLDDADTRRGIGSLSFVVGNKLAVLAGDFLLFRALRTLASLKNTEVVSLLVTAIENLVTGETMQMAATIEQRCSMEYYMQKTYYKTASLISNSCKAIALLSGQTPGVAKLAFEYGKNLVCKFPKVILRDKKYVLSGTTYSGIILCIWPISL >EOY27607 pep chromosome:Theobroma_cacao_20110822:6:22532247:22536060:-1 gene:TCM_029418 transcript:EOY27607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranyl diphosphate synthase 1, putative isoform 1 MTILFSRVVSRISGTPKKTFFNCPQLCQALVFGTSCRSPTDSTPKDKVDPFSLVAHEMSLVSKRLRSSVVAKVPELASAAGYFFKEGVEGKRTCSVVLLLMATAVDIHRPESPFCCIGDTWTIELRRRQQLIAEITEMIHVASLIHDDVLDDADTRRGIGSLSFVVGNKLAVLAGDFLLFRALRTLASLKNTEVVSLLVTAIENLVTGETMQMAATIEQRCSMEYYMQKTYYKTASLISNSCKAIALLSGQTPGVAKLAFEYGKNLVCKFPKVILRDKKYVLSGTTYSGIILCIWPISL >EOY27604 pep chromosome:Theobroma_cacao_20110822:6:22530701:22536216:-1 gene:TCM_029418 transcript:EOY27604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranyl diphosphate synthase 1, putative isoform 1 MTILFSRVVSRISGTPKKTFFNCPQLCQALVFGTSCRSPTDSTPKEDAVSQDKVDPFSLVAHEMSLVSKRLRSSVVAKVPELASAAGYFFKEGVEGKRTCSVVLLLMATAVDIHRPESPFCCIGDTWTIELRRRQQLIAEITEMIHVASLIHDDVLDDADTRRGIGSLSFVVGNKLAVLAGDFLLFRALRTLASLKNTEVVSLLVTAIENLVTGETMQMAATIEQRCSMEYYMQKTYYKTASLISNSCKAIALLSGQTPGVAKLAFEYGKNLGLAYQFIDDILDFTGSSASLGKGSLSDIRLGIITAPILFATEEFPQLHAVIEQGFDDPANVDTALEYLRKSRGIQRTRELATKHASLAAAAIDSLPESCSMDVRKSRQALINLTQILTARNK >EOY27413 pep chromosome:Theobroma_cacao_20110822:6:21587217:21590684:1 gene:TCM_029261 transcript:EOY27413 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MDRDSSDEDDDRQTLIHQNDTKNLPHQIPASPRPSTSPRSSFHIEELESQIRRRFKLTFNKRYLFAIFLPLLIIPIYFSTDIRSLFSSNISSLKFNTVSDRIRESQLQALYLLNQQQNSLLSLWNHTFVNSNNNITAVQFDDIKASLLTQITLNKHIQQILLSPHKTGNSPQNGTLLDPNFAGYSFDRCRKVDQKFAERKTFEWKPKPNKFLFAICLSGQMSNHLICLEKHMFFAAVLNRALVIPSSRFDYQYNRVLDIEHINGCIGKKAVIPFEEFMEIKKNHAHIDKFICYFSSPQPCYVDEEHLKKLKSLGISTGKLETAWKNEDIKKPSQKTIKDVEEKFGSDDDVIAIGDVFYADVERDWVLQPGGPIAHKCKTLIEPSKLILLTAERFIQTFLGSNFIALHFRRHGFLKFCNAKKPSCFYPIPQAADCITRMVERANTPVIYLSTDAAESETSLLQSMVVLNGKTIPLVKRPPRNSAEKWDALLYRHGLAEDPQVVEAMLDKTICAMSSVFIGAPGSTFTGDILRLRKDWGTASLCDEYLCQGEDPNFTAGEE >EOY27412 pep chromosome:Theobroma_cacao_20110822:6:21587098:21590789:1 gene:TCM_029261 transcript:EOY27412 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MDRDSSDEDDDRQTLIHQNDTKNLPHQIPASPRPSTSPRSSFHIEELESQIRRRFKLTFNKRYLFAIFLPLLIIPIYFSTDIRSLFSSNISSLKFNTVSDRIRESQLQALYLLNQQQNSLLSLWNHTFVNSNNNITAVQFDDIKASLLTQITLNKHIQQILLSPHKTGNSPQNGTLLDPNFAGYSFDRCRKVDQKFAERKTFEWKPKPNKFLFAICLSGQMSNHLICLEKHMFFAAVLNRALVIPSSRFDYQYNRVLDIEHINGCIGKKAVIPFEEFMEIKKNHAHIDKFICYFSSPQPCYVDEEHLKKLKSLGISTGKLETAWKNEDIKKPSQKTIKDVEEKFGSDDDVIAIGDVFYADVERDWVLQPGGPIAHKCKTLIEPSKLILLTAERFIQTFLGSNFIALHFRRHGFLKFCNAKKPSCFYPIPQAADCITRMVERANTPVIYLSTDAAESETSLLQSMVVLNGKTIPLVKRPPRNSAEKWDALLYRHGLAEDPQVEAMLDKTICAMSSVFIGAPGSTFTGDILRLRKDWGTASLCDEYLCQGEDPNFTAGEE >EOY25831 pep chromosome:Theobroma_cacao_20110822:6:2225781:2234604:-1 gene:TCM_027201 transcript:EOY25831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase 5 MALITSLLSKPKSLAGNPIDWVSTHRYHHQFCDSDRDPHSPIEGFWFSHMSWLFDTDTVVERCGEPTNVGDLRQQPFYKFLQGTYILHPIALGVLLYALGGFPFLVWGMGVRIVWVYHITWLVNSACHVWGKQAWNTGDLSRNNWWVALLAFGEGWHNNHHAFEYSARHGLEWWQLDMTWKRAFRKRQRSCTRRVVIEALRKLLVCTNEGHGKPSYIVTPIVEARRVTPGVVLELTSHRTPT >EOY27911 pep chromosome:Theobroma_cacao_20110822:6:23558788:23561951:-1 gene:TCM_029628 transcript:EOY27911 gene_biotype:protein_coding transcript_biotype:protein_coding description:BES1/BZR1 MTSGTRMPTWKERENNKRRERRRRAIAAKIFAGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEEDGTTYRKGCKPVDRMDIVGGSASASPCSSYHPSPCASYNPSPASSSFPSPASSHYTSNANGNGDANSLIPWLKNLTSSSSSASSKLAHHLYIAGGSISAPVTPPLSSPTSRTPRTRNEWDERTAASAWAGQRYSYLPSSTPPSPSRQGFPDSGWLSRLEIPQSGPTSPTFSLVSRNPFGFKDETLSGGGSRMWTPGQSGTCSPAFPAGVDQTSDVPMSDAIAAEFAFGSNVTGLVKPWEGEKIHEECVADDLELTLGNSKTR >EOY26041 pep chromosome:Theobroma_cacao_20110822:6:3773402:3780811:-1 gene:TCM_027424 transcript:EOY26041 gene_biotype:protein_coding transcript_biotype:protein_coding description:NRAMP metal ion transporter 6 isoform 1 MAGSNSRQPQFIASTGNQSFSNAPLIQSADTDQIVVPERKSWKNLFAYMGPGFLVSIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLAANLGVVTGKHLAEHCRAEYPRGPNFILWVLAEIAVVACDIPEVIGTAFALNMLFNIPVWIGVLLTGLSTLVLLALQQYGVRKLEIFISFLVLTIAGCFLAELGYAKPVAGEVLKGLFVPQLKGNGATGLAISLLGAMVMPHNLFLHSALVLSRRIPRSVQGIKEACRFYMIESGFALMVAFLINVSIISVSGAVCNSSNMNPEDQASCEDLDLNKASFLLRNVLGSWSSKLFGIALLASGQSSTITGTYAGQYVMQGFLDLRLKPWLRNFLTRCLAIVPSLIVAIIGGSAGAGKLIIIASMILSFELPFALIPLLKFTSSETKMGEHANSTAVSAIAWIIGSLIMGINIYYLVTSFIHFLLQSHWKLIAVVFLGIFGFVGVAIYLAAVAYLVFRPNKKATHLLALTTPDSRHMVNGSGRTSVDCLPREDIVSMQLPQRREQTEDMD >EOY26042 pep chromosome:Theobroma_cacao_20110822:6:3773055:3784471:-1 gene:TCM_027424 transcript:EOY26042 gene_biotype:protein_coding transcript_biotype:protein_coding description:NRAMP metal ion transporter 6 isoform 1 MAGSNSRQPQFIASTGNQSFSNAPLIQSADTDQIVVPERKSWKNLFAYMGPGFLVSIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLAANLGVVTGKHLAEHCRAEYPRGPNFILWVLAEIAVVACDIPEVIGTAFALNMLFNIPVWIGVLLTGLSTLVLLALQQYGVRKLEIFISFLVLTIAGCFLAELGYAKPVAGEVLKGLFVPQLKGNGATGLAISLLGAMVMPHNLFLHSALVLSRRIPRSVQGIKEACRFYMIESGFALMVAFLINVSIISVSGAVCNSSNMNPEDQASCEDLDLNKASFLLRNVLGSWSSKLFGIALLASGQSSTITGTYAGQYVMQGFLDLRLKPWLRNFLTRCLAIVPSLIVAIIGGSAGAGKLIIIASMILSFELPFALIPLLKFTSSETKMGEHANSTAVSAIAWIIGSLIMGINIYYLVTSFIHFLLQSHWKLIAVVFLGIFGFVGVAIYLAAVAYLVFRPNKKATHLLALTTPDSRHMVNGSGRTSVDCLPREDIVSMQLPQRREQTEDMD >EOY28367 pep chromosome:Theobroma_cacao_20110822:6:25151439:25156612:1 gene:TCM_029955 transcript:EOY28367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein 1 isoform 1 MAGRYDKNPFDEEEEEVNPFADPAVRGKTSGQSKFGGGLFSTNNPTAPPASNSRLSPLPPEPAGFNYDREATIDIPLDTSSGGSHYQDLKRKEKELQAKEAELRRREQEVKRKEEAAARAGIVLEEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFSTFLGLCLCLLWNIIAVTTAWIKGEGVRIWFLAVIYFIAGVPGAYVLWYRPLYRAFRKESALRFGWFFLFYLLHIGFCIFAAVAPPIVFRGKSLTGILPAVDLIDGHALVGIFYFVGFALFCVESVVSIWVMQQVYMYFRGSGKAAEMKREVARGAMRAAI >EOY28366 pep chromosome:Theobroma_cacao_20110822:6:25151472:25156589:1 gene:TCM_029955 transcript:EOY28366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein 1 isoform 1 MAGRYDKNPFDEEEEEVNPFAFNYGVSVAVYLKNFEILYGFGESFRNGDPAVRGKTSGQSKFGGGLFSTNNPTAPPASNSRLSPLPPEPAGFNYDREATIDIPLDTSSGGSHYQDLKRKEKELQAKEAELRRREQEVKRKEEAAARAGIVLEEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFSTFLGLCLCLLWNIIAVTTAWIKGEGVRIWFLAVIYFIAGVPGAYVLWYRPLYRAFRKESALRFGWFFLFYLLHIGFCIFAAVAPPIVFRGKSLTGILPAVDLIDGHALVGQKLITCCVKWDSWIFYFVGFALFCVESVVSIWVMQQVYMYFRGSGKAAEMKREVARGAMRAAI >EOY28368 pep chromosome:Theobroma_cacao_20110822:6:25151549:25155894:1 gene:TCM_029955 transcript:EOY28368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein 1 isoform 1 MAGRYDKNPFDEEEEEVNPFADPAVRGKTSGQSKFGGGLFSTNNPTAPPASNSRLSPLPPEPAGFNYDREATIDIPLDTSSGGSHYQDLKRKEKELQAKEAELRRREQEVKRKEEAAARAGIVLEEKNWPPFFPIIHHDIANEIPIHLQRLQYVAFSTFLGLCLCLLWNIIAVTTAWIKGEGVRIWFLAVIYFIAGVPGAYVLWYRPLYRAFRKESALRFGWFFLFYLLHIGFCIFAAVAPPIVFRGKSLTYVRKLFLTYLWQSLLKLDKSDLHLSVFICKYISALE >EOY28535 pep chromosome:Theobroma_cacao_20110822:6:25715489:25716308:-1 gene:TCM_030078 transcript:EOY28535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A 10 MAGRGKAIGSGAKKMGQSRSQKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKRTRIVPRHIQLAVRNDEELSRLLGTVTIANGGVLPNIHNMLLPKKTGTGSKAGGPAADD >EOY25892 pep chromosome:Theobroma_cacao_20110822:6:2582727:2583819:-1 gene:TCM_027262 transcript:EOY25892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFSFFSTTMLLDFCLAIWVFLPTIFLQDFCRSCRTSKEENSLIFVGIIHCY >EOY27072 pep chromosome:Theobroma_cacao_20110822:6:19955987:19962426:1 gene:TCM_029008 transcript:EOY27072 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein MSRYDSRSGDPTSYRDRRSDSGFGGASAYGGSMRSSSSRRDYDGAEPPRKLDLDGLTPFEKNFYVESPLVAAMSATEVEEYRQRREITVEGRDVPKPVKSFRDMGFPEYVLQEITKAGFVEPTPIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEAAKFGASSRIKNTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMLESHHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPKEVEQLARQFLYNPYKVIIGSADLKANHAIRQHVDIVPESQKYSKLVKLLEDIMDGSRILIFMDTKKGCDQITRRLRMDGWPALSIHGDKSQAERDWVLSEFKAGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELIVILEEAGQKVSPELAAMGRGAPPPPSGHGGFRDRGRGYGSSRPRS >EOY26906 pep chromosome:Theobroma_cacao_20110822:6:19004084:19010479:1 gene:TCM_028872 transcript:EOY26906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase I protein isoform 3 MPVLRSGARRGRAAAPKQQQQQQQPNPIEEGEAIATRTRRRRKAAEEAAAAAAAPVPKNNNNNNNNNDDKNKNKKQRVQGINEKLAVAAAGAAAVKVEEKNNNNRVLEEGERAEKEEVGEKAMDEFDSGGRSNDKGNAGEDEGGTAPLPEKVQVGGSPVYRIDRKLGKGGFGQVCVGRRVSAVNTNDRNGSGAVEVALKFEHRSSKGCNYGPPYEWQVYNTLGGSHGIPRVHYKGRQGDYYIMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPIGTPDEKKLFLVDLGLATRWRDSSTGLHVEYDQRPDVFRGTVRYASVHAHLGRTCSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFFVCKKKMSTSPDALCCFCPIPFRLFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPLNTEGAQKLIFQVGHKRGRLTMDEEEDEQPKKKVRMGMPATQWISVYNACRPMKQRYHYNVADGRLAQHIEKGNEDGLFISSVASCQNLWALIMDAGTGFSSQVYELSPHFLHKEWIMEQWEKNYYISAIAGATNGFSLVVMSRGTQYSQQSYKVSESFPFKWINKKWKEGFHVTSMATSGSRWGVVMSRGAGFSDQVVELDFLYPSEGIHRRWDCGYRITATAATWDQAAFVLSVPRRKPMDETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >EOY26908 pep chromosome:Theobroma_cacao_20110822:6:19004249:19010534:1 gene:TCM_028872 transcript:EOY26908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase I protein isoform 3 MPVLRSGARRGRAAAPKQQQQQQQPNPIEEGEAIATRTRRRRKAAEEAAAAAAAPVPKNNNNNNNNNDDKNKNKKQRVQGINEKLAVAAAGAAAVKVEEKNNNNRVLEEGERAEKEEVGEKAMDEFDSGGRSNDKGNAGEDEGGTAPLPEKVQVGGSPVYRIDRKLGKGGFGQVCVGRRVSAVNTNDRNGSGAVEVALKFEHRSSKGCNYGPPYEWQVYNTLGGSHGIPRVHYKGRQGDYYIMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPIGTPDEKKLFLVDLGLATRWRDSSTGLHVEYDQRPDVFRGTVRYASVHAHLGRTCSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFFVCKKKMSTSPDALCCFCPIPFRLFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPLNTEGAQKLIFQVGHKRGRLTMDEEEDEQPKKKVRMGMPATQWISVYNACRPMKQRDCGYRITATAATWDQAAFVLSVPRRKPMDETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >EOY26907 pep chromosome:Theobroma_cacao_20110822:6:19006745:19045163:1 gene:TCM_028872 transcript:EOY26907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase I protein isoform 3 MVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPIGTPDEKKLFLVDLGLATRWRDSSTGLHVEYDQRPDVFRGTVRYASVHAHLGRTCSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFFVCKKKMSTSPDALCCFCPIPFRLFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPLNTEGAQKLIFQVGHKRGRLTMDEEEDEQPKKKVRMGMPATQWISVYNACRPMKQRYHYNVADGRLAQHIEKGNEDGLFISSVASCQNLWALIMDAGTGFSSQVYELSPHFLHKEWIMEQWEKNYYISAIAGATNGFSLVVMSRGTQYSQQSYKVSESFPFKWINKKWKEGFHVTSMATSGSRWGVVMSRGAGFSDQVVELDFLYPSEGIHRRWDCGYRITATAATWDQAAFVLSVPRRKPMDETQETLRTSAFPSTHVKWAKNLYIASVCYGRTVS >EOY27634 pep chromosome:Theobroma_cacao_20110822:6:22577110:22582238:1 gene:TCM_029430 transcript:EOY27634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKDVKSMQNLGEVAPALLISHQKPSSSPRLETIAEEDCGGVRVPKRVFILLPVLLSLSFYVLLYRNRYRFKSILLTTKMNK >EOY27265 pep chromosome:Theobroma_cacao_20110822:6:20910730:20915938:-1 gene:TCM_029145 transcript:EOY27265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid developmental protein DAG isoform 2 MGKDWRSIKANSLVRSKSTQKEVQVKAASTKESQTIYQCAAILISLFNMTTIYFSIDSGSNNSVVTERAELTRVPTLVEGCDYEHWFVVMEAPKGYPLRDEIVDTYIKTLAMALGSEEEAKKSIYSVSTKYYYAFGCKGPEDLTFKLKSLPNVKWVLPDSYLVHGDNSYGGEPFLDGKVVQYDDKYHSDWIRVQNDDESKETHPKNERGRQKKK >EOY27266 pep chromosome:Theobroma_cacao_20110822:6:20911006:20915147:-1 gene:TCM_029145 transcript:EOY27266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid developmental protein DAG isoform 2 MGKDWRSIKANSLVRSKSTQKEVQIIQQRTRKKKQIHPKKMSSIFVQKLARRPLSTYLSRTLFRYFSIDSGSNNSVVTERAELTRVPTLVEGCDYEHWFVVMEAPKGYPLRDEIVDTYIKTLAMALGSEEEAKKSIYSVSTKYYYAFGCKGPEDLTFKLKSLPNVKWVLPDSYLVHGDNSYGGEPFLDGKVVQYDDKYHSDWIRVQNDDESKETHPKNERGRQKKK >EOY27189 pep chromosome:Theobroma_cacao_20110822:6:20640856:20643228:1 gene:TCM_029099 transcript:EOY27189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein MNLSAAEEESAQEIHIPADIDWEMLDKSKFFLLGAALFSGVSATLYPVVLVKTRQQVAQTQLSGIRTALSIVKHEGFRALYRGFGTSLTGTIPARALYMAALEVTKSNVGSATVKLGVPEPSAAAIANAVAGLSAAMAAQLVWTPIDVVSQRLMVQGSHPSCSSPCRYVNGIDAFRKIINTDGPKGLYRGFGISIITYAPSNAVWWASYSVAQRFVWGGIGCYFWKKDDESNENGSSNNNTIRPDSKTVMAVQGVSAALAGGVSALITMPLDTIKTRLQVLDGEENGRRGPTIAQTVRNLVKEGGWFACYRGLGPRWASMSMSATTMITTYEFLKRLSAKNQGSLM >EOY27602 pep chromosome:Theobroma_cacao_20110822:6:22525372:22529161:-1 gene:TCM_029417 transcript:EOY27602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranyl diphosphate synthase 1 isoform 3 MVVAEVPKLASAAEYFFKLGVEGKRFRPTVLLLMATALNVHIPEPTAGGVGDTLTTDLRTRQQSIAEITEMIHVASLLHDDVLDDADKRRGIGSLNAVMGNKLAVLAGDFLLSRACVSLAALKNTEVVSLIATVVEHLVTGETMQMTTSSEQRCSMEYYMQKTYYKTASLISNSCKAIALLAGQTAEVAMLAFEYGKHLGLAFQLIDDVLDFTGTSASLGKGSLSDIQHGIVTAPILFAMEEFPQLHAIVYQGFDNPENVDIALEYLGKSDGIQRTRELAMKHANLAAAAIESLPESNDEQVRKSRRALVDLTQRVIMRNK >EOY27601 pep chromosome:Theobroma_cacao_20110822:6:22524953:22530753:-1 gene:TCM_029417 transcript:EOY27601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranyl diphosphate synthase 1 isoform 3 MLFCRGFSRISRNPRTILLGFILSRPTGPSQSLLSNQYLNSTLKVCAGRETFSWSLSAFLGIGRQIHHQSSSIIEEQLDPFSLVADELSLLAKRLRSMVVAEVPKLASAAEYFFKLGVEGKRFRPTVLLLMATALNVHIPEPTAGGVGDTLTTDLRTRQQSIAEITEMIHVASLLHDDVLDDADKRRGIGSLNAVMGNKLAVLAGDFLLSRACVSLAALKNTEVVSLIATVVEHLVTGETMQMTTSSEQRCSMEYYMQKTYYKTASLISNSCKAIALLAGQTAEVAMLAFEYGKHLGLAFQLIDDVLDFTGTSASLGKGSLSDIQHGIVTAPILFAMEEFPQLHAIVYQGFDNPENVDIALEYLGKSDGIQRTRELAMKHANLAAAAIESLPESNDEQVRKSRRALVDLTQRVIMRNK >EOY27603 pep chromosome:Theobroma_cacao_20110822:6:22524952:22530361:-1 gene:TCM_029417 transcript:EOY27603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranyl diphosphate synthase 1 isoform 3 NQYLNSTLKVCAGRETFSWSLSAFLGIGRQIHHQSSSIIEEQLDPFSLVADELSLLAKRLRSMVVAEVPKLASAAEYFFKLGVEGKRFRPTVLLLMATALNVHIPEPTAGGVGDTLTTDLRTRQQSIAEITEMIHVASLLHDDVLDDADKRRGIGSLNAVMGNKLAVLAGDFLLSRACVSLAALKNTEGLAFQLIDDVLDFTGTSASLGKGSLSDIQHGIVTAPILFAMEEFPQLHAIVYQGFDNPENVDIALEYLGKSDGIQRTRELAMKHANLAAAAIESLPESNDEQVRKSRRALVDLTQRVIMRNK >EOY27263 pep chromosome:Theobroma_cacao_20110822:6:20905172:20906683:-1 gene:TCM_029143 transcript:EOY27263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKHESRSKAQRKQLQYYLTRAAVSLSRFLSWLAIYLNQLLIKLYIASPLNPCHREEGQIPLASRSWDCIPKVMKLINFSNFGYNNMMKGPPTPKLYLLH >EOY28331 pep chromosome:Theobroma_cacao_20110822:6:24968829:24994254:-1 gene:TCM_029927 transcript:EOY28331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSTVLLDRALFQLTPTRTRFDLVLFSKGKNEKLASGLFDPFISHLKFARDQISKGGYSITLQPPAPGAPWFTKATFERFVCFVSTPAVLERFVSIEREILQIERSVQANELNANVDGRQEEDVNGNTRNSTDFTKVNGELKTKDDIAREENSKIQLQWLLETRKALLRKEQAMTFARGLVAGFAMENMEHLISFADAFGASRLKEACINFKELCKEKHTDRRWMEELAAVEACLPSELPLLRTSGIVLANGISIPNPSIMSNFPINGASTGDHAPNESSEASAVDCKKDENLPASDQTPSTTTKYQVPMQWTNQMPQHMYNLQGPVQQLPPYQGYPFHPMQPVPLPYPMNMQWTPSRNQKSASRQKKKLSNGKGLEYSGEERQTESSGSGSESDSSSDVQQEDRKQSSLDPPYRRKNHKKSSRTVVIRNINYITPKRRSGEKGQFSDGSYSGEDDLIDTDSFKQTVDDAVKSLKESSKVNSSYNKRRGAEKSHHIANKSNDASHQGDSNDLDVNTSEEEKRNENWAALQNLLMRDEESMSVNEVEWKQAEDVQEHFVARNFDGEISATTPAVNLESQNVPIQRTVLGDSFVMTERDGKNETRMKLDDFNNGENYRPVMKRRDCVEVDLLHPERLEESGNKLGNLISTCANKSSVIRSGKEEDWFVGNHSVKPENQDSANNQMLFNGDHILSVESDPLYSQKSRKDILIDDSFMVAARPAVDDQDDSRWKTDISLVANLSSPSNPDGNTDASQDERKVLDSHDSNDLCMVLERNPGYDSSRDSWTMDYQIDLSFSEPNRTAASEHSDEKVPSNHENTIVKPNGVLGTKRPVKEARSKVLNGSLGKSKAEIMSKSKKPSLVSRSTIQKSKLDKEEEMRKKMEELLIERQKRIAERTAACGYASPASKKALLESKAAKSSIKSDKNKNLSTAQATNRALKTSQKSKVSKNQKEMKGLTKDSSSQPACNFILMMELRKKIITFRDIIDLPPCTTSVSTDELMLGTMKDLHKFYPESIPHFRWSELKALPFDQVLTYFCKALQDLGDASKMNDEWIDKYRYDIYDNDKSKNNEKLVEIAVATLNGLIKIAREKFDMMDEDEEKKDFSPEANTFGKVLKDSYSDNCSSCPSPVTPTSVLPELINGTPKSPYSSSLLLSLRVQAVGKLNPIDVKRLTLHMLPNVGVQVPSSLSQKKITIEEQKEDDETRDSTSQNETSEDLHSMSNNVPGDTITDHGNNTEPPKFSPNVAQTPPSSPSEKQSPELWRDMQEAEEKLPPTPPEVLTPVLPSQPEKLSTDMEADIGRLHPSPPAPSAEVLSSLPPSQPAELSTDMEIDIRQLPPPPPPPPPFFQPNVVAGRPPLPPTPLPMRQGSTKAAQPTSVATEPQLPSPPSKLTNTGATGIPIPPPPPPPAPLQSNVVATGASLPPPPPVPLQSKVVATRAQLPPPPPPLPKLSGAASVIPPPPPPMMLSKGSVPLPPPPPPPPMSMANGAVPPPPPLGAAKSLRPKKANTKLKRSSHIGNLYRVLKGKVEGYSVQGKSPDGKKGGVGRSASGKQGMADALAEMTKRSAYFQQIEEDIQKYAQSITTLRTAIITFNTKDMTKLLEFHKHVESILENLTDETQVLARFEGFPTKKLEALRTASALYSKLESMITELQNWKIEPPLGQLLDKVERYFNKMKDEIDALERTKDEESKKFKSHNIDFDFQIFLRIKEAMVDVSSNCMELALKERREVKLAENEGSKTKAEAQKKGCAKMLWRAFQLAFRVYTFAGGHDDRADKLTRELAREIETDPQHQ >EOY26925 pep chromosome:Theobroma_cacao_20110822:6:19168136:19191528:1 gene:TCM_028887 transcript:EOY26925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein MDSDANSITIITSTDTKGVETENTAAVVTESDSSDTNGNEVITVLEGNKAAKCIGRSNKGISWGYCVEQGRRSTMEDAAAVHPGIMQVSCKDVGGCTAPECKYAMEKSPVHYFGIFDGHGGDQVSSYCANELCEIVAEEWERGSSLDGWNKRWELALCKAFERADNAFKDEALAPKSVGSTALVLIISACQIIAANCGDSRAVLCRGTQAIPLTVDHKLDRADELERITSSGGRILNWGCLRVEGVLSMSRAIGDHDLKPWVISVPEVTFMTRTEEDECLILASDGLWDVLSNEEVVKLARKELRQQRRLVGVNDSTFPPAWFVSQQVLKQALDACSYDNISVIVVDLKNPRKRCQKKSFKRDVNL >EOY27450 pep chromosome:Theobroma_cacao_20110822:6:21772408:21773103:-1 gene:TCM_029295 transcript:EOY27450 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MKKLMRRLSRVKVNHSTQYSVLRSEPPDPVEPTKLRSDVPQGHFPVYVGIDQGRRFIISAEMLRHPIFVELLNRSAQEYGYEQRGVLRIPINDVVFERVLESLRQGQEPSSLDELV >EOY27295 pep chromosome:Theobroma_cacao_20110822:6:21092171:21095844:1 gene:TCM_029171 transcript:EOY27295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor family protein isoform 3 MLKLQNSLFLLSLLCFFCVFIGDASVHEYNGEKFVSKGNAFVVHGGSEGIYSSFPDPHNVTAVGGDSYIRFEKISFRRPKEFANFSSQSIQAVVFEVEDRETIGGSAYGGQRAVCCTADLAKLGVCLEGEVIYRPSTENPTWPKVFGISFNGDEEVATLPSKSVQITKTGMYNLYFIHCDLNLRDLTVEGKTVWKNPTGYLPGRMAPLMNFYGFMSLAFVILGIFWFSQYARFWREVLPLQNCITLVITLGMLEMALWYFDYAEFNESGIRPVGITMWAVTFGTIKRTIARIIILMVSMGYGVVRPTLGGLTSKVIMLGATFFLASEVLELVENVGAVSDLSGKARLFLVLPVAKMMSTMSSGRMPGSSQPSGKSYLSLCYVSSVFFGHPLRIQCGMLTLMKQMKILTKMILL >EOY27294 pep chromosome:Theobroma_cacao_20110822:6:21092248:21095199:1 gene:TCM_029171 transcript:EOY27294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor family protein isoform 3 MLKLQNSLFLLSLLCFFCVFIGDASVHEYNGEKFVSKGNAFVVHGGSEGIYSSFPDPHNVTAVGGDSYIRFEKISFRRPKEFANFSSQSIQAVVFEVEDRETIGGSAYGGQRAVCCTADLAKLGVCLEGEVIYRPSTENPTWPKVFGISFNGDEEVATLPSKSVQITKTGMYNLYFIHCDLNLRDLTVEGKTVWKNPTGYLPGRMAPLMNFYGFMSLAFVILGIFWFSQYARFWREVLPLQNCITLVITLGMLEMALWYFDYAEFNESGIRPVGITMWAVTFGTIKRTIARIIILMVSMGYGVVRPTLGGLTSKVIMLGATFFLASEVLELVENVGAVSDLSGKARLFLVLPVAILDAFFILWIFTSLSATLNKLQARRMVVKLDIYRKFTNALAVAVIVSVGWICYGGRMPGSSQPSGKSYLSLCYVSSVFFGHPLRIQC >EOY27293 pep chromosome:Theobroma_cacao_20110822:6:21092248:21096113:1 gene:TCM_029171 transcript:EOY27293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor family protein isoform 3 MLKLQNSLFLLSLLCFFCVFIGDASVHEYNGEKFVSKGNAFVVHGGSEGIYSSFPDPHNVTAVGGDSYIRFEKISFRRPKEFANFSSQSIQAVVFEVEDRETIGGSAYGGQRAVCCTADLAKLGVCLEGEVIYRPSTENPTWPKVFGISFNGDEEVATLPSKSVQITKTGMYNLYFIHCDLNLRDLTVEGKTVWKNPTGYLPGRMAPLMNFYGFMSLAFVILGIFWFSQYARFWREVLPLQNCITLVITLGMLEMALWYFDYAEFNESGIRPVGITMWAVTFGTIKRTIARIIILMVSMGYGVVRPTLGGLTSKVIMLGATFFLASEVLELVENVGAVSDLSGKARLFLVLPVAILDAFFILWIFTSLSATLNKLQARRMVVKLDIYRKFTNALAVAVIVSVGWICYELYFKSNDVYNEQWQNAWIIPAFWYAYSDEANEDFDKDDTTLTLIQPSPTPSKDFRSAPEASPVQGGNRASNGDLEEDKTE >EOY27296 pep chromosome:Theobroma_cacao_20110822:6:21092171:21095726:1 gene:TCM_029171 transcript:EOY27296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor family protein isoform 3 MLKLQNSLFLLSLLCFFCVFIGDASVHEYNGEKFVSKGNAFVVHGGSEGIYSSFPDPHNVTAVGGDSYIRFEKISFRRPKEFANFSSQSIQAVVFEVEDRETIGGSAYGGQRAVCCTADLAKLGVCLEGEVIYRPSTENPTWPKVFGISFNGDEEVATLPSKSVQITKTGMYNLYFIHCDLNLRDLTVEGKTVWKNPTGYLPGRMAPLMNFYGFMSLAFVILGIFWFSQYARFWREVLPLQNCITLVITLGMLEMALWYFDYAEFNESGIRPVGITMWAVTFGTIKRTIARIIILMVSMGYGVVRPTLGGLTSKVIMLGATFFLASEVLELVENVGAVSDLSGKARLFLVLPVAISLLASLIFLSAMCHLCSLGTLSEFNAVCLL >EOY27292 pep chromosome:Theobroma_cacao_20110822:6:21092231:21096500:1 gene:TCM_029171 transcript:EOY27292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor family protein isoform 3 MLKLQNSLFLLSLLCFFCVFIGDASVHEYNGEKFVSKGNAFVVHGGSEGIYSSFPDPHNVTAVGGDSYIRFEKISFRRPKEFANFSSQSIQAVVFEVEDRETIGGSAYGGQRAVCCTADLAKLGVCLEGEVIYRPSTENPTWPKVFGISFNGDEEVATLPSKSVQITKTGMYNLYFIHCDLNLRDLTVEGKTVWKNPTGYLPGRMAPLMNFYGFMSLAFVILGIFWFSQYARFWREVLPLQNCITLVITLGMLEMALWYFDYAEFNESGIRPVGITMWAVTFGTIKRTIARIIILMVSMGYGVVRPTLGGLTSKVIMLGATFFLASEVLELVENVGAVSDLSGKARLFLVLPVAILDAFFILWIFTSLSATLNKLQARRMVVKLDIYRKFTNALAVAVIVSVGWICYELYFKSNDVYNEQWQNAWIIPAFWQVLSFSLLCVICVLWAPSQNSMRYAYSDEANEDFDKDDTTLTLIQPSPTPSKDFRSAPEASPVQGGNRASNGDLEEDKTE >EOY27628 pep chromosome:Theobroma_cacao_20110822:6:22564142:22565644:1 gene:TCM_029424 transcript:EOY27628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSLECRILVTCLESSMSGGAWLDSPYLKESVYLCLGFGTFSEITQRSPSGHELPVCMHVITVHRAGSPYLKDYDFDSRLDAFLAGSTGGHGNFLLNC >EOY27657 pep chromosome:Theobroma_cacao_20110822:6:22666340:22667459:-1 gene:TCM_029450 transcript:EOY27657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSHCTQMKRISGSWTYMIISYHFLSKLSHPNLLFLLLFFCSPPTWKLEKHANEQRKPNRYACTAQFHNNDAIYQNREAGCHYQTARVIKKSGRRDSDPPTR >EOY27179 pep chromosome:Theobroma_cacao_20110822:6:20564214:20566300:-1 gene:TCM_029091 transcript:EOY27179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKVKEKSNKLKTPNDIGLVARHAAYVAVMRNAKTANRDGFESLVKAYIEQLMQCTRRNMFYVKYYISCSIFWSDKGKLVSSQGPDIVEEVLNFLISDEVLLSYTLSRFTPQFAMVTVTSKLVQFRDQAIVCGLAGISLEGSEIAWGWLKVCSFCKIG >EOY28527 pep chromosome:Theobroma_cacao_20110822:6:25687867:25694438:-1 gene:TCM_030070 transcript:EOY28527 gene_biotype:protein_coding transcript_biotype:protein_coding description:E,E-alpha-farnesene synthase, putative MSPKTTHYTPQLAVRYLHALRTDENERSRLFKPQGFRSWPFNTLSTDHPNDVIVSHATIFRLRLTANKKSRSSLAIPFCKLILSTQKPSVFIQTHKVIAMDDSVNQLLAEHQVVNYQLKSEAFDVIKQRRSANYKPNIWKYDFLQSLRSKYDGDEYKRRAEKLREKGKDLFVEAVEELAKLELIDMIRKLGLADLFAEEMQKTLQAVASSKKRKNSEEEEDLYITALRFRILRLHDYEVSQDVFNSFLDDKGKFSKSKSTEIKGLLELFEASYLAFEGESILDDAKTFATETLRNIYSTLDGNLAKEVAHALELSTHWRVQWFDVKWRITMYENNKNTDETLLELAKLNFNTVQATLQKDLSDISRWWKNLALMEHLDFTRDRLAESFLCAVGLAYEPRYSCFRKRLTKITTMILIIDDVYDVYGSLEELEQFTKAVDSWDTSKIQQLPESMKICFQALYDITNEIAYDIQEHNHPDVQALLHLRKAWAGFCKALFVESKWYNEGYFPSLQEYLSNALISSGGTVISVHSMLSVEHNIADDMVNLLGKNHDLVHNVSIIIRLCNDLGTSAAEKERGDAPSSILCYMREVDVSEEEGQEHIKDTITGAWKKINSQCLSSPSPLQQSFVKVTANVARMVHCLYQFGDGFGIQDRETRRHILSLLIEPFKLD >EOY28687 pep chromosome:Theobroma_cacao_20110822:6:26248858:26249845:1 gene:TCM_030219 transcript:EOY28687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKGRRLTTSRSERFLGSYSYGHSLGDAVTDEPELGEEDVWSMVDNVAERDDQNVNNSQSEWSPRAEAENNGNGNVDVRGGRRRVPRGDRHVGGLSLAFEDSSSTKPRIVHQFRGHDGMAAAASPRGHHMATSAPVNVPDWSKIYRVDSVESIHDSDDGLDDGDSEMVPPHEYLAREYARSKKMGGASVFEGVGRTLKGRDLRRVRDAVWSQTGFDG >EOY28029 pep chromosome:Theobroma_cacao_20110822:6:23975518:23976171:-1 gene:TCM_029713 transcript:EOY28029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGEALGGQILPNPIQVGQICCTVALGSYIFVCCRPDSLQFKPTASKIVVSPNILWLARGKANVAGNFNFRDQKTKWFLSFELNT >EOY28178 pep chromosome:Theobroma_cacao_20110822:6:24464402:24469526:-1 gene:TCM_029818 transcript:EOY28178 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein MAAYPYKMDPQSAAEKAVSVIGFGYDLSNDIRLSACKPGPSGSRLIELDSAGSRDVVFPGGVVVKNVLNSIKCDKGERTRFRSDVLSFNQMSERFNQDLSLSGKIPVGLFNAMFEFKGCWQKDATSTKTLALDGWFITLYNVELERTHLTLSERVKREVPTTWDPAALAEFIEKYGTHVVVGVKMGGKDVIHVKQLQNSNLPPNEVQKNLKQLADERFSEGVNIPEYSGKPKEEHYMPWDQEGVLAAAIRPPVVTCSKNKDILNIYIRRGGIDFGQSHSQWLSTISQSPNVISMSFVPITSLLGGARGNGFLSHAVNLYLRYKPPIEELHVFLEFQLFRQWAPVYGDLPLSLKRRKQGSPSLQFTFMGPKLYVNTTRVDTGNRPVTGIRLYLEGKKSDHLAIHLQHLSTLPTILQLLDDHSYEPSEEPERGYLEPVKWSIFSHVCTAPVEHRGSRFDDTASIVTKAWFEVKAVGMKKVLFLRLGFSMVALARIRRSEWDGPSTLSRKSGVFSMLISTRFSSGLNPPEKPLKVDLNSAVFPGGPPSPTKAPKMANFVDTKEMVRGPEDPPGYWVVTGAKLCVEGGRISIKAKYSLLTIMSEESMMLM >EOY27650 pep chromosome:Theobroma_cacao_20110822:6:22625422:22628386:-1 gene:TCM_029441 transcript:EOY27650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14p/L23e family protein, putative MKNFRMKMRLMQPWAQTQCPSSSPPPKSQRLKGLGFFLVQSFSFSPVKVGELITTMAASFASRCSGVGRSLLGGLVNNFSKLPSTSSEITSGSFLSQQQRTFIQMRTVLKVVDNSGAKKVMCIQALKGKKGARLGDTIVASVKEAMPNGKVKKGKVVYGVVVRAAMQRGRCDGSEVKFDDNAVVLVDKQGQPIGTRVFGPVPHELRQKKHVKILTLAAHIA >EOY25967 pep chromosome:Theobroma_cacao_20110822:6:3073411:3100633:-1 gene:TCM_027335 transcript:EOY25967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTILPKIVHSHNPSCTKTAKSSPKSGQEHHHHHHQRQQEHPKPKRKESNNIKIDMSSLQTTMTSNFSSNCDEFRWVINIRRFLDEELEDDIEIPVSIFNVPKALMSSSPDCYTPQQVGISPYHYWRPDLYEMERYKLAAAKRTQKQLQCPTFEDLVEQLEQVDPRIRACYHKYLDLDSETLAWMMAISTSFLLEFLQIYVFHEGKTLSSEVCSRMSHLVDHAGAKSAHNSILRDIMMLENQIPLFVLRKVLEVQFSSLEAADDLSLLMLRGLCRELSPFKMMKNLPKIDISKCPHVLDFLYNMIVPKLEEQSEPIQQPEDQNKETTKDKQSNPVLDSSYAKWLLSEIWKMFSTMNCAPTRLIKAALKSGPVRLILNLPWKILSNLPGFSILRKPIEYLCFIKEKEEQVISSASNFSIHKPPLIEEIAIPSVADLSKAGVRILPTNGNISTISFDVTTVTLYLPIVCLDINTEVVLRNLVAYEASNASGPLVFTRYTELMNGIIDTEEDVKLLRERGIILNHLKSDKEAADMWNGMSKSIRLTKVPFLDKVIEDVNKYHNGRWNVKATDFFKQYVYGSWQFLTLLAAIMLLFLMTFQAFCSVYSCSQIFRIQTSD >EOY26055 pep chromosome:Theobroma_cacao_20110822:6:4068172:4070119:-1 gene:TCM_027447 transcript:EOY26055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSIVDQTTSSLNTLVQNSVNVANRETAHTRAVKCYESEDILRALDNCISRCETIINHEVWSNFERVEDNVEQLGFDTTYASSSNSVCIVNGDTAHIGAVYLDDTSQLRVSNPHSKTHLNLEVFSRQLEKSYLKHTYNACGEPDEDLLCKETTRQRGHCLNQVGENVIVYRSVTSPTPYE >EOY27174 pep chromosome:Theobroma_cacao_20110822:6:20547253:20550344:1 gene:TCM_029087 transcript:EOY27174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C 16 isoform 2 MEEMSPAVAVTLSLANSMCENSGIATHVEITRIKLVTDAANLLSDPAKVMSQESVSSSNRDSGVGKNEGNLATMSASEEGGGEGANFLKILPENGNRSIGASESMTQESEEDEILSVDANGIINEGLLVLNAGSDISLPNAEIESGRILAKAIILGESSIEQVPTAEVLLTTVNPDTKTSNEFDLKASELVIQLPSEKNLNRGSRSVFELDCIPLWGSVSIVGKRTEMEDAVAAVPRFIKIPIKMLIGDRVIDGISQSLTDLTGHFFGVYDGHGGSQVANYCRDRIHVALAEEIGSIKGNLCDGTSMESRQVRWEKTFTSCFLKVDDEIGGNVNRGMIGGDEDASDASFEPVAPETVGSTAVVALVCSSHIVVANCGDSRAVLCRGKEAMALSIDHKPNRDDEYARIEASGGKVIQWKGHRVFGVLAMSRSIGDRYLKPWI >EOY27173 pep chromosome:Theobroma_cacao_20110822:6:20547256:20551112:1 gene:TCM_029087 transcript:EOY27173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C 16 isoform 2 MEEMSPAVAVTLSLANSMCENSGIATHVEITRIKLVTDAANLLSDPAKVMSQESVSSSNRDSGVGKNEGNLATMSASEEGGGEGANFLKILPENGNRSIGASESMTQESEEDEILSVDANGIINEGLLVLNAGSDISLPNAEIESGRILAKAIILGESSIEQVPTAEVLLTTVNPDTKTSNEFDLKASELVIQLPSEKNLNRGSRSVFELDCIPLWGSVSIVGKRTEMEDAVAAVPRFIKIPIKMLIGDRVIDGISQSLTDLTGHFFGVYDGHGGSQVANYCRDRIHVALAEEIGSIKGNLCDGTSMESRQVRWEKTFTSCFLKVDDEIGGNVNRGMIGGDEDASDASFEPVAPETVGSTAVVALVCSSHIVVANCGDSRAVLCRGKEAMALSIDHKPNRDDEYARIEASGGKVIQWKGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAREDECLILASDGLWDVISNEEACEVARRRILLWHKKNGVPSLVERGKGIDPAAQAAAEYLSMLAVQKGSSDNISVIVVDLKAQRKFKSKP >EOY27175 pep chromosome:Theobroma_cacao_20110822:6:20547253:20550344:1 gene:TCM_029087 transcript:EOY27175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C 16 isoform 2 MEEMSPAVAVTLSLANSMCENSGIATHVEITRIKLVTDAANLLSDPAKVMSQESVSSSNRDSGVGKNEGNLATMSASEEGGGEGANFLKILPENGNRSIGASESMTQESEEDEILSVDANGIINEGLLVLNAGSDISLPNAEIESGRILAKAIILGESSIEQVPTAEVLLTTVNPDTKTSNEFDLKASELVIQLPSEKNLNRGSRSVFELDCIPLWGSVSIVGKRTEMEDAVAAVPRFIKIPIKMLIGDRVIDGISQSLTDLTGHFFGVYDGHGGSQVANYCRDRIHVALAEEIGSIKGNLCDGTSMESRQVRWEKTFTSCFLKVDDEIGGNVNRGMIGGDEDASDASFEPVAPETVGSTAVVALVCSSHIVVANCGDSRAVLCRGKEAMALSIDHKPNRDDEYARIEASGGKVIQWKGHRVFGVLAMSRSIGDRYLKPWI >EOY27176 pep chromosome:Theobroma_cacao_20110822:6:20547253:20550353:1 gene:TCM_029087 transcript:EOY27176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C 16 isoform 2 MEEMSPAVAVTLSLANSMCENSGIATHVEITRIKLVTDAANLLSDPAKVMSQESVSSSNRDSGVGKNEGNLATMSASEEGGGEGANFLKILPENGNRSIGASESMTQESEEDEILSVDANGIINEGLLVLNAGSDISLPNAEIESGRILAKAIILGESSIEQVPTAEVLLTTVNPDTKTSNEFDLKASELVIQLPSEKNLNRGSRSVFELDCIPLWGSVSIVGKRTEMEDAVAAVPRFIKIPIKMLIGDRVIDGISQSLTDLTGHFFGVYDGHGGSQVANYCRDRIHVALAEEIGSIKGNLCDGTSMESRQVRWEKTFTSCFLKVDDEIGGNVNRGMIGGDEDASDASFEPVAPETVGSTAVVALVCSSHIVVANCGDSRAVLCRGKEAMALSIDHKPNRDDEYARIEASGGKVIQWKGHRVFGVLAMSRSIDI >EOY29010 pep chromosome:Theobroma_cacao_20110822:6:27104980:27109839:1 gene:TCM_030452 transcript:EOY29010 gene_biotype:protein_coding transcript_biotype:protein_coding description:T28K15.11 protein, putative isoform 2 MVHIAHGFAMYLNSRVFSFLNRYSSTSFMYVVVFHTISCIMQEDNDHGNPTALAQVSFRGNSLINWLPKTKMVNIRRVNSERLPKSLGSPRAEVGEIDTRVPFQSVKAAVSLFGEVAVSRERRTPRKSRLSAENVIDKETQLLLAQKEINNIKQKLESDESTKAKADFDLESAKRTLQDLTSKLETITQSKRSAIEATEAVKEQARQLELQKSKNHQESNARKMELEYAREQYMAVATELDAAKQELNEIRQDFDAALEAKLAAFQQAAEAQRCSKMHAERVSELSKEISGMKEAIQQVKLATQQVYQEQANIAEEKDALQKSYKKAKEETENKLISSRKEYDPELTKYLEEKLMETTAEVEALQEEMKKAHALEMDSVRVITGELNEATTTLQQVADEECSLRNLVSSLGLELEEVKRERQAEMDKEAKTVAEQNELREHNFRLQQLSSEIENARREEQEMKKSTEELKIEAETAKVAAEEVQQKLERALEQAEEAKAAEKKAVDEMQVLSAKEDIGNTESSGKIIISMEEFESLNRKVVESGDMADRQIADAMAELEAINARKSETEKRLEASLKAMEEIKAATELAEKSATMAEAAQGVIEGELKRRRQQEQMVAP >EOY29011 pep chromosome:Theobroma_cacao_20110822:6:27107822:27110462:1 gene:TCM_030452 transcript:EOY29011 gene_biotype:protein_coding transcript_biotype:protein_coding description:T28K15.11 protein, putative isoform 2 MQEDNDHGNPTALAQVSFRGNSLINWLPKTKMVNIRRVNSERLPKSLGSPRAEVGEIDTRVPFQSVKAAVSLFGEVAVSRERRTPRKSRLSAENVIDKETQLLLAQKEINNIKQKLESDESTKAKADFDLESAKRTLQDLTSKLETITQSKRSAIEATEAVKEQARQLELQKSKNHQESNARKMELEYAREQYMAVATELDAAKQELNEIRQDFDAALEAKLAAFQQAAEAQRCSKMHAERVSELSKEISGMKEAIQQVKLATQQVYQEQANIAEEKDALQKSYKKAKEETENKLISSRKEYDPELTKYLEEKLMETTAEVEALQEEMKKAHALEMDSVRVITGELNEATTTLQQVADEECSLRNLVSSLGLELEEVKRERQAEMDKEAKTVAEQNELREHNFRLQQLSSEIENARREEQEMKKSTEELKIEAETAKVAAEEVQQKLERALEQAEEAKAAEKKAVDEMQVLSAKEDIGNTESSGKIIISMEEFESLNRKVVESGDMADRQIADAMAELEAINARKSETEKRLEASLKAMEEIKAATELAEKSATMAEAAQGVIEGELKRRRQQEQMVAP >EOY26118 pep chromosome:Theobroma_cacao_20110822:6:4537877:4541982:1 gene:TCM_027518 transcript:EOY26118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFTVAATSSNVSGSTVDILVLVFSSSLCLIQVAKVAEILAEYGTKPHEYAPIVNALRKRPQAWFELGLEKPETKKSITDRIHHCYC >EOY28118 pep chromosome:Theobroma_cacao_20110822:6:24283996:24286717:1 gene:TCM_029778 transcript:EOY28118 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase A1F MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVATEDAKSFAERENTFFMETSALESLNVENAFTEVLTQIYRVVSRKALDIGDDPAALPKGQTINVGSKDDVSAVKKVGCCSA >EOY25595 pep chromosome:Theobroma_cacao_20110822:6:583713:586365:1 gene:TCM_026977 transcript:EOY25595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer epsilon subunit MSLSGPNSQMATATSISSMSGSSMMHALNVQIFIKMHRSDYAEKQIRVMQQIDEDHTLTQLANAWLNLAVGGSKIQEAYLIFQDFSEKYPMTGLILNGKAVCCMHMGNFDEAETLLLEALNKASLNCGYFFILSRFKLIDAKDPETLANLVVCSLHLGKSSSRYLSEIHVSYPSHKKKKKKILVHHENKQHNHMQFV >EOY26788 pep chromosome:Theobroma_cacao_20110822:6:17858774:17867437:-1 gene:TCM_028745 transcript:EOY26788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain,Phox-like,Sorting nexin isoform 3 MNRSKQVTARDLVEEAKKRIVILAICVVGLSYLMSLTSSSVLVNLPAAAALIILLRYFSLDYEMRRKAAVYNSKPASTNALNTKQPPEYLKAVERSDWRRKVNSPVVEDAIDHFTRHLISEWVTDLWYSRLTPDREGPEELVQIMNGVLGEFSDRMRNINLIELLTRDFINLICSHLELFRLNQAKIEKQKSGPLTIKDRDTEIRCVLAAENKLHPALFSAEAEHKVLQHLMDGLISFTFRPEDLQCSFFRYIVRELLACAVMRPVLNLVSPRFINERIESAVISMTKAKGGFNAAQDASQHKPNGSSRISSDHFSKFLDPSVTGVELVQLKTDQPRAAGGTAAADNLNGTHLSKDPLLSLDTRSSRSWSSVPLNSQTGVEGGIQRHRSGGEWGAMLDLISRRKTEALAPENFENMWTKGRNYKKKEGEKRLIEQVPQHSSIRNAATMDHSKAVSKTREKYPIKHNSSESSASQSALTDQRKIEKSFPHEPKSVSYCSSVASYQEDDEHSLVDLEEVESESSDSFTSEEEETGNVTGLDSPGTKVWDGKSNRNLTVSHIHHPLENPEGHMAKKAGGRRVRYQRLTRTPSSRKRSRLTSQKLPVWQEVERTSFLSGDGQDILNSLNGHGKADDSSDDSDAEFFGRVHSGATASSSAASISISESRSLTANSLQNSLVVDSFFKLRCEVLGANIVKSGSRMFAVYSISVTDVNNNNSWSIKRRFRHFEELHQRLKQFPDYKLHLPPKHFLSTGLDVYVIRERCKWLDGYLKKLLQLPTISGSIEVWDFLSVDSQTYVFSNSFSIVETLSVDLDDNPSEKIKKASNVMGPLMGSLSSRREQLDTGSKEPALQMKLNLATDGLRNAKDISYSPSKFPTKERGKSLEESGSDSDTRLQNNSVVRDMGKNAKGKENKRTEDTSELLLDAATYPILPTEWVPPNLSVPILDLVDVIFQLQDGGWIRRKAFWVAKQILQLGMGDAFDDWLIEKIQLLRKGSVVASGIKRIEQILWPDGIFITKHPKRQRPPSSSRPSQASPRSPQSPEISSPRFSDEQQKLEAERRAKFVYELMIDNAPTAIVGLVGRKEYEQCAKDLYFFIQSSVCLKLLAYDLVELLLLSAFPEMEYVFKQLHEEKHKFGEFKAE >EOY26790 pep chromosome:Theobroma_cacao_20110822:6:17861666:17866921:-1 gene:TCM_028745 transcript:EOY26790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain,Phox-like,Sorting nexin isoform 3 MNRSKQVTARDLVEEAKKRIVILAICVVGLSYLMSLTSSSVLVNLPAAAALIILLRYFSLDYEMRRKAAVYNSKPASTNALNTKQPPEYLKAVERSDWRRKVNSPVVEDAIDHFTRHLISEWVTDLWYSRLTPDREGPEELVQIMNGVLGEFSDRMRNINLIELLTRDFINLICSHLELFRLNQAKIEKQKSGPLTIKDRDTEIRCVLAAENKLHPALFSAEAEHKVLQHLMDGLISFTFRPEDLQCSFFRYIVRELLACAVMRPVLNLVSPRFINERIESAVISMTKAKGGFNAAQDASQHKPNGSSRISSDHFSKFLDPSVTGVELVQLKTDQPRAAGGTAAADNLNGTHLSKDPLLSLDTRSSRSWSSVPLNSQTGVEGGIQRHRSGGEWGAMLDLISRRKTEALAPENFENMWTKGRNYKKKEGEKRLIEQVPQHSSIRNAATMDHSKAVSKTREKYPIKHNSSESSASQSALTDQRKIEKSFPHEPKSVSYCSSVASYQEDDEHSLVDLEEVESESSDSFTSEEEETGNVTGLDSPGTKVWDGKSNRNLTVSHIHHPLENPEGHMAKKAGGRRVRYQRLTRTPSSRKRSRLTSQKLPVWQEVERTSFLSGDGQDILNSLNGHGKADDSSDDSDAEFFGRVHSGATASSSAASISISESRSLTANSLQNSLVVDSFFKLRCEVLGANIVKSGSRMFAVYSISVTDVNNNNSWSIKRRFRHFEELHQRLKQFPDYKLHLPPKHFLSTGLDVYVIRERCKWLDGYLKKLLQLPTISGSIEVWDFLSVDSQTYVFSNSFSIVETLSGDLDLIQ >EOY26791 pep chromosome:Theobroma_cacao_20110822:6:17859809:17867290:-1 gene:TCM_028745 transcript:EOY26791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain,Phox-like,Sorting nexin isoform 3 MNRSKQVTARDLVEEAKKRIVILAICVVGLSYLMSLTSSSVLVNLPAAAALIILLRYFSLDYEMRRKAAVYNSKPASTNALNTKQPPEYLKAVERSDWRRKVNSPVVEDAIDHFTRHLISEWVTDLWYSRLTPDREGPEELVQIMNGVLGEFSDRMRNINLIELLTRDFINLICSHLELFRLNQAKIEKQKSGPLTIKDRDTEIRCVLAAENKLHPALFSAEAEHKVLQHLMDGLISFTFRPEDLQCSFFRYIVRELLACAVMRPVLNLVSPRFINERIESAVISMTKAKGGFNAAQDASQHKPNGSSRISSDHFSKFLDPSVTGVELVQLKTDQPRAAGGTAAADNLNGTHLSKDPLLSLDTRSSRSWSSVPLNSQTGVEGGIQRHRSGGEWGAMLDLISRRKTEALAPENFENMWTKGRNYKKKEGEKRLIEQVPQHSSIRNAATMDHSKAVSKTREKYPIKHNSSESSASQSALTDQRKIEKSFPHEPKSVSYCSSVASYQEDDEHSLVDLEEVESESSDSFTSEEEETGNVTGLDSPGTKVWDGKSNRNLTVSHIHHPLENPEGHMAKKAGGRRVRYQRLTRTPSSRKRSRLTSQKLPVWQEVERTSFLSGDGQDILNSLNGHGKADDSSDDSDAEFFGRVHSGATASSSAASISISESRSLTANSLQNSLVVDSFFKLRCEVLGANIVKSGSRMFAVYSISVTDVNNNNSWSIKRRFRHFEELHQRLKQFPDYKLHLPPKHFLSTGLDVYVIRERCKWLDGYLKKLLQLPTISGSIEVWDFLSVDSQVDFYFPFFFERKNSCSFLFYFLGREVGREAVSDFFPFMYLLLYALCNADICILKFFFYRRNIVS >EOY26789 pep chromosome:Theobroma_cacao_20110822:6:17859809:17867290:-1 gene:TCM_028745 transcript:EOY26789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain,Phox-like,Sorting nexin isoform 3 MNRSKQVTARDLVEEAKKRIVILAICVVGLSYLMSLTSSSVLVNLPAAAALIILLRYFSLDYEMRRKAAVYNSKPASTNALNTKQPPEYLKAVERSDWRRKVNSPVVEDAIDHFTRHLISEWVTDLWYSRLTPDREGPEELVQIMNGVLGEFSDRMRNINLIELLTRDFINLICSHLELFRLNQAKIEKQKSGPLTIKDRDTEIRCVLAAENKLHPALFSAEAEHKVLQHLMDGLISFTFRPEDLQCSFFRYIVRELLACAVMRPVLNLVSPRFINERIESAVISMTKAKGGFNAAQDASQHKPNGSSRISSDHFSKFLDPSVTGVELVQLKTDQPRAAGGTAAADNLNGTHLSKDPLLSLDTRSSRSWSSVPLNSQTGVEGGIQRHRSGGEWGAMLDLISRRKTEALAPENFENMWTKGRNYKKKEGEKRLIEQVPQHSSIRNAATMDHSKAVSKTREKYPIKHNSSESSASQSALTDQRKIEKSFPHEPKSVSYCSSVASYQEDDEHSLVDLEEVESESSDSFTSEEEETGNVTGLDSPGTKVWDGKSNRNLTVSHIHHPLENPEGHMAKKAGGRRVRYQRLTRTPSSRKRSRLTSQKLPVWQEVERTSFLSGDGQDILNSLNGHGKADDSSDDSDAEFFGRVHSGATASSSAASISISESRSLTANSLQNSLVVDSFFKLRCEVLGANIVKSGSRMFAVYSISVTDVNNNNSWSIKRRFRHFEELHQRLKQFPDYKLHLPPKHFLSTGLDVYVIRERCKWLDGYLKKLLQLPTISGSIEVWDFLSVDSQTYVFSNSFSIVETLSVDLDDNPSEKIKKASNVMGPLMGSLSSRREQLDTGSKEPALQMKLNLATDGLRNAKDISYSPSKFPTKERGKSLEESGSDSDTRLQNNSVVRDMGKNAKGKENKRTEDTSELLLDAATYPILPTEWVPPNLSVPILDLVDVIFQLQDGGWIRRKAFWVAKQILQLGMGDAFDDWLIEKIQLLRKGSVVASGIKRIEQILWPDGIFITKHPKRQRPPSSSRPSQASPRSPQSPEISSPRFSDEQQKLEAERRAKFVYELMIDNAPTAIVGL >EOY28416 pep chromosome:Theobroma_cacao_20110822:6:25286368:25289982:1 gene:TCM_029987 transcript:EOY28416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative MFEPIAMASVEIGNLEKRRKKNETESLGDHGHSPHPGNVQGNSSFNKVTKIQAILALWLYRSSEDTNQRIAFDFESSGRHLGTGGQDGLVHIYDLQTGQWVSGFQVAADTVNGFSIQPFLPMATTSSGHRRFQMPEDDNEDLHLRGDENCASVWGFSYDFTAGEQC >EOY26078 pep chromosome:Theobroma_cacao_20110822:6:4262187:4265841:-1 gene:TCM_027468 transcript:EOY26078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MLMALLSLARRLQRTHSQILFPFLLHHPAAISSPSPSPSTQQLLFPSLAFHQTLFISSRTLLFTSRFSTLQSLSTQTLNYPFEFTPPAIHGPDSQEQALLHLLKRVAHFSSEAEAMASLDESGIKATQDLVYSVIGTLREEWRLAFLAFKWGEKCGNTGENTYELMIWVLGNHRKFNMAWCLIRDLFRSSMDTRRAMFIMIDRYAAASDPCKAIQTFHTMEKFRMTPDEEAFRTLLNALCRYGYVEEAEEIMLQNKKLFPLETDGFNIVLNGWCNILVDVVEAKRVWREMSKYCIMPNGTSYTHMISCFSKDGNLFDSLRLYNEMKKRGWDPGIEVYKSLVYVLTRENCLNEAQNILKKMKETGLQPDSATYNSMIRPLCEAEKLEEARNILSTMKEENLSPTIETYHAFLHGVGFEGTLEVFNRMKVANLGPTRDTFLLVLGKFFKMEQPEQALKIWAEMKHFEVLPDSSHYIALVEGLVTSGWLDKAREYYDEMRSYGFWDDPKLKKQLEEPKQCSGSKRQRGPREGKRSKKVNLWKGNKVRRKEEVNANKK >EOY27267 pep chromosome:Theobroma_cacao_20110822:6:20942547:20950834:-1 gene:TCM_029149 transcript:EOY27267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein METPSWASYLAAWLATIALVLLSLRLRRRRKLNLPPGPKPWPIIGNLNLIGSLPHRSIHALSQKYGPIMQLRFGSFPVIVGSSVEMAKAILRTHDVAFAGRPKIAAGKYTTYNYSDITWSPYGPYWRQARKMCLTELFSAKRLESYEYIRREEMNLLLKGLCNLSGSPICLKDHLSSLSLNVISRMVLGKKYTEGTGENEIVTPKEFKEMLDELFLLNGVLDIGDSIPWLSFLDLQGYIKRMKALSKKFDRFLEHVLDEHNARRKGVKDYVAKDMVDVLLQLADDPHLDVKLERHGVKAFSQDLIAGGTESSAVTVEWAISELLKKPEIFAKATEELDRVIGRDRWVEENDIANLPYVNSIAKETMRLHPVAPMLVPRLAREDCQLAGYDIPKDTRVLVNVWTIGRDPTLWDNPDEFCPDRFIGKAIDVKGHDFELLPFGAGRRMCPGYPLGIKVIQASLANLLHGFTWKLPGNMAKEDLNMEEIFGLSTPKKFPLEAVAQPRLPLHMYSQ >EOY25965 pep chromosome:Theobroma_cacao_20110822:6:3054312:3056777:-1 gene:TCM_027333 transcript:EOY25965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF247) [Source:Projected from Arabidopsis thaliana (AT3G02645) TAIR;Acc:AT3G02645] MSSVQNTMSSSSNANFDERRWVINIRRTLEAELEDDNEIPVSIFNVPKTLLSSDPDSYTPQLVAIGPYHYWRPELYEMERYKIDAAKRTQKNLLNNLQFDDLVEQLTWLEPKIRACYHKLLDFSNETLAWMMAIDASFLLEFLQIYAMKEGKLLTRVSSRMAHLVDYAGRKSAHNAILRDIMMLENQIPLFVLRKMLEVQSASLEPADDLLLSMLTGVCKELSPFKMMKVLPKIRVSETSHLLDCLYDMIVPKLQPRTTSEISEIEDQNEDMKGKEGSSEDPGYVQQLLSEVWKLLSKLNKGPIHLIKKLLVSKPIKVIFKLPWIIISKLPGFSILKQPVEYFFFNEENKEDDKSEGEGSGADKPPLVEEITIPSVSELSNSGVRFLPTTGNLLTITFDVKTVTFYLPTVSLDVNTEVIMRNLVAYEASNASGPLVFTRYTELMNGIIDTEEDVKLLREKGIILNRLKRDQEAADLWNGMSKSIRLTKVPFLDKAIEEVNKYHNGRWNIKAKNMMKSYVFGSWQFLTFMAAILLLLLMALQAFCSVYSCSRVFHIKTSTG >EOY27759 pep chromosome:Theobroma_cacao_20110822:6:23057012:23061262:-1 gene:TCM_029528 transcript:EOY27759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein, putative MDCGGLLKLANGQDKTWCVAKPSSNDTALASNINFACSQLGTLGLSCNMIQQAGICYYPSTLINHASVVMNYYYQALGRHTWNCDFGGSALITISDPSYGSCQYGWEMGVAEVAQWTGRISLLIVIDKTWCVAKPSSNNTALASNIDFACSQLGNLGLSCDMIKEDGICFNPNTLINHASVVMNSYYHAFGRNIWNCDFSGSALITISDPSYGSCQYP >EOY27256 pep chromosome:Theobroma_cacao_20110822:6:20882666:20887608:-1 gene:TCM_029141 transcript:EOY27256 gene_biotype:protein_coding transcript_biotype:protein_coding description:RELA/SPOT MAVSTIALYASPPSSVCSTPHQININSHSSYDFDLNSRSSSSTSSTTASSSSQRPIVGGLSCLFSSPSVKSSFSSGGGEDLGSYRGEELKELSSSFCYSSSKFGGSSLKTSQSPVSVFQGPVSCSSCSPPTRIVREKGGDGNFQGSLRGGTNGLFNGFVRSALGSCIDYDSPSFEGQSSDLVDELPFTMEDNFTEEVNPDPYAKELLLGAQMRHKIFCEDFVVKAFYEAEKAHRGQMRASGDPYLQHCVETAVLLASIGANSTVVAAGLLHDTLDDSFLSYDYIFRTFGAGVADLVEGVSKLSQLSKLARENNTASKTVEADRLHTMFLGMADARAVLIKLADRLHNMMTLDALPSLKQQRFAKETLEIFAPLANRLGISSWKEQLENLCFKHLNPDQHKELSSRLVDSFAEAMITSAIEKLERALKDKEIPYHVLSGRHKSLYSIYSKMLKKKLSMDEIHDIHGLRVIVENEEDCYEALRVVHQIWSEVPGKLKDYINQPKFNGYQSLHTVVIGEGTVPLEVQIRTKEMHLQAEFGFAAHWRYKEGDCKHSAFVLQMVEWARWVVTWHCETMSKDQSSIGSADSIRPPCTFPTHSDDCPFSYKPHCCQDGPVFIIMIENDKMSVQEFPANSTMMDLLERTGRGNSRWSPYGFPVKEELRPRLNHEPVSDPTCRLKMGDVVELTPAIPDKSLTVYREEIQRMYDRGLPVSSAGRPASSMVGSRS >EOY26462 pep chromosome:Theobroma_cacao_20110822:6:11520996:11535155:1 gene:TCM_028138 transcript:EOY26462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQNALNQHEFPSLPTIHGLTSGRPPDLPQQPSAAFQPPPVAIPCLSVTNPPLSWTTQPQQLHSNGEKPPVVPLTRDPLVYKDRPAASFFEDEIHILAQPFKMSLVGKFSRMPKLQEIRSAFKGHKEVNCIVLGNKNKLHGLGKPQPHSVVDADKLKNLEKIKNPEKEKIVSTEKPANHHQKWQPVGKVGTSGTKDRQGKEIASDNGPKEANVPISNRFHGISGHGDEVQNRVMESSLHKKNDGAIPVHVGEYGQREQLNKFTSGRKESTTPVKVPQNENNTQPPIGKPQKDTVVENRDVTDAQRKGADGQHGEEEQPAGDRTVTARAAKPSSAKDVELDFFHVHGMHGKTETRGERGLTVAKTGKVVMVTAESSSDKGPDTETRNGSSVMGRAEKHEECPRMTEMESVSQVRPAVIEGQKQIPTWEMTEFGQRVTVRKQKLKKKAKPVLASLVHVMNVDDERNSLDIYPTADGQKSERERQLLNEEPTDTKGSSSSNTLRSLPGVEVQRRLKKLKMMHKIKLMVILEPMVHKRRAEYFRRKLGSDKVGPWMVGGDFNSIVSIVERLNGATPHVGSMEDFASTLFDCGLLDAGFEGNSYTWTNNHMFQRLDRVVYNPEWTQCFSSTRVQHLNRDGLDHCPLLISRNTASQKGPSTFRHSGLYPDRVIWRIMKLCRQLYDGSLLQQWQWKGDTDIAAMLGLSFPPKQHAPPQIIYWKKPSIGEYKLNVDGSSRNGLHAASGGVLRDHTGKLIFGFSENIGPCNSLQAELHALLRGFLLCKERHIEKLWIEMDALVAIQLIQPSKKGSHDISKKYPSSSSIKSYARKMDFLTLGTFTTQSQAHVTWRYGICPPPLYPFIVINKI >EOY26201 pep chromosome:Theobroma_cacao_20110822:6:5604209:5617574:1 gene:TCM_027628 transcript:EOY26201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein IFHYFSLHSLSNSPQTLLQPSKTTLARIQPSKFESKGFYSSKMLWRN >EOY28239 pep chromosome:Theobroma_cacao_20110822:6:24688951:24699093:-1 gene:TCM_029865 transcript:EOY28239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase, putative MAGTREMFCTLATDRLFPAKSPLLGHLSGRRSKFFSSTTSFISGKRRLMRARKVESTPMAALTEVESIEDDQEIPVQFKLTALVTVKYDTKEHVKDFMLRWLDSQGGVTKKGVILQLVSTQIDLRTRKPKMSKEATIDWSRTLNNYSDEVSSHKVQFLVDTNFGVPGAMIVTNKYEQEFYLESIAIEGFAYFACNSWVQPHRLQAEKRIFFSNKACLPCETPVGLKELREKELRQLRGNGEGVRALSDRIYDYDVYNDLGCPDGGIEFTRPILGGEKCPYPRRCRTGRPPTNTDVNAESPINELVPMYVPRDEAYEELKKETIAAGKRKGLLNNLVPWLKDVSTDNDAIQTFSEIYDLYKESPSLEIKSHKQDGFREKPHFLITINKMIKESTRDIFKFDPPNIISRDPSCCVRDDELGRLTLAGMNPLSIERLKTFPPVSTLDPSVYGPKESAIREEHITCHLNGMSVQQALEEVKLFILDYHDVYLPYLNRINAHQNRKAYATRTIFLLTPMGTLKPIAIELSLPPTNPNSPSKQVLTPPADGTSSWLWQLGKAHVCSNDSGSHQLIHHWLRVHACMEPFIIAAHRQLSIMHPIYRLLQPHMRYTLATNAQAREVLINAEGIIESFFSTVECSMEITAPVYRNWWRFDMDSLPADLIRRGIAVPDQTQPHGLKLLIEDYPYANDGLLIWSAIEDLAQTYVKYYYSEASKIQSDSELNAWYYESINVGHADIRHANWWPKLSTPDDLVSILTIIIWVTSAKHAAVNFGQYHYGGYVPVRPPHMRRLLPNENDPEYATFLADPEGYFLSSLPSLRETTYFMSVLGILSAHSTDEEYLGDRKDFSAWTGDPEIIEAFHKFSIEMRRIEEEIEKRNADPKLRNRCGAGVSPYELLIPSSGPGVTSRGVPNSITT >EOY25853 pep chromosome:Theobroma_cacao_20110822:6:2340588:2344496:1 gene:TCM_027218 transcript:EOY25853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin F-box MKLGKRHPSEVAKSLPGWERKFMSYKALKKQVKLINPHCNGKKGSRSGDRKLSEGGSNAGNSPAQGAVCKNWYSVFNDFLDSRRRSALNLVPMLVIPTKKSNKNRKLYSLQAKAKICNIELPKSYGTRFCGSCYDWLAMVDENMVITLLHPFKDGITIDLPKIKVVKTSSYQYDIQKVILSVDPVSYPDSYVVIVIHGTHSRLAFYKPGQRDWIYLDKDFTLFTDVIFYRSLVYAIGNWNMIVSFDVNDSSLDDTTKPPKLKILVPADKRHQNSDNYSYKAYLVESSKGNLFSIKRDLDFDEDDNYLTKKFKIFKLILDDQSGELLEQKEVKNIDGDIIFVGDNRTLAISILDFPEAEQPNSIYFIDDLFEMFAYQPYGPRDVGIFNIKDETLAEHYQFKPSHKNLPPYTWILPPVDFKLKYSIIQAAATVSLRSRETPHRLWPSPEGGSGKSRPGREAGVARAYCVQKESYGLESFSGSAPPAVLVALQADSWISLGLDMHRTPSLQKAITIEEG >EOY27828 pep chromosome:Theobroma_cacao_20110822:6:23237600:23240725:-1 gene:TCM_029570 transcript:EOY27828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPSGAKKRKAAKKKKEQAANNINSSTNNNPHGNDDPKSQDERDSDGGDVGSPASQDDQNHQNAFSQGREEGKSAPSSVQSYVTEDKSVEEAARDLESTEKLGLDDVVAVKIDKELEPKEDMESTRVIIQHVEHDKSSSSSSRSSSSSSDDESEASEKKSKEEAFNFVPEATSYNIEDKSATIMSEEVVKVAENEKLGDVDSNSAVETAAVDNLVKTVLSVPEKVDHAVEISLKKSVVSDVVEVGLKESEEKLLPSTNGFSRDELEGNEGKIFPLSSTSTAESSNVAEKIQESGTPDYSEKQNHLFMGIW >EOY27829 pep chromosome:Theobroma_cacao_20110822:6:23239119:23240655:-1 gene:TCM_029570 transcript:EOY27829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPSGAKKRKAAKKKKEQAANNINSSTNNNPHGNDDPKSQDERDSDGGDVGSPASQDDQNHQNAFSQGREEGKSAPSSVQSYVTEDKSVEEAARDLESTEKLGLDDVVAVKIDKELEPKEDMESTRVIIQHVEHDKSSSSSSRSSSSSSDDESEASEKKSKEEAFNFVPEATSYNIEDKSATIMSEEVVKVAENEKLGDVDSNSAVETAAVDNLVKTVLSVPEKVDHAVEISLKKSVVSDVVEVGLKESEEKLLPSTNGFSRDELEGNEGKIFPLSSTSTAESSNVAEKIQESGTPDYSEKQVFLALILF >EOY27825 pep chromosome:Theobroma_cacao_20110822:6:23238950:23240655:-1 gene:TCM_029570 transcript:EOY27825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPSGAKKRKAAKKKKEQAANNINSSTNNNPHGNDDPKSQDERDSDGGDVGSPASQDDQNHQNAFSQGREEGKSAPSSVQSYVTEDKSVEEAARDLESTEKLGLDDVVAVKIDKELEPKEDMESTRVIIQHVEHDKSSSSSSRSSSSSSDDESEASEKKSKEEAFNFVPEATSYNIEDKSATIMSEEVVKVAENEKLGDVDSNSAVETAAVDNLVKTVLSVPEKVDHAVEISLKKSVVSDVVEVGLKESEEKLLPSTNGFSRDELEGNEGKIFPLSSTSTAESSNVAEKIQESGTPDYSEKQPFVASTPPMVQRTSVLSCCGLFDIFTGSGR >EOY27826 pep chromosome:Theobroma_cacao_20110822:6:23237974:23241027:-1 gene:TCM_029570 transcript:EOY27826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPSGAKKRKAAKKKKEQAANNINSSTNNNPHGNDDPKSQDERDSDGGDVGSPASQDDQNHQNAFSQGREEGKSAPSSVQSYVTEDKSVEEAARDLESTEKLGLDDVVAVKIDKELEPKEDMESTRVIIQHVEHDKSSSSSSRSSSSSSDDESEASEKKSKEEAFNFVPEATSYNIEDKSATIMSEEVVKVAENEKLGDVDSNSAVETAAVDNLVKTVLSVPEKVDHAVEISLKKSVVSDVVEVGLKESEEKLLPSTNGFSRDELEGNEGKIFPLSSTSTAESSNVAEKIQESGTPDYSEKQPFVASTPPMVQRTSVLSCCGLFDIFTGSGR >EOY27827 pep chromosome:Theobroma_cacao_20110822:6:23238944:23241026:-1 gene:TCM_029570 transcript:EOY27827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPSGAKKRKAAKKKKEQAANNINSSTNNNPHGNDDPKSQDERDSDGGDVGSPASQDDQNHQNAFSQGREEGKSAPSSVQSYVTEDKSVEEAARDLESTEKLGLDDVVAVKIDKELEPKEDMESTRVIIQHVEHDKSSSSSSRSSSSSSDDESEASEKKSKEEAFNFVPEATSYNIEDKSATIMSEEVVKVAENEKLGDVDSNSAVETAAVDNLVKTVLSVPEKVDHAVEISLKKSVVSDVVEVGLKESEEKLLPSTNGFSRDELEGNEGKIFPLSSTSTAESSNVAEKIQESGTPDYSEKQPFVASTPPMVQRTSVLSCCGLFDIFTGSGR >EOY28765 pep chromosome:Theobroma_cacao_20110822:6:26486682:26488783:1 gene:TCM_030278 transcript:EOY28765 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI/FBD-like domains-containing protein MNRLQANILSYSFLSSFMLDQMEGERDRISDLPDSLLEYILTFLPTKYAVRTGVLSKRWKDLWVSHPYVSLRDDGINNQTVKVSKFMNFLNKILLHPQAKVKKIQLSSRERLESPEFIRWFQAVMMKDDLEELDLGFRKIYHTPQSNLTVCNTLVILKLDFGAFSGNKFPKSFCFPNLKTMHLNGFLLAYNFPNQLLQCRNLESLIIRNYILDLMSHGLVLNGSDDQKEVLSNISNAQIDSCYGFHGDVSDRSFLKNMINAVSNAKDLDLSLSIMEYLDRVFPNDMLEFNNLKHIKLYLRSSHVGALGYILQKAPNLESLHIESDGPYGSAHDALMLEQLRSSCSSANLKVIRMTNFILEDPVLELVQLIFDSAGSLEDIVIELDARLEMNNFLQCEKLLKLPRLSEDSVLHLKWEFGYSHHYFGHSHSYYHP >EOY26882 pep chromosome:Theobroma_cacao_20110822:6:18837847:18841134:1 gene:TCM_028848 transcript:EOY26882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein, putative MECALAQSSHADGIGSCWWAYEKVSKLCHSKGVLVCIDGTFAIPLNQKVLALGADLVLHYVIKFIGGHNDILAGCRHEDIASSCTTTEFNNIKDGKVLEAHPWVKRFYHPGLPSHSEHEIAKQQMTGFGGVVSFEVDRDLMTTIKFVDAFKIPNIAPSLGGCESIADQPTIMSYWDLTQAERRKYGIEDNLVRFSFGVEDFKDLKADILQAPRNHIKASIAFLIFIFKIFDCSLQTFWSGLVILVFQLALISKVSVVFQLGSSLLE >EOY28033 pep chromosome:Theobroma_cacao_20110822:6:23983390:23986766:1 gene:TCM_029715 transcript:EOY28033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDSKSKGIAWVGNIYQKFEAMCMEVDDMVCEETFRCVENHLQTVGANVKQFCTEFMQDVLHSPGAKSVEERNLSLVQNTGVTVCENLNITIDEDKSQKELIHSSSVQSVDDVHFGLSSEQSTKDESALAHSGSIPSDSVILAQACKNELQDTDSTLDDASLETMEEASHQSATEVELEAALPSFDEAKLEESCIIVDSGDLQSLSNETGKRRSYKKKFRESLSSKLRLRKQDREQHAGSSAEKGMNVGRSSQTDKAKSQDMEFCESDWELV >EOY28031 pep chromosome:Theobroma_cacao_20110822:6:23984279:23986902:1 gene:TCM_029715 transcript:EOY28031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDSKSKGIAWVGNIYQKFEAMCMEVDDMVCEETFRCVENHLQTVGANVKQFCTEFMQDVLHSPGAKSVEERNLSLVQNTGVTVCENLNITIDEDKSQKELIHSSSVQSVDDVHFGLSSEQSTKDESALAHSGSIPSDSVILAQACKNELQDTDSTLDDASLETMEEASHQSATEVELEAALPSFDEAKLEESCIIVDSGDLQSLSNETGKRRSYKKKFRESLSSKLRLRKQDREQHAGSSAEKGMNVGRSSQTDKAKSQDMEFCESDWELV >EOY28032 pep chromosome:Theobroma_cacao_20110822:6:23984605:23986517:1 gene:TCM_029715 transcript:EOY28032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDSKSKGIAWVGNIYQKFEAMCMEVDDMVCEETFRCVENHLQTVGANVKQFCTEFMQDVLHSPGAKSVEERNLSLVQNTGVTVCENLNITIDEDKSQKELIHSSSVQSVDDVHFGLSSEQSTKDESALAHSGSIPSDSVILAQACKNELQDTDSTLDDASLETMEEASHQSATEVELEAALPSFDEAKLEESCIIVDSGDLQSLSNETGKRRSYKKKFRESLSSKLRLRKQDREQHAGSSAEKGMNVGRSSQTDKAKSQDMEFCESDWELV >EOY28034 pep chromosome:Theobroma_cacao_20110822:6:23984700:23986902:1 gene:TCM_029715 transcript:EOY28034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MQDVLHSPGAKSVEERNLSLVQNTGVTVCENLNITIDEDKSQKELIHSSSVQSVDDVHFGLSSEQSTKDESALAHSGSIPSDSVILAQACKNELQDTDSTLDDASLETMEEASHQSATEVELEAALPSFDEAKLEESCIIVDSGDLQSLSNETGKRRSYKKKFRESLSSKLRLRKQDREQHAGSSAEKGMNVGRSSQTDKAKSQDMEFCESDWELV >EOY28889 pep chromosome:Theobroma_cacao_20110822:6:26794833:26796418:-1 gene:TCM_030364 transcript:EOY28889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSVSFPAPVYNHQVATSNPVLLISQERTHHQPSRHQNLRGITFVSGKFQSRHVKQSLIPASYPTRRRGLSVVPLDAKTKSSDPGGEEDSGALETVLKLYSAIKNQNVRELSDIIDDECRCICNFFSSFQPLQGKKQVLEFFASLIKFLGDHIEFVVQPTLHDGMVVGIHWRLEWNKAHMPLGKGFSFYTCQIYHGRVVIRNVEMFMEPLLHMEPFRVKTMVYLTTMVDKISFGVSSKAWKKKALCALLGLLFLSAILLFSKLY >EOY27989 pep chromosome:Theobroma_cacao_20110822:6:23865346:23866294:-1 gene:TCM_029689 transcript:EOY27989 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYR1-like 11 MGNQYRTPNLSSKQCGSSLVQTIDAPLPLVWSIMRRFDHPQLYKQFVKSCTLSAGTGSIGSVREVMVVSGLPAATSMERLDELDEDSHVMVVSIIGGDHRLVNYRSTTTLHEIEEGKGGKTVVMESYVVDVPAGSSKEDTCSFADMIIGCNLRSLARVTEKMAKV >EOY26043 pep chromosome:Theobroma_cacao_20110822:6:3791514:3792159:-1 gene:TCM_027426 transcript:EOY26043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEPQTRESRWRWSRAAVIGRSKNGAAFERQGVRKRENKMGLVLFYKVIFKIVKRYFYLFIFCSQQFEIVIFKSSFFFK >EOY25907 pep chromosome:Theobroma_cacao_20110822:6:2646091:2653840:-1 gene:TCM_027275 transcript:EOY25907 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTM-1 isoform 2 MPELPEVEAARRAIEENCLGKKIKKAIIANDSKVIEGVSASDFESSLLGKTIVSAHRKGKNLWLRLDSPPFPSFQFGMTGAIYIKGVAVTQYKRSAVKDNDEWPSKYSKFFVELEDGLELSFTDKRRFARVRLLKDPTSVPPISELGPDALFQPMTVDEFTESLNKKKIAIKALLLDQSFISGIGNWIADEVLYQARIHPLQISSSLSKENCATLLQCINEVIRYAVEVIEKAVEVGADSSQFPSNWIFHSREKKPGKAFVDGKKIDFINAGGRTSAYVPELQKLSGKQATKAAGKPRKQASKRKGGEDEDNDEDDMGDEPTSEEEETTKGAKSKKRGNRRGRGKKPPTKRKSEESDDDSGGNEDGSSDDDDNDDEDAKKKPRKAKTNNYKQAKTLNASNQVVSNQSGKKPKKKAK >EOY25909 pep chromosome:Theobroma_cacao_20110822:6:2646080:2652148:-1 gene:TCM_027275 transcript:EOY25909 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTM-1 isoform 2 MPELPEVEAARRAIEENCLGKKIKKAIIANDSKVIEGVSASDFESSLLGKTIVSAHRKGKNLWLRLDSPPFPSFQFGMTGAIYIKGVAVTQYKRSAVKDNDEWPSKYSKFFVELEDGLELSFTDKRRFARVRLLKDPTSVPPISELGPDALFQPMTVDEFTESLNKKKIAIKALLLDQSFISGIGNWIADEVLYQARIHPLQISSSLSKENCATLLQCINEVIRYAVEVDAECSCFPHDWLFHFRWGKKSGKIKGKKIDFINAGGRTSAYVPELQKLSGKQATKAAGKPRKQASKRKGGEDEDNDEDDMGDEPTSEEEETTKGAKSKKRGNRRGRGKKPPTKRKSEESDDDSGGNEDGSSDDDDNDDEDAKKKPRKAKTNNYKQAKTLNASNQVVSNQSGKKPKKKAK >EOY25908 pep chromosome:Theobroma_cacao_20110822:6:2644452:2652143:-1 gene:TCM_027275 transcript:EOY25908 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTM-1 isoform 2 MPELPEVEAARRAIEENCLGKKIKKAIIANDSKVIEGVSASDFESSLLGKTIVSAHRKGKNLWLRLDSPPFPSFQFGMTGAIYIKGVAVTQYKRSAVKDNDEWPSKYSKFFVELEDGLELSFTDKRRFARVRLLKDPTSVPPISELGPDALFQPMTVDEFTESLNKKKIAIKALLLDQSFISGIGNWIADEVLYQARIHPLQISSSLSKENCATLLQCINEVIEKAVEVGADSSQFPSNWIFHSREKKPGKAFVDGKKIDFINAGGRTSAYVPELQKLSGKQATKAAGKPRKQASKRKGGEDEDNDEDDMGDEPTSEEEETTKGAKSKKRGNRRGRGKKPPTKRKSEESDDDSGGNEDGSSDDDDNDDEDAKKKPRKAKTNNYKQAKTLNASNQVVSNQSGKKPKKKAK >EOY25761 pep chromosome:Theobroma_cacao_20110822:6:1739289:1755452:-1 gene:TCM_027134 transcript:EOY25761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tir-nbs-lrr resistance-like protein MKNLSNSIEALKTNIRNLSFVHINREANSLADGLAKAGIIRSDHSSGWCLDELVEILKQKKESGHEIYPVFYDVEPRELRKQEGSVEEAFAEHEKRYNQDKTRRWRGALLEASCITGWELKDRYESEFIQDVVRVISKKLCQTYSSVRNDLIGINSHLVELRDKICFRKYDVRIVGICGMGGIGKTTLARVVYNQMSGYFEGKCFLADVREVAMKSGLVSLQKQLLSLLLPGQDFQFFSVEGGIEIIRRRLRHKMVLFVIDDADNMQHFKCLAEKRDCHQSEECSVMIPNLQNDIQWMGFALCCALVPASNNVAWRREQISCTIKIHFEGFTCRTPTAGYYFKSKSGQISEDHLWLRYLHRDILDNILKHKSGQYEILRSGDWIRSCIGIEILFETLGIGTKVNKCGARLVYPSDLKDLDPTMEQPSKKRKRHD >EOY25711 pep chromosome:Theobroma_cacao_20110822:6:1349971:1356233:1 gene:TCM_027089 transcript:EOY25711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFPSCMFLVSVFVALMNLKFLPMDIPPPFETHSVIMAMFVITILVYAANFRNSFFHEVISKYISVVSASLGPTLLAFVLFPGYLGRLILLLWAIYFVKLTYDVCRKRHSISSVPNFLNQLLGPGGPSNEENIPCFTSSNSATASNHKHAILSFLIAALLALLPLKFHPMNTSAAVFDTHRAILSKFLITTLVYAVVLAIEINLGTNNSPYHLIVSKISLLLGSLGAACLLLILVRGLGYVTLLIWALFLVKLIYEACQSLHQLYRAISFASDLFNGLGRSRGGYQNERRIMLPV >EOY27732 pep chromosome:Theobroma_cacao_20110822:6:22988173:22991318:-1 gene:TCM_029511 transcript:EOY27732 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MDYSYLHVNKKILRTQNITRHVAELELSSNRAEEEGRLVAGVGFSIILSSPNLCMATLHLRNSLLSHLHLCSLSFTKTFPSVSLTTTSRTSPLNSTKMEGSEITEERSESSETNANVKKKIFVAGATGSTGKRVVEQLLAKGFAVKAGVRDLDKAKNLLSKDNPALQVVKADVTEGSAKLVEAIGDDSEAVICATGFRPGWDLFAPWKVDNFGTVNLVEACRKLGVNRFILISSILVNGAAMGQLFNPAYIFLNVFGLTLIAKLQAEQYIRKSGIDYTIIRPGGLRNEPPTGNVVMEPEDTLYEGTISRDQVAEVAVESLVHPESSFKVVEIVSRTEAPKRSYKDLFGSIKQT >EOY27964 pep chromosome:Theobroma_cacao_20110822:6:23767689:23771919:-1 gene:TCM_029670 transcript:EOY27964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MSAAVVDGNDPVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVLDHPNVISLKHCFFSTTTKNELFLNLVMEYVPESMYRVLKHYSSANQRMPLIYVKLYTYQIFRGLAYLHSVAGVCHRDLKPQNLLVDPLTHQVKICDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACSHPFFDELREPNARLPNGRPLPPLFNFKQESDHVKRQIGLQHFMHPAGT >EOY27965 pep chromosome:Theobroma_cacao_20110822:6:23768572:23772079:-1 gene:TCM_029670 transcript:EOY27965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 VLPFSSPNPTSSSSLPFFFFLLSPRHDLLLLFLLLDPCFSFFVSFSFSRLLVMADDKEMSAAVVDGNDPVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVLDHPNVISLKHCFFSTTTKNELFLNLVMEYVPESMYRVLKHYSSANQRMPLIYVKLYTYQIFRGLAYLHSVAGVCHRDLKPQNLLVDPLTHQVKICDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACSHPFF >EOY26204 pep chromosome:Theobroma_cacao_20110822:6:5620291:5626668:-1 gene:TCM_027630 transcript:EOY26204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative isoform 1 MKVGMSSKTMNHPSYISVTQSEPSKGIGESHHTAASPIHNFLSIGSEGQSSLAGECSSPHPFPFIRTESFKNNLKSGPSSPISPSSHAKSAFSRSSVFCTSLYLSSSSTSETQRQLGNLPFLPHPPTCGQSISAVDSSKSPVVFSEDLHNPYNEDHSEIIMKDFLNFPGDDCDGNFHGLHCESNNFTLTEQLELQFLSDELDIAIADHGENPRLDEIYETPQKLNVAFTCNQNSASVVPSTDACSSIRLSGPAAVHKPRMRWTPELHECFVEAVSKLDGPEKATPKGVLKLMNVEGLTIYHVKSHLQKYRLAKYMPEKKEEKKTSSSEEKKAALSGNESDGKKKGGTHITEALRMQMEVQKQLHEQLELQRSLQLRIEEHARYLQKILEEQQKAGSALLPSLSMSTPTDPSQNSELQPSSSSAIASPTQPSESKTELSSSLPSKHKAPEVNDCEPESSPKKLRTENKPESAADEAVVENPAQ >EOY26203 pep chromosome:Theobroma_cacao_20110822:6:5620291:5626681:-1 gene:TCM_027630 transcript:EOY26203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative isoform 1 MFNLEAALSWMKVGMSSKTMNHPSYISVTQSEPSKGIGESHHTAASPIHNFLSIGSEGQSSLAGECSSPHPFPFIRTESFKNNLKSGPSSPISPSSHAKSAFSRSSVFCTSLYLSSSSTSETQRQLGNLPFLPHPPTCGQSISAVDSSKSPVVFSEDLHNPYNEDHSEIIMKDFLNFPGDDCDGNFHGLHCESNNFTLTEQLELQFLSDELDIAIADHGENPRLDEIYETPQKLNVAFTCNQNSASVVPSTDACSSIRLSGPAAVHKPRMRWTPELHECFVEAVSKLDGPEKATPKGVLKLMNVEGLTIYHVKSHLQKYRLAKYMPEKKEEKKTSSSEEKKAALSGNESDGKKKGGTHITEALRMQMEVQKQLHEQLELQRSLQLRIEEHARYLQKILEEQQKAGSALLPSLSMSTPTDPSQNSELQPSSSSAIASPTQPSESKTELSSSLPSKHKAPEVNDCEPESSPKKLRTENKPESAADEAVVENPAQ >EOY27472 pep chromosome:Theobroma_cacao_20110822:6:21958039:21960209:-1 gene:TCM_029315 transcript:EOY27472 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 4 isoform 1 MKESGRKQGAASPCAACKLLRRRCAQDCVFAPYFPADEPQKFANVHKVFGASNVNKMLQELPVHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDALQTQLALAQAEVVHLRVRQTASFSHHGFGPASPSNSGSPSSKLMGSQAKPMFDVDMVVDHASLGESMWSC >EOY27473 pep chromosome:Theobroma_cacao_20110822:6:21958008:21960161:-1 gene:TCM_029315 transcript:EOY27473 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 4 isoform 1 MKESGRKQGAASPCAACKLLRRRCAQDCVFAPYFPADEPQKFANVHKVFGASNVNKMLQELPVHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDALQTQLALAQAEVVHLRRFE >EOY28131 pep chromosome:Theobroma_cacao_20110822:6:24300912:24305130:-1 gene:TCM_029785 transcript:EOY28131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vascular plant one zinc finger protein isoform 2 MGKGSKSNCKSASHKLFKDRAKNRVDDLQGMFLDLQFARKESRSIDVAVLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSSDICRLLQLCEEEDDATSALAAPKPEPNDQSLQVEDAAAFQEGYGVNQRHHERGFPLVDRCRDSPSGVRAMSINNLEGATQLEYRQFDLLQDFEHFYTGTSFCVEDAMPHTSSYLPSICPPPSAFLGPKCALWDCPRPAQGLDWCQDYCSSFHAALAMNEGPPGMGPVLRPGGIGLKDGLLFAALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLSVLDGETIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQIMNEFGGLKRSYYMDPQPLNHFEWHLYEYEINKCDVCALYRLELKLVDGKKSAKGKSANDTVADLQKQMGRLTAEFPSDNKRYVKGRGKINAKVGVGNLYATPNVVAPTSEKFDYGLGVQYDYLVDNLTGYYLT >EOY28133 pep chromosome:Theobroma_cacao_20110822:6:24300809:24305047:-1 gene:TCM_029785 transcript:EOY28133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vascular plant one zinc finger protein isoform 2 MGKGSKSNCKSASHKLFKDRAKNRVDDLQGMFLDLQFARKESRSIDVAVLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSSDICRLLQLCEEEDDATSALAAPKPEPNDQSLQVEDAAAFQEGYGVNQRHHERGFPLVDRCRDSPSGVRAMSINNLEGATQLEYRQFDLLQDFEHFYTGTSFCVEDAMPHTSSYLPSICPPPSAFLGPKCALWDCPRPAQGLDWCQDYCSSFHAALAMNEGPPGMGPVLRPGGIGLKDGLLFAALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLSVLDGETIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQIMNEFGGLKRSYYMDPQPLNHFEWHLYEYEINKCDVCALYRLELKLVDGKKSAKGKSANDTVADLQKQMGRLTAEFPSDNKRYVKGRGKINAKVGVGNLYATPNVVAPTSEKFDYGLGVQYDYLVDNLTGYYLT >EOY28132 pep chromosome:Theobroma_cacao_20110822:6:24300809:24305165:-1 gene:TCM_029785 transcript:EOY28132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vascular plant one zinc finger protein isoform 2 MGKGSKSNCKSASHKLFKDRAKNRVDDLQGMFLDLQFARKESRSIDVAVLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSSDICRLLQLCEEEDDATSALAAPKPEPNDQSLQVEDAAAFQEGYGVNQRHHERGFPLVDRCRDSPSGVRAMSINNLEGATQLEYRQFDLLQDFEHFYTGTSFCVEDAMPHTSSYLPSICPPPSAFLGPKCALWDCPRPAQGLDWCQDYCSSFHAALAMNEGPPGMGPVLRPGGIGLKDGLLFAALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLSVLDGETIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQIMNEFGGLKRSYYMDPQPLNHFEWHLYEYEINKCDVCALYRLELKLVDGKKSAKGKSANDTVADLQKQMGRLTAEFPSDNKRYVKGRGVIRSRGQ >EOY27191 pep chromosome:Theobroma_cacao_20110822:6:20643836:20648940:-1 gene:TCM_029101 transcript:EOY27191 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALF domain class transcription factor MEGEGAQYNPRTVEEVFRDFKGRRAGMIKALTTDVEEFYQQCDPEKENLCLYGFPGEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKADRKRLFNMINDLPTIFEVVTGAAKKQTKEKSSVSNHSSNKSKSNSKARESQAKFSKASQPKDEDEGLDEEDEEEHGETLCGACGENYASDEFWICCDVCEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >EOY27982 pep chromosome:Theobroma_cacao_20110822:6:23830546:23837593:1 gene:TCM_029683 transcript:EOY27982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPVVQKLYDTCKESFSTNGPISEEALENVRAILDGLKPSNVGLEQEAQLSRGWEGLINGGNGRKGRNIIHQYPPAIKYLHLHECDRFSIGIFCMPPSSIIPLHNHPGMTVLSKLLYGSLHAKSYDWLDLPGHADLSQGVKEMKLFKTVTLLQNELIIMVASISKCILWVFSDKVGIGCFADDVARPAKLVRNCEVTAPSGATILYPTTGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRIPQRNLEGVDQLCGVNPSEVAWLEEIQPPENFVVRRGQYRGPIIRQ >EOY25762 pep chromosome:Theobroma_cacao_20110822:6:1757250:1771290:-1 gene:TCM_046819 transcript:EOY25762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MLTSSPSSSSSSSRWKYDVFLSFRGKDTRKGFTDHLYTCLQDHGIITFRDDEKLEQGESVAQKLLEAIRESWCSVIVFSETYASSSWCLKELVEILKQKDERGHKVFPVFYHIDPSDLRKQTENVKEAFAKHEDRYNQDKTQSWRDALSKAADISGWHLKDSSESKFIKGIVKEISKKLVSIRSRVPDNLIGIRSRLDELYDKIKFGKDGVRIVGICGMGGIGKTTLASVVYTKMSGYFEGKCFLAGVREVAMKFGLVSLQEKLLSKIFPGENFQFTSVYDGIEIISRRLRHKKVLVVIDDADNMQHFKCLAEERDWFGLGSRIIITSRDEQLLRAYGVHDVYKPTTLDDFEALRLLSLKAFKSDTPKDDFMSPSQIVVKYAGGLPLALEVLGSFLCGRDADQWRHAIDRLKSEPEEEIHSRLTISFDGLKETEKNIFLDIAHFFKGWDRDFVTKILDGCGYRAGIGLPVLIERSLITVEDNKIWMHDLLQEMGRNIVRQKSPNEPGKRCRLSEESDVHQVLTQNSGTEAIEGMVINSTIWEQKETFTLNADAFSKMKKLRLLMVHDLLKSCDLTYLSNELRLLEWSGWPLKSLPWDFQPDNLVTLLLPDSCIQQLWNGDRLLNKLKFLDLQGSRKLIRTPDFTRIKNLESLNLEGCTNLVHVHPSIAFLPKLKLLNLSNCVSLRSLSINNEMESLETLILSGCKNLKRISEITGKMKHLRDLHLDGTSVEELPSSVGNLSSLKVLNLSGCSVLENSPPSFLQRIYKKGCEVLLSSLNPMLLKKGSNFMALTLPCLSSLSSLRELNISGMNLCEGALPSDICCLSSLETLILNCNNFVSLPANLSQLTKLYCLQLMGCSKLETLPQLPSSVQGLMLDGCTSLQRVPNLTNPHNPSWVTWFYGVNCFKLAANNNALRMLKGYLKTFANAGIRVDIVIPGSEIFEWFNHQSEKCSIMIPILQNDVQWMGFALCCVVVPASNNVDWTEEDITCRVTIHFEDLTFKSCTHGIGFNTQTSKDHLCLWYLPVEYLLHDQFGNLQSRDWIQSCMGIEFLFDTAGIGNKVNKCGARLVYPSDLEDLDPIVEQPSKKRKRYNKDTIDGSTGCKNLKRISEITGKMKHLRGLHLDGTSMEELPSSVGNLSSLKVLNLSGCSVLENSPPSFLQRIYKKGCEVLLSSLNPMLLKKGSNFMALTLPCLSSLSSLRELNISGMNLCEGDLPSDICCLSSLEELILSHNNFVSLPANLSQLTKLYCLQLMGCSKLETLPQLPSSVQGLMLDGCTSLQRVPNPTNPHNPSWVTWFYGVNCFKFAANNNALRMLKGYLKTYANARIRLDIVIPGSEIFEWFSHQSEKCSIMIPILQNDIQWIGVALCCVLVPASNNVDWTEEEIPCITRIHFEDLTVESRTDTIAFNTQTSKDHLWLWYLPVEYLLHDQFGNLQSRDWIQSCMGIEFLFDTSGIGNKVNKCGARLVYPSDLEDLDPIVEQPSKKRKRNNKDTIDGSTG >EOY26780 pep chromosome:Theobroma_cacao_20110822:6:17836968:17837664:1 gene:TCM_028739 transcript:EOY26780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTCTTPSSSLMLADSKHVVVAAVAAEAAEGKEGVVEGATAIATALPNPKKGKAALPLNRTEIDRTETPLKPFETDFRHHAVRSVRIA >EOY26061 pep chromosome:Theobroma_cacao_20110822:6:4153245:4181369:-1 gene:TCM_027457 transcript:EOY26061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 11 MKPLFGVPHLQMAFSSLEVFECLQESSIVFLDIMFCLSINYIRIKRASTKSSPMEDSLLCAEMDAEEGCQRDSRSTQGFWDHMTFRSITSVMNRGLIKQLDFDDLLLLPTDMDPSTCHDKLLSCWQDQQNNSCSNASFLGAIFSAYGGPYLRLGLLKVFNDCIGFGGPLLLNKLIRFLQQGSGSLDGYVFAILLGLVSVIKSFSDTQYTYHLSKLKLKLRSSIMTVIYRKCLYVSIAEQSKFSEGEIQTFMSIDADRTVNLCNSFHDLWSLPLQIGVALYLLYTQVKLAFMSGLAITIILIPVNKWISELIASATEKMMKQKDERIRRTGELLAHIRALKMYSWEILFSRWLMDTRSLEVKHLATRKYLDAWCVFFWATTPTLFSLFTFGLFTLMGHQLDAAVVFTCLALFNNLISPLNTFPWVINGLIDAFISTRRLSRFLCCSEKKSEVEQADKFQPIFSNDQSDLVSKDMAVVMHDACCAWSSSNEDQNLVLNHVTLSLPNGLLVAVIGEVGSGKSSLLNSILQETRLVHGSIYSRGSSAYVPQVPWILSGTIRDNILFGKNQDSQRYADVLQACTLDVDISLMAGHDLAYIGEKGTNLSGGQRARLALARAIYQDSDVYLLDDILSAVDAHVAKWILHNAILGPLMEHKTRILCTHNVQAISSADIVVVMEKGHVKWVGNSADLAESVYSGFASVNEFDTSSYIHSKLYSANPSNMGKQSLLMEKNTDDVQLEAEEIIKAEQRKEGTVELIVYKKYAAFSGWFIAVVIFLSAILMQASRNGNDLWLSYWVDTTGSSQAKYSTSFYLLVLCIFCIINSSLTLVRAFSFAFGGLQAAVQVHNTLLNKLINAPVKFFDQTPGGRILNRFSSDLYTIDDSLPFILNILLANFVGLLGIAVVLSYVQVLFLLLLLPFWYIYSKLQFFYRSTSRELRRLDSVSRSPIYASFTETLDGSSTIRAFNSEDYFLARFTELVAQYQITSYSELTASLWLSLRLQLIAASIISFVAVMAVIGSRGSLPISFGTPGLVGLALSYAAPIVSLLGSFLTSFTETEKEMVSLERALQYMDVPQEELHGFQSLNSGWPFQGVIEFQNVTMKYMPSLPAALNDITFTIAGGKQVGIVGRTGAGKSSILNALFRLTPICRGQILVDGLNIVDIPVRDLRAHLAVVPQSPFLFEGSLRDNLDPLQISTDMKIWDILEKCHIKDEVAVAGGLDAHVKEAGASFSVGQRQLLCLARALLKSSKVLCLDECTANVDMQTASILQKAISSECIGMTVITIAHRISTVLNMDNIFVLNQGTLVEQGNPQALLQDDSSIFSSFAKASTI >EOY28631 pep chromosome:Theobroma_cacao_20110822:6:26091720:26098344:-1 gene:TCM_030180 transcript:EOY28631 gene_biotype:protein_coding transcript_biotype:protein_coding description:PCF11P-similar protein 4, putative isoform 1 MSNELAQKQQPSISERFKALLKQREDDLRVSGGDDGDDEVAATPSRGEIVQLYEAVLSELTFNSKPIITDLTIIAGEQREHGEGIADAICARILEVPVEQKLPSLYLLDSIVKNIGREYVRHFSSRLPEVFCEAYRQVNPNLYPAMRHLFGTWSTVFPPSVLRKIEIQLQFSQSANQQSPGVTSLRSSESPRPTHGIHVNPKYLRQLEQQSGADSSGRGSSQILHSHHPQEAWNSSYHFSQPSRNLHAKGRGRDFQIPFSASGIQSLGGEKIVPLIDKLPDGGSQFLRPPAVVPRTGSSSLDSVTVGARPAIIPSTTGVWPPVNVHKSQPPAMHSNYSLQQHSRSQFDSINPINMVMNEGPNKRSYMAEQFDRFESKEQSLTRVPQLPDQRAALHQRNQMQVTSLQPHFLPSQDLRENFLSSATAPLPPRLLAPSLNHGYTPQMHGAVISMVPSNPIHVAQPPLPIPNMPTVSLQLQGGALPPLPPGPPPASQMIPATQNAGPLLPNQAQSGPYSGLISSLMAQGLISLTKPTPIQDPVGLEFNADLLKVRHESSISALYADLPRQCTTCGLRFKFQEEHSTHMDWHVTRNRMSKNRKQKPSRKWFVSASMWLSGAEALGTDAVPGFLPTENVVEKKDDEELAVPADEDQSVCALCGEPFDDFYSDETEEWMYRGAVYMNAPNGSIEGMDRSQLGPIVHAKCRSESSVVPSEDFVRCDGGNSEDSSQRKRLRS >EOY28630 pep chromosome:Theobroma_cacao_20110822:6:26092631:26097901:-1 gene:TCM_030180 transcript:EOY28630 gene_biotype:protein_coding transcript_biotype:protein_coding description:PCF11P-similar protein 4, putative isoform 1 MSNELAQKQQPSISERFKALLKQREDDLRVSGGDDGDDEVAATPSRGEIVQLYEAVLSELTFNSKPIITDLTIIAGEQREHGEGIADAICARILEVPVEQKLPSLYLLDSIVKNIGREYVRHFSSRLPEVFCEAYRQVNPNLYPAMRHLFGTWSTVFPPSVLRKIEIQLQFSQSANQQSPGVTSLRSSESPRPTHGIHVNPKYLRQLEQQSGADSNTQHVRGTSAALKVYGQKHSIGFDEFDSDHTEVPSSHVGVRRLRSTGNVGRTSVVVGANKSASIVSRPFSPSRIGSDRLVLSEVDDLPSDGSPRRFVEGTSPSRPVFDYGRGRAIVRDEETREWQRKHSYDDYHNRSESSLNAYKLSNGHERQTPRALIDAYGNDRGKGISNSKPAQVERLAVNGMGNKVTPISWQNTEEEEFDWEDMSPTLADRSRSNDFSLSSVPPFGSIGERPAGLESNSRSSRATQTQLPLVDDSSTIPKNAVSSLSSGRGSSQILHSHHPQEAWNSSYHFSQPSRNLHAKGRGRDFQIPFSASGIQSLGGEKIVPLIDKLPDGGSQFLRPPAVVPRTGSSSLDSVTVGARPAIIPSTTGVWPPVNVHKSQPPAMHSNYSLQQHSRSQFDSINPINMVMNEGPNKRSYMAEQFDRFESKEQSLTRVPQLPDQRAALHQRNQMQVTSLQPHFLPSQDLRENFLSSATAPLPPRLLAPSLNHGYTPQMHGAVISMVPSNPIHVAQPPLPIPNMPTVSLQLQGGALPPLPPGPPPASQMIPATQNAGPLLPNQAQSGPYSGLISSLMAQGLISLTKPTPIQDPVGLEFNADLLKVRHESSISALYADLPRQCTTCGLRFKFQEEHSTHMDWHVTRNRMSKNRKQKPSRKWFVSASMWLSGAEALGTDAVPGFLPTENVVEKKDDEELAVPADEDQSVCALCGEPFDDFYSDETEEWMYRGAVYMNAPNGSIEGMDRSQLGPIVHAKCRSESSVVPSEDFVRCDGGNSEDSSQRKRLRS >EOY26251 pep chromosome:Theobroma_cacao_20110822:6:6552165:6555356:1 gene:TCM_027719 transcript:EOY26251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MLMGAHIARLVRTPNPSLALALVSLLSLVAISYGDTDMETLLTFKDSLANPSALSNWNASISPCHKDRANWIGVLCLNNTIWGLQLENMGLAGLVNIEILAALPNLRTISLMNNNFEGTMPDIRKLGALKALYLSNNRFAGQIPNDAFKGMRSLKKVFLANNAFTGTIPLSLTTLPKLVILRLEGNQFVGQIPDFKHKSVKVVNLASNQLEGPIPASLSKMGASMFSGNRNLCGQPLQSCTFTSPPPSPSPKPSASPQTVLSSLDKEISALKIALIVVSIMLLVVIIAAIIFIIPQKKQKSKILEATDLDDSNKLPAYDEGEKKVSEGGGAMKRSDHGKLIFLKDDLEAFDLQDLLRASAEVLGSGNFGASYKAGILNGEAVVVKRYKQMNNVGREDFHEHMRRLGRLNHQNLLPLVAYYYRREEKLLISEFMENGSLASHLHANHSLDQPGLDWPTRLKIIKGVARGLIYLYNELPTLVVPHGHLKSSNVLLDKDLEPLLSDYALRPVINQEQAHMVMTAYKSPEHAINGRISRKTDVWCLGILILEVLTGRFPENYLTPGYDSNTNLATWVNEMVKEKKSSEVFDKEMAGTKNSKGEMINLLKIGLSCCEEDFETRPELKEVVQKIEQLKEGDDEDFSSTIGEVNAVIFRGNMEDESYFSLNR >EOY28464 pep chromosome:Theobroma_cacao_20110822:6:25416722:25420915:1 gene:TCM_030017 transcript:EOY28464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p family protein, putative isoform 3 MSSHHLSEPDSTTDSLASSPSSEHHAPHDSHARVRIMCSFGGNILPRPHDNQLRYVGGDTRIVAVHRSTSFSAFLTKLSRLSGIGNVSVKYQLPNEDLDALISVTTDEDLENMMEEYDRLAQNQNPRLARLRLFLFSKGDDSRTSSISSLLDGSVNREHWFFDALNGGPNASGLERGRSEASSIVSEVPDYLFGLENSDEAQPRDLKSKTRQLVHENVSVSDPGSPAPVVSSSPFCSTSSAPIVPSMPDLPPVKTKPDNPEPVLESNQSQTESFVEQPVSQPTGYSGSPMWHYVADSHYSAPPVPQIPVYYVPGHVQPGNRQVQPLQIRAQYVQQYPISAGQMPVGYPQPVPGVGQVYRPVAPVDPYDPALRMAPDGVKQQVYYGVRNAGPVPVYPGMVVPGGEEMGRSGSDTTPGRISQSGQ >EOY28463 pep chromosome:Theobroma_cacao_20110822:6:25416676:25420941:1 gene:TCM_030017 transcript:EOY28463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p family protein, putative isoform 3 MSSHHLSEPDSTTDSLASSPSSEHHAPHDSHARVRIMCSFGGNILPRPHDNQLRYVGGDTRIVAVHRSTSFSAFLTKLSRLSGIGNVSVKYQLPNEDLDALISVTTDEDLENMMEEYDRLAQNQNPRLARLRLFLFSKGDDSRTSSISSLLDGSVNREHWFFDALNGGPNASGLERGRSEASSIVSEVPDYLFGLENSDEAQPRDLKSKTRQLVHENVSVSDPGSPAPVVSSSPFCSTSSAPIVPSMPDLPPVKTKPDNPEPVLESNQSQTESFVEQPVSQPTGYSGSPMWHYVADSHYSAPPVPQIPVYYVPGHVQPGNRQVQPLQIRAQYVQQYPISAGQMPVGYPQPVPGVGQVYRPVAPVDPYDPALRMAPDGVKQQVYYGVRNAGPVPVYPGMVVPGGEEMGRSGSDTTPGRISQSGQ >EOY28465 pep chromosome:Theobroma_cacao_20110822:6:25417492:25420915:1 gene:TCM_030017 transcript:EOY28465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p family protein, putative isoform 3 MSSHHLSEPDSTTDSLASSPSSEHHAPHDSHARVRIMCSFGGNILPRPHDNQLRYVGGDTRIVAVHRSTSFSAFLTKLSRLSVTTDEDLENMMEEYDRLAQNQNPRLARLRLFLFSKGDDSRTSSISSLLDGSVNREHWFFDALNGGPNASGLERGRSEASSIVSEVPDYLFGLENSDEAQPRDLKSKTRQLVHENVSVSDPGSPAPVVSSSPFCSTSSAPIVPSMPDLPPVKTKPDNPEPVLESNQSQTESFVEQPVSQPTGYSGSPMWHYVADSHYSAPPVPQIPVYYVPGHVQPGNRQVQPLQIRAQYVQQYPISAGQMPVGYPQPVPGVGQVYRPVAPVDPYDPALRMAPDGVKQQVYYGVRNAGPVPVYPGMVVPGGEEMGRSGSDTTPGRISQSGQ >EOY27792 pep chromosome:Theobroma_cacao_20110822:6:23137493:23140328:1 gene:TCM_029548 transcript:EOY27792 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MGFTMGLNFLLLLAMVATNILSLYHLSSTVQSPKPPAPDPVPDHLLRQLNTIRATINHLTRHNPSTATTTAKPTSTVPQDLLLHSQIAPIASSCHNHPDLLHKYMTYTPFSICPYDPDLQETLILNGCHPLPRRRCFSKTPSKPSSSLPLNPFPTSLPDSSVIWNKYSCKSFACLLQNNPIGFDLNAQRSSLLKYSSELDLPINQFMQLAKSANSVIRLGIDIGGGTGTFAALMKKFYNVTMLTTTMNVNGPYNEAVALRGLVPLHVPLQQRLPVFDGTMDLVRCGRAVNRWIPVKVMEFMFYDVDRVLRGGGYLWVDRFFSKGVDLEKIYGPLIGKLGYTKVKWAVANKTDSSGLKNGEVYLTALLQKPVSK >EOY27791 pep chromosome:Theobroma_cacao_20110822:6:23137330:23139044:1 gene:TCM_029548 transcript:EOY27791 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MGFTMGLNFLLLLAMVATNILSLYHLSSTVQSPKPPAPDPVPDHLLRQLNTIRATINHLTRHNPSTATTTAKPTSTVPQDLLLHSQIAPIASSCHNHPDLLHKYMTYTPFSICPYDPDLQETLILNGCHPLPRRRCFSKTPSKPSSSLPLNPFPTSLPDSSVIWNKYSCKSFACLLQNNPIGFDLNAQRSSLLKYSSELDLPINQFMQLAKSANSVIRLGIDIGGGTGTFAALMKKFYNVTMLTTTMNVNGPYNEAVALRGLVPLHVPLQQRLPVFDGTMDLVRCGRAVNRWIPVKVMEFMFYDVDRVLRGGGYLWVDRFFSKGVDLEKIYGPLIGKLGYTKVKWAVANKTDSSGLKNGEVYLTALLQKPVSK >EOY26559 pep chromosome:Theobroma_cacao_20110822:6:13919981:13923413:-1 gene:TCM_028354 transcript:EOY26559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MGAAMALYSATSCALGRYGNGNPYPINLTVVVGLSGWLPGSRGLRNKIEVSHEAARRAASLPILLSHGTCDDVVPFKFGEKSAHSLNIAGFQYLTFKTYEGIGHYTVP >EOY26558 pep chromosome:Theobroma_cacao_20110822:6:13919981:13923715:-1 gene:TCM_028354 transcript:EOY26558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MSYSHHSMGSGSRTTRRSFDFGRTHVVRPKGKHQATIVWLHGLGDNGSSWSQLLESLPLPNIKWICPTAPTRPVALLGGFPCTAWFDVGELSEDGPDDWEGLDASAAHIANLLSTEPSDVKVGIGGFSMGAAMALYSATSCALGRYGNGNPYPINLTVVVGLSGWLPGSRGLRNKIEVSHEAARRAASLPILLSHGTCDDVVPFKFGEKSAHSLNIAGFQYLTFKTYEGIIGFDLDVQDWSLHCS >EOY26557 pep chromosome:Theobroma_cacao_20110822:6:13919555:13923599:-1 gene:TCM_028354 transcript:EOY26557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MSYSHHSMGSGLLLSLYYSLSHFASSTLLIALNFIDANFLGSRTTRRSFDFGRTHVVRPKGKHQATIVWLHGLGDNGSSWSQLLESLPLPNIKWICPTAPTRPVALLGGFPCTAWFDVGELSEDGPDDWEGLDASAAHIANLLSTEPSDVKVGIGGFSMGAAMALYSATSCALGRYGNGNPYPINLTVVVGLSGWLPGSRGLRNKIEVSHEAARRAASLPILLSHGTCDDVVPFKFGEKSAHSLNIAGFQYLTFKTYEGIGHYTVPKEMDEVCNWLTARLGLEGSR >EOY28216 pep chromosome:Theobroma_cacao_20110822:6:24602683:24604298:-1 gene:TCM_029847 transcript:EOY28216 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein METSPRPSPNPNFLASPKSHSPNSSTSSTSSSNNTNPPPPTPPAQQPKPITRCESANPYPTTFVQADTSSFKQVVQMLTGSSETAKLASSTKPNTSAQSDPNPKTHIPPIKSIPKNKQNSGFRLYERRSSLKNLKINPLNPVFGSNNSGFSPRKPEILSPSILDFPSLALSPVTPLIPDPFDRSGPGNYTNCFNNNAKLDKEAEEKAIKEKGFYLHPSPASTPRDSEPRLLPLFPVTSPRVSVKMGNRKGRGKTRDNICNVGYFERNEEKIFASIVKFYACFDFYFPSLLCLYARKFELRTIRK >EOY28974 pep chromosome:Theobroma_cacao_20110822:6:27017367:27019045:-1 gene:TCM_030425 transcript:EOY28974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative isoform 1 MVLKVDLQCYRCYKKVKKVLSKIPQIQDQVYNEKANTVTITVVCCSPEKIRDKICCKGGGSIKSIEIKPPPPPPPKPKEPEKKPEKPKEAEKKPEKPKEPEKKPEKPKEPEKKLKEPDKKPEKPKEAEKPKVPEKKPEKPKEAEKPPAPAPAPAPAPAPAPAPAPAPAPKPIEPAPPPPMAYPPLGYCCTDCYHGRGGGPCYYGGPPPRPCYETYGRPVYDSWGCSGGDYKYCYTSRGECLSEENPQACSIM >EOY28973 pep chromosome:Theobroma_cacao_20110822:6:26991822:27019273:-1 gene:TCM_030425 transcript:EOY28973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative isoform 1 MAEKKVTTMVLKVDLQCYRCYKKVKKVLSKIPQIQDQVYNEKANTVTITVVCCSPEKIRDKICCKGGGSIKSIEIKPPPPPPPKPKEPEKKPEKPKEAEKKPEKPKEPEKKPEKPKEPEKKLKEPDKKPEKPKEAEKPKVPEKKPEKPKEAEKPPAPAPAPAPAPAPAPAPAPAPAPKPIEPAPPPPMAYPPLGYCCTDCYHGRGGGPCYYGGPPPRPCYETYGRPVYDSWGCSGGDYKYCYTSRGECLSEENPQACSIM >EOY26260 pep chromosome:Theobroma_cacao_20110822:6:6728149:6728806:1 gene:TCM_027734 transcript:EOY26260 gene_biotype:protein_coding transcript_biotype:protein_coding description:To encode a PR protein, Belongs to the plant thionin family with the following members:, putative MGGRGVLMVCLVLGLLMGHSHSDTSFQICYCGCFVSCVITPGNNAFSCAINCLQECIFRNYLVEDTQYFCKLGCSTSKCTSLSSKENPAEANVGSCVDSCSDTCAVKN >EOY26898 pep chromosome:Theobroma_cacao_20110822:6:18949173:18952642:1 gene:TCM_028865 transcript:EOY26898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDATSPPSSAHQNHSRTPPNFLSGHACLLPTYLKRSGMCVSRAEDFMCMTSMDGDSAFSRPDCPCCTTYFDYLT >EOY25968 pep chromosome:Theobroma_cacao_20110822:6:3126022:3134515:-1 gene:TCM_027342 transcript:EOY25968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSALQATTSSTSKSNFDERRWVINIRRSLDEEVEGDIDVPVCIFNVPKTLMSSNPESYIPQLVALGPYHYWRPELYEMERYKLAAAKRTQKQLQSPNFHTLVDQLAKHEPRIRACYHSYLDFNGETLAWMMAIDASFLLEFLQIYALKEGKTLSRVSSRMSHLVDYTGRKSAHNAILRDIVMLENQIPLFILRKVLEVQYSSMETADDMLLSMLRGLCQELSPFKMMENMPKIDISRCAHVLDFLYDMIVPKVDEPSITNEAEDQKEAPEDKQSDIDSTDPSYLKQLLSEVWNLLSKIKRGPLRLIKAVLLSRPVRVILKLPWKILSNLPGFSILKQPIEYLLFSQDKEEEKSETSSGLNKPPLVEEIAIPSVADLSKSGVRFSPTNGSISNISFDVKTVTLYLPTISLDINTEVVLRNLVAYEASNASGPLIFTRYTELMNGIIDTEEDVKLLRESGVVLNHLKSDEEAADLWNGMSKSIRLTKVPFLDKAIEDVNRYHNCRWNIKARNFFKHYVYGSWQFLTLLAAIMLLILMTFQAFCTVYSCFRILGTQTSD >EOY25906 pep chromosome:Theobroma_cacao_20110822:6:2639067:2645649:1 gene:TCM_027273 transcript:EOY25906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MAGTLLLSPQPLTLPNFSVSTKKPLINSQRATKLVTRASATEKNDDKSNDDKGFIPFGFVTDNPSSRSAIQLPETPAQDGNVGQMLYRIEDKGKEYGSYIKSGKFRWFVRETGSPQSRRGTVVFLHGAPTQSYSYRVVMSQMSDAGFHCFAPDWIGFGFSDKPQPGYGFDYTEKEFHEELDKLLDVLGVKSPFFLVVQGFLVGSYGLTWALKNPSKISKLAILNTPLTVSSPIPGLFQKLRIPLFGEFTSQNAVMAERFIEAGSPYVLKLEKADVYRLPYLSSSGPGFALLEAARKINFRDISSQIADGFASGRWDKTILIAWGIADKYLPQSVAEEFQKGNPNSVELELIEGAGHMPQEDWPEKVVDALKRFL >EOY25905 pep chromosome:Theobroma_cacao_20110822:6:2639067:2645649:1 gene:TCM_027273 transcript:EOY25905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MAGTLLLSPQPLTLPNFSVSTKKPLINSQRATKLVTRASATEKNDDKSNDDKGFIPFGFVTDNPSSRSAIQLPETPAQDGNVGQMLYRIEDKGKEYGSYIKSGKFRWFVRETGSPQSRRGTVVFLHGAPTQSYSYRVVMSQMSDAGFHCFAPDWIGFGFSDKPQPGYGFDYTEKEFHEELDKLLDVLGVKSPFFLVVQGFLVGSYGLTWALKNPSKISKLAILNTPLTVSSPIPGLFQKLRIPLFGEFTSQNAVMAERFIEAGSPYVLKLEKADVYRLPYLSSSGPGFALLEAARKINFRDISSQIADGFASGRWDKTILIAWGIADKYLPQSVAEEFQKGNPNSVELELIEGAGHMPQEDWPEKVVDALKRFL >EOY26999 pep chromosome:Theobroma_cacao_20110822:6:19657156:19661895:1 gene:TCM_028955 transcript:EOY26999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MEMFKCFSLALFGGLAVVIQLQVLQVHGQDQSGFISLDCGLPRDSNYTETTTGLNYSSDASFIDTGLSRSILPEFRTNVQQQMWYVRSFPGGPRNCYNFRLQTGNKYLIRASFMYGNYDSQDKALAFDLHLGPNLWFSVRLENASTFINTEIIHVLSSNNLYVCLVNTGNGTPFISALELRHLMNSIYKTQTGSLDLFARLDIGSKDNETIRYKDDVYDRLWRPQSFTGWNQLRTSHTIDSDGHNDFQPPSAVMRTAATPKNASMPIDILLDADNSTAKFYVYMHFAEVKKLQDNEYRQFNISLNGQLWFGPFTPDYLSTTTIYTPSGLTGRQYQFSIYKADNSSLPPILNALEVYTVKELLQSQTDPMDVEAITNIKSMYRLSRNWQGDPCAPQDYLWDGLNCSYTGSGPPRIISLDLSDNSLMGPTPEFLSEMTSLRVLSLRGNMLNGSVPVELVERSKNGSLLLSQVAVKMFSSISVQSYKKFQAKVEPLLGIHHRNLTSLVGYCDEDTNKGLIYEYMSNGNFEHHLSESNTNILSWEQRLKIAMGAAQGLEQLHNGSKPPIIHRDVNPTNILLNEDLQAKLADFGLSRTFPVDDDTPVSTLIAGTPGYQDPEYIISNNLTEKSDVYSFGVVLLETITSRLFVMKSHETVHISDWVNSMLSNGDAKNIVDTRLQGDFDMNSLSKAIELALACVSPQSLARPAVNHAVME >EOY28785 pep chromosome:Theobroma_cacao_20110822:6:26526862:26532301:-1 gene:TCM_030289 transcript:EOY28785 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERD (early-responsive to dehydration stress) family protein isoform 3 MATLGDIGVAAGINLLSAVVFFLAFAILRLQPFNDRVYFPKWYLKGLRSSPSGSGAFVRKFVNLDFRSYLRFLHWMPEALKMPEPELIEHAGLDSAVYLRIYLIGLKIFVPIAFLAWAVLVPVNYTNKTLELQLKNVTSSDIDKLSISNIRRGSDRLWTHIVVAYAFTFWTFYVLLKEYETVAAMRLQFLASEKRRPDQFTVLVRNVPPDPDESVSESVEHFFLVNHPDTYLTHQAVCNANKLAKLVKKRKSKQNWLDYYQLKYSRNSAKRPFMKTGFLGLRGEKVDAIDHHISEIEKLSKEIAEERERVKKDPKCIMPAAFVSFKSRWGAAVCAQTQQSRNPTLWLTEWASEPRDVYWQNLAIPYVSLAVRRLIMAVAFFFLTFFFMIPIASVQALASIEGLEKAAPFLKPLIEIKFIKSVIQGFLPGIVLKLFLIFLPTILMIMSKFEGFTSISSLERRSATRYYLFNLVNVFLGSVIAGSALEQLNTFVKQSANESLMSTIKSMRVLQHSGLMSMDAL >EOY28783 pep chromosome:Theobroma_cacao_20110822:6:26525803:26532177:-1 gene:TCM_030289 transcript:EOY28783 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERD (early-responsive to dehydration stress) family protein isoform 3 MATLGDIGVAAGINLLSAVVFFLAFAILRLQPFNDRVYFPKWYLKGLRSSPSGSGAFVRKFVNLDFRSYLRFLHWMPEALKMPEPELIEHAGLDSAVYLRIYLIGLKIFVPIAFLAWAVLVPVNYTNKTLELQLKNVTSSDIDKLSISNIRRGSDRLWTHIVVAYAFTFWTFYVLLKEYETVAAMRLQFLASEKRRPDQFTVLVRNVPPDPDESVSESVEHFFLVNHPDTYLTHQAVCNANKLAKLVKKRKSKQNWLDYYQLKYSRNSAKRPFMKTGFLGLRGEKVDAIDHHISEIEKLSKEIAEERERVKKDPKCIMPAAFVSFKSRWGAAVCAQTQQSRNPTLWLTEWASEPRDVYWQNLAIPYVSLAVRRLIMAVAFFFLTFFFMIPIASVQALASIEGLEKAAPFLKPLIEIKFIKSVIQGFLPGIVLKLFLIFLPTILMIMSKFEGFTSISSLERRSATRYYLFNLVNVFLGSVIAGSALEQLNTFVKQSANEIPKTIGVAVPMRATFFITYIMVDGWAGIAAEILMLKPLIIYHLKNFFLVKTEKDREEAMDPGSLGFNTGEPRIQLYFLLGMVYATITPVLLPFIIVFFGLAYVVFRHQIINVYNQEYESAAAFWPDVHGRIIIALLISQITLIGLLSTMQAAQSTPFLIALAVLTIWFYRFCKARYEPAFVRYPLQEAMMKDTLERAREPNLNLKPYLHNAYVHPVFKEEDDDDGDDFMFKSENESVLVPTKRQSRRNTPVPSRISGASSPSLPEAVPEHSEP >EOY28784 pep chromosome:Theobroma_cacao_20110822:6:26526905:26532301:-1 gene:TCM_030289 transcript:EOY28784 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERD (early-responsive to dehydration stress) family protein isoform 3 MATLGDIGVAAGINLLSAVVFFLAFAILRLQPFNDRVYFPKWYLKGLRSSPSGSGAFVRKFVNLDFRSYLRFLHWMPEALKMPEPELIEHAGLDSAVYLRIYLIGLKIFVPIAFLAWAVLVPVNYTNKTLELQLKNVTSSDIDKLSISNIRRGSDRLWTHIVVAYAFTFWTFYVLLKEYETVAAMRLQFLASEKRRPDQFTVLVRNVPPDPDESVSESVEHFFLVNHPDTYLTHQAVCNANKLAKLVKKRKSKQNWLDYYQLKYSRNSAKRPFMKTGFLGLRGEKVDAIDHHISEIEKLSKEIAEERERVKKDPKCIMPAAFVSFKSRWGAAVCAQTQQSRNPTLWLTEWASEPRDVYWQNLAIPYVSLAVRRLIMAVAFFFLTFFFMIPIASVQALASIEGLEKAAPFLKPLIEIKFIKSVIQGFLPGIVLKLFLIFLPTILMIMSKFEGFTSISSLERRSATRYYLFNLVNVFLGSVIAGSALEQLNTFVKQSANEIPKTIGVAVPMRATFFITYIMVDGWAGIAAEILMLKPLIIYHLKNFFLVKTEKDREEAMDPGSLGFNTGEPRIQLYFLLGMVYATITPVLLPFIIVFFGLAYVVFRHQVKNLDMEYSLQW >EOY25786 pep chromosome:Theobroma_cacao_20110822:6:1891564:1895808:-1 gene:TCM_027151 transcript:EOY25786 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein isoform 2 MGEIKDNDAYEEELLDYEEEDEKAPDSVSAKAADSAKKGYVGIHSSGFRDFLLKPELLRSIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPVAGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVSVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLGELEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLTRYKSFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVSSVFILQSNQSHVDLDFLFFFCEFSVNAGG >EOY25787 pep chromosome:Theobroma_cacao_20110822:6:1890295:1896105:-1 gene:TCM_027151 transcript:EOY25787 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein isoform 2 MGEIKDNDAYEEELLDYEEEDEKAPDSVSAKAADSAKKGYVGIHSSGFRDFLLKPELLRSIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPVAGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVSVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQQVQARFEVDIKELPEQIDTSTYMPS >EOY25785 pep chromosome:Theobroma_cacao_20110822:6:1890260:1896230:-1 gene:TCM_027151 transcript:EOY25785 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein isoform 2 MGEIKDNDAYEEELLDYEEEDEKAPDSVSAKAADSAKKGYVGIHSSGFRDFLLKPELLRSIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPVAGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVSVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLGELEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLTRYKSFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSSSDSDVLNQVQARFEVDIKELPEQIDTSTYMPS >EOY27499 pep chromosome:Theobroma_cacao_20110822:6:22122987:22149254:-1 gene:TCM_029338 transcript:EOY27499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein, putative MELCVLAEGQKYPKELLDRDASKKLKNISLALPEVREKTIYNMVRAKDGPCCGEVVQNFGMEVSMNMTKVPGRVLSPPELNVGAPGGRKMKIKVDNEKCHWNLIRKCVLEGKQIDRWAVLDFSSATPNQPFIQKLTNQCNNLGIRMGEPLHYQMARMDNLNDKDLLQEMLEHIQHLSYEKGKGRLQFLLCVMSKQHPGYNFLKFISETKVGVMTQCSVVASMSWPVPNRYAAKIRPQDPRSEKIRDFGEMCLELIDSYVTLNKVKPAKIMIFRDGVSETQFDMVLNEELVGVKGAFQAMNYFPTITVIVAQKRHRTRFFLETKEDGGSSGNVPPGTVIDSTVVYPSGFHFHLFSQYGSIGTSKSTQYQVLWDEHRSGERQLTALVGSDVGVKVRDLGRGKNVDFLERERKGSGGRERKWGQKMKA >EOY25701 pep chromosome:Theobroma_cacao_20110822:6:1318101:1327997:1 gene:TCM_027085 transcript:EOY25701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADFTKNLIMSRCSQSVPLSESAALTVLPQNSFLFSFPNLPLLSPSLLHHELSQTTFSGIIYSYLREPLLQWPKISQSTSDIGNSRLENLDLDISEKSMESPLDDSVSDVIHQPSSQASYESWSNVVKPTASAFPNIQPESSFHFGDPGDSIKDSSSGTSEMADSYHSSLSLMRPEIEKSYRVNKTKTSLSRIPLPQSAASFYNGHSPRMEIVESCESIKSLNMFLKSKRDEVDAGVPGRFLHTVIGQDVSDVGSVAAAIMYAFYLNGMLESHQFCTVPVINMKRTELNSHAELKWLLDSCQIDQSSLIFINEIDLSYYDLFGSLKLVLLNGSKLPTKQEALKESVIEIFNCPKGERVYPWVEKVTVGQECSPCTLIAEKFAMTSPEILAGQGFSRLLLAGILLDTGNLSSPQCTSKDKYMATLLINGAGRFGCNGLYQILRYKLYDVSELGVADILGKDFKKWTRVGKLDNAGSRLMVSHVGMSSIGISLQQLLAHGNASTREIRLFQQMEKLRLLMIVSGYYDQQQNFKREILVSTESVEVMKNSLFFFNSNASQLPLKVIYQPELGEDMRAFEIDKVTSRKTIERLLEEFGGTAKVNAASQPK >EOY28300 pep chromosome:Theobroma_cacao_20110822:6:24867324:24869920:-1 gene:TCM_029908 transcript:EOY28300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain protein isoform 1 MASFDAYGMEGEEIHASPNNHPFDVDDESYSNYGSYSNFTDNQQFPADGGDVAVDHVTSSPEIFGFGSSDPTPAYSQSPFGTTIPVENGNGTNGFGDGNDDVFASDGPVLPPPGEMEPEEGFAFREWRRQNAILLEEKEKKEKELRNQIIEEAEEYKRAFYEKREKTIETNKTNNREREKLYMANQEKFHKTADKQYWTAIAELIPREVPNIEKKRGKKDQEKKPSITVIQGPKPGKPTDLSRMRHILVKLKHAPPPHMIPPPPAPAKDAKDGKDEKDTKNGKDAASNGTTSAEKGAPASSAKDATANGSSPEQDATAAKDQPAAEPEVTPAA >EOY28299 pep chromosome:Theobroma_cacao_20110822:6:24866708:24870051:-1 gene:TCM_029908 transcript:EOY28299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain protein isoform 1 MASFDAYGMEGEEIHASPNNHPFDVDDESYSNYGSYSNFTDNQQFPADGGDVAVDHVTSSPEIFGFGSSDPTPAYSQSPFGTTIPVENGNGTNGFGDGNDDVFASDGPVLPPPGEMEPEEGFAFREWRRQNAILLEEKEKKEKELRNQIIEEAEEYKRAFYEKREKTIETNKTNNREREKLYMANQEKFHKTADKQYWTAIAELIPREVPNIEKKRGKKDQEKKPSITVIQGPKPGKPTDLSRMRHILVKLKHAPPPHMIPPPPAPAKDAKDGKDEKDTKNGKDAASNGTTSAEKGAPASSAKDATANAKATSQAISLAGMHNRQTSYNQNSMEIIYFLSATPKP >EOY25577 pep chromosome:Theobroma_cacao_20110822:6:520242:520860:1 gene:TCM_026962 transcript:EOY25577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFINHIKLCVPEEEQEIPLPKAKLAHLLKGMALIHQVVGWWGGSSSKLHPAMFRKLIQDDSTRRKRAKASTLGLKFCFLDLLQQTISMSVGSITYQDKFNVINRNFHYEIESYS >EOY28430 pep chromosome:Theobroma_cacao_20110822:6:25337584:25340082:1 gene:TCM_029999 transcript:EOY28430 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MNSKMGEEEKPKQQQHQNSTESFTAMKSSLRQAIEVISSLISLSHSIRVFTVKWQLIRKKLEELSSGLMAIENCDSSENTAVFSGLIPSILVTVNECYDLARRCVDLSYSGKLLMQSDLDVLVAKFDRHVKNLSEIYTAGILTQGFAIVVSRPGPGACKDDMRFYIRDLLTRMKIGDIEMKRQALVNLHDVVGEDERYVKLVVEVGDVVNVLVGFLDSPEMEIQEEASKIVSLLSGFDLYKCVLVGAGIIGPLIRVLESGGDVGKEGAARCLQKLTVNSDNAWSVSAHGGVTALLKICSTGDCGGELIGPACGVLRNLVGVEEIKRFMVEEGAISTFIKLARSREETVQINSIEFLQNMASGDESVRQTVVKEGGVRALVRVLDPKSATSSKTREVALRAIENLCFCSQNYINMLMIFGFIDQLYFFLRNGEVSVQELALKVTFRLCGTSDEAKKAMGDAGIMPELVKLLDAKSYEVREMATEALSSLVSLPKNRKRFVQDDRNIGFLLQLLDQEEGMPGNKKLLLSILMSLTSCNSGRRKIASSGYLKNVEKLAEAEVSDAKRLVRKLSTNRFRSMLSGFWHS >EOY26757 pep chromosome:Theobroma_cacao_20110822:6:17710518:17712538:-1 gene:TCM_028721 transcript:EOY26757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycofamily protein isoform 1 MVFSKLEQPPPPEEPSSPCHYFTALPSQPPDQNYLVLPYYRPTLRWCGCRILCTASLVLLATSVYIFWPSDPEVKIVRMHVDRMQLHTIPIIALDISLLVTLKVRNSDVYSVDFTSLDVAVGYRGKMLGHVTSEHGHVRAWGSSYVQAELELNGVEVLSDVVYMLEDLARGTVPFDTVTEVAGWLGLSLFKFPLKARVSCEIVVNRTNQTIIRQNCYPMSSN >EOY26756 pep chromosome:Theobroma_cacao_20110822:6:17710351:17738250:-1 gene:TCM_028721 transcript:EOY26756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycofamily protein isoform 1 MVFSKLEQPPPPEEPSSPCHYFTALPSQPPDQNYLVLPYYRPTLRWCGCRILCTASLVLLATSVYIFWPSDPEVKIVRMHVDRMQLHTIPIIALDISLLVTLKVRNSDVYSVDFTSLDVAVGYRGKMLGHVTSEHGHVRAWGSSYVQAELELNGVEVLSDVVYMLEDLARGTVPFDTVTEVAGWLGLSLFKFPLKARVSCEIVVNRTNQTIIRQNCYPMVAASQPGATTHYHCNYREIVGQITSRACLV >EOY26755 pep chromosome:Theobroma_cacao_20110822:6:17710007:17712609:-1 gene:TCM_028721 transcript:EOY26755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycofamily protein isoform 1 MVFSKLEQPPPPEEPSSPCHYFTALPSQPPDQNYLVLPYYRPTLRWCGCRILCTASLVLLATSVYIFWPSDPEVKIVRMHVDRMQLHTIPIIALDISLLVTLKVRNSDVYSVDFTSLDVAVGYRGKMLGHVTSEHGHVRAWGSSYVQAELELNGVEVLSDVVYMLEDLARGTVPFDTVTEVAGWLGLSLFKFPLKARVSCEIVVNRTNQTIIRQNCYPMK >EOY27394 pep chromosome:Theobroma_cacao_20110822:6:21549056:21549594:1 gene:TCM_029252 transcript:EOY27394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLGIRKFFYDVLIFVKRQMLYGKPALRPQQRTRPSFNRDQVKATKYDANIRSCPNCKIIAKNVLGPSNTNSGAQIQTVKVIGMSRIVLSNSKKT >EOY27902 pep chromosome:Theobroma_cacao_20110822:6:23533230:23535647:-1 gene:TCM_029622 transcript:EOY27902 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOD26-like intrinsic protein 1,2 isoform 2 MAEISGSNGVVLNVNGEANHNPPPSAAKSKGSDIGFSVPFMQKLMAEVLGTYFLIFAGCGSVVVNVNNEKVVSLPGISMVWGLAVMVLVYSVGHISGAHFNPAVTIAFATCKRFPLKQVPAYISAQVLGSTLAAGTLRLLFNGTHDVFFGTSPQGSDLQAFVMEFIITFYLMFIISGVATDNRAVSPPSSVFTFIVSFVERKIMEIGELAGLAVGATVLLNVMFAGPITGASMNPARSLGPAIVSNNYKGIWIYLTSPTLGAVSGAWVYNMVRYTDKPLREITKSASFLKSSASRNCS >EOY27903 pep chromosome:Theobroma_cacao_20110822:6:23533146:23535579:-1 gene:TCM_029622 transcript:EOY27903 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOD26-like intrinsic protein 1,2 isoform 2 MAEISGSNGVVLNVNGEANHNPPPSAAKSKGSDIGFSVPFMQKLMAEVLGTYFLIFAGCGSVVVNVNNEKVVSLPGISMVWGLAVMVLVYSVGHISGAHFNPAVTIAFATCKRFPLKQVPAYISAQVLGSTLAAGTLRLLFNGTHDVFFGTSPQGSDLQAFVMEFIITFYLMFIISGVATDNRAIGELAGLAVGATVLLNVMFAGPITGASMNPARSLGPAIVSNNYKGIWIYLTSPTLGAVSGAWVYNMVRYTDKPLREITKSASFLKSSASRNCS >EOY28411 pep chromosome:Theobroma_cacao_20110822:6:25263421:25272301:-1 gene:TCM_029983 transcript:EOY28411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 METDETPRVLPFQLQFDKPVASQIKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTISPGRCITSLCWRPDGKAIAVGLEDGTISLHDVENGKLLRSLKSHTVAVVSLNWEEDGQVIRDDSVNNSKYEDRTSCFFPPAPRVPRMPGLVPGDTGFMDDSEDSFRELSNSSYQRFNILCSGDKDGSICFSIFGIFPIGKINIHKLSIPTPFANEQATYRLLNASISKVALSKDLCHSIVMCSGELNQDEVESQEGQLGVHGMRGLHCLLLDTSIFWKRKNELHQVAQQASNIEDLIEVIRTSLSVMWLDSSPQEEFLGLLGGARTSPPVHQFLVNSLGELGVKRVSKVVCGAGKELQHVVLDHLQPSAEIIGFRMGELRGLSRWRTRFRGIGLDETLINNATEKSGMLIVQVERFMRVLSSVVQQFLYDQDPVRPFLELSEVDIETDMETLQRVRELVHFGGFSDCEYLRRTLSEEFQQMESSFKEAFLMPFTTISQKILCKDVLPLFALPSSPASMSVTVPMSVTFYKDASTAMSSYQTHEHGYIDYISFQIPGDSSLDIANCIGISKGFMHSSSNITEDSASLEAVLLSVPDGYHCVDLSLYKEGQIVLLLNETTAASESFGESCMMIVQANDLPFVSISRSSCINRWNLNQLKDSVMYLQLENEKVRIIPHSVVAPLAVSGIQRRSLCFRCKKTCLGLHTG >EOY28408 pep chromosome:Theobroma_cacao_20110822:6:25264839:25272045:-1 gene:TCM_029983 transcript:EOY28408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 METDETPRVLPFQLQFDKPVASQIKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTISPGRCITSLCWRPDGKAIAVGLEDGTISLHDVENGKLLRSLKSHTVAVVSLNWEEDGQVIRDDSVNNSKYEDRTSCFFPPAPRVPRMPGLVPGDTGFMDDSEDSFRELSNSSYQRFNILCSGDKDGSICFSIFGIFPIGKINIHKLSIPTPFANEQATYRLLNASISKVALSKDLCHSIVMCSGELNQDEVESQEGQLGVHGMRGLHCLLLDTSIFWKRKNELHQVAQQASNIEDLIEVIRTSLSVMCKQWSDAMHTFREKFDSLSSLIIDHGLDSSPQEEFLGLLGGARTSPPVHQFLVNSLGELGVKRVSKVVCGAGKELQHVVLDHLQPSAEIIGFRMGELRGLSRWRTRFRGIGLDETLINNATEKSGMLIVQVERFMRVLSSVVQQFSNFFNWLLKCIKLLMQEPSDQLLPYNSELVVVFLKFLYDQDPVRPFLELSEVDIETDMETLQRVRELVHFGGFSDCEYLRRTLSEEFQQMESSFKEAFLMPFTTISQKILCKDVLPLFALPSSPASMSVTVPMSVTFYKDASTAMSSYQTHEHGYIDYISFQIPGDSSLDIANCIGISKGFMHSSSNITEDSASLEAVLLSVPDGYHCVDLSLYKVKT >EOY28407 pep chromosome:Theobroma_cacao_20110822:6:25263054:25272477:-1 gene:TCM_029983 transcript:EOY28407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 METDETPRVLPFQLQFDKPVASQIKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTISPGRCITSLCWRPDGKAIAVGLEDGTISLHDVENGKLLRSLKSHTVAVVSLNWEEDGQVIRDDSVNNSKYEDRTSCFFPPAPRVPRMPGLVPGDTGFMDDSEDSFRELSNSSYQRFNILCSGDKDGSICFSIFGIFPIGKINIHKLSIPTPFANEQATYRLLNASISKVALSKDLCHSIVMCSGELNQDEVESQEGQLGVHGMRGLHCLLLDTSIFWKRKNELHQVAQQASNIEDLIEVIRTSLSVMCKQWSDAMHTFREKFDSLSSLIIDHGLDSSPQEEFLGLLGGARTSPPVHQFLVNSLGELGVKRVSKVVCGAGKELQHVVLDHLQPSAEIIGFRMGELRGLSRWRTRFRGIGLDETLINNATEKSGMLIVQVERFMRVLSSVVQQFSNFFNWLLKCIKLLMQEPSDQLLPYNSELVVVFLKFLYDQDPVRPFLELSEVDIETDMETLQRVRELVHFGGFSDCEYLRRTLSEEFQQMESSFKEAFLMPFTTISQKILCKDVLPLFALPSSPASMSVTVPMSVTFYKDASTAMSSYQTHEHGYIDYISFQIPGDSSLDIANCIGISKGFMHSSSNITEDSASLEAVLLSVPDGYHCVDLSLYKEGQIVLLLNETTAASESFGESCMMIVQANDLPFVSISRSSCINRWNLNQLKDSVMYLQLENEKVRIIPHSVVAPLAVSASRGVACVFAARKRALVYILDEDEDDISDTE >EOY28409 pep chromosome:Theobroma_cacao_20110822:6:25265391:25272045:-1 gene:TCM_029983 transcript:EOY28409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 METDETPRVLPFQLQFDKPVASQIKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTISPGRCITSLCWRPDGKAIAVGLEDGTISLHDVENGKLLRSLKSHTVAVVSLNWEEDGQVIRDDSVNNSKYEDRTSCFFPPAPRVPRMPGLVPGDTGFMDDSEDSFRELSNSSYQRFNILCSGDKDGSICFSIFGIFPIGKINIHKLSIPTPFANEQATYRLLNASISKVALSKDLCHSIVMCSGELNQDEVESQEGQLGVHGMRGLHCLLLDTSIFWKRKNELHQVAQQASNIEDLIEVIRTSLSVMCKQWSDAMHTFREKFDSLSSLIIDHGLDSSPQEEFLGLLGGARTSPPVHQFLVNSLGELGVKRVSKVVCGAGKELQHVVLDHLQPSAEIIGFRMGELRGLSRWRTRFRGIGLDETLINNATEKSGMLIVQVERFMRVLSSVVQQFSNFFNWLLKCIKLLMQEPSDQLLPYNSFYMIKILLGPFWSCLKLILKLTWKHCKELENWFILGDFQIVNICGGHYQRNFNRWSLVSRRLS >EOY28410 pep chromosome:Theobroma_cacao_20110822:6:25263548:25272336:-1 gene:TCM_029983 transcript:EOY28410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 METDETPRVLPFQLQFDKPVASQIKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTISPGRCITSLCWRPDGKAIAVGLEDGTISLHDVENGKLLRSLKSHTVAVVSLNWEEDGQVIRDDSVNNSKYEDRTSCFFPPAPRVPRMPGLVPGDTGFMDDSEDSFRELSNSSYQRFNILCSGDKDGSICFSIFGIFPIGKINIHKLSIPTPFANEQATYRLLNASISKVALSKDLCHSIVMCSGELNQDEVESQEGQLGVHGMRGLHCLLLDTSIFWKRKNELHQVAQQASNIEDLIEVIRTSLSVMCKQWSDAMHTFREKFDSLSSLIIDHGLDSSPQEEFLGLLGGARTSPPVHQFLVNSLGELGVKRVSKVVCGAGKELQHVVLDHLQPSAEIIGFRMGELRGLSRWRTRFRGIGLDETLINNATEKSGMLIVQVERFMRVLSSVVQQFLYDQDPVRPFLELSEVDIETDMETLQRVRELVHFGGFSDCEYLRRTLSEEFQQMESSFKEAFLMPFTTISQKILCKDVLPLFALPSSPASMSVTVPMSVTFYKDASTAMSSYQTHEHGYIDYISFQIPGDSSLDIANCIGISKGFMHSSSNITEDSASLEAVLLSVPDGYHCVDLSLYKEGQIVLLLNETTAASESFGESCMMIVQANDLPFVSISRSSCINRWNLNQLKDSVMYLQLENEKVRIIPHSVVAPLAVSASRGVACVFAARKRALVYILDEDEDDISDTE >EOY25471 pep chromosome:Theobroma_cacao_20110822:6:153968:161781:1 gene:TCM_026893 transcript:EOY25471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase isoform 2 MPSHVIANDESENILGVVPLYLKSHSYGEFVFDHSWAYAYYSFGSRYYPKFQCCVPFTPVTGPRILIRNTSFKDQVFDIIVSALKDLTAKSQVSSLHITFPSETEWEKLKDKGFLQRIGMQYHWKNRNYKCFDEFLMDMKQSKRKNIRQERKKISAQNLTMKRLRGYEIKASHWDSFYKFYRNTTANKWGSPYLTGDFFHEMGSKMGDQVLLVVAEEGDEVVAGALNLIGGDTLFGRLWGCHPQVHYPSLHFEACYYQAIEAAIELNLSTVEAGAQGEHKIQRGYVPVTTYSCHYLMDEGFRKAIEDFLERESCQRKQQIHWCFPAKYVNW >EOY25469 pep chromosome:Theobroma_cacao_20110822:6:154111:162299:1 gene:TCM_026893 transcript:EOY25469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase isoform 2 MAAAAVLSYCKPSPFIGQFPSSFGKSVSSQSFEISTQASRVTALFWGSKKSVKSQPLDSSLGDFTLIGAGTEEATGNQARGKKISVSIISSIMEVSPHEWDACTLDATGPEKFNPFLTHGFLSSLEETGCAVKETGWMPSHVIANDESENILGVVPLYLKSHSYGEFVFDHSWAYAYYSFGSRYYPKFQCCVPFTPVTGPRILIRNTSFKDQVFDIIVSALKDLTAKSQVSSLHITFPSETEWEKLKDKGFLQRIGMQYHWKNRNYKCFDEFLMDMKQSKRKNIRQERKKISAQNLTMKRLRGYEIKASHWDSFYKFYRNTTANKWGSPYLTGDFFHEMGSKMGDQVLLVVAEEGDEVVAGALNLIGGDTLFGRLWGCHPQVHYPSLHFEACYYQAIEAAIELNLSTVEAGAQGEHKIQRGYVPVTTYSCHYLMDEGFRKAIEDFLERESCQVRLVMKLLNDSGPFKEGIH >EOY25470 pep chromosome:Theobroma_cacao_20110822:6:153968:161781:1 gene:TCM_026893 transcript:EOY25470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase isoform 2 MPSHVIANDESENILGVVPLYLKSHSYGEFVFDHSWAYAYYSFGSRYYPKFQCCVPFTPVTGPRILIRNTSFKDQVFDIIVSALKDLTAKSQVSSLHITFPSETEWEKLKDKGFLQRIGMQYHWKNRNYKCFDEFLMDMKQSKRKNIRQERKKISAQNLTMKRLRGYEIKASHWDSFYKFYRNTTANKWGSPYLTGDFFHEMGSKMGDQVLLVVAEEGDEVVAGALNLIGGDTLFGRLWGCHPQVHYPSLHFEACYYQAIEAAIELNLSTVEAGAQGEHKIQRGYVPVTTYSCHYLMDEGFRKAIEDFLERESCQVRLVMKLLNDSGPFKEGIH >EOY28064 pep chromosome:Theobroma_cacao_20110822:6:24129301:24132535:1 gene:TCM_029743 transcript:EOY28064 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein MRPKIYLFGDSITEESFRDGGWGASLANLFSRTVDVVLRGYSGYNSRYAAFQQVPVDEYKQNLHSIVSSLKKRWPKALILLITPPPIDEDERLRHPYAENPSGLPERTNEAACAFAKAVLKLLGNVESLW >EOY26095 pep chromosome:Theobroma_cacao_20110822:6:4366008:4370192:1 gene:TCM_027490 transcript:EOY26095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIKEARNLNTFKLDGLVGSLLTYEMTFKHGNEIDDARKKDIKKKGVTLKSTIEEDEKSTKEENEENEDIALLVKRFNKSMRKKYRGRRPPRRDGPKCENSKDHLIFYNVEN >EOY27248 pep chromosome:Theobroma_cacao_20110822:6:20862180:20863075:1 gene:TCM_029135 transcript:EOY27248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein 16 MAAAKVFSYLFILIALFGVVASEPDHLRDLCVANKAAGIKVNGFPCKDKANVTEADFFFTGLANPGAINNSIGSVVTGANVDKIPGFITTANKLISKSIKKGDIFVFAKGLVHFLKNNGAKPASVIAGFNSQLPGTQFIAATLFTSTPPVPDNALTKTFQIGTKEVNKIKNKLAPKKS >EOY27010 pep chromosome:Theobroma_cacao_20110822:6:19720314:19725353:-1 gene:TCM_028965 transcript:EOY27010 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA methyltransferase family protein isoform 3 MATIPSHALRHLTVTRPWLRKIRCTASLAFCSPDNYINGNADTKTTNNSNSQAFFPKKNQVLELECESLAFKGKGVCKVADSGFVLLCDRALPGERFIGRVTRKKGSYAEVTKLKTISPHWDLVDAPCEYASYCGGCKTQNLSYEAQVRAKEQQVRELLINVGKFSDKSPDFSSIMKPIVPCDIQFHYRNKMEFSFGSQKWLPKELLDQKLDDNENYALGLHAPGFFDKILNVDKCLLQSEPANKILATVQDHWKDPELGLSPYNVHTHAGFLKHLVLRTGRDMKTGLPELMVNFVTSSYKPGLLKLLVEKISAIPEVASIVNNVNTSVGNTSVGEEEYTLYGKSTITESLRGLTFQISANSFFQTNTRQAEVLYKLIEDCAGLRGDGSEVVLDLFCGTGTIGLTLAKTARHVYGYEVVAQAVADAHRNALLNGISNATFVQGDLNKIGENFGKDFPKPDIVISADPNRPGMHMKLIKFLLKLKAPRIVYVSCNPATCARDLDYLCHGVERAKHQRMLPVKKHRASGHVPSYSPY >EOY27009 pep chromosome:Theobroma_cacao_20110822:6:19719820:19726006:-1 gene:TCM_028965 transcript:EOY27009 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA methyltransferase family protein isoform 3 MATIPSHALRHLTVTRPWLRKIRCTASLAFCSPDNYINGNADTKTTNNSNSQAFFPKKNQVLELECESLAFKGKGVCKVADSGFVLLCDRALPGERFIGRVTRKKGSYAEVTKLKTISPHWDLVDAPCEYASYCGGCKTQNLSYEAQVRAKEQQVRELLINVGKFSDKSPDFSSIMKPIVPCDIQFHYRNKMEFSFGSQKWLPKELLDQKLDDNENYALGLHAPGFFDKILNVDKCLLQSEPANKILATVQDHWKDPELGLSPYNVHTHAGFLKHLVLRTGRDMKTGLPELMVNFVTSSYKPGLLKLLVEKISAIPEVASIVNNVNTSVGNTSVGEEEYTLYGKSTITESLRGLTFQISANSFFQTNTRQAEVLYKLIEDCAGLRGDGSEVVLDLFCGTGTIGLTLAKTARHVYGYEVVAQAVADAHRNALLNGISNATFVQGDLNKIGENFGKDFPKPDIVISDPNRPGMHMKLIKFLLKLKAPRIVYVSCNPATCARDLDYLCHGVREQNIKGCYQLKSIEPVDMFPHTPHIECVCQLELS >EOY27011 pep chromosome:Theobroma_cacao_20110822:6:19721357:19725335:-1 gene:TCM_028965 transcript:EOY27011 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA methyltransferase family protein isoform 3 MATIPSHALRHLTVTRPWLRKIRCTASLAFCSPDNYINGNADTKTTNNSNSQAFFPKKNQVLELECESLAFKGKGVCKVADSGFVLLCDRALPGERFIGRVTRKKGSYAEVTKLKTISPHWDLVDAPCEYASYCGGCKTQNLSYEAQVRAKEQQVRELLINVGKFSDKSPDFSSIMKPIVPCDIQFHYRNKMEFSFGSQKWLPKELLDQKLDDNENYALGLHAPGFFDKILNVDKCLLQSEPANKILATVQDHWKDPELGLSPYNVHTHAGFLKHLVLRTGRDMKTGLPELMVNFVTSSYKPGLLKLLVEKISAIPEVASIVNNVNTSVGNTSVGEEEYTLYGKSTITESLRGLTFQISANSFFQTNTRQAEVLYKLIEDCAGLRGDGSEVVLDLFCGTGTIGLTLAKTYLPWVLLI >EOY26800 pep chromosome:Theobroma_cacao_20110822:6:17895607:17901254:-1 gene:TCM_028753 transcript:EOY26800 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 1-6 isoform 1 MLFPQKPTSTFLLLTLTLLLLVSFAININASDSTSAEDDFDDLEQLLALDEQEDQQQQGQEQEFSNRFSEAEVLSKAQRIVLELNSDNSKRVIDENELVMVLGYAPWCVRSAVLMPQFAEAATSLKELGSPLLMAKLDAERYPKVASLLDIKGFPTLLLFVNGTSQAYTGGFSAEEIVIWARKKTGVPVIRISTVTEAEEFLKKHQMFVIGLFEKFEGPDYESFIKAATSDNEIQFVETSSIEVAKVLYPDIKATNLFLGIVKNEPERYTVYEGTFETESILQFLDYNKFPLVTKLTELNSVRVYSSPIKLQVYVFAKADDFKTLLEPLQDVARKFTKKVMFMYIDIMDENLAKPFLTLFGLEEAKNTLVTAFDNKGSSKFLLQSDPTPSNIEEFCSGLLHGSISTYFKSQPIPDNKNASVLAVVGKTFDDLVLNSPKNVLLESMPMRHVS >EOY26799 pep chromosome:Theobroma_cacao_20110822:6:17894991:17901353:-1 gene:TCM_028753 transcript:EOY26799 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 1-6 isoform 1 MLFPQKPTSTFLLLTLTLLLLVSFAININASDSTSAEDDFDDLEQLLALDEQEDQQQQGQEQEFSNRFSEAEVLSKAQRIVLELNSDNSKRVIDENELVMVLGYAPWCVRSAVLMPQFAEAATSLKELGSPLLMAKLDAERYPKVASLLDIKGFPTLLLFVNGTSQAYTGGFSAEEIVIWARKKTGVPVIRISTVTEAEEFLKKHQMFVIGLFEKFEGPDYESFIKAATSDNEIQFVETSSIEVAKVLYPDIKATNLFLGIVKNEPERYTVYEGTFETESILQFLDYNKFPLVTKLTELNSVRVYSSPIKLQVYVFAKADDFKTLLEPLQDVARKFTKKVMFMYIDIMDENLAKPFLTLFGLEEAKNTLVTAFDNKGSSKFLLQSDPTPSNIEEFCSGLLHGSISTYFKSQPIPDNKNASVLAVVGKTFDDLVLNSPKNVLLEVYTPWCINCETTSKQVEKLAKHFKGVHNLVFAKIDASANEHPKLQVDDYPTLLLYKAGDKNNPIKLSTKSGSKELAAFINKHVRTKDQVAKDEL >EOY25638 pep chromosome:Theobroma_cacao_20110822:6:788430:789646:1 gene:TCM_027014 transcript:EOY25638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRQISRVVRFFSIPFCMRDSMAVEEITILLLLVLLFLSLCAQLYIVFMKLYTHICSVEVVWFMLLLLFFLH >EOY27012 pep chromosome:Theobroma_cacao_20110822:6:19729371:19730539:1 gene:TCM_028966 transcript:EOY27012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S30 family protein MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >EOY26200 pep chromosome:Theobroma_cacao_20110822:6:5602771:5603512:1 gene:TCM_027627 transcript:EOY26200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVEMFNSLMVKTIEENIYSDIPISEKNLNLCHLQYVDDALLFYQPNLECLLNMKRVLRCFQIVLRLNTNFLKSSLLGVGNVEELKT >EOY26927 pep chromosome:Theobroma_cacao_20110822:6:19179233:19179824:1 gene:TCM_028889 transcript:EOY26927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNSFNCNSNAQTTVSTYVAIEWQCSAKSFQTQRLFFRFFNSIGAIPSQFDQFLCQ >EOY28103 pep chromosome:Theobroma_cacao_20110822:6:24237674:24242736:1 gene:TCM_029767 transcript:EOY28103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 19, putative isoform 2 GTLSFSLSFSCTIGFHGSGLSSALVAAICPTTNFCTWSKHFYILHYYCFLCPELPTPIDYTIMSVPSPFSSPFVFTCLLFIFLLTLSSSDCQPISTPAKRRPRQLSVSYYAKSCPQLEQLIGSITSQQFKEAPVSAPATIRLFFHDCFVEGCDASILIATNPGNKILAEKDAEDNKDLRTEGFDTITRAKTLVESKCPGVVSCADILAIAARDFVHLAGGPYYQVKKGRWDGKISKASRVPYNLPHANSTVDQLIKLFSSKGLTVQDLVVLSGAHTIGFARCKHFVARLYDYKGTMQPDPAIDPRLLKALKMSCPHAGGNADIVAPFDVTTPFLFDHAYYANLEGKLGLLASDQGLFLDPRTKPLVQSLGQDKAKFFQAFSAAMDKMGSIGVKRGRTHGEKRKVCSTHM >EOY28101 pep chromosome:Theobroma_cacao_20110822:6:24237674:24242736:1 gene:TCM_029767 transcript:EOY28101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 19, putative isoform 2 MSVPSPFSSPFVFTCLLFIFLLTLSSSDCQPISTPAKRRPRQLSVSYYAKSCPQLEQLIGSITSQQFKEAPVSAPATIRLFFHDCFVEGCDASILIATNPGNKILAEKDAEDNKDLRTEGFDTITRAKTLVESKCPGVVSCADILAIAARDFVHLAGGPYYQVKKGRWDGKISKASRVPYNLPHANSTVDQLIKLFSSKGLTVQDLVVLSGAHTIGFARCKHFVARLYDYKGTMQPDPAIDPRLLKALKMSCPHAGGNADIVAPFDVTTPFLFDHAYYANLEGKLGLLASDQGLFLDPRTKPLVQSLGQDKAKFFQAFSAAMDKMGSIGVKRGRTHGEKRKVCSTHM >EOY28102 pep chromosome:Theobroma_cacao_20110822:6:24237351:24239857:1 gene:TCM_029767 transcript:EOY28102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 19, putative isoform 2 GTLSFSLSFSCTIGFHGSGLSSALVAAICPTTNFCTWSKHFYILHYYCFLCPELPTPIDYTIMSVPSPFSSPFVFTCLLFIFLLTLSSSDCQPISTPAKRRPRQLSVSYYAKSCPQLEQLIGSITSQQFKEAPVSAPATIRLFFHDCFVEGCDASILIATNPGNKILAEKDAEDNKDLRTEGFDTITRAKTLVESKCPGVVSCADILAIAARDFVHLAGGPYYQVKKGRWDGKISKASRVPYNLPHANSTVDQLIKLFSSKGLTVQDLVVLSGAHTIGFARCKHFVARLYDYKGTMQPDPAIDPRLLKALKMSCPHAGGNADIVAPFDVTTPFLFDHAYYANLEGKLGLLASDQGLFLDPRTKPLVQSLGQDKAKFFQAFSAAMDKMGSIGVKRGRTHGEKRKVCSTHM >EOY27092 pep chromosome:Theobroma_cacao_20110822:6:20098677:20100243:-1 gene:TCM_029026 transcript:EOY27092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic pyrophosphatase 2 MVDIVVIFDFDKTIIDCDSDNWVLDELGATKLFNQLLPTMPWNPLMDRMMKELHSQGTKIEDIKAVLKRTPIHPRIIQAIKSAYALGCDLKIVSDANAFFIETILKHHGLREYFSEINTNPGFVDEEGRLRIFPHHDFTQSPHGCHHPCPPNMCKGTVIERIQASMSMKGKKTIIYLGDGVGDFCPSLKLGDGDYVMPRKNFPVWDLICENRRLIKAEICEWSNGEEFEHVLLHVINRISIDRNNSDNTARLYSIDCKLQTLPGAAQEKAFSHALYVPH >EOY27203 pep chromosome:Theobroma_cacao_20110822:6:20672005:20677388:-1 gene:TCM_029105 transcript:EOY27203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid:diacylglycerol acyltransferase isoform 2 MSAIRRRKPINESDKTSEASDSKPHKEKEEEQHGDDDGSDKDKKKIPSKIKKKHEEKQPKWSCLDSCCWFIGCICVTWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLKKEGLKAKHPVVFVPGIVTGGLELWEGRKCAEGLFRKRFWGGTFGEVYKRPLCWVEHMSLDNETGLDPCGIRVRPVSGLVAADYFAPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEARDQTLSRIKSNIELIVATNGGKKAVVIPHSMGVLYFLHFMKWVEAPAPMGGGGGPDWCSKHIKAVVNIGGPFLGVPKAIAGLFSAEAKDIAVVRAIAPGFLDNDIFQLQTLQHVMRMSRSWDSTMSMIPRGGDTIWGGLDWSPEEGYSCAKKRERKNDTQIAEEAGVESAVSQTRSAKYGRIISFGKDVAEAPSSDVERIDFRDAVKGHSAANTTCRDVWTEYHDMGFGGINAVAEYKTYTAESIVDLLHFVAPKMMARGTAHFSYGIADNLDDPKYKHYKYWSNPLETKLIHLLMMK >EOY27202 pep chromosome:Theobroma_cacao_20110822:6:20670886:20676418:-1 gene:TCM_029105 transcript:EOY27202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid:diacylglycerol acyltransferase isoform 2 MSLDNETGLDPCGIRVRPVSGLVAADYFAPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEARDQTLSRIKSNIELIVATNGGKKAVVIPHSMGVLYFLHFMKWVEAPAPMGGGGGPDWCSKHIKAVVNIGGPFLGVPKAIAGLFSAEAKDIAVVRAIAPGFLDNDIFQLQTLQHVMRMSRSWDSTMSMIPRGGDTIWGGLDWSPEEGYSCAKKRERKNDTQIAEEAGVESAVSQTRSAKYGRIISFGKDVAEAPSSDVERIDFRDAVKGHSAANTTCRDVWTEYHDMGFGGINAVAEYKTYTAESIVDLLHFVAPKMMARGTAHFSYGIADNLDDPKYKHYKYWSNPLETKLPNAPEMEIFSLYGVGLPTERAYIYKLSPAAECYIPFQIDTSADDEETCLKDGVYSVDGDETVPVLSAGFMCAKGWRGKTRFNPSGIRTYIREYSHSPPANLLEGRGTLSGAHVDIMGNFALIEDVIRVAAGASGEELGGDQVYSNIFNWSEKIDLQL >EOY27201 pep chromosome:Theobroma_cacao_20110822:6:20670884:20677388:-1 gene:TCM_029105 transcript:EOY27201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid:diacylglycerol acyltransferase isoform 2 MSAIRRRKPINESDKTSEASDSKPHKEKEEEQHGDDDGSDKDKKKIPSKIKKKHEEKQPKWSCLDSCCWFIGCICVTWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLKKEGLKAKHPVVFVPGIVTGGLELWEGRKCAEGLFRKRFWGGTFGEVYKRPLCWVEHMSLDNETGLDPCGIRVRPVSGLVAADYFAPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEARDQTLSRIKSNIELIVATNGGKKAVVIPHSMGVLYFLHFMKWVEAPAPMGGGGGPDWCSKHIKAVVNIGGPFLGVPKAIAGLFSAEAKDIAVVRAIAPGFLDNDIFQLQTLQHVMRMSRSWDSTMSMIPRGGDTIWGGLDWSPEEGYSCAKKRERKNDTQIAEEAGVESAVSQTRSAKYGRIISFGKDVAEAPSSDVERIDFRDAVKGHSAANTTCRDVWTEYHDMGFGGINAVAEYKTYTAESIVDLLHFVAPKMMARGTAHFSYGIADNLDDPKYKHYKYWSNPLETKLPNAPEMEIFSLYGVGLPTERAYIYKLSPAAECYIPFQIDTSADDEETCLKDGVYSVDGDETVPVLSAGFMCAKGWRGKTRFNPSGIRTYIREYSHSPPANLLEGRGTLSGAHVDIMGNFALIEDVIRVAAGASGEELGGDQVYSNIFNWSEKIDLQL >EOY26336 pep chromosome:Theobroma_cacao_20110822:6:7675040:7681763:-1 gene:TCM_027833 transcript:EOY26336 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 1 MQLVSNDSQKEDILESEPILCQSDISQRSEECSSSCEITAIGGDSVVVDDDLEDINVDETCHLVNADHPQCRICLDIGGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFAHCTECRALFILRANVPPDRWWLRLKFQFLVARDHAFIFVIVQLIVAFLGVLVYKFYGEELREMFGYDEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREDNKNILELDPSHVTELRMLGLY >EOY26335 pep chromosome:Theobroma_cacao_20110822:6:7674854:7689191:-1 gene:TCM_027833 transcript:EOY26335 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 1 MQLVSNDSQKEDILESEPILCQSDISQRSEECSSSCEITAIGGDSVVVDDDLEDINVDETCHLVNADHPQCRICLDIGGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFAHCTECRALFILRANVPPDRWWLRLKFQFLVARDHAFIFVIVQLIVAFLGVLVYKFYGEELREMFGYDEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKPSHPPHFKRKDPRQFSLMNLWTLFINVKAQNVYTTSNDGRSQYQEYVVEDREDNKNILELDPSHVTELRMLGLY >EOY26338 pep chromosome:Theobroma_cacao_20110822:6:7675767:7681763:-1 gene:TCM_027833 transcript:EOY26338 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 1 MQLVSNDSQKEDILESEPILCQSDISQRSEECSSSCEITAIGGDSVVVDDDLEDINVDETCHLVNADHPQCRICLDIGGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFAHCTECRALFILRANVPPDRWWLRLKFQFLVARDHAFIFVIVQLIVAFLGVLVYKFYGEELREMFGYDEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKNVYTTSNDGRSQYQEYVVEDRE >EOY26337 pep chromosome:Theobroma_cacao_20110822:6:7675698:7680819:-1 gene:TCM_027833 transcript:EOY26337 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 1 MQLVSNDSQKEDILESEPILCQSDISQRSEECSSSCEITAIGGDSVVVDDDLEDINVDETCHLVNADHPQCRICLDIGGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFAHCTECRALFILRANVPPDRWWLRLKFQFLVARDHAFIFVIVQLIVAFLGVLVYKFYGEELREMFGYDEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREDNKNILELDPSHVTELRMLGLY >EOY28210 pep chromosome:Theobroma_cacao_20110822:6:24577289:24585353:-1 gene:TCM_029842 transcript:EOY28210 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH dimerization motif,WD40/YVTN repeat-like-containing domain isoform 2 MASSDGWDAEKMLDLYLHDYLMKKNMHETATAFRKEAGISNHSVVIDSPQGLLQEWWSVFYDIFASRLPKHQEYSEAETSANKAEILENQSLNLRSILTPSMISQQRPGPVLIPRDFDSSLRFLEANQLMLPSSFAGSSSRQQQQINFAQHQVKREHSQGITLGRTIPVNPLTPYGAQKGILPATGPDTAGLNDSINLAPINGWPLDASNYQQQLQILKSQPEISAQGLSHMPRNLTPTFPGSSAKFNYRNTILPKTEIKGNNRQMMIQTMQTEEQQNQHNMLQQQQTSRKKKKVLHSRVPDKKLDCIKAEENKPVDDAVESFLSHDHDNVVSTSTPFSVLRHRSNPSNEIEQKGFTFGEVSCLHSSKSKVLCCHFSSDGKFLASAGHEKKVLIWNMETLDFVRTSEGHSLLITEVRFRPSSTIFATSSFDKTVKIWDSTKPSKSLCKLVGHAEQVLSLDFHPRKMDLLCSCDSNNEMRLWNVNQRSCMHVSKGATKQVRFQPQLGKLIAAASGNVVNVIDVETDKPQFCLKGHNKEVLSICWDPSGDYIASVSEDSARLWSMIDGECIHELCSTGNKFQSCTFHPVYSLLLVIGGYQSLELWNPLESNKTWTVEAHRGLISSLADSLQTEMVASASHDQCVKLWK >EOY28211 pep chromosome:Theobroma_cacao_20110822:6:24577289:24582516:-1 gene:TCM_029842 transcript:EOY28211 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH dimerization motif,WD40/YVTN repeat-like-containing domain isoform 2 MISQQRPGPVLIPRDFDSSLRFLEANQLMLPSSFAGSSSKYKMFINVQEHSQGITLGRTIPVNPLTPYGAQKGILPATGPDTAGLNDSINLAPINGWPLDASNYQQQLQILKSQPEISAQGLSHMPRNLTPTFPGSSAKFNYRNTILPKTEIKGNNRQMMIQTMQTEEQQNQHNMLQQQQTSRKKKKVLHSRVPDKKLDCIKAEENKPVDDAVESFLSHDHDNVVSTSTPFSVLRHRSNPSNEIEQKGFTFGEVSCLHSSKSKVLCCHFSSDGKFLASAGHEKKVLIWNMETLDFVRTSEGHSLLITEVRFRPSSTIFATSSFDKTVKIWDSTKPSKSLCKLVGHAEQVLSLDFHPRKMDLLCSCDSNNEMRLWNVNQRSCMHVSKGATKQVRFQPQLGKLIAAASGNVVNVIDVETDKPQFCLKGHNKEVLSICWDPSGDYIASVSEDSARLWSMIDGECIHELCSTGNKFQSCTFHPVYSLLLVIGGYQSLELWNPLESNKTWTVEAHRGLISSLADSLQTEMVASASHDQCVKLWK >EOY28665 pep chromosome:Theobroma_cacao_20110822:6:26173358:26183202:1 gene:TCM_030199 transcript:EOY28665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding,calmodulin binding, putative isoform 1 MEEEPVTSSASSSFLLKDISNFKTPKRTSKNSNFHSPCPRFFTASKQTPRSSLSFRPRSRPSLSSSRSRTAASSAAARKLKAFELEQCHSARKEQARKEQSLKSLSKSLTVWLNFLYRNPKSCGCDVSISGDDNNVVRVDSAWRSPKRMRELWWRGEESENVAADISGSMYLALRSSLKEVFSFDDLKQRMRIYLRLGSCKEIFNVMTQVVKNIDEGRLKMKSHCPIVTDVGMKEKASKILMSYNPIWLRIGLYIVFGGESLLSSEEDNSSEQDISFLKMVIDKQFFLHSGLAKAYAYNKKVEGLYRPGYYENLGNVILKRILLLVLILDRAKSQTSLPLEYGIDGVDGGSPLLFTVSSGIKSSRQVLNDFLSSDVMHGEGNLLAHLVIVGYKVSHQQSALVEFDFQVSDLFVDLQDGVRLCRAIQLLQHEPSILMKMIVPSDTHKKNLANCGVALQYLRQAGVMLCDEDGMKITGDDVADGDKELTLSLLWNMFVHLQLPLLIDKTIIADEISKIRGFNMENLNAVNSTLLAMLLNWIQAICEKYDLKIDSFSSLVNGKAIWCLLDYYFRRELSCSCSNKDSHETRGEESIMSATDYTDAVHNFVLSQKLTALLGNFPEVLQISDLLEHNGAVSDQSVVVLLVFLLSQLIVKKNVDQLNFHKLLGCNCQNLERRHSLTRRQSASSEAVVHKKEIDKDTTEDAAKKFKAIQAWWRDMSERNYKSVVRPAGSTSYCLTARKSSIDIQRENAAIVIQSHFRRLIERRKFLKMMKAICLMQTVIRAWLTVKKHSELSKFSFSRVQEFPSEELKRLVEFIVERHSFVNLRRSVLLIQQAARIWIAQRHDASYPDLVKAAIVIQKCVRGWMVRSQHILGPAHIESASLMCREIGLSNSIIEAVTRIQIAWKKFVCRSLHNQNSAAIKIQSNYRGWRLRRSFMKQKQAITKIQSNFRRLKCWRAFQIAWKDFVYRSLQNQTFAATKIQSHFRGWQLRRNFMKQKQTTIKIQSNFQRLICSSAFHQYKTAARSAIIIQPHMRGWMARRKVQRYRYLIVVIQRHFRGWLVRKELMLQRSAVIKIQRAIRCLKCQKAFHFQKQAAIQIQQFIRGQITRNRLLGASSLYAATTGSCKFKMVEGLFQSFELTLVIASVLKLQRWWRDVLLFKLRTKSAIIIQSHVRGWIARQKAYRERKHIVVIQSYWKGYLARKESIGQLMDLRLRMLKSAMNVDDSRRIINRLLSALSELLSMKSISGILHICETLDMATAHSLKCCEELVAAGAIGILLKQIRSVSRSIPDQEVLKHALSTLRNLTRYPHLTEVLIDTPGSIEIILWELHRNKEEGYFIASEILKKICSNQKGVKAVRKFPALLKRLHNLVEELTRKANMEKRNPRGTVAIRENIERRLREAVELLKLITNG >EOY28666 pep chromosome:Theobroma_cacao_20110822:6:26173731:26180608:1 gene:TCM_030199 transcript:EOY28666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding,calmodulin binding, putative isoform 1 MEEEPVTSSASSSFLLKDISNFKTPKRTSKNSNFHSPCPRFFTASKQTPRSSLSFRPRSRPSLSSSRSRTAASSAAARKLKAFELEQCHSARKEQARKEQSLKSLSKSLTVWLNFLYRNPKSCGCDVSISGDDNNVVRVDSAWRSPKRMRELWWRGEESENVAADISGSMYLALRSSLKEVFSFDDLKQRMRIYLRLGSCKEIFNVMTQVVKNIDEGRLKMKSHCPIVTDVGMKEKASKILMSYNPIWLRIGLYIVFGGESLLSSEEDNSSEQDISFLKMVIDKQFFLHSGLAKAYAYNKKVEGLYRPGYYENLGNVILKRILLLVLILDRAKSQTSLPLEYGIDGVDGGSPLLFTVSSGIKSSRQVLNDFLSSDVMHGEGNLLAHLVIVGYKVSHQQSALVEFDFQVSDLFVDLQDGVRLCRAIQLLQHEPSILMKMIVPSDTHKKNLANCGVALQYLRQAGVMLCDEDGMKITGDDVADGDKELTLSLLWNMFVHLQVCKSPLGLKFSDGSGFYRVFACVNSFSCALMFSLSLQLPLLIDKTIIADEISKIRGFNMENLNAVNSTLLAMLLNWIQAICEKYDLKIDSFSSLVNGKAIWCLLDYYFRRELSCSCSNKDSHETRGEESIMSATDYTDAVHNFVLSQKLTALLGNFPEVLQISDLLEHNGAVSDQSVVVLLVFLLSQLIVKKNVDQLNFHKLLGCNCQNLERRHSLTRRQSASSEAVVHKKEIDKDTTEDAAKKFKAIQAWWRDMSERNYKSVVRPAGSTSYCLTARKSSIDIQRENAAIVIQSHFRRLIERRKFLKMMKAICLMQTVIRAWLTVKKHSELSKFSFSRVQEFPSEELKRLVEFIVERHSFVNLRRSVLLIQQAARIWIAQRHDASYPDLVKAAIVIQKCVRGWMVRSQHILGPAHIESASLMCREIGLSNSIIEAVTRIQIAWKKFVCRSLHNQNSAAIKIQSNYRGWRLRRSFMKQKQAITKIQSNFRRLKCWRAFQIAWKDFVYRSLQNQTFAATKIQSHFRGWQLRRNFMKQKQTTIKIQSNFQRLICSSAFHQYKTAARSAIIIQPHMRGWMARRKVQRYRYLIVVIQRHFRGWLVRKELMLQRSAVIKIQRAIRCLKCQKAFHFQKQAAIQIQQFIRGQITRNRLLGASSLYAATTGSCKFKMVEGLFQSFELTLVIASVLKLQRWWRDVLLFKLRTKSAIIIQ >EOY25865 pep chromosome:Theobroma_cacao_20110822:6:2449618:2450313:1 gene:TCM_027232 transcript:EOY25865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKLLPGANTDLIRVIKDVLQKEWEVHFMHIYGEGNMVADYLANYGFVLEESYVVLEQVPTGARKLLMYDMLGVCLSRMIPVQ >EOY25802 pep chromosome:Theobroma_cacao_20110822:6:1973360:1973996:1 gene:TCM_027162 transcript:EOY25802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATSRICSNRLKVAITVTSIFFITFLYTSGDSIRKLFEFCFNNFFLFFFLVSRVERIIIYAAFAVGILNSGHSESMVQQSKMVLGSKPPSCVNKCLNCSPCMATLVIPSHQWKHFRATYHGDEDESYYLLSWKCKCGDKLFQP >EOY26891 pep chromosome:Theobroma_cacao_20110822:6:18901848:18907469:-1 gene:TCM_028857 transcript:EOY26891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein ftsH, putative isoform 2 MACHFSFGSSLYPELPSLKLKSQNPFFSTTYPSISCQIYSFKSNNSDDDDKTKKSQFNFLALPITLTIISTSFPQKSSLAAVKVSDRKKTQKKTQEALTPEQLKQWSKDLPIVKSRIPYTEILSLKLEGKLKHLIKPPSVSLKQRAEPVLVVLEDSRVLRTVLPSIDSDRKFWDSWDELKIESLCVNAYTPPIKRPEVPAPYLGFLGRVPASMLSWFKPKKESKRAAEIRRAREEFKRQRKEELARMREEREMIEKAIKVQKKEEVRRKKQEIRKRKYEESLRDARRNYQSMANVWASLAQDSNVATALGLVFFVIFYRTVVLSYRRQKKDYEDRLKIEKAEAEERRKMRELEREMEGIEGEDDEAEQVGGEQNPYLKMAMQFMKSGARVRRAHNKRLPQYLERGVDVKFSDVAGLGKIRLELEEIVKFFTHGEMYRRRGVRIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEARENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVCLDGFEGRGNVITIASTNRPDILDPALVRPGRFDRKIFIPKPGLIGRMEILQVHARKKPMAEDVDYMAVASMTDGMVGAELANIVEVAAINMIRDGRTEVCNISSCL >EOY26890 pep chromosome:Theobroma_cacao_20110822:6:18900895:18907469:-1 gene:TCM_028857 transcript:EOY26890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein ftsH, putative isoform 2 MACHFSFGSSLYPELPSLKLKSQNPFFSTTYPSISCQIYSFKSNNSDDDDKTKKSQFNFLALPITLTIISTSFPQKSSLAAVKVSDRKKTQKKTQEALTPEQLKQWSKDLPIVKSRIPYTEILSLKLEGKLKHLIKPPSVSLKQRAEPVLVVLEDSRVLRTVLPSIDSDRKFWDSWDELKIESLCVNAYTPPIKRPEVPAPYLGFLGRVPASMLSWFKPKKESKRAAEIRRAREEFKRQRKEELARMREEREMIEKAIKVQKKEEVRRKKQEIRKRKYEESLRDARRNYQSMANVWASLAQDSNVATALGLVFFVIFYRTVVLSYRRQKKDYEDRLKIEKAEAEERRKMRELEREMEGIEGEDDEAEQVGGEQNPYLKMAMQFMKSGARVRRAHNKRLPQYLERGVDVKFSDVAGLGKIRLELEEIVKFFTHGEMYRRRGVRIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEARENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVCLDGFEGRGNVITIASTNRPDILDPALVRPGRFDRKIFIPKPGLIGRMEILQVHARKKPMAEDVDYMAVASMTDGMVGAELANIVEVAAINMIRDGRTELHFCRLRPMICSKLHK >EOY26889 pep chromosome:Theobroma_cacao_20110822:6:18899698:18908249:-1 gene:TCM_028857 transcript:EOY26889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein ftsH, putative isoform 2 MACHFSFGSSLYPELPSLKLKSQNPFFSTTYPSISCQIYSFKSNNSDDDDKTKKSQFNFLALPITLTIISTSFPQKSSLAAVKVSDRKKTQKKTQEALTPEQLKQWSKDLPIVKSRIPYTEILSLKLEGKLKHLIKPPSVSLKQRAEPVLVVLEDSRVLRTVLPSIDSDRKFWDSWDELKIESLCVNAYTPPIKRPEVPAPYLGFLGRVPASMLSWFKPKKESKRAAEIRRAREEFKRQRKEELARMREEREMIEKAIKVQKKEEVRRKKQEIRKRKYEESLRDARRNYQSMANVWASLAQDSNVATALGLVFFVIFYRTVVLSYRRQKKDYEDRLKIEKAEAEERRKMRELEREMEGIEGEDDEAEQVGGEQNPYLKMAMQFMKSGARVRRAHNKRLPQYLERGVDVKFSDVAGLGKIRLELEEIVKFFTHGEMYRRRGVRIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEARENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVCLDGFEGRGNVITIASTNRPDILDPALVRPGRFDRKIFIPKPGLIGRMEILQVHARKKPMAEDVDYMAVASMTDGMVGAELANIVEVAAINMIRDGRTEITTDDLLQAAQIEERGMLDRKERGPETWKQVAINEAAMAVVAVNFPDLRNIEFVTIAPRAGRELGYVRMKMDHIKFKEGMLSRQSLLDHITVQLAPRAADELWYGEGQLSTIWAETADNARSAARTFVLGGLSEKHHGLSNFWVADRINEVDLEALRIVNMCYERAKEILQQNRKLMDAVVDELVQKKSLTKQEFFGLVELHGSLKPMPPSILDVRLAKRAQFQEMMMNQKVEVAGSSS >EOY26976 pep chromosome:Theobroma_cacao_20110822:6:19436909:19445901:-1 gene:TCM_028924 transcript:EOY26976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase protein, putative MMSRHELFIVLNNLLPLFILVFGITSSWDTPTLTEATKHDVGRRKLATQNNPGFISIDCGVEEDYLNGETGIYYKSDKDFIETGENHDISASASRNNYYVVQWREYGNLRSFPDGKKNCYTLKPEQGRNNSYRIRASFLYGNYDGKNEIPEFELYVGVNYWDTVRLPSLWYMLFLDIIYFFTADTGYVCLVNTGLGIPFISALELRLSNESAFNTTYSVALGNVRASDLGISSNHSFRYKDDGYDRIWQPLQFPSSVPISPSLNIANQVDDLYKVPAEVLRTAIQPVNGSRSLNYTYYSSRFPFSQYLVCFYFAEIEETARQDRLREFTITLNGFKIGPITLEYLKPLPVSSQNLPVQGVINFTIDATEESDLPPILNAMEFYQVLPLPYSPTDPTDGDAIMAIKQTYNINKDDWQGDPCLPKEYTWSGLTCRFNGTPRIISLNLSASKLTGAISLSFSNLQAIESLDLSYNELTGPVPEVLAQLPNLKVLNLSGNKLAGSVPQSLKDKSDDGSLVLRLAENPDLCQMDPCHDKEKKKFVVPVVASIVSVLVLIFFSILIVFCMIRRRRQQEPLIKSSEEGSFKSKNRPFSYSQIVKITGNFTTVVGEGGFGKVYLGSLNDEAPVAVKLLSPSSKQGFKEFRAEVQLLMIVHHRNLVSLIGYCDENGKMALIYEYMANGNLRQHLSDTNGNVLKWKERLQIAIDAAYGLEYLHNGCKPSIVHRDLKSANILLTESMQAKIADFGLSKIFLTENESHISTCPAGTPGYLDPEFHCSGNLNKKSDVYSFGIVLFELITGQPAIIRIPDCGVHILQWLSPIVEKGDIRNIIDPSLQGEFDVNAAWKVVDIAMSCAQPASIQRPDMSLVLTELKECMAIEMAHGRTQRVLSNMTTSSNSPEISLLNVDSELNLLNPIPR >EOY26212 pep chromosome:Theobroma_cacao_20110822:6:5708819:5722009:-1 gene:TCM_027640 transcript:EOY26212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPQISRPQILSMAHRMKRLETRIDYHAQCFNVIEQMMRAYAEHVGMDMDTFLVLFDDPTIAAGADVDDDEEEEKNLTNTHLTEEAPMPTTFYPPPRRWLVLLFLFSPPSSDSSGKVIGW >EOY27669 pep chromosome:Theobroma_cacao_20110822:6:22704616:22705788:-1 gene:TCM_029457 transcript:EOY27669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTIPSANLMENPVKALKSKSYQKAKGMSFFAFLFSIVIYISIFYISSLSPSALFNNTKFWFVISNTLILIIAADYGAYSSSKEKHDLYDEYASHSQARSAAAPSFVSQYPEIVKKSTPKEEETSLKEKKEYIVAEMNEISERILEVVKIEPENPTDNFQAKSQQEHEHPMKADNEACDQVQVNKKIEPKTIRRSKSHKVKRVTFHDKKNILQRSETEKHEARAKEKESSAEENEFSTMSDEELNRRVEEFIQKFNRQIRLQAGTRTRQFLEYE >EOY27804 pep chromosome:Theobroma_cacao_20110822:6:23181335:23182573:-1 gene:TCM_029560 transcript:EOY27804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold regulated 314 thylakoid membrane 2 isoform 2 MVTVSISPAPAAQSLYSRNARNAPFFSLRSGPFQATKKLSTLGHSSTSSISFNPLTFSIKYKEIKKKSKGSRAVCYAAPLSPRNLQWISTISSAVLLLAKGTAIQKQFLVPLFAFQAPASIISWMKGEYGLWAAFLALLVRLFFYIPGELELPFVALLLVIVAPYQAINLRGTQQGAIVALVIAAYLAFQHFSRAGSLQKALDQGSVIATIAIVCITAVSFLFLIAL >EOY27806 pep chromosome:Theobroma_cacao_20110822:6:23180988:23182776:-1 gene:TCM_029560 transcript:EOY27806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold regulated 314 thylakoid membrane 2 isoform 2 MVTVSISPAPAAQSLYSRNARNAPFFSLRSGPFQATKKLSTLGHSSTSSISFNPLTFSIKYKEIKKKSKGSRAVCYAAPLSPRNLQWISTISSAGEYGLWAAFLALLVRLFFYIPGELELPFVALLLVIVAPYQAINLRGTQQGAIVALVIAAYLAFQHFSRAGSLQKALDQGSVIATIAIVCITAVSFLFLIAL >EOY27805 pep chromosome:Theobroma_cacao_20110822:6:23181335:23182573:-1 gene:TCM_029560 transcript:EOY27805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold regulated 314 thylakoid membrane 2 isoform 2 MVTVSISPAPAAQSLYSRNARNAPFFSLRSGPFQATKKLSTLGHSSTSSISFNPLTFSIKYKEIKKKSKGSRAVCYAAPLSPRNLQWISTISSARVLLLAKGTAIQKQFLVPLFAFQAPASIISWMKGEYGLWAAFLALLVRLFFYIPGELELPFVALLLVIVAPYQAINLRGTQQGAIVALVIAAYLAFQHFSRAGSLQKALDQGSVIATIAIVCITAVSFLFLIAL >EOY28931 pep chromosome:Theobroma_cacao_20110822:6:26891915:26895768:-1 gene:TCM_030393 transcript:EOY28931 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCL domain class transcription factor MQTSQKHQTSACIRRLYHQPVQEMEALCLPHIQILDNNVCSDVGSQGTSVSFQTYKDQFFTLESSTATAGFVVYDSPSAVSISSSRSPFSPQGSQSYLSDPHHSPDNTYGSPFSGSSVVDDGNEWKHKLRELEISLLGPESDVIDSCNCCFSSGAHQAASMASFNCNQLVEMIPRLDLKQVLVACGQALHEGDMPTVAGLMHVLEKMVSVSGEPIQRLGAYVLEGLRARLESSGSSIYKALKCKEPTSSELMSYMHILFKVCPFWKFAYTSANVVIREVMEYEPKIHIIDFQIAQGTQWMFLIAALAKRPGGPPAIRITGIDDSQSNHARGGGLNIVGQRLSEFAKTYNVPFEFHDAAMSGCEVQLEHLKVQPGEALAVNFPYVLHHMPDESVSTWNHRDRLLRLVKSLLPKIVTLVEQESNTNTSPFFSRYLETLDYYTAMFESIDVACSRDDKQRINAEQHCVARDIVNMIACEGPERVERHELFGKWRSRFTMAGFSPYPLSSSVTMAVRDVLKDYNNNYRLEEREGALYLGWINRAMATSSAWR >EOY27983 pep chromosome:Theobroma_cacao_20110822:6:23845170:23849714:1 gene:TCM_029684 transcript:EOY27983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein, putative MIEGIENHDEEKRVESEEQAENLSAVSSPKCSSFDLNEEASSEEDYDIDIAKENEVSVEEDEKRTEGSSSNNNERRTVRQYVRSKLPRLRWTPDLHLSFVHAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDEAGQVLRQANRQIQGRDEFRSMLQQVSSSPHQHFRMENGGIVLARGSLENNITRSLYKSPFYQRPLDFKPSIPRHQPTSFISKARGQENGFPKPTALHNQGQSNQIHTMDTAMRVGPMRPGRFLEEKRWHPFELISNRWRVNGNMTKDTFATTCSQSQSPYFCTRPSSDGESYSTRPAAGNLGTKKMIGQFVSNKHDSLSKFSSCRPEFEAPLWLELKQDKLLKDREWLPDLQLRLSQRIGIDDEKTHCKGTEEISTQLSLS >EOY26375 pep chromosome:Theobroma_cacao_20110822:6:8349192:8386052:-1 gene:TCM_027894 transcript:EOY26375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MGSAIWSLLLVVFIQIYIVAPTTDFGDSTALKSLVAEWENVPPSWVGGDPCGDGWVGISCTDSRVTSIILPSMNLVGRLSGDISTLSELQQTSMFRDLSYNQGLTGSLPASIGNLKNLTNLILVGCGFNGPIPDSIGSLSQLRFLSLNSNGFTGRIPPSIGNLSNLVWLDLADNHLEGPIPVSNGSTPGLDMLIHTKHFHFGKNKLSGQIPFQLFSSDMTLIHLLFESNELTGSLPSTLGLVQTLEVVRFDNNSLNGHLPLNLNNLSRVQYLFLSNNKLTGPLPDLTGMNSLNTLYLGNNSFDLADVPSWFRALRALTTLRMEHTQLRGQVPASIFELPNLQTVVLKGNQLNGTLEIGPSFSNQLKTIDLQYNSITGFDDGRRSYKFDIILVDNPVCTATETRSTYCKLPQSNSGPLYSTPPSKNCLPVSCSSDQISSPTCKCAHPYTGTLLFGGISFSDFRTSTPYEILKRQLMQFFQSHQLPVDSVSLSDPRMDLFEYHLLDLAVFPYGQSSFNRTGIFMIAFVLSNPTFRAPRELFGPYTFIGDNYEHFSDEPANSKKSSIAIKIGAAAGASLLLLLLVLAGTYAYRQKKRAERATKESNPFAHWDSKKSSGSIPQLKGARCFSFEELKKYTNNFSEANDIGSGSYGKVYKGTLPTGELIAIKRAQQGSVQGGLEFKTEIELLSRVHHKNVVSLLGFCFERGEQMLIYEYVPNGSLSDSLSGKSGIRMDWTRRLKIALGAARGLAYLHKLANPPIIHRDIKSSNILLDERLNAKVADFGLSKPMGDSERGHVTTQVKGTMGYLDPEYFMTQQLTEKSDVYSFGVLMLEIVTARKPIERGKYIVREVRLAMDKTKSLYNLQEILDASIGFAATPKGLEMFVDLAMSCVEESGADRPTMSEVVKEIENIMQLAGMNPNVESASSSATYEEATKGGSLHPYSDDSSAYSGAFPAPR >EOY25734 pep chromosome:Theobroma_cacao_20110822:6:1479075:1480774:-1 gene:TCM_027109 transcript:EOY25734 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MKGKTTQESKCFQCPIATITSSRMLVLVIVTNLLSVYISTGPFSLSTHSTHLPHLLLQDSTPCVEKPSEAMKFIDDFGSIAAMSDELRLAILPQKVPLGIIWDPYTCKSYQCLINRNKFPGHFDCKDCFDLQGREKRRWLYDRGLDYGIDQVLQTKPPRTIRIGLDIGGGSGTFAARMRERNITIITSSMNLDGPFNSFIASRGLIPIHVSVSQRLPFFDNTLDIVHSMHVLSNWIPDAMLELTLYDIYRVLRPGGLFWLDHFFCQGSQLNQTYVPMLGRIGFKKLRWNSGKKLDRGVDKNEWYFSALLEKPKT >EOY26939 pep chromosome:Theobroma_cacao_20110822:6:19259511:19267915:-1 gene:TCM_028898 transcript:EOY26939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein / peptidoglycan-binding LysM domain-containing protein isoform 1 MNFIGSHLLILLTLIFLVRVQTLHALDSSPTTPMNCTDTSRLCTSFLAFKPQDNQTLAVIQSMFDVLPQDITVEEGDSHGYMFIKKNCSCLTTTKNYATNTTFTVRSNGAYVYDMILEAYDGLAMVPNVTRPARVGAVVSLRLFCGCSSGLWNYLMSYVMKDGDSVQSLASRFGVSMDSIEEVNGIVNPDNVTVGALYYIPLNSVPGEPYHVENDITPAPVPAPSADTISEIQVNHKAHVPYGWIIGGFGVGLALIILSIVVCISLRSSSCFAESHGSLAKDPDGKSSHKFHILRKPSFCCGSGRYICGKSGNWNQTNGEPSNHQITIPKALGTDVLDVEKPVIFTYEEILFSTDGFSESNLLGHGTYGSVYYGLLRDQEVAIKKMTATKTKEFMAEMKVLCKVHHANLVELIGYAASDNELFLIYEYAQKGSLRSHLHDPQNKGHTPLSWIMRVQIALDAARGLEYIHEHTKTHYVHRDIKSSNILLDGSFRAKISDFGLSKLVGKTSDEEATATKVVGTFGYLAPEYLSDGLASSKSDVYAFGVVLFETISGKEAIIRMEGTTVKNSERRSLASIMLAALRNTPDSMSMSNMKDYIDPNMLNLYPHDCVFKMAMLAKQCVDEDPIVRPDMKQVVINLSQILLSSVEWEATLAGNSQVFSGLVQGR >EOY26940 pep chromosome:Theobroma_cacao_20110822:6:19259536:19267967:-1 gene:TCM_028898 transcript:EOY26940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein / peptidoglycan-binding LysM domain-containing protein isoform 1 MNFIGSHLLILLTLIFLVRVQTLHALDSSPTTPMNCTDTSRLCTSFLAFKPQDNQTLAVIQSMFDVLPQDITVEEGDSHGYMFIKKNCSCLTTTKNYATNTTFTVRSNGAYVYDMILEAYDGLAMVPNVTRPARVGAVVSLRLFCGCSSGLWNYLMSYVMKDGDSVQSLASRFGVSMDSIEEVNGIVNPDNVTVGALYYIPLNSEIQVNHKAHVPYGWIIGGFGVGLALIILSIVVCISLRSSSCFAESHGSLAKDPDGKSSHKFHILRKPSFCCGSGRYICGKSGNWNQTNGEPSNHQITIPKALGTDVLDVEKPVIFTYEEILFSTDGFSESNLLGHGTYGSVYYGLLRDQEVAIKKMTATKTKEFMAEMKVLCKVHHANLVELIGYAASDNELFLIYEYAQKGSLRSHLHDPQNKGHTPLSWIMRVQIALDAARGLEYIHEHTKTHYVHRDIKSSNILLDGSFRAKISDFGLSKLVGKTSDEEATATKVVGTFGYLAPEYLSDGLASSKSDVYAFGVVLFETISGKEAIIRMEGTTVKNSERRSLASIMLAALRNTPDSMSMSNMKDYIDPNMLNLYPHDCVFKMAMLAKQCVDEDPIVRPDMKQVVINLSQILLSSVEWEATLAGNSQVFSGLVQGR >EOY28956 pep chromosome:Theobroma_cacao_20110822:6:26955130:26955845:1 gene:TCM_030413 transcript:EOY28956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALRWFVHSACHVLGYPKDDHPNHLQHCNNMESYQKEGHSGGVIRSSKVSNGEQVSTQTAEMHLSGFQMPLHYPRYTKADYEKMEEWKVDMLLREYGLSFRGNLDEKRAYAMGAFLWPDQY >EOY27166 pep chromosome:Theobroma_cacao_20110822:6:20530426:20532908:-1 gene:TCM_029082 transcript:EOY27166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of inner mitochondrial membrane 23 MAHHHGSDPETDPNTRLYNPYQDLNLQGPITNLYKLPTSPEFLFAEESLHQRRSWGENLTFYTGSAYLGGSLSGAAVGLFSALRNFEQGDTLKLKINRILNSTGHTGRSWGNRIGVVGLIYAGMESGIVAATDRDDVWSSVAAGLGTGAVCRAARGVRSAAVAGALGGLAAGAVVAGGMMEGLVVLDKSLEELLGSSLLLSSCIPRRKKGKRFCLRNFRT >EOY29074 pep chromosome:Theobroma_cacao_20110822:6:27257653:27272805:1 gene:TCM_030490 transcript:EOY29074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Na+/H+ antiporter 6 isoform 3 MGISTVEEGSPGKEQQAAGLGILLQIMMLVLSFVLGHVLRRHRFYYLPEASASLLIGLIVGGLANISDTETSIRAWFNFHEEFFFLFLLPPIIFQSGFSLSPKPFFSNFGAIVTFAILGTLIASVVTGVLVYLGGLMYLMYRLPFVECLMFGALISATDPVTVLSIFQQELGTDMNLYALVFGESVLNDAMAISLYRTMSLVRSHVSSGQNFFMVIVRFLETFVGSMSAGVGVGFTSALLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLSLSGIVSILFTGIVMKHYTYSNLSENSQRFVSAFFHLISSLAETFTFIYMGFDIAMEKHSWSHVGFIFFSILFIGVARAANVFSCAYLVNSVRPVHRQIPLNHQKALWYSGLRGAMAFALALQSVHDLPEGHGQTIFTATTAIVVLTVLLIGGSTGTMLGALQVVGDGHDGHLGEIFDGNNGYVAPTYNEDGTTGNRFKMKLKEFHKSTASFSALDRNYLTPFFTSQNGDDEEEHDDPMPSSRNGGFHDHS >EOY29072 pep chromosome:Theobroma_cacao_20110822:6:27257667:27272795:1 gene:TCM_030490 transcript:EOY29072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Na+/H+ antiporter 6 isoform 3 MGISTVEEGSPGKEQQAAGLGILLQIMMLVLSFVLGHVLRRHRFYYLPEASASLLIGLIVGGLANISDTETSIRSSIASYYYIFFSFFALIVFLNSLFRHSIRVQPITFLTLFSPFWQKPFFSNFGAIVTFAILGTLIASVVTGVLVYLGGLMYLMYRLPFVECLMFGALISATDPVTVLSIFQELGTDMNLYALVFGESVLNDAMAISLYSVASLCRTMSLVRSHVSSGQNFFMVIVRFLETFVGSMSAEATTTKILRSSTHQARDSANLKLNKCLASNGDPHIWKLNTEGSYLEIVRPDPQHPIWKFQDNRIVGTVVVAHTQLQKVWCRSWIYFCSNILSDQSFNLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLSLSGIVSILFTGIVMKHYTYSNLSENSQRFVSAFFHLISSLAETFTFIYMGFDIAMEKHSWSHVGFIFFSILFIGVARAANVFSCAYLVNSVRPVHRQIPLNHQKALWYSGLRGAMAFALALQSVHDLPEGHGQTIFTATTAIVVLTVLLIGGSTGTMLGALQVVGDGHDGHLGEIFDGNNGYVAPTYNEDGTTGNRFKMKLKEFHKSTASFSALDRNYLTPFFTSQNGDDEEEHDDPMPSSRNGGFHDHS >EOY29073 pep chromosome:Theobroma_cacao_20110822:6:27257653:27272805:1 gene:TCM_030490 transcript:EOY29073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Na+/H+ antiporter 6 isoform 3 MGISTVEEGSPGKEQQAAGLGILLQIMMLVLSFVLGHVLRRHRFYYLPEASASLLIGLIVGGLANISDTETSIRAWFNFHEEFFFLFLLPPIIFQSGFSLSPKPFFSNFGAIVTFAILGTLIASVVTGVLVYLGGLMYLMYRLPFVECLMFGALISATDPVTVLSIFQELGTDMNLYALVFGESVLNDAMAISLYRTMSLVRSHVSSGQNFFMVIVRFLETFVGSMSAGVGVGFTSALLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLSLSGIVSILFTGIVMKHYTYSNLSENSQRFVSAFFHLISSLAETFTFIYMGFDIAMEKHSWSHVGFIFFSILFIGVARAANVFSCAYLVNSVRPVHRQIPLNHQKALWYSGLRGAMAFALALQSVHDLPEGHGQTIFTATTAIVVLTVLLIGGSTGTMLGALQVVGDGHDGHLGEIFDGNNGYVAPTYNEDGTTGNRFKMKLKEFHKSTASFSALDRNYLTPFFTSQNGDDEEEHDDPMPSSRNGGFHDHS >EOY25537 pep chromosome:Theobroma_cacao_20110822:6:383569:385798:1 gene:TCM_026935 transcript:EOY25537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2c, putative MGYSFRISLSSSLLTPHEQEEPHSSREGEEDDVEELQIPHVTHEYLRTHLFDKILRENPETSNQKSLQATNDEILEDVARSRGGSTSVTAILIDRQKRIVANVGDSRAILCRGGALKQLTTDHEPQKEKELVESRDGFVSEMPGNLAMTRAFGDGKLKEHITSEPDVRVEMIDPNADEFFIVASDGLWKVMSNEEAFDHIREFDDAQETSEELIREALARGSNSDLEVESDSLNAILWTRHHSKVPWRMKLISNAIETLSKSFRKVTFNHISREINLIADGLAKAGVLRAVNFSTFLQPPGMDPSSEA >EOY27041 pep chromosome:Theobroma_cacao_20110822:6:19834993:19837051:-1 gene:TCM_028989 transcript:EOY27041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MAFVWFLIFSLPLAIAVAPLLAELQENKLEVNQSGIHVKIYHVQGPESSLTPEFSLSFSNFLLRDEERVKALASIVAQDRGRGRGSTNSALSQRLGYWSSAKSLSIPLNPGLSIGTGNYYVRIGLGTPAKYYDVVMDTGSSFSWIQCEPCAVYCHSQADPVFNPSASTTYKYLSCAASECSSLKEATLNNPLCSTSNKCLYTASYGDSSYSIGYLSQDLLTLSQSQTFSNFVYGCGQDNEGLFGRAAGLVGLARDKLSMLAQVSSKYGYGFSYCLPTATSTDAGGFLKIGKPSLSTFKFTPMITDPHQNPSLYYLRLTSITVAGIPLRVAAAEYRVPTIIDSGTVITRLPRSLYSALRDAFVKIMSKKYAQAPAISILDCCFLGTVKTMSAAPEIQMMFQGGADLTLGASNVLIQADKGVTCLAFAGWSQTAVIGNHQQQTFEVAYDVSDSRIGFAANACH >EOY27080 pep chromosome:Theobroma_cacao_20110822:6:20027881:20028682:-1 gene:TCM_029015 transcript:EOY27080 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-like 4 MASTSKKIMLRSSDGKTVEVEEAVAVQLLTIKHMIEDDCADNEIPIPNVTSEILAKVLEYCKKHVDKGKMGEDELKAWDADFVKVDQNILYHLVLAANYLNIQSLLDLICQTVADMIKGKTPEEIRKTFNIENDFTPEEEEEIRRENQWAFE >EOY28537 pep chromosome:Theobroma_cacao_20110822:6:25720103:25725505:1 gene:TCM_030080 transcript:EOY28537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin 1 MASRNQNRPPRSPSTRKEIGDENPLDKRRRVGAVGRGVGLTGTGRTRQAFAVVNNRQDVTTASNADAGNAEECPNHEFTKEEVEALLNEKPKAKKFDLRAKYEHAADHNKRLKLCVKWFQQCDESHVLDKEKLKNSLESAEKKCMDTELEKKKKEEELNAVISQLSDNNASLQEKLSKEVSEKLDAIDRHRNENEARVAAEKSVASLTEELEKAQQDIAAANERAASLDNTHKRLQEYILSLQQYNSKLITDLEAVRESLKRVEKEKLTIVENLSTLRGHCSSLQEQLTLSRASQDDAVNQKETLVNEVKCLRGELQQVRDDRDRQVSQVQALSAEIVKFKESTGKSFAELDNLTMKSKSLEETCSSQREQMRILELQLAAANEKLKMADLSASETRMEYLEQKSTMQELQDRLADMEHKLIEGENLRKKLHNTILELKGNIRVFCRVRPLLPDDGAATEGAVVSYPTSTESLGRGIDLIQSGQKYPFTFDKVFNHEASQRDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPEAPEQKGLIPRSLEQIFQISQSLQAQGWKYKMQASMLEIYNETIRDLLSTNRSICSDPTRPESAVSGKQYTIKHDANGNTHVSDLTIVDVSSIAEISSLLRQAAQSRSVGRTHMNEQSSRSHMVFTLRISGVNEGTEQQVQGVLNLIDLAGSERLSRSGATGDRLKETQAINKSLSSLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNVSPDPSSVGESLCSLRFAARVNACEIGVPRRQMTLRPADSRLSCG >EOY27505 pep chromosome:Theobroma_cacao_20110822:6:22170569:22171436:-1 gene:TCM_029347 transcript:EOY27505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSADPGLTKKAKPNQARSRVSWGSDHNLEVLVCNSLAAKTLGFGDFKEEFCLCWTLIETSRMYRLPFQSKNEK >EOY28236 pep chromosome:Theobroma_cacao_20110822:6:24672631:24676796:-1 gene:TCM_029862 transcript:EOY28236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MFQWPRNLKVNADKVTHKVQFLVDAKFGVPGAIIVTNKYHKEFYLESIAIEGLVHFDCNSWVQPDRDIQAEKRIFFSNKAYLPNDTPVGLKELRDKDLRQLRGNGEGIRTLSDRIYDYDIYNDLGCPDKGAKYVRPILGGRTQPYPKRCRTGRPPANSDVNAESTVTRYMPQYVPRDEAFEDLKIAAVTEGKWKLMLRSLLPSVKEAASINSDVIRTFSDINDLYKESAPFELKSKNQFRETAHLPRMLNKIINESVQDIFKFDPPKIVSGNNPSCSLRDDELGRLTLAGMNPLSIERLKVFPPVSKLDPSIHGPQDSALREEHIVCHLNGMSVQQALEESKLFILDYHDVYLPFLNRINAHQNRKAYATRTIFLLTHLGSLKPIAIELSLPEKNQNVPAKRVLTPPVDATTRWLWQLGKAHVCSNDAGAHQLIHHWLRTHACLEPLIIAAHRQLSVMHPIYKLLHPHTRYTMDVNAQGRQLLLSAGGIIESHLSTAECSMEITASVYQNWWRFDMESLPADLIRRGMAVPDPTQPHGLKLLIEDYPYANDGLLIWSAIEELVGTYVNYYYPEASSIQSDSELNNWYHEFINVGHADICHVSWWPKLSTPNDLVSILTTIIWVASAYHAAVNFGMYHYGGYFPVRPPFMRRLLPNEHDPDHASFFADPEGYFLASLPCLSQMLHYISVLHILSTHSADEEYLGDRKDLSTWAGDPEILGAFYKFSMEMRRIEKEIEKRNADPKLRNRCGAGVSPYELLLPSSGPGATCRGVPTSASI >EOY26377 pep chromosome:Theobroma_cacao_20110822:6:8446955:8453733:-1 gene:TCM_027898 transcript:EOY26377 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MEKICKALGCSSVRSVELAAFQLEDVAQEWYSSLCRGRPTNATPLAWSEFSVAFLDRFLPLSVRNARAREFETLVQTSSMTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVEPLFRAVASRDFTTYSAAVDRAQRIEMRTNESRAARDRAKRGKTEGYQGRRDFSSGGSSSSRQGPQRDSRLPQQGSDAPGANIRVGQRTFNSRRQQDSRQSSQVIRSCDTCGRRHSGRCFLTTKTCYGCGQPGHIRRDCPMAHQSPDSARGSTQPASSAPSVAVSSGLEVSGSRGRGAGTSSQGRPSGSGHQSSIGRGQARVFALTQQEAQTSNAVVSGILSVCNMNARVLFDPGATHSFISPCFASRLGRGRITR >EOY25776 pep chromosome:Theobroma_cacao_20110822:6:1846960:1858667:-1 gene:TCM_027144 transcript:EOY25776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamous cell carcinoma antigen recognized by T-cells 3 MEKVEKSPISTEEEEDTEMGEGDVVENPKASSKSSSDSESSDSEDEAEQNEQLQTLESELSTNPSNYDAHVQYIKLLRKRGEIEKLREARENMNALFPLSPSMWMEWAKDEASLSDDSGFEAVEKLYERGISEYLSVSLWCEYLNYAQEHDPEVRQCSADGISKARNLFERAVTAAALHVAQGFRIWDAYTQFEQAILLTIDHSDIQAKEKQVQCIRSIFHRHLSIPLANLRATLLAYKAWEVEQGNALDAESDTVDGISSHVASAYQKAEEMYNARAHHEEQITRQDISESERFQHFVSYLEYEQSFGDPARVQILYERAITDFPVSSDLWLDYMRYLDKTLKAGNVVKDVYSRATRNCPWVGELWVRYLLCLERGHGSEKEISSVFEKSLQCTFSTLEEYLDLFLTRVDGLRRRISSARGDDVLNYSLIRESFQQAADYLSPHMKNADGLLRLHAYWARLELKLGNDLVAARGVWESLLKTCGSMLEAWQGYISMEIELGHINEVRAIYKRCYSKRVSGTGSEDLCHAWLRFEREFGTLEDLDHALQKVTPRLKELQLFRLQQESKSVIEATDKREKTSQKTAREKRKSGSSAIDEQSPAKRQKNTSQYQKKLHEKENTQGKNVAEANDGEGKKGKVDKPVNEQQMKDTGPGKTRLYTDQCTAFISNLDYKANYEDLRQFFSDVGGITSMRILHDRFTGKSRGLAYVDFVDDEHLAAAVMKNKQMLLGKKLSIARSNPKQRERESFVLNAPGGHEDASNRSGIDGSSASKESVESPKGSRVPQSTASKRVENFQLKGKNTFAVPRNVRPLGWTSNKPETREEGDEKPKSNDEFRKMFMKT >EOY26345 pep chromosome:Theobroma_cacao_20110822:6:7799106:7802984:-1 gene:TCM_027847 transcript:EOY26345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein isoform 1 MAEISTTARTSNFNNGSPIINLAPDHLFTILLLLPIESILSFAMTCKKFRHLASSNSLWESICRRDWGPIAVDALKSSFHGDKDHQLLPWIRLYKQVSQLDSVCCYKLSDPDSDLLLPSPRASHSLDFVSGCLVVFGGGCEGGRHVDDTWAAYVGNNSRRMLKWQKIHSGIPSGRFGHTCVPIDNYLVLFGGINDRGNRHSDTWVGQVAFHENVGISLSWRLLDVGSIAPAPRGAHAACCISNRKMVIHGGIGWNGVRLGDTWVLELSENLCFGTWHEIVSHSSPPARSGHSLTCIGGTRTILFGGRGLGYDVLNDIWLLDVSEDYSKWVQIFYELQNIPAGVSLPRVGHSATCILGGRLLIHGGEDSQRHRKDDFWVLDISAISLESVEPARLSSERLLANMWRRLKAKGYKPKSRSFHRACVDNSGRYLYVYGGMVDGVVDPAESYGLGFDGELFLVELVLQL >EOY26344 pep chromosome:Theobroma_cacao_20110822:6:7799066:7803321:-1 gene:TCM_027847 transcript:EOY26344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein isoform 1 MAKPFLAPPPISFLAAEFTISNSTIHHLTVISNDQSKEKMKKTGTFSLAMNKTQEKLTIQSYYQKQKHTTLAMAEISTTARTSNFNNGSPIINLAPDHLFTILLLLPIESILSFAMTCKKFRHLASSNSLWESICRRDWGPIAVDALKSSFHGDKDHQLLPWIRLYKQVSQLDSVCCYKLSDPDSDLLLPSPRASHSLDFVSGCLVVFGGGCEGGRHVDDTWAAYVGNNSRRMLKWQKIHSGIPSGRFGHTCVPIDNYLVLFGGINDRGNRHSDTWVGQVAFHENVGISLSWRLLDVGSIAPAPRGAHAACCISNRKMVIHGGIGWNGVRLGDTWVLELSENLCFGTWHEIVSHSSPPARSGHSLTCIGGTRTILFGGRGLGYDVLNDIWLLDVSEDYSKWVQIFYELQNIPAGVSLPRVGHSATCILGGRLLIHGGEDSQRHRKDDFWVLDISAISLESVEPARLSSERLLANMWRRLKAKGYKPKSRSFHRACVDNSGRYLYVYGGMVDGVVDPAESYGLGFDGELFLVELVLQL >EOY28818 pep chromosome:Theobroma_cacao_20110822:6:26617521:26621385:1 gene:TCM_030309 transcript:EOY28818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASCACTLLALWRQRVLIDDPVLSWLGSRAFSSSSSVAIFALVGGARVPPQQHPTTKSTMANWHCAYDEDAPNGQLPPRSPMGKWQGRETKAAMFLYRIWLIAQGPWASLFFL >EOY26511 pep chromosome:Theobroma_cacao_20110822:6:13376150:13378733:-1 gene:TCM_028294 transcript:EOY26511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYASLRLLKLTCCLQNGSQVHSVPFKSEIPKILSRGPDLTQIQSNFSRSTRSSAPKIDAPYRALDLCSLVSITLGAPHPRSTTQSVLPGSTHNGEHQFGCSLPWVRSTPLSAPFGEGRFGHEI >EOY27240 pep chromosome:Theobroma_cacao_20110822:6:20820182:20824219:1 gene:TCM_029127 transcript:EOY27240 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 domain-containing transcription factor MSNWLGFSLTPHLRIDESFGREDHGGFSSVMPLRSDGSLYVVDPFRRSSNGAEDWRYENIGGATESEDGPKLEDFLGCCYSNSPSEETKVYGQTQEDSNENIVSTRINVNVAPSYNTNGDMEGGETLTNPSSLIQTYQYNENRQTLMASDNLQQCDPNPNHNHNNGMNHVPFESATSVSGFKSWLRQTPFSDGKASSEASNHCNFQTLSLTMTPSSQNGTMAAIAPLEGVDNRKRPVGKSLAREPVPRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTHINFPLSTYEKELEEMKNMTRQEFVAHLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGTSAVTNFDISRYDVKRICSSSTLIGGDLAKRSPKDSGPIALEDYNSCSSSTSAHPLLAISNGEASHEVADMVWNANSDDNQQHQGSNTNNDASLATSNGRNSSNPQSPKCSVGLASEFGIGGDYSQGYFSLEGPKFEDGSNGTENSNENRLGSLGVVHQVPMFALWNE >EOY27501 pep chromosome:Theobroma_cacao_20110822:6:22150815:22160685:1 gene:TCM_029343 transcript:EOY27501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGCFSPFAAHRRIGGLGKKSKCIEGERYSSVKKTQTKRGKRIYERKKEGKKIKSVILFVREKKRKKKPNMHLSPPYLRYRDYFSRSRDFNVFPSSNSEPSSMYRDYFSWSRELHPILPISSGKCSMYRDLSLGSRNLMSPWPISRTLWPMYQDSFALVSRHVPNF >EOY26774 pep chromosome:Theobroma_cacao_20110822:6:17768999:17770844:-1 gene:TCM_028732 transcript:EOY26774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLMPLMVRPLARLLTALVAHPAASVTTLLYYSDLLPRNLDLERLVRRDLLDRENYLFHFLINLLRCF >EOY28074 pep chromosome:Theobroma_cacao_20110822:6:24165803:24171456:-1 gene:TCM_029752 transcript:EOY28074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNYVLRSYILLKTDEEIGKDFLSSWKSMSVTQDDAMDFSFETISKGKKKAFNFDKLDMDFNLDGDFDKLSSFKMDMPDLDFSSPSKENAKAKGKSKEETTSEKQQGKKNHFTFSFDFNELDGFDFDPSLTKGEKTCKKSQDSKAVALESSEVSKIDQALEDDWITAKLPVTSDAANLKAETPKCGAEAPKSIDDPCPSQAVPIEGLAPGNMVAAEGARISPEKTVDTNAKETYKSSTLSDRAVSLELYDQQSLQSSPMDSLSGNNSNHEPVSNMHAEVCSQRRRINTSSAADQNVNDTMITKEGSKHENLHQKSTFPLSESDRDDRKGAGGNIPAEIVDSQSVLGDILLKDISTASLSREIVDNTGAMKDIQNPTPELPLVSSDRCIEPTASEATARKDKEAGAIRSRFFGRSEETEFQLHQPSPTGKEVSSFSSKKIDDMHLSPAKEKREDFDGSEEQNGRKLVGYSELSSQELTEGRPVLLQSENNVGSSSDIGDGVNADVVQNGGSKLVGKSSVQDKAATKGVTVLLRSEKNASLKANSFNYAEKTTESGLQKSVNLKPQVPKIESIQNPKLLSEAPNIAKKTPALSSFKCTRTIGPKKDQLNSQRETNSLRNFEKNMDTPRNKSKVVLPVGNAEKETPKLPSLKRKTYQVQNHKNHMEVSTKDIPCDHLTSGFEVPQEVNMTELENDGNVEKAEAYGRELEDICNMLKKKHEEAKELLVRAIVNNNSLLMLNHPIFEEKISFPFVFAIIRFSLLPCIAIK >EOY27500 pep chromosome:Theobroma_cacao_20110822:6:22137995:22150749:-1 gene:TCM_029341 transcript:EOY27500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute protein group MERGGFRGRGRGYGVAGGRGRGRGRDGQRHHHQQPQEQQGRGSNQGRSRGPVSPIQGGVQQGHAHVVPSPGGRTSWGAGPSFAQVLSRETQISSSPANVVSGPVVPAMASLKISERVLPSSSSSSPQTKQILPVKRPDNGGTHAIKKLNLRANHFAVKFDPKKIIWHYDVDVKPKVAPGNGHPVKLSKSDLYLIRRQMSLDIQVPLEMTAYDGKKSIFSAVVLPTGNFTVQLSESSYLVTLKLVSELRLSQLNDYLSGKVLLAPRNILQGMDLVMKESMNSFSHGFHPIEFHRGDDLGHGIIASREPKHSLKLTSQVAFCLDYLVLPVRKSVLVIEYLGEQIDGFHIDKFGSFKDKIESDLTGLRVCTTHLDTNRTYLIAGLTSKDAQNISFPIGDQQVRLVDYFKEKYKKDIVYKNIPCLELGKKNGSHCVPMELCVLAEGQKYPKELLDRDAAKKLKNISLALPEVREKTICNMVRARDGPCCGEVVQNFGMEVSMNMTKVAGRVLSPPELNVGAPAGRKMKIKVDNEKCHWNLMARMDYLNDKDFLQEMLEHIQRLSYERGKGRLQFLLCVMSKQHPGYNFLKFISETKVGVMTQCCLSAGANEAKDQYLANLALKINAKLGGLNVEIIEPLLHFKGEGHVMFVGADVNHPGFKNSTSPSIAAVVASMSWPVPNSYAAKIRPQDPRSEKIQDFGEMCLELIDSYVTLNKVKPAKIMIFRDGVSETQFDMVLNEELVGVKGAFQAMNYFPTITVIVAQKRHHTRFFLETKEDGGSSGNVPPGTVIDSTVVDPSGFHFHLFSQYGSIGTSKSTQYQVLWDEHRFSSDHIQQLIHSMCFTFARCTKPVSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIEKIIFIFISSSITITTISSCI >EOY28263 pep chromosome:Theobroma_cacao_20110822:6:24782396:24785598:-1 gene:TCM_029887 transcript:EOY28263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin S17 MGGGGGGGSVKDVKSKGELDSLRQSGAPVILHFWASWCEPSKHMDQVFSHLSTDFPNAHFIRIEAEEQPQISEEYSVSAVPFFVFFKDGKVVDKLEGADPSSLANKVAKVAGSINPGEAAAPASLGMAAGPTVLETVQDLAKENGSSQIRNQVQPGLSDTLKTRLQQLIDSHPVMLFMKGSPEEPKCGFSRKVVDILNDERVKFGTFDIISDNEVREGLKKFSNWPTFPQLYCKGELLGGCDIVIAMHESGELKEVFRDHGVDVSGTEQGTGGISAPSGLSTNLASRLQSLINSSPVMLFMKGKPDEPKCGFSHKVVEILEQEKVDFKSFDILLDDEVRQGLKVLSNWSSYPQLYIKGELIGGSDIVLEMQKSGELRRVLAEKGITKKESIEDRLRSLISSSPVMLFMKGTPDAPRCGFSSKVVNALREEGVSFGSFDILTDEEVRQGLKVFSNWPTFPQLYYKGELIGGCDIVLELRNNGELKATLSE >EOY26258 pep chromosome:Theobroma_cacao_20110822:6:6718529:6721363:1 gene:TCM_027732 transcript:EOY26258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTVVSKAANGIGGVLGNVLAAPFKSVFGGSCEGICSGPWDAICFIEHLCVSNLVKLLMILGLCFIILMFLYLLLKLGICQCIIRSLCKICWAGCETYWFALEDATCFLWHKLKNTKRVNRYHRRRRFQDIEVGISSSSDNDYSDNYHHHHHHLSFSKRKNTERNRHRLHSNHPHHHHVKLKTSEVSVHLRASSRRLRSSRRLQLIKGRKPQKEVNIYKRRRMG >EOY28270 pep chromosome:Theobroma_cacao_20110822:6:24797443:24802624:-1 gene:TCM_029891 transcript:EOY28270 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, type 2, putative isoform 2 MQLPTQFLSLQNPPLLSHRHRHYSLSTRPFKLKLIGSLANSPESRSSELATALQSETLKTLEWPSLCNYLSPFTSTSMALSLTKSAAFPIGQSQEESQKLLDQTTAALHAMEALKSEPLDLSAIEDVSGILRSAGSGQLLTVRELCRVRRTLGAARAVSEKLAAVAEGGSLKRYTPLLEILQNCNFQKELEKKIGFCIDCNLSTVLDRASEELELIRAERKRNMGNLDSLLKEVSVNVFQAGGIDRPLITKRRSRMCVGVRASHKYLLPDGVVLNVSSSGATYFMEPKEAVELNNMEVKLSNSEKAEEMAILSLLTSEIAESEAEIKYLLDKLLEVDLAFAKAAYAQWMNGVCPIFSSTESEVLISNGADNAWSVDIEGIQHPLLLGSSLRNFTDFIASSSGDPSITEEKSGAMAAVKSSKGVSSFPVPIDIKVQCGTRVVVISGPNTGGKTASMKTLGLASLMSKAGMYLPAKKQPRLPWFDLVLADIGDSQSLERSLSTFSGHISRICEILEIASKESLVLIDEIGSGTDPLEGVALSTSILQYLKTRVNLAVVTTHYADLSRLKGKDSQYENAALEFSLETLQPTYQILWGSIGNSNALTIANSIGFDKKIIERAKKWVDSLKPEKQQERKVVLYQSLMEERSRLEAQFRRAESLHADIMGLYHEVRGEADNLEEREIALRAKETEKVQQELNAAKSQIDTVVLEFENLLQTANSDEFNLLIRKSESAINSIVKAHRPGDSFSFTETDTSSYQPQSGEQVHVKGLGNKLATVVEASEDDNTLLVQYGKIRVRVEKSNVRPISNGKKMARRSMKKRGEQSRELASNLDATNSAAIAYGPLIQTSKNTVDLLGMRVEEAAHHLDMAISARGSNSVLFIVHGMGTGVVKEQALEILRNHPRVAKYEQENPMNYGCTVAYIK >EOY28271 pep chromosome:Theobroma_cacao_20110822:6:24797521:24802290:-1 gene:TCM_029891 transcript:EOY28271 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, type 2, putative isoform 2 MALSLTKSAAFPIGQSQEESQKLLDQTTAALHAMEALKSEPLDLSAIEDVSGILRSAGSGQLLTVRELCRVRRTLGAARAVSEKLAAVAEGGSLKRYTPLLEILQNCNFQKELEKKIGFCIDCNLSTVLDRASEELELIRAERKRNMGNLDSLLKEVSVNVFQAGGIDRPLITKRRSRMCVGVRASHKYLLPDGVVLNVSSSGATYFMEPKEAVELNNMEVKLSNSEKAEEMAILSLLTSEIAESEAEIKYLLDKLLEVDLAFAKAAYAQWMNGVCPIFSSTESEVLISNGADNAWSVDIEGIQHPLLLGSSLRNFTDFIASSSGDPSITEEKSGAMAAVKSSKGVSSFPVPIDIKVQCGTRVVVISGPNTGGKTASMKTLGLASLMSKAGMYLPAKKQPRLPWFDLVLADIGDSQSLERSLSTFSGHISRICEILEIASKESLVLIDEIGSGTDPLEGVALSTSILQYLKTRVNLAVVTTHYADLSRLKGKDSQYENAALEFSLETLQPTYQILWGSIGNSNALTIANSIGFDKKIIERAKKWVDSLKPEKQQERKVVLYQSLMEERSRLEAQFRRAESLHADIMGLYHEGEQSRELASNLDATNSAAIAYGPLIQTSKNTVDLLGMRVEEAAHHLDMAISARGSNSVLFIVHGMGTGVVKEQALEILRNHPRVAKYEQENPMNYGCTVAYIK >EOY28613 pep chromosome:Theobroma_cacao_20110822:6:26043666:26045282:-1 gene:TCM_030165 transcript:EOY28613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase 8 MKIRVSPMASLLFIAVLISAICSSSEAAGSQTSFEDNFSIMWSEDHFKTSDDGQTWFLSLDKETGCGFQTKQRYRFGWFSMKLKLVGGDSAGVVTAYYMCSENGAGPERDELDFEFLGNRTGQPYLIQTNVYKNGVGGREMRHMLWFDPTEGFHSYSVLWNSQQILFFVDRVPIRVYKNNGKENGFFPNEKPMYLFSSIWNADDWATRGGLEKTDWKRAPFVSSYKDFSVEGCQWEDPYPACVSTTTKNWWDQYDAWHLSDSQKMDYAWVQRNLVIYDYCKDYERYPQLPEECSLSPWD >EOY27069 pep chromosome:Theobroma_cacao_20110822:6:19947559:19949645:1 gene:TCM_029005 transcript:EOY27069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate phosphatase-related protein MAGIVVVFDFDKTIIDCDSDNWVVDELGFTDLFNQLLPTMPWNSLMDRMMKELHARGKTIDDIAEVLKRTPLHPRIVPAIKAANALGCELRIVSDANLFFIETILEHLGLKEYFSEINTNPGFVDGEGRLRIFPYHDFTKCSHGCNLCPPNMCKGMVIERIQASLEGKKKIIYLGDGSGDYCPSLKLGEADYMMPRKNFPVWDLICRNPMLIKAEIHEWSDGEELERLLLQIINIISVEENNGSSAQLISVDCKLQTISASTHEALPQALPVPQ >EOY28598 pep chromosome:Theobroma_cacao_20110822:6:26007007:26008230:1 gene:TCM_030154 transcript:EOY28598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASNLAVINFLRLCSEKVLEMRTRTFSTSIQINGGSEGYFCPTLSLLPLSYAIPSLQFPFMAIQLQVLFVLCGFHVSWARKDHVYEIYRH >EOY28139 pep chromosome:Theobroma_cacao_20110822:6:24325772:24326945:1 gene:TCM_029791 transcript:EOY28139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVRKEYLDLVLVPGGLLIMFAYHLILFYRYLHRPHTTVIGFENNDKEAWVERIMEADKKDVSNALTVIGSNTSAATFLCSVCLTLSSLIGAWLGSSSDNIFRSNLIYGDTRPSTISIKYICLLTCFLLAFSCFVQSARNFVHANYLITTPNCEVPVESVKIAVLRGGDFWSLGLRALYFALDLLLWFFGPIPMFVSSIVMVFILHYLDTNTKPMHRYRNPTTQKKVTARSYHGSVV >EOY28703 pep chromosome:Theobroma_cacao_20110822:6:26291331:26296150:1 gene:TCM_030226 transcript:EOY28703 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNAHis guanylyltransferase MANSKYEYVKSFEVEDEVMPPNLIVVRIDGRDFRRFSQVHEFEKPNDKKALDLMNQCAMAVIEEYPDVVFSYGYSDEYSFVLKKTSKFYQRRSSKISSVMVSIFSSVYVTKWKEFFPCKELRYPPSFRSRIVCCASIEVLQAYLAWRQKDCHVQNQYNTCFWELVTKGGKTEMEAQEILKDAKEQDRNELLFQQFGINYNECLALFRQGTCIFKMQVEDVVKYIKDGTPVKRLRRKASDFRSENIAGRSFWNEHASLLKELGGFSEDCVKINPDYIRSFLFESKLMPSTWIVIRIDGCHFHRFSDVHEFNKPNDKQALDLMNLCAVAVLEEFQDIIFSYGVSDEYSFVLKKNSQLYQRRASEIVSAIVSFFSSMYVMKWKDVFPMKELKYPPSFDGRAVCYPSAEILRDYLAWRQVDCHINNQYNTCFWSLVKSGKCKSEAQSCLKGTQAREKNELLLKEFGIDYNTLPLMFRQGSSVFRVKTEKTSILENSTSVGKAQTKIVTEYCNIIEQSFWEANPSILD >EOY26162 pep chromosome:Theobroma_cacao_20110822:6:5145808:5176061:-1 gene:TCM_027574 transcript:EOY26162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Target of rapamycin-like protein MIMPRLSTDVMEAGGEGGHEEEGSDGSIGNSINDNNNSNNSSGHKHGHSRSISYGRDEKGIFEYLRPSLEVLACVGNITKAMGHAVESLVRCLLDVMFSAGLSPTLIEALEQITVSIPSLLSTIQDRLLDSISLVLLKSPYFQARPAVALVRGTAADIPQPMSELSGSALVQLALQTLARFNFRLMEKLLIVAVADADVSVRHSIFSSLHGNRGFDDFLAQADSLSAVFAALNDEEKDLKIMREAKIREEMLDPKASLRRARAEASLVDGIS >EOY27537 pep chromosome:Theobroma_cacao_20110822:6:22323073:22323922:1 gene:TCM_029378 transcript:EOY27537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQDKVLLRLPFGFNLNPLYGADIERTEFLSHFFGFYVVLIVLFVFSGCVGMFIGFFSLLARIVVSSPVLIQMLPEG >EOY26957 pep chromosome:Theobroma_cacao_20110822:6:19357929:19369091:-1 gene:TCM_028911 transcript:EOY26957 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative MAPQSAVRLPVIDLFDENSVPGTASWVSKCKDVRLALEEYGCFLTPYDKVSLQLQDQVFNSVQELFALPTEKKVQNTSDKPYFGYFKHPLIPLSESMGIDGPTVLEGTQSFTDLMWPNGNKRFCESVHTYAKLVSQLDRRVKYMVFESYGVGKYFDSHIDSTSYLLRLIKYRLPKEEETNNCGFPHTDKSFLTILHDNQVAGLEIKTKDGRWIGVEPSGSMFIVMAGDAFLAWSNGRVHSALHRVVTNGKKERYSLGFFSFSGETIRTPEELIDEAHPLLFKPFENIDLLRLYSLDDVRKYSQKFNDEAMSQYSKLSNYSQQKSSKNYMIMATQSAIKLPVIDLFDDESEPGTDSWISKCEEVQRAFEEYGCFLATYDKVSLQLQDEVFDSVRELFHLPTEKKVLNTSDKPYFGYFKHPSIPLSESMGIDNPTILEGTQSFTNLMWPNGNQIFCESIYAYAKLVSELDRMVKTMVFESYGVRKYYDSHIQSTNYLLRLIKYRVPREDENDFDGCPHTDKSFMTILHDNHIAGLQIKAKDGNWIGVEPSGSMFVVMAGDAFLAWSNGRIQSPTHRVIMKAKKERYCLALFSFSGETIRTPEELVDEAHPLLFKPFDNMDLLRLFSLDDVHQHARSFSQPKCGA >EOY26552 pep chromosome:Theobroma_cacao_20110822:6:13909738:13913728:-1 gene:TCM_028352 transcript:EOY26552 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL25H03.15 protein isoform 1 MNYRFQNLLGAPYRGGNAVITQNTNLISPVGNRVSVTDLVKSQTVTLPDQSSSNIRRIAASPDGVFLLTVDDNNRCLFINIPRRVVLHRITFKHPVSAVKFNPNGKYVAVAAGKLLQIWKSPGFKREVFGFELVRTFADCNDKILAIDWDNESKYLIVGTKDLTVRLFFVDKVKGSYKKPFSFLGHKDSVVGCFFGVDKKSNRVNKVYTIARDGFIFSWSYSGNDAKINDFRDEVLEDDEPPSPGTPEKDGEGIVKKRKDFDGKESGFGEDEDYLSGGPWELTKHCFMQAPAKLTACDYHRGLDLVVVGFSNGVFGLYQMPDFVCIHLLSISREKITTAVFNDLGNWLTFGCAELGQLLVWEWRSESYILKQQGHYFDVNTLAYSPDSQLLATGADDNKVKVWTVSSGFCFVTFTEHTNAVTALHFMANNHCLLSASLDGTVRAWDLLRYRNFRTFTTPSSRQFVSLAADQSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHEGPVHGLMFSPTNAILASSSWDKTVRLWDVFEGKGTVETFPHAHDVLTVTYRPDGKQLACSTLDGQIHFWDPIDGLLMYTIEGRRDIAGGRLMTDRRSAANSSSGKCFTSLCYSADGSYILAGGSSRFICMYDVADQVLLRRFQITHNLSLDGVLDFLNSKNMTAAGPLDLIDDDNSDTEEGIDKQTRGNMGYNLPGSMPNHGRPVVRTKCLKIAPTGRSFSAATTEGVLVYSIDESFIFDPTDLDIDVTPEAIDAALNEDQPSRALILSLRLNEDTLIKKCIFSVSLVDVPAVASSIPCRYLQRLIEALVDLLERCPHLEFVLCWCQVAFFHFPI >EOY26551 pep chromosome:Theobroma_cacao_20110822:6:13909512:13913728:-1 gene:TCM_028352 transcript:EOY26551 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL25H03.15 protein isoform 1 MNYRFQNLLGAPYRGGNAVITQNTNLISPVGNRVSVTDLVKSQTVTLPDQSSSNIRRIAASPDGVFLLTVDDNNRCLFINIPRRVVLHRITFKHPVSAVKFNPNGKYVAVAAGKLLQIWKSPGFKREVFGFELVRTFADCNDKILAIDWDNESKYLIVGTKDLTVRLFFVDKVKGSYKKPFSFLGHKDSVVGCFFGVDKKSNRVNKVYTIARDGFIFSWSYSGNDAKINDFRDEVLEDDEPPSPGTPEKDGEGIVKKRKDFDGKESGFGEDEDYLSGGPWELTKHCFMQAPAKLTACDYHRGLDLVVVGFSNGVFGLYQMPDFVCIHLLSISREKITTAVFNDLGNWLTFGCAELGQLLVWEWRSESYILKQQGHYFDVNTLAYSPDSQLLATGADDNKVKVWTVSSGFCFVTFTEHTNAVTALHFMANNHCLLSASLDGTVRAWDLLRYRNFRTFTTPSSRQFVSLAADQSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHEGPVHGLMFSPTNAILASSSWDKTVRLWDVFEGKGTVETFPHAHDVLTVTYRPDGKQLACSTLDGQIHFWDPIDGLLMYTIEGRRDIAGGRLMTDRRSAANSSSGKCFTSLCYSADGSYILAGGSSRFICMYDVADQVLLRRFQITHNLSLDGVLDFLNSKNMTAAGPLDLIDDDNSDTEEGIDKQTRGNMGYNLPGSMPNHGRPVVRTKCLKIAPTGRSFSAATTEGVLVYSIDESFIFDPTDLDIDVTPEAIDAALNEDQPSRALILSLRLNEDTLIKKCIFSVSLVDVPAVASSIPCRYLQRLIEALVDLLERCPHLEFVLCWCQELCQVHGNSIQQNSRNLLPALKSLQKAITIIHQDLADTCASNEYMLRYLCSVSIKK >EOY26550 pep chromosome:Theobroma_cacao_20110822:6:13909334:13913792:-1 gene:TCM_028352 transcript:EOY26550 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL25H03.15 protein isoform 1 MNYRFQNLLGAPYRGGNAVITQNTNLISPVGNRVSVTDLVKSQTVTLPDQSSSNIRRIAASPDGVFLLTVDDNNRCLFINIPRRVVLHRITFKHPVSAVKFNPNGKYVAVAAGKLLQIWKSPGFKREVFGFELVRTFADCNDKILAIDWDNESKYLIVGTKDLTVRLFFVDKVKGSYKKPFSFLGHKDSVVGCFFGVDKKSNRVNKVYTIARDGFIFSWSYSGNDAKINDFRDEVLEDDEPPSPGTPEKDGEGIVKKRKDFDGKESGFGEDEDYLSGGPWELTKHCFMQAPAKLTACDYHRGLDLVVVGFSNGVFGLYQMPDFVCIHLLSISREKITTAVFNDLGNWLTFGCAELGQLLVWEWRSESYILKQQGHYFDVNTLAYSPDSQLLATGADDNKVKVWTVSSGFCFVTFTEHTNAVTALHFMANNHCLLSASLDGTVRAWDLLRYRNFRTFTTPSSRQFVSLAADQSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHEGPVHGLMFSPTNAILASSSWDKTVRLWDVFEGKGTVETFPHAHDVLTVTYRPDGKQLACSTLDGQIHFWDPIDGLLMYTIEGRRDIAGGRLMTDRRSAANSSSGKCFTSLCYSADGSYILAGGSSRFICMYDVADQVLLRRFQITHNLSLDGVLDFLNSKNMTAAGPLDLIDDDNSDTEEGIDKQTRGNMGYNLPGSMPNHGRPVVRTKCLKIAPTGRSFSAATTEGVLVYSIDESFIFDPTDLDIDVTPEAIDAALNEDQPSRALILSLRLNEDTLIKKCIFSVSLVDVPAVASSIPCRYLQRLIEALVDLLERCPHLEFVLCWCQELCQVHGNSIQQNSRNLLPALKSLQKAITIIHQDLADTCASNEYMLRYLCSVSIKK >EOY26553 pep chromosome:Theobroma_cacao_20110822:6:13908878:13913583:-1 gene:TCM_028352 transcript:EOY26553 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL25H03.15 protein isoform 1 QSSSNIRRIAASPDGVFLLTVDDNNRCLFINIPRRVVLHRITFKHPVSAVKFNPNGKYVAVAAGKLLQIWKSPGFKREVFGFELVRTFADCNDKILAIDWDNESKYLIVGTKDLTVRLFFVDKVKGSYKKPFSFLGHKDSVVGCFFGVDKKSNRVNKVYTIARDGFIFSWSYSGNDAKINDFRDEVLEDDEPPSPGTPEKDGEGIVKKRKDFDGKESGFGEDEDYLSGGPWELTKHCFMQAPAKLTACDYHRGLDLVVVGFSNGVFGLYQMPDFVCIHLLSISREKITTAVFNDLGNWLTFGCAELGQLLVWEWRSESYILKQQGHYFDVNTLAYSPDSQLLATGADDNKVKVWTVSSGFCFVTFTEHTNAVTALHFMANNHCLLSASLDGTVRAWDLLRYRNFRTFTTPSSRQFVSLAADQSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHEGPVHGLMFSPTNAILASSSWDKTVRLWDVFEGKGTVETFPHAHDVLTVTYRPDGKQLACSTLDGQIHFWDPIDGLLMYTIEGRRDIAGGRLMTDRRSAANSSSGKCFTSLCYSADGSYILAGGSSRFICMYDVADQVLLRRFQITHNLSLDGVLDFLNSKNMTAAGPLDLIDDDNSDTEEGIDKQTRGNMGYNLPGSMPNHGRPVVRTKCLKIAPTGRSFSAATTEGVLVYSIDESFIFDPTDLDIDVTPEGH >EOY26554 pep chromosome:Theobroma_cacao_20110822:6:13908794:13913768:-1 gene:TCM_028352 transcript:EOY26554 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL25H03.15 protein isoform 1 MNYRFQNLLGAPYRGGNAVITQNTNLISPVGNRVSVTDLVKSQTVTLPDQSSSNIRRIAASPDGVFLLTVDDNNRCLFINIPRRVVLHRITFKHPVSAVKFNPNGKYVAVAAGKLLQIWKSPGFKREVFGFELVRTFADCNDKILAIDWDNESKYLIVGTKDLTVRLFFVDKVKGSYKKPFSFLGHKDSVVGCFFGVDKKSNRVNKVYTIARDGFIFSWSYSGNDAKINDFRDEVLEDDEPPSPGTPEKDGEGIVKKRKDFDGKESGFGEDEDYLSGGPWELTKHCFMQAPAKLTACDYHRGLDLVVVGFSNGVFGLYQMPDFVCIHLLSISREKITTAVFNDLGNWLTFGCAELGQLLVWEWRSESYILKQQGHYFDVNTLAYSPDSQLLATGADDNKVKVWTVSSGFCFVTFTEHTNAVTALHFMANNHCLLSASLDGTVRAWDLLRYRNFRTFTTPSSRQFVSLAADQSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHEGPVHGLMFSPTNAILASSSWDKTVRLWDVFEGKGTVETFPHAHDVLTVTYRPDGKQLACSTLDGQIHFWDPIDGLLMYTIEGRRDIAGGRLMTDRRSAANSSSGKCFTSLCYSADGSYILAGGSSRFICMYDVADQVLLRRFQITHNLSLDGVLDFLNSKNMTAAGPLDLIDDDNSDTEEGIDKQTRGNMGYNLPGSMPNHGRPVVRTKCLKIAPTGRSFSAATTEGVLVYSIDESFIFDPTDLDIDVTPEAIDAALNEDQPSRALILSLRLNEDTLIKKCIFSVSLVDVPAVASSIPCRYLQRLIEALVDLLERCPH >EOY26661 pep chromosome:Theobroma_cacao_20110822:6:16781769:16797343:-1 gene:TCM_028610 transcript:EOY26661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein isoform 2 MGASLPPKEANLFKLIVKSYETKQYKKGLKAADAILKKFPDHGETLSMKGLTLNCMDRKTEAYELVRLGVKNDVKSHVCWHVYGLLHRSDREYREAIKCYRNALKRDPDNIEILRDLSLLQAQMRDLTGFVETRQQLLTLKPNHRMNWIGFAVAHHLNSNGAKAVEILEAYEGTLEDDYPPDNERCEHGEMLLYKISLLEECGFLERALEELHKKESKIVDKLTYKEQEVSLLVKLGRLEDGANIYKTLLNMNPDNYRYYEGLQKCFGLYTENGKYSSDEIDQLDALYKSLAQQYTWSSAVKRIPLDFLQGGKFHEAAVNYIKPLLTKGVPSLFSDLSPLYDHPGKADILEQLILELEQSIRSTGTYPDRTEKEPPSTLLWTLFFLAQHYDRRGQYDVALSKIDEAIQHTPTVIDLYSVKSRIMKHAGDLVAAASLADEARCMDLADRYINSESVKRMLQADQVALAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQDDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVEMLKFQDRLHSHAYFHKAAAGAIRCYLKLYDSPLNSPAEEEDDASKTPSQKKKMKKQRKAERAKKEAEEKIEESSAGGISKSGKRHVKPVDPDPYGEKLVKTEDPLLEATKYLKLLQKNSPDSLETHLLSFEVNMRKQKILLAFQAVKQLLRLDAENPDSHCCLIKFFHKVGSMPDPVTDGDKLVWSVLEAERPSISQLQEKTLGEANEVFLGKHEDSLMHRVAVAEMLYTLEPTKKVEAIKLIEDSTNKVVSMDGALGPVTEWKLKDCIAVHKLLEKVLIDHDAALRWKVRCAEFFPYSTYFEGSCSSAVHNVLNNQVSKTPVNGGTSHPEISQGANSIISNGKLEAFKNLTI >EOY26662 pep chromosome:Theobroma_cacao_20110822:6:16781769:16795070:-1 gene:TCM_028610 transcript:EOY26662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein isoform 2 MRDLTGFVETRQQLLTLKPNHRMNWIGFAVAHHLNSNGAKAVEILEAYEGTLEDDYPPDNERCEHGEMLLYKISLLEECGFLERALEELHKKESKIVDKLTYKEQEVSLLVKLGRLEDGANIYKTLLNMNPDNYRYYEGLQKCFGLYTENGKYSSDEIDQLDALYKSLAQQYTWSSAVKRIPLDFLQGGKFHEAAVNYIKPLLTKGVPSLFSDLSPLYDHPGKADILEQLILELEQSIRSTGTYPDRTEKEPPSTLLWTLFFLAQHYDRRGQYDVALSKIDEAIQHTPTVIDLYSVKSRIMKHAGDLVAAASLADEARCMDLADRYINSESVKRMLQADQVALAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQDDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVEMLKFQDRLHSHAYFHKAAAGAIRCYLKLYDSPLNSPAEEEDDASKTPSQKKKMKKQRKAERAKKEAEEKIEESSAGGISKSGKRHVKPVDPDPYGEKLVKTEDPLLEATKYLKLLQKNSPDSLETHLLSFEVNMRKQKILLAFQAVKQLLRLDAENPDSHCCLIKFFHKVGSMPDPVTDGDKLVWSVLEAERPSISQLQEKTLGEANEVFLGKHEDSLMHRVAVAEMLYTLEPTKKVEAIKLIEDSTNKVVSMDGALGPVTEWKLKDCIAVHKLLEKVLIDHDAALRWKVRCAEFFPYSTYFEGSCSSAVHNVLNNQVSKTPVNGGTSHPEISQGANSIISNGKLEAFKNLTI >EOY27038 pep chromosome:Theobroma_cacao_20110822:6:19830418:19831332:1 gene:TCM_028986 transcript:EOY27038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDEHRTEAVDRISDLPEPILHHVLSKVEATDAARTSVLSKAWKSIYDSFPVVVLDELFIEEYVKSYELSSEERQKYLSNLEQFRTRYNVHIRGVGADEEKGKLLAMMIIREKFMLLSNNSLKRINQQKELLSIKKFRFRIFHLDDEFASVIDNWVGLVTERNIEELVIDLGCTFLPVAQGFLPSNSYIYAQFI >EOY27498 pep chromosome:Theobroma_cacao_20110822:6:22098544:22101137:1 gene:TCM_029334 transcript:EOY27498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNMTLLDFTLDWTHRTSAQTLVRSSSLLLLRLLLMMMLTVSASASSSSSGKTIPTTSPPKTTSLHCNKKQTKINTSLFAIFFSCTSSSLILCLDLEEGMEEREFKYLGFRSIKRRRFGQQEAVGQETNRVRFGEQDPRASSDELAEVGWPFGPLLT >EOY25601 pep chromosome:Theobroma_cacao_20110822:6:612957:617445:1 gene:TCM_026982 transcript:EOY25601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer epsilon subunit isoform 1 MAAAAAVPDHLFNLRNNFYLGSYQAAINNSDLPNLSPDDAVERDCLVYRSYIALGSYQLVINEIDSSAATPLQAVKLLALYLSNPHEKESTISSLKEWLSDPAIGNNAILRLIAGIIFMHEEDYNEALKHTNAGGTMELHALNVQIFIKMHRSDYAEKQLRVMQQIDEDHTLTQLANAWLNLAVGGSKIQEAYLIFQDFSEKYPMTGLILNGKAVCCMHMGNFDEAETLLLEALNKDAKDPETLANLVVCSLHLGKSSSRYLSQLKLTHPEHVLVKRASSAEDSFERAVQSVA >EOY25602 pep chromosome:Theobroma_cacao_20110822:6:612996:615819:1 gene:TCM_026982 transcript:EOY25602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer epsilon subunit isoform 1 MAAAAAVPDHLFNLRNNFYLGSYQAAINNSDLPNLSPDDAVERDCLVYRSYIALGSYQLVINEIDSSAATPLQAVKLLALYLSNPHEKESTISSLKEWLSDPAIGNNAILRLIAGIIFMHEEDYNEALKHTNAGGTMELHALNVQIFIKMHRSDYAEKQLRVMQQIDEDHTLTQLANAWLNLAVGGSKIQEAYLIFQDFSEKYPMTGLILNGKAVCCMHMGNFDEAETLLLEALNKARCKGS >EOY26758 pep chromosome:Theobroma_cacao_20110822:6:17719117:17724734:-1 gene:TCM_028723 transcript:EOY26758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGICETLELRVGDTPWWESVTQPRSELVSRSGFRGFGCLSCDAIIIIIQCRQYEDLDSLLIVLREKWAFNVAITPTLWALETLETTADEALREYFVDLDVPLSEGHEYLLIRHMEDRSDWGLGARQKMRSLKEKRASGGTKQMCTVAALIDELMDKGDDHGQGSEQPLDHGLAAPKPPTGPPQTQSGYDPLFTKKTIGPQAQISPAQPQTANEPMLTQSRIVNDEAVTTRQLRRIMRKHEKEMLELKTSIQSLSVAMQALEDRIVGRILDGLKSQGSASQGAGLKHDDANDGQHHEPGVDIDDDVLGANGEHITHVDDVVEKAVAVDVTLQSDDAEGEHLPPTDAFVDAAARAIVLYRGSTSDAVEIQWSSPESSAVHHGAAEISDPTERARLKMVSKYMASPFVDPLVTRRDVRDKIIEDYEAFKKEKSASILGDQGVDFFITLEDPNEELTSERIDTCLSLLRKRMTGPKSKLTPSVCYTPNFQQKMPRATMQIPDELRGYVEGERPTYAKKWEDVDFILAPCNVSGHLVVAKINLVRWTIKVVDSARTSDAKDNGVSAGQMTPLTTMMPFICHQAGYYNNIRRKR >EOY28690 pep chromosome:Theobroma_cacao_20110822:6:26252818:26255892:1 gene:TCM_030220 transcript:EOY28690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 21 isoform 1 MGCCGSKHKLSEPDYKDYRSGMPPSRPVQPASQPQPVQTQKMSVPQIQAPQMRQPQPIPAPLKPSPASSRPVQGQETILGKPLEDIKQYYTLGKELGRGQFGITYLCTENSTGNTYACKSILKRKLKNKQDREDIKKEVQIMQHLSGQPNIVEFRGAYEDRQSVHLVMELCAGGELFDRITAQGHYSERAAAAICRSVVNVVHICHFMGVMHRDLKPENFLLSSKDEGAMLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRSYGKEIDIWSAGIILYILLSGVPPFWAETEKGIFDAITEGELHFESQPWPSISESAKDLIRKMLTMDPKKRLTSAQVLEHPWMREGGEASDKPIDSAVLSRLKQFRAMNKLKKLALKVIAENLSEEEIKGLKAMFTNMDTDKSGTITYEELKAGLARLGSKLSEAEVKQLMQAADVDGNGTIDYIEFISATMHRYRLERDEHLYKAFQYFDKDNSG >EOY28689 pep chromosome:Theobroma_cacao_20110822:6:26252450:26256930:1 gene:TCM_030220 transcript:EOY28689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 21 isoform 1 MGCCGSKHKLSEPDYKDYRSGMPPSRPVQPASQPQPVQTQKMSVPQIQAPQMRQPQPIPAPLKPSPASSRPVQGQETILGKPLEDIKQYYTLGKELGRGQFGITYLCTENSTGNTYACKSILKRKLKNKQDREDIKKEVQIMQHLSGQPNIVEFRGAYEDRQSVHLVMELCAGGELFDRITAQGHYSERAAAAICRSVVNVVHICHFMGVMHRDLKPENFLLSSKDEGAMLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRSYGKEIDIWSAGIILYILLSGVPPFWAETEKGIFDAITEGELHFESQPWPSISESAKDLIRKMLTMDPKKRLTSAQVLEHPWMREGGEASDKPIDSAVLSRLKQFRAMNKLKKLALKVIAENLSEEEIKGLKAMFTNMDTDKSGTITYEELKAGLARLGSKLSEAEVKQLMQAADVDGNGTIDYIEFISATMHRYRLERDEHLYKAFQYFDKDNSTSQRMN >EOY28688 pep chromosome:Theobroma_cacao_20110822:6:26252582:26257221:1 gene:TCM_030220 transcript:EOY28688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 21 isoform 1 MGCCGSKHKLSEPDYKDYRSGMPPSRPVQPASQPQPVQTQKMSVPQIQAPQMRQPQPIPAPLKPSPASSRPVQGQETILGKPLEDIKQYYTLGKELGRGQFGITYLCTENSTGNTYACKSILKRKLKNKQDREDIKKEVQIMQHLSGQPNIVEFRGAYEDRQSVHLVMELCAGGELFDRITAQGHYSERAAAAICRSVVNVVHICHFMGVMHRDLKPENFLLSSKDEGAMLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRSYGKEIDIWSAGIILYILLSGVPPFWAETEKGIFDAITEGELHFESQPWPSISESAKDLIRKMLTMDPKKRLTSAQVLEHPWMREGGEASDKPIDSAVLSRLKQFRAMNKLKKLALKVIAENLSEEEIKGLKAMFTNMDTDKSGTITYEELKAGLARLGSKLSEAEVKQLMQAADVDGNGTIDYIEFISATMHRYRLERDEHLYKAFQYFDKDNSGYITKDELETAMKEYGMGDEASIREVISEVDTDNDGKINYEEFCTMMRSGTQQTGKPF >EOY28195 pep chromosome:Theobroma_cacao_20110822:6:24510562:24516183:-1 gene:TCM_029829 transcript:EOY28195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring finger protein, putative isoform 1 MPNLPRNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEEKARNKQIQASIAQTLRRQSEVVGKKRTVKATASAIVRRSQSRHHGGRKHRTSEPQESDDNENANGDGSRDSTSADEHHTEVKPKRSKRWGGRFSHPSSAASADGVGDETDSEVNRESLGVSAPLVWPSERLHWGAGGMRSHTRHGSLNGGNGKNVRNSRLSKLVDCLRNLKEKDDELDIHLMLVSVDEQRIPSLQQPYLCCRPTLLVRQLCQYVALQTALQAREIEIYLVKGSYSMANMSTFVMTKPGLVDSVRDSLQVLKEEETLAGLGRQRSSHGHLLLAYQKK >EOY28194 pep chromosome:Theobroma_cacao_20110822:6:24510007:24516065:-1 gene:TCM_029829 transcript:EOY28194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring finger protein, putative isoform 1 MPAQKRSSEAAITATSPEDDDPLLLDDRNNHEQHQQETEDAADGFTESDRSPSPCNEEEQEYILVKSADIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEEKARNKQIQASIAQTLRRQSEVVGKKRTVKATASAIVRRSQSRHHGGRKHRTSEPQESDDNENANGDGSRDSTSADEHHTEVKPKRSKRWGGRFSHPSSAASADGVGDETDSEVNRESLGVSAPLVWPSERLHWGAGGMRSHTRHGSLNGGNGKNVRNSRLSKLVDCLRNLKEKDDELDIHLMLVSVDEQRIPSLQQPYLCCRPTLLVRQLCQYVALQTALQAREIEIYLVKGSYSMANMSTFVMTKPGLVDSVRDSLQVLKEEETLAGLGRQRSSHGHLLLAYQKK >EOY28193 pep chromosome:Theobroma_cacao_20110822:6:24510259:24516343:-1 gene:TCM_029829 transcript:EOY28193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring finger protein, putative isoform 1 MPAQKRSSEAAITATSPEDDDPLLLDDRNNHEQHQQETEDAADGFTESDRSPSPCNEEEQEYILVKSADIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEEKARNKQIQASIAQTLRRQSEVVGKKRTVKATASAIVRRSQSRHHGGRKHRTSEPQESDDNENANGDGSRDSTSADEHHTEVKPKRSKRWGGRFSHPSSAASADGVGDETDSEVNRESLGVSAPLVWPSERLHWGAGGMRSHTRHGSLNGGNGKNVRNSRLSKLVDCLRNLKEKDDELDIHLMLVSVDEQRIPSLQQPYLCCRPTLLVRQLCQYVALQTALQAREIEIYLVKGSYSMANMSTFVMTKPGLVDSVRDSLQVLKEEETLAGLGRQRSSHGHLLLAYQKK >EOY28196 pep chromosome:Theobroma_cacao_20110822:6:24510854:24516192:-1 gene:TCM_029829 transcript:EOY28196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring finger protein, putative isoform 1 MNNTSKKPKMLLMVLQNLIAALLLVTKKNKSKELAFHEEEKARNKQIQASIAQTLRRQSEVVGKKRTVKATASAIVRRSQSRHHGGRKHRTSEPQESDDNENANGDGSRDSTSADEHHTEVKPKRSKRWGGRFSHPSSAASADGVGDETDSEVNRESLGVSAPLVWPSERLHWGAGGMRSHTRHGSLNGGNGKNVRNSRLSKLVDCLRNLKEKDDELDIHLMLVSVDEQRIPSLQQPYLCCRPTLLVRQLCQYVALQTALQAREIEIYLVKGSYSMANMSTFVMTKPGLVDSVRDSLQVLKEEETLAGLGRQRSSHGHLLLAYQKK >EOY26057 pep chromosome:Theobroma_cacao_20110822:6:4079644:4083390:1 gene:TCM_027449 transcript:EOY26057 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 isoform 1 MATTLLPKTVYPILTNRPSIPSAHFTTKPPFFSPLDLSPCTKRPSVQTNAKKKNPWLDPFDDGEDPNMEYGSLFTDGKQEEDPRPPDNPDNPYGFLKFPMGFAVELASLPLKIRGDVRRCCCVISGGVYENLLFFPAIQLIKDRYPGVQVDVLASERGKQTYELNKNVRWANAYDPDDEWPEPAEYTDMVGLLKGKRKREEKVLEHMKTTSRYYDMVLSTKLAGLGHAAFLFMTTARDRVSYIYPNVNAAGAGLLLSETFTADSMNLSEGGYNMYHQMVDWLGRPFRSVPRHSVGPLRVSISRKLKKVVAEKYRTAGAEKGKYIVIHGIESDSKASMQSRGDTDSLLPIQVWAEIAKDIRGFKPLLVIPHEKERENVEEVVGDDASIVFITTPGQLAALINDSAGVIATNTAAIQLAGAREKPSIGLFCSKQKGKLFVPNPEEKKCAIVSSKTGKLRDVEVEAVKQAMQIFDMSFALV >EOY26058 pep chromosome:Theobroma_cacao_20110822:6:4079760:4083244:1 gene:TCM_027449 transcript:EOY26058 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 isoform 1 MATTLLPKTVYPILTNRPSIPSAHFTTKPPFFSPLDLSPCTKRPSVQTNAKKKNPWLDPFDDGEDPNMEYGSLFTDGKQEEDPRPPDNPDNPYGFLKFPMGFAVELASLPLKIRGDVRRCCCVISGGVYENLLFFPAIQLIKDRYPGVQVDVLASERGKQTYELNKNVRWANAYDPDDEWPEPAEYTDMVGLLKSRYYDMVLSTKLAGLGHAAFLFMTTARDRVSYIYPNVNAAGAGLLLSETFTADSMNLSEGGYNMYHQMVDWLGRPFRSVPRHSVGPLRVSISRKLKKVVAEKYRTAGAEKGKYIVIHGIESDSKASMQSRGDTDSLLPIQVWAEIAKDIRGFKPLLVIPHEKERENVEEVVGDDASIVFITTPGQLAALINDSAGVIATNTAAIQLAGAREKPSIGLFCSKQKGKLFVPNPEEKKCAIVSSKTGKLRDVEVEAVKQAMQIFDMSFALV >EOY28217 pep chromosome:Theobroma_cacao_20110822:6:24608955:24610953:-1 gene:TCM_029848 transcript:EOY28217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein, putative MGFAAAAKAVLLLQLSLLLVSSFRVSGQILPEALPPHYHEGSHQPISPVMAPSPPPSHHHHHHHPHPHPHPHPPTPPPTKPPSHPPKAPTPAPVYPPPKPPTKPPTYAPPKPPVQPPTKPPTYAPPKPPVQPPTYPPKPPVHPPTKPPTQPPTKPPVYPPVKPPTKPPTHPPTKPPVHPPTYPPSHPPAKPPTYPKPSRSLVAVQGVVYCKSCKYAGVDTLLGAKPIFGAIVKLTCKNTKYKQVVQAKTDKNGYFFLEAPKSITSFGAHKCTVSLVSSPLASCSKPSNLNNGLQGATLKPEKPFIANKLPFILYSVGPFAFEPKCY >EOY27869 pep chromosome:Theobroma_cacao_20110822:6:23364393:23365677:1 gene:TCM_029593 transcript:EOY27869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPVQSQALLRPPFTVFVSSKKITAVLLVNSQKLNRVESTAMASPPRASALLFFLLATTVLQPVNSLSYSQYKSLCSFSHSLLTRVANLRSSRGDAAGSNRARLVAEKLERWQGVGFWGAAWSVGWDYVWNYAWRGNLNYGEIHGVVSDLNQLGRMLGELSRSNSEMERASWIARNYGNALAVSKRLLNRLLKAFKRSGALREVIETVEREVVEGGLLRDCLEIGSNDLKGLVQIFKELSSQFFSASDYSQEL >EOY27789 pep chromosome:Theobroma_cacao_20110822:6:23128241:23132877:-1 gene:TCM_029544 transcript:EOY27789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+ activated outward rectifying K+ channel 6 isoform 1 LNRIKLWLLCIFHTQIHDDTCKLWICLYGYTSNNFDPFGDNKRKLVRFPVQFFFPLHIRTTLSLSFSPHKPTDIPITASFFWLIRRFHMENDPLLPYVSPIKKITPPPPLFPLPENDEVSVPLPLTPSEFKDRLIFGPSPSSSSSPIEPSPIFDALTSSLNSTKPSSSSSFQENVINLQDPLLQQNQVTSPTTASWLIDPNFKWEKTNLHRSKTAPAMAVLNDVLHPSIPKPQFGSQSVVRQAFILLVLYLSLGVVIYWFNRHNFVAYETHPVVDALYFCIVTMCTIGYGDITPNSVATKLFSILFVLVGFGFIDILLSGMVSYVLDLQENYLLRTVKPEGEKDSARSYIIDVKKGRMRIRMKVGLALGVVVLCIGIGVGVMHFVESLGWLDSFYLSVMSVTTVGYGDRAFKTLPGRIFAAIWLLVSTLAVARAFLYLAEARVDKRHRTMAKWVLGQDMTVAEFLAADIDNNGFVRNWSDNLGQCHLFSNLTHVELDSLLLVGWLEKMDKSADQWYIQFCTIILSIS >EOY27788 pep chromosome:Theobroma_cacao_20110822:6:23129691:23132777:-1 gene:TCM_029544 transcript:EOY27788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+ activated outward rectifying K+ channel 6 isoform 1 MFRFTSKGLNRIKLWLLCIFHTQIHDDTCKLWICLYGYTSNNFDPFGDNKRKLVRFPVQFFFPLHIRTTLSLSFSPHKPTDIPITASFFWLIRRFHMENDPLLPYVSPIKKITPPPPLFPLPENDEVSVPLPLTPSEFKDRLIFGPSPSSSSSPIEPSPIFDALTSSLNSTKPSSSSSFQENVINLQDPLLQQNQVTSPTTASWLIDPNFKWEKTNLHRSKTAPAMAVLNDVLHPSIPKPQFGSQSVVRQAFILLVLYLSLGVVIYWFNRHNFVAYETHPVVDALYFCIVTMCTIGYGDITPNSVATKLFSILFVLVGFGFIDILLSGMVSYVLDLQENYLLRTVKPEGEKDSARSYIIDVKKGRMRIRMKVGLALGVVVLCIGIGVGVMHFVESLGWLDSFYLSVMSVTTVGYGDRAFKTLPGRIFAAIWLLVSTLAVARAFLYLAEARVDKRHRTMAKWVLGQDMTVAEFLAADIDNNGFVSKSEFVIYKLKEMGKVSEKDILQICEKFDRLDAGNCGKITLADLMESHH >EOY26226 pep chromosome:Theobroma_cacao_20110822:6:6004336:6008865:1 gene:TCM_027668 transcript:EOY26226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein isoform 2 PSNYIFISISISIITIKIIHFSSSLSFFTHFSSSRFSSKDRPLKYLSTTVFESCSPELLRDFYMDNDYRKQWDKTLFDHIQLQMDRTNGIEIGRTIKKFPLLTPREYVLAWRLWEGKDRTFYCFIKECEHPSAPRQKKYVRVRYFRSGWQIRKVPGRDASEIRMFHQEDAGLNVEMAKLAFAKGIWSYVCKMDNALRKYSVISHPLTSPAVSAATLIQKVPPELDTTSGVTSAAASTSMASCEPVNGESREKKLSRRPSKKLVAKSLLVLGGVICLSRGHSALGAKVAMAYILTKLRKRGDSSSQSTQS >EOY26225 pep chromosome:Theobroma_cacao_20110822:6:6003894:6009109:1 gene:TCM_027668 transcript:EOY26225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein isoform 2 MKIWGWVELENEKMKSISSMGFWWWLNLRATLVALLVILIFHLSKKFYSKFLRTTSSSASASASSPSKSSTFHLHSPSSPISPPPDSQARNPEIVSDSDLKFLIDNLDEKLNDDDKWANVIYKQNNFLSYRAKCCKSKDRPLKYLSTTVFESCSPELLRDFYMDNDYRKQWDKTLFDHIQLQMDRTNGIEIGRTIKKFPLLTPREYVLAWRLWEGKDRTFYCFIKECEHPSAPRQKKYVRVRYFRSGWQIRKVPGRDASEIRMFHQEDAGLNVEMAKLAFAKGIWSYVCKMDNALRKYSVISHPLTSPAVSAATLIQKVPPELDTTSGVTSAAASTSMASCEPVNGESREKKLSRRPSKKLVAKSLLVLGGVICLSRGHSALGAKVAMAYILTKLRKRGDSSSQSTQS >EOY25783 pep chromosome:Theobroma_cacao_20110822:6:1883142:1885660:-1 gene:TCM_027149 transcript:EOY25783 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase (ubiquinone)s MGKPLGPTGEFFRRRDEWRKHPMLGNQLRHATPGLGIALVAFGIYLVGEQVYNRVYAPSSSHHQSQSH >EOY28023 pep chromosome:Theobroma_cacao_20110822:6:23965425:23969051:1 gene:TCM_029711 transcript:EOY28023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MFRMAAYSFNNNFSSGNCYSGLFNPLSRDMGPRNGSSHGGSLSVLVSQTLVLDSEKGELVKAPVKVGKKSVSEEKVIAALKSHSEAEKRRRERINAHLDTLRGLLPCREKRALMAKVAFSHGIVLIICCPPREKKENEAKFTERKEEDSLYVWICSMLTKLEMDKATLLGEVIRQVKELKKNATEASKGFLVPMDDDEVRVEPCEDEANGILLFKASICCDYRPELLTDLRRALDALPIKMVKAETSTLGSRLKNDFVFAGCRTAHADEAEARRFLACSIHQALNSVLEKASASPEYSPSSIFPNKRRRMSHFDSSSSSS >EOY28024 pep chromosome:Theobroma_cacao_20110822:6:23965406:23969103:1 gene:TCM_029711 transcript:EOY28024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MFRMAAYSFNNNFSSGNCYSGLFNPLSRDMGPRNGSSHGGSLSVLVSQTLVLDSEKGELVKAPVKVGKKSVSEEKVIAALKSHSEAEKRRRERINAHLDTLRGLLPCREKMDKATLLGEVIRQVKELKKNATEASKGFLVPMDDDEVRVEPCEDEANGILLFKASICCDYRPELLTDLRRALDALPIKMVKAETSTLGSRLKNDFVFAGCRTAHADEAEARRFLACSIHQALNSVLEKASASPEYSPSSIFPNKRRRMSHFDSSSSSS >EOY27993 pep chromosome:Theobroma_cacao_20110822:6:23879840:23881235:-1 gene:TCM_029693 transcript:EOY27993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 7 MPVFKTPFNGYSVKFSPFYESRLAVATAQNFGILGNGRVHVIDLSPSSPVINELIAFDTADGVYDVAWSESHDSVLVAAIADGSIKIYDTALPPHSNPLRSLKEHAREVHGLDYNPTRRDSFLSASWDDSVKLWTLDRPGSLRTFREHAYCVYSASWNPKHADVFASASGDCTVRIWDVREPGSTMIIPGHEHEILSCDWNKYDDCLIASASVDKSIKIWDVRNYRVPVSVLNGHGYAVRKIKFSPHRRNLIVSCSYDMTVCLWDFMVEDALVGRYDHHTEFAVGVDLSVLVDGLMASTGWDELVYVWQQGMDPRA >EOY26426 pep chromosome:Theobroma_cacao_20110822:6:10133218:10138078:1 gene:TCM_028039 transcript:EOY26426 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein MGVDLRQVVAAILTLTMFVMLGQMIKRDHFDSLQDKLPGEAQDSQFDNTNVIENDGLVKLSKRSKGPWIDDSQELKPCWSKTAFDEIEQPRGYVTFSLTNGPEYHVSQIADAVVVARYLGATLVLPDIRGSKPGDVNNFQDIYDVEKFMKSLDGVVKVAKELPNEISIRDLAAVKVPNRVTEDHIVENVEPIFKSKGNIRLATYFPTVNMRKTAQKSSVDSVACLGMFGTLELQPQVNEVVDLMIERLKTLSRKSDGQFIAVDLRVEILENKNCHGSGSTGAKSCYNAQEIALFLRKVGFDTDTTIYLTQSRWDSSLSVLKDIFPKTYTKESIMPEEKKGKFLESEDSEFEKVIDFYICSQSDVFVPAISGLFYANVAGKRIASGKPQILVPADIPGTSAAITNYLSPYVSKKNHLAYSCFC >EOY25579 pep chromosome:Theobroma_cacao_20110822:6:527106:527840:1 gene:TCM_026965 transcript:EOY25579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMACKTCSMLLLFLSLVLVINLVPQSDAARRLADEMIPKDQVAGLGKKEEAKMKSFGEMKNSPSFPFPLPFSSPDMPFAPPLPQVPITQLPPFPFPPPFGVPPFPFPPPFGVPPFPFPPPFGVPPFPGFPFPPVTFPPIPFFSPPPP >EOY27794 pep chromosome:Theobroma_cacao_20110822:6:23143982:23146234:1 gene:TCM_029552 transcript:EOY27794 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein MELCPSVKNILLLDSEGKRIAVKYYSDDWPTNSAKEAFEKAVFTKTQKTNARTEAEITMFDNYVVVYKFVQDLHFFVTGGENENEIILATVLQGFFDAVGNLLRGTVDKKEALENLDLILLCLDEIVDGGIVLETDANVITGKVASHSIDAGAPLSEQTISQALATAREHFTRSLLK >EOY26621 pep chromosome:Theobroma_cacao_20110822:6:15534479:15549312:-1 gene:TCM_028499 transcript:EOY26621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQANFYIMEQRFGSAPIAHSISRSDGSLDALHSTNEGSLDSTARSQWHPDLQAVRNFLLERFEEWHKKKMKEAIAKGDICPRKVSAILDFPPSCGRGATPLSKEECIRIQQAWIKAKMEKSQEVEEDLDEDLSMCSEQGDDDLKDTFVELCLTLSMGNAV >EOY29031 pep chromosome:Theobroma_cacao_20110822:6:27153077:27160048:1 gene:TCM_030464 transcript:EOY29031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein, putative isoform 1 MKFMKIGTKPDTFYTEEATSRTVISDIPSDLTIRINNICYLLHKFPLVPTCGLLQRLCSDSEDSDIVIIDLHDIPGGEDAFELCAKFCYGITISLSAHNFVPAFCAAKFLRMTESIEKGNFVLKLEAFFNSCILEGWKDSIVTLQTTIKLPEWSENLGIIRRCIDSIVEKILTPPAKVSWSYTYTRPGYVKKQHQSVPKDWWTEDISDLDIDLFRCIITAVRSTYMLPPQLVGEALHVYACRWLPDTTKSRPPQSSGSLTEESMKKSRRILEFIVSMIPADRGSVSVGFLLRLLSIANYLGASPVTKTELIRKAGLQFEEATVGDMLLPSQLSSDQHYYDIDLVSAVLESYLVLWRRQSPASAENSHLLRSVRKIGKLIDSYLQVVARDVNMPISKLVYLAEALPDSARGDHDGLYKAINIYLKEHPDLSKAEKKSLCRMLDCQKLSPEIRAHAVKNERLPLRTVVQVLFFEQESGSRATGHKPELISKARQTQATEEEGDKPQQEARRRNTVPESSEREHHEKMKSLEGKGVRGNIGEAESEKGKERRGEANSASKVDPKKIVQRRSRSDQGHDKGRER >EOY29029 pep chromosome:Theobroma_cacao_20110822:6:27152635:27159977:1 gene:TCM_030464 transcript:EOY29029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein, putative isoform 1 MKFMKIGTKPDTFYTEEATRTVISDIPSDLTIRINNICYLLHKFPLVPTCGLLQRLCSDSEDSDIVIIDLHDIPGGEDAFELCAKFCYGITISLSAHNFVPAFCAAKFLRMTESIEKGNFVLKLEAFFNSCILEGWKDSIVTLQTTIKLPEWSENLGIIRRCIDSIVEKILTPPAKVSWSYTYTRPGYVKKQHQSVPKDWWTEDISDLDIDLFRCIITAVRSTYMLPPQLVGEALHVYACRWLPDTTKSRPPQSSGSLTEESMKKSRRILEFIVSMIPADRGSVSVGFLLRLLSIANYLGASPVTKTELIRKAGLQFEEATVGDMLLPSQLSSDQHYYDIDLVSAVLESYLVLWRRQSPASAENSHLLRSVRKIGKLIDSYLQVVARDVNMPISKLVYLAEALPDSARGDHDGLYKAINIYLKEHPDLSKAEKKSLCRMLDCQKLSPEIRAHAVKNERLPLRTVVQVLFFEQESGSRATGHKPELISKARQTQATEEEGDKPQQEARRRNTVPESSEREHHEKMKSLEGKGVRGNIGEAESEKGKERRGEANSASKVDPKKIVQRRSRSDQGHDKGRER >EOY29032 pep chromosome:Theobroma_cacao_20110822:6:27156411:27160220:1 gene:TCM_030464 transcript:EOY29032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein, putative isoform 1 MKFMKIGTKPDTFYTEEATRTVISDIPSDLTIRINNICYLLHKFPLVPTCGLLQRLCSDSEDSDIVIIDLHDIPGGEDAFELCAKFCYGITISLSAHNFVPAFCAAKFLRMTESIEKGNFVLKLEAFFNSCILEGWKDSIVTLQTTIKLPEWSENLGIIRRCIDSIVEKILTPPAKVSWSYTYTRPGYVKKQHQSVPKDWWTEDISDLDIDLFRCIITAVRSTYMLPPQLVGEALHVYACRWLPDTTKSRPPQSSGSLTEESMKKSRRILEFIVSMIPADRGSVSVGFLLRLLSIANYLGASPVTKTELIRKAGLQFEEATVGDMLLPSQLSSDQHYYDIDLVSAVLESYLVLWRRQSPASAENSHLLRSVRKIGKLIDSYLQVVARDVNMPISKLVYLAEALPDSARGDHDGLYKAINIYLKEHPDLSKAEKKSLCRMLDCQKLSPEIRAHAVKNERLPLRTVVQVLFFEQESGSRATGHKPELISKARQTQATEEEGDKPQQEARRRNTVPESSEREHHEKMKSLEGKGVRGNIGEAESEKGKERRGEANSASKVDPKKIVQRRSRSDQGHDKGRER >EOY29030 pep chromosome:Theobroma_cacao_20110822:6:27153060:27160220:1 gene:TCM_030464 transcript:EOY29030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root phototropism protein, putative isoform 1 MKFMKIGTKPDTFYTEEATRTVISDIPSDLTIRINNICYLLHKLQFPLVPTCGLLQRLCSDSEDSDIVIIDLHDIPGGEDAFELCAKFCYGITISLSAHNFVPAFCAAKFLRMTESIEKGNFVLKLEAFFNSCILEGWKDSIVTLQTTIKLPEWSENLGIIRRCIDSIVEKILTPPAKVSWSYTYTRPGYVKKQHQSVPKDWWTEDISDLDIDLFRCIITAVRSTYMLPPQLVGEALHVYACRWLPDTTKSRPPQSSGSLTEESMKKSRRILEFIVSMIPADRGSVSVGFLLRLLSIANYLGASPVTKTELIRKAGLQFEEATVGDMLLPSQLSSDQHYYDIDLVSAVLESYLVLWRRQSPASAENSHLLRSVRKIGKLIDSYLQVVARDVNMPISKLVYLAEALPDSARGDHDGLYKAINIYLKEHPDLSKAEKKSLCRMLDCQKLSPEIRAHAVKNERLPLRTVVQVLFFEQESGSRATGHKPELISKARQTQATEEEGDKPQQEARRRNTVPESSEREHHEKMKSLEGKGVRGNIGEAESEKGKERRGEANSASKVDPKKIVQRRSRSDQGHDKGRER >EOY25706 pep chromosome:Theobroma_cacao_20110822:6:1342799:1349920:1 gene:TCM_027088 transcript:EOY25706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component 84B isoform 2 MATAKTGRSTRATAGAAKENGTKIEEGLNVFKSDKFDADGYVQSKCSLNDKEIRQLCSYLLDLKRASAEEMRKSVYANYSAFIRTSKEISDLEGELSSIRNLLSTQATLIHGLAEGVHIDSLSPKASEGPTANGLLDIEDSEPSDLEKWSAEFPDLLDVLLAEKRVDEALAALDEGERAVAEAKETKSLSSLALTSLETTIIERKQKLADQLAEAACQPSTRGAELRASILALKKLGDGPRAHTLLLNAHFQRYQYNMLSLRPSSTSYGGAYTAALSQLVFSAIAQAASDSLAIFGKEPAYTSELVMWATKQTEAFAHLVKRHALASSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLLKLFRPSVEQALDANLKRIEESTAALAAADDWVLTYPLGGTRQSGWPSSASVGNTTAFQHKLTSSAHRFNSMVQEFFEDVGPLLSMQLGGQTLEGLFQVFNSYVNMLIKALPGSMDEDANFEGTGNKIVRMAETEAQQIALLANASLLADELLPRAAMKLSPNQASYKDDHRRRTSDRQNRHPEQREWKRRLMSSFERLKNTFCQQHALDLIFTEEGDSHLTAEMYINMYGTADEVEWFPSLIFQELFAKLNRMASLAADMFVGRERFATSLLMRLTETVIIWLSEDQSFWDDIEDGPRPLGPLGLQQFYLDIKFVICFASQGRYLSRNLHRVVNEIIAKAMAAFSATGMDPYSVLPDDDWFNDICQDAIERLSGKPKADRDLNSPTASVSAQSMSSVRSHGSS >EOY25707 pep chromosome:Theobroma_cacao_20110822:6:1343150:1368360:1 gene:TCM_027088 transcript:EOY25707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component 84B isoform 2 MATAKTGRSTRATAGAAKENGTKIEEGLNVFKSDKFDADGYVQSKCSLNDKEIRQLCSYLLDLKRASAEEMRKSVYANYSAFIRTSKEISDLEGELSSIRNLLSTQATLIHGLAEGVHIDSLSPKASEGPTANGLLDIEDSEPSDLEKWSAEFPDLLDVLLAEKRVDEALAALDEGERAVAEAKETKSLSSLALTSLETTIIERKQKLADQLAEAACQPSTRGAELRASILALKKLGDGPRAHTLLLNAHFQRYQYNMLSLRPSSTSYGGAYTAALSQLVFSAIAQAASDSLAIFGKEPAYTSELVMWATKQTEAFAHLVKRHALASSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLLKLFRPSVEQALDANLKRIEESTAALAAADDWVLTYPLGGTRQSGWPSSASVGNTTAFQHKLTSSAHRFNSMVQEFFEDVGPLLSMQLGGQTLEGLFQVFNSYVNMLIKALPGSMDEDANFEGTGNKIVRMAETEAQQIALLANASLLADELLPRAAMKLSPNQASYKDDHRRRTSDRQNRHPEQREWKRRLMSSFERLKNTFCQQHALDLIFTEEGDSHLTAEMYINMYGTADEVEWFPSLIFQELFAKLNRMASLAADMFVGRERFATLLLMRLTETFIIWLQKIKAFGMILRMDRGL >EOY25498 pep chromosome:Theobroma_cacao_20110822:6:252789:259816:-1 gene:TCM_026909 transcript:EOY25498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit A-7 MTSSVPDLSDNNEVNEQQKQSESQDQSSSPPTGLSHLAMTTTNVPYATPQHAVAPATYPYPDPYYRSIFAPYDAQPYPPQPYGGQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESENKVLKPRKPYLHESRHLHALRRARGSGGRFLNAKKNGNKQNDAASGDKSQSDINLNSDKNEVASAEGTS >EOY27942 pep chromosome:Theobroma_cacao_20110822:6:23679535:23685782:-1 gene:TCM_029651 transcript:EOY27942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MDDSCFNFLSLLLIQCFMGSLAVITAANLTTDQYALLEFKDSLDSDTILANNWTSSTSVCNWVGVSCSSSPERVTSLNLRSMDLTGTISPHLGDLSSLLSLDLSGNKLNGYLPSTIYNLSSLQIMDLTSNELSGDFPDDFCRYFPKLEVLHLAFNGFSGSVPSRLGDCTNLRNLSLSNNRFYGFIPRSIGNLTRLKEIRLSGNSLQGAIPWEIGNLFNLEIFAAESNGGLTGGIPASIFNISSLTKLVLFNNSLSGSLPDNMCHHLSKLEVLIISLNEFSGHIPSSIGECSNLQNLSLSTNRFNGTIPRSFGNLTSLKRLSLRENDLTGEIPWEIGNLYSLEILAVQHMRLNGPIPPSIFNISSLKEISLNNNSLSGEIPSMISISNLEELRLWGNNLSGNIPNFISSASKLRILALEENSFFGLIPNTLGNLTFLERLSLASNNLITETSTHEWSFLSSLANCRNLRYLNLSFNPLNGILPSSISNLSTSLHFFYASDVKITGSIPREIGNLSNITTLDLSHNELSGSIPATIGRLRNVQGLLLHGNQLQGSIPPSVCGLERLYNLSLGGNMLHGPIPTCLANLTSLRYLYLDSNKLNSTIPLSLWSLNDILEVDLSSNYLNGSLPLGIEKLKVLTHLNLSRNLLSGEILSSIGELQDLISLDLSNNRFDGYIPESFGDLISLESLDLSNNNLSGVIPKSLERLSSLNHFNVSFNRLEGEIPSGGPFRNFSAKSFMNNCGLCGSPALQVPPCKSRQSKMTPWHVLKYVLPVVASLILIAIFFILLKRCQKKSINLAVNEDLLPLEKWRRISYSELLQATNGFDECNLLGSGGFGSVYRGTLSDGMNVAIKVFNMHSEDGFKSFDVECEAMRNIFHRNLVKVFSSCSNVDFRALMFEFMPNGNLEKWLYSYNYFLNLLQRIDIMIDVASALEYLHFGCSVPVIHCDLKPSNILLDNDMVAHVGDFGLAKLLGEEDSIRQTKTLATIGYMAPEYGSTGIVSLKGDVYSYGILLMETFTRKKPTDEIFSVEMSLKDWVKNSLSNGTIDEVLDANLLREEEHFIDKVNALSSIMGLALDCTTKLPEERKNMKDVVAILKKIKIKFLKDVEMAYNGEGKIAERNCRFGDDYRAITISDVAVCENNG >EOY27839 pep chromosome:Theobroma_cacao_20110822:6:23272105:23274300:-1 gene:TCM_029575 transcript:EOY27839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-related gene 1 METQPPKLGASLLVPCVQELAKEHLIEVPSRYLRSTDEDPAPFLSNANSSLLQVPVIDMQKLSSKHLKDSESEKLHHACKEWGFFQLINHGVSAALVENVKLGIQEFFNLPMEEKKKFWQKPDEIEGFGQAFVVSEEQKLDWGDMFYMVTLPTHLRKPHLFPNLPLPLRETLELYSSDLKILAMKMLDLMAKALRMDPNDMRTLFEEGFQGMRMNYYPPCPQPDCAIGLNSHSDAGGLTILLQITEVEGLQIRKDGTWIPVKPLPNAFVINIGDIVEIVSNGIYRSIEHRATVNQEKERLSVATFYSPKLDGDLGPAPSLITPETPALFRRTGVVDYIKGFYSHELRGKSYVDVYRVENLKNDSSPIS >EOY26355 pep chromosome:Theobroma_cacao_20110822:6:7911657:7921984:-1 gene:TCM_027858 transcript:EOY26355 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIM-like 1 MDGIHGKNGRMHMGNDVQQPMHHHVHYEHHHHIMNGNGMVDDDDVHHAHHHHHHHDVDDNVGCGEAEGVEAGDLPSDHPGVLSDNQGPDNGDQLTLSFQGQVYVYDSVPPEKVQAVLLLLGGREVPPTMPAIPITTQNNRGLPGTPQRFSVPQRLASLLRFREKRKERNFDKKIRYTVRKEVALRMQRNKGQFTSSKPNTDDSVSAASSLGSNQSWGADGNGSQNQEIVCRHCGISEKSTPMMRRGPEGPRTLCNACGLMWANKGTLRDLSKAAPQTGNSSSLSKNGENVNFEADQVVRITENVSGSS >EOY27919 pep chromosome:Theobroma_cacao_20110822:6:23575306:23578412:1 gene:TCM_029633 transcript:EOY27919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKGRKPSSLSLVLGLVVAFLSKNRHAAAENDEYLSAVGDPGMRRDGLRLAIEAWNQCNEVGEEVPQMGSPRAADCFDIYKAAQSKEGKLFTFSSSSSLVLFLLSFQCDIAVKILNITPCTQKWTEKNCSLCNLLPYVLVHRVTEDDNKLGVGDPFLGMQPNALFDGNLYAANKELYLGSKCQVQDTPNPWHFWMIMLKSGNMDTFAAKCPKNGHKTGPFGPDSRFPCFGEGCMNQPLIYHDYTTLEGPSRTTLKGKFYGSWDLDADLSKGLVGNISYYSITWEKEIGMGSWIFHHVLRTSTKYPWLMLYLRSDATHGLSGGYHYPTKGMSKIIPESPNFEVRFTLNVIKGGGPSSQFYLIDIGSCWKNNGQPCDGDTTSDVTRYCEMIINPNITAWCNANSLDMCPPYHTFPNGTRVHRSETNMFPYAAYHLYCAPGNAEQLEVPYSLCDPYSNPQPQEILQILPHPVWGEYGYPTKQGEGWIGDPRTWELDVGRLSQSLYFYQDPGTPPARRKWMSVDLGTEIFKDPDQIAEWTVSDFDILVPNQ >EOY26596 pep chromosome:Theobroma_cacao_20110822:6:14683771:14688758:1 gene:TCM_028434 transcript:EOY26596 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLC ATP/ADP transporter isoform 3 MEAVLQTRGLLSLPPNPTRARSLLNPSQGLKQRLFASKPLGGLSLSYKKVPSVVAKPNGLFAEGKNFICKAEAAAAADGQPLFGEIGETEKPKFLGIELVTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLANVLSKQALFYTVIVPFIAFFGAFGFMLYPLSNYIHPQALSDKLLAVLGPRFLGPLAIMRIWSFCLFYVMAELWGSVVISVLFWGLANQITTVDEAKRFYPLFGLGANIALIFSGRTVKYFSNLRKNLGPGVDGWAISLKGMMSIVVLMGLAICFLYWWVNKFVPLPTRSKKKKEKPKMGTMESLKFLVSSRYIRDLATLVVAYGISINLVEVTWKSKQVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGVLLVIVLAWLSAAKSLDTQFTALRKEEELEKEMERAAVKIPVVSESGNGSLASGSSLNPAAGDSTGSSSETSTPSNI >EOY26597 pep chromosome:Theobroma_cacao_20110822:6:14683743:14688313:1 gene:TCM_028434 transcript:EOY26597 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLC ATP/ADP transporter isoform 3 MEAVLQTRGLLSLPPNPTRARSLLNPSQGLKQRLFASKPLGGLSLSYKKVPSVVAKPNGLFAEGKNFICKAEAAAAADGQPLFGEIGETEKPKFLGIELVTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLANVLSKQALFYTVIVPFIAFFGAFGFMLYPLSNYIHPQALSDKLLAVLGPRFLGPLAIMRIWSFCLFYVMAELWGSVVISVLFWGLANQITTVDEAKRFYPLFGLGANIALIFSGRTVKYFSNLRKNLGPGVDQFPSPNEYSSFMGDFSTATGIATFTMMLLSQFIFDKYGWGVAAKITPTVLLLTGVGFFSLILFGDPLGLGLAKFGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGVLLVIVLAWLSAAKSLDTQFTALRKEEELEKEMERA >EOY26595 pep chromosome:Theobroma_cacao_20110822:6:14683806:14689803:1 gene:TCM_028434 transcript:EOY26595 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLC ATP/ADP transporter isoform 3 MEAVLQTRGLLSLPPNPTRARSLLNPSQGLKQRLFASKPLGGLSLSYKKVPSVVAKPNGLFAEGKNFICKAEAAAAADGQPLFGEIGETEKPKFLGIELVTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLANVLSKQALFYTVIVPFIAFFGAFGFMLYPLSNYIHPQALSDKLLAVLGPRFLGPLAIMRIWSFCLFYVMAELWGSVVISVLFWGLANQITTVDEAKRFYPLFGLGANIALIFSGRTVKYFSNLRKNLGPGVDGWAISLKGMMSIVVLMGLAICFLYWWVNKFVPLPTRSKKKKEKPKMGTMESLKFLVSSRYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQFIFDKYGWGVAAKITPTVLLLTGVGFFSLILFGDPLGLGLAKFGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGVLLVIVLAWLSAAKSLDTQFTALRKEEELEKEMERAAVKIPVVSESGNGSLASGSSLNPAAGDSTGSSSETSTPSNI >EOY25793 pep chromosome:Theobroma_cacao_20110822:6:1906388:1910270:-1 gene:TCM_027156 transcript:EOY25793 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein isoform 1 MILALLFANSEGNILVERFNGVPAEERLHWRSFLVKLGADNLKGVKNEELLVASHKSVYIVYTVLGDVSVYVVGKDEYDELALAEVIFVITSAVKDVCGKPPTERLFLDKYGKICLSLDEIIWKGYMENTDKDRIRRLVRLKPPTEF >EOY25794 pep chromosome:Theobroma_cacao_20110822:6:1906372:1910427:-1 gene:TCM_027156 transcript:EOY25794 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein isoform 1 MILALLFANSEGNILVERSVYIVYTVLGDVSVYVVGKDEYDELALAEVIFVITSAVKDVCGKPPTERLFLDKYGKICLSLDEIIWKGYMENTDKDRIRRLVRLKPPTEF >EOY27507 pep chromosome:Theobroma_cacao_20110822:6:22172631:22176458:-1 gene:TCM_029348 transcript:EOY27507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative isoform 2 MLGRNPSIRACFPERFSDSNFDDSGQNSRRSYATSSATATPSESQPNSSRAALKPLKLVNNASKLPLPLPEHASARLVSQQTNATNHHHNNKDANAATPVQVVDKFIPAPSLATLMAANVNGNSTRLTLYAPLYQAALKGDWGKAKEFLNMHPGAANVRITKGWETALHIAAGARHIAFVEELVKLTGVADLERRNKYNNTALCVAAASGITRIAEVMVKKNKCLPRIRGNKGVTPLYIAALFGHRDMVWYLYKVTAAEDLTQEDYIGLLIATITTDLFDVALCLIQHHPELATLRDSNGETALHVLARKPSAFASRSELGTWEKFIYPWIYVEPLVKSSCPSGMSKCCCDHTNQVFIGLAGKLRHSIEKTIPGHKAIYKKKLLHMQAIVLVELLWGQILSLEDSQITDILRSPSQVLFIAAEFGVVELITELIQSYPDLIWRVDEHSRSIFHMAVIHRQEKIFRLIHDIGALKDMIAAYKDKNNHCILHLAGKIAPPNRLNIVSGAALQMQRELLWFKEVEKNVQPLYKEMRDINGRTPRMLFTEEHAKLVKEGEKWMKSTASSCMLVATLITTVMFAAIFTVPGGNDNEKGTPLFLEAKSFIIFAISDALALFSSVTSILMFLSILTSRYAEEDFLRLLPQRLIVGLATLFLSIAAMLVAFGATFCIVLSQRLAWIAVPAALIACIPVTLFAFLQFPLLVDMIQSSNGAGIFS >EOY27506 pep chromosome:Theobroma_cacao_20110822:6:22171903:22177170:-1 gene:TCM_029348 transcript:EOY27506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative isoform 2 MLGRNPSIRACFPERFSDSNFDDSGQNSRRSYATSSATATPSESQPNSSRAALKPLKLVNNASKLPLPLPEHASARLVSQQTNATNHHHNNKDANAATPVQVVDKFIPAPSLATPPASTLPSSVPTIANVNGNSTRLTLYAPLYQAALKGDWGKAKEFLNMHPGAANVRITKGWETALHIAAGARHIAFVEELVKLTGVADLERRNKYNNTALCVAAASGITRIAEVMVKKNKCLPRIRGNKGVTPLYIAALFGHRDMVWYLYKVTAAEDLTQEDYIGLLIATITTDLFDVALCLIQHHPELATLRDSNGETALHVLARKPSAFASRSELGTWEKFIYPWIYVEPLVKSSCPSGMSKCCCDHTNQVPGHKAIYKKKLLHMQAIVLVELLWGQILSLEDSQITDILRSPSQVLFIAAEFGVVELITELIQSYPDLIWRVDEHSRSIFHMAVIHRQEKIFRLIHDIGALKDMIAAYKDKNNHCILHLAGKIAPPNRLNIVSGAALQMQRELLWFKEVEKNVQPLYKEMRDINGRTPRMLFTEEHAKLVKEGEKWMKSTASSCMLVATLITTVMFAAIFTVPGGNDNEKGTPLFLEAKSFIIFAISDALALFSSVTSILMFLSILTSRYAEEDFLRLLPQRLIVGLATLFLSIAAMLVAFGATFCIVLSQRLAWIAVPAALIACIPVTLFAFLQFPLLVDMIQSSNGAGIFS >EOY26744 pep chromosome:Theobroma_cacao_20110822:6:17585526:17589062:1 gene:TCM_028702 transcript:EOY26744 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif-containing protein, putative isoform 1 MSSQNQGASLCPSADPPMKRKRGRPRKDESVQGESTPATPASDILKKNEQSVGTSNPASDQMVGQMVSGVIEGSFDAGYLLNVKVGDTNTHLRGVVFLPGRFTPITAANDVAPHAKMYKRKEIPIPFVNPQSQHHAVGPPSGKSEKAVEHKNGAPNLPDQGLHTRLQSGATAASESKSASILIPPASNLSINDTSLPLGQKVLQEQILGSRLQNDKAVGQDQSLEGFEAFKLMKAPNIDVETPKTSEPVSATFTATLPATETVNLKPQVEHQALSSDLKPQELVHDDVKGIDLGIVQTPKFPEPEPQAMACEPNGIKMFEKQASSRQDIDISQDNQLEHAKKIICGDDISHMDGLSASDTATMTLTVPCSASTSLPIMIFGAETIPSESKPAAEESDVPRRVVPEVSSSSMAADTNSVECNAKDAIPPA >EOY26745 pep chromosome:Theobroma_cacao_20110822:6:17585539:17589062:1 gene:TCM_028702 transcript:EOY26745 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif-containing protein, putative isoform 1 MSSQNQGASLCPSADPPMKRKRGRPRKDESVQGESTPATPASDILKKNEQSVGTSNPASDQMVGQMVSGVIEGSFDAGYLLNVKVGDTNTHLRGVVFLPGRFTPITAANDVAPHAKMYKRKEIPIPFVNPQSQHHAVGPPSGKSEKAVEHKNGAPNLPDQGLHTRLQSGATAASESKSASILIPPASNLSINDTSLPLGQKVLQEQILGSRLQNDKAVGQDQSLEGFEAFKLMKAPNIDVETPKTSEPVSATFTATLPATETVNLKPQVEHQALSSDLKPQELVHDDVKGIDLGIVQTPKFPEPEPQAMACEPNGIKMFEKQASSRQDIDISQDNQLEHAKKIICGDDISHMDGLSASDTATMTLTVPCSASTSLPIMIFGAETIPSESKPAAEESDVPRRVVPEVSSSSMAADTNSVECNAKDAIPPA >EOY25676 pep chromosome:Theobroma_cacao_20110822:6:1151478:1153727:1 gene:TCM_027060 transcript:EOY25676 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MRNPVSILSVIIFLLCNSMANSDILEESSILQCLSNHSVTSPPISSVTYFPTNPSYTSILQSYIRNLRFTSATTAKPLFIVVPSHDSHIQASIICCKIHGLEMRIRSGGHDYDGLSYVSAAPFMILDMFNLRSIIVDMEDESAWVESGATLGELFYRIAEKSKNHGFPAGVCPTVGVGGHFSGGGYGNMMRKHGLSTDNIVDAKLVDANGRILDRESMGEDLFWAIRGGGGASFGVILSWKIKVVSVPEIVTVFRIEKTLEQGATDIVHKWQYIADKIDENLFIRVVLLPVTKKHLQSIKAKFIALFLGNAEKLISLMNGTFPELGLSPENCVEMSWIESVLFWSNYPKGTSLEVLLNRHPQPEKYLKKKSDYVQEPISKKDLEGIWKKMIELRRPALTLNPYGGKMSEISESETPFPHRAGNIYKIQYSVTWKEDGAEASDRSLDQITRIYDYMTPYVSKYPRSSYLNYRDVDIGINEIGNASYSEAKVWASKYFKGNFDRLVQVKSMVDPGNFFRYEQSIPSLTSWKSTMAE >EOY27039 pep chromosome:Theobroma_cacao_20110822:6:19831548:19832182:1 gene:TCM_028987 transcript:EOY27039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADSFIQQELPDVSVPPPSEVTSLKLLICATPRSLYYAALVNGLFWSCRPKTLKIWRVVDWHFGLIRV >EOY27309 pep chromosome:Theobroma_cacao_20110822:6:21143747:21147063:-1 gene:TCM_029181 transcript:EOY27309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKALVQILKELWKDWQLRGLVLFSLFFQTILIVMGNRRKYSYQLLVRFAVWVAYLAADTVATMALGIISKDLGDTSDNGEQDANIELHVFWTPFLLLHLGGPDTITAYSLEDNELWLRQLLGMALQTGVAFNIFLMAWRGSHLSILSTLMVFVGLSKYVERTWVLRSASSEQIKGSMLARRDLPGLTNPNLLKEYNVKKEEGYICNTNRVIDIQLPMEAFAIEDNSISKTNELLKAYGLLQIFKRIFVNLLLSSRDRDTSLVVFQNLSFQKAFEVVEMELAFMFDLLYTKASVVYSRWGLSLRCINLSLTCIVLVLFSLADDKHKYKKADLVITFLLLVVAIVLDIYAALVILFSDWTVVWLSLLKKTSVLRAITSVRLFSNPRWSNSMAQYNLLSFALREKPMIYDPILSQVKPHLLHRILKLFKFLGFVEKQEKERYVTKEVISNSLKEWIFKYLKERLKAKATVYDTQEAGRQIFAVEIYGHGELKWSVEKGFDERILTWHIATDICYYLEETIETTKSKREISILMSRYMLHLLVNYPSMLPAGLGDILIEDTCAEVIDFCNTPEPHKDKTDVYDKLVKAAVGLELLGTRYRAGKSMLSDGRGLARSLNEISNKEEKWSLIADTWVEMLAHAASHCEGSQHRQHLRRGGQLLTHVWLLMAHLGLTDHFQIPQPRDITRLTAK >EOY27310 pep chromosome:Theobroma_cacao_20110822:6:21143739:21147485:-1 gene:TCM_029181 transcript:EOY27310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKALVQILKELWKDWQLRGLVLFSLFFQTILIVMGNRRKYSYQLLVRFAVWVAYLAADTVATMALGIISKDLGDTSDNGEQDANIELHVFWTPFLLLHLGGPDTITAYSLEDNELWLRQLLGMALQTGVAFNIFLMAWRGSHLSILSTLMVFVGLSKYVERTWVLRSASSEQIKGSMLARRDLPGLTNPNLLKEYNVKKEEGYICNTNRVIDIQLPMEAFAIEDNSISKTNELLKAYGLLQIFKRIFVNLLLSSRDRDTSLVVFQNLSFQKAFEVVEMELAFMFDLLYTKASVVYSRWGLSLRCINLSLTCIVLVLFSLADDKHKYKKADLVITFLLLVVAIVLDIYAALVILFSDWTVVWLSLLKKTSVLRAITSVRLFSNPRWSNSMAQYNLLSFALREKPMIYDPILSQVKPHLLHRILKLFKFLGFVEKQEKERYVTKEVISNSLKEWIFKYLKERLKAKATVYDTQEAGRQIFAVEIYGHGELKWSVEKGFDERILTWHIATDICYYLEETIETTKSKREISILMSRYMLHLLVNYPSMLPAGLGDILIEDTCAEVIDFCNTPEPHKDKTDVYDKLVKAAVGLELLGTRYRAGKSMLSDGRGLARSLNEISNKEEKWSLIADTWVEMLAHAASHCEGSQHRQHLRRGGQLLTHVWLLMAHLGLTDHFQIPQPRDITRLTAK >EOY28444 pep chromosome:Theobroma_cacao_20110822:6:25374189:25376043:1 gene:TCM_030009 transcript:EOY28444 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-like 102 MGRAPCCDKNGLKKGPWTPEEDQKLIDYIEKHGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHSPRLDLLDLSSILSSSLYNQSQMNMSRLLGVQSFVNPELLRLATSLMSSQRENQSQNQNQNQSFLLQTVPESQLGSSQVQNQYQPLMQSNHLPTQVQEIPACTSLNGTPCIPFSSDAQLMNPNVDHFPSNLTDLSDWPGNAMPSNLTEDYVPLQPNYNYYGASDQTVMDPSSDTSNFHSNNSNQSFSFASVLSTPSSSPTPLNSNSTYINSSSTEDERESYCSDILKFEIPDILDVNEFM >EOY27685 pep chromosome:Theobroma_cacao_20110822:6:22794061:22794959:1 gene:TCM_029473 transcript:EOY27685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAITSAVIAIAGVVLGWIAIEIACKPCLERGREAIDRSLNPDYDPDDDNDNNVRAPLNPSPDLESKADNDTSPSTSIKAV >EOY28005 pep chromosome:Theobroma_cacao_20110822:6:23927437:23929074:-1 gene:TCM_029700 transcript:EOY28005 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein, putative MDFKYDDPIEICKKEEGFSGIYYKAKYLAAMGSNKYLVRYDTWLTEDGYSPIVEAVDADEIRPLPPKSAHNIVVSDKVDAYVNLAWRVGTVIRKVDSNYHVKLDCDGKEAHCAFYNVRLHHEWRDGSWFDPESGSQSSQASTSAQGGQPATEGSIADTGDQGRQPKNEGD >EOY28978 pep chromosome:Theobroma_cacao_20110822:6:27020532:27021943:-1 gene:TCM_030429 transcript:EOY28978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLKVDLQCYRCYKKVKKVLCKFPEIRDQKYDDKANTVTISVVSRNPESIRSKICDKAGCCIKSIEIKPKDKPGTDKGYFVRNAICGIEKGRARRVRVFHSDSHAMRIVGKEFGVVGVAVVGAKAAQVMGGSAGIVAIVAASLVKGVAALPVADATACLGLVVGTATGTVKVAAALLATADLAVGATTGRVKGAAAHPVAGATAGLVVDTVAGLVKGAAALLAAAATAGLVVDTVAGLVKGAAALLAAAATAGHASGAVTFPAVVVVDVLAVGAQAVL >EOY28970 pep chromosome:Theobroma_cacao_20110822:6:26984909:26992319:-1 gene:TCM_030423 transcript:EOY28970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMVGSLATMVDHFHAHVTRLMVDLFMIAGASVAATTSTVIREPFQTRLFPSRDRTDGKTIERRIDGGRKGGFGFGDFQVQAETMEEKKVTTMELKVDLQCRRCYNKVKKVLSKLPQIRDQRFDKKANTVTITVVSCCLEQLRDKLYYKGGGQFMIAGAVM >EOY27928 pep chromosome:Theobroma_cacao_20110822:6:23630417:23632940:1 gene:TCM_029641 transcript:EOY27928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intracellular protein transport protein USO1, putative METIKPKSAFNNNSNSNHKSRLARTFQKVINLRTATKIASSNGIGICVLTSQHKFPQDDSTPDHKLSSNADKHGGDSKVKQKAVLEALVAKVFASVTSIKAAYAELQMAQHPYNSDAIQAADQAVVEELRALSELKRKFLKKELDLSPQVTLMLAEIQEQQSLMRTYEITIKKLESDVEEKDSGIASLHEQLEGCTAFNKSMEKKLNASGPLSMFDNIQFTTLNPSHFVQVLHCTLRSVRSFVKMMIKEMELAKWDLDAAAKTIEPGAVFAKQSHRCFVFESFVCKTMLDGFNSHDFGLTNNSASKKLDPEQYFNKFKNLKSANPKSYLVQYPNSSFAIFTRTKYLNLVHAKMECSFFGNLNQRKLVTSGGFPDTAFFTAFAEMARRFWLLHCLGFSLHEQVSVFQVKKDSRFSEVYMENVSEESLFSSEINDANVDIRVSFTVVPGFKIGKTVIQSQVYLSPVITPPGRTKRRVGWRVSSALWIKSGWYHLHLDPQPKVEQIERGGAARIMGE >EOY25571 pep chromosome:Theobroma_cacao_20110822:6:475957:502881:1 gene:TCM_026954 transcript:EOY25571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MGNLCSVSCSMKDTVSRFWDCVAGQVSYTIKLEDNLKALSVEGAKLKARRDDVNRRVDLAEQQRMKPLNEVQLWLSSVQTAEAEAEVLIKDCPQQIQQLCFAGCFSKNCKSSYNFPEQVSSQLAEIVYLKNAGVFESVAENELAVQVDVRPAGSTVGLERTFDEVWRLLEQNNVGSIGLHGLGGVGKTTLLTQINNKLSNELIGYDVVIWLVVSKDHNIETVQEKIGEKVGLSRGGTWKNKSFDEKAVEIWRVLSGKKFVLLLDDVWERVDLIKVGIPESDQKNGSKLIFTTRSLDICGQMGAHKVIQVECLSKDEAWKLFEEKVGEETIDSHPDIRELAKQVADECEGLPLALITIGRAMAYSTMPKHWEYAIKVLKEFSHKLVSMDEEVYSRLKFSYDSLPKDTMRSCLLYCSLYPEDFEIPIDELKDYWFCEGFLDEFDNIIDARMQGEDIINSLVNACLLERCKGLAKCVKMHDVIRDMTLWIACECEAPENRFFIKTGIRSIKALDVENWKGVRMSLMYSQIKKLRGTPTCPNLQTLFLNGNRLEVISDGFFQFMRNLRVLNLSSNQYLYELPQGISELVSLECLDLRWTCIIELPIQLNKLSKLKYLDLMRTYRLIKIPRELICKFLKLQIFKIFSDSICKTDINSLIEELKCLQHLNVLWIEIRTDFALESLLSCHHLRGCTEGLCLGGWTGTKVLSVLFLENMERLENLEITECGSIEEMVTRNIEKELGERRMIQTSSLSPTNSNTITPRFHALSKISIFNCPKLRNLTWLIFAPNLTQLKVGLCLRMEEIISEVSEVANVVGISNPSPFAKLERLHLQTLFDLKSIYWDALPFPCLTDIIVLNCPELKKLPLNCDSAKGNQISIVGSEDWWKEVQWKDEVTRSTFLPSFKLPSRGKELDWKDEANLNAFNILF >EOY26155 pep chromosome:Theobroma_cacao_20110822:6:5113339:5116833:-1 gene:TCM_027570 transcript:EOY26155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby like protein 8 isoform 1 MSDFKEPLVQRQASYTSPYVNPLTDLKHSRSCSEGNHATFTDNKENVFFGVGKENAAPTTNNGSSSSSSSVAKRITHLKSLSTIGTFDASKEVSSYKSLSTGKVLKESSLQFCMQMNEPDKAFGCKLWDPIDSENSNSLNIWDYSDSEAAPASSWSTLPNRALLCRPLPLDIGRCTCVIVKESSPEGFAGGTLYSLYTNEGQGRQDRKLAVAYHKRQNGKSMFSIAQNTKGILSNSDDSYIGLMTANLIGSKYNIWDQAGRTKSLNKQSNPLLGVITFMPTIVTWTGNYRSMKVYIPKHQSMQMKNVTQMQHISGLPKDWEGKMDKIHKLFSRIPRYNNVRICKGVFSHHTELRFWTSGFF >EOY26154 pep chromosome:Theobroma_cacao_20110822:6:5112035:5116833:-1 gene:TCM_027570 transcript:EOY26154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby like protein 8 isoform 1 MSDFKEPLVQRQASYTSPYVNPLTDLKHSRSCSEGNHATFTDNKENVFFGVGKENAAPTTNNGSSSSSSSVAKRITHLKSLSTIGTFDASKEVSSYKSLSTGKVLKESSLQFCMQMNEPDKAFGCKLWDPIDSENSNSLNIWDYSDSEAAPASSWSTLPNRALLCRPLPLDIGRCTCVIVKESSPEGFAGGTLYSLYTNEGQGRQDRKLAVAYHKRQNGKSMFSIAQNTKGILSNSDDSYIGLMTANLIGSKYNIWDQAGRTKSLNKQSNPLLGVITFMPTIVTWTGNYRSMKVYIPKHQSMQMKNVTQMQHISGLPKDWEGKMDKIHKLFSRIPRYNNMLKKYELDFRDRGRAGLRIQSSVKNFQLTFEENGRQTILQLGRVDRYKYVMDFRYPLTGYQAFCICLASIDSKLCCAM >EOY28999 pep chromosome:Theobroma_cacao_20110822:6:27073236:27075771:1 gene:TCM_030446 transcript:EOY28999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-6 MERGGFHGYRKLPNNTTSDVSSAFIVVVSFIGYGSGLKVPEMNMRMAEVNHTNNHHSNSDDNECTVREQDRFMPIANVIRIMRKILPPHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSKLGFDDYIEPLTVYLHRYRELEGERGSIRGEPLGKRAVDYGALSVAAFAPAFHMGHHHGFFGGGGAMGGYLKDASNAGSSQAAVANGEPFGHHK >EOY25861 pep chromosome:Theobroma_cacao_20110822:6:2403362:2403573:1 gene:TCM_027226 transcript:EOY25861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSCLLYCSLYPEDFFISVNLLIDCWFCEGFLGEFGNISGARMQGYNIINSLVDACLL >EOY27365 pep chromosome:Theobroma_cacao_20110822:6:21422123:21426727:-1 gene:TCM_029227 transcript:EOY27365 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase 2, putative MAEESVLYVPGNFSSANVIWLKLLQAKKTAPFFPASSRLILGRCKKLVVSHRTEFCVRNLFKYPTGRFSDGRIIPDFIAEYANLPLIPPYLQPGNHQFIYGVNFASAGAGALAESNQGLVIDLKTQLSNFKNVTKLLGKKLGDAQAKTLFSKAVYLINIGGNDYMSPFTTNSSVLQSLSKEEYVGMVIGNLTDTIKEIYKKGGRKFGLLNLGPLGCAPIMKVFVPGNTGSCFEEATELAKVHNAALSKALQELKIKLEGFKYAKHDFNISSSERLNNPEKYGFKEAEIACCGSGPYRGIFSCGGKRGVTDYELCADPSEYLFFDASHISDKANKQIAELMWRGTPNITGPYNLEALFEA >EOY28153 pep chromosome:Theobroma_cacao_20110822:6:24376202:24377908:-1 gene:TCM_029799 transcript:EOY28153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase-like protein ACR4 MSRGEEIAYDLVLVLLSISLFVLGIFLIIICRKKPVKSDQKQELPVKVCASAYQLTDIDAATDGFNHRRIIGKGRLGTVYAAISPRAELVAVKRIHPRLVLSNAGFGFASIIRTLSLAQHPHIVPVIGFSQAPGERIIVMEFVGMVNLNFYLHENSDGASLLDWNRRLRVAAGVARGLEYLHEGMAPNIIHGCIKASNILLDVKFVAKLCGYGLSFLAPQEKRGLVGYVDDEYWVERGGGACKESDVYGFGVVLLELLTGRRSEEGLLVRWALPLIKEKKLSELLDPRLVIPSDIKPLVRLAKVASTCVGNYRKDRPCISQVATILNNLEIEACH >EOY27233 pep chromosome:Theobroma_cacao_20110822:6:20795922:20797886:1 gene:TCM_029121 transcript:EOY27233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein, putative MGRSPCCSKEGLNRGAWTAMEDRILKDYIKIHGEGQWRNLPKRAGLKRCGKSCRLRWLNYLRPDIKRGNITRDEEELIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTNIGKKLQDKQSRSLSNRKPSNRSQQKANPTIETSARLPSEAKLTSCVIRTKATRCTKVFINVPEPQLEDTYKPSVLRPFPLPEEPESSEFVPKEDNDPSNLMIDLEVDENFLSEFLNSEFSQLQPSHFQNKGDSYSNSDTCDPGQYSSTNSDQSLFLSNDMMQDADFTSMASLMESELDWLQDQADPN >EOY26609 pep chromosome:Theobroma_cacao_20110822:6:15214017:15233472:-1 gene:TCM_028476 transcript:EOY26609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-protease-integrase-RT-RNaseH polyprotein, putative MDSYGQKYFISFRDDYSRYMYLYLLHNKNEAFDAFKEPDYNFGVENDPDTFSQAMSCKEFELWYNTMKDEMNSMASNGALNLVELPNGAKAIGCKHQSNPSMDYWRVAKKVIKHLKGTKDYMLMYRQTDNLESFITGLKIVDSISKSLKMYCDNSTTGFLAKNNKSGSRSKHIDIKYLAITKCVRENKMVIEHVSIELMIVDPLIEGMPPMKFKDHVARMGLGCIM >EOY25618 pep chromosome:Theobroma_cacao_20110822:6:682419:687342:1 gene:TCM_026994 transcript:EOY25618 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MVRVFLDTHRALMANPMRLRLRSFASSASALNWEGGVSMVQGASRGIGLEFVKHLLEKNEKGHVIATCRNPNGATGLLELKNQFAERLNILPLDLTVESTIEESAKSVTERYGSLNLLINASGILSIPNVLQPETTLSKLYKSSLMLAYEVNAVGPILVIKLGLIHRKVNALGNLAIPHMWPLLKAGGGFGTERDVAVVANLSARVGSIGDNRLGGWHSYRSSKAALNQLTKTISVEFARKKDPIACILLHPGTVDTDLSRPFQRNVRADKLFTKEFSVQKLLNIINNTKHQDNGKFFAWDGQEIPW >EOY25619 pep chromosome:Theobroma_cacao_20110822:6:682592:687370:1 gene:TCM_026994 transcript:EOY25619 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MVRVFLDTHRALMANPMRLRLRSFASSASALNWEGGVSMVQGASRGIGLEFVKHLLEKNEKGHVIATCRNPNGATGLLELKNQFAERLNILPLDLTVESTIEESAKSVTERYGSLNLLINASGILSIPNVLQPETTLSKLYKSSLMLAYEVNAVGPILVIKHMWPLLKAGGGFGTERDVAVVANLSARVGSIGDNRLGGWHSYRSSKAALNQLTKTISVEFARKKDPIACILLHPGTVDTDLSRPFQRNVRADKLFTKEFSVQKLLNIINNTKHQDNGKFFAWDGQEIPW >EOY27253 pep chromosome:Theobroma_cacao_20110822:6:20878713:20879394:1 gene:TCM_029139 transcript:EOY27253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATYTYASGNNTSQRGVAMALALITAVVLSPLYVRGKNETRYYEMKLSSGFVLPMVLAGLIAAIRTTSSSSSMQSGARASIIPSPDPSWVLRIGGSSWGLAGILVLLMLVLSWQESVQEFFWR >EOY26522 pep chromosome:Theobroma_cacao_20110822:6:13539693:13541437:-1 gene:TCM_028312 transcript:EOY26522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLSNYNMNISRDANEPMLQWWPQHPIQGKDIETSCLIEYPSFKKRRICENFFANPSILVAMEEVASGSFKARQCGPKWKEFADDSKKLCRMFCNGRNCSYGGRCRFLHVIPDKFRDISMINIVPGAGPVSQNRGSGELDCKGSVGSLSVGNEGVPKQASWKTKLCNNWKMTGGCAYGKACCYAHGETELQKLHGHFALESWSVPTFAWKDVHKNGNGIGNHIKQQLQETKGSLKRKEIVKLCDIYADWIEDMTTLPTPSYK >EOY26523 pep chromosome:Theobroma_cacao_20110822:6:13539922:13541433:-1 gene:TCM_028312 transcript:EOY26523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLSNYNMNISRDANEPMLQWWPQHPIQGKDIETSCLIEYPSFKKRRICENFFANPSILVAMEEVASGSFKARQCGPKWKEFADDSKKLCRMFCNGRNCSYGGRCRFLHVIPDKFRDISMINIVPGAGPVSQNRGSGELDCKGSVGSLSVGNEGVPKQASWKTKLCNNWKMTGGCAYGKACCYAHGETEASWPFC >EOY26992 pep chromosome:Theobroma_cacao_20110822:6:19604096:19607598:1 gene:TCM_028946 transcript:EOY26992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLVQLLLTRSAFGALVRQWCALGCTVGSLCTAYTCVGLEPYISEPSPLRRGDAEPLHSSPYYVHTGTPRLHAGSMVGEGWTCVPCHPAPSRPLPCLACCHPLLTRTPR >EOY26060 pep chromosome:Theobroma_cacao_20110822:6:4108273:4125566:1 gene:TCM_027452 transcript:EOY26060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein MALKVAAVNSSRAAAAVATQDWEEDLVVVREYDEEKDKVAVEEMERRCEIGEGGKPSLVADLLGDPICRVRHFPSHIMLVAEYGEKGEIVGVIRGCVKTVTRGNSVYVKLAYILGLRISPSYRRLGIGKKLVQKLEEWCKQNGAEYVYMATDCSNEPSINLFTRKCAYTKFRTPTMLVHPVHAHYKPLGSGIAIVRLNPRLAESIYRRVFANSEFFPKDIDNILCNRLSLGTFMALPRKCLPKWDPKTGTLPPSFAITSIWSTKQLFRLQVKGVSMLTYACCMASRVVDAWMPWLMLPSIPDVFRKFWVYFLYGLHMEGKNASRLMKSLCAFAHNMGRDDDGCGAVLAEVGQRDPVREVIPHWRKFSLSEDLWCIKKLGNANDGSNEICGSSDWMKSRPSSRFLFVDPRDI >EOY27528 pep chromosome:Theobroma_cacao_20110822:6:22279969:22281505:-1 gene:TCM_029368 transcript:EOY27528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTMLNRLIIISAMYQILAIHFSSSICSAKKVSAMFVFGDSLVELFDVIKLKVALWARAKWPETCSTAFGYLPLPHVCQKSSTCEMGCSLAGTLEFNVDGFVRGSPGDADIGDLLRDNTGRVRVLFS >EOY25756 pep chromosome:Theobroma_cacao_20110822:6:1629323:1630032:-1 gene:TCM_027125 transcript:EOY25756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIPNLQNDIQWMGFSLCCALVRTSNNVAWRGEVIECTIKIHFEGFACEIPTAGYTFNSKSGQISEDHLWLRYLPRDILDNFLKHQSGQYEISQSGDWIRSCIGIEILFETLGIGTKVNKCGARLVYPSDLEDLDPTMEQPSKKRKKYD >EOY26691 pep chromosome:Theobroma_cacao_20110822:6:17249941:17259931:-1 gene:TCM_028657 transcript:EOY26691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLFLHQLLCVVRDLFSAYCSDKWAIGLRREGRPVTNQFCHPIGLHLLCRSPAFVVSFHSAFGIRCFCRHVVSFELISRKPRLLGLCTGHGPLSLSRDKNEYGA >EOY28291 pep chromosome:Theobroma_cacao_20110822:6:24840486:24842608:-1 gene:TCM_029903 transcript:EOY28291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTDCYLHVSLIRQVAWTLRVLPIPLCCGLCNQARLVRFSGTTIQSSLVNVSADAARDRVAPCPWHPFSTGEAFNYFWPPKIEK >EOY26382 pep chromosome:Theobroma_cacao_20110822:6:8549248:8551321:1 gene:TCM_027906 transcript:EOY26382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFKRSSKSIALTLPHISSLSSLTKLNISGRNLGEGALTSDICYLSLLETLILCHNNFVSLPPNLCQLAKLHCLELSGCNKLESLLEPPSIIVDLDSCASLEIVPNPTKPYIHRKSRSDCLLWKRTYYYGGSCFKLAANALRMLKRHLKAIGDAKLIFVILIPGSETFEWFTHWSKDSSISIVLPPNLRNDSLWMGIALCCVLVPASNNGASRDVDISIYFLMHSPSAGPILKYISLFQSNSISGRICQDHL >EOY27874 pep chromosome:Theobroma_cacao_20110822:6:23375025:23380886:-1 gene:TCM_029596 transcript:EOY27874 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein isoform 2 MVYPNESCETSPQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKATIERYKKTCADSSNTGSVSEANAQFYQQEAAKLRVQIGNLQNSNRHMLGESLSALPMKDLRSLENRLEKGISRIRSKKNELLFAEIEYMQKREIDLHNNNQLLRAKIAENERKQQNINLMPGGSNFEIMHSQPFDSRNYFQVNALQPANHYPHQDQMALQLV >EOY27875 pep chromosome:Theobroma_cacao_20110822:6:23374736:23380912:-1 gene:TCM_029596 transcript:EOY27875 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein isoform 2 MVYPNESCETSPQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKATIERYKKTCADSSNTGSVSEANAQFYQQEAAKLRVQIGNLQNSNRHMLGESLSALPMKDLRSLENRLEKGISRIRSKKNELLFAEIEYMQKREIDLHNNNQLLRAKIAENERKQQNINLMPGGSNFEIMHSQPFDSRNYFQVNALQPANHYPHQDQMALQLV >EOY27872 pep chromosome:Theobroma_cacao_20110822:6:23374801:23383371:-1 gene:TCM_029596 transcript:EOY27872 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein isoform 2 MTFNAKDLDDAKTFLGRTKTKSGKARRRNDHRWMERTKDQISCDRFLIQTLAIMVYPNESCETSPQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKATIERYKKTCADSSNTGSVSEANAQFYQQEAAKLRVQIGNLQNSNRHMLGESLSALPMKDLRSLENRLEKGISRIRSKKNELLFAEIEYMQKREIDLHNNNQLLRAKIAENERKQQNINLMPGGSNFEIMHSQPFDSRNYFQVNALQPANHYPHQDQMALQLV >EOY27873 pep chromosome:Theobroma_cacao_20110822:6:23374705:23381202:-1 gene:TCM_029596 transcript:EOY27873 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein isoform 2 MVYPNESCETSPQKKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKATIERYKKTCADSSNTGSVSEANAQFYQQEAAKLRVQIGNLQNSNRHMLGESLSALPMKDLRSLENRLEKGISRIRSKKNELLFAEIEYMQKREIDLHNNNQLLRAKIAENERKQQNINLMPGGSNFEIMHSQPFDSRNYFQVNALQPANHYPHQDQMALQLV >EOY27956 pep chromosome:Theobroma_cacao_20110822:6:23747029:23750612:-1 gene:TCM_029664 transcript:EOY27956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide, putative MAKTAPNLCFSPLNQNQNREESFFKPQNGCFIPKPNSKTTLLSPIFSSYTPIQISATPGKTIDNQVTDYNARIFQFCQLGNLHNAMELLSMSPNSELESKTYCSILQLCADLKSLKDGKKVHSIINSNGVAVDEVLGSKLVSFYVTCGDLKEGRGIFDEMEKKKVFLWNYMLNEYAKFGDFKESIYLFKMMMKKGIEVDSYTFSCILKCLAASGGLKEGERVHGYLLKLGFGSYNSVVNSLITFYFKGKRVESASELFDELIDRDVISWNSMISGYVSNGLAEKGLEVFKEMLYLGIDVDLATIVTVLVGCANSGTLSLGKAVHALAIKACFERKLNFNNTLLDMYSKCGDLDGALRVFEKMGERNVVSWTSMIAGYTRDGQSDGAIRLLQQMEREGVKLDVVAITSVLHACARSGSLENGKDVHDYIKANNVESNLFVCNALMDMYAKCGSMEDANSIFSRMAVKDIISWNTMIGGYSKNCLPNEALKMLAAMLKELKPDSRTLACILPACASLAALERGKEIHGHILRNGYFSDRHVANALVDLYVKCGVLALARLLFDMISSKDLVSWTVMIAGYGMHGFANEAITTFNEMRDAGIEPDEVSFISILYACSHSGLLEEGWRFFYIMRNDYNIEPKLEHYACMVDLLSRTGNLSKAFHFIERMPIAPDATIWGAVLCGCRIYHDVKLAERVAERVFELEPENTGYYVLLANIYAEAEKWEEVKRVRERIGRKGLRKNPGCSWIEIKGKVNLFVAGDSSHPQSKKIESLLKKLRRKMKGEGYFPKTKYALINADDMQKEMALCGHSEKLAMAFGLLSLPPSKTIRVTKNLRICGDCHEMAKFMSKETGREIVLRDSNRFHHFKDGYCSCRGFW >EOY28622 pep chromosome:Theobroma_cacao_20110822:6:26066087:26069547:1 gene:TCM_030173 transcript:EOY28622 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 10 precursor, putative MAANCIPSKYIANLKEESKEDVKLSWKVLGFMLFQFCSTSHFCLAQDYDTEAQPTLPEGQENCNGIFVSYNFISRTKEYPHVKNATAQAWAFKATATIMNTGTYVLQAWKIFIGFQYKEILVSAGGAVLTNGGDFPAAVGNGTFISGYPQSDLETSIDTAGDFNQIQAQIELSGTQFGLRPPRIPMPKTIKLVNDGYKCPAPTHRKTAMYVCCVRNPKYKAKDLKTKFLPRQKGDLSISYDVTQAYGNNYLAQVTIENSNPLGRLDHWNLTWEWMRGEFIYSMKGAYVREVDTAGCINGLAGQNGTLLPETMDGSKSESVFQVQVFKLPPDLNRTAIYPPQKWKIVGVLNPDYKCGPPIRVDPTQFPDPSGLQATKDALASWQVVCNISRPTEGNFKCCVSFSAYYDESVIPCDTFACGCEDTEKCNPKKPAMLLPAEALLVPFANRSAKARAWAALKQAFSSPQAIALINFENWFTVIQFKKAGSGYEKLYSFNGTLLTKLNNTIFIQGLPGLNYLMGEVNGSNPKRDPNVPGKQQSVISFKKKRKHGINIAEGDGFPSRVFFNGEECALPTRLPIGSGNRCRLHLVLVLLFTIMSLLFIEIHN >EOY27368 pep chromosome:Theobroma_cacao_20110822:6:21443151:21446255:-1 gene:TCM_029232 transcript:EOY27368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor JERF1, putative isoform 1 MCGGAIISDLIPPTRTRRLTADYLWPDLTKSGSKKRSGKRYSKPVIDLDDDFEADFQEFKDEETDADDFDDVDDVLADVKPFALSATKKPASAASGGSNSVKSVEFNGQAEKSAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPDEAPRTSPKRAVKANSQKSLSRSNLSPVQPNLDQNFNYLSKPEQDYFDTMGFVEEKPPMDQFAYVDPPHVSEDVGLKPFAQSDNASLYFNSDQGSNSFDCSDFGWGEQGAKTPEISSILEASIESDEFVEDANPKKKLKPSSDNVTPIEDNSAKSLSDELLALDNQMKYFQMPFIEGNWDASIDAFLNGDATQDGGNPMDLWSFDDFPAMAEGVF >EOY27369 pep chromosome:Theobroma_cacao_20110822:6:21443149:21446742:-1 gene:TCM_029232 transcript:EOY27369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor JERF1, putative isoform 1 MCGGAIISDLIPPTRTRRLTADYLWPDLTKSGSKKRSGKRYSKPVIDLDDDFEADFQEFKDEETDADDFDDVDDVLADVKPFALSATKKPASAASGGSNSVKSVEFNGQAEKSAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPDEAPRTSPKRAVKANSQKSLSRSNLSPVQPNLDQNFNYLSKPEQDYFDTMGFVEEKPPMDQFAYVDPPHVSEDVGLKPFAQSDNASLYFNSDQGSNSFDCSDFGWGEQGAKTPEISSILEASIESDEFVEDANPKKKLKPSSDNVTPIEDNSAKSLSDELLALDNQMKYFQMPFIEGNWDASIDAFLNGDATQDGGNPMDLWSFDDFPAMAEGVF >EOY27371 pep chromosome:Theobroma_cacao_20110822:6:21443557:21446413:-1 gene:TCM_029232 transcript:EOY27371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor JERF1, putative isoform 1 MCGGAIISDLIPPTRTRRLTADYLWPDLTKSGSKKRSGKRYSKPVIDLDDDFEADFQEFKDEETDADDFDDVDDVLADVKPFALSATKKPASAASGGSNSVKSVEFNGQAEKSAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPDEAPRTSPKRAVKANSQKSLSRSNLSPVQPNLDQNFNYLSKPEQDYFDTMGFVEEKPPMDQFAYVDPPHVSEDVGLKPFAQSDNASLYFNSDQGSNSFDCSDFGWGEQGAKTPEISSILEASIESDEFVEDANPKKKLKPSSDNVTPIEDNSAKSLSDELLALDNQMKYFQMPFIEGNWDASIDAFLNGDATQDGGNPMDLWSFDDFPAMAEGVF >EOY27370 pep chromosome:Theobroma_cacao_20110822:6:21443356:21446255:-1 gene:TCM_029232 transcript:EOY27370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor JERF1, putative isoform 1 MCGGAIISDLIPPTRTRRLTADYLWPDLTKSGSKKRSGKRYSKPVIDLDDDFEADFQEFKDEETDADDFDDVDDVLADVKPFALSATKKPASAASGGSNSVKSVEFNGQAEKSAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPDEAPRTSPKRAVKANSQKSLSRSNLSPVQPNLDQNFNYLSKPEQDYFDTMGFVEEKPPMDQFAYVDPPHVSEDVGLKPFAQSDNASLYFNSDQGSNSFDCSDFGWGEQGAKTPEISSILEASIESDEFVEDANPKKKLKPSSDNVTPIEDNSAKSLSDELLALDNQMKYFQMPFIEGNWDASIDAFLNGDATQDGGNPMDLWSFDDFPAMAEGVF >EOY27975 pep chromosome:Theobroma_cacao_20110822:6:23807973:23812303:1 gene:TCM_029677 transcript:EOY27975 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 12 isoform 1 MDCSSVATAKKDANGGQALLLGRYEVGKLLGHGTFAKVYHARNVKSGDSVAIKVIDKEKILKGGLIAHIKREIAILRRVRHPNIVQLFEVMATKSKIYFVMEYVRGGELFNKVAKGRLKEDAARKYFQQLISAVNFCHARGVYHRDLKPENLLLDDGGNLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARKGYDAAKVDIWSCGVILFVLMAGYLPFHDHNVMAMYKKIYKGEFRCPRWFSPELTRLLTKLLDTNPEKRITIPEIMENRWFKKGFKHIKFYIEDDKLCNVEEDDDDVGSCSDQSSLSESEAEFETRRKVTSLPRPASLNAFDLISFSPGFNLSGLFEEGEEGARFVSGAPVSKIISKLEEIAKVVSFTVRKKDCRVSLEGSREGVKGPLTIAAEIFELTPSLVVVEVKKKGGDRGEYEEFCNRELKPGLENLMLEESRSTAVAAADVSHLPSDTE >EOY27974 pep chromosome:Theobroma_cacao_20110822:6:23807855:23813077:1 gene:TCM_029677 transcript:EOY27974 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 12 isoform 1 MDCSSVATAKKDANGGQALLLGRYEVGKLLGHGTFAKVYHARNVKSGDSVAIKVIDKEKILKGGLIAHIKREIAILRRVRHPNIVQLFEVMATKSKIYFVMEYVRGGELFNKVAKGRLKEDAARKYFQQLISAVNFCHARGVYHRDLKPENLLLDDGGNLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARKGYDAAKVDIWSCGVILFVLMAGYLPFHDHNVMAMYKKIYKGEFRCPRWFSPELTRLLTKLLDTNPEKRITIPEIMENRWFKKGFKHIKFYIEDDKLCNVEEDDDDVGSCSDQSSLSESEAEFETRRKVTSLPRPASLNAFDLISFSPGFNLSGLFEEGEEGARFVSGAPVSKIISKLEEIAKVVSFTVRKKDCRVSLEGSREGVKGPLTIAAEIFELTPSLVVVEVKKKGGDRGEYEEFCNRELKPGLENLMLEESRSTAVAAADVSHLPSDTE >EOY27047 pep chromosome:Theobroma_cacao_20110822:6:19862612:19872316:1 gene:TCM_028994 transcript:EOY27047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme family protein MTDYVQEQEMEIEALEAILMDEFKEIHSGESGLSTSNRCFQITLSPQDDDTDQSTTPVQLALIFSHTEKYPDEPPLLNVKSIRGIQISDLKVVKEKLEQEASENLGMAMIYTLVTSAKEWLSERYGQDADADNAEEEEATKDEVIVPHGEPVTVDTFLAWRERFEAELALERAKLMPESALTAPKEKKLTGRQWFESGRATVKGAAPVNEGSDEEDEEDIDFDDDDFEDDEEDMLEHYLAENIGLLCEGNTSISATLLVSRLSFQWPVIFKIKYLLRLITIDVPGGLPQHYQPGQFTWKCHQDFPSATLPLSTPWFPFFPLTTTSPSSANRSTPSKQSRPTIEIQPCESHLQDIDACIVDIVTVFWTNKPNVGPACCKAITGMSQDCFYRLIPPSINDYFSPYIKELCSAAPRYA >EOY28264 pep chromosome:Theobroma_cacao_20110822:6:24785646:24792627:-1 gene:TCM_029888 transcript:EOY28264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smr domain-containing protein YPL199C, putative isoform 2 MEVSGLNGLQYDEEKALTSLLDAFGSVFSLNDIASAYCEAGRNADLAGKILFEMQGSTSSVATDASACKMKYDQTSKSCGNKSQTYYQANGGFIVPKENGQPLSAAGDASNGEVKSDESSESSCGKNSQNYCQANGGFTAPKQECQPVSAASDSSNGEAKNDGPSESFCSNSLQSSWQANGDLRAPKQKRRPVSGGTVSSMLGKGYMKSVPLASGSYPGTKPFKVDSEELPMSKVWGEGLKSSPLKEERLHKDMEDFLFKMLGEGFQLDKDVIRDVLDSCGYDMQKSMEKLLDRSAVNLDKENKFLGESSKKNNVMHTRAEGPSQEKNSDLSANGGELTRLQKDRNDLQQDVLAAFFKGPERFDELPRRRTGSAKRPIALGELVEGPLIDFPAEQKADGVCFQEVEKDDEDEEDSFQALQRAVKEYRGTMKEYYKAAVDAFAKGDPDQANRFLEQGQFFREKARQADEESNQKIFETRNTNTDDVMRLELHDLGAREAIRHLKSHLSLLAGIPLLKYLKVIVETNEEDSSKGSRRRLVMKLLEKESISWSEGETPGVVMIRMDNINPKRLSFAKK >EOY28266 pep chromosome:Theobroma_cacao_20110822:6:24786363:24791629:-1 gene:TCM_029888 transcript:EOY28266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smr domain-containing protein YPL199C, putative isoform 2 MEVSGLNGLQYDEEKALTSLLDAFGSVFSLNDIASAYCEAGRNADLAGKILFEMQGSTSSVATDASACKMKYDQTSKSCGNKSQTYYQANGGFIVPKENGQPLSAAGDASNGEVKSDESSESSCGKNSQNYCQANGGFTAPKQECQPVSAASDSSNGEAKNDGPSESFCSNSLQSSWQANGDLRAPKQKRRPVSGGTVSSMLGKGYMKSVPLASGSYPGTKPFKVDSEELPMSKVWGEGLKSSPLKEERLHKDMEDFLFKMLGEGFQLDKDVIRDVLDSCGYDMQKSMEKLLDRSAVNLDKENKFLGESSKKNNVMHTRAEGPSQEKNSDLSANGGELTRLQKDRNDLQQDVLAAFFKGPERFDELPRRRTGSAKRPIALGELVEGPLIDFPAEQKADGVCFQEVEKDDEDEEDSFQALQRAVKEYRGTMKEYYKAVSLMFLKYWSFVSAC >EOY28265 pep chromosome:Theobroma_cacao_20110822:6:24785758:24791727:-1 gene:TCM_029888 transcript:EOY28265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smr domain-containing protein YPL199C, putative isoform 2 MEVSGLNGLQYDEEKALTSLLDAFGSVFSLNDIASAYCEAGRNADLAGKILFEMQGSTSSVATDASACKMKYDQTSKSCGNKSQTYYQANGGFIVPKENGQPLSAAGDASNGEVKSDESSESSCGKNSQNYCQANGGFTAPKQECQPVSAASDSSNGEAKNDGPSESFCSNSLQSSWQANGDLRAPKQKRRPVSGGTVSSMLGKGYMKSVPLASGSYPGTKPFKVDSEELPMSKVWGEGLKSSPLKEERLHKDMEDFLFKMLGEGFQLDKDVIRDVLDSCGYDMQKKSMEKLLDRSAVNLDKENKFLGESSKKNNVMHTRAEGPSQEKNSDLSANGGELTRLQKDRNDLQQDVLAAFFKGPERFDELPRRRTGSAKRPIALGELVEGPLIDFPAEQKADGVCFQEVEKDDEDEEDSFQALQRAVKEYRGTMKEYYKAAVDAFAKGDPDQANRFLEQGQFFREKARQADEESNQKIFETRNTNTDDVMRLELHDLGAREAIRHLKSHLSLLAGIPLLKYLKVIVETNEEDSSKGSRRRLVMKLLEKESISWSEGETPGVVMIRMDNINPKRLSFAKK >EOY28267 pep chromosome:Theobroma_cacao_20110822:6:24786363:24791629:-1 gene:TCM_029888 transcript:EOY28267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smr domain-containing protein YPL199C, putative isoform 2 MEVSGLNGLQYDEEKALTSLLDAFGSVFSLNDIASAYCEAGRNADLAGKILFEMQGSTSSVATDASACKMKYDQTSKSCGNKSQTYYQANGGFIVPKENGQPLSAAGDASNGEVKSDESSESSCGKNSQNYCQANGGFTAPKQECQPVSAASDSSNGEAKNDGPSESFCSNSLQSSWQANGDLRAPKQKRRPVSGGTVSSMLGKGYMKSVPLASGSYPGTKPFKVDSEELPMSKVWGEGLKSSPLKEERLHKDMEDFLFKMLGEGFQLDKDVIRDVLDSCGYDMQKSMEKLLDRSAVNLDKENKFLGESSKKNNVMHTRAEGPSQEKNSDLSANGGELTRLQKDRNDLQQDVLAAFFKGPERFDELPRRRTGSAKRPIALGELVEGPLIDFPAEQKADGVCFQEVEKDGMYSCPFHLSLSNQVLWNMLVGGR >EOY26229 pep chromosome:Theobroma_cacao_20110822:6:6099538:6105498:-1 gene:TCM_027675 transcript:EOY26229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFVEASRRLSRARWGVPGRDRKPPWRKYLKPCSFRKEVQRMMDESKIEFYTEASESAVNMISKEFTHPTKIKPLTIFYEPKGEFVEDKTHAKMIIEVPKPFPYKDDKAVPWNYNCSVQVSKAKKWIAESQDDAVNITGVGGITRSGRCYSPEAFENLKNEKGKEKERSPREEKFVNTTYVGERTTPPIPRLSRTTKMVVSQILGKGYRAGAGLGKELQGIRSPLRTTKNEERFGLGYKPTKKEREEMIAERRKERLARFKGHELEIRGMTYPHFYETFRSEGCIFPESLTVGNRESVSALGGTFSDLSICATEEGEEQSRNVDEIPTTYLGPPNLKLSSWTTMSLPVTCDSISKIPNNECEDDNDSGFEVDFEKGRVARWQVLLSEYDIVYVSQKAIKGSAIADFLAERVEEDYEPMEFEFPDEDLMSICQTSGEESEKENWKIFFDGASNALGHGIGVVLVSPEGDHYPVIAKLNFYCTNNVAEYEACVMGIQAAIERKIHILEVYGDSALVIYQLRGEWETRDSKLVRYHKYVSKLIENFDEICFNHLPRKENQMADVLATLAAMFKVGTNVKIQPIMINLRECPAHCSSVEEEIDGKPWYHDIVHYLKFQQYPDQSSENDKKTIRRLAMNFFLDGNILYKRSRDQTLLRCVDSTEARRIVEEFVEHM >EOY25642 pep chromosome:Theobroma_cacao_20110822:6:836866:854295:-1 gene:TCM_027019 transcript:EOY25642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGMEGMCTYLRRQLFFSARLVQPKLASSTRIGDIINFSIAFITTRLLFLIIMKEQVVFMKERFDIHLKERKNFR >EOY25810 pep chromosome:Theobroma_cacao_20110822:6:2026906:2028148:-1 gene:TCM_027175 transcript:EOY25810 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0706 protein MKAGLVVDGEYIYEEEKHLTVLKTSLFFANDGFTVYDCKGQLVFRVDSYGPGPRDKGEVVLMDAHGRCLLTVRRKRPSLHHRWEGFLGERSEGQKPIFSLKRSSIIGRCGMTVEVFNSPGEEYQIEGNFGQRSCTILNAGKELVAEIKRKVDASTNVVLGKDVFLLSLKPGFDGAFAMGLVLVLDQINGDDYVQYDGAEISPTAED >EOY27375 pep chromosome:Theobroma_cacao_20110822:6:21464859:21465353:1 gene:TCM_029235 transcript:EOY27375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCCCKDLSEESEGSDVNLVIPVEDLVSIWMDTNANSQSFLPFLGDEICRVISEREINANYLAGVAMVEAFLLKLCLGLRLGTEGMVLEKELRSWAVALISSFHNFYFFEILVRMLLQPALPVTSLLVSLLCLDI >EOY26631 pep chromosome:Theobroma_cacao_20110822:6:15848884:15856046:1 gene:TCM_028524 transcript:EOY26631 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein MGSETPLKLPVIDFTKPDLKPGTTEWDLVKGQVQQALQEYGCFEALFNRIPLDVRETIFGALEELFDLPLETKICNVSKKPYHGYVGQYPQAPLYESLGIDDANIIEKVEAQTRALWPEGNKSFSNIIQSFSKQLAELDQTIRRMILESYDLDKYMDEHLDSTKYLLRLMKYKGPKTTETKLGLQSHTDKNIVTILYQNEVEGLEVQTKDGKWFDVKPSKGSFIVMIGESLHAWLNGRLHAPYHRVMMSGDMARYSIGLFSIPKGGYMIKAPDELVDEAHPLLFKPFDHVQFLGFYYTEAGQKAESALKAYCGVQN >EOY28160 pep chromosome:Theobroma_cacao_20110822:6:24397884:24398652:1 gene:TCM_029803 transcript:EOY28160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIMIQGEESPEHGEQKVPLLQSLTVSETEKKNPVQKAISQTFQSTAHLANLLPTGTVLAFQLLSPIFTNQGNCDSVSRSMTAGLILLCGLSCFLMSFTDSFRDKNGTVCYGFATFYGLWVIDGSITLPPELAAKYRLHFIDFMHAFMSILVFAAVALFDHNVVSCFYPTPSDQAQEILTALPVGIGVLCSMLFVVFPTTRHGIGFPLSAN >EOY25669 pep chromosome:Theobroma_cacao_20110822:6:1079888:1080797:1 gene:TCM_027052 transcript:EOY25669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRVVRSCDDHHRMIQQDLGLLRSVTPCHRALKSVGSIAFLLPLLFPCFERFVVFSLFTTLSLPPEPSPTPQQFFLGKLKNRSFLLRPLSSQSTKND >EOY26086 pep chromosome:Theobroma_cacao_20110822:6:4303163:4304463:-1 gene:TCM_027477 transcript:EOY26086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLGKEYANTTKALGVGTLKSIFILRQGTMHLNFPVAIAFTEMSCDINTVFASIYTIIAHMKTLISGRYPSFVIVSWSQLKALNLTYTIFNWR >EOY26443 pep chromosome:Theobroma_cacao_20110822:6:10527338:10537904:-1 gene:TCM_028072 transcript:EOY26443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 12 MKSGEAGDLHELDQSSLRRDSPSVASSRNASEVFSMSLQDDEEALKWAALERLPTYERLKKGLLQRSRGQTSEIEVKNLTFHERVKTVERLFKSTEEDNESFLLQLRNRIDRVGIELPRIEVRFEQLNVCAEAYVGSRALPSFFNFYTNMVESFLSYLRLLPSRKKQISILEGVTGILKPCSPVIRPFNVDRLKNYITYPITFSGIMTLLLGPPSSGKTTLLLALAGMLNQDLRFSGRVTYNGHDLREFVPQKSAAYISQHDLHIPEMTVRETLAFSARCQGAGSQYDMLVELLRREKAANIKPDPDVDIFMKAAATEGQEMNIVVDYVLKILGLEVCADTLVGDGMLRGISGGQKKRLTTGEMLVGPAKVLLMDEISTGLDSSTTFQVVNALKNYVHVLDGTALISLLQPQPETYDLFDDIILLSDGQIVYQGPREHVLEFFESMGFRCPERKGVADFLQEVTSKKDQGQYWARENEPYRYVTIKEFVQAFKSFHVSLKLKTEISAPFDRSKSHRAALTTQKYGVGKKELFNACMSRELLLTKRNSFVIIFKLIQLLVTAFVTMTVFLRTEMHRDSQSDGGIFMGSLFFILYVSMFNGFSETSMTIAKLPVFYKQRAFLLYPPWAYALPSWSIRLPISLIEVAVFVFPIYYVIGYDPNVGRFFRQYLLLLLIKVMASVFFRFLGAVCRTPILGNTFAALGLIIYIVLGGFVLSKESVKKWWIWVYWSSPMMYAQNALAVNEFLGESWRHPVYPNSTETLGIVVLKTRGMFLDSYWYWIGVGSTIGFTLVFCCLFTVALTYLNPIEKSEAFISEETFQSKQDDNNDKKTQLSCQGAESAGNDEIRRVSSTITSTENFETNAGVPQRRRRRGMVLPFEQFWITFDAIRYFVDMPQEMKNQGVNEDRLELLKGVSGTFRPSILTALMGVSGAGKTTLMDVLAGRKTDGYIQGSITISGYQKKQETFTRIFGYCEQNDIHSPHVTIYESLIYSAWLRLPPEVDSGTRKMFIEEVMELMESSPLRDAMVGLPGVNGLSLEQRKRLTVAVELMANPSIIFMDEPTSGLDARAAAIVMRTIRNTVDTGRTVVCTIHQPSIEIFEAFDELFLMARGGQEIYAGPLGHHSFHLIKYFEEIEGISKIKDGYNPATWVLEVTTSAQSDLGIDLNDVYRKSELYRRNKALIKELSIPAPGTKELYFPTKYSQSFLTQWKACLWKQNCSYWRNTAYTAFRLLFTAFLALVYGSMFWDLGSKRKRRQDLFNAMGAMYTSVYFIGVINSFLVIPVVGIERTVFYRERAAGMYSSLAYSLAQVVIELPYLFILAIAYGVIIYAMIGFEWNATKFFWYMFFMFFNLLDNTIFAMMLVALTPNQQVAYIVSTAIYSLWNLFAGFIIPRPRIPVWWRWYSWTSPLAWTLYGLIASQFGDVKDMLDTNETVQSFIRSHYGFRHDFIGVVAAAIVAFAVFFALIFSVSIKVMNFQRR >EOY27900 pep chromosome:Theobroma_cacao_20110822:6:23526560:23527972:1 gene:TCM_029620 transcript:EOY27900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MACNHFQVAMAKRKMWRISFFTIVWSIWLYRNEMIFEGKLGDLMKVDLIKMRITWWVRFKWSNDNPSIIEIINAPSAVVVTSSKCPSKALLKWECPPKRWFKFNTDCAAKGSSSWANSVGIIIECDSKNEVSWISKPSKAPWRLRQLILQICALRGIVLDWQSQHILCSGNEAANNLAKTGIERLNDHLRVHP >EOY27646 pep chromosome:Theobroma_cacao_20110822:6:22609461:22610449:-1 gene:TCM_029438 transcript:EOY27646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein MVSNIAIDSEASATMKLDNPYQIVRQLASTNAVVLFSMSGCCMCTVAKRLLFGLGVGPTIIELDHHVSGPDIQAVLFQLAADGRQPVPAVFVGGKFLGGIETLMACHINGTLVPLLKEAGALW >EOY25957 pep chromosome:Theobroma_cacao_20110822:6:2931222:2933452:-1 gene:TCM_027315 transcript:EOY25957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDTNNSEATTSGEATTVTGDPRELWQLQLQPESRQRIVNKIMDTLKRHLPCHGQEGLNEIRMIAARFEEKVYAGATSQSDYLRRISLKMLTLESQSRTVCVAAKRKRVMAP >EOY25956 pep chromosome:Theobroma_cacao_20110822:6:2931263:2933451:-1 gene:TCM_027315 transcript:EOY25956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDTNNSEATTSGEATTVTGDPRELWQLQLQPESRQRIVNKIMDTLKRHLPCHGQEGLNEIRMIAARFEEKVYAGATSQSDYLRRISLKMLTLESQSRTVCVAAKRKRVMAP >EOY25720 pep chromosome:Theobroma_cacao_20110822:6:1428582:1430209:1 gene:TCM_027101 transcript:EOY25720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 4 MRPINQQPQPPAGGFSSNFSSSSSSSSAHRSRPRRRADLTLPLPQRDPSFAVPLPLPPSNNSATSASNANATAVQQVNFSELDRVNRVGSGTGGTVYKVLHRPSSRAFALKVIYGNHEESVRRQIRREIEILRDVDHPNVVKCHEMYDHNGEIQVLLEFMDGGSLEGTHIPQESNLSDLARQILSGLNYLHRRHIVHRDIKPSNLLINFKKRVKIADFGVSRILDQTMDACNSSVGTIAYMSPERINTDLNHGRYDGYAGDIWSLGVSILEFYLGRFPFAVGRQGDWASLMCAICMSQPPEAPPSASYEFRHFISCCLQRDPARRWTAAQLLQHPFVVRGIPGQNHAAQNLHQLLPPPPPLST >EOY25860 pep chromosome:Theobroma_cacao_20110822:6:2393175:2399797:1 gene:TCM_027225 transcript:EOY25860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase MLHEVCLLLGIVEWIQNFAAFCSTDISNIFLSSEGLGMKTGRSELKTRSNCMQNTIGRLSYAEKENKVFDRTYKQPEVCHMIDGAEVSAETLGNKNRKQSSRVDEQKDVALVIESIRRDEFHLDPNLSDMESRMLNKQHACIGRALHFLSKELCSQDSHFLLELVENADDNVYSETVEPTLTSILQEQGIILFNNEQGFSAQNIRALCDSGSSTKKVAVGCAGKKGIGFKSVFQVTDAPEIHSNGFPVKFDISDGWVGFVLPTLVPSCNVDSFEMLLGGDNNQLDNKCWITCFVSVTSKGNDKINIISMFSDFHPSV >EOY26836 pep chromosome:Theobroma_cacao_20110822:6:18403938:18404609:1 gene:TCM_028810 transcript:EOY26836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHMAILKLHVIKPCLVQGYLLHFPFENLPSVPAIIMASKQATVAFAALIICLLLFLGIGVSGQTCYYIEENCRVNADCTKICSNQGYESGAICVPNNTGSTHCCCVIDS >EOY27704 pep chromosome:Theobroma_cacao_20110822:6:22877362:22879455:1 gene:TCM_029486 transcript:EOY27704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGAKEFIRTTKNIVLLLEMIVRRVHFHPSWSGRMGQRLSAKQRLPSLLSVVFLGVSSRFVLSPLMHPVIGKGRAETVDSHGTTIPLKFFFATPFHFLKAF >EOY27861 pep chromosome:Theobroma_cacao_20110822:6:23335578:23337174:1 gene:TCM_029588 transcript:EOY27861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQNVAFWSCNYYLGTTYSAASFFTPRIFREILFIKLIIMSYNIQKIMDACEFLKKFRVIESSCWSMITKTGFAVLVSISRVRKQGKPGVNNPQH >EOY25652 pep chromosome:Theobroma_cacao_20110822:6:958941:965953:1 gene:TCM_027033 transcript:EOY25652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toprim domain-containing protein, putative isoform 2 MGRTLSLHIIEKGDFAMWRCFQTDCGWAGQVFADSSKIIMNGTVLEPIGDELIAYFAERRISKATLQRNAVMQRSGDQAVIAFTYRRNGVIVGCKYRTLEKKFWQEKGTKKWLYGIDDIKEAATVVVVEGEIDKLAVEEAGVHNCVSVPCGAPQTVFAKELPTLEKDIAYQYLWNSKEYLDKVSCIILATDVDDPGNALAEELARRLGKERCWRVEWPKKDDFSCFKDANEVLKCLGPEALKEVIENAKPYQEYM >EOY25651 pep chromosome:Theobroma_cacao_20110822:6:958941:965953:1 gene:TCM_027033 transcript:EOY25651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toprim domain-containing protein, putative isoform 2 MPFVNIIRHAPFIFFVNTTTNYKSSFVIIPPRLGLGRRICSCSGSLPSAPKSNVPLSPSQQTINGGKVTELKKKVELLGIQGHDSCLPGRYNYLFCPKCRGGKSMGRTLSLHIIEKGDFAMWRCFQTDCGWAGQVFADSSKIIMNGTVLEPIGDELIAYFAERRISKATLQRNAVMQRSGDQAVIAFTYRRNGVIVGCKYRTLEKKFWQEKGTKKWLYGIDDIKEAATVVVVEGEIDKLAVEEAGVHNCVSVPCGAPQTVFAKELPTLEKDIAYQYLWNSKEYLDKVSCIILATDVDDPGNALAEELARRLGKERCWRVEWPKKDDFSCFKDANEVLKCLGPEALKEVIENAKPYQEYM >EOY28020 pep chromosome:Theobroma_cacao_20110822:6:23956392:23957990:-1 gene:TCM_029709 transcript:EOY28020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated gene 12 MASTSRSLALLFIVGIWACQASSRSVPEVSMSDRFEQWLASCGRVYQDASEKEKRLQIFKENVEYIESHNADTSKKYKLGVNEFTDLTNEEFKAMRNGYKLRPSTSSKKSSFRYENVAAVPSSMDWRKKGAVTNIKDQGQCGCCWAFSAVAAMEGINQLKTGKLISLSEQELVDCDINGEDQGCNGGFMDNAFEFIISNKGLTTESNYPYEGVDGSCNKKKAANHAAQITSFEDVPSNSEAALLKAVANQPVSVAIDAGGSDFQHYKSGIFTGECSTFLDHGVTAVGYGTADDGTKYWLVKNSWGTSWGEDGYIRMQRDIDAEEGLCGIAMEASYPTA >EOY27184 pep chromosome:Theobroma_cacao_20110822:6:20583362:20588118:-1 gene:TCM_029096 transcript:EOY27184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal-5'-phosphate-dependent enzyme family protein MAAFSLFSPAHIAPKPNLHFIKASTSDLPKTSPLQPSPSTQPQQPPLKPHHNIREEARLHNAATNSTNHPRFTAKYVPFTAISDPSSSDESYSLDEIVYRSQSGSLLDVHHDMSALKHFDGAYWRNLFDSRIGKTTWPFGSGVWSKKEWVLPEIDDDDIISAFEGNSNLFWAERYGKQFLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMNKPLVGVGCASTGDTSAALSAYCAAAGIPSIVFLPANRISMAQLVQPIANGAFVLSIDTDFDGCMQLIREVTAELPIYLANSLNSLRLEGQKTAAVEIVQQFDWEVPDWVIVPGGNLGNIYAFYKGFSMCKELGLVDRIPRLVCAQAANANPLYLYFKCGWKEFNPVKANTTFASAIQIGDPVSIDRAVHALKNSNGIVEEATEEELMDAMAQADSTGMFTCPHTGVALTALIKLRKSGVIGPTDKTVVVSTAHGLKFTQSKIDYHSKDIKDMACRFANAPVQVKADFGSVMDVLKKYLLSKAH >EOY26904 pep chromosome:Theobroma_cacao_20110822:6:18973114:18985026:1 gene:TCM_028869 transcript:EOY26904 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDC27 family protein, putative MEALLANYVEKSLNNYMRRNAIFLCERLCAEFPSEVNLQLLARCYLNNNQAHSAYHILKGTRTAQSRYLFALACLEMDLFGEAEAALLPIEELSAEVSNGAAVQYLLGIIYRDTDRMKSSVEHFRKALSVDPLFWAAYEELCILGEAEEATIYFGDAAIRYVQEHYLRNASSCLQIAGVDQSLDSSQTFGLEDLSLRRSQQMEGNNIKDICHNNHGRPLLTGPAGQTTNSCCKISVLNTPSPLLTQLSDMAPAPLIRNIQRPQSEGFLRSSTQPRRKSVHVNESAQVIRGSLFLDSGCRRSARLAAARRCFNRSQGSENDANNLLALTKSNSRTYSSTVCRGQTENLEEGKLPETYDDAVSEFRAPSSLSSSNDVKSVQHEIVNTELSSSISNGSTIKSGVLDTMSLLRILGEGYRHLCGYRCQEALNVYQKLSLKQYNTGWVLSQVGKAYFELVDYLNADYAFSLARQISPYHPEGMDVYSTVLYHLKEDMKLKYLAQELISVDRFAPQSWCAIGNCYSLQKDHETALKSFQRAVQLNSRFAYAHTLSGHEHVIMEDYRDGVECYQRALRVDARHYKSWYGLGMIYLRQEKFEFAEHHFRQAYQINPYSSVIMYYLGTTLESLKRSEEALEMMEKAIVTDNKNPLPKYNKAKMLVTLGKLNEALEILEELKECSPRESSIYALIGEIYKQHKKHDKAMLHFGIALDLKPCTLDVAEIEAAIEKLILPDEIDDDF >EOY25657 pep chromosome:Theobroma_cacao_20110822:6:980476:983419:1 gene:TCM_027035 transcript:EOY25657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein MFLSKIYNRCGSLTFLSLQNKLAAIHCSSSRREKRKEFEAKSKFKVMVVEVNVDETQAGQVFVPEEEPKKVAQDNGKVVDSEIDDSKPKTVEKSSSYREESNFLSDLKEFEKKALSELKSKLEEAILGNSLLRKQEPEKDEKPSEEKEEKEKEVADEKEKEEEAKGGEEGESLVGEEEAKPEGGEEKTAQECERKKSEEEGIEINKDVSIWGVPLLPCKGGEATDVVLLKFLRAREFKVNDAFEMLKNTLQWRKDGNIDSILDEDFGAGLGSAAYMNGIDKEGHPVCYNIYGVFENEELYKKTFGTEEKRGQFLRWRFQLMEKGIQKLDLRPGGVTCLLQINDLRNSPGPSRKELRVAMRQAVGALQDNYPEFVARNIFINVPFWYYALNALLSPFLTQRSKSKFVVVRPAKVTETLLKYIPAEEVPVQYGGFKKENEFEFCGQDATVTEIIVKAGSTMTIEIPADEVGSTSIWELIVLGWEVNYKAEFVPSDEGSYTIIVQKSKKISVSQSQEGPIGNTFRNNEQGKLVLTIENTSGKKKRVLYRYKTKKSSPC >EOY27452 pep chromosome:Theobroma_cacao_20110822:6:21780157:21783706:1 gene:TCM_029297 transcript:EOY27452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVEKNAGALSFNVGTNGVLRKRKSLSESDGDLSDIDDAEITGYLNNNTEMLFKKMVWEAMNKDYRKQKQKKPTTGKKSTSAKKAVASRMEKRKEEGKENKKRLSSKINYDALEKLSDEPEEVAEKTKKNSIDSHWSNQIDTQQSRGTSGTSGLEICGFEEDIFSDEVEPENSNLYSYGDGEEDGYGYREDYDYEEF >EOY27453 pep chromosome:Theobroma_cacao_20110822:6:21779958:21783879:1 gene:TCM_029297 transcript:EOY27453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 SKTPEAALLFLPSSSTLKTWWRRTRELCLLTWAQMESCVNITGYLNNNTEMLFKKMVWEAMNKDYRKQKQKKPTTGKKSTSAKKAVASRMEKRKEEGKENKKRLSSKINYDALEKLSDEPEEVAEKTKKNSIDSHWSNQIDTQQSRGTSGTSGLEICGFEEDIFSDEVEPENSNLYSYGDGEEDGYGYREDYDYEEF >EOY26513 pep chromosome:Theobroma_cacao_20110822:6:13384160:13387243:1 gene:TCM_028296 transcript:EOY26513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate-glutamate racemase family MSRKSSELGTFALFTLPLLIFASFCLVSSFELRRLREGSPPGFVSWVLLRLKVDQVGLLASSAISESWNFVGGYQNVEMVPIFGLLSLQMSLNSPSYIWGCVTTQRNFCKRRLDPVLAMSPSSVILHTDESGKFPESKKSSSSGTASLIGSAGTLLSHPNTVGIIGGVSVDSTLNFVRKLVHWSKENEKNCMPFVLCSDPVLNRELLSLERNSASLCHRNEHSQFDHTRIVENLWSKRVFLEKSGAHCIVVPCHISHSWHDEVFKGCSVPSLHMGECVARELKEAKLKPLETGSPLRIGVLATDATLKEGFYQEKLQNEGFEVVLPDKATMEHTVIPAIDALNRKDMEGARNLLRIALQVLLVRAVNTVILASDDMRDLLPRDDPLVKKCIDPMDALARSTIKWAQQAVEEGT >EOY27514 pep chromosome:Theobroma_cacao_20110822:6:22189650:22191465:-1 gene:TCM_029352 transcript:EOY27514 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MEDQKVLPDESSVSLVREVRAEEDEEDFRSCCEDDEVWKETEEVVKEEEREELDEFSVNMFFKGISLADTGDSGSGFSGIGVVMERSADSPVIQVQKKLDFYVEESVADYLAIMDGLTEAVQNKIHRVYAFTDSASLYDQITSEEKLDNPLLMALRERILEHTRSLEEFVLKLVPSTNLLRPLQLAQVAIGVISCSVKGDKSLENCSICCEDKLSLMMITMKCSHKFCSHCMRTYVDGKVQSSQVPIRCPQLRCKYYISTAECRSFLPLASYESLERAQAEANVLHSDGIYCPYPNCSVLLDPRECLSTRASSSSQSDNSCVECPVCRRFICVECGVPWHLSMSCEEYQNLPLEERDAADITLHRLAQNKRWRRCQQCRRMIELTQGCYHMTCW >EOY27513 pep chromosome:Theobroma_cacao_20110822:6:22188102:22192256:-1 gene:TCM_029352 transcript:EOY27513 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MEDQKVLPDESSVSLVREVRAEEDEEDFRSCCEDDEVWKETEEVVKEEEREELDEFSVNMFFKGISLADTGDSGSGFSGIGVVMERSADSPVIQVQKKLDFYVEESVADYLAIMDGLTEAVQNKIHRVYAFTDSASLYDQITSEEKLDNPLLMALRERILEHTRSLEEFVLKLVPSTNLLRPLQLAQVAIGVISCSVKGDKSLENCSICCEDKLSLMMITMKCSHKFCSHCMRTYVDGKVQSSQVPIRCPQLRCKYYISTAECRSFLPLASYESLERAQAEANVLHSDGIYCPYPNCSVLLDPRECLSTRASSSSQSDNSCVECPVCRRFICVECGVPWHLSMSCEEYQNLPLEERDAADITLHRLAQNKRWRRCQQCRRMIELTQGCYHMTCWCGHEFCYSCGAEYRDGQQTCQCAFWDEDNSEDLVTHSVQESEQWTWDTFNSFPMIMDAYSDQERSQLALIQRFLAGGFSLSEHHPYQSPPRCTDSYVDAMKDLHQLPWLERFVSVISDNYYEDYIQ >EOY27515 pep chromosome:Theobroma_cacao_20110822:6:22189014:22192313:-1 gene:TCM_029352 transcript:EOY27515 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MEDQKVLPDESSVSLVREVRAEEDEEDFRSCCEDDEVWKETEEVVKEEEREELDEFSVNMFFKGISLADTGDSGSGFSGIGVVMERSADSPVIQVQKKLDFYVEESVADYLAIMDGLTEAVQNKIHRVYAFTDSASLYDQITSEEKLDNPLLMALRERILEHTRSLEEFVLKLVPSTNLLRPLQLAQVAIGVISCSVKGDKSLENCSICCEDKLSLMMITMKCSHKFCSHCMRTYVDGKVQSSQVPIRCPQLRCKYYISTAECRSFLPLASYESLERAQAEANVLHSDGIYCPYPNCSVLLDPRECLSTRASSSSQSDNSCVECPVCRRFICVECGVPWHLSMSCEEYQNLPLEERDAADITLHRLAQNKRWRRCQQCRRMIELTQGCYHMTC >EOY28812 pep chromosome:Theobroma_cacao_20110822:6:26604900:26606473:-1 gene:TCM_030306 transcript:EOY28812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMSLSLHFVGGLMAVDVDVEVCGFLSHSELCEICELARLRGGTSLPYLTTLKVCELAKQAGLIQGQVQKECHGST >EOY28323 pep chromosome:Theobroma_cacao_20110822:6:24929869:24932627:1 gene:TCM_029921 transcript:EOY28323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQGFSIFITIAPLSETMASLFPSSSTTSNTIPTSIASSFLPRTSQLSLAKPKPNFIPKVVSCKATNGDQNPTPSSKNTEKSFLLNKFDRRDVLIGLGGLYGAASLSQDQFSLAAPISAPELEKCGNAELPEGADPTNCCPPVSRKILDFKPPSSNSPLRVRPAAHLVDDAYIAKYSRAIELMKALPADDPRNFMQQANVHCAYCDGAYDQVGFPDLELQVHNSWLFFPFHRYYLYFFEKILGKLIDDPTFALPFWNWDSPAGMKMPAMYGNTKSPLYNELRNRSHYPPTLVDLDYNGTDETTTTRDQLTSNLRIMYRQMVSNGKTARLFLGGPFRAGDEPYQGFGSVENVPHGPVHVWCGDNTQPNGEDMGTFYSAARDPIFYAHHSNVDRMWAVWKTLGKKRKDFTDPDWLNASFLFYDENANLVRVKVRDCLDNRSLGYVYQDVDIPWLKTKPTPRKLRKKVASGGPGIALAAEINKKKVIASNQFPIVLDKIVSVDVPRPKKSRSKKEKEDEEEILVIDQIELDGDSFAKFDVYVNDEDDLTIGPDNTEFAGSFVNVPHKHKGGKKMRTCLRLGLTDLLEDLGAEDDDSVVVTLVPKYGKGNVKIGSIKIEFIQD >EOY27145 pep chromosome:Theobroma_cacao_20110822:6:20441010:20445953:1 gene:TCM_029068 transcript:EOY27145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 KHHPTDHRSDVKAPNIIERAKEEIGAIIHTDKKPHHHKETHGRNDDIDEDTPVHDVKGPNVFERVKEEVEAIVGAIHPKKESKGS >EOY27144 pep chromosome:Theobroma_cacao_20110822:6:20440946:20441910:1 gene:TCM_029068 transcript:EOY27144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSEPKHHPTDHRSEKDVKAPNIIERAKEEIGAIIHTDKKPHHHKETHGRNDDIDEDTPVHDVKGPNVFERVKEEVEAIVGAIHPKKESKGS >EOY28487 pep chromosome:Theobroma_cacao_20110822:6:25485376:25492091:1 gene:TCM_030031 transcript:EOY28487 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus-specific glycoprotein S6, putative MGGEKRSSVLLSSMSRLFNLLLLRCCAATNNITLSRPLSQDQILTSPGQFFVLGFFQPNNSANRYLGIWYKDIAPKKIVWVANREKPVTNSSASLTIGSDGNLKLVNGNQDTLWSTNVSVQSNSSVAVLSDNGNFELIDGISGANLWQSFEHPYDTFLLGASIGYNFKTGERRFLTSWKSDSDPSPGNFVVGLLPGSTIQAFVWKDRLPYWRSGQWDKTKFIGIPEMDSSSSSVFDLREDRHRGTVYLYTDTYNQSVASNMVISSVGTLQWVHWERGQGWIVGWEAQQNPCDVYGVCGSFGVCSPSESPICNCLRGFTPKSDEEWSKGNWTGGCMRRTNLSCEANTSSKPTNTRKTDRFWTMDRMKLPDLSEYLEIDDDLCQEWCLNNCSCMGYAIVYGIGCLVWTENIIDMQKFPFGGEEFFLRLAHTEFADERLKEKLIISLTTISCIIILGILVYGIRRKRSTKLSKRKRIFKHFDLSGNETSSEILPGNTMRSHLELEDRSELPMFDLNTILVATDNFSTTNKLGQGGFGPVYKGKLHDGKYVAVKRLSSSSGQGLEEFKNEVMLISKLQHRNLVRLFGYCIEKEERILIYELMANKSLDTFLFDPTKRADLHWPKRFNIIQGVARGLLYLHRDSCLRVIHRDLKVSNILLDDKMNPKISDFGLARKFQGTQLLANTHRVVGTLGYMSPEYALSGIFSEKSDVFSFGVLLLEIVSGRKITASYDDDKRISLIGYAWRLRSESKELDLLDEAMADSFSSSEVTSEAELPRPKQPTFTFQTGFYSEKSDSNHLLSACELTESVLEGR >EOY28130 pep chromosome:Theobroma_cacao_20110822:6:24299146:24300330:1 gene:TCM_029784 transcript:EOY28130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 isoform 2 MGKRKSRAKPAPKKRMDKLDTVFSCPFCNHGTSVECRLDMKNLIGEASCRICQESFSTVITDIANGLMNVSGLTTLKMM >EOY28129 pep chromosome:Theobroma_cacao_20110822:6:24299167:24300561:1 gene:TCM_029784 transcript:EOY28129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 isoform 2 MGKRKSRAKPAPKKRMDKLDTVFSCPFCNHGTSVECRLDMKNLIGEASCRICQESFSTVITALSEPIDIYSEWIDECERVNNLEDDGDQDEGLMPRKRVSTSEWD >EOY25491 pep chromosome:Theobroma_cacao_20110822:6:237615:239003:1 gene:TCM_026906 transcript:EOY25491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIILQGTSQFLQGSFRVDDLSERDLASFTYNSISSSISQQSSNDYAIEDRALVCPHLIKIASLAADHRFRHDVYRHLLDKILPGIIAEADFLACYL >EOY28575 pep chromosome:Theobroma_cacao_20110822:6:25864248:25869209:-1 gene:TCM_030123 transcript:EOY28575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 10 MVSIEISMTPLFYTVLCLLSLCGAAAAAANFLDSNCGPNTTTYTANSAYQQNLNFLLSSLKSNSTRVSGFYNLTVGRDPPDIVYGLFLCRGDVTQDTCQDCVSAAAGEILQHCPIQKTALVYYDECTLRYSNRSFFSVWQRDPNLQLLNTGNISQSDRFMKLLGNTMHEIATQAANDQSGKKFATEEANFTSFQTLYTLAQCTPDLSVSDCDTCLQTAIGYLLSCCFGRPGGRVLFPSCNVRYEFYRITEEIKRKKSKWIPLGASLSVTLGLALFSACGFFIWRRRNIQEDKENNQEVQLLDLVGGSIRNEHSSENFSEEKVSRSQEFPSIQFDILHAATNHFCDENKLGEGGFGPVYKGTLADGKEIAVKRLSRTSGQGLLEFKNEVMLIARLQHRNLVRLLGCCLEKNEQLLVYEFMPNRSLDVFLFDSSMAAQLSWLKRFNIIKGIARGIMYLHEDSRLRIIHRDLKVSNVLLDHEMNPKISDFGMARIFDGDQNQANTNRVVGTYGYMAPEYAMEGLFSIKSDVFSFGVLLLEIISGKRNNGFHLSEHGESLLTFAWKLWSKGQGMELIDQLLVQSCVAAEVLKCIHIGLLCVQEDPADRPRMTSVIVMLESETITLPRPAEPAFSVGRVVAEPTEPTSNDRICSVNEVTISNLSPR >EOY28704 pep chromosome:Theobroma_cacao_20110822:6:26303954:26309053:1 gene:TCM_030228 transcript:EOY28704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MQFVVIDATYPHYYMDNVLSNPFPTERSPHISLKTEPWIHRRRPDKLEGQLHLICKLRHFSKTLFLFLGGGSFKRRTSLFSRGLHVGKQFSCPSSEDIVFRAICVNLRHRRWKFLEQVSPSLTDALVSRVVREFQNSPQLALEFHNWVGEKKGFPHSLASCCALIHVLVKSRRFDDAITLLENLMHVNGMTPLEVLDGLEDSYELCDSFPAVFDTLVRACTQCGATEGAYAVVKKLRMKGRFVTIHAWNNFFSHLLKLNEIGRFWNVYNEMVSYRYIENVNTFNLVIYALCKECRILEAISAFYRMLKSGIWPNVVTFNMIINGACRMGDIELALKLVRKMGVMSGNSVSLNLVTYNSIVNGFCKIGKFSFVEEVCNDMVKAGFEPDVRTYGTLIDGHARKGCLEEALRLCDEMAERGLMPNTVVYNSILHWFYMEGDLEGASSVLADMIDKNVCPDNVTYSTIIEGLCRHGYVVQAFNFHRQVLEMNLIEDTFSHNILINCLCKSNNLAGAMQLVGSMFIHGLIPDLVTYGALIDRYCKEGQIESAVQIYDEMARLEEGPNMVIYNSILNGLCKEMSVDVARQMVDSLQSMGLSDGITYNTLINGYCGCGNIDEAFALFLEMRKVGGLVNRVTYNTLINFLCKFGCIQQAKELMKRMLLHGLVPDVITYTMLITCSAKNCSPDEVIELHDYMVLKGVIPDRQTYQAIVSPLLAEENEENRV >EOY27693 pep chromosome:Theobroma_cacao_20110822:6:22828722:22834530:-1 gene:TCM_029480 transcript:EOY27693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-Amyrin Synthase, putative MWKLEIAEGVGPWLFTTNKFMGRQVWKFDAASWTSEERALIENFRQNFSLHRHHVKASSDMLKNFQLIQDNQVDLSIAPVRLEDNEEVTREKVTTALRKAVRFTSATQAWDGHWLSENSGPLFSLRPLVMVLYLTGTLDTVLSSEHKREVLRYIYNHQNRDGGWGFHVEGHSTMLTMILNYIALRLLGEGTEGGKDGAVEKARNWIVNHGGATMIPSLGKAYLCPARLWSFMRNFYAPMSYLYGKKFVGPITELILSLREEIYIQPYEKIDWNKARHSCLKEDVYHPYPMVQILLWDSLYYIAEPVLKCWPFSNLREKALQIATKLIHYEDENTRYITQGSIQNVLHMMACWAEDPTSDSIKFHLARVPDYLWVAEDGMKMQLNGGSQLWDTVLTTQAIISSNLTDEYGSTLRKAHEFIKQCQLQENASGDFQSMHRHMSKGAWTFSVQDDGWQTIDGIAEALKAILLLSKLPPEIVGETVEVERLYDAVNVLLSLQSKNGGFTAWEPVRGPQWLEKLNPTELFAAAAIEREHVPIISNCIMYAECTSSAIQALVLFSQLYPGYRKKDIETCVTNAVQFLEGSQMEDGSWYGSWGVCYTYGTCFALAGLTAVGKTCHSSQIVRKACQFLLSKQQESGGWGESYLTCPNLEYEHLAEGDCSNLVQTSWAMMGLIHAGQAELDPQPLHKAARLLINSQMESGKFPQQVCKTYELTGVCLRTCMIHYAAYRNIFPLWALGEYCKHVLSTSQEY >EOY28060 pep chromosome:Theobroma_cacao_20110822:6:24115299:24119630:-1 gene:TCM_029740 transcript:EOY28060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphate dependent pyruvate decarboxylase family protein isoform 4 MEAGNKIRSSAHPNSLAPPVCGGASRGTLGRYLARRLVEIGVKDVFSVPGDFNLTLLDHLIAEPELNLIGCCNELNAGYAADGYARSKGVGACVVTFTVGGLSALNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCAQAVVNNLDDAHELIDTAISTALKESKPVYISISCNLPGIPHPTFAREPVPFLLAPKVSNQLGLEAAVEATADFLNKAVKPVLVGGPKLRVAKAQEAFVELADASGYPIAVMPSAKGLVPEHHPHFIGTYWGAVSTSFCGEIVESADAYVFVGPIFNDYSSVGYSLLFTKEKAVIVQPNRVTIGNGPSFGWVFMTDFLSALAKKLKRNSTAVENYRRIFIPPGMPLKRGNDEPLRVNVLFKHIQQDMLSGESAVIAETGDSWFNCQKLQLPENCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQDISTMIRCGQRSIIFLINNGGYTIEVEIHDGPYNVIKNWNYSGLVDAIHNGDGKCWTAKVRTEEELKEAISTATGAKRDSLCFIEVFAHKDDTSKELLEWGSRVSSANSRPPNPQ >EOY28061 pep chromosome:Theobroma_cacao_20110822:6:24116246:24119836:-1 gene:TCM_029740 transcript:EOY28061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphate dependent pyruvate decarboxylase family protein isoform 4 MEAGNKIRSSAHPNSLAPPVCGGASRGTLGRYLARRLVEIGVKDVFSVPGDFNLTLLDHLIAEPELNLIGCCNELNAGYAADGYARSKGVGACVVTFTVGGLSALNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCAQAVVNNLDDAHELIDTAISTALKESKPVYISISCNLPGIPHPTFAREPVPFLLAPKVSNQLGLEAAVEATADFLNKAVKPVLVGGPKLRVAKAQEAFVELADASGYPIAVMPSAKGLVPEHHPHFIGTYWGAVSTSFCGEIVESADAYVFVGPIFNDYSSVGYSLLFTKEKAVIVQPNRVTIGNGPSFGWVFMTDFLSALAKKLKRNSTAVENYRRIFIPPGMPLKRGNDEPLRVNVLFKHIQVNRIC >EOY28059 pep chromosome:Theobroma_cacao_20110822:6:24115028:24119912:-1 gene:TCM_029740 transcript:EOY28059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphate dependent pyruvate decarboxylase family protein isoform 4 MEAGNKIRSSAHPNSLAPPVCGGASRGTLGRYLARRLVEIGVKDVFSVPGDFNLTLLDHLIAEPELNLIGCCNELNAGYAADGYARSKGVGACVVTFTVGGLSALNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCAQAVVNNLDDAHELIDTAISTALKESKPVYISISCNLPGIPHPTFAREPVPFLLAPKVSNQLGLEAAVEATADFLNKAVKPVLVGGPKLRVAKAQEAFVELADASGYPIAVMPSAKGLVPEHHPHFIGTYWGAVSTSFCGEIVESADAYVFVGPIFNDYSSVGYSLLFTKEKAVIVQPNRVTIGNGPSFGWVFMTDFLSALAKKLKRNSTAVENYRRIFIPPGMPLKRGNDEPLRVNVLFKHIQDMLSGESAVIAETGDSWFNCQKLQLPENCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQDISTMIRCGQRSIIFLINNGGYTIEVEIHDGPYNVIKNWNYSGLVDAIHNGDGKCWTAKVRTEEELKEAISTATGAKRDSLCFIEVFAHKDDTSKELLEWGSRVSSANSRPPNPQ >EOY28062 pep chromosome:Theobroma_cacao_20110822:6:24115045:24119836:-1 gene:TCM_029740 transcript:EOY28062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphate dependent pyruvate decarboxylase family protein isoform 4 MEAGNKIRSSAHPNSLAPPVCGGASRGTLGRYLARRLVEIGVKDVFSVPGDFNLTLLDHLIAEPELNLIGCCNELNAGYAADGYARSKGVGACVVTFTVGGLSALNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCAQAVVNNLDDAHELIDTAISTALKESKPVYISISCNLPGIPHPTFAREPVPFLLAPKVSNQLGLEAAVEATADFLNKAVKPVLVGGPKLRVAKAQEAFVELADASGYPIAVMPSAKGLVPEHHPHFIGTYWGAVSTSFCGEIVESADAYVFVGPIFNDYSSVGYSLLFTKEKAVIVQPNRVTIGNGPSFGWVFMTDFLSALAKKLKRNSTAVENYRRIFIPPGMPLKRGNDEPLRVNVLFKHIQALYFLSIMEAIRLKLRFMMALTMLLRTGITVALLMPSTMVMANAGQPRCGQRKN >EOY26243 pep chromosome:Theobroma_cacao_20110822:6:6375174:6378002:-1 gene:TCM_027705 transcript:EOY26243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGMLKFNVDKDAKGNPRESGIGDAFKDDEGKMLSQCSLFVGVLDANTTKILTIKKAFQIIVAFRWGIVDKVIMENDSENVVKWAKEPSTTFWKLRTTMMRMEFFKSKLKDWSFFENFKICKWCCKFLG >EOY25725 pep chromosome:Theobroma_cacao_20110822:6:1447902:1455183:1 gene:TCM_027104 transcript:EOY25725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein isoform 3 MGEAETALKEEEGGGERENHQMEKSRKEKRKQMKKMKRKQVRKEAAEKEREAEEARLNDPEEQMRIQREEEEERKRREIALKEFEERERVWIEAMEMKRKAQEEEEKEEEEKRKDLKEDANGEQEEMSDDWEYIEGSPQIIWEGNEITVRKKQVRVPKKDANQKSKEEDFVPQDADRPTSNPLPPQSEAFADYLNASSAQQVLESVAKEVPNFGTEQDKAHCPFHLKTGACRFGQRCSRVHFYPDKSCTLLMRNMYNGPGLAWEQDEGLEYTDEEVERCYEEFYEDVHTEFLKFGEIVNFKVCKNGAFHLRGNVYVHYKMLESAVLAYHSINGRYFAGKQVKCEFVNLTRWKVAICGEFMKSRLKTCSHGTACNFIHCFRNPGGDYEWADWDKPPPRYWVKKMGALFGYSDEAGFEKQIEQEHSGQSRNRSRVIKSDADRHRSRRSKSREMNRLIGGADRSPCIEDDVEESSHSQRGKNNDRKQTKGLDGRSYRESKSLKWDQNREKNHDTSSDGGYSDSKRGKKIDRKRAKTLDGRSDRQRSLTWDQNSEEIHDTSSDGGYSDSKRGKKNDRKQAKTLDGRSDRQRGLKWDENSEKIHTTSSDGGYSDSKRGKENDRKQAKVLDGGRSDRQRSLTWDQNSEEIHDTSSYGGYSDSKRGKKNDRKQAKTLDGRSDRQRSLKCDENSEKIHDTSSDGSYSDSKRGKKNDRKRAKTLDGRSGRQRSLKWDENSEQIHYTSSDGGYSHSKRGKKNERKKAKLLDGRSDRHRSLKWDQNRERTLDTSSDEGYSERDIDAARDADEVTHHCHAKEHSKHQSESLEYLADNRSFKNRDYEDTENSPAQTKKRTRHRSSKGG >EOY25724 pep chromosome:Theobroma_cacao_20110822:6:1447839:1455277:1 gene:TCM_027104 transcript:EOY25724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein isoform 3 MHGVTSVEVLGIGIGWLTLKLLANLPIITPIILDSYCISYQYTDEEVERCYEEFYEDVHTEFLKFGEIVNFKVCKNGAFHLRGNVYVHYKMLESAVLAYHSINGRYFAGKQVKCEFVNLTRWKVAICGEFMKSRLKTCSHGTACNFIHCFRNPGGDYEWADWDKPPPRYWVKKMGALFGYSDEAGFEKQIEQEHSGQSRNRSRVIKSDADRHRSRRSKSREMNRLIGGADRSPCIEDDVEESSHSQRGKNNDRKQTKGLDGRSYRESKSLKWDQNREKNHDTSSDGGYSDSKRGKKIDRKRAKTLDGRSDRQRSLTWDQNSEEIHDTSSDGGYSDSKRGKKNDRKQAKTLDGRSDRQRGLKWDENSEKIHTTSSDGGYSDSKRGKENDRKQAKVLDGGRSDRQRSLTWDQNSEEIHDTSSYGGYSDSKRGKKNDRKQAKTLDGRSDRQRSLKCDENSEKIHDTSSDGSYSDSKRGKKNDRKRAKTLDGRSGRQRSLKWDENSEQIHYTSSDGGYSHSKRGKKNERKKAKLLDGRSDRHRSLKWDQNRERTLDTSSDEGYSERDIDAARDADEVTHHCHAKEHSKHQSESLEYLADNRSFKNRDYEDTENSPAQTKKRTRHRSSKGG >EOY25726 pep chromosome:Theobroma_cacao_20110822:6:1447720:1455277:1 gene:TCM_027104 transcript:EOY25726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein isoform 3 MGEAETALKEEEGGGERENHQMEKSRKEKRKQMKKMKRKQVRKEAAEKEREAEEARLNDPEEQMRIQREEEEERKRREIALKEFEERERVWIEAMEMKRKAQEEEEKEEEEKRKDLKEDANGEQEEMSDDWEYIEGSPQIIWEGNEITVRKKQVRVPKKDANQKSKEEDADRPTSNPLPPQSEAFADYLNASSAQQVLESVAKEVPNFGTEQDKAHCPFHLKTGACRFGQRCSRVHFYPDKSCTLLMRNMYNGPGLAWEQDEGLEYTDEEVERCYEEFYEDVHTEFLKFGEIVNFKVCKNGAFHLRGNVYVHYKMLESAVLAYHSINGRYFAGKQVKCEFVNLTRWKVAICGEFMKSRLKTCSHGTACNFIHCFRNPGGDYEWADWDKPPPRYWVKKMGALFGYSDEAGFEKQIEQEHSGQSRNRSRVIKSDADRHRSRRSKSREMNRLIGGADRSPCIEDDVEESSHSQRGKNNDRKQTKGLDGRSYRESKSLKWDQNREKNHDTSSDGGYSDSKRGKKIDRKRAKTLDGRSDRQRSLTWDQNSEEIHDTSSDGGYSDSKRGKKNDRKQAKTLDGRSDRQRGLKWDENSEKIHTTSSDGGYSDSKRGKENDRKQAKVLDGGRSDRQRSLTWDQNSEEIHDTSSYGGYSDSKRGKKNDRKQAKTLDGRSDRQRSLKCDENSEKIHDTSSDGSYSDSKRGKKNDRKRAKTLDGRSGRQRSLKWDENSEQIHYTSSDGGYSHSKRGKKNERKKAKLLDGRSDRHRSLKWDQNRERTLDTSSDEGYSERDIDAARDADEVTHHCHAKEHSKHQSESLEYLADNRSFKNRDYEDTENSPAQTKKRTRHRSSKGG >EOY27725 pep chromosome:Theobroma_cacao_20110822:6:22960009:22962286:1 gene:TCM_029501 transcript:EOY27725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 710, subfamily A, polypeptide 1 MTSFLSFLSSLTPYLVTFFVLVLFLEQISYLRKKRNAPGPNIVLPFLGNAISLIRKPTRFWELQAELAASVGFSVNYVVGRFIVFIRSTELSHLIFANVRPDAFLLVGHPFGKKLFGEHNLIYMFGQDHKDLRRQIAPNFTPRALSTYTTLQQIIILKHLKLWERLSSESPVEPISLRLLAREMNLETSQTVFVGRYLSRESREKFRDDYNLFNTGLMKLPFDLPGFAFRNARLAVERLVKTLTDCAAQSKERMLQGDEPSCLIDYWMQETVREITESKAAPPRSADEEIGSYLFDFLFAAQDASTSSLLWAVTLLDSHPDVLRKVREEVSRIWSPESDVLISADQVREMKYTQAVAREVVRYRAPATLVPHIAMEDFPLTGSYTIPKGTIVFPSVFESSFQGFTEADRFEPERFSEERQEDVIFKRNYLAFGAGPHQCVGQRYALNHLVLFIAMFATLLDFKRHRTDGCDEIMYCPTICPKDGCKVFLSRRCARYPNLSIN >EOY27297 pep chromosome:Theobroma_cacao_20110822:6:21096502:21101946:-1 gene:TCM_029172 transcript:EOY27297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit exo70 family protein D2 MEPPESSNKDSHGYELAEKIILRWDSTASEEARERMIFETDRQEADLYLQAVDEIQRSLSNASISSSSNNAADDQSKVNTTIQIAMARLEDEFRNILITHTSALETDSLFDPMSSSISTPSLHELEEDDSLSSHGNSSNNLLQFQHCESSDSSSYRFTSSIREVDLMPAEAISDLQAIAMRMISSGYLRECIQVYGSVRKTAVDSSFRKLGIEKLSIGDIQRLEWEALESKIRRWIRAAKDCVRILFASEKKLCEQIFECVGTDIDDACFMETVKGPAIQLFNFAEAISISRRSPEKLFKILDLHDALMDLLPDIEAVFNSKSSDSIRVQAAEILSRLAEAARGILSEFENAVLREPSRVPVPGGTIHPLTRYVMNYISLISDYKQTLIELIMSKPSTGSRYSGDPSTPDMEFAELEGKTPLALHLIWIIVILQFNLDGKSKHYRDASLAHLFIMNNVHYIVQKVKGSPELREMIGDDYLRKLTGKFRQAATSYQRATWVRVLYCLRDEGLHVSGGFSSGVSKSALRERFKTFNVMFEEVHRTQATWLIPDAQLREELRISISEKLIPAYRSFLGRFRSHIESGKHPENYIKYSVEDLETAVLDFFEGNPVSQHLRRRSQ >EOY26009 pep chromosome:Theobroma_cacao_20110822:6:3598714:3605074:1 gene:TCM_027399 transcript:EOY26009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF298) [Source:Projected from Arabidopsis thaliana (AT1G15860) TAIR;Acc:AT1G15860] MMRRSASKKTGQPNSTNPITYSPSDLFRTASSKASSKEMERIDNLFYAYANRSSGMIDPEGIETLCSDMEVDHTDVRILMLAWKMRAEKQGYFILEEWRRGLKALRADTVSKLRKALPELEKEIRRPSNFVDFYSYSFRYCLTEEKQKSIDIESICQLLDLVLGSQFRAQVDYFIDYLKIQSDYKVINMDQWMGFFRFCNEISFPDLNNYNPDLAWPLVLDNFVEWMQAKQS >EOY26008 pep chromosome:Theobroma_cacao_20110822:6:3598710:3606220:1 gene:TCM_027399 transcript:EOY26008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF298) [Source:Projected from Arabidopsis thaliana (AT1G15860) TAIR;Acc:AT1G15860] MMRRSASKKTGQPNSTNPITYSPSDLFRTASSKASSKEMERIDNLFYAYANRSSGMIDPEGIETLCSDMEVDHTDVRILMLAWKMRAEKQGYFILEEWRRGLKALRADTVSKLRKALPELEKEIRRPSNFVDFYSYSFRYCLTEEKQKSIDIESICQLLDLVLGSQFRAQVDYFIDYLKIQSDYKVINMDQWMGFFRFCNEISFPDLNNYNPDLAWPLVLDNFVEWMQAKQS >EOY28723 pep chromosome:Theobroma_cacao_20110822:6:26358164:26362999:1 gene:TCM_030242 transcript:EOY28723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase 1, putative MAIKCAPNWVCSQMPHSHLGTADLGSTRGRIVLEVRPPKWKCCALGSSAPRATSAIEGKPYGTEVETFQTGKTDQVQDTESKVFHEDLSLLPKPLSATGLSTYDDDDGSNMRVAYKGMPGAYGEAAALKAYPNCETVPCEKYEKAFKAVELWLVEKAVLPIENSIGGSIHRNYDLLLRHRLHIVREVQLAVNHCLLALPGVRMEEMDRVLGHPEAFAQCEMTLSNMGFVRVNSDDCASAAQMLEQIAVLTGARNVGAIASARAAEIYGLHILARKVQDEEHNITRYLVLAREPIIPKTDKPYKTSIVFSLEEGPGMLFKALAVFTLRGINLSKMESRPLKMHPLRVDDSDKGTAKCFDYLFYIDFEASMAEQCAQNALANLEVSPLKLLNYVFNLNMQHFSASLVPIRWIQLSRWILLQRSPCWSVTPSDVDGSTHMTCTYESSLDSFEDGEILGMERFLEYVEGLLISSKASSA >EOY28255 pep chromosome:Theobroma_cacao_20110822:6:24754739:24756292:1 gene:TCM_029880 transcript:EOY28255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lsd one like 2 MANREEGEQQQQQQVEEEEEGPPPGWQSIPPPPQPPPSSEMAQMVCGSCRQLLSYPRGARQAKCSCCETVNFVLEAHQVGLVKCGSCAVLLMYPFGASSVRCSSCQFVTEIGAHNRRPPWSVQQGQPTPPNAVH >EOY27264 pep chromosome:Theobroma_cacao_20110822:6:20906158:20909578:1 gene:TCM_029144 transcript:EOY27264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MAFKRCLSWKNILPSCIKSNNPSSGPKVHASKHSPSQRLSLSDISHPGSPLSLSDLSNSLISLHIFTLKELELITHKFSKSNYLGEGGFGPVYKGFIDDNLRPGLKAQSVAVKVLDLDGSQGHREWLAEVIFLGQLKHPHLVNLIGYCYEQEHRLLVYEYMARGSLEDQLFKRYGPPLPWLTRLKIAVGTAKGLAFLHEEEKPVIYRDFKTSNILLDLAYNAKVSDFGLATDGPEGEATHVTTCVMGTEGYAAPEYITTGHLTTMSDVFSFGVVLLELLTGRRSVDKARPGREKNLVEWARPLLKDPYKLDGIMDTRLEGQYSTEGARKAAALAYQCLSNHPKSRPTMSNVVKALEPLLDLTDIPIGPFVYIVPTQGKNDEPIVQKIEGREEKQCDDEIKIEKNGGQRGEKKEKSRLPRQKGRGRRRRVKPSRSRAVYSDTYLYRTLGTSLYCPKH >EOY26586 pep chromosome:Theobroma_cacao_20110822:6:14640462:14643490:1 gene:TCM_028426 transcript:EOY26586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A protein 9 MSGKGAKGLITAGKSTKDKDKDKKKPVSRSSRAGLQFPVGRVHRLLKTRVNANGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >EOY26825 pep chromosome:Theobroma_cacao_20110822:6:18063953:18071940:1 gene:TCM_028775 transcript:EOY26825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-fatty-acid-CoA ligase-like protein isoform 3 MRGSIISSFKALNYNSLILLPRPCLFNRHRFLFNNNNYYYYLYPFVRPPFASASFSSSSHCSGSESGSFMEVVKAACRRGSSAADRIAIRADQNSYTYTQLVSSAFNISRLLSSSNNDGVSSGHGNLGGARIGIVAKPSAEFVAGMLGTWFSGGVAVPLALSYPEAELLHVMNDSDISFVLSTEEYRETMQSVAARSAAQFSLIPPVPSISSSPNFTDQIQIGEIEAEGSLIGENPALVVYTSGTTGKPKGVVHTHKSITAQVQMLTEAWEYTSSDQFLHCLPLHHVHGLFNALLAPLYAGSTVEFMPKFSVRGIWQRWRESYTINGSKADDSITVFTGVPTMYTRLIQGYHAMDPEQQAVSASAARQLRLMMCGSSALPQPVMQEWETITGHLLLERYGMTEFVMAISNPLRGARKAGTVGKPFPGVQVRIAEDKSGGDMMGVGELCVKSPSLFKEYWKLPQVTKESFTDDGFFKTGDAGRVDEDGYYIILGRTSADIMKVGGYKLSALEIESVLLEHPDIAECCVLGLPDKDYGEAVSAIVVLESERKGKQEDSNSALSLEELCTWAKDKLAPYKLPTRLMLWDSLPRNAMGKVNKKELKRQLGGEK >EOY26824 pep chromosome:Theobroma_cacao_20110822:6:18061482:18072005:1 gene:TCM_028775 transcript:EOY26824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-fatty-acid-CoA ligase-like protein isoform 3 MRGSIISSFKALNYNSLILLPRPCLFNRHRFLFNNNNYYYYLYPFVRPPFASASFSSSSHCSGSESGSFMEVVKAACRRGSSAADRIAIRADQNSYTYTQLVSSAFNISRLLSSSNVKTNDGVSSGHGNLGGARIGIVAKPSAEFVAGMLGTWFSGGVAVPLALSYPEAELLHVMNDSDISFVLSTEEYRETMQSVAARSAAQFSLIPPVPSISSSPNFTDQIQIGEIEAEGSLIGENPALVVYTSGTTGKPKGVVHTHKSITAQVQMLTEAWEYTSSDQFLHCLPLHHVHGLFNALLAPLYAGSTVEFMPKFSVRGIWQRWRESYTINGSKADDSITVFTGVPTMYTRLIQGYHAMDPEQQAVSASAARQLRLMMCGSSALPQPVMQEWETITGHLLLERYGMTEFVMAISNPLRGARKAGTVGKPFPGVQVRIAEDKSGGDMMGVGELCVKSPSLFKEYWKLPQVTKESFTDDGFFKTGDAGRVDEDGYYIILGRTSADIMKVGGYKLSALEIESVLLEHPDIAECCVLGLPDKDYGEAVSAIVVLESERKGKQEDSNSALSLEELCTWAKDKLAPYKLPTRLMLWDSLPRNAMGKVNKKELKRQLGGEK >EOY26823 pep chromosome:Theobroma_cacao_20110822:6:18062643:18071899:1 gene:TCM_028775 transcript:EOY26823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-fatty-acid-CoA ligase-like protein isoform 3 MRGSIISSFKALNYNSLILLPRPCLFNRHRFLFNNNNYYYYLYPFVRPPFASASFSSSSHCSGSESGSFMEVVKAACRRGSSAADRIAIRADQNSYTYTQLVSSAFNISRLLSSSNNDGVSSGHGNLGGARIGIVAKPSAEFVAGMLGTWFSGGVAVPLALSYPEAELLHVMNDSDISFVLSTEEYRETMQSVAARSAAQFSLIPPVPSISSSPNFTDQIQIGEIEAEGSLIGENPALVVYTSGTTGKPKGVVHTHKSITAQVQMLTEAWEYTSSSTVEFMPKFSVRGIWQRWRESYTINGSKADDSITVFTGVPTMYTRLIQGYHAMDPEQQAVSASAARQLRLMMCGSSALPQPVMQEWETITGHLLLERYGMTEFVMAISNPLRGARKAGTVGKPFPGVQVRIAEDKSGGDMMGVGELCVKSPSLFKEYWKLPQVTKESFTDDGFFKTGDAGRVDEDGYYIILGRTSADIMKVGGYKLSALEIESVLLEHPDIAECCVLGLPDKDYGEAVSAIVVLESERKGKQEDSNSALSLEELCTWAKDKLAPYKLPTRLMLWDSLPRNAMGKVNKKELKRQLGGEK >EOY26309 pep chromosome:Theobroma_cacao_20110822:6:7311134:7311992:1 gene:TCM_027789 transcript:EOY26309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPELKYKFQMSCGAMWRVKSQRTPKKWEDVDFILVPCNVGWHWVVAKIDLVRWTIKVADSARTLDAKANGVRAGQMTPLTTMMPFICHQAGYFNNIRRKRRDLTPMPLDIHLPKAKVHRQNDSVSCGMFMIGYIEHILQSEKIEIKQNMIAKMRRQYPLKIFSNSCESEP >EOY26497 pep chromosome:Theobroma_cacao_20110822:6:13221700:13224879:1 gene:TCM_028278 transcript:EOY26497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase 1A MTSTATMEVLHRFSFRLLPVTLSLNTARLAPLYLSTQIPFNGPAFPNPTAHFSSWRPFSSSAVAKAGWFLGLGEKKKTSLPEIVKAGDPVLHEPAREIDPDEIGSELIQKIIDDMVRVMRMAPGVGLAAPQIGVPLKIIVLEDTTEYISYAPKEETKAQDRHPFDLLVIVNPKLKKKSNRTALFFEGCLSVEGFRAVVERHLDVEVTGLGRDGQPIKVDASGWQARILQHECDHLDGTLYVDKMVPRTFRAVQNLDLPLAEGCPKLGAR >EOY29056 pep chromosome:Theobroma_cacao_20110822:6:27213445:27217412:1 gene:TCM_030478 transcript:EOY29056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein isoform 1 MGLLSTCSAGEGRGGEGREKNRNTKCQIKKRKYKSGGSTTTAAAKGLGVVDIFADLPDLSLLFPHLSHFFHYPTAMGVGSGTSSKLKNAARKMMVAACGSFSRNSPPRMSVSPTKPKRKSEAEAGIEAESFTNHNDLTSKQNLCAICLEVLSYSSGSSPGQAIFTAQCSHAFHFSCISSNVRHGSITCPICRAHWTQLPRNLNPPACSLSCNQSDPVFRILDDSIATFRVHRRSFLRSARYDDDDPIEPDHTQNHPRLDLALIPLQPAVLTHPCCFRRQSCSHSSSLQMPGIGHNSNHHHHHHHFSSSSSSSLLLQPRQTPSYLCSSSNRRPAYLCIKLTHPRATDMVLVASPNGPHLRLLKQSMALVVFSLRPIDRLAIVTYSSAAARVFPLRRMTSYGKRSALQVIDRLFYMGQADPIEGLKKGIKILEDRAHKNPQSCILHLSDSPTRSYHAMNLQLPIPIHRFHVGFGFGTSNGFVMHEFEEFLRQLLGGVIRDIQLRIGEEAKIIRLGDLRGGEERRVLLDLGECVHVSVGYSYVEGGNDECIKTGETMVSIEDKRETDDGDRDTAISIVGGRTSSVEGWDYHDPYMARRWAKHLHGYRF >EOY29057 pep chromosome:Theobroma_cacao_20110822:6:27213445:27217412:1 gene:TCM_030478 transcript:EOY29057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein isoform 1 MGLLSTCSAGEGRGGEGREKNRNTKCQIKKRKYKSGGSTTTAAAKGLGVVDIFADLPDLSLLFPHLSHFFHYPTAMGVGSGTSSKLKNAARKMMVAACGSFSRNSPPRMSVSPTKPKRKSEAEAGIEAESFTNHNDLTSKNLCAICLEVLSYSSGSSPGQAIFTAQCSHAFHFSCISSNVRHGSITCPICRAHWTQLPRNLNPPACSLSCNQSDPVFRILDDSIATFRVHRRSFLRSARYDDDDPIEPDHTQNHPRLDLALIPLQPAVLTHPCCFRRQSCSHSSSLQMPGIGHNSNHHHHHHHFSSSSSSSLLLQPRQTPSYLCSSSNRRPAYLCIKLTHPRATDMVLVASPNGPHLRLLKQSMALVVFSLRPIDRLAIVTYSSAAARVFPLRRMTSYGKRSALQVIDRLFYMGQADPIEGLKKGIKILEDRAHKNPQSCILHLSDSPTRSYHAMNLQLPIPIHRFHVGFGFGTSNGFVMHEFEEFLRQLLGGVIRDIQLRIGEEAKIIRLGDLRGGEERRVLLDLGECVHVSVGYSYVEGGNDECIKTGETMVSIEDKRETDDGDRDTAISIVGGRTSSVEGWDYHDPYMARRWAKHLHGYRF >EOY28108 pep chromosome:Theobroma_cacao_20110822:6:24244458:24247339:-1 gene:TCM_029769 transcript:EOY28108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Negative regulator of systemic acquired resistance, putative isoform 3 MVKRKSSNNCNRRIRINRGGMEANTLAILDSNESHKDSQDARDDSVDFLEAVRTASLLPENGTPPTEKMVEAVFQILRAGKSLELIMSSYELLNEIEKRFPRVYMSESSGNDSRELVVINEAWLPFVVFSDVMSSEREATPKNSDGLFDVNGFHELIQGLAELANKTISQRVDTESLGNMLLFQYLVNVLEEDFVPRINMYKETMNWNLLRECLLNMLLGSRRVNYKVLMKDCLSTICGLCQDHAGIVDESEHSETSMGKSYENHNTDVAIALLEVQKTTCLAMQKLLTMIMELDMSKKQAHMEGQTTRADGVRTPLAEIILDELTYDRDILSPFLQVNWLRHHIRSIGLGITWSFDHALC >EOY28109 pep chromosome:Theobroma_cacao_20110822:6:24244458:24247394:-1 gene:TCM_029769 transcript:EOY28109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Negative regulator of systemic acquired resistance, putative isoform 3 MVKRKSSNNCNRRIRINRGGMEANTLAILDSNESHKDSQDARDDSVDFLEAVRTASLLPENGTPPTEKMVEAVFQILRAGKSLELIMSSYELLNEIEKRFPRVYMSESSGNDSRELVVINEAWLPFVVFSDVMSSEREATPKNSDGLFDVNGFHELIQGLAELANKTISQRVDTESLGNMLLFQYLVNVLEEDFVPRINMYKETMNWNLLRECLLNMLLGSRRVNYKVLMKDCLSTICGLCQDHAGIVDESEHSETSMGKSYENHNTDVAIALLEVQKTTCLAMQKLLTMIMELDMSKKQAHMEGQTTRADGVRTPLAEIILDELTYDRDILSPFLQVNWLRHHIRSIGLGITWSFDHALC >EOY28106 pep chromosome:Theobroma_cacao_20110822:6:24242419:24247339:-1 gene:TCM_029769 transcript:EOY28106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Negative regulator of systemic acquired resistance, putative isoform 3 MVKRKSSNNCNRRIRINRGGMEANTLAILDSNESHKDSQDARDDSVDFLEAVRTASLLPENGTPPTEKMVEAVFQILRAGKSLELIMSSYELLNEIEKRFPRVYMSESSGNDSRELVVINEAWLPFVVFSDVMSSEREATPKNSDGLFDVNGFHELIQGLAELANKTISQRVDTESLGNMLLFQYLVNVLEEDFVPRINMYKETMNWNLLRECLLNMLLGSRRVNYKVLMKDCLSTICGLCQDHAGIVDESEHSETSMGKSYENHNTDVAIALLEVQKTTCLAMQKLLTMIMELDMSKKQAHMEGQTTRADGVRTPLAEIILDELTYDRDILSPFLQVFNDPKWKLEIIVQYFLKYTAKPSVRTRRSDVTSEDSTLNRVLKSFSNGTYTRNIIKKIDVEAVQLLLAHAFLAYLSISSQQRLPGMSDCKEDENSSLMEISKDVIAAFNSLRGADENIEISSLGKEALFTAAMIISTTS >EOY28107 pep chromosome:Theobroma_cacao_20110822:6:24242882:24250248:-1 gene:TCM_029769 transcript:EOY28107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Negative regulator of systemic acquired resistance, putative isoform 3 MVKRKSSNNCNRRIRINRGGMEANTLAILDSNESHKDSQDARDDSVDFLEAVRTASLLPENGTPPTEKMVEAVFQILRAGKSLELIMSSYELLNEIEKRFPRVYMSESSGNDSRELVVINEAWLPFVVFSDVMSSEREATPKNSDGLFDVNGFHELIQGLAELANKTISQRVDTESLGNMLLFQYLVNVLEEDFVPRINMYKETMNWNLLRECLLNMLLGSRRVNYKVLMKDCLSTICGLCQDHAGIVDESEHSETSMGKSYENHNTDVAIALLEVQKTTCLAMQKLLTMIMELDMSKKQAHMEGQTTRADGVRTPLAEIILDELTYDRDILSPFLQVFNDPKWKLEIIVQYFLKYTAKPSVRTRRSDVTSEDSTLNRVLKSFSNGTYTRNIIKKIDVEAVQLLLAHAFLAYLSISSQQRLPGMSDCKEDENSSLMEISKDVIAAFNSLRGADENIEISSLGKEALFTAAMIISTTS >EOY28791 pep chromosome:Theobroma_cacao_20110822:6:26541936:26546733:-1 gene:TCM_030293 transcript:EOY28791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malonyl-CoA decarboxylase family protein isoform 1 MNKKALAILMRARMRPNDPSKLALSPLSNEVNQMLSNSQGNQAPHATEDGNEKLNKQRSFEVVRDSMHSAISMNKTEVLDAVLNDFSEGYFSLSFENRRKLLLTLAKEYDLNRTQVRELIKQYLGLQLPGVSGNEAQSGGVEDEGFLSTFYRIERNLRHSLKPVYEVLFERLNTHPGGLKVLTILRADILSILAEENISSLRALDSYLKEKLSTWLSPAALELHQITWDDPASLLEKIVAYEAVHPISNLIDLKRRLGVGRRCFGYFHSAIPGEPLIFIEVALLKAVAQTIQEVLWDHPPILESEATCALFYSISSTQPGLAGINLGKFLIKRVITLVKRDMPHISVFATLSPIPGFMQWLLSKLASQSKLGEAEDISCSSADRSGLTFYENLLEPEEERGLIESSGDFAAGKNGMEIMLNLLTPTSHDWTNSSKLLSAFKPALMRLCARYISPIKLLSIILTK >EOY28789 pep chromosome:Theobroma_cacao_20110822:6:26541070:26546788:-1 gene:TCM_030293 transcript:EOY28789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malonyl-CoA decarboxylase family protein isoform 1 MNKKALAILMRARMRPNDPSKLALSPLSNEVNQMLSNSQGNQAPHATEDGNEKLNKQRSFEVVRDSMHSAISMNKTEVLDAVLNDFSEGYFSLSFENRRKLLLTLAKEYDLNRTQVRELIKQYLGLQLPGVSGNEAQSGGVEDEGFLSTFYRIERNLRHSLKPVYEVLFERLNTHPGGLKVLTILRADILSILAEENISSLRALDSYLKEKLSTWLSPAALELHQITWDDPASLLEKIVAYEAVHPISNLIDLKRRLGVGRRCFGYFHSAIPGEPLIFIEVALLKAVAQTIQEVLWDHPPILESEATCALFYSISSTQPGLAGINLGKFLIKRVITLVKRDMPHISVFATLSPIPGFMQWLLSKLASQSKLGEAEDISCSSADRSGLTFYENLLEPEEERGLIESSGDFAAGKNGMEIMLNLLTPTSHDWTNSSKLLSAFKPALMRLCARYLLQEKKRGKALDSVANFHLQNGAMVQRINWMADRSEKGLRQSAGIMVNYVYRPETIEEYAHSYFSSGHIHCSSDVRRYVQPFTENESSTD >EOY28792 pep chromosome:Theobroma_cacao_20110822:6:26541085:26546733:-1 gene:TCM_030293 transcript:EOY28792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malonyl-CoA decarboxylase family protein isoform 1 MNKKALAILMRARMRPNDPSKLALSPLSNEVNQMLSNSQGNQAPHATEDGNEKLNKQRSFEVVRDSMHSAISMNKTEVLDAVLNDFSEGYFSLSFENRRKLLLTLAKEYDLNRTQVRELIKQYLGLQLPGVSGNEAQSGGVEDEGFLSTFYRIERNLRHSLKPVYEVLFERLNTHPGGLKVLTILRADILSILAEENISSLRALDSYLKEKLSTWLSPAALELHQITWDDPASLLEKIVAYEAVHPISNLIDLKRRLGVGRRCFGYFHSAIPGEPLIFIEVALLKAVAQTIQEVLWDHPPILESEATCALFYSISSTQPGLAGINLGKFLIKRVITLVKRDMPHISVFATLSPIPGFMQWLLSKLASQSKLGEAEDISCSSADRSGLTFYENLLEPEEERGLIESSGDFAAGKNGMEIMLNLLTPTSHDWTNSSKLLSAFKPALMRLCARPFTENESSTD >EOY28790 pep chromosome:Theobroma_cacao_20110822:6:26541937:26546733:-1 gene:TCM_030293 transcript:EOY28790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malonyl-CoA decarboxylase family protein isoform 1 MNKKALAILMRARMRPNDPSKLALSPLSNEVNQMLSNSQGNQAPHATEDGNEKLNKQRSFEVVRDSMHSAISMNKTEVLDAVLNDFSEGYFSLSFENRRKLLLTLAKEYDLNRTQVRELIKQYLGLQLPGVSGNEAQSGGVEDEGFLSTFYRIERNLRHSLKPVYEVLFERLNTHPGGLKVLTILRADILSILAEENISSLRALDSYLKEKLSTWLSPAALELHQITWDDPASLLEKIVAYEAVHPISNLIDLKRRLGVGRRCFGYFHSAIPGEPLIFIEVALLKAVAQTIQEVLWDHPPILESEATCALFYSISSTQPGLAGINLGKFLIKRVITLVKRDMPHISVFATLSPIPGFMQWLLSKLASQSKLGEAEDISCSSADRSGLTFYENLLEPEEERGLIESSGDFAAGKNGMEIMLNLLTPTSHDWTNSSKLLSAFKPALMRLCARYLLQEKKRGKALDSVANFHLQNGAVCNAVSIIDQQSPFGGRINSF >EOY27971 pep chromosome:Theobroma_cacao_20110822:6:23795695:23798417:-1 gene:TCM_029674 transcript:EOY27971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional regulator family protein, putative isoform 2 MASRKKESEGIALLSMYNDEDDEEMEDAEEDDYQQHQQGIEEEQQPEQENEYRGSNLEEDARTNDDTPPFPYQTSTPSQQPPQPSVSSPQQQLRVVSSKRSGRGRLTIVDYGHDEAAMSPEPEEGELGSSTEHQITNGDFQGKTPPAAVQVTPQLSNVEPSQPETMNNAVTESEGVEVEEPVAVENVDPLDKFLPPPPKAKCSEELQRKIDKFLLLKRAGKSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDYYDEIEADMKRERERKEQESKKNQKVEFVSGSSQPGAVLTAPKVGLPIAGGFFFFGGKYLLMSVFLVQDLVMVFLY >EOY27970 pep chromosome:Theobroma_cacao_20110822:6:23794632:23798074:-1 gene:TCM_029674 transcript:EOY27970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional regulator family protein, putative isoform 2 MASRKKESEGIALLSMYNDEDDEEMEDAEEDDYQQHQQGIEEEQQPEQENEYRGSNLEEDARTNDDTPPFPYQTSTPSQQPPQPSVSSPQQQLRVVSSKRSGRGRLTIVDYGHDEAAMSPEPEEGELGSSTEHQITNGDFQGKTPPAAVQVTPQLSNVEPSQPETMNNAVTESEGVEVEEPVAVENVDPLDKFLPPPPKAKCSEELQRKIDKFLLLKRAGKSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDYYDEIEADMKRERERKEQESKKNQKVEFVSGSSQPGAVLTAPKVGLPIAAVAAGGLPSAPTAVDNIVREGRQNKKSKWDKVDSDRRNPLPVGAQDSLSTVGAHTVLLSAANAGTGYTAFAQQKRRETEEKRSSERRLDRRS >EOY28174 pep chromosome:Theobroma_cacao_20110822:6:24450712:24457516:-1 gene:TCM_029814 transcript:EOY28174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding MATTGQQQGQEQEQQQQQGQEQTKTTEKRKPVFVKVDQLKPGTNGHTLIAKVLSSNMVLQKGRAASQHLRQTRIAECLVGDETGTILFTARNDQVDLMKPVATVILRNAKIDMFKGSMRLAVDKWGRIEVTDPANFVVKEDNNLSLVEYELVNVVEE >EOY27684 pep chromosome:Theobroma_cacao_20110822:6:22790642:22792249:1 gene:TCM_029472 transcript:EOY27684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein MQRVRLSSQQALVHKLGDSQMTLSPKFRLAVIQSSLLNPSSEFELSLQGEPLIPGLPDDVALNCLLRLPVESHAACRAVSKRWHLLLGNKERFFTRRKELGFKDPWLFVFAFHKGTGKIQWQVLDLTHFSWHTIPAMPCKDKVCPHGFRCVSIPCKAALFVCGGMVSDVDCPLDLVLKYEIQKNRWTVMNKMITARSFFASGMINGLIYVAGGNSADLFELDAAEVLDPTKGSWHPIASMGTNMASYDSAVLNGKLLVTEGWLWPFFVSPRGQVYDPRTNNWESMAVGLREGWTGSSVVVYGHLFVVSEIERMKLKVYDPDSDSWETIEGPPLPEQICKPFAVNACDNRIYVVGRNLHVAVGYISSLNRTSSSEKKQSFSVRWHVVDAPERLSDLTPSSSQVLFA >EOY28053 pep chromosome:Theobroma_cacao_20110822:6:24087986:24089666:1 gene:TCM_029734 transcript:EOY28053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MDNSIATRWRDLSGEKNWKDLLHPVDPDLRRYVIHYGERAGAAGDLFNDTMASRGFGYCLYPPDEFFSRAGLENGNPFMYEVTNFFYGAADSNDSNWFGYVAVATDEGKTALGRRDILVSWRGTGTEPEWIDDARFFTTPAKELFGTDHAKVHSGFLAIYTGKVSNSPYNQTSARDQVLKAVRKLVDKYQNEDISITVVGHSLGAALATLNATDIAAKGYNKPTDNSNKTCMVTAFAYASPHVGNQEFKEVIDGLIELHILRITNSTDIIPKLPVLLGYTDVGENLAIDTTKSSYLRQGINAHNLEVHLHGVAGVQESGEFKLEVDRDIALINKKIDGLQNKYKIPPEWWNHEKFKNMVQMYTGRWQFVDCAYVPDPPNDYLQ >EOY28932 pep chromosome:Theobroma_cacao_20110822:6:26904196:26904878:-1 gene:TCM_030394 transcript:EOY28932 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase 21 kDa subunit MNTDITASAKPEYPVIDRNPPFTKVVGNFNTLDYLRFVTLTGVSVTVGYLSGIKPGLKGPSMVTGGLIGLMGGFMYAYQNSAGRLMGFFPNEGEVARYQKRGFKN >EOY26711 pep chromosome:Theobroma_cacao_20110822:6:17452475:17454683:1 gene:TCM_028680 transcript:EOY26711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin B2,3 MALQCDIKERMRGILIDWLIEVHYKFELMEETLYLTVNLIDRFLAIQQVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYSRKEVPDMVWLGEFKSKVKICCDSFKFYLDFKIGIPF >EOY25668 pep chromosome:Theobroma_cacao_20110822:6:1074932:1079603:-1 gene:TCM_027051 transcript:EOY25668 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein-like MDHEYLTDLQLFKPPTPIKVKILRIWKSTTPDNPKNLLSLDFLIADVKKNVMQAMIRGFDAPLFIPMLKEGAVYLIDKYRIMKSKHNFNVLPEDLMIVLSRMSEIKEIVEDNTQYPDYYFNFVHFKDLPDKIYKKEILTDVIGIVTTITLVTKVQLNNRDVLVKKRDIYIQNASYESLKVVLYGDIALSIQEQEILQRNTNVVLAFAGLMIKTYMRSLYASSCSATRIYIDPEISEVHELKQRYQKQPIQADLQLLEACTQFHSTQQSTVSDIHVADLLAIEPKKTLNTKFLLTANIIDVQAPNGWYYNACNQCAVGLRFCAGKFWCPIHDEKTPVLTMILELIVEDLTGKIQLLAFGQQAEKLISATIGELVAIKTINKMVLPLPVKTLINARKTFKVGLTGKAIEAGLTIFKIFDSTNPTDSSTATSKMYKGTTSVNEQPTCSQPSLLTIQDSQLEMPPSPTNVQVAKELFPEESLGKKMKIE >EOY28641 pep chromosome:Theobroma_cacao_20110822:6:26118753:26122975:1 gene:TCM_046852 transcript:EOY28641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 46 isoform 1 MDVSRMLCIGFFLLQMFLLPLSISSELLTLEQSLGNLSAFPPNFLFGTASSAYQYEGGFMSDGKGLNNWDVYSHKPGNMIADGSNGDIAVDHYHRYLEDIDLMHSLGVNSYRFSISWARILPKGRFGKINEAGINFYNKLIDALLLKGIKPLVTLTHVDFPQELEDRYGSWLSPEWQEDFAYFADICFKSFGDRVQYWVTFNEPDFQVKFAYRTGIFPPSRCSWPFGNCTYGDSEKEPFIAAHNIILAHIAAVHIYRTKYQETQGGSIGIVLHCFWFESISNSLADKLAAERAQSFTMKWFLDPIIFGRYPPEMQNILGSILPEFSTTEKEKLNKGLDFIGVNHYSSYYVKDCMFSVCEPGTGTSKTEGFWGQSSQKNGIPIGELTDLDWLNVYPQGMEKIVTYLKETYHNIPMIITENGYGEVSKANSTTEEFLHDVKRVEYLAGYLDQLSTAIRKGADVRGYFVWSLLDNFEWNFGYTVKPFSWHVYLFDFTPSDCKWLFQQKGMRNYAIGGPDYQSRKKTKLFCLLFLKIYINICNS >EOY28639 pep chromosome:Theobroma_cacao_20110822:6:26118848:26122523:1 gene:TCM_046852 transcript:EOY28639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 46 isoform 1 MDVSRMLCIGFFLLQMFLLPLSISSELLTLEQSLGNLSAFPPNFLFGTASSAYQYEGGFMSDGKGLNNWDVYSHKPGNMIADGSNGDIAVDHYHRYLEDIDLMHSLGVNSYRFSISWARILPKGRFGKINEAGINFYNKLIDALLLKGIKPLVTLTHVDFPQELEDRYGSWLSPEWQEDFAYFADICFKSFGDRVQYWVTFNEPDFQVKFAYRTGIFPPSRCSWPFGNCTYGDSEKEPFIAAHNIILAHIAAVHIYRTKYQETQGGSIGIVLHCFWFESISNSLADKLAAERAQSFTMKWFLDPIIFGRYPPEMQNILGSILPEFSTTEKEKLNKGLDFIGVNHYSSYYVKDCMFSVCEPGTGTSKTEGFWGQSSQKNGIPIGELTDLDWLNVYPQGMEKIVTYLKETYHNIPMIITENGYGEVSKANSTTEEFLHDVKRVEYLAGYLDQLSTAIRKGADVRGYFVWSLLDNFEWNFGYTVRFGLHHVDFKTLKRTPKSSATWYKNFISEHGQVKDQKPGHVQNHPYYY >EOY28640 pep chromosome:Theobroma_cacao_20110822:6:26118753:26122975:1 gene:TCM_046852 transcript:EOY28640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 46 isoform 1 MDVSRMLCIGFFLLQMFLLPLSISSELLTLEQSLGNLSAFPPNFLFGTASSAYQEDIDLMHSLGVNSYRFSISWARILPKGRFGKINEAGINFYNKLIDALLLKGIKPLVTLTHVDFPQELEDRYGSWLSPEWQEDFAYFADICFKSFGDRVQYWVTFNEPDFQVKFAYRTGIFPPSRCSWPFGNCTYGDSEKEPFIAAHNIILAHIAAVHIYRTKYQETQGGSIGIVLHCFWFESISNSLADKLAAERAQSFTMKWFLDPIIFGRYPPEMQNILGSILPEFSTTEKEKLNKGLDFIGVNHYSSYYVKDCMFSVCEPGTGTSKTEGFWGQSSQKNGIPIGELTDLDWLNVYPQGMEKIVTYLKETYHNIPMIITENGYGEVSKANSTTEEFLHDVKRVEYLAGYLDQLSTAIRKGADVRGYFVWSLLDNFEWNFGYTVKPFSWHVYLFDFTPSDCKWLFQQKGMRNYAIGGPDYQSRKKTKLFCLLFLKIYINICNS >EOY28505 pep chromosome:Theobroma_cacao_20110822:6:25554643:25557383:1 gene:TCM_030047 transcript:EOY28505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENMVWELCRSMRVKVIGERKASNRSEMSAEGSKACAFTFCIHILCFCCCIWRSNKQYFFSFFFLFLLLEGDVAWVNKHQIIQEREEQIRVKKKKKEKIPRSFQLDGRSIYSLLPPLEAAPEEQTRAQILLALSFSDPDKSKF >EOY27328 pep chromosome:Theobroma_cacao_20110822:6:21269948:21270990:-1 gene:TCM_029197 transcript:EOY27328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHLKNTLTNTRRDGRFVAKYLQIMKGIVDQLAFVGAAVEDDDLVLHILNGLALHSTYKDLAAATRAPETSIAFEELHNKLVEFEQQVRKEESSGDCFILPQQTSPRKTTTLITLSPFQIVADLFNIMVVIKIIMGTKNLVSSPQASILINRIFSTKSMRNIGTPLGSAIKAKNFPILIQRQMMHVLLIKSRHSPRLL >EOY27374 pep chromosome:Theobroma_cacao_20110822:6:21463602:21464392:1 gene:TCM_029234 transcript:EOY27374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mads box-like protein MENASNTTDATKTKKSRGRQRIEIKKLEDESKRQVTFSKRRKGLFNKATEVSTLCGAEVAILALSKSGRVYTTDGVDVVLDRYVADSSDSNPLPKQKEVEEDNAGFWWDQPIDNLTLGELVEYTMALNDLRETAAARLEELNIQNSTHLWPFVADEDVSEADFVHQFMVERNYY >EOY28238 pep chromosome:Theobroma_cacao_20110822:6:24685241:24688778:-1 gene:TCM_029864 transcript:EOY28238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAWSFGPWEATSKPECVYLGLTADVNAESPVKELVPMYVPRDEAYEELKKETIAAGKRKGQLNNLAPWRKDVSTDNAAIQTFSEI >EOY27216 pep chromosome:Theobroma_cacao_20110822:6:20740932:20744354:-1 gene:TCM_029112 transcript:EOY27216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase/cyanide hydratase and apolipoprotein N-acyltransferase family protein MEVPTISKFKVGLCQLTVSSDKNQNLIRAHNLIKVAAQQGARLVVLPEMWNCPYSADDFAKYAEDFENGDSSPSFSVLSEVASCYGITIVGGSIPEWCNGRLYNTCCVFGPDGKLKAKHRKIHLFDIDVPGDISFKESDSFAAGDEPTIVDTDIGRIGIGICHDMRFPELAMLYRAKGAHIICYPGAFNMSTGDLLWELVQRARAADNQLFVATCSPSRDSAGCYAIWGHSTLVSPFGEIIATSEHEETVVVAEIDYSEIQRTRESLPLEKQRRADIYRFIEMDH >EOY26403 pep chromosome:Theobroma_cacao_20110822:6:9586328:9592154:1 gene:TCM_027991 transcript:EOY26403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 2 MAKGDWNDLTCHVWEHIASKISNQTQVKQLHAQLIQNSLHHHYSWVALLINACMRLRAPLSYTRTILHYSTATPSPDIYAFISALEYYYTLPVCKEQEVASLCHQLLASTNKPAALYPILIKSSVKAGILFHSHLVKLGHHHDPHTRNALMDSYAKFGPIEAARKLFDEMPGRMAEDWNSMISGYWKWGKEAEACCLFNLMPENKRNVVTWTAMVTGSANMKDLITARRYFDRMPRRNVVSWNAMLSGYAKNGFAKEALHLFLHMIKAGDGIEPNQITWVAVISSCSSLADPCLADSVVKFLDKKKIQLNSYLKTALLDMHAKCGNLETAQKIFDEFGEHRSCTTWNAMISAYMRFGNLALARELFDKMPVRNVVSWNSMIAGFAQNGQPAMAIQLFKEMIATTNLKPDEVTMVSVISVCGQLGALEMGNWVVNFIVENQIKLSISGYNTLIFMYSKCGSMKDAERIFQEMKRRDTISYNALVSGFGAHGRGIEAVELMSRMRKEGIEPDHITYIGVLTACSHARLLKEGRRVFESIKFPAVDHYACMVDLLGRVGELDEAKRLIDHMPMEPHAGIYGSLLNASTIHKRVELGEFAANKLFELEPSNSGNYVLLSNIYASAARWGDVDWVREAMRKLGVKKTTGWSWVEHDGKVHKFIVGDRSHERSDDIYRLLEELCRKMGRLGYIANKSCVLRDVEDEEKEEMVGTHSEKLAVCFALLVSEVGAVVRVVKNLRVCQDCHTAMKMISMLEGREIIMRDNNRFHHFIDGQCSCRDYW >EOY26401 pep chromosome:Theobroma_cacao_20110822:6:9586328:9593496:1 gene:TCM_027991 transcript:EOY26401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 2 MAKGDWNDLTCHVWEHIASKISNQTQVKQLHAQLIQNSLHHHYSWVALLINACMRLRAPLSYTRTILHYSTATPSPDIYAFISALEYYYTLPVCKEQEVASLCHQLLASTNKPAALYPILIKSSVKAGILFHSHLVKLGHHHDPHTRNALMDSYAKFGPIEAARKLFDEMPGRMAEDWNSMISGYWKWGKEAEACCLFNLMPENKRNVVTWTAMVTGSANMKDLITARRYFDRMPRRNVVSWNAMLSGYAKNGFAKEALHLFLHMIKAGDGIEPNQITWVAVISSCSSLADPCLADSVVKFLDKKKIQLNSYLKTALLDMHAKCGNLETAQKIFDEFGEHRSCTTWNAMISAYMRFGNLALARELFDKMPVRNVVSWNSMIAGFAQNGQPAMAIQLFKEMIATTNLKPDEVTMVSVISVCGQLGALEMGNWVVNFIVENQIKLSISGYNTLIFMYSKCGSMKDAERIFQEMKRRDTISYNALVSGFGAHGRGIEAVELMSRMRKEGIEPDHITYIGVLTACSHARLLKEGRRVFESIKFPAVDHYACMVDLLGRVGELDEAKRLIDHMPMEPHAGIYGSLLNASTIHKRVELGEFAANKLFELEPSNSGNYVLLSNIYASAARWGDVDWVREAMRKLGVKKTTGWSWVEHDGKVHKFIVGDRSHERSDDIYRLLEELCRKMGRLGYIANKSCVLRDVEDEEKEEMVGTHSEKLAVCFALLVSEVGAVVRVVKNLRVCQDCHTAMKMISMLEGREIIMRDNNSKFYVIVLIDIKHRRNDFDMLLQPCLYLGEYMVKSGYSCVMDSLIIQGKGEASFGERIQFRRVYEEQVFPAE >EOY26402 pep chromosome:Theobroma_cacao_20110822:6:9589358:9593649:1 gene:TCM_027991 transcript:EOY26402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 2 MAKGDWNDLTCHVWEHIASKISNQTQVKQLHAQLIQNSLHHHYSWVALLINACMRLRAPLSYTRTILHYSTATPSPDIYAFISALEYYYTLPVCKEQEVASLCHQLLASTNKPAALYPILIKSSVKAGILFHSHLVKLGHHHDPHTRNALMDSYAKFGPIEAARKLFDEMPGRMAEDWNSMISGYWKWGKEAEACCLFNLMPENKRNVVTWTAMVTGSANMKDLITARRYFDRMPRRNVVSWNAMLSGYAKNGFAKEALHLFLHMIKAGDGIEPNQITWVAVISSCSSLADPCLADSVVKFLDKKKIQLNSYLKTALLDMHAKCGNLETAQKIFDEFGEHRSCTTWNAMISAYMRFGNLALARELFDKMPVRNVVSWNSMIAGFAQNGQPAMAIQLFKEMIATTNLKPDEVTMVSVISVCGQLGALEMGNWVVNFIVENQIKLSISGYNTLIFMYSKCGSMKDAERIFQEMKRRDTISYNALVSGFGAHGRGIEAVELMSRMRKEGIEPDHITYIGVLTACSHARLLKEGRRVFESIKFPAVDHYACMVDLLGRVGELDEAKRLIDHMPMEPHAGIYGSLLNASTIHKRVELGEFAANKLFELEPSNSGNYVLLSNIYASAARWGDVDWVREAMRKLGVKKTTGWSWVEHDGKVHKFIVGDRSHERSDDIYRLLEELCRKMGRLGYIANKSCVLRDVEDEEKEEMVGTHSEKLAVCFALLVSEVGAVVRVVKNLRVCQDCHTAMKMISMLEGREIIMRDNNRFHHFIDGQCSCRDYW >EOY27130 pep chromosome:Theobroma_cacao_20110822:6:20312866:20313980:-1 gene:TCM_029056 transcript:EOY27130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycoprotein family, putative MTDRVYPSSKPAANGGAAPATTANPSFPATKAQLYGASRPLYRPQANRHRYRRSCCCSCCLWTSVAILLLILLAAIAGAILYVLYRPHRPTFTVSSLKISTLNITSGSKLITNINLNVTAKNPNKKLVYTYDPITISLITNDDIDIGDGSFGSFVHGTKNTTLLKAAITSNQELDDTSAGKLKTALNSKNGLQLKIKLVTKVKAKMGALKTPKLGIRVICEGIKATAPKGKSATTASTSDAKCKVDLRIKIWKWTF >EOY25576 pep chromosome:Theobroma_cacao_20110822:6:511874:2454558:1 gene:TCM_026959 transcript:EOY25576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein family MDDVWERVDLIKVGIPVLNQDNVSKLIFTTRFLEVCGKMEAQEKIEVKCLRKDEAWELFEKKVGEETLDSHPDTRGLAQQVAAKCGGLPLALITIGRAMACKKMPQDWKYAIEVLQKFPHKLARMDQQVYSLLKFSYDSLPTDTMRSCLLYCSLYPEDYKIGVIWLIEYWFCEGFLDEFDNISRARMQGYSIINFLCNACLLERCEDAIFVKMHDVIRDMALWIARECEAPEKKFLVRERVKLNTTYDVENWKGVRMSLSYSEIEVLRGTPRCPNLQTLFLNENNLMMISDGLFQFMRNLRVLSLYANFSLCELPKGISNLVSLECLNLSHTGIMELPIELNRLSKLKVLNLHETLQLQKIPRQFICKFSALQVFGMSVLFDICEDLVDEDNVLNGDHEGLIEELKCLQHLNELNIEIRSVVALESLLSCHNLRRCTERLFLRDLSKRKVLDILSLTHMEHLESLIIRFCESMEEIVIRKIEKAPYFHTLKRVSLCSCNNLRDITWLILAPKLTHLYVVFCSRMEEIISDKVTDVVGIPNPSPFANLEELDLRDLPELKSIYRDALSFPCLRKIKVFNCSKLENLPLNLDSRNQISIEGYQEWWEKIQWKDEATRKCFLPSFKCAEWWKEVEFQSEYGRYLNCFFW >EOY25665 pep chromosome:Theobroma_cacao_20110822:6:1061855:1063688:1 gene:TCM_027048 transcript:EOY25665 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein, putative MHESHIQAVTVCAKKDNIQMKIRSGGHDYEGLSYVATVPFFVLDMFNLRSIDVDVASETAWLASVITSVEVAMKSMRQDIFWAIRGGGAASFGVVLGYKVKLVRVPEKVRVFRVEKTLGEDATDIVDLWQHVEDKLPEDLFVRLVLDVVNSSRNTGEKTLSSICPILDQHSHRDRNRNFVGSNFFIIGFPEEEIRLCEETNSTGWVAEIRSAATPFPHRAGNLWKIQYVTNWNEPGTEAADHYISLTRKLHGYMTRFASRNPREAFLNYRDIDLGVNHNRRQSYMEGRVYEINPLNTQ >EOY28969 pep chromosome:Theobroma_cacao_20110822:6:26979636:26982879:-1 gene:TCM_030422 transcript:EOY28969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide (PPR) repeat-containing protein MAYHHLCSSPSSVFHDRHTLSASPKPRPARSTAPSLRLVSCSFQSKSSIQISHVSLQDPITQTKNTPKHSNSQSPDGKTGSSSKSYVWVNPRSPRASRLRQLSYDSRYSSLVKVAETLDSCNPNEHDVLSVLSRLGNDVLEQDAVVVLNNMSNPHTALLALNHFQRILKKTSREVILYNVTMKVFRKSKDLDGAEKLFDEMLQKGVKPDNVTFSTLISCARVCALPDKAVEWFEKMPIYGCDPDDVTYSAMIDAYGRAGNVDMAFNLYDRARTEKWRIDPVTFSTLIKIYGISGNYDGCLNVYEEMKALGAKPNVVIYNTLLDAMGRAKRPWQAKTIYKEMTNNGFSPNWATYAALLRAYGRARYGEDALNIYKEMKDKGLELTVILYNTLLAMCADVGYADEAVEIFEDMKNSGTCKPDSWTYSSLITIYSCSGKVSEAEGIVDEMLEAGFEPNIFVLTSLIQCYGKAQHTDDVVRTFNRVLELGITPDDRFCGCLLNVMTQTPREELAKLTDCIKKANPKLGHVVKLLVEEQDGQGNFKNEASELFNCIGSDVKKAYCNCLIDLCVNLDLLERACELLELGLSLEIYADVQSRSPTQWSLNLKSLSLGAALTSLHVWINDLTKVLESGEELPPLLGINTGHGKHKYSDKGLATVFESHLKELDAPFHEAPDKVGWFLTTQVAAKSWLESRSSPDLVAA >EOY26263 pep chromosome:Theobroma_cacao_20110822:6:6783586:6784211:1 gene:TCM_027738 transcript:EOY26263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein-related, putative MEQPATPTVVPGKDKENNNKAKKKIPTPQELISHYQTQGLDSQQASIKVIEDLQNVVMRVVSSNSKSKKDNKFLIDTSRKIDSVNSRLAVVDMKLDSKPGYLETLAIGIASGAALNGIGSVLPHVFEGFAQIWSSVRTATKPSSP >EOY26560 pep chromosome:Theobroma_cacao_20110822:6:13931070:13934603:-1 gene:TCM_047059 transcript:EOY26560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin-like superfamily protein MWRRLVTSQLKTLAAAPCRSAPRTAPLPFKSHISPSPSAAFLTHHFSTESADTAVKKRVEDIMPIATGHEREELAVELEGKKILEDVNYPEGPFGTKEAPAVIKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCSQYFVLEVVGPGGPPDGHGDDDHHH >EOY25627 pep chromosome:Theobroma_cacao_20110822:6:696088:729223:1 gene:TCM_027000 transcript:EOY25627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferases isoform 1 MEAAGFDSDGREFKNAQEMWREQIGEADEGENHKKTQWYSEGVAYWEGVEASVDGVLGGFGQVNEADIARESLSQEYFVSSDAHKATNFYCVSLQEFTPDAGRYNVIWIQWCIGHLTDDDFVSFFKRAKVGLKPGGFFVLKENIARNGFVLDKEDRSITRSDLYFKDLFRRCGLHLYKIKDQKGLPEELFAVKMYALTTEGPNKVLKTRSKAQANRPGIIK >EOY25626 pep chromosome:Theobroma_cacao_20110822:6:696037:710288:1 gene:TCM_027000 transcript:EOY25626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferases isoform 1 MWKGPISKAVSPQTLQQCPVTLILQPPPNPKPPRKNYKTPKARRTVMEAAGFDSDGREFKNAQEMWREQIGEADEGENHKKTQWYSEGVAYWEGVEASVDGVLGGFGQVNEADIKGSEVFLNTLLHERFDGGGRNQHLVALDCGSGIGRITKNLLIRYFNEVDLLEPVSHFLDAARESLSQEYFVSSDAHKATNFYCVSLQEFTPDAGRYNVIWIQWCIGHLTDDDFVSFFKRAKVGLKPGGFFVLKENIARNGFVLDKEDRSITRSDLYFKDLFRRCGLHLYKIKDQKGLPEELFAVKMYALTTEGPNKVLKTRSKAQANRPGIIK >EOY28397 pep chromosome:Theobroma_cacao_20110822:6:25237897:25247552:-1 gene:TCM_029976 transcript:EOY28397 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSI2-like 1 isoform 2 MTSTSGAASSSKICFNSDCKDLKSERARKGWRLRTGELAELCDRCASAFEEGRFCDTFHLNASGWRSCESCGKRVHCGCIVSVYAFTLLDAGGIECIACARKNVVLGSNSSWPPSLLFHPPLSERLKDYSAKGWSQLAGSGPVPWRQAPSLFNSPISQPEWHSKVCYEVDLSTGIDRLNADRLSTPSLEKKKIEDFSERLMNGTLKLGTRDIHENGNAGINCEEQPGSCLTKSQQPSLKEEPSNPPLGLSVPYTSPDEANGQIGVSGPHLRPNPPPPLAKQFHSNLHNGLDSSGETQIRNGRPRPDGRGRNQLFPRYWPRFTDQDLQQISGEYPLILWGILDNWECSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLKVQDSKGKEWIFQFRFWPNNNSRMYVLEGVTPCIQNMQLQAGDVVTFSRLEPGGKLVMGCRKASTASASEQDNEAKNNSSASPSFSSINQAELADPTSWSKVDKSGYIAKEALGTKLAVSRKRKNSTLGSKSKRLRIDNEDLIELKLTWEEAQGLLRPPPNHVPSVVVIEGFEFEEYEDAPILGKPTIFATDNSGEKIQWAQCEDCFKWRRLPSSALLPSKWTCASNSWDPERSFCSVAQELTAEQLDDLLPHCNPAASKKMKAAKQEPENVDALEGLDTLANLAILGEGEALPASSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCQTVKRRFRTLMLRREKKQSQKEAETTRKKQQPSLPDKLLDDDPLPSTNAGNSSPNPKKLVSEGSDDDPNRIKSSTSPFKGQIDLNIQPEREEELSPGSDSGSMMRLLQDATERYLRQQRMLSSGVNSDSTVTQAQSGGGTEGEKTSSSVNLGASHQDADRDHSAVFSIKSSAPTSATG >EOY28398 pep chromosome:Theobroma_cacao_20110822:6:25238027:25244918:-1 gene:TCM_029976 transcript:EOY28398 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSI2-like 1 isoform 2 MTSTSGAASSSKICFNSDCKDLKSERARKGWRLRTGELAELCDRCASAFEEGRFCDTFHLNASGWRSCESCGKRVHCGCIVSVYAFTLLDAGGIECIACARKNVVLGSNSSWPPSLLFHPPLSERLKDYSAKGWSQLAGSGPVPWRQAPSLFNSPISQPEWHSKVCYEVDLSTGIDRLNADRLSTPSLEKKKIEDFSERLMNGTLKLGTRDIHENGNAGINCEEQPGSCLTKSQQPSLKEEPSNPPLGLSVPYTSPDEANGQIGVSGPHLRPNPPPPLAKQFHSNLHNGLDSSGETQIRNGRPRPDGRGRNQLFPRYWPRFTDQDLQQISGDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLKVQDSKGKEWIFQFRFWPNNNSRMYVLEGVTPCIQNMQLQAGDVVTFSRLEPGGKLVMGCRKASTASASEQDNEAKNSNGVSTHGDAELADPTSWSKVDKSGYIAKEALGTKLAVSRKRKNSTLGSKSKRLRIDNEDLIELKLTWEEAQGLLRPPPNHVPSVVVIEGFEFEEYEDAPILGKPTIFATDNSGEKIQWAQCEDCFKWRRLPSSALLPSKWTCASNSWDPERSFCSVAQELTAEQLDDLLPHCNPAASKKMKAAKQEPENVDALEGLDTLANLAILGEGEALPASSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCQTVKRRFRTLMLRREKKQSQKEAETTRKKQQPSLPDKLLDDDPLPSTNAGNSSPNPKKLVSEGSDDDPNRIKSSTSPFKGQIDLNIQPEREEELSPGSDSGSMMRLLQDATERYLRQQRMLSSGVNSDSTVTQAQSGGGTEGEKTSSSVNLGASHQDADRDHSAVFSIKSSAPTSATG >EOY26430 pep chromosome:Theobroma_cacao_20110822:6:10170417:10178269:-1 gene:TCM_028043 transcript:EOY26430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamoyl-CoA reductase, putative isoform 1 MISSNYLANAKEAVCVTGANGFIGSWLVRTLLEDGYTRIHASIYPGSDASHLFSLPGATSPNVRLEVYEADILDYTSVLKAVEGCQGVFHVASPCSLEDPKDPHRELVMPAVQGTLNVLEAARTAKVRRVVLTSSISAIVPNPNWDPETKGSFDESSWTDVEYCKSRQKWYPVSKTLAEKAAWEYAEKHGMDVVAINPATCLGPLLQPSLNASSAVLQQLLQGSQDTQEHHWLGAVHVRDVAKAQILLFNAPAASGRYLCTNGIYQFAHFAQTVSHLFPHYPVHRFTGETQPGLVSCKDAAKRLMKLGLDFTPVEEAVREAVESMQAKGFLKPPQMLES >EOY26428 pep chromosome:Theobroma_cacao_20110822:6:10170421:10178268:-1 gene:TCM_028043 transcript:EOY26428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamoyl-CoA reductase, putative isoform 1 MISSNYLANAKEAVCVTGANGFIGSWLVRTLLEDGYTRIHASIYPGSDASHLFSLPGATSPNVRLEVYEADILDYTSVLKAVEGCQGVFHVASPCSLEDPKDPHRELVMPAVQGTLNVLEAARTAKVRRVVLTSSISAIVPNPNWDPETKGSFDESSWTDVEYCKSRQKWYPVSKTLAEKAAWEYAEKHGMDVVAINPATCLGPLLQPSLNASSAVLQQLLQGSQDTQEHHWLGAVHVRDVAKAQILLFNAPAASGRYLCTNGIYQFAHFAQTVSHLFPHYPVHRFTGETQPGLVSCKDAAKRLMKLGLDFTPVEEAVREAVESMQAKGFLKPPQMLES >EOY26429 pep chromosome:Theobroma_cacao_20110822:6:10170302:10178098:-1 gene:TCM_028043 transcript:EOY26429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamoyl-CoA reductase, putative isoform 1 MISSNYLANAKEAVCVTGANGFIGSWLVRTLLEDGYTRIHASIYPGSDASHLFSLPGATSPNVRLEVYEADILDYTSVLKAVEGCQGVFHVASPCSLEDPKDPHRELVMPAVQGTLNVLEAARTAKVRRVVLTSSISAIVPNPNWDPETKGSFDESSWTDVEYCKSRQKWYPVSKTLAEKAAWEYAEKHGMDVVAINPATCLGPLLQPSLNASSAVLQQLLQGSQDTQEHHWLGAVHVRDVAKAQILLFNAPAASGLLGKHNQA >EOY26082 pep chromosome:Theobroma_cacao_20110822:6:4291168:4294610:-1 gene:TCM_027475 transcript:EOY26082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter, putative MIDMKGKEEIPTDLWGRKMAKLKNTSNIYMHCNISNTLYIDALCELSASTSTFPFQGMDVLEFPETGQGLRSWIRVDASANSQALEIDKLTIIRRCGISTRDLRILDPLFVYPPTILGREKAIVANLEKMRCIITADEVLLLNSLDRNVLQYVMELQKRLRSTGVAELNPGGSGSRSFRSTSSYSPFEFRALAVVLDVVSGSLDSEASELEMEAYPLLDELTSEVSTFNLERVRGLKSGLVALTRRIRKIRDEVENIMDDNEDMAEMYLTEKKRKMESSSLISDDQSSLVGIFRSNEGASEASDPIICPPVSSPSGSRSLTKNSSSLRSRRKSMMMSSENTAKSVEELESLLEAYFVVIDSILNKLITLKQHIDDTENFLNIQLNHLRNRLIQFELLLTSATFVLAIFGIIIGIFGINFPVPLFNDSHTIKLVLIITSICGIILYCALVCFYKWRKVLLL >EOY26626 pep chromosome:Theobroma_cacao_20110822:6:15708635:15712435:1 gene:TCM_028515 transcript:EOY26626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPQVNLLIEDEDDDMQRDENEEDEMEGDENEDDDEEDDELEDDVFETLSDDSDNNEEHEFENFENMVPWKARTMVKGKHSKPRPRSTNASGSMSSPTNAIEFTDLSIHQQIQQEFNDVPFETLSLLGASAEQVENETPTHDSCSSPSIDLGASVDDTSSRSRGRGPSVGL >EOY28908 pep chromosome:Theobroma_cacao_20110822:6:26857478:26861943:1 gene:TCM_030381 transcript:EOY28908 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase A5A isoform 2 MQYAIHCCYGSIEWQSLGGWKMAFVSEEEKTEDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKMDINGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELHTHSDMNVVTILVGNKSDLRDAREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMISHELKKQDASLNGKTVVLHGDETQQSDTGAKKGGWCCSS >EOY28907 pep chromosome:Theobroma_cacao_20110822:6:26857478:26861943:1 gene:TCM_030381 transcript:EOY28907 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase A5A isoform 2 MAFVSEEEKTEDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKMDINGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELHTHSDMNVVTILVGNKSDLRDAREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMISHELKKQDASLNGKTVVLHGDETQQSDTGAKKGGWCCSS >EOY28910 pep chromosome:Theobroma_cacao_20110822:6:26857478:26861943:1 gene:TCM_030381 transcript:EOY28910 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase A5A isoform 2 MQYAIHCCYGSIEWQSLGGWKMAFVSEEEKTEDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKMDINGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELHTHSDMNVVTILVGNKSDLRDAREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMISHELKKQDASLNGKTVVLHGDETQQSDTGAKKGGWCCSS >EOY28909 pep chromosome:Theobroma_cacao_20110822:6:26857709:26861563:1 gene:TCM_030381 transcript:EOY28909 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase A5A isoform 2 MAFVSEEEKTEDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKMDINGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELHTHSDMNVVTILVGNKSDLRDAREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMISHELKKQDASLNGKTVVLHGDETQQSDTGAKKGGWCCSS >EOY25867 pep chromosome:Theobroma_cacao_20110822:6:2469548:2478321:1 gene:TCM_027238 transcript:EOY25867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MGNLRSISFSTEDSVCRCWDCIVGQASYTCKLEDNLKALKKELAKLNARRDDVNRRVDLAEQQHMERLNEVQLWLSSVQTVGAEAEELIENGPQEIQKLCSGGCFFKNCKSSYNFGKQVTIKLAEIVDLQKQGDFKIVAENKLAAQVDLKPTEPTVGLEPTLVKVWRLLEEKDAGIVGLYGLGGVGKTTLLTQINKKLSNNLIGYDVVLWVVVSKDHTIQKVQEKIDEKVGLSNELWKNKSCDEKVTDIFRILSKKKFVLLLDDVWERVDLIKVGIPVPNQDNSFKLIFTTRYLEVCAEMGAREKIKVKCLSTYEAWKLFEEKVGEETLDSHPDIRGLAKQVAATCGGLPLALITIGRAMACKKMPQDWKYAIEVLEEFPHKLARMDQQMYSLLKFSYDSLPNDTMRSCLLYCSLHPEDFEINTDGLIDYWFCEGFLGEFDNISRARMQGHNIINSLLNACLLEKGTYAESVMMHDVISDMALWIARVCEAVEKKFLVQAGVRSIKALDVENWKGVRMSLAYSGIEDIRGTPICPNLQTLFLNTNKLRVISDGFFQFMHNLRVLTLSTNWELCELSEEISELVSLECLDLSYTGIRELPIKLNSYDDADEDNVLYGGDKRLIEELKCLQHLNVLCIELKSVFALDYLLSFHNLRGCTEELHLTRLQETKVLNVLFLANMERLESLHVAGCDSMEEMAIGKIDKELGEGRMIETSSLFLFNSNPLIPCFHTLSKVYLFSCHNLRNVTWLILAPNLTWLTVLSCSRMEEIISEDANVVEIPNLSPFAKLEVIVLQDLSKLKSIYWDALPFPCLRHITIVNCPKLKKLPLNFESAKGNKISILGNEEWWKEVQWKDEATRVAFLPYFVCDD >EOY28152 pep chromosome:Theobroma_cacao_20110822:6:24368831:24371307:-1 gene:TCM_029798 transcript:EOY28152 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 73 MTWCNNSDDDRALQLVTAADSTKEPASVSDTKTDDIRIIICPSCGHNIPYQDQAGIHDLPGLPAGVKFDPTDQEILEHLEAKVISDMRKLHPLIDEFIPTLEGENGICYTHPERLPGVSKDGQIRHFFHRPSKAYTTGTRKRRKVHTDEDGSETRWHKTGKTRPVLVGGAVKGFKKILVLYTNYGRQRKPEKTNWVMHQYHLGNNEEEKDGELVASKVFYQTQPRQCGPSSVKDTLDRKLKNRSRHDKSPIAKSSSTAFVHEYFNPPFIPFDHGSHNRESPPPLIPNLVVQGDGSSFIRLASDTSKGRLERKL >EOY27389 pep chromosome:Theobroma_cacao_20110822:6:21526804:21531762:1 gene:TCM_029247 transcript:EOY27389 gene_biotype:protein_coding transcript_biotype:protein_coding description:20S proteasome alpha subunit E2 isoform 2 MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGIKTKDGVVLAVEKRITSPLLEPSSVEKIMEIDAHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKDLTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPTYHLYTPSEVEAVITRL >EOY27388 pep chromosome:Theobroma_cacao_20110822:6:21526804:21531794:1 gene:TCM_029247 transcript:EOY27388 gene_biotype:protein_coding transcript_biotype:protein_coding description:20S proteasome alpha subunit E2 isoform 2 MRIFQSRTGGDFIAYILTGDHVIEENNQLLTIKSPAQVKRSRFTLACFARSLEDLYKKHELLCLRSAALSLSDTHSQSFVRSNSNRSNMFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGIKTKDGVVLAVEKRITSPLLEPSSVEKIMEIDAHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKDLTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPTYHLYTPSEVEAVITRL >EOY26580 pep chromosome:Theobroma_cacao_20110822:6:14524239:14529625:1 gene:TCM_028415 transcript:EOY26580 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MCPFPSDLITDILCRLPVKTLLRFKCVSKPWGSLIDDSDFVKIHLHQSLKTSTNIKLFLDNCVENDNEAYAVDFDSLCNLVQFPRPFTAEANKYQSRIFGSCNGLLAVYHRQEGIALWNPSTRKYHYLPTLGDDITMDHDILPGCGYDKNTILGFGYDVSNSDYKVVKMFRSKTQNCFKVMIYSLKANSWRRIKDCPYDIFTNYNDGAYVNGSLHWVGDEIDEFFGGKVIFALNLGTEEYFEVPEGDISFKKKKCGGDCTIFGYMNAGVLGGYLCVSHWYSTCSIEDHVNLWVMKEYGVKETWTELLHLSRDEWLTNIFHTRAIAYSRSGEKILLDDGGGCQPAWFNLGNETGETLCILGVPQCQRFSTIIYVESLVSVY >EOY26751 pep chromosome:Theobroma_cacao_20110822:6:17672585:17674025:1 gene:TCM_028713 transcript:EOY26751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVTILGHGHENGHEQLSNNSNEKSQKIVKKVNKEGQSRKDYVDPPPTLLVGMPQRLYGPSTNTTC >EOY26600 pep chromosome:Theobroma_cacao_20110822:6:14734404:14738251:-1 gene:TCM_028441 transcript:EOY26600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAF35421.1, putative MAVDYAFTDEEMLIDEGLGYPRAYAKICRDPSLCPYNHGPPFTFMPYALQQNESLRAKELDQMFPTIDPKARPTAKPKIFINLLWKQLSHLGNAGFDPEVIRVDPYGNVLYYHADSASPLSWDIDHWFPCSRGGLTVASNLRILQWQVCKKKHNKLEFQVPWWDFQLGISVNQFLSIFASRKSDFRHRAFSFLFAEGENEEINSSQTVESHSFPQHFMQSKEQFGLAPAAVVVTRRELYDTSSALKSLDYNRQIRPQSPIIAARKKKSSVLKENENPDFITNPYQAIVMARDSLKQREETQKMQSEIRKLDEEVNEMRRKNDEEKLAIQDLEVELIKRRRRAEKCRRLAEAQSSYRTMLEKMIRDAMHQSVVYKEQVRLNQAAANALMARLEAQKAICDASEKELHKKFKQRDELEKQIRPEWEHARKRSRMDDTFLEGQDSKTVLYLPGIRPRTPSHKELRLFLEEEHKASVAGLSSNEDQKHEEIEEELAITARNAARGKREEHDKAIAALEGEKSIVQKFQRLEIEEERQEIQIPIAEETERADDEESRRQRGKGNVERWLQMLLENTQDELDPQNADAEEVTGIDEIIEKLDLKYPQKEKEVMTQIHEKQQGVGEKDVGEKKEKIVEIEGSKTPRRSSNACEVAHISEGRLGSRKQRIEKNGKERIITRSESARAFRRIPSSPSLIFGMKKGVECMRKKPIVTSDDEEDYAAGNNFLKSSIRTIKKAVKI >EOY28517 pep chromosome:Theobroma_cacao_20110822:6:25616470:25667743:-1 gene:TCM_030060 transcript:EOY28517 gene_biotype:protein_coding transcript_biotype:protein_coding description:3S-linalool/(E)-nerolidol /(E,E)-geranyl linalool synthase, putative MALFSNVSFSSPIAPIASNKASLLHNSNLVGPASLPMNPRCSIARDHRIVSTPPEHFGHRSGHPTITDEFRIEHANKLETFKRVFREVGEDPSQGLVMIDAIQRLGIDHHFQYEIDEVLQKQYMLSCTNGVHDYDLHEVALRFRLLRQEGYFVPAGVFDRFKDREGSFRNELRRDIKGLMELYEASQLAVEGEDILDRAKEFSSQALKTWQSRELDRFSERVIKTTLDQPYHKSLSRFTARNLLTNVQGTNGWINVLQELAKMDFNIVQSLHQEEVVRISNWWKDLGLAKELEFARDQPLKWYIWSMASLTNPNLSEQRIDLTKPISLIYIIDDIFDVYGTLDELTLFTQAVVRWDYGSTDRLPDYMKICFKALDDITDEISHKVYKEHGWNPVNSLRKAWATLCRAFLVEARWFASGKLPKAKEYLENGITSSGVHIVLIHIFFLLGQGLNNQHVELIDNNPGMISSTATILRLWDDLGSAKDENQDGNDGSYVDCYMKEHQGVEVESVRKHVTHMISNAWKRLNHKCLSQNSFSLPFARASLNIARMVPLMYSYNENQCLPSLEEYMECLLFESVPMKTLL >EOY28418 pep chromosome:Theobroma_cacao_20110822:6:25293808:25295133:-1 gene:TCM_029989 transcript:EOY28418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASLGIENLENPRKKNKRENLGEHGHSPHPGNVHGRTAVQPLSGFPDNVLAKIFSFLPIKQAIQASTVSPRFKQSWLVSQKQCAEETVENWLQTSIAKGVEELDLNFSLANVLYKISSGFDDVKTMKILKLCRCDLELLPTFQGLTNLLTPTLTKIKIAADSIKTVFSNCMFLETLKLVQCREIDHLEISLENLRSFKALKVGDCSDLRKIEIESPTLCSFYYSRDMILFKSRVKFQLHDLILDCKPLRRRFFQKRVERFFKKGGYLHEFWHVRVLSTSSTLLEICDSMHSDSRICGYIPEVL >EOY27575 pep chromosome:Theobroma_cacao_20110822:6:22441941:22447545:-1 gene:TCM_029404 transcript:EOY27575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration stress protein (ERD4) isoform 1 MDFSSFLTSLGTSFIIFIVLMLLFAWISTRQGNAVVYYPNRILKGLEPWEGGSRTRNPFAWIREALSSSEQNVISMSGIDTAVYFVFLSTVLGILVLSGIVLLPALLPVAATDDGVKKHSKTASNVTFSDLDKLSMANIEEKSPRLWAFVITTYWVSVVTYFLSWKAYKHVSALRANALMSPEVKPEQFAVLVRDLPDVTQGQTRKEQVDSYFKSLYAETFYRSMVVTNNKEVDKIWGELEGYKKKLAHAEAIYAESQKKGSSAGTRPTNKTGFLGLCGKKVDSIEYYTEKINELTQKLEAEQKVTLREKQQRSALVFFTSRVTAASAAQSLHAQMVDRWTVTEAPEPRQLVWSNLSIKFFERIIRQYIIYIVVFLTIVFFMIPIGFISALTTLANLKKYLPFLKPIVKLDAIRTVLEAYLPQLALIIFLALLPKFLLFLSKTEGIPSGSHVVRAASGKYFYFTVFNVFIGVTVGATLFSTFKSIEKDPNSIFDLLAKSLPGSATFFLTFVALKFFVGYGLELSRIVPLIIYHLKRKYLCKTEAELKEAWFPGDLGYATRFPGDMLILTIVLCYSVIAPVIIPFGVLYFALGWLILRNQALKVYVPAYESYGKMWPHMHTRVIGALLLYQATMLGYFGVMKFYYTPILIPLPILSLIFAYVCRQKFYKAFSHTALEVACQELKETPQMEQIFKSYIPPSLCSEKQEDEQFEDALSQASRTGSFV >EOY27576 pep chromosome:Theobroma_cacao_20110822:6:22442800:22447687:-1 gene:TCM_029404 transcript:EOY27576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration stress protein (ERD4) isoform 1 MDFSSFLTSLGTSFIIFIVLMLLFAWISTRQGNAVVYYPNRILKGLEPWEGGSRTRNPFAWIREALSSSEQNVISMSGIDTAVYFVFLSTVLGILVLSGIVLLPALLPVAATDDGVKKHSKTASNVTFSDLDKLSMANIEEKSPRLWAFVITTYWVSVVTYFLSWKAYKHVSALRANALMSPEVKPEQFAVLVRDLPDVTQGQTRKEQVDSYFKSLYAETFYRSMVVTNNKEVDKIWGELEGYKKKLAHAEAIYAESQKKGSSAGTRPTNKTGFLGLCGKKVDSIEYYTEKINELTQKLEAEQKVTLREKQQRSALVFFTSRVTAASAAQSLHAQMVDRWTVTEAPEPRQLVWSNLSIKFFERIIRQYIIYIVVFLTIVFFMIPIGFISALTTLANLKKYLPFLKPIVKLDAIRTVLEAYLPQLALIIFLALLPKFLLFLSKTEGIPSGSHVVRAASGKYFYFTVFNVFIGVTVGATLFSTFKSIEKDPNSIFDLLAFVGYGLELSRIVPLIIYHLKRKYLCKTEAELKEAWFPGDLGYATRFPGDMLILTIVLCYSVIAPVIIPFGVLYFALGWLILRNQVFLSIFMFQHMRATERCGLTCIHESSVPCCYTKLLCW >EOY27147 pep chromosome:Theobroma_cacao_20110822:6:20445390:20446387:1 gene:TCM_029070 transcript:EOY27147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQGRLFCFLLALQIVSWTSAASRPLAPNDGFGVHQPVTGQRPQSLQDTSGPSSSSKEVSMQSFESKGGTAATNEEANAEGESKQGIGSSPPSCEHKCYGCIPCEAIQVPTTSKLSHVGLQYANYEPESWKCKCGPSIYSP >EOY26892 pep chromosome:Theobroma_cacao_20110822:6:18908794:18911514:-1 gene:TCM_028858 transcript:EOY26892 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein POPTRDRAFT_553757, putative MMMNGYKRPPSPPLSTLPMQLTEAKVVAENGQSEPRVGLFNAGLGSKTGVWTRGDVVLVILRLLCMGASLTAMLFMVTARQVSTASFYGFQLQLHSKWSFSYSFEYLVGVTAAAAGYSLLQSLIGGSRLLRKSPLIPSRNQAWLTFAGDQILAYAMMSAGSAASGVTNLNRTGIRHTALPNFCKALDSFCDHVAVSIAFTFFSCVLYAASAVQDVIWLSKH >EOY26951 pep chromosome:Theobroma_cacao_20110822:6:19344057:19348141:1 gene:TCM_028906 transcript:EOY26951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MKGSDKKVSFSTPPIKSSASPKGSDENDRSNQELGLNVQNLKKETVKNFMSPTVSAASKATFPRRKILAERNESPGSNFSSTYLSKTPNLDSKASPKTIPKASQKNSPNLDPKANSKETSSQKTPLSYSSRDETPSPRPYDPLTNYLSPRPQFLRYNPTRRNEIFLRLRMEDKEDDELSVSSTSSFGSKKDSGDKADSVSSDGSLSEQEYEEFDIESDEESEEEVGWSLRGALKYMLLLVVLLLTTSYISSMNSPTPSQAFEGLPLCNKKIHNHSYGIVESVEVVHKFLDGKQDQLGLLGLNQAIVDEGIQKEMVENVNLGEIVSLELEDGNYVEYVEMVEEVKKDGKSEHACEEEFVKGADVSDQFVQDKLIKDVEKVEYLKKNGESENKIEDELAETKEVSDQVVENIELQETEETGEQIEDVEKVESVKENGESEDVIEEELVETGEVSDKMVGDIKLLGQETAGEIESIQGFLSEGTDHQEPASHTTDSSEKERVAAKEVSEEIHNEARDDDMVQGNILQSEVTMLERSGKSSAFWSLNFEEVNPLKGCQQIGTEVLLKVMFGVLTCAAIVASLVLGSNIRRKGIASKHSSLVDKHSSKPVVKEKPSSVLSAEREEHKRHFDSFMSTMPSINSTDKDIKESCQSRAPSVELLGEFDVGSISSSLKSRAIKSTMKDEVSSYSDFLEKGFGSKAYSAPVQDQQDFSDFSTVNSILSERLAVKKKILRKEFANNDMAGPDGEGRNVVTTPLRRSARIRNRAVASP >EOY26950 pep chromosome:Theobroma_cacao_20110822:6:19344057:19348141:1 gene:TCM_028906 transcript:EOY26950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MKGSDKKVSFSTPPIKSSASPKGSDENDRSNQELGLNVQNLKKETVKNFMSPTVSAASKATFPRRKILAERNESPGSNFSSTYLSKTPNLDSKASPKTIPKASQKNSPNLDPKANSKETSSQKTPLSYSSRDETPSPRPYDPLTNYLSPRPQFLRYNPTRRNEIFLRLRMEDKEDDELSVSSTSSFGSKKDSGDKADSVSSDGSLSEQEYEEFDIESDEESEEEVGWSLRGALKYMLLLVVLLLTTSYISSMNSPTPSQAFEGLPLCNKKIHNHSYGIVESVEVVHKFLDGKQDQLGLLGLNQAIVDEGIQKEMVENVNLGEIVSLELEDGNYVEYVEMVEEVKKDGKSEHACEEEFVKGADVSDQFVQDKLIKDVEKVEYLKKNGESENKIEDELAETKEVSDQVVENIELQETEETGEQIEDVEKVESVKENGESEDVIEEELVETGEVSDKMVGDIKLLGQETAGEIESIQGFLSEGTDHQEPASHTTDSSEKERVAAKEVSEEIHNEARDDDMVQGNILQSEVTMLERSGKSSAFWSLNFEEVNPLKGCQQIGTEVLLKVMFGVLTCAAIVASLVLGSNIRRKGIASKHSSLVDKHSSKPVVKEKPSSVLSAEREEHKRHFDSFMSTMPSINSTDKDIKESCQSRAPSVELLGEFDVGSISSSLKSRAIKSTMKDEVSSYSDFLEKGFGSKAYSAPVQDQQDFSDFSTVNSILSERLAVKKKILRKEFANNDMQAGPDGEGRNVVTTPLRRSARIRNRAVASP >EOY28172 pep chromosome:Theobroma_cacao_20110822:6:24446148:24447584:1 gene:TCM_029812 transcript:EOY28172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 8, putative MDSSRLALLFFLVSIQLSALTSVQPEKLLRLCSTQSNFTMNSTYGNNLKHLFSTITSNTQIDYGFYNVSYGQNSDEVNALGLCRGDVKPDICRSCITNATNEFTENCPNQREAIVWYDECMLRYSNRSIFGKMQFPPAWVMENVNNVSNVNQFNQVLAILLGDLRIQAASGDSLHKFATGNATVSNSQAIYALAQCTPDLSQVECNNCLSNATESLPLWRVGARILTPSCTVRYENYIFYGPLVDMPPPTMSPASPPVDDAPLSPPADVPPPPSLVTPAPPPQQPNLATRKGNTNCRFQFLAPMVSFAILRILKSL >EOY28173 pep chromosome:Theobroma_cacao_20110822:6:24447671:24450166:1 gene:TCM_029813 transcript:EOY28173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 8, putative MDSSRLAVLFSLVFIRLCAFTYAEPVKLVQVCSHKSDFSLNSTYENNLNDLYLTTITSNTKIDHGFFSASYGEDSDKVNAIGLCRGDLVADICRSCINDAINDFAEYCPNQREAIAWYDECMLRYSNRSIFGKMEVEPFRSLVNVNVVNNVTNVTHFNQVLATLLDRLKTQAASGRSLRRVATGNANVSKSQTLYAMVQCTPDLSPEDCNDCLRNVTESLPRCCNGRKGARVLTPSCNIRYENHLFYGPLVDMTPPTPSPAPSPSSLAVDAPSSPPVDAPSSPPVDAPAFPPVYAPAFPPVDAPASPPVDAPALPPFDEPVLPPEDYDSPLLPPYDASSPPPPEPPAPPLQQPDHATGNGDMNSKFQALGTAVLLMILQYFIF >EOY25670 pep chromosome:Theobroma_cacao_20110822:6:1085869:1097195:-1 gene:TCM_027053 transcript:EOY25670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRDLITVAHRGDAEVNAKPCGVSIGIRGEECLSRPRGDCHGPDGEFRSKAHWLRKHQASFHSASPLLGVCTFRANKRPAMTIVETVLKLWHGWEIRVLVLLSLSLQVILVAFGSKRKSTASTWVKVLVWSTYMSADWVATVALGVIARSLGNNIPMKHPLQSFWALFLLLHLGGPDTITAYSLEDNELWLRHFLGLVFQTGVAIYILLRSSFDSDTTFFSIAMPVFIAGIIKYGERTYVLMSSSTKRFRTSLFSEKNFTALTKDNDVENSVTSPVDGTFLLRVDFFFKRLKVLFADFILDRKQGHLCNNMIRCLKPDEAFQLVEFELGLLYDLLYTKATLVHSCFGIFLRCITCLASVSALVTFSIIIDEHKHFPVDISVTYFLLVGAVVLEVYALFMLACSDWTKLSLVGKETCFSLKRMTRDKRWSRSIARYNLMKFCLKKEGTKCIKVGQLLGIYEKLEKHRNVEWQQGIDDDLKCLIFDQLGNRLQKPEDLSNAELCKKVLNYRGECVLDELKWSTTEVEFDESILLWHIATQLCYHDDNRTQGFSSLNACSKISRCLSEYMLYLVVMCPNMLPKGFGYIRYKKACEEVIDFFGRKRDMQMEEACKELLERGTNILQGNNIMEKLTVARSKPVILSKGCILAKQLQNLKPPNQARKEKWDMMNKVWVELLTYAAGHCGWKEHAQHLASGGQLLTHVCLLMAHFGISGQFQDKSFYFLQLPSVKPSKRKTWKLYRWIRYINCYKAICKWQKTSIPLSVKILNYKGDCVLDEMKCLELLKWSTIDVEFDESILLKHIATQLCYHDAY >EOY26995 pep chromosome:Theobroma_cacao_20110822:6:19634039:19634962:1 gene:TCM_028951 transcript:EOY26995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MTLSFETAKLSDFGLSKTFPIEGGTHVSASIAGTPSYLDPEYYISNRLTEKSDVYCFGFVLLEIITSRPVLARTNNERTHISQRFSSMLSMGDIKKIVDPRLRADFDDNSVWKAVEVAMACLSPTCARRPTMNQVVMELSECLSAEGARNRRANENESLDSVGLMTLNLGTESTPLAR >EOY28237 pep chromosome:Theobroma_cacao_20110822:6:24677623:24683388:-1 gene:TCM_029863 transcript:EOY28237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPGDPSCCVRDDELGRLNTCRNEPLKHRKAKGPVFYGAVELYGGMVFFHLNICGMGAFSTGVSLSLFAGCVMWVKCKINAYCYVIFRCYMIFLPLSDVFHRLIRVCFVFTKVMAGTRDIYGSLTIDKLPTKSFPCSHFRRHQQNYVSSPHSLPYSRKSTLVQARKVVAAPMAAALIKAETPVQLKVKALVTVKHDTVENVKDMMFRWLASGGHTAQRGVILQLVSTEVDPIVGTTVRRRFGSPQLQGKAHR >EOY26518 pep chromosome:Theobroma_cacao_20110822:6:13471840:13480564:-1 gene:TCM_028306 transcript:EOY26518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein family, putative isoform 1 MTKLWGVLKLWSSTEGKPHEERTDWVLHKYRLEDEHLHDKGVVQDAYVLCVIFRKDGPGHRNGAQYGAPFREDWTDEEEVIKEVSNSADLPTPTFTGAANPYFPQSQCLGSSAESSHFAAFPSVVLNANKSLTPMEASQVLVDDSISAMLSSGQSEDYSLVAIANYDLEFLKSPNIVVDDDTFREDDAFLIDIIKRLLLILFFLPLFKHSVFFSTGDYICSCFSTMGNIFSIQLSCDTIFSRCWDCAAGQAIYTCKLEENLADLNTALDELKERRNDVMRKVNIAEQGNMKQLDQVQGWLSRTEAMINDVDQVITDGPQEIKKLCMGGCFSKNYMSSLRFSKTVSKKLRDVKDLNLKGAFEEVATTVPAALVVERPSDSAIGLESMLNTVWSSFEEKHVGIIGIYGLGGVGKTRLLTEINNRIGLSSGGFEVVIWVVVSKGFYVEKVQDDIAKRIGLSGGTWNDKTPEEKATEIFGVLTKKKFVLLLDDIWERVDLSKVGIPSPTQENGSKLIFTTRSIEVCGQMRADKKIEVTCLPEEKAWQLFEEHVGKDLFDSHPNIRDLAQEVAKECGGLPLALITIGRSMACKTTSEEWKYAIDVLRRSSATSISPDMGKEVYPLLKFSYDSLPNDMVRSCLLYCSLFSEDFMIKKERLIECWIGEGFLDEHDNISQARNQGHHIIGSLIHACLLEEVEDEFVKMHDVIRDMCLWIACTCEAEKWKFFVQARYQLTKVPDVGKWRGIKRMSLMDNKIENLREAPNCPDLQTLFLSRNKPLEVINNDFFQFMCVLKVLDLSYNQGITEFPMGISKLVSLEYLDLSGTTIRELPTELRALKKLKCLGLQHIDNGIKIPRGLMPGFSKLEILRMFRSYPFDEAMEDDNECLAEELQCLNHLNVLTLSVTSAFALDRFLSAEKLHSFIETIGLEYFKDSKQLNILSLANFKSLNTLTLGECESLEEVKTVWEGESRIIKAAIEIQTSVIASVPCFQSLLRVYMAKCSKLRDITWLILAPNLKDLHVMDCDKMEEIIDEIKLRQGAELVKTLSPFSRLKYLCLVSLPELKSIYLDALPFSCMESIGVRDCPKLRRLPLNSNSAKAKKISINGEEKWWKELQWEDESTQNAFLPSFIPW >EOY26519 pep chromosome:Theobroma_cacao_20110822:6:13471006:13499045:-1 gene:TCM_028306 transcript:EOY26519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein family, putative isoform 1 MTKLWGVLKLWSSTEGKPHEERTDWVLHKYRLEDEHLHDKGVVQDAYVLCVIFRKDGPGHRNGAQYGAPFREDWTDEEEVIKEVSNSADLPTPTFTGAANPYFPQSQCLGSSAESSHFAAFPSVVLNANKSLTPMEASQVLVDDSISAMLSSGQSEDYSLVAIANYDLEFLKSPNIVVDDDTFREDDAFLIDIIKRLLLILFFLPLFKHSVFFSTGDYICSCFSTMGNIFSIQLSCDTIFSRCWDCAAGQAIYTCKLEENLADLNTALDELKERRNDVMRKVNIAEQGNMKQLDQVQGWLSRTEAMINDVDQVITDGPQEIKKLCMGGCFSKNYMSSLRFSKTVSKKLRDVKDLNLKGAFEEVATTVPAALVVERPSDSAIGLESMLNTVWSSFEEKHVGIIGIYGLGGVGKTRLLTEINNRIGLSSGGFEVVIWVVVSKGFYVEKVQDDIAKRIGLSGGTWNDKTPEEKATEIFGVLTKKKFVLLLDDIWERVDLSKVGIPSPTQENGSKLIFTTRSIEVCGQMRADKKIEVTCLPEEKAWQLFEEHVGKDLFDSHPNIRDLAQEVAKECGGLPLALITIGRSMACKTTSEEWKYAIDVLRRSSATSISPDMGKEVYPLLKFSYDSLPNDMVRSCLLYCSLFSEDFMIKKERLIECWIGEGFLDEHDNISQARNQGHHIIGSLIHACLLEEVEDEFVKMHDVIRDMCLWIACTCEAEKWKFFVQARYQLTKVPDVGKWRGIKRMSLMDNKIENLREAPNCPDLQTLFLSRNKPLEVINNDFFQFMCVLKVLDLSYNQGITEFPMGISKLVSLEYLDLSGTTIRELPTELRALKKLKCLGLQHIDNGIKIPRGLMPGFSKLEILRMFRSYPFDEAMEDDNECLAEELQCLNHLNVLTLSVTSAFALDRFLSAEKLHSFIETIGLEYFKDSKQLNILSLANFKSLNTLTLGECESLEEVKTVWEGESRIIKAAIEIQTSVIASVPCFQSLLRVYMAKCSKLRDITWLILAPNLKDLHVMDCDKMEEIIDEIKLRQGAELVKTLSPFSRLKYLCLVSLPELKSIYLDALPFSCMESIGVRDCPKLRRLPLNSNSAKAKKISINGEEKWWKELQWEDESTQNAFLPSFIPW >EOY25879 pep chromosome:Theobroma_cacao_20110822:6:2519375:2523967:1 gene:TCM_027247 transcript:EOY25879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin system component Cue protein, putative MSAAVCGSKRSFFEDIPSSPSASVSKKLRRCSPSSPSSVRFPPPPSSLAHLEALFPHMDPELLERALLECGNDIDTAVKRLQELCLGAAEATGERSGPVEELGATAELCTLTNDGEATATVPVQNPSAPEKLPVDGAEWVDLFVREMMSATSVDDAKARAFKLLEVLENSISRSAAEEAAQNFHKENIMLKEQIEVLIQENTVLKRAVAIQHERQKEYQDKNHELQHLKQLVSQYQEQLRTLEVNNYALTMHLRQAQQSNSIPGRFHPDVF >EOY27493 pep chromosome:Theobroma_cacao_20110822:6:22061305:22064148:1 gene:TCM_029329 transcript:EOY27493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein, putative MFMGRIRRRICKLSKMITHLDKFVVLSPIVYEKSDVVHNLCSVSLRVRYFRSSRLVFRPKASLADSIRSSSSGFASRISRAAKTEAQVVLFDYLHSTRSFRFMDAEHISKNSHHFLQNLLSKIDPEKDVAKSLTKFLRFNPVNEFEPFFESLGLSPSEVSTLVPQRLMFLRDDSVMLDNFHVLCDYGIPRSKMGKMYKVAREIFGYDYGVLALKLQAYENLGLSKPTVIKLVSCCPSLLVGGVDAEFAGALERLKVLGIKNDDIGGYLSGKGMYDWGRMLDMLNFLDRVGYNEEQLGNLFKTNPALLFEGSGKKVYVLFGRLIKLGLRMNEVHSLFMQNPHILSVKCTKNLFKALDFLFDIAMDTEDIAHIVSRHVELMGSCSLKGPKTVCRELNVEKEELCLIIKEDPLKWFSLASKSKVLSSGQVASKDTSKYLEKTTFLLRLGYLENSDEMLKALKQFRGRGDQLQERFDCLVCAGLDCNVVKNLIRHAPMVLNQSKDVIEKKIDCLKNWLGYPLESVVAFPAYLCYDMERISRRFSMYVWLRERGAAKPMLSLSTVLACSDARFVKYFVDVHPEGPAKWETLKKSLHSI >EOY28809 pep chromosome:Theobroma_cacao_20110822:6:26597894:26600908:-1 gene:TCM_030304 transcript:EOY28809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain containing protein 4 MASRDKKPAKPSSSSRAGGIRTLSDLNRRSGPDSDSDSDSPQEYYTGGEKSGMLVQDPSKNNDVDEIFNQARQLGAVEGPLEHLRPSSSSRSFTGTGRLLSGETVPTAPQQPESVIHNIVFWSNGFTVNDGPLRRLDDPDNASFLESIRKSECPKELEPADRRSAVHVNLIRRDENCPEPEKQRHVAFQGVGRTLGSSSSTSAAPEPTISSTPLNTPPNPTPAVVVDESLPSTSIQLRLADGTRMIAQFNFHQTVDDIRAFINASRPGSAINYQLQIMGFPPKLLTDPTQTIEQAGLANSVVIQKL >EOY25982 pep chromosome:Theobroma_cacao_20110822:6:3250699:3260905:1 gene:TCM_027358 transcript:EOY25982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural constituent of ribosome, putative MALHLARPKRRSLTNPSLCHLLSTFSNHPNSENTTTTDSNSPGNSPSSDNPSLISSYFSDIKAGLKHQSNERPTSPFSRNRTNPSSPTSKTIPMSAFVAEIRKNLSDYRERSAVPPPTESSAIPSQSRPHISFQEIYKRNASAKQGDSNVNPASSGPGRKLGFETIRESLGKIKKKPGATNVEEKTGPFWSLSHYKESFKLKPSEGNVVYPVIGGTELPKSVFGREMTERTKEGEAAMKTEFVKMYSYGELGMRLKQLRPERKGGEGWFSLQELNERLMKLREMDSKESESRIGEAFKDLRKSLYDIKRADDEKQKRTFIQRIDILDHLGRTPNFMLRPPKEHLVEKYFHPDNMSSAEKLKIELAKVRDEFKMSESDCGSARVQVAQLTTKIKHLSSVLHKKDKHSRKGLIAMVQKRKKLLKYLRRTDWDSYCLVLSKLGLRDNPDYKN >EOY27376 pep chromosome:Theobroma_cacao_20110822:6:21466481:21468298:-1 gene:TCM_029236 transcript:EOY27376 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MVVSTVESSRKRALKKLESLRKSVTAACSVERNKTQTPRYLLKSMSKENKKPPIAVNNQSSVISTGRKPGAKRVKRHVNSTIFLFAILFTADSGNLLSDDFYFSALNDDEEVFPLSDEKYTFELQLQEVLMSSAISSRVPTGLTDWQMFQHFNSRKSSHKGKEKETGESSNSQTQGRLCLICMDVKPTEEIFTSNGCTHLFGNFVAVKIQENILVIKCPELNCKVIDFGSQKFYCPFQDCSAMFVDDGGHHVVESECPNCHRLFSAKCKVAWHAGISCSEFQNLSEDERASEDIMIMELDK >EOY28435 pep chromosome:Theobroma_cacao_20110822:6:25353412:25354705:1 gene:TCM_030003 transcript:EOY28435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKLIVIMKLWKSRTLEFVFKGNMVDPIQLVMIVVLQRGDLLPLSHMILVDSVSFVRFLATVFITQLLANAARQVWSQVHCLCGKKPWAVMKNQQMLQMPSLIALRRWFKQRLHVFQHIAAGCMNTELCVTWDLNESMLV >EOY28167 pep chromosome:Theobroma_cacao_20110822:6:24422278:24427022:1 gene:TCM_029807 transcript:EOY28167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphorylase MPNGFSSNFGLSCSRDFYELWPHKFQYKTNGVTQHRWIVVSNPGLCALISKWLGTEAWIHDVDLLTGLRDDAANGELRQEWKMVSLDAMVDMQTKRIHEYKRRLLNILGIIHRYDCIKNMGKKDRVKVVPCVCIIGGIAAPGYETAKQIIKLCHAVAEKINNNSDIRDLLKLVFIPDYNVSVAELVIPGADLSQHISTAGHETSGTSSMKFLMNGCLLLATKDGSTVEIIEEIVDDNMFSFSFYLVQFSRVVRMIRDGYFGFKDYFKSLCDDIEGGHDYDLLGAEFKSYLEAQAAADKAFVDQERWTQNEYTQYCWLWEI >EOY27980 pep chromosome:Theobroma_cacao_20110822:6:23823714:23830096:-1 gene:TCM_029682 transcript:EOY27980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative isoform 1 MGGRWNPIGFHLLSFLFFIPSFMIQESLAINSEGLALLEFRAKIDSDSYGAFENWNSNDSTPCMWFGVHCVDSKVQMLDLSALSLEGTLAPELRKLSDLRSLVLYKNRFSGAIPKEFGALMKLELLDLRENNLGGTIPAEIGRMLSLRRLLLCGNKFEGNIPSDLGRLNLLSDLQFAENLTLTSATGIGCVNRKFGLCIWQSSLKQLNQAESLLIPIKGALIHYLNALPLPLFKLQKDSLDEHRDSCCSDVPGSSEQQMAHNIRNLVPFARRRLLEQSKNLPAAPAAAVSSTEQIISLPTARSSGTFPAVPKGTKKQSHAPAPQLHSSLQGTDSKPVDHSSQTSNAEPTAQQPSPSGNIWKYLIVIPCVVVLLVVVAMVIMCRKRAAKTIGPWKTGLSGQLQKAFITGVPKLNRSELETACEDFSNIIDTIGCCTVYKGTLSSGVEIAVASINVSSLKVWSKSSEMAYRRKIDTLSRVNHKNFVNLIGYCEEDEPFNRMMVFEYAPNGTLFEHLHVKEMEHLDWNTRMRIIMGVAYCLQYMHHDLNPPVAHTNLNSAAIFLTDDYAAKVAEICSSQPALKLKTSGDAESEHSELPPFADPEVNVYSFGILLLEIISGKLPYSEEQGPLEKWAAQYLNDKRSISYMIDPTLKSFKNNELDVICEVIQECIEVDPRQRPTMKDVTSKLREVINVPPEQATPRLSPLWWAELEILSVG >EOY27981 pep chromosome:Theobroma_cacao_20110822:6:23824050:23829045:-1 gene:TCM_029682 transcript:EOY27981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative isoform 1 MGGRWNPIGFHLLSFLFFIPSFMIQESLAINSEGLALLEFRAKIDSDSYGAFENWNSNDSTPCMWFGVHCVDSKVQMLDLSALSLEGTLAPELRKLSDLRSLVLYKNRFSGAIPKEFGALMKLELLDLRENNLGGTIPAEIGRMLSLRRLLLCGNKFEGNIPSDLGRLNLLSDLQFAENLTLTSATGIGCVNRKFGLCIWQSSLKQLNQAESLLIPIKGALIHYLNALPLPLFKLQKDSLDEHRDSCCSDVPGSSEQQMAHNIRNLVPFARRRLLEQSKNLPAAPAAAVSSTEQIISLPTARSSGTFPAVPKGTKKQSHAPAPQLHSSLQGTDSKPVDHSSQTSNAEPTAQQPSPSGNIWKYLIVIPCVVVLLVVVAMVIMCRKRAAKTIGPWKTGLSGQLQKAFITGVPKLNRSELETACEDFSNIIDTIGCCTVYKGTLSSGVEIAVASINVSSLKVWSKSSEMAYRRKIDTLSRVNHKNFVNLIGYCEEDEPFNRMMVFEYAPNGTLFEHLHVKEMEHLDWNTRMRIIMGVAYCLQYMHHDLNPPVAHTNLNSAAIFLTDDYAAKVAEICSSQPALKLKTSGDAESEHSELPPFADPEVNVYSFGILLLEIISGKLPYSEEQGPLEKWAAQYLNDKRSISYMIDPTLKSFKNNELDVICEVIQECIEVDPRQRPTMKDVTSKLREVINVPPEQATPRLSPLWWAELEILSVG >EOY25574 pep chromosome:Theobroma_cacao_20110822:6:499929:514408:1 gene:TCM_026957 transcript:EOY25574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MGNLCSVSISMEGSVSRCWDCVVGQASYTCKLEDNLKALRKELEELQARRDDVNRRVDLAEQQRMKRLNEVRLWLSRVQTAEAEAEVLIKDGPQQIQKLCFAGCFSKNCKSSYNFGKQVTRKLTEIVDLKNAGVFERVAENELAAQVDVRPVGSTVGLERTFDEVWRLLEQNNVGSIGLHGLGGVGKTTLLTQINNKLSNDLIGYDVVIWVVVSKDHNIEKVQEKIGEKVGLSHNETWKNKSFDEKAIEIWRVLSKKKFFLLLDDVWERVDLIKVGIPEPDQENGSKLIFTTRFLAVCGQMGAHKVIKVECLSKDDAWKLFKDKVGEETLDSHLVIRGLAKQVVDECEGLPLALITIGRAMAYKMTPKHWEYAIKVLQEFPHKLASMDKKVYSLLKFSYDNLPKNTMRSCLLYCSLYPEDFEIPIDELKDYWFCEGFLDEFDNIIDARMQGEDIINSLLNACLLERCKDSAECVKMHDVIRDMTLWIACECEALEKRFFVKIGLRAIKAFDVENWEGVRMSLMYCQIKDLRGTPTCPNLQTLFLNSCRLKVISDGFFQFMRNLRVLNLSSNYLLKELPQGISELISLECLDLHETYISELPIQLNKLSKLKYLDLRRTSHLHKIPQQLICKFSMLQIFKMSPINFIDVGIDNALSSSGDSLIEELKCLQHLKVLWIEIRTDFALKSLLSCHNLRGCTEGLYLRA >EOY26828 pep chromosome:Theobroma_cacao_20110822:6:18083055:18084219:1 gene:TCM_028778 transcript:EOY26828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein, putative MQEDNSLEKSLAWLQDVVQGAIGQELETRALDGLRITHAQKGFIRCNFVVSNRASGVDGNWHVGAIATLMDVVGAVAVYSVAHRVITSVDFSISYYSTAKIHEQVEVDAKVMANKGRLTQVMVEVRRKGNGELIASGKQWMASNNLRVSQVEHSI >EOY26228 pep chromosome:Theobroma_cacao_20110822:6:6025673:6041802:1 gene:TCM_027671 transcript:EOY26228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strubbelig-receptor family 5 isoform 2 MTFYRGYFFESAHHTGGTRDILSPRELSEKLAFANSTNNSHLRGHHLVPRLRLSLSLSTFSSTTQIELSPLFRQLWDPRSCRSVLLSLASAAAPLSLFLRSVLLPLASAVNPGVNLQILPPSRLRLRNFGAVHCFVSSHGRCGCRCCCPREAGGFLLAVPPLLYTRFSGSGGGTLHCLVPGHPGANSTILPDPKNSRLAGFFWHRNMHHFLLGYLILSLGILISLVHSKTDSPDVSALNVMFKSLNTPSQLSGWRANGGDPCDDSWEGIKCSGSSVTEIKLSDYELSGQLGYQLSSLTSVTTFDLSKNNLEGDIPYQLPPNAVHIDLSENKFSGTVPYSISQMTSLEDINLSHNQLNGQLSDMFGKLQKLKSFDVSFNQLSDKLPNSFANLASINTLHLQDNQFTGSINVLADLPLNDLNVENNKFTGWIPNELKDIDNLETGGNSWSSGPAPPPPPGVQHSHPNGEGKESNDVEKSVVNAVIIAVSCLGVLVVLALLIAVFSKRRSPPPSSHFLDEEMISGRKGFTPLQSRELSPESYVGILKDPKEFKSMDSSVAIDMKSLQKSPSMGLKRSVSGRVSFSANEFASRLKGRRSTSVHAVPYSLADLQNATANFASGRLLGEGTIGRVYKAKYPDGKVLAVKKIESPLFQGERPEGFSEIVTNISKLHHPNIAELVGYCSEQGHNMLVYDYFRNGSLHEFLHVSDDFSKPLTWNTRIRIALGAARAVEYLHDSCSPPIVHKNIKSSNILLDLELNPHLSDYGMANFHQRTSQNLGLGYNAPECTTPAAYTLKSDVYSIGVVMLELLTVKDQNQKSAW >EOY26227 pep chromosome:Theobroma_cacao_20110822:6:6025673:6041802:1 gene:TCM_027671 transcript:EOY26227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strubbelig-receptor family 5 isoform 2 MTFYRGYFFESAHHTGGTRDILSPRELSEKLAFANSTNNSHLRGHHLVPRLRLSLSLSTFSSTTQIELSPLFRQLWDPRSCRSVLLSLASAAAPLSLFLRSVLLPLASAVNPGVNLQILPPSRLRLRNFGAVHCFVSSHGRCGCRCCCPREAGGFLLAVPPLLYTRFSGSGGGTLHCLVPGHPGANSTILPDPKNSRLAGFFWHRNMHHFLLGYLILSLGILISLVHSKTDSPDVSALNVMFKSLNTPSQLSGWRANGGDPCDDSWEGIKCSGSSVTEIKLSDYELSGQLGYQLSSLTSVTTFDLSKNNLEGDIPYQLPPNAVHIDLSENKFSGTVPYSISQMTSLEDINLSHNQLNGQLSDMFGKLQKLKSFDVSFNQLSDKLPNSFANLASINTLHLQDNQFTGSINVLADLPLNDLNVENNKFTGWIPNELKDIDNLETGGNSWSSGPAPPPPPGVQHSHPNGEGKESNDVEKSVVNAVIIAVSCLGVLVVLALLIAVFSKRRSPPPSSHFLDEEMISGRKGFTPLQSRELSPESYVGILKDPKEFKSMDSSVAIDMKSLQKSPSMGLKRSVSGRVSFSANEFASRLKGRRSTSVHAVPYSLADLQNATANFASGRLLGEGTIGRVYKAKYPDGKVLAVKKIESPLFQGERPEGFSEIVTNISKLHHPNIAELVGYCSEQGHNMLVYDYFRNGSLHEFLHVSDDFSKPLTWNTRIRIALGAARAVEYLHDSCSPPIVHKNIKSSNILLDLELNPHLSDYGMANFHQRTSQNLGLGYNAPECTTPAAYTLKSDVYSIGVVMLELLTGRMPLDSKRPKSEECLVKWASPKLHDIDALARMVDPALRGLYPLKSLPPFADIIALCVQSDPKLRPPMSEVVQALVRLVQQSTIHMREDLSASRRTEDSD >EOY26887 pep chromosome:Theobroma_cacao_20110822:6:18888973:18898288:1 gene:TCM_028855 transcript:EOY26887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MDPRLLEAIAGNDALALTSLVRENEGILEQRTTNSLNTALHLALRFGSNNLVMEIIKLQPNLVATENRKLETPLHEACRAGNAEAVMLLLESNPWIACNLNCENQSPLFIACSNGRLNLIKLLLNQPWLQGLEDDADLTCAHEAASKGHIEKMREILKIFPDMGRKVDRNGRSPLHYACSKGHLDITKMLLKHDLDLAFQFDNNGYTPLHLAAMNDDWFSVRPISSTDQTRMGTQSCIGHTVLDILNQAGYTSEIQLLKESIKRAGDRTGIAFPEIQSPREALEQQFELLLETGSQHEYAHDNRVPELLPRSVMATNSRVNLLPRQAHEKPEIENADSLQCESSQRTKRSPPISMRQCRRHSRRNRQDMIEFYKYGQHKQHNAYKEALQNARNTITIVAVLIATVTFSAGISPPGGVYQEGLLKGKSMVGRTIAFKVFVISNNIALFTSLSIVIFLVSIIPFQRKPLMRFLIIAHKVMWLAVSFMTKAFVAATCIIVPHGHGNGWLREASAAIGAGSVVLAFICLGVMLARHMVRKVKWRKEKGERKETNNESKSHSHSSNSDQESAKYLGYHAY >EOY27215 pep chromosome:Theobroma_cacao_20110822:6:20734548:20740857:-1 gene:TCM_029111 transcript:EOY27215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical, putative MSQHPFPTKGNTFSTISHYLQFSKTISQLKQTHSFFLKTLPKPLYQHFLTQFLTQLLRGPGDNLGYPRHVFDQIPNCKNEFLWTSLIRSHVFQGHFIQSILLYSRMQRKGISPSGFTFSSVLNACARVPAMFEGKQVHASVEKSGFLRNNVVQTALLDLYAKCGFLLDAQRLFNAMEEKDVVAWTAMICGYTKVGLMDEAWCLFDAMEERNVVSWTAMVAGYADYGDMGAAKEFYDRMVEKNSVAWLAMIAGYGKCGNVSEARRVFDETVKPDASCWAAMVACYAQNGYAKEAIEMYVLMRDQSVRITDVAIVGALSACTQFGDVKMAEALAKNIEEGCCDRTLFVSNALIHMHAKFGCMEQAWMEFSRMKERDVVSYSTMIAALSDHGQFQEALVLFSKMQKEGIKPNQVTFIGVLNACSHGGLVEEGCKLFELMTQILKIVPLSEHLTCVVDLLGRAGHLEKAYNLIIEYGDASDAGIWGALLGACRVYGNAELGEIAASHLFEIEPENVGNYVLLANIYASLNKWEDAERLRKVISEKEKRKSPGCSWVSN >EOY27479 pep chromosome:Theobroma_cacao_20110822:6:21978106:21986704:-1 gene:TCM_029318 transcript:EOY27479 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein, putative MAASSRRRKGKVRWSKLYTFACLRPSTSEPSSAQELIGQPGFSRVVFCNEPHLHKRKPFKYPHNYISTTKYNVLTFLPRALFEQFRRVANFYFLLAAVLSLLSLAPFSRASLIAPLVFVVGISMLKEAVEDWHRFLQDLDVNNRTVKAHASSGVFVDKLWKELRVGDVVKVNKDEYFPSDLLLISSSYEDGVCYVETMNLDGETNLKIKRCLEATLSLNEDEEFRNFKAIVRCEDPNPNLYTFVGNLEFENRSYPLCPSQVLLRDSKLRNTDYIYGVVIFSGHDTKAVRNSTRSPSKRSRMERIMDRIIYLLFSILLLLSLVSSIGSLLFLRHHMVDWWYLQLPDDNKVHDLDASNQKKDNDKFFNPSKPVKSASLQFIRALILYGYLIPISLYVSIEVVKVLQAMLINKDIEMYDEATRKSVQARTSNLNEELGQVEMILSDKTGTLTCNQMEFRKCSIAGISYGGDVTEVDLAASKRMNVDFEASQLSIDESDRISQSYEEFEFSVSDFSAKKAVLGCREVLDNTNKGNSRLSEEESVIKGFNFRDDRLLNKNWIHGSNSSEITMFFRVMALCHTGIPIEDDKIIKLRYEAESPEEVAFLVASQEFGFQFFRRTQSVMVLKEFDPSSRMEVEREYKLLNLLEFSSSRKRMSVIVSNEDGQIFLLCKGADSIIFDRLADNGRTYEQATTSHLSNYAEDGLRTLAFAYRTVEAAEYECWNTIFTQAKTTIGPEREELLEKASEMIEKDLILLGVVAVEDKLQKGVPECIDKLAQAGLKVWLLTGDKRETAINIGFACSLLRQDMKQFHLSLSREAESNNQVKAMKIDILHQIESSYKVMCQERNKEAPFALIVDGKALEVALRGDVKDQFLQLAVNCASVICCRVSPKQKALITRLVKEYTGRTTLAIGDGANDVGMIQEADIGVGISGMEGMQAVMASDFSLPQFRFLERLLIVHGHWCYQRIAKMVLYFVYKNVAFGLTLFYYELYTSFSGEVLYNDWYMTMFNVMLTSLPVIALGVLEQDVSSDVCLQFPALYQQGPRNVHFSWSRIIGWILNGVVTSLVIFLANIYILSPSAFRQNGYVADINSLGAITYTCIIWTVNCQIALITSHFTWIQHLSIWGSILLWYIFLILYGALPPYVSGNAFQVFIEDIGPAPLYWIVTLLVVIVSLLPYFAHIVIQRSFYPMDDHIIQEMKYCFKKDIVRNNQMWLREQRNSQRSTHIGFSARVDAKVLSFKEQLHQKNYQFTDDL >EOY28071 pep chromosome:Theobroma_cacao_20110822:6:24162607:24163063:-1 gene:TCM_029749 transcript:EOY28071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSWRFLACLLLILYLVSRFECRLLNPNIEGRSPTRSFRMLSLATSSGTVYQFKLEVRDEDNNENPDESKRISPGGPDPKHH >EOY25777 pep chromosome:Theobroma_cacao_20110822:6:1859745:1860208:1 gene:TCM_027145 transcript:EOY25777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSTSSFKFNFSETLQLKGIHLTSFKLLLAGASVILIATIIYFRCIRGRPRNNAEVDLDIDAGIELRTMN >EOY28184 pep chromosome:Theobroma_cacao_20110822:6:24490067:24496208:-1 gene:TCM_029824 transcript:EOY28184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 4 isoform 1 MLRFTLLWLLVFFLSLSSSSARPAPFAMRISCGARQNVQTPPTYARWYKDFAYTGGIPANATRPSFVTPPLKTLRYFPLSEGPENCYNIKRVPKGHYSVRVFFGLVAQPDSDNEPLFDVSVEGTLIHSLKSGWTSHDDQVFAEAFVFLLDGTVSICFHSTGHGDPAIISIEILQVDDRAYYFGPEWGKGVILKTTSRLTCGTSKPKFDEDYSGDHWGGDRFWQPIRTFGQNADEPRSTESGIKQASDAPNFYPEALYQSAIVSTDSQPDLAYTIDVEPNKNYSIWLHFAEIDASISGAGKRIFDVLINGGTVFENIDVVDMSGDRYTALVLNTTVALSGRTLTITLRPKTGNHAILNAVEVFQVIAAESKTSPEEVRALQALKKALGLPNRFGWNGDPCVPKEHPWSGADCHFDKSGSKWFIDGLGLDNQGLRGFLPNDISKLHHLQSLNLSGNTIHGAIPSSLGTVTSLEVLDLSYNFLSDSIPESLGQLTALRKLNLNGNSLSGRVPAALGGRLLNGASFNFTDNAGLCGIPGLPTCGPHLSAGAKAGIGFGASLSFLLLVICSVCWWKRRQNILRAQQIAARGAPYAKARTQLSHDIQMSRHHNHGHARTAAENGPSLLS >EOY28185 pep chromosome:Theobroma_cacao_20110822:6:24488695:24496164:-1 gene:TCM_029824 transcript:EOY28185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 4 isoform 1 MLRFTLLWLLVFFLSLSSSSARPAPFAMRISCGARQNVQTPPTYARWYKDFAYTGGIPANATRPSFVTPPLKTLRYFPLSEGPENCYNIKRVPKGHYSVRVFFGLVAQPDSDNEPLFDVSVEGTLIHSLKSGWTSHDDQVFAEAFVFLLDGTVSICFHSTGHGDPAIISIEILQVDDRAYYFGPEWGKGVILKTTSRLTCGTSKPKFDEDYSGDHWGGDRFWQPIRTFGQNADEPRSTESGIKQASDAPNFYPEALYQSAIVSTDSQPDLAYTIDVEPNKNYSIWLHFAEIDASISGAGKRIFDVLINGGTVFENIDVVDMSGDRYTALVLNTTVALSGRTLTITLRPKTGNHAILNAVEVFQVIAAESKTSPEEVRALQALKKALGLPNRFGWNGDPCVPKEHPWSGADCHFDKSGSKWFIDGLGLDNQGLRGFLPNDISKLHHLQSLNLSGNTIHGAIPSSLGTVTSLEVLDLSYNFLSDSIPESLGQLTALRKLNLNGNSLSGRVPAALGGRLLNGASFNFTDNAGLCGIPGLPTCGPHLSAGAKAGIGFGASLSFLLLVICSVCWWKRRQNILRAQQIAARGAPYAKARTQLSHDIQMSRHHNHGHARTAAENGPSLLS >EOY28022 pep chromosome:Theobroma_cacao_20110822:6:23959759:23963084:-1 gene:TCM_029710 transcript:EOY28022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketol-acid reductoisomerase isoform 1 MAATTTSFKASLSFAPSISPSPSSNTKSTAHKLRFTSSSWYSPTLNSLIAPHVPTNNNASSNGGRSSLSAKMISVPSSISPTTSLDFHTSVFKKEKISLAGHDEYIVRGGRHLFPLLGDAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSRSFAEARAAGFTEENGTLGDIWETIAGSDLVLLLISDSAQADNYEKVFSHMKPNSILGLSHGFLLGHLQSMGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQGVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGVVECLFRRYVENGMSEDLAYKNTVECITGIVSRTISTKVISVACSAFSFFWSLYLWICFSVCFCRVCWLCTTHCLKKAKSDLRLFIVPHIILAWKSFMSVMKMLQAEARFAVSFWLGVVFMKRRVYLPSRWVKLIRHGCGKLVSVSEQQDQKMI >EOY28021 pep chromosome:Theobroma_cacao_20110822:6:23958891:23965343:-1 gene:TCM_029710 transcript:EOY28021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketol-acid reductoisomerase isoform 1 MAATTTSFKASLSFAPSISPSPSSNTKSTAHKLRFTSSSWYSPTLNSLIAPHVPTNNNASSNGGRSSLSAKMISVPSSISPTTSLDFHTSVFKKEKISLAGHDEYIVRGGRHLFPLLGDAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSRSFAEARAAGFTEENGTLGDIWETIAGSDLVLLLISDSAQADNYEKVFSHMKPNSILGLSHGFLLGHLQSMGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQGVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGVVECLFRRYVENGMSEDLAYKNTVECITGIVSRTISTKGMLAVYNSLSEEGKKRFEAVYSASYYPCMEILYECYEDVASGSEIRSVVLAGRRFHEKEGLPAFPMGKIDQTRMWKVGERVRATRSKDDLGPLCPFTSGVFVALMMAQIEVLRKKGHSYSEIINESLIEAVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQAFVAVDSGAPINQDLISNFLSDPVHGAIEVCAQLRPTVDISVPPDADFVRPELRQSS >EOY28638 pep chromosome:Theobroma_cacao_20110822:6:26114742:26118120:1 gene:TCM_046849 transcript:EOY28638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 47 isoform 2 MNYIGVNSYRFSISWARILPKGRFGSVNKAGINHYNKLINSLLVRGIKPFVTLTHYDIPQELENRYGAWLSPQVQEDFKYYADICFKHFGDRVKYWVTFNEPNVAVIRGYRSGLYPPSRCSSSFGNCSSGDSENEPFVAAHNIILSHAAAVDIYRTKYQKQQRGSIGIVMNAIWYEPISNSFEDKQAAERAQSFYMNWFLDPIILGKYPLEMQEILGSDLPVFSNHDQKKLKSGLDFIGVNHYTSFYIRDCMFSVCEQGPGSSKTEGFALRTALKDGIFIGKSTAVDWLYVYPQGMDKIVTYIKERYNSIPMFITENGFGENDKADSPTEESLNDVNRVEYISGYLDTLAAALRKGADVRGYFLWSLLDNFEWTSGYTIRFGLHHVDYATLERTPRASAIWYKQFIANHTSPQEFSA >EOY28637 pep chromosome:Theobroma_cacao_20110822:6:26115731:26118404:1 gene:TCM_046849 transcript:EOY28637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 47 isoform 2 MMMKQEDLNLMNYIGVNSYRFSISWARILPKGRFGSVNKAGINHYNKLINSLLVRGIKPFVTLTHYDIPQELENRYGAWLSPQVQEDFKYYADICFKHFGDRVKYWVTFNEPNVAVIRGYRSGLYPPSRCSSSFGNCSSGDSENEPFVAAHNIILSHAAAVDIYRTKYQKQQRGSIGIVMNAIWYEPISNSFEDKQAAERAQSFYMNWFLDPIILGKYPLEMQEILGSDLPVFSNHDQKKLKSGLDFIGVNHYTSFYIRDCMFSVCEQGPGSSKTEGFALRTALKDGIFIGKSTAVDWLYVYPQGMDKIVTYIKERYNSIPMFITENGFGENDKADSPTEESLNDVNRVEYISGYLDTLAAALRKGADVRGYFLWSLLDNFEWTSGYTIRFGLHHVDYATLERTPRASAIWYKQFIANHTSPQEFSA >EOY27907 pep chromosome:Theobroma_cacao_20110822:6:23550290:23552645:-1 gene:TCM_029626 transcript:EOY27907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATASSKLSALFPILFLLLLTLSQSSSSSSSSPDNITGKEPSVSRYRTLLGLQRNTKNQIPSCGEMMSRSQCLQNPKCRWCHSEALDNLCFSKAEAWRLPQQVFLCD >EOY27696 pep chromosome:Theobroma_cacao_20110822:6:22836705:22838262:1 gene:TCM_029481 transcript:EOY27696 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGS domain-containing protein isoform 1 MAEELALDLEELRQLQSIAKRPRILSLLSSEIRNLEKLQTSNEVAKAPTPATVSQIPTPISTSGKVPVNPALSYVTLASFSWDQDNDKVKIYVSLEGVNQEKIQTEFKPMSFDVKFHDVQGKNYRCAIPKLNKDIVPEKCKVLVKPTRVVITLFKASKGNWSDLHYKEDKVIANNIG >EOY27695 pep chromosome:Theobroma_cacao_20110822:6:22836601:22838709:1 gene:TCM_029481 transcript:EOY27695 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGS domain-containing protein isoform 1 MAEELALDLEELRQLQSIAKRPRILSLLSSEIRNLEKTSNEVAKAPTPATVSQIPTPISTSGKVPVNPALSYVTLASFSWDQDNDKVKIYVSLEGVNQEKIQTEFKPMSFDVKFHDVQGKNYRCAIPKLNKDIVPEKCKVLVKPTRVVITLFKASKGNWSDLHYKEDKLKPNLDKERDPMAGIMDLMKNMYEDGDDDMKRTIAKAWTDARSGKTADPLKGYR >EOY27694 pep chromosome:Theobroma_cacao_20110822:6:22836703:22838758:1 gene:TCM_029481 transcript:EOY27694 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGS domain-containing protein isoform 1 MAEELALDLEELRQLQSIAKRPRILSLLSSEIRNLEKLQTSNEVAKAPTPATVSQIPTPISTSGKVPVNPALSYVTLASFSWDQDNDKVKIYVSLEGVNQEKIQTEFKPMSFDVKFHDVQGKNYRCAIPKLNKDIVPEKCKVLVKPTRVVITLFKASKGNWSDLHYKEDKLKPNLDKERDPMAGIMDLMKNMYEDGDDDMKRTIAKAWTDARSGKTADPLKGYR >EOY26620 pep chromosome:Theobroma_cacao_20110822:6:15477622:15487182:1 gene:TCM_028498 transcript:EOY26620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 12 MGRENFNELEGNTLENFSTSLHDEDDEEALKWAALERLPTFDRVKKGLLLGSRGRTSEIDIKNLDFHERIKTVERLVKNTEEENEKFLLKLRNRIDRVGIKLPTIEVRFEHLNVDAEAYVGSRGLPTFLSYYTNMVESFLCFIHVLPSRKKQIRILQDVGGIIKPSRMTLLLGPPSSGKTTLLLALAGMLDQDLRSTGRVTYNGYDKREFVPQKSAAYISQLDLHIPEMTVRETLAFSARCQGVGSQYDMLMELLRREKASNIKPDPDIDIFMKAAATEGQEMSIIVDYVLKILGLEVCADTLVGDAMLRGISGGQKKRLTTGEMLVGPAKVLLMDEISTGLDSSTTFQVVNAIKRYVNILDGTALISLLQPPPETYDLFDDIILLSDGQIVYQGPREYVLEFFGSMGFKCPERKEVADFLQEVTSRKDQEQYWANKDEPYHYVTAKTFAEAFKSFHIGLKLIVELHTPFDKSKSHRAALTSKKYGARKKELVKACLSRELLLMKRNSFVTKFKLMQISALAFVFMTVFFRTEMHRDSISDGGMFMGSLCFLLYLMLFNGFSEMGMVIAKLPVFYKQRALLFYPPWAYALPSWFLRIPITVIEVAVFVVPIYYVTGYDPNIGRFFRLYLQLLLMKVMASAFFRFLGAVGRTAIVGNTFGALALMTILIFGGYMLSRDNVKKWWIWLYWLSPAMYGQNALAVNEFLGNSWKHPVYPNSTKPIGVAVLEARGMFPYSYWYWLGIGATIGFTLLCNCLFTIALTYLKPIEKRESFISEETLKSRWVDNIGATIELPHQGRRSVGGTESAERGIGMMRRVSSAITLTTTGTNSEVHQSQPKRRGMILPFELHSITFDEIRYFIDMPQEMKEQGVNEDRLELLKGVSGTFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGTITVSGYPKKQETFARIFGYCEQNDIHSPYVTVYESLVYSAWLRLPLEVDSETRKIFVEEVMELVELSSLREALVGLPGVNGLSLEQRKRLTVAVELMSNPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELYLMTRGGQEIYVGPLGQHSCHLITYFEGIEGVGKIKDGYNPATWALEVTTSVQAALGINFNDVYRNSELYRRNKALIKELSTPSPGSKDLYFVTKYSQPFFIQFKACLWKQHCSYWRNTSYTAFRLFFTAFAALIFGSMFWDLGSKWKRQQDLFNAMGAMYTSVIFIGVNNTFSVMPIIAVERTVFYRERAARMYSAMAYTLAQAMIELPYLFVQAVVFGVIIYAMIGFQWNAAKFFWYMFIMYFNLSGYTFYGMMVVALTPNLQVAYIVSTAVYGLWSLFAGFLIPRPRIPVWWRWYYWACPLAWTLYGLTTSQFGDVKDTLDTNETVQSFIRSYYGFRHDFLGVVAAVMVAFPAFYALTFAFSIKVLNFQKR >EOY27807 pep chromosome:Theobroma_cacao_20110822:6:23183542:23194241:1 gene:TCM_029561 transcript:EOY27807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, PRP39-2,PRP39-2, putative MEVQISTTETESHPETGDSLGFDEVKLKEFIAQGKLDFDGWTSLILDVENSFHDEIEKICLVYDSFLFEFPLCYGYWRKYADHVIRLCTIDKAVEVFERAVQSATYSVGVWVDYCGFAISVFEDANDIRRLFRRAMSFIGKDYLCHTLWDKYLEFEFSQQQWSSLANVYIRTLRFPSKKLHRYYEGFQKLAATWKEEMQCLNDLDLESDPKVENEVSTCYTDDEISSVIKDLLDPSTGVDGTKALEKYLSIGKQFYQEASQLGEKIHRFETSIRRPYFHVNPLDITQLENWQEYLNFVEMHGDFDWAVKLYERCLIPCANYPEFWMRYVDYVESKGGREIANFALARATQIFLKRMPVIHLFSARFKEKIRDVSGAHVALAEYETESDLSFVETVSIKANMEKRLGNFVAASNIYKEAVEIAAAKEKFDILPILYIHFSRLQYMITSKSDAARDILIDGIKHVPHSKLLLEELIKFGMMHGGHTHIHVLDAIIDNAISPGLSQGMNAEEAEDVSSLYLQFVDLCGTIDDIRRALNRHIKCFPGSTRMSTYMFSVNGIKPIPLKMTSGRRQESLGALPSHPSGGGSLDVPTQSLSLDKIMKSPENDDTQRNHAALDWVLDKKSPRQENHEIPSDQATVNRLQSEVDESLQEGMQQGSEDVSKQLREDIKANTNLSSPDLIHEVTNEVEALQTSEENSKENDIKQEHDHKSEQDLNQLSLERLSLDHLDHKCSDSIRVANQEGETFVETRLSNGSMVKKEPPQETSMCYGSVPEGGQSNDGHHLVSSPRSAQASDSAGIQTEMASPSSSASQQNIKKTEPPLRRTPPYGGGSWHQRSKADRVHRENKLGFRRHSHKRLQQRQQVSPQRLCPRSDTGTQVPMSQGYPSQPMSWQSPQVQQGGQTQSQYSTSAAHPNLITAHGWSMHNMQLQNFVPSQSQVLPQPAHPPPQISQHPMQSNEQLGQMQNNQAYNQMWQYYFYQQQQQHPFLLQQPHNQQPQPQQQQFLLQQPHNQQPQPQQQLLQQQYQQHQQMLQVQQQQLPYQHPQLLQLEQQHQFVQHQQQQYLQQQQQLMQEQQLQQQGSYLQQLPPQNHHLFLQQQQQEQEQRQQEEQIATSQVQTLNDSSKEESMMETRVQTRLQGQGTLSHGTDASKTVSSAASPNSKQRSYSS >EOY27823 pep chromosome:Theobroma_cacao_20110822:6:23232991:23235464:-1 gene:TCM_029568 transcript:EOY27823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MNKCNVEISRAFLQKTKYSNTLFAISIFRPFSSTNVKILSQSFTTISSNPKSRTPNCKFLLSDHLKNQSLDEAREVLNKIPFPGVHLYTMMIDGYAQSYRLDDAMALFEKMPVRDTVSWNSMIKGCLHCGDLVTAKKLFDEMPGRNVVSWTTMVNGYLKFGKVEVAERLFFEMPARDVAAWNSMIYGYLKFGRVEEAMSLFRKMPNRNVISWTAMIGGLDYNGRSDEALVFFKKMVGCDVELSSSTFSCVLTACANVIALHLGVQTHGQIVKLGFCFDEFICASLLTFYANCKEMEYACKVFNEKLHGNVVIWTALLTGYGLNHRHESALMVFGDMIRMSVLPNQSSFTSALNSCSGLETLDRGKEIHAVAVKLGLEADAFVGNSLVVMYTVCGNVNDALAAFSSVDEKNIVSWNSIIVGCAQHGQGMWALTLFGRMIHAEVDPDEITFTGLLSACSHSGMLQKGRRFFEYISRYKSTAMKLQHYVCMVDVLGRSGKLEEAEELIKNMQVKANSTVWLALLGACRMHSNLEVAERAAKSILDLEPHCSAAYVLLSNLYASAGRWSDVSRMRVRMKQRGIVKQPGCSWVTVRGVRHEFVSGDKSHPFSEEIYQKLDWLGGKLKEFGYVPDERFALHDVEDEQKQEMLSYHSERLAIAFGLVTTAGGSAITVMKNLRVCGDCHSAIKLIAKIVGREIVVRDSTRFHHFKNGICSCGDYW >EOY28579 pep chromosome:Theobroma_cacao_20110822:6:25886342:25890485:-1 gene:TCM_030128 transcript:EOY28579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 10, putative MVSFHISVTLSFYIFLGLFCLNSEAAVNFLNANCPSAGTTTYTRNSTYQRNLNFILSSLQSNSTVESGFYNLEVGQDPSDIVYGLFLCRGDVTQDICQECVNTAAGEIVLLCPDQKTAVIFYDECTIRYSDRSFFSQWKMEFVLYTFNTGNVSTPDGFMALLENTTKGIAGQAANDQSGRKFATEEADFNSFPKLYTLAQCTTDLSVSSCYNCLQTAVSLLPICCLGKPGGRVMLQSCNIRYELYPFYRITAEPQDKKSIWIPLGASLSVTLGLALFSAGGFFIWRRRNFQEDKEISQEVQLLDLVEGRFRNEHTSEDSSGEKGAGSQKLIPSFQLHILHAATNHFSDENKLGKGGFGPVYKGTLADGQEIAVKRLSRTSHQGLVEFKNEVMSIARLQHRNLVRLLGCCLEKNEKLLVYEFMPNRSLDVFLFDPSMAAQLSWQKRFNIIKGIARGIMYLHEDSRLRIIHRDLKASNVLLDHEMNPKISDFGMARIFDGDQNQANTSRLVGTRGYMAPEYAREGLFSIKSDVFSFGVLLLEIISGKKNNGFHVSEHGESLLTFAWKLWSKGQGMELLDLHLAQSCVAVEVLKCINIGLLCVQEDPAVRPSLTSVIVILESETIRLPRPTVPAFFVGRVIEEPTQPTSNDIICSVNDVTISKLSPR >EOY26381 pep chromosome:Theobroma_cacao_20110822:6:8527258:8528519:-1 gene:TCM_027904 transcript:EOY26381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTHFCSTSPMPTPLVWSLPRANPHLFHLTHVVEPLPCQAVPCGASLLLTLSCVDPLLCRVTSASLVPIQPPLPRSFQFETT >EOY28976 pep chromosome:Theobroma_cacao_20110822:6:27005833:27007637:-1 gene:TCM_030427 transcript:EOY28976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIGLRNHFRHVSSRKADHIQSFGTTVFNIPLRDTTDGKTIERRHGWGQERGAEDLCSRGTMCYARWPTNKREKSKFLLFLFLFFHSKDIDVINHRVV >EOY28670 pep chromosome:Theobroma_cacao_20110822:6:26193018:26198457:-1 gene:TCM_030205 transcript:EOY28670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPYRKRLMCYKDTGKKSLMELINEVPPIKVEARIVACEGGICKHHGRWQWQLLQPSSSITFSFEPFEALAKPLPELETLQKQHEEKTLKIQELKRQIVTVKLCLEKKKKKKKNIPDARKEAFNDLSEKYSSLREEYNALLAERSREQN >EOY26654 pep chromosome:Theobroma_cacao_20110822:6:16644876:16646925:1 gene:TCM_028597 transcript:EOY26654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTGDRSWMYRRLTSYGFIIDEFVNGVNEFINFACSNSTFMWENKIRCSYSRCSNNKFLDSDKVTKHILRKGFTGAYIIWSLDEEHDVEQSSRSRDRVEPHASNEEHDYGEPTYEEEIENAYTRMVRDAVGP >EOY25875 pep chromosome:Theobroma_cacao_20110822:6:2489638:2492506:1 gene:TCM_027242 transcript:EOY25875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQGTASKSPLNYYEENDGGEQKETGTKLKEKEVGNEIDEISDRFQRTKRETSKRELSWAKHGLWRQEQKSRAAKLEKQLKARRELEVLIEEQLNRFHAHYNHAMAPSYLEDVSQLLMPQCVAPQELAIISWLGDWRPSAILELLHGLALSSFLSDSIDMEHVLSQIVHEIRIEEAVIDEEMAEIQATCVLHLPFAPVKSSKSGGSALPDIRVEFKKIARVVTKAQKLRFKALELVVKKVLNQTDAAKFLVTLSGIQDAIHQFAEHQRLRKGPVTLSVKPPDVVETSKQLKIRLEDRISFWEKTILSSEQERSGQGIQDAIYQFEKQQGLRTGPITLSVKSQDVGETSKQPNIH >EOY27109 pep chromosome:Theobroma_cacao_20110822:6:20174186:20180474:1 gene:TCM_046839 transcript:EOY27109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein 1,2, putative isoform 1 MKKRRKILMMQMRTTRMMTLSAEDRAGLVNALKNKLQSLAGQHNDILETLSPNVRKRVEVLRETQSEHDELEKKFFEERAALEAKYEKFYEPLYTKRYEIVNGVLKVEGETNEAVIDGGGNKSAEEKGVPDFWLTAMKSNEMLADKISERDEGALKYLKDIKWGRINDPKGFKLEFFFDSNPYFKNSVLAKTYHVVDDENEPILEKAIGTKIEWYPGKCLTQKVLKKKPKKGSKIVKPITKTENCNSFFNFFNPPQIPDDDDDIDDELAEELQDRMEHDYNIGSTIRDKLIPHAVSWFTGEAVQGNEYDGLEGDFDDSDDEDGDDEEDEDGDKEEDEEDEEEEGKGKKKRNGSAKAGRGQQGELPPLCQQQ >EOY27110 pep chromosome:Theobroma_cacao_20110822:6:20179098:20180474:1 gene:TCM_046839 transcript:EOY27110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein 1,2, putative isoform 1 YNIGSTIRDKLIPHAVSWFTGEAVQGNEYDGLEGDFDDSDDEDGDDEEDEDGDKEEDEEDEEEEGKGKKKRNGSAKAGRGQQGELPPLCQQQ >EOY27108 pep chromosome:Theobroma_cacao_20110822:6:20176555:20180474:1 gene:TCM_046839 transcript:EOY27108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein 1,2, putative isoform 1 MSNDNADNVDVSDLRAALPAAAAALSAEDRAGLVNALKNKLQSLAGQHNDILETLSPNVRKRVEVLRETQSEHDELEKKFFEERAALEAKYEKFYEPLYTKRYEIVNGVLKVEGETNEAVIDGGGNKSAEEKGVPDFWLTAMKSNEMLADKISERDEGALKYLKDIKWGRINDPKGFKLEFFFDSNPYFKNSVLAKTYHVVDDENEPILEKAIGTKIEWYPGKCLTQKVLKKKPKKGSKIVKPITKTENCNSFFNFFNPPQIPDDDDDIDDELAEELQDRMEHDYNIGSTIRDKLIPHAVSWFTGEAVQGNEYDGLEGDFDDSDDEDGDDEEDEDGDKEEDEEDEEEEGKGKKKRNGSAKAGRGQQGELPPLCQQQ >EOY27539 pep chromosome:Theobroma_cacao_20110822:6:22324833:22330927:-1 gene:TCM_029380 transcript:EOY27539 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein isoform 1 VQLQSNKEDNAADPLLCIITSPPCFPPPHPPSPFRETPASSPIISATFPHPSSSSLSSTRSSRRTLSVSIATTTISSLILSFYSSALSKSAINTDFFDLPNSGGVKALDLRPGTGATPVDGDQVVIHYYGRLAAKQGWRFDSTYDHKDGIGEPIPFVFTLGSGKVISGIEAAVRSMQVGGTRRVIIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELISLRH >EOY27540 pep chromosome:Theobroma_cacao_20110822:6:22328151:22330921:-1 gene:TCM_029380 transcript:EOY27540 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein isoform 1 LQSNKEDNAADPLLCIITSPPCFPPPHPPSPFRETPASSPIISATFPHPSSSSLSSTRSSRRTLSVSIATTTISSLILSFYSSALSKSAINTDFFDLPNSGGVKALDLRPGTGATPVDGDQVLSSIVVIHYYGRLAAKQGWRFDSTYDHKDGIGEPIPFVFTLGSGKVISGIEAAVRSMQVGGTRRVIIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELISLRH >EOY28569 pep chromosome:Theobroma_cacao_20110822:6:25838912:25842020:-1 gene:TCM_030113 transcript:EOY28569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich protein, putative isoform 1 MVSIEISVTPLFYIFLCLLSLHSAAAAEFLSSDCSPNTTTYTQNSTYRRNLNSLLSSLQSNSTRKNGFYNLTVGRDPPDIVYGLFLCRGDLTQDACQDCASTAAGEILQHCPIQKTALVYYAECTLRYSNRSFFSVWQRDPTLQLLNTGNISQSDRFMELLGNTMNEIATRAANDQSGKKFATEEANFTSFQTLYTLAQCTPDLSVSNCDTCLQTAIAYLPSCCFGRPGGRVLFPSCNVRYELYPFYNVTAHPRSQTPVTPSPPAPPSKEKNKISSTIIIAIVVPVVVSVVLFAAGFCLLTRKRKKYDAVEQENAANEITTVESLLFDFATIEAATDKFSVDNKLGEGGFGPVYKGKLPSGQEIAVKRRGLLGFCLEGEEKLLIYEFVPNKSLDYFLFGTEKQGQLNWIKRYKIIGGIARGILYLHEDSRLKIIHRDLKTSNILLDEDMNAKISDFGMARIFGVDQSEGNTSRVVGTYGYMPPEYAMHGQFSVKSDVYSYGVIILEIITGKKSNNFQSTDEADDLLNYAWKHWNSGTPLELLDPSLRSSYSRNEVIRCIHIGLLCVQEDPAERPTMAAIVLMLNSYSITLQAPRPPATFLIPRSESNFSINEEGSDQSASKSIPFSVNEASISDLYPR >EOY28570 pep chromosome:Theobroma_cacao_20110822:6:25838982:25840390:-1 gene:TCM_030113 transcript:EOY28570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich protein, putative isoform 1 MNAKISDFGMARIFGVDQSEGNTSRVVGTYGYMPPEYAMHGQFSVKSDVYSYGVIILEIITGKKSNNFQSTDEADDLLNYAWKHWNSGTPLELLDPSLRSSYSRNEVIRCIHIGLLCVQEDPAERPTMAAIVLMLNSYSITLQAPRPPATFLIPRSESNFSINEEGSDQSASKSIPFSVNEASISDLYPR >EOY26601 pep chromosome:Theobroma_cacao_20110822:6:14741777:14746860:-1 gene:TCM_028442 transcript:EOY26601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKLLLVRVIASTSSYGSMEVKVSQYEGTGYHNGSLREGKVVISRNVAFAENDKWNWEQQQIMSTTPPVYSIESLNIDDDELDVDQTVDEASIKKTRSIQDIYDKCHVALLELASFDKALKHAKWNAAMKEEIKMTEQNNTWSLVDRTRHRQVIGVNRIFKRKLNENGSLNRCNARLVAKVYAQLASVDYQETFATVARLETIRLAKEIYVEQPMGFKIEFGRDKVYKLHKALYGLKQAPHAWYSRIDTYLNDQEFCKSVNKATLHTLKKSNDAPLIVSLYVDDLLIIEGSAYSVNEFKAQMQREFKMSDCKAVATPLIANEIQFVNARDNLENPSQYRSLIGGLLYICTTRPKIMFAKIVAQSTAKAEYVATASTTNQAIWWRKVSFDLGMSPKNATKLSVDNKSAISMAKNQVDFGDVSAWMEWHITCNFACSLTFHS >EOY26776 pep chromosome:Theobroma_cacao_20110822:6:17819178:17820535:1 gene:TCM_028735 transcript:EOY26776 gene_biotype:protein_coding transcript_biotype:protein_coding description:F9L1.21 protein MSSKQGGKLKPLKQPKSDKKEYDEHDMANIQKKKEEEKALKELRAKAQQKGSFGGSGLKKSGKK >EOY27363 pep chromosome:Theobroma_cacao_20110822:6:21413692:21414686:-1 gene:TCM_029225 transcript:EOY27363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKLQCIFHFDVNFRKYTKKGGRKFGVLAMGIGFSAIHEINQEMQVSPFQSAQHYQNCRMQHFLKFFQELNVQLEGYRYAKQDINVTATEILSNPEIYGRSCGIFFLFEGGSYSMLRHWPVQKKFQLWGTEGSLERIAELLWSGTANNTGPYNLKALFEA >EOY25952 pep chromosome:Theobroma_cacao_20110822:6:2902646:2903732:-1 gene:TCM_027311 transcript:EOY25952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGQGQSVMFVGMKLALGNSFQSFVQEVGCSDWRLKPKLTDINKDCRLGSCPIKSSLMFVLDLETWPPFITMISFVMLRMQRRAQSFSLCSKKIL >EOY26188 pep chromosome:Theobroma_cacao_20110822:6:5324253:5328889:1 gene:TCM_027604 transcript:EOY26188 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MGSAKGDFMLSVGKKEVVAAALPFQEHWLPLTNLDLLLPALDVGLFFCYKKPTMSFGSMVSVLKKALAQALLSYYAFAGEVVTNALGEPELLCNNRGVDFIEAYADIELENLNLHNPEESIEGKFVPEKKHGVISIQATELKCGGIMVACSFDHRMADAYSTNMFLVSWAEIARSKPGSVAPSFRRSLLNPRRPGRFDPSLDEMYVPIPSLPPPEEFHEPTDHLISRIYYVTADKLNELQSLASSDGHKRTKLESFSAFLWKMVATVAAKDGDKISKMGIVVDGRSRLGEGDQDKATLMGSHFGNVLSIPYGSQTVGELVEKPVSWVAKQVHDFLKPAADEEHFLGLIDWVEAHRPEPAMTRVYCVGKDDGPALVVSSGQRFPVSKVDFGWGSPTFGSYHFPWGGLCGYVMPMPSPAGDGDWVVYMLLLKGQLELIEKEAANVFRPVTFDYLTKVSST >EOY27188 pep chromosome:Theobroma_cacao_20110822:6:20604618:20640293:1 gene:TCM_029098 transcript:EOY27188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Midasin, putative MAMDGSFSLELSLQWFFARCPKVGRGPRFELLAKKGHLVTEEEVVSSVAELLLHPKYTIPLIGCFRPIAQKIVDKAVTLLLLVQNLRSNSDNNITESGYFDDDEVINLIEFHIQHEKGLDLHELACLAFCRAIDLAPFLLGSVLNYFKFAPPPFERILMKGSVFELSGKVITYYLHVVRTSYRLLVMETEFFSKYWDWSCFLDLVKKVVILDQGSDVKFEKDIADIRWCAIQILSVILKMNDRATSKFGVGAEEAHSCLLRWEEFCQDIAVEKAGAYIRTFEHISSDSEKGELCFSQDNCLQSFGRCSFTSSQFHETEPPLRSRKLVEWDDKSAGNPFVMTSSLNRSFERVLLAVSQKWPVLLYGPAGAGKSALIRKMAHDCGNQVLSIHMDDQIDGKTLIGSYVCTEQPGEFRWQPGSLTQAVVNGFWVVFEDIDKAPSDVLSMILPLLEGSSLFVTGFGEEIRVAESFRLFSTISTFKSDISHGIGANLNGVLWRKVMIEPPSSQDLQKIVRAWYPSLEPLVAKLLETFEGVNSISVHQVVGFQPGNSVSLSSPSKFSSRDLLKWCKRIAGLHFVSTLDVLTSFECFCIYQEAVDVFACFSTSVGNRLTIMKDIAKKWGVSISQAETLYPNDEPIIQDLLSELRIGRVTLQRAEATLYDEKRPFVKIHSSLHVLERIACSVKYNEPVLLVGETGTGKTTLVQNLAMRLGQKLTVLNLSQQSDVADLLGGFKPMDAQSICIPLYNEFKFLFSKAFSMKDNHGLFARLQELLCSKNWEKLLRKLKNGVNLFRKLVEEERSGSARKRKKPLDVEKKVKAWEELSARLETARRQIASTGMVFSFVEGVFVTALRNGQWILLDEMNLAPPEILQRVIGVLEGENGSLCLAERGDVSNINRHPNFRVFACMNPATDAGKRDLPYALRSRFTEYFVDDILDDHDLDIFIQKFLGDSGSNSELVEKIRRFYKIAKKDSEERLQDGANQKPQYSLRSLYRALEFTRKAERKFGFQNAIYDGFCMFFVSLLDRPSAKKMKQRILQNLIEKKPLHVPFHHYLLVKEDSSSDEFLKNYVLTKSVKKHLRNLSRAVFIKRYPVLLQGPTSSGKTSLVQYLATITGHEFVRINNHEHTDLQEYLGSYIVNAHGKLVFQEGVLVKAVRNGYWIVLDELNLAPTDVLEALNRLLDDNRELFVPELCETIRAHPDFMLFATQNPPTFYGGRKMLSRAFRNRFVEIHVDEIPEDELSTILKQRCQIPESYAKKMVEVMKELQLHRQSSKVFAGKHGFITPRDLFRWADRFRISGISYEDLARDGYHLLAERLRVEDEKHVVQEVLERHLRVKLVKDDLYKPELLGEDPVPESLGNVILTKSMRRLYFLVRRCYKFREPVLLVGETGGGKTTVCQLLSIALGLNLHILNCHQYTETSDFLGGFYPIRDRSRLSSEYKCVIERLKLLTAHIDFPQDLDIFSDICHASSTLDQLDVVINKLVIYSVDEISLADDSVLERLNSVLEPERKLSLAEKGGDVLEKVTAHENFLVLATMNPGGDYGKKELSPALRNRFTEIWVPSVGDLNELRNIALYRLSRLELSYIVNPMVNFYEWFNQLQIGRFLTVRDLLSWIAFVNVSKLDPEHSFLHGAFLVLLDGLSLGTGLSKKDCGDLRERCLSFLLELLQVDSTNLLYSKLSKMENYGWGDLETPVEINTDSTLCDNVFSIDPFYIEKGSEKSEAGGFEFLAPTTRKNALRVLRAMQLSKPVLLEGSPGVGKTSLIIALGKFSGHRVVRINLSEQTDMMDLLGSDLPVESDEGMKFAWSDGILLQALKEGCWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGCTFRCPSSFRVFACQNPSCQGGGRKGLPKSFLNRFTKVYIDELVEEDYLFICSSLYLSIPRPVLSNLISFNRRLHEDTMLYHKFAQNGSPWEFNLRDVLRSCQILQGTPGKVGGFLNLIYVQRMRTAADRRQVLQLYEQIFGVKPSINPYPRVQLNSDYLIVGNVAIKRNFKRLSRNSNQLKVLPSVRCNLEAAAHCVQQGWLCILIGPPSSGKTSLIRLLAQLTGNVLHELNLSSATDISELLGCFEQYNAFRNFRSVVAQVGRFVNEYSSLLLEISMETFLSDRKDLTARWLAFLSDLESDIMPSFSFVNPETWNSIYKSLPSLIEIIKQLKSDLEKNVLPISWTSEYLDRAMKTILKLQHHQRMPYFAKFEWITGLLINAIENGEWIILENANLCNPTVLDRINSLVEPDGTITVNECGIVDGKPVVLHPHSNFRMFLTVNPSFGEVSRAMRNRGVEIFMMNPYWIFDEGSGYNSEELEMEDVKRFLVLAGIPGSKLVDSMAKAHAYAMVEGVRLNVRITYLELARWVQLFQHLLMNGNQPLWSLQISWDHTYLSSFGEVEGVNIVNYAKNAYFSVTELYRSDLSLGRALCLPGGWPIPLTLRDIVWYSKEVYVRQNCSYLEFLGAQYASHELAISCGICPVEDVLRRRGCKGTYLLDWKMLYGTTYPQVSRGITSDSDGKTEFNSNIANKMMLFAANWAIEQATENDFQLYLQWFTWFGFQLEPYCDFFKYFLTSLEQEWRHPIWTSIIKCRQELMSLNQIDIDLHPIPMLSLELVDLTSSNHLSNASSKPLHDAISCVGLLRRSYQQWNVESRHNYTDESSCFIPFLETLRVLEEEILNMLVGSPSYDLLYQLYTNLLEDHMLFWEGLISWQFERLLISWRSLLKVAGKLKEFCPIAVKNMLETKNLAEVSSLCFHPERSLLWVHGGHPFLPPSSKLYHQQHQLLKFCELVWPTKRKLFKQAVNELLVETMVSFDPELRFLALEGICMSSFIMGNCDEDEIHVSHQMEEVYQMLLKRFDYEKCKLLIKNGPDDAIFEEISATCCVLSSEMLHMRSGFDSWLDILPIVDCASCFLDMELLQELSSLTLVGNGELQLGLGCLSSLLESDLKYSLTYSTRPPQSFVPHQKLLWLHDAWTSVDAVHAKVSGFVLEMWFWWHSLLWSQCPAFVKNFSIIDGYSVPLPNVLIQPVRTASIAKILQSTHGIKDFSMHCLKLKAASCVLWQISSPRINSHSFLLSAARSLFQQIIYSHKKCFDAEKFAAIKSILCSYPSGVTEESLGLVSLLIASSSHRSLKSLIQLFIEPLLRRLYFNCSSTESYLNLGFAWLYIGGLRFNLLLSCDNLDPASKYSCKLSCLEEKIISHKLEMKVRQECNYLAGWSSSKETDKRISQALEKLEIKCRKLRRKIVFRPDPAKFKALRKECDEFCVLVNSLMSLVNNIEVMELQQIVDKVCNWQETASCFIDRLLNEYSEYIDIAQPIQVAVYEMKLGLALALLSALQKKFLDRIQEDNMDRVMELIYSFMRFPRGCTSELVSISDRRRLLIFSSLDIPCITNFSEMELSLLENMVTISGDVIAEKVSILQLKASLYKNCLVRVAHSVATAKLMDSASFKLLDKIFSGFASIWMHMKIQGKNQEDRDCQPYKFRPRAFRIENVMEVDISALGKLLANDNFIDWQELLSEEESTKMMEDGEKHENIEDEWNLMEESILIFMINMHNQLFGSADLVLSPGSFQITDVDRLQSFIGSYTLGVGMMKGFGGLFSSTLDAKLVQEHLLRLCWEYEQKFPSPHKAAFKYNFYKDSNTHIMAKMVELLSTLKHRVHTLLSEWEDHPGLQKVLDVIEMLLAIPLSTPLAKALSGLQFLLNRTRILEENGSKFSLSVQLKPLISLVCSWQKMEFCSWPVLLDEVQDQYDINAAKLWFPLFSVLHPRHSSDIAGHDQSTIQSLEEFMQTSSIGEFRKRLQLLFAFLGQIITGRSLEIYLSPWQEENIKILYNIFGFYVQFLPIVMELIEANRKKIETELKELLKLCCWDRFDSQLSIDNLRKPRQKIQKLIQKYSDMLQQPFMLILNEEVRQKGFKIVSLESPKPLIDISESCRMLNDFLNLTQSNDEYRSAWYTEWGQKVNDTLNLHLQRISELHFVKSEEISGAIRQCPISQFACLSYPNEWKRVWYMLKSIGIMAKGCGDRWMDVNRSLGKKRALSDLLQLLESSGLHRHKFEILEISNPSSWLFLQPSYDAQHLLMKQTRLPNGVADVASAVEKCFPKETLDSEWKTANEFYFKSLAAVQLLQQIRLKHHPDFTSEQVTRSVSYLSHLIIIQQMQRAAVYDFARQLKTLHEYATALDSSYSGCTDFENTNGGCVFAKSQHAIFNCMWQQKQLFDDLDAMLVEETLLLRTVEGTHSNSCQKVKAAANRILGFIEGFIPVFKKSKELLDSYFIGCDGSIITLAGTIRLYVISKQMEKVVLQNFKVLQEFEDQLIKQSFEKSSVVESVLSHFDERFSKGKLIAEQLRLALEMGNESKYLHELADSCCEKCPKLEAQFGDAFKGTIRHVIDVLQKLSSLDNHGSQPEAPSGSITAWESLFKSTIANLGVDTLCEKLLETIHFAENLFNHSSMKVSGQSFHIGALLKHIHASVDLILSFSDSFLEDFLVMHKTVSIVTHGLANILAALFAKGFGDSPKDQEDDTSHDMTQDASGTGMGEGAGVNDVSDQINDEDQLLGASEKPSEEQAAPNDVPSKNEKGIEMEQDFAADTFSVSEDSGEDNDEDTEDQQLESAMGETGGNSEVIDEKLWDKDDDDDPNNNEKYESGPSVRDSDKNSREFRAKEDSAGTAEEPEENKMDELDKETGEIENQADLDEHENIEDLNFNKEEEFADPTGLKLDELNERYSEDINMDEKEEVDIKEKDGEDEEEESANDGNTEGNLNPADETMEEIESERNNGTSEKDERVDATFEKDDLGRDEEDPKINQMAGRKNVPESEISNISGDHVPSEGAATQPNSEALELRNVAPEANWANSSDNYNDLAQRNFPSGNNSDLNIMVADSSTSGKFTDDHPKTEFPSQDADPFQKKQSNPYRNVGDALQEWKERVSISVDLQDDKKSQGEMEDENANEYGYVSEFEKGTAQALGPATAEQIDADVNVNKPDKNPLVESGDDVTNMEIDEQISEDDPIKHCSSIIKNKMEEQIQVSKFDESANHRSPRVHGPSDGDPGNFSEFLVSVKKSYLSDDVYQINKLSISEEEMGKALDPEEVSGDVKNNATALWRKYELLTTRLSQELAEQLRLVMEPTLASKLQGDYKTGKRLNMKKVIPYIASHYRKDKIWLRRTRPNKRDYQVIIAVDDSYSMSESGCGEVAIKALVTVCRAMSQLEVGNLAVASFGKKGNIRLLHDFDQPFTGEAGVKMISSLTFKQDNTIRDEPVVDLLMFLNKKLDAAVANARLPSGQNPLQQLVLIIGDGRLYEKEKLKRCVRDVLSSKRMVAFLILDSLQESIMDLQEVITTQDKNNQFKILVSKYLDSFPFPYYVVLRNIEALPKTLADLLRQWFELMQNSRD >EOY27951 pep chromosome:Theobroma_cacao_20110822:6:23736317:23743010:1 gene:TCM_029661 transcript:EOY27951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase family protein isoform 1 MMESGAPVCHTCGEHVGLNANGEAFVACHECNFPICKTCFEYELKEGRKVCLRCGAPFDENLLDDAEKASGDRSTMAKHLNNSQDVGIHARHISSVSTVDSEMTEDNGNPIWKNRVESWKEKKNKKKKSSTTKVEKEAQVPPEQQMEDKPAADASQPLSTIIPIPKSRLSPYRTVIVMRLVILGLFFHYRVTNPVHSAFPLWLTSVICEIWFAFSWVLDQFPKWYPINRETYIDRLSARYEREGEPSELAAVDFFVSTVDPLKEPPLITANTVLSILALDYPVDKVSCYVSDDGAAMLTFESLVETADFARKWVPFCKKFSIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKRDYEEFKIRINALVAKAQKTPEEGWTMQDGTPWPGNNTRDHPGMIQVFLGYSGARDIEGNELPRLVYVSREKRPGYQHHKKAGAENALIRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPQVGRDVCYVQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYGPPSMPSLPKSSSSSCSWCGCCSCCCPGKKAPKDPSELYRDAKREELDAAIFNLREIDNYDEYERSMLISQMSFEKTFGLSTVFIESTLMENGGVAESANPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGFGGGRLKWLQRLAYVNTIVYPFTSLPLVAYCSLPAICLLTGKFIIPTLSNLASVLFLGLFLSIIVTAVLELRWSGVSIEELWRNEQFWVIGGVSAHLFAVFQGFLKMLAGIDTNFTVTAKAADDADFGELYIVKWTTLLIPPTTLLIVNMVGVVAGFSDALNKGYEAWGPLFGKVFFSFWVILHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLVWVRINPFVNTANSTTVAQSCISIDC >EOY27952 pep chromosome:Theobroma_cacao_20110822:6:23737071:23742379:1 gene:TCM_029661 transcript:EOY27952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase family protein isoform 1 MLTFESLVETADFARKWVPFCKKFSIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKRDYEEFKIRINALVAKAQKTPEEGWTMQDGTPWPGNNTRDHPGMIQVFLGYSGARDIEGNELPRLVYVSREKRPGYQHHKKAGAENALIRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPQVGRDVCYVQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYGPPSMPSLPKSSSSSCSWCGCCSCCCPGKKAPKDPSELYRDAKREELDAAIFNLREIDNYDEYERSMLISQMSFEKTFGLSTVFIESTLMENGGVAESANPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGFGGGRLKWLQRLAYVNTIVYPFTSLPLVAYCSLPAICLLTGKFIIPTLSNLASVLFLGLFLSIIVTAVLELRWSGVSIEELWRNEQFWVIGGVSAHLFAVFQGFLKMLAGIDTNFTVTAKAADDADFGELYIVKWTTLLIPPTTLLIVNMVGVVAGFSDALNKGYEAWGPLFGKVFFSFWVILHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLVWVRINPFVNTANSTTVAQSCISIDC >EOY27953 pep chromosome:Theobroma_cacao_20110822:6:23737071:23742379:1 gene:TCM_029661 transcript:EOY27953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase family protein isoform 1 MLTFESLVETADFARKWVPFCKKFSIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKRDYEEFKIRINALVAKAQKTPEEGWTMQDGTPWPGNNTRDHPGMIQVFLGYSGARDIEGNELPRLVYVSREKRPGYQHHKKAGAENALIRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPQVGRDVCYVQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYGPPSMPSLPKSSSSSCSWCGCCSCCCPGKKAPKDPSELYRDAKREELDAAIFNLREIDNYDEYERSMLISQMSFEKTFGLSTVFIESTLMENGGVAESANPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGFGGGRLKWLQRLAYVNTIVYPFTSLPLVAYCSLPAICLLTGKFIIPTLSNLASVLFLGLFLSIIVTAVLELRWSGVSIEELWRNEQFWVIGGVSAHLFAVFQGFLKMLAGIDTNFTVTAKAADDADFGELYIVKWTTLLIPPTTLLIVNMVGVVAGFSDALNKGYEAWGPLFGKVFFSFWVILHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLVWVRINPFVNTANSTTVAQSCISIDC >EOY28117 pep chromosome:Theobroma_cacao_20110822:6:24271889:24283812:1 gene:TCM_029776 transcript:EOY28117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-3, putative MASSNRHWPSMFKSKPCNTHHQWQPDINPSLMSSGCHRAPYTSVTGCEERSPEPKPRWNPKPEQIRILEAIFNSGMVNPPRDEIRKIRAQLQEYGQVGDANVFYWFQNRKSRSKHKQRNLQNSKQQSQQTPPMTNITTITAPSSSSSSSEKSSPKGANKSTLSMSSPNVIDVSNSPTASVNQAYFHQPQNEFLNEPFFFPVQQAAAGTGFTQGFVFSELNNMVQVPEQPVGPCTSLLLSEILNNGASKKAHEEKMKMQLQLNYPGSTAPSTHTISPLTASTTATITVPSNINHVQGVGESGVVGPGGAARSAVFINDVAFEVAVGPFNVREAFGDDAVLIHSSGQPVLTNEWGVTVQPLQHGGFYYLVRSSIPFSV >EOY25769 pep chromosome:Theobroma_cacao_20110822:6:1819729:1820722:-1 gene:TCM_027139 transcript:EOY25769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLTRAISLFSSLPLIGFLMNKTLALLLGCYESAPNVSDDDKHDAATSSNAAAQQHFRQLQHIRAA >EOY25641 pep chromosome:Theobroma_cacao_20110822:6:832128:860068:1 gene:TCM_027018 transcript:EOY25641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MDIKPFLHEHYLLLHYYEEQEACCDKCNRKIDDIAYSCGRCKFWLHKSCAEEQLPPQISAHPLHSQHNLTLFFHWSCYICAKCSNLSQGHAYYCRDCDFNLDYLCAFSTNAENNRPIINGHISKKIHHFSFNEPLTLFNYRRVGKYDYYCNWCEKHLFGMSYGKLGFSNQVSFHDSCLINMPGIIVKHPFHPSHPLQIHMVYSDYRCNACNYSLKGIQAYCCKKCRFYLHVLCARLQPSLKVELHEHPLTYFQIQSGWRGFRCKICNFNCGARNKESFCYRCVQCNFNYHFNCLGILSSTRHKYHRHELMLIDSFIEDDSKEYYCDICEEERKPKHHVYCCKKCKFVAHIQCALNKIIDTKLDHGSNSSLLEETEQSNAEFPTHLSIKYVDHPHVLSYNEATEQNKSLLCNACCQEIFYRHYACEDCEYYLHETCTTLPYEVSHPLHRQHPLKLFTDIGEFPCYQCGDHSGGFFYICYPCDFKLDLKCAMTPIAPNKEGPRQIEMERLSKLCPFNQNHKLEFFNTRANLIKDLKCYACLLPIVGSAYRCRECFYTYVHESCLALVREMQHPFHPLHLLHPQIFSSMFDQQNFSWMWSVSCFACANTIDIGYGCQKCDFRLHFNCAYSLKRALKYKSHIHNLYYFGLRAEKRARACNKCKSLLDGEPFYHCMECGISLHIKCVPIPHLIKSKSHIHSLTLKDYFVEDGSGEYYCDICEEERNSKNHVYYCEECDGQFVAHIECMLHTLYISPPYTFDLNDHIFVHC >EOY25566 pep chromosome:Theobroma_cacao_20110822:6:468363:471128:1 gene:TCM_026952 transcript:EOY25566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor 3B subunit 5/RDS3 complex subunit 10 MQASDRFNINSQLEHLQAKYVGTGHADLNRFEWAVNIQRDSYASYIGHYPMLAFFAVAENESIGRERYNFMQKMLLPCGLPPEREDD >EOY28365 pep chromosome:Theobroma_cacao_20110822:6:25147582:25151075:1 gene:TCM_029953 transcript:EOY28365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier membrane protein (SCAMP) family protein MAGNRDRNPFDEEEAVNPFSNPTHYSHSTLPALSPEPASYNYDRNPALDITLDKATNLKQKERELQAKEAEIRGREEVVKRKEEALARAGVFLDVKNWPPFFPIIHHDIANEIPNYLHRMQYVAFSSLLGLVLCLFWNVIAVSTASIKGKGIYIWFLAVIYFMLGVPGAYILWYRPLYRACRKDSAFKFGWFFIFYMIHICFCIYAAVAPPIIYQGLSMPGILSAMYLMNKEDALVGIFYFIGFGLFCGETLLSIWVLQRVYRYFRGTGKAAEAKHCAARGTAMAAMG >EOY27571 pep chromosome:Theobroma_cacao_20110822:6:22422177:22426207:1 gene:TCM_029400 transcript:EOY27571 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases superfamily protein isoform 3 EHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDEISVQKIQCLTPNIGVVYRFGFIGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEG >EOY27569 pep chromosome:Theobroma_cacao_20110822:6:22421862:22426371:1 gene:TCM_029400 transcript:EOY27569 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases superfamily protein isoform 3 MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDEISVQKIQCLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGTDKKFRVLTPAEIDDYLAEVE >EOY27570 pep chromosome:Theobroma_cacao_20110822:6:22422177:22426207:1 gene:TCM_029400 transcript:EOY27570 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases superfamily protein isoform 3 EHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDEISVQKIQCLTPNIGVVYRFGFIGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEG >EOY28486 pep chromosome:Theobroma_cacao_20110822:6:25476056:25484927:1 gene:TCM_030030 transcript:EOY28486 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus-specific glycoprotein S6 MIGMNVKTGEKRFLISSKSKDDPSPGSFVGGTGAQSGPIIEGFIWNGTRPYWRSGQWNGIKFLGMPHMSAVYTNGISIVSVSPEGNQYVTLNVFNTSLIKVVFLSPEGYLQIIIWDEGEKEWRVQLQEPESQCDIYGACGPNGICNTDESPICRCLEGFEPSSSEEWSRGNWTNGCVRRVELNCDKNISLLASSRDKTDGFLKLSGLKLPAHFQYLKTDVYNEACEFWCLNNCSCVAFASVTGIGCMFWTGDLMDVRAFSSIGEDLFVRVAHAELDSLIFDFNHVVVATDNFSLTNKLGEGGFGPVYKGKLQNGKEIAVKRLSSRSGQGMEEFKNEIVFISKLQHRNLVRLLGCCVEGEEKLLIYEYMPNKSLDTFLFDPTRKTQLVWAKRFSIIQDMNPKISDFGLARTFQKTQELANTRRVVGTLGYMSPEYVIGGRFSEKSDVFSFGVLLLEIVSGKKNSGFQND >EOY27678 pep chromosome:Theobroma_cacao_20110822:6:22745282:22748676:1 gene:TCM_029464 transcript:EOY27678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transketolase family protein MAAIFQGVGAAAALSSSPSNSFDSKKLLFSPRRSFSVKKGASVVVVRSDGNVNLDLNPRGRRAQQLIANAVATKADSSATSSSSKPGHELLLFEALREGLEEEMERDASVCVMGEDVGHYGGSYKVTKGLATKFGDLRVLDTPIAENSFTGMGIGAAMTGLRPVVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFTIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKERIPDEDYICNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLTAAINENFLDYLDAPIMCLSSQDVPTPYAGTLEEWTVVQPAQIVTAVEQLCH >EOY27579 pep chromosome:Theobroma_cacao_20110822:6:22455435:22460588:-1 gene:TCM_029407 transcript:EOY27579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 1 MKRVKSELSTGRRFKLSHFLLGIGGLYLIFIAFKFPHFLEIAAVLSGDGSYDELDGKVVGDVNDADLNKPLVNSVYKDTFHRKLEDNLNQDAPLRPSKEPLEEGKGRLQPIKPLQHRYGRITGEIMRRMNKTSDLSVLERMADEAWTLGLKAWEEVDKFDGKKIGQNSLFDGKPESCPSWLSVSGEDLASGDRLMFLPCGLKAGSSITVVGTPRYAHQEFVPQLARLRLGDGLVMVSQFMVELQGLKSVDGEDPPKILHLNPRLKGDWSHRPVIEHNTCYRMQWGTAQRCDGLRSKDDEDMLVDGHRRCEKWIRDDVADSKESKTTSWFKRFIGREQKPEVTWPFPFAEGRLFILTLRAAVDGYHINVGGRHVTSFPYRTGFSLEDATGLAIKGDVDVHSVYATSLPTSHPSFSPQRVLEMSPKWKAYPLPRRSIQLFIGVLSATNHFAERMAVRKTWMQSSAIKSSNVVVRFFVALNTRKEVNAVLKKEAAYFGDIVILPFMDRYELVVLKTIAICEFGVQNVSAAYIMKCDDDTFVRVDTVLKEIDGISPKKSLYMGNLNLLHRPLRNGKWAVTYEEWPEEVYPPYANGPGYIISSDIAKFIISQHGNRKLRLFKMEDVSMGMWVEQFNSSTTVQYSHNWKFCQYGCMVDYYTAHYQSPRQMICLWDKLSRGRAHCCNFR >EOY27580 pep chromosome:Theobroma_cacao_20110822:6:22455805:22460584:-1 gene:TCM_029407 transcript:EOY27580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 1 MKRVKSELSTGRRFKLSHFLLGIGGLYLIFIAFKFPHFLEIAAVLSGDGSYDELDGKVVGDVNDADLNKPLVNSVYKDTFHRKLEDNLNQDAPLRPSKEPLEEGKGRLQPIKPLQHRYGRITGEIMRRMNKTSDLSVLERMADEAWTLGLKAWEEVDKFDGKKIGQNSLFDGKPESCPSWLSVSGEDLASGDRLMFLPCGLKAGSSITVVGTPRYAHQEFVPQLARLRLGDGLVMVSQFMVELQGLKSVDGEDPPKILHLNPRLKGDWSHRPVIEHNTCYRMQWGTAQRCDGLRSKDDEDMLVDGHRRCEKWIRDDVADSKESKTTSWFKRFIGREQKPEVTWPFPFAEGRLFILTLRAAVDGYHINVGGRHVTSFPYRTGFSLEDATGLAIKGDVDVHSVYATSLPTSHPSFSPQRVLEMSPKWKAYPLPRRSIQLFIGVLSATNHFAERMAVRKTWMQSSAIKSSNVVVRFFVALNTRKEVNAVLKKEAAYFGDIVILPFMDRYELVVLKTIAICEFGVQNVSAAYIMKCDDDTFVRVDTVLKEIDGISPKKSLYMGNLNLLHRPLRNGKWAVTYEVCVVYTRSGQKKFILPMQMDLDILFPVILPNLLSHNMAIEN >EOY26670 pep chromosome:Theobroma_cacao_20110822:6:16850743:16852962:-1 gene:TCM_028619 transcript:EOY26670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGRNQNMIRALKMADDKWCNDPLELKSLILEFSPRKMKSIELLVLWPLSRLQVGIVSNQDHSFKASISLNRNILWDAIGGKKKVVLIKWDIVCCPKRIGGLGIRKTAEMNKALLSKLGWKIEDGDQGLRAHVLRAKYLKAPISNPREFSMVGLCPNNRRYWIFGFMGRLDMGTILKAKLHAIYMGLALAWDHGCQLIVVESDSKLAVQKIHQTIQDKSSFTCYSFYSTVNVERLIIKLHSPPTELSFLLQDGLLGVARPRAVVD >EOY27810 pep chromosome:Theobroma_cacao_20110822:6:23203964:23212307:1 gene:TCM_029563 transcript:EOY27810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome E isoform 1 MVLESGERAKRPQQQQQPNTALSSSAASNMKPINKGKAIAQYNADAGLMAEFEQSGVSGKSFNYSRSVIYAPRSVPEEQITAYLSRIQRGGLIQPFGCMIAIEEPTFRIISYSENCFELLGLRLDTEDESKALKGLIGIDARSLFTPASGPSLAKAAASREISLLNPIWVYSRSTQKPFYAILHRIDVGIVIDLEPARSGDPALSLAGAVHSQKLAVRAIARLQSLPGGDIGVLCDTVVEDVQKLTGYDRVMVYKFHDDDDHGEVVSEIRRSDLEPYLGLHYPAIDIPQAARFLFKQNRVRMICDCHANPVKVIQSDELKQPLCLVNSTLRSPHGCHRQYMANMGSIASLVMAVIINGNDSTKLWGLVVCHHTSPRYVPFPLRYACEFLMQAFGLQLYLELQLASQLAEKKILRTQTLLCDMLLRDAPFGIVTQSPNIMDLVKCDGAALYYNGKCWLLGVTPTESQVKDIAEWLLSTHEDSTGLSTDSLAGAGYPGAALLGDAVCGMATARITSKDFLFWFRSHTAKEVKWGGAKHHPEDKDDGGRMHPRSSFNAFLEVVKSRSLPWEIPEINAIHSLQLIMRDSFQGMEDSGSKGLVYARQNDTEMQGMGELSSVTYEMVRLIETATAPIFGVNTAGLINGWNAKIAELTGLQADDAMGRSLVNEVVHEDSHEVIANLLRRALHGEEDKNVELKLRNFGLNRQNSVVYIVVNACTSRDYTNDVVGVCFVGQDITSEKVVMDKFIRLQGDYRAIIQSLSPLIPPIFASDENACCSEWNAALEKLTGWSRSEVIGKMLPGEIFGELCQLKGYCA >EOY27809 pep chromosome:Theobroma_cacao_20110822:6:23203964:23212260:1 gene:TCM_029563 transcript:EOY27809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome E isoform 1 MVLESGERAKRPQQQQQPNTALSSSAASNMKPINKGKAIAQYNADAGLMAEFEQSGVSGKSFNYSRSVIYAPRSVPEEQITAYLSRIQRGGLIQPFGCMIAIEEPTFRIISYSENCFELLGLRLDTEDESKALKGLIGIDARSLFTPASGPSLAKAAASREISLLNPIWVYSRSTQKPFYAILHRIDVGIVIDLEPARSGDPALSLAGAVHSQKLAVRAIARLQSLPGGDIGVLCDTVVEDVQKLTGYDRVMVYKFHDDDDHGEVVSEIRRSDLEPYLGLHYPAIDIPQAARFLFKQNRVRMICDCHANPVKVIQSDELKQPLCLVNSTLRSPHGCHRQYMANMGSIASLVMAVIINGNDSTKLWGLVVCHHTSPRYVPFPLRYACEFLMQAFGLQLYLELQLASQLAEKKILRTQTLLCDMLLRDAPFGIVTQSPNIMDLVKCDGAALYYNGKCWLLGVTPTESQVKDIAEWLLSTHEDSTGLSTDSLAGAGYPGAALLGDAVCGMATARITSKDFLFWFRSHTAKEVKWGGAKHHPEDKDDGGRMHPRSSFNAFLEVVKSRSLPWEIPEINAIHSLQLIMRDSFQGMEDSGSKGLVYARQNDTEMQGMGELSSVTYEMVRLIETATAPIFGVNTAGLINGWNAKIAELTGLQADDAMGRSLVNEVVHEDSHEVIANLLRRALHGEEDKNVELKLRNFGLNRQNSVVYIVVNACTSRDYTNDVVGVCFVGQDITSEKVVMDKFIRLQGDYRAIIQSLSPLIPPIFASDENACCSEWNAALEKLTGWSRSEVIGKMLPGEIFGELCQLKGQDTLTRFTILLYQGISGQDTEKFPFGFFDRKGKFLEVFLTANKRTDADGNIIGCFCFLQVIVPDLQQATEGHKQEDKEFFTKLKQLVYMRQEMKNPLNGIRFTHKLLETTAISENQKQFLETSDACERQILAIIEDMDLGSIEDSMELSMEEFLLGNVLDAVISQVMILLGERNLQLFHEIPEEIKRQSLYGDRIRLQLVLSDFLLSVVHHAPSPDGWVEIRISPGLKLIQDGNEFVRLQFRMTHPGKGLPSTLIQDVFEEGNQPTTQEGLGLNLSRKLLNKMNGHVHYVREHSKCYFLIDLEIRTRKGRQKASQAE >EOY27811 pep chromosome:Theobroma_cacao_20110822:6:23203964:23212260:1 gene:TCM_029563 transcript:EOY27811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome E isoform 1 MVLESGERAKRPQQQQQPNTALSSSAASNMKPINKGKAIAQYNADAGLMAEFEQSGVSGKSFNYSRSVIYAPRSVPEEQITAYLSRIQRGGLIQPFGCMIAIEEPTFRIISYSENCFELLGLRLDTEDESKALKGLIGIDARSLFTPASGPSLAKAAASREISLLNPIWVYSRSTQKPFYAILHRIDVGIVIDLEPARSGDPALSLAGAVHSQKLAVRAIARLQSLPGGDIGVLCDTVVEDVQKLTGYDRVMVYKFHDDDDHGEVVSEIRRSDLEPYLGLHYPAIDIPQAARFLFKQNRVRMICDCHANPVKVIQSDELKQPLCLVNSTLRSPHGCHRQYMANMGSIASLVMAVIINGNDSTKLWGLVVCHHTSPRYVPFPLRYACEFLMQAFGLQLYLELQLASQLAEKKILRTQTLLCDMLLRDAPFGIVTQSPNIMDLVKCDGAALYYNGKCWLLGVTPTESQVKDIAEWLLSTHEDSTGLSTDSLAGAGYPGAALLGDAVCGMATARITSKDFLFWFRSHTAKEVKWGGAKHHPEDKDDGGRMHPRSSFNAFLEVVKSRSLPWEIPEINAIHSLQLIMRDSFQGMEDSGSKGLVYARQNDTEMQGMGELSSVTYEMVRLIETATAPIFGVNTAGLINGWNAKIAELTGLQADDAMGRSLVNEVVHEDSHEVIANLLRRALHGEEDKNVELKLRNFGLNRQNSVVYIVVNACTSRDYTNDVVGVCFVGQDITSEKVVMDKFIRLQGDYRAIIQSLSPLIPPIFASDENACCSEWNAALEKLTGWSRSEVIGKMLPGEIFGELCQLKGYCA >EOY28179 pep chromosome:Theobroma_cacao_20110822:6:24471529:24473983:-1 gene:TCM_029819 transcript:EOY28179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKCGGLYEWGVGTAFGLWPLLAKERIGTMELLNILVPVMSTKECGTIVNKAKLGYNEVKALELSIAVVID >EOY28395 pep chromosome:Theobroma_cacao_20110822:6:25232875:25235716:1 gene:TCM_029974 transcript:EOY28395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein VPS28 family protein MEVKLWNDKREREMYENFAELYAIIKATEKLEKAYVRDIITSSEYETECQKLIAHFKTLASTLKDTVPSIERFADTYKMDCPAAINRLVTSGVPATVEHRAAAAASATTSAAIVAECVQNFITAMDSLKLNMVAVDQVHPLLSDLSASLNKLSILPPDFEGKTKMKEWISRLSKMGAADELTEQQARQLHFDLESSYNSFMAALPNAGSRLEIVKIGLSSFPLTSAGCRSRTKDVGQYTPNMLAANQKPANQNSTGSSELLSRIIPFLFQHHNHSSFTITHNKTESDRIYRNTLKASDCNSTPSPAYRVV >EOY27990 pep chromosome:Theobroma_cacao_20110822:6:23867809:23871099:-1 gene:TCM_029690 transcript:EOY27990 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI superfamily protein MAKKLAGTSSNVHFHDIPDVILSNIFSLVTDTRTRNAVSLVCLKWHLLERSTRKSLTLRGNIRDLFLLPTCFRAVTNLDLSFLSPWGHPLLDSSPNPVLLAQLLRNAFPSVVSLTVYVRNPSTLHLLAALWPNLRDVKLIRWHQRLPTPIGSDFIALFEHCHTLSSLDVSHFYCWTEDLPPAFEAYPYIGACLSRLNILKNSSADGFKSHELLAITAFCPNLREFLATCTFDHRFIGFVGDQTLLALASNCPSLSLLHLVDATSSSKVRADPNDEGYTSEDASISHATLADVFAGLPLLEELVLDVCHNVRDAWPALEVLNSRCPRLKSLKLGQFHGICRSIDYRPDGVALCQGLESLSIKNSADLTDTALVAISLGCPRLSKFEVLGCNRITVIGMWKLAYALQKTLVDVKIFYCKNFDAVSSLQALEPIRDRIERLHIDFVWENVEQSEGQTGSSNQPGGFENFEAGKRGIIREERSVRKKCKSYALGNDNLFSSRTWNKLHYLSLWVAAGELLTPLSLAGLDDCPALEEIDIKIEGDCRDQPRPSMDAFGLSSLACYPRLSRMNLDCSGVIGYALTAPSGRADLSLWERFFLSGIENLHLNELNYWPAQDMDVNQRSLFLPAAGLLAQCGTLRKLFIHGTANEHFMMFLLRIPTLRDVQLREDYYPAPENDTSTEMRVDSCCRFEDALNSGQVPD >EOY25882 pep chromosome:Theobroma_cacao_20110822:6:2524065:2535028:1 gene:TCM_027249 transcript:EOY25882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molecular chaperone Hsp40/DnaJ family protein isoform 1 MAATTSLSLLPSSLSFPTERPSNASQSSSSSSSSSCSVFFNGGTRLRSHDSFACVSSSPFSTCSWRLNNGRVGAYRFGTVVAASGDYYATLGIPKSASSKEIKAAYRRLARQYHPDVNKEPGATEKFKEISAAYEVLSDDKKRALYDQYGEAGVKSAVGGQSSAYTTNPFDLFETFFGPSMGGFPGMDQSGFRTGRRSTVTKGDDVRYDITLQFSEAIFGAEKEFELSHLETCEVCLGTGSKVGSRMRICSTCGGRGQVMRTEQTPFGLFSQVSVCPNCGGDGEVISEYCRKCSGKGRIRVKKNIKVKVPPGVSTGSILRVAGEGDAGPRGGPPGDLYVYLDVEEVAGIQRDGINLSSTVSISYLDAILGSVVKVKTVEGVTELQIPPGTQPGEVLVLARKGAPKLNKPSIRGDHLFTIKVTIPNRISAKERELLEELASLSNTTSSRSRTRPRTQPASLLQMVLLNG >EOY25880 pep chromosome:Theobroma_cacao_20110822:6:2524065:2535028:1 gene:TCM_027249 transcript:EOY25880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molecular chaperone Hsp40/DnaJ family protein isoform 1 MAATTSLSLLPSSLSFPTERPSNASQSSSSSSSSSCSVFFNGGTRLRSHDSFACVSSSPFSTCSWRLNNGRVGAYRFGTVVAASGDYYATLGIPKSASSKEIKAAYRRLARQYHPDVNKEPGATEKFKEISAAYEVLSDDKKRALYDQYGEAGVKSAVGGQSSAYTTNPFDLFETFFGPSMGGFPGMDQSGFRTGRRSTVTKGDDVRYDITLQFSEAIFGAEKEFELSHLETCEVCLGTGSKVGSRMRICSTCGGRGQVMRTEQTPFGLFSQVSVCPNCGGDGEVISEYCRKCSGKGRIRVKKNIKVKVPPGVSTGSILRVAGEGDAGPRGGPPGDLYVYLDVEEVAGIQRDGINLSSTVSISYLDAILGSVVKVKTVEGVTELQIPPGTQPGEVLVLARKGAPKLNKPSIRGDHLFTIKVTIPNRISAKERELLEELASLSNTTSSRSRTRPRTQPATKTTESKVGKDVEKTEEPADQNDPWTKLKAFAGSVANGALKWLKGNL >EOY25881 pep chromosome:Theobroma_cacao_20110822:6:2524065:2535028:1 gene:TCM_027249 transcript:EOY25881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molecular chaperone Hsp40/DnaJ family protein isoform 1 MAATTSLSLLPSSLSFPTERPSNASQSSSSSSSSSCSVFFNGGTRLRSHDSFACVSSSPFSTCSWRLNNGRVGAYRFGTVVAASGDYYATLGIPKSASSKEIKAAYRRLARQYHPDVNKEPGATEKFKEISAAYEVLSDDKKRALYDQYGEAGVKSAVGGQSSAYTTNPFDLFETFFGPSMGGFPGMDQSGFRTGRRSTVTKGDDVRYDITLQFSEAIFGAEKEFELSHLETCEVCLGTGSKVGSRMRICSTCGGRGQVMRTEQTPFGLFSQVSVCPNCGGDGEVISEYCRKCSGKGRIRVKKNIKVKVPPGVSTGSILRVAGEGDAGPRGGPPGDLYVYLDVEEVAGIQRDGINLSSTVSISYLDAILGSVVKVKTVEGVTELQIPPGTQPGEVLVLARKGAPKLNKPSIRGDHLFTIKVTIPNRISAKERELLEELASLSNTTSSRSRTRPRTQPATKTTESKVGKDVEKTEEPADQNDPWTKLKAFAGSVANGALKWLKGNL >EOY28314 pep chromosome:Theobroma_cacao_20110822:6:24894043:24897237:1 gene:TCM_029914 transcript:EOY28314 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAF1 complex component isoform 1 MADLENLLLEAAGRTGTGGRNRHSLPPSRRRREGSYSDGGSDSRDDDSDDDHGYASRKPSGSQVPLKKRLDPAERDDDQGSQEEGDYDDGVSVHEGDSSDESDVGDDLYKNEDDRRKLAQMTELERELILSERADKRGDKKFTEKIRSKRENDRPSRSRKETPPLPSSRGVRSSARSADRAAAKDDALNELRAKRLKQQDPEAHRKLRDASRGSSGSRGLSPVKRKPFTASSLSSSSQSDSESRSNSEDEGSTGDGGMVDSDDDRGMQGPDGPTFDDIKEITIRRSKLAKWFMEPFFEELIVGCYVRVGIGRSKSGPIYRLCMVRNVDATEPERTYKLENKTTYKYLNVVWGNESSAARWQMAMISDSPPQEEEFRQLIRELERSGGRMPSKQDVLEKKEALQKAKTFVYSAATVKQMLQEKKSTSSRPLNIAAEKDRLRRDLEIAQSKHDEAEVERIKMRLQQLEASRQAQEKDAKAVRLAEMNRKNRAENFKNASELKPVNTGLKAGEAGYDPFSRRWTRSRNYYVAKPPGADAAAVANGDRIGVIASGNGNDARAAAEAGRAATVAALQEAAGAGKLVDTSAPVDEGTESNMLHDFEIPISLNALQRFGGPQGAVAGFMARKQRIEATVGCQVPENDGRRHALTLTVSDYKRRRGLL >EOY28313 pep chromosome:Theobroma_cacao_20110822:6:24893693:24897244:1 gene:TCM_029914 transcript:EOY28313 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAF1 complex component isoform 1 MADLENLLLEAAGRTGTGGRNRHSLPPSRRRREGSYSDGGSDSRDDDSDDDHGYASRKPSGSQVPLKKRLDPAERDDDQGSQEEGDYDDGVSVHEGDSSDESDVGDDLYKNEDDRRKLAQMTELERELILSERADKRGDKKFTEKIRSKRENDRPSRSRKETPPLPSSRGVRSSARSADRAAAKDDALNELRAKRLKQQDPEAHRKLRDASRGSSGSRGLSPVKRKPFTASSLSSSSQSDSESRSNSEDEGSTGDGGMVDSDDDRGMQGPDGPTFDDIKEITIRRSKLAKWFMEPFFEELIVGCYVRVGIGRSKSGPIYRLCMVRNVDATEPERTYKLENKTTYKYLNVVWGNESSAARWQMAMISDSPPQEEEFRQLIRELERSGGRMPSKQDVLEKKEALQKAKTFVYSAATVKQMLQEKKSTSSRPLNIAAEKDRLRRDLEIAQSKHDEAEVERIKMRLQQLEASRQAQEKDAKAVRLAEMNRKNRAENFKNASELKPVNTGLKAGEAGYDPFSRRWTRSRNYYVAKPPGADAAAVANGDRIGVIASGNGNDARAAAEAGRAATVAALQEAAGAGKLVDTSAPVDEGTESNMLHDFEIPISLNALQRFGGPQGAVAGFMARKQRIEATVGCQVPENDGRRHALTLTVSDYKRRRGLL >EOY27182 pep chromosome:Theobroma_cacao_20110822:6:20573091:20575257:1 gene:TCM_029094 transcript:EOY27182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTLKLLTSTIVIYPGLLESSCEGMSVFREARVKVLYVANPIVMDDVEVPVLGFGGYGRNCTARLPNKGGFKLVCGHPEEYGWTSWYILTSGIDEYVSKFSSFLTEKYWVPWFVKIGNYLSCVQRLFTGCNVYVSKDVNMGGWEIYHKIVADSIKHCNMKTQRIRSLDGLVDARKDFVRLHVYSLVSWSSF >EOY25834 pep chromosome:Theobroma_cacao_20110822:6:2244627:2245484:-1 gene:TCM_027204 transcript:EOY25834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related protein PR-4B MKNTQKMGNLSLCLVFLVSLLASTATAQQCGRQAAGRTCANNLCCSQFGYCGTTNEYCSPSKSCQSNCWPSGGGGGGESASNVRATYHFYNPPQNGWDLNAVSAYCSTWDANKPLAWRQKYGWTAFCGPVGPRGQASCGRCLRVTNRGTRAEAIVRIVDQCSNGGLDLDAAVFQQIDTDGRGYAQGHLMVDYQFVNCGD >EOY28363 pep chromosome:Theobroma_cacao_20110822:6:25128556:25132675:1 gene:TCM_029951 transcript:EOY28363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain 4, putative MGTEMITGRWGTWEELLLGGAVLRHGTRDWNLVASELQARTISPFAFTPEVCKAKYEDLQQRYSGCKAWFEELRKQRMLELRRALEKSEDSIGSLESKLESLKAEKRDDSRIDYDSSQTVSAIPCLKSEGVEFSSKDTSKDDLSAGSFTREAQTNWSPHCQIPAAVPAEEMDMKPGESLVSEREKVSSIDKLADTFCGGQFQSIRKRRGKRKRKDCSRDAKEGSVGESEFLGPADVASASPCKETSASNSAQIARSSGIEDQSGGSSKEGIDAMMGIFSSVAENYCASVFRRRLDSQKRGRYKKMILRHMDFDTIRSRIASNSIMSVKELFRDMLLVANNAMVFYSKNTREYKSALLLRHIVTATLRQHFKEYGSKVPITTFTSSRPMHKLPAKPRSIRPGNRKLPGKAANNGNAVVGVSHANKKTANADSPPSVESLPVTKKGSSQPRKVGRGRASQKSESPMKGRKRARAR >EOY27045 pep chromosome:Theobroma_cacao_20110822:6:19840747:19846970:-1 gene:TCM_028991 transcript:EOY27045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein, putative isoform 1 MGSPDEPNTKGIDASVGGLVWVRRRNGSWWPGRIMGLDELSESCLVSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEYDECIEKAKASAANSSKKAVKYARREDAILHALEIESARLGKDHPDYFSRKDNSGGDQGSSVRESPTISHSGKENEDMTDEMSDTEDDSDSAPELSQSGISFEEPNHINGTKGHCVLVKRRKTPNDSEDDGTEGIKRMRGLEDLGMGVGSKRKAQTAGVLELVQQDNASFYDPNMGNCVSNGSPVNGSRNHSSSLKRKRSQVANVHEFLKRKNRRRPLTKVLESTAMVSVPVVCDEIPSSSGSPLRGVSDSKVSGMDSNESRRSVSAVINNNNNNINNNSDSTGVSCENGVSLNASEHAADASQTNNKTKYNEISSVLGLAENGSSDKLFDVPFVGEDKPSADFSPIFVSCSSETPEVGDLGRQAETEGHNESGCTRSVAAHTNSISQRIEKGTAEWQLKGKRKSRQISKNRKHDSRKYADMDDEPNACLAGLEHLDGFSQGSDQKVDCNGVGGSVAPYNCTLQSKSKSVVEEQLDGFQDWKAMSREPRARGPIVEAKILPDGSVTPQRLLPYRQSRYTVHSRYQMTDFPGKPYSADSSFYDVKIEVKANYRPQHVPLLSLMSKLNGKAIIGHPLTVEVLSDDYYGSLTREAAMECTEIGLVVKRNSEGGRVPTKHMKLHSRFLPRKSAKAKKSGLLSKKIRKLSSLTGQKLGLADRKLVVEKPKGPVIACVPLKLVFSRINEALNGSARPTHAPFNVKQFMSR >EOY27044 pep chromosome:Theobroma_cacao_20110822:6:19840735:19846634:-1 gene:TCM_028991 transcript:EOY27044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein, putative isoform 1 MGSPDEPNTKGIDASVGGLVWVRRRNGSWWPGRIMGLDELSESCLVSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEYDECIEKAKASAANSSKKAVKYARREDAILHALEIESARLGKDHPDYFSRKDNSGGDQGSSVRESPTISHSGKENEDMTDEMSDTEDDSDSAPELSQSGISFEEPNHINGTKGHCVLVKRRKTPNDSEDDGTEGIKRMRGLEDLGMGVGSKRKAQTAGVLELVQQDNASFYDPNMGNCVSNGSPVNGSRNHSSSLKRKRSQVANVHEFLKRKNRRRPLTKVLESTAMVSVPVVCDEIPSSSGSPLRGVSDSKVSGMDSNESRRSVSAVINNNNNNINNNSDSTGVSCENGVSLNASEHAADASQTNNKTKYNEISSVLGLAENGSSDKLFDVPFVGEDKPSADFSPIFVSCSSETPEVGDLGRQAETEGHNESGCTRSVAAHTNSISQRIEKGTAEWQLKGKRKSRQISKNRKHDSRKYADMDDEPNACLAGLEHLDGFSQGSDQKVDCNGVGGSVAPYNCTLQSKSKSVVEEQLDGFQDWKAMSREPRARGPIVEAKILPDGSVTPQRLLPYRQSRYTVHSRYQMTDFPGKPYSADSSFYDVKIEVKANYRPQHVPLLSLMSKLNGKAIIGHPLTVEVLSDDYYGSLTREAAMECTEIGLVVKRNSEGGRVPTKHMKLHSRFLPRKSAKAKKSGLLSKKIRKLSSLTGQKLGLADRKLVVEKPKGPVIACVPLKLVFSRINEALNGSARPTHAPFNVKQFMSR >EOY27934 pep chromosome:Theobroma_cacao_20110822:6:23650717:23651779:1 gene:TCM_029644 transcript:EOY27934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAALSYTLFLSCIMIFPMFMPTSTVSAIRIHPPLTPSESPTEEPNSNMLGVHKAPSPQESRGNAFRVLMKGRITPSGPSHRGNAEPIFTRHLLRNNDIISFQELTSVPSPGIGHR >EOY26581 pep chromosome:Theobroma_cacao_20110822:6:14556473:14558527:-1 gene:TCM_028416 transcript:EOY26581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMEGHANNPPAQESSSGKCTYNKELSDVSSFPTVFEINFAKIEVHPRVRRKRHSDTEISIDKILSLTSDKAVNIGENDEA >EOY25919 pep chromosome:Theobroma_cacao_20110822:6:2749308:2755980:1 gene:TCM_027289 transcript:EOY25919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic H pyrophosphatase family protein isoform 2 MGEAVLSEMATEIVVPVCAVIGIAFSLVQWVLVSRVKLTSERHASGGSGSNSKNGYSDYLIEEEEGINDHSVVTKCADIQTAISEGATSFLFTEYQYVGIFMIAFAILIFLFLGSVEGFSTKSQPCTYDKEKACKPALATAIFSTVSFLLGAVTSVLSGFLGMKIATYANARTTLEARKGVGKAFIIAFRSGAVMGFLLAANGLLVLYIAINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGVNHEFTAMLFPLLISSVGILVCLITTLFATDFFEIKVVKEIEPALKKQLIISTVLMTVGIAIVTLIGVPSSFTIYNFGTQKDVKNWQLFLCVGVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGHARPDYSTCVKISTDASIKEMIPPGALVMLTPLIVGTFFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARDLGPKGSDPHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >EOY25920 pep chromosome:Theobroma_cacao_20110822:6:2749318:2755472:1 gene:TCM_027289 transcript:EOY25920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic H pyrophosphatase family protein isoform 2 MGEAVLSEMATEIVVPVCAVIGIAFSLVQWVLVSRVKLTSERHASGGSGSNSKNGYSDYLIEEEEGINDHSVVTKCADIQTAISEGATSFLFTEYQYVGIFMIAFAILIFLFLGSVEGFSTKSQPCTYDKEKACKPALATAIFSTVSFLLGAVTSVLSGFLGMKIATYANARTTLEARKGVGKAFIIAFRSGAVMGFLLAANGLLVLYIAINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGVNHEFTAMLFPLLISSVGILVCLITTLFATDFFEIKVVKEIEPALKKQLIISTVLMTVGIAIVTLIGVPSSFTIYNFGTQKDVKNWQLFLCVGVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGHARPDYSTCVKISTDASIKEMIPPGALVMLTPLIVGTFFGVETLSGVLAGALVSGVQQIAISASNTGGAWDNAKKYIEAGASEHARDLGPKGSDPHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >EOY26591 pep chromosome:Theobroma_cacao_20110822:6:14654184:14660690:-1 gene:TCM_028429 transcript:EOY26591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-hydrolase domain-containing protein isoform 2 MVMKAWMVVEKGRRIVRTAFFMVAMLASLLASSLPLLVAVGDIMVPFLLLSSFTCVTCYGFNQQFRRYAFKNSLADIPLVSILRSIIITCVYSMCDGPALSHGPYLGTVTLCSFASILLLSVKACVFTVSSQIEAEASSGSSLARQRLHLKKSWGMPVLFLSSVVFALGHTVVAYRTSCRARRKLLFHRVDPEAVLSCKNVFSGFQKVPRSPTPSAGKTPKSDSETRRKPFGQSRDEGELPVRLLADMDSLFITLQGLSIHYKLCFPGSPPRSLSSTTFLEPKLCSTPQVAPGKLKLDRPALSVLSKTQYHHLHRSYSNQFHSSSLYAPLLDGSPTSPVLSKDIPVLSLEDTVAKVETSHLNSGTLQQDIEANGQFGIVLVHGFGGGVFSWRHVMGVLARQVGCAVAAFDRPGWGLTSRPSRKDWEGKELPNPYKLETQVDLLLSFCSEMGFSSVVLVGHDDGGLLALKAVQKVQASMNSFNITIKAVVLLSVSLSREVVPAFARILLRTSLGKKHLVRPLLRTEITQVVNRRSWYDATKLTTEVLSLYKAPLCVEGWDEALHEIGRLSYETILSPQNATSLLKAVEEMPILVIAGAEDALISLKSSQAMASKLVNSRLVAISGCGHLPHEECPKSLLAAISPFIGRLLPKPELHRQ >EOY26592 pep chromosome:Theobroma_cacao_20110822:6:14654399:14660688:-1 gene:TCM_028429 transcript:EOY26592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-hydrolase domain-containing protein isoform 2 MVMKAWMVVEKGRRIVRTAFFMVAMLASLLASSLPLLVAVGDIMVPFLLLSSFTCVTCYGFNQQFRRYAFKNSLADIPLVSILRSIIITCVYSMCDGPALSHGPYLGTVTLCSFASILLLSVKACVFTVSSQIEAEASSGSSLARQRLHLKKSWGMPVLFLSSVVFALGHTVVAYRTSCRARRKLLFHRVDPEAVLSCKNVFSGFQKVPRSPTPSAGKTPKSDSETRRKPFGQSRDEGELPVRLLADMDSLFITLQGLSIHYKLCFPGSPPRSLSSTTFLEPKLCSTPQVAPGKLKLDRPALSVLSKTQYHHLHRSYSNQFHSSSLYAPLLDGSPTSPVLSKDIPVLSLEDTVAKVETSHLNSGTLQQDIEANGQFGIVLVHGFGGGVFSWRHVMGVLARQVGCAVAAFDRPGWGLTSRPSRKDWEGKELPNPYKLETQVDLLLSFCSEMGFSSVVLVGHDDGGLLALKAVQKVQASMNSFNITIKAVVLLSVSLSREVVPAFARILLRTSLGKKHLVRPLLRTEITQVVNRRSWYDATKLTTEVLSLYKETGCNIWMWPSSA >EOY26854 pep chromosome:Theobroma_cacao_20110822:6:18759003:18763138:1 gene:TCM_028834 transcript:EOY26854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MASLDSPNSPPNSSFAIRETTTHPKTNVASILDPAPNSPPNPSFAVRESTAHSFLRSISTKEASFAGFHFSPPRYSTPSLHSTSPLSSPLRTFSPNPASNHTISLDASYKCLSSVLKKDGQILSIAISNGIVYTGSDTNLIRIWKLPEFSECGVLKTKACTVVAIAVSHDRLFAGYGDTKIRVWRRTWDGTLKHVKLATIPRTGGYVRSYIAGKDKMMRHMGPITSLAVNISDNILYSASLDKTVKVWRISDLKCIENIPAHSEPINAIVVADDGILYTASDDATIRVWRRNFCRGEWPHSLMVTLPAKCSPVKTLTLTGDGGVLYGGCTDGYVHYWLKGWLSGQLRYGGALQGHTHAVMCLATVSNFVISGSADSSSRVWTREQDGQHVCLAVLVGHRGPIRCVTAFLGHSGEEVEDGCTICTGSLDGVLKVWRVTPMKSASGRFVKNDYFELEEEGV >EOY26855 pep chromosome:Theobroma_cacao_20110822:6:18758963:18762788:1 gene:TCM_028834 transcript:EOY26855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MASLDSPNSPPNSSFAIRETTTHPKTNVASILDPAPNSPPNPSFAVRESTAHSFLRSISTKEASFAGFHFSPPRYSTPSLHSTSPLSSPLRTFSPNPASNHTISLDASYKCLSSVLKKDGQILSIAISNGIVYTGSDTNLIRIWKLPEFSECGVLKTKACTVVAIAVSHDRLFAGYGDTKIRVWRRTWDGTLKHVKLATIPRTGGYVRSYIAGKDKMMRHMGPITSLAVNISDNILYSASLDKTVKVWRISDLKCIENIPAHSEPINAIVVADDGILYTASDDATIRVWRRNFCRGEWPHSLMVTLPAKCSPVKTLTLTGDGGVLYGGCTDGYVHYWLKGWLSGQLRYGGALQGHTHAVMCLATVSNFVISGSADSSSRVWTREQDGQHVCLAVLVGHRGPIRCVTAFLGHSGEEVEDGCTICTGSLDGVLKVWRVTPMKSASGRFVKNDYFELEEEGV >EOY26856 pep chromosome:Theobroma_cacao_20110822:6:18759290:18763138:1 gene:TCM_028834 transcript:EOY26856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MASLDSPNSPPNSSFAIRETTTHPKTNVASILDPAPNSPPNPSFAVRESTAHSFLRSISTKEASFAGFHFSPPRYSTPSLHSTSPLSSPLRTFSPNPASNHTISLDASYKCLSSVLKKDGQILSIAISNGIVYTGSDTNLIRIWKLPEFSECGVLKTKACTVVAIAVSHDRLFAGYGDTKIRVWRRTWDGTLKHVKLATIPRTGGYVRSYIAGKDKMMRHMGPITSLAVNISDNILYSASLDKTVKVWRISDLKCIENIPAHSEPINAIVVADDGILYTASDDATIRVWRRNFCRGEWPHSLMVTLPAKCSPVKTLTLTGDGGVLYGGCTDGYVHYWLKGWLSGQLRYGGALQGHTHAVMCLATVSNFVISGSADSSSRVWTREQDGQHVCLAVLVGHRGPIRCVTAFLGHSGEEVEDGCTICTGSLDGVLKVWRVTPMKSASGRFVKNDYFELEEEGV >EOY25878 pep chromosome:Theobroma_cacao_20110822:6:2511988:2517983:1 gene:TCM_027245 transcript:EOY25878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyl transferase 2 isoform 1 MEKMFAGDQNGLQGDPRLKAISEAIRVVPHFPKPGIMFQDITTLLLDHKCFKDTVDIFVDRYRDMAISVVAGVEARGFMFGPSVALAIGAKFVPLRKPRKLPGEVISEAYVLEYGTDCLEMHVGAVQPGERALVIDDLVATGGTLSAAIRLLVERVGAEVVECACVVGLREVKGQHRLNGKPLYILVEPRQQTNCSAPSVNGVETCRRDDVYLSAT >EOY25877 pep chromosome:Theobroma_cacao_20110822:6:2511983:2518008:1 gene:TCM_027245 transcript:EOY25877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyl transferase 2 isoform 1 MEKMFAGDQNGLQGDPRLKAISEAIRVVPHFPKPGIMFQDITTLLLDHKCFKDTVDIFVDRYRDMAISVVAGVEARGFMFGPSVALAIGAKFVPLRKPRKLPGEVISEAYVLEYGTDCLEMHVGAVQPGERALVIDDLVATGGTLSAAIRLLERVGAEVVECACVVGLREVKGQHRLNGKPLYILVEPRQQTNCSAPSVNGVETCRRDDVYLSAT >EOY27454 pep chromosome:Theobroma_cacao_20110822:6:21784335:21798986:1 gene:TCM_029298 transcript:EOY27454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase MILRLKPPSSSICLLLFIVFFSCSKSNAQNATTDPSEVRALNTIFQKWDTQAVASWNISGEPCSGLALSPSDSVLEDPENNPAIRCDCSFNSNTLCHITRLRVYALDRRGVIPEELLDFPFLTFLKIDQNFFSGPLPAFIGNMSRLEILSIAHNDFSGPIPKELGSLKALNMLSFGHNNFSGTLPPELGNLVNLQEIYINSCGLGGEIPSTFANLEKLETVWASDVAFTGNIPNFIGNNWTKLTTLRLEGNSFAGPIPSSFANLTSLTSLRIGGIYNGSSSLGFVRNLRNLTDLVLRNVLLTGNLPSFITELQSLQKLDFSFNNLTGQIPSALFNMNSLENLFLGNNSLSGTLPTQKSDTLQTIDLSYNFLSGNLPSWINSGLQLNLVANNFTSNSSNIRLLQGLECLQRSFPCNRNTPRYANFSIKCGGPQMISNGIVFEAENSTTFGAASFNVTSTQKWAVSNAGLFADRQNQKYVENTFAQVRNTGTPELYQTSRLSPGSLRYYGLGLQNGLYTVNLFFAETGFPDRSTQTWKSLARRVFDVYVQGTRQLRDFDISKEAGGVQRAITKNFTANVTENHLEIHLFWAGKGTCCEPEQGYYGPSISAISVVPNFKPTVSGIPPGTPKKKNQTALIVGIAVPVAVVALILIFAIIYVKRRKEDDDEEVFLGIGPRPNTFSYSELKAATEDFSPSRKLGEGGFGPVYKGTLSDGRVVAVKQLSVASHQGKDQFATEIATISAVQHRNLVKLYGCCIEGNRRLLVYEYLENKSLDQALFGDNYLHLDWPTRFNVCLSTARGLAYLHEESRPRIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEIVSGRPNSDNSLENDRIYLLEWAWTLHENNQSLGLVDPSLVEFDENEALRMIGVALLCTQASPAMRPPMSRVVAMLAGDIEVSSVTSKPSYLTDWHFKDITGTFMSEETQTSIASDNSDIKSGKSKTMSGTEPVLSPANVTEFADIIGDGR >EOY25453 pep chromosome:Theobroma_cacao_20110822:6:7176:36686:1 gene:TCM_026875 transcript:EOY25453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRAIRDPLGLGICLLSIRRCLSGISFRISHIHRERNQASNHLSNLGHTHQNLQVFSQAEGQLKGILRLDKVSLPYVRFR >EOY27418 pep chromosome:Theobroma_cacao_20110822:6:21616480:21625061:-1 gene:TCM_029265 transcript:EOY27418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strubbelig-receptor family 6 MRKNWRKGLVLLLFTVCILGFKPRCANGATDQGDASALGGMFSSLNSPQQLTGWTSSNGDPCGQSWKGVTCSGQRVTEIKLSNLGLSGSIYNLQSLTSLKELDMSQNNIAGEIPYNLPPNLQQLNLAFNQFTGSVPYVFHDMPSLQYLNLGHNQLQNQLNDMFAPLSSLSTLDLSFNALTGDLPESFKNLSSVNSMYLQNNQFTGTIDVLANLPLDNLYVSNNRFTGWIPDQLKSINLQKDGNSWSSGPAPPPPPGTPPATRNNRNHKSGSNSSPSDGGSGGGSSKSGIGGGGIAGIVISILIVGAIVAFFLVKRRSKRSSSDIEKLDNEPFAPLASNEVQEMKSIQSSSSIDTKTFDTPASINLRPPPIDRHKSFDEEDFSKKPVVVKKAVPAPTNVTSYSIADLQMATGSFSVENLLGEGCFGRVYRAQFDDGKVLAVKKIDSSALPSEISDDFIEIVSNISQLHHTNVTELVGYCSEHGQHLLVYEFHKNGSLHDFLHVSDEYSKPLIWNSRVKIALGTARALEYLHEVCSPSIVHKNIKSANILLDAELNPHLSDSGLATFIPDADQVLNRDDVGSGYSAPEVAMSGLYSLKSDVYSFGVVMLELLTGRKPFDSTRPRLEQSLVRWATPQLHDIDALSKMVDPALKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMSKRTIGTDQGASQRTDNPDAHDDYMS >EOY26831 pep chromosome:Theobroma_cacao_20110822:6:18122594:18140298:1 gene:TCM_028784 transcript:EOY26831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQLANTSNNWALGTSPSDIETNQRREENEHCKAITLKNGKKVERSSMQSNSLSKLVQKETMSEKKDEKVQEEKMPSYVKFLKHIMTKKRRLGEFETIALIKKCSVIIQNKLPLKLKDLGSFTISCIISTLFFAKALSDLRASINFMLLSIYRKLGLREIKPTSVTLQLTVQSFTYPRATKPFIEEPPKLELKPLQTHLWYAFLGNSSTLLVIISFSLTNRIAFGFCNALATFQRCIIAIFTDMVEQCLELFMDDFSVFENSFDDCLHNLVEFLKGIEMDKVKAEMINKLPPPTLVKGIWSFLNHVGFYRHFIKDFSRISKPLCNLLKNDTPF >EOY25817 pep chromosome:Theobroma_cacao_20110822:6:2121016:2137457:1 gene:TCM_027189 transcript:EOY25817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-LTR retroelement reverse transcriptase MLVSRRKNRFKEGRQYPTWEKNSGKNRTQGSHFSLLEDKEEEVVALDQSIISERQSSKQNFAGKEKIESFNKKVHIADQLRNTSQRTEDNAGQAYGGKGNCVAIQEDNGQFRKALEGEAIVRESLLKDVLTGKQISPLVPNGNEADSKDVSRIRDIPSHWSIPDPNKHTIVTMVVKIVDGGLPTRKLMTRNSRVDGVAIHEKDPKATNKKILTRLQGMSIKKWARAKPITATMHSNAMSSLLNDSGLNLAPVDALVETIYQPVAANDLEKPEAVKIHMIGNHAQCIHLIVEHPVGNSWYLSAVYGHPNPSIRYSLWEELTRFARTVSKPWLIARDFNSFLFSHEKSGGSAKGSQPCSHFQKLVNVFELMDLGFKGPKFTWRRGLVSERIDRVVCNMQWRLAFSDAVVHHLPRVGSNHRPLLISTKDAKVENMTQSFRFQAAWLFHEGCDECSNVWKSMSRLWGEFTKHVRWSIGDGALVNFWIDTWVGEVPLINVVVDMGFMLNLRARVRDYIMPNGDWDKERLTSILPIEVVNQILYIIPPTLSASLDMPYWALSPSGYFTISSTYEHLGSLADSTREENNKMWHLVWDWKGPHRVCLFLFFCLHKRILTNAERVRRKMSSDASCPHCYGVEETCLHVLRDCLASETLWRRILPESGINQFFQIPLIDWLSSNLNLKNLYVFDVPWNIVFGTTCWYTWKRSNLFIFEGRELSVEGRLNIIRSMAVDSHNTWSTYRIISGGMRHQEKILVGWSPPPEDWITVNLDGAFKSAARTTAAGGVLRDAHGTWIVGYACKLETSSVFRAELWGVYKGLQLAWERGFRKVKLQSDNKAVVQAISFSSVHPCSNLDLIRAIKGPCLLTESDSQIVLSWAEK >EOY26655 pep chromosome:Theobroma_cacao_20110822:6:16666388:16667735:-1 gene:TCM_028600 transcript:EOY26655 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA transcriptional regulator family protein MSPENDAAGLNFEATELTLGLPGKSKVTSDGEAKSGTKRGFLETVDLNLGDKKCGQSQTDVQEAAKSSPVSKAQVVGWPPVRALRKNAMKSCKYVKVAVDGAPYLRKVDLEMYNSYQQLLSSLEDMFSCFTIRNCLNEKKIVDQVNGLEYVPTYEDKDGDWMLVGDVPWQMFVDSCKRLRLMKSSEAIGLAPRTPPKCSSTT >EOY26272 pep chromosome:Theobroma_cacao_20110822:6:6888609:6892066:-1 gene:TCM_027749 transcript:EOY26272 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein, putative MSPHNGENVVNVVNNTNENGRNGKSTIDPFLNTANPSIVGNSVTTTPLTITHGFIIKEELEKLLDQKNKSLNFLGFDLKLLYFARVVKVCVQGMFDEYRRIWKTYLFLLLPL >EOY27666 pep chromosome:Theobroma_cacao_20110822:6:22686639:22687998:-1 gene:TCM_029455 transcript:EOY27666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TAU 22, putative MLFNLECGFKTKIFKFETDRSRNIKAKEEKIRRQKRCHSLDSWPSPFGMRVRIALDLKGIKYEYKEENLLEQKNPLLVESNPDYKKVPVLIHKGRPICESLIIIQYIHAIWKDRFPLMPSDPYQQAQARLWAEFVEKKLYDWGKMIWMNKGEEQEKATVEFIESLKLLEEKLGEKPSFGGETMGFLDVTLVSHYS >EOY26783 pep chromosome:Theobroma_cacao_20110822:6:17845152:17848170:1 gene:TCM_028741 transcript:EOY26783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein, putative MPRSFSESATMRTLSFFIVLLCVWISFRMTNRSNHFSFSFSSSFSLNSKSNSFWIFQDILLDSEALEDGQAPARSLEYDFYRETCPEADKIIRAKVHQLFKIKASLAPALLRLAFHDCFIEGCDASILLDAVEGMDSEKDSPPNESLKGFDGIDIIKSDVEQVCPGVVSCADILVLAAREAVLLSGGPFYPLNTGRRDSTASFSDSATNELPSPHADLSETLASFSSRGFDERETVTLLGAHSIGVIHCKFFQNRLYNFGGTDEPDPTLDSEILEQMRSKCPKNHSSSSPAAPPFSDGSPMKAPRSPSLYNSLSSAVPPSTSFDKLLSSSPKDQGTVMTYGGTGADFGTVYYRSLLQGKGILYADQQLMAGEETGLWVRAYASDASLYQRDFALAMMKLSNLHVLTAPRGQIRLNCSRVA >EOY26636 pep chromosome:Theobroma_cacao_20110822:6:16162796:16165220:-1 gene:TCM_028556 transcript:EOY26636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLRIEKKLFMYCINDKELIKKTFVRFRERSELMRTIDSADRRIVDAISIMESVLRSSNTALCQSQLEISMGSRSISHASKKNERSYKESSAGVKPSVKKDGQLIMVERCGTSRCPIDKDGDGDKLEEMWYNVLIPIEEMEWAYKSAVGMLRNGFMHSEVR >EOY26748 pep chromosome:Theobroma_cacao_20110822:6:17663105:17664547:1 gene:TCM_028708 transcript:EOY26748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator 21 isoform 1 MDIISQLQEQVNAIAALAFNTFGSLQRDAPPVRLSPNYPEPPANPTEDSANFPDQPKLMSAALVKAAKQFDALVAALPSSEGGEEAQLRRIAELQAENNAVGQELQKQLEAAGIETGAGAVQSSNG >EOY26747 pep chromosome:Theobroma_cacao_20110822:6:17660666:17664410:1 gene:TCM_028708 transcript:EOY26747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator 21 isoform 1 MDIISQLQEQVNAIAALAFNTFGSLQRDAPPVRLSPNYPEPPANPTEDSANFPDQPKLMSAALVKAAKQFDALVAALPSSEGGEEAQLRRIAELQAENNAVGQELQKQLEAAEKELKQVQELFSQATDNCLNLKKPD >EOY26440 pep chromosome:Theobroma_cacao_20110822:6:10373753:10383757:1 gene:TCM_028061 transcript:EOY26440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSARNVRGYKGKGVTSSSRGKSVQRGRVTRPVGLDTPVSRQEEEQSSGDVDRHPARGITIEDLAAGLQGVNRVVEMMATRMEDIQRVVEGRPTVQESPSSQGQADHQHHEEERGHLDISLPDFLKLKPPTFTGSDASEKPQVFLDKMEKICKALGCSSVRSVELAAFQLEDVAQEWYSSLCRGRPTNATPLAWSEFSVAFLDRFLPLSVRNARAREFETLVQTSSMTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVEPLFRAVASRDFTTYSAAVDRAQRIEMRTNESRAARDRAKRGKTEGYQGRRDFSSGGSSSSRQGPQRDSRRTFVFDLWA >EOY25715 pep chromosome:Theobroma_cacao_20110822:6:1385074:1387558:1 gene:TCM_027096 transcript:EOY25715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEVNIKKKSRKSLGRILLKGDNITLMMNAGKVRNKFEATVQFGSFCSYYHNEYAALL >EOY26998 pep chromosome:Theobroma_cacao_20110822:6:19654695:19656352:-1 gene:TCM_028954 transcript:EOY26998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLKDLVISFNTWNVGPVGKSEFKIWCMAFYAILWSVWLYRNDMVFRGVTWNADQVFELVKLRVASWAQAKWPLEYGVVLDTYKYPAKGAMVKKRKITRVVEEWSKPHKGEMKYNVDGVAQGCPEEVGIGGIIRDDEGNTKIVFSKVIGVEDASAAEETNQLADNLAKGGVQRQVDLLNVYD >EOY28077 pep chromosome:Theobroma_cacao_20110822:6:24178629:24180633:-1 gene:TCM_029755 transcript:EOY28077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase isoform 2 MGSCNNPGLGSDVLETLAQRLRHYKPPPRQIPETATESVSHQINSDEKSVSSITDHYQPPKLKRAAVLVCIFQGNNDDLRVILTKRSSTLSSHSGEVALPGGKREETDADDVETALREANEEIGLDPSLVNVVTVLEPIFTKSRMLVVPVVAILSDAKAFSPAPSAAEVEAIFDAPLEMFLKDENRRAEEREWMGGKYLLQYFDYEAENKRYVIWALTAGILIKVASIVYQRPPAFLELRPKFWDMAFSTDIPKQ >EOY28078 pep chromosome:Theobroma_cacao_20110822:6:24178647:24180777:-1 gene:TCM_029755 transcript:EOY28078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase isoform 2 MGSCNNPGLGSDVLETLAQRLRHYKPPPRQIPETATESVSHQINSDEKSVSSITDHYQPPKLKRAAVLVCIFQGNNDDLRVILTKRSSTLSSHSVALPGGKREETDADDVETALREANEEIGLDPSLVNVVTVLEPIFTKSRMLVVPVVAILSDAKAFSPAPSAAEVEAIFDAPLEMFLKDENRRAEEREWMGGKYLLQYFDYEAENKRYVIWALTAGILIKVASIVYQRPPAFLELRPKFWDMAFSTDIPKQ >EOY25774 pep chromosome:Theobroma_cacao_20110822:6:1837590:1844057:-1 gene:TCM_027142 transcript:EOY25774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein 31 MELSSQEGEMPIPLNSTYGGGHMIHHDHHAAPHNHIIVSSAPQITCTGPSIPTNLDDDHHVGFKKVVRYRECLKNHAAAMGGNATDGCGEFMPSGEEGSIEALTCSACNCHRNFHRKEIEGEPSPSSCDCYPSHSPHLSRAGRKLFLGHHKSILPPEALGLGYPTATGTLIHSRAAPTPTPHQMIMSYNMGSLPSESDDQADGGGVVTRPHQLVKKRFRTKFTQEQKEKMLNFADKVGWKIQKQEEAVVQQFCQEIGVKRRVLKVWMHNNKHNLAKKNPSSTTTPTTTTPAT >EOY27372 pep chromosome:Theobroma_cacao_20110822:6:21455725:21458909:-1 gene:TCM_029233 transcript:EOY27372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein isoform 1 MGFFPFFFLSLLSLASSASRVDQKTFIVRVQHDVKPSIFTTHKHWYESSLSSVLSPSTPTQVLHVYDNVFHGFSAKLSPTEALKLQTLPHIIAVIPEQVRHVQTTRSPLFLGLKTTDSAGLLKESDFGSDLVIGVIDTGIWPERQSFNDRDLGPIPSKWKGQCVTTKDFGSSSCNKKLIGAKFFCNGYEATNGKMNETSEFRSPRDSDGHGTHTASIAAGRYVFPASTLGYAKGVAAGMAPKARLAAYKVCWNAGCYDSDILAAFDAAVADGVDVISLSVGGVVVPYYLDAIAIGAFGAADKGIFVSASAGNGGPGGLSVTNVAPWVATVGAGTIDRDFPADVKLGNGKVVPGVSVYNGPGLSPGRMYPLVYAGTGGGDGYSSSLCMEGSLDPDFVKGKLVLCDRGINSRAAKGEVVKKAGGIGMILANGVFDGEGLVADCHVLPATAVGAANGDEIRRYIDSASKSKSPATATIVFKGTRLGVRPAPVVASFSARGPNPETPEILKPDVIAPGLNILAAWPDKVGPSGVASDKRRTEFNILSGTSMACPHVSGLAALLKAAHSEWSPAAIKSALMTTAYTVDNRGETMLDESSGNTSTVLDFGSGHVHPTKAMDPGLVYDITSMDYVDFLCNSNYTINNIQVITRRNADCSGAKRAGHIGNLNYPSFSAVFQQYGKHKMSTHFLRQVTNVGDPNSVYKVTVRPPSGTLVTVEPEQLVFRRVGQKLNFLVRVQAVAVKLSPGSTNMKSGSIVWSDGKHNVTSPLIVTMQQPL >EOY27373 pep chromosome:Theobroma_cacao_20110822:6:21456893:21458652:-1 gene:TCM_029233 transcript:EOY27373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein isoform 1 TVEISPFYSSRVDQKTFIVRVQHDVKPSIFTTHKHWYESSLSSVLSPSTPTQVLHVYDNVFHGFSAKLSPTEALKLQTLPHIIAVIPEQVRHVQTTRSPLFLGLKTTDSAGLLKESDFGSDLVIGVIDTGIWPERQSFNDRDLGPIPSKWKGQCVTTKDFGSSSCNKKLIGAKFFCNGYEATNGKMNETSEFRSPRDSDGHGTHTASIAAGRYVFPASTLGYAKGVAAGMAPKARLAAYKVCWNAGCYDSDILAAFDAAVADGVDVISLSVGGVVVPYYLDAIAIGAFGAADKGIFVSASAGNGGPGGLSVTNVAPWVATVGAGTIDRDFPADVKLGNGKVVPGVSVYNGPGLSPGRMYPLVYAGTGGGDGYSSSLCMEGSLDPDFVKGKLVLCDRGINSRAAKGEVVKKAGGIGMILANGVFDGEGLVADCHVLPATAVGAANGDEIRRYIDSASKSKSPATATIVFKGTRLGVRPAPVVASFSARGPNPETPEILKPDVIAPGLNILAAWPDKVGPSGVASDKRRTEFNILSGTSMACPHV >EOY25818 pep chromosome:Theobroma_cacao_20110822:6:2138975:2140457:-1 gene:TCM_027191 transcript:EOY25818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFVYMESSLSLASEQKLTCSVPDLRIRFKKSREDIVVLTSEGALDKVAESEPGCQVHVRPIGPIIGLEST >EOY27926 pep chromosome:Theobroma_cacao_20110822:6:23610897:23615283:1 gene:TCM_029638 transcript:EOY27926 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor family protein MNIFRFAGDMTHLISILVLLLKIYATKSCSGISLKTQELYALVFLTRYLDLFTDFISVYNTIMKLVFIASSLAIVWCMRMHRVVRRSYDKEIDTFRHYFLILASFLLAVLVHEKFTFQEIFWAFSIYLEALAILPQLVLLQRSGNVDNLTGQYVFFLGAYRAFYILNWIYRYFTEQHFSRWIACLSGIVQTALYADFFYYYFISWKNNAKLQLPA >EOY25947 pep chromosome:Theobroma_cacao_20110822:6:2864839:2867204:1 gene:TCM_027306 transcript:EOY25947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein MDSNYSAIPKGSHVELQIQNEPRDFRSQKRFLLSDDGNWVNDPKVLHDLDDLNDDVDVDLGSDIDDYALVSSTPNKGSGISGAVFNLTTSIIGAGIMALPATMKVLGIVLGVVLIILMGILSEISVEMLVRFAVSCKAKSYGEVVQIAMGRTARVLSEICIIVNNAGVLVVYLIIMGDVMSGSVRHLGVFDQWLGHGFWDHRKLLILIVLVVFLAPLCVLDRIDSLSTTSAASVALAVIFVVICSVVALIKLIEGKIEAPRMSPDFGSKKSILDLLVVIPIMSNAYICHFNVQPIYNELEGRSPQKMNRVGRITTVLCVVVYASTAVSGFLLFGKDTEADVLTNFDKDLGIKFSTVLNYIVRVGYILHLVLVFPVIHFSLRQTVDTLVFEGSAPLTESRKRSLALTIILLVLIYFGSTMIPNIWTAFKFTGATTAVSLGFTFPALIALKISQQEEGLSLSRIEKFFSWLMLILAVIVSIAGIIGNIYTIQSKSE >EOY26458 pep chromosome:Theobroma_cacao_20110822:6:11171127:11172550:1 gene:TCM_028129 transcript:EOY26458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSSPRSPKAEISASGLTRKRVAALSENELLAFIQSVISDEQKMEPFYVLDLGVVADLFDMWTSNLPMVQPFYAVKCNPNPDPLREMAALGSNFDCASRAEIEAILALGVLPDRIVFANSCKAESHIKYAASVGVNLTTFDSKEELEKIRKWHPECALLIRIKSPEISGARFPLGAKFGALPEEVVPLLQAAQAGKFTVSGVSFHIGSGATNFRAFEEAIAAAKTVFEKAAQLGMPKMHILNIGGGFSAGPLFTDTASVVKTALQKYFFNEPCLEVMAEPGQYFAESSFTLAASIIGKRIRDELRQYWINDGIYGVHELFAVRS >EOY28763 pep chromosome:Theobroma_cacao_20110822:6:26478074:26478728:-1 gene:TCM_030276 transcript:EOY28763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific Stig1 family protein, putative MKLVKVFLMLVLLMALAITLSAAAPSEEEPLVDNDDDASEVADDLLPSADGQEQRTSLRGASRFLAQKPRAVMTCNKYPRVCRVAGSPGPDCCKKKCVNVKTDRLNCGMCGKKCKYSEICCKGKCVNPMSHKRHCGGCNNQCSKGSKCLYGMCSYA >EOY27632 pep chromosome:Theobroma_cacao_20110822:6:22572825:22573497:1 gene:TCM_029428 transcript:EOY27632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADIFSVTTLQETLCPPKAESPVVTPLLTPFSAVVPARFTLARLTIHITLATIHEEENEEESMEVSQNSSTSFLSTCFLEVKKPLPSYSHNCKCA >EOY28669 pep chromosome:Theobroma_cacao_20110822:6:26188300:26192847:1 gene:TCM_030202 transcript:EOY28669 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein MMQQPAPGVVPPPMAPPPSMAAAPQSQQQYQYQQPPPPPQPQQPYMMMNMMPPQSQPPPMWPQQQGSAPVPGQQQQVGQAGQPASADEVRTLWIGDLQYYMDENYLLGCFAQTGEVVSVKVIRNKQTGQVEGYGFIEFVSRGAAERVLQTYNGTPMPNGENNYRLNWASFSSGERRDETPDFTIFVGDLAADVTDYMLQETFRARFPSVKGAKVVIDRLTGRTKGYGFVRFGDEAEHNRAMTEMNGVFCSTRPMRTGPATNKKTITAQQYPKASSQGAQNENDPNNTTIFVGNLDSNVTDDHLREVFSPYGQLVHVKIPQNKRCGFVQFADRSCAEEALRMLNGTQLGGQSIRLSWGRSTSNKQPQADPNPWNGGYYGYAQGYDYGYAAAAPQDPNVYYGGYPGYGNYQQPQQQQQQVGYS >EOY27808 pep chromosome:Theobroma_cacao_20110822:6:23193912:23202707:-1 gene:TCM_029562 transcript:EOY27808 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTD small phosphatase-like protein 2 MPSLRMKAKSSMGSVREKNGLRMCQKSSMICKRPCSHVRVFQQGAEFSTCTQNSHDDSLDLEVASQVVATNEASSQQLILDDDDSELQKQHPVFFDSTTVGRMESAEACASNLETIFSPSLEPIVIHTEPNIDNDAGCNDGPEVPALGADESDDNKSSFGSQTCNVSDFFISDMIIASIPFDANAVDDNISGTNSFPDFKCSEPSMLFDVAEQYMILPFLEDTVKANDINDVNFCEEATMAQDNAGLYVAIDQMRSCIPESDVNSDSDQADDFDPQSFIKNLPELSDVVSSFRPAMVPKEAWRRKPVTLVLDLDETLVHSTLEHCDNADFTFTVFFNMKEHTVYVKQRPHLQTFLEKVAEMFEVVIFTASQSIYAEQLLDILDPHQKLISRRVYRESCIFSDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFDDPSDCALISLLPFLETLVDADDVRPIIAKKFGLMMNITRLSANGKIIVTIRTN >EOY26281 pep chromosome:Theobroma_cacao_20110822:6:7050312:7053004:-1 gene:TCM_027763 transcript:EOY26281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein MEGRSDVGKALGLLVCGTLVYYHCAYRNSSLLSLFSDVLIVLLCSLAILGLLFRQMNISVPVDPLEWQISQDAANSIVAWLANTVGAAESVLRVAATGHDKRLFFRVVVCLYILSAVGRLVSGATVAYAGLCLFCLCMFAENSQSIRTCVPQLQRQRNGTTVEEDNM >EOY28874 pep chromosome:Theobroma_cacao_20110822:6:26753332:26754256:-1 gene:TCM_030352 transcript:EOY28874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHGPISFLASSPVTTIPYGWSKVAHHGSWSNNQQLPFYANLFALTRTFPFFHCFSFSSGKKQKGMFVIQSTFITLQLHTLSYEI >EOY26857 pep chromosome:Theobroma_cacao_20110822:6:18764354:18771714:1 gene:TCM_028835 transcript:EOY26857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic LigT isoform 4 MLACRSLFRVDRLLKLTNTYVKLKPFNCFQGYYSYHSLSLDLRISVAKKKLGTMDKKKRKIGTPVWKPVCTQPSSLEEHAIKDVMVESENGSEMQEVNEVTNATVSPKALEDDIEDGALKEEPVLSDEKHSLSVEIGASLIRFVRGKEGSTKEKIEKEMGVQIILPSSKQEDSIMIEGTSADSVTKASKEIQHIIDEAVKTPILDYSHFVSLPLAIHPELVDKLVDFQNSILGISDACVDDNQEDNSDGDTSEDEAQEQQSGKGPAMAVEVKVSDDKKSVKVDVSSIPFVSYVPKESKSSNLSGKHKYLYSICLKLKLILLLVVPNLGIEKSIFIKPKTFHLTVLMLKLWNKERVNLAAEVLKSISSKVMDALDNRPIFVRLKGLNCMRGSLARARVVYAPVEEIGSENRLLCACEVIINAFVEAGLVLEKDARHELKLHATVMNARHRKRKGKRGKFDSFDARGIFKQFGSEEWGEYLIREAHLSQRFKFDEYGYYHCCASIPFPENMQVD >EOY26860 pep chromosome:Theobroma_cacao_20110822:6:18762932:18772013:1 gene:TCM_028835 transcript:EOY26860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic LigT isoform 4 MDKKKRKIGTPVWKPVCTQPSSLEEHAIKDVMVESENGSEMQEVNEVTNATVSPKALEDDIEDGALKEEPVLSDEKHSLSVEIGASLIRFVRGKEGSTKEKIEKEMGVQIILPSSKQEDSIMIEGTSADSVTKASKEIQHIIDEAVKTPILDYSHFVSLPLAIHPELVDKLVDFQNSILGISDACVDDNQEDNSDGDTSEDEAQEQQSGKGPAMAVEVKVSDDKKSVKVDVSSIPFVSYVPKESKSSNLSDLGIEKSIFIKPKTFHLTVLMLKLWNKERVNLAAEVLKSISSKVMDALDNRPIFVRLKGLNCMRGSLARARVVYAPVEEIGSENRLLCACEVIINAFVEAGLVLEKDARHELKLHATVMNARHRKRKGKRGKFDSFDARGIFKQFGSEEWGEYLIREAHLSQRFKFDEYGYYHCCASIPFPENMQVD >EOY26858 pep chromosome:Theobroma_cacao_20110822:6:18764429:18771363:1 gene:TCM_028835 transcript:EOY26858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic LigT isoform 4 MLACRSLFRVDRLLKLTNTYVKLKPFNCFQGYYSYHSLSLDLRISVAKKKLGTMDKKKRKIGTPVWKPVCTQPSSLEEHAIKDVMVESENGSEMQEVNEVTNATVSPKALEDDIEDGALKEEPVLSDEKHSLSVEIGASLIRFVRGKEGSTKEKIEKEMGVQIILPSSKQEDSIMIEGTSADSVTKASKEIQHIIDEAVKTPILDYSHFVSLPLAIHPELVDKLVDFQNSILGISDACVDDNQEDNSDGDTSEDEAQEQQSGKGPAMAVEVKVSDDKKSVKVDVSSIPFVSYVPKESKSSNLSDLGIEKSIFIKPKTFHLTVLMLKLWNKERVNLAAEVLKSISSKVMDALDNRPIFVRLKGLNCMRGSLARARVVYAPVEEIGSENRLLCACEVIINAFVEAGLVLEKDARHELKLHATVMNARHRKRKGKRGKFDSFDARGIFKQFGSEEWGEYLIREAHLSQRFKFDEYGYYHCCASIPFPENMQVD >EOY26859 pep chromosome:Theobroma_cacao_20110822:6:18762932:18772013:1 gene:TCM_028835 transcript:EOY26859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic LigT isoform 4 MLACRSLFRVDRLLKLTNTYVKLKPFNCFQGYYSYHSLSLDLRISVAKKKLGTMDKKKRKIGTPVWKPVCTQPSSLEEHAIKDVMVESENGSEMQEVNEVTNATVSPKALEDDIEDGALKEEPVLSDEKHSLSVEIGASLIRFVRGKEGSTKEKIEKEMGVQIILPSSKQEDSIMIEGTSADSVTKASKEIQHIIDENSILGISDACVDDNQEDNSDGDTSEDEAQEQQSGKGPAMAVEVKVSDDKKSVKVDVSSIPFVSYVPKESKSSNLSDLGIEKSIFIKPKTFHLTVLMLKLWNKERVNLAAEVLKSISSKVMDALDNRPIFVRLKGLNCMRGSLARARVVYAPVEEIGSENRLLCACEVIINAFVEAGLVLEKDARHELKLHATVMNARHRKRKGKRGKFDSFDARGIFKQFGSEEWGEYLIREAHLSQRFKFDEYGYYHCCASIPFPENMQVD >EOY26391 pep chromosome:Theobroma_cacao_20110822:6:9045371:9052524:-1 gene:TCM_027944 transcript:EOY26391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGNGGKEGVDFEGGVKKYSVWVGNGTMEPNDKAFGRVETIEHLCGELSKLWGLWCDFWSVAWTTLGDAKTLWLLHNEIIFWGKHWDIKQAFDHVKLRVASWGKAKWPNMMTNISDFALPQMWL >EOY25876 pep chromosome:Theobroma_cacao_20110822:6:2493054:2494812:-1 gene:TCM_027244 transcript:EOY25876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTTNLSGTTLGRSCLHATYIARASHTVYWETFVKKHIRDWDACQKGRCLYSSEFAQGAKGPRDIYGLWPMARASQPHQGSRMITTIFICREKKSKEMWSGPL >EOY26946 pep chromosome:Theobroma_cacao_20110822:6:19313406:19319776:-1 gene:TCM_028903 transcript:EOY26946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein, putative isoform 2 MVSSEGLSGSTPPVAVPVEQYGVTKPISMAGPTEADIQRSRELEKFLVEAGLYDSEEETAKREEVLGRIQDIVTDWVKQLTRLRGYTGQMVEDAKAVILTFGSYRLGVHGPGSDVDTLCVGPSYVNREEDFFFVLHNILAEREEVTELQPVPDAHVPVMKFKFDGISIDLLYASISLLVVPQDLDISDVSVLYNVDEPTVRSLNGCRVADQILKLVPNAEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPIMLVSRFFRVFTQWRWPNPVMLCAIEEDELGFSVWDPRKNPRDRTHLMPIITPAYPCMNSSYNVSASTLRVMTEQLKYGNNICEEIQLNRVKWSALFEPYLFFESYKNYLQVDVVAADANDLRAWKGWVESRLRQLTLMIERVTYGKLQCHPYPHEYVDASKPCACCAFFMGLQRKQGEIVQEGQQFDIRGSVDEFRHSVSMYMYWKPGMEIHVSHIRRKQLPAYVLPDGYKHPRNPRVTPHHQSDKLSHNNHIACTESGGKCLKRKKDPEGENSEHSKPEKRLNLDGSESVSPEIISCELSRMSSGCSTSDLDEMNGVAEGNASSNFSTISSCSNEDIGNESSEGSNGGDNGSIEGSTIPASSRSDSCDADSKSSLEDEHADHNRVFEDGLQKELEPNAAVGVVLKSMNEADSETVQNTVIRLNLTSTA >EOY26944 pep chromosome:Theobroma_cacao_20110822:6:19313482:19320054:-1 gene:TCM_028903 transcript:EOY26944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein, putative isoform 2 MVSSEGLSGSTPPVAVPVEQYGVTKPISMAGPTEADIQRSRELEKFLVEAGLYDSEEETAKREEVLGRIQDIVTDWVKQLTRLRGYTGQMVEDAKAVILTFGSYRLGVHGPGSDVDTLCVGPSYVNREEDFFFVLHNILAEREEVTELQPVPDAHVPVMKFKFDGISIDLLYASISLLVVPQDLDISDVSVLYNVDEPTVRSLNGCRVADQILKLVPNAEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPIMLVSRFFRVFTQWRWPNPVMLCAIEEDELGFSVWDPRKNPRDRTHLMPIITPAYPCMNSSYNVSASTLRVMTEQLKYGNNICEEIQLNRVKWSALFEPYLFFESYKNYLQVDVVAADANDLRAWKGWVESRLRQLTLMIERVTYGKLQCHPYPHEYVDASKPCACCAFFMGLQRKQGEIVQEGQQFDIRGSVDEFRHSVSMYMYWKPGMEIHVSHIRRKQLPAYVLPDGYKHPRNPRVTPHHQSDKLSHNNHIACTESGGKCLKRKKDPEGENSEHSKPEKRLNLDDEMNGVAEGNASSNFSTISSCSNEDIGNESSEGSNGGDNGSIEGSTIPASSRSDSCDADSKSSLEDEHADHNRVFEDGLQKELEPNAAVGVVLKSMNEADSETVQNTVISRLNLTSTA >EOY26945 pep chromosome:Theobroma_cacao_20110822:6:19313276:19320031:-1 gene:TCM_028903 transcript:EOY26945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein, putative isoform 2 MVSSEGLSGSTPPVAVPVEQYGVTKPISMAGPTEADIQRSRELEKFLVEAGLYDSEEETAKREEVLGRIQDIVTDWVKQLTRLRGYTGQMVEDAKAVILTFGSYRLGVHGPGSDVDTLCVGPSYVNREEDFFFVLHNILAEREEVTELQPVPDAHVPVMKFKFDGISIDLLYASISLLVVPQDLDISDVSVLYNVDEPTVRSLNGCRVADQILKLVPNAEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPIMLVSRFFRVFTQWRWPNPVMLCAIEEDELGFSVWDPRKNPRDRTHLMPIITPAYPCMNSSYNVSASTLRVMTEQLKYGNNICEEIQLNRVKWSALFEPYLFFESYKNYLQVDVVAADANDLRAWKGWVESRLRQLTLMIERVTYGKLQCHPYPHEYVDASKPCACCAFFMGLQRKQGEIVQEGQQFDIRGSVDEFRHSVSMYMYWKPGMEIHVSHIRRKQLPAYVLPDGYKHPRNPRVTPHHQSDKLSHNNHIACTESGGKCLKRKKDPEGENSEHSKPEKRLNLDGSESVSPEIISCELSRMSSGCSTSDLDEMNGVAEGNASSNFSTISSCSNEDIGNESSEGSNGGDNGSIEGSTIPASSRSDSCDADSKSSLEDEHADHNRVFEDGLQKELEPNAAVGVVLKSMNEADSETVQNTVISRLNLTSTA >EOY28514 pep chromosome:Theobroma_cacao_20110822:6:25588468:25591535:-1 gene:TCM_030056 transcript:EOY28514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MEFGGSGMSDRGPRRGRMGRRRLEDDEDDQEFISKNLHAERRRRQKLSDRLLTLRSSMNKATIIEDAITYIQELQKSSQVLTEQLLEMEGSSEEGGKPMADEIDAAEDMKNYGIKEDVNVTNIDGNKLLIRIILEKRRGCFTKLIEAMNYLGFELCETNVTTCKGAMLFSSCVQELKVLPCFLSFMARIHHLCIVLAAGFFSFIVMFQATDGSRLTPPEAGIDADPPDVKMIAEGPVIRAIGKHSSLDKSAAGGDVILGGFVMAVVVAVVCYLRITRKSQEAYS >EOY28724 pep chromosome:Theobroma_cacao_20110822:6:26363254:26366308:-1 gene:TCM_030243 transcript:EOY28724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase I isoform 1 MAEGLAAVPSTELLEWPKKDKRRFLHAVYRVGDLDRTIKFYTECFGMKLLRKRDIPEEKYSNAFLGFGPEVSHFVVELTYNYGVTSYDIGTGFGHFAIATPDVYKMVEDIRAKGGNITREPGPVKGGSTVIAFVKDPDGYVFELIQRASTPEPLCQVMLRVGDLYRSVKFYEKALGMKLVKKVDRPEYKYSIAMMGYAEELETIVLELTYNYGVTEYTKGNAYAQVAISTDDVYKSGEVVEHVIQELGGKVTRKPGPVPGINTKITSFLDPDGWKTVLVDNEDFLKELN >EOY28725 pep chromosome:Theobroma_cacao_20110822:6:26363178:26366011:-1 gene:TCM_030243 transcript:EOY28725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase I isoform 1 MAEGLAAVPSTELLEWPKKDKRRFLHAVYRVGDLDRTIKFYTECFGMKLLRKRDIPEEKYSNAFLGFGPEVSHFVVELTYNYGVTSYDIGTGFGHFAIATPDVYKMVEDIRAKGGNITREPGPVKGGSTVIAFVKDPDGYVFELIQRASTPEPLCQVMLRVGDLYRSVKFYEKALGMKLVKKVDRPEYKYSIAMMGYAEELETIVLELTYNYGVTEYTKGNAYAQVAISTDDVYKSGEVVEHVIQELGGKVTRKPGPVPGINTKITSFLDPDGWKTVLVDNEDFLKELN >EOY26915 pep chromosome:Theobroma_cacao_20110822:6:19031915:19036235:-1 gene:TCM_028876 transcript:EOY26915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFGANLNFLSYAYLHHNKKSICHLTTINRVDPIAYMESSWPSSSYDGIYQVTQHMASTQQSEGDCLSKDHFSSLPDRVHLDLKQNDFTDLLNIWDKWGATTRTNFDRKYGHIARLLKVDMVPTIEEYSTLLQIDLDNLDKIYWRGQKTGHRRKLAKMMGITSAKVDQNLRKKGDNECIPWIFLRSYIMKHRDTEQAQLVMALGIYGLVIFPKILGHIEVGIIDFFEQVINKVNPSPSILAETLRSLNYYRKKGEGRFVGCAQLLSIWIVSHFECKVDKFRKPFHPQTAPIREFCESEWPENRTKEQWISRFRELMSVEVTWRAPWMPHHPVLYKCGNEPWVPLMGPWGAISYAPIMVRRQFGSEQFVPMTHRLNTLEFAYGEPGFLKRIEEIAQAWKKTSRVDQGRYTDEVTTGYQIWHDQRVKDVVYPKEDALRGLVDPEPRDALLESELARKNSEAENASWKQRYEDLQKECEKMKREVSEQRKKVRKMEGKYESLNDKFSATTSELQREIQVR >EOY26250 pep chromosome:Theobroma_cacao_20110822:6:6526499:6529133:-1 gene:TCM_027717 transcript:EOY26250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein, putative MVSKKTMLANLLLAFVSVNTVLDYGESSYSGISNYHANIRRMASVKASFISRNGVPPISHSFSTTPVLTGSRVYHATDYGADPSGKLDSTDALEQAISDAFRSQVEGHLIQGVADLGGAQLHLDGGTFKISRPLRLPKIRGGNFMILCGSLTASPDFPTDRHLIELLPSSSSFSYEDVTLKDLMIDSNFRGGGIAIVNSLRTIIDNCYISHFVTNGILIQGGHETYVRNTFIGQHINAGGDRREKDFSGIGINIKSNDNAVTDVVIFSASIGILIQGQANMLTGIHCYNKATGWGGTGIYIQAPGFTQTRIANSYFDFTGIVAEDPVQLHITGSFFLGNAFVLLKSLKGVAFGINIVDNMFSGDYTGVPTVQLDQSNSPFTKIEQVVVDRNNARGMVLKSTVARGSIWRNGTTWTVDFSRALLFPNLIKHVQYTLHAGASFPRHILRNASGNRVTVETELPTSATLHVAVDQSTVGYT >EOY27912 pep chromosome:Theobroma_cacao_20110822:6:23566324:23568903:1 gene:TCM_029630 transcript:EOY27912 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSF domain class transcription factor MDDAQGSSSSLPPFLTKTFEMVDDPSTDSVVSWSASDKSFIVWNPPEFARDLLPRFFKHNNFSSFIRQLNTYGFRKVDPEQWEFANEDFIRGQPHLLKNIHRRKPVHSHSMQNLLGQGASPLTESERQNLTDEIEGLKSEKESLVLELKRHEQERQGFEMQMRLLRERLQHMEQRQQIMVSSVAQVLQKPGFAINLTPQLEANDRKRRLPRIAYLYDEAGIEDNQKGSSQIARENADSTSLSNMEPFEQLESSMVFWENVVHDFGQTNIQLNSNLELDESTSCAESPAISGMQLSVDAQHKSPGIDMNSEPATVVASEPVTAKEQAAGSTAPAAAPAGANDVFWEQFLTENPGSTDMQEVQSERKDSDARKSDSKTGDHGRFCWNMKNVNNLTEKMGHLTPAERT >EOY25494 pep chromosome:Theobroma_cacao_20110822:6:240304:247480:-1 gene:TCM_026907 transcript:EOY25494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein isoform 2 MALSMHKDSSNNKQMDSSKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVYENGYMRQQLQTGSATTTDNNSCESVVMSGQHQQQQNPTPQHPQRDANSPAGLLAIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPTKVAEILKDRPSWFRDCRCLDVLSVIPTGNGGTIELIYMQTYAPTTLAAARDFWTLRYTTSLEDGSLVICERSLTSSTGGPTGPPTSSFVRAEMLPSGFLIRPCEGGGSIIHIVDHVDLDVWSVPEVLRPLYESSKILAQKMTIAALRHIRQIAQETSGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFADDGWSLMGSDGVEDVTIMINSSPGKFLGSQYNTSMFPSFGGGVLCAKASMLLQNVPPALLVRFLREHRSEWADYGVDTYSAACLKASPYAVPCARPGGFPSSQVILPLAHTVEHEEFLEVVRLEGHAFTPEDVALARDMYLLQLCSGIDENAVGACAQLVFAPIDESFADDAPLLPSGFRVIPLDPKTVTLTCQSSADGAGATRTLDLASALEVGPGSNRLAGDGDPSNYNLRSVLTIAFQFTFENHLRDNVAAMARQYVRSVVGSVQRVAMAIAPSRLSSNMEPKALPGSPEALTLARWICRSYRIHTGEELLRVDSQAGDALLKQLWHHSDAIMCCSLKTNASPVFTFANQAGLDMLETTLVALQDIMLDKILDEAGRKILCSEFSKIMQQVKFSVSGLCSSDIEFFPSN >EOY25496 pep chromosome:Theobroma_cacao_20110822:6:239392:247795:-1 gene:TCM_026907 transcript:EOY25496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein isoform 2 MALSMHKDSSNNKQMDSSKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVYENGYMRQQLQTGSATTTDNNSCESVVMSGQHQQQQNPTPQHPQRDANSPAGLLAIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPTKVAEILKDRPSWFRDCRCLDVLSVIPTGNGGTIELIYMQTYAPTTLAAARDFWTLRYTTSLEDGSLVICERSLTSSTGGPTGPPTSSFVRAEMLPSGFLIRPCEGGGSIIHIVDHVDLDVWSVPEVLRPLYESSKILAQKMTIAALRHIRQIAQETSGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFADDGWSLMGSDGVEDVTIMINSSPGKFLGSQYNTSMFPSFGGGVLCAKASMLLQNVPPALLVRFLREHRSEWADYGVDTYSAACLKASPYAVPCARPGGFPSSQVILPLAHTVEHEEFLEVVRLEGHAFTPEDVALARDMYLLQLCSGIDENAVGACAQLVFAPIDESFADDAPLLPSGFRVIPLDPKTDGAGATRTLDLASALEVGPGSNRLAGDGDPSNYNLRSVLTIAFQFTFENHLRDNVAAMARQYVRSVVGSVQRVAMAIAPSRLSSNMEPKALPGSPEALTLARWICRSYRIHTGEELLRVDSQAGDALLKQLWHHSDAIMCCSLKTNASPVFTFANQAGLDMLETTLVALQDIMLDKILDEAGRKILCSEFSKIMQQGFAYLPAGICVSSMGRPVSYEQAIAWKVLNDNDANHCLTFMFVNWSFV >EOY25493 pep chromosome:Theobroma_cacao_20110822:6:239392:247795:-1 gene:TCM_026907 transcript:EOY25493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein isoform 2 MNKLLMEENDRLQKQVSQLVYENGYMRQQLQTGSATTTDNNSCESVVMSGQHQQQQNPTPQHPQRDANSPAGLLAIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPTKVAEILKDRPSWFRDCRCLDVLSVIPTGNGGTIELIYMQTYAPTTLAAARDFWTLRYTTSLEDGSLVICERSLTSSTGGPTGPPTSSFVRAEMLPSGFLIRPCEGGGSIIHIVDHVDLDVWSVPEVLRPLYESSKILAQKMTIAALRHIRQIAQETSGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFADDGWSLMGSDGVEDVTIMINSSPGKFLGSQYNTSMFPSFGGGVLCAKASMLLQNVPPALLVRFLREHRSEWADYGVDTYSAACLKASPYAVPCARPGGFPSSQVILPLAHTVEHEEFLEVVRLEGHAFTPEDVALARDMYLLQLCSGIDENAVGACAQLVFAPIDESFADDAPLLPSGFRVIPLDPKTVTLTCQSSADGAGATRTLDLASALEVGPGSNRLAGDGDPSNYNLRSVLTIAFQFTFENHLRDNVAAMAVVGSVQRVAMAIAPSRLSSNMEPKALPGSPEALTLARWICRSYRIHTGEELLRVDSQAGDALLKQLWHHSDAIMCCSLKTNASPVFTFANQAGLDMLETTLVALQDIMLDKILDEAGRKILCSEFSKIMQQGFAYLPAGICVSSMGRPVSYEQAIAWKVLNDNDANHCLTFMFVNWSFV >EOY25495 pep chromosome:Theobroma_cacao_20110822:6:241272:247480:-1 gene:TCM_026907 transcript:EOY25495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein isoform 2 MALSMHKDSSNNKQMDSSKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVYENGYMRQQLQTGSATTTDNNSCESVVMSGQHQQQQNPTPQHPQRDANSPAGLLAIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPTKVAEILKDRPSWFRDCRCLDVLSVIPTGNGGTIELIYMQTYAPTTLAAARDFWTLRYTTSLEDGSLVICERSLTSSTGGPTGPPTSSFVRAEMLPSGFLIRPCEGGGSIIHIVDHVDLDVWSVPEVLRPLYESSKILAQKMTIAALRHIRQIAQETSGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFADDGWSLMGSDGVEDVTIMINSSPGKFLGSQYNTSMFPSFGGGVLCAKASMLLQNVPPALLVRFLREHRSEWADYGVDTYSAACLKASPYAVPCARPGGFPSSQVILPLAHTVEHEEFLEVVRLEGHAFTPEDVALARDMYLLQLCSGIDENAVGACAQLVFAPIDESFADDAPLLPSGFRVIPLDPKTVTLTCQSSADGAGATRTLDLASALEVGPGSNRLAGDGDPSNYNLRSVLTIAFQFTFENHLRDNVAAMARQYVRSVVGSVQRVAMAIAPSRLSSNMEPKALPGSPEALTLARWICRSYRYGFDFML >EOY25497 pep chromosome:Theobroma_cacao_20110822:6:241597:247795:-1 gene:TCM_026907 transcript:EOY25497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein isoform 2 MALSMHKDSSNNKQMDSSKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVYENGYMRQQLQTGSATTTDNNSCESVVMSGQHQQQQNPTPQHPQRDANSPAGLLAIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPTKVAEILKDRPSWFRDCRCLDVLSVIPTGNGGTIELIYMQTYAPTTLAAARDFWTLRYTTSLEDGSLVICERSLTSSTGGPTGPPTSSFVRAEMLPSGFLIRPCEGGGSIIHIVDHVDLDVWSVPEVLRPLYESSKILAQKMTIAALRHIRQIAQETSGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFADDGWSLMGSDGVEDVTIMINSSPGKFLGSQYNTSMFPSFGGGVLCAKASMLLQNVPPALLVRFLREHRSEWADYGVDTYSAACLKASPYAVPCARPGGFPSSQVILPLAHTVEHEEFLEVVRLEGHAFTPEDVALARDMYLLQLCSGIDENAVGACAQLVFAPIDESFADDAPLLPSGFRVIPLDPKTVTLTCQSSAVSFSLACLYFIGIFLKRKKRD >EOY25492 pep chromosome:Theobroma_cacao_20110822:6:239263:248726:-1 gene:TCM_026907 transcript:EOY25492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein isoform 2 MDSSKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVYENGYMRQQLQTGSATTTDNNSCESVVMSGQHQQQQNPTPQHPQRDANSPAGLLAIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPTKVAEILKDRPSWFRDCRCLDVLSVIPTGNGGTIELIYMQTYAPTTLAAARDFWTLRYTTSLEDGSLVICERSLTSSTGGPTGPPTSSFVRAEMLPSGFLIRPCEGGGSIIHIVDHVDLDVWSVPEVLRPLYESSKILAQKMTIAALRHIRQIAQETSGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFADDGWSLMGSDGVEDVTIMINSSPGKFLGSQYNTSMFPSFGGGVLCAKASMLLQNVPPALLVRFLREHRSEWADYGVDTYSAACLKASPYAVPCARPGGFPSSQVILPLAHTVEHEEFLEVVRLEGHAFTPEDVALARDMYLLQLCSGIDENAVGACAQLVFAPIDESFADDAPLLPSGFRVIPLDPKTVTLTCQSSADGAGATRTLDLASALEVGPGSNRLAGDGDPSNYNLRSVLTIAFQFTFENHLRDNVAAMARQYVRSVVGSVQRVAMAIAPSRLSSNMEPKALPGSPEALTLARWICRSYRIHTGEELLRVDSQAGDALLKQLWHHSDAIMCCSLKTNASPVFTFANQAGLDMLETTLVALQDIMLDKILDEAGRKILCSEFSKIMQQGFAYLPAGICVSSMGRPVSYEQAIAWKVLNDNDANHCLTFMFVNWSFV >EOY27734 pep chromosome:Theobroma_cacao_20110822:6:22993278:22996510:-1 gene:TCM_029512 transcript:EOY27734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance protein MCM3 isoform 2 MEMGAQDYADRKKDISQFLSQDIYQDEIKAMINHKRRRLIVNISDLHSFSNLAPRILKNPSEYMQPFCDAVTEAARSIDPKYLKEGEILQVGFEGPFVSRRVTPRELLSEFIGSMVCVEGIVTKCSLVRPKVVKSVHFCPATENFTVREYRDITSNNGLPTGSVYPTRDENGNLLVTEYGLCQYKDHQTLSIQEVPENAAPGQLPRTVDVIVEDDLVDSCKPGDRLAIVGIYKALPGKSKGSVNGVFRTVLIANNVSLLNKEANAPIYSPEDLKNIKKIAERDDTFDLLGNSLAPSIFGHSWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLGDRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDADIDRQISEHVLRMHRYRSAIDGGEAALDGSSRYGREDEADADSSVFVKYNRMLHGRKTERGFRTHCNS >EOY27733 pep chromosome:Theobroma_cacao_20110822:6:22991213:22996446:-1 gene:TCM_029512 transcript:EOY27733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance protein MCM3 isoform 2 MEMGAQDYADRKKDISQFLSQDIYQDEIKAMINHKRRRLIVNISDLHSFSNLAPRILKNPSEYMQPFCDAVTEAARSIDPKYLKEGEILQVGFEGPFVSRRVTPRELLSEFIGSMVCVEGIVTKCSLVRPKVVKSVHFCPATENFTVREYRDITSNNGLPTGSVYPTRDENGNLLVTEYGLCQYKDHQTLSIQEVPENAAPGQLPRTVDVIVEDDLVDSCKPGDRLAIVGIYKALPGKSKGSVNGVFRTVLIANNVSLLNKEANAPIYSPEDLKNIKKIAERDDTFDLLGNSLAPSIFGHSWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLGDRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDADIDRQISEHVLRMHRYRSAIDGGEAALDGSSRYGREDEADADSSVFVKYNRMLHGRKTERGRKRDTLTIKFLKKYIHYAKHRIQPELTDEASEHIATAYAELRNASSNAKTGGTLPITARTLETIIRLSTAHAKLKLSRKVTNIDVEAALKVLNFAIYHKELSDMEEREQERQREEVRTRRADRQGSYKLQQKISIGSKFVLMWLTFSSFALISPTTDAMEVDDPPTAQQPTATDSVERIEAFKTIFGQHMRANHKDTISIAEVEDVVNTRADVRYSSAEIKSLLEKLQDDNILMIAGDTVHMIV >EOY28685 pep chromosome:Theobroma_cacao_20110822:6:26228857:26234254:-1 gene:TCM_030216 transcript:EOY28685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNCLRHGKASWDDDDWGSLASTHRQEDDDGEVINITEKESLLGAKRVASLSSSSPSTREVKITISKKELEQLVRKVDMQGLTLEQVLVSMVKGGDVYELEHHRPWKPVLQSIPEVIVHRE >EOY27795 pep chromosome:Theobroma_cacao_20110822:6:23146246:23151307:-1 gene:TCM_029553 transcript:EOY27795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter CorA-like family protein MSEKEDHSVSQKNKMKMPERHKHIPSRDVVPGSDLWTDGLICAFEFIRGHKKPMNSKYSSRVQSKRLDDESLKVQMPANGLTEGSSPRLDGQKLMKSSSSYELRDNVAASFDEYRDGPNLQSGQFHAMERFDGSCWVPIGWERISELVKNVQVNAGWASQQFELMDNEDDLTVAELAAPYWERPAGPIWWCHLAAGHPHVVAWLNNAQWLHPAVSLALRDESRLISERMKHLLYEVPVRVAGGLLFELLGQSAGDPFVEEDDIPIVLRSWQAQNFLLTVLHIKGTVSSVNVLGITEVQELLAAGGHNVPRTVHEVIAYLACRLTRWDDRLFRKSIFGAADEIELKFMNRRNQEDLNLFGVILNQEIRRLSRQVIRVKWSLHAREEIVFELLQHLRGNATRTLLDGIRKSTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAVFGGCGLVLTIITGLFGINVDGIPGAQNTPYAFGLFTAILTFIGIVLIVIGLLYLGLKKPVSEEKVQVRMLELQELVKMFQHEAEAHAQVRKSTNRNNLTPTAGDAFLNDADYVLIP >EOY28225 pep chromosome:Theobroma_cacao_20110822:6:24632468:24633908:-1 gene:TCM_029854 transcript:EOY28225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGIATRISWNHRNLTYGCQEFKFPEQTDSSLSDMEFGFLDDGDHPGSCNSDELPGNEMVDEGGELGDNEEKENDESSVENNKSFWESQHQVLQATLCRSSSLESRIRNATKEALKDIQRAGTVCPCGKSMAESCRTCLMREVSGRLQNAGFNSAVCRSKWRSSPDIPSGEHTFLDVIENSRKGDVRVIIELNFRAEFEMARASEDYNRLVQRLPEVFVGKVERLNIVIKILCLAAKKCMKEKKMHMGPWRKHRYMQAKWLKSCERNTLTQSLSVGCSGRLPKPRASMLTVDLLEKLSNKHCTAVEVV >EOY27111 pep chromosome:Theobroma_cacao_20110822:6:20174186:20175557:1 gene:TCM_046838 transcript:EOY27111 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein, putative MEIEGANGSKLALKYGFKTVFGRGSGFNTSDRTVSRHHVLLELGTPVDKKGETQKEPRVSFEVTGKNPVWVRSRTNGAIKVFKNSDKDELADGDWLCVSSRIPVWFVLKKSEENGKEEEGDLGSESGAEIVDIEDIDPVKEFGFLVMGHEFDQYPNQSIRNIKNWDWFLEESGKDSEDDDEAGGERRRGRKGSKRRKGDNDDDWTGESEDDKEVNAKGRKVQRAVYSTRSKERDKSKKDSGGKNRSSVRKKSVSAGEGNGADEDDETLGGFIVEDDDAELEEESELDEEEEEDFDDADEDNEDDE >EOY27149 pep chromosome:Theobroma_cacao_20110822:6:20449658:20452326:1 gene:TCM_029072 transcript:EOY27149 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein MARFFSSRDSCPAVKNILILDSEGKRVAVKYYSDEWPTNSAKLAFEKSLFTKTLKSNARTEAEITMFDSNIVIYKFVQDLHFFVTGANLALFVGHSSENTIDKREALENLDLIFLCIDEIVDQGMILETDANVLAGKVAIQNMEVSAPLSEQTISQALATAREHLTRTLLK >EOY26991 pep chromosome:Theobroma_cacao_20110822:6:19574944:19576595:1 gene:TCM_028944 transcript:EOY26991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMLSQSSSQSYKEFHAKVKLLMRVHHGNLTNLVGYFNESSHIGLIMSS >EOY28057 pep chromosome:Theobroma_cacao_20110822:6:24108367:24110440:1 gene:TCM_029738 transcript:EOY28057 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative MASSPSLCLSKQQLLSILLIITILILTSNKPPAAFPPYGETFFKQPTGRFSDGRLVIDFFGNIISDFHWYHHKFGAGNGRNHKFLKGVNFAVAGATALDNAFLAQKGIINPATNVSLGVQVECNELLENSLILMGEIGGNDFNFAFFQGITTEVIQGLVPDVINAISSAIQELMKLGAVTILVPGNLPIGCLPIYLTRFQTSNKQDYGRSTGCLTWLNDFSQYYNGMLKNELNKIRKLHPFANIVYADYYQAAIPFYRSPRQFGFNSTLTACCGGGGPYNFNLSLGCGSPGTTSCGDPSSYVSWDGIHLTEATYGLISKALLDGSSTIPRLKILCASSSAASD >EOY28728 pep chromosome:Theobroma_cacao_20110822:6:26374015:26377587:1 gene:TCM_030245 transcript:EOY28728 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein MANSKLITFVITFVFFYFTVPSTAKSLTLVGEIKQQSQQEDREPFVGVNIGTDVSNLLAATDLVSFLQVQKISHIRLYDANPDILKALAKTKIRVIISVPNNQLLAIGSSNTTAASWIGRNVVAYYPETLITAIAVGDEVLTTVPSSAPLLLPAIQSLYSALVAANLHTQIKVSTPHAASIILDTFPPSQAFFNQSLTSVMAPLLQFLSRTGSPLMMNLYPYYVFMENKGVVPLDNSLFKPLTPSKEMVDPNTLLHYTNVLDAMIDAAYVSMKNLNVTDVVVLVTESGWPSKGDSKEPYATIDNADTYNSNLIKHVLDHSGTPLHPEITSSVYIYELFNEDLRSPPVSEANWGLFYSNSTPVYLLHVSGSGTFLANDTTNQTYCITMDGVDSKTLQAALDWACGPGRANCSEIQPGEDCYQPNNVKNHASYAFDSYYQKEGRVSGSCDFKGVAMITTTDPSHGSCVFPGSKKVSNKTRTILNSTEVSGAAERLKFITFNSSQISATTIAAFCILLSIPFVTTR >EOY26230 pep chromosome:Theobroma_cacao_20110822:6:6144256:6154829:1 gene:TCM_027681 transcript:EOY26230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-Amyrin Synthase MWKLKIAEGVDGPYLYSTNNYVGRQTWEFDPDAGTPEERAEVEEARQNFYKDRYQVKPSGDLLWRMQFLREQNFKQTIPAVKIEEGEQISYEKATAALRRAVHFFSALQASDGHWPAENAGPLFFLPPLVFSTYITGHLNTVFPEEHRREILRYIYYHQNEDGGWGLHIEGHSTMFCTALSYICMRILGVEPDGGQDNACARARKWILDHGSVTHMPSWGKTWLSILGVFDWSGSNPMPPEFWILPSFLPMHPAKMWCYCRMVYMPMSYLYGKRFVGPITPLIEQLREELYLQPYNEINWRKVRHLCAPEDIYYPHPLIQDLMWDSLYICTEPLLTRWPLNKLVREKALQVTIKHIHYEDENSRYITIGCVEKVLCMLACWAEEPNSDYFKKHLARIPDYLWVAEDGMKMQSFGSQEWDTGFAIQALLASNLTDEIGPVLKRGHDFIKKSQVKDNPSGDFKKMYRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLMSMLPPEIVGEKMEPQQLYNAVNVLLSLQSKNGGLAAWEPAGAQDWLEMLNPTEFFADIVVEHEYVECTASAIHALFLFKKLYPGHRKKEIDNFITNAVRYLENIQMPDGSWYGNWGVCFTYGSWFALGGLAAAGKTYTNCLAVRKGVEFLLRTQRENGGWGESYKSCPDKRYVPLEEGRSNLVHTAWAMMGLIHAGQAERDPTPLHRAAKLIINSQLEDGDFPQQEITGVFMKNCMLHYAAYRNIYPLWALAEYRKRVPLA >EOY26660 pep chromosome:Theobroma_cacao_20110822:6:16776801:16781615:1 gene:TCM_028608 transcript:EOY26660 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MDSSSLEESSEQTDRYPLLMERVESHSDQEHIIDITRHDDASSSSSHDEQPPGLNLAQSEDRPSSSTQAPTNQTSSSNRLNSRNSSFMRRSDGYGRRRRSPLNSGLWISVELVVTVSQIIASIVVLSLSRNEKPQAPLFAWIVGYASGCIATLPILYWRFRIRNQGIEQDLSHSSQGSSHGNPSESTSYTAISVTQASDEENNRITESATRNSQIAGTLSTRLNGLVDHFKMALDCFFAVWFVVGNVWIFGGHSSPSDAPKLYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISVLGFREDFSQTRGATVESINALPIYKFKSRKSGNVNNQDNSAGDGGILAAGTAKERAISGEDAVCCICLAKYADNDELRELPCIHVFHVECVDKWLKINASCPLCKSEVGESSSGLPLARD >EOY28881 pep chromosome:Theobroma_cacao_20110822:6:26777064:26781395:-1 gene:TCM_030360 transcript:EOY28881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin C-terminal hydrolases superfamily protein MMKTKRDDGNSEDWRGDSKRRKVVDWPSSPSEEPLVPYNDDEDDERRALGRVGGGEQDGEARSEGNGQGVKSEEDEDDSDDPYGQGSFLGKQNRQVEVRRDCPYLDTVNRQVLDFDFERFCSVSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEINDPSLDDIRHVLNPRFTREQVEQLDKNKQWSRALDGSDYLPGMVGLNNIQKTDFVNVTIQSLMRVTPLRNFFLIPENYQHCKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGVQSEPVEFMSWLLNTLHANLRTSKKSSSIIHKCFQGELEVVKETQNKAITEKKESGEEQNGAPKITDGAIEKHNVGAETYRMSFLMLGLDLPEPPLFKDVMEKNIIPQVPLFNILKKFDGETVTTTVRPPARMRYRVTRLPQYLILHMGRFTRNNFFREKNPTLVNFPVKNLELKDYIPLPAPTKENEKLRTKYDLIANIVHDGKPDEGFYRVFVQRKSEELWYEMQDLHVSETLPQMVALSEAYMQIYEQQQ >EOY25592 pep chromosome:Theobroma_cacao_20110822:6:566711:575384:-1 gene:TCM_026974 transcript:EOY25592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein isoform 3 MGNVCWVYLVLAVCFGLFVNTLSQGDSDSDAITAVYIVTLKQVPAVHHFEEELRRKGNQGFHHGGASGRLNRFHKPRNNSRSHQNSSSYFSRVHDSILRRALRREKYLKLYSYHYLINGFAVLVTTEQAGKLSRRREVANVVLDFSVRTATTHTPQFLGLPKGAWSQEGGYETAGEGIVIGFIDTGIDPTHPSFADHVSDHSYPVPAHFSGICEVTRDFPSGSCNRKLVGARHFAASAITRGIFNSSQDYASPFDGDGHGTHTASVAAGNHGIPVVVAGHHFGNASGMAPHSHIAVYKALYKSFGGFAADVVAGIDQAAQDGVDIISLSITPNRRPPGIATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSFSPWIFTIGAASHDRAYSNSIILGNNVTIPGVGLASGTDKDETYTLISALHALCNDTTLADDMYVGECQDSSNFNPELIEGNLLICSYSIRFVLGLSTIKLAVQTAKNLSAAGVVFYMDPFVIGFQLNPTPLEMPGIIIPSPDDSKILLQYYNSSLERDGLTKKIIRFGAVASISGGLKANYSVSAPKVMYYSARGPDPEDSFLDDADIMKPNLIAPGNLIWAAWSSHGTDSVEFQGENFAMMSGTSMAAPHIAGLAALIKQKFPYFSPAAIASALSTTASLYDKSGGPIMAQRAYTNPDLNQSPATPFDMGSGFVNATSALDPGLILDSNLNLPSITIAKLNQSKTVLRSVTNIAGNETYKVGWSAPYGVSMK >EOY25591 pep chromosome:Theobroma_cacao_20110822:6:566299:575266:-1 gene:TCM_026974 transcript:EOY25591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein isoform 3 MGNVCWVYLVLAVCFGLFVNTLSQGDSDSDAITAVYIVTLKQVPAVHHFEEELRRKGNQGFHHGGASGRLNRNNSRSHQNSSSYFSRVHDSILRRALRREKYLKLYSYHYLINGFAVLVTTEQAGKLSRRREVANVVLDFSVRTATTHTPQFLGLPKGAWSQEGGYETAGEGIVIGFIDTGIDPTHPSFADHVSDHSYPVPAHFSGICEVTRDFPSGSCNRKLVGARHFAASAITRGIFNSSQDYASPFDGDGHGTHTASVAAGNHGIPVVVAGHHFGNASGMAPHSHIAVYKALYKSFGGFAADVVAGIDQAAQDGVDIISLSITPNRRPPGIATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSFSPWIFTIGAASHDRAYSNSIILGNNVTIPGVGLASGTDKDETYTLISALHALCNDTTLADDMYVGECQDSSNFNPELIEGNLLICSYSIRFVLGLSTIKLAVQTAKNLSAAGVVFYMDPFVIGFQLNPTPLEMPGIIIPSPDDSKILLQYYNSSLERDGLTKKIIRFGAVASISGGLKANYSVSAPKVMYYSARGPDPEDSFLDDADIMKPNLIAPGNLIWAAWSSHGTDSVEFQGENFAMMSGTSMAAPHIAGLAALIKQKFPYFSPAAIASALSTTASLYDKSGGPIMAQRAYTNPDLNQSPATPFDMGSGFVNATSALDPGLILDSTYDDYMSFLCGINGSGPVVLNYTGQNCWVYNSTIGSADLNLPSITIAKLNQSKTVLRSVTNIAGNETYKVGWSAPYGVSMKVSPTHFFIGTGEKQVLTIIFNATMNNISASFGRIGLFGNQGHNISIPLSVIVKFSYKRTDG >EOY25593 pep chromosome:Theobroma_cacao_20110822:6:566812:575384:-1 gene:TCM_026974 transcript:EOY25593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein isoform 3 MGNVCWVYLVLAVCFGLFVNTLSQGDSDSDAITAVYIVTLKQVPAVHHFEEELRRKGNQGFHHGGASGRLNRFHKPRNNSRSHQNSSSYFSRVHDSILRRALRREKYLKLYSYHYLINGFAVLVTTEQAGKLSRRREVANVVLDFSVRTATTHTPQFLGLPKGAWSQEGGYETAGEGIVIGFIDTGIDPTHPSFADHVSDHSYPVPAHFSGICEVTRDFPSGSCNRKLVGARHFAASAITRGIFNSSQDYASPFDGDGHGTHTASVAAGNHGIPVVVAGHHFGNASGMAPHSHIAVYKALYKSFGGFAADVVAGIDQAAQDGVDIISLSITPNRRPPGIATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSFSPWIFTIGAASHDRAYSNSIILGNNVTIPGVGLASGTDKDETYTLISALHALCNDTTLADDMYVGECQDSSNFNPELIEGNLLICSYSIRFVLGLSTIKLAVQTAKNLSAAGVVFYMDPFVIGFQLNPTPLEMPGIIIPSPDDSKILLQYYNSSLERDGLTKKIIRFGAVASISGGLKANYSVSAPKVMYYSARGPDPEDSFLDDADIMKPNLIAPGNLIWAAWSSHGTDSVEFQGENFAMMSGTSMAAPHIAGLAALIKQKFPYFSPAAIASALSTTASLYDKSGGPIMAQRAYTNPDLNQSPATPFDMGSGFVNATSALDPGLILDSSKSFCF >EOY25590 pep chromosome:Theobroma_cacao_20110822:6:565963:575546:-1 gene:TCM_026974 transcript:EOY25590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein isoform 3 MGNVCWVYLVLAVCFGLFVNTLSQGDSDSDAITAVYIVTLKQVPAVHHFEEELRRKGNQGFHHGGASGRLNRFHKPRNNSRSHQNSSSYFSRVHDSILRRALRREKYLKLYSYHYLINGFAVLVTTEQAGKLSRRREVANVVLDFSVRTATTHTPQFLGLPKGAWSQEGGYETAGEGIVIGFIDTGIDPTHPSFADHVSDHSYPVPAHFSGICEVTRDFPSGSCNRKLVGARHFAASAITRGIFNSSQDYASPFDGDGHGTHTASVAAGNHGIPVVVAGHHFGNASGMAPHSHIAVYKALYKSFGGFAADVVAGIDQAAQDGVDIISLSITPNRRPPGIATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSFSPWIFTIGAASHDRAYSNSIILGNNVTIPGVGLASGTDKDETYTLISALHALCNDTTLADDMYVGECQDSSNFNPELIEGNLLICSYSIRFVLGLSTIKLAVQTAKNLSAAGVVFYMDPFVIGFQLNPTPLEMPGIIIPSPDDSKILLQYYNSSLERDGLTKKIIRFGAVASISGGLKANYSVSAPKVMYYSARGPDPEDSFLDDADIMKPNLIAPGNLIWAAWSSHGTDSVEFQGENFAMMSGTSMAAPHIAGLAALIKQKFPYFSPAAIASALSTTASLYDKSGGPIMAQRAYTNPDLNQSPATPFDMGSGFVNATSALDPGLILDSTYDDYMSFLCGINGSGPVVLNYTGQNCWVYNSTIGSADLNLPSITIAKLNQSKTVLRSVTNIAGNETYKVGWSAPYGVSMKLWEDWTFWKPRS >EOY28905 pep chromosome:Theobroma_cacao_20110822:6:26851470:26855254:-1 gene:TCM_030378 transcript:EOY28905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol transporter 4 MVEGGVTKADKTEFTECWKTTWKTPYIMKLALSAGIGGLLFGYDTGVISGALLYIRDDFQEVDRKTWLQETIVSTAVAGAIIGAAFGGWINDRFGRKLSILVADVLFFVGAIVMALAPAPWMIIIGRIFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLAFTHAPGTWRWMLGVAGIPAVVQFVLMLSLPESPRWLYRQNKVEEARSILERIYPADEVEDELNALKESVEAEKADEHAIGDSLLEKVRGALSNAVVRRGLYAGVTVQVAQQFSGINTVMYYSPTIVQFAGFASNKTAMALSLITSGLNAVGSIISMTLVDRYGRRRLMIVSMFGIITCLVVLSIVFFQAASHSPKINQFESTHFAINATCPSYLSAPNPASWNCMSCLKAECGFCANGANEYSPGACLALTTDLKGSCREQHRTWFKDGCPSRFGFLAVILLGFYIISYSPGMGTVPWIVNSEIYPLRYRGIGGGMAAVANWVSNLIVSETFLTLTKALGSAGTFLLFAAVCVIGLICIYWFVPETKGLPFEEVEEMLKVGYKPKAFRTRSKGDTGNA >EOY26307 pep chromosome:Theobroma_cacao_20110822:6:7303895:7309669:1 gene:TCM_027787 transcript:EOY26307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIHRITERQSMDHKLWFAISKSKARLSKQEFCLITGLKFGRMPDVFRRPYEVAADGIHARYWNGQDSVKLLVTPWLLSLVEDIDAWNVFPWGHYVWKLTLDYLLKGFKVLDLSVTKETRLHYNIYGFAWVIQFWTIEAISVFRKIVAPSSLKDNVHPRMCRWDCNQKPKDFYKTIQKLESFDQLWALKTLEPTTDEALREYFVDLNVPLSEGNEYVPIGHMEDQSDWGLGARQKKRSLKEKRASGGTKRIRTAAALVDEMMDKGDDHGQGSEQLLQNHLPILLKCRVETTCRLQKRGQVLKHRSVQPNRRQLMSRRLRKR >EOY27670 pep chromosome:Theobroma_cacao_20110822:6:22710769:22712703:1 gene:TCM_029458 transcript:EOY27670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MEEGELLGLFDVMGSLLEEPGWAEVHPEPCTDTPWPGVECEIGQDPPIFHVTTIHIGPDVATPPCKPSAKISDSLPKLPYLRTLSIFNCFVTSQVTLSPALFGSLSSLEHLSLQSNPSLSGEVPPSLAKISGLRVISLSQNNLQGNIPRELGGLVNLEQLDLSYNNLSGEIPEDIGGLKSLTILDLSSNGLEGPVPFSLGQLQRLQKVDLCSNRLHGRIPPEFGKLNRLVLLDLSHNFINGPIPETLSGLEQLQYLIFDYNPINALMPLFVGSLKRLTSISFSGCGLMGPIPNSLSSLKNLTALSLGNNSLTGTIPPSLGSLPNLDQLNLSHNKLSGELLLSEEFIKRLGKRLDVRGNSRLCTSYQLSRKNISTYLQTPACLGTEGIVDNRTCPEEQPDDFKGRKPYWYYGQTSSRAPLQDPQFISTCFVLFHVLSFL >EOY28316 pep chromosome:Theobroma_cacao_20110822:6:24901925:24905092:-1 gene:TCM_029916 transcript:EOY28316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MGVQENGNNMKYERMGSDAAEDEIVLTNILRHKKTAEEGVIVNNINSNTRKYVFACAILASLNSVLLGYDVGVMSGAIIFIQEDLKISEVQEEVLVGILSIVSLLGSLAGGRTSDAIGRKWTIALAAVVFQSGAAIMSLAPSFQILMIGRLLAGIGIGFGVMIAPVYIAEISPSITRGSLTSFPEIFVNLGILLGYISNYAFSGFPVHVNWRIMLGVGILPSVFIGFALFIIPESPRWLVMQNRVQEARSVLSKTYDSEREVEDRLAEIQAAAGIANAEKYEAKAVWHEILNPTPAVRRMLITGCGIQCFQQVTGIDATVYYSPTIFKDAGIKGNTELLAATVAVGFTKTMFILIAIFLIDKLGRKPLLFISTIGMTFCLFCLSFTLTFLGDGQGGIVLAILLVCANVAFFSVGMGPVCWVLSSEIFPLRLRAQASGLGAVASRVSSGLVCMSFLSVSRAITVGGTFFVFFMASVLSVAFVHKCVPETKGKSLEQIEMLFQNEGEWRRGEVEMRDAERLVHKQ >EOY27518 pep chromosome:Theobroma_cacao_20110822:6:22220579:22222370:-1 gene:TCM_029357 transcript:EOY27518 gene_biotype:protein_coding transcript_biotype:protein_coding description:SBP family protein, putative isoform 1 MAVEARHMNLFPPQLITNSDFIKTNQGSGNIYNTQMDSCVPLVDAMQEASQLFPLYQSLVCDPTSAKTSINKADSGLTYNIPVSAPRKRPRDSFINGFDSYPVSQKNKISGVSSVLDDDILSQIQQQQQEIDRFIAEHTEKVRLELEERRKRQSRMLITAIQEGVMKKLKEKDEEIQRMGKLNWVLQERVKSLYVENQLWRDLAQTNEATANSLRTNLEQVLAHVSEERHVSGGAAALADDAESSCGSSDEGWRKVVPPQPQGRGGGLQDKAVVGHNRKCRKCGEKESSVLLLPCRHLCLCTMCGSTLVGTCPVCDSVTNASVHVNMS >EOY27519 pep chromosome:Theobroma_cacao_20110822:6:22220766:22222192:-1 gene:TCM_029357 transcript:EOY27519 gene_biotype:protein_coding transcript_biotype:protein_coding description:SBP family protein, putative isoform 1 MAVEARHMNLFPPQLITNSSDFIKTNQGSGNIYNTQMDSCVPLVDAMQEASQLFPLYQSLVCDPTSAKTSINKADSGLTYNIPVSAPRKRPRDSFINGFDSYPVSQKNKISGVSSVLDDDILSQIQQQQQEIDRFIAEHTEKVRLELEERRKRQSRMLITAIQEGVMKKLKEKDEEIQRMGKLNWVLQERVKSLYVENQLWRDLAQTNEATANSLRTNLEQVLAHVSEERHVSGGAAALADDAESSCGSSDEGWRKVVPPQPQGRGGGLQDKAVVGHNRKCRKCGEKESSVLLLPCRHLCLCTMCGSTLVGTCPVCDSVTNASVHVN >EOY27465 pep chromosome:Theobroma_cacao_20110822:6:21926633:21935952:1 gene:TCM_029310 transcript:EOY27465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 1 MEFEVRHVAGIEDCFVSLPLLLIQTLQSTRSSLLPPLLALELRLPRSSDHPWIVAWSGAASSSTAIEVSQQFAECISLPNHTTVQVRAASNMAKATLVTIEPHTEDDWEVLELNSEHAEAAILKQVRIVHEGMRFPLWLHGRTIVTFLVVSTFPKKAVVQLVPGTEVAVAPKRREKNLKNMESSTRESHGAKALLRLQDSDRRLFHKSNVKGVELGVALTSVAFIHQVTAKRFSLESLQLVVIVPRLSSKGSVKNLENDALRMKGSLTSKEANSGISTDNKEFRQVIVHLLISDSVAEGHVMITRSLRLYLRAGLHSCMLNLSKNQLLILLYLPRKGVYLKGYNVALKKEISVLSLSPCHFKVVANDKENGLEVLDGHKTRRMKNSGSGTSLEVVNWSTHDDVVAVLSSEFPFQEAEDSSQEDTKKGLECLLRAWFLAQLDAIASNAGTEVKTLVLGNENLLHFEVNRYDSGTYGLVSSNGFSEKRNKTKDLPVEISYILTISEELLHSGNVNAYELALDDRNKRNDVQGGFELFGKLNLGNPMSLYSVKDRTSVKGFSTNASSLSWMGVTASDVINSRCFKGLLKIVIGMMVLLAPASGIWFSTYNLPLPGHVLIYGPAGSGKTLLARAVAKSLEEHKDLLAHVIFICCSGLALEKPPTIRQALSSFVSEALDHAPSVVVFDDLDSIIQSSSDSEGSQPSTSVVALTKFLTDIIDEYGEKRKSSCGIGPIAFIASVQSLESIPQSLSSSGRFDFHVQLPAPAASERGAILKHEIQRRSLQCHDDILLDVASKCDGYDAYDLEILVDRAVHAAIGRFLPSDSEEYVKPILVREDFSHAMHEFLPVAMRDITKSAPEVGRSGWDDVGGLNDIRDAIKEMIEMPSKFPNIFAQAPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSRRERLDVLTVLSRKLPLASDVDLGAIACMTEGFSGADLQALLSDAQLAAVHEHLSSVSSNEPGKMPVLTDGVLKSIASKARPSVSETEKQRLYGIYSQFLDSKRSVAAQSRDAKGKRATLA >EOY25806 pep chromosome:Theobroma_cacao_20110822:6:1991706:1995319:-1 gene:TCM_027168 transcript:EOY25806 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-domain-2 5 MGLFKARILCFCLLLAFKVCIAVDQNVGKIYPGFRASQMQWVEHDGRFLLSKNKIFGFGFYTGLDPQSFVLVIIHLQTSQVVWTANRGGLLVDKSDKFVFDDNGNVYLERKDKVAWATNTTGKRATAMELLDSGNLVLHGDNGRTLWQSFSYPTDTLLSNQEFVEGMSLKSFPRNCKSDYLEFKSGDLVLYTGFQTPQTYWSLLDEIQKLSKNFTGKVHSARLVFNSWNFYDQNKVLLWQFNFSQNSDVNVTWAAKLGADGAIVFYNLRQSRVIAEATKIPQNPCDIPDYCPPLMVCYFETVCQCPKHLRVQNDCRPPVVSHCGSVDLLYVGQRLDYSVLQFVKPYLNSDIDACKKACLGNCSCAALFFENSTGKCFLFDHIAGLKRAELGSSGFVSYVKVSKNENGANNRHVIIIVIIVVATILVIAGLLYVGFCYYRKQKQLLECAQENLEEDNFLDSFSGMPVRYSYGELCKATKDFSTKVGQGGFGSVYRGVMPDGTPLAVKKLEGIGQGKKEFRAEVRIIGSIHHVHLVKLKGFCSEGVHRLLVYEFMGKGSLDKWIFKNSEESNILDWDTRFNIALGTAKGLAYLHEECELKIVHCDIKPENVLLDDHFNAKVSDFGLAKLMSREESLVYTTLRGTRGYLAPEWITNNPISEKSDVYSYGMVLLEIIGGRKSYDPGEISEKAYLPAFAFKTLEEGNLKEILDPKLDVNGNDERFVTAIKVALWCIQEEMRLRPPMTKVVQMLEGLCDVPQPPLSSLPGVRAYSGCLKWSSDGCSSSGQTEYNSDAFLSDVRLSGPR >EOY28191 pep chromosome:Theobroma_cacao_20110822:6:24508664:24510086:1 gene:TCM_029828 transcript:EOY28191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancy-associated protein-like 1 isoform 2 MVLLEKLWDDVVAGPQPERGLGRLRKITTQPLTIKDEGEGSKYQRSMSMPATPGTPATPVTPTTPVSARKENVWRSVFHPGSNLATKSIGAEVFDKPQPNSPTVYDWYQPNGGSESEKEGKLGYLFGSCVEIILLSRHPCAHMGVDLISGYQLNCTKIKLVGFDDTGFTVGRRGASITADWLVIMTRQLAERLCL >EOY28192 pep chromosome:Theobroma_cacao_20110822:6:24508569:24509841:1 gene:TCM_029828 transcript:EOY28192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancy-associated protein-like 1 isoform 2 KEKLKKNYWRERDISAKPSSIYDQIEPSAKNITERRNQQRKRKKKKSKRKMVLLEKLWDDVVAGPQPERGLGRLRKITTQPLTIKDEGEGSKYQRSMSMPATPGTPATPVTPTTPVSARKENVWRSVFHPGSNLATKSIGAEVFDKPQPNSPTVYDCNQTEVQSQRRKENLAICLVAAWK >EOY26759 pep chromosome:Theobroma_cacao_20110822:6:17735534:17738280:-1 gene:TCM_028725 transcript:EOY26759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycofamily protein isoform 1 MAFLVLLAASVYIFWPSQPEVKIVRMHVKRMQMHTVPIIALDISLLVTLKVRNSDVYSMDFTSLDMAVGYRGKMLGHVKSEHDHLRAWGSSYLQAELELNGVEVLSDVVYMLEDLARGTVPFDTITEVAGWLGLSLFKFPLKFAIEHPHFNTQTSAQRNTMKWKH >EOY26760 pep chromosome:Theobroma_cacao_20110822:6:17735540:17738044:-1 gene:TCM_028725 transcript:EOY26760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycofamily protein isoform 1 MAFLVLLAASVYIFWPSQPEVKIVRMHVKRMQMHTVPIIALDISLLVTLKVRNSDVYSMDFTSLDMAVGYRGKMLGHVKSEHDHLRAWGSSYLQAELELNGVEVLSDVVYMLEDLARGTVPFDTITEVAGWLGLSLFKFPLKFAIEHPHFNTQTSAQRNTMKWKH >EOY26762 pep chromosome:Theobroma_cacao_20110822:6:17735534:17738280:-1 gene:TCM_028725 transcript:EOY26762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycofamily protein isoform 1 MAFLVLLAASVYIFWPSQPEVKIVRMHVKRMQMHTVPIIALDISLLVTLKVRNSDVYSMDFTSLDMAVGYRGKMLGHVKSEHDHLRAWGSSYLQAELELNGVEVLSDVVYMLEDLARGTVPFDTITEVAGWLGLSLFKFPLKVKISCEIVVNRTNQIIIHQNCYPLK >EOY26761 pep chromosome:Theobroma_cacao_20110822:6:17736402:17738104:-1 gene:TCM_028725 transcript:EOY26761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycofamily protein isoform 1 MAFLVLLAASVYIFWPSQPEVKIVRMHVKRMQMHTVPIIALDISLLVTLKVRNSDVYSMDFTSLDMAVGYRGKMLGHVKSEHDHLRAWGSSYLQAELELNGVEVLSDVVYMLEDLARGTVPFDTITEVAGWLGLSLFKFPLKMFYADCFGLLMMLSTF >EOY27244 pep chromosome:Theobroma_cacao_20110822:6:20842639:20844719:1 gene:TCM_029131 transcript:EOY27244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein 1 MQRLVVLFVIRFVSFVNMGISLPNCTILVRFYCPGAGLCVANLKGAETPSGYACKKAAKVTADDYMFTGLGLEGNTTNIIKAAVSPAFVAQFPGLNGLGMSAARLDLAVGGAIPMHTHPAATELLCVVHGHITAGFISPANSVYLKTLKRGDIMVFPPGLLHFQISSGKRPGLAIVSFNSPNPGLQILDFALFANDLPSGLVETTTFLDDAQVKKLKGVLGGTG >EOY25478 pep chromosome:Theobroma_cacao_20110822:6:199031:204477:1 gene:TCM_026899 transcript:EOY25478 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-interacting protein, putative isoform 2 MKSKNENKRVSAPKGGQKGGKFSMNNGPFFEAESKKRRKTEYEDDDIESGDSEEEAEILGGFDASGGVEEDEDDVETPGEIRKRVATELLDKMRAIARKEKEDEDEDWEEGEEARDSVVAKILQQEQLEESGRARRVLASRLKKPETTDGFKVLVKHQQSVTAVALSDDDLKGFSASKDGTILQWDVESGKSAKYQWPSEDILKSHGAKDPRGRVKKHSRNVLALAVSSDGRYLASGGLDRHVHLWDIRTREHLQAFPGHQKPVSCLSFRQGTADLFSGSFDRTVKYWNMEDRAYIDTIYGHESEVLTLDCLRKERVLTVGRDRCMMLFKVLDQSRLVFRPPPSSLECCCFVNNDEFLSGSDDGSIELWSIGRKKPVYIVKNAHALLPACQNVEQKGSEKIPNIRLENGDHKIESYSSSSTYSWVSSITVCRGSDLAASGAGNGCIQLWAIESGRKDIQPLYGIPLVGFVNSLAFANSGQFLIAGVGQEPRLGRWGRHPTARNGVAIQSLKLL >EOY25479 pep chromosome:Theobroma_cacao_20110822:6:199669:204567:1 gene:TCM_026899 transcript:EOY25479 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-interacting protein, putative isoform 2 GQGESLLPGKKLKKPETTDGFKVLVKHQQSVTAVALSDDDLKGFSASKDGTILQWDVESGKSAKYQWPSEDILKSHGAKDPRGRVKKHSRNVLALAVSSDGRYLASGGLDRHVHLWDIRTREHLQAFPGHQKPVSCLSFRQGTADLFSGSFDRTVKYWNMEDRAYIDTIYGHESEVLTLDCLRKERVLTVGRDRCMMLFKVLDQSRLVFRPPPSSLECCCFVNNDEFLSGSDDGSIELWSIGRKKPVYIVKNAHALLPACQNVEQKGSEKIPNIRLENGDHKIESYSSSSTYSWVSSITVCRGSDLAASGAGNGCIQLWAIESGRKDIQPLYGIPLVGFVNSLAFANSGQFLIAGVGQEPRLGRWGRHPTARNGVAIQSLKLL >EOY27979 pep chromosome:Theobroma_cacao_20110822:6:23822194:23823458:1 gene:TCM_029681 transcript:EOY27979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor-related, putative MKTPVGERFSQFFEKWICQLDDYLQQLLRVSKESLSSEADEQQALVSKLTAHYKEFYTVKWTAAHEDVLAFYCPVWLSKLENAYSWLTGWKPSMIFRLVESMRRTRVPGPGLAELTEEQLRKIEQLRMKIKLEEEKVEREMERQQVALADRKMVELVRMASRIRKGELVGQVDGLVEVAIKGILAGLERVMKAADCVRLKTLKGVLDVLNPSQSVDFLAGTCMLQIQLRQWGQRRDGQNGPRLEPHNNALF >EOY25633 pep chromosome:Theobroma_cacao_20110822:6:723462:800760:1 gene:TCM_027005 transcript:EOY25633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTHLCFLTPLPFTILQVDLLEPVSHFLDAARESLSQEYFVASDAHKASNFYCVPLQSSTLILTPPPFKSRHVTISLPIYHKQHYLLVEHYERGFHPLHIQFHPQDHLPVNRKGLYCLVDSPYRLPLVPVTLNLAQPATNLQSYPSTQPVLFAGLDFFSTSANKSSTTISHGFVLDKEDRSITRSDLYFKDLFRRCGLHLYKIKVMADQKGLPEELFAVKMYALTTEGPKKVLKTRSKAQANRPGIIK >EOY27407 pep chromosome:Theobroma_cacao_20110822:6:21579535:21580951:-1 gene:TCM_029258 transcript:EOY27407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPIILVFMAFLVISCLHVYDKWLKQWFGKGSSSHEMDCVVCLSKVSKGEKLRALPICHHSFHVHCIDAWLKVRPTCPLCRINVAPHRNFVCSSLLSFATTIGEWIENPLSLELTSAVCESFGYI >EOY28947 pep chromosome:Theobroma_cacao_20110822:6:26940192:26942576:1 gene:TCM_030409 transcript:EOY28947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomeric repeat binding-like protein isoform 3 MGEMDDFFHLPAASSLLRKFLSKEEDEMNMSKSDLSTTCLLKKASIVRSIQEGIANGSVPEEILDSLLLIEQVDRKQGLPVFDSMKAAFCAVALHCTLASLTRSWPCYYDAVQRIWRSRIRILEDSASSELVGPDLDKWRKEVEAALWDSEASQTLLRINTRGDALRCLRVYVDEARSSMKPAFLRLTLAASPASGRPHCGTDKGKGILRVNPQRRCKRGVPHRHYKGPVEIADSEEERPSYSKFGSLSTSEVNKVQEALKTSTADLLAVVTDPLPKVLEVAEKVASERAGKTLHTEAIQGDGNKNTDVPATSVNPTTASAQAKRVASDTGGENLSAKAIVEDHSKKGKGVASPSVSPTKDKSDPPPSVNPTTKPAQAKEGNHESQASIHQNEVPRPSLMERNGTARTYQWEDSIDGSSQELSGGSTRCRLPSPKIKPASPLKEYEIKRWVRKRKINRWSLHEEEVLAEAVRKYGSHWSQILRAYPQEFMNRTAVR >EOY28946 pep chromosome:Theobroma_cacao_20110822:6:26939872:26942898:1 gene:TCM_030409 transcript:EOY28946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomeric repeat binding-like protein isoform 3 MGEMDDFFHLPAASSLLRKFLSKEEDEMNMSKSDLSTTCLLKKASIVRSIQEGIANGSVPEEILDSLLLIEQVDRKQGLPVFDSMKAAFCAVALHCTLASLTRSWPCYYDAVQRIWRSRIRILEDSASSELVGPDLDKWRKEVEAALWDSEASQTLLRINTRGDALRCLRVYVDEARSSMKPAFLRLTLAASPASGRPHCGTDKGKGILRVNPQRRCKRGVPHRHYKGPVEIADSEEERPSYSKFGSLSTSEVNKVQEALKTSTADLLAVVTDPLPKVLEVAEKVASERAGKTLHTEAIQGDGNKNTDVPATSVNPTTASAQAKRVASDTGGENLSAKAIVEDHSKKGKGVASPSVSPTKDKSDPPPSVNPTTKPAQAKEGNHESQASIHQNEVPRPSLMERNGTARTYQWEDSIDGSSQELSGGSTRCRLPSPKIKPASPLKEYEIKRWVRKRKINRWSLHEEEVLAEAVRKYGSHWSQILRAYPQEFMNRTAGDLKDKWRNLTGK >EOY28945 pep chromosome:Theobroma_cacao_20110822:6:26940192:26942792:1 gene:TCM_030409 transcript:EOY28945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomeric repeat binding-like protein isoform 3 MGEMDDFFHLPAASSLLRKFLSKEEDEMNMSKSDLSTTCLLKKASIVRSIQEGIANGSVPEEILDSLLLIEQVDRKQGLPVFDSMKAAFCAVALHCTLASLTRSWPCYYDAVQRIWRSRIRILEDSASSELVGPDLDKWRKEVEAALWDSEASQTLLRINTRGDALRCLRVYVDEARSSMKPAFLRLTLAASPASGRPHCGTDKGKGILRVNPQRRCKRGVPHRHYKGPVEIADSEEERPSYSKFGSLSTSEVNKVQEALKTSTADLLAVVTDPLPKVLEVAEKVASERAGKTLHTEAIQGDGNKNTDVPATSVNPTTASAQAKRVASDTGGENLSAKAIVEDHSKKGKGVASPSVSPTKDKSDPPPSVNPTTKPAQAKEGNHESQASIHQNEVPRPSLMERNGTARTYQWEDSIDGSSQELSGGSTRCRLPSPKIKPASPLKEYEIKRWVRKRKINRWSLHEEEVLAEAVRKYGSHWSQILRAYPQEFMNRTAGDLKDKWRNLTGK >EOY28948 pep chromosome:Theobroma_cacao_20110822:6:26940558:26943068:1 gene:TCM_030409 transcript:EOY28948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomeric repeat binding-like protein isoform 3 MKAAFCAVALHCTLASLTRSWPCYYDAVQRIWRSRIRILEDSASSELVGPDLDKWRKEVEAALWDSEASQTLLRINTRGDALRCLRVYVDEARSSMKPAFLRLTLAASPASGRPHCGTDKGKGILRVNPQRRCKRGVPHRHYKGPVEIADSEEERPSYSKFGSLSTSEVNKVQEALKTSTADLLAVVTDPLPKVLEVAEKVASERAGKTLHTEAIQGDGNKNTDVPATSVNPTTASAQAKRVASDTGGENLSAKAIVEDHSKKGKGVASPSVSPTKDKSDPPPSVNPTTKPAQAKEGNHESQASIHQNEVPRPSLMERNGTARTYQWEDSIDGSSQVTLVSNFKSLSSRVYE >EOY27222 pep chromosome:Theobroma_cacao_20110822:6:20750202:20759540:-1 gene:TCM_029115 transcript:EOY27222 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRF-like 3, putative isoform 2 METVVLVEGSNEGKFEETGLEKNNSALSSPKHVADPVVYKLVRVEGDGRLVPATDDELMEVEGLLENEKREIHIVADTGQALGCTSNEVSSSGIQLESSEGLSQSENTEADAEKLSARLEYIEEMLHKVKHEERLRLSCRSPDHSSAYMNVDSHCSEQHDKLLGIDQKLQSQIPLQETVLSGTQCMSDNHVTQSGSVGERSKPLDELIEGGSSTSAGCISSKPDFSKLKGEICLDNLSIKELHEVFKATFGRDTTVKDKQWLKRRIAMGLTNSCDVSTTTFVIKDNKLVKKVKEDSCNNVDGATSEDHPVVGVENHEDLLNSLSSQIDEHQTTSGMRLGSNSHENTYSEDLAAEQRAAKRVRKPTRRYIEELSKEESKEYSGRLIPSAKNIGIRPMALKSHARPARNGSLDGRTIITRLDSLGGSGIQVPCVYRVRRSRPRKNVMALLKFHPSGMGMTTTFVKKGLDVHSSQMDNGSGNKVLEARSTPEQTLQQFVAEPKKEKPAAELGQHMGLKHVNLSGDSSDDNVVTVPTAKGGTRRKHHRAWTLSEVMKLVDGVAKYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQTPVDKGVNSRKHPSMPIPAPILLRVRELAEIQSQVPPNLSASKLAACGGRSVNETRSGYL >EOY27223 pep chromosome:Theobroma_cacao_20110822:6:20750253:20757350:-1 gene:TCM_029115 transcript:EOY27223 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRF-like 3, putative isoform 2 METVVLVEGSNEGKFEETGLEKNNSALSSPKHVADPVVYKLVRVEGDGRLVPATDDELMEVEGLLENEKREIHIVADTGQALGCTSNEVSSSGIQLESSEGLSQSENTEADAEKLSARLEETVLSGTQCMSDNHVTQSGSVGERSKPLDELIEGGSSTSAGCISSKPDFSKLKGEICLDNLSIKELHEVFKATFGRDTTVKDKQWLKRRIAMGLTNSCDVSTTTFVIKDNKLVKKVKEDSCNNVDGATSEDHPVVGVENHEDLLNSLSSQIDEHQTTSGMRLGSNSHENTYSEDLAAEQRAAKRVRKPTRRYIEELSKEESKEYSGRLIPSAKNIGIRPMALKSHARPARNGSLDGRTIITRLDSLGGSGIQVPCVYRVRRSRPRKNVMALLKFHPSGMGMTTTFVKKGLDVHSSQMDNGSGNKVLEARSTPEQTLQQFVAEPKKEKPAAELGQHMGLKHVNLSGDSSDDNVVTVPTAKGGTRRKHHRAWTLSEVMKLVDGVAKYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQTPVDKGVNSRKHPSMPIPAPILLRVRELAEIQSQVPPNLSASKLAACGGRSVNETRSGYL >EOY27898 pep chromosome:Theobroma_cacao_20110822:6:23515588:23522021:-1 gene:TCM_029618 transcript:EOY27898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like kinase in flowers 1, putative MDFRAFKRQNLPGALPSELVDLPYLKEIDFAYNYLSGSIPSEWGSMQLEKISVFGNRLLGRIPSSLGNISTLKYLDLEVNNFSGQVPREFGKLFNLETLRLSSNRLTGNLPSELADLKNLTDFRINDNNLNGSIPDFIHNWNKLDRLEMQGSGHEGPIPSSISALENLEILIISDIDGTSQPFPDLRNMTRIKRIILKKCNISGQIPEYVWDMSDLRILDLSFNSLNGVLEHVIPPGNFKFLFLTGNNLSGNIPQSILRTGITVDLSYNSFAWQSPQQPACRQLSNINLFRSSSSTNLDEIFQCKNDFQCEEHSHSLYLNCGGDDVKIDGKTYIGDRTFGSGGAATLYRNDDNWGFSSTGDFREDNDELNLQSRFIATVQSPNNLSDLYTTARLSPLSLTYYQYCLENGNYTLTLHFAEIQFSNNATYGSLGRRMFDIYIQDELIEQDFDIEAEAKGVLTPYTRSYNVSVTNGRIEIRFYWAGKGTQAIPDRGTHGPLISAISLENPNFKHRDAGKKTNVVPIVVGVLGAFTIFLASGILWWRYYFKAKSRREKDLEGLDVQTVSFTLKQIKAATNNFDSANKIGEGGFGPVYKGQLADGTIIAVKQLSSKSSQGNREFMNEIGMISCLQHSNLVKLYGCCIEGDQLLLVYEYLENNSLSRALFGSEKSQMNLDWPTRHKICTGIARGLAFLHEESRLKIVHRDIKGTNVLLDRDLNPKISDFGLAKLHEEEKTHISTRVAGTIGYIAPEYALWGYLTYKADVYSFGIVALEIVSGKHNMTYGPENKYTCLLDWACNLQQNRKLSELVDEKLGTEFNKAEAEAMIKIALLCTNGSPSLRPTMSEVVGMLEGKIILPESVPDPGSYSQDLRFKAIRDHHKSMNSQNLGGSQVNSSTSAGSRIEASSDYAYERNEINEFKAMESQISTSVPSWTGSSITSVSPHDFYDLHPRS >EOY28007 pep chromosome:Theobroma_cacao_20110822:6:23933974:23934979:-1 gene:TCM_029702 transcript:EOY28007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Olee1-like protein MATSPKAIFFLASALCFLSLLGIVDAQAPEQFFVEGKVYCDNCRAQFVTKISKYMAGAQVRLECRDREGGDITYSVDGETDESGTYHIKVEGDHEEEICEVSLVQSSDPECSEIDKENFLRKSARISLTKYSGITSDSRLANPLGFMVKKPLPECTEVLRELGITADGFV >EOY25683 pep chromosome:Theobroma_cacao_20110822:6:1209276:1212820:1 gene:TCM_027069 transcript:EOY25683 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MQHYPLKMMIPGSGMVPLKLFLFLSLSVCFSASNSTKESFLQCFSSHLRPSNITSDVIFSQNSSQYLSLLQSSIRNLRFLNASKPQYLITPYSEAHIQAAVICSKEHGLHVRVRSGGHDYEGLSYISDVPFIIIDLFQMRSISLDIKNEYAWVGGGATLGELYYSIAGSSNLHGFPAGSCPTVGVGGHFSGGGFGTIFRKYGLAADNVIDAKIVDVNGNILDTKSMGEDLFWAIRGGGAQSFGVIFSWKIKLVRVPPTVTVFSIRKRLEQGATKLLHKWQTVADKLHQDLFIHVVMGVPSANSKGNKTVRVSFDCLFLGTAERLLSLLQQPDGFPELGVTRDNCTEMSWIQSVLYFAGFSITESLDVLLNRTMSSTQFSKAKSDHVKEPIPESGLEGLYKMLVEEKNSILILTPYGGRMSQISSSEIPFPHRSGNLYGIQYILDWAAAEETEKHLGWMRRLYKYMEPYVSNSPRAAYFNYRDLDLGRNNKRHTSYAQAAVWGFKYFNNNFKRLVRVKTSTDPDNFFWNEQSIPVLQSGSTK >EOY28733 pep chromosome:Theobroma_cacao_20110822:6:26386581:26388924:1 gene:TCM_030248 transcript:EOY28733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein MKRVDGDSSLKGSPSSSLDSGSAYYSQSSTMRATRRPMDDNLVPEISLKSPTAVVRPYARSKMPRLRWTPDLHHCFVHAVEHLGGEDRATPKMVLQIMDVKGLTISHVKSHLQMYRSMKHEQMIRGNT >EOY26767 pep chromosome:Theobroma_cacao_20110822:6:17745359:17749425:1 gene:TCM_028727 transcript:EOY26767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein MARPGNKIIQAKLVLLGDMGTGKTSLVLRFVKGQFFDHQEPTIGAAFFTQILSVTEATVKFDIWDTAGQERYHSLAPMYYRGAAAAVVVYDISSTDTFVRAKKWVQELQRQGNPNLVMALVANKSDLDSKREVENEDGEHFAQENGMFFIETSAKSAQNVNELFYEIAKRLARACPPKPSGINLNNERQVRRGKLFCCLG >EOY28233 pep chromosome:Theobroma_cacao_20110822:6:24660113:24662590:1 gene:TCM_029860 transcript:EOY28233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSTSSSSPSPSSAAFMVICLLHSVIALTSGALMMFHMKEIYTFTHGIETATKLLGSTPHDQLLIRTSDSFSGLLLFAIGFLLFMVSFVKDKEFQSFFAKGCTVLHVFMAMWRFWFERRVEDLAWDWLRQTVGDILLALSWVVSTRFSMLTSLVSFSLCLYEFFLVQGSRIASEAREKLKVLSWALCKPKKVVKWKAGASILWRLKQKSLDHLSVTRAVNMD >EOY27724 pep chromosome:Theobroma_cacao_20110822:6:22949172:22956676:1 gene:TCM_029500 transcript:EOY27724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-N-acetylmuramoyl-pentapeptide-transferase MRFHSLSLNLQYFSSSGLLPSPKRHSFVPVLSRLGFLSSSRLRGAAASSFYSPLKLQLKRSENVRKRRRRSRHDVVQVNATDDDSAGISSFDDWVVDDSVAAYMFSSSSDGEDSDGEILLNPLTEVDLPPVRVSTDDAITMTTHRLALIGRGQRRHRIYLGLLINLALVIFLTMVLLFVDWCGWKIVRLPLAPFYLTSPFFISLILAACAGYVCVPLLKGLKFLQIIRKEGPARHSRKKRTPTMGGLFFIPAGISVAKFVTGFSSIEVSAATAATLAFAAIGLLDDVLSFIKQQNSGLSPWLRLFLEATVGIWFSFWLDAASLSSPYGMKMLVPLPPPMGIIYLGKYYLLLTSFCFVSMGNGVNLTDGLDGLAGGTAALAFIGMSIAVLPVCPELAIFGASMAGACVGFLLHNRYKASVFMGDTGSLALGGALAAMAACTGMFFPLFISSGFFVLEASSVILQVLYFKTTKHLRGSGRRLFRMAPFHHHLELLGLKEPMIVAVAYISRRKTREPKEENLTLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETMVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARCGMKIGRIEDATPIPTDGTRRKGGRRGRRL >EOY28209 pep chromosome:Theobroma_cacao_20110822:6:24573717:24576553:-1 gene:TCM_029841 transcript:EOY28209 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP/ATP carrier 3 MRTCISFSNYCSPFLQESNRAKKVNCRMEYGPMHPPVSQKIHWQPDLPVRHFNYTPRMQHIHGDGALVNRKSSSVVTPLPPAFVGAPLERPSIQTELAVSGILRTAVAPFERVKLLMQNQNEMIKSGRLTQPYNGIFDCFARTIRNEGIRSLWRGNIATATAHVSAKAIQFKIQEYFRSHADSRWSTFQIVSAVVLSSAVNLFLVYPFLYAGTRMANDVKTTGDLSKRQFNGILDVYRKTLKVDGIAGLFRGYTMALAQLGMVTVLSAPLKPWHQSLLNQTQTNYLGRAIINCGYHLTGSLASYPLDTVTRRMMMTKYKSSRNAFAQIFKTEGFKSFYKGAGASILVSAVFNVSAVSLFHLYDVYHAAMANRGDGSQPGFAISFRWKRDGDK >EOY27883 pep chromosome:Theobroma_cacao_20110822:6:23396955:23401106:-1 gene:TCM_029601 transcript:EOY27883 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA ligase/cyclic nucleotide phosphodiesterase family protein MSRQIETQHSITRLNQDFLQCAVYDSDDCKARLIGVEHIIFDRLFETLPQEQQKLWHSHAYEIKSGLWVNPRIPEMTRKPELDNLTKTYGKFWCTWQVDRGDRLPVGAPALMMSPQGVKLGKIDPELVRKRDDKYISTEALQGSTSTSFAVMATPEAVVKKDVYSVWALPPEDVRVRVKKLMEGLRSEFGGPQFEPHVTVVGAISLTADDALAKFRSACDGLKAYTATVERVATGTFFYQCVFLLLHPTREVVEASAHCTGHFGYKSSTPYMPHLSLLYADLTEEEKKKVQEKANILDESIGSLSFQISRLALYKTDTEDKTLKSWEKVAECNLCPN >EOY27937 pep chromosome:Theobroma_cacao_20110822:6:23664393:23665882:-1 gene:TCM_029647 transcript:EOY27937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMLVNESNWPVWEASLYPTDTLLLGQVMRENQRLTSRITSVNASQGLFYLSLNSLGMVAYLMGDKPTQCLTVGPAAEAVALSTPKQVRHLKFVQFIVGGIGFYFQTKGKMNKTALNVSSSATIQFLRLDIDGGLRIYGWNPRDR >EOY27901 pep chromosome:Theobroma_cacao_20110822:6:23528934:23532048:1 gene:TCM_029621 transcript:EOY27901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adipose-regulatory protein, putative MESQNADEEDQFFDALDDFPFYDCLTFDQSDPSTSDLSSTLRRRTFSSRGISSKEPGDSLLEASTVGGRLKTSSREPRYKLYRELKANDNNFEITESARDGLNSALVIEEKSDVESTVTTAKNDQLVDGVRESADSGTELSESLSPCSRLLLFIAGLVIKAIGFQLNLLISFITLPLSLLSCCYMFIIDPFQALRHGRAHLITKLLNLWNSTCGYFCPWMCEWLKDHKSIWNLLFRFGWGMLWATYVGCVLCGLLFTSLVISGIFMRYLVEEPMEIKEMLNFDYTKSSPVAFVPIVSCTSVGCGVKCMKKIDFGKNVGSRVIPPDHKLQVTVSLTLPESEYNRNLGMFQVRVDFLSINGETLASSSHPCMLKFKSEPIRLLLTFFKVAPLVTGYVSEAQTLNLKIRGLNEGIVPTACLRVVLEQRAEYRPGAGIPELYDASLILESELPFIKRIIWYWKRTIFIWVSMTSFMMELLFTLVCCRPILVPKTRTRAGSASSRSTQNSWPAQI >EOY27301 pep chromosome:Theobroma_cacao_20110822:6:21128382:21130392:1 gene:TCM_029176 transcript:EOY27301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate-zim-domain protein 6, putative isoform 1 MIGLEASRQQAMPMNFFPNMDNPSDSSRPNLVASTSNVKPSDFFPEIANFGASSSKEDSTNKTDFRKPATVEPKSAQLTIFFGGQVLVYNDFPAEKVKEIMALASQGCSTACAGVVTDSGMEKVNSNMDKINSSNSDIPDLNIASTTGNSPDQDPSVERRQYGGSDLRIARRNSLHKFFEKRKDRAAARAPYQVNNQRGSPPPPKPDESKSSHEAGQSSKEASRDLDLKL >EOY27300 pep chromosome:Theobroma_cacao_20110822:6:21127913:21130215:1 gene:TCM_029176 transcript:EOY27300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate-zim-domain protein 6, putative isoform 1 MSNSGPKSGKATERASFVNLLSQYLKEKRNIGDISVGMTSKPEAKGLEASRQQAMPMNFFPNMDNPSDSSRPNLVASTSNVKPSDFFPEIANFGASSSKEDSTNKTDFRKPATVEPKSAQLTIFFGGQVLVYNDFPAEKVKEIMALASQGCSTACAGVVTDSGMEKVNSNMDKINSSNSDIPDLNIASTTGNSPDQDPSVERRQYGGSDLRIARRNSLHKFFEKRKDSRKSTIPS >EOY27299 pep chromosome:Theobroma_cacao_20110822:6:21127875:21130327:1 gene:TCM_029176 transcript:EOY27299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate-zim-domain protein 6, putative isoform 1 MSNSGPKSGKATERASFVNLLSQYLKEKRNIGDISVGMTSKPEAKGLEASRQQAMPMNFFPNMDNPSDSSRPNLVASTSNVKPSDFFPEIANFGASSSKEDSTNKTDFRKPATVEPKSAQLTIFFGGQVLVYNDFPAEKVKEIMALASQGCSTACAGVVTDSGMEKVNSNMDKINSSNSDIPDLNIASTTGNSPDQDPSVERRQYGGSDLRIARRNSLHKFFEKRKDRAAARAPYQVNNQRGSPPPPKPDESKSSHEAGQSSKEASRDLDLKL >EOY27448 pep chromosome:Theobroma_cacao_20110822:6:21763856:21765360:-1 gene:TCM_029293 transcript:EOY27448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAADVSSSLVRVLNGHIEEQPQIHAGNSDILITKDLLGSLSKAATKEIDLEFKPGKAQTQRCSSPKSPLSAPASSSSSDGKSKNQLPNSFQDSQFQDLNFPPVNFFEDTSLDLKLQSSTPSRYQSVCTLDKVKYALERAEKETMKKRSSSPPPSSLPATSSSTPGMFAAACPGCLLYVIASNTNPRCPRCNSIVPSALAVKKPRIDLNASF >EOY28116 pep chromosome:Theobroma_cacao_20110822:6:24270374:24272830:1 gene:TCM_029775 transcript:EOY28116 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAC-like 2 MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIYKKWIAELRHYAPNVPIVLVGTKLDLRDDKQYLSDHPGATATTTSQGEELKKMIGAVTYIECSSKTQQNVKTVFDAAIKVALRPPKPKRKPRSKRRSCVFL >EOY25620 pep chromosome:Theobroma_cacao_20110822:6:687608:688112:-1 gene:TCM_026996 transcript:EOY25620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein C, cotyledon-specific MKNLFFSMLLLLSFLFFLANHGEAAVPCNTVDAKAAQCVGFATGKATKPSAECCTGLQQLAQTVKSVDDKKAICRYLKAAAKSLGVQDKFLSNIP >EOY26022 pep chromosome:Theobroma_cacao_20110822:6:3703580:3705844:1 gene:TCM_027411 transcript:EOY26022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDESSTSNNDDDKDPWLAPDKLYHFLFCFFLTFLFSTLASLSRYSFLRNHSIRVGSILSLAAGAAKEAADQLGLFPSAGASSRDAVADLLGVLVAAMVLSLRKRLLRFGSDSGHSRRVLPV >EOY27396 pep chromosome:Theobroma_cacao_20110822:6:21556636:21563138:1 gene:TCM_029253 transcript:EOY27396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio 23, putative isoform 1 MGKKAKKGNGGFDGDGYNKKVAGQVTNGTGKFKKSSKHQSNSDSQTSLIRKQVEPETAKYFSEIANLFESQGVDVEERSVICGNALEEARGKELELATDYIISHTLQTLLEGCDVDHLCSFLKGCANVFPAIAMDRSGSHVAETALKSLARHVQDTEDYAIIEETLKMICKVIVVNPVDLMCNCYGSHVLRSFLCLCKGVPLDSAEFHGAKASKILAERLNLKLFQSDGNNSQRLQQGFPNLLNSLVSGMVNCTREDIKTLQVDQYSSLVLQTALKLLAGDDQELLQIIPVLLGCKKQNLVEGKCIDLAIVRETVELMKETAFSHLMEVILEVAPESLYNEMFTKLFKNSLFELSSHHCGNFVVQALISHARTKDQMELMWEELGLKFEDLLGMGKSGVIAFLIAACQRLQTHEYKCCQALAAAVGSKNESSNCIVPRILFLDSYFSCEDKSSWNWAGGVKIHTMGSLILQAIFKFQSEWIQPFIMSITSMDAEHVLEAAKDAGGARVIEAFLASDASTKQKRRLVVKLRGHFGELAMHPSGSFTVERCFNAGSLSLREAIASELLAVQAELSKTKQGPHLLRNLDIDRYATKPDQWRSKQASKQSAYNEFYFAFGSSESKSPTKNKFLSNASMQTSDPEELKNTRKEIDSFLTSTSMLDDTSAEKKKKRKKRNKDAGSEDAVGSKKVMENAVQNFLSQGRPHKKRRINDRASSNASKQKLKI >EOY27395 pep chromosome:Theobroma_cacao_20110822:6:21552026:21562963:1 gene:TCM_029253 transcript:EOY27395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio 23, putative isoform 1 MGKKAKKGNGGFDGDGYNKKVAGQVTNGTGKFKKSSKHQSNSDSQTSLIRKQVEPETAKYFSEIANLFESQGVDVEERSVICGNALEEARGKELELATDYIISHTLQTLLEGCDVDHLCSFLKGCANVFPAIAMDRSGSHVAETALKSLARHVQDTEDYAIIEETLKMICKVIVVNPVDLMCNCYGSHVLRSFLCLCKGVPLDSAEFHGAKASKILAERLNLKLFQSDGNNSQRLQQGFPNLLNSLVSGMVNCTREDIKTLQVDQYSSLVLQTALKLLAGDDQELLQIIPVLLGCKKQNLVEGKCIDLAIVRETVELMKETAFSHLMEVILEVAPESLYNEMFTKLFKNSLFELSSHHCGNFVVQALISHARTKDQMELMWEELGLKFEDLLGMGKSGVIAFLIAACQRLQTHEYKCCQALAAAVGSKNESSNCIVPRILFLDSYFSCEDKSSWNWAGGVKIHTMGSLILQAIFKFQSEWIQPFIMSITSMDAEHVLEAAKDAGGARVIEAFLASDASTKQKRRLVVKLRGHFGELAMHPSGSFTVERCFNAGSLSLREAIASELLAVQAELSKTKQGPHLLRNLDIDRYATKPDQWRSKQASKQSAYNEFYFAFGSSESKSPTKNKFLSNASMQTSDPEELKNTRKEIDSFLTSTSMLDDTSAEKKKKRKKRNKDAGSEDAVGSKKVMENAVQNFLSQGRPHKKRRINDRASSNASKQKLKI >EOY28134 pep chromosome:Theobroma_cacao_20110822:6:24308406:24311993:-1 gene:TCM_029786 transcript:EOY28134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Optic atrophy 3 protein (OPA3) MILPLLKLGTLALKTLSKPVANRLKRQAGYHPRFRQFIVSIAQANHRISTRMQRNIYSHATDVEIRPLDEEKAVQAAVDLIGELFVFTVAGALLILEVQRSSRSEAKKEGVRRQEMQAMKQKDEDLAKEVELLRNKLEELEQLAKRQGLSGIFKFKHANTEGEKLAKPA >EOY28693 pep chromosome:Theobroma_cacao_20110822:6:26264259:26266610:-1 gene:TCM_030222 transcript:EOY28693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MGAAKVLFFFALLCFSAVSTFAENEEDPGLVMSFYKDTCPQAEEIIREQVKLLYKRHKNTAFSWLRNIFHDCAVQSCDASLLLDSTRRTLSEKETDRSFGLRNFRYIETIKEAVERECPGVVSCADILVLSARDGIVSLGGPYIPLKTGRRDGRRSRADVVEEYLPDHNETISAVLDRFSAMGIDTPGVVALLGAHSVGRTHCVKLVHRLYPDVDPALNPDHVPHMLHKCPDQIPDPKAVQYVRNDRGTPMILDNNYYRNILDNKGLLIVDHQLAYDKRTKPYVKKMAKSQDYFFKEFARAITILSENNPLTGTKGEIRKQCNVANKLH >EOY26004 pep chromosome:Theobroma_cacao_20110822:6:3561397:3562352:-1 gene:TCM_027394 transcript:EOY26004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MGRPQQRYRGVRQRHWGSWVSEIRHPVLKTRIWLGTFETAEDAARAYDEAARLMCGQKARTNFPYNPNEPQSSSSKLLSATLAAKLHKCHMAALQLAKKNAAKESYAAQCHPLAPTSGIAQSIGEMDCRRQPENKWDGEESQVGSEQQFIPLEDDHIDQMIEELLDYGSIELCSVTST >EOY27046 pep chromosome:Theobroma_cacao_20110822:6:19847311:19850570:-1 gene:TCM_028992 transcript:EOY27046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTCSRASLVFSIELFELGANIVLRKRKRCKQVMDVLELAKRKITVFLEVLSVMASGKEQEENSLVKRQRSTFPSVDFLVESCVGKQRSPLPSI >EOY27741 pep chromosome:Theobroma_cacao_20110822:6:23006115:23009035:-1 gene:TCM_029515 transcript:EOY27741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MHSSICMSISPSSNTALKYSLNSFLGNFLPSKPYAHVRRSACVTTAKALLSTTKEAALKDFHERRALKIISGLQNFDRNNVASVVTAADKGGATHVDIACDPELVKLAISLTSLPVCVSSVDPAAFSAAVEAGALMVEIGNYDSFYETGVVFSPEQILKLTKETRSILPSVTLSVTVPHTLSLPDQVKLAELLEREGVDIIQTEGGKCSTPSKSGVLGLIEKATPTLAAAYSISRAVRIPVMCSSGLSAVTAPMAITAGAAGVGVGSAVNKLNDVIAMVAEVRSIAESLSTVADRQTTTRERSFNI >EOY27740 pep chromosome:Theobroma_cacao_20110822:6:23006226:23009079:-1 gene:TCM_029515 transcript:EOY27740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MHSSICMSISPSSNTALKYSLNSFLGNFLPSKPYAHVRRSACVTTAKALLSTTKEAALKDFHERRALKIISGLQNFDRNNVASVVTAADKGGATHVDIACDPELVKLAISLTSLPVCVSSVDPAAFSAAVEAGALMVEIGNYDSFYETGVVFSPEQILKLTKETRSILPSVTLSVTVPHTLSLPDQVKLAELLEREGVDIIQTEGGKCSTPSKSGVLGLIEKATPTLAAAYSISRAVRIPVMCSSGLSAVTAPMAITAGAAGVGVGSAVNKLNDVIAMVAEVRSIAESLSTVADRQTTTRERSFNI >EOY26362 pep chromosome:Theobroma_cacao_20110822:6:8109520:8117451:1 gene:TCM_027868 transcript:EOY26362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein isoform 2 MQTTCRVVSRLLGSSKYTCSSVSINSSLSNLSQLVFLEPSLIPHNQGFFQFRSYTNIGPVRGFSSVNSSRRFVLLGFVLPENDPIIYQHHRQFYSPYRSFFTRAKQIKKIEINDQHIQRAVTTALWCNFLVFSLKFGVWLATSSHVMLAEMVHSIADFANQALLAYGLSSSRRAPDAMHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWTSHPPANIEYAALVIGGSFMIEGASLVVAIQAVKKGAAAEGMKVRDYVWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVNITGNAIYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDEHDMQKVLHFLKNDPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVVVQNYLHRTGREEWARQFREAAKEKDDSALLKIMSNYGEEVVTALGSEVDRLEKEIQELVPGIRHVDIEAHNPIDLSS >EOY26363 pep chromosome:Theobroma_cacao_20110822:6:8109525:8116174:1 gene:TCM_027868 transcript:EOY26363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein isoform 2 MQTTCRVVSRLLGSSKYTCSSVSINSSLSNLSQLVFLEPSLIPHNQGFFQFRSYTNIGPVRGFSSVNSSRRFVLLGFVLPENDPIIYQHHRQFYSPYRSFFTRAKQIKKIEINDQHIQRAVTTALWCNFLVFSLKFGVWLATSSHVMLAEMVHSIADFANQALLAYGLSSSRRAPDAMHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWTSHPPANIEYAALVIGGSFMIEGASLVVAIQAVKKGAAAEGMKVRDYVWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVNITGNAIYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDEHDMQKVLHFLKNDPVVDALYDCKSEVIGPGFFRFKAEIEL >EOY26364 pep chromosome:Theobroma_cacao_20110822:6:8109517:8117466:1 gene:TCM_027868 transcript:EOY26364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein isoform 2 MQTTCRVVSRLLGSSKYTCSSVSINSSLSNLSQLVFLEPSLIPHNQGFFQFRSYTNIGPVRGFSSVNSSRRFVLLGFVLPENDPIIYQHHRQFYSPYRSFFTRAKQIKKIEINDQHIQRAVTTALWCNFLVFSLKFGVWLATSSHVMLAEMVHSIADFANQALLAYGLSSSRRAPDAMHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWTSHPPANIEYAALVIGGSFMIEGASLVVAIQAVKKGAAAEGMKVRDYVWRGHDPTSVAVMTEDFNGVVVVQNYLHRTGREEWARQFREAAKEKDDSALLKIMSNYGEEVVTALGSEVDRLEKEIQELVPGIRHVDIEAHNPIDLSS >EOY27565 pep chromosome:Theobroma_cacao_20110822:6:22410896:22415857:-1 gene:TCM_029397 transcript:EOY27565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha 1,4-glycosyltransferase family protein, putative isoform 3 MLRNLQSRRRPRYGAQVCAAISALLLLFSVSLLYSRLSLSSKPHIYPHHSSIDKNDDVAFPNNPLLSDSDDDVSTTNDDKIDEFDTLEDNDTVLTEDDNNNNEIEQEEEQEITTMNQKNKIFSSGHFYFDHLSGSIKRASNKRSIEDWDYDGGFLNEGFLGEDAKIKIAFGSDDIPLDEEVRRKMSEVEGVEDALLVKKVGGKKANPLREKWGDWFDKKGDFLRRDRMFKSNLEVLNPLNNPLLQDPDGVGVTGLTRGDRIVQKWILSEFKKVPFTGKKPLGILEKGSEDKKGGEGKKNDNARNVLSKRENSIKDSGSNTNGNKTNESNSRKNEVKNGGLEADKMNTEFSGHIYADGKRWGYYPGLDSRLSFSDFMDAFLRKGKCDMRVFMIWNSPPWMYSVRHQRGLESLLAQHRDACVILFSETIELDFFKESFVKDGYKVAVAMPNLDELLKDTFTHAFASVWFEWRKTKFYAIHYSELVRLAALYKYGGIYLDADIIVLKPLLALNNSIGLEDQLAGSSLNGALMAFRKQSPFIMECLKEFYLTYDDTQLRWNGADLLSRVAKRFLNNQRELNVWPSFVFFPISSQHITRYFVAPTTETDKAQQDTLFQKILAESVTFHFWNSLTSALIPEPESLVTRLIDYHCIHCFDVL >EOY27566 pep chromosome:Theobroma_cacao_20110822:6:22412344:22415487:-1 gene:TCM_029397 transcript:EOY27566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha 1,4-glycosyltransferase family protein, putative isoform 3 MLRNLQSRRRPRYGAQVCAAISALLLLFSVSLLYSRLSLSSKPHIYPHHSSIDKNDDVAFPNNPLLSDSDDDVSTTNDDKIDEFDTLEDNDTVLTEDDNNNNEIEQEEEQEITTMNQKNKIFSSGHFYFDHLSGSIKRASNKRSIEDWDYDGGFLNEGFLGEDAKIKIAFGSDDIPLDEEVRRKMSEVEGVEDALLVKKVGGKKANPLREKWGDWFDKKGDFLRRDRMFKSNLEVLNPLNNPLLQDPDGVGVTGLTRGDRIVQKWILSEFKKVPFTGKKPLGILEKGSEDKKGGEGKKNDNARNVLSKRENSIKDSGSNTNGNKTNESNSRKNEVKNGGLEADKMNTEFSGHIYADGKRWGYYPGLDSRLSFSDFMDAFLRKGKCDMRVFMIWNSPPWMYSVRHQRGLESLLAQHRDACVILFSETIELDFFKESFVKDGYKVAVAMPNLDELLKDTFTHAFASVWFEWRKTKFYAIHYSELVRLAALYKYGGIYLDADIIVLKPLLALNNSIGLEDQLAGSSLNGALMAFRKQSFRWKGL >EOY27567 pep chromosome:Theobroma_cacao_20110822:6:22412511:22415357:-1 gene:TCM_029397 transcript:EOY27567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha 1,4-glycosyltransferase family protein, putative isoform 3 MLRNLQSRRRPRYGAQVCAAISALLLLFSVSLLYSRLSLSSKPHIYPHHSSIDKNDDVAFPNNPLLSDSDDDVSTTNDDKIDEFDTLEDNDTVLTEDDNNNNEIEQEEEQEITTMNQKNKIFSSGHFYFDHLSGSIKRASNKRSIEDWDYDGGFLNEGFLGEDAKIKIAFGSDDIPLDEEVRRKMSEVEGVEDALLVKKVGGKKANPLREKWGDWFDKKGDFLRRDRMFKSNLEVLNPLNNPLLQDPDGVGVTGLTRGDRIVQKWILSEFKKVPFTGKKPLGILEKGSEDKKGGEGKKNDNARNVLSKRENSIKDSGSNTNGNKTNESNSRKNEVKNGGLEADKMNTEFSGHIYADGKRWGYYPGLDSRLSFSDFMDAFLRKGKCDMRVFMIWNSPPWMYSVRHQRGLESLLAQHRDACVILFSETIELDFFKESFVKDGYKVAVAMPNLDELLKDTFTHAFASVWFEWRKTKFYAIHYSELVRLAALYKYGGIYLDADIIVLKPLLALNNSIGLEDQLAGSSLNGALMAFRKQRWKGL >EOY25790 pep chromosome:Theobroma_cacao_20110822:6:1900601:1903198:-1 gene:TCM_027154 transcript:EOY25790 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit rpc6, putative isoform 1 MSRLQGPNALKRKRPGATSDSLTEHERIVHDVIQGKQDMGISQRDMKREINLPDNLVTKCLKSLLAKNLIKEVKNIQNRGRKHFISAEFEPSNEITGGAWYVEGSLDTEYINVLKEQCWRKIYGLKVATLEGVTDAIKRSNVSQIELSKQQIEEIVKALVLDNEVMEVKSSGTGEFASIPVGKVCYKCIGKRGYGGEPKVGTMASIPCGVCPQISHCTPDGIISPKTCVYYKKWLDF >EOY25791 pep chromosome:Theobroma_cacao_20110822:6:1900602:1903183:-1 gene:TCM_027154 transcript:EOY25791 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit rpc6, putative isoform 1 MSRLQGPNALKRKRPGATSDSLTEHERIVHDVIQGKQDMGISQRDMKREINLPDNLVTKCLKSLLAKNLIKEVKNIQNRGRKHFISAEFEPSNEITGGAWYVEGSLDTEYINVLKEQCWRKIYGLKVATLEGVTDAIKRSNVSQIELSKQQIEEIVKALVLDNEVMEVKSSGTGEFASIPVGKVCYKCIGKRGYGGEPKVGTMASIPCGVCPQISHCTPDGIISPKTCVYYKKWLDF >EOY25991 pep chromosome:Theobroma_cacao_20110822:6:3435986:3444935:1 gene:TCM_027379 transcript:EOY25991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-Amyrin Synthase MWRLKIGEGGNDPYLYSTNNYLGRQTWEFDPNAGTPEERAEVEEVRQNYYNNRKHVRPSSDLLWQIQSLKEKNFKQTIPPVKIKDGEQITCETATAALRRGVHLLSALQASDGHWPAENSGPMFFFPPLAMALYITGHIDTVFPAEHRKEILRYIYCHQNEDGGWGLHIEGHSIMFSTALNYIFMRLLGEGPDGGQDNACKRARKWILDRGGVTTIPSWGKTWLSILGLFDWSGCHPMPPEFWVLPSYFPIHAAKMWCYCRITYLPMSYLYGKKFVGPITPLILQLREELHIEPYHKINWRQKRHLCAEEDLYYPHTVLQILLWGSLYTFTEPLLSRWPLNKLRERALQITMDHIHYEDECSRYITIGCVEKPLCMLACWLENPTGDYFKKHLARIADYLWVGEDGMKMQNLSFGMYMQSFGSQVWDSSFALQALLASDLTNDIRPTLMKGHDFLKHSQVKDNPPGDFKRMFRHISKGSWTFSDQDHGWQVSDCTAESLKCCLYFSKMPPETVGEKMEPEKFYDAVNVILSLQSQNGGFSGWEPATAGLWMEWLNPVEFLEDFVNEHEYTECTASAIQALVLFTESYPGHRRKEIEKCIAKAVQFLENVQKPDGSWYGGWGICFMYGTWFALGGLAAAGKNYNNCLAVRNGLDFLLETQTDDGGWGESYLSCPNKVYTPLEGNRSNLVHTAMALMGLIHGGHVTFVI >EOY26926 pep chromosome:Theobroma_cacao_20110822:6:19171857:19178908:-1 gene:TCM_028888 transcript:EOY26926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNLSTDVDWWLLKEVFDKFSDMVDVFIPRQKANAEAKYAFVRYRETNELKRAIQRGNGKWIYGKKIRVSEAEKPRDKRELLNENRNNGNSESRRRFCEKTAKNNDCGRDVKTILIEKDELDWLECSAQGELRRPLCFKAIQNSLFCQGVNARVRPTRVNLFWYYKVWVKIEEIPLHIWHEESFRTIRNVCGRFLQTDQDTMKKWRLNYASILVEVRSLQDIFPFTPIKVNGKGFLVKASIKEVLSCEAISRCNEMPRNETEGPKLWEWVVKMKKGKARSKEKKDRLSGDKEIIKVLRGQDEGQSKKCGYKLHVDDEKDELCFSENLTPSKGDTPLKLEAKLGMKKGEGSVDTNNENELIFEMSNLMGLEFVKGKEEVLKYFTGIEKVKTEKAHSKGLGRNKKKRAGINSLDVALEMGGSIAELIANRVRGVVEEIIDRNQFAFMQGRQIMDYSLIANEVVDAFKKGNRGLMFKIDFKKAYDCVSWSFLDLIMRKMGFGDNGGYGLKNVSVRPWSLSCMLKKAEANGKCKGVRIEKRGFHISHLQFADNTLLFYNNELDELMVLRRILKCFQSVSRLKINYHKSQLLSIGIKEQMVEKWEDSSIVEWAMVKTYSSRRTSGLKAFCLLMHFLGFMRSVQTKMRSQWYALGDTLKEVQLSKEMEDALIWKCETNGRCGETWKIWGMWCNLFNIKNEVVFEVKEWDKKQCWELVNSAKGYLGPAGIGGIIRNEFEEVKISFSKPISMVDSAQAEIMAMKEAILIFSTSKWKETHLLMIESDASNVINWVNDHTQVPWRFRKWMILIERIEEQLE >EOY25792 pep chromosome:Theobroma_cacao_20110822:6:1904238:1905298:-1 gene:TCM_027155 transcript:EOY25792 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain gene 1, putative MKHGKKHRAEVAKSLPGWKRKFMSYKALKQQVKLVNPHFNGKKRSRLDNGKYSVGGSSERNSPVQDTGFTLLLDRELHKVNTFYIDKEEDYVISFRELQIRAENLNGDEEKLELQKDIVDFHAEMVMLLHFSVTNVTGLIKIVKKHKKKAGASVYSPCTPRVLQQPFFSTDLLYNLIRGCEAILDSLSPPSDP >EOY27776 pep chromosome:Theobroma_cacao_20110822:6:23091305:23093127:1 gene:TCM_029536 transcript:EOY27776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFHFPCLVVKQVGGIASRPSSVFISSLSWSSSSLSTVGLPLAFTRGSAPLSQSLSPVFPSLSAVHSGVKLSLGRRKASTG >EOY28942 pep chromosome:Theobroma_cacao_20110822:6:26933827:26939453:1 gene:TCM_030408 transcript:EOY28942 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADA2 2B isoform 3 MGRSRGNFHSADEDPTQRSRRKKNASAGENLESSSSGQGTNDGKRALYHCNYCNKDITGKIRIKCAVCPDFDLCIECFSVGAEVTPHKSNHPYRVMDNLSFPLICPDWNADDEILLLEGIEMYGLGNWAEVAEHVGTKTKEKCIEHYDNVYMKSPFFPLPDMSHVVGKNRKELLAMAKGHGEDKKGSSMLGELTVKEESPFSPSRVKVEGGPSGRLLSGLNADVESGVRSSSSSTTPAAVNKASNMAQVKDGNVKMEDPQMDRKGKKPNSLGNDGLVELSGYNPKRQEFDPEYDNDAEQLLAEMEFKDTDTEEEHEIKLRVLRIYSKRLDERKRRKDFILERNLLYPNPFEKDLTPEERALCRRYDVFMRFLSKEEHEDLLQTIVSEHRTLKRIEELKEARAAGCRTSAEADRYLELKRKREAEESSHRAKDGVHVNPSGQGGPNSFMASESVAKDSNSRPTAQASSSFATDLDIMGFSETQLLSEAEKRLCSEIRLPPPLYLRMLQIISEEIFSGNVTKKSDAHRLFKLDPSKTDRVYDMLVKKGIAPP >EOY28943 pep chromosome:Theobroma_cacao_20110822:6:26936146:26939105:1 gene:TCM_030408 transcript:EOY28943 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADA2 2B isoform 3 MDNLSFPLICPDWNADDEILLLEGIEMYGLGNWAEVAEHVGTKTKEKCIEHYDNVYMKSPFFPLPDMSHVVGKNRKELLAMAKGHGEDKKGSSMLGELTVKEESPFSPSRVKVEGGPSGRLLSGLNADVESGVRSSSSSTTPAAVNKASNMAQVKDGNVKMEDPQMDRKGKKPNSLGNDGLVELSGYNPKRQEFDPEYDNDAEQLLAEMEFKDTDTEEEHEIKLRVLRIYSKRLDERKRRKDFILERNLLYPNPFEKDLTPEERALCRRYDVFMRFLSKEEHEDLLQTIVSEHRTLKRIEELKEARAAGCRTSAEADRYLELKRKREAEESSHRAKDGVHVNPSGQGGPNSFMASESVAKDSNSRPTAQASSSFATDLDIMGFSETQLLSEALLTPSALMQEFACNLHALLYLSVIWLESFN >EOY28944 pep chromosome:Theobroma_cacao_20110822:6:26936146:26939105:1 gene:TCM_030408 transcript:EOY28944 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADA2 2B isoform 3 MDNLSFPLICPDWNADDEILLLEGIEMYGLGNWAEVAEHVGTKTKEKCIEHYDNVYMKSPFFPLPDMSHVVGKNRKELLAMAKGHGEDKKGSSMLGELTVKEESPFSPSRVKVEGGPSGRLLSGLNADVESGVRSSSSSTTPAAVNKASNMAQVKDGNVKMEDPQMDRKGKKPNSLGNDGLVELSGYNPKRQEFDPEYDNDAEQLLAEMEFKDTDTEEEHEIKLRVLRIYSKRLDERKRRKDFILERNLLYPNPFEKDLTPEERALCRRYDVFMRFLSKEEHEDLLQTIVSEHRTLKRIEELKEARAAGCRTSAEADRYLELKRKREAEESSHRAKDGVHVNPSGQGGPNSFMASESVAKDSNSRPTAQASSSFATDLDIMGFSETQLLSEAEFACNLHALLYLSVIWLESFN >EOY27362 pep chromosome:Theobroma_cacao_20110822:6:21413195:21414457:1 gene:TCM_029224 transcript:EOY27362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRRNEGLVFLSFLMDQYSTWGFPIIYGLKLEKQMVGLNQALVLGRCEPKFKLLKSENNEQQRHSTNMCNYDDQGNNHPYI >EOY26361 pep chromosome:Theobroma_cacao_20110822:6:8038293:8042093:1 gene:TCM_027865 transcript:EOY26361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane Yip1 family protein MSNSHSDTIPLHASSQSDIDEIENLINASVQSGPATVLPARPPSPPRASIPVSSSPFIQSNIPPPPPVSSSSSSNQKVPSVPAAPPPPPPVGNSSSIVATGFGPPPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDIGALICMLKDNVIVKVIVVCVTLAWSSWAAYPFMSSAVNPRRKALALYPVFLMYVSVGFLIIAID >EOY28616 pep chromosome:Theobroma_cacao_20110822:6:26050797:26053090:1 gene:TCM_030168 transcript:EOY28616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MHDSHCSHRFIKPFSTLTTTRTTYSSSDWRAQIKQSQLVSQVSSILLQRHNWASLLRTLNLRSKLTPVLFLQILHKTQHHPQISLTFFNWVKTHLGFKPDLKSQCHIIQIVIGSDLCRCVEPAVNSLIQSHPAPIVADSMIQACKGKNFQSSALSSVIKCYSKHGLFMEGLEVFRKMRIHGFTPSVCACNELLDALQRGNEVKLAWGFLGAMLRVGIEPDQFSWSLVAQILCKNGKLGKVVGLLEKGIYNSEIYDLVIDFYSKSGDFGAAFNRLNEMYNRKVDTSFCTYSSILDGACKYNDGEVIGRILRMMVEKELVPRHQFSKKDLIIPKLCDLRKTHAAEMLFKKACDENIRLRNDTYGSMLKALSQEARIDEAIEVCRMILKRRIIVNESCYSAFINALCKEDQSDDGYELLVDIIKRGHNPCASKLSKYISSQCSQMNWRKAEELLDLMLEKGLLPDSFGCCLLIQYYCFNRQVDKIVALHDKMEKVKGCLDVTTYNMILDVLWGERKAEEAVRVYDYMTGLNLVDSASFTIMIRELCHMKEMKKAMKIHDEMLNMGLKPDKGTYKRLISGFK >EOY27302 pep chromosome:Theobroma_cacao_20110822:6:21132346:21133762:-1 gene:TCM_029177 transcript:EOY27302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTCLTAEKRGISGLAMKVREGQGVKVKGGVVHPGTELVLVMLGKDGLISLTTGSHGRQQQFKVGVDALQLKLLYAILAASVCLLPDHANGIATRRLDINLNLI >EOY28403 pep chromosome:Theobroma_cacao_20110822:6:25252744:25254750:1 gene:TCM_029979 transcript:EOY28403 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nucleolar protein 12 (InterPro:IPR019186); Has 2484 Blast hits to 1934 proteins in 262 species: Archae - 0; Bacteria - 90; Metazoa - 921; Fungi - 378; Plants - 144; Viruses - 18; Other Eukaryotes - 933 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G11240) TAIR;Acc:AT1G11240] MEEEYEEEAPRQLSKPRGRHIKKRALKNKALAVSFNEKDLRDYVTGFHKRKKKRRKEAQKKQEEAERRKRIEQRKKRKLEKEFALYGGAPPSTASGYDESDENNVEDEGSEPTASVSGTTMYDSGNMTVTVTTSEISREEENFASVKTHTAMHRSVGDGVDRKHNLPVSKRKPLKKVARHRSRPPTKRDRNKGKKENKKMH >EOY28633 pep chromosome:Theobroma_cacao_20110822:6:26104052:26106128:1 gene:TCM_030182 transcript:EOY28633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate/phosphate translocator 2 MISSIKHSTSVFNSTGFFSNKRSSQFSPLTVIKNVHGGFPNQSCSYQKPLHIVAIENLAISKKTQQRKTECQAYEADRSTPLDINIELPDEEARLESAKRIKIGIYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLAAGSLMMLISWATRVADAPKTDFEFWKSLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGEAFPLPVYLSLLPIIGGCALSAATELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLLILTPFAIAVEGPQLWAVGWQKAVSQIGPNFVWWVVAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNALGAAIAILGTFLYSQAKQ >EOY26826 pep chromosome:Theobroma_cacao_20110822:6:18075565:18076653:1 gene:TCM_028776 transcript:EOY26826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein, putative MEESFVQVQRSVKWLEDITTGAIGFELESRTLQGLRVIRAQRGFLRCSFTVPSDASDANGNWHVGAIATIIDNVGGAAIYSTADRVKVTLDFNISYYSAARTQEQVEIEAKVIGNKGKLTSVVVEVRKKDNGELIAIGKQWTASNAYRSPQSKL >EOY25712 pep chromosome:Theobroma_cacao_20110822:6:1356389:1368513:1 gene:TCM_027090 transcript:EOY25712 gene_biotype:protein_coding transcript_biotype:protein_coding description:F14N23.28, putative MGRLLEGDESVYIAAVSRVGCVRKSMLLCASSLRTRLILLEAVWIAIFTLTMCTSKEISDLEGELSSIRNLLSTQATLIHGLAEGVHIDSLSPKASEGPTANGLLDIEDSESSDLEKWSAEFPDLLDVLLAKKRVDEALAALDEGERAVAEAKETNSLSSLALISLETAIIERNQKLADQLAEAACQPSTRGAELHASILALKKLAAAGGLRAAAECVQIALGHCSLLEAHGLALCPVLLKLFRPSVEQALDANLKRIEESTAVLAAADDWVLTYPQVVLVNLAGPLVHPLEFFEDVGPLLSMQLGGQTLEGLFQVFYSYVNMLIKALPGSMAEDANFEGTGNKIVQMAETEAQQIALLANASLLAEELLPRAAMKLSPNQASYKDDHCRRTSDRQNRHPEQREWKRRFVSSVERLKNTFCQQHALDLIFTEEVDSHLTAEMYINMYGTADEVEWFPSLIFQELFAKLNRMASLAADMFVGRERFATLLLMRLTETFIIWLQKIKAFGMILRMDRGL >EOY27315 pep chromosome:Theobroma_cacao_20110822:6:21178408:21182381:-1 gene:TCM_029187 transcript:EOY27315 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MDAEVVVSLLSQKLQTLLHDEKIAMTPKVKDQVQRTTQQLNLFRQLLKEADQKNRALAVQMNSRKWTTRLLRALYSLDDAIDNFLVRKALQSRKPFTSFCDGVVFKKEMKLFISRTGDLIKNKQPLDIQDVTDNNIPGPSQHQRWARISDFCFDGESHVVGLEEQVKNLVALVVQGAKQGNQPAVVSMVGEGGSGKTAIARIVYNRVDIKRHFTSRAWVHVTKEFKVRDVLVDMITQLDEKIAKEPLLEDELKWRLPKLLGQGRYLIVVDDVDAPEFWEAIKEVFPPSSHGGVVIVTTRKAGLAVPAGSTLQVRPLNDEESWALFLKKLDITEDRLHNSQLMKFKGQILKLCGGLPLAIVLMCGLLSAKELTDSEWSRVIEHVNAIEGILALSYHELPSYLKPCFLYMGLFPKAIEIPIRRLIHLWVAEGFVTSLSDGDMVEEDLAEMYFEELVGRKMIEVVRWRLDGSPKTCRLPSLVHDVFSLKARDIGFLQIHSTSFSANTSQLPVRRLATYSNIPFSKLHVSHLRSYVSFNTLKGGIPAGNSSMFLDKIMSKGNLGVFRVLDLESVYKPELPKAMGTLLNLRYLGLRSTVINSLPISIGVLQCLETLDVKHTNITTLPDSFWKIRSLRHLYLNGICLDSLDVLSAETLNKLQSLCGLSISTESLVAETLSKCKCLRKLQLTFYIPSSIKIDWTSQLNKLHSLRIRSIRESGESASIKFTSFKEQHNLVNLYLFGSLLRPFKSTIFPPNLKSLTLSKTGLGKDPMLELGKLPQLNTLRLFNFSYVGEEMTCASGAFPQLHVLKLWQLDHFSKWLVEEGALPSLRELEIRDCRSLKTLDAFYQLSSLRELILTNMPPDFADYVRGIKSPGSAVFIKENHWEPSPPMM >EOY25659 pep chromosome:Theobroma_cacao_20110822:6:1006974:1009009:1 gene:TCM_027038 transcript:EOY25659 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MKSPSFSVPLFLLVLFSFSWATSARTHDSFLQCLSLHSGINARLIYTQNSSSYESVLDLSARNARFSTPTTPRPLVIVTPSNVSHVQATINCSREHDMQIRIRSGGHDYEGLSYVSKVPFVIIDLINMRSIDVDTEKKTAWIQAGATIGELYYRIAEKSTTLAFPAGVCPTVGVGGHFSGGGYGMMTRKFGLAADQIIDAHIVTVDGRILDRNSMGEDLFWAIRGGGGASFGVIVAWKVKLVSVPSTVTVFTVNRTLEENATKLVHKWQSVAEAIDEDLYFRVFLRAVNSSQEGKRTVQASFTSLFLGRIDQLIALMQESFPELGLVKEDCIEMSWIQSILYFPGIPQSESLDVLLNRTGTIRTVTAGIFKAKSDYVTEPIPEIALEGLWQWFYEDESGTLELIFSPYGGIMDEISESETPFPHRAGNLYKIHYAVSWEEEDASTSQKHINFMRRLYKYMEPYVSKSPRAAYMNYRDLDLGSNNEGNYTSYTQASRWALKYFKNNFNRLIHVKSMVDPQNFFRHEQSVPTLLQG >EOY26634 pep chromosome:Theobroma_cacao_20110822:6:16119153:16120064:1 gene:TCM_028550 transcript:EOY26634 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative MGSETPLQLPVINFSKQDLKPGSQEWDLLKSQVRQALEEYGCFEALFDKASLELRSAVFEGLEELFDLPLQAKMLNVSDKPILHHILMHLYMKALGLRIQTSLKIISIQSIVEQVSELEQMVRRMILESFGLEKYMDEHMNSTKYLLT >EOY26262 pep chromosome:Theobroma_cacao_20110822:6:6770824:6782999:1 gene:TCM_027736 transcript:EOY26262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic H pyrophosphatase family protein isoform 1 MMMGDDVENGNLGLYQDRPRTFPNMRSKPYTPLIFRVLMGINVRVLVILLLLGFGFVFYVGASTSPIIVFVFSVCIVSFLLSIYLTKWVLSKDEGPPEMSQISDAIRDGAEGFFRTQYGTISKMALLLALVILSIYLFRSTTPQQESSGLGRATSAYITVAAFLLGALCSGVAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAKRCKIEDPSGFILFPLVVHSFDLVVSSIGILSIRGTRDSSVKTPIEDPMTILQKGYSVTIVLAVLTFAGSTRWLLYTDQAPSAWLNFALCGLVGIITAYVFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTALPVLVISISIISAFWLGHTSGLVDETGSPTGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFAHESFKQVDIAIPEVFIGGLLGSMLIFLFSAWACAAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIVSPIVVGFLFRVLGHYTGHPLLGAKVVAALLMFATVSGILMALFLNTAGGAWDNAKKFIETGALGGKGSDSHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >EOY26261 pep chromosome:Theobroma_cacao_20110822:6:6770824:6782984:1 gene:TCM_027736 transcript:EOY26261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic H pyrophosphatase family protein isoform 1 MMMGDDVENGNLGLYQDRPRTFPNMRSKPYTPLIFRVLMGINVRVLVILLLLGFGFVFYVGASTSPIIVFVFSVCIVSFLLSIYLTKWVLSKDEGPPEMSQISDAIRDGAEGFFRTQYGTISKMALLLALVILSIYLFRSTTPQQESSGLGRATSAYITVAAFLLGALCSGVAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAKRCKIEDPSGFILFPLVVHSFDLVVSSIGILSIRGTRDSSVKTPIEDPMTILQKGYSVTIVLAVLTFAGSTRWLLYTDQAPSAWLNFALCGLVGIITAYVFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTALPVLVISISIISAFWLGHTSGLVDETGSPTGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFAHESFKQVDIAIPEVFIGGLLGSMLIFLFSAWACAAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIVSPIVVGFLFRVLGHYTGHPLLGAKVVAALLMFATVSGILMALFLNTAGGAWDNAKKFIETGALGGKGSDSHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >EOY28147 pep chromosome:Theobroma_cacao_20110822:6:24351365:24352361:1 gene:TCM_029795 transcript:EOY28147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein MTHIIIPPSPSTYSKPLFTICNLLNHIYVTVYSMQEAISYKSHMAATANGSSSPLRNSLGGSNSSGGLVANGTENSVTKLVLENAVIVFGRQGCCMCHVVKRLLLGHGVNPAVCEVDEEKEEAVLTELSRINGERGGERGGVQFPAVFVGGKLFGGLDRVMSTHISGELVPILKDAGALWL >EOY28571 pep chromosome:Theobroma_cacao_20110822:6:25842048:25846851:-1 gene:TCM_030115 transcript:EOY28571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 25 MAYGLFRCRGEVGPKICQDCINLAAAGVAQRCSNQTWAIIRYDECMLRYSNQSIFYAMAESPKINMLYNNDVMEPERFNRLVATLMQDIATRASNASMGAKKFATKEETFTVFQTIYSLAQRTPDISREDCYRCLQKAIADLPNCCGGKAGAFALYPSCTVQHQVTPFYDTSNETIAAPPPASPAPPLVFPPPPPPTAPGKSKARNMQSLQFDLSIVEAATDKFAEVNKIGAGGFGSVYKVRNEAYHDYRGTLPDGQEIAVKRLSTSSGQGDEEFQNEVQLVAKLQHRNLVKLFGYCLEKGERMLIYEFVPNKSLDYFIFDPENQRQLDWSKRYKIIKGIARGLLYLHSDSHLKIIHRDLKASNILLDEDMMPKISDFGMARIVGENRSVECTKRIVGTYGYMSPEYAIHGRFSEKSDVFSFGILILEIICGKMNTSFCHSQYADNLLTYVWRHWKNGTPIELMDSTLQDSYASNEVRRCIQIGLLCVQEDPDTRPTMARVVLMLSSSSVTLPAPQKTAFFFGTTTGRQFSEQKSDPSKSTSSSATVNEASITELFPR >EOY28036 pep chromosome:Theobroma_cacao_20110822:6:24005577:24009010:-1 gene:TCM_029718 transcript:EOY28036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIDGLEGSGALGGRRSEEENCLGESRPLIDDAGARKRRSCRRRKERRKSGRRLG >EOY25671 pep chromosome:Theobroma_cacao_20110822:6:1095292:1132000:1 gene:TCM_027054 transcript:EOY25671 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding and BBE domain-containing protein, putative MVSSFSSRSFLLKHLKLSCVQSRFKTLCSISTYTTELTNTPIENLVIQLEKMKASSSVFSASILLFSISLVASDSALDRFLQCLPKNSNPSNPINDAIFTPMNSSFQSIYELRANNLRSLYSATLKPVAIITALHESHAQATVVCAKRHGFQIRIRSGGHDFEGLSFVSSVPFVILDLFNLRSIEIDMASETAWVQAGATTGELYYRIAENSKVHGFPAGVCITLGTGGHFSGGGYGPMMRKYGLSIDNVLDAQLIDANGRILDRKSMGEDVFWAIRGGGGNSFGIILSWKIKLVRVPPKVTVFKVIRTLEQGATDLAYRWQQVAHKLPKELFIRLAPRPLIGTGKGNKTVQVPFIGHFLGQTDELVQLVNSNLPGLGLQRSDCLEMSWVESLLFWTGFHNGTSIEVLLKHRVQSDKVFYKTKCDYFKEVIPKAGLETLWNLLMDIENIYVQLNPYGGRMGEITESETAFPHRAGNLFKVQYTVLWSDAQGGINATERYLEWSRRLYSAMAPYASSSPREAFLNYRDLDVGSNDSNNTDFAAAEVYGAKFFKGNFQRLVLAKTMIDPHNFFKNEQSIPRFPSYIQ >EOY26334 pep chromosome:Theobroma_cacao_20110822:6:7668001:7668703:1 gene:TCM_027831 transcript:EOY26334 gene_biotype:protein_coding transcript_biotype:protein_coding description:To encode a PR protein, Belongs to the plant thionin family with the following members:, putative MEGRGVGSVLMVYLVLGLLVGQSTASFQTCYMGCFIWCVITPNNTVFSCSVKCLKDCIIPSFSFPSGKDTQYFCKLGCATSLCTDLSSKENPGEEKVGSCVDSCSETCAKN >EOY28449 pep chromosome:Theobroma_cacao_20110822:6:25390339:25395408:1 gene:TCM_046845 transcript:EOY28449 gene_biotype:protein_coding transcript_biotype:protein_coding description:AarF domain-containing kinase isoform 1 MSRLLTFAKIRKVSQSAISNQLMSHSDFRKYGTVVTVGLRLPQYRVYSHYRFTSGGKPPLLLQTTKEGFCQGYFVRNHSFLSASSAVTHHAQVAWKRLTRRCYASGRTLPHISRIAQAVSLALSRSHLIVPGIFGLTCGQVALAQRTLVEADYYPSQNSLYMRAQDGHAFVSSILLSLVEGVILLLRALYLAVLFSPSIIMAPFADSCGSQFRKMWLEIVHRTLEKAGPAFIKWGQWAATRPDLFPRDLCTKLSELHSKAPEHSFAYTKKTIERAFGRKLSEIFEGFEEEPVASGSIAQVHRASLRFRYPGQRVKPMVVAVKVRHPGVGESIRRDFMIINLVAKISKFIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRSWKDVSFPKPVYPLVHPAVLVETYEQGESVAHYVDGLEGHDRIKTALAHIGTHALLKMLLVDNFIHADMHPGNILVRVSKSKASQKRLFKSKPHVIFLDVGMTAELSRSDRVNLLEFFKAVARRDGRTAAECTLKLSQRQNCPNPKAFVEEVEEAFTFWGTPEGDLVHPAECMQQLLEKVRHHRVNIDGNVCTVMVTTLVLEGWQRKLDPGYNVMMDPDAPTPSELRLKEWLYWIVVDIPEGHDATKGREMAPYMGPLPPTGIHRCILALFKQERATGGGCRLPDARANFITRQFAAQSRPGLPFAAVYFNSQKEPAVKKR >EOY28450 pep chromosome:Theobroma_cacao_20110822:6:25390708:25395441:1 gene:TCM_046845 transcript:EOY28450 gene_biotype:protein_coding transcript_biotype:protein_coding description:AarF domain-containing kinase isoform 1 MSRLLTFAKIRKVSQSAISNQLMSHSDFRKYGTVVTVGLRLPQYRVYSHYRFTSGGKPPLLLQTTKEGFCQGYFVRNHSFLSASSAVTHHAQVAWKRLTRRCYASGRTLPHISRIAQAVSLALSRSHLIVPGIFGLTCGQVALAQRTLVEADYYPSQNSLYMRAQDGHAFVSSILLSLVEGVILLLRALYLAVLFSPSIIMAPFADSCGSQFRKMWLEIVHRTLEKAGPAFIKWGQWAATRPDLFPRDLCTKLSELHSKAPEHSFAYTKKTIERAFGRKLSEIFEGFEEEPVASGSIAQVHRASLRFRYPGQRVKPMVVAVKVRHPGVGESIRRDFMIINLVAKISKFIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRSWKDVSFPKPVYPLVHPAVLVETYEQGESVAHYVDGLEGHDRIKTALAHIGTHALLKMLLVDNFIHADMHPGNILVRVSKSKASQKRLFKSKPHVIFLDVGMTAELSRSDRVNLLEFFKAVARRDGRTAAECTLKLSQRQNCPNPKAFVEEVEEAFTFWGTPEGDLVHPAECMQQLLEKVRHHRVNIDGNVCTVMVTTLVLEGWQRKLDPGYNVMQTLQTLLLKADWAKSLSYTIDGLMAP >EOY28520 pep chromosome:Theobroma_cacao_20110822:6:25639431:25647118:-1 gene:TCM_030064 transcript:EOY28520 gene_biotype:protein_coding transcript_biotype:protein_coding description:3S-linalool/(E)-nerolidol /(E,E)-geranyl linalool synthase MGRDGEGMWATLCRAVLVEARWFASGKLQKAKEYLENGITTSGVHMILVHIFFLLGQGLNNKNVELIDNNPGMISSTATILRLWDDLGSAKDENQDGNDGSYVECYMKEHQGVEVEVVRKHVTHMISNAWKRLNHECLSRNPFSLPFARASQNIARMVPLMYSYDENQCLPSLEEYMRSLLYESVPVKSTSSA >EOY25466 pep chromosome:Theobroma_cacao_20110822:6:97852:104871:1 gene:TCM_026888 transcript:EOY25466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ASHH3 isoform 3 SISISVFLFLVLPLSLGPSQPSSFHSFLSEPSFQPFFLAFTGAARPLPFLSFFALISRLPLSLTSFPFGPVPSLSRVSLLWKIFFVVKILEAMPATKKSSDCSHIGYAFGKLLKQIGNPVDFELPDWFNKWKPTPYTFIRRNIYLTKKIKRRLEDDGIFCSCTSSPGSSVCGRDCHCGMLLSSCSSGCSCGSLCLNKPFQHQPVKKMKLVQTEKCGAGIVADEDIKHGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFAMQDIKKGEHLTYDYQFVQFGADQDCHCGAAGCRQKLGVKPSKPKMSSDAALKLVACQVAVSSPKLKAVLSGKDVNQNGGLPVGSSRHAHNRRQIHSRCCIGEVIKISRSINDRSFGIIKRFDKYSNKHSVMFEDGVVEFLDMSKEDWELVTL >EOY25468 pep chromosome:Theobroma_cacao_20110822:6:97852:104871:1 gene:TCM_026888 transcript:EOY25468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ASHH3 isoform 3 SISISVFLFLVLPLSLGPSQPSSFHSFLSEPSFQPFFLAFTGAARPLPFLSFFALISRLPLSLTSFPFGPVPSLSRVSLLWKIFFVVKILEAMPATKKSSDCSHIGYAFGKLLKQIGNPVDFELPDWFNKWKPTPYTFIRRNIYLTKKIKRRLEDDGIFCSCTSSPGSSVCGRDCHCGMLLSSCSSGCSCGSLCLNKPFQHQPVKKMKLVQTEKCGAGIVADEDIKHGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFAMQDIKKGEHLTYDYQFVQFGADQDCHCGAAGCRQKLGVKPSKPKMSSDAALKLVACQVAVSSPKLKAVLSGKDVNQNGGLPVGSSRHAHNRRQIHSRCCIGEVIKISRSINDSRSFGIIKRFDKYSNKHSVMFEDGVVEFLDMSKEDWELVTL >EOY25467 pep chromosome:Theobroma_cacao_20110822:6:97852:104871:1 gene:TCM_026888 transcript:EOY25467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ASHH3 isoform 3 SISISVFLFLVLPLSLGPSQPSSFHSFLSEPSFQPFFLAFTGAARPLPFLSFFALISRLPLSLTSFPFGPVPSLSRVSLLWKIFFVVKILEAMPATKKSSDCSHIGYAFGKLLKQIGNPVDFELPDWFNKWKPTPYTFIRRNIYLTKKIKRRLEDDGIFCSCTSSPGSSVCGRDCHCGMLLSSCSSGCSCGSLCLNKPFQHQPVKKMKLVQTEKCGAGIVADEDIKHGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFAMQDIKKGEHLTYDYQFVQFGADQDCHCGAAGCRQKLGVKPSKPKMSSDAALKLVACQVAVSSPKLKAVLSGKDVNQNGGLPVVLGMLIIDDRYTLDVALVK >EOY25465 pep chromosome:Theobroma_cacao_20110822:6:97686:104824:1 gene:TCM_026888 transcript:EOY25465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ASHH3 isoform 3 MVIIKPNPNFSLFYINRRYQLHLPSSVPRHSFPSSISISVFLFLVLPLSLGPSQPSSFHSFLSEPSFQPFFLAFTGAARPLPFLSFFALISRLPLSLTSFPFGPVPSLSRVSLLWKIFFVVKILEAMPATKKVSLHIFACSYARKFIYFDTSSDCSHIGYAFGKLLKQIGNPVDFELPDWFNKWKPTPYTFIRRNIYLTKKIKRRLEDDGIFCSCTSSPGSSVCGRDCHCGMLLSSCSSGCSCGSLCLNKPFQHQPVKKMKLVQTEKCGAGIVADEDIKHGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFAMQDIKKGEHLTYDYQFVQFGADQDCHCGAAGCRQKLGVKPSKPKMSSDAALKLVACQVAVSSPKLKAVLSGKDVNQNGGLPVGSSRHAHNRRQIHSRCCIGEVIKISRSINDRSFGIIKRFDKYSNKHSVMFEDGVVEFLDMSKEDWELVTL >EOY28901 pep chromosome:Theobroma_cacao_20110822:6:26834752:26837642:1 gene:TCM_030375 transcript:EOY28901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein isoform 2 MADNGSFQEFLATAVDAATKAGEIIREGFYQTKHVEHKGQVDLVTETDKACEDLVFNHLKQHYPSHKFIGEETTAACGTSELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKVPTVGVVYNPIMNELFTGVLGKGAFLNGTPIRVSSQTELVKSLLATEAGTKRDKLTVDTSTNRINSLLFKVRSLRMSGSCALNLCGIACGRLDLFYELGYGGPWDVAAGVLIVKEAGGLVYDPFGKEFNITAPQVAASNPSLKDAFVKALQQSE >EOY28900 pep chromosome:Theobroma_cacao_20110822:6:26834601:26837658:1 gene:TCM_030375 transcript:EOY28900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein isoform 2 MADNGSFQEFLATAVDAATKAGEIIREGFYQTKHVEHKGQLAMKFMYDKAVKIINVDGLLEVDLVTETDKACEDLVFNHLKQHYPSHKFIGEETTAACGTSELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKVPTVGVVYNPIMNELFTGVLGKGAFLNGTPIRVSSQTELVKSLLATEAGTKRDKLTVDTSTNRINSLLFKVRSLRMSGSCALNLCGIACGRLDLFYELGYGGPWDVAAGVLIVKEAGGLVYDPTNIQNLLKPEVHSYVDHFKVFHFAIRFGKEFNITAPQVAASNPSLKDAFVKALQQSE >EOY27779 pep chromosome:Theobroma_cacao_20110822:6:23100012:23102757:-1 gene:TCM_029539 transcript:EOY27779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease 10, putative MAEAQRLQLPIISDEEEAKEENPAVNVNATNREITVSRSGKYKRWLRVVLYTIFLLCGQSVGTLLGRLYYEKGGNSKWLAALVQLAGFPILLPFYCMPTRKMFNDLNASLTETKQPSFFKLVLVYVSIGLLIAGNCFLYSVGMQYLPVSTITLISASQLAFNAFFSYFLNSQKFTPFIINSLVLLTISSVLLVFQDNSARLAGVSHGQYAAGFICTIFGTAGTGLFLALQQLAFRKVVKRQAFTDVMDMIIFPSLIASSAILVGFLASGDWKGLNREMKEYELGNFSYVMILLWTAICWAVLAIGAVGLVFEVSALFCNAISVFGLPIVPIIAVFVFHDKMDGIKVISMVLAIWGFISYVYQHYLDDYKSKTEKGKTSGNL >EOY26640 pep chromosome:Theobroma_cacao_20110822:6:16432622:16434516:-1 gene:TCM_028575 transcript:EOY26640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVAAIYVDYVWKYNMDLSGNYLGRKCKDKVRVLWWRAGVNIERLASENNQRHLRSNSDVISHYRKEL >EOY27391 pep chromosome:Theobroma_cacao_20110822:6:21540684:21544594:-1 gene:TCM_029250 transcript:EOY27391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase 3 MDSIKSFKGYGKVDELEEQAFKRKTRRRLIILIISIAVLIAVIIAAVAGILIHKRSNSSSNTVPPTDLTPAASLKTVCSVTQYPTSCFSSLSSMASSNTSDPEILFKLSLEVAINELSKLSQYPSKLQAETNNTQVKSALQVCGTLFEDALDRLTDSATSLEVGQGQRLLSASKINDLKTWLSTTITDQETCLDALEELNTTKHFNATLLEEVKAAMQNSSEYASNSLAIVAKILSLLPDFNIPIHRRLLGFQETGSEFPDWVSPTERRLLQDSNPTPNVVVAQDGSGDVLTINDAVKLVGKKNESRFVIYVKEGKYVENVILDKHQWNVMIYGDGKTKTIISGSLNFVDGTPTFATATFAVTGKGFIAKGIQFVNSAGAAKHQAVAMRSGSDRSVFYQCAFDAFQDTLYAHSNRQFYRECDILGTIDFIFGNAAVVFQNCNIQPRQPLANQFNTITAQGKKDPNQNSGMSVQKCTLSAFGNLTANTYLGRPWKEYSTTVIMQSEIGAFLNPLGWKEWVSNVDPPSSIFYAEYKNSGPGSNVDERVKWGGYRPTLSDVDAGKFTVGTFIQGEEWLPGATVSYQPAL >EOY25836 pep chromosome:Theobroma_cacao_20110822:6:2251077:2262018:-1 gene:TCM_047058 transcript:EOY25836 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUS-interacting protein 2 isoform 1 MPSLSRELVFLILQFLDEEKYKETVYKLEEETGFFFNMRYFENCITNGEWEEVEKYLSGFTKFDDNRYSMKIFFEIQKQKYLEALDKREKGRALEILVKDLKVFSTFNEELYKEITMLLTLENFRENEQLSKYGNTKSARAIMLVELKKLIEVNPVFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHTCGPPNGARAPSPVTNPLIGSIPKVGGFPLIGACGPFQPAAASAPALVTASIAGWMANPSSVANQAVSASPIGLSASGTAASMVKRPRTPTINLAMDYQTADSENVLKRPRPFGISEEMNSLPVTYPGQSHAHTLYSSDDLPKSVFANIDQGSTVKSMDFHPVQQTLLVVGTNIGDITIWEVGSRERLVSRNFKVWNHGACSMTLQATLASECTAAVNQVIWSSDGDLLGVAYSKHIVHVYSYHGSNDLRSHLEIEAHIGSVNDLAFSQPNKQLWVVTCGDDKTIKVWDAVTGNRQFTFEGHEAPVYSVCPHQKENIPFIFSTAIDGKIKAWLYDNLGSRVDYDAPGHSCTTMAYSTDGTRLFSCGSNKEGESHIVEWNESEGAVKRTYCGLGKQSVGIVQFDTTRSRFLAAGDEFQVKFWDMDNVNLLTAINADGGLAASPCLRFTKNGNLLAVSTNDNGIKILANSDGIRLLRSIESCALDASRVASAAAAKGPIISTFGTSSSTTGTSIAVADRSAPMTANVGLNVDSCNLPDVRPRVADELEKSKIWKLTEIHEPSQLRSLRLPDSFMPIRLVRMIYTNSGGAILALTDNAVHKLWKWQKNEQNLTGKATSSLQPQLWQPSSGIMMTNDIRETNQEDAVPCFALSKNDSYVLSASGRKVSLFNLMTFKTMTTFMAPPPAATFLAFHPKDNNFIAIGMDDSSIQIYNVRVDQVKSKLRGHQKRVTGLAFSNALNVLVSSGADAQLCAWSMDGWEKLSSKFLQIPSSNVPDPFGQTRVHFHHDQIHVLAVHGSQIAIYEAPKLECLKQWIPQESNRLITDAVYSSDSQSIYASFGDGSVYIFVATTLQVRCRISLGAYLPSNPRVYPVVVAAHPSEPNQFALGLTDGGVHVLEPPEEGWGSLPPPEIGAGPSISATGAGSDQQTR >EOY25837 pep chromosome:Theobroma_cacao_20110822:6:2251101:2262025:-1 gene:TCM_047058 transcript:EOY25837 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUS-interacting protein 2 isoform 1 MPSLSRELVFLILQFLDEEKYKETVYKLEEETGFFFNMRYFENCITNGEWEEVEKYLSGFTKFDDNRYSMKIFFEIQKQKYLEALDKREKGRALEILVKDLKVFSTFNEELYKEITMLLTLENFRENEQLSKYGNTKSARAIMLVELKKLIEVNPVFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHTCGPPNGARAPSPVTNPLIGSIPKVGGFPLIGACGPFQPAAASAPALVTASIAGWMANPSSVANQAVSASPIGLSASGTAASMVKRPRTPTINLAMDYQTADSENVLKRPRPFGISEEMNSLPVTYPGQSHAHTLYSSDDLPKSVFANIDQGSTVKSMDFHPVQQTLLVVGTNIGDITIWEVGSRERLVSRNFKVWNHGACSMTLQATLASECTAAVNQVIWSSDGDLLGVAYSKHIVHVYSYHGSNDLRSHLEIEAHIGSVNDLAFSQPNKQLWVVTCGDDKTIKVWDAVTGNRQFTFEGHEAPVYSVCPHQKENIPFIFSTAIDGKIKAWLYDNLGSRVDYDAPGHSCTTMAYSTDGTRLFSCGSNKEGESHIVEWNESEGAVKRTYCGLGKQSVGIVQFDTTRSRFLAAGDEFQVKFWDMDNVNLLTAINADGGLAVMGVLLI >EOY28991 pep chromosome:Theobroma_cacao_20110822:6:27048933:27049913:-1 gene:TCM_030437 transcript:EOY28991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMMLLLNDPISSPLRFDDDAVNDQRFGGEGRERVPDHHLINPFSLKSVGQSANQQIRWMAQVNANRAALACPH >EOY28686 pep chromosome:Theobroma_cacao_20110822:6:26235979:26239640:1 gene:TCM_030217 transcript:EOY28686 gene_biotype:protein_coding transcript_biotype:protein_coding description:F11F12.2-like protein MNSSGGTHSPATFCRKTRRRRRCGTGAPSTPLLHWTFYNNNDEKKKHPHHQQQHPSARAGAGGRHLELSARKLAAGLWQLRPSAELSCRRNGGFACKRRSSDRFRPGKRGSDTKHDQLRRSQSTILGPKQGTLYNHESVFPHSKSVTEGATKWDSRCLKTPIEAYCFVSHMKLLEDQVKTVSFVSALQAKLVRAQLYIHDLESEVRSSRKKVKYLLRKLGEERMAQNKKVHDNIYALIDDLKGQLSRERKNQQGMEILNSQLVKELAEAKFSATKLIQKYEEEKRTRALMEEVCNQLATKIGEDKAEVEDLRIEIVKIREEVEEERKMLQMAEVWREERVQMKLSDAKLALESKYSQMNKLITVLETFLRSRSASLGVTELRTAEVIGQAVISVSNIQDIEEFSYEPLRSGDIFSNFEGLQQVEVSEREIEPCFNYCSTGDVSNYHPACPETNNHGNDGVPKHSSAFVDYNSGMEEDSRGQERVNHAENQGSSYCFEEIMNIVGGCKNAPRCEIEWAETTGGNTPNTEISEICSISAEWSKRKKSSAKLRTPCASSSGYKAISDDGDGRLSSGTVSSLGTIFPSRKSVKGGLRHQNSMGQWGSRDLVNPHIARGMKGSIEWPRVLQKNTLKAKHWKPGLKERKFSCTDTAPVDS >EOY28920 pep chromosome:Theobroma_cacao_20110822:6:26878343:26880027:1 gene:TCM_030387 transcript:EOY28920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase MLLNLGHDLVLIYCHVIVGTSILYYVLDILVKTVAVIKSNKDTRYALDSIVTHDGMKLPCWALANLSSFRQKIGPDTYDQLDVIGIDEAQFFEDLYDFCCEAADRDGKTVIVAGLDGNYLRRSFGSVLDIIPLADSVMKLTARCELCGKRAFFTLRKTDETQTELIGGADVYMPVCRQHYVNGQVVIEATRSVLESQKLQCGSYT >EOY28863 pep chromosome:Theobroma_cacao_20110822:6:26732702:26735738:-1 gene:TCM_030343 transcript:EOY28863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGVGARLGRSSTRYGPAAVFTGPVRKWKKKWVHVSPSNNGGSSSNNSNSNHSQNHLSSNGTSNGNNGSHLVLFKWTPISQSQNNNNGNNTNNNSNDGNNSSSKDDAAAAPEEPPRRKFKYIPIAVLEEQKKEAAENEADENVDDETKQSEADPSAPEPTSRNDGFDEKPDINDVPMEESQEDNKIVRQDLNESTLDLSLGLTAHDGESDSKSKHDGQLERVKSSNVGR >EOY28529 pep chromosome:Theobroma_cacao_20110822:6:25701855:25704352:-1 gene:TCM_030072 transcript:EOY28529 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MASQTVGKKTACVVGGTGYVASLLVKLLLEKGYAVNTTVRDPDNQKKIPHLVTLQKLGDLKIFRADLTDEGSFDVPIAGCDLVFHVATPVNFASQDPENDMIKPAIQGVLNVLKACAKAKTVKRVVLTSSAAAVSINTLKGTDLVLTEKDWTDVEFLSSAKPPTWGYPASKTLAEKAAWKFAQENNIDLITVIPSLMTGPSLTPDVPSSIGLATSLLSGNEFLVNALKGMQMLSGSISITHVEDVCRAHVFLAEKESASGRYICCAVNSSVPELAKFLNQRYPEFKVPTDFGDFPSKAKLIISSDKLINEGFSFKFGIEEIYDQTVEYMNAKGLLK >EOY27284 pep chromosome:Theobroma_cacao_20110822:6:21053157:21063153:-1 gene:TCM_029163 transcript:EOY27284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLSIASGLLFSEASRWATDRSQLPSAGKDLWNKVELCSLVLLSLFLHIVLIGFGHGIKGKASRWVIPVGWLAYFLGDWVATASLSTLLKRQIEKINAIEVLWAPFLLLHLGNAETMAANYLEESALWVRYLVGLLIQFGMAFYVYWRFQTRHTGFNFLATLIFIAGIIKCGERIWILSVSSFENYKKSVLRAPPPEISHTLEGKFDFPKHELLMDYLGREGVRPEAEYLHQAYLSFKMFVPLFSGLKLRFYKKLNDIFTLQKSMSAKEAFMLVDIELEFLYDMLYTKTSILNSVTGLILLSISLLSCISGFIAFSVFISKRPYPRVDVAITYLLLVVAIIADTLALVTQLFSKWTVRQWTKPGGKKLPKCVDRVINRWLKHRKKGKGITSMAQSSLLKYCLKSKATTISTVANKLRLGNTVLEKSLHQLDQNWRRPDWIDVDLELKDFIFLHLKEKRTQYEQKQFDFKSLSCLLNGGAYELLHSKELHEEVGWSLKDLEFTHSLLIWHIATDILYYDQRRRYPRGSFSARCRISKHLSDYMLYLLVKAPFMLRKGIGELRYRDTCREAVKFLDQEMQIKGVRLAATTLLAIDAEWRGFLFQMKGQGKSVFFAGSALAKRLRWLSREDEKRMDEDEVWEIISCVWMEMLVSAANHCDWKDHTMQLRHGKELLTHVALLMAHLGLSKHIRMTDLPLQLEAEGDSYNPPWKWAELDRLAYYLA >EOY28349 pep chromosome:Theobroma_cacao_20110822:6:25095556:25097159:-1 gene:TCM_029946 transcript:EOY28349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCCVSTNRGEPREKEAHSFHQKPSLESRAPPPSAEEETVKEVLSETPKPKAHIFIPQEEENKKAQIEKPAFVKIQEKESLNFDNKTEPKSPVIEESASEDVSEICSVSVSESVSTITDRRDEEEVRQQKIFRSPARCGSRNRVVGRSPTRKLDQSPGRRHGVANGGPSVRLVQSRETPVRRGLRPDPSRKDPGESSGRRSRSPAVNRSVMGRSPSGRRTNHSPGRVRGDAGESGNSKKVEQHQHHHGTTTTTMEGKWPSSNNNGPTTSAPNESLENPLVSLECFIFL >EOY28548 pep chromosome:Theobroma_cacao_20110822:6:25743981:25787576:-1 gene:TCM_030093 transcript:EOY28548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 29, putative isoform 1 MRFSGLLLFFYSALLFLAIFTLGADPYFQSRCVNSAGNYTANSTYQANLNSVFSQVTSLTEFNYGFYNLSAGQNPNKVNAIALCRGDRNQDDCNSCLNDTVSELRQRCPLYNEVVGWSEFCMLRYANRDILGKMECSPEACLYNTLDVTNADQFNQALDTLLNKLSSRAAAAGPLRKYAADNSTVGVLQTVYAMVQCTPDLSEQECGKCLSVAKNGIGNCCLGKRGCRVLRPSCFLRFESSPFYQTPVPLPSPPPSPTSSSPPSPGEKGNSSTRNIIVVVASVVGVVILITSICIFVRARKSWEKLETVDEIIRAESLQFDFATVRVATNNFSDANKLGQGGFGAVYKGLLQNGQEVAVKRLSTDSGQGEVEFKNEVLLVAKLHHRNLVRLLGFCLEGRERLLIYEFVPNTSLDHFIFDQVKRAQLDWERRYKIIKGIARGLLYLHEDSRLRIIHRDLKASNILLDTDMIPKIADFGMARLFGQDESQANTSRIVGTYGYMAPEYVINGQFSVKSDVFSFGVLLLEIISGQKSNRFRYEETEEYL >EOY28547 pep chromosome:Theobroma_cacao_20110822:6:25774783:25778385:-1 gene:TCM_030093 transcript:EOY28547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 29, putative isoform 1 MRFSGLLLFFYSALLFLAIFTLGADPYFQSRCVNSAGNYTANSTYQANLNSVFSQVTSLTEFNYGFYNLSAGQNPNKVNAIALCRGDRNQDDCNSCLNDTVSELRQRCPLYNEVVGWSEFCMLRYANRDILGKMECSPEACLYNTLDVTNADQFNQALDTLLNKLSSRAAAAGPLRKYAADNSTVGVLQTVYAMVQCTPDLSEQECGKCLSVAKNGIGNCCLGKRGCRVLRPSCFLRFESSPFYQTPVPLPSPPPSPTSSSPPSPGEKGNSSTRNIIVVVASVVGVVILITSICIFVRARKSWEKLETVDEIIRAESLQFDFATVRVATNNFSDANKLGQGGFGAVYKGLLQNGQEVAVKRLSTDSGQGEVEFKNEVLLVAKLHHRNLVRLLGFCLEGRERLLIYEFVPNTSLDHFIFDQVKRAQLDWERRYKIIKGIARGLLYLHEDSQLRIIHRDLKASNVLLDAVMTPKIADFGIAKLFLRDETQGNTSRIMGTYGYMAPEYAMYGHFSVKSDIFSFGVIILEIISGQKNNCFHNEESVEDLLSCARKNWREGTALNLIDPTLRDGSRNEMLRCIHIGLLCVQENVADRPTMATVVLMLNSSSISLPLLLMHSNIESDMSSPSKYYSRVSKSKQSKSETIPLLQEQGFNY >EOY26629 pep chromosome:Theobroma_cacao_20110822:6:15738586:15741553:1 gene:TCM_028518 transcript:EOY26629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQMCHDSCGANYQSRQQITKIPLVGKNSYFCSKNSHYFSRLIIHHFLTNSPRIKIKLILTQYMVNSAINGCGRK >EOY25912 pep chromosome:Theobroma_cacao_20110822:6:2697791:2698810:-1 gene:TCM_027281 transcript:EOY25912 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein, putative MAASSNTTTTTMHQPAHPTSPNTTFVQADPSTFRTIVQQLTGAPDDPSAQKLPLTHPARPGAATDMGPKKPVFKLHERRQAMKKLEIKLNSNNIGKSEWPSDFLFVRQRGFLVSPVSTLDFWPRVSPTSRGGSESSRSPIEEEERAIAEKGFYLHPSPLSTPRGGAEPELLPLFPLHSPKESEHNYDDNSSSK >EOY26474 pep chromosome:Theobroma_cacao_20110822:6:12539232:12541986:1 gene:TCM_028224 transcript:EOY26474 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 5 MGKGLPPPPSSDIETGRTYKSHRRTPPPPPQHFSPPAPKPWFAWLVPLVFVANIVMFVLTMYINNCPAKTGADKCLFYDYLGRFSFHPIKDNPLLGPSFSTLKELGALDWKLVVESKQAWRLISCIWLHAGVIHLVANMMSLLFIGIRLEQEFGFFRIGLLYVLSGFGGSLMSALASAGRKQTISVGASGALFGLLGAMLSELITNWTNYANKCAALLTLVLIISLNLAVGFLPHVDNSAHIGGFISGFLVGFILLIRPQFGYVSRKHIPPGYEIKHKKPKHKCYQYLLWIIALVLLITGYATGLTRLFKGQTFESKPLSSLD >EOY28604 pep chromosome:Theobroma_cacao_20110822:6:26022182:26025414:1 gene:TCM_030157 transcript:EOY28604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein MKTMAPPAAIRWCAVLSTLVLFLLISPSVAIYCDEDDCYDLLGVSQNANVSEIKKAYYKLSLKYHPDKNPDPDSRKLFVKIANAYEILKDEATREQYDYAIAHPEEVFYNTARYYRAYYGHKTDPRAVLVGLLLILSAFQYLNRWTRYNQAVAMVKKTPAYKNRLRALELERSGGTTNKKKSNKQMDKKKEEDLSKELELDIKGAEKPSIWELIGIRFILLPYTIGKLLLWYACWFWRYKVKRAPYSWEDASYLTRNSLRVPLDAWLNIDESTKEDLIQRRLWIKSNLESYLAEMRKEYKRRR >EOY27446 pep chromosome:Theobroma_cacao_20110822:6:21754479:21755979:-1 gene:TCM_029290 transcript:EOY27446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding ribosomal protein family protein MVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQACKHVSQHPIKRCKHFEIGGDKKGKGTSLF >EOY25884 pep chromosome:Theobroma_cacao_20110822:6:2537943:2538720:-1 gene:TCM_027252 transcript:EOY25884 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MEGIFGSGMQAQVHTLGMNSWREVAMSQGVDFGAIHWLGMVEKNRNAMQVELVSFDVSTEAFKLFPLPDFALREIWPMWIDVYKNSHCVVKPGEGAFYEIWVMEEYGVQESWTRLHAIQLSLSHPLWFLGMGVNGKFVLENLTGPTVYDPNNEEIKNVEFDHPEVSWFTIVTYIESMVSP >EOY26013 pep chromosome:Theobroma_cacao_20110822:6:3623705:3625004:-1 gene:TCM_027404 transcript:EOY26013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative MEPLKSEPSLSETSSIISVSNGPAASLRTSMDSQKEGKQPEEEDHEHQQDVNYDLVLDLSLSSKDDSNSNPELKPELNLIDCFKTDSSDDQDSLETPQGNETEPRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRGQRIGAASFAAFGHPNAHLSRYSSMASLPLHGSFNKSLGIQVHSMIHKPAFLPSAHIYGHNGWSRRPIDSKPAIGHLSMEKFHVGINAGSSASNAAARFETSTRKLGPATEGMGGYWWVDSVNHFKTKQDELKLDLSLKL >EOY28490 pep chromosome:Theobroma_cacao_20110822:6:25501247:25502641:-1 gene:TCM_030034 transcript:EOY28490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVISYSSHSSLTLALGVPLSNWWSWFVHFEDIWNVLYGSPLSLGFSVGNGHFTFHKENERFHTRNGAGLGYGPRKGTLLGQSWTLPPN >EOY28846 pep chromosome:Theobroma_cacao_20110822:6:26695641:26697558:1 gene:TCM_030331 transcript:EOY28846 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1 interacting protein 4, putative MISLSRVFSSSCPILEDVEMVEKLLQPSDFAVEDVMAEVVYLFVSDDKAESGERENLCLVFRQGKMDMSHVQLRSHVPKFGDWDNDNLPYTAYFENARKERAGIRMNPNDPEENPEAFMYTGGGLESNCDCQPVQVPPAAGSQKSIPADKNHNDGHSRQNAPNRNGSYDYQKSVRSHRSMASESGSEKSNSDHSLLRFSHRRANSGQKKGRVGGSSFSSSVSGQFTHRNGSYQSDNNRQHRRTASIPKFGEWDETDPTSGEGYTAIFNQVKAEKQSPTSKFPTVPPQQSNYSDCYHSKPRTPSFCSKVCCCLFSRGSD >EOY26450 pep chromosome:Theobroma_cacao_20110822:6:10960520:10966266:1 gene:TCM_028106 transcript:EOY26450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADRPRASTQRGRGRRGRVTRPVGLDTPVSRQEEGQSSGDVDRHPARGITIEDLAAGLQGVNRVVEMMATRMEDIQRVVEGRPTVQESPSSQGQADHQHHEEERGHLDISLPDFLKLKPPTFSGSDASKKPQVFLDKIYLWWKIKNKKSKS >EOY26421 pep chromosome:Theobroma_cacao_20110822:6:10961571:10965341:1 gene:TCM_028106 transcript:EOY26421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRQQDSRQSSQVIRSCDTCGRRHSGRCFLTTKTCYGCGQPGHIRRDCPMAHQSPDFARGSTQPASSALSVVVSSGREVSGSRGKGAGTSSQGRPSGSGHQSSIGRGQARVFALTQQEAQTSNAVVSGILSVCNMNARVLFDPGATHSFISPCFASRLGRGRVRREEQLVVSTPLKEIFVAEWEYESCVVRVKDKDTSVNLVVLDTLDFDVILGMNWLSPCHASVDCYHKLVRFDFPGEPSFSIQGDRSNAPTNLISVISARRLLRQGCIGYLAVLQDDLSYEEQPVAILDRQVKKLRSKDVASVKVLWRNHTSEEVTWEAEDEMRTKHPHLFDM >EOY27444 pep chromosome:Theobroma_cacao_20110822:6:21751522:21753903:1 gene:TCM_029288 transcript:EOY27444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVLDGIVSSPHRRSGSIRKQFQKDELGSWSTLVHRHRFLLTALGLLAFLCTIYLYFAVTLGATADTCSGLTGTQKATCKLQHARSTLSHGKLKFL >EOY27445 pep chromosome:Theobroma_cacao_20110822:6:21751733:21753793:1 gene:TCM_029288 transcript:EOY27445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVLDGIVSSPHRRSGSIRKQFQKDELGSWSTLVHRHRFLLTALGLLAFLCTIYLYFAVTLGATADTCSGLTGTQKATCKLQHARSTLSHGKLKFL >EOY26569 pep chromosome:Theobroma_cacao_20110822:6:14004501:14009337:-1 gene:TCM_028369 transcript:EOY26569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQNSSQIPSFDFHPWFGHQPATESSTTPTGGPPLLPRQLANTHVQPASKQPQPPFVHALPKGIQTTTQPPMSPRSFKKSFLMIAMG >EOY28982 pep chromosome:Theobroma_cacao_20110822:6:27028823:27030319:-1 gene:TCM_030431 transcript:EOY28982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRQVRKLNSLSINCVKLLVMILYAHGSTTGKTDPQGKTSWFIFSSFYEGKRALDLEYMVPPHHMLKETIVTLTVTSRLCSTFRVLGLRPEP >EOY28170 pep chromosome:Theobroma_cacao_20110822:6:24434972:24436064:1 gene:TCM_029810 transcript:EOY28170 gene_biotype:protein_coding transcript_biotype:protein_coding description:E6, putative MATSPKLIPILFLLAFFFIQIHAREFFSKIPRVNNNEKESTTVPNTREQEHETFIPENEKTSLPNREEETFGRQEQEPRFIPETRNGYGLYGHESGQFPPTTTTTTTTTTKETYEPYVTPVKYHADEPYNMIPEANNNNKNTYYYNKNTYETEKQNLGEARFTERGWSTKENQNNNYYYGNNGYNNGEKQGLSDTRFLENGKYFYDVKNENNYYANQFENSRGVASRNEYNENRYRNMGRYNQNQEEFEENEEEFEP >EOY25857 pep chromosome:Theobroma_cacao_20110822:6:2371585:2380273:-1 gene:TCM_027222 transcript:EOY25857 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMV resistance protein N MAVSMVQESSSSISRYTYHVFLSFRGTDTRKNFTDHLYMALVHTGIHTFRDDDEIERGENIRDEIERALHESKMSIIIFSKNYASSTWCLNELVKIMEHRKFSKHIVLPIFYDVNPSQVKKQTGSFAEAFARHEESFKYEMDMVQRWRTALREVADLGGMLLEDRHESQFIQDIIKQVQSKLHRTALYVPPYLVGIDAFVARINWWLRDGSNKVGIATICGIGGIGKTTIAKLVYNQNFQRFESYSFLADVRETTQERNGLVQLQRQLISDILKGKANKIYNSDDGITKIKETICHRRVLLVLDDVDDLEKVTEIIGVQIPFHPGSKIIVTSRHRCLLSDLFIRQMFDLEESSNYGDLCKVFEVKELAFNESLQLFNWYAFGQNNPIESYMDCARSIVQHCGGLPLALQVLGSSLSGKSINVWRSALEKLKAIPHSKIQKILRISYDSLQDDHDKNLFLDIACVFIGKDRDYTTKILDGCDYYTVIGIENLINRSLLVVSERNKLMMHQMIRDMGRNIVCQESFDLGKRSRLWHKDAFDALREKIGTKTIKCLTIDLQRLLEEKYGKTATNHSKNSFHMSNEVHIETDAFTKMQRLKLLQLDYVKLKGDYRDFPRSLIWLCWHGFPQEYLPRDLNISGLVVLEMRNSSLKHVWNDTKYFLPNLKILNLSHSHGLLKILNLSGLHNLERLMLKDCIKLIEVDQSIAEIKTLVVLNLKGCKSLRKLPKTIGSLESLEELILSGCSTFNDAPRDLQNMNSLKVLNLDGTAIYESNSWLSWLSLKRSKELGFFWASLPCSLVKLSLEGCRLSDDVMAVDLSYLPSLKSLNLSRNPIRSLPESIIHLTNLDELLLICCKELQWLPKLPMSGLHVITSQPLYRISSLPRLLNLRRCIVFGCEKLTEVEGVFKLEPVENFEVEKIKSLFNMDLIGSIKLKIYNYLTDTKMVATTQIFRDGDITSCFVSGNEVPILFQSRSKGSKISLSLPQNPGEKVCWLKLCIVYSLVSDEIFDHLPWVQITNETKKLTWFYFSSFIGIPETNSNTILWLIHWPVMDYQLENGDLVSCKLSTFGLNVREFGVTCVSETKVMCEDDPPQYSQENEDIWREIELKVNEEFLKLGSSGNIKVQIYNDLEEPKTIASPKVFYDCGIISMYDINGFPKGWYNHHAVGNKVSFVVARSPGQRIGYLNLGVILLDEDDKIFDFLSRIEIVNKTKDTKWIYYKNFIGIPKAKNKIFWFSFWRFMGELKEGDQVSCTVFSDLYVKEFVIDLVYEPDDDLQPECNSAYQHLQGNTMYSCWLFLLFVRFLFKSQRTLYRIQSLDKLE >EOY27443 pep chromosome:Theobroma_cacao_20110822:6:21746117:21750350:-1 gene:TCM_029287 transcript:EOY27443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serinc-domain containing serine and sphingolipid biosynthesis protein isoform 1 MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLIVSWILREVAAPLMEKLPWINHFHKTPNREWFETDAVLRVSLGNFLFFTILSFLMIGVKNQRDPRDGLHHGGWMMKVICWFILVILMFFVPNEIISFYETISKFGSGLFLLVQVVLLLDFVHGWNDKWVGYDEQFWYVALFVVSLVCYLGTLGFSGLLFHWFTPSGQDCGLNTFFIVMTLIVVLLFAIVALHPAVGGSILPASVISLYCMYLCYSGLASEPRDYECNGLHKHSKAISTGTLTFGLLTTVLSVVYSAVRAGSSTTLLSPPSSPRAGAGKSLLPLDKADEQEEKDKNKPVTYSYAFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIITGWATAGLYLWSLIAPILFPEREF >EOY27442 pep chromosome:Theobroma_cacao_20110822:6:21746523:21750350:-1 gene:TCM_029287 transcript:EOY27442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serinc-domain containing serine and sphingolipid biosynthesis protein isoform 1 MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLIVSWILREVAAPLMEKLPWINHFHKTPNREWFETDAVLRVSLGNFLFFTILSFLMIGVKNQRDPRDGLHHGGWMMKVICWFILVILMFFVPNEIISFYETISKFGSGLFLLVQVVLLLDFVHGWNDKWVGYDEQFWYVALFVVSLVCYLGTLGFSGLLFHWFTPSGQDCGLNTFFIVMTLIVVLLFAIVALHPAVGGSILPASVISLYCMYLCYSGLASEPRDYECNGLHKHSKAISTGTLTFGLLTTVLSVVYSAVRAGSSTTLLSPPSSPRAGAGKSLLPLDKADEQEEKDKNKPVTYSYAFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIITGWATAGLYLWSLIAPILFPEREF >EOY26187 pep chromosome:Theobroma_cacao_20110822:6:5319876:5323620:1 gene:TCM_027603 transcript:EOY26187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L20 MNKKEILKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRQHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDISRNAFPGNKNIVLPTRKANVAINV >EOY28412 pep chromosome:Theobroma_cacao_20110822:6:25273935:25277215:1 gene:TCM_029984 transcript:EOY28412 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein, putative MEDQKGSVCSEQSTGSVSSVLAVIQGQHRHSSHRNDLNFAQTRLKYPRFSPIFEYRSPPVDLISTLPDFLIQEILSFLPIEDAIKTSVLSRRWYPLWTQIPTLSFSHSSFCFKLAKFVEVVNRTLQRFSGHTIKNFSINFKFTESLASYVEEWILFAISHHVEKLCLVFDGGLVYAPFAESTPFWLPQFLYVNSYLKDLTLRQCVVSPDGNTSWPSLKVLSINYSRLNNEAIENILSGSPNLQNLKLHNCERIKRISSRSLEVLVVDGIYDPHEKEDCVTEISCPNLQSLSLLGFMYRRTYRLMHVSSLSKANLGFVMTIDKKDKYDYTKHRYILRELLEKLCHVEELTVGTWCLQVLSIWEIKGISSPLSKRSCLVLDTEICEWDLPGIVSLLQSSPYLKKLVLNLSPCDNSKFEFDQEFFNSYELDGVAFLSSANWIFKSFLQSLEDIEITGFQPSSSGSKLLVELMQFLLKDATELKKVVIYEQSGALYRSWKSSWPDRNMGNFKRNQIMMIISNSIPVLKYSSG >EOY27885 pep chromosome:Theobroma_cacao_20110822:6:23407159:23413033:-1 gene:TCM_029603 transcript:EOY27885 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein MENPRTRSGSYLSSSSSLDALTTTLNSSIQALGRGFDVTSDIRLLYCKGAPGSRLVQLDENHTNNLVLPGGVIVPNVSDDIQWSMGKDGIERKPVCSFHEMSGYFNEKAGISEHVPLGSFNAMFNFTGSWRVDAAATKSLAMVGYLIPLCMVKLVKPNLILCEEVRRAVPYSWDPASLASFIENYGTHIVTSATIGGRDVVYVRQHQSSPLSVTDIENYVKDIGDQRFLDSKGQSSAAPLKYKDKDVTVIFRRRGGDDLEQSHARWAETVQSAPDVINMTFTPIVSLLEGMPGIKHLARAIELYLEYKPPIEDLQYFLDFQIARVWAPEQSKIQRKEPVCSSLQFSLMGPKLYISPDQVTVGRKPVTGLRLSLEGNKQNRLAIHLQHLVSLPKILQPHWDAHMAIGAPKWQGPEEQDSRWFEPIKWKNFSHVSTAPIEHTDTCIGDLSGVHIVTGAQLGVWDFGSKNVLHLKLLFSKVPGCTIRRSVWDHSPSSLSGRADGSSSSVSNERTSDDKKEDSSSLVGKLAKIVDSTEMSKGPQDSPGHWLVTGAKLGVDKGKIVLRIKYSLLNY >EOY28477 pep chromosome:Theobroma_cacao_20110822:6:25436469:25438967:-1 gene:TCM_030022 transcript:EOY28477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide binding MPQRHSKNNNDLAFFTYDEKRKLGYGTQKERLGKDSIKPFDACCLCLKPFIDPMSCQKGHAFCKECILECLLAQKKDIQRRVAAHTAQLKQEKEEEEEKLMLQKARELDAFDQQNHGAVPQYNGRNYSRDKNGFHGANSVKVTSYEEEALRTMKAFWLPSATPEASVKVEAPSTSTICPEGKEKLKLKSLFPIYFTEDDSEQKKSNSLDKTYICPSCKVTLTNTLSLVALSSCGHVFCKKCADRFMAVDKVCLVCDKACKERNLVTLEKGGTGFAGHDEHLEATDFKHLGSGTGLGLVRPAAKT >EOY27311 pep chromosome:Theobroma_cacao_20110822:6:21154887:21157703:-1 gene:TCM_029183 transcript:EOY27311 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative isoform 1 MFFSMDAEVVVSLLSQKLQNLLHDEAIALTPKVKDQVQRTTHQLNLIRQLLKEADQKNRALTVEINSGKCTTRLLRALYSMDDAIDNFLVRKALQSRKPFTSFCNRVVFNKEMKQFISKTGDLIKDKQPLDIQDVTDNNIPGPSQHQRWARISDFCFDGESHVVGLEEQVKNLVALVVQGAEQGNQPAIVSMVGEGGSGKTAIARIVYNRVDIKRHFTSRAWVHVTKEFKVRDLLVDMITQLDEKIAKEPLLEDELKWRLPKLLGQGRYLIVVDDVDAPEFWEAIKEVFPPFSHGGVVIVTTRKAGLAVPAGSTLQVRPLNDEESWALFLKKLDITEDRLHNSQLMKFKGQILKLCGGLPLAIVLMGGLLSAKELTDSEWSRVIEHVNAIEGILALSYHELPSYLKPCFLYMGLFPKAIEIPIRRLIHLWVAEGFVTSLSDGDMVEEDLAEMYFEELVCRKMIEVVRWRLDGSPKTCRLPSLVHDVFSLKARDIGFLQIHNTSFSANTSQLPVRRLATYSNIPFSKLHESHLRSYVSFNTLKGGIPAGNSSMFLDKIMSKGNLGVFRVLDLEGVYKPELPKAMGTLLNLRYLGLRSTVINSLPVSIGVLQCLETLDVKHTNITTLPDSFWKIRGLRHLYLNGICLDSLDVLSAETLNKLQSLCGLSISTESLVAETLSKFKCLRKLQLTFYIPRSIKIDWTSQLNKLHSLRIRSMRKSGESAPIKLTSFKEQHNLVNLYLFGSLLRPFKSTIFPPNLKSLTLSKTVLGKDPMLELGKLPQLNILRLFSFSYIGEEMTCVSGAFPQLHVLKLWQLGNFSNWLVEEGALPSLRELEIRDCRSLKTLDALYQLTSLRELILTNMPPDFADYVRGINSTGSAVFIKENHWEPSPPML >EOY27312 pep chromosome:Theobroma_cacao_20110822:6:21154216:21164526:-1 gene:TCM_029183 transcript:EOY27312 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative isoform 1 MDDAIDNFLVRKALQSRKPFTSFCNRVVFNKEMKQFISKTGDLIKDKQPLDIQDVTDNNIPGPSQHQRWARISDFCFDGESHVVGLEEQVKNLVALVVQGAEQGNQPAIVSMVGEGGSGKTAIARIVYNRVDIKRHFTSRAWVHVTKEFKVRDLLVDMITQLDEKIAKEPLLEDELKWRLPKLLGQGRYLIVVDDVDAPEFWEAIKEVFPPFSHGGVVIVTTRKAGLAVPAGSTLQVRPLNDEESWALFLKKLDITEDRLHNSQLMKFKGQILKLCGGLPLAIVLMGGLLSAKELTDSEWSRVIEHVNAIEGILALSYHELPSYLKPCFLYMGLFPKAIEIPIRRLIHLWVAEGFVTSLSDGDMVEEDLAEMYFEELVCRKMIEVVRWRLDGSPKTCRLPSLVHDVFSLKARDIGFLQIHNTSFSANTSQLPVRRLATYSNIPFSKLHESHLRSYVSFNTLKGGIPAGNSSMFLDKIMSKGNLGVFRVLDLEGVYKPELPKAMGTLLNLRYLGLRSTVINSLPVSIGVLQCLETLDVKHTNITTLPDSFWKIRGLRHLYLNGICLDSLDVLSAETLNKLQSLCGLSISTESLVAETLSKFKCLRKLQLTFYIPRSIKIDWTSQLNKLHSLRIRSMRKSGESAPIKLTSFKEQHNLVNLYLFGSLLRPFKSTIFPPNLKSLTLSKTVLGKDPMLELGKLPQLNILRLFSFSYIGEEMTCVSGAFPQLHVLKLWQLGNFSNWLVEEGALPSLRELEIRDCRSLKTLDALYQLTSLRELILTNMPPDFADYVRGINSTGSAVFIKENHWEPSPPME >EOY26603 pep chromosome:Theobroma_cacao_20110822:6:14767947:14772121:1 gene:TCM_028445 transcript:EOY26603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF2921) [Source:Projected from Arabidopsis thaliana (AT1G52780) TAIR;Acc:AT1G52780] MKSVYLAVVVYIMNGMFIMGLTNYVPEAEFEFQRESAAEVEYNYDRIGEVKKHCKSVLSSSSEFKAEGNRIADIKEELNFGYGNWRQDIADAPIMPFDDRDIPKNLSQAPSNIVSFWITDVDHLHQTKKSVSVSGILMLGIALDTSFAERPYEGSPRFQIWPSHTQLAISFEGIYTETKQNGGERVMCLLGNAMLPSRESDSNNPWEWLKGSDLNYNQALLLQDDQILLVLHYPLTHTLTNRVIRGEMKSLNPKSNAKYFDQVHILAQMLKSTKYQFGSEKIVSKACDPYPYQDSLMDAGIEIYKGDKFCTILEQVTNSGAFTVVPNWKCNGTDDYCCKMGPFVSDKEIKATNGSFKDVILYMQDVRCKPTHGHQNASSARVAAVFRAVPASEDQYRVRWRSGLSNMTLAVEGMWNSSSGQLCMVGCLGIVDADGSSCNSRICLYIPLSFSIKQRSIIVGSISSIGKGNKVYFPLSFERLVRPSELWNYFRSSHPYYGYSKIQSAGAILEKNEPFSFGTLVKKSLLQFPKLEDTDAFLSSLSLLAEDLTLQISAVPDPFPNSHPPRVDIQMDISSLGPLFGRYWYSTNVTTTEEETPYHTKAESTEKQLLLNVSAQLTITGKDYSNFSVLFLEGLYDPHFGRMYLVGCRDVRASWKILLQSMDLESGLDCLIEVIVSYPPTTARWLVNPTARISIASQRTEDDPLYFGMIKLQTLPIIYRKQREDILSHRGVEGILRILTLSLAIACILSQLFYLKQNLDSAPFISLVMLGVQALGYSFPLITGAEALFKREASDSYEMQSYDLEKSQWMNMIDYTVKLLVLVMFLLTLRLCQKVWKSRIRLLTRTPLEPHRVPSDKRVIIATLTIHVIGYIVVLIIHTVNTSQRPLQTDRFIDSRGHSQTLREWEIELEEYIGLVQDFFLLPQVIGNFLWQIDCKPLRKLYYIGITVVRLLPHFYDYIRAPVPNPYFAEEFEFVNPTLDFYSNFGDVAIPIFAVFLAVAVYCQQRWNYEQLSLILSFRQCRLLPAGSRVYERLPSKPFEAELASDVNGNTSHKLEHDDEE >EOY28483 pep chromosome:Theobroma_cacao_20110822:6:25457786:25464109:1 gene:TCM_030027 transcript:EOY28483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine kinases,protein kinases,ATP binding,sugar binding,kinases,carbohydrate binding, putative MVVILLLVGRRKEETCSEVLSHNVLEKCQSTGVKFQELQLLDFEKLATATNKFHTANKLGKGGFGVVYKFQDGQEIAVKSLSRASGQGIEEFINEAVVISQLQHRNLIVTGRGNSSFLDDEHSLSLLGYARKLWSDGVILALIDPAISDPSSHKEISRCLHVGLLCVQYFAKDRPTMSTGTLMLNKQFSYGGSGTLPLALLLLSCFCLQFGSGVDSTITSSKSIKDPEAIISDRGVFRLGFFSLANSTNRYVGIWYNRIPVQTVIWVANKNKPLRDSSGILTIFEDGNLVLLNGKKQILWSSNVTNPISNASAQLLDSGNLVLLGSTSRTIMWESFNHRSNTLVQNAKLRTDIRPGEKLRITSWKSPSDPSDGNVSAGIDPLNIPEAFIWNNNRPYWRSGPWNGQVFIGVPQIYSVYLDGFSLIDDKQGSIYISFAFANLSLSYILLDSQGNLALRAWDDKQGDWVTFWSLPETECDVYGQCGAFGSCDSLKPSICSCLRGFEPKIIEEWNRGNWTSGCVRSKPLQCERVNNSSELGKEDGFLKLGMMKVPDFAQWSRAGSEYECEEFCLRNCSCIAYAYDAGIGCMSWSGKLIDIQKFPRGGKDLYIRVAHSELDKRTDTKTIIIIALIVGTSIIPICVFFSWKRMPKLRVAYRSLTTGFISARKEKGGEQLWLSRGKARPNFVSDNVHGDNINQANHQELPLFNFEELATATNNFHPTNKLGQGGFGPVYRGKLQNGKEIAVKRLSRASGQGLEELMNEVVVISKLQHRNLVRLLGCCVEEDEKMLVYEYMTNKSLDAFLFDPVQQEILDWRKRFNIIEGISRGLLYLHRDSRLRIIHRDLKASNILLDEELNPKISDFGMARIFGGNENQANTTKVVGTYGYMPPEYAMAGRFSEKSDVFSYGVLLLEIVSGRKNTSFYGNEDSISLLGYVWKLWNEDNILALLHTGLYDPCYQREIVKCIHAGLLCVQEFAEDRPTISTVISMLNSEIVDLPAPKQPAYTGRLIASGARSPQNNLNHCSINKVTLTTVEGR >EOY25716 pep chromosome:Theobroma_cacao_20110822:6:1387979:1392338:-1 gene:TCM_027097 transcript:EOY25716 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase MFSNAMASSIFNPCSIITASQAQPTSSSSRPSQLLSFLHSTNPAGPVVDLKLSAASSSWGCQDDSKQSLSRCLAANITSSALKTAESSRETSSLLSAEGVSSSGTRPTILVSEKLGEAGLQLLRSFGDVDCSYDLSPEQLCEKISSCDALIVRSGTKVTRQVLEAAKGRLKVVGRAGVGIDNVDLQAATEFGCLVVNAPTANTVAAAEHGIALIAAMARNVAQADASMKAGKWQRSKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVDLVSFDQAISTADFISLHMPLTSTTSKIFNDDTFGKMKKGVRIVNVARGGVIDEEALVRALDSGKVAQAALDVFTEEPPAKDSKLVQHENVVVTPHLGASTKEAQEGVAIEIAEAVVGALKGELSATAVNAPMVPQEVMTELAPYVVLAEKLGRLAVQLVAGGRGIKSVKVVYQSARDPDDLDTRLLRAMITKGIIEPISSSFINLVNADFTAKEKGLRISEERVVVDASPEFPVHSIQVLISNVDSKFASAVSENGEISIEGRVKYGVPHLTRVGSFEVDVSLEGNLILCRQIDQPGMIGQVGNILGQGNINVSFMSVGRTVRRNKAIMAIGVDEEPDKEALRKIGTVPAIEEFVFLKL >EOY27118 pep chromosome:Theobroma_cacao_20110822:6:20239107:20244429:1 gene:TCM_029044 transcript:EOY27118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic, putative isoform 2 MGSSQSGGFFKLAFNLVCTKAAELGNKNRRLNTSILIRNSKPSGSCNILIDAGKFFYHSALRWFPAFGIRTIDAVIITHSHADAIGGLDDLRDWTNNVQPHIPIYVANRDFEVMKKTHYYLVDTSVIVPGAAVSELQFNIIHEEPFIVHDLQVTPLPVWHGRGYRSLGFRFGNICYISDVSEIPEETYPLLENCEILILDALRPDRSSSTHFGLPRALEEVRKIKPKRTLLTGMMHLMDHEIVNDYLIKLMESEGLDVQLSYDGLRVPITL >EOY27117 pep chromosome:Theobroma_cacao_20110822:6:20239107:20244289:1 gene:TCM_029044 transcript:EOY27117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic, putative isoform 2 MVALSMVPFLGTVRYTPSLTSLTPYKRKISIQNTPISFPRNGFFPIRRFLQACLQSSFASEDAGARLPAEQSEIIFMGTGTSEGIPRVSCLTDPVKKCPVCTKAAELGNKNRRLNTSILIRNSKPSGSCNILIDAGKFFYHSALRWFPAFGIRTIDAVIITHSHADAIGGLDDLRDWTNNVQPHIPIYVANRDFEVMKKTHYYLVDTSVIVPGAAVSELQFNIIHEEPFIVHDLQVTPLPVWHGRGYRSLGFRFGNICYISDVSEIPEETYPLLENCEILILDALRPDRSSSTHFGLPRALEEVRKIKPKRTLLTGMMHLMDHEIVNDYLIKLMESEGLDVQLSYDGLRVPITL >EOY27119 pep chromosome:Theobroma_cacao_20110822:6:20239161:20243345:1 gene:TCM_029044 transcript:EOY27119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic, putative isoform 2 MVALSMVPFLGTVRYTPSLTSLTPYKRKISIQNTPISFPRNGFFPIRRFLQACLQSSFASEDAGARLPAEQSEIIFMGTGTSEGIPRVSCLTDPVKKCPVCTKAAELGNKNRRLNTSILIRNSKPSGSCNILIDAGKFFYHSALRWFPAFGIRTIDAVIITHSHADAIGGLDDLRDWTNNVQPHIPIYVANRDFEVMKKTHYYLVDTSVIVPGAAVSELQFNIIHEEPFIVHDLQVTPLPVWHGRGYRSLGFRFGNICYIR >EOY27495 pep chromosome:Theobroma_cacao_20110822:6:22074874:22083446:1 gene:TCM_029331 transcript:EOY27495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative MASSLQELLTEEGFERGKSLKNPREVRLRNKSRAPDESVALPIYICHDRKSLEKSKDEAEKTVIRNGSSVFSSRRLSSSDRSKSKSLIKDGPSNRDEPPIDDVAIRAVISILGGYIGRYIKDESFREMIKEKCNSCLVRRKNGSDNGIFVNMELGIESIDKLVEDRGNKKELRMKSLRNSIRLLSIVASLNSKKSRNGSTCGVPNSHLSACAQLYLSIVYKLEKTDRISARHLLQVFCDSAFLARTHLLPDLWEHFFLPHLLHLKVWYHKELEFLSNLEYGEKEKRMKALSELYNDQIDMGTVKFAMYYKEWLKIGAKAPAVPTVPLPTSPSYRSSDSYASHSSINKNLYRAVFGATTERQSMELDHRIRASMDICRLEEEENECTDDEYYNGCNYVHNKTKTRRRSSTRTPETESWTETRKSDHFRLFTCQTGPTECLVNGKSVVRNNSMKKEEKVHLPMSDLSKAIATICSSDSLSDCEIAIRVMTKAWLESHADPAVETALAKAPVIEGILEVLFASSDDEILELAISILAEFVARNEVNRQMMLNSDPQLEIFLRLLRNSSLFLKAAVLLYLLKPKAKQMISTEWVPLVLRVLELGEQLQTLFTVRCSPQVAAFYFLDQLLTGFNEDRNLENATQVVSLGGLSLLIRNFEIGGVLERNNAALIISCCIRADGSCRNYLADKLNKASLIELIVANRNDSNGTVVALLAELLCLNRRTQITKFLNDLLNGWRGLNTTHILLACLQRALPEERPLVAAILLQLDLLGDPLRCSVYREEAVEAIIEALDCEKCNEKIQEQSARALMMLGGRFSCMGEATTENWLLQQAGFHEKLEDSFHSKEIVDDILHEEEEAIVHWQRKAAIALLNSGNKRFLASLSNSMVKGIPSLARASLLTVAWMSSFLHSVRDKDFQSMACSILVPQLLESSNYNQALEERVLASFSLQRLIKSSEYTSIISSLDETLVNPLSNP >EOY27317 pep chromosome:Theobroma_cacao_20110822:6:21185520:21197277:1 gene:TCM_029188 transcript:EOY27317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein Nup107 isoform 1 MDVEMETSPSYFDPQDDFAREKFRRYGCRKRNSSSSISPRQESGVSKFSEAKLLYEGPIIHSPTNAALLLENIKQEAESFDTDYFEGTPAMTRSASKRRPLHDGHRIAETDDGVDSIRRLGSHALKACKIEEDLSADNGDTTFALFASLLDSALQGLIPIPDLILQFERSCRNVSESIRYGSNIRHRVVEDKLMRQKAQLLLDEAATWSLLWYLYGKVTDEPPEELLLSPSTSHIEAGRFVVNDHTAQLCLRIVQWLEGLASKALDLESKVRGSHVGTYLPNSGIWHHTQRFLKKGASAANTVHHLDFDAPTREHANQLPDDKKQDESLLEDVWTLLRAGRLEEACDLCRSAGQPWRSATICPFGGLDLFPSIEALLKNGKNRTLQAIELEGGIGHQWRLWKWASYCASERISEQNGGKYEIAVYAAQCSNLKHMLPICADWETACWAMAKSWLEIQVDLELARSQSGRMEQLKSYGDSIDGSPEGIDSTSQPGSGPENWPLQVLNQQPRDLSALLRKLHSGEMVHEAVTRGCKEQQRQIEMNLMLGNIPHLLELIWSWIAPSEDDQSISRPRDPQMIRFGAHLVLVLRYLLADEMKDPFKEKLMTVGDRILHMYSMFLFSKHHEELVGIYASQLAHHRCIDLFVHMMELRLNSSVHVKYKIFLSAMEYLPFSQGDDLKGSFEEIIERILSRSRETKVGKYDESSDVAEQHRLQSLQKALVVQWLCFTPPSTIANVKDVSAKLLLQALIHSNILFREFALISMWRVPAMPIGAQELLSLLAEPLKQLSETPDTFQDYVSENLKEFQDWSEYYSCDATYRNWLKIELANADVSPVELSVEEKQRAIEAAKETLNLSLLLLLRKENPWLISVEEHVNDSTEPLFLELHATAMLCLPSGESMCPDATVCAALMSALYSSVTEEVVVERQLMVNVAISSRDSYSI >EOY27316 pep chromosome:Theobroma_cacao_20110822:6:21185946:21199886:1 gene:TCM_029188 transcript:EOY27316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein Nup107 isoform 1 MTRSASKRRPLHDGHRIAETDDGVDSIRRLGSHALKACKIEEDLSADNGDTTFALFASLLDSALQGLIPIPDLILQFERSCRNVSESIRYGSNIRHRVVEDKLMRQKAQLLLDEAATWSLLWYLYGKVTDEPPEELLLSPSTSHIEAGRFVVNDHTAQLCLRIVQWLEGLASKALDLESKVRGSHVGTYLPNSGIWHHTQRFLKKGASAANTVHHLDFDAPTREHANQLPDDKKQDESLLEDVWTLLRAGRLEEACDLCRSAGQPWRSATICPFGGLDLFPSIEALLKNGKNRTLQAIELEGGIGHQWRLWKWASYCASERISEQNGGKYEIAVYAAQCSNLKHMLPICADWETACWAMAKSWLEIQVDLELARSQSGRMEQLKSYGDSIDGSPEGIDSTSQPGSGPENWPLQVLNQQPRDLSALLRKLHSGEMVHEAVTRGCKEQQRQIEMNLMLGNIPHLLELIWSWIAPSEDDQSISRPRDPQMIRFGAHLVLVLRYLLADEMKDPFKEKLMTVGDRILHMYSMFLFSKHHEELVGIYASQLAHHRCIDLFVHMMELRLNSSVHVKYKIFLSAMEYLPFSQGDDLKGSFEEIIERILSRSRETKVGKYDESSDVAEQHRLQSLQKALVVQWLCFTPPSTIANVKDVSAKLLLQALIHSNILFREFALISMWRVPAMPIGAQELLSLLAEPLKQLSETPDTFQDYVSENLKEFQDWSEYYSCDATYRNWLKIELANADVSPVELSVEEKQRAIEAAKETLNLSLLLLLRKENPWLISVEEHVNDSTEPLFLELHATAMLCLPSGESMCPDATVCAALMSALYSSVTEEVVVERQLMVNVAISSRDSYSIEVVLHCLAVEGDGIGSHILNDGGLLGAVMAAGFKGELLRFQAGVTMEISRLDAWFSSKDGSLEGPATYIVRGLCRRCCIPEVILRCMQVSVSLMESGNPPESHDWLIELVSSLETGFIHLFSQQQLQEFLLFEREYSICKMELQEELSS >EOY25883 pep chromosome:Theobroma_cacao_20110822:6:2535075:2537688:1 gene:TCM_027251 transcript:EOY25883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MGIAQKVFDKIPERNTALWNSLIKGYAQNGFYEKVIFLFSQMKSMGFSLPNGFTFPIVLKCCFKCNALREGAEVHCVVIKSGFRGNPFVGTTLIEMYSGWRMTAAAYIVFSEMVDRNVVAWTSMINGFILSHDLVKARFLFELAPERDIVLWNTMVSGYIEIGDMVEARNLFDQMLKKDVMAWNTILHGYAINRDVAACERFFEEMPERNVFSWNGLIGGYARNECFVEVLDTFKRMLIDGNVLPNDATLVTVLSACAKLGALDLGKWVHVYAENNGYKGNVYVGNALIDLYAKCGMIKNAVDMFKSMDKKDLISWNTIIGGLAMHGHGADALDLFYQMKNGGMNPDGITFIGVLCACTHMGLVEDGISYFLSMVDDYSIQPQIEHYGCMVDLLARAGLLPQALDFVKKMPMEADAVIWAALLGACRVYKNVEFAELALEHLITLEPKNPVNFVMLANIYGDLGRWKDVARLKVAMRDTGRKKLPGCSLIEVNDGVVEFYSLDERHPETKEIYGALRGLTKLLRSCGYIPDLQELGQGG >EOY26822 pep chromosome:Theobroma_cacao_20110822:6:18059570:18061419:1 gene:TCM_028774 transcript:EOY26822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein family MENKDGEVKKAQVVEARARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIRSGKSDKEIYKKLEEDYGETVLYAPKFDLQTAALWLSPLLVAGAAAGIWAYNKHRQNTNVHIMALNLVRGVPLTPKEKETMLDLLTPPPPQGVTPSSLWSRWRGQ >EOY27895 pep chromosome:Theobroma_cacao_20110822:6:23492669:23507591:-1 gene:TCM_029616 transcript:EOY27895 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 1 MVTKKLFVFLIIAVGCFRLLRFAESKVPQEEVDALQEITATMGSTYWKFNGDSCEVEMVGVTQEPPKNSEHEISCERETNSNVCHIVRIVLKRHNLPGMLPPQLVKLPHLREIDFAYNYLNGTLPSEWASMKLTSISVLVNRLSGEIPKHLGNITTLTNLSLEANQFSGAIPPELGNLINLKTLMLSSNQLTGNLPLTFALLRNLTDFRINDNNFNGTIPSFIQKWEQLSRLEMHASGLEGPIPTSISLLSNLVELRISDINGPNQGFPMVRNMTGIVRLVLRNCNIFGEIPAYVWAMKNLEMLDVSFNKLVGKIPTSIRADRLRFVFLSGNMLSGDVPDSILKQGTSIDLSYNNFTWQGPEKPVCHENMNLNLNLFRSSSSRNNLRGALPCRKDFTCPQYSNCLHVNCGGKDTRINTNLLYEGDGDVEGGAAKYYIRADGNWGFSSTGDFMDDNDFQNTRYTVSKLSLNISELYTTARRAPISLTYFHYCLENGNYTITLDFAEIQFTTDETYNSLGRRMFDIYVQEKLLWKDFNIESVARSAQKPLVKQVPNVSVTNNFLEIRFYWAGKGTTRIPIRGVYGPLVSAISVVSDFKQCSNGRNKGTAYIIVGVVISCLVFFILGILWWKRSLLGKYWRKEDTKGDMSSGTFTLKQIKVATDDFNSANKIGEGGFGPVYKGQLPDGTKIAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGFCVEGDQLLLVYEYMENNSLARALFGPEHNQLELDWATRLKICIGIARGLAFLHEESRLKIVHRDIKATNVLLDSDLNPKISDFGLARLDEEEKTHITTRIAGTIGYMAPEYALWGHLTHKADVYSYGVVVMEIVTGKNNNNFMPSEKFVCLLDWACHLQQTGSLIGLLDERLRSEVKKEEAELVVKVALLCTNASASLRPTMSEAVSMLEGRMTVPDLIPEPGNYTEDLRFKAMRDLRQQKEDQSSSGSQTQNSTTVHTIYSSSTSHSSNEINPVSRFGT >EOY27896 pep chromosome:Theobroma_cacao_20110822:6:23494673:23501671:-1 gene:TCM_029616 transcript:EOY27896 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 1 MLSSNQLTGNLPLTFALLRNLTDFRINDNNFNGTIPSFIQKWEQLSRLEMHASGLEGPIPTSISLLSNLVELRISDINGPNQGFPMVRNMTGIVRLVLRNCNIFGEIPAYVWAMKNLEMLDVSFNKLVGKIPTSIRADRLRFVFLSGNMLSGDVPDSILKQGTSIDLSYNNFTWQGPEKPVCHENMNLNLNLFRSSSSRNNLRGALPCRKDFTCPQYSNCLHVNCGGKDTRINTNLLYEGDGDVEGGAAKYYIRADGNWGFSSTGDFMDDNDFQNTRYTVSKLSLNISELYTTARRAPISLTYFHYCLENGNYTITLDFAEIQFTTDETYNSLGRRMFDIYVQEKLLWKDFNIESVARSAQKPLVKQVPNVSVTNNFLEIRFYWAGKGTTRIPIRGVYGPLVSAISVVSADFKQCSNGRNKGTAYIIVGVVISCLVFFILGILWWKRSLLGKYWRKEDTKGDMSSGTFTLKQIKVATDDFNSANKIGEGGFGPVYKGQLPDGTKIAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGFCVEGDQLLLVYEYMENNSLARALFGPEHNQLELDWATRLKICIGIARGLAFLHEESRLKIVHRDIKATNVLLDSDLNPKISDFGLARLDEEEKTHITTRIAGTIGYMAPEYALWGHLTHKADVYSYGVVVMEIVTGKNNNNFMPSEKFVCLLDWGMPSPTNWKLDRASR >EOY27946 pep chromosome:Theobroma_cacao_20110822:6:23727755:23728795:1 gene:TCM_029658 transcript:EOY27946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESGEIIKLFDSCWFEMEIFKKHLSPSPSTSFEPNPDRQDEENSSKPEFKRTPTLHTRSMSDQLSLSTSFLGNGSFSPDSVLHAPKLHKIISGKEITEEELQEADGNIQEAPNNKAVTSTRITRRKKGISKSLSDLEFEELKGFMDLGFVFSEEDNKDSRLVEIIPGLQRLGKKEGEEESKEADKAEVSRPYLSEAWEVSGRRRKENPLMNWRVPALGNEIDMKDSLRLWAHTVASTVR >EOY28761 pep chromosome:Theobroma_cacao_20110822:6:26469186:26470298:-1 gene:TCM_030273 transcript:EOY28761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific Stig1 family protein, putative MHAVELDHPTNDSIDENEPMQLVYVFYMSSIQKFFSINTFRSLHSCSTTKSYRSQSKQTMKLVKVFLMLALLMASAISLSAAAPSEEEPFVDNDDDASEVADDLLPSADGQEQPTSLRGASRFLAQKPRAVMTCNKYPRVCRVAGSPGPDCCKKKCVNVKTDRLNCGMCGKKCKYSEICCKGKCVNPMSHKRHCGGCNNQCSKGSKCLYGMCSYA >EOY28858 pep chromosome:Theobroma_cacao_20110822:6:26722544:26723578:-1 gene:TCM_030338 transcript:EOY28858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKLYRRGTVHPSPPITTDHLSFLPATILTLAAALSPDDREVLAYLISCSNNDFGNFSSHRKNTHKNPTKRSISSSSDHDHPPLFTCDCFRCYMSYWVRWDSSPNRQLIHEIIDAFEDGLAQSKKAKSKKDRKKKGGGADGSGGLKRPELSLRKDDSSELKSVEESTSSSSIGSSGEVCADDGEEGTEKGSVRSFVNFIGERIWNVWGQ >EOY27382 pep chromosome:Theobroma_cacao_20110822:6:21490973:21492482:-1 gene:TCM_029242 transcript:EOY27382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLLINILVSSVPHKIALKNVYKTSRGLRQKIQTFFQMAKIHPQVPHASLVPVPFTSKQETFTIWMKSLILSTKGCTVFDSNGQIVYRVDNYNCKCSDEVYLMDLTGKVLFTIRRKKFKLVRFWEGYRSSDGTVNDEDKRPAFRVRKSFGILGGDSLCDAIVWLDKDQQCHYYRIESCAGKSSTFKIVDKFGRLIAEVKRKQSKCGISLGEDVLAMVVEPHIDHSLIMGLVVVYSLVNCKM >EOY25753 pep chromosome:Theobroma_cacao_20110822:6:1594174:1598576:1 gene:TCM_027122 transcript:EOY25753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSYQDIEAVVTNSKVVPGRDITPIKSMLASLPNLYMSFFQMPIGGNITKPITLEGDEHRTLREGIGYIIGEGKNVHFWTEEWIKWRILKKDFLRIFALATIKEGKVKEFGVWVDGLWQWRIELRRMLFGWEKEQRENLLKVIMENPVISGYDDKVIWKGSTSGEYLVSSFCRTMASKEKTSDDIWKKVIERRFSSLYALPRKEETVDHLFFECKVEKSGLDKGVDEVWDGKQCLDLVMARVTWWTKARWPEECHQTVEQSRNFDLDKAKIRNENKKEKMKWQPPKKGSLKFNVDGATRGMSGQATIGRVLRDEGGAIKVMFSKFIRIADANTAEVLAIREAFKIFGASKWVRSHVLIVESNSSNAVSGFIRHNLFPLEFVLVCLSWMIPSSHPRQRLGHQARWFACLSLTVASDGVPWFYGGFDSR >EOY25886 pep chromosome:Theobroma_cacao_20110822:6:2564541:2566794:-1 gene:TCM_027257 transcript:EOY25886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uv-b-insensitive 4, putative MHVHLETPFPLQYSPFFNWKTLPNSPLPQATKLSLSPFVLCRETLRHRQTLSRKPHFFQKLDPYAMAEPRDRITRAVDMAQVFARSRSGPLGILSDESRELLGSPVQRAVTRRPMGVAVNTSDGGLRRGSSFGAPRSGIRSSRNLYPGKENTPVSVTALGRGRGSVLPSWYARTPLRDITAVVRAIQRRRARVGEGEGQILESPVLRDESVLNSNLSSATQLKHNFSAPATTARMKPCPLSVHNVSKILLNVNNQNAEESEILTPQKKLLNSIDTVEKAVLEELQKMKRTPTAKKAERQKKVRTLMSMR >EOY26775 pep chromosome:Theobroma_cacao_20110822:6:17772800:17778104:-1 gene:TCM_028733 transcript:EOY26775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1, putative MASRAKNLVLFSLSCFLPWLCTTTYSQTATIGQGQQLKHYQQLVSNSGMFKLGFKSVHHNNSYLGIWYYGDDDNLLWVANRDTPISGTSGILEIDDHGNLIIVNEPADPFVLYSVEEPSNTNATLEDSGNFVLHELSSDGSIKQVLWQSFDHPTDTLLPGMKLGINSKTGLNWSLTSWRSDMSPASGSFTLGLDPRDTSQLVIWWRGETYWKSGSFLQGSSLVALVNGSYNFGFISNENETYFNYTVKKAVTIFPRLKLNPEGELVSSRADSVALEVSCTKNLSVGCLKQNIPACRSLGNKVFQHHTGFMSNTGFKFSQSDNLSRIDCQAECLHDCSCVAYASKNDDGTGCEIWSTGISFTESITRDDRSDVRRDIFILEPRENKWWIWLIIVLGGLMVVPPLCSICYVIWKWSKRRGDGKMNQRMLLNEIGGGAMPSTSNENGLSRKKDGHDNQLDVFSFESIAASTNYFSVGNKLGEGGFGPVYKGKLLDGREIAVKRLSSHSGQGLLEFKNEAILIAKLQHTNLVRLLGFCIQGEEKILIYEYMPNKSLDFFIFDSDRKYRLNWKKRFNIIEGITQGLLYLHKYSRLKVIHRDLKAGNILLDDEMNPKISDFGMARIFGLNESEANTKRVVGTYGYMSPEYAFRGIVSIKTDVFSFGVLLLEIVSGKKNNCCYHSDRPLNLIGYAWQLWNEGRGLVLIDPILDESCNRNEALRCIHVGLLCVQDRAIDRPTMPDVVSMLSNETVQLPAPKQPAFFIYAAEEEPDIADVKSNKCSINYVSVSVMEAR >EOY27097 pep chromosome:Theobroma_cacao_20110822:6:20137256:20144820:1 gene:TCM_029031 transcript:EOY27097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta galactosidase 1 MDSNSKLPVMWNALLVLLFASWVCSVSASVSYDRKAITINGQRRILISGSIHYPRSSPEMWPDLVQKAKEGGLDVIQTYVFWNGHEPAPGKYYFQGNYDLVKFIKLVQQAGLYVHLRIGPYVCAEWNFGGFPVWLKYIPGINFRTNNGPFKAQMQRFTEKIVDMMKAERLFESQGGPIILSQIENEYGPMEYELGAPGKAYTDWAAKMAVGLGTGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKAYKPKIWTEAWTGWYTEFGGAVPYRPAEDLAFSVARFIQKGGAFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKLCEPALVNGDPTVMRLGNYQEAHVFKYQSGGCAAFLANYNPRSFAKVAFGNMHYNLPPWSISILPDCKNTVYNTARVGAQIARKKMVPVPMHGAFSWQAYSEETASDVDSSFTMVGLLEQINTTKDATDYLWYTTDIKIDPSEGFLKNGNSPVLTILSAGHALHVFVNGQLSGSAYGSLEFPKLTFSQGVNLRAGVNKISLLSIAVGLPNVGPHFETWNAGILGPVTLNGLNEGRRDLSWQKWSYKIGLEGEALNLHSLSGSSSVEWAQGSFVARRQPLMWYKTTFNAPAGNAPLALDMHSMGKGQIWINGQSIGRHWPAYKASGNCGDCNYAGTYDEKKCRTNCGEASQGWYHIPRSWLNPTGNLLVVFEEWGGDPNAISLVRRETDSVCADIYEWQPTLMNYQMQASGKVNKPLRPKVHLECDAGQKISAVKFASFGTPEGACGSYREGSCHAHHSYDAFNRLCVGQNFCSVTVAPEMFGGDPCPSVMKKLSVEVICS >EOY26582 pep chromosome:Theobroma_cacao_20110822:6:14569616:14571645:-1 gene:TCM_028418 transcript:EOY26582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydroquinate dehydratase / shikimate dehydrogenas, putative MTLKKREPMLEERSNTAPGQPTVKELLDLYNMRHIGPDTKVHGVIGNPIGHSNSPFLYNAAFRSTSFNGIYLPLLVDNVSNFITTYSSPDFAGYSYSIPHKEGGPKCCDEVDPHPVVQHLDLVNTTSVGMKPRIDETPVSKEALKHYSLVFDAVYTPKLTRLLREAQESGATIVYGTQMFINQAFVQFEMFTGLPAPKQLIRDVLARNT >EOY27504 pep chromosome:Theobroma_cacao_20110822:6:22168935:22170472:1 gene:TCM_029346 transcript:EOY27504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYLDMPSISGASKRQIFSYVKDRVMKRVFNWENKLLSVAGREKMIKSVAQAVPTYAMSCFKLQDSICKEIDSGIAHFWWGGNDRDQKIHWKSWRSTCASKHYGGMGFRDTRSYNLAMLAKQEWRLQLKEPTLAYKVLKARYFPKGEFMELMFVTVEDWFREIQNRVTKDELEDVVVFKTDGAQQLGVGFIVRGPTGEMRLAGVKRLRSGLCADEAELLALTWSVQCCIEENIELDEVEIDNQTVVGWVNHKKYSGALGNIVEDCVMALEEISCGPLEYCPRECNASAHIIAQVAKGLDAEQLFWKDTMEMPDQVKYVIWRDTNMPS >EOY25553 pep chromosome:Theobroma_cacao_20110822:6:430488:435901:1 gene:TCM_026943 transcript:EOY25553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-1-phosphate guanylyltransferase, putative isoform 1 MVTMKWLEDNSFPVKNGKCEQLIHSHSFLQDTNFPMYCFGSQSIEEDNSFEGLSCIAEEEQSILDAVLLSQQWEDRMRKGCFRYDVTASEIKAIAGKMKFLSQLNEGQITGHLSKPEGNTLREWDPFAFDCMRQPEELLFCVSSSKKAKSELIPSASVPDSAILVIINVTPVEYGHVFLVPCTTNRLYQFLDPRSVELVTRVAAEINNCSFRVFYDCCRPNRSNVYFQACYFPDPLPVEFRPVDTVYSGGRRGIHICSVIDYPIKTLSFQTTHNLKIMAAAICEICSHLEEKNVQYNLMITDSGKKILLFLQRSFAASNAISAWECGGYFLFRCRYEFDQVTEDAMLKRLSSFSLDDDNFEAVKQLCCSIASKFDS >EOY25551 pep chromosome:Theobroma_cacao_20110822:6:430366:435901:1 gene:TCM_026943 transcript:EOY25551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-1-phosphate guanylyltransferase, putative isoform 1 MVTMKWLEDNSFPVKNGKCEQLIHSHSFLQEIFTDTNFPMYCFGSQSIEEDNSFEGLSCIAEEEQSILDAVLLSQWEDRMRKGCFRYDVTASEIKAIAGKMKFLSQLNEGQITGHLSKPEGNTLREWDPFAFDCMRQPEELLFCVSSSKKAKSELIPSASVPDSAILVIINVTPVEYGHVFLVPCTTNRLYQFLDPRSVELVTRVAAEINNCSFRVFYDCCRPNRSNVYFQACYFPDPLPVEFRPVDTVYSGGRRGIHICSVIDYPIKTLSFQTTHNLKIMAAAICEICSHLEEKNVQYNLMITDSGKKILLFLQRSFAASNAISAWECGGYFLFRCRYEFDQVTEDAMLKRLSSFSLDDDNFEAVKQLCCSIASKFDS >EOY25552 pep chromosome:Theobroma_cacao_20110822:6:430467:435866:1 gene:TCM_026943 transcript:EOY25552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-1-phosphate guanylyltransferase, putative isoform 1 MVTMKWLEDNSFPVKNGKCEQLIHSHSFLQDTNFPMYCFGSQSIEEDNSFEGLSCIAEEEQSILDAVLLSQWEDRMRKGCFRYDVTASEIKAIAGKMKFLSQLNEGQITGHLSKPEGNTLREWDPFAFDCMRQPEELLFCVSSSKKAKSELIPSASVPDSAILVIINVTPVEYGHVFLVPCTTNRLYQFLDPRSVELVTRVAAEINNCSFRVFYDCCRPNRSNVYFQACYFPDPLPVEFRPVDTVYSGGRRGIHICSVIDYPIKTLSFQTTHNLKIMAAAICEICSHLEEKNVQYNLMITDSGKKILLFLQRSFAASNAISAWECGGYFLFRCRYEFDQVTEDAMLKRLSSFSLDDDNFEAVKQLCCSIASKFDS >EOY25550 pep chromosome:Theobroma_cacao_20110822:6:430454:435901:1 gene:TCM_026943 transcript:EOY25550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-1-phosphate guanylyltransferase, putative isoform 1 MVTMKWLEDNSFPVKNGKCEQLIHSHSFLQDTNFPMYCFGSQSIEEDNSFEGLSCIAEEEQSILDAVLLSQWEDRMRKGCFRYDVTASEIKAIAGKMKFLSQLNEGQITGHLSKPEGNTLREWDPFAFDCMRQPEELLFCVSSSKKAKSELIPSASVPDSAILVIINVTPVEYGHVFLVPCTTNRLYQFLDPRSVELVTRVAAEINNCSFRVFYDCCRPNRSNVYFQACYFPDPLPVEFRPVDTVYSGGRRGIHICSVIDYPIKTLSFQTTHNLKIMAAAICEICSHLEEKNVQYNLMITDSGKKILLFLQRSFAASNAISAWECGGYFLFRCRYEFDQVTEDAMLKRLSSFSLDDDNFEAVKQLCCSIASKFDS >EOY27787 pep chromosome:Theobroma_cacao_20110822:6:23115925:23128951:-1 gene:TCM_029543 transcript:EOY27787 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 28 MSDHEFRDFYYQAAFHNDQQGVGETGMYRVSSSLQDPSYMSFTDCLHSSMDYGSLEKAFGLSPSSSEVFSSVEGNQTKQVGAGDLGGNTSEVMTTPNSSVSSSSSEAGCEEDSDKSKKDRQPKGSEDGGESSKKGNKAKKKGEKKQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCTVKKRVERSFQDPSVVITTYEGQHNHPLPTTLRGSAAGLFPPSMLTPSPLAGPSFPHELFMQMPHHMNNQAGSAGSMFAESFSPFQQYHHQVPDYGLLQDIVPSRLFTDFVQRVALKTNFKEIHVRILTPKTESKIATRKPNPTTAPRQQQPWRERKNKGRAKTTSYRTLFSILQASC >EOY25587 pep chromosome:Theobroma_cacao_20110822:6:543751:548212:-1 gene:TCM_026970 transcript:EOY25587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 7 MEFFKSFFAAIIISFFLFHANATNVTHDGRSLIIDGVHRVLISGSIHYPRSTAQMWPDLIRKAKEGGLDTIETYVFWNAHEPIRRQYDFSGNLDLIRFIKTIQDEGLYAVLRIGPYVCAEWNYGGFPVWLHNLPGVSFRTKNDVFMNEMQNFTTLIVDMIKNENLFASQGGPIILAQIENEFGNVMGPYGAGGKEYIQWCSNMAESLGVGVPWIMCQQQDAPKPMINTCNGFYCDEFKPNNPSSPKMWTENWTGWFKSWGGADPYRTAEDLAYSVARFYQKGGTFQNYYMYHGGTNFGRTSGGPYITTTYDYNAPLDEYGNPNQPKWGHLKQLHDVLHSMEYTLTHGDVTNTDLGNSVSTTVYSTKEKSSCFLSNAHNQTDAVVKFGGIDYYVPAWSVSILPDCKDEAYNTAKVYAQTSVLVKKPNKAEDEPNSLEWVWRPENIERTAVQGKGDHHANRIIDQKAMANDASDYLWYMTRVELPKDDPLVTGKATLRVTDSGHVLHAYVNGEYIGSQWAQYGSQKYVFEKEVKLNPGKNLISLLSATVGLQNYGPMFDLNVTGVLSPVELVAHKGNEKVVKDLSSHKWSYKVGLDGVANKLYETDCPSKLKWSADSIPVDRNMTWYKTTFKAPLGNAPVVVDLLGLGKGHAWVNGHSLGRYWPSYIADEHACQTVACDYRGAYSDKKCVSKCGEPTQRWYHVPRSFLKDGENTLVLFEEFGGNPSGVQFQTVEIGTACVNAHEGKKVELSCHNRPISRINFASFGDPQGVCGSFKKTECESTEDAVSILEKECVGKESCSFEISEDKFGKAYCAIKRLAVEAVC >EOY27706 pep chromosome:Theobroma_cacao_20110822:6:22883946:22887614:1 gene:TCM_029488 transcript:EOY27706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT5G46220) TAIR;Acc:AT5G46220] MGKVTATTTPLFFQSKLLCISLLYLFTTLLLAIYHSLSSTKCLFRSSPFDPIQTPFFSYPHSYGEHKYAIPTDRSFCSSPVFFSDYGVVVDEIKDLCKNSSAFWPVLSYVQGKGDSFGGNLSTQKRITYFNHSNNGVKVPCGFLKKFPVSASDRIAMENCNGVVVVSAIFNDHDKIRQPVGLGSKTLESVCFFMLIDDITLKGLYNHKLIPQNSPDYKVGVWRIVKVSSKKLYENPAMNGVIPKYLVHRLFPNSKFSIWIDAKLQLMVDPLLLIHSLVVSTNADMAISKHPYYIHTMEEAMATARWKKWSDVDALTRQMETYCENGLQPWTPNKLPYTTDVPDSALILRKHGLGSNLFSCLLFNELEAFNPRDQLAFAYVRDQMNPKLKLNMFEVEVFEHIVVEYRHNLKKIGDESAGSGTRPKAKRTKRTSHDFDLNGSSCQKYLLEMWGESHS >EOY26141 pep chromosome:Theobroma_cacao_20110822:6:4920746:4922933:-1 gene:TCM_027554 transcript:EOY26141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEFREYDNLKSSFVVARENWAFNNIIVHQINEPESQKHELWFAIRKTKAWFSKQEFYLVTGLKFDPLLDIFL >EOY25650 pep chromosome:Theobroma_cacao_20110822:6:955353:956861:-1 gene:TCM_027032 transcript:EOY25650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MALSYCSNCLKPEISSFQQAEKELAGDYHLDSVSGFDSSLALLDTFIDPFLQPGGLLFSDGYTNLLPSLSSPPEYIISSFPPEEFEFELCQEYPKRQKNVSNYYCSNFGANFLAGFVPNSAPGPAATDLFLPKIPVPLPEFEPEMPTFNCENENAKKTSPVSVSNLQSIAARQRRRKITDKTQELGKLIPGGHKMRTAEMLRAAYKYVNFLQAQVGVLEAMWSFHQGKEEALMHSQELPNLLASQAIQEKLYSEEKCLIPKDFVQTLANDYQIQSKPLIIEEINRILLTS >EOY28589 pep chromosome:Theobroma_cacao_20110822:6:25981176:25982366:-1 gene:TCM_030147 transcript:EOY28589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor kinase 1, putative MNPKISDFGMARIFAGANSEANTARIVGTYGYMAPEYAMEGLYSIKSDVFSFGVLLLEIITGRRNAGFHQSKRVPTLVAYAWHLWNEGNALELMDPLLTDGCPDEFLRYIHIGLLCVEEDAFNRPTMSSVVVMLKGEAVTLCQPQQPAFSVGRFADHHQTLAHSCSVNGLTISNIAPR >EOY27797 pep chromosome:Theobroma_cacao_20110822:6:23154927:23162057:1 gene:TCM_029556 transcript:EOY27797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEAQQVQLHVMEAKGSNSTRAISHRTESRPRNYMISWLRIALYTIFVLCGQTSATLLTRLYYEKGGSSQWMGTLVMIVGFPVLLPYYYCISQPKVTTPNNANTKPPSLPMLVFVYVSIGLLAAGNSFLYSLTFRKVLKRQTFRVVMDLIIYQSLVASIATLIAFFATGDWKGLKREMEGYELGKISYVMILLWTAIAWQIFGVGSVALIFNVSALFCNVISALGLRITPIMAMFVFRGVKGISMVLAIWGFISYLYQHYLDNCRMNAENKIGSTEASEA >EOY26958 pep chromosome:Theobroma_cacao_20110822:6:19369186:19371085:1 gene:TCM_028912 transcript:EOY26958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGTNATRCLYNHRGDNLYDENGRCGVGFLAEIIRKYLELFVHLQSAGAQVDVNAQELAARRTVIRCVQEYGLEADYPLDPLQERFAQLEKSKSDNRKRAGDSGKHQQPKKSRPNVGFRGFRGPPCRQAAPVYNNRSAYAGMPE >EOY28332 pep chromosome:Theobroma_cacao_20110822:6:24994352:24995418:1 gene:TCM_029929 transcript:EOY28332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toll-Interleukin-Resistance domain family protein MQQRCASSAKNLCRKILQPRTSIEATTRPPCDIFINHRGIDTKRTIAGLLHDHIFRLGLRPFLDSRNMKPGDRLFEKINPAIRNCKLGVAIFSPNYCDSYFCLHELALLMESKKRVIPIFCDVKPSQLQVKDYGTRSARQLQRFSLALEEAKYTVGLTFDTLTGDWSEFLNTATDAVIKNLVEVEAENSSKKSTYIVQDREQE >EOY26498 pep chromosome:Theobroma_cacao_20110822:6:13225300:13230609:-1 gene:TCM_028279 transcript:EOY26498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MKNLGFLGSCSTCTITVFICILMCSNVVVHAEGFHFDSRLLLPRSGSARTRPRAKRVLSVHDFGAKGDAHHNDTKAFQNAWKMACSFPARTRILIPAGYTYLIHPVELGGPCESKVTLMISGTIVAPEDPDVWDGLNPRKWLYFHGVNHLHVDGGGTINGMGQEWWARSCKRKKTNPCRHAPTALTFHKCKNLKVHNLMVVNSQQMHIAFTNCLRVTVSNLKVIAPAASPNTDGIHISASRGVEVKNSIVRTGDDCVSIVSNSSRVKIRNIVCGPGHGISIGSLGKSKSWAQVHDVLVDGAFISNTENGVRIKTWQGGSGYAANIKFQNVLMENVSYPIIIDQYYCDSTQPCANQTLAVKVDNISFAHIKGTSATEETIRFACSDSLPCEGLYLEDIQLVSYTGGIAKSFCWEAYGSSLGLLQPNSCLTCTDGFIKQKVPSGLAVDSL >EOY26527 pep chromosome:Theobroma_cacao_20110822:6:13553258:13562363:-1 gene:TCM_028315 transcript:EOY26527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein isoform 1 MSRPGDWNCRSCQHLNFQRRDSCQRCGESRSGDFGSYGGRGGSSFGFTTGSDVRPGDWYCTAGNCGTHNFASRSSCFKCGAFKDDSAGGFDSDIPRSRGFGGNRSGWKSGDWICTRSGCNEHNFASRMECFRCSAPRDFNNRTSY >EOY26528 pep chromosome:Theobroma_cacao_20110822:6:13556534:13557953:-1 gene:TCM_028315 transcript:EOY26528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein isoform 1 MSRPGDWNCRSCQHLNFQRRDSCQRCGESRSGDFGSYGGRGGSSFGFTTGSDVRPGDWYCTAGNCGTHNFASRSSCFKCGAFKDDSAGGFDSDIPRSRGFGGNRSGWKSGDWICTRSGCNEHNFASRMECFRCSAPRDFNNRTSY >EOY27005 pep chromosome:Theobroma_cacao_20110822:6:19687449:19689539:-1 gene:TCM_028961 transcript:EOY27005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MDGLGWDDSEVVANSLPVWNSQRNDIEDSFLMSNSNSSMYCGKMNLPEDIFNPIQELQKAEPSRVNSDSDIVREMVQQQVSELDSVNVASNFNMLQRQGVRLAADTSLLKSANWGDALSQELCSSAWMKRAYGLSTSNASISDIGMAVQSQVMKGLQNGKAGATTTGSLEAFNCLLSATNSNTDTSVEDDGISMIFSDCKNLWNFAASSAVSSGESENNGSNTGSKDFNCPVNELDETLSQSSSDRYFKNGKLSQTRPSSSKRGSDQSEFKVSLNCGYFNLLQTDSSATEGGFRLIPENPPKAKKARTEKRPSSSNINFQQPSSSVSSSIEEPDPEAIAQMKEMIYRAAAFRPVSLGLEVVEKPKRKNVRISTDPQTVAARQRRERISERIRVLQRLVPGGSKMDTASMLDEAANYLKFLRSQVKALENLGHKLDPVNCPPTNHAFSSLPFNHSLPMQTHFPLLNPNHIQHPQS >EOY27004 pep chromosome:Theobroma_cacao_20110822:6:19686075:19689825:-1 gene:TCM_028961 transcript:EOY27004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 2 MDGLGWDDSEVVANSLPVWNSQRNDIEDSFLMSNSNSSMYCGKMNLPEDIFNPIQELQKAEPSRVNSDSDIVREMVQQQVSELDSVNVASNFNMLQRQGVRLAADTSLLKSANWGDALSQELCSSAWMKRAYGLSTSNASISDIGMAVQSQVMKGLQNGKAGATTTGSLEAFNCLLSATNSNTDTSVEDDGISMIFSDCKNLWNFAASSAVSSGESENNGSNTGSKDFNCPVNELDETLSQSSSDRYFKNGKLSQTRPSSSKRGSDQSEFKVSLNCGYFNLLQTDSSATEGGFRLIPENPPKAKKARTEKRPSSSNINFQQPSSSVSSSIEEPDPEAIAQMKEMIYRAAAFRPVSLGLEVVEKPKRKNVRISTDPQTVAARQRRERISERIRVLQRLVPGGSKMDTASMLDEAANYLKFLRSQVKALENLGHKLDPVNCPPTNHAFSSLPFNHSLPMQTHFPLLNPNHIQHPQNNLLRKEPRSLAGNFVFGLSFKLTTVQSRCIGVDSYAALRADL >EOY26171 pep chromosome:Theobroma_cacao_20110822:6:5211583:5218133:1 gene:TCM_027587 transcript:EOY26171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MKEHEKLTLVLCLTWTATILYGEMFSFFMPSRFSCSWPHLSSSSASNSSMNGRGYAIDYVKVAVLADPQIMDKTSLRLPPKSLALEIVQFYTDLYMRRAFFSSILPLKPDVILFLGDYFDGGPYLSDKEWQESLSRLKHMFGLSTEEIYSSIKVYHLPGNHDIGYSSLQSHKPEVVGRYEKEFGSRNYRFVVGKVEFIAVDAQTLDANRQGSVASASWDFVSNVSSDHQLYPRVLLTHIPLYRPDWTDCGPHRSSPIINQRLLHSIPDQEIRYQNYVSEESSNQLLNLIKPVLVLSGHDHDQCTVAHESKSGSVKEHTLGTISWQQGNLYPSFMLLSASNSSLPGASWPEKAVLTHLCFLPMQTHIYIWYLIQFVLTILALLLWPTSGLSFCHYFGDLMECIRKSISLSIFRDGTKEKNEDENCEYEMVWGADGSMHLVKKLLAKPVAHSSDRGSVERGNAVIRPTAKKNVNQETEVCLSVETNANAELDPKKIPPRASKSKAKIIIQRLVRTFRMLTLIAAVNIPLYMMLLFKDWIDK >EOY26173 pep chromosome:Theobroma_cacao_20110822:6:5213764:5217992:1 gene:TCM_027587 transcript:EOY26173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 GTLFIRQRVVGRYEKEFGSRNYRFVVGKVEFIAVDAQTLDANRQGSVASASWDFVSNVSSDHQLYPRVLLTHIPLYRPDWTDCGPHRSSPIINQRLLHSIPDQEIRYQNYVSEESSNQLLNLIKPVLVLSGHDHDQCTVAHESKSGSVKEHTLGTISWQQGNLYPSFMLLSASNSSLPGASWPEKAVLTHLCFLPMQTHIYIWYLIQFVLTILALLLWPTSGLSFCHYFGDLMECIRKSISLSIFRDGTKEKNEDENCEYEMVWGADGSMHLVKKLLAKPVAHSSDRGSVERGNAVIRPTAKKNVNQETEVCLSVETNANAELDPKKIPPRASKSKAKIIIQRLVRTFRMLTLIAAVNIPLYMMLLFKDWIDK >EOY26172 pep chromosome:Theobroma_cacao_20110822:6:5214280:5217612:1 gene:TCM_027587 transcript:EOY26172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MFGLSTEEIYSSIKVYHLPGNHDIGYSSLQSHKPEVVGRYEKEFGSRNYRFVVGKVEFIAVDAQTLDANRQGSVASASWDFVSNVSSDHQLYPRVLLTHIPLYRPDWTDCGPHRSSPIINQRLLHSIPDQEIRYQNYVSEESSNQLLNLIKPVLVLSGHDHDQCTVAHESKSGSVKEHTLGTISWQQGNLYPSFMLLSASNSSLPGASWPEKAVLTHLCFLPMQTHIYIWYLIQFVLTILALLLWPTSGLSFCHYFGDLMECIRKSISLSIFRDGTKEKNEDENCEYEMVWGADGSMHLVKKLLAKPVAHSSDRGSVERGNAVIRPTAKKNVNQETEVCLSVETNANAELDPKKIPPRASKSKAKIIIQRLVRTFRMLTLIAAVNIPLYMMLLFKDWIDK >EOY27976 pep chromosome:Theobroma_cacao_20110822:6:23813267:23815284:-1 gene:TCM_029678 transcript:EOY27976 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 20 MVLSINSLLPVEPSALICAAEGEIMEKKGVILMNRFELGRLLGQGTFAKVYHARNLTTGQSCAIKVIDKEKIMKGGLIDQIKREISVMRLVRHPNVVRLYEVMASKAKIYFVMEYVRGGELFNKVAKGKLKEDAARHYFQQLIAAVDYCHSRGVYHRDLKPENLLLDENGNLKVSDFGLSALKESRRQDGLLHTTCGTPAYVAPEVINKKGYDGAKADIWSCGVILYVLLAGFLPFHDQNLMEMYRKICRGEFRCPQWIPPEVRKLLSRILDPNPSPRITVAKLMENSWFKKGYKHIDSPPPSPHARRIDLLISDVHAVFDSSGRENSSQNEAAVPTSPVRPSFFNAFDIISLSQGFDLSGLFEKDLDQRNYSRFTTRKPATDIVSKFQQIAQTESFSIKNKDGKVKLQGSKEGRKGLLAIDAEIFEVTPSFYVVELNKTAGDTLEYKKFCNQELKPSLKDIVWTWQGNEQQQS >EOY27428 pep chromosome:Theobroma_cacao_20110822:6:21674555:21676251:1 gene:TCM_029275 transcript:EOY27428 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MGTSSSDFDFAEEIYLSEVLDGSEGGDLQQLDAKFAEQLQFQDAIFFSVKETGSSSTSRQMNIGATSSMRKPKARKSATKAGESSLSFCEICSERKERRQMFPISGCSHSFCSDCISMYVKAKLEGNITIIMCPAENCRVILELEACRPLLPKEVVNLWQDLLCEELLCATGGRLYCPFKDCSALLLNDNQEVIAECECPFCHRLFCAQCHVPWHPGIDCEEYQNLTEDERGREDLMVRKLVKENKWRRCSSCHIIVERTEGCLHITCRCKFEFCYACGAEWTQDHGGCQNN >EOY26025 pep chromosome:Theobroma_cacao_20110822:6:3724158:3725616:-1 gene:TCM_027415 transcript:EOY26025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein isoform 1 MSLLPKSDSIQIREVWNDNLEEEFALIREIVDDYPYVAMDTEFPGVVLRPLGNFKYICDYNYQTLKDNVDMLKLIQLGLTFSDEEGNLPTCGTDKYCIWQFNFREFNVNEDVFANDSIELLRQSGIDFKKNNEKGIDAMRFGELLMSSGIVLNDSVHWVTFHSGYDFGYLLKLLTCQNLPDTQVGFFSLINIYFPTLYDIKHLMKFCNSLYGGLNKLAELLEVERVGICHQAGSDSLLTSCTFMKLKENFFSGSLEKYSGVLYGLGVENGQSTH >EOY26027 pep chromosome:Theobroma_cacao_20110822:6:3724532:3739635:-1 gene:TCM_027415 transcript:EOY26027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein isoform 1 MSLLPKSDSIQIREVWNDNLEEEFALIREIVDDYPYVAMDTEFPGVVLRPLGNFKYICDYNYQTLKDNVDMLKLIQLGLTFSDEEGNLPTCGTDKYCIWQFNFREFNVNEDVFANDSIELLRQSGIDFKKNNEKGIDAMRFGELLMSSGIVLNDSVHWVTFHSGYDFGYLLKLLTCQNLPDTQVGFFSLINIYF >EOY26026 pep chromosome:Theobroma_cacao_20110822:6:3724157:3725579:-1 gene:TCM_027415 transcript:EOY26026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein isoform 1 MDTEFPGVVLRPLGNFKYICDYNYQTLKDNVDMLKLIQLGLTFSDEEGNLPTCGTDKYCIWQFNFREFNVNEDVFANDSIELLRQSGIDFKKNNEKGIDAMRFGELLMSSGIVLNDSVHWVTFHSGYDFGYLLKLLTCQNLPDTQVGFFSLINIYFPTLYDIKHLMKFCNSLYGGLNKLAELLEVERVGICHQAGSDSLLTSCTFMKLKENFFSGSLEKYSGVLYGLGVENGQSTH >EOY27508 pep chromosome:Theobroma_cacao_20110822:6:22177517:22178159:1 gene:TCM_029349 transcript:EOY27508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASTFRVFFLAFLLTLSLLFVAKARPSDPKPTSSLEARLNLDDGSANCWESLVQLQSCTGELIMFFLDGETNLGRSCCQAIRTISHRCWPTVIDALGFTTEETHVLEGYCDHEESPPSVVGPNGIVSTKPIGP >EOY25979 pep chromosome:Theobroma_cacao_20110822:6:3197997:3199725:1 gene:TCM_027352 transcript:EOY25979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFKEIVILTFGELMKFRLKFLNMERTLWFLVEIGFNFTKNIILNFCYKFIMATHVDEEFNVDGIDRDYDCENILDLSGKNHDEPENERLNNQECLEPFVRMEFQSLEEALEYYPKYTKHEGFGIRLASAFASPR >EOY27214 pep chromosome:Theobroma_cacao_20110822:6:20729249:20730903:1 gene:TCM_029110 transcript:EOY27214 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated ubiquitination effector family protein MEIICRETKDMDSVEIIKSQHCPYLPQDPMFEILTRVSLETLERCRLVNKEWNHVTYEPSFMKLYCKRNGILSGFFIQCLGLKLYTAFASQGNPFQDRSLSLDMLPVLAIVRAVSNQGLVYCESLRSRFHYVCKPTTGEWVKIPNPKTRFTTYRNAMVVLHSRPLHYKVVRLSEPKDASRGCTIICEIFDSEKGAWKRLENIKSSGFVLLSEAPPVFASGAFHWFTFSQQIFAFDINSEKWELIDLPENFTDETTFYLKKALVQYEGKLALLRYAMDVDKVEIWVMENYSRRTWKLAREIVNSAYEDTIVHLYRSDVAVVINYKDLVWYDLNNGTSTTTKGSHIFFSRNVYPFESDYEPMF >EOY27329 pep chromosome:Theobroma_cacao_20110822:6:21271385:21275281:1 gene:TCM_029198 transcript:EOY27329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid biosynthesis 1 MAASILASSLCTGLVASYTPRPNRKNHPERSPMFQPPKKQNQGLTPKKLSSESCGRSETMAISVQPENKLTTEEKPPGQKRRVVVTGIGIVTALGHDPDMFYNNLLQGISGVSEIENFDSSQLPTNIGGEIKYFSADSGVSSKLAKRADKFMLYLLTAGKKALADGGITNEVNRELNKSRCGIIIGTALGGLRICQEWIETMMTPSKMPNPFSLPLTATNMGSATLAMDLGWMGPNYVISAACATSNNCILSAAGHIIEGETDIMLCGGSDAGLHPIGLGGMASCRVLSRRNCDPTKASRPWDTERDGIVVGDGAGVLLMEELEHAKQRGAKVYAEFLGGSFGADAYHLTQPHPTGNGMVLCIEKALADAGVAREDVNYVNAHAASTQIGDLREFRALFRCFGNNPELRINSTKSMTGHLLGATGAVEAIAAIKAIQTGWIHPNINLDNPDKGVDINLIVGPTKERLDVKVALSNSFAFGGQNSCILFAPYK >EOY27640 pep chromosome:Theobroma_cacao_20110822:6:22588435:22591775:1 gene:TCM_029433 transcript:EOY27640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat protein 78 MLPAQRSRHFLCSFPHFPATQTRLFSSTFSSLLNLCKKPQHLQQIHARFILHGLHQNPTLSSHLIDSYANFGLLNLSLQLFYSITNPSSRLYNTILRNLATLGEYETTLLVYKDMVVKKSMYPDEKAYPLVLRACSCLLDVECGKMVHGQLAKLGFDSFEVVGDALVEFYRGFGEFEQKVVDEKPVKDLDNWKSLIFEPPRSGSLVSMINLLRASVDLGSLDAGKAVHCLVLVSDLSKDLSVNTALLSMYSKLDSLKYARLLFKNMLEKDLVVWNIMISAYSQHGKPKESLAQLRCMANSGVRADLFTAIPAISSIRQLKSFEWAKQIHAHVIRNGSDYQVSVHNSLIDMYCECDCLIYARKIFENVTSKTVVSWSSMIKGYVSHDQNLDALSLFSRMKTDGVKTDFITVINILPACVNIGALEQVKCLHGYSMKFGLNLLSSVNSAILISYAKCGSIEMARKLFDEEKVDGKDIITWNSMISAHSKHGDWSQCFELYNQMKQLNLKLDQVTFLGLLTACVNSGLVKEGRAFFKEMREIYGCQPSQEHYACMVDLLGRAGHIKEARELVNEMPFKPDTRVLGPLLSACKLHSETKFAESAAEKLLRMEPENAGNYVLLSNIYAAAGKWDKFAKMRRLLKERGLKKIPGCSWLQINGHVHEFRVADQSHPKAKDIYALIGILEFAIKEARDHTAGKVS >EOY26288 pep chromosome:Theobroma_cacao_20110822:6:7094451:7104403:-1 gene:TCM_027768 transcript:EOY26288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, Ty3-gypsy subclass, putative MKFINTRWVVRALLRDCPMAHQSPDSARGSTQPASSAPSVAVSSGREPLPVPEWKREHIAMDFVTGLPRTSGGYDSIWIVVDRLTKSAHFLPVKTTYGAAQYARVYVDEIVRLHGIPISIVSDRGAQFTSRFWGKLQEALGTKLDFSTTFHPQTDGQSERTIQTLEDMLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWLEVGERKLLGPELVQDATEKIHMIRQKMLTAQSRHKLYADNRRRDLEFQVGDHVFLKVSPTKGVMRFGKKGKSSPRNQPIKSPIPSPYSA >EOY27032 pep chromosome:Theobroma_cacao_20110822:6:19816953:19818237:-1 gene:TCM_028983 transcript:EOY27032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 46.1 isoform 2 MGNTEKLLNQIMDLKFTSKSLQRQARKCEKEEKSEKLKVKKAIEKGNMDGARIYAENAIRKRTEQMNYLRLASRLDAVVARLDTQAKMTTINKSMANIVKSLESSLATGNLQKMSETMDQFEKQFVNMEVQAEFMESAMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPAAHAVSTKTQEKVDEDDLSRRLAELKARG >EOY27033 pep chromosome:Theobroma_cacao_20110822:6:19816820:19818223:-1 gene:TCM_028983 transcript:EOY27033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 46.1 isoform 2 TEEAKQSQNAINTPKKKNKKPNFLTLISSNPLRIKSFSANEEKKNPAMGNTEKLLNQIMDLKFTSKSLQRQARKCEKEEKSEKLKVKKAIEKGNMDGARIYAENAIRKRTEQMNYLRLASRLDAVVARLDTQAKMTTINKSMANIVKSLESSLATGNLQKMSETMDQFEKQFVNMEVQAEFMESAMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPAAHAVSTKTQEKVDEDDLSRRLAELKARG >EOY28831 pep chromosome:Theobroma_cacao_20110822:6:26660878:26663016:-1 gene:TCM_030322 transcript:EOY28831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotyrosine protein phosphatases superfamily protein MRVEGFDGEISEAIEVSQPRTPPDVGDDSEKDGEELFVPPLNFAMVDNGVFRSGFPDSANFSFLESLGLRSIIYLCPEPYPEANNEFLKANGIRLFQFGIDGCKEPFVNIPEETIREALKVVLDEKNHPLLIHCNRGKHRTGCLVGCLRKVQRWCLSSIFDEYQRFAAAKARVSDQRFMERFEVSSLKHSPTTFSCSKR >EOY26530 pep chromosome:Theobroma_cacao_20110822:6:13613599:13615422:-1 gene:TCM_028319 transcript:EOY26530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase PINOID 2, putative MLCQILILSHNAILSSSRNQTSSSFGSHIRHTFSCSHKPHKGNHPAWVAINRLLLEHGHVELEHFRLFHRVGSGSMRNVYLCLNTSGSFIEFDAHCLYAMKVLDTDALRVSNKLHKAAIERKILGMFDHLFLPTLHAEFDCCQYSCLVMEYCPGGDLLTFLQRESNMRFSIPTAKKVFILLKLLRFYAAEVLVALDYLHMMGVIYRDLKPENVFVQEDGHIMLSDVDLSLTCNVSPKLIKFKTNTMDNNRNCNSHLPILSSMKKKKTASNVEIILQVEMHKSDVEIWG >EOY25528 pep chromosome:Theobroma_cacao_20110822:6:357732:359850:1 gene:TCM_026928 transcript:EOY25528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive nuclear / ethylene-regulated nuclear protein (ERT2)-like protein MPFPWKKAKVTRISRLVADLHQSPKRGGSLVVETGFPTSLIDLFVKNRDRLRKSSKRKSSPSSSPPQMQTPPTLHQPSSPPKLFPSPPCKEELRSPDVDVGQLVLVKRECEERIAFHAAFKIFLVVALAVSSRNLAVWFMVAAFLLVLVEFVGTRFFGFLRPESKMLFLDSWIQKGLLVLKRWDWEQGSAQELVVKQQGTLFSDSYGLTELEDDCVEEIQIAESKFDSVDKGCDSESLETQIKVEGMEKIREILICRSERSRSARIKANLIRKLVPKKLRNGKKQGKSNDTDEPCTQSGVEIDKSDKIEEGKQGPEADDGEDESQVEVEEESCKQVEELGDRISTCAQLLWVEESGMGVVKNKVGNDRKGKSGYLILFVIVLAGLVGGRGLALLLTLVWCLIVRYIGFGGLRRC >EOY27711 pep chromosome:Theobroma_cacao_20110822:6:22897463:22902304:1 gene:TCM_029492 transcript:EOY27711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum mannosyl-oligosaccharide 1,2-alpha-mannosidase isoform 2 MSKSLPYSMKDVHYDNAKFRHRSFFKVITQNLLTSNMKRECVSCSTGKFLGLLMIFGLAYLMLTHENSRDSVSDGLGKGIRTNEEHKVVTDVGIRFKKLFRRAPRLPPRLSPDEKVSYSNFTGKPIKPNVEAKWNARQQNVKEAFTHAWSGYKKFAMGYDELMPVSQHGVDGLGGLGATVVDALDTAMIMGLDEVVSEAGSWIESHLLERISQKGQVNLFETTIRVLGGLLSAYHLSGVDQGVNSAHKGPKPTIYLDIAKHLANRLLSAFTSSPTAIPFSDVMLHDSSAHPAPDGLSSTSEVSSLQLEFNYLSAISGDPKYSTEAMKVLAHFKTLPKVEGLVPIYISPHSGEFSGENIRLGSRGDSYYEYLIKVWLQLRAIQDGNFTYLYDMYEEAMRGVRHLLVQKSIPNELVFVGELPYGSKGSFSPKMDHLVCFLPGTLALGATKGITKEKAMKDNLLTFEDLQNLKLAEDLAKTCFEMYSVTSTGLAPEIAYFHTEEYFEDGLDGGNKSSEYVNDIIIKRADRHNLLRPETVESLFVLYRITQDQKYTLVAL >EOY27710 pep chromosome:Theobroma_cacao_20110822:6:22897292:22903323:1 gene:TCM_029492 transcript:EOY27710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum mannosyl-oligosaccharide 1,2-alpha-mannosidase isoform 2 MSKSLPYSMKDVHYDNAKFRHRSFFKVITQNLLTSNMKRECVSCSTGKFLGLLMIFGLAYLMLTHENSRDSVSDGLGKGIRTNEEHKVVTDVGIRFKKLFRRAPRLPPRLSPDEKVSYSNFTGKPIKPNVEAKWNARQQNVKEAFTHAWSGYKKFAMGYDELMPVSQHGVDGLGGLGATVVDALDTAMIMGLDEVVSEAGSWIESHLLERISQKGQVNLFETTIRVLGGLLSAYHLSGVDQGVNSAHKGPKPTIYLDIAKHLANRLLSAFTSSPTAIPFSDVMLHDSSAHPAPDGLSSTSEVSSLQLEFNYLSAISGDPKYSTEAMKVLAHFKTLPKVEGLVPIYISPHSGEFSGENIRLGSRGDSYYEYLIKVWLQLRAIQDGNFTYLYDMYEEAMRGVRHLLVQKSIPNELVFVGELPYGSKGSFSPKMDHLVCFLPGTLALGATKGITKEKAMKDNLLTFEDLQNLKLAEDLAKTCFEMYSVTSTGLAPEIAYFHTEEYFEDGLDGGNKSSEYVNDIIIKRADRHNLLRPETVESLFVLYRITQDQKYREWGWQIFESFEKYTKVDSGGYTSLDDVTTLPPQRRDKMETFFLGETLKYLYLLFGDSSVMPLDKYVFNTEAHPFPIKDAI >EOY26710 pep chromosome:Theobroma_cacao_20110822:6:17455525:17457106:-1 gene:TCM_028681 transcript:EOY26710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta'-2 isoform 3 KEFVQTSERVKSVDLHPTKPWILAALYSGNVCIWDYQLQKIEKSFKVTESPARSAKFIVRENWIVVGADDGFIRVYNYDTMEMIKEIEAHTDFIRSLAIHPTLPFILSSSDDKLIKLWDWEKGWICSRIFEGHGHYVMQVAFNPKDLNTFASASLDCTIKIWNMDSASPNFTLDAHAKGINCIEFFVAANKPYLISGSDDYTAKVWDYETKSCVQTLEGHTHNVTAICGHPELPNIITCSEDGTVGVWDTTSCSF >EOY26708 pep chromosome:Theobroma_cacao_20110822:6:17454926:17457436:-1 gene:TCM_028681 transcript:EOY26708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta'-2 isoform 3 MALSLIIEKEFVQTSERVKSVDLHPTKPWILAALYSGNVCIWDYQLQKIEKSFKVTESPARSAKFIVRENWIVVGADDGFIRVYNYDTMEMIKEIEAHTDFIRSLAIHPTLPFILSSSDDKLIKLWDWEKGWICSRIFEGHGHYVMQVAFNPKDLNTFASASLDCTIKIWNMDSASPNFTLDAHAKGINCIEFFVAANKPYLISGSDDYTAKVWDYETKSCVQTLEGHTHNVTAICGHPELPNIITCSEDGTVGVWDTTSCRLEKTLEYGLERVWTVAYMKGSSKVVFGCDKGTIVAKISSSLGSDSAIV >EOY26709 pep chromosome:Theobroma_cacao_20110822:6:17446759:17457106:-1 gene:TCM_028681 transcript:EOY26709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta'-2 isoform 3 KEFVQTSERVKSVDLHPTKPWILAALYSGNVCIWDYQLQKIEKSFKVTESPARSAKFIVRENWIVVGADDGFIRVYNYDTMEMIKEIEAHTDFIRSLAIHPTLPFILSSSDDKLIKLWDWEKGWICSRIFEGHGHYVMQVAFNPKDLNTFASASLDCTIKIWNMDSASPNFTLDAHAKGINCIEFFVAANKPYLISGSDDYTAKVWDYETKSCVQTLEGHTHNVTAICGHPELPNIITCSEDGTVGVWDTTSCRLEKTLEYGLERVWTVAYMKGSSK >EOY27074 pep chromosome:Theobroma_cacao_20110822:6:19970532:19977073:1 gene:TCM_029010 transcript:EOY27074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MKARGQPAAAATLHLPREEGLAWTPLIKPTGISFTAIFILFSFLSPWYGKVFKSHIFGTPTIVSTDAEVSRFVLQSDAKAFVPFYPKSLTELMGNSSILLINGSLQRKIHGLIGSFFKSPHLKDQITRDMQNYVQQSMDKWRDDQPIYIQDETKNIAFQVLVKALISLNPGEEMELLKKQFQEFILGLMSLPVKVPGSQLYRSLQAKERMVKIVHKIIQSKRNSGISTVAKDVVDVLLKDASELLTDDLIADNMIDMMIPGEDSVPVLMTLAIKYLSDCPAALHQLTEENMKLKKVKAQNGEPLIWSDYLSLPLTQSVITETLRMGNIIIGVMRKAMKDIEIKGYLIPKGWCFFAYFRSVHLDENHYDWPYQFNPWRWQDKDVISSYNFTPFGGGQRLCPGLDLARLEASIFLHHFVTTFRWVAEDDTIVNFPTVRMKKRMPIWVKRREDS >EOY27893 pep chromosome:Theobroma_cacao_20110822:6:23469473:23471751:-1 gene:TCM_029611 transcript:EOY27893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase MKAATNNFDAANKVGEGGFGSVYKGLLLDGTIIAIKQLSSKSRQGDREFLNELGMISGLQHPNLVRLYGCCIEGSQLLLVYEYMENNSLARALFGPKESQLKLDWPARQRICLGIAKGLAFLHEESSLKIVHRDIKTTNVLLDKDLNAKISDFGLAKFDEEENTHISTRVAGTIGYMAPEYALWGYLTYKADVYSFGIVALEIVAGKNNTKYRPEEDFVCLQDWALVLQQKGNLMELVDPRLGSEFNEEEAIRMIKVALLCTNSSPALRPIMSEVLNMLEGRALVPELIMDPSIFGDESRFGALRDQLNRMQSGKGSETSTFRHSSDSTEGLGSSSTFVQHPSNQS >EOY26457 pep chromosome:Theobroma_cacao_20110822:6:11161766:11162933:-1 gene:TCM_028126 transcript:EOY26457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copia-like retrotransposable element, putative MQVPTDHHMIAAKRILRYLKKIEFYGIHQTKSTEFTLCGYTDSDFTRSSEDAKNTSSYLFTLGNGPFSWNSHKQSIVAQSLAESEYVAAAEAAYQALWLRKLLMDIKFEQKFPTDLFIDNKSAISIVKNPVWHGKTKHINVKYHAVRDIVEKNEINVQYCPSELQLADIFTKPFQKKLGQSPSRISHYYP >EOY26526 pep chromosome:Theobroma_cacao_20110822:6:13545606:13550893:-1 gene:TCM_028314 transcript:EOY26526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single hybrid motif superfamily protein isoform 1 MHTLMQCGETCLFLLLYFDSDMHTPGGIGAPNIKVPKLSFGKARVDKLKLNNVRIWTRQRTMQYAGLVRHSEKTFNIGCGPTLQTLATTNLADDFEETKMSGLTSQLIPNSSGVESLVRDICDTTSVAEFELKLGGFRLYIMRDLAGKSEPPPAIPSPPPVSVSTSKTVEAPDSNGSVSTPTLAITKPLSSSGRIQLLLDKAADEGLVILQSPKVGFFRRSRTIKGKRAPPSCKEKQTVKEGQVLCYIEQLGGEIPIESDVSGEVIKILRDDGDPVGYGDALIALLPSFPGIKKLQ >EOY26525 pep chromosome:Theobroma_cacao_20110822:6:13545679:13551075:-1 gene:TCM_028314 transcript:EOY26525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single hybrid motif superfamily protein isoform 1 MASCGIGAPNIKVPKLSFGKARVDKLKLNNVRIWTRQRTMQYAGLVRHSEKTFNIGCGPTLQTLATTNLADDFEETKMSGLTSQLIPNSSGVESLVRDICDTTSVAEFELKLGGFRLYIMRDLAGKSEPPPAIPSPPPVSVSTSKTVEAPDSNGSVSTPTLAITKPLSSSGRIQLLLDKAADEGLVILQSPKVGFFRRSRTIKGKRAPPSCKEKQTVKEGQVLCYIEQLGGEIPIESDVSGEVIKILRDDGDPVGYGDALIALLPSFPGIKKLQ >EOY27534 pep chromosome:Theobroma_cacao_20110822:6:22296838:22343613:1 gene:TCM_029373 transcript:EOY27534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2, putative isoform 1 FSTNIFTMATKPHHDADVSAIAEERGVYTFKPRAFNIVWGNDSRYWRIPSRPTSARNNNDEVAELVQVSWLEVTGVAKLENSKTYQVTFTLSFKENASGWSGCPVFLMAKVGKKGKYKWKRLKELESLPKVPTEVPSNSEPFLVEVPDDVPDKRLYFGLYEVWS >EOY27533 pep chromosome:Theobroma_cacao_20110822:6:22296810:22297648:1 gene:TCM_029373 transcript:EOY27533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2, putative isoform 1 MATKPHHDADVSAIAEERGVYTFKPRAFNIVWGNDSRYWRIPSRPTSARNNNDEVAELVQVSWLEVTGVAKLENSKTYQVTFTLSFKENASGWSGCPVFLMAKVGKKGKYKWKRLKELESLPKVPTEVPSNSEPFLVEVPKDVNDTRLYFGLYEVWSGQWKKGLRVHGATVKEKN >EOY28572 pep chromosome:Theobroma_cacao_20110822:6:25849307:25851581:-1 gene:TCM_030118 transcript:EOY28572 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative MASLNTYLIFMLLFTLLSFSSEATPTYSAHYCDNSTSFTPNSTYQANIKTLLSSLSSNTRSSKNGFYNTTAGQDPNLVYGTFLCRGDVSANLCQHCVANAINEIAQRCRMEKTGVIWYDECTVQYSDQNIFSISRQVPGMDNSTSASITDKDRFNQLLVSVMKILENRAAYEDKSGKRFAAGEANFTSSQTLYSLVQCTPDLTNELCFRCLQSAIATLPMCCDGKQGGRVLLPSCNIRYEMFPFYNLNGTGSTVLVPSPSSPNSTKAILCSVVLLFVVRA >EOY28552 pep chromosome:Theobroma_cacao_20110822:6:25759665:25760983:1 gene:TCM_030089 transcript:EOY28552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGRTLSPTTMSNGALVTNSLVPEPHYRVVQIFGQPHFQRRGESKPEKTDHLQKAIKKKTETKKTQQRTQKKKSKKKEEEEERER >EOY26937 pep chromosome:Theobroma_cacao_20110822:6:19235566:19242016:-1 gene:TCM_028895 transcript:EOY26937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRTEWKAKSVRKPLRDVSNNNSTRSFSKSEIPKKKMVDEDKIQNHDSLDRLLLVQSDLSSLLRQIDELVAEAFKLKATSENGRKEIESFTNVLSEMLSSLKPWVPRFQKVLSSPSAGDYKDQPGQRLESETVPFVNVNEKECFDVGSPEETTLDSLISPSPLVSWRVADCNVERGRQLFLLTPLPMSKALSSKRRDSSKSVFERIASKSTVELPSFLNIPRDENDDLLEGVAVKPTPIKPSDPFVAETEKTLKCGFVSSPVLSRRNHSMLVMTPCLKMSPPKSCVLLEPIHKSSHRGDYGVRKSTPFPVGISNSAFSESSGSEATADLTLKYPELLGIKQTYKSEIGKKELESSPTWLFSPPKSCILLEPPDEKSMDNVASDHHLHIPCALNQQMELPLTKGNDVTDGVQQNKAFNEENTCNNLALVQSTPMWKEPESTMQTGKRPGESTLKKELWTKFEAVSTFGLRYNASAVQRTARKGFLDMLDEVSCDDENPIPDGLR >EOY26081 pep chromosome:Theobroma_cacao_20110822:6:4285879:4286359:1 gene:TCM_027474 transcript:EOY26081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSHDIPRVLGGDFNVVRSQDEKLGGPINEIASSQFVEFIEELGLVDLPMSGGAFTLCNNREAATFCHLHGFLVAFKVLDSMKQLQQECLLKFISDHNAIAFITDVTE >EOY26881 pep chromosome:Theobroma_cacao_20110822:6:18821250:18825250:-1 gene:TCM_028846 transcript:EOY26881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNVSEIGESNPVGLDESYRPLPSLYLGFLSIWFFSACSWTFNTYKNRHFQTNNLQWTLASVPLIKAFQLTLSFLFWYSCFYLQVCSLWMSFGVYVTGVLFQTASFVSFMLISHGYCITCERLSVTERRTTAALGCVFYLTLVGYRASVPYFTVLLLLNYIISFYVIFHHISRNLVVLREQLTFIEDEDVQSMRDAVYAKYKMFKKFQGAMLIVAMAETVIYLNMDDFSENYWLRLLVREWAQFCIFMYIGWTFRSQELATHFSVMPTLKSIVDSMVPPIYRIEMDATTFREFTSHEWHIGVPTSVRDKSPRDSVLVIIQHPHAFRPTSGAAPSSRKQPA >EOY27194 pep chromosome:Theobroma_cacao_20110822:6:20650785:20655685:-1 gene:TCM_029103 transcript:EOY27194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit A-3, putative isoform 3 MQNLHKKDSGVSSHSTCTYVVGCSSWGNSTETHVQQSSVSESLSLKMGVLPQHFQHTKQLSFQFQDQDSSSTQSTGQSYPEAASAGDSNIYGQSLISASSGGNETHGSLFGGHAKLASSMGTQDCVFPPSRVDYSKSTAHIPLHYAEPYFGGAVAAAYGLQAVIHHPHMMAMVPARVPLPLDLTEDEPIYVNAKQYRAILRRRQFRAKLEAQNKLIKARKPYLHESRHLHALKRARGNGGRFLNTKQFQESEHIPSSHGLDMSRSTQLDLSAKISVSEVHQLENYKDAASTTSCSDITSASNSDEMFQQPDFRFSGYPSHNGGAMQGHGGGNLHHLSGLC >EOY27193 pep chromosome:Theobroma_cacao_20110822:6:20651354:20655564:-1 gene:TCM_029103 transcript:EOY27193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit A-3, putative isoform 3 MQNLHKKDSGVSSHSTCTYVVGCSSWGNSTETHVQQSSVSESLSLKMGVLPQHFQHTKQLSFQFQDQDSSSTQSTGQSYPEAASAGDSNIYGQSLISASSGGNETHGSLFGGHAKLASSMGTQDCVFPPSRVDYSKSTAHIPLHYAEPYFGGAVAAAYGLQAVQIHHPHMMAMVPARVPLPLDLTEDEPIYVNAKQYRAILRRRQFRAKLEAQNKLIKARKPYLHESRHLHALKRARGNGGRFLNTKQFQESEHIPSSHGLDMSRSTQLDLSAKISVSEVHQLENYKDAASTTSCSDITSASNSDEMFQQPDFRFSGYPSHNGGAMQGHGGGNLHHLSGLC >EOY27195 pep chromosome:Theobroma_cacao_20110822:6:20651268:20655571:-1 gene:TCM_029103 transcript:EOY27195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit A-3, putative isoform 3 KKGRRPRREKSELFIFCCEQGRERDSERHAQIQASRTTPTCVISLSLLPNPKGGNETHGSLFGGHAKLASSMGTQDCVFPPSRVDYSKSTAHIPLHYAEPYFGGAVAAAYGLQAVQIHHPHMMAMVPARVPLPLDLTEDEPIYVNAKQYRAILRRRQFRAKLEAQNKLIKARKPYLHESRHLHALKRARGNGGRFLNTKQFQESEHIPSSHGLDMSRSTQLDLSAKISVSEVHQLENYKDAASTTSCSDITSASNSDEMFQQPDFRFSGYPSHNGGAMQGHGGGNLHHLSGLC >EOY27123 pep chromosome:Theobroma_cacao_20110822:6:20272140:20274907:1 gene:TCM_029050 transcript:EOY27123 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 22 MSILKTRSNGTTQACAACKYRRRKCAPDCILAPYFPHDRQRQFLIAHKLFGVCNITKVIKDLNSPEKDIAMHTIIFQSDVRANDPVGGCYRIIQELQHQIEYSQAELDLVFHQLAICRAQAHQQQQQSHLQVQEPGDSTLGCEMVNADPLNSYNSNNYYVEEPQEQQFAVDNHHHHLMIFGAFKNPQPVNL >EOY27945 pep chromosome:Theobroma_cacao_20110822:6:23699094:23700062:-1 gene:TCM_029655 transcript:EOY27945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTKLQRRTAPRRKLHLLRTLTNSKSVKRSSVVLNVLLHIYKLKVKLEEIQREYQNLMAIKNEYLTLLEHVQIPKQEVKVEKIGEEFVVKVTCNKGGDKLVSILEAFDELGLNVLQARVSCNHFFSMEAIAVAQDQQTTDIKDVTQAVLKAIEKHGGGEETLVT >EOY25983 pep chromosome:Theobroma_cacao_20110822:6:3261290:3271205:-1 gene:TCM_027361 transcript:EOY25983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSRYISCRLALQRHQGLYHHPTFSSSQSVEITSRVENGKGFAVEEDIPNNITANLVKLMDQVEKMEKDMRGLMDNLLLMITYDDTYKGCETRVRGVGSDLSFSGLVKLVEEVVGVNSHNNEIELHASLSHAVGVSCVVIRDDEDVASILQDERLVVVFVMVKAQNANDIPHEYGIQHSNNLQHNTRYSPRARDNRRNVPIDTHYPECQPEPRKALTSVYHFPLNPKLYPRRYPTRLSVRVRGLNQLCCISRLYNAFDQIEDDVEEDDMADWNDELHDNCEDDYVGRHDDCSMGWTCFLLLY >EOY28125 pep chromosome:Theobroma_cacao_20110822:6:24296394:24298804:-1 gene:TCM_029783 transcript:EOY28125 gene_biotype:protein_coding transcript_biotype:protein_coding description:F1K23.23, putative isoform 5 MAFAHSVTLLSPAFPTQFPSCFPRKLPFFPTLPFRNPTVPLLILSRSSPKPAPSTEPEALKFITDSDGITLPCVRTYENDLARLTLVGAVGFEQALTAAAADGGRAATEHVDSGIPAMVIETVFPSSIAKNATVSTRLFLPARKVKEKAQKLRKSLSEDILTETSSKNILAMTFRQVVIQKFWNFELVLFRPGTERDMEDLENPRKQVIGSFTLSSSEERVISNLGEVVCISALQSTERHFLDNFLGKTSSNFFQWFRKSKAIESKDLSVVIYKMFEDEIVENAKSLLESFNSSEESFKTMDLRRKDYWWTPSMHSKLDKIGGLEFSAWTSEFIPAYRLEINANTLKDLKFEGWIKSDENRWEVLLTHSQMVGLADILDLYYEDVYSLPNKQLRCGVVANYNSLSKAKRSSSFSRMVSATVASGILLITISTLSQFSFPHLHKGGKYPGGSRSPPSSIIESTTIQSVHAEKVGIYCLVCICLLTTNKC >EOY28126 pep chromosome:Theobroma_cacao_20110822:6:24296118:24298855:-1 gene:TCM_029783 transcript:EOY28126 gene_biotype:protein_coding transcript_biotype:protein_coding description:F1K23.23, putative isoform 5 MAFAHSVTLLSPAFPTQFPSCFPRKLPFFPTLPFRNPTVPLLILSRSSPKPAPSTEPEALKFITDSDGITLPCVRTYENDLARLTLVGAVGFEQALTAAAADGGRAATEHVDSGIPAMVIETVFPSSIAKNATVSTRLFLPARKVKEKAQKLRKSLSEDILTETSSKNILAMTFRQVVIQKFWNFELVLFRPGTERDMEDLENPRKQVIGSFTLSSSEERVISNLGEVVCISALQSTERHFLDNFLGKTSSNFFQWFRKSKAIESKDLSVVIYKMFEDEIVENAKSLLESFNSSEESFKTMDLRRKDYWWTPSMHSKLDKIGGLEFSAWTSEFIPAYRLEINANTLKDLKFEGWIKSDENRWEVLLTHSQMICTMKMFTHCLTNSYAVVWLPITTACPRQRGAPLSREWYLPPLQVEFFSLPLVLSVNLVFLIYTKEENTLEDLGLHRHQLLSLQQFNLYTLKRWEYIA >EOY28124 pep chromosome:Theobroma_cacao_20110822:6:24295133:24298886:-1 gene:TCM_029783 transcript:EOY28124 gene_biotype:protein_coding transcript_biotype:protein_coding description:F1K23.23, putative isoform 5 MAFAHSVTLLSPAFPTQFPSCFPRKLPFFPTLPFRNPTVPLLILSRSSPKPAPSTEPEALKFITDSDGITLPCVRTYENDLARLTLVGAVGFEQALTAAAADGGRAATEHVDSGIPAMVIETVFPSSIAKNATVSTRLFLPARKVKEKAQKLRKSLSEDILTETSSKNILAMTFRQVVIQKFWNFELVLFRPGTERDMEDLENPRKVIGSFTLSSSEERVISNLGEVVCISALQSTERHFLDNFLGKTSSNFFQWFRKSKAIESKDLSVVIYKMFEDEIVENAKSLLESFNSSEESFKTMDLRRKDYWWTPSMHSKLDKIGGLEFSAWTSEFIPAYRLEINANTLKDLKFEGWIKSDENRWEVLLTHSQMVGLADILDLYYEDVYSLPNKQLRCGVVANYNSLSKAKRSSSFSRMVSATVASGILLITISTLSQFSFPHLHKGGKYPGGSRSPPSSIIESTTIQSVHAEKLEAFCILVIQKIKDAFGWPGDIATETDLSAWIGEMPNYLKVPHQANSSNEDDSASSADVGKIDDDIKSSVQDVASYQVILSTEGKIVGFQPTSRVGVNHWAVNPLAKELYGGRKLSPGLIERGLKIRLPNEIVVIELLMSVNPDSCFALARPIQ >EOY28127 pep chromosome:Theobroma_cacao_20110822:6:24295912:24298855:-1 gene:TCM_029783 transcript:EOY28127 gene_biotype:protein_coding transcript_biotype:protein_coding description:F1K23.23, putative isoform 5 MAFAHSVTLLSPAFPTQFPSCFPRKLPFFPTLPFRNPTVPLLILSRSSPKPAPSTEPEALKFITDSDGITLPCVRTYENDLARLTLVGAVGFEQALTAAAADGGRAATEHVDSGIPAMVIETVFPSSIAKNATVSTRLFLPARKVKEKAQKLRKSLSEDILTETSSKNILAMTFRQVVIQKFWNFELVLFRPGTERDMEDLENPRKQVIGSFTLSSSEERVISNLGEVVCISALQSTERHFLDNFLGKTSSNFFQWFRKSKAIESKDLSVVIYKMFEDEIVENAKSLLESFNSSEESFKTMDLRRKDYWWTPSMHSKLDKIGGLEFSAWTSEFIPAYRLEINANTLKDLKFEGWIKSDENRWEVLLTHSQMVGLADILDLYYEDVYSLPNKQLLKFIGFGLLFSISYRGAPLSREWYLPPLQVEFFSLPLVLSVNLVFLIYTKEENTLEDLGLHRHQLLSLQQFNLYTLKRWEYIA >EOY28128 pep chromosome:Theobroma_cacao_20110822:6:24296118:24298855:-1 gene:TCM_029783 transcript:EOY28128 gene_biotype:protein_coding transcript_biotype:protein_coding description:F1K23.23, putative isoform 5 GRSKSKTLPKSSTLSPSMAFAHSVTLLSPAFPTQFPSCFPRKLPFFPTLPFRNPTVPLLILSRSSPKPAPSTEPEALKFITDSDGITLPCVRTYENDLARLTLVGAVGFEQALTAAAADGGRAATEHVDSGIPAMVIETVFPSSIAKNATVSTRLFLPARKVKEKAQKLRKSLSEDILTETSSKNILAMTFRQVVIQKFWNFELVLFRPGTERDMEDLENPRKQVIGSFTLSSSEERVISNLGEVVCISALQSTERHFLDNFLGKTSSNFFQWFRKSKAIESKDLSVVIYKMFEDEIVENAKSLLESFNSSEESFKTMDLRRKDYWWTPSMHSKLDKIGGLEFSAWTSEFIPAYRLEINANTLKDLKFEGWIKSDENRWEVLLTHSQMVGLADILDLYYEDVYSLPNKQLRCGVVANYNSLSKAKLEAFCILVI >EOY28226 pep chromosome:Theobroma_cacao_20110822:6:24641127:24644428:-1 gene:TCM_029856 transcript:EOY28226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein MNKHPKPLLFLLLFIILFSQSVLSVDFVFNGFNSTNLSLYGIASINSRILTLTNETSYVVGRALYRSKIPTKTPNSSQVLPFSTSFIFSMAPSRNKAILPGHGLVFIFTPNTGINGTSSSQHLGLFNLTNNGNPNNHVFGVEFDVFANQEFNDIDDNHAGIDRNSLTSIISHTAGYWPDNIKSSSKSNDSDDEEKGFEELKLNNGKNYQVWIDYADSIINVTMAPVGIKRPKRPLLNVSLNLSDVFEDEMYVGFTSSTGRLVESHRILAWSFSNSNFQLSESLITTGLPSFAIPKTPFYKHKSFIAGVTVGSFFVLVFIALFALFLVKRERRRARERAEMEDWEFEYWPHKMTYQEIDAATKGFLEEYVIGFGANGKVYKGVSPGGTEIAVKRISHENDGMREFLAEISSLGRLKHRSLVGLKGWCKKEKGTFMLIYDYMENGSLDKRVYYDCDESKMLSCEDRIRILKDVASAVLYLHEGWESKVLHRDIKASNVLLDKDMNGRLGDFGLARMHGHGQVASTTRVVGTVGYLAPEVVRSGRASTQTDVFGFGVLMLEVMCGRRPIEEGKPPLVDWVWQLMVQGELLAAVDARLRASGGFDEEEVEKVMHLGLLCSYPNPNSRPTMRQVVKVLEGKNEPCDSETEDMEAYLLQKVKSRDMWANYSQNFGYSSHPTFDDIRQSHSSSMSLSWTISMVDGR >EOY26360 pep chromosome:Theobroma_cacao_20110822:6:8026398:8038250:1 gene:TCM_027864 transcript:EOY26360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTYDFFEMRDLITVAHRGDAKVDAKPCEVSIDIRGEECLSRPRGGCHGPDGEFRVVTSWRILVTTRNSHRARDNRRNVPISTYYPECRSKPHSENFIVS >EOY28781 pep chromosome:Theobroma_cacao_20110822:6:26522572:26523767:1 gene:TCM_030287 transcript:EOY28781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTEVALKSLFSSIEDELFIEYHTQSTTTEVPVLDGEPDLDYYYDESMMTMVPWGYRFVPTDEDLILHYLSNKAKGEALPCQGITDCEIYGDEDKEPWKIFGRTSAEKFYVFIKLKKKGKGKRIERRAGRGTWKGQRTDPVMDSENNPIGFKKLFVFEIKDEDSNNVKRCHGHWLMYEYSLPTETDYVLCAIRNKHATTKPA >EOY25819 pep chromosome:Theobroma_cacao_20110822:6:2142660:2144415:-1 gene:TCM_027192 transcript:EOY25819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine-containing phosphotransfer protein 6 MLGLGADRLRADMNRLLAFLFHQGILDEQYLQLQQLQDESSPNFVSEVVNIYFHESEKLLRNLRSLLMDREFSDYKKMGIHLNQFMGSSSSIGAKRVRNVCVAFRAASEQNNRAGCLRALELLEHEYCFLKNKLHELFQIEQQRVLAAGVRYPMQN >EOY27994 pep chromosome:Theobroma_cacao_20110822:6:23885274:23886616:1 gene:TCM_029694 transcript:EOY27994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNTIRCCISCILPCGALDVIRIVHSNGRVEEISGTIKASEIMKAHPKHVLKKPSSPADDGMVPKIVIVPPDAELQRGKIYFLMPVPSTPQKTRSKSSSTTKKKKRESLDNSNSSSNNNRNSNGGNSNSHSRQHRHSRSMSNANKNNSISMSNLLISDQYLSEILSEKLSTQRDRRRGRVGVWRPHLESISETPNDA >EOY25547 pep chromosome:Theobroma_cacao_20110822:6:409329:415071:1 gene:TCM_026939 transcript:EOY25547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein MKLFKFLVLSLFFLSAMGQLPSQDILALLEFKKGIKHDPTGYVLDSWNEESIDFDGCPSSWNGIVCNGGNVAGVILDNLSLSADADLSVFSNLTKLVKLSMTNNSITGIIPDNIGDFKSLEFLDVSNNLFSSILPPGIGKLGSLRNLSLAGNNFSGVVPDTISELVSIQSLDLSRNSLSGSLPTSVVKLDELLYLNLSSNQFTKRIPKGFELISGLQVLDLHGNMLDGSLDGEFFLLSNASHVDLSRNMLQSSSSEKSLPGISESIKYLNLSHNQLTGSLVGEAELRLFGNLEVLDLSYNQLSGELPGFNFAYDLQVLKLSNNRFSGFIPNVLLKGDSLLLTELDLSGNNLSGPVSMIMSTNLQILNLSSNGLTGELPLLTGSCAVLDLSNNKLEGNLTRMVHWGNIEYLDLSQNLLTGSIPEVTPQFLRLNHLNLSHNSLSSSLPKVIMQYPKLRVLDLSFNQLDGPLLNDLLNLATLEELHLGNNLISGAIEFSPSSESNLHALDLSHNRLNGYFPSQFGSLAGLKLLNLAGNNLSGSLPSSMADMKSLSSLDISQNYFTGSLPNKVPNGLRSFNVSYNNLSGVVPENLRKFPTSSFYPGNAKLHFPSGPPGSNNAPGEHSRRKPINTIVKWVIVVSCVVALIILILLAIFLHYICLSRRTPPEHVTSKDVRKRAPTNPSSIAGTESGGALVVSAEDLVSSRKESSDISPSEKMAAVTGYSPSKATHLSWSPESGDSFTAEHLARLDVRSPDRLVGELHFLDDTITLTPEELSRAPAEVLGRSSHGTSYRATLDNGVFLTVKWLREGVAKQRKEFAKEAKKFSNIRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPPLSWAQRLKIAVDVARGLNYLHFDRAVPHGNLKATNVLLDGPDLNARIADYCLHRLMTQAGTVEQILDSGLLGYRAPELADTKKPLLSFKSDVYAFGVILLELLTGKCAGDVIPGEEEGIDLTEWVRLRVAEVCGTDCFDSALAQEMGNPAAEKGMKEVLGIASRCIRSVSERPGIKTIYEDLSSI >EOY27429 pep chromosome:Theobroma_cacao_20110822:6:21677078:21686292:1 gene:TCM_029276 transcript:EOY27429 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MNIAVGARAGVFDDLFAGNFLGRDSDIVFDYRQKVTRSFEYLQGDYYIAPAFMDKVVCHIVKNFLAHLLNVKIPLILAIWGGKGQGKSFQTELIFQAMGIEPVIMSAGELESERAGEPGKLIRERYSYLSLFTMP >EOY28521 pep chromosome:Theobroma_cacao_20110822:6:25669643:25672392:1 gene:TCM_030066 transcript:EOY28521 gene_biotype:protein_coding transcript_biotype:protein_coding description:R-limonene synthase 1, putative MRLTTYSGTSTRTTETIRGKIKDNLHATALEFRLLRENGYHSSPDVFGSFRDETRNFRLPLKDDIKAMPSLYEASYYCFEGESIMEAAWNFTSEHLRYDNGDVDPNLAIQVRHALELPIHWRMPRLEARWFILYERRADLNPRCIAACNVGLQRYVQFGSCRKILTKAIALITVIDDTYDVYGTLDELGLFIDAVERWDTQAMKQLPNYMKICFLALYNSVNEMAYDILKEHGYNVVLNLKKADKLKRGDVAKSIQCYMHENDVSEEAAREHMRNLMREAWKKVNVHRAAVYPLSQNVTGIILNLVRTAHCIYQHGDGHGFQNHKTKDHAMSLLFDPIPL >EOY25532 pep chromosome:Theobroma_cacao_20110822:6:366661:369715:1 gene:TCM_026930 transcript:EOY25532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta-7 chain MREILHIQGGQCGNQIGAKFWEVICDEHGIDNTGKYSGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGLFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPHNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEYEEEEEEEEEVPA >EOY28115 pep chromosome:Theobroma_cacao_20110822:6:24267477:24268556:-1 gene:TCM_029774 transcript:EOY28115 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing-like protein MSSGNGEEVLNSRHACAACKHQRRKCDNDCVFAPHFPANRAHYFREVHKIFGVKNVTNLLEHLDDNDRRRAVESLQWEAFAWKEDPVLGPLGLFKKLEKELELLKEQLNNPQPVVPYQTKSGLIGFNHNSAGFVANGDDISIANFGYANSPNLGLDVNMNNYAGFFQRADNMENQGVNNIPVVPYYPIPEGQGRLVMQERVLDPVVRTTPVLPKQDAQSNQIIGSSMRPASHQRGSQGRAHAYYDGSVSGPQARRMH >EOY25557 pep chromosome:Theobroma_cacao_20110822:6:441206:445195:1 gene:TCM_026946 transcript:EOY25557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 2 isoform 2 MNIFKKKTSPKDALRTSKREMTVATRGIEREIASLQLEEKKLVAEIKKTAKTGNEAATRILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPEKQAKVIKEFQKQSAQMDMTIEMMSEAIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASRNAAPEVNARSESTDVDELEKRLASLRRI >EOY25559 pep chromosome:Theobroma_cacao_20110822:6:441074:445066:1 gene:TCM_026946 transcript:EOY25559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 2 isoform 2 MNIFKKKTSPKDALRTSKREMTVATRGIEREIASLQLEEKKLVAEIKKTAKTGNEATTRILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPEKQAKVIKEFQKQSAQMDMTIEMMSEAIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASRNAAPEVNARSESTDVDELEKRLASLRRI >EOY25558 pep chromosome:Theobroma_cacao_20110822:6:441983:445045:1 gene:TCM_026946 transcript:EOY25558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 2 isoform 2 MHTCSLLEAELYILLAATRILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPEKQAKVIKEFQKQSAQMDMTIEMMSEAIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASRNAAPEVNARSESTDVDELEKRLASLRRI >EOY25536 pep chromosome:Theobroma_cacao_20110822:6:380338:383230:-1 gene:TCM_026934 transcript:EOY25536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVWSSHIHHPQISWQPPATGELKFNVDGSAKGKPGLAGCGDILRNSELYVAHVNGDSYQPWNHWQIFNEIIDWVYQILFRPLVLNFPLPIHVQLYS >EOY27516 pep chromosome:Theobroma_cacao_20110822:6:22194664:22203265:-1 gene:TCM_029353 transcript:EOY27516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 10 precursor MVGLQVKANRWEVTPRSATLESIECYEGSLTMEASRTFSISHPHNSKSQNQQSCERSRKEWSMASPLVKLFSIFLIIISLFTPPALSVVPEECQTETNGCTNKEKALPLKLIAIFSILIASVIGVCSPLFTRSIPALHPDRNLFVIVKCFAAGIILATGFMHVLPDSFDMLSSSCLKENPWHKFPFTGFVAMLSAIVTLMVDSMATSIYSKKCSSGVIPEGGPAIGEGQHEMAVVNIGQSHGHGHYHGPKPAGNLDQQLLRYRVIAMVLELGIVVHSVVIGLSLGASNNTCTIRGLVAALCFHQMFEGMGLGGCILQAKYKTLKKVIMVFFFSVTTPFGIALGIAMSKTYKENSPTALITVGLLNASSAGLLIYMALVDLLSADFMGPKLQGSIKLQIKSYAAVLLGAGGMSLMAKWA >EOY27354 pep chromosome:Theobroma_cacao_20110822:6:21380461:21381337:1 gene:TCM_029219 transcript:EOY27354 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein MSRVADTMNHWLSFPENIGKLMFSSRTQDTHDNENKGFSSIPADILDTPKEFIFFLDVPGLSKTDIQVTVENEHTLVIKSGGKRKREEVEEEGCKYIRLERKPPQKRMRKIRLPENANASAITAKCENGVLTVVVEKLPPPPKPKTVEVAIA >EOY28705 pep chromosome:Theobroma_cacao_20110822:6:26309110:26310844:1 gene:TCM_030229 transcript:EOY28705 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, gamma subunit protein MSCSNLTMWVSSKPSLSDTSSLSFRSFISPFQLPSQSSAPNPSRSSSATQIHCGLRELRERIDSVKNTQKITEAMKLVAAAKVRRAQEAVVNGRPFSESLVEVLYNINEQLQTEDIDVPLTKVRPVKKVALVVVTGDRGLCGGFNNNIIKKAESRIAELKELGLDYTVISVGKKGNTYFLRRPYIPVDRFLEGTSLPTAKEAQAIADDVFSLFVSEEVDKVELLYTKFVSLVKSDPVIHTLLPLSPRGEICDINGVCVDAAEDEFFRLTTKEGKLTVERDVVRTETADFSPILQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMSAMSNATDNAVELRRTLSIVYNRERQAKITGEILEIVAGANALV >EOY26671 pep chromosome:Theobroma_cacao_20110822:6:16853474:16855052:-1 gene:TCM_028620 transcript:EOY26671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRFLQIIMWITIKGLISQNKFASLVKGFSDRVNEEVPTMESTSGEVPNSMQCNLNKDKLKNVAKPSQQKKKDQHGTINSKVVDPVIKRSLKGISKFIFQAGTSLKQGGQVFKARPKNNMSSNVKQTGIKIKEGAPPISKEGGNEQVRSIEVDKAIMMDTTIVADENNLVLSNVSMEGGGLPSLGDH >EOY26490 pep chromosome:Theobroma_cacao_20110822:6:12967456:12969646:-1 gene:TCM_028260 transcript:EOY26490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MAVPSLSKTRPIHSLFRSLYHPKPFLFFSSLTQEQPTSRPLANLVTEISRVLSDHRNPHHDLDRSLTTFSSHISTQLVEQVLKRCKNLGFSAHRFFLWAEKIPGFQHSDKSYHILVDILGSSKQFAILWDLLIEIRDGKQFEINPEIFWVVFRAYCRANLPGDAIRAFDRMVEFGIRPSIHDVDQLLFVLCKRKHVKQAQGFFDRVKHEFEPSAKSYSILVRGWGDIGEACQARKVFDEMVQRGCSIDVLAYNCLLEALCKSGNVDEAYKMFGAMGSSRIELDACSYSVFIRAYCEANDIHAAFRVLDRMRRYNLVPNLFTYNCIIRKLCNNDKVDEAYQLLTEMIERGISPDEWSYNAILAYHCEHSEVNRALNLISRMEKNECFPDRHTYNMALKLLIRIGRFDRATELWESMANRGFYPSVSTYAVMIHGLCKKRGKLEEACRYFEIMIDEGIPPYSSTVELLRNRLLGLGFLDNIEILANKMARSSSCSIQELANAMRGKTAYRRTRSEETELESE >EOY25804 pep chromosome:Theobroma_cacao_20110822:6:1984083:1987818:1 gene:TCM_027165 transcript:EOY25804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MTMLLLLMPLLSVPQWYQDRPCVSALEALSLQVPLPIASVDFYGESNTPKTISLLFLVREFLCPLTSLSKDFLYFCSVLLVSWTCDSKKHMKMLLRSSSTPILNSWLPHSKDCSSPEPDFPNFQRNRSVYSPSPSDDHRQKLTQTLADINIQNGTPKPRKKKDKAIIPTSHSVDKHAKQESDQVKESKPNSCSIQRLFSSSGLGAKIVDEDGEDSIVMQTPVMGDGAGNHGGKSCGGGGRGGGSDAGDDGGVSGCFESNNHGSDSTDVYYQKMIEANPGNPLLLGNYAKFLKEIRGDFAKAEEYCGRAILMNPDDGNVLSLYADLIWQNQKDAHRAKSYFDRAVKTAPDDCFVLASYAKFLWDAEELEEEEEEHDQSRQETEHSRISHPDFFLRPPHNPPITAAS >EOY27709 pep chromosome:Theobroma_cacao_20110822:6:22894040:22896189:-1 gene:TCM_029491 transcript:EOY27709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear receptor coactivator 6, putative MMGFNQTLKHFFALLLILFIFLIVSFACPASCNEMLPKNTPSNQPAPAYQVLDIKNTTPFFLNKQEFDKKRKRKMSRRKTSKKHFKARPFSVMLPKGSVPPSGSSPCHNDKPDSSVVLFCGLSAAKP >EOY28432 pep chromosome:Theobroma_cacao_20110822:6:25343994:25345400:-1 gene:TCM_030001 transcript:EOY28432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQRNQKNTLFFGLSFCNKRTANDLEKQKGFSNFFQTSKIAVAMLMNLRCSWFSRLLNFMAMGAVLQTHRSSKSRDLLVNIQKP >EOY27629 pep chromosome:Theobroma_cacao_20110822:6:22565325:22569020:1 gene:TCM_029425 transcript:EOY27629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar RNA-binding Nop10p family protein MMFPTQAPRDNYSVGPFPFMCQSLDGKLRLSLRIWNLLGSTASKVTLFCAQPASTASLLLQAPAEESCYSFSLDKMYLQFYINENGDKVYTTKKESPVGMPTQSAHPARFSPDDKYSRQRVLLKKRFGLLPTQQPPPKY >EOY26624 pep chromosome:Theobroma_cacao_20110822:6:15640180:15642998:1 gene:TCM_028508 transcript:EOY26624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTMIASRFIRYGCQGFLVVVLDIQMDVGYMVDVPILGKYVDEFLRELIRLPLKREITFWYHQLRIRGGDIPKTTFHMRYGHYEFLVMSFGLTTALIALMDIMNKVGERRLLGLKIVQETTDKIQFIRERMLMSQSHQKSYADHRCQELEFKVSDHVFLRVSPTKGFLRFGKKGKLSLRYIEPFEIFVKVAW >EOY27966 pep chromosome:Theobroma_cacao_20110822:6:23774149:23782322:1 gene:TCM_029671 transcript:EOY27966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MELSWASPQSWMILSSIFFCVLLIQCFMGILAVITAANLTTDQYALLEFKDSLNPDTVLANNWTSSTSVCNWVGVSCSSNPERVTSLNLCSMNLTGTISPHLGDLSSLLSLDLSGNKLNGYLPSTIYNLSSLQIMDLTSNGLSGDFPDDLCTYFPKLEVLHLAFNGFSGSVPSSLGDCTNLQNLSLSNNRFNGFIPRSIGNLTRLKEIHLGGNRLRGEIPWEIGNLFNLEIFAAENNRGLTGGIPSSIFNISSLTKLLLFNNSLSGGLPDNVCNHLSKLEGLHLSLNRFSGHIPSSIGGCSNLQDLSLSTNQFNGTIPRGIGNLTSLKRLSLRENDLTGEIPWEIGNLYSLEIFAAQHMRLTGSIPPSIFNISSLKEISLHNNSLSGEIPSMISITNLELLRLWGNNLSGNIPNFISNASKLTTLSLQENSFSGLIPNTLGNLRFLERLSLASNNLITETSTHEWSFLSSLSNCRNLRYLNLSSNPLNGILPGSISNLSTSLQFFYASGVKITGNIPREIGNLSNITTLDLSHNELSGSIRAPIGRLRNVQGLYLDGNQLQGSIPPSVCGLGRLHTLSLGGNMLHGPIPTCLANLTSLRYLHLDSNKLNSIIPLTLWSLNNILLVDLSSNYLNGSLPSGIQKLKVLTHLNLSRNLLSGEIWSSIGELQDLISLDLSNNRFDGYIPESFGDLISLKSLDLSNNDLYGVIPKSLEKLSFLNHFNVSFNRLEGEIPIGGPFRNFSAKSFMKNYGLCGSPVLQVPPCKSRQSKMTPWHVFKYVLPVVTSITLIAAVFILHKRCRRRNINLPVNDDLLPLKKWRRISYSELLQATNEFDECNLLGSGGFGSVYRGTLSDGMNVAIKVFNMHLEGRFKSFDVECEAMRNIFHRNLVKVISSCSNVDFKALIFEFMPNGSLEKWLYFSNYFLNLLQRIDIMIDVASALEYLHFGCSVPVIHCDLKPSNILLDKDMVAHVGDFGLAKLMGEEDSMRQTKTLATIGYMAPEYGSSGIVSPKGDVYSYGILLMETFTRKKPTDEIFSGEMSLMDWVKRSLSNGTIDDVLDANLLREEEHFIDKVNVLSSIMGLALECTAELPKERKNMKDVVAILKKIKREGKIGERNCMFGDDYQAFTITDVAVCEYNR >EOY26923 pep chromosome:Theobroma_cacao_20110822:6:19119216:19123299:1 gene:TCM_028882 transcript:EOY26923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKNKKNMLELKALIQSLTLIMQTFEDHIIGRILNGLKLQHDEPSVHIKHDVVDAAENNVTRVNDVLDDAVAGDLTLQSVDVEGNHVLEANTVVEATTGEDGNLALVEAEGDHVLQNTPEGNVSRVSSLELSDVHHRDALISNPTEWVRVNMTSKYMASQ >EOY25672 pep chromosome:Theobroma_cacao_20110822:6:1107927:1109752:1 gene:TCM_027056 transcript:EOY25672 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding and BBE domain-containing protein MFNLRSIQIDMASETAWVQAGATTGELYYRIAEKSKVHGFPSGVCTTLGIGGHFSGGGYGPLMRKYGLSIDNVIDAQLIDANGRILNRKSMGEDVFWAIRGGGGTSFGIILSWTIKLVRVPAKVTVFNVQRTLDQGATDLSYRWQQVAPNLPKDLFLRLQADPITGTGSGNKTIRVSFIAHFLGQTDRLIQLMNANFPELGLQRSDCIEMSWVESTLFWAGFPNGTSIDVLLNRVQEDRVFYKTKSDYYKQVIPKEGLETLWEILMDIEDIFIQLNPYGGRMEEISESETAFAHRAGNLYKVQYTVLWSESDGGISAEERYVELSRRLYSAMTPYASSNPREAFINYRDLDIGSNESNNTDFAVASVYGAKYFKNNFLRLTRVKAMIDPENFFKNEQSIPPLPSHKF >EOY27464 pep chromosome:Theobroma_cacao_20110822:6:21922017:21926264:1 gene:TCM_029309 transcript:EOY27464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein, putative MASTWRKLKKSLIPKISINHHHRRSSSSSSSANGIASSPSSSVSRSSSLLSYSFSFRSSKKICAICLGSLKKGEGQAIFTAECSHPFHFNCIATNVQHGNRICPICRSEWRDIPFQAPSNAADSRHNNSTSRAQASPYNAPLEDTFVSGVSHNLLQSTLPQFEPDYFSDDEPIPAIHAGPASSTRPQTITIKALPEFPAVLASDAASKFAVLVGIRAPPFHVDVQKFDRAPIDLVAVLDVSGSMAGKLALLKRAVCFIIQNLGPSDRLSIVTFSSSARRMLPLRRMSGGGHDEAIRVINALTSSGGTNIVEGLKKGVKVLEERREQNPIASIILLSDGHDTLNGDTYRLYRSVQNHTLNPRHNLQYLYLLPPSICPRNNASGAESRQLTIPVHTFGFGSEHDSNAMHAISDVSGGTYSFIESIDILQDAFARCIGGLLSVVAQDVQLTMQSISPGVQIGSIPSGRYKSEILSQGQKAIIYVGSLYADEEKEFLVYLSIPASTYADGEKKLGNMLLLDVLCSYTYSTTMEIFHLSCERVEIRRPEVLSPTDGLVCLEVDRQRNRLCVAEAIANAQRIAELGDLEHAQAVLSEQRIALLSSVSAQAGDRLCNWLEAELRETRQRMANMELYEQTGRAYVLSGLSSHSWQRATTRGYTTTIIPGEGVNPGTSGTISYETPSMVNMVSRSQVLNYVSPQQPQRLSKSCSQVQRNK >EOY28706 pep chromosome:Theobroma_cacao_20110822:6:26312581:26323125:1 gene:TCM_030230 transcript:EOY28706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble starch synthase 3, chloroplastic/amyloplastic isoform 1 MEVSLQGQRPLSYKDVFNHTNVRFKIKPFLGSFPFARTTLFTPWRSEYPASKLSHRVTSSAADFSKRRQRRLSTPSSKGPAPKGFTPKTQVGTSTQKRDLKSNGEKEDSSIPTSSESAVLDKTEIESNIALEEESTIELYQKNRVDEAETEEPKEDIPSMGKELSVGKSNQNVENGRSIGKILEDVAELQKNETTLKSDTVSTARDVSSEGKHLDGTKTDETVSIKDESVESDEKTIEDTLKLKLEMEANLRKQEIEGLAEENFSRGNKVFVYPQSIKPDEDIEVFLNRSFSTLANESDILIMGAFNDWRWRSFTVRLKKTHLNGDWWSCQIHVPKEAYKMDFVFFNGQNFYDNNDTKDFCIPVEGGMDVFSFEDFLLEEKRRELEKLAKERAEKERQEEEKKRIEAEKAASEADRAQARVETERRREFLQQLMKKAASSVDNIWFIEPKEFKGGDKVKLHYNKSSGPLAHANELWIHGGHNNWNDGLTIIEKLVRSERESGDWRYAEVVIPDRALVLDWVFADGPPKSATMYDNNNYEDFHAIVPKSIPEELYWVEEEHRMFRKLQEERKLREELIRAKAEKTARMKAEMKERTLKRFLLSQKHIVYTEPLDVHAGSIVTVFYNPANTVLNGKPEVWFRCSFNRWTHRMGPLPPQRMLPVDNGSHVKATVKVPLDAYMMDFVFSEREDGGIFDNKGGMDYHIPVFGGIVNEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLNHNVDIIFPKYDCLNFSHVKDLHYQRSYSWGGTEIKVWLGKVEGLSVYFLEPQNGFFCTGCVYGSRNDAERFGFFCHAALEFLHQGGFHPDIIHCHDWSSAPVAWLFKDHYMHYSLGKNRVVFTIHNLEFGAHFIAKAMAYADKATTVSHTYSREVAGNPAVAPHLHKFHGILNGIDLDIWDPYNDKFIPICYTSENVVEGKRAAKEALQQRLGLKKADVPLVGIITRLTHQKGIHLIKHAIWHTLERNGQVVLLGSAPDPRIQNDFVNLANQLHSSHGDRARLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLTAMRYGSIPVVRKTGGLYDTVFDVDHDKDRADSQGLEPNGFNFDGADSGGVDYALNRAISAWYDGREWFYSLCKRVMEQDWSWNRPALDYMELYHAATKN >EOY28707 pep chromosome:Theobroma_cacao_20110822:6:26312581:26320149:1 gene:TCM_030230 transcript:EOY28707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble starch synthase 3, chloroplastic/amyloplastic isoform 1 MEVSLQGQRPLSYKDVFNHTNVRFKIKPFLGSFPFARTTLFTPWRSEYPASKLSHRVTSSAADFSKRRQRRLSTPSSKGPAPKGFTPKTQVGTSTQKRDLKSNGEKEDSSIPTSSESAVLDKTEIESNIALEEESTIELYQKNRVDEAETEEPKEDIPSMGKELSVGKSNQNVENGRSIGKILEDVAELQKNETTLKSDTVSTARDVSSEGKHLDGTKTDETVSIKDESVESDEKTIEDTLKLKLEMEANLRKQEIEGLAEENFSRGNKVFVYPQSIKPDEDIEVFLNRSFSTLANESDILIMGAFNDWRWRSFTVRLKKTHLNGDWWSCQIHVPKEAYKMDFVFFNGQNFYDNNDTKDFCIPVEGGMDVFSFEDFLLEEKRRELEKLAKERAEKERQEEEKKRIEAEKAASEADRAQARVETERRREFLQQLMKKAASSVDNIWFIEPKEFKGGDKVKLHYNKSSGPLAHANELWIHGGHNNWNDGLTIIEKLVRSERESGDWRYAEVVIPDRALVLDWVFADGPPKSATMYDNNNYEDFHAIVPKSIPEELYWVEEEHRMFRKLQEERKLREELIRAKAEKTARMKAEMKERTLKRFLLSQKHIVYTEPLDVHAGSIVTVFYNPANTVLNGKPEVWFRCSFNRWTHRMGPLPPQRMLPVDNGSHVKATVKVPLDAYMMDFVFSEREDGGIFDNKGGMDYHIPVFGGIVNEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLNHNVDIIFPKYDCLNFSHVKDLHYQRSYSWGGTEIKVWLGKVEGLSVYFLEPQNGFFCTGCVYGSRNDAERFGFFCHAALEFLHQGGFHPDIIHCHDWSSAPVAWLFKDHYMHYSLGKNRVVFTIHNLEFGAHFIAKAMAYADKATTVCS >EOY28567 pep chromosome:Theobroma_cacao_20110822:6:25829933:25830893:-1 gene:TCM_030108 transcript:EOY28567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich protein, putative MNPKISDFGMARIVEENQNLEQTKKIVGTYGYISPEYALHGLFSFKSDVYSFGVLTLEIVHGKTNTSFFNSERAENLLSHAWRWWKQRTPLEMMDPTLRDSYVSDEVIRCIQVGLLCVQQNPNARPMMAKIVSMLSSSAVSVPPPQQPAFFFGPQTAGSTSIVELGFDQPTSKSKCTSINEASITEFYPR >EOY27090 pep chromosome:Theobroma_cacao_20110822:6:20087266:20092481:1 gene:TCM_029023 transcript:EOY27090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance protein ABC transporter family MHQNSHSFSLLVTALNIKLLLQTHNIPIFDVMPWPINILLLFCAFTKLLSSATQRNEDQSLSEPLLVSKAEKTQVGIGQASFFSKLTFSWINPLFSLGYSKLLTLEDIPSLVDEDEARLAYEKFSHAWEFLVREKSLSNSTNLVLRALAKEYFKQNILIAICAFLRIVSVVSLPLLLYAFVNYSNHSEDNKYEGLSILGCLVICKVVESLSQRRWFFSSRRLGMRMRSALMVAVYQKLLKLSCVGRRRHSSGEVVNFIAVDAYRLGEFLWWLHAAWSLALQRFMSIGVLFYVVGLGALPGLVPLLICGLLNVPFANVPQKCPTEFMVAQDERLRLTSEVLNNMKIIKLQSWEEIFKNWIEARRDNEFKWLAKEQISKAYGTDLFWISPTIISSVIFLGCALFGSAPPNASTIFTVLATPRSMGEPVTMIPGAPSIIMQVKVSTERINAFLLDDELKNEKGQKIAVCEPVGAGKPSLLHAMLGEIPKISGTSGTIHDNMLYGKPMDEEGYKRAVKSCALDKDIDNFDHGCPTEIVQRGINMSGGQKQRIQLARAVYDDADIYLLDDPFSAVDAQTAAVLFNDCVMAALGKKTVVLVTHQVEFLSKVDRILAAASYWLAVSIQIPKISSGVLIGVYTGISTLSAVFVHLSFMAAGSIELLAAIGVIAFVTWEVLVVAILAFGAVKYIQGYHLASARELIRINGTTKAPVMNYAAETSVGVVTIRAFNKVERFFKNYLKPVDTDAALFFHCAAAMEWLILRIEALQNLTMFTSFFLLGLLPKGYVAPGLAGLSLSYALSITSTQVFMSRWYCTLSNYIISVERIKQFMSIPAEPPATIEDKRPPSTWPSKGRIELLELKIRYHPNAPLVLKEITCIFKEGTRVRVVGRTGSGKSTLISALFRLVVPARGNILIDGLYICSIGLKDLRMKLSIIPQEPTLFRGCVRTNLDPLGLYTDEDIWKSVMKGRIGVWDSDNSFALEESCL >EOY28632 pep chromosome:Theobroma_cacao_20110822:6:26099823:26103584:1 gene:TCM_030181 transcript:EOY28632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCFRFHGIMISNLAFVFQNIFSKKGMKGKSVSGMHYYACLSISSLLIFRPFVITIAEGLLHLIARYSLNFLALKCAAIRKVITTGMLLSSRQILKGYEKLVLSFRRLAGIRQSHKLDLIFFN >EOY28711 pep chromosome:Theobroma_cacao_20110822:6:26328549:26332817:1 gene:TCM_030233 transcript:EOY28711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 2 MSVKSRGELAAKHVLSRNLALLLCFASFCAGMFFTNRMWMLPDGKGIPRTSRIGVEQSLNCDKKIKALNNEANSSGGSSGSQHSIQTLDRAISDLEMKIVAARAERETIMKDPIISEDLKNVKSTLKRKYFMVIGINTAFSSRKRRDSVRSTWMPQAEKRKKLEEEKGIIIRFVIGHSSTSGGILDKAIEAEEKVHGDFLRLQHIEGYLELSAKTKTYFATAVSLWDAEFYVKVDDDVHVNLATLGSTLAGHSNKPRVYIGCMKSGPVLARKGVKYHEPEYWKFGEVGNKYFRHATGQLYAISKDLATYISINQCGAC >EOY28710 pep chromosome:Theobroma_cacao_20110822:6:26328510:26333453:1 gene:TCM_030233 transcript:EOY28710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 2 MSVKSRGELAAKHVLSRNLALLLCFASFCAGMFFTNRMWMLPDGKGIPRTSRIGVEQSLNCDKKIKALNNEANSSGGSSGSQHSIHLLLYIECRTLDRAISDLEMKIVAARAERETIMKDPIISEDLKNVKSTLKRKYFMVIGINTAFSSRKRRDSVRSTWMPQAEKRKKLEEEKGIIIRFVIGHSSTSGGILDKAIEAEEKVHGDFLRLQHIEGYLELSAKTKTYFATAVSLWDAEFYVKVDDDVHVNLATLGSTLAGHSNKPRVYIGCMKSGPVLARKGVKYHEPEYWKFGEVGNKYFRHATGQLYAISKDLATYISINQNVLHKYANEDVSLGSWFIGLDVEHVDDRRLCCGTPPDCEWKAQAGNICVASFDWRCSGICRSVERIIEVHERCGEDKNALWSTNFVQTTSSSF >EOY26924 pep chromosome:Theobroma_cacao_20110822:6:19161228:19165831:1 gene:TCM_028884 transcript:EOY26924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like transcription factor family protein MTRRCSHCSNNGHNSRTCPTRGGGGSGGVGGGVKLFGVRLTDGSIIKKSASMGNLSSAHYHSSSSAAASPNPDSPLSDHVRDPNHVPDGYLSDDPAAHASTNRRGERKKGVPWTEEEHRLFLIGLQKLGKGDWRGIARNFVMSRTPTQVASHAQKYFIRQSNVTRRKRRSSLFDMVPDDMATDTPPVPEDQVLLPSSQVEEADNANSIPSLNLSLNSEFEHGEAASQETVQETEETMMGSSEFTPMIHGFLPPYLPVPYPFWPPNPAPPHEEKGVEASQHQVLKPIPLLPKEPVNLDELVGMSQLSIGETENGHREPSPLSLKLLGEPSRQSAFHANAPVGGTDLSKGKTGAIQAV >EOY28842 pep chromosome:Theobroma_cacao_20110822:6:26685279:26686006:-1 gene:TCM_030327 transcript:EOY28842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonspecific lipid-transfer protein, putative MEKKLMSLSWSLGVLGLVVLFATASSVHAITCQDAIMALMPCQKFLTGFAYKPCALCCKAMANVNAAANTTQVRRDLCTCFQQAGPALGVMPDKAEQLPQVCGLTVFVPMDPNIDCST >EOY27415 pep chromosome:Theobroma_cacao_20110822:6:21602096:21606549:1 gene:TCM_029263 transcript:EOY27415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle triple-A 1A MAPEPEDEIKDEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >EOY28788 pep chromosome:Theobroma_cacao_20110822:6:26539780:26541015:1 gene:TCM_030292 transcript:EOY28788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-like RbcX protein METLAVLPLTQPPFLPSNPMNKDTGFPSWPCKQRSSYSSTRLNCQKMFVPGFGEASPEAKASKNLHNFFNYIAVKIVSAQLQSYNPEAYKELMEFLNTHSLNDGDKFCASLMRESSRHKSLALRILEVRSAYCKHDFEWDNLKRLASKMVDESNTKLMREYVLETSPATEKETGK >EOY26512 pep chromosome:Theobroma_cacao_20110822:6:13379111:13380757:1 gene:TCM_028295 transcript:EOY26512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSRKWASFISCIASFMYFLLIIFQIPLFRVPCRFGICKTPIEVTSSQLIASELFPTYVVKVLLYPGAIANAIIKNRSLPSYGKLLKLYNLTNVRKPPAASDLQRLEILAGSYLAVVGAFVSLLRHERMSLFGTMLITWGLLREIIMVPTKAIHMYPTMIVAMVCASLSVRRDVRKLIHSCKARRVKIL >EOY25948 pep chromosome:Theobroma_cacao_20110822:6:2869028:2872347:-1 gene:TCM_027307 transcript:EOY25948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MIRCRITPFYSFSTLPLSATRSNQQLHPSKIFKCNETIRRLAKLGRVRDARQVFDSIPQKDSVTWNSMISGYIQNGFLKEANSLFNAFEAKNVRSWTIMLTGYFKYGLINEARMVFESMPERNIVSWNALVSGYVQNGDLRKAREVFDDMPERNVTSWNSLMTGYCRCGMMKEARETFDRMEEGLKNSVSWMVLVSGYVEVKEYREAWGVFLMMLRTGARPSQALLVVGLSAVSGLNDLDLVLSLRTFGVKLGLEEDVVVGTAVLNAYTRNGGAYEAVKFFELMPEKNEYSWTTMIAAFSHWGKLDDAVALYERYGEKDVAVQTTMMSVYAQKGDVFEARRIFDEILNPNVIAWNAMISGYAQNGMLEEAKEMFFRMPVRNAVSWAAIISGFVQNGSNKGALDLFAELLRTGSVPNHWGFTSALLACANDGDIEAGRQIHSLTIKAGAQNNSFVGNGLISMYAKCKKMEDVSQVFNTMRMRDTISWNSVISGFLENCMLDDAKDIFKKMPKQDVVSWTSIISAYVQAGQGETALKIFLDMLIVGIKPNDLTFTSLLSVCANLAAAKLGQQFHAWIFKYGFSSCLCVCNSLITMYSKCGSIDGLHVFEDMPERDIVTWNAVLTGCAQNGLGKEAVKVFEEMEAAGVPPNEISFLGLLGACTHAGLVEKGRAYFNSMTQDHGMNPSIYHYTCMVDLLGSAGLLSEAEALIENMPVEPDSVIWGALLAACKLHRNMEIGQRVAERLLKMGDKDMGLTS >EOY27998 pep chromosome:Theobroma_cacao_20110822:6:23909969:23911549:-1 gene:TCM_029697 transcript:EOY27998 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-mannose binding lectin protein with Apple-like carbohydrate-binding domain, putative MHCSFPSFSLALFTLLFFILCSSNVANAKVPPSRTFKYINEGDLGEYSVEYFADYRALPIYLFPFQLCFYNTTPNAYTLALRMGHRRSESIMRWVWEANRGRPVGENATLTLGSEGNLVLADADGTVVWQTGTAIKGVVGLKLLANGNLVLYDKKGKFIWQSFDHPTDTLLVGQALRSNGPNKLVSRTSTADGSEGPHSFVMEQRYLKLYYKSKYSPSPLLYYRSDEFGNGQGSLANLKFYSMPETEQAYAFELGFTFDMNNSSSSGTHILSRPKYNSTYSMLRVESDGNLKIYTFNENVDWGAWENTFKLFDGYDHESLCNLPKRCGSLGICEDNQCVACPKPKGLLGWSKNCTPPLLPPCKGGANVGYYKVVGVEHFTNAYVEGDGPMKLSDCRTKCSNDCGCMGFFYREESSKCLQVPELGTLVKVSNPAHVGYIKMPK >EOY25950 pep chromosome:Theobroma_cacao_20110822:6:2878780:2884613:-1 gene:TCM_027309 transcript:EOY25950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MPKLKLKAGSRPPWVGLAAAVWVEISAGNGYNFPLYSSALKSVLGFSQQQITILGVANDFGENVGLLAGIACNKFPPWAVLLVGVFACFLGYGVIWLAVSQTIIGLPYWVLWIALVVAANSNAWFGTAVLVTNMRNFPLSRGTVAGILKGYVGISAAAYTVLYSTLLEQSASKLLLFLTLGIPVICVAMMYFIRPCAPPSGEDSSVHAHFIFTQAASVLLAIYLLTLTVIYDTVSLSDAVSYILLAIVVILLLSPLGIPVKMTLFRANAETITPLAGSTEHLAQECDSTQAEPLLSPSSSASNLGSFFESEYASDVEILLAVGEGAVKKKRRPRRGEDFKFHEAFVKADFWLLWVIYFLGVGSGVTVLNNLAQIGVAFGVDNTTILLSLFSFCNFVGRLGSGALSEHFVRTRAIPRTLWMTCTLIIMVLAFVLYALALNGTLYVSTALLGVCCGFQYNLMVPTASELFGLKHFGVIYNFMLLGNPVGALLLSGLLAGYVYDAEAAKQGSSTCLGPECFRLTFFVLAGICGLGTFLSIFLTIRLRPVYKMLYSSGSFRLPQASDH >EOY25608 pep chromosome:Theobroma_cacao_20110822:6:642502:643466:1 gene:TCM_026988 transcript:EOY25608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSAAVAAAVAAACVSDKSSSSSVSVFSPPSPQPTLPLSRYESQKRRDWNTFGQYLRNHRPPLALSRCSGAHVLEFLKYLDQFGKTKVHTQNCPFFGHPHPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGQPELNPFGARAVRLYLREVRDVQAKARGIAYEKKKRKKPQQQIQSTATDTYEIGNHFQQGVSGQSSEAKRAMAVGNSLGGGGSMIALSVLN >EOY28056 pep chromosome:Theobroma_cacao_20110822:6:24100992:24103396:-1 gene:TCM_029737 transcript:EOY28056 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein MNKKIFLLIILFQIFGLVLSSSLQKYESIFNFGDSLSDTGNFLLSGALAFPVIGRLPYGETFFQHATGRCSDGRLIIDFIAEAFSLPYLPPYLAVTEGQSSEHGVNFAFAGATALDAEFFYERNIGSILWTNNSLNVQLGWFRKLKSTLCSNKQACDDFFGKSLFLVGEIGGNDYNYAFFLGGGIKQLQATVPLVVGVITNATSALIEEGAVELMVPGNLPIGCSAVYLTLFQSPNKADYDRHGCLKEFNAFARYHNDQLKQALTMLRQKYPHVRIIYADYYGAAMRFVHTPLHHGFYGGILRACCGGGGPYNFNNSARCGHTGSEVCQNPSLYSQWDGIHLTEAAYRYIALALINGSSSTPPLIPSAL >EOY27562 pep chromosome:Theobroma_cacao_20110822:6:22400050:22402939:-1 gene:TCM_046844 transcript:EOY27562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein MLTLLCRTPSALGVNNSSSLPFFAFKRTFQMAQSALDEMSDSGAFMRTASTFRNIISRDPNSRFPPESGRYHLYVSYACPWASRCLAYLKIKGLDKAISFTSVKPIWERTKETDEHMGWVFPTSITEEPGAEPDPFNGAKSIRELYELASTNYTGKYTVPVLWDKKFKTIVSNESAEIIRMLNTEFNDIAENPALDLYPPHLRAQIDETNEWIYSGINNGVYKCGFARKQGPYDEAVKQLYEALDKCEKILSKQRYICGNILTEADVRLFVTLIRFDEVYAVNFKCNKKLLREYPNLFNYTKDIYQIPGISGTVNVEHIKKTYYGSHPSINPSGIIPLGPNIDYSSPHDRARFSA >EOY26537 pep chromosome:Theobroma_cacao_20110822:6:13688100:13688913:-1 gene:TCM_028329 transcript:EOY26537 gene_biotype:protein_coding transcript_biotype:protein_coding description:late embryogenesis abundant protein-related / LEA protein-related [Source:Projected from Arabidopsis thaliana (AT1G52680) TAIR;Acc:AT1G52680] MASRTAQNIGNQGGDITGQAQVKKDETMNQASQGTNTQSSDQNSSIASQATNFLQQTGEQVKNMAQGAADAVKNTLGMNNDNSSNAPSTNHPSNPSPRT >EOY26418 pep chromosome:Theobroma_cacao_20110822:6:9888629:9927788:1 gene:TCM_028019 transcript:EOY26418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of auxin resistance1, putative MRVAGMEVPIIGSDSIKWIGLTVPSSLNRIDNGGNDGAATFAPPTVDSASATYFDGDSPFHLIWRLHKTQSNVLEIFKLSQEFPLNSGLRLIFCHPLSPFAFISTSPTDSHYLLYTLTVSGIAYFIKISKDLASIVSRDELIELDVRDYSNSNEPITCIAAKPGCLLLGRNDGSVTCFRLGLLHQTAPGFVYELRDDSGISLGRLWGFMSRGRAVGAVQDLIITEMHGKEIVFVLHGDGILRAWDLSSHTRILSHSTAVEGTTSTRLWLGESNNNSKIVPLAILYKRTLEVGMEMIYIYSLCYGTGDRMILSVDSSVKSFPVDEGGCIDVKLTSDKIWILKDNGLGYHHLFHRSSTTEEAHCYALQEEFIADQLFQSLEHTSDDLISIARSIFSSGKDHIVPFVSSIFLRRLLHPGVCQNIVLRATFLDYRKHWTDNEFQSLTVDGLKKEILSLVEHESIAESPISIFQGWKNFCCRYFECWCKNNAPYCLIVQSTSGAVGLIRKHSVSLFRGLENAELLIDGLSEDLGDLVSFGLDLFDDSSDREILFEVLRCVINISQQLGKTASFIFYESFVGRQIISSEEIVPRLVKILETGYGSSTGVGHVSGLGADVAWERELIDHKNLRKFSVDMLVSLHVLCKKAASWKKVLDVIESYLQFLVPQKFTQDPGAETLSCLNNSILVQASCQIAKFMFESALDILLFVSYLMNIGGQINMTHDDISRIQLELVPMIDEIISEWLIILFFSTTPSESPATEDFSSQLSLLQIDNNINKRSWIEKLGKCDFTLASLLLLNSQSSSGYERCLSLGCLPDPHDVISSVQKFTSWIVWGNTGEVSSSFLRRSTELAIVLLRHGQYDAVEYLLTTVEAKARGEKIFRSIQDTSGDWCLLQHILGCCLLAQTQRGLHGILKERKVCEAVCCFFRAASGEGASQALQSLSQESGLLYLGFNGHVSAAWKLHYYQWAMQLFEQYNISEGACQFALAALEQVDALNLRGDGYERDPSNESATTIKGRLWANLFKFTLDLNLLNDAYCAILSNPDEESKYICLRRFIIVLYERGAIKILCNGQLPFIGLADKIEQELAWKAERTDILAKPNPYKLLYAFEMHRHNWRRAASYIYLYSARLQTESILKDQQHMSVTLHERLNALSAAVNALHLVHPAYAWIDSLPEGHPLQNDHYPSKKAKRTVKEQSGNDVRAQRLQFYVDIEKLENEFMLTSAEYLLSLANIKWTYSDIQKAPSDLVELLVQTNLYDMAFAVLLKFWKDSELKRELEKIFSAMSLKCCPSTVSLSWTGAHNLLLTSSKDEVVVHGSPDMAPTAQQTKANCHWETLEHYLEKYKYIHARLPLVVAETLLRTDPHIELPLWLVKMFKESQRRSWGMTGPDPSPASLFRLYADYGRYIEATNLFLEYVEAFASMRPVDIINRKRPSAVWFPYNTLEQLWCQLDGLINLGHMVDQCDKLKRLLHGALLNHLKQLKVDSDDAVSSAS >EOY25578 pep chromosome:Theobroma_cacao_20110822:6:520579:523104:-1 gene:TCM_026963 transcript:EOY25578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAHCHPAVGLLQPMPEGMGRRRGSGASGPRPTSSSPMPIS >EOY26947 pep chromosome:Theobroma_cacao_20110822:6:19322514:19330884:-1 gene:TCM_028904 transcript:EOY26947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRDSKEVRNVNSVREFTNETMINQRMNFKEALTRNINEREVRTQGKVDMNKANVSRGKETKSIDDCAKEVKTILIEKDELNWLEWVNIGVRPIGGQFVLVSFRNKDEIETLLNQYWDIFEPWVISLSPYSAGKEIPSRYFAFALIEVNGKGFQVKALIKEVLSCEAISRYDELSSEPFGESDQGLKKSKVGMDRMTECAREGVQRLLEKWLRSKNQDLELEGTKESLQSIDGRKTKKKACGKIDKAIEGKSKCKVKSKLKKMEGFFRSKKESDEDAEVGEVDNDNETGLIFEMSRLMGFEFIKRKEKVLNYFVDMEKYRTKGAPNIGDKISGSFVASMGKTKGLISIWHDDFFQVCEQIHNRHFLVVIGKVTEVEKCYGFINIYYLNEESLKQNLCEELEQLMRSKNILWCLGEDFNIIRNKQKMVGKEGIRRLEEKFNEFINNLALVDLELTRDRNKTKNGEEAKLWDKLRDLKLDDTKWEIVQKKVELWRLYRTDKVEWRQRSRCKWVQEGDCNAKCLFDWEKSQWYALGDTLKEVQLNKKMKDVLIWKCEVSGRKRNLLSLFHQMQQNLEGSYAKMKQKQVRKRIKWAAPKQGFIKFNVDSSTKGCLGLAGIGGILTNEFGEVKISFSKSIGITDSSQVEIMAVKEAIMSFSTSKWKDSHHPIVESDAINIVS >EOY26952 pep chromosome:Theobroma_cacao_20110822:6:19347790:19348477:-1 gene:TCM_028907 transcript:EOY26952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVSMRTTCGGGVTVATPQILIFLLFFSSTLDMKFAMLESGRSGIYICHQAEFPNLYELESSCMCS >EOY27426 pep chromosome:Theobroma_cacao_20110822:6:21664866:21668680:1 gene:TCM_029273 transcript:EOY27426 gene_biotype:protein_coding transcript_biotype:protein_coding description:XS domain-containing / XS zinc finger domain-containing protein-related-like protein MGKEFAFDLIYGVGNANENEIIELSYATFAEELQLQEVLKESMIASEITKNELSSSSSSSPLPSSISTPQAISSPSSEQSLEELEMEELERAFNFKTLKDQEVAVAEAFKKSACPVCHKLSCTECTIPQDSGLNCLEKQWIDWDELRRGQAQSSGLSCNEQQRINWDEKGREHSMAWSPRWSGPTGHYQPKFKGRRTGDIPRNTSSNESHAKTSLRFGNVPIAGIDNNQDPKGKGKAIDNDWLLVSKRKPKSRAGSGTAKRWGPRHPNPLKGSENNNRHVVKVNEVQNVVHEDDYDTLDDEDDFDSDTSQKSHESRKKSKTFKEFFERIDALNIEEIDETLWHCPACQGGPGAIKWYRSIQDLIAHAKNIGARRVKRHRELAELLEEELRRKGTSIAPAGQTVFGNWKGLKEDARDHEIVWPPIVVIVNTMTNIYKDGKCVGMGSLELLEHFSSYPALKAQHSYGPEGHCGLSVLIFESSAVGYLEAERLHKNFVEQGLDRFAWNFCSDVILPGGGRQLYGFMAVKEDLDVFNQHCQGKSKVKYELKSYQMAVLNEIKKMSEDSQQLIWLKDRLAQERKRAKTFEQSVRNLSRNLQQKTKDIHIFKQRVQSLHEQNKEEMDYQENFYKDQIKILEARMKELEKLQESDANPLHREEPEPAVACPMEEYTPYQHNSNHDIDEVEE >EOY26520 pep chromosome:Theobroma_cacao_20110822:6:13531969:13534170:1 gene:TCM_028310 transcript:EOY26520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFVQVANQIFVPQTKYAKDLLKRFKMELYELVPTPLSISDKLCKNDGAAKHDRTKHIRLSIMRLEK >EOY28629 pep chromosome:Theobroma_cacao_20110822:6:26089493:26090843:1 gene:TCM_030179 transcript:EOY28629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal-5\'-phosphate-dependent enzyme family protein isoform 1 MACNIKALSLNHQILSGTTVERKMGTASAANVSVVPETVTKTLPASTTLTIKAPQPERLLIKTVDRKEINHGKFGRFGGKYVPETLMSCLGKLEAEFNLVLHDSEFQEELTTALRDYVGRETPLYFAQRLTDHYKNSRGEGPEIYLKREDLSHGGAHKINNAIAQAMIAKRMGRKTIVAATGAGQHGVATAAACAKLSLECTIFMGATDMEKQASNVLLMKLLGAKVESVEGAFKEASSQAIREWVGNLETSYYLTGTVVGPHPCPSMVREFQSVIGKETRRQAMEKWGGKPDVLVACIGSGSNALGLFHEFINDEDVRLIGVEAAGFGLDSGRHSATLARGDVGVYHGAMSYLLQDAEGQILGPHSIGVGYVLD >EOY28628 pep chromosome:Theobroma_cacao_20110822:6:26089428:26091653:1 gene:TCM_030179 transcript:EOY28628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal-5\'-phosphate-dependent enzyme family protein isoform 1 MACNIKALSLNHQILSGTTVERKMGTASAANVSVVPETVTKTLPASTTLTIKAPQPERLLIKTVDRKEINHGKFGRFGGKYVPETLMSCLGKLEAEFNLVLHDSEFQEELTTALRDYVGRETPLYFAQRLTDHYKNSRGEGPEIYLKREDLSHGGAHKINNAIAQAMIAKRMGRKTIVAATGAGQHGVATAAACAKLSLECTIFMGATDMEKQASNVLLMKLLGAKVESVEGAFKEASSQAIREWVGNLETSYYLTGTVVGPHPCPSMVREFQSVIGKETRRQAMEKWGGKPDVLVACIGSGSNALGLFHEFINDEDVRLIGVEAAGFGLDSGRHSATLARGDVGVYHGAMSYLLQDAEGQILGPHSIGVGLEYPGVGPEVSFLKETGRAEFHSATDQEAIDAYRRLCKLEGIFPALEASHALAFLEKLCPTLANGTKVVVNISGRGDKDSDIVFQYKPHSMT >EOY28262 pep chromosome:Theobroma_cacao_20110822:6:24777560:24782313:1 gene:TCM_029886 transcript:EOY28262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MSKITTSSLSPRTKGAAPFLWKTYALLEEGEEGAETADDRKKIVSWNAEGTGFVVWSPAEFSELTLPRYFKHNNFSSFIRQLNTYVIGLSMNGQKAEFRCRDLNPGLSGESRQGFKKTSSKRWEFRHEKFQRGCKHMLVEITRKKMEPSVFPAFLKASDEDKANHAEENSCQTLLEENEILRREKVELQTQIAQFKALEVKLLDSLAQHMGNTNHKERRISPLGSPDKSVEPELEDWLKNGKKIRVAELQRIIHDLRKRKRFTQALEVSEWMNKKGICTFSHTEHAVQLDLIGRVRGFLSAESYFDSLKDQDKTDKTYGALLNCYVRQRQTDKSLSHLQKMKDLGFTSSPLTYNGIMCLYTNIGQHEKVPDIMREMKENKVSPDNFSYRICINAYGVMSDLEGMERILKEMESQSHIKMDWNTYAVVANFCIKAGLTERAIDALKKSEQKLDNKDGTAFNHLISLYANLGNKAEVLRLWGLEKASCKRYINKDFITMLQSLVKLDAFEEAEKVLEEWASSGNCYDFRVPSIIIIGYAEKGLHEKSEAMLENLMEKGKVTTPNSWGVVAAGYLDKGQVRKALECMKTALFLTVENKGWRPNLRVITSILEWLGNEGSIQDAEDFVASLRTVIPVDRKMYNALLKATIRDGKGVDKLLDLMKADKIDEDEETKTILAMKSS >EOY26795 pep chromosome:Theobroma_cacao_20110822:6:17882195:17882966:1 gene:TCM_028749 transcript:EOY26795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEATRGREATGFLDMVLPPRLEDAGLEDCALPPDSIHEAFRKAASAVKSRGATFFHSDDEDDDEPGCGDDPFPDSAKCSSEFLASLPCQDMSDVLVVGEPLDPASDSVGGCVKVKEGGREVVEGRDTVVVGGDGVEAGDGRGCIDDELKGLEIGRKERRKNENQEEEETGKEKPILVEGFA >EOY26464 pep chromosome:Theobroma_cacao_20110822:6:11596331:11639381:-1 gene:TCM_028142 transcript:EOY26464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQEIGSFEACLSSNVNDQSSPPNSGTGTRDKASTMAENSDGTGGNEAMNNVADKNSKNISIKLPTRVAMSQHGDGHQLEHVGVEIEVHPLVRRKRHSDTKISIDKIFSLTSDKAVDIRENDEASDEDSISVNFAASWECERYF >EOY28709 pep chromosome:Theobroma_cacao_20110822:6:26324051:26327129:1 gene:TCM_030232 transcript:EOY28709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADWHGIMSNNTGGCSRRSMRDEDFDEEEVWSCVKEKEDSSSTPRKPRESSSSSSAWRLPSAPRMIRRVGNSASNENKVAQQSSAPVNIPDWSEIYGKHANMESSRNGSWVDNGGGMVYGDGDYGGCGEDYEDDDMVPPHEWLARKLARSQISSFSVCEGMGRTLKGRDLSKDVMNLELENRVVKQVGAAFLFQEEKEKGKKQLAASEILSGSGVGFVFWV >EOY28079 pep chromosome:Theobroma_cacao_20110822:6:24180821:24183996:-1 gene:TCM_029756 transcript:EOY28079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase MIFSNLKRLPLLSPPFVKLMASSTSLNGGSLSNSSQRLLALAQQLRHYRPPTCSLDDNEEQIMEEAAGKVVSQVGFQESVTPVAKNPEKFRPKRAAVLICLFEGDAGDLRVILTKRSSRLSTHSGEVSLPGGKAEEGDKDDGDTATREAKEEIGLDPSLVNVVTVLEPFLSKHLLRVVPVIGILNDKKAFKPTPNPAEVDAVFDAPLEMFVKDENRSVEEREWMGEKYLLHFFDYEIENKKYLIWGLTAGILIRAASVVYQRPPAFLEQSPKFKFPRVVDNNTVMR >EOY27273 pep chromosome:Theobroma_cacao_20110822:6:20993519:20997653:-1 gene:TCM_029155 transcript:EOY27273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein MAISFFFFLSLLFIPFSSSSSDRPENFIIHVSKSHKPSLFSSHHHWYSSIIHSLPPSPHPTKLLYTYERAINGFSARLTATQAEKLRELPGILSVIPDQVRQIHTTRTPQFLGLSDGVGLWQNSYYGDGVIIGVLDTGIWPERPSFKDSGLSPVPDSWKGICETGPDFPASACSRKIIGARAFYKGYESYLEGPMDETKESKSPRDTEGHGTHTASTAAGSVVSNASLFEFAYGEARGMATKARIAAYKICWSLGCFDSDLLAAMDQAIADGVNVISLSVGATGYAPQYDHDSIAIGAFGAAQHGIVVSCSAGNSGPGPYTAVNIAPWILTVGASTIDREFPADAILGDGRIFGGVSLYSGDPLVDIKLPLVYAGDSGNRYCYMGSLSPSKVQGKIVFCDRGGNARVEKGFAVKLAGGLGMILANTAESGEELIADAHLIPATTVGEKAGNEIRQYIKISQFPTATIVFHGTVIGPSPPAPKVAAFSSRGPNHLTPEILKPDVIAPGVNILAGWTGFIGPSQLNIDTRRVNFNIISGTSMSCPHVSGLAALLIKAYPNWSPAAIKSALMTTAYSLDNSGNTIKDLATGVESSPFVYGAGHVDPNIALMPGLVYDIDDGDYVAFLCSIGYDSKRIAIFVREPTGPDVCEGKLATPGNLNYPSFSVVFDSNDHVVKYKRTVKNVGPSVDAVYEAKVNAPPGVEISVSPSKLEFSAENQTLSYEITFASDGLALFAVALEAFGSIEWSDGVHLVRSPIAVRWLQGLKDSI >EOY28621 pep chromosome:Theobroma_cacao_20110822:6:26062767:26065952:1 gene:TCM_030172 transcript:EOY28621 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP-interactive CRIB motif-containing protein 10 MATKIKGIYKGFKFISQIFVVKEREMEIGYPTDVKHVAHIGWDGPSGTAPSWMNEFKTGPDFTATSIGNSRGSNPTALSTWSSQDFEQSLGCEPATQMIRDLSSADLPNIPKKQKRKKKTTSSPKSSSKSSRTSKTKAIYTQLGSSTGLET >EOY25771 pep chromosome:Theobroma_cacao_20110822:6:1822212:1827128:-1 gene:TCM_027140 transcript:EOY25771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding,RNA binding isoform 1 MQGEDELSIEELASNLSTYKEQLQQVRQILVDDPGNLEYVDMEKELAEVIALTEELLATAKQNEISGSDIGTSYSAPPAQSKEAGKTSDYGDKFPVGTKVQAVWSEDGEWYDATVEAITPNGYFVLYDGWGNKEEVDPANVRAIEYNALLEAEKVAEATKQAIKRKIAQAASVDFQSRSLPAKLRITADDPEDVKAAKRKKIHAFKSKMRFEQLEVAQNKRQNAWQQFQTTKGKTKKVGFFSGRKRESIFKSPDDPHGKVGVTGSGKGLTEFQKREKHLHLKGGNVEINDD >EOY25770 pep chromosome:Theobroma_cacao_20110822:6:1822259:1827130:-1 gene:TCM_027140 transcript:EOY25770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding,RNA binding isoform 1 MQGEDELSIEELASNLSTYKEQLQQVRQILVDDPGNLEYVDMEKELAELVMLRLQFGFSVEKWNQPTIQHQKVIEISVLESTCCSNKQHQLTATGSAGGVLCVVMASLLLIHLISDVIALTEELLATAKQNEISGSDIGTSYSAPPAQSKEAGKTSDYGDKFPVGTKVQAVWSEDGEWYDATVEAITPNGYFVLYDGWGNKEEVLRCSARQQKRLRPFRVDPANVRAIEYNALLEAEKVAEATKQAIKRKIAQAASVDFQSRSLPAKLRITADDPEDVKAAKRKKIHAFKSKMRFEQLEVAQNKRQNAWQQFQTTKGKTKKVGFFSGRKRESIFKSPDDPHGKVGVTGSGKGLTEFQKREKHLHLKGGNVEINDD >EOY26840 pep chromosome:Theobroma_cacao_20110822:6:18462156:18467360:-1 gene:TCM_028817 transcript:EOY26840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MEDKMEGSSDQREMTHQDIPDRQKGGLITMPFIIANESLEKVASYGLVPNMILYLIKDYHMGVAKGTNTLFFWQAATNFTPILCAFVSDSYLGRYLTIGLGSICSLLGMILLWLTAMIPQSKPFPCDLLTQSCRSPTAAQMTLLTSSFVLISVGAGGVRPCSLAFGADQLDRRDNPKNDRVLESFFGWYYASAAISVLIALTGIVYIQDHLGWKVGFGVPAILMLLSAIVFFLASPLYLKQKASKSLFTGFVQVVVVAYKNRNLTFPLPNSSGCYHHKRDSNIVAPTDKLRFLNKACIIRNPEQDIAADGSASNSWSLCTVEQVEELKALIKVLPVWSTGIIMSINLSQTSFPVLQATSMDRYLTKKFQIPAGSYAMFNIISLALWVILYDRAILPMASKIKGKPVRLGVKLRMGIGLFLTCMAMVVSAIVENARRRKAIRDGFLDNPRAVLDMSAMWLAPQFCLNGLAEAFTAIGQTEFFYSEVPKSMSSIAAALFGLGLAVANLLASVVVSIIDDITSRGGKESWVSSNINKGRIDNYYWVLAVLSFINLLYYFVCAWAYGPCGEQVKKVSDAGNGLTKEEELSNLVTKDRDEGNGLKDGEDQ >EOY28325 pep chromosome:Theobroma_cacao_20110822:6:24940495:24951938:1 gene:TCM_029923 transcript:EOY28325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Larreatricin hydroxylase MAPLVLQSSSPTIPSSSIQTSFFPKTSQLSLNKKTKKPYYSVPNNVVSCRATNNGNQNPTPSSNSKGDSALNRFDRRDLLIGLGGLYGATNLANDPFALAAPIAAPDLTLCGNAVISETTGETTYCCPPTTTTITDYKPPSFSKLRYRPPAHLVDADYLAKFTKAMNLMKELPSDDPRSFMQQAYVHCAYCNGAYDQVGFPDQDLQVHFSWLFFPFHRWYLYFYERILGKLIGDPDFVMPFWNWDAPAGMPIPAIYVNPQSPLYDDKRNVNHQPPKLADLDYNGTDKDITDAEQIAINLKLMYKQMVSNATTATLFHGKPYRAGDAGSPGGGSVELGCHTAIHRWVGDPRQTYNEDMGNFYSAGRDPVFYAHHGNVDRMWSIWKTLPGTKRNDFSDTDWLDASFVFYDENANLVRVKVRDCVDSKNLGYDYQPVDNPWLKTKPTARKFAKKGGKARGSAMAAEIKSKNVVRNAFPIVLDKTVSIEIPRPRKSRSKREKEEDEEVLVLEGIQLATQAAVKFDIYINDEDDEAPSGPEDAEFAGSFTNIPHSHTHAKKLNTTFSLAISDVLEDLDVEGDDNIVVTLVPREGKGLVSVGNIKVDYIRE >EOY28337 pep chromosome:Theobroma_cacao_20110822:6:25024482:25031546:1 gene:TCM_029933 transcript:EOY28337 gene_biotype:protein_coding transcript_biotype:protein_coding description:P(E)-nerolidol/(E,E)-geranyl linalool synthase MELLHVSIQAIVKDVKGEMSLDIDPYSFVSPSAYDTAWLAMINPAADSNRPLSSPMFKACLDWVLNNQTEEGYWGECDSQGNPTIESLPATLACVIALKKWNVGNKNIERGLDFVHGNAEKHLGVTHDHFPRWFTIVFPGMIELALKAGLELAFPSQLNELLSDIFHQRQRILETEELVDSQYPPLLSYIEALPSSYDISGEDITMNLSGDGSLFQSPAATARAFMATGKEECLGYLESLVRRCADGVPPTYPMDEELIKLCVVNQLQRLGLADHFTHEIEANLAQLYRNYNSQESQAKPSSNPVSAIQLHKDSLAFRLLRMHGYSVSPWQFFWFLKNQEVRARIEKDYEYFSSAMLNVYRATDFMFPGEYELEEARSFSRKVIEKVLSKGTRDNKDNFRSINLEKMMEHELRHPWIARLDHLEHRTWIEDRNSNILWVGKAFLHRLSPLLTEKLMQLAVADYEFRQFIYRNELEEVKRWSKNRGLSDMGFGREKTTYCYFAIASSIPLPYDSDIRMMIAKSAIVVTVADDFYDMEGSLDELNSLTDAIGRWDASELTGHSKTIFDALDDLVREIVAKVLQHQGTDITIFLQQIWYETFASWLVEAKWSRGGSLPSIDEYLRTGMISIAAHTIILPASFLSNPSLIDCKVRPGEYEAVTKLLMLIPRLLNDIQSYQKEQEEGKMNCVLLYLKENPGADIEDSTAYVREILDKKWGELLQHVLMDGFGDLPKPCKLLHLSCVKVFQMFFHSRNRFDSNTEMRQDIQNAIYVPLNVGTSKPLIPPQKEDQAINSLSEMLKIIAVQCPNPSKLFIIEVPINVVWGSGGIAMEKGMTV >EOY28481 pep chromosome:Theobroma_cacao_20110822:6:25449502:25449891:-1 gene:TCM_030025 transcript:EOY28481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MAAQNAIVFEDFFPAMVEKLGAEGFMKELINGFRLLMDGDKGMITFESLKRNLALLGLQDMTDEELVCMLREGDLNGDGALDEMEFCTLMFRLSPALMKSSRKLLVEALINEM >EOY28104 pep chromosome:Theobroma_cacao_20110822:6:24239945:24242879:1 gene:TCM_029768 transcript:EOY28104 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-tyrosyl-tRNA(Tyr) deacylase isoform 2 MHSLSIFPYFASIIRTENKRKTLLPSKKHRNPQIRAMRAVVQRVASASVEVDGRIVSEIGPGLLVLVGLHDSDTESDADYICRKVLNMRLFPNESTGKGWDQSVMQRNYGVLLVSQFTLYGFLKGNKPDFHVAMPPQKAKPFYESLLDKFRKAYQPDAIKDGVFGAMMKVSLVNDGPVTMQLDSSQPSKNTSEKTEES >EOY28105 pep chromosome:Theobroma_cacao_20110822:6:24240094:24243167:1 gene:TCM_029768 transcript:EOY28105 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-tyrosyl-tRNA(Tyr) deacylase isoform 2 VASASVEVDGRIVSEIGPGLLVLVGLHDSDTESDADYICRKVLNMRLFPNESTGKGWDQSVMQRNYGVLLVSQFTLYGFLKGNKPDFHVAMPPQKAKPFYESLLDKFRKAYQPDAIKDGVFGAMMKVSLVNDGPVTMQLDSSQPSKNTSEKTEES >EOY26412 pep chromosome:Theobroma_cacao_20110822:6:9846673:9849823:-1 gene:TCM_028012 transcript:EOY26412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMCVSMVSYIEVTYKIQPCLKVLIFSPFLKLNHNPSTFAWRYNQNTTFSRRYNLQHYLFLFLRSITKYNSGLQRSIFAVGNLEFEDLEISFNSKPTSKRFGDFFHL >EOY26491 pep chromosome:Theobroma_cacao_20110822:6:12996474:12998104:1 gene:TCM_028262 transcript:EOY26491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein MKENVDNPLHLKSLNHVSLVCRSVEESINFYQNILGFVPIRRPGSFDFNGAWLFGYGIGIHLLQSEDPDNMPKKKKINPKDNHISFQCESMGAVEKKLKEMELEYVRAMVEEGGIYVEQLFFHDPDGFMIEICNCDNLPVIPLAGEMVRSCSRLNLQMLQHQQIQRVVQQ >EOY27846 pep chromosome:Theobroma_cacao_20110822:6:23307345:23308634:-1 gene:TCM_029581 transcript:EOY27846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLIYMSSSMMKISHQTKSRFFGSNPMTELPSPIHRCKILCSAENHVGSVDVRGVLCILNSHLISLNRLQSMLAAHNLMVSKDTSVVLPIRSNVSSEMFGLLD >EOY25508 pep chromosome:Theobroma_cacao_20110822:6:291320:292196:-1 gene:TCM_026915 transcript:EOY25508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSRTTRIAAAITAYLPLITMMIIGESVARELRPSDHGLEYQSVPPTGLKSPEMMSFFGAESKSSSSSTPSTVALPKAMNSNETSWWAGGSSRRGSDHLRHVLLLGSLVCGVTGVALLAASAFIYLIKRSSPSTNNNNNNNTNNSLVLIGSK >EOY28699 pep chromosome:Theobroma_cacao_20110822:6:26275089:26276383:-1 gene:TCM_030224 transcript:EOY28699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDLDRSSSGRGRRQDTKEERTDQDTKVEFSEDEEMLIARMFNLIGDRWSLIAGRIPGRTADEIEKYWTSRRSSQSTHNQR >EOY26393 pep chromosome:Theobroma_cacao_20110822:6:9070275:9075699:1 gene:TCM_027949 transcript:EOY26393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPYFIFHNFLESSHPFELMITFCSRSLFVLSRDLFMVFRKLIDYRVDHLVSSPIHHPSRFQHVSQVLREPVDH >EOY25515 pep chromosome:Theobroma_cacao_20110822:6:324987:325647:1 gene:TCM_026922 transcript:EOY25515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSHCNPSKRHYDITLSKRTRKPLDLQEANGTSNIASSKWDNPLKIFPRKAADDDDDDEDEGEESSDHKSLKQLINGDGGEAKEETSCRSSGRSSLVQHFNQEEKQLQLVKKNQQDGVHGGAKLKGMMSRYAKVLSRLVKAKREPRLGSNKKHLLRLTM >EOY28176 pep chromosome:Theobroma_cacao_20110822:6:24458772:24460256:1 gene:TCM_029816 transcript:EOY28176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMVELFVLGCTGVVVFLHGANFFFHILSQHLAVRSLSFLGFVGW >EOY25511 pep chromosome:Theobroma_cacao_20110822:6:300981:303421:1 gene:TCM_026918 transcript:EOY25511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDATDKCDDSVNYNCYKTRSQSSAFVGSSSQQIPIIELSRTPASGSQALISPTGSKPPLCSSSAKRHRKLTSEVWNHFEKKNIDREDVASCNYCKTILKANSKNGTTALKSHMNTCLEIFYDLTNIFSGIEYLTANYFFVKVRKLRIAIVKWMCSDDVTISATAKKMFDKFEKY >EOY25573 pep chromosome:Theobroma_cacao_20110822:6:495285:502854:-1 gene:TCM_026956 transcript:EOY25573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGHTRNKCPQLELRLRSLDSSSCLLDDNDVDVCERNKEVWPSQLGTLFGVFAGSYFYVAGALVALLKPGRMSMFGTLLVICGLVKEGILGKPGKSDPTKAVSISAATPHSQSCSAVPLLPNRTSSVAVPYSQRYSVVQHHINKAVASALQRHTPSAAALKFEKSLKDDIAPNGTIKSIKPRTSSNRNFKWWGFPWTAYERGTITQLYIYLRGKMLDKVYHEVRFECTSRSDHHVRVRLGQHQGTVVCVRCENMFMGIRYLTALAVSVGYLDEGTDENDFGRGQV >EOY25565 pep chromosome:Theobroma_cacao_20110822:6:466710:467814:-1 gene:TCM_026951 transcript:EOY25565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan family protein, putative MMTEYSSLSFFLFLLLILCPVSPFAAKHQHQHRPLPPPSPPPPPPPPLHQHQLNNIIDALIGAGDFNSWANMLSASDVFMLPLSATLFVPSDDYLFPFPGQVPTSSTATFDPLILPYHIVPQRLTFSQLTLFKPFSRLPTLLPSKSILITNTSLSNFTLDASQISYPDLYLTSAVAVHGIAALLNYTAYGGDGGLILAPPLPPPPPIFQPLWDGDMKGSDAGCLYGEFAFVLLLIPWVVWTIKIYGNPLGL >EOY27991 pep chromosome:Theobroma_cacao_20110822:6:23874340:23876119:-1 gene:TCM_029691 transcript:EOY27991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCKGSWVGLGNHKDNVFNHVIDEDSAPRFLAKLEKIYLTKSLSNKLQFRRKLYRLKMKENGDLMKHMNEFDVIIDQLKKVDMKVEEEEKALLFLASLSDSYEVFVESLICGMDTITLEQAQAMLMSHEV >EOY27026 pep chromosome:Theobroma_cacao_20110822:6:19783425:19792139:1 gene:TCM_028977 transcript:EOY27026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MHDEYMEDFDAYSPYQHYQQHTTPKLCSPEPSSSSETPSSLNSNDISLNPPFNDSISPTADSFSVDMSTSQEAVAPTRKSTRQKQPPKYLDAYYVDIPSQSNSVTLHPITKNLSTKQLSPAHKAFAIALSHIHEPNSCHQAINYCHWREAMDLELKALQDNGTWTIVPLPSNPHVIGCKWVYKIKMKANGEIERYKARLVAKGYSQVVGFDYQETFSPVAKQSTVRVFFALAAANGWSLSQLDINNAFFNGDLDEEVYMEIAQGYAI >EOY25801 pep chromosome:Theobroma_cacao_20110822:6:1970366:1971641:1 gene:TCM_027161 transcript:EOY25801 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein, putative MALARLALRNLQQRVSSSSSFLGHNMGDQRSIVGGVQRQRWNDEILKRFMVTATGKVFDEEKQGKEATVLEGDKKSRLFPRRKNRSLWNWRNKRSDYAPTLYGLGNALLQATENINRLFDNLNLTPSQLMGRVKEQDGCYKLRYDMPGLTKEDVQITIEDGVLTIKGEHKEEEEGGSDDDEHWSARSYGYYNTSLVLPEDAKVDEIKAELKDGVLCIIIPRTEQPKKDVKEVQIH >EOY27656 pep chromosome:Theobroma_cacao_20110822:6:22657315:22659689:-1 gene:TCM_029448 transcript:EOY27656 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MSSVRSDPIHRIHPEPIDHVDRLPDSLLLLVFNKIGDVKALGRCCVVSRRFHSLVPQVENVVVRVDCVISDDDCSSSSSSSDKSRATGPFSNLFRLVFGGIVKPLQALGQFLGPKRPTLNETLNTPSSSSLSVGPGGDDDGEMDQGGVTHHSPTQVLRNFNEIRFLRIELPSGELGIDDGVLLKWRADFGSTLDNCVILGAASVINNVHLQVSEYGNDGFCINNNITSGSNVGNSDDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIADHKTLDSLVLTDADGQGVLCMNRDQLEELRVKPLSASSASKRTLVPALNMRLWYAPYLELPDGVVLKGATLVAIRPSEQSASKKEVSDASWLSTAFEEPYGTAAKMLVKRRTYCLEMNSF >EOY28890 pep chromosome:Theobroma_cacao_20110822:6:26796539:26799842:1 gene:TCM_030365 transcript:EOY28890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF106, transmembrane [Source:Projected from Arabidopsis thaliana (AT4G12590) TAIR;Acc:AT4G12590] MAEDLVLDTAIRDWVLIPLSVVMVLIGILRYFVSKLMRSSQVPDPKIVKEGQVIVRARNLRAGANFIPPKSFRSRRVYFSNEENGLLFVPKGQAQNAQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENATDDTQRMMQMSGFGFDPTKSLGAEKDGLDIVQHEWALPKFEHRAEAVLKKLIR >EOY27031 pep chromosome:Theobroma_cacao_20110822:6:19812691:19815608:1 gene:TCM_028982 transcript:EOY27031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin superfamily protein MHFSSLNPSSLEETKLHFKPSKQEAKSGFCNEDDHTPWFARLQEFLEKSDYSNGLKLVGRSNKEIFLPPVYHLKLVVVKSSTALEDLLDDILRTWRPETLSSLSISRSNLPKDGGKDQPSGKKKMSVVIGPWGGTGGAAWDDGTYHGVREITLVYDRCIDSIRVIYDKNGKPVTAEKHGGMGGNKTAEIKLNFPEEFLISVSGHYCPVVHGGGPVIRSLTFKSNQRTFGPYGVEEGTPFTFSMEGGRIAGFNGRSGWYLDSIGFRLSRGQSPKLFQKVQKGFQRLASSVSKSPAKNNN >EOY26534 pep chromosome:Theobroma_cacao_20110822:6:13624270:13631901:-1 gene:TCM_028325 transcript:EOY26534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mov34/MPN/PAD-1 family protein isoform 3 MSLTCVKMSEDVWLTCLTHALSTETEEIMGLLLGDIEYSKDGNVTALIWGASPQSRSDRRKDRVETNPEQLAAASAQADISLVFVQLFHLGFKIICDMFEVVSFVVKLTSRHRMTASTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDSGFIGLIFSCFSEDTNKVGRIQVIAFQSSDGKQSHASGPVALSPVNRSSVIDLESSLSSSENPLVTLGSAKVDSPLQDTGDSRLTLVSSKGGGRSVDLGGFFANADANYLGREQSEGNYNASNIQNAVVDIDPMDMSESMQEAMHRSNMDMSGAEYVRKEIPLHVLPTSSLVNLDSPLMSFTDLQRVLYEEERAAYNQAILQNMRDGKVHPLSFIHHTSTYQASMCKLIEYCLSPAINALQDRLKENEIRLAMLTDEAKTLETEAVRGSGPSSPHHASSHGFRGSAFVGQRELHNSAESISMRTYAGPGSRSRKRS >EOY26536 pep chromosome:Theobroma_cacao_20110822:6:13626117:13631823:-1 gene:TCM_028325 transcript:EOY26536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mov34/MPN/PAD-1 family protein isoform 3 MSLTCVKMSEDVWLTCLTHALSTETEEIMGLLLGDIEYSKDGNVTALIWGASPQSRSDRRKDRVETNPEQLAAASAQADRMTASTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDSGFIGLIFSCFSEDTNKVGRIQVIAFQSSDGKQSHASGPVALSPVNRSSVIDLESSLSSSENPLVTLGSAKVDSPLQDTGDSRLTLVSSKGGGRSVDLGGFFANADANYLGREQSEGNYNASNIQNAVVDIDPMDMSESMQEAMHRSNMDMRDGKVHPLSFIHHTSTYQASMCKLIEYCLSPAINALQDRLKENEIRVQNETELFGIAGSPNFNIAQIKVTFSVRLAYLSYFWTFPYYELQTPCTNFVRELSC >EOY26535 pep chromosome:Theobroma_cacao_20110822:6:13625431:13631838:-1 gene:TCM_028325 transcript:EOY26535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mov34/MPN/PAD-1 family protein isoform 3 MSLTCVKMSEDVWLTCLTHALSTETEEIMGLLLGDIEYSKDGNVTALIWGASPQSRSDRRKDRVETNPEQLAAASAQADRMTASTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDSGFIGLIFSCFSEDTNKVGRIQVIAFQSSDGKQSHASGPVALSPVNRSSVIDLESSLSSSENPLVTLGSAKVDSPLQDTGDSRLTLVSSKGGGRSVDLGGFFANADANYLGREQSEGNYNASNIQNAVVDIDPMDMSESMQEAMHRSNMDMSGAEYVRKEIPLHVLPTSSLVNLDSPLMSFTDLQRVLYEEERAAYNQAILQNMRDGKVHPLSFIHHTSTYQASMCKLIEYCLSPAINALQDRLKENEIRLAMLTDEAKTLETEAVRGSGPSSPHHASSHGFRGSAFVGQRELHNSAESISMRTYAGPGSRSRKRS >EOY25684 pep chromosome:Theobroma_cacao_20110822:6:1218688:1223478:1 gene:TCM_027070 transcript:EOY25684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 15, putative MMESKWLRILLTVLLLEGWLCTDACWEHERIALVQLKPFFNYYYDLNNWVEVKGSDCCQWTRVECNTTTRRVIGLSLDFTRRRNDQYWYLNASLFLPFKELKSLSLEANGIAGFVENEGLHNLEVLDLSGNSLKNDILVHMGSLSSLKTLDLGSNKLKGTVHLQELNNLTNLKNLYLQYNSIESLQPLYQGNETQLKLTNLEVLDLSYNLFSNNTFAFLPELSSLKTLYMWSNQLQGSIDIAGLNNLINLKKLDLSWNKIESLQSFQDNGRQLKLTHLEELDLSDNLFNNSIFASLKGFSNLKSLRINNNKLKGSIDMKDLSAFTNLEELYMSGNELNELVTHKVIRQIYTCDFSYNKFNGTVPFWLLENNTKLEALFLMGNSFTGPLQFPPIPHPHVSSIDISNNKIQAQIPADICSTFPHLERLLLSMNTFKGNIPPCLGGMSHLSLLDLSTNQLSGGVPEELSMSSSLQILRLSNNNLTGKIVPTIFNSNLLLELYLDGNNFVGKIPDIDSLNVGFPYFLSVIDIRNNNLSGKLPRWIWNMSLISLALSNNHFEGSIPMELCNSDELRFLDLSQNNLSGSIPSCFNPPYIEHVHLSGNRLSGPLVGSLYSSSSLVTLDLTANNLTGNIPEWIDTFSALNVLLLKANHLDGRIPVQLCKLYYLSIIDLSQNKLSGPIPSCLGNLTFGSSFNKSSAYGGDYSFLEQDMRKYIGMEMSMDQSVPSHLYPSSYMEEWIEFTTKRRSYRYEGDILDYMSGIDLSCNKLTGRIPFQLGNLSEIHSLNFSHNKLIGIIPSSFSNLKQIESLDLSYNNLSGRIPVQLVELNFLEVFSVAHNHLSGKTPERKAQFGTFDESSYEGNPLLCGPPLHNNCSNTDLPPTVSTESDDEGEDNLLDMSAFCVSFLISFAAVLLGIFSSLCINPYWRKTWFSFIEDCTTTCRFSIVGNVFELYIFRRNAWV >EOY26611 pep chromosome:Theobroma_cacao_20110822:6:15233591:15258844:-1 gene:TCM_028479 transcript:EOY26611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVRREVNSKYTLGDTLCASHTKIIMSPRRETPPLTRSVGRGRGRFQRRQLGAIEEESSASTIRAAPAVPPAAPSVPSPSPLVPPLVLDVSDSKKLKEARQHSCVSFMGESDATVAKEVVQMALRAEKLANENKSLRAELAKRRNLSVSSSQPPKRGKDSYVSGSSRRYRNCGNYHVGLCRGLARCFRCDQPSHIRRHCPQLGWATVAAPSPPAHTDMQKRDSSRLQSR >EOY26583 pep chromosome:Theobroma_cacao_20110822:6:14571945:14576727:-1 gene:TCM_028419 transcript:EOY26583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MEKRKAAVPLVCHGHSRPVVDLFYSPITPDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAVWSSCLDTNALRAASASADFSAKLWDALTGDELHSFEHKHIVRACSFSEDTHLLATGGLEKILRIFDLNRPDALPREVGNSPGSIRTVAWLHSDQTILSSCTDTSGVRLWDLRSGKIVQTLETKSPVTSAEVSQDGRYITTADGSTVKFWDANHFGLVKSYYMPCNVESASLEPKHGNKLIAGGEDMWVHVFDFHTGEEIGCNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQIGLVNHEENDSVPRNGPSGKVKAVADEVTRKIESGLHIGREGKTGERDKATDA >EOY26096 pep chromosome:Theobroma_cacao_20110822:6:4439841:4441685:1 gene:TCM_027498 transcript:EOY26096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like protein MSIFRISTSIKVELDNIQCRFLWCDSNDCKTINFVNRNTVCNLKDNGGLGIVDFNLKNSSLLDKWIWHFGEDLDYLWRKVIMERNNTHPNSLLYICLVSRNMSEVWCNVLNPHSSDDQITVIIKDDIGFIPGKGDRIKFWGDQWVNGKILKYNFPHIYALSINKNGTLADFGCWVDNIWKWNTDGFGLSLPFSKWRFSVADQTERYPRDDDEDGKMKPLILKNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPAPPDIDKIILQFGKVGKDMFTMDYRYPLSAFQAFAIYLSSFDTKLACD >EOY26618 pep chromosome:Theobroma_cacao_20110822:6:15432137:15432957:-1 gene:TCM_028493 transcript:EOY26618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKHEKDMLELKASIRHTSSHHDGEHHDDADDGQHDKLGVHIHHDVVGADGENVTHVDDILDDVAARDVTFQSVDVEGDNVPQADVTNAVVDASTRGDGNLATVQVEEDHVPHVDTIVEAAATEDGHLTSV >EOY25700 pep chromosome:Theobroma_cacao_20110822:6:1315954:1316900:1 gene:TCM_027084 transcript:EOY25700 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MSDDFETCCHVWDVDWEAPEDESFPCATFSINIQARFISAFVDDDDDEEEEDHEPCFTEPESVVFEKTEEVRVDLLMNENDNVSTVRDMLVSMDVPVHDFMVDKILACAHLMAMAQRYRTRKVLRMRVEIEAVVDELPDHDDDEEEEDESEESETAALMVEKLRKVVVDRPNSCCTICLEDFLVGSEATSMPCSHVFHHHCILPWLCKKKLCPLCRS >EOY26959 pep chromosome:Theobroma_cacao_20110822:6:19371134:19374438:-1 gene:TCM_028913 transcript:EOY26959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCAYILDFGRTASEPVGTWIISDQDKEGKEKKGDGEEDNREEEPGELSDESYSDDGDYNEFSMKFSMLIHMISAFVIPF >EOY27847 pep chromosome:Theobroma_cacao_20110822:6:23311179:23314411:1 gene:TCM_029582 transcript:EOY27847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-related gene 1 MRHINFSNMASLQVEEFSHSLSEISLQELVKEPIITIPQNFIRLDQEPPSSLPYGSPSPITTPTIDMTRLVSGEDDGLELEKLHSTCKEWGLFQLVNHGVSPSLLEKLKHELEEFYKLPLEEKMKYKMRPGEVEGYGNTAREAGKLDWADRNTLESYLLELQKLATKLLSLMAKALKIDVTEMIELFDDGMQSVKMTYYPPCPQPELVMGITPHSDATLLTILNQVNGVDALQIRKGGVWFPVSFVPDAFVVNVGDILEIFSNGIYRSIEHRATANSEKERISVAFFINPKFEAEVGPSPSLINPKNPPLFKRVGMEQYVKDFFSRKLNGKTYLQHMRIENGEGNSA >EOY28787 pep chromosome:Theobroma_cacao_20110822:6:26534598:26539308:-1 gene:TCM_030291 transcript:EOY28787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin elicitor receptor kinase 1, RLK1 MFSKRKTPTERLLVFHTLLYLFVQVQANCRTGCSLAFASYYVWEGSNLTYISTIFNQQISEILLYNPHVSSQDKIDKGTRINVPFSCDCLNGDFLGHTFSYFTQIGDTYDKIASDWYANLTTADWVRRVNVYDATKIPDHMLINVTVNCSCGVRQVSKDYGLFTTYPLRPGENLSFIAAESNVSAELLEKYNPGSNFSAGTGLLFVPAKEDDVGICYGIFNCQILVLCISLMKYSGDSSVITLMSIILIIRWFSLISRAFYSFADQTGNFPPLKISTTGISSRVIAGISIAGVAGALTLGFCIYAGLYKRKKVVEASLLLEASPDHYIQHGGSGSTLQKNSETTALFASPGLTGITVDKSVEFSYEELAKATDDFSIANKIGQGGFGSVYLAELRGEKAAIKKMDMQASKEFLAELRVLTHVHHFNLVRLIGYCVEGSLFLVYEFIENGNLSQHLRQQRERDPLPWSARVQIALDSARGLEYIHEHTVPVYVHRDIKSANILIDKNFRAKVADFGLTKLSEFGNYSLQTRLVGTFGYMPPEYAQYGEVSPKVDVYAFGVVLFELISAREAIVKTNEEVTESMGLVALFEDVLNQPDPREDLQKLVDPRLGNDYSFDAVCKMARLARACTQENPQLRPSMRSIVVALMALSSSSEDWDVGSLYENKALINLMPGR >EOY27796 pep chromosome:Theobroma_cacao_20110822:6:23153714:23155157:-1 gene:TCM_029555 transcript:EOY27796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPDSRILTDDDDDCSPCNHSLSPPPPPPQPECCKTSFVFRVQIRDLGSITSGDSEPSVVKLVASSLEQVELHSCTSGKHMNRLLEKNEIRGSYRRHKKEIDRRLVSELMKLDFDGCAVANVVVEMDMVPDQLDSLSLSNDIKDERCAICLESLFVDSHLALPCCHMYHNNCIMEWLYRKQECPLCRRPPFLAAMR >EOY26341 pep chromosome:Theobroma_cacao_20110822:6:7689308:7696597:1 gene:TCM_027838 transcript:EOY26341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease family C19-related protein MGSRFPSHKLSNGLYVSGRPEQPKERTPTMSSVAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGPINNAPSRTGSFGGAASHSGPIMPNAAPRAGYTTSGPGATGGMSGSASVKKSNSGPLNRHGDPVKKSSGPQSGGVTPSGRQNSGPIPPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLESMGSMKVKGSAVHNQAVTVLSQDDDFSFKRNFPKPILWALILLFVMGFIAGGFILGAVHNAILLIVVVVLFGAVAALFAWNSCWGRTAIMGFIARYPDAELRNAKNGQFVKISGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHRRFTWGLRLLERRAVDFYISDFQSGLRALVKTGYGARVTPYVDDSIVIDVNPANEALSPEFIRWLGERNLSSDDRVMRMKEGYIKEGSTVSVMGVVQRNDNVLMIVPPPEPITTGCQWAKCVFPASLEGIVLRCEDTSNNDAIPV >EOY26321 pep chromosome:Theobroma_cacao_20110822:6:7561128:7567032:1 gene:TCM_027816 transcript:EOY26321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger-like protein MDDSGFRYFYQTREGELEGDQIPEDYELEVVDPDLQGRFRCCHALIDREQPDSLQVVAILEQKLFHQRCYIPSGQPVPELVQINCRCDILNFTESLLRDERNANRSKFLIIVMFGPLTGCDDDAEIEIEEETETAEDTMDVEVGFVPASKSAIEDLENVSGLGCNDCVICLGNFATEKEAKRMPCGHVFHGGCIVRWQEKSHLCTLCRYAMPLDR >EOY26531 pep chromosome:Theobroma_cacao_20110822:6:13616611:13622072:1 gene:TCM_028320 transcript:EOY26531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MLWDLRSGKIVQTLETKSPVTSAEVSQDGRHITTADGSNVKFWDANHFALVKSYYMPCSVESASLEPKHGNKFIAGGEDMWVHVFDFHTGEEIVRLQQGSPCMVLSTVCSSPGGESYASGSEDGTIRIWQTGSGNHEKNDSVPPNGPSGRVKASCGC >EOY26466 pep chromosome:Theobroma_cacao_20110822:6:11861078:11870695:1 gene:TCM_028172 transcript:EOY26466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMGSSGMEFPDVITWKWVPEFLFTITYSIVAFLWVFKHVFFRMINNQLKYIAQHIETCCNVDTPRETDERLRVFILRGQGCPRTKKRRSSRHLSKGSSSDRSSASTDSFEAGGPTLESATLDELAAGL >EOY27492 pep chromosome:Theobroma_cacao_20110822:6:22046962:22057449:-1 gene:TCM_029327 transcript:EOY27492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein MTAVPKQRVMAIKSYHSQAQMLVKNYLLADPFIPYTSILGGILACKVAYDLTQLISNFYIKTYPSLTKIQRVEWNNRGISTIHAIFVSALSLYFVFWSDLFSDQQLAGLVVFRSSPLSTFGLGVSVGYFLLDLGMILWLYPSLGGMEYVIHHSVSGIAVAYAMFTGEAQLYTYMVLISEVTTPEINMRWYLDTAGMKRSSAYLINGVVIFFAWLIARVLLFGYMFYHVYLHYDQVIQMHTIGYVLVFGVPSVLATMNLMWFGKIVKAGNRNPNSVVLGLKRSSTSFPIPKASKHSRKRLSEVSDMVEQLRAVRDGYLITLNIGTPAQVIQVYMDTGSDLTWVPCGNISFDCLDCDDYRNNKLMGTFSPSHSSSAVRDSCGSSFCIDIHSSDNSFDPCIEAGCSLSTLLKATCSRPCPSFAYTYGEGGLVTGALTRDNLRVHGSSPEITRDIPRFSFGCVGSTYREPIGIAGFGKGVLSVPSQLGFLQKGFSHCFLAFKYANNPNISSPLFMGDVAISSNDNLQFTPMLKSPMFPNYYYIGLEAITVGNISSAEVPLNLREFDSQGNGGMLIDSGTTYTHLPEPFYSQLLSMLQSVVTYPRATDVETRTGFDLCYRVPCPNNRFTNDPFPAITFHFLNNVSLVLPQANYFYAMSAPSNSTGVKCLLFQSMDDGNYGPAGVFGNFQQQNVKVVYDLEKERIGFQPMDCAAGAASQGLHKN >EOY28824 pep chromosome:Theobroma_cacao_20110822:6:26638026:26641896:-1 gene:TCM_030314 transcript:EOY28824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MAIEVDISRPEMRIFYEMRDAYAKVLKNDAQGLKGIYQTNPDALFNRITACRDTIFHIAAYRGSEEVLQVLVQMVPRPKKRELLKMKNIYGNTILHEVATSASLKAADLLIRELLFSDGISDDERDIRERGEILADRNKLGETPFFRAVEYGNKTMVIQHFETAIWLLEKDKELAAYKDKNGKTSLHILAGMATAFQSGSPITRILKKAIYYCLPSDPGKEDESDQLPSNQQNKDLEHGDPSKGLNQSDRSKGFKLYCAIWRCLAKGWTMIDHLWSQKKMHVSAVKLAKMLVGTDASWFEPHEPEEDDTICLERKEKEEERVKGAAARKESDEPDTPLFIAASTGIREIVNEILNVYPQAVEHISKTGQNILHVAIMHRKYEVFEVVKSKEEAKRLVRGIDNDGCTILHHAAETKYYQGGTKPTPALKLQQELTWFEKVKNQIPSHFTMHRNKRNVTADQLFKDMHQEQLKTAQDWVKNTSQSCSTVAVLVATVVFAAAYTAPGGFLQDGRPILLEKPLYSFFTVMDVAGLASSLTSVVIFLSILTSSLEFEDFRHTLPRNLSLGFTFLFFSVTSTMLTFTATILLLIHLEKKWTASLTYAAAFLPICVFALFQFPLYYEYFVAAVKSIFDFFRRNLPGNWEFLQIKDD >EOY26705 pep chromosome:Theobroma_cacao_20110822:6:17442252:17445318:1 gene:TCM_028678 transcript:EOY26705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin B2,3 isoform 1 MTKMARRQDSHKMGTSCVAPNYMALQCDIKERMRGILIDWLIEVHYKFELMEETLYLTVNLIDRFLAIQQVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYSRKEVPDMVCNSTFSFHDFTPIFLTSLNQK >EOY26706 pep chromosome:Theobroma_cacao_20110822:6:17442271:17445281:1 gene:TCM_028678 transcript:EOY26706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin B2,3 isoform 1 MTKMARRQDSHKMGTSCVAPNYMALQCDIKERMRGILIDWLIEVHYKFELMEETLYLTVNLIDRFLAIQQVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYSRKEVPDMRN >EOY27891 pep chromosome:Theobroma_cacao_20110822:6:23455587:23456179:1 gene:TCM_029609 transcript:EOY27891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTAWTGKRIHFSQPGQAPNLTGLFYWSAREVSFPTPYTKIPSVARNSDSGCHIFLHLNNYEKPLNNLEQTLRKS >EOY27225 pep chromosome:Theobroma_cacao_20110822:6:20767197:20768967:-1 gene:TCM_029117 transcript:EOY27225 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELF4-like 4 MEGDIFSGFGNGTQVDGKVLQTFQKSFVQVQGILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSSSFTRSMEASSEGDSAGTFKSDGKASQKRIRSG >EOY28183 pep chromosome:Theobroma_cacao_20110822:6:24487312:24489436:-1 gene:TCM_029823 transcript:EOY28183 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERF domain protein 12 MASSREGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDGAARSLRGAKAKTNFPAPASAAGLSLDLNLPSDNPHHPHHHRHHRWASASAHHHHRLALGEFLTTGVLKEMKFDADSSSSTKPNVVAVSNGPPVPDNGSTASFFGMVRRGLPIDLNEPPPLWL >EOY27121 pep chromosome:Theobroma_cacao_20110822:6:20268527:20270630:-1 gene:TCM_029047 transcript:EOY27121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVKPTVALRAVLVGGIAVFAKVAGAMKAAGGAKLGAAAAAMTVAASAALSGSKQDSKDESKQTSK >EOY27126 pep chromosome:Theobroma_cacao_20110822:6:20298740:20299431:-1 gene:TCM_029054 transcript:EOY27126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWPDLATMAPDRCFPAVGSGHGVPDPTVGFSRKAPDPVLPRGQIWGLSAKSGWISVESYRKGLDRRFSTIFDCCHHQHHLRC >EOY28205 pep chromosome:Theobroma_cacao_20110822:6:24553678:24557320:-1 gene:TCM_029837 transcript:EOY28205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAYRGKDYSSSSDEEAKMAYVDEDDSEAAIERGKEQAREAKEKAKEYAHKTKEKTKETAESAAEKAKEVTNRAAETAQSTKEKAKQKANEICAGYQEEGTEKSSQTAYELKEKAREKAHGVKEKTQEISGSVATKTLETVQSIGEKAKQTVQGAWDAAKGTTQKIKETLVGKNEEEVEVKRMGDDVVELRTRARREDGERKY >EOY27449 pep chromosome:Theobroma_cacao_20110822:6:21768301:21768813:1 gene:TCM_029294 transcript:EOY27449 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MLRQLSRRRASYRRLNMQGADKEKEGESVPKGYVPVMVGTGKDSAKFLIHIDIFKNDYFAGLLEIVAEEVGYENPGILRIPCDADCFRNLLNEISRVN >EOY26565 pep chromosome:Theobroma_cacao_20110822:6:13960011:13964097:1 gene:TCM_028363 transcript:EOY26565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c1-1, heme protein, mitochondrial isoform 3 MRLAQKIRTGIQGYGKLSFLSRLARQTHDAKTLPAVPYQIVKQDRDRLGSTGNNSLRWLALFGTGVSGLLGFSTIAYSDEAEHGLGVPNYPWPHEGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYHDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVTFLAWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSIFKSRKLVLDVVN >EOY26567 pep chromosome:Theobroma_cacao_20110822:6:13959944:13963129:1 gene:TCM_028363 transcript:EOY26567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c1-1, heme protein, mitochondrial isoform 3 MENSAFFQGSLAKLTMLRYFFGTGVSGLLGFSTIAYSDEAEHGLGVPNYPWPHEGILSSYDHASRIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYHDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQ >EOY26566 pep chromosome:Theobroma_cacao_20110822:6:13959944:13963129:1 gene:TCM_028363 transcript:EOY26566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c1-1, heme protein, mitochondrial isoform 3 MRLAQKIRTGIQGYGKLSFLSRLARQTHDAKTLPAVPYQIVKQDRDRLGSTGNNSLRWLALFGTGVSGLLGFSTIAYSDEAEHGLGVPNYPWPHEGILSSYDHASRIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYHDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQ >EOY28875 pep chromosome:Theobroma_cacao_20110822:6:26754331:26755678:1 gene:TCM_030353 transcript:EOY28875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper binding protein 9, putative MAIVKMVMALVIMAASLGGKWVGAQVHHVVGGDRGWDPSSDVASWSSGRSFRVGDKIWFAYSAAQESIIELKSQDEYESCDVSNPIRMYTDGLDGIPLDGEGTRYFVSSKPESCKNGLKVNVEVLPSGTAVTEKPKVAASSENSISTMAAAAPTTPSGSVKIYGSFLLLSVALWLCLMASFTF >EOY28009 pep chromosome:Theobroma_cacao_20110822:6:23935653:23938843:-1 gene:TCM_029703 transcript:EOY28009 gene_biotype:protein_coding transcript_biotype:protein_coding description:General regulatory factor 12, IOTA isoform 3 MEFSRERENLVYIAKLAEQAERFEDMVATMKKLAELDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEETKGNEQNVKQIKGYRQKVELELSNICKDIMGVINEHLIPSCMGGESTVFYYKMKGDYYRYFAEFKMGDERNEAADQSMKAYQSATTTAEAELAPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDGEAQKIGAAKGGDGEE >EOY28010 pep chromosome:Theobroma_cacao_20110822:6:23936764:23938882:-1 gene:TCM_029703 transcript:EOY28010 gene_biotype:protein_coding transcript_biotype:protein_coding description:General regulatory factor 12, IOTA isoform 3 MEFSRERENLVYIAKLAEQAERFEDMVATMKKLAELDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEETKGNEQNVKQIKGYRQKVELELSNICKDIMGVINEHLIPSCMGGESTVFYYKMKGDYYRYFAEFKMGDERNEAADQSMKAYQSATTTAEAELAPTHPIRLGLALNFSVFYYEIMNSPERYACCFLCSNFLVELFLDNCGTPCYVLNLYTRACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDGGDF >EOY28008 pep chromosome:Theobroma_cacao_20110822:6:23935599:23938724:-1 gene:TCM_029703 transcript:EOY28008 gene_biotype:protein_coding transcript_biotype:protein_coding description:General regulatory factor 12, IOTA isoform 3 MEFSRERENLVYIAKLAEQAERFEDMVATMKKLAELDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEETKGNEQNVKQIKGYRQKVELELSNICKDIMGVINEHLIPSCMGGESTVFYYKMKGDYYRYFAEFKMGDERNEAADQSMKAYQSATTTAEAELAPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDGEEAQKIGAAKGGDGEE >EOY26796 pep chromosome:Theobroma_cacao_20110822:6:17888341:17892342:1 gene:TCM_028751 transcript:EOY26796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfite exporter TauE/SafE family protein, putative isoform 2 MWGSSNMIAGGRSNQWRLISTAFVLIGFLAVDLVLVTAEPRLSQAVSGYNETQEKNYVRKALKFLELNSKPRYEHEWPDMRFGWKIVVGSILGFVGAAFGSVGGVGGGGIFVPMLALIIGFDPKSSTAISKCMITGAAAATVCYNIRQRHPTLELPLIDYDLALLFQPMLVLGISIGVGFNVIFADWMITILLIILFIGMSTKSFFKGVGTWKKETIKKKEAARQLELNGNARDAVEIKTEHEATTNDTQTGDKELGKSKVSLIENIRWKELGLLIVVWILILALQIAKNYSTTCSVEYWVLNFLQIPVAVGVSSYEAVCLYKGRRRIASKGDVVANWRVHKLVIYCAFGLLAGILGGMLGLGGGFILGPLFLEMGIPPQVSSATSTFAMLFSASMSVVEYYLLKRFPVPYALYLSLVATIAAFVGQHVVGKVIKILGRASIIIFILAGMIFGSAISLGGLGIAKMIKRIERKEYMGFDDICSYQP >EOY26797 pep chromosome:Theobroma_cacao_20110822:6:17888907:17891557:1 gene:TCM_028751 transcript:EOY26797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfite exporter TauE/SafE family protein, putative isoform 2 MWGSSNMIAGGRSNQWRLISTAFVLIGFLAVDLVLVTAEPRLSQAVSGYNETQEKNYVRKALKFLELNSKPRYEHEWPDMRFGWKIVVGSILGFVGAAFGSVGGVGGGGIFVPMLALIIGFDPKSSTAISKCMITGAAAATVCYNIRQRHPTLELPLIDYDLALLFQPMLVLGISIGVGFNVIFADWMITILLIILFIGMSTKSFFKGVGTWKKETIKKKEAARQLELNGNARDAVEIKTEHEATTNDTQTGDKELGKSKVSLIENIRWKELGLLIVVWILILALQIAKNYSTTCSVEYWVLNFLQIPVAVGVSSYEAVCLYKGRRRIASKGDVVANWRVHKLVIYCAFGLLAGILGGMLGLGGGFILGPLFLEMGIPPQILCRCQVPRPPLPCFFQHPCQLLNTIF >EOY28755 pep chromosome:Theobroma_cacao_20110822:6:26443444:26448408:-1 gene:TCM_030267 transcript:EOY28755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Saposin-like aspartyl protease family protein MGTTIKVVVLSLFISSLLFSVVSSVSNDGLVRIGLKKMKLDPNNRLAARLDSKDGEALRASIKKYHFRNNLGDSEETDIVALKNYMDAQYYGEIGIGTPTQKFTVIFDTGSSNLWVPSTKCYFSVACYFHEKYKASDSSTYKKDGKPASIQYGTGAISGFFSYDHVQVGDLVVKDQEFIEATKEPGLTFMVAKFDGILGLGFKEISVGDAVPVWYNMIKQGLIKEPVFSFWLNRNVDEEAGGEIVFGGVDPNHYKGKHTYVPVTQKGYWQFDMGDVLIADKPTGYCAGSCAAIADSGTSLLAGPSTVITMINHAIGATGVVSQECKAVVQQYGQTIIDLLIAEAQPQKICSQIGLCTFNGAHGVSTGIESVVDESNGKSSGVLRDAMCPACEMAVVWMQNQVRQNQTQDRILSYVNELCDRVPNPMGESAVDCGSLSSMPTISFTIGGKVFDLTPEEYILKVGEGSDAQCISGFTALDIPPPRGPLWILGDIFMGRYHTVFDFGKLRVGFAEAA >EOY25766 pep chromosome:Theobroma_cacao_20110822:6:1792465:1802270:1 gene:TCM_027137 transcript:EOY25766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding, putative isoform 1 MDVQARNKALFRAKLNAQKKDKRIDSPLVRYNELDQPVCRICDVVLKSESHWDAHQASRKHHEAINNLKANAAKRTQASNTQSGLPLGFFDNNGTGKQKTETVKLPDSNSNKKLGISAQTHAMESLYSEKEKDDPPQSSVTQTKKIQPPESRQVTGLETKQVRGSLPDDFFDKDEANLPVNGMKPARDNKQKSMQATALETKQAKGALPEGFFDNKEADLRARGIKPVKIDVKDEYKEFEKLIQEDLQGVDDRMEEEEIDAAEMIEEAESLEQKVYKEKVEMLRKRKLELQATRLNKRGRTKADSKESKSEESSSDDDSDENFAVDWRAQHL >EOY25765 pep chromosome:Theobroma_cacao_20110822:6:1792312:1801183:1 gene:TCM_027137 transcript:EOY25765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding, putative isoform 1 MDVQARNKALFRAKLNAQKKDKRIDSPLVRYNELDQPVCRICDVVLKSESHWDAHQASRKHHEAINNLKANAAKRTQASNTQSGLPLGFFDNNGTGKQKTETVKLPDSNSNKKLGISAQTHAMESLYSEKEKDDPPQSSVTQTKKIQPPESRQVTGLETKQVRGSLPDDFFDKDEANLPVNGMKPARDNKQKSMQATALETKQAKGALPEGFFDNKEADLRARGIKPVKIDVKDEYKEFEKLIQEDLQGVDDRMEEEEIDAAEMIEEAESLEQKVYKEKVEMLRKRKLELQATRLNKRGRTKADSKESKSEESSSDDDSDENFAVDWRAQHL >EOY25764 pep chromosome:Theobroma_cacao_20110822:6:1792312:1802270:1 gene:TCM_027137 transcript:EOY25764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding, putative isoform 1 MDVQARNKALFRAKLNAQKKDKRIDSPLVRYNELDQPVCRICDVVLKSESHWDAHQASRKHHEAINNLKANAAKRTQASNTQSGLPLGFFDNNGTGKQKTETVKLPDSNSNKKLGISAQTHAMESLYSEKEKDDPPQSSVTQTKKIQPPESRQVTGLETKQVRGSLPDDFFDKDEANLPVNGMKPARDNKQKSMQATALETKQAKGALPEGFFDNKEADLRARGIKPVKIDVKDEYKEFEKLIQEDLQGVDDRMEEEEIDAAEMIEEAESLEQKVYKEKVEMLRKRKLELQATRLNKRGRTKADSKESKSEESSSDDDSDENFAVDWRAQHL >EOY28035 pep chromosome:Theobroma_cacao_20110822:6:23986813:23999253:1 gene:TCM_029716 transcript:EOY28035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 18 MADSCLGPFFYKTPPPSPPRPPLFLLQIPRLPLHFPSKSMAAHCHCSSNPRPSQPSLLVFSGGTAFNGVVEELKKLTVRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLADQSTSEALAVRRLLGHRLPINPQQAKSEWYKIVEGGHSLWEGVSKPYRETIRAFLAYFQNEILRRPNESFCFSNGSIGNFFFAGARVFFQSLDAAIFLFSRVSDIPAESLVLPVISTNGRLTLGCELWDGTIIRGQNEISHPTNGSMGPVDKGRSSVPALPSRIKRVFYMSSEGTNSLHEVFPTVNPAVLEQLSNVDCIVYAMGSLFTSLCPSLVLLGIGEIISSRSCPKVLLLNGTHDRETTGFSASSFVTAITDALNRTYGDSHNCLKKLPNQYINTLLVPKDGEIPIDVQCLSSQGIFDVVIVDSIRDPKVGVIFDSESLINALGNVAMSRTLHEAAIANKHEQWMARYGRVYESQSEKDRRFMIFKDNLEYIENFNNAANRTYKLGINEFADLTHDEFLAARTGYKMLDNPTTTITASFRYATMTEVPTSLDWRQQGAVTPVKDQGSCGCCWAFSAVAAMEAITQIKTGKLISMSEQQLLDCSTNGGNQGCNGGWMTNAFQYIMENQGLTTEENYPYEEMQGTCAIEKATAQVADISDYEQVPSNDEEALLKAVTNQPVSVAIDGSGRDFKFYSGGVFSGDCDTSLTHAVTIIGYGTSEDGTKYWLIKNSWGQSWGENGYMRIQRDVDNPEEKFLIAMVILLGTLASAMPRRLDETVLFEKYQQWMTRHGRTYEKKEEENWRFEIFKDNLEFVEKFNMGNQTYKLSINQFADLTNEEFRASYAGYKILTRRVPPKSKRFKYENQTDVPASMDWRKKGAVTHIKDQGSCKSCWAFSTITAVEGIAKIKTGQLISLSAQQLVDCVKTKDTDGCSGGWMDDAFAYIVKNQGLASETKYPYTSNDGTCSPRKAAIRIVKIKGYEDVPPKNEEALRKAASQQPVSVSLNGGGRNFQFYSEGVFTGHCGTSLDHAVTIVGYGTSEDGVKYWLIKNSWGKSWGESGYMRIQRDIHSSKGLCGIAREPSYPVA >EOY28095 pep chromosome:Theobroma_cacao_20110822:6:24214987:24221821:1 gene:TCM_029763 transcript:EOY28095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate-gated kainate-type ion channel receptor subunit GluR5, putative MRELHSKDEKSMQTVKTRRPFPLISVNKVSTSYLLFPLLIVSFLLVLFHGAQAANIESVTEIRIGAIIDANTRIGKEEKTALEIAVANFNTSKSRNHKLSLYFHDLGRETFKAALAAEELIKEKKVKVIVGMDSWEKAALVADVGTRAQVPVLSFVAPAITPPLAATRWPFLIRIASNGLEQMRCISALVRAYNWRRVVAIYEDDTYGGDSGNLALLSEKLRKVGSQIEHSLVLPPFSSLSDPKRFIQEKLRKLRTEIQSRVFILLKLSSPMTIHLFRQAKEMELVGRNTAWILSDTITSYLDSFNHSVIFSMEGSLGIKANYSEESSSYLKFYAQFREVFLSKYPEENEFKPGIHALRAYDSIKAIELAIKRTSSNHSSQKLLLRNILSSNFNGLSGKIRFKAGNLNQTQSLRIVNVVGKKYKEIDYWLPKSGFSKTPSGGENSGANDGETVKALAGIVIWPGDSLEDPKGWAMPTADKPMKIGVPRRTSFEEFVEFQGETPTGFCIDLFEMVLRSLDYNLSYEFFPHRDSYDDLIFAVSNKTYDAAVGDITILAERMEYVEFTQPFVESGLSMIAPTKSDSEWMFMKPFTLEMWVATGALFIYTMFIVWFLEHSSNPEFRGGLKNEIGTALWFTFSSLFFAHRERIYSNFTKVVVLVWLFLVFILTSSYTASLSSLLTVKELESNLDIQSVRERGLNVGCDNDSFVNTYLKTVLSFKEEQIHIFNSSESSYVDEFRKNRIDAAFLEAPYEKVFLNKYCKGYASISPTYRFGGFGFAFQKGSPIAADFSRAILKLSEKGNLTSLEKYWFPPSAECNAANTKIESLSLHSFWGIYAISAATSTLCFLLFLLKLLKNFGHQQEAFRGNVSPGRNGTWNMTVGLAKYFYKGEVNLPRSFRSASTSSRAQALDQRRSLKWNM >EOY27360 pep chromosome:Theobroma_cacao_20110822:6:21391260:21393588:1 gene:TCM_029221 transcript:EOY27360 gene_biotype:protein_coding transcript_biotype:protein_coding description:40s ribosomal protein SA MATTTAPAGARQLSPKEADIQMMLAAEVHLGTKNCDYQMERYVFKRRNDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQHTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIAPGQKWDVMVDLFFYREPEEAKQQEEEEAAGPDYALPAPEYGMAALGSEQWPAQIGEQWSTDVVQPPISGVPAVNWSDQVPPTDGWDAAIPPPQIPAPGIDETAPAPAPAPAPAPTGWD >EOY26623 pep chromosome:Theobroma_cacao_20110822:6:15630406:15631122:1 gene:TCM_028506 transcript:EOY26623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNMIRFTKRGKLNLRYIGPFRIIEKFGPVAYRLELAPELDRIHNVFHISMLKKYVPDPSHILEAPPIELQEDLKFEVQFVRILDRKDRVLRKKNIPMVKVLWKNARMKEMMWEVEHQMRNQYPYLFSEFGK >EOY28997 pep chromosome:Theobroma_cacao_20110822:6:27069154:27072774:1 gene:TCM_030445 transcript:EOY28997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein, putative isoform 1 MELFNGGRETFPHHVAPFPDLTAIGMIESAEDSMMGDHRPNLPPQKLRPIRYNGRSPASSQAEDTSEFAEVVELVGDEVCPVNGDSGEYLEPPVKAEVGDVVDTGGGDGPPNSEHGGDSSSSSSSDSDDNDMSTTLNEPLNRKRKRKKSKKIELFLEKLVMKVMEKQELMHKQLIETIEKRERERIIREEAWKQQEMERIKRDEEARAQETSRSIALISFIKNVLGHDIEIPVQSTISCMEETGGKEMSEGHIQKDMISLCDPINRWQEGKMQANGGENHVHEDIGINCDPSNRRWPDAEVQALIMLRSALEHKFRVTGSKCSIWDEISVGMYNMGYCRSAKKCKEKWENINKYFRKSMGSGKKHLENSKRCAYFHELDMLYKNGLVSPANHVNWTKDENEDRGELTPKAGSENVIGA >EOY28998 pep chromosome:Theobroma_cacao_20110822:6:27068292:27072020:1 gene:TCM_030445 transcript:EOY28998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein, putative isoform 1 MELFNGGRETFPHHVAPFPDLTAIGMIESAEDSMMGDHRPNLPPQKLRPIRYNGRSPASSQAEDTSEFAEVVELVGDEVCPVNGDSGEYLEPPVKAEVGDVVDTGGGDGPPNSEHGGDSSSSSSDSDDNDMSTTLNEPLNRKRKRKKSKKIELFLEKLVMKVMEKQELMHKQLIETIEKRERERIIREEAWKQQEMERIKRDEEARAQETSRSIALISFIKNVLGHDIEIPVQSTISCMEETGGKEMSEGHIQKDMISLCDPINRWQEGKMQANGGENHVHEDIGINCDPSNRRWPDAEVQALIMLRSALEHKFRVTGSKCSIWDEISVGMYNMGYCRSAKKCKEKWENINKYFRKSMGSGKKHLENSKRCAYFHELDMLYKNGLVSPANHVNWTKDENEDRGECQ >EOY25856 pep chromosome:Theobroma_cacao_20110822:6:2366256:2371995:1 gene:TCM_027221 transcript:EOY25856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFKLKKARPNNFLFCWLARICLNLFKDLFLLVLTHQNLPIIIMVMILLKLSNKPLNNLVFNDNLWDHRKKCDWGKPSMGFMKFNIDGAARGCPSPSSMGGAMHNHEEDVKILFSKPLGHGDSNMAEILAIKEAFYLFVAFSWCFIYSLINESDSFNAVCGLTNHLLFLRDLKGS >EOY26139 pep chromosome:Theobroma_cacao_20110822:6:4907379:4909836:-1 gene:TCM_027551 transcript:EOY26139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPATQGCSAIIPRPTIEQPKKLSSWLQPPNVVVLARGIYFLLFHSFFSNVLGVLQSNFSKEKETFYQ >EOY25808 pep chromosome:Theobroma_cacao_20110822:6:2009028:2026798:1 gene:TCM_027171 transcript:EOY25808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein MGMPAEQVLHSFCFRLLSHKRLEYIPTSFLQMECTFFHYLATSHMGSTLFLWLLLIFSVLCSPVAAAHSATWTYGVNYGRIANNLPAPESVVTLLKAAKINHVRIYDADHGVLQAFKGSGIDIIVGLPNEYLKEISIGEDRAMIWVKENVQAFLPGTRIRGIAVGNEILGSGPIELWEVLLSAAKNIYSALYRLGLKDTVQVSSPHSEAVFANSFPPSACIFRPDVLPFMRPLLQFFSQIGSPFYINAYPFLAYKNDPEHIDINYALFKDNAGIYDAKTKLHYDNMFEAQVDAAYAALEKAGFPKMEVIVSETGWASRGDPDEAGATVKNAKTYNKNLRKRLAKKKGTPFRPKMVVRAYVFALFNENSKPGPTSERNFGLFKPDGSIAYNIGFTGLVPSAAASSFLSFKDIAVQGWFFMAWAVVLLQSLGFCERSMARFMCMAVVGIVAAVSLMQCAEAQTVHVVGGDMGWTVPQNGAAAYSTWAAGKRFMVGDILEFNFATNEHDVLQVPKASFDACSNDNPIGSNITVGPANVTLTSAGENYFICTFGQHCQFGQKLAITVSGSSDSPLPAPSPTSTPTTPNSPCPTSGPTGSTPSGSAPDPNGNTNSSSSAVLASLLLSMLAIVMGLIA >EOY29025 pep chromosome:Theobroma_cacao_20110822:6:27148148:27150900:1 gene:TCM_030462 transcript:EOY29025 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein isoform 1 MYGRGGLDRFKKAQSLEPFSVSLNSAPKTTPKAVQAQQHSHSQNAVAQPQQEEQTQPQPATQLGGGQSTWQPPDWAIEPRPGVYYLQVLKEGQVLDHINLDRRRHIFGRQHHTCDFVLDHQSVSRQHAAVIPHKNGSIYVIDLGSAHGTFVANERLTKDTPVELELGQSLRFAASTRTYILRKNNAALFPRPPPPTEINLPPPPDPSDEEAVVAYNTLINSYGLSKSDLLPKSNVSGSSLSEREGSTELGRASKRMKKLKVTFRDQAGGELVEVVGISDGADVETEPGPLGVKEGSLVGKYESLVQTTVIPKGKEASSVKEDDGFQKGVTDKLQEVLNKVKNAPKGGIYDDLYGESLSDKVGSSWAYSSVSCAGRQASPTQDDTTGKAIGVSSGNPRSKSASYDDDSEDDLFGD >EOY29027 pep chromosome:Theobroma_cacao_20110822:6:27148070:27150565:1 gene:TCM_030462 transcript:EOY29027 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein isoform 1 MYGRGGLDRFKKAQSLEPFSVSLNSAPKTTPKAVQAQQHSHSQNAVAQPQQEEQTQPQPATQLGGGQSTWQPPDWAIEPRPGVYYLQVLKEGQVLDHINLDRRRHIFGRQHHTCDFVLDHQSVSRQHAAVIPHKNGSIYVIDLGSAHGTFVANERLTKDTPVELELGQSLRFAASTRTYILRKNNAALFPRPPPPTEINLPPPPDPSDEEAVVAYNTLINSYGLSKSDLLPKSNVSGSSLSEREGSTELGRASKRMKKLKVTFRDQAGGELVEVVGISDGADVETEPGPLGVKEGSLVGKYESLVQTTVIPKGKEASSVKEDDGFQKGVTDKLQEVLNKVKNAPKGGIYDDLYGESLSDKVGSSWAYSSVSCAGRQASPTQDDTTGKAIGVSSGNPRSKSASYDDDSEDDLFGD >EOY29026 pep chromosome:Theobroma_cacao_20110822:6:27148148:27150900:1 gene:TCM_030462 transcript:EOY29026 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein isoform 1 MYGRGGLDRFKKAQSLEPFSVSLNSAPKTTPKAVQAQQHSHSQNAVAQPQQEEQTQPQPATQLGGGQSTWQPPDWAIEPRPGVYYLQVLKEGQVLDHINLDRRRHIFGRQHHTCDFVLDHQSVSRQHAAVIPHKNGSIYVIDLGSAHGTFVANERLTKDTPVELELGQSLRFAASTRTYILRKNNAALFPRPPPPTEINLPPPPDPSDEEAVVAYNTLINSYGLSKSDLLPKSNVSGSSLSEREGSTELGRASKRMKKLKVTFRDQAGGELVEVVGISDGADVETEPGPLGVKEGSLVGKYESLVQTTVIPKGKEASSVKEDDGFQKGVTDKLQEVLNKVKNAPKGGIYDDLYGESLSDKVGSSWAYSSVSCAGRQASPTQDDTTGKAIGVSSGNPRSKSASYDDDSEDDLFGD >EOY25533 pep chromosome:Theobroma_cacao_20110822:6:370465:371631:1 gene:TCM_026931 transcript:EOY25533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 1 member 18, putative MLPSSKMLTRIVSVLTCCLALASVHSTPLQDFCVAEPNSKVVVNGLACKDSELVVAEEFHLTGLHLTGNTSNPFGFKATPVTVAQLPGLNALGISMVRLDFAPWGLNPPHTHPRASEMLTVLEGTLEVGFITSNPENQLFKKVLNKGDVFVFPMGLIHFQRNVGYGNAVAVGALNSESPGVITIANSVFGSNPSIPTDVLAKAFQVDQNVIFQLLAKF >EOY25709 pep chromosome:Theobroma_cacao_20110822:6:1347557:1372154:-1 gene:TCM_027094 transcript:EOY25709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor protein kinase PEPR1, putative isoform 1 MISNKFLLLLLLCCCFSIQNSCTVFGLNSDGETLLSLLSHWSSVPSSITSTWNASHPNPCKWVGIACDNSNHVLTLNLTGFAISGQLGPQIAGLSRLSTLDLSSNNFSGAIPSGLANCTSLIHLDLSANGFTGSIPDSFNYLQKLSFLNLYSNSLGGAIPESLFQLTCLESVYLNDNNLSGFIPMNVGNLSKVVVLYLFNNRLSGPIPESLGNCTKLQELYLGGNQLVGVLPHSLNNLQNLIYLDVSLNKLQGVIPLGSSNCKNLSILDLSFNSFSGGLPPRLANCSSLIELVAVHSNLTGVIPSSLGLLDQLVKLDLSENRLSGKIPPELGKCKSLQRLLLYDNQLEGEIPNELGMLSELHDLELFINHLTGEIPISIWRIPSLEYLLVYSNNLTGELPSMITELKLLKNISLYDNQFFGVIPQNLGINASLQRLDFTKNKFTGAIPPNLCSRKKLRVLDLGQNQLHGSVTADIGGCKTLWRLILKQNNLTGVLPVFAENPNLAHMDISENKITGAVPSSLGNCRNLTSINLSMNQLTGLIPSELGNLADLRTLYISHNLLEGSLPSQLSNCSKLETFDVSFNSLNGSVPHAFTSWKHLTTLLLSENHFTGGIPSFLSEFEMLSELQLGGNPFGGKIPSSIGAMKNLIYALNLSGNGLTGEIPSELGNLFKLVSLDISHNNLTGTLTVLDGMDSLVDVNISYNHFTGPIPGTLMTFVNSSPSSFVGDPGLCINCQPSGASGSRTCPGNNYLNPCNNRMRSQKGLSKVEVAMIALGSSLVVVALLLVVLMFVFFRKRKQELGAHAEEGPSALLNKVMEATENLNDRYMIGRGAHGVVFRASLSPGNDFAVKRIMLTKHKRGSLSMAREIQTIGKVKHRNLVRLEDFWLRKDYGLILYRYLPNGSLHDVLHAINPARILDWSVRYRIAVGTAHGLEYLHYDCDPAIVHRDIKPENILLDSDMEPHISDFGIAKLLDQSAASEPSTSLVGTIGYIAPENAFTTTRSKESDVYSYGVVLLELITRKRALDPAFKGETDIVGWVRSVWSHTEDINRIADSGLMDEFTESEIRYQVIDVLLVALRCTEKEPSKRPTMRGVVTQLLNSNITERSKH >EOY25710 pep chromosome:Theobroma_cacao_20110822:6:1347557:1372159:-1 gene:TCM_027094 transcript:EOY25710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor protein kinase PEPR1, putative isoform 1 MISNKFLLLLLLCCCFSIQNSCTVFGLNSDGETLLSLLSHWSSVPSSITSTWNASHPNPCKWVGIACDNSNHVLTLNLTGFAISGQLGPQIAGLSRLSTLDLSSNNFSGAIPSGLANCTSLIHLDLSANGFTGSIPDSFNYLQKLSFLNLYSNSLGGAIPESLFQLTCLESVYLNDNNLSGFIPMNVGNLSKVVVLYLFNNRLSGPIPESLGNCTKLQELYLGGNQLVGVLPHSLNNLQNLIYLDVSLNKLQGVIPLGSSNCKNLSILDLSFNSFSGGLPPRLANCSSLIELVAVHSNLTGVIPSSLGLLDQLVKLDLSENRLSGKIPPELGKCKSLQRLLLYDNQLEGEIPNELGMLSELHDLELFINHLTGEIPISIWRIPSLEYLLVYSNNLTGELPSMITELKLLKNISLYDNQFFGVIPQNLGINASLQRLDFTKNKFTGAIPPNLCSRKKLRVLDLGQNQLHGSVTADIGGCKTLWRLILKQNNLTGVLPVFAENPNLAHMDISENKITGAVPSSLGNCRNLTSINLSMNQLTGLIPSELGNLADLRTLYISHNLLEGSLPSQLSNCSKLETFDVSFNSLNGSVPHAFTSWKHLTTLLLSENHFTGGIPSFLSEFEMLSELQLGGNPFGGKIPSSIGAMKNLIYALNLSGNGLTGEIPSELGNLFKLVSLDISHNNLTGTLTVLDGMDSLVDVNISYNHFTGPIPGTLMTFVNSSPSSFVGDPGLCINCQPSGASGSRTCPGNNYLNPCNNRMRSQKGLSKVEVAMIALGSSLVVVALLLVVLMFVFFRKRKQELGAHAEEGPSALLNKVMEATENLNDRYMIGRGAHGVVFRASLSPGNDFAVKRIMLTKHKRGSLSMAREIQTIGKVKHRNLVRLEDFWLRKDYGLILYRYLPNGSLHDVLHAINPARILDWSVRYRIAVGTAHGLEYLHYDCDPAIVHRDIKPENILLDSDMEPHISDFGIAKLLDQSAASEPSTSLVGTIGYIAPENAFTTTRSKESDVYSYGVVLLELITRKRALDPAFKGETDIVGWVRSVWSHTEDINRIADSGLMDEFTESEIRYQVIDVLLVALRCTEKEPSKRPTMRGVVTQLLNSNITERSKH >EOY25708 pep chromosome:Theobroma_cacao_20110822:6:1368422:1375616:-1 gene:TCM_027094 transcript:EOY25708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor protein kinase PEPR1, putative isoform 1 MISNKFLLLLLLCCCFSIQNSCTVFGLNSDGETLLSLLSHWSSVPSSITSTWNASHPNPCKWVGIACDNSNHVLTLNLTGFAISGQLGPQIAGLSRLSTLDLSSNNFSGAIPSGLANCTSLIHLDLSANGFTGSIPDSFNYLQKLSFLNLYSNSLGGAIPESLFQLTCLESVYLNDNNLSGFIPMNVGNLSKVVVLYLFNNRLSGPIPESLGNCTKLQELYLGGNQLVGVLPHSLNNLQNLIYLDVSLNKLQGVIPLGSSNCKNLSILDLSFNSFSGGLPPRLANCSSLIELVAVHSNLTGVIPSSLGLLDQLVKLDLSENRLSGKIPPELGKCKSLQRLLLYDNQLEGEIPNELGMLSELHDLELFINHLTGEIPISIWRIPSLEYLLVYSNNLTGELPSMITELKLLKNISLYDNQFFGVIPQNLGINASLQRLDFTKNKFTGAIPPNLCSRKKLRVLDLGQNQLHGSVTADIGGCKTLWRLILKQNNLTGVLPVFAENPNLAHMDISENKITGAVPSSLGNCRNLTSINLSMNQLTGLIPSELGNLADLRTLYISHNLLEGSLPSQLSNCSKLETFDVSFNSLNGSVPHAFTSWKHLTTLLLSENHFTGGIPSFLSEFEMLSELQLGGNPFGGKIPSSIGAMKNLIYALNLSGNGLTGEIPSELGNLFKLVSLDISHNNLTGTLTVLDGMDSLVDVNISYNHFTGPIPGTLMTFVNSSPSSFVGDPGLCINCQPSGASGSRTCPGNNYLNPCNNRMRSQKGLSKVEVAMIALGSSLVVVALLLVVLMFVFFRKRKQELGAHAEEGPSALLNKVMEATENLNDRYMIGRGAHGVVFRASLSPGNDFAVKRIMLTKHKRGSLSMAREIQTIGKVKHRNLVRLEDFWLRKDYGLILYRYLPNGSLHDVLHAINPARILDWSVRYRIAVGTAHGLEYLHYDCDPAIVHRDIKPENILLDSDMEPHISDFGIAKLLDQSAASEPSTSLVGTIGYIAPENAFTTTRSKESDVYSYGVVLLELITRKRALDPAFKGETDIVGWVRSVWSHTEDINRIADSGLMDEFTESEIRYQVIDVLLVALRCTEKEPSKRPTMRGVVTQLLNSNITERSKH >EOY26146 pep chromosome:Theobroma_cacao_20110822:6:5000900:5004841:-1 gene:TCM_027559 transcript:EOY26146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVDLLPHSNLYIVNGYFEESTKYMDQFKPSRAWWKIKIKLEGKDKLLKVSLRFQIYLRPIISYIVCKPSRYTTSPSIRHWKTIGRVLEYLKGTLELGLFYGNYSTIL >EOY27541 pep chromosome:Theobroma_cacao_20110822:6:22333512:22341539:1 gene:TCM_029382 transcript:EOY27541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESTEEDDYFPSIESITPQSKIDSVHQSHTEKFGSEDAVENLCGNMRTKYLAFLRISEEVVEMEHELIELRKHISSQGILVQDLMTGVCCELDEWNWANADMNDTPPDPEISEVQDPLANKMDDHKKIFLEKIDVLLAEHEVEEAQEALEAEEKAMLEDQLIEIAEQPAVSTNELKKALSGLIRLGKGPSAHQLLLKCSGSRLPKNIEDNAPSSETVSALRAASICVQDSLNYSSRLESQGLKISKLLLVLLRPYIEEVLELNFRRARKAVFDSIEVEEHLPMSPHFVSSLSAFATSLDSVLVDSGMKFLYIMADILEQLTPLVVLHFGGNVLTRISQLLDKYMDALIRALPGPSDDDSLTELKQTIPFRAETDSEQLGILGIAFTIMDELLPSRVVKIWSPKSENQEPGNENIVPNASTTTELEDWRRQLQHSFDKLRYHVCRQYVLSFIYSREGKTRLNAQIYLGGDGEDSQWDTLPSLPFPPSRHVHQIASAVTALAIRTFTAREVESALPEDEWFVETAKSAINRLLIVASGSDTSEIDDDHIMIHDDIGSDSASSLSSVKSFESFASASMGLGFELKRGKMEEDKGTTGKINLRQKDKEEEVNISGQVHHLPCCIKFNGPCSVSQYFRPKAKGMEIDGLAVEEAHFRGRKLQGTTISIPNGYSGFVLGKNNSGKRKACNVSEGSLNSWQMKAKFDKLTYWNHDSPPSKDDAFLRSFHWFAVAEALHKPVKAEDLTAASIALEEK >EOY28347 pep chromosome:Theobroma_cacao_20110822:6:25085288:25086889:1 gene:TCM_029943 transcript:EOY28347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein, putative MGSLPSPPQPTTPPPSPGFNPSRYRVIVAFCPKEAGPNANFFNCIQYYNPSNNTWSHVSLIPDLLENHVLKGFAMVSLGESIYIIGGTLWHKGRPQSSSDQSDEFVDVGVEVSPLVLRYNVRSDQWSKCAPLGIPRFDFAYAVGDNKIYVAGGKSNWDSARGISSAEVYDPALDEWTPLPSMSTLRYKCVGVTWQGKIYVVGGFAERGDSDLNMLTFSPQRSSAEVFDTRVGRWDLVAGMWQLDVPPNQIVSVDGKLFSSGDCLKPWKGHIEMYDGKLNMWDEVDGSCFQISTSAGTNDEHWPPMERLYLTMAPIGTQLYFLAGYRMAGESSRTLSTVYIFDTSATVDAWRSLAPTEEEGEKELCSHCCVVQLY >EOY28322 pep chromosome:Theobroma_cacao_20110822:6:24925478:24929418:-1 gene:TCM_029920 transcript:EOY28322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWSIVIWKPPQASLAWQVCLPVTKLGTASRVSAKYTNFQLNSAGRTGLLWPNQRHCQFWFSLYCDRNMFGILFRWRKASKCKKLVRRVQCRLKFLKIKRLSIIKQSRQDIVDLLRSGQPETAFSHVPLAEEHLKDQSLLTAYDLLEHFCEFVILHVPYIRKHKNCPKDINEAVSTLIFAAAWCGDLPELQTIRKLFEERYGSKFIKAISELHPGNHVNSQVKETLCLKSIPDTMKLSLIVELAKDHAFLPEHTGSNRRLGSNVLLLRRNDNLHWEGFLFEGMAQGDDGITWLAKMQLPRISEEIQAKLPGQIVEVGNSALVKHRYETEMVCNKLSGQGSLESFGSNHPSTTSFGNKMETTRTSSQSEFLVQFNRRTFDLEEVEEIHINTLQDHYAGEKTIFLFNSTLLSATNNFGFSGASLLVSSHCCYNNNHTGKAGLRDRKTCLAESCRRKRSNSMMLTGIFNLKEGQLCHSCLSPVSSVTDISCDDYYGKSGNLSSYQKHIHHGTCPQDRLSRKDPSKDPLLGLPVAHCFKQEACTEVSRNINCIRHTRHSVGEFQNDILIKKNRKLGEAEVAGFPGNCASSCDSCSSGSNPCIETNRVPHQSSPWSMTIAPERVKKTSTDYGSQSDSSKLFQNSKGINSSSCRHVHPKLPDYDELVAKFKALKQELQQRGPSSLPHSLSFCNL >EOY26442 pep chromosome:Theobroma_cacao_20110822:6:10421043:10422258:-1 gene:TCM_028065 transcript:EOY26442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKKINNKFFGVIVGKLFFKILLLLIPSKFSLNSNFIFLVFKNLWSLSVEKKLESYSFLIQRYKELLENFERKICKFKLYIRQWLKIIIIMVTMLSLKFLKKTKPLEIMLFLSYKACTKASKDLLSMQIILKSSLFTFKCFSLQFSLVGY >EOY26674 pep chromosome:Theobroma_cacao_20110822:6:16871729:16873588:1 gene:TCM_028623 transcript:EOY26674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein, putative MSAMELIPGLPNDIARECLIRVPYNNFSNIASTCKDWKVEIHLPEFFRHRKAAGCSKHVMVMTQTRVSPRGNFGLKCQAMLVYRLVLCEPDTGDWCELPSVPELSDGLPMFCQVVGVGSNLVVMGGLDPDTFEVRNAVYVYNFISARWRRGADMPGVRRIFFGCSSDLDRMVYVAGGHDGDKNALKSAMAYDVAANMWVQLPDMERERDECKGIFHLGKFHVIGGYCTERQGQFESSAEQFDIATFQWSPVQDNLLLTGTCPRTCVAADGKLYMCREGYVTRLEGDTWTPIAELPVEVCKTAHMCAWKDKLLVIGSWSFGEPHTAYVLNLRSYTWSRMEVGEEYSGHVQSGCYLEL >EOY28615 pep chromosome:Theobroma_cacao_20110822:6:26048708:26050522:-1 gene:TCM_030167 transcript:EOY28615 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MASESAELHFVLVPLMFPGHLLPMVDMGRVLAQHGVTVTVVTTPLNALRFKSILDRDIASGVQVRLLQLRFPCVEANLPEGCENIDALPSQLLFKNFMDAVGMLQQRLEQLLEETQPEPSCIVSDRQLPWTINVAEKFRIPRLVFNGTSCFTVVCSHFIGISQIREKVSDDLEPFVVPGLPDRIELTKAQLPVNFKPGSVVSKDKEEQLRAADVASYGLVVNSFEELESGYVEEYRKVKGDKIWCIGPVSLLNKGEIDKAQRGSKAFVDVSQYLQWLDSWPQNSVVYACFGTLSNVAPEQLIELALGLEASNRPFIWVIRDGNKSDEFKKWVSEEGFEERIKGRGLLIHGWAPQVLILSHPAVGGLLTHCGWNSVLEGVAAGLPMVTWPLSADQFFNEKLVVQVLRIGERVGAEIAMRRGEEEKYGAMIKREQIVKAIDSVMDAGGEGEERRKRARELGELAKKAFENGGSSYLNVNRLIKDIMQVSGKKAQE >EOY26746 pep chromosome:Theobroma_cacao_20110822:6:17660643:17661063:1 gene:TCM_028707 transcript:EOY26746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTQILIPNPSPMSVAHYFTKFSLLRCPFWCCDRRLKLPLPWCAEGPCFAPQTLHFLCVLIYSFGASGAEVCDNV >EOY26750 pep chromosome:Theobroma_cacao_20110822:6:17670155:17671417:1 gene:TCM_028712 transcript:EOY26750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 1, putative MSPWSRSNSIVHYLALGSKQQTFVKSCVFAWRKLTAASIYRAFAFTTNMLGLRASSKSNSKLRKVSIDAWKSARDSTGSTPEDYARLSGHYSHIHLVQKKINKRTGSGHAVVDIPGALTECSMNQKQNNESTSSFEIGRLELRSMQRHCKLCDQKLAYGCGTTSRSLVYRPAMLSMVAIAAVCVCVALLFKSCSVVLYVFRPFRWELLDYGTS >EOY27007 pep chromosome:Theobroma_cacao_20110822:6:19706363:19716550:1 gene:TCM_028963 transcript:EOY27007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative MMRGGKDEAKVMSPMFPRLHVNDTERGGPRAPPRNKMALYEQLSIPSQRFNSGSLPVLPLPPNSNSSLVPSISSSHGGGNGRSMFMPFGNSPESSILAEKFHSYSIPGIKLNTMKGNQEKKSTKATDYQSLDTTPPIPAISKSNPLQPLHFSNFKKFSLRKLGHDDDLRVPTSVLSGRDRNCSRSKQSGGQENFSKLNLNSSMQLQTANEKQMKENYSVDQKSRRYFGNEAEENGRLSRSSQDLMERSNSVQSTRDKILADTSSDLPTKIKNSESLKRPHAFLNQENKSSSVNMVNSVDGPNALLLQECVATQDKMIFRENILVESGRCRENTSKVRNESCLRQSLGVDNGSPNVLENTSKAHEEKKCGAMEVGVVGGHNNVPDAATVESISHLDICPDDVVGIIGEKQFWKVRRAIVNQQRVFAVQVFELHRLIKVQRLIAGSPHMLFEDTFFMGKPSLDVSPIKKLPSNYVLEPPLIVKVKDSSQKPNISIECADENAVAKLPLPSANDDTSKGLVALQPKYGPYLGNALSTPLAANTRTSPWCFSPFGSQWLVPVMSPSEGLVYKPYAGPCPPTAGFMAPVYDSCGPVNLATGGGDFLNTAYGVPASHQQGIGILPGNSAIGQTYFPHYGMPVMNPSVSDSAVEQMSPFIGVQLKGNQLSTGDVNFTIAHQSSCNMSSQVSQAISYCVGKLPASKESEIQGSTASSPSERARGDALPLFPTEPTIQASDHNARSSGQQTRVIKVVPHNSRLATESAARIFQSIQEERKQYD >EOY25964 pep chromosome:Theobroma_cacao_20110822:6:3036330:3038120:-1 gene:TCM_027329 transcript:EOY25964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGRSNNGTLTAFMMVSNQLGEIFECRDWGLDWVFPCSALEFFSAWNDLLLDGDHLKLWKMSFYSILWSIWLFWNDMIFSGKIWDPFQLYDINKTRVAWWAKSKWSNLKIPLLDLFREPWLGSIEDSCKSFIKSVEWRRPNPNQVKFNVNEAASGCL >EOY27892 pep chromosome:Theobroma_cacao_20110822:6:23458293:23466740:-1 gene:TCM_029610 transcript:EOY27892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase, putative MLICMEPNEVEAQVEPLPPPHNEVQALREIAAELGKKDWNFSENPCSNKSSSFTEPLPPNMPLAINSTVTCSCSPNGECHIDAIYLIGQDLDGVLPRSLVKLPYIKTIQLTLNYLKGTIPREWAALKLEILTLENNLFSGTIPPQLGKLVNLENLLGKFPWGLTKLSNLKELRISSNNFTGKIPNIFQYWKQLEKLEIQASGFEGPIPSSLSVLLNLTELKISDLPGEGSKFPNLENMKNLYRLMLRSCNISGPIPDYLWAFSRLQILDLSFNKLEGHIFGSESLTKTQYMYLTSNALAGPIPDWVNARDSRFQIDLSYNNLSESSETVCQENLNLFKSFSESKNSGLDDCLKNFPCSKDWYSVHINCGGRATTINGINYEADEDLGGPAKYFPLKEAWETSSTGLFWGTSVGSRDYIAQNVSILRTKNSELYTRARLSPLSLTYYFRCLANGNYTVTLHFVEIVIRDNRSFRSLGRRIFDVYVQEKLELKDFNIKQEAKGVDKAVIRTFKTVVRNKTLTVRFHWAGKGTTATPRRGTYGPLISAISVDSDFKPPVLNGGNTKMEFEVGAVVSVSCLIFIILSILWWKGYFWHRTLREQDLKGFDLQSGFFTFRQMKAATNNFDAANKIGEGGFGSVYKGILLDGTIIAIKQLSSKSRQGDREFLNELGMISGIQHPNLVRLYGCCVEGGPKESQLTLDWPIRQKICLGIAKGLAFLHEESSLKIVHRDIKTANVLLDKELNAKISDFGLAKFVEEENTHISTRAAGTMGYMAPEYVLWGYLTYKADVYSFGIVALEIVAGKNNTKYRPEEDFVCLQDWALVLQHKGNLMELVDPRLGSEFNEEEAIRMIKVALLCTNSSPALRPIMSEVVNMLEGRTLVPELIMDSSIFGDELRIGALRDQCNRMQTGKDDETSTFRHSSDSTALLGSSLTFVQDASNQS >EOY26975 pep chromosome:Theobroma_cacao_20110822:6:19432137:19434318:-1 gene:TCM_028923 transcript:EOY26975 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein MDAYSTRLLFLVFAFASVSRGYAQQSTTVVPAIITFGDSVVDVGNNDYLPTIFKANYPPYGRDFDNHQPTGRFCNGKLATDITAKTLGFTTYPPAYLSPEASGKNLLIGANFASAGSGYDDNAATLNHAITLTQQVEYFKEYQAKLAKVAGSSKSASIIKDALYVLSAGSGDFLQNYYVNPLINHVYTPDQYGSILIDAFTSFVKNLYGLGARKIGVTSLPPLGCIPLARTLFGYHEKGCVSRFNTDAQQFNKKLNSAAANLQKQHPGLKIVVFDIFKALYDVVNSPSNYGFVEATRGCCGTGTVETTSFLCNPKTPGTCPNATQYVFWDSVHPSEAANQVLADALITQGLALI >EOY28826 pep chromosome:Theobroma_cacao_20110822:6:26646081:26648299:1 gene:TCM_030317 transcript:EOY28826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MSSESLLQHLQRFIQRPNQIKQIHSLLITGGLLLHNHYSTASKWKTTLLYNTLIRAYLNVKPFHHSLLLFTRMLGHQTPPNSHTFPSLFKAAAAASLSLASLTCAPLHAQALKRGVLSDPFVQTSLLGVYAKLGRLSNASKVFEEIFNPCIVACNAMLDAFGRNGDMGSALLLFESMIEKDVVSWTSVINGFARSKQFKEAIRVFENMMEFWVKPNEATYVNVLSCCANSEGGGSLYQGKQIHGYMLRNEVVMTVYMGTALIDFYGKKGCSETAVRVFNQMLVREVFTWNAMISSLACNGREEKALDMFEKMKVEGVCPNEVTLVAVLTACARTKRVELGSELFQSMYCQYGIVPMMEHYGCMVDLLGRAGLLTEATEFIGSMPFQPDASVLGALLNACKIHGAIELGNEVGRKLLELQPRHCGLYVALSSINADLERWDRAADLRKALVEARIRKVPAYSLISSM >EOY25510 pep chromosome:Theobroma_cacao_20110822:6:296977:299409:1 gene:TCM_026917 transcript:EOY25510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoeugenol synthase 1 MEMCGEKSKILIFGGTGYLGQYMVKASVSLGHPTYAYTRPLTPNSSSTSKLQLLKEFESMGVTMFYGELDNHERLVSVLRQVEVVISTLAVPQHLDQLKIISAIKEAGTIKRFVPSEYGNEVDRSSALPPFEALLANKRKIRRAAEAAGLSYTYVAGNSFLAYFVEYLLHPHDENKKDVVVYGSGEAKVAFNYEEDVAAYTVKAATDPRVANRVIIYRPPNNIVTQLQLISAWERKSGRTFKRVHVPEEEVIKRSETLPYPDNIPVAVLHNIFIKGDQMSYELTEQDLEASKLYPDYEYTTVDKYLDMCVVNPPQPKLAAFA >EOY29041 pep chromosome:Theobroma_cacao_20110822:6:27177083:27186416:1 gene:TCM_030469 transcript:EOY29041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed imbibition 2 MATPTLLHTTTAYVLSLRPKSPFSTPFLSTNLGQRQSFLSHRSLLLPQKWRQHMFLSTRPLLKDGNLRINGKEALKDVPANIVVTPLTDTSAFVGATSSDSSSRHVFKLGVIKDVKLLCLFRFKLWWMIPRVGSSGSDIPVETQMLLLEAKEGPTSDDASDHSTYIIFLPVLDGKFRSSLQGNTSDELEFCVESGDPAIVTSQSLNAIFVNYGNHPFDLVKDSMMILEKQFGTFAHRETKQMPGMLDWFGWCTWDAFYQEVNPQGIKDGLMSLSQGGTPARFLIIDDGWQDTVNDFQKEGEPIVEGSQFGGRLASIKENKKFRRIANEAKSKAPRDLKEFVSDIKKTFGLKYVYVWHALLGYWGGLAPNTLGTKMYNPKLRYPVQSPENRGDISLDSMEKYGIGVIDPDKISQFYDDLHRYLVSQNVDGVKVDVQNILETISAGLGGRVSLTRQFQQALERSIAANFEDNSIICCMCQSTDSIYHSKQSAISRASDDYYPKNPTTQTLHVAAVAFNSIFLGEVFVPDWDMFYSLHDAAEFHAVARAVGGCGVYVSDKPGQHDFTILERLVLSDGSVLRAKYPGRPSRDCLFTDPVMDGKSLLKIWNLNECSGVIGIFNCQGAGSWPYTKKNAVKMAAGSELVGQVSPADIEYFEEVSGKQWTGDCAVYSFNAGCVSRMPMEGSFNVALKVLECDVFTVSPIKVYNEAIEFAAIGLLSMYNSGGALECVESSADPSTSSSSCKIHVKGRGSGCFGAYSNTKPKSCSINLKDEVFNFSGEDNLLTISIPATTNAWDVAISY >EOY28596 pep chromosome:Theobroma_cacao_20110822:6:25996332:26002257:-1 gene:TCM_030152 transcript:EOY28596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) hydroxyproline-rich glycoprotein family MSNGDGLPIRYTQQEFQPQPQPQPMKRNHTAQRYARRVRDSFTTRVTKTLCAIFLSLLLCVGIVMFILWLSLRPHRPRFHIMEFTVPGLAQPSGFENAQITFNVTARNPNQHIGIYYDSMVGSIYYKDQRIGSTPLLDPFFQEPKTTTIVYRTFDTATLTVNSNRWKEFMDDRQQGMVVFRLDITSVIRFKVSTWDSKHHKMHVNCDVAVGPDGMILPTSKDKKCPVYFS >EOY26650 pep chromosome:Theobroma_cacao_20110822:6:16551911:16555775:1 gene:TCM_028587 transcript:EOY26650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPPRRGRPPLYRSVGRGRGRARLSQPDPVERESDAPTFRAAPVVEPTEIPPPPPPPTATPGVHAMSLEAVQALAAFLNVIMGQAQAGRVPHTVPPAVSPVPPPPPLVPPPVPDVSIFKKLKEARQLGCTSFVGDLDATAAKDWITQVTETFVDMKLDDDMKLMVATRLLEKRARTWWSSVKSRSITSLTWIDFLQEFDGQYYTYFHQKEKKREFLSLQQGNLTIEEYEARFNELMSYVPDLVKSEQDQASYFEEGLRNEIRERMTVTGREPHKEVVQMALRAEKLTNENRRMRAEFAKKRNPNVSSSQLPKRGKDTFASESTVSVPVISPRPPLSQLQQRPPRFNRSGMSSTSEKSFGGLNKCEKCGRYHVGECWGIRCFHCDQPGHIRSDCPQLGRATVAAPSPLTHTDMQRRDSSGVHPRQGVTVRSEMGSNTPAQPPLRPLTRSSTRVFAVMEDEARVRSGESE >EOY25829 pep chromosome:Theobroma_cacao_20110822:6:2159516:2162090:-1 gene:TCM_027195 transcript:EOY25829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase-related / PAP2-related, putative MTMACHQLLTLEYHSFPPWRVTNSELWHVTDSLHGLSRTLNAGMSQIPSIACHQLLTLACHRFPPWRLTNSKRVQTVYILWTWLIECRRRATISALFMFTCRGILGYSTQLPLPQEFVGSGVDFPVGNVSFFLFFSGHVAGSVIASFEMRRMRRWELAWLFDTLNVLQAVRLLGTRGCYTIDLAVGVGAGILFDSLAGKYEDSKRKCPLVSGTMKEGLLR >EOY28745 pep chromosome:Theobroma_cacao_20110822:6:26411044:26412686:-1 gene:TCM_030258 transcript:EOY28745 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein with a domain of Uncharacterized protein function MASLQASNLVLSSSSKQIHAAISVPKLPSIRFSVPKVPTRVLSDELNARDGFISTVPIEKYITRTTLVQESSSFSMATIQLYALLEAVADRVEMHSNIGEQRENWNTLLLNSINMITLTAATMAGVTATGGAGVSIWGLKLASTLLFSTATGLLVMMNKIQPSQLVEEQRNATRLFKQLQSQIRTQLAVGSPSKEDVKDAMEKVLALDKAYPLPLLGVMLEKFPASLEPAVWWPKNQSPKTNKSQETKHFNRKVENNGWTEELETEMREIAEVIKRKDSEDYERLGNKALKINKVLAKSGPLLTGIAALGSAFMGSSNGPWAAIVAAVAGALASAVNTFEHGCQVGMVFEMYRNNTGFFKLVQESIESNLDENDVEKRENGELLEMKVALQLGRSLSQLRDLAKKSSYSRIEGSPIDEFASKLF >EOY27635 pep chromosome:Theobroma_cacao_20110822:6:22581046:22582150:-1 gene:TCM_029431 transcript:EOY27635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMKKVGYKQNWGAVAPAPLICPRRSSTCPRLETIHEEGCEYHAVFSNKVFLVLPVVLSTVFYFFLYKEATLCA >EOY27268 pep chromosome:Theobroma_cacao_20110822:6:20950309:20956483:-1 gene:TCM_029150 transcript:EOY27268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase 3 MALAKEMMGRSLIERSSFLSSSKVFLNCTSTTFQRKQNQFLVNPVLLPLEQRRVRLRKVAKPPVAAISEDLIKAVPDQKEKAVKFKVRAAVTVRNKNKEDFKETLVKHLDAFTDKIGRNVVLELISTEEDPKTKGPKKSSEAVLKDWSKKANVKAERVHYTAEFIVDSNFGVPGAITVTNKHQKEFFLESITIEGFACGPVHFPCNSWVQSKKDHPGKRIFFSNQPYLPSETPKGLKALREKELRDLRGNGKGARKLSDRIYDFNVYNDLGNPDRGNEFARPTLGGEKIPYPRRCRTGRPPTETDIQAESRVEKPLPTYVPRDEQFEESKQNTFSAGRLRAVLHNLLPQLKASISAYNRDINSFADIDGLYKEGLLLKLGLQEEFVKNLPLPKMVSKIQESSEGLLKFETPKVVSKDKFAWLRDDEFARQALAGVNPVNIERLATFPPVSKLDPEIYGPQESALKEEHIVGQLNGMTVKQALEENKLFIVDYHDIYLPFLDRINALDGQKSYGTRTIFFLTPSGTLKPIAIELSLPPTAPRSRSKRVVTPPVDATTNWIWQLAKAHVCSNDAGVHQLVNHWLRTHACMEPFILAAHRQLSAMHPIFKLLDPHMRYTLEINSVARQTLISADGVIESCFTPGRYCMEMSAAAYRSHWRFDKEGLPADLIRRGIAEPDPTQPHGVKLLIEDYPYASDGLLIWNAIENWVRTYVNRYYPNSSVISNDKELQSWYHESIHVGHADISHEDWWPTLNTADDLVSILTTIIWLASAQHAALNFGQYPYGGYVPNHPPLMRRLIPEENDPEYANFLADPQKYFLSALPSLLQATKYMAVVDTLSTHSPDEEYLGERQQPSIWSGDAEIIEASFGFSAEIRRIEKEIEKRNADPSLKNRCGAGVISYELLAPSSGPGVTCRGVPNSVSI >EOY28564 pep chromosome:Theobroma_cacao_20110822:6:25822423:25825891:1 gene:TCM_030105 transcript:EOY28564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 14, putative isoform 2 MYVKNLVFVLCLIVSGIGLTTAQTCLETGNFTTNSTFGRNRDLILTSLPTNVSENVGFFNATIGQEPNKVYAQALCRGDLSAEDCLTYVNFTAQQLITNCTNQKEGFSWDGDIPCLVRYSNQSFFGVLALDPIQEAINPNDINDAASNLTQFFQVWGGLMEAVATKASMGSSRLKYATGVADEIQALMQCTPDLSQSDCLTCLRTLVRRYTACCRTYQGGYVETPSCRMRWDLYTFFAPTTDTVRISLSPPPGKSPPDSTKNTTATIKDNGGDQSRTIVIIVVPIIIFIATVVLICVRLQKRRKSKKEMKSDDDGLESLQFHFKAVREATDNFSENNMLGQGGFGAVYKGRLQDGREIAVKRLHYESGQGKMEFKNEVLLMAGLQHRNLVRLQGFCLERKERLLIFEFMPNSSLDHFLFDPVKPRLDWDKRFKIIAGIARGLLYLHEDSRYRIIHRDLKAANILLDADMNPKISDFGMARLFAADQTRDRTRRIAGTFGYMAPEYVKHGKFSLKSDVYSFGVLILEIICGDKISNFRKDGVDLLTYAWRNWREGRALNLVEPFLRDGSGSRSEMTRCIHIGLLCVQENYASRPTMNSAVLMLSDSSMSIPMPSTPAFMLSASTVQSEASSSSTTDHNSDQCSRNEVSITTLDPR >EOY28563 pep chromosome:Theobroma_cacao_20110822:6:25822423:25825891:1 gene:TCM_030105 transcript:EOY28563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 14, putative isoform 2 MYVKNLVFVLCLIVSGIGLTTAQTCLETGNFTTNSTFGRNRDLILTSLPTNVSENVGFFNATIGQEPNKVYAQALCRGDLSAEDCLTYVNFTAQQLITNCTNQKEGFSWDGDIPCLVRYSNQSFFGVLALDPIQEAINPNDINDAASNLTQFFQVWGGLMEAVATKASMGSSRLKYATGVADEIQALMQCTPDLSQSDCLTCLRTLVRRYTACCRTYQGGYVETPSCRMRWDLYTFFAPTTDTVRISLSPPPDNGGDQSRTIVIIVVPIIIFIATVVLICVRLQKRRKSKKEMKSDDDGLESLQFHFKAVREATDNFSENNMLGQGGFGAVYKGRLQDGREIAVKRLHYESGQGKMEFKNEVLLMAGLQHRNLVRLQGFCLERKERLLIFEFMPNSSLDHFLFDPVKPRLDWDKRFKIIAGIARGLLYLHEDSRYRIIHRDLKAANILLDADMNPKISDFGMARLFAADQTRDRTRRIAGTFGYMAPEYVKHGKFSLKSDVYSFGVLILEIICGDKISNFRKDGVDLLTYAWRNWREGRALNLVEPFLRDGSGSRSEMTRCIHIGLLCVQENYASRPTMNSAVLMLSDSSMSIPMPSTPAFMLSASTVQSEASSSSTTDHNSDQCSRNEVSITTLDPR >EOY25987 pep chromosome:Theobroma_cacao_20110822:6:3399533:3401005:-1 gene:TCM_027375 transcript:EOY25987 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein MDLSGNPFITDQALVSLSLNCLFLSEIGIRDCDFITQNGIALAMLKSGNLKSISMNGIGIPSIDLCFKDSFAYARGLCELDLSNSFISDELLCLVAEACLPLTKLVLSRCFCYTFDGIYFLFSKYQSLTYLDLEGANFLNDESMMELTKFLGNLTFTNLSLCSKLTNSTFFNLTKNCPLLSIINMERTNLGVEEFPTEIVVNPRVKSLYLAWNNSLNDECIKKAAYVCPNLEVLDVTYCSHITERGILGILKSCLHIRCLEINRFEGIKNLEIDFELPKLEVLQAEGLGINDEALTLIGKRCCRLSHLNLEGCLNVTARGVEGVVVNCKALKEMNLRWCNNVSVDIVAWMVFSRPSLRKITMPCGSVPTVNQRNFFLRHGCLVCKG >EOY27028 pep chromosome:Theobroma_cacao_20110822:6:19793891:19794696:-1 gene:TCM_028979 transcript:EOY27028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein, putative METKTNVSLVFLVVSGIVMVFFSVPAKADDGLKETNMTVYFHDYSSGGPNSTDLPVVGFPGKLWNFTQFGTLYVVDDLVTEGPELTSATVGRGQGTYVIASLDGLNAYVSFSLVFTNMAYNGSTIQILGNNNQFKAVREYSVASGTGKFRYATGYATFETIFLDQSTSYSILRVNVSARHY >EOY26099 pep chromosome:Theobroma_cacao_20110822:6:4456502:4457531:-1 gene:TCM_027501 transcript:EOY26099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMLKFFPWFMFFLCTTALSCWKLEGFPTLESPDFNLSDPAVRGQEIHVLRHAGLNRTVGLEADGDKEVYEKKHDIFLSEKSQRGKGAYGGANIAHRPRQAKSAASSLVRPAFFLSTTVSHAISH >EOY26149 pep chromosome:Theobroma_cacao_20110822:6:5021262:5024956:-1 gene:TCM_027562 transcript:EOY26149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGTGEFVDDKSKTVNVVSPPIKARELHPRTSSLSISKIPTLDTLPTRGNEKLILKPCGVSVDIPAATSKSTYGLMPSTVVARVSSSELNGYQEIKNDMKELKIDVHDIKSTMKAILESFPNLADGSSSSQ >EOY28668 pep chromosome:Theobroma_cacao_20110822:6:26184482:26187507:-1 gene:TCM_030201 transcript:EOY28668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger MYND domain-containing protein 15 MDFHLKNLFDRFQEQFGSGPGLGPGSGTCLMKVDGIASNFIKSIFKASAALYRTEPWRRLRPGHFFGVRVGKDSDWSGKKQPFPCAQFIGGDGGDIGFYMFRSENDAKKMTGSRETIRVANVELLRVTYELETLMFPSNRKMIKSLSLEASGTDRFPVIDVARCTSSGELRFRNPTLGELKFVYAFMRAISLVHPLLRVDKEGGPNWSRLICFDPFIETVDIQWPPEMARGHELVAVTISHPPGQAYDEKTSSTASSTPTKYAEPPNEDAFMDIRVNSNSSSRQCAMCEKEVNGDQSLCCGRCRAVVYCGSLCQKQHWKESHKSMCGLYKAMMEREEELVMKIFMFPCSADHPCKWLESLGIHQKGMWRRKCSCYSHCPFGLLPAKGGLWDSWGGLDDEEYPRDSPFHNHLRDGISSPILLSGWSEYYNLRSLPLSSPVADILSHPLTVYYILTALSISSKNLLLKGKEVILHYLGPEGEMDWMPAFAEISHLLNGLGNIQIVMVGPEVPTNLSGTTSGISSRVRVNLVRGVYQEEATYLPSPHVIVALNCVLDRYATWGGALDLIKAMNIPAFFTEQSEILCANAKQVLRGAGLHITHPVTPNPFRSPVKNHDSSSNLPSYSNGFVLGVNT >EOY27578 pep chromosome:Theobroma_cacao_20110822:6:22450090:22453617:-1 gene:TCM_029406 transcript:EOY27578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARLYLRRDAERQKRLLAMFKFYMEICRAVSSFLTLLSAASTLCTYRPRVRSYALDFATNREYVRRLVYDNDISCISQIRMNRVTFFKLCEMLESIGGLKSTKNMLVDEQVAIFLHIIAHHVKNRVISLNFRKSGESISRHFHNVLAAVLKLQEYLFRKPEPIPTNSTDNRWKWFKNCLGALDGTYIRVKVPSADKPRYRTRKGDIATNMLGVCTLDMQFVFVLPGWEGSVADGRVLRDALRRRNGLKVPNGCYYLVDAGYSNCEGFLAPFRGQRYHLNEWRQGHEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSSPEEFFNMKHAAARNVIERCFGLLKMRREMSFDPIEVDLGEYVETNIAVDEDFISTIDPTDVWDLIDLHNIEKYNADTGFRGGYLIELENMLATKLPNANLKAKPHIESRIKTLKKKWAIIYDMVQGTHTSGFGWDDQRNMVVADDLVWEAYIQSHKEAAPFRRKSFPFFNELSIIYARDRATGKDAQTAADILE >EOY27364 pep chromosome:Theobroma_cacao_20110822:6:21415336:21422111:-1 gene:TCM_029226 transcript:EOY27364 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-motif lipase 2 MRRTPKSQEASGKLLSIWGDLQICHKRFSDGRKVPDFIAEYAKLPLIPPYLQPNNHQFTSGVNFASAGAGALTDTNQRKCAEYPTRRIEIRKEDIKVAATEILSNPEIHGLKDVVGAGNLKQRIVKQKIQVAAKIRVSIRSGTVLIIPTSSSLPKNHVALFILGDSLFDSGNNNYIDTIARANFWPYGETFFKYPTGRFSDGRLIPDFIAEYANLPLIQPYLQPGNHQFTYGVNFASAGAGALAETAQGFVIDLKTQLSYFKNVTKMLRQKLGDAEAKTLFSKAVYLINIGANDILSPFTTNSSVFQSLSKEEYVGMVIGNITDTIKEIYKKGGRKFGLSNLGALGCIPGMKVLVPGITGSCFEEATELAKLHNAALSKALQELAIKLEGFKYAKHDIYTSSSERTNNPEKYGFKEAEIACCGSGPYRGIDSCGGIRVVTEYELCADPSEYWFFDSGHLTEKAYKQLAELMWSGTPNITGPYNLKALFEA >EOY28590 pep chromosome:Theobroma_cacao_20110822:6:25984510:25989552:-1 gene:TCM_030149 transcript:EOY28590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 10, putative MQNVLQRKRKGKRKMGKYALSAMLLLILRLFVLFDEVSPNLLFTFCSENTNYTSNSTFENNLERVLEALPSNTSDTGFYSTSIGDGADQVYGRALCRGDVNTSLCRSCIENASQDIMNLCKTEEAIVWYDLCQVQYSFQNSSLMVYTGKYPESNKQETIISHPDHFNDVLTFLMNNISTKAAALSKIMFGFGEIKFNKKETIYGLVQCSRDISGSKCQTCLDSALGDLKACCYSRTGGTVLSRNCNVRFQMYHFYNASNSPLIYPKSAGDKWSSGMLVAVICATALVLALLIGSSVVYYRWKKRTQNDEAISQKALLYELASPRGVTITQEGELVTSQEFPFLDLPTIRAATDDFSDSNRLGQGGFGTVYKGVLADGKEVAVKRLSRRSWQGLEELKNEVILISKLQHKNLVRLLGCGIEGEEKLLIYEFMPNKSLDFFIFDPEKRPQLDWKTCFEIISGIARGLLYLHEDSRLKIIHRDLKPSNVLLDQDMVAKISDFGMARIFCENQNSANTKRVVGTYGYMAPEYAMEGLFSVKSDVFSFGVIVLEILCGQKNSGFYLTEHAQTLLAYAWQIWREGKELEIVDPFLLESCSKPEINRCFHVGLLCVQEDPADRPTMSDIVVVLGSDTDTIALPEPKRPAFSVGRVIAIDQSSMIDPSMNQMTVSDISAR >EOY28873 pep chromosome:Theobroma_cacao_20110822:6:26752247:26753150:1 gene:TCM_030351 transcript:EOY28873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGAKTKTKKNQKRQLQSPEKRIIKVPNLTLEDWLLASPGPARMKPDCLNGGELYVFKHFSTKVHLSSSRAPQAVLFTPTDSFFVDLSSGDASCSSFSRSQSGKLKKKVSFRLPEEADIVVFYSPAGTFGGDQGSF >EOY26805 pep chromosome:Theobroma_cacao_20110822:6:17923919:17926689:-1 gene:TCM_028758 transcript:EOY26805 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein MNNTCVLVTCPMFTYLEQELETRFNLFKLWDHSSSKAEFFNNYSSSIKAVVGNTKVGADAKLIDSLPNLEIVASYSVGLDKIDLDKCKQRGIKVTNTPDVLTDDVADLAIGLALAVLRKVCVCDQFVRSGNWINGDFGLATKFSGKSVGIVGLGRIGSAIAKRAEAFQCPISYHSRSKKPNTNYKYYLNIVDLAANCEILVVACALTEETHHIVNRKVIDALGPKGFLINIARGAHVDEPELVSALLEGRLGGAGLDVFENEPEPPEQLFALDNVVLVPHVGSDTGETSKAMADLVISNLEAHFKGKPLLTPVI >EOY27488 pep chromosome:Theobroma_cacao_20110822:6:22031459:22033865:1 gene:TCM_029324 transcript:EOY27488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein MNGEISNIKKWVVFYPVYINSKKTVAEGRRISLTKACENPTCAEIADCCSHLKLVNAIEIDKAYPRDFMQRGRVRVQLRKEDGTLYNPAISSRKQLMLHVAELVPRHPGRTKKQEAPSTSSAGPSKSGKGGRKKR >EOY26813 pep chromosome:Theobroma_cacao_20110822:6:18018546:18022876:-1 gene:TCM_028769 transcript:EOY26813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MALVETDYASAELSNTWRNNDFISRGSVDFVDVEATIDSTIIESGSPQILWIANRDNPVENNATLEFTGDGNLVLTDADGMFIWSSNTSDSSVNYMNITGNGTLMLVEFNGLELFSTTVWSSLDYPINTWLPGQTIEEGQRLIPSVSASNWTPGQFYLSFANDNLYAFIQSSPPQMYLKVFSEDTDGHLRVYQWDRSKASEVADILTDNRGICAYPTVSGKYGICSNGQCSCPVGNNGDTSYFQQLNSSAGCKQATPLSCHSTHLHSFIELPNVSYFSFVAAISDTDIENCKQACLENCSCKAAFFHYNSNNLSGNCSLPSQIFSLMSTSPVLDPYNSTSFIKVQRSTLVPASPESPSPSPPLAPLPSPPLARKKSIRIELIIGPLVAALIFTFILIWASVHFLRKRGSIEEEGRRKTEDDWEKSLNLATNLPTRFNYEDLRSATENFNRRIGGGGFGSVFEGTLGDGTKIAVKRLDRLGQGRKEFLAEVKTIGSIHHVNLVRLIGFCIGNSNRLLVYEHMNNGSLDKWIFHKNPATTLKWEIRKNIILDIAKGLAYLHEDCRMRIAHLDVKPENILLDGSFNAKLSDFGLARPIDRNQSHVITQMRGTRGYLAPEWLSRRITEKVDVYSFGVVILEIVCGRRNLDFSQPDEDDYLLLPVLKQKAEQNQLFDMVDSCIEGIQQNAEEVVKMIRIAIWCLQRDYNKRPSMSMVLKVLEGLLTMEPISDYRFLTSTVVEAPAEVVMVPSSPQPASILSGPSNSQTYRNNLKNSKESHSKAFNCHGSEGFGRSNDHGTYLSSWLVDIDTMVALQLKLQGDYLELQ >EOY26941 pep chromosome:Theobroma_cacao_20110822:6:19290193:19293463:1 gene:TCM_028899 transcript:EOY26941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-associated protein 1, putative MESGCSKNVEACPQLLDLIPQQRRWHMKREDERRHGSSEEKKLELRLGPPGEDNWSIKIDATKSNNKERDESLLSLGYFPSMNSNAKQTHTFPTPEDHPVGSVLSSPWANTQQHNHQQQTKPPSFLQFSSTAPQTLPVIAKESSQPCCTKAVDLHNAEKTAFSLPPANTSVPPNSSQKRTAPGPVVGWPPIRSFRKNLASSSSSKLASESPSVVPHKVANEKAATEPTGKGLFVKINMDGVPIGRKVDLRAYDSYEKLSTAVDELFRGLLAAQRDSCAGGIVSKQEEEKVITGVLDGSGEYTLVYEDNEGDRMLVGDVPWHMFVSTVKRLRVLKSSELSALSLGSSKQGKMPA >EOY27988 pep chromosome:Theobroma_cacao_20110822:6:23857177:23857780:1 gene:TCM_029688 transcript:EOY27988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein KYPQLIKKITTLSHIKPFFFSLFPSPVFLSTFSHPSLEKHLLSQLVFDRLPLLSQPAFPHLHLLSQPIFPCLPLLSQPIFFLSLSRGSSPLSQVALTHHISKDITYFFF >EOY27386 pep chromosome:Theobroma_cacao_20110822:6:21518374:21519565:-1 gene:TCM_029245 transcript:EOY27386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLSYSSVSALVPFLEQAHCSSFPSVLPWSMRSISVTCSFPLHTPVPTSRPLLKHANKTVMLKHNQVPGKRASTLRIRSFSKNKVFEDQSEGVICYRDENGEIVCEGYDEGPRFPRQIHGSFCHLSDAEILDLLQDRWLQIVNGGGFSNANKGVIIVQDDSKRKDFNKFHQ >EOY27955 pep chromosome:Theobroma_cacao_20110822:6:23744324:23746321:1 gene:TCM_029663 transcript:EOY27955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 51 MKPLPPPPFVLFHLFLLCTASSAATTVNATKPATPTTPTLSPTPSPTSSPTPPTTTTPSSSRSTLDPKQIEALQSLDIPTTRDPCIQPSPHNATICDSSKPFRHLISLHLSNCSTDLSLTFTALKSLSSLRSLSFTNCHASPIRFPSDLSLSLTSFSCIRSLRRLSGVWLSRFVNLTDLTVSYTPVNTSGLYVIIGNMHKLKTVTISHANLTGYLPRHVHLNLTHVDLSDNKLKGRIPTSLTLLEDLEYLNLSSNGLNGEIPTEFGDLISLKNLSLASNSFSGPIPDSMSAIPGLVHVDLSHNQLNGTVPRFFTELKGLKVLNLENNELHGVLPFNASFIKRLAVFKVGGNDNLCYNHSVLSSKMKLGIAPCDKHGLPLSPPPSKESSGDSENDSSDYDDDGDDSSEKKEHHHGPSKVVLGVAIGLSSIVFLIVFLILLSKWCG >EOY26982 pep chromosome:Theobroma_cacao_20110822:6:19524842:19530949:-1 gene:TCM_028937 transcript:EOY26982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase protein, putative MIFRILQGDFSGFPLQITALLESLSISNLYKPLHLELTKIFSASFIATSSALIASVTPTGLENIALVTPSESFKTPPTLAGCSEPLTTPSTLNNVPAESAVHANCDIVGELYVVLNLCIPLSPPLTNLVKIINLRYIISTHPNVSPNRYRDDGYDRIWESGPFPSSVPIKTSSNIDSHGNDLYKLPVEVLRTAVQPVNGSRSLHYSYDSSGFPSSFHLFCFHFAEIVETAGQDRLREFIITLNDFKIGPITLEYLTPLSISSQIFPVQGVINFTIDATEESDLPPILNAMEFYRVLTLQYSPTDPSDGDAIMAIKQTYNINKDDWQGDPCLPKEYTWSGLTCRFNDTPRIISLNLSSSKLTGAISLSFSDLQAIESVDLSNNELEGPVPEVLAQLPNLKVLNLSGNKLTGSVPQSLKDKSDNGSLVLSLPENPDLSQMDPCHDKEKKKFVVPVVASIVTVLVLIFLSILIVFCIIRRRRQREPLIKSSKEGSFKSKNQPFTYSQIVKITGNFTTIVGEGGFGKVYLGTLNDETPVAVKLLSQSSKQGFKEFSAEVQLLMIVHHRNLVSLVGYCDENGKMALIYEYMANGNLRQHLSGLEYLHNGCKPPIVHRDLKSANILLTESMQAKIADFGLSKIFLTENESHISTCPAGTPGYLDPEFHCSGNLNKKSDVYSFGIILFELITGQPAIIRIPDCGVHILQWLSPIVEKGDIRNIIDPSLQGEFDVNAAWKVVDIAITEGMHGYRDGSRKNSKSAKQHDNIKQLT >EOY28519 pep chromosome:Theobroma_cacao_20110822:6:25634133:25635122:-1 gene:TCM_030063 transcript:EOY28519 gene_biotype:protein_coding transcript_biotype:protein_coding description:3S-linalool/(E)-nerolidol /(E,E)-geranyl linalool synthase, putative MKSVTRFIKNMDGTLLTPLRKQCWARFCNAFLLEAKWLATGHLPKAEEYLENAIVTTGAHVALAHAFFLVDQGITKREGDLLAKIPGIISSAANIVCQWDDLGSAKDENQEGRDGSYVNLYIKEHLGISVQGAREHVMQIILDAWKRLNQESCPPNPFSPCFTKVCLNGARMAPLMYNYDEHQNLPALEEHVQVNAARKLSYLGYL >EOY28460 pep chromosome:Theobroma_cacao_20110822:6:25406924:25409563:-1 gene:TCM_030014 transcript:EOY28460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase MMLAFSNIFRCQRLIQNPVQLLIRNWHKEAIPVSWEKPEIGWTKLNFDGSCKGRGGKASIGGVFRNHKAEFLLGYAESIGRSTSTIAELAALRRGLELVLENGWTDVWLEGDAKTLVDVIVQRRQVKCAELQRHVSHINLIIPELNNCIVTHIYREGNRAADKLAQIGHQLKKPQIWWHIPPNEVLPIVHEDAEGEIKAGQWKSVINRKLSKFYHLTYQSSGPYLEPEAEANIWGFPDGRHIIECSVDEFFHFLPTLSQMNSPALHSIMCFALSGNEGHQDSREY >EOY26832 pep chromosome:Theobroma_cacao_20110822:6:18200613:18210726:1 gene:TCM_028791 transcript:EOY26832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein, putative MICNPSYFDYLITIAHRGSAKADTRALRGFDQHSGRRMFVEASWRLSLAQWGKIEDGSRQKSLKWLVDLSKGTISHELEALTLEGLQILQGQKGFIRCNFVVPSRASDADGNWRVGAMATLIDDVGAAAIYSIADHVKASLDFNISFYSTAKIQEEVEIEAKVTGDKEKLAHVVVEVRRKENRELIALGKQWMASSKNTAKAAQGSKL >EOY28293 pep chromosome:Theobroma_cacao_20110822:6:24845221:24850232:-1 gene:TCM_029905 transcript:EOY28293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTTQQGTHSLAFRVMRLCKPSFHVEPPFRLDPADLFVGEDIFDDALAASNLPPLLSSYVSKSTDSSDLTYGNRFLLHHPSDAMGFSGLLVLPQAFGAIYLGETFCSYISINNSSNFEVRDVIIKAEIQTERQRILLLDTSKSPVESIRAGGRYDFIVEHDVKELGAHTLVCTALYNDGDGERKYLPQFFKFIVANPLSVRTKVRVVKETTYLEACIENHTKSNLYMDQVEFEPAPHWSATALKADELHPADNPPTGEIFKQPILIRSGGGVYNYLYQLKSSSDGSAQVKVEGTNILGKFQITWRTNLGEPGRLQTQQILGNPITCKEIELQILEIPSLINLDRPFSVHLNLTNHTDRELGPFEVWLSQNSAHQEKIVMINGLQTMALPQVEASGSTDFHLNLIATKVGVQKISGITVSDTRDKKTYDSLPDVEIFVESD >EOY27641 pep chromosome:Theobroma_cacao_20110822:6:22591858:22594832:-1 gene:TCM_029434 transcript:EOY27641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pak inhibitor skb15, putative MSLIAGSYERFIWGFRLKPLNYDPSSQTLTLTQLFSYPSHNAPITTVAAAGPAAASGSSDDTIHIYDLSTAASLGPLHHFSSSITALSFYSPPNLSFPRNLLSASADGSISIFDTEPFVLLKSFRSHKKGINDLAVHSSGKLALSVSRDGCLAMSNLMRGKRSFCCRLGKEATIVKFDGSGERFFMASEEKIGVHLAEDARLLYELENEKRVLCAASGESGILFTGGESRSITAWDTNSGKVAYCIEDAHSTRVKGIVVLAKDGGVDDAPYLVASASSDGFIRVWDVRMAIKGKPNPLAEANTKSRLTCLAGSSLQSSKRPQIGKSAPKEEQSDAEDV >EOY26694 pep chromosome:Theobroma_cacao_20110822:6:17396760:17401010:-1 gene:TCM_028672 transcript:EOY26694 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUP50 protein, putative MGDAENALPPSKKRAAGRELSRDNPGLDDDEDSSEQETGTFKRASDEVLANRRIVKVRRNQTTSTASSNPFSAIRLVPPMEPTITAETPSATPESTTTTTEVTAEAPIVNEKEVSEDGKDDVNKSEQNKDGNNQQSEKKTDETEPGSAKSEGESIKQYKNKEDEPVSDAVADTESAEDKGSNVVNEEIQKGANDEKPAGGGKPEDEDKKDEKTANEDNKDKSSENADSTAEGASLSSFQQLSSSQNAFTGLAGTGFSTTSFSFGSTQKDGSTSSVPLFGQKNDQPTFGFGLSTNGNSSLFNASGTSIVSKSEGSGFPAMQEVPVETGEENEKVVFLADSVLFEFIDGGWKERGKGELKVNVSTTGTERARLLMRAKGNYRLILNASLYPDMKLTNMDKKGITFACMNCTGEEKEGLSTFALKFKDASLVEEFRAAVMAHKGKAAAVLKTPENSPKASEG >EOY28379 pep chromosome:Theobroma_cacao_20110822:6:25187915:25193062:1 gene:TCM_029964 transcript:EOY28379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinone oxidoreductase PIG3 MKAIVITTPGGPEVLQLQQVDDPEIKDDEVLIKVEASALNRADTLQRKGAHPPPKGASPYPGLECSGTVLSVGKNVTRWKVGDQVCALLSGGGYAEKVAVPAGQVLPIPPGVSLKDAAGLPEVACTVWSTVFMMSRLSAGETFLVHGGSSGIGTFAIQIAKTKGATVFVTAGSEDKLAFCKNLGADVCINYKTEDFVTRVKEETGGKGVDVILDCIGAAYLQRNLDSLNFDGRLCLIGFQSGAVTEIKLNTLLPKRLTVQGAALRPRSHENKAMVVNEVEKNVWPAIAAGKVKPIIYKSFPLSETGEAHRLMENTSKRFLSKDSNPAMKAIVITSPGDPEVLQLQEVEDPKIKDDEVLIKVEAAALNRGDIYQRQGFYPPPQGASPYPGLECSGIIQSVGENVSRWKVGDKVCALLSGGGYAEKVTVPAGQVLPVPSSVSLSDAASFPEVACTVWSTVFMMSRLCAGERLLIHGGSSGIGTFAIQIAKFKEAKVFVTAGDEQKLAFCKDLGADVCINYKTEDFVARVKEESGGKGVDVILDCVGAAYLQQNLDSLNVDGRLFIIGSLSGFVAEINIGTIFAKRLTIQASALRTRSIEEKAAIVSEVEKNVWPAIISGKVKPVVYKHFPLGEAAAAHQHMESGKHIGKILLIP >EOY26460 pep chromosome:Theobroma_cacao_20110822:6:11191843:11195262:-1 gene:TCM_028131 transcript:EOY26460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLPEGAFDQEAPPVEAEASLALAAGSKKASRFFCKVAIRGWQCAGISSQTTGLESALDPAADKPNVRLSLNKHDKRGKKNRVTGEKNRELTAPAENDETLGQKSVHEDPSENSKNYFPNPPTRVATFMHGDGQLRAESGSGGQNESMDIMEGSGEHRPVVEQGASQTKNTGSAKNTGLIKPMEGKMVLDDAHAGEDEKSAEWKSHAEPLAIVQALVEVDGSKASIVDKVTGPRQMADSEEEEWKPMLKILQIWSPFRVHPRVRHRRYSDTKVSVDKIFNLASDKAVDMEENDEASDEDAISVNFAAS >EOY27003 pep chromosome:Theobroma_cacao_20110822:6:19684087:19685908:1 gene:TCM_028960 transcript:EOY27003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MRITNNLDRILGKGKHGTVYHGYLDGTPVAVKMLSSSSVQSYKHFQAEVKLLLGVHHRNLINLVGYCNEGGLIYEYMANGSLEELLLDSCKHFLNWEGRLRVALDAAQGLEYLHKYCKPTMVHGNVKPSNILINDEFQVKLADFGLSGTFPNDEGTFLTSAAGTSGYPGHEYSDSKRLSEKTDVYSFGIVLLEMFTGQSAISRTSEKAHKDLVERLSSMLAKGDIEKIVDPRLKGNFGTQSVRKALEIAMACISAAGTGRLTMDVVAMELNQCLAVEIAQNKKDHESKAKGSIEMITVNLDDDESILLGR >EOY27397 pep chromosome:Theobroma_cacao_20110822:6:21563007:21563731:-1 gene:TCM_029254 transcript:EOY27397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAYTLALTALTLLLSLLLSSWANAAQAEARPIRHPQSSSVSGKASSSQALGDLQADKIIPRTQVDSSFRRIPPSNSNPIQNKSNPPLQGERSRRQQIPRSLKH >EOY27451 pep chromosome:Theobroma_cacao_20110822:6:21774913:21778213:-1 gene:TCM_029296 transcript:EOY27451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANSLGNRQIWRMAWYAITWAIWTTRNDILFIGKIWDEKQIFELLKLRVAYWVNAKWPNHNGSIGDLAGLSSEGTTPAKNKNAKEKMGWTKPLTED >EOY28750 pep chromosome:Theobroma_cacao_20110822:6:26422714:26424613:1 gene:TCM_030262 transcript:EOY28750 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein with a domain of Uncharacterized protein function, putative MAAVGVSSLLFSKSLPSSHYRRGNAPRVLMGPVSLPKHSGRGLVEDFERRNGAYTVDTTTLLERYSVSSPKTQHCSRHDTKSSDPQVIAKLYAVMEAVADRVEMHKNIGEQRDNWNHLLLTSINAMTLTAATMAGLAATNAAMAPLVALKLSSTVLYVAATGLSVMMNKIQPSQLAEEQRNAARLFKQLHSQIQTTMSLGTHDINDVNEAIEKVLAIDKAYPLPLLGAMLEKFPSKVEPARWWPQQKRKQRPGGNIEGNNGWNRKLEEEMSQVLGVLEKKDFAEYMRLGNKALKLNKVLAISGPLLALLGALGSSFVGSTPSPWPVMMGVIAGAMTTIVNSMEHGGQVGMVFEMYRSNAGFFKLIEESIMSNTDEREVERRENGEILEMKVALQLGRSLSELKNLAASSREATEEFASKLF >EOY25900 pep chromosome:Theobroma_cacao_20110822:6:2623648:2626019:-1 gene:TCM_027268 transcript:EOY25900 gene_biotype:protein_coding transcript_biotype:protein_coding description:F6D8.25 MKFKAFLTEHGVSLLERRFLPALDKMGKICHLFLTRDHAIFLHNLLNGDGVQCIAQFRKEALFDDYRISSQNEDRIAFAIDVSLLLRAVRSSVSICTEFGNGPSANRLQIKLVKKLPPNCTQPMPFLTFETKGYKSAVIQDVPISKPLSRAQVLELQTALDIAQDLPQTLVQVPDLNQLQNFVERMKHVGDLLNVSISKYGDLHVQISTTLITLGAEFRKLLVIGEQAEAPSEDRNLSAQSRSERAISRGDAQSVQVSVKHFSRSLQCHLAKPDCAFYGIAPQGACLTVIFQFFIPGTRQTDKSISLHCRLPVLDPG >EOY26665 pep chromosome:Theobroma_cacao_20110822:6:16820671:16821983:1 gene:TCM_028615 transcript:EOY26665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMPKGIPNVEALRGVPSVEVLRDISKCRCSKQRNPKGVPSTEVPKGISRAEALRGVSSVKAPKGHSKPKSAHGCSKCGNAQGSSMHGSVQEHYKHKNTQKQAWNNYLYGEVKVIQNKWQSS >EOY25713 pep chromosome:Theobroma_cacao_20110822:6:1357601:1362635:-1 gene:TCM_027093 transcript:EOY25713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRISHSLIILRRSLIFSDMVSNHGQTQISPTRDPQSPYFIHHTDHPGSVVINPKLTTSNYVTWSRFLNGLNKSFSVVRSQKILMDPIPTLDKVYNLVLREDAQRSMLFRTQPTLETAAMYTAAEGKNKVKKYIVCNHCGKKGHVKEKCYRLIGFSEDFKFTKGKNNVRKGKAAIMDSGASDHIAYSLNKFISARPVTNSFVQLPNNKRAIVTHVGVVKLTSLLTLKNVFCVPSFRFNLVSVGQLTRTKNTSVLFIDKYCVVQDTHSWTVIGVARTFLGLYAMEPKKDEQELSNYSFDKLVKLPFNLSVNACSTGHKAFDLCHFRLGHAPCEKLNIIHQQRANVKCSNQLLCEIRPLAKQRKLPFPVHVKNTKIPFELMHVDIWGPYENPTISGQRYFLIVVDDHTRFTWIFIMKAKSEVSIIIPSFNAFVQKQFNSAIKSIGTDNAKELGLLYFFENTGIVHQLSCVEAHQQNGIVERKHQHILVVARGLLHQSNVPIYFWGDAVLTAVHIINRLPSKVLHNKTPYELLHHKLPSYDHLRVFGCLCFMFTLTQNRKKLDKRATKCIFLGYPNNMKGYKVYDLSANNVLKSRNVIFHEQTFPFRIKQHDHLPIADSTNQPEPATNENTSVPARKSTTIRHAPKYLEAYYTELPPATNHVTSYPIAKFLSPQKLSPPHKWVYKVKLKANGEVERFKARLVAEGYNQLDINNAFLNGDLEEVYMDLPEGYSVKGEYTANSRLVCKLHKSLYGLKQAARQWNAKFSAAILNTSVQESNKVKDFLNSLFKLKDLGTIKYFLGLEVAKSPEEHLVATHRVLKFLKASPKQGILMKSKSALKISGYADSHWEGFPDTRRSVTGFCIFIGDSLVRWKSKKQSVVARSSAKAEYRPMASTCCVMIWIKSLLEDFGIKQDEAMDLYSDSQSAIHISKNPVFHERTKHIEIDCHFIREKVLTGLIKPKHISTNIQIADILTKALQPNQFYKLLSKMNVHDDHRSS >EOY27352 pep chromosome:Theobroma_cacao_20110822:6:21376374:21377348:1 gene:TCM_029217 transcript:EOY27352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVRYNSYQALKKERKQYRKRTERKGKILIDEVLEQHHPSVKSNGLILTVPEGHTNTKINCLVLNLHYYFPPFFMPLKSFYNFGKAFSFSSLC >EOY27814 pep chromosome:Theobroma_cacao_20110822:6:23212956:23216264:-1 gene:TCM_029564 transcript:EOY27814 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2-like protein 5 isoform 3 MISYYDIRSARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNEDLRQIFGVYGEVKEIRETPHKRHHKFIEFYDVRAAEQALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELEQDESRGFRHQIGSPIANSPPGTWAQFNSPIEHSPMHSLSRSPVFRTMSPTTTNQLPGLASILHPQVSNSMKVAPIGKDQGRGSHVEYSFTGTNSTHAAGFQLSHSLPEPKLSQYHGTTSTFGPSNGSSVETLSGPQFLWGNPNSYTDTNSSVWQTSSLGPPFSSNGKGHGFPYSGRQGSFSGSSQHHHHHHHIGSAPSGVPLERHFGFFPESSDTSFMSSAAFGGMGVGHSDGGFMVNMGSRAAISSGISIPRNVSENGSSSMRMMSSPRLSPVFLGNGPYPGLVPNGMEGLTERGRSRRVENNGNQLDNKKQFQLDLDKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIVPFYEAFNGKKWEKFNSEKVASLAYARIQGKTALVAHFQNSSLMNEDKRCRPILFHSEGPDGGDQVALVFIILLL >EOY27812 pep chromosome:Theobroma_cacao_20110822:6:23212253:23218694:-1 gene:TCM_029564 transcript:EOY27812 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2-like protein 5 isoform 3 MKPSANHLASGPTKVPMANDSKEVKSALSGSDAYHTSSDATLFSSSLPVLPHEKLNLNDTDHTYQSLDDIASGLNNLHQDVEGNDPLGDIEAHALGSLLPDDENELLAGIMDDFDLSGLPSSLEDLEEYDLFGSGGGMELETDPQESLNIGMSKVSLSDAAVGNGIPHYGLPNGVGTIAGEHPYGEHPSRTLFVRNINSNVEDSELRALFEQYGDIRTLYTACKHRGFVMISYYDIRSARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNEDLRQIFGVYGEVKEIRETPHKRHHKFIEFYDVRAAEQALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELEQDESRGFRHQIGSPIANSPPGTWAQFNSPIEHSPMHSLSRSPVFRTMSPTTTNQLPGLASILHPQVSNSMKVAPIGKDQGRGSHVEYSFTGTNSTHAAGFQLSHSLPEPKLSQYHGTTSTFGPSNGSSVETLSGPQFLWGNPNSYTDTNSSVWQTSSLGPPFSSNGKGHGFPYSGRQGSFSGSSQHHHHHHHIGSAPSGVPLERHFGFFPESSDTSFMSSAAFGGMGVGHSDGGFMVNMGSRAAISSGISIPRNVSENGSSSMRMMSSPRLSPVFLGNGPYPGLVPNGMEGLTERGRSRRVENNGNQLDNKKQFQLDLDKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIVPFYEAFNGKKWEKFNSEKVASLAYARIQGKTALVAHFQNSSLMNEDKRCRPILFHSEGPDGGDQSIPEHLHSSLNIIRQPNGSHLGDSSGSLQERDVGEEPETF >EOY27813 pep chromosome:Theobroma_cacao_20110822:6:23213364:23218571:-1 gene:TCM_029564 transcript:EOY27813 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2-like protein 5 isoform 3 MKPSANHLASGPTKVPMANDSKEVKSALSGSDAYHTSSDATLFSSSLPVLPHEKLNLNDTDHTYQSLDDIASGLNNLHQDVEGNDPLGDIEAHALGSLLPDDENELLAGIMDDFDLSGLPSSLEDLEEYDLFGSGGGMELETDPQESLNIGMSKVSLSDAAVGNGIPHYGLPNGVGTIAGEHPYGEHPSRTLFVRNINSNVEDSELRALFEQYGDIRTLYTACKHRGFVMISYYDIRSARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNEDLRQIFGVYGEVKEIRETPHKRHHKFIEFYDVRAAEQALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELEQDESRGFRHQIGSPIANSPPGTWAQFNSPIEHSPMHSLSRSPVFRTMSPTTTNQLPGLASILHPQVSNSMKVAPIGKDQGRGSHVEYSFTGTNSTHAAGFQLSHSLPEPKLSQYHGTTSTFGPSNGSSVETLSGPQFLWGNPNSYTDTNSSVWQTSSLGPPFSSNGKGHGFPYSGRQGSFSGSSQHHHHHHHIGSAPSGVPLERHFGFFPESSDTSFMSSAAFGGMGVGHSDGGFMVNMGSRAAISSGISIPRNVSENGSSSMRMMSSPRLSPVFLGNGPYPGLVPNGMEGLTERGRSRRVENNGNQLDNKKQFQLDLDKIISGEDTRTTLMIKNIPNK >EOY26300 pep chromosome:Theobroma_cacao_20110822:6:7217974:7229186:-1 gene:TCM_027782 transcript:EOY26300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSSMRLRRVAKQKIRKQENKKLLGNLVHHHVFIIVSVLLFLSTLLYLYKRERKMQKMVDIVTSIASTCAQCEAVQDEVGPTTEVVSNIDAIAAVEAVPNVGVDVGITPYNDLAPYAGTVIDDAKTTPTNPCASFSLVPEHKDVSSASGTYVAHIEQSGLRLSSSLKPRRASSASAPKTIPATDLVIDFGKHKGKMLGTLPSNYLKWVSKNLRVRHFEHWANLADQVLQDPVYQDRIEWEFAENVLHGNNAKVTTNDSFLSGNQSAVSMLLEISERFGWDNEDKAGWRKVNFELLGTSKGGRIPRVADMNDGESKSGREEKEVKPEDGVFGEKRRERRERVRLKREVKLGIKQKSGGSFGHGVDGGVRLDRSQGSDKDRTVKIYNPFPGRESLLNKLLNNRRRFL >EOY28966 pep chromosome:Theobroma_cacao_20110822:6:26973948:26978382:1 gene:TCM_030420 transcript:EOY28966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated proteins 70-5 isoform 2 MVGHDELLGGEELSLAHPDPVVLELTRLQNQLKEKDRELGAAQAEIKALRATETLKDKAIEELQGEVHKLDEKHRVTENLLQHKNLEIKKLTNEKKEALAAQFAAEATLRRVHANQKDDDDDVPIESVIAPFEAEIKMYKNEVALLQEDKRALERLTKSKESALLEAERILRSALERALIVEEVQNQNFELRRQIEICQEENKILEKTNRQKVLEVEKLSQTIQELEEAILAGGAAANSIRDYQRRISELHEEKRTLDRELARVKVSANRVATVVANEWKDENDKVMPVKQWLEDRRLLQAEMQRLKDKLAVSERTAKAEAQLKEKFKLRLKILEDGLKHVSSFSGNPNASCGSPKPEKSSNILGFLTSSGGLRKRSTSQPRGSTISRTSILQRPSVETETANANNGLRQVSNLKKKGASGENMLRKNLWTSRCKVADSSEKENTAMKVNTDINISKDGDTTGSAGIKNKECCNEETENRGNADCNVEDTVSGFLYDRLQKEVINLRKCCEAKDSSLNSKDEEIKMLMKKVEAFSKAMEVESKRLKREAVAKEKENGSAKMDDSKKLRNINSSRRLSKTS >EOY28967 pep chromosome:Theobroma_cacao_20110822:6:26976000:26978459:1 gene:TCM_030420 transcript:EOY28967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated proteins 70-5 isoform 2 VALLQEDKRALERLTKSKESALLEAERILRSALERALIVEEVQNQNFELRRQIEICQEENKILEKTNRQKVLEVEKLSQTIQELEEAILAGGAAANSIRDYQRRISELHEEKRTLDRELARVKVSANRVATVVANEWKDENDKVMPVKQWLEDRRLLQQAEMQRLKDKLAVSERTAKAEAQLKEKFKLRLKILEDGLKHVSSFSGNPNASCGSPKPEKSSNILGFLTSSGGLRKRSTSQPRGSTISRTSILQRPSVETETANANNGLRQVSNLKKKGASGENMLRKNLWTSRCKVADSSEKENTAMKVNTDINISKDGDTTGSAGIKNKECCNEETENRGNADCNVEDTVSGFLYDRLQKEVINLRKCCEAKDSSLNSKDEEIKMLMKKVEAFSKAMEVESKRLKREAVAKEKENGSAKMDDSKKLRNINSSRRLSKTS >EOY26410 pep chromosome:Theobroma_cacao_20110822:6:9829976:9841308:1 gene:TCM_028009 transcript:EOY26410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVVGRIFKDSKNRVHAYGLILEVWWFKARQMMAMVTEDVRSYCVACGIQGKNWNVSMQYGKCISEERLPRWCIRGGDR >EOY26942 pep chromosome:Theobroma_cacao_20110822:6:19302341:19303243:1 gene:TCM_028901 transcript:EOY26942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMPWIKAYSSNPRHGKEHWLRFPSLISNQTLFEKEKLCTQRQMPEISQVTSTQQLCGYNTLSRLCKKHIKLVVIQSDHQIEMVSFACL >EOY28757 pep chromosome:Theobroma_cacao_20110822:6:26462536:26463227:-1 gene:TCM_030269 transcript:EOY28757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMIDVHVNVIKADCRMFDSSITLFLKDPGFQHYSDYFQTSTKIIYNQDPAVLVASEPTSLSCPRLNQERNWKVPAYWAKALLHGQDPDQQLGLRTLLILK >EOY28112 pep chromosome:Theobroma_cacao_20110822:6:24254389:24257286:-1 gene:TCM_029771 transcript:EOY28112 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative MAKVVEKLRLIRICLVSKPQQPNYNSNSTETLYTKDAFVKRIQLSTLFSSQNQLADHMESCHKHPLHPFLFVLFLCMFFFFAEAAGASIKQRPFNNKVSAGFVFGDSTVDPGNNNYVKTFFRSNFPPYGKDLKDQTATGRFTNGKLPTDLIVSYIGIKEYLPPYLDPTLGIEELMTGVSFASAGSGFDPLTPQITSVVSIPKQVEYFKEYKKRLQSAIGKKRMEHIIQNAVFLVSAGTNDFVVNYFTIPIRRKNYTVSAYQQFILQNVKQLLQDLWDEGARRIAVTGLPPMGCLPVVITLNSPNAILERGCIEKFSRVGMEYNQMLQNEVNSMRGRLAHLGAKILYVDIFTPLVDMIQGLGKLDFDEVSQGCCGSGYLEAGFLCNPGSYVCFDASKYVFFDSIHPTEKTYTKLFMANHHVVDSVIQDWDLND >EOY26635 pep chromosome:Theobroma_cacao_20110822:6:16159733:16164184:1 gene:TCM_028555 transcript:EOY26635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMRGDKTRYSFGLFSNPKGGYIIKAPRELVDEEHPLLFKRHDFDEFLLFFHSDIARKT >EOY27855 pep chromosome:Theobroma_cacao_20110822:6:23325307:23327454:-1 gene:TCM_029586 transcript:EOY27855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein, putative MSSTALSSVYAVCSDAAGVAGNIFAFVLFLSPIPTFRRIIRNESTEQFSGMPYICALLNCLICLWYGMPLVKPGVILVATVNSTGAVFQLIYICIFIRYAAKPMKLKMLGFLISVFAIFALIVFVSLRFLDSPSRQLFVGYLSVASLVSMFASPLLVINLVIKMRSVEYMPFSLSLATFLMSLAFYVYGMFKHDAFICVPNGIGTVLGTVQLALYAYYNSASREELRHPLINP >EOY27124 pep chromosome:Theobroma_cacao_20110822:6:20276607:20279886:1 gene:TCM_029051 transcript:EOY27124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDCSMVFQLLNSGPRGLGCTTISSCHLPTVLHPLLARRVPHKHPPRSPLICAHALSFPVESILFNSERSEGKPENL >EOY26347 pep chromosome:Theobroma_cacao_20110822:6:7806733:7814350:-1 gene:TCM_027848 transcript:EOY26347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxamine 5'-phosphate oxidase family protein isoform 1 MESLSSSVFLSNLRETYPFLNTELPAAAASSSSSCLIKAANKRIGSLKFKSKTSPFDGFRLQALSREVPNEGEDEPQPLTLNNGFRFVSEESVSVSQGDSNHNKSSEKDVDKLIKVEAPFTVPHGSGASGGTRAGLFRTPISGGVQSATSAHGLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDSMGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVYPLPEHQQEWAHKQYIAKHQQGPSQQWGNFYYFRMQNISDIYFIGGFGTVAWVDVNEYEALKPDKIAVDGGEQNLKELNATFSKPLKELLSIETEVDDAALISIDSKGIDIRVRQGAQRLSFEEGQAVETLEEAKAALWKVIKKGQVQNLKR >EOY26346 pep chromosome:Theobroma_cacao_20110822:6:7806646:7814365:-1 gene:TCM_027848 transcript:EOY26346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxamine 5'-phosphate oxidase family protein isoform 1 MESLSSSVFLSNLRETYPFLNTELPAAAASSSSSCLIKAANKRIGSLKFKSKTSPFDGFRLQALSREVPNEGEDEPQPLTLNNGFRFVSEESVSVSQGDSNHNKSSEKDVDKLIKVEAPFTVPHGSGASGGTRAGLFRTPISGGVQSATSAHGLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDSMGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVYPLPEHQQEWAHKQYIAKHQQGPSQQWGNFYYFRMQNISDIYFIGGFGTVAWVDVNEYEALKPDKIAVDGGEQNLKELNATFSKPLKELLSIETEVDDAALISIDSKGIDIRVRQGAQFNIQRLSFEEGQAVETLEEAKAALWKVIKKGQVQNLKR >EOY26348 pep chromosome:Theobroma_cacao_20110822:6:7807106:7814350:-1 gene:TCM_027848 transcript:EOY26348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxamine 5'-phosphate oxidase family protein isoform 1 MESLSSSVFLSNLRETYPFLNTELPAAAASSSSSCLIKAANKRIGSLKFKSKTSPFDGFRLQALSREVPNEGEDEPQPLTLNNGFRFVSEESVSVSQGDSNHNKSSEKDVDKLIKVEAPFTVPHGSGASGGTRAGLFRTPISGGVQSATSAHGLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDSMGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVYPLPEHQQEWAHKQYIAKHQQGPSQQWGNFYYFRMQNISDIYFIGGFGTVAWVDVNEYEALKPDKIAVDGGEQNLKVNFLNSQNFGFPLGWYSILFLLYYCSCCCR >EOY28327 pep chromosome:Theobroma_cacao_20110822:6:24958585:24960139:1 gene:TCM_029925 transcript:EOY28327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASPVLPLSTQTTISNSSIQTSFFPKTSQVSLNKKITKPDCSNPNRVVSCKATNDGNKGDSSPNRFDRRDLLIGLGGLYGATNLSNDPFALAAPIAAPDLTLGGDAIISDKKKETKYRKFAKKGGSGHGHGHAMAAETKKKNVIRSVFPIVLDKIVTVEIPRPKKSRTKLEKDEEEEVLVLESIQLRTDTPVKFDVYINDEDDEAPSGPEDSEFAGSFTNIPHNHKHAKKLETSYSLAISDLLEDLDVEGDDNIVVTLVPREGKGLVSVGNIKIDYIRE >EOY26455 pep chromosome:Theobroma_cacao_20110822:6:11046082:11058449:-1 gene:TCM_028114 transcript:EOY26455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPKRVRQNSSGSFDHTRFMSANVVARHVNSLANKIAIPERGLDQGITHLDLQPMIDGHHWQKFCASSVATKIPLVKEFYANAIEAANDFVFVRAKLVPFSSHAINEFYETPNIENDGYGQYLAKH >EOY29040 pep chromosome:Theobroma_cacao_20110822:6:27171220:27177050:1 gene:TCM_030468 transcript:EOY29040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein, putative MIMWFPKLSLFLLSFGICCSLFQAPAFAIKKSYIVYLGSHVHGPEVTDADLDRVTDSHYNLLGSFLGSKEKAREAIFDSYQRHINGFAATLEEEEAAEIAKHPEVVSVFHNRQSKLQTTHSWELMSLEWNGEVIPGSLWTEGRFGEDTIIANLDTVVFQKTKYILICNMERNKAFGPDQKAFATKGLDLFRPGGKVNVSLTLRLGFACNRKLIGARYFNKGFISNGGGVNSSQLNALDEDGHGTHTLSTAGGNFVPGATVLGVDYGTAKGGSPKARVAAYKVCWGPKNKCFDSDVLAAFDMAIHDGVDVISVSLASKDVGSGYFDDVRAIGAFHAVKHGITVVFAAGNTAREGAGSVRNFAPWMITVAASTLDRKFKDYVELENGLHLEGISLSKPLPERRLYPLITGAEGMVANGSSDVGLLCLEDLDPNKVKGKIVVCLEGGYVFPVMKGLLVAEAGAAGMIMCNHERSPNETSFEELHFLPVSHLNYEDCRSLYAYINSSDNPMAYFTPPTTQLYTKPAPLMAVSSSRGPNPFTPEILKPDITAPGVDIIAANTEAVSPTGFPEDTRRSAFLFKSGTSMSCPHVAGVAGLLKTLKPDWSPAAIRSAIMTTAETRDNTGNALRDDLSLNKSTPFGYGSGHIKPNRAMNPGLVYDLRVEDYLDFLCAIGYNQSMVERFSEGPYTCPESGNPLDLNYPSIAVPKLSGSVTISRKLKNVGTPGNYTARVCEPFGISVSVEPSSLQFENIGEEKGFKLTLKAKGDGAANKDYVFGALTWTDGKHYVRSPIAVAAAAST >EOY27322 pep chromosome:Theobroma_cacao_20110822:6:21209310:21213821:-1 gene:TCM_046841 transcript:EOY27322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein MAAEPVNVNEFQELARQALPKMYYDYYSGGAEDQHTLKENVEAFHRFTILPRVLVDASRIDLSTTVLGYKISAPIMIAPTAMHKLAHPEGEVATARAAAACNTIMILSYMSTCTVEEVASSCKAIRFFQLYVYKRRDITANLVQRAERCGYKAIVLTVDTPRLGRREADIKNKMVAPQLKNFKGLISTKVSSDDGSNLEAFANNTFDASLSWKDIGWLRSITNLPLLIKGVLTCEDAIKAVGVGVDGIVVSNHGGRQLDYSPATISVLEEVVHAVKGKVPVLFDGGIRRGTDVFKALALGAQAVLIGRPAVYGLAAKGEYGVKRVIEMLKDELELTMALSGCPTVKDITRNHVRTKHEALHSML >EOY28256 pep chromosome:Theobroma_cacao_20110822:6:24756465:24758962:-1 gene:TCM_029881 transcript:EOY28256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane and coiled-coil domain-containing protein 5B MSTTKARTKKRGSESLLVKSDPKTRLVDDFDPDLSSDLKGIMSALQQIKEKAQKDGQKKNEETISSVAAEIRSKLDELKSKFEKERQTFAKALSKSSKECENCLKNETAKFQEVYEKFCKEKAAHLQALKDTISRFEEDKERLFVRYEQLRKKEKSLISEQEKFCADKIAQLEESLGKRNRMTELSAF >EOY27936 pep chromosome:Theobroma_cacao_20110822:6:23662734:23664272:-1 gene:TCM_029646 transcript:EOY27936 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-domain-2 5, putative MLKDGTIIAVKGLENTGQGIEEFLAKVDTIGNIHHSNLVKLIGYRVEKRLRILVYEYMSRGSLDKWIFHENSNFCLDWKTRKKIVLDIPKGLAYLHGDCRQRIAHLDVKPQNILLDDNFNAKVSDFGLSKLINRDQSQVVTRIREVVRGRKILDYSQPDSDVSLLNLLKKKCLGNRLMNIIDLTSEDLQQHLEEVNAMTMLGLWCVNEDYTRRP >EOY26483 pep chromosome:Theobroma_cacao_20110822:6:12639174:12641803:-1 gene:TCM_028236 transcript:EOY26483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant natriuretic peptide A MALLVLAIMIAAAISKEVYFVHGDIGTASFYNPPYIPTKCDGNREEQFPPGNLFVAVSEGLWDNGAACGRRYRLRCLSGPQRPCKHRTIDVKVVDFCPVTPCLSTMMLSRDAFAAIAHQHGRKINIEYIQV >EOY28436 pep chromosome:Theobroma_cacao_20110822:6:25355836:25356534:-1 gene:TCM_030004 transcript:EOY28436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKSNNKIAMLTNFVLIVALLVIVSMAESREIGILKGKQSAPSCDKVYGVASGDTCFGVTQMFNLTTAFFDSVNPNLNCTSLFVGQWLCIAGSA >EOY28245 pep chromosome:Theobroma_cacao_20110822:6:24719463:24727024:1 gene:TCM_029870 transcript:EOY28245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 24, putative isoform 3 MDVLLTDSDWERFSESGSSEEQEEIDFLYGGQAQSILSSLEESIGKIDDFLSFERGFMHGDIVRSVTDPSGQMGRVTNINMFVDLENALGKIIKDVNSKKLLKLRSISVGDYVVNATWIGRVDKVVDSVSIVFDDGSKCEVTAVDHEKLVPISPNIIDDSQYPYYPGQRVQVVPSDFSRSTRWLCGTWRGNHEEGTICGVDAGLVYVDWISSAQVAHDLSASPPSRLQEAKNLTLLSCFSHANWQLGDWCLANCKGTTEHFFHASTHDLNKGNWKLEKGFKRGNLGSRLEEIFVIVKTRTKVDVVWQDGTCGLGLDSQTVLPVGVANGHEFWPDQFVLEKETNGNSQRWGVVRGVDAKERTVKVQWRNMVLNEVNGLGGELMEETCSAYELVEHPDYCYCYGDIVFKVVQNQFGDQADKGHVILETGLGTEAALKGKNCNWDQKKYPSTYCLSCIGIVIGFKDGDVEVKWASGIPTKVAPYEIYHIDKYEVSATTPVLYEENAEVFSQEMPVHETQSNSHTGKDLLSFDGTDEIGKKFSWMPTSFFHPQAAIGFFSSIASSILGSLGSRSLLSQNSSGCMPHDAKEIGILFEKEVLETCNHCAELDLSELQTFETTNINQEVEEIEENKGSMMPRPSETSHQFRQFDMVSDCSDHHFLGESKVLAVSQVKRNWLKKVQQEWSILEKNLPETIYVRVCEERINLLRAALVGAPGTPYHDGLFLFDIFLPPEYPYEPPLVHYHSGGLRLNPNLYESGKVCLSLLNTWTGSGTEVWNPVSSTILQVLLSLQALVLNEKPYFNEAGYDKQLGRAEGETNSVSYNENAFLVTCQSMLYILRKPPKHFEALVKEHFSRCAENILLACNAYMEGVPIGRALECGGNGHDENLKGSSTGFKIMLAKLYPKLVEAFSDQGTDCSQFCGLEK >EOY28244 pep chromosome:Theobroma_cacao_20110822:6:24719488:24727021:1 gene:TCM_029870 transcript:EOY28244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 24, putative isoform 3 MDVLLTDSDWERFSESGSSEEQEEIDFLYGGQAQSILSSLEESIGKIDDFLSFERGFMHGDIVRSVTDPSGQMGRVTNINMFVDLENALGKIIKDVNSKKLLKLRSISVGDYVVNATWIGRVDKVVDSVSIVFDDGSKCEVTAVDHEKLVPISPNIIDDSQYPYYPGQRVQVVPSDFSRSTRWLCGTWRGNHEEGTICGVDAGLVYVDWISSAQVAHDLSASPPSRLQEAKNLTLLSCFSHANWQLGDWCLANCKGTTEHFFHASTHDLNKGNWKLEKGFKRGNLGSRLEEIFVIVKTRTKVDVVWQDGTCGLGLDSQTVLPVGVANGHEFWPDQFVLEKETNGNSQRWGVVRGVDAKERTVKVQWRNMVLNEVNGLGGELMEETCSAYELVEHPDYCYCYGDIVFKVVQNQFGDQADKGHVILETGLGTEAALKGKNCNWDQKKYPSTYCLSCIGIVIGFKDGDVEVKWASGIPTKVAPYEIYHIDKYEVSATTPVLYEENAEVFSQEMPVHETQSNSHTGKDLLSFDGTDEIGKKFSWMPTSFFHPQAAIGFFSSIASSILGSLGSRSLLSQNSSGCMPHDAKEIGILFEKEVLETCNHCAELDLSELQTFETTNINQEVEEIEENKGSMMPRPSETSHQFRQFDMVSDCSDHHFLGESKVLAVSQVKRNWLKKVQQEWSILEKNLPETIYVRVCEERINLLRAALVGAPGTPYHDGLFLFDIFLPPEYPYEPPLVHYHSGGLRLNPNLYESGKVCLSLLNTWTGSGTEVWNPVSSTILQVLLSLQALVLNEKPYFNEAGYDKQLGRAEGETNSVSYNENAFLVTCQSMLYILRKPPKHFEALVKEHFSRCAENILLACNAYMEGVPIGRALECGGNGHDENLKGSSTGFKIMLAKLYPKLVEAFSDQGTDCSQFCGLEK >EOY28246 pep chromosome:Theobroma_cacao_20110822:6:24719445:24725282:1 gene:TCM_029870 transcript:EOY28246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 24, putative isoform 3 MDVLLTDSDWERFSESGSSEEQEEIDFLYGGQAQSILSSLEESIGKIDDFLSFERGFMHGDIVRSVTDPSGQMGRVTNINMFVDLENALGKIIKDVNSKKLLKLRSISVGDYVVNATWIGRVDKVVDSVSIVFDDGSKCEVTAVDHEKLVPISPNIIDDSQYPYYPGQRVQVVPSDFSRSTRWLCGTWRGNHEEGTICGVDAGLVYVDWISSAQVAHDLSASPPSRLQEAKNLTLLSCFSHANWQLGDWCLANCKGTTEHFFHASTHDLNKGNWKLEKGFKRGNLGSRLEEIFVIVKTRTKVDVVWQDGTCGLGLDSQTVLPVGVANGHEFWPDQFVLEKETNGNSQRWGVVRGVDAKERTVKVQWRNMVLNEVNGLGGELMEETCSAYELVEHPDYCYCYGDIVFKVVQNQFGDQADKGHVILETGLGTEAALKGKNCNWDQKKYPSTYCLSCIGIVIGFKDGDVEVKWASGIPTKVAPYEIYHIDKYEVSATTPVLYEENAEVFSQEMPVHETQSNSHTGKDLLSFDGTDEIGKKFSWMPTSFFHPQAAIGFFSSIASSILGSLGSRSLLSQNSSGCMPHDAKEIGILFEKEVLETCNHCAELDLSELQTFETTNINQEVEEIEENKGSMMPRPSETSHQFRQFDMVSDCSDHHFLGESKVLAVSQVKRNWLKKVQQEWSILEKNLPETIYVRVCEERINLLRAALVGAPGTPYHDGLFLFDIFLPPEYPYEPPVSFTSIVLLIIELPLKKKKKRSSCCRTL >EOY26342 pep chromosome:Theobroma_cacao_20110822:6:7782580:7789423:1 gene:TCM_046830 transcript:EOY26342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein MVRQIGKQTSGTARLVLLCVGLLGIGLIADFFWASSARFNSSLSISNNWSPHSSNAPAFVVPSKQPDQLPSKPKGESVEEKAPVPQRLLAATFADLPAPQLKWEKMAAAPVPRLDGAAIQIKNLLYVFAGYGTIDYVHSHVDIYNFTDNTWGGRFDMPKEMAHSHLGMVTDGRYIYVVTGQYGPQCRGPTAHTFVLDTETNKWRDMPPLPLPRYAPATQLWRGRLHVMGGSKENRHTPGLEHWSLAVKDGKALEKEWRSEIPIPRGGPHRACVVFKDRLYVIGGQEGDFMAKPGSPIFKCSRRNEVVYGDVYMLDDEMKWKTLPPMPKPDSHIEFAWTIVNNSIVIVGGTTEKHPVTKKMVLVGEVFQFNLDTLKWSVIGKLPFRVKTTLVGYWRGWLYFTSGQRDKGPSDPAPKKVLASFSPAVVCH >EOY26447 pep chromosome:Theobroma_cacao_20110822:6:10766254:10769620:-1 gene:TCM_028091 transcript:EOY26447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIWLFGTHDVSCTIRLERQDLWAFLRNIVPGIHESWLAGGAFNIIINKVERFLGAKSHIGSMEDLALTLFDCGLLDAGFEGNRFTWTNSGMFQRLDRVVCNMQWGMHFTSIRVQHFYRDGSDHCPLLIFSIESSVKRSSSFRFLHAWLKHHDFMSFVDRNWNEPIHGTELMIFWLKQERLKKALKVWNKAIFGDIFSNVKAVEQHAME >EOY25666 pep chromosome:Theobroma_cacao_20110822:6:1066321:1068119:1 gene:TCM_027049 transcript:EOY25666 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding and BBE domain-containing protein MRASRSILLPLLSTLLFSIPLVSSNSALDDFLQCLPEHYSNPSKPIADAIYTPTNPSFQSVYELHAYNLRVLTQANATLKPMAIIAALHESHAQATIFCAKHFGLQIRLRSGGHDYEGLSFASSVPFVILDLSNLRSIKIDMASETAWIQAGATTGELYYRIAEKSNVHAFPAGVCTTLGVGGHFTGGGYGPMIRKFGLSIDNVIDAQLIDVNGRILDRKSMGEDVFWAIRGGGGTSFGVILSWKIKLVRVPAKVTVFEVKKTLEQGATDLVYKWLQVAYKLDEDLFIRITPVAITGNGKGNKTVQVSFIGHFLGQTETLLQLVQARFPELGLQPNDCIEMSWIESTLYWAGFPNGTSIDILLNRVPQSKVFFKTKSDYVKNVIPKAGLKTLWKMMMRIESIWIQMNPYGGRMAEIPESETAFAHRGGNLYKVQYTVHWSEESSEATKKYVGWIRKLYTAMAPYVSSRPREAFLNYRDLDIGSNGSNSSDFAVAKVYGAKYFKTNFLRLARVKAKVDPENFFKNEQSIPPFPSSKSK >EOY27674 pep chromosome:Theobroma_cacao_20110822:6:22723584:22724937:-1 gene:TCM_029461 transcript:EOY27674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate-zim-domain protein 8, putative MRRNCNLELRLLPSSYSGGHHDMMEESSESPQNQQQQLTIFYNGRVCVCDVTELQARAILMLANRETDERITTPTGSGSVPASPTLQSQLYSPNTGMTMKRSLQRFLQKRKNRIQATSPYH >EOY27502 pep chromosome:Theobroma_cacao_20110822:6:22160688:22165886:-1 gene:TCM_029345 transcript:EOY27502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute protein group, putative isoform 2 MERGRGYRGRGTGGRGGYRGGGRGRGRDGGGGGHQPPYHTVLEQGGVHWNPTTTQGGPNQGTGQGLTRGGYTGGPGGSGGRGAWVPRPQLERPSSSHVMESAGRGHSGGGPWRSPWGRGAAPPARPQIHEPALPSRPVQPVPDSAAPEHLPSTSSPPENRNRYVPIMRPDKGGGVAVATVRLDVNHFRVNFNPERVIRHYDVDVRPQESPRHGRPVKLSKMLLPMIRKKLFTDNDSELPLTMTAYDGEKNIFSAVQLPEGQFEVDLSEGEDMKSRKFIVSLKLVNELKLRKLKDYLTMGNISIPRDILQGMDVVMKENPVMRMIYTGRSFHPTESCPEDDLGRGIIASRGIQHSLKPTFQGLALCLDYSVLALHKKMPVIEFLVEHFPGFNVNAFGRYRRMVENVLRKLEVNVTHRRTKQKYAIVGLTSYKTRDITFPDANAPQRRIRLVDYFLEKYNKNITHLDIPCLDLSKHNRINYVPMEFCVLAEGQVYPKEDLDRHAALLLKDISLAKPQERRSKICCMVRSEDGPCGGNIIQNFGIEVNTEMTSVLGRVIGPPVLKLAAPNTGKLMKITVDKDKCQWNLVGKAVVEGKAIERWAVIDFSVADKFRLNYGSFISKLRARCTSLGMRMEEPLLHEATGMQTFSNDNELRQLLEKVTSQAHKLGRGSLQFLLCVMSRKDDGYKYLKWISETKIGVVTQCCLSIEANKGKDQYLANLALKINAKLGGSNVELNDRLPHFQGEDHVMFVGADVNHPGSHNRTSPSIAAVVATVNWPEANRYAARVRPQHHRKEQILQFGEMCVELVESYERVNKVKPEKIVLFRDGVSEGQFDMVLNEELVDLKSAFQKMKYFPTITLIVAQKRHQTRFFPQRDRGPTGNISPGTVVDTDIVHRFEFDFYLCSHYGSLGTSKPTHYHVLWDEHGFSSDQLQKLIYNMCFTFARCTKPVSLVPPVYYADLVAYRGRLYHQAMMERHSPISTLPSSSSLASLSLSSAASFDGSFRLHADLENIMFFV >EOY27503 pep chromosome:Theobroma_cacao_20110822:6:22161065:22165588:-1 gene:TCM_029345 transcript:EOY27503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute protein group, putative isoform 2 MERGRGYRGRGTGGRGGYRGGGRGRGRDGGGGGHQPPYHTVLEQGGVHWNPTTTQGGPNQGTGQGLTRGGYTGGPGGSGGRGAWVPRPQLERPSSSHVMESAGRGHSGGGPWRSPWGRGAAPPARPQIHEPALPSRPVQPVPDSAAPEHLPSTSSPPENRNRYVPIMRPDKGGGVAVATVRLDVNHFRVNFNPERVIRHYDVDVRPQESPRHGRPVKLSKMLLPMIRKKLFTDNDSELPLTMTAYDGEKNIFSAVQLPEGQFEVDLSEGEDMKSRKFIVSLKLVNELKLRKLKDYLTMGNISIPRDILQGMDVVMKENPVMRMIYTGRSFHPTESCPEDDLGRGIIASRGIQHSLKPTFQGRMVENVLRKLEVNVTHRRTKQKYAIVGLTSYKTRDITFPDANAPQRRIRLVDYFLEKYNKNITHLDIPCLDLSKHNRINYVPMEFCVLAEGQVYPKEDLDRHAALLLKDISLAKPQERRSKICCMVRSEDGPCGGNIIQNFGIEVNTEMTSVLGRVIGPPVLKLAAPNTGKLMKITVDKDKCQWNLVGKAVVEGKAIERWAVIDFSVADKFRLNYGSFISKLRARCTSLGMRMEEPLLHEATGMQTFSNDNELRQLLEKVTSQAHKLGRGSLQFLLCVMSRKDDGYKYLKWISETKIGVVTQCCLSIEANKGKDQYLANLALKINAKLGGSNVELNDRLPHFQGEDHVMFVGADVNHPGSHNRTSPSIAAVVATVNWPEANRYAARVRPQHHRKEQILQFGEMCVELVESYERVNKVKPEKIVLFRDGVSEGQFDMVLNEELVDLKSAFQKMKYFPTITLIVAQKRHQTRFFPQRDRGPTGNISPGTVVDTDIVHRFEFDFYLCSHYGSLGTSKPTHYHVLWDEHGFSSDQLQKLIYNMCFTFARCTKPVSLVPPVYYADLVAYRGRLYHQAMMERHSPISTLPSSSSLASLSLSSAASFDGSFRLHADLENIMFFV >EOY25779 pep chromosome:Theobroma_cacao_20110822:6:1865652:1876200:-1 gene:TCM_027147 transcript:EOY25779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine S-methyltransferase isoform 2 MKMVQVDEFLKQCQQSGDAAYTAFRSLLERLEDPKTRCEARMFLSDLQSRVGSSDDCLQQYHFRIQDIYLDQYQGSQGRKKLTMMVIPSIFIPEDWSFTFYEGLNRHPDSIFKDKTVAELGCGNGWITIAIADKWLPAKVYGLDINPRAVKVSWINLYMNAFDEKGQPIYDEEKKTLLDRVEFHESDLLAYCREHDIQLERIVGCIPQILNPNPEAMSKMITENASEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGIAVIKPTGIMIFNMGGRPGQGVCKRLFERRGFLVNRLWQTKVLQAGDTDISALVEIEKNSPHRFEFFMGLTGDQPICARTAWAYGKAGGRISHALSVYSCQLRQPNQVKVIFEFLKSGFQEISSSLDLSFEDDSVADEKIPFLAYLARVLKENSYFPYEPPAGCNSFCNLIAAFLKTYHHIPLTSDNVVVFPSRTVAIENALRLFSPRLAIVDEHLTRNLPRQWLTSLAIETAENGLSEDALTVIEAPRQSDLMIELIKKLKPQVVVTGIAHFEAVTSSAFVQLLDATREIGSRLLLDISDHFELSSLPGSSGVLKYLSGTPLPSHAAILCGLVKNQVYSDLEVAFVISEEEAILKALSKTVEVLEGNTSLISQYYYGCLFHELLAFQLTDRHPHPERRTEKSKSVEMIGFATSAISVLNNSELSISDDRNSLIHMDVDQWFLPMPSVVKAAIFESFARQKMAESEIDVTPSIKQFVNSNYGFSTDSSTEFIYSDCSQALFKNLVLCCILEGGTMCFPAGSNGNYVSVAKFLKANIVKIPANSEEGFKLTEEILNKALETVNKPWVYISGPTINPTGLLYSNKEMENILTACARFGARVVIDTSFSGLEFDFQGWGGWNLEGCLSKLSSSGNPSFCVSLLGGLSLKLLSGALKFGFLALNQPRLIDAFHSFPGLSKPHSTDKYAIKKLLALREQKGGMLDVDTEHIRHLENRAKRLKEELEKCGWDVLRPQAGVSMVAKPLFLNKAVKLSHSLKDTGSSEKDASTYEVQLDNSTIREAIVKTTGLCINSGLWTGIPGYCRFTFALEDSEFEQALACLVKFKSIVGN >EOY25780 pep chromosome:Theobroma_cacao_20110822:6:1867046:1875984:-1 gene:TCM_027147 transcript:EOY25780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine S-methyltransferase isoform 2 KRRRSRERDRQCVCVKMKMVQVDEFLKQCQQSGDAAYTAFRSLLERLEDPKTRCEARMFLSDLQSRVGSSDDCLQQYHFRIQDIYLDQYQGSQGRKKLTMMVIPSIFIPEDWSFTFYEGLNRHPDSIFKDKTVAELGCGNGWITIAIADKWLPAKVYGLDINPRAVKVSWINLYMNAFDEKGQPIYDEEKKTLLDRVEFHESDLLAYCREHDIQLERIVGCIPQILNPNPEAMSKMITENASEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGIAVIKPTGIMIFNMGGRPGQGVCKRLFERRGFLVNRLWQTKVLQAGDTDISALVEIEKNSPHRFEFFMGLTGDQPICARTAWAYGKAGGRISHALSVYSCQLRQPNQVKVIFEFLKSGFQEISSSLDLSFEDDSVADEKIPFLAYLARVLKENSYFPYEPPAGCNSFCNLIAAFLKTYHHIPLTSDNVVVFPSRTVAIENALRLFSPRLAIVDEHLTRNLPRQWLTSLAIETAENGLSEDALTVIEAPRQSDLMIELIKKLKPQVVVTGIAHFEAVTSSAFVQLLDATREIGSRLLLDISDHFELSSLPGSSGVLKYLSGTPLPSHAAILCGLVKNQVYSDLEVAFVISEEEAILKALSKTVEVLEGNTSLISQYYYGCLFHELLAFQLTDRHPHPEMIGFATSAISVLNNSELSISDDRNSLIHMDVDQWFLPMPSVVKAAIFESFARQKMAESEIDVTPSIKQFVNSNYGFSTDSSTEFIYSDCSQALFKNLVLCCILEGGTMCFPAGSNGNYVSVAKFLKANIVKIPANSEEGFKLTEEILNKALETVNKPWVYISGPTINPTGLLYSNKEMENILTACARFGARVVIDTSFSGLEFDFQGWGGWNLEGCLSKLSSSG >EOY27181 pep chromosome:Theobroma_cacao_20110822:6:20571636:20572580:-1 gene:TCM_029093 transcript:EOY27181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDHKQNVEQFKGQPRLLKYLSESQFLSTGLYGEALWFFPITLALGSYDRGRNFLFELKFDRENVSELFYSSDEKNQDEYSEISWVKVHVEQSGVHRVESGDERAARLRKTIENNYFQQQINTV >EOY28806 pep chromosome:Theobroma_cacao_20110822:6:26586532:26591688:-1 gene:TCM_030302 transcript:EOY28806 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOX/ELK homeobox transcription factor isoform 1 MEGGSNSTSCMIAFGHNSHGLCPMTMMPLMTSHPHHQHHHHHPPNSDSNSLFLPLPPTNNQDQNHNSSSGSSMILDDHNNNNNSTNNNTGCYFMETNDGSSSSVKAKIMAHPHYHRLLAAYVNCQKVGAPPEVVARLEEACASAATMGPSGTGCLGEDPALDQFMEAYCEMLTKYEQELSKPFKEAMLFLQRVECQFKALTVSSPSSACGEAADRNGSSEEEVDVNNNFIDPLAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWGRHYKWPYPSESQKIALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDATHPHYYMDNVLGNPFPMDLSPTLL >EOY28807 pep chromosome:Theobroma_cacao_20110822:6:26586890:26592315:-1 gene:TCM_030302 transcript:EOY28807 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOX/ELK homeobox transcription factor isoform 1 MEGGSNSTSCMIAFGHNSHGLCPMTMMPLMTSHPHHQHHHHHPPNSDSNSLFLPLPPTNNQDQNHNSSSGSSMILDDHNNNNNSTNNNTGCYFMETNDGSSSSVKAKIMAHPHYHRLLAAYVNCQKVGAPPEVVARLEEACASAATMGPSGTGCLGEDPALDQFMEAYCEMLTKYEQELSKPFKEAMLFLQRVECQFKALTVSSPSSACGEAADRNGSSEEEVDVNNNFIDPLAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWGRHYKWPYPSESQKIALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDATHPHYYMDNVLGNPFPMDLSPTLL >EOY26062 pep chromosome:Theobroma_cacao_20110822:6:4196004:4197279:1 gene:TCM_027459 transcript:EOY26062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISSMLRNTQQEVSFSWGEQQQHAYKKIKGILASFMTMTMPNQGKPMMLCLTTTPYSISALLIQEVEEQEQLVYYLSRCLHGVN >EOY27163 pep chromosome:Theobroma_cacao_20110822:6:20501217:20518393:-1 gene:TCM_029080 transcript:EOY27163 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-block binding subunit of TFIIIC, putative MDAIISSALEEICEQGRHGLALSSLCSKLGLSVELKRALWTNLLKIPTVQFQVPNRVYDPDNPSIRCFEDVEKLDLIIVAREKLRDNFLGIYDFSLGADEKEFRRQRVVLERIASARTNGIAQSQLSKEFGIEGNKTFYMVRNLEKRGLIVRQEAVERTKGAGKQGQSTRLIHLHRYAKHLGSQQKFEITREEETAGNQDPNIEHIKEGALVKDYVPSMKAICDKLAEADSKVLVISDIKHDLGYSGSRSKRRDWENICGRLKNAGVVEETYVKVNRKDERCLHLLKKFSLVDFEQKPIKHVEGKELKFGRCQIANQLVELPIVHQIYDAIDAAGVEGMLIKECTHLKRNGKLAEKFSLWLKCENCRCPFSISFIDFSVKQVSQRFGVDMKTNYKNCCIMSNKFGMPMQRELHNKNCEYRIRTAKNCEPSDAIPSRSKDLFSKNSSLGVVNPGFSDGSAQILGLLNSESDTLGKTNNRETEIELSCCSTRGSEAGDSISNTCKSQELIHETKKVVSDTGLHTVNSQTMPLKPRQYLTVDNTRREQRIVEWLQVEKIVLRAELYRWLVNLEKDESITMGRKSVDRILDKLENQGQCKCVHLPVHEIMNTDANCKVKVVLHPSIQSLSSEVLGRIRYRLMSFEKQTHGQGSFKKKNINSVLVLDGVQRTHTQAGSDALALRMAAMRANGFISGKLVRAKLLHIFLWDYACSSPARDGVLSYGRQDHDLQNPYVTHNLFDVKAAIEGIPLELFLQVVGSTVQVDNMIEKFRKGVRLCDLSIREYNDLNVTPAIRRLSSILSILQRLKLIRPVTSESSEDGVIVPHASPMYVLELKPCIEEPPLIATCSSSGCLDCCPDRDAVDEIVRHDFILSNRDAIDEYWRVLEYIYAVADPKAALHAFPGSTVHEIFGHKSWASGRGMTASQQAKVLKLIVNSNFNKKLPYKECKEIAKNLNLTLEQVLRVCFAKLRHRSKIQDNLDAVGAECQPMNSSSFSRKRKRSAEARALKLGKVDNETGPFCQHSLPRSAGGDEDFMGEENLMLASPREHETRVQEHQEEDHWKTSEKRGVNRDKCDSYTSRSAFSKMKSARQRRFPWTDEADRQLVIQYVKHRAGAKFSDKSRASLSDLPAPPTVCRRRMSFLKQNRKFRESLISLCNMLSQHYEKQLEETGSRSMDDDDRGLLIPCSSGAGNYRSFFEGIGCNQTRGVQEEHCDNYNMEKINLALEEVLRSRPVATLEAPGNVCDSQFPSNTGSSAARFSRWLRAREKDFLRGGIDLSPDLTCGEVFHLFALVSSGGISASLEIGSNSSHSEVVNENVNFRSILPTPGYSCDSPWELMVGYATLLMPLTSNQEHVGTLSPEVFKTVYTAIQKAGDQGLAIREVSRVMDTLGKEMAELVIDVLQKFGLAEKVRINGSLRVIAGSTKKPSNIHMDYDDVQKFNILNRHEHVDSLPNKTHTVDLHEDSMRRNVVYQEQIIDMVCLKAANVMDNIIRKMDLPNPQHCKKLLRLMVRDKHLIMKKMHQSLPSGVPSILGTLNMKKSKLIYREHFFANPMSTSLL >EOY25605 pep chromosome:Theobroma_cacao_20110822:6:626732:634432:-1 gene:TCM_026986 transcript:EOY25605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCRRFLRRRCQGMFRLVRRSSSDSPLNPKPLPPSPEDSPPGSVPPLSYFDPISYLEKSVVGNNLDHFNKSEELLEKVFHHHHLSEINSTLTHLAEVSAVLSHRDMIHTMNQISKLITQIGTSRLMKQGPPNEDIVKINVRLGDLSHTLIEMSKLMNQAGKEELTKINAALRDPPMGVQLVVGPIRTIPSSKTLLCPRRVVSQS >EOY28268 pep chromosome:Theobroma_cacao_20110822:6:24792722:24795571:1 gene:TCM_029889 transcript:EOY28268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSGRMVGFSAMDESVNLNQPTNINNSTHDHVVSNSSPTLPSSVVDDGFGSPDDCNNGCDCNDDGNDNNGCEGVEIFGGCDFVYNCIGPTGDNAISELTRMIKELTQRLDDKVLLLVLGAMPTSSAARDASSFKDPKNHGQGSGGTAVSGQGQEKVGLFPGILGFGIPPFRIEGGVHGGGGDNFPGIGVGGDICLGCSGNEGKGFLINNFGQPFYCLPVKECPEGDCDTHIGSINQVASNWPNRETNRRVDHKHGANGVRSTSATAPASG >EOY26604 pep chromosome:Theobroma_cacao_20110822:6:14798782:14818086:1 gene:TCM_028448 transcript:EOY26604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 12 MEGGDIYKASSSLRGSLRAGSSSIWRSNTVEAFSRSSRDEDDEEALKWAALEKLPTVARLRKGILTSSQGGANEIDVHDLGWQEKRTLLERLVKVAEEDNEKFLLKLKNRIDRVGIDLPTIEVRFEHLNIDAEAFVGSRALPTVLNFTTSIFEGFLNEVGILSSRKKHLTILNDVSGIIKPGRLTLLLGPPSSGKTTLLLALAGKLDPALKCSGRVTYNGHGMHEFVPERTAAYISQNDLHIGEMTVRETLAFSARCQGVGDRYEMLAELSRREKQANIKPDPDIDVFMKAVATEGQEANVITDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSLKQTVHILNGTAVISLLQPAPETYDLFDDIILLSDGRVVYQGRREHVLSFFESMGFRCPERKGVADFLQEVTSRKDQMQYWIRRDQPYRFVTANEFAEAFQSFHVGMQLGDELGTPFEKTKSHPAALTTKKYGVGLKELAKACISREYLLMKRNSFVYIFKFMQITVMAFITMTLFLRTEMKRDSVEWGQIYMGALFFGMITIMFNGMSEISMTIAKLPVFYKQRDLLFFPSWAYALPTWILKIPITFVEVAIWVFLTYYVIGFDPNVERLFRQYFILVLVNQMASGLFRFIAATGRNMIVSNTFGSFALLVLFALGGFVLSREDIKKWWIWGYWISPMMYGQNALMVNEFLGNQWNHVRPNVTESVGIEVLKARGFFQDPHWYWIGVGAMIGFTLLFNFGFTLALTYLNSFEKPRAVISKDSVSNEQENGVGAGGSIQLSNYESSSSHATKSEIQDDIRRSTSSKSSSMTEATVGALGNKKKGMVLPFEPHSITFDDVIYSVDMPQEMKEQGVTEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGFIDGNITVSGYPKKQETFARVSGYCEQNDIHSPHVTVYESLLYSAWLRLPAEVNAETRKMFIEEVMELVELNPLRQALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQEIYVGPLGHHSKHLIKYFEGIQGVSKIKDGYNPATWMLEVSTTAQELALGVDFADIYKNSELYRRNKALIQDLSKPAPSTKELYFPTQYSQPFLTQCQACLWKQHLSYWRNPPYTAVRFLFTTVIALMFGTLFWDLGTKTKRQQDLTNAMGSMYAAVLFLGIQNAASVQPVVAVERTVFYRERAAGMYSAMPYAIGQVLIELPYIFVQSAVYGCIVYAMIGFEWTAAKFFWYLFFMYFTLLYFTFYGMMAVAVTPNHHIAAIVSSAFYGLWNLFSGFIIPRPSIPVWWRWYYWICPVSWTFYGLVVSQFGDIQDTLEDGSNGETVEQYLRNVFDFKHEFLGVVAAVILGFTVLFGGIFTVSIKVFNFQRR >EOY27681 pep chromosome:Theobroma_cacao_20110822:6:22776552:22777409:1 gene:TCM_029469 transcript:EOY27681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDHNSELLFVQKISTAVKQQKSREAGRLSISALYRVARKILLQPTCDKGIDISLTNEAFSQPILLASDIDNPPSVFVTWGQLYYLLK >EOY28221 pep chromosome:Theobroma_cacao_20110822:6:24613828:24614790:1 gene:TCM_029850 transcript:EOY28221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFMTRGKNSYKKVRLDSIPKWQTTTMRTLAAATSHSYFLPLSVLCCMILSQNSLNFRLIYGCESFFLSNEKFLPPRSHYHFQ >EOY27001 pep chromosome:Theobroma_cacao_20110822:6:19682788:19683842:1 gene:TCM_028959 transcript:EOY27001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leaf senescence-associated receptor-like protein kinase, putative isoform 2 MYFAEVEKLQANQFRQFNISLNGQHWSGPHSPAYLEASTVYKTSPLTGQLEYEFLIHKARNSSLPPIINAIEVYKVNELPQLQTNQDDVDAVKNIQSTYKIKRNWQGDPCAPEAYLWNGLNCSYNDSPRIISMNLSSSGLTGNIAPYISNLSMLQFLDLSNNSLVGEVPGFLSKLPLLRVLFSSS >EOY27002 pep chromosome:Theobroma_cacao_20110822:6:19682791:19683791:1 gene:TCM_028959 transcript:EOY27002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leaf senescence-associated receptor-like protein kinase, putative isoform 2 QTNKFYVYMYFAEVEKLQANQFRQFNISLNGQHWSGPHSPAYLEASTVYKTSPLTGQLEYEFLIHKARNSSLPPIINAIEVYKVNELPQLQTNQDDVDAVKNIQSTYKIKRNWQGDPCAPEAYLWNGLNCSYNDSPRIISMNLSSSGLTGNIAPYISNLSMLQFLDLSNNSLVGEVPGFLSKLPLLRVLNLKKNKLIGSVPVELILRSKDGSLIL >EOY26302 pep chromosome:Theobroma_cacao_20110822:6:7286766:7294395:-1 gene:TCM_027785 transcript:EOY26302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRLTSYLKKEICVYMNYGEPHGGIVLERMVYGTQSMRPTHGAVVDISPVIPTYSVRCHHWEITLDGDCDATYVRLLRVMSTSGVYSG >EOY28283 pep chromosome:Theobroma_cacao_20110822:6:24819414:24820951:1 gene:TCM_029897 transcript:EOY28283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin family protein isoform 2 MARILKSCMQSILKGVNLIMGMVGIAMILYGFWMVRVWQRDMGGSPFDDFNSTAPWFIYTFLGTGITLCFLTCLGHIAADSANGFCLFGYMVIISVLLLVETAIAADILLNSDWEKDLPEDPTGRFHDFKEFVQSNFDIFKWIGLLIILGQGISVLLAMAIRALGPNQCSNYDSDEEFPPARLPLINNNAPQPAYVVGDSPFANKSEAWNTSK >EOY28284 pep chromosome:Theobroma_cacao_20110822:6:24819226:24820702:1 gene:TCM_029897 transcript:EOY28284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin family protein isoform 2 MARILKSCMQSILKGVNLIMGMVGIAMILYGFWMVRVWQRDMGGSPFDDFNSTAPCHNSCRFIYTFLGTGITLCFLTCLGHIAADSANGFCLFGYMVIISVLLLVETAIAADILLNSDWEKDLPEDPTGRFHDFKEFVQSNFDIFKWIGLLIILGQGISVLLAMAIRALGPNQCSNYDSDEEFPPARLPLINNNAPQPAYVVGDSPFANKSEAWNTSK >EOY27447 pep chromosome:Theobroma_cacao_20110822:6:21756364:21756788:1 gene:TCM_029291 transcript:EOY27447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >EOY26638 pep chromosome:Theobroma_cacao_20110822:6:16284520:16286392:1 gene:TCM_028564 transcript:EOY26638 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein, putative MTKSAEMSSETPVRLPVIDSSKQDLKPGSADWELVKVQVRQALQEYGCFEALFDKVLELGKPLIGALEELFDLPLQTKTRYVSEKVFRGYYGPKAPLHESMVIDEANVAENVEQRLTNILWPQGNSSFSETLLSFSSLASGLEKTIRRMILESFGLEKYMDKHMESTNYILRVMKYEGPHTSEPTIGARAHSDQNMVTLLHQNEVNGLEIQTKDGEWINVKPSPASFIVMIGESLSVWLNGRLPSPYHRVMITGNKARYSSGLFATTKGGYKVKVPEELVDEENPLLFKPFDYEEFLEFYSTEAARGALGSGLKAYCSV >EOY26056 pep chromosome:Theobroma_cacao_20110822:6:4074534:4076237:1 gene:TCM_027448 transcript:EOY26056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDQAEWGSSARVNRRNLKEKRTTSIKQRRTAVTESEEHSGLELMEERDDHGNHRRDMLELKASIQSLKDAIWTFEDRITGRILKDMILQGGASSHHDGDVTLQSVNAEANHVLQANVVVDAATEVDGTLNQLKLKETMFLRPMRLSRQLRKGMGTLN >EOY26100 pep chromosome:Theobroma_cacao_20110822:6:4469309:4470165:1 gene:TCM_027502 transcript:EOY26100 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MVGRARGRGRGNQPQQAELAEMRRMIDDLTRAVQALQRQEPVEARMENPEGDHNPLEIHDLEDDDEFENENPFHEDGPANQAARVGLEGRRELKSNVHDKVSLKSALGST >EOY28048 pep chromosome:Theobroma_cacao_20110822:6:24047166:24050413:1 gene:TCM_029727 transcript:EOY28048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein METLRILRKWFGKKKKAMGSSIAKRWKPLSGQNHWGELLDPLDIDLRRYIIHYGEMAQATYDAFNNEKASKFAGSSLYGKEDFFSKVNLEKGNPYRYQVTKFLYATSQIQLPEAFIVKSSSREAWSKESNWIGYVAVATDEGKDVLGRRDIVIAWRGTVQTLEWINDIEFNLVSPEKIFGHERRDIKVHEGWYSIYTSEDSRSPYNKSSARDQVLNEVRRLVDQFKNEEISITVTGHSLGAALATLNAIDIVANGYNRPKSQSRKASPVTAFLFASPRVGDSDFKKAFTGFKDLRALRVGNALDVVPNYPLVGYSDVGEELAIDTRKSKYLNSPGNLSSWHNLEAYLHGVAGTQGSKGGFALVVNRDIALVNKSIDGLKDEYLVPVSWRIEKNKGMVQQEDGSWKLMDHEGQDDVDP >EOY26921 pep chromosome:Theobroma_cacao_20110822:6:19067610:19071833:-1 gene:TCM_028879 transcript:EOY26921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSRDPRVEKGKEAAYEEEEVPLSVRDQLHIFQQEIQVLTYNLMQRTFDLEAAILSNEKILTEIEFKVVELLLCFARSRRPASEDMYDLSIKSKSCGPHKGHT >EOY28015 pep chromosome:Theobroma_cacao_20110822:6:23944703:23945740:-1 gene:TCM_029705 transcript:EOY28015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein MAIVEMKVHMDCEGCVAKIRKALGKLKGIDSIEIDMGMQKVTVMGWAASDQKKILKTVRKTGRRAELWPYPYHPENQFYYDYYQSQPVVTYHATGRPSSYNYYKHGYDGHDHGYYQPPPYSTLMDQQASSVFSDENPHACSIM >EOY27969 pep chromosome:Theobroma_cacao_20110822:6:23788442:23792674:-1 gene:TCM_029673 transcript:EOY27969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein MAAPKPEEISHPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILALGTAVMIPSFLVPLMGGTDDDKVRVVQTLLFVEGINTLLQTLFGTRLPTVIGGSYAFMVPIISIIHDTSLTSIEDNHVRFLNTMRAVQGALIVASSIQIILGYSQMWAICSRFFSPLGMVPVIALVGFGLFDRGFPVVGRCVEIGIPMLILFIAFSQYLKNFLTKQLPILERFGLLISITVIWAYAHLLTASGAYKHRPQLTQLNCRTDKANLISSAPWIKIPYPLQWGAPTFDAGHAFGMMAAVLVSLIESTGAYKAASRLASATPPPAHVLSRGIGWQGIGILLDGLFGTLTGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSILGKFGALFASIPFTIFAAVYCVLFGLVASVGLSFLQFTNMNSMRNLFITGVALFLGLSVPEYFREYTAKALHGPAHTRGEWFNDFLNTIFFSSPTVALIIAVFLDNTLDYKDSARDRGMPWWVKFRTFKGDNRNEEFYTLPFNLNRFFPPS >EOY26093 pep chromosome:Theobroma_cacao_20110822:6:4347068:4347552:1 gene:TCM_027488 transcript:EOY26093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQGDKYPPCPHYKKKNHTSKYCWYWPNVKCRSYNQLGYVEKVCKAKGANTEEKTTVVEQANAKDELLFMATVRAESKLKNIWLINSGC >EOY25852 pep chromosome:Theobroma_cacao_20110822:6:2331045:2340714:1 gene:TCM_027217 transcript:EOY25852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-LTR retroelement reverse transcriptase MSLANNGIEDLRGTPTCLNLQTLFLSNSKLKSGEKRQVSRMKPLYILSFGYHKTTKERPVSKLRTMKGATAATEGVLSKALSPPILDDRSRKKVRFKGDGQETNQAGSHHHPSFRDVLMSSESEDLFSEDNRDSEGEIGLEDTNMEDSGSDPSVMERDCDADYTFGSWTIFDCETLVSLLSQRDAGPNSSSSLDSVSWNAIALLPQVTAHSLKDENTTHSDSSPFGPWMLVSRRKNKYEEGRQYLTRKKNSGKNRTQGSRFSLLEDKEEKVVALDQSIILERQSSKQNFTGKEKIESFNRKEDNGQFGKALEGEAVVRESLLKDVLTGKQVSPLVPNGNEVDSKDVSRIRDISSHRHILDPNKHTVATMVVKTVDEGLPTRKLMTRNSRVGGVFIHEKDPKVTDKKILTHLQGMSIKKRARAKPKTTIMHSNAMSNLLDDSGLNLAPVDALVEIIHQPAAVNDLEKLGASDNNFVRLIREFVKQHNYYMAVLVEPRIRGFNAERVINSTGFDRSHRIESAGFSGVGNSWYLSTVYGHKNPSIRKVHLLRWNTLCKPKAQGGMGIRRLSLMNDAFILILCWGLLSKPDALWVRVLVNKYNFIRADYSKDPMRYTWVGEVPLINVVADMGFMLNLRARVKDYIMPNGDWDKERLTSILPIEVVNQILCIIPPTLSASLDMPYWALSPYGYFTISSTYKHLGSLADSTREENNKMWRLVWDLRGPHRVCLFLFFCLHKRILTNAERVRRKMSSDASCPHCYGVEETCLHVLRDCPALETLWRRILPQSGINQFFQIPLIDWLSSNLNLKNLYVFDVPWNIVLGITCWYTWKWRNLFIFEGRELSVEGRLSIIRSVAVDSHNTWSTPRIISGGMRHQEEILVGWSPPPEDWIAVNSDGAFKSAVGIAAAGGVLRDSHGTWIVGYACKLETSSVFRAELWGVYKGLQLAWERGFRKVKLQSDNKAVVQAISFSSVHPGSNLDLIRAIKGQSNKLSLKFGLLLCSLSNHLDGIGVHQ >EOY28338 pep chromosome:Theobroma_cacao_20110822:6:25039616:25044356:1 gene:TCM_029935 transcript:EOY28338 gene_biotype:protein_coding transcript_biotype:protein_coding description:P(E)-nerolidol/(E,E)-geranyl linalool synthase, putative MELSHVSIHALIKEIKDEMLSDYIDPYSFVSPSAYDTAWLAMIPADSNSQPCSAPMFKDCLDWVLNNQTEEGYWGERDAHGNPTIESLPATLACLIALKKWNVGIENVERGLTFIQANVEKLHKRNHNRFPRWFTIVFPEMIEFARTIGLQIDFSNHSQKLLIDIFSERQKIFKIEELTDSSYPLLLSYLEALPSFYAINEEDITMHLSDDGSLFQSPSATARAFMATGNKQCLAYLQSLVRRCGNGVPPTYPMDEDLIKLGLANQLERLGLAEHFTQQIEDILTQVYRNYNKQESLAKPSHGTSIATQLYKDSLAFRLLRMHGYNMSPWSFCWFLNNQEVLDHIGKNNEYFSSVMLNVYRATDLMFSGEYELEEARSFSRKVLEKVASEGNRDDDHFTKSLNLQKMIEHELSLPWVARLDHLEHRSWIEENDMNALWAGKTSFHRFSSLMNEKLVQLAVGDYEFRQSIYKNEMAELKSWCLKRGLTDMGFGREKTMYCYFATSACLSLPYDSVIRMMVAKSAILITVADDFFDMEGSLNELNILTDAVKRWDGDGLSGHGKTIFDALDDLVRETAGKHLQQQGTDIKGYLQQIWYETFASWLVEAKWSKSGYLPLLDEYLGTGMTSIAAHTLVLPASCLLKSSLPNSKINPAAEYETVTKLVMLIPRLLNDIQSYQKEIEDGKMNYVLLYMKENPEADINDSIAFVRDLLDKKRKELLKHVLTDGLSDLPEASRHLHLSCMKVFQMFFNSSNRYDSNTEMLQDIQKAIYIPPDIGISKPLMPLPSDSGPKKEFRTTTSHFVQPVKYHSKRIIGYQASLPIARRGYASMLITPNFRMSFS >EOY27480 pep chromosome:Theobroma_cacao_20110822:6:21986793:21989584:-1 gene:TCM_029319 transcript:EOY27480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase-like 4, putative MQKVGQKKSMSSSYMPWRSSATNRRQTQPTDNPRNPGPIFPDLGPAPSPKPIIRLLAFGLIIFLGLLQFLPASHFRHPSDPLRNWVPLNPHSSPSSTSKSEGSYEEDGMVHVVSWMECLDLKVLAVLANSTLSSSRYPDLVHFHFFTPEGNKDKVSFYKLKVLFPHSNLELHGQEEVKEIIRIATSEAEYARFNFEEIVPFIIPSVHQSLRKFIYASPDLILKGRVEELTGIDLSTHAIAAAAEDCSKRLNSYVNSDVLDAIQRSASKPWLSVTPYVKDACMPDLSLLVIDARKLQEFLEAVLWWSKVLNWSDRSSKRNPAIALALYNRYLKLSNSWLVKEPASLEITEKSMVIHYDGPKVVCSEFRNDTIPESSHGNLWMKYLPSMSNQILVS >EOY25830 pep chromosome:Theobroma_cacao_20110822:6:2176749:2179618:-1 gene:TCM_027198 transcript:EOY25830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase 5 MAFVTSLLSKPKYLDVSPPQRATTMKTRSCSCKVLNFGHQNPKFNQINGEQSPLHASFITRLNRSYSNRKVLALANGVSVEANEPDPEGKISGRILLSNVVVQRKKKAFWGRKWNTSDMAIAGVIVSMHLLSLFAPFHFNWPAFWLAVGLYVVTGLLGITLSFHRNLSHRSFKVPKWLEYFFAYCGVQALQGNPISWVSTHRYHHQFCDSNRDPHSPIEGFWFSHMSWLFDTNTVIEKCGEPTNVGDLRQQPFYKFLEGTYILHPIALGVLLYALGGFPFIVWGMGVRIVWLYHITWLVNSACHVWGKQAWNTGDLSRNNWWMALLSFGEGWHNNHHAFKYSARHGLEWWQLDMTWCVIKFLQVIGLATEVKLPTEVQKKRMAFSS >EOY26193 pep chromosome:Theobroma_cacao_20110822:6:5420567:5426461:1 gene:TCM_027611 transcript:EOY26193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKADGMTNDKVEDTIRETKSHVTKATFFVRRSSEDVINKFTGDNCAFAHDVNEKLVVMGYWSTRLRIRKLGLFCRTDQPLHRSDNPIPTTMRILLYQGFII >EOY26542 pep chromosome:Theobroma_cacao_20110822:6:13755056:13755979:1 gene:TCM_028338 transcript:EOY26542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVFFFHRFGLNRYQNMGRRVRAIRVRLAKKRRAIRRMRQSLSALQIAAEQIDRRNERIIAENALIDQLVYDLLAEIENDPLMRSAVEAIRAQNLDGRNIITNQAPPPRGGADDGRKWCVGGLYYARGGGGA >EOY27457 pep chromosome:Theobroma_cacao_20110822:6:21870611:21872834:-1 gene:TCM_029303 transcript:EOY27457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGHHKGVKTRDTKRFRRLRKKGIKGLNKKIFSSNSKSSAVNTSISDGDIINRAEKISRKAQGIWCMVTHLGFSPKGLEKQVLSKLKEMERNVRRSKRK >EOY25617 pep chromosome:Theobroma_cacao_20110822:6:672373:675634:-1 gene:TCM_026992 transcript:EOY25617 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 14, putative MGCGSGHGASDVPASSWQQFPSASDPLNFASSAMEVDRVKAFGDPFSTMRDPLLHELNVAAAAGSTYFSSPTSTVNDTSNFPGASNTVGHDKIFEDDMRTSTPCNIFSRIQISPSSSKLAVSPCDSPPLMAAAGGVGVGVGVSPRGIKAPAVLPSEMINANSSKGCLIDNTGPLQISSPRNLGIKRRLGKSQAKKVVCIPAPAAANSRSSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQPSKNNASSSKSSPSSQPLSSLNSKDELKESSSDALSPAVAASSTASASVKEEFEDIEKQLDIDDAEFGEGMPQTYRPALPDSQAQSEDFFADLDEIEADPLNLLFPQGFTEEEQKENKAMDPFSLFDWSSGDNNASF >EOY26994 pep chromosome:Theobroma_cacao_20110822:6:19613778:19628081:-1 gene:TCM_028948 transcript:EOY26994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRFNEIEDDVCWEAKNVDKEYGNHGFATRVLIAKDKESPAQVIRFVEVIGQPRKKVVKRTKSWSVTGKPSPKIQIIQTSNWVVSQAQLAEKPKIIQTY >EOY25664 pep chromosome:Theobroma_cacao_20110822:6:1059040:1059633:-1 gene:TCM_027047 transcript:EOY25664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTVAVASNRQVILRLDGRSTSFVFICMPIMPFSKTLPDPLPVLARTFGETPRTILGLFQLGLRWSLRLEQRC >EOY25914 pep chromosome:Theobroma_cacao_20110822:6:2718242:2733176:1 gene:TCM_027285 transcript:EOY25914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRQFLKFLVLSVILLVRFQGQEGCLEEEKKALLELKAFVKSDGYDADLLLPSWVGDTHSNCCNWDRVTCNSTTGHVIELSLSNTRQIDTDIESMFDYSENEWYFNISLLQPFKELRSLNLSYNKISDWIQNQGVGSLLRLE >EOY25911 pep chromosome:Theobroma_cacao_20110822:6:2692104:2693109:-1 gene:TCM_027280 transcript:EOY25911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein, putative MALAKRGVVFFMMIMVALRGLSMAAVYKVGDSAGWTILGNGQDYHDWAATNKFFVGDTLFFEYNTQFHNVKQVNVEDFKSCNPDNPIATYVDGSDNITLKSSGEYYFLCGFPGHCQAGMKLHITVNSAANSPQNPTPTPLASNVAPSLHASKLSCVVMVVAAATLPFFLLLV >EOY28137 pep chromosome:Theobroma_cacao_20110822:6:24317521:24320581:1 gene:TCM_029789 transcript:EOY28137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonoid o-methyltransferase related, putative MRDRLEDRICSGKARLIPCNKVICRVMDQGAIESSFQAQAHLYKHMFNYIGSMSLKCAVQLGIPDIVHSHGESIALSELVSALQIHPAKTNCVYRLMRMLVHSGFFGTTKVHEHDQKEEAYVLTPSSKLLLTDKINCLSPFVLEVLDPISVNPFHFLGDWIKGDKNTAFEIAHSLSFWDYVDQNLEFKDLFHEAMARDSQMMNLVIKDYKLIFEGLSSLVDVGGGRGCIAKIISKAYPHLKCTVLDLPHVVANLPESENLNFIGGDMFQYIPSTDAILMKHILHDWSDEDCIKILKKCREAIVRNGAGGKVIIIDVVINEKNDKHELTEAKLFIDMLMMVTVNGRERNEKDFGKLFVEAGFTHYNIMPIFGLKSIMEVYP >EOY25754 pep chromosome:Theobroma_cacao_20110822:6:1599939:1610262:-1 gene:TCM_027123 transcript:EOY25754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein, putative MEDDGIVLTSSPSSSSSSSQWKYDVFLSFSGEDTRKGFTDHLYSCLIEKGLITFRDDPKLEQGKSIAPELLKAIGGSWCSIIVFSKTYAFSSWCLDELVEILKQKKESGHEIYPVFYDVEPRELRKQEGSVEEAFAEHEKRYNQDKTRRWRDALLEASCITGWELKDRYESEFIQDVVRVISKKICQTYSSVRNDLIGINSRLVELRDKICFRKDDVRIVGICGMGGIGKTTLARVVYNQMSGYFEGKCFLADVREVAMKSGLVSLQKQLLSLLLPEQDFQFFSVEDGIEIIRRRLRHKMVLVVIDDADNMQHFKCLVEKRDWFGLGSRIIITSRDEHLLLHSYEVDDVYKPTTLDDSEALQLLSLKAFKSDTPKDDFISLSESVVEYASGLPLALEVLGSFLCGRGAAQWRSAIDRLKSEPNNRILNCLQISFDGLTDTEKNIFLDIAHFFKGRDRDFVKEILDGCGYYPDIGLDVLIERSLVIVKYNKIWMHDLLQEMGRNIVRQKSLGEPGKRCRLSEDSDVYQVLTQNSGTEAIEGMVINNTIGVYKTFTLRADAFLKMRKLRLLMVHGLLKACDHIYLSNELRLFEWLGCPLKSLPWDFQPDNLVALLLPNSCIKQLWNGDRHLNKLKFLDLQGSRKLIRTPDFTTTENLESLNLTGCTNLVHVHPSTAFLPKLKLLNFRNCISLKSLPTKIRMQSLETFILSGCSNLRRFPEITGEMECLLELYLDGTSIKELTSSFGHLSNLKVLNLSGCSELENLPDFRMMENLESLNLEGCTSLAHVHPSIAFSPKLKLLNLRNCKSLKSLPTKIGMESLEILILSGCKNLQRFPEITGKMEHLLEVHLDGTSMEELPSSVGNLSSLKVLDLSGCSVLEDSPPSFLQWIYRKGCGVLLSSLNPMLLKKSLNSMALKLPRLSSLSSLRELNISDRNLCEGALPSAICCLSSLVTLILKDNNFVSLPTNLCQLPKLYLLELGGCKKLETLPQLPSSIIAVGLDGCASLEIVPNPTKTYTASYTIHYYGVNCFKLAANDNALRMLKGHLKAVANARQEFDIVIPGSEIFEWFSHQSEECSVMIPNLQNDIQWMGFALCCALVPVSNNVAWRREQISCTIKIHFEGFTCRTPTAGYYFKSKSGQISEDHLWLRYLHRDILDNILKHKSGQYEILRSGDWIRSCIGIEILFETLGIGTKVNKCGARLVYPSDLKDLDPTMEQPSKKRKRHD >EOY28017 pep chromosome:Theobroma_cacao_20110822:6:23949190:23950023:-1 gene:TCM_029707 transcript:EOY28017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase-related isoform 1 MEEANVMDSEAVQKPKVIYRCKKCRRIVASEESIVPHERGKGEQCFKWRKRSGEKEPAQCSSIFVEPLKWMQPVQEGYVEEKLQCMGCKARLGSFNWAGMQCNCGAWVNPAFQLHKNRLDECHM >EOY28018 pep chromosome:Theobroma_cacao_20110822:6:23949211:23950110:-1 gene:TCM_029707 transcript:EOY28018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase-related isoform 1 MEEANVMDSEAVQKPKVIYRCKKCRRIVASEESIVPHERGKGEQCFKWRKRSGEKEPAQCSSIFVEPLKWMQPVQEGYVEEKLQCMGCKARLGSFNWAGMQCNCGAWVNPAFQLHKNRLDECHM >EOY25953 pep chromosome:Theobroma_cacao_20110822:6:2904370:2917739:-1 gene:TCM_027312 transcript:EOY25953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDNNNWRPTPPSGEPTMDTGDWRTQLQADSRQRIVNKIMDTLKRHLPFSGQEGLNELRKIAVRFEEKIFTAASSQSDYLRRISLKMLTMETKSQNSIPNTGNNGKPPDPGSQAMQNQVHGQGQSIPIPLQSNQSQARQQLLPQNVPNNMASAGVQSSAGLQSGMPPVSGLTQNPIPNVVGQNSNMQNMSGITQNSLGQGMPSNIFANQQRQMQGRQQVHPQQQQQLYHHQLQHQLMRQKIQPGNLQPSLMQSHMQQQQQQNLLPPTQLQSSQQSGMQTSSVMQPTVMQSTPLPGLQQNQQSSLQQSTQSMLQQHQQSVLRQQQQPQQPASAGIHQQQTPMTQQSMMPQQHQQPPQPQQQQQPHVMGQQTNAANITQNQLIGQQNGIGDMQQQRLLGQPNNLSNLQQQQQQQQLMAQQTNLSNIHQQQLGPQSNISGLQQQQQQQQLIGTQPGNSSMQTNQQSLHMLSQPKVPLQQTQQSAPSLLPTQGQTSQQPPPQQQQQQLMSQMQSQATQSQQQLSLQQQPNQLPRDMQQRLQASGQASSPLLQSQNHIDQQKQLYQSQRAVPETSSTSLDSTAQTGHANGGDWQEEVYQKIKTMKETYLPELNEMYQKIAAKLQQHDSLPQQPKSDQLEKLKLFKTMLERIITFLSVSKANILPTFKDKLTSYEKQIINFINTNRPRKPASTLQQGQLPPPHMHSMQQPQPQINQTQSHDNQMNPQLQSINIQGSVPTMQTNNMTSLQHNSLSSLPGVSTAQQTMLNSLQPGSNLDSGQGNALGSMQQVAPGPLQQNPVSTSQQANISSLSSQSGISVLQQNMNPLQSNSNMLQHQHLNKPEQQMLQSQQLKQQYQQRQMQQQQYIQKQQLMQQQQQQQQQQQQQLHQQAKQQLPTQLQTHQIPQLHQMNDVNDMKMRQGMGVKPGVFQQHLPAGQRQSYTHQQLKPGSQFPISSPQLLQAASPQMPQHSSPQVDQQSLLTSISKTGTPLQSANSPFVVPSPSTPLAPSPMLGESEKPVPGTSSLSNAANVGHQQGTGVQPGSQSLAIGTPGISASPLLAEFTGADGTHPTALTTVSSRSNITEQPLERLMKAVKSMSPTALGASVSDIGSVVSMTDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITQDGMNGTKRMRRYTSAMPLNVVSSAGSVNDSFKQLTGSETSDLESTATSSVKRPRIEANHALLEEIREINLRLIDTVVDISDEDVDPSAAAATAEGGEGTIVKCSFSAVALSSNLKSQYMSAQMSPIQPLRLLVPTNYPNCSPILLDKFPVEVSKEYEDLSVKAKSRFSISLRTLSQPMSLGEIARTWDVCARAVISEHAQQSGGGSFSSKYGTWENCLSAA >EOY25747 pep chromosome:Theobroma_cacao_20110822:6:1548215:1554404:1 gene:TCM_027118 transcript:EOY25747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MDLSALIPLSLILTFLPPHILSSPHSFDSQGEREREERGVQMEEGRRNNKVWVTEEESTVDWRGRPSNPNKHGGMRAAAFVLGLQAFEIMAIAAVGNNLITYVINEMHFSLSKSANIVTNFVGTIFLLALLGGYLSDSYLGSFWTMLIFGFVELSGFILLSVQAHLPQLKPPKCNMVIDGEHCVEAKGFKALIFFVALYLVALGSGCVKPNMIAHGADQFNQTNPSQSKKLSTYFNAAYFAFSMGELIALTILVWIQTHAGMDVGFGVSAAAMAMALISVVSGTLYYRNKPPQGSIFTPIAQVFVAAILKRKQICRPISNPQMLNGKQNSVPNRSASASHLHSESGNVLHTQRFRFLDKACIKVQDGSNTKESRWRLCSVAQVEQVKILLSVIPIFACTIVFNTILAQLQTFSVQQGSAMDTQLTKSFHIPPASLQSIPYIMLIFIVPLYDEFFVPFARKFTGNESGISPLLRIGSGLFLATFSMIAAAVMEKKRRDAAVGSGEIISIFWITPQFLIFGISEMLTAVGLIEFFYKQSLKGMQAFFTAITYCSYSFGFYLSSVLVSLVNKITSSGSSRGGWLSDNDLNKDRLDLFYWLLAVLSFLNFLNYLFWARWHSNSSPSGTAQNEAVEAGELSHFSLMRLSKDVGDEDIP >EOY27143 pep chromosome:Theobroma_cacao_20110822:6:20422964:20433608:-1 gene:TCM_029067 transcript:EOY27143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding family protein, putative MVMMTSVDFERMGKATLRTSSFKKRDSENSAYVSDHEQDNVPVGESISFKKKKPGKLELQMTIPALDIMNRKISDLGGNDELVNKVNSITFSSPEQEKFLSSRPASELHAAATKLQKFYKSYRTRRNLADCAVVVEELWWKALEFAALRRSSVSFFNSKKSETAVSRWARARTRAAKVGKGLSKDVKGQQLALRHWLEAIDPRHRYGHNLHLYYNIWFESGSSQPFFYWLDVGDGKEVNIDKCPRTDLQRQCIKYLGPKEREAYEVVLEKGKLIYKQSKVAVNTIEGTKWIFVLSTSRILYVGQKEKGLFQHSSFLAGGATIASGRLVILDGTLDAVWPYSGHYRPTEANFAELCRFLEEHHVDLTNVKKYAMDDDVIPSQVKIHEELKPESVKETTENMPSNNGAEPIHANGCTKDHIIGKNENEGNTDRSRLVDKAAAHDLGKPVKSKWCTGVGPRIGCVRDYPAQLQFKALEQVNLSPRVKAGHPSVPCAPIPSPRPSPKLHLSPRLACIGLVSPRVRVPASN >EOY26779 pep chromosome:Theobroma_cacao_20110822:6:17829935:17833197:-1 gene:TCM_028738 transcript:EOY26779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine biosynthesis 1.2 MAEDGAVTLYNNTAITDAKKNSFSIKVGMAQMLRGGAILQVSTLSQAKIAEEAGACCLVLTEPNCQGISRMPDPSLIKQIKRTVSVPVMARSRIGHFVEAQILERVGVDYIDESEVLAIADEDNFINKHNFICPFVCGCKNLGEALRRVREGAAMIRTQGDLLGTGNIAETVKNVRSVMGEIRVLNNMDEDEVFAFSKKIAAPYDLVAQTKQMGRLPVVHFAAGGILTPADAALMMQLGCDGVFVGSEVFDNCSDPYKRVRGIVEAVRHYNDPHVLVENSCGLEEEMAGLNVTEERMEQIHKTIPSFLVPLTNVYVDGQWQSIVELQRKISSHRCRALLKRQDQAPSCSLWATMHG >EOY28451 pep chromosome:Theobroma_cacao_20110822:6:25395912:25400000:1 gene:TCM_046847 transcript:EOY28451 gene_biotype:protein_coding transcript_biotype:protein_coding description:AarF domain-containing kinase MSRLLTFAKIRKASQSAISNQSTSHSDFRKYGTVVTFGLRLPQYSVYSHYRFKSGGKAQLLLQTTKEGLCQGYFVKNHSFLSASSAVTHRAQVAWKRLTWRCYASGRTLPHISRIAQAVSLVLSRSHLIVPGIFGLTCGQVALGQRTLLETDYYPSQNSLYMRAQDGHAFVSSILLSLVEGVILLLRALYLAVLFSPSIIMAPFIDSCDPQFRKMWLEVVHRTLEKAGPAFIKWGQWAATRPDLFPRDLCTKLSELHSKAPEHSFAYTKKTIERAFGRKLSEIFEGFEEEPVASGSIAQVHRASLRFRYPGQRVKPMVVAVKVRHPGVGESIRRDFMIINLVAKISKFIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRSWKDVSFPKPVYPLVHPAVLVETYEQGESVAHYVDGPEGHDRIKTAIAHIGADAFFKMIEDNLYHGDLHPGNILVQVSPSKASRKGLFKSKPQVIFLDVGMTTELSKSDRVNILEIFKAVARRDGRTAAECTLRLSQRQNCPNPKAFIEEVEESFTFWGTPEGDLVHPAECMQELLEKVRRHKVNIDGNVCTVMVSTLVLGGWQRKLDPEYDVMLMLQKRLLEADSAVSFPDIINGRMAP >EOY28054 pep chromosome:Theobroma_cacao_20110822:6:24090856:24092742:1 gene:TCM_029735 transcript:EOY28054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MDFFGKKKKLMGSIAQRWRELSGENNWEGLLDPLDKDLRKYIIHYGERTQAVMDAFNGEKASKWVGFSRYSTEDFFSKVGLEMGNPYKYKVTKFFYARSEIQILDWFAAVESNWIGYVAVTTDEGKAVLGRRDILICWRGTMRNLEVINDIKADLVSAADILGDNGDPKVHHGWHSIYTAKDSKSVYNQASAREQVLSEVRRLVDLYQDEEISITLTGHSLGGAVATLNAVDIIANGYNKSTTKPDKEYLVTAFVFASPRVGDSGFKKVFSGLKNLHVLRIKNELDMVPSLPIPLPLLHYTHVGEKLLIDSHKSPYMKSHLDISENLVIAHQLEPYLHGVAGSQGAKGEFKLEVNRDIALLNKSLDALKDEYKVPVEWWIEKNKGMAQQDDGSWILDDHEPEPAIV >EOY25646 pep chromosome:Theobroma_cacao_20110822:6:888025:892290:1 gene:TCM_027024 transcript:EOY25646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MDYVCAKCSNLSRGHVYCCKNCDFKLEYLCAFSPNAENNKLIIDGHISKKIHHFIFNQSLILFNYRRVGKYDYCCSWCEKHLSGMSYGKLEFFNQVFFHDSCLINMPSIIVKHPFHPSHPLQIHMVFSKYRCNACNYSLKGIQAYCCKKCGFYLHVLCARLQPSLKVELHEHYLSYFQIKSRWRGFRCKICNSNCSDGNKESVCYRCVQCDFNYHFNCLGVLSSTRHKYHRHELMLVDSFIEDDSKEYYCDICEEERKPKHHVYCCKKCKFVAHIECALNKVVDTKLDHGSASGLLVSKEETEQRNAEFPTHLSIKYIDHPHVLSYNEATEQNKSLLCKACCQEIFDQHYACEYYLHETCTTLPYEVSHPLHRQHPLKLFTDIGAFPCSQCRDYSDGFSYICYPCDFKLDVKCAAIPIAPNKEGRGQIEMERLSKLCPFNHNHKLEFFNCRANVIKNLKCYACLLPIVGSAYRCRECFYTYAHESCLALVREMQHPFHPLHFLHPQIFSRMWSPFCFACGNSIDMGYSCQKYDFHLHFNCADSLKRALKYKSHVHNLYYFRLRTEMHPRACNKCESSLDSQPFYHCLECGIRLHIKCVPTPHLIKSKCHIHHLILKDHFVEDDSREYYCDICEEERNSNNHVYYCEECYGQFVAHIECVLPTVDKAEPAPEASLIQN >EOY26672 pep chromosome:Theobroma_cacao_20110822:6:16856895:16857722:-1 gene:TCM_028621 transcript:EOY26672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMEKALPNPNNESDLLKRTNKTFKHLKVVNEDKDEDTKTSVVVENGFDHWDDVKHGDEMQFDEVISDDESDSNQSPCVEGQKLRVLGFQTLTARINIFGNLKGGIR >EOY27411 pep chromosome:Theobroma_cacao_20110822:6:21586194:21586983:-1 gene:TCM_029260 transcript:EOY27411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGRVGVSGRWRAMGTCARMVWSQKQDQWLWFHTLKASNRAAVHGFKRPINERIDEEEVEQEIKNGKEEKESKRTEVGKKINSQVKSYQDCNK >EOY25889 pep chromosome:Theobroma_cacao_20110822:6:2578059:2579623:-1 gene:TCM_027260 transcript:EOY25889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAASAVASGSPKLCYSTPSHCPLYPATKLKGTAKFLVDAKFCSSTTSFQRSFPIRAVDSQAEEENPSLDEPNAGFIPQEDFNYLWKLGAGSAIGAAIIKYGSILFPEITRPNILQALIMISAPVIIAVLLLIKQSRLEQ >EOY25890 pep chromosome:Theobroma_cacao_20110822:6:2578059:2579686:-1 gene:TCM_027260 transcript:EOY25890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEKQAKESKPGVKFTRFTYLFSPHQSALNKKWQLQPWQADPRSSATPPQVTAHYIPLLSSKAQQSFSSTPNSALQPPPFNAHFPFELLIPKQRKKIQAWMNPMLVSSLRSWFCYRCSYNKVWKHPFSRDYQTKHLTGFDHDISTSYYCCFIVDKAKSS >EOY28292 pep chromosome:Theobroma_cacao_20110822:6:24843495:24845109:1 gene:TCM_029904 transcript:EOY28292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum induced protein with YGL and LRDR motifs MLAIFNKGLVNPPKELHSPASVSSSRKPKLPVEILEDFLSANPNNAFSIGFGAAASLAYVPQQNSCTTYQRLFCGVDEIYCIFLGSLNNLCSLLRQYGLSKGTNEAMFIIEAYRTLRDRGPYPAHQVLKDLEGSYGFVVYDGKAGSVFAALGADEAVNLFWGIAADGSVVVSDNLKVIKESCAKSFAPFPAGCMFHSEQGLMSFEHPRSEMKAMPRIDSEGVMCGADFKADVQSRISSMPRVGSEANWALRGSTA >EOY28434 pep chromosome:Theobroma_cacao_20110822:6:25349787:25352370:1 gene:TCM_030002 transcript:EOY28434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter 1 isoform 1 MPAVGGIGPGTGKEYPGNLTLFVTVTCIVAATGGLIFGYDIGISGGVTTMTPFLQKFFRKVWEKKEADKSTNQYCQYDSPTLTMFTSSLYLAALLASLVASTVTRKLGRKLSMLLGGLLFFAGALINGFAKAVWMLIVGRMLLGFGVGFANQSVPLYLSEMAPYRYRGALNIGFQLSITVGILIANVLNYFFAKIKGGWGWRLSLGGAMVPALIITVGSLFLPDTPNSMIERGQTEEAREKLRRIRGIDDVDEEFRDLVAASDASKLVEHPWRNLLQRKYRPHLTMAILIPFFQQLTGINVIMFYAPVLFNTIGFGDDASLMSAVITGVVNVAATLVSIYGVDKWGRRFLFLEGGTQMLICQAVVAACIGAKFGVNGNPGDLPKWVLFICIYVSGFAWSWGPL >EOY28433 pep chromosome:Theobroma_cacao_20110822:6:25349829:25353213:1 gene:TCM_030002 transcript:EOY28433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter 1 isoform 1 MPAVGGIGPGTGKEYPGNLTLFVTVTCIVAATGGLIFGYDIGISGGVTTMTPFLQKFFRKVWEKKEADKSTNQYCQYDSPTLTMFTSSLYLAALLASLVASTVTRKLGRKLSMLLGGLLFFAGALINGFAKAVWMLIVGRMLLGFGVGFANQSVPLYLSEMAPYRYRGALNIGFQLSITVGILIANVLNYFFAKIKGGWGWRLSLGGAMVPALIITVGSLFLPDTPNSMIERGQTEEAREKLRRIRGIDDVDEEFRDLVAASDASKLVEHPWRNLLQRKYRPHLTMAILIPFFQQLTGINVIMFYAPVLFNTIGFGDDASLMSAVITGVVNVAATLVSIYGVDKWGRRFLFLEGGTQMLICQAVVAACIGAKFGVNGNPGDLPKWYAIVVVLFICIYVSGFAWSWGPLGWLVPSEIFPLEIRSAAQSINVSVNMLFTFAVAQVFLSMLCHLKFGLFLFFAFFVVLMSIFIYFFLPETKGIPIEEMNQVWKSHWYWSRFVEGLDYPNGGVEMGKGGQGSKNV >EOY28518 pep chromosome:Theobroma_cacao_20110822:6:25623923:25631688:-1 gene:TCM_030061 transcript:EOY28518 gene_biotype:protein_coding transcript_biotype:protein_coding description:3S-linalool/(E)-nerolidol /(E,E)-geranyl linalool synthase, putative MALFSNVSFSSPIAPIASNKASLLHNFNLVGPASLPMIPRCSIARDHRIVSTPLEHFGQRSGHPTITDEFRIEHANKLEAFKRVFREVGEDPLQALAMVDAIQRLGIDHHFQYEIDEVLQKQYMLSCTNGVHDYDLQEVSLRFRLLRQEGYFVPAGVFDRFKDREGGFRNELRRDIKGLMELYEASQLAVEGEDILDGAREFSSQALKTWQSRELDQFSGRVIKTTLDQPYHKRLSRFTARNLLTNYQGTNGWINVLQELAKMDFNIVQSLHQTEAIRISNWWKGLGLTKELELARDQPLKWYIWSMASLTDPSLSEQRIDLTKPISLIYIIDDIFDIYGTLDELTLFTQAVDRWDYAATDRLPDYMKICFKALDDITDEISHKVYKKHGWNPVNSLRKAWATLCRAFLVEARWFASGKLPKAKEYLENGITSSGVHIILVHSFFLLGQGLNNKNVELIDNNPSMISSTATILRLWDDLGSAKDEKQDGNDGSYVECYMEEHQGVEYESARKHVTNMISNAWKRLNHECLSENPFSLPFARASLNIARMVPLMYSYDENQCLPSLEEYMKSLLYESVPMKNTSA >EOY27494 pep chromosome:Theobroma_cacao_20110822:6:22064898:22069635:-1 gene:TCM_029330 transcript:EOY27494 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPR1-like protein 4 MAYLSEPSSSLSFSSSSHLSNGSITHNIPSFTVPETGASLEVISLTKLSTSLEQLVNDNGPDFSDADIVVEDVPVGVHRCILAVRSKFFNELFKKGNGSCEKEGKPSYNMSELLPYGKIGLEAFRILLHYLYTGKLRPSPMEVSTCVDNVCAHDACRPAINFAVELMYASSIFQIPELVSLFQRRLLNFVEKALVEDIITILVVAFHCQCSQLVSQCVDRVARSDLDSISIEKELPYEVAESIRLLRRKSPPDGEDNEVVVDPLREKRIRRIHKALDSDDVELVKLLLTESDITLDDAAALHYAAAYCDPKVVSEVLGLRLADVNLRNSRGYTVLHIAAMRKEPSVIMSLLAKGASASELTVDGRSAVNICQRLTRPKDYHAKTEQGKETNKDRICIDVLEREMRRNPMAGDVSVTSHTLADDLHMRLLYLENRVAFARLLFPSEAKLAMDIAHAETTSEFAGLCASKGSNGNLRQVDLNETPIMQKKRLLARMEALMKTVEMGRRYFPHCSEVLDKFMEDDLPDLFYLEKGSSEEQKIKRSRFRELKDDVQKAFSKDKAEFNRTGLSSSSSSSSLKDGGPYKLRKL >EOY26471 pep chromosome:Theobroma_cacao_20110822:6:12320535:12323644:-1 gene:TCM_028202 transcript:EOY26471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRKDSSLDTPYSTSEGSLDSTDRSRYVSEGESVTSNSIRFLSGLGLPRGKPLFKSLRSLARLMRNEIYLRKKNTNKMGKKSISNYCLRKVSVSVVRHFPPGCGRGVALVSKEEFER >EOY29076 pep chromosome:Theobroma_cacao_20110822:6:27286638:27290955:-1 gene:TCM_030494 transcript:EOY29076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQNALNPRDFPSLPTIHGLPPGRPLDISLQPPAAPLPITAGNPPRQLCLPTEKAPVNWTKHPPPPTTEGISQGFQVQPQPPASPRTAKKSFLSVVNAVKLALVPPTRPTFRYKDKPAVRFFEDEIEALAQPFKFAIVGKFSKMPRLTEIRQSFVSLGLSGVYNIRWMNYKHILIHLSNEQDFNRIWTKQTWFITNQKMRVFKWTPDFETDKESPIVPVWISFPNLKAHLFEKSALLMIAKAIGNPLYIDEATANGTRPSVARVCIEYDCLKPPVDSVWIVVSKRGSEDMSGGYLQKVEFAPMPEYCNHCCHVGHNVSKCLILGSRSNTHKSGGKTALESSHERTQINAPSNRKDNEERPMVADIENEVRHLDRSDENGSKGADMILEDKGNEQSKNHNSFAVLESVEDDENQEQDRTKKHGQTEYVNSTLGREKISIGRPVNGSKLRDNDVVEIYRLQDDRRLSSEDPNNKQQQQCMIEKGKERQVEKESANTSAVILHVTCLENGGQAANREKAARVAQPQVCEGKPRDNHVQGERIPSKKHANRKDAEATAAIEGTATPAAGETILLLPFYVNGELIHTNKVMGEQEKKFAFTAEDDGKSQPESLYVAASQNLKNPFIAPTMQTAEKRQQTLTAQAAVSILHGEEVLFPASAFDGEDMTAPVGVVGPLAAMAGHEVHAERSAGHGNSAMLAQKTAAATEQFTTTPATDGYLPQDEGDESSCVRRETTVERQNEADDQNNNGKSGAAAFFANMQTVEEVLQLHFHDNGKHGHAGKDVEECVNHADVKRGSTVSERNNKNKTKKLQKINVGVADSSLQGSDKQWPECLPFDREPSALGQLLLKVMAHWHQQRESLPYTPPSFYLTNGATQAESREK >EOY29024 pep chromosome:Theobroma_cacao_20110822:6:27141424:27147830:1 gene:TCM_030461 transcript:EOY29024 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding and GRAM domain containing protein MKLIVGVIEARNMPPMDINGFSDPYVKLQLGKQRSRTKVVKKTLNPTWGEEFSFKVEDLNEELLISVLDEDKYFNDDFVGQLKLPVSRIFDAHNKSLGTAWYSIHPRSKKSKNKDCGEILLNIYFSQNNSFMDLASHGDNASSLKKHADMTIEDLSRSFSGSSNSPSPVRQEDNVSSKEDKSGAQKSLAGRIAQMFNKNMDTAPTTSAKSTDLMEIPEISRADISDDNADDQSSSVSFEEAMKALESRDQGSEIPINLPGGVLLDQLYVIAPTELNFLLFSPDSSFPRSLAEVQGSTDPQFGPWKFENGGECLKRVYSYIRAPTKLIKAVKATEEQTYIKADGKDFAVLAGVSTPDVMYGSTFRTEVLYCITPGPELPSGEQSSHLVISWRMNFLQSTMMKGMIENGARQGLKESFEQFATLLAQTIKPVDSKDIGLNKEHLLGSLQAEPQSDWKLAVQYFANFTLASTVFMSIYVIVHIWLAAPSAIQGLEFVGLDLPDSIGEFIVCGVLVLQGERVLQLFSRFMQARAQKGSDHGVKAQGNGWLLTVALLEGSNLAAVDSSGFCDPYVVFTCNGKTRTSSIKFQKSGPQWNEIFEFDAMDEPPSVLDVEVYDFDGPFDEATSLGHAEINFVKSNISDLADVWVPLQGKLAQACQSKLHLRIFLDNTRGGNVVKEYLSKMEKEVGKKINVRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFLSARIIGFHANLFGHKTKFFFLWEDIEDIQVLTPTLASMGSPIIVTTLRLGRGMDARHGAKTQDEEGRLKFHFHSFVSFNVAHRTIMALWKARSLSPEQKVQIVEEDSEAKSLQTEESGSFLGLEDVSMSEVYSSALPVPTSFFMELFNGGELDRKAMERAGCLNYSCSPWESERADVYERQIYYRFDKRVSRYRGEVTSTQQKSPLSDKNGWLIEEVMTLHGVPLGDYFNLHLRYQIEDLPSRSKGCQVRVFFGIAWLKSTRHQKRIAKNILLNLEDRLKVTLGVIEKEYISR >EOY27239 pep chromosome:Theobroma_cacao_20110822:6:20814011:20816697:-1 gene:TCM_029126 transcript:EOY27239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 23 MAPLKDETIKMEENVSPKREVNKGAWTAEEDRKLAEVIAVHGAKRWKIIAIKAGLNRCGKSCRLRWMNYLRPNIKRGNISDQEEDLILRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKINQKEKQSGATTREGCKAQKRVVENAKEVIEENTSRGGEDSNISFDVDEFFDFSNEDPLNLEWMSRFLEVDEGFN >EOY27973 pep chromosome:Theobroma_cacao_20110822:6:23802642:23803618:-1 gene:TCM_029676 transcript:EOY27973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLEREYISSKDSLRYEVQALILKKNDIFQCEITKQNPSGDRFFAWMLAVDKKTETGSRHKLCEIHCNCVMVKGQKADRTSDYFEIYVQN >EOY28779 pep chromosome:Theobroma_cacao_20110822:6:26516440:26522357:-1 gene:TCM_030286 transcript:EOY28779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 2 MAAVIAKQERLNCHTGQSMQIIKEPLSSWHASKKRKPVIVHRENKRTISEWKDNVGQLSRQTKNPSKKRKLSKEIEFLEDEKIPKRHWNGVDKITTSEEEDVSDGWDEEAIVFMNVKARSKSRNSNSVMIKKSPKETKKNNCDPIDASLHSSSSLSSSSSGSLSSHLKSDGSSSDRCTKKNVKAKRDKFKCHQCMTERRIVVPCMKCEDKVYCIHCIRQWYPHIPEEKIAEQCPSCCRNCNCSICLHSSGLIKTSKRDITDQEKIKHLKYLIELMFPFLKQICKMQNQETEVEADIRGLLPSAVEIPESFCCNDERVYCNHCATSIFDLHRSCPKCSYELCLSCCQEIREGSLSTRDEVAYQYRNRGYNYIHGGDPLPESYLHESAKAQSEPSLQWKANNDGSITCPPREMGGCGDCRLELKRILPVGWISNLEAKGGEMLSICKTRQGILKDECTVSGRETLQRVASREGSNDNCLYSPTSSDIQGEDLSHFQMHWARGEPVIVQNALANSTGLSWEPMVTWRALCEKVDSDSSLDMSEVKAIDCLAGCEVEINTRQFFKGYMEGRRYDNFWPEMLKLKDWPPSNEFEDLLPRHCDEFISALPFQEYSDPRSGILNLAVKLPPGVLKPDLGPKTYIAYGIAEELGRGDSVTKLHCDMSDAVNILTHIADVALSKEQLAAIEELKMKHKAQDEKEHLERERLDKHPIKEGLDAESSDLENKMDAPEIRDQKDHYSDNNILDASPDELGARIPGLYTSRKETGGALWDIFRREDVPKLEAYLRKHSKEFRHTYCSPVEQVIHPIHDQSFYLTMEHKRKLKEEFGVEPWTFEQHLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIKECLRLTEEFRQLPKNHRAREDKLEIKKMIIYGVERAIKELEELVSTPNSAL >EOY28780 pep chromosome:Theobroma_cacao_20110822:6:26516914:26522079:-1 gene:TCM_030286 transcript:EOY28780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 2 MAAVIAKQERLNCHTGQSMQIIKEPLSSWHASKKRKPVIVHRENKRTISEWKDNVGQLSRQTKNPSKKRKLSKEIEFLEDEKIPKRHWNGVDKITTSEEEDVSDGWDEEAIVFMNVKARSKSRNSNSVMIKKSPKETKKNNCDPIDASLHSSSSLSSSSSGSLSSHLKSDGSSSDRCTKKNVKAKRDKFKCHQCMTERRIVVPCMKCEDKVYCIHCIRQWYPHIPEEKIAEQCPSCCRNCNCSICLHSSGLIKTSKRDITDQEKIKHLKYLIELMFPFLKQICKMQNQETEVEADIRGLLPSAVEIPESFCCNDERVYCNHCATSIFDLHRSCPKCSYELCLSCCQEIREGSLSTRDEVAYQYRNRGYNYIHGGDPLPESYLHESAKAQSEPSLQWKANNDGSITCPPREMGGCGDCRLELKRILPVGWISNLEAKGGEMLSICKTRQGILKDECTVSGRETLQRVASREGSNDNCLYSPTSSDIQGEDLSHFQMHWARGEPVIVQNALANSTGLSWEPMVTWRALCEKVDSDSSLDMSEVKAIDCLAGCEVEINTRQFFKGYMEGRRYDNFWPEMLKLKDWPPSNEFEDLLPRHCDEFISALPFQEYSDPRSGILNLAVKLPPGVLKPDLGPKTYIAYGIAEELGRGDSVTKLHCDMSDAM >EOY26492 pep chromosome:Theobroma_cacao_20110822:6:13032498:13035447:-1 gene:TCM_028265 transcript:EOY26492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTCYPSQICHDKYFIRSGFTINLTVPHRMEHLECRVAHQAQCTRVIKQMFYVCAHHMGMDMSNFSTTSEDHHTNDDDEEDKDE >EOY26734 pep chromosome:Theobroma_cacao_20110822:6:17501632:17507231:-1 gene:TCM_028691 transcript:EOY26734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 91 isoform 2 MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPAIVCFGEKQRFIGTAGAASSTMNPKNSISQIKRLIGRQFSDPELQRDLKSLPFSVTEGPDGYPLIHARYLGEMKTFTPTQVLGMVLSDLKSIAEKNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLHPLRLIHETTATALAYGIYKTDLPENEQLNVAFVDIGHASMQVCIAGFKKGQLKILAHSFDCSLGGRDFDEVLFQHFAAKFKEDYKIDVFQNARACIRLRAACEKLKKVLSANPVAPLNIECLIDEKDVKGFIKREEFEQISVPILQRVKSPLEKALADAGLAVENVHTVEVVGSASRVPAMLKILTEFFGKEPRRTMNASECVAKGCALQCAILSPTFKVREFQVNESFPLPISLSWKGSASDAQNEGAEQQQLVFPKGNSIPSVKAVTFHKTSTFSVDVQYSDVSELQVPAKISTYTIGPFPTIRSERPKLKVKVRLNLHGIVSLESATLLEEEEVEVPVSKEPVKEDTKMETDEIPSDAAAPCANETDVNMQDAKGTADAEGVENGVPESGDKPVQMETDTKVEAPKKKVKKTNVPVAELVYGAMFPADVQKAVEKEFEMALQDRIMEETKDKKNAVEAYVYDMRNKLSDKYHDFVTAPEKEEFTAKLQETEDWLYEDGEDETKGVYVAKLEELKKQGDPIEERYKEYAERGTVIDQLAYCINSYREAAMSNDPKFDHIELAEKQNVLNECVEAEAWLREKKQQQDQLPKYATPVLLSADVRKKAEALDRFCRPIMTKPKPAKPATPETPATPPPQGSEAPPQGADDNANPNASPNCNADPSENAPADSAEAPPASTEPMETDKSESTSATA >EOY26735 pep chromosome:Theobroma_cacao_20110822:6:17503752:17507622:-1 gene:TCM_028691 transcript:EOY26735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 91 isoform 2 MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPAIVCFGEKQRFIGTAGAASSTMNPKNSISQIKRLIGRQFSDPELQRDLKSLPFSVTEGPDGYPLIHARYLGEMKTFTPTQVLGMVLSDLKSIAEKNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLHPLRLIHETTATALAYGIYKTDLPENEQLNVAFVDIGHASMQVCIAGFKKGQLKILAHSFDCSLGGRDFDEVLFQHFAAKFKEDYKIDVFQNARACIRLRAACEKLKKVLSANPVAPLNIECLIDEKDVKGFIKREEFEQISVPILQRVKSPLEKALADAGLAVENVHTVEVVGSASRVPAMLKILTEFFGKEPRRTMNASECVAKGCALQCAILSPTFKVREFQVNESFPLPISLSWKGSASDAQNEGAEQQQLVFPKGNSIPSVKAVTFHKTSTFSVDVQYSDVSELQVPAKISTYTIGPFPTIRSERPKLKVKVRLNLHGIVSLESATLLEEEEVEVPVSKEPVKEDTKMETDEIPSDAAAPCANETDVNMQDAKGTADAEGVENGVPESGDKPVQMETDTKMFKKQ >EOY27351 pep chromosome:Theobroma_cacao_20110822:6:21365700:21366207:1 gene:TCM_029215 transcript:EOY27351 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MGARASKLNRLIGGRRSMRFGVPPSTPKGYVPVCVGVNDDTRRFIVHTTTLRDADFLELLCKSAEEYGFCNQGILRIPYAAKDFEEWIMMRRAKQKIVRVS >EOY27242 pep chromosome:Theobroma_cacao_20110822:6:20828435:20831139:1 gene:TCM_029129 transcript:EOY27242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIMEPPLSRLVLLSLCLLFTIFTDLVESQSFNRRPTPPPSRSPFSHPPPPTRTPPYSPLRPPAAPSRPGPPSRPAAPSRPAAPSRPAAPSRPAAPSRPGAPSRPAAPFSAQPRPINQKDKTNSHRAQPQPPPPPHSRDHKVNIGKKIGLLFTGIVIILQVGVVGFLVFKRRQLLKVKGAYETCSSSS >EOY27686 pep chromosome:Theobroma_cacao_20110822:6:22794979:22803524:-1 gene:TCM_029474 transcript:EOY27686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin-4B isoform 1 MSLPPTKRSLSNANSNATASSSSSSSPHFQPSMKKAKSQAVACSLDPNKNGLHHHHNQDDNDVVFDPSSMALDDDSKPDDARAPAAANLSRKKATPPQPAKKLVIKLVKAKPTLPTNFEEETWAKLKSAINAIFLKQPDSCDLEKLYQAVNNLCLHKMGGSLYQRIEKECEEHISAALRSLVGQSPDLVVFLSLVEKCWQDLCDQMLMIRGIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSLASEVEHKTVTGLLRMIESERLGEAVERTLLNHLLKMFTALGIYSESFEKPFLECTSEFYAAEGMKYMQQSDVPDYLKHVEMRLHEEHERCLLYLDALTRKPLIATAERQLLERHIPAILDKGFMMLMDGHRIEDLQRMYSLFSRVNALESLRQALSSYIRRTGQGIVLDEEKDKDMVPSLLEFKASLDSIWEESFSKNEAFCNTIKDAFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARIKLPSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFPKGKKELAVSLFQTVVLMLFNDAQKLSFQDIKDSTGIEDKELRRTLQSLACGKVRVLQKLPKGRDVEDDDSFVFNEGFTAPLYRLKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >EOY27687 pep chromosome:Theobroma_cacao_20110822:6:22796870:22803226:-1 gene:TCM_029474 transcript:EOY27687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cullin-4B isoform 1 MSLPPTKRSLSNANSNATASSSSSSSPHFQPSMKKAKSQAVACSLDPNKNGLHHHHNQDDNDVVFDPSSMALDDDSKPDDARAPAAANLSRKKATPPQPAKKLVIKLVKAKPTLPTNFEEETWAKLKSAINAIFLKQPDSCDLEKLYQAVNNLCLHKMGGSLYQRIEKECEEHISAALRSLVGQSPDLVVFLSLVEKCWQDLCDQMLMIRGIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSLASEVEHKTVTGLLRMIESERLGEAVERTLLNHLLKMFTALGIYSESFEKPFLECTSEFYAAEGMKYMQQSDVPDYLKHVEMRLHEEHERCLLYLDALTRKPLIATAERQLLERHIPAILDKGFMMLMDGHRIEDLQRMYSLFSRVNALESLRQALSSYIRRTGQGIVLDEEKDKDMVPSLLEFKASLDSIWEESFSKNEAFCNTIKDAFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARIKLPSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFPKDCSSDVVQR >EOY27330 pep chromosome:Theobroma_cacao_20110822:6:21275423:21279663:-1 gene:TCM_029199 transcript:EOY27330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptobrevin family protein isoform 1 MVKMTMIARVTDGLPLAEGLDDGRDLKDAEMYKQQVKALFKNLSKGQNEASRMSIETGPYVFHYIIEGRVCYLTMCDRAYPKKLAFQYLEDLRNEFERVNGAHIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRIYADKARDLNRQALIRKWAPVAVVLGVVFLLFWVKAKLW >EOY27331 pep chromosome:Theobroma_cacao_20110822:6:21275874:21279628:-1 gene:TCM_029199 transcript:EOY27331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptobrevin family protein isoform 1 MVKMTMIARVTDGLPLAEGLDDGRDLKDAEMYKQQVKALFKNLSKGQNEASRMSIETGPYVFHYIIEGRVCYLTMCDRAYPKKLAFQYLEDLRNEFERVNGAHIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRIYADKARDLNRQALIRKWAPVAVVLGVVFLLFWVKAKLW >EOY27485 pep chromosome:Theobroma_cacao_20110822:6:22002619:22006522:1 gene:TCM_029322 transcript:EOY27485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein isoform 2 METASCSILYTLRFSANTMTASMNNNKLYYETSLPKNRLCLLPSFPSAFPRNFNFRGKNRQLNGFAAFSSPSSAQNQNPSQELAVLLEVDGVLMDAYRLGNRQAFNLAFQKLGLDCANWTEPVYSDLLRRSADNEERMLMLYFNRIGWPTSLPTSEKEAFVKSVLREKKIALEELMLKSLPLRPGVENFIDDACNKGIPVIILASYSKSGDKIARSIVQRLGHERLSKIKVIGNEEVEKSLYGQLVFGKAMTSSLDEQLAKEARKAGRISVFVFGKEMVCIFQFSRSLTNRFRSCFTSSFC >EOY27484 pep chromosome:Theobroma_cacao_20110822:6:22003657:22006283:1 gene:TCM_029322 transcript:EOY27484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein isoform 2 MSIAQKDLTFQKLGLDCANWTEPVYSDLLRRSADNEERMLMLYFNRIGWPTSLPTSEKEAFVKSVLREKKIALEELMLKSLPLRPGVENFIDDACNKGIPVIILASYSKSGDKIARSIVQRLGHERLSKIKVIGNEEVEKSLYGQLVFGKAMTSSLDEQLAKEARKAASAEKQRIAKEVASILKVSVDIDTSSSESLEKIVAALRAGAEIAGIPVYKCILIAGSKSGVAGAEQIGMPRVVLRSSFTSRAEFPSANAIMDGFGGADLTISKLCQKRWS >EOY27483 pep chromosome:Theobroma_cacao_20110822:6:22002619:22006522:1 gene:TCM_029322 transcript:EOY27483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein isoform 2 METASCSILYTLRFSANTMTASMNNNKLYYETSLPKNRLCLLPSFPSAFPRNFNFRGKNRQLNGFAAFSSPSSAQNQNPSQELAVLLEVDGVLMDAYRLGNRQAFNLAFQKLGLDCANWTEPVYSDLLRRSADNEERMLMLYFNRIGWPTSLPTSEKEAFVKSVLREKKIALEELMLKSLPLRPGVENFIDDACNKGIPVIILASYSKSGDKIARSIVQRLGHERLSKIKVIGNEEVEKSLYGQLVFGKAMTSSLDEQLAKEARKAASAEKQRIAKEVASILKVSVDIDTSSSESLEKIVAALRAGAEIAGIPVYKCILIAGSKSGVAGAEQIGMPRVVLRSSFTSRAEFPSANAIMDGFGGADLTISKLCQKRWS >EOY27716 pep chromosome:Theobroma_cacao_20110822:6:22935842:22941936:1 gene:TCM_029497 transcript:EOY27716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSDIYIMQKQKKKEIWIGLNIMSIYGGRLGPPRDHTSQNTELNFITEYAKGGAVPKRETEAFTKEEKEEGYLAKKMAESSASSKWVFLFMLIFAHLATLSLAEDGLVANGDFETAPSNGFPSEAISDGPTEIPSWKTKGIVELVSSGEKVGGGMLLIVPRGRHAARLGNDAEISQELSVEKGSTYAVTFSAARTCAQLESLNVSVPPASQTVDLQTLYNVQGWDPYSISFEAEDDRARLIFRNPGMEDDPECGPIIDDIAIKKLVAPDKPKDNAVVNSGFEFGPWMFPNVSLGVLLPTNLDEETSPLPGWMVESNRAVRYIDSNHYAVPEGKRAVELVSGKEGIISQMVETTPDKLYSLTFSLGHARDKCKEPLAVMAFAGDQAQNFHYTPDSNSTFQVASVNFTAKAERTRIAFYSVYYNTRTDDMSSLCGPVVDDVRVWFSGSRRNEVGVLLGLGLAFWAFLLLLV >EOY26378 pep chromosome:Theobroma_cacao_20110822:6:8472673:8478197:-1 gene:TCM_027900 transcript:EOY26378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFHLNGRPPLTFTHDLKIIILIALTLSFVSMIDCIAATRMPFRYNKIRSAMLALLELPKSRIIIHRSSAHAHSHTTYN >EOY26151 pep chromosome:Theobroma_cacao_20110822:6:5079228:5080385:1 gene:TCM_027566 transcript:EOY26151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSEIGIALCVDMPAALTNESTTEQKEFFAKRDIVNQMCLHAIKRTISKHLLSGLQTTETTKELYEAIGERYQKSNKYEARNLMSELTRMKYDSV >EOY26145 pep chromosome:Theobroma_cacao_20110822:6:4927028:4937940:1 gene:TCM_027555 transcript:EOY26145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MGSCCSFIAKGKSGSKRDDQVTDASTATNTTSCASPKKQKQRQGSGRWRKKSSGLVPMDEAELHLISGRMFLNGASNVACLYTQQGKKGTNQDAMLVWENFCSRSDTMFCGVFDGHGPYGHMVAKKARDSLPIILCTQWKDSLAGDWSSLNKSENAPDNTIPEDTASLSMDDECCESLEIEENEQLPEMYLPLKRSMLKAFKLMDKELKLHPTIDCFCSGTTAVTLVKQGQHLIIGNVGDSRAVLAARDKDNSLIAIQLTVDLKPDLPREAARIQQCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDVFYRCLTERDEFIILATDGVWDVLSNKEAVDIVASAPSRSTAARALVDCATRAWRLKYPTSKNDDCAVVCLFLEHLTASNGAVEEHDITKIPKESGERMVGVDDNNRDSHALSLGQSGTLQGSNEIVPATESIEERLPSNLPGQSRRSLAECISVADDEWSALEGITRVNSLLSLPRFLSGDKRSTSWRKWL >EOY26142 pep chromosome:Theobroma_cacao_20110822:6:4927344:4938366:1 gene:TCM_027555 transcript:EOY26142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MGSCCSFIAKGKSGSKRDDQVTDASTATNTTSCASPKKQKQRQGSGRWRKKSSGLVPMDEAELHLISGRMFLNGASNVACLYTQQGKKGTNQDAMLVWENFCSRSDTMFCGVFDGHGPYGHMVAKKARDSLPIILCTQWKDSLAGDWSSLNKSENAPDNTIPEDTASLSMDDECCESLEIEENEQLPEMYLPLKRSMLKAFKLMDKELKLHPTIDCFCSGTTAVTLVKQGQHLIIGNVGDSRAVLAARDKDNSLIAIQLTVDLKPDLPREAARIQQCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDVFYRCLTERDEFIILATDGVWDVLSNKEAVDIVASAPSRSTAARALVDCATRAWRLKYPTSKNDDCAVVCLFLEHLTASNGAVEEHDITKIPKESGERMVGVDDNNRDSHALSLGQSGTLQGSNEIVPATESIEERLPSNLPGQSRRSLAECISVADDEWSALEGITRVNSLLSLPRFLSGDKRSTSWRKWL >EOY26144 pep chromosome:Theobroma_cacao_20110822:6:4927028:4937411:1 gene:TCM_027555 transcript:EOY26144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MGSCCSFIAKGKSGSKRDDQVTDASTATNTTSCASPKKQKQRQGSGRWRKKSSGLVPMDEAELHLISGRMFLNGASNVACLYTQQGKKGTNQDAMLVWENFCSRSDTMFCGVFDGHGPYGHMVAKKARDSLPIILCTQWKDSLAGDWSSLNKSENAPDNTIPEDTASLSMDDECCESLEIEENEQLPEMYLPLKRSMLKAFKLMDKELKLHPTIDCFCSGTTAVTLVKQGQHLIIGNVGDSRAVLAARDKDNSLIAIQLTVDLKPDLPREAARIQQCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDVFYRCLTERDEFIILATDGVYSSS >EOY26143 pep chromosome:Theobroma_cacao_20110822:6:4927344:4938139:1 gene:TCM_027555 transcript:EOY26143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MGSCCSFIAKGKSGSKRDDQVTDASTATNTTSCASPKKQKQRQGSGRWRKKSSGLVPMDEAELHLISGRMFLNGASNVACLYTQQGKKGTNQDAMLVWENFCSRSDTMFCGVFDGHGPYGHMVAKKARDSLPIILCTQWKDSLAGDWSSLNKSENAPDNTIPEDTASLSMDDECCESLEIEENEQLPEMYLPLKRSMLKAFKLMDKELKLHPTIDCFCSGTTAVTLVKQGQHLIIGNVGDSRAVLAARDKDNSLIAIQLTVDLKPDLPREAARIQQCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDVFYRCLTERDEFIILATDGVWDVLSNKEAVDIVASAPSRSTAARALVDCATRAWRLKYPTSKNDDCAVVCLFLEHLTASNGAVEEHDITKIPKESGERMVGVDDNNRDSHALSLGQSGTLQGSNEIVPATESIEERLPSNLPGQSRRSLAECISVADDEWSALEGITRVNSLLSLPRFLSGDKRSTSWRKWL >EOY26007 pep chromosome:Theobroma_cacao_20110822:6:3574387:3585387:1 gene:TCM_027396 transcript:EOY26007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of auxin resistance 3 MASASFLPASGTLSEIDNTTSDKSTSGTLFDVENCDLQITSLYKKRSLSTTTDFLSHYVSRKIKESLPSLHSSDYYMEPSLKDMVTLELMDPGFCSRIPDFVVGRIGYGCVKFFGNTDVRGLNLDQIVKFHRHEVIVYEDESNKPMVGQGLNKTAEVTLRLQLKHLILEKQEVDGIVKKLGESMTRQGAQFIAFDPANGEWKFLVDHFSRFGLSEDEEEDIIMDDATGVVQDPGEMNGGENCGIDEDMQIDTNGLVLSHSLPAHLGLDPLKMKEMRMLMFPVEEEEEIEDFRGAASHQKPAFGKEYIRSPLHNSNQRMSHRSSPPVVRKTPVALLEYNSGNFDSSSSGNVLMVQENKGMPLKTVKKEGFKLDLKQETPVTGSHSRNIVDAALFMGRSFRVGWGPNGILVHSGAPVGSNDSQRVLSSVINIEKVAIDKVVRDENNKVKKELIDFAFDAPLNLHKALNYEEKELEVGYFKLKLLKVVSDRLELSEICRSYIDIIERQLEVPGLSSSARLVLMHQVMVWELIKVLFSERENSAHLKSMGADNEEDEMQDIKEGPPEVDLESLPLIRRAEFSCWLQESVCHRVQEGISSVNDSGYLEHLFFLLTGRQLDAAVELAASKGDVRLACLLSQAGGSTVNRSDVARQLDIWKINGLDFKFIEKDRIRLYELLAGNIVGAMHGVKIDWKRFLGLLMWYHLPPDTTLPTVFQTYQQLLDDGKAPYPVPIYVDEGPVEENANWSRVERFDLSYHLMLLHASEESQLCSLKTMFSTFSSTHDPLDYHMIWHQRAILEAVGAFCSNDLQALDMGLISQLLCQGQCHWAIYVALHMPYRDDYPYLQAILIREILFQYCESWSSQGSQRQFIEDLGVPLEWLHESMAVYFNYHGDLPKALEHFLECASWQKAHSIFMTSVSHVLFLSANHSEVWRIATSMEDHKSEIENWDLGAGIYISFYVVRSSLQEDNNTMGELDSLDSKNAACRDFLGRLHESLAVWGGRLPVDARVAYSKMAEEICDLLLSEISEGPTRDDQLSCFDTVFSAPIPEDLRSNHLQDAVTLFTCHLSEVAS >EOY25596 pep chromosome:Theobroma_cacao_20110822:6:586921:588061:-1 gene:TCM_026978 transcript:EOY25596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSMCFDAVGGEGLAYFTFAVETAALLSSQMKEPPPLEKLASVEGDKTCAYMGWGALGPQIPLAGDASPGQPSFVPYTRMLSAKAEPFMAEIDGLSDPPFITGRLPARPGITEFSYLTLTSYKEIFLSSPAFGVLRYNIDRNFWYSDIAFGRPLLPFVDKSGVSFNFGDEDGQKMCLVTIIEDPDLDEIDVVVATCEFSGSRTLQLLKAN >EOY26404 pep chromosome:Theobroma_cacao_20110822:6:9598900:9605360:-1 gene:TCM_027993 transcript:EOY26404 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase alpha subunit mitochondrial, putative isoform 1 MEGTPHPIPRTVEEVFNDFKGRRAGLIKALTAEVDKFYQQCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINDLPTIFEVVTGNVKQPKEQSANHNSSGKSKSSAKVSRQSEPPSKMVKMSPPSKDEDESGEEDEEDDEQGATCGACGDSYGADEFWICCDICERWFHGKCVKITPAKAEHIKQYKCPSCSSKRARV >EOY26405 pep chromosome:Theobroma_cacao_20110822:6:9599780:9605365:-1 gene:TCM_027993 transcript:EOY26405 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase alpha subunit mitochondrial, putative isoform 1 MEGTPHPIPRTVEEVFNDFKGRRAGLIKALTAEVDKFYQQCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINDLPTIFEVVTGNVKQPKEQSANHNSSGKSKSSAKSRQSEPPSKMVKMSPPSKDEDESGEEDEEDDEQGATCGACGDSYGADEFWICCDICERWFHGKCVKITPAKAEHIKQYKCPSCSSKRARV >EOY27390 pep chromosome:Theobroma_cacao_20110822:6:21532031:21540634:-1 gene:TCM_029248 transcript:EOY27390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine aminotransferase 2 MRRFVIGRARNLFETSRQNYQFQRPLLSSSQSPFRFLSSTPVADSPSSSPSSSSSSTMAPPVTVNTINPKVLECEYAVRGEIVSLAQKLQEEIQTKPEAHPFEEILYCNIGNPQSLGQQPITFFREVLALCDHPSILDKSETQGLFSADSIDRAWQILDQIPGRATGAYSHSQGIKGLRDTIAAGIEARDGFPADPNDIFLTDGASPAVHMMMQLLIRSEKDGIFCPIPQYPLYSASIALHGGTLVPYYLDEATGWGLEVSELKKQLETARSKGITVRALVVINPGNPTGQVLAEENQRAIVEFCKQEGLVLLADEVYQENVYVPDKKFHSFKKVSRSMGYGEKDISLVSFQSVSKGYYGECGKRGGYMEVTGFGADVREQIYKVASVNLCSNISGQVLASLVMSPPKVGDESYESYSAERDGILSALARRAKTLEDAFNKLEGVTCNKAEGAMYLFPRIHLPEKAIKAAQVAKTAPDAFYCRRLLSATGIVVVPGSGFGQVPGTWHFRCTILPQEEKIPAIVTHLTDFHKSFMDEFRD >EOY28489 pep chromosome:Theobroma_cacao_20110822:6:25496896:25501240:1 gene:TCM_030033 transcript:EOY28489 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus-specific glycoprotein S6, putative MGGEQRRWGVFLSSMSLLLNLLLLHCCAATNNITLSRPLSQDQILTSPGQFFVLGFFQPNNSANRYVGIWYKDKAPTKIVWVANREKPVTNSSASLTIGSDGNLKLVDGNQDALWSTNVSVPSNSSVAVLSDNGNFELIDGISGANLWQSFEHPYDTFLLGASLGYNFKTGERRFLTSWKSDSDPSPGNFVVGLLPGSTIQAFVWKDRLPYWRSGQWDKTKFIGIPEMDSSSSSIFDLREDLQQGTVYLYTNTYNQSVALNMVISSVGTLQLEHWERGQGWIVDWEAPQNPCDVYGVCGSFGVCSPSESPICSCLRGFTPKSDEEWSRGNWTGGCMRRTNLSCEENTSSKPTNTGKADRFWTMDRMKLPDLSEYLEIDSDLCQEWCMNNCSCMGYAIVYGIGCLVWTGNITDMQKFPFGGEEFFIRLAHSEFADERLKEKLIISLTTISCIIILGILVYGICRKRFIKIGKRKRIFKHFDLAGNETSSEILTGNTLRSHLELEDPSELPVFDLNSILVATDNFSITNKLGQGGFGPVYKGKLHDGKYVAVKRLSSSSGQGIEEFKNEVMLISKLQHRNLVRLFGYCIEKEERMLIYEFMANKSLDTFLFDPTKRADLHWPKRFNIIQGVARGLLYLHRDSCLRVVHRDLKVSNILLDDKMNPKISDFGLARIFQGTQLLANTHRVVGTLGYMSPEYALSGIFSEKSDVFSFGVLLLEIVNGRKITASYYHDKHISLIDYAWQLWSESKELDLLDEALADSFSSSEVTRCIHIALLCVQDHAENRPNMSAVVSMLSSEAELPRPKQPTFTFQTGFYSEKCDSNHFLSACELTESVLEGR >EOY25640 pep chromosome:Theobroma_cacao_20110822:6:806087:837193:1 gene:TCM_027016 transcript:EOY25640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein MAKALLSMILEQLGGSFIPQEIKHHIGLAVGLKEEIQKLTSHFEAIQAVLEDAERKQVKEASVRHWLSKLKNVSYDVEDVLDEWNTAKLKSKLEKEERATEHASLPRKVCYSFSFSSSQIILQHNIAQKIKELNGQLDFISAEKDRYKFELWRGIHEESERQITTSFIDVTDVYGRDQDKNAVLNMLLEENSEKDSTLQIITIVGMGGVGKTTLARLAYNDNIVDTHFEKKIWVCVSDPFDGIKIAKAILESLGDAVPNFVELQTLLQRIRKSVVGKRFLLVLDDVWNEDDWKWKPFKDSLSCGSLGSKILMTTRKENVAVAMGCTTLFPLGKLAKEECWLLFSRVAFFGRTSRECQMLEDFGRKIADKCQGLPLAVKTLGGLMRSKRTIEQWQSVLNSEIWELKEAERGIFPPLLLSYYDLSPALKQCFSYCAIFPKDYVIEKDRLIKLWMAQGFLKEKQIKDMEILGQEYFEDLAMCSFFQDFEKDGDGNINKCKMHDLVHDLAQFLTKGECFMVEVYGVEQPRVDTYSEKGRHLMLALGEKVIFPTYIYNVKKLRSLLVEPINFYASIMSESLPKLCKQLTCLRTLDLSTKYHTIFGSTITEIPKEIAKLIHLRYLNISNNDDLEELPEALCELINLQTLDLSFCKKLKRLPNGIGKLVNLRHLKNYGTWRVRFMPKGMEKLTSLRTLREFPLSEGGTSCETSSIGELGSLTHLGDYLEIRGLGNVRDVNEARKANLRSKECLFNLLLKFDMDENKSGGGLPNGDALVLEALQPPPYLECLEIHNYRGSSTLPKWMLALTNLRHLAVCSCRNWERLPPLGKLPSLESLWIYDIRRVKKVGVEFLGIERDEGQGSSSSTVIPFPNLKRLEIHDMNELEEWEYGEFHFLGKGKEQISIMPCLSFLVIDRCPRLKALPHHLQNSSIETLEIRWCSILETGCIISRIPNIRINYMEQSDEENPAMEESTEAQQSYEENLTMKESTEEQQTDRENLAMGESIEEQQTDEENPSMEEPTEKICWDKEDRSITRSNLYFKDLFRRYGLHLYKIKDQKGLPEELFAVKMYALTTEVPKKVHIEHFDHQHPLSYNEAIEQNESLLCNGCCQEIFDQYYACEDCKYYLHGTCTTLSYEVSHPLHCQHPLKLFTDKVEFICHGCRDHSNGFAYMCLPCDFQLDVKCATTPIPPQNERQKLKEMEKVSKLCLFNQNHKLDFFNRRPNLKDLALECDACKLPILGPGYTCRDCFDIKIHESCLALMREMQLTIDPLHTLHPQIGDWENRSTCGFKIIESIGYSCRQCDFHLHLHCANSLKLALKVKSHMHNLYYFEPYYEKSYYFCNKCKSYIGEEPFYYCVECNMNLHLKCVPIPCSVESKCHMHRLTLKDHFVEDDSGEYYCDICEEERNSKNHCYYCEECAGQFVAHIECVLLTNFEFTDGNFHEFSNLKVVDSPVESSSMDKLLSQPHAEVYLNQNRMVVKSDKYWVDKKLSKYCFMLFARDLTITCDVLINVAKLLKVCWLEMHVKFNVKKLSLETLYGVVFVLKLTDEAYGWEYPVKFGVTLPNGYKVECEDYLMTKPRGVWIKIFVGEFTTSSKNIGELNIYCRGYYGGRWKGGLIVKGVAILPKN >EOY27178 pep chromosome:Theobroma_cacao_20110822:6:20561355:20563758:1 gene:TCM_029090 transcript:EOY27178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative MEEDEDVTPFWLQTADNRRIRRRRQPSSLFFNTGILIILLLVVALAFIFVIIPSFLSFTSQIFKPHLVKKSWDSLNLVLVLFAIICGFLGKNNGNNDSDTRSTYEDYKFSTTPKHDRDHVGRSNPSTPRQWYDYSSSSDRTAYNSLQRLRSSNSYPDLRPESSWMMNGDDRWRFYDDTPLYNYRSRSRREHDREEVYSNNTKDIAVDTVHRPPPPPSSSPPPAATASSPSPPQSPPPQPPKVVRRKPKRTYEDLKPKERSERKEVINSELKIKHSLPSTPPAARPPPPPPPPPPPSVFEKRSNKSEKKRGGVTKDFLISLRRKKKKQRQRSVENLDEFFKLSTLPLYPPASPPPPPPPPPPLPSFYQNIFPSKKNKARKNHSVPPPPPPPPLPSVEARASKRESQTPPVTTQKPPLPVKIRNMHNVEESVESGNESPLNPIPPPPPPPPFKMPAWKFEVHGDFVRLKSIRSSRSGSPDLDDPLSCEASPSDGNKTGEMDGGESTTGPLFCPSPDVDTKADNFIARFRAGLKLEKMNSVRGRSNLGPDPGPSTV >EOY27298 pep chromosome:Theobroma_cacao_20110822:6:21101995:21105405:-1 gene:TCM_029173 transcript:EOY27298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MAVAWLFRPFLLLSSFLVLLPLTFSVSDSEALLMLKKSFTNASALDSWVPGSAPCNEEGTWNGLLCHNGIVTGLRLEGMGLSGNIDVDALVWIKGLRSFSVINNSFTGTIPEINRLGALKALFLSRNLFSGEIPTEYFAKMESLKKVWLSHNKFTGNIPYSLGQLPHLIDVHLENNQFSGHIPAFNPPSLKSVNLSNNKLVGEIPSSLSKFNANSFAGNPGLCGEQVGVDCSKAIDRSPGPMSSPENTAPVVQDHGRKNDSKKIIAAIITLGVMLLSVILFFAIRWRKKKKGDSDVLGRGSPDGAVSVQVSVPARREMEESRKNASSSRRGSNHAKGSAGVVAELVMVNDEKGVFGLPDLMKAAAEVLGVGGLGSSYKAVMANGVAVVAKRMREMNALGKDAFDTDVRKLGKLRHPNILTPLAYHYRKDEKLFVYEYLPKGSLLYLLHGDHGTSCLELDWPARLKIVQGIAQGLDYLHTELASLDVPHGNLKSSNVLLGPDNHPFLSDYGFWPLVNTNGAQALFAYKTPEALQHGMVSPKSDVYCLGIIILEILTGKFPSQYLSDGKGGTDVVQWVTSAFSEGRQAELLDPEIACCQNSLASMEKLLHIGLLCTQNSPDQRLDMKEAIRMIEEIEVEGGIEFHSHARTIEVFPSLRDGIPDAANSNSSSFLEGKGEHATTGHGP >EOY29043 pep chromosome:Theobroma_cacao_20110822:6:27186575:27189071:1 gene:TCM_030470 transcript:EOY29043 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase B1C isoform 2 MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVTTEEGEQFAKDHGLIFMEASAKTAQNVEEAFIKTAATIYKKIQDGVFDVSNEEVQFI >EOY29042 pep chromosome:Theobroma_cacao_20110822:6:27186713:27189423:1 gene:TCM_030470 transcript:EOY29042 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase B1C isoform 2 MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVTTEEGEQFAKDHGLIFMEASAKTAQNVEEAFIKTAATIYKKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGSSSQGGGCCN >EOY28499 pep chromosome:Theobroma_cacao_20110822:6:25527165:25528255:-1 gene:TCM_030041 transcript:EOY28499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTYLRAFNLWEVVEMGGEPPVLRANPTIAQMKQHSEEVAKHYKALSCLHSAVTDQIFTKIKACETAKAAWEKLREEFQVSERTRQIQVLNLLREFELLMMKESENIKDYAEKVMGIVSKLRLLNEDLPEIRVVYKTFVSLPEKFEPKLCSIEESKDLSKLSLKELVNALQAVEQRWALRQEESTQGAFLATSRESSITGKKASHNNREIKSSDTRKLGKKGRFPPCPHCKKQNHSENHCWYRPN >EOY28627 pep chromosome:Theobroma_cacao_20110822:6:26081978:26082460:1 gene:TCM_030176 transcript:EOY28627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHVLVSSWCLCSDRCCSFMKRRVQKGNEFNSRFFVSTLCYNRNQYAPSFSGSLPCCFRLQFSIQMVLMVNLQFELLFLSFFAIVSFLLINY >EOY28252 pep chromosome:Theobroma_cacao_20110822:6:24743548:24744391:-1 gene:TCM_029878 transcript:EOY28252 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein POPTRDRAFT_758901 MVKTRRIITTLLRLLALGATLSATVVMVTSHDSADVLNLKFSAKYTNSPTFKYYVIAEAIATGYSLIVLFLSSKSLVWRLIVILDVVIAMLLTSSISAALAIAQIGKKGNSHAGWLPICGQVPKFCDHVTGALIAGFVAAIVYLVLNLYSLHAVLSPLFPVKP >EOY25955 pep chromosome:Theobroma_cacao_20110822:6:2925025:2928832:-1 gene:TCM_027314 transcript:EOY25955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQNIANTFGKCSNLPNPASLDVAKQKRHAIGDDLQEEVYQKVNNSELLHKLEISKVTFDHIVDFLTVSKSDILSSHKEKLDSYERQIIKFYEVIRPRKSISESDDNQINLQSKSMMPQGCEPIIPQIKQQGQPLQQQMQEQHDQQQQYMHHLAKKQPPAQIKTHELPQPHPMNDSNDVNMRQGIGVNPGVNLQQILPTSQLPSYSHEPLKSDPQFLISSPQAPYVSSPSTLLTPSPEPGNSGKPIYGNSSLSNNCINISQQEATIEQTGSEFSTLDSPGNDMLAFPLLAGLTNANDTHGNALTTGFTI >EOY27512 pep chromosome:Theobroma_cacao_20110822:6:22184281:22186867:1 gene:TCM_029351 transcript:EOY27512 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase 1 isoform 1 MDLSSNCKPSRLFGCHLTSVTCKCLALVTIALFFRVILLHSFSANSAIEWNNLDFILSRSLLLNSDGGIRGDKFLEAPQIVWGLNNQKIAFARACLTARMLNRTLLMPSLSASLFYKEIDRLQPISFDKVFQFDRFNSLCNGFVRLGRYSDLKNQSGIYDLQKGSGRKWTVERDLEQLKRSSGGSADKYEVIRIVGKNPFLWHDHWPVKDYARVFECLALVDEIAKEADKVVSKIRQVGRKLRSKTEPVENKFDAEGSSLPPAPYVAVHMRVEIDWMIHCKKLELRSGISQICSSKQEIMERVGKIVSFESPTVVYLAVADSLLNDSSILGHWKKGLLPFEKKKLGVDGIYKKHPYLIQSAIDYEVCSRADIFVGNSFSTFSSLVVLERTQKMIRMGTTSSCGIDVRWPSYAYNIPDESNGPQKWMTNMSDTSLKAISYGSNVISC >EOY27511 pep chromosome:Theobroma_cacao_20110822:6:22183612:22186126:1 gene:TCM_029351 transcript:EOY27511 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase 1 isoform 1 MDLSSNCKPSRLFGCHLTSVTCKCLALVTIALFFRVILLHSFSANSAIEWNNLDFILSRSLLLNSDGGIRGDKFLEAPQIVWGLNNQKIAFARACLTARMLNRTLLMPSLSASLFYKEIDRLQPISFDKVFQFDRFNSLCNGFVRLGRYSDLKNQSGIYDLQKGSGRKWTVERDLEQLKRSSGGSADKYEVIRIVGKNPFLWHDHWPVKDYARVFECLALVDEIAKEADKVVSKIRQVGRKLRSKTEPVENKFDAEGSSLPPAPYVAVHMRVEIDWMIHCKKLELRSGISQICSSKQEIMERVGKIVSFESPTVVYLAVADSLLNDSSILGHWKKGLLPFEKKKLGVDGIYKKHPYLIQSAIDYEVCSRADIFVGNSFSTFSSLVVLERTQKMIRMGTTSSCGIDVRWPSYAYNIPDESNGPQKWMTNMSDTSLKAISYGSNVISC >EOY25678 pep chromosome:Theobroma_cacao_20110822:6:1157266:1166599:1 gene:TCM_027062 transcript:EOY25678 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MPILMAPRLLLLLLFLVSFNFSLSWAASDPAYQSLFQCLSQSIPSDNVSSILFSNSNPSYASVLQAYIRNARFNTSSTPKPVIIITPLEEFHVSAAVICSQKVGFRLKIRSGGHDYEGLSYVFDEPFFVLDMFNLRSISVDMADESAWVGTGATLGELYYNIWEKSKVHGFPGGVCPTVGVGGHFSGAGYGTLLRKYGLTVDHIVDAKIVDVNGRILDRKGMGEDLFWAIRGGGAASFGVVLAYKIKLVPVPETVTVFRLERLLADNATDVALKWQSVAPTTDDNLFMRMLLQPATRNRQRTLRVTVIALYLGDANSLVALLGKEFPELSLKKENCTETTWIDSVLWWANFDFGTSPTALLDRNLNDADFLKRKSDYVQTPIPRDGLESLWEKMIELGKVGLVFNAYGGRMNEIKADETPFPHRAGNLYKIQYSVNWDEPGNEAEKNYTTQSRTLHDFMTQFVSKNPRSAYLNYRDIDIGVAENWTVEEGKVYGESYFNDNYERLVDVKTAVDPKNFFRNEQSIPPRTTRT >EOY28516 pep chromosome:Theobroma_cacao_20110822:6:25594498:25596391:1 gene:TCM_030058 transcript:EOY28516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MSKAMEASSVRRLVGLFTTSANRTTSTALTSTKPSSKATMKARKLQGLVNKFKQSSESDQFRYKSQRSYDRTVRRLASAKQFSLIDDILQHQKKYQDIAQEGFVIRLMTLYGKAGMFEHAQKLFDEMPELKCDRTVKSFNALLSACIYSEKFGNVEQLLKLPEKLGIEPDLVSYNTVIKAFCEMGSLDSALSVVDTLEKKGLEPDVITFNTLLDGLFSKGRIADGEKIWGLMEEKNVVPNVRTYNSKLRGLVYEKEIVKAVELWEEMENKGIKPDVYSYNAMIKGYCNAGNIEQVKKWYTELKKSGISPDRVTYVTLVSFLCKKSEFEMAVELCKESLDRRVTAGAAMFQTVIGGLVKESRIDEAIQLVELGKSSLHYKLKLH >EOY27482 pep chromosome:Theobroma_cacao_20110822:6:21997129:21999489:-1 gene:TCM_029321 transcript:EOY27482 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 42, putative MEVEKMISSGNNEDDEDVLLPGFRFHPTDQELVGFYLKRKVEKKLFSIDLIKHVDIYKYDPWDLPKVSKLSTVTEKELYFFCRRGRKYRNSIRPNRVTSSGFWKATGIDKPIYSVGEFHGCIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPVGQNPSLSNTKKDNLPEAEVWTLCRIFKRDVSYRKYALDWQSNKNPSKQNTTASGSRTCSIESDNSVEVKSFGVLDEKEIERKLANDHLLGRTQFFAGTTQVPSYLSFSNPNGGEFFGQQNWDELRPVVDYTLGTSLLYSDW >EOY27098 pep chromosome:Theobroma_cacao_20110822:6:20148511:20153415:1 gene:TCM_029032 transcript:EOY27098 gene_biotype:protein_coding transcript_biotype:protein_coding description:F11A6.1 protein, putative MFFFFFLFSFALSFLFFFFSFYYKNLHKQQQQQQQEGKTENEPALLNRSLSDIVDKKPQTRENDPTHLHHSLLLEILPFDSTKWAGLFTGENQRRGDKGQVGSGREVERCESESGDQRTKKKKKRGKKKRFNSKGEEENGEERCSSVEEEKKKREESGQGCPRPRLKPELVCLYPFTSTSSATQRKIKQQYDQLVKCHENKGLTLAQVGEFANCLIEARNELQHKSEVIKRKFTITKALLFKADRSSFDRLRQQIFKLEMEQKRLEEDAFVYNWLQQQLKLSPAYKKMLEIGACMELKSKSGELMEGTDTEFADISFEELLAQEKKDSFWQKNGKSRLSSN >EOY28821 pep chromosome:Theobroma_cacao_20110822:6:26627732:26630982:1 gene:TCM_030311 transcript:EOY28821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNVESLLELGCHGLGSSREENPKKWTSCPILLSWSQHIGAYLSVVELVTWVKNSPSPTDRQRPLNLKAKTCYCTFSVLYPVALSSFLYRELCKSHDFDEHPKSLKFFSLFLFPGSLENDHHSNMCHCGVVNTQISSINFLVIKQPSFVYHQNLDPSHGAVLSFPITVSDQGRSSSHWLSKVLEVQLVLTRADSHRACFMEPVLSILTETLMTRPPNTTSIKDSSIKDIYIMITSSFNNGNVPQLPSNLTLPTTPSLLHFPSKIHASCLKMKASKEHKKQKLQQRGCRALCCSCRLSVSSSEEAESSNSDRFASISSLAHAMVQERLDQMIRERQETRHIERRRQRSEGTKFVVMVAMEKCSYDPREDFRESMVEMIMANRIQEPKDLRSLLNYYVSMNSEEYHGIILEVFHQGRIA >EOY25980 pep chromosome:Theobroma_cacao_20110822:6:3239017:3243118:1 gene:TCM_027356 transcript:EOY25980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMVDICCGHNFITNYKFLNHHIFFPIVAKAKNSYFIMECVIQVCFLDTQLMAPPLKMYHKKVLYLGNNCTTVIFKPPRTATYIIRTTLGARSMLKMLLDAAGGIVITIDGNAILRELDLAHPAAKAQYPTMLLYFIFFRSYNNALEDTIAVLDKIAMPTGVKDHMFSLQFFP >EOY28721 pep chromosome:Theobroma_cacao_20110822:6:26352574:26355466:1 gene:TCM_030240 transcript:EOY28721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKQKVVFKVVMNNEKSRTKALKIAASLPGVNSVAIRPADQSQMEVTGDGFDAVRLVSLLRKRVGHAEQISIDLIGGKEKQENKKKTGFVCLKGEGDDKSPIEVKSDGIDADRQKERFNYGVSPLFPSLFGFSNNQPEFDFGEKQVRPPEYTLWFESVSLKGDDKKAIEITGDRNDVDLGKRKRVADLEHAEQVSKTEEKKEGKSTVYVREQDNQHEDTSSSPCIQKPELVSIGPYHRDKDLPLNKYKYSFLQNFLSRTRNQGKDLCFYVRQMMTLELRTRRCYSDEDLSMCSSEFVEMMLVDGCFVMEVLHHFGRGKESEDGIFPIEPWQIPILVRDLLLLENQIPFFVLENLFDLSKSEEATATVSVPTMALKFIDLAFPLSMDFIINHLESPKHLLHLLLETIRPSNPSTNSLSLFLKTIHLIAMILSNPISLLLFLNNITRRRVSHLKTPASSKQKGHHLSIQPKPSTSKTADEVKVYLESARELQKSGIEFRPKRADRFTDIHCKDGVLEIPPVTINDLFLAILVNGMAFEQRSTGCSKDLTAYVSFMSSLIRHPTDVELLSSCGIISRFSLENERVASSFTYLQSKISNRGIPQDSYLYMTVMETKCYYIEDRVTRLRYSLPDGGRITRMHYFWRDPKILLFCITSLWFVFSCFKFGY >EOY26955 pep chromosome:Theobroma_cacao_20110822:6:19353597:19354993:1 gene:TCM_028909 transcript:EOY26955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVERFNGGLKHNCPSSPFDINFAGNSFEQSALVFALRRYTLSLPTPLPYMKSSRQKAKKKETEKNINMTDAQRLPAKVACLFIEGQLGFQLQNPDL >EOY25913 pep chromosome:Theobroma_cacao_20110822:6:2700619:2713313:1 gene:TCM_027282 transcript:EOY25913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMSHAALKFANKKREDFAVSDAEVVRRGRPETPPFCSCLVIPCFISSSFLLAGYFHVLWQNFFPFYFLLLFLVVCVKELLFDIKEKRGCLTGTAFFP >EOY26657 pep chromosome:Theobroma_cacao_20110822:6:16714522:16715830:-1 gene:TCM_028603 transcript:EOY26657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPCLTSVSTRRFPVLTLTIKRILQHLPCTRPATVLQRKNKFEFGVKLLVTRLASRSTLKINHAASNGVEDRPLDDPNTAVVCTMRHIFIMWVTLCNHD >EOY27060 pep chromosome:Theobroma_cacao_20110822:6:19914992:19916943:-1 gene:TCM_029000 transcript:EOY27060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIKVEIESDYVILCRFYEKEWNGECVARWPRRVFVLLFGHPRPKVRDMTLLPSPQLMQSASWKTKWGAVIHHSGSSPHCTATLPLKQNCRFKIEVKELPAPGPGRHVTSN >EOY25842 pep chromosome:Theobroma_cacao_20110822:6:2295559:2297872:1 gene:TCM_027211 transcript:EOY25842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein MAPHFPSLHTLFLIFVSSFQLARPFTVIMSDSGVPSTLIDGPQTGFSMNKNGARTNPREQEAVYDIMRATGNDWATSIPDVCRGRWHGIECMPDKDNVYHVVSLSFGALSDDTAFPTCDQKKSYISESITKLPHIRTLFLYRCFSYNPQPIPTFLGKLGSTLQTLVLRENGHVGPIPSELANLTRLRVLDLHKNNLNGSIPVSLGRITGLRSLDLSGNKLTASIPGFSYPVLNVLDLSQNLLMGPIPSSLGSCQSLVKMDFSHNSLTGSIPDSVGGLKDLMLLDMSFNRLSGPFPISLRSLTSLQALILKGNQMGSTAIPSDCFDGMKSLMILVLSNMNLHGPIPESIGRLPNLRVVYLDGNRFNGSIPSNFRDLKHVSELRLNDNYLTGPVPFGRQMVWKMRRKLKLNNNSGLCYNANSGLEDALDTSFDTGIGLCDTPKPGSARTVQHLSTMNEEDMTRTTNVSSGAVHKTLVSVRLFQLTALLLFILLFL >EOY27871 pep chromosome:Theobroma_cacao_20110822:6:23371968:23374404:1 gene:TCM_029595 transcript:EOY27871 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative MAHRKQPPSFCYSRTDPSPRFDSLDIACNKKLCGVILLLLGVSNLQQIEPRKPKVPCYFIFGDSLVDPGNNNELSTSAKSNYPPYGIDFPHGSTGRFSNGRTAPDFFAQYLGFENIIPPFTTAKGEEILQGVNYASGSAGIRDETGTKLGINIGLNKQLENYNITISFIVSILKAKDSATKYLNQYLYSVGMGSNDYINNYFKPGYSTSTKFIPEQYATILIEQYSQQLTTLYSSGARKVALGGLGPMGCTPDAVASHETYGKLCVEEMNNAVRIFNDKLKQLVDELHEKFKDAKFIFLDNFGGLIKHISILGTNYKITGCCEVVKETGQCVPNTAPCRNSNLFIFFDSFHPSEAANPVTATSQITSLHCLFDTNGFGYS >EOY28051 pep chromosome:Theobroma_cacao_20110822:6:24069871:24080422:1 gene:TCM_029731 transcript:EOY28051 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H-like protein MEEEQRERMDRMERAQEEMREQLAKMMELMMSLSKGKRAIEEPAPSENPPAQDSGNQREDPPYPPGFTPPHAQTSQRVHPQVMPSIYYNAPPPLGHQPTQGQFGPYLGINPAEPINVPDLDDPKEQEKLRKDSSQTGENEKDQKKYDLLEERLRAIEGVDRFDLVLSGETIEGAIKSGKIEGHEVVSSKKESTPKKKEGDVQAVAHDSQQAHDFNPYYPYPPYQPFYPHVGNITQNPYVYQPVPQPTFQTNVLPQTPPPRSVASTNNPGHGQRGPKITPERPKFDPIPVPYTTLLPQLIENRLLARTPLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXALIKAGLLNFAKKDSSGVDGNPLPNHGRSTLNAIHEGMIRRVKKGIDEIQTPMDKVFEALSKINAITPEPIDTKEVGHNLAYSCKFHMGAIGHSIQNCDGFRRKLQELMDSSIIEFYEGAEEXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTMIRNGITIEVPSPFPYKSDKAVSWNYECNILGTASSAPQASFEDLTGVGGITRSGRCYSPEVVERVVKGKPAQEEGDLKKTDIFSKDQVDESVVTPNSEVKFIAEGQLISVCVEEDILAIQPSSAPYVEATEEVPECSFRSFEFVNATYVGERKVIPTPRLSVATKMGVKQTVGKGCRAGLGLGKNLQGINRPLTPMKNEERFGLGYKPTKEERRKLTAQKKIKRMAQLEGKEEEFGKRTIPHLYETFRSTGFIHPEAPPKVSQVLRIFDELSIHMIRDEEPDGKIPVELTEMINLGNGEEKKEVKIGTSLSSDERQKLEELLREYVDVFAWSYQDMPGLNTDIVVHKLPLEPDCKPLKQKLRRMKLEMLLKIKEEVKRQFDAGFLEVAKYPQWVANIVPVPKKDGKVRMCVDYRDLNKASPKDSFPLPHIDTLVDNTAKHALFSFMDGFSGYNQIKMAPEDMEKTTFVTMWGTFCYKVMPFGLKNAGATYQRAMVALFHDMMHKEIEVYVDDMIAKSHTERDHTVNLKKLFERLRKFQLKLNPAKCTFGVTSGKLLGFIVSEKGIEVDPDKIRAIQELPPPKTQKEVRGFLGRLNYIARFISQLTCKCDPIFKLLRKRDPGEWNEECQIAFDKIKEYLTNPPVLMPPTVGKPLILYLTVNKDSMGCVLGQHDETGKKERAVYYLSKKFMEYESKYSALEKMCCALAWTAQRLRQYMLYHTTWLVAKLDPIKYIFEKPCLSGRIARWQVLLSEYDLVYVSQKSIKGSAIADFLADRANEDYESVSFDFPDEDLMAVLHVEKVGPNELNPWKVFFDGASNALGHGIGAVLISPNGKYYPATARLNFNCTNNMAEYEALVLGLQAAIDMKADAIDVYGDSALVICQMKGEWETRDPKLVPYKKLVTELSKQFKEISFNHLPREENQIADALATLAAMFQIKEAADVRPFDLEAREVSAHCLNVEEEVDGKPWYHDIMQYIKHQAYPENVTDNDKRTLRRLAMGFFLNGEVLYKRSRDQVLLRCVDVAEANKIMKEVHEGTCGAHANGHIEGFQGSTPKRRRLRESPEPKTVRLGEGQADQGSGPGRPPRSPDHLGHPSGPSKVRPYPFLVNLGSFSSF >EOY28484 pep chromosome:Theobroma_cacao_20110822:6:25464156:25471287:1 gene:TCM_030028 transcript:EOY28484 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative METNSNKNPVSFFLASFIFLLYFLPQFCHAVDRITPGQTIRDGDTLVSRAEIFELGFFNPENSTFIFVGIWYRIDVKAVVWVANRDRPISGRSGVLRIGVDGNLVVLDGNNNLVWSSNVSGLSNNTTAVLWDTGNFVLSSNESVDDTHWQSFDNPTDTFLPGMRVPVNSAIGEYPAFHAWKSASDPSPGNYSMGVDPHGGPQIVIWDHGRRRWRSGQWNGVIFTGVPNMSSIASFLYGFKLSQLDENRTQYFTYYPPNPSNLLRFRIGWEGREQQLMWDDGEKKWKVLQQQPDLANQCELYNHCGNYATCDNLNSPKCNCLKGFRPKLQDQWNRGNWSGGCERRTELQCQRTNGAAGENGKPDGFKGLKCTKLPDLANLTLSAGNSEACRTSCLGNCSCRAYAFISGIGCMTWTVDLIDLHFDQSGSLQFFLRLHHSELDGRRKISILVIIIITVLGACFLVVSLWLLWRYRNKLKGLPAVSSMPCCKDDDVAVFDVSKSKEFSADLSGPSDILIDGNQINGPELPMFSFSCVATATENFCVANKLGQGGFGDVFKGELPGGQEIAVKRLSGHSGQGLEEFKNEIILIAKLQHRNLVRLLGCSIQGEEKMLIYEYMPNKSLDNFLFDEAKQAQLDWRTRLSIIEGIARGLLYLHRDSRLRIIHRDLKTSNILLDAEMNPKISDFGMARIFGGNQNEANTVRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIVSGRRNTSVRSSQHTSLIGYAWHLWSEDKAMDLVDPSIQDSCFPTEVLKCIHIGMLCVQDNAMHRPTMAAVVLMLESETPTLPMPRQPTYTSMRSSIDAEFTMDGQEIVSSNDVTVTM >EOY28493 pep chromosome:Theobroma_cacao_20110822:6:25508272:25512529:1 gene:TCM_030037 transcript:EOY28493 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative isoform 2 MKRPKNQSSTTRISSSASISYNMKDKRFPFILASCNIFFSFIATTATLTTDILAANSTLKDGQTLVSPGQIFELGFFSPGNSSHRYLGIWYKNIPLTVVWVANRNSPITSTAGSLVFSPQGSLSLLNDTVFVWSVNVTRVLSNPILQLLDNGNLALTDSSGAYIWQSFDYITDNLLPGMKLGWNLKTGLNRYMTSWIDTDDPAAGEFTVSLEQPETPQFVVRKGTQKQNRWGPWDGVRFSGGKRLKPNPVYLPMFNSSPEEIYYSFEVIESSVLSRFVVTPLGLIQHLTWNNHSNEWVVIVSPQRDACDRYELCGSYGNCYSSDPNCRCLKGFKPKSPEDWRLIDWSGGCVRNRDLNCSNADGFVKYERMKLPDNAHLAANRSLSLEECEAECQKNCSCMAYTRIDIHGNGRDCILWFGDLVDLRKYPEGEDLYIRMARAELESISDAKRKEKVKIALVITMLSVFGMLLFGVAGWCNFQVRGKMRARNGNNMFWDAKEQYQKEDLELPLFDLSTVSAATSKFSFEQKIGEGGFGPVYKGVLPTGKEIAVKRLSKNSGQGLQEFKNEVILISKLQHRNLVKLLGCCIQGEERMLIYEYLPNKSLDCFLFGLDYFDYLTSPDKTRRNFLGWKKRFEIVMGIARGLLYLHQDSRLRIIHRDLKASNILLDDQMHPKISDFGIAKIFGGERTQKDQKSNWNIWLYVSRICNERTLFSEIGCIQLRGFGVRDSKWQKKLGISSP >EOY28494 pep chromosome:Theobroma_cacao_20110822:6:25506949:25511600:1 gene:TCM_030037 transcript:EOY28494 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative isoform 2 MKRPKNQSSTTRISSSASISYNMKDKRFPFILASCNIFFSFIATTATLTTDILAANSTLKDGQTLVSPGQIFELGFFSPGNSSHRYLGIWYKNIPLTVVWVANRNSPITSTAGSLVFSPQGSLSLLNDTVFVWSVNVTRVLSNPILQLLDNGNLALTDSSGAYIWQSFDYITDNLLPGMKLGWNLKTGLNRYMTSWIDTDDPAAGEFTVSLEQPETPQFVVRKGTQKQNRWGPWDGVRFSGGKRLKPNPVYLPMFNSSPEEIYYSFEVIESSVLSRFVVTPLGLIQHLTWNNHSNEWVVIVSPQRDACDRYELCGSYGNCYSSDPNCRCLKGFKPKSPEDWRLIDWSGGCVRNRDLNCSNADGFVKYERMKLPDNAHLAANRSLSLEECEAECQKNCSCMAYTRIDIHGNGRDCILWFGDLVDLRKYPEGEDLYIRMARAELESISDAKRKEKVKIALVITMLSVFGMLLFGVAGWCNFQVRGKMRARNGNNMFWDAKEQYQKEDLELPLFDLSTVSAATSKFSFEQKIGEGGFGPVYKGVLPTGKEIAVKRLSKNSGQGLQEFKNEVILISKLQHRNLVKLLGCCIQGEERMLIYEYLPNKSLDCFLFGWKKRFEIVMGIARGLLYLHQDSRLRIIHRDLKASNILLDDQMHPKISDFGIAKIFGGERTQKDQKSNWNIWLYVSRIC >EOY28841 pep chromosome:Theobroma_cacao_20110822:6:26683612:26684426:-1 gene:TCM_030326 transcript:EOY28841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonspecific lipid-transfer protein precursor, putative MKKTMGLSWYLGVVGLVVLVSTASSVHGMTCQQALTELIPCRPFLIAVAPSPTAPCCTGVSDVKAAASTTEARRDLCECFEKNAPAYGIKPEKAKLLPGLCGVTVPVPIDPSVNCATTCSCSLLSNVQHHIGKGSALRRWSCAAANKNKNLRSSNNFKQEFLWSGKI >EOY27171 pep chromosome:Theobroma_cacao_20110822:6:20545456:20546358:-1 gene:TCM_029085 transcript:EOY27171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRPPLFSPLPCRLLSLQLNPKPTVVSYNHIGALLPVLLTQIKLSPQSPKIASKSINPPIPKIDQN >EOY28891 pep chromosome:Theobroma_cacao_20110822:6:26800210:26803926:1 gene:TCM_030366 transcript:EOY28891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASVEVQPAAAPLPENEAAEVSKVEKTPKEEAAAAAPVAESVSAEAPAAETASDAPAAEETEAPNAKAPVAEADTKEVVEEAKVEELEKPAAKETKEETPEVKTEAVAEEAKVEETPKPTSEAPAVEEEKPAKEEKAAEEEEEASTEVPVEKAEE >EOY26864 pep chromosome:Theobroma_cacao_20110822:6:18776605:18778034:-1 gene:TCM_028838 transcript:EOY26864 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 isoform 1 MGTLQLNTHGLATLSVPYKFNFTSSSHKALGLSRHLSISRGRIRAVRTVLESESLAREPEEPPAVDFAFVHSVLLPDGTPDVHFRSACGGQKLRDIMLDNNIELYGPYARPLLNCAGGGTCGTCMVEVVEGKELLTPRTDKEKEKLKRSIPHDHVV >EOY26862 pep chromosome:Theobroma_cacao_20110822:6:18776299:18779232:-1 gene:TCM_028838 transcript:EOY26862 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 isoform 1 MGTLQLNTHGLATLSVPYKFNFTSSSHKALGLSRHLSISRGRIRAVRTVLESESLAREPEEPPAVDFAFVHSVLLPDGTPDVHFRSACGGQKLRDIMLDNNIELYGPYARPLLNCAGGGTCGTCMVEVVEGKELLTPRTDKEKEKLKRKPKNWRLACQTTVGKPDSRGLLVIQQLPEWKAHEWTYETIAPSEEP >EOY26863 pep chromosome:Theobroma_cacao_20110822:6:18776907:18777981:-1 gene:TCM_028838 transcript:EOY26863 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 isoform 1 MGTLQLNTHGLATLSVPYKFNFTSSSHKALGLSRHLSISRGRIRAVRTVLESESLAREPEEPPAVDFAFVHSVLLPDGTPDVHFRSACGGQKLRDIMLDNNIELYGPYARPLLNCAGGGTCGTCMVEVVEGKELLTPRTDKEKEKLKRVYHSL >EOY26608 pep chromosome:Theobroma_cacao_20110822:6:15107561:15111129:1 gene:TCM_028465 transcript:EOY26608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQSSGQHVGYLRLVAILFAEDDKIFDFLSHIEIVNKTKDTKWIYYKRFNGIPKTKNNIYWFSLWRFMSELEDYDQMSCTVFSDL >EOY27027 pep chromosome:Theobroma_cacao_20110822:6:19792411:19793540:1 gene:TCM_028978 transcript:EOY27027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MSSSRKSITGYCVFIGDTLVSWKSKKQAVVARSSAEAEYRSMASTCCELMWLKHLLADFKIDHDDPIDLYSDSQSAIHISKNPVFHEQTEHIEMDCHFIREKVLASTIKPLHISTDLQVVDIFTKALLPKQFYKLLGKMSVHDIHTSS >EOY27731 pep chromosome:Theobroma_cacao_20110822:6:22985991:22986605:-1 gene:TCM_029510 transcript:EOY27731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTYAVVYVEDDHVAKAHVDEHGGTNPSWNEVVKVKFHAKLPEKDVLAALNFDIYAHGHMREKPVGGARVLLCDVLKGGDTSEPVDNPVQSMTVYVWRSSGRPQGLLNL >EOY26077 pep chromosome:Theobroma_cacao_20110822:6:4259714:4262095:1 gene:TCM_027467 transcript:EOY26077 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase 2 MGGTKQTQQQQQENVTTQPLLTPYKFGKFNLSHRVVLAPLTRQRSYNNVPQPHAILYYSQRTSRGGFMISEATGVSDTAQGYAHTPGIWTKEQVEAWKPIVDAVHAKGGIIFCQIWHVGRVSNYGFQPNGQAPISSTDKSLTPQIRANGVDVAQFSPPRRLRTDEIPQIVNDFRTAARNAMEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDEYGGSLENRCRFALEIVEAVANEIGADRVGIRLSPFTDFIEAVDSDPKALALYMVEALNKYGILYCHMIEPRLKMMGDVSECSHTLLPMRKAFNGTFIAAGGYTKEDGNKAVAENRADLVTYGRLFLANPDLPRRFELNAPLNKYDRNTFYTSDPVIGYTDYPFLEANGDA >EOY25667 pep chromosome:Theobroma_cacao_20110822:6:1068172:1071970:1 gene:TCM_027050 transcript:EOY25667 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding and BBE domain-containing protein, putative MKASNSMLLIRIVYILFFSIPLVKSDSGLDKFLRCLPKHSNSSKPITDSIYTPNNSSFQSVLESSANNLRFLTPLTPKPGAIIAAQDESHIQATIICAKHYGFQIRTRSGGHDHEGLSYVSYVPFIVLDLFNLRSIQIDLESETAWVQAGATIGELYHRIAERSQVHGFPAGICPTVGIGGHVGGGGYGPLMRKYGLTVDNVIDAQMIDVNGRILNRESMGEDVFWAINGGGGASFGVIFSWKIKLVRVPAKVTVFQVLRTLEQGATDIVYHWQQVAHKLHRDLFIRATPVGVTGTSGNNTVQVSFVGQFLGGTERLLQLMNASFPELSLKQDNCLEMSWIRSTLFWAGYQNGTSVDVLLNRRQNKFFYKVKSDYVKDVIPVTGLETLWKWLMEITDSNFVEMSPYGGRMAEISASETPFPHRAGNLYKIEYGVYWTNGSMEATKQYINWSRNVHAAMAPYVSNNPREAFLNYRDLDLGSNGSNYTDFEVAEVYGAKYFKGNFLRLARVKAMVDPDNFFKNEQSIPPFPHQNSH >EOY26274 pep chromosome:Theobroma_cacao_20110822:6:6900366:6919096:-1 gene:TCM_027751 transcript:EOY26274 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative MTKRILSKRELLDRWRGIEEEEEENDDTDPSVRRRLHKRKEEWFGDAFSFLISLPKESHIWCGSWDIMGPLLETFYNYFKDECNDSPLRLLWKRISEEMRHCIQCVSQHHQAQEMYSIEYELCSIGPLLDVLRNLDEERVTQHLREINERLVRQEYDPVCDNAEVVNLMYEVLMFPVLLDDQSLFIEFERFIEAVDNMHELALAGHQQFPGVYALLFFNRRVRTVGHRLARSMGKLRATDLEPLQPLLKKFIGSLENEVLPSTIETSRTRAQLDRLPIWLGITSLLEFLEPPAFEEGILERYPIFFDIVLNHISGDSPEFSHAVSCLKELFKMLGCKLWLRSTLSPRVMRNTLLGQCFHTRNEKIHKDIFDLFQPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSSNFSVLTRKTACKIALLIIHRGYKMNPPCPPFECAHIWGPSLVSCLKDSSLHSSLQQPAFDLVQTILVSDAAALITSMLNCSTASSIGKSICIELDDEEEDNDLPFTQVIEGKNMSCWSEFSAQSQITSQEYREWMCVPMLWIDVLVDIDPPLLPISFSKAVLWARSRFPMVEPENSAEVALDVRGWLSSSAAEISSTFGWKLPTGSDDGGGKESKNSIRLITMCLPLLKTFKRLTAHFLVRMGQGELRKQWTWEPRMGESLILLLVDPNDNVRQFGKCILEQVSNTRGLGCGLKFLCFNSLSLSAVYLGLRHALKLVQLDTVLLKFQTLHHFFFVLRKLLTDEELPNSDIAENSSNASNIMKYSSQGGFLKQPRFDALPTTMGRNHSSIDLKIRENFCYSLSEIAWPTICKCLIEGKAFIDYSLCQMTCVRVLEILPVLFERLGPSFVGPFGDFKVALQNLMDFKWLHDLMDWGKSQLKVIVVYWKKAIISLLNALKVLRSDSPPLMVVAIENLISSDAVDMDELTEQVSRLCVSLSKEVSCDIENSTLRLKKSFSGAFSVEGRYSVPGVQASSIEETDVKVLDSLNVAKRKNENNLIVLSDDEKERDMASDKSNHHMLHDESGSLCSDEHTLGTGHAKKDVRSTTTDTSKDLLEAPFERDSLVSQKQEFEKSRVKPPHSLKSKGPDGERKEISSNSKSNVISSQCRVDKKNKFDESVKSRCSNQGCNKTVSGTSDRILKELVHDAADDPLEVAFKTVRVLPSFLAKSDSLFPKRQVIQLKSPFENKSGLHRLEAQVKRFKPPRLDDWFRPILEIDFFVMVGLASPGEDESRTFNKLREVPVSFQSPEQYVNIFQPLVLEEFKAQLHNSFLEMSSWEDMYCGTISVLSVERVDDFHLVRFVYEGDDSTASKSFSENDLVLLTKEPLQSVSHDVHMVGKVERRERDNKRRSIILLVRFYLQNGSIRLNQARRQLLERSKWHASHIMSITPQLREFQALSSIKDIPLLPVILNPVKDSTIPDKPRVEFSKLSQPLQQILRSSFNDSQLQALNVAVGSQRIKKDFELSLIQGPPGTGKTRTIVAMVGVLLASYQRRTNESENSQNGALKQSCSSFTNSRTHISQSTAVARAWQDAALARQLNEDVEKSKESIESSTRGRVLICAQSNAAVDELVSRISSEGLYGRDGKKYKPYLVRVGNAKTVHPNSLPFFIDTLVDHRLAEEKMHASDARNDSSVESSSMVLRSNLEKLVENIRFYETKRANIRDGNSDLKRTLEDGAHKATDVKEMSDMEIEAKLRRLYKQKKQIYKDLSATQSKEKKNNEETKALRNKLRKFILKEAEIVLTTLSGCGGDLYGVCAASISSFKFGNPSEQTLFDAVVIDEAAQALEPASLIPLQLLKSRGTKCIMVGDPKQLPATVLSNVASKFMYECSMFERLQRAGHPVVMLTEQYRMHPEICRFPSLHFYDNKVLNGDTMLSKLASFHGTKGFGPYLFYDVVDGQELRGKNAGALSLYNEHEADAAVELLRVFRKKYPSEFVGGRIGIITPYKCQLSLLRSRFSSAFGSSVIADIEFNTVDGFQGREVDILVLSTVRAADSSSTPGINSSIGFVADVRRMNVALTRAKLSLWILGNARTLQTNHNWAALVKDAKQRNLVLSIKRPYNIIFKTIARKNPFPEDSDTHLSHVKHVEKVGGTGQLVKQNECREKLKFEGNRKHIGSLSHCIRTVSGDDNDSVKRKDIPCSKRKEKDDCGPPIKRNISSASANAERGKSQNVKSTILEKLVTGNGSQEEKGSEVKFNLGKTHMDERKSNNNAGEETGHSGKNKKFNMPKGSKKSSGHEQRSLHASTPRPDGNKKEREANEGGRDTKEVGNSQNLNAKRKQQREAVDAILFSALIPSKKSEQSTKALHQKRPLSPPSVVSGGFKPPKKMKGPPKS >EOY28497 pep chromosome:Theobroma_cacao_20110822:6:25517876:25522620:1 gene:TCM_030039 transcript:EOY28497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDFLDNIKQQIVYVDGLPIKHQRGDNGEGLVSAGQSFVLGFFSPWNSNNHYVGIWFKDVPQQTVIWVANRDDQVTDSSGTLTITSTGNMFIHKNESTVPIWSSNSSTTSNNSVLKLLDTGNLVVKDANSDRRGEWVVVYNLQRDECDAYAKCGPNGICNVNKELYCRCPSGFTPKRPEDWNGFDWSGGCSRRIELNYSMRGHKRRQVQIVHNPNQGYDPGAGEEALDLPSFDMVTVATATNDYLFTNKIGAGGFGHVYKGKLPTGQEIAVKRLSKDSQQGLQEFKNEVILIAKLQHRNLVKLLGCCIRGEEKMLIYEYMHNRSLDFHLYNRAMNPKISDFGLARIFGADQTEANMTRVVGTYGYMSPEYAIEGLFLVKSDVFSFGVLVLEIISGKRKRGFYHSNHDMNLLGHAWKLWNEDKALQLGDALMEKSVTT >EOY26088 pep chromosome:Theobroma_cacao_20110822:6:4316918:4320569:1 gene:TCM_027481 transcript:EOY26088 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein MAKLVKHEGNNIDRLSGLPDSIISHILSLLPTKYAVRTSVLSTRWRFLSTSVSTLDFYDYENPSERFMNFVDRVLLFHNAACIKRFRLICGGFHEDVDAYRICGWISFALRQRLQELYLEIRIGDPRGMLPASLFRNKTLTKLELDVSKFVMTIPTKVCLPSLKSLHLDCLEFVDDDSVRRLFSSCPVLEDLFICSCLWRNVRELNISNPSLKRLTLNLFGDCGRLSHVVIDAPNLVYFKFYGTLLERYSLVNLHPLVKVDMRNLVNLGEKLVNQDAAINFFNGISNVRSLYCNDDLLWHLNLCNKPVPVFHNLVHVEIRRKCLRQKTGLAELLECSINLETLIVHNGAFGDLFWHRPEKKPFCLLSHLKVIEIYSFGDSTISPHCSGLGEIKQMAATFTRGAHTINSIFSKPTIRKYCSKGSSCGDTLREPMKLEAEEVKKKSTGGHHDGSCWVPHDRTGIYYPKGQEKVMADVPAAAGRDTQINWFS >EOY28501 pep chromosome:Theobroma_cacao_20110822:6:25535423:25541866:1 gene:TCM_030044 transcript:EOY28501 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein isoform 1 MGKLKGSLLFLSVVSSLLERLIAVDTITPARSINDSQTIVSPGQKFELGFFKIGNPSGQYLGIWYKNLPIRTFVWVGNRESPLINSSGLLKLGDDGRLAIVNESGSVIWSSNSSRTAKMPVAQLLDTGNFVVKDAGDDNDESYIWQSFDYPSDTLLPGMKLGWNTKTGLNRYLTSWNSSDDPSPGEYTYSVDPRGLPQLVLRKGPVELFRSGPWYGTQFSGVPVLQVNPVFTPIFVSNADEVYYTYNITANIPSRFMLSQSGSVQHLSWNDRHSNWYVLFTVQEDRCDNYGLCGSYGICNINKSPNCDCLKGFEPKSSKDWEVLDWAGGCVRKDPRICHEGEGFVKFTGLKLPDASQFRVNVRMTIEDCEAECLKNCSCAAYAKFDIRGTGNGCVTWYGDLIDIREVPGYGQDLSIRMSASALALHADTSNKRKNVIISTSISVASAMIILALIGWFVIWKRKIVRANQPENQMTISKVESQEDLELPLFEFATIQAATDNFSAANKIGEGGFGPVYKGELQSGQEVAVKRLAENSGQGLQEFKNEVILISKLQHRNLVKLLGCCIEREERTLIYEYMPNRSLDSLIFDETRRPSLDWRRRHDIIVGIARGLLYLHRDSRLRIIHRDLKASNVLLDNEMNPKISDFGLARMFGGDQTEANTKRVVGTYGYMPPEYAIDGNFSLKSDVFSFGVILLEMVSGKKNRGFFHPDHKLNLLGHAWKLWNEEKALELMDELMEQEYPEHEAIRCIQVGLLCVQQRPEDRPVMQTVLLMLDSESMSLPQPGRPGFYAERSLSETESSSLGKLISNEMTVTLLEGR >EOY28502 pep chromosome:Theobroma_cacao_20110822:6:25537067:25539801:1 gene:TCM_030044 transcript:EOY28502 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein isoform 1 MGKLKGSLLFLSVVSSLLERLIAVDTITPARSINDSQTIVSPGQKFELGFFKIGNPSGQYLGIWYKNLPIRTFVWVGNRESPLINSSGLLKLGDDGRLAIVNESGSVIWSSNSSRTAKMPVAQLLDTGNFVVKDAGDDNDESYIWQSFDYPSDTLLPGMKLGWNTKTGLNRYLTSWNSSDDPSPGEYTYSVDPRGLPQLVLRKGPVELFRSGPWYGTQFSGVPVLQVNPVFTPIFVSNADEVYYTYNITANIPSRFMLSQSGSVQHLSWNDRHSNWYVLFTVQEDRCDNYGLCGSYGICNINKSPNCDCLKGFEPKSSKDWEVLDWAGGCVRKDPRICHEGEGFVKFTGLKLPDASQFRVNVRMTIEDCEAECLKNCSCAAYAKFDIRGTGNGCVTWYGDLIDIREVPGYGQDLSIRMSASALALHADTSNKRKNVIISTSISVASAMIILALIGWFVIWKRKIVRANQPENQMTISKVESQEDLELPLFEFATIQAATDNFSAANKIGEGGFGPVYKGELQSGQEVAVKRLAENSGQGLQEFKNEVILISKLQHRNLVKLLGCCIEREERTLIYEYMPNRSLDSLIFDETRRPSLDWRRRHDIIVGIARGLLYLHRDSRLRIIHRDLKASNVLLDNEMNPKISDFGLARMFGGDQTEANTKRVVGT >EOY28135 pep chromosome:Theobroma_cacao_20110822:6:24312477:24314821:-1 gene:TCM_029787 transcript:EOY28135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 61 MSKAQDPFYIVKEEIQDSIDKLQLSFHQWERIPPDTGEQVHLTKELLANCESIEWQVDELDKTISVAARDPSWYGIDEVELEKRRRWTSTARTQVGNIKKAVVAGKENGNTAIAMRRELMRLPNSHQPDRSNQYSAEDNDDFIASESDRQMLLIKQQDEELDELSASVERIGGVGLTIHEELLAQEKIVDELGTEMDSTTNRLDFVQKKVAMVMKKASAKGQIMMILFLLVLFIILFILVFFT >EOY26438 pep chromosome:Theobroma_cacao_20110822:6:10307593:10310068:1 gene:TCM_028055 transcript:EOY26438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30/L7 family protein MGGEVKAVIPESLLKKQKRNEEWELAKKQELEAAKKKKAEDRKVIYNRAKQYAKEYETQEKELIQLKREAKLKGGFYVDPEAKLLFIIRIRGINAMHPRTRKILQLLRLRQIFNGVFLKVNKATMNMLHLVEPYVTYGYPNHKSVRELIYKRGYGKLNKQRTALTDNSVVEQALGQYGIICVEDLIHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >EOY28123 pep chromosome:Theobroma_cacao_20110822:6:24293399:24294968:-1 gene:TCM_029782 transcript:EOY28123 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MGEKFLHMAMYPWFAVGHITSFLHISNKLAERGHKISFFLPTKTQSKFEPFNLHPDVITFIPIKVPHIDGLPLGTETTTDIPFSLQPLLMAAMDLTEPAIEASLRELKPHFLFFDFTFWMPALCHRLGIKSMYYCTISPATVGYLISPTRKILEKGLTGSDLMEPPLGFPSSSIKLRDHEARGLAAATTREYGSGISFVVRQLTALNECDAIGFKTCREIEGPYCDYVEKQFEKPVILAGPVAPEPPNKTLEERWEKLLSSFQAQTVIFCAFGSECVLNKDQFQELVLGLELTGLPFLVALKAPMGAETAESALPEGFQERVKGRGFVHGSWVPQQLILSHPSVGCFVTHCGSGSLSEAMVNDCQLVLLPHVGDQIINARLMAGDLKVGVEVEKGEEDGLFRKDDVCKAVMAMMDDDSEVGKEARANHAKWKDFLLGKGLEISYIDSFVEKLHALM >EOY25563 pep chromosome:Theobroma_cacao_20110822:6:455016:456095:1 gene:TCM_026949 transcript:EOY25563 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein, putative MAPQSGTEKPMFGYGSISCKAKSRWSWTMVGLRLLAFLATAAAAVVMLLNKQTKTFVIATVGTTPVNLTLTAKFQHTPAFVFFVVANGLASIHNLLMVMVDLFGSKVDYKGLRFAMIAILDMLNVALVSGGANAAAFMAELGKNGNSHARWDKICDRFGRFCDRGGGALIASFVALALMLIISVISIVKVLKSNK >EOY27478 pep chromosome:Theobroma_cacao_20110822:6:21973034:21974495:1 gene:TCM_029317 transcript:EOY27478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAFSNKYFLVSGICQKTFIITVQCYYCKHITNSQTFSKSPITILSTSRFTVYKFFKKKTVENLLLFTSPFPSSSQKKSYKKLLNLNSNFGCSKS >EOY26666 pep chromosome:Theobroma_cacao_20110822:6:16825179:16830184:1 gene:TCM_028616 transcript:EOY26666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFYYLYKTTDRVILSADVEYINLYMKNMDADITEELLQDKIYEFGKIVSLFVTKDETDSSKGFGFDKFEKTLNNEKNDNKVETQRNER >EOY26373 pep chromosome:Theobroma_cacao_20110822:6:8332923:8337583:-1 gene:TCM_027887 transcript:EOY26373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MITHWDSKKSGGSIPQLKGARCFSFEELKKYTNNFSEANDIGSGGYGKVYRGTLPTGELIAIKRAQQGSVQGGLEFKTEIELLSRVHHKNVVSLLGFCFERGEQMLIYEYVPNGSLSDSLSGKSGIRMDWIRRLKIALGAARGLAYLQKLANPPIIHRDIKSTNILLDERLNAKVADFGLSKPMGDGEKGHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLEIVTARKPIERGKYIVREVRLAMDKTKSLYNLQEILDASIGFAATPKGLEMFVDLAMSCVEESGADRPTMGEVVKEIENIMQLAGMNPNVESASSSATYKEATKGGSLHPYSDDFSAYSGAFPAPR >EOY26371 pep chromosome:Theobroma_cacao_20110822:6:8300685:8340949:-1 gene:TCM_027887 transcript:EOY26371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative GNLKNLTNLILVGCGFNGPIPDSIGSISQLRFLSLNSNGFTGRIPPSIGNLSNLVWLDLADNHLEGPIPVSNGSTPGLGWSFLFYVIWANCKFVDPSKSRISYFPGISGID >EOY26372 pep chromosome:Theobroma_cacao_20110822:6:8332923:8337583:-1 gene:TCM_027887 transcript:EOY26372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MITHWDSKKSGGSIPQLKGARCFSFEELKKYTNNFSEANDIGSGGYGKVYRGTLPTGELIAIKRAQQGSVQGGLEFKTEIELLSRVHHKNVVSLLGFCFERGEQMLIYEYVPNGSLSDSLSGKSGIRMDWIRRLKIALGAARGLAYLQKLANPPIIHRDIKSTNILLDERLNAKVADFGLSKPMGDGEKGHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLEIVTARKPIERGKYIVRELAGMNPNVESASSSATYKEATKGGSLHPYSDDFSAYSGAFPAPR >EOY26793 pep chromosome:Theobroma_cacao_20110822:6:17878189:17881512:1 gene:TCM_028748 transcript:EOY26793 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase G3D isoform 2 MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVDAAFECIAKNALKNEPEEEIYLPETIDVAGGGRSQRSTGCEC >EOY26794 pep chromosome:Theobroma_cacao_20110822:6:17877992:17880214:1 gene:TCM_028748 transcript:EOY26794 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase G3D isoform 2 MASRRRMLLKVIILGDSGNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVDAAFECIAK >EOY25455 pep chromosome:Theobroma_cacao_20110822:6:59073:60161:-1 gene:TCM_026880 transcript:EOY25455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLWLHLQVVRGNDVLLMPLSGFSMSMSSRASSPIISGSDPILKKATSFKEVLIGEVRNGNDIRTVEKKSCRSDVEPLKTFRAPAVDKNWMIICVKSWFYCLIWNGLTNWLLAT >EOY27271 pep chromosome:Theobroma_cacao_20110822:6:20983167:20984172:-1 gene:TCM_029153 transcript:EOY27271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLISRRRDRSAKEPKLKGVFKSYATLGSLNSSELREAFEHLGAKMPHKETEEAMYYADKNKDNLISGDDEFNSLVQYALQKGYGEDA >EOY25658 pep chromosome:Theobroma_cacao_20110822:6:983466:990560:1 gene:TCM_027036 transcript:EOY25658 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MKSPSFSMPLFLLLLFSFSWATFARTHDSFLQCLSLHSDINARLIYTQNSSSYSSVLELSVRNARFSTPTTPRPLVIVTPSNVSHIQATINCSREHDMQIRIRSGGHDYEGLSYVSKVPFVIIDLINMRSIDVDTENSTAWIQAGATIGELYYRIAEKSETLAFPAGVCPTVGVGGHFSGGGYGMMMRKFGLAADQIIDAHLVDVHGRILDRNSMGEDLFWAIRGGGGASFGVIVAWKVKLVSVPSTVTVFIANRTLEENATKLVHKWQSVAEKIHEDLYIRVFLRAVNSSQEGNRTIQASFTSLFLGRIDQLIPLMQESFPELGLVKEDCIEMSWIQSILYFAGIPQSESLDVLLNRTDTASFFKGKSDYVTEPIPEIALEGLWQWFYEDLEIVWFEILFSPYGGIMNEIPESETPFPHRSGNLFNIHYVVVWGEEDASTAQKNINFMRRLYKYMEPYVSKSPRAAYMNYRDLDLGSNNKGNYTSYRQASRWGLKYFKNNFNRLIRVKKMVDPQNFFRHEQSIPSLL >EOY28965 pep chromosome:Theobroma_cacao_20110822:6:26970536:26972922:-1 gene:TCM_030419 transcript:EOY28965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNCDQAKLFRGVSFSFFSSVSDRSGLKGYGSFAFKKEAHFTFDMICSGPPPLRLCGPFCVVTVKPQLFFGFKVLNLCRWEEQGEEAKKAMDIQQKLVQYRYHFTIAILASFIIAFLLYVAPRLLTILTYFWPLFASTTVFLVAIIAFGGGSQLATEVHGEKAGQGLMDYVAARPEHAEEAQKFE >EOY28786 pep chromosome:Theobroma_cacao_20110822:6:26532453:26534046:1 gene:TCM_030290 transcript:EOY28786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKAIDVDWLSASVGLGFWVMWPRGYSVRLAGNKTCLVEHWEFPEAGGGQDAECRPTPPMKMTVCINGQERDFVKLIQ >EOY27943 pep chromosome:Theobroma_cacao_20110822:6:23688087:23691591:1 gene:TCM_029653 transcript:EOY27943 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase A1C MAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLNVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLRELRDHTDPNIVVMLIGNKSDLRHLVAVSTEDGKSFAEKESLYFMETSALEATNVENAFAEVLTQIYHIVSKKAMETGDEGAASAVPSKGEKIDVSKDVSAMKKGGCCSS >EOY25893 pep chromosome:Theobroma_cacao_20110822:6:2591665:2600419:1 gene:TCM_027264 transcript:EOY25893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle, SRP54 subunit protein MVLAQLGGSISRAIQQMSNATIIDEKVLNECLNEITRALLQSDVQFKLVRDMQTNIKKIVNLDDLAAGHNKRKIIQQAIFNELCKMLDPGKPSFTPKKGKTSVVMFVGLQGSGKTTTCTKYAYYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPMDQQPELLQKLSEGNFTLRIMYEQFQNILKMGPIGQVFSMLPGFSAELMPKGREKESQAKIKRYMTMMDSMTNEELDSPNPKLMNESRMMRIARGSGRHIREVMEMLEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQNLMKQMGSAKDMMGMFGGGE >EOY27959 pep chromosome:Theobroma_cacao_20110822:6:23752549:23755303:-1 gene:TCM_029666 transcript:EOY27959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein large subunit 16A MRASLDWVKDNVTSQVLKEASMMLEQMGDLEKDKVVNWSRDKTLASPKLLSFKLPSTTQLRLCHSTAALNLLHFAMASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIGA >EOY28610 pep chromosome:Theobroma_cacao_20110822:6:26040276:26041218:1 gene:TCM_030163 transcript:EOY28610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein MGDSEHSSSGDTFVDSREVTSRESKVKFSNDEETLIIRMYNLVGERWALIAGRIPGRTAKEIEEYWNTRCSTSE >EOY26807 pep chromosome:Theobroma_cacao_20110822:6:17969285:17976027:1 gene:TCM_028763 transcript:EOY26807 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO guanyl-nucleotide exchange factor 12 MVRALEQEQENYRSRLFHFRDMNENTGRHAKSLSIESASRLEEAGMGEEVAVRSQGSRTQNDLLSLNRGDRGLRSRIGKEEMAAAFQAREKQLLNDMEQMKEKFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQSRLEPMSAERKGRWRKEIDWLLSVTDHIVEFVPSQQKNKDGSNMEIMVTKQRADLHMNIPALRKIDTLLTDCLDNFKDQNEFFYLSRDAPDSEKGNNKRKDDKWWLPTVKVPQDGLSDASRKNLQYQKDCVSQVLKAAMAINAQVLSEMEVPENYIESLPKNGRASLGDSIYRSITVEFFDPDQFLSTMDLSSEHKIVDLKNRIEASIIIWKRKMNQKDGKSAWGSAVSLEKRELFEERAETILLIIKQRFPGIPQSSLDISKIQYNRDVGQAVLESYSRILESLAFTVLSRIEDVLHADFVAQNPSQASCKRNPLTDDSQPILVLPNPKYDADTPNSMTLSDLLTWSLDQNDSEANKDSTDELSKEGDAKHMQKLNVVTNKKVSYLESLGGLRSPTARH >EOY26594 pep chromosome:Theobroma_cacao_20110822:6:14680583:14681507:-1 gene:TCM_028433 transcript:EOY26594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALAAADIALAGHGRCRPKVINIGCLKVSNDCDVPLCYLLFLKCQALTLCIKA >EOY26896 pep chromosome:Theobroma_cacao_20110822:6:18934587:18944026:1 gene:TCM_028862 transcript:EOY26896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFALEGQVSKMEVVMGEVKDRFKEFKANIKELRSRDGKLYGKMQSILNESVDMLNQQDVMLKNLVKVLKQRYKGLRMSFSHLRCDEKLSGMAISTWMKFQKQLYLKYVNDETRAKLRSGKEAFLAFLNDLKPWAKLEL >EOY25722 pep chromosome:Theobroma_cacao_20110822:6:1432725:1437382:-1 gene:TCM_027102 transcript:EOY25722 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MTTASFSGSNGAAKTVLVTGVSKGLGRALALELSKRGHTVIGCSRAQEKLNSLQSELAAPDRHLLLNVDVRSNSSVEELARLVMEKKVVPDIIGTINKNNRIWEVPAEEFDTVIDTNVKGVANVMRHFIPLMIPKRQGIIVNMSSGWGRSGAALVAPYCASKWAIEGLSKAVAKEMPDGFAVVALSPGVINTEMLQSCFGSSASGYQTPEAWSFKAATMILNLTAADNGASLTV >EOY25721 pep chromosome:Theobroma_cacao_20110822:6:1432681:1437344:-1 gene:TCM_027102 transcript:EOY25721 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MTTASFSGSNGAAKTVLVTGVSKGLGRALALELSKRGHTVIGCSRAQEKLNSLQSELAAPDRHLLLNVDVRSNSSVEELARLVMEKKVVPDIIVNNAGTINKNNRIWEVPAEEFDTVIDTNVKGVANVMRHFIPLMIPKRQGIIVNMSSGWGRSGAALVAPYCASKWAIEGLSKAVAKEMPDGFAVVALSPGVINTEMLQSCFGSSASGYQTPEAWSFKAATMILNLTAADNGASLTV >EOY27887 pep chromosome:Theobroma_cacao_20110822:6:23429023:23431087:1 gene:TCM_029605 transcript:EOY27887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase, putative MGYLRGKESKIEAQKELVSLPGGGIFTYRQLKVATQNFNNANKIGEGGFGAVFKGVLTNGTAIAVKQLSAKSKQGSREFVNEVGVISALQHPNLVKLLGCCIDENQLLLVYEYMENNSLAHALFGPEELRVQLNWTIRSKICRGIAKGLAFLHEESKLKIIHRDIKTTNILLDKDFTAKISDFGFAKLHEGEKTHVITKIAGTTGYMAPEYAMRGHLTSKADVYSFGVVLLEIVSGQNSASYRPNDESVYLLDLAYVLQEKGDLLALVDPILGSEYAAKEAKMILELAMLCTNPSPTLRPSMSEVVKVLKGKSRLNYTPSHAPYSADGFARAKAMASRSFSNYSRSMSREEPSNPASYEFSIKEEEVQISADYTPEVTDETGRSPLNNV >EOY26488 pep chromosome:Theobroma_cacao_20110822:6:12952689:12956654:-1 gene:TCM_028258 transcript:EOY26488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISQRCIPLSASFFLWRLIQDWILVEMRLKIKGFQFASKCQCCNSEETLLHVMWDNSVAKQRNDAKHRSLGMYPNRVIWQILKLIRQLFHGRQFQRWQWRGDIQIAQMWGLTFPRKVLSLPKIISWHKPLTGEFKLNVDGSFINNFQNAVGGGLLRDHIGSPTSIGKVIK >EOY26247 pep chromosome:Theobroma_cacao_20110822:6:6437620:6463449:-1 gene:TCM_046828 transcript:EOY26247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycloartenol synthase 1 MWRLKIGKESVGDNGAWLRSSNDHVGRQVWEFCPESGTPEELSKVEMARQSFSTDRLLKKHSSDLLMRIQYAKENQFVTNFPQVKLKEFEDVKEEATLTTLRRALNFYSTIQADDGHWPGDYGGPMFLLPGLVITLSVTGALNAVLSKEHQYEMCRYLYNHQNRDGGWGLHIEGPSTMFGTVLNYVTLRLLGEGPEGGQGAVEKACEWILEHGSATAITSWGKMWLSVLGAYEWSGNNPLPPEVWLCPYFLPIHPGRMWCHCRMVYLPMSYLYGKRFVGPITPIILSLRKELYAVPYHEVDWNKARNTCAKEDLYYPHPLVQDILWASLHYLYEPIFTRWPCKSLREKALRTVMQHIHYEDENTRYICIGPVNKVLNMLSCWVEDPYSESFKLHLPRILDYLWIAEDGMKMQGYNGSQLWDTAFAVQAIISTGLADEYGPILRKAHDFIKYSQVLEDCPGDLNFWYRHISKGAWPFSTVDHGWPISDCTSEGLKAVLLLSTLPSESVGEPLHMMRLYDAVNVILSLQNVDGGFPTYELTRSYQWLELINPAETFGDIVIDYPYVECTSAAIQALISFKKLFPEHRMEEIENCIGRAVEFIEKIQAADGSWYGSWGVCFTYAGWFGIKGLSAAGRTYNNSSNIRKACDFLLSKELATGGWGESYLSCQNKVYTNLEGARPHIVNTSWALLALIEAGQAERDPTPLHRAARILINSQMEDGDFPQEEIMGVFNKNCMISYSAYRNIFPIWALGEYTCRVLRAP >EOY27984 pep chromosome:Theobroma_cacao_20110822:6:23849717:23853785:-1 gene:TCM_029685 transcript:EOY27984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 11, putative MEERKLNFNAPLLSVRRFSATSAFSDRDKQKIVENPCPNRRHTLPFYNSDVSLDQVTEPVAVPFVWEQIPGKAKGGIEHESQPNKEASGTPRLPPGRVLDIMKYTVEKEFENQNVVRPQSEIYSLNDNVTKLDSSNKGINEKCISESETDDDAYSDALDTLSPTDSLSMNCSISGLSGSSGLVAKPSGTFSSDPQTRDFMMSRFLPAAKAMTLEMPQYASRKQSVAPALPREDKKVVVGDRKPPVNQYESVIIPHYNQDVDGEETEDEYDDYEDSGNLSRKACGLLPRLSFKNSLCLLNPVPGLKVRTHSSMPSTREVAKPSKATYMKSHSQIIEKHAWDAVHKNKSDSGVQSPQPQENKSDTGVQSPRLPENKLSGGVQSPRLPEIGKKMTCGSNQFTNSGDQQIVNRSPPKRLPGSARISPYRRERPQSPFRGGGFLGMPKEAEKFNANMLIKYTKSNNNSQELVPYQSTRQGSGALSPAVEKTLYVDTVNFAEIASSNSDSSDTKAPMDSMGKHSDTLLVNRMLEESATVESSLQDIKCLNLLDGKDISKYEITGSVYSSRSSFSDKPDLKGQAEMMDCFRQNGGLNKSLGRIKVRADRSLTLSANGDVREADQEENNAGSDCSPLPPPLPKTPSESWLWCALPSVTSRNSFSQSYNGTRFYPKKEEPKVSATDTKWETIVKTSYLHHDHVRYSEELVTHFSQQSKT >EOY26441 pep chromosome:Theobroma_cacao_20110822:6:10388050:10388723:-1 gene:TCM_028062 transcript:EOY26441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFGKKEKLSPKYNGLFEILEKVNTITYQLALSSDLASVHIGFHILILQKYQPNPFHVIQHESLQLEDCLSF >EOY27705 pep chromosome:Theobroma_cacao_20110822:6:22879788:22881872:1 gene:TCM_029487 transcript:EOY27705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANSAKKINKESTYRTIAVAATPIIILGGICIGFGWRYVRRAWKSKQNHVRSVSLAALQGGKLALDRLVDYQKYRGKATETDVDIKELETQLGKEHSNFKELQRVLARLEMSRREDEAIKVLKNALKKAKRERKSHEAYEIEMLLAEMFIYKGDFENASKCKCLAAGEEEKVSDPRIPLYKAIINLINQKEQDALQHWEKFRDEMQGLANAPSFQEEEFLVFKNAVLHLKEDINAARQLKKL >EOY26487 pep chromosome:Theobroma_cacao_20110822:6:12849232:12861856:-1 gene:TCM_028252 transcript:EOY26487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKRRKKEMRKKKKTRKVRPSGSKLRKVLQELKEINACLWVNLGIQGDFELDKLWVKDLMIDVLPVLENN >EOY26693 pep chromosome:Theobroma_cacao_20110822:6:17390758:17393666:-1 gene:TCM_028671 transcript:EOY26693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKDFFSIVVPLAWPSVPDLRLFAGQEAQILTTKSLKTMSTAYPELRAIRTGDLPKSMKETKVSLIFKLLWGLNRNQGFRCSLGIIALFIELEVFGLAVGNQIFSIYVCEFSPECILDCPGCIPPLEEDPLSSGSSTSDDWCELECRSNLLSGTIVQTEDHQAQQRHHDPNPVLEEEPSIEPNPSSPLSDTVC >EOY26607 pep chromosome:Theobroma_cacao_20110822:6:15019847:15064367:-1 gene:TCM_028461 transcript:EOY26607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITETSRRLSRARRECRVVTALQAEKLVIENMRIWIKFAEKRNLGISSSQPVKRGKDSSVSGSTTSISVTSPRPPFSQTQHKPPRFNRSEMTTSGKSFGGSDRCKRSDCPQLRRATATAPSPPARTDVQRRDPSGLPLRQGVAIQSGVESNTSAYPPSRPRLLKINKQDVPKTAFRTRYGHYEFLVMPFGLTNAPVAFMDLMNKVFHPYLYKFVIVFIDDILVYSKNDDEHAAHLLIVLQTLRERQLYAKFSKFGYYRRFVQGFSLIAAPLTRLTRKGVKFEWDDVCENRFQKLKSRLTSAPVLTLPVNGKEFVVYSDASKLGLGCVLMQDEKVIAYSSQQLKKNKMNYRIKLNNGQDGTLLASFVVRPSLLNQIRELQKFDDWLKDRICVLKDDQLRRAILEKAHSSAYALHHRSTKMYRTIKESYWWSGMKQDIAEFVAKCLTCQQIKAEHQNHQVVSPPIKARKLHPRTIRQSASKVRILDINTIFDNGCSQGNRETDSKALRGFGLTFRAISVYRDTAAVVTGSRGVPGRDTQSAAGTYSRLKGSSAEEQKHSNGESIVKECSNGGDDVGSQALNEKPIPTSFRRVRTNVVNFLILYCVKVVSPPIKARELYLRTSNLSISKIPTLGFDCSKSENIVIKLVTTRYSPRARDNRRGVSVDTYCSECQPETPQGFTISFSIPL >EOY26080 pep chromosome:Theobroma_cacao_20110822:6:4280228:4282273:-1 gene:TCM_027473 transcript:EOY26080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter, putative MAESALPENNGDHAVPKIKLGGGFYYLHNRRLGQGPRNWIRVDALGNSQVIEVDKLTMKHHCDLPARDIRLLDPLLVYPSTILAREKAIVVNMEQIRCIITADEFLLLNSRDSYVLQHVEELQRRLKSGVGDDQIRQAGGPDDVLGRAYFELPFEFKVLEVALEVVCALLDSQVTNHELEVYPLLDALTSKVTTINLERVRILKSRAVALTRRVQKVRDAIEHLMDNDDDMAEMCLTVKKKTKTKTRMESSFSGDQSSMQFRSKGPSVSAPVSPVSSPPDSGERLKHSLTTVWGRRKSMRASESTPESLEDLEVLLESYFVLIDSSLNKLTTMKEYIDDTEDFINIQLDSQRNRLIQFELLVTTTTLALAIFGTVVGVFGMNFDLPFFDDPATFIRIVIIAGVCGIIIFSASLGFLKYKKLMPH >EOY29028 pep chromosome:Theobroma_cacao_20110822:6:27151051:27152411:-1 gene:TCM_030463 transcript:EOY29028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIEFEKKHATQVGSGLRLKRDLLRGACPPPHPQLKGRDGGTIMEDPLYKAHHGTPHYVYVGQYLQLQCPGVCICRRVCIVIPTCALAGAPTWYNVKQMGRGEERVRVRHSHSSPILSTIPSRCVLRN >EOY26990 pep chromosome:Theobroma_cacao_20110822:6:19557026:19566755:1 gene:TCM_028941 transcript:EOY26990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase-transforming protein raf, putative MLNSLRAGFTSIDCGIVNGYTDESTSLYYYPDTLLEAMAEIGMNANISDEYMTSASNQQLRTLRSFPNGSRNCYNLKVEIGKTILVRASFMYGNYDGLNLTPTFDLFLGVQEWTTVNFTGPETIVRKEIIHIPTTEDIDICLVKKGSTIPFISALELRPLPDSTYNLTTSEYLVLLKRTDIGSTTNGSIFRYNDDISDRIWSPPDSPNNVKPISDASNRGSLDDGDYKVPIPVMATAVTTTADNVTSLSFKWKPETKNCYAYLHFAEVENLQSNELREFDISVNDELPIGTVTVKPSDKPQTWQSPFTFTGKDVEIKLNRTANSTHPPILNAVEIFMLYNFSKVPTERNDVEAIRGIRESLGVKKNWQGDPCFPADLHWDGVECEDIKSITTVNLRSWGLKGNIPKYFGNLTSIVTLDLSNNSLEGDVPDLLLHLRDLKLFNLSFNNLRGSVPPELIEKNGSDGFHLFLDGNPDLCLSGSCKKKQPVAAIVASVTAVVFVLLVVFSISWKLKRIRKEHMPLEEARPLESRNNQFTYSEVVSITNNFEKVIGKGGFGTVYYGCLNDDTEVAVKMLSESSNQGSEQFQAEAKLLMTVHHKNLTSLIGYCNDGTNMGLIYEYMTNGNLECHLKDSDCHHMAADRNRNSLGWEQRLKIAIDAAQGLEYLHEGIKPPVIHRDVKSSNILLDRKFHAKLADFGLSKAFKFESSSGVTTDKVCGTPGYLDPEYYISTRLTKQNDVYSFGVVLLEMITGQSAIIRRNNECIILVKWVTPMLERGDIQNILDQHLGVYDINSVWKAVDLAMTCVSSNPEARPNMSSTVMDLKVCLQMQMAWSKKQESRSAESIEIIPLNIGTGPGPSAR >EOY26074 pep chromosome:Theobroma_cacao_20110822:6:4255139:4257440:-1 gene:TCM_046827 transcript:EOY26074 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase 2 isoform 1 MEGKKQTQQQQQENITTQPLLTPYKFGKFNLSHRVVLAPLTRQRSYNNVPQPDAILYYSQRTSRGGFMISEATGVSDTAQGYAHTPGIWTKEQVEAWKPIVDAVHAKGGIIFCQIWHVGRVSNYGFQPNGQAPISSTDKSLTPQIQANGVDVAQFSPPRRLRTDEIPQIVNDFRIAARNAMEAGFDGVEIHGFNGYLIDQFLNDQVNDRTDEYGGSLVNRCRFALEIVEAVANEIGADRVGIRLSPFTDFIEAVDSDPKALALYMVEALNKYGILYCHMIEPRLKMMGDVSECPHTLPPMRKAFNGTFIAAGDYTKEDGNKAVAENRADLVAYGRLFLANPDLPRLFELNAPLN >EOY26075 pep chromosome:Theobroma_cacao_20110822:6:4249756:4259880:-1 gene:TCM_046827 transcript:EOY26075 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase 2 isoform 1 ALCLPYLKLTEYPKPKVSKSVSFRYGRQKANPTTTARKYHHSTSSHSLQIWQIQPFHLPLSFSSSFFPRVVLAPLTRQRSYNNVPQPDAILYYSQRTSRGGFMISEATGVSDTAQGYAHTPGIWTKEQVEAWKPIVDAVHAKGGIIFCQIWHVGRVSNYGFQPNGQAPISSTDKSLTPQIQANGVDVAQFSPPRRLRTDEIPQIVNDFRIAARNAMEAGFDGVEIHGFNGYLIDQFLNDQVNDRTDEYGGSLVNRCRFALEIVEAVANEIGADRVGIRLSPFTDFIEAVDSDPKALALYMVEALNKYGILYCHMIEPRLKMMGDVSECPHTLPPMRKAFNGTFIAAGDYTKEDGNKAVAENRADLVAYGRLFLANPDLPRLFELNAPLN >EOY25975 pep chromosome:Theobroma_cacao_20110822:6:3147359:3150533:1 gene:TCM_027346 transcript:EOY25975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetylornithine aminotransferase, mitochondrial MGSLQLFHSFSVSVSPATNLRRPLNNGRVSACLNVDVEAPNPLKMKSGGSKEVIEEEGKVLVGTYARAPVVLSLGKGCKLYDPEGREFLDCAAGIAVNALGHGDPDWVRAVTEQANVLTHVSNAYYSIPQVELAKRLVANSFADRVFFSNSGTEANEAAIKFSRKFQRFTHPNDKQPATGFISFTNSFHGRTLGALALTSKEHYRSPFEPVMPGVTFLEYGNKQTAKDLIQQGKIAAVFVEPIQGEGGIYSAKKEFLQALRKACDDAGCLLVFDEVQCGLGRTGYLWAHEVYGVYPDIMTLAKPLAGGLPIGATLVTERVASAVAHGDHGSTFAGSPLVCSAAIAVLDKIANPSFLSSVSKKGHYFKELLIKKLGGNAHVKEVRGLGLIIGIELDVSASPLVDACRNSGLIVLTAGKGNVVRLVPPLIISEKELEHAADVLHECLPALDSN >EOY25535 pep chromosome:Theobroma_cacao_20110822:6:379239:382328:1 gene:TCM_026933 transcript:EOY25535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDINPMAANNTFADSLAKSGVEKVDTMVFLGRLLHRKVGHCLLYWTWRRWCCTDCVCDVWSYIYGVMLSWPLGLMSVGNGCPWCTGRPLDGFGWVCLCLQQYLLQMAAKTLFIALLALTCFVALASGHRGGSAQKSPDNYKPDSEDVSLKPTKAIETDDRGNAAISNDHRDGAVPSQSHHTHSSHQNFHQAADSNTNQKEGTSAIISFISSLITG >EOY26398 pep chromosome:Theobroma_cacao_20110822:6:9290462:9302825:1 gene:TCM_027971 transcript:EOY26398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPPRRRRPPLTRSVGRGRGRSQRHQPDTVEEESAASTIRAAPAAEQADSPPHPPSPQPPTGIPAMPTEAAQALAAFFAAMAGQAQTGQVPPVVPPATPLVPPPIQDVSISKKLKEARQLGCVSFTGELDATVAKDWINQVSETLSDMGLDDDMKLMVATRLLEKRARTWWNSVKSRSATP >EOY27353 pep chromosome:Theobroma_cacao_20110822:6:21376758:21377162:-1 gene:TCM_029218 transcript:EOY27353 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein, putative MVKVGKLTKLKSAIKRWPSFTKLTRSSSAIAAAEPEDKAIPRDLHAVYVGKSRRRYLVGSEIICHPLLQELIDRSSGGDGNDDDGGEVVVSCEVVLFEHLLWMLENDGAQLGSMEELVDFYTC >EOY26529 pep chromosome:Theobroma_cacao_20110822:6:13603414:13605632:-1 gene:TCM_028318 transcript:EOY26529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like protein MYNICQLQKKASYYMNNRSALQKVLSFLQEYEQVSGQQINHQKSCFITANSCPLSRRQIISHTTGFQHKILPVTYLGAPLYKGLKKVILFYSLITKIRDRVSGWENKVLSSGGCITLLRSVLSSLSMYLLQVPKPSATVIEKIEWHFNSFLWGDSTKSKKMHKAAWSKSTFPCSERGLDIRYLNDIPFDRSQEDVAYWALTSNGELSTWSAWEEVRVISALIPLFICWFLWLERNDAKHRHLGMYPDRVVWETMKLLRQLHDGSPLKQWQWKVDKDIAAMWSFLFPPKHGTTPQIIHWVKPFTGEYKLNVDGSSRNCQSATSGGLLRDHIGKLVFGFSENIGRCNSLQAELRALLRRLLLCKEQHIERLWIEMDALVVIQMIHQYQKGSHDIRYLLTSIRKGLSSISYRILHIFREGNQAAYFLSNQGYTHQNLCLITEAQGELHGMLKLDRLNLPYV >EOY26802 pep chromosome:Theobroma_cacao_20110822:6:17908074:17909814:1 gene:TCM_028755 transcript:EOY26802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPSPSDWCSLFLVSWGAPIGSNPGSGKFFADLFYLSKRDRVQNSPTVGVTCRFFVYDQSKTVSFIINASQDESQTQWVEGDGNMQFVGNSLTD >EOY27172 pep chromosome:Theobroma_cacao_20110822:6:20545550:20546556:1 gene:TCM_029086 transcript:EOY27172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTKAVTDQLFDRFGKEGVPLEGRANQPMRPIDMIDDCYYNRRLQKLMVAIGCSVATDRCTLLVIYSITHKHGLLQTSHLLGEMDTTY >EOY27904 pep chromosome:Theobroma_cacao_20110822:6:23544246:23545558:1 gene:TCM_029623 transcript:EOY27904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase hydrolase domain-containing protein MVFVEFPITIQNQEMPSNKQKCNKGQHYYHKRKRFPGKISSVSIIASINKSIVKCRDHLNKFLSELARMVTPTCRLKGFEILRQEEKTDLETNKVVGHPGLELEFETSFNIVPPVLVIHRNRLPPMVSDKKGTIVLDLDETLVHSCLDPPPPRYDFIVSRNMDGVTINFYVLKRPGIDEFLETISKKYELVVFTAGHVAYASKVLDTLDPKGLISHRLYRDSCKQVRGRFVKDLSRLGRDLRKTVIVDDNPKSFALQPKNGIPIKPFVGDQLWDNELMKLTVFFETCNVFEDMRDTVNQYLGTC >EOY28764 pep chromosome:Theobroma_cacao_20110822:6:26481842:26483672:1 gene:TCM_030277 transcript:EOY28764 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI/FBD-like domains-containing protein MQIMSDQMERRRDRISNLPDSLLEYILTFLSTKYAVRTGVLSKRWKDLWISHPYISLNDDGLIDRMAESKNLSISKWKFFFLKNEIRNRRIKVTEFMNFLDKVLLHPQARVKKLQLSSQERLETPTFSRWFQAVLKEGLEELNLNFGIFCDAPLSSLTTSNTLVTLKLDFGALSGHEFPISFCFPNLKTMHLNGFLLANNFPHQLLQCRNLESLILRYFMFNLMLHDPVLDCFEQKEALPNLLNAQMDCSYVFRGKDSARSFLKNLINVMSNTKNLNLSLSIMEYLALDRTFPDDMPKFNNLKHIKLYLQSFHVGAMSYILQKAPNLESLHIEFDRPYGSVHDTGMLEQLRFCCSTANLKVIRMTNFILEDPVLELVQLIFESVGSLEDIVIELVERPAINNFLQLQKLSKLSRLSKDSVLHVKWDFGYPLRLLLLPSSMVVN >EOY26242 pep chromosome:Theobroma_cacao_20110822:6:6367498:6372020:-1 gene:TCM_027704 transcript:EOY26242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MELFFLCGLTLFTLFVFFSLFSIFSYACKTNASQPNLPPGKTGLPYIGESLEFLSTGRKGHPEKFIYDRMAKFSSQVFRTSILGEATAVFCGAAGNKFLFSNENKLVTAWWPNSVNKVFPSSTQTSSKEEAKKMRKLLPNFLKPEALQRYVGMMDTIAQRHFESGWEGQQEVSVFPLAKNYTFWVASKVFLSVEDPKHVAKLGDPFNVLASGIISIPIDLPGTPFNRAIKASNLIRKELTAIIKQRKIDLAEKKASPTQDILSHMLLTSDENGRYMNELDIADKILGLLIGGHDTASAAITFIVKYLAELPEIYQKVQSEQMEIAKSKAPGELLNWDDIQKMKYSWNVACEVLRLAPPLQGAFREALNEFIYAGFNIPKGWKLYWSANSTHRNPECFPEPEKFDPSRFEGNGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHNIVKRFNWEKLLPDENIIVDPMPMPAKGLPVRLLPQTTA >EOY28586 pep chromosome:Theobroma_cacao_20110822:6:25953052:25957451:1 gene:TCM_030142 transcript:EOY28586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 29, putative MDCSRQFFFSSLIVTFLLSLTVAQQQLVNYFCLDTSGSFTRSSTYEANLNRVLSSFSSNTANDYGFYNISSGQGSEGANAIALCRGDVNSGGCLDCINNAATDLRNLCSNEKEAIIWYENCLLRYTNRSIFGVAETNPSFYMWNVNNVTDLDAFNQALIALMDNLSTNASSGTSLRKFATGSAEVTAAQTIYALVQCTPDLTRPACSSCLSQAIALLPSCCNSKQGGMVFGPSCNLRFDIKRFYNLATADAPLPPSSAPQSPPSPPSSKRTRGTVSGLFCFVSLIGYSQNSSFCLGPVSFEKTGEEGFSKLINERILSCPFADAIARVVPKFTISALVREVHFLNFAGKMSNSYRTIIIITVPAAAFAVLLISSCIFFLRVKKSKAKAEKSLQYDFSTIRAATDDFSDTNKLGQGGFGTVYKGTIASGELIAVKRLTTDSGQGDLEFKNEVQLVAKLQHKNLVRLQGFCLEGNERLLIYEFVPNASLDQFLFDPVRRAYLDWERRHKIIGGIAQGLLYLHEDSRLQIIHCNLKASNILLDAELNPKISDFGMGRLCALDQTQSVTSRIEGTNGYMAPEYALHGQFSIKSDVFSFGVLLLEILCGQKNSAFCVGENVEDLLSYAWRIWKDGTALKLVDPILGEASRTQVTRCIHIGLLCVQENAAQRPNMASVVLMLTSDSVTVPLPSEPAFFVHSNTRKT >EOY26804 pep chromosome:Theobroma_cacao_20110822:6:17921140:17921851:1 gene:TCM_028757 transcript:EOY26804 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO guanyl-nucleotide exchange factor 11 MLEGKALVEDTDMPLRMQIQAMSSASQALDLYDVLDCKSIAGYIKKEFDSKYGNGWQCVVGSNFGCFFTHSKGTFIYFTLERLKFLIFKGASSSTSP >EOY26468 pep chromosome:Theobroma_cacao_20110822:6:12079024:12081420:-1 gene:TCM_028185 transcript:EOY26468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein, putative MLPRHGHPPLTRSARRGRGRPRQNRPDLMEEESAASTIRAAPAVEQPESLPHPPPPTSTPAMPPEMVQALAAFLTAMAGQAQADATKAKDWINQLSKTLSDMRLDDDMKLMAATRLLENRARTWWSLVKSRYTTPLTWSDFIKEFDSQYFTYFHQREKKREFLSLKQGNLTVEEYETRFNELILYVPDLVKLEQDQANYFEEGLHNEIEERMTVIGREPHKEVVQMPLRAEKLANENRRIRAEIVKRINPGGFSNQPPKRGHCRSDCPQLGRATIAISSPPARTNVQRKDSTKVQLRPGVTIRSDVESNAPTYPPPRPQTRILTRVFAVAKEEAQVQPGAVTGTTILIDKDAYALIDFDLDRFYVSITFASFTSRNLSPLEEENVVHTHSGEKLIRNSCYRDYGVDMTVE >EOY26602 pep chromosome:Theobroma_cacao_20110822:6:14763505:14765959:1 gene:TCM_028444 transcript:EOY26602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transmembrane transporters MDQQSPSSSTTTTPLLLQHQPWWRRHFHIKNTLSSELSGAVGDLGTFIPIVLTLTLVSHLDLSTTLIFTALYNIATGLLFHIPMPVQPMKSIAAVAVSETPHLTTSQIATAGASTAAVLLLLGATGLMSTLYRLLPLPVVRGVQLSQGLSFAFSAIKYIRYNQDFIASKSTTPRAWLGLDGLILALSSLLFLVIFTGSGDHHTGDESNDNESRGRSSKRLRIMSSIPAALIVFLFGLVLCFIRDPTIFSDIKFGPSKIGFLSITWEDWKIGFLKGAVPQIPLSILNSVIAVCKLSGDLFPDRELSAAKVSVSVGVMNLVGCWFGAMPVCHGAGGLAGQYRFGARSGWSVVFLGIGKLVIGLVFGNSFVRILSQFPIGILGVLLLFAGIELAMASRDMNSKEESFVMLVCAAVSLTGSSAALGFVCGILLFLLLKLRRLQCSGSMFSKFKSGSAIDDETSSIP >EOY26769 pep chromosome:Theobroma_cacao_20110822:6:17750301:17756090:-1 gene:TCM_028729 transcript:EOY26769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGCSQSKIENEEAVTRCKERKQFMKEAVAARNAFAAAHSAYAMSLKNTGAALSDYAHGEVQNPNLSSHSGPSVVGPPPPQLPLVDTLLRPPPPPGNLSGDPGVPIQRSASMPIQMPLKGKQRETSTGTILEDEEEDDDVEGNDRLVKRRSGYRGSGSGGRSRREVVEEAEEVEERVTSTTVQARAMQSQPSQDSTYYYFFPTEDSVPGPSLGEVEETRVEDREVERKVFEEIPKAMEAEEKRRDEEVVVDRGQKTAMEAEKPVAAMAGVGKGTKKVGKVGVGSSGEKRLVKGSFNLLQVFAELDDHFLKASESAHEVSKMLEATRLHYHSNFADNRGHIDHSARVMRVITWNRSFRGLKSDNVDNVKDDFDSEENETHATVLDKLLAWEKKLYDEVKAGELMKFEYQRKVATLNKLKKRGNPEALEKAKAAVSHLHTRYIVDMQSMDSTVSEINRLRDDQLYPKLVQLVDGMATMWETMKVQHDSQFRIVTVLKDLDLSQSPKETSEHHHERTIQLLAIVQDWHMQFCKLVDHQKGYIIALNNWLRLNLVPIESSLKEKVSSPPRVETPPILGLLTAWQNQLEKLPDEIARSAINNFAHVLDTIMQHQLDEMKLKEKCEESEKELQRKERQFKDWYHKYMQRRTPEELDPERTEANPNNEAVTERQVMVEAVKKRWEEEKEAYQRLCIQVREKSMVSLKTRLPELFNAMTGIAKACSKLYGELRSISHSKNPSYSP >EOY26771 pep chromosome:Theobroma_cacao_20110822:6:17749032:17756341:-1 gene:TCM_028729 transcript:EOY26771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGCSQSKIENEEAVTRCKERKQFMKEAVAARNAFAAAHSAYAMSLKNTGAALSDYAHGEVQNPNLSSHSGPSVVGPPPPQLPLVDTLLRPPPPPGNLSGDPGVPIQRSASMPIQMPLKGKQRETSTGTILEDEEEDDDVEGNDRLVKRRSGYRGSGSGGRSRREVVEEAEEVEERVTSTTVQARAMQSQPSQDSTYYYFFPTEDSVPGPSLGEVEETRVEDREVERKVFEEIPKAMEAEEKRRDEEVVVDRGQKTAMEAEKPVAAMAGVGKGTKKVGKVGVGSSGEKRLVKGSFNLLQVFAELDDHFLKASESAHEVSKMLEATRLHYHSNFADNRGHIDHSARVMRVITWNRSFRGLKSDNVDNVKDDFDSEENETHATVLDKLLAWEKKLYDEVKAGELMKFEYQRKVATLNKLKKRGNPEALEKAKAAVSHLHTRYIVDMQSMDSTVSEINRLRDDQLYPKLVQLVDGMATMWETMKVQHDSQFRIVTVLKDLDLSQSPKETSEHHHERTIQLLAIVQDWHMQFCKLVDHQKGYIIALNNWLRLNLVPIESSLKEKVSSPPRVETPPILGLLTAWQNQLEKLPDEIARSAINNFAHVLDTIMQHQLDEMKLKEKCEESEKELQRKERQFKDWYHKYMQRRTPEELDPERTEANPNNEAVTERQVMVEAVKKRWEEEKEAYQRLCIQVREKSMVSLKTRLPELFNAMTGIAKACSKLYGELRYCVSEPFLPQLWPHLASLL >EOY26770 pep chromosome:Theobroma_cacao_20110822:6:17749036:17756341:-1 gene:TCM_028729 transcript:EOY26770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGCSQSKIENEEAVTRCKERKQFMKEAVAARNAFAAAHSAYAMSLKNTGAALSDYAHGEVQNPNLSSHSGPSVVGPPPPQLPLVDTLLRPPPPPGNLSGDPGVPIQRSASMPIQMPLKGKQRETSTGTILEDEEEDDDVEGNDRLVKRRSGYRGSGSGGRSRREVVEEAEEVEERVTSTTVQARAMQSQPSQDSTYYYFFPTEDSVPGPSLGEVEETRVEDREVERKVFEEIPKAMEAEEKRRDEEVVVDRGQKTAMEAEKPVAAMAGVGKGTKKVGKVGVGSSGEKRLVKGSFNLLQVFAELDDHFLKASESAHEVSKMLEATRLHYHSNFADNRGHIDHSARVMRVITWNRSFRGLKSDNVDNVKDDFDSEENETHATVLDKLLAWEKKLYDEVKAGELMKFEYQRKVATLNKLKKRGNPEALEKAKAAVSHLHTRYIVDMQSMDSTVSEINRLRDDQLYPKLVQLVDGMATMWETMKVQHDSQFRIVTVLKDLDLSQSPKETSEHHHERTIQLLAIVQDWHMQFCKLVDHQKGYIIALNNWLRLNLVPIESSLKEKVSSPPRVETPPILGLLTAWQNQLEKLPDEIARSAINNFAHVLDTIMQHQLDEMKLKEKCEESEKELQRKERQFKDWYHKYMQRRTPEELDPERTEANPNNEAVTERQVMVEAVKKRWEEEKEAYQRLCIQVREKSMVSLKTRLPELFNAMTGIAKACSKLYGELRSISHSKNPSYSP >EOY26768 pep chromosome:Theobroma_cacao_20110822:6:17749352:17756341:-1 gene:TCM_028729 transcript:EOY26768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGCSQSKIENEEAVTRCKERKQFMKEAVAARNAFAAAHSAYAMSLKNTGAALSDYAHGEVQNPNLSSHSGPSVVGPPPPQLPLVDTLLRPPPPPGNLSGDPGVPIQRSASMPIQMPLKGKQRETSTGTILEDEEEDDDVEGNDRLVKRRSGYRGSGSGGRSRREVVEEAEEVEERVTSTTVQARAMQSQPSQDSTYYYFFPTEDSVPGPSLGEVEETRVEDREVERKVFEEIPKAMEAEEKRRDEEVVVDRGQKTAMEAEKPVAAMAGVGKGTKKVGKVGVGSSGEKRLVKGSFNLLQVFAELDDHFLKASESAHEVSKMLEATRLHYHSNFADNRGHIDHSARVMRVITWNRSFRGLKSDNVDNVKDDFDSEENETHATVLDKLLAWEKKLYDEVKAGELMKFEYQRKVATLNKLKKRGNPEALEKAKAAVSHLHTRYIVDMQSMDSTVSEINRLRDDQLYPKLVQLVDGMATMWETMKVQHDSQFRIVTVLKDLDLSQSPKETSEHHHERTIQLLAIVQDWHMQFCKLVDHQKGYIIALNNWLRLNLVPIESSLKEKVSSPPRVETPPILGLLTAWQNQLEKLPDEIARSAINNFAHVLDTIMQHQLDEMKLKEKCEESEKELQRKERQFKDWYHKYMQRRTPEELDPERTEANPNNEAVTERQVMVEAVKKRWEEEKEAYQRLCIQVREKSMVSLKTRLPELFNAMTGIAKACSKLYGELRSISHSKNPSYSP >EOY26754 pep chromosome:Theobroma_cacao_20110822:6:17708629:17709743:-1 gene:TCM_028720 transcript:EOY26754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNPMDQSKQYIKGIDVDCDTQPMNPLDQSKQYITGIDVDRDTQPMNPTYQSKQYIIQYMDKKSVLGEGKKEN >EOY25696 pep chromosome:Theobroma_cacao_20110822:6:1297935:1307088:-1 gene:TCM_027081 transcript:EOY25696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 22, putative MAADVPDAPEEDVQVSPCCQVWKNKYSKAEKGRICLKQAVRLLEKGCDDIQAQNLTLKKAYEEEQARAKVEKEGREKESALRVSLENELCALKSEISNLKQKGVSDAEDKTDEMKLLKAIVSDREKEINWLKELVEKEKKRADLEKKNAAAEKRKAAEASKDAETEKGKGSEERRLADIERKKAEDYRTQLEALRKEVNEAKSKLVSEKSKFDKATKQLQEEKKKTVEQRKRADLYMAKAEEQRKIAEETMKKAAEARKRADLEIDQAEEQRKIAEETKKKAVEARKHADMEMAKVEEKRKLAEETKKKGKLAEETKKKAVEERKHADMEIAKAEEQRKLAEETKKKAVEERKRANLEVANVGEQKKIAEATKEAVEEKLHADNLFKQLEEARRRNGELEKKLHELSGSRNLVEGPFDQPDRKTSAEAATKKTAELEVLMKDADKSKAVSKLLHSEEVEKEKAIFERKRADSEMRKAEKKRKLVEENTKKAMEEKLRADHLLKQLEDARLKIDELKKQMNELSSSRKTVDALVFSSDKGISAEVAKVKLLKKQLKFEKQRVKHAKDVAKLEKSRSNLLQQKVGCMKLELVQFINRFDALDKCFSTPTEGIDDMEKAGDFSSMQWLKVKENLRSLNFCQTCLQTENQLLKTMCMDTTPSNPLGETFQHDAHLLPIQGGNCAESITGINSKLESLLGGSNRKMLQSSAINSSTASFSDRQLVGSQERGAFSVTTSAKLGEEILNVEQTVSGISGEVTKNRCNENVAVVAENSVRSPLPVDPLGRVNGCGKKRKRILNAVESIELLCFESKKLHLQLEDKLSALHGVVRGQMDKPTEEAKLLRSNLQDIAYAVHDRSHKKRKTSHEETVAMQQSCDGLQLTQMQNSLEPLEDANVFRPASQPANNLMNSTKVSGEAICDPHTIDPKIMVGFKEVVNGNYMKLLDLDDAVEEECYRMAADMPVSPTLPEIEFPGVETFQVDQFTHTHDENCEGFSHEDENVASSDSFDVINMEKGSNKLPCNRADTSLKVLQHENECSHGTIDIPRSNENGICSTMPAGRACLSHPQNSGVFERIPKYCVVFSDIKDASSISRIFFATKSCMAQCSLPAQTEFVVHRILHALKLEENLLAKEKVCVFFSLVLLNLCTATSGKCSLIRDLIPCLHLFAEHINAVMSDAEPRSVVAELCLDELLSVIEDFLIEGRILFYTDLSSESSSECDSRIHVTVDGSDVILLHEAASADLLVAGSIILGSICAAADRTGFMCEAVYNIFRMHRYDISVALLVLHVFAYVGGDKIFTSRKYSLTMTVLKSIVVFLEREHAPVATVTLSLVAEVQAECHACVGCPFSKDVLSVDIVVSLLFEKLQNYVQSGIMHQEVTANSSNSNVMSIQDKTEQNLGCVVDMNCDVSCCLDKYSVPGKQSGSFVAGTLCHISDVLSLIELLACNMSWVWTCEKIIAQLLSMLESPGLENLTLAIIILLGQLGRLGVDAVGYEDKEVENLRVKLSAFLFRETTIRAGLPIQLATVSALLGLISLDIEKVIQKNVTLPVMSGQFVHADLIRNWFPLLTEEQRAMSIRLFQSVD >EOY28478 pep chromosome:Theobroma_cacao_20110822:6:25439826:25443450:1 gene:TCM_030023 transcript:EOY28478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein, putative MAASPRKKNPSESSSDRQATAILVQPSSPRFPVTSSGAHRKIGIAVDLSDESAFAVKWAVHNYLRSGDTVILLHVRPTSVLYGADWGAIDVSLPDEDETQETKQKREEEFDLFTNKKMNDLALPLAESHIPFKVHVVKDHDMKERLCLEVERLGLSAVILGSTGFGAAKRTAKGRLGSVSDYCVHHCVCPVIVVRFPQEEKETDGCGKVEGKIGFDKDGEVLCPLVEEEEQEFHDAMDKHSADMQKAS >EOY26538 pep chromosome:Theobroma_cacao_20110822:6:13691010:13692577:1 gene:TCM_028330 transcript:EOY26538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSSTTMVDWGASTVAPRESGQRRPSRHQIRHRLGENRLDPRRKEELAGGEGTRPLTPPDLSPEGRADPGQLRRHRLGKPAVRVHRREISCRLTAKGSSPA >EOY28213 pep chromosome:Theobroma_cacao_20110822:6:24587635:24593428:-1 gene:TCM_029844 transcript:EOY28213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomerase activating protein Est1, putative MSSTLAVPLKDQKEKANFLLEIAKTEKQLWALIHSKGLLRSDVRDLYHKVCLSYESFILSDQELIELQDVEYSLWKLHYKHIDEFRKRTKRSSANSESVTSVMAPSCADDKHVEGFKSFLLKATEFYKNLIVKIRSHYGLPQESSLYKSGGSAASVEPKKLQKCHFLCHRFLVCLGDLARYMEQYDKSGVQKHNWSVAATYYLEATTIWPDSGNPQNQLAVLATYVGDEFLALYHCVRSLAVKEPFPDAQNNLILLFERSRSSHLHTLLSEAQFDFLKPSERSDASVKSRSSRNISDCCLLKGEHDHSAEMNFWPLLIRTLSFFFLKSSLEDFPCAFASTMRELDMMMVLDDMKLRAMLESYQLMDSARTGPFRALQAVSIFIFVFHYLINSPEIKGSKDGKSKQHLEKIQLALTATFIFMGRLVDRCLKANLLDSCPLLPTVLVFVEWLVSILDEVEPYGVDDKTTSSISYFFDTFIDLLKQFNVSVGVLSHERAALWEDYELRGFAPLVQIHVSLDFSTNWDHIDSYESGIACRIQRIINAAMKIADRSNGSYKWIAYDSSGRKFYAKDTNVMPERPESGKVGSTSSDVNVKGVHSHIDEATKECRTQIANENESNHAMNGKAVVMEEEEVILFKPLTRYNSAPLYGLRNNAKDPASPKEMEENVPSDECLRRATSLLIAQNQAHGDASDFHSDISNFSRSKPFKQQEPFVKDTTAFSFSEVPVSAGPPSLSAWVLNRGILSSTEEGRSDMSRQGLSPIDEIATPSLSGLSIWQTVDSVSSSRSEASTNHYSPPPYSAPVPSAPLLPDDAAWYNGTQSSISEVKGSGYISKPGNFYDASRVSGYPNWSPDGELNYGSAIPGFMEKYPPPFRGMTSSEWLRQFRESRNLVRANNHVSPINFFAPGNPRNFPTPDASRFGLFDQYGVPSVSNPTVNTESSIVHPGFPLAYGVDDQRREKLFHGYQRPSPYGCGAVTELRDEPQPLLQYLKEREWLLQQDPTLRNPTIWENKTCKSNR >EOY28182 pep chromosome:Theobroma_cacao_20110822:6:24478768:24484916:1 gene:TCM_029822 transcript:EOY28182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGALCNGPVVAGIGLFLVIMPKRKTKSESQSSCVIIHDDDDANINLAHPCMMGHFHACQVKSAVTPWLPTDSLARRGPQRCEDAT >EOY25636 pep chromosome:Theobroma_cacao_20110822:6:780153:780545:1 gene:TCM_027012 transcript:EOY25636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLKNIIVIYVKKKENPSTVFIVARNANLLLTSNVHSIRLWIRNLIKVRPLVCWIVKLQH >EOY28968 pep chromosome:Theobroma_cacao_20110822:6:26978452:26979589:-1 gene:TCM_030421 transcript:EOY28968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNCVNLSLSRAEKSEILIFSEGEKEFRASKLVKKVTCGPYHGYELVHSLPRSPLPPKTRLEPGKAGCLPPHLVQPHDHSISLKIIKKESFQGRNIKIVITRQQLEFLLGDAKMFQSKKIAVRSPGNFKRGDRK >EOY26390 pep chromosome:Theobroma_cacao_20110822:6:9011291:9024852:-1 gene:TCM_027940 transcript:EOY26390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPKTRAASRRAGEQDVPIEMADRPRASTQRGRGRRGRVTRPVGLDTPVSRQEEGQSSGDVDRHPARGITIEDLAAGLQGVNRVVEMMATHMEDIQRVVEGRPTVQESPSSQGQANHQHHEEERGHLDISLPDFLKLKPPTFSGSDASEKPQVFLDKMEKICKALRCSSVRSVELAAFQLEDVAQEWYSSLCRGRPTNATPLAWSEFSVAFLDRFLPLSVRNARAREFETLVQTSSMTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVEPLFRVVASRDFTTYSAAVDRAQRIEMRTSESRAARDRAKRGKTEGYQGRRDFSSGGSSSSRQGPQRDSRLPQQGSDAPGANIREIFVAEWEYESCVVRVKDKDTSVNLVVLDTLDFDVILGMNWLSPCHASVDCYHKLVRFDFPGEPSFSIQGDRSNAPTNLISVISARRLLRQGCMGYLAVLKDSQAKIGDVTQVSVVKEFVDVFPEELPSLPPEREVEFCIDLIPDTRPISIPPYRMAPAELKELKDQLEDLLDKGFIRPSVSPWGAPVLFVKKKDGSLRLCIDYRQLNKVTVKNKYPLPRIDDLFDQLQGAQCFSKIDLRSGYHQLRIRNEDIPKTAFRTRYGHYEFLVMSFGLTNAPAAFMDLMNRVFKPYLDKFVVVFIDDILIYSKSREEHEQHLKIVLQILREHRLYAKFSKCEFWLESVAFLGHVVSKEGIRVDTKKIEAVEKWPRPTSVTEIRSFVGLAGYYRRFVKDFSKIVAPLTKLTRKDTKFEWSDACENSFEKLKACLTTAPVLSLPQGTGGYTVFCDASGTLEDMLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWLEVGERKLLGPELVQDATEKIRMIRQKMLTAQSRQKSYADNRRRDLEFQVGDHVFLKVSPTKGVMRFGKKGKLSPRYIGPFEILDKVGAVAYRLALPPDLSNIHPVFHVSMLRKYNPDPSHVIRYETIQLQDDLTYEEQPVAILDRQVKKLRSKDVASVKVLWQNHTSEEVTWEAEDEMRTKHPHLFDM >EOY27987 pep chromosome:Theobroma_cacao_20110822:6:23856494:23857106:1 gene:TCM_029687 transcript:EOY27987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKTHTRTKNKFPCNMVHGGCFAPPPPTTLSHTPMHVEPIPQPPPADVGVAILGPERTAACHVSLL >EOY25949 pep chromosome:Theobroma_cacao_20110822:6:2877598:2878717:1 gene:TCM_027308 transcript:EOY25949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein MDWFSWLSKTGLEPSLVYEYGLAFSHNELEEEDIAYFNHEFLQSMGISIAKHRLEILKLARKEKGVSPRAVSRLLLAIKRTKKCLAKYIRTLVHREESALVVVNPRSRSGYANKWRGAMLKRNKKLVMATQGRLLLTNGTPLLVSGPARVESFSSPMVFDYQKEEKKDENEDGYWSTAVEEIRWDSMFQDLKPT >EOY28825 pep chromosome:Theobroma_cacao_20110822:6:26642419:26645798:-1 gene:TCM_030315 transcript:EOY28825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein MQSALTKLLNSIRTPIPSQPHTLFVGFVLERKESTHPRVKNMDRRDIKSRIKWSLSFVFDSCLVIALVFFHLENPKKTMATVSQLNQFPCKTFLSTPRSRNLASKPSILPQNSTKPTQNLIKKSQFFTLKAVRERPVFQVRAVYDDEYGPDKAEEEEEEQPVTESPAVAVAEEEKPKEVSEVDSLKKALVDSFYGTNRGLKATSETRAEIVELITQLEAKNPTPAPTEALTLLNGKWILAVKLPVSSLLVFCLDVAIKYTSFPGLFPLLSRGQLPLVKVEEISQTIDAESFTVQNSVQFSGPLASNTISTNAKFEVRSPKRVQIKFQEGIIGTPQLTDSIVLPENVEFLGQRFDLTPVKGLLTSVQDTASSVAKTISSQPPLKFSLSNSNAESWLLTTYLDEELRISRGDAGSVFVLIKEGSALLTP >EOY28394 pep chromosome:Theobroma_cacao_20110822:6:25225462:25232780:-1 gene:TCM_029973 transcript:EOY28394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MSLPKELYPSQDDLLYEEELLRNPFSLKLWWRYLIARSDAPFKKRFIIYERALKALPGSYKLWHAYLRERLEIVRNLPVTHPQYETLNNTFERALVTMHKMPRIWIMYLLTLTEQKLISKTRKTFDRALCALPVTQHDRIWEPYLVFVSQKGIPIETSLRVYRRYLKYDPSHIEDFIEFLVNSSLWQEAAERLASVLNDDQFYSIKGKTKHRLWLELCDLLTTHATEVSGLNVDAIIRGGIRKFTDEVGRLWTSLADYYIRRNLFEKARDIFEEGMTTVVTVRDFSVIFDAYSQFEESMVALKMESIDLSDEEEDDDVEEDEHEEDIRLDIDLCKSKSKFEKHIFKGFWLHDDKDVDLRLARLEHLMNRRPELANSVLLRQNPHNVEQWHRRVKLFEGKPTKQILTYTEAVRTIDPMKAVGKPHTLWVAFAKLYETYKDLANARVIFDKAVQVNYKTVDHLASVWAEWAEMELRHKNFKGALELMRRATAEPSVEVKRRVAADGNEPVQMKLHKSLRLWTFYVDLEESLGTLESTRAVYERILDLRIATPQIIINYAFLLEENKYFEDAFKVYERGVKIFKYPHVKDIWVTYLSKFVKRYGKTKLERARELFEHAVETAPADAVKPLYLQYAKLEEDYGLAKRAMKVYDQATKAVPNNEKLGMYEIYIARAAEIFGVPKTREIYEQAIESALPDKDVKTMCLKYAELEKSLGEIDRARGIYVFASQFADPRSDADFWDKWREFEVQHGNEDTFREMLRIKRSVSASYSQTHFILPEYLMQKDQNIDEAKEKLKQAGISEDEMATLERQLLPAANDSSREVGFVSAGVESQADGGMKTTANHEDIELPEESDSEDEERVEIAQKDVPSAVFGGLVRKREDSDKDGGGGDVSAANDKDDALNPLYLQFAKPEEDYGLAKRAMEVYDQATKAVPNHEKLGMYEIYIARAAGISGVPKTREIYEQAIESGLPDEDTKTMCLRYAELENSLGEIDCARGIYVFASQFADPCPDADFWDEWRGFEVQHGNGDTFTECFCKIRARHIQ >EOY28921 pep chromosome:Theobroma_cacao_20110822:6:26880030:26881388:-1 gene:TCM_030388 transcript:EOY28921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MSDLSSCGSSDKDVEPFVEVDPTGRFGRYPDLLGAGATKQVYRAFDQEEGREVAWNQISLTKFMDNDYIIKKLYAEVGLLAKLDNKNVISLFSYWTDYEHNRLNFITEVCTSGNLRDYRKKHRRYVTINAVKMWSRQILKGLEYLHMHEPCIIHRDLNCSNVFINGNAGEIKIGDFGFATVVGKNHIAQSVIGTPEFMAPELFEENYTEAVDIYSFGMCLLELITQELPYSECDSIAKIYKKVSAGVMPEAIDKVKDPKAKAIIKKCLVKQSERPSATQLLADSFFHGLDQDENYDEAF >EOY28566 pep chromosome:Theobroma_cacao_20110822:6:25824612:25828948:-1 gene:TCM_030107 transcript:EOY28566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich protein, putative isoform 1 MIPKSEEKLIITMLSFNIYKTFVIYFLLSLLKLMLTCQGAPIFLYHDCTNTTSFSTNGTFQRNLNLLLSSLSSNSTRDNRFYNLTVGSDPENIVYGLFVCRGDATDDLCRDCVETATKDIVQRCPTDKAAIITYEECMLRYSNQNFFGKLETKPALQLVNNLNISQQEQFLEALERTTRNVTAKIANDRSGRRFATVESPFTSKQKIYALGQCTQDLSVADCNKCLEAAFAYFIPSCCGVREGGRVIFPSCNFRYELYPFYSVAESPTSTAGPGSKGKRNLSPGKIIGIAAATVSVAFVVLLIAAFCCLTRKRKKNQHAMEEQTAANDMTTVESLQFDLGTIAAATNKFSHVNKLGEGGFGQVYKGVLRNGQEIAVKRLSGRSGQGDKQFKNEVAVVAKLQHRNLVRLLGFCLERGERLLIFEFVPNKSLDCFLFGTQNRGELDWPTRYNIIKGIARGMLYLHEDSQPRIIHRDLKVSNVLLDREMNPKISDFGMARIFGVDEQQGSTSRIVGTYGYMSPEYAMHGQFSVKSDVYSFGVSVLEIISGKKNNSFHQVDGFEDLLSYSWKLWSDGAALELLDPLLRESYSEDEVIRCMQIGLLCVQEDPAKRPTMTTIVLMLNSQILTLEAPQRPASFFRYKDETSIPTNEGQSPLLSMPSSINETSISETYPR >EOY28565 pep chromosome:Theobroma_cacao_20110822:6:25824864:25828948:-1 gene:TCM_030107 transcript:EOY28565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich protein, putative isoform 1 MIPKSEEKLIITMLSFNIYKTFVIYFLLSLLKLMLTCQGAPIFLYHDCTNTTSFSTNGTFQRNLNLLLSSLSSNSTRDNRFYNLTVGSDPENIVYGLFVCRGDATDDLCRDCVETATKDIVQRCPTDKAAIITYEECMLRYSNQNFFGKLETKPALQLVNNLNISQQEQFLEALERTTRNVTAKIANDRSGRRFATVESPFTSKQKIYALGQCTQDLSVADCNKCLEAAFAYFIPSCCGVREGGRVIFPSCNFRYELYPFYSVAESPTSTAGPGSKGKRNLSPGKIIGIAAATVSVAFVVLLIAAFCCLTRKRKKNQHAMEEQTAANDMTTVESLQFDLGTIAAATNKFSHVNKLGEGGFGQVYKGVLRNGQEIAVKRLSGRSGQGDKQFKNEVAVVAKLQHRNLVRLLGFCLERGERLLIFEFVPNKSLDCFLFGTQNRGELDWPTRYNIIKGIARGMLYLHEDSQPRIIHRDLKVSNVLLDREMNPKISDFGMARIFGVDEQQGSTSRIVGTYGYMSPEYAMHGQFSVKSDVYSFGVSVLEIISGKKNNSFHQVDGFEDLLSYSWKLWSDGAALELLDPLLRESYSEDEVIRCMQIGLLCVQEDPAKRPTMTTIVLMLNSQILTLEAPQRPASFFRYKDETSIPTNEGQSPLLSMPSSINETSISETYPR >EOY27954 pep chromosome:Theobroma_cacao_20110822:6:23743017:23743722:-1 gene:TCM_029662 transcript:EOY27954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MINHVCLGILVSSPFRFRKFFFAGKPKAKISRFAREVTVVFRAQHCLVLWDSPHIKPWNFLTTKRFLPRRNLCYKILGREYKMMATGNEC >EOY28294 pep chromosome:Theobroma_cacao_20110822:6:24851801:24864041:1 gene:TCM_029906 transcript:EOY28294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sensitive to freezing 6 MNQQQGSGNNKDPEEEPVTQSVVDSTVKTGPDRPVAPEPVAVTGEDEVVTSSEKTEDTPMEDDSVNPATVFCIRLKQPRSNLQHKMSVPELCRNFSAVAWCGKLNAIACASETCARIPSSNANPPFWIPIHIVIPERPTECAVFNVIADSPRDSVQFIEWSPTSCPRALLIANFHGRITIWTQPSQGPAHLVRDASCWQREHEWRQDIAVVTKWLSGVSLYRWLSSKSSNPANSKSTFEEKFLSQQSQNSARWPNFLCVCSVFSSGSVQLHWSQWPPTQGSTARKWFCTSKGILGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTVVVWEVTPGPGNGFQATAKTSTSSGIPPSVNPPNWAGFAPLAAYLFSWQEYLISEAKQGKKSTDQDFNDAASLHCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTRGGSVIAVVIVEGQYMSPYDPDEGPTITGWRVQRWESSLQPVVIHHIFGNPSSSFGGQAPMQTVWVSKVDTSIPPTNDFKIQQAAAAGPTPDVRKASDLSAEKAKRVSFDPFDLPSDVRTLARIVYSAHGGEIAISFLRGGVHIFSGPDFTAVDNYQINVGSAIAAPAFSSTSCCSASVWHDTSKDRTILKIIRVLPPAVSSSEIKANSSTWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSIQHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALVNPSALVSEPWHASGETLASIDLEAMAVDPALVPSIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVNAGSGSNRNMVASPTQSSATPATSQAGQSGTTSSTGSTQMQAWVQGAIAKISSSTDGVANSTPTISGPSTFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRAQHPRCAQRTADANHQKSQPGAPGKMEEVNSVSVKPTTTMTRSDEAQGSRTGQVVPGAKGFEEGPAGRLKMGSGNAGQGYTFEEVKVLFLILMDLCRRTAALAHPLPVSQVGSSSIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPSEEWHRRNMFGGPWSDPEDMGPIDDSPRLSNSIDSIDMSSLENFDGYYGAQTLWPRKRRLSERDAAFGLNTSVGLGAYLGIMGSRRDVVTAVWKTGLEGVWYKCIRCLRQTSAFASPGSTSQRSQNERETWWISRWAHGCPMCGGTWVRVV >EOY26803 pep chromosome:Theobroma_cacao_20110822:6:17910180:17911248:-1 gene:TCM_028756 transcript:EOY26803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptic loci regulator protein 1, putative MDPPSSSQTATATASDEWELYNDDGFIYKRKKRPRFLTEPAPLPADPEEEEKRRREWKRKNLLRVREKYKKEIKEWEILSNTLKAMQEKALEFQVQQQERRKLRETEEKQRTASSSGSEKKDKDKKENASGSLVDELLLQVEAQDMTIRDVSNLCDIAEAMCNAQEEQLKLSYFDLPIWASPRDLMASLCDE >EOY27568 pep chromosome:Theobroma_cacao_20110822:6:22415972:22421337:-1 gene:TCM_029398 transcript:EOY27568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDAEQNSVFGSCPEFGAIFISNNSTKKECLRRKIFALPSSQYHFVKQVKAGMILFLFEFERRELHGVFQACSDGAMNILPHAFSSSGKEFPAQVKVNPMWNCLPLSENEFRDAIRENYFSRYKFNFGLSEDQVRRLLLLFSFKRLKDQAPQRQLPRSKVAARPSVYSTRKLRRVVDNDRSLMRNQVLGECDVDNNHRPVISTKHHGDSFYNDDRATDDGGFGTYMDVGDKHKASAFLNECFQDHMGKVGGNIDAGECTTNDGLEIERNTGIELQPEVSVGYSLCNFRSFSNDVRFAKSDRLETECYKDDGFASTISTTYPSSFQSKVNPHACPSKHVLEADSYVHDPTRPSSTFRPSMELQNPNVSYPRTYEDSIVTTALPYDPDVPSINYQGPSSLGFNQGHASFQEYSSHDSFVANVFGFSKNQSFPSLLETNTTITVDVNSGSMDFIPLPYSNQYEHSSRTSLPRHAYSDDIAAEYSKNECSDDVSFLKPSLAPVPSSEIRNNVRMSTQPSSYGTSLIKFPSLMFSSRYPTLLQARHDCQVPERENNAGFGNDVSMFKEYRHHGDSFYNENKAIEDGTFATYNYVECEHKEGQYPLHVHEPANIDYHEVSSLNPAAYQNSECLYPDHRKKRGSVFSRLALPPKVCEQESNTSPGTADINRSTSVNEVMDMLHSSHKHWRKTRTKQLVQQHDNAASFRDKKQAAKKESLAMISKEMNLKPAPFSKENSSQKTEETTFVDFRRRRVVRKNLEDGKTGNHCESLENKSASASQSKRRKLIRPNFCENESFERGISGDAPENLIPSSVGCSVSKNAESIKASVCHENEDDISQNVKLPNAMCQTAVEGNIDKGSDSNSEQFSMESLIALRSADEDGKESSQNHGISSMTSVSCGDMRKEIEQDLSNRDLGMDGLFQERNNVSHSNHESSPKICEDNDGSGVAKSELLHNIEQINDI >EOY28146 pep chromosome:Theobroma_cacao_20110822:6:24340040:24347107:1 gene:TCM_029793 transcript:EOY28146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein MAGEEATTSSGTTLERTPTWAVATVVFVLILISIFIEHMLHLLAKYFNKKRRKSLIQALDKIKSELMLLGFISLLLTVAEKQIANICIPKNVGESFLPCGDYSNDSEEEAKCEEQGKVSLLSRQGVRELQYLIFVLAFFHCLSSVLTFSLGMAKMRRWESWEAETRTLEYQFSNDPRRFQLIHQTSFARRHLRFWSEHRFLRWPACFLRQFYASVSKVDYFTLRHGFITAHFAEGSNFDFQKYIKRALEKDFGVVVEISLWIWIFSMFFIFFNAHGFYNYLWLPFIPLLMLLLVGTQLQGIITKMCLDSHEKSQVVRGTFLVRPSDHFFWFGWPKLLLHIMHFILFQNSFQLAFFTWTWYKFGFRSCFHRKTEDIVIRVAMGVLVQILCGYVTLPLYALVTQMGTSMKKAVFPETVVEGLNRWRANARKNVAVRNNTSPWPSLETSPSFGTSPSFSPDASYSVKYEQPISNSDQYLPVEIQEIQDEGKESISRETEEHQKGASFGGFDVRNVNNEKHDY >EOY28929 pep chromosome:Theobroma_cacao_20110822:6:26889515:26891786:1 gene:TCM_030392 transcript:EOY28929 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA--methyltransferase isoform 1 MEAAQKEQNSEENTSNPQPQPLSKSAQKKLLKQQKFEAKKAEKKAWMKEQKQRDAERKRKEWEEKLAGLSEDERLKLIDSRKELRRERMEKRSEERGQKIERLTKAKENGQNIVVDLEFSHLMTHSEIHSLVQQIMYCYAVNGRSSVPAHLWLTGCQGEMETQLRRLPGFDKWIIEKEKQSYIQAFSDQKHNLVYLTADSETVLDELDLSKVYIVGGLVDRNRWKGITMKKAEEQGIHTAKLPIGTYMKMSSSQVLTVNQVIEILLKFLETRDWKASFFQVIPQRKRSEADSETCQELDGEECEEDNDESERKKKCIEEPSHD >EOY28930 pep chromosome:Theobroma_cacao_20110822:6:26889855:26891809:1 gene:TCM_030392 transcript:EOY28930 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA--methyltransferase isoform 1 MEAAQKEQNSEENTSNPQPQPLSKSAQKKLLKQQKFEAKKAEKKAWMKEQKQRDAERKRKEWEEKLAGLSEDERLKLIDSRKELRRERMEKRSEERGQKIERLTKAKENGQNIVVDLEFSHLMTHSEIHSLVQQIMYCYAVNGRSSVPAHLWLTGCQGEMETQLRRLPGFDKWIIEKEKQSYIQAFSDQKHNLVYLTADSETVLDELDLSKVYIVGGLVDRNRWKGITMKKAEEQGIHTAKLPIGTYMKMSSSQVLTVNQVIEILLKFLETRDWKASFFQVIPQRKRSEADSETCQELDGEECEEDNDESERKKKCIEEPSHD >EOY28161 pep chromosome:Theobroma_cacao_20110822:6:24402108:24406319:1 gene:TCM_029804 transcript:EOY28161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate/phosphate translocator-related MESRLLSRASTITGFPQLRPKLSRDSVNVSFASSKPIGAVGGGGNLIWGRQLRPALLLESSSVSKREILKPVSAAASSPAEGGSDSAGDAKIAPVGFFEKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLVSWAVGLPKRAPMDSNLLKLLIPVAVCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQSIPFTLWLSLAPVVIGVSMASLTELSFNWTGFISAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALFVCIPPAIILEGPQLIKHGFGDAIAKVGMTKFISDLFWVGMFYHLYNQLATNTLERVVPLTHAVGNVLKRVFVIGFSILIFGNKISTQTGIGTVIAIAGVAIYSFIKARMEEEKRQMKAA >EOY26965 pep chromosome:Theobroma_cacao_20110822:6:19398067:19402761:1 gene:TCM_028918 transcript:EOY26965 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphofructokinase 3 MDSISCSASRITPRFRCFDPTNTYPATANHRRYYSTLPLSGSRSPRAANTNMGDSTTITEPKIVTGDCGYVLEDVPHLSDYIHDLPTHTNPLQDNPAYSVVKQYFVNVDDTVAQKIVVQKDSPRGTHFRRAGPRQKVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLYHMYGVTRVLGIEGGYKGFYARNTVPLTPRVVNDIHKRGGTILGTSRGGNDTSKIVDSIQDRGINQVYIIGGDGTQKGASVIFEEIKRRGLKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAIAAAHVESESVENGIGLVKLMGRHSGFIAMYATLASRDVDCCLIPESPFYLEGAGGLLEYIEKRLKENGHMVIVVAEGAGQEFLSENIRTIDKQDASGNKQLQDIGFWISQKIKDHFSKQKKMAMNLKYIGQLLKTLHDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYTGFTVGPVNGRHAYIPFHRITQKQNKVVITDRMWARCLSSTNQPSFFSPQQLLEKKTQVEVEENHIDH >EOY27140 pep chromosome:Theobroma_cacao_20110822:6:20402918:20404571:1 gene:TCM_029063 transcript:EOY27140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34, putative MATKALVRTGASLMNRLIANPLLNQKPISNHLIANQGFQITPQLFPSLLKPQPSLHFPQNDADSLSKVSSLGFLYPTGLPSFRFFLPDVDSASEPMLLFPKRTYQPSHIKRKRTHGYFARKATKGGRRVIARRIAKGRSRITA >EOY28247 pep chromosome:Theobroma_cacao_20110822:6:24720785:24721175:-1 gene:TCM_029871 transcript:EOY28247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYPEEDILTQMPKEICPKGTVETTKDSKCQRRFAQMDRRLHWRKLPEEISHTSNKEVNLPGVLFEGQSEVPSMEGDPQSKSCK >EOY27094 pep chromosome:Theobroma_cacao_20110822:6:20107415:20110213:1 gene:TCM_029028 transcript:EOY27094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSHGGSGQSGVKAGDVFGNEMVFEGKQWNANQCFELVKIIFATWANAKWPKEFPSIFDTYRQPPTKCEQQKWSKAKKECRNRRCQPCQDTSSKGSTCGVRNIKVEGLKG >EOY28037 pep chromosome:Theobroma_cacao_20110822:6:24012365:24013819:1 gene:TCM_029720 transcript:EOY28037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated gene 12, putative MAFALKNKFLVFILIVSGALASAMSRTLSETVVAEKYKQWMAQYGRTYEMKEEENMRFEVFKNNLEYIENFNNMGNQPYKLSINEFADLTNEEFLAYYAGYKIIPSTVSSKTKRFKYQNLTNVPASIDWRNKGAVTQIKDQGHCGSCWAFSTVAAVEGIAKIKTGRLFSLSEQQLVDCVRTKGSQGCKGGWMHDAFEYIVKNHGLAEETRYPYKKKDGTCSPRKAAIKAVQILGYEDVPHKNEEALLKAASQQPVSVALDGNGTAFQFYSGGVFTGPCRTSLNHAVTVVGYGTSEDGSKYWLIKNSWGKSWGENGYMRIKRDFNSKKGLCGIAKRASYPVA >EOY26231 pep chromosome:Theobroma_cacao_20110822:6:6209760:6235471:1 gene:TCM_027690 transcript:EOY26231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 11 MASALAGDDLAARSLSSGRRWGSARFREIWQSPPEVFDRSQRHDTEEDLMWAAIERLPMYDRLRKGLLRKVADNGNVVLDEVDVTKLGTQHKKQLMGSILKVVEEDNENFLRRLRDRTDRVGIEIPKIEVRFERLSVEGDVYVGSRALPTLLNVTLNTMESILGLIRLIPAKKRKIEILKDVSGMVKPSRMTLLLGPPAAGKTTLLLALAGKLDHDLRSCGRITYCGYEMSEFVPQRTCAYISQHDLHHGEMTVRETLDFSGRCLGVGMRYEILKELSKREQEAGIKPDPEIDAFMKATAMAGQETSLVTDYILKILGLEICADTLVGDEMRRGISGGEKKRLTTGEMLVGPAKAFFMDEISTGLDSSTTFQICKFMRQMVHVMDVTMVISLLQPAPETYDLFDDIILLSEGQIVYQGPTESVLEFFEYMGFKCPERKGVADFLQQVTSKKDQEQYWLKKDQPYRYVTVSDFVQGFNSFHVGQKLALDLMVPNDRSGGPYPALVTEKYGISNWELFWACFAREWLLMKRNLFVYIFKTTQITIMSLIGMTVFFRTEMHAGNLEDGGKFFGALFFSLINVMFNGMAELALTVLRLPVFYKQRDYLFYPAWAFSLPIWVLRIPLSFVESGIWIILTYYTIGFAPAPSRFFRQLLAFFGIHQMALSLFRFIAAVGRTQVIASTLGTFTLLIVFVLGGFIVSREDIKPWMIWGYYLSPMMYGQNAIVMNEFLDKRWNAQNNDTRINATTVGQVLLKTRGFFTEDYWFWICVGALFGFSVIFNILFIGALIYLNPLGDSKAIVVDKDETKKNEESFSGQHTEEGTATSVRNSSNVSHVVDRATRKGMVLPFLPLSLAFNHVNYYVDMPSEMKNQGVKEDRLQLLRDVSGAFREGILTALVGVTGAGKTTLMDVLAGRKTGGYIEGSISISGYPKNQATFNRVSGYCEQNDIHSPNVTVYESLLYSACLRLSSEIDTNTRKMFIEEVMELVELKPLRDALVGLPGIDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTIVCTIHQPSIDIFEAFDELLLMKRGGQIIYAGPLGRNSHNLVEYFEAVPGVPKIKDGYNPATWMLEISTPAVEGQLDVDFAEIYAKSSLYQRNQERIKELSTPAPGSNDLYFPTKYAQPFLSQCKVCFLKQHWSYLRNPQYNAIRFFITFVLGILFGLIFWNKGQQIAKQQDVLNFFGAMYSAVFFLGAVNASSVQAIVAIERTVFYRERAAGMYSPLPYAFAQVAIETIYTSIQTLIYVLLLYLMIGYEWKAGKFLWFYYYITSSYVYFTLYGMMVVALTPGHQIAAIVMSFFLSFWNMFSGFLIPRPQIPIWWRWYYWATPVAWTLYGLITSQVGDKDTFLEVPGSADIPLKTYIKEMFGFEYDFLPVVAVAHICWCLLFFFVFAYGIKFLNFQRR >EOY28654 pep chromosome:Theobroma_cacao_20110822:6:26155249:26157832:1 gene:TCM_030194 transcript:EOY28654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthetase 1 isoform 4 MGIYRSLQALITKSPEKTRTFLTAASTSSTTCIIPPLSSPLFHSRVSPSSPSLSKWIAPFNGPLFLSSPPWKLSQSATPLWGNVAVFRKVQALNLDLVRGGAKFPVKIRFGAVLSDPRVSNRVETDREVDKEREAVVESFVNLPNLISMSRLVSGPLLGWMIANEMYGFAFVGLAISGATDWLDGYMARKMRINSVVGSYLDPLADKVLIGCVALSMVHNQLLHPGLVGLVVLRDVALVSGAVYQRASSLGWQWKSWLDFFNLDGTSPQKVEPLFISKVNTVFQLILVASALLQPEFGTPETQSCITYLSWLVAATTVGSTLAYGAQYMRKRPRLIARES >EOY28655 pep chromosome:Theobroma_cacao_20110822:6:26155252:26157846:1 gene:TCM_030194 transcript:EOY28655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthetase 1 isoform 4 GKLTRGKCIYNSRRRRRLCRHHLPKPLQNLGKSKHNITFRRDSISLFCLGLSVQSNASSMGIYRSLQALITKSPEKTRTFLTAASTSSTTCIIPPLSSPLFHSRVSPSSPSLSKWIAPFNGPLFLSSPPWKLSQSATPLWGNVAVFRKVQALNLDLVRGGAKFPVKIRFGAVLSDPRVSNRVETDREVDKEREAVVESFVNLPNLISMSRLVSGPLLGWMIANEMYGFAFVGLAISGATDWLDGYMARKMRINSVVGSYLDPLADKVLIGCVALSMVHNQLLHPGLVGLVVLRDVALVSGAVYQRASSLGWQWKSWLDFFNLDGTSPQKVEPLFISKTSNFCRLTQFSS >EOY28657 pep chromosome:Theobroma_cacao_20110822:6:26155252:26157846:1 gene:TCM_030194 transcript:EOY28657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthetase 1 isoform 4 GKLTRGKCIYNSRRRRRLCRHHLPKPLQNLGKSKHNITFRRDSISLFCLGLSVQSNASSMGIYRSLQALITKSPEKTRTFLTAASTSSTTCIIPPLSSPLFHSRVSPSSPSLSKWIAPFNGPLFLSSPPWKLSQSATPLWGNVAVFRKVQALNLDLVRGGAKFPVKIRFGAVLSDPRVSNRVETDREVDKEREAVVESFVNLPNLISMSRLVSGPLLGWMIANEMYGFAFVGLAISGATDWLDGYMARKMRINSVVGSYLDPLADKVLIGCVALSMVHNQLLHPGLVGLVVLRDVALVSGAVYQRASSLGWQWKSWLDFFNLDGTSPQKVEPLFISKVSITLCFSDWVE >EOY28656 pep chromosome:Theobroma_cacao_20110822:6:26155252:26157846:1 gene:TCM_030194 transcript:EOY28656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthetase 1 isoform 4 GKLTRGKCIYNSRRRRRLCRHHLPKPLQNLGKSKHNITFRRDSISLFCLGLSVQSNASSMGIYRSLQALITKSPEKTRTFLTAASTSSTTCIIPPLSSPLFHSRVSPSSPSLSKWIAPFNGPLFLSSPPWKLSQSATPLWGNVAVFRKVQALNLDLVRGGAKFPVKIRFGAVLSDPRVSNRVETDREVDKEREAVVESFVNLPNLISMSRLVSGPLLGWMIANEMYGFAFVGLAISGATDWLDGYMARKMRINSVVGSYLDPLADKVLIGCVALSMVHNQLLHLFCAVKLDLSD >EOY28729 pep chromosome:Theobroma_cacao_20110822:6:26377871:26380773:1 gene:TCM_030246 transcript:EOY28729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase family protein MVFSLTPLHFSSNLSPGISKTSHLLLLRRHLSRPIENMSWSCKKCTFLNSPSQNAACKICLSPPSPSPSPSSPPKWPCKACTFLNLYKNPNCEICGTRAPVSSLSSFEDLKDTSLDGELDSSVGSVFYPLKPCSKRKIRYPDVGNEDCLESSSFRGIKASNKAVAVEEDSNLGAVESSLKILSYNVWFREDLEVHKRMKAIGDLIQLHSPDLICFQEVTPNIYDIFRQSNWWNVYRCSVSVDMANSRGYFCMQLSKRPVKSFSCKPFGNSVMGRELCMTEVEVFGGKSLVVATSHLESPSPAPPKWDQMFSKERVEQAKEAINILKNNPDVIFGGDMNWDDKLDGQFPLPDGWIDAWRELRSAEDGWTYDTKSNQMLSGNRTLQKRLDRFVCNLNDFKVSGIDMIGIEALPGLSYIKEKNVRKEKKLLELPVLPSDHYGLLLTISTK >EOY27754 pep chromosome:Theobroma_cacao_20110822:6:23045536:23048240:-1 gene:TCM_029524 transcript:EOY27754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MQSDQQFYPPKAVPTLADQVGDNYMHIPVSSAFGTVFPPCAKPLPPLHGIEFQPSEACPKNFIIFDQSDHRNQIMFNPDIAHKLNGHGLNIFATYIDGKYERKDVNNVEKETSSSMKEDSDDIDALLSSEGEEQEDYDEEEMSTARTNGNYESDSADSRSAYCSKPRKNRSCSSALKSSGSGDSCDPEIKRLKMKKMVKVLRGIVPGADQMGTVAVLDEAVKYLKSLKVEVQKLGVGNFKNGD >EOY28461 pep chromosome:Theobroma_cacao_20110822:6:25409912:25413411:-1 gene:TCM_030015 transcript:EOY28461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MIIADGGELPARLEGKFSAMVICWILGLGSLVAWNSMLTIGDYYYNLFPTYHPSRVLTLVYQPFALGTMAILAYNESRIDTRQRNLVGYLLFFASTFMLIVLDLATSGRGGVGPFIGICAFVGGFGIADAHVQGGMVGDLSFMLPEFIQSFLAGLAASGALTSALRLITKAAFEKSNDGLRKGTMLFLGISTFFELLCVLLYAFFFPKLPIVKYFRSKAASEGSKTVKADLAAAGIQTKEDQGDEDNAKQTDRLSNKQLFFQNIDYALDLFLIYVLTLSIFPGFLYENTGEHKLGTWYPLVLIASYNLWDLISRYLPLVKFLMIESRKGLTIAILSRFLLIPAFYFTANYGDQGWMILLVSFLGLTNGHLTVCVLTAAPKGYKGPEQNALGNLLVLCLLAGIFAGVALDWLWLIGKKNAF >EOY26216 pep chromosome:Theobroma_cacao_20110822:6:5871281:5888485:-1 gene:TCM_027657 transcript:EOY26216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPPRRGRPPLTRSVGRGKGRSQRHQPNIVEEESAASTIRAAPAAEQADSPPHPPSPQPPTGIPAMPTEAAQALAAFFAAMAGQAQTGQVPPVVPPTTPLVPPPVQDVSISKKLKEARQLGCVSFTGELDATVAKDWINQVSETLSDMKLNDDMKLMVATRLLEKRARTWWNSVKSRSATPQTWSDFLREFDGQYFTYFHQKEKKREFLSLKQGNLTVEEYETRFNELMLYVPDLVKSEQDQASYFEEGLRNEIRERMTVTGREPHKEVVQMALRAEKLATENRRIRTEFAKRRNPGMSYSQSVKRGKDSAISRSTTSISVTSPRPPFPPSQQRPSRFSRSAMTGSGKSFGGSDRCRNCGNYHSGLCREPTRCFQCGQTGHIRSNCPRLGRATVVASSSPARTDIQRRDSSGVATETGSGHTVRCGE >EOY25662 pep chromosome:Theobroma_cacao_20110822:6:1038179:1042235:1 gene:TCM_027045 transcript:EOY25662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSLCASHNDHKVAPQMIIPFLKALPPNAKRPFLDKVKSGGKSTLGNTPTLENKAPDELTKVACLALVTCMSLYTANEKKRGYLEERGKFSSHRKIVAPSPIIQWQGIHRVSLVSYLPTIKCLHRLSLSIPYLPPPFGKKDLNLSIGDLARFSSEGINTKRGKRIKDRVTWEKLESGALKFNTDGAFTSNLREFGINGILKYENRVTLTFFSKSISHSDSNMVELLALKETLNPTNVPWRMRSHIIQLSKLLAKVQCWQIKHIPRTASCGGVLRNFAGLVVGIFFGLISCQNFLLHTIISAKEVMHGAKLDPVE >EOY27425 pep chromosome:Theobroma_cacao_20110822:6:21655531:21659444:-1 gene:TCM_029272 transcript:EOY27425 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:CoA ligase 2 isoform 1 MLLLPNTPEFVLSFLGASYLGAIATAANPFFTTAEISKQAKASNARLIITQACYLDKVKEFAQDNDVKIMCIDSAPEGYLHFSELTQADENDLPEVDIVPEDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLYFHSEDVILCTLPMFHIYALNSIMLCGLRAGAAILIMQKFEIGLLLELIQKYKVTIAPIVPPIVLAIAKSSETEKYDLSSVRMLKSGAAPLGKELEDAVRAKFPGAKLGQGYGMTEAGPVLAMCLGFAKEPFEIKSGACGTVVRNAEMKIVDPDTGSSLPRNQAGEICIRGDQIMKGYLNDPEATARTIDKEGWLHTGDIGFIDDDDELFIVDRLKELIKYKGFQVAPAELEALLIAHPDIIDAAVVA >EOY27424 pep chromosome:Theobroma_cacao_20110822:6:21655453:21659780:-1 gene:TCM_029272 transcript:EOY27424 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:CoA ligase 2 isoform 1 MAPQAELQQEEIIYRSKLPEIYIPKHLPLHSYCFQNISKVASRPCLINGTTDKVYTYAEVELTARRVASGLNKLGIHQRQVIMLLLPNTPEFVLSFLGASYLGAIATAANPFFTTAEISKQAKASNARLIITQACYLDKVKEFAQDNDVKIMCIDSAPEGYLHFSELTQADENDLPEVDIVPEDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLYFHSEDVILCTLPMFHIYALNSIMLCGLRAGAAILIMQKFEIGLLLELIQKYKVTIAPIVPPIVLAIAKSSETEKYDLSSVRMLKSGAAPLGKELEDAVRAKFPGAKLGQGYGMTEAGPVLAMCLGFAKEPFEIKSGACGTVVRNAEMKIVDPDTGSSLPRNQAGEICIRGDQIMKGYLNDPEATARTIDKEGWLHTGDIGFIDDDDELFIVDRLKELIKYKGFQVAPAELEALLIAHPDIIDAAVVAMKDEASGEIPVAFVVRSGHSQLSEDEIKQYISKQVVFYKRISRVFFIEAIPKAPSGKILRKELRAKLATGNY >EOY28754 pep chromosome:Theobroma_cacao_20110822:6:26437487:26441699:-1 gene:TCM_030266 transcript:EOY28754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 15, putative MEWTRGRTIGRGSTATVSIATADQSGDVFAVKSCELSQSESLRREQRILSTLSCPRVLACKGCDISSENGMLVYNLLLEYAPGGTITDAIQKQGGRLDEAMVRSYTRGILQGLEFLHSSGIVHCDIKGRNVLVTDDGVKIADLGCARRADVVPGAAWSVAGTPVYMAPEVARGEQQGFPADVWALGCTVIEMATGRAPWPDVGDPVSALYRIGFSRDMPEIPSDLSKQAKDFLSKCLRRDPVERWTATQLLAHDFVKEMKAPPIKGTDGSELSTPTTVLNLQLWDSMEELETIHIPSRKNRLSKIIMERIQQLGETNLVSSSEMPVWEWDENWVTVRSNGSGLDLETLSNRQDEDDKLLHADEPASSCGGDMTRVSKDYDLLVHHYPTKISRKNTSRNEISCHRTYCNKYSAYILCRKLNSLNKTSSVFCNFNCWRLFIFKMNFCADKYSLEWCILYFETDLDGSKLHNQCHCIGFVVLCLT >EOY25599 pep chromosome:Theobroma_cacao_20110822:6:595831:606759:1 gene:TCM_026980 transcript:EOY25599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 716B1 MELSLAVLLAPIVVTVVVVVVVFGLGARPRSSTSSGAKDLPPGSLGWPVMGETLEFLFGKPEKFVFDRMKKYSPHIFKTKILGEETAVICGPEGHKFLFSNEQKLFTAFRPHSMQKLFRSYQAAAPVQIARDAEAKILRSPGFLKPEALVRYLGKMDSITQQQMEKYWQGKDEVKAFALAKTLTLTLACRFFLGIDDPERISRLVTNFDDITLGMHSIPVNFPGTIFYRANRAAAAIRKELREVIKEKKTAMATGAPMQDILSHMIVATDPSGKYMPEAEIADKIMGLLVAGYSTVATAMTFFMKYVGEWPDIYDKILAEQSEVAAAKKPGELLDWDDIQKMKYSWNVLYEVMRLTPPLQGTFREALTEFTYAGFTIPKGWKVYWTVSTANRNPEFFPEPDKFDPSRYDEGNTFPPFTFVPFGGGPRMCPGKEYARLAILTFVHNVVKRFKWELVEPKEKIIGDMMPTPEKGLPIRLRHTAPLVVPKPGPMMKIFGLALVKATFDLTIMPSFNLFTPIFDCVFLVCPLRLAARNKQVGRVKGVKDLKVKLNKSQQIK >EOY28751 pep chromosome:Theobroma_cacao_20110822:6:26426044:26427978:1 gene:TCM_030263 transcript:EOY28751 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-cell receptor-associated 31-like MIQLLFLVVFSEMAVIVVLSFKTPLRKLVIMGLDRVKRGRGPVMVKTVAGTVSVVMMSSVYSMMKIQKRWIDDGAANPTDQVLMAKHLLEATLMGASLFLALMIDRLHHYIRELRLRRKNMEAAKKQGRGFEDVKPGGSNEVKALEEEVTALREKFKQLESDLETKTKEMNAAEANAVALRKQSEGFLLEYDRLLEENQNLRNQLQSLDQRLSRSGSKKNT >EOY27272 pep chromosome:Theobroma_cacao_20110822:6:20988853:20993345:-1 gene:TCM_029154 transcript:EOY27272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVDQQTTHKIPTRTSLAATFQCQARRRIFLLRRNKMPTVRLGGKKPRRGLFIVRILKKIRLRWLKLQYTCMLRKLRKYYRNLIKDIVEAGASVEALQQRMFMESTFAVPVLGVSFSSFPSATGSDRPRALFF >EOY25589 pep chromosome:Theobroma_cacao_20110822:6:559251:565827:1 gene:TCM_026972 transcript:EOY25589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein conserved region (DUF2404), putative MLSVFIVGLFVGVLAIVSVEALGVLFVLNRLNRKIKKESDATQHPKLQTQQPLDFAYSKQGIVWVLEPEKVPPREPKRKKELLLEVSPSRKHGKIEGNSLILTHSDASRTTIPLKGCVIEAVSATNLPSRKWAKKFPIKMENQTSLIYKGSKIVYLFLETSWEKESWCKALRLASCEDKEKLKWFTMLNLDFHAYLASLNAGYPSFMKPSLGFSAEPIDKGSRLDGTSSKVRLFWKKLSRRASKPGIENKGIWTYQPVREERKTEKQQPFQDSVTNKVPNSSIEENMAFPLSQGFPRSASQSCTSVASDADSEQDRLNFDEGTLCWNLIISRIFFDIKGNAGFKSSIQTRIQRTLSNMRTPSYIGEIICTGVDIGNLPPYIHAMRLLATDMNEVWAFEVDAEYSGGALLDVETRLEVQDQAFQKGIVDANSESNSVENMSSDLLEGFEHFGKHLNLPEEDEVDPKVDGLKGSKGTSTTSYVSRWKSVLNSVAKQVSQVPLSLSIRVASLRGTLRLYIKPPPSDQLWFGFTSMPDIEFDLESSIGEHKITSGHIALFLISRFKAAIRETMVLPNCESACIPWMLAEKDDWVPKKVAPFIWLNQEAVTDNNIVREAQGPQLTESKAKENRRTSDSEHQQPKVDSLQPPVVECAAMPSSSSVDSSSIRSKSLQDLRTPLLATDEPHEACQENREEASDSESLSRPLVEFERQSDVIDDNDSRPKKMGRKARMLDLGKKMGEKFEEKRRHIEEKSRHIVEKMRGP >EOY27335 pep chromosome:Theobroma_cacao_20110822:6:21296652:21299388:-1 gene:TCM_029205 transcript:EOY27335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF936) [Source:Projected from Arabidopsis thaliana (AT3G14170) TAIR;Acc:AT3G14170] MASLTPGVLLKLLQSINSNVKVRGEYRSVLLQVISIVPALTGSELWPNQGFFIKVSDSSHSTYVSLSQEDNELILNNKLQLGQFFYVERVEAGTPVPVLVGVRPVPGRNAFIGNPKDLMQMLVPSEGPVAVDNEGNNGSKGKELVEAKDESPRQKIVIKEEKAVVASRYMQGVLPSNPKASGPDSNSCLKSTENENGAAGKKAKSKQQEPKGQARPATPSRSRPEVPLSKPEAVASNTKETMVPQKGTTVRRSLSKLENMNSNCLPNNKEKNNLPETNSWNSLPASLLKPGKGMLRRRNLASLVAADAQREASMATNLVKCLSMFSDLCYSASPENPQLSLTKFFTLQKLIDQPSVTAHLKDKTFQLSILPSGQDTEKSNKRKGLTHEKSALKSPKPSMQLGGAEKLGWAKGDGAREIKELREILLHETRTWFLKFLEVALEVGFRSQEKKGKSATGRVMEQDNHIAVTLSQLKYANEWLDKLRSNLNSDNNGLMETVERLKQKVYACLLSHVDSAASALENRS >EOY28747 pep chromosome:Theobroma_cacao_20110822:6:26416379:26418430:-1 gene:TCM_030260 transcript:EOY28747 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein with a domain of Uncharacterized protein function MASLQASNLVLSSSSKQIHAAISVPKLPSIRLSVPKVPATKVLSEELNTREGFINTIPVEKNVTRTTLVQESSSVSKATVQLYALLEAVADRVEMHINIGEQRENWNTLLLNSINMITLTAATMAGVTATGGAGVSILGLKLASTVLFSAATGMLVMMNKIQPSQLVEEQRNATRLFKQLQSQIQTLLAVGSPSKDDVKDAMEKVLALDKAYPLPLLGVMLEKFPKSLEPAVWWPKNQSPKTNKSQETKHFNRKVENNGWTEELETEMREIVEVIKRKDSEDYERLGNKVLKINKVLAKSGPLLTGIAALGSAFMGSSHGPWAAIVAAVAGALASAVNTFEHGCQVGMVFEMYRNNAGFFKLVQESIESNLDENDEEKRENGELLEMKVALQLGRSLSQLRDLAKKSSYSRVEGSPIDEFASKLF >EOY28168 pep chromosome:Theobroma_cacao_20110822:6:24427091:24430835:1 gene:TCM_029808 transcript:EOY28168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epidermal growth factor receptor substrate 15-like 1, putative MAAYLILIPAIFSCFFFSLASQNEQNSNHNLLIRELHDAKLKISRLESVLEESVQNMNAKTLYLKEREKLLEEMADKITYLQSTLSSLKDDSLLADERLKDLEEEVRLLWAVSRKNNFELHVLESKAQEAEDKLEVVTLQVEKMAEVVTEQWIQIQHLEQALQIAEMRASQARRERNMRCTFLKFISDLSERHVPKMFGALGSNSLSKGSAINYYISQALQQLRRLFSAIKKYHHELQGFIKQEMRRNEFTAAFVNDELVFFLASALITFPILSAWMLLLSQFS >EOY27040 pep chromosome:Theobroma_cacao_20110822:6:19832963:19833987:-1 gene:TCM_028988 transcript:EOY27040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEYNLLIKAALQGCTNLQLHGGFNNPNSTYRIKVKCKRCQEENVIEMSLGHVPTSSSTSRKTNSSKSPVHFDGQCDIKSCAQKIWAQMIPGCGAPYARNSKVEYKEIMKFKFGGAKPVGFIFGGG >EOY28075 pep chromosome:Theobroma_cacao_20110822:6:24173493:24175994:1 gene:TCM_029753 transcript:EOY28075 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein MKVQVTETALIRPSTVPFADDHTLALSHLDNDHSLNVTFRYLRAYVNSTNTDRNPFQVISPAIATALHHYYPLAGSLRRASNGRYELLCQVDQSLPLVNASADCTLESVNYLDDPDMNSVEQLVPDPSPEETLLNPCALQVTVFKCGGFTLGAAIHNALCDGLGATQFFCMAADLARGVDQIKFQPVWDRAALLGPRNPPRPEGPVREFLSLEKGFNPYKQDVGHVVRACFYVEDECLDQLKSLLFEQCGLGLTTFEILGAYIWRAKVKASKNPGDEIVKYSYLMNIRKIVKPPLPPGYWGNGCVAMYAKVSAKDLTEQPLWKTAELIKKSKSNASDEYVRSFIDLQELHYEEGITAGKGVSGFTDWRHLGHSAVDFGWGGPVTVLPLSTNFLGSMEPCFFLPYSSSSTGKNKGFKVLVSLCETAMPAFKEEMEKFSRKEFDI >EOY28585 pep chromosome:Theobroma_cacao_20110822:6:25948810:25953065:-1 gene:TCM_030141 transcript:EOY28585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 10, putative MVSFHISMTLSFYIFLCLSCLNSEAAVNFLYANCPSAGTTTYIRNSTYQRNLNFILSSLQSNSTVESGFYNLEVGQDPSDIVYGLFLCRGDVTQDICQECVNTAAGEIVLLCPDQKTALIVYDECTIRYSDRSFFSQWKMEFVLYTSNTSNVSTPDGFMALLENTTKGIAGQAANDQSGRKFATEEADFNSFPKFYTLAQCTTDLSVSSCYNCLQTAISYLPICCLGKPGGRVVLQSCNIRYELYPFYRITADPQDKKSIWIPLGASLSATLGLALFSAGGFFIWRRRNIQEDKEISQEVQLLDLVEGRFRNEYTSEDSGGEKGARSHKLIPSFQLHILHAATNHFSDENKLGKGGFGPVYKGTLADGKEIAIKRLSRTSHQGLVEFKNEVMSIARLQHRNLVRLLGCCLEKNEKLLVYEFMPNRSLDVFLFDPSMAAQLSWQKRFNIIKGIARGIMYLHEDSRLRIIHRDLKASNVLLDHEMNPKISDFGMARIFDGDQNQANTSRLVGTRGYMAPEYAREGLFSIKSDVFSFGVLLLEIISGQKNNGFHVSEHGESLLTFAWKLWSKGQGMELLDRHLAQSCVAAEVLKCIHIGLLCVQEDPAVRPSMTSVIVILESETIRLPRPTVPAFFVGRVIAEPTQPTSNDIICSVNDVTISKLSPR >EOY28375 pep chromosome:Theobroma_cacao_20110822:6:25173608:25178707:-1 gene:TCM_029961 transcript:EOY28375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alternative NAD(P)H dehydrogenase 1 MALARVARSGLRRSGGAIGSSISERDIFCERVSNHKSFLPSLEKINSHGNLTYLSTIKRVNYMSFGSREISTTPHYRIPSAERLVESESEYDEPRYPGLEATKPGEKPRVVVLGTGWAACRFLKGLDTRIYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVSRIQSTLATSPGSYFYLASCIGVDTDKHEVYCETESNSGLPHEPYQFKVAYDKLVIAAGAEPLTFGIKGVKENAYFLREVIHAQEIRKKLLLNLMLSENPGISEEEKKRLLHCVIIGGGPTGVEFSGELSDFIMRDVQERYSHVKDHIKVTLIEANEILSSFDVGLRQYATNHLTKYGVHLMRGVVKEVHPKKIVLSDGSDVPYGLLVWSTGVGPSQFVKSLNLPKSPGGRIGVDEWLRVPSVEDVFALGDCAGFLEQTGKSVLPALAQVAERQGKYLVELFNSVGKQDGGKALSAKDISLGEPFVYKHLGSMASIGRYKALVDLRQSKDAKGISHAGFVSWLIWRSAYLTRVVSWRNRFYVGVNWATTLVFGRDNSRIG >EOY28507 pep chromosome:Theobroma_cacao_20110822:6:25560083:25563379:1 gene:TCM_030049 transcript:EOY28507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinone reductase family protein MATKVYIVYYSMYGHVEKLAQEIKKGAASVEGVEVKLWQVPETLPEEVLGKMGAPPKTDAPCITPNELAEADGVLFGFPTRFGMMAAQFKAFMDATGGLWRTQDLAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPIGYTFGAGMFEMENLKGGSPYGAGTFAGDGSRQPSELELAQAFHQGKYFAGIAKKLKGTASLVSIE >EOY28175 pep chromosome:Theobroma_cacao_20110822:6:24453789:24457603:1 gene:TCM_029815 transcript:EOY28175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought-responsive family protein MDSDFWTSRLAAAKRQYTLQHHQQSSHLDRLSMDDFEVDDEVRPDFPCPYCYEDFDIASLCSHLEDEHPCESKVTICPVCSVKVARDMLSHIMLQHGHLFKLQRCRRLRRVAIPNSQALSLLGRDLREAHLQVLLGGGGYRSSSANVSNAATDSFLSSLILNFPASEAEEISKSVVTSAEDTAAKNVAPAHMWKSSFDPSLSYEEREKRIRQATGRAGFVQDLLLSTLLND >EOY28004 pep chromosome:Theobroma_cacao_20110822:6:23924849:23926342:1 gene:TCM_029699 transcript:EOY28004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-domain transcription factor protein MVMASQEGQGIKLFGTTIALHGRQVKEEQNKADHPALDKRPDKIIPCPRCKSMETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPVGAGRRKAKPPGRDLGGFAEGCLYDGSSGVHQFELEGMALDEWQVAAANGGFRQIFPMKRRRISCSGQNQPTEESMIQFN >EOY27848 pep chromosome:Theobroma_cacao_20110822:6:23314578:23316252:1 gene:TCM_029583 transcript:EOY27848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESSILSLLILFAIPAPKTPQSKPPRHYNLPARTTRDQMMIRVTNHNVMQQRPPLPNPEPILLELAQFRSTTFSTSPGKKLFRCETRPWFENVVLPSSFLMVSNFC >EOY27313 pep chromosome:Theobroma_cacao_20110822:6:21169558:21170232:-1 gene:TCM_029184 transcript:EOY27313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MINTLSDETRDALSTSQGEIGELIAHVNLLIIAAGNASANSGDRRKRAQVLEPKRYEGFKDAKELKEDKLVMVSMYLAENVKLWWCSKFINEVVTNKISAGVREELLYFNARYQGYDQK >EOY26658 pep chromosome:Theobroma_cacao_20110822:6:16733798:16738158:1 gene:TCM_028604 transcript:EOY26658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCHILHTGTKRNGNPLTSKTWINDMLPVTLLKRGKFRFDSRFICNSKNKADESLGRSLSTVSDASGFSLLRKSSR >EOY28202 pep chromosome:Theobroma_cacao_20110822:6:24539596:24541278:1 gene:TCM_029834 transcript:EOY28202 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MLSNCEKMVVISSTTNEWPQNHIDEKDLMASTARLMEKPSQEQQPQQLQQQQQQQQQQQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVKRPASAIDSASPTSGANPNPPSQPQIDVSSSSSHHINPLFYGLANNPSDMNLPFPRFNSRVSGVETVTGYDLQPQLNALGLGFSSGIVSSDTGDSDYRNGFNVNPTKQIQDVVTSNPLLSSYSNVFGSSSSSTTTTPTIASLLASTLHQHKFMNGGVKNTQAPNPFQALAPFQDLQMTGNSENGLTMKDVKVEEGQNRMEWNLPCQNQLEQIGLSDPAVYWNTTSVAGAWHDPTNIGSSVTSLI >EOY26431 pep chromosome:Theobroma_cacao_20110822:6:10182011:10189632:1 gene:TCM_028044 transcript:EOY26431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRFPPSSCRFVLFHHPRSLQYSREKPWSVDGGVKLSFVIQARKAAKISLIGEDLVTCKAIKIVGA >EOY26465 pep chromosome:Theobroma_cacao_20110822:6:11752097:11756011:1 gene:TCM_028160 transcript:EOY26465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTFASFFDRNLSPLEEEIVVHTPLEEQLVRNTCYRDCGIRVGKKEFRGDLIPLAIRKGYSAYLAHVIDTSKEEPKLKDVSIVIEFSDVFLDELLGLLPDRKLEFSIDLLSGTAPISIISYRMAPAELRKLKVQLQDLINKGFIRPSTSPWGAPVLFVKKKDGILWLCIDYRQLNGVTIKNKYPLPRIDDLFYHLQGAMVFSKIDLRVFNSYLEKFVIVFIDDILVYSKDDDEHAVHLRIVLQTLLTPLTRLTRKGVKFEWDDVCGSRFQELKNQLTSTPVLTLPVNGKEFVVYSDTSKLGLGCLLMQDEKVVAYASWKANVVGDALSRKSLSSLASLQNSYIPMLLEMKSLGIQLSNSEDGTVLASFVVRPLLLKQIKELQKYDDELKWEVQKLRDGETNEFRLGDDGILMLGDRIKAEQQKPLGTLQPLPIPKWKWEHVTVDFVLGLPWTQSGKDAIRVIVDRLTTSAHFFAIHSTCSIEKLAKLYIDEIVRLHGVPVSIVSDRDPRFTSRFLLKFQEAFGTNLRFSTTFHPQIDGQFERTIQTLDNMLQACVIDFIGSWDRHLPLVEFAYNNSFQSSIGMAPYEALYGRKCRTPICWDEVGDRKLFNVESIDLTNDKIKVIRERLKTDQDKQKSYFDRRRKNLEFKVDDRVFLKVFPWKGMIRFAKRESSIRDTLDCFISLKALGQWHID >EOY26922 pep chromosome:Theobroma_cacao_20110822:6:19100751:19113385:1 gene:TCM_028881 transcript:EOY26922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 1 MDGTENGRTSRSRSGHNNLGSSSRSSLSWTTRRMEDVFARASQLSGNSTPAEEEEEALRWAAIEKLPTYKRLRTSIIKSFAESGDPQGQGHGNRHEFAPREVDVRKLEMDDRQKFINAVFKVAEEDNEKFLKRFRNRVDKVGIQLPTVEVTFEHLNIQTDTNISSRALPTLTNVAQNIAELALGMVGIRFSKRTRLNILKDVSGIIKPSRMTLLLGPPASGKTTLLLALAGKLDPSLEVSGEVSYNGELARREKHAGIFPEAEVDLFMKATAIEGAESSLATDYILKVLGLDICKDTIVGDEMIRGVSGGQKKRVTTGEMIVGPTKTLLMDEISTGLDSSTTYQIVKCLQQIAHLTDATIFMSLLQPAPETFDLFDDVVLISEGQIVYQGPKKYVVECFESCGFKCPERKGTADFLQEVTSKQDQAQYWADRSKPYRYIPVPEFANRFKKFHVGVQLANELSVPFNKSQGHKAALVFTRYSVPKLELLRACWDKEVLLIKRNSFFYVFKTSQIIIVAFLASTMFLRTRMHHRNEQDGMLYNGALLFSVITNMFNGYPEISLMIARLPVFFKQRDLLLYPAWAFTIPLVLTKIPMAIFETTAWMAVTYYPIGFAPEAERFFKKMLVVLLIHQLAASLFRLIAGVCRTIVVSNTGGMFALMFVVFLGGFTLPRTQIHKWWKWGYWVSPLTYSYNALAVNEFYAPRWMNRLASDNITRLAVAVLESLEVYRNNNWYWIGAGGLLGFIILFNVLFTLALTYPNPPSSPQAVIPEDSADEMESNRETAIRGISSESNSSSTNRNANSTREAAKKGMVLPFTPLAMSFNKVNYHVDMPPEMKVQGVTEDKLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGFPKKQETFARVSGYCEQNDIHSPQITVRESLIYSAFLRLPKEIDTEEKMIFVDQVMELVELDNLKDGLVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIFFGPLGRNSQKIIEYFEAIPGIPKIKETYNPATWMLEVSSVAVEARLGIDFAEHYKSSSLHQQNQALVEELSRPPQGAKDLYFTTQYTVSMWGQFKCCLWKQFKTYWRTPEYNLVRLVFTLVAALIVGSIFWRVGTKMKDATQLTMITGAMYIAVMFLGVTNCQTVQPVIAEIPYVLTQSSYYTLIIFAMINFKWTAKKFFWFLFVNFFTFLYFTFYGMMNVAITPNVHIAAILAAAFYSLFNLFSGFCIPRPRIPGWWVWYYWVCPMAWTIYGLITSQYGEDEHTIKVPGILPDPTVKWYLKHHFAYHYDFMGAVAAVLVGFTVFFAFMFALSIKILNFQIR >EOY26499 pep chromosome:Theobroma_cacao_20110822:6:13241233:13241867:-1 gene:TCM_028280 transcript:EOY26499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGLQRSAVSFRRQGSSGLVWDDRFLSGELNQVKQEQEEGETKQQLQQEEQGQLRDNNQEKVDVIKDVKPTRNIGPINTIERSRSNGERRGYRTGKVSPAIEPPSPKVSACGFCSAFGKQAKNHRKKPGKRRSR >EOY26539 pep chromosome:Theobroma_cacao_20110822:6:13700749:13708661:-1 gene:TCM_028331 transcript:EOY26539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSAGFDRIKFVLVEALHRHTQSLLHKSLVSETRIDLPHICYSHISDIIEKRRWETFCAQLEAAVILVVREFYANVVEHENGVAFGRGKRVPFDSHTINRFYGTPNLENDEYTQYSNGEVDLEEVISLLGNLRTT >EOY29075 pep chromosome:Theobroma_cacao_20110822:6:27262452:27265921:-1 gene:TCM_030492 transcript:EOY29075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVDTPQPSWDEFKRQCHLRFGPPIRSHKLGELAKLRQSGTVPDYQENFEQLASRAGTLIQAQKIELYISSLAEYIAVEVELHNLSDLAIAMSISRLYERKGQPTRTPISEVRRSKPPDTPLQHRTRFVKKLTKDEMEETRLKGLCFNCDEPFVKGHQRKKLFRIDSVEEGDEQQTEYEPPTNTDQPEISLNAIISISTPQNMRLQGKLNGNPALTLVDSGVNWLKTLGPILWDFTNMWMSFTKLEKQIELYGIKTSKPDQSAEIQVVTVEDYYADLQRLLQDFVSLFQERTGLYNIFKLHGLPERMVSDKDVTFTSTFWKELFRLSSTKLCFSSAYHPQSDGQTTVVNRTVEMYLRCFTSAHPTKWMDLLSWAEYSYNTSFHSSLQTTPFEAVYGQPPPCLLSYCPGISKLDVVDQALQSPDMILKSLRQNLSYAQHKMKTIYDSKHRNIEFQVGDRVLLRLQPYR >EOY28206 pep chromosome:Theobroma_cacao_20110822:6:24560507:24566660:1 gene:TCM_029838 transcript:EOY28206 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein, putative MTWRLFSIVPLQTENCDLLCKLNLRAALDMASEWQCSGADNGHCEVKQTAKTTLKDADIPSSISASLPYDSPFHELLVTDEPKTATFNPKVAPTLSQALSVMENSYTPFTPTTTTTTNTTLRQPDMGWSQNSEFSIYEPMNLKNFSSTPFSTASNSQFHHPPQFVAPSQSLQPPYRHPIEQAGHSLAYPMYPFFLGQNGIEYGVSSSIHANNGVQGSCFMQTDGLSKEQERRIMDPYRTKVARIKRKLARQRSLSLQRNASSGASTQVDARRLTSSGADNSTDVNNSNDTKRDLYKFCTPDNKRLRVLLRKELKNSDVGSLGRIVLPKREAEGNLPTLSDKEGIQVMIKDVYSNQVWTLKYKFWSNNKSRMYVLENTGDFVKQNGLEIGDSLTLYEDESKNLGEQYFSIIKLERIIAANPPSNHQHSDNENNYYSNNDHHHMYLPFTCQSRDEEETSLELLIEQLKHKEQQETNDLMSLPMDAAYSHRLPEEAKSFLSDADGVASMETYTPIAATTLQTSSTLLRGKAKSVDDFQLNNFDDCYGGLDMLPDVNHYNFSL >EOY25864 pep chromosome:Theobroma_cacao_20110822:6:2446805:2447755:1 gene:TCM_027231 transcript:EOY25864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRKVQDHQRGNSWDTRKVERLFELVFFHLPMQYVSVASIFSSSLYQWYLFLCHALASNYYILYFIVGC >EOY26897 pep chromosome:Theobroma_cacao_20110822:6:18943980:18947984:-1 gene:TCM_028864 transcript:EOY26897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein MPPQKIETGHQDTVHDVAMDYYGKRIASASSDTTIKIAGVSNNTHQHLATLSAHQGPVWQVAWAHPKFGSLLASCSYDGRVIIWKEGNQNEWSQAHVFEDHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARADGGWDTSRIDQAHPVGVTSVSWAPSTAPGALVGSGLLDPVQKLCSGGCDNTVKVWKLYNGIWKMDCFPALQMHTDWVRDVAWAPNLGLPKSTIASASQDGKVIIWTVAKEGDQWEGKVLHDFNTPVWRVSWSLTGNILAVADGNNNVTLWKEAVDGEWQQLVCFPSTMCLVGLLHFL >EOY29051 pep chromosome:Theobroma_cacao_20110822:6:27202496:27203678:1 gene:TCM_030474 transcript:EOY29051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METNCPLNIIRNLEEMDREQQKKKRGRAEEDSYYKNYLHQAAKIQDMEHCNNSVMGNTQDSSKDYKSALGVFDFPWMLDGIVSKSQDWNYVEDTTFSSSLADTCRCRVKFSENFDESEDGRGLETDCIWASLLHQGQGGPNEQS >EOY28200 pep chromosome:Theobroma_cacao_20110822:6:24527373:24530547:-1 gene:TCM_029832 transcript:EOY28200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 3 MATSRAEGKRSLKEMAEDEEEEEDEDAGALGFVDEEKKKKGKRGSSTGGGSALPSCQVENCTTDMNDAKRYHRRHKVCEFHAKAPVVRVSGLQQRFCQQCSRFHELSEFDEAKRSCRRRLAGHNERRRKSSSEYHGEGSNY >EOY26239 pep chromosome:Theobroma_cacao_20110822:6:6320930:6322261:1 gene:TCM_027698 transcript:EOY26239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALFFFLNGSKNSPSTQLFPSSHFLSHARFSLCFSFSPFLLCSLVSLLHSLNRPLSSSWSSSLRLVNYIYIYIYIYIYIYHKLPTGHITIFFFFSFTCCFTWPAPPSNSPSKESLTLLLTTWAAAHVGPLIYLFYLFYYYFTLC >EOY26117 pep chromosome:Theobroma_cacao_20110822:6:4533846:4537774:-1 gene:TCM_027517 transcript:EOY26117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MAFIRLFFILLLRHLVSVSSNADSAYHHHRHHSLLNDKAALLEFKRSIFDPKSTLSNWEKAVPVCNFTGVTCDKRYHRVSQINLCSFGLVGKISPFISNLTGLRVLNLFENHFFGTIPPQLSSLQHLRTLMLDSNNLNGPIPNSFALLTNLTLFSVQNNSLTGPLPPSFFSNWTQLKNIDLSFNFFTGQIPAEIGNCANLWTLNLYNNQFTGQLPASLTNASLYNLDVEYNLLSGEVPSDLVRKLPKLLFLHLSYNKMTSHDNNTNLYPFFATLRNCTHLKELELAGMGLGGRLPSSIGHPTLQRLELQENRIFGSIPPEIGNLSSLSMLNLTSNLLNGTISEEIGRLSMLEQLVLSHNFFNITIPVELPKLHLGLLDLSNNNFHGEIPGSLGDLPLLNSLFLNNNLLSGSIPPKLLKCTNLYRFDLSYNKLTGRIPREISELREIRIFINFSHNLLEGPLPIEISKLDKVQEMDLSSNNLSGNIFPQISSCTAVQRIDFSHNALEGQLPDSLGDLRNLESFDVSSNKISGMIPKSLSNINLTFLNLSFNNFEGMIPSGGIFNSATNMSFLGNPRLCGAASSTPICPRNKHWFRSRMFLIIFIIVIVVSVLLSAVCFMIGIRRVKLMVSSRKTERSSKPPTPEIMHNFPRITYRELSEATGGFDDHKLIGTGSYGRVYRGVLQDGTSIAVKVLHLQTGNSTKSFNRECQVLKRIRHRNLIRIITACSLPDFKALVLPYMANGSLESRLYPHSESGLGSGSSDLSLIQRVSICSDIAEGMAYLHHHSPVRVIHCDLKPSNVLLNDDMTALVSDFGIARLVMTVGAGNGAGTVENMGTSTANMLDGSIGYIAPEYGFGCNTSIRGDVYSFGVLVLEMVTRKRPTDEMFVGGLNLHRWVKSHYPGRVEKVVDLSLIRASRDQSPEVTRMWEVAIAELIELGILCTQETPFTRPTMLDVADDLDRLKRYLNGDTTVTFASSLGISSSTLSDD >EOY28350 pep chromosome:Theobroma_cacao_20110822:6:25099980:25103444:1 gene:TCM_029947 transcript:EOY28350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre T-cell antigen receptor alpha MGGGMEANKNRFIEDWSSARENLEHNFRWTRRNFALVGLFGIAIPVLVYKGIVREFHMQDEDAGRPYRKFL >EOY28346 pep chromosome:Theobroma_cacao_20110822:6:25081145:25083822:-1 gene:TCM_029942 transcript:EOY28346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-fructose oxidoreductase domain-containing protein 2, putative MVSSVGSVKPKGSLSFASNKRKNERLGAYMDFMGRLEWVNSYGKKQCRSLFWRVKAALKKAVKSRSKQQFKFHYDPSSYALNFDDGCCHSGAGANTIEPARFPDCSECKNIVWVYVLWVKS >EOY25648 pep chromosome:Theobroma_cacao_20110822:6:932195:941501:-1 gene:TCM_027030 transcript:EOY25648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLSLASNNSGVKSQNNEKTFPFREPPPRVYHQHSRNFRRKLSLVNRHFQQPRPHNTLTDFTVLGFQDPTDIANDIQKSGKSGFSVKRISGRNFLVSVPDRKFYEESKGNSWCWLTRWFDHIQEWFLNADQDDIIVNANAISMPFQEALPPVKLDHEHFQPQKSSKRCSKGVSSTSHDNQLPAQLDLLCIQPSTNNQICISQVNNPIPHPSSSKTDAVCVLESLNKNVESSTLNGPSKALDLPIPLSVHGPIELVRTMPSDVQGINIAVDLDDGPCPLAHSNIFMPAVNTPVELQLISQGLNCRASSWVQVSLEKSVSSSNEDTSLDFSNDFSSDRSLSLAKVFLVSSKGGKNGSKSFNKLRKKGIKNLYRKSKADFITLSQDMSDNSNHSDHYIEAEDTWNLSKKLVGITLVNCTKSVGTQLASLRFLEGWFGGVSPIFHPMIRFPRHISIVVDKESRAVDLWNNGAWTPLHHRISFTWPPPIDGEFKFDVDGSARGKPGPAGCGAILRNSAGFVVGIFFGPLGSHDFNFAEWYAILQAMRVFAASPFKDSRLIIESDSRILLAWLSPSSGVLPRPQLPAYHAKLVNCRPTTPSSGPSSVDLPFLSNRAAPATPCRLHHSHWFECALLCFSNSMAFLSC >EOY26010 pep chromosome:Theobroma_cacao_20110822:6:3607373:3609300:-1 gene:TCM_027401 transcript:EOY26010 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPPDE thiol peptidase family protein, putative MLCRKNSSCEETGSVPVYLNVYDLTPINGYAYWFGLGVYHSGVQVRSVVGVGLTSSKESVAEVSLTKMSLVHGVEYAFGAHEYPTTGIFEAEPKQCDGFTFRKSILIGKTDLGPADVRGVMEDLAEEYKGNAYNLITKNCNHFCNDACVRLTGNPIPSWVNRLARIGFFCNCVLPVTLNATKVRHHRIEDKPCEAEKKKLTSSSNRFTSSSNSSSSSNSSPSVTQTRGRSRSRRSLPPSSPLILGPSSS >EOY27707 pep chromosome:Theobroma_cacao_20110822:6:22889216:22889813:1 gene:TCM_029489 transcript:EOY27707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALQQIATNREAAEIYQGAALCKQKSMELLGEFHLPKGLMPLDNLVEVGYNRTTGFVWLKQQKSLEYRFKAIGKTVSYEPEMTAFVEDRRMRRLTGVKSKELLIWVSVSDIFIDKSNPSKITFANSTGLSRSFPVAAFEAEGEGRN >EOY25910 pep chromosome:Theobroma_cacao_20110822:6:2676639:2691713:1 gene:TCM_027279 transcript:EOY25910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit, putative MAPRSGRGKSNKAKAEKKKKEEKVVPTVLDITVITPYESQVILKGISTDKILDVRRLLASHVETCHLTNYSLAHEVKGKRLNDRVEVVTLKPCLLKMVEEDYTEEAQAVTHVRRLLDIVSCTARFSRPKRIRSQSTSASSDSKSKKINGRAQQPNNSTPPPPSPSDGGVEPTAQTTSVSAAVSESMDMAAIHPTPKLSEFYDFFSLSHLTPPILNLRRCDPKDVEERRDGDYFGMQIKICNGKLIQVVASVKGFYSLGKHFFQSHSLLDLLQNLSQAFANAYESLMKAFLEHNKFGNLPYGFRANTWLVPPPVAESPSNIPSFPSEDELWGGNGGGQGRNGEYDLRPWATDFAILASLPCKTEEERIVRDRKAFLLHSRFIDVSVFKAVAAIQRVMNSRLNAKDTVNCNSNSVLHEDHVGDLSIIVKRDLGDANFKPEVKVTGCQSSDMTAEEIAQRNLLKGITADESVVVHDTSSLGTVIVRHCGYTAIVKVVGDVKKEKCDAKDIEIYDQPDGGANALNINSLRVLLHKSCTAELTGGGQLYQSNLNDSEASRCLVQRVIKESLTKLDEKSVAPERSIRWELGSCWVQYLQKQESSMDGNSKGPDNDCEAEPVVKGLGKQFKFLKKRGKKPSNVTSSIDKEKNDSESCSMDVKSNLGHQSNGESSSELELKNLISKEAYSRLEESGTGLHLKSADELVKMAYKYYDDIALPKLVTDFGSLELSPVDGCTLTDFMHLRGLQMRSLGCLVELAEKLPHIQSLCIHEMVTRAFKHVLKAVVASVDKFEDLPAAIASSLNFLLGNSGGEDNDLNANDDYFLKLGWLRKFLAAKFGWTLRDEFQHLRKLSILRGLCHKIGLELVPRDYDMECPEPFKMWDVISMYPVCKHVGCSSADGRTLLESSKIALDKGKLEDAVNYGTKALARMIAVCGPYHRTTASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIEMALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLKILQAKLGLDDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASKGHLSVSDLLDYISPDQDSKGIDVHRKQRRAKVLQISDKTHDTHHHLVTDSAALLDVSEKTVGTADSNGVGMVASIHSEEPEETDDITRIEPTTTSEVVEETATDEGWQEANSKGRSGNAAGKKSGRKRPVLAKLNVNSSEYSNVRESGSRREIISPLRKTASKNIIKEVLPAKQTKSHSLSPGGNSVSLQASVSKVFSPPANLSAIASKSLSYKEVAVAPPGTVLKPLQEKVEEQNEEKTEQQNEEKTEQQMCTIPPETPKVDVGNNISVDDVAEDDDENEGTHDSENQSEETATEFDKAASSNQEKPGETKGSKLSASAEPFSPGALYMNPQISSVAVTSVYDVTASQSMLAEPVGPPVAARVPCGPRSPLYYRNNHSYPMKHSFLRYQAPIMEQSGFGPPTVMNPHAPEFVPSKVWHMIPGTADSRVSDELNSLNEAKNTEVKEVDEKFIKEVKDSKMKKSSSEEKSELARQILLSFIVRSVKQNMNPASEPAVSDKRHNRTENSSDAVTNDSAIIKILHGHEGKDLDSQPSSCEEPKASDVNKKKTGDGEGFIVVTKRRRNRQQFTNGVTGLYNQQSICASVR >EOY26496 pep chromosome:Theobroma_cacao_20110822:6:13202306:13217267:-1 gene:TCM_028275 transcript:EOY26496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGSPLSMGTHPLAKKESSASKTRETHLTIAMKNGSMPRSYQNSAIPEANVHNNFTDNRAMRSNVSDKKPVAAPNKLEKKPSVDINESAEAFIKKFRHQLLLQRLESIENYEQMLARGL >EOY26495 pep chromosome:Theobroma_cacao_20110822:6:13215108:13215893:-1 gene:TCM_028275 transcript:EOY26495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGSPLSMGTHPLAKKESSASKTRETHLTIAMKNGSMPRSYQNSAIPEANVHNNFTDNRAMRSNVSDKKPVAAPNKLEKKPSVDINESAEAFIKKFRHQLLLQRLESIENYEQMLARGL >EOY26257 pep chromosome:Theobroma_cacao_20110822:6:6696705:6701428:-1 gene:TCM_027730 transcript:EOY26257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450-like protein MLPPMAFVCFPYSVVHLQQTKKIKQHPTPSSKPTIPPNNRPSPPSQGDYWRFMKKLSVTELLGTRQIERSRTVRHQEIAMFLRKMIQSARKTEVVDVGAELMKLTNNVICRVVASTSCSEEDNEAKRIKELLERSAELIGKMSFANSLGPLKKFGFWLYRKEAKDLNARHDELMEKLLRKHEEKAENNGGDIDRDANKDFKSEELGEESLSLQADEGTGI >EOY27676 pep chromosome:Theobroma_cacao_20110822:6:22739030:22742498:-1 gene:TCM_029462 transcript:EOY27676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEIFYLFCSILSAFFTSLAFSLLLPFRFLLPRQRRPPPLSTTENEHVSLYEGTVWHERRRPVRHSFRYSVRYALFDLDNAPKAPPDHLSANEARRTAETTGPVFLLTIPPSVGYEQNPLSLYYCYDLEGSTKLLKKCIAEVTNTPWGERVRFVLDPNSDLVAKPLHVSPFMAIKLWWKNVPFIQHPRYTNPSYREEALKHDRKLQCCRAVGEKKDEFMQVGGSCPGIVAERNHGERLFVWRDAKWPWT >EOY27675 pep chromosome:Theobroma_cacao_20110822:6:22735913:22742498:-1 gene:TCM_029462 transcript:EOY27675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEIFYLFCSILSAFFTSLAFSLLLPFRFLLPRQRRPPPLSTTENEHVSLYEGTVWHERRRPVRHSFRYSVRYALFDLDNAPKAPPDHLSANEARRTAETTGPVFLLTIPPSVGYEQNPLSLYYCYDLEGSTKLLKKCIAEVTNTPWGERVRFVLDPNSDLVAKPLHVSPFMDMRGNWSVKVNAPGDNLLVAISVQHPELGDYFLATLKAKRISPALVHDHALFFWLMPHKVALWIYWHAIKLWWKNVPFIQHPRYTNPSYREEALKHDRKLQCCRAVGEKKDEFMQVGGSCPGIVAERNHGERLFVWRDAKWPWT >EOY27141 pep chromosome:Theobroma_cacao_20110822:6:20406203:20408497:-1 gene:TCM_029065 transcript:EOY27141 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB7 MGHHSCCNKQKVKRGLWSPEEDEKLINYITTYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIIELHSILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLISHDVPALASFADVHNSTPPEDGFISLNANPNLILSTQQDHLYLSPAAPVLQSFGHGDFKPNSNNYNIDLVHHHFPTPMLPPPSNTSSSFDPTWPLPPFVPQHLDHQNQEDVQIFSNEVVQNFVSDKLINPTTAVTPYDQPLMAPTVPKLCEILEGNICSLPQASVSLENIDPVSRLSSSCFPSAGSTYPHDMHVPTSQMEYMDTIITSQLPSSSSSSSLSALSSGQYVTNPNLASSSWDP >EOY26379 pep chromosome:Theobroma_cacao_20110822:6:8478292:8483561:1 gene:TCM_027901 transcript:EOY26379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVEEQKCHWKEMKEEDDALDTMVGHGIRMDSQSFLWKLRPSFMGNYRFTLQGVAHATYRRDGSLDTPHGTSEGSLDSTTKSQ >EOY27269 pep chromosome:Theobroma_cacao_20110822:6:20964525:20965258:-1 gene:TCM_029151 transcript:EOY27269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQFSQRSGQSADALKKKLEGVFNSYAKGGSLNNSQLREAFEHLGAKMPHKETEEAMNYADKNKDNVIRGDEEMNSLVQYALQKGYGEDA >EOY28212 pep chromosome:Theobroma_cacao_20110822:6:24585190:24587371:-1 gene:TCM_029843 transcript:EOY28212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear control of ATPase protein 2 MSICQIELAVSPLIKASAFLLPGSQPEDLKILNQTQMEKKQSARGDISSAQAVLLGALAPGVNGPTWNTLKTAFLMLGLCLAVMFGLAFSSSDSTLILHVAFLVLITITLFLLLDWWQLGLNLQLHHCPVLMPHSMQVIGCIGIWPLLQEQGFLNRLVWFLLNVKCKRWT >EOY28277 pep chromosome:Theobroma_cacao_20110822:6:24809866:24812749:1 gene:TCM_029893 transcript:EOY28277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like protein 165 isoform 1 MLPPLWASPCGNQCTHKYATLMQIPWRVFCKKGCDADGETWEECLSECEEICYKDPVLKDQKWSAYIDRSPGAANYSEECFHACVAGCGFKFEMCQEKVDQVRPNRPLPPKPPPVEKPPKPPVTNPDEPAEDVPSTSA >EOY28278 pep chromosome:Theobroma_cacao_20110822:6:24809973:24812954:1 gene:TCM_029893 transcript:EOY28278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like protein 165 isoform 1 MLPPLWASPCGNQCTHKYATLMQIPWRVFCKKGCDADGETWEECLSECEEICYKDPVLKDQKWSAYIDRSPGAANYSEECFHACVAGCGFKFEMCQEKVDQVRPNRPLPPKPPPVEKPPKPPVTNPDEPAEDVPSTSA >EOY26215 pep chromosome:Theobroma_cacao_20110822:6:5843369:5845141:-1 gene:TCM_027653 transcript:EOY26215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQPSPGNVVSPLPFAKDTVMVVSDGSASDQMDDDYEEDDTTDWNDEMDNNCEDNYVGRHDDCSKEDKGDNNDILDCNNSDGSTEHGTIVVFKDVQYNDLIYNNPIADDNGISSPDDKHFEVRVKQSCQAHFKIACKDKAFKFAVCAPKLPKGDYWQVCMFHKHLGVKNAVEKVYQDAYHDLCSYHLGKNLKNRFKCEDVTTIFTLATNCYRVTDFNRHMNKLKHLRKNAYDHLKKLYPKR >EOY28866 pep chromosome:Theobroma_cacao_20110822:6:26741158:26743403:1 gene:TCM_030346 transcript:EOY28866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phytoceramidase (aPHC) MADGISSFWGPVTSTTECREKNYAYSSYIAEFYNTISNVPTILLALIGLVNAVRQRFEKRFSILHVSNMILAIGSMLYHATLQSVQQQSDETPMVWEMLLYMYILYSPDWHYRSTMPTFLFLYGAVFAVVHSVVHFGIGFKVHYVILCLLCIPRMYKYYIHTQDASAKRLVKLYTATLSLGSLCWFCNRVFCKQISRWPINPQGHALWHVFMGFTCYFANTFLMFCRAQQRGWSPKVVYFMGILPYVKIEKPKTQ >EOY28860 pep chromosome:Theobroma_cacao_20110822:6:26728530:26729846:-1 gene:TCM_030340 transcript:EOY28860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 11 MDSPTQNTSLQRLQNVEKRIVRVLELAGGVMDELANPTGPRKEFINNHCREFMKMIKDIQVTLRDEIKSACEYRPFEKCDYSSRISNEICCKKLEYVLSQLDAMKQTIDEYQGEDVHYSLE >EOY27777 pep chromosome:Theobroma_cacao_20110822:6:23093762:23095397:-1 gene:TCM_029537 transcript:EOY27777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter superfamily protein, putative MLGRLYFDKGGKSQWMATLMQPVGFPVLILYYCFSPLKNSTATSPNTRKPPTMILVSVYMSLGLLVAAYCFLYSTGLRYLPVSTYSLIFASQLAFNALFSYFLNPQNFTPFIVNSLILLTISSILLASHDASSTPRGVSRGQYVIGFICTVGASAGNGFVLSLTQLCFRKLIQRDTFAVVMDMIIYQSLVATSAILVGLFASGEWKGVKGEMEEYELGKISYVMNLVWISISWQGFAVGVTGLIFEVSSLFGNAVSAFGLPVVPILAVIFFGDRMDCIKVVAMVLAIWGFLSYAYQHYVDDHESNNTFGLRNKEE >EOY27079 pep chromosome:Theobroma_cacao_20110822:6:20020372:20024956:1 gene:TCM_029014 transcript:EOY27079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSFPIPFRGLLQSLVMTGLDRNSLHVPCLRNANDLEGIFLFSVSQLLKLKKIRANVYLTREMLGFTHLFELPLVSDHKELQIYMGFSLPESGNGAPSSSGAEAIVEPK >EOY28488 pep chromosome:Theobroma_cacao_20110822:6:25491846:25496679:1 gene:TCM_030032 transcript:EOY28488 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus-specific glycoprotein S6, putative MGGKNRICSILHSPMSLLFNLLLLQCCTATNNITLDRPLSQEQVLTSPGQNFELGFFRPNNSSANQYVGIWYKNITPRTVVWIANREKPVTDSLASLTIGSDGNLKLVDGLHDTLWSSNVSAPSYGSVAVLSDDGNFALKDNISGDNLWQSFEHPSDTFLLGSSIGFNTKTGERRVMTSWKSDTDPSPGSFVVGLAQRSPPQAFIWKGSVPYWRSGEWDKTNFIGIQQLDSSYSSMFDLIEDIEQGTVYLISNPHNQSDFEKMIVSWMGPLKLTFWEDQRWNVEWEAPKNPCDVYGTCGPFGVCKASESPICRCLKGFVPKLDGEWRKGNWTGGCVRRTELLCEGNTSNEATDRGKADKFWKMDRMKLPDFSQYKNLDYPIPCQQWCLDNCSCKAYATIEGIGCLVWTGNLTDMQEFSFGGEAFFLRLAPSEFAHERLREKLIISLTTISCIITLALGILIYGLHRKRSQKISEETKISEDLELAAEIESSSEILPSYMLRSHLELEDTLELPIFDLNSILIATDNFSLDNKLGQGGFGPVYKGYLPDGKYVAVKRLSSTSGQGKEEFKNEVMLISKLQHRNLVRLFGYCSEKEERILIYEYMANKSLDKFLFDPTKRAELCWAMRFNIIQGVARGLVYLHRDSCLRVIHRDLKVSNILLDDKMNPKISDFGLARIFEGTQYLANTHRVVGTLGYMSPEYALTGMFSEKSDVFSFGVLLLEIVSGRKISTSYFKDQHLCLLSYAWQLWHESKEMELLDEALADSFSSSEVKRCIHVGLLCIQDYAEHRPSMSTVVSMLSSETELPQPKQPILFQSALKSDRSESNSIWSTGQITESVLEGR >EOY28073 pep chromosome:Theobroma_cacao_20110822:6:24164933:24165752:-1 gene:TCM_029751 transcript:EOY28073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTRRTSLLSLQNSPHQFSKNLHPRPIKKEEKGSPLKTLPISFFQKSILLWLNPIQRISFLPKLWFTNPNAERGELKMGSNRFATRHVWRMKVKISDSSYIQPTMHLGDSFVNDSICLIEVLFLFFLLLVFLHFKLYIYTQVSCYDMSQNKICPYIR >EOY28413 pep chromosome:Theobroma_cacao_20110822:6:25277512:25280897:1 gene:TCM_029985 transcript:EOY28413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin kinase/FMN hydrolase MANPLKKLVSCVVLDLDGTLLNTDGVVGEVLKGFLGKYGKQWDGREAQRIIGKTPLEAAAAIVEEYGLPCGKDEFLAGLHPIFSARLCNIKPLPGANRLLKHLSGHGVPMALASNSPRGNIESKISYHQGWKDYFSVVVGGDEVTAGKPNPEIFLEAAKRLNTEPSSCLVIEDSMPGVTAGKAAGIEVVAVPSVPKQAHLYTSADEVINSLLDLQPEKWGLPSFQDWVEGTLPIEPWYIGGPVIKGFGRGSKVLGIPTANLSTEGHSSLLSEHPSGVYFGWAGLSTRGVYKMVMSIGWNPYFNNAEKTVEPWLLHEFNEDFYGEELHLVIVGYIRPEVNFPSLESLIAKIQEDRKIAETALDLPLYSIHKDDPYLKSS >EOY25784 pep chromosome:Theobroma_cacao_20110822:6:1886203:1889389:-1 gene:TCM_027150 transcript:EOY25784 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL06P13.4 protein, putative MSLRTMDPSSTAYVTCLVSIIAICISANAQQNVFNVQSYGAAGNGITDDSLAFMKAWKDTCGAGTGIPTMIIPKGKIFLVNPITFTGPCKPSIINVKLSGTIVAPNGPDQWKAADLSTWLAFQDVNGLTIDGPGTMDGSGKGWWDRSCKLHPGHQGCIRLAPTVLKFARCNNIHMSNIKFQNSPQTHVLVLGCQDVDFGFLTVQAPGTSPNTDGIHIQISRNVSIYNSQIGDGDDCISIGDLTSDINITNINCGPGHGVSIGSLGKDGGEAQVKNINVRGVNFRGTTNGVRIKTWQTGRGVVESVSFSNINFTAVENPIIIDQFYCDVPNSCTETNTGVHINGVLYSQLYGTSRTQVAINLNCSDNFPCTGITLENIQLASATRGGHLVSSCNNAIGVSRGIVEPKSCLPNII >EOY27190 pep chromosome:Theobroma_cacao_20110822:6:20643351:20643861:1 gene:TCM_029100 transcript:EOY27190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNPNLSSYGSSRSGWTKYLNFSGLTQLTELAHASPVSPNSTARDTFVFTCLFCYETSIIMKRIFNQLGRIEPFYTLQNSLSNQKISKCFTRE >EOY26599 pep chromosome:Theobroma_cacao_20110822:6:14730469:14734280:1 gene:TCM_028440 transcript:EOY26599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MAFSAKTPRTLINADHPNALNTNNHSPKSIYFSNQRKAHQISSTKPHQELSVLNTNSLNTHNPNSHLHLLCLNGHLQQALNYLHSMQELQIPLDEDAAIAMVRLCEWKRAFEEGSKVYCFISNSGDPLSLRLGNALLSMFVRFRNLGDAWYVFGKMQERDVFSWNVLIGGYAKKGFFDEALCLYHRMLWVGFKPDVYTFPCVLRTCGAVPNLKRGKEVHVHVIRFGFEADVDVVNALVTMYVKCGDLVRARLLFDKMTRRDRISWNAIISGYFENGECLEGIRLFFMMREHCVDPDLMTMTSVVSACESLGDDRLGREIHGYVTVTGMSDDVSVCNSLIQMYSSLGRWEAAEKVFDRMERRDVVSWTAMISGYENNVLPDKAVDTYRTMEVQGFIPDEITLASVLSACACLRKLDMGIKLHELAKRAGLISYIIVANTLIDMYSKCKCIDKALEVFHNIPDKDVISWTAIILGLRLNNRCFEALIFFRQMKLSLKPNSVTLVTVLSACARIGALICGKEIHAYALRTGMGLEGFLPNALLDMYVRCGRMGPARNQFNSQKKDVAAWNILMTGYAQRGQGTLAVEFFNKMIESNVNPDEITFIPLLCACSKSGMVTEGLMFFNSMELEYGVTPNLKHYACVVDLLGRAGQLQKAYEFIMEMPIKPDPAIWGALLNACKIHRQVGLGEFAAQRIFESDTRSVGYYVLLCNLYADNGKWDEVAKVRKMMKDNGLTIDPGCSWVEVKGKIHAFLSGDDFHPQINEINAVLEGIYEKMKVAGLDVPKGDSTDDVEISKAEIFCGHSERLAVAFGLINTVPGMPIWVTKNLYMCQSCHSTIKFISKIVRREITVRDTEQFHHFKDGTCSCGDVGILGTT >EOY27822 pep chromosome:Theobroma_cacao_20110822:6:23230609:23232913:-1 gene:TCM_029567 transcript:EOY27822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSLTSSASSSSSGIHHTAENEGEEVENKISDLNQQCQGLGHEVSRLILDNVENNEKLMSLKRRIEEFNQGESQLNREIEQLERKVLMLMLEKGLKDDKLEKFGVEEEIEKCEVLKEKKSEQKFLDLTLEIGESDDKFKDMGIGIEEAKKCEKAEVVTEFGTDLIRPQVNPRELVSEKKELAEKFETMGNVIDEVEWSDGDSENEIKEVNVSDDGEGEEEIGEIGARELCKEIELLEVMLERGSFGLLDLKTMMEEVEALKGPEKMMSEMEVKMGELESGLSELKSAIVELKGKKSKELMGWVTKEEEKELECDGQQGVNARKLNWGSIMASVGAVVLAAAAAVMLFTGRTRKKAAVERGNKQKSG >EOY25951 pep chromosome:Theobroma_cacao_20110822:6:2897514:2898799:-1 gene:TCM_027310 transcript:EOY25951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-rich protein-related, putative MSLTTSRSKSHGSQVSTYHYPSPSIYSHHSSSSSSSAFASSSSSFASRSSTFFARATSPTRVNLYGRSSSPQSSPSVRFSINDRPISPGRSISFNKNNNAVSTGAHHQRSRTCLCSPTTHPGSFRCSLHKGLSMSRDHHHQNNRQPTTSSFHSSSALHFRRSAMKNSLVRIGGVEGELVKRALTALIRPSSHQQRRRAAFEPRPSRLSVMSKADDL >EOY27084 pep chromosome:Theobroma_cacao_20110822:6:20041907:20046493:1 gene:TCM_029019 transcript:EOY27084 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MSRPPYEYDPYYLQPDQDRNLINTLFVSGLPDDVKAREIHNLFRRRAGFDSCQLKYTGRGNQVVAFATFLNHQSAIAAMHALNGVKFDPQAGSVLHIELARSNSRRKRKPDSGPYVVIDKRTKASANTQETSSDDGGSDTEEPSGTDDANSSNKGDLRTVKSETIVDPENAVAAANLERNVDEGAQPCSTLFIANLGPNCTEDELKQVLSRYPGFNMLKIRAKGGMPVAFADFEQTEQATTVMTDLQSSLLPSSDRGGMNIEYARSKMRKP >EOY27083 pep chromosome:Theobroma_cacao_20110822:6:20042071:20046225:1 gene:TCM_029019 transcript:EOY27083 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MSRPPYEYDPYYLQPDQDRNLINTLFVSGLPDDVKAREIHNLFRRRAGFDSCQLKYTGRGNQVVAFATFLNHQSAIAAMHALNGVKFDPQAGSVLHIELARSNSRRKRKPDSGPYVVIDKRTKASANTQETSSDDGGSDTEEPSGTDDANSSNKGDLRTVKSETIVDPENAVAAANEQLERNVDEGAQPCSTLFIANLGPNCTEDELKQVLSRYPGFNMLKIRAKGGMPVAFADFEQTEQATTVMTDLQSSLLPSSDRGGMNIEYARSKMRKP >EOY25795 pep chromosome:Theobroma_cacao_20110822:6:1915763:1917263:-1 gene:TCM_027157 transcript:EOY25795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMSGRNAKTNFPVAKNQAGNGNPAASSSSTSATSSLSSILSEKLRKCCKTPSPSLTCLRLDTENSHIGVWQKRAGARPDSSWVMTVELGKKNVGEVAEINKKRPVSEEPGEMMGQEVGDGLDEEQKAALQMIEELLNRN >EOY27523 pep chromosome:Theobroma_cacao_20110822:6:22246198:22247916:-1 gene:TCM_029361 transcript:EOY27523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSNDIIMRKLSLSNGVNYLDNLISKFRSQLTGSISSTYSTGIRCSVVPFIPIEPIAVTNAPILGCNPFERDPNPSDACVASINEQAKLYNVKLKSMLQELTANLARSTFVYLDACDLKMQILLAVRCRGGASSRVCVERTKICILGSIPSNIIC >EOY27423 pep chromosome:Theobroma_cacao_20110822:6:21654215:21654524:1 gene:TCM_029271 transcript:EOY27423 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROTUNDIFOLIA like 9 MAEFHSQQYNCGVKASAKRKGHGFSTKCASLVKQQRARLYILRRCATMLLCWYIHGDD >EOY27581 pep chromosome:Theobroma_cacao_20110822:6:22461683:22467709:1 gene:TCM_029408 transcript:EOY27581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 12 isoform 2 MSSLSVKFPYQDRCVPAGKSGYNHLQTSFVGSFPAGILQIRVGLNKPSKGANLFPCIKCEKEEPFEHVSVERPPYYSYMDSTSGQLEPASGARASIPGEEYWPEGTASRVRAARAPEPTGTSEGSPSYGKTPGSRRKKYKTAAAAESSQVSIEPSDPVVPEIIEETMEDPKDFSSDYVIYQTEFEEAEEAGYELDKKFGRPHPFIDPKVKKPMEEPLTSEELWWNWRKPEKEQWSRWQRRRPDVETVFLKAMAETGQVKLYGEHPTLTETSLYRARRHLFKEERLKAEQERLERIGPMAYYSEWVKAWKRDTSREAIQKHFEETGEDENTQLIEMFCHQTDREYRIMMGTDLRIRRDPLAMRMREDQIKQIWGGDPVYPTVNYIQDPDEVIDYRGPDFHEPTPNMLAHLKEHGKIISREELEKILAKEKTEELEITDMDDAMARAVDIGENEENEEDNEADSEEEEEKIRRNWSVLKSTPQLKKSKAKPKKGDPMSLEEAVEDSENLTDFLMDFEEDE >EOY27582 pep chromosome:Theobroma_cacao_20110822:6:22461929:22467083:1 gene:TCM_029408 transcript:EOY27582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 12 isoform 2 MLFDIFKLFFFKLFYLFGTLVDRCVPAGKSGYNHLQTSFVGSFPAGILQIRVGLNKPSKGANLFPCIKCEKEEPFEHVSVERPPYYSYMDSTSGQLEPASGARASIPGEEYWPEGTASRVRAARAPEPTGTSEGSPSYGKTPGSRRKKYKTAAAAESSQVSIEPSDPVVPEIIEETMEDPKDFSSDYVIYQTEFEEAEEAGYELDKKFGRPHPFIDPKVKKPMEEPLTSEELWWNWRKPEKEQWSRWQRRRPDVETVFLKAMAETGQVKLYGEHPTLTETSLYRARRHLFKEERLKAEQERLERIGPMAYYSEWVKAWKRDTSREAIQKHFEETGEDENTQLIEMFCHQTDREYRIMMGTDLRIRRDPLAMRMREDQIKQIWGGDPVYPTVNYIQDPDEVIDYRGPDFHEPTPNMLAHLKEHGKIISREELEKILAKEKTEELEITDMDDAMARAVDIGENEENEEDNEADSEEEEEKIRRNWSVLKSTPQLKKSKAKPKKGDPMSLEEAVEDSENLTDFLMDFEEDE >EOY26564 pep chromosome:Theobroma_cacao_20110822:6:13950390:13958221:1 gene:TCM_028359 transcript:EOY26564 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL25H03.10-like protein MRFKKGSKVEVLTKEEVSTSAWRCAEIISGNGHTYSVKYGWFPNTGEAAAVKRVPRKAIRPCPPPVNGTNDWVSGDVVEVFDELCWKPAVIVRVFSENNFFVRILGSNSELSAHKYRLRVRQSWEDGNWFLIGKGSSNFTGPPRRKRSLPGFSNVGGQKKRITEKGGVGVQRIIIRLPSPASEKRCGGGCSVSTSVELGTDYHSSELQAYQQVLRALHASGPLTWEEEEKKSHGGNIHGFFLKELLIPAVVDENPCCGSHGLKELLLTALLVSTRTFPESRYTPPSLDFCGLSPSNCCVALSMSSPMCHGFFLHTSLPPMSTMVFKADMVRLMWTLFGDFLSLKSHGQCWKHPYPASKAMMELSLSSLAVDQGATFMVYSLKDPLSLAVDKSRLTKSLKLFVSMRVMYLNENLCSGFWIHSHCCWIEPWTERVASVHILWYE >EOY27381 pep chromosome:Theobroma_cacao_20110822:6:21487287:21490925:-1 gene:TCM_029241 transcript:EOY27381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2B family protein MWWRSPSFILDREQQQQNDSVPPNPESLFLSSPPPSMADSLQNPKSNISAYYQTRAAHHAVVTSDWLAQAQAAVGSHTDDEESSGIDGRAAATDEDGGGGGGKAFSVIDEFNSWRKQPDLAEAVAAIFALAAVIRASQATTMMELEIELKKASDSLKSWDTTSISLTAGCDLFMRYVTRTSALEYEDFNSAKSRLIERAEKFGEISCKARRIIAMLSQDFIFDGCTILVHGFSRVVLEVLKTAAQNKKLFRVFCTEGRPDRTGLRLSNELAKLDVPVKLLIDSAVAYTMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHSMNKPVYVAAESYKFARLYPLDQKDMGPALRPIDFGVPIPSKVEVETSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >EOY28315 pep chromosome:Theobroma_cacao_20110822:6:24898616:24900662:1 gene:TCM_029915 transcript:EOY28315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha 1,4-glycosyltransferase family protein, putative MFEMTRLHHRFQRIKSSVYGFVFLLPTSIVALFLFILLACNGFSVFYINLPVPAKSSPEPANVLPENLPGDKKVTKLASSVMYAVKEENPPVISKTHLPLLQKPNFSVVPVDKPLVFRPKQARLSRQILRILRSGTKAKGFSAQVKDFFQNSKCKSRFFMTWISSVESFGDRELLAVESVFRSHPEACLLIVSNSLDSKRGKVVLKPFLDRGFKLVAFDPDFDYIFKNTYAELWFNRLKRGNLNPGEVSLGQNISNLLRLALLYKYGGIYIDTDIIVLKRFNNLRNVIGAQSINLETKNWTRLNNAVLIFDKHHPLLYKFIQEFALTFDGNKWGHNGPYLVSRVVARVTGRPGFNFTVLPPSAFYPVDWSRIRSLFQGPRTKVHSNWLHNKLEQIRRQSFAVHLWNRQSRNVRVQEGSIIHHIVSDCCVFCDSSRSSL >EOY26125 pep chromosome:Theobroma_cacao_20110822:6:4866062:4869597:-1 gene:TCM_027546 transcript:EOY26125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERAHEKGPIATRKEAQWQEGRVGPKKKKGGDLGLGQPSPNRIGLTCNHRRVQSTTDSDLIDISSKVCSGLGGSSPEVSNTGSNRERVVTIFM >EOY28992 pep chromosome:Theobroma_cacao_20110822:6:27050348:27052449:1 gene:TCM_030438 transcript:EOY28992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-3 MADSDNDSGGHNNSNANNELSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVEPLKVYLHKFREMEGERTALGRQPTDQRDGSSADDSTNAAAGGAFAGPAMYGGMQSTMMLMGHHHHQSHMYGSGTSSGSSSGRPRLYQIFAWSSHECRIDPLDLLWGRGKWKEKK >EOY26593 pep chromosome:Theobroma_cacao_20110822:6:14669902:14673777:1 gene:TCM_028430 transcript:EOY26593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysophospholipase 2 MGNVDQLVQKKGRIESFGNCDLLGMRLNIVEDLLQIRINANAREDQVLIDAARRFASNEWGLVRVGPPTNQEWEYVIVNNQSHGALSKYAALSLPTYPYPQPIIPPSLFQVRFPLLSVLPSLPFQQPQQLTLLCNTFLCFFLWSFLLLSKYTHANSRCLPGTIRSAMEGQKPANFWGNLPEEEYYTSQGVRNSKSYFDTPNGKLFTQSFLPLDQKVKATVYMTHGYGSDTGWLFQKICINFTTWGYAVFAADLLGHGRSDGLRCYLGDMEKVAATSLSFFKHVRYSEEYKDLPAFLFGESMGGAATMLMYFQSEPDFWTGLIFSAPLFVMPENMKPSKVRLFMYGLLFGLADTWATMPDNKMVGKAIKDPEKLKIIASNPRRYTGPPRVGTMRELARVCQYIQDNFSKVKAPFLTVHGTSDGVTCPTSSKLLYEKASSTDKSLKLYDGMYHSLIQGEPDENADLVLKDMREWIDERVERYGSKKC >EOY28259 pep chromosome:Theobroma_cacao_20110822:6:24766576:24769200:1 gene:TCM_029883 transcript:EOY28259 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING membrane-anchor 1 isoform 1 MACKQYYAQEWKTVPSASTSENLNGSFDCNICLDFAHEPIVTLCGHLYCWPCIYKWLHVQSASLAADEHPQCPVCKADISHTTMVPLYGRGQSESELQGKALYRGMVIPPRPPACGTQALLSTTSPNGQQLPYRNPYQNQNYNPHAYYEEDSSSPLLNLGGTTVTGFHHPVVGMFGEMVYARVFGNSESLHPYPNSYYLTGSGSPRLRRHEMQADRSLNRLSIFLFCCFLLCLIVF >EOY28258 pep chromosome:Theobroma_cacao_20110822:6:24766809:24769223:1 gene:TCM_029883 transcript:EOY28258 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING membrane-anchor 1 isoform 1 MACKQYYAQEWKTVPSASTSENLNGSFDCNICLDFAHEPIVTLCGHLYCWPCIYKWLHVQSASLAADEHPQCPVCKADISHTTMVPLYGRGQSESELQGKALYRGMVIPPRPPACGTQALLSTTSPNGQQLPYRNPYQNQNYNPHAYYEEDSSSPLLNLGGTTVTGFHHPVVGMFGEMVYARVFGNSESLHPYPNSYYLTGSGSPRLRRHEMQADRSLNRLSIFLFCCFLLCLIVF >EOY25803 pep chromosome:Theobroma_cacao_20110822:6:1975556:1979212:1 gene:TCM_027163 transcript:EOY25803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMATAFSHRSSFTYFNSKSFNPDSLRPLIVTPFHPHRPCLPVRLRSSSNTSQPRCHSAGPGPASSPDSDPPRPPGFAGKLSRFQDRAQIFLAVLFWMSLFFWASAWDRNNSGRPDKGSRFRR >EOY26928 pep chromosome:Theobroma_cacao_20110822:6:19204211:19205934:1 gene:TCM_028890 transcript:EOY26928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphotransfer protein MERNPMRRQLAIMRQSLFDQGYLDEQFIQLEELQDDANPNFVEEVVTLYYRDSARIILNLEQALERKPFDFNKLDGLMHQFKGSSSSIGAKKVKAECTLFREYCKSGNGEGCTRAFQQLKREYATLKRKLEAYFQLARQAGPAETACCRKE >EOY28864 pep chromosome:Theobroma_cacao_20110822:6:26736331:26739609:1 gene:TCM_030344 transcript:EOY28864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formyl transferase MDQAGLPPPLFFYLFIFLKTTQFLPRLLFSLIFPFFFLHSLAAAAIPTFYSRRRQVSSPSLSFFSSFPFPFSASHRCGRRKGISHSLSVSSSLLSLAASKLSLFFSFIVIFSGFFSLDFCELLMGTQSLFYGLCSKSVIPSPKNPRNSLSSSPPSHSYPSCFIKSKYRVSFRPQCSPASQRLRSLSRLECKNSVEKVSNVVSEKEDSTTLIKRKRLAVFVSGGGSNFRSIHQACVEGSVNGDVVVLVSNKQACGGAQYARDKNIPVILFPKTKDGPDALSPDDLVNVLRRFEVDFILLAGYLKLIPVELIRAYPRSIFNIHPSLLPAFGGKGYYGMKVHKAVIASGARYSGPTIHFVDEHYDTGRILAQRVVPVLANDTAEELAARVLREEHKLYVEVTSALCEDRIVWREDGVPLIRSKVNPKEYY >EOY27283 pep chromosome:Theobroma_cacao_20110822:6:21043598:21052073:1 gene:TCM_029161 transcript:EOY27283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inter-alpha-trypsin inhibitor heavy chain-related, putative MAGEFSTSVTCGIMLSKRLYYGRGASPAPPVMSRSLSSTESYRPTGVMAYAVVPEPAAVDNPDVPSYQPYVHGRCDPPALIPLHMHEVSMEVDCCMDTAFVTVRGAWRVHCIMSSRRCDCRIAIPMGEQGSILGVEVDVSGRSYNSKWVTLADSKDTEKVARAGDGCFLTPHTYTFKIPQVDGGSNVSIKVSWSQKLSYQDGQFCLNVPFSFPAYVIPVGKKIPKREKIQLNVNSGTGTELVIKCTSHPLKELTREVRKLSFIYEAEVPAWSTSDLNFAYTVSSSDIFGGVLLQSPPLRDFDEREMFCFYLYPGNNQKTKVFRKEVVFVIDTSESMQGRPIESVKNALLALLSKLNPQDSFNIIAFNSEIHLFSSTMVLATHGSILNATHWLSNNLTADGGTNMMLPLKQAMKLLSDASDSIPLIFLITDGSVEDEREICNVMKGYLSSGGSVSPRICTFGIGLYCNHYFLQMLAQIGRGHYDCTYDADNIELRMERLFTAATSVVLANITMDMPGNLDSLELFPSYIPDLSFGSPLMVSGRYKGDFPDNIKVTGMLADMSTFVTDLKVQNAKDVPFDRMLTRRQIDIVTCHAWFSESQELEEKVAKISLQTSFPSEYTCLILLQTDSEKKVQESIRVQEIFKKINMPKKGDMNGQKLVFLGCMGVGFGNLTATAKNIPPGIEEPKSPEGAEILVRAASNCCSTLLDRCCCMCFIQACSYMNNQCSIVFTQLCTALACFECVNCCYELCACV >EOY28536 pep chromosome:Theobroma_cacao_20110822:6:25717367:25719175:-1 gene:TCM_030079 transcript:EOY28536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II subunit Q-2 MAQAMASMAGLRGSSQAVLEGSLQLSGQTRLNIAGNSRVAVARPGFTVRAQQAPTEPETGRRAVLGLVAASLATGSFVQAVLADARTIKVGPPPPPSGGLPGTLNSDEPRDLDLPYKNRFYIQPLSPAQAVARAKESAKDIVGVKSLIDKKAWPYVQNDLRLTASYLRYDLNTVISAKSKAEKKSLQELTGKLFDTISNLDHAAKIKSTPEAEKYYAQTVSTLNDVLAKLG >EOY28817 pep chromosome:Theobroma_cacao_20110822:6:26614704:26616086:-1 gene:TCM_030308 transcript:EOY28817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine repetitive matrix protein 2, putative METQRSVNNKEARNLSPCSSGRRSSTSSHSSSPEFEFWMVRNPSFPQPDLISADELFVNGVLLPLHLIPNKQPEESPRPEPNSSASEPPVPDPEPEPGPLITSEPITVLSASKRWRDIFKKEKGKNGAKHQEDKDKEKEKEKEKKKEKKSQSQSGASPAELNINIWPFSRSRSSGTSGTRPRMTAGAAGTRKVSSAPCSRSNSAGESKSRKWPSSPSRAGVHLGRSSPVWQVRRGGSGVRTFDVSSRSAEKSGSKKEVTETRCGKIAPSNGGNGNKAKVLNLNVPMCIGYRHHLSCRTDENSAMLAGVSDDCNGSRSGSGGNGANGRSSGPNVGSGSNFFNLRNLFTKKVY >EOY26126 pep chromosome:Theobroma_cacao_20110822:6:4869260:4875575:1 gene:TCM_027547 transcript:EOY26126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGKFTQIPKMQEIRDTFIGIGPVGAYEIGWLDYKHILIWLSNEHDLNRIWNLLWISFPNLRAHLYEKSALLVIAKIVGRPLMVDEATANRTRPNMAKYCTCHVGHSESTCLVMGHHPKKKLQSTRIKKQWKGNENGCEKRKEGDLMPKEGNEIDQTLVISPKQSTMWQVISRRGTRGTKDPRAKIRPRQTVLGFAIGEKGDLGSTDPLVVKDTSVAVNLPASGKSWDSRTTHTQDRGGQEITGQQDGKIKDGFATLKAVENDSAPLLSVPVSNHAWIIDSDEIGRTASASVENVGSKLEFFRRKLGFEAAASNSSQKI >EOY25959 pep chromosome:Theobroma_cacao_20110822:6:2966867:2968849:-1 gene:TCM_027321 transcript:EOY25959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNDTNDVNMRQGISVNLGVDLQQILPTGQLPGYSHELLKPDPQFLISSPQAPYVPSPSTLLTPSPELGNSGKPIYGDSSLSNNCINISQQEATIEQVGSEFSTLDSLSNGMLAFPLLAGFTNANDTHGNALTTGFTMLLE >EOY28475 pep chromosome:Theobroma_cacao_20110822:6:25429192:25430991:-1 gene:TCM_030020 transcript:EOY28475 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB21, putative MAKASQSVEKRTLRKGPWSPDEDRKLIAYINRHGIWNWTEMAKPAGLQRSGKSCRLRWVNYLRPGIKRGNFTREEDETIIELHERLGNRWSVIASRLPGRTDNEIKNHWHTHLRKRLKYNSVSTSELFQISNVETVKKSSSEVDLPPAIAPANSESSSAIQLSQQFSTDSLPSSGSDPAAEIDKNQTEDTLVSSETFGELQSILEQQFTSEGSSTAENYGAIYAEPGISATSSQLLWFQESMYQGNSCNDLWLNFLVKENMYAG >EOY26829 pep chromosome:Theobroma_cacao_20110822:6:18083989:18090213:-1 gene:TCM_028779 transcript:EOY26829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative MASEKIMEKGIRQDSSERRKGGFIALPFIIANEALEKVPSYALVPSMTLYLKSNYHLTIAAVHNLINHWQAFSNFAPILGAFFADSFMGRFVTIGLGSISSFLGVAILWLTTVIPQATPPPCDHLAQSCKSATAGQVAILVAALFFKSIGAGGIRPCSMPFGADQLSNKNEKILESYFSWYYAAASLGGSLGLTSVIYILQMYGWMVGYGVCAILMFLATVAFYIASPLYVKRKASTSLFTGFAQVLVVAYKNRNLPFPSKDSGYHHGKDSEITVPSEKLKFLNKACIIRNAEQEIGSDGLALDPWRVSTVWKVEELKALLKVIPIWSTGIVMAIAGSQHSFPVLQASSVNRHVLGGFEIPAASVTVFVYCTVVVWVVLYERVIIPLASKIKGEQVYISVQLRMGIGLFLSVIALGLTAIVESARRRKAIQEGLLKNPIAVVNMSVIWLLPQHIVMGVAEAFSTLGYFEFYHREFPKTMSSIGNSLFFLALAFAHILSSALFNIVNKTTSRGGKPSWVADNLNEARFDNYYWLLAGLSLVNLLYYIICSRSYGSTAEVIDEGYESEEE >EOY28542 pep chromosome:Theobroma_cacao_20110822:6:25736220:25738284:1 gene:TCM_030084 transcript:EOY28542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase-related family protein, putative MLYFSGPSFIIFLVLMLSISICRADSNVNSGWQCSEADNFTSESSFRINLDNLLSLLTEKGPLHNGYFKTTVGRSSGKIYGLIQCREDVSTENCANCTRESIAVALHDCSKSRKVQVWFTWCFLRYSNEHFFGVWDQSSMARVNDTNFDDASVVSKGLIFMSEVATTAPKQPLMFHTAVLDAGQFGKRYGMAQCTRDISRTDCSKCLDSQLVTFRTTIGNKRGWEVYGSSCSMWYHDYQFYFNISIPKNDGARRLSLHGVATGITMAVLMLFFVP >EOY26376 pep chromosome:Theobroma_cacao_20110822:6:8446733:8471681:-1 gene:TCM_027897 transcript:EOY26376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEALTNLMAKLPFYPVAQHVNQIDSHLVEMLQDSCHMAMCYKGYYVNGYKFHTLDYGENRSTMNNALFEAIGGPQTTWTHVYGFSTMMQLPTLLALATTSESTYCLMPSINVTHVPPSEPQGYQEMKSNVKEFKTDMHDIKSIGKVVLDRLPNLACRSSSS >EOY25660 pep chromosome:Theobroma_cacao_20110822:6:1018715:1020677:1 gene:TCM_027041 transcript:EOY25660 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MKSPSFSMPLFLLVLFSFSWATSARTHDSFLQCLSLHSDINARLIYTQNSSSYESVLELSVRNARFSTPTTPRPLVIVTPSNVSHIQATINCSREHDMQIRIRSGGHDYEGLSYVSKVPFVIIDLINMRSIDVDTEEKTAWIQAGATIGELYYRIAEKSATLAFPAGVCPTVGVGGHFSGGGYGMMTRKFGLAADQIIDAHIVTVDGRILDRNSMGEDLFWAIRGGGGASFGVIVAWKVKLVSVPSTVTVFTVNRTLEENATKLVHKWQSVAEAIDEDLYFRLFLRAVNSSQEGKRTVQASFTSLFLGRIDQLIALMQESFPEFGLVKEDCIEMSWIQSILYFAEIPQSESLDVLLNRTVTAGFFKGKSDYVTEPIPEIALEGLWQWFYEDESETLGLIFSPYGGIMDEISESETPFPHRAGNLYNIHYGVSWEEEDASTSQKHINFMRRLYKYMEPYVSKSPRAAYMNYRDLDLGSNNKGKYTSYTQASRWALKYFKNNFNKLIHVKNMVDPQNFFRHEQSVPTLLRE >EOY28674 pep chromosome:Theobroma_cacao_20110822:6:26201111:26205056:1 gene:TCM_030209 transcript:EOY28674 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette protein 1 MGTNERNTIIFTNLKRQMNLSTAITMASLLANGISSFSPQPTSDSTKFAKGFYPKLDSVKPITPKPPNSRLFKVRADVGFDSQTLTSDPSSSSGKSYDEKIQEILRNRDYDKKFGFTMDIDSFSIPKGLSKETIRLISSLKEEPDWMLEFRLHAYEKFLKMNEPKWSDNRYPPINFQDICYYSAPKKKPTLNSLDEADPELLKYFDRLGVPLNERNRLANVAVDAVLDSVSIATTHRKTLEKAGVIFCSISEAIREYPDLVRKYLGRVVPSEDNYYAALNSAVFSDGSFCYIPKDTKCPMPISTYFRINALETGQFERTLIVADEGSFVEYLEGCTAPSYDRNQLHAAVVELHCANGAEIKYSTVQNWYAGDEEGKGGIYNFVTKRGLCAGDHSKISWTQVETGSAITWKYPSVVLEGDDTVGEFYSVALTNNYQQADTGTKMIHKGRNTRSRIISKGISAGNSRNCYRGLVQVQSKAENARNSSQCDSMLIGDMAAANTYPYIQVKNPSARVEHEASTSKIGEDQLFYFQQRGIDYEKAMAAMISGFCRDVFNELPDEFGAEVNQLMSLKLEGSVG >EOY28138 pep chromosome:Theobroma_cacao_20110822:6:24321781:24323385:1 gene:TCM_029790 transcript:EOY28138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonoid o-methyltransferase related, putative MDQGAIESSFQAQAHLYKHMFNFIGSMSLKCAVQLGIPDIIHNHGESITLSELVSALQIHPDKTSCVYRLMRLLVHSGFFGTTKVHEDDQEEEAYVLTPSSKLLITDKINCLSPFVLEVLDPASMNPLHFLRDWIKGDKNTAFEIAHGLSFWDYVDQNLEFKDLFHEPMARDSQMMNLVIKEYKPIFEGLSSLVDVGGGSGDAILIKHILHAWSDEDCIKILKKCREAIVRNGSRGKVILIDVVINEKKDKHELTKAKLLIDMLMMVMANGRERNEKDFGKLFVEAGFTDYNIMPIFGLKSIMKSIFRGK >EOY25811 pep chromosome:Theobroma_cacao_20110822:6:2043593:2045949:-1 gene:TCM_027176 transcript:EOY25811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative MICHDSSLKIAVSFSSLFIKNMGNLCSTSASAEPAVSHYWDCIAGQANYTWKLEENLIALSAALQELRSLNLDVKWRVEREKSQGGEVLDEVQLWLSKAETMNCRSSYKFGQQLAAKLEQIVDLKGERVFDKVAFNQPVSLIRPEPTVGLESTLFKIWSLLEGTEVGIIGLYGMGGIGLSSEYSWENKDPGEKALDTSTVLEGKKYVLLLDDLWELLDLSEIGIPLPTQENGSKVVFTTRSLEVGEETLNSDPLIRMLAKEVAAECGGLPLALITIGRAMAYKKSPHEWRYGLEVLRRSPHKFARLGEGPFPLLKFSYDSLPSNSVRSCLLYCSLYPQDYSISKATLIDYWYCEGLLDEFDSISSARMQGYNIIGVLVDACLLNEDGKKFVKMHDVIRDMTLRIVHQYEASENRFFVRAGVGLEAVPEVETWQGVIGDDFLQFMSTLRVLNLSDNRGLRKLPKGISKLVSLELLDLSRTGPTDKDCE >EOY26576 pep chromosome:Theobroma_cacao_20110822:6:14466880:14484974:-1 gene:TCM_028406 transcript:EOY26576 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MEGRAPDGLTSPADNNQQDFAKLHLHQSLKTNTNLKLVLDNTTENDDKAYSVDFNSLCNLVQFPRPFTAEMNNYHSRIFGSCNGLLAEYHGDAGIALWNPSTQKCHYLPAIADDVSMDHYIIPGYGYDNDTILGFGYDVISNDYKVVKMMRSKSQNCFKVMIYSLKAISWRRIKDCPYDIPFICNDGAYANGCLHWIGDEINNLFYGKLIFALDLGDEEYHEVPECDIDIRDFGYKNVGVLGGCLCVFRDCFVSYDDDNAVLWVMKEYGAKES >EOY27631 pep chromosome:Theobroma_cacao_20110822:6:22570954:22572163:1 gene:TCM_029427 transcript:EOY27631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEKFNSLEALIMWCITLLIGSNSRMGERRNKTLAPRPPHLSYGQHTIKAAALQLHASLLKRVTRMHRVYQGKQ >EOY26777 pep chromosome:Theobroma_cacao_20110822:6:17821284:17824007:-1 gene:TCM_028736 transcript:EOY26777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALLTTATLTKLPLILSSSFPSSNSSTSTSTSTSSSCIKFQHQTHNFRSLYLGPSPAFRRNPITIIPRTSYNVGKRHVVCMAPEEEKMTRRSPLDFPITPNLKFNRASSLSLSHLGLLYGVELVVESWQILKINEHIAYTVKEWERPKPGRRPDIFPQFSPMKTPLPIPMPADPPEEEEEEEEKKEEEEEDPEKVEEPENPEKQ >EOY28938 pep chromosome:Theobroma_cacao_20110822:6:26920543:26927587:1 gene:TCM_030403 transcript:EOY28938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin hydrogenases MSEKFSPTLRIGDLSDFIAPSQACVVSLKGLKATPNKPEPQARVSTVKSQQAEPVKISLKDCLACSGCITSAETVMLEKQSLDEFLSNINKGKAVIVSLSPQSRASLAAHFGITPLQVFKKLTTFLKSLGVKAVFDTSCSRDLALIETCNEFISRYKQSQAADDAKTKSSLPMLSSACPGWICYAEKQLGSYILPYISSVKSPQQSMGATVKHHICQTMGFRPEEVYHVTVMPCYDKKLEAAREDFVSQVGSDDGSHANEGVCITEVDSVLTSGELLDLIQLKEVDFKALEESPLDRMLTNVDDEGHLYGVSGGSGGYAETVFRYAAKVLFGREIDGPLDFRIIRNPDFRELTLEVEGRTVLKFALCYGFQNLQNIVRKVKTRKCDYQYVEVMACPSGCLNGGGQIKPKPGQSPKDLIKSLEAIYMENILEADPFKNPLVKRLYDEWLEHPGSEKAKRHMHTGYHPVVKSITAQLHNWFGGCLYRYNVIHISLNCGSLKICTLTPRASSLAGNQNSRTSCFISQNNLRP >EOY26630 pep chromosome:Theobroma_cacao_20110822:6:15823305:15832823:1 gene:TCM_028523 transcript:EOY26630 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate-dependent dioxygenase, putative MGLQSQFKLPVIDFSEGNLNPGTSTWVSACNDVRQALEEQGCFEARFDKVPLQLHDTVFATAAELFDLPTELKMRNTSNKPYFDYFGQYRSLPLYESLAMDNPTTHSGTQSFTNLMWPAGNDRFRESAQSFSELVAELDRTVMRMLFESYGVGNYYDYYIKSTNYLLRFYKYRKPEMNESDTGLPPHTDRTLLSIIHQGRISGLQVKLKDGQWVGVQPSPTSFAVMAGDALMAWSNDRIPPCYHQVTMKEKETRYSIGMFSFISGIIHILEELVDETHPLKYKSFDHFQFLHFDRSDEGKKSKCSIKAFCGV >EOY26245 pep chromosome:Theobroma_cacao_20110822:6:6408276:6410991:1 gene:TCM_027708 transcript:EOY26245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MTVTPPWNLGKDCKMNSISDLHYYLLCFLLLLFSAFLLRSISNKSSKSAPGRHLPPSPPSLPIIGHLHHVRPVLHKSFHHLSSKYGPLLYLRLGSYPCLVVSTSSMAEEIFKTQDVNFAARPVSPFGDGLLFGNDGFITAMYGDYWRFMKKLCVTELLGTRQVERSRAVRHQEITRFLRQMIQSASNTEVVDVGARLMKLTNNIICRMVMSTSCSEEDDEAERIRELVKRSFELAGKMSLANSLGPLKKFGFWLYAKEAKEVTTRYDELLEKLLKEHEERAKSNDREGNKDLMDILLEAYHDDEAEFRITLGQLKSFILDLFIGGTSTSAETMQWAVAELINHPTVYKIARDEIESVVGRSRLVEETDIPSLHYLQAIVKETLRLHPNSPLIPRVCHQGCKINGFDIPARTPVALNVYSIARDPAIWENPNEFCPERFLVSSKTETKGQNFDFTPFGGGRRACPGKNLAYAVMNTAIASMIQCIDWKVIGEDGDGAKLSMQEAIGMSLTMASPLRCLPLVYFNPFEA >EOY28793 pep chromosome:Theobroma_cacao_20110822:6:26548446:26557792:-1 gene:TCM_030294 transcript:EOY28793 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH dimerization motif,WD40/YVTN repeat-like-containing domain MIWIKKGSICMIIHAGECRQQQQINFALHQVKREHSQGITLGRTIPVNPLTPYGAQKGILPATGPDTAGLNDSINLAPLNGWPLDASNCQQQLQILKSQPEISAQGLSHMPRNLTPTFPGSSAKFNYRNPKLPKIEINGNNRQMMIQQMQTEEQQNQHNMLQQQHCSFIMIHDTVESFLSHDDDNVDSTSTPFSVLRHRYNPSNEIEQKGFTFGEVSCLHSSKSKVLCCHFSSDGKFLAGAGHEKKVLIWNMETLDFVRTSEGHSHLITEPSKSLCKLVGHAEQVLSLDFHLRKLDLLCSCDSNNEMRLWNVNQRSCMHVSKGATKQVRFQPQLGKLIATVSGNVVNIIDFETDKPPGHNKEVLSICWDPSGNYIASISEDSARLWSMIDGECIHELCSTGNKFQSCTFHPVYSLLLVIGGYQVKRVNCSMEHGPMHPPVSQKIHWQPDLPVRHFNYTPRVQNFHGDGALLNRKSTPTFVSALLEKDRDGPHSKKACEALDAKPERDDQVQKVKFDEYIKSQESRWSTFQKKLADFLSSAVYLFLAYPLRYAQTCMATDVKTTGWQFNGTLDVCIKTWKVDGIAGMFRGYTMALAQLGVEKVLSPLLTPWRHSLYLQTRVSESFGQ >EOY25554 pep chromosome:Theobroma_cacao_20110822:6:433210:433422:1 gene:TCM_026944 transcript:EOY25554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MYTFSLASKFVHYSQQCLYNQHFCGRAVLRKN >EOY27481 pep chromosome:Theobroma_cacao_20110822:6:21989739:21996869:1 gene:TCM_029320 transcript:EOY27481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide binding,nucleic acid binding,RNA binding MTENDKNYYLYIIRRNGNITIILLLWFSSALDESLLNHVTLVGLVLARNSPSSKHSCPYLPSSSSPSTVDATFSRSSSFFSSNPNLADPQSGFHTSSTSFFQPNLVELFPPSTGRLLETKNSLEKRSKQLNSFQNKNMSRICVKNLPKHVAEDRLREFFSQKGEITDTKLMRTKDGKSRQFAFIGYRTEQEAEEAIKYFNKSYLDTSRIICEIARKVGDPNTPRPWSRYSSKKQENVTETGKTTAGGKSLSVIDSKGGKKVLESSEKDDPQLQEFLQVMQPRVKSKMWANDIVIDTPANQKSKVTKKKAISEKESRQTSASVDAETDETNIALDDDDETKASDTVARDEVISDMDYFKSRVKKDWSDSESEENDDGDDSDQKGKHNSLSEDVIQDQIQQGSSKDADDEIIDPDNPSSVLKDDRDEVLQSGRLFVRNLPYTATEDELTEFFNKFGDVSQVHLVIDKDTKRSKGIAYVLYKVPECSVRALEELDKSSFQGRLLHVMAAKLKKPTGKQETDASQGSKTFKQKREEERKASEASGDTRGWNSLFMRPDTVVENIARKYGVSKSELLDREADDLAVRIALGETQVITETKKALANAGVNISSLEEVAAGKTDGMKRSNHILLVKNLPYGSSDDELAKMFGKFGSLDKIILPPTKTLALVIFLEPAEARAAFKGLAYKRYKDAPLYLEWAPDNVLSKSSTSEGDKKNNAAVGEHDVKRAILEQLVEGISDADIDPDRIESRSLFVKNLNFKTSEESLRNHFTEHVKEGRIQSVRIKKHLKNGKQVSLGYGFIELDSVETATNVCRDLQGTILDGHALILQLCHAKKEEQAVKNVEKDKSSTKLLVRNVAFEATEKDLRQLFSPFGQIKSLRLPMKFGNHRGFGFVEFVTKQEAQNALQGLSSTHLYGRHLVIERAKEGESLEELRARTAAQFTDEQNGFQNPTRLSKKRKNMEFLDGDRKKFERIAD >EOY26903 pep chromosome:Theobroma_cacao_20110822:6:18963130:18963844:-1 gene:TCM_028868 transcript:EOY26903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1677) [Source:Projected from Arabidopsis thaliana (AT1G79770) TAIR;Acc:AT1G79770] MAPHGEAITSTYTRSKNFPEPPRLSNDNLHRTISDISFQLISKEVLDYQEAAVADEKQLPPISEVEDAKCECCGMSEECTPEYIERVRNKFLGKWICGLCAEAVKEEMEKNGGKIDEALSAHMNTCARFNKFGRAYPALLTAEAMREILRKGSRLEGKGTLRSKSISPRDIRGAQKKGGIARSSSCIPAITREMNNLTVAN >EOY26848 pep chromosome:Theobroma_cacao_20110822:6:18727767:18728811:-1 gene:TCM_028829 transcript:EOY26848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb:CAB51178.1, putative MASYLCFNLTSLYSLYPIPTQRSFTPIRCGPRDNRGPLVKGRILSTEAIQAIQSLKRAYRNSSPTTTNTQLPSLSRLIKSDLLATLLELLRQDQCILALHVLSTVRSEYPPLHLTLYADVVTALARNQLGGEIDGLIGEMEAIECDDEKALVRLIKGVVGAGRRESTVRICGLMKENGVGSRKRVGQYVVKVLSKGLRRFGEVGLALEVESEFGGSSRVSLDKLRI >EOY26287 pep chromosome:Theobroma_cacao_20110822:6:7067956:7075280:1 gene:TCM_027766 transcript:EOY26287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHVCYLRINAKDTIHMAKPIPLMDNLESQTYETFEKDSVKYIQGTWKMSAYREIATVVTDSKAVPGHDKIAPEDQESEYSKFDSHYSSG >EOY26827 pep chromosome:Theobroma_cacao_20110822:6:18077738:18078540:1 gene:TCM_028777 transcript:EOY26827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVDESIVQIQRSLRRNWQVGDIATLIDNVGGAAMYSTIDRLKVTFDFNISYYSTARIRFFFKYFIV >EOY27076 pep chromosome:Theobroma_cacao_20110822:6:20012417:20014747:-1 gene:TCM_029012 transcript:EOY27076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENSTFIQVVIEVGKSGDKTSKRWKSRLFFVFVGNLDPKVAWKQLNNIFQELGIVVEIFIWKPNRLVRNERPSFTFVRYRTVEEIWRAIAQADERLIDGFNMIVNRALSNKLVRPKRLENQRLANQEKGKIQATSSTIRSFKEALCTDDVELCINGCRVKLRLLIDNNDDSEQIHLNSFNVCSNHSSIQSVASSRNGGAIAGREDVSNADVDFVDNGRAPIMLTDERHSNDSDQLTKSRATLCRVVVTKWRGKKAIKKGVRNCKNKFEISIKLVSDSDIARRNEAIIKEVEETLYIGTKVDLEFDLCDEEMGKVFVK >EOY25680 pep chromosome:Theobroma_cacao_20110822:6:1175071:1178352:1 gene:TCM_027064 transcript:EOY25680 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MNSHYPLKMMIPGSGMVTLTLFLFLSVSVCFSASNSTKESFLQCFSSHLPPSNITSDVIFSQNGSQYLSILRSSIRNLRFLNASKPQYLITPYSEAHIQAAVICSKEYGLHVRVRSGGHDYEGLSYISDVPFIIIDLFQMRSISLDIKNEYAWVGGGATLGELYYSIAGSSNLHGFPAGSCPTVGVGGHFSGGGFGTIFRKYGLAADNVIDAKIVDVNGNILDRKSMGEDLFWAIRGGGAQSFGVIFSWKIKLVRVPPTVTVFSIRKTLEQGATKLLHKWQTVADKLHQDLFIHVVMGVPSANSKGNKTVRVSFDCLFLGTAERLLSLLQQQDGFPELGVTRDNCTEMSWIQSVLYFAGFSITESLDVLLNRTMSSTQFSKAKSDYVKEPIPESGLEGLYKMLVEEENSILILTPYGGRMSQISSSEIPFPHRSGNLYGIQYILDWAAAEETEKHLGWMRRLYKYMEPYVSNSPRAAYFNYRDLDLGRNNKRHTSYAQAAVWGFKYFNNNFKRLVRVKTSTDPDNFFWNEQSIPVLQSGSTKKGKKD >EOY27551 pep chromosome:Theobroma_cacao_20110822:6:22360312:22362906:1 gene:TCM_029387 transcript:EOY27551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c MEIVSAVSGCSNTARCFLVKGNANQGGPPLKLKQVGRVKLFKNLAPPLIAAFVALSPICSTPALAQTVDIQRGATLFRQACIGCHDGGGNIIQPGATLFTKDLQRNGVDTEEEIYRVTYFGKGRMPGFGESCTPRGQCTFGPRLKEDEIKLLAEFVKLQADQGWPNIASNDD >EOY25998 pep chromosome:Theobroma_cacao_20110822:6:3488775:3489777:1 gene:TCM_027385 transcript:EOY25998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-3 adrenergic receptor, putative MVFSNWICTKNTKNMFVKIVHPGGHVELHDRPVLAAEIICRNPRCVVAHPHVFQQPWSIVAPETVLMPGQKFYVVPLSTIRKLQRLSNKHSPFPDRQTPPSKSQKSEEPDPDSDNPSICWFFMQKNTLKNPYSSINHLDDEGANANSTDSKGEKGVSKDMVKDGSCFSDKNCFTCMITGVKTKANGDDSGEETRSSSNFVPPETRGHTRKRTRRHAKGSPKRHAAFDQWQPSLASINETEE >EOY27824 pep chromosome:Theobroma_cacao_20110822:6:23236007:23237072:1 gene:TCM_029569 transcript:EOY27824 gene_biotype:protein_coding transcript_biotype:protein_coding description:AWPM-19-like family protein MANLQLKPVATLLLLLNFCMYVIVLGIGGWAMNRAIDHGFIIGPDFNLPAHFSPIYFPMGNAATGFFVTFALLAGVVGVASAIAGLNHVRSWNANSLPSAASVAGVAWTLTLLAMGFACKEIELEIRNARLRTMEAFLIILTATQLFYIAAIHGSV >EOY28620 pep chromosome:Theobroma_cacao_20110822:6:26059717:26062534:1 gene:TCM_030171 transcript:EOY28620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase/pectinesterase inhibitor, putative MATAHQPLLHRPKTSKVLPLIFSIAAIVCTAALVTIRLVKISSFTPLPDQVCLGAVDQKSCSALLSEVASNSTIKMKGVDVLHAFLEKSTAQMQNAINLANNFKHRINNPRDQAALADCLELMESSMDRIADSMVALGKKDVDSHSDAHAWLSSVLTNHVTCLDGVQGSARTLMEPGLNDLISRARTSLAVFVSISPGKTRFVDPSIDEFPSWVSSKDRKLLQALLNEIKANVVVAKDGSGNYKTLAEAVAAAPDKSKIRYIIHVKNGTYKENVEIGKKKKNLMIVGDGMNSTIITGSLNFVDGTTTFKSATVAAVGDGFIAQDIWFQNTAGPEKHQAVALRVGADQSVINRCKIDAYQDTLYTHSNRQFYRDSYVTGTVDFIFGNAAVVFQNCKLVARKPMSSQSNMVTAQGRTDPNQNTGTFIQNCNIIASADLRPVKASIKSYLGRPWKEYSRTVVMQSYIADHIDPSGWSIWNGDFALKTLYYGEYMNRGPGAGTCKRVKWPGYRILTKAEEAKPFTVAELIQGGSWLKSTGVAYTEGL >EOY28892 pep chromosome:Theobroma_cacao_20110822:6:26803900:26809327:-1 gene:TCM_030368 transcript:EOY28892 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL5 MSLFQSPAVDQFSNGCDHRLSSKRKFDDYALAFDEADEDEAPLVPVRMRKDDHHHHLHHQGSHPITAVQPSSKGSSSSSPASFLDSRPSSSDAPSSSASCSSSRLQFFIRMISEGNTIVVHANSEDTVKSLHERIQLMTGIPVIEQRLIYRGKQLQWEQSLADCAIQNDAGLQLVGRMRSTEHPQTWQVMDDMISLICRLCRGESVPSSTKRIKDCLIKFFTITPKDNNDSAPAHLHIFMASSAPAAMVMLYMSPINGNKQCADSSIRHFLNSCRNALSKQLHSYCAPIVLEFCKLLRKVVNEDSLYAMCRSTLGSLLETVGTSRGLVLREVKGSIVMQEIFPFVSELADKLSKDLDCSIDSTTSGGPSSSDVRDFTAFLNPLRSAILEQVGFRIPISVDWEKKDYNLPPYGEEIEFLHAIFNDLLAKMEKCLVRMEENFAVRGSRDGGVVHSGSSQYLAILKELNGISKLYEGAEEQFWMVLRNRKSSLCSLIISFARRTDDNRWLLEHKDVTDFESRRHLAMMMFQEVKEDYEELHEMLIDRSQLLAESFEYIARAEPESLHAGLFMEFKNEEATGPGVLREWFFLVCQAIFNPENALFVPCSNDRRRFFPNPASRVDPLHLEYFSFAGRVIALALMHKVQVGVVFDRVFFLQLAGMHISLEDIREADPCLYSSCKKILEMDAEFIDSDALGLTFVREIEELGSRRVMELCPGGKSIVVNSRNRQEYVNLLIRDRFVTSISEQVYHFAQGFSHILSNSRLQKFFFQSLELEDLDWMLYGSESPISVEDWKAHTEYNGYRENDPQITWFWEIVREMSAEQRKVLLFFWTSVKNLPVEGFRGLASRLYIYKSSEPHERLPSSHTCFYRLCFPPYPSMTEMQKRFRVVTQEHVGCSFGTW >EOY27013 pep chromosome:Theobroma_cacao_20110822:6:19732217:19736233:1 gene:TCM_028967 transcript:EOY27013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein MMQSRVVAVEEGKDPASAIRTNLSRALPLRLLLFCLSFLALGIGLSIMSMYSVRFFVVQHIASVAPSTSQPLFQEANSIESWIRPPSNLLHTMNDTELFWRASFFPQIKEYPFKRVPKIAFMFLTKGPLPLAPLWDRFFKGHEGRFSIYVHALPSYVAGYPPSAAFYRRQIPSQMVEWGKMSMCEAERRLIANALLDISNEWFILLSESCIPLHNFSIIYRYISRSRHSFMGSFDEAGPYGRGRYNPRMEPEVTLSQWRKGSQWFEVNRRLAINIIEDTTYYPKFKEFCRPACYVDEHYFPTMLSIQFPHLLANRTLTWTDWSRGGAHPATFGKADITEGLFNKILEGQLCLYNNQPSSVCYLFARKFAPSALDPLLGLASKVLGY >EOY27517 pep chromosome:Theobroma_cacao_20110822:6:22202963:22208472:-1 gene:TCM_029355 transcript:EOY27517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein, putative MKKSGNHLLKLNFVQLFLCFLCFGNANDLNIGCSDIEKKALLVFKESLTDPFGRLSSWVGEDCCQWHGVSCKSETGQVTKLDLRNSLQTNKAAQKKSALRGKINSSLLNLKNLRYLDLSMNNFEGSPIPQVIGSLRTLSYLNLSYAQLGGSIPPHLGNLSNLQYLDLHSYSDSSSKLIATDLLWLRGLPSLEYLDMGGVDLSAVTDWLQQVNMLPSLSELYLSSCKLLTFPFFLPFVNFTSLVAVDMSNNLFNSPMPGWIFNITGLKSIFLSSNNLIGTIPRAFANMHSLQHLDLSHQFLEGTVPGILGNLSNLKSLTLAFNNLSGNLIEFTDGLSKNNSLEVLDLTQNRFSGHLPDALGNLTNLRSLVLRENMFWGSIPESIRLFSSLQHLSLFGNPMNGSIPESIGQLSQLVVLDFGQTAWRGTISEQHFSNLTKLEKLEISSTSRKKALTFSWGSQWTPPFNLKSIIIAHNKVGPSFPEWIKTQSNLATLFLNDVEISGKLPDWFWSWCSQRIDDLDLANNNISGTLPRSLQFHYETNVYLISNHLEGPIPLWSNVRRLYLWSNSFSGPIPDNISETMPMLRDLDLSRNFLTGGIPSSIVKLKDLISLVLSDNNLSGELPQDWSQVQRLQVLDLANNSLSGEIPGSMGALHSLRLLILSSNSFVGEIPFSLQNCRGLWSFDLGKNKFSGKVPTWIGDSTPLLMILSLRSNLFTGNIPRHLCHLRSLHVMDLADNNLSGVIPTCLGNLTGMASEVQFEDAKRYEGNVMIVAKGREIEYSSTLPLVKIIDLSANNLTGKVPEEIIKLHRLGTLNLSNNHLTGSIPSNIGNLYLLETLDFSRNQLSGSIPPSLSSISSLNHLNLSYNDLVGKIPSGNQLQTLNDPSIYKGNPGLCGVPLTNKCEDDRMSSDPHGDGDDTGENNVIEMKWFYIGILVGFLLGFWGVCGTLLLKKSWRLAYFQFIDEGKEKASMFIAVSLARWQRKLDSSRSRM >EOY26664 pep chromosome:Theobroma_cacao_20110822:6:16799571:16803989:-1 gene:TCM_028612 transcript:EOY26664 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing DNA repair protein, putative isoform 1 MSDSKTSRSGGVGKTQTRNLPSWMSSRENESKSNKSNDGNGHGEGSNNTNFSKLMEGVVFVLSGFVNPERSTLRSQALAMGAEYQPDWNSNCTLLVCAFQNTPKFRQVEADCGTIVSKEWILECYAQKKLVDIDPYLMHAGKPWRRSNISHETSEEQQGSSSTKSYKQVEKSQSKRTSSPYKNKASHPAKESFPPPEVKKWVIYDFIGTISWLESQEEKPEPHEIKQIAGAGILTCLQDAIDCLEQKQDVRQITEQWSFVPRVVKELAMFEGSEHGSLTKEDLLRKAKVCKQIYEDELTRLDGDSSSKNKKPKTDGGEEGKKWGTKASSRDATGYDSDETIEMTEEEIDLAYKNFASETL >EOY26663 pep chromosome:Theobroma_cacao_20110822:6:16799190:16806584:-1 gene:TCM_028612 transcript:EOY26663 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing DNA repair protein, putative isoform 1 MSDSKTSRSGGVGKTQTRNLPSWMSSRENESKSNKSNDGNGHGEGSNNTNFSKLMEGVVFVLSGFVNPERSTLRSQALAMGAEYQPDWNSNCTLLVCAFQNTPKFRQVEADCGTIVSKEWILECYAQKKLVDIDPYLMHAGKPWRRSNISHETSEEQQGSSSTKSYKQVEKSQSKRTSSPYKVAFDLVIYFQNKASHPAKESFPPPEVKKWVIYDFIGTISWLESQEEKPEPHEIKQIAGAGILTCLQDAIDCLEQKQDVRQITEQWSFVPRVVKELAMFEGSEHGSLTKEDLLRKAKVCKQIYEDELTRLDGDSSSKNKKPKTDGGEEGKKWGTKASSRDATGYDSDETIEMTEEEIDLAYKNFASETL >EOY25635 pep chromosome:Theobroma_cacao_20110822:6:769686:797481:1 gene:TCM_027011 transcript:EOY25635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGWGGRDKSPKIIRRKIRKEVSGGMQALFWRSGLMTGKFRQVRKNPEVVTSYPIDQGFMNWIQLQMLSVKLVWKWFSNLVLESAQCIPCLITQDIIHLGQFSLDCLNFIYSFTGNHLSFYCGHYGLWLISSDGPLVHSTWSSFVHFKSAPLACVLILTVTNYTISPKYTIVYAALEWTLPRKSANTRNKANERCKLLCFVDLVYINHQMKIIFSSLPWSLAGLEIRYTQVDLPLKNYFHISSAAKMLYLANILELVIF >EOY26830 pep chromosome:Theobroma_cacao_20110822:6:18111930:18113471:1 gene:TCM_028782 transcript:EOY26830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLGRDTTICLEDILTMGLPKWLLVQTFYSGLFGSIRTTIDVAACRTLMGKSIDEAYDLLEEMTFSIYQWPFERLVLRKVASVHELDSIIALTAQVAGLSKKFDILGVHSI >EOY28595 pep chromosome:Theobroma_cacao_20110822:6:25993391:25996065:-1 gene:TCM_030151 transcript:EOY28595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLSSLKHGPVVFLLIGICRSLIFHQRHARKKCVERPQHPVYKVVDVYISHINYRNSTFHQGWNSRFHHDRNQTVKDSWKSRIHGGSNSTYRNISITLKIEISNPNKRIGIDHDDIKVALYHSDSFIGNNSVAGFHQGYRNTTFCEVEVKTNQHSWRGIMGRKVDLRICLKSGVHYIDGIFRCRTNRHPMDFDAYRKLRFVPDDLSIQSFWKPTSILSEDVTVTMCKTDNFYLWLLQVVGLLGLAALCLWLAMRPKNPSYTILNLSVPALNDSNASGTGIIQYELDIKNPNEDSGIYYDDILLIFYHGQDRVGDNTIPSFYQGKDKTREVLAHVNVETGLWKALRSAILNATAEIKVDLSTKIKYKTWGMKSKHHDMHREGKVPIGKDGKIANKKKKVKLRRGSKKWKVRTTRLL >EOY26178 pep chromosome:Theobroma_cacao_20110822:6:5296398:5307852:1 gene:TCM_027598 transcript:EOY26178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase of the CBP family 12 isoform 1 MNVQAHMSGQISGQVPNQGGLPQQNGNPLQPAQMQNLGVAGGMGGGGVVGGGGPPHNTLSMDPDLIRTREFMRGKIIEVLKLRHQHPITEASMIKFLDFAKRLEEGLFKFAQTKEEYTNLSTLEHRLQNIIKESRSVHNQRHPQLVNSASAPVGTMIPTPGMSHSGNPSIMVTSSIDTSMSAANASIAPTTVNTGSLLPTGGMNSSSFNRSEGNISNGYQQSPANFPIASGGMSSIGGPRMTSQMIPTPGFNGNSNNSSISNQSYMNNQSSNNVGGLSTVESTMVSQPQQQKQHVGGQNSRILHTLGSQMGSGIRSGLQQKTFGFSNGSLNGALGMMGNNMQIVNEPGTSGGYQTATPFANTSKPLQQHFDQHQRPLMQGDGYGMSNADSFGSGNLYGTVTSVGSVTNSQNLNPVNLQSMSRTNSSLMSNQSNLHGVQSVAHMRPPQSMDQFDKMNFQPSVSSRDNILQSNQQQQFQQQPNQFQQQQFVQQQRHQKQQNQHHQSLLNNSGYSQSQLASDKGSQVKREPGVENHEEVLHQQGPEQFQLPELQNQFQQNHAEDLSTQQDICSSLPQNSQQMQQMLQQHQLVPESHNDYKLSAGAQPESLVQSQWHPHSQDRAQMPGNMSHEQHVQEDFRQRISGQDEAQRNNFSADGSTISPVVVPRSSSDPSNSRGAVSRSGNGSHDRQFRNQVRWLLFLRHARRCKAPEGKCDGYCFTVRKLLSHMDICESAQCSYPRCHHSKILIRHHKTCANPACPVCVPVNNYVQAQKARACLNSTSVLPSSDGGSTKTYDAGDISARVTSTTASIDTSVDIQPSLKRMKIEQSSHQSVIAESEVPVVSGSAVVEPQGSQDIQRQDYQQSDRCMPVKSEPMEVKTEVPMSSAKGSPTIIEMKDAVDDNCKQKTDGEPITSDDFGGPPKQEKVKIEKESDPAKQENATQSSEIAAGTKSGKPKIKGVSLTELFTPEQVRQHITGLRQWVGQSKAKVEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCSPCGARIKRNAMYYTMGAGDTRHYFCIPCHNEARGDSIVVDGNTIQKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIAEIERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFRRLKQERLERARAQGKSYDEVPGAEALVIRVVSSVDKKLEVKQRFLEIFQEENYPPEFPYKSKVILLFQKIEGVEVCLFGMYVQEFGSESAFPNQRRVYLSYLDSVKYFRPEVKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKAAKENIVVDLTNLYDHFFVTTGECKAKVTAARLPYFDGDYWPGAAEDLINQLRQEEDGRKLNKKGTTKKTITKRALKASGQSDLSANASKDVLLMHKLGETICPMKEDFIMVHLQHCCTHCCILMVSGNRWACNQCKNFQLCDKCYETEQKREERERHPINQREKHVLCPAEINDVPTDTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIETGQGWRCEVCPDYDVCNACYQKDGGIDHPHKLTNHPSMAERDAQNKEARQLRVLQLRKMLDLLVHASQCRSAHCQYPNCRKVKGLFRHGIQCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRYMHVALKPLNSHMLCSFLCLCSWV >EOY26177 pep chromosome:Theobroma_cacao_20110822:6:5296260:5308073:1 gene:TCM_027598 transcript:EOY26177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase of the CBP family 12 isoform 1 MNVQAHMSGQISGQVPNQGGLPQQNGNPLQPAQMQNLGVAGGMGGGGVVGGGGPPHNTLSMDPDLIRTREFMRGKIIEVLKLRHQHPITEASMIKFLDFAKRLEEGLFKFAQTKEEYTNLSTLEHRLQNIIKESRSVHNQRHPQLVNSASAPVGTMIPTPGMSHSGNPSIMVTSSIDTSMSAANASIAPTTVNTGSLLPTGGMNSSSFNRSEGNISNGYQQSPANFPIASGGMSSIGGPRMTSQMIPTPGFNGNSNNSSISNQSYMNNQSSNNVGGLSTVESTMVSQPQQQKQHVGGQNSRILHTLGSQMGSGIRSGLQQKTFGFSNGSLNGALGMMGNNMQIVNEPGTSGGYQTATPFANTSKPLQQHFDQHQRPLMQGDGYGMSNADSFGSGNLYGTVTSVGSVTNSQNLNPVNLQSMSRTNSSLMSNQSNLHGVQSVAHMRPPQSMDQFDKMNFQPSVSSRDNILQSNQQQQFQQQPNQFQQQQFVQQQRHQKQQNQHHQSLLNNSGYSQSQLASDKGSQVKREPGVENHEEVLHQQGPEQFQLPELQNQFQQNHAEDLSTQQDICSSLPQNSQQMQQMLQQHQLVPESHNDYKLSAGAQPESLVQSQWHPHSQDRAQMPGNMSHEQHVQEDFRQRISGQDEAQRNNFSADGSTISPVVVPRSSSDPSNSRGAVSRSGNGSHDRQFRNQVRWLLFLRHARRCKAPEGKCDGYCFTVRKLLSHMDICESAQCSYPRCHHSKILIRHHKTCANPACPVCVPVNNYVQAQKARACLNSTSVLPSSDGGSTKTYDAGDISARVTSTTASIDTSVDIQPSLKRMKIEQSSHQSVIAESEVPVVSGSAVVEPQGSQDIQRQDYQQSDRCMPVKSEPMEVKTEVPMSSAKGSPTIIEMKDAVDDNCKQKTDGEPITSDDFGGPPKQEKVKIEKESDPAKQENATQSSEIAAGTKSGKPKIKGVSLTELFTPEQVRQHITGLRQWVGQSKAKVEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCSPCGARIKRNAMYYTMGAGDTRHYFCIPCHNEARGDSIVVDGNTIQKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIAEIERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFRRLKQERLERARAQGKSYDEVPGAEALVIRVVSSVDKKLEVKQRFLEIFQEENYPPEFPYKSKVILLFQKIEGVEVCLFGMYVQEFGSESAFPNQRRVYLSYLDSVKYFRPEVKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKAAKENIVVDLTNLYDHFFVTTGECKAKVTAARLPYFDGDYWPGAAEDLINQLRQEEDGRKLNKKGTTKKTITKRALKASGQSDLSANASKDVLLMHKLGETICPMKEDFIMVHLQHCCTHCCILMVSGNRWACNQCKNFQLCDKCYETEQKREERERHPINQREKHVLCPAEINDVPTDTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIETGQGWRCEVCPDYDVCNACYQKDGGIDHPHKLTNHPSMAERDAQNKEARQLRVLQLRKMLDLLVHASQCRSAHCQYPNCRKVKGLFRHGIQCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGNSG >EOY25988 pep chromosome:Theobroma_cacao_20110822:6:3401061:3401955:-1 gene:TCM_027376 transcript:EOY25988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELPQECWESIFSLLQHHRYVEPLSLVCNMFLSITNHLRHTLTITDPTLESLPRLLRRFPNLHTIIFRDIHGSLDSVLSQISQSGLPLISLDVSNQTSFPLLGLKQLGSKLRNLKELNC >EOY25759 pep chromosome:Theobroma_cacao_20110822:6:1684053:1692677:-1 gene:TCM_027131 transcript:EOY25759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance (TIR-NBS-LRR class)-like protein MKNLSNSIEALKTNIRNLSFVHINKEANSLADGLAKAGFIRYESEFIGGVVKKISTKVYQARSSVPNDLIGIHSRLDELYDKIDFEEDRDIRIVGICRMGGIGKTTLASVVYTQMSGYFEGKCFLAGVREVAMKSGLVSLQEQLLSKIFPREEFKVSSVYDGIEIISRRLRHKNVLVVIDDAENIQHFKWLAEKRDWFGLGSRIIITTRDEHLLRVYGVDDVYKPTTLDESESLQLLSLKAFNSDALENDFISLCKTVVEYAGGHPLALEVLGSFLCARGAAQWRSAIGRLKSEPDNRIHNCLQISFDGLSEIEKKIFLEIAHFFNRWDRDFVTKILDGCGYYPDIGLDVLIKKSLITVECNKIWMHDLLQEMGRYIVRQKSLDEPSKGCRLSEESDVYQVLTQNSGTEAIEGMVINSITRLFNCYICCEAHLSVFPCLSFLREQNKTFTLNADAFSKMTKLRLLIVRGLFKSSNLRYLSKELRFFDWFGCPLESLPSGFQPNNLVALLLPNSCIERLWEGKRPLNKLKIVNLQHSPKLIRTSDFTMAKNLESLDLRSLPTKIGMESLETLILSGCSKLEHLADFTMTKNLKSLNLEGCTSLVDVHPSIAFLPKLKLLNLRICTSLKSLPTSIGMKSLETLILSSCSYLRRFPEIAEEMECLLELFLDGTGIEVLPSSLGHLSSLVLLDLKDCKNLVSLRNNINGLKCLKVLNLSGCSKLESLPDFTMIKNLKNLNLEGCTSLVDVHPSIAFLPKLKLLNLRDCKCIWSLPAKIGMESLETLILSGCTNLQRFPEITKKMELLQELYLDGTSIEELPSSIGDLSSLKVLNLSSCSVFENLPPSFLQRIYKKGCRVPLPSEGALPNDICCLSSLETLILTHNNFVSLPANLCQLTKLLRLELWDCKKLESLPEIPSSIKAVGLGGCASLETVPNPTKPNNPWKRRSYNPIWSKAYCYSVNCFKLVGNDNALGMLKGHIKVYFLNSLTLNSQEFIVVN >EOY25687 pep chromosome:Theobroma_cacao_20110822:6:1243806:1248046:1 gene:TCM_027073 transcript:EOY25687 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein, putative MAFPSTSILSLLLVLLSASWATSTSIQENFIQCLDDNSEHFIPTSAVCAQNNSSLTSVLNSTAQNLRYLEPSVPKPQFIFTPLIESHVQAAVICAKKLGIHLKFRSGGHDYEGLSYASKIETPFIMVHLARLRSINVDIDDNTACVEAGATIGEPRHWGTHHGRCIWYHDEKYGLGADNVIDARIVAVRGRVLDRAAMGEDLFWAIRGGGEASFGIILQWKIKLVPVPETVTVFTVTKSLEQGATKILYRWQQVADKLDEDLFIRVIIQGANAGKNGGKTVTTSYNALFFDDAEGLLQVMGQSFPELGLTRKDCIETSWIKSVLYIAGYPTITPPETLLHGKSSFKVYLAKSDFVKEAIPGTALEGLWKRLLEEDNPLTIWNPYGGLMGTISESEIPFPHRNGNKFMIQYLTAWQVGDKSASKHMDWIRRLHSYMAPYVSMFPRAAYVNYRDLDLGMNKNINTSFIRT >EOY26905 pep chromosome:Theobroma_cacao_20110822:6:18987939:18988939:1 gene:TCM_028870 transcript:EOY26905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Avr9/Cf-9 rapidly elicited protein 146, putative MEQNLPVIAKRVWSIVRAVLFMMRKGISKRKLMVDLNMMLKRGKIASAKAIGNLMFHHHHNHSNHHKDPHISSFMAAAQEYEFSCSNTPNYIFPFNLATKKKSSINNYYHHFFACTHAPPTHDDDDVATVNAVKVVLEMFNNNDSNMVVEASPMLPGFGQTPLARQLRITDSPFPLRDVDEDNGYVDKAAEDFINRFYKDLKQQNKRMAEL >EOY26787 pep chromosome:Theobroma_cacao_20110822:6:17855899:17858916:1 gene:TCM_028744 transcript:EOY26787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding ribosomal protein family protein MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCAACGFPAARKRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSGFREGTQAAPRKKVAAASA >EOY28067 pep chromosome:Theobroma_cacao_20110822:6:24142033:24143096:-1 gene:TCM_029745 transcript:EOY28067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNFPLYMRTGLDRKTALAIAIHSIWLQLITYTGSGTFSLEMPNSTIMQTSLVILLILSANAVDIEAKSHAGRKTMQKRIDSQSIIHALAGYDLSATKQGRRVMTDTSRISPGGPDPQHN >EOY28952 pep chromosome:Theobroma_cacao_20110822:6:26949287:26950220:1 gene:TCM_030411 transcript:EOY28952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTSTLRLHIYPAAPRHTNLTTNSPLLFTLFKQPLHLSHSRVTTIISRQILGYPRKTSVIKKPVILLSPEWEETPKRELTQVSSIGYSRFVIVGAVSIGLALLLMGADDQKALAFGPEGPLMEEFWDNVRRYALYALTVSTGAIYTIFQPILELLKNPISAILILVIIGGSIYIVSQILSAMVGVTDFSYSYAY >EOY27432 pep chromosome:Theobroma_cacao_20110822:6:21683955:21704929:1 gene:TCM_029279 transcript:EOY27432 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MALVRVPSSFPSILPSVFPKKLLSVSSSFPISCSSKSTPNQVKNNDDNSNIDNPKEPKRLSEQSSWEAKDSEGRDYLYRLGKEADNMNIAVGARAGVIDDLFAGNFLGRDSDVVFDYRQKVTKSFEYLQGDYYIAPAFMDKVVCHIVKNYLAYLLNVKIPLILGIWGGKGQGKSFQTELIFQAMGIEPVIMSAGELESERAGEPGKLIRERYRTASQVVQNQGKMSCLMINDIDAGLGRFGNTQMTVNNQIVVGTLMNLSDNPTRVSIGQDWRESDITNRIPIIATGNDFSTLYAPLIRDGRMEKFYWQPTHEDIVNIVHRMYEKDGISKDDVVNIVDKFPNQALDFYGALRSRTYDRSIAKWIEDIGGVEKLGHKLLRRRKNEELPVYTPPKQTVEALLESGYSLLREQQLITETKLSKEYMKNMDD >EOY25727 pep chromosome:Theobroma_cacao_20110822:6:1456239:1467443:1 gene:TCM_027106 transcript:EOY25727 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein MGSLKRKSEGEEASSESLPQKQHKGDGSVMADEAVGCVHEVSYPDGYVPSTSSTVPADSKPAKEFPFTLDPFQSEAIKCLDNGQSVMVSAHTSAGKTVVALYAIAMSLRNNQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIDPNASCLVMTTEIWRSMQYKGSEVVREVAWIVFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYIFPAGGDGLFLVVDEKGKFREDSFQKALNALVPTSESNKKRDNGKSQKGLVMGKVSEQSDIFKLVKMIIQRQYDPVIIFSFSKRECEFLAMQMAKMDLNDDDEKGNIETIFWSAMDMLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWISSGEYIQMSGRAGRRGIDARGICILMVDERMEPSTAKTMLKGNADSLNSAFHLSYNMLLNQLCCEDADPESMLRNSFYQFQADRAIPDLEKQIKALEEERDSMIIEEEDSLKNYYNLIRQYKSLKNDVRDIVFSPKYCLPYMKSGRPLCIQCIDDEKSPSFSIEDHVTWGVLMDFHRVKSVVEDDASRRPEDASYGLDILTRCAVSKDGLGKKKIKIVPLKEPGEPLVVSVPLSQVISLSSARLNIPKDLLPLEARENALKKLLEFISRYANGMPLEPEEMNIQSNSYKKAVRRLEALENLFEKHEIAKSPLIEQKLKVLNGKEELTAKIRSIKKTMRSSTALAFKDELKARKRVLRRLGYITSDDVVELKGKVACEISSADELTLTELMFSGIFKDVKAEEMVSLLSCLVWQEKLQDAAKPREELELLFTQLQDTARRIAKVQLECKVQIDVESFVSSFRPDIMEAVYAWAKGSKFYEIMEITQVFEGSLIRAIRRLEEVLQQLISAAKSIGETELESKFEEAVSKIKRDIVFAASLYL >EOY27095 pep chromosome:Theobroma_cacao_20110822:6:20111972:20115531:1 gene:TCM_029029 transcript:EOY27095 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein, putative MAILTWTPPPTAVPAAVTASWDTQQRPSYNPNAPRKLKPNPNLKPSPTVTLTTRTDPSVFDILKRPTQEVTPVKVDTDESYMGYERWLPTPPKVAKPRSVFNAATLAYIGDCIYEVVLYAQYLYARRHFLFPPLSIEEYNDRVTSVVRCEAQDALLQELLDDSFLSNDERNVLRWGKNISSSKTRTKKRAGAAVYNRASSLETLIGYLYLTNVNRLEKLMVKLGFSTGASTEMILKEVNGKLKLIHSAKKHCLLCLKLIWIMSEKPL >EOY26213 pep chromosome:Theobroma_cacao_20110822:6:5738959:5745108:-1 gene:TCM_027643 transcript:EOY26213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRGSQFPRPRHSKMAIRIKTMAYAVAVFGVKSFIYGIVAENKKPPSGNPQISTGGDHIICKYPSDPTVVLGFLSVASLAVSVLVGHFSVFYPYNGKSVPRYVFFRSMTFFVFFLVTSALSMLAAGMLFWVTITELLHLADNVHQDMNTTCPTAQSGLFGGAAFLALSASLFWLICLMLADDVRTEYFEEQEDRQAEAFTTDYDAKQQGETRDMTDAAYLSEIEPQLTPVARVRSISKQPLAALNRYHKYYYSVTGSAVGRSIFNHRIHVDMANI >EOY26224 pep chromosome:Theobroma_cacao_20110822:6:5958646:5959891:1 gene:TCM_027663 transcript:EOY26224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MSVNRDVAAIVMGLREVPGRARLSQPDPVEGESAAPTFRAAPAVKPTKIPPPPTATPSVLAMSLEAVHALAAFLNVIMGQAQAGRVLPTVPPVVSPVPPPPPPVPPPVPDVSISKKLKEARQLGCTSFVGDLDATAAKDWITQVTETFIDMKLDDDMKLMVATRLLEKRARTWWSSVKSCSITPLTWIDFLQEFDDQYYTYFHQKEKKREFLSLQQGNLTIEEYEARFNELMSYVPDLVKSEQDQASYFEEGLRNEIRERMTVTGQEPHKEVVQMALRVEKLTNENR >EOY28588 pep chromosome:Theobroma_cacao_20110822:6:25964158:25966114:-1 gene:TCM_030145 transcript:EOY28588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 26 MATESSRKLFLIFFFPGLTSLVTLTIAIDPYFESRCANNTGNYTANSAYERDLSSLFNEISSTTKLNSGFFHSKFGEVNAIALCRGDVKLNVCTSCLNDTISEMKERCPRYKEAIGWSEFCMLRYSSRDISERLELSPEACIYDLNDVIGDRDAYIQEVVMLVDNLRSRAAAGGTLLKYAADNSSHGAYQMLYALVQCTPDLSKQDCNDCLEGATRKIRGCCTGKNGCRVLLPSCNLRFESYPFSDAAPAIPPPQSPSDNQSTEGRVSK >EOY27837 pep chromosome:Theobroma_cacao_20110822:6:23250724:23255306:1 gene:TCM_029572 transcript:EOY27837 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein MDALRKQASKLREQVAKQQQAISSLPFSFFLFKAVIKQFSGTGYESSDVVVIDEIEMQRHQQLDKLYRSTRSGRDFQKDVIKAAEAFTAIGYKHIETGTKFSEECCQYGTENSENINENILAKAAAIYGDGRKHVEKEQEDLIKLLSQQVLDPLRAMFTGAPLEDARHLAQRYSRMRQEAEALAAEVSRRQARIREVPLPENVAKLHAAEARMQELKANMAVLGKEAAAALAAVEAQQQRLTLQRLVAMAGGEKTYHLRVAAILNEIEAEMVSEKQRKESAPPVIAPENGTEKTMYYLAEVMHPFFAASEKELSLAVGDFVVVRKVSPSGWSEGECKGKAGWFPSAYVEKRQRLPSNDQAGEVY >EOY25888 pep chromosome:Theobroma_cacao_20110822:6:2575281:2577103:-1 gene:TCM_027259 transcript:EOY25888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAAGNLLEDLMTDILSRVAVKSLLRFRCVHKTWYSFFKSSSFIITHYQNPNNNGHGFGFVFVKFLEYETRVQVVRVVRWHDYLEGQGYRQAEVYTLGMNSWRKVGSPDMNVDHWIHQAYLNGAIHWLGHQNKRSCGVRFDVSKRVTRGDDIWYDIWLVREYGVEESWIRLHAIQLFSSHDVPRQFLGFGINRESLLGNYQGLTVFDPDKEELKSIEFNRYVGFSSVVTCVESLVFLKGGNE >EOY27061 pep chromosome:Theobroma_cacao_20110822:6:19917778:19919252:-1 gene:TCM_029001 transcript:EOY27061 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOF zinc finger protein 1, putative MQDPTGFQQMKAPAFPEQEQLKCPRCDSTNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGALRNIPVGGGTRKNTKRSSSSSSSSSTNNPKRQPNPAPDPSRNPKLPDPSPPPTSSSTIPQQVVLNSGVRNSGSEGDPTRMYVWSVDHQDRKMMDIGGSFSSLLSSSGQFGNLLEGLNPNGPGLKTVQMGEFVGNLDSGLGMNQGPSRDPGLGESNNNGESYLGVQGGGDTSCWTGGSNGWPDLAIYTPGSSFQ >EOY27997 pep chromosome:Theobroma_cacao_20110822:6:23897327:23902927:-1 gene:TCM_029696 transcript:EOY27997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-like protein ENSP00000383859 MSGDVAKASAEGSSRSSGNHHQQPQPAPLSRYESQKRRDWNTFGQYLKNQRPPVALSQCNCNHVLDFLRYLDQFGKTKVHLHGCVFFGQPDPPAPCTCPLRQAWGSLDALIGRLRAAYEEHGGSSETNPFGNGAIRVYLREVRECQAKARGIPYKKKKKKKNLIKPNDEAKSSKQQQVS >EOY25849 pep chromosome:Theobroma_cacao_20110822:6:2319282:2320311:1 gene:TCM_027214 transcript:EOY25849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAMLVRFNLKMGVCEKRIGIHFRLSRANITFFTMGLPRLCSLYPYPWPPWQSAKHFILGYLAGACQSVVFFGLSFQVNHLFPLTSERVVGLPSKDIDFHHE >EOY27417 pep chromosome:Theobroma_cacao_20110822:6:21603482:21616417:1 gene:TCM_029264 transcript:EOY27417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle triple-A 1A isoform 3 MRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDMESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMFAIRARRKTATEKDFLDAVNKVIKGYQKFSATPKYMVYN >EOY27416 pep chromosome:Theobroma_cacao_20110822:6:21612480:21616631:1 gene:TCM_029264 transcript:EOY27416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle triple-A 1A isoform 3 MVAQPEDDPMAEKNPKPLDEDDIALLKTYGLGPYSNSIKKAEKEIKEMAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDMESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMFAIRARRKTATEKDFLDAVNKVIKGYQKFSATPKYMVYN >EOY28753 pep chromosome:Theobroma_cacao_20110822:6:26431001:26434306:-1 gene:TCM_030265 transcript:EOY28753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTPIFTKCDKRKKKKNGGKRPEENVSAFQELIRGFFQTAPPWIMTSNVTNQGRDEILLHMA >EOY27228 pep chromosome:Theobroma_cacao_20110822:6:20783908:20784500:-1 gene:TCM_029119 transcript:EOY27228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSSFSFILYFMPAAFETRLQLLQLACRFCFLLDFERYELLLKNMEAGASILQHCLTFGEFSFIPLPTF >EOY26199 pep chromosome:Theobroma_cacao_20110822:6:5548368:5554254:-1 gene:TCM_027624 transcript:EOY26199 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT transposon superfamily protein, putative MASSEASINVHDHGKAVDGKKQRVQCNYCGKEMSGFFRLKYHLGGVRGDVIPCEMVSEDVKELFKNMLPERGGRLSQEVRDLSRQDLPWKRNGCPNSNVAKKMRRQSCKSSGSRSGEDEIIDSMSEDDVKEPAILPSARIVSQSAVTGDPEEEPSCKQNKRCIGRFFYETGIDLTLVNSPSFQRMINDTHCPGQTNYKIPSCQELKGWILKDEVKEMQEYVEKIRQSWASSGCSILLDGWIDEKGRNLVSFIVDCPQGPIYLHSSDVSASVDDVDALQLLFDRVIDDVGVENVVQIIAFSTEGWVGAVGKQFMGRSKTVFWTVNASHCIELMLDKIAMMGEIRGTLENARTISKFIHGHLTVLNLLRDYTDGHDLIKPTKVRSAMPFVTLENIIAEKKNLKAMFASSEWNTSAWASRAEGKRVADLVGDPSFWKGAGRVVKTALPLIRVLCLINGDDKPQMGYIYETMDQMKETIKKECNSKESQYMPFWELIDKIWDGHLHSPLHAAGHFLNPSLFYSTDFQSDSEVAFGLLCCMVRMIQSQPIQDKIVQQLEAYRNSEGAFGEGSTVQQRTRFSSTMWWSTYGGRCPELQRFATRILSQTCVGASKYRLNRSLVEKLLTKGRNPVEQQLLSDLIFVHYNLQLQQQQRSQFGVNYDIAGDEIDAMDEWIVDDTPEIGSRDGDSAWKELDGAVNGGRPSSQVKEEYRQV >EOY25644 pep chromosome:Theobroma_cacao_20110822:6:871858:874841:1 gene:TCM_027021 transcript:EOY25644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein MERFSKLCLFNQNHKLEFFNVRSKSLDLKCDACRKQIVGPAYRCGSLFCNYQLHESCLALVQEMQHPFHPLHPLHPQVFRSQYCSACKQQMNAISYSCQQCDLHLHFDCASSLKLALKLKFHQHSLYYFGPHTVHSFGLCDRCKNHVSGAPLYHCMECGISLHFGCVQMPHSIKSKCHIHPLTLKDSFVEDDSEEYYCNICEEERNSENHVYCCEECDGQFVAHIECMLPTDFEFVD >EOY26349 pep chromosome:Theobroma_cacao_20110822:6:7841650:7845058:1 gene:TCM_027853 transcript:EOY26349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein, putative MRMSKISSWLSGYRPWMVVCATTLVLLGGLSRSNNQYEISVTTSKFQKPVRLPYKGYGYPPVLAYWICGTNGDSKKILRLLKAIYHPRNQYLLQLDAGSSEYERAELAVSVESESVFQAFGNVNVEGKSYAVNRMGSSALAATLHAAALLLKINTDWDWFITLSASDYPLMSQDDLLHAFTFLPRDLNFIDYTSNAGWKEREEINRIVVDPNLYYQRNTPIYYAVETRKPDAFKIFGGSPWVIVSRFFMEYCVQGWDNIPRKLLMYFTNVAYPLETYFHTVICSSPEFQNTTLDNDLRYIIWRTPRQGEPENLSTSHYDEMVASAAAFAQPIGEGDPLLNKIDEDVLNRLPHKIVPGSWAICQGRNESMRGEELCSTWGDIDAVKPGPKGIKLAAQLSKLAAERRLPPSQCH >EOY27918 pep chromosome:Theobroma_cacao_20110822:6:23572384:23574201:-1 gene:TCM_029632 transcript:EOY27918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate family protein 5, putative MMKWGRKKSSSSSYSSRLPSLSRVLPTAWLSTFKRMSINSEPKPAKDRQKGMSNAVPGRSSKFAGGGARFYGGDGEAFWRLSFGEDSADGKTSKSLLRSAWYDSDDELDFAPSSCQSCGSNATRTKEKEETQKFSNMACDVKKMKEFRRDTQILPDVNMYKEEKATVVKTPRSRTITEKDLKLKKTNERAMEEKRVKRQNKSGEAQQKSAKSVGKNTLDPEPMRTIPMTERENLKLTGNYQRKHQHLSTMNLRTSNLTTIKEDCSFTAQKLLETDVFSPEKLSKVKVKSDKQRKSLYMSRELPRRRMKQNNKVRVFSPRTASRVEICKIKALEDMKKAKLKMKAAKQKTISRRTGLENFAMVKCSFDPEKDFRDSMVEMIMEKRISQPEELEELLACYLTLNSDAYHDLIIKVFQQVWLDLDQASSDTDLRNEKCSCE >EOY27972 pep chromosome:Theobroma_cacao_20110822:6:23799147:23802484:-1 gene:TCM_029675 transcript:EOY27972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor kinase, putative MEVFGRLLLLFSLVVAVQSTCNSTDYGLVSKAFKSVSGFNISWLKSQDVNCSYPPIKVLKFPSKNLTGNVSWKFLRNMSQLHTIDFSNNSLQGSVPGWLWSVHTLVEVNLSKNKFGGTIGFEPTSGNGSSSSMRLLNLSANRFTNSVKLSGFSNLQFLDLSHNDLGTLPSGFTNLTKLEHLDVSSCKISGSMKPISALHSLKYLDVSNNSLNGTFPSDFPPVNSLKFLNISLNHFTGSVGFDKLQRFGKSAFFHGGNFVFNTSKRTPSNHTRTHSLTPNHKVFHKHLPLKTHSKKHKTNSKTKALVIGLSCGTGFLLASLVFCFFCTYRRKKLARRNKWAISTPVQPNFKMEKSGPFSFETESGSSWVADIKEPTSAPVIMSSKPLMNLTFKDLMAATSHFGKESVLAEGRCGPLYRAILPGDLHVAIKVLEHARDVDHDDAIAMFEDLSRLKHSNLLPLCGYCIAGKEKLVLHEFMANGDLHRWLHELPTGPSNVEDWSTDTWEYHHGAGSQTSSPKKTNWLTRHRIAVGVARGLAYLHHVGSSHGHLVASNVLLSDNLEPRISDFGIRNIGCKKERDDKKDEKNAEMDVYSFGVVLLELLTGKQGTEENVKWVRRLVKEGVGVDALDSRLRLGGESESEMVESLRVGYLCTAELPAKRPTIQQVLGLLKDIHPAIDFN >EOY27905 pep chromosome:Theobroma_cacao_20110822:6:23546201:23547884:1 gene:TCM_029624 transcript:EOY27905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase hydrolase domain-containing protein MYWVTPPGFILRASLQIHHCCTEPGFKTSQAPPSRQVDADIIDSISFSLNFDVHFPSYLSTQETLSTVEPIDTQNKDMLLRMSKRVQPKSIIRHHHRLLRHCLHLVHYRKGRSSTTKIKSLVVIASIISKSVHECSRRLIKFFSKLARRRHHQSISFLEQEETLFEAGVDPGLKLEFESSFAMPLVLVLNEHLQLLPPLASDKKRTIVLDLDETLVHSSPDPPPPLYDFTIKPNIDGERMNFYVLKRPGVDEFLEAISKKYEVVVFTAGLEPYASTLLDILDPKGLISHRLYRDSCKQVRGRFVKDLAKMGRDLGKVVMVDDNPKSYYLQPANAIPIKRFEDDVEDRELEKLLGFFERYCDGFDDMRDAVKQYLGGATMRTGQC >EOY28734 pep chromosome:Theobroma_cacao_20110822:6:26388971:26390270:1 gene:TCM_030249 transcript:EOY28734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSYVWFCIIEAAMAARRNDQKVSNLINRQQISHQLKDGGSSINRNSFQNPGFRTQEMASNSVLPEQRYPVNGNKVSDQLPDAEVADKVSEQQRSDSYIIFKDLLNSCMTPERNGQAKVLGQHGGAGAAGWKCSSHRRLVDLADHQAADQRISDGSTCLSANSKVSQPMLRLSKAKTLEVNDVSLELTLA >EOY26446 pep chromosome:Theobroma_cacao_20110822:6:10688854:10715618:1 gene:TCM_028083 transcript:EOY26446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDIETVLHGDDQLRVASGPEGQNGSMGTREKELTFPAEEDGTSRQKSIVELCSSDKMKDYAENPPNLESALGKCMHNKELSDVSSFPSFSRTKFTEIEVHPRVRHRRQSDTETSIDKIFSLASDKTVDMWDNDKASDEDAISVNFAASWERERFLTHSREWFISLIHDLSSGPTLLRWVVDKVLLTV >EOY25742 pep chromosome:Theobroma_cacao_20110822:6:1509337:1514055:-1 gene:TCM_027115 transcript:EOY25742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVEFFQGAKLPRVLTFTAIILLPKNPSASQWNEFKPISLCIILNKIVIKLLAKCVATILPSIITENQSGFVGSRLINDNILLAWELIRKINQKPR >EOY26411 pep chromosome:Theobroma_cacao_20110822:6:9845010:9847526:1 gene:TCM_028011 transcript:EOY26411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSIDFHYLRTLEFPYEYNLKDQGKNKRLAPRMVISRLIEALETNTIVDFPIRYQDQKNIDEAIKEVQYYLIDGKWYLKNVTLAQLFEQRDIEIGDNTKHDGSGDPPSSTRMNSLIVEMENYFKDCLNKQPRE >EOY28491 pep chromosome:Theobroma_cacao_20110822:6:25502386:25507856:1 gene:TCM_030035 transcript:EOY28491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor kinase 3 MGTIRNHHFCSFFLFFAFLAIFPTISFSIDTLTATQSIINNQTLVSPGDVFEFGFFTLENPGEWYVGIWYKNIPVRTYVWIANRDKPLANSSGIFKLEDRNIVLLDQGQNLVWSSNITKGDNPLAQLLDSGNLVLREANINDETNHYLWQSFDYPTDTLLPDMKLGWDLNAGFNRFLTAWRTKGDPSSGDFSFKLDYHGFPEIFLWNKQRREYRSGPWNGLRFSGVPEMKPLDYISFNFVTNQDEVYYSFSITNKNLFSRLIVTPTGTLQRLTWIPDTEQWNPFWYAPKDQCDNYRECGQYGICDSNASPVCKCPKGFSPKNQQAWNLRDGSGGCIRKTDLDCTKDKFLHLPNMKLPEGTSSFVDKNMTLKDCAALCSRNCSCTAYSNSDIRNGGKGCVIWIGDLIDMRQYTDGGGQDLFVRLAASDLGDGGNTTALIIGITVSAGVLLLGLIAYVVWKRKAMQNKGKIGKKGPHERSQDFLLNEVVISSKKEFSAESQPDELELPLFDFDTIATATDNFSDEKKLGQGGFGCVYMGRLVEGQEIAVKRLSKNSGQGTEEFKNEVRLIARLQHRNLVRLLGCCIEMDEKMLVYEYMEHRSLDSVLFNKARSSLLNWQRRFNIICGTARGLLYLHQDSRFRIIHRDLKASNILLDGEMNPKISDFGMARIFGGDQTEANTKRVVGTYGYMSPEYAMDGLFSAKSDVFSFGVLVLEVVSGKKNRGFYHSNSELNLLGHAWRLWKEGKGMDLIDSAVGDSYNAQEVLRCIQVGLLCVQERAEDRPSMSTVVLMLNSETATMPQPKTPGFCLGRRSTLETDSSNSKQDESCTVNQVTVTMLDAR >EOY28177 pep chromosome:Theobroma_cacao_20110822:6:24460641:24464359:1 gene:TCM_029817 transcript:EOY28177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MNKWIMTVRYLSSLQFQKSLHHHQRPYPHFKSFTFSSQHFQTSAKLTHGSKSNPFLSSTQEPNFTPISVPYYSKLLSKCNATKNPSPGMQIHAITIKFGSTKDPKSRNLLISLYAKCKLFRYARKLVDESPEPDLVSWSALISGYAQNGFGKEAILAFYEMHLLGVRCNDFTFPSVLKACTFTRDLELGRQIHAVVVVTGFECDEYVANSLVVMYAKCGEFGDSRRLFEDMPERSVVSWNALLSCYVQSDYCGEAVELFHEMVSSGIKPNEFSLSSMINAYTGLEDSGQGRKTHGFLIKLGYDSDPFSKNALVDMCAKVGSLEDAVFVFEEIARPDIVSWNAVIAGCVLHENHDWALELFGQMRRSGTHPNMFTLSSALKACAGTGHKKLGRQLHCNLIKINVGSDPFVDVGLIDMYSKTYLMNDARMVFNLMPDKDLIAWNAVISGHSQNGEDMEAISLFPLMYKEGIGFNQTTLSTVLKSIACLQANNVCKQVHALSVKSGFESDNYVVNSLIDAYGKCALLEDATRIFRECLIVDLVAFTSMITAYAQSGQGEEALKLYLEMLDRGIEPDPFVGSSLLNACANLSAYEQGKQVHVHILKFGFMSDIFAGNSLVNMYAKCGSIDDADRVFSKIPERGIVSWSSMIGGLAQHGHGKEALRVFNQMLKYGVSPNQITLVSVLCACNHAGLITEAKRYFGSMRELFGFEPMQEHYACMIDLLGRAGRLDEAMELANTMPFQADASVWGALLGAARIHKNVELGQLAAEMLFTLEPEKSGTHVLLANIYASVGMWENVAKVRRLMKDCNVKKEPGISWIEVKDMIHTFIVGDRSHARSEEIYAKLDELSERLTKAGYVPMVEFDLHDVERGEKEELLYHHSEKLAVAFGLIATPPGAPIRVKKNLRVCVDCHTAFKFISKIVSREIIVRDINRYHHFKDGSCSCGDYW >EOY26571 pep chromosome:Theobroma_cacao_20110822:6:14123685:14124846:-1 gene:TCM_028378 transcript:EOY26571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLTFFDRILHLIVAHTIRPIGTKYSSVKNEEMWFMYLIKEKTKFELANFIFDDMWKVVTGARKGMMYGMVISEILNFHRLDTSCDPLVPHPLHYKLNSYTIKKLDYENRNGVWVPNWFVQEENNEEEEKEQEVRKEGEAFDQQVECSVAPDTSHHHISNIEQTLTNLFGYVQSMDTRLMGQMITLDTRMRALNAHMDGLETRLTALKNGFHSSFAASPPPTSGHSSLPPPS >EOY25773 pep chromosome:Theobroma_cacao_20110822:6:1828541:1836678:1 gene:TCM_027141 transcript:EOY25773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT5G49820) TAIR;Acc:AT5G49820] MKVKVKQSPPNPNATAQSLTSQESRLLVRETLRISANLATNAGVTSSSGSSMNDGAAIPLMDENRRMGLVGEEFVESSLKLICCEEIDGRRWKYMAEKDPFGNLKNNSIRALSSHTPQAPVDELMSFVRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTQTLLSSVGVSRNRATPGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFAGDLLMELGAGVELATAAVPHLFLPMACAANVVKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNIADLLGTGLSIMISKRNPSLITTFALLSCGYVFSSYQEVRSVVLHTLNRARFSVAVDSFLKTGQVPSLQEGNLQENIFSFPWLKDRPVVLGSRFKDAFQDPSPYLAIEPLFEKERYLVTYNPSKGKVYALLKDQAKSDDIIKAAFHAHVLLHFIHSSNNGQYSSRSQQEHDHSNLMPSTTDFAAHIADSCKMVSTSYGIFKSKAAEQGWRMSESLLNPGRARLY >EOY25772 pep chromosome:Theobroma_cacao_20110822:6:1828593:1836705:1 gene:TCM_027141 transcript:EOY25772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT5G49820) TAIR;Acc:AT5G49820] MKVKVKQSPPNPNATAQSLTSQESRLLVRETLRISANLATNAGVTSSSGSSMNDGAAIPLMDENRRMGLVGEEFVESSLKLICCEEIDGRRWKYMAEKDPFGNLKNNSIRALSSHTPQAPVDELMSFVRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTQTLLSSVGVSRNRATPGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFAGDLLMELGAGVELATAAVPHLFLPMACAANVNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNIADLLGTGLSIMISKRNPSLITTFALLSCGYVFSSYQEVRSVVLHTLNRARFSVAVDSFLKTGQVPSLQEGNLQENIFSFPWLKDRPVVLGSRFKDAFQDPSPYLAIEPLFEKERYLVTYNPSKGKVYALLKDQAKSDDIIKAAFHAHVLLHFIHSSNNGQYSSRSQQEHDHSNLMPSTTDFAAHIADSCKMVSTSYGIFKSKAAEQRVIEKCCGTFALCSLKKGFVNDNTGVGGCQSHFLILVELDCINELNGRTGLSSSAYPKLDV >EOY25690 pep chromosome:Theobroma_cacao_20110822:6:1261772:1262754:1 gene:TCM_027075 transcript:EOY25690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar iron transporter family protein MAALRTCERPSLSNLDMSIHVANDAQKNQIQVPTAEDEFDYSQRAQWLRAAVLGANDGLVSVASLMMGVGAVKDDIKAMLVAGFAGLVAGACSMAIGEFVSVYTQRDIEVAQMKRERRKRESSGDYEQETEREKLPNPGQAAIASALAFSIGAVVPLLAAAFIRQKKVRLAVVAAVASLALAVFGATGAVIGRTPVVSSSFRVLIGGWMAMAITFGLTKLVGTAGL >EOY28311 pep chromosome:Theobroma_cacao_20110822:6:24888836:24890620:-1 gene:TCM_029912 transcript:EOY28311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MDQIQHKHIDVRGVNLHVAEIGTGSSVVVFLHGFPEIWYSWRHQMIAIAGAGYRAIAPDLRGYGLSGNHPEPEKASFNDFVDDTIAILDSFHIDKAFLIGKDFGSWPVYLLSLFHPTRVIGVVSLGVPFFVPRPQKFRDLPEGFYISRWKEPGRAEADFSRFDVKTVWRNIYILFSRSEIPIAEKGKEIMDLVDPSNPFPPWLTNEDLTTYAKAYEKSGFSSPMQVPYTRLPEEFTIENPKVEVPVLLIVGGKDYFLKFPGIEDYITSEKVRDYVAELEIEFLPEGTHFMQEQFPDKVNQLIVNFLDKACSIK >EOY26270 pep chromosome:Theobroma_cacao_20110822:6:6874338:6877030:1 gene:TCM_027747 transcript:EOY26270 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MNHGKMMKHQACHITRTKNFLGLWQFRAQHHPKLPVVPGKSHKILGSNYEEMSKESLLTGELSDGSNRGSVDPTQVPKGFIAVYVGPELRRFVIPMSYLSMPEFKILMDKVAEEFGFEQEGGLQIPCDEQHFEQILLKCTALQQMSKNKNKSNKKIIFT >EOY28995 pep chromosome:Theobroma_cacao_20110822:6:27058421:27069303:-1 gene:TCM_030441 transcript:EOY28995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase MMLRRIALLGCTITNGNLWSLLGFSAKIPTWPAVKVSSSTAGYSIRYRAMSASANSTPVNVIAENEEQVQEFKQLNAVNDRYGGVIVEMSEPMDPAAFASSLRASIAQWRHQGKKGVWIKLPIQHVNLVEAAVKEGFWFHHAEPNYLMLAYWIPGGTHTLPANASHRVGVGAFVMNEKREVLVVQENTGRFRGTGVWKFPTGVVNEGEDLCTAAVREVKEETAIDTKFIEVLAFRQSHKSFFEKSDIFFMCLMEPLSFEIQKQESEIEAAQWMPFEEYAAQPFVQTNELSKYIVDICKAKEDGKYSGFVPVPTSSPFSDEKNYMYFNYRDLNGHADNRVLVNRTIYRFLFICPDWNADDEILLPEILSLEDFGESHLVSPELSKWGKDLEVALWDSEASQGLLRINTHDDALQCLRVYV >EOY27148 pep chromosome:Theobroma_cacao_20110822:6:20446694:20449533:-1 gene:TCM_029071 transcript:EOY27148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein, putative MMDNEGSDICSSNEGDSYEDEQVQIVHSLPYFLLELIAAGASSSLAKTTVAPLERIKILLQTKAEGFHSVGVYGSLKKLVKYEGLRGLYKANGVSILRMLPHSAFQYMTYEQYRSLLHYKCPSVGTGPLLNILAGSAANGTAFLCTYPLDLARTKYAYQVVDRGQSGSDGLRCIHSGHPAHQGIKDVFRTVYRGGGMLAFYRGVGPTLGGILPYNVIKFYAQEELKRWVPKEHQSSIALNLSCGALAGLFGQTVMYPLDVVRRQMQVNQFNLPSFKLENTNYVDILNQSGARYNNTIEGLTAIIRNQGWRQLYGGLCINYMKVVPSVAIGLASFDVIKCWLHIPS >EOY25600 pep chromosome:Theobroma_cacao_20110822:6:606715:611704:-1 gene:TCM_026981 transcript:EOY25600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein associated protein B MSMSKSSKMLQFINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKNSNTNEEREDRRTLGLVLLRGEEVISMTVEGPPPPEESRSKAASANAVPGPGVGRAAGRGIPTGPLVQAQPGLAGPVRGVGGPAPGMMQPQMSRPPVPQLSAPPMTYPPAGGAPPVIRPPGQMPPGAYPGQPQPPQMPRGPPPQVPPPAFGVRPPQQFPVPPPQYGQRPMVPPPGPMMRGPPAPPPPRPGMPAPPPPRPGMPPPPGAVPVFGPPRPGMPPPPNPQNQQQNQQQ >EOY25974 pep chromosome:Theobroma_cacao_20110822:6:3141419:3147322:1 gene:TCM_027345 transcript:EOY25974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C3HC4-type RING finger) family protein MSSSSSTVTGDDKFRQSETVIAGDLSAPNMSTEAISFSSGNPRIEETRGVMHLFSNDAVSTLPVERKPLVCVLGVPNHMTYADFCQFCASFIHHILEMRIVRNDGMEDRYSVLIRFDSQDSTDKFYQHFNNRQFNSLEEEVCRVLFTVDVQFTGYSGSLDHVQSPPASSTEQPSCPVCLERLDQDTSGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPETSTCFICQTSENLWICVLCGFVGCGRYKRGHAIIHWKETQHCYSLELETQRVWDYVGDNYVHRLIQSKTDGKLVELNSHCLHANDGCGSCDCADSGISEAILSCKAEIVNEYNELLRTQLENQKLYYETLLQQVNEETEREIADAVNKAVMQKRQKMQAKLERCVKEKKFLEDLNENLLKNQEIWKAKLLEVEEREKKALRMKNDKIQALDEQLRDLMAHLEAGKAVEQLSISDEINDTTVLPKALESCSRKEEKDSKNETSS >EOY28587 pep chromosome:Theobroma_cacao_20110822:6:25959167:25961534:-1 gene:TCM_030144 transcript:EOY28587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 10 MLHAATNHFCDENKLGEGGFGPVYKGTLADGKEIAVKRLSRTSGQGLLEFKNEVMLIARLQHRNLVRLLGCCLEKNEKLLVYEFMPNRSLDVFLGYMAPEYAMEGLFSIKSDVFSFGVLLLEIISGKRNNGFHLLECGESLLTFAWKLWSKGKGMELIDQHLVQSCVAAEVLKCIHIGLLCVQEDPADRPSMSSVVVMLGSEIIPIPRPTEPAFSIGRVVAKPTEPTSNDRICSVNEVTISNLSPR >EOY27574 pep chromosome:Theobroma_cacao_20110822:6:22439433:22441769:1 gene:TCM_029403 transcript:EOY27574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MENVELSPLRNVTRQKTEKPRTKRVWRLKLNVTWQGIKKAVKSVLRHRRLHLASNLKTLSTLKKDMAIIRPIRHAMKKKKTLRPMMPLGQLIQLPYTAADFIDRGDAMTPTKSPKENISTQWRELHGLQHWDGLVEPLHPWLRREIVKYGEFVEATYDAFDFDPLSEFCGSCRYNRRKFFEELGLTQHGYKVTKYIYAMSHVDVPEWFERSYYSWSKDSNWMGYVAVSGDAESARIGRRDILVAWRGTVAPSEWYTDLKTSLQPLGKTNIKVQLGFLSIYSSKGEFTRYNKLSASEQVMEEIKRLVSFFRDRGEEVSLTICGHSLGGALALLNAYDAATYFPDLFISVISFGAPRVGNVHFKEKLRELGVKTLRVVVKQDIVPKLPGFILNTILNKLTTVTRRLKWIYRHVGTQLKLDALTSPYLRRDADYTGCHNLETYLHLVDGFISKTSKFRWNARRDVALVNKTTDMLIKELKIPDSWYQKPFKGLVLNKYGRWVKPGREPEHIPSPLSIGSRHDPIF >EOY26885 pep chromosome:Theobroma_cacao_20110822:6:18877908:18884153:-1 gene:TCM_028852 transcript:EOY26885 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein MTSSFNALLYSSPAFPCSRTMDKKFLSSSGRLSSFDSISSSAIVGRKQNVILRRGHSSKIWAMAKELHFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKFGSPKIVNDGVTVAKEVELEHPVENIGARLVRQAASKTNDLAGDGTTTSVVLAQGLITEGVKVVAAGANPVQITKGIESTTKALVSELKMMSKEVEDSELADVAAVSAGNNYEVGNMIAEAMGKVGRKGIVTLEEGSGSENSMYVVEGMQFDRGYISPYFVTDSEKMAVECENCKLLLVDKKITNARDLIHVLEDAIKEGYPIVIIAEDIEQEALATLVVNKLRGSLKIAALKAPGFGERKSQYLDDIAILTGGTVIRDEVGLSLDKAEKEVLGQAAKVVLTKETTTIVGDGSTQEAVNKRVAQIKNLVEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAVRETLDNDEQKVGADIVKRALSYPMKLIAKNAGVNGSVVIEKVLSSDNPNYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVARTFLTSDAVVVDIKEPETAAAGNPMDNSGYGY >EOY25588 pep chromosome:Theobroma_cacao_20110822:6:551097:559005:1 gene:TCM_026971 transcript:EOY25588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MWPDLATTTLDRHFPMAESDSGVPDPIVGSGREAPDPALMKQGDSIAKWQVSHSCVLSSIGERLSCNMGCCVLSLIGERLACNMGSHQSYHSLKSLTYCATAVHLLWKTKTKTVKSLGRVEFACVCGILQKQRFMVSSQSLSLVDELVLESYLGNALSILPQPSCNFHHFCFFVPPTSMDVRKLHFLSSALFIHCCFMVSYAMITVRNLTTDRYALLEFKHHIIDPHNILAKNWTNTQSVCNWVGVSCSAKPSRVIALSLPNMDLTGTVPPHLGNLSLLVSLNLSDNNFHGHFPSTIYNISSLQTISLASNGLSGSLPQDICRHLPKLEALYLHLNEFSGQIPSSIDECSNLQNLTLYLNRFSGIIPRSIGHLTRLKIVDMSGNNLEGEIPWEIGNLLSLEEFAVGDMRLIVGPIPASIFNISSLKVIYLYNNSLSGSIPHNMCHHLVKLETFHISYNEISGHIPSNIGDCRTLQYLSLSYNRFSGCIPRSIGNSTKLKKIYVGVNDLKGEIPREMENLITLELFSAVDMRLNGVIPPSIFNISSLEVIDVSNNSLSGKLPDMSSVSNLERLIVWGNNLSGNIPDSFCNASKLTLLDLAQNSFHGLIPNTFGNLRFLEVLRLWSNNLTTQTSNGEWSFLSSLANCRHLRVLELSYNPLNALLPNSISNLSTSLQYLRVNDCKIRGKIPMEIGSLSNITALGLALNELSGSIPMTIGRLRNLQALYLDGNRLQGSLPHDLCGLKRLNTLSLGANELDGPLPTCLGDLTSLRYLNLSSNKFHSIIPSSFWSLRDILEVDLSSNYLISPLPLDIENLKVLVYLDLSKNLLSSDIPVTIGSLDDIQLLALSSNRLQGPIPKSLGDLISLKVLDLSNNNLSGVIPKSLEKLLDLKYFDVSFNRLEGQIPSEGPFANFTAESFMKNYALCGSPRLQVPPCKNTIHRQSKKALVHVLKYVLPTIASVITIVACIIVYKKWQKRSTNSEIGEDSIPLKTWRRVSYNQLSRGTNGFSENNLLGSGSFGSVYKGILSDGTNVAIKVFKLQIDGAFRSFDNECEVLRYILHRNLVKVITSCSNIDFKALVLEYMPNGSLEKWLYSHNFFLDIFQRINIMIDVASALEYLHLGHPMPVIHCDLKPGNVLLDQDMVAHVGDFGIAKLLGEEDSMKQTLTLATIGYMAPEYGSTGILSVKSDVYSYGILLMEVFTRKKPTDEIFTEEMSMKH >EOY26835 pep chromosome:Theobroma_cacao_20110822:6:18399319:18401465:1 gene:TCM_028809 transcript:EOY26835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMVVSRNGGFVLPVISVHSVMMPSVKASSDDDYVLCRLLRQWGVTMMICCLSRGRAKAARCAQNVEPNDVPTGDDYKEGRNDHSMGRDVTVEDLIARLQSLAHEFTKFSSRGEYS >EOY27247 pep chromosome:Theobroma_cacao_20110822:6:20860468:20861100:1 gene:TCM_029134 transcript:EOY27247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMYLLFLCPAMLPEGIGNVRHHHTCIEAQNFCHKDTKIEDAVNGMFGIDVKSRSFFIEMGSRRKSVFFEGCDIASQLQVLVSQFRWDHQEKWELIGDVWLDMLIYAASQCSWKEHTRQLQQGEELLTHVALLMAHLGLTRKINLVDLPERLSQVAFKPSWDWDKLERLAYYLA >EOY25930 pep chromosome:Theobroma_cacao_20110822:6:2785550:2786587:1 gene:TCM_027297 transcript:EOY25930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 1, putative MQVYIMDLSRNRLYGSIPSCFANISFGMAAGESSFVTSLIRFNAIISLSSYYSSNLELNSDTSGSQRPYRQAEAEFTTKYRHNSYQGDILHYMFGLDLSCNELSGDIPAQVGELKNLRALNLSHNKLSGSIPVSFSSLKQIESLDLSSNNLSGQIPSQLTELHFLSIFNVSYNNLSGMTPDKGQFATFDRSSYEGNPSLCGSLIEKSCNSSEVPPTTVPFDGEENDTMVDMVAFGWTFSASYAMVLLALAAVLCINPHWRYLWFNSVDWIIYLCFKMFS >EOY25679 pep chromosome:Theobroma_cacao_20110822:6:1167353:1175024:1 gene:TCM_027063 transcript:EOY25679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cactus-binding domain, C-terminal, Cactin, central region MSPSSKAGPFKAMVRSGRNKSSRHSHSGRDSDDGFSGGGRSKKKRYGRNITEEEIAGYMAKKAQKKAMRAATKLKEQTVWGYSNDSNPFGDSNLSEKFVWRKQIERDIAQGVPLDSFSVKAEKKRQKERMGEIEKVKKRREERAVERAQHEEEVALLARERARAEFQDWEKKEEEFLSDQSKIRSEIRMREDRTKPIDVLSKHLSGSDKPPYMVFKGLTVKEMEELRDDIIIHLDLDRATPTHIEYWEALLVVCDWELSEARNKDALDQARVRGEEPPAELLAEERRVPFSIEADVKNLLEGKTHRELEGLQSQIESQMRTGMAKVVEYWEAVLKRLHIFKAKACLKEIHAKMLSTHLQRLEQPSGLESDHGLGPGEEDSDHDVKDAETFSPQPVEQEAGSFSPQLLHGDENHEEAIDPEEDRAILEQQRRIREDNFELKAMKAMGAMEEGDAVFGSGAQVNLDSQVYWWRDKYRPRKPKYFNRVHTGYEWNKYNQTHYDPDNPPPKIVLGYKFNIFYPDLVDKTKAPAYTIEKDGNSSDTCIIRFHAGPPYEDIAFRIVDKDWEYSHKKGYKATFEHGVLHLYFNFKRYRYRR >EOY26675 pep chromosome:Theobroma_cacao_20110822:6:16882682:16889159:-1 gene:TCM_028626 transcript:EOY26675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRMTWEICKLRYHHGGKLVRTPRVRYVNGSVAEYDEDPNQIYYWTILSTIKDLGYDLAKTVKDYYIEDGKSLNTSLKLLGDYSNDAKLVDQLTKKMTLDIYVEQLNCANDMNIPIALLDSIEVSEGINELDEDVVMTGTKSNDDNGWPTPSLEDFDSDLQGVNVNAIEHDNNRDKGVNEVNVGLDNSNDVRSDSSEDYEDRLMDVKWVTDEDTDADEELEVVREDLREPNFDTDKVDGYKSEYFDSSDPMSFIDTSYGSDEDDAQRVKSSDKYYNPMVPFDDFVVGLRFENFEILLIIYLHVKRMPSEPTTKRKREALEGKSRTKLSKIGKKLTCAYRVQEGHNKLGCPRRTEFVRL >EOY28257 pep chromosome:Theobroma_cacao_20110822:6:24763250:24764196:1 gene:TCM_029882 transcript:EOY28257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLFSLLSKPLISSSLHRGEHGIIPYPFFSIESRICQCFASVGQSKLNYSYDLCGFNGLERSCSTAK >EOY28555 pep chromosome:Theobroma_cacao_20110822:6:25771371:25772800:-1 gene:TCM_030092 transcript:EOY28555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase isoform 1 MGCSGLFLFYWSVIVSLAAIAFADDYDNYFLCRYVEDKGNYTANSTYQANLNRIVSQLSSPTQFNYGFYNLSAGQSPDEVNAIALCTGDRTQVECNSCLNRTATELMQRCPWYKEATAWSQFCLVRYANRDIFGQLENEPRTCAFNPKNASNPEQFNDGLIELLNNLSNIAAAGGPLRKYAAGNLQTIYAAVQCTPDMDEQNCTACLNYGREELSKCCKGRLGCRVLRPTCILRYESGPFYQTAVPPPSPPPSPSGREGLARAPAPPKLLKFNNFFYFF >EOY28554 pep chromosome:Theobroma_cacao_20110822:6:25769946:25772815:-1 gene:TCM_030092 transcript:EOY28554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase isoform 1 MGCSGLFLFYWSVIVSLAAIAFADDYDNYFLCRYVEDKGNYTANSTYQANLNRIVSQLSSPTQFNYGFYNLSAGQSPDEVNAIALCTGDRTQVECNSCLNRTATELMQRCPWYKEATAWSQFCLVRYANRDIFGQLENEPRTCAFNPKNASNPEQFNDGLIELLNNLSNIAAAGGPLRKYAAGNLQTIYAAVQCTPDMDEQNCTACLNYGREELSKCCKGRLGCRVLRPTCILRYESGPFYQTAVPPPSPPPSPSGREGLARAPAPPKLLKFNNFFYFF >EOY28758 pep chromosome:Theobroma_cacao_20110822:6:26463353:26465157:1 gene:TCM_030270 transcript:EOY28758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSLIFIYKPTVSQHKISSFLWLWSSIIPFLFPFLSLIEAQASLCRTTCGDIPINYPFGIDDGCGSPYYRHMLACSDTGKLELRTPSGRYPLRSISYSDPHVLVTDPFMWNCQDGDNFRPTRPFSLDTSTHFSLSSQNDYLFFNCSEDYVIVEPKPIFCERFPDRCDSSCDSASYLCRHLPECASALGVYWRTVGTSADTPYNQVPEYGIRVDFVVPVTTHCLQCQDPSKGAGTCGFDTQTQNFLCLCKEGNVTSYCKDHKISGHGRAGVIAGTVSAVSAAGAIGIGAGIWYLKKVRAKAPVTCGVQSNENRLF >EOY26459 pep chromosome:Theobroma_cacao_20110822:6:11173705:11192479:-1 gene:TCM_028130 transcript:EOY26459 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein recA MVISLPSLTLNVVSRSSRFFSLLPSFPQYARREAITCIGAPTYHFSSAADFSECEFDEFHDDNKETKKDTALRQALSQLAGDFGRESMLSLQRFFNSRRAPVISTGSLKLDLALGIGGLPKGRMVEIYGREASGKTTLALHIIKEAQKLGGYCAYLDVENALDPSFVESIGVNTRNLLISHPDSAENLLCMVHTLTKSGSVDVIVVDSVAALVPQCELDGSIGDSGRDVQARIMTQALRKIYSSLCCSRTLIIFLNQVRYNSKSGQAFGHMEEVTCGGNALKFYSAIRLRMIRTGLLKSEDKITGLGVCVQVMKNKFAPAMKKAELGIQFGRGFSCESEVLELACEYEIISKEGSNFLIEGEAFGDKEKAEQYLAENDGVLEKIVMILRTKLFERKI >EOY28506 pep chromosome:Theobroma_cacao_20110822:6:25558118:25559530:1 gene:TCM_030048 transcript:EOY28506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTWYELPILDRLKVGYDFPFSTAYNINYKSILPSEKPQKCRFGKEKMSWLIRGKRYKVATAKGDGERGWCSL >EOY28171 pep chromosome:Theobroma_cacao_20110822:6:24440566:24445848:1 gene:TCM_029811 transcript:EOY28171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATQNREMSNGWPLGLQIMTMRLRLQERLQAAAPTVEPYSLHMPSSSFSSFSSSNLDTESSASFFQDNSVSLGRLIGFRPRDRGALYFQNTIHAEENNRLPVTGACKDVSRRHNSDMSQGICIPLILGALVKMSRNRSKSKQLDD >EOY26191 pep chromosome:Theobroma_cacao_20110822:6:5403135:5412868:-1 gene:TCM_027609 transcript:EOY26191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYSSPAVRIYTAKNVREELEEAQRDFIRASVGVTSKGKQLVPKLLHCFAKGFVDDSNLAVWISHYLPSHQAAFVEQFISQRRQSLLGSRNCGIIPFDSRFRYLFLPDKISLQ >EOY27781 pep chromosome:Theobroma_cacao_20110822:6:23108872:23109427:1 gene:TCM_029541 transcript:EOY27781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRSLRDGHGEFSMSNSQFKRLSLTVSIILWLVSGESHEGSSCIISIIIIIKTVETQLPSDFGYLC >EOY25850 pep chromosome:Theobroma_cacao_20110822:6:2325508:2327552:1 gene:TCM_027215 transcript:EOY25850 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 25 kDa protein, putative MESYEENNNSSRGGSLRVFNLLDRRRLAPLNFNSRGGGDGDDNDVIVARKLLYRKLPQQRNFKLSVLKLDGSLFDVNVGRNATVAELKVAIEELFATLPGDTHGSISWSHVWGHFCLSYEGQKLVNNKACIRNFGIKDGDQLQFIRHMSVNQLPLRRRLKHHNVPCKWLSSGSSYHQEKQHNSVNFNNKDENQEDSSTSDHYEEEEEIPLPEVKLGHLLRGWLSCTRLWGASRKGPEGPSNPPRFTLQCLGG >EOY27714 pep chromosome:Theobroma_cacao_20110822:6:22930655:22933825:-1 gene:TCM_029496 transcript:EOY27714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin 6 isoform 1 MSSSSTALRELQRDLENKANDLSKLQKDIAKNHQVKKKYTIQLGENELVLKELDLLNEDANVYKLIGPVLVKQDLAEANANVRKRIEYISAELKRLDASLQDLEEKQYSKREAILKVQQRIQSHQAGKAKA >EOY27715 pep chromosome:Theobroma_cacao_20110822:6:22930655:22933825:-1 gene:TCM_029496 transcript:EOY27715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin 6 isoform 1 MSSSSTALRELQRDLENKANDLSKLQKDIAKNHQVKKKYTIQLGENELVLKELDLLNEDANVYKLIGPVLVKQDLAEANANVRKRIEKRLDASLQDLEEKQYSKREAILKVQQRIQSHQAGKAKA >EOY28339 pep chromosome:Theobroma_cacao_20110822:6:25046060:25048968:1 gene:TCM_029936 transcript:EOY28339 gene_biotype:protein_coding transcript_biotype:protein_coding description:P(E)-nerolidol/(E,E)-geranyl linalool synthase, putative MLSDYIDPHSFVSPSAYDTAWLAMIPADSNLQPCSVPMFKDCLDWVLNNWTEEGYWAECDAHGNPTIESLLATLACAIVLKKWNVGIENEDRDITCDNGSLSQSPSATACAFMATGNKECLACLRALVRRCGNGVPPTYPMDEELIKLGLANQPQRLGSAEHFSQQIEDILTQVYR >EOY25958 pep chromosome:Theobroma_cacao_20110822:6:2934817:2945509:-1 gene:TCM_027316 transcript:EOY25958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDNSNWRPTPPSGEPTMDTGDWRTQLQADSRQRIVNKITDTLKRHLPFSGQEGLNELRKIAVRFEEKIFTAASSQSDYLRRISLKMLTMETKCQNTIPNTGTNSKPPDPGSQAMQNQVHSQGQSIPIPLQSNQSQTRQQLLPQNVPNNMTSAGVQSSAGLQYGMPPVSGLTQNPIPNVVGQNSNMQNMSGTMQNSLGQGMPSNIFANQQRQMQGRQQVHPQQQQQQQQQLYHQQLQHQLMTQQGNLQLSLMQSHMQQQQQQNLLPPTQLQSGMQTSSTMQPSAMQSTPLPGLQQNQQSSLQQSTQSMLQQHQQSVLRQQQQPQQAASAGIHQQQTPMTQQSMMPQQHQQPPQPQPQQHQQPHVMGQQTNDANIQQTQLIGQQNSIGDMQQQRLLGHPNNLSNLQQQQQQQQLMAQQNNLSNIHQQQLIGTKSGNSSMQTNQQSLHMLSQAKVPLQQTQQSAPSLLPTQGQTSQQPPLQQHRQLMLQMQSQSTQLQQQLGLQQQPNQFQRDMQQRLQASSPLLQSQNLIDQLKQLYQLQRAVPETSSTSLDFTAQTGHANGGDWQEEVYQKIKTMKETYLPELNEMYQKIAAKLQQHDSLPQQPKSDQLEQLKLFKTMLERIITFLTVSKANILPTFKDKLTSYEKQIINFINTNRPRKPASTLQQGQLPPPHMHSMQQPQPQINQTQSHDNQMNPQLESINIQGSVPTMQTNNTTSLQHNSLSSLPGVSTAQQTMLNSLQPGSNLDSGQGNALGSMQQVAPGPLQQNPVSTYQQANISSLSSQSGISVLQQNMNPLQSNSSMLQHQHLKQQELQMLQSQQLKQQYQQRQMQQQPYIQKLQLLQQQQAKQQLPTQLQTHQMPQLHQMNDVNDMKMRQGMGFKPGVFQQHLPAGQRQSYTHEQLKQGSQFPFSSPHLLQAASPQMPQHSSPQVDQQSLLTSISKTGTPFQSANSPFVVPSPSTPLAPSPMLGESEKAVPATSSLSNAANVGHQQETGVQPGSQALVLKLKHALTLTFCFTVYFALLYWLLRVLY >EOY25691 pep chromosome:Theobroma_cacao_20110822:6:1262757:1267181:-1 gene:TCM_027076 transcript:EOY25691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVILFLVKTKVSTELKLGLSLKLGSSNITLTMLQVATQVTLEFVAYCDYLFIFGQLAFKLRQLVVSMEEVKWKM >EOY26120 pep chromosome:Theobroma_cacao_20110822:6:4616227:4651436:-1 gene:TCM_027526 transcript:EOY26120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MALIRLLLILLLRYLVSVSGNADSAYDHHRHYSLLKDKAALLEFKGSIYDPKSTLSNWEDAVPVCNFTGVTCDMRYHRVSQINLHSFGLVGKISPFISNLTGLRVLNLFENHFFGTISPQLSSLQHLRTLILDSNNLNGPIPNSFALLTNLTLFSVQNNSLTGPLPPSFFSNCSQLKNIDLSFNFFTGQIPAKIGNCANLWTLNLYNNQFTGQLPASLTNASLYNLDVEYNLLSGEVPSDLVRKLPKLLFLHLSYNKMTSHDNNTNLYPFFATLRNCTHLKELELAGMGLGGRLPSSIGHPTLQRLELQENRIFGSIPPEIGNLSSLSMLNLTSNLLNGTISEEIGRLSMLEQLVLSHNFFNITIPVELAKLFHLVLLDLSNNNFHGEIPASLGDLPLLNSLFLYNNLLSGTIPPKLLKWTNMHRFDLSCNKLIGRIPPEISELREIRIFINFSHNLLEGPLPIEISNLDKVQEMDLSSNNLSGNIFPQISSCVSVEMINFSHNALAGRLPDSVGDLRNLKSFDVSSNNISGMIPKSLSKINLTFLNLSFNNFEGMIPSGGIFNSATNMSFLGNPSLCGAASSTPICPRNKHWFRSRMFLIIFIIVIVVSVLSSTVCWVIGIRQVKLMVSSSKTERSRKSVLN >EOY28427 pep chromosome:Theobroma_cacao_20110822:6:25326787:25331568:1 gene:TCM_029996 transcript:EOY28427 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein MESCELKRFKDSPNSDESARRSSFPDEVLERVLSLLKSHRDRSSVSLVCKDWYNAERWSRAHVFIGNCYSVSPEIVARRFPKIRSVTLKGKPRFSDFNLVPQNWGADIHAWLVVFAAKYPFLEELRLKRMTISDESLEFLAVSFPNFKALSLLSCDGFSTNGLAAIATHCKNLTELDIQENGIDDKGGSWLSCFPESFTSLEALNFANLTSDINFDALERLVGRCKSMRVLKVNRSISLEQLQRLLVNASQLAELGTGSFSQELTFRQYEELESTLGSCKNIHTLSGLWEAKGLYLPALYSLCTHLTFLNLSYAPLQSGELAKLLTHCPQLRRLWVLDTVEDKGLEAVGLNCPLLEELRVFPADPFDEDIIHGVTEAGFVAVSRGCPRLHYVLYFCRQMTNAAVATIVQNCPDFTHFRLCIMDPGQPDYLTNEPMDEAFGAVVKTCSKLQRLSVSGLLTDLTFEYIGSYAKNLETLSVAFAGSSDWGMQCVLRGCPKLRKLEIRDCPFGNAALLSGLEKYESMRSLWMSACNVTMNACRLLAKEMPRLNVEVMKEDGSDGIQADKVYVYRSIAGPRRDAPPSVLTL >EOY25891 pep chromosome:Theobroma_cacao_20110822:6:2580535:2582050:-1 gene:TCM_027261 transcript:EOY25891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFGLCFIQGKVIPLRSHYNPSSHRGEVIFPLQRVTYIFFFLTVPPITSTFAIFSSSSASSSHHSPGHFDQALSSIFCCGQLWFQNHLQSL >EOY26223 pep chromosome:Theobroma_cacao_20110822:6:5921378:5953030:1 gene:TCM_027661 transcript:EOY26223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLIDRPPIRLQKKNLQASTNLQIMTKEEEAKYLLEYVVRLVQSLHSSIRRLAIQVNNFEIKLPIIQMIQTSIQFGRSPNDDLNAYIVNFLEICDTFKHNGVTNDVIRLRLFPFSLRDKIKSWLNSLIASFISTRDDLAQKFLAKLFPPTKTANMWNGITSFVQFNPESLYEAWERTTIDATTSGALMDKSIDEAYDLLKEIAFNNYQWPCEKLVLRKVASVHELDGINAFTAQVTVLSKKFDTMDVHAI >EOY25694 pep chromosome:Theobroma_cacao_20110822:6:1290287:1295566:1 gene:TCM_027079 transcript:EOY25694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MEVKGVRIRVLGKGTYGVVYLLKTNIPTSQLYAVKSADEEMASTLHKEQEILQQFSGCPNIVRCFGGFTSVECGRKIFNLFLEYASGGCLADLMKEYGGKIPERHAKFYARMILEGLVDIHRKGYIHSDLKPRNILVFPSQDGISLDTLKIADFGLVKKYGVEDTNAWEYGFRGTAPYMSPESIIGYITGALDIWSLGCIMVEMLTGKLPLAFRDLKDLRDKLLRGESPNIPENMSSMGKNFLMKCFARDPNERWTASMLLSHPYLLPEHTFSLPVTGFLQCNPSLASKSNASFGLYSGTVCPAPLIVTKAWLPVYGTHVMVSTENKTGVFAPTIHRNVKCSNILLTESLHAKLSDFRLSKAFTLEGDSHVYTVVADTPGYVDPDKLYAVKSADEEMASSLHKEQEILQQFVGCPNIVRCFGGFTSVECKRKIFNLFLEYAPGGCLLDLMKEYGGKIPERHAKYYARMILEGLVDIHRKGYIHSDLKPGNILVFPSQDGTALDTLKIADFGLVRKYGVKDTNDWEYGFRGTAPYMSPESIIGYITGALDIWSLGCIMVEMLTGKLPLAFRDLKDLRDKLLRGESPNIPENMSTMGKNFLMKCFARDPNERWTASMLLSHPYLLPEHTFSQPATGFLKCNPSLASVNFQEAAAFHSPRGYELFPSGTRKILDDLFRMQLIKQVTDMKLGVWCMQRNQHETSKL >EOY28738 pep chromosome:Theobroma_cacao_20110822:6:26396234:26399579:1 gene:TCM_030253 transcript:EOY28738 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT helix, U3 small nucleolar RNA-associated protein 6 MADVVQYRLERMVDELDDLERRGLFTRREIAEIVKQRRKFEYRLKRPSPLKQDYIAYIDYETQLDSLRRLRKKAATRELVRQGKKKTKTRKSVSDFAPVSRIMEIYRLAVMRYKGDVALWFRYLEFCRQRKNGRMKKVLAQVIRFHPKVPGVWIYAAAWEFDHNLNVAAARALMQNGLRMCPNSEDLWVEYLRMELTYLNKLKARKVALGEDKGTLVRDHKDADEKQWKEENKDLFMSLDDEEKGNDDHGSDEESEKKLDLFLEHASGILKTIYKGAIQAIPSSFGLRKQFLEILEAIEVADSEELHEEILSDMKRDFSADPEYWDWLARLEMSDASSSGKKNEDAMLSQLQKAVRVYEEATKTVPSAVMFNLYIKFFMDVIASERGEMEAYSSYVSHILKVYEKAETKGCLTEELACQYISFYTQIGRLEEAKKVAEKLCSGKLSDSVRLWLLRISVEIRCVTKSSLSPSKAETLSIFELLKTVLTKMSISEAESLWMMALKFFANQKKYFEKLIELSLISVAKYGGRDNGFSLSSAVVNFILHKDGLQHAREVYKRFLALPHPGLALYRNCIELESNFASLGDEDSLVNGRKLYEAALATYDQDASLWKDYYSLETKLGTSESAAAVYWRARKTLKDSAVVFTSPDR >EOY27177 pep chromosome:Theobroma_cacao_20110822:6:20554114:20556851:1 gene:TCM_029088 transcript:EOY27177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18ae family MDQKHTTEAVEHRNGEYALIRDAEDPQLGLYDKPLPCFGCGIGWFSLLLGFVFPLMWYYATILYFGNYYHKDPRERAGLAASAIAALICTIAVIITIAVLVF >EOY28722 pep chromosome:Theobroma_cacao_20110822:6:26355775:26357529:-1 gene:TCM_030241 transcript:EOY28722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTGEPIRIGGVPLLMDGDGTGGYIETMGKLVGFLVPVSQKPGSHNSLMEVNDLREKGRKIKLCAMENMAMVFGFGLEDCLFGFVGPRPVVTDCISQHASKPTRVNKKTKGKAKSAVAVLSYSNYLRSTEEDTEEWSMINAAELNSR >EOY28076 pep chromosome:Theobroma_cacao_20110822:6:24176288:24178624:1 gene:TCM_029754 transcript:EOY28076 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MASVLGTSSTAILASRSLVTPSSKPAIPSISIDPGQSYGRNFYGGFGVQGRKGRPPFHVAVTNVATGIDSVEKAQKLDAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTAVRSLVDLLPEIRVVFGDPYNSDPEDPESMGIEVREKVTKGEELTVMMAKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDKNPKEFRDSYKAEQEKLQQQIASARSSLSSVQIDHDLKVKISKVCAELNVDGLRGDIVTNRAAKALAALKGRDKVTAEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >EOY28828 pep chromosome:Theobroma_cacao_20110822:6:26649659:26650973:1 gene:TCM_030318 transcript:EOY28828 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MDGVDRERRRRSFKERLGFKIVGCCGATWGLGSTTISVRDDDEVEVVEEEEADQQREQVEATHSGHNPDPGCINPSPVSSGMNLAAALAAERHFRAAQEPEGGNAAPTSDGQSNAGLRSPGTPLRVSLMRLLEEADGFCGGGEQEKGGVEMGSDSMCCVCMGKKKGAAFIPCGHTFCRVCSRELWLNRGSCPLCNRSILEILDIF >EOY28827 pep chromosome:Theobroma_cacao_20110822:6:26649501:26650666:1 gene:TCM_030318 transcript:EOY28827 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MDGVDRERRRRSFKERLGFKIVGCCGATWGLGSTTISVRDDDEVEVVEEEEADQQREQVEATHSGHNPDPGCINPSPVSSGMNLAAALAAERHFRAAQEPEGGNAAPTSDGQSNAGLRSPGTPLRVSLMRLLEEADGFCGGGEQEKGGVEMGSDSMCCVCMGKKKGAAFIPCGHTFCRVCSRELWLNRGSCPLCNRSILEILDIF >EOY26192 pep chromosome:Theobroma_cacao_20110822:6:5413443:5416103:1 gene:TCM_027610 transcript:EOY26192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGPLPFTNDIVMVVSDDDASNQIDDDDEKDDIEDWNDEMDDDCENDYIGGHDDCLEDDRGKDNDISDCNHENGSTKHATTVELEKVQCNDHVPTIVLEDVECDDPIYDNPIAGENGIHSPNNISANVQPLNFSQTIIRIDLWWRDMRYPFARLCILVSGTSPLIFTKLLFRHQLGKFKRENLGGKGFHQLGKAVNDVDVHSARATVIIDKIVQRRL >EOY28122 pep chromosome:Theobroma_cacao_20110822:6:24291467:24293256:-1 gene:TCM_029781 transcript:EOY28122 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MADKTFHIALYPWFALGHITAFVHIANKLAERGHKISFFLPAKTQRKVEAFNLHPDLITFIPITVPHVEGLPHGAETTNDVPFPLHPLIMTAMDLTEPDIEASLLELKPHFVFFDFTCWLPALSRRLGIKSVLYCIVSSATIGYLLSPARKILEKGLTGHDLLEPPKGFPSSSIKLRTHEARGLAAVTTMDYGSGISFVQRQLRSLSDCDAISFKTCREIEGPFCDYIGHQFEKTVIFAGPVVPEPPKLALEERWEKLLSSFQAKTVIFCAFGSECVLKKDQFQELVLGLEQTGLPFLVALKPPMGAETIESALPEGFQERVQGRGLVHGGWVPQQLILRHPSVGCFVTHCGSGSLAEAMVNDCQLVLLPNVGDQIINARLMAGDLRIGVEVEKGDEDGLFKKDGVCKAVKAVMDDDSEVGKEARVNHAKWKEFLLGPGLENSYMDAFVEKLHALV >EOY28515 pep chromosome:Theobroma_cacao_20110822:6:25591843:25593897:1 gene:TCM_030057 transcript:EOY28515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MSSLTRLLRCTFSTASTAQPDSVRKLAVDLYKERNLKRVVEKFKKSCENGRFRSQTGIYEGTVRRLASAGRFRWIEEILEEQKKYKDIAKEGFAARLIHLYGKSGMFEQAYKVFDEMPNRGLLSFNALIGACVNAKKFDKVNGFFKELPEKLSIEPDLVSYNTVIKAFCEMGSLDSASLILDEMEKKGVKSDLITFNTLLNGLFKNGKFVDGEKIWGKMLENNVEPDIRSYNAKLLGLVTEKRMEEAVKFVEEMRSKGVKPDVFTFNHMIRGYVNEVKLEEAKGWYGQMGKSDCAPDKLTFTMLVPFLCEKGDLGFAMEVCKEIFLRKRLVGDALLQLVVDELVKASKIEDAKELVKLGKANNFCRYKLNMPTE >EOY27920 pep chromosome:Theobroma_cacao_20110822:6:23578275:23585071:-1 gene:TCM_029634 transcript:EOY27920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSRIIVLILRGKFFHLRRGVLDHPASDCPCNLGLVPQLLLGTSGRMVFNKISDCLDSKSVVVQWLGCLAFTQETRVRSPATESFADCFIIGCLPSGKPQIRAHVASYVFLNPPTLRTKPSVISQGVPRDHQGVIKGTFSHSIGIGDSNFAEFQAIHQDSDSSLLLHEPQPSTRKLRVTLLTPFLDY >EOY28584 pep chromosome:Theobroma_cacao_20110822:6:25936905:25946993:-1 gene:TCM_030139 transcript:EOY28584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 10, putative MVSIEISMTPLFYIFLCLPSLKSAAAINFLYNYCDSNTTTYTANSAYQQNLNFLLSSLKSNSTRESGFYNLTVGRDAPDIVYGLFLCRGNVTQDTCQECVSTAAGEILQRCPEQKTALICYDECTLRYSNRSFFSIWQRDPGLLLLNTGNVSEPDQFMELLGNTMQEIATRAADDQSGKKFATEEANITSFSTIYTLAQCTPDLSAFNCYTCLQTAISYLPICCHGRRGGRVIFPSCNVRYELYPFTGVKKRKKSKWIPLGASLSATIGLALFSACGFFIWRRRNIQEDNENSQEVQFLDLVGGSIRNEHSRENFSEEKMSRSQEFPSIQLDILHAATNHFCDENKLGEGGFGLVYKGTLPDGKEIAVKRLSRTSGQGLLEFKNEVMLIARLQHRNLVRLLGCCLEQNEQLLVYEFMPNRSLDVFLFDSSMAAQLSWLKRFSIIKGIARDFGLARIFDGDQNQANTNRVVGTYGYMAPEYAMEGLFSIKSDVFSFGVLLLEIISGKKNNGFHLSEHGESLLTFAWKLWSKGQGMKLIDQLLVQSCVATEVLKCIHIGLLCVQEDPADRPRMTSVIVMLESETITLPRPAEPAFSVGRVVAEPTEPTSNDRIYSVNEGTLPDGKEIEVKRLSRTFGQGLFEFKNEVMFAKLRHRNLVRLLGCCLEKNEKLLVYGFLPNSSLDVFLFGLILQP >EOY28406 pep chromosome:Theobroma_cacao_20110822:6:25261589:25262650:-1 gene:TCM_029982 transcript:EOY28406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISKYKEIVFLHLHPKPSIDQSKSNTAKIPPTGDCKRTSRAAYGPKPRLCLCKRRTVTGRNNKIRSHTKL >EOY25868 pep chromosome:Theobroma_cacao_20110822:6:2471964:2474510:-1 gene:TCM_027239 transcript:EOY25868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSRLVNLGRLLGPSDPFLEAPLNLVHENQPLNKLPSFLLTPSLLKRCIWGLLPVAFFFNPITLFSEATYPVLQFFDPSSGTLWCFKRINSLSTGSSTYVNSLAGYFRPPVERAASNNMGFPITATNNLPAGAPNSGHGDSQMLL >EOY25833 pep chromosome:Theobroma_cacao_20110822:6:2238814:2240527:-1 gene:TCM_027203 transcript:EOY25833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase-related protein MNAAAAAATTTALLSFPYKRSTKVNGQTNDVDVVAANNKMGVHGNGGGNHNVFNGGVHYGKASFLKWTLDDVVYVARYHWIPCGFAAGLLFFMYVEYTLRMVPDSSPPFDLGFVITRSFHRALSSWPELNTLLAALNTVFVGMQTVYILWTWLIEGRPRATISALFMFTCRGILGYSTQLPLPQEFVGSGVDFPVGNVSFFLFFSGHVAGSVIASLDMRRMRRWELAWLFDTLNVLQAVRLLGTRGHYTIDLAVGVGAGILFDSLAGKYEDSKRKCALVSGTMKEGLLS >EOY28532 pep chromosome:Theobroma_cacao_20110822:6:25709648:25711246:1 gene:TCM_030075 transcript:EOY28532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRMYFSLKFQLFHHVVSNNIFTASTINNDITNLPLGCAPCIKYVVTKPTFIFSHHGSVKVTTNNKSFSMVSRNFFYLFVIILIIDWF >EOY25564 pep chromosome:Theobroma_cacao_20110822:6:457569:466706:1 gene:TCM_026950 transcript:EOY25564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji family protein / zinc finger family protein MEQLKIGAGSHMKEDHSSQLFMKRDNNVESLGSPRSRKVSARWVPDEACRPIIDDAPVFYPTVEEFEDTLAYIEKIRAEAESYGICRIVPPPSWTPPCPLKEKDIWGRAKFSTRIQQVDLLQNREPMRKKSRSRKRKRRRHSRMGATRRHANSSSESNVTYEADEKFGFHSGSDFTLEEFQRYADEFKEMYFRRDCDKDSKPCVDECRKWEPSCEDIEGEYWRIVEQPTDEVEVYYGADLETGTFGSGFPKASSMLTGNDAYIYAMSGWNLNNFPRLQGSVLSFEGCDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGDPKIWYGVPGSHASSLEATMRKHLPDLFEEQPDLLHELVTQLSPSVLKAEGVPVYRAVQRYGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLEHGQHAVELYSEQHRKTSLSHDKLLLGSARQAIKALRELFVLGRETPGNLRWNRVCGKDGMLTKAVRMRVQMEEKRVKCLPSHLPLLKMEKDFDLENERECFSCFYDLHLSACSCKCSPERFACLKHVKNFCSCQDEDRFVLLRYTIDELQMLVKALEGGLDAVKVWAYEDLGLVSVNDCDANLCKLVQDSEGLNTERSQLRENGSCSPRMEKMVAINTPCSDGHVSSEVLPSECQHGTKLNGSHVALDSHNNVLNVGVLVMENRVNLEQDACIDLNLNIISDHTASKSMYACDSPNKNSVSDVETLLPFFKQEKICGFDEGREPDLKRIKRDCSLSVSRESPNKYQCSTSRVCQDSDGFDGKKLFGVELLFPHSQVGQSNTLLKMENFNSSDVNASMTDHDGSITKLNSSVEPLNFGSVIFGKRWCSKQAIFPKGFRSRVKYFSVLDPTKISSYISEVLDAGLLGPLFKVTLEGCPTVTFSNVSVGKCWEMVLEQLNQEILRRSNLGERQLLPLQSLQSINGLEMFGFLSPSVIQAIEALDPNHQCLEYWNHKTTSDSSEVKQYAFRLSCSVGETKPKVFGFDLTKHNQDELVSQHSVDEEVQVVLRGLFKKASPEELNIMRRILCSEAQSAEWRVAYETLTEEIQKTCR >EOY25937 pep chromosome:Theobroma_cacao_20110822:6:2815703:2821585:1 gene:TCM_027303 transcript:EOY25937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein isoform 1 MGGACSRKRDQLDNEDGLHRGVSGRYCKSGSSKWLTTTFTRPVVESQRGKGKCPSLMELCTYKIREDIDNYGSFSMLPRDLSQQIFNELVNSQCLTDVSLKAFRDCALQDLYLGEYPGVNDNWMDVISSQGSSLLSLDLSASDISDSGLIYLKDCANLQALNLNYCDQISDRGLQHISGLSDLTSLSFRRNSGITAQGMTAFSSLVNLLKLDLEKCPGIHGGLVHIKGLTKLECLNIKWCNCITDADMKPLSGLTNLKSLQISCSKVTDFGITYLKGLQKLSILNLEGCPVTASCLDSLSALASLLYLNLSRCNLSDDGCEKFSQLGNLKVVNLGFNDISDSCLVHLKGLTNLESLNLDSCRIGDDGLVHLTGLQRLKCLELSDTEVGSNGLRHLSGLGNLESINLSFTIVTDGGLRKLSGLSSLKSLNLDARQITDAGLAALT >EOY25936 pep chromosome:Theobroma_cacao_20110822:6:2814031:2823679:1 gene:TCM_027303 transcript:EOY25936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein isoform 1 MLRKRDQLDNEDGLHRGVSGRYCKSGSSKWLTTTFTRPVVESQRGKGKCPSLMELCTYKIREDIDNYGSFSMLPRDLSQQIFNELVNSQCLTDVSLKAFRDCALQDLYLGEYPGVNDNWMDVISSQGSSLLSLDLSASDISDSGLIYLKDCANLQALNLNYCDQISDRGLQHISGLSDLTSLSFRRNSGITAQGMTAFSSLVNLLKLDLEKCPGIHGGLVHIKGLTKLECLNIKWCNCITDADMKPLSGLTNLKSLQISCSKVTDFGITYLKGLQKLSILNLEGCPVTASCLDSLSALASLLYLNLSRCNLSDDGCEKFSQLGNLKVVNLGFNDISDSCLVHLKGLTNLESLNLDSCRIGDDGLVHLTGLQRLKCLELSDTEVGSNGLRHLSGLGNLESINLSFTIVTDGGLRKLSGLSSLKSLNLDARQITDAGLAALTSLTGLTHLDLFGARITDSGTNYLRNFKNLRSLEICGGGLTDAGVKNIKDLSSLSLLNLSQNCNLTDKTLEMISGLTGLVSLNVSNSRITSAGLRHLKPLKNLRSLTLESCKVTANDIRKLQSADLPNLVNFRPE >EOY28261 pep chromosome:Theobroma_cacao_20110822:6:24774844:24776513:-1 gene:TCM_029885 transcript:EOY28261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCLQETTSSVSNLQSSPFYTSSNRAVKVPSSILGSNSCHFFTNPSSPPLFTISVVPVLDQWFRQGRKVEKTELQAMIKQLRKHTRFKDALDVSL >EOY27280 pep chromosome:Theobroma_cacao_20110822:6:21022491:21024002:-1 gene:TCM_029159 transcript:EOY27280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSATIISDPMVVSAAAPETQATAAKLVAQSEVEFAKCDCCGLTEECTPAYIERVRERYQGKWICGLCAEAVKDEIIRSERLISTEEAMARHMNFCKKFVSSGPPPDPTVHLISAMRSILRRSLDSPRGLRSTPTSPTRKVGEIRGPGLTRSESCFPTLSG >EOY27350 pep chromosome:Theobroma_cacao_20110822:6:21360016:21364451:-1 gene:TCM_029214 transcript:EOY27350 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase intermediate-associated protein 30 MSRFRGLWQASLNATKKALTWNVEDWIPPTEKYIFNFSSKEELKKWHLYSDSEFGGLSSASLEIKDEGNTSNGVFSGNLSLDVNEGSKWNITRSGFCGMRSKKFDGFIDLDAYDTIALKLKGDGRSYISTIYTENWVNSPGQLEDNSWQSFVFVPKDNWYIAKIPLAHYLPTWRGNVIDAKLEMNPSRILGMSLSVNAEGGVPGAKSGPGDFRVEIDWIKALRTE >EOY28063 pep chromosome:Theobroma_cacao_20110822:6:24121292:24125231:-1 gene:TCM_029742 transcript:EOY28063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MKNGRLPTIGPSIFSSANNQNFRVAPKNSFAPNHHNFLSNPTTTTLSAALQHFINSDTPFHGQKIHTHIIKSGFSPNTNVSIKLLILHSKSGCLKYASQMFDELPQQTLSAYNYLIGGYLKHGRVEESLGLVRRMVYAGEKPDGFTFSMILKASACGSNRVVLPRLLGRMAHAQMVKLDVEPDEVLYTALVDSYVKNGKVGCARTIFDMMLEKNVICSTALITGYMNNGLIEEAEDVFSKTIEKDVVVFNAMIESYSKSVETAKKGLEVFVDMQRLNFRPNISTFASVIGACSVLSALEIGQQVQGQLMKTEYFMHIKIGSALVDMHAKCGKIVDARRVFDYMPEKNVFTWTSMIDGYGKNGNPNEALELFHAMQECCVEPNYVTFLSALSACGHAGLLAKGREIFESMERDYSMKPKMEHYACMVDLLGRAGNLDQAWELVTRMPEKPNSDVWAALLSSCRLHGDVQMASIAADELFKLNADSRPGAFVGLSNAWAAAGKWDGVSELREMMKVRGILKETACSWVGTDGGY >EOY28204 pep chromosome:Theobroma_cacao_20110822:6:24551887:24554276:-1 gene:TCM_029836 transcript:EOY28204 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MQQDRGGGVSDQDMKQNQQQDRRLKPLTGENQQPQQQQQQQHPPQKCPRCESLNTKFCYYNNYSLSQPRYFCKNCRRYWTQGGTLRNVPVGGGCRKGKRTKTSSSGENSRSQPQIPQQQAQQQNLTTPQSMISSNPMIGASTALRTKESGSLASSAAISSMGSYYPGGGFLSSLAAIQSMNQPQPFNQPRNQALSIGGDLGGSSNLGLLQGYSVPSFGSQQHQPIQQTQFFHMGNREKTGNMYPADQEGLIQSSRPAAGSSQQNWHQSFINSSNPTVSEGGLWSINNNSSSTSTAGNTIPSNTSGASSLNPNQWPDLPGYGAPP >EOY26356 pep chromosome:Theobroma_cacao_20110822:6:7932966:7935183:1 gene:TCM_027860 transcript:EOY26356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMLFDSVMVHSLELLEKALRFHKHYDVAIRGLQGIFEELDVVKIKDNKNRNTNVFTSKNVIEDVASNNHESHPFSHNIIFLDPSHVTMKGCP >EOY27780 pep chromosome:Theobroma_cacao_20110822:6:23104707:23109307:-1 gene:TCM_029540 transcript:EOY27780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease 10 MHTSPCASNRKESSGGKDDGFVRLTVGQFARPFHAAQPIKPRASIYSPEVQLEIMGQEHTDANSVEQTNYGSSQSSTITRHRSYKWWLQMAIYTIFCLAGQSVATLLGKLYYDKGGNSKWMETFVQPAGFPVLIPIYLLSSAYKTLKEDSTRNIKSPPLLVLAAMYAALGILLAADCLLYSLGLLYLPVSTYSLICASQLAFNALFSFFLNSQKFTPLIINSLVLLTISSTLLVFQNDSSGSTSVSKRKYAIGFVCTVAASAGYGLMLSLTQLCFQKVLKKQTFKIVLDMIIYQSAVATLIILVGLFASGEWKGLDREMKAFELGKVSYVMVLVWTAITWQVFAIGSVGLIFEVSALFSNVISTLGLPIVPVLAVIFFHETMTGIKAIAMVLAIWGFVSYVYQHYLDDRKS >EOY27274 pep chromosome:Theobroma_cacao_20110822:6:21000341:21012665:-1 gene:TCM_029157 transcript:EOY27274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation calcium exchanger 4 MRNTCIYSCKNPKFHGIFNGLSAVVLFSLFYTRVDFLRNPHIGQSSLLVNHNLTQRTGFADGFDHVSVIHRKISELDANSSNLAVRNGQENELNVNLSNPALCTGLFDHKGFANQCEFLKANPHCSSDGFFDYIKFFYCGCGEFKIVGYVILGFWLAALFYLLGNTAADYFCCSLEKLSHLLRLPPTVAGVALLPLGNGAPDVFASIAAFLGTDTGEVGLNSVLGGAVFVTCVVVGTVSLCVAEKGVQIDKRCFIRDICFFLFTLMSLMMILVIGKVSVWGTIVFVLIYVIYAFSVAANEILRKHARRLKLDVVTPLLPVRGSLFSQGSEEDVFVYSSLLDVDTEDDPPQLPSSLPQWMWASNVAIYSNHFMKVSSFEEERPPWGWTEEGMETNDSSFSCSKLFSLLELPLRVPRRLTIPLVEQESWSKPYAVASASLAPVLLAFLWNSQGDVGSLGRVVAWFIGVAVGCTLGILAYQHTISDHPPRRFLIPWVLGGFFMSIVWFYMIANELVALLVAFGVIFGINPSILGVTILAWGNSMGDLVSNVALAMNGGDGVQIALSGCYAGPMFNTLVGLGISMLLGAWSKSPSSYVVPQDSSLFYTMGFLMSGLIWALIVLPRNDMRPSKILGVGLIMLYLIFLSFRVSSAMGLISLGGDFLMDGSSEASPGATAVSPRSPPGSLDLYGKRTQMFKVQALEREIGLLQEELKSVEGLQPASRYCKEVDDFVGAKHDPLVTKTQNIHKAHHCWKNLWYSLLAPTLDSKCSRQPSKAICTNLEQGKELYLLFSLLLF >EOY26894 pep chromosome:Theobroma_cacao_20110822:6:18918612:18930685:-1 gene:TCM_028860 transcript:EOY26894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANVCCSIETEPRTLNQGQLNHAREMAADVAQKLEPREASAILIEGLITAAEIKEVELTDKEKEVECNQRAHITERPCQCSCNNVESPDTNNILNNLKEPVTAPF >EOY26232 pep chromosome:Theobroma_cacao_20110822:6:6239982:6247905:-1 gene:TCM_027692 transcript:EOY26232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDLNKNENNVEMRDEVEDFDGNLNSNSINLDENELSCHNFAAKNGDMGECAIKNYDGEIECLPCLNPQLLCLNKANLLNFGMDIISYANEPLTLGGNVNEHPNCPVIVAENDMRDHGLNRNLCTSDKELLDGVVDQGDDNLLETDSGVKRGKGKPRGNRKNVNHSKTYVGGMVLRSMEFINSKDDVNIISSLEREDHDSKDMVKLKTKAHPRGQSSGADPSDARPPMLHLPLKLTFEETSITSTITRIIKSNFSRPWPTWRQKSFTLAAVDATEVRSIWEKICKDYLMDSLGDECKKPTKDADGLNVNLLLTKGKQC >EOY27798 pep chromosome:Theobroma_cacao_20110822:6:23162054:23169576:-1 gene:TCM_029557 transcript:EOY27798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGKGGGGGGGGNNEIGKGNSGISGIPAGSRKMVLSLKEIVNCPEPEIYVMLKECNMDPNEAVNRLLSQDPFHEVKSKRDKKKESKDTVDSRSRGANNLGNRGGRSGPDRYIGRGGSTHYSAYESGPSHGKAAQKRENGTHAYAGSSSSASGMPGNNLNRRPPSHSEAVATEHKMSTVGLGDGISLSSQSSGYQSAWLGVPGQVSMADIVKKGRPQNKASAMPNPPHQSVNNRHLVVPPLAASHPNLHSPQDHASKVSDVTYEPDVTTNQHVPPSDEWPPIENPSAASVTSVLEAPADSGLYANASNLPLDRTNQHIKSQLEEAPAVDDGPLETLNANHVGSPSISSRNIQEDDSGGSSLFDNNLYKDMNSYQPQRHAFEHDEAEDGASSVAVNLQQLNLHNDDREPPPEEDNPSVIIPNHLQLHTPDCSHLSFGSFGSGIGSTFSAPFASRSLKNNLDEAPEATDASSIGHSDNRNPEYYGDEHLRNNTEGNIINRSNVSTGNYEAPEDSRPEVLKQDASEAAQVSQYTFPSSAAGYSYENSQQLNPAFTHPQTSSQMQSLTPFSSVMQAYTNSLPSTLLTSTVQTAREPDLPYSPFPVTQSMPTKYSNTASSISGPTISMPEALRAGSISAAQPTPQTLPGASVATGPALPQHLPMHPFSQPTLPLGHFANMIGYPFLPQSYTYMPSAFQQAFAGNSTYPQSLAAVLPQYKNSVSVSSLPQSAAVASAYGFGSSTSIPGGLPLNPPTAPTGTTIGYDDVLSSQYKDSNHLMSLQQNENSAMWIHGPGSRTMSAVPASTYYSFQGQNQQAGGFRQGQQPSQHFGALGYPNFYHSQTGVSMDHQQQNPRDGSLSGTQGQPSKQTQQLWQNSY >EOY26615 pep chromosome:Theobroma_cacao_20110822:6:15420036:15422435:1 gene:TCM_028490 transcript:EOY26615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIYLLQVLKPPVCVIEKIERLFYSFLWGGSTVNKRIHWTSWHNITFPKSEGGLDIRSLKDILNTFTAKLWWQFDTCKSLWARYMRLKYCTNHIHHNITPKPHDSTTWKRLIDERVAVGQQIR >EOY28068 pep chromosome:Theobroma_cacao_20110822:6:24145500:24146646:-1 gene:TCM_029746 transcript:EOY28068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTAVSLQGGDVDLTLHWNQPERKGSQSRPLIEKRNLNKSIEDLRQYLNGEAKKNQNGQVERTSPGGPDPQHHFKNY >EOY26610 pep chromosome:Theobroma_cacao_20110822:6:15228529:15231606:1 gene:TCM_028478 transcript:EOY26610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVELVKSGLLRTNLVRCVLRRPWGVQWSAQVTILKFFLEWNNLIQNGDVSIWRSTFFAVIWTIWLMRNEIVFQGKIQDGSSMAKSGLVFKKDNQCPEWKPLSNGFLKFNVDGAARKDTSRAGIGGVLRDKEQVIRIRFSIAVMVENANMVEVIVIKEVFRIFASSSWNPFQLSPLPSRCSLGSSKRWEVGGGEWGC >EOY26584 pep chromosome:Theobroma_cacao_20110822:6:14582745:14586410:-1 gene:TCM_028421 transcript:EOY26584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISPMSANIVETAMAMGIRWRGPLGCYYVEAGPQVDYYDYLLDSDISLGFQTWLLSHGEKYKFFRSSFIYTMILCLIPRG >EOY28619 pep chromosome:Theobroma_cacao_20110822:6:26057052:26057710:1 gene:TCM_030170 transcript:EOY28619 gene_biotype:protein_coding transcript_biotype:protein_coding description:NTF2-like MEEQVDLVGKAFVDHYYHLFDNDRPALSSLYQPTSMLTFEGQKIQGVDDIAAKLNQLPFDQCRHEISTIDSQPCSFTGGIVVFVSGSLQLPGEEHHLRFSQMFHLIPMLQGSFFVQNDIFRLNYG >EOY27224 pep chromosome:Theobroma_cacao_20110822:6:20759448:20763046:1 gene:TCM_029116 transcript:EOY27224 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MAGNLSYSTLLSQTYLLFPSHNPRRTLNSSFFVNIEKPALTHCSAKKKIGFVDQILDYIEGGPKLRKWYGAPELLPKDGSVVDEEEDYPEDEVRDAVLVTDGDSDIGQMVILSLIVKRTRVKAIVKDKRTASEAFGTYVQSISGDTSNKIFVKKALRGVRAIICPNEGFLSSVESLKGVEHIVLLSQLSIYRASSGIQALMTNNSRKLAKKDESVLMASGIPYTIIRAGMLQNTPGATQGFNFEEGRAANGNLSMEDGASICVEALEVVPQTRFTFEVVNGGEKVSDWKECLTRLMEKAEQQLP >EOY27115 pep chromosome:Theobroma_cacao_20110822:6:20198673:20200692:-1 gene:TCM_029040 transcript:EOY27115 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein MHTDIEEYTSNFGLYANMKALDHLPPLAGRLATIEHDNDPFPFPLTALMLGLALSMQQLMMSVSINHTVIDGASFFHFFSSWLETARGSSCLSKQPIFQRWFLNATDCPIRIPHSYINHIDKNEFSPSVPVKVRVFHFTKEVIAILKEKANAEVGTHEISSLQALSSHFWQSVVRNKKNIDPDEATSYCLLIGSRQRLQELSEGYFGNALEVGIVTMKAKELLDHGLGNAAWEMNKVVASCNMKKLSNFLESWTKCPKIKKMSNMVRNAIGTSDSPRFDIYGGDTGLGRPVAIRSGPANKFDGRITVHCGVEEGSIDIEVCLSLETFQAMEKDKEFMDTVSIKSNWPSKTFKG >EOY27935 pep chromosome:Theobroma_cacao_20110822:6:23655981:23656305:-1 gene:TCM_029645 transcript:EOY27935 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-cell lymphoma 6 protein, putative MARFSYLVLIFLVISASFVPQMESRKLLNRGDSNKNVPSLFASLVLSALPKGTVPASAPSKKGHATLDNEKLFARHLAGIDRILQSVPSPGAGH >EOY26389 pep chromosome:Theobroma_cacao_20110822:6:8962362:8970866:-1 gene:TCM_027937 transcript:EOY26389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARPRVDIRGCDHMISPMLASIIEIAMAMEIRWRGVSQIAITWKKVHGLIIYDYLFESLESFLTCTLLHSGDILFFHSSPI >EOY28510 pep chromosome:Theobroma_cacao_20110822:6:25580263:25580896:1 gene:TCM_030053 transcript:EOY28510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCHISRHWLLPDDNLHPLYDDMLVDYKPEIRHAQGELLYDHLIAQKFNSFQSGPKGNDSQIHLGRMRQILRKHSTN >EOY27593 pep chromosome:Theobroma_cacao_20110822:6:22506214:22510481:-1 gene:TCM_029414 transcript:EOY27593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein MKFPLRPLYAFSLSLPSLAFSLCAPQTQISKIKKKKQRKRKMENQKPLQNGNKVDDNLLAVDNRDRDGDGSALIFLGTGCSSAVPNAMCLIQPSDPPCHVCFQSLSIPPDRNPNYRCNTSLLIDYCSSNGKHNYVIIDVGKTFREQVLRWFTFHKIPRIDSIILTHEHADAVLGLDDIRAVQPHSPTNDIDPTAIFLTQYAMDSIATKFPYLVQKKLREGQELRRVAQLDWRIIEEQYDRPFFASGLKFVPLPVMHGEDYICLGFLFGEKCKVAYISDVSRFPSNTEYVISKNGAGQLDLLILDCLYKRGSHNVHLCLPQTLEALKRICPKQALLIGMTHEFDHEKDNEFLMEWSEREGIHVQLARDGLRVPIDL >EOY26502 pep chromosome:Theobroma_cacao_20110822:6:13273094:13282055:1 gene:TCM_028286 transcript:EOY26502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Partner of SLD five 1 MHGRKAYELVKEFASGEKGHLKIFNVEEGSNVNFWLVLSGCITRQRNLCKRRLNPVLAMSPCSVILHTDESGKFPESRKSSSSGAHCIVVPVIFYTPGMMKCLRGVLFLPFIWNELFERVIEECNEHHNALQSLIRKMQEEGLEVQTARTADHYGALIHHLSLIRNKRCLMAYVYNRAEIIRDLAWKVGLLHELPREIQEKFSDSEEQYFKDHSKSLKLYMSQLSLDVNVDMVPPKDPYIKVRVLEDLGSGIILSDKFANFARHSMHFLKRTDAEQYIARGLMEELTS >EOY28608 pep chromosome:Theobroma_cacao_20110822:6:26031424:26032547:1 gene:TCM_030159 transcript:EOY28608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin, putative MDVTFECQRGRSFSIEVGFFDTVLEIKEKVQKYQGIPISRQTLVFNGQVLQDERDVEYCEILQNSSIQLLVAPEADKPQVVKTEKSSPSKKLQLKINIPSSKASIPLEMDVNDTVLRLKEKIREMEPVPVNRLVVQSSGAELQDHLSLRDCELPDNTEIDVNIKPSPTGSGTGSAGATTGTKRLLKVMVLPKCGTKKIPVEVNASDNVAELRKELQKLQQRLQFHLPQEGYFFIYKQNVMDDDRSFRWHHVGQGDTIEIFNGSVTGGS >EOY28894 pep chromosome:Theobroma_cacao_20110822:6:26815161:26818132:1 gene:TCM_030370 transcript:EOY28894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol transporter 4 MVEGGVVKADTTEFSECWKTTWRTPYIMRLALSAGIGGLLFGYDTGVISGALLYIREDFQEVDRKTWLQEVIVSMAVAGAIFGAAFGGWMNDRFGRKLSILVADALFFVGAIVMALAPAPWMIILGRIFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLAFTKAPGTWRWMLGVAGAPAVVQFVLMLSLPESPRWLYRRDKVEEARSILEKIYPANEVEDELKALKLSVDAEKADEQAIGDNFIAKLKGALGNAVVRRGLYAGVTVQVAQQFSGINTVMYYSPTIVQFAGFASNKTAMALSLVTSGLNAVGSIVSMAFVDRYGRRRLMIVSMFGIISCLVVLSVVFFQAASHAPKINQFDSTHFATNATCPSYLSAPTPSSWNCMSCLKADCGFCANGANEYSPGACLAMTTDLENSCQGKHRTWFKDGCPSKFGFLAVVFLGLYIITYSPGMGTVPWIVNSEIYPLKYRGFGGGVAAVFNWVCNLIVSLTFLTLTKALGSSGTFLLFAGYCVIGLIFIYWFVPETKGLQFEEVEKMLESGYKPKAFRRKSKSDKQSP >EOY26845 pep chromosome:Theobroma_cacao_20110822:6:18715979:18720468:-1 gene:TCM_028827 transcript:EOY26845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 2 MMAGNSSWWSMHPPSQQSSALLTPSPSFFPPQYVLGSSTLPPNSLADNQELPQSWSQLLLGGLSGEEERFGPSHFQTKKLENWENQILNPSPRVPVVDVKQEVTQNSNLYGHGDEEFQASKPPAAVVAWSHIMPVSSPRSCITSLSSSNILDFSYNKAADGTNTQPLDHSSECNSTATGGVCKKARVQPSSSQPPLKVRKEKLGDRVTTLHQLVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYLGTASTNMRNQQSVILI >EOY26844 pep chromosome:Theobroma_cacao_20110822:6:18715618:18720228:-1 gene:TCM_028827 transcript:EOY26844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 2 MMAGNSSWWSMHPPSQQSSALLTPSPSFFPPQYVLGSSTLPPNSLADNQELPQSWSQLLLGGLSGEEERFGPSHFQTKKLENWENQILNPSPRVPVVDVKQEVTQNSNLYGHGDEEFQASKPPAAVVAWSHIMPVSSPRSCITSLSSSNILDFSYNKAADGTNTQPLDHSSECNSTATGGVCKKARVQPSSSQPPLKVRKEKLGDRVTTLHQLVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYLGTASTNMRNQQSVQRERNCAFPEDQGQDIQDKPKDLRSRGLCLVPVSCTQQVGSDNGADYWAPAFGGGF >EOY28203 pep chromosome:Theobroma_cacao_20110822:6:24544014:24545954:1 gene:TCM_029835 transcript:EOY28203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSSTMQSKPHTYFLLVVATSGNPKLIFMQLVELKGALDNGLTMLLMVVESSLGKKNGTAAAGRLGLPPCPIAFIDSL >EOY26070 pep chromosome:Theobroma_cacao_20110822:6:4225636:4228463:-1 gene:TCM_027462 transcript:EOY26070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MNKRAIFPKPSINKRNNKPKSLCPFCCSSSKFSSSSSPTPQRYTLSTCIASIQSCFHQKNLTGGKQLHAYMLRNGFLQASPASLTSLINMYSKCNQMTHALSLFQTTIQNPNIFSFNAIISGFVTNEDPLKGLNFYREMRVLGVLPDKYTFPCLLKGCCDIMEVLEVRKIHGLVFKLSLDLDLYVGSGLVKCYLKFLFTEDAEKVFDELLVRDVVLWNAMVNGYAQVGRFDEALGMFRKMCLEGVEMSSFTVTGVLSVFAMIGDFENGRAVHGVVMKMGYDSSITVSNALIDMYGKCKFVGEALEIFKMMAERDIFSWNSIMSVHVQCGDHDETLRLFGRMLRDGIRPDLITLTTVFPSCTQMAALMHGRVIHGYMIINGLSKDGNSEDIGDVLINNGIMDMYAKCGSMREAYLVFDKMSHKDVASWNILIMGYGMHGFGSEALDMFSLMCESDFKPDDVTFVGVLSACSHGGFVSLGRQILGQMKSRYGVVPTIEHYTCVVDMLGRAGQLEEAYQLALTSPTEANAVVWRALLAACRLHGNSDMAEVAAKHVFQLEPEHCGSYVLMSNVYVAAGKYEEVLDVRNMMRQQNVRKLPGCSWIELKNGVHAFINGDCTHPGSSSIYDGLHSLTALLHEHDYVPDL >EOY27406 pep chromosome:Theobroma_cacao_20110822:6:21573532:21575051:-1 gene:TCM_029257 transcript:EOY27406 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL3, putative MDKPGFDESTAVAITGKIMVIAIIVLFLVVVFVLFLHLYAKWFWWRIEEPTPPPSRRNRRRFVFAPGQDTAHPLRATKGLDPTILASLPVLMFRQEEFKDGLECAVCLSELVEGEKARLLPKCNHGFHVDCIDMWFQSHSTCPLCRNPVAAVEVENSGSVTSGDEVNANVNGHVQSPQDGLASGHSADSPSFPTNVLFWGNQTQVSSGGACLEEGASASASVSGSGSFASSSLASGSGRQEGMLVIDVPMNVNENFPEEESKSPMPTRLRSLKRLLSREKRVAPSSSGSSSVDV >EOY28096 pep chromosome:Theobroma_cacao_20110822:6:24219831:24226785:1 gene:TCM_029764 transcript:EOY28096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate-gated kainate-type ion channel receptor subunit GluR5, putative MGKFSSVKTGNSLLLPLPSTASEDLALRVFKKRSPIATDISKAILNLNEGGILKAMEEIKFAYSTEVWHSLAGPSSIASGQEKYRSNTSPRNNSAWNKAVRLARFIYQGQEINLARAATLPRSPDVVGRSSSRWKYSSPDTAENHEEASAVGEVEMLYIPVAISYTFYVFFNNMNKTFCYDVEAQKPSCIIFANRVSKLWLFFSVFISLLLVFSYGEETTKDDKVTNIGAIIDVDSRIGREEKTALEIAVQSFNDNDSNNHKLSLHIQDSRRDPLVAATAAQKLIKEKEVKVIIGMETWEEAALVADIGSRAQVPVLSFAAPAITPPLAASRWPFLVRMASGDSEQMKCIAAIISSFNWKRVIVIYEDDAFGGDSGKLALLSEALQDVGSEIDYRLVLPPYSSLSNPNKVVQEGLMKLLNIQSRVFIVLQSSLSMTIHLFDNAKKIGLLGRHSAWIVTDTISSYLDSFSSSVISSMEGTLGIKTYYSEDSSLYKKFYPQFRKSFRNEYPEEDNFQPGINALRAYDSIGIITQAMERMKIDDNSPKTLLENIFSSNSTGLSGEIRFEERKPAHDPILRIVNVVGKKYKELDFWLPEIGLSKNYEKNNETGYLGDISVDLAGRVTWPADLKLVPKGWAMPTNMKPMIIGVPARTSFEKFVKVEDGKYPGEKHYDGFCIKLFYEILGVLEYALPYKFDPHNGTYDELVHKVYNKTYDAAVGDITILATRTKYVEFTQPYAESGLSMIVPAKPEGSAWIFLKPFTTEMWLVTGCILIYTMLIVWFLEHQSNPEFRGPWNNQLGTALWFTFSSLFFAHREKINSNLTRVVVVIWLFVVLILNSSYTASLTSMLTVQRLVPNITDIESLKGANMKIGCDGDSFVRTYLEEVLEFRSNNIENVSSEYSYEGEFKSSHIAAAFLELPYGKVFLSEYCKQFTTTTPTFRFGGLGFVFQKGSPMAADFSRAILKLSEDGTLKKLEEKWFAPSLVCSADVTDDSRTDSLSLHSFWGLYLISGATSTFCFLLFLAHLLRKYGSQEDHAGNLSLVDESVWIRAIRVARYLYHGEVCIQGEASPAPLAPDINEWNSRRWDYESPTDTNMENLEVTSQEKAEIEMM >EOY25675 pep chromosome:Theobroma_cacao_20110822:6:1147440:1151427:1 gene:TCM_027059 transcript:EOY25675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRMGDYESIQGYADKVLNNVNRLRLLGENVFEKRIVNKLLVSLPEKFEGKISSLEDSKDLGLIYVNKLLNALQAQEQRRALRQDAYVEGAFLARNIEKNLVENIRRNQELIRRIKERKVVIRNKEGHVEKVCKNRGVKIEEKAAVVEQKDQAEDEDTSCTVVDPTSEKLFTVGTRNKCFALNWMKVFFLKLKSDSLSSFIKFKLQVENQTESTIKKLRTDIGTEYTSRKFEEYLSRYGIVHQLKITYSPQQNGISERKNRTLMEMARCLLFEKSLPKFFWTETVNTANYLLNIPKTKALVNKTPYETCEVSKGYRPLDIKTVKFFVSKNVVFDENIRWNWDTNSVENAQGQEIVGDYFTESEDNGDLYENINKILVDKPDKQKVIGVKWMYRTKLNSDGSINKHKVRLVVKGFSPTYGKDFCETFAPVAKHDTIKLMVALATRENWHIWHLDFKSAFLNGTIKEDIYVEQPEGLIEPGSEDKIEQKVATPVAFGSKFSKDDGGAEADETLYRKLVGSLLYLFASGPDIINVKQELQGYSNRDWVGNVDDSKSISGYCFSFGSALFAWNSKKQEIVTQSFAKAKYMAAAATMNQAL >EOY28180 pep chromosome:Theobroma_cacao_20110822:6:24476672:24477863:1 gene:TCM_029820 transcript:EOY28180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erf domain protein 9, putative MAPREKTAVVKGNGNVNGNSKEVHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDTAAREFRGAKAKTNFPLPCENLNNGNNCNDNDNNNNSNNQSPSQSSTVESSSREPSLMIYSSPFDLNLGHAAVAGFETPAVRFPFQQVSPVTGVFAAGLPAVASPQLFYFDSFVRPGAVKGQQYQRMRFDHNDFHATFNGGVQSDSDSSSVVDLNHHEIKQRPLLNIDLNQPALPEIA >EOY26577 pep chromosome:Theobroma_cacao_20110822:6:14493946:14524810:-1 gene:TCM_028409 transcript:EOY26577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSDTIVTRQVLAFANGCVKEVKEFDSFVVPKRTRMFDFVLSKVNNITAAMSISREEIELREYDNIDTLLVVSKDKWAFKVSINTDFLSTRGDSYFLKISFPIRSEGRCLPTYMQMLLAHETLETTPDEARREYWVDIEGGASSHHDGEHSHDAVDGQDDEPSVDSENIDHGIVGAEGDNVTHVDDVVDDVVARDVILESVDVEGDHLPQADVVVEAAAEGNGNLESVQAEGNHDF >EOY28441 pep chromosome:Theobroma_cacao_20110822:6:25362448:25364630:-1 gene:TCM_030007 transcript:EOY28441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLRYAGRVFYQAGMRMMQGMKDQASKCESNLRSLKDSASSASSSSSSKQSWRFSNSLDSGAFKAAKNERLKQAEESLRTVIPRKLQKLTNTKARFSPGKLYIIRGCSSQGEGEKKTERRSFLTLEEAGLVEISGLSTHERFLCRLTISSLNLLRVISEQEGCSIEELNAGRVTRFIIRNGSSDHGLGS >EOY29039 pep chromosome:Theobroma_cacao_20110822:6:27169107:27172821:-1 gene:TCM_030467 transcript:EOY29039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphofructokinase 2 MVLSQPEASILTAVSYRQQLEQVYGSASDDAFSFSPTITLQKLPHLSEYTNHLQPAGPNPLDRNPFFHPTDGFYITPSDVILRQVVYDLSPSRTLPSPSHGRYLAYHRAGPRNQIFFDPCTTRAAIVTCGGLCPGMNTVIRELVVGLWDLYGVRQIYGIKAGYRGFYSTHPIELNPKLVRNWHKRGGTVLETSRGGFDLTRIVDAIEHRGFNQVYIIGGDGTMRGAVKIFEEIRRRKLRVGVAGIPKTVDNDVGIIDRSFGFQTAVEMAQQAINAAHVEAESAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPETEFYLEAKGGLFEFLEQRLKERGHAVLVVAEGAGQDLIPRSDAQKEERDESGNLVFLDVGAWLNSELKKWWARDHPDELFTVKYIDPTYMIRAVPANATDNLYCTLLAHSAIHGVMGGYTGFVSGPINGNYAYIPLTDVAQAKNEVNTKDHKWAWVRSVTNQPDFVKPG >EOY25681 pep chromosome:Theobroma_cacao_20110822:6:1178406:1193209:1 gene:TCM_027065 transcript:EOY25681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 15, putative MMESKWLWILFTVLLLEGWLCTDACWEHERIALLQLKPFFSPYIDLNNWVEVKGSDCCQWTRVECNTTTRRVIGLSLDFTRRRNDQYWYLNASLFLPFKELKSLSLEANGIAGFVENEGFESLSGLHNLEVLDLSGNSLKNDILVHMGSLSSLKTLDLGSNKLKGTVHLQGNETQLKLTNLEVLDLSYNLFSNNTFAFLPELSSLKTLYMWSNQLQGSIDIAGLNNLINLKKLELSWNKIESLQSFQDNGRQLKLTHLEELDLSGNLFNNSIFASLKGLSNLKSLRINYNKLKGSIDMKGWCDLRNLKQLDISVNALQGLPSCLGNLTSLRVLDISDNQFTGNLTPLANLTSLKFLSISRNHFQVPLSFISLANLTDLKILLSDENKLDYIWMGITLLERYLILIVLMLGFLILSDIDISNNNLSGKLPRWIWNMSNLNSLALSNNHFEGSIPMELCNSGELLFLDLSQNNLSGSIPSCFNPPHIRHVHLSGNRLSGPLTGSLYNSSSLVTLDLTANNLAGNIPEWIDTLSALSVLLLKANHLEGRIPVQLCKLYSLSIIDLSQNKLSGPIPSCLGNLTSGSSFYKSSTYGGYYSFSDQDIRKYIGMETITDLSVPSHLYPSGYMEEWIEFTTKRGSYRYGGDILDYMSGIDLSCNKLTGQIPFELGNLSEIHSLNFSHNKLIGIIPSSFSNLKQIESLDLSYNNLSGTIPVQLVELNFLEVFSVAHNDLSGKTPERKAQFGTFDESSYEGNPLLCGPPLHNNCSNTDSPPTVSTESDDEGEDNLLDMSAFCVSFLIAFVAVLLGIFSSLCINPYWRKTWFSFIEDCITTCRFSIVGNVFELYIFRRNA >EOY27070 pep chromosome:Theobroma_cacao_20110822:6:19952304:19953551:1 gene:TCM_029006 transcript:EOY27070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic pyrophosphatase 2 MMKELHSQGTKIEDIKAVLKRTPIHPRIIQAIKSAYALGCDLKIVSDANAFFIETILKHHGLREYFSEINTNPGFVDEEGRLRIFPHHDFTQSPHGCHHPCPPNMCKGTVIERIQASMSTEGKKTIIYLGDGVGDFCPSLKLGDGDYVMPRKNFPVWDLICENRRLIKAEVCEWSNGDEFEHVLLHLISRISIDRNNSGNSTAQLYSVDCKPQTMPGAAHEKPFFHALYVPH >EOY29014 pep chromosome:Theobroma_cacao_20110822:6:27117562:27121925:-1 gene:TCM_030455 transcript:EOY29014 gene_biotype:protein_coding transcript_biotype:protein_coding description:D6 protein kinase like 2 MASKSGAKISPKQQLKTSSVQSGEADEPKPLPSKVSKTNKSELVTPEHLLKLVETAPKKVVAEIYENKRSPILNQNGSVESLTTKFESSSSSPCVEQASKEVDSSINETRDSPSVSVDQEKKTSEYGSVKNSSVSAKVSDGTSTLAKTSGSAKISDRLDYVESGKSSMCRGSTSSDVSDESTCSSFSSSINKPHKANDLRWEAIQAVRARDGVLGLSRFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHTLRQKQPGKHFPEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKTSSLESEPLRKNPVYCVQPACIEPSCIQPSCVAPTTCFSPRLFSSKSKKDRKPKNEIGNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLYGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKPVELERVPAPPASTSEKVAANVAAAYDQKGSDNYLEFDFF >EOY25682 pep chromosome:Theobroma_cacao_20110822:6:1207268:1207872:1 gene:TCM_027068 transcript:EOY25682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLVVLLVSSSFYFCTSFYLSWFRLFQFVLSLCFPPCCEGQSIAAYGMLGAKELRLLGGDNVC >EOY26012 pep chromosome:Theobroma_cacao_20110822:6:3618943:3621082:1 gene:TCM_027403 transcript:EOY26012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein, putative MAWLIRPTRKTISSPYLKALLLHQHLQPTSLFTTRNYISDMRKAAFQGNILRLLRNEIQYEHERCPPKQPITGFNSFIVDDRPGEQWIRLKRKFGEKEDIRIEATMFDGSIPVSDSERVGDNVQLHITFIVNISKGDDNNVLEIMCSAWPDAIVIKKLFVRGRNRTPAHPYIGPEFKELDDEMQDALYEFLEERGINDELAIFLHEYMKNKDKTEFIRWLGIVKSFIEKK >EOY27527 pep chromosome:Theobroma_cacao_20110822:6:22267312:22269328:-1 gene:TCM_029365 transcript:EOY27527 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative MGTISNRLIIICSMCQILAVLSSPGICSAANVSAVFVFGDSLVEAGNNYYINTIAKPGYPNGIDFAKGSPSGRYTNARTVADIIEEELGFENYSPPYLAPNTTGDVILKGVNYASSGAGILTITGSIFGERVCMDKQVSYFAKTRQDIILRLGATAGRILLREALYLLAIGANDILFQQISTTQDITQYLDDVLSKFKSQLITLYNLDARKIIVTGSPPVGCIPFERDVRSSPDSCVSSMNDLAKLYNSRLKSLLQKLTTNLPGSTFVYVDNFAILEDIMDNYRSYGFESVDSACCRVIGRRGGLVPCGSLSRVCPDRTKFVFWDPFHPTESANLIGAKHALDGGLQYVSPMNIRQLANA >EOY28642 pep chromosome:Theobroma_cacao_20110822:6:26123432:26126866:1 gene:TCM_046853 transcript:EOY28642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 46, putative MDISMLYIGSFLLQILFMLPLSISSELQTLKQTLGNPSSSFPPNFLFGTASSAYQYEGGYLSDGKGLNNWDVYTHKPENVIDGSNGDVAVDHYNRYMEDIDLMVSLGVNSYRFSISWARILPKGKFGEVNPAGINFYNKLIDALLLKGIEPFVTLTHVDLPQEIEDRYGSWLSPESQEDFAYFAEVCFRSFGDRVKYWVTFNEPNYQVKFGYRTGTFPPSRCSWPFGNCTIGDSDKEPFIAAHNIILSHAAAVHIYRTKYQAKQGGSIGIVLNCAWFEPISNSLADKLAAERAQSFSINWFLDPIIFGRYPIEMQNILGSILPEFSITEKEKLQKGLDFIGINHYSSSYVQDCMFSACEPGTGTSKTEGFWRQTSQKNGIPIGESTDLDWLYVYPGGMEKIVTYLKKRYHNIPMIITENGYGEEGKANATIEDFLQDVKRAEYMAGYLDALSTAIRKGADVRGYFAWSLLDNFEWQYGYTRRFGLHHVDYKTLKRTPKFSASWYKEFIAVQGKVKYQTTERVQKHPYYY >EOY27015 pep chromosome:Theobroma_cacao_20110822:6:19752525:19755496:-1 gene:TCM_028971 transcript:EOY27015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYSGIVYHLMENLLSLDMHGTSNSFPGVMLSTNNIEEVRGPTVHKDFQLLRSDGWDLYVMELLRWPKGIVGEFVLMESSTSNQDALVPSYIQDLMKMIQVSQERMQTLEDNNRRMMDIIFQLASSTVTTFQAQLVHLNESAPVVTNTKGNERNGKNAIVWMFGEKFFFSSQEKVNLLDLGG >EOY26605 pep chromosome:Theobroma_cacao_20110822:6:14900263:14907784:1 gene:TCM_028455 transcript:EOY26605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein, putative MPPRRERPLPTRSARRGRGRLRQGQPDLRGEESTVSPFRATLAAEPVEIPPPPTGIPAVSSEVIQAMAAFFTAMAGQAQTSQVPPVVPPVTPSVPLAHDVSISKKLKEARQLGCVSFVGELDATAAKDWINQVSETLSDMRLEDEMKLIVATRLLEKRARTWWNSVKSRSTILLTWSDFLREFDSQYYTHFHQKEKKREFLSLKQGNLTIEEYETQFNELLSYVPDLLANENRRMRAELAKRKNLNMSSSQPLKRSKGSFVSGSAPSVSVTSSRPSFSQMQQRPPRFSGSAVTTSEKSFGGFDRCRECGRFHGGVCWGPLRCFHCGQTGHFRTNCPQLGQATVAALSSSTRTDLQMRDSSGAQPRQGVAIRPDVESNTPVYPPSKPLTRASTKVFTVMEDEARVQPRESE >EOY28736 pep chromosome:Theobroma_cacao_20110822:6:26391581:26392094:1 gene:TCM_030251 transcript:EOY28736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNAARFSLLVLVCALLCAFTEARKLVEAHYALGEEKELFPFVPDFGSGLGWPGAGAGAAGAGGGGGGGGGGGGGGKGGAGAGFGGGAGFGSGKGGGGGGGGGGGGGGGSLGGGYGGGFGAGFGGGIGSGQP >EOY28859 pep chromosome:Theobroma_cacao_20110822:6:26724569:26728475:-1 gene:TCM_030339 transcript:EOY28859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxylesterases MQPMLLKKPTVLLAVTLGSTIIFVLFFQPSRLSSSRKPDSMAARSFVLWLHGLGDSGPANEPIKTLFRSPEFRNTKWSFPSARENAVTCNYGMRMPSWFDIHEIPVTADSPKDESDLLKAVQNVHTMIDKEIAAGTDPNNVFVCGFSQGGALTLASVLLYPKKLGGGAVFSGWVPFNSSMIKKFPEDAKKTPILWSHGMADRTVLFEAGQAGPPFLEQAGVSCEFKAYPGLGHSISNEELQFLESWIKTRLQSSS >EOY26050 pep chromosome:Theobroma_cacao_20110822:6:3841354:3847778:1 gene:TCM_027432 transcript:EOY26050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHPALTLPHQQQTNQLLLLLTGGQEPAFNSPNRRPNNPAFTSPNRRQKPAFNSPNRRQTTQLLLLLTGGKKPAFLWCRASRRSTLSSSVRCSFPSRQIFAAAAPFLSGAAPAAAPLSLPPFDAPSSCAGSRMPLPLFSIFLPNSLSLFFWFSGCFSLLRKLPPCCRFSPSHLYPISGLWRGRSTTALSLGTQGQVPLTCQTRIFRVWQVREVPGRVRPHPASPASCVALMMEAQVSNALPSDFRVTRPANLYLLEFECWLETLIGCREAEFALVSKHSDVHCLVLRILNVSESCW >EOY27552 pep chromosome:Theobroma_cacao_20110822:6:22372874:22377278:1 gene:TCM_029389 transcript:EOY27552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVHHQVILQLQSLTHQQKNFLASHGYLRFCSLSKVRPSFQLQSVTSQQKKYLRVASSLSKYITRSGSIQQFPLKYGHSYWTLKDAKGTQKFSDFMYLFQRRISMEGLAGRQLLAKGRWRQF >EOY28114 pep chromosome:Theobroma_cacao_20110822:6:24264167:24265846:-1 gene:TCM_029773 transcript:EOY28114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene responsive element binding factor 1 MTSHTEEKAWKESMVQISHSENQLPFNENDSQDMFIYQVLNEANPHAVAINNFHQFHVPPRNQSSATNMLEPSRTIAKKHYRGVRRRPWGKYAAEIRDSTRNGARVWLGTFVTAEEAALAYDRAAFRMRGAKALLNFPAEVVAASSVQRLRPNLSSTSSEKTNPDSGSSCSTLSISESESSTTG >EOY27496 pep chromosome:Theobroma_cacao_20110822:6:22083494:22094363:1 gene:TCM_029332 transcript:EOY27496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRHVNPIITQMKQHSEEVAKQDKALSYIHSAVTDSIFTRIMAYKAPKEAWDKLREEFHGSDKIRQIQVLNLLGEFEVLKIRKDETIKDYSDKVLKVANQLRLLGEVLSERKDLTELVNALQAYEQRRAMRHEDSVENALTARTRELRVNSSGPKRPNVKCRSCNQMGHVERVCKTKNAQTDEKAAGMEQEETEAEHLFMATSVDENRVNNIWLIDNGCSNHMTGDVRNFTFLDKSFRSKVEIGSGVYLKILGTGTVAVEHHQLISFDKMVEGIPVVTASDHLCATCQFGKQSRFSFPKSSDFRATEKLQLVHSDLGGPMQTISLSGSAYYMIFIDDFLRYCWIYFLKHKSEAYNKFIQFKALVENEAEKSLKILRTDNGKEYCSNEFKSHLARCGIKHHLTVPYSPQQNGVCEHKNRTIIAMTGCLLYDKNLPNCFWAEAANVAVSLLNVLPTTANKTKSPHEMWFGVKPSVAYLRVFGCICYSKIPDARRIKLDRKSQVTIYLGYSETSKGYRVYNVETKKVSITRDARFDEYLYWNWDSQQIEGSSNINVVSDAILGNENHEQDKDDEDFAVRGTRTLQDIYNRCNMAVVEPTTFSEASIDENWMKAMEVEIDMIKKNGTWVLFDRPSNQNIVVARHDTICLLTTLTARERRKIWHLDVKLAFLNGKLAEDIYIEQLEGFEEPGSSGKVLCTPFNIGSKLSKDDGAPKANGTVFRKLIGCLLYLIASRPDIMYATSVLSRYMQSPSEVHHTTAKRVLRYVKGTLNFGLKFSKNKSQELLGYCDSDWAGCLDDSKSTSGFCFTLGSAVFTWNSKKQECTATKLLVDNQSAIAIARNPVQYGRTKHIRVKYHALRAAVKDGEIVLEYCNTEDQLADIFTKGLPKDKFEYLRSELGVFQVSLKESGRRGITIGTLTVDSRQPLL >EOY27927 pep chromosome:Theobroma_cacao_20110822:6:23620457:23624689:1 gene:TCM_029640 transcript:EOY27927 gene_biotype:protein_coding transcript_biotype:protein_coding description:SecY protein transport family protein MGGGFRVLHLVRPFLSFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNSVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAMFHLLITRSDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGKWKESEYSGGQFIPVGGLAYYVTAPASLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGF >EOY28556 pep chromosome:Theobroma_cacao_20110822:6:25779153:25782287:-1 gene:TCM_030095 transcript:EOY28556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 29 MDSGQGDVELKNEVLLVAKLQHRNLVRLLGFCLEGRERLLIYEFVLNTSLDHFIFDQVKHAQLDWKMRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNVLLDAKMIPKITDFGMARLFVQDETHGNTSKIVGTYGYMAPEYAIHGQFSIRSDVFSFGVIILEIVWTNWREGTALNLIDPTLRDGSRNEILRCIHIGLLCVQENVANRPTMATVVLMLNSFSISLPMPSQPAFFMDSNIDSDMSSSRGYQSRISESKQSKSEPIPQSQNEASITEPYPR >EOY27162 pep chromosome:Theobroma_cacao_20110822:6:20498941:20500992:1 gene:TCM_029079 transcript:EOY27162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYYIYIYIYIYPLSFRTNQSFVKQNRPVEKIKQKMWKDSGSSEVNLASPSLGFVHDAMSTSKGRDKKSNRIVKVEFIMIPHAQNLAKVEKVMEVLVLSASKTWVVGRAVTTEMFNNCPKERWMRFTLTVCVKNLNGSFQDVESQQLFVFFGQITLPKAMWRYDHLKFIGQTKSLSGGLITTQSFTKFTSSLYTCDMKQGGNDHGARKFREMKEGNMSSGAFKHSSIDTVKDRGLVCSLFREENVRRIESASGSDGSDHSEDEYQAEAEEKDFDTGSDSSEEQGEEEDEAEKEENKKEDEEEEEEEEEVEEEEDEEEEEEEEVEEEEEEEEEEEEEEGEEREEEEDEEEEKTAKEEDGTIILVSVKDSQNPASKIAGRIKLHQCSNHGHLLKAI >EOY26786 pep chromosome:Theobroma_cacao_20110822:6:17850371:17855772:-1 gene:TCM_028743 transcript:EOY26786 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein isoform 1 MENGGTGKTLSIICSALQWVLDQRQKQKSEERVASDEKQENIGQIGSDDEPDWIKNFVVNKDNQMDDKKSKKKKYGFASAKPAKRNNKDSSKDLFSCDPGEACFNKKKECKKTVKKNDADELGGEEFLLEEYESEEEGEVGSSNSKRKASTFSVSSSSDEEGESEEEEEEVKLKVFFCSRTHSQLSQFIKELRKTVFANEMNIVSLGSRKNFCINEEVLRLGNSTRINERCLELQKSKKKEISKIKNLGAEGRIRQTKASSGCPMLRKHKLQRQFRSEISQQGALDIEDLVQLGRNIGTCPYYGSRSMITPADLVVLPYQSLLSKSSCEALGLNLKNNVVIIDEAHNLADSLISMYDAKITLPQLEKVHSHIEKYFGRFHSLLGSGNRRYIQTLLVLTRAFLRVLVDDKDVNCLNTCPDAQTGVGEKHRFDSSMAINDFLFSLNIDNINLMKVLQYIKESNIMHKVSGYGDKMTTLQKGSAVKENGESCDDGSTLSGFRALADMLLSLTNNDGDGRIIISRKRPTCSRQGAYLKYVMLTGEKIFSEIVHEAHAVVLAGGTLQPIEETRERLFPWLPSNQLHFFSCSHIVPPESILPLAVSCGPSGRSFDFSYNLRSSSTMIEELGLLLCNLATVVPEGIVVFLSSFEYEGQVYDAWKTSGILERLMKKKYVFREPRKNTKVEVILKEYKEAIDNPAPRSGAILLAVVGGKISEGINFSDGMGRCIVMVGLPYPSPSDIELLERVKHIEGLGDSSTMKSLKLLSCEEYNGGDIQAAFNILRSCTRRGKEYYENLCMKAVNQSIGRAIRHINDYAAILLVDIRYASDSSKRSFSHPSSKLPQWIKDRLVSATNNYGEVHKLLHHFFKLNKNRGCK >EOY26785 pep chromosome:Theobroma_cacao_20110822:6:17849990:17855794:-1 gene:TCM_028743 transcript:EOY26785 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein isoform 1 MENGGEPKFPAFPYKPYSIQIDFMNALYHSLDTGGVSMLESPTGTGKTLSIICSALQWVLDQRQKQKSEERVASDEKQENIGQIGSDDEPDWIKNFVVNKDNQMDDKKSKKKKYGFASAKPAKRNNKDSSKDLFSCDPGEACFNKKKECKKTVKKNDADELGGEEFLLEEYESEEEGEVGSSNSKRKASTFSVSSSSDEEGESEEEEEEVKLKVFFCSRTHSQLSQFIKELRKTVFANEMNIVSLGSRKNFCINEEVLRLGNSTRINERCLELQKSKKKEISKIKNLGAEGRIRQTKASSGCPMLRKHKLQRQFRSEISQQGALDIEDLVQLGRNIGTCPYYGSRSMITPADLVVLPYQSLLSKSSCEALGLNLKNNVVIIDEAHNLADSLISMYDAKITLPQLEKVHSHIEKYFGRFHSLLGSGNRRYIQTLLVLTRAFLRVLVDDKDVNCLNTCPDAQTGVGEKHRFDSSMAINDFLFSLNIDNINLMKVLQYIKESNIMHKVSGYGDKMTTLQKGSAVKENGESCDDGSTLSGFRALADMLLSLTNNDGDGRIIISRKRPTCSRQGAYLKYVMLTGEKIFSEIVHEAHAVVLAGGTLQPIEETRERLFPWLPSNQLHFFSCSHIVPPESILPLAVSCGPSGRSFDFSYNLRSSSTMIEELGLLLCNLATVVPEGIVVFLSSFEYEGQVYDAWKTSGILERLMKKKYVFREPRKNTKVEVILKEYKEAIDNPAPRSGAILLAVVGGKISEGINFSDGMGRCIVMVGLPYPSPSDIELLERVKHIEGLGDSSTMKSLKLLSCEEYNGGDIQAAFNILRSCTRRGKEYYENLCMKAVNQSIGRAIRHINDYAAILLVDIRYASDSSKRSFSHPSSKLPQWIKDRLVSATNNYGEVHKLLHHFFKLNKNRGCK >EOY25686 pep chromosome:Theobroma_cacao_20110822:6:1236193:1243763:1 gene:TCM_027072 transcript:EOY25686 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MAFPRIPILLIVLFLLSSSSSGTASFCSKCKRPTSTQIQEQFLQCFDANSEFDIPLSTAFFTPKNASFTSVLQSTAQNLRYLVPSMPKPEFIITPLYESHVQAAVICSKELGIHLRVRSGGHDYEGLSYVSEIESPFIIVDLSRLRSIKVDIEDNSAWVEAGATTGEVYYRISEKSKTHGFPAGLCTSLGIGGHITGGAYGTIMRKYGLGADNVVDARIVDASGRVLDRAAMSEDLYWAIRGGGGASFGIILAWKIKLVPVPETVTVFTVTKSLEQGATKILYKWQQVADELDEDLFIRVIIQGANPGNSGGKTVTTSYNALFLGDAERLLQVMRQSFPELGLTRKDCIETSWIKSVLYIAGFPSNTPPEVLLQGKSLFKNYFKAKSDFVKEAIPETALEGLWKRLLEEDNPLTIWNPYGGMMGKISESEIAFPHRKGNKFMIQYLTLWQDGDKNASKHMDWIRRLHNYMAPYVSRFPRGAYVNYRDLDLGMNKNINTSFIQASVWGVRYFKDNFNKLVKVKTKVDPDNFFRHEQSIPPLPVEARF >EOY26343 pep chromosome:Theobroma_cacao_20110822:6:7791241:7798158:1 gene:TCM_046831 transcript:EOY26343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease family C19-related protein MGSRFPSHQLSNGLYVSGRPEQPKERTPTMSSVAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPINNAPSRTGSFGGAASHSGPILPNAAPRAGYTSSGPGLTGGMSGSASLKKSNSGPLNRHGDPVKKSSGPQSGGVTPSGRQNSGPIPVLPATGLITSGPISSGPLNSSGAPRKVSGPLESMGSMKVHGSAVAHNQAVTILNQDDEFSFKRNFPKPILWSLILLFVMGFIAGGFILGAVHNAILLIVVVVLFGTVAALFAWNSCWGRRAIMGFIARYPDAELRNAKNGQFVKISGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHRRFTWGLRLLERRAVDFYISDFQSGLRALVKMGYGARVTPYVDDSIIIDVNPANETLSPDFIRWLGERNLSSDDRVMRMKEGYIKEGSTVSVMGVVQRNDNVLMIVPPPEPMTTGCRWGKCIFPAGLEGIVLRCEDTSKNDVIPV >EOY26806 pep chromosome:Theobroma_cacao_20110822:6:17938849:17943167:-1 gene:TCM_028760 transcript:EOY26806 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein MESIGVLMVCPMNSYLEHELEKRFNLFRFWTVPEKSAFLATNKNSIRAVVGNSSAGADAELIEVLPKLEIVASFSVGLDKIDLAKCKEKGIRVTNTPDVLTDDVADLAIGLMLAVLRKLCESDRYVRSGKWKKGDYRLTTKFTGKKVGIIGLGRIGMAVAKRAEAFSCPISYYSRTEKPEIKYKYYPGVVELAANCDILVVACSLTEETLHIINREVIDALGPKGVLINVGRGPHVDEPELVSALVERRLGGAGLDVFEHEPEVPEELFGLDNVVLLPHVGSGTVETRQAMADLVIGNLEAHFLNRPLLTPVV >EOY25746 pep chromosome:Theobroma_cacao_20110822:6:1532686:1534020:1 gene:TCM_027117 transcript:EOY25746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISIGDKTTSFLHALVQGSVSIANKETVCTCAAEHYDFKDILQALDDCIFHVEMTIKKKKCGVTLNGFEAIVEQLGFNSDELCKELHNVMDLKHGARWINGNGATTILTISRVR >EOY27434 pep chromosome:Theobroma_cacao_20110822:6:21712448:21718048:-1 gene:TCM_029282 transcript:EOY27434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-epimerase, putative MSAEKMHVEHCKGVNGLDKVILREIRGCSAEVYLYGGQVTSWKNEHGEELLFLSSKTTFKPPKAIRGGIPICFPQFGNNGSLEQHGFARNRFWSVDLDPPPFPSNTSHRAFVDLILRPSEEDVKIWPHRYECRLRVALGPGGDLMLTSRIRNTNTDGKSFTFTFAYHTYFFVTDISEVRVEGLETLDYLDNLKSKERFTEQGDAITFESEVDKVYLSTPTKIAILDHERKRTFVLRKDGLPDAVVWNPWDKKAKAMPDFGDDEYKHMLCVEAACVEKPITLKPGEEWRGRQELSAVPSSYCSGQLDPRRVFCSG >EOY28934 pep chromosome:Theobroma_cacao_20110822:6:26914037:26915397:1 gene:TCM_030398 transcript:EOY28934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein MPNLLSWIHTSATPPESATMPSALHWTLLALCFVSLILQKTDAQFREWCIADEQTPDDELQKALDWACGKGGADCSKIQVNQPCYLPNTIRDHASYAFNNYYQKFKNKGATCYFNSAAMITDLDPSHHSCKFVSVP >EOY27744 pep chromosome:Theobroma_cacao_20110822:6:23022984:23024207:-1 gene:TCM_029518 transcript:EOY27744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein A, putative MGRRKIEMEMVKDSSSRQVTFSKRRTGLFKKANELATLCGAQVAIVVFSPGGKPFSFGHPSVEAVTQQFLNQDTKPKVSISAQVGSQQQAKVEKLSQQLNDLLKQIQAEKKRGEMLDKEIKASGKHKCQKPVNELSLDELLQMKQSMEELREKLKGRVSEIEASSSLLLLSNNGAKEADPAN >EOY28576 pep chromosome:Theobroma_cacao_20110822:6:25877876:25886295:-1 gene:TCM_030126 transcript:EOY28576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 10, putative isoform 1 MITVFKRILFLIFSFPVLTYLVTLTFAVDPFFQSRCVADTGNYTANSAYERDLNSLFNEISSTTKLNYGFFHSKFGEVNAIALCRGDVKLNDCTSCLNGTVSEMKQRCHRYKEAIGWSEFCMLRYSSRNISKRLEISPGACLLNTRSAVAGNPEEILRVLQVLLDYLRRVAAARGALLKYATGNSSLGAQIWYALVQCTPDLSEQDCNDCLEAATEGSGSCCVGQMGCRVLRPSCNLRFESAKFFDAAPAVPPPQSPQSTKEEDKQKKSVWIPLGASLSATLGLALFSACGFFIWRRRNIQEDNENSQEVQLLDLVQGSILDEHSSENFNRENVSRSQEFPSIQLDILHVATNYFCNENKLGEGGFGPVYKGTLADGKAIAVKRLSRTSGQGLLEFKNEVMLIAKLQHRNLVRLLGCCLEKNEKLLVYEFMPNRSLDVFLFDSSMAVELSWPKRFSIIKGISRGVMYLHEDSRLRIIHRDLKASNVLLDHEMNPKISDFGMARIFGGDQNQVNTNRVVGTYGYMAPEYAMEGLFSIKSDVFSFGVLLLEIISGKRNNGFHVSERGESLLTFAWKLWSKGQGMELMDQLLVQSCVAAEVLKCIHIGLLCVQEDPADRPSMSSVVVMLGSETITLPRPVEPAFSVGRVVAEPTEPTSNDRNRSINEVTISNLSPR >EOY28577 pep chromosome:Theobroma_cacao_20110822:6:25864703:25884542:-1 gene:TCM_030126 transcript:EOY28577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 10, putative isoform 1 MPSLLLSQQKRSAETMITVFKRILFLIFSFPVLTYLVTLTFAVDPFFQSRCVADTGNYTANSAYERDLNSLFNEISSTTKLNYGFFHSKFGEVNAIALCRGDVKLNDCTSCLNGTVSEMKQRCHRYKEAIGWSEFCMLRYSSRNISKRLEISPGACLLNTRSAVAGNPEEILRVLQVLLDYLRRVAAARGALLKYATGNSSLGAQIWYALVQCTPDLSEQDCNDCLEAATEGSGSCCVGQMGCRVLRPSCNLRFESAKFFDAAPAVPPPQSPQSTKEEDKQKKSVWIPLGASLSATLGLALFSACGFFIWRRRNIQEDNENSQEVQLLDLVQGSILDEHSSENFNRENVSRSQEFPSIQLDILHVATNYFCNENKLGEGGFGPVYKGTLADGKAIAVKRLSRTSGQGLLEFKNEVMLIAKLQHRNLVRLLGCCLEKNEKLLVYEFMPNRSLDVFLFDSSMAVELSWPKRFSIIKGISRGVMYLHEDSRLRIIHRDLKASNVLLDHEMNPKISDFGMARIFGGDQNQVNTNRVVGTYGYMAPEYAMEGLFSIKSDVFSFGVLLLEIISGKRNNGFHVSERGESLLTFAWKLWSKGQGMELMDQLLVQSCVAAEVLKCIHIGLLCVQEDPADRPSMSSVVVMLGSETITLPRPVEPAFSVGRVVAEPTEPTSNDRNRSINEVTISNLSPR >EOY26114 pep chromosome:Theobroma_cacao_20110822:6:4479779:4482330:1 gene:TCM_027507 transcript:EOY26114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70B MAAKAQGKAIGIDLGTTYSCVGVWQNDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPQNTVFDAKRLIGRRFSDPSVQSDMKHWPFKVVAGPGDKPMIVVTYKGEEKQFAAEEISSMVLTKMKEVAEAYLGQTVTNAVTTVPAYFNDSQRQATKDAGAIAGLNVLRIINEPTAAAIAYGLDKKASRSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRVVNHFVQEFKRKHKKDISSNARALRRLRTACERAKRTLSSTTQTTIEIDSLYAGIDFYSTITRARFEELNMDLFSKCMEPVEKCLRDSRIDKSQVDEVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNKKVQDLLLLDITPLSLGIETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELTGIPAAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEEIERMVQEAERYKAEDEEVKKKVEAKNSLENYAYNMRNTVKDEKFAGKLDPSDKQKIEKAIDETVEWLDRNQLAEVDEFEDKLKELEGICNPIISKMYQGGGGDVPMGGTEMPSSGYGKAGSGGTGAGPKIEEVD >EOY28006 pep chromosome:Theobroma_cacao_20110822:6:23930129:23933229:-1 gene:TCM_029701 transcript:EOY28006 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding-like protein MAKTVGFHIKKKRSLHKALKFRLSLIYSLPISRISLNRLKPCPEPSLLHVLQGSKTMDFKHEDPVEICKKGEGFSGIYYNATFLAAIGRNRYLVRYDTRFNEDGYRKLVEAVDSDEIRPLPPKTAYTKFVVSDRVEAYVNLAWRVGTVTRKVDPNYYVKLDCNGREEHCAFYKVRLHLEWRNGRWSYPGSGYVALFSVVCLTSCQVLWVLVLLISRFFVKHLPGNKKVTYSSLEDAEMADKNCHYKGNCTKEAILYRIGHPNHPIKSLGVTSKNRTFTENSPEASKPQHYKKEQTGIRRKHQHLTVGSNFIKGRYVSDHPLFPPHPWQGSGFETQRTAFMGSLSRISPKLGTRDEAENKTTDSLSFLCSLSHRSQSSQANTSAQGGQPATGGNIADTGDQGGQPKNEGDQPKPGQGRG >EOY28530 pep chromosome:Theobroma_cacao_20110822:6:25705504:25707628:-1 gene:TCM_030073 transcript:EOY28530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative MPAAWFSLKRPGKSKAELSDTQDSKGRSSKARKPCLCSYGCSMSISNLRDVIHGSKRHTDKAPIGSPRSIGSSDILNPITHQVVFTDSKCELKITRCSNNGAGNGGSTFVGTLRPGTPGPGDRFLEPAYICRRSFSLSRTILGGPPIFGSSNDICSKPRRSLDAGSQGFVCHKCGENFRKLEVIEAHHLSRHAVTALSEGDSSKKIVELICQTSLLGSETEFGPIERILKVHNMQRSLAQFEDYREMVKIKANKLSKKHPRCLADGNELLRFYGTTVACSIGMKNTSSPCTLKKCGICQILRHGFSTMEKSNGFRGVFTSSIGKRALECIELDEENRCLRKALVVCRVIAGRVQNPLENAQEMASQSSFDSLAGNFDSHSNIEELYSLNPRALFPCFVVICKPSKQSAQKL >EOY28038 pep chromosome:Theobroma_cacao_20110822:6:24020415:24021126:-1 gene:TCM_029722 transcript:EOY28038 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L34 MASMSVITSPQWLTAKSIRTQIPSASLALLTGSRGRISISPNAENNPKACSSLLHCSFLPSSSSSVSCPSSFAGLSLGMDFSSNNGVRNEKRRGLVVRAGKAALCQTKRNRSRKSLARTHGFRRRMRTTSGRAVLKRRRAKGRKVLCTKSNPNSGKRS >EOY28269 pep chromosome:Theobroma_cacao_20110822:6:24795463:24797273:-1 gene:TCM_029890 transcript:EOY28269 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSJT1-like protein MLAVFEKAIGNPPEELRLPSVGLDLEGKKTLEEILGTFRLLWPQSTLYHLSNGNFMALSHEAESPLHPRSMVVMDDIFCIFVGNLANMPELRRHYGLSRQATEAMVVIEAYKVLRDRAPYPPDQVIKDLEGKFAFILFDAKSVTLFVARDREGSVELKWGMAGDGSLVFSDDPNTVQEACGKSCAPFPPGCIFMNGNGLISFDHPLHKVKAIAREDDDGKICGVIFQVDLFTRLPSIPRTGSAANWADITVQEGE >EOY27252 pep chromosome:Theobroma_cacao_20110822:6:20874232:20876064:-1 gene:TCM_029138 transcript:EOY27252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MASTKLWHVISFYISTALAIFRNLLLCTLNLNQSPFLTKFMDTILSLYFRFCDLSPCAIDLDDQTTVHFWVANHRRFNKPSLVMVHGYGGNSLWQFLHQIGPLSRKFNAYVPDLLFFGKSHSKSLDRSDLFQAKCLADALKRLGVDRFSVYAISYGGFVAYRIAEMYPDAVEKVVIMSSGILYTDDQRAEQLRRIGRHPSEILVPKNPDDLRLLVNLSMYKQNSLHWLPDFLLREFVTMMYDHCRKEKIELAEHLVEKKADTNLPVLTQETLIIWGDQDKVFPLELAHQLQRHLGSKSRLEIIKDTGHAANMESPDEVNKLVISFVSGCS >EOY28708 pep chromosome:Theobroma_cacao_20110822:6:26322788:26323759:-1 gene:TCM_030231 transcript:EOY28708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLVSRKIIKEVWQVIEKDGRKKDFQQQKSLGQVEQCSSRPCLAQTEEVGNTDMQQKPEQCGCWDSSPGLHGHNVEFLPLNYSHLFTSCLFNLYSDNFEVLVM >EOY28136 pep chromosome:Theobroma_cacao_20110822:6:24315401:24317448:1 gene:TCM_029788 transcript:EOY28136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonoid o-methyltransferase related MDQEASESFRAQAHLYKHIFNYIGSMSLKCAVQLGIPDIIHNHGGPITLSELVAALHIDPAKDSCIYRLMRLLVHSGFFATTKVDRDQEEEAYVLTPFSKILLKDKINCLSPFVVSMLGPPMMTPWQFLGDWIQGTKQRPFESANGKAFWDYMDQDPEFKNLFHDAMESDSQMMNLVVKDCKPVFEGLSSLIDVGGGTGTVARVISEAYPQLKCTVFDLPHVVADLPASGNLNFIGGDVLQYIPPADAILLKLVLHAFGDEDCIKILKRCREAIPTQGAKGKVIIIDIVINVKKDEHELTEAKLFFDMLMMVVVTGRERTEQDWQKLFMAAGFTDYKISPLFGLRSLIEVYP >EOY27933 pep chromosome:Theobroma_cacao_20110822:6:23641157:23646241:1 gene:TCM_029643 transcript:EOY27933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Na+/Pi symporter MSRENENKTAVELATRIVGKWKETYEWIPIFGGFATIALAFSVGANNLPAPFSTSVGSGALTLLKASIMACAIYVPGAASASNSTVNALFSNFLKESQPTEGFLMWSMVVVLLTATIWLALATYLELPVSSQQSIQGAMLGTVLVTEGFGYLPLWNKNDNHNFNGGGLLWILLEWTFAPSIACLCACFLFAVLKSSLLRHENAKKRILIFLPIDYGISAGLLCFFIVSQVIGNYVDVNRLTVVIAVAGSALIGALLSLAVVVPLAMKKLAATENYRTSKQNASMKDESVESQVTEGQSSGAKVDDDVDDILKNFMQMRVLETVYEEEERSWASPEVIQESDQVQLVSQCSANTSAEQSTPFKQLLKSTPNRLIQTRNFQRIKKSTPIENAIKFVRDITKSTLSPVLVYDRRTLIRHALAEKYDEIEDYFSFPQLLASCVFALMQSASEIAVIVNPFVAILDVFKHRSKYSGNGEDAGHLQVKWWFRGIGGLVAAMGFFLCGWRLTQCLGGKLTYMSNSRGWASQLSTVAAMIIVAMVNLPVSSVHAFIGSLVGVGIADDLRNVNWKLLCKFLCGWIMTIIFSCGFAYLTFSASIHTPAYAVP >EOY26448 pep chromosome:Theobroma_cacao_20110822:6:10769713:10780918:1 gene:TCM_028092 transcript:EOY26448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIWANFHTLRILYLARTRLLITCHFVLYFGDYSFFSGQCPITRQVDSLYPTWQQWVQYSDNLKNSTFCMKPPVTSPDRFHLPWLHPPSKIVPLFWQSQGVSTSHKDALKGLIAFDRSIVEALEVTAIILGEPKATSHL >EOY26467 pep chromosome:Theobroma_cacao_20110822:6:11874038:11876258:1 gene:TCM_028175 transcript:EOY26467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLYRMAPVELKELREQLQDLINKGFICLSVSPWGVPMLFVKKKDGSLRPCIDYRQLNNIRIKNKYPLPRIDDLFDQLQEAQCFSKLDLMSRYHQLRIREEDIPKTAFRTRYGHYEFLVMSFGLTNAPTAFMDLMNRVLWAYLDRFVVIFIDDILVYSRSWEKHTQHLRIRLQTLREHRLYMKFSKCVFWLSSVGFLGHIVSKDGVQVDPSKVEAIENWARPTIVTEISSFLRLAGYYQ >EOY28852 pep chromosome:Theobroma_cacao_20110822:6:26704213:26706206:1 gene:TCM_030333 transcript:EOY28852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-butyric acid response 1 MEKMQKKIAKRFEGKVAIVTASTQGIGFGIAERLALEGASVVISSRKQKNVDEAVGKLKANGIQVLGVVCHVSNAQQRKNLINKTVEYAIFMRWWIWFQKYGKIDVIVSNAAVNPVNMPLLQTQESILDKLWETNVKASILLLQDAAPHLQKGSSVIFVSSLGGYQPQPSMAMYGVTKTALLGLTKALAAEMAPDIRVNCVAPGFVPTRFAAYITANETTRKTFEKTTLLNRLGTPEEIAAATAFLASDDASYITGETLIVAGGTPSRL >EOY27387 pep chromosome:Theobroma_cacao_20110822:6:21519574:21526753:1 gene:TCM_029246 transcript:EOY27387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein MDLASPKYFPAPPLFPSNGEPPLETTASLYGESKDNPFADTFPDPLCELNLKETSDFVKSFPMAASNNNTDNRGGGFLDVSIQRRRGVNSVTTQRRVLEAPSTPGRPVFSFSGGNFARKSFPSKWDDAEKWLISSSCHESPAHTIKPPPESSKMAKQFDNYKQQTDVFAEKSRVIEENVPKVVTSFNPTGGFGGIPGSTDVLLKDRATFSSSNEILLVITAIHLVRNKFTDEVEPILPNFRCSEPSREGFLFRNSVCETMKDAGTEVFHGVKHKDVGTEMTPLGSSTTSRCHTPCKSSSPARHNTPANRSGPLASANSNSSNSTIDISQLQECHLAKLQLGTQYDSITSNWSSREEEEEEVSKSLRHFETGSACRKSVSDSRAAAWEEEERTKCCLRYQREEAKIQAWVNLQSAKAEAQSRKLEVKIQKMRSNLEEKLMKRMAVVHRKSEEWRAVAQQQHAEQTQRATEQAQKIMNRNNSLMSGHSSCGCFPCNNSP >EOY26741 pep chromosome:Theobroma_cacao_20110822:6:17580154:17581352:-1 gene:TCM_028700 transcript:EOY26741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALLRRSYAYSKVDKEDPEEIIHRRAQFLIYKALEQADSRRKSSFLRIRLCRLKVKIGRRLKKLRKCALVCISAPRAGVYKQVIGQLKTWRRLFSLGGGTISSLPRPLLA >EOY27024 pep chromosome:Theobroma_cacao_20110822:6:19779018:19780019:1 gene:TCM_028975 transcript:EOY27024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGVCVPKANKRTYREALIQGKKKEPMEENISRETEDDMVKDKNEKEVAKIRNGGDDKVWEIEYLVNEIEWLSRSAIRCIRGPCYYKIVQSVLFYEGTSVLIQPMGDLEVLLTFGEDDEMNVLLETYLEIFLLWFEMVIPYY >EOY26888 pep chromosome:Theobroma_cacao_20110822:6:18898551:18899639:-1 gene:TCM_028856 transcript:EOY26888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 7, putative MKSEARNTKMNNSSMEPFRGLVCTCFLILAAMNIGSVEASKGFKVGDHIGWQQPSANNTAVYSQWARSKRFHVGDSLSFEYQNDSVLVVEKWDYFHCNTNKPISSFNDGKTVINLDRPGLFYFLSGAVDHCKKGQKLLIRVMGLHQRAESPPSGVDIAPGPHPSSGLVVTVTLSSVFVALTVTVVTLV >EOY26170 pep chromosome:Theobroma_cacao_20110822:6:5205837:5208212:-1 gene:TCM_027586 transcript:EOY26170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLHQNPAVQLFHSRQVYGRHNQPVQHCLVKGICLSVDCDEDIFEIEKIENRQKCSSL >EOY27921 pep chromosome:Theobroma_cacao_20110822:6:23585508:23588552:-1 gene:TCM_029635 transcript:EOY27921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant U-box 17 MATAAIFSSLRRRRSPSLEAFLAPVDLTEVTLVQTLAAISSELVSSFSNNVFFFQRRNSRSLVRKIEIFVVFLEYLRDSGSGSMSSLPSTAILCFKELYLLLYRSKIVLDYCAQSSKLWLLLQNHSISGHFHDLNQEISTLLDVFPINDVQLSDDVREQVELLQKQARKTKLYVDKSDENLRVRFFSFLNEFENGSIPNHVDLRLFFVERLGIRDAKSCRCEIEFLEEQIVNHEGDIEPTASVLNGFVAITRYCRFLLFGFEEDEVQLAFGNQKKPRKGLITREIADTFLTIPKDFCCPISLDLMRDPVIISTGQTYDRSSITRWMEEGHCTCPKTGQMLIHTRLVPNRALRNLIIQWCTAHGIPYDPTETTDASAESFAAALPIKAATEANRATASHLIQHLANGSQGAQTIAAREIRLLAKTGKENRAFIAEAGAIPHLRKLLSSSNPVAQENSVTAMLNLSIYDKNKSRIMDEEGCLRSIVEVLRLGLTTEARENAAATLFSLSAVHDYKKRIADEGGAVEALAGLLRVGTPRGKKDAVTALFNLSTHTENCARMIEARAVTALVGALGNEGVAEEAAGALALIVRQPIGAEAVGKEEMAVAGLVAMMRCGTPRGKENAVAALLELCRSGGSAATERVLKAPSLAGLLQTLLFTGTKRARRKAASLARVFQRCENPPLHFGGLGVGYAFAGNSTTNRDSSFADDVSVPMSISVPVL >EOY25647 pep chromosome:Theobroma_cacao_20110822:6:892245:913308:1 gene:TCM_027025 transcript:EOY25647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein MDGLAYSCERCKFWLHNSCVHRQLPPQISAHPFHSQHKLSLLWSNHIDYICAKCFNLSRGHRYYCKDCDFSLEYPCAFSTNDEKNRLNDETPKKVRRFNKSLTLFNYRRVRKYEYTCSWCEMHLSEMSYGCLDEGVYIWFHDSCLIHIPSIIFKHPFHPSHPLPLRNIYIDNRLCNACNLPIWEFRKAYCCRKCEFHLHVHCAKLRPSLKVELHEHDLTFFRIKTNTATHLCRLCGFSFDAIGIESAFYRCVQCNFNYHFKCLTIPHFTSHKYHRHDLMLIDSFIEDDSKECYCDICEEERKPKHRVYCCKKCKFVAHIECALKKVTYHVPLRKILMLIFSLPQFADMKLDQGSTSSLLDSEASMSKVQIEHFDHLHLLSYNEAIEQNENLLCNACRQEIFDQHYACEDCKYYLHEMCTTLSYEVSHPLHRQHPLKLFTDIVEFTCHGCRDHSGGFAYMCLPCDFQLDVKCATSPILPKNERQKLKEMEKVSKVCPFNQNHKLDFFNHRPDLKDLALACDACKLPILGPGYTCRDCFNVKIHESCLALMREMQLTFHPLHPLYPQIGDWENCSACRFKIIERIGYSCRQCDFHLHLHCANSLKLALKIKSHMHNLYYFGPDYEKSYHLCNKCKSYIGKEPFYYCVECNMNLHLKCVPIPCPVKSKCHMHHLTLKNHFVEDDSGEYYCDICEEERNSKNHCYYCEECAGLFVAHIECVLLPEFEFADGNVHEFSNIQDANSPIDKSSMDKLLSEPHAEVYLNQNREKYWVDKKLHKYCFMLFARDFSITWAEDHRYWRWSYQRERNSDVLIDVAELLDVCWLEMHVSINVKKLSAKTLYGVVFVFKLTDKAYGWGIPVTFGFTLPNGYKVEHKEILMTKPIGVWTEIHIGEFTTSSEIVGELDIYCHEYDKLFWKGGLVVKGVTILPKN >EOY25898 pep chromosome:Theobroma_cacao_20110822:6:2615368:2620258:1 gene:TCM_027266 transcript:EOY25898 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain METAIIPVTPNMPVTPITPTDNSEPLNSEVQPNKRRRKKSIVWDHFTVETVGDGCIRACCNQCKKSFAYITGSKLAGTSHLKRHIALGICPVSRQRNQQTPDSKAGNATEEPRKRYRATPGFANNLFNQERCNHEVAKMIIMHEYPLHIVEHPGFIGFVRTLQPQFNTMSFNTIQGDCVAMYLKEKQSLINFISEIPGRVSLTLDLWTSNQTVGYVFITGQFIDTEWNLHCCLLNVVMVPSPDSDSALQQAVVSSLCDWHLENRLFALTLDQSFSNENINGNLRALFSVRNPYMFHGQLLVGNCFARVVSILAQEVLWAVGETVKKVRESVKFVKTSDTHEETFFHLREQLKVPSTKDIFIDDQTKWNTTYDMLVAACELKQVFLCLETSIPDYKIAPSIDDWKQVEIICNYLKLFFDAVSILTGPAYPTASAFYHEVSKVQLELSHAAMSNDPFVSNLTKPLKEKFDRYWSDCFLVLAIAVVMDPRFKMKLVEFSFSRIYGEDAGMWIKIVDDGIHELYLEYIAQALPPPETFMEEGNGGITPEGNGSITPEGNGGITPEGNGGIIPKTEPPEEVYCQEVSHEDVAHQEVSHEDIAHQEVSHQEAAHQEISHQEVAHQEINHQELAHQEISDPEVPSQDPLISIGDGLSDFEVYISEISGSQQMKSELDQYLEESLLPRVQDFDILGWWKLNKTKYPTLSRMAADILSIPFSTVGPDSVFDTERKRMDNYRSSLRPVTLEALICAKDWLQYGALQNANVKPEF >EOY26292 pep chromosome:Theobroma_cacao_20110822:6:7151619:7159473:-1 gene:TCM_027773 transcript:EOY26292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligomeric Golgi complex subunit 4 MPSTPNGSVPKTPESTEQHDDTSTSSIKFGTPEALNYVRSLTDVGAMTRLLHECIAYLRALDVDLDTLLSQRSDLDKILNNLQRSADVLDIVKAESDHMLSNITASCDLADQVSSKVRELDLAQSRVNSTLLRIDAIVERGNCIDGVKSAFDAEDYESATEYVRTFLEIDNKFKDSGSDQREQLLASKKQLEGIVKKKLMAAVDQRDHPTILRFIKLYSPLGLEEEGLQVYVGYLKKVIGMRSRLEYEHLVELMEQSHGQDQNNQVNFVGCLTNFFKDIVLAVEENDEILRSLCGEDGVVYGIFELQEECDSRGSLILKKYMEYRKLAKLSSEINAQNNNLLVVGAPEGPNPREIELYLEEILSLMQLGEDYTEYMVSKIKGMTTVDPDLVPRATKAFRTGSFSKVAQDVTGFYVILEGFFMVENVRKAIRIDEHVPDSLTTSMVDDVFYVLQSCLRRAISTSSISSVVAVLSGASSLLNNEYYEALQQKIREPNLGAKLFLGGVGVQKTGTEIATALNNIDLSSEYVLKLKHEIEEQCAEVFPAPAEREKVKSCLSELADLSNTFKQALNAGMEQLVTTVTPRIRPVLDSVATISYELSESEYADNEVNDPWVQRLLHAVEINVAWLQSLMTANNYDSFVHLVIDFIVKRLEVIMMQKRFSQLGGLQLDRDTRALVSHFSGMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLSLRVDFKPEAIAALKL >EOY28679 pep chromosome:Theobroma_cacao_20110822:6:26219162:26223347:-1 gene:TCM_030213 transcript:EOY28679 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MIMASENRIDCAENEVHQPLLHYYKSFSPEPCVSDLLEDTLSDNSLSLFWRIQRATWIELGILFHLAAPAVVVYLFNNLISMSTQIFCGHLGNLELAAASLGNNGIQTFAYGLMLGMGSAVETLCGQAYGANKYGMLGIYLQRSTILLMATGIPLMIIYIFSKPILLLLGESPTIASAAAVFTYGLIPQIFAYAANFPIQKFLQAQSIVFPSACISAAALALHLLMSWLAIFKLGWGLLGASLVLSLSWWIVVAAQFVYIVMTEKCKHTWTGFSLQAFSGLWDFLKLSVASAVMLCLETWYYQIIVLIAGLLKNAEIALDALSICMTICGWAYMIAVGFNAAASVRVSNELGAGHPKSAAFSVVIVTSSSFIIAAIFAILVLVLRRVMSYAFTSGSTVADAVSELSPYLAISVLLNGIQPVLTGVAVGCGWQAFVAYVNVGCFYFVGIPLGCVLGFKFDFGAKGIWSGMMGGTVIQTLILMYVTFRTDWTKEVEKTRNRLDRWEDKKEPLLN >EOY28030 pep chromosome:Theobroma_cacao_20110822:6:23978736:23985440:1 gene:TCM_029714 transcript:EOY28030 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MSPSQTQNMSSTSSSSIWLLSYRKLKFFTRIRRFLQSKAARKRYGSSSDHSNKLTIKNSTDKEEERMEKESEPEGDSVVLQKSVKGLHFGSWEEKEMAAKAIEKLAKEDVKARKLMAELGVTHMLVSMMATEVVGRRRAAMKALIELANGNFRNKALMLEAGILSKLPKDIDGVDEQTRQEFAELLLSLSSISNTHFSLSTPEILQFVIGILESASSLETKEYCLGVLYNLSAVLENAGPLVSNGVMPSLLKLSSLKELSEKALAALGHLVVTLMGKKAMEDSSVVPESLIDILTWEDKPKCQELSAYILMILAHQSSKQRDKMSKAGIVHVLLEVSLLGSPLAQKRAIKLLQWFKDERQAKMGPHSGPQTGRFAIGSPLHPRESQEGKKMMKNLVKQSLHKNMEMITRRANAAADASKLKSLVLSTSSKSLPY >EOY25815 pep chromosome:Theobroma_cacao_20110822:6:2088707:2116408:-1 gene:TCM_027185 transcript:EOY25815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein family, putative MQCLERLDISECARLEEMKIEKEGGGRMIQASLFPTLREVTIFECGNLRDMTWIILVPNLRFLWVVSCPKMDEIMSKEKMSEAADLVKSLNPNPFAKLQNLTLQFLPELKSIHWDVLPFPCLTEIFVRECPKLRELPLSSDGAKGNQICIQGEKEWWETLEWKNKATQNAFLPFFEPH >EOY27862 pep chromosome:Theobroma_cacao_20110822:6:23340575:23341933:-1 gene:TCM_029589 transcript:EOY27862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEEEFQESEVIFSDNSNHYSTHDEDDDGCLDYRGFSKNDRVPARNSNNKSKRNTKKKKIASSLPVNIPRHCHGTVFHCGEADDFEEEQDDEGEIVPPHVILGRRIAGKMAFSVCTGNGRTLKGRDLSQVRNSILRMTGFLEA >EOY28070 pep chromosome:Theobroma_cacao_20110822:6:24160534:24161473:-1 gene:TCM_029748 transcript:EOY28070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASLRFCACLILIILHAVPRSESRVLNPYVEGKTTTSSFGALSITTSSGKVHQFSVPLIDEYTKNLYASKRLSPGGPDPKHH >EOY28201 pep chromosome:Theobroma_cacao_20110822:6:24528795:24529811:-1 gene:TCM_029833 transcript:EOY28201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIKITRAFRCPYPLTNVPSNAKQDDINFLGSSSCTITTIVLHFQLDYIRIFAGFTCQN >EOY28902 pep chromosome:Theobroma_cacao_20110822:6:26837649:26841183:-1 gene:TCM_030376 transcript:EOY28902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein MGSLVSNNCEAKLTLASNYKQKCCQRNITNSANGLSNMTEEGDGEMTRNCSSSSKLGQDECKWDGPYGATNAAGKDLVGVVLTWEKHLSNLIPNPQQIPSSLAFSSFSCFDLVNQVWEPGIFLGSFAQRNAFHHLSGKHIAVPFSLRDLVAMARMLFKLVLSLSLFVSLILSSNAQTCAKYAFSSNRVFRSCTDLPVLNSFLHYNYDSSGKLEIAYRHTGITSSRWVAWAINPTSTGMVGSQALVAYQQTDGSMRAYKSPITQYQTQLREGDLSFDVSALSATYANSEIIIFATLELSNNGTTLNQVWQEGALSGNTPQMHATSGANVQSMGTLNLVSGEAGAAGGGSSRLRKRNIHGVLNTVSWGILMPIGAIIARYLKVFKSADPAWFYLHASCQFSAYVVGVAGWGTGLKLGSESPGIQYDAHRTIGIILFCLATLQVFALLLRPKPDHKLRFYWNIYHHLVGYTVIILSVINIFKGFDILKPEKKWKNAYIGVIVALAFNAVMLEAYTWFVVVRRKRSESAGKMPHGVNGANGNGVNSRGARPQQA >EOY28678 pep chromosome:Theobroma_cacao_20110822:6:26213412:26217573:-1 gene:TCM_030212 transcript:EOY28678 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MDSQQELNQPILNYSMHDQLPPPPVPEPSGVTHHRGHEVDSRLEKVLTDSDLSFFKRLRLASGIELRLLFRLAAPAVFVYMINNAMSLSTRVFCGHLGNLELAAASLGNSGIQLLAYGLMLGMGSAVETLCGQAYGALRYDMLGVYLQRSTIVLTLTGIPLTVAYVLSEPILILLGESTVVASAAAVFVYGLIPQIFAYAVNFPIQKFLQAQSIVTPSAYISAATLGVHLLLSWLAVYKLGLGLIGASLVLSLSWWIIVVAQMVYILMSEKCKLTWAGLSLQAFSGLWDFLKLSAASAVMLCLETWYFQILVLIAGLLENPELALDSLSICMAISGLLFMVSVGFNAAASVRVSNELGAGHPKSAAYTVFVVTLVSFVIAVVEAVVVLALRDVISYAFTEGETVAKAVSDLCPFLAVTLILNGVQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPLGCVLGFKFGLGAKGIWSGMIGGTLMQTVILLWVTFTTDWNKEVETAKKRLDRWEDKKEPLLKD >EOY28166 pep chromosome:Theobroma_cacao_20110822:6:24417650:24419958:-1 gene:TCM_029806 transcript:EOY28166 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein MGADYYKILQVDRNAKDDDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYEVLSDPQKRAIYDQYGEEGLKGQVPPPDAGGPGGATFFQTGDGPNVFRFNPRNANDIFAEFFGFSSPFGGMGGGGAGSGMRGGSRAFGGMFGDDIFSSFGEGRPMSQAPRKAPPIENTLPCSLEELYNGTTKKMKISREIADASGKTLPVQEILTIDIKPGWKKGTKITFPEKGNEQPNVIPADLVFIIDEKPHSTFTREGNDLVVTQKISLAEALTGYTVHLTTLDGRSLTIPITSVIHPNYEEVVPREGMPIPKEPSRRGNLRIKFNIKFPTRLTAEQKSGIKKLLAP >EOY27729 pep chromosome:Theobroma_cacao_20110822:6:22979362:22982672:1 gene:TCM_029508 transcript:EOY27729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein G MYSPHFSLNTHGEGLSHSKTFADCSQMASNDHHVHDHHHHDHDHDHDHHHHHHDHDHDKSTTSWVGADGRVYHSHDGLAPHSHEPIYSPGFFSRRAPPLGNRDFNERAFTVGIGGPVGTGKTALMLALCKFLRDKYSLAAVTNDIFTKEDGEFLVKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKTDILLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAVGADLGVMERDALRMRDGGPFVFAQVKHGHGVEDIVNHILQAWEAATGKKRK >EOY28643 pep chromosome:Theobroma_cacao_20110822:6:26126720:26130804:-1 gene:TCM_030187 transcript:EOY28643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein MGSASLASIFGIGYRSLGAPMSLLRTLASTGASLYKLNKNYSKTVLSWSCLGSSRRELSCLSTKMDAAQTASATSVNGVLLYFMIEIHFLFSYPEYHRLLPCPSHNGPPRVEHLVVSEGGPVLEYICKALDLPPMFVADLIHFGAVYYALVCPQPPPSATPEQIRIFKEVTAPSVLSKRTSIRGKTVREAQKTFRITHVDQFVEAGTYLRVHVHPKRFPRCYEIDWKSRIIAVTDSYVVLDKPAGTSVGGTTDNIEESCATFANRALGFSTPLKTTHQIDNCTEGCVVLARTKEYCSVFHGKIREKKVKKLYLALTAAPLPIGVITHYMRPINMAPRLVSEDFIKGWYLCQLEVMECKKVPWPDYVIQENYCIEDSGWPLKDHAYECKINLLTGRTHQVRAQLAACGAPILGDSMYMPPTIAEIANPGLNPFGKYKKHTSENDKEIAITEWISQFGKEPSVAIGLQACQISWDDGEHFYKARSPWWRSRKA >EOY28340 pep chromosome:Theobroma_cacao_20110822:6:25049663:25057571:-1 gene:TCM_029937 transcript:EOY28340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 31 MNMDFGRKLALFLILAFVSTISSVHPVTDDRHWRWYSKKELSSLGNDDLVTNLPGQPAVDFRHYAGYVTVNQKNGRALFYWFYEAMSHPDEKPLVLWLNGGPGCSSVGYGATQEIGPFIVDTDGRGIKFNNFSWNKEANMLFLESPVGVGFSYSNTSTDYDKLGDEFTANDSYNFLHQWFLKFPSYRNRTFYIAGESYAGKYVPELAELINDNNKDPSLRIELKGILLGNPETDGPEDWKGLMDYAWSHAVVSDETHKIISENCDFYSNDPWSNEDCNQAVDEVFKQYKEIDIYSLYTSVCIGDTAGSDDKSLLQVMMKRKSNMMPRILGGYDPCLDNYAKAFYNRLDVQKALHVSDGQHLRNWSICNHKIFDDWSDSKRSVLPIYKKLIAAGVRIWVYSGDTDGRVPVLSTRYSLKTLGLPIIMAWRPWYHQKQVSGWFQEYKGLTFATFRGAGHAVPCFKPSSSLAFFSAFLLGESLPYSR >EOY25928 pep chromosome:Theobroma_cacao_20110822:6:2779052:2780383:1 gene:TCM_027294 transcript:EOY25928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTCQPCLRWGFSASLNIYDSCHTGHTGLAPRDLLFHICPLYLGPVCLVIEADRHAIERS >EOY26271 pep chromosome:Theobroma_cacao_20110822:6:6883138:6883861:-1 gene:TCM_027748 transcript:EOY26271 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MDSKRSNKIREIVRLQQILKKWKKLANAPKNISGSSSSQLTTSGSSKSIRFLKRTLSFTDVSAASADAVPKGFLAVCVGKELKRFVIPTEYLGHQAFGVLLRKAEEEFGFQQEGVLKIPCEVSTFEKILEMVQHRKDAIFSHDSGCNVDKEIIGCYSPDCEFTPNAHHPQMCR >EOY25835 pep chromosome:Theobroma_cacao_20110822:6:2247753:2250601:-1 gene:TCM_047057 transcript:EOY25835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase (CBS) protein, putative MQQRRDERDATSAKSTLRLLENTVRDILADSRRLVEVPYTATLGDTLNALVANKVGAVPVAAPPGHWIGAGGTMTVESDKLTGAVRKHYIGMVTMLDIVAHIADDQTGGADLDKKMVVPVSSIIGQSLEGLSLWTLNPNTSLLECMELFSKGIHRALVPMDSQMENVQGVELVESASSYRMLTQMDLLKFLKDHASELEDIISSSVKELGTINENVYAINDRTKVIEGIKCLRTALLNAVPIVQSSDDIEEDHRQLINGKGRKLIGTFSGTDLRGCHFSALQTWLPLRAHEFTELVSTSPLFAAREGLSPKQLVTCHPDLPLSEVIDKVVSQHVHRVWVVDQQGLLVGLVSLSDIVRVMRVSMLSKIDVM >EOY25649 pep chromosome:Theobroma_cacao_20110822:6:941662:952252:1 gene:TCM_027031 transcript:EOY25649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLWKDTFVHFRNMCVYIMKRDRTSLIAIYTKVNIRRWHNQMCYWLTTLGLFSIISNLVVSSNENPDPIGKKTFKTLVLEINVVTSENDKCAIHTHFYKFLFLRCHACHKSIIGQAYRCGSLFSSYQLHESCLAHVFTNIIFIILDHILWIPQNYVTDAKSMLVKHLYHCMECRIFLHIECVPVPHSIKSKCHIHHLTLKDHFVEDDSREYYCNLCKEEMTPENHVFCCEECDGQFVVHIECVLLTAKWPLEYGVALDTFRYPNMGKVMTKAKKERSM >EOY26076 pep chromosome:Theobroma_cacao_20110822:6:4250004:4251969:-1 gene:TCM_046825 transcript:EOY26076 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase 2 QEYITTQPLLTPYKFGKFNLSHRVVLAPLTRQRSYNNVPQPHAILYYSQRTSRGGFMISEATGVSDTAQGYAHTPGIWTKEQVEAWKPIVDAVHAKGGIIFCQIWHVGRVSNYGFQPNGQAPISSTDKSLTPQIRANGVDVAQFSPPRRLRTDEIPQIVNDFRTAARNAMEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDEYGGSLENRCRFALEIVEAVANEIGADRVGIRLSPFTDFIEAVDSDPKALALYMVEALNKYGILYCHMIEPRLKMMGDVSEGPHTLLPMRKAFNGTFIAAGGYTKEDGNKAVAENRADLVAYGRLFLANPDLPRRFELNAPLNKYDRKTFYTSDPVIGYTDYPFLEANGDA >EOY25621 pep chromosome:Theobroma_cacao_20110822:6:688555:689089:1 gene:TCM_026997 transcript:EOY25621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein C, cotyledon-specific MKNLLFSMLLLSFLFFLANQGEAAVPCNTVDAKAAACVGFATGKATKPSAECCTGLQQLAQTVKSVDDKKAICRCLKAAAKSLGIQDKFLSKIPQACNINVGFPVSINTNCETIH >EOY28664 pep chromosome:Theobroma_cacao_20110822:6:26170427:26172837:-1 gene:TCM_030198 transcript:EOY28664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MNFTVCFTLFLFLVPLYLALTRKTSKELQPGSLGFPLIGQSLCFLRAMHTNTVEQWLQERIRKYGPISKLSLFATPTVFLHGQGANKFIFTTCDGNILSNQQPPSVRRICGERNMTELAGDDHKRVRGAVVSFLKPEMLKQYVGKLDEEVRRHLDMHWHGNQKVMVNGFLFLSFSQLYMRVMPLMKSLTFNIMSSLIFGIEHGERRNTLVELLQHMMNGLVSLPINLPFTRFNRSLKASAKIRTLIKDLISERRAALEQRIAIPNKDLITCMLSFGNNDPSVLMSDEEIADNVIGAMIEGHDTSSVLITFLVRHLAKDQSVYENITKEQAEIAKTKTSGELLTWDDLARMKYTWRVAMETLRMNPPLLGSFRKVIKDFEYEGYTIPKGWQDCIILHLLVIWAACMTHMDKRIFPDPSKFDPTRFEKQASTPPYCFVAFGGGARICPGNEFARIETLVTVHYLVTRFK >EOY28290 pep chromosome:Theobroma_cacao_20110822:6:24835456:24837570:-1 gene:TCM_029902 transcript:EOY28290 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein MAVSLLEREVSDLCLGKPALRSLSISATVGHALSVLKRFGDNYISVWNCDHRHLPDADKTDAGFEECRCVGKVCMVDIICFLCKEENLSNPGTALQAPVSVLIPKVPGLIRHLEPNASLVEAMDLILEGAQNLVIPLESGTTNSRKKLLQITLSNSTLHNNREYCWLTQEDIIRYLLNSIGLFSPTPVNPINSLNIIDTQNILAVHYDDPASLALPFIAQSLEMQTSVAIVDTDGKLIGEISPFTLNSCGEDVAAAIATLSAGDLMAYIDCGGRPEDLIQLVKERLQERNLEQALELMEEDSGISSGASFSSSYSSSSDEEFGVGRGGRLGGYSARLVRRSEAIVCYPWSSLVAVMIQALAHRVSYVWVVEDDGTLAGIVTFAGMMKVFRERLRSMA >EOY26633 pep chromosome:Theobroma_cacao_20110822:6:16111584:16113764:-1 gene:TCM_028549 transcript:EOY26633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVKNHVLLNKWILRYEDEKTTCGERNKCGRIVEFGYWVDERWVWDIPLRRNKVVFTGKEWNVGHCLELAKIRVAFWANVRWPNEYLLMIDVYIQPFEYSGPKQEKRGRKRITWDLQRMGEMKLNVDGTSTIDSNLAKRMAIKEALLIFIASIWKIERLKASLPSLEFRKIKKEAKQRAYGLAKNGAQQQMDILRTFE >EOY26689 pep chromosome:Theobroma_cacao_20110822:6:17025478:17035732:-1 gene:TCM_028643 transcript:EOY26689 gene_biotype:protein_coding transcript_biotype:protein_coding description:To encode a PR protein, Belongs to the plant thionin family with the following members:, putative MDLPLRVYINLSWRTERADLLKFQLYLSSPLQSKGKRRKMEGRGVGSVMMVCLVLGLLVGQSTASFQKCYAGCFLLCVFIPPNPVFSCAIKCLNECIIPSSTLESGKDTQYFCKLGCATSLCTDLSSKENPGEQKVGSCVDSCSETCAKKN >EOY28148 pep chromosome:Theobroma_cacao_20110822:6:24356681:24360207:1 gene:TCM_029796 transcript:EOY28148 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 25 MFSTLQKQIARPSASLPPGFRFHPTDEELIIHYLKQKASPSSNPPILIIADVNIYKFNPWELPDKAFFGENEWFFFSPRDKKYPNGTRPNRAAASGYWKATGTDKPIISSVGSQCLGMKKALVFYKGHPPKGVKTDWMMTEYMLLDDYFSSQRPKGSMRLDDWVLCRVRQKSKVPQQLGGRNYSGSSCSPPFSRGYLQGQEMTIENANILHGHHQLPAQYQMSPLESEELDEKGQIMDLQEGSPEYSLSNSPKTIDSNVRNVLKSIERELSVGALDELLPSQPDKRLKVSASDNSPANASVFQISFPAPSASYQQHFSEF >EOY27156 pep chromosome:Theobroma_cacao_20110822:6:20470405:20471009:-1 gene:TCM_029075 transcript:EOY27156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLHNIINNSTKERQKPKRSKSYRDGGMQMGSYYGGREGGPSNMQDLRCYSASYANSVQPNQLGKEIKIKKSKSPFGSSSKSWSFNDPELQRKKRVASYKVYAVEGKMKGSLRKSFRWIKDTYTQVVYGWR >EOY28756 pep chromosome:Theobroma_cacao_20110822:6:26458212:26461307:1 gene:TCM_030268 transcript:EOY28756 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY family transcription factor MDKGWGLTLDSDPGTKFFPNKTNSGGPFFRLKQQRDMFQFPVSLAGFREDHQGTSSSSSPSGQEKRPVAVDEVDFFSDKKTRVVVGGDDKTGTVSVKKETSHGEAAPGSDLDVNTGLHLLTANAGSDQSTVDDGVSSDMEDKRAKNELAQLHVELQRMNAENQKLRDMLSHVSNNYSALQMHLVTLMQQQRNQGTESTQEHEVRQGKSEEKKHDVIVPRQFMDLGPSGTAEADEMSHSSSEERTQSGSPPSNAEIASKNYVKSKNEISQFDQETSSFRDGKRVGREESPESEGWGPNKAQKLNPAKPVDQSTEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTAAAASMLLSGSMPSADGIMNQNLLARAILPCSSSVATISASAPFPTVTLDLTHSPNPLQFQRPPTQFQVPFPGQPQNFASVAAPQLPQVIGQALYNQSKFSGLQLSQDMGSAQLGHQVPHPQLQQPQQPTLADTVSAATAAITADPSFTAALAAAITSIIGGGAHPNSSSNTSNNNNNNSNANTTTTSRQ >EOY28935 pep chromosome:Theobroma_cacao_20110822:6:26915601:26917207:-1 gene:TCM_030399 transcript:EOY28935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKRDRSNRDAFFRVVFLGRGNGFVVPRKGSDKVLGSSFVVGSLVGWFYSLDDVLASTCSHDVRTRKSSEETSSQDHAPFSSVCRGLPLVCSRAKQDLHLQDTCSMQ >EOY27068 pep chromosome:Theobroma_cacao_20110822:6:19941982:19943596:1 gene:TCM_029004 transcript:EOY27068 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MSPFPSDLITDILCRLPVKTLLRFRCVSKPWGSLIDDSDFVKLHLHQSLKTNTNVKLFLDNCVENNSKAYAVDFDSLCNLVQFPRPFTAEANKYQSRIFGSCNGLLAVYHRQKGIALWNPSTRKCHYLPTLSDDINMDPDILLGCGYDKNTILGFGYDVSGNDYKVVNMLRSKTQNCFKVMVYSLKANSWKRIKDCPCDIFTNYNDGACVNGSLHWVEDEIGEFFGGKVIFALDFGTEEYYEVLEVDISFRQKKCGVEDHINLWVMKEYGAKESWIELLYLSRDEWLTNIFHSRAVAYSKSDEKILLDEGRGCQPVWFNLENETRETLCIPGAPRCQRFSTMIYVESLVSVY >EOY27344 pep chromosome:Theobroma_cacao_20110822:6:21336072:21338716:-1 gene:TCM_029210 transcript:EOY27344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTATARDDRRLARRTATVARGRLRQLMRIEEKAKKVFSVSKGKKGVHLMSVYNNRERDRRQKGIKEAKNEISPFPLIFDRLGWGELCVLERVGSLRTQRRNVETGNGKHVTLSPVAYVVHPRQDVLFLTQIADTCRLHRHTG >EOY27886 pep chromosome:Theobroma_cacao_20110822:6:23416905:23418163:-1 gene:TCM_029604 transcript:EOY27886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGSSHCKMFTESRGNIISSPHGCKVTFPLQRKVTTVIIPKLSCPFHDRIFFFFLLLPLVCYSFFFSLFFLPWLPERTPVAHLHISIKSRDRDPESNLLNAFEGSIYICLGCLDFWSLDFRILGVKRRSLHSSR >EOY27677 pep chromosome:Theobroma_cacao_20110822:6:22736349:22737563:1 gene:TCM_029463 transcript:EOY27677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELADVFSQQIGSMMNLVIRCFLVMTCFKTCVAMEMPVLMSWIKLVKMMGSGKKNS >EOY28829 pep chromosome:Theobroma_cacao_20110822:6:26656975:26658740:1 gene:TCM_030320 transcript:EOY28829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-forming enzyme METTFPVIDFSKLDGEERGATMEMIKDACENWGFFELMNHGISHELLDTVERLTKEHYRKCMEQRFKEMVASKGLEAVQFEINDLDWESTFFLRHLPESNISEIPDLEEEYRKVMKEFAVELEKLAEQLLNLLCENLGLEKGYLKNVFYGSRGPTFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDDQWIDVPPMKHSIVINLGDQVEVITNGKYKSVLHRVIAQTDGTRMSIASFYNPGSDAVIYPAPALLEKEAETSQLYPKFVFEDYMKLYAGLKFQAKEPRFEAMKTMESAVKLGPIATV >EOY28528 pep chromosome:Theobroma_cacao_20110822:6:25694533:25701847:1 gene:TCM_030071 transcript:EOY28528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MRNFQRGLAIGISVGVVIGVVLAMSVICCIRYRRKRSDLCHDEDKVSPRAETIPIRAHGADSSTMLSDSHRGQESPRTSEWSNMPQWLEGLKRKSVASACGIPKELERATYDFTTNIGHGAFGPVFKAQMKTGQTAAVKVLANDSRQGAMEFLSEKKENICYVYMSNGSLASHLYNEKHEPLSWDLRVRIALDVARGLEYLHHGAVPPVVHRDIKSSNILLDRSMKPRVADFGLSRQKANLHSSNVKGTFGYVDPECMSTLIFTKRSHVYSFGELIFEIMSGKNPQQGPMEYVELAAIDVENDVGWEEIADPRLQGTFDVQQLNHMAALAYTCNNPVSRKRPSMRDIVLTLSETHKPRNSRVQHGQNHLLKQRKLLSNWIFFFLKINFQTGSTRDC >EOY26673 pep chromosome:Theobroma_cacao_20110822:6:16867304:16868815:1 gene:TCM_028622 transcript:EOY26673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein, putative MDLIPNLPNDIARECLVRVSYDQFSTLLSTCKGWKTEIELPEFFHLRKATGHGQQLVIVAQARVDWDTKQGVLKECSAKPVYGLSLLKPDTGNWVDLPQLPDEFPDGLPYFCQLVAVGFDLVVMGGLDPVTWEVSDSVFVFNFLTARWRRGADMPGVRRSLFGCASDADGLVYVAGGHDEEKNALRSALAYDVAKDEWISLPDMARERDECKGVFHRGKFHVIGGYCTDMQGRFGKSAEVFDMAMWRWDHVQDDFLEASTCPRTCIDGDGADIYMICEGDVAALKDGRWQVIAKLPADLCKIAYMTRWQDKLLAIGSSRFDEPHNAYVLNLTKSEWAKLETPEKYYGHVQSGCFLEI >EOY25534 pep chromosome:Theobroma_cacao_20110822:6:372939:378215:1 gene:TCM_026932 transcript:EOY25534 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein MAAKTLFIALLALTCFVAFASGQNGFESGQSAFASGQRNSAVHKSVDDYKSDSEDVSLKPKQIIETDDRGSAAISDDHINDHRVGAVPNQSYRRHSPHQNFHQAADPKHQPKKGNLEVGFITSNPVNQLFKKVLNKGDVFVFPMGLIHVQRNVGYGNDVAVGALNSESPGVITIANSVFGSNPSIPSDVLGKAFQDAYASGQSAFASGERNSAVHKSVDDYKSDNEDVSLKPKQIIETDNRGSAAISDDHINDHRVGAVPNQSYRRHSPHQNFHQAADPKHQPKEVLPVIISPRLPRI >EOY28857 pep chromosome:Theobroma_cacao_20110822:6:26716297:26719553:1 gene:TCM_030336 transcript:EOY28857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase HT1 MGTSCFHAFRLRKSKSKHLPAPSSSKTQLNSDMGNMEKKRFDSLESWSMILDSENVETWEVSKDDQEEWTADLSQLFIGNKFASGAQSRVYRGIYKQRAVAVKMVRIPNHKDETRANLEQQFKSEVALLSRLFHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSTETILRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETQCLETKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKNERPPLPESCQPALAHLIKRCWAANPSKRPDFSDIVSALERYDECVKEGLPLTSYSGLVSRNVILERLKGCVSMSSSIPVHA >EOY27876 pep chromosome:Theobroma_cacao_20110822:6:23377363:23377786:1 gene:TCM_029598 transcript:EOY27876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGYNLVLMHNHVSTQNVTKIQKSRVWRTCDCKQRLIFYSHCRSSASFFYPYCHSSRCHVCDFKNMNEVLNRTL >EOY28055 pep chromosome:Theobroma_cacao_20110822:6:24098491:24100987:1 gene:TCM_029736 transcript:EOY28055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSPVRFSSCRGVAFEIKPHADPFATATPAQNETRSSSKKSWFPWYQGSSSRVFPSSIQRSASRASSHFCDLDLDEDEDENNEADFVLERLEEGKDEEKEEKPTFPFASKREQTPKPARKQESRLSVILLDQGLLTVYKRLFVVCLTVNIIGLVLAATGHFPYARNRAALFSIANILVLTLCRSEAFLRVVFWLAVKVLGRSWVPLPIKTATTSLLQSLGGIHSSCGISSVAWLIYALVLTLKDRESTSPEIIGVASTILSLLCLSCLAAFPLVRHLHHNVFERIHRFAGWTALGLLWAFIILTISYDPITKSYSKELGSRLIKRQEFWFTVAITILIIIPWLTVRRLPVKVSAPSGHASIIKFEGGIKAGILGRISPSPFSEWHAFGIISDGKTEHMMLAGAVGDFTKSLVSNPPSHLWVRQVHFAGLPYLVNMYDRVLLVATGSGICVFLSFLLQPCSANVCVLWVAKGIEQNFGKEIKEMMSGHPKEKVIVHDTAVLGRPNVSQMSVDAAKRWGAEVVIVTSNPEGSRDVVNACKGAGIPAFGPIWDS >EOY27563 pep chromosome:Theobroma_cacao_20110822:6:22402956:22407209:-1 gene:TCM_029395 transcript:EOY27563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MLYNDVLMSSSTTSVLNCKLRSPANTTIIYFLFFYFFISILLRHLSMILRYHGIKPRLWTNPLFTLNPSYLHFDTNFIDTQSPTPSSEPQSFIKTICSQVYESYHQQAHLRFSPPKLTLNINPYCLTHEQAISIVASLANEAGSMVALSFFHWVLEISKFRLFIRLYIVTATSLIKNGNFDKANEVMQCLVRSFAKVGRLKEAVEMVFEMQNHGLKPKAETLNCILGVGFEMGLLDYLEKVFDEMSERGVCGDCSSYKLMVVGYCRMGMVSEVDKWLTEMLGRGFIVDNATCTLVISLFCEKGFASRASWYFDKMVKMGFKPNLINYSCLINGLCKRGSIKQAFGKLEDMVRAGWKPNVYIHTALIDGLCRKGWTEKAFRLFLKLVRSDNYKLNVHTYTSMISGYCKEEKLNRAEMLLSRMKEQGLVPNTNTYTTLIDGHCKVGNFDRAYEFMDVMDKEGFAPNICTYNAIIGGLCKKGRVEEAHELLRDGLLHGLQADRVTYTILITEHCKQADTGRVLAFFCKMVKGGLQPDMHSYNTLIASFCKQKKMKESENLFEEALRLGLVPTKETYTSMICGYSRDGNVSLGLKFFSKMNDHGCVPDSIAYGTVISGLCKESRLEEACQLYETMMDRGLSPCEVTRLTIAYEYCKKGDSAVAMVMLERLEKKLWMRTVNTLIRKLCSEKKVGIAALFFHRLLDKDRNVDRVTLAAFMTACYETDKFALVSDLNERISKGIG >EOY25685 pep chromosome:Theobroma_cacao_20110822:6:1228314:1231141:1 gene:TCM_027071 transcript:EOY25685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPAMKEKKIVLLPDDVIIEIMARLPPKSIVLVTVYYAIVVSRKNFYLMESKYKCSCRNYPSLFHLFSTTDSNCPGNSSSSDNPSSLSSYLSNMKAAVKQYQKERLAAPFSRNRTNPSVPASKPILTSASSEEIRKNLSKFRRRPAVPPPTDASATPSQSQPPISLQELYKRCGSLTEKETESPTHGVDFKDLREGLSRLMMSEDEKQRRTSRKDCLGVAGPMACAFQTIKPLLIKFSDSYEALNYTANLTDLKAEIFHVLFVLEERLGWLFWIPSKQNNKVTKFIGGNNFTIAWRTSGALLQLGKALANME >EOY27642 pep chromosome:Theobroma_cacao_20110822:6:22595940:22597343:1 gene:TCM_029435 transcript:EOY27642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFFLLLEYGNIEGEEAEDNVEGDHKESNKVEVNNDINASMDDEDNLNDNELGKSSIIDGIGHGRFKLNESEYGLKERAREMRGWKDCESDKYTRLWSFAIELMHGNLGATVKIQVDRECKRKTVFLLFCACFKAWKERWMYESRPPIIGVDHTVFSKRFAKRELLVAIRRDGNN >EOY27096 pep chromosome:Theobroma_cacao_20110822:6:20119596:20120629:1 gene:TCM_029030 transcript:EOY27096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase-related family protein MSSSRFPSLLYLLTFAFLLQAAFGVDPLFHFCSNAGNFSAHDPYEDNLNKLTGYLSIQAPPSGFGLGSIGQKPNQAYGLALCRGDVSTPDCKTCIVEAGSEIRKRCPSNKGAIIWYDNCLLKYSNTEFFGQIDNRNKFYMWNLRDVSDPQSFNQKTKELLSQLANEAYATPKLYATGETELYGSQKLYGLTQCTRDLSSSDCKKCLDGIIGELPSCCDGKEGGRVVGGSCNFRYEIYPFVNA >EOY25931 pep chromosome:Theobroma_cacao_20110822:6:2786898:2787957:-1 gene:TCM_027298 transcript:EOY25931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase bri1, putative MQVKRRWTKYLLSGLDLSCNNLIGQIPSTLGSLSSIHAPNLSHNGLVGSIPTSFSNLVEVESLDLSCNHLSGNIPSELTSLKYLEVFNVARNSLSCKIPDTKQFSTFEESSYKENPLLCGLALKKNCTETPHSSMVSLDESDEKWFELDSTVFYATFAVTYILLLLRFVIVLFINPLAKKTVPFR >EOY26094 pep chromosome:Theobroma_cacao_20110822:6:4355126:4363651:-1 gene:TCM_027489 transcript:EOY26094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein MGVYLSTPKTEKFSDDGENDRLRFGLSSMQGWRATMEDAHAAYPDLDRSTSFFGVYDGHGGKAVAKFCAKYLHQQVLKHEAYLAGDIGTSVQKSFLRMDEMMRGQRGWRELAILGDKMDKVSGLIEGLIWSPRGGEANNHFDDWRSEEGPHSDFHGPTSGSTACVAIIRNNQLVVANAGDSRCVISRKSQAYNLSKDHKPDLEVEKDRILKAGGFIQVGRVNGSLNLARAIGDAEFKQNKTLPAEKQVVTANPDINTVELCDDDEFLVLACDGIWDCMSSQQLVDFVGEQLKNESKLSAICERVFDRCLAPTAGGEGCDNMTMILVQFKKPAGSGTSSEQQPPTLTDQTPAPETDKSTLESGS >EOY28243 pep chromosome:Theobroma_cacao_20110822:6:24712855:24719338:-1 gene:TCM_029869 transcript:EOY28243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDQTVVSMEQHEPVPVPIAWAFADCQHKVQIAGYPNAAVRFLPRLSSQGWEARAEIRTFPGPRVIRDSNFRLLTRTIWQQLRFIPAIAG >EOY26449 pep chromosome:Theobroma_cacao_20110822:6:10774584:10776799:-1 gene:TCM_028093 transcript:EOY26449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATGRPPYPLILSQHADSFSPMPQGTTDNPIIAKDLQPPDNDDLKKGDSQNQLLFSPHAQKKSFFSVAIGDKPLIIPANREPFCFRDRPAVFFFYDEIVALAQPFKYSMVGKFTQMPKMQDIRYAFKAIGLVGAYEIRWLAYKRFENNLYTWLGGQEINGQQGGKIKDRFATLKAVENDSVPLLSVPVSNHAWIEDSNAIGCSTSVSVENIVPKAITSHAAGPSEDMVKGSDENIPTMHGQETCDFNPSHATYGSSEGYSDWLPQNEDLEH >EOY25755 pep chromosome:Theobroma_cacao_20110822:6:1610319:1629240:1 gene:TCM_027124 transcript:EOY25755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFEIPDTKMESHGVNAVLVDEEAVGLVDETGCGAYILYGDGELDRFLAKRTLKHFVWAKHDSPPRGYRGKGYLYQIVWSHPMNPLIGIEMPPRMQTASRGIRGFNALDDTMKGPRASFFRNDRRGGLRGRIVGPQSSQNSNERRVGTSFGDTGGDYPEEPTATLEEIVAGLRGLTQEFAEFRKQRVYQSNETMGSSFEDSDYQPYREIDRGNVMVTLGDFMILKLPSFLGTKSTKDPQVFLDEMDKICTALGCSSLQVVELTGFRLTEVVQIWFATLKRCKPPSSAPFTWEEFTQAFMDRFLPESVRDAKTQEFETLMQALGMTVSDYDIQFTQLSRYALYLVQTEKERIKRFIKGLHEPIYKILVSQRFQSYPEVVDAARKLEARRKEVGAERERSKRNRGEGSSKYRDPSRGKDANIVGQQGRRDEFAYNNSYQVSIKMAPFEALYGQKCSEQITLIKVQRLCLKIGIRSTSTPRTKVRKLIREPCEVSIGIRVKNVCRGFAAVVMGLMESFGS >EOY27592 pep chromosome:Theobroma_cacao_20110822:6:22504135:22506010:-1 gene:TCM_029413 transcript:EOY27592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MACFVPFNNRNLDVTLFVFRPTVVLVDELVDSLKQFCVCTETLGCVQSSIFKSIHGNLIIWYGGWMKKATENKEVLTATLLSMLASMSSMAVLIEHSFFDAYAGESKDGSNAAKYSTGDIVSMNVIASSSSDINDVSYANLALFKSRFLKMEGATAGVCLKCQSMPMVACLYVWKSLLLCYSWILASDYRKTMLPYLERFSLSVKYDIFWVVYVSSENVHNYQIPPHHHVLENRGETTNEGRII >EOY28208 pep chromosome:Theobroma_cacao_20110822:6:24570068:24573113:-1 gene:TCM_029840 transcript:EOY28208 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP/ATP carrier 3 MEHGPMHPSVSQKIHWQPDLPVRHFNYTPRMQNIHVDGALVNRKSSSIVTPLPPAFAGAPLENLKDPVLIDLAATGIVRTAVAPFERVKLLMQNQNGMIRSGRLPQPYNGIFDCFARTIRKEGILSLWRGNIAIATAYVSSKAIHYKSYEYFASRIDSSWSIFEVVAAGGFSTAANLFLVYPLVYAGTRMANDVKTANDVKTAGYSSKRQFNGILDVYRKTLKVDGISGLFRGYTMALAQVGVITALSALLRPWYQYLSIQSQNNDLGKAILDCVFDCSGSLASYPLGTVSRRMMMTSGEAVKYKSSRNAFAQIFKTEGVESFYKGAGADILVSVAFKGYALLLSHLYAAYFAAMANGGDGGRPGFALSIKWKKDGVK >EOY27564 pep chromosome:Theobroma_cacao_20110822:6:22409119:22410894:1 gene:TCM_029396 transcript:EOY27564 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXORDIUM like 1 MHKLSWYVLLLSLLYFPLALSWSQDTQFNQAENYEGSSDLVNLEYHMGPVLASPTNLYIIWYGHWNPTHQATIRDFLYSLSSSAPYPSVADWWKTVRLYTDQTGSNITGSISLSGEFYDYRYSHGGYLSRLSMQSIIKTAVTSYPRPLPLNPRNGLYLVLTSSDVQVQDFCRAVCGFHYFTFPTIVGVTMPYAWIGYSGTQCPGMCAYPFAWPNYSGKPPPSTNGGNNIMRAPNGDAGVDGMISIIAHELAEVSSNPLVNAWYAGDDPTAPTEIADLCLGLYGSGGGGGYVGKVYKDSWGNGYNVNGVKGRRFLVQWVWNPVKRRCFGPNAMD >EOY28498 pep chromosome:Theobroma_cacao_20110822:6:25522406:25529215:1 gene:TCM_030040 transcript:EOY28498 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein MEARLSIFTCFTLLSFLLKPSFAIDTIASNQNLTDTGETLVSAGGHFELGFFSPWNSNYRYIGIWFKNVPQQTVFWVANKNNPLTDSSGVLMITATGNVIILRNQSSNPVWFSNSSATSNNPVLQLLDTGNLVVKDVSSENYLWQSFDYPCDTLIPGMKLGWSLQTGDGWYLSSWRSLQDPSTGDYTYKVDHQGLPQLFARKGTEIVYRSGPWDGLRFGGSRRFEENAVFNPLFVSNTELIYYSFENLDKNTISRFVLNQSGVVEHVTWNDRRGEWAVIMIMQTVRCDEYALCGPNGFCDINRDSVCYCPFGFTPRVPRDWNALDWSEGCVARTSWNCSSATKFFKFTGLKLPNHSEILDSNSMMSRSECEQACLRNCSCVAYAKVEVSGCVMWFGTLIDVRQYSREEYGKDLYVRMDASEFESNKNVKRRAVIISISVASGVLLLMTLTWCYLTRKRGLKKSPAQEMNNTHEFHPNPEEEDLDLPLFDWLTVASATNDFAFTNKIGEGGFGPVYRGKLQTGQEIAVKRLSKDSGQGLTEFKNEVIFIAKLQHRNLVRLLGCCIYGEERMLIYEYMPNRSLDRYIFDKTRGTSLNWQNRYDIINGIARGLLYLHRDSRLRIIHRDLKASNILLDGEMNPRISDFGLARTFGGDQSEANTSRIIGTYGYMSPEYAIEGLFSVKSDVFSFGVLVLEIVSGKRNRGFYHPDHDLNLLGHAWKLWNGGTPMEMIDPFMEKPVSTLEVLRCIQVGLLCVQQRPEDRPTMSSVLLMLDSENPSLPQPKQPGFYTERFFTETDTSSTGKMPCNSNEITISMLQGR >EOY28895 pep chromosome:Theobroma_cacao_20110822:6:26818866:26821018:-1 gene:TCM_030371 transcript:EOY28895 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein, putative MSKLPMDVITDVCYRLPVKTLVRFKSLSKPCCSVIDDPDFIRAHLNRSNRTRSNLNIILRGLHLYSVEFDALDTAIPLEYPLSNGAGTEAFGSCNGLLALRMTEKSLALYNPSTREFRRLPVSQIDPPPGESCKSGYVFYGFGQDVKTDDYKVVRMAQFNKDDEEDDEGYFFDYEVKVYSLKNDSWRKITELPHYLRFMFQFFYHLLHRRGYGVLAGGVLHWVMPPRIELGMRTSRIVGFDLTTEKFVKVPQPECADRNYLLDVAALDGCLCAVCNYNQEFVDVWVMKEYGMKESWTRLLSVQKTRAINALTFLRPLAYSKYRDKVLLEINNQKFMWYDLQSKKMRSVKIGGSSTSFGAEVYVGSLVPIEDRKRVENQKQNEREEQKKRNRKKRDDFLSQGFKLVL >EOY27577 pep chromosome:Theobroma_cacao_20110822:6:22448301:22448937:-1 gene:TCM_029405 transcript:EOY27577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFHSVALSLSPTNILNSYITAQVGGPHSPSGPPRSTLRPALLIKENTSNRPTLPCLPPTTVKIYIPSTLSAAPTSRHVSYVEMKSVSHFFLPRVFSKLVKKAISTRQE >EOY27897 pep chromosome:Theobroma_cacao_20110822:6:23507638:23515541:-1 gene:TCM_029617 transcript:EOY27897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like kinase in flowers 1, putative MFFPKATTLLLSVVLAFSWLDTNKLDAANLPQDEVNILNQIARRMGNSDWNFDADVCNVTENVDRDTGSEKNITCTCQNGTCHVTHVIFKHQSLPGVLPTELVNLPYLKVIDLAYNYLNGSIPPEWASMQLEFISVFGNRLSGNIPTYLGNISSLTYLDLEANQFSGEVPPEIGKLVNLRTLRLSSNRLSGNLPVQLAQLKNLTDFRINDNNFNGSIPDFIQNWKNLQRLEMQASGLEGPIPSSISALRNLITLIISDINGTNQPFPDLWNMTGINRIILKKCNIIGQIPQEIWQLSKLRVFLSGELIKVTLPLYLKFLYLTGNKLSGNIPASILQTGLAVDLSYNNFTWQSPEQPACTQKMDNINLFRSSSTEYLRRGVIPCTSDFKCQQYWHSMYINSGGDNDVKINGTMYVGDAKSGLGGAATLYRNNDNWGFSSTGDFRDDNDELNAASRYLKQSASMPNQLYATARLSPLSLTYYRYCLENGSYTVRLHFAEIEITNNTRYARLGRRIFNIYIQNELVEEDFNIEAEAGGFLTPLTKHYNVNVTNGEIEIHFYWAGKGTQAIPSRGVHGPLISAISLDPNFKPQHAEKKTKTLPIVVGVLGSFLIFLVSGVLCWRYYFKTKSRREKDLKGLDPQTVSFTLKQIKAATNNFDSVNKIGEGGFGPVYKGQLADGTIIAVKQLSSKSSQGNREFLNEMGIFSCLQHPNLVKLYGCCIEGNQLLLVYEYMENNSLSRALFGPEYSRINLEWPTRHKICVGIARGLAFLHEESRLKIVHRDIKATNVLLDRDLNPKISDFGLAKLHEEEKTHISTRIAGTIGYIAPEYALWGYLTYKADVYSFGIVALEIVSGKHNMNYGPDDKHTCLLDWACHLQQSGKLLELVDNQLGSEYNKSEAEGMIKVALLCTNASPSLRPTMSQVVEMLEGTIAIPDAVPNASSYSEDLRFKVIRDHRSSIYSQNFGESQGPSMTYSGSQFESSSTSALNINETNEES >EOY27459 pep chromosome:Theobroma_cacao_20110822:6:21903922:21904473:1 gene:TCM_029307 transcript:EOY27459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGYQLRRPVQQPLSHHLHTPSLTTWPMFDRGISELKLQQEQILYLGSFKKQFFGCRKKLIVRVFLLLILV >EOY27743 pep chromosome:Theobroma_cacao_20110822:6:23015137:23019304:-1 gene:TCM_029517 transcript:EOY27743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRLKIVPNDSTVAWQIGKAISCHVHAPNQTPPTFHTNTISDFDSLKRGAVGRLKFTKLPSPTKINPPSPTIPFIRVLLKLNFSSPIYNCLETRLPLQPKAKVPKRKKRKNKKKKKEVMGGTKRWVLFKRLIKKESWRWKFLGSAFKWKRLNIQLSFVDDVLFRIASVLEAIFLVATLCFFYLCCGCHF >EOY26202 pep chromosome:Theobroma_cacao_20110822:6:5617327:5619898:1 gene:TCM_027629 transcript:EOY26202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWHLRRFFSESCVQTSNIGTAVSSAVIGKKLMYLDISNVSRYLAIGGYLFAFLYVIIEVQSYFRLWFLVIIMHT >EOY26276 pep chromosome:Theobroma_cacao_20110822:6:6941379:6947022:-1 gene:TCM_027755 transcript:EOY26276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-tRNA synthetase, class Ib, bacterial/mitochondrial MAEQSPPDQTPPSSDIQSLSINSQPSSSSSSSSTPQLSVEERFRIIRSVGEECIQEDELLNLLTHKPEPICYDGFEPSGRMHIAQGVVKAINVNKLTSAGCKVKIWVADWFAQLNNKMGGDLKKIKIVGQYLIEIWKAVGMDLNGKVEFLWSSDEINSRASEYWPLVMDIARRNKLPRIMRCCQIMGRSEQDELSAAQILYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLQQGQEKMSKSDPSSSIFMEDEEAEVNVKIKKAYCPPKIVEGNPCLEYIKYIIFPWFHEFRVERSAENGGDKTFKNFEELVSDYESGELHPADLKPALSRALNKILQPVRNHFNNDAKAKDLLKRVKSYRVTK >EOY26054 pep chromosome:Theobroma_cacao_20110822:6:3873320:3878199:-1 gene:TCM_027436 transcript:EOY26054 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein, putative MSGPPRVRSVNIATEMEARSVLGPTGNKGPRKPAPKSVKKTEKPVQETGERQEKEKEKEFLSPQKQQMPVPQSLTLTASILRQQERKAGNLSMSLSCLSDGGASSSSAGSSSSGRTGGGRRGGGVRVGVGVRRKQSGVKVEKVESGVEVESGAGGCLEDKKRCGWVTPYSDPCYAAFHDEEWGVPVHDDRKLFELLSLSGALAELTWPTILRKRHMFREIFLEFDPSSISKLSEKKIGAPGSLASSLLSLSELKIRGIIENARQICKVIDEFGSFDKYIWSFVNHKPLVGQFRYPRQVPVKSPKSEVISKDLVRRGFRSVGPTVIYSFMQVAGLTNDHLISCFRFLECITGLESREKGNGEANGETRKLEETTGLG >EOY26470 pep chromosome:Theobroma_cacao_20110822:6:12140199:12140599:-1 gene:TCM_028189 transcript:EOY26470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRSNGSQDTPYNTSKGSLSSTAKSQWRPDLGSQKSGQSRIPIGWIPNGLEIMFLSGESLESSESLESNDSTKI >EOY27525 pep chromosome:Theobroma_cacao_20110822:6:22252192:22255677:-1 gene:TCM_029363 transcript:EOY27525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPIFAHQNTSNIINKFIVEGLIKMFDETNEIFKVFRQARDRCEESDNISIQLKLLETRNNRDKNYATPIGSERAGLIVGDVGESDITYTIEFQKRGPPHVHILLWLESTAKCMNDEDVDRIISAEIPNKEHDPVGHEAISNFMIHGQCGMHNPSSPCMTRGNGNIPAFNYEDDDEPCGIEIPDDLLLPSVEDMIEAIVSAVDDKL >EOY28287 pep chromosome:Theobroma_cacao_20110822:6:24823780:24827744:-1 gene:TCM_029900 transcript:EOY28287 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MYVGSMRKSFKDSLKVLEADIQHANTLASDFPREYDGACVQMRMSYSPAAHLFLFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIYPSLLQLQRGVTDTEDKKQKAVCMERYRRREDEEYRQLTDVDFEREEECGICMEMNSKIVLPNCNHAMCLKCYREWRSRSQSCPFCRDSLKRVNSGDLWVFTDSRDIIDMATVTRENLRRLFMYIDKLPLIVPDTIFDTYDSHLR >EOY28879 pep chromosome:Theobroma_cacao_20110822:6:26773341:26775197:1 gene:TCM_030358 transcript:EOY28879 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT family protein, putative MAEASWNLINPFSLLLASLGYCYFLLTRIPLGILRFMFLLPIFYLFSILPWYFPSALLRGILSFFITWIASSKLLLFCFNQGPLVHCQNFPDFIAISILPMKIKEQPTSSRSAMGRYEPVQLFNKPYLATSLQDFWGRRWNRYASNILRETVHKPTRNMLTSVVGVGPARVLALICSLVVSGVLHEMLFYYITCGKKPTWEVTWFFALQGLSMAFEAALKKWVRTKGWTVHSLGSVILTCGFVVVTFYWLLVLPVWRDGRNSCDC >EOY28560 pep chromosome:Theobroma_cacao_20110822:6:25797865:25802756:1 gene:TCM_030101 transcript:EOY28560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 30, putative MKNKLIPRTKMMQADHIPSAKSTLFIVDNDSDSDEISRILNHVRLATFRTPETGKKGHRNGQDRKMWVKALVCLLPNLLIGMSLATALRCYDTGNFTTNSTYAMNRDLILASLPANVSANGGFFTATIGQEPNKVYALGLCRGDSSSEDCFSYLDSTTRDLIAKCPNQKEAISWGGDPPSMVRYADRSFFRILELEPYDTVPNVYDINSNLTQFYHVWESLMVSVMGNASMGSSRLKYSTGQAESADSQTIYALMQCTPDLSQSDCETCLKESVVLYRSKFNRKQGGYVQRPNCWFKWDLSLFYVLNASTVAPPGSPPPPTTNITITKEGGGISSQTVVIIVVPIMVFVAVVLIASAILLKRRKPKQENETADEKSCEESFQFDFNAVRVATDNFSPAKKIGKGGFGVVYKGKLPDGQVVAVKRLSGNSGQGEQEFKNEVLLMVKLQHKNLVCLLGFSWERKERILIYEFVPNSSLDNFIFDPIKRLLLNWEKRYKIIKGIARGILYLHQDSQYRIIHRDLKAANILLDAEINPKISDFGMAKLFVVDQTQADTKRIVGTYGYMAPEYARKGHFSVKSDVYSFGVLVLEIVSGKSINGFRDEETGVNLITHAWKNWKEGTPLKLIDDILLDDSRSEMLRCIHLGLLCVQENIAHRPTMDSVVLMLSSSSISLRAPSRPAFLLQSSKVPEGRPESSRSSQSKSAEVQVTVNEASFSELDPR >EOY25695 pep chromosome:Theobroma_cacao_20110822:6:1295383:1297830:1 gene:TCM_027080 transcript:EOY25695 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein, putative MIAILLFDVTIKFCRGDKPTLEPGLLLKRSTLSKNVQFKYILKTHQAISDSIHGDFTQCMSTQFRDYTRSFEIIFTSDSPLYSSILKSTLQNMRWLNSSTSTPLLIITPFHESEIQAALVCSKKLGLQIRVRSGGHDYEGLSYSCKTPFIIIDLTNLRAIEINVEEETAWVQSGATLGELYYAIGKKSSIHAFPAGLCPTVGVGGHFSGGGLGTLMRKYGLAADNVLDAYLMDVNGRILDRKAMGENLFWAIRGGGGASFGIILSWKIRLARVPQTVTAFTISKTIEQGATKLVHRWQYIADKLPEDLFIRVIIQDVQGSGHRNNKTIQASFNSLFLGEIDRLIPFMNESFPELGLLAEDCIQMSWVESTLYFAGFQQGQPLEVLLDKTQLYKSSFKAKSDFVREPIPEEGLEGIWKMFLAEEMVFMIMDPFGGKMNTIPVSSVPFPHRKENLYNIQYIVKWQVNDVKASHKHIHWIRMLYEYMSPYVSKGPRAAYLNYRDLDLGTNSQGSTSYAEASEWGKKYFKGNFKRLARVKSMTDPHNFFRNEQSIPPLPTPGKKKYK >EOY25473 pep chromosome:Theobroma_cacao_20110822:6:175273:178419:1 gene:TCM_026896 transcript:EOY25473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel C-like protein MPASFRALIMNIIRYLPHILVVTWFWLLLLSPSTYIAPATASSGIPKVKAYFHGVDAPSILVPITCFAKVAECLTLVDIFYNSYCSNGVRGFIDFCHSGKCGLCGQGGLIMFDVNSTNPNYSVLELVAIIFLWIIGGVLGSLYNYFVDKVLRTYSIINKYV >EOY28977 pep chromosome:Theobroma_cacao_20110822:6:27010647:27012658:-1 gene:TCM_030428 transcript:EOY28977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide (PPR) repeat-containing-like protein MAYHHLCSSPSYVFRDRHTLSASPKTRPARSTAPSLKQVSCLFQSKSSIQISHVSLQDPITQTKNTPKHSNSQSPDGKTGSSTKSYVWVNPRSPRASRLRQLSYDSRYSSLVKVADSLDSCKPNEHDVLSVLSRLGNDVLEQDAVVVLNNMSNPHTTLLALNHFQRILKKTSREIILYNVTMKVFRKSKDLDGAEKLFDEMLQKGVKPDNVTFSTLISCARALAAKPNLAIYNTLLDAMGRAKRPWQAKTIYKEMTNNGFSPNWATYATLLRAYGNMEKFFLTTMVLKVDLQCCRCYKKVKKVLYKFPREKWSELIFDDGFSEQEIYETSIEIKPPPPPPKPKEPEKKPEKPKEPEKKPEKPKEPEKKSKKPKNLRSPRNLRRNLKNQKKLRRLKSPKRSLKSLRKLKNQPQPQHQSL >EOY27180 pep chromosome:Theobroma_cacao_20110822:6:20567153:20571197:1 gene:TCM_029092 transcript:EOY27180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MQALNLQRKFEMLRMKEKEIVQEFSEKLMKLVNQLRLFGEELTKKHVANKVLVSLPKKFDSKISSLEESRDIARLTLSELINALEAREQRKAFREEDYTDSAFVARTRNLKLGNNSYKRNRSFKAKVKIGNGVFLERVGTGTVAMETDLGYKYITNVYLVPDANQNLLNVGQLTESHYALLFKDRFCTILDPKRDEVLTIEMKNKCYSIDRKHTEHKAFVSSIVDSKLWHRRDIRFDEQSWWNWDKLVFESFGSFSQTSVDEQCETDNDEDIKAEHLAVKGTRSLQDIYSRCNMEVVKPTCYYEAAKDARWLKAMEQEMQMIEKNGTWILVDKPVDQHIIGVKWIYKTKLNADGIVNKFKARLVVKGYSQIYGIDYCETFAPIARHDTIRLLSALAAREGWKILHLYVKSTFLNGYVSEDIYIQKPEGFIKPGTERKVYKLVKALYGLKQAPRAWYDRFDPFLIAEGFVRSLNEHTLYVYKSAKTVVVIISLYVYDLLIIGLDDTAVTECKSKLIAEFEMTDLGEMHYSLGMQFIQHSEFICIHQGKYATELLKRFHMENSKAVETPLAANCKLRMMELLRLQLLNTEIHFSTAKRVLRYVKGTVNYGLKFEKKDSGNMIGYCDSDWARSLDDARSARGYCFSFGSAIFSWNSKKQEVVAQFSTESKYILAATIANQAIWIRKILGDLGFE >EOY26315 pep chromosome:Theobroma_cacao_20110822:6:7445307:7446115:-1 gene:TCM_027802 transcript:EOY26315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVQERIVLGHQVSSKSLEVDKEKIEIIEKLPPPTSVKGIRSFLGHAGFCRRFIRDFYKISKPLCNLLEKDTSFNFDDAGHDAFVELKKRLIFAPIITIPNWNLPFELMYNASDYAVGAILGQ >EOY26861 pep chromosome:Theobroma_cacao_20110822:6:18774282:18776155:1 gene:TCM_028837 transcript:EOY26861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta tonoplast integral protein MARIAFGRFDDSFSLGSLKAYLAEFISTLLFVFAGVGSAIAYNKLTSDAALDPDGLIAVAICHGFALFVAVAVGANISGGHVNPAVTFGLALSGQITILTGIFYWIAQLLGSIVACFLLKAVTGGLAIPIHSVAAGVGAIQGVVMEIITTFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGDFHDNWIYWVGPLIGGGLAGLIYGNVFMQSEHAPLSNDF >EOY26397 pep chromosome:Theobroma_cacao_20110822:6:9202404:9206316:-1 gene:TCM_027965 transcript:EOY26397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKKKIGRGKVFFAPILFVRVKCDLIIDGGSVQNIISKEAVDKLKLPTSKHPHPYKIRWIKKGHEVLVTTQCLVKFTMGGNLDDEILCDVVPMDVGHILVGCLEDEIEYGEDENPFYDVGPAILAVHGELGEQLLHALALNVCGVMIKRAERRNFNQYVMMIRMKMRNHNLFMMSMMKTMGKLMFIQFKESEIPKHPFEVWQLLKELKVAYQEFGWDRKTTHKTPDGFNG >EOY27455 pep chromosome:Theobroma_cacao_20110822:6:21804608:21841497:1 gene:TCM_029299 transcript:EOY27455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase MGTRCIYIPISLFVKERKRMIMSTKMPSSSKWFFFFFFVISFIACSISNAQTQNATTDPSEVRALNSIFQQWEALAPETWNISGEPCSGLALSDSDSVFEDSSNNPSIRCDCSFENGTTCHITRLKIDQNFFTGPLPTFIGNMSRLALLSVAQNSLSGPIPKEIGNLKDLYLLSLGTNNLSGTIPPELGNLVKLQQLYINSCGLTGEIPSTFANLRNLKIVLLNFRGASDNAFTGKIPDFIGNNWTTLQSLRLEGNSFEGPIPSNIGNLTSLTILRISGIYNGSSSLDFVRKLKNIADLVLRNVLLTGSIPTDIAEFQALQKLDLSFNNLTGQIPSELFNMNSLTFLGNNSLSGTLPSQKSQSLKNIDVSYNHLSGTLPSWMDSSLQLNVVANNFTLNSSDIRLLPGLQCLQTGFPCNRNAPRYANFAIKCGGPQMIADGILFEAENSTLGAATFNVTSTQKWAVSNVGLYEDRENPMYVQNTFAQVKSTNTPEIYQTSRISPLSLRYYGLGLENGPYTVNLFFAETAYPDRSTQSSKSLGRRVFDIYIQGSLKVKDFDISKEAGGAERAIARNFTANVTENHLEIHLFWAGKGTCCVPELGYYGPSISAISVVPDFIPTVSGLPPGSSKRKNRAALIVGVVVPVGVAALILICVIIHFERKKEYDDEEVLLAIGPRPNTFSYAELKAATEDFSPSNKLGEGGFGAVYRGTLSDGRVVAVKQLSVASHQGKGQFIAEVATISAVQHRNLVKLYGCCIEGKRHLLVYEYLENKSLDQALFGRSDLHLDWATRFNICLATARGLAYLHEESRPRIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHISTGVAGTIGYLAPEYAMRGHLTEKADVFGFGIVALEILSGRPNSDNSLEDGKIYLLEWAWALHENNQSLDLVDPNLVELDENEALRVMGVALLCTQGSPTMRPPMSRVVAMLAGDIEVNGVIKRPSYLTDWDFKDLTGSFMTEDTQNSIASENIDNNGNININPRAAPNLSSVNVTECSDVMEGSISNAQIQNATTDPSEGNRFLSLYISASLQNPRKIDQNFFIGPLPAFIGNMSRLTLLSVAHNSLSGPIPKEIGNLKDLYLLSLGVNNFSGTLPPELGNLVELQQLYINSCGFTGEIPSTFANLRNLQTVLLNFRGASDNAFTGKIHDFVGNNWTKLQSLRLEGNSFEGPIPSNIGNLTSLTILRVSGICNGGSSLDFMRNLKNIVDLVLRNVLLNGSIPTDIVEFQSLQKLDLSFNNLTGQIPSELFNMNSLTYLFLGNSSLSGTLPSQKSQSLKDIDVSYNNLSGTLPSWIDSSLQLGFPCNRNAPRYANFAIKCGGPQMIADGILFEAENSTLGAATFNVTSTQKWAVSNVGLYEDGESTGSLKVKDFDISKEAGGAERAIARNFTANVTENHLEIHLFWAGRGTCCVPELGYYGPSISAISVVPDFIPTVSGLPPGSSNRKNQTALIACIIVPVGVAALMLICVIIFIKRRKEYDDDDDEEVLLAIGPRPNTFSYAELKAATEDFSPSNKLGEGGFGAVYKDTLSDRRVVAVKQLSVASHQGKGQFIAEVATISAVQHRNLVKLYGCCIEGKRHLLVYEYLENKSLDQALFGRSDLHLDWATRFNICLATARGLAYLHEESRPRIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHISTGVAGTIGYLAPEYAMRGHLTEKADVFGFGIVALEILSGRPNSDNSLEDDKIYLLEWVTMDHADGSLRVGAGFIIRRPNDDFLCAAGRKIQYCASVEEAKLRALVWALSFCVKKQITIADIYLDSQVVTGWIKKQQFFGALGHLIEDCAILTERINCQAIDFCPRESNKTAHSIAQIAKRMMDEMIEWKNSSHLPVLIQEAIDVDRDLFIRYEGCCTNSFFSNVTEFSDIVEGK >EOY26579 pep chromosome:Theobroma_cacao_20110822:6:14518790:14522284:-1 gene:TCM_028413 transcript:EOY26579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAASRRRTPHHFPTCRFPLPHATRDHPQPCSSKTPSAASQQWLLTNYGTSKLASYFPPHSEEVIFGYSGDKHADHDTLESGKQLVGNALDTLTAACTREMMKGNNENILNLDLDLRKCKDTHAPWLIDGDFNVILKREERLYGATLHDGSMEDFAATLLICGLLNGGFEGNPYTWTNSKMFQRLDRVVNNHQWASCFPNTKIQHLNRDGSDHCPLLFSFSKSFEKSPSSFWFLHAWVQHHDF >EOY27075 pep chromosome:Theobroma_cacao_20110822:6:19983003:19985912:-1 gene:TCM_029011 transcript:EOY27075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein NTIFDNGCSQGNRETDSKALRDFGLTFRTISVYRDTAAVVTGSRGVPGRDTKCIAIENGERTSFWDKEWIESHILMHSFPRIYALACKKEGKVANFRL >EOY25812 pep chromosome:Theobroma_cacao_20110822:6:2046716:2047339:1 gene:TCM_027177 transcript:EOY25812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKITTLYKLLFFIFNFLSFFKKNLTFFCHQIHSEQACSLLMELPRSSRSLRKGPSLMLKIGLDLKLQIVPNLEFQITPGLALFGMDECQIWP >EOY28574 pep chromosome:Theobroma_cacao_20110822:6:25857724:25860081:-1 gene:TCM_030121 transcript:EOY28574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 10 MCPYSEKEKQKKSNRIALGASLSATLGLALFSACGFFIWRRRNIQEDRENSQEVQLLDLVEGRIRYEHTSENPSGEREARSQEFPSIQLHILHAATNHFCDENKLGEGGFGPVYKGALPDGKEIAVKRLSRTSGQGLLEFKNEVMLIARLQHRNLVRLLGCCLEKNEKLLVYEFMPNRSLDVFLFDSSMATQLSWLKRFSIIKGIARGIMYLHEDSRLKIIHRDLKASNVLLDHEMNPKISDFGMARIFDGDQNQANTNRVVGTYGYMAPEYAMEGLFSIKSDVFSFGVLLLEIISGKRNNGFHLSEHGESLLTFAWKLWSKGQGMELIDQLLVQSCVAAEVLKCIHIGLLCVQEDPADRPRMTSVIVMLESETITLPRPSVPAFSVGRVVAEPTQPTSNDRICSVNEVTISNLSPR >EOY26656 pep chromosome:Theobroma_cacao_20110822:6:16706219:16709340:-1 gene:TCM_028602 transcript:EOY26656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid inducible 19 MAKEGLGLEITELRLGLPGGGAHSAQHGMDKNEKKRVFSEISEDNENGKISNDQKMQSKSQVVGWPPVCSYRKKNSFSEKLDGSKASKIYVKVSMDGAPFLRKIDLGMHKGYSDLATALEMLFGEALRDAESCEFVPIYEDKDGDWMLAGDVPWEMFIESCKRLRIMKRADAKGFGVLRSREALNGSLKNE >EOY27458 pep chromosome:Theobroma_cacao_20110822:6:21874089:21893618:1 gene:TCM_029304 transcript:EOY27458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase MNGKLTVRALNSIFQQWETQAPDTWNISGEPCSGRALSDSDSVFEDSSNNPAIRCDCSFEKGTICHITRLRVFSLEKRGQIPEELLALRFLTFLKIDQNFFTGPLPAFIGSMSRLALLSVAQNSLSGPIPKEIGNLKELYMLSLGINNFSGTLPPELGNLVELQQLYINSCGFTGEIPSTFANLRNLQIVLLNFRYASDNALTGKIPDFIGNNWTKLASLKLEGNSFEGPIPSNIGNLTSLTILRISGIYNGSSSLDFVRNLKNIADLVLRNVLLTGSIPTDIVEFQSLQKLDLSFNNLTGQIPSELFNKNSLTFLGNNSLSGTLPSQKSQSLKNIDVSYNHLSGNLPSWIDSSLQLLLPGLQCLQRGFPCNRNAPRYANFAIKCGGPQMTADGILFEAENSTLGAATFNVTSTQKWAVSNVGLYEDRENPLYVQNTFAQVKSTNTPAIYQTSRISPVSLRYYGLGLENGPYTVNLFFAETAYPERSTQSWKSLGRRVFDIYIQGSLKVKDFDISKEAGGAERAITRNFTANVTENHLEIHLFWAGKGTCCVPEFGYYGPSISAISVVPDFKPTVSGLPPSSSNRKKQTALIVGIIVPVGVAALILICVIIFIKRRKEYDDDEEVLLAIGPRPNTFSYAELKAATEDFSPSNKLGEGGFGAVYKGTLSDGRVVAVKQLSVASHQGKSQFIAEVATISAVQHRNLVKLYGCCIEGKRHLLVYEYLENKSLDQALFGRSDLHLDWATRFNICLATARGLAYLHEESRPRIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGIVALEILSGRPNTDNSLKDDKIYLLEWAWALHENNQSLDLVDPNLVGSDENEALRVMGVALLCTQGSPTMRPPMSRVVAMLAGDIEVSGVITKPSYLTDWDFKDLTGSFMTEDTQNSIATENTDNNSNININPGAAPNLSSVNVTEFSDIIEGRYGHIKRDCPTKGDESNENKGECAFVAEGDDCDVLTISENMDANSYWYLNSASATHICYQKDCFDLLQEGVAGNLTLGNKSIVKVMGLEVVKIKMFDGVVRSLDGMAYVPKMRKNLISLNLLNSKGYGYSACDGVVKVTQRDMVLMRGNLHNGLYHLECEASKGWEQCTRDGSYQSEISFAEEVMKGWCRR >EOY26273 pep chromosome:Theobroma_cacao_20110822:6:6898399:6900086:1 gene:TCM_027750 transcript:EOY26273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPLCRISHPHAGEVLLAVDLQVASRRRTPPCHRSTGGLAGSPLAQPINPSAFPLGTDRSSPCRQPSLVVRWISLTLFSPVIGKSHLSSLLAPSFRRFDQISHEALDLVLSKSPRLMFPREHWINAPYGAPIYALWGARKQCSLWSTHLCSPGSTRTVLPREHPQWVFP >EOY26521 pep chromosome:Theobroma_cacao_20110822:6:13536318:13537640:-1 gene:TCM_028311 transcript:EOY26521 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 82 MFCSMEKNSLPPGFRFHPTDVELLQYYLRRKVLGKKFSFEAIAEVDIYKYAPWDLPHKSLLRTGDLKWYFFCPMEKKYGKGSKFNRATTYGYWETTRKDRPVRYNDKVVGSIKLWSSIEGKPHEEREQIRFCTNTDLTRELYRRLPFFVLSSARMVQAIETVPNMEHHLERRIRSQCLGSPAESSHFAASPSVVLDANKSLTPMEASQVLVDDSVSAMLSSGQSEDYSPVAITNDDLEFLESPDIVVDDEIMSLLATCRADDTLNSLMF >EOY28420 pep chromosome:Theobroma_cacao_20110822:6:25298600:25299760:1 gene:TCM_029991 transcript:EOY28420 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MEMASGRVYRSPSLRLTHGGVNEEEELGLGFVRYTRGLGRKRIEISNEMEESLPLDSATEFPLLKRQCSERMVMMMIDDYHEKSALESLPQDVLIRIICGVDHKDLKQLFNVSKSIREATVIAKQSHFAYSTPTKIKAFRTSIDFEESSDLDDIEAPNAPKLKLRFQRKINRRKLADISVALFA >EOY27489 pep chromosome:Theobroma_cacao_20110822:6:22038426:22041948:1 gene:TCM_029325 transcript:EOY27489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative MHLVMADQGGNNIVMREYRKGNWTVNETMVLIEAKKMDDERRMKKSGDSEGRSKPTELRWKWVEDYCWRKGCLRSQNQCNDKWDNLMRDYKKVREYQRRTAETAEGNNNNNEGSYWEMEKNARKEKNLPSNMLRQIYEHLEEVVEKKGIQRVVAAGESGPNPNIPYVMDRPMTSVQPSLPPLLQHQLPAAIPPAIALPLPALPPLPPPTAAPAPLVQPSPLPYAQPLPTVDSDTSEYSDSPAKRRRRSGGNGEGTSGTASANNSNEVGTAISKSASIIAEAIQASEEREERRHRDLVSLHERRLKIEESRTEINKNGIDGLVAAINKLANSILTLASHNNQSAPKNVRETLQQLGSDQYFSGTGQRRPVNGSLNPEGCQWLTNLPKCHSGAIIGGSLVFS >EOY27947 pep chromosome:Theobroma_cacao_20110822:6:23730052:23730996:1 gene:TCM_029659 transcript:EOY27947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKPNVSVATTDFLCFIHVYKSCFAVFGPLMDGSRKVMESLDSLWFFNNVLTITTLEHKGPLDEDIVKEEVPSKHNTQSTSQDQQNEAPSTEILVPRCPKCGEIAVEFEHRIVQPDPVEEVEFARPTEKSERRRRRRRRKRSKRKVLGELDLGFHGNLASESWFSEETYGYPNSESQHYTKMPPLNDGLAMKEHLKSWAYAVACTVR >EOY28503 pep chromosome:Theobroma_cacao_20110822:6:25541643:25548342:1 gene:TCM_030045 transcript:EOY28503 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MNPVDWLVRILLLFFLSHLSFSADTITIDHFIKDGKVIVSGGKIFALGFFSPGSSRNRYVGIWYNQVSEKTVVWVANREKPLDDSSGILSIDSRGNLALFQRNQAHPVWSTNVSITGTGNSIAQLLDSGNLVLLQNDSRRAVLWQSFDYPTNTMLPFMKLGLSFKTGLNRFLTSWKSPDDPGIGDFSYRIDPSGFPQLSLYKGSALWWRSGTWTGQRWSGVPEMTRNYIFNVSFVNTDDEVSITYGVTNASIITRMITNETGIQERFTWSNQARKWIGFWSAPKEQCDLYGHCGPNGCCNPDNSDRFECTCFPGFEPKSPQEWYIRNGAGGCVRKGNVSATCRNGEGFVKVARVKVPNTSAARVDMSLGLKRCEEKCLRDCSCVAYAGAYYESKGGIGCLTWHGDLVDARTYTAAGQDLYIRVDADELARYTKKGPLQKKGVLAVIIVSAAVVFLIVVAFLSWLVRRKRRGNRRQSRNPFSFAGSSSLIEDSVDGKDIEESRRNADLPFFDLSTIAAATNNFSSDNKLGQGGFGTVYKGLLFNRKEIAVKRLSKHSGQGVEEFKNEIVLIAKLQHRNLVRILGCCIEGEEKLLIYEYLPNKSLDSIIFDETKRSSLDWKKRIEIICGVARGILYLHQDSRLRIIHRDLKASNVLLDAAMNPKISDFGMARIFGGDQIEGNTNRVVGTYGYMSPEYAMEGHFSMKSDVYSFGVLLLEIITGRKNSDDYPDSPSSNLVGHVWELWKHDRAMEVVDSTLGDSCPANEFLKCIQIGLLCVQEHATDRPTMSTVVFMLGNETVLAPPKQPAFIMKKARKGDETWSSEGTSSVNDVTVTMVQAR >EOY26248 pep chromosome:Theobroma_cacao_20110822:6:6452531:6457556:-1 gene:TCM_046829 transcript:EOY26248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGRARGRGRGNQPQRAEVQRQVEELLEKGLVRESKSPCACPALLAPKKDGSWRMCVDSRAINKITIKYRFPIPRLDEMLDQLVGSRVFSKIDLKSGYHQIRMRDGDEWKTAFKTPDGLFEWLVMPFGLSNAPSTFMRVMAEVLKPFLNSFVVVYFDDILIYSHTKEKHLKHLRQVLEVLQKEQLYINLKKCSFMQPEVVFLGFIVSAEGLKPDPEKIRAISEWPAPTSIKEVRSFHGLASFYRRFIRNFSSIMSPITESLKKDGFEWLHEDYLFKGNQLCIPEGSLREQIIRELHGNGLGGHFGRDKTLAMVADRYYWPKMRRDVERLVKRCPACLFGKGSAQNTGLYVPLPEPDAPWIHLSMDFVLGLPKTAKGFDSIFVVVDRFSKMAHFIPCFRTSDATHIAELFFREIVRLHGIPTSIVSDRDVKFMGHFWRTLWRKFGTELKYSSTCHPQTDGQTEVVNRSLDLVPLPQEARVSNEGELFADHIRKIHEEVKAALKASNAEYSFTANQHRRKQEFEEGDQVLVHLRQERFPKGTYHKLKSRKFGPCKVLKKISSNAYLIELPPELQISPIFNILDLYPFDGCDGTASTIDAQIQHLPIAKVEVIEDVLDVKEVRSRRGNPYRRFLVKWLGKPADESTWIAEEELKRVDPDIYEEYVKAYSSESSLF >EOY26439 pep chromosome:Theobroma_cacao_20110822:6:10310338:10311781:-1 gene:TCM_028057 transcript:EOY26439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTILSFKNKPNRRKKFFFKKKSFFRSNMPCGAQPRPFLVASVAKPALPGQGWISLTRKLMRKRILECWSAQSAEPRSLGLQSPHSGRPRIEPLLEEHSLSQPLIWLHTTLGCLVWPARSLSMQPARIRTLTRNKEATLADTHSSPHNGPQVPSPQPLGCPAQPTLTAPSHQLLAHNAHSQPSFSQPTIQPLARSPKVPFIVGSLPQQSQGVPNGPGAVWSRSVHSDVPLGGWATASPPIGLVGVPVPTRHALRANAACPTAWASCGPKLPVPAICAPKHGLMAGDQPHLRAMPPPIEPAWLGKQKGRDACL >EOY25999 pep chromosome:Theobroma_cacao_20110822:6:3495955:3510381:-1 gene:TCM_027386 transcript:EOY25999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPFVLFAMLNLRPLLISSSLARLLRTSGCTIAAFGGLAGFTQEIKRKVISKWMRPPPGSYKLNVDSFAFGKPRLAGMEGAIRDHEGFIKGVFSTPIGIEDSNYLEFLAIKEGLFFFFSSP >EOY28222 pep chromosome:Theobroma_cacao_20110822:6:24613867:24614628:-1 gene:TCM_029851 transcript:EOY28222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSENYCPPPTVWVFELAIISELCKVWPIFGRGGKGKEEKAKKADLVEICKTVGHRAGEGMRNQCIELWAQIQSKAAESEKWFIVIKHWSVL >EOY25977 pep chromosome:Theobroma_cacao_20110822:6:3166529:3172325:1 gene:TCM_027349 transcript:EOY25977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTDPIPTLDKVYSLVLREETQKNLLIQSQPVLKSVVMAVMSDEKKKYKKEGKNAYKKGKLVNCVATVKEKQFAQENEAASNNTMFQMSLIKQQVSKLMNLLNESGISIDEGKDGSTFASASVPASNQQNKHSLVNFAFSDIGATDHISYTLDNFVSAKLVFNCFVELPNKVKALMSHIGTVKLTPFLTLTNDFVSWKVIRVAKVISGLYFMQINVDEQALLKHSIDKIIKPVSVYSIHSCRAVHSSFDLWHFRLVYPMAKQKKMPIPTHVQTSVSPFEIVHANIWGPYEHRKKFDKRASKCIFLGYPNGVKGYKNSYDHTFYQTPYVHESDSDFLDSVVVPSSIHALINMSTPSISPSVPSNISKTLDSLNSSSNSHEQSISSSLVDFTIDFFESISSEPFSVPSDSLPTRRSDRPRKLPKYLESFTTSLSHIHEPTTYHQAMQHDHWRDAMAAELAALEDNGTWSIVPLPVDSHAISYKWVFKTKMRVDGSIERFKARLSFLALVAAQGWYLSQLDINNAFLNGDLDEEVYMSLPPSYQVKGEYPSNVQLVCILHKSLYGLKQALYFLKQASRQWNAKFTAVLIEYGFKQSLSYYFLFTMNSDNGGFIAPLVYVDDIIIGSSSPQLSHDVKSFLSSHFKLKRPWREHGLLGAKPMSTPIDYNHKLEKATDEERLANAIVYRQLIGKFLYLTFTRPDISYAAQILSQFMDKPGHVHMMGAYRILKYLKGLPGQGILLNSKSNLQLSAYSDNDWVGCPITRSFANCKLVHKGFTTESIPKTFKQVECA >EOY26834 pep chromosome:Theobroma_cacao_20110822:6:18388505:18389588:1 gene:TCM_028807 transcript:EOY26834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQPKTRTPSKATTKYDVFDETMVRQQTSLSRSCGRARAARCAQNVEPNDVPTGNDYKEGRNDHLTGRNVTLEDLAASVRDTKAQEFETLMQTPDMSMMKHDI >EOY27419 pep chromosome:Theobroma_cacao_20110822:6:21629285:21632830:1 gene:TCM_029266 transcript:EOY27419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase, putative MASSTEFRDINDGLHDDLRYLIVRPEKGGIWDLMRYSLWGDTESGVRFLESSDQDLVSGEAADRRWVILVSIVARKIIHLFSKPMEFTGYVVDFFLNLISQNGSIFGLLHNLLHGDVVIPKRGTETFISSIGHLDERVDLYQGKKLVEDLHNSAPGEGVRKVELDDRATMDLCMMASKLAYENAEVIRNVVVHHWKMHFVDFYNCWDDYQKEKSTQVFMLCDKPKDANLILISFRGTEPFDADDWCTDFDYSWYETPKLGKIHMGFLEALGLGNREDTATFHYHLHKKRTKHTCSEAAEVNCSNADAHSERSADIGNKDILPEMVEMTAYYLVRQKLKSLLEEHKNAKYIVTGHSLGGALAILLPIVLVLHEEMKLVQKLLGVYTFGQPRVGNRQLGRFMEAHLDHPVPKYFRVVYCNDLVPRLPYDDKNFLYKHFGVCLYYNSCYIEQIMDEEPNKNDFGITHLITEHLNAVWELIRSLTMGFTHGPEYKEGWFSIFLRILGLAMPGIAAHCPTDYVNSVRLGKLRTIQMSSY >EOY28019 pep chromosome:Theobroma_cacao_20110822:6:23952795:23954291:1 gene:TCM_029708 transcript:EOY28019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated gene 12, putative MAENLCQNICLAFLLVLSIWNSLALCRLLNQEHMLKRHEEWMALNSRIYADAAEKEKRYAIFKENVEHLTNEEFRSLHTGFNRQSPKLMSHSKSSTFRYGNATAGPTVVDWRRKGAVTSVKDQGTCGCCWAFSAVAAIEGLTQLKKGKLISLSEQQLVDCDIKGEDEGCEGGLMDNAFQFIKTNRGLTTEANYPYRGSEGECKNKHATPAATIAGYEDVPANNEKALLQAVANQPVSVAIEGSGWAFQFYESGVFSGECGTYLDHAVTAIGYGTSSDGTKYWMVKNSWGTDWGEKGFMRLKRDVTAKQGLCGVAMKASYPIA >EOY27793 pep chromosome:Theobroma_cacao_20110822:6:23140297:23143580:-1 gene:TCM_029551 transcript:EOY27793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pterin-4-alpha-carbinolamine dehydratase MIIRMLRTPLFSFSKPQVPLASYQVLFGPHGRSGTQVTGTLRDSTGISSNRIPVYGFRTFCIGTDKDLLTKKCVPCNSKDLRPMTEESANELISKVDGWSLVNEGGTLKLKRSWKVKSFTKGLELFQLIADIAEAEGHHPDLHLVGWNNVTVDIWTHAVGGLTENDFILAAKINGLDLHHLLRKKVTAG >EOY27535 pep chromosome:Theobroma_cacao_20110822:6:22317476:22318531:-1 gene:TCM_029376 transcript:EOY27535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDTQASYFAKTRQDIILRLGATAGQILLGEALYLLAIGTNGFESVDSACCRVIGRRGGLVPCGSLSRVCPDRTKFVSWDPFHPTESANLIGAKHAFDGGLQYVSPMNIRQLANA >EOY25580 pep chromosome:Theobroma_cacao_20110822:6:528055:528641:-1 gene:TCM_026966 transcript:EOY25580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFSLNLLHFHIIFSLFLLCSASRPLPKHEKPLTVQFGADSVPSELSSKPNFATPGDDDSVLPTFPFFQDSSALPPPASLDTNYAPTFPFPSLPSLPQLPPFPFILTLPLSSPVTPSPPSTLLAPPSEVPDNP >EOY26749 pep chromosome:Theobroma_cacao_20110822:6:17662616:17662901:1 gene:TCM_028711 transcript:EOY26749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 CKDETFKTKEWFRFFLIFFAGISRVFSSFRFLSLPFLKCRLVAFKAAPEVALFATS >EOY25723 pep chromosome:Theobroma_cacao_20110822:6:1437695:1443849:-1 gene:TCM_027103 transcript:EOY25723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor P (EF-P) family protein MTWHKHKHKHKQCVKMKALEVGKRLSASLSFLLKPNAANPTLASLCPSSHHSLLSSPWSASQDRGVKVNAIHLRPGNVIEKSGRVYQVVESEHKQRGRGGAMMQLELRDVDNGNKVSLRFGTEEPVERVFVEEKSFSCLYTEKNTAFLIEPETFDQLQVPLDLFGKSAAYLKEEMKVRLQLYDGRPLSASVPKRVTCTIKETQAPMKGITSTPRYKKALLDNGLTVQVPPYLETGEEIIISTEDDSFLGRANK >EOY27730 pep chromosome:Theobroma_cacao_20110822:6:22982762:22984830:1 gene:TCM_029509 transcript:EOY27730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane protein family MGEEERKKRVVVESLGWLTESSIMPKKHRAIEGVGASSILELKAQLYKSQEESKKSKELSGPDVEYHRAKRKIAPHDTFSLKNSGVEARALKDKLELKAVNDGSVSYAALEKKAELYDKLVRGELSDEEDKEKYCVDFFTKGVEQEESQQPQVDRASDNVQAGDEDGDSDGGPSLFNTNFVGPGRTAGIIDNDEHKRFVREVHEEANQAREKVSELKLRRQEQAAARREKLRQAYLRKQLEKLKAASKTEQT >EOY26275 pep chromosome:Theobroma_cacao_20110822:6:6931641:6935408:1 gene:TCM_027752 transcript:EOY26275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFDNLITFILLVVRMFWLKVDAVNELAKEVFNKKYPKKPLEVFMGKWNILVTTQIRNHDRRKGINGNYPCNPCKPNNE >EOY27325 pep chromosome:Theobroma_cacao_20110822:6:21220147:21236579:-1 gene:TCM_029192 transcript:EOY27325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein MAAEPVNVNGFRELARQALPKMYYDFFAGGAEDQYTLKENEEAFHRITIQPRVLLDVSSIDLSTTVLGYNISMPVMIAPTSRHKLANPSGEIATARAASACNTIMVLSISSTCTLEEVAACCNAVRFFQLYVYKRRDISAKLVQRAENNGYKAIVVTGDSPRHGRREADIKNKIIVPELKNLEGLLSTNIVTDGGSNLDALVNWTRDPSFCWEDIGWLKSITKLPILIKGVLTQEDAMKALEVGVDAIIVSNHGARQLDYSPPTISVLEEVVHAVDGKVPVFLDGGVRRGTDIFKAMALGAQAVLVGRPVIYGLAAKGEYGVRRVLEMLKDELELAMSLSGCSSVKEITRMELDDHGKRQNYQNSYVKRLRQSVLMPSIEITPSDTDIGRLLRSMICSLPAASALCVIGSPLVASTCSSMAISDSDQESSKDYNEGFEEEDYSSNHGSDPDYDSGADRSYSIEEETRAKLQNFSIKKKSRAGVAKDFDLSIKKDPEEMEMNFPEVDDKSYEYVQKIVKAGKLEKLKVDQCKVYLKKNGLRLSGRKDMLIQRIKEHLEILNGGGEKKYPLSSFILNCKGDACTGDVVMFEQNVYEMFNIASRSASGPPCGTRIVAGRIVKESYGAAKQQHTFTIEVLWSKGEKPLPPLHPLLIKGRNLYRLKTLRQKWEDEGERQKALMEKHSRGSLARSDREVRILEKERREMLRANRIFKKDERNKTQSQLTSTTIEIRPQQLGSSSYSGIVAPQHQQSGLNVVAEKLTSQTHGSGSVFDLEKPPIMSQQSGFLAEPQQLANQSKQSGLSVTPREKRIQLPEQSGFSPNFKAKSFQSEYKNVHVPQQLANQPKQSGLSVAPREKRIQLPEQSGFSPNFKARSFQSEYKNVHVWEGYQNQIPRYSVQSSGIPEVQLQKGDKFHIDYRKTNFHMNKYQNSINDKSRRSGMSKLLETSYHRHQLKSMNNCHPETPPQRRGFLSQQPCRYHAQGRCYYGENCKFLHETRELHGAEERRFWRYGHY >EOY28531 pep chromosome:Theobroma_cacao_20110822:6:25707675:25713508:-1 gene:TCM_030074 transcript:EOY28531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein MPSDRLWSAVHQSKKVLINKYIYIELVKQSWESFRFLSENKKMSLYYRWRKFEEDEDRPEKPRRVGVTEMRGPNHTLLIQNVLQDIFESMGQFVDGLKFSGGSHSLMPKSFLKQLIDMAHQHNVYVSTGDWAEHLIRKGHSAFKDYVEECKQMGFDTIELNVTSLEVPEETLLRYVRMIKSGGLKAKPQFAIKFNKSDIPTGRDRAFGAYIPPSPRSTEFVEDVDLLIRMAERCFEAGADMIMIDADDLCKQADSVRADIIAKVIGRLGLEKTMFEASNAKASEWFVKQYGPKVNLFVDHSQLMDLECLRGRNLGKNHTSVLGSPYFLF >EOY28492 pep chromosome:Theobroma_cacao_20110822:6:25504760:25505680:1 gene:TCM_030036 transcript:EOY28492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGIGRPTEITLQLKSSENLWSEVNALEGVDFGKTALAVCFGEATLITGSVTAVSFMALHNSLFVLCGR >EOY28877 pep chromosome:Theobroma_cacao_20110822:6:26768201:26771155:1 gene:TCM_030356 transcript:EOY28877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein MEAEWRDKKLYPLLGILLLSILLYLNFGNTNLISFPISRQPRLDFVSANSTHFIVVNGGGAAENQSAAYVNGWNSYWLMQESVWGGPSRSRVSKMLQRGAQMGLTVCRTWAFSDGNGPNALQISPGVFNERVFRGLDYVIVEARKHGIRLVLSLVNNLNNFGGKAQYVRWAQEAGINVSSSSDSFFSHPMIKDYYKAYVKAILSRKNSLSGVKYSDEPAIFAWELMNEPRCESSSSAPILQAWITEMAAFVKSLDQKHLVTVGLEGFYGLNTTKGPEVNPGEWAASLGSDFVQNSAIENIDFASVHAYPDSWIPHADLEEKTRYLSQWVDSHISDGDQVLKKPILFTEVGSLVYVNNQSIADKDILLKTMYDKIYESAKKRQAGAGALIWQLLVEGVEEYGDRFSFIAWDKPSTYKLILRQSCRLQSIFPKSSQNRKLSKDPCSGQLP >EOY26116 pep chromosome:Theobroma_cacao_20110822:6:4508648:4512698:-1 gene:TCM_027512 transcript:EOY26116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MKVGEEKEVTLTKGSFLCTSLLGSGNIFIMAFIRLFFILLLRRSVSVSGNADSAYHHHRHHSLLNDKAALLEFKMSLIFDPKSTLSNWEKAVPVCNFTGVACDKRHHRVSQINLSSFGLVGKISPFISNLTGLRVLNLFENHFFGTIPHQLSCLQHLRNLILDSNNLNGPIPNSFALLTNLTLFSVQKNNLTGPLPPSFFSTCTQLKVIDLSLNFFTGQIPAEIGNCPNLWILNLYNNQFTEQLPASLTNTSLYNLDVEYNLLSGQLPSDLVPNLPTLLFLHLSYNKMISHDNNTNLYPFFATLRNCTHLKELELAGMGLGGRLPSSIGHPTLQRLELQENRIFGSIPPEIGNLSSLSMLNLTSNLLNGAISEEFSQLSKLEQLVLSHNFFNITIPVELEKLLHLGLLDLSNNNFHGEIPGSLGDLPLLNSLFLNNNLLSGPIPPKLLKCTSLYRFDLSCNKLTGRIPREISELREIRIFIKFSQNLLEGPLPIEIIKLDKVEEMDLSSNNLSGNIFPQISSCTSVQRINFSHNALEGQLPDSLGDLRNLESFDVSSNKISGMIPKRLSKINLTFLNLSFNNFEGMIPSGGIFNSATTMSFLGNPRLCGAPSSSYGRVYRGVLQDGTSIAVKALVLPYMANGSLESRLYPHSKSGLGSGSSDLSLIQGVSICSDIAEGMAYLHHHSTVRVIHCDLKPSNVLLNDDITALVSDIGIAIVVMTVGAGNGAGAIETWEILLQICYMDPSVTLHQSMDLDPKHLSGQIWVKSHYPGRVEKVVDSSLIRASRDQSPEVTRMWEVAIREMIELGILRTQETRSIRPTMLDAADDLDRLKRYRSGDTTAAFASSLGISSSTLGDD >EOY27052 pep chromosome:Theobroma_cacao_20110822:6:19884359:19888006:1 gene:TCM_028997 transcript:EOY27052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSLVWDHFTKFVDDKAVKFERAFASFEYYDSSYRSELVRNGDGVLDDNNWATVKRISLFLKEFYDMTVNVSSTSYVTANSFLDSISDVYGTLIEWQESDDVDLKSMAMKMKAKFDKSFLTPKIMQTLICAQDWLNGKARGDSEQLEDNLDELDLAKITLEPIRETDAGSDTDFDSNSEQRVILIDYFHFSGLLFSEHGHLKLEAFTDADWARSPDDRRSTSAYCTFVESNGRIAIVRREMSILIL >EOY26572 pep chromosome:Theobroma_cacao_20110822:6:14292515:14293551:-1 gene:TCM_028393 transcript:EOY26572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATTLTCSLSSPIRASSGSFGKPDPNRRKPVSSSSWWAPVFGWSSNPEYLNDSNAGDPSESKPDVSDPGRSRSRYTLGCFTEEKAKQLRRKTMENAAFHDIMYHSSIASRLASDISGWPEK >EOY26394 pep chromosome:Theobroma_cacao_20110822:6:9086332:9097262:-1 gene:TCM_027952 transcript:EOY26394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSLLGFEMPPKTQTIIGKIFKQMLLMKGQYNDIFFRLRIEEGGAGLLVIVMTRLRFINLSIEESVNQCLCARRNYRCSARRIMATTLSWRGVLYKCSKADYHSARKGAPLLYQGLSRHHDAKKSRPQQHLDF >EOY26687 pep chromosome:Theobroma_cacao_20110822:6:16973201:16979731:1 gene:TCM_028636 transcript:EOY26687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGRITEDEKNEKIIRGLLKLPANRRCVNCNGLGPQYVCTNFSTFVCATCGGIHREFTHRVKSVSMAKFTSEEVDGLQGGGNESAKEVYFKEWGSRRQSVPDNSDIEGLRKFIKHVYVDRRYTGEKSVDRPPTPKKVDREDSCTHRSGLLSLPYLDIYERRSIGRSRTSERSDERDSRNIYEERRNPGHGKESQKHGDFRRISSNFEVVDDRFRDHTYETSKSEANWFSNRAHNLDRKPSIQHKALDSSRPREVRPLRDILDRIPTMRLSQDLKGDGGRLSNGSVHVEKTASSIRTRQDDRNSMEPKRPNSMGHERKNLGSPVKIKSDPEPALQMQQSPKYTSQYIPKPTSSPNSNNLVRIDCHTEGNQSKAASNVKSLDSVVPAAKAMGNVSAKPSTGGVLNAVIHHLPRTPSSIGVSPAAPLSNVLTSSPTSSSPSPKAVLVSAASPLTTSDGGSSSEANHVKQGQGIPQPQCSIFPDTAIQSTSYVPSGSPNLQSSQFVSQQIPQACSEVVFESLPSVQTLSGKKEFTEGHGTGPAVISHSGQSEQKSSGRKELPQHLFAATYSPAPVLSPGWQSVQAQGMGYCLQNSSSVQVPTVYQPAKSANTFDLDNGTHLLQSPMLSSMASWHGAQPNVLAPKSVMQSTSHVSPSAQWMPAQSSPDGGVPFQPFSSTAGMPLSSYMRQQVPNKLSPPGCQGVEVLGNNLAFFNTLNTNQQPGGIFSIPAIHGSSSVGGNPFA >EOY25976 pep chromosome:Theobroma_cacao_20110822:6:3162879:3164929:1 gene:TCM_027348 transcript:EOY25976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MNVSFCHSLFSLTMQSSAIVNNHPLQTVSVFCRPETSETNETPCLYKPLGASSSHLSSCSSTIAPTHFYRQNYLPIYADVSGVFSSLNALDKIHETELETIMETRPETPPVLDGIAAVVGQHVLFGNKTNPTAPTTTTELSNSVILSDATSSKLEVPGFLSASQRFGSNKRNVSPAVAVSVQKSYRGVRKRPWGRWSAEIRDRIGRCRHWLGTFDTAEEAARAYDSAARRLRGSKAKTNFEIPSVLPLASPSTSSSSTEAKKKVKGKVKTERKCAVVTSVAHLFSPSSFGGSEGKGNVELELKLGVGLNSKGTASNTSLVKQAIPLC >EOY26189 pep chromosome:Theobroma_cacao_20110822:6:5377216:5377767:-1 gene:TCM_027607 transcript:EOY26189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPIGSRKLRLAINLATHFPIEIINANSMQVYQGVLHHLLGIVSSNVKFTIKKFRDMQFLLLKELKSISIFFVVQPIVISKRKKFFKTELPQTSINIDFSIFI >EOY27944 pep chromosome:Theobroma_cacao_20110822:6:23693151:23694650:-1 gene:TCM_029654 transcript:EOY27944 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 65, putative MDGSFNKANNPFVSEQQLEENDNVSSENGSESPPSTTFNDMKLASPKKGRRSIQKRVVSVPIKDVEGSRLKGESAPPSDSWAWRKYGQKPIKGSPYPRFLFSSYDRGYYRCSSSKGCPARKQVERSRMDPSMLVITYSCEHNHPWPASRNNTAAAKQAAAAAAAAAAAAATATETTTTTAVKAEPSTSQPDTEPEFGTEEKFADLTDDSILTTRDEFAWFGEMETTSSTVLESPIFTERDNSVADVAMIFPMREEDESLFADLGELPECSFVFRHQRNVGPQVGIC >EOY25714 pep chromosome:Theobroma_cacao_20110822:6:1375839:1382392:-1 gene:TCM_027095 transcript:EOY25714 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein MAGAGIHPYHQQWPPAPAPPPPPAAAAAAPPPPPSVHHPPPPASSHDEVRTIFITGLPEDVKERELQNLLRWLPGYEASQVNYKGEKPMGFALFSSAQLAVAAKDSLQDMLFDAESKSVLHIEMAKKNLFVKRGIVADSNAYDQSKRLRTGGDYSHSAYTAPSPFHPPPAPVWGPQGYMAPTPPPYDPYGGYPVPPVPMPTPAPVPAPSSYVPVQNTKDNPPCNTLFIGNLGENINEEELRGLFSVQPGFKQMKILRQERHTVCFIEFEDVNTATNVHHNLQGAVIPSSGSVGMRIQYSKNPFGKRKDSGHPIASPGANGAPPSMTYQ >EOY26627 pep chromosome:Theobroma_cacao_20110822:6:15712485:15722801:1 gene:TCM_028516 transcript:EOY26627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGYRRMARQIEKSKAKSFTTKEGSILKHTGGSVPFVVHAKKMIIEMKRDVSFLEVFNRTHKHLGGHGDFIDNKSKSTSLQFDPNAWTEAIEGIEITRTVVYRFGTRVPTTALLTRTHNNVATFESTCGPMPSNTTSPVIALEEKVENLSKNLGKIRDELRGEICEEIKNAMAESMSEFMARMESMIMTNALSKQGNAGP >EOY26943 pep chromosome:Theobroma_cacao_20110822:6:19306288:19312656:-1 gene:TCM_028902 transcript:EOY26943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexokinase 2 MGKVAVGVAVVCGVAVAAAAAVVVHRKMKKSGRWVKAMEIVKEFEEKCGTPIWKLRQVADAMTVEMHAGLASEGGSKLKMLISYVDNLPTGNEKGLFYALDLGGTNFRVLRVQLGGKGSGIVNQQFKEVSIPPSLMTGTSDALFDYIAVELAKFVAQEGTDFQLTPGRQRELGFTFSFPVMQTSISSGSLLRWTKGFSIDDTVGQDVVAELTKAMERQGLDMRVSALVNDTVGTLAGGRYTNSDVAAAVILGTGSNAAYVERAHAIPKWHGLLPKSEEMVINMEWGNFRSSHLPLTEYDHALDAESLNPGEQIYEKIISGMYLGEIVRRVLLRMAEEAAFFGDIVPPKLKVPFVLRTPVMSAMHQDTSPDLKVVANKLKDTLEISNTSVKMRKVIVELCNIVATRGARLSAAGILGILKKTGRDTVKVGEKQRTVIAMDGGLYEHYAEFRKCLENTLSELLGEEVSESIVVEHSNDGSGIGAALLAASHSQYVEMEES >EOY28769 pep chromosome:Theobroma_cacao_20110822:6:26494243:26496449:1 gene:TCM_030282 transcript:EOY28769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent enzyme MNNPEECEANDLQQRKQQEPEMAASSAAMDGVAAAAIRSVLQRVHQAAERSGRESQRIRVVAVSKTKPVSILRQVYDAGHRCFGENYVQELVEKAPQLPGDTEWHFVGNLQSNKVKPLIAGVPNLAMVETVDDEKIANHLNRVVGSFERKPLKVLVQVNTSGEESKSGVEPSGCVELVKHVSLNCPNLQFCGLMTIGMLDYTSTPENFMTLANCRSEVCKALGIPEEQCELSMGMSGDFEQAIEMGSTNVRIGSTIFGAREYPKKT >EOY26119 pep chromosome:Theobroma_cacao_20110822:6:4548085:4556269:1 gene:TCM_027519 transcript:EOY26119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSTTIMMSSLLLTSLALLGLLATAIKARPLPPENSRNKFMIIMINNVGNSTKTRDTTRIDHCDHMTEFSIEGKITPRPPSPKSSPPTHQVMSYPAPKPSTPTPQLELVSSCAEGSPCNSLISLSANFGKNPKSPPSPNPAPSKHQGELEQPIQQRSSPEFQLISMFTNFGKTPKSPPSPKPAPSKHQGIIERPITQKSRPDFQLISMFTNFGKTPISPPSPKPAPPKNQGMIEQLMQRRSPPDFHFASY >EOY25454 pep chromosome:Theobroma_cacao_20110822:6:25214:33123:1 gene:TCM_026877 transcript:EOY25454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQNALNQHDFPSLTTTHGMPSGRPPDPPHPPPTAPPPQTAPSPPAAETTSLLTTNPPTIWTKNPQLPPSHGCQQAAPTQFQPPTSPRSQKKSFLSIVSGQKPPVVPLSRDPFVFKDRPAAAFYEDEIQTLAQPLKLSLVGKFSRMPKLQDVRSAFKGIGLAGAYEVRWLDYKHILIHLTNEHDCNRVWTKQVWFIANQKMRVFKWTPEFEPEKESAMVPVWIAFPNLKAHLFEKSALLLIAKTVGKPLFVDEATANGSRPSVARVCIEYDCRKPPIDQVWIVVQNRETGTVTSGYPQKVEFSQMPAYCDHCCHVGHKEIDCIVLGNKDKPLGSSKSQFLRVLEAEKKKGYGGSSEKNLEKSKNPEKEKIARQEEPVSQRWQPVNKAGTSGTKDQQGKEIVSVLNRFQAISEDRDESQNRDETQSARTIGGVEVWSEGRENAGKLHVDMRKAHEMKEKGTRTGIDIVQVSDEQLNGKTDPSTAKPSSSNGSKATGKSEVGEILFRDSTEEQRADVEDRHGSSKQSGTKNEAPISVNLQTLEENTQPTVHENRKQQREKTEGNGEKEKSSGADTQDYAVEPSKQTADRSNKKKKDLQKLSNRKIEANPQDKDKYFLIKALEQKQLDNAPTTAGLDFSKVPTAVQQEPRPPTVSRDVQTVLHEKETHGQPGNTAEVEGISTELKEGDEQEPFDVHGLHGQKGGCFSETYLTEHPKDETKVKATVGKTAAGPLPKVNKQRDPTNNGSSKRDMEQTAIEGIEKTFGQQEMQEMAGENSNKHFYNPLPHGPVRSSEDQSVKNFTPLPKPSEAPRKILLKQHSSKKNVTNINEEENLAAQQETTNLNAATPQVWTSEKAADSHADANPLDQAADNLIQIVSQKREQVGRQTKGIVKTTLYGNEPLPIVKPTSTEEIRMTTPTEGEGTTADGPTPSPPRPELGDNILGMKAQESILEIGQDGTLLQKIGYAGTSQNLKNDNLEPSTQTTGWRQKKEQRIAQSVSRLQNVQSDILEGSGEHVPIEEEDTSQMQRQTEQTWVAINDVSSSDKMEDKAENLSNLESASSKCMLNKELSDIPSPSCNSHAELEVHPRERYRRHSDNVIPFENSFSSDTEDATISGGNEEESDDDSIPRELHSDVIFDHPQCLHVRLTSPWLEFPIFVTFVYAKCTRSERTLLWDCLRRLAADIEVPWLVGGDFNIILKREERLYGSAPHEGAMEDFASTLLDCGLLDGGFEGNPFTWTNNRMFQRLDRIVYNHHWINKFPITRIQHLNRDGSDHCPLLISCFNSSEKAPSSFRFQHAWVLHHDFKTSVESNWNLPINGSGLQAFWSKQHRLKQHLKWWNKVMFGDIFSKLKEAEKRVEECEILHQNEQTVESIIKLNKSYAQLNKQLNIEEIFWKQKSGVKWVVEGERNTKFFHTRMQKKRIRSHIFKVQEPDGRWIEDQEQLKQSAIKYFSSLLKFEPCDDSRFQRSLIPSIISNSENELLCAEPNLQEVKDAVFGIDPESAAGPDGFSSYFYQQCWNIIAHDLLDAVRDFFHGANIPRGVTSTTLILLPKKPSASKWSDFRPISLCTVMNKIITKLLSNRLAKILPSIITENQSGFVGGRLISDNILLAQELIGKLNTKSRGGNLALKLDMMKAYDRLDWSFLIKVLQHFGFNDQWIGMIQKCISNCWFSLLLNGRTEGYFKFERGLRQGDPISPQLFLIAAEYLSRGLNALYEQYPSLHYSTGVSIPVSHLAFADDVLIFTNGSKSALQRILAFLQEYEEISRQRINAQKSCFVTHTNVSSSRRQIIAQTTGFNHQLLPITYLGAPLYKGHKKVILFNDLVAKIEERITGWENKILSPGGRITLLKSVLTSLPIYLFQVLKPPVCVLERINRIFNSFLWGGSAASKKIHWTSWAKISLPVKEGGLDIRSLAEVFEAFSMKLWWRFRTTDSLWTRFMRMKYCRGQLPMHTQPKLHDSQTWKRMVASSAITEQNMRWRVGQGNLFFWHDCWMGETPLISSNHEFSLSMVQVCDFFMNNSWDIEKLKTVLQQEVVDEIAKIPIDAMSKDEAYWAPTPNGEFSTKSAWQLIRKREVVNPVFNFIWHKAIPLTTSFFLWRLLHDWIPVELRMKSKGFQLASRCRCCRSEESIIHVMWDNPVAVQPGHIRTLIPIFTLWFLWVERNDAKHRNLGQQLLEWQWKGDKQIAQEWGITFQAKSLPPPKVFCWHKPSNGEFKLNVDGSAKLSQNAAGGGVLRDHAGVMIFGFSENLGIQNSLKAELLALYRGLILCRDYNIRRLWIEMDATSVIRLLQGNHRGPHAIRYLLGSIRQLLSHFSFRLTHIFREGNQAADFLANRGHEHQSLQVITVAQGKLRGMLRLDQTSLPYVRFK >EOY28878 pep chromosome:Theobroma_cacao_20110822:6:26771461:26773509:1 gene:TCM_030357 transcript:EOY28878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQRISGTHEGQPYFIWSRMQALHPLPLDGHARAGEAIEHNISSIIRARAKVQLNPDKRALPGSGKLVMQINLAWSSAWIQYLTATILNRCLPLNSLCQSLHNTNRRAAPKRIIRDLQILLPVYPFWIPGKLSAWLSLPPKDFQQMMTLVNLTAAKASITSDSIHHFHCTHCSTDYTHQHSRPNGSMILRAPSKLTFDKSHRWQLPFWLFAAPGPDKQGNS >EOY27234 pep chromosome:Theobroma_cacao_20110822:6:20798703:20800218:1 gene:TCM_029122 transcript:EOY27234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNRRLGWTMSPAVGSSGGFLCCWDDEFFKMEKEIVEKRFILLVGCIIGQKVNVGIGNVHVPNSDAERVRIEANERSMAEFTDFIEECGFVDSPMAGGKFTWTSNREVVSFSRLDRFLISIEILEACHSIQQLCLPRFISDLTTEEVIWDAKPFQDFLELLNDQTLVDSWAEPTPSSLKMNVDIAASGCPNKVGIGGVLRDDKGTILLLFSPWVGVTDSNSAELLAIWKGFQMVAASRWANTHSIFF >EOY28341 pep chromosome:Theobroma_cacao_20110822:6:25060874:25062713:1 gene:TCM_029938 transcript:EOY28341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MEREEEIHYWGNVSEEDYYKQQGIKASKSFYTSPRGLSLFTRSLLPISGSPRGVIFGVHGYGSDTSWTFQSTPIFLAQKGFACFALDIEGHGRSQGLRGYVPNVDLVVQDCLSYFNLIKQDPNLDGLPCFLYGESMGGAICLMIHFADPEGFQGAILVSPMCKIPDQLTPGWPIRQVVAFISKLLPTLAIVPTEDVLYKSIKVEEKKIIGNKNPLRYRGKPRLGTALELLRITEYLGQKLCDVSIPFLVVHGSADVVTEPEVSRTLYEEASSQDKTIKIYEGMWHSLLFGEPDDNIEIVRSDILSWLNDRCNRKI >EOY26688 pep chromosome:Theobroma_cacao_20110822:6:17019627:17025474:1 gene:TCM_028642 transcript:EOY26688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHLCSCGGGKSQPAKQASKHSWPSSATVCPAKKSSIITIIIIAFGLILFSPSMPQILTILQTAVNIVQNFGPRLEYVPTLKFGTFELSP >EOY26642 pep chromosome:Theobroma_cacao_20110822:6:16504417:16506682:-1 gene:TCM_028581 transcript:EOY26642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGWNLTLFSFVLLLLSFCTSTVTATSSHVTLNQANPIQDTACLFIKCGQGTCKASNSLLGFDCECLPGWRKIQIGPFTFPSCLIPNCTVDFECGNGSPPPPPPPASLPPPANQTSHCDLVWCGDGNCVTNGTGHICQCNQGSQNFLNSSGLACFKPCYFGVDCQGLGLDLPLGLTQPPPPPPLPPPPPPPPSSSLSSDGYACQCAWQLFVASMVALAAAFLSGF >EOY25645 pep chromosome:Theobroma_cacao_20110822:6:875052:875677:1 gene:TCM_027022 transcript:EOY25645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-A4, putative MLFARDLLISWVEDQRYWHWSYQKETNSDVLIDVAELLAVCWLEMRVKFNVKKLSPKTLYGVVFVFKLTNEAYGWDIPVNFGFTLPNGYKVELKETLMTKPKGVWIEIPVGEFTTSSEIVGELDIYCHQYDVLTWKGGLIIKGVSILPKN >EOY26023 pep chromosome:Theobroma_cacao_20110822:6:3705615:3708757:-1 gene:TCM_027412 transcript:EOY26023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin NIP6,1 MENEDAPSAPSTPATPGTPGAPLFGGFRGDQKGGISRKSLLKSCRCFSVEEWSMEEGRLPPVSCSLPPPPVSLTRKVGAEFIGTLILIFAGTATAIVNQKTQGSETLIGLAASTGLAVMIVILSTGHISGAHLNPAITISFAALKHFPWKHVPVYIGAQVMASLCAAFALKGVFHPFLGGGVTVPSGGFGQAFALEFVISFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGPFTGASMNPVRTLGPAIAANNYKAIWVYFTAPILGALCGAGTYTAVKLPEEDGEKPLTVRSFRR >EOY26092 pep chromosome:Theobroma_cacao_20110822:6:4340780:4354514:1 gene:TCM_027487 transcript:EOY26092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase protein, putative MEIYQVLDFNGSPTDPSDVDAVVAIKHAYNISRDDWQGDPCLPKEYSWNGLNCSVGIGTPRIISLSLSSSNLTGQIPLSLSKLQALESLNKLIGWIPQSLKDKSDKGLLSLSLGGNPDLCLTDPCERRKNKFAVPIVASAVSLLALVVLLSLWIIFFRLKKGRQGESVNETKKESFKTKNRPFTYSEIASITANFNTVIGQGGFGKVYSGTLNDKTKVAVKLLSESSKQGFKQFQAEAQLLMIVHHKNLVSLVGYCDEGDNLALIYEYMANGDLRKKLSVNDANVLDWKARLQIAIDTAQGLEYLHEGIKPPIVHRDLKTANILLTEKMQAKIADFGLSKVFMTEWSCL >EOY28658 pep chromosome:Theobroma_cacao_20110822:6:26158313:26160231:-1 gene:TCM_030195 transcript:EOY28658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat 336 MALLSRVRASTTAATLRLRHFSILSPDSSTPLTSHQKTRAALSLLKSEQNPDRILEICRAASLTPASHLDRITFSVAISKLSEGKHFQSIDTFLHELRSRPDLQNERFASHSLILYGQAKMLNHALTAFDEFYNEGLCRSAKSLNALLVAGIVSKDYEEVKRIFVEFPKRYGIEPDLECYNSAIKAMCESGSSSSAYSILVDMKSKGVQPNATTFGTLLAGFYKEEKYEDVGKVLNLMKEYGVPVGVSTYNTRIQSLCMLKKSTEAKALLDGMLSRGMKPNTVTYNNLIHGFCKEGNLEEAKRLFKSMRNSGLEPDSQCYFTLVHFSCQGGDFEAALSICKESMEKNWVPSFSSMKSLVNGLSSMSKVEEAKELIQKVKEKFSKNADLWDEVEKSLPQ >EOY27712 pep chromosome:Theobroma_cacao_20110822:6:22902905:22920674:-1 gene:TCM_029493 transcript:EOY27712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel in 2 MMELPQPPAAAFHQQKHHYCCHYLHSFNPNEMENLTQPSPTFTSTLSDPLLLGVPLRIQTTKMSLSCTKNFFQRFCIDEFQMGSDIHGSFFSSDLLPSLGARINQATKLRKYIISPFNPHYRAWEMWLVVLVIYSAWICPFEFAFLTYKKDALFIVDNIVNGFFAIDIILTFFVAYLDSQSYLLVDDPKKIAIRYISTWFAFDVCSTVPFQYLSILLTDNGSELWLRLLNMLRLWRLRRVSSLFARLEKDIRFNYFWTRCTKLISVTLFAVHCAGCFNYLIADRYPDPSKTWIGAVYPNFKNYSLWDRYVTSIYWSITTLTTTGYGDLHAENPREMLFDIFYMLFNLGLTAYLIGNMTNLVVHWTSRTRNFRDTVRAASEFVTRNQLPTNIQDQMLSHICLRFRTEGLKQQETLNSLPKAIRSSIAQHLFFHIVQKVYLFQGVSHDFLFQLVSEMEAEYFPPREDVILQNEAPTDLYILVSGAVNLLSHADGHNRVIGKVAAGDMFGEIGVLCYRPQPYTVRTTELCQILRLNGTSLMNTVQVNMEDGRVIMHNLFMNLNALESSSFDQPNLDPGLIHDERLGGGAMGVSCLSAGFKDQPERYASKKEAIDMDILGSEAIEESQTGRSPMCRISTTEDGQTAVNDAVRKGHIEMVKILLEGGASVNKPDARGWTPKALAEQQGNKSIHELLLSYENRRKLDEHRIEVIGPETADDTKNSQSKYRSRAQNFFSLPSYREVITPTKTRVTIHMQFQSSSTSSTQLGKLILLPDSIQGLLRMAGEKFGGYTFTKVINAENAEIDDINVIRDGDNLFLLQDEDENVDFNVTR >EOY25966 pep chromosome:Theobroma_cacao_20110822:6:3066998:3072339:-1 gene:TCM_027334 transcript:EOY25966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLEVESSGLKVVKVPVRRESVPVLGIREVIILSFKDSDYQPYEEIDRGNVMVTLGDFMKLKPPSFSGAKSTEDPQVFLDEIDKICTALGCFSRGAVELTGFRLTKAMQIWFATLKCCRPSGSTPLIWEEFTQAFMDRFLLESLSRYAPYLVQTERERIKKFIKGLHWPIYRILVSQIFTSYPKVVDVARKIKAKRKEVGVERERSKRNRGEGSSKYKDPSRGKDANIVGQLGRRDGNLLRGHNQEMTHDLIRPNFATAPTKNVKRDKGKRVTSLSQGRSVGLTQQGAFGGGQAKVFTLTPQNAHVLNAVVTGKHYEYMDEPLVVTTPLEESYVTKYVFRSCVVQIKDRDTWVDLVLMITLGFDVILGIDWLVSCYANVDCYCKLVKFKFPGEPLFVIYGHSNHLVNCLMATITREVHNEEGNLEATPVVNEFVDVFPEELLGLPLEREIEFRIDLILETQPISMPPY >EOY28876 pep chromosome:Theobroma_cacao_20110822:6:26762681:26768082:1 gene:TCM_030354 transcript:EOY28876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fumarylacetoacetase MALKSFIQVHPDSHFPIQNLPYGAFKPEPAAPVRLGVAIGDYVLDLSEIAKAGLFDGPMLTGSDCFLQATLNKFLALGRPAWKEARATLQKLLSATEPTLRDNADLRQKSLVPMSKAEMVLPVEIGDYTDFFSSMHHAKNCSIIFRGPQSPIPPNWFHLPIAYHGRASSIVISGTDITRPRGQGYPAGDSPPYFGPSLKLDFELEMAAVVGPGNELGKTIDVNEAADHIFGLVLMNDWSARDIQAWEYVPLGPFLGKSFGTTISPWIVTLDALEPFACEAPKQDPHPLPYLAEKNSKNYDIALEVQIKPSGQKDSSVVTRSNFKNLYWTVTQQLAHHTINGCNLRPGDLLGTGTISGPEPDSLGCLLELTWNGQKPLSLNGTPRKFLEDGDEVIFTGCCKGDGYNVGFGTCAEISLEILRAENAKQTMKSFRQFSHFGYSSSTTVTFTFHGDHSDSPPPPPQESKEIPVETPVPITVHLPQDIAAAKIQSAYRARVIRNLYKQIAAVNSEADRLQLLIQRQETVDSIRSDDREKLRMNETLMRLLLKLDSVPGINPTVREARRKVSRRVVELQEIVDGISGAKLDGDDECFGAWGPRGIFSMRDWDKAVEEMEERFCRERGGPEMERFCAEYLGFRCLQRFLGE >EOY27091 pep chromosome:Theobroma_cacao_20110822:6:20094295:20095074:1 gene:TCM_029025 transcript:EOY27091 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYR1-like 3 MNPNLSTCYNNLTKEEISKLESIIQTHHTFPPASNTCTSLITQRINAPLSFIWPFVRDFENPNKYKHFIKSCTMKGDGGVGSMRDVTVISGLPASTSTEILEVLDDDDHVLSFRLVGGDHRLNNYRAVTSVNEFNQGGKAYSMVLESYTVEIPQGNTEEDTKMFVDTVVKLNLQKLADVAMAALQGHETKVV >EOY26186 pep chromosome:Theobroma_cacao_20110822:6:5317356:5318871:-1 gene:TCM_027602 transcript:EOY26186 gene_biotype:protein_coding transcript_biotype:protein_coding description:F17F16.6 protein [Source:Projected from Arabidopsis thaliana (AT1G16730) UniProtKB/TrEMBL;Acc:Q9FWQ7] MVMMKGVALAADIRRNLGVIKERVLEKLAAAAVPADALENARHLLESVVRDVTVAAHGLTKDALHRIKTHLVDILPSLSPAVTRKMVDDAEKEANEEQETEGEHEVDERRQDDHQLSGKSPFVSPASSLFALIKPLSRL >EOY27288 pep chromosome:Theobroma_cacao_20110822:6:21067275:21070836:-1 gene:TCM_029167 transcript:EOY27288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRCGVVIAIILTEASRIAARATAHSWASPGVKESWSRVQLYVLVLLSLTLNVVLIALGYVIKNRRGKTSVALLTHAGYFVGDLAATAALITLLKRQSSGRVNALQVFWAPFLLSHLGSTETIAANFFDKKKLFRLYTLGLIIQIGIACYIFGRFEGGSDNTLARIAIPITISGIIKCLERLCILRFSRFQDFTISTLSGVPPNKFKPKPAGGQSEQLYEQLDDHLDRKSIIPEARHLHRAYLSFMMFMPLFSDINVRIYNTLSGIFSLNKDAKEAFNMVDFELNLLFDVLYTKTIMHHVLLGFILRFIYFFLSTGALLAFPYAYGHKQHHSSPEIIMTYMLLLGEFLADGTAVISHILSGWTMQWLTTPTNWGRLPRCVRPYIAYCMKFKMSMQGQGVNYMAQHSLLSYCLKAKVNKFNAAIKKLVDAKRILKVFWDIQKHAWVDVRPTWALVDPGLKELILSRLQKKRKKYDNGKFKFSCLSNLVQKGASDVLKDKGIEIYEELHWSLTKVEFTHSLLLWHIATDILFHYHKRRYPGSCGRNNNQINSQDLAQVAPTLLELDNIKFQAFFSVPEGGGEGGKSVFFKGCDLAKQLHSFTVDARWDPEELWEMISCVWLEMLFSAAYDCDWKEHAYQLGQGGELLTHVALLMAHLGLCKHLRMTKPPTDHKVCMPCWDWDHLTQMASYFA >EOY26938 pep chromosome:Theobroma_cacao_20110822:6:19256882:19258976:1 gene:TCM_028897 transcript:EOY26938 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein MADQVQYFMETQLPNHQKHYNSFTFPSLLSPNPPSTPSSLSLFTKAIKSQKPFLDSLLLKAGALLFRGFPVETAKDFNDVVEAFGFEELPYVGGAAPRTNVVGRVFTANESPADQKIPFHHEMAQVPEFPSKLFFFCEVEPGSGGETPIVLSHIIYERMKDKYPEFVWRLEEHGLIYTRVLGEGDDPSSPIGRGWKSTFLTTDKSVAEERAAKLGMKLEWIGDGVKTIMGPIPAVKYDKSRQRKIWFNSMVAAYTGWEDERNDPVKAVTFGDGKPLPADIIYDCLKILEEECVAIPWKKGDVLLIDNWAVLHSRRPFDPPRRVLASLCK >EOY26425 pep chromosome:Theobroma_cacao_20110822:6:10105794:10132946:-1 gene:TCM_028038 transcript:EOY26425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit exo70 family protein G1, putative MAEVESFDNLLASRKLLETSLENSRALALALDKTGPRLVEIDRKLAFLEAAIRPSSSKNCTFAAIRDHVSLALGPAVAVLKIFNSIRELEKSLFSGPFSDLSSYLSTIRQLEGALKFLTGSCNLAIQWLEGVMEFLEDNSVANGKYIVNLRRSLTILQELQATGEHACLSGAFDKLEISFKQILADNSVPLALACLTAEQACDAATPFPVPILQKLQAIVERLNASNRLEKCMSIFVEVRSLNTRKSLQALDLAYLEKAITDFDDVQDMERCIEEWSKHMEFIVKHVLEHEHRLCKEVFGSTASGVWMDCYAKIAAQSGILSFLQFGMSIAESKNCPIKLLNLLRIFSVLENLRMDFNKLFGGEACVEIKTMTSDLVTKVVNGASEIFWELPVQVELERQSYPPKDGGIPRLVSFVTGYCNQLLDDKYRPVLTQVLKICHGWKHEKYEEGLVTNQIYSIVREIAVNLDAWSKAYDQRPLSYIFMLNNHSHFHSLKGTELGNLMGDSWLSAHGQYKEYYSALYLRESWGKLLTCLSQDNPVSSDLPKRLKAFNEAVDDMYNKQSNWVIFDESLRQKMHQLVVQALVPAYRSYLQKHSLLVEHSDTTSRTVKYTAKSLENMLNTLFQPRQIKYRSTIDSHFTGKQRNTETNQFRLTLTAV >EOY26323 pep chromosome:Theobroma_cacao_20110822:6:7599224:7600537:1 gene:TCM_027821 transcript:EOY26323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGRNLQQKIPAATQKIHKRNRKIWRKGKEHRTEEERERSGGWRGIRSENDAVPATVRIHAARRSSPAEKEPDHRRRQICRWKEEPIRDNCDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPDLPLEGRADPGQLRRHRLGKSTVRVRRREISCRVTAKGEFAGVRGRNPAEEECREKLESLWVERESEGKKWEEKKEKRGFIVRV >EOY26437 pep chromosome:Theobroma_cacao_20110822:6:10305439:10307471:1 gene:TCM_028054 transcript:EOY26437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEKPLVTSFLSFQNDMSIVNSFYSGDAWDMDKLKLLLLATLINDVLKIPFDSSKEDVAYWTLTSHGDFSTRSAWEVVRQWQPTITMEFKTIFNNFFFTF >EOY25992 pep chromosome:Theobroma_cacao_20110822:6:3444937:3450622:-1 gene:TCM_027380 transcript:EOY25992 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein, putative MELPQECWESIFSLLQHHRYFEPLSLVCNMFLSITNHLRHTLTITDATLESLPRLLRRFPNLHTIIFRDFHGSLDSVLSQISQSGLPLISLDVSNQTSFPLLGLKQLGSKLRNLKELNCSKIVYLKDSDLIEIGNCFPFLEAVDISYPDHGCGFSPNGSLDSRSFRGLVTDYGILGLASRLRRLQKIDLSGNPFITDQALVSLSLNCLFLSEIGIRDCDFITQNGIALAMLKSGNLKSISMNGIGIPSIDLCFKDSFAYARGLCELDLSNSFISDELLCLVAEACLPLTKLVLSRCFCYTFDGIYFLLSKYQSLTYLDLEGANFLNDESMMELTKFLGNLTFTNLSLCSKLTNSTFFNLTKNCPLLSIINMERTNIGVEEFPTEIVVNPRVKSLYLAWNNSLNDECIKKAAYVCPNLEVLDVTYCSHITERGILGILKSCLHIRCLEINRCEGIKNLEIDFELPKLEVLQAEGLGINDEALTLIGKRCCRLSHLNLEGCLNVTARGVEGVVVNCKALKEMNLRWCNNVSVDIVAWMVFSRPSLRKITMPCGSVPTVNQRNFFLRHGCLLCKG >EOY28364 pep chromosome:Theobroma_cacao_20110822:6:25135525:25146455:1 gene:TCM_029952 transcript:EOY28364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTFESWDALVKKRNALSEGGNCGFKMKQLPFMGVICAVMLFIVYRTTNYQYHETEMESKLHPFETVKDYRLPSGKLKSLPRGIMHAHSDLELRPLWSRSSLRSKVNVTMNKNLLAMPVGIKQKENVDDIVQKFLAENFTIILFHYDGHVDGWLDLEWGDKAVHIVAHNQTKWWFAKRFLHPDVVSIYDYIFLWDEDLGVQHFDPGRYLEIVKAEGLEISQPALDPNSTEIHHRITIRARMKKFHRRVYDLRGKTKCTSASEGPPCTGFVEGMAPVFSRSAWYCAWHLIQNDLVHGWGMDMKLGYCAQGDRSKKVGVIDSEYIVHKGIQSLGGSGHSTMTTTIKSPGASGFDLRTEIRRQSTWELQVFKDRWNQAVEEDKSWVDPFSPTHYSHSRLPALIPEPASYNYDRNPAIDITLDKATNLKQKERELKAKEAEIRREEEVKRKEEALARAGVFLDVRNWPPFFPIIHHDIANEIPDYLQRMQYVAFLTLLGLVLCLFWNILAVSAASIKGKGVPGAYILWYHPLYRDCRKDSAFKFGSFFIFYMIHICFCHYAMVAPPIIYHGLSLTGVLSAVYLTTDGHALIGVKTP >EOY28190 pep chromosome:Theobroma_cacao_20110822:6:24506568:24507939:1 gene:TCM_029827 transcript:EOY28190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFDWETFFRCWYQRGKHIDCFQMTTFEMIKERLRNDKFDPNRRKPCSILMALFSQESRTTSYLSSGL >EOY26637 pep chromosome:Theobroma_cacao_20110822:6:16281320:16282735:1 gene:TCM_028563 transcript:EOY26637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin 1, putative MDFLFLFSPEEAPSLFSLAAGLSSSRRSLLHSSFIFSFRLSSSPSPLSAGSSAKSAETLFRYIAAEQVPVKYGGLSKDGEFANTDAVTEITVKPSVKHTIEFPVTETCLLTWQVRVVGWDVSYGAEFIPSAEDSYTVIIRKARKVASTEEPVVCNNFKIGEPGKVILTSDNPTSKKKKLLYRLKTKPTSD >EOY27497 pep chromosome:Theobroma_cacao_20110822:6:22094366:22099129:-1 gene:TCM_029333 transcript:EOY27497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute protein group MASPKISERVLPLSSSSSPETKQILLPVKRPDNGGTHAIKKLNLHVNHFPVKFDPKKIIWRYDVDVKPKVAPGDGHPVKLSKSNLYLIRRQMSLDIQVPLEMTVYDGKKSIFSAVVLPTGNFTVQLSESSYLVTLKLGSELRLSQLNDYLSGKVFVAPRDILQGINLVMKESMNCLSHGFHPKEFHRGDDLGHGIIVSREPKHSLKLTSQGLAFCLDYLVLPVRKSVPVIEYLGEQIDGFHIDKFGSFKDKIESDLTGLRVCTTHLDTNRTYLIAGLTSKDAQNISFPIGDQQAVFIKFLSEFLMRFTFGSGEVVQNFGMEVSMNMTKVAGRVLSPPELNVGAPAGRKMKIKVDNEKCHWNLVRKCVFEGKQIDRWAVLDFSSATPNQPFIQKLTNRCNNLGIRMGEPLHYQMARMDNLNDKDLLHEMLEHIQYLSYEKGKGRLQFLLCVMSKQHPGYNFLKFISETKVGVMTQCCLSARANEAKDQYLANLALKINAKLGGLNVEIIEPLLHFKGEGHVMFVGADVNHPGFKDSTSPSIAAVVASMSWPVPNRYAAKIRPQDPRSEKIQDFGEMCLELIDSYVTLNKVKPAKIMIFRDGVSETQFDMVLNEELVGVKGAFQAMNYFPTITVIVAQKRHHTRFFLETKEDGGSSGNVPPGTVVDSTVVGPSGFHFHLFSQYSSMGTSKSTQYQVLWDEHRFSSDHIQQLIHSMCFTFALCTKPVYLIPPVYYADLAAYRGRLYQDALDRKSQASSRRSSSSSSLPASPSQPSAAAFSKSSYRVHPDLQNSMFFI >EOY26997 pep chromosome:Theobroma_cacao_20110822:6:19639834:19648569:1 gene:TCM_028953 transcript:EOY26997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTNSFERILGKEGFGIVFHGYLDDTQVTIKMLSHSSVQGYRQFQAEVELLLRVHHRNLTSLIMYCKEGINMRLIYEYMAKGNLAEHLSSRLVILEINNERTHISQWVGSMLSRGDIKNIVDPRLQGDFDVNSIWKAVEVAMTSVSPSSTKRPTMTQEAVEIGFEPVLRHANLTNAQMKQQEEDIAKRYKVLSCLQSAVSGEILARIMHLENPKEVWDHLKDEFQGSERTKQIQALNLTRQFEMLSMDEDEFIRIFPGRLMGIVHQLRLLGKAMPEDKLVSKMLVSLPEKYESKCHAPDS >EOY27906 pep chromosome:Theobroma_cacao_20110822:6:23548286:23552550:1 gene:TCM_029625 transcript:EOY27906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein, putative MIILDRPIIVQSPEMVSKIIKRTPPRSIKRHRLNHCRRKTSPAKKNASFSVIASINKSIKTCHRRLVRLFSKLAHIATPSTTKRRHKGFKLLKQEEEFDEFESNFIVPRALEFDRCLLPPLISETKRTIFLDLDETLVHSSPDPPPKMYDFLVRPHIEGQFMNFYVLKRPGVDRFLEEISKKYEVVVFTAGLKQYASQVLDKLDPKGLISYRLYRDSCKQVEGKFVKDLSEMGRDLGKVVIVDDNPNAYSLQPENAVPIRPFVEDGEDRELEKLVKFFEWCERFEDMRVAVKQYFSGGGGSGGGSTGGAEDHGFVQLDL >EOY28419 pep chromosome:Theobroma_cacao_20110822:6:25297028:25298257:-1 gene:TCM_029990 transcript:EOY28419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGLSRLCRPLLEHVSLFFCPLVGGDMATVTVTVSLQACSSFIGCWMEHVYGRSEFGDIQRMEFRSDVHASR >EOY28718 pep chromosome:Theobroma_cacao_20110822:6:26344346:26345820:-1 gene:TCM_030238 transcript:EOY28718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPYKLQSLLGAAHSGSILYLNREDMRRAKVSGIESMLVFISKGLREGRAVVVGRVVRAQSGSLSNLAYPRGKPMGKAAKAAALRVSKGINTGQE >EOY26833 pep chromosome:Theobroma_cacao_20110822:6:18370958:18393858:1 gene:TCM_028804 transcript:EOY26833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHMAILKLRVIKPCLVLSYLLHFPLENLPSVPAIIMASKQATVAFAALIICLLLSSGIGVSGQTCYYIEENCRANADCTKICSNQGYESGAICVPNNTGSTHCCCVIDS >EOY27212 pep chromosome:Theobroma_cacao_20110822:6:20701811:20708228:1 gene:TCM_029108 transcript:EOY27212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDMWVKLPREDRCRNRRENPSFSSTLLDAIYRSIDESNGSKGEEELIFYRETTMRKKHSNNCSLKEEEMTSLQRACMIEKWMEKKASCDNKVAIRRKSMADSERNSRNDFDPVLLNSSSSSSDSSCGGGFSSSESDSFYNAKSRSSSSSSSHYTTHRPKPIRTSVSARPERYERPQHEVENSFHAAAQKPKHEGGFVRTKSKALKIYSDLKKVKQPISPGGRLASFLNSLFTAGNAKKAKISSSGYEERKLKSEQTSSTCSSASSFSRSCLSKTPSSRGKLSSNGTKRSVRFCPVSVILDEDSRPCGHKSIHYENDQTSMIRKPSNKELEFRNLEENRRVVEAAKDLLKSYQKKKEEYDMRDVRNGNGDSSEDDDEEDAASYASSDLFELDNLSAIGIERYREELPVYETTHLDTNRAIANGLIV >EOY27093 pep chromosome:Theobroma_cacao_20110822:6:20102510:20105409:-1 gene:TCM_029027 transcript:EOY27093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate phosphatase-related protein MAGIVVVFDFDKTIIDYDSDNWVVDELGFSDLFNQFLPTMPWNSFMRTPIHYRVVPAIKAAHALGCELRIVSDANLFFIEKILEYLGLKEYFSEINTNPGFVDEEGRLRIFSYHDFNKCSHGCNLSPLNMCKGMIIERIQASLEGKKKIIYLGYGSGDYCPSLRLGEADCMMPRKNFPVMISVEENNDNSVQLISIDGKLQTISASTHEALPQALPVTQ >EOY27456 pep chromosome:Theobroma_cacao_20110822:6:21858819:21870573:1 gene:TCM_029302 transcript:EOY27456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase MKERKMMIMSTKAPSSSKCFSFFVFVIFFVAFSISNAQTQNATTDPSEVRALNSIFQQWETQAPDTWNISGEPCSGRALSDSDSAFEDSSNNPAIRCDCSFENGTICHITRLRVFSLEKRGQIPEELLALRFLTFLKIDQNFFTGPLPAFIGNMSRLALLSVAQNSLSGPIPKEIGNLKELYMLSLGINNFSGTLPPELGNLVELQQLYINSCGFTGEIPSTFANLRNLQIVYASDNALTGKIPDFIGNNWTKLASLKLEGNSFEGPIPSNIGNLTSLTILRISGIYNGSSSLVFVRNLKNIADLVLRNVLLTGSISTDIVEFQSLQKLDLSFNNLTGQIPSELFNMNSLTFLGNNSLSGTLPSQKSQSLKNIDVSYNHLSGNLPSWIDSTLQLNVVANNFTLNSSDIRLLPGLQCLQRGFPCNRNAPRYANFAIKCGGPQMTADGILFEAENSTLGAATFNVTSTQKWAVSNVGLYEDRENPLYVQNTFAQVKSTNTPAIYQTSRISPVSLRYYGLGLENGPYTVNLFFAETAYPERSTQSWKSLGRRVFDIYIQGSLKVKDFDISKEAGGAERAITRNFTANVTENHLEIHLFWAGKGTCCVPEFGYYGPSISAISVVPDFIPTVSGLPAGSSNRKNQTALIVGIIVPVGVAALILICVIIFIKRRKKYDDDEEVLLAIGTRPNTFSYAELKAATEDFSPSNKLGEGGFGAVYKGTLSDGRVVAVKQLSVASHQGKSQFIAEVATISAVQHRNLVKLYGCCIEGKRHLLVYEYLENKSLDQALFGRSDLHLDWATRFNICLATARGLAYLHEESRPRIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKVDVFGYGIVALEILSGRPNTDNSLEDNKIYLLEWAWALHENNQSLDLVDPNLVELDENEALRVMGVALLCTQGSPTMRPPMSRVIAMLAGDIEVSGVITRPSYLTDWDFKDLTGRFMTEDTQNSIASENTAPIPSSVNETEFSGIVEGR >EOY28482 pep chromosome:Theobroma_cacao_20110822:6:25453067:25453441:-1 gene:TCM_030026 transcript:EOY28482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MASQNGVVFEDFFPAMVEKLGADGFMKELCNGFQLLVDGDKGVITFESLKRNSALLGLQDMSDEEAVCMLREGDLDGDGALNEMEFCTLMLRLSPELMNSSRKLLVEAIVNF >EOY26445 pep chromosome:Theobroma_cacao_20110822:6:10678563:10682558:-1 gene:TCM_028081 transcript:EOY26445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRMNLLEITEQIKEKTNLSQIERIPLDHPIARLKIQSILQSGQRRSMKLSMISSRFVSCKSNISTL >EOY25702 pep chromosome:Theobroma_cacao_20110822:6:1327976:1329994:-1 gene:TCM_027086 transcript:EOY25702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin-like protein 6, putative MALLKEVDDSLTNQIFSILENRFLFGYDNVDADEPKPHQSIQLQEMKSGKQVTGKVRILSIDSGSFSDGILAAQSLVRLENFLRRKSGNPNANVAHYFDVVAGSGAGGVLAALLFTRGKNGSPIFSAHEALDFLVKNRRKLFPTSSQGILGRIFRPSKVEKLLSKTFGELTLKDTLKPVLIPCYDLSTHAPFLFSRADALEVDGYDFKMKDVCFATSADPTVAGAVEMRSVDQRTKIVAVEGGVAMNNPTAAAMTHVLNNKQEFPFCNSVEDLLVLSLGNGESDFGAGNLTPQRPRSFLRIAGEGTSDMVDQAVSMAFGEYRKSNYVRIQGNGFVPKSSATGEALSSIAKEMLAQRNVESVLFQGKKMSEVTNLERIEMVGGELIKEQERRKTSILPTVVLKQPQASASPRTSSASATTVSTQSSC >EOY28333 pep chromosome:Theobroma_cacao_20110822:6:25006550:25010541:1 gene:TCM_029930 transcript:EOY28333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 2 MSMAKGFRVKFLFVALLNLWVVHQAACSMNVSSHGHQAHSRFLLDNGVSRTPPMGWNSWNHFHCDLNETIIRSTADALVSTGLAKLGYKYVNLDDCWAEGERDKKGNLRGKLTTFPSGIKALADYVHSKGLKLGIYADAGNRTCSNQMPGSLGHEDQDARTFAEWGVDYIKYDNCYNDGSKNRGRYVRMSRALQKAGRPIHYSLCEWGQEKPAIWAGAYGHSWRTTGDINDTWASITSIADANDVWARYAGPGGWNDPDMLEVGNGGMTVEEYRSHFSIWALMKAPLLLGCDVSSASRETLSIIGNKEVIDINQDPLGVQGRKIRTKGGLEIWAGPLSRGRVVVVLWNRSRARAPISVGWREIGLSPSRPVTVRDVWKHKFVAMKRRYRLTSSVASHSCKMYVMTPFSG >EOY27894 pep chromosome:Theobroma_cacao_20110822:6:23486089:23492621:-1 gene:TCM_029615 transcript:EOY27894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase, putative MRRVAKCLSTMKRFGYMVLTVLMLICMETNKVKAQVEPLFPPDNEVVFILFWGCAVQALHEIAAELGKKDWNFNENPCNNKSSWFTPPPPPNIPPAINNSTVTCNCSFPSGECHIDGIYLTGQDLDGVLPRSLVKLPHIKTILLYLNYLKGTIPHEWAALKLEILVISMNRLSGPIPGYLGQITTLKYLSLENNLFSGTIPPELGKLVNLENLILNANFLTGEFPLALAKLSNLKELRISSNNFTGKIPNIFQSWKQLEKLEIQASGFEGPIPSSLSVLHNLTELRISDLPGEGSKFPNLQNMKNMYRLMLRSCNISGPIPDYIWEFSQMQILDLSFNKLEGNILDSGNPKTQYMYLTSNSLTGRIPEWLNARDSR >EOY26153 pep chromosome:Theobroma_cacao_20110822:6:5104315:5112311:1 gene:TCM_027569 transcript:EOY26153 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 domain-containing transcription factor, putative MAKISQKTQKNSTDHENGTTATVAKPTTKVKRTRKSVPRDCPPQRSSVYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQVYLGAYDDEEAAAHAYDLAALKYWGQDTILNFPVSTYENELKEMEGQSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWIRPNHQSNPNNSENPQQILNGDTNSTPSPNHDNIGIGFSIQPQSYSTSETSMTTPPRLDGEGGGGGAGNDNSASASSALGLLLQSSKFKEMLERTSATNCPSTPPERDLPRRSFPDDIQTYFDCQDSSSYTEGDDIIFGHLNPFATPMFHCELDG >EOY26350 pep chromosome:Theobroma_cacao_20110822:6:7851496:7853113:1 gene:TCM_027854 transcript:EOY26350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREWKKPLGWCYEKSKVCKDGWFGTLGGMEDPRWVSGKKKIYSLYRECYGDDEQRESWLGEPCRRTANREMQRLPNSKQRLLLGIDRKACLHFSFLIFFR >EOY28885 pep chromosome:Theobroma_cacao_20110822:6:26786394:26787818:-1 gene:TCM_030362 transcript:EOY28885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate adenylyltransferase subunit 2 MAQVLNLNPLGSSYSTRPESPGFRSLNAARSQNVARNWSSLLQNLKCNGRFSCLFSDNRREEQARKALESALGGKKSEFEKWNKEIKRREEAGGGDDAGGGGWFGWGGRFGWSNDDHFWQEAQQTSLAVLGIIVMYLIIAKGELMLAVVFNPLLYALRGTRSGLTYVTSRILGKRNADGPPDSCNMSNKETHGYVSAKENVLKKWGSN >EOY25548 pep chromosome:Theobroma_cacao_20110822:6:415395:424491:-1 gene:TCM_026940 transcript:EOY25548 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding MPPKAAKSKEAPAERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVNVPDGRFDWLCQLYKPKNEVSAFLEIHDIAGLVRGAHQGQGLGNSFLSHIRAVDGIFHVLRAFEDSDIIHVDDSVDPVRDLETISAELRLKDVEFIEKKVEDLEKSMKRSNDKQLKIELELCQKVKSWLEDGKDIRLGDWKAADIEILNTFQLLSAKPVVYLVNMTEKDYQRKKNKFLPKIHAWVQEHGGEPIIPFSGVFERNLVDMESEEAAKYCEENKLQSALPKIIKTGFSAINLIYFFTAGADEVKCWQIRRQTKAPQAAGAIHTDFERGFICAEVMKFEDLKELGNESAVKAAGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >EOY25509 pep chromosome:Theobroma_cacao_20110822:6:293420:295640:-1 gene:TCM_026916 transcript:EOY25509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFSKAKRMDLVPPFPENCHLPNLCTCRFYLVELCGDILVVVRFIGKFVDWDEKLVREAADCTHPKGTQFITRIINGGNLEFARRLGIISLKRCKRQANF >EOY27778 pep chromosome:Theobroma_cacao_20110822:6:23096006:23098486:-1 gene:TCM_029538 transcript:EOY27778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease 10, putative MAEIHQIQLDITDQEAKENPTEQTNASNHIRRSPSRNYKRWLLIVVYTIFLLCGQSVALVLGRLYFEKGGSSKWMATLAQLGGFPILLPCYCFLLRKTRTANNIIDPNPPSFLKLGILVYVPLGLLSAGSCYLYSVGLQYLPVSTVTLITASQLAFNAFFSYFLNSQKFTPFIINSLVLLTSSSVLLVVNNNSEKPAGVSKGEYAAGFICSICGAAIYVLGLFASGDWKGLKRDMEEYALGKVSYVMTLLWTAIGWQVFAIGTVGLIFDVSSLYSISIRTVGLPIVPVIAVFIFHDKMDGIKGMSMVLAIWGFISYVYQHYLDHRKLKIENRIGSDISEDLSPGEGNQA >EOY27572 pep chromosome:Theobroma_cacao_20110822:6:22426584:22431273:1 gene:TCM_029401 transcript:EOY27572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MKQFNFVQREANEVPHALTTVVFRKKKKKKKTGFLRVISQDIYKYLCLHYSRSLRHVIFSSKFSTLKLPNWNLLLFVFMLVAGNTLSQAYIVPRSYRPSFRLIAKNSSSDVAIEKWKRDGVYIDKRGKLRSFHHKKLSRKRCGSLRGQGWKYGSGFVDGIFPVLSPIAQQILDFVQEEVDANRVWGSLDNLSPTHNTWDDLINVAVQLRINKKWDPIVLICEWILHRSSFQLDVMCFNLLIDAYGKKSQYKKVESTYLELLEAQCIPTEDTYALLIKAYCSAGLKQKAEAVFAEMRKYGLPPSAIVYDAYIDGLIKGGNPQKAIEVFQRMKRDGCQLSTVTYTLMINLYGKASKSYMALKLFDEMRSQKCKPNICTYTALVNAFAREGLCEKAEEIFEQLQEAGHEPDVYAYNALMEAYSRAGYPYGAAEVFSLMQHMGCEPDRASFNIMVDAYGRAGLYQDAEAVFEEMKRLGITPTMKSHMLLLSAYSRVGNVAKCEDIVNQMHKSGLEPDTFVLNSMLNLYGRLGQFEIMENVLIAMEKGPYEADISTYNILINVYGRAGFFERMEELFQLLPAKNLTPDVVTWTSRLGAYSRKKLYTRCLEIFEEMIDAGCNPDGGTAKVLLSACSSQDQIEQVTTVIRTMHKDMKTVLPIE >EOY28508 pep chromosome:Theobroma_cacao_20110822:6:25566396:25569310:-1 gene:TCM_030051 transcript:EOY28508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative MAEEFHAGICGGTWWNSSKSMFSGCSSPCSAGIADMGSFGSWGADMVDTKAARSCDQESNNSVSDSSIVFQGAHQKPQQADSDSGGSSILIDSTLQMMGFGLSSSTTSDWNQSLLRSNGRTESYNSILQEDINSRLSCRQETGMDSSQIQKNWSPKTYASPGEDSSITTFKPINQDFSVTKSGDSTPACQGLSAGFPMGSASYGYPSTVLLQSLFEPDPQPQQSLLNNRSINYMSAPATYGANANELSSPPWPKLAPCLRPSLPKQQQPSSLHFSNNTPFWNASSTGLSDVKASFLPSPQSQFLAQTFDEKPNCPSLTIKTNTEEVRDSMKKGSSEPPFKRPRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVNVLSTPYMKQAAAASIQQQQSPDKLKEPEGPKQDLRSRGLCLVPISSTFPVANETTADFWTPTFGGTFR >EOY27343 pep chromosome:Theobroma_cacao_20110822:6:21333788:21335296:-1 gene:TCM_029209 transcript:EOY27343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factors, putative MEEDEDIQSHPSAGAAGSPSPSSPSRPSNGRITVTVAAAPPSEASPPQEPHQQENALALALLPPQQQPSQPKNNGGGGGGGREDCWSEGATAVLIDAWGERYLELSRGNLKQKHWKEVADIVSGREDYTKTPKTDIQCKNRIDTVKKKYKLEKAKIAGGGGPSKWVFFEKLDQLIGPTAKIAVHTSAVGGGSGGSTGLLSKVPMGIPVGIRSSLNPFRNSQLQERQQQQKQPRMVVQKNQNKMQLRKRGPVDSDSEEEEEEEEEEADSLDSLPPPPTGKRARRMVHKGVNSGGKGRKWGNSVRELTQAILRFGEAYEQAESAKLQQVVEMEKQRMKFAKELELQRMQFFMKTQLEISQLKHGRKAGGIGNSSNHHSNINNNNNNNNSNNNHSDSSN >EOY25637 pep chromosome:Theobroma_cacao_20110822:6:782240:783912:1 gene:TCM_027013 transcript:EOY25637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKLLSQPHAEVYLNQNRMKYWVNKKLNKYCFMLFARDLSISWVEEHRYWRWSYQKETNSDVLIDVVELLKMKHMDGDVQ >EOY27803 pep chromosome:Theobroma_cacao_20110822:6:23178334:23180739:1 gene:TCM_029559 transcript:EOY27803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein, putative MDYSLLQFLAIFLLHIFLCSGSSVSKKPPLEEINQKSKQNNQEFRVLFAPSISTTVLADNIPIVNPTTPGTTTPNPTSPSTEPTTNPTTPTTTPAAPITTPTTPTTTPTTPTTTPATSGGSWCIANQGASPTALQVALDYACGYGGADCSAIQPGGSCYDPNTALNHASYAFNDYYQKHPAPASCVFGGTAQLTNTDPSSGNCHYAASSPTSVTPPASITPPATLTPPTTTTMSPPFFMTPPTFTGPGGEGTVYGSAEPTGLPSSATSVSFNFWLIFATIGLAWSFVTANKL >EOY27557 pep chromosome:Theobroma_cacao_20110822:6:22389499:22389972:1 gene:TCM_029391 transcript:EOY27557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEAGGDSTGCERLQKALCECHQRFGPGATRDAACRHLNRALAECLVSVLCPAESEAVRTLCASGGTRLKRSQCQEAQLSLSVCISSHQQD >EOY26137 pep chromosome:Theobroma_cacao_20110822:6:4892929:4895975:1 gene:TCM_027549 transcript:EOY26137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKENKKVDAAALEGFSPVTTTRIFWKSRKRSGSVSSLFSLSLLGFYGNEASIELPSWWHPFNGFSKTENTSGRNLDKVTEETANMTPTKQEEETPDQENAPDPTTASELSERRKALFEPLEPIKNLNGQRPSAESLLPPPDFDAAIYPKGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLEEDARCLEHLQLQLLQEKSKRSDVERENAMLQEQISMLMNMLQENESMGDEGPDEA >EOY20388 pep supercontig:Theobroma_cacao_20110822:scaffold_196:5464:8679:1 gene:TCM_046273 transcript:EOY20388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase 21, putative MLKASTADPMENVKFIDTLLRLGVFEKFKDGSVGKFKESLVDDIRGMLSLFWEDYDFSSELSYARERYVEVYTWINSLYFEPRYTRWRIILTKLMVLISILDDTFDAYGTPQELQRFTDALKRWEISALDELQDYTKVICKAVLDLFDEIEDEARKEGRSYTVPYAKDAFIGLINNYQAEVKWCHDGYVPTFEEYMRVAMKTSTYDPIITTLFIGMGTIAGLEAFEWLQTEPRIMTAANMIGRIMDDRASHKFEQLREHCPSSVECYMKQHNLSEKDALHDLKKKLEDAWKDINEECMRPTAIPRDLLLRILNFARITYLFYKHGDGYTDPDPYMKDDIRALFVDPVRM >EOY20528 pep supercontig:Theobroma_cacao_20110822:scaffold_96:3646:9033:1 gene:TCM_046188 transcript:EOY20528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase 5 MAFVTSLLSKPKFLDVSPPQRATTMKTKSCSCKVLNFGHPNPKFNQINGEQSPLQASITRLNRSYSNRKVLALANGVSVEANEPDPEGKISGRILLSNVVVQRKKKVFWGRKWNTSDMAIAGVIVSMHLLSLFAPFHFNWPAFWLAVGLYVVTGLLGITLSFHRNLSHRSFKVPKWLEYFFAYCGVQALQENPISWVSTHRYHHQFCDSNRDPHSPIEGFWFSHMSWLFDTNSVIEKCGEPTNVGDLRQQPFYKFLEGTYILHPIALGVLLYALGGFPFLVWGMGVRIVWVYHITWLVNSACHVWGKQAWNSGDLSRNNWWMALLSFGEGWHNNHHAFEYSAKHGLEWWQLDMAWCVIKFLQVIGLATEVKLPTEVQKKRMAFSS >EOY20462 pep supercontig:Theobroma_cacao_20110822:scaffold_311:4121:5110:-1 gene:TCM_046329 transcript:EOY20462 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus-specific glycoprotein S6, putative MAILYVAIKLFSQHICSGYMSPEYAIDGVFSMKSDVFGFGVLVLEIVSGKKNRGFSHPDHDHNLLGHAWRLWTEKRPMELIDDALGDFRLPSEVLRCIHVGLLCVQQRPEDRPNMSSAIVMLGSESALPQPKQPGFFTGRNLPEAESSTSNCKSSSANECTVTLLEPR >EOY20461 pep supercontig:Theobroma_cacao_20110822:scaffold_311:1:2901:-1 gene:TCM_046328 transcript:EOY20461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLVKHLSDVMKDRAILLYVMVTGKSINVGKLIFNNIVHYAISTRDSIWYPSLITALCKQAGVQWSSEEELLHLKAPLGPNIIHRLVSSAGGSSSFALRSPPHPTHLTIPKRFEHLEHQMAYQANCMRVMKQMFSACALHIGMDMTSFPSMLEDH >EOY20306 pep supercontig:Theobroma_cacao_20110822:scaffold_110:363:1471:-1 gene:TCM_046201 transcript:EOY20306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMLSSLLRVLNLSNFEDLLLYDNDLKVQIPIAIRNVSDLKWLCLGDNLFSGHLPSTMFDHLSKLQSLDFHNNNLFGRIPTNIFKCQELEFLSLGWNDLEGSLPQEIGNLTKLIHLHLDMNNLTAIVPSKVSSQGGLETSDGIWTLAT >EOY20307 pep supercontig:Theobroma_cacao_20110822:scaffold_110:11182:13221:-1 gene:TCM_046203 transcript:EOY20307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase MENTGFLLPLVVLLNFVAAFSAESPNITTDQLALVALKAHVTHDPQNILATNWSTTTSVCNWIGVTCRSDHQRIVTLNLSDMGLVGTIPPHLGNLSFLTQLNIRNNNFQGSLPMQLANLSSLQYINFGNNNFVGEIPSWFDSFTQLQRLSLYSNNFSGIIPSSLGSLSKLKELFLYDNDLKGQIPVTIGNLSKLKLLDLRQNQLSGKIPTSLFKCQELEDLILSNNALEGSVPQEIGNLTKVNNLFFSSNNLTGDFIFFNP >EOY20502 pep supercontig:Theobroma_cacao_20110822:scaffold_51:18208:18781:1 gene:TCM_046123 transcript:EOY20502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKASLFICFLLSSVLVLPFAFSARELHIVEHIGARNTFPVNPSAGKTHHTSCYKERNYYVCNVPTPPSRGTLAAPAGCRTCPTPIYVPRNSPCPCTIK >EOY20501 pep supercontig:Theobroma_cacao_20110822:scaffold_51:8796:9524:1 gene:TCM_046122 transcript:EOY20501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRALLTLFLLLSALLFSASSIAAQSIGSKGGGGSGSGTPVTGNRPPAAVPCRGRPFTSCIPKPPKKCNTPFQGDCP >EOY20499 pep supercontig:Theobroma_cacao_20110822:scaffold_51:16:4961:1 gene:TCM_046119 transcript:EOY20499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MLCFLCLVFFKLHIVIFSQVKCTGVLFIEINRGIDGICIYQQIAWGSLLGLQLAERDIIVACVDYRNFPQGTISDMVKDVSQGISFVCNIIGEYGGDPNRIYLMGQSAGAHVSACVLLEQAIRESRGKNTS >EOY20500 pep supercontig:Theobroma_cacao_20110822:scaffold_51:5746:7739:1 gene:TCM_046120 transcript:EOY20500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylcysteine methylesterase, putative MNYCKFSQKLRHSFLILTFLCSINFVDALKGVGADAELNFVDALKGVGADAELILYEGKSHTDLFLQDPLRGGKDDLFDHLVAVIHAGDEEALAKDALAPPRKRLVPEILLSLARQISPF >EOY20386 pep supercontig:Theobroma_cacao_20110822:scaffold_187:10216:10635:1 gene:TCM_046270 transcript:EOY20386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPS2 MSVVGEAALTAFFDGLFGKLSSSDFLDFVTDKQVFEEINKWEKMLRDIRAVLDDAEGKQMKDQYVKNWLADLQDLAYDVDDILDEFATEALGRKLTSLEEPQGIKN >EOY20384 pep supercontig:Theobroma_cacao_20110822:scaffold_187:3704:7369:1 gene:TCM_046268 transcript:EOY20384 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR protein, putative isoform 1 MESLRIRKHFLPFLTEMNIQNCPNIVCFAKNNFPPLLKKLEIVNCGNLRCLVDEGENISIGNISSLELLDINGCPSLISLSLPVRLRHLILMSCSKLASLSESGKLPIGLKQLDLSFCPELKSIAEVIDENACLESISFFSCGIKSLPQGLDKLNHLRSIEIMRCSNLVSLEGVLPTTNLTELSISNCENLPALPNCMHSLTSLRELQVENDSGDQISIPEEGISTNLTSLSISIPRNYESLLERGLHRLPSLKTLRISGEGCPNMVLFPPEEIGMMLPPSLTHLSIENFENLKCLSSKGFQNLTSFHHLSICSCPKLTSLPEKDILHSLLHLFICACPLLKEECKRDKGREWSKIAHVPRVQIFDFLSFF >EOY20383 pep supercontig:Theobroma_cacao_20110822:scaffold_187:3705:7571:1 gene:TCM_046268 transcript:EOY20383 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR protein, putative isoform 1 MESLRIRKHFLPFLTEMNIQNCPNIVCFAKNNFPPLLKKLEIVNCGNLRCLVDEGENISIGNISSLELLDINGCPSLISLSLPVRLRHLILMSCSKLASLSESGKLPIGLKQLDLSFCPELKSIAEVIDENACLESISFFSCGIKSLPQGLDKLNHLRSIEIMRCSNLVSLEGVLPTTNLTELSISNCENLPALPNCMHSLTSLRELQVENDSGDQISIPEEGISTNLTSLSISIPRNYESLLERGLHRLPSLKTLRISGEGCPNMVLFPPEEIGMMLPPSLTHLSIENFENLKCLSSKGFQNLTSFHHLSICSCPKLTSLPEKDILHSLLHLFICACPLLKEECKRDKGREWSKIAHVPRVQIFDFLSFF >EOY20385 pep supercontig:Theobroma_cacao_20110822:scaffold_187:3704:7369:1 gene:TCM_046268 transcript:EOY20385 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR protein, putative isoform 1 MESLRIRKHFLPFLTEMNIQNCPNIVCFAKNNFPPLLKKLEIVNCGNLRCLVDEGENISIGNISSLELLDINGCPSLISLSLPVRLRHLILMSCSKLASLSESGKLPIGLKQLDLSFCPELKSIAEVIDENACLESISFFSCGIKSLPQGLDKLNHLRSIEIMRCSNLVSLEGVLPTTNLTELSISNCENLPALPNCMHSLTSLRELQVENDSGDQISIPEEGISTNLTSLSISIPRNYESLLERGLHRLPSLKTLRISGEGCPNMVLFPPEEIGMMLPPSLTHLSIENFENLKCLSSKGFQNLTSFHHLSICSCPKLTSLPEKDILHSLLHLFICACPLLKEECKRDKGREWSKIAHVPRVQIFDFLSFF >EOY20382 pep supercontig:Theobroma_cacao_20110822:scaffold_187:524:2977:1 gene:TCM_046267 transcript:EOY20382 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MSFLGEVALTAFFDGLFGKLSSSDFLNFVTEKQVFEEINKWKKMLRDIRAVLDDAEGKQMKDQYVKNWLADLQDLAYDVDDILDEFATEALGHKLTSLEEPQGIKNKVQKIIHACFSSKTFMFNNKMMSKIKEISARMNDLATQRTQLELRGINGGARSNRLIQRLQPTSLVDETQVYGRQEEKAALLELLLSNGGTDNEASVIPIVGMGGIGKTTLAQLVYNDTRIQNSFHDKAWVCVSDDFDAVMITKTILQSIAPDSCTNINDFNLLQVKLKEKLAGKKFLLVLDDIWNENYLELTNLLSPFRVGTKILITTRSHNVSSIMGTVKAYPLQKLSQENCLSVFTQHALRANDFSGHPEVKEVGEIIVKKCKGLPLAAKAIGGLLRTSLDYEAWKGISESEIWGIPEEKCGIIPALRLSYHHLPSHLKRCFAYCSILHKDYEFGEEEIILLWKAEGFLQPASPGTQLEVLGSQYFRDLVSRSFFQASTRNKSRFIMHDLVNDLAQSVAGEICSKLEDDKQLRFSKGTRHSSYVRGRYDGMKKFEAFNQTKHLRTFLQFPGSSWAPEGDCYLSKHVLVDLLPKLRCLRVLSLKGYRIIELPNFFQNLMHLRYLDFSHTTIKSLPDSIHILYNLETLLLCGCRLLENLPSNLQILVNLRVLDITNTPSMKGMPFGVG >EOY20529 pep supercontig:Theobroma_cacao_20110822:scaffold_97:16341:19141:-1 gene:TCM_046193 transcript:EOY20529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAILEKTIDGDDINQLTITKKFDAEAFPSAAGGGAMTVKDEQGSLWTFKYKVKLRNKRVLSGHWVHFVRNNRVRVGDRVAISNNDGWSSEAEYKIELAAMARIFEKYLTTQDKDEGLKIRSGADLLPRVNENLRVMDGKSKKVLVFEYQVSGRETPVIRGKQWKKFISRYNTGDTVTLYTYQGCDAEYEISVR >EOY20518 pep supercontig:Theobroma_cacao_20110822:scaffold_815:66:2584:1 gene:TCM_046367 transcript:EOY20518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein RISSNNFTGKIPNIFQSWKQLEKLEIQASGFEGPIPSSLSVLHNLTELYLTSNSLTGRIPEWLNARDSR >EOY20419 pep supercontig:Theobroma_cacao_20110822:scaffold_26:75152:79056:1 gene:TCM_046018 transcript:EOY20419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKRSYHHLTDLGCIAGEDLSEFGAGKEGWLAPDASPTHLCALDAHSLAIANRSLILILGWSDTDEPRVQIRPELSPIEAEHITAIEWLVFDEIKVIAVGTSRGFILVYSVRGDLIHRQKPKKDSEDKGNSYGRLPYQVWNVNKYGTCVDAAITGIMPPPLMELQYILFMLMFVSSMNYGRFLKLFWYLNSAAFIVGQSNQPSPLTCLKDHPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGYRDASCFFMEMLVNKEAIGSGSSYYEPGKSDYCLCLAIHAPQKGIVEVWQMRTDHVS >EOY20420 pep supercontig:Theobroma_cacao_20110822:scaffold_26:88786:102079:1 gene:TCM_046020 transcript:EOY20420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab3 GTPase-activating protein non-catalytic subunit isoform 2 MLQRWFQETHSRFWDQKPKKDSEDIGNSYGRLPYQLWNVNKYGTCVDAAITGIMPPPLMELQSNQRYYCAVTIGDDAVISAFRLSEDRNRSLVGAILSKVVPATFSTIASFSKMIWRSEQTPKRKSEEKPQSFARASPLTCLKDHPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGYRDASCFFMEMLVNKEAIGSGSSYYEPGKSDYCLCLAIHAPRKGIVERYI >EOY20421 pep supercontig:Theobroma_cacao_20110822:scaffold_26:89093:102078:1 gene:TCM_046020 transcript:EOY20421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab3 GTPase-activating protein non-catalytic subunit isoform 2 MPPPLMELQSNQRYYCAVTIGDDAVISAFRLSEDRNRSLVGAILSKVVPATFSTIASFSKMIWRSEQTPKRKSEEKPQSFARASPLTCLKDHPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGYRDASCFFMEMLVNKEAIGSGSSYYEPGKSDYCLCLAIHAPRKGIVEVWQMRTGPRLLTIQCAKGCRLLQPTSRFGSSLDSPYVPLEVVLLNGDSGQLSVLNRFLN >EOY20416 pep supercontig:Theobroma_cacao_20110822:scaffold_26:64434:67982:1 gene:TCM_046015 transcript:EOY20416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MGKVIRMLYHCLLLALFHFQVHCSLSSPSSFLPSAHLCLPEQRAALLEFKNTISLGDCQTFSSYPKINSWNESTDCCSWDGVSCHKVTGHVIGIDLSESCLNGTLPANSNLFHLQELQRLNLAYNDFNGSISSKLFNQFVSLAHLNLSFNSFSDLIPYEISLLSKLVSLDLSWSGGDHNLRFDNQGFEMLARNLIELRNLILDSVDMSDVAIPSFLNLTSSLERLSVKECQLHGEFPIEVFRLPYLQHIDLSNNYNLTGYLPKSNLSNALQLLDLSSCRFKGSIPASFGNLTQLIFLDFSQNDFGGQIPDVFGNLNKLTFLSFDSCNFSGQLPASMFNLTQLTYLDLSFNRLEGSLPNHVSELQLLEVFWLSSNLVSGGVPSWLFTLPSLQTLDLSYNKLTGQIDQIRKPNSVQYIDLSSNDIHGPIPSSFFDLVNLERLYLSSNNLSGVVKSNMFSKLKNLFSLDLSNNGLLSLSASENDVNYSFPKLASVSFSSCNIRQFPSFFRTSNLVDLDLSNNKIHGGISKWEAEGWDNLNSLNLSYNFLTTLEQFPGKNLDVLDLRSNLLQGPILSTCLNNQSPNPPQSLIAFYVSKNKLTGNIPLLICNWSSLLILELSRNNLSGTIPECFGNLSSSLMVMNLEMNNFHGKMPDSFRDNNLEILLLNDNKLEGLLSRSLANCSSLNLLNLRDNKFTDTFPHWLASLPNLQVLLLRNNRLHGPMPNSIASSNFSALQIIDLSHNELNGPLPTKFFQNLRAMKDIPEERPSEFMRWNRRGIPLSFYDYFSVNVTTKRLEIELVKTFAIYTFMDFSNNLFCGQIPEELGELISLQGLNLSHNNLTGPISPSIGNMIALESLDLSSNKLGGRIPSQLTNLTFLEVLNLSQNDLVGPIPHGKQFDTFENDSYSGNLGLCGLPLSKQCGDPEPKPPVPMVKEDEGSEIAFFWKVVMMGYGCGVVLGLSMGYIVFTTGRPWWFIRMVERDWQKNVPKWIRRNRGRRN >EOY20424 pep supercontig:Theobroma_cacao_20110822:scaffold_26:115367:116641:-1 gene:TCM_046024 transcript:EOY20424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQITTQRVNQHEPSRGPNCNHFNLIEKSSQTMQPTSVKVMVALLRHWHQAFGSCLLATEITDRKGKLVTYCGVHV >EOY20423 pep supercontig:Theobroma_cacao_20110822:scaffold_26:108738:116503:1 gene:TCM_046023 transcript:EOY20423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33, putative MGRVFRLLFQILCLLLALLYFQLHCSLSFPSSSLHSAHFCLQEQRAALLEFKNTTSLDDYCDDYPRTNSWNESTDCCSCDGVSCNMVTGHVIGIDLSNSCLNGTLPVNSSLFHLQRLQWLDLSSNNLHGSLLENNMSDAAIPSFMNLTPSLESLSLTDCQLDGELSSEVFSLPYLQHIDLGWNQNLTGYLPKTDLSNAIKLLDLSFYRFRGSIPASFGNLTQIIFLDFSRNDFGGRIPDTFGNLNKLTFLSFSFCNFCGQLPTTIFNLTELTHLDLSHNRLECSLPNHVSELQLLEDISISNNLISGRVPSWLFTLLSLRRLDLSYNKLTGPIDQIQKPNSLEYIDLSSNDIHGSIPNSFFDLVSLETLDLSSNNLSGVIKSNMLAKLKNLTDLHLSNNSLLSLSTSGNDVNYSFPQLVKVSFSSCSIRQFPGFFRTSNLELLDLSNNKIHGRISKWEAEGWERLSVLNLSYNFLTTLEQFPAGKKIQVLDLQSNMLQGPILSTLHGPMPNSIASSNFFALQIIDPSHNELFGPLPAKFFHNLRAMKDVPKDRSSESFLYKNPNAQIMYAYDYHQTAELGELILLQALNLSNNNLTGPIPPSFGNTIALESLDLSSNKLGGRIPSQLTNLTFLAVSNLSQNALVGPIPHGKQFDTFENDSYSRNLRLCGLPLSKQCGNDEEPKLPVPMPKEDEGFEIAFIWKVVMMGYGCGVVLGLSMGYIVFTTGRPWWLSEWLREIGKTMLLSGFAETEEDETSTTSTSCIGWLSY >EOY20417 pep supercontig:Theobroma_cacao_20110822:scaffold_26:71829:87064:-1 gene:TCM_046016 transcript:EOY20417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWRSLMKLWNWTWDHASYYFVEGELAALEVKLTNRERDEVKFRKEGSATSDISTKLSVRAIEIVVSKVESLESLKVEEAAVFKKRAIKFVEIEVESLESLKVEESTVIMESAIKAAGTEVNANDMTSHHVATDSIPRTAIRAFIPRICPWVIITIIIQRNGVFEFE >EOY20422 pep supercontig:Theobroma_cacao_20110822:scaffold_26:105169:106641:-1 gene:TCM_046022 transcript:EOY20422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTYYSVWLATGVTKRRSGAPCGRIWPLWRQIGASPRLDLVGKHQIRCFPRRDLAVECQIWLDLGSILLERTRSTFFDHIRLLPSPSPPSSPVLSSS >EOY20409 pep supercontig:Theobroma_cacao_20110822:scaffold_26:11781:101791:1 gene:TCM_046009 transcript:EOY20409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab3 GTPase-activating protein non-catalytic subunit isoform 1 MSKRSNHHLTDLGCIAGEDLSEFGAGEEGWLAPDASTTLLCALDAHSLAIANRSLILILGWSDPDEPRVQIRPELSPFEAEHITAIEWLVFDEIKVIAVGTSRGFILVYSLRGDLIHRQSSQRYYCAVTIGDDAVISAFRLSEDRTRSLIGAILSKVVPATFSRIASFSKMIWRSEQMPKRKSEEKPQSFARASPLTCLKDHPRKGEKLTLSPSGTLAAITDSLGRILLLDTQTLVVVRLWKGYCDASCFFMEMLVNKEAIGSGCSYYEPGKSDYCLCLAIHAR >EOY20408 pep supercontig:Theobroma_cacao_20110822:scaffold_26:11732:101313:1 gene:TCM_046009 transcript:EOY20408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab3 GTPase-activating protein non-catalytic subunit isoform 1 MSKRSNHHLTDLGCIAGEDLSEFGAGEEGWLAPDASTTLLCALDAHSLAIANRSLILILGWSDPDEPRVQIRPELSPFEAEHITAIEWLVFDEIKVIAVGTSRGFILVYSLRGDLIHRQMVYPGRIVKLRVRGTKKDLTQDISSEEVCVVIPGVIARFDGSDIQSSQRYYCAVTIGDDAVISAFRLSEDRTRSLIGAILSKVVPATFSRIASFSKMIWRSEQMPKRKSEEKPQSFARASPLTCLKDHPRKGEKLTLSPSGTLAAITDSLGRILLLDTQTLVVVRLWKGYCDASCFFMEMLVNKEAIGSGCSYYEPGKSDYCLCLAIHAR >EOY20410 pep supercontig:Theobroma_cacao_20110822:scaffold_26:11732:101313:1 gene:TCM_046009 transcript:EOY20410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab3 GTPase-activating protein non-catalytic subunit isoform 1 MSKRSNHHLTDLGCIAGEDLSEFGAGEEGWLAPDASTTLLCALDAHSLAIANRSLILILGWSDPDEPRVQIRPELSPIEAEHITAIEWLVFDEIKVIAVGTSRGFILVYSVRADLIHRQSSQRYYCAVTIGDDAVISAFRLSEDRTRSLIGAILSKVVPATFSRIASFSKMIWRSEQMPKRKSEEKPQSFARASPLTCLKDHPRKGEKLTLSPSGTLAAITDSLGRILLLDTQTLVVVRLWKGYCDASCFFMEMLVNKEAIGSGCSYYEPGKSDYCLCLAIHAR >EOY20413 pep supercontig:Theobroma_cacao_20110822:scaffold_26:44451:46815:1 gene:TCM_046012 transcript:EOY20413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MLAQNLTNLRNLTLNFVDMSDVALPSFLNLTSSLERLSLRDCQLQGEFPSEVFCLPYLQHIDLGSNQNVTGYLPKTNLSSGLKLLDLTSCRFKGPIPASLWNLTQLIFLDFSYNDFGGQIPDAFRNLNKLTFLLFSSSSFSGQLPTTMFNLTQLTKLDLSYNRLEGPLPNHVSELLLLEEVWLSNNLLSGGVPSWPFTLPSLQDLRLSYNKLIGPIDQIQTPNSVRYIDLSFNDIHGPIPSSFFDLMNLEELDLSSNNLIGVIKSNMLAKLKNLMYLDLSNNILLSLSSSGDGENYSFPQLVKVSFSSCNIRQFPSFFRTSNLKDLDLSNNKIRGGISKWEAEGWERLIKLNLSYNFLTTLEQFPGKNLQVLDLRSNLLRGPILSTWLNLQNPNPPPSFTEFLISKNNLTGNILPLICNWSLLTRLDLSRNNLDGAIPECLGHFSYLRYMNLEMNKFYGKIPDLFTDNRLSYLLLNDNQLEGLLPRSLANCSILVLLNLRNNKLNDTFPYWLASRPMLQVLLLRNNSLHSPMPNSIASSNFSTLRVLDLSHNELTGPLPTLFVSSVTAN >EOY20414 pep supercontig:Theobroma_cacao_20110822:scaffold_26:48362:54491:1 gene:TCM_046013 transcript:EOY20414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MGKVVRMLYRILFLLLAFLHFQVHCSLTSSSSFFHSAYLCLPEQRAALLEFKNTISLDDCWVPSSYPTTNSWNESTDCCSWDGVSCHMVTGHVIGIDLSASCLNGTLPANNSLFHLQRLQWLDLSANNLHGSLLEISSLFHLQGLQRLNLAHNYFNGSISSELFNQLVSLTHLNLSCNSFSDLIPYEISLLSKLVSLDLSRNGYPSLRFDSQGSLPNHVSELQLLGDISISNNLISGGVPSWLFTLPSLGRLDLSHNKLTGPIDQIQKPNSLEYIDLSSNGIHGSISNSFFDLVSLETLDLSSNKLSGVIKSNMLAKLKNLTDLHLSNNSLLSLSTSGNDVNYSFPQLVKVSFSSCSIRQFPSFFRTSNLNILDLSNNKIYGGISKWEAEGWESLLELYLSNNSLTNLEQFPGMNLEVLDLRSNLLQGPILSNSLICNQSSLVFLDLSRNYLTGTIPNCLGNFSFFLQFMNLEMNNFYGKVPDSFTSNSLTNLFLNDNQLKGLLPRSLANCSSLKILNLRNNKFDDTFPHWLASLPDLQVLILRKNRLYGPMPNSIASSNFSALQIIDLSHNVLTGPLPTKFFQNLRAMKDHVPRKRLSCTPGQPYNRDCASSMVDIEKASVNVTTKKLEMELVETLTIFTSIDFSNNLFCGHIPEELGELISLQTLNLSNNNLTGPIPPSFGNMVALESLDLSSNKLGGRIPSQLTNLTFLEVLNLSQNDLVGPIPHGKQFDTFENDSYSGNLGLCGFPLSKQCGNDEEPKPPVAMLNEDEGSEIAFIWKVVMMGYGCGVVLGLSMGYIVFTTGRPWCTTLLCAVDAHSLAIANRSLILTLGWSDPDELRVNMRPDISPIEAEHITAIEWLVFYEIKMVYPGRIVKLRVRGTKKDLTQDISSEEVCVVIPGVIARFDGSDIQSMLQRWFQETHSLFWDQKPKKDSEDIGNSYGRLPYQLWNVNKYGTCVDAAITGIMPLLLMELQVISNVALSSLAHANS >EOY20415 pep supercontig:Theobroma_cacao_20110822:scaffold_26:51915:115768:1 gene:TCM_046014 transcript:EOY20415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 27 MVDIEKASVNVTTKKMEMELAETLTIFTSIDFSSNLFCGQIPKEVGELISLQTLNLSNNNLTGPIPPSFGNMMALESLDLSSNKLGGRIPSQLTNLTFLEVLNLSQNDLVGPIPHGNQFGTFENDSYSNNLGLCGFPLSKQCGNNEEPKPPVPTRKEDEGSEIAFIWKVVMMGYGCGVVLGLSMGYIVFTTGRPWWFVRMNG >EOY20412 pep supercontig:Theobroma_cacao_20110822:scaffold_26:35502:42086:1 gene:TCM_046011 transcript:EOY20412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MGKVVSMFFRILCLLLALLHFHVHCSLSSPSSFLDSAHLCLPEQRAALLEFKNTISLDDCWLASSYPTTNSWNENTDCCSWDGVSCHMVTGHVIGIDLSASCLNGTLPANSSLFHLQGLQQLNLAFNDFNGSISSELFNQLVSLTHLNLSHNSFSDLIPYEISLLSKLVSLDLSNNGYHDLRFDIQGFDMLACNLTELRNLILDFVDMSDVALPSFLNLTSSLERLILSDCELHGEFPSEIFRLPYLQHIDLSLNNENLSGDFPKTNLSSGLKLLDLSSCRFRGSIPASFGNLTQLIFLDFSQNDFGGQIPDVFGNLNKLTFLSFDSCNFSGQLPATMFNLTQLTHLDLSFNRLEGPLPSHVSGLQLLERFWLSNNLISGGVPSWLFTLPSLKGLDLSYNKLTGPIDQIQKPNSLEYIYLSSNDIHGSIPNSFFDLVSLETLDLSSNNLSGVIKSNMLAKLKNLTHLDLSKNSLLSLSASENDVNYSFPQLVSVSFSSCSITQFPSFFRTSNLERLDLSNNKICGGISKWEAEGWESLLELDLSNNALTNLEQFPGMNLIALDLRSNLLQGPILSTCLKNQSPNNLMAFYVSKNKLTGNIPLLICNWSSLFILDLSRNNLSGTIPECFGNLSPSLMVLNLEMNNFHGKMPDSFGGSSLRVLLLNDNKLEGLLSRSLADCSSLKLLNLRNNKFTDTFPHWLASLPNLQVLLLRNNRLHGPMPNSLASSNFSALQIIDLSHNELTGPLPAKFFQNLRAMKDIPEERPWRFVRYETRDVVILFNNYYSVNVTTKRLEIELVKTFAIYTFMDFSNNLFCGQIPEELGELISLQGLNLSNNNLTGPISPSIGNMIALESLDLSSNRLDGRIPSQLTNLTFLEVLNLSQNDLVGPIPKGKQFDTFENDSYSGNLGLCGFPLSKKCGNEEEPKPPVPMLEEDEGSELAFIWKVVMMGYGCGVVLGLSMGYIVFTTGRPWWFVRMVERDWQNTVTKWIRKNRGGRN >EOY20411 pep supercontig:Theobroma_cacao_20110822:scaffold_26:12747:15318:1 gene:TCM_046010 transcript:EOY20411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQLEGKEEEFGEWTIPHLYETFHSASFIHLEAPTKVNQVLRIFDELTIHMIRDKEPNKKIPVVYPVLPREELSIWTATELPIIFKSSEM >EOY20407 pep supercontig:Theobroma_cacao_20110822:scaffold_26:6162:7006:1 gene:TCM_046008 transcript:EOY20407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 7 MGKVHFSLSFPSSFLPSAHLCLLAQRAALLEFKNTISVYDDCGYYLWMNSWNESTDCCSWGAVSCHVLTGHVIGIDLSQSCLYGTLPENSSLFHLQELQRLNLAHNDFNGSISSELFNQLVSLTHLNLSHNSFSDLIPYEISLLSKLVSLDLSNNAYYSYSYLRFDSQGFDMLARNLTELRNLIVDFVNMSDVALPF >EOY20418 pep supercontig:Theobroma_cacao_20110822:scaffold_26:72843:75019:1 gene:TCM_046017 transcript:EOY20418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 33 MWLSNNLISGGVPSWPFTLPSLQDLRLSYNKLIGPIDQIQTPNSVRYIDLSFNGIHGPIPISFFDLVNLEELDLSSNNLIGVIKPNMLAKLKNLAYLDLSNNILLSLSSSGDGENYSFPQLVKVSFSSCSIRQFPSFFRTSNLKDLDLSNNKIPGGISKWEAEGWERLIKLNLSYNFLTTLEQFPGKNLQVLDLESNLLQGPILSTWLNLQNPNPPPSFTEFLISKNNLTGNIPPLICNWSLLTRLDLSINNLDGMIPECLGHFSYLRYMNLEMNKFYGKIPDFFTDNRLSYLLLNDNQLEGLLPRSLANCSFLVLLNLRNNKLNDTFPYWLASLPMLQVLLLRNNSLHGRMPNSIASSNFSTLRVVDLSHNELTGPLPTKFFQNLRAMKDGTEKRFWNIYDRDFDYYYTSVNVTMKRSKIELVKTLAIFRSMDFSNNLFCGQIPEELGELVSLQALNLSNNNLTGPIPPSLGKMVALESLDLSSNMLGDRIPSQLTNLTFLAVLDLSQNDLVGPIPHGKQFDTFENDSYSCNLGLCGFPLSKQCGNDEEPKPPVPMLEEDEGSEIAFIWKVAMMGYGCGVVLGLSMGYIVFSTGRPWWFVRMVERNWQKNVTKRIRRNRGRRN >EOY20376 pep supercontig:Theobroma_cacao_20110822:scaffold_174:102:1141:-1 gene:TCM_046258 transcript:EOY20376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MKKRCPCLQDTAKKWKRHRSTKGVKLGLQMLPRVVSLTSGPLPFIRSFHYRKTDTANRTNREMGFDDLHSDVKKCVNLRLCNVSGCMTEVLEVHADHPSFHVVFVPGNPGIITFYKEFVESLFELLGGTASVSAVGYAGQTEK >EOY20469 pep supercontig:Theobroma_cacao_20110822:scaffold_332:1:2059:1 gene:TCM_046336 transcript:EOY20469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein HQAGAVCQASAAARPTLAAGRQQHRHQAGAVCQASAAAMPTLAAGRQQQRHQARAVWCQAAARPTLGWWQAAASAPSSGCVPAIGSSKGDLGCGQAAAAAPSSGCVVPDPWLVAGSSIGTKLGLCGARQQQGRPLAAGRQQHRHQAMPVCQASAAARPTLAAGWQRHRHQARAVCQAAAAARPTLAAGRQQHRHQAGAVCQASAAAMPTLAAGRQQQRHQARAVWCQAAARPTLGWWQAAASAPSSGCVPAIGSSKGDLGCGQAAAAAPSSGCVVPDPWLVAGSSIGTKLGLCGARQQQGRPLAAGRQQHRHQAMPVCQASAAARPTLAAGWQRHRHQARAVCQAAAAARPTLAAGGQQQRHQARAVCQASAVARPTLGCWLAAAAAPSSGYAVPGSSKADPWPLEGSGSCTKLGLPARQQQQQDRPSSGTKLGLCGARQQQQQQGRPWLLAGSSSSTKADPWPLAGSGIGTKLGVCARHQQPQDRPLAAGWQQQRHQARALWCQAAAKPTLGRWQAAASAPSSGYAVPAIGSSKADLGCWRAAAIGTPGRLPLKDGGAGGGGGRIGATKG >EOY20470 pep supercontig:Theobroma_cacao_20110822:scaffold_332:2149:2383:1 gene:TCM_046338 transcript:EOY20470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant senescence-associated protein WVNNPTLGEFCFTMIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKSRRSKGSIGHAFTVRIRTGNQNQTSFY >EOY20378 pep supercontig:Theobroma_cacao_20110822:scaffold_180:2566:3410:1 gene:TCM_046260 transcript:EOY20378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retroelement polyprotein, putative MAAELTALEDNGTWSTVPLLADSDAIGCKWVFKTKMRVDGSIERFKAHLVVKGYSQIEGFDYQETFSSVAKHVAVRVFLALAAVP >EOY20425 pep supercontig:Theobroma_cacao_20110822:scaffold_262:1:811:-1 gene:TCM_046314 transcript:EOY20425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKWRLLPQSPQPRCSRPLFLMLTILSPRLCHRQSRALSSLKEMVGLEVSRRLLLVKAKKGPWECTRLSKLTSWQILMPTTRLPFSSCLLFFMSSIFNGVILIFL >EOY20427 pep supercontig:Theobroma_cacao_20110822:scaffold_262:2566:4245:1 gene:TCM_046316 transcript:EOY20427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related protein 10.5 MYLKKLKGDNLIPKILPQAIKSVETLEGDGGAATVEQVNFGEGSQFKTVKNRIGEIDKEKFTYGYTVIEGDALMNTLEKICNEIRFEASPEGGSICKSSSTYYTIGDIEIKDEEIKSGKEKALGMFKAVEAHLLANPDAYN >EOY20426 pep supercontig:Theobroma_cacao_20110822:scaffold_262:1518:2341:-1 gene:TCM_046315 transcript:EOY20426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVFTYESEVVTTIPPARMFKACILDGDKLIPKIVPQAFKSVEYIEGNGEPGSIKKVTFGEGSQFNYMKQKVEALDKENFVYSYSVIEGDALMNMLEKITYETKLEPSPAGGSLCKTTSKYYTKGDFELKEEGVKAGKEKALGMFKAVEAYLLANPGAY >EOY22205 pep chromosome:Theobroma_cacao_20110822:3:22388266:22392109:-1 gene:TCM_014432 transcript:EOY22205 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-domain-2 5, putative MTIGRNTCSYFLIILLILLISLSLLISAEIVDYPSSVDFPNSWRNIPSSDLSFPEAAGVRPILVNGMFVCGFSCSFVDDSCLFAVSIFKTSYDDSASFSPQVVWSANRNNPIGLGAILQLTREGRLILQDDNGAVVWSPNTVGKPVSRLNLTAEGNLMLFDKTNNMAWQSFAHPTDSLVLGQRLVTGQKLRASVSTSNSSDGSYALAITDGGFTAYREADPSRIYYYRYLDEEMNTGKLYAEFQSGRFGSFIVSDSANFIQLGSDGHLKAYEWNEWKWVGVDLLDIDRCDYPLSLLELRDTEYIRNQYNRIFSGITSKEDCKQACLKNCSCQAAIFFDQYSSCYLISEVFSFRMTASNFNPPLISTFMKVQNNPIAENSNKNHSLPNLPKRRRKDIVVILGSTLGAIFGVFLICIFLFLRIKKGFKEVEEDYLDYISGMPTRFSYEELKNVTKNFSNKLGEGGFGSVFQGTLPSGSEVAVKHLDGFGPVKKSFIAEVQTIGSIHHFNLVSLVGFCAEKFSRLLVYEYMPNGSLDRWIFNKIPELALGWQIRKKIILDIAKGLAYLHEECNQKIIHLDIKPQNILLDENFNAKVSDFGLSKLIGRDQSQVITTMRGTPGYMAPEWLSSVITEKADVYSFGIVVLEILCGRKNVDGSQQEEDRHLLGVFSRKQEEGQLLDLVDNCSDDMQSNAVEVVEMMKVAAWCLQTEYSRRPSMSTVVKVFEGSVDVAGNLKDNFLNELTPEALRTFASTVSPSTLSGPR >EOY20708 pep chromosome:Theobroma_cacao_20110822:3:662201:667269:1 gene:TCM_012055 transcript:EOY20708 gene_biotype:protein_coding transcript_biotype:protein_coding description:PNAS-3 related isoform 1 MEKKQSGSPPSLIDSTVVPGDVVLDLSSMTNQTIMLGGGLRQYTPCVEDTVLGIVVDSKADNFLIDIKGPVLAFLPVLAFEGGTRRNIPKFEVGTLLYLRVVKANFGMNPELSCTDASGKAAEFGPLKDGYMFETSTGLSRKLLSSPTCPVLEALGKKLSFEVNAATPDTIVVVANAIMNSESLSGAQQIIMVEHLLKNIQ >EOY20705 pep chromosome:Theobroma_cacao_20110822:3:662500:666932:1 gene:TCM_012055 transcript:EOY20705 gene_biotype:protein_coding transcript_biotype:protein_coding description:PNAS-3 related isoform 1 MTNQTIMLGGGLRQDCDAISVMKAGTLRFSKPNKYWVESSQKRYTPCVEDTVLGIVVDSKADNFLIDIKGPVLAFLPVLAFEGGTRRNIPKFEVGTLLYLRVVKANFGMNPELSCTDASGKAAEFGPLKDGYMFETSTGLSRKLLSSPTCPVLEALGKKLSFEIAVGLNGRVWVNAATPDTIVVVANAIMNSESLSGAQQIIMVEHLLKNIQ >EOY20704 pep chromosome:Theobroma_cacao_20110822:3:662141:667445:1 gene:TCM_012055 transcript:EOY20704 gene_biotype:protein_coding transcript_biotype:protein_coding description:PNAS-3 related isoform 1 MEKKQSGSPPSLIDSTVVPGDVVLDLSSMTNQTIMLGGGLRQDCDAISVMKAGTLRFSKPNKYWVESSQKRYTPCVEDTVLGIVVDSKADNFLIDIKGPVLAFLPVLAFEGGTRRNIPKFEVGTLLYLRVVKANFGMNPELSCTDASGKAAEFGPLKDGYMFETSTGLSRKLLSSPTCPVLEALGKKLSFEIAVGLNGRVWVNAATPDTIVVVANAIMNSESLSGAQQIIMVEHLLKNIQ >EOY20706 pep chromosome:Theobroma_cacao_20110822:3:662180:667269:1 gene:TCM_012055 transcript:EOY20706 gene_biotype:protein_coding transcript_biotype:protein_coding description:PNAS-3 related isoform 1 MEKKQSGSPPSLIDSTVVPGDVVLDLSSMTNQTIMLGGGLRQDCDAISVMKAGTLRFSKPNKYWVESSQKRYTPCVEDTVLGIVVDSKADNFLIDIKGPVLAFLPVLAFEGGTRRNIPKFEVGTLLYLRVVKANFGMNPELSCTDASGKAAEFGPLKDGYMFETSTGLSRKLLSSPTCPVLEALGKKLSFEVNAATPDTIVVVANAIMNSESLSGAQQIIMVEHLLKNIQ >EOY20707 pep chromosome:Theobroma_cacao_20110822:3:662171:667443:1 gene:TCM_012055 transcript:EOY20707 gene_biotype:protein_coding transcript_biotype:protein_coding description:PNAS-3 related isoform 1 MEKKQSGSPPSLIDSTVVPGDVVLDLSSMTNQTIMLGGGLRQYTPCVEDTVLGIVVDSKADNFLIDIKGPVLAFLPVLAFEGGTRRNIPKFEVGTLLYLRVVKANFGMNPELSCTDASGKAAEFGPLKDGYMFETSTGLSRKLLSSPTCPVLEALGKKLSFEIAVGLNGRVWVNAATPDTIVVVANAIMNSESLSGAQQIIMVEHLLKNIQ >EOY24490 pep chromosome:Theobroma_cacao_20110822:3:31185809:31189567:-1 gene:TCM_016083 transcript:EOY24490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photolyase 1 isoform 4 MASLSSPSTEPKSLPPGRIRVLKEGSQPLKKGPVVYWMFRDQRLKDNWALIHAVDQANKANVPVSVAFNLFDQFLGAKARHLGFMLKGLSQLQRSIQDILQIPFFFFQGEAEETIPKFLEECGASLLVTDFSPLRQIRKCKDEICEKVSDSVTIHEVDAHNIVPVWVASHKLEYSAKTIRGKINKLLPEYLTDFPILQPPNKKWDAMNQLIDWDSVIADVLRKGAEVPEIEWCEPGEIAAMEVLMGTKDGFLTKRLKNYSTDRNIPLKPRALSCLSPYLHFGQISAQRCALEAHRFRKLHAQAVDTFLEELIIRRELADNFCYYQPHYDSLEGAWEWARKTLMDHASDKREHVYTMEQLEKAQTADPLWNASQLEMVHFGKMHGFMRMYWAKKILEWTKGPKEAIEICIYLNDKYEIDGRDPNGYVGCMWSICGVHDQGWRERPVFGKIRYMNYAGCKRKFDVDGYIAYIKRLVGETNKRKAQSQLSQTTKQLRC >EOY24491 pep chromosome:Theobroma_cacao_20110822:3:31186080:31189155:-1 gene:TCM_016083 transcript:EOY24491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photolyase 1 isoform 4 MASLSSPSTEPKSLPPGRIRVLKEGSQPLKKGPVVYWMFRDQRLKDNWALIHAVDQANKANVPVSVAFNLFDQFLGAKARHLGFMLKGLSQLQRSIQDILQIPFFFFQGEAEETIPKFLEECGASLLVTDFSPLRQIRKCKDEICEKVSDSVTIHEVDAHNIVPVWVASHKLEYSAKTIRGKINKLLPEYLTDFPILQPPNKKWDAMNQLIDWDSVIADVLRKGAEVPEIEWCEPGEIAAMEVLMGTKDGFLTKRLKNYSTDRNIPLKPRALSCLSPYLHFGQISAQRCALEAHRFRKLHAQAVDTFLEELIIRRELADNFCYYQPHYDSLEGAWEWARKTLMDHASDKREHVYTMEQLEKAQTADPLWNASQLEMVHFGKMHGFMRMYWAKKILEWTKGPKEAIEICIYLNDKYEIDGRDPNGYVGCMWSICGVHDQVCLYGLSYVSYR >EOY24492 pep chromosome:Theobroma_cacao_20110822:3:31186721:31189567:-1 gene:TCM_016083 transcript:EOY24492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photolyase 1 isoform 4 MASLSSPSTEPKSLPPGRIRVLKEGSQPLKKGPVVYWMFRDQRLKDNWALIHAVDQANKANVPVSVAFNLFDQFLGAKARHLGFMLKGLSQLQRSIQDILQIPFFFFQGEAEETIPKFLEECGASLLVTDFSPLRQIRKCKDEICEKVSDSVTIHEVDAHNIVPVWVASHKLEYSAKTIRGKINKLLPEYLTDFPILQPPNKKWDAMNQLIDWDSVIADVLRKGAEVPEIEWCEPGEIAAMEVLMGTKDGFLTKRLKNYSTDRNIPLKPRALSCLSPYLHFGQISAQRCALEAHRFRKLHAQPHYDSLEGAWEWARKTLMDHASDKREHVYTMEQLEKAQTADPLWNASQLEMVHFGKMHGFMRQVTSQ >EOY24489 pep chromosome:Theobroma_cacao_20110822:3:31185351:31189133:-1 gene:TCM_016083 transcript:EOY24489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photolyase 1 isoform 4 MASLSSPSTEPKSLPPGRIRVLKEGSQPLKKGPVVYWMFRDQRLKDNWALIHAVDQANKANVPVSVAFNLFDQFLGAKARHLGFMLKGLSQLQRSIQDILQIPFFFFQGEAEETIPKFLEECGASLLVTDFSPLRQIRKCKDEICEKVSDSVTIHEVDAHNIVPVWVASHKLEYSAKTIRGKINKLLPEYLTDFPILQPPNKKWDAMNQLIDWDSVIADVLRKGAEVPEIEWCEPGEIAAMEVLMGTKDGFLTKRLKNYSTDRNIPLKPRALSCLSPYLHFGQISAQRCALEAHRFRKLHAQAVDTFLEELIIRRELADNFCYYQPHYDSLEGAWEWARKTLMDHASDKREHVYTMEQLEKAQTADPLWNASQLEMVHFGKMHGFMRMYWAKKILEWTKGPKEAIEICIYLNDKYEIDGRDPNGYVGCMWSICGVHDQGWRERPVFGKIRYMNYAGCKRKFDVDGYIAYIKRLVGETNKRKAQSQLSQTTKQLRC >EOY24362 pep chromosome:Theobroma_cacao_20110822:3:30663658:30665395:1 gene:TCM_015984 transcript:EOY24362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein HSP20/alpha crystallin family, putative isoform 2 MAAPEVILCYTPHTEEGYYAKNNQFQNSGPKGFIEFKVLENQDLYVRVDLPGVASNGVSCFTDPQKKVVFFSGEAPKDSEHEQGSRTYFGITGLICNCCEISRVNATMKDGVLRMVLSKVKKTQGDAACSAERSSVPSTILQEAASRSAGGTGPVIELNPFVVRGHRGAFEGKASKKGGLFARVDMPGVSPEDANAFFKDGEIRFIGTGYKVSEHDESGRTYLGSIVPVLPTKRIMPSKLDYTMKDGVLRIIIPPI >EOY24361 pep chromosome:Theobroma_cacao_20110822:3:30663658:30665710:1 gene:TCM_015984 transcript:EOY24361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein HSP20/alpha crystallin family, putative isoform 2 MAAPEVILCYTPHTEEGYYAKNNQFQNSGPKGFIEFKVLENQDLYVRVDLPGVASNGVSCFTDPQKKVVFFSGEAPKDSEHEQGSRTYFGITGLICNCCEISRVNATMKDGVLRMVLSKVKKTQGDAACSAERSSVPSTILQEAASRSAAGPQGGTGPVIELNPFVVRGHRGAFEGKASKKGGLFARVDMPGVSPEDANAFFKDGEIRFIGTGYKVSEHDESGRTYLGSIVPVLPTKRIMPSKLDYTMKDGVLRIIIPPI >EOY21046 pep chromosome:Theobroma_cacao_20110822:3:2515405:2517370:1 gene:TCM_012363 transcript:EOY21046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 62, putative MSSLRKSSTSSSEDDAEQLRRGPWTLEEDSLLIHYIARHGEGRWNSLAKRAGLRRTGKSCRLRWLNYLKPDVKRGNLTPQEQFLILDLHSKWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQARHLKVDANSTAFQNVIRCYWIPRLLQKMEGSSSFSSMASENSTVPQSLDQASQHQALMTLQQVPGQGPGNLSEAVQNLDHQEQNSDSEHCASSCVSSSESMNNISQISQFAEYQTSPFRIIGNNDYNNTLAKDCYYDMEAINLASTSAPGDFANPVGDCHMPENNWFSNGFADDLWSMGELWELRNLN >EOY21158 pep chromosome:Theobroma_cacao_20110822:3:3596323:3602794:-1 gene:TCM_012529 transcript:EOY21158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-ACP thioesterases B MASLHFPAGFSFTCSYSREQKLRIKVGAFSRISTAKVSGKIPRTAICKYRRMVSAESLAELGSITKTRTRQNIPTKKQLIDSFRRGIIVQGGVGYRQTVVIRSCEIGADKTATLESLLNLLQETALNHVWISGLLGDGFGATHGMIRNNLIWVVTRMQLQVDQYPTWGEVVEVDTWVGASGKNGMRRDWLIRSHVTGLVYVRATSTWVMMNQKTRRLSKMPEEVRAEISPWFIEKNAIQENTPETIKKLDNTVKYINSKLKPKRTDLDMNNHVNNVKYVNWMLEAIPEIFLEGYQLFSMTLEYRRECGSSDTVQSLCQPDEDGAFQDEVQDDNGKTHKEQSQVSELEGDASSSGSPLSFTHLLQMTGDSKTDEIVRGRTTWKRKPWLFH >EOY25050 pep chromosome:Theobroma_cacao_20110822:3:33052597:33055327:1 gene:TCM_016479 transcript:EOY25050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MASEREDFGLSGPLHLNSIDWTNAHHRRSVAASLVEGVYILERDRQEKRQESQALAPPWWEFFHFKLIRQLVDDADFCIFGAIYEYKPPSSHCHDSIDRSPHYVIAFRGTITKPDSFSRDFELDIHIIRNGLHQTSRFEIAMQAVRNMVAAVGDSNVWLAGHSLGAAMAMLAGKTMAKTGIFLEAFLFNPPFLSAPIERIKDKKVKHGLRFAGSVITAGLALATKGNSLRSRSEDPFAALSAWTPCLFVNPADHLCSEYVGYFEHRKKMEEIGAGAIERLATQHSLGGLFMSVVGRGAEAAEPLHLLPSANLTVNLTPAQDFKQAHGIHQWWGPEVHLKCNLYKYK >EOY25049 pep chromosome:Theobroma_cacao_20110822:3:33052048:33054982:1 gene:TCM_016479 transcript:EOY25049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MASEREDFGLSGPLHLNSIDWTNAHHRRSVAASLVEGVYILERDRQEKRQESQALAPPWWEFFHFKLIRQLVDDADFCIFGAIYEYKPPSSHCHDSIDRSPHYVIAFRGTITKPDSFSRDFELDIHIIRNGLHQTSRFEIAMQAVRNMVAAVGDSNVWLAGHSLGAAMAMLAGKTMAKTGIFLEAFLFNPPFLSAPIERIKDKKVKHGLRFAGSVITAGLALATKGNSLRSRSEDPFAALSAWTPCLFVNPADHLCSEYVGYFEHRKKMEEIGAGAIERLATQHSLGGLFMSVVGRGAEAAEPLHLLPSANLTVNLTPAQDFKQAHGIHQWWGPEVHLKCNLYKYK >EOY25048 pep chromosome:Theobroma_cacao_20110822:3:33052616:33056640:1 gene:TCM_016479 transcript:EOY25048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MASEREDFGLSGPLHLNSIDWTNAHHRRSVAASLVEGVYILERDRQEKRQESQALAPPWWEFFHFKLIRQLVDDADFCIFGAIYEYKPPSSHCHDSIDRSPHYVIAFRGTITKPDSFSRDFELDIHIIRNGLHQTSRFEIAMQAVRNMVAAVGDSNVWLAGHSLGAAMAMLAGKTMAKTGIFLEAFLFNPPFLSAPIERIKDKKVKHGLRFAGSVITAGLALATKGNSLRSRSEDPFAALSAWTPCLFVNPADHLCSEYVGYFEHRKKMEEIGAGAIERLATQHSLGGLFMSVVGRGAEAAEPLHLLPSANLTVNLTPAQDFKQAHGIHQWWGPEVHLKCNLYKYK >EOY23603 pep chromosome:Theobroma_cacao_20110822:3:27996888:27999712:1 gene:TCM_015445 transcript:EOY23603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFIGPRHHERQVPMSEEASEQRRKKKIVELLHLAHYCKLKRRPPSSGTVIGTDLGATYSCVGVYRNGHVEITANDQGEAANNQEAVNPERTVFDRGSEGKLVACKIVNKDGKPCIQVKIKDEETKVFSPEEISAMFLTNMKETAESFLGKKMKDAVQLTSMTHKGKLQNDSGEDFHRRIMEYFIKLIKKKKEWKGQQSFRKVKERIFLKPLPQARFEELYNDLFRKPWDLSRKPWRTLLLKEFFDGNEPNKGVNPDEAVAYTSTGCGTADLGNETVGGVMTRAKDMASGRRSEKITIANDKDRLAESEEEEKIDSAIKDALEWLDDKQNAEEEDFAEKLKEGGLSRVERQGKGRGLLPCMLPISHVQFWVGK >EOY24608 pep chromosome:Theobroma_cacao_20110822:3:31603396:31609026:-1 gene:TCM_016166 transcript:EOY24608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase family protein isoform 1 MSLGWWKLVGAALAGILFFHGFSASEKAPNYSFMHNATSAPSLSYYDYIIVGGGTAGCPLAATLSQNASVLLLERGGSPYGNPNISNMASFGAALSDVSPSSPSQRFISEDGVINARARVLGGGSCLNAGFYTRAGTEYVRQAGWDGRLVNESYQWVEKLVAFEPPMGQWQSAVRDGLIEAGVLPFNGFTYDHIFGTKVGGTIFDQQGNRHTAADLLEYATPSGLTVLLHASVHKILFAIKGNRRPKAHGVIFRDASGAKHKAYLKKGSKNEIIVSAGALGSPQLLMLSGVGPTAHLKAHNITVVLDQPLVGQGMSDNPMNAVFVPSPLPVEVSLIQVVGITHFGSYIEAASGENFAGGAASSRDYRMFSPKIGQLSTVPPKPRSPEAIAKAVEYSSNQDKPAFRGGFILEKIMGPISTGHLELRTRNLNDNPSVTFNYFKDPQDLQRCVQGIQTIQKIVESKPFSKFRFDYMSWPILLNMTASAPLNLLPKHYNPSMPLEVYCKDTVMTIWHYHGGCQVGRVVDLDYKVLGVDALRVIDGSTFNYSPGTNPQATVMMLGRYMGVKILSERLAN >EOY24607 pep chromosome:Theobroma_cacao_20110822:3:31600551:31609026:-1 gene:TCM_016166 transcript:EOY24607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase family protein isoform 1 MSLGWWKLVGAALAGILFFHGFSASEKAPNYSFMHNATSAPSLSYYDYIIVGGGTAGCPLAATLSQNASVLLLERGGSPYGNPNISNMASFGAALSDVSPSSPSQRFISEDGVINARARVLGGGSCLNAGFYTRAGTEYVRQAGWDGRLVNESYQWVEKLVAFEPPMGQWQSAVRDGLIEAGVLPFNGFTYDHIFGTKVGGTIFDQQGNRHTAADLLEYATPSGLTVLLHASVHKILFAIKGNRRPKAHGVIFRDASGAKHKAYLKKGSKNEIIVSAGALGSPQLLMLSGVGPTAHLKAHNITVVLDQPLVGQGMSDNPMNAVFVPSPLPVEVSLIQVVGITHFGSYIEAASGENFAGGAASSRDYRMFSPKIGQLSTVPPKPRSPEAIAKAVEYSSNQDKPAFRGGFILEKIMGPISTGHLELRTRNLNDNPSVTFNYFKDPQDLQRCVQGIQTIQKIVESKPFSKFRFDYMSWPILLNMTASAPLNLLPKHYNPSMPLEVYCKDTVMTIWHYHGGCQVGRVVDLDYKVLGVDALRVIDGSTFNYSPGTNPQATVMMLGRYMGVKILSERLAN >EOY24606 pep chromosome:Theobroma_cacao_20110822:3:31600849:31607271:-1 gene:TCM_016166 transcript:EOY24606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase family protein isoform 1 MSLGWWKLVGAALAGILFFHGFSASEKAPNYSFMHNATSAPSLSYYDYIIVGGGTAGCPLAATLSQNASVLLLERGGSPYGNPNISNMASFGAALSDVSPSSPSQRFISEDGVINARARVLGGGSCLNAGFYTRAGTEYVRQAGWDGRLVNESYQWVEKLVAFEPPMGQWQSAVRDGLIEAGVLPFNGFTYDHIFGTKVGGTIFDQQGNRHTAADLLEYATPSGLTVLLHASVHKILFAIKGNRRPKAHGVIFRDASGAKHKAYLKKGSKNEIIVSAGALGSPQLLMLSGVGPTAHLKAHNITVVLDQPLVGQGMSDNPMNAVFVPSPLPVEVSLIQVVGITHFGSYIEAASGENFAGGAASSRDYRMFSPKIGQLSTVPPKPRSPEAIAKAVEYSSNQDKPAFRGGFILEKIMGPISTGHLELRTRNLNDNPSVTFNYFKDPQDLQRCVQGIQTIQKIVESKPFSKFRFDYMSWPILLNMTASAPLNLLPKHYNPSMPLEVYCKDTVMTIWHYHGGCQVGRVVDLDYKVLGVDALRVIDGSTFNYSPGTNPQATVMMLGRYMGVKILSERLAN >EOY24605 pep chromosome:Theobroma_cacao_20110822:3:31603315:31607535:-1 gene:TCM_016166 transcript:EOY24605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline oxidoreductase family protein isoform 1 MSLGWWKLVGAALAGILFFHGFSASEKAPNYSFMHNATSAPSLSYYDYIIVGGGTAGCPLAATLSQNASVLLLERGGSPYGNPNISNMASFGAALSDVSPSSPSQRFISEDGVINARARVLGGGSCLNAGFYTRAGTEYVRQAGWDGRLVNESYQWVEKLVAFEPPMGQWQSAVRDGLIEAGVLPFNGFTYDHIFGTKVGGTIFDQQGNRHTAADLLEYATPSGLTVLLHASVHKILFAIKGNRRPKAHGVIFRDASGAKHKAYLKKGSKNEIIVSAGALGSPQLLMLSGVGPTAHLKAHNITVVLDQPLVGQGMSDNPMNAVFVPSPLPVEVSLIQVVGITHFGSYIEAASGENFAGGAASSRDYRMFSPKIGQLSTVPPKPRSPEAIAKAVEYSSNQDKPAFRGGFILEKIMGPISTGHLELRTRNLNDNPSVTFNYFKDPQDLQRCVQGIQTIQKIVESKPFSKFRFDYMSWPILLNMTASAPLNLLPKHYNPSMPLEVYCKDTVMTIWHYHGGCQVGRVVDLDYKVLGVDALRVIDGSTFNYSPGTNPQATVMMLGRYMGVKILSERLAN >EOY24509 pep chromosome:Theobroma_cacao_20110822:3:31255559:31256254:1 gene:TCM_047020 transcript:EOY24509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MVNATKGLFISCDIPMAQFIVNLNASMPASQKFIIHVLDNTHFFVQPHAAEMIRSAISEFRDQNSYDKPT >EOY24510 pep chromosome:Theobroma_cacao_20110822:3:31252719:31256309:1 gene:TCM_047020 transcript:EOY24510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MLVPRLKSFLNNQLTIKQAKQIHAQILISSLNHLQPLLVHQFLLSTNNYSSSVFLYVRQILYHLQKPDAFSWGCAVRFLSQHGQFAEAFSLYVKMQKLGLYPTTHAISSALRACARTECKTGGISIHAQVHRYGVCNCVFVQTALVDLYTKLGDMDTAKKVFDEMPEKNVVSWNSILSGYLKAGNLGEAHRVFDDIPKKDVISWNAILSAYAKMGNMDQTLSFFQQMPEKNLASWNTMISGFVERGEIESARSFFDMMHRRNNVSWITMIAGYSKHGEVKSARELFDKMGEKDHLAFNAMISCYAQNSQPTEALKLFDEMLKAGVCIQPDGITLASVISACSQLRELRFGSWIESYISKLGIQMDDHMATALIDLYAKCGSIDKAYHLFHGLRKKDVVAYSAMISGCAINGKAVDAIMLFQKMVDAQIQPNLATFTGLLTAYNHAGLVEEGYRCFSSMKDNGLVPSTDHYAIMVDLLGRAGRLEDAYELIKSMPMKPHTGVWGGLLLACSLHNNVEFGEIAAQHCFELEPGTAGYYSLLANIYASVGRWRDARRLRKNMERKKLAKIPGCSWTEST >EOY24508 pep chromosome:Theobroma_cacao_20110822:3:31255559:31256254:1 gene:TCM_047020 transcript:EOY24508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MVNATKGLFISCDIPMAQFIVNLNASMPASQKFIIHVLDNTHFFVQPHAAEMIRSAISEFRDQNSYDKPT >EOY20560 pep chromosome:Theobroma_cacao_20110822:3:169956:176380:1 gene:TCM_011953 transcript:EOY20560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVSERKALRYQQELFAAKDEALRMLLRLKQMLDAKVNEAEMISLNQQKRIEELEAQLGEAEDIVRDLRTELREAQDELEKLTKDRVQCLGKQKSKYDLAASVEISQENGINNFGSARSSSPDAENDFVTVSDIKNSVLNGTYVGNKCSCTDNCYVCNPDFASIVMRRKEPDLYRNGCTQRIRALERICAKNEKTREGEESRDMHTKLSLRADMRRPEEKTDELKVMQSDAYNIIQVLPLSPFHRKRKRAARYKKNKVPSSMNISDQVVATCQESDIFCSESFSLAVADNAQSGENSRIFEHDAQKGLHSPSIPRSPSDAAKGITQSRHEDVGKDDVEFVKACDFQNDKNNDKLLTDKKELTRQESGSADNSGVPSCKMELEMVGMSAVNLDVKVSEITEGSSTQPVNNKFLMYTFKRKRKKELMSSPDRDSSLDDGISPRNTEEKQNGLLDAEKSTLLTESSRDSRRLAQVAHQLISLSEKKWLL >EOY20559 pep chromosome:Theobroma_cacao_20110822:3:169956:176473:1 gene:TCM_011953 transcript:EOY20559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MADSEKLTALKKAYAEIILNTAKEAAARIMVSERKALRYQQELFAAKDEALRMLLRLKQMLDAKVNEAEMISLNQQKRIEELEAQLGEAEDIVRDLRTELREAQDELEKLTKDRVQCLGKQKSKYDLAASVEISQENGINNFGSARSSSPDAENDFVTVSDIKNSVLNGTYVGNKCSCTDNCYVCNPDFASIVMRRKEPDLYRNGCTQRIRALERICAKNEKTREGEESRDMHTKLSLRADMRRPEEKTDELKVMQSDAYNIIQVLPLSPFHRKRKRAARYKKNKVPSSMNISDQVVATCQESDIFCSESFSLAVADNAQSGENSRIFEHDAQKGLHSPSIPRSPSDAAKGITQSRHEDVGKDDVEFVKACDFQNDKNNDKLLTDKKELTRQESGSADNSGVPSCKMELEMVGMSAVNLDVKVSEITEGSSTQPVNNKFLMYTFKRKRKKELMSSPDRDSSLDDGISPRNTEEKQNGLLDAEKSTLLTESSRDSRRLAQVAHQLISLSEKKWLL >EOY24973 pep chromosome:Theobroma_cacao_20110822:3:32824186:32827139:1 gene:TCM_016421 transcript:EOY24973 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MSHSLQPKATMENSQETTVHVAMVPTPGMGHLLPLVEFAKRLVHQYHNFEVTIIVPDDGSPMKYQRQLLQALPRSISSIFLPPVSFDDLPEDVGIETKIVLSLVRSLPALKDSLKVLVESTRLVAVVVDLFGIDAIDVFEEFGLTPYIFFPSTAMLLQLIFHLPKLDEMFSCEYRNLPEPIKLPGCVPFHGSDITDPVQDKKNVGYQSVIQLCRRYPLAAGIIVNSFMDLEQDAFRALMEDEIGLPKVYPVGPLIQTSSMNEVNGSNNCLRWLDVQPHGSVVYVCFGSGGTLSHEQMNELALGLEMSGQRFLWVAKSPVEKATNATYFGVESVKDPFHFLPDGFLERTKGVGVVVRSWAPQIEILRHGSTGGFLTHCGWNSTLEAIVHGVPLIAWPLYAEQKMNAVLLADDLKVAIRVKENENGVVGREDIAKFVEGLIEGEEGQLLRNKMRKLKDAAKMVLSPDGSSTKSLAKVAEMWKNQEK >EOY21187 pep chromosome:Theobroma_cacao_20110822:3:3908136:3915603:-1 gene:TCM_012569 transcript:EOY21187 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein, putative isoform 2 MEICLNSNSEKKSRYIDYFMHRINLLRYHKITPVVVFDGANIPCKAATENERYRRRKDNQELAMAKLKEGDVRGASELFQRAVSITPAMAHQLIHILRSKNIEFVVAPYEADAQLAYLATLEAEKGGVVAVITEDSDLIAYGCPAITFKMDRYGNGEELVLAKVFDSITSKPSFRGFDKELFIGMCVLAGCDFLPSVPGIGIAKAHSLVSKYRNLDRVLSVLKIEKGSQMPEDYSKSFKEAVAVFQHARIYDGEIKGLKHMKPLTKQLLQILDEGLDFLGPEISPSVAIAIAEGILDPTTMEAFDSMATPGNHPVPTEFQASGKRQLLETADISRQESCFLVFSSHKTREKNKTGTMRQGAVSIEKKYIQDAGLERLAFPLKSQLTKANVVTEDIPLIPNNNPFKKRKLDEMHIAEAKDTTELASSNDEDEIERSEILCVFPDNTQLAFPGRKVEMSSNQIESTAEQISMVSEVESCETLHMNVGSQESVSCNPKRLFSGRGRGKNDKLKLKGSNCKNSETKNSILNFFARV >EOY21188 pep chromosome:Theobroma_cacao_20110822:3:3909190:3915792:-1 gene:TCM_012569 transcript:EOY21188 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein, putative isoform 2 MGIKDLLRFMKPFIEPVHIKKYAGKRVGIDAYSWLHKGAYSCSMEICLNSNSEKKSRYIDYFMHRINLLRYHKITPVVVFDGANIPCKAATENERYRRRKDNQELAMAKLKEGDVRGASELFQRAVSITPAMAHQLIHILRSKNIEFVVAPYEADAQLAYLATLEAEKGGVVAVITEDSDLIAYGCPAITFKMDRYGNGEELVLAKVFDSITSKPSFRGFDKELFIGMCVLAGCDFLPSVPGIGIAKAHSLVSKYRNLDRVLSVLKIEKGSQMPEDYSKSFKEAVAVFQHARIYDGEIKGLKHMKPLTKQLLQILDEGLDFLGPEISPSVAIAIAEGILDPTTMEAFDSMATPGNHPVPTEFQASGKRQLLETADISRQESCFLVFSSHKTREKNKTGTMRQGAVSIEKKYIQDAGLERLAFPLKSQLTKANVVTEDIPLIPNNNPFKKRKLDEMHIAEAKDTTELASSNDEDEIERSEILCVFPDNTQLAFPGRKVEMSSNQIESTAEQISMVSEVE >EOY24875 pep chromosome:Theobroma_cacao_20110822:3:32542753:32544805:-1 gene:TCM_016357 transcript:EOY24875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEAFSLLKYWRGGGGGGGLGGAAGGGGSNACVNARSAGTAGGTTTIVTAVTTHQAVDTDDDDDDDGPFFDLEFAVPDEDETEENEEIGKEEDNVEDEESEDQIDDFDAKSDDGGSDGEREFNFTLSSGSINDRSDPNLTLSPSDDLFFKGRLVPIEPNSSLDSKPPQFPVSLLKSATKFRVFLLRFKKSKLNSTEKTESASANGSVSVPTATPKKQDTTQEENNNNNSNNNNKNKFFTVKFKVEEVPIMSLFSRDNSKSQKQQISEDSVSDEKKFSKDVMQKYLKKVKPLYVRVSRRYGEKLRFSGQLSLSSLKPATPPSTAAQKSVSGKVTTTEKGQVEVEVGESQVNGKNLKQVNFPAGLRVVCKHLGKSRSASSAVAAAPPVPALSQRRDDSLLQQQDGIQSAILHCKRSFNGSRESSVLPRSVSDPSHEKSINLSRKSTPDEAKE >EOY24874 pep chromosome:Theobroma_cacao_20110822:3:32542808:32544830:-1 gene:TCM_016357 transcript:EOY24874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEAFSLLKYWRGGGGGGGLGGAAGGGGSNACVNARSAGTAGGTTTIVTAVTTHQAVDTDDDDDDDGPFFDLEFAVPDEDETEENEEIGKEEDNVEDEESEDQIDDFDAKSDDGGSDGEREFNFTLSSGSINDRSDPNLTLSPSDDLFFKGRLVPIEPNSSLDSKPPQFPVSLLKSATKFRVFLLRFKKSKLNSTEKTESASANGSVSVPTATPKKQDTTQEENNNNNSNNNNKNKFFTVKFKVEEVPIMSLFSRDNSKSQKQQISEDSVSDEKKFSKDVMQKYLKKVKPLYVRVSRRYGEKLRFSGQLSLSSLKPATPPSTAAQKSVSGKVTTTEKGQVEVEVGESQVNGKNLKQVNFPAGLRVVCKHLGKSRSASSAVAAAPPVPALSQRRDDSLLQQQDGIQSAILHCKRSFNGSRDSSESSVLPRSVSDPSHEKSINLSRKSTPDEAKE >EOY25204 pep chromosome:Theobroma_cacao_20110822:3:33552729:33558673:-1 gene:TCM_016592 transcript:EOY25204 gene_biotype:protein_coding transcript_biotype:protein_coding description:WWE protein-protein interaction domain protein family, putative isoform 9 MEAQNAMVLDSQPGVLHGMKRKRAVQQATYFPGASHVVLPQLPGLSLPSQKHGKRRRLEDCNGKVVSCGYPSKRSLLLCYSNFRKTGVPKRLMFFENGEWNNFPKDLISSIRKDLDAKMPAIQLEKDGQSFVLDFLHMFRLDCKTGLKQPIAWIDEADGCFFPETFAGEDGPYQCCEHEFENDKESFFSESYAPPEIKLQLEIDINGVEQSKLKECSGESSSFDRHFQIAQKPASSHCAVEVEDSCDRNADAKPSKALEDRRNLVPEKEFAGVELDEQLVEKMFRKGMHPCGGVDILDVETCFSASKQYRFECFQKQVQIVKKYRGSANVQYAWLASSKAALPTIRMHGVGHSKLSKIPHKYGAGVHLAAAELTNTSAKYCDVDENGIQYMVFCRVIMGKMERLSPGSTQDLPSSEDVDSGVDDLQHPKYYIIWDMNINTHIYPEFVVSFKLSSIAKGVTASSQALQGRFPVVPSAGELGSINHQTSDSGGSQENDPSLGSNTSKAPKSPWMPFPMLFAAISTKIPRVDMDQVTNHYELFRAKKISRDAFVKKLRFLVGDDLLRSTITSLQCKIPSRQDLQVVKQNMKGPGSR >EOY25200 pep chromosome:Theobroma_cacao_20110822:3:33552729:33558421:-1 gene:TCM_016592 transcript:EOY25200 gene_biotype:protein_coding transcript_biotype:protein_coding description:WWE protein-protein interaction domain protein family, putative isoform 9 MEAQNAMVLDSQPGVLHGMKRKRAVQQATYFPGASHVVLPQLPGLSLPSQKHGKRRRLEDCNGKVVSCGYPSKRSLLLCYSNFRKTGVPKRLMFFENGEWNNFPKDLISSIRKDLDAKMPAIQLEKDGQSFVLDFLHMFRLDCKTGLKQPIAWIDEADGCFFPETFAGEDGPYQCCEHEFENDKESFFSESYAPPEIKLQLEIDINGVEQSKLKECSGESSSFDRHFQIAQKPASSHCAVEVEDSCDRNADAKPSKALEDRRNLVPEKEFAGVELDEQLVEKMFRKGMHPCGGVDILDVETCFSASKQYRFECFQKQVQIVKKYRGSANVQYAWLASSKAALPTIRMHGVGHSKLSKIPHKYGAGVHLAAAELTNTSAKYCDVDENGIQYMVFCRVIMGKMERLSPGSTQDLPSSEDVDSGVDDLQHPKYYIIWDMNINTHIYPEFVVSFKLSSIAKGHLIGSETNNAVSGVTASSQALQGRFPVVPSAGELGSINHQTSDSGGSQENDPSLGSNTSKAPKSPWMPFPMLFAAISTKIPRVDMDQVTNHYELFRAKKISRDAFVKKLRFLVGDDLLRSTITSLQCKIPSRQDLQVVKQNMKGPGSR >EOY25205 pep chromosome:Theobroma_cacao_20110822:3:33552808:33557083:-1 gene:TCM_016592 transcript:EOY25205 gene_biotype:protein_coding transcript_biotype:protein_coding description:WWE protein-protein interaction domain protein family, putative isoform 9 MKRKRAVQQATYFPGASHVVLPQLPGLSLPSQKHGKRRRLEDCNGKVVSCGYPSKRSLLLCYSNFRKTGVPKRLMFFENGEWNNFPKDLISSIRKDLDAKMPAIQLEKDGQSFVLDFLHMFRLDCKTGLKQPIAWIDEADGCFFPETFAGEDGPYQCCEHEFENDKESFFSESYAPPEIKLQLEIDINGVEQSKLKECSGESSSFDRHFQIAQKPASSHCAVEVEDSCDRNADAKPSKALEDRRNLVPEKEFAGVELDEQLVEKMFRKGMHPCGGVDILDVETCFSASKQYRFECFQKQVQIVKKYRGSANVQYAWLASSKAALPTIRMHGVGHSKLSKIPHKYGAGVHLAAAELTNTSAKYCDVDENGIQYMVFCRVIMGKMERLSPGSTQDLPSSEDVDSGVDDLQHPKYYIIWDMNINTHIYPEFVVSFKLSSIAKGVTASSQALQGRFPVVPSAGELGSINHQTSDSGGSQENDPSLGSNTSKAPKSPWMPFPMLFAAISTKIPRVDMDQVTNHYELFRV >EOY25199 pep chromosome:Theobroma_cacao_20110822:3:33552928:33558997:-1 gene:TCM_016592 transcript:EOY25199 gene_biotype:protein_coding transcript_biotype:protein_coding description:WWE protein-protein interaction domain protein family, putative isoform 9 MEAQNAMVLDSQPGVLHGMKRKRAVQQATYFPGASHVVLPQLPGLSLPSQKHGKRRRLEDCNGKVVSCGYPSKRSLLLCYSNFRKTGVPKRLMFFENGEWNNFPKDLISSIRKDLDAKMPAIQLEKDGQSFVLDFLHMFRLDCKTGLKQPIAWIDEADGCFFPETFAGEDGPYQCCEHEFENDKESFFSESYAPPEIKLQLEIDINGVEQSKLKECSGESSSFDRHFQIAQKPASSHCAVEVEDSCDRNADAKPSKALEDRRNLVPEKEFAGVELDEQLVEKMFRKGMHPCGGVDILDVETCFSASKQYRFECFQKQVQIVKKYRGSANVQYAWLASSKAALPTIRMHGVGHSKLSKIPHKYGAGVHLAAAELTNTSAKYCDVDENGIQYMVFCRVIMGKMERLSPGSTQDLPSSEDVDSGVDDLQHPKYYIIWDMNINTHIYPEFVVSFKLSSIAKGHLIGSETNNAVSGVTASSQALQGRFPVVPSAGELGSINHQTSDSGGSQENDPSLGSNTSKAPKSPWMPFPMLFAAISTKIPRVDMDQVTNHYELFRAKKISRDAFVKKLRFLVGDDLLRSTITSLQCKIPSRQDLQVVKQNMKGPGSR >EOY25206 pep chromosome:Theobroma_cacao_20110822:3:33554986:33557546:-1 gene:TCM_016592 transcript:EOY25206 gene_biotype:protein_coding transcript_biotype:protein_coding description:WWE protein-protein interaction domain protein family, putative isoform 9 MEAQNAMVLDSQPGVLHGMKRKRAVQQATYFPGASHVVLPQLPGLSLPSQKHGKRRRLEDCNGKVVSCGYPSKRSLLLCYSNFRKTGVPKRLMFFENGEWNNFPKDLISSIRKDLDAKMPAIQLEKDGQSFVLDFLHMFRLDCKTGLKQPIAWIDEADGCFFPETFAGEDGPYQCCEHEFENDKESFFSESYAPPEIKLQLEIDINGVEQSKLKECSGESSSFDRHFQIAQKPASSHCAVEVEDSCDRNADAKPSKALEDRRNLVPEKEFAGVELDEQLVEKMFRKGMHPCGGVDILDVETCFSASKQYRFECFQKQVQIVKKYRGSANVQYAWLASSKAALPTIRMHGVGHSKLSKIPHKYGAGVHLAAAELTNTSAKYCDVDENGIQYMVFCRVIMGKMERLSPGSTQDLPSSEDVDSGVDDLQHPKYYIIWDMNINTHIYPEFVVSFKLSSIAK >EOY25198 pep chromosome:Theobroma_cacao_20110822:3:33552729:33558764:-1 gene:TCM_016592 transcript:EOY25198 gene_biotype:protein_coding transcript_biotype:protein_coding description:WWE protein-protein interaction domain protein family, putative isoform 9 MEAQNAMVLDSQPGVLHGMKRKRAVQQATYFPGASHVVLPQLPGLSLPSQKHGKRRRLEDCNGKVVSCGYPSKRSLLLCYSNFRKTGVPKRLMFFENGEWNNFPKDLISSIRKDLDAKMPAIQLEKDGQSFVLDFLHMFRLDCKTGLKQPIAWIDEADGCFFPETFAGEDGPYQCCEHEFENDKESFFSESYAPPEIKLQLEIDINGVEQSKLKECSGESSSFDRHFQIAQKPASSHCAVEVEDSCDRNADAKPSKALEDRRNLVPEKEFAGVELDEQLVEKMFRKGMHPCGGVDILDVETCFSASKQYRFECFQKQVQIVKKYRGSANVQYAWLASSKAALPTIRMHGVGHSKLSKIPHKYGAGVHLAAAELTNTSAKYCDVDENGIQYMVFCRVIMGKMERLSPGSTQDLPSSEDVDSGVDDLQHPKYYIIWDMNINTHIYPEFVVSFKLSSIAKGHLIGSETNNAVSGVTASSQALQGRFPVVPSAGELGSINHQTSDSGGSQENDPSLGSNTSKAPKSPWMPFPMLFAAISTKIPRVDMDQVTNHYELFRAKKISRDAFVKKLRFLVGDDLLRSTITSLQCKIPSRQDLQVVKQNMKGPGSR >EOY25202 pep chromosome:Theobroma_cacao_20110822:3:33552729:33558755:-1 gene:TCM_016592 transcript:EOY25202 gene_biotype:protein_coding transcript_biotype:protein_coding description:WWE protein-protein interaction domain protein family, putative isoform 9 MEAQNAMVLDSQPGVLHGMKRKRAVQQATYFPGASHVVLPQLPGLSLPSQKHGKRRRLEDCNGKVVSCGYPSKRSLLLCYSNFRKTGVPKRLMFFENGEWNNFPKDLISSIRKDLDAKMPAIQLEKDGQSFVLDFLHMFRLDCKTGLKQPIAWIDEADGCFFPETFAGEDGPYQCCEHEFENDKESFFSESYAPPEIKLQLEIDINGVEQSKLKECSGESSSFDRHFQIAQKPASSHCAVEVEDSCDRNADAKPSKALEDRRNLVPEKEFAGVELDEQLVEKMFRKGMHPCGGVDILDVETCFSASKQYRFECFQKQVQIVKKYRGSANVQYAWLASSKAALPTIRMHGVGHSKLSKIPHKYGAGVHLAAAELTNTSAKYCDVDENGIQYMVFCRVIMGKMERLSPGSTQDLPSSEDVDSGVDDLQHPKYYIIWDMNINTHIYPEFVVSFKLSSIAKGHLIGSETNNAVSGVTASSQALQGRFPVVPSAGELGSINHQTSDSGGSQENDPSLGSNTSKAPKSPWMPFPMLFAAISTKIPRVDMDQVTNHYELFRAKKISRDAFVKKLRFLVGDDLLRSTITSLQCKIPSRQDLQVVKQNMKGPGSR >EOY25201 pep chromosome:Theobroma_cacao_20110822:3:33552729:33559010:-1 gene:TCM_016592 transcript:EOY25201 gene_biotype:protein_coding transcript_biotype:protein_coding description:WWE protein-protein interaction domain protein family, putative isoform 9 MEAQNAMVLDSQPGVLHGMKRKRAVQQATYFPGASHVVLPQLPGLSLPSQKHGKRRRLEDCNGKVVSCGYPSKRSLLLCYSNFRKTGVPKRLMFFENGEWNNFPKDLISSIRKDLDAKMPAIQLEKDGQSFVLDFLHMFRLDCKTGLKQPIAWIDEADGCFFPETFAGEDGPYQCCEHEFENDKESFFSESYAPPEIKLQLEIDINGVEQSKLKECSGESSSFDRHFQIAQKPASSHCAVEVEDSCDRNADAKPSKALEDRRNLVPEKEFAGVELDEQLVEKMFRKGMHPCGGVDILDVETCFSASKQYRFECFQKQVQIVKKYRGSANVQYAWLASSKAALPTIRMHGVGHSKLSKIPHKYGAGVHLAAAELTNTSAKYCDVDENGIQYMVFCRVIMGKMERLSPGSTQDLPSSEDVDSGVDDLQHPKYYIIWDMNINTHIYPEFVVSFKLSSIAKGHLIGSETNNAVSGVTASSQALQGRFPVVPSAGELGSINHQTSDSGGSQENDPSLGSNTSKAPKSPWMPFPMLFAAISTKIPRVDMDQVTNHYELFRAKKISRDAFVKKLRFLVGDDLLRSTITSLQCKIPSRQDLQVVKQNMKGPGSR >EOY25203 pep chromosome:Theobroma_cacao_20110822:3:33552729:33558782:-1 gene:TCM_016592 transcript:EOY25203 gene_biotype:protein_coding transcript_biotype:protein_coding description:WWE protein-protein interaction domain protein family, putative isoform 9 MEAQNAMVLDSQPGVLHGMKRKRAVQQATYFPGASHVVLPQLPGLSLPSQKHGKRRRLEDCNGKVVSCGYPSKRSLLLCYSNFRKTGVPKRLMFFENGEWNNFPKDLISSIRKDLDAKMPAIQLEKDGQSFVLDFLHMFRLDCKTGLKQPIAWIDEADGCFFPETFAGEDGPYQCCEHEFENDKESFFSESYAPPEIKLQLEIDINGVEQSKLKECSGESSSFDRHFQIAQKPASSHCAVEVEDSCDRNADAKPSKALEDRRNLVPEKEFAGVELDEQLVEKMFRKGMHPCGGVDILDVETCFSASKQYRFECFQKQVQIVKKYRGSANVQYAWLASSKAALPTIRMHGVGHSKLSKIPHKYGAGVHLAAAELTNTSAKYCDVDENGIQYMVFCRVIMGKMERLSPGSTQDLPSSEDVDSGVDDLQHPKYYIIWDMNINTHIYPEFVVSFKLSSIAKGVTASSQALQGRFPVVPSAGELGSINHQTSDSGGSQENDPSLGSNTSKAPKSPWMPFPMLFAAISTKIPRVDMDQVTNHYELFRAKKISRDAFVKKLRFLVGDDLLRSTITSLQCKIPSRQDLQVVKQNMKGPGSR >EOY23234 pep chromosome:Theobroma_cacao_20110822:3:26730311:26737444:1 gene:TCM_015199 transcript:EOY23234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase, putative isoform 2 MLILDHAGADTVWIEMDSVEKGIVEIIVRHSVRWLVMGAAADKYYSKKLLQPKSKKAIFVCQMAPVSCHIWFACKGYLIFTRIGGKDRSNIEIATLSPPLDSPVRTVQLEFLSSESVHRVRSLDVEEDTDVIKGKLRPVSWCSEHLNLSSSEVVDTYGSIPLQRDEEEEYQGQASNVTDQLLELSIMDDHNLKQNMFAETVKQWKEENDAMEAKCKAKALECLCVKEMSRRKEMEELLEREKQEVQKMKDQHDELMKELQVVKDQTKVLESQIADSQCTVEELDEKFFSAVELLISFKKKRDDMQIEHGNAIRVLKDLRKLVHGENASFPGTQILEFSFMEINNATRNFDPSWKIGEGKYGSVYKGLLRHVHVAIKMLPSYGCQSLLEFENEVEILSRVRHPNLIMIIGTCPESRSLVFEYLRNGSLEDRLACKNNTPPLPWQTRTRIASEICSALIFLHSNKPCIPHGNLKPSKVLLDANFVSKLSDSGIYRLIPQGERTDISASSCTKANPEVASVYMDPEYLENGKVTRESDVYSLGMLLLRLLTGRPALGLLKDVKCAIETENFNMVLDWSAGDWPLAEAQLLAHLALRCCEKLPLNRLDLVSEIWSVLGPMSESCINSASCLGAKERCRIPSHFVCPIFQEVMEDPLIAADGFTYEADAIRGWLESGHDRSPMTNLKLEHCSLVPNYALHQAIQEWQQQW >EOY23233 pep chromosome:Theobroma_cacao_20110822:3:26728702:26737464:1 gene:TCM_015199 transcript:EOY23233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase, putative isoform 2 MGSLVEEIEGEREYDVEETIFVAVGKNVEKSKTTLFWAVQNFPGKRICVLHVQRPTHVLALTQGKLARNKLKQHAVEAFQKLERPKMHERLEEYMLILDHAGVQADTVWIEMDSVEKGIVEIIVRHSVRWLVMGAAADKYYSKKLLQPKSKKAIFVCQMAPVSCHIWFACKGYLIFTRIGGKDRSNIEIATLSPPLDSPVRTVQLEFLSSESVHRVRSLDVEEDTDVIKGKLRPVSWCSEHLNLSSSEVVDTYGSIPLQRDEEEEYQGQASNVTDQLLELSIMDDHNLKQNMFAETVKQWKEENDAMEAKCKAKALECLCVKEMSRRKEMEELLEREKQEVQKMKDQHDELMKELQVVKDQTKVLESQIADSQCTVEELDEKFFSAVELLISFKKKRDDMQIEHGNAIRVLKDLRKLVHGENASFPGTQILEFSFMEINNATRNFDPSWKIGEGKYGSVYKGLLRHVHVAIKMLPSYGCQSLLEFENEVEILSRVRHPNLIMIIGTCPESRSLVFEYLRNGSLEDRLACKNNTPPLPWQTRTRIASEICSALIFLHSNKPCIPHGNLKPSKVLLDANFVSKLSDSGIYRLIPQGERTDISASSCTKANPEVASVYMDPEYLENGKVTRESDVYSLGMLLLRLLTGRPALGLLKDVKCAIETENFNMVLDWSAGDWPLAEAQLLAHLALRCCEKLPLNRLDLVSEIWSVLGPMSESCINSASCLGAKERCRIPSHFVCPIFQEVMEDPLIAADGFTYEADAIRGWLESGHDRSPMTNLKLEHCSLVPNYALHQAIQEWQQQW >EOY21824 pep chromosome:Theobroma_cacao_20110822:3:18809487:18813165:1 gene:TCM_013957 transcript:EOY21824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MSPTLLPKHVAAVVKYQKDPLKALEIFNAVKKEVGFKHTLLTYKCMIEKLGCHGEFEAMENVLVEMRMNVDSSLLEGIYIGCMRNYGWKQKVQEAIDVFERMDFYNCEPTVLSYNAIMNILIEYGYFNEAHKVYMRMRDKGIVPDVYTFTIRIKSFCKTRRPHAALRLLRSMPLQGCEINAVAYCTIVGGFFEENLQVEAYELFDEMLRLGISPNITAFNKLIHILCKKGDVQESEKLLNKVMKRGVFPNLFTLNIFIQGLCRKGALAEAVSLLGSVTREGLIPDVVTYNTLICGLCKNGKVAEAERFLHKMVNEGLEPDGFTYNAVVDGCCKLGMIQYADKILTDAIFKGFVPDEFTYCSLINGLCQNGRTDRAVAVFNEALGKGLKPNIIMYNVLIKGLSLQGLILQALQLMNEMSENGCSPNIWTYNIVINGLCKIGCVFDANNLLNDAIAKGYIPDIFTFNTLIDGYCKQLKMESAIEILNQMWNYGVTPDVITYNCVLNGLCKTSKSEDVIETFKAMTEKGCIPNVITYNILIESLCKARKCNEALNLLEEIDKKGLAPDIVSFGTLIHGFCSNGDLDGAYKLFRRMGQRYKVSFTAATYNIMINAYSEKLKINTAEKLFHEMGENGIAPDSYTYRVMIDVFCKTGKIDSGYDFLLEKVEKGFIPSLTTFGQVINCLCVEDRVHNAVGLIHLMVGKGIVPEVVNTIFESDKKIVAAPKIVVEDLLKKGHITYYSYELLYDGVRDKKLLKKLQSR >EOY20715 pep chromosome:Theobroma_cacao_20110822:3:733998:738522:1 gene:TCM_012069 transcript:EOY20715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 14, putative isoform 1 MATTQPPAPPNSTEDKPQTTPEVVQQANEVQQDGRPEAAKPIVPASVFVNSEPIREDQVSNAVKFLSHPKVRGSPVIYRRSFLERKGLTKEEIDEAFRRVPDPPPSSQPASLNQDGQVKPSSSVQTQATMQTPPPVAAAPTGIVSAGTLAQRQFHWYHAVFAVGVLAASGAGTAVLIKNAIIPRLKSWIRKVVLEEENENAKKSDAKPSLAEEAAAAAKAAAAAAADVARASQEMLSSKNEERRRFEEFMNLIDVQVQEMKSMSNAIRKLEGQADSSGRTFVDHEDHRVSASSTKQSYANGRVDADVRSVRSASPPASAEPPHPKSYMEIMAMVQRGEKPSNIREINDMPPNPNQQPSNPRIAPRTKGATTNLFTK >EOY20714 pep chromosome:Theobroma_cacao_20110822:3:733843:739065:1 gene:TCM_012069 transcript:EOY20714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 14, putative isoform 1 MATTQPPAPPNSTEDKPQTTPEVVQQANEVQQDGRPEAAKPIVPASVFVNSEPIREDQVSNAVKFLSHPKVRGSPVIYRRSFLERKGLTKEEIDEAFRRVPDPPPSSQPASLNQDGQVKPSSSVQTQATMQTPPPVAAAPTGIVSAGTLAQRQFHWYHAVFAVGVLAASGAGTAVLIKNAIIPRLKSWIRKVVLEEENENAKKSDAKPSLAEEAAAAAKAAAAAAADVARASQEMLSSKNEERRRFEEFMNLIDVQVQEMKSMSNAIRKLEGQADSSGRTFVDHEDHRVSASSTKQSYANGRVDADVRSVRSASPPASAEPPHPKSYMEIMAMVQRGEKPSNIREINDMPPNPNQQPSNPRIAPRTKPWEAQNGSSQVLQSQGSGEGLIQKVQDNGLNYLVDDESSTPWWQRKNVRITEVENEDELKAGPYGVKTNEPPVQRTWVPPQPPPVAMPEAAEAIRRPKSSALKEAAKQEELAEDQSVARPLEATDELNRITKISESAGAVEINGGFSSMNSTEIQEQEQSYSEN >EOY20717 pep chromosome:Theobroma_cacao_20110822:3:733998:738522:1 gene:TCM_012069 transcript:EOY20717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 14, putative isoform 1 MATTQPPAPPNSTEDKPQTTPEVVQQANEVQQDGRPEAAKPIVPASVFVNSEPIREDQVSNAVKFLSHPKVRGSPVIYRRSFLERKGLTKEEIDEAFRRVPDPPPSSQPASLNQDGQVKPSSSVQTQATMQTPPPVAAAPTGIVSAGTLAQRQFHWYHAVFAVGVLAASGAGTAVLIKNAIIPRLKSWIRKVVLEEENENAKKSDAKPSLAEEAAAAAKAAAAAAADVARASQEMLSSKNEERRRFEEFMNLIDVQVQEMKSMSNAIRKLEGQADSSGRTFVDHEDHRVSASSTKQSYANGRVDADVRSVRSASPPASAEPPHPKSYMEIMAMVQRGESLGRRKMAPARYSSLKEVAKA >EOY20716 pep chromosome:Theobroma_cacao_20110822:3:691017:738928:1 gene:TCM_012069 transcript:EOY20716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 14, putative isoform 1 MATTQPPAPPNSTEDKPQTTPEVVQQANEVQQDGRPEAAKPIVPASVFVNSEPIREDQVSNAVKFLSHPKVRGSPVIYRRSFLERKGLTKEEIDEAFRRVPDPPPSSQPASLNQDGQVKPSSSVQTQATMQTPPPVAAAPTGIVSAGTLAQRQFHWYHAVFAVGVLAASGAGTAVLIKNAIIPRLKSWIRKVVLEEENENAKKSDAKPSLAEEAAAAAKAAAAAAADVARASQEMLSSKNEERRRFEEFMNLIDVQVQEMKSMSNAIRKLEGQADSSGRTFVDHEDHRVSASSTKQSYANGRVDADVRSVRSASPPASAEPPHPKSYMEIMAMVQRGEKPSNIREINDMPPNPNQQPSNPRIAPRTKPWEAQNGSSQVLQSQGSGEGLIQKVQDNGLNYLVDDESSTPWWQRKNVRITEVENEDELKAGPYGVKTNEPPVQRTWVPPQPPPVAMPEAAEAIRRPKSSALKEAAKQEELAEDQSVARPLEATDELNRITKISESAGAVEINGGFSSMNSTEIQEQEQSYSEN >EOY24768 pep chromosome:Theobroma_cacao_20110822:3:32157702:32163281:1 gene:TCM_016270 transcript:EOY24768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 2 isoform 3 MDLRSLCRVIFVTALISLVCQPCSVTAGDIVHDDDSAPKKPGCENDFVLVKVQTWVNGIEDAEFVGVGARFGTTIVSKEKNANQRRLILSDPRDCCSPPKNKLANDVIMVDRGNCKFTTKANNAEAAHASAVLIINNQKELYKMVCEPDETDLDIQIPAVMLPQDAGASLEKMLTSNASVLVQLYSPKRPLVDIAEVFLWLMAVGTILCASYWSAWNAREAAIEQDKLLKDALDEIPDTSHVASGGIVDINTTSAVLFVVVASCFLVMLYKLMSYWFVEILVVLFCIGGVEGLQTCLVALLSRWFKHAGESYIKVPFFGALSYLTLAVSPFCIAFAVVWAVYRNVSFAWIGQDILGIALIITVLQIVHVPNLKVGTVLLSCAFLYDIFWVFVSKKLFHESVMIVVARGDKSGEDGIPMLLKIPRMFDPWGGYSIIGFGDILLPGLLIAFSLRYDWLANKTLRAGYFLWAMFAYGLGKRW >EOY24766 pep chromosome:Theobroma_cacao_20110822:3:32157792:32163786:1 gene:TCM_016270 transcript:EOY24766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 2 isoform 3 MDLRSLCRVIFVTALISLVCQPCSVTAGDIVHDDDSAPKKPGCENDFVLVKVQTWVNGIEDAEFVGVGARFGTTIVSKEKNANQRRLILSDPRDCCSPPKNKLANDVIMVDRGNCKFTTKANNAEAAHASAVLIINNQKELYKMVCEPDETDLDIQIPAVMLPQDAGASLEKMLTSNASVLVQLYSPKRPLVDIAEVFLWLMAVGTILCASYWSAWNAREAAIEQDKLLKDALDEIPDTSHVASGGIVDINTTSAVLFVVVASCFLVMLYKLMSYWFVEILVVLFCIGGVEGLQTCLVALLSRWFKHAGESYIKVPFFGALSYLTLAVSPFCIAFAVVWAVYRNVSFAWIGQDILGIALIITVLQIVHVPNLKVGTVLLSCAFLYDIFWVFVSKKLFHESVMIVVARGDKSGEDGIPMLLKIPRMFDPWGGYSIIGFGDILLPGLLIAFSLRYDWLANKTLRAGYFLWAMFAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFLTLGRKRGDLRVLWTRGEPERPCPHIQLEHLHSEELSEEK >EOY24767 pep chromosome:Theobroma_cacao_20110822:3:32157702:32163627:1 gene:TCM_016270 transcript:EOY24767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 2 isoform 3 MDLRSLCRVIFVTALISLVCQPCSVTAGDIVHDDDSAPKKPGCENDFVLVKVQTWVNGIEDAEFVGVGARFGTTIVSKEKNANQRRLILSDPRDCCSPPKNKLANDVIMVDRGNCKFTTKANNAEAAHASAVLIINNQKELYKMVCEPDETDLDIQIPAVMLPQDAGASLEKMLTSNASVLVQLYSPKRPLVDIAEVFLWLMAVGTILCASYWSAWNAREAAIEQDKLLKDALDEIPDTSHVASGGGVEGLQTCLVALLSRWFKHAGESYIKVPFFGALSYLTLAVSPFCIAFAVVWAVYRNVSFAWIGQDILGIALIITVLQIVHVPNLKVGTVLLSCAFLYDIFWVFVSKKLFHESVMIVVARGDKSGEDGIPMLLKIPRMFDPWGGYSIIGFGDILLPGLLIAFSLRYDWLANKTLRAGYFLWAMFAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFLTLGRKRGDLRVLWTRGEPERPCPHIQLEHLHSEELSEEK >EOY24765 pep chromosome:Theobroma_cacao_20110822:3:32157715:32163881:1 gene:TCM_016270 transcript:EOY24765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 2 isoform 3 MDLRSLCRVIFVTALISLVCQPCSVTAGDIVHDDDSAPKKPGCENDFVLVKVQTWVNGIEDAEFVGVGARFGTTIVSKEKNANQRRLILSDPRDCCSPPKNKLANDVIMVDRGNCKFTTKANNAEAAHASAVLIINNQKELYKMVCEPDETDLDIQIPAVMLPQDAGASLEKMLTSNASVLVQLYSPKRPLVDIAEVFLWLMAVGTILCASYWSAWNAREAAIEQDKLLKDALDEIPDTSHVASGGIVDINTTSAVLFVVVASCFLVMLYKLMSYWFVEILVVLFCIGGVEGLQTCLVALLSRWFKHAGESYIKVPFFGALSYLTLAVSPFCIAFAVVWAVYRNVSFAWIGQDILGIALIITVLQIVHVPNLKVGTVLLSCAFLYDIFWVFVSKKLFHESVMIVVARGDKSGEDGIPMLLKIPRMFDPWGGYSIIGFGDILLPGLLIAFSLRYDWLANKTLRAGYFLWAMFAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFLTLGRKRGDLRVLWTRGEPERPCPHIQLEHLHSEELSEEK >EOY25090 pep chromosome:Theobroma_cacao_20110822:3:33199766:33211069:1 gene:TCM_016510 transcript:EOY25090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative MCEPEEDNACPSPPKQQQQLQGIMDLDDLDLESSWPLDQPTFLSNPTSPLIISSSSEQPCSPLWAFSDEDKVGSAAGYNLFLTCTPKPVNENPKEDNDKRGIPSPFLGLLPLENPDSYCVIKERMTQALRYFKDSTEQHVLAQVWAPIKSGGRYVLTTSGQPFVLDPHSNGLHQYRMVSLMYMFSVDGESDGQLGLPGRVFRQKLPEWTPNVQYYSSKEYSRLDHALHYNVRGTLALPVFEPSGQSCVGVLELIMTSQKINYAPEVDKVCKALEAVNLKSSDILDPPSTQICNENRQNALAKILEILTVVCETYKLPLAQTWVPCRHRSVLAYGGGLKKSCTSFDGSCMGQVCMSTTDVAFYVVDAHMWGFREACLEHHLQKGQGVAGRAFLSRNSCFCTDITQFCKTEYPLVHYARMFRLTSCFAICLRSTYTGDDDYVLEFFLPPAIADSNEQQTLLRSILATMKQHFQSLKVASGAELEDDEGSIEIIEASSDERLDSRLESIPIPPSVKSPPGPNTSPNRGELQLDSSKQQLIVTFDPATDGGNVVASGSQNPVCLPQNKDVKKSERKRGKTEKSISLEVLQQYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLTKLKHVIESVQGADGAFGLTSIATSPLPVAVGSISWPTSLNGSNQQNSPNSKPSDPQGEKYDLPTCRTPVSNGQALVEDQLLGGMTLSQEELFLQQNALSPDLNKGANRSKTGSGSREESAGTPTSHGSCQGSPAIESAATKDPLSSIQEQCFKARGSPELAFQPIGELNIPATFSMPEALVATEPQEPFGGMLVEDAGSSKDLRNLCPSVADVGIDERFPESSWTPPPCTDLALMQAMATFTQTTPHATARQEMRSLTIKATYREDIIRFRISLSSGIVELKEEVAKRLKLEVGTFDIKYLDDDSEMVLIACDADLQECLDVSRSSGSNIIRLSVHDAMANLGSSCESTGEL >EOY20634 pep chromosome:Theobroma_cacao_20110822:3:398897:407607:1 gene:TCM_012003 transcript:EOY20634 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAH domain,TFIIS helical bundle-like domain isoform 1 MHGRGGGEERKRARHMWTVPTRATAVLSGDGGASPSSSSSSTVNSFCKDGRKISVGDCALFKPPQDSPPFIGIIRCLIAGKENKLRLGVNWLYRPAEVKLGKGILLEAAPNEIFYSFHKDEIPAASLLHPCKVAFLPKDVELPSGICSFVCRRVYDITNKCLWWLTDQDYINERQEEVDQLLDKTRLEMHATVQPGGRSPKPMNGPTSTSQIKPGSDSVQNSASSFPSQGKGKKRERGDQGSEPVKRERTSKMDDGDSGHGRPEINLKSEIAKITEKGGLEDSEGVEKLVQLMVPERNEKKIDLVSRSMLAGVIAATDKFDCLSRFVQLRGLPVFDEWLQEVHKGKIGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRSHKNLEIQKKARGLVDTWKKRVEAEMDAKSGSNQAVPWSARPRISEVSHSGSKHSGSSEVAVKSSVTQFSASKTGSVKLAQGETPTKSASASPGSMKAATSPVSASTNLKDGQARNATAVGTSDPQTTARDEKSSSSSQSHNNSQSCSSDHAKTGGVSGKEEARSSAAGSGTVTKISGSSSRHRKSINGFPGSSGVQRETGSSKNSSLHRNPASEKISQSGLTCEKAVDAPMAEGNSHKFIVKIPNRGRSPAQSVSGGSLEDLSVMNSRASSPVLSEKHEQSDRNTKEKSETYRANVTTDVNTESWQSNDFKDVLTGSDEGDGSPAAVPDEEHCRIGEDARKTTEVTKTASSSSGNELKSGKLQEASFSSINALIDSCVKYSEANACMPVGDDAGMNLLASVAAGEISKSDVASPIDSPQRNTPVVEHSSTGNDTRLKPSAGDDVVRDRHQSVEGADDEHLKQGTVAGNSWAKNADCKTGSSQEKSGGELNEHLISSSMGLPQTADQCLENGKLKEIVAAALVNLPSGSTVEKTTDVGDSKEHLEKKAGGVDDDSSLDTKQKGSTSLVNEDKVVDPGVKVEKEAVDGSSSVPSMEVDVEDKKNVTEGLDRSLQTHENSAAVTGNSTKGADKEASPPGSAKDIVLEKVGEVKLEKDVETDARSHVAHTEKQKPEWETVTARKGEQVEENLECSEVHEPRGGPSPCRASSTVMETEQPTRSRGSKLTVAEADEAEERTSTTSDAPATGGADADAKVEFDLNEGFNADEAKFGEPNNLTAPGCSPPVQLISPLPFPVSSVSSSLPASITVAAAAKGPFVPPDDLLRTKGVLGWKGSAATSAFRPAEPRKSLDMPLGTSNASMPDATTCKQSRPPLDIDLNVPDERVLEDLASRSSAQGTDSAPDLTNNRDLTCGLMGSAPIRSSGGLDLDLNRVDEPIDLGNHSTGSSRRLDVPMQPLKSSSGGILNGEASVRRDFDLNNGPAVDEVSAEPSLFSQHNRSSNVPSQPPVSSLRINNTEMANFSSWFPTGNTYSAVTIPSILPDRGEQPFPIVATGGPPRVLGPPTAATPFNPDVYRGPVLSSSPAVPFPSAPFQYPVFPFGTTFPLPSTSFSGGSTTYVDSSPSGRLCFPPVSQLLGPAGAVPSHYARPYVVSLPDGSNNSGAESGRKWGRQGLDLNAGPGGPDIEGRDETSPLASRQLSVASSQALAEEQARMYQVPGGILKRKEPEGGWDGYKQSSWQ >EOY20638 pep chromosome:Theobroma_cacao_20110822:3:398897:407607:1 gene:TCM_012003 transcript:EOY20638 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAH domain,TFIIS helical bundle-like domain isoform 1 MDGRKISVGDCALFKPPQDSPPFIGIIRCLIAGKENKLRLGVNWLYRPAEVKLGKGILLEAAPNEIFYSFHKDEIPAASLLHPCKVAFLPKDVELPSGICSFVCRRVYDITNKCLWWLTDQDYINERQEEVDQLLDKTRLEMHATVQPGGRSPKPMNGPTSTSQIKPGSDSVQNSASSFPSQGKGKKRERGDQGSEPVKRERTSKMDDGDSGHGRPEINLKSEIAKITEKGGLEDSEGVEKLVQLMVPERNEKKIDLVSRSMLAGVIAATDKFDCLSRFVQLRGLPVFDEWLQEVHKGKIGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRSHKNLEIQKKARGLVDTWKKRVEAEMDAKSGSNQAVPWSARPRISEVSHSGSKHSGSSEVAVKSSVTQFSASKTGSVKLAQGETPTKSASASPGSMKAATSPVSASTNLKDGQARNATAVGTSDPQTTARDEKSSSSSQSHNNSQSCSSDHAKTGGVSGKEEARSSAAGSGTVTKISGSSSRHRKSINGFPGSSGVQRETGSSKNSSLHRNPASEKISQSGLTCEKAVDAPMAEGNSHKFIVKIPNRGRSPAQSVSGGSLEDLSVMNSRASSPVLSEKHEQSDRNTKEKSETYRANVTTDVNTESWQSNDFKDVLTGSDEGDGSPAAVPDEEHCRIGEDARKTTEVTKTASSSSGNELKSGKLQEASFSSINALIDSCVKYSEANACMPVGDDAGMNLLASVAAGEISKSDVASPIDSPQRNTPVVEHSSTGNDTRLKPSAGDDVVRDRHQSVEGADDEHLKQGTVAGNSWAKNADCKTGSSQEKSGGELNEHLISSSMGLPQTADQCLENGKLKEIVAAALVNLPSGSTVEKTTDVGDSKEHLEKKAGGVDDDSSLDTKQKGSTSLVNEDKVVDPGVKVEKEAVDGSSSVPSMEVDVEDKKNVTEGLDRSLQTHENSAAVTGNSTKGADKEASPPGSAKDIVLEKVGEVKLEKDVETDARSHVAHTEKQKPEWETVTARKGEQVEENLECSEVHEPRGGPSPCRASSTVMETEQPTRSRGSKLTVAEADEAEERTSTTSDAPATGGADADAKVEFDLNEGFNADEAKFGEPNNLTAPGCSPPVQLISPLPFPVSSVSSSLPASITVAAAAKGPFVPPDDLLRTKGVLGWKGSAATSAFRPAEPRKSLDMPLGTSNASMPDATTCKQSRPPLDIDLNVPDERVLEDLASRSSAQGTDSAPDLTNNRDLTCGLMGSAPIRSSGGLDLDLNRVDEPIDLGNHSTGSSRRLDVPMQPLKSSSGGILNGEASVRRDFDLNNGPAVDEVSAEPSLFSQHNRSSNVPSQPPVSSLRINNTEMANFSSWFPTGNTYSAVTIPSILPDRGEQPFPIVATGGPPRVLGPPTAATPFNPDVYRGPVLSSSPAVPFPSAPFQYPVFPFGTTFPLPSTSFSGGSTTYVDSSPSGRLCFPPVSQLLGPAGAVPSHYARPYVVSLPDGSNNSGAESGRKWGRQGLDLNAGPGGPDIEGRDETSPLASRQLSVASSQALAEEQARMYQVPGGILKRKEPEGGWDGYKQSSWQ >EOY20637 pep chromosome:Theobroma_cacao_20110822:3:399213:407607:1 gene:TCM_012003 transcript:EOY20637 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAH domain,TFIIS helical bundle-like domain isoform 1 MHATVQPGGRSPKPMNGPTSTSQIKPGSDSVQNSASSFPSQGKGKKRERGDQGSEPVKRERTSKMDDGDSGHGRPEINLKSEIAKITEKGGLEDSEGVEKLVQLMVPERNEKKIDLVSRSMLAGVIAATDKFDCLSRFVQLRGLPVFDEWLQEVHKGKIGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRSHKNLEIQKKARGLVDTWKKRVEAEMDAKSGSNQAVPWSARPRISEVSHSGSKHSGSSEVAVKSSVTQFSASKTGSVKLAQGETPTKSASASPGSMKAATSPVSASTNLKDGQARNATAVGTSDPQTTARDEKSSSSSQSHNNSQSCSSDHAKTGGVSGKEEARSSAAGSGTVTKISGSSSRHRKSINGFPGSSGVQRETGSSKNSSLHRNPASEKISQSGLTCEKAVDAPMAEGNSHKFIVKIPNRGRSPAQSVSGGSLEDLSVMNSRASSPVLSEKHEQSDRNTKEKSETYRANVTTDVNTESWQSNDFKDVLTGSDEGDGSPAAVPDEEHCRIGEDARKTTEVTKTASSSSGNELKSGKLQEASFSSINALIDSCVKYSEANACMPVGDDAGMNLLASVAAGEISKSDVASPIDSPQRNTPVVEHSSTGNDTRLKPSAGDDVVRDRHQSVEGADDEHLKQGTVAGNSWAKNADCKTGSSQEKSGGELNEHLISSSMGLPQTADQCLENGKLKEIVAAALVNLPSGSTVEKTTDVGDSKEHLEKKAGGVDDDSSLDTKQKGSTSLVNEDKVVDPGVKVEKEAVDGSSSVPSMEVDVEDKKNVTEGLDRSLQTHENSAAVTGNSTKGADKEASPPGSAKDIVLEKVGEVKLEKDVETDARSHVAHTEKQKPEWETVTARKGEQVEENLECSEVHEPRGGPSPCRASSTVMETEQPTRSRGSKLTVAEADEAEERTSTTSDAPATGGADADAKVEFDLNEGFNADEAKFGEPNNLTAPGCSPPVQLISPLPFPVSSVSSSLPASITVAAAAKGPFVPPDDLLRTKGVLGWKGSAATSAFRPAEPRKSLDMPLGTSNASMPDATTCKQSRPPLDIDLNVPDERVLEDLASRSSAQGTDSAPDLTNNRDLTCGLMGSAPIRSSGGLDLDLNRVDEPIDLGNHSTGSSRRLDVPMQPLKSSSGGILNGEASVRRDFDLNNGPAVDEVSAEPSLFSQHNRSSNVPSQPPVSSLRINNTEMANFSSWFPTGNTYSAVTIPSILPDRGEQPFPIVATGGPPRVLGPPTAATPFNPDVYRGPVLSSSPAVPFPSAPFQYPVFPFGTTFPLPSTSFSGGSTTYVDSSPSGRLCFPPVSQLLGPAGAVPSHYARPYVVSLPDGSNNSGAESGRKWGRQGLDLNAGPGGPDIEGRDETSPLASRQLSVASSQALAEEQARMYQVPGGILKRKEPEGGWDGYKQSSWQ >EOY20635 pep chromosome:Theobroma_cacao_20110822:3:398668:407607:1 gene:TCM_012003 transcript:EOY20635 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAH domain,TFIIS helical bundle-like domain isoform 1 MHGRGGGEERKRARHMWTVPTRATAVLSGDGGASPSSSSSSTVNSFCKDGRKISVGDCALFKPPQDSPPFIGIIRCLIAGKENKLRLGVNWLYRPAEVKLGKGILLEAAPNEIFYSFHKDEIPAASLLHPCKVAFLPKDVELPSGICSFVCRRVYDITNKCLWWLTDQDYINERQEEVDQLLDKTRLEMHATVQPGGRSPKPMNGPTSTSQIKPGSDSVQNSASSFPSQGKGKKRERGDQGSEPVKRERTSKMDDGDSGHGRPEINLKSEIAKITEKGGLEDSEGVEKLVQLMVPERNEKKIDLVSRSMLAGVIAATDKFDCLSRFVQLRGLPVFDEWLQEVHKGKIGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRSHKNLEIQKKARGLVDTWKKRVEAEMDAKSGSNQAVPWSARPRISEVSHSGSKHSGSSEVAVKSSVTQFSASKTGSVKLAQGETPTKSASASPGSMKAATSPVSASTNLKDGQARNATAVGTSDPQTTARDEKSSSSSQSHNNSQSCSSDHAKTGGVSGKEEARSSAAGSGTVTKISGSSSRHRKSINGFPGSSGVQRETGSSKNSSLHRNPASEKISQSGLTCEKAVDAPMAEGNSHKFIVKIPNRGRSPAQSVSGGSLEDLSVMNSRASSPVLSEKHEQSDRNTKEKSETYRANVTTDVNTESWQSNDFKDVLTGSDEGDGSPAAVPDEEHCRIGEDARKTTEVTKTASSSSGNELKSGKLQEASFSSINALIDSCVKYSEANACMPVGDDAGMNLLASVAAGEISKSDVASPIDSPQRNTPVVEHSSTGNDTRLKPSAGDDVVRDRHQSVEGADDEHLKQGTVAGNSWAKNADCKTGSSQEKSGGELNEHLISSSMGLPQTADQCLENGKLKEIVAAALVNLPSGSTVEKTTDVGDSKEHLEKKAGGVDDDSSLDTKQKGSTSLVNEDKVVDPGVKVEKEAVDGSSSVPSMEVDVEDKKNVTEGLDRSLQTHENSAAVTGNSTKGADKEASPPGSAKDIVLEKVGEVKLEKDVETDARSHVAHTEKQKPEWETVTARKGEQVEENLECSEVHEPRGGPSPCRASSTVMETEQPTRSRGSKLTVAEADEAEERTSTTSDAPATGGADADAKVEFDLNEGFNADEAKFGEPNNLTAPGCSPPVQLISPLPFPVSSVSSSLPASITVAAAAKGPFVPPDDLLRTKGVLGWKGSAATSAFRPAEPRKSLDMPLGTSNASMPDATTCKQSRPPLDIDLNVPDERVLEDLASRSSAQGTDSAPDLTNNRDLTCGLMGSAPIRSSGGLDLDLNRVDEPIDLGNHSTGSSRRLDVPMQPLKSSSGGILNGEASVRRDFDLNNGPAVDEVSAEPSLFSQHNRSSNVPSQPPVSSLRINNTEMANFSSWFPTGNTYSAVTIPSILPDRGEQPFPIVATGGPPRVLGPPTAATPFNPDVYRGPVLSSSPAVPFPSAPFQYPVFPFGTTFPLPSTSFSGGSTTYVDSSPSGRLCFPPVSQLLGPAGAVPSHYARPYVVSLPDGSNNSGAESGRKWGRQGLDLNAGPGGPDIEGRDETSPLASRQLSVASSQALAEEQARMYQVPGGILKRKEPEGGWDGYKQSSWQ >EOY20639 pep chromosome:Theobroma_cacao_20110822:3:398506:408372:1 gene:TCM_012003 transcript:EOY20639 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAH domain,TFIIS helical bundle-like domain isoform 1 MHGRGGGEERKRARHMWTVPTRATAVLSGDGGASPSSSSSSTVNSFCKDGRKISVGDCALFKPPQDSPPFIGIIRCLIAGKENKLRLGVNWLYRPAEVKLGKGILLEAAPNEIFYSFHKDEIPAASLLHPCKVAFLPKDVELPSGICSFVCRRVYDITNKCLWWLTDQDYINERQEEVDQLLDKTRLEMHATVQPGGRSPKPMNGPTSTSQIKPGSDSVQNSASSFPSQGKGKKRERGDQGSEPVKRERTSKMDDGDSGHGRPEINLKSEIAKITEKGGLEDSEGVEKLVQLMVPERNEKKIDLVSRSMLAGVIAATDKFDCLSRFVQLRGLPVFDEWLQEVHKGKIGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRSHKNLEIQKKARGLVDTWKKRVEAEMDAKSGSNQAVPWSARPRISEVSHSGSKHSGSSEVAVKSSVTQFSASKTGSVKLAQGETPTKSASASPGSMKAATSPVSASTNLKDGQARNATAVGTSDPQTTARDEKSSSSSQSHNNSQSCSSDHAKTGGVSGKEEARSSAAGSGTVTKISGSSSRHRKSINGFPGSSGVQRETGSSKNSSLHRNPASEKISQSGLTCEKAVDAPMAEGNSHKFIVKIPNRGRSPAQSVSGGSLEDLSVMNSRASSPVLSEKHEQSDRNTKEKSETYRANVTTDVNTESWQSNDFKDVLTGSDEGDGSPAAVPDEEHCRIGEDARKTTEVTKTASSSSGNELKSGKLQEASFSSINALIDSCVKYSEANACMPVGDDAGMNLLASVAAGEISKSDVASPIDSPQRNTPVVEHSSTGNDTRLKPSAGDDVVRDRHQSVEGADDEHLKQGTVAGNSWAKNADCKTGSSQEKSGGELNEHLISSSMGLPQTADQCLENGKLKEIVAAALVNLPSGSTVEKTTDVGDSKEHLEKKAGGVDDDSSLDTKQKGSTSLVNEDKVVDPGVKVEKEAVDGSSSVPSMEVDVEDKKNVTEGLDRSLQTHENSAAVTGNSTKGADKEASPPGSAKDIVLEKVGEVKLEKDVETDARSHVAHTEKQKPEWETVTARKGEQVEENLECSEVHEPRGGPSPCRASSTVMETEQPTRSRGSKLTVAEADEAEERTSTTSDAPATGGADADAKVEFDLNEGFNADEAKFGEPNNLTAPGCSPPVQLISPLPFPVSSVSSSLPASITVAAAAKGPFVPPDDLLRTKGVLGWKGSAATSAFRPAEPRKSLDMPLGTSNASMPDATTCKQSRPPLDIDLNVPDERVLEDLASRSSAQGTDSAPDLTNNRDLTCGLMGSAPIRSSGGLDLDLNRVDEPIDLGNHSTGSSRRLDVPMQPLKSSSGGILNGEASVRRDFDLNNGPAVDEVSAEPSLFSQHNRSSNVPSQPPVSSLRINNTEMANFSSWFPTGNTYSAVTIPSILPDRGEQPFPIVATGGPPRVLGPPTAATPFNPDVYRGPVLSSSPAVPFPSAPFQYPVFPFGTTFPLPSTSFSGGSTTYVDSSPSGRLCFPPVSQLLGPAGAVPSHYARPYVVSLPDGSNNSGAESGRKWGRQGLDLNAGPGGPDIEGRDETSPLASRQLSVASSQALAEEQARMYQVPGGILKRKEPEGGWDGYKQSSWQ >EOY20636 pep chromosome:Theobroma_cacao_20110822:3:398650:407603:1 gene:TCM_012003 transcript:EOY20636 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAH domain,TFIIS helical bundle-like domain isoform 1 MHGRGGGEERKRARHMWTVPTRATAVLSGDGGASPSSSSSSTVNSFCKDGRKISVGDCALFKPPQDSPPFIGIIRCLIAGKENKLRLGVNWLYRPAEVKLGKGILLEAAPNEIFYSFHKDEIPAASLLHPCKVAFLPKDVELPSGICSFVCRRVYDITNKCLWWLTDQDYINERQEEVDQLLDKTRLEMHATVQPGGRSPKPMNGPTSTSQIKPGSDSVQNSASSFPSQGKGKKRERGDQGSEPVKRERTSKMDDGDSGHGRPEINLKSEIAKITEKGGLEDSEGVEKLVQLMVPERNEKKIDLVSRSMLAGVIAATDKFDCLSRFVQLRGLPVFDEWLQEVHKGKIGDGSGSKDDRSVDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRSHKNLEIQKKARGLVDTWKKRVEAEMDAKSGSNQAVPWSARPRISEVSHSGSKHSGSSEVAVKSSVTQFSASKTGSVKLAQGETPTKSASASPGSMKAATSPVSASTNLKDGQARNATAVGTSDPQTTARDEKSSSSSQSHNNSQSCSSDHAKTGGVSGKEEARSSAAGSGTVTKISGSSSRHRKSINGFPGSSGVQRETGSSKNSSLHRNPASEKISQSGLTCEKAVDAPMAEGNSHKFIVKIPNRGRSPAQSVSGGSLEDLSVMNSRASSPVLSEKHEQSDRNTKEKSETYRANVTTDVNTESWQSNDFKDVLTGSDEGDGSPAAVPDEEHCRIGEDARKTTEVTKTASSSSGNELKSGKLQEASFSSINALIDSCVKYSEANACMPVGDDAGMNLLASVAAGEISKSDVASPIDSPQRNTPVVEHSSTGNDTRLKPSAGDDVVRDRHQSVEGADDEHLKQGTVAGNSWAKNADCKTGSSQEKSGGELNEHLISSSMGLPQTADQCLENGKLKEIVAAALVNLPSGSTVEKTTDVGDSKEHLEKKAGGVDDDSSLDTKQKGSTSLVNEDKVVDPGVKVEKEAVDGSSSVPSMEVDVEDKKNVTEGLDRSLQTHENSAAVTGNSTKGADKEASPPGSAKDIVLEKVGEVKLEKDVETDARSHVAHTEKQKPEWETVTARKGEQVEENLECSEVHEPRGGPSPCRASSTVMETEQPTRSRGSKLTVAEADEAEERTSTTSDAPATGGADADAKVEFDLNEGFNADEAKFGEPNNLTAPGCSPPVQLISPLPFPVSSVSSSLPASITVAAAAKGPFVPPDDLLRTKGVLGWKGSAATSAFRPAEPRKSLDMPLGTSNASMPDATTCKQSRPPLDIDLNVPDERVLEDLASRSSAQGTDSAPDLTNNRDLTCGLMGSAPIRSSGGLDLDLNRVDEPIDLGNHSTGSSRRLDVPMQPLKSSSGGILNGEASVRRDFDLNNGPAVDEVSAEPSLFSQHNRSSNVPSQPPVSSLRINNTEMANFSSWFPTGNTYSAVTIPSILPDRGEQPFPIVATGGPPRVLGPPTAATPFNPDVYRGPVLSSSPAVPFPSAPFQYPVFPFGTTFPLPSTSFSGGSTTYVDSSPSGRLCFPPVSQLLGPAGAVPSHYARPYVVSLPDGSNNSGAESGRKWGRQGLDLNAGPGGPDIEGRDETSPLASRQLSVASSQALAEEQARMYQVPGGILKRKEPEGGWDGYKQSSWQ >EOY21053 pep chromosome:Theobroma_cacao_20110822:3:2550559:2560271:1 gene:TCM_012371 transcript:EOY21053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Associated molecule with the SH3 domain of STAM 1 isoform 3 MRSSTERRINIAASAQRLDVDDRISFRYYYRIADNILKQADIFRAEKNIIDLYIMLLRFSSLVSETIPCHRDYRASLQSQKVYLKKKLLNALSELEDLKPAVQQKISELNRKYTYQSNSLQQSSVKNQSLTYYGATKAVRPAAREFGYYGSRTQQFTYAKPMEEQFSRISLNLLRPKEETLSKHSILGPNGLYGQWQPPKSDIGVQYPSNIDLAPVEIPRLQQPVENELPGKNDHSNSEPEVPNTELTLAVGDSQKCHVDEPCSMISFDESETTPVHINVTRQPSPPPVLAEVQDLVHAITPQVTETDCRIENPSADGIVHSESPLQLHIATTMMESFMKLAKSNTDRNLETCGVLAGSLKNRKFYVTALIIPKQESTSDSCQTTNEEEIFEVQDKKSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQIMLPESVAIVMAPRDTARKHGIFRLTTPGGMSVIRHCQQRGFHPHEQPPDGGPIYSSCTDVYMNPNLKFDVIDLR >EOY21056 pep chromosome:Theobroma_cacao_20110822:3:2550576:2556786:1 gene:TCM_012371 transcript:EOY21056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Associated molecule with the SH3 domain of STAM 1 isoform 3 MRSSTERRINIAASAQRLDVDDRISFRYYYRIADNILKQADIFRAEKNIIDLYIMLLRFSSLVSETIPCHRDYRASLQSQKVYLKKKLLNALSELEDLKPAVQQKISELNRKYTYQSNSLQQSSVKNQSLTYYGATKAVRPAAREFGYYGSRTQQFTYAKPMEEQFSRISLNLLRPKEETLSKHSILGPNGLYGQWQPPKSDIGVQYPSNIDLAPVEIPRLQQPVENELPGKNDHSNSEPEVPNTELTLAVGDSQKCHVDEPCSMISFDESETTPVHINVTRQPSPPPVLAEVQDLVHAITPQVTETDCRIENPSADGIVHSESPLQLHIATTMMESFMKLAKSNTDRNLETCGVLAEKQKVLCYS >EOY21055 pep chromosome:Theobroma_cacao_20110822:3:2550554:2560013:1 gene:TCM_012371 transcript:EOY21055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Associated molecule with the SH3 domain of STAM 1 isoform 3 MRSSTERRINIAASAQRLDVDDRISFRYYYRIADNILKQADIFRAEKNIIDLYIMLLRFSSLVSETIPCHRDYRASLQSQKVYLKKKLLNALSELEDLKPAVQQKISELNRKYTYQSNSLQQSSVKNQSLTYYGATKAVRPAAREFGYYGSRTQQFTYAKPMEEQFSRISLNLLRPKEETLSKHSILGPNGLYGQWQPPKSDIGVQYPSNIDLAPVEIPRLQQPVENELPGKNDHSNSEPEVPNTELTLAVGDSQKCHVDEPCSMISFDESETTPVHINVTRQPSPPPVLAEVQDLVHAITPQVTETDCRIENPSADGIVHSESPLQLHIATTMMESFMKLAKSNTDRNLETCGVLAGSLKNRKFYVTALIIPKQESTSDSVWRSHCGFTISIHQSNFCDSPFCSAFSGLCNFFLFFMKCQTTNEEEIFEVQDKKSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQIMLPESVAIVMAPRDTARKHGIFRLTTPGGMSVIRHCQQRGFHPHEQPPDGGPIYSSCTDVYMNPNLKFDVIDLR >EOY21054 pep chromosome:Theobroma_cacao_20110822:3:2550554:2559677:1 gene:TCM_012371 transcript:EOY21054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Associated molecule with the SH3 domain of STAM 1 isoform 3 MRSSTERRINIAASAQRLDVDDRISFRYYYRIADNILKQADIFRAEKNIIDLYIMLLRFSSLVSETIPCHRDYRASLQSQKVYLKKKLLNALSELEDLKPAVQQKISELNRKYTYQSNSLQQSSVKNQSLTYYGATKAVRPAAREFGYYGSRTQQFTYAKPMEEQFSRISLNLLRPKEETLSKHSILGPNGLYGQWQPPKSDIGVQYPSNIDLAPVEIPSVKRLQQPVENELPGKNDHSNSEPEVPNTELTLAVGDSQKCHVDEPCSMISFDESETTPVHINVTRQPSPPPVLAEVQDLVHAITPQVTETDCRIENPSADGIVHSESPLQLHIATTMMESFMKLAKSNTDRNLETCGVLAGSLKNRKFYVTALIIPKQESTSDSCQTTNEEEIFEVQDKKSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQIMLPESVAIVMAPRDTARKHGIFRLTTPGGMSV >EOY24846 pep chromosome:Theobroma_cacao_20110822:3:32421321:32426301:1 gene:TCM_016334 transcript:EOY24846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase 6 isoform 4 MFPVRKAMAGLSNSLQLKHGLALWCPRSSPLTRPYPSSSIRFNGFHRNFVVASSSSFSNDNREFVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAYAPYERPALTKGYLFPLDKKPARLPGFHTCVGSGGERQTPEWYKEKGIEMIYEDPVTTINIEKQTLTTNSGKLLKYGSLIIATGCTASRFPEKIGGNLPGVHYIRDIADADSLISSLEKAKKVVVVGGGYIGMEVAAAAVGWKLDTTIIFPENHLLQRLFTPSLAQRYEELYKENGVKFLKVAAVKLGDGSTIEADTIIIGIGAKPAVSSFEMVGLNTTVGGIQVDGLFRTSIPGIFAVGDVAAFPLKMYDRMARVEHVDHARRSAQHCVKALLSAQTHTYDYLPYFYSRVFEYEGSPKKVWWQFFGDNAGETVEIGNFDPKIATFWIDSGKLKGVLLESGSAEEFKLLPELARSQPSIDKAKLQKASSVEEALEIAKASLLVGQKP >EOY24845 pep chromosome:Theobroma_cacao_20110822:3:32421576:32429036:1 gene:TCM_016334 transcript:EOY24845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase 6 isoform 4 MAGLSNSLQLKHGLALWCPRSSPLTRPYPSSSIRFNGFHRNFVVASSSSFSNDNREFVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAYAPYERPALTKGYLFPLDKKPARLPGFHTCVGSGGERQTPEWYKEKGIEMIYEDPVTTINIEKQTLTTNSGKLLKYGSLIIATGCTASRFPEKIGGNLPGVHYIRDIADADSLISSLEKAKKVVVVGGGYIGMEVAAAAVGWKLDTTIIFPENHLLQRLFTPSLAQRYEELYKENGVKFLKGASIKNLEAGSNGQVAAVKLGDGSTIEADTIIIGIGAKPAVSSFEMVGLNTTVGGIQVDGLFRTSIPGIFAVGDVAAFPLKMYDRMARVEHVDHARRSAQHCVKALLSAQTHTYDYLPYFYSRVFEYEGSPKKVWWQFFGDNAGETVEIGNFDPKIATFWIDSGKLKGVLLESGSAEEFKLLPELARSQPSIDKAKLQKASSVEEALEIAKASLLVGQKP >EOY24843 pep chromosome:Theobroma_cacao_20110822:3:32421384:32426890:1 gene:TCM_016334 transcript:EOY24843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase 6 isoform 4 MFPVRKAMAGLSNSLQLKHGLALWCPRSSPLTRPYPSSSIRFNGFHRNFVVASSSSFSNDNREFVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAYAPYERPALTKGYLFPLDKKPARLPGFHTCVGSGGERQTPEWYKEKGIEMIYEDPVTTINIEKQTLTTNSGKLLKYGSLIIATGCTASRFPEKIGGNLPGVHYIRDIADADSLISSLEKAKKVVVVGGGYIGMEVAAAAVGWKLDTTIIFPENHLLQRLFTPSLAQRYEELYKENGVKFLKGASIKNLEAGSNGQVAAVKLGDGSTIEADTIIIGIGAKPAVSSFEMVGLNTTVGGIQVDGLFRTSIPGIFAVGDVAAFPLKMYDRMARVEHVDHARRSAQHCVKALLSAQTHTYDYLPYFYSRVFEYEGSPKKVWWQFFGDNAGETVEIGNFDPKIATFWIDSGKLKGVLLESGSAEEFKLLPELARSQPSIDKAKLQKASSVEEALEIAKASLLVGQKP >EOY24844 pep chromosome:Theobroma_cacao_20110822:3:32421321:32429036:1 gene:TCM_016334 transcript:EOY24844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase 6 isoform 4 MFPVRKAMAGLSNSLQLKHGLALWCPRSSPLTRPYPSSSIRFNGFHRNFVVASSSSFSNDNREFVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAYAPYERPALTKGYLFPLDKKPARLPGFHTCVGSGGERQTPEWYKEKGIEMIYEDPVTTINIEKQTLTTNSGKLLKYGSLIIATGCTASRFPEKIGGNLPGVHYIRDIADADSLISSLEKAKKVVVVGGGYIGMEVAAAAVGWKLDTTIIFPENHLLQRLFTPSLAQRYEELYKENGVKFLKGASIKNLEAGSNGQVAAVKLGDGSTIEADTIIIGIGAKPAVSSFEMVGLNTTVGGIQVDGLFRTSIPGIFAVGDVAAFPLKMYDRMARVEHVDHARRSAQHCVKALLSAQTHTYDYLPYFYSRVFEYEGSPKKVWWQFFGDNAGETVEIGNFDPKIATFWIDSGKLKGVLLESGSAEEFKLLPELARSQPSIDKAKLQKASSVEEALEIAKASLLVGQKP >EOY22592 pep chromosome:Theobroma_cacao_20110822:3:24101666:24102369:1 gene:TCM_014722 transcript:EOY22592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMQSVRTGNHVSQIASYLWGKESLSTNQTQTSIGSKCSLLFVTKPYIYSTWGLVRNFQFVDEKKLS >EOY24031 pep chromosome:Theobroma_cacao_20110822:3:29384957:29385691:-1 gene:TCM_015736 transcript:EOY24031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, putative MQSVKEKISNMAGSAKEHMNIGKAKVEEDQMEKAAARTAEEKRLATESKKAKEARAKMELQQDKVRHAKERLRSKQPQYLHGYGYDHAPPVSGTHGHQTVGSAAPVGGTNVPTYPLVGHPQPHGHKMG >EOY25337 pep chromosome:Theobroma_cacao_20110822:3:33965751:33970003:1 gene:TCM_016682 transcript:EOY25337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT3G45890) TAIR;Acc:AT3G45890] MACACGYCCRPLFLSSPFAFPSPSTPSSYAPPTTPFLFSHLAICASFKPVIAAATTKSLPFPLLSHGHGGGCDGNNNNNNDGPFGSDSWRWNDDSSSSHSHPFLLFLSSFVACFCPSQLSSALARTNEDSQEDDVVWEVKGSKWTKLIPDFSEDAFVASNGIVNLTKSLSLSTVWRQCRDIVMRLLLPEGFPDSVTSDYLDYSLWRGVQGVASQISGVLATQALLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIMLSKYGRHFDVNPKGWRLFADLLENAAFGLEMLTPAFPHLFVPIGAAAGAGRSAAALIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKSIGIVLGIALANCVGSSTSLALASFGVVTWVHMYCNLKSYQSIQLRTLNSYRASLVFSEYLLSGQAPSIKEVNDEEPLFPAVPFLNLLSANRERSVVLSSEAKQAAADIERRLQLGSKLSDIVNNKEDALALFSLYKDEGYILTEHEGKFCSLFQVNYLYWLERNAGIEASGASTDCRPGGRLQISVEYVQREFNHVKIDSESVGWVTDGLIARPLPNRIRPGHRDASTAS >EOY25339 pep chromosome:Theobroma_cacao_20110822:3:33965974:33970085:1 gene:TCM_016682 transcript:EOY25339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT3G45890) TAIR;Acc:AT3G45890] MACACGYCCRPLFLSSPFAFPSPSTPSSYAPPTTPFLFSHLAICASFKPVIAAATTKSLPFPLLSHGHGGGCDGNNNNNNDGPFGSDSWRWNDDSSSSHSHPFLLFLSSFVACFCPSQLSSALARTNEDSQEDDVVWEVKGSKWTKLIPDFSEDAFVASNGIVNLTKSLSLSTVWRQCRDIVMRLLLPEGFPDSVTSDYLDYSLWRGVQGVASQISGVLATQALLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIMLSKYGRHFDVNPKGWRLFADLLENAAFGLEMLTPAFPHLFVPIGAAAGAGRSAAALIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKSIGIVLGIALANCVGSSTSLALASFGVVTWVHMYCNLKSYQSIQLRTLNSYRASLVFSEYLLSGQAPSIKEVNDEEPLFPAVPFLNLLSANRGSA >EOY25338 pep chromosome:Theobroma_cacao_20110822:3:33965751:33970003:1 gene:TCM_016682 transcript:EOY25338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT3G45890) TAIR;Acc:AT3G45890] MACACGYCCRPLFLSSPFAFPSPSTPSSYAPPTTPFLFSHLAICASFKPVIAAATTKSLPFPLLSHGHGGGCDGNNNNNNDGPFGSDSWRWNDDSSSSHSHPFLLFLSSFVACFCPSQLSSALARTNEDSQEDDVVWEVKGSKWTKLIPDFSEDAFVASNGIVNLTKSLSLSTVWRQCRDIVMRLLLPEGFPDSVTSDYLDYSLWRGVQGVASQISGVLATQALLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIMLSKYGRHFDVNPKGWRLFADLLENAAFGLEMLTPAFPHLFVPIGAAAGAGRSAAALIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKSIGIVLGIALANCVGSSTSLALASFGVVTWVHMYCNLKSYQSIQLRTLNSYRASLVFSEYLLSGQAPSIKEVNDEEPLFPAVPFLNLLSANRERSVVLSSEAKQAAADIERRLQLGSKLSDIVNNKEDALALFSLYKDEGYILTEHEGKFCVNYLYWLERNAGIEASGASTDCRPGGRLQISVEYVQREFNHVKIDSESVGWVTDGLIARPLPNRIRPGHRDASTAS >EOY25340 pep chromosome:Theobroma_cacao_20110822:3:33965751:33969335:1 gene:TCM_016682 transcript:EOY25340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT3G45890) TAIR;Acc:AT3G45890] MACACGYCCRPLFLSSPFAFPSPSTPSSYAPPTTPFLFSHLAICASFKPVIAAATTKSLPFPLLSHGHGGGCDGNNNNNNDGPFGSDSWRWNDDSSSSHSHPFLLFLSSFVACFCPSQLSSALARTNEDSQEDDVVWEVKGSKWTKLIPDFSEDAFVASNGIVNLTKSLSLSTVWRQCRDIVMRLLLPEGFPDSVTSDYLDYSLWRGVQGVASQISGVLATQALLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIMLSKYGRHFDVNPKGWRLFADLLENAAFGLEMLTPAFPHLFVPIGAAAGAGRSAAALIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKSIGIVLGIALANCVGSSTSLALASFGVVTWVHMYCNLKSYQSIQLRTLNSYRASLVFSEYLLSGQAPSIKEVNDEEPLFPAVPFLNLLSANRAEHEGKFCVSALLLWILVSIPSLSQTHRLHPLLILGRT >EOY25341 pep chromosome:Theobroma_cacao_20110822:3:33966002:33968692:1 gene:TCM_016682 transcript:EOY25341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT3G45890) TAIR;Acc:AT3G45890] MACACGYCCRPLFLSSPFAFPSPSTPSSYAPPTTPFLFSHLAICASFKPVIAAATTKSLPFPLLSHGHGGGCDGNNNNNNDGPFGSDSWRWNDDSSSSHSHPFLLFLSSFVACFCPSQLSSALARTNEDSQEDDVVWEVKGSKWTKLIPDFSEDAFVASNGIVNLTKSLSLSTVWRQCRDIVMRLLLPEGFPDSVTSDYLDYSLWRGVQGVASQISGVLATQALLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIMLSKYGRHFDVNPKGWRLFADLLENAAFGLEMLTPAFPHLFVPIGAAAGAGRSAAALIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKSIGIVLGIALANCVGSSTSLALASFGVVTWVHMYCNLKSYQSIQLRTLNSYRAMNIS >EOY25336 pep chromosome:Theobroma_cacao_20110822:3:33965540:33970001:1 gene:TCM_016682 transcript:EOY25336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT3G45890) TAIR;Acc:AT3G45890] MACACGYCCRPLFLSSPFAFPSPSTPSSYAPPTTPFLFSHLAICASFKPVIAAATTKSLPFPLLSHGHGGGCDGNNNNNNDGPFGSDSWRWNDDSSSSHSHPFLLFLSSFVACFCPSQLSSALARTNEDSQEDDVVWEVKGSKWTKLIPDFSEDAFVASNGIVNLTKSLSLSTVWRQCRDIVMRLLLPEGFPDSVTSDYLDYSLWRGVQGVASQISGVLATQALLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIMLSKYGRHFDVNPKGWRLFADLLENAAFGLEMLTPAFPHLFVPIGAAAGAGRSAAALIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKSIGIVLGIALANCVGSSTSLALASFGVVTWVHMYCNLKSYQSIQLRTLNSYRASLVFSEYLLSGQAPSIKEVNDEEPLFPAVPFLNLLSANRERSVVLSSEAKQAAADIERRLQLGSKLSDIVNNKEDALALFSLYKDEGYILTEHEGKFCVVLKESSLPQDMLKSLFQVNYLYWLERNAGIEASGASTDCRPGGRLQISVEYVQREFNHVKIDSESVGWVTDGLIARPLPNRIRPGHRDASTAS >EOY25335 pep chromosome:Theobroma_cacao_20110822:3:33965751:33970003:1 gene:TCM_016682 transcript:EOY25335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT3G45890) TAIR;Acc:AT3G45890] MACACGYCCRPLFLSSPFAFPSPSTPSSYAPPTTPFLFSHLAICASFKPVIAAATTKSLPFPLLSHGHGGGCDGNNNNNNDGPFGSDSWRWNDDSSSSHSHPFLLFLSSFVACFCPSQLSSALARTNEDSQEDDVVWEVKGSKWTKLIPDFSEDAFVASNGIVNLTKSLSLSTVWRQCRDIVMRLLLPEGFPDSVTSDYLDYSLWRGVQGVASQISGVLATQALLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIMLSKYGRHFDVNPKGWRLFADLLENAAFGLEMLTPAFPHLFVPIGAAAGAGRSAAALIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKSIGIVLGIALANCVGSSTSLALASFGVVTWVHMYCNLKSYQSIQLRTLNSYRASLVFSEYLLSGQAPSIKEVNDEEPLFPAVPFLNLLSANRERSVVLSSEAKQAAADIERRLQLGSKLSDIVNNKEDALALFSLYKDEGYILTEHEGKFCELKQAVPLPTADPGEGCKYLWSTCKGNSTMLKLTVNQWDG >EOY25334 pep chromosome:Theobroma_cacao_20110822:3:33965751:33970003:1 gene:TCM_016682 transcript:EOY25334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT3G45890) TAIR;Acc:AT3G45890] MACACGYCCRPLFLSSPFAFPSPSTPSSYAPPTTPFLFSHLAICASFKPVIAAATTKSLPFPLLSHGHGGGCDGNNNNNNDGPFGSDSWRWNDDSSSSHSHPFLLFLSSFVACFCPSQLSSALARTNEDSQEDDVVWEVKGSKWTKLIPDFSEDAFVASNGIVNLTKSLSLSTVWRQCRDIVMRLLLPEGFPDSVTSDYLDYSLWRGVQGVASQISGVLATQALLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIMLSKYGRHFDVNPKGWRLFADLLENAAFGLEMLTPAFPHLFVPIGAAAGAGRSAAALIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKSIGIVLGIALANCVGSSTSLALASFGVVTWVHMYCNLKSYQSIQLRTLNSYRASLVFSEYLLSGQAPSIKEVNDEEPLFPAVPFLNLLSANRERSVVLSSEAKQAAADIERRLQLGSKLSDIVNNKEDALALFSLYKDEGYILTEHEGKFCVVLKESSLPQDMLKSLFQVNYLYWLERNAGIEASGASTDCRPGGRLQISVEYVQREFNHVKIDSESVGWVTDGLIARPLPNRIRPGHRDASTAS >EOY21341 pep chromosome:Theobroma_cacao_20110822:3:6470479:6506627:-1 gene:TCM_012841 transcript:EOY21341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MCEYIIEHYKRAIRCCKINQCPFISKMPPKTPFPAFQKTTMEPDPWEALDLDASDLPSLLRPCKRKPRYSPPPSPIKNLQPTPNSPPPSSPCLIPGPAGAVQAAMLRKIQNKSNPVGIGEEPLPTQEYIRRAVEDPGADDDDFSRAPWLFALEFIRREGLADDGGTIGTPLSWIKTEPKMGNRKVAQIVAVIKSCTPNGLGDLMVTLKDPTGTIDASIHRKVLVEGGFGKDISVGTVLILQKVSIFSPSRSVHYLNITLSNVVKAISKDSGPPSQQNYPASTVIPTDHGVENSKQPYIQQKVSTLSQERTEGIMNSLRQTGYMRGRVHNDKGIEGNEALGSSCCINERNRNQNAFIGKGHSVRQDILSGLKKAAVLAGTNEYEENVVLEKQSSPRNLAASGNHVESNQSSGGANLVGVASNQKTVTDNGDKKQGRLPISSGSLPQWTDEQLDELFAFD >EOY21342 pep chromosome:Theobroma_cacao_20110822:3:6472480:6477965:-1 gene:TCM_012841 transcript:EOY21342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEPDPWEALDLDASDLPSLLRPCKRKPRYSPPPSPIKNLQPTPNSPPPSSPCLIPGPAGAVQAAMLRKIQNKSNPVGIGEEPLPTQEYIRRAVEDPGADDDDFSRAPWLFALEFIRREGLADDGGTIGTPLSWIKTEPKMGNRKVAQIVAVIKSCTPNGLGDLMVTLKDPTGTIDASIHRKVLVEGGFGKDISVGTVLILQKVSIFSPSRSVHYLNITLSNVVKAISKDSGPPSQQNYPASTVIPTDHGVVQLIIFFGFFPYSTENSKQPYIQQKVSTLSQERTEGIMNSLRQTGYMRGRVHNDKGIEGNEALGSSCCINERNRNQNAFIGKGHSVRQDILSGLKKAAVLAGTNEYEENVVLEKQSSPRNLAASGNHVESNQSSGGANLVGVASNQKTVTDNGDKKQGRLPISSGSLPQWTDEQLDELFAFD >EOY21343 pep chromosome:Theobroma_cacao_20110822:3:6472528:6477925:-1 gene:TCM_012841 transcript:EOY21343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 EPDPWEALDLDASDLPSLLRPCKRKPRYSPPPSPIKNLQPTPNSPPPSSPCLIPGPAGAVQAAMLRKIQNKSNPVGIGEEPLPTQEYIRRAVEDPGADDDDFSRAPWLFALEFIRREEGLADDGGTIGTPLSWIKTEPKMGNRKVAQIVAVIKSCTPNGLGDLMVTLKDPTGTIDASIHRKVLVEGGFGKDISVGTVLILQKVSIFSPSRSVHYLNITLSNVVKAISKDSGPPSQQNYPASTVIPTDHGVENSKQPYIQQKVSTLSQERTEGIMNSLRQTGYMRGRVHNDKGIEGNEALGSSCCINERNRNQNAFIGKGHSVRQDILSGLKKAAVLAGTNEYEENVVLEKQSSPRNLAASGNHVESNQSSGGANLVGVASNQKTVTDNGDKKQGRLPISSGSLPQWTDEQLDELFAFD >EOY22925 pep chromosome:Theobroma_cacao_20110822:3:25330659:25337380:-1 gene:TCM_014953 transcript:EOY22925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MWRRVASLSSLISSSNSSFHGQAACRLTIWESLTTGIAQQAKGVSKEKTPFITFVLGGPGSGKGTQCIKIVETFGFTHLSAGDLLRQEITSNSADGAMILNTIKEGRIVPSEVTVKLIQKEMESNDNHKFLIDGFPRSEENRIAFERIIGAEPNIVLFFDCPEEEMVKRVLNRNQGRVDDNIDTVRKRLKVFEALNLPVINYYSQRGKLYTINAVGTVDEIFEQVLPVFTASELTFKISIPPFLSALLSLTMVALLQPPSFHFVSWTLSCSSKSKKSEKQKQLKRKQIHQSKSTALPFRKSSPTPLLINHKPFTQTKLQALDAVVKDLEASVKNGMNITSEIFSSLLETCYQLKSIDQGIKIHNLVPKTLLRKNTGISSKLLRLYASCGHIESAHQVFDEMSKRNESAFPWNSLISGYAELGQYEDALAIYFQMEEEGVEPDRYTFPRALKACAGIGLIQIGEAVHRDVVRKGFGNDGFVLNALIDMYAKCGDIVKARRVFDNIACKDTVSWNSMLTGYIRHGLLVEALEVFRGMIREGYEPDPVAMSTILSGVWSLKIALQIHGWILRRGNEWNLSVVNALIVVYSNHGKLDRASWLFHRIPEPDVVSWNSIISGHSKRPEALVYFEQMVSGGTLPDSITFVAILSACAHLGFVRDGEQLFSLMRKKYAINPIMEHYACMVNLYGRAGLIDEAFTLIVERMEFEAGPTVWGALLHACSVHGHIDVGEIAAQNLFELEPDNEHNFELLKKIYSNAGRLEDVERVSKMMLDRGL >EOY23826 pep chromosome:Theobroma_cacao_20110822:3:28698628:28699515:1 gene:TCM_015599 transcript:EOY23826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGLCFPCVIVTTTNMNSFLLTLLFDLLARFTFMLEEALVHLGLLNPTEEAHSADPYPTHYVLSMDNRSPSVLPAAPVQVKTTLIKSSALPVVKYGNSIRRSKVEEHGDEDDLICAVCLNYIEKSDEIKELSNCSHVFHRECLDTWINKDQVTCPLCRSTL >EOY21610 pep chromosome:Theobroma_cacao_20110822:3:13995651:13996945:-1 gene:TCM_013531 transcript:EOY21610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELEVVGLIPKKVRDGISKKRKERKRKKGKQMKNILIFHDGEMKMAWEHEGKEENSFWVDKNRPREKKIRVKASFGSFDQANGKITILPSKVFPILIKSSHNL >EOY24024 pep chromosome:Theobroma_cacao_20110822:3:29371267:29376171:-1 gene:TCM_015733 transcript:EOY24024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein isoform 2 MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTSFQVTSGRAKYNAAIDCLVWKIRKFPGQTEPTLSAEVELISTMAEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEVRC >EOY24028 pep chromosome:Theobroma_cacao_20110822:3:29371632:29376343:-1 gene:TCM_015733 transcript:EOY24028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein isoform 2 MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTSFQVTSGRAKYNAAIDCLEVWEKSGYNTVEWVRYITKAGSYEVRC >EOY24026 pep chromosome:Theobroma_cacao_20110822:3:29371267:29375551:-1 gene:TCM_015733 transcript:EOY24026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein isoform 2 SNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTSFQVTSGRAKYNAAIDCLVWKIRKFPGQTEPTLSAEVELISTMAEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVRILMYSECICDSFR >EOY24027 pep chromosome:Theobroma_cacao_20110822:3:29372179:29376343:-1 gene:TCM_015733 transcript:EOY24027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein isoform 2 MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTSFQVTSGRAKYNAAIDCLVWK >EOY24025 pep chromosome:Theobroma_cacao_20110822:3:29371267:29376171:-1 gene:TCM_015733 transcript:EOY24025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein isoform 2 MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTSFQVTSGRAKYNAAIDCLVWKIRKFPGQTEPTLSAEVELISTMAEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEVRC >EOY20838 pep chromosome:Theobroma_cacao_20110822:3:1456338:1460100:1 gene:TCM_012179 transcript:EOY20838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 6 isoform 1 MEDSAGGASLPSGPDAKKRRVTYFYEPTIGDYYYGQGHPMKPHRIRMAHNLIVHYSLHRRMEINRPFPAGPADIRRFHTDEYVDFLNSVSPESISDPTYSRHLKRFNVGEDCPVFDGLFGFCQASAGGSIGAAVKLNRGDADIAINWAGGLHHAKKSEASGFCYVNDIVLGILELLKVHRRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIRDVGVGNGKHYALNVPLNDGMDDESFRGLFQPIIQKVMEVYQPDAVVLQCGADSLSGDRLGCFNLSVKGHADCLRFLRSFNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNEYYEYFGPDYTLHVAPGNMENLNAPRDMEKIRNTLLEQLSKLSHAPSVPFQTTPSTIQAPEEAEEDMDKRPKPRIWNGDDYESDPEDDEKTLCRFSSSDAKQTTTDAEMRDVPEDLKEVQAEGQPTS >EOY20839 pep chromosome:Theobroma_cacao_20110822:3:1456862:1459286:1 gene:TCM_012179 transcript:EOY20839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 6 isoform 1 MEDSAGGASLPSGPDAKKRRVTYFYEPTIGDYYYGQGHPMKPHRIRMAHNLIVHYSLHRRMEINRPFPAGPADIRRFHTDEYVDFLNSVSPESISDPTYSRHLKRFNVGEDCPVFDGLFGFCQASAGGSIGAAVKLNRGDADIAINWAGGLHHAKKSEASGFCYVNDIVLGILELLKVHRRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIRDVGVGNGKHYALNVPLNDGMDDESFRGLFQPIIQKVMEVYQPDAVVLQCGADSLSGDRLGCFNLSVKGHADCLRFLRSFNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNEYYEYFGPDYTLHVAPGNMENLNAPRDMEKIRNTLLEQLSKLSHAPSVPFQTTPSTIQAPEEKRIWINGQNLAYGMVTIMSQILKMMRKLYADSQVLMQNRLQQMLK >EOY20605 pep chromosome:Theobroma_cacao_20110822:3:306214:306912:1 gene:TCM_011981 transcript:EOY20605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFILYLFIILLKGSPQFIMDWDVTDKQFINKFLTGQHWSPPEFTFSTVGRYISFSKAISCSICIRNLSINLRTTSFCENQKEVSDLQSCQVRKSSPSIQNNKPNILRKLKLHIKYNSPLSTSKVLSLLSTNSQVVH >EOY23241 pep chromosome:Theobroma_cacao_20110822:3:26748803:26753317:-1 gene:TCM_015202 transcript:EOY23241 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-like protein 21 isoform 1 MSEGVMAVVKPEMKSYIWLQTTDGSIQQVEEEVAMFCPMICREILQTGMGSSKNYAISLPQRVNPAILGLILDYCRFHQVPGHSNKERKTFDEKFVRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELKEREKLKNVEVEEERVDERSVDDLLSFINGGDQDSKGVRTTKNKKKNRRRKEQLKHSSDSVDVNYNKELDAAPAACNQGNIDNILVPTRSKTSKLQDSSAVIFSPKMEFDDADIDDDIDPAMKEELDREVEDFARRLNSDWPERMQEILSLGHERRLVPMSINGEGPMSRFSKWTAA >EOY23238 pep chromosome:Theobroma_cacao_20110822:3:26748605:26752773:-1 gene:TCM_015202 transcript:EOY23238 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-like protein 21 isoform 1 MSEGVMAVVKPEMKSYIWLQTTDGSIQQVEEEVAMFCPMICREILQTGMGSSKNYAISLPQRVNPAILGLILDYCRFHQVPGHSNKERKTFDEKFVRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELKEREKLKNVEVEEERVDERSVDDLLSFINGGDQDSKGVRTTKNKKKNRRRKEQLKHSSDSVDVNYNKELDAAPAACNQGNIDNILVPTRSKTSKLQDSSAVIFSPKMEFDDADIDDDIDPAMKEELDREVEDFARRLNSDWPERMQEILSLGHERRLVPMSINGEGPMSRFSKWTAA >EOY23242 pep chromosome:Theobroma_cacao_20110822:3:26748885:26752773:-1 gene:TCM_015202 transcript:EOY23242 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-like protein 21 isoform 1 MSEGVMAVVKPEMKSYIWLQTTDGSIQQVEEEVAMFCPMICREILQTGMGSSKNYAISLPQRVNPAILGLILDYCRFHQVPGHSNKERKTFDEKFVRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELKEREKLKNVEVEEERVDERSVDDLLSFINGGDQDSKGVRTTKNKKKNRRRKEQLKHSSDSVDVNYNKELDAAPAACNQGNIDNILVPTRSKTSKLQDSSAVIFSPKMEFDDADIDDDIDPAMKEELDRFVGCLSCF >EOY23240 pep chromosome:Theobroma_cacao_20110822:3:26750008:26752292:-1 gene:TCM_015202 transcript:EOY23240 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-like protein 21 isoform 1 MSEGVMAVVKPEMKSYIWLQTTDGSIQQVEEEVAMFCPMICREILQTGMGSSKNYAISLPQRVNPAILGLILDYCRFHQVPGHSNKERKTFDEKFVRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELKEREKLKNVEVEEERVDERSVDDLLSFINGGDQDSKGVRTTKNKKKNRRRKEQLKHSSDSVDVNYNKELDAAPAACNQGNIDNILVPTRSKTSKLQDSSAVIFSPKMEFDDADIDDDIDPAMKEELDRFVGCLSCF >EOY23237 pep chromosome:Theobroma_cacao_20110822:3:26748569:26753318:-1 gene:TCM_015202 transcript:EOY23237 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-like protein 21 isoform 1 MSEGVMAVVKPEMKSYIWLQTTDGSIQQVEEEVAMFCPMICREILQTGMGSSKNYAISLPQRVNPAILGLILDYCRFHQVPGHSNKERKTFDEKFVRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELKEREKLKNVEVEEERVDERSVDDLLSFINGGDQDSKGVRTTKNKKKNRRRKEQLKHSSDSVDVNYNKELDAAPAACNQGNIDNILVPTRSKTSKLQDSSAVIFSPKMEFDDADIDDDIDPAMKEELDREVEDFARRLNSDWPERMQEILSLGHERRLVPMSINGEGPMSRFSKWTAA >EOY23239 pep chromosome:Theobroma_cacao_20110822:3:26748569:26752773:-1 gene:TCM_015202 transcript:EOY23239 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-like protein 21 isoform 1 MSEGVMAVVKPEMKSYIWLQTTDGSIQQVEEEVAMFCPMICREILQTGMGSSKNYAISLPQRVNPAILGLILDYCRFHQVPGHSNKERKTFDEKFVRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELKEREKLKNVEVEEERVDERSVDDLLSFINGGDQDSKGVRTTKNKKKNRRRKEQLKHSSDSVDVNYNKELDAAPAACNQGNIDNILVPTRSKTSKLQDSSAVIFSPKMEFDDADIDDDIDPAMKEELDREVEDFARRLNSDWPERMQEILSLGHERRLVPMSINGEGPMSRFSKWTAA >EOY23243 pep chromosome:Theobroma_cacao_20110822:3:26749554:26753318:-1 gene:TCM_015202 transcript:EOY23243 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1-like protein 21 isoform 1 MSEGVMAVVKPEMKSYIWLQTTDGSIQQVEEEVAMFCPMICREILQTGMGSSKNYAISLPQRVNPAILGLILDYCRFHQVPGHSNKERKTFDEKFVRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELKEREKLKNVEVEEERVDERSVDDLLSFINGGDQDSKGVRTTKNKKKNRRRKEQLKHSSDSVDVNYNKELDAAPAACNQGNIDNILVPTRSKTSKLQDSSAVIFSPKMEFDDADIDDDIDPAMKEELDRFVGCLSCF >EOY25434 pep chromosome:Theobroma_cacao_20110822:3:34259753:34265857:-1 gene:TCM_016744 transcript:EOY25434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute:sodium symporters,urea transmembrane transporters MTTRCPPFEFSATYYHIQEAVGCVRQSSFFEGKAVLNQGLGYAVILGFGAFFAIFTSFLVWLEKRYVGSHHTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWEYGISGPFWYASGATIQVLLFGVMAIEIKRKAPHAHTVCEIVKARWGKAAHIVFLAFCLLTNIIVTAMLLLGGSAVVNALTGVNIYAASFLIPLGVIVYTLAGGLKATFLASYIHSVFVHVALVIFVYLVYTASDKLGSPSIVYDRLREIASKSRICHEPISHNGQSCGPISGNYKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWVSAIAARPSSTHRGYLLGGLVWFAVPFSLATSLGLGALALDLPLTEDEASHGLVPPATAIALMGKGGSLLLLMMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPDASGKKILSVSRAVVLGFGCFMGLFAVILNKAGVSLGWMYLAMGVLIGSAVLPIAFMLLWRKANAMGAILGTIVGCIFGIITWLSVAAVEYGRVNHDTSGRNAPMLAGNLVSILTGGAIHAVCSLLWPHNYNWDTTRQITIVEKEESDLPAEEFKEEKLKKAKSWIMKWGVGFTIVIVILWPLLSLPAGEFSVGYFTFWAVIAIAWGTIGSAVIIALPLIESWETIKSVYVGMFTNDRLMEKVEEMNFKLNSIMLAIPEAEKSYLLEKEKAK >EOY24942 pep chromosome:Theobroma_cacao_20110822:3:32745745:32753656:-1 gene:TCM_016403 transcript:EOY24942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin ligase protein cop1, putative isoform 1 MQQKRNILLNEVCIYSMDGGLSDEVAPIDAAEGTHLQGKEVEYLMKPDNCNMLESREMVIPDEVNTIESSFHVLGNMLEGKKVNRSIGPVNVSEHGCSSPRTIDDANDMVEELTVRNYNGSNLPMVGTSNNRERMQMRQNHWQHFYQLVGGSGSGGSCGNRDNSQAMPSMSQDVGYASFPEFLGQKPLSDGRNEATEQLMSGDIIEVSGSQLSHGGIKTKILSKSGFSEFFVKTTLKGKGVICRGPSHDASRVEPRDQNNTKSTEGTMVAPTAPLKAAGSPVVASNTSLILVNKAVMTSSSYGIMGPRVGECDRDGMNLREWLKAQCHKAKKSECLYIFKQIVDLVDYSHSQGVILHDLCPSFFKLLQPKQVKYIGSGVQKGLLDTVLDKDFPPSENFLIRRRPMEQGMISSVGLCAKKQRFNENKNSTRWPLFHSRAGPKIETVNNTQFSHNESSEHCFNTELSNSGSPYASNSAQQQSVSVNEQLEEKWYASPEELNEGVCTISSNIYSLGVLLFEVQEPIMLNLHFCHEIFMMASMSYFLFYKACWLKSGFCLRLLHPEPSLRPTTRDILQSEVINGFQEVIAEELSSSIIQDDTESELLLHFLSLLKEQQQKHASKLMEDISCLEADIEEVERRRCSRKPLTYSSCNVRECRHLGKEPPISEVHSGLYQLSSASEMRLMRNINHLETAYFSMRSRVQFRETDSMTRPDKDLLENRENWHLAQNNEEIPNPTDSLGAFFDGLCKYARYSKFEVCGILRSGEFNNSANVICSLSFDRDEDYFAAAGVSKKIKIFEFNALFNDSVDIHYPVIEMSNKSKLSCVCWNNYIKNYLASTDYDGLVKLWDASTGQAVSHFIEHEKRAWSVDFSRVYPTKLASGSDDCSVKLWSISEKSCLGTIRNIANVCCVQFSAHSTHLLAFGSADYKTYCYDLRNTRAPWCVLGGHDKAVSYVKFLDSETVVTASTDNTLKLWDLNKTSSAGLSLNACSLTFRGHTNEKNFVGLSAADGYIACGSETNEVCAYYRSLPMPITSHKFGSIDPISGKETDDDNGLFVSSVCWRGKSDMVVAANSSGCIKVLQMV >EOY24949 pep chromosome:Theobroma_cacao_20110822:3:32747307:32753656:-1 gene:TCM_016403 transcript:EOY24949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin ligase protein cop1, putative isoform 1 MDGGLSDEVAPIDAAEGTHLQGKEVEYLMKPDNCNMLESREMVIPDEVNTIESSFHVLGNMLEGKKVNRSIGPVNVSEHGCSSPRTIDDANDMVEELTVRNYNGSNLPMVGTSNNRERMQMRQNHWQHFYQLVGGSGSGGSCGNRDNSQAMPSMSQDVGYASFPEFLGQKPLSDGRNEATEQLMSGDIIEVSGSQLSHGGIKTKILSKSGFSEFFVKTTLKGKGVICRGPSHDASRVEPRDQNNTKSTEGTMVAPTAPLKAAGSPVVASNTSLILVNKAVMTSSSYGIMGPRVGECDRDGMNLREWLKAQCHKAKKSECLYIFKQIVDLVDYSHSQGVILHDLCPSFFKLLQPKQVKYIGSGVQKGLLDTVLDKDFPPSENFLIRRRPMEQGMISSVGLCAKKQRFNENKNSTRWPLFHSRAGPKIETVNNTQFSHNESSEHCFNTELSNSGSPYASNSAQQQSVSVNEQLEEKWYASPEELNEGVCTISSNIYSLGVLLFEVQEPIMLNLHFCHEIFMMASMSYFLFYKACWLKSGFCLRLLHPEPSLRPTTRDILQSEVINGFQEVIAEELSSSIIQDDTESELLLHFLSLLKEQQQKHASKLMEDISCLEADIEEVERRRCSRKPLTYSSCNVRECRHLGKEPPISEVHSGLYQLSSASEMRLMRNINHLETAYFSMRSRVQFRETDSMTRPDKDLLENRENWHLAQNNEEIPNPTDSLGAFFDGLCKYARYSKFEVCGILRSGEFNNSANVICSLSFDRDEDYFAAAGVSKKIKIFEFNALFNDSVDIHYPVIEMSNKSKLSCVCWNNYIKNYLASTDYDGLVKVCISCK >EOY24945 pep chromosome:Theobroma_cacao_20110822:3:32745745:32753656:-1 gene:TCM_016403 transcript:EOY24945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin ligase protein cop1, putative isoform 1 MDGGLSDEVAPIDAAEGTHLQGKEVEYLMKPDNCNMLESREMVIPDEVNTIESSFHVLGNMLEGKKVNRSIGPVNVSEHGCSSPRTIDDANDMVEELTVRNYNGSNLPMVGTSNNRERMQMRQNHWQHFYQLVGGSGSGGSCGNRDNSQAMPSMSQDVGYASFPEFLGQKPLSDGRNEATEQLMSGDIIEVSGSQLSHGGIKTKILSKSGFSEFFVKTTLKGKGVICRGPSHDASRVEPRDQNNTKSTEGTMVAPTAPLKAAGSPVVASNTSLILVNKAVMTSSSYGIMGPRVGECDRDGMNLREWLKAQCHKAKKSECLYIFKQIVDLVDYSHSQGVILHDLCPSFFKLLQPKQVKYIGSGVQKGLLDTVLDKDFPPSENFLIRRRPMEQGMISSVGLCAKKQRFNENKNSTRWPLFHSRAGPKIETVNNTQFSHNESSEHCFNTELSNSGSPYASNSAQQQSVSVNEQLEEKWYASPEELNEGVCTISSNIYSLGVLLFEVQEPIMLNLHFCHEIFMMASMSYFLFYKACWLKSGFCLRLLHPEPSLRPTTRDILQSEVINGFQEVIAEELSSSIIQDDTESELLLHFLSLLKEQQQKHASKLMEDISCLEADIEEVERRRCSRKPLTYSSCNVRECRHLGKEPPISEVHSGLYQLSSASEMRLMRNINHLETAYFSMRSRVQFRETDSMTRPDKDLLENRENWHLAQNNEEIPNPTDSLGAFFDGLCKYARYSKFEVCGILRSGEFNNSANVICSLSFDRDEDYFAAAGVSKKIKIFEFNALFNDSVDIHYPVIEMSNKSKLSCVCWNNYIKNYLASTDYDGLVKLWDASTGQAVSHFIEHEKRAWSVDFSRVYPTKLASGSDDCSVKLWSISEKSCLGTIRNIANVCCVQFSAHSTHLLAFGSADYKTYCYDLRNTRAPWCVLGGHDKAVSYVKFLDSETVVTASTDNTLKLWDLNKTSSAGLSLNACSLTFRGHTNEKNFVGLSAADGYIACGSETNEVCAYYRSLPMPITSHKFGSIDPISGKETDDDNGLFVSSVCWRGKSDMVVAANSSGCIKVLQMV >EOY24946 pep chromosome:Theobroma_cacao_20110822:3:32745745:32752817:-1 gene:TCM_016403 transcript:EOY24946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin ligase protein cop1, putative isoform 1 MQQKRNILLNEVCIYSMDGGLSDEVAPIDAAEGTHLQGKEVEYLMKPDNCNMLESREMVIPDEVNTIESSFHVLGNMLEGKKVNRSIGPVNVSEHGCSSPRTIDDANDMVEELTVRNYNGSNLPMVGTSNNRERMQMRQNHWQHFYQLVGGSGSGGSCGNRDNSQAMPSMSQDVGYASFPEFLGQKPLSDGRNEATEQLMSGDIIEVSGSQLSHGGIKTKILSKSGFSEFFVKTTLKGKGVICRGPSHDASRVEPRDQNNTKSTEGTMVAPTAPLKAAGSPVVASNTSLILVNKAVMTSSSYGIMGPRVGECDRDGMNLREWLKAQCHKAKKSECLYIFKQIVDLVDYSHSQGVILHDLCPSFFKLLQPKQVKYIGSGVQKGLLDTVLDKDFPPSENFLIRRRPMEQGMISSVGLCAKKQRFNENKNSTRWPLFHSRAGPKIETVNNTQFSHNESSEHCFNTELSNSGSPYASNSAQQQSVSVNEQLEEKWYASPEELNEGVCTISSNIYSLGVLLFELLGHFESERAHAAAMLDLRHRIFPPTFLSENLKEAGFCLRLLHPEPSLRPTTRDILQSEVINGFQEVIAEELSSSIIQDDTESELLLHFLSLLKEQQQKHASKLMEDISCLEADIEEVERRRCSRKPLTYSSCNVRECRHLGKEPPISEVHSGLYQLSSASEMRLMRNINHLETAYFSMRSRVQFRETDSMTRPDKDLLENRENWHLAQNNEEIPNPTDSLGAFFDGLCKYARYSKFEVCGILRSGEFNNSANVICSLSFDRDEDYFAAAGVSKKIKIFEFNALFNDSVDIHYPVIEMSNKSKLSCVCWNNYIKNYLASTDYDGLVKLWDASTGQAVSHFIEHEKRAWSVDFSRVYPTKLASGSDDCSVKLWSISEKSCLGTIRNIANVCCVQFSAHSTHLLAFGSADYKTYCYDLRNTRAPWCVLGGHDKAVSYVKFLDSETVVTASTDNTLKLWDLNKTSSAGLSLNACSLTFRGHTNEKNFVGLSAADGYIACGSETNEVCAYYRSLPMPITSHKFGSIDPISGKETDDDNGLFVSSVCWRGKSDMVVAANSSGCIKVLQMV >EOY24948 pep chromosome:Theobroma_cacao_20110822:3:32746512:32752674:-1 gene:TCM_016403 transcript:EOY24948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin ligase protein cop1, putative isoform 1 MDGGLSDEVAPIDAAEGTHLQGKEVEYLMKPDNCNMLESREMVIPDEVNTIESSFHVLGNMLEGKKVNRSIGPVNVSEHGCSSPRTIDDANDMVEELTVRNYNGSNLPMVGTSNNRERMQMRQNHWQHFYQLVGGSGSGGSCGNRDNSQAMPSMSQDVGYASFPEFLGQKPLSDGRNEATEQLMSGDIIEVSGSQLSHGGIKTKILSKSGFSEFFVKTTLKGKGVICRGPSHDASRVEPRDQNNTKSTEGTMVAPTAPLKAAGSPVVASNTSLILVNKAVMTSSSYGIMGPRVGECDRDGMNLREWLKAQCHKAKKSECLYIFKQIVDLVDYSHSQGVILHDLCPSFFKLLQPKQVKYIGSGVQKGLLDTVLDKDFPPSENFLIRRRPMEQGMISSVGLCAKKQRFNENKNSTRWPLFHSRAGPKIETVNNTQFSHNESSEHCFNTELSNSGSPYASNSAQQQSVSVNEQLEEKWYASPEELNEGVCTISSNIYSLGVLLFEVQEPIMLNLHFCHEIFMMASMSYFLFYKACWLKSGFCLRLLHPEPSLRPTTRDILQSEVINGFQEVIAEELSSSIIQDDTESELLLHFLSLLKEQQQKHASKLMEDISCLEADIEEVERRRCSRKPLTYSSCNVRECRHLGKEPPISEVHSGLYQLSSASEMRLMRNINHLETAYFSMRSRVQFRETDSMTRPDKDLLENRENWHLAQNNEEIPNPTDSLGAFFDGLCKYARYSKFEVCGILRSGEFNNSANVICSLSFDRDEDYFAAAGVSKKIKIFEFNALFNDSVDIHYPVIEMSNKSKLSCVCWNNYIKNYLASTDYDGLVKLWDASTGQAVSHFIEHEKRAWSVDFSRVYPTKLASGSDDCSVKLWSISEKSCLGTIRNIANVCCVQFSAHSTHLLAFGSADYKTYCYDLRNTRAPWCVLGGHDKAVSYVKFLDSETVVTASTDNTLKLWDLNKTSSAGLSLNACSLTFRGHTNEKVGFCLWQIVFCSYYISTLTRLLSSFVFGLTFHLLLQNFVGLSAADGYIACGSETNEVCAYYRSLPMPITSHKFGSIDPISGKETDDDNGLFVSSVCWRGKSDMVVAANSSGCIKVLQMV >EOY24947 pep chromosome:Theobroma_cacao_20110822:3:32746512:32752674:-1 gene:TCM_016403 transcript:EOY24947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin ligase protein cop1, putative isoform 1 MDGGLSDEVAPIDAAEGTHLQGKEVEYLMKPDNCNMLESREMVIPDEVNTIESSFHVLGNMLEGKKVNRSIGPVNVSEHGCSSPRTIDDANDMVEELTVRNYNGSNLPMVGTSNNRERMQMRQNHWQHFYQLVGGSGSGGSCGNRDNSQAMPSMSQDVGYASFPEFLGQKPLSDGRNEATEQLMSGDIIEVSGSQLSHGGIKTKILSKSGFSEFFVKTTLKGKGVICRGPSHDASRVEPRDQNNTKSTEGTMVAPTAPLKAAGSPVVASNTSLILVNKAVMTSSSYGIMGPRVGECDRDGMNLREWLKAQCHKAKKSECLYIFKQIVDLVDYSHSQGVILHDLCPSFFKLLQPKQVKYIGSGVQKGLLDTVLDKDFPPSENFLIRRRPMEQGMISSVGLCAKKQRFNENKNSTRWPLFHSRAGPKIETVNNTQFSHNESSEHCFNTELSNSGSPYASNSAQQQSVSVNEQLEEKWYASPEELNEGVCTISSNIYSLGVLLFELLGHFESERAHAAAMLDLRHRIFPPTFLSENLKEAGFCLRLLHPEPSLRPTTRDILQSEVINGFQEVIAEELSSSIIQDDTESELLLHFLSLLKEQQQKHASKLMEDISCLEADIEEVERRRCSRKPLTYSSCNVRECRHLGKEPPISEVHSGLYQLSSASEMRLMRNINHLETAYFSMRSRVQFRETDSMTRPDKDLLENRENWHLAQNNEEIPNPTDSLGAFFDGLCKYARYSKFEVCGILRSGEFNNSANVICSLSFDRDEDYFAAAGVSKKIKIFEFNALFNDSVDIHYPVIEMSNKSKLSCVCWNNYIKNYLASTDYDGLVKLWDASTGQAVSHFIEHEKRAWSVDFSRVYPTKLASGSDDCSVKLWSISEKSCLGTIRNIANVCCVQFSAHSTHLLAFGSADYKTYCYDLRNTRAPWCVLGGHDKAVSYVKFLDSETVVTASTDNTLKLWDLNKTSSAGLSLNACSLTFRGHTNEKVGFCLWQIVFCSYYISTLTRLLSSFVFGLTFHLLLQNFVGLSAADGYIACGSETNEVCAYYRSLPMPITSHKFGSIDPISGKETDDDNGLFVSSVCWRGKSDMVVAANSSGCIKVLQMV >EOY24944 pep chromosome:Theobroma_cacao_20110822:3:32746512:32752674:-1 gene:TCM_016403 transcript:EOY24944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin ligase protein cop1, putative isoform 1 MDGGLSDEVAPIDAAEGTHLQGKEVEYLMKPDNCNMLESREMVIPDEVNTIESSFHVLGNMLEGKKVNRSIGPVNVSEHGCSSPRTIDDANDMVEELTVRNYNGSNLPMVGTSNNRERMQMRQNHWQHFYQLVGGSGSGGSCGNRDNSQAMPSMSQDVGYASFPEFLGQKPLSDGRNEATEQLMSGDIIEVSGSQLSHGGIKTKILSKSGFSEFFVKTTLKGKGVICRGPSHDASRVEPRDQNNTKSTEGTMVAPTAPLKAAGSPVVASNTSLILVNKAVMTSSSYGIMGPRVGECDRDGMNLREWLKAQCHKAKKSECLYIFKQIVDLVDYSHSQGVILHDLCPSFFKLLQPKQVKYIGSGVQKGLLDTVLDKDFPPSENFLIRRRPMEQGMISSVGLCAKKQRFNENKNSTRWPLFHSRAGPKIETVNNTQFSHNESSEHCFNTELSNSGSPYASNSAQQQSVSVNEQLEEKWYASPEELNEGVCTISSNIYSLGVLLFELLGHFESERAHAAAMLDLRHRIFPPTFLSENLKEAGFCLRLLHPEPSLRPTTRDILQSEVINGFQEVIAEELSSSIIQDDTESELLLHFLSLLKEQQQKHASKLMEDISCLEADIEEVERRRCSRKPLTYSSCNVRECRHLGKEPPISEVHSGLYQLSSASEMRLMRNINHLETAYFSMRSRVQFRETDSMTRPDKDLLENRENWHLAQNNEEIPNPTDSLGAFFDGLCKYARYSKFEVCGILRSGEFNNSANVICSLSFDRDEDYFAAAGVSKKIKIFEFNALFNDSVDIHYPVIEMSNKSKLSCVCWNNYIKNYLASTDYDGLVKLWDASTGQAVSHFIEHEKRAWSVDFSRVYPTKLASGSDDCSVKLWSISEKSCLGTIRNIANVCCVQFSAHSTHLLAFGSADYKTYCYDLRNTRAPWCVLGGHDKAVSYVKFLDSETVVTASTDNTLKLWDLNKTSSAGLSLNACSLTFRGHTNEKNFVGLSAADGYIACGSETNEVCAYYRSLPMPITSHKFGSIDPISGKETDDDNGLFVSSVCWRGKSDMVVAANSSGCIKVLQMV >EOY24943 pep chromosome:Theobroma_cacao_20110822:3:32745745:32753656:-1 gene:TCM_016403 transcript:EOY24943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin ligase protein cop1, putative isoform 1 MDGGLSDEVAPIDAAEGTHLQGKEVEYLMKPDNCNMLESREMVIPDEVNTIESSFHVLGNMLEGKKVNRSIGPVNVSEHGCSSPRTIDDANDMVEELTVRNYNGSNLPMVGTSNNRERMQMRQNHWQHFYQLVGGSGSGGSCGNRDNSQAMPSMSQDVGYASFPEFLGQKPLSDGRNEATEQLMSGDIIEVSGSQLSHGGIKTKILSKSGFSEFFVKTTLKGKGVICRGPSHDASRVEPRDQNNTKSTEGTMVAPTAPLKAAGSPVVASNTSLILVNKAVMTSSSYGIMGPRVGECDRDGMNLREWLKAQCHKAKKSECLYIFKQIVDLVDYSHSQGVILHDLCPSFFKLLQPKQVKYIGSGVQKGLLDTVLDKDFPPSENFLIRRRPMEQGMISSVGLCAKKQRFNENKNSTRWPLFHSRAGPKIETVNNTQFSHNESSEHCFNTELSNSGSPYASNSAQQQSVSVNEQLEEKWYASPEELNEGVCTISSNIYSLGVLLFELLGHFESERAHAAAMLDLRHRIFPPTFLSENLKEAGFCLRLLHPEPSLRPTTRDILQSEVINGFQEVIAEELSSSIIQDDTESELLLHFLSLLKEQQQKHASKLMEDISCLEADIEEVERRRCSRKPLTYSSCNVRECRHLGKEPPISEVHSGLYQLSSASEMRLMRNINHLETAYFSMRSRVQFRETDSMTRPDKDLLENRENWHLAQNNEEIPNPTDSLGAFFDGLCKYARYSKFEVCGILRSGEFNNSANVICSLSFDRDEDYFAAAGVSKKIKIFEFNALFNDSVDIHYPVIEMSNKSKLSCVCWNNYIKNYLASTDYDGLVKLWDASTGQAVSHFIEHEKRAWSVDFSRVYPTKLASGSDDCSVKLWSISEKSCLGTIRNIANVCCVQFSAHSTHLLAFGSADYKTYCYDLRNTRAPWCVLGGHDKAVSYVKFLDSETVVTASTDNTLKLWDLNKTSSAGLSLNACSLTFRGHTNEKNFVGLSAADGYIACGSETNEVCAYYRSLPMPITSHKFGSIDPISGKETDDDNGLFVSSVCWRGKSDMVVAANSSGCIKVLQMV >EOY24941 pep chromosome:Theobroma_cacao_20110822:3:32745759:32754079:-1 gene:TCM_016403 transcript:EOY24941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin ligase protein cop1, putative isoform 1 MDGGLSDEVAPIDAAEGTHLQGKEVEYLMKPDNCNMLESREMVIPDEVNTIESSFHVLGNMLEGKKVNRSIGPVNVSEHGCSSPRTIDDANDMVEELTVRNYNGSNLPMVGTSNNRERMQMRQNHWQHFYQLVGGSGSGGSCGNRDNSQAMPSMSQDVGYASFPEFLGQKPLSDGRNEATEQLMSGDIIEVSGSQLSHGGIKTKILSKSGFSEFFVKTTLKGKGVICRGPSHDASRVEPRDQNNTKSTEGTMVAPTAPLKAAGSPVVASNTSLILVNKAVMTSSSYGIMGPRVGECDRDGMNLREWLKAQCHKAKKSECLYIFKQIVDLVDYSHSQGVILHDLCPSFFKLLQPKQVKYIGSGVQKGLLDTVLDKDFPPSENFLIRRRPMEQGMISSVGLCAKKQRFNENKNSTRWPLFHSRAGPKIETVNNTQFSHNESSEHCFNTELSNSGSPYASNSAQQQSVSVNEQLEEKWYASPEELNEGVCTISSNIYSLGVLLFELLGHFESERAHAAAMLDLRHRIFPPTFLSENLKEAGFCLRLLHPEPSLRPTTRDILQSEVINGFQEVIAEELSSSIIQDDTESELLLHFLSLLKEQQQKHASKLMEDISCLEADIEEVERRRCSRKPLTYSSCNVRECRHLGKEPPISEVHSGLYQLSSASEMRLMRNINHLETAYFSMRSRVQFRETDSMTRPDKDLLENRENWHLAQNNEEIPNPTDSLGAFFDGLCKYARYSKFEVCGILRSGEFNNSANVICSLSFDRDEDYFAAAGVSKKIKIFEFNALFNDSVDIHYPVIEMSNKSKLSCVCWNNYIKNYLASTDYDGLVKLWDASTGQAVSHFIEHEKRAWSVDFSRVYPTKLASGSDDCSVKLWSISEKSCLGTIRNIANVCCVQFSAHSTHLLAFGSADYKTYCYDLRNTRAPWCVLGGHDKAVSYVKFLDSETVVTASTDNTLKLWDLNKTSSAGLSLNACSLTFRGHTNEKNFVGLSAADGYIACGSETNEVCAYYRSLPMPITSHKFGSIDPISGKETDDDNGLFVSSVCWRGKSDMVVAANSSGCIKVLQMV >EOY21592 pep chromosome:Theobroma_cacao_20110822:3:13339094:13346676:-1 gene:TCM_013468 transcript:EOY21592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute 1B isoform 4 MGQLVKLYRESHLGKRLPAYDGRKSLYTAGPLPFVSKEFKITLIDEDDGSGVPREREFRVVIKLAARADLHHLGLFLQGKQADAPQEALQVLDIVLRELPTTRYCPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDDRGTMKSVVEYFYETYGFIIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPQEREYDIMKTVHHNAYHEDPYAKEFGIKISEKLASVEARILPAPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGTVNNWICINFSRQVQDSVARGFCYELAQMCYISGMAFTPEPVLPPISARPEQVEKVLKTRYHDAMTKLQPQNKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVYKMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIKELLISFRRATGQKPQRIIFYRHFKDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDRNAVDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQ >EOY21591 pep chromosome:Theobroma_cacao_20110822:3:13337070:13349477:-1 gene:TCM_013468 transcript:EOY21591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute 1B isoform 4 MVRKKKSDAASAGGESSQSQDTGVGSGRGLPRHPQQQGGGGGGGYQAGRGWAPQSQQAGRGGYGGGGGGGGRGRGVSQQQFAGGPPEYQGRGRGGPSQQGGRGGYGSGRGGGSRGGGSFPGGSSRPPVPELHQATLSFQAAVTPQPAPSEAGSSSGPHDYAPLVQQVQQLSIQQETSQAVQPVPPSSKSVRFPLRPGKGCTGIKCIVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMGQLVKLYRESHLGKRLPAYDGRKSLYTAGPLPFVSKEFKITLIDEDDGSGVPRREREFRVVIKLAARADLHHLGLFLQGKQADAPQEALQVLDIVLRELPTTRYCPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDDRGTMKSVVEYFYETYGFIIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPQEREYDIMKTVHHNAYHEDPYAKEFGIKISEKLASVEARILPAPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGTVNNWICINFSRQVQDSVARGFCYELAQMCYISGMAFTPEPVLPPISARPEQVEKVLKTRYHDAMTKLQPQNKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVYKMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDRNAVDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTSGTAAGRGGVGGARSTRGPGASAAVRPLPALKENVKRVMFYC >EOY21589 pep chromosome:Theobroma_cacao_20110822:3:13337070:13346674:-1 gene:TCM_013468 transcript:EOY21589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute 1B isoform 4 MVRKKKSDAASAGGESSQSQDTGVGSGRGLPRHPQQQGGGGGGGYQAGRGWAPQSQQAGRGGYGGGGGGGGRGRGVSQQQFAGGPPEYQGRGRGGPSQQGGRGGYGSGRGGGSRGGGSFPGGSSRPPVPELHQATLSFQAAVTPQPAPSEAGSSSGPHDYAPLVQQVQQLSIQQETSQAVQPVPPSSKSVRFPLRPGKGCTGIKCIVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMGQLVKLYRESHLGKRLPAYDGRKSLYTAGPLPFVSKEFKITLIDEDDGSGVPRREREFRVVIKLAARADLHHLGLFLQGKQADAPQEALQVLDIVLRELPTTRYCPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDDRGTMKSVVEYFYETYGFIIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPQEREYDIMKTVHHNAYHEDPYAKEFGIKISEKLASVEARILPAPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGTVNNWICINFSRQVQDSVARGFCYELAQMCYISGMAFTPEPVLPPISARPEQVEKVLKTRYHDAMTKLQPQNKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVYKMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDRNAVDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTSGTAAGRGGVGGARSTRGPGASAAVRPLPALKENVKRVMFYC >EOY21590 pep chromosome:Theobroma_cacao_20110822:3:13337408:13346674:-1 gene:TCM_013468 transcript:EOY21590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute 1B isoform 4 MVRKKKSDAASAGGESSQSQDTGVGSGRGLPRHPQQQGGGGGGGYQAGRGWAPQSQQAGRGGYGGGGGGGGRGRGVSQQQFAGGPPEYQGRGRGGPSQQGGRGGYGSGRGGGSRGGGSFPGGSSRPPVPELHQATLSFQAAVTPQPAPSEAGSSSGPHDYAPLVQQVQQLSIQQETSQAVQPVPPSSKSVRFPLRPGKGCTGIKCIVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMGQLVKLYRESHLGKRLPAYDGRKSLYTAGPLPFVSKEFKITLIDEDDGSGVPRREREFRVVIKLAARADLHHLGLFLQGKQADAPQEALQVLDIVLRELPTTRYCPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDDRGTMKSVVEYFYETYGFIIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPQEREYDIMKTVHHNAYHEDPYAKEFGIKISEKLASVEARILPAPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGTVNNWICINFSRQVQDSVARGFCYELAQMCYISGMAFTPEPVLPPISARPEQVEKVLKTRYHDAMTKLQPQNKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVYKMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDRNAVDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTSGTAAGRGGVGGARSTRGPGASAAVRPLPALKENVKRVMFYC >EOY21536 pep chromosome:Theobroma_cacao_20110822:3:11145256:11154838:-1 gene:TCM_013275 transcript:EOY21536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase domain-containing protein isoform 4 MTEPSSVDVILDFLRRNRFTRAEAALRSELGNRPDLNGFLQKLNLEEKDSGKVLEEENGKKPAGESHGSGSRNCGEASKELIVKEIECGAGRNGSESKWRNAASTGERSKPNEAKVTSDKGFTFTKSSEDTVLKLQSWNFNPSNGPDLFKNDGFVSSTSFSELEMPDQSRYRTADAPDTDKANVKSGEEIVYSGEMKTTWLGNTSKANVESKYDKIHTSETKELDQQFKTGSAYYKENFADNSTWCRSEEPTSSSSELWKDCSVKTVFPFPKGDVSISYDAATGSEKREGKKKADAIDVRAAIKEQVDEVGRALFFGKSQGSSEQKGISGLAFSLASDNSKEEFPRLPPVKLKSEEKSLNVNWEEKYERDGPVAKLTSADSTFLMGSYLDVPIGQEINSSGGKRTGGGSWLSVSQGIAEDASDLVSGFATVGDGLSESVDYPNEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVPDPQERGQTKDEDDDQSFAEEDSYFSGEQYFQAKNVEPVSASDDPIGLSINEMYGRTHENDLIAQYDGQLMDEEELNLMRAEPVWQGFVTQTNELIMLGDGKVLNEHGRSRLDDICIDDDQHGSVRSIGVGINSDAADIGSEVRESLVGGSSEGDLEYFHDHDVASGGSRQSHQETDRKYIDKSIRDKRKTNKNDSNKYVIGNDKGACPQVKNIADGGFSFPPPLRDGQLVQARSSKPLWSSNCNSAGDEHDDCFNALVGSDDMLATWRRKSSDSSTVKSSRDENNANAARSATSSPSTLSNYGYGEQEQTKKEEDEKISGVREEDPGASLEDEEAAAVQEQMRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVVAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKHHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDVWSLGCILAELCTGNGNWNRRSH >EOY21534 pep chromosome:Theobroma_cacao_20110822:3:11144332:11155079:-1 gene:TCM_013275 transcript:EOY21534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase domain-containing protein isoform 4 MTEPSSVDVILDFLRRNRFTRAEAALRSELGNRPDLNGFLQKLNLEEKDSGKVLEEENGKKPAGESHGSGSRNCGEASKELIVKEIECGAGRNGSESKWRNAASTGERSKPNEAKVTSDKGFTFTKSSEDTVLKLQSWNFNPSNGPDLFKNDGFVSSTSFSELEMPDQSRYRTADAPDTDKANVKSGEEIVYSGEMKTTWLGNTSKANVESKYDKIHTSETKELDQQFKTGSAYYKENFADNSTWCRSEEPTSSSSELWKDCSVKTVFPFPKGDVSISYDAATGSEKREGKKKADAIDVRAAIKEQVDEVGRALFFGKSQGSSEQKGISGLAFSLASDNSKEEFPRLPPVKLKSEEKSLNVNWEEKYERDGPVAKLTSADSTFLMGSYLDVPIGQEINSSGGKRTGGGSWLSVSQGIAEDASDLVSGFATVGDGLSESVDYPNEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVPDPQERGQTKDEDDDQSFAEEDSYFSGEQYFQAKNVEPVSASDDPIGLSINEMYGRTHENDLIAQYDGQLMDEEELNLMRAEPVWQGFVTQTNELIMLGDGKVLNEHGRSRLDDICIDDDQHGSVRSIGVGINSDAADIGSEVRESLVGGSSEGDLEYFHDHDVASGGSRQSHQETDRKYIDKSIRDKRKTNKNDSNKYVIGNDKGACPQVKNIADGGFSFPPPLRDGQLVQARSSKPLWSSNCNSAGDEHDDCFNALVGSDDMLATWRRKSSDSSTVKSSRDENNANAARSATSSPSTLSNYGYGEQEQTKKEEDEKISGVREEDPGASLEDEEAAAVQEQMRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVVAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKHHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDVWSLGCILAELCTGNVLFQNDSPATLLARVIGIVGPIEQDMLAKGRDTYKYFTKNHMLYERNQETNRLEYLIPKKTSLRHRLPMGDQGFIDFVAHLLEVNPKKRPSAAEALKHPWLSYPYEPISA >EOY21535 pep chromosome:Theobroma_cacao_20110822:3:11145093:11153927:-1 gene:TCM_013275 transcript:EOY21535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase domain-containing protein isoform 4 MTEPSSVDVILDFLRRNRFTRAEAALRSELGNRPDLNGFLQKLNLEEKDSGKVLEEENGKKPAGESHGSGSRNCGEASKELIVKEIECGAGRNGSESKWRNAASTGERSKPNEAKVTSDKGFTFTKSSEDTVLKLQSWNFNPSNGPDLFKNDGFVSSTSFSELEMPDQSRYRTADAPDTDKANVKSGEEIVYSGEMKTTWLGNTSKANVESKYDKIHTSETKELDQQFKTGSAYYKENFADNSTWCRSEEPTSSSSELWKDCSVKTVFPFPKGDVSISYDAATGSEKREGKKKADAIDVRAAIKEQVDEVGRALFFGKSQGSSEQKGISGLAFSLASDNSKEEFPRLPPVKLKSEEKSLNVNWEEKYERDGPVAKLTSADSTFLMGSYLDVPIGQEINSSGGKRTGGGSWLSVSQGIAEDASDLVSGFATVGDGLSESVDYPNEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVPDPQERGQTKDEDDDQSFAEEDSYFSGEQYFQAKNVEPVSASDDPIGLSINEMYGRTHENDLIAQYDGQLMDEEELNLMRAEPVWQGFVTQTNELIMLGDGKVLNEHGRSRLDDICIDDDQHGSVRSIGVGINSDAADIGSEVRESLVGGSSEGDLEYFHDHDVASGGSRQSHQETDRKYIDKSIRDKRKTNKNDSNKYVIGNDKGACPQVKNIADGGFSFPPPLRDGQLVQARSSKPLWSSNCNSAGDEHDDCFNALVGSDDMLATWRRKSSDSSTVKSSRDENNANAARSATSSPSTLSNYGYGEQEQTKKEEDEKISGVREEDPGASLEDEEAAAVQEQMRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVVAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKHHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDVWSLGCILAELCTGNVLFQNDSPATLLARVIGIVGPIEQDMLAKGRDTYKYFTKNHMLYERNQETNRLEYLIPKKTSLRHRLPMGDQGFIDFVAHLLEVNPKKRPSAAEALKHPWLSYPYEPISA >EOY21537 pep chromosome:Theobroma_cacao_20110822:3:11144331:11154838:-1 gene:TCM_013275 transcript:EOY21537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase domain-containing protein isoform 4 MTEPSSVDVILDFLRRNRFTRAEAALRSELGNRPDLNGFLQKLNLEEKDSGKVLEEENGKKPAGESHGSGSRNCGEASKELIVKEIECGAGRNGSESKWRNAASTGERSKPNEAKVTSDKGFTFTKSSEDTVLKLQSWNFNPSNGPDLFKNDGFVSSTSFSELEMPDQSRYRTADAPDTDKANVKSGEEIVYSGEMKTTWLGNTSKANVESKYDKIHTSETKELDQQFKTGSAYYKENFADNSTWCRSEEPTSSSSELWKDCSVKTVFPFPKGDVSISYDAATGSEKREGKKKADAIDVRAAIKEQVDEVGRALFFGKSQGSSEQKGISGLAFSLASDNSKEEFPRLPPVKLKSEEKSLNVNWEEKYERDGPVAKLTSADSTFLMGSYLDVPIGQEINSSGGKRTGGGSWLSVSQGIAEDASDLVSGFATVGDGLSESVDYPNEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVPDPQERGQTKDEDDDQSFAEEDSYFSGEQYFQAKNVEPVSASDDPIGLSINEMYGRTHENDLIAQYDGQLMDEEELNLMRAEPVWQGFVTQTNELIMLGDGKVLNEHGRSRLDDICIDDDQHGSVRSIGVGINSDAADIGSEVRESLVGGSSEGDLEYFHDHDVASGGSRQSHQETDRKYIDKSIRDKRKTNKNDSNKYVIGNDKGACPQVKNIADGGFSFPPPLRDGQLVQARSSKPLWSSNCNSAGDEHDDCFNALVGSDDMLATWRRKSSDSSTVKSSRDENNANAARSATSSPSTLSNYGYGEQEQTKKEEDEKISGVREEDPGASLEDEEAAAVQEQMRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVVAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKHHILRLYDYFYYRGIRWRGLLHNAEIAVNYHSVFGGSPVFAWSWPNTL >EOY21538 pep chromosome:Theobroma_cacao_20110822:3:11145514:11155078:-1 gene:TCM_013275 transcript:EOY21538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase domain-containing protein isoform 4 MTEPSSVDVILDFLRRNRFTRAEAALRSELGNRPDLNGFLQKLNLEEKDSGKVLEEENGKKPAGESHGSGSRNCGEASKELIVKEIECGAGRNGSESKWRNAASTGERSKPNEAKVTSDKGFTFTKSSEDTVLKLQSWNFNPSNGPDLFKNDGFVSSTSFSELEMPDQSRYRTADAPDTDKANVKSGEEIVYSGEMKTTWLGNTSKANVESKYDKIHTSETKELDQQFKTGSAYYKENFADNSTWCRSEEPTSSSSELWKDCSVKTVFPFPKGDVSISYDAATGSEKREGKKKADAIDVRAAIKEQVDEVGRALFFGKSQGSSEQKGISGLAFSLASDNSKEEFPRLPPVKLKSEEKSLNVNWEEKYERDGPVAKLTSADSTFLMGSYLDVPIGQEINSSGGKRTGGGSWLSVSQGIAEDASDLVSGFATVGDGLSESVDYPNEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVPDPQERGQTKDEDDDQSFAEEDSYFSGEQYFQAKNVEPVSASDDPIGLSINEMYGRTHENDLIAQYDGQLMDEEELNLMRAEPVWQGFVTQTNELIMLGDGKVLNEHGRSRLDDICIDDDQHGSVRSIGVGINSDAADIGSEVRESLVGGSSEGDLEYFHDHDVASGGSRQSHQETDRKYIDKSIRDKRKTNKNDSNKYVIGNDKGACPQVKNIADGGFSFPPPLRDGQLVQARSSKPLWSSNCNSAGDEHDDCFNALVGSDDMLATWRRKSSDSSTVKSSRDENNANAARSATSSPSTLSNYGYGEQEQTKKEEDEKISGVREEDPGASLEDEEAAAVQEQMRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVVAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKHHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQVSGELFSSEELLISGLLFCNLVLNSSVQSITIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDVWSLGCILAELCTGNVLFQNDSPATLLARVIGIVGPI >EOY23276 pep chromosome:Theobroma_cacao_20110822:3:26884760:26889484:-1 gene:TCM_015227 transcript:EOY23276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Detoxifying efflux carrier 35 isoform 1 METTPLLNGSPPTTLTLLENGDYGPARSFKEVKSVFWIETVKMWKIAGPIGFQIMCQYGTMSVTNIFVGHIGNIELSAVTIALAVIGTFSFGFMLGMGSALETLCGQAFGAGQIHMLGVYMQRSWIILLSSCFIILPFYIFATPLLKLLGQEDEIANLAGKFAILIIPQLFSLAITFPTQKFLQAQSKVNVLAWIGFVTLIFHVGILWLFLFVFDWGTTGAAIAYDITSWVIALAQVAYVIFWSNEGWHGFSWLAFKEIWAFVRLSISSALMLCLEVWYMMSMILLVGHLNNAVIAVGSLSICMNLNGWEAMLFIGINAAMSVRVSNELGLGHPRAAKYSVYVTVLQSLLIGLLCMVAIIITRDHFAVIFTSSEEMQRAVAHLAYLLGVTMVLNSVQPVISGVAIGGGWQTLVAYINLGCYYVFGLPLGFLLGYTANLGVMGLWGGMIAGIGLQTLLLLLVLFRTNWNKEVEQTTERMKKWGGQDISTDKASRCCHDFIQKEAAKLLERIQQEGQAEISTDQGSREQ >EOY23277 pep chromosome:Theobroma_cacao_20110822:3:26885297:26889484:-1 gene:TCM_015227 transcript:EOY23277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Detoxifying efflux carrier 35 isoform 1 METTPLLNGSPPTTLTLLENGDYGPARSFKEVKSVFWIETVKMWKIAGPIGFQIMCQYGTMSVTNIFVGHIGNIELSAVTIALAVIGTFSFGFMENCCCVDFTLSIMLGMGSALETLCGQAFGAGQIHMLGVYMQRSWIILLSSCFIILPFYIFATPLLKLLGQEDEIANLAGKFAILIIPQLFSLAITFPTQKFLQAQSKVNVLAWIGFVTLIFHVGILWLFLFVFDWGTTGAAIAYDITSWVIALAQVAYVIFWSNEGWHGFSWLAFKEIWAFVRLSISSALMLCLEVWYMMSMILLVGHLNNAVIAVGSLSICMNLNGWEAMLFIGINAAMSVRVSNELGLGHPRAAKYSVYVTVLQSLLIGLLCMVAIIITRDHFAVIFTSSEEMQRAVAHLAYLLGVTMVLNSVQPVISGVAIGGGWQTLVAYINLGCYYVFGLPLGFLLGYTANLGVMGLWGGMIAGIGLQTLLLLLVLFRTNWNKEVEQTTERMKKWGGQDISTDKVNDSNI >EOY23279 pep chromosome:Theobroma_cacao_20110822:3:26885655:26889484:-1 gene:TCM_015227 transcript:EOY23279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Detoxifying efflux carrier 35 isoform 1 METTPLLNGSPPTTLTLLENGDYGPARSFKEVKSVFWIETVKMWKIAGPIGFQIMCQYGTMSVTNIFVGHIGNIELSAVTIALAVIGTFSFGFMLGMGSALETLCGQAFGAGQIHMLGVYMQRSWIILLSSCFIILPFYIFATPLLKLLGQEDEIANLAGKFAILIIPQLFSLAITFPTQKFLQAQSKVNVLAWIGFVTLIFHVGILWLFLFVFDWGTTGAAIAYDITSWVIALAQVAYVIFWSNEGWHGFSWLAFKEIWAFVRLSISSALMLCLEVWYMMSMILLVGHLNNAVIAVGSLSICMNLNGWEAMLFIGINAAMSVRVSNELGLGHPRAAKYSVYVTVLQSLLIGLLCMVAIIITRDHFAVIFTSSEEMQRAVAHLAYLLGVTMVLNSVQPVISGVAIGGGWQTLVAYINLGCYYVFGLPLGFLLGYTANLGVMV >EOY23278 pep chromosome:Theobroma_cacao_20110822:3:26885226:26889484:-1 gene:TCM_015227 transcript:EOY23278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Detoxifying efflux carrier 35 isoform 1 METTPLLNGSPPTTLTLLENGDYGPARSFKEVKSVFWIETVKMWKIAGPIGFQIMCQYGTMSVTNIFVGHIGNIELSAVTIALAVIGTFSFGFMLGMGSALETLCGQAFGAGQIHMLGVYMQRSWIILLSSCFIILPFYIFATPLLKLLGQEDEIANLAGKFAILIIPQLFSLAITFPTQKFLQAQSKVNVLAWIGFVTLIFHVGILWLFLFVFDWGTTGAAIAYDITSWVIALAQVAYVIFWSNEGWHGFSWLAFKEIWAFVRLSISSALMLCLEVWYMMSMILLVGHLNNAVIAVGSLSICMNLNGWEAMLFIGINAAMSVRVSNELGLGHPRAAKYSVYVTVLQSLLIGLLCMVAIIITRDHFAVIFTSSEEMQRAVAHLAYLLGVTMVLNSVQPVISGVAIGGGWQTLVAYINLGCYYVFGLPLGFLLGYTANLGVMGLWGGMIAGIGLQTLLLLLVLFRTNWNKEASRCCHDFI >EOY21291 pep chromosome:Theobroma_cacao_20110822:3:5651645:5652296:-1 gene:TCM_012742 transcript:EOY21291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYRFSFNSFAHITTFTARLVQGIAKLFPAYSQGNSLAPFGTTLTKIPLITEMTLVAAVTTVAATAVSIPNMVKQDSNGDPARSSTQRSDLVARSTGSGASRPYRTVGSGTPRLDPVAVKCRSGAVMVRSDLMVL >EOY21419 pep chromosome:Theobroma_cacao_20110822:3:7658253:7664591:-1 gene:TCM_012934 transcript:EOY21419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger domain of monoamine-oxidase A repressor R1 isoform 3 MGRLRVKSDYETLRNARIVENQARLASLGLHKTISELRSIVSSAKSEKTQLRKWQKKDYEITPLRRSNRLKRTPAASTCTSNFLRRSSRLRGSDIGEENGSNSSSGEGEEKRPANAPLVKTYGARHQLSPKDSARRCRRKEGRGCVYNSVFGICCHFCRQKTLCAEEDCKRCGNLDVNQPCIGKTDCSVCHSSNGVLCRACLKLRYGEELEEVRENKEWMCPHCIEEKGINPYWICNSSICLKNRRMAPTGIAIYRALELGYKSVAHLLMDELQRRDPS >EOY21418 pep chromosome:Theobroma_cacao_20110822:3:7661779:7664672:-1 gene:TCM_012934 transcript:EOY21418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger domain of monoamine-oxidase A repressor R1 isoform 3 MGRLRVKSDYETLRNARIVENQARLASLGLHKTISELRSIVSSAKSEKTQLRKWQKKDYEITPLRRSNRLKRTPAASTCTSNFLRRSSRLRGSDIGEENGSNSSSGEGEEKRPANAPLVKTYGARHQLSPKDSARRCRRKEGRGCVYNSVFGICCHFCRQKTLCAEEDCKRCGNLDVNQPCIGKTDCSVCHSSNGVLCRACLKLRYGEELEEVRENKEWMCPHCIEEKGINPYWICNSSICLKNRRMAPTGIAIYRALELGYKSVAHLLMDELQRRDPS >EOY21421 pep chromosome:Theobroma_cacao_20110822:3:7662650:7664672:-1 gene:TCM_012934 transcript:EOY21421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger domain of monoamine-oxidase A repressor R1 isoform 3 MGRLRVKSDYETLRNARIVENQARLASLGLHKTISELRSIVSSAKSEKTQLRKWQKKDYEITPLRRSNRLKRTPAASTCTSNFLRRSSRLRGSDIGEENGSNSSSGEGEEKRPANAPLVKTYGARHQLSPKDSARRCRRKEGRGCVYNSVFGICCHFCRQKTLCAEEDCKRCGNLDVNQPCIGKTDCSVCHSSNGVLCRACLKLRYGEGKISTLDTEQKTDYDFVKKKKCGSGDF >EOY21420 pep chromosome:Theobroma_cacao_20110822:3:7658317:7664234:-1 gene:TCM_012934 transcript:EOY21420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger domain of monoamine-oxidase A repressor R1 isoform 3 KDYEITPLRRSNRLKRTPAASTCTSNFLRRSSRLRGSDIGEENGSNSSSGEGEEKRPANAPLVKTYGARHQLSPKDSARRCRRKEGRGCVYNSVFGICCHFCRQKTLCAEEDCKRCGNLDVNQPCIGKTDCSVCHSSNGVLCRACLKLRYGEELEEVRENKEWMCPHCIEEKGINPYWICNSSICLKNRRMAPTGIAIYRALELGYKSVAHLLMDELQRRDPS >EOY23706 pep chromosome:Theobroma_cacao_20110822:3:28301460:28307036:1 gene:TCM_015513 transcript:EOY23706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 5, putative isoform 2 MGEVVVSSEEVEKVKSDSESEKENGEFQGNKRKKKPGSSASGEVVKWERFLPRMALRVLLVEADDSTRQIIAALLRKCSYRVAAVPDGLKAWEMLKGKPHNIDLILTEVDLPSISGFALLTLIMEHEICKSIPVIMMSSQDSISTVYKCMLRGAADYLVKPIRRNELRNLWQHLIVGGISPQDESVGQKKVEATSENNAASNHSSGCLANFQRTKEQTEKGSDAQSSCTKPDMEAESAHMDNMQEFSRMIQGKSLPSESQKHEAHANFNQKPLVHEMKTGVDDACQDAYITTMYKGVELESQRRDANISVEAGDAFIDSPREAIDFMGTFNRNCNSSSINSTSKFDSSPHLDLSLRRCNLNVFENHATQERPTLWHPNSSAFTRYTSRVSQPLHSTLTSVRDQKKESGTNSEKMLSNVISEYNSDTPSPTLTSQRSMIPLTTGATGKWMQTEVPASCTPRRVFPVQVPVKGIRLNNLCNGHNSVISPIFCPQSSSSPVPSPSSANQQEPAFRVNLFRHSSFETNNSGQLYDQLGSNTNKSTNQPLHKLDQKLDSIEDRGHISPTTDQSANSSFCNGSLSQLNGIAYGSTGASNGNVDQVAVIRAPPESKNDDSFLSPGGNSHRFIQREAALTKFRLKRKDRCYEKKVRYESRKKLAEQRPRVKGQFVRQVQADPMHTEAERHYGNSSDG >EOY23707 pep chromosome:Theobroma_cacao_20110822:3:28301632:28306618:1 gene:TCM_015513 transcript:EOY23707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 5, putative isoform 2 MGEVVVSSEEVEKVKSDSESEKENGEFQGNKRKKKPGSSASGEVVKWERFLPRMALRVLLVEADDSTRQIIAALLRKCSYRVAAVPDGLKAWEMLKGKPHNIDLILTEVDLPSISGFALLTLIMEHEICKSIPVIMMSSQDSISTVYKCMLRGAADYLVKPIRRNELRNLWQHVWRRQSLIVGGISPQDESVGQKKVEATSENNAASNHSSGCLANFQRTKEQTEKGSDAQSSCTKPDMEAESAHMDNMQEFSRMIQGKSLPSESQKHEAHANFNQKPLVHEMKTGVDDACQDAYITTMYKGVELESQRRDANISVEAGDAFIDSPREAIDFMGTFNRNCNSSSINSTSKFDSSPHLDLSLRRCNLNVFENHATQERPTLWHPNSSAFTRYTSRVSQPLHSTLTSVRDQKKESGTNSEKMLSNVISEYNSDTPSPTLTSQRSMIPLTTGATGKWMQTEVPASCTPRRVFPVQVPVKGIRLNNLCNGHNSVISPIFCPQSSSSPVPSPSSANQQEPAFRVNLFRHSSFETNNSGQLYDQLGSNTNKSTNQPLHKLDQKLDSIEDRGHISPTTDQSANSSFCNGSLSQLNGIAYGSTGASNGNVDQVAVIRAPPESKNDDSFLSPGGNSHRFIQREAALTKFRLKRKDRCYEKKVRYESRKKLAEQRPRVKGQFVRQVQADPMHTEAERHYGNSSDG >EOY25436 pep chromosome:Theobroma_cacao_20110822:3:34269146:34273647:-1 gene:TCM_016746 transcript:EOY25436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P protein subunit P38-related isoform 1 MAPPSEGEENEQQTQREEIQKLLSSYLGVSFSVFLAFIPNYSLSLLPKLQTQMRELTARLLTAEEQLRQMKSRRKEDSKANARVVEIFASHRNAWQAEEKQLLQQIEDQRAKIEELERETHESKRRIEELQDMIGFISTTRAAAEEEEMEEESAAENTREDHVNFTGVVNVGHDGNFPFTPDFLASASSKFWAENTTLWQDVQYESLESLYHMKHFVARRESPWKVDGESTGVSSKLKLLEQELSNLEKIGKSDLSRVPSLMRKQAKRYQAFTAKIDDLCRRMQASEPSEPTVSPEFRTQRQTEFLLEAFRLQQRASETGQKLMALQTEVGKSYYRDDLGSPAKLATKRSMDSIRNNLQEIQRNLEIWLARIIGDLEGILARDGSSCVRECCVSRYPFVQ >EOY25437 pep chromosome:Theobroma_cacao_20110822:3:34270762:34273865:-1 gene:TCM_016746 transcript:EOY25437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P protein subunit P38-related isoform 1 MAPPSEGEENEQQTQREEIQKLLSSYLGVSFSVFLAFIPNYSLSLLPKLQTQMRELTARLLTAEEQLRQMKSRRKEDSKANARVVEIFASHRNAWQAEEKQLLQQIEDQRAKIEELERETHESKRRIEELQDMIGFISTTRAAAEEEEMEEESAAENTREDHVNFTGVVNVGHDGNFPFTPDFLASASSKFWAENTTLWQDVQYESLESLYHMKHFVARRESPWKVDGESTGVSSKLKLLEQELSNLEKIGKSDLSRVPSLMRKQAKRYQAFTAKIDDLCRRMKPLEECKIL >EOY24983 pep chromosome:Theobroma_cacao_20110822:3:32854292:32855126:1 gene:TCM_016429 transcript:EOY24983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASVQCCKPAEKSCCHQGHQDHSLSQKVSGMASSIFKGHGSHHSDGQPTECCGQTQGHCPHHTTSHTSQNQCMSQTNGHKTQHDASGTAMACQGKTKKRGEHKKRGLLQKIKDGISGDDSSSSSDSESDDDKCGTKKN >EOY24984 pep chromosome:Theobroma_cacao_20110822:3:32854230:32854932:1 gene:TCM_016429 transcript:EOY24984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASVQCCKPAEKSCCHQGHQDHSLSQKVSGMASSIFKGHGSHHSDGQPTECCGQTQGHCPHHTTSHTSQNQCMSQTNGHKTQHDASGTAMACQGKTKKRGEHKKRGLLQKIKDGISGDDSSSSSDSESDDDKCGTKKASC >EOY22562 pep chromosome:Theobroma_cacao_20110822:3:23920176:23921608:-1 gene:TCM_014697 transcript:EOY22562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANGWISQVSRIKVEPKQKRNKLSRWHEVLYRVLDMAGARNRIHPYYQQWWPVVAPRPPPTATATAPQPPPLLALSHDKDLLVIKLVGLSYSSVLSWLYGEKKTLRSQDESQCCYYGYVFLAFVLLLDMDETNMAKDLKSLV >EOY24544 pep chromosome:Theobroma_cacao_20110822:3:31380193:31383900:-1 gene:TCM_016116 transcript:EOY24544 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport protein isoform 1 MDKGATSSKSFQTHFVDIHEVLPEEEEEDEGVSKMEDRVVKKGLHKPVKLRELKRPQRSFSRQVSLETGFSVLDREAKAKDERKVLQRSGRSFGGFDSATRVGGEARKGDFDIFRTKSTLSKQNSLLPARKERETESQRTEGANGLDESVNNSVPAGRYFAALRGPELDQVKDYEDILLPKDEIWPFLLRFPIGCFGICLGLSSQAVLWRALSTSPATKFLHVTPFINLFLWILALAVLVSVSITYLLKCIYYFEAVKREYFHPVRVNFFFAPWVVCMFLAIGVPPMLAPAKLHPAIWCAFMGPYFFLELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILASKVGWTEAAKFLWSVGFAHYLVVFVTLYQRLPTSEALPKELHPVYSMFIAAPSAASIAWGSIYGEFDGCSRTCFFIALFLYVSLVVRINFFTGFRFSVAWWSYTFPMTTASVATIKYAEQVPSFLSKGLALSLSFMSSAMVSVLLVSTLLHAFVWQTLFPNDLAIAITKIRHVKGKKPFKKAYDIKRWTKQALTKNNSVDKEYNGENERAYELQSGHMQRVDAIWTHAESRS >EOY24543 pep chromosome:Theobroma_cacao_20110822:3:31380891:31383900:-1 gene:TCM_016116 transcript:EOY24543 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport protein isoform 1 MDKGATSSKSFQTHFVDIHEVLPEEEEEDEGVSKMEDRVVKKGLHKPVKLRELKRPQRSFSRQVSLETGFSVLDREAKAKDERKVLQRSGRSFGGFDSATRVGGEARKGDFDIFRTKSTLSKQNSLLPARKERETESQRTEGANGLDESVNNSVPAGRYFAALRGPELDQVKDYEDILLPKDEIWPFLLRFPIGCFGICLGLSSQAVLWRALSTSPATKFLHVTPFINLFLWILALAVLVSVSITYLLKCIYYFEAVKREYFHPVRVNFFFAPWVVCMFLAIGVPPMLAPAKLHPAIWCAFMGPYFFLELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILASKVGWTEAAKFLWSVGFAHYLVVFVTLYQRLPTSEALPKELHPVYSMFIAAPSAASIAWGSIYGEFDGCSRTCFFIALFLYVSLVVRINFFTGFRFSVAWWSYTFPMTTASVATIKYAEQVPSFLSKGLALSLSFMSSAMVSVLLVSTLLHAFVWQTLFPNDLAIAITKIRHVKGKKPFKKAYDIKRWTKQALTKNNSVDKEYNGENERAYELQSGHMQRVDAIWTHAESRS >EOY24541 pep chromosome:Theobroma_cacao_20110822:3:31380755:31383900:-1 gene:TCM_016116 transcript:EOY24541 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport protein isoform 1 MDKGATSSKSFQTHFVDIHEVLPEEEEEDEGVSKMEDRVVKKGLHKPVKLRELKRPQRSFSRQVSLETGFSVLDREAKAKDERKVLQRSGRSFGGFDSATRVGGEARKGDFDIFRTKSTLSKQNSLLPARKERETESQRTEGANGLDESVNNSVPAGRYFAALRGPELDQVKDYEDILLPKDEIWPFLLRFPIGCFGICLGLSSQAVLWRALSTSPATKFLHVTPFINLFLWILALAVLVSVSITYLLKCIYYFEAVKREYFHPVRVNFFFAPWVVCMFLAIGVPPMLAPAKLHPAIWCAFMGPYFFLELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILASKVGWTEAAKFLWSVGFAHYLVVFVTLYQRLPTSEALPKELHPVYSMFIAAPSAASIAWGSIYGEFDGCSRTCFFIALFLYVSLVVRINFFTGFRFSVAWWSYTFPMTTASVATIKYAEQVPSFLSKGLALSLSFMSSAMVSVLLVSTLLHAFVWQTLFPNDLAIAITKIRHVKGKKPFKKAYDIKRWTKQALTKNNSVDKEYNGENERAYELQSGHMQRVDAIWTHAESRS >EOY24542 pep chromosome:Theobroma_cacao_20110822:3:31381694:31384393:-1 gene:TCM_016116 transcript:EOY24542 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport protein isoform 1 MDKGATSSKSFQTHFVDIHEVLPEEEEEDEGVSKMEDRVVKKGLHKPVKLRELKRPQRSFSRQVSLETGFSVLDREAKAKDERKVLQRSGRSFGGFDSATRVGGEARKGDFDIFRTKSTLSKQNSLLPARKERETESQRTEGANGLDESVNNSVPAGRYFAALRGPELDQVKDYEDILLPKDEIWPFLLRFPIGCFGICLGLSSQAVLWRALSTSPATKFLHVTPFINLFLWILALAVLVSVSITYLLKCIYYFEAVKREYFHPVRVNFFFAPWVVCMFLAIGVPPMLAPAKLHPAIWCAFMGPYFFLELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILASKVGWTEAAKFLWSVGFAHYLVVFVTLYQRLPTSEALPKELHPVYSMFIAAPSAASIAWGSIYGEFDGCSRTCFFIALFLYVSLVVRINFFTGFRFSVAWWSYTFPMTTASVATIKYAEQVPSFLSKGLALSLSFMSSAMVSVLLVSTLLHAFVWQTLFPNDLAIAITKIRHVKGKKPFKKAYDIKRWTKQALTKNNSVDKEYNGENERAYELQSGHMQRVDAIWTHAESRS >EOY24147 pep chromosome:Theobroma_cacao_20110822:3:29828090:29848879:-1 gene:TCM_015822 transcript:EOY24147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein isoform 2 MDFSEQDVDVFAEDYNNNDSNNHDDSHESSSSHSSSSSSSASSSSSASSSPNGSGGGESSSASGSASSGEEETGEEVGNVNANNYDCNNNSEEGIYGDEEEDERDLFGSDNEDYCKTPATSPFSIPVLPVIRNPNNPGRGGFGRGRWQNDRGAGILGRPGYPPRQGYGYGSKFANGRHDERFVSELKLSKSEETLSRKCIAFQEPCELACYSRVEGGDVYFDDRSLRLFKRLITEDIGADLNQGFNTFIEKKDLGSEGFGDLLACIRDKNIPLQNIHFVTFRNNLNKIMATAYIRNEPWEMGVHKRNGVVYLDVHKLPERPRSELDRQRCYWGYCFESLATEDPRRADGEEIHHIDANAEYCSVIKTKLGAHRILMGAEMDCCDSTDEGRRFYVELKTSRELDYHTEERYEREKLLKFWIQSFLAGVPYIVIGFRDDAGRLVRTERLRTKDITHRVKMKNYWQGGVCLAFADEVLCWLYGTVKENEDYVLQFAPPFSRLELLQAQFCPDAITNHVEQL >EOY24148 pep chromosome:Theobroma_cacao_20110822:3:29828634:29833596:-1 gene:TCM_015822 transcript:EOY24148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein isoform 2 MDFSEQDVDVFAEDYNNNDSNNHDDSHESSSSHSSSSSSSASSSSSASSSPNGSGGGESSSASGSASSGEEETGEEVGNVNANNYDCNNNSEEGIYGDEEEDERDLFGSDNEDYCKTPATSPFSIPVLPVIRNPNNPGRGGFGRGRWQNDRGAGILGRPGYPPRQGYGYGSKFANGRHDERFVSELKLSKSEETLSRKCIAFQEPCELACYSRVEGGDVYFDDRSLIMATAYIRNEPWEMGVHKRNGVVYLDVHKLPERPRSELDRQRCYWGYCFESLATEDPRRADGEEIHHIDANAEYCSVIKTKLGAHRILMGAEMDCCDSTDEGRRFYVELKTSRELDYHTEERYEREKLLKFWIQSFLAGVPYIVIGFRDDAGRLVRTERLRTKDITHRVKMKNYWQGGVCLAFADEVLCWLYGTVKESKILTLISPSTSA >EOY22510 pep chromosome:Theobroma_cacao_20110822:3:23750273:23753481:1 gene:TCM_014662 transcript:EOY22510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma carbonic anhydrase like 1, CAL1 isoform 2 LLKCCTNLLLMGRVLFYNCFGLIASFWAQLITHQNHLIGVRLFSFSPFSSLSSIFASVEALNPFLSPPLPPTMASSIAARISRKAAASAFSAHCHTIASRNFAAEAAKAISPSADRVKWDYRGQRKIIPLGQWVPKVAVDAYVAPNVVLAGQVTVSDGASVWNGCVLRGDLNKITVGFCSNVQERCVIHAAWSSPTAETSIERFVTIGAYSLLRSCTIEPECIIGQHSILMEGSLVETHSILEAGSVVPPGRRIPTGELWAGNPARFVRALTHEETLEIPKLAVAINDLSKEHFSEFLPYSTIYLEVETVYWSFPCKEISGQRTYRKEVLSVVYPCILIML >EOY22509 pep chromosome:Theobroma_cacao_20110822:3:23750213:23753786:1 gene:TCM_014662 transcript:EOY22509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma carbonic anhydrase like 1, CAL1 isoform 2 MADKTEQHEKSRALLKCCTNLLLMGRVLFYNCFGLIASFWAQLITHQNHLIGVRLFSFSPFSSLSSIFASVEALNPFLSPPLPPTMASSIAARISRKAAASAFSAHCHTIASRNFAAEAAKAISPSADRVKWDYRGQRKIIPLGQWVPKVAVDAYVAPNVVLAGQVTVSDGASVWNGCVLRGDLNKITVGFCSNVQERCVIHAAWSSPTGLPAETSIERFVTIGAYSLLRSCTIEPECIIGQHSILMEGSLVETHSILEAGSVVPPGRRIPTGELWAGNPARFVRALTHEETLEIPKLAVAINDLSKEHFSEFLPYSTIYLEVETVYWSFPCKEISGQRTYRKEVLSVVYPCILIMLAVEKTCSCE >EOY22540 pep chromosome:Theobroma_cacao_20110822:3:23840615:23847566:1 gene:TCM_014684 transcript:EOY22540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxysteroid 11-beta-dehydrogenase 1-like protein, putative MVSTIESSKKRVLKKLESLRKSVTAAYSVERNRTQTPRVRVAIFILSNPLPTLLTPLPAHKKSCLFHVSPLIDYSTSVIILVLQGASFSIQLQTAKAMDFIHKLINILFPPIAILLLLFFLPPYLIFKYFSYIIRSFCSENVAGKVVLITGASSGIGEHLAYEYARKGARLALIARREDRLCVVADESRRLGSPDVVVIPADVSKLEDCKRFIDKAVNYFGRLDHLASNAAIVKLGLFEDVTQISEFAPTMSTNFWGSAYGTHFAVPHLRKSKGKIIVIASVAGWAPLPKTSFYNAGKAALISFYETLRVEFGSDIGITIVTPGLIKTDMVQGEAFTSEVQVGFIPGESAEGCAKAIVASACRGDRCLTEPSWYRVGPLLKMLCPELLEWACRLTLVGRPGSSKKTS >EOY24822 pep chromosome:Theobroma_cacao_20110822:3:32332453:32334457:1 gene:TCM_016314 transcript:EOY24822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METYHCITCHQLSLFVVLCLELQLYKVTMICSNRHLHSLSWLLHYHCRLQQREPSDVPVAAIASQEFDLD >EOY22373 pep chromosome:Theobroma_cacao_20110822:3:23196199:23205567:1 gene:TCM_014563 transcript:EOY22373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 1 MGKKTKKPGKGKEKTERKTAKAEEKRARRESKKLSPEDDIDAILLSIQKEEAKKKEVHIDENVPAPSPRSNCTLSINPLKETELVLYGGEFYNGSKTFVYGDLYRYDVEKMEWKLVSSPNSPPPRSAHQAVAWKNYLYIFGGEFTSPNQERFHHYKDFWMLDLKTNQWEQLNLKGCPSPRSGHRMVLYKHKIIVFGGFYDTLREVRYHNDLYIFDLDEFKWQEIKPKLGSMWPSARSGFQFFMYQDQIFLYGGYSKEVSSDKNSSEKGIVHSDMWSLDPRTWEWNKVKKSGMPPGARAGFSMCVHKKRALLFGGVVDMEMKDDVMMSLFLNELYGFQLDNHRWYPLELRKEKSTKVKLKRNSELEPDGPDSNDKINIEAEASGVDDRDQISEYDEEADDEENNINEMSQNMVANMQIDDGGSIKSAAKPQQSKSKLNFQSSVSPEIVKPCGRINSCMVVGKDTLYVYGGMMEVKDQEITLDDLYSLNLSKLDEWKCIIPASESEWVEASEDEDEDEDEDEDDSEEMGEPGSYGEETDDDDDAEASNDGAGSLQMGDAVAFVRGEGKNLRRKEKRARIEQIRANLGLSDSQRTPMIGCHMFPFAAWRILKGFLQTY >EOY22372 pep chromosome:Theobroma_cacao_20110822:3:23196170:23206370:1 gene:TCM_014563 transcript:EOY22372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 1 MGKKTKKPGKGKEKTERKTAKAEEKRARRESKKLSPEDDIDAILLSIQKEEAKKKEVHIDENVPAPSPRSNCTLSINPLKETELVLYGGEFYNGSKTFVYGDLYRYDVEKMEWKLVSSPNSPPPRSAHQAVAWKNYLYIFGGEFTSPNQERFHHYKDFWMLDLKTNQWEQLNLKGCPSPRSGHRMVLYKHKIIVFGGFYDTLREVRYHNDLYIFDLDEFKWQEIKPKLGSMWPSARSGFQFFMYQDQIFLYGGYSKEVSSDKNSSEKGIVHSDMWSLDPRTWEWNKVKKSGMPPGARAGFSMCVHKKRALLFGGVVDMEMKDDVMMSLFLNELYGFQLDNHRWYPLELRKEKSTKVKLKRNSELEPDGPDSNDKINIEAEASGVDDRDQISEYDEEADDEENNINEMSQNMVANMQIDDGGSIKSAAKPQQSKSKLNFQSSVSPEIVKPCGRINSCMVVGKDTLYVYGGMMEVKDQEITLDDLYSLNLSKLDEWKCIIPASESEWVEASEDEDEDEDEDEDDSEEMGEPGSYGEETDDDDDAEASNDGAGSLQMGDAVAFVRGEGKNLRRKEKRARIEQIRANLGLSDSQRTPMPGESLRDFYRRTSLYWQMAAHEHTQHTGKELRKDGFDLAEARYRELKPLLDELAILEAEQKAEEAEGPETSSRKRGKRKT >EOY23680 pep chromosome:Theobroma_cacao_20110822:3:28213977:28216019:-1 gene:TCM_015494 transcript:EOY23680 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRF1-interacting factor 3 MQQTPQLMTITTEQIQKCLDENKQLIMAILENQNQGKFAENASFQAQLQQNLMYLAKIADAQPQAPTTSSQMPPQSAVQQEQYVQCAQAVMAKQHPGFLAPKLPFHLNDQQQQTQQLMYLQQQQLNQPQMGLRSAAPSGTYQGVQSGLGNNFMNVQAIKQDSSEDGADEGLQNSAYGHNATDTESMRLK >EOY24460 pep chromosome:Theobroma_cacao_20110822:3:31051269:31052299:1 gene:TCM_016055 transcript:EOY24460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTLLLPWEEGSAQCRACSEDGCISNKATNARMLSNQESRHMFQSKTVLRKIVEDAMMTFSLDLPSLKIRDGNA >EOY22393 pep chromosome:Theobroma_cacao_20110822:3:23236316:23243971:1 gene:TCM_014571 transcript:EOY22393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MNAIGSRFDFKEIQEKVSLHLRPWHRSLQFWVRAADIYTGYKVFQVRVSFVKDVQKQEAMWERQHELAADKIYAMCSDLGGFFLKVAQIIGKPDLAPAAWVKRLVTLCDQAPATPFDAVKFVLEKELGRSVGDIFEKFDVNPLGSASIAQVHRARLRGDKSDVVVKVQHPGIQDLMMTDIHNLQAFALYIQKTDIKFDLYSVTKEMEKQIGYEFDFLREANAMERIRHFLYKNNKKSPVLIPRALQDLVTRRVLVMEYIDGIPILNLGDEMAKRGINPGGKMAAAAKQNILKSLTLAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPDQLRLGYANLVLAMADNDPVKAAESYRELGIETVSNCENEQQELLRLAQTMFDTKLPPGVVMLQPFSEDSSIKKVGVQSFPEELFSVLRTVHLLRGLSVGLGINYSCAEQWRAIAEEALYDAGRLKDIIGPRGFERGSWEFPSSMGGVLSPCQSVSVLLVCLCSAIVTSEGLHVPIKRLKFVSGVPSEDYFGEIDWLEEEKSNSFLEDTFIGLPSFVMFTSSLVLICMQALDKAAAIYFCTLKACTFGKALLFPTPRNPCTSLESKPISSRI >EOY22394 pep chromosome:Theobroma_cacao_20110822:3:23236311:23243663:1 gene:TCM_014571 transcript:EOY22394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MNAIGSRFDFKEIQEKVSLHLRPWHRSLQFWVRAADIYTGYKVFQVRVSFVKDVQKQEAMWERQHELAADKIYAMCSDLGGFFLKVAQIIGKPDLAPAAWVKRLVTLCDQAPATPFDAVKFVLEKELGRSVGDIFEKFDVNPLGSASIAQVHRARLRGDKSDVVVKVQHPGIQDLMMTDIHNLQAFALYIQKTDIKFDLYSVTKEMEKQIGYEFDFLREANAMERIRHFLYKNNKKSPVLIPRALQDLVTRRVLVMEYIDGIPILNLGDEMAKRGINPGGKMAAAAKQNILKSLTLAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPDQLRLGYANLVLAMADNDPVKAAESYRELGIETVSNCENEQQELLRLAQTMFDTKLPPGVVMLQPFSEDSSIKKVGVQSFPEELFSVLRTVHLLRGLSVGLGINYSCAEQWRAIAEEALYDAGRLKGANQKTKVRKWGSFRRLFRRD >EOY22570 pep chromosome:Theobroma_cacao_20110822:3:23948287:23950932:-1 gene:TCM_014702 transcript:EOY22570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein MPEEDLVDIKFRLYDGSDIGPFRYSATSTVDMLKQRIVSDWPKGKTIIPKAVNEVKLISSGKILENSKTVGQCKVPFGEVAGGVIIMHVVVQPSLAKTKTEKKIDDSPRKIVCSCSIL >EOY24426 pep chromosome:Theobroma_cacao_20110822:3:30934559:30941970:1 gene:TCM_016032 transcript:EOY24426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentapeptide repeat-containing protein isoform 2 MALASVSSLSINSLSISSPSKAPCYHLQSFLKRFGVFCQINNPEIKAQIREFSSEKEDCSNNKYAVTDAKFKSWRALVSTALAAAMVAFGSDMSALAELNKYEAETRGEFGIGSAAQFGSADLRKAVHMNENFRRANFTAADMRESDFSGSTFNGAYLEKAVAYKANFTGADLSDTLMDRMVLNDANLTNAVLVRSVLTRSDLGGALIEGADFSDAVIDLPQKQALCKYANGKNPITGVSTRASLGCGNSRRNAYGSPSSPLLSAPPQKLLDRDGFCDKDTGLCEAK >EOY24427 pep chromosome:Theobroma_cacao_20110822:3:30934893:30941969:1 gene:TCM_016032 transcript:EOY24427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentapeptide repeat-containing protein isoform 2 MALASVSSLSINSLSISSPSKAPCYHLQSFLKRFGVFCQINNPEIKAQIRDCSNNKYAVTDAKFKSWRALVSTALAAAMVAFGSDMSALAELNKYEAETRGEFGIGSAAQFGSADLRKAVHMNENFRRANFTAADMRESDFSGSTFNGAYLEKAVAYKANFTGADLSDTLMDRMVLNDANLTNAVLVRSVLTRSDLGGALIEGADFSDAVIDLPQKQALCKYANGKNPITGVSTRASLGCGNSRRNAYGSPSSPLLSAPPQKLLDRDGFCDKDTGLCEAK >EOY22334 pep chromosome:Theobroma_cacao_20110822:3:23013491:23020841:1 gene:TCM_014535 transcript:EOY22334 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 6 MDGRRHSVDIPISRTLIALRRVRSLRDPSTNSMSKFSSLFDNVKWETNSSNGISLQLVNGCPEAGLEHNEIRGPEYLGFDERREEQGHEFRLHSVPETFSSRLITCENVEQVGKTGSPVRAKQVGELDDCNGDFKDYGLHEEEVHRKGQLSERSHSSFKDKGMNLTCMTATINSVEDVDSCNEPIVGSSPMERVNHRASKQKLQSRNQVKLYGANGDVASRAGSPCPSLDVVSNRSRQLYGDEDVDVVDCIHRGCGISYCWSKTPRLRESNPSSDFEDLPLLSGDTSETTLCGQSFWKCINGEINPHSDTPRSLSQKFRPKSFDELVGQSVVVRSLLSAISKGRITSFYLFHGPRGTGKTSASKIFAAALNCLSLEEFKPCGRCRECILFYSGRSRDVKEVDSLRINRLDRLRSLVKNAVVPPVSSRFKIFIIDECQLLHGETWATVLNSLEKLSQHIVFVMSTPELDMLPRSAVSRSQKYHFPKIKDSDISNRLEKICVKEGLDYDRVALDFIAAKSNGSLRDAEMMLDQLSLLGKKITMSLTYELIGTVSDDELLDLLDLALSCDTSNTVIRARELMRSKIDPMQLISQLANLIMDILAGKCEEDSSEARRKFSGNHASEVDLQKLSHALRILSETEKHLRVSKNQTTWLTVALLQLSSVESNLPEVNDSKMCLANAQHKEGDSNSTSATGGRSNHSFTCMCNGSNSSKLGKLEDPERRLESVWKRATELCQSSSLKKFLRKQGKLSSLCVNQGLAIAELEFHNPNHVSRAEKSWKLIASSLQLVVGCNVEIRINLAVTDPVTKCEKVRKISFSLFSCSRRLQLKSRASTKSGSDSEVSHG >EOY22336 pep chromosome:Theobroma_cacao_20110822:3:23013391:23018986:1 gene:TCM_014535 transcript:EOY22336 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 6 MDGRRHSVDIPISRTLIALRRVRSLRDPSTNSMSKFSSLFDNVKWETNSSNGISLQLVNGCPEAGLEHNEIRGPEYLGFDERREEQGHEFRLHSVPETFSSRLITCENVEQVGKTGSPVRAKQVGELDDCNGDFKDYGLHEEEVHRKGQLSERSHSSFKDKGMNLTCMTATINSVEDVDSCNEPIVGSSPMERVNHRASKQKLQSRNQVKLYGANGDVASRAGSPCPSLDVVSNRSRQLYGDEDVDVVDCIHRGCGISYCWSKTPRLRESNPSSDFEDLPLLSGDTSETTLCGQSFWKCINGEINPHSDTPRSLSQKFRPKSFDELVGQSVVVRSLLSAISKGRITSFYLFHGPRGTGKTSASKIFAAALNCLSLEEFKPCGRCRECILFYSGRSRDVKEVDSLRINRLDRLRSLVKNAVVPPVSSRFKIFIIDECQLLHGETWATVLNSLEKLSQHIVFVMSTPELDMLPRSAVSRSQKYHFPKIKDSDISNRLEKICVKEGLDYDRVALDFIAAKSNGSLRDAEMMLDQLSLLGKKITMSLTYELIGTVSDDELLDLLDLALSCDTSNTVIRARELMRSKIDPMQLISQLANLIMDILAGKCEEDSSEARRKFSGNHASEVDLQKLSHALRILSETEKHLRVSKNQTTWLTVALLQLSSVESNLPEVNDSKMCLANAQHKEGDSNSTSATGGRSNHSFTCMCNGSNSSKLGKLEDPERRLESVWKRATELCQSSSLKKFLRKQGKLSSLCVNQGLAIAELEFHNPNHVSRAEKSWKLIASSLQLVVGCNVEIRINLAVTDPVTKCEKVRKISFSLFSCSRRLQLKSRASTKSGSDSEVSHG >EOY22327 pep chromosome:Theobroma_cacao_20110822:3:23013391:23020372:1 gene:TCM_014535 transcript:EOY22327 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 6 MDGRRHSVDIPISRTLIALRRVRSLRDPSTNSMSKFSSLFDNVKWETNSSNGISLQLVNGCPEAGLEHNEIRGPEYLGFDERREEQGHEFRLHSVPETFSSRLITCENVEQVGKTGSPVRAKQVGELDDCNGDFKDYGLHEEEVHRKGQLSERSHSSFKDKGMNLTCMTATINSVEDVDSCNEPIVGSSPMERVNHRASKQKLQSRNQVKLYGANGDVASRAGSPCPSLDVVSNRSRQLYGDEDVDVVDCIHRGCGISYCWSKTPRLRESNPSSDFEDLPLLSGDTSETTLCGQSFWKCINGEINPHSDTPRSLSQKFRPKSFDELVGQSVVVRSLLSAISKGRITSFYLFHGPRGTGKTSASKIFAAALNCLSLEEFKPCGRCRECILFYSGRSRDVKEVDSLRINRLDRLRSLVKNAVVPPVSSRFKIFIIDECQLLHGETWATVLNSLEKLSQHIVFVMSTPELDMLPRSAVSRSQKYHFPKIKDSDISNRLEKICVKEGLDYDRVALDFIAAKSNGSLRDAEMMLDQLSLLGKKITMSLTYELIGTVSDDELLDLLDLALSCDTSNTVIRARELMRSKIDPMQLISQLANLIMDILAGKCEEDSSEARRKFSGNHASEVDLQKLSHALRILSETEKHLRVSKNQTTWLTVALLQLSSVESNLPEVNDSKMCLANAQHKEGDSNSTSATGGRSNHSFTCMCNGSNSSKLGKLEDPERRLESVWKRATELCQSSSLKKFLRKQGKLSSLCVNQGLAIAELEFHNPNHVSRAEKSWKLIASSLQLVVGCNVEIRINLAVTDPVTKCEKVRKISFSLFSCSRRLQLKSRASTKSGSDSEVSQYASEKPMMSDRPILNYCSDHAFERPHNCSYGREVVRAFRNSEGNILSTGATSSCGSLRDDTSLNPAYGVDSSKGEGRDCECQIFSIQEPDYQPNCFPRVLRPQKKVHLSDSAKMNSIEGEL >EOY22325 pep chromosome:Theobroma_cacao_20110822:3:23013491:23020841:1 gene:TCM_014535 transcript:EOY22325 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 6 MDGRRHSVDIPISRTLIALRRVRSLRDPSTNSMSKFSSLFDNVKWETNSSNGISLQLVNGCPEAGLEHNEIRGPEYLGFDERREEQGHEFRLHSVPETFSSRLITCENVEQVGKTGSPVRAKQVGELDDCNGDFKDYGLHEEEVHRKGQLSERSHSSFKDKGMNLTCMTATINSVEDVDSCNEPIVGSSPMERVNHRASKQKLQSRNQVKLYGANGDVASRAGSPCPSLDVVSNRSRQLYGDEDVDVVDCIHRGCGISYCWSKTPRLRESNPSSDFEDLPLLSGDTSETTLCGQSFWKCINGEINPHSDTPRSLSQKFRPKSFDELVGQSVVVRSLLSAISKGRITSFYLFHGPRGTGKTSASKIFAAALNCLSLEEFKPCGRCRECILFYSGRSRDVKEVDSLRINRLDRLRSLVKNAVVPPVSSRFKIFIIDECQLLHGETWATVLNSLEKLSQHIVFVMSTPELDMLPRSAVSRSQKYHFPKIKDSDISNRLEKICVKEGLDYDRVALDFIAAKSNGSLRDAEMMLDQLSLLGKKITMSLTYELIGTVSDDELLDLLDLALSCDTSNTVIRARELMRSKIDPMQLISQLANLIMDILAGKCEEDSSEARRKFSGNHASEVDLQKLSHALRILSETEKHLRVSKNQTTWLTVALLQLSSVESNLPEVNDSKMCLANAQHKEGDSNSTSATGGRSNHSFTCMCNGSNSSKLGKLEDPERRLESVWKRATELCQSSSLKKFLRKQGKLSSLCVNQGLAIAELEFHNPNHVSRAEKSWKLIASSLQLVVGCNVEIRINLAVTDPVTKCEKVRKISFSLFSCSRRLQLKSRASTKSGSDSEVSQYASEKPMMSDRPILNYCSDHAFERPHNCSYGREVVRAFRNSEGNILSTGATSSCGSLRDDTSLNPAYGVDSSKGEGRDCECQIFSIQEPDYQPNCFPRVLRPQKKVHLSDSAKMNSVSNQEENKLALSIPGMSSFEKPLVSNDSYVFCCSNDEDRLRENSEVLCWRTPTFPLKKAWQLTHQRRRSHWVDWVLPCSTAK >EOY22333 pep chromosome:Theobroma_cacao_20110822:3:23013391:23019324:1 gene:TCM_014535 transcript:EOY22333 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 6 MDGRRHSVDIPISRTLIALRRVRSLRDPSTNSMSKFSSLFDNVKWETNSSNGISLQLVNGCPEAGLEHNEIRGPEYLGFDERREEQGHEFRLHSVPETFSSRLITCENVEQVGKTGSPVRAKQVGELDDCNGDFKDYGLHEEEVHRKGQLSERSHSSFKDKGMNLTCMTATINSVEDVDSCNEPIVGSSPMERVNHRASKQKLQSRNQVKLYGANGDVASRAGSPCPSLDVVSNRSRQLYGDEDVDVVDCIHRGCGISYCWSKTPRLRESNPSSDFEDLPLLSGDTSETTLCGQSFWKCINGEINPHSDTPRSLSQKFRPKSFDELVGQSVVVRSLLSAISKGRITSFYLFHGPRGTGKTSASKIFAAALNCLSLEEFKPCGRCRECILFYSGRSRDVKEVDSLRINRLDRLRSLVKNAVVPPVSSRFKIFIIDECQLLHGETWATVLNSLEKLSQHIVFVMSTPELDMLPRSAVSRSQKYHFPKIKDSDISNRLEKICVKEGLDYDRVALDFIAAKSNGSLRDAEMMLDQLSLLGKKITMSLTYELIGTVSDDELLDLLDLALSCDTSNTVIRARELMRSKIDPMQLISQLANLIMDILAGKCEEDSSEARRKFSGNHASEVDLQKLSHALRILSETEKHLRVSKNQTTWLTVALLQLSSVESNLPEVNDSKMCLANAQHKEGDSNSTSATGGRSNHSFTCMCNGSNSSKLGKLEDPERRLESVWKRATELCQSSSLKKFLRKQGKLSSLCVNQGLAIAELEFHNPNHVSRAEKSWKLIASSLQLVVGCNVEIRINLAVTDPVTKCEKVRKISFSLFSCSRRLQLKSRASTKSGSDSEVSQEVVRAFRNSEGNILSTGATSSCGSLRDDTSLNPAYGVDSSKGEGRDCECQIFSIQEPDYQPNCFPRVLRPQKKVHLSDSAKMNSVSNQEENKLALSIPGMSSFEKPLVSNDSYVFCCSNDED >EOY22331 pep chromosome:Theobroma_cacao_20110822:3:23014720:23019328:1 gene:TCM_014535 transcript:EOY22331 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 6 MDGRRHSVDIPISRTLIALRRVRSLRDPSTNSMSKFSSLFDNVKWETNSSNGISLQLVNGCPEAGLEHNEIRGPEYLGFDERREEQGHEFRLHSVPETFSSRLITCENVEQVGKTGSPVRAKQVGELDDCNGDFKDYGLHEEEVHRKGQLSERSHSSFKDKGMNLTCMTATINSVEDVDSCNEPIVGSSPMERVNHRASKQKLQSRNQVKLYGANGDVASRAGSPCPSLDVVSNRSRQLYGDEDVDVVDCIHRGCGISYCWSKTPRLRESNPSSDFEDLPLLSGDTSETTLCGQSFWKCINGEINPHSDTPRSLSQKFRPKSFDELVGQSVVVRSLLSAISKGRITSFYLFHGPRGTGKTSASKIFAAALNCLSLEEFKPCGRCRECILFYSGRSRDVKEVDSLRINRLDRLRSLVKNAVVPPVSSRFKIFIIDECQLLHGETWATVLNSLEKLSQHIVFVMSTPELDMLPRSAVSRSQKYHFPKIKDSDISNRLEKICVKEGLDYDRVALDFIAAKSNGSLRDAEMMLDQLSLLGKKITMSLTYELIGTVSDDELLDLLDLALSCDTSNTVIRARELMRSKIDPMQLISQLANLIMDILAGKCEEDSSEARRKFSGNHASEVDLQKLSHALRILSETEKHLRVSKNQTTWLTVALLQLSSVESNLPEVNDSKMCLANAQHKEGDSNSTSATGGRSNHSFTCMCNGSNSSKLGKLEDPERRLESVWKRATELCQSSSLKKFLRKQGKLSSLCVNQGLAIAELEFHNPNHVSRAEKSWKLIASSLQLVVGCNVEIRINLAVTDPVTKCEKVRKISFSLFSCSRRLQLKSRASTKSGSDSEVSQYASEKPMMSDRPILNYCSDHAFERPHNCSYGREVVRAFRNSEGNILSTGATSSCGSLRDDTSLNPAYGVDSSKGEGRDCECQIFSIQEPDYQPNCFPRVLRPQKKVHLSDSAKMNSVSNQEENKLALSIPGMSSFEKPLVSNDSYVFCCSNDEDR >EOY22326 pep chromosome:Theobroma_cacao_20110822:3:23013851:23020068:1 gene:TCM_014535 transcript:EOY22326 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 6 MDGRRHSVDIPISRTLIALRRVRSLRDPSTNSMSKFSSLFDNVKWETNSSNGISLQLVNGCPEAGLEHNEIRGPEYLGFDERREEQGHEFRLHSVPETFSSRLITCENVEQVGKTGSPVRAKQVGELDDCNGDFKDYGLHEEEVHRKGQLSERSHSSFKDKGMNLTCMTATINSVEDVDSCNEPIVGSSPMERVNHRASKQKLQSRNQVKLYGANGDVASRAGSPCPSLDVVSNRSRQLYGDEDVDVVDCIHRGCGISYCWSKTPRLRESNPSSDFEDLPLLSGDTSETTLCGQSFWKCINGEINPHSDTPRSLSQKFRPKSFDELVGQSVVVRSLLSAISKGRITSFYLFHGPRGTGKTSASKIFAAALNCLSLEEFKPCGRCRECILFYSGRSRDVKEVDSLRINRLDRLRSLVKNAVVPPVSSRFKIFIIDECQLLHGETWATVLNSLEKLSQHIVFVMSTPELDMLPRSAVSRSQKYHFPKIKDSDISNRLEKICVKEGLDYDRVALDFIAAKSNGSLRDAEMMLDQLSLLGKKITMSLTYELIGTVSDDELLDLLDLALSCDTSNTVIRARELMRSKIDPMQLISQLANLIMDILAGKCEEDSSEARRKFSGNHASEVDLQKLSHALRILSETEKHLRVSKNQTTWLTVALLQLSSVESNLPEVNDSKMCLANAQHKEGDSNSTSATGGRSNHSFTCMCNGSNSSKLGKLEDPERRLESVWKRATELCQSSSLKKFLRKQGKLSSLCVNQGLAIAELEFHNPNHVSRAEKSWKLIASSLQLVVGCNVEIRINLAVTDPVTKCEKVRKISFSLFSCSRRLQLKSRASTKSGSDSEVSQYASEKPMMSDRPILNYCSDHAFERPHNCSYGREVVRAFRNSEGNILSTGATSSCGSLRDDTSLNPAYGVDSSKGEGRDCECQIFSIQEPDYQPNCFPRVLRPQKKVHLSDSAKMNSVSNQEENKLALSIPGMSSFEKPLVSNDSYVFCCSNDEDRLRENSEVLCWRTPTFPLKKVTALILSTFLEN >EOY22330 pep chromosome:Theobroma_cacao_20110822:3:23014815:23020363:1 gene:TCM_014535 transcript:EOY22330 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 6 MSKFSSLFDNVKWETNSSNGISLQLVNGCPEAGLEHNEIRGPEYLGFDERREEQGHEFRLHSVPETFSSRLITCENVEQVGKTGSPVRAKQVGELDDCNGDFKDYGLHEEEVHRKGQLSERSHSSFKDKGMNLTCMTATINSVEDVDSCNEPIVGSSPMERVNHRASKQKLQSRNQVKLYGANGDVASRAGSPCPSLDVVSNRSRQLYGDEDVDVVDCIHRGCGISYCWSKTPRLRESNPSSDFEDLPLLSGDTSETTLCGQSFWKCINGEINPHSDTPRSLSQKFRPKSFDELVGQSVVVRSLLSAISKGRITSFYLFHGPRGTGKTSASKIFAAALNCLSLEEFKPCGRCRECILFYSGRSRDVKEVDSLRINRLDRLRSLVKNAVVPPVSSRFKIFIIDECQLLHGETWATVLNSLEKLSQHIVFVMSTPELDMLPRSAVSRSQKYHFPKIKDSDISNRLEKICVKEGLDYDRVALDFIAAKSNGSLRDAEMMLDQLSLLGKKITMSLTYELIGTVSDDELLDLLDLALSCDTSNTVIRARELMRSKIDPMQLISQLANLIMDILAGKCEEDSSEARRKFSGNHASEVDLQKLSHALRILSETEKHLRVSKNQTTWLTVALLQLSSVESNLPEVNDSKMCLANAQHKEGDSNSTSATGGRSNHSFTCMCNGSNSSKLGKLEDPERRLESVWKRATELCQSSSLKKFLRKQGKLSSLCVNQGLAIAELEFHNPNHVSRAEKSWKLIASSLQLVVGCNVEIRINLAVTDPVTKCEKVRKISFSLFSCSRRLQLKSRASTKSGSDSEVSQEVVRAFRNSEGNILSTGATSSCGSLRDDTSLNPAYGVDSSKGEGRDCECQIFSIQEPDYQPNCFPRVLRPQKKVHLSDSAKMNSVSNQEENKLALSIPGMSSFEKPLVSNDSYVFCCSNDEDRD >EOY22328 pep chromosome:Theobroma_cacao_20110822:3:23013491:23020841:1 gene:TCM_014535 transcript:EOY22328 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 6 MDGRRHSVDIPISRTLIALRRVRSLRDPSTNSMSKFSSLFDNVKWETNSSNGISLQLVNGCPEAGLEHNEIRGPEYLGFDERREEQGHEFRLHSVPETFSSRLITCENVEQVGKTGSPVRAKQVGELDDCNGDFKDYGLHEEEVHRKGQLSERSHSSFKDKGMNLTCMTATINSVEDVDSCNEPIVGSSPMERVNHRASKQKLQSRNQVKLYGANGDVASRAGSPCPSLDVVSNRSRQLYGDEDVDVVDCIHRGCGISYCWSKTPRLRESNPSSDFEDLPLLSGDTSETTLCGQSFWKCINGEINPHSDTPRSLSQKFRPKSFDELVGQSVVVRSLLSAISKGRITSFYLFHGPRGTGKTSASKIFAAALNCLSLEEFKPCGRCRECILFYSGRSRDVKEVDSLRINRLDRLRSLVKNAVVPPVSSRFKIFIIDECQLLHGETWATVLNSLEKLSQHIVFVMSTPELDMLPRSAVSRSQKYHFPKIKDSDISNRLEKICVKEGLDYDRVALDFIAAKSNGSLRDAEMMLDQLSLLGKKITMSLTYELIGTVSDDELLDLLDLALSCDTSNTVIRARELMRSKIDPMQLISQLANLIMDILAGKCEEDSSEARRKFSGNHASEVDLQKLSHALRILSETEKHLRVSKNQTTWLTVALLQLSSVESNLPEVNDSKMCLANAQHKEGDSNSTSATGGRSNHSFTCMCNGSNSSKLGKLEDPERRLESVWKRATELCQSSSLKKFLRKQGKLSSLCVNQGLAIAELEFHNPNHVSRAEKSWKLIASSLQLVVGCNVEIRINLAVTDPVTKCEKVRKISFSLFSCSRRLQLKSRASTKSGSDSEVSQYASEKPMMSDRPILNYCSDHAFERPHNCSYGREVVRAFRNSEGNILSTGATSSCGSLRDDTSLNPAYGVDSSKGEGRDCECQIFSIQEPDYQPNCFPRVLRPQKKVHLSDSAKMNSIEGEL >EOY22329 pep chromosome:Theobroma_cacao_20110822:3:23013391:23020372:1 gene:TCM_014535 transcript:EOY22329 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 6 MDGRRHSVDIPISRTLIALRRVRSLRDPSTNSMSKFSSLFDNVKWETNSSNGISLQLVNGCPEAGLEHNEIRGPEYLGFDERREEQGHEFRLHSVPETFSSRLITCENVEQVGKTGSPVRAKQVGELDDCNGDFKDYGLHEEEVHRKGQLSERSHSSFKDKGMNLTCMTATINSVEDVDSCNEPIVGSSPMERVNHRASKQKLQSRNQVKLYGANGDVASRAGSPCPSLDVVSNRSRQLYGDEDVDVVDCIHRGCGISYCWSKTPRLRESNPSSDFEDLPLLSGDTSETTLCGQSFWKCINGEINPHSDTPRSLSQKFRPKSFDELVGQSVVVRSLLSAISKGRITSFYLFHGPRGTGKTSASKIFAAALNCLSLEEFKPCGRCRECILFYSGRSRDVKEVDSLRINRLDRLRSLVKNAVVPPVSSRFKIFIIDECQLLHGETWATVLNSLEKLSQHIVFVMSTPELDMLPRSAVSRSQKYHFPKIKDSDISNRLEKICVKEGLDYDRVALDFIAAKSNGSLRDAEMMLDQLSLLGKKITMSLTYELIGTVSDDELLDLLDLALSCDTSNTVIRARELMRSKIDPMQLISQLANLIMDILAGKCEEDSSEARRKFSGNHASEVDLQKLSHALRILSETEKHLRVSKNQTTWLTVALLQLSSVESNLPEVNDSKMCLANAQHKEGDSNSTSATGGRSNHSFTCMCNGSNSSKLGKLEDPERRLESVWKRATELCQSSSLKKFLRKQGKLSSLCVNQGLAIAELEFHNPNHVSRAEKSWKLIASSLQLVVGCNVEIRINLAVTDPVTKCEKVRKISFSLFSCSRRLQLKSRASTKSGSDSEVSQYASEKPMMSDRPILNYCSDHAFERPHNCSYGREVVRAFRNMRENSEVLCWRTPTFPLKKAWQLTHQRRRSHWVDWVLPCSTAK >EOY22335 pep chromosome:Theobroma_cacao_20110822:3:23013391:23019324:1 gene:TCM_014535 transcript:EOY22335 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 6 MDGRRHSVDIPISRTLIALRRVRSLRDPSTNSMSKFSSLFDNVKWETNSSNGISLQLVNGCPEAGLEHNEIRGPEYLGFDERREEQGHEFRLHSVPETFSSRLITCENVEQVGKTGSPVRAKQVGELDDCNGDFKDYGLHEEEVHRKGQLSERSHSSFKDKGMNLTCMTATINSVEDVDSCNEPIVGSSPMERVNHRASKQKLQSRNQVKLYGANGDVASRAGSPCPSLDVVSNRSRQLYGDEDVDVVDCIHRGCGISYCWSKTPRLRESNPSSDFEDLPLLSGDTSETTLCGQSFWKCINGEINPHSDTPRSLSQKFRPKSFDELVGQSVVVRSLLSAISKGRITSFYLFHGPRGTGKTSASKIFAAALNCLSLEEFKPCGRCRECILFYSGRSRDVKEVDSLRINRLDRLRSLVKNAVVPPVSSRFKIFIIDECQLLHGETWATVLNSLEKLSQHIVFVMSTPELDMLPRSAVSRSQKYHFPKIKDSDISNRLEKICVKEGLDYDRVALDFIAAKSNGSLRDAEMMLDQLSLLGKKITMSLTYELIGTVSDDELLDLLDLALSCDTSNTVIRARELMRSKIDPMQLISQLANLIMDILAGKCEEDSSEARRKFSGNHASEVDLQKLSHALRILSETEKHLRVSKNQTTWLTVALLQLSSVESNLPEVNDSKMCLANAQHKEGDSNSTSATGGRSNHSFTCMCNGSNSSKLGKLEDPERRLESVWKRATELCQSSSLKKFLRKQGKLSSLCVNQGLAIAELEFHNPNHVSRAEKSWKLIASSLQLVVGCNVEIRINLAVTDPVTKCEKVRKISFSLFSCSRRLQLKSRASTKSGSDSEVSQYASEKPMMSDRPILNYCSDHAFERPHNCSYGREVVRAFRNSEGNILSTGATSSCGSLRDDTSLNPAYGVDSSKGEGRDCECQIFSIQEPDYQPNCFPRVLRPQKKVHLSDSAKMNSVSNQEENKLALSIPGMSSFEKPLVSNDSYVFCCSNDED >EOY22332 pep chromosome:Theobroma_cacao_20110822:3:23013851:23018990:1 gene:TCM_014535 transcript:EOY22332 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein isoform 6 MSKFSSLFDNVKWETNSSNGISLQLVNGCPEAGLEHNEIRGPEYLGFDERREEQGHEFRLHSVPETFSSRLITCENVEQVGKTGSPVRAKQVGELDDCNGDFKDYGLHEEEVHRKGQLSERSHSSFKDKGMNLTCMTATINSVEDVDSCNEPIVGSSPMERVNHRASKQKLQSRNQVKLYGANGDVASRAGSPCPSLDVVSNRSRQLYGDEDVDVVDCIHRGCGISYCWSKTPRLRESNPSSDFEDLPLLSGDTSETTLCGQSFWKCINGEINPHSDTPRSLSQKFRPKSFDELVGQSVVVRSLLSAISKGRITSFYLFHGPRGTGKTSASKIFAAALNCLSLEEFKPCGRCRECILFYSGRSRDVKEVDSLRINRLDRLRSLVKNAVVPPVSSRFKIFIIDECQLLHGETWATVLNSLEKLSQHIVFVMSTPELDMLPRSAVSRSQKYHFPKIKDSDISNRLEKICVKEGLDYDRVALDFIAAKSNGSLRDAEMMLDQLSLLGKKITMSLTYELIGTVSDDELLDLLDLALSCDTSNTVIRARELMRSKIDPMQLISQLANLIMDILAGKCEEDSSEARRKFSGNHASEVDLQKLSHALRILSETEKHLRVSKNQTTWLTVALLQLSSVESNLPEVNDSKMCLANAQHKEGDSNSTSATGGRSNHSFTCMCNGSNSSKLGKLEDPERRLESVWKRATELCQSSSLKKFLRKQGKLSSLCVNQGLAIAELEFHNPNHVSRAEKSWKLIASSLQLVVGCNVEIRINLAVTDPVTKCEKVRKISFSLFSCSRRLQLKSRASTKSGSDSEVSHG >EOY23446 pep chromosome:Theobroma_cacao_20110822:3:27446286:27450863:1 gene:TCM_015339 transcript:EOY23446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Manganese tracking factor for mitochondrial SOD2 isoform 1 MVETERRTQYLWTSSEQSSGLEIDGHVLSITEPMITDRDGSEFGAPQDQHSTNKSDSNLGIGERAFSAAGAAFLSAIIVNPLDVAKTRLQAQAAGVPYSHPLSNLIGRMAYFGPNMMFADLRCSPSCTRAGVHGTVALCPPDCFQYKGTLDVFNKIIRQEGFSRLWRGTNAGLALAVPTVGIYLPCYDIFRNWMEGLTAQYLPSATPYVPLVAGSLARSLACATCYPIELARTRMQAFKETQIGKKPPGVFSTLLGVISNVKGTNPQSSLQGYRVLWSGMGAQLARDVPFSGICWSTLEPIRRKLLGLVGEESNVATVLGANFSAGFVAGSLAAAATCPLDVAKTRRQIEKDPVRALRMTTRQTLMEVWRDGGMRGLFTGAGPRVGRAGPSVGIVVSFYEVVKSFSLDLKV >EOY23447 pep chromosome:Theobroma_cacao_20110822:3:27446169:27450301:1 gene:TCM_015339 transcript:EOY23447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Manganese tracking factor for mitochondrial SOD2 isoform 1 MVETERRTQYLWTSSEQSSGLEIDGHVLSITEPMITDRDGSEFGAPQDQHSTNKSDSNLGIGERAFSAAGAAFLSAIIVNPLDVAKTRLQAQAAGVPYSHPLSNLIGRMAYFGPNMMFADLRCSPSCTRAGVHGTVALCPPDCFQYKGTLDVFNKIIRQEGFSRLWRGTNAGLALAVPTVGIYLPCYDIFRNWMEGLTAQYLPSATPYVPLVAGSLARSLACATCYPIELARTRMQAFKETQIGKKPPGVFSTLLGVISNVKGTNPQSSLQGYRVLWSGMGAQLARDVPFSGICWSTLEPIRRKLLGLVGEESNVATVLGANFSAGFVAGSLAAAATCPLDVAKTRRQIEKDPVRALRMTTRQTLMEVWSWNRDGGMRGL >EOY23448 pep chromosome:Theobroma_cacao_20110822:3:27446377:27450305:1 gene:TCM_015339 transcript:EOY23448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Manganese tracking factor for mitochondrial SOD2 isoform 1 MVETERRTQYLWTSSEQSSGLEIDGHVLSITEPMITDRDGSEFGAPQDQHSTNKSDSNLGIGERAFSAAGAAFLSAIIVNPLDVAKTRLQAQAAGVPYSHPLSNLIGRMAYFGPNMMFADLRCSPSCTRAGVHGTVALCPPDCFQYKGTLDVFNKIIRQEGFSRLWRGTNAGLALAVPTVGIYLPCYDIFRNWMEGLTAQYLPSATPYVPLVAGSLARSLACATCYPIELARTRMQAFKETQIGKKPPGVFSTLLGVISNVKGTNPQSSLQGYRVLWSGMGAQLARDVPFSGICWSTLEPIRRKLLGLVGEESNVATVLGANFSAGFVAGSLAAAATCPLDVAKTRRRTL >EOY23726 pep chromosome:Theobroma_cacao_20110822:3:28365634:28369574:-1 gene:TCM_015527 transcript:EOY23726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMRPINSHLYTMMGFGSYVGNAHGGGSSNLSALAPPFTVDRSIPKPAATPLVDLGEPLNWLDSNPYTFNSPQPAQLPQLDLEPTPTPSYNQNSDLFEPKTYYPSYVSPPLHVPTFNEQSLPGLDHTAQWGGGLWDWEKGKPAQLGGSFYLKETSVAPSSIYMDHINLGAHPSKSLKTCEETSYNIYSPREDQAGPANIEKLDYNPVLGQNPSFMPVDYLKTSVIGSSSAISEANLQAPPLNLVNCKNNHVQISTPYEKPLRQHGTTLSDSIPSVKSSPGVVIRPPAVGTSSSASNSVSFKNVNTGINATDTNLAGNNRFIVEEPRFLFNFGSKNEFDPIQHSFLLDGNCYMSGESSTSTEKLSTRNMASDNFFGAKSGVNLSRISPDNFSLAFENNEAVIAVENSLESLDHYNPPVDSPCWKGAPASNNSPFGSSEPVAVQLAKKLEACDGSNGLVLKFISSNTANMVKHPSGKAGEILMSDENGNVEDGSMSSLKLPPVSIPSFKEHEPDEAGKAGSHKNKASSACEVKFSDNASEWKKDYVLFDKSVDEVEKASHTSQQCLAEGRLASKNLCRSETGVADLEMKINDVSGCGSSHVSCHAVKHLSCAPSSVEDVSTKHTKFLGKEPVSNSSISVLVDTMQNLSELLLYHCSNEACELREQDVKSLEKVINNLDTCMSKNIGQETLLSELHKVWFPMSKKNGQESLLSELHKGTSTGSPQVAAIDVLSQHTQVKRKHFGKKDEKCSEFVSVRSGTDIKVKNDKMTQAIKKVLIENFHEKEETHPQVLLYKNLWLEAEAALCSINYMARYNNMKIEIEKCKLDTEKG >EOY23723 pep chromosome:Theobroma_cacao_20110822:3:28364183:28369599:-1 gene:TCM_015527 transcript:EOY23723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMGFGSYVGNAHGGGSSNLSALAPPFTVDRSIPKPAATPLVDLGEPLNWLDSNPYTFNSPQPAQLPQLDLEPTPTPSYNQNSDLFEPKTYYPSYVSPPLHVPTFNEQSLPGLDHTAQWGGGLWDWEKGKPAQLGGSFYLKETSVAPSSIYMDHINLGAHPSKSLKTCEETSYNIYSPREDQAGPANIEKLDYNPVLGQNPSFMPVDYLKTSVIGSSSAISEANLQAPPLNLVNCKNNHVQISTPYEKPLRQHGTTLSDSIPSVKSSPGVVIRPPAVGTSSSASNSVSFKNVNTGINATDTNLAGNNRFIVEEPRFLFNFGSKNEFDPIQHSFLLDGNCYMSGESSTSTEKLSTRNMASDNFFGAKSGVNLSRISPDNFSLAFENNEAVIAVENSLESLDHYNPPVDSPCWKGAPASNNSPFGSSEPVAVQLAKKLEACDGSNGLVLKFISSNTANMVKHPSGKAGEILMSDENGNVEDGSMSSLKLPPVSIPSFKEHEPDEAGKAGSHKNKASSACEVKFSDNASEWKKDYVLFDKSVDEVEKASHTSQQCLAEGRLASKNLCRSETGVADLEMKINDVSGCGSSHVSCHAVKHLSCAPSSVEDVSTKHTKFLGKEPVSNSSISVLVDTMQNLSELLLYHCSNEACELREQDVKSLEKVINNLDTCMSKNIGQETLLSELHKVWFPMSKKNGQESLLSELHKGTSTGSPQVAAIDVLSQHTQVKRKHFGKKDEKCSEFVSVRSGTDIKVKNDKMTQAIKKVLIENFHEKEETHPQVLLYKNLWLEAEAALCSINYMARYNNMKIEIEKCKLDTEKDLSEDTPDEDKISRSKLSADLDTNKKLTAIAESAPTLDVSNQNFPIASSSNHADDVTARFHVLKHRLNNSYSVHTRDADELSSSKLSLDSDAVDKLATEVKDSSTSSLQTQDSPVPGTACHTDDVEASIMTRLHILKSRGNVDLDSNEMEQKPLPEVVDLGFAGKKKQIPIDEDTADDGVLGFNLESVSQNQVVDYAGEQSVVKDFHLCVKHDCTIQSPKSTRLGNQLSAGWYDSCSSDWEHVLKEELSGQNS >EOY23721 pep chromosome:Theobroma_cacao_20110822:3:28363878:28372150:-1 gene:TCM_015527 transcript:EOY23721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMRPINSHLYTMMGFGSYVGNAHGGGSSNLSALAPPFTVDRSIPKPAATPLVDLGEPLNWLDSNPYTFNSPQPAQLPQLDLEPTPTPSYNQNSDLFEPKTYYPSYVSPPLHVPTFNEQSLPGLDHTAQWGGGLWDWEKGKPAQLGGSFYLKETSVAPSSIYMDHINLGAHPSKSLKTCEETSYNIYSPREDQAGPANIEKLDYNPVLGQNPSFMPVDYLKTSVIGSSSAISEANLQAPPLNLVNCKNNHVQISTPYEKPLRQHGTTLSDSIPSVKSSPGVVIRPPAVGTSSSASNSVSFKNVNTGINATDTNLAGNNRFIVEEPRFLFNFGSKNEFDPIQHSFLLDGNCYMSGESSTSTEKLSTRNMASDNFFGAKSGVNLSRISPDNFSLAFENNEAVIAVENSLESLDHYNPPVDSPCWKGAPASNNSPFGSSEPVAVQLAKKLEACDGSNGLVLKFISSNTANMVKHPSGKAGEILMSDENGNVEDGSMSSLKLPPVSIPSFKEHEPDEAGKAGSHKNKASSACEVKFSDNASEWKKDYVLFDKSVDEVEKASHTSQQCLAEGRLASKNLCRSETGVADLEMKINDVSGCGSSHVSCHAVKHLSCAPSSVEDVSTKHTKFLGKEPVSNSSISVLVDTMQNLSELLLYHCSNEACELREQDVKSLEKVINNLDTCMSKNIGQETLLSELHKVWFPMSKKNGQESLLSELHKGTSTGSPQVAAIDVLSQHTQVKRKHFGKKDEKCSEFVSVRSGTDIKVKNDKMTQAIKKVLIENFHEKEETHPQVLLYKNLWLEAEAALCSINYMARYNNMKIEIEKCKLDTEKDLSEDTPDEDKISRSKLSADLDTNKKLTAIAESAPTLDVSNQNFPIASSSNHADDVTARFHVLKHRLNNSYSVHTRDADELSSSKLSLDSDAVDKLATEVKDSSTSSLQTQDSPVPGTACHTDDVEASIMTRLHILKSRGNVDLDSNEMEQKPLPEVVDLGFAGKKKQIPIDEDTADDGVLGFNLESVSQNQVVDYAGEQSVVKDFHLCVKHDCTIQSPKSTRLGNQLSAGWYDSCSSDWEHVLKEELSGQNS >EOY23725 pep chromosome:Theobroma_cacao_20110822:3:28364479:28369578:-1 gene:TCM_015527 transcript:EOY23725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMRPINSHLYTMMGFGSYVGNAHGGGSSNLSALAPPFTVDRSIPKPAATPLVDLGEPLNWLDSNPYTFNSPQPAQLPQLDLEPTPTPSYNQNSDLFEPKTYYPSYVSPPLHVPTFNEQSLPGLDHTAQWGGGLWDWEKGKPAQLGGSFYLKETSVAPSSIYMDHINLGAHPSKSLKTCEETSYNIYSPREDQAGPANIEKLDYNPVLGQNPSFMPVDYLKTSVIGSSSAISEANLQAPPLNLVNCKNNHVQISTPYEKPLRQHGTTLSDSIPSVKSSPGVVIRPPAVGTSSSASNSVSFKNVNTGINATDTNLAGNNRFIVEEPRFLFNFGSKNEFDPIQHSFLLDGNCYMSGESSTSTEKLSTRNMASDNFFGAKSGVNLSRISPDNFSLAFENNEAVIAVENSLESLDHYNPPVDSPCWKGAPASNNSPFGSSEPVAVQLAKKLEACDGSNGLVLKFISSNTANMVKHPSGKAGEILMSDENGNVEDGSMSSLKLPPVSIPSFKEHEPDEAGKAGSHKNKASSACEVKFSDNASEWKKDYVLFDKSVDEVEKASHTSQQCLAEGRLASKNLCRSETGVADLEMKINDVSGCGSSHVSCHAVKHLSCAPSSVEDVSTKHTKFLGKEPVSNSSISVLVDTMQNLSELLLYHCSNEACELREQDVKSLEKVINNLDTCMSKNIGQETLLSELHKGTSTGSPQVAAIDVLSQHTQVKRKHFGKKDEKCSEFVSVRSGTDIKVKNDKMTQAIKKVLIENFHEKEETHPQVLLYKNLWLEAEAALCSINYMARYNNMKIEIEKCKLDTEKDLSEDTPDEDKISRSKLSADLDTNKKLTAIAESAPTLDVSNQNFPIASSSNHADDVTARFHVLKHRLNNSYSVHTRDADELSSSKLSLDSDAVDKLATEVKDSSTSSLQTQDSPVPGTACHTDDVEASIMTRLHILKSRGNVDLDSNEMEQKPLPEVVDLGFAGKKKQIPIDEDTADDGVLGFNLESVSQNQVVDYAGEQSVVKDFHLCVKHDCTIQSPKSTRLGNQLSAGWYDSCSSDWEHVLKEELSGQNS >EOY23727 pep chromosome:Theobroma_cacao_20110822:3:28366139:28369574:-1 gene:TCM_015527 transcript:EOY23727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMRPINSHLYTMMGFGSYVGNAHGGGSSNLSALAPPFTVDRSIPKPAATPLVDLGEPLNWLDSNPYTFNSPQPAQLPQLDLEPTPTPSYNQNSDLFEPKTYYPSYVSPPLHVPTFNEQSLPGLDHTAQWGGGLWDWEKGKPAQLGGSFYLKETSVAPSSIYMDHINLGAHPSKSLKTCEETSYNIYSPREDQAGPANIEKLDYNPVLGQNPSFMPVDYLKTSVIGSSSAISEANLQAPPLNLVNCKNNHVQISTPYEKPLRQHGTTLSDSIPSVKSSPGVVIRPPAVGTSSSASNSVSFKNVNTGINATDTNLAGNNRFIVEEPRFLFNFGSKNEFDPIQHSFLLDGNCYMSGESSTSTEKLSTRNMASDNFFGAKSGVNLSRISPDNFSLAFENNEAVIAVENSLESLDHYNPPVDSPCWKGAPASNNSPFGSSEPVAVQLAKKLEACDGSNGLVLKFISSNTANMVKHPSGKAGEILMSDENGNVEDGSMSSLKLPPVSIPSFKEHEPDEAGKAGSHKNKASSACEVKFSDNASEWKKDYVLFDKSVDEVEKASHTSQQCLAEGRLASKNLCRSETGVADLEMKINDVSGCGSSHVSCHAVKHLSCAPSSVEDVSTKHTKFLGKEPVSNSSISVLVDTMQNLSELLLYHCSNEACELREQDVKSLEKVINNLDTCMSKNIGQETLLSELHKVWFPMSKKNGQESLLSELHKVWLPVFILFVLFNCCVIISRNFEMLNCVSLCYYVYSFVTLSWKFFSGL >EOY23728 pep chromosome:Theobroma_cacao_20110822:3:28365066:28369766:-1 gene:TCM_015527 transcript:EOY23728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMGFGSYVGNAHGGGSSNLSALAPPFTVDRSIPKPAATPLVDLGEPLNWLDSNPYTFNSPQPAQLPQLDLEPTPTPSYNQNSDLFEPKTYYPSYVSPPLHVPTFNEQSLPGLDHTAQWGGGLWDWEKGKPAQLGGSFYLKETSVAPSSIYMDHINLGAHPSKSLKTCEETSYNIYSPREDQAGPANIEKLDYNPVLGQNPSFMPVDYLKTSVIGSSSAISEANLQAPPLNLVNCKNNHVQISTPYEKPLRQHGTTLSDSIPSVKSSPGVVIRPPAVGTSSSASNSVSFKNVNTGINATDTNLAGNNRFIVEEPRFLFNFGSKNEFDPIQHSFLLDGNCYMSGESSTSTEKLSTRNMASDNFFGAKSGVNLSRISPDNFSLAFENNEAVIAVENSLESLDHYNPPVDSPCWKGAPASNNSPFGSSEPVAVQLAKKLEACDGSNGLVLKFISSNTANMVKHPSGKAGEILMSDENGNVEDGSMSSLKLPPVSIPSFKEHEPDEAGKAGSHKNKASSACEVKFSDNASEWKKDYVLFDKSVDEVEKASHTSQQCLAEGRLASKNLCRSETGVADLEMKINDVSGCGSSHVSCHAVKHLSCAPSSVEDVSTKHTKFLGKEPVSNSSISVLVDTMQNLSELLLYHCSNEACELREQDVKSLEKVINNLDTCMSKNIGQETLLSELDLSEDTPDEDKISRSKLSADLDTNKKLTAIAESAPTLDVSNQNFPIASSSNHADDVTARFHVLKHRLNNSYSVHTRDADELSSSKLSLDSDAVDKLATEVKDSSTSSLQTQDSPVPGTACHTDDVEASIMTRLHILKSRGNVDLDSNE >EOY23722 pep chromosome:Theobroma_cacao_20110822:3:28364479:28369578:-1 gene:TCM_015527 transcript:EOY23722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMRPINSHLYTMMGFGSYVGNAHGGGSSNLSALAPPFTVDRSIPKPAATPLVDLGEPLNWLDSNPYTFNSPQPAQLPQLDLEPTPTPSYNQNSDLFEPKTYYPSYVSPPLHVPTFNEQSLPGLDHTAQWGGGLWDWEKGKPAQLGGSFYLKETSVAPSSIYMDHINLGAHPSKSLKTCEETSYNIYSPREDQAGPANIEKLDYNPVLGQNPSFMPVDYLKTSVIGSSSAISEANLQAPPLNLVNCKNNHVQISTPYEKPLRQHGTTLSDSIPSVKSSPGVVIRPPAVGTSSSASNSVSFKNVNTGINATDTNLAGNNRFIVEEPRFLFNFGSKNEFDPIQHSFLLDGNCYMSGESSTSTEKLSTRNMASDNFFGAKSGVNLSRISPDNFSLAFENNEAVIAVENSLESLDHYNPPVDSPCWKGAPASNNSPFGSSEPVAVQLAKKLEACDGSNGLVLKFISSNTANMVKHPSGKAGEILMSDENGNVEDGSMSSLKLPPVSIPSFKEHEPDEAGKAGSHKNKASSACEVKFSDNASEWKKDYVLFDKSVDEVEKASHTSQQCLAEGRLASKNLCRSETGVADLEMKINDVSGCGSSHVSCHAVKHLSCAPSSVEDVSTKHTKFLGKEPVSNSSISVLVDTMQNLSELLLYHCSNEACELREQDVKSLEKVINNLDTCMSKNIGQETLLSELHKVWFPMSKKNGQESLLSELHKGTSTGSPQVAAIDVLSQHTQVKRKHFGKKDEKCSEFVSVRSGTDIKVKNDKMTQAIKKVLIENFHEKEETHPQVLLYKNLWLEAEAALCSINYMARYNNMKIEIEKCKLDTEKDLSEDTPDEDKISRDADELSSSKLSLDSDAVDKLATEVKDSSTSSLQTQDSPVPGTACHTDDVEASIMTRLHILKSRGNVDLDSNEMEQKPLPEVVDLGFAGKKKQIPIDEDTADDGVLGFNLESVSQNQVVDYAGEQSVVKDFHLCVKHDCTIQSPKSTRLGNQLSAGWYDSCSSDWEHVLKEELSGQNS >EOY23724 pep chromosome:Theobroma_cacao_20110822:3:28364083:28369574:-1 gene:TCM_015527 transcript:EOY23724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMRPINSHLYTMMGFGSYVGNAHGGGSSNLSALAPPFTVDRSIPKPAATPLVDLGEPLNWLDSNPYTFNSPQPAQLPQLDLEPTPTPSYNQNSDLFEPKTYYPSYVSPPLHVPTFNEQSLPGLDHTAQWGGGLWDWEKGKPAQLGGSFYLKETSVAPSSIYMDHINLGAHPSKSLKTCEETSYNIYSPREDQAGPANIEKLDYNPVLGQNPSFMPVDYLKTSVIGSSSAISEANLQAPPLNLVNCKNNHVQISTPYEKPLRQHGTTLSDSIPSVKSSPGVVIRPPAVGTSSSASNSVSFKNVNTGINATDTNLAGNNRFIVEEPRFLFNFGSKNEFDPIQHSFLLDGNCYMSGESSTSTEKLSTRNMASDNFFGAKSGVNLSRISPDNFSLAFENNEAVIAVENSLESLDHYNPPVDSPCWKGAPASNNSPFGSSEPVAVQLAKKLEACDGSNGLVLKFISSNTANMVKHPSGKAGEILMSDENGNVEDGSMSSLKLPPVSIPSFKEHEPDEAGKAGSHKNKASSACEVKFSDNASEWKKDYVLFDKSVDEVEKASHTSQQCLAEGRLASKNLCRSETGVADLEMKINDVSGCGSSHVSCHAVKHLSCAPSSVEDVSTKHTKFLGKEPVSNSSISVLVDTMQNLSELLLYHCSNEACELREQDVKSLEKVINNLDTCMSKNIGQETLLSELHKVWFPMSKKNGQESLLSELHKGTSTGSPQVAAIDVLSQHTQVKRKHFGKKDEKCSEFVSVRSGTDIKVKNDKMTQAIKKVLIENFHEKEETHPQVLLYKNLWLEAEAALCSINYMARYNNMKIEIEKCKLDTEKDLSEDTPDEDKISRSKLSADLDTNKKLTAIAESAPTLDVSNQNFPIASSSNHADDVTARFHVLKHRLNNSYSVHTRDADELSSSKLSLDSDAVDKLATEVKDSSTSSLQTQDSPVPGTACHTDDVEASIMTRLHILKSRGNVDLDSNEMEQKPLPEVVDLGFAGKKKQIPIDEDTADDGVLGFNLESVSQNQVVDYAGEQSVVKDFHLCVKHDCTIQSPKSTRLGNQLSAGWYDSCSSDWEHVLKEELSGQNS >EOY22229 pep chromosome:Theobroma_cacao_20110822:3:22501125:22504110:-1 gene:TCM_014448 transcript:EOY22229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 family protein MGSDSEVEKTQQKEKERKKMLAVAPIAKPLAGKKLSKKTLKLVRRAAEHKCLKRGVKEVVKSIRRGHKGLCVIAGNISPIDVITHVPILCEEADIPYVYVPSKEDLATAGATKRPTCCVLVLTKPTKGELGPEDQEKIKADYSQIVADMCQWCEYKTRDFALATSFPCSVTRYLACITNVPLRCFN >EOY23121 pep chromosome:Theobroma_cacao_20110822:3:26271791:26274061:-1 gene:TCM_015113 transcript:EOY23121 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L24-1 MVLKTELCRFSGAKIYPGKGIRFVRSDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAAEAVKKRRRTTKRPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAELMSKQQKTQSKGSLPKGAAPKGPKLGGGGGKR >EOY22023 pep chromosome:Theobroma_cacao_20110822:3:20407994:20411348:-1 gene:TCM_014176 transcript:EOY22023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRLYLRRDAERRKRLLAMFKFYMEICHAVSSFLTLLSAASTLCTYRPRVRSYALDFATNREYVRRLVYDNDISCISQIRMNRVTFLKLCEMLESIGGLKSTKNMLVDEQVAIFLHIIAHHVKNRVISLNFRRSGESISRHFHNVLAAVLKLQEHLFRKPEPIPTNSTDNQWKWFKNCLGALDGTYIRVKVPSADKPRYRTRKGNIATNMLGVCTPDMQFVFVLPGWEGSVADGRVLRDALRRRNGLKVPNGCYYLVDAGYTNCEGFLAPYRGQRYHLNEWRQGHDPSSHEEFFNMKHAAARNVIERCFGLLKMRWGILRSPSFYPIRIHNRIIIACCLLHNFIRREMSFDPIEMDLGEYVETNIAVDEDFISTIDPTDVWGLLLGFFPLPVQVKTMSTSSARASQSSKGTKRKWNHREDVALVTALIDLHNIGKYNADTGFRGGYLIELENMLATKLPDANLKAKPHIESRIKTLKKEWAIIYDMVQGTHTSGFGWDDQRNMVVADDPVWEAYIQSHKEAAPFRRKSFPFFNELSIIYARDRAIGKDAQTAADILEEMQDCNDTINEEIEGENLAGYNFEDEDFSNIQPQTSAPRSDTTSTRKRKRLNETGDPITSESIIAAATILGENIKEAGIEFSKSVGAEVNIQQKAQELDGILSQVEGLTAMERVLASIKLPESPTLMFVFFSIDPDRRLEWLRTFLADR >EOY21933 pep chromosome:Theobroma_cacao_20110822:3:19923664:19926855:1 gene:TCM_014106 transcript:EOY21933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MMHFLFRPDRHRFLTQVITTQKPKNLFHSPHSTITTPPEFEELCKVVSSSMGGLDDLESSLNRFKLSLSPLLVTQVINSCENEAPTRRLLRFFLWSVKNLSSSLEDKDLNNVVRVFAKKKDHTAMGILVSDIRNRGRTMESQTFSVVAEMLVKLGREDEALGIFKNLEKFKCPRDSFSLTAIVNALCAKGHARKAEGVVYHHKDTIAGVEPCIYRCLLYGWSVQENVKEARRVIKEMKSAGFELDLYCYNTFLRCLCGKNAKRNPSGLVPEALNVMMEMRSQRIAPTSVSYNILLSCLGRTRRVKESCQILELMKKAGCAPDWISYYLVARVLYLTGRFGKGNKIVDEMIEQGLTPDRKFYYDLIGVLCGVERVNFALELFERMKRSSLGGYGPVYDVLIPKLCRGGDFEKGRELWDEAVATGVSLSCSSDVLDPSITEVFKPTRKAEKVHLKGCTMAKSPVKNKQNTMKGKKYKKIKKKKKKSSSK >EOY21569 pep chromosome:Theobroma_cacao_20110822:3:12502253:12514842:-1 gene:TCM_013393 transcript:EOY21569 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-cysteine desulfhydrase isoform 2 ALQEYQKLLLFVFYINLLQKRDELIRKGGDMLCSAKLTLLRSSLPPLGHSISALRQPKIYCSQSQATETETNDDAPKAMALSFDFLGKKPYSPPSWASHLRPIPSHVFSLGHLPTPIHKWNLPNLPQNTEVWLKRDDLSGMQLSGNKVRKLEFLMAEAVAQGADCIITIGGIQSNHCRATAVAAKYLNLDCYLILRTSKALVDQDPGLTGNLLVERFVGAHIQLISKEEYARIGSVALTNVLKEKLLKEGRRPYVIPVGGSNSLGTCGGTIAGLSLGSWLGELKAKVHAFCVCDDPDYFYDFVQGLVDGLQAGVDSRDIVSIINAKGLGYAINTSEELKFVKEIAAATGVVLDPVYSGKAAYGMMKDMAENPKNWEGRKVLFIHTGGLLGLFDKVDQMSSLVGNWQRMDVNESIPRKDGIGKM >EOY21568 pep chromosome:Theobroma_cacao_20110822:3:12502017:12514791:-1 gene:TCM_013393 transcript:EOY21568 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-cysteine desulfhydrase isoform 2 MLCSAKLTLLRSSLPPLGHSISALRQPKIYCSQSQATETETNDDAPKAMALSFDFLGKKPYSPPSWASHLRPIPSHVFSLGHLPTPIHKWNLPNLPQNTEVWLKRDDLSGMQLSGNKVRKLEFLMAEAVAQGADCIITIGGIQSNHCRATAVAAKYLNLDCYLILRTSKALVDQDPGLTGNLLVERFVGAHIQLISKEEYARIGSVALTNVLKEKLLKEGRRPYVIPVGGSNSLGTWGYIEAMREIEQQLQIRSNGVKFDDIVVACGSGGTIAGLSLGSWLGELKAKAWLMDFKQVLTHGILLAS >EOY21567 pep chromosome:Theobroma_cacao_20110822:3:12495940:12530906:-1 gene:TCM_013393 transcript:EOY21567 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-cysteine desulfhydrase isoform 2 MLCSAKLTLLRSSLPPLGHSISALRQPKIYCSQSQATETETNDDAPKAMALSFDFLGKKPYSPPSWASHLRPIPSHVFSLGHLPTPIHKWNLPNLPQNTEVWLKRDDLSGMQLSGNKVRKLEFLMAEAVAQGADCIITIGGIQSNHCRATAVAAKYLNLDCYLILRTSKALVDQDPGLTGNLLVERFVGAHIQLISKEEYARIGSVALTNVLKEKLLKEGRRPYVIPVGGSNSLGTWGYIEAMREIEQQLQIRSNGVKFDDIVVACGSGGTIAGLSLGSWLGELKAKVHAFCVCDDPDYFYDFVQGLVDGLQAGVDSRDIVSIINAKGLGYAINTSEELKFVKEIAAATGVVLDPVYSGKAAYGMMKDMAENPKNWEGRKVLFIHTGGLLGLFDKVDQMSSLVGNWQRMDVNESIPRKDGIGKMF >EOY21937 pep chromosome:Theobroma_cacao_20110822:3:19931039:19932143:-1 gene:TCM_014110 transcript:EOY21937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCWGQTTCHLPCFLSLGAALSSSLFLLQATKMLENDRILEGFLFGWEKFHSINHKQPSKPKPNPQIKQQWSMVMIRDSLPHDDLSIFPPVDHENLPNQIQQQHNPPSSSTLSPPRPDASEVIPASAGGGIGEWLGIGLEILRAKMVSIACYFGYKNGTMGRAFGSLGRVIGVAVAAVLWWLCRRRTCRKESVEQLKRSIKEKDEKIRGLLNQIAEMNQLLVARHKALASNRTHL >EOY22401 pep chromosome:Theobroma_cacao_20110822:3:23296481:23300875:1 gene:TCM_014579 transcript:EOY22401 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-N-debenzoyl-2'-deoxytaxol N-benzoyltransferase, putative MEMKVHIISRETIKPSSPTPHHQRTHKLSLFDQLAPPLYIPILLFYSATSETNPSKKSDLLKDSLSKILTHFYPFAGRVKEGCTIDCNDDGAAYVEAQVDSDMFLVLKEPGIDLLLQLLPCEPLEKLPEPSAQVILAVQVNYFACGGMAICVCLRHVVSDASAAAGFVKSWAAVASGVDMVLDAVIYDCTSLFPPQDLSGLWKTIEKSQNALLAEVVTKRFIFYGSKIAALRNEIGNGLSLYRPTRVEALSSLIWNAIIAGDTEEGEIVPMHVATTAVNLRKRMNPPLPQLCMGNISHVTMANLFMAKTKSRNSLAEKIHESILKIDDKFVRQFFGSGAHLNVMKNMAAELGKSSKARLFNFSSWCRFPFYETDFGWGKPVWFATALRLNKLAIFLDTRDGKGIEAWIGLTKEEMTKLERDPGILAYASFNPSI >EOY22087 pep chromosome:Theobroma_cacao_20110822:3:21300626:21306018:-1 gene:TCM_014280 transcript:EOY22087 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein, putative isoform 3 MAMQEGSPANPPSAQVVGNAFVEQYYHILHHSPNLVHRFYQDSSCLSRPDKDGNMTTVTTMQAINEKVLSLNYEDYTAEIKTADAQDSFEKGVIVLVTGCLTGKDNVRKKFTQTFFLAPQDKGYFVLNDVLRYVEEKELHNSVPVNGVSEQASTSALTPEPEPTYDPLVVDPVTHEEVEDISNGAEVCDPSDKEEGSVIEEEVFVPQNVASQNESVATVDSVPVVIEDAPKQSYASIVKVMKSNTASTPVYVPSNNVRAAPADQQSIASAKPAPAPEAAVPNSDNAPESSNDNEEAEGHSIYVRNLPYAAMPAQLEEAFKKFGPIKRNGIQVRTNKGFTFGFVEFEMASSVQSALEASPIIIGDRKADVEEKRTNTRVGSSGRARYSSGKGGFRSDSFRVRGNLGGGRGGYGRNEFRNQGEFSGRPKGSGGRNGDNYQRANHNGRGGRQGGG >EOY22086 pep chromosome:Theobroma_cacao_20110822:3:21300642:21306093:-1 gene:TCM_014280 transcript:EOY22086 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein, putative isoform 3 MAMQEGSPANPPSAQVVGNAFVEQYYHILHHSPNLVHRFYQDSSCLSRPDKDGNMTTVTTMQAINEKVLSLNYEDYTAEIKTADAQDSFEKGVIVLVTGCLTGKDNVRKKFTQTFFLAPQDKGYFVLNDVLRYVEEKELHNSVPVNGVSEQASTSALTPEPEPTYDPLVVDPVTHEEVEDISNGAEVCDPSDKEEGSVIEEEVFVPQNVASQNESVATVDSVPVVIEDAPKQSYASIVKVMKSNTASTPVYVPSNNVRAAPADQQSIASAKPAPAPEAAVPNSDNAPESSNDNEEAEGHSIYVRNLPYAAMPAQLEEAFKKFGPIKRNGIQVRTNKQGFTFGFVEFEMASSVQSALEASPIIIGDRKADVEEKRTNTRVGSSGRARYSSGKGGFRSDSFRVRGNLGGGRGGYGRNEFRNQGEFSGRPKGSGGRNGDNYQRANHNGRGGRQV >EOY22088 pep chromosome:Theobroma_cacao_20110822:3:21301857:21306024:-1 gene:TCM_014280 transcript:EOY22088 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein, putative isoform 3 MAMQEGSPANPPSAQVVGNAFVEQYYHILHHSPNLVHRFYQDSSCLSRPDKDGNMTTVTTMQAINEKVLSLNYEDYTAEIKTADAQDSFEKGVIVLVTGCLTGKDNVRKKFTQTFFLAPQDKGYFVLNDVLRYVEEKELHNSVPVNGVSEQASTSALTPEPEPTYDPLVVDPVTHEEVEDISNGAEVCDPSDKEEGSVIEEEVFVPQNVASQNESVATVDSVPVVIEDAPKQSYASIVKVMKSNTASTPVYVPSNNVRAAPADQQSIASAKPAPAPEAAVPNSDNAPESSNDNEEAEGHSIYVRNLPYAAMPAQLEEAFKKFGPIKRNGIQVRTNKQGFTFGFVEFEMASSVQSALEVIRSAGLKD >EOY21337 pep chromosome:Theobroma_cacao_20110822:3:6393744:6405543:1 gene:TCM_012833 transcript:EOY21337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein isoform 2 PVVLSLVEGGATCVGKTVVDELAYSIHGENKHYSTPTNPAAPARIPGGSSSGAAVAVAANFVDFSLGIIPVASSLDTVGLLAKDPNILHRVGLVLLQLPFSVQRNPRQILLADDCFQLLKIPMERVSQVVINSTEKLFGRQVLKHENLEDYFSSKVPSLKEFYRQKINVDLKISSIRLLANVAQFLQRYEFKCAHEEWISSEKPVLDSAVSTQINETLDLTDKEIEICKSIRNEMRLAVNSLLKDDGILVIPTTAHPPPKLGSKEIFSEDYHNCMFSLLSIASISGCCQVTLPLGYHDNYPVSVSFIARHGGDRFLLDTVQTMYSSLQEHADTVAKSKLSRNAVNQEQSAEVAKEKGNQAYKDKQWQKAVMFYTEAIKLNGNNATYYSNRAAAYLELGSFLQAETDCTKAIDLDKKNVKAYLRRGTAREMLGYYKEAIEGELLLCIFFLAILYFYLYVSCFLDWLE >EOY21335 pep chromosome:Theobroma_cacao_20110822:3:6391280:6406167:1 gene:TCM_012833 transcript:EOY21335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein isoform 2 MASHAANLWVLLGLGLAGILLMTKRLKKTIKADFGAFIQKLELLPPPQPAPPKAPHPLTGLSFAVSDLFDIEGYVTGFGHPDWVRTHEASSRTSPVVLSLVEGGATCVGKTVVDELAYSIHGENKHYSTPTNPAAPARIPGGSSSGAAVAVAANFVDFSLGIDTLGGVRVPAAFCGVIGFRPSYGVVSHTGIIPVASSLDTVGLLAKDPNILHRVGLVLLQLPFSVQRNPRQILLADDCFQLLKIPMERVSQVVINSTEKLFGRQVLKHENLEDYFSSKVPSLKEFYRQKINVDLKISSIRLLANVAQFLQRYEFKCAHEEWISSEKPVLDSAVSTQINETLDLTDKEIEICKSIRNEMRLAVNSLLKDDGILVIPTTAHPPPKLGSKEIFSEDYHNCMFSLLSIASISGCCQVTLPLGYHDNYPVSVSFIARHGGDRFLLDTVQTMYSSLQEHADTVAKSKLSRNAVNQEQSAEVAKEKGNQAYKDKQWQKAVMFYTEAIKLNGNNATYYSNRAAAYLELGSFLQAETDCTKAIDLDKKNVKAYLRRGTAREMLGYYKEAIEDFRYALVLEPTNKRAALSAERLRKVFQ >EOY21338 pep chromosome:Theobroma_cacao_20110822:3:6393744:6406185:1 gene:TCM_012833 transcript:EOY21338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein isoform 2 PVVLSLVEGGATCVGKTVVDELAYSIHGENKHYSTPTNPAAPARIPGGSSSGAAVAVAANFVDFSLGIIPVASSLDTVGQVLKHENLEDYFSSKVPSLKEFYRQKINVDLKISSIRLLANVAQFLQRYEFKCAHEEWISSEKPVLDSAVSTQINETLDLTDKEIEICKSIRNEMRLAVNSLLKDDGILVIPTTAHPPPKLGSKEIFSEDYHNCMFSLLSIASISGCCQVTLPLGYHDNYPVSVSFIARHGGDRFLLDTVQTMYSSLQEHADTVAKSKLSRNAVNQEQSAEVAKEKGNQAYKDKQWQKAVMFYTEAIKLNGNNATYYSNRAAAYLELGSFLQAETDCTKAIDLDKKNVKAYLRRGTAREMLGYYKEAIEDFRYALVLEPTNKRAALSAERLRKVFQ >EOY21336 pep chromosome:Theobroma_cacao_20110822:3:6393744:6406185:1 gene:TCM_012833 transcript:EOY21336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein isoform 2 PVVLSLVEGGATCVGKTVVDELAYSIHGENKHYSTPTNPAAPARIPGGSSSGAAVAVAANFVDFSLGIIPVASSLDTVGLLAKDPNILHRVGLVLLQLPFSVQRNPRQILLADDCFQLLKIPMERVSQVVINSTEKLFGRQVLKHENLEDYFSSKVPSLKEFYRQKINVDLKISSIRLLANVAQFLQRYEFKCAHEEWISSEKPVLDSAVSTQINETLDLTDKEIEICKSIRNEMRLAVNSLLKDDGILVIPTTAHPPPKLGSKEIFSEDYHNCMFSLLSIASISGCCQVTLPLGYHDNYPVSVSFIARHGGDRFLLDTVQTMYSSLQEHADTVAKSKLSRNAVNQEQSAEVAKEKGNQAYKDKQWQKAVMFYTEAIKLNGNNATYYSNRAAAYLELGSFLQAETDCTKAIDLDKKNVKAYLRRGTAREMLGYYKEAIEDFRYALVLEPTNKRAALSAERLRKVFQ >EOY21570 pep chromosome:Theobroma_cacao_20110822:3:12609620:12613161:-1 gene:TCM_013400 transcript:EOY21570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 2 MATQDQVEGTTSPNALKSGICCSNSSPQCETLTQFQELYTFKHDHTPKVRKPYTITKQREKWTEEEHQKFLEALRLYGRGWRQIEEHVGTKTAVQIRSHAQKFFSKVVRESNGGFEGSINPIEIPPPRPKRKPVHPYPRKSVDSLKGISPSSEPERSPSPSQFVREQDNKSPTSVLSALTSDAMGSAASEQQNGCSSPTSCTTNMQSINTSPVEKDIDYATSNSSAEEEKASLSSVKVFGHSAVEDVLPMKLNADFKGSVGAKGDAKMVVPFTSIKLFGKTVQVKDSRKPSMDAENFKSPTSKTAQGDIDAEGDMLVQALPSTHLDTRLSLGTVNEDWSVVPSQANLSPYMEIHPDKLDHVESTSDAPLPWWTFYQGLPFYYITSFNQTQTDSCVEERVKQKEILNERSSTGSNTGSVSQAENREKSSYSVDSQCQRPCPEGKTTLQKCSRGFVPYKRCLAERDMSSSVVMSEERERQRSRVCS >EOY21571 pep chromosome:Theobroma_cacao_20110822:3:12609459:12613175:-1 gene:TCM_013400 transcript:EOY21571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 2 MATQDQVEGTTSPNALKSGICCSNSSPQCETLTQFQELYTFKHDHTPKVRKPYTITKQREKWTEEEHQKFLEALRLYGRGWRQIEGFQVVRESNGGFEGSINPIEIPPPRPKRKPVHPYPRKSVDSLKGISPSSEPERSPSPSQFVREQDNKSPTSVLSALTSDAMGSAASEQQNGCSSPTSCTTNMQSINTSPVEKDIDYATSNSSAEEEKASLSSVKVFGHSAVEDVLPMKLNADFKGSVGAKGDAKMVVPFTSIKLFGKTVQVKDSRKPSMDAENFKSPTSKTAQGDIDAEGDMLVQALPSTHLDTRLSLGTVNEDWSVVPSQANLSPYMEIHPDKLDHVESTSDAPLPWWTFYQGLPFYYITSFNQTQTDSCVEERVKQKEILNERSSTGSNTGSVSQAENREKSSYSVDSQCQRPCPEGKTTLQKCSRGFVPYKRCLAERDMSSSVVMSEERERQRSRVCS >EOY20792 pep chromosome:Theobroma_cacao_20110822:3:1233350:1235542:1 gene:TCM_012134 transcript:EOY20792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNRKLKILITWEKVVCKPKRFGELGITNLSYKNLTLLAKWWWRYGTDKDALWRRLIMEKYGAGQPHWIPSSSSTARMSSIWRSIVQLPSIEGMQNLVGFHAYRWIVGNGETICFWFDKWIDDIPLASKFPRLFSLAVDKDMRVLDACQNGLWSINFRRVLYSWEKEDLDRILNSLSSVSLVPLRDDKLVTPTQSGFTPFGNWFFPQRSNASYGWRSSTLSLRKSSSLYVESISPPTSIVVCGVAKLRSVVRTSFSPAHSVGEFGDMFLNGGGSLGVLCVLYPLLCKLGKIRASEGVDAIDDLEWWTDPCLSSKRKAPHHHHVGTSWSPPPTGEFKFNVNGSAKGKPGPAGCDGIL >EOY21020 pep chromosome:Theobroma_cacao_20110822:3:2310241:2312382:1 gene:TCM_012333 transcript:EOY21020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative MTTDKKAANAMGGKTARACDGCLRKRARWYCAADDAFLCQGCDTSVHSANQLASRHERVRLETASFSKFSASVTNRTNQDAPPAWHQGFTRKARTPRQNKSMLGQQKDEGKVFSLNPLDPVVPEIGSEDGSVDENEEQLLCRVPVLNPFAAELCNMVTSDGDEVAMVNEDGNFVIDDYEREGTCELDDGLHGFLPSDMDLADFAADVENLLGVGLDDDACCDTKGIELLHSKEEDGSNVLHEGKIVKVKDEEEVEGITACCFDSAFDVTRESLNWSYDYESPTIGEEEEEKVLPATETTTMNGESKAEMKRNMLLRLNYESVITAWARQGSPWTAGSRPEFNPDDFMGSNLKEGHHLSGGIGGIYSLQGRGNTDGEREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRTSFVGTAFTYIN >EOY21282 pep chromosome:Theobroma_cacao_20110822:3:5534094:5537278:-1 gene:TCM_012725 transcript:EOY21282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLVNKKYKNLRPSRTNSTHVIRKDMFFMHHIKHNIKIYLADFILQDMMEVTTKKNRTLVYGMVYKQSNGGWYQSHVTSAQVLEPRETEPENENEDTEVLVTSTIINSQIAEMKDYFGSIIRRLIKERE >EOY23753 pep chromosome:Theobroma_cacao_20110822:3:28471843:28475437:1 gene:TCM_015552 transcript:EOY23753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein MRTKPKPKPKIGWLYQTYYPSPATPSTHITISKASSFRQSIELYKNSDEMERGKEQGLMVPRLMPQQNPLEQLQAKFKEVENGFRTWLAKQSMPVEAAVVTGTSAAQGAAIGAFMGTLTNDISSSLPTPQSSLNPQAMASLQQAQALSGGPLVQARNFAVMTGVNAGISCVMRRLRGKEDVQSSMVAAFGSGAMFSLVSGIGGPNQAANAVSSGLFFALVQGGLFQLGKKFSQPPAEDPYYSRTRSMLNSLGLQNYEKNFKKGLLTDSTLPLLTDSALRDVKIPPGPRLLILDHIQRDPELREKLGSRG >EOY25422 pep chromosome:Theobroma_cacao_20110822:3:34220715:34222385:1 gene:TCM_016734 transcript:EOY25422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MASTFRACSLLSAALVSVMCICLTHQLLVFSAAKAMPSSFSVDLIHRDSPISPLYNPLETRFDRLYNAYNRSISRINRFRPNSESSNNYTSKALQSDTVSSGGEYFMKFSVGTPPVSVLGIADTGSDLTWVQCKPCEQCYGQKPPLFDPRKSSTYKNLPCGSNSCNALDSSERVCDQQNACKYSYSYGDRSFTKGNVALEKFTIGVSTRSSPVLSFPNLVFGCGHSNGGTFDDVGSGIVGLGGGPLSLVKQLDKSIRGKFSYCLAPTAYAEEPCASSRIIFGTATESDAAAVSTPLVDKNPSTYYFITLEAISVGDRRLAYGGSSASPTEKLGNIIIDSGTTLTFLESEFYNSLESALEEAIEAKRVSDPKGLLSPCFKGAKDIDLPVITFHFSGADVKLQPWNTFAQVQEDMVCFTIVPSNDIAIFGNLSQMDFLVSYDLEERTVSFTPTDCTKPLM >EOY23549 pep chromosome:Theobroma_cacao_20110822:3:27815301:27820121:-1 gene:TCM_015411 transcript:EOY23549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MGCVSSKRRKQEPGYEEPTVLAAETPFTVSEVEALHELFKKLSNAIIADGLIHREEFQLALFRNRNRRNLFADRIFDLFDVKRNGVIEFGEFVRSLGVFHPNAPVADKIAFAFRLYDLRQTGFIEREELKEMVLALLHESDLALSEDVVETIVDKTFGEADTQGDGKIDPEEWKEFVSKHPSLIKNMTLPYLKDITLAFPSFVVRSEVDESDV >EOY22271 pep chromosome:Theobroma_cacao_20110822:3:22717618:22721278:-1 gene:TCM_014489 transcript:EOY22271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRWCASHSVGDLLEGAQAQGISRCDEKLEGATISTWTEFQREYGLMFANIMVNGQMLEALVNTKASNLFVTKGAVKKLSLKPNRKASFIKMMNSKEIPTMGSTIVNVQLGAWKGKQPIGVIPMDGYDCVIGIGFLNKINALLVPFVNCICVLGTKGQCVVPVKKRCNLNAKLLSTMQLVKGAQRIEETFVAILKLEDTLRAFVKASIEVLDEFKGVILASLPNRLPLQREIDHHIEVEPRA >EOY22700 pep chromosome:Theobroma_cacao_20110822:3:24510614:24517667:1 gene:TCM_014795 transcript:EOY22700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp ATPase isoform 3 MEVLCSSSLPIHSRCLFSHPSSPTRLPFQFHPNNNNSISSSNSSCFGLSISRYNNFIRVKHSHSRKRRKPLHTSAVFERFTERAIKAVILSQREAKSLGKDMVFTQHLLLGLIGEDRDPNGFLGSGIKIDKAREAVRSIWQSSNPDSGEDTGSRSGKQEGSIVSSTDVPFSISTKRVFEAAVEYSRTMGYNFIAPEHIAIGLLTVDDGSAGRVLKRLGADLNHLADAAVTRLQGELAKDGREPSVPSKKMREKSLSGNATVLRSPDKARGKSALAQFCVDLTARAIEGLIDPVIGRETEVQRVVQILCRRTKNNPILLGESGVGKTAIAEGLAISIAEAETPAFLLNKRIMSLDIGLLMAGAKERGELEARVTALLSETIKSGDVILFIDEVHTLIGSGTVGRGNKGSGLDIANLLKPALGRGELQCIASTTIGEYRTQFEKDKALARRFQPVWINEPSQEDAVRILLGLREKYEFHHHCRYTLEAINAAVYLSARYIPDRYLPDKAIDLIDEAGSRARIEAFKRKREQETGILSKAPNDYWQEIRTVQAMHEVVMANRLKHDDGASNEDDSSELLLESPLTSDNDEPIMVGPEEIAAIASVWSGIPVQQITADERVLLLGLDEQLKKRVIGQDEAVAAISRAVKRSRVGLKDPDRPIAAMIFCGPTGVGKTELTKALAACYFGSEDAMLRLDMSEYMERHTVSKLIGSPPGYVGYEEGGMLTEAIRRRPFTLLLLDEIEKAHPDIFNILLQLFEDGHLTDSQGRRVSFKNALVVMTSNVGSSAIAKGRHGSIGFLLEDDKSTSYAGMKALVMEELKAYFRPELLNRIDEVVVFRSLEKAQMLEIVNLMLQEVKARIMSLGIGLEVSESIKDLICEQGYDQTFGARPLRRAVTSIVEDPLSEALLAGDYRPGETAVIDLDASGNPIVTIRSDRNISLSDTASIF >EOY22703 pep chromosome:Theobroma_cacao_20110822:3:24511495:24515570:1 gene:TCM_014795 transcript:EOY22703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp ATPase isoform 3 MEVLCSSSLPIHSRCLFSHPSSPTRLPFQFHPNNNNSISSSNSSCFGLSISRYNNFIRVKHSHSRKRRKPLHTSAVFERFTERAIKAVILSQREAKSLGKDMVFTQHLLLGLIGEDRDPNGFLGSGIKIDKAREAVRSIWQSSNPDSGEDTGSRSGKQEGSIVSSTDVPFSISTKRVFEAAVEYSRTMGYNFIAPEHIAIGLLTVDDGSAGRVLKRLGADLNHLADAAVTRLQGELAKDGREPSVPSKKMREKSLSGNATVLRSPDKARGKSALAQFCVDLTARAIEGLIDPVIGRETEVQRVVQILCRRTKNNPILLGESGVGKTAIAEGLAISIAEAETPAFLLNKRIMSLDIGLLMAGAKERGELEARVTALLSETIKSGDVILFIDEVHTLIGSGTVGRGNKGSGLDIANLLKPALGRGELQCIASTTIGEYRTQFEKDKALARRFQPVWINEPSQEDAVRILLGLREKYEFHHHCRYTLEAINAAVYLSARYIPDRYLPDKAIDLIDEAGSRARIEAFKRKREQETGILSKAPNDYWQEIRTVQAMHEVVMANRLKHDDGASNEDDSSELLLESPLTSDNDEPIMVGPEEIAAIASVWSGIPVQQITADERVLLLGLDEQLKKRVIGQDEAVAAISRAVKRSRVGLKDPDRPIAAMIFCGPTGVGKTELTKALAACYFGSVRLHAIIHAFPSLKSVLKYSLSVVNF >EOY22701 pep chromosome:Theobroma_cacao_20110822:3:24511922:24517305:1 gene:TCM_014795 transcript:EOY22701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp ATPase isoform 3 MEVLCSSSLPIHSRCLFSHPSSPTRLPFQFHPNNNNSISSSNSSCFGLSISRYNNFIRVKHSHSRKRRKPLHTSAVFERFTERAIKAVILSQREAKSLGKDMVFTQHLLLGLIGEDRDPNGFLGSGIKIDKAREAVRSIWQSSNPDSGEDTGSRSGKQEGSIVSSTDVPFSISTKRVFEAAVEYSRTMGYNFIAPEHIAIGLLTVDDGSAGRVLKRLGADLNHLADAAVTRLQGELAKDGREPSVPSKKMREKSLSGNATVLRSPDKARGKSALAQFCVDLTARAIEGLIDPVIGRETEVQRVVQILCRRTKNNPILLGESGVGKTAIAEGLAISIAEAETPAFLLNKRIMSLDIGLLMAGAKERGELEARVTALLSETIKSGDVILFIDEVHTLIGSGTVGRGNKGSGLDIANLLKPALGRGELQQCIASTTIGEYRTQFEKDKALARRFQPVWINEPSQEDAVRILLGLREKYEFHHHCRYTLEAINAAVYLSARYIPDRYLPDKAIDLIDEAGSRARIEAFKRKREQETGILSKAPNDYWQEIRTVQAMHEVVMANRLKHDDGASNEDDSSELLLESPLTSDNDEPIMVGPEEIAAIASVWSGIPVQQITADERVLLLGLDEQLKKRVIGQDEAVAAISRAVKRSRVGLKDPDRPIAAMIFCGPTGVGKTELTKALAACYFGSGGCHA >EOY22702 pep chromosome:Theobroma_cacao_20110822:3:24511509:24516336:1 gene:TCM_014795 transcript:EOY22702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp ATPase isoform 3 MEVLCSSSLPIHSRCLFSHPSSPTRLPFQFHPNNNNSISSSNSSCFGLSISRYNNFIRVKHSHSRKRRKPLHTSAVFERFTERAIKAVILSQREAKSLGKDMVFTQHLLLGLIGEDRDPNGFLGSGIKIDKAREAVRSIWQSSNPDSGEDTGSRSGKQEGSIVSSTDVPFSISTKRVFEAAVEYSRTMGYNFIAPEHIAIGLLTVDDGSAGRVLKRLGADLNHLADAAVTRLQGELAKDGREPSVPSKKMREKSLSGNATVLRSPDKARGKSALAQFCVDLTARAIEGLIDPVIGRETEVQRVVQILCRRTKNNPILLGESGVGKTAIAEGLAISIAEAETPAFLLNKRIMSLDIGLLMAGAKERGELEARVTALLSETIKSGDVILFIDEVHTLIGSGTVGRGNKGSGLDIANLLKPALGRGELQCIASTTIGEYRTQFEKDKALARRFQPVWINEPSQEDAVRILLGLREKYEFHHHCRYTLEAINAAVYLSARYIPDRYLPDKAIDLIDEAGSRARIEAFKRKREQETGILSKAPNDYWQEIRTVQAMHEVVMANRLKHDDGASNEDDSSELLLESPLTSDNDEPIMVGPEEIAAIASVWSGIPVQQITADERVLLLGLDEQLKKRVIGQDEAVAAISRAVKRSRVGLKDPDRPIAAMIFCGPTGVGKTELTKALAACYFGRMPCLDWT >EOY22041 pep chromosome:Theobroma_cacao_20110822:3:20950801:20958463:1 gene:TCM_014228 transcript:EOY22041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leo1-like family protein isoform 1 MGEEKRHQMMQNLFGDQSEEEEEIDSEHESNPHPNYASDEAEGAMEPEGEGEAEVEGHDEAEVESDGDIRDVEPDPGESEGEREQSSQEVDIGDQREESEAKDTDSDDKEEYGQRVVTSRRRDVIESGSERSEENHYPDNEDEEVDQAISPSKSLGEKDQNHLSHSAAEIRDVFGDSDDEEAEEYAVRHEIEQDENRSPMEEEGSFGKSPRPEDMVHDEDAHYESDDEHVEVKQKEKPVGPPLELEVPLRPGPAHPTKMNMIKVSNIMGIDPKPFDPKTYVEEDTFVTDESGSKKRIRLENNIVRWRTIRNKDGTASYESNARFVRWSDGSLQLLIGNEVLDISVQEAQHDQSHLFLRHGKGILQSQGRILRKMRFMPSSLSSNSHRLLTALVDSRHKKVYKVKNCITDIDPEREKEEKEKAENQTIRANVLLNRKREKVNRKYTQTVERKRQLSTGYLEGALDEDDEMDYNDSRRSRRRFEEDLEVEARAEKRIMNAKKSQGYRDIPRKSSLSDVKSSRRPIDFSESDREESEYETEGEEDERSPHKKIEGEEPEYDEEDEEEEEERDDEVDANRASEEEEEEAAEPRQKVKESVGIMKRKGIESDEDSPPRKAPTHRRMAVVYDSDEE >EOY22043 pep chromosome:Theobroma_cacao_20110822:3:20950801:20959465:1 gene:TCM_014228 transcript:EOY22043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leo1-like family protein isoform 1 MGEEKRHQMMQNLFGDQSEEEEEIDSEHESNPHPNYASDEAEGAMEPEGEGEAEVEGHDEAEVESDGDIRDVEPDPGESEGEREQSSQEVDIGDQREESEAKDTDSDDKEEYGQRVVTSRRRDVIESGSERSEENHYPDNEDEEVDQAISPSKSLGEKDQNHLSHSAAEIRDVFGDSDDEEAEEYAVRHEIEQDENRSPMEEEGSFGKSPRPEDMVHDEDAHYESDDEHVEVKQKEKPVGPPLELEVPLRPGPAHPTKMNMIKVSNIMGIDPKPFDPKTYVEEDTFVTDESGSKKRIRLENNIVRWRTIRNKDGTASYESNARFVRWSDGSLQLLIGNEVLDISVQEAQHDQSHLFLRHGKGILQSQGRILRKMRFMPSSLSSNSHRLLTALVDSRHKKVYKVKNCITDIDPEREKEEKEKAENQTIRANVLLNRKREKVNRKYTQTVERKRQLSTGYLEGALDEDDEMDYNDSRRSRRRFEEDLEVEARAEKRIMNAKKSQGYRDIPRKSSLSDVKSSRRPIDFSESDREESEYETEGEEDERSPHKKIEGEEPEYDEEDEEEEEERDDEVDANRASEEEEEEAAEPRQKVKESVGIMKRKGIESDEDSPPRKAPTHRRMAVVYDSDEE >EOY22042 pep chromosome:Theobroma_cacao_20110822:3:20950801:20959739:1 gene:TCM_014228 transcript:EOY22042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leo1-like family protein isoform 1 MGEEKRHQMMQNLFGDQSEEEEEIDSEHESNPHPNYASDEAEGAMEPEGEGEAEVEGHDEAEVESDGDIRDVEPDPGESEGEREQSSQEVDIGDQREESEAKDTDSDDKEEYGQRVVTSRRRDVIESGSERSEENHYPDNEDEEVDQAISPSKSLGEKDQNHLSHSAAEIRDVFGDSDDEEAEEYAVRHEIEQDENRSPMEEEGSFGKSPRPEDMVHDEDAHYESDDEHVEVKQKEKPVGPPLELEVPLRPGPAHPTKMNMIKVSNIMGIDPKPFDPKTYVEEDTFVTDESGSKKRIRLENNIVRWRTIRNKDGTASYESNARFVRWSDGSLQLLIGNEVLDISVQEAQHDQSHLFLRHGKGILQSQGRILRKMRFMPSSLSSNSHRLLTALVDSRHKKVYKVKNCITDIDPEREKEEKEKAENQTIRANVLLNRKREKVNRKYTQTVERKRQLSTGYLEGALDEDDEMDYNDSRRSRRRFEEDLEVEARAEKRIMNAKKSQGYRDIPRKSSLSDVKSSRRPIDFSESDREESEYETEGEEDERSPHKKIEGEEPEYDEEDEEEEEERDDEVDANRASEEEEEEAAEPRQKVKESVGIMKRKGIESDEDSPPRKAPTHRRMAVVYDSDEE >EOY23104 pep chromosome:Theobroma_cacao_20110822:3:26224182:26226788:-1 gene:TCM_015100 transcript:EOY23104 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MDNCCDFIKLLGPDMSMKILLHLDNPADLIRVCLVSSSWRQFVISNGLCKQLCLKLLPEISSVAHTIEVNNLIDPVKLRQHDHLELECLRRNHRVYAFLARGLNPLTRKDCISEAISASSTDNYPEESIHNTLEPLDRIEHIASYWSSEGQSDPAIPETLIYKLVAKVCLVTEFHVQPFQAYFQYGFPIYSSKAVRFRLGHPRLPEASDTKDGSMPSHRLADDKFIWTYISPEFPMAQENCLQKFKLPENVLCIGGFLQVELLGRVQKQEMDGLYYICISHVQVVGRPFLPRFDIDILDATGRCALKYLPETENCMLSSGSPNWENAASSRFRTLTARLIQRGTRGWEQMILNTLLGTVAAGANEADDERPT >EOY23102 pep chromosome:Theobroma_cacao_20110822:3:26224022:26227424:-1 gene:TCM_015100 transcript:EOY23102 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MDNCCDFIKLLGPDMSMKILLHLDNPADLIRVCLVSSSWRQFVISNGLCKQLCLKLLPEISSVAHTIEVNNLIDPVKLRQHDHLELECLRRNHRVYAFLARGLNPLTRKDCISEAISASSTDNYPEESIHNTLEPLDRIEHIASYWSSEGQSDPAIPETLIYKLVAKVCLVTEFHVQPFQAYFQYGFPIYSSKAVRFRLGHPRLPEASDTKDGSMPSHRLADDKFIWTYISPEFPMAQENCLQKFKLPENVLCIGGFLQVELLGRVQKQEMDGLYYICISHVQVVGRPFLPRFDIDILDATGRCALKYLPETENCMLSSGSPNWENAASSRFRTLTARLIQRGTRGWEQMILNTLLGTVAAGANEADDERPT >EOY23103 pep chromosome:Theobroma_cacao_20110822:3:26224255:26227922:-1 gene:TCM_015100 transcript:EOY23103 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MDNCCDFIKLLGPDMSMKILLHLDNPADLIRVCLVSSSWRQFVISNGLCKQLCLKLLPEISSVAHTIEVNNLIDPVKLRQHDHLELECLRRNHRVYAFLARGLNPLTRKDCISEAISASSTDNYPEESIHNTLEPLDRIEHIASYWSSEGQSDPAIPETLIYKLVAKVCLVTEFHVQPFQAYFQYGFPIYSSKAVRFRLGHPRLPEASDTKDGSMPSHRLADDKFIWTYISPEFPMAQENCLQKFKLPENVLCIGGFLQVELLGRVQKQEMDGLYYICISHVQVVGRPFLPRFDIDILDATGRCALKYLPETENCMLSSGSPNWENAASSRFRTLTARLIQRGTRGWEQMILNTLLGTVAAGANEADDERPT >EOY21139 pep chromosome:Theobroma_cacao_20110822:3:3362087:3367613:1 gene:TCM_012499 transcript:EOY21139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELVQGIKLSFVPKVMTKSHVCFIALCIIQKTMKVTLSGKVNFNCYPVPFVFCFIYIICVMRHGQIFPIETKIFIS >EOY24385 pep chromosome:Theobroma_cacao_20110822:3:30745941:30746548:1 gene:TCM_016001 transcript:EOY24385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein MASKTIASTALLLSLNILFFTLVSSTYVPCPPTPKSPEPPHKTPPSPKPASCPKDTLKLGVCADLLNLMHAVVGNPAKTPCCSLLAGLADAEATVCLCTTIKASVLGANLNIPVSLSLLLNYCGKNIPAGYQCA >EOY24345 pep chromosome:Theobroma_cacao_20110822:3:30622253:30623685:-1 gene:TCM_015972 transcript:EOY24345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 HLLALAKLYLLSPSRSFTPLPAGFICPFVLKLSFSLRIVRRVYIDVIDASRLFLFQLSQIAFEADQPAPENGDRWERALRLVCQRITHVRRSPMGQSDEDSFRTLTMLSL >EOY24344 pep chromosome:Theobroma_cacao_20110822:3:30621997:30623707:-1 gene:TCM_015972 transcript:EOY24344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGLHLLALAKLYLLSPSRSFTPLPAGFICPFVLKLSFSLRIVRRVYIDVIDASRLFLFQLSQIAFEADQPAPENGDRWERALRLVCQRITHVRRSPMGQSDEDSFRTLTMLSL >EOY23796 pep chromosome:Theobroma_cacao_20110822:3:28619575:28623234:1 gene:TCM_015580 transcript:EOY23796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein MAELKSCAEWKPFIAMIAIDFLFAVVNILLKKVLDEGMNHLVLITFRLTISTIFLAPIGYFWERNSRPKLTPRILCYLFCSAIVGASLTQYFFLLGIQYTSATFACAFVNMVPVLTFIMALPFRIETVNLKSNGGRAKILGSVICVGGALLLTLYKGMPLFKHPRSQALAPTMANAIKLSSSRRAERWTIGCLALIVGTMLWSSWFIIQSHVGKRYPCQYSSTAIMSFFGAIQSALLSLSTSRDLSIWVLRGKVEIITVLYSGMIGSGLCYVGMAWCVKKRGPVFTAAFSPLVQIMAAMFDIPILHEQLNLGSLLGSIVVIIGLYILLWGKNKEVQNYASKIAQEAKEIREQETQLQVITVSCDLSCPEAK >EOY25030 pep chromosome:Theobroma_cacao_20110822:3:33013381:33018149:-1 gene:TCM_016470 transcript:EOY25030 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit S, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23890) UniProtKB/Swiss-Prot;Acc:Q9T0A4] MASSITLPSLQGSLLRSRFLGQNPISHRPHKSAFPVVKQPKTFCAKFDLFEILGGRGLCNGEKGLEVELKRNVLEASSPATKDEQRSGSTAISVPEDAFEKELMGLTGGFPGGEKGLKKFVEENPPPAKQSVSDSVSIARLAATKKPKPPELPLLMPGMIAIVKNPNNPFYMYCGIVQRITDGKAGVLFEGGNWDRLITFRLDELERREKGPPMKNPKSAVLEAMLEKDQK >EOY25029 pep chromosome:Theobroma_cacao_20110822:3:33013697:33020215:-1 gene:TCM_016470 transcript:EOY25029 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit S, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23890) UniProtKB/Swiss-Prot;Acc:Q9T0A4] MASSITLPSLQGSLLRSRFLGQNPISHRPHKSAFPVVKQPKTFCAKFDLFEILGGRGLCNGEKGLEVELKRNVLEASSPATKDEQRSGSTAISVPEDAFEKELMGLTGGFPGGEKGLKKFVEENPPPAKQSVSDSVSIARLAATKKPKPPELPLLMPGMIAIVKNPNNPFYMYCGIVQRITDGKAGVLFEGGNWDRLITFRLDELERREKGPPMKNPKSAVLEAMLEKDQK >EOY21554 pep chromosome:Theobroma_cacao_20110822:3:12177129:12179078:-1 gene:TCM_013357 transcript:EOY21554 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor isoform 1 MCTRGHWRPAEDEKLRELVERYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEERLLASHRIHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERSRLYAKRSAAHHSTTLPDHRKPSPKPLHQAVHSESEITTSFAPLFDKYKQGYPYPYPFPPHFFKEFYARDWNPTHCITITEDKNRPTEFYDFLQVNTDSNKSEVTDNTRRDEEEVDQEAMEQQSKAGASFIDFLSIGSSS >EOY21555 pep chromosome:Theobroma_cacao_20110822:3:12177129:12178587:-1 gene:TCM_013357 transcript:EOY21555 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor isoform 1 PKSFKADQRRHVLIESGKSCRLRWFNQLDPRINRSPFTEEEEERLLASHRIHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERSRLYAKRSAAHHSTTLPDHRKPSPKPLHQAVHSESEITTSFAPLFDKYKQGYPYPYPFPPHFFKEFYARDWNPTHCITITEDKNRPTEFYDFLQVNTDSNKSEVTDNTRRDEEEVDQEAMEQQSKAGASFIDFLSIGSSS >EOY25081 pep chromosome:Theobroma_cacao_20110822:3:33147530:33151923:1 gene:TCM_016501 transcript:EOY25081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like G3, putative MEGHHLRGCSTAYSAHAFPLHTLKPSSRAGLNRVFAAVYTCAILALFYRHAQTLLYSKTLVSFYVTLTLFISDLILAFMWANGQAFRIRPVYRKEYPENIEKAMKTSDFPALDVFICTADPWKEPPMRVVNTALSVMAYDYPTEKISVYVSDDGGSALTLFAFMEAAKFAAHWLPFCRKNNILERSPDEYFASNYSRTPETEKIKMIYESMKVRVEHVVETGKVGEEYITSYQDRHAISKWTDEFTRQNHPTVIQVLLEQNKDKDVAGHWLPNLVYVSRQKSKTSPHHFKAGALNVLVRVSAAMTNAPLILTLDCDMYSNDPETPIRVLCYALDPKIQNKLAYIQFPQEFHGLNKSDIYACEHKHLFKLNPIGFDGLSGPNYVGTGCFFRRRAFFGGPSTLVPPEIKELSPDCVVNKPITVQSVLALAHHVAGCNYEDQTKWGSKIGFRYGSLVEDYYTGFRLQCEGWMSIFCYPERAAFLGDVPFNLLDALGQNKRWAIGLLEVAFSRYSTITYGVKAMGLLMGLGYSYYAFWPALSIPITTYSFLPQLALHSGVSIFPKVSEPWFFLYMFLFLGAYGQDCLEFVLGGGTIQRWWSNQRMWMIRGLSCYLFGLTEFFLKSIGISTQGFNVTSKVIDDEQSKRYDQGRFEFGVPSPMFVTLTMAAIINLFSFICGLIKFLNGSNKEGLFMHLFLTGSIVMNCLPIYQAVALRSDKGKMPIRTTITATFLASALYAVSSSILK >EOY20791 pep chromosome:Theobroma_cacao_20110822:3:1222968:1226901:1 gene:TCM_012133 transcript:EOY20791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTPEISFRKVSLGIGLSKGLSKLDGYTTDESGTLGSMGLALIGLGCNKGGSGEPTSSSRGIYSSNVLSCASPSTVVRAPPA >EOY22670 pep chromosome:Theobroma_cacao_20110822:3:24418111:24419611:1 gene:TCM_014773 transcript:EOY22670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin-like superfamily protein MALQGPIKSLRPASPLAPTGNVGLRAPSDRFALKSAYFSPSLHLLLPSPQQLPTATAPRFSMRVASKQAYICRDCGYIYNERTPFEKVPDSYFCPVCGAPKRRFRPYQPAVARNANDMDVRKARKEQMKRDEAIGKALPIAIVVGIAALAGLYFYLNNTIVG >EOY24070 pep chromosome:Theobroma_cacao_20110822:3:29539946:29541865:-1 gene:TCM_015774 transcript:EOY24070 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-norcoclaurine synthase 1, putative MTFGVEVVRQGRQSLKALWKKETGLSSNENLRMESEGLIRDGFGGSLPVENVQALASKNLKDIPSRYIRPEVEFDVIALDESLEIPVIDRSKLGHADELEKLHWACKDWGFFQLINHGVGDEVIEKMKIDIQEFFELPLEEKMACAQLPNNIEGYGQAFVVSEDQKLDWGDMLFLLPQPVPIRNMRFWPTIPSSFRETLEKYSMELQKVATHLMRLMAKNLGTDLETLASFFEDGTQGIRMNYYPTCAQANKVIGLSPHSDATALTLLIQGNEVDGLQIKKNGKWVPVKPIPGTFVINIGDVIEIMSNGEYKSIEHRAVVNPVTERLSIAAFHSPNRSTKIGPLTDLVKAKEAKYRTISHEEFVKLSVSSKLDGKGLLEQMKL >EOY22242 pep chromosome:Theobroma_cacao_20110822:3:22576566:22589470:-1 gene:TCM_014465 transcript:EOY22242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-activating enzyme 17 MVYKSLDSVTVNDIEASGISSQLAEEIYRKVTEIVDGYGAATPESWNRISKHVLTPNLPFSLHQMMYYGCYKDFGPDPPAWMPDPESALLTNVGLLLEKHGKEFLGSKYKDPISSFSHLQEFSVSNPEVYWKTVLDEMCVNFSVPPDCILHESTSEESRILNPGGKWLPGAFVNPAKNCLIVNSKRGLDDIVIRWRDEGDDDLPVKSMTLKELQLEVWLVAHALNALGLERGSAIAIDMPMNVYSVIIYLAIVLAGYIVVSIADSFAPLEISTRLKISEAKAIFTQDLIIRGEKSIPLYSRVVEAEAPMAIVIPARGFSCSAKLRDGDISWSDFLERVRKLKGDVFEAVEQPVEAFTNVLFSSGTTGEPKAIPWTHVTPLKAAADAWCHMDIHSGDIVAWPTNLGWMMGPWLVYASLLNGASMALYNGSPLSSGLAKFVQDAKVTMLGVIPSIVRAWKSTNCVAGYDWSSIRCFSSTGEASNVDEYLWLMGRACYKPIIEYCGGTEIGGGFVSGSFLQPQSLAAFSTPAMGCRLFILGDDGHLIPQDAPGMGELALGPLMFGSSSTLLNASHYDVYFKEMPSWNGLILRRHGDVFERTSRGYYHAHGRADDTMNIGGIKVSSVEIERICNAVDSSVLETAAIGVPPADGGPERLVIAVVFKDPDNATPDLNQWRKSFNSAVQKNLNPLFRVSHVVALSALPRTASNKVMRRVLRKQLAQVDQNSKL >EOY25366 pep chromosome:Theobroma_cacao_20110822:3:34041468:34049171:-1 gene:TCM_016700 transcript:EOY25366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucanase 113 isoform 2 MGGWRNAVQEAAGSKPLFLTIYATVIVGIVVSSFYVFSAIYSPSASTTQSVSTSWLSSPSLSQNGVSLSSGQSINISQPSGIASQPGSNNLRPIWEAPPRTSKMPPLKFFSLTKELVEERAKDNVIIVTFGNFAFMDFILTWVKHLTDLGVSNLLVGAMDTKLLKALYWKGIPAFDMGSHMSTIDVGWGSPTFHKMGREKVLLINAILPFGYELLMCDTDMVWLKNPLPYLARYPDADVLTSSDQVVPTVVDDRLADWKQVGAAYNIGIFHWRPTEPAIKLAKEWKDMLLADDKIWDQNGFNELVRRQSGPAVDDDSGLFYAFDGNLKLGILPESIFCSGHTYFVQAMYEQLRLEPYALHTTFQYAGTEGKRHRLREAMVFYDPPEYYDAPGGFLSFKPSIPKSLLLEGENNLESHFSLINYQMKQIRSALAIASLLNRTLVMPPLWCRLDRLWFPHPGVLVGSMTRQPFLCPLDHVFEVNVMLKDLPVEEFGPAINIREYSFLNNPLLPQQVKELWLDVQLCQEGTEDCHASSNTSRPGLLRFPKHSGEETFKTVFSAFKDVKVIQFSSMQDAFLGFSDKTREEKFRNRVKRYVGIWCCVDSHTPGHIYYDMYWDEKPGWKPAPPQTPEDDHPPF >EOY25367 pep chromosome:Theobroma_cacao_20110822:3:34043675:34048981:-1 gene:TCM_016700 transcript:EOY25367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucanase 113 isoform 2 MGGWRNAVQEAAGSKPLFLTIYATVIVGIVVSSFYVFSAIYSPSASTTQSVSTSWLSSPSLSQNGVSLSSGQSINISQPSGIASQPGSNNLRPIWEAPPRTSKMPPLKFFSLTKELVEERAKDNVIIVTFGNFAFMDFILTWVKHLTDLGVSNLLVGAMDTKLLKALYWKGIPAFDMGSHMSTIDVGWGSPTFHKMGREKVLLINAILPFGYELLMCDTDMVWLKNPLPYLARYPDADVLTSSDQVVPTVVDDRLADWKQVGAAYNIGIFHWRPTEPAIKLAKEWKDMLLADDKIWDQNGFNELVRRQSGPAVDDDSGLFYAFDGNLKLGILPESIFCSGHTYFVQAMYEQLRLEPYALHTTFQYAGTEGKRHRLREAMVFYDPPEYYDAPGGFLSFKPSIPKSLLLEGENNLESHFSLINYQMKQIRSALAIASLLNRTLVMPPLWCRLDRLWFPHPGVLVGSMTRQPFLCPLDHVFEVNVMLKDLPVEEFGPAINIREYSFLNNPLLPQQVNEYQW >EOY25368 pep chromosome:Theobroma_cacao_20110822:3:34043685:34048781:-1 gene:TCM_016700 transcript:EOY25368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucanase 113 isoform 2 MGGWRNAVQEAAGSKPLFLTIYATVIVGIVVSSFYVFSAIYSPSASTTQSVSTSWLSSPSLSQNGVSLSSGQSINISQPSGIASQPGSNNLRPIWEAPPRTSKMPPLKFFSLTKELVEERAKDNVIIVTFGNFAFMDFILTWVKHLTDLGVSNLLVGAMDTKLLKALYWKGIPAFDMGSHMSTIDVGWGSPTFHKMGREKVLLINAILPFGYELLMCDTDMVWLKNPLPYLARYPDADVLTSSDQVVPTVVDDRLADWKQVGAAYNIGIFHWRPTEPAIKLAKEWKDMLLADDKIWDQNGFNELVRRQSGPAVDDDSGLFYAFDGNLKLGILPESIFCSGHTYFVQAMYEQLRLEPYALHTTFQYAGTEGKRHRLREAMVFYDPPEYYDAPGGFLSFKPSIPKSLLLEGENNLESHFSLINYQMKQIRSALAIASLLNRTLVMPPLWCRLDRLWFPHPGVLVGSMTRQPFLCPLDHVFEVNVMLKDLPVEEFGPAINIREYSFLNNPLLPQQVNEYQWYKFKLFLFYDLLRETLVWNVVSGKGVVA >EOY24193 pep chromosome:Theobroma_cacao_20110822:3:30032869:30035296:-1 gene:TCM_015864 transcript:EOY24193 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome, subunit CSN8 isoform 1 MDFSVLNGALASKSFNRIADICDNLMLQVAAEGVAFQDEWPFAIHLLGHIYVDDINSARFLWKSIPAAIKESQPELVAAWKIGQKLWTRDYAGVYDAIRGFDWSQETQVLVASFSELYTKRMFGLLLSAYSTISIQDAAQFLGMSEDDATNYALRQGWTVDPVSQMLTVKKQAIVREQKLDPGKLQCLTEYVFHLEH >EOY24194 pep chromosome:Theobroma_cacao_20110822:3:30033057:30035141:-1 gene:TCM_015864 transcript:EOY24194 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome, subunit CSN8 isoform 1 MDFSVLNGALASKSFNRIADICDNLMLQVAAEGVAFQDEWPFAIHLLGHIYVDDINSARFLWKSIPAAIKESQPELVAAWKIGQKLWTRDYAGVYDAIRGFDWSQETQVLVASFSELYTKRMFGLLLSAYSTISIQDAAQFLGMSEDDATNYIRTFVLPVHAKCINLETLNKFGKDALRQGWTVDPVSQMLTVKKQAIVREQKLDPGKLQCLTEYVFHLEH >EOY20769 pep chromosome:Theobroma_cacao_20110822:3:1002769:1009739:1 gene:TCM_012106 transcript:EOY20769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 55 kDa regulatory subunit B beta isoform 2 MNLMSTRQPSTRASPPAPLDWKFSQVFGERSAGEDVQDVDRISAVEFEKSGDYLAVGDRGGRVVIFERKDGKATPDEHYSRSQLERLDFVSLDHPEYQYKTEFQSHEPEFDYLKSLEIEEKINKVKWCTTPNGSLFILSTNDKTIKLWKVKDRKVKKAKEVDPHQFVSSENSLLAERSFVSEQDIPSFTNGNNLEWKENVANNTFASQELHAKIGNNEDATYARCRRVYAHAHDFNINSISNNSDGETFISADDLRINLWNLEISNQSFNIIDMKPLNMEDLTEVITSAEFHPIHCNLLAYGSSRGFIRLVDMRQSALCDYSARILQDAECQGSRSFFTEIVASISDIKFSVDGRHILSRDYMDLKLWDINMDSSPVATFKIHEHLRPKLCDLYNNDSIFDKFECCLSGDGLHFATGSYSNLLRIFSHGVGSEEGSTVEASKNPNRKPLQRARRSSLSNLTRGFHKQGHENTTLGNEFSWSSSSKLQHVAWHPCANLIACASGNSLFFYYA >EOY20768 pep chromosome:Theobroma_cacao_20110822:3:1002447:1009721:1 gene:TCM_012106 transcript:EOY20768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 55 kDa regulatory subunit B beta isoform 2 MNLMSTRQPSTRASPPAPLDWKFSQVFGERSAGEDVQDVDRISAVEFEKSGDYLAVGDRGGRVVIFERKDGKATPDEHYSRSQLERLDFVSLDHPEYQYKTEFQSHEPEFDYLKSLEIEEKINKVKWCTTPNGSLFILSTNDKTIKLWKVKDRKVKKAKEVDPHQFVSSENSLLAERSFVSEQDIPSFTNGNNLEWKENVANNTFASQELHAKIGNNEDATYARCRRVYAHAHDFNINSISNNSDGETFISADDLRINLWNLEISNQSFNIIDMKPLNMEDLTEVITSAEFHPIHCNLLAYGSSRGFIRLVDMRQSALCDYSARILQDAECQGSRSFFTEIVASISDIKFSVDGRHILSRDYMDLKLWDINMDSSPVATFKIHEHLRPKLCDLYNNDSIFDKFECCLSGDGLHFATGSYSNLLRIFSHGVGSEEGSTVEASKNPNSRKPLQRARRSSLSNLTRGFHKQGHENTTLGNEFSWSSSSKLQHVAWHPCANLIACASGNSLFFYYA >EOY21201 pep chromosome:Theobroma_cacao_20110822:3:4048327:4052742:1 gene:TCM_012584 transcript:EOY21201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C3HC4-type RING finger) family protein isoform 3 MAHDSIQLPCDADGACMRCKATPPTEETLTCSMCATPWHVACLASPPETLVSTLQWHCPDCSGDPLLSAAAVVDGSSSELLASIKAIEADESLTEKEKARKRQELVSGRVEEDGDTKKGKGKEKDSSVLDVLDSSLNCSFCMQLPDRPVTTPCGHNFCLKCFQKWIGQGKRTCAKCRSTIPPKMASQPRINSTLVSVIRMAKLSKSNVAAESHKVFHFMHNQDRPDKAFTTERAQKAGKANAASGKIFVTVPPDHFGPITAENDPARNQGVLVGECWEDRLECRQWGAHLPHVAGIAGQSNYGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSFDQKFEKMNEALRVSCKKGYPVRVVRSHKEKRSSYAPEKGVRYDGVYRIEKCWRKVGIQGFKVCRYLFVRCDNEPAPWTSDEHGDRPRPLPAILELKKAIDISERKESPSWDFDEEDSCWKWKKPPPPSKKPVNAADLEERKRARKAIRQARNTTSSVV >EOY21202 pep chromosome:Theobroma_cacao_20110822:3:4048327:4052742:1 gene:TCM_012584 transcript:EOY21202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C3HC4-type RING finger) family protein isoform 3 MAHDSIQLPCDADGACMRCKATPPTEETLTCSMCATPWHVACLASPPETLVSTLQWHCPDCSGDPLLSAAAVVDGSSSELLASIKAIEADESLTEKEKARKRQELVSGRVEEDGDTKKGKGKEKDSSVLDVLDSSLNCSFCMQLPDRPVTTPCGHNFCLKCFQKWIGQGKRTCAKCRSTIPPKMASQPRINSTLVSVIRMAKLSKSNVAAESHKVFHFMHNQDRPDKAFTTERAQKAGKANAASGKIFVTVPPDHFGPITAENDPARNQGVLVGECWEDRLECRQWGAHLPHVAGIAGQSNYGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSFDQKFEKMNEALRVSCKKGYPVRVVRSHKEKRSSYAPEKGVRYDGVYRIEKCWRKVGIQGFKVCRYLFVRCDNEPAPWTSDEHGDRPRPLPAILELKKAIDISERKESPSWDFDSSVV >EOY21200 pep chromosome:Theobroma_cacao_20110822:3:4048546:4054002:1 gene:TCM_012584 transcript:EOY21200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C3HC4-type RING finger) family protein isoform 3 MAHDSIQLPCDADGACMRCKATPPTEETLTCSMCATPWHVACLASPPETLVSTLQWHCPDCSGDPLLSAAAVVDGSSSELLASIKAIEADESLTEKEKARKRQELVSGRVEEDGDTKKGKGKEKDSSVLDVLDSSLNCSFCMQLPDRPVTTPCGHNFCLKCFQKWIGQGKRTCAKCRSTIPPKMASQPRINSTLVSVIRMAKLSKSNVAAESHKVFHFMHNQDRPDKAFTTERAQKAGKANAASGKIFVTVPPDHFGPITAENDPARNQGVLVGECWEDRLECRQWGAHLPHVAGIAGQSNYGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSFDQKFEKMNEALRVSCKKGYPVRVVRSHKEKRSSYAPEKGVRYDGVYRIEKCWRKVGIQGFKVCRYLFVRCDNEPAPWTSDEHGDRPRPLPAILELKKAIDISERKESPSWDFDEEDSCWKWKKPPPPSKKPVNAADLEERKRARKAIRQARNTTVRERLLKEFSCLICRQVMNLPVTTPCAHNFCKSCFEGAFTGKTAVRERNKGGRTLRSQKNILHCPCCPTDVSDFLQNLQVNRELMDVIESLKQKSEENPDPTEDSSEEQTNGLEENADLGSGDSETGKEAEKTDPKDDSEIPLPDCEPKSKRRKVDAARVAASVENDSPSSTLQVHSSDDDFE >EOY24548 pep chromosome:Theobroma_cacao_20110822:3:31395388:31398438:-1 gene:TCM_016120 transcript:EOY24548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 2 MRKKSPINLLQGHTASVLFGSLNLFFSLIYAHTQEMEPQDSPLKIARFADFGIGLTNLGDSWNMESNRLSGGEGSPPIGLGLELGCGSGHRPTGFTKSCGFTVFQLQELQLQSLIYKYMEAGLPVPHHLLLPIWKSFAGSVGGLHGNPYQLYSGFLGCGPLHLEYKNGLDPEPGRCRRTDGKKWRCSKEAVPDHKYCERHMHRGRQRSRKLVEASQATTSSTGISRTNADTNLSISLQVDSSSSSGSHLSSSFIGFSPKSVLRGETLMVFVGLPGEKDEQHGLHHLI >EOY20804 pep chromosome:Theobroma_cacao_20110822:3:1330097:1331849:-1 gene:TCM_012152 transcript:EOY20804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2759 isoform 2 STGAPRTRGLKAKNIRISAFKGSAQSNESGGRANDASVPKNSIKVAYVPKDGEETTIESSKAHNGQLAYASETSETIVGSPAIQKLFKKWIMILRSQSPSQVMDEALGEGLPPRDTSETQVGTQSNRQSEFLKIVWSHFWSMDATIKIPFLIFVPWYLAVSLIYGAEVSKELTPLWVFGPLIVALYIKILRGLCALYVFCFKQTVQIIRNLPTYYLLAYNYIARGKLKEDVRARFWQPVVDLKNLDYKELSRRKMKDFQEWAMEKYLDFVESIWPYYCRTIRFLKRANLI >EOY20803 pep chromosome:Theobroma_cacao_20110822:3:1330146:1334004:-1 gene:TCM_012152 transcript:EOY20803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2759 isoform 2 MVLVTHQLQGSYVAFPSRPLPWSKGLALRRHVVTLHMLGSRERGISLKHNVCLSTGAPRTRGLKAKNIRISAFKGSAQSNESGGRANDASVPKNSIKVAYVPKDGEETTIESSKAHNGQLAYASETSETIVGSPAIQKLFKKWIMILRSQSPSQVMDEALGEGLPPRDTSETQVGTQSNRQSEFLKIVWSHFWSMDATIKIPFLIFVPWYLAVSLIYGAEVSKELTPLWVFGPLIVALYIKILRGLCALYVFCFKQTVQIIRNLPTYYLLAYNYIARGKLKEDVRARFWQPVVDLKNLDYKELSRRKMKDFQEWAMEKYLDFVESIWPYYCRTIRFLKRANLI >EOY24716 pep chromosome:Theobroma_cacao_20110822:3:32007401:32011313:-1 gene:TCM_016244 transcript:EOY24716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase isoform 7 MQYVKCLNFFQDLLKAKALERGRLLGLDVGDKYVGLAVSDLDNKIATPLSVLIRKKTNIDLMASDFQSLAVQVKLFIDDLSKTGKLDGVKYTFWNECFTSKNADLLLKPLSLHPILSKTIVDKFAAVQILQTYLDYGKKNVKLETAG >EOY24713 pep chromosome:Theobroma_cacao_20110822:3:32007675:32011100:-1 gene:TCM_016244 transcript:EOY24713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase isoform 7 MQYVKCLNFFQDLLKAKALERGRLLGLDVGDKYVGLAVSDLDNKIATPLSVLIRKKTNIDLMASDFQSLAVQVKLFIDDLSKTGKLDGVKYTFWNECFTSKQYMTSSKESHSLSFQQNADLLLKPLSLHPILSKTIVDKFAAVQILQTYLDYGKKNVKLETAG >EOY24714 pep chromosome:Theobroma_cacao_20110822:3:32007391:32011558:-1 gene:TCM_016244 transcript:EOY24714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase isoform 7 MQYVKCLNFFQDLLKAKALERGRLLGLDVGDKYVGLAVSDLDNKIATPLSVLIRKKTNIDLMASDFQSLAVQVKLFIDDLSKTGKLDGVKYTFWNECFTSKNADLLLKPLSLHPILSKTIVDKFAAVQILQTYLDYGKKNVKLETAG >EOY24709 pep chromosome:Theobroma_cacao_20110822:3:32007397:32011413:-1 gene:TCM_016244 transcript:EOY24709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase isoform 7 MQYVKCLNFFQDLLKAKALERGRLLGLDVGDKYVGLAVSDLDNKIATPLSVLIRKKTNIDLMASDFQSLISELSLVGFIVGYPFDRQQRAPDAVQVKLFIDDLSKTGKLDGVKYTFWNECFTSKQYMTSSKESHSLSFQQNADLLLKPLSLHPILSKTIVDKFAAVQILQTYLDYGKKNVKLETAG >EOY24710 pep chromosome:Theobroma_cacao_20110822:3:32007675:32011100:-1 gene:TCM_016244 transcript:EOY24710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase isoform 7 MQYVKCLNFFQDLLKAKALERGRLLGLDVGDKYVGLAVSDLDNKIATPLSVLIRKKTNIDLMASDFQSLISELSLVGFIVGYPFDRQQRAPDAVQVKLFIDDLSKTGKLDGVKYTFWNECFTSKQYMTSSKESHSLSFQQNADLLLKPLSLHPILSKTIVDKFAAVQILQTYLDYGKKNVKLETAG >EOY24715 pep chromosome:Theobroma_cacao_20110822:3:32007675:32011100:-1 gene:TCM_016244 transcript:EOY24715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase isoform 7 MQYVKCLNFFQDLLKAKALERGRLLGLDVGDKYVGLAVSDLDNKIATPLSVLIRKKTNIDLMASDFQSLAVQVKLFIDDLSKTGKLDGVKYTFWNECFTSKQYMTSSKESHSLSFQQNADLLLKPLSLHPILSKTIVDKFAAVQILQTYLDYGKKNVKLETAG >EOY24711 pep chromosome:Theobroma_cacao_20110822:3:32007675:32011100:-1 gene:TCM_016244 transcript:EOY24711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase isoform 7 MQYVKCLNFFQDLLKAKALERGRLLGLDVGDKYVGLAVSDLDNKIATPLSVLIRKKTNIDLMASDFQSLISELSLVGFIVGYPFDRQQRAPDAVQVKLFIDDLSKTGKLDGVKYTFWNECFTSKQYMTSSKESHSLSFQQNADLLLKPLSLHPILSKTIVDKFAAVQILQTYLDYGKKNVKLETAG >EOY24708 pep chromosome:Theobroma_cacao_20110822:3:32007397:32011458:-1 gene:TCM_016244 transcript:EOY24708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase isoform 7 MQYVKCLNFFQDLLKAKALERGRLLGLDVGDKYVGLAVSDLDNKIATPLSVLIRKKTNIDLMASDFQSLISELSLVGFIVGYPFDRQQRAPDAVQVKLFIDDLSKTGKLDGVKYTFWNECFTSKNADLLLKPLSLHPILSKTIVDKFAAVQILQTYLDYGKKNVKLETAG >EOY24712 pep chromosome:Theobroma_cacao_20110822:3:32007401:32011313:-1 gene:TCM_016244 transcript:EOY24712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase isoform 7 MQYVKCLNFFQDLLKAKALERGRLLGLDVGDKYVGLAVSDLDNKIATPLSVLIRKKTNIDLMASDFQSLISELSLVGFIVGYPFDRQQRAPDAVQVKLFIDDLSKTGKLDGVKYTFWNECFTSKNADLLLKPLSLHPILSKTIVDKFAAVQILQTYLDYGKKNVKLETAG >EOY22282 pep chromosome:Theobroma_cacao_20110822:3:22813512:22816207:1 gene:TCM_014500 transcript:EOY22282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 71, subfamily A, polypeptide 25, putative MSKFLKFSEQDEIFFLSYPWLFAILAIFFVVLLKHIFNPSTSNAKLALPPSPSKFPIFGNLHNIGLQPHRSLRALAQRFGPLMLLHLGSVPVLVVSSSDAAREIMKTHDLTFANRPKSSSSQKLLYDYKDVSSAPYGEYWRQMKSACVLHFLSNKRVQSFRVVREEETALIIDKIKESSSSALPVNLTEIFAMLTNNVICRAALGRKYSEGEGGKKFKKLLCEFVELLGGFNVGDYIPWLAWVSRINGLEAKMEKVAKEFDAFLEGVVDEHMDRYKRGHNDHDDTRLQHEEQKSFVDVLLEIQRENTVGFPLERVSVKALILDMFAAGTDTTYTVLEWAMTELLRHPEIMKELQKEVRQVCGDETYVSEDDLDKMHYLKAVIKESLRLHPPIPLLVPRISTEDVKIKGYDIARGTQVIINAWAIGRDPLSWAKPEEFLPERILNNSIDFKGHDFELIPFGSGRRICPGILFAMKINELFLANLVHKFDWSLPGGAKEEDLDMGESFGLTTHRRYPLIAIANPSSF >EOY23761 pep chromosome:Theobroma_cacao_20110822:3:28511627:28514784:-1 gene:TCM_015560 transcript:EOY23761 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAS/WASL-interacting protein family member 1 MMSFSLSPLVTPYPAIAAAKGASNSNEHPKFLSLNLQNGKSKDLVGICLRKAKSCSGTRRRFCCKSQLADFAPVTSAAYGVLLFSGGLFAYTKSGSKGSLFGGLTGAALMASAYFLMQASETKAIGEALGFGSAFLFSSVFGIRLAATRKLIPAGPLLGVSICALVVFTSAYLQNSL >EOY20829 pep chromosome:Theobroma_cacao_20110822:3:1398205:1398881:1 gene:TCM_012169 transcript:EOY20829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18e/L15 superfamily protein MTTRFKKHRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNIDKLWSMVPQDVKDKAKCSKDSAPLIDVTQFGYFKVLGKGVLPENQPIVVKAKLVSKTAEKKIKEAGGAVVLTA >EOY23029 pep chromosome:Theobroma_cacao_20110822:3:25862395:25872035:-1 gene:TCM_015041 transcript:EOY23029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucomutase isoform 1 MASCCNSMGLEGFFSTAFRSAKRSSNAHPIPSSFLSSSSSSSSHLDTRCFPLKTNSFSVSHSPSFTIKASSSSSTTIAEPEGIKINSVPTKPFEGQKTGTSGLRKKVQVFMQENYLSNWIQALFNSLPPKDYKNGVLVLGGDGRYFNREAAQIIIKIAAGNGVGKILVGREGIMSTPAVSAVIRKRKANGGFIMSASHNPGGPEYDWGIKFNYSSGQPAPESITDKIYGNTLSISEIKMAEIPDVDLSRLGVTKYGNFSVEVIDPVSDYLELMESVFDFQLIRSLLSRSGFRFVFDAMHAVTGAYAKPIFVDKLGASLDSISNGVPLEDFGHGHPDPNLTYAKGLVNTMYSENGPDFGAASDGDGDRNMILGKKFFVTPSDSVAIIAANAQEAIPYFRNGPKGLARSMPTSGALDRVAEKLSLTFFEVPTGWKFFGNLMDDGKLSICGEESFGTGSDHIREKDGIWAVLAWLSIIAYRNKDKKPGETLVSVSDVVKEHWATYGRNFFSRYDYEECESEGANKMIEYLRDLVSKNKEGAKYGDYVLQFADDFAYTDPVDGSVASKQGVRFVFTDGSRIIFRLSGTGSAGATIRIYIEQFEPDVSKHDMDAQVALKRLIDLALSVSKLKDFTGREKPTVIT >EOY23030 pep chromosome:Theobroma_cacao_20110822:3:25863455:25871350:-1 gene:TCM_015041 transcript:EOY23030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucomutase isoform 1 MASCCNSMGLEGFFSTAFRSAKRSSNAHPIPSSFLSSSSSSSSHLDTRCFPLKTNSFSVSHSPSFTIKASSSSSTTIAEPEGIKINSVPTKPFEGQKTGTSGLRKKVQVFMQENYLSNWIQALFNSLPPKDYKNGVLVLGGDGRYFNREAAQIIIKIAAGNGVGKILVGREGIMSTPAVSAVIRKRKANGGFIMSASHNPGGPEYDWGIKFNYSSGQPAPESITDKIYGNTLSISEIKMAEIPDVDLSRLGVTKYGNFSVEVIDPVSDYLELMESVFDFQLIRSLLSRSGFRFVFDAMHAVTGAYAKPIFVDKLGASLDSISNGVPLEDFGHGHPDPNLTYAKGLVNTMYSENGPDFGAASDGDGDRNMILGKKFFVTPSDSVAIIAANAQEAIPYFRNGPKGLARSMPTSGALDRVAEKLSLTFFEVPTGWKFFGNLMDDGKLSICGEESFGTGSDHIREKDGIWAVLAWLSIIAYRNKDKKPGETLVSVSDVVKEHWATYGRNFFSRYDYEECESEGANKMIEYLRDLVSKNKEGAKYGKFATKPYTCCPTYCNILILYLFNCLRFLIVGDYVLQFADDFAYTDPVSFSSLHFSWSLLKM >EOY22590 pep chromosome:Theobroma_cacao_20110822:3:24084485:24087889:-1 gene:TCM_014720 transcript:EOY22590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 27 MIDFARVQKELQECSREKDTSGIKVSPKSDNLARLTGIIPGPLGTPYEGGSFEIDITLPDGYPFEPPKMKFVTKVWHPNISSQSGAICLDILKDQWSPALTLKTALLSVQALLSAPEPDDPQDAVVAQQYLREYQTFVGTARYWTESFAKASSLGLEEKVQRLVEMGFAVGLVRSTLEAVGGDENLALEKLLSS >EOY23327 pep chromosome:Theobroma_cacao_20110822:3:27017000:27020365:-1 gene:TCM_015255 transcript:EOY23327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 TATAASTASSAEDPNKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHLPPPRPKRKAAHPYPQKASKNAQPHQQVSGSFQSSAALLDTGYVLRSDPSSMLMNPNTSAAASSWTNNAQTVSFSQAKKGSGLANNSCSSTESTPKTRQIGEMTDQGNHGHALRVLPDFAQVYSFIGSIFDPNVTGHLQKLKKMDPIDVETVLLLMRNLSINLTSPDFEDHVSCFLPMRLRQRQLITVVCVKLFIMTNPKV >EOY23329 pep chromosome:Theobroma_cacao_20110822:3:27016593:27020983:-1 gene:TCM_015255 transcript:EOY23329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MVSKNPNPAEGFYLDPTGMALPGLAPFTTTATAASTASSAEDPNKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHLPPPRPKRKAAHPYPQKASKNAQPHQQVSGSFQSSAALLDTGYVLRSDPSSMLMNPNTSAAASSWTNNAQTVSCCFLPMRLRQRQLITVVCVKLFIMTNPKV >EOY23319 pep chromosome:Theobroma_cacao_20110822:3:27016885:27020983:-1 gene:TCM_015255 transcript:EOY23319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MVSKNPNPAEGFYLDPTGMALPGLAPFTTTATAASTASSAEDPNKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHLPPPRPKRKAAHPYPQKASKNAQPHQQVSGSFQSSAALLDTGYVLRSDPSSMLMNPNTSAAASSWTNNAQTVSFSQAKKGSGLANNSCSSTESTPKTRQIGEMTDQGNHGHALRVLPDFAQVYSFIGSIFDPNVTGHLQKLKKMDPIDVETVLLLMRNLSINLTSPDFEDHRRLLSSYEIETEAIDHGGVCKAVHNDQSKSIT >EOY23326 pep chromosome:Theobroma_cacao_20110822:3:27017290:27020365:-1 gene:TCM_015255 transcript:EOY23326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 TATAASTASSAEDPNKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHLPPPRPKRKAAHPYPQKASKNAQPHQQVSGSFQSSAALLDTGYVLRSDPSSMLMNPNTSAAASSWTNNAQTVSFSQAKKGSGLANNSCSSTESTPKTRQIGEMTDQGNHGHALRVLPDFAQVYSFIGSIFDPNVTGHLQKLKKMDPIDVETVWFILHITFYTILPDIATDMIAYLSFSYSGTAVDEKPLHQSDKS >EOY23321 pep chromosome:Theobroma_cacao_20110822:3:27017502:27020451:-1 gene:TCM_015255 transcript:EOY23321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MVSKNPNPAEGFYLDPTGMALPGLAPFTTTATAASTASSAEDPNKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHLPPPRPKRKAAHPYPQKASKNAQPHQQVSGSFQSSAALLDTGYVLRSDPSSMLMNPNTSAAASSWTNNAQTVSFSQAKKGSGLANNSCSSTESTPKTRQIGEMTDQGNHGHALRVLPDFAQVYSFIGSIFDPNVTGHLQKLKKMDPIDVETVLLLMRNLSINLTSPDFEDHVAFFL >EOY23322 pep chromosome:Theobroma_cacao_20110822:3:27016708:27020428:-1 gene:TCM_015255 transcript:EOY23322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MALPGLAPFTTTATAASTASSAEDPNKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHLPPPRPKRKAAHPYPQKASKNAQPHQQVSGSFQSSAALLDTGYVLRSDPSSMLMNPNTSAAASSWTNNAQTVSFSQAKKGSGLANNSCSSTESTPKTRQIGEMTDQGNHGHALRVLPDFAQVYSFIGSIFDPNVTGHLQKLKKMDPIDVETVLLLMRNLSINLTSPDFEDHRRLLSSYEIETEAIDHGGVCKAVHNDQSKSIT >EOY23323 pep chromosome:Theobroma_cacao_20110822:3:27017078:27020365:-1 gene:TCM_015255 transcript:EOY23323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 TATAASTASSAEDPNKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHLPPPRPKRKAAHPYPQKASKNAQPHQQVSGSFQSSAALLDTGYVLRSDPSSMLMNPNTSAAASSWTNNAQTVSFSQAKKGSGLANNSCSSTESTPKTRQIGEMTDQGNHGHALRVLPDFAQVYSFIGSIFDPNVTGHLQKLKKMDPIDVETVLLLMRNLSINLTSPDFEDHVSCFLPMRLRQRQLITVVCVKLFIMTNPKV >EOY23324 pep chromosome:Theobroma_cacao_20110822:3:27017426:27020451:-1 gene:TCM_015255 transcript:EOY23324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MVSKNPNPAEGFYLDPTGMALPGLAPFTTTATAASTASSAEDPNKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHLPPPRPKRKAAHPYPQKASKNAQPHQQVSGSFQSSAALLDTGYVLRSDPSSMLMNPNTSAAASSWTNNAQTVSFSQAKKGSGLANNSCSSTESTPKTRQIGEMTDQGNHGHALRVLPDFAQVYSFIGSIFDPNVTGHLQKLKKMDPIDVETVLLLMRNLSINLTSPDFEDHRRLLSSYEIETEAIDHGGVCKAVHNDQSKSIT >EOY23328 pep chromosome:Theobroma_cacao_20110822:3:27016871:27020365:-1 gene:TCM_015255 transcript:EOY23328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 TATAASTASSAEDPNKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHLPPPRPKRKAAHPYPQKASKNAQPHQQVSGSFQSSAALLDTGYVLRSDPSSMLMNPNTSAAASSWTNNAQTVSFSQAKKGSGLANNSCSSTESTPKTRQIGEMTDQGNHGHALRVLPDFAQVYSFIGSIFDPNVTGHLQKLKKMDPIDVETVLLLMRNLSINLTSPDFEDHVSCFLPMRLRQRQLITVVCVKLFIMTNPKV >EOY23320 pep chromosome:Theobroma_cacao_20110822:3:27017426:27020451:-1 gene:TCM_015255 transcript:EOY23320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MVSKNPNPAEGFYLDPTGMALPGLAPFTTTATAASTASSAEDPNKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHLPPPRPKRKAAHPYPQKASKNAQPHQQVSGSFQSSAALLDTGYVLRSDPSSMLMNPNTSAAASSWTNNAQTVSFSQAKKGSGLANNSCSSTESTPKTRQIGEMTDQGNHGHALRVLPDFAQVYSFIGSIFDPNVTGHLQKLKKMDPIDVETVLLLMRNLSINLTSPDFEDHRRLLSSYEIETEAIDHGGVCKAVHNDQSKSIT >EOY23325 pep chromosome:Theobroma_cacao_20110822:3:27016677:27020983:-1 gene:TCM_015255 transcript:EOY23325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MVSKNPNPAEGFYLDPTGMALPGLAPFTTTATAASTASSAEDPNKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHLPPPRPKRKAAHPYPQKASKNAQPHQQVSGSFQSSAALLDTGYVLRSDPSSMLMNPNTSAAASSWTNNAQTVSFSQAKKGSGLANNSCSSTESTPKTRQIGEMTDQGNHGHALRVLPDFAQVYSFIGSIFDPNVTGHLQKLKKMDPIDVETVLLLMRNLSINLTSPDFEDHRRLLSSYEIETEAIDHGGVCKAVHNDQSKSIT >EOY23219 pep chromosome:Theobroma_cacao_20110822:3:26689900:26699093:1 gene:TCM_015191 transcript:EOY23219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor 100 isoform 2 MGTSVQVTPLCGVYNENPLSYLVSIDGFNFLIDCGWNDLFDPSLLQPLSRVAPTIDAVLLSHPDTLHLGALPYAMKQFGLSAPVYSTEPVFRLGLLTMYDQYLSRKQVSEFELFTLDDIDSAFQNVTRLTYSQNYHLSGKGEGIVIAPHVAGHLLGGTVWKITKDGEDVIYAVDFNRRKEKHLNGTVLESFVRPAVLITDAYNALNNQPPKQQRERDRDFVDTISRTLEAGGNVLLPVDTTGRVLELLLVLEEHWAMKSLNYPIFFLTYVSSSTIDYVKSFLEWMSDAIAKSFETSRDNAFLLRHVTLLISKNELDKVPDGPKVVLASMASLEAGFSHDIFVEWAADVKNLVLFTERGQFGTLARMLQADPPPKAVKVMMSRRVPLVGEELIAHEEEQNRLKKEEALKASLIKEEESKASIVPDISSSDPMVIDTNNKHSSLDGLGQHGSGYRDILIDGFVPPSTSVAPMFPFYENASDWDDFGEVINPDDYVIKDEDMDQAAMHVGGDMDGKVDEASASLIVDTTPSKVISNELTVQVKSSLIYMDYEGRSDGRSVKSILAHVAPLKLVLVHGSAEATEHLKQHCLKHVCPHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVLFKKLGDYEIAWVDAEVGKTENEMLSLLPLSTPAPPHKSVVVGDLKLADFKQFLASKGVKVEFAGGALRCGEYVTLRKVGFASQKGGGSGTQQIIIEGPLCEDYYKIRDYLYSQFYLL >EOY23220 pep chromosome:Theobroma_cacao_20110822:3:26690143:26698515:1 gene:TCM_015191 transcript:EOY23220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor 100 isoform 2 MGTSVQVTPLCGVYNENPLSYLVSIDGFNFLIDCGWNDLFDPSLLQPLSRVAPTIDAVLLSHPDTLHLGALPYAMKQFGLSAPVYSTEPVFRLGLLTMYDQYLSRKQVSEFELFTLDDIDSAFQNVTRLTYSQNYHLSGKGEGIVIAPHVAGHLLGGTVWKITKDGEDVIYAVDFNRRKEKHLNGTVLESFVRPAVLITDAYNALNNQPPKQQRERDRDFVDTISRTLEAGGNVLLPVDTTGRVLELLLVLEEHWAMKSLNYPIFFLTYVSSSTIDYVKSFLEWMSDAIAKSFETSRDNAFLLRHVTLLISKNELDKVPDGPKVVLASMASLEAGFSHDIFVEWAADVKNLVLFTERGQFGTLARMLQADPPPKAVKVMMSRRVPLVGEELIAHEEEQNRLKKEEALKASLIKEEESKASIVPDISSSDPMVIDTNNKHSSLDGLGQHGSGYRDILIDGFVPPSTSVAPMFPFYENASDWDDFGEVINPDDYVIKDEDMDQAAMHVGGDMDGKVDEASASLIVDTTPSKVISKTGRFQAVSC >EOY23682 pep chromosome:Theobroma_cacao_20110822:3:28218509:28222350:-1 gene:TCM_015496 transcript:EOY23682 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEP1 receptor 1, putative MPPTEGPCMFNKNMVLLFQYHVIFSVIIFLVSSISLPSPAIYLLQFLDSLPKHTQLLLPWNQSNSPKSHCQWAGVSCCSNKSFQVRALNLSGFGLSGILNNSVPYLCLHKYMVSLDLSGNSFSGNIPQMLGNCGQLNTILLNDNGFGGSIPQQIFMSKWLRRIDLGYNSLSGEISPEVSLCTNLEYIGLYNNFLTGEAPSGMFSLPNLKFIYLNTNNLTGSLPDFTPSCGILDLWIHENELSGSLPRTLGNCYNLTTFIASYNNFGGVIPPETFKGLLQLKVLYLDENNLEGEIPDTFWSLENLQELVLSGNKLNGTISERIAQCNQLAVIALSGNNLVGRIPQSIGNLTGLTYLFIFNNMLNGSLPPELGNCSSLVELRLQHNFIGGSIPPEICNLESLEVLFMFSNHIEGRIPHGIGRLSNLAQLALKNFPALVRLDLSGNRLNGSIPSGICAGGDFSVLALGNNRFSGSFPTDIGKCSSLKRVVLRNNLLQGPMPADLEENCGIFFLDVQGNLLEGKIPSVFGHWTNLSMLDFSQNRLSGSIPSELGKLENLQILRVSSNRLTGSIPSELGHCKKIIKLDLSANYLSGSLSSEILSSPKLQSLLLQENKLVGIIPDSFSSLQSLLELQLGSNMLQGPIPCSLSNLHHFSSVLNLSNNRLSGEIPACLGKLDKLQILDLSSNSFYGEIPVDMNNMISLYFVNISFNHLKGKLPSAWMRIIASYPGSFIGNAELCPLGDEAGYCRELRKGNGRGRVLAGVITAVVVSVALLCAVIYILVVRLLQKKHSYDQTLLHERQSRTEDLPENLKIEDIIRATEGWSDKYIIGRGKHGTVYRTESSDSRNQWAVKKVNLSDTNFRLEMRTLRLIRHRNILRMAGYCIRDGYGFIVTEFMSGGTLFDVLHQSQPRLVLNWDTRHRIAFGIAHGLSYLHHDCVPQIIHRDIKSDNILLDSEFEPKIGDFGIAKLVCDTDSSSTRSAIVGTLGYIAPENAYSTQLTEKCDVYSYGVILLEILCRKLPVDPCFQEGLDIVSWARQNLQDNEEYICFLDEEISLWVNDEQQKALRLLELALHCTQAMADTRPSMRDVVASLIRLNDKA >EOY24482 pep chromosome:Theobroma_cacao_20110822:3:31152795:31155803:-1 gene:TCM_016077 transcript:EOY24482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I peptide chain release factor MAVIRTSANMILKEILYHSPLSSISLSSSARAVLPGFCGTIRVTPSRGISFSRIRCAASDASAERKVSARLSQVQQLLQEAEERASSAGNEPTPQITLDHVTVSFARSGGPGGQNVNKVNTKVDMRFNVKNAYWLSDRIRERIMQLEKNRINKDGEIVISSTKTRTQKGNIDDALAKLQTIIDAAAYVPPPPSEEQKKKIAKMAAIGEQKRLKSKKALSDKKAFRRSRDSWD >EOY23541 pep chromosome:Theobroma_cacao_20110822:3:27799436:27801619:1 gene:TCM_015408 transcript:EOY23541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSKSTLTCRSAEEMPINFFHPVFDECQPQWDGDLGATRPHLVSHDRSLSYRIIQKLAAMGQNRNTSFQL >EOY21263 pep chromosome:Theobroma_cacao_20110822:3:5377569:5381554:1 gene:TCM_012708 transcript:EOY21263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MLKAMRGSHRCRQLHSISKLQPKPNHYPSKKALAQKKHNYNKPQPVVDSDIKQWNLAISTHMRNAQLDSALRVFNSMPRRSSVSYNAMISGYLMNDKFELARNLFDEMPERDLVSWNVMISGCVRNNNVAAAKELFEQMPERDVVSWNAMLSGYAQNGCVDKARKIFDRMPTKNSISWNALLATYVQNGRMEEACTLFESKADWDLVSWNCLMGGFVKTKRFIDARRIFDRIPFRDKISWNTIITGYAQNGEIEEARRLFHESPVRDVFTWTAMVSGYVQNGMVDKAREFFEEMPEKNAVSWNAMIAGYVQCKRMDMARKLFDKMPCRNVTSLNTIITGYAQSGEIAHARELFDRMPRRDPVSWAAMIAGYAQSGYDEEALCLFVEMKRDGERLNRSSFACALSTCAHIAALEMGKQLHGRLVKAGYESGSFVGNALLLMYCKCGGIEEACSAFEEIMEKDVVSWNTMIAGYARHGFGKEALKVFESMKAAGVKPDDTTMVGVLSACSHAGLVDRGREYFYCMNQDYAIKANSRHYTCMVDLLGRAGRLDEALKLIRNMPFEPDAATWGALLGASRIHGNTKLAEMAAQLIFEMEPENAGMYVLLSNLYAASGRWADVSRMRLQMRDTGVKKVPGCSWLEVQSKIHTFSVGDSCHPDRDKIYAYLEELDLKMKQEGYVSSTNLILHDVDNEEKEHMLKYHSEKLAVAFGILSIPAGRPIRVIKNLRVCEDCHNAIKYISKIVGRLIILRDSNRFHHFREGSCSCGDYW >EOY21264 pep chromosome:Theobroma_cacao_20110822:3:5377569:5381554:1 gene:TCM_012708 transcript:EOY21264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MLKAMRGSHRCRQLHSISKLQPKPNHYPSKKALAQKKHNYNKPQPVVDSDIKQWNLAISTHMRNAQLDSALRVFNSMPRRSSVSYNAMISGYLMNDKFELARNLFDEMPERDLVSWNVMISGCVRNNNVAAAKELFEQMPERDVVSWNAMLSGYAQNGCVDKARKIFDRMPTKNSISWNALLATYVQNGRMEEACTLFESKADWDLVSWNCLMGGFVKTKRFIDARRIFDRIPFRDKISWNTIITGYAQNGEIEEARRLFHESPVRDVFTWTAMVSGYVQNGMVDKAREFFEEMPEKNAVSWNAMIAGYVQCKRMDMARKLFDKMPCRNVTSLNTIITGYAQSGEIAHARELFDRMPRRDPVSWAAMIAGYAQSGYDEEALCLFVEMKRDGERLNRSSFACALSTCAHIAALEMGKQLHGRLVKAGYESGSFVGNALLLMYCKCGGIEEACSAFEEIMEKDVVSWNTMIAGYARHGFGKEALKVFESMKAAGVKPDDTTMVGVLSACSHAGLVDRGREYFYCMNQDYAIKANSRHYTCMVDLLGRAGRLDEALKLIRNMPFEPDAATWGALLGASRIHGNTKLAEMAAQLIFEMEPENAGMYVLLSNLYAASGRWADVSRMRLQMRDTGVKKVPGCSWLEVQSKIHTFSVGDSCHPDRDKIYAYLEELDLKMKQEGYVSSTNLILHDVDNEEKEHMLKYHSEKLAVAFGILSIPAGRPIRVIKNLRVCEDCHNAIKYISKIVGRLIILRDSNRFHHFREGSCSCGDYW >EOY21265 pep chromosome:Theobroma_cacao_20110822:3:5377569:5381554:1 gene:TCM_012708 transcript:EOY21265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MLKAMRGSHRCRQLHSISKLQPKPNHYPSKKALAQKKHNYNKPQPVVDSDIKQWNLAISTHMRNAQLDSALRVFNSMPRRSSVSYNAMISGYLMNDKFELARNLFDEMPERDLVSWNVMISGCVRNNNVAAAKELFEQMPERDVVSWNAMLSGYAQNGCVDKARKIFDRMPTKNSISWNALLATYVQNGRMEEACTLFESKADWDLVSWNCLMGGFVKTKRFIDARRIFDRIPFRDKISWNTIITGYAQNGEIEEARRLFHESPVRDVFTWTAMVSGYVQNGMVDKAREFFEEMPEKNAVSWNAMIAGYVQCKRMDMARKLFDKMPCRNVTSLNTIITGYAQSGEIAHARELFDRMPRRDPVSWAAMIAGYAQSGYDEEALCLFVEMKRDGERLNRSSFACALSTCAHIAALEMGKQLHGRLVKAGYESGSFVGNALLLMYCKCGGIEEACSAFEEIMEKDVVSWNTMIAGYARHGFGKEALKVFESMKAAGVKPDDTTMVGVLSACSHAGLVDRGREYFYCMNQDYAIKANSRHYTCMVDLLGRAGRLDEALKLIRNMPFEPDAATWGALLGASRIHGNTKLAEMAAQLIFEMEPENAGMYVLLSNLYAASGRWADVSRMRLQMRDTGVKKVPGCSWLEVQSKIHTFSVGDSCHPDRDKIYAYLEELDLKMKQEGYVSSTNLILHDVDNEEKEHMLKYHSEKLAVAFGILSIPAGRPIRVIKNLRVCEDCHNAIKYISKIVGRLIILRDSNRFHHFREGSCSCGDYW >EOY22353 pep chromosome:Theobroma_cacao_20110822:3:23087370:23092351:1 gene:TCM_014548 transcript:EOY22353 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 2 MPAAGPKGAPKNPRELKDDTSSSREEKQILLRALSSPPFENYHVWWSLADSKYAGTALLVKKCLQPVKVSFSLDKTVSKHEPDGRVILAEFETVRILNTYAPNNGWKEEENSFQRRRKWDKRLLDFVVQSSDKPLIWCGDLNVSHEDIDVTHPEFFSAAKMNGYVPPNKEDWGQPGFTLAERKRFGAILKEGRLVDAYRYLHKEKDMERGFSWSGHPIGKYRGKRMRIDYLIVSDKLKDRIAACEMHGQGIELEGFYGSDHCPVSLHLSEECKAAN >EOY22354 pep chromosome:Theobroma_cacao_20110822:3:23087370:23092351:1 gene:TCM_014548 transcript:EOY22354 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 2 MKRFFKPIEKEGSAKKPSLSPSKQGGEKGEEDIKKEPLKFVTWNANSLLLRVKNNWPQFSDFISNLDPDIIAIQEVRMPAAGPKGAPKNPRELKDDTSSSREEKQILLRALSSPPFENYHVWWSLADSKYAGTALLVKKCLQPVKVSFSLDKTVSKHEPDGRVILAEFETVRILNTYAPNNGWKEEENSFQRRRKWDKRLLDFVVQSSDKPLIWCGDLNVSHEDIDVTHPEFFSAAKMNGYVPPNKEDWGQPGFTLAERKRFGAILKECVISSDTPYFFGFNQPFICVEHKLKLMIMESLS >EOY22352 pep chromosome:Theobroma_cacao_20110822:3:23087370:23093583:1 gene:TCM_014548 transcript:EOY22352 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 2 MKRFFKPIEKEGSAKKPSLSPSKQGGEKGEEDIKKEPLKFVTWNANSLLLRVKNNWPQFSDFISNLDPDIIAIQEVRMPAAGPKGAPKNPRELKDDTSSSREEKQILLRALSSPPFENYHVWWSLADSKYAGTALLVKKCLQPVKVSFSLDKTVSKHEPDGRVILAEFETVRILNTYAPNNGWKEEENSFQRRRKWDKRLLDFVVQSSDKPLIWCGDLNVSHEDIDVTHPEFFSAAKMNGYVPPNKEDWGQPGFTLAERKRFGAILKEGRLVDAYRYLHKEKDMERGFSWSGHPIGKYRGKRMRIDYLIVSDKLKDRIAACEMHGQGIELEGFYGSDHCPVSLHLSEECKAAN >EOY22355 pep chromosome:Theobroma_cacao_20110822:3:23087370:23092351:1 gene:TCM_014548 transcript:EOY22355 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 2 MKRFFKPIEKEGSAKKPSLSPSKQGGEKGEEDIKKEPLKFVTWNANSLLLRVKNNWPQFSDFISNLDPDIIAIQEVRMPAAGPKGAPKNPRELKDDTSSSREEKQILLRALSSPPFENYHVWWSLADSKYAGTALLVKKCLQPVKVSFSLDKTVSKHEPDGRVILAEFETVRILNTYAPNNGWKEEENSFQRRRKWDKRLLDFVVQSSDKPLIWCGDLNVSHEDIDVTHPEFFSAAKMNGYVPPNKEDWGQPGFTLAERKRFGAILKEGRLVDAYRYLHKEKDMERGFSWSGHPIGKFLWK >EOY22709 pep chromosome:Theobroma_cacao_20110822:3:24534769:24536288:-1 gene:TCM_014799 transcript:EOY22709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pterin-4-alpha-carbinolamine dehydratase 1 isoform 2 HLSLTLLLPRLSSPCPHHQHLVTKFSFPTRSRLAIRTRAMGTDFLGDFGARDPFPAELESGFGEKVLGNGNTEHKILIPKTSALSLAQQDCSPVSPLQAPMSVDDAKALMKKVVGWRLLDEEGGLKLQCLWKLRDFKCGVELINRIYKVIEATGHFPNLHLEEPNQVRAELWTASVAK >EOY22708 pep chromosome:Theobroma_cacao_20110822:3:24534481:24536359:-1 gene:TCM_014799 transcript:EOY22708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pterin-4-alpha-carbinolamine dehydratase 1 isoform 2 MASTATHLSLTLLLPRLSSPCPHHQHLVTKFSFPTRSRLAIRTRAMGTDFLGDFGARDPFPAELESGFGEKVLGNGNTEHKILIPKTSALSLAQQDCSPVSPLQAPMSVDDAKALMKKVVGWRLLDEEGGLKLQCLWKLRDFKCGVELINRIYKVIEATGHFPNLHLEEPNQVRAELWTASVGGLSMNDFIVAAKIDEIKTSDLAPHKRIWA >EOY24318 pep chromosome:Theobroma_cacao_20110822:3:30550637:30553197:1 gene:TCM_015954 transcript:EOY24318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASTCNRFISRSSSSIKSAFRSNGPRSLLSRSAASPSSARSPLPSQSIPPLRRFSLSRCPSELGCAQSLLPLHSAVAASRMTSCLSTTSRSCRALSQGTLCCTYPGL >EOY24325 pep chromosome:Theobroma_cacao_20110822:3:30550572:30553197:1 gene:TCM_015954 transcript:EOY24325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASTCNRFISRSSSSIKSAFRSNGPRSLLSRSAASPSSARSPLPSQSIPPLRRFSLSRCPSELGCAQSLLPLHSAVAASRMTSCLSTTSRSCRALSQDRIDGT >EOY24321 pep chromosome:Theobroma_cacao_20110822:3:30550710:30552298:1 gene:TCM_015954 transcript:EOY24321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASTCNRFISRSSSSIKSAFRSNGPRSLLSRSAASPSSARSPLPSQSIPPLRRFSLSRCPSELGCAQSLLPLHSAVAASRMTSCLSTTSRSCRALSQGT >EOY24319 pep chromosome:Theobroma_cacao_20110822:3:30550637:30553578:1 gene:TCM_015954 transcript:EOY24319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 HFPSLQFLLTSFSILYLRQEIFFLMASTCNRFISRSSSSIKSAFRSNGPRSLLSRSAASPSSARSPLPSQSIPPLRRFSLSRCPSELGCAQSLLPLHSAVAASRMTSCLSTTSRSCRALSQGTLCCTYPGL >EOY24323 pep chromosome:Theobroma_cacao_20110822:3:30550710:30552298:1 gene:TCM_015954 transcript:EOY24323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASTCNRFISRSSSSIKSAFRSNGPRSLLSRSAASPSSARSPLPSQSIPPLRRFSLSRCPSELGCAQSLLPLHSAVAASRMTSCLSTTSRSCRALSQGT >EOY24324 pep chromosome:Theobroma_cacao_20110822:3:30550580:30553592:1 gene:TCM_015954 transcript:EOY24324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASTCNRFISRSSSSIKSAFRSNGPRSLLSRSAASPSSARSPLPSQSIPPLRRFSLSRCPSELGCAQSLLPLHSAVAASRMTSCLSTTSRSCRALSQEFGLAVPR >EOY24320 pep chromosome:Theobroma_cacao_20110822:3:30550492:30553722:1 gene:TCM_015954 transcript:EOY24320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 HFPSLQFLLTSFSILYLRQEIFFLMASTCNRFISRSSSSIKSAFRSNGPRSLLSRSAASPSSARSPLPSQSIPPLRRFSLSRCPSELGCAQSLLPLHSAVAASRMTSCLSTTSRSCRALSQGTLCCTYPGL >EOY24322 pep chromosome:Theobroma_cacao_20110822:3:30550676:30553643:1 gene:TCM_015954 transcript:EOY24322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASTCNRFISRSSSSIKSAFRSNGPRSLLSRSAASPSSARSPLPSQSIPPLRRFSLSRCPSELGCAQSLLPLHSAVAASRMTSCLSTTSRSCRALSQGTLCCTYPGL >EOY21303 pep chromosome:Theobroma_cacao_20110822:3:5820487:5825463:-1 gene:TCM_012768 transcript:EOY21303 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MAANGVVADEREVQKDYWMEHSLDLTVEAMMLDSKAADLDKEERPEVLSLLPPYEGKSILELGAGIGRFTGELAQKAGHVIALDFIESVIKKNESINGHYKNAKFLCADVTSPDLKFSEGSLDLIFSNWLLMYLSDKEIENLAERMVKWLKVGGYIFFRESCFHQSGDCKRKHNPTHYREPRFYTKVFKECHATDTSGNSFELSLVSCKCIGAYVRNKRNQNQICWIWQKVSSDNDRGFQRFLDTVQYKCSGILRYERVFGEGFVSTGGIETTKEFVGKLDLKPGQKVLDVGCGIGGGDFYMAEKFDVHVVGIDLSINMISFALERAIGMNCSVEFEVADCTKKTYPDNSFDVIYSRDTILHIQDKPALFRSFYKWLKPGGKVLISDYCKNAGTPSSEFAEYIEQRGYDLHDVEAYGQILSDAGFDEVIAEDRTEQFLQVLQRELNEVEKDKDAFVSSFSQEDYDDILGGWKAKLIRSSSGEQRWGLFIAKKN >EOY21304 pep chromosome:Theobroma_cacao_20110822:3:5820372:5825586:-1 gene:TCM_012768 transcript:EOY21304 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MAANGVVADEREVQKDYWMEHSLDLTVEAMMLDSKAADLDKEERPEVLSLLPPYEGKSILELGAGIGRFTGELAQKAGHVIALDFIESVIKKNESINGHYKNAKFLCADVTSPDLKFSEGSLDLIFSNWLLMYLSDKEIENLAERMVKWLKVGGYIFFRESCFHQSGDCKRKHNPTHYREPRFYTKVFKECHATDTSGNSFELSLVSCKCIGAYVRNKRNQNQICWIWQKVSSDNDRGFQRFLDTVQYKCSGILRYERVFGEGFVSTGGIETTKEFVGKLDLKPGQKVLDVGCGIGGGDFYMAEKFDVHVVGIDLSINMISFALERAIGMNCSVEFEVADCTKKTYPDNSFDVIYSRDTILHIQDKPALFRSFYKWLKPGGKVLISDYCKNAGTPSSEFAEYIEQRGYDLHDVEAYGQQILSDAGFDEVIAEDRTEQFLQVLQRELNEVEKDKDAFVSSFSQEDYDDILGGWKAKLIRSSSGEQRWGLFIAKKN >EOY22668 pep chromosome:Theobroma_cacao_20110822:3:24407158:24407656:-1 gene:TCM_014771 transcript:EOY22668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPVKRRQESPDQSFTTKSRMKWIIITSNIPQILSLGSGSACLPFICCTCESQPTLLLQYMGKKFCTIP >EOY25131 pep chromosome:Theobroma_cacao_20110822:3:33365081:33365888:-1 gene:TCM_016544 transcript:EOY25131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESSNLQKSSTFKAFPLPRFYQKKDSPPSKSETMKMPGINSKSPLLVQQNFPGSMSKAENNKIEDKGKGQSKTNGTKETITKLLRSTRKVLNTSKVTTKGVVSVA >EOY22381 pep chromosome:Theobroma_cacao_20110822:3:23217062:23226626:1 gene:TCM_014567 transcript:EOY22381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2423, putative MEEAKRTRELESRVVEKVGEVIREIERAKQADQVICTLHSLAVLLFPIDSSLLSGSIDERFKDQIVSAKVHAANERDDWWKAFYQGAAFPTLARVLLLDIASSWLTCFPLSAKKHVYDVFFVNGLSTEVVQVLVPCLRQSCSDVHDVNTIQSNVERLLVLCLLDNGGVLKMAKEFSISSQSKDIINERLKSAVSRVAQIVTSIPDKARLRAPPLLSSHLFFKQITIQLLSGLVERLAISNRSDMDVNCLFIGEIFSRICRRGSSDVLLIEVTPQILRHVRSCLSSNSDIVDRDVFESNPESQFWLKIMEAITDPYTVERISEQLLHQLATEHASDIEAYWVLWILFHQLLQRQSSVRSMFVDKFLLWKVFPVCCLQWILQFAVLGCPPVTNSQTKGHETNGLFDTVQRLAAVWSKRDFVQSAPVEQQAYITAAVGLCLEKMSKEELDKTKDVMQSILQGVSCRLDSPADLVRKMASTIALVFSKVIDPKNPLYLDDSCNGESIDWEFGLTTTEKGPLSISNAEKQIDETGTSTTPMLTKDFTHTADGLKGSNVKSKSKKSSEFSLVDPDEIIDPATLNYKSVSDENDDEDASENSDSSDSSLQPYDLTDDDTDLKRKMSQLVDVVGALRKSDDADGVERALDVAESLIRASPDELTHVAGDLVRTLVQVRCSDTAVEGEEETAEEKRQRALIALIVTRPFESLDTLNKLLYSPNVDVSQRIMILDVMTQAAEELANSKTMKPKHQTGPLISTISEPQPWFLPSNVGPPGAGSWREISDTGTLLNWSNRYERELPLNPGQVKRGKTRRWSLRSGNIREGQIEWSQNKFPLYAAAFMLPAMQGFDKKRRGVDLLGSDFLVLGKLIYMLGVGMKCASMHPEASALAPPLLDMLRSREVCHHKEAYVRRAVLFAASCVLVALHPSYIASSLVEGNLEISEGLEWIRTWALQVADSDTDRECYTMAVSCLQLHSEMALQASRALESAESTFKAKSINLSSSLSKGTIKIPYSNVE >EOY21549 pep chromosome:Theobroma_cacao_20110822:3:11588730:11604854:1 gene:TCM_013315 transcript:EOY21549 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0614 protein C14orf102, putative isoform 4 MDVPRYKLYSPQQLSAFLSQGLYRWTQRASTFDKDADIDALDTKLKSAGRYWSPNNAALERHNNLKRLRLFAPKNSSHFAPADFIPLSDSQSSDQLDDEISISNNSIIEESWEDEVLRKTREFNKLTREHPHDEKAWLAFAEFQDKVASMQRQKGVRLQTLEKKISILEKATELNPDNEQLLLCLMKAYQKRDNTDVLVGRWESILSQHSGSYMLWKEFLHVVQGEFSRFKVSDMRKMYAHAIQALSATCSKQFRQIHQTSKCPDSAMVHLELGLVDIFLSLCRFEWQTGHQELATALFQAEIEFSLFCPSLFLNEHSKQRLFKYFWESDAARVGEEGALGWSMWLEKEEENRQRVMKEEGLDKNDEGGWTGWSEPLSKRKKTSTNIANIANNDVTAEEFDEEIENEDIKQEDDTEALLKQLGIDVDAGASAEVKDTLTWARWSEEESSRDSDQWMPVRAKPGAVTTIHGTPDGEVDGQFMREILYEDISEYLFSLSSAEARLSLVFQFIDFYGGKISSWVCTNSSSWTEKILGLEELPDCIGENMRRLHDDLTKLQNKSGQFSLEFLWDSAKGILQRTEMMKFLRNAALLCLTAFPRNHILEEATLLAEELFVTKMNSSSCSVTPCQALAKHLLKCDRQDLLLCGIYARREAVYGNMDQARRVFDMALLSLPGLPLDLQANSPLLYLWYAEAELGHNHGYNFESSSRAMHILSCLGSGMTYSPFKCHPSSLQLLRARQGYKEKISALRSKWMRGLVDDQSVALVCAAALFEELTAGWAAGIEIIDDVFTMVLPERRSQSYCLECLFNYYIRMLQRHHGQFTLSKAWESVTHGLQIYPSSPELFNALVEISCLYTTPNKLRQMFDDYCHKSKKLWLDGFLKLNSILTAKELSDLQEVMREKELNMRTDIYEILLQDELV >EOY21550 pep chromosome:Theobroma_cacao_20110822:3:11587810:11605069:1 gene:TCM_013315 transcript:EOY21550 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0614 protein C14orf102, putative isoform 4 AAATAATASATATATATTAATTSAPQWLCNPSFTSGLSLINDAASSLPRALNVEEEDEDEDEEGKQQQQQKNYHSYELLEEEEEDEEDSDSDGEKYDERQKNKKKSKKRNKKRRILKELGDSKSIHAKDYYFDSHPDHDNLAYGSLYRMDVPRYKLYSPQQLSAFLSQGLYRWTQRASTFDKDADIDALDTKLKSAGRYWSPNNAALERHNNLKRLRLFAPKNSSHFAPADFIPLSDSQSSDQLDDEISISNNSIIEESWEDEVLRKTREFNKLTREHPHDEKAWLAFAEFQDKVASMQRQKGVRLQTLEKKISILEKATELNPDNEQLLLCLMKAYQKRDNTDVLVGRWESILSQHSGSYMLWKEFLHVVQGEFSRFKVSDMRKMYAHAIQALSATCSKQFRQIHQTSKCPDSAMVHLELGLVDIFLSLCRFEWQTGHQELATALFQAEIEFSLFCPSLFLNEHSKQRLFKYFWESDAARVGEEGALGWSMWLEKEEENRQRVMKEEGLDKNDEGGWTGWSEPLSKRKKTSTNIANIANNDVTAEEFDEEIENEDIKQEDDTEALLKQLGIDVDAGASAEVKDTLTWARWSEEESSRDSDQWMPVRAKPGAVTTIHGTPDGEVDGQFMREILYEDISEYLFSLSSAEARLSLVFQFIDFYGGKISSWVCTNSSSWTEKILGLEELPDCIGENMRRLHDDLTKLQNKSGQFSLEFLWDSAKGILQRTEMMKFLRNAALLCLTAFPRNHILEEATLLAEELFVTKMNSSSCSVTPCQALAKHLLKCDRQDLLLCGIYARREAVYGNMDQARRVFDMALLSLPGLPLDLQANSPLLYLWKWYDLQSI >EOY21548 pep chromosome:Theobroma_cacao_20110822:3:11587727:11605134:1 gene:TCM_013315 transcript:EOY21548 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0614 protein C14orf102, putative isoform 4 MDDQQNPQDPRPSLFPVFPAAGAAATAATASATATATATTAATTSAPQWLCNPSFTSGLSLINDAASSLPRALNVEEEDEDEDEEGKQQQQQKNYHSYELLEEEEEDEEDSDSDGEKYDERQKNKKKSKKRNKKRRILKELGDSKSIHAKDYYFDSHPDHDNLAYGSLYRMDVPRYKLYSPQQLSAFLSQGLYRWTQRASTFDKDADIDALDTKLKSAGRYWSPNNAALERHNNLKRLRLFAPKNSSHFAPADFIPLSDSQSSDQLDDEISISNNSIIEESWEDEVLRKTREFNKLTREHPHDEKAWLAFAEFQDKVASMQRQKGVRLQTLEKKISILEKATELNPDNEQLLLCLMKAYQKRDNTDVLVGRWESILSQHSGSYMLWKEFLHVVQGEFSRFKVSDMRKMYAHAIQALSATCSKQFRQIHQTSKCPDSAMVHLELGLVDIFLSLCRFEWQTGHQELATALFQAEIEFSLFCPSLFLNEHSKQRLFKYFWESDAARVGEEGALGWSMWLEKEEENRQRVMKEEGLDKNDEGGWTGWSEPLSKRKKTSTNIANIANNDVTAEEFDEEIENEDIKQEDDTEALLKQLGIDVDAGASAEVKDTLTWARWSEEESSRDSDQWMPVRAKPGAVTTIHGTPDGEVDGQFMREILYEDISEYLFSLSSAEARLSLVFQFIDFYGGKISSWVCTNSSSWTEKILGLEELPDCIGENMRRLHDDLTKLQNKSGQFSLEFLWDSAKGILQRTEMMKFLRNAALLCLTAFPRNHILEEATLLAEELFVTKMNSSSCSVTPCQALAKHLLKCDRQDLLLCGIYARREAVYGNMDQARRVFDMALLSLPGLPLDLQANSPLLYLWYAEAELGHNHGYNFESSSRAMHILSCLGSGMTYSPFKCHPSSLQLLRARQGYKEKISALRSKWMRGLVDDQSVALVCAAALFEELTAGWAAGIEIIDDVFTMVLPAERRSQSYCLECLFNYYIRMLQRHHGQFTLSKAWESVTHGLQIYPSSPELFNALVEISCLYTTPNKLRQMFDDYCHKKPSVIVWLFALIFEMSRRGSMHRIHGLFERALANDQLHNSVILWRWYISYEINIVRNPSAARRTFFRAIHACPWSKKLWLDGFLKLNSILTAKELSDLQEVMREKELNMRTDIYEILLQDELV >EOY21547 pep chromosome:Theobroma_cacao_20110822:3:11580437:11605831:1 gene:TCM_013315 transcript:EOY21547 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0614 protein C14orf102, putative isoform 4 MGKMDGNANAMDDQQNPQDPRPSLFPVFPAAGAAATAATASATATATATTAATTSAPQWLCNPSFTSGLSLINDAASSLPRALNVEEEDEDEDEEGKQQQQQKNYHSYELLEEEEEDEEDSDSDGEKYDERQKNKKKSKKRNKKRRILKELGDSKSIHAKDYYFDSHPDHDNLAYGSLYRMDVPRYKLYSPQQLSAFLSQGLYRWTQRASTFDKDADIDALDTKLKSAGRYWSPNNAALERHNNLKRLRLFAPKNSSHFAPADFIPLSDSQSSDQLDDEISISNNSIIEESWEDEVLRKTREFNKLTREHPHDEKAWLAFAEFQDKVASMQRQKGVRLQTLEKKISILEKATELNPDNEQLLLCLMKAYQKRDNTDVLVGRWESILSQHSGSYMLWKEFLHVVQGEFSRFKVSDMRKMYAHAIQALSATCSKQFRQIHQTSKCPDSAMVHLELGLVDIFLSLCRFEWQTGHQELATALFQAEIEFSLFCPSLFLNEHSKQRLFKYFWESDAARVGEEGALGWSMWLEKEEENRQRVMKEEGLDKNDEGGWTGWSEPLSKRKKTSTNIANIANNDVTAEEFDEEIENEDIKQEDDTEALLKQLGIDVDAGASAEVKDTLTWARWSEEESSRDSDQWMPVRAKPGAVTTIHGTPDGEVDGQFMREILYEDISEYLFSLSSAEARLSLVFQFIDFYGGKISSWVCTNSSSWTEKILGLEELPDCIGENMRRLHDDLTKLQNKSGQFSLEFLWDSAKGILQRTEMMKFLRNAALLCLTAFPRNHILEEATLLAEELFVTKMNSSSCSVTPCQALAKHLLKCDRQDLLLCGIYARREAVYGNMDQARRVFDMALLSLPGLPLDLQANSPLLYLWYAEAELGHNHGYNFESSSRAMHILSCLGSGMTYSPFKCHPSSLQLLRARQGYKEKISALRSKWMRGLVDDQSVALVCAAALFEELTAGWAAGIEIIDDVFTMVLPERRSQSYCLECLFNYYIRMLQRHHGQFTLSKAWESVTHGLQIYPSSPELFNALVEISCLYTTPNKLRQMFDDYCHKKPSVIVWLFALIFEMSRRGSMHRIHGLFERALANDQLHNSVILWRWYISYEINIVRNPSAARRTFFRAIHACPWSKKLWLDGFLKLNSILTAKELSDLQEVMREKELNMRTDIYEILLQDELV >EOY24421 pep chromosome:Theobroma_cacao_20110822:3:30901668:30908042:1 gene:TCM_016024 transcript:EOY24421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 32 protein MPHLFVLAIAFLFPFFFLVVKLLKKQLIHGGYTLPSGKIKSNQIYFGKFSLSFFFAFSWSQPRTVNHPPCLPSEALPIYSNENLRALKHRTPLFLPSYSAVRFYFFFIRFIQLYQTMADTDPFLPKSSSDGSYPTGSQATRRSPIKEQLTIFFGLLAVGLFAALLIGKNGSYNAHVQENKHGSLATSTTKKPETLGPVSRGPAAGVSEKSNRLSAKVDGNLLVYPWNNSMLSWQRTAFHFQPEKNWMNDPNGPLFYKGWYHFFYQYNPNAAVWGDIVWGHAVSRDMIHWLHLPLAMVADQWYDVNGVWTGSATILPDGKVVMLYTGSTAEAVQVQNLAYPANPNDPLLINWVKYPGNPVLVPPPGIDKMDFRDPTTAWLTSEGKWRITIGSKINKTGIALIYDTTDFINYERLDGALHAVSGTGMWECVDFFPVSKTEENGLETSVNGPGVKHVVKASLDDDRHDYYAIGTYHEKNGTWIPDQPEIDVGIGIRYDYGIFYAAKTFYDQNKNRRVLWGWIGESDSEAADVQKGWASLQSIPRTILFDNKTGTHLLQWPVEETESLRLKSNEFNQVTLQAGTVVPLDVGSATQLDIMAEFVIDKEALEKATGSNETFSCGTSGGAAERGALGPFGLLALADESLSEKTPVYFYIAKGSDGNLKTFFCNDQSRSSAASDVNKLIYGSFVPVLEGENLSLRMLVDHSIIESFAQGGRTVITSRVYPTKAIYGAAKVFLFNNATEASVTASLKIWQMNSAFIRPYPNDGKF >EOY25392 pep chromosome:Theobroma_cacao_20110822:3:34135025:34144065:1 gene:TCM_016716 transcript:EOY25392 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO guanyl-nucleotide exchange factor 14 isoform 2 MAFDLKPPSTSLSFTKCSFDSFQTLKPRHSRAITQRILLALTHSERHREREREREEIKKKVKGNRRKMMLMRKRLVCCTRDREISLDFDEQDRIMTYNGLESCILNNESYENESRTSRGDGCASDSLDDDFSSCSSSKDAFGSFSSKWMTMKRDEQGSDEWELSESPKKFYAKEKPAYAIQFSDIETMKEKFSKLLLGEDITGGRKGVSTALALSNAITNLAASVFGELWKLEPLAEERKNKWRREMDWLLSPTNYMVELVPAKQSGANGRTMEIMTPKARADIHMNLPALQKLDSMLIETLESMVNTEFWYAEVGSRAEGRNKSAKESKRWWLPLPQVPKTGLSDTERKKLQCKGKVVHQVFKAAKSINENVLLEMPVPSIIRDALPKSAKANLSDDLYKVLTMESSSVEDMFISLNLKSEHNALEPINRLEAAVFAWKERIAEQASGKSPVRTSWSFIKDPISEMDKMELLLDRAEALVQQIKSTYPNLPQTFLDATKIQYGKDVGHSILEAYSRVLRNLAFSIMSRIGEILQEDTLSNPNSPVATLCFPGMNMKGNLQTPACGPRARQSLIDQMNRADGKYCDSDSSSYSEREVSYNEARRSCVNATPSRSRVWCIGKEACIGVSPRNSP >EOY25393 pep chromosome:Theobroma_cacao_20110822:3:34135445:34144101:1 gene:TCM_016716 transcript:EOY25393 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO guanyl-nucleotide exchange factor 14 isoform 2 MMLMRKRLVCCTRDREISLDFDEQDRIMTYNGLESCILNNESYENESRTSRGDGCASDSLDDDFSSCSSSKDAFGSFSSKWMTMKRDEQGSDEWELSESPKKFYAKEKPAYAIQFSDIETMKEKFSKLLLGEDITGGRKGVSTALALSNAITNLAASVFGELWKLEPLAEERKNKWRREMDWLLSPTNYMVELVPAKQSGANGRTMEIMTPKARADIHMNLPALQKLDSMLIETLESMVNTEFWYAEVGSRAEGRNKSAKESKRWWLPLPQVPKTGLSDTERKKLQCKGKVVHQVFKAAKSINENVLLEMPVPSIIRDALPKSAKANLSDDLYKVLTMESSSVEDMFISLNLKSEHNALEPINRLEAAVFAWKERIAEQASGKSPVRTSWSFIKDPISEMDKMELLLDRAEALVQQIKSTYPNLPQTFLDATKIQYGKDVGHSILEAYSRVLRNLAFSIMSRIGEILQEDTLSNPNSPVATLCFPGMNMKGNLQTPACGPRARQSLIDQMNRADGKYCDSDSSSYSEREVSYNEARRSCVNATPSRSRVWCIGKEACIGVSPRNSP >EOY25394 pep chromosome:Theobroma_cacao_20110822:3:34136699:34144065:1 gene:TCM_016716 transcript:EOY25394 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO guanyl-nucleotide exchange factor 14 isoform 2 MMLMRKRLVCCTRDREISLDFDEQDRIMTYNGLESCILNNESYENESRTSRGDGCASDSLDDDFSSCSSSKDAFGSFSSKWMTMKRDEQGSDEWELSESPKKFYAKEKPAYAIQFSDIETMKEKFSKLLLGEDITGGRKGVSTALALSNAITNLAASVFGELWKLEPLAEERKNKWRREMDWLLSPTNYMVELVPAKQSGANGRTMEIMTPKARADIHMNLPALQKLDSMLIETLESMVNTEFWYAEVGSRAEGRNKSAKESKRWWLPLPQVPKTGLSDTERKKLQCKGKVVHQVFKAAKSINENVLLEMPVPSIIRDALPKSAKANLSDDLYKVLTMESSSVEDMFISLNLKSEHNALEPINRLEAAVFAWKERIAEQASGKSPVRTSWSFIKDPISEMDKMELLLDRAEALVQQIKSTYPNLPQTFLDATKIQYGKDVGHSILEAYSRVLRNLAFSIMSRIGEILQEDTLSNPNSPVATLCFPGMNMKGNLQTPACGPRARQSLIDQMNRADGKYCDSDSSSYSEREVSYNEARRSCVNATPSRSRVWCIGKEACIGVSPRNSP >EOY21069 pep chromosome:Theobroma_cacao_20110822:3:2651959:2656218:1 gene:TCM_012384 transcript:EOY21069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like, putative MAAKRLPSESCSDQDEPNEKRMRPRPSLASVIGEAVMVNYLCTALEPVLRRVVNEEVERSLGQRLRSFSRSPSLRIQAPEPSTLQLIFAKGLSLPIFTGSKITDEEGNQLQVVLVDTRGDQMVPVLPPSPIKVDIVVLDGEFPAGDGNNWTSEEFDRNIVRERTGKRPLLTGELAVTVRDGVASIGEIEFTDNSSWIRSRKFRIGAKVAQGSFQGVRIREAMTEAFVVKDHRGELYKKHYPPMLDDKVWRLEKIGKDGAFHKKLSFEGVNTVQDFLKLSVVDPAKLRRILGPGMSEKMWEVTIKHAKTCVLGNKNYVFRGPNYTIFLNPICQLMKAEINGSIYPTHNLSNMNRAYIENLVRQAYANWNTLEEIEGVSHEIGLLTQGDEHMADQYPNHQQITVRSFQPNGYLTGGSIEGYMPNELRGDGSNWQVSQAYLNTSNENGFRLNILESTSDEDLTSPRSFITGG >EOY24329 pep chromosome:Theobroma_cacao_20110822:3:30560017:30563613:1 gene:TCM_015959 transcript:EOY24329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mtn21-like protein MVVYIKRDILEDVAIIGGLVGVQFVYAGNSLLLGYLMSLGLSPFTIIIFFTLSTFIILTPFAVYFERSKWPKQLTLKFITQLVLISFGGVTLFQCLFLKGINLTSPAMATAMPNLAPGLIFIIAWTCRLEKVALSCLYGKVKIVGTLMCVVGALTMSLMQSAVSSKDARIIAPPTDDVVFDKNKIIGCMYLMAAVLVLSSNVVLQATTLGDFPAPMSLCAITSLIGVIITAMVQLVEDHQLKLGWPLVSVKDLIAFSLLGGTVGGACVSFNGWAMRKRGPVLVSMFSPIGTVISVILSFVTLGETISLGSLPGMFLMFTGLYFVLWAKGKEVFSDGNGLEKA >EOY23302 pep chromosome:Theobroma_cacao_20110822:3:26963434:26966261:-1 gene:TCM_015242 transcript:EOY23302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport protein SEC31 MGKCKGCGKLGRMLPRDGPVNTYHSALLCSPVVSVWDCIACNKVQPVVRKAKKKQVKDELDRIKQAEKKKRRLEKALATSAAIRSELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLLGEDSDDSCKIMLNKEEGFNRWDYASNFDLFMGRGRACLPHQAHTNCEGWVSNAYTAGCEWPELGNNDWSFSYGAYGRDLHAPYLEDEGWGSAGLSAGLIAAQAVSALQITEDAEVDTIVLNGMLRG >EOY21141 pep chromosome:Theobroma_cacao_20110822:3:3399790:3400939:1 gene:TCM_012503 transcript:EOY21141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANIEKPKFYILEVSRNNYLSQCLDVEMHLQGQGLANAIIIDGNTNNKNKENALIFIRRHFHESLKTQYLSVRDSKILWTRLRERYDHTKTVILPQAQYD >EOY24884 pep chromosome:Theobroma_cacao_20110822:3:32564854:32568616:-1 gene:TCM_016365 transcript:EOY24884 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein isoform 3 MLSFYCSHSLAQRPTLASSLPKFRFANRPGTFSLTRSSRIFESNPKLTKNKWKISCFRHEGFSPENPKSEYVEQFLPEEVVQHDFEKSSARKRDWKSTLQEAADAVLRAVGSGWTVPWTAETILQVMLLWVAAFWFIGSWMIPFAAHMAGFSKESLTFRGQALFSLVTDVTEGLAGIAILHRCLSQFHPLPSDWFKFSLKGKWLFDVVLGCLMFPVVNRLSQFNLNLLPLMPSTPVTLSSVEQSILARDPVAMALYAIVVSVCAPVWEEIVFRGFLLPSLTKYMPVWCAILVLQLNARSNTHADWPFS >EOY24885 pep chromosome:Theobroma_cacao_20110822:3:32565070:32568549:-1 gene:TCM_016365 transcript:EOY24885 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein isoform 3 MLSFYCSHSLAQRPTLASSLPKFRFANRPGTFSLTRSSRIFESNPKLTKNKWKISCFRHEGFSPENPKSEYVEQFLPEEVVQHDFEKSSARKRDWKSTLQEAADAVLRAVGSGWTVPWTAETILQVMLLWVAAFWFIGSWMIPFAAHMAGFSKESLTFRGQALFSLVTDVTEGLAGIAILHRCLSQFHPLLLPLMPSTPVTLSSVEQSILARDPVAMALYAIVVSVCAPVWEEIVFRGFLLPSLTKYMPVWCAILVSSVAFALAHFNVQRMLPLIFLGMVMGVVFARSRNLLPSMLLHSLWNGFVFLDLMR >EOY24883 pep chromosome:Theobroma_cacao_20110822:3:32565379:32568406:-1 gene:TCM_016365 transcript:EOY24883 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein isoform 3 MLSFYCSHSLAQRPTLASSLPKFRFANRPGTFSLTRSSRIFESNPKLTKNKWKISCFRHEGFSPENPKSEYVEQFLPEEVVQHDFEKSSARKRDWKSTLQEAADAVLRAVGSGWTVPWTAETILQVMLLWVAAFWFIGSWMIPFAAHMAGFSKESLTFRGQALFSLVTDVTEGLAGIAILHRCLSQFHPLPSDWFKFSLKGKWLFDVVLGCLMFPVVNRLSQFNLNLLPLMPSTPVTLSSVEQSILARDPVAMALYAIVVSVCAPVWEEIVFRGFLLPSLTKYMPVWCAILVSSVAFALAHFNVQRMLPLIFLGMVMGVVFARSRNLLPSMLLHSLWNGFVFLDLMR >EOY21497 pep chromosome:Theobroma_cacao_20110822:3:9435447:9445273:1 gene:TCM_013117 transcript:EOY21497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 1 MSHKGGFSKQSLNRIPDLSLHISPPNSAPSSICTGTNEGDSSFDIWRKDDGLKSHSDSSIKAGSQADTELSLANPASSALEAESPWQRNFAGGVTDDDQIKHRNLLKRGNTNSGQLSNINHGISLLDVSGLKPIKGIPVYNNSMSFPFSSSDSLVDMDPNKLRFYHQSCPSYNCSSSTSAVLHSPDAYRIGSAPRFNGISMETLRPQFHHQYTQYGAADLCNGMIRSRFMPKLQSKRTMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSDGSGEEDYLPARNTISQIANCSVNQRSSASPNLSIQQDNGHSPTNLWSNSSRKAVLLNK >EOY21496 pep chromosome:Theobroma_cacao_20110822:3:9435523:9445977:1 gene:TCM_013117 transcript:EOY21496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 1 MSHKGGFSKQSLNRIPDLSLHISPPNSAPSSICTGTNEGDSSFDIWRKDDGLKSHSDSSIKAGSQADTELSLANPASSALEAESPWQRNFAGGVTDDDQIKHRNLLKRGNTNSGQLSNINHGISLLDVSGLKPIKGIPVYNNSMSFPFSSSDSLVDMDPNKLRFYHQSCPSYNCSSSTSAVLHSPDAYRIGSAPRFNGISMETLRPQFHHQYTQYGAADLCNGMIRSRFMPKLQSKRTMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQQMYRTVKSTDKPAASSDGSGEEDYLPARNTISQIANCSVNQRSSASPNLSIQQDNGHSPTNLWSNSSRGVWLSSSRNPDGFRPDAPSSQPGNQIEESSFAKQKILTGSSLELHNPSLDFSLGRPDWQRKERDS >EOY21495 pep chromosome:Theobroma_cacao_20110822:3:9435523:9445977:1 gene:TCM_013117 transcript:EOY21495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 1 MSHKGGFSKQSLNRIPDLSLHISPPNSAPSSICTGTNEGDSSFDIWRKDDGLKSHSDSSIKAGSQADTELSLANPASSALEAESPWQRNFAGGVTDDDQIKHRNLLKRGNTNSGQLSNINHGISLLDVSGLKPIKGIPVYNNSMSFPFSSSDSLVDMDPNKLRFYHQSCPSYNCSSSTSAVLHSPDAYRIGSAPRFNGISMETLRPQFHHQYTQYGAADLCNGMIRSRFMPKLQSKRTMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSDGSGEEDYLPARNTISQIANCSVNQRSSASPNLSIQQDNGHSPTNLWSNSSRGVWLSSSRNPDGFRPDAPSSQPGNQIEESSFAKQKILTGSSLELHNPSLDFSLGRPDWQRKERDS >EOY21494 pep chromosome:Theobroma_cacao_20110822:3:9428788:9445905:1 gene:TCM_013117 transcript:EOY21494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 1 MSHKGGFSKQSLNRIPDLSLHISPPNSAPSSICTGTNEGDSSFDIWRKDDGLKSHSDSSIKAGSQADTELSLANPASSALEAESPWQRNFAGGVTDDDQIKHRNLLKRGNTNSGQLSNINHGISLLDVSGLKPIKGIPVYNNSMSFPFSSSDSLVDMDPNKLRFYHQSCPSYNCSSSTSAVLHSPDAYRIGSAPRFNGISMETLRPQFHHQYTQYGAADLCNGMIRSRFMPKLQSKRTMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSDGSGEEDYLPARNTISQIANCSVNQRSSASPNLSIQQDNGHSPTNLWSNSSSRGVWLSSSRNPDGFRPDAPSSQPGNQIEESSFAKQKILTGSSLELHNPSLDFSLGRPDWQRKERDS >EOY24219 pep chromosome:Theobroma_cacao_20110822:3:30124780:30127194:1 gene:TCM_015884 transcript:EOY24219 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein isoform 2 MAIATRSPLRLNSPMSRPSLYNSQRRVFFQSHAWFRAQPRTKPICASAIKAEAGAQKEDIVIVGAGIAGLATAVSLRRLGIGSLVLEQAESLRTGGSSLTLFKNGWRVLDAIGVADSLRSQFLEIQGMVVKSEDGRELRSFKFKDEDQTQEVRAVERRILLETLANQLPPEAVQFSSKLAKIETSENGETLLELTNGTRLLAKPKITDPILLKKLAKELIKNWPPELLKLIDLTPDEAISKAPLVDRWLWPGISPPASAGKVVLVGDAWHPMTPNLGQGACCALEDAVILTRKLADGIKSGPESIEGALRAYGEERWPRIFPITIRANLVGSLLQWDDPLVCSVRDNVVIPKLVRLGSMLEHTNFECEPLET >EOY24218 pep chromosome:Theobroma_cacao_20110822:3:30124698:30127206:1 gene:TCM_015884 transcript:EOY24218 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein isoform 2 MAIATRSPLRLNSPMSRPSLYNSQRRVFFQSHAWFRAQPRTKPICASAIKAEAGAQKEDIVIVGAGIAGLATAVSLRRLGIGSLVLEQAESLRTGGSSLTLFKNGWRVLDAIGVADSLRSQFLEIQGMVVKSEDGRELRSFKFKDEDQTQEVRAVERRILLETLANQLPPEAVQFSSKLAKIETSENGETLLELTNGTRLLAKIVVGCDGIRSTIAKWMGFSEPKYAGHCALRGLGYYPKGQPFAPRVSYMYGRGLRAGYVPVSPTKVYWFICYNSPSAGPKITDPILLKKLAKELIKNWPPELLKLIDLTPDEAISKAPLVDRWLWPGISPPASAGKVVLVGDAWHPMTPNLGQGACCALEDAVILTRKLADGIKSGPESIEGALRAYGEERWPRIFPITIRANLVGSLLQWDDPLVCSVRDNVVIPKLVRLGSMLEHTNFECEPLET >EOY23650 pep chromosome:Theobroma_cacao_20110822:3:28122736:28124884:1 gene:TCM_015473 transcript:EOY23650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein isoform 2 VQQQSLQNHQGLAEEDWKIKREVLLEKKVRSVDAKEAFRLQKENNFMILDVRPEAEFKEAHPPGAINVQIYRLIKEWTAWDIARRAAFAFFGIFSGTEENPEFMQSVESKFDKDAKIIVACTSGGTMKPTQNLPEGQQSRSLIAAYLLVLNGYKNVFHLEGGLSTWFKEGLPSESED >EOY23649 pep chromosome:Theobroma_cacao_20110822:3:28122449:28124744:1 gene:TCM_015473 transcript:EOY23649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein isoform 2 MAALTSVTPHSTSSSSLYSNFRSPQLSISSIIAPDCSCFLTATSGKALRQRLTYQDRRGLIIRSAATKPAKSPAEEDWKIKREVLLEKKVRSVDAKEAFRLQKENNFMILDVRPEAEFKEAHPPGAINVQIYRLIKEWTAWDIARRAAFAFFGIFSGTEENPEFMQSVESKFDKDAKIIVACTSGGTMKPTQNLPEGQQSRSLIAAYLLVLNGYKNVFHLEGGLSTWFKEGLPSESED >EOY23583 pep chromosome:Theobroma_cacao_20110822:3:27948642:27952197:-1 gene:TCM_015432 transcript:EOY23583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAVSELSDTPNQWEFSCDLEVDLESEENASIVYAALVVDKELQPDKVKRQMSISDGKLSVHFEAVEARFLRASFSAFVDVLILATKTIEELGPGMEL >EOY22573 pep chromosome:Theobroma_cacao_20110822:3:23954417:23956814:-1 gene:TCM_014704 transcript:EOY22573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein isoform 1 MPEEDLVDIKFRLYDGSDIGPFRYSATSTVDMLKQRIVSDWPKGKTIIPKAVNEVKLISSGKILENSKTVGQCKVPFGEVAGAVIIMHVVVQPSLSKTKTEKKIDDSPRKIVCSCSIL >EOY22572 pep chromosome:Theobroma_cacao_20110822:3:23954559:23956462:-1 gene:TCM_014704 transcript:EOY22572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein isoform 1 MPEEDLVDIKFRLYDGSDIGPFRYSATSTVDMLKQRIVSDWPKGKTIIPKAVNEVKLISSGKILENSKTVGQCKVPFGEVAGAVIIMHVVVQPSLSKTKTEKKIDDSPRKIVCSCSIL >EOY24411 pep chromosome:Theobroma_cacao_20110822:3:30869209:30875423:1 gene:TCM_016019 transcript:EOY24411 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-acyl carrier protein synthase III, III isoform 2 MANTSGFFTPSVPSLRRKIQPPIGISRSGFCFSEGSCRRVVCSSGIEGAEKHVSPSESRVPKLVSKGCKLVGCGSAVPTLSVSNDDLARIVDTSDEWISVRTGIRNRRVISGKDSLRNLAVEAARKALEMADVDPNDLDLILMCTSTPEDLFGGAPQVQRDLGCTKTPLAYDITAACSGFVLGLVSAACHIRGGGFRNVLVIGADGLSRFVDWSDRGTCILFGDAAGALLVQACDAEEDGLLSFDLHSDGEGGRHLSASIKDNETDDLLSSNGSLLDFPPKRSSYSCIQMNGKEVFRFAVRCVPQSIESALEKAGLTASSIDWLLLHQANQRIIDAVATRLEVPQEQVISNLANYGNTSAASIPLALDEAVRSGKVKPGHTIATAGFGAGLTWGSAIIRWG >EOY24412 pep chromosome:Theobroma_cacao_20110822:3:30871513:30875409:1 gene:TCM_016019 transcript:EOY24412 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-acyl carrier protein synthase III, III isoform 2 MADVDPNDLDLILMCTSTPEDLFGGAPQVQRDLGCTKTPLAYDITAACSGFVLGLVSAACHIRGGGFRNVLVIGADGLSRFVDWSDRGTCILFGDAAGALLVQACDAEEDGLLSFDLHSDGEGGRHLSASIKDNETDDLLSSNGSLLDFPPKRSSYSCIQMNGKEVFRFAVRCVPQSIESALEKAGLTASSIDWLLLHQANQRIIDAVATRLEVPQEQVISNLANYGNTSAASIPLALDEAVRSGKVKPGHTIATAGFGAGLTWGSAIIRWG >EOY20866 pep chromosome:Theobroma_cacao_20110822:3:1578478:1582354:1 gene:TCM_012211 transcript:EOY20866 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein, putative isoform 5 MAGSEEPDSTELQNSDCSFLWDPQTRLYFHASSGFYHDPDAGWYYSSRDGLYYKFENGNYVLLDSCYKEGAVADKNGEEYCSSSQGNGIGAPAKIRNVGDESGSAGQTEGACNQVPENPQPPSEWLEDTLIDLYLSGYNAVNSAADATMSLGTDNSENSKFPSDGTDETYEIEEGEWIPEENHNLADSSEGVPYEGDTWDEENWRAQYGQVTQSGEEPVLEVPVVDLWDWVMMTGPRKDGKGQVARLIGRLVKRSAKVHPSMPSGGGLLKTAPICEVHLDLVRVRTGQVYKLRSPNPRYLASLPTYDSSDPTKDWGFPDLSVNKKVCHQFKSGQKDKSEATGEKVLKDLPILSDQPSASIKKFQQRSHVYRDRAAERRTLHGGFGLGPGQKNVAIGHDSDPTYAEDAKAEALNMSFGAGSYARRILEGMGWKEGEALGSTTKGLTEPLQPIGNIGSAGLGWPQTRRC >EOY20867 pep chromosome:Theobroma_cacao_20110822:3:1578478:1582354:1 gene:TCM_012211 transcript:EOY20867 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein, putative isoform 5 MAGSEEPDSTELQNSDCSFLWDPQTRLYFHASSGFYHDPDAGWYYSSRDGLYYKFENGNYVLLDSCYKEGAVADKNGEEYCSSSQGNGIGAPAKIRNVGDESGSAGQTEGACNQVPENPQPPSEWLEDTLIDLYLSGYNAVNSAADATMSLGTDNSENSKFPSDGTDETYEIEEGEWIPEENHNLADSSEGVPYEGDTWDEENWRAQYGQVTQSGEEPVLEVPVVDLWDWVMMTGPRKDGKGQVARLIGRLVKRSAKVHPSMPSGGGLLKTAPICEVHLDLVRVRTGQVYKLRSPNPRYLASLPTYDSSDPTKDWGFPDLSVNKKVCHQFKSGQKDKSEATGEKVLKDLPILSDQPSASIKKFQQRSHVYRDRAAERRTLHGGFGLGPGQKNVAIGHDSDPTYAEDAKAEALNMSFGAGSYARRILEGMGWKEGEALGSTTKGLTEPLQPIGNIGSAGLGWPQTRRC >EOY20870 pep chromosome:Theobroma_cacao_20110822:3:1578637:1581718:1 gene:TCM_012211 transcript:EOY20870 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein, putative isoform 5 MAGSEEPDSTELQNSDCSFLWDPQTRLYFHASSGFYHDPDAGWYYSSRDGLYYKFENGNYVLLDSCYKEGAVADKNGEEYCSSSQGNGIGAPAKIRNVGDESGSAGQTEGACNQVPENPQPPSEWLEDTLIDLYLSGYNAVNSAADATMSLGTDNRTDETYEIEEGEWIPEENHNLADSSEGVPYEGDTWDEENWRAQYGQVTQSGEEPVLEVPVVDLWDWVMMTGPRKDGKGQVARLIGRLVKRSAKVHPSMPSGGGLLKTAPICEVHLDLKFQQRSHVYRDRAAERRTLHGGFGLGPGQKNVAIGHDSDPTYAEDAKAEALNMSFGAGSYARRILEGMGWKEVSWV >EOY20868 pep chromosome:Theobroma_cacao_20110822:3:1578478:1582354:1 gene:TCM_012211 transcript:EOY20868 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein, putative isoform 5 MAGSEEPDSTELQNSDCSFLWDPQTRLYFHASSGFYHDPDAGWYYSSRDGLYYKFENGNYVLLDSCYKEGAVADKNGEEYCSSSQGNGIGAPAKIRNVGDESGSAGQTEGACNQVPENPQPPSEWLEDTLIDLYLSGYNAVNSAADATMSLGTDNRTDETYEIEEGEWIPEENHNLADSSEGVPYEGDTWDEENWRAQYGQVTQSGEEPVLEVPVVDLWDWVMMTGPRKDGKGQVARLIGRLVKRSAKVHPSMPSGGGLLKTAPICEVHLDLVRVRTGQVYKLRSPNPRYLASLPTYDSSDPTKDWGFPDLSVNKKVCHQFKSGQKDKSEATGEKVLKDLPILSDQPSASIKKFQQRSHVYRDRAAERRTLHGGFGLGPGQKNVAIGHDSDPTYAEDAKAEALNMSFGAGSYARRILEGMGWKEGEALGSTTKGLTEPLQPIGNIGSAGLGWPQTRRC >EOY20869 pep chromosome:Theobroma_cacao_20110822:3:1578598:1582334:1 gene:TCM_012211 transcript:EOY20869 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein, putative isoform 5 MAGSEEPDSTELQNSDCSFLWDPQTRLYFHASSGFYHDPDAGWYYSSRDGLYYKFENGNYVLLDSCYKEGAVADKNGEEYCSSSQGNGIGAPAKIRNVGDESGSAAGQTEGACNQVPENPQPPSEWLEDTLIDLYLSGYNAVNSAADATMSLGTDNRTDETYEIEEGEWIPEENHNLADSSEGVPYEGDTWDEENWRAQYGQVTQSGEEPVLEVPVVDLWDWVMMTGPRKDGKGQVARLIGRLVKRSAKVHPSMPSGGGLLKTAPICEVHLDLKFQQRSHVYRDRAAERRTLHGGFGLGPGQKNVAIGHDSDPTYAEDAKAEALNMSFGAGSYARRILEGMGWKEGRGTW >EOY23606 pep chromosome:Theobroma_cacao_20110822:3:28000474:28003765:-1 gene:TCM_015446 transcript:EOY23606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA binding protein 2 isoform 3 MADWQQLLQSILIGLLFSYLLAKLISLVVSFKEDNLSIARARTAHVQAHNKLDSGSESDPHVRTDFGGPHDADSVIAEVGSVRNESDGGDDDGDDDDDDDDWEGVECTELDEAFSAATAFVAAAAADRLSQKVSSEMQLQLYGLYKIATEGPCTAPQPSALKMTARAKWQAWHKLGAMPPEEAMQKYIDIVTELYPTWAAGSAMSKGGAENAASRDARGPMGPVFSSFVYEEESGNELKMDAIHAFAREGELDNLLKCIESDVSVNLRDSEGRTPLHWAVDRGHFKITEALVSRNADVNAKVVIVVGVTINWFLLPDIFLLAETTHSFLDGSVMHDKNYEILSLQCFMFQ >EOY23604 pep chromosome:Theobroma_cacao_20110822:3:28000026:28003796:-1 gene:TCM_015446 transcript:EOY23604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA binding protein 2 isoform 3 MADWQQLLQSILIGLLFSYLLAKLISLVVSFKEDNLSIARARTAHVQAHNKLDSGSESDPHVRTDFGGPHDADSVIAEVGSVRNESDGGDDDGDDDDDDDDWEGVECTELDEAFSAATAFVAAAAADRLSQKVSSEMQLQLYGLYKIATEGPCTAPQPSALKMTARAKWQAWHKLGAMPPEEAMQKYIDIVTELYPTWAAGSAMKSKGGAENAASRDARGPMGPVFSSFVYEEESGNELKMDAIHAFAREGELDNLLKCIESDVSVNLRDSEGRTPLHWAVDRGHFKITEALVSRNADVNAKDNEGQTPLHYAVVCEREDIAEFLVKQNADKDMEDDDGNSPVDLCALDWPWLQCAGKAD >EOY23605 pep chromosome:Theobroma_cacao_20110822:3:28000473:28003765:-1 gene:TCM_015446 transcript:EOY23605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA binding protein 2 isoform 3 MADWQQLLQSILIAHVQAHNKLDSGSESDPHVRTDFGGPHDADSVIAEVGSVRNESDGGDDDGDDDDDDDDWEGVECTELDEAFSAATAFVAAAAADRLSQKVSSEMQLQLYGLYKIATEGPCTAPQPSALKMTARAKWQAWHKLGAMPPEEAMQKYIDIVTELYPTWAAGSAMSKGGAENAASRDARGPMGPVFSSFVYEEESGNELKMDAIHAFAREGELDNLLKCIESDVSVNLRDSEGRTPLHWAVDRGHFKITEALVSRNADVNAKDNEGQTPLHYAVVCEREDIAEFLVKQNADKDMEDDDGNSPVDLCALDWPWLQCAGKAD >EOY21243 pep chromosome:Theobroma_cacao_20110822:3:4738453:4742295:-1 gene:TCM_012656 transcript:EOY21243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHNKELSDVPSFPSFSETKFTEIEVHPKIRHRRHSDIEVSIDKILSFASNKAVDMGKNDEDSDEDAISVNFAASWERERYY >EOY22717 pep chromosome:Theobroma_cacao_20110822:3:24550425:24552725:1 gene:TCM_014803 transcript:EOY22717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, putative isoform 1 MTGKRSRNIEVGAAICTILVLAPVVLSQNSSPIPVDKSQLKAWFNANIKPASARGSTIDPALAKAEVAAHIIKVKKDGSGDFDTITKAIASVPSGNTKRVIISIGGGSYREKIRIDRSKPFITFYGDPRNMPNLSYDGTARQYGTVDSATLIVESDYFVAANIVIQNTAPRPDGVMVGAQAVSLRISGDKAAFYNCKIIGFQDTLCDDRGNHFFKDCYIRGTVDFIFGSGKSLYLGVTVITAQNCFLRRIQVQGKRSKFQWAGEIRQAANRRGSQTFPGSWLY >EOY22714 pep chromosome:Theobroma_cacao_20110822:3:24548691:24552519:1 gene:TCM_014803 transcript:EOY22714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, putative isoform 1 MTGKRSRNIEVGAAICTILVLAPVVLSQNSSPIPVDKSQLKAWFNANIKPASARGSTIDPALAKAEVAAHIIKVKKDGSGDFDTITKAIASVPSGNTKRVIISIGGGSYREKIRIDRSKPFITFYGDPRNMPNLSYDGTARQYGTVDSATLIVESDYFVAANIVIQNTAPRPDGVMVGAQAVSLRISGDKAAFYNCKIIGFQDTLCDDRGNHFFKDCYIRGTVDFIFGSGKSLYLNTVLYVDGQKGVTVITAQARESSSENTGYSFVHCTVTGTASGAYLGRAWKTSPRVVFAYTNMSSVIHPLGWSDNLHPERAKTVFFGEYKCKGKGASFSGREKYAKRLTDGEAKPFLVLGFIDGAKWLLPPPRV >EOY22715 pep chromosome:Theobroma_cacao_20110822:3:24550425:24551982:1 gene:TCM_014803 transcript:EOY22715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, putative isoform 1 MTGKRSRNIEVGAAICTILVLAPVVLSQNSSPIPVDKSQLKAWFNANIKPASARGSTIDPALAKAEVAAHIIKVKKDGSGDFDTITKAIASVPSGNTKRVIISIGGGSYREKIRIDRSKPFITFYGDPRNMPNLSYDGTARQYGTVDSATLIVESDYFVAANIVIQNTAPRPDGVMVGAQAVSLRISGDKAAFYNCKIIGFQDTLCDDRGNHFFKDCYIRGTVDFIFGSGKSLYLGVTVITAQARESSSENTGYSFVHCTVTGTASGAYLGRAWKTSPRVVFAYTNMSSVIHPLGWSDNLHPERAKTVFFGEYK >EOY22716 pep chromosome:Theobroma_cacao_20110822:3:24550425:24552725:1 gene:TCM_014803 transcript:EOY22716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, putative isoform 1 MTGKRSRNIEVGAAICTILVLAPVVLSQNSSPIPVDKSQLKAWFNANIKPASARGSTIDPALAKAEVAAHIIKVKKDGSGDFDTITKAIASVPSGNTKRVIISIGGGSYREKIRIDRSKPFITFYGDPRNMPNLSYDGTARQYGTVDSATLIVESDYFVAANIVIQNTAPRPDGVMVGAQAVSLRISGDKAAFYNCKIIGFQDTLCDDRGNHFFKDCYIRGTVDFIFGSGKSLYLNTVLYVDGQKGVTVITAQNCFLRRIQVQGKRSKFQWAGEIRQAANRRGSQTFPGSWLY >EOY22010 pep chromosome:Theobroma_cacao_20110822:3:20361348:20364802:-1 gene:TCM_014167 transcript:EOY22010 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase family protein isoform 1 MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISVSRISAWNSDQLPIYEPGLDEVVKECRGKNLFFSTDIEKHVSEAHIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSNKIVVEKSTVPVKTAEAIEKILTHNSKGIKYQILSNPEFLAEGTAIQDLFKPDRVLIGGRETPEGQKAIQALKDVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSHAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKVNDYQKNRFVNRIVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDNARLSIYDPQVTEDQIQRDLSMNKFDWDHPIHLQPMSPTAVKQVSVAWDAYEATKDAHCLCILTEWDEFKTLDYQRIFDNMRKPAFVFDGRNVVNVDNLREKGFIVYSIGKPLDPWLKDMPAVA >EOY22011 pep chromosome:Theobroma_cacao_20110822:3:20360693:20364076:-1 gene:TCM_014167 transcript:EOY22011 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase family protein isoform 1 MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISVSRISAWNSDQLPIYEPGLDEVVKECRGKNLFFSTDIEKHVSEAHIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSNKIVVEKSTVPVKTAEAIEKILTHNSKGIKYQILSNPEFLAEGTAIQDLFKPDRVLIGGRETPEGQKAIQALKDVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSHAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKVNDYQKNRFVNRIVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDNARLSIYDPQVTEDQIQRDLSMNKFDWDHPIHLQPMSPTAVKQVSVAWDAYEATKDAHCLCILTEWDEFKTLDYQRIFDNMRKPAFVFDGRNVVNVDNLREKGFIVYSIGKPLDPWLKDMPAVA >EOY24952 pep chromosome:Theobroma_cacao_20110822:3:32756578:32759653:-1 gene:TCM_016406 transcript:EOY24952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANGGANTADLGENENDNISNWSISKLISSLKSAFRPTVFAKVEAVLVAREDKSKREIEALKQEKDLFFYKSEEERLEKMSFENELNKCKKECEDMRNAVSKLREENMVLRKREKSAEERCKKLLEEMKRIGEKDKEMIDLRSRNCELECEKAKAENEMEILRKRFEELDKKVSSLESDLRFLSNQEDLKENGAGEENRNSKNVGLKFDVKVEEVSFGPSCDSPVKANGNSQNPGSERTPSQSIIPIVDSDDECAPVETLSEKGTTLVCPADNSHTDQIGVENGSPTLKRKRTSFIIIGENENGDNDDNSLTGSVKMKKCEEPVCKPDGCPLNPCSTTTITSDSNEVNKGFSTPREDFMVLRQCEQQMESEQKSQNLMSGFPLDGLGFMEESSCSSDSDSDDDSDGVHIFFNNSQPAPEAQRENGN >EOY25247 pep chromosome:Theobroma_cacao_20110822:3:33671697:33675892:1 gene:TCM_016620 transcript:EOY25247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGISEGLVFSLFLQSFLTFVVPFRMSTRKRPSIALIWCAYLIADAAANYAIGLITNSQRTQSNPSGLTEDNDLLAFWAPFLLLHLGCPDTITAFSLEDNELWLRHMLSLILQAVAALHVFIQSLTKNKLQVPTLLMLVTGVIKYAERIYALFLASLDRFRDSMLQKPDPGPDYARLMKKYTSMKEGNLPTRIIMVQEPEKEVKETKQGKLDDLEVVHYAYHFFETFKGLVVDLMFSIHARKESRDFFLAREPEDALRIIEVELTFINGVFYTKMKVMHSIVGYLFRFIALGSVLAALGLFYFEAEENEYDPFNVKITCILLIGAIALEVVAFLMLIFSDWTFASIKSPVRSISLLPLAAIFRGFLALRRPRWGSAANSVRGSKSYLQAVTEGEPEQPDATLLPPPSPSGYKICSGFIENENLHWLERALVGVCKKYSEPEDVEKLMREDGRNGISIRRVMPAVQTQALVPGDVGFLVHGSPFMHEVGQLCTILRNYGFFSINVQEFAPDCVADHPGRSKWKEFDCASSSSSEFSSKENHPCPMEADDARDAGESQRTRGRGKAPMTNEEIIGPFATKVTMQPI >EOY20573 pep chromosome:Theobroma_cacao_20110822:3:204575:208373:-1 gene:TCM_011959 transcript:EOY20573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein, putative MDSLPLGEEVIQKACSIAMEAHNYPEAPCRVENSPSSSDVFFTFPGSWSVADWFTRLPFGETKVDPSKLLSPKGKDKVATSLRSIGRDELATVNEGFWKRLEMILANPSLQSEVEKAIADKKQIVFTGHSSAGAVAILATVWFLENYIKPEITRMSPLCVTFGSPLVADFIFNHALRRENWSHCFIHFVMRYDIVPRILLAPLSSMERELEQILYLLNPKPLFPSQGSTRVASQFYQTVMRNASALTSHAACQLMGNANPILETVASFIELSPYRPCGTFVFCTGNGKLVVVRNADAVLQLLFYSTQLCSENELEEVAERSLNSHFDYQSELRERLKMQNVVHLDHLDGLPLSSNGAAAENIATSMALNELGLSTRARLCLRAAGEQEKQKLSNQQRMDRKKADIEAGLAMLEDYKSKCAVCQVGYYDAFRSSKDEDDFKANVKRLELTGIWDEIVEMLNRYELPEGFESRKEWVELSTRYRRIVEPLDVANYYRHAKNEDTGPYMDKGRPRRYKYTQRWREHALRMPVGSSAESSFWAEVEELQLHRRTRPGAFEEIRETILNLERKLKEWIDGEHISRDVFLEGSSFTKLWKSLPQQHKSVSCLQGQINS >EOY21270 pep chromosome:Theobroma_cacao_20110822:3:5401099:5406244:-1 gene:TCM_012711 transcript:EOY21270 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1 complex, subunit B protein isoform 3 MGMAENNHDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSGDQPEGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERALYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDTGN >EOY21269 pep chromosome:Theobroma_cacao_20110822:3:5400559:5408754:-1 gene:TCM_012711 transcript:EOY21269 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1 complex, subunit B protein isoform 3 MGMAENNHDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSGDQPEGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERALYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDTGN >EOY21267 pep chromosome:Theobroma_cacao_20110822:3:5400717:5408676:-1 gene:TCM_012711 transcript:EOY21267 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1 complex, subunit B protein isoform 3 MGMAENNHDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSGDQPEGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDTGN >EOY21268 pep chromosome:Theobroma_cacao_20110822:3:5400495:5408692:-1 gene:TCM_012711 transcript:EOY21268 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1 complex, subunit B protein isoform 3 MGMAENNHDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSGDQPEGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDTGN >EOY22439 pep chromosome:Theobroma_cacao_20110822:3:23457286:23463416:1 gene:TCM_014606 transcript:EOY22439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MENCSLFLLLCLTWSLFILSDSQLQTSQTQVLLQLKKHLEYPKQLEIWYDRKTEFCFLSPSAQVNISCQYNSVTELKIMGDKPASEVSDFHGFAIPNQTLSESFSMDSFVTTLSRLPSLKVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNFLFGSIPPKISTLVKLQTFALDDNFFNDSIPSWLDSLSNLTILSMRNNRLKGPFPSSIKGITTLTNLALSSNEITGKLPDLSSLRNLNVLDLSGNKLGSSLPTMPKGVVMAFLSNNSFFGEIPPKYGQLSQLQHIDVSFNMLSGTPPAELFSLPNISYLNVASNTLSGSLSDNLSCGSNLKFVDISNNRLMGSLPSCLHSESRNRVVKLSGNCLSVDGRHQHPESYCRDLEVNMYRANAGAKGIGVLVSLIVGIAVVIVLLAIGFLIVCRRYCPRGISEQHLLHKSVQDNSTAGFSSGILTNARYISEAAKLGAQGLPACRSFTLEELKEATNNFDDSAFLGEGSYGKLFKGRLESGTQVAIRCLPTSKKYWIRNLKLRLDILAKIRHPHLVCILGHCIEVGQDDGSVNRVFLVYEYIPNGNFRSHLSEENCSGGVLNWSERLAVLIGICKAVHFLHTGVMPGFFHNRLKTNNILLNEHRMAKLGDYGLSIISEENGNYGAKGEDPTSWQMTRLEDDIYSFGLILLESMIGPSMAAKKEATLRDELQELLRNQDGRARFMNPVVSATCSQESISIMISITNKCICPELWSRPSFEDILWNLQYAAQVQANADAEQRFGRV >EOY22437 pep chromosome:Theobroma_cacao_20110822:3:23458367:23463460:1 gene:TCM_014606 transcript:EOY22437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MENCSLFLLLCLTWSLFILSDSQLQTSQTQVLLQLKKHLEYPKQLEIWYDRKTEFCFLSPSAQVNISCQYNSVTELKIMGDKPASEVSDFHGFAIPNQTLSESFSMDSFVTTLSRLPSLKVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNFLFGSIPPKISTLVKLQTFALDDNFFNDSIPSWLDSLSNLTILSMRNNRLKGPFPSSIKGITTLTNLALSSNEITGKLPDLSSLRNLNVLDLSGNKLGSSLPTMPKGVVMAFLSNNSFFGEIPPKYGQLSQLQHIDVSFNMLSGTPPAELFSLPNISYLNVASNTLSGSLSDNLSCGSNLKFVDISNNRLMGSLPSCLHSESRNRVVKLSGNCLSVDGRHQHPESYCRDLEVNMYRANAGAKGIGVLVSLIVGIAVVIVLLAIGFLIVCRRYCPRGISEQHLLHKSVQDNSTAGFSSGILTNARYISEAAKLGAQGLPACRSFTLEELKEATNNFDDSAFLGEGSYGKLFKGRLESGTQVAIRCLPTSKKYWIRNLKLRLDILAKIRHPHLVCILGHCIEVGQDDGSVNRVFLVYEYIPNGNFRSHLSEENCSGGVLNWSERLAVLIGICKAVHFLHTGVMPGFFHNRLKTNNILLNEHRMAKLGDYGLSIISEENGNYGAKGEDPTSWQMTRLEDDIYSFGLILLESMIGPSMAAKKEATLRDELVCAETLSTLRTTTFHISSIAQQREGYAYNHVLQPMFYIFFQLRLLIYHKISEIIYAVILAGIVTQPGWAGKVHEPSCFSYLLARIYINHDFHYK >EOY22440 pep chromosome:Theobroma_cacao_20110822:3:23458027:23462047:1 gene:TCM_014606 transcript:EOY22440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MENCSLFLLLCLTWSLFILSDSQLQTSQTQVLLQLKKHLEYPKQLEIWYDRKTEFCFLSPSAQVNISCQYNSVTELKIMGDKPASEVSDFHGFAIPNQTLSESFSMDSFVTTLSRLPSLKVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNFLFGSIPPKISTLVKLQTFALDDNFFNDSIPSWLDSLSNLTILSMRNNRLKGPFPSSIKGITTLTNLALSSNEITGKLPDLSSLRNLNVLDLSGNKLGSSLPTMPKGVVMAFLSNNSFFGEIPPKYGQLSQLQHIDVSFNMLSGTPPAELFSLPNISYLNVASNTLSGSLSDNLSCGSNLKFVDISNNRLMGSLPSCLHSESRNRVVKLSGNCLSVDGRHQHPESYCRDLEVNMYRANAGAKGIGVLVSLIVGIAVVIVLLAIGFLIVCRRYCPRGISEQHLLHKSVQDNSTAGFSSGILTNARYISEAAKLGAQGLPACRSFTLEELKEATNNFDDSAFLGEGSYGKLFKGRLESGTQVAIRCLPTSKKYWIRNLKLRLDILAKIRHPHLVCILGHCIEVGQDDGSVNRVFLVYEYIPNGNFRSHLSEENCSGGVLNWSERLAVLIGICKAVHFLHTGVMPGFFHNRLKTNNILLNEHRMAKLGDYGLSIISEENGNYGVRERTLHHGK >EOY22438 pep chromosome:Theobroma_cacao_20110822:3:23457286:23463416:1 gene:TCM_014606 transcript:EOY22438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MENCSLFLLLCLTWSLFILSDSQLQTSQTQVLLQLKKHLEYPKQLEIWYDRKTEFCFLSPSAQVNISCQYNSVTELKIMGDKPASEVSDFHGFAIPNQTLSESFSMDSFVTTLSRLPSLKVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNFLFGSIPPKISTLVKLQTFALDDNFFNDSIPSWLDSLSNLTILSMRNNRLKGPFPSSIKGITTLTNLALSSNEITGKLPDLSSLRNLNVLDLSGNKLGSSLPTMPKGVVMAFLSNNSFFGEIPPKYGQLSQLQHIDVSFNMLSGTPPAELFSLPNISYLNVASNTLSGSLSDNLSCGSNLKFVDISNNRLMGSLPSCLHSESRNRVVKLSGNCLSVDGRHQHPESYCRDLEVNMYRANAGAKGIGVLVSLIVGIAVVIVLLAIGFLIVCRRYCPRGISEQHLLHKSVQDNSTAGFSSGILTNARYISEAAKLGAQGLPACRSFTLEELKEATNNFDDSAFLGEGSYGKLFKGRLESGTQVAIRCLPTSKKYWIRNLKLRLDILAKIRHPHLVCILGHCIEVGQDDGSVNRVFLVYEYIPNGNFRSHLSENCSGGVLNWSERLAVLIGICKAVHFLHTGVMPGFFHNRLKTNNILLNEHRMAKLGDYGLSIISEENGNYGAKGEDPTSWQMTRLEDDIYSFGLILLESMIGPSMAAKKEATLRDELQELLRNQDGRARFMNPVVSATCSQESISIMISITNKCICPELWSRPSFEDILWNLQYAAQVQANADAEQRFGRV >EOY22435 pep chromosome:Theobroma_cacao_20110822:3:23457286:23462039:1 gene:TCM_014606 transcript:EOY22435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MENCSLFLLLCLTWSLFILSDSQLQTSQTQVLLQLKKHLEYPKQLEIWYDRKTEFCFLSPSAQVNISCQYNSVTELKIMGDKPASEVSDFHGFAIPNQTLSESFSMDSFVTTLSRLPSLKVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNFLFGSIPPKISTLVKLQTFALDDNFFNDSIPSWLDSLSNLTILSMRNNRLKGPFPSSIKGITTLTNLALSSNEITGKLPDLSSLRNLNVLDLSGNKLGSSLPTMPKGVVMAFLSNNSFFGEIPPKYGQLSQLQHIDVSFNMLSGTPPAELFSLPNISYLNVASNTLSGSLSDNLSCGSNLKFVDISNNRLMGSLPSCLHSESRNRVVKLSGNCLSVDGRHQHPESYCRDLEVNMYRANAGAKGIGVLVSLIVGIAVVIVLLAIGFLIVCRRYCPRGISEQHLLHKSVQDNSTAGFSSGILTNARYISEAAKLGAQGLPACRSFTLEELKEATNNFDDSAFLGEGSYGKLFKGRLESGTQVAIRCLPTSKKYWIRNLKLRLDILAKIRHPHLVCILGHCIEVGQDDGSVNRVFLVYEYIPNGNFRSHLSENCSGGVLNWSERLAVLIGICKAVHFLHTGVMPGFFHNRLKTNNILLNEHRMAKLGDYGLSIISEENGNYGAKGEDPTSWQMTRLEDDIYSFGLILLESMIGPSMAAKKEATLRDELELLRNQDGRARFMNPVVSATCSQESISIMISITNKCICPELWSRPSFEDILWNLQYAAQVQANADAEQRFGRV >EOY22436 pep chromosome:Theobroma_cacao_20110822:3:23458367:23463460:1 gene:TCM_014606 transcript:EOY22436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MENCSLFLLLCLTWSLFILSDSQLQTSQTQVLLQLKKHLEYPKQLEIWYDRKTEFCFLSPSAQVNISCQYNSVTELKIMGDKPASEVSDFHGFAIPNQTLSESFSMDSFVTTLSRLPSLKVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNFLFGSIPPKISTLVKLQTFALDDNFFNDSIPSWLDSLSNLTILSMRNNRLKGPFPSSIKGITTLTNLALSSNEITGKLPDLSSLRNLNVLDLSGNKLGSSLPTMPKGVVMAFLSNNSFFGEIPPKYGQLSQLQHIDVSFNMLSGTPPAELFSLPNISYLNVASNTLSGSLSDNLSCGSNLKFVDISNNRLMGSLPSCLHSESRNRVVKLSGNCLSVDGRHQHPESYCRDLEVNMYRANAGAKGIGVLVSLIVGIAVVIVLLAIGFLIVCRRYCPRGISEQHLLHKSVQDNSTAGFSSGILTNARYISEAAKLGAQGLPACRSFTLEELKEATNNFDDSAFLGEGSYGKLFKGRLESGTQVAIRCLPTSKKYWIRNLKLRLDILAKIRHPHLVCILGHCIEVGQDDGSVNRVFLVYEYIPNGNFRSHLSEENCSGGVLNWSERLAVLIGICKAVHFLHTGVMPGFFHNRLKTNNILLNEHRMAKLGDYGLSIISEENGNYGAKGEDPTSWQMTRLEDDIYSFGLILLESMIGPSMAAKKEATLRDELELLRNQDGRARFMNPVVSATCSQESISIMISITNKCICPELWSRPSFEDILWNLQYAAQVQANADAEQRFGRV >EOY22822 pep chromosome:Theobroma_cacao_20110822:3:24894980:24900604:-1 gene:TCM_014880 transcript:EOY22822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MAVALAITDEGGQYNGRMTLFVVLSCMMAAMGGVIFGYDIGISGGVTSMEPFLKKFFPDVYRKMKEDTKISNYCKFDSQLLTSFTSSLYVAGLFATFLASSVTKAFGRKPSILAGGAAFLAGSALGGAALNVYMLIFGRILLGIGVGFANQSVPLYLSEMAPPRYRGAINNGFQFSIGIGALVANLINFGTEKIEGGWGWRISLAMAAVPASILTLGAIFLPETPNSLIQNSSDHDKAKTLLQRIRGTSDVQAELDDLIKASLVAKSINHPIKKIIQRKYRPQLVMAIAIPFFQQVTGINVIAFYAPILFRTIGIGESASLLSSVVTGVVGAGSTFISMLIVDKFGRRALFMVGGIQMFVSQMLVGGIMAAQLGDHGTVSKGYAYLVIFLICIYVAGFGWSWGPLGWLVPSEIYQLEIRSAGQSITVAVSFVFTFVVAQTFLSMLCHFKAGIFFFFGGWVVVMTAFVYFLLPETKNVPIEQMEKVWKEHWFWKRILGEEDDEIKIHH >EOY23944 pep chromosome:Theobroma_cacao_20110822:3:29077748:29081381:1 gene:TCM_015681 transcript:EOY23944 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein MDLTAVAKPCNLGDKSTSDVILRLRNDEGRPEWFYSHSSILIKKSRFFADQLSNPVSGPCIEIQCSDSTYDHHVNLLRLLYLPTDLLLDSLDSVKSAIGILQLAVAFQCEDITNCCIRYLEAIPWEDKEEEQILKAVSKLGPIAMPILARIQPVDVSATKNVFVSAVRFATSIGGSCPPFGDELKTSAQEQVEFMLGGDEDTPLVTADDEVKAVVKMGLSQICSLFERELSSLLVISDVTADTVENRILQSLSDLKTELDNVMWGLKVKLIEVTGKVLEAVGYGNVILPAPYRVQLLKTWLPYIRKIKPLLDANGNKETDFPYKMDEDLCQSIEGAIVSLVLALPSNDQADILSDWMETEQVRYPDLSEAFEVWCYRTKSAKRRLMEGLDRVGNPTISL >EOY22463 pep chromosome:Theobroma_cacao_20110822:3:23549316:23553080:1 gene:TCM_014625 transcript:EOY22463 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB/MDM2 domain superfamily protein isoform 2 MNNNNLPKTFGAPSQFANSGTVAQSQSMPMNNQPQLLSQAQPQPMNNQPQLLSQAQPQTQGGPQFPGHFQLSEPQAQVLAQAQYVQAHAQAQAQAAHSQFQAQVQSQNVSNSNATATPSPVVSTPGSGSAKRSSQKPPSKHSSSSNSNMASLFKTMELTPAAQRKKRKVPERQIPDKVAAMLPECALYTQLLEFEAKVDAALSRKKSDIQQSLKNPPCVQKTLRLYVFNTYSNQGQTDPDKKSTEAPSWSLKIIGRILEDGKDPVVAGKVQKSYPKFSSFFKKITIYLDASLYPDNHVILWESARSPALHEGFEVKRKGDKESTARIRLEMNYMPERFKLSPALAEVLGIEVDTRPRVMAAIWHYVKCKKLQNYEDNSFFACDPPLQKVFGEEKMKFIMVPHKITQHLTPLQPIHLEHRIKLSGNCPVGSTCYDVLVDVPFPLEKEKSAFLANMEKNKDIDASNEVICAAIKKIHEHYQRRAFFLGFSQSPGEFINALIASQSKDLKVFAGDASDNAEKERQSEFYNQPWIEDAVIRYLNRKSMGGKENILSGTLDGKLPQK >EOY22464 pep chromosome:Theobroma_cacao_20110822:3:23549934:23552132:1 gene:TCM_014625 transcript:EOY22464 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB/MDM2 domain superfamily protein isoform 2 MNNNNLPKTFGAPSQFANSGTVAQSQSMPMNNQPQLLSQAQPQPMNNQPQLLSQAQPQTQGGPQFPGHFQLSEPQAQVLAQAQYVQAHAQAQAQAAHSQFQAQVQSQNVSNSNATATPSPVVSTPGSGSAKRSSQKPPSKHSSSSNSNMASLFKTMELTPAAQRKKRKVPERQIPDKVAAMLPECALYTQLLEFEAKVDAALSRKKSDIQQSLKNPPCVQKTLRLYVFNTYSNQGQTDPDKKSTEAPSWSLKIIGRILEDGKDPVVAGKVQKSYPKFSSFFKKITIYLDASLYPDNHVILWESARSPALHEGFEVKRKGDKESTARIRLEMNYMPERFKLSPALAEVLGIEVDTRPRVMAAIWHYVKCKKLQNYEDNSFFACDPPLQKVFGEEKMKFIMVPHKITQHLTPLQPIHLEHRIKLSGNCPVGSTCYDVLVDVPFPLEKEKSAFLANMEKNKDIDASNEVICAAIKKIHEHYQRRAFFLGFSQSPGEFINALIASQSKDLKVFAGDASDNAEKERQSEFYNQPWIEDAVIRYLNRKSMGGDALGST >EOY22462 pep chromosome:Theobroma_cacao_20110822:3:23549316:23553080:1 gene:TCM_014625 transcript:EOY22462 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB/MDM2 domain superfamily protein isoform 2 MNNNNLPKTFGAPSQFANSGTVAQSQSMPMNNQPQLLSQAQPQPMNNQPQLLSQAQPQTQGGPQFPGHFQLSEPQAQVLAQAQYVQAHAQAQAQAAHSQFQAQVQSQNVSNSNATATPSPVVSTPGSGSAKRSSQKPPSKHSSSSNSNMASLFKTMELTPAAQRKKRKVPERQIPDKVAAMLPECALYTQLLEFEAKVDAALSRKKSDIQQSLKNPPCVQKTLRLYVFNTYSNQGQTDPDKKSTEAPSWSLKIIGRILEDGKDPVVAGKVQKSYPKFSSFFKKITIYLDASLYPDNHVILWESARSPALHEGFEVKRKGDKESTARIRLEMNYMPERFKLSPALAEVLGIEVDTRPRVMAAIWHYVKCKKLQNYEDNSFFACDPPLQKVFGEEKMKFIMVPHKITQHLTPLQPIHLEHRIKLSGNCPVGSTCYDVLVDVPFPLEKEKSAFLANMEKNKDIDASNEVICAAIKKIHEHYQRRAFFLGFSQSPGEFINALIASQSKDLKVFAGDASDNAEKERQSEFYNQPWLVLESCALHSIADHSCCFCFTWKLIEDAVIRYLNRKSMGGKENILSGTLDGKLPQK >EOY24629 pep chromosome:Theobroma_cacao_20110822:3:31706658:31710223:1 gene:TCM_016182 transcript:EOY24629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKPINSIATSSKTYFEKRGEEEEIESSEEEESDDYIDSDEEVEIEQELAEVTFEELQKARADGTESVSRKHHSENKHRRANKNRPVELSSKKPMRSFRQAIQAPKKVLWTASELNGVAIWDCRVVVRDPRFESLCGKLDAEGFRKRYNFLFENNLPAEREEAQKRLKKAKDPKVIGELKNSISWIDKQIKFESAKHTDAKILAEHKKKEREAAKLGKRPFYLKKSEIRKQRLIEKYKKLKASGKLESFIEKRRRKNAAKDHRFMPYRRPNNSEQQG >EOY22071 pep chromosome:Theobroma_cacao_20110822:3:21206172:21209204:1 gene:TCM_014262 transcript:EOY22071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Respiratory burst oxidase, putative isoform 1 LFRSYWRRTWIILFWLIVCFALFTWKFIQYSHRTAFQVMGYCLSTAKGAAETLKFNMALILLPVCRNTITWLRKNSGFNSVIPFNDNINFHKLIAGGIVIGVLLHGGTHLACDFPRISGSDSSTFRQTIAARFGYQQPSYFQILATTEVATGIAMVIVMMIAFSLATKWPRRQTSPLPRSVRKVTGYNTFWYSHHLFILVYALLIVHSMFLFLTDNLTEKTTWMYIAIPVLLYAGERIIRAIRSGFCEVEIWKVNLYPGKVLSLKLRKPEGFSHKSGMYIFIQCPQISPFEWHPFSLTCGPKDDYLSVHIRTLGDWSYQLYSLFQEVNAQVTRLKQYPKIYIDGPYGAASQDHIKYDIVVMVGLGIGVTPFISILKDFASRVKKPNINHAASGEGRIEKGPLKAYLYWVTREQSSFNWFMDVMQEIAETNQKQSVVEVFNFLTSVYQEGDARSALISIIQSLYHAKNGMDIVSRTPVHTHFARPNWFNIFSNLARRHRGARIGVFYCGPLALARELERLCTKFSTKTTTRFVFHKEN >EOY22070 pep chromosome:Theobroma_cacao_20110822:3:21204210:21209410:1 gene:TCM_014262 transcript:EOY22070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Respiratory burst oxidase, putative isoform 1 MEIRKGSQSAQKHPANLALSPSSSSSSCSSFSASVVPLTCSPVEQTALPYRMGSRGISIVSLSDCERLRFVDTAGNEWRIVENRFNRLAITGNGHEPVVKWSDFGSCIGMRESPEFANELLRAMRGRRDWKKDITKNELHSYWCRMTDPWLDSRIELFFDLCDRNMDGRINERDIKKVILLSAPINKLSVKHEEAEEQASLIMEVLDIEHRGYIEPSQLESLFKVSLPKGSSATSYQKDQKLEPTSKAEILFRSYWRRTWIILFWLIVCFALFTWKFIQYSHRTAFQVMGYCLSTAKGAAETLKFNMALILLPVCRNTITWLRKNSGFNSVIPFNDNINFHKLIAGGIVIGVLLHGGTHLACDFPRISGSDSSTFRQTIAARFGYQQPSYFQILATTEVATGIAMVIVMMIAFSLATKWPRRQTSPLPRSVRKVTGYNTFWYSHHLFILVYALLIVHSMFLFLTDNLTEKTTWMYIAIPVLLYAGERIIRAIRSGFCEVEIWKVNLYPGKVLSLKLRKPEGFSHKSGMYIFIQCPQISPFEWHPFSLTCGPKDDYLSVHIRTLGDWSYQLYSLFQEAQVTRLKQYPKIYIDGPYGAASQDHIKYDIVVMVGLGIGVTPFISILKDFASRVKKPNINHAASGEGRIEKGPLKAYLYWVTREQSSFNWFMDVMQEIAETNQKQSVVEVFNFLTSVYQEGDARSALISIIQSLYHAKNGMDIVSRTPVHTHFARPNWFNIFSNLARRHRGARIGVFYCGPLALARELERLCTKFSTKTTTRFVFHKENY >EOY23635 pep chromosome:Theobroma_cacao_20110822:3:28076499:28079002:1 gene:TCM_015466 transcript:EOY23635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 1 isoform 1 MVSLFRALHEKEKRAKGGTTLNQFFLLVLISSFAYYVLPGYLFSTLTSFSWVCWLAPKSILVQQLGSGLHGLGIGSFGIDWATISSYLGSPLASPWFATANIAVGFFLVMYVMTPLTYWFDVYKAKNFPIYSSELFKSNGESYDILSIVNPMFHLDKKVYAQNGRVHLSTFFAMTYGLGFATLTATIFHVLLFDGRELWRQTKSAFKGDKKMDIHTKLMQKYKLVPTWWFVVILLSNIALILFACEHYNESLQLPWWGVLLACAIAFFFTLPIGIIAATTNQAPGLNIITEYVIGYMYPEHPVANMCFKVYGYISMTQALTFISDFKLGHYMKIPPRSMFMAQVVGTLVAVVVYTITAWWLMEEIPHLCDTSLLPPDSPWTCPMDRVFFDASVIWGLVGPRRIFGVEGEYGNVNWFFLAGAVAPVLVWLAHKTFPNREWIRLIHMPVLIGATSMMPPASAVNFSSWLIVGFLSGFVVFKYRPQWWKRYNYVLSGGLDAGTAFMTVLLFITLQSKDIDLQWWGNKGEGCPLAACPTAKGVMADGCPVA >EOY23634 pep chromosome:Theobroma_cacao_20110822:3:28069269:28079002:1 gene:TCM_015466 transcript:EOY23634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 1 isoform 1 MGETSEIQAMELEVVEECPVKQVELTVPKTDDPTLPAVTFRMWVLGLGTSVILSFVNQFFWYRKMPLNITSISAMIAVVPLGHLMARTLPHRRFLSNTRWEFTMNPGPFNMKEHVLITIFANSGAGTVYATHILSAVKLYYKRKLTFLPALLVMITTQVLGFGWAGIFRKYLVEPGEMWWPSNLVMVSLFRALHEKEKRAKGGTTLNQFFLLVLISSFAYYVLPGYLFSTLTSFSWVCWLAPKSILVQQLGSGLHGLGIGSFGIDWATISSYLGSPLASPWFATANIAVGFFLVIENYGDKPKVLLKVIRRWIFTQSLCRSTNLFLHACAIAFFFTLPIGIIAATTNQAPGLNIITEYVIGYMYPEHPVANMCFKVYGYISMTQALTFISDFKLGHYMKIPPRSMFMAQVVGTLVAVVVYTITAWWLMEEIPHLCDTSLLPPDSPWTCPMDRVFFDASVIWGLVGPRRIFGVEGEYGNVNWFFLAGAVAPVLVWLAHKTFPNREWIRLIHMPVLIGATSMMPPASAVNFSSWLIVGFLSGFVVFKYRPQWWKRYNYVLSGGLDAGTAFMTVLLFITLQSKDIDLQWWGNKGEGCPLAACPTAKGVMADGCPVA >EOY23633 pep chromosome:Theobroma_cacao_20110822:3:28071587:28078964:1 gene:TCM_015466 transcript:EOY23633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 1 isoform 1 MGETSEIQAMELEVVEECPVKQVELTVPKTDDPTLPAVTFRMWVLGLGTSVILSFVNQFFWYRKMPLNITSISAMIAVVPLGHLMARTLPHRRFLSNTRWEFTMNPGPFNMKEHVLITIFANSGAGTVYATHILSAVKLYYKRKLTFLPALLVMITTQVLGFGWAGIFRKYLVEPGEMWWPSNLVMVSLFRALHEKEKRAKGGTTLNQFFLLVLISSFAYYVLPGYLFSTLTSFSWVCWLAPKSILVQQLGSGLHGLGIGSFGIDWATISSYLGSPLASPWFATANIAVGFFLVMYVMTPLTYWFDVYKAKNFPIYSSELFKSNGESYDILSIVNPMFHLDKKVYAQNGRVHLSTFFAMTYGLGFATLTATIFHVLLFDGRELWRQTKSAFKGDKKMDIHTKLMQKYKLVPTWWFVVILLSNIALILFACEHYNESLQLPWWGVLLACAIAFFFTLPIGIIAATTNQAPGLNIITEYVIGYMYPEHPVANMCFKVYGYISMTQALTFISDFKLGHYMKIPPRSMFMAQVVGTLVAVVVYTITAWWLMEEIPHLCDTSLLPPDSPWTCPMDRVFFDASVIWGLVGPRRIFGVEGEYGNVNWFFLAGAVAPVLVWLAHKTFPNREWIRLIHMPVLIGATSMMPPASAVNFSSWLIVGFLSGFVVFKYRPQWWKRYNYVLSGGLDAGTAFMTVLLFITLQSKDIDLQWWGNKGEGCPLAACPTAKGVMADGCPVA >EOY22263 pep chromosome:Theobroma_cacao_20110822:3:22684367:22690065:-1 gene:TCM_014484 transcript:EOY22263 gene_biotype:protein_coding transcript_biotype:protein_coding description:No lysine kinase 3 isoform 2 MPQDSTSEQEQDDSDAEFVEIDPTGRYGRYKGVLGRGAFKKVYRAFDELEGIEVAWNQVKVTDLLRNSEDLERLYSEVHLLKTLKHKNIIKFYNSWIDTKNENINFITEIFTSGTLRQYRKKHKHVDLRALKKWSRQILEGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILCQARSAHSVIGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTFEYPYVECANAAQIFKKVTSGIKPASLAKVTDPGVKLFIEKCIAKVSERLPAKELLGDPFLQSDEENGSVGCSLRPKAHTSDSSSDPTDFRRSTKDLQSEASVDVKVQGQRKDLNTIFLKLRIADSTGHIRNIHFPFDIEADTPTAVASEMVEELDLTDQDVATISEMIGTEIRSHIPDWTVRETPRDNFVEVANSGDYISENKGDGSPLAYEPTSSPGSLSLERLPSGRRYWSDSPKAAAGGISPAGTGLSNLASPVDFVRFADSFSEHDEQSHDIYESEGNIKSGTSLKQLENEYTHHNGRDDGQGKDGNRPADMPLSTSGGNMHDGSATCSSREKCNLSKDTESGVKVIFERLELLLVKQQMEIDELKKKHKLAISDLLKEISPEIREKVLDMCKMKIPDYNIQNETIC >EOY22264 pep chromosome:Theobroma_cacao_20110822:3:22684399:22690044:-1 gene:TCM_014484 transcript:EOY22264 gene_biotype:protein_coding transcript_biotype:protein_coding description:No lysine kinase 3 isoform 2 MIPMLSSWRLILRVVMVGYRKKHKHVDLRALKKWSRQILEGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILCQARSAHSVIGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTFEYPYVECANAAQIFKKVTSGIKPASLAKVTDPGVKLFIEKCIAKVSERLPAKELLGDPFLQSDEENGSVGCSLRPKAHTSDSSSDPTDFRRSTKDLQSEASVDVKVQGQRKDLNTIFLKLRIADSTGHIRNIHFPFDIEADTPTAVASEMVEELDLTDQDVATISEMIGTEIRSHIPDWTVRETPRDNFVEVANSGDYISENKGDGSPLAYEPTSSPGSLSLERLPSGRRYWSDSPKAAAGGISPAGTGLSNLASPVDFVRFADSFSEHDEQSHDIYESEGNIKSGTSLKQLENEYTHHNGRDDGQGKDGNRPADMPLSTSGGNMHDGSATCSSREKCNLSKDTESGVKVIFERLELLLVKQQMEIDELKKKHKLAISDLLKEISPEIREKVLDMCKMKIPDYNIQNETIC >EOY22220 pep chromosome:Theobroma_cacao_20110822:3:22471711:22476026:-1 gene:TCM_014442 transcript:EOY22220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase 3 isoform 3 MGCTFSGLNALYDAVNGGGDVWINDNRFRIVRQLGEGGFAYVFLVKEVMSDSSSASASGLAKKVKDPSHLSDDGTYAMKKVLIQNNEQLQLVREEIRVSSLFSHPHLLPLLDHAIIAVKPTQEGSWNHEAYLLFPVHLDGTLLDNSTAMKAKKEFFSTSDVLQIFRQLCAGLKHMHSLEPPYAHNDVKPGNVLLTRRKGQPPLSILMDFGSARPARKQIHSRSEALQLQEWASEHCSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAIIYWFPSSLI >EOY22219 pep chromosome:Theobroma_cacao_20110822:3:22473039:22475778:-1 gene:TCM_014442 transcript:EOY22219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase 3 isoform 3 MGCTFSGLNALYDAVNGGGDVWINDNRFRIVRQLGEGGFAYVFLVKEVMSDSSSASASGLAKKVKDPSHLSDDGTYAMKKVLIQNNEQLQLVREEIRVSSLFSHPHLLPLLDHAIIAVKPTQEGSWNHEAYLLFPVHLDGTLLDNSTAMKAKKEFFSTSDVLQIFRQLCAGLKHMHSLEPPYAHNDVKPGNVLLTRRKGQPPLSILMDFGSARPARKQIHSRSEALQLQEWASEHCSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAIM >EOY22218 pep chromosome:Theobroma_cacao_20110822:3:22469552:22475984:-1 gene:TCM_014442 transcript:EOY22218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase 3 isoform 3 MGCTFSGLNALYDAVNGGGDVWINDNRFRIVRQLGEGGFAYVFLVKEVMSDSSSASASGLAKKVKDPSHLSDDGTYAMKKVLIQNNEQLQLVREEIRVSSLFSHPHLLPLLDHAIIAVKPTQEGSWNHEAYLLFPVHLDGTLLDNSTAMKAKKEFFSTSDVLQIFRQLCAGLKHMHSLEPPYAHNDVKPGNVLLTRRKGQPPLSILMDFGSARPARKQIHSRSEALQLQEWASEHCSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIVNAQIKWPAGPKPPYPEALHQFVTWMLQPQAAVRPFIDDIIIHVDKLISKFSQ >EOY24894 pep chromosome:Theobroma_cacao_20110822:3:32594634:32595645:-1 gene:TCM_016370 transcript:EOY24894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQILIIFFKIKQTQKVFSFFKYHQFIIFNLDALMVRVVDKVGCIEGVVKIMCGKKTASRRGHKTEKRGGPRAVEGLSNKSVGPTRRGEEGGTWTMGAQRTVTSRCRLSIRKYGATLTCPRKFNF >EOY23012 pep chromosome:Theobroma_cacao_20110822:3:25750170:25761926:-1 gene:TCM_015026 transcript:EOY23012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MWRKQRITTKEKGESFIIRNNHGEIMLVGLNTYKKAVSIVEAKIKALSGTLSICDQQQLRIDEVRHCSREENKVAHTIAQHVKCSLTLTVSVVCLPRRNKRGTRLIQEFSSIWPQKRVLICSFKPRFVSSSLQSIDAIRIIRIS >EOY23013 pep chromosome:Theobroma_cacao_20110822:3:25751675:25761926:-1 gene:TCM_015026 transcript:EOY23013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MWRKQRITTKEKGESFIIRNNHGEIMLVGLNTYKKAVSIVEAKIKALSGTLSICDQQQLRIDEVRHCSREENKVAHTIAQHVKCSLTLTVSVVCLPRRNKRGTRLIQEFSSIWPQKRVLICSFKPRFVSSSLQSIDAISGNRLLRQTLGNLVLLLMSSPQQVP >EOY24764 pep chromosome:Theobroma_cacao_20110822:3:32152268:32155836:1 gene:TCM_016269 transcript:EOY24764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLSFCKGQVQVMAPEEMGELEFQRRWEYRRRDNDFDSSCDDSKSSSEPLRRRHKLVSSLISVGDHRTSGLKQKDNDNPGTGDQINVGKAKTRKARNISNNLQNSKRKRGSPKEFDLQKGDTRAHDPVPLDDVKNFMESLLEDLKVTRENLLKWLMEEMQKLVADDTTPEPKRRKRGHRGKKVQLQPTKKSKKVQDQHEKTAKESMQVQHPNNFEESIQVQHHNNFQETVLAQQQNNFQVNVMQLQEEENINWQHQNDFKYGMRSQNCNNRSLVRLPKSSNAADSTDCFNALGDGVDSGQSHKSVVLAIEAQKRKGGSLERSLKSKKTVDCSSHYQVPEDQGGHGQAMRTAVATEKTNGEMLGSSVVQNFLSSPSGQAPSSMYLTLPTVLTEPIVAPIVANHGLDASLCDYILPRVAEKKRGVNSERMNQILEASCTQGSFPVVHPEERIQSFAPMGSRNTGCVNQNSTPTSGIGNGYPVPLHQGIDVGLSIPRQAHAGYLSQDNDKTLALRMNGGAIRFPGGTYNLSEHPAANNCHFHSTYQSDSGLTLCQLQNIKDGHLFPK >EOY23748 pep chromosome:Theobroma_cacao_20110822:3:28446568:28447800:-1 gene:TCM_015546 transcript:EOY23748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTIEKKYLDWALVPIGILLMLAYHLWLLYRIVKHPTKTVIGVNAINRRFWVRAMMEDVAKNGVLAVQTLRNNIMASTLLASTAIMLSSLIAVLMTSSSGNRSSWFVFGDRSNLAFSIKFFSILVCFLVAFLLNVQSIRYYSHASILINVPVKKMSQHQRHHHLTVEYVANTVNRGSYFWSLGLRAFYFSFPLFLWIFGPLPMVFCCIALIFMLYFLDVTFQYGGAAGVVDDNSHKDEELGGSGRS >EOY20633 pep chromosome:Theobroma_cacao_20110822:3:394657:396172:1 gene:TCM_012002 transcript:EOY20633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRGRPFYPFVWMIVTDNEVQAGFLFRQMAIHISQCRIDLSTAMIQTAHCAHLCFKHTQLQALSFSLPSQPLTFSLFVLFPIFLPCDFHCQRPTIKFATSNPYALLLLGLKAPLVATFSVGAGLHVLSNV >EOY23566 pep chromosome:Theobroma_cacao_20110822:3:27864071:27881229:-1 gene:TCM_015420 transcript:EOY23566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-irradiation and mitomycin c induced 1, putative isoform 4 MQSQFNAMEDKFHRRASKRPLVLEDEDDADGSNEVYRFKVLLPNGTSVDLSLKNQKPEISFEDFIDLIRVEYDYIVRSQRQSVKRKRIINWNSEKLYLEGDMGGKIMSRIKLRHFKPLKCHILRLHDGSGEVANTYENMWDLTPDTDLLMELPEEYTFETALADLIDNSLQAVWLNGKNERRLISVNVLENTISIFDTGPGMDSSDENSIVKWGKMGASLNRLSKVQAIGCKPPYLMPFFGMFGYGGPIASMHLGSCAIVSSKTKESKKVYTLQIAREALLNNSNPERCWRTDGGIRDASEDEIEKSPHQSFTKVEILKPKQKNLDIFKLQCKLKDTYFPYIQCDELSKVGRTITPVEFQVVNGVDLTEIDGGEAAITNLLSCNGPEFSILLHFSLRRENVATKGSKASQEANARLKCIYFPIRQGKENIERILERLGAEGCGVRENYEDFSRVSIRRLGRLLPDARWALLPFMDLRQRKGDKSHLLKRCCLRVKCFVETDAGFNPTPSKTDLAHHNPFSIALKNFGSRHVEKEKDVDVDIYRGGKQLTFLQLEREYQDWLLLMHDSYDEEIVSGEDQPVLVVGPLNKKALGISSDVIRVHKILKRKGVLWKRRQRIKVLKGACAGFHKNNVYATLEYFLIEGFQGDFGGEARIICRPLGLSNGSILSVKDGNASFDIRSSLSLPVSVIDSGKCLAIDDTDWDCQLEKQCQKAPSRIDLLNAKQCQELEVDGALPADATVHAGLVPPKEIVAVLRPRSFGSSSASNDLEQKDILKINLEMSMEVNFRRTKNHQDVKHIYSGRITPSSHKGFNGLYVFPIGSKFTHLFQVAGLYTFLFSIEHSGCQDCKKTLLVVPSLKVGKWRLLSDGKIPSYNVRVGSCFALIPIACYDIYGNRMPFSSIPNFKIKLVMNEGMLVDVTQMKPSLSSDNLVLNIEDVMIESNGLDSMRPHYAATLVIYSKDESVSISVECQVTPGALRNVRACPEVLGNQLLPGFIIEQLVLEMFDAYGNHVAEGAEVQFHLDGFVIQGHLGSKYKVDDRGCIDLGGLLEVTAGYGKSVSLSVLHDGKVVFKREFQTEKRELRIASVVPERCIAGSILEDLAFEVVDSQGVVDETFHDDEKHGQSHRLIVNSESFETCDSICYAFIHGCCIVTSIPLPEIEGPFCFVAFHSRYMDLYLNVKVSLVRPRKVESDEIEYPSDQKGLFLQKSQSVKDVGCLLSLVKYDKELEDEVCKYGERIAKWEHLLETLDCRKASIERYVSGLQASLEPNLIDNLDSLSTKEEMMIRIKERDHSAASVLCSLAQKLPFQEPWMDVIEGLVGVVALLGTVCTSKLSRILAEYLGEDQMLAVVCKSYTAARALEKYEHNGKVDWKLGLHAEATALGKSISGRFLVVCLEDIRPYPGLIEVSDPQRKLALPDPRLPTGNTPPGFIGYAVNMVNIDHPHLENLTTAGHGLRETLFYRLFSKLQVYETREHMENARACIKHSAISLDGGILRKNGIISLGYRNPEIHFPVQMHVSQQHKEIMEQIKKMKLELRSILQHIERISENHAKASKKFNKRKMKLEKCMDRMDSTIKYYHVEYAPNTLKSEEAPPM >EOY23565 pep chromosome:Theobroma_cacao_20110822:3:27865429:27881101:-1 gene:TCM_015420 transcript:EOY23565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-irradiation and mitomycin c induced 1, putative isoform 4 MQSQFNAMEDKFHRRASKRPLVLEDEDDADGSNEVYRFKVLLPNGTSVDLSLKNQKPEISFEDFIDLIRVEYDYIVRSQRQSVKRKRIINWNSEKLYLEGDMGGKIMSRIKLRHFKPLKCHILRLHDGSGEVANTYENMWDLTPDTDLLMELPEEYTFETALADLIDNSLQAVWLNGKNERRLISVNVLENTISIFDTGPGMDSSDENSIVKWGKMGASLNRLSKVQAIGCKPPYLMPFFGMFGYGGPIASMHLGSCAIVSSKTKESKKVYTLQIAREALLNNSNPERCWRTDGGIRDASEDEIEKSPHQSFTKVEILKPKQKNLDIFKLQCKLKDTYFPYIQCDELSKVGRTITPVEFQVNGVDLTEIDGGEAAITNLLSCNGPEFSILLHFSLRRENVATKGSKASQEANARLKCIYFPIRQGKENIERILERLGAEGCGVRENYEDFSRVSIRRLGRLLPDARWALLPFMDLRQRKGDKSHLLKRCCLRVKCFVETDAGFNPTPSKTDLAHHNPFSIALKNFGSRHVEKEKDVDVDIYRGGKQLTFLQLEREYQDWLLLMHDSYDEEIVSGEDQPVLVVGPLNKKALGISSDVIRVHKILKRKGVLWKRRQRIKVLKGACAGFHKNNVYATLEYFLIEGFQGDFGGEARIICRPLGLSNGSILSVKDGNASFDIRSSLSLPVSVIDSGKCLAIDDTDWDCQLEKQCQKAPSRIDLLNAKQCQELEVDGALPADATVHAGLVPPKEIVAVLRPRSFGSSSASNDLEQKDILKINLEMSMEVNFRRTKNHQDVKHIYSGRITPSSHKGFNGLYVFPIGSKFTHLFQVAGLYTFLFSIEHSGCQDCKKTLLVVPSLKVGKWRLLSDGKIPSYNVRVGSCFALIPIACYDIYGNRMPFSSIPNFKIKLVMNEGMLVDVTQMKPSLSSDNLVLNIEDVMIESNGLDSMRPHYAATLVIYSKDESVSISVECQVTPGALRNVRACPEVLGNQLLPGFIIEQLVLEMFDAYGNHVAEGAEVQFHLDGFVIQGHLGSKYKVDDRGCIDLGGLLEVTAGYGKSVSLSVLHDGKVVFKREFQTEKRELRIASVVPERCIAGSILEDLAFEVVDSQGVVDETFHDDEKHGQSHRLIVNSESFETCDSICYAFIHGCCIVTSIPLPEIEGPFCFVAFHSRYMDLYLNVKVSLVRPRKVESDEIEYPSDQKGLFLQKSQSVKDVGCLLSLVKYDKELEDEVCKYGERIAKWEHLLETLDCRKASIERYVSGLQASLEPNLIDNLDSLSTKEEMMIRIKERDHSAASVLCSLAQKLPFQEPWMDVIEGLVGVVALLGTVCTSKLSRLYLPFIFKDVYIKQNERLQKFSVTFSFSGFPWISLLQDFS >EOY23564 pep chromosome:Theobroma_cacao_20110822:3:27863611:27884213:-1 gene:TCM_015420 transcript:EOY23564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-irradiation and mitomycin c induced 1, putative isoform 4 MQSQFNAMEDKFHRRASKRPLVLEDEDDADGSNEVYRFKVLLPNGTSVDLSLKNQKPEISFEDFIDLIRVEYDYIVRSQRQSVKRKRIINWNSEKLYLEGDMGGKIMSRIKLRHFKPLKCHILRLHDGSGEVANTYENMWDLTPDTDLLMELPEEYTFETALADLIDNSLQAVWLNGKNERRLISVNVLENTISIFDTGPGMDSSDENSIVKWGKMGASLNRLSKVQAIGCKPPYLMPFFGMFGYGGPIASMHLGSCAIVSSKTKESKKVYTLQIAREALLNNSNPERCWRTDGGIRDASEDEIEKSPHQSFTKVEILKPKQKNLDIFKLQCKLKDTYFPYIQCDELSKVGRTITPVEFQVNGVDLTEIDGGEAAITNLLSCNGPEFSILLHFSLRRENVATKGSKASQEANARLKCIYFPIRQGKENIERILERLGAEGCGVRENYEDFSRVSIRRLGRLLPDARWALLPFMDLRQRKGDKSHLLKRCCLRVKCFVETDAGFNPTPSKTDLAHHNPFSIALKNFGSRHVEKEKDVDVDIYRGGKQLTFLQLEREYQDWLLLMHDSYDEEIVSGEDQPVLVVGPLNKKALGISSDVIRVHKILKRKGVLWKRRQRIKVLKGACAGFHKNNVYATLEYFLIEGFQGDFGGEARIICRPLGLSNGSILSVKDGNASFDIRSSLSLPVSVIDSGKCLAIDDTDWDCQLEKQCQKAPSRIDLLNAKQCQELEVDGALPADATVHAGLVPPKEIVAVLRPRSFGSSSASNDLEQKDILKINLEMSMEVNFRRTKNHQDVKHIYSGRITPSSHKGFNGLYVFPIGSKFTHLFQVAGLYTFLFSIEHSGCQDCKKTLLVVPSLKVGKWRLLSDGKIPSYNVRVGSCFALIPIACYDIYGNRMPFSSIPNFKIKLVMNEGMLVDVTQMKPSLSSDNLVLNIEDVMIESNGLDSMRPHYAATLVIYSKDESVSISVECQVTPGALRNVRACPEVLGNQLLPGFIIEQLVLEMFDAYGNHVAEGAEVQFHLDGFVIQGHLGSKYKVDDRGCIDLGGLLEVTAGYGKSVSLSVLHDGKVVFKREFQTEKRELRIASVVPERCIAGSILEDLAFEVVDSQGVVDETFHDDEKHGQSHRLIVNSESFETCDSICYAFIHGCCIVTSIPLPEIEGPFCFVAFHSRYMDLYLNVKVSLVRPRKVESDEIEYPSDQKGLFLQKSQSVKDVGCLLSLVKYDKELEDEVCKYGERIAKWEHLLETLDCRKASIERYVSGLQASLEPNLIDNLDSLSTKEEMMIRIKERDHSAASVLCSLAQKLPFQEPWMDVIEGLVGVVALLGTVCTSKLSRILAEYLGEDQMLAVVCKSYTAARALEKYEHNGKVDWKLGLHAEATALGKSISGRFLVVCLEDIRPYPGLIEVSDPQRKLALPDPRLPTGNTPPGFIGYAVNMVNIDHPHLENLTTAGHGLRETLFYRLFSKLQVYETREHMENARACIKHSAISLDGGILRKNGIISLGYRNPEIHFPVQMHVSQQHKEIMEQIKKMKLELRSILQHIERISENHAKASKKFNKRKMKLEKCMDRMDSTIKYYHVEYAPNTLKSEEAPPM >EOY23567 pep chromosome:Theobroma_cacao_20110822:3:27864056:27881146:-1 gene:TCM_015420 transcript:EOY23567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-irradiation and mitomycin c induced 1, putative isoform 4 MQSQFNAMEDKFHRRASKRPLVLEDEDDADGSNEVYRFKVLLPNGTSVDLSLKNQKPEISFEDFIDLIRVEYDYIVRSQRQSVKRKRIINWNSEKLYLEGDMGGKIMSRIKLRHFKPLKCHILRLHDGSGEVANTYENMWDLTPDTDLLMELPEEYTFETALADLIDNSLQAVWLNGKNERRLISVNVLENTISIFDTGPGMDSSDENSIVKWGKMGASLNRLSKVQAIGCKPPYLMPFFGMFGYGGPIASMHLGSCAIVSSKTKESKKVYTLQIAREALLNNSNPERCWRTDGGIRDASEDEIEKSPHQSFTKVEILKPKQKNLDIFKLQCKLKDTYFPYIQCDELSKVGRTITPVEFQVNGVDLTEIDGGEAAITNLLSCNGPEFSILLHFSLRRENVATKGSKASQEANARLKCIYFPIRQGKENIERILERLGAEGCGVRENYEDFSRVSIRRLGRLLPDARWALLPFMDLRQRKGDKSHLLKRCCLRVKCFVETDAGFNPTPSKTDLAHHNPFSIALKNFGSRHVEKEKDVDVDIYRGGKQLTFLQLEREYQDWLLLMHDSYDEEIVSGEDQPVLVVGPLNKKALGISSDVIRVHKILKRKGVLWKRRQRIKVLKGACAGFHKNNVYATLEYFLIEGFQGDFGGEARIICRPLGLSNGSILSVKDGNASFDIRSSLSLPVSVIDSGKCLAIDDTDWDCQLEKQCQKAPSRIDLLNAKQCQELEVDGALPADATVHAGLVPPKEIVAVLRPRSFGSSSASNDLEQKDILKINLEMSMEVNFRRTKNHQDVKHIYSGRITPSSHKGFNGLYVFPIGSKFTHLFQVAGLYTFLFSIEHSGCQDCKKTLLVVPSLKVGKWRLLSDGKIPSYNVRVGSCFALIPIACYDIYGNRMPFSSIPNFKIKLVMNEGMLVDVTQMKPSLSSDNLVLNIEDVMIESNGLDSMRPHYAATLVIYSKDESVSISVECQVTPGALRNVRACPEVLGNQLLPGFIIEQLVLEMFDAYGNHVAEGAEVQFHLDGFVIQGHLGSKYKVDDRGCIDLGGLLEVTAGYGKSVSLSVLHDGKVVFKREFQTEKRELRIASVVPERCIAGSILEDLAFEVVDSQGVVDETFHDDEKHGQSHRLIVNSESFETCDSICYAFIHGCCIVTSIPLPEIEGPFCFVAFHSRYMDLYLNVKVVSCNDLVSILRLPVQHQNC >EOY23568 pep chromosome:Theobroma_cacao_20110822:3:27864056:27881215:-1 gene:TCM_015420 transcript:EOY23568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-irradiation and mitomycin c induced 1, putative isoform 4 MQSQFNAMEDKFHRRASKRPLVLEDEDDADGSNEVYRFKVLLPNGTSVDLSLKNQKPEISFEDFIDLIRVEYDYIVRSQRQSVKRKRIINWNSEKLYLEGDMGGKIMSRIKLRHFKPLKCHILRLHDGSGEVANTYENMWDLTPDTDLLMELPEEYTFETALADLIDNSLQAVWLNGKNERRLISVNVLENTISIFDTGPGMDSSDENSIVKWGKMGASLNRLSKVQAIGCKPPYLMPFFGMFGYGGPIASMHLGSCAIVSSKTKESKKVYTLQIAREALLNNSNPERCWRTDGGIRDASEDEIEKSPHQSFTKVEILKPKQKNLDIFKLQCKLKDTYFPYIQCDELSKVGRTITPVEFQVNGVDLTEIDGGEAAITNLLSCNGPEFSILLHFSLRRENVATKGSKASQEANARLKCIYFPIRQGKENIERILERLGAEGCGVRENYEDFSRVSIRRLGRLLPDARWALLPFMDLRQRKGDKSHLLKRCCLRVKCFVETDAGFNPTPSKTDLAHHNPFSIALKNFGSRHVEKEKDVDVDIYRGGKQLTFLQLEREYQDWLLLMHDSYDEEIVSGEDQPVLVVGPLNKKALGISSDVIRVHKILKRKGVLWKRRQRIKVLKGACAGFHKNNVYATLEYFLIEGFQGDFGGEARIICRPLGLSNGSILSVKDGNASFDIRSSLSLPVSVIDSGKCLAIDDTDWDCQLEKQCQKAPSRIDLLNAKQCQELEVDGALPADATVHAGLVPPKEIVAVLRPRSFGSSSASNDLEQKDILKINLEMSMEVNFRRTKNHQDVKHIYSGRITPSSHKGFNGLYVFPIGSKFTHLFQVAGLYTFLFSIEHSGCQDCKKTLLVVPSLKVGKWRLLSDGKIPSYNVRVGSCFALIPIACYDIYGNRMPFSSIPNFKIKLVMNEGMLVDVTQMKPSLSSDNLVLNIEDVMIESNGLDSMRPHYAATLVIYSKDESVSISVECQVTPGALRNVRACPEVLGNQLLPGFIIEQLVLEMFDAYGNHVAEGAEVQFHLDGFVIQGHLGSKYKVDDRGCIDLGGLLEVTAGYGKSVSLSVLHDGKVVFKREFQTEKRELRIASVVPERCIAGSILEDLAFEVVDSQGVVDETFHDDEKHGQSHRLIVNSESFETCDSICYAFIHGCCIVTSIPLPEIEGPFCFVAFHSRYMDLYLNVKVSLVRPRKVESDEIEYPSDQKGLFLQKSQSVKDVGCLLSLVKYDKELEDEVCKYGERIAKWEHLLETLDCRKASIERYVSGLQASLEPNLIDNLDSLSTKEEMMIRIKERDHSAASVLCSLAQKLPFQEPWMDVIEGLVGVVALLGTVCTSKLSRRPYPGLIEVSDPQRKLALPDPRLPTGNTPPGFIGYAVNMVNIDHPHLENLTTAGHGLRETLFYRLFSKLQVYETREHMENARACIKHSAISLDGGILRKNGIISLGYRNPEIHFPVQMHVSQQHKEIMEQIKKMKLELRSILQHIERISENHAKASKKFNKRKMKLEKCMDRMDSTIKYYHVEYAPNTLKSEEAPPM >EOY22031 pep chromosome:Theobroma_cacao_20110822:3:20669833:20672127:-1 gene:TCM_014202 transcript:EOY22031 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MARKPHVLAIPFPAQGHVAPFMKLALQIAANGVKITFVNSESVHERIMASVSADIEEQSLISLVSIPDALESRGAQRNAVNFTKRAQKGMPGSLKNLIEKINQSNINEQITCVLADTTAVWALEVAKEMGIGRIAVQVAGPAVLALSLQIPQLLGAGILDNDGILVKGESISLSKEIPAWSRSDIPWSSSDPEMQKAIFGLSCTLPKIVGFTNLILSNTFYELDASALKLVPNILPIGPLHARNYMGTFAGNFWPEDSICISWLDQQTAGSVIYVAFGSTGKFSPQQVDELALGLELTGHPFLWVVRSNLSNGSVTKFPEGFIERIADRGKIVEWGPQEKVLAHPSVACFVSHCGWNSTLEGLSKGIPFLCWPYFTDQLHNKSYICDVWRIGLGVDPDENGIITRHEISTKIKAVLSSDAIKANALHLKELARKSVNEGGSSYKNFKSFIEQIKGV >EOY22817 pep chromosome:Theobroma_cacao_20110822:3:24881921:24884280:-1 gene:TCM_014875 transcript:EOY22817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative MAAGLSIISEGGKYNGGMTMLVVMSCFMAAIGGVLFGYDLGISGGVTSMEPFLKAFFSQVYSKMEQDTEISNYCKFDSQLLTIFTSSLYIADLFASSVTRAFGRKPSILVGGASFLAGSALGVPLYISEMALPRHRGAMNIGFEVGVGFGVLVANLVNFGTEKIKGGWGWRISLAVAAVPAAIVTLSTLFLPETPNSLIQQSNNHQKAKHVLQRVRGTTDVQAELDDLIKASSNSKTMKHPFKKIVQKQYRPQFVMSIAIPFFQQVTGINVITFYAPILFRTTGLGKNKFGRRALFMVGGALMFVSQIVVGGIMTAMLGDQGALSQGCAYLVLILICIYVAGFSLSWGPLGWLVPSEIYPLEIRSAGQSITVAIGFLLCFLVGQTFLAMLCRMKSGILFFFGGWVAVMTAFVHFLLPETMNVPFEQMEKVWREHWFWRRFVKEEEGAKMQEA >EOY22034 pep chromosome:Theobroma_cacao_20110822:3:20776422:20777762:1 gene:TCM_014211 transcript:EOY22034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGMDELNMQMIQLKSSISKMGPLGPTPPPNSGLPLSTYFQPALFRLTFQPMNIGLRPSLHPCPSTFQPPSQQALRAQLFTPSPITPAPSLGVIQKQSKKKQHKQLDPIPISYGELFHQLVQDHLVVAMPIEPLKPPYPKWYNPNAR >EOY20835 pep chromosome:Theobroma_cacao_20110822:3:1435677:1437590:-1 gene:TCM_012174 transcript:EOY20835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 86 MGRHSCCIKQKLRKGLWSPEEDEKLFNYITRFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLIISLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLMKQGIDPTTHKPLSNKVEVKEEKNRTDSVVLQVPQSSGLSASAVSSFAAHEPTFLVSDSGFYGSGLTEASRDQFINKQVYDPLAYIELQAGIDPSGYNSNLVPQYHPSVRQFDQNHFETNSSFTFTSMPSLTNFDHGNMSGTDFSDNSASRISTSFLLNEAKESSSNSSNISSYTGFQMNNMVENGAFSWDTENKLDTMFQFQVNGIKSEELKPGSWQEGQGQLHNHNSVDFSSYPLTSLSEDLTGANFDVFQQI >EOY24111 pep chromosome:Theobroma_cacao_20110822:3:29658132:29667543:-1 gene:TCM_015802 transcript:EOY24111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane trafficking VPS53 family protein isoform 1 MDKMSTLEYINQMFPTEASLSGVEPLMQKIQSEIRRVDAGILAAVRQQSNSGTKAKEDLAAATHAVEELTYKIREIKTKAEQSEMMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKITELREKFKNIKQILKSHVFSDFSSLGTGKETEETNLLQHLSDACLVVNALEPSVREELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRTNEEIWKIFPTSWLVPYRLCIQFCKKTRKQLEGILDNLKEKPDVATLLLALQRTLEFEDELAEKFGGGTQSREIGNDIEEIGRQNNSRSALDIRKKYEKKLAAHQGSENEEKVGNKDLSAPGAGFNFHGIISSCFEPHLIVYIELEEKTLMENLEKLVQEETWDVEEGSQNNVLSSSMQLFLIIKRSLKRCSALTKSQTLYNLFRVFQRVLKAYATKLFARLPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHKTSGELAESVSKIIDSQFADRVDMSEVQDEFSAVITRSLVTLVHGLETKFDAEMAAMTRVPWGTLESVGDQSGYVNGINMILTSSIPVLGRLLSPIYFQFFLDKLASSLGPRFYMNIFKCKQISETGAQQMLLDTQAVKTILLEIPSLGQQVS >EOY24108 pep chromosome:Theobroma_cacao_20110822:3:29656757:29667640:-1 gene:TCM_015802 transcript:EOY24108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane trafficking VPS53 family protein isoform 1 MDKMSTLEYINQMFPTEASLSGVEPLMQKIQSEIRRVDAGILAAVRQQSNSGTKAKEDLAAATHAVEELTYKIREIKTKAEQSEMMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKITELREKFKNIKQILKSHVFSDFSSLGTGKETEETNLLQHLSDACLVVNALEPSVREELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRTNEEIWKIFPTSWLVPYRLCIQFCKKTRKQLEGILDNLKEKPDVATLLLALQRTLEFEDELAEKFGGGTQSREIGNDIEEIGRQNNSRSALDIRKKYEKKLAAHQGSENEEKVGNKDLSAPGAGFNFHGIISSCFEPHLIVYIELEEKTLMENLEKLVQEETWDVEEGSQNNVLSSSMQLFLIIKRSLKRCSALTKSQTLYNLFRVFQRVLKAYATKLFARLPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHKTSGELAESVSKIIDSQFADRVDMSEVQDEFSAVITRSLVTLVHGLETKFDAEMAAMTRVPWGTLESVGDQSGYVNGINMILTSSIPVLGRLLSPIYFQFFLDKLASSLGPRFYMNIFKCKQISETGAQQMLLDTQAVKTILLEIPSLGQQTSGAAGYSKFVSREMSKAEALLKVILSPVDSVADTYRALLPEGTPMEFQRILELKGLKKSDQQTILDDFNKGAPAISQPSSVAPVSQATPPAPPTTTVAAILNPASVGFIASREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >EOY24116 pep chromosome:Theobroma_cacao_20110822:3:29656939:29667543:-1 gene:TCM_015802 transcript:EOY24116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane trafficking VPS53 family protein isoform 1 MDKMSTLEYINQMFPTEASLSGVEPLMQKIQSEIRRVDAGILAAVRQQSNSGTKAKEDLAAATHAVEELTYKIREIKTKAEQSEMMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKITELREKFKNIKQILKSHVFSDFSSLGTGKETEETNLLQHLSDACLVVNALEPSVREELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRTNEEIWKIFPTSWLVPYRLCIQFCKKTRKQLEGILDNLKEKPDVATLLLALQRTLEFEDELAEKFGGGTQSREIGNDIEEIGRQNNSRSALDIRKKYEKKLAAHQGSENEEKVGNKDLSAPGAGFNFHGIISSCFEPHLIVYIELEEKTLMENLEKLVQEETWDVEEGSQNNVLSSSMQLFLIIKRSLKRCSALTKSQTLYNLFRVFQRVLKAYATKLFARLPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHKTSGELAESVSKIIDSQFADRVDMSEVQDEFSAVITRSLVTLVHGLETKFDAEMAAMTRVPWGTLESVGDQSDIWCCWIFQICKSRDEQS >EOY24112 pep chromosome:Theobroma_cacao_20110822:3:29658132:29667543:-1 gene:TCM_015802 transcript:EOY24112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane trafficking VPS53 family protein isoform 1 MDKMSTLEYINQMFPTEASLSGVEPLMQKIQSEIRRVDAGILAAVRQQSNSGTKAKEDLAAATHAVEELTYKIREIKTKAEQSEMMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKITELREKFKNIKQILKSHVFSDFSSLGTGKETEETNLLQHLSDACLVVNALEPSVREELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRTNEEIWKIFPTSWLVPYRLCIQFCKKTRKQLEGILDNLKEKPDVATLLLALQRTLEFEDELAEKFGGGTQSREIGNDIEEIGRQNNSRSALDIRKKYEKKLAAHQGSENEEKVGNKDLSAPGAGFNFHGIISSCFEPHLIVYIELEEKTLMENLEKLVQEETWDVEEGSQNNVLSSSMQLFLIIKRSLKRCSALTKSQTLYNLFRVFQRVLKAYATKLFARLPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHKTSGELAESVSKIIDSQFADRVDMSEVQDEFSAVITRSLVTLVHGLETKFDAEMAAMTRVPWGTLESVGDQSGYVNGINMILTSSIPVLGRLLSPIYFQFFLDKLASSLGPRFYMNIFKCKQISETGAQQVLIILLFLCYPFFYCFILVVKHNVCCHCI >EOY24110 pep chromosome:Theobroma_cacao_20110822:3:29656943:29667543:-1 gene:TCM_015802 transcript:EOY24110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane trafficking VPS53 family protein isoform 1 MDKMSTLEYINQMFPTEASLSGVEPLMQKIQSEIRRVDAGILAAVRQQSNSGTKAKEDLAAATHAVEELTYKIREIKTKAEQSEMMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKITELREKFKNIKQILKSHVFSDFSSLGTGKETEETNLLQHLSDACLVVNALEPSVREELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRTNEEIWKIFPTSWLVPYRLCIQFCKKTRKQLEGILDNLKEKPDVATLLLALQRTLEFEDELAEKFGGGTQSREIGNDIEEIGRQNNSRSALDIRKKYEKKLAAHQGSENEEKVGNKDLSAPGAGFNFHGIISSCFEPHLIVYIELEEKTLMENLEKLVQEETWDVEEGSQNNVLSSSMQLFLIIKRSLKRCSALTKSQTLYNLFRVFQRVLKAYATKLFARLPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHKTSGELAESVSKIIDSQFADRVDMSEVQDEFSAVITRSLVTLVHGLETKFDAEMAAMTRVPWGTLESVGDQSGYVNGINMILTSSIPVLGRLLSPIYFQFFLDKLASSLGPRFYMNIFKCKQISETGAQQMLLDTQAVKTILLEIPSLGQQTSGAAGYSKFVSREMSKAEALLKVILSPVDSVADTYRALLPEGTPMEFQRILELKGLKKSDQQTILDDFNKGAPAISQPSSVAPVSQATPPAPPTTTVAAILNPASVGFIASREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >EOY24109 pep chromosome:Theobroma_cacao_20110822:3:29657311:29667415:-1 gene:TCM_015802 transcript:EOY24109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane trafficking VPS53 family protein isoform 1 MENLEKLVQEETWDVEEGSQNNVLSSSMQLFLIIKRSLKRCSALTKSQTLYNLFRVFQRVLKAYATKLFARLPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHKTSGELAESVSKIIDSQFADRVDMSEVQDEFSAVITRSLVTLVHGLETKFDAEMAAMTRVPWGTLESVGDQSGYVNGINMILTSSIPVLGRLLSPIYFQFFLDKLASSLGPRFYMNIFKCKQISETGAQQMLLDTQAVKTILLEIPSLGQQTSGAAGYSKFVSREMSKAEALLKVILSPVDSVADTYRALLPEGTPMEFQRILELKGLKKSDQQTILDDFNKGAPAISQPSSVAPVSQATPPAPPTTTVAAILNPASVGFIASREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >EOY24113 pep chromosome:Theobroma_cacao_20110822:3:29658132:29667543:-1 gene:TCM_015802 transcript:EOY24113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane trafficking VPS53 family protein isoform 1 MEVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKITELREKFKNIKQILKSHVFSDFSSLGTGKETEETNLLQHLSDACLVVNALEPSVREELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRTNEEIWKIFPTSWLVPYRLCIQFCKKTRKQLEGILDNLKEKPDVATLLLALQRTLEFEDELAEKFGGGTQSREIGNDIEEIGRQNNSRSALDIRKKYEKKLAAHQGSENEEKVGNKDLSAPGAGFNFHGIISSCFEPHLIVYIELEEKTLMENLEKLVQEETWDVEEGSQNNVLSSSMQLFLIIKRSLKRCSALTKSQTLYNLFRVFQRVLKAYATKLFARLPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHKTSGELAESVSKIIDSQFADRVDMSEVQDEFSAVITRSLVTLVHGLETKFDAEMAAMTRVPWGTLESVGDQSGYVNGINMILTSSIPVLGRLLSPIYFQFFLDKLASSLGPRFYMNIFKCKQISETGAQQMLLDTQAVKTILLEIPSLGQQTSGAAGYS >EOY24115 pep chromosome:Theobroma_cacao_20110822:3:29656956:29667543:-1 gene:TCM_015802 transcript:EOY24115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane trafficking VPS53 family protein isoform 1 MEVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKITELREKFKNIKQILKSHVFSDFSSLGTGKETEETNLLQHLSDACLVVNALEPSVREELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRTNEEIWKIFPTSWLVPYRLCIQFCKKTRKQLEGILDNLKEKPDVATLLLALQRTLEFEDELAEKFGGGTQSREIGNDIEEIGRQNNSRSALDIRKKYEKKLAAHQGSENEEKVGNKDLSAPGAGFNFHGIISSCFEPHLIVYIELEEKTLMENLEKLVQEETWDVEEGSQNNVLSSSMQLFLIIKRSLKRCSALTKSQTLYNLFRVFQRVLKAYATKLFARLPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHKPIYFQFFLDKLASSLGPRFYMNIFKCKQISETGAQQMLLDTQAVKTILLEIPSLGQQTSGAAGYSKFVSREMSKAEALLKVILSPVDSVADTYRALLPEGTPMEFQRILELKGLKKSDQQTILDDFNKGAPAISQPSSVAPVSQATPPAPPTTTVAAILNPASVGFIASREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >EOY24114 pep chromosome:Theobroma_cacao_20110822:3:29656939:29667543:-1 gene:TCM_015802 transcript:EOY24114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane trafficking VPS53 family protein isoform 1 MDKMSTLEYINQMFPTEASLSGVEPLMQKIQSEIRRVDAGILAAVRQQSNSGTKAKEDLAAATHAVEELTYKIREIKTKAEQSEMMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKITELREKFKNIKQILKSHVFSDFSSLGTGKETEETNLLQHLSDACLVVNALEPSVREELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRTNEEIWKIFPTSWLVPYRLCIQFCKKTRKQLEGILDNLKEKPDVATLLLALQRTLEFEDELAEKFGGGTQSREIGNDIEEIGRQNNSRSALDIRKKYEKKLAAHQGSENEEKVGNKDLSAPGAGFNFHGIISSCFEPHLIVYIELEEKTLMENLEKLVQEETWDVEEGSQNNVLSSSMQLFLIIKRSLKRCSALTKSQTLYNLFRVFQRVLKAYATKLFARLPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHKPIYFQFFLDKLASSLGPRFYMNIFKCKQISETGAQQMLLDTQAVKTILLEIPSLGQQTSGAAGYSKFVSREMSKAEALLKVILSPVDSVADTYRALLPEGTPMEFQRILELKGLKKSDQQTILDDFNKGAPAISQPSSVAPVSQATPPAPPTTTVAAILNPASVGFIASREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >EOY22459 pep chromosome:Theobroma_cacao_20110822:3:23542477:23546362:1 gene:TCM_014622 transcript:EOY22459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF167) [Source:Projected from Arabidopsis thaliana (AT5G63440) TAIR;Acc:AT5G63440] MPKRTTHTYSSEDAAPDGPDSDLFVYYCKHCGSHVLITDTQLQKMPKRKTDKAYVLDKKKHLARLNISEAGKVLLKRGEGKLEKQFRMNCIGCGLFVCYRAEEDLEAASFIYAVDGALSTVAAETNPQDAPVPPCISQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLVVEDLSARQVYEKLLEAVQP >EOY22735 pep chromosome:Theobroma_cacao_20110822:3:24603392:24614574:1 gene:TCM_014815 transcript:EOY22735 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative isoform 3 MPKENVSFTPLSPANQTPQLQNPRISQNFRATKPFLVRKRNRDDGYFTDHFPCRSKDGIDSGLPALITGVKRVPLMEIPMNTPSPFSTAGSKSIKSQNGEFSNVRPMAGSMDTDCLSDPFITPTKKPDFSNLSDSFLTPSLLDEDFDESMLEEIDAICEQQSAAKAEREDLNVNIDMRGQQYDYSCSDHIAASILTTNENVRAESAVDTRNYFGLKEDDLSTLGTVQSGGMPDEYSKYLQSLNDRQRDAACSDIKIPLMITAGPGSGKTSTMVGRVLMLLNEGVSPSNILAMTFTTAAASEMRDRIGAVAGKATAKELTISTFHSFSLQLCRSHAEKLERTSEFLIYGHGQQRRAIIEAVRLLENEKSGQKYDACRSSTTEILNGARHPEYFKDKSKKWQKFVTQAKASGKTPEECRKMGDEIGAAVLGSYSDILRSCNALDYHDLISCSVKLLTQYPEVFKECQDSWKAIIVDEFQDTSAMQYSLLRILASHNHITIVGDDDQSIFSFNGADISGFDSFRKDFPNYKEIRLIRNYRSTRCIVEAASCLIQNNTKRCLSKNFLSENSYGSKITIKECYNEDAQCAFVVDKILETASNGTIASCSFGKIAILYRRQVSGKVFQTTFRNRKIPFNLHGVAFYRKKVVRAIIAMLKTALPACDDGAYRKGFKALLPFEKEEKKRVIEHVEKIAACRKCSFISAASDIFSAKISGTFKRSQLTQGRKVLLTLEMISKLVQREQSISAVITSVSNMIPQKYLLEQRAVIDVDGGKLLNEDNDVRSVLQYLLDDVSDFLSTQFTDREENRETGEEKGCVSVLNFFIDYITERERENFRSRRHDNENSVTLTTIHQSKGLEWDMVFIVKANETEIPLIHEFNGAATENGTSIEEERRLLYVAMTRARQKLFVLYVTMDSNWQMLQPSRFLKEIPDHLLESQAEASMDVLKTTHQDIPKEIAQFTTELPSEKQSSVANMVPENFLDAQNNAASEESAELVKACSGSTFLTRFSVEERSIISHLFHQWARKQAFQEPRRLLDKVRFVIDERLRVKNYKHKEVLRGLRPCLSCEEAFHYAEYVVRWEQIPADKRAHLMREKQEHFQKLRMENSMGSSAATSKQIFMIISSCL >EOY22733 pep chromosome:Theobroma_cacao_20110822:3:24603364:24615526:1 gene:TCM_014815 transcript:EOY22733 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative isoform 3 MPKENVSFTPLSPANQTPQLQNPRISQNFRATKPFLVRKRNRDDGYFTDHFPCRSKDGIDSGLPALITGVKRVPLMEIPMNTPSPFSTAGSKSIKSQNGEFSNVRPMAGSMDTDCLSDPFITPTKKPDFSNLSDSFLTPSLLDEDFDESMLEEIDAICEQQSAAKAEREDLNVNIDMRGQQYDYSCSDHIAASILTTNENVRAESAVDTRNYFGLKEDDLSTLGTVQSGGMPDEYSKYLQSLNDRQRDAACSDIKIPLMITAGPGSGKTSTMVGRVLMLLNEGVSPSNILAMTFTTAAASEMRDRIGAVAGKATAKELTISTFHSFSLQLCRSHAEKLERTSEFLIYGHGQQRRAIIEAVRLLENEKSGQKYDACRSSTTEILNGARHPEYFKDKSKKWQKFVTQAKASGKTPEECRKMGDEIGAAVLGSYSDILRSCNALDYHDLISCSVKLLTQYPEVFKECQDSWKAIIVDEFQDTSAMQYSLLRILASHNHITIVGDDDQSIFSFNGADISGFDSFRKDFPNYKEIRLIRNYRSTRCIVEAASCLIQNNTKRCLSKNFLSENSYGSKITIKECYNEDAQCAFVVDKILETASNGTIASCSFGKIAILYRRQVSGKVFQTTFRNRKIPFNLHGVAFYRKKVVRAIIAMLKTALPACDDGAYRKGFKALLPFEKEEKKRVIEHVEKIAACRKCSFISAASDIFSAKISGTFKRSQLTQGRKVLLTLEMISKLVQREQSISAVITSVSNMIPQKYLLEQRAVIDVDGGKLLNEDNDVRSVLQYLLDDVSDFLSTQFTDREENRETGEEKGCVSVLNFFIDYITERERENFRSRRHDNENSVTLTTIHQSKGLEWDMVFIVKANETEIPLIHEFNGAATENGTSIEEERRLLYVAMTRARQKLFVLYVTMDSNWQMLQPSRFLKEIPDHLLESQAEASMDVLKTTHQDIPKEIAQFTTELPSEKQSSVANMVPENFLDAQNNAASEESAELVKACSGSTFLTRFSVEERSIISHLFHQWARKQAFQEPRRLLDKVRFVIDERLRVKNYKHKEVLRGLRPCLSCEEAFHYAEYVVRWEQIPADKRAHLMREKQEHFQKLRMENSMGSSAATSKQIAYLQSLGCTVIPTSRLHASRLIEQYKSL >EOY22734 pep chromosome:Theobroma_cacao_20110822:3:24603392:24615802:1 gene:TCM_014815 transcript:EOY22734 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative isoform 3 MPKENVSFTPLSPANQTPQLQNPRISQNFRATKPFLVRKRNRDDGYFTDHFPCRSKDGIDSGLPALITGVKRVPLMEIPMNTPSPFSTAGSKSIKSQNGEFSNVRPMAGSMDTDCLSDPFITPTKKPDFSNLSDSFLTPSLLDEDFDESMLEEIDAICEQQSAAKAEREDLNVNIDMRGQQYDYSCSDHIAASILTTNENVRAESAVDTRNYFGLKEDDLSTLGTVQSGGMPDEYSKYLQSLNDRQRDAACSDIKIPLMITAGPGSGKTSTMVGRVLMLLNEGVSPSNILAMTFTTAAASEMRDRIGAVAGKATAKELTISTFHSFSLQLCRSHAEKLERTSEFLIYGHGQQRRAIIEAVRLLENEKSGQKYDACRSSTTEILNGARHPEYFKDKSKKWQKFVTQAKASGKTPEECRKMGDEIGAAVLGSYSDILRSCNALDYHDLISCSVKLLTQYPEVFKECQDSWKAIIVDEFQDTSAMQYSLLRILASHNHITIVGDDDQSIFSFNGADISGFDSFRKDFPNYKEIRLIRNYRSTRCIVEAASCLIQNNTKRCLSKNFLSENSYGSKITIKECYNEDAQCAFVVDKILETASNGTIASCSFGKIAILYRRQVSGKVFQTTFRNRKIPFNLHGVAFYRKKVVRAIIAMLKTALPACDDGAYRKGFKALLPFEKEEKKRVIEHVEKIAACRKCSFISAASDIFSAKISGTFKRSQLTQGRKVLLTLEMISKLVQREQSISAVITSVSNMIPQKYLLEQRAVIDVDGGKLLNEDNDVRSVLQYLLDDVSDFLSTQFTDREENRETGEEKGCVSVLNFFIDYITERERENFRSRRHDNENSVTLTTIHQSKGLEWDMVFIVKANETEIPLIHEFNGAATENGTSIEEERRLLYVAMTRARQKLFVLYVTMDSNWQMLQPSRFLKEIPDHLLESQAEASMDVLKTTHQDIPKEIAQFTTELPSEKQSSVANMVPENFLDAQNNAASEESAELVKACSGSTFLTRFSVEERSIISHLFHQWARKQAFQEPRRLLDKVRFVIDERLRVKNYKHKEVLRGLRPCLSCEEAFHYAEYVVRWEQIPADKRAHLMREKQEHFQKLRMENSMGSSAATSKQIAYLQSLGCTVIPTSRLHASRLIEQYKSL >EOY23022 pep chromosome:Theobroma_cacao_20110822:3:25813005:25814460:1 gene:TCM_015035 transcript:EOY23022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MFPSHQSDDFLYEISDIPHYQDLMQQNLTISGEGSAGGSNLTQRMAKGKRHKKFVAAANSNDGNAAANNDAKKIMRKEIERQRRQQMAKLYGKLRSLLPLESIQGKRAVSDHMNEAVNYIKYLKKKIQELSVKRDKLKKLSNLSAFDQGSASSSDNSSLINCVAVHPYRGGVEIVINSGFGDESWHLSAMMQAIRAEELDVVHCISSQTNEGFLHTIQSEVSDPAHRLDLPGLQSKLNDLISF >EOY22434 pep chromosome:Theobroma_cacao_20110822:3:23450019:23455011:-1 gene:TCM_014605 transcript:EOY22434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar protein NOP56 MALYLLYESASGYALLQAQGLDEIGQNTEAVRNSVADLNRFGKVVQLTAFHPFESALDALNQCNSVSEGLMTDELRSFLELNLPKVKEGKKSKFSVGVAEPKLGSHISETTKITCQSGEFVLELLRGVRLHFDKFIRDLKPGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIVNDNYLYARVAKLIEDKSKLSEEHIPALTEILGDEDKAKEVVEAGKASMGQDLTPVDLINVQLFAQRVMDLAEYRKNLYDYLVTKMNDIAPNLASLIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASARNKGRMARYLANKCSIASRIDCFADKGTTVFGEKLREQVEERLEFYDKGVAPRKNIDVMKAAIESTESKDLEMEDTQPGEASVKKSKKRKSKTEDGEAMAEDKPAAATNGDASEDAKSEKKRKKKEKRKTEQEQVEEEQANGVNGDVTEQDGTAKKKKKKKSKDEGAGSEAKKKKKKSHE >EOY24738 pep chromosome:Theobroma_cacao_20110822:3:32073755:32077530:-1 gene:TCM_016255 transcript:EOY24738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein, putative isoform 5 MPASKNPCRSDQPSIKYRWRADEGAFFEVGKLVPEEYAPSLPDKNRKSRTKSETKEPEILSTAELISSLNQIWNCASRLTIFQQQANLDRNHFVSQKEDILVNLGREENGTGSISADSKYFCVDLGTASQLPPAMKPNFKFVKITKKMSMFDFCNKNPKCSFYQGLLCCGTDLSNKSWKAKGLSAVGFSYEFGNVYKWMREMIPGGLKYYVNVQTENKKLGKYCDVGGTSSDSGGCISADRTNPTDNLPTENAEPYSHCIKSIDSSILHDAKLVMDTRRTTSLCSDYFLTSVQETKANCSVSRTPDSNLCADYHINVLASCSSTYKECQHLSDGNECLKNERKQPEPFSTEDDSRMESHSTASEKPQFALAKQEHAFAGAFAGIFVSLCLHPVDTVKTVIQSCRAEQKSICFIGRSIISERGLTGLYRGIASNIASSAPISALYTFSYESVKGALLPLFPKEYHSLAHCMAGGCASIATSFIFTPSERVKQQMQVGVHYQNCWYTSKFLECLGWNCEEGGFAFTLHWVGGCTLQECSTLNHQVLHI >EOY24734 pep chromosome:Theobroma_cacao_20110822:3:32073186:32076896:-1 gene:TCM_016255 transcript:EOY24734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein, putative isoform 5 MPASKNPCRSDQPSIKYRWRADEGAFFEVGKLVPEEYAPSLPDKNRKSRTKSETKEPEILSTAELISSLNQIWNCASRLTIFQQQANLDRNHFVSQKEDILVNLGREENGTGSISADSKYFCVDLGTASQLPPAMKPNFKFVKITKKMSMFDFCNKNPKCSFYQGLLCCGTDLSNKSWKAKGLSAVGFSYEFGNVYKWMREMIPGGLKYYVNVQTENKKLGKYCDVGGTSSDSGGCISADRTNPTDNLPTENAEPYSHCIKSIDSSILHDAKLVMDTRRTTSLCSDYFLTSVQETKANCSVSRTPDSNLCADYHINVLASCSSTYKECQHLSDGNECLKNERKQPEPFSTEDDSRMESHSTASEKPQFALAKQEHAFAGAFAGIFVSLCLHPVDTVKTVIQSCRAEQKSICFIGRSIISERGLTGLYRGIASNIASSAPISALYTFSYESVKGALLPLFPKEYHSLAHCMAGGCASIATSFIFTPSERVKQQMQVGVHYQNCWNALVGIVKKGGLPSLYTGWGAVLCRNVPHSIIKFYTYESLKQVMLTSLQSSAQPNTLQTLVCGGLAGSTAALFTTPFDVVKTRLQTQIPGSSSQYNSVYHALQDIRAQEGLKGLYRGLIPRLVMYVSQGALFFASYEFFKQLFSLEVPQFIIQKQHMENQDNSPSQLPSPLPSASTPMPRTSPSASGLHGFHS >EOY24735 pep chromosome:Theobroma_cacao_20110822:3:32072757:32077619:-1 gene:TCM_016255 transcript:EOY24735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein, putative isoform 5 MPASKNPCRSDQPSIKYRWRADEGAFFEVGKLVPEEYAPSLPDKNRKSRTKSETKEPEILSTAELISSLNQIWNCASRLTIFQQQANLDRNHFVSQKEDILVNLGREENGTGSISADSKYFCVDLGTASQLPPAMKPNFKFVKITKKMSMFDFCNKNPKCSFYQGLLCCGTDLSNKSWKAKGLSAVGFSYEFGNVYKWMREMIPGGLKYYVNVQTENKKLGKYCDVGGTSSDSGGCISADRTNPTDNLPTENAEPYSHCIKSIDSSILHDAKLVMDTRRTTSLCSDYFLTSVQETKANCSVSRTPDSNLCADYHINVLASCSSTYKECQHLSDGNECLKNERKQPEPFSTEDDSRMESHSTASEKPQFALAKQEHAFAGAFAGIFVSLCLHPVDTVKTVIQSCRAEQKSICFIGRSIISERGLTGLYRGIASNIASSAPISALYTFSYESVKGALLPLFPKEYHSLAHCMAGGCASIATSFIFTPSERVKQQMQVGVHYQNCWNALVGIVKKGGLPSLYTGWGAVLCRNVPHSIIKFYTYESLKQVMLTSLQSSAQPNTLQTLVCGGLAGSTAALFTTPFDVVKTRLQTQFIIQKQHMENQDNSPSQLPSPLPSASTPMPRTSPSASGLHGFHS >EOY24737 pep chromosome:Theobroma_cacao_20110822:3:32074740:32076896:-1 gene:TCM_016255 transcript:EOY24737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein, putative isoform 5 MPASKNPCRSDQPSIKYRWRADEGAFFEVGKLVPEEYAPSLPDKNRKSRTKSETKEPEILSTAELISSLNQIWNCASRLTIFQQQANLDRNHFVSQKEDILVNLGREENGTGSISADSKYFCVDLGTASQLPPAMKPNFKFVKITKKMSMFDFCNKNPKCSFYQGLLCCGTDLSNKSWKAKGLSAVGFSYEFGNVYKWMREMIPGGLKYYVNVQTENKKLGKYCDVGGTSSDSGGCISADRTNPTDNLPTENAEPYSHCIKSIDSSILHDAKLVMDTRRTTSLCSDYFLTSVQETKANCSVSRTPDSNLCADYHINVLASCSSTYKECQHLSDGNECLKNERKQPEPFSTEDDSRMESHSTASEKPQFALAKQEHAFAGAFAGIFVSLCLHPVDTVKTVIQSCRAEQKSICFIGRSIISERGLTGLYRGIASNIASSAPISALYTFSYESVKGALLPLFPKEYHSLAHCMAGGCASIATSFIFTPSERVKQQMQVGVHYQNCWNALVGIVKKGGLPSLYTGWGAVLCRNVPHSIIKVRSLYMFRLIVFCSM >EOY24739 pep chromosome:Theobroma_cacao_20110822:3:32073394:32077619:-1 gene:TCM_016255 transcript:EOY24739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein, putative isoform 5 MPASKNPCRSDQPSIKYRWRADEGAFFEVGKLVPEEYAPSLPDKNRKSRTKSETKEPEILSTAELISSLNQIWNCASRLTIFQQQANLDRNHFVSQKEDILVNLGREENGTGSISADSKYFCVDLGTASQLPPAMKPNFKFVKITKKMSMFDFCNKNPKCSFYQGLLCCGTDLSNKSWKAKGLSAVGFSYEFGNVYKWMREMIPGGLKYYVNVQTENKKLGKYCDVGGTSSDSGGCISADRTNPTDNLPTENAEPYSHCIKSIDSSILHDAKLVMDTRRTTSLCSDYFLTSVQETKANCSVSRTPDSNLCADYHINVLASCSSTYKECQHLSDGNECLKNERKQPEPFSTEDDSRMESHSTASEKPQFALAKQEHAFAGAFAGIFVSLCLHPVDTVKTVIQSCRAEQKSICFIGRSIISERGLTGLYRGIASNIASSAPISALYTFSYESVKGALLPLFPKEYHSLAHCMAGGCASIATSFIFTPSERVKQQMQVGVHYQNCWNALVGIVKKGGLPSLYTGWGAVLCRNVPHSIIKFYTYESLKQVMLTSLQSSAQPNTLQTLVCGGLAGSTAALFTTPFDVVKTRLQTQIPGSSSQ >EOY24741 pep chromosome:Theobroma_cacao_20110822:3:32074268:32077649:-1 gene:TCM_016255 transcript:EOY24741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein, putative isoform 5 MPASKNPCRSDQPSIKYRWRADEGAFFEVGKLVPEEYAPSLPDKNRKSRTKSETKEPEILSTAELISSLNQIWNCASRLTIFQQQANLDRNHFVSQKEDILVNLGREENGTGSISADSKYFCVDLGTASQLPPAMKPNFKFVKITKKMSMFDFCNKNPKCSFYQGLLCCGTDLSNKSWKAKGLSAVGFSYEFGNVYKWMREMIPGGLKYYVNVQTENKKLGKYCDVGGTSSDSGGCISADRTNPTDNLPTENAEPYSHCIKSIDSSILHDAKLVMDTRRTTSLCSDYFLTSVQETKANCSVSRTPDSNLCADYHINVLASCSSTYKECQHLSDGNECLKNERKQPEPFSTEDDSRMESHSTASEKPQFALAKQEHAFAGAFAGIFVSLCLHPVDTVKTVIQSCRAEQKSICFIGRSIISERGLTGLYRGIASNIASSAPISALYTFSYESVKGALLPLFPKEYHSLAHCMAGGCASIATSFIFTPSERVKQQMQVGVHYQNCWNALVGIVKKGGLPSLYTGWGAVLCRNVPHSIIKFYTYESLKQVMLTSLQSSAQPNTLQTVCLLGSFFFKITLIFWQFNLSIFGFCLLKLHLHRR >EOY24736 pep chromosome:Theobroma_cacao_20110822:3:32073394:32077619:-1 gene:TCM_016255 transcript:EOY24736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein, putative isoform 5 MPASKNPCRSDQPSIKYRWRADEGAFFEVGKLVPEEYAPSLPDKNRKSRTKSETKEPEILSTAELISSLNQIWNCASRLTIFQQQANLDRNHFVSQKEDILVNLGREENGTGSISADSKYFCVDLGTASQLPPAMKPNFKFVKITKKMSMFDFCNKNPKCSFYQGLLCCGTDLSNKSWKAKGLSAVGFSYEFGNVYKWMREMIPGGLKYYVNVQTENKKLGKYCDVGGTSSDSGGCISADRTNPTDNLPTENAEPYSHCIKSIDSSILHDAKLVMDTRRTTSLCSDYFLTSVQETKANCSVSRTPDSNLCADYHINVLASCSSTYKECQHLSDGNECLKNERKQPEPFSTEDDSRMESHSTASEKPQFALAKQEHAFAGAFAGIFVSLCLHPVDTVKTVIQSCRAEQKSICFIGRSIISERGLTGLYRGIASNIASSAPISALYTFSYESVKGALLPLFPKEYHSLAHCMAGGCASIATSFIFTPSERVKQQMQVGVHYQNCWNALVGIVKKGGLPSLYTGWGAVLCRNVPHSIIKFYTYESLKQVMLTSLQSSAQPNTLQTLVCGGLAGSTAALFTTPFDVVKTRLQTQIPGSSSQYNSVYHALQDIRAQEGLKGLYSFYRLVQLLFFNYPTRVLHPVAACMR >EOY24732 pep chromosome:Theobroma_cacao_20110822:3:32072279:32077618:-1 gene:TCM_016255 transcript:EOY24732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein, putative isoform 5 MPASKNPCRSDQPSIKYRWRADEGAFFEVGKLVPEEYAPSLPDKNRKSRTKSETKEPEILSTAELISSLNQIWNCASRLTIFQQQANLDRNHFVSQKEDILVNLGREENGTGSISADSKYFCVDLGTASQLPPAMKPNFKFVKITKKMSMFDFCNKNPKCSFYQGLLCCGTDLSNKSWKAKGLSAVGFSYEFGNVYKWMREMIPGGLKYYVNVQTENKKLGKYCDVGGTSSDSGGCISADRTNPTDNLPTENAEPYSHCIKSIDSSILHDAKLVMDTRRTTSLCSDYFLTSVQETKANCSVSRTPDSNLCADYHINVLASCSSTYKECQHLSDGNECLKNERKQPEPFSTEDDSRMESHSTASEKPQFALAKQEHAFAGAFAGIFVSLCLHPVDTVKTVIQSCRAEQKSICFIGRSIISERGLTGLYRGIASNIASSAPISALYTFSYESVKGALLPLFPKEYHSLAHCMAGGCASIATSFIFTPSERVKQQMQVGVHYQNCWNALVGIVKKGGLPSLYTGWGAVLCRNVPHSIIKFYTYESLKQVMLTSLQSSAQPNTLQTLVCGGLAGSTAALFTTPFDVVKTRLQTQIPGSSSQYNSVYHALQDIRAQEGLKGLYRGLIPRLVMYVSQGALFFASYEFFKQLFSLEVPQFIIQKQHMENQDNSPSQLPSPLPSASTPMPRTSPSASGLHVFWLIQETFLRLRFFVLIEDVGKYNVSGMAGASRPVITAMF >EOY24740 pep chromosome:Theobroma_cacao_20110822:3:32073755:32077649:-1 gene:TCM_016255 transcript:EOY24740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein, putative isoform 5 MPASKNPCRSDQPSIKYRWRADEGAFFEVGKLVPEEYAPSLPDKNRKSRTKSETKEPEILSTAELISSLNQIWNCASRLTIFQQQANLDRNHFVSQKEDILVNLGREENGTGSISADSKYFCVDLGTASQLPPAMKPNFKFVKITKKMSMFDFCNKNPKCSFYQGLLCCGTDLSNKSWKAKGLSAVGFSYEFGNVYKWMREMIPGGLKYYVNVQTENKKLGKYCDVGGTSSDSGGCISADRTNPTDNLPTENAEPYSHCIKSIDSSILHDAKLVMDTRRTTSLCSDYFLTSVQETKANCSVSRTPDSNLCADYHINVLASCSSTYKECQHLSDGNECLKNERKQPEPFSTEDDSRMESHSTASEKPQFALAKQEHAFAGAFAGIFVSLCLHPVDTVKTVIQSCRAEQKSICFIGRSIISERGLTGLYRGIASNIASSAPISALYTFSYESVKGALLPLFPKEYHSLAHCMAGGCASIATSFIFTPSERVKQQMQVGVHYQNCWNALVGIVKKGGLPSLYTGWGAVLCRNVPHSIIKVRSLYMFRLIVFCSM >EOY24742 pep chromosome:Theobroma_cacao_20110822:3:32074265:32077619:-1 gene:TCM_016255 transcript:EOY24742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein, putative isoform 5 MPASKNPCRSDQPSIKYRWRADEGAFFEVGKLVPEEYAPSLPDKNRKSRTKSETKEPEILSTAELISSLNQIWNCASRLTIFQQQANLDRNHFVSQKEDILVNLGREENGTGSISADSKYFCVDLGTASQLPPAMKPNFKFVKITKKMSMFDFCNKNPKCSFYQGLLCCGTDLSNKSWKAKGLSAVGFSYEFGNVYKWMREMIPGGLKYYVNVQTENKKLGKYCDVGGTSSDSGGCISADRTNPTDNLPTENAEPYSHCIKSIDSSILHDAKLVMDTRRTTSLCSDYFLTSVQETKANCSVSRTPDSNLCADYHINVLASCSSTYKECQHLSDGNECLKNERKQPEPFSTEDDSRMESHSTASEKPQFALAKQEHAFAGAFAGIFVSLCLHPVDTVKTVIQSCRAEQKSICFIGRSIISERGLTGLYRGIASNIASSAPISALYTFSYESVKGALLPLFPKEYHSLAHCMAGGCASIATSFIFTPSERVKQQMQVGVHYQNCWNALVGIVKKGGLPSLYTGWGAVLCRNVPHSIIKFYTYESLKQVMLTSLQSSAQPNTLQTVCLLGSFFFKITLIFWQFNLSIFGFCLLKLHLHRR >EOY24733 pep chromosome:Theobroma_cacao_20110822:3:32074490:32076896:-1 gene:TCM_016255 transcript:EOY24733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein, putative isoform 5 MPASKNPCRSDQPSIKYRWRADEGAFFEVGKLVPEEYAPSLPDKNRKSRTKSETKEPEILSTAELISSLNQIWNCASRLTIFQQQANLDRNHFVSQKEDILVNLGREENGTGSISADSKYFCVDLGTASQLPPAMKPNFKFVKITKKMSMFDFCNKNPKCSFYQGLLCCGTDLSNKSWKAKGLSAVGFSYEFGNVYKWMREMIPGGLKYYVNVQTENKKLGKYCDVGGTSSDSGGCISADRTNPTDNLPTENAEPYSHCIKSIDSSILHDAKLVMDTRRTTSLCSDYFLTSVQETKANCSVSRTPDSNLCADYHINVLASCSSTYKECQHLSDGNECLKNERKQPEPFSTEDDSRMESHSTASEKPQFALAKQEHAFAGAFAGIFVSLCLHPVDTVKTVIQSCRAEQKSICFIGRSIISERGLTGLYRGIASNIASSAPISALYTFSYESVKGALLPLFPKEYHSLAHCMAGGCASIATSFIFTPSERVKQQMQVGVHYQNCWYTSKFLECLGWNCEEGGFAFTLHWVGGCTLQECSTLNHQVLHI >EOY20784 pep chromosome:Theobroma_cacao_20110822:3:1173034:1185688:-1 gene:TCM_012122 transcript:EOY20784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding-like protein MSNMIAASDVAQFNQSSVDQAIFNAAQWGITEFIVEIIKPNLDLLMIYDQHLRNIFQIAIAHRQEKVFSLIYGLDTIKYLFLPFRDRMGNNMLHLAGKLSPESQLKLQKISGAALQMQRELQWFKLKKVDVKVEEDEKASLPDSYEVFVESLICGMDTMTLEQAQATLMSREARKKSKEGDRDPSDLALVTGVCRRKSTGDDCDVLTILENMNANSDWYLDSAFTTHICYQKDCFDLLQERVVGNLTLGNKSIVKVMGLRVVKVKMFDGVVCSSGGVAYVPKMRDMVLMRGNLHNGLYHLKCEASKGWEQCTGDGSYQSEISFAEEVVEGSHGVDDGERTKNLSICRWSHGFPYGRLPQPVASLLTWVGSSFQRRQIWWRDGRAPSPVGELLIAASVKSALPFSVDKIWWRNGLVPSHAGELLLAASLKSALPNRQQIWWRDGLVPSHADELLLAASLKLALPNRRQTLSRNRIILLQVPPLPMLQCPLSFIPDSRVLEGARSIALSLGTRGQVPLSCQTRIFRVWQVREVPGRELESIVPPRFDQNNKGETPYEAFDRSHAELVKEGEKWMKDIAQSSTVVGTLIITIMFAALFTVPGGPDQDTGVPLLLKNKPFKVFIISDAISLFASTTSVLIFVGILTSRYTADDFLKSLPNKLIIGLSSLFISIATMMVAFSSTVIIMVKGQLEIVIPIVLLAGIPIGLFVWLQFPLLVKIFISTYGPGIFDRKMKWV >EOY21992 pep chromosome:Theobroma_cacao_20110822:3:20295731:20300041:-1 gene:TCM_014156 transcript:EOY21992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein isoform 2 MASLWPIALICIFAFSLSIAHSKTLKRDVKALNEIKASLGWRVVYAWIGDDPCGDGDLPPWSGVTCSTQGDYRVVTELDLHNNKLTGPLPPQIGRLRRLRMLNLRWNKLQDVLPPEIGELKRLTHLSLSFNNFKGEIPKELANLPELRYLYLQENRFVGRIPPELGTLQNLRHLDVGNNHLVGTIRELIRFEGGFPVLRNLYLNNNYLTGGIPAQLANLTNLEILYLSYNKMSGAIPSALAHIPKLTYLYLDHNQFSGRIPDAFYKHPFLKELYIEGNGFRPGVNPIGPHKVLELSDTDFLV >EOY21991 pep chromosome:Theobroma_cacao_20110822:3:20295618:20299912:-1 gene:TCM_014156 transcript:EOY21991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein isoform 2 MASLWPIALICIFAFSLSIAHSKTLKRDVKALNEIKASLGWRVVYAWIGDDPCGDGDLPPWSGVTCSTQGDYRVVTELEVYAVSIVGPFPIAVTNLLDLTRLDLHNNKLTGPLPPQIGRLRRLRMLNLRWNKLQDVLPPEIGELKRLTHLSLSFNNFKGEIPKELANLPELRYLYLQENRFVGRIPPELGTLQNLRHLDVGNNHLVGTIRELIRFEGGFPVLRNLYLNNNYLTGGIPAQLANLTNLEILYLSYNKMSGAIPSALAHIPKLTYLYLDHNQFSGRIPDAFYKHPFLKELYIEGNGFRPGVNPIGPHKVLELSDTDFLV >EOY21856 pep chromosome:Theobroma_cacao_20110822:3:19168911:19171632:1 gene:TCM_014003 transcript:EOY21856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3223) [Source:Projected from Arabidopsis thaliana (AT5G62440) TAIR;Acc:AT5G62440] MAEKEASLEPNEINLPAEDMDLETLASSAQNPEGGDDVDGVNNGNTNSKRGREEDCEENDDLSKKQKVEKSVEEERMEKESRSSESCPAHLGPKEFGSSMEMFDYFYNLLHYWPTQLNLNKYEHMVLLDLLKKGHLEPGKKIGSGIRAFQIRNHPMWKSKCFFVIRDDETIDDFSFRKCVDHILPLPEEMKIKLDANRALASGGWKGRGGKGGGRGRGRGGKSRN >EOY23475 pep chromosome:Theobroma_cacao_20110822:3:27560507:27565646:-1 gene:TCM_015362 transcript:EOY23475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein binding protein, putative isoform 1 MECNKDEAFRAKEIAERKVTEKDYAGAKKFALKAQNLYPGLDGVAQMLTTLDVYISAENKVSGEADWYGMLGVNPSADDEVVRKQYRKLALMLHPDKNKSVGADGAFKLVSEAWSLLSDKAKRLAYNQKINVRGTQQKFPAQSGVSSIPPRANGSRSSSSTVTSNARTQNSNSRVGQTSFPSPSNKKPATFWTVCNRCKTQYEYLRIYLNHTLLCPNCHEAFLALEKAPPPNVYRSSNWCSQQQQASGHHATNNNQFNYGKNSSSSQSSERDGLAGHGSSINASFQGGPFSGAAGVSSTVAPPSTSAEAASVVRNVQEKVKREREEALKAERLLKKRKEDIRVNGYVGKMTTQTTMGNGPCLGTGVFGVGSNYGYSGNNNKPISERELSLFEIRNMLVDKARIVIRKKLKEWTSVTEAQSADKVKEKIREKENRKQRSTANGDARDTNKEYQGKHSPPAASPDDSDTGIALYSMNVPDPDFHNFDLDRSESSFGDDQVWAAYDDDDGMPRFYARIHKVISLKPFKMKISWLNSRSSSEFGLLDWIGSGFSKTCGEFRSGRHEISETLNAFSHKVQWSKGNRGVIRIFPSKGDVWALYRNWSPDWNEQTPDELIHKYDMVEVLNDYNEEQGISVIPLIKVAGFRTVFHKHMDPKEVRRIPKEEMFRFSHQVPNYLLTGQEAHNAPMGCRELDPAATPLELLQVINEAAEAPMEDNSRKTDKETFKSAREGEADELVEISSKSQE >EOY23474 pep chromosome:Theobroma_cacao_20110822:3:27560459:27565643:-1 gene:TCM_015362 transcript:EOY23474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein binding protein, putative isoform 1 MECNKDEAFRAKEIAERKVTEKDYAGAKKFALKAQNLYPGLDGVAQMLTTLDVYISAENKVSGEADWYGMLGVNPSADDEVVRKQYRKLALMLHPDKNKSVGADGAFKLVSEAWSLLSDKAKRLAYNQKINVRGTQQKFPAQSGVSSIPPRANGSRSSSSTVTSNARTQNSNSRVGQTSFPSPSNKKPATFWTVCNRCKTQYEYLRIYLNHTLLCPNCHEAFLALEKAPPPNVYRSSNWCSQQQQASGHHATNNNQFNYGKNSSSSQSSERDGLAGHGSSINASFQGGPFSGAAGVSSTVAPPSTSAEAASVVRNVQEKVKREREEALKAERLLKKRKEDIRVNGYVGKMTTQTTMGNGPCLGTGVFGVGSNYGYSGNNNKPISERELSLFEIRNMLVDKARIVIRKKLKEWTSVTEAQSADKVKEKIREKENRKQRSTANGDARDTNKEYQGKHSPPAASPDDSDTGIALYSMNVPDPDFHNFDLDRSESSFGDDQVWAAYDDDDGMPRFYARIHKVISLKPFKMKISWLNSRSSSEFGLLDWIGSGFSKTCGEFRSGRHEISETLNAFSHKVQWSKGNRGVIRIFPSKGDVWALYRNWSPDWNEQTPDELIHKYDMVEVLNDYNEEQGISVIPLIKVAGFRTVFHKHMDPKEVRRIPKEEMFRFSHQVPNYLLTGQEAHNAPMGCRELDPAATPLELLQVINEAAEAPMEDNSRKTDKETFKSAREGEADELVEISSKSQE >EOY23060 pep chromosome:Theobroma_cacao_20110822:3:26037551:26042059:-1 gene:TCM_015068 transcript:EOY23060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline (GMC) oxidoreductase family protein, putative MDFSCFIRELFGSKVRKCYMHLESRKYPTVFLVAVFALHHFCHAEKAPYYSFVQESTSAPPVSFHDYIIVGGGTAGCPLAATLSESANVLVLERGGSPYVNPSKTDEANLFPTLFDPSPNSYAQAFVSEDGVYNHRARVLGGGSVINAGFYSHAETDFVKEAGLDEALVNDSYQWVEKEVVFEPPVLQWQSAVRDGLLEAGVLPYNGFTYDHIYGTKIGATIFDMDGHRHSAADLLEYADPKKIKVYLHAKVPKIILTTEIGSRARAKGVIFEDALGVRHEAFLTEDSNIEIILAAGAIGSPQLLMLSGVGPAHHLKALGMKVLIDQPMVGQGMADNPLNGLYIPSPLPVELSLASVVGITRFGNYIEAISGLNLAPSWIQWTSKISPTILNQIESKTKIGSFLVNTRIKGGIIIDKVKGPISTGHLELRSKNPNDTPKVWFNYFQVPEDLRKCVQGIQTILKVVDSKAFSKFRYKTISTQDLLNLVAALPLNLRPRHLNAAISLEQYCIDTVMTMWHYHGGCHVGKVVDQDYRVLGVDGLRVVDGSTFNFSPGTNPQSTVMMLGRYMGVRIQQDRHSYKRK >EOY21043 pep chromosome:Theobroma_cacao_20110822:3:2485917:2486851:1 gene:TCM_012360 transcript:EOY21043 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein, putative MSPTQFHDHHYHHHAKKDTTSNGNAAALCPPPLKINKDSHLIRKSSSSSSSSSAASSLGVTCTAKPPHQQQRHPVIIYTHSPKVIHTHPKDFMALVQKLTGLSRSEDDNHHNHHHHHHHHHHNNNHHDAPHQPKVDNGAASAEEDSKRIINDDNDSSSVITDENGEGQVNSCFVPPLFDPPPAPFLTNIPVFTPNSADFLCVNQPLYNYTDSLFFTPNMRSSISSSGLAGMNEFRDF >EOY23211 pep chromosome:Theobroma_cacao_20110822:3:26667513:26674322:-1 gene:TCM_015185 transcript:EOY23211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein isoform 1 MNVKMMMNIEIMLSLLLSLAFAPWCIYSQEQLLVGMTLVRNAIAYGAVCLDGSLPAYHLHRGFGAGANNWILQFEGGGWCNDIPSCLERANTRRGSTRYMNKFEVFSGILSDNDSLNPDFYNWNRVKLRYCDGASFSGDSKFDNGTSLLYFRGQKIWEAIIRDLLPKGLASARKALLSGCSAGGLATFLHCDNFTKILPSNASVKCLSDAGFFLDEQDISLNYTMRSFYHDLVALQGIEENLNPNCTMSINNQKLCFFPQYALKYITTPYFILNSAYDVFQFHHSLVPPSADLHGRWNRCKLNPAACNASQINVLQGLRQDMLTALYSFYKNSSRGGMFINSCFAHCQSESQDTWFAVDSPRIHNKRLLQKQLVIGTLAEE >EOY23210 pep chromosome:Theobroma_cacao_20110822:3:26667511:26674062:-1 gene:TCM_015185 transcript:EOY23210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein isoform 1 MNVKMMMNIEIMLSLLLSLAFAPWCIYSQEQLLVGMTLVRNAIAYGAVCLDGSLPAYHLHRGFGAGANNWILQFEGGGWCNDIPSCLERANTRRGSTRYMNKFEVFSGILSDNDSLNPDFYNWNRVKLRYCDGASFSGDSKFDNGTSLLYFRGQKIWEAIIRDLLPKGLASARKALLSGCSAGGLATFLHCDNFTKILPSNASVKCLSDAGFFLDEQDISLNYTMRSFYHDLVALQGIEENLNPNCTMSINNQKLCFFPQYALKYITTPYFILNSAYDVFQFHHSLVPPSADLHGRWNRCKLNPAACNASQINVLQGLRQDMLTALYSFYKNSSRGGMFINSCFAHCQSESQDTWFAVDSPRIHNKTIAEAVGDWYFSRRVTKEIDCPYPCDTTCHNLIPSPQGLR >EOY23004 pep chromosome:Theobroma_cacao_20110822:3:25722515:25723334:1 gene:TCM_015019 transcript:EOY23004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 704, subfamily A, polypeptide 2 isoform 2 MSLETKKSSSLFLMQDGKSAAEDDVLPDGFKVKKGDGVTYMAYAMGRMTYIWGEDAEEYRPERWLENGIFQPESPFKFAAFQAGPRICLGKEFAYRQMKILAAVLLYFQFRLVDETKEATYRTMFTLHMAGGLNVFAFPRA >EOY23005 pep chromosome:Theobroma_cacao_20110822:3:25722397:25723334:1 gene:TCM_015019 transcript:EOY23005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 704, subfamily A, polypeptide 2 isoform 2 MAYAMGRMTYIWGEDAEEYRPERWLENGIFQPESPFKFAAFQAGPRICLGKEFAYRQMKILAAVLLYFQFRLVDETKEATYRTMFTLHMAGGLNVFAFPRA >EOY22929 pep chromosome:Theobroma_cacao_20110822:3:25368131:25376057:-1 gene:TCM_014959 transcript:EOY22929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, putative isoform 1 MALLAFPRSLPLPFPPFYFFSPRPTPRPSFICSLSAGAGRTKSGSAILWFKHDLRLDDHPALVAAAETHRSLLPLYVFDHRILSRYSDEMLELVLFALEDLRNSLKEQGSNLMIRFGSAENVITELVKEVKATDVFVEEEVEYEMRQIVGVVKDTLETSSSLDGNPDIVMWRTPFYDVKNLKDLPASYNDFKKLKLLPTSPLPPVTLPNVETKLDWGPLPTFSDLKEFIKEKPGKGSWSLMKEMPAEAILKEKLSKPSGTNRNSLSVESIERRRLDKSVFVTRKGDVVAGGTNSLLNASAAYLRYLEGTARDDWQEVHERLRNAESRDGASFTSLFGPALCLGIISRRRVHYEAITYERERNAGFLSPFGYSAATVAAAADAVCCMEWFWLLALKSQLSNEGLYSVRIWRWRGHLIQYTVVGNEGPAIFLVHGFGAFLEHYRDNINGMAESGNRVWAITMLGFGKSEKPNVMYTELLWAELLRDFIIEVVGEPVHIVGNSIGGFFVAIVASLWPTLVKSIILINSAGDVIPGFSSQRFSNERGTSGAARLGAQLLLFYLKLNIRNIVKNFYPTKTKRADDWLINEMLRASYDPGVLVVLESIFSLDLSIPLNFLLQGYEEKVLIVQGMKDPLSDSKAKLAMLKEHCAGLVIRELDAGHCPHDEQPEKVNSIICEWIVAVERKLTAESHL >EOY22930 pep chromosome:Theobroma_cacao_20110822:3:25372447:25375974:-1 gene:TCM_014959 transcript:EOY22930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, putative isoform 1 MALLAFPRSLPLPFPPFYFFSPRPTPRPSFICSLSAGAGRTKSGSAILWFKHDLRLDDHPALVAAAETHRSLLPLYVFDHRILSRYSDEMLELVLFALEDLRNSLKEQGSNLMIRFGSAENVITELVKEVKATDVFVEEEVEYEMRQIVGVVKDTLETSSSLDGNPDIVMWRTPFYDVKNLKDLPASYNDFKKLKLLPTSPLPPVTLPNVETKLDWGPLPTFSDLKEFIKEKPGKGSWSLMKEMPAEAILKEKLSKPSGTNRNSLSVESIERRRLDKSVFVTRKGDVVAGGTNSLLNASAAYLRYLEGTARDDWQEVHERLRNAESRDGASFTSLFGPALCLGIISRRRVHYEAITYERERNAGFLSPFGYSAATVAAAADAVCCMEWFWLLALKSQLSNEGLYSVRIWRWRGHLIQYTVVGNEGPAIFLVHGFGAFLEHYRDNINGMAESGNRVWAITMLGFGKSEKPNVMYTELLWAELLRDFIIEVVGEPVHIVGNSIGGFFVAIVASLWPTLVKSIILINSAGDVIPGFSSQRFSNERGTSGAARLGAQLLLFYLKLNIRNIVKNFYPTKTKRADDWLINEMLRAVSSLLFEMYEHARTHIHTNLCAHSRNHKMLFSGTMIKYLCPLKKTDL >EOY22468 pep chromosome:Theobroma_cacao_20110822:3:23575659:23580392:-1 gene:TCM_014628 transcript:EOY22468 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP domain-containing protein isoform 3 MRVYICEHNTTPPESQLIKTNQQNILIRSLMLNNKKGDSSSKDVKVSAEGPRKRAAERVMDSRASAKKANTQSGSRPEGSSSRATEKDYHSLTVERLRALLKENGLSPKGKKLRNLSDAVRDKRSRRRSCFLWCILRRWPQKGRVDLE >EOY22467 pep chromosome:Theobroma_cacao_20110822:3:23575925:23580494:-1 gene:TCM_014628 transcript:EOY22467 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP domain-containing protein isoform 3 MEGSSSQPFDHDQTPSGASKFLCNLPSRGHLSSTVIASNLGGMRVYICEHNTTPPESQLIKTNQQNILIRSLMLNNKKGDSSSKDVKVSAEGPRKRAAERVMDSRASAKKANTQSGSRPEGSSSRATEKDYHSLTVERLRALLKENGLSPKGKKACSCESYLGNCLESLLLILSLWIDHV >EOY22466 pep chromosome:Theobroma_cacao_20110822:3:23575894:23580256:-1 gene:TCM_014628 transcript:EOY22466 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP domain-containing protein isoform 3 MEGSSSQPFDHDQTPSGASKFLCNLPSRGHLSSTVIASNLGGMRVYICEHNTTPPESQLIKTNQQNILIRSLMLNNKKGDSSSKDVKVSAEGPRKRAAERVMDSRASAKKANTQSGSRPEGSSSRATEKDYHSLTVERLRALLKENGLSPKGKKDELIARLKCVNGAAQKSE >EOY22231 pep chromosome:Theobroma_cacao_20110822:3:22536707:22538409:1 gene:TCM_014454 transcript:EOY22231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phosphotriesterase superfamily protein, putative MHLMKMAYIISLREATLFSIFIFIFCSPSMVLSQFFSSIQLPPTAKGPESFAFELGTGRIYVGVDDGRILQYNGPATGFVDFGYTSGTRSKAVCDGATNPDLGPICGRPMGLGFHYASSQLYVCDAYVGLVALDSRGGLANLVSSSADGEPYRFCNGLDVHQLSGNVFFTDTSTVYDLRNASKGLTSNDSTGRLLRYDPNTKRVTVLLKNLTGPAGAAVSQDGTYVLISNFNSNTTMRYWLQGPRANTYDIISTQARPNNIQGTLAGDFWQAAAMVKQPTQSLVPIGQRISGLGIVTRTVNFEQWYGNNLISEVQEFGGALYVASRYVNFIGVYRF >EOY21868 pep chromosome:Theobroma_cacao_20110822:3:19285436:19288063:1 gene:TCM_014017 transcript:EOY21868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MTQAPAAVINKNLSSLKNSISCFTTRTRTSTTSKPIHKLFTHKTFQETRPNNNQQPTSKNPHPRTQQQNLISLIKSGTQNSLLQIHAHLIRTSLLQNPTFSLHFLSCLCFSPFRDLRYSRHFFSQIDKPSASHYSTLIRAYSSSNSPKDAFFLYKEMTQKGLKPDPVSSSFVLKSCMKFSSLVCGLQIHGRILGDGFQSDSLLLTTLMDFYSSFASRDEACKVFDEISKKDTVAWNVLISCYLRNGRTRDVLILFDSMKNEGACKPDDVTCLLVVQACANLGALDFGEKVHGYIEECGYGVSLNLRNSLIAMYSRCGCLEKAYGVFKGMPEKNVISWSAMISGLAMNGYGRDAILAFEEMQRMGIVPDEQTFTGVLSACSHCGLVDEGMEFLHQMSKEFGIEPNIHHYGCMVDLLGRAGLLDQAYQVIISMGVKPDATIWRTLLGACRIHGHVTLGERVIEHLIELKAQEAGDYVLLLNIYSSDGKWEKVTELRKFMKEKGIQTTPGCSTIELKGVVHNFIVDDISHPRKHEIYDKLDEINKQLKIAGYVAEITSELHDLGAEEKAHALSYHSEKLALAFGVLATPPGTTIRVTKNLRICVDCHNFAKFLSGVYNRKCSFEFRVE >EOY21867 pep chromosome:Theobroma_cacao_20110822:3:19285330:19288392:1 gene:TCM_014017 transcript:EOY21867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MTQAPAAVINKNLSSLKNSISCFTTRTRTSTTSKPIHKLFTHKTFQETRPNNNQQPTSKNPHPRTQQQNLISLIKSGTQNSLLQIHAHLIRTSLLQNPTFSLHFLSCLCFSPFRDLRYSRHFFSQIDKPSASHYSTLIRAYSSSNSPKDAFFLYKEMTQKGLKPDPVSSSFVLKSCMKFSSLVCGLQIHGRILGDGFQSDSLLLTTLMDFYSSFASRDEACKVFDEISKKDTVAWNVLISCYLRNGRTRDVLILFDSMKNEGACKPDDVTCLLVVQACANLGALDFGEKVHGYIEECGYGVSLNLRNSLIAMYSRCGCLEKAYGVFKGMPEKNVISWSAMISGLAMNGYGRDAILAFEEMQRMGIVPDEQTFTGVLSACSHCGLVDEGMEFLHQMSKEFGIEPNIHHYGCMVDLLGRAGLLDQAYQVIISMGVKPDATIWRTLLGACRIHGHVTLGERVIEHLIELKAQEAGDYVLLLNIYSSDGKWEKVTELRKFMKEKGIQTTPGCSTIELKGVVHNFIVDDISHPRKHEIYDKLDEINKQLKIAGYVAEITSELHDLGAEEKAHALSYHSEKLALAFGVLATPPGTTIRVTKNLRICVDCHNFAKFLSGVYNRKVIIRDRTRFHHFRDGGCSCNDYW >EOY24187 pep chromosome:Theobroma_cacao_20110822:3:30016665:30018508:1 gene:TCM_015858 transcript:EOY24187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MDEQLLIAAENGDVDALYTRLAEDPYLLDRIDRIPIVDTPFNVAARAGKPHFAMEVANLRPSLAWKLNHVGLSPLHQVHIYAQTDDADLLAEFLSACPSSVEDTTIHCETAVHIAVKNCSIRAFKVLLGWLRRIDKEDILNWTDEDGNTALHIATSTNLTEVVKLLIKNVKNFNGLTAMDIFHLQGTLQNIEIGKILRRAKAKKASDLPSNVTLGDYLSRELTLIEKRDKYFGINSQKSPSDVRSVVLVVAILIATATYQAGLSPPGGYRQDDYNPSANNGSNNSNTSLGQGQRQHRAGQIIMGPRNLFYFFTLNSCAFYFCVWTILVVIIGLPYSITLSTSTSLLLCAYYSALDSTFPTQQSSTAFIVARALYVSLIYISAALVYSIPLAAYRKYEKLKRRVGYNKRKQDTCRSWNMKKRKLHVFVVLLELI >EOY20653 pep chromosome:Theobroma_cacao_20110822:3:447976:450433:1 gene:TCM_012012 transcript:EOY20653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxia-responsive family protein / zinc finger (C3HC4-type RING finger) family protein MGAVEPSLEEFLEEKKRVRNPLVPVGALITAGVLTAGLISFRQGNSRLGQVLMRARVVVQGATVALMVGTAYYYGDNPWKSR >EOY23106 pep chromosome:Theobroma_cacao_20110822:3:26238894:26241605:-1 gene:TCM_015104 transcript:EOY23106 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit protein isoform 1 MADDDYNDMDMGYEDEPPEPEIEEGAEEDLENNNNDDVPVEPIETEEKEEHDSKDKIRKTSKYMTKYERARILGTRALQISMNAPVMVELEGESDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >EOY23108 pep chromosome:Theobroma_cacao_20110822:3:26239133:26241691:-1 gene:TCM_015104 transcript:EOY23108 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit protein isoform 1 MADDDYNDMDMGAICCLIVCDGQEGAEEDLENNNNDDVPVEPIETEEKEEHDSKDKIRKTSKYMTKYERARILGTRALQISMNAPVMVELEGESDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >EOY23109 pep chromosome:Theobroma_cacao_20110822:3:26234914:26240901:-1 gene:TCM_015104 transcript:EOY23109 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit protein isoform 1 EGAEEDLENNNNDDVPVEPIETEEKEEHDSKDKIRKTSKYMTKYERARILGTRALQISMNAPVMVELEGESDPLEVNCHEGASRAENTLHHSALLA >EOY23107 pep chromosome:Theobroma_cacao_20110822:3:26239256:26241424:-1 gene:TCM_015104 transcript:EOY23107 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit protein isoform 1 MTWIWDMRMSHQSLRLKEGAEEDLENNNNDDVPVEPIETEEKEEHDSKDKIRKTSKYMTKYERARILGTRALQISSMNAPVMVELEGESDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >EOY21251 pep chromosome:Theobroma_cacao_20110822:3:5005524:5015714:-1 gene:TCM_012673 transcript:EOY21251 gene_biotype:protein_coding transcript_biotype:protein_coding description:21 kDa seed protein MKTATAVVLLLFAFTSKSYFFGVARADESPVLDSDGDELRTGVEYYVVSAIWGAGGGGLALGRVTGQSCPEIVVQRGSDDDGLPVIFSNADGKDGVVRLSTDVNIEFVPIRDRLCLTSTVWKLDDYDPSTGKWWVTTDGVKGDPGHNTLTSWFKIENAGALGYKFRFCPSVCDSCIHLCNDFGRHGHDGQVRLALSENGWPWIFKKARNSIKQVVNAKH >EOY20660 pep chromosome:Theobroma_cacao_20110822:3:477436:482104:1 gene:TCM_012020 transcript:EOY20660 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MLKMSRITNEIKQMMYPPPVSPLSSFPTPNYYNIDYYESSPPSPSIRISPVLLLIIVIFAVIFFIAGLLHLLVRFLIKRPSFSPIYQSNRYPGNSESHGLQRQLQQLFRLHDSGLDQSVIDSLPVFYYKDIMGLKEPFDCAVCLCEYSDQDKLRLLPMCSHAFHIDCIDTWLLSNSTCPLCRGTLSGSSLPLENPMFQCEYLRVISNGVESDGENGISCSQKPAAATEDVSEKRIFSVRLGKFRSINDGESGGGRVLQGEISSCNLDARRCYSMGAYQYVVGDSLQVTLSPDVSHGKLVEGKGEDGSSSIDGDVEEKRIRRTKGESFSVSKIWLWSKRSRFTASSDNHADVYLNVSLPRNDRTQAV >EOY21525 pep chromosome:Theobroma_cacao_20110822:3:10495235:10496949:-1 gene:TCM_013224 transcript:EOY21525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVADRYYWPKMRRDVERLVKRCPACLFGKGSAQNTGLYVPLPEPDAPWIHLSMDFVLGLPKTAKGFDSIFVVVDRFSKMAHFIPCFRTFDATHIAELFFREVVRLHGIPTSIVSNRDVKFMGHFWKTLWRKFGTELKYSSTCHPQTDGQTKVVNRSLGNMLRYLIQNNPKTWDLVIPQAEFAYNNSVNRSIKKTPFEAAYGLKPQHVLDLVPLPQEARVSNKGELFADHIRKIHEEVKAALKASNAEYSFTANQHRRKQEFDEGDQVLVHLRQERFPKGTYHKLKSRKFGPCKVLKKISSNAYLIELPPELQISPIFNVLDLYPFDGCDGTASTIDGQIQHLPIAKVEVIEDVLDVKEVRSRRENPYRRFLVKWLGKPANESTWIAEEELKRVDPDIYEEYVKAYSSESSLF >EOY24524 pep chromosome:Theobroma_cacao_20110822:3:31337700:31338511:1 gene:TCM_016107 transcript:EOY24524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTAEEESLALFSLSLISIATSPPHDASVIKQHKPTLFIGAYIPSFLSISPHHFQYNLTFPSSLSSPSIERNHRRMCNNMDWSPTISASSKRRHRSKQSKVQIYRLSRKRCEENVEKDMERLNLKLYLENQSIIEENEKLRKKANLLHQENLALMSDFQKKFPHLDRFSTTLLLLLRNH >EOY21925 pep chromosome:Theobroma_cacao_20110822:3:19879930:19882853:1 gene:TCM_014099 transcript:EOY21925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MLVEGVIPANLVNSRILQQSIISLLQKYRTIKKITQIHTQIIINGFSQKNFILVNLISFYITSSNNLPHALKIFETIEKPSTTIWNRVIRGYSRTENPEKSVELYNQMVATGAIPDGFTYSYLLSACARSGMLREGEQVHGKVLADGYCSNVFVRTNLVNLYAMVGGGDGIGYARRVFDEMGERNVVSWNSLLAGYIRYGDVHMARRVFDEMPERNVVSWTTMVAGFSRNGKCRQALSFFNQMRRARVQLDQVALLAALSACAELGDLESGKWIHSHIKETSRVRSQRLLVSLNNALIHMYASCGLIEEAYQVLKYMPERSTVSWTSMIMGLAKQGFAQEALVVYECMLSLGDREVKPDALTYLGVLCACSHAGYVEEGWHHFTQMTQNWGIEPRIEHYCCMIDLLSRAGFLDEAFNLIETMPVKPNDAVWGALLSGCRIHKNVDLASQVAQKLVAELDPDYAAGYLVLLSNVYAFAKRWQDVANVRQKMIDMGVKKPAGRSWVQIDGVVHDFMAGDSADKHAYSIYDMLWQVTRQAKQEGYEPDISEVLY >EOY24722 pep chromosome:Theobroma_cacao_20110822:3:32031273:32037728:1 gene:TCM_016247 transcript:EOY24722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with tetratricopeptide repeat domain isoform 1 MGCGCSKLSACCWSSDQNGSIPEADNVENEDKGEVDDLPAFREYSIETLRMATSGFAVENIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRSAWPDARQFLEEARAVGQLRNHRLANLLGCCCEGEERLLVTEFMPNDTLAKHLFHWEAQPMKWAMRLRVALHLAQALEYCTSKGRALYHDLNAYRIVFDDEGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNPKSLVTALNPLQRDTEVPSHVLMGILHGAAALPLSPLGEACLRMDLTAIHEVLEKLGYKDDEGAATELSFQMWTNQMQETLTSKKKGDVAFRHKDFRAAMECYTQFIDVGTMVSPTVYARRSLSYLMSDMPQEAFNDASQAQLVSPIWHIASYLQAAALFALGKEDEAQAALRDASALETKRNATA >EOY24723 pep chromosome:Theobroma_cacao_20110822:3:32031273:32037728:1 gene:TCM_016247 transcript:EOY24723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with tetratricopeptide repeat domain isoform 1 MGCGCSKLSACCWSSDQNGSIPEADNVENEDKGEVDDLPAFREYSIETLRMATSGFAVENIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRSAWPDARQFLEEARAVGQLRNHRLANLLGCCCEGEERLLVTEFMPNDTLAKHLFHWEAQPMKWAMRLRVALHLAQALEYCTSKGRALYHDLNAYRIVFDDEGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNPKSLVTALNPLQRDTEVPSHVLMGILHGAAALPLSPLGEACLRMDLTAIHEVLEKLGYKDDEGAATELSFQMWTNQMQETLTSKKKGDVAFRHKDFRAAMECYTQFIDVGTMVSPTVYARRSLSYLMSDMPQEAFNDASQAQLVSPIWHIASYLQAAALFALGKEDEAQAALRDASALETKRNATA >EOY24724 pep chromosome:Theobroma_cacao_20110822:3:32031273:32037728:1 gene:TCM_016247 transcript:EOY24724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with tetratricopeptide repeat domain isoform 1 MGCGCSKLSACCWSSDQNGSIPEADNVENEDKGEVDDLPAFREYSIETLRMATSGFAVENIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRSAWPDARQFLEEARAVGQLRNHRLANLLGCCCEGEERLLVTEFMPNDTLAKHLFHWEAQPMKWAMRLRVALHLAQALEYCTSKGRALYHDLNAYRIVFDDEGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTAGRVTPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNPKSLVTALNPLQRDTEVVPSHVLMGILHGAAALPLSPLGEACLRMDLTAIHEVLEKLGYKDDEGAATELSFQMWTNQMQETLTSKKKGDVAFRHKDFRAAMECYTQQFIDVGTMVSPTVYARRSLSYLMSDMPQEAFNDASQAQLVSPIWHIASYLQAAALFALGKEDEAQAALRDASALETKRNATA >EOY24721 pep chromosome:Theobroma_cacao_20110822:3:32031260:32037749:1 gene:TCM_016247 transcript:EOY24721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with tetratricopeptide repeat domain isoform 1 MGCGCSKLSACCWSSDQNGSIPEADNVENEDKGEVDDLPAFREYSIETLRMATSGFAVENIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRSAWPDARQFLEEARAVGQLRNHRLANLLGCCCEGEERLLVTEFMPNDTLAKHLFHWEAQPMKWAMRLRVALHLAQALEYCTSKGRALYHDLNAYRIVFDDEGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNPKSLVTALNPLQRDTEVPSHVLMGILHGAAALPLSPLGEACLRMDLTAIHEVLEKLGYKDDEGAATELSFQMWTNQMQETLTSKKKGDVAFRHKDFRAAMECYTQFIDVGTMVSPTVYARRSLSYLMSDMPQEAFNDASQAQLVSPIWHIASYLQAAALFALGKEDEAQAALRDASALETKRNATA >EOY24386 pep chromosome:Theobroma_cacao_20110822:3:30754893:30755996:1 gene:TCM_016002 transcript:EOY24386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein MGSQFTALIFIFLIFMLISLPPIYACVPCTQPHPPPYHSPTRPTHPKVPRPIPPSTKHPPHRGGSPKVEPPSKKPPMPPVIVPPIIITPPITNPPVISPPITNPPVTIPPPSSPYPPYSGGPPSGGGGGGGGGGGGGGGGGGGSSPAPPTTQPTCPVNALKLGLCVDVLGGLVHIGLGNPVENACCPVLGGLLELEAAVCLCTAIRLKLLNLTIFIPLALQALITCGKNPPPGFVCPPL >EOY24842 pep chromosome:Theobroma_cacao_20110822:3:32418129:32419779:1 gene:TCM_016333 transcript:EOY24842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATEYPGSFLGRISIRRNQVIAMDGNHEQELEDLELFQKHVSDRFTELLSPPDDAPVEAPLSIPWLRKLLDVFLCCEAEFKAILLMGRDPSQISKPPLDRLIPELLDRAVKALDVCNAVTNGVDSVRHCQRLAEIAVSALNQKPIGDGQARRARKALNSLMSAMNLDEKEGGSTKTTERSWSFGRRGGNKDRAPGQFRPLSWQVATNWSAAKQIQAMTTNLVAPRGGEASGLASPVYIMSVVMVFVMWALVAAIPCQERSGLATHFPVPKQLSWAHSMIGLQEKIGDEWKKKEKKGMAGLLEEMQKMEKLGQSLIEFTDSFQFPGETEKLEEAAAQVAELAETCRRMEEGLVPLQMQIREVFHRIVRSRTEFLDVLDQGGKSSAPLV >EOY21635 pep chromosome:Theobroma_cacao_20110822:3:14936887:14939118:1 gene:TCM_013608 transcript:EOY21635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEENAISKEELNAEFLVGPVTIAKFPNSVSRLSLNDRILHLIVAYKIRPFGIEHVLVTLEEMWFMYHI >EOY25193 pep chromosome:Theobroma_cacao_20110822:3:33533209:33537713:1 gene:TCM_016589 transcript:EOY25193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MELFPAQPDLSLQISPPNSKPSSTWRRTEEDMDLGFWKRALDSRNSVSSMAKTDNCFELSLSNPRVSESNSNHLHLLQNGGANCNGNLFHTYHQNQFPHRHNQLQHPVLYQHQQQQQGLGQELGFLRPIRGIPVYQNHPPPTPFPFAQQPLDSSLASSPSSLVTNNTSNTSLSPFQSQGLMRSRFMSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAASSGQSDAFENGSSGDTSEDLMFDIQNPRRSEISVQQGRPSSNAHQDKEYHGLWSNSSREAWLHGKPKDSARNLPSLEKDMDPKCLSYERISDVSSSSLSGTSPKKPNLEFTLGVPH >EOY25194 pep chromosome:Theobroma_cacao_20110822:3:33533229:33538003:1 gene:TCM_016589 transcript:EOY25194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein isoform 1 MELFPAQPDLSLQISPPNSKPSSTWRRTEEDMDLGFWKRALDSRNSVSSMAKTDNCFELSLSNPRVSESNSNHLHLLQNGGANCNGNLFHTYHQNQFPHRHNQLQHPVLYQHQQQQQGLGQELGFLRPIRGIPVYQNHPPPTPFPFAQQPLDSSLASSPSSLVTNNTSNTSLSPFQSQGLMRSRFMSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAASSGQSDAFENGSSGDTSEDLMFDIQNPRRSEISVQQGRPSSNAHQDKEYHGLWSNSSREAWLHGKPKDSARNLPSLEKDMDPKCLSYERISDVSSSSLSGTSPKKPNLEFTLGVPH >EOY22181 pep chromosome:Theobroma_cacao_20110822:3:22157687:22159882:1 gene:TCM_014397 transcript:EOY22181 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 89B1, putative MAILGRNAHVLVFPFPALGHMIPLLDLTHYLATASDLTITILVTPKNLPLLTQLLSSHPQINTLVLPFPPHPSIPSHVENFKDLPQCCCPALIHALGQLYHPLFSWFESHPSPPSAIVSDMLLGWTQRLASRLGIKRIVFSPSGAMALSVLYSLWLDLPKLDDPQDQMAVISFDKIPKCPKYPWWKLSPTFGACVGGDPAGEFTKDGLVANVKSWGLIINSFAELEGPYFDHLKKVMGSDHVWAVGPLHPFHVNNSSSLTCPVRRGGPSSISVDGLLTWLDTCEDGEVVYVCFGSQVVLTNDQMAAVASALEKSGVRFIWCVKEPTEGHVEGRYGMIPNGFEDRAASRGYVIRGWAPQIGILGHRAVGAFLTHCGWNSVLEAVVAGVTMLTWPFGADQFVDDSLLVDELRVAKRACEGAETVPNSDELARVVAESVSNKNSVEREGVMQLRKAAVEAIKEGGSSAKDLEELVKHLCSTELDANSDM >EOY22597 pep chromosome:Theobroma_cacao_20110822:3:24118870:24124204:1 gene:TCM_014726 transcript:EOY22597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain base2 isoform 1 MITIPYLTALTTYFSYGLLFAFGQFRDFFRKIFDWWHASNLQGYAPICLGLEDFYFRRLYHRIQDCFGRPISSAPDAWFDVVERYSNDNNKTMKRTDKINRCLNLGSYNYLGFAASDEYCTPRVIDSLNRFSASTCSTRVDGGTTTVHKELEECVANFVGKPAAIVFGMGYVTNSAILPVLMGKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLEKVLREQIAEGQPRTHRPWKKIIVVVEGIYSMEGELCKLPEIVAICKKYKAYVYLDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKELIQYLKYTCPAHLYTTSISPPAARQIISAIKVILGEDGSSRGAQKLARIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNIAVVTVAFPATPLLLARARICISAAHTKEDLLKALEVISEVGDMVGIKYFPAEPKKLEQGKDTIKLE >EOY22596 pep chromosome:Theobroma_cacao_20110822:3:24118686:24124066:1 gene:TCM_014726 transcript:EOY22596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain base2 isoform 1 MITIPYLTALTTYFSYGLLFAFGQFRDFFRKIFDWWHASNLQGYAPICLGLEDFYFRRLYHRIQDCFGRPISSAPDAWFDVVERYSNDNNKTMKRTDKINRCLNLGSYNYLGFAASDEYCTPRVIDSLNRFSASTCSTRVDGGTTTVHKELEECVANFVGKPAAIVFGMGYVTNSAILPVLMGKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLEKVLREQIAEGQPRTHRPWKKIIVVVEGIYSMEGELCKLPEIVAICKKYKAYVYLDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKLLEGFYSVMMAGAIGKICPVIREELIQYLKYTCPAHLYTTSISPPAARQIISAIKVILGEDGSSRGAQKLARIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNIAVVTVAFPATPLLLARARICISAAHTKEDLLKALEVISEVGDMVGIKYFPAEPKKLEQGKDTIKLE >EOY24311 pep chromosome:Theobroma_cacao_20110822:3:30513638:30527868:1 gene:TCM_015949 transcript:EOY24311 gene_biotype:protein_coding transcript_biotype:protein_coding description:G2484-1 protein, putative isoform 4 MDYDDNDFQSQNLHLAGEGNNKFPPVLRPYALPRFDFDDNLHGHLRFDSLVETEVFLGIESSEDNQWIEDFSRGSTGIVFSSSAAEPCSISRRNNVWSEAASSESVEMLLKSVGQDETIPGQIISKDSDACDELGCIIKQMEPSLKHGDSGLSKEGDGLRPALQAGEIPGKFSGLKGNVGGDHPLVEDVSQMHEGEPTVDGAFKDPNTISRNTDLPVTERDKSKDCEQIVVNENQVDALVDQSVDNRGQEDKFASDSQVDTLIPSLQNTCTSSALIDSQDTTHLKNDIIDETVDSLERVDSKQEVHIDGGNLDMQSKDGVHVIRNSTASVGEPCDRIVKGNSDHHMVEACSEGLGVEVPLQTGKSEDIVLSGGKLHDISPMPFVGDMTLKEHESQVSNTDSKTCTSLESKMDSMMQLTCDAIEKKDLLETDCHPDTKILSSKSEKSSSSVEDGKGSKGEGEHLHNTLGVETMRVCEEYIVTEHNDDYKCDESASAAAKQNTKLPSDYDNADCGDGGSPLVEKGVDSSSFSTCSTENELVSNIQSDVAASSKSVDSVLLPSGKGLLTGTVFNQKEVQVSSSEASFSIMKTNSGLTTEKGALCETGEQFSCKKVDQSLAMDASNAEGQSGDLTLHRVTLEGGKDMQPSSVVSDSVVRETDGAEAQVISKWGSSEAAGAVSIQQNDKTPTNPVPSTSKEPSHDPDQNRSEDSDPKLVSEEKMHHVDGDPAKTHSSSFTSVISSESQTKFHMIESGSSSVDLDNPSCGSPIVIRTSEQSQSKIEGVKRSADQSASASGVINGEASKEQSISQDTKGNDASPGDRSFTFKVPPLADMSEKEAGKNWQPFSTMQHDKLSSVVEGTPSTSGSSKVAAKTAQDASHANPQASEREKVRVGSRGTSERKTRRTGGKNTGKDAAKKGIAAKETTPARQSERSDRSSNASLSSAGIGQLIQSNEMQHYGHIEVFHQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGPDGGRSIWENAWRACIERVHGQKSHLVSPETPLQSRIGAKPSDQAIKLNAVQGKVTSSPASRSTSKGTPTTIVNPMIPLSSPLWSIPTPSGDPLQPSGIPRGAVMDYQQALSPLHPPPMRNFVGPNASWMSQSPFRGPWVPQTSAFDGNARFPVLPITETANLTPVREASVPSSGMKPVSPVPMVQSGSPANVFAGTPLLDSKKTTVTAGQHSADPKPRKRKKSTASEDPGQIMLHSQKESLLATAATGHASTPAAVSTPATIVSKSSTDKFITSVSADHLKKGDQDLDQRATISEETLSKLKESQKQAEDAAAFAAAAVSHNQEIWNKLNRHQNSGLAPDVETKLTSAAVAIAAAAAVAKAAAAAANVASNAALQAKLMADEALVSSGYRNSIPTDAISSSDSVKKLGNATPASILRGEDATISSNSVIVAAREAARRRVEAASAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGEPFSLTELVKAGPEAYWKVPQVSPEPDGAREHRGKSGSVEAPGSSAWHLKEVPLDQREKQSANHGMSPTLREIARESLEDRSRLTGGILGSPSAASGKDKKGQKGRKASDIAKTKGVTSESEIGFGSPSMTTPTEHEKPGEVSKDNYLREGSHVEVLRDGGGLKIAWFLADILNLKDGKAYVCYNELRSEEDGDRLKEWVELEGEGDRAPRIRTARPITAMPFEGTRKRRRAAMGDYNWSVGDRVDTWMQDSWWEGVVTEKGKKDETSFTIHFPARGETSVVKAWLLRPSLMWKNGSWVEWSSSGDNNVSSHEGDTPQEKRLRVGSPTVEAKGKDKLSKGVDIKESGKPDDTRLLDFSASERIFNIGKSTRDESKPDSLRMIRTGLQKEGSRVIFGVPKPGKKRKFMEVSKHYVADQSSKTHETSDSAKITKYLMPQRSGPRGTKNKIELKEKRMAVSKPKVLKSGKPPSVSSRTIPQKDNLSNTMVSEPDDAVASDVSKFKDSVSHAENISGKHNVMEFRSFSSSDGAAEGPVLFSSVALSSDAPSKKTSTSNAKFERINKGKLAAAAGKLGKIEEEKVFNDNSTKTISEVVEPRRSNRRIQPTSRLLEGLQSSLIISKIPSVSHDKSHKSQSRSTRGNNHG >EOY24314 pep chromosome:Theobroma_cacao_20110822:3:30513638:30527868:1 gene:TCM_015949 transcript:EOY24314 gene_biotype:protein_coding transcript_biotype:protein_coding description:G2484-1 protein, putative isoform 4 MDYDDNDFQSQNLHLAGEGNNKFPPVLRPYALPRFDFDDNLHGHLRFDSLVETEVFLGIESSEDNQWIEDFSRGSTGIVFSSSAAEPCSISRRNNVWSEAASSESVEMLLKSVGQDETIPGQIISKDSDACDELGCIIKQMEPSLKHGDSGLSKEGDGLRPALQAGEIPGKFSGLKGNVGGDHPLVEDVSQMHEGEPTVDGAFKDPNTISRNTDLPVTERDKSKDCEQIVVNENQVDALVDQSVDNRGQEDKFASDSQVDTLIPSLQNTCTSSALIDSQDTTHLKNDIIDETVDSLERVDSKQEVHIDGGNLDMQSKDGVHVIRNSTASVGEPCDRIVKGNSDHHMVEACSEGLGVEVPLQTGKSEDIVLSGGKLHDISPMPFVGDMTLKEHESQVSNTDSKTCTSLESKMDSMMQLTCDAIEKKDLLETDCHPDTKILSSKSEKSSSSVEDGKGSKGEGEHLHNTLGVETMRVCEEYIVTEHNDDYKCDESASAAAKQNTKLPSDYDNADCGDGGSPLVEKGVDSSSFSTCSTENELVSNIQSDVAASSKSVDSVLLPSGKGLLTGTVFNQKEVQVSSSEASFSIMKTNSGLTTEKGALCETGEQFSCKKVDQSLAMDASNAEGQSGDLTLHRVTLEGGKDMQPSSVVSDSVVRETDGAEAQVISKWGSSEAAGAVSIQQNDKTPTNPVPSTSKEPSHDPDQNRSEDSDPKLVSEEKMHHVDGDPAKTHSSSFTSVISSESQTKFHMIESGSSSVDLDNPSCGSPIVIRTSEQSQSKIEGVKRSADQSASASGVINGEASKEQSISQDTKGNDASPGDRSFTFKVPPLADMSEKEAGKNWQPFSTMQHDKLSSVVEGTPSTSGSSKVAAKTAQDASHANPQASEREKVRVGSRGTSERKTRRTGGKNTGKDAAKKGIAAKETTPARQSERSDRSSNASLSSAGIGQLIQSNEMQHYGHIEGGNMKPFGLFSSSVSSLPDLNTSASSSAVFHQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGPDGGRSIWENAWRACIERVHGQKSHLVSPETPLQSRIVQGKVTSSPASRSTSKGTPTTIVNPMIPLSSPLWSIPTPSGDPLQPSGIPRGAVMDYQQALSPLHPPPMRNFVGPNASWMSQSPFRGPWVPQTSAFDGNARFPVLPITETANLTPVREASVPSSGMKPVSPVPMVQSGSPANVFAGTPLLDSKKTTVTAGQHSADPKPRKRKKSTASEDPGQIMLHSQKESLLATAATGHASTPAAVSTPATIVSKSSTDKFITSVSADHLKKGDQDLDQRATISEETLSKLKESQKQAEDAAAFAAAAVSHNQEIWNKLNRHQNSGLAPDVETKLTSAAVAIAAAAAVAKAAAAAANVASNAALQAKLMADEALVSSGYRNSIPTDAISSSDSVKKLGNATPASILRGEDATISSNSVIVAAREAARRRVEAASAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGEPFSLTELVKAGPEAYWKVPQVSPEPDGAREHRGKSGSVEAPGSSAWHLKEVPLDQREKQSANHGMSPTLREIARESLEDRSRLTGGILGSPSAASGKDKKGQKGRKASDIAKTKGVTSESEIGFGSPSMTTPTEHEKPGEVSKDNYLREGSHVEVLRDGGGLKIAWFLADILNLKDGKAYVCYNELRSEEDGDRLKEWVELEGEGDRAPRIRTARPITAMPFEGTRKRRRAAMGDYNWSVGDRVDTWMQDSWWEGVVTEKGKKDETSFTIHFPARGETSVVKAWLLRPSLMWKNGSWVEWSSSGDNNVSSHEGDTPQEKRLRVGSPTVEAKGKDKLSKGVDIKESGKPDDTRLLDFSASERIFNIGKSTRDESKPDSLRMIRTGLQKEGSRVIFGVPKPGKKRKFMEVSKHYVADQSSKTHETSDSAKITKYLMPQRSGPRGTKNKIELKEKRMAVSKPKVLKSGKPPSVSSRTIPQKDNLSNTMVSEPDDAVASDVSKFKDSVSHAENISGKHNVMEFRSFSSSDGAAEGPVLFSSVALSSDAPSKKTSTSNAKFERINKGKLAAAAGKLGKIEEEKVFNDNSTKTISEVVEPRRSNRRIQPTSRLLEGLQSSLIISKIPSVSHDKSHKSQSRSTRGNNHG >EOY24313 pep chromosome:Theobroma_cacao_20110822:3:30513638:30527868:1 gene:TCM_015949 transcript:EOY24313 gene_biotype:protein_coding transcript_biotype:protein_coding description:G2484-1 protein, putative isoform 4 MDYDDNDFQSQNLHLAGEGNNKFPPVLRPYALPRFDFDDNLHGHLRFDSLVETEVFLGIESSEDNQWIEDFSRGSTGIVFSSSAAEPCSISRRNNVWSEAASSESVEMLLKSVGQDETIPGQIISKDSDACDELGCIIKQMEPSLKHGDSGLSKEGDGLRPALQAGEIPGKFSGLKGNVGGDHPLVEDVSQMHEGEPTVDGAFKDPNTISRNTDLPVTERDKSKDCEQIVVNENQVDALVDQSVDNRGQEDKFASDSQVDTLIPSLQNTCTSSALIDSQDTTHLKNDIIDETVDSLERVDSKQEVHIDGGNLDMQSKDGVHVIRNSTASVGEPCDRIVKGNSDHHMVEACSEGLGVEVPLQTGKSEDIVLSGGKLHDISPMPFVGDMTLKEHESQVSNTDSKTCTSLESKMDSMMQLTCDAIEKKDLLETDCHPDTKILSSKSEKSSSSVEDGKGSKGEGEHLHNTLGVETMRVCEEYIVTEHNDDYKCDESASAAAKQNTKLPSDYDNADCGDGGSPLVEKGVDSSSFSTCSTENELVSNIQSDVAASSKSVDSVLLPSGKGLLTGTVFNQKEVQVSSSEASFSIMKTNSGLTTEKGALCETGEQFSCKKVDQSLAMDASNAEGQSGDLTLHRVTLEGGKDMQPSSVVSDSVVRETDGAEAQVISKWGSSEAAGAVSIQQNDKTPTNPVPSTSKEPSHDPDQNRSEDSDPKLVSEEKMHHVDGDPAKTHSSSFTSVISSESQTKFHMIESGSSSVDLDNPSCGSPIVIRTSEQSQSKIEGVKRSADQSASASGVINGEASKEQSISQDTKGNDASPGDRSFTFKVPPLADMSEKEAGKNWQPFSTMQHDKLSSVVEGTPSTSGSSKVAAKTAQDASHANPQASEREKVRVGSRGTSERKTRRTGGKNTGKDAAKKGIAAKETTPARQSERSDRSSNASLSSAGIGQLIQSNEMQHYGHIEGGNMKPFGLFSSSVSSLPDLNTSASSSAVFHQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGPDGGRSIWENAWRACIERVHGQKSHLVSPETPLQSRIGAKPSDQAIKLNAVQGKVTSSPASRSTSKGTPTTIVNPMIPLSSPLWSIPTPSGDPLQPSGIPRGAVMDYQQALSPLHPPPMRNFVGPNASWMSQSPFRGPWVPQTSAFDGNARFPVLPITETANLTPVREASVPSSGMKPVSPVPMVQSGSPANVFAGTPLLDSKKTTVTAGQHSADPKPRKRKKSTASEDPGQIMLHSQKESLLATAATGHASTPAAVSTPATIVSKSSTDKFITSVSADHLKKGDQDLDQRATISEETLSKLKESQKQAEDAAAFAAAAVSHNQEIWNKLNRHQNSGLAPDVETKLTSAAVAIAAAAAVAKAAAAAANVASNAALQAKLMADEALVSSGYRNSIPTDAISSSDSVKKLGNATPASILRGEDATISSNSVIVAAREAARRRVEAASAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGEPFSLTELVKAGPEAYWKVPQVSPEPDGAREHRGKSGSVEAPGSSAWHLKEVPLDQREKQSANHGMSPTLREIARESLEDRSRLTGGILGSPSAASGKDKKGQKGRKASDIAKTKGVTSESEIGFGSPSMTTPTEHEKPGEVSKDNYLREGSHVEVLRDGGGLKIAWFLADILNLKDGKAYVCYNELRSEEDGDRLKEWVELEGEGDRAPRIRTARPITAMPFEGTRKRRRAAMGDYNWSVGDRVDTWMQDSWWEGVVTEKGKKDETSFTIHFPARGETSVVKAWLLRPSLMWKNGSWVEWSSSGDNNVSSHEGDTPQEKRLRVGSPTVEAKGKDKLSKGVDIKESGKPDDTRLLDFSASERIFNIGKSTRDESKPDSLRMIRTGLQKEGSRVIFGVPKPGKKRKFMEVSKHYVADQSSKTHETSDSAKITKYLMPQRSGPRGTKNKIELKEKRMAVSKPKVLKSGKPPSVSSRTIPQKDNLSNTMVSEPDDAVASDVSKFKDSVSHAENISGKHNVMEFRSFSSSDGAAEGPVLFSSVALSSDAPSKKTSTSNAKFERINKGKLAAAAGKLGKIEEEKVFNDNSTKTISEVVEPRRSNRRIQPTSRLLEGLQSSLIISKIPSVSHDKSHKSQSRSTRGNNHG >EOY24310 pep chromosome:Theobroma_cacao_20110822:3:30513638:30527868:1 gene:TCM_015949 transcript:EOY24310 gene_biotype:protein_coding transcript_biotype:protein_coding description:G2484-1 protein, putative isoform 4 MDYDDNDFQSQNLHLAGEGNNKFPPVLRPYALPRFDFDDNLHGHLRFDSLVETEVFLGIESSEDNQWIEDFSRGSTGIVFSSSAAEPCSISRRNNVWSEAASSESVEMLLKSVGQDETIPGQIISKDSDACDELGCIIKQMEPSLKHGDSGLSKEGDGLRPALQAGEIPGKFSGLKGNVGGDHPLVEDVSQMHEGEPTVDGAFKDPNTISRNTDLPVTERDKSKDCEQIVVNENQVDALVDQSVDNRGQEDKFASDSQVDTLIPSLQNTCTSSALIDSQDTTHLKNDIIDETVDSLERVDSKQEVHIDGGNLDMQSKDGVHVIRNSTASVGEPCDRIVKGNSDHHMVEACSEGLGVEVPLQTGKSEDIVLSGGKLHDISPMPFVGDMTLKEHESQVSNTDSKTCTSLESKMDSMMQLTCDAIEKKDLLETDCHPDTKILSSKSEKSSSSVEDGKGSKGEGEHLHNTLGVETMRVCEEYIVTEHNDDYKCDESASAAAKQNTKLPSDYDNADCGDGGSPLVEKGVDSSSFSTCSTENELVSNIQSDVAASSKSVDSVLLPSGKGLLTGTVFNQKEVQVSSSEASFSIMKTNSGLTTEKGALCETGEQFSCKKVDQSLAMDASNAEGQSGDLTLHRVTLEGGKDMQPSSVVSDSVVRETDGAEAQVISKWGSSEAAGAVSIQQNDKTPTNPVPSTSKEPSHDPDQNRSEDSDPKLVSEEKMHHVDGDPAKTHSSSFTSVISSESQTKFHMIESGSSSVDLDNPSCGSPIVIRTSEQSQSKIEGVKRSADQSASASGVINGEASKEQSISQDTKGNDASPGDRSFTFKVPPLADMSEKEAGKNWQPFSTMQHDKLSSVVEGTPSTSGSSKVAAKTAQDASHANPQASEREKVRVGSRGTSERKTRRTGGKNTGKDAAKKGIAAKETTPARQSERSDRSSNASLSSAGIGQLIQSNEMQHYGHIEVFHQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGPDGGRSIWENAWRACIERVHGQKSHLVSPETPLQSRIGAKPSDQAIKLNAVQGKVTSSPASRSTSKGTPTTIVNPMIPLSSPLWSIPTPSGDPLQPSGIPRGAVMDYQQALSPLHPPPMRNFVGPNASWMSQSPFRGPWVPQTSAFDGNARFPVLPITETANLTPVREASVPSSGMKPVSPVPMVQSGSPANVFAGTPLLDSKKTTVTAGQHSADPKPRKRKKSTASEDPGQIMLHSQKESLLATAATGHASTPAAVSTPATIVSKSSTDKFITSVSADHLKKGDQDLDQRATISEETLSKLKESQKQAEDAAAFAAAAVSHNQEIWNKLNRHQNSGLAPDVETKLTSAAVAIAAAAAVAKAAAAAANVASNAALQAKLMADEALVSSGYRNSIPTDAISSSDSVKKLGNATPASILRGEDATISSNSVIVAAREAARRRVEAASAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGEPFSLTELVKAGPEAYWKVPQVSPEPDGAREHRGKSGSVEAPGSSAWHLKEVPLDQREKQSANHGMSPTLREIARESLEDRSRLTGGILGSPSAASGKDKKGQKGRKASDIAKTKGVTSESEIGFGSPSMTTPTEHEKPGEVSKDNYLREGSHVEVLRDGGGLKIAWFLADILNLKDGKAYVCYNELRSEEDGDRLKEWVELEGEGDRAPRIRTARPITAMPFEGTRKRRRAAMGDYNWSVGDRVDTWMQDSWWEGVVTEKGKKDETSFTIHFPARGETSVVKAWLLRPSLMWKNGSWVEWSSSGDNNVSSHEGDTPQEKRLRVGSPTVEAKGKDKLSKGVDIKESGKPDDTRLLDFSASERIFNIGKSTRDESKPDSLRMIRTGLQKEGSRVIFGVPKPGKKRKFMEVSKHYVADQSSKTHETSDSAKITKYLMPQRSGPRGTKNKIELKEKRMAVSKPKVLKSGKPPSVSSRTIPQKDNLSNTMVSEPDDAVASDVSKFKDSVSHAENISGKHNVMEFRSFSSSDGAAEGPVLFSSVALSSDAPSKKTSTSNAKFERINKGKLAAAAGKLGKIEEEKVFNDNSTKTISEVVEPRRSNRRIQPTSRLLEGLQSSLIISKIPSVSHDKSHKSQSRSTRGNNHG >EOY24309 pep chromosome:Theobroma_cacao_20110822:3:30513638:30527868:1 gene:TCM_015949 transcript:EOY24309 gene_biotype:protein_coding transcript_biotype:protein_coding description:G2484-1 protein, putative isoform 4 MDYDDNDFQSQNLHLAGEGNNKFPPVLRPYALPRFDFDDNLHGHLRFDSLVETEVFLGIESSEDNQWIEDFSRGSTGIVFSSSAAEPCSISRRNNVWSEAASSESVEMLLKSVGQDETIPGQIISKDSDACDELGCIIKQMEPSLKHGDSGLSKEGDGLRPALQAGEIPGKFSGLKGNVGGDHPLVEDVSQMHEGEPTVDGAFKDPNTISRNTDLPVTERDKSKDCEQIVVNENQVDALVDQSVDNRGQEDKFASDSQVDTLIPSLQNTCTSSALIDSQDTTHLKNDIIDETVDSLERVDSKQEVHIDGGNLDMQSKDGVHVIRNSTASVGEPCDRIVKGNSDHHMVEACSEGLGVEVPLQTGKSEDIVLSGGKLHDISPMPFVGDMTLKEHESQVSNTDSKTCTSLESKMDSMMQLTCDAIEKKDLLETDCHPDTKILSSKSEKSSSSVEDGKGSKGEGEHLHNTLGVETMRVCEEYIVTEHNDDYKCDESASAAAKQNTKLPSDYDNADCGDGGSPLVEKGVDSSSFSTCSTENELVSNIQSDVAASSKSVDSVLLPSGKGLLTGTVFNQKEVQVSSSEASFSIMKTNSGLTTEKGALCETGEQFSCKKVDQSLAMDASNAEGQSGDLTLHRVTLEGGKDMQPSSVVSDSVVRETDGAEAQVISKWGSSEAAGAVSIQQNDKTPTNPVPSTSKEPSHDPDQNRSEDSDPKLVSEEKMHHVDGDPAKTHSSSFTSVISSESQTKFHMIESGSSSVDLDNPSCGSPIVIRTSEQSQSKIEGVKRSADQSASASGVINGEASKEQSISQDTKGNDASPGDRSFTFKVPPLADMSEKEAGKNWQPFSTMQHDKLSSVVEGTPSTSGSSKVAAKTAQDASHANPQASEREKVRVGSRGTSERKTRRTGGKNTGKDAAKKGIAAKETTPARQSERSDRSSNASLSSAGIGQLIQSNEMQHYGHIEVFHQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGPDGGRSIWENAWRACIERVHGQKSHLVSPETPLQSRIGAKPSDQAIKLNAVQGKVTSSPASRSTSKGTPTTIVNPMIPLSSPLWSIPTPSGDPLQPSGIPRGAVMDYQQALSPLHPPPMRNFVGPNASWMSQSPFRGPWVPQTSAFDGNARFPVLPITETANLTPVREASVPSSGMKPVSPVPMVQSGSPANVFAGTPLLDSKKTTVTAGQHSADPKPRKRKKSTASEDPGQIMLHSQKESLLATAATGHASTPAAVSTPATIVSKSSTDKFITSVSADHLKKGDQDLDQRATISEETLSKLKESQKQAEDAAAFAAAAVSHNQEIWNKLNRHQNSGLAPDVETKLTSAAVAIAAAAAVAKAAAAAANVASNAALQAKLMADEALVSSGYRNSIPTDAISSSDSVKKLGNATPASILRGEDATISSNSVIVAAREAARRRVEAASAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGEPFSLTELVKAGPEAYWKVPQVSPEPDGAREHRGKSGSVEAPGSSAWHLKEVPLDQREKQSANHGMSPTLREIARESLEDRSRLTGGILGSPSAASGKDKKGQKGRKASDIAKTKGVTSESEIGFGSPSMTTPTEHEKPGEVSKDNYLREGSHVEVLRDGGGLKIAWFLADILNLKDGKAYVCYNELRSEEDGDRLKEWVELEGEGDRAPRIRTARPITAMPFEGTRKRRRAAMGDYNWSVGDRVDTWMQDSWWEGVVTEKGKKDETSFTIHFPARGETSVVKAWLLRPSLMWKNGSWVEWSSSGDNNVSSHEGDTPQEKRLRVGSPTVEAKGKDKLSKGVDIKESGKPDDTRLLDFSASERIFNIGKSTRDESKPDSLRMIRTGLQKEGSRVIFGVPKPGKKRKFMEVSKHYVADQSSKTHETSDSAKITKYLMPQRSGPRGTKNKIELKEKRMAVSKPKVLKSGKPPSVSSRTIPQKDNLSNTMVSEPDDAVASDVSKFKDSVSHAENISGKHNVMEFRSFSSSDGAAEGPVLFSSVALSSDAPSKKTSTSNAKFERINKGKLAAAAGKLGKIEEEKVFNDNSTKTISEVVEPRRSNRRIQPTSRLLEGLQSSLIISKIPSVSHDKSHKSQSRSTRGNNHG >EOY24312 pep chromosome:Theobroma_cacao_20110822:3:30513638:30527868:1 gene:TCM_015949 transcript:EOY24312 gene_biotype:protein_coding transcript_biotype:protein_coding description:G2484-1 protein, putative isoform 4 MDYDDNDFQSQNLHLAGEGNNKFPPVLRPYALPRFDFDDNLHGHLRFDSLVETEVFLGIESSEDNQWIEDFSRGSTGIVFSSSAAEPCSISRRNNVWSEAASSESVEMLLKSVGQDETIPGQIISKDSDACDELGCIIKQMEPSLKHGDSGLSKEGDGLRPALQAGEIPGKFSGLKGNVGGDHPLVEDVSQMHEGEPTVDGAFKDPNTISRNTDLPVTERDKSKDCEQIVVNENQVDALVDQSVDNRGQEDKFASDSQVDTLIPSLQNTCTSSALIDSQDTTHLKNDIIDETVDSLERVDSKQEVHIDGGNLDMQSKDGVHVIRNSTASVGEPCDRIVKGNSDHHMVEACSEGLGVEVPLQTGKSEDIVLSGGKLHDISPMPFVGDMTLKEHESQVSNTDSKTCTSLESKMDSMMQLTCDAIEKKDLLETDCHPDTKILSSKSEKSSSSVEDGKGSKGEGEHLHNTLGVETMRVCEEYIVTEHNDDYKCDESASAAAKQNTKLPSDYDNADCGDGGSPLVEKGVDSSSFSTCSTENELVSNIQSDVAASSKSVDSVLLPSGKGLLTGTVFNQKEVQVSSSEASFSIMKTNSGLTTEKGALCETGEQFSCKKVDQSLAMDASNAEGQSGDLTLHRVTLEGGKDMQPSSVVSDSVVRETDGAEAQVISKWGSSEAAGAVSIQQNDKTPTNPVPSTSKEPSHDPDQNRSEDSDPKLVSEEKMHHVDGDPAKTHSSSFTSVISSESQTKFHMIESGSSSVDLDNPSCGSPIVIRTSEQSQSKIEGVKRSADQSASASGVINGEASKEQSISQDTKGNDASPGDRSFTFKVPPLADMSEKEAGKNWQPFSTMQHDKLSSVVEGTPSTSGSSKVAAKTAQDASHANPQASEREKVRVGSRGTSERKTRRTGGKNTGKDAAKKGIAAKETTPARQSERSDRSSNASLSSAGIGQLIQSNEMQHYGHIEVFHQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGPDGGRSIWENAWRACIERVHGQKSHLVSPETPLQSRIVQGKVTSSPASRSTSKGTPTTIVNPMIPLSSPLWSIPTPSGDPLQPSGIPRGAVMDYQQALSPLHPPPMRNFVGPNASWMSQSPFRGPWVPQTSAFDGNARFPVLPITETANLTPVREASVPSSGMKPVSPVPMVQSGSPANVFAGTPLLDSKKTTVTAGQHSADPKPRKRKKSTASEDPGQIMLHSQKESLLATAATGHASTPAAVSTPATIVSKSSTDKFITSVSADHLKKGDQDLDQRATISEETLSKLKESQKQAEDAAAFAAAAVSHNQEIWNKLNRHQNSGLAPDVETKLTSAAVAIAAAAAVAKAAAAAANVASNAALQAKLMADEALVSSGYRNSIPTDAISSSDSVKKLGNATPASILRGEDATISSNSVIVAAREAARRRVEAASAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGEPFSLTELVKAGPEAYWKVPQVSPEPDGAREHRGKSGSVEAPGSSAWHLKEVPLDQREKQSANHGMSPTLREIARESLEDRSRLTGGILGSPSAASGKDKKGQKGRKASDIAKTKGVTSESEIGFGSPSMTTPTEHEKPGEVSKDNYLREGSHVEVLRDGGGLKIAWFLADILNLKDGKAYVCYNELRSEEDGDRLKEWVELEGEGDRAPRIRTARPITAMPFEGTRKRRRAAMGDYNWSVGDRVDTWMQDSWWEGVVTEKGKKDETSFTIHFPARGETSVVKAWLLRPSLMWKNGSWVEWSSSGDNNVSSHEGDTPQEKRLRVGSPTVEAKGKDKLSKGVDIKESGKPDDTRLLDFSASERIFNIGKSTRDESKPDSLRMIRTGLQKEGSRVIFGVPKPGKKRKFMEVSKHYVADQSSKTHETSDSAKITKYLMPQRSGPRGTKNKIELKEKRMAVSKPKVLKSGKPPSVSSRTIPQKDNLSNTMVSEPDDAVASDVSKFKDSVSHAENISGKHNVMEFRSFSSSDGAAEGPVLFSSVALSSDAPSKKTSTSNAKFERINKGKLAAAAGKLGKIEEEKVFNDNSTKTISEVVEPRRSNRRIQPTSRLLEGLQSSLIISKIPSVSHDKSHKSQSRSTRGNNHG >EOY23199 pep chromosome:Theobroma_cacao_20110822:3:26587262:26590964:-1 gene:TCM_015174 transcript:EOY23199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vascular-related nac-domain 6 isoform 1 MCFIRLDNRKKSIEFARSGSKVLCEPFLVTFSVQPNTLLKPLPLPRHPFLSICSFQFNMANKRQKFARKQYKEAHPELFPTPQPTQAKDPDKKKKKKYKKSQFKRKKEESKEPKHLNKPYKKGIRKHPLRIPGMKPGESCYICKAKDHIAKLCPQKAQWDKHTICLLCRHRGHSLKNCPEVMDKKLCYNCGETGHSLSKCPQPLQDGGTKFAKCFICKEIGHLSKSCPKNTHGVYPKGGCCKICGGVSHLAKDCPDKGKKASAAATRGCASFEHGERPTGQVTKFISGDDLEDDFVTADFASTENDASDAKDAKIKSKKKQGPKVVNFVG >EOY23200 pep chromosome:Theobroma_cacao_20110822:3:26587631:26590777:-1 gene:TCM_015174 transcript:EOY23200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vascular-related nac-domain 6 isoform 1 NKRQKFARKQYKEAHPELFPTPQPTQAKDPDKKKKKKYKKSQFKRKKEESKEPKHLNKPYKKGIRKHPLRIPGMKPGESCYICKAKDHIAKLCPQKAQWDKHTICLLCRHRGHSLKNCPEVMDKKLCYNCGETGHSLSKCPQPLQDGGTKFAKCFICKEIGHLSKSCPKNTHGVYPKGGCCKICGGVSHLAKDCPDKGKKASAAATRAFEHGERPTGQVTKFISGDDLEDDFVTADFASTENDASDAKDAKIKSKKKQGPKVVNFVG >EOY24272 pep chromosome:Theobroma_cacao_20110822:3:30355230:30358568:1 gene:TCM_015922 transcript:EOY24272 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 3 MSEALQLPIVDLSSPDCISTANAIRQACMDHGFFYLVNHEVEEELLKEVFEQSSKFFSLPVEEKMKLAKKNHRGYTAPYAEKLDTSLNTKGDSKESFYIGPLADNLNQWPLEEDLPSWRSTMETYHKKVLCAGTRLISLIALALKLDEDFFEKVGALNEPLAFSRMLHYPGDLDSSSEEIYGASAHSDYGMITLLVTDGVPGLQQVCREKSKKPQVWEDVPSMNGAFIVNIGDMMERWTNCLFRSTLHRVLPPGQERYSVAFFINPNKDCIVECLESCCSESCPPRFLPIRCLDYLEERLRLTYGS >EOY24270 pep chromosome:Theobroma_cacao_20110822:3:30355068:30358441:1 gene:TCM_015922 transcript:EOY24270 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 3 MSEALQLPIVDLSSPDCISTANAIRQACMDHGFFYLVNHEVEEELLKEVFEQSSKFFSLPVEEKMKLAKKNHRGYTAPYAEKLDTSLNTKGDSKESFYIGPLADNLNQWPLEEDLPSWRSTMETYHKKVLCAGTRLISLIALALKLDEDFFEKVGALNEPLAFSRMLHYPGDLDSSSEEIYGASAHSDYGMITLLVTDGVPGLQVCREKSKKPQVWEDVPSMNGAFIVNIGDMMERWTNCLFRSTLHRVLPPGQERYSVAFFINPNKDCIVECLESCCSESCPPRFLPIRCLDYLEERLRLTYGS >EOY24271 pep chromosome:Theobroma_cacao_20110822:3:30355385:30358577:1 gene:TCM_015922 transcript:EOY24271 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 3 MKACMDHGFFYLVNHEVEEELLKEVFEQSSKFFSLPVEEKMKLAKKNHRGYTAPYAEKLDTSLNTKGDSKESFYIGPLADNLNQWPLEEDLPSWRSTMETYHKKVLCAGTRLISLIALALKLDEDFFEKVGALNEPLAFSRMLHYPGDLDSSSEEIYGASAHSDYGMITLLVTDGVPGLQVCREKSKKPQVWEDVPSMNGAFIVNIGDMMERWTNCLFRSTLHRVLPPGQERYSVAFFINPNKDCIVECLESCCSESCPPRFLPIRCLDYLEERLRLTYGS >EOY25343 pep chromosome:Theobroma_cacao_20110822:3:33974745:33979697:-1 gene:TCM_016684 transcript:EOY25343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nine-cis-epoxycarotenoid dioxygenase 4 MSTLGMIRQHGKRGHISWREINVNIELEHKISKQIKGLSNLSFECLLMACDSESGKKALSVDSTIAFPVVLYQGQWMIANAVKKAKESKWLASHHQGYDDQIPIVAHSISDFLALLLPPGCPLLLTNQGNMDAFSSSFLSPLLPLKLISPAVTTPRSISTPHVNVSSVRIEERPPASIPRTTTTTTTKAPPQPPKTQPPPPASNTLPKRIASPSVGAKKRVEPKLSTFIFNTFDNIINNFIDPPIRPSVDPRHVLSHNFAPVDELPPTECEVIQGSLPPCLDGAYIRNGPNPQYLPRGPYHLFDGDGMLHSIRISKGQATLCSRYVKTYKYSIENEMGSPVLPNVFSGFNGLTAAATRGALSAVRVLTGEFNPANGIGLANTSLALFGNRLYALGESDLPYSIRLTPNGDIETLGRHDFDGKLFMSMTAHPKTDTDTGEAFAFRYGPMPPFLTYFYFDANGNKQPDVPIFSMSRPSFLHDFAITKKYAIFADIQIGMNPMEMIFGGGSPVGTDPAKVPRIGVIPRYAKDESEIRWFDIPGFNLIHAINAWDEDDGNAIVMLAPNILSVEHTLERMDLVHALVEKVRIDLRTGLVTRHPLSTRNLDFAVLNPAYLAKKNKYVYAAVGDPMPKISGVVKLDVSRGDRQECTVASRMYGPGCFGGEPFFVAKEPGNPEADEDDGYVVSYVHNENTGESRFLVMDAKSPNLDIVAAAKLPRRVPYGFHGLFVRESDINKL >EOY23010 pep chromosome:Theobroma_cacao_20110822:3:25746689:25747749:-1 gene:TCM_015024 transcript:EOY23010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRFVELVLLRLEISEGREGPFLCLLMVVIKVVGDSLNASWMELGNLTGEVVEVKAGYGPCAREGSHSLGN >EOY21321 pep chromosome:Theobroma_cacao_20110822:3:6140791:6143029:-1 gene:TCM_012799 transcript:EOY21321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAFLRGVNLWNAIEFETELPVLKENASQAQVKQYEKDIAKRYRALSFIHSVVSESVFNKIMGCETANEAWSKLEKEFLGSARSKQVRLQNLIRKYELLIMKENQTVGEFVEDLMKLVNQIRLMGDSLIDLKVVEKIMLSLPERFDPAITSLEQVKDITELSISYLVSALEVDEQRKEARRDERVDHALVARAKGKAPADPSFKKNSNENKEKDKNGTAIGRSQNKRGKFLVCPYCKKRNHSEAYCWFRPGVKCNACKQLGHLEKVCKNKAEAAEKKPQVTKQVEKAEVAEEVLFMTIENSNSADNNHWLLDSACSNHMTSKAELFSELDTDHCFSVKIGNGLILDAVGKGTVAIQIASSTRYVLNVLLVPKTTQNLLRVGQLVDEDYMLVFKNNACTVYEPSGVYIMSVPMVRNCFPVKWGNLCLSATESFSKQTYLWHKRLGHCNYKSLLQVSASGLLLNLPKLHGDGPICSSCQYGKLTRRSFPKASLNRAKHRFELDHSNVAGPMSEPSLNGSKYFAIFIDDMSRMTWIYFIQHKLVVFSIFQKFKAKVENESGYRIKKLRTDNRGEYTSSEFTSYLEKKGIHHQLTAPYCPE >EOY24443 pep chromosome:Theobroma_cacao_20110822:3:31006787:31010664:-1 gene:TCM_016045 transcript:EOY24443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 88, subfamily A, polypeptide 3 isoform 1 MEIGSMWMVLLAILAGLASVKWVLERVNWWLYESQLGDKQFSLPPGDLGWPFIGNMWSFLRAFKSDDPDSFIRSFVSRFGHIGIYKAFMFGNPSVIVTMPETCKRVLNDDDAFKPGWPTATVELIGKKSFIGISYEEHKRLRRLTAAPVNGHEALSLYIQYIEENVISALDKWSNMGEIEFLTELRKLTFRIIMYIFLSSESEEEMEALEREYTTLNYGVRAMAINIPGFAYYKALKARKNLVAVFQSIVNKRRKQKKINNSTKKKDMLDALIDVKDEKGETLDDEEIIDIMLMYLNAGHESSGHTIMWATIFLQRHPEFLEKAKAEQERIIKKRPPTQKGLSLKDIREMDYLSKVIDETLRLVTFSLMVFREAITDVNISGMESSNLVQKRSLGS >EOY24442 pep chromosome:Theobroma_cacao_20110822:3:31006670:31010942:-1 gene:TCM_016045 transcript:EOY24442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 88, subfamily A, polypeptide 3 isoform 1 MEIGSMWMVLLAILAGLASVKWVLERVNWWLYESQLGDKQFSLPPGDLGWPFIGNMWSFLRAFKSDDPDSFIRSFVSRFGHIGIYKAFMFGNPSVIVTMPETCKRVLNDDDAFKPGWPTATVELIGKKSFIGISYEEHKRLRRLTAAPVNGHEALSLYIQYIEENVISALDKWSNMGEIEFLTELRKLTFRIIMYIFLSSESEEEMEALEREYTTLNYGVRAMAINIPGFAYYKALKARKNLVAVFQSIVNKRRKQKKINNSTKKKDMLDALIDVKDEKGETLDDEEIIDIMLMYLNAGHESSGHTIMWATIFLQRHPEFLEKAKAEQERIIKKRPPTQKGLSLKDIREMDYLSKVIDETLRLVTFSLMVFREAITDVNISGYTIPKGWKVLTWFRSVHLDPEIYTNPKEFNPSRWDDYAAKAGTFLPFGAGSRLCPGNDLAKLEIAIFLHHFLLNYQLERLNPESKIRYLPHSRPADNCMARIKKHPVSLPKEEKWNI >EOY24444 pep chromosome:Theobroma_cacao_20110822:3:31006787:31010664:-1 gene:TCM_016045 transcript:EOY24444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 88, subfamily A, polypeptide 3 isoform 1 MEIGSMWMVLLAILAGLASVKWVLERVNWWLYESQLGDKQFSLPPGDLGWPFIGNMWSFLRAFKSDDPDSFIRSFVSRFGHIGIYKAFMFGNPSVIVTMPETCKRVLNDDDAFKPGWPTATVELIGKKSFIGISYEEHKRLRRLTAAPVNGHEALSLYIQYIEENVISALDKWSNMGEIEFLTELRKLTFRIIMYIFLSSESEEEMEALEREYTTLNYGVRAMAINIPGFAYYKALKARKNLVAVFQSIVNKRRKQKKINNSTKKKDMLDALIDVKDEKGETLDDEEIIDIMLMYLNAGHESSGHTIMWATIFLQRHPEFLEKAKAEQERIIKKRPPTQKGLSLKDIREMDYLSKVPLCYI >EOY24445 pep chromosome:Theobroma_cacao_20110822:3:31007594:31010664:-1 gene:TCM_016045 transcript:EOY24445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 88, subfamily A, polypeptide 3 isoform 1 MEIGSMWMVLLAILAGLASVKWVLERVNWWLYESQLGDKQFSLPPGDLGWPFIGNMWSFLRAFKSDDPDSFIRSFVSRFGHIGIYKAFMFGNPSVIVTMPETCKRVLNDDDAFKPGWPTATVELIGKKSFIGISYEEHKRLRRLTAAPVNGHEALSLYIQYIEENVISALDKWSNMGEIEFLTELRKLTFRIIMYIFLSSESEEEMEALEREYTTLNYGVRAMAINIPGFAYYKALKARKNLVAVFQSIVNKRRKQKKINNSTKKKDMLDALIDVKDEKGETLDDEEIIDIMLMYLNAGHESSGHTIMWATIFLQRHPEFLEKAKAEQERIIKKRPPTQKGLSLKDIREMDYLSKVPLCYI >EOY24790 pep chromosome:Theobroma_cacao_20110822:3:32233066:32236851:-1 gene:TCM_016291 transcript:EOY24790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLEHELCSSRVLSPFREESGDEELSVLPRHTKVIVRGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDHDFDNSSSGSDIGEKDHDFSSSIEYHRPAKPRVRHTKPWVPSAPIKSTNRSGYRDVRSIIHAPQLVNLARLDTDSLRRYCKHFKLGGINAYSPREQMLNTVQQHFVSQPPLNEVQVISEFISVAKRLKTDDTQSEQL >EOY24792 pep chromosome:Theobroma_cacao_20110822:3:32233256:32236786:-1 gene:TCM_016291 transcript:EOY24792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLEHELCSSRVLSPFREESGDEELSVLPRHTKVIVRGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDHDFDNSSSGSDIASSIEYHRPAKPRVRHTKPWVPSAPIKSTNRSGYRDVRSIIHAPQLVNLARLDTDSLRRYCKHFKLGGINAYSPREQMLNTVQQHFVSQPPLNEVQVISEFISVAKRLKTDDTQSEQL >EOY24789 pep chromosome:Theobroma_cacao_20110822:3:32233066:32236843:-1 gene:TCM_016291 transcript:EOY24789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLEHELCSSRVLSPFREESGDEELSVLPRHTKVIVRGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDHDFDNSSSGSDIASSIEYHRPAKPRVRHTKPWVPSAPIKSTNRSGYRDVRSIIHAPQLVNLARLDTDSLRRYCKHFKLGGINAYSPREQMLNTVQQHFVSQPPLNEVQVISEFISVAKRLKTDDTQSEQL >EOY24791 pep chromosome:Theobroma_cacao_20110822:3:32233441:32235532:-1 gene:TCM_016291 transcript:EOY24791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLEHELCSSRVLSPFREESGDEELSVLPRHTKVIVRGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDHDFDNSSSGSDIGEKDHDFSSSIEYHRPAKPRVRHTKPWVPSAPIKSTNRSGYRDVRSIIHAPQLVNLARLDTDSLRRYCKHFKLGGINAYSPREQMLNTVQQHFVSQPPLNEVQVISEFISVAKRLKTDDTQSEQL >EOY21781 pep chromosome:Theobroma_cacao_20110822:3:18148626:18155434:-1 gene:TCM_013888 transcript:EOY21781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase NAK, expressed, putative MGNCFRTRSKVDNSLSSQATSGRHVKSLIAGNYFSLSGASKLPNRENFSASSSVIKADSGKSVPGSLSAAKTESDILSSPHLKAFTFTELKNATRNFRLDNLIGEGGFGYVYKGWMVEQTLVAARPGYGMVVAVKKLKPEGFQGHKEWLSEVNYLGQLHHPNLVKLIGYCLEEENRLLVYEYLSKGSLENHLFRNVCLSIKFEAVVISGGARPLSWAMRIRVAIDTARGLSFLHDSEQPVIYRDFKASNILLDSEFNAKLSDFGLAKAGPVGDNSHVSTQVLGTQGYAAPEYIATGRLTARCDVYSFGVVLLELLSGRRAVDTTKIGVEENLVDWAKPYLSDRRKLFRIMDIKLQGQYPQRAAYTVALLALQCISEAKVRPRMADVLSALEQLPTLSSPRVVHAAPHSEAQAVASPIPKSPLRKSYPTSPANMPYRGSSLPVETKSSSHVKSPQERQSFETKSAHNVKSSQELQQFEMKSPSLVKSPQEELSSEMRSPRHVKSLPSGKKSPHYL >EOY21986 pep chromosome:Theobroma_cacao_20110822:3:20275528:20282874:-1 gene:TCM_014153 transcript:EOY21986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MMENSEEAVDDQGSGWLEVKKKHRSSSKFSVQSGVGGFSAKNANNLIRGQPSSYEKGGIVHGKCRSQLQTSGRNSDVHSRGGLAKSTAESNEDKKDLCYLDKCVVKQDHEDPMTPSFFVKNSNGSCADNQKILSKDKPHIVHKIKWGDLEDDVLVAHHETNIGAEIKFGDIGDDNVRGCRKHDNTCNSLSCSSCTKIQENTVEASMDVDSHSCQISPLTPKDEIMEETFKEACEISSEALEAQTDNDKVISEDDGYKEIHTEHIKPINDNQVDSSFLSCQDSGPAAILEVPDVMLEVGKPKISEASLVDGGSSTKMVSQGGVLLPPENSGPETLTESIMTDCIQDGRIPGDLSKAQIITAFGEGDAGESKERFRERLWCFLFENLNRAVDELYLLCELECDLEQMKEAILVLEEAASDFKELTTRVEEFENVKKSSSQVVDGVPITLKSDHRRPHALSWEVRRMTTSPHRAEILSSSLEAFKKIQQERAGRRPGDSKKSLGQDRSNRASTSGDNSRKSIMPSDVTSSDKESGIKSRKLGGGSDLTQGNLHGEKRNIESGKSSKLYSVQNGRDPPKKYISSDVASSRPLLKDYSAASGSGKSKREYLGSETEKLLPRKDKTLTENIVEKNSKSVDHIKRQIPSEKDKDRRNTTSWKSMDAWKEKRNWEDILSSPFRVSYRVSHSPNVGKKSAERVRILHEKLMSPEKKRKTALDLKKEAEEKHARALRIRSELENERVQKLQRTSEKLIRVNEWQAVRTMKLREGMHARQQRSESRHEAFLAEVVRRAGDESSKVNEVRFITSLNEENKKLMLRQKLQDSELRRAEKLQVMKTKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQIRREEERKASSAAREARAIEQLRRREERAKAQQEEAELLAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRSVNKESQGRSTPTNNSDDCQANGSVILGNSALATGNGALQHSLKRRIKRIRQRLMALKFEFSEPPAAPENTGIGYRTTVGTARAKIGRWLQELQKLRQARKEGASSIGLITAEMVKFLEGKEPELQASRQAGLLDFIASALPASHTSKPEACQVTIHLLKLLRVVLSTPVNRSYFLAQNLLPPMIPMLSAALENYIKIAASLNLPGSTNSLSCKTLLENFESVSEVLDGFLWTVSAIIGHISSDERQLQMRDGLLELLIAYQVIHRLRDLFALYDRPQVEGSPFPSSILLSIHLLVVLTSSPGNSSINWESLPIEMELGNESQETKIAATPDCGCSFVNSNTGDDRPPLSSLNGSVVAPLSDVPEDRPLDESCRINKNDNLVLIGKDVERKTTDGSVQLNNVSTARIDGTDVSPKNLVEQKEEKLVIIPSEEKLNENISSLKQPLAFLLSTISETGLVSLPSLLTSVLLQANNRLSSDQVSNALPSNFEEVATGVLKVLNNLALLDITFMQRMLARPDLKMEFFHLMSFLLSYCTSKWKAANDQVVFCTSLFLMHAEMARVIQMTCINSKLVDDIHKPYV >EOY21980 pep chromosome:Theobroma_cacao_20110822:3:20273506:20283385:-1 gene:TCM_014153 transcript:EOY21980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MMENSEEAVDDQGSGWLEVKKKHRSSSKFSVQSGVGGFSAKNANNLIRGQPSSYEKGGIVHGKCRSQLQTSGRNSDVHSRGGLAKSTAESNEDKKDLCYLDKCVVKQDHEDPMTPSFFVKNSNGSCADNQKILSKDKPHIVHKIKWGDLEDDVLVAHHETNIGAEIKFGDIGDDNVRGCRKHDNTCNSLSCSSCTKIQENTVEASMDVDSHSCQISPLTPKDEIMEETFKEACEISSEALEAQTDNDKVISEDDGYKEIHTEHIKPINDNQVDSSFLSCQDSGPAAILEVPDVMLEVGKPKISEASLVDGGSSTKMVSQGGVLLPPENSGPETLTESIMTDCIQDGRIPGDLSKAQIITAFGEGDAGESKERFRERLWCFLFENLNRAVDELYLLCELECDLEQMKEAILVLEEAASDFKELTTRVEEFENVKKSSSQVVDGVPITLKSDHRRPHALSWEVRRMTTSPHRAEILSSSLEAFKKIQQERAGRRPGDSKKSLGQDRSNRASTSGDNSRKSIMPSDVTSSDKESGIKSRKLGGGSDLTQGNLHGEKRNIESGKSSKLYSVQNGRDPPKKYISSDVASSRPLLKDYSAASGSGKSKREYLGSETEKLLPRKDKTLTENIVEKNSKSVDHIKRQIPSEKDKDRRNTTSWKSMDAWKEKRNWEDILSSPFRVSYRVSHSPNVGKKSAERVRILHEKLMSPEKKRKTALDLKKEAEEKHARALRIRSELENERVQKLQRTSEKLIRVNEWQAVRTMKLREGMHARQQRSESRHEAFLAEVVRRAGDESSKVNEVRFITSLNEENKKLMLRQKLQDSELRRAEKLQVMKTKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQIRREEERKASSAAREARAIEQLRRREERAKAQQEEAELLAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRSVNKESQGRSTPTNNSDDCQANGSVILGNSALATGNGALQHSLKRRIKRIRQRLMALKFEFSEPPAAPENTGIGYRTTVGTARAKIGRWLQELQKLRQARKEGASSIGLITAEMVKFLEGKEPELQASRQAGLLDFIASALPASHTSKPEACQVTIHLLKLLRVVLSTPVNRSYFLAQNLLPPMIPMLSAALENYIKIAASLNLPGSTNSLSCKTLLENFESVSEVLDGFLWTVSAIIGHISSDERQLQMRDGLLELLIAYQVIHRLRDLFALYDRPQVEGSPFPSSILLSIHLLVVLTSSPGNSSINWESLPIEMELGNESQETKIAATPDCGCSFVNSNTGDDRPPLSSLNGSVVAPLSDVPEDRPLDESCRINKNDNLVLIGKDVERKTTDGSVQLNNVSTARIDGTDVSPKNLVEQKEEKLVIIPSEEKLNENISSLKQPLAFLLSTISETGLVSLPSLLTSVLLQANNRLSSDQVSNALPSNFEEVATGVLKVLNNLALLDITFMQRMLARPDLKMEFFHLMSFLLSYCTSKWKAANDQIGLLLLESMLLLGYFALFHPGNQAVLRWGKSPTILHKVCDLPFVFFSDPDLMPVLAGTLLAACYGCEQNKGVVQQELSMDMLLSLLRSCRNILPTVRSNSNAENLSGEDSSECNQQGDFKRSHGDIPIRSSRNNARSTRVSGGKGDFLAASLTEQSISFQLGSPIWVVKCEQMLCRNLLQFMKILIIITDH >EOY21982 pep chromosome:Theobroma_cacao_20110822:3:20273687:20283168:-1 gene:TCM_014153 transcript:EOY21982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MMENSEEAVDDQGSGWLEVKKKHRSSSKFSVQSGVGGFSAKNANNLIRGQPSSYEKGGIVHGKCRSQLQTSGRNSDVHSRGGLAKSTAESNEDKKDLCYLDKCVVKQDHEDPMTPSFFVKNSNGSCADNQKILSKDKPHIVHKIKWGDLEDDVLVAHHETNIGAEIKFGDIGDDNVRGCRKHDNTCNSLSCSSCTKIQENTVEASMDVDSHSCQISPLTPKDEIMEETFKEACEISSEALEAQTDNDKVISEDDGYKEIHTEHIKPINDNQVDSSFLSCQDSGPAAILEVPDVMLEVGKPKISEASLVDGGSSTKMVSQGGVLLPPENSGPETLTESIMTDCIQDGRIPGDLSKAQIITAFGEGDAGESKERFRERLWCFLFENLNRAVDELYLLCELECDLEQMKEAILVLEEAASDFKELTTRVEEFENVKKSSSQVVDGVPITLKSDHRRPHALSWEVRRMTTSPHRAEILSSSLEAFKKIQQERAGRRPGDSKKSLGQDRSNRASTSGDNSRKSIMPSDVTSSDKESGIKSRKLGGGSDLTQGNLHGEKRNIESGKSSKLYSVQNGRDPPKKYISSDVASSRPLLKDYSAASGSGKSKREYLGSETEKLLPRKDKTLTENIVEKNSKSVDHIKRQIPSEKDKDRRNTTSWKSMDAWKEKRNWEDILSSPFRVSYRVSHSPNVGKKSAERVRILHEKLMSPEKKRKTALDLKKEAEEKHARALRIRSELENERVQKLQRTSEKLIRVNEWQAVRTMKLREGMHARQQRSESRHEAFLAEVVRRAGDESSKVNEVRFITSLNEENKKLMLRQKLQDSELRRAEKLQVMKTKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQIRREEERKASSAAREARAIEQLRRREERAKAQQEEAELLAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRSVNKESQGRSTPTNNSDDCQANGSVILGNSALATGNGALQHSLKRRIKRIRQRLMALKFEFSEPPAAPENTGIGYRTTVGTARAKIGRWLQELQKLRQARKEGASSIGLITAEMVKFLEGKEPELQASRQAGLLDFIASALPASHTSKPEACQVTIHLLKLLRVVLSTPVNRSYFLAQNLLPPMIPMLSAALENYIKIAASLNLPGSTNSLSCKTLLENFESVSEVLDGFLWTVSAIIGHISSDERQLQMRDGLLELLIAYQVIHRLRDLFALYDRPQVEGSPFPSSILLSIHLLVVLTSSPGNSSINWESLPIEMELGNESQETKIAATPDCGCSFVNSNTGDDRPPLSSLNGSVVAPLSDVPEDRPLDESCRINKNDNLVLIGKDVERKTTDGSVQLNNVSTARIDGTDVSPKNLVEQKEEKLVIIPSEEKLNENISSLKQPLAFLLSTISETGLVSLPSLLTSVLLQANNRLSSDQVSNALPSNFEEVATGVLKVLNNLALLDITFMQRMLARPDLKMEFFHLMSFLLSYCTSKWKAANDQIGLLLLESMLLLGYFALFHPGNQAVLRWGKSPTILHKVCDLPFVFFSDPDLMPVLAGTLLAACYGCEQNKGVVQQELSMDMLLSLLRSCRNILPTVRSNSNAENLSGEDSSECNQQGDFKRSHGDIPIRSSRNNARSTRVSGGKGGALGNTIRVGKMRNQRDSRLTKTCEETIIRQNLPVLGTSIMLYCRFPSSFIDRAEHFFSVGITNMGGEV >EOY21981 pep chromosome:Theobroma_cacao_20110822:3:20273687:20283201:-1 gene:TCM_014153 transcript:EOY21981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MMENSEEAVDDQGSGWLEVKKKHRSSSKFSVQSGVGGFSAKNANNLIRGQPSSYEKGGIVHGKCRSQLQTSGRNSDVHSRGGLAKSTAESNEDKKDLCYLDKCVVKQDHEDPMTPSFFVKNSNGSCADNQKILSKDKPHIVHKIKWGDLEDDVLVAHHETNIGAEIKFGDIGDDNVRGCRKHDNTCNSLSCSSCTKIQENTVEASMDVDSHSCQISPLTPKDEIMEETFKEACEISSEALEAQTDNDKVISEDDGYKEIHTEHIKPINDNQVDSSFLSCQDSGPAAILEVPDVMLEVGKPKISEASLVDGGSSTKMVSQGGVLLPPENSGPETLTESIMTDCIQDGRIPGDLSKAQIITAFGEGDAGESKERFRERLWCFLFENLNRAVDELYLLCELECDLEQMKEAILVLEEAASDFKELTTRVEEFENVKKSSSQVVDGVPITLKSDHRRPHALSWEVRRMTTSPHRAEILSSSLEAFKKIQQERAGRRPGDSKKSLGQDRSNRASTSGDNSRKSIMPSDVTSSDKESGIKSRKLGGGSDLTQGNLHGEKRNIESGKSSKLYSVQNGRDPPKKYISSDVASSRPLLKDYSAASGSGKSKREYLGSETEKLLPRKDKTLTENIVEKNSKSVDHIKRQIPSEKDKDRRNTTSWKSMDAWKEKRNWEDILSSPFRVSYRVSHSPNVGKKSAERVRILHEKLMSPEKKRKTALDLKKEAEEKHARALRIRSELENERVQKLQRTSEKLIRVNEWQAVRTMKLREGMHARQQRSESRHEAFLAEVVRRAGDESSKVNEVRFITSLNEENKKLMLRQKLQDSELRRAEKLQVMKTKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQIRREEERKASSAAREARAIEQLRRREERAKAQQEEAELLAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRSVNKESQGRSTPTNNSDDCQANGSVILGNSALATGNGALQHSLKRRIKRIRQRLMALKFEFSEPPAAPENTGIGYRTTVGTARAKIGRWLQELQKLRQARKEGASSIGLITAEMVKFLEGKEPELQASRQAGLLDFIASALPASHTSKPEACQVTIHLLKLLRVVLSTPVNRSYFLAQNLLPPMIPMLSAALENYIKIAASLNLPGSTNSLSCKTLLENFESVSEVLDGFLWTVSAIIGHISSDERQLQMRDGLLELLIAYQVIHRLRDLFALYDRPQVEGSPFPSSILLSIHLLVVLTSSPGNSSINWESLPIEMELGNESQETKIAATPDCGCSFVNSNTGDDRPPLSSLNGSVVAPLSDVPEDRPLDESCRINKNDNLVLIGKDVERKTTDGSVQLNNVSTARIDGTDVSPKNLVEQKEEKLVIIPSEEKLNENISSLKQPLAFLLSTISETGLVSLPSLLTSVLLQANNRLSSDQVSNALPSNFEEVATGVLKVLNNLALLDITFMQRMLARPDLKMEFFHLMSFLLSYCTSKWKAANDQIGLLLLESMLLLGYFALFHPGNQAVLRWGKSPTILHKQMLCRNLLQFMKILIIITDH >EOY21984 pep chromosome:Theobroma_cacao_20110822:3:20275010:20282874:-1 gene:TCM_014153 transcript:EOY21984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MMENSEEAVDDQGSGWLEVKKKHRSSSKFSVQSGVGGFSAKNANNLIRGQPSSYEKGGIVHGKCRSQLQTSGRNSDVHSRGGLAKSTAESNEDKKDLCYLDKCVVKQDHEDPMTPSFFVKNSNGSCADNQKILSKDKPHIVHKIKWGDLEDDVLVAHHETNIGAEIKFGDIGDDNVRGCRKHDNTCNSLSCSSCTKIQENTVEASMDVDSHSCQISPLTPKDEIMEETFKEACEISSEALEAQTDNDKVISEDDGYKEIHTEHIKPINDNQVDSSFLSCQDSGPAAILEVPDVMLEVGKPKISEASLVDGGSSTKMVSQGGVLLPPENSGPETLTESIMTDCIQDGRIPGDLSKAQIITAFGEGDAGESKERFRERLWCFLFENLNRAVDELYLLCELECDLEQMKEAILVLEEAASDFKELTTRVEEFENVKKSSSQVVDGVPITLKSDHRRPHALSWEVRRMTTSPHRAEILSSSLEAFKKIQQERAGRRPGDSKKSLGQDRSNRASTSGDNSRKSIMPSDVTSSDKESGIKSRKLGGGSDLTQGNLHGEKRNIESGKSSKLYSVQNGRDPPKKYISSDVASSRPLLKDYSAASGSGKSKREYLGSETEKLLPRKDKTLTENIVEKNSKSVDHIKRQIPSEKDKDRRNTTSWKSMDAWKEKRNWEDILSSPFRVSYRVSHSPNVGKKSAERVRILHEKLMSPEKKRKTALDLKKEAEEKHARALRIRSELENERVQKLQRTSEKLIRVNEWQAVRTMKLREGMHARQQRSESRHEAFLAEVVRRAGDESSKVNEVRFITSLNEENKKLMLRQKLQDSELRRAEKLQVMKTKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQIRREEERKASSAAREARAIEQLRRREERAKAQQEEAELLAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRSVNKESQGRSTPTNNSDDCQANGSVILGNSALATGNGALQHSLKRRIKRIRQRLMALKFEFSEPPAAPENTGIGYRTTVGTARAKIGRWLQELQKLRQARKEGASSIGLITAEMVKFLEGKEPELQASRQAGLLDFIASALPASHTSKPEACQVTIHLLKLLRVVLSTPVNRSYFLAQNLLPPMIPMLSAALENYIKIAASLNLPGSTNSLSCKTLLENFESVSEVLDGFLWTVSAIIGHISSDERQLQMRDGLLELLIAYQVIHRLRDLFALYDRPQVEGSPFPSSILLSIHLLVVLTSSPGNSSINWESLPIEMELGNESQETKIAATPDCGCSFVNSNTGDDRPPLSSLNGSVVAPLSDVPEDRPLDESCRINKNDNLVLIGKDVERKTTDGSVQLNNVSTARIDGTDVSPKNLVEQKEEKLVIIPSEEKLNENISSLKQPLAFLLSTISETGLVSLPSLLTSVLLQANNRLSSDQVSNALPSNFEEVATGVLKVLNNLALLDITFMQRMLARPDLKMEFFHLMSFLLSYCTSKWKAANDQIGLLLLESMLLLGYFALFHPGNQAVLRWGKSPTILHKVSESYIVLISHFFRCYLRKLTVELEGHRCVICLSCSSVTQI >EOY21983 pep chromosome:Theobroma_cacao_20110822:3:20273687:20283201:-1 gene:TCM_014153 transcript:EOY21983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MMENSEEAVDDQGSGWLEVKKKHRSSSKFSVQSGVGGFSAKNANNLIRGQPSSYEKGGIVHGKCRSQLQTSGRNSDVHSRGGLAKSTAESNEDKKDLCYLDKCVVKQDHEDPMTPSFFVKNSNGSCADNQKILSKDKPHIVHKIKWGDLEDDVLVAHHETNIGAEIKFGDIGDDNVRGCRKHDNTCNSLSCSSCTKIQENTVEASMDVDSHSCQISPLTPKDEIMEETFKEACEISSEALEAQTDNDKVISEDDGYKEIHTEHIKPINDNQVDSSFLSCQDSGPAAILEVPDVMLEVGKPKISEASLVDGGSSTKMVSQGGVLLPPENSGPETLTESIMTDCIQDGRIPGDLSKAQIITAFGEGDAGESKERFRERLWCFLFENLNRAVDELYLLCELECDLEQMKEAILVLEEAASDFKELTTRVEEFENVKKSSSQVVDGVPITLKSDHRRPHALSWEVRRMTTSPHRAEILSSSLEAFKKIQQERAGRRPGDSKKSLGQDRSNRASTSGDNSRKSIMPSDVTSSDKESGIKSRKLGGGSDLTQGNLHGEKRNIESGKSSKLYSVQNGRDPPKKYISSDVASSRPLLKDYSAASGSGKSKREYLGSETEKLLPRKDKTLTENIVEKNSKSVDHIKRQIPSEKDKDRRNTTSWKSMDAWKEKRNWEDILSSPFRVSYRVSHSPNVGKKSAERVRILHEKLMSPEKKRKTALDLKKEAEEKHARALRIRSELENERVQKLQRTSEKLIRVNEWQAVRTMKLREGMHARQQRSESRHEAFLAEVVRRAGDESSKVNEVRFITSLNEENKKLMLRQKLQDSELRRAEKLQVMKTKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQIRREEERKASSAAREARAIEQLRRREERAKAQQEEAELLAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRSVNKESQGRSTPTNNSDDCQANGSVILGNSALATGNGALQHSLKRRIKRIRQRLMALKFEFSEPPAAPENTGIGYRTTVGTARAKIGRWLQELQKLRQARKEGASSIGLITAEMVKFLEGKEPELQASRQAGLLDFIASALPASHTSKPEACQVTIHLLKLLRVVLSTPVNRSYFLAQNLLPPMIPMLSAALENYIKIAASLNLPGSTNSLSCKTLLENFESVSEVLDGFLWTVSAIIGHISSDERQLQMRDGLLELLIAYQVIHRLRDLFALYDRPQVEGSPFPSSILLSIHLLVVLTSSPGNSSINWESLPIEMELGNESQETKIAATPDCGCSFVNSNTGDDRPPLSSLNGSVVAPLSDVPEDRPLDESCRINKNDNLVLIGKDVERKTTDGSVQLNNVSTARIDGTDVSPKNLVEQKEEKLVIIPSEEKLNENISSLKQPLAFLLSTISETGLVSLPSLLTSVLLQANNRLSSDQVSNALPSNFEEVATGVLKVLNNLALLDITFMQRMLARPDLKMEFFHLMSFLLSYCTSKWKAANDQVVFCTSLFLMHAEMARVIQMTCINSKLQMLCRNLLQFMKILIIITDH >EOY21987 pep chromosome:Theobroma_cacao_20110822:3:20273687:20283168:-1 gene:TCM_014153 transcript:EOY21987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MMENSEEAVDDQGSGWLEVKKKHRSSSKFSVQSGVGGFSAKNANNLIRGQPSSYEKGGIVHGKCRSQLQTSGRNSDVHSRGGLAKSTAESNEDKKDLCYLDKCVVKQDHEDPMTPSFFVKNSNGSCADNQKILSKDKPHIVHKIKWGDLEDDVLVAHHETNIGAEIKFGDIGDDNVRGCRKHDNTCNSLSCSSCTKIQENTVEASMDVDSHSCQISPLTPKDEIMEETFKEACEISSEALEAQTDNDKVISEDDGYKEIHTEHIKPINDNQVDSSFLSCQDSGPAAILEVPDVMLEVGKPKISEASLVDGGSSTKMVSQGGVLLPPENSGPETLTESIMTDCIQDGRIPGDLSKAQIITAFGEGDAGESKERFRERLWCFLFENLNRAVDELYLLCELECDLEQMKEAILVLEEAASDFKELTTRVEEFENVKKSSSQVVDGVPITLKSDHRRPHALSWEVRRMTTSPHRAEILSSSLEAFKKIQQERAGRRPGDSKKSLGQDRSNRASTSGDNSRKSIMPSDVTSSDKESGIKSRKLGGGSDLTQGNLHGEKRNIESGKSSKLYSVQNGRDPPKKYISSDVASSRPLLKDYSAASGSGKSKREYLGSETEKLLPRKDKTLTENIVEKNSKSVDHIKRQIPSEKDKDRRNTTSWKSMDAWKEKRNWEDILSSPFRVSYRVSHSPNVGKKSAERVRILHEKLMSPEKKRKTALDLKKEAEEKHARALRIRSELENERVQKLQRTSEKLIRVNEWQAVRTMKLREGMHARQQRSESRHEAFLAEVVRRAGDESSKVNEVRFITSLNEENKKLMLRQKLQDSELRRAEKLQVMKTKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQIRREEERKASSAAREARAIEQLRRREERAKAQQEEAELLAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRSVNKESQGRSTPTNNSDDCQANGSVILGNSALATGNGALQHSLKRRIKRIRQRLMALKFEFSEPPAAPENTGIGYRTTVGTARAKIGRWLQELQKLRQARKEGASSIGLITAEMVKFLEGKEPELQASRQAGLLDFIASALPASHTSKPEACQVTIHLLKLLRVVLSTPVNRSYFLAQNLLPPMIPMLSAALENYIKIAASLNLPGSTNSLSCKTLLENFESVSEVLDGFLWTVSAIIGHISSDERQLQMRDGLLELLIAYQVIHRLRDLFALYDRPQVEGSPFPSSILLSIHLLVVLTSSPGNSSINWESLPIEMELGNESQETKIAATPDCGCSFVNSNTGDDRPPLSSLNGSVVAPLSDVPEDRPLDESCRINKNDNLVLIGKDVERKTTDGSVQLNNVSTARIDGTDVSPKNLVEQKEEKLVIIPSEEKLNENISSLKQPLAFLLSTISETGLVSLPSLLTSVLLQANNRLSSDQVSNALPSNFEEVATGVLKVLNNLALLDITFMQRMLADALQKSATVYEDFDHNH >EOY21985 pep chromosome:Theobroma_cacao_20110822:3:20275000:20283168:-1 gene:TCM_014153 transcript:EOY21985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MMENSEEAVDDQGSGWLEVKKKHRSSSKFSVQSGVGGFSAKNANNLIRGQPSSYEKGGIVHGKCRSQLQTSGRNSDVHSRGGLAKSTAESNEDKKDLCYLDKCVVKQDHEDPMTPSFFVKNSNGSCADNQKILSKDKPHIVHKIKWGDLEDDVLVAHHETNIGAEIKFGDIGDDNVRGCRKHDNTCNSLSCSSCTKIQENTVEASMDVDSHSCQISPLTPKDEIMEETFKEACEISSEALEAQTDNDKVISEDDGYKEIHTEHIKPINDNQVDSSFLSCQDSGPAAILEVPDVMLEVGKPKISEASLVDGGSSTKMVSQGGVLLPPENSGPETLTESIMTDCIQDGRIPGDLSKAQIITAFGEGDAGESKERFRERLWCFLFENLNRAVDELYLLCELECDLEQMKEAILVLEEAASDFKELTTRVEEFENVKKSSSQVVDGVPITLKSDHRRPHALSWEVRRMTTSPHRAEILSSSLEAFKKIQQERAGRRPGDSKKSLGQDRSNRASTSGDNSRKSIMPSDVTSSDKESGIKSRKLGGGSDLTQGNLHGEKRNIESGKSSKLYSVQNGRDPPKKYISSDVASSRPLLKDYSAASGSGKSKREYLGSETEKLLPRKDKTLTENIVEKNSKSVDHIKRQIPSEKDKDRRNTTSWKSMDAWKEKRNWEDILSSPFRVSYRVSHSPNVGKKSAERVRILHEKLMSPEKKRKTALDLKKEAEEKHARALRIRSELENERVQKLQRTSEKLIRVNEWQAVRTMKLREGMHARQQRSESRHEAFLAEVVRRAGDESSKVNEVRFITSLNEENKKLMLRQKLQDSELRRAEKLQVMKTKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQIRREEERKASSAAREARAIEQLRRREERAKAQQEEAELLAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRSVNKESQGRSTPTNNSDDCQANGSVILGNSALATGNGALQHSLKRRIKRIRQRLMALKFEFSEPPAAPENTGIGYRTTVGTARAKIGRWLQELQKLRQARKEGASSIGLITAEMVKFLEGKEPELQASRQAGLLDFIASALPASHTSKPEACQVTIHLLKLLRVVLSTPVNRSYFLAQNLLPPMIPMLSAALENYIKIAASLNLPGSTNSLSCKTLLENFESVSEVLDGFLWTVSAIIGHISSDERQLQMRDGLLELLIAYQVIHRLRDLFALYDRPQVEGSPFPSSILLSIHLLVVLTSSPGNSSINWESLPIEMELGNESQETKIAATPDCGCSFVNSNTGDDRPPLSSLNGSVVAPLSDVPEDRPLDESCRINKNDNLVLIGKDVERKTTDGSVQLNNVSTARIDGTDVSPKNLVEQKEEKLVIIPSEEKLNENISSLKQPLAFLLSTISETGLVSLPSLLTSVLLQANNRLSSDQVSNALPSNFEEVATGVLKVLNNLALLDITFMQRMLARPDLKMEFFHLMSFLLSYCTSKWKAANDQVVFCTSLFLMHAEMARVIQMTFLSQIGLLLLE >EOY20794 pep chromosome:Theobroma_cacao_20110822:3:1279769:1284079:-1 gene:TCM_012140 transcript:EOY20794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAKGVDYENVSTGMLNVLHGREHFVFNLSILLQWSPYSTEAELLKPKDRDDFINTLLYKKGHRRLELQISSIETDYTSSTTPSVGNEIKRTTIKIIITAQPKESPSSYTLQSKNLYLHFDEFVSHGTKIIYNLWLNNDGDSELDFDPDPKVQISFCILFVHFGRLLAVRLLRSY >EOY21134 pep chromosome:Theobroma_cacao_20110822:3:3300560:3301218:1 gene:TCM_012491 transcript:EOY21134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRYRRRKKYQRLKRVISAAHEDKESEATSMLEEYRRRGWKSKVMVPKNIASPVEPLRKWRDSYEEMMLCFAGHFTQLSNGNVYLFKRIPKANSHRLGNLDG >EOY20941 pep chromosome:Theobroma_cacao_20110822:3:1883388:1892502:-1 gene:TCM_012263 transcript:EOY20941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 15 isoform 1 MFSGAKNNQGFKRKHLGSDENTTACCHDEVSDDKGDIGTSGRDTSTNINDDTQPDGVSKRKRLPKELTFQDMYNNQDAFDDDDEDDSDWEPVQKHLEILKWFCTNCTMVNLDDVVHCDICGEHKESGILKHGFYASPCSPELDLIQVESEARERDKDLRFEASASNCSTAVGFDERMLLHSEVEMKSHPHPERPDRLRAIAASLAVAGIFPGKCYPIPAREITQEELRRVHSLEHIETVELTSQILSSYFTPDTYANEHSAYAARLAAGLCANLASAIFSGRVRNGFALVRPPGHHAGVTQAMGFCLHNNAAVAALAAQAAGAKKVLIVDWDVHHGNGTQEIFEQNKSVLYISLHRHEGGKFYPGTGAAFEVGSKGAEGYCVNIPWSRGGVGDNDYIFAFQHVVLPIANCSFQVFS >EOY20943 pep chromosome:Theobroma_cacao_20110822:3:1883388:1892063:-1 gene:TCM_012263 transcript:EOY20943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 15 isoform 1 QVSCVTNGGAKNNQGFKRKHLGSDENTTACCHDEVSDDKGDIGTSGRDTSTNINDDTQPDGVSKRKRLPKELTFQDMYNNQDAFDDDDEDDSDWEPVQKHLEILKWFCTNCTMVNLDDVVHCDICGEHKESGILKHGFYASPCSPELDLIQVESEARERDKDLRFEASASNCSTAVGFDERMLLHSEVEMKSHPHPERPDRLRAIAASLAVAGIFPGKCYPIPAREITQEELRRVHSLEHIETVELTSQILSSYFTPDTYANEHSAYAARLAAGLCANLASAIFSGRVRNGFALVRPPGHHAGVTQAMGFCLHNNAAVAALAAQAAGAKKVLIVDWDVHHGNGTQEIFEQNKSVLYISLHRHEGGKFYPGTGAAFEVGSKGAEGYCVNIPWSRGGVGDNDYIFAFQHVVLPIANCSFQVFS >EOY20940 pep chromosome:Theobroma_cacao_20110822:3:1883146:1893689:-1 gene:TCM_012263 transcript:EOY20940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 15 isoform 1 MVSETVQVSCVTNGGAKNNQGFKRKHLGSDENTTACCHDEVSDDKGDIGTSGRDTSTNINDDTQPDGVSKRKRLPKELTFQDMYNNQDAFDDDDEDDSDWEPVQKHLEILKWFCTNCTMVNLDDVVHCDICGEHKESGILKHGFYASPCSPELDLIQVESEARERDKDLRFEASASNCSTAVGFDERMLLHSEVEMKSHPHPERPDRLRAIAASLAVAGIFPGKCYPIPAREITQEELRRVHSLEHIETVELTSQILSSYFTPDTYANEHSAYAARLAAGLCANLASAIFSGRVRNGFALVRPPGHHAGVTQAMGFCLHNNAAVAALAAQAAGAKKVLIVDWDVHHGNGTQEIFEQNKSVLYISLHRHEGGKFYPGTGAAFEVGSKGAEGYCVNIPWSRGGVGDNDYIFAFQHVVLPIASKFSPDFTIISAGFDAARGDPLGCCDVTPAGYAQMTHMLSALSGGRLLVILEGGYNLRSISSSATAVIKVLLGESSGYEPENIVPSKSGLHTVLEVLKIQMKYWPPLSSSYEKLQSQWGMYSMENKKKQVKKRRLFEPPLWWRWGRKQLLYHILTGHFHLK >EOY20942 pep chromosome:Theobroma_cacao_20110822:3:1883388:1892063:-1 gene:TCM_012263 transcript:EOY20942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 15 isoform 1 QVSCVTNGGAKNNQGFKRKHLGSDENTTACCHDEVSDDKGDIGTSGRDTSTNINDDTQPDGVSKRKRLPKELTFQDMYNNQDAFDDDDEDDSDWEPVQKHLEILKWFCTNCTMVNLDDVVHCDICGEHKESGILKHGFYASPCSPELDLIQVESEARERDKDLRFEASASNCSTAVGFDERMLLHSEVEMKSHPHPERPDRLRAIAASLAVAGIFPGKCYPIPAREITQEELRRVHSLEHIETVELTSQILSSYFTPDTYANEHSAYAARLAAGLCANLASAIFSGRVRNGFALVRPPGHHAGVTQAMGFCLHNNAAVAALAAQAAGAKKVLIVDWDVHHGNGTQEIFEQNKSVLYISLHRHEGGKFYPGTGAAFEVGSKGAEGYCVNIPWSRGGVGDNDYIFAFQHVVLPIDISRI >EOY22291 pep chromosome:Theobroma_cacao_20110822:3:22853580:22858847:-1 gene:TCM_014509 transcript:EOY22291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-LTR retrolelement reverse transcriptase-like protein MGVFLLLILKILVNRLRPILTKLIRNTQSSFILGRQASDNIIVVQEAIHTMRIMKRKKGALVIKIDLEKAYDRVKWYFLQEVLIEIGLPSKWMSLIMHIVQTPTFSILWNDGIMLLGTTTQTQGQVMMLVIQKFCSASRQKLSLEKSKMLVSSNVHSSKAKALSHVACISLTKDFVAWLMSRNLGPSSVCSRCNNDIKNLIHALRDCPLSRDTWLAIKPNLTSGDFFGLDLQTWIQSNMTSNVLHDALPWSGIFIHTLWMLWHWRNLSIFETEFQWPANASQKVSLKAKEA >EOY24153 pep chromosome:Theobroma_cacao_20110822:3:29869082:29876361:-1 gene:TCM_015830 transcript:EOY24153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFHVDLRYVVPPFVNAFLLLLQTNQDKPWNPIPIVVPVLDSPYLILKLTFLLFALFLLMSFFYVSCELPITFRPLELRTHGDRGLAGWQREREREKGGLVNNESFRGVGDDKPSVICPSPPRNDDRQASIDSPSPHRDEQDVDVFGEDYNNNKSNNHDDSHESSSFHSSSSSSSASSSSNGSDGGDTISASGSASSGGEEVGNVNTNNYDYNNNSEKVIAGF >EOY21014 pep chromosome:Theobroma_cacao_20110822:3:2288025:2289928:1 gene:TCM_012330 transcript:EOY21014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNSDKENMEPSLNLPKKLRIVYNDPDVTDSSSDEEEIKLKNKILGTKRVVKEISHSAVPCEFTKEDDVSESKRARKFSSMSKGVRRRPWGKFASEIRDPFRKKRLWLGTYTTEEEAAAVYQTKKREFEVMMTAEQENNNSFTVKSEDTNYSQLSPSSVLDDVSVNPVMKEHVVKKVVKEYKIFQEHKTVEQSASIKDVSADLWKDEASVMDLWELPSDSWEETFKPVSAKDLWKDEASVANLWEPPSDSWKKTFEPASVKDLWKDEAFVMNLCEPPSETFEPASVKDLWKDENSVKDLWEPPSASESWNELFESGAVENYMNLCSSVLESYMNFWLNDNAANYQQPLVENAKDKFINPPDIALDNKNTAWLDEIVLQA >EOY24802 pep chromosome:Theobroma_cacao_20110822:3:32278021:32285190:1 gene:TCM_016301 transcript:EOY24802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xeroderma pigmentosum complementation group B 1 isoform 1 MGHGEKGRPTKKLKFAAKDDHRSSTVEDEYSFYPDEGDDASRDGENEGKKRDFSKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIISVLNKLSKTKLPKEMIDFIHASTANYGKVKLVLKKNRYFIESPFPEVLKKLLQDDVIARARIASESAHGSDGFTISKAAGEIGTGHDGLLNEAELAAATEEKETHAFEIDPAQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAASRIKKSCLCLATNAVSVDQWAFQFKLWSTIRDDQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISLTKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHERERGDKIIVFADNLFALTEYAMKLRKPMIYGATSHLERTKILQAFKTSRDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKLEDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDAGADLSYYHLDEQLALLGKVLTAGDDAVGLEQLEEDADDIALHKARRSTGSMSAMSGANGMVYMEYSTGKHKYPGQGQIKSKPKDPSKRHYLFKRRYG >EOY24805 pep chromosome:Theobroma_cacao_20110822:3:32278021:32283811:1 gene:TCM_016301 transcript:EOY24805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xeroderma pigmentosum complementation group B 1 isoform 1 MGHGEKGRPTKKLKFAAKDDHRSSTVEDEYSFYPDEGDDASRDGENEGKKRDFSKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIISVLNKLSKTKLPKEMIDFIHASTANYGKVKLVLKKNRYFIESPFPEVLKKLLQDDVIARARIASESAHGSDGFTISKAAGEIGTGHDGLLNEAELAAATEVSAASRIKKSCLCLATNAVSVDQWAFQFKLWSTIRDDQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISLTKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHERERGDKIIVFADNLFALTEYAMKLRKPMIYGATSHLERTKILQAFKTSRDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKLEDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDAGADLSYYHLDEQLALLGKVLTAGDDAVGLEQLEEDADDIALHKARRSTGSMSAMSG >EOY24803 pep chromosome:Theobroma_cacao_20110822:3:32278348:32284830:1 gene:TCM_016301 transcript:EOY24803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xeroderma pigmentosum complementation group B 1 isoform 1 RSLNLLPRSSKSSIFLYKIFGIYFFFPTKAIIVYLFILQDDHRSSTVEDEYSFYPDEGDDASRDGENEGKKRDFSKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIISVLNKLSKTKLPKEMIDFIHASTANYGKVKLVLKKNRYFIESPFPEVLKKLLQDDVIARARIASESAHGSDGFTISKAAGEIGTGHDGLLNEAELAAATEEKETHAFEIDPAQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAASRIKKSCLCLATNAVSVDQWAFQFKLWSTIRDDQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISLTKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHERERGDKIIVFADNLFALTEYAMKLRKPMIYGATSHLERTKILQAFKTSRDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKVSLTVSILPLKITSFIFLLAGYVGNESVHQCNMHKFEVFIWVNREMYYSTKRQQFLIDQGYSFKVITSLPPPDAGADLSYYHLDEQLALLGKVLTAGDDAVGLEQLEEDADDIALHKARRSTGSMSAMSGANGMVYMEYSTGKHKYPGQGQIKSKPKDPSKRHYLFKRRYG >EOY24804 pep chromosome:Theobroma_cacao_20110822:3:32278348:32284830:1 gene:TCM_016301 transcript:EOY24804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xeroderma pigmentosum complementation group B 1 isoform 1 RSLNLLPRSSKSSIFLYKIFGIYFFFPTKAIIVYLFILQDDHRSSTVEDEYSFYPDEGDDASRDGENEGKKRDFSKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIISVLNKLSKTKLPKEMIDFIHASTANYGKVKLVLKKNRYFIESPFPEVLKKLLQDDVIARARIASESAHGSDGFTISKAAGEIGTGHDGLLNEAELAAATEEKETHAFEIDPAQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAASRIKKSCLCLATNAVSVDQWAFQFKLWSTIRDDQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISLTKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHERERGDKIIVFADNLFALTEYAMKLRKPMIYGATSHLERTKILQAFKTSRDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKLEDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDAGADLSYYHLDEQLALLGKVLILPHFYTFKIFQAIVTDRFYASGFDRW >EOY24663 pep chromosome:Theobroma_cacao_20110822:3:31845292:31846336:1 gene:TCM_016206 transcript:EOY24663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Osmotin 34 MKFKTVSIFSFSFIALYFTVATAAIINIRNNCPYTVWAAAVPGGGRQLDRGGVWDLNVNPGTTGARVWARTKCQFDGAGRGRCETGDCGGLLQCQAYGAPPNTLAEYALNQFNNKDFFDISLVDGFNIPMDFSPTSGGCTRGIRCTADIIGQCPNQLKAPGGCNNPCTVFRTDQYCCNSGNCRPTDFSRFFKTRCPDAYSYPKDDQTSTFTCPGGTNYRVVFCP >EOY23676 pep chromosome:Theobroma_cacao_20110822:3:28195983:28203314:-1 gene:TCM_015491 transcript:EOY23676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase (CDPK) family protein MGHCCSKNVSVVNNDANSAVNYSQPRPVPASTTPSVETNSYAVSPFASPLPAGVAPSPSPARTPGRKFRWPLPPPSPAKPIMAAIMRRRGSNKPAPVEGTIPEDGEGVVLDKNFGYGKNLGAKFELGKEIGRGHFGHTCWAKGKKGELKGQSVAVKIISKAKMTTAISIEDVRREVKILKALSGHKHMIKFHDVFEDANNVYIVMELCEGGELLDRILSRGGRYTEGDAKNIIVQILSVVAFCHLQGVVHRDLKPENFLFTTRDEDAPMKIIDFGLSDFIRPDQRHNDIVGSAYYVAPEVLHRSYSVEADMWSIGVITYILLCGSRPFWARTESGIFRSVIRADPNFNDSPWPSVSPEAKDFVKRLLNKDHRKRMTAAQALTHPWLRDENHAVPLDILIYKLVKSYIRATPFKRAAQKALSKALPEDALVYLRAQFRLLEPRDGCVSLSNFKAALMRNITDAMQESRVLDIINVMEPLYYKKMDFEEFSAAAISTYQLEALEEWEGIASEAFEYFEQEGNKVISVEELALELNLGPSTHSLLNDWIRTSDGKLSFHGYMKFLHGVTVRASNTRRR >EOY21673 pep chromosome:Theobroma_cacao_20110822:3:16362669:16367177:1 gene:TCM_013723 transcript:EOY21673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytics isoform 2 MESVFAIIFLFSNLLLLPYPSFSFPLCTNSRAPLTLNTTLKFCSYNGSSCCNSTEDSQLQRQFQAMNISHPSCASLLQSVLCARCDPFSAKLFTIDSVTRPVPLLCNSTVSTNSSQSNQATNDFCSQVWDTCQNVSILNSPFAPSLQGQAGAPVNSNFTKLTELWQSKIDFCNAFGGASTDASVCYDGKPVTLNETGTPSPPHGLCLEKIGNGSYLNMVAHPDGSNRAFFSNQQGKIWLATIPEVGLGGTLELDESNPFIDLTDEVHFDTAFGMMGIAFHPNFAQNGRFFASFNCDKGKSPGCTGRCSCNSDVNCDPSKLPTDNGAQPCQYQSVIAEYTANGTGSQPSSAKTAKSLEVRRIFTMGLPFTSQHGGQILFGPTDGYLYFMMGDGGGDGDPYNFAQNKKSVLGKIMRLDVDDIPSVCHSFQLTAQYVVPGAAEINRLGLWGNYSIPKDNPFSQDEELLPEIWALGLRNPWRCSFDLERPSYFMCGDVGEDLYEEVDIISKGGNYGWRVYEGPYPFNPTSSPGGNTSINSISPILPVMGYNHSEVNKNVGSASIIGGYFYRSNTDPCMYGRYLYADLYSG >EOY21672 pep chromosome:Theobroma_cacao_20110822:3:16363103:16368345:1 gene:TCM_013723 transcript:EOY21672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytics isoform 2 MESVFAIIFLFSNLLLLPYPSFSFPLCTNSRAPLTLNTTLKFCSYNGSSCCNSTEDSQLQRQFQAMNISHPSCASLLQSVLCARCDPFSAKLFTIDSVTRPVPLLCNSTVSTNSSQSNQATNDFCSQVWDTCQNVSILNSPFAPSLQGQAGAPVNSNFTKLTELWQSKIDFCNAFGGASTDASVCYDGKPVTLNETGTPSPPHGLCLEKIGNGSYLNMVAHPDGSNRAFFSNQQGKIWLATIPEVGLGGTLELDESNPFIDLTDEVHFDTAFGMMGIAFHPNFAQNGRFFASFNCDKGKSPGCTGRCSCNSDVNCDPSKLPTDNGAQPCQYQSVIAEYTANGTGSQPSSAKTAKSLEVRRIFTMGLPFTSQHGGQILFGPTDGYLYFMMGDGGGDGDPYNFAQNKKSVLGKIMRLDVDDIPSAAEINRLGLWGNYSIPKDNPFSQDEELLPEIWALGLRNPWRCSFDLERPSYFMCGDVGEDLYEEVDIISKGGNYGWRVYEGPYPFNPTSSPGGNTSINSISPILPVMGYNHSEVNKNVGSASIIGGYFYRSNTDPCMYGRYLYADLYSGALWAATEDPGNSGNFSTSTIPFGCARKSPIQCSTVPDSALAALGYIYSFGQDNSKDIYLLTSSGVYRVVPPSRCSYTCSKENVTAVESPSPTTSPPSHANQLHPAFLLSALLLLLYNLS >EOY24539 pep chromosome:Theobroma_cacao_20110822:3:31375843:31381564:1 gene:TCM_016115 transcript:EOY24539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle regulated microtubule associated protein, putative isoform 2 MEEEMEMDTEMEIEPVFEVREVDLEYEFDAARFFDFTREESPAEAREAELWLESAPSYPPSPFVAKLVLREESLLENVNTSPKCKEVEDTSTLLENDSGNVMALEFSAMGPNNRGIEGTNRGIFTDIQKVLQKALNQPFQLTAGFTTYNYTSSDKLKDKSKSVKSVIPRSSTLMKPTACQLAKQNRPPQVAASRFQKQQLLNSDRSLGNSSVVESQAAKRQKLEGGLSRKVADVKQQTNLIHKAPKKEGTVDRNSIHTRLKLTIPKEPELETAHRAQRIRPKNGTEQEHVTSVTHRFKARPLNRKILEAPSLPLPKKSIPKLPQFQEFRLKTSERAVQLSSAVPSSFQSNDADKGSEKPGTIFATGNGTREPRRASAMVATGQDVCDIKYNFKARPLNKKIFSHKGDIGVFRNIKRETTVPMEFNFHAEKRVQQTPPVELFSKLSLTSELQPSNGSQIKLPRPTFTSTKGSKENRWTSFQPEHEMRNLTKEKPHVFGGKNIQCGNDGCITEVGNQLSTRSLGIR >EOY24540 pep chromosome:Theobroma_cacao_20110822:3:31376371:31381106:1 gene:TCM_016115 transcript:EOY24540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle regulated microtubule associated protein, putative isoform 2 MEEEMEMDTEMEIEPVFEVREVDLEYEFDAARFFDFTREESPAEAREAELWLESAPSYPPSPFVAKLVLREESLLENVNTSPKCKEVEDTSTLLENDSGNVMALEFSAMGPNNRGIEGTNRGIFTDIQKVLQKALNQPFQLTAGFTTYNYTSSDKLKDKSKSVKSVIPRSSTLMKPTACQLAKQNRPPQVAASRFQKQQLLNSDRSLGNSSVVESQAAKRQKLEGGLSRKVADVKQQTNLIHKAPKKEGTVDRNSIHTRLKLTIPKEPELETAHRAQRIRPKNGTEQEHVTSVTHRFKARPLNRKILEAPSLPLPKKSIPKLPQFQEFRLKTSERAVQLSSAVPSSFQSNDADKGSEKPGTIFATGNGTREPRRASAMVATGQDVCDIKYNFKARPLNKKIFSHKGDIGVFRNIKRETTVPMEFNFHAEKRVQQTPPVELFSKLSLTSELQPSNGSQIKLPRPTFTSTRAQKKIDGLLSNQNMR >EOY21090 pep chromosome:Theobroma_cacao_20110822:3:2897378:2898352:1 gene:TCM_012424 transcript:EOY21090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYYLQKNYLSGVPSVEVPRRVPSEEALRGVPSMETPREFQARKCPGVFQAWKYPGVFQARKLPGVFQAWNRGSAQGSSKRGSA >EOY24506 pep chromosome:Theobroma_cacao_20110822:3:31249595:31252136:1 gene:TCM_016093 transcript:EOY24506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon family protein isoform 2 MGDLFIWLISFFILIALLVLLVYQLMCLADLEFDYINPYDSSSRINKVVLPEYVLQGFLCLFYLLTGHWAMSLLCAPYLYYNVRLYTRKEHLVDVTEIFNLLHWEKKQRLFKLAYLVVLLFFAIFWDDLVRVRRCRRVMGDNGLLNLKYLLL >EOY24507 pep chromosome:Theobroma_cacao_20110822:3:31249945:31251934:1 gene:TCM_016093 transcript:EOY24507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon family protein isoform 2 MGDLFIWLISFFILIALLVLLVYQLMCLADLEFDYINPYDSSSRINKVVLPEYVLQGFLCLFYLLTGHWAMSLLCAPYLYYNVRLYTRKEHLVDVTEIFNLLHWEKKQRLFKLAYLVVLLFFAIFCFSNC >EOY23157 pep chromosome:Theobroma_cacao_20110822:3:26449013:26450917:-1 gene:TCM_015147 transcript:EOY23157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromosome transmission fidelity 8-like protein isoform 1 MQIQVKCSCGAENCPEWAIIELQGVVEVQPSFQDSLQNLTIGQLCRPSSQESYTFTVGYHELTGSKVALKKPMLVLKKIKCMDGDQSDEATSSRVELDVVGVIRHKILFKNRPKALISGGNQKPRKIIDNLIKHGLFYTSGFLSTFDGSFFCFAGNSLDRSLTSPPLPSLCLLFFLTGPQPIVKEKANAAGAAVQKPSI >EOY23158 pep chromosome:Theobroma_cacao_20110822:3:26449298:26450811:-1 gene:TCM_015147 transcript:EOY23158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromosome transmission fidelity 8-like protein isoform 1 MQIQVKCSCGAENCPEWAIIELQGVVEVQPSFQDSLQNLTIGQLCRPSSQESYTFTVGYHELTGSKVALKKPMLVLKKIKCMDGDQSDEATSSRVELDVVGVIRHKILFKNRPKALISGPQPIVKEKANAAGAAVQKPSI >EOY24798 pep chromosome:Theobroma_cacao_20110822:3:32261550:32269812:1 gene:TCM_016299 transcript:EOY24798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myrcene synthase, chloroplastic, putative MSFPLLAPAPSCNFITTHCHSISNKSNVKRSIVVQARKFVATAQGFDQKIDRRSANYHLSIWKDNYIQSLKSECLGKSCYERANKLVGEVRMMLDKEINPLEQLELIDTLQRLGLSYHFENEIKTILDSVSADHIDVAWKKDNLYATAIEFRLLRQHGYKVTQEVFSTFTDEKGNFKASLCEDCKGLLNLYEASYHLVEGENMLEKARDFAAKRLKEYLKQNKDPYLSLLVEHALELPLHWRMPRFEARFFIDVYEGREDRNPILLELAKLDFNMVQAAHQDDLKYASKWWRDLGIGKKLTFARDRSMENFLWTVGEASDPQFGYFRRIETKINTFIATIDDVYDVYGTLDELELFTEAVDRWDTNAMQLLPEYMQICFLALYNFVNEMAFDVLKDKGFDTIPFLTKAWADMCKSFLLEAKWYYSGYTPTLREYIDNAWISVTAPVILSHAYVLTNLKTNECFESFEEYSNIIYCSSIIFRLANDLATSSDELKRGDVPKSIQCYMHETGASEEEARRHIWKLIDATWRRMNEEQIVGSRFPRPFIQIAVNLARTAQFMYQHHDGYGVEDGETKERVLSLFVNPIPLR >EOY21037 pep chromosome:Theobroma_cacao_20110822:3:2450779:2457351:-1 gene:TCM_012355 transcript:EOY21037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADHSVASQLETPLCDGENENENSKHGTDDQHISYIKENSSEIKVEPLNLEPTTFFNPQHKVIKIKFNPFSEIRHTHKSIQIENKHPQLEADIRPKSVMIIKKTYNKRAKEEFERGLDKVAVSKGHFVRVAEGRQTTGISSEKSSQSAALGPQTTGISSEKSSQSAAQGPQTTGGKKRFGLENPK >EOY21836 pep chromosome:Theobroma_cacao_20110822:3:18848311:18851072:1 gene:TCM_013968 transcript:EOY21836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MNNTSHHCLSLLTSCKNLKTLNQIHASLVKTGLNSDPFIAGKLILHCAVTNSDVLDYARRFFLHFPNPDVFMHNTLIRGFSESSTPQNSIFTFIDMRRKSMVPPDSFSFAFVLKAASNYGSLRAGIQLHCQALIHGLDTHLFVGTTLISMYGECGSVCFAKKAFEQMLEPNVVAWNAIVTACFRCGDVKGARKMFDMMPFTNSTSSNVMLAGFAKAGEMELAKKMFWEMKVKDDVSWSTMIVGFAHNASFCEAFGYFRELRRVGLTPNEVSLTGVLSGCAQAGAFEFGKIFHGYIEKSGCNWITAVNNALVDMYARCGHVEMARLVFENMPYKKSVVSWTSMIEGLAMHGYAEEAIQVFHEMEGSGIRPDWITFITILYACSHAGLIEQGCSYFSKMKNVYDIEPKIEHYGCMVDLYGRAGYLQKANDFVCQMPVSPNAIIWRTLLGACSIHGNVELAEQVKERLSELEPNDSGDIVLLSNIYAVAGKWKDVATVRRSMTAQKIKKTPGWSMIEVDRTMYSFVAGEKSKKTTAEAYEKLKEIMLTLRVEGGYVPEVASVFHDVEEEEKEDSVFKHSEKLAVAFGISRLCKGRDIRIVKNLRICRDCHTVMKLISKVYGLKVVVRDRSRFHMFNDGSCSCKDYW >EOY23656 pep chromosome:Theobroma_cacao_20110822:3:28127828:28131375:-1 gene:TCM_015475 transcript:EOY23656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 26A isoform 3 MNFLIGAFKPACNISITFSDGKSRKQENGQTVMVPLFQSQENIAGKISIEPFQGKKIEHNGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGDIYERKTYPFEFSTVEMPYETYNGVNVRLRYVLKVTVSRNYGGSIVEYQDFMVRNYSPAPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTHRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRLQDS >EOY23654 pep chromosome:Theobroma_cacao_20110822:3:28128623:28131357:-1 gene:TCM_015475 transcript:EOY23654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 26A isoform 3 MNFLIGAFKPACNISITFSDGKSRKQVPLKKENGQTVMVPLFQSQENIAGKISIEPFQGKKIEHNGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGDIYERKTYPFEFSTVEMPYETYNGVNVRLRYVLKVTVSRNYGGSIVEYQDFMVRNYSPAPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRADI >EOY23653 pep chromosome:Theobroma_cacao_20110822:3:28127979:28131586:-1 gene:TCM_015475 transcript:EOY23653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 26A isoform 3 MNFLIGAFKPACNISITFSDGKSRKQVPLKKENGQTVMVPLFQSQENIAGKISIEPFQGKKIEHNGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGDIYERKTYPFEFSTVEMPYETYNGVNVRLRYVLKVTVSRNYGGSIVEYQDFMVRNYSPAPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTHRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRLQDS >EOY23655 pep chromosome:Theobroma_cacao_20110822:3:28128531:28131767:-1 gene:TCM_015475 transcript:EOY23655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 26A isoform 3 MNFLIGAFKPACNISITFSDGKSRKQVPLKKENGQTVMVPLFQSQENIAGKISIEPFQGKKIEHNGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGDIYERKTYPFEFSTVEMPYETYNGVNVRLRYVLKVTVSRNYGGSIVEYQDFMVRNYSPAPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRAWCSQSCAWY >EOY21746 pep chromosome:Theobroma_cacao_20110822:3:17891054:17893274:-1 gene:TCM_013855 transcript:EOY21746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDHIVSLIQQKYTKIKRDLILNSTSSETFCKRKNPASQRTIAQDFSCLGTWIMALLQHVDKADVEEIFSIMWALWKSRNVAIIKHERKDPRGVVELGTDMCFQYRQAMGGGRTESIDSCS >EOY24648 pep chromosome:Theobroma_cacao_20110822:3:31791680:31805341:1 gene:TCM_016195 transcript:EOY24648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF810) [Source:Projected from Arabidopsis thaliana (AT4G11670) TAIR;Acc:AT4G11670] MLFSGVEVCPVEDRKKDKSSKFLSRLKSKREKPHLQPQLSERHSELIDTIRAQMQISEAMDGCIRRNMVHLAARRTCGQIDLPQISLELLIGIFRSDFLNEKSYIQWKSRQVNMLEELLYFSAKLPETEHLTIKSCLAKIRDTKEWDVAMSPSQRVEVISFIRQVASKVSSQQGLFGLQNETYYWHAAYHLNIRLYEKLLYVMFDILDEGQLIEEADAIQSLIKLTWSTLGITQKMHNALYGWVLVQQFAGTDEGTLLEHAVFPLQRVVSAEEDDWNEGQYMDGIICLKKCNGSETNLNLVQAIFLSIGTWCDSRLQDYHLYFSEKPVNFRRVMALASAIGMLTSVNGAEIKLTMNGSKSSSGEKIKNYVERSVEAAIGQVAKSILESKVEKTHPLALLANQLRLVAEREMNIFFPVFRHWSPESITISMQRLHQFYGQRLIPFLKGVSSLSEEARSVLPAAFMLDQKLGQLYTSAFEEQTAHHSVRPYLDHYQIEKVSGPIILDWVIGQHAHILEWTGRVLDLEDWEPLSFHQRQAASIIEVFRILEETVDQLFGMNLPLDITHLQALLSIVFHSLDGYLSRVLNQLVEKNHLYPSAPPLTRYTETVIPIIKKRLNEYTVLDDNVLDRLNELTIPKLCIRLNTLQYIQKQVGLLEDGIRNSWALVRPSLNQGRAKEEPVEILESDSLSHHEAVDELFVTTFNIIRDTAKDTGRKICDLIGTRVVFWDLRDAFLFHLYRDNVESARLENFLTDFDTVLDNVCGLIDDSVRDLVVLSVYQASLEGFVWVLLDGGPCRAFSDSDSILMEEDLMMLKEFFIADGEGLPRSLVEQEAKFAERILQMFSLQTETVIQMLMTASEIISMGLDSNKHDHLHLGDAHTLVRVLCHKKDREASKFLKVQYQLPMSSDYDDAPSRDSTSRSPLISDVLKRSTSIHWTKKGQSGLKSMKKKLQGATNEIRNVAR >EOY24649 pep chromosome:Theobroma_cacao_20110822:3:31792530:31805341:1 gene:TCM_016195 transcript:EOY24649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF810) [Source:Projected from Arabidopsis thaliana (AT4G11670) TAIR;Acc:AT4G11670] MIHSKLGDSYFLTSDPDLAGSPPRRVPPTIVSRTTNHASSSSSQLDSSKFKNVEMSGDDYGLKHKAETAVARAPLETSGIPSLGLPPLKTGLSDDDLRESAYELLLASMLFSGVEVCPVEDRKKDKSSKFLSRLKSKREKPHLQPQLSERHSELIDTIRAQMQISEAMDGCIRRNMVHLAARRTCGQIDLPQISLELLIGIFRSDFLNEKSYIQWKSRQVNMLEELLYFSAKLPETEHLTIKSCLAKIRDTKEWDVAMSPSQRVEVISFIRQVASKVSSQQGLFGLQNETYYWHAAYHLNIRLYEKLLYVMFDILDEGQLIEEADAIQSLIKLTWSTLGITQKMHNALYGWVLVQQFAGTDEGTLLEHAVFPLQRVVSAEEDDWNEGQYMDGIICLKKCNGSETNLNLVQAIFLSIGTWCDSRLQDYHLYFSEKPVNFRRVMALASAIGMLTSVNGAEIKLTMNGSKSSSGEKIKNYVERSVEAAIGQVAKSILESKVEKTHPLALLANQLRLVAEREMNIFFPVFRHWSPESITISMQRLHQFYGQRLIPFLKGVSSLSEEARSVLPAAFMLDQKLGQLYTSAFEEQTAHHSVRPYLDHYQIEKVSGPIILDWVIGQHAHILEWTGRVLDLEDWEPLSFHQRQAASIIEVFRILEETVDQLFGMNLPLDITHLQALLSIVFHSLDGYLSRVLNQLVEKNHLYPSAPPLTRYTETVIPIIKKRLNEYTVLDDNVLDRLNELTIPKLCIRLNTLQYIQKQVGLLEDGIRNSWALVRPSLNQGRAKEEPVEILESDSLSHHEAVDELFVTTFNIIRDTAKDTGRKICDLIGTRVVFWDLRDAFLFHLYRDNVESARLENFLTDFDTVLDNVCGLIDDSVRDLVVLSVYQASLEGFVWVLLDGGPCRAFSDSDSILMEEDLMMLKVCVFLL >EOY24647 pep chromosome:Theobroma_cacao_20110822:3:31791539:31805335:1 gene:TCM_016195 transcript:EOY24647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF810) [Source:Projected from Arabidopsis thaliana (AT4G11670) TAIR;Acc:AT4G11670] MEQDSLLQCYRRDRRKLLEFLFSSGLIKEIRTPSGSTPSLSDADFDTISADYILHCIKSGGIVDVSEATKKYYAESTHPIMIHSKLGDSYFLTSDPDLAGSPPRRVPPTIVSRTTNHASSSSSQLDSSKFKNVEMSGDDYGLKHKAETAVARAPLETSGIPSLGLPPLKTGLSDDDLRESAYELLLASMLFSGVEVCPVEDRKKDKSSKFLSRLKSKREKPHLQPQLSERHSELIDTIRAQMQISEAMDGCIRRNMVHLAARRTCGQIDLPQISLELLIGIFRSDFLNEKSYIQWKSRQVNMLEELLYFSAKLPETEHLTIKSCLAKIRDTKEWDVAMSPSQRVEVISFIRQVASKVSSQQGLFGLQNETYYWHAAYHLNIRLYEKLLYVMFDILDEGQLIEEADAIQSLIKLTWSTLGITQKMHNALYGWVLVQQFAGTDEGTLLEHAVFPLQRVVSAEEDDWNEGQYMDGIICLKKCNGSETNLNLVQAIFLSIGTWCDSRLQDYHLYFSEKPVNFRRVMALASAIGMLTSVNGAEIKLTMNGSKSSSGEKIKNYVERSVEAAIGQVAKSILESKVEKTHPLALLANQLRLVAEREMNIFFPVFRHWSPESITISMQRLHQFYGQRLIPFLKGVSSLSEEARSVLPAAFMLDQKLGQLYTSAFEEQTAHHSVRPYLDHYQIEKVSGPIILDWVIGQHAHILEWTGRVLDLEDWEPLSFHQRQAASIIEVFRILEETVDQLFGMNLPLDITHLQALLSIVFHSLDGYLSRVLNQLVEKNHLYPSAPPLTRYTETVIPIIKKRLNEYTVLDDNVLDRLNELTIPKLCIRLNTLQYIQKQVGLLEDGIRNSWALVRPSLNQGRAKEEPVEILESDSLSHHEAVDELFVTTFNIIRDTAKDTGRKICDLIGTRVVFWDLRDAFLFHLYRDNVESARLENFLTDFDTVLDNVCGLIDDSVRDLVVLSVYQASLEGFVWVLLDGGPCRAFSDSDSILMEEDLMMLKEFFIADGEGLPRSLVEQEAKFAERILQMFSLQTETVIQMLMTASEIISMGLDSNKHDHLHLGDAHTLVRVLCHKKDREASKFLKVQYQLPMSSDYDDAPSRDSTSRSPLISDVLKRSTSIHWTKKGQSGLKSMKKKLQGATNEIRNVAR >EOY21656 pep chromosome:Theobroma_cacao_20110822:3:15540690:15541933:1 gene:TCM_013669 transcript:EOY21656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVHKLLFVVTQNLISTTLLFETLVELYPEAPFYHFLPLFYFDELHQYYASKITMAFVMLRPTLSPFLCIPKHHLLDKEGLNNIIFYLFAIFGCLVYVFIVPEVCPCQTRT >EOY21738 pep chromosome:Theobroma_cacao_20110822:3:17757588:17772750:-1 gene:TCM_013845 transcript:EOY21738 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative isoform 1 MDQYYHHQPHNQWGQPPLPPPPQQPSICPVCSIPHLPFCPPYAPYNHQNPNYPPQPPHYARPGFDPYQPPLPPTPPPPPPAVPTHTPPYIDGFSDPRSWHQNPNYGYDYGAAGGGGEVDRSYKRPRVDEFGSGPGSGWTLSDDERRLKLIRDHGGASLSGCNQENIYFQNNINNNNKATPMPPRLTEMYNIEDSLNNDNDYSNNYDYNQQQIQHKDLVPAAVNNWQGYEERIGGYLSQPGGSNMAQPPPPPLPASPPPPLPVEPPMQNHSSSEFKAFSLSPNSSFSLFPIPVSSSVTAHSTYPVVPEPYYQGKPRHASGGFNGEDPQVIHRTVQYVGIPPKPLCSDKPKFVNASELFKMPHRASRPDHIVIILRGLPGSGKSYLAKMLRDLEVQNGGDAPRIHSMDDYFMTEVEKDEETDSKSSSSARSKKAMKKTVMEYCYEPEMEGAYRESMLKAFKRTLEDGIFSFVIVDDRNLRVADFAQFWAIGKRSGYEVYVLEATYKDPVGCAARNVHGFTLDDIQQMAEQWEEAPSLYLHLDIKSLFHGDDLKESGIQEVDMDVEDGDREEGLSGQQEQKPEKTKSLAVGDHVLGGFQDLDSSKNDKRRDAEGDHPVEVKELSRSKWSNDLDEDEIEGPEAIKGNLNAFSGLIQAYKKKGKSVHWSDQGGDTGFSIGAAKKAKMLSLVIGPGAGYNLKSNPLPIEESHTSNSSGTLKKQSSFQERLRAEQDSFKAIFDRRKRIGRLDLDED >EOY21739 pep chromosome:Theobroma_cacao_20110822:3:17761734:17772307:-1 gene:TCM_013845 transcript:EOY21739 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative isoform 1 GFSDPRSWHQNPNYGYDYGAAGGGGEVDRSYKRPRVDEFGSGPGSGWTLSDDERRLKLIRDHGGASLSGCNQENIYFQNNINNNNKATPMPPRLTEMYNIEDSLNNDNDYSNNYDYNQQQIQHKDLVPAAVNNWQGYEERIGGYLSQPGGSNMAQPPPPPLPASPPPPLPVEPPMQNHSSSEFKAFSLSPNSSFSLFPIPVSSSVTAHSTYPVVPEPYYQGKPRHASGGFNGEDPQVIHRTVQYVGIPPKPLCSDKPKFVNASELFKMPHRASRPDHIVIILRGLPGSGKSYLAKMLRDLEVQNGGDAPRIHSMDDYFMTEVEKDEETDSKSSSSARSKKAMKKTVMEYCYEPEMEGAYRESMLKAFKRTLEDGIFSFVIVDDRNLRVADFAQFWAIGKRSGYEVYVLEATYKDPVGCAARNVHGFTLDDIQQMAEQWEEAPSLYLHLDIKSLFHGDDLKESGIQEVDMDVEDGDREEGLSGQQEQKPEKTKSLAVGDHVLGGFQDLDSSKNDKRRDAEGDHPVEVKELSRSKWSNDLDEDEIEGPEAIKGNLNAFSGLIQAYKKKGKSVHWSDQLFFRAVTLDSQ >EOY21740 pep chromosome:Theobroma_cacao_20110822:3:17761734:17772672:-1 gene:TCM_013845 transcript:EOY21740 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative isoform 1 MDQYYHHQPHNQWGQPPLPPPPQQPSICPVCSIPHLPFCPPYAPYNHQNPNYPPQPPHYARPGFDPYQPPLPPTPPPPPPAVPTHTPPYIDGFSDPRSWHQNPNYGYDYGAAGGGGEVDRSYKRPRVDEFGSGPGSGWTLSDDERRLKLIRDHGGASLSGCNQENIYFQNNINNNNKATPMPPRLTEMYNIEDSLNNDNDYSNNYDYNQQQIQHKDLVPAAVNNWQGYEERIGGYLSQPGGSNMAQPPPPPLPASPPPPLPVEPPMQNHSSSEFKAFSLSPNSSFSLFPIPVSSSVTAHSTYPVVPEPYYQGKPRHASGGFNGEDPQVIHRTVQYVGIPPKPLCSDKPKFVNASELFKMPHRASRPDHIVIILRGLPGSGKSYLAKMLRDLEVQNGGDAPRIHSMDDYFMTEVEKDEETDSKSSSSARSKKAMKKTVMEYCYEPEMEGAYRESMLKAFKRTLEDGIFSFVIVDDRNLRVADFAQFWAIGKRSGYEVYVLEATYKDPVGCAARNVHGFTLDDIQQMAEQWEEAPSLYLHLDIKSLFHGDDLKESGIQEVDMDVEDGDREEGLSGQQEQKPEKTKSLAVGDHVLGGFQDLDSSKNDKRRDAEGDHPVEVKELSRSKWSNDLDEDEIEGPEAIKGNLNAFSGLIQAYKKKGKSGGDTGFSIGAAKKAKMLSLVIGPGAGYNLKSNPLPIEESHTSNSSGTLKKQSSFQERLRAEQDSFKAIFDRRKRIGRLDLDED >EOY21184 pep chromosome:Theobroma_cacao_20110822:3:3892093:3899455:1 gene:TCM_012565 transcript:EOY21184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tau class glutathione transferase GSTU26-like protein MACVRSVNNGGQEETAQRMRMCLGIISFIEIRLERTRSARIGGSFLIEGQFLSMQKDLECLLLHNESFATGGASAIQSILISSIASISGCDIYTVQRPHSASPGAARGTLAVQQERQFCSKLIDLQAVIMQGWDSQLYLFCTRIEWALKLKGVKYEYLEEDLRNKSPLLRTHRPVHKKVPFLLHNDPYEKAIACFWAKFADEKCLHGAFDACRAEGNEKEKAIESAAESFAFLEKQLEGKKYFGREQIGYLDLAFGWIPQEVG >EOY23742 pep chromosome:Theobroma_cacao_20110822:3:28426481:28430335:1 gene:TCM_015542 transcript:EOY23742 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family isoform 3 MNKMWRVNSLNNAVRTLRYFQETSFSTSVIRQHSFSSPRLSSAPFAIAVRHLRTGRDPSISYEITPPVNWGIRIVPEKKAYVIERFGKYVKTLPSGIHFLIPFVDRIAYVHSLKEEAIPIPDQSAITKDNVSILIDGVLYVKIVDPKLASYGVEHPIYAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIVEAINVAAKDWGLQCLRYEIRDISPPRGVRAAMEMQAEAERKKRAQVLESEGERQANINIADGKKNAVILASEAARTDQVNRAQGEAEAILARAQATAKGIALVSQSLKESGGVEVRFFHRCHQMRA >EOY23744 pep chromosome:Theobroma_cacao_20110822:3:28426481:28430335:1 gene:TCM_015542 transcript:EOY23744 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family isoform 3 MNKMWRVNSLNNAVRTLRYFQETSFSTSVIRQHSFSSPRLSSAPFAIAVRHLRTGRDPSISYEITPPVNWGIRIVPEKKAYVIERFGKYVKTLPSGIHFLIPFVDRIAYVHSLKEEAIPIPDQSAITKDNIVDPKLASYGVEHPIYAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIVEAINVAAKDWGLQCLRYEIRDISPPRGVRAAMEMQAEAERKKRAQVLESEGERQANINIADGKKNAVILASEAARTDQVNRAQGEAEAILARAQATAKGIALVSQSLKESGGVEAASLRIAEQYVQAFSNIAKEGTTMLLPSSAANPANMIAQALTMYKSLVSNVSTDGSHVNISPELSRRIKDGPSGETEGETPNPRVVDTMATNHASHTGFSLQSSKEKE >EOY23743 pep chromosome:Theobroma_cacao_20110822:3:28426481:28430335:1 gene:TCM_015542 transcript:EOY23743 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family isoform 3 MNKMWRVNSLNNAVRTLRYFQETSFSTSVIRQHSFSSPRLSSAPFAIAVRHLRTGRDPSISYEITPPVNWGIRIVPEKKAYVIERFGKYVKTLPSGIHFLIPFVDRIAYVHSLKEEAIPIPDQSAITKDNIVDPKLASYGVEHPIYAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIVEAINVAAKDWGLQCLRYEIRDISPPRGVRAAMEMQAEAERKKRAQVLESEGERQANINIADGKKNAVILASEAARTDQVNRAQGEAEAILARAQATAKGIALVSQSLKESGGVEVRFFHRCHQMRA >EOY23741 pep chromosome:Theobroma_cacao_20110822:3:28426481:28430335:1 gene:TCM_015542 transcript:EOY23741 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family isoform 3 MNKMWRVNSLNNAVRTLRYFQETSFSTSVIRQHSFSSPRLSSAPFAIAVRHLRTGRDPSISYEITPPVNWGIRIVPEKKAYVIERFGKYVKTLPSGIHFLIPFVDRIAYVHSLKEEAIPIPDQSAITKDNVSILIDGVLYVKIVDPKLASYGVEHPIYAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIVEAINVAAKDWGLQCLRYEIRDISPPRGVRAAMEMQAEAERKKRAQVLESEGERQANINIADGKKNAVILASEAARTDQVNRAQGEAEAILARAQATAKGIALVSQSLKESGGVEAASLRIAEQYVQAFSNIAKEGTTMLLPSSAANPANMIAQALTMYKSLVSNVSTDGSHVNISPELSRRIKDGPSGETEGETPNPRVVDTMATNHASHTGFSLQSSKEKE >EOY24877 pep chromosome:Theobroma_cacao_20110822:3:32551350:32555154:1 gene:TCM_016360 transcript:EOY24877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulan like protein B3, putative isoform 3 MAEHEEKHEETLMEKITEKIHGHDSSSSSSSDDEDNKPSESPIKSNVFRLFGREKPVHQVLGGGKPADIFLWRNKKISAGALGVATVIWVLFELLEYYLLTLVCHLLILALAILFLWSNATTFINKSPPRIPEVQIPKEPVLEFAQALRFEINRAFAVLRDIASGRDLKKFLSVIAGLWVLSIVGSWCNFLTLFYIVFVLLHSVPVLYEKYEDKVDSFSEKAMHEIKKQYAVFDAKVLIWMIHFYVCGVVDLMITITVVDVNAFHVLPK >EOY24879 pep chromosome:Theobroma_cacao_20110822:3:32552831:32554966:1 gene:TCM_016360 transcript:EOY24879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulan like protein B3, putative isoform 3 MAEHEEKHEETLMEKITEKIHGHDSSSSSSSDDEDNKPSESPIKSNVFRLFGREKPVHQVLGGGKPADIFLWRNKKISAGALGVATVIWVLFELLEYYLLTLVCHLLILALAILFLWSNATTFINKSPPRIPEVQIPKEPVLEFAQALRFEINRAFAVLRDIASGRDLKKFLSVIAGLWVLSIVGSWCNFLTLFYIVFVLLHSVPVLYEKYEDKVDSFSEKAMHEIKKQYAVFDAKVLSKIPRGPLNFATHMVAFSWNYKLCDDMLSYFMLISALYI >EOY24878 pep chromosome:Theobroma_cacao_20110822:3:32551757:32555018:1 gene:TCM_016360 transcript:EOY24878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulan like protein B3, putative isoform 3 MAEHEEKHEETLMEKITEKIHGHDSSSSSSSDDEDNKPSESPIKSNVFRLFGREKPVHQVLGGGKPADIFLWRNKKISAGALGVATVIWVLFELLEYYLLTLVCHLLILALAILFLWSNATTFINKSPPRIPEVQIPKEPVLEFAQALRFEINRAFAVLRDIASGRDLKKFLSVIAGLWVLSIVGSWCNFLTLFYIVFVLLHSVPVLYEKYEDKVDSFSEKAMHEIKKQYAVFDAKVLSKIPRGPLNFATHMVAFSWNYKLCDDMLSYFMLISALYIGLKVLSNCSGYQSG >EOY24562 pep chromosome:Theobroma_cacao_20110822:3:31442090:31443435:1 gene:TCM_016133 transcript:EOY24562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MDYVNSMFRSDQMADKFQFFPIPCRHTIQQDELILIPSEEANDLSNWGDYSQRRRKPARAFLVNDVDGKSIDNKKKKIIHRDIERQRRQEMATLYRALRLQLPLEYLKGKRSISDHMHEAVNYIKHLQKKILKLSGRRDELKSSSNSYASRSVPESLQDSSEHSVMVRPCLAGVEVVISTCLRQGLNLSSVLEVILAEGLSVVTCISTKVNESLMHTIVSEVNDGRNIEPSELQQKLTMLATSSSELHLGMLAK >EOY21196 pep chromosome:Theobroma_cacao_20110822:3:3992436:3993324:1 gene:TCM_012579 transcript:EOY21196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTMIFCVFCATQSLSLAIICLLNVKKHGKYGQGGVKCGVWFGYPLEMLEGYAMFGMDANWGVRIKEPGG >EOY24995 pep chromosome:Theobroma_cacao_20110822:3:32909742:32911895:-1 gene:TCM_016447 transcript:EOY24995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MLTRAFTKPKKSFKEYGEHSRSWSISEYDDGIVGLMDDIPLISCENHGLPLTLKEVLRTSVGVMGANNREFTEKVVLSKGRLCALKRFRKVLVGKSEFGRRVERLAQVCRKCEYLVPITAYLYTRRFKLVLCDYYPMGSLADLLEGGRRGQTALIWNERLMIIVYVARAIAFIHAQCPPSEKNMKMNVHGNIKSSNIMINIDLTALLSDYGFVQLADCVEDSDHTEGPGTGYCENLSEKSDIFNFGLVLLDVLGGVREPGFIECIVETKESIKLGKSTFFEFDVQGRERRQALKVLDIALACTNRLAEARPSIEQILLNLGDILNSTK >EOY24064 pep chromosome:Theobroma_cacao_20110822:3:29520367:29522228:-1 gene:TCM_015767 transcript:EOY24064 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein, putative MQIKQIGIGQLHWSTANHAPPQELAPWGDLVGNGLVKAIGVSNYGSKQLVKIYDYLKARGVPLCSAQVQFSLLSMGEEQMEIKDICDSLGIRLISYSHLGLGMLMGKCTPPRFPSGPRYCEDIHLPSIQDVEHVTYTALCEEYPSVAVPNNT >EOY23690 pep chromosome:Theobroma_cacao_20110822:3:28245677:28249843:-1 gene:TCM_015502 transcript:EOY23690 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 11 isoform 5 MAVAENAGAKIGSSGQNLENTVVSSDSNAVSDPDKSKTRTDSVTAAKTTKEANFQHEQPAAAVNSNGDSNTQMQNGFSEKNQHQVGAKTAGFNGFSNLENGGNGEIFKNDMRDLVEILSKLNPMAEEFVPPSLANHPHHHNFNDNHDQNQNQTNPFLENGFGYDTDNFVAGNVNGHTNRRKRNNFSQGKRRLNNRTSMAQREDAIRKTVYVSDIDLQVTEEHLAGLFLSCGPVVDCRICGDPNSVLRFAFVEFYSEEDARVALNLSGTMLGFYPVRVLPSKTAIAPVNPTFLPRSEDEREMCTRTIYCTNIDKKVTQADVKLFFESVCGEVHRLRLLGDYHHSTRIAFVEFAMAESAIAALNCSGAVLGSLPIRVSPSKTPVRPRALRPAMH >EOY23694 pep chromosome:Theobroma_cacao_20110822:3:28245646:28249709:-1 gene:TCM_015502 transcript:EOY23694 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 11 isoform 5 MAVAENAGAKIGSSGQNLENTVVSSDSNAVSDPDKSKTRTDSVTAAKTTKEANFQHEQPAAAVNSNGDSNTQMQNGFSEKNQHQVGAKTAGFNGFSNLENGGNGEIFKNDMRDLVEILSKLNPMAEEFVPPSLANHPHHHNFNDNHDQNQNQTNPFLENGFGYDTDNFVAGNVNGHTNRRKRNNFSQGKRRLNNRTSMAQREDAIRKTVYVSDIDLQVTEEHLAGLFLSCGPVVDCRICGDPNSVLRFAFVEFYSEEDARVALNLSGTMLGFYPSEDEREMCTRTIYCTNIDKKVVTLSTIFLKCQLLKLMSSSSLNQFVGRFIA >EOY23693 pep chromosome:Theobroma_cacao_20110822:3:28245680:28249837:-1 gene:TCM_015502 transcript:EOY23693 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 11 isoform 5 MAVAENAGAKIGSSGQNLENTVVSSDSNAVSDPDKSKTRTDSVTAAKTTKEANFQHEQPAAAVNSNGDSNTQMQNGFSEKNQHQVGAKTAGFNGFSNLENGGNGEIFKNDMRDLVEILSKLNPMAEEFVPPSLANHPHHHNFNDNHDQNQNQTNPFLENGFGYDTDNFVAGNVNGHTNRRKRNNFSQGKRRLNNRTSMAQREDAIRKTVYVSDIDLQVTEEHLAGLFLSCGPVVDCRICGDPNSVLRFAFVEFYSEEDARVALNLSGTMLGFYPVRVLPSKTAIAPVNPTFLPRSEDEREMCTRTIYCTNIDKKVTQADVKLFFESVCGEVHRLRLLGDYHHSTRIAFVEFAMAESAIAALNCSGAVLGSLPIRVSPSKTPVRPRALRPAMH >EOY23691 pep chromosome:Theobroma_cacao_20110822:3:28245622:28249959:-1 gene:TCM_015502 transcript:EOY23691 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 11 isoform 5 MAVAENAGAKIGSSGQNLENTVVSSDSNAVSDPDKSKTRTDSVTAAKTTKEANFQHEQPAAAVNSNGDSNTQMQNGFSEKNQHQVGAKTAGFNGFSNLENGGNGEIFKNDMRDLVEILSKLNPMAEEFVPPSLANHPHHHNFNDNHDQNQNQTNPFLENGFGYDTDNFVAGNVNGHTNRRKRNNFSQGKRRLNNRTSMAQREDAIRKTVYVSDIDLQVTEEHLAGLFLSCGPVVDCRICGDPNSVLRFAFVEFYSEEDARVALNLSGTMLGFYPVRVLPSKTAIAPVNPTFLPRSEDEREMCTRTIYCTNIDKKVTQADVKLFFESVCGEVHRLRLLGDYHHSTRIAFVEFAMAESAIAALNCSGAVLGSLPIRVSPSKTPVRPRALRPAMH >EOY23692 pep chromosome:Theobroma_cacao_20110822:3:28245997:28249507:-1 gene:TCM_015502 transcript:EOY23692 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 11 isoform 5 MAVAENAGAKIGSSGQNLENTVVSSDSNAVSDPDKSKTRTDSVTAAKTTKEANFQHEQPAAAVNSNGDSNTQMQNGFSEKNQHQVGAKTAGFNGFSNLENGGNGEIFKNDMRDLVEILSKLNPMAEEFVPPSLANHPHHHNFNDNHDQNQNQTNPFLENGFGYDTDNFVAGNVNGHTNRRKRNNFSQGKRRLNNRTSMAQREDAIRKTVYVSDIDLQVTEEHLAGLFLSCGPVVDCRICGDPNSVLRFAFVEFYSEEDARVALNLSGTMLGFYPVRVLPSKTAIAPVNPTFLPRSEDEREMCTRTIYCTNIDKKVTQADVKLFFESVCGEVVHRLRLLGDYHHSTRIAFVEFAMAESAIAALNCSGAVLGSLPIRVSPSKTPVRPRALRPAMH >EOY22014 pep chromosome:Theobroma_cacao_20110822:3:20375020:20381528:-1 gene:TCM_014170 transcript:EOY22014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 1 isoform 4 MDEERMLHPSWTDKSPSEMAAESRLFIFSCVIAGLVGILTIFYTAFQWRRNINLSWMKAVARSKKNPKAEHKVPIAPHTWELESVSRGKNLNCCACLKPMSPSQTLGPMISSDSFIHRCSICGAAAHLSCSSSAHKDCKCVSMIGFEHVMHQWAVRWTELTDQPDEASFCSYCEEPCSGSFLGGSPIWCCLWCQRLLHVDCHSSMSNETGDICDLGQFRRLILSPLYVKELNQNYGFLSSITLGANEFASSVRATIRSQSKKHKHNNETSVDAGSTGSVCDICTESTVDSPQNANGSHAIEENCNGSMNVGTPRHDGGVDKKLESKPSFKRSGSLNQKDESQALRMKQRYELIDLPPDARPLVVFINKKSGAQRGDSLKQRLNLLLNPVQVFELSSTQGPEIGLFLFRKVPHFRILVCGGDGTVGWVLNAIDKQNFVSPPPVAILPAGTGNDLARVLSWGGGLGSVERQGGLCTVLQHIEHAAVTILDRWKVAILNQQGKQLQSPKFINNYLGIGCDAKVALDIHNLREENPEKFYNQFMNKVLYAREGAKSIMDRTFADFPWQVRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDETYENFDPQSMHDKILEVVSISGTWHLGTLQVGLSRARRLAQGQSIKVQLFAALPVQIDGEPWSQQPCTLVISHHGQAFMLKRTAEEPLGHAAAIITDVLESAETNHIINASQKRALLQEMALRLT >EOY22015 pep chromosome:Theobroma_cacao_20110822:3:20376020:20381626:-1 gene:TCM_014170 transcript:EOY22015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 1 isoform 4 MDEERMLHPSWTDKSPSEMAAESRLFIFSCVIAGLVGILTIFYTAFQWRRNINLSWMKAVARSKKNPKAEHKVPIAPHTWELESVSRGKNLNCCACLKPMSPSQTLGPMISSDSFIHRCSICGAAAHLSCSSSAHKDCKCVSMIGFEHVMHQWAVRWTELTDQPDEASFCSYCEEPCSGSFLGGSPIWCCLWCQRLLHVDCHSSMSNETGDICDLGQFRRLILSPLYVKELNQNYGFLSSITLGANEFASSVRATIRSQSKKHKHNNETSVDAGSTGSVCDICTESTVDSPQNANGSHAIEENCNGSMNVGTPRHDGGVDKKLESKPSFKRSGSLNQKDESQALRMKQRYELIDLPPDARPLVVFINKKSGAQRGDSLKQRLNLLLNPVQVFELSSTQGPEIGLFLFRKVPHFRILVCGGDGTVGWVLNAIDKQNFVSPPPVAILPAGTGNDLARVLSWGGGLGSVERQGGLCTVLQHIEHAAVTILDRWKVAILNQQGKQLQSPKFINNYLGIGCDAKVALDIHNLREENPEKFYNQFMNKVLYAREGAKSIMDRTFADFPWQVRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDETYENFDPQSMHDKILEVVSISGTWHLGTLQVI >EOY22017 pep chromosome:Theobroma_cacao_20110822:3:20377893:20381562:-1 gene:TCM_014170 transcript:EOY22017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 1 isoform 4 MDEERMLHPSWTDKSPSEMAAESRLFIFSCVIAGLVGILTIFYTAFQWRRNINLSWMKAVARSKKNPKAEHKVPIAPHTWELESVSRGKNLNCCACLKPMSPSQTLGPMISSDSFIHRCSICGAAAHLSCSSSAHKDCKCVSMIGFEHVMHQWAVRWTELTDQPDEASFCSYCEEPCSGSFLGGSPIWCCLWCQRLLHVDCHSSMSNETGDICDLGQFRRLILSPLYVKELNQNYGFLSSITLGANEFASSVRATIRSQSKKHKHNNETSVDAGSTGSVCDICTESTVDSPQNANGSHAIEENCNGSMNVGTPRHDGGVDKKLESKPSFKRSGSLNQKDESQALRMKQRYELIDLPPDARPLVVFINKKSGAQRGDSLKQRLNLLLNPVQVFELSSTQGPEIGLFLFRKVPHFRILVCGGDGTVGWVLNAIDKQNFVSPPPVAILPAGTGNDLARVLSWGGGLGSVERQGGLCTVLQHIEHAAVTILDRWKVAILNQQGKQLQSPKFINNYLGMQTNSFKSSRIRLS >EOY22016 pep chromosome:Theobroma_cacao_20110822:3:20376015:20381626:-1 gene:TCM_014170 transcript:EOY22016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 1 isoform 4 MDEERMLHPSWTDKSPSEMAAESRLFIFSCVIAGLVGILTIFYTAFQWRRNINLSWMKAVARSKKNPKAEHKVPIAPHTWELESVSRGKNLNCCACLKPMSPSQTLGPMISSDSFIHRCSICGAAAHLSCSSSAHKDCKCVSMIGFEHVMHQWAVRWTELTDQPDEASFCSYCEEPCSGSFLGGSPIWCCLWCQRLLHVDCHSSMSNETGDICDLGQFRRLILSPLYVKELNQNYGFLSSITLGANEFASSVRATIRSQSKKHKHNNETSVDAGSTGSVCDICTESTVDSPQNANGSHAIEENCNGSMNVGTPRHDGGVDKKLESKPSFKRSGSLNQKDESQALRMKQRYELIDLPPDARPLVVFINKKSGAQRGDSLKQRLNLLLNPVQVFELSSTQGPEIGLFLFRKVPHFRILVCGGDGTVGWVLNAIDKQNFVSPPPVAILPAGTGNDLARVLSWGGGLGSVERQGGLCTVLQHIEHAAVTILDRWKVAILNQQGKQLQSPKFINNYLGSSLSSKAKLVL >EOY24434 pep chromosome:Theobroma_cacao_20110822:3:30979391:30983411:-1 gene:TCM_016039 transcript:EOY24434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress-inducible protein, putative isoform 1 MADEAKAKGNAAFSSGDFNTAIKHFTEAINLAPTNHVLYSNRSAACASLHQYDAALSDAKKTVDLKPDWSKGYSRLGAAHLGLHQYQDAVSAYKKGLEIDPSNEALKSGLADAQSAATASASRARAAPPPSPFGDAFQGPEMWAKLTADPTTRVYLQQPDFVKAMQEIQRNPSKLNEHLKDQRVMQALGALLNVKFKAHGAGDDMEIPEADSPRPPPPSQPAKEEVKKPEPEPEPEPMEITEEEKEKKEKKEKALKEKETGNAAYKKKDFETAIQHYTKAMELDDEDISYLTNRAAVYLEMGKYEDCIKDCDKAVERGRELRSDFKMVARALTRKGTALVKMAKCSKDYESAIETFQKALTEHRNPETLKKLNDAEKAKKDLEQQEYFDPKIADEEREKGILYSFLFSFCRHCHKNSSPLSCSLADWTNIYAGNECFKQQKYPEAVKHYTESLRRNPKDPKAYSNRAACYTKLGALPEGLKDAEKCIELDPTFSKGYTRKGAVQFFMKEYEKALDTYQEGLKHDPNNQELLDGARKCVQQINKASRGDLSPEELKERQAKAMQDPEIQNILSDPVMRQVLIDFQENPKAAQEHMKNPMVMNKIQKLVTAGIVQIR >EOY24436 pep chromosome:Theobroma_cacao_20110822:3:30979512:30983343:-1 gene:TCM_016039 transcript:EOY24436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress-inducible protein, putative isoform 1 MADEAKAKGNAAFSSGDFNTAIKHFTEAINLAPTNHVLYSNRSAACASLHQYDAALSDAKKTVDLKPDWSKGYSRLGAAHLGLHQYQDAVSAYKKGLEIDPSNEALKSGLADAQSAATASASRARAAPPPSPFGDAFQGPEMWAKLTADPTTRVYLQQPDFVKAMQEIQRNPSKLNEHLKDQRVMQALGALLNVKFKAHGAGDDMEIPEADSPRPPPPSQPAKEEVKKPEPEPEPEPMEITEEEKEKKEKKEKALKEKETGNAAYKKKDFETAIQHYTKAMELDDEDISYLTNRAAVYLEMGKYEDCIKDCDKAVERGRELRSDFKMVARALTRKGTALVKMAKCSKDYESAIETFQKALTEHRNPETLKKLNDAEKAKKDLEQQEYFDPKIADEEREKGNECFKQQKYPEAVKHYTESLRRNPKDPKAYSNRAACYTKLGALPEGLKDAEKCIELDPTFSKGYTRKGAVQFFMKEYEKALDTYQEGLKHDPNNQELLDGARKCVQQINKASRGDLSPEELKERQQAKAMQDPEIQNILSDPVMRQVLIDFQENPKAAQEHMKNPMVMNKIQKLVTAGIVQIR >EOY24435 pep chromosome:Theobroma_cacao_20110822:3:30979557:30983354:-1 gene:TCM_016039 transcript:EOY24435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress-inducible protein, putative isoform 1 MADEAKAKGNAAFSSGDFNTAIKHFTEAINLAPTNHVLYSNRSAACASLHQYDAALSDAKKTVDLKPDWSKGYSRLGAAHLGLHQYQDAVSAYKKGLEIDPSNEALKSGLADAQSAATASASRARAAPPPSPFGDAFQGPEMWAKLTADPTTRVYLQQPDFVKAMQEIQRNPSKLNEHLKDQRVMQALGALLNVKFKAHGAGDDMEIPEADSPRPPPPSQPAKEEVKKPEPEPEPEPMEITEEEKEKKEKKEKALKEKETGNAAYKKKDFETAIQHYTKAMELDDEDISYLTNRAAVYLEMGKYEDCIKDCDKAVERGRELRSDFKMVARALTRKGTALVKMAKCSKDYESAIETFQKALTEHRNPETLKKLNDAEKAKKDLEQQEYFDPKIADEEREKGNECFKQQKYPEAVKHYTESLRRNPKDPKAYSNRAACYTKLGALPEGLKDAEKCIELDPTFSKGYTRKGAVQFFMKEYEKALDTYQEGLKHDPNNQELLDGARKCVQQINKASRGDLSPEELKERQAKAMQDPEIQNILSDPVMRQVLIDFQENPKAAQEHMKNPMVMNKIQKLVTAGIVQIR >EOY22167 pep chromosome:Theobroma_cacao_20110822:3:22078399:22079604:-1 gene:TCM_014388 transcript:EOY22167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 SSATVTFIAESPDFLISGTGVSPRMSADLQQNRENKNPNSSKSGFDVVNADEIDMMKSSLNFGTITRKSRVMKRRGRSREGNNCVFGSAWTWSTKLSPEFSGEDRKEHGEKEFMGMRSNACEDYCPVNGFQDISDHETPATSKDACEYDVDEPGYDSWQQGNGDDYWKDACYEGNNVFFRSGDGWDQMRYTCNDVTSVGRWLEDLGFGRYAGIFEMHEVDEETLPLLTLDDLKEMGVFAVGHRR >EOY22166 pep chromosome:Theobroma_cacao_20110822:3:22077653:22079699:-1 gene:TCM_014388 transcript:EOY22166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLVLSMNSKRQRRPNVRLGEIGDVSAAFACRFSQKTKESLGHKRWKPDFLNPQGNELTTVVGFSKEESPDFLISGTGVSPRMSADLQQNRENKNPNSSKSGFDVVNADEIDMMKSSLNFGTITRKSRVMKRRGRSREGNNCVFGSAWTWSTKLSPEFSGEDRKEHGEKEFMGMRSNACEDYCPVNGFQDISDHETPATSKDACEYDVDEPGYDSWQQGNGDDYWKDACYEGNNVFFRSGDGWDQMRYTCNDVTSVGRWLEDLGFGRYAGIFEMHEVDEETLPLLTLDDLKEMGVFAVGHRRKLYAAIQQLRGGDVSS >EOY23668 pep chromosome:Theobroma_cacao_20110822:3:28154179:28157500:-1 gene:TCM_015482 transcript:EOY23668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 1 MRALRRSQTSSSSPNSPSSSSSSSSSSSSWIHLRSVLFVVTSSSPASCSSSDRGRLKSPWSRRRKKHALSPQQWKSLFTSDGRLRDGGVKFLKKVRSRGVDPSIRAEVWPFLLGVYDLNRAKEERDAVKTQKRKEYEKLRRECRRLLKHNSVSFKLTEIGGTCQNGDGGSLIQETDTSGSEDVVSARESLSSEERSPDAEYSDEPSSTLLEGDDGSRRITNADVSALNSESSDSDSSEDPEVIQESPSSEGREENDPDVSSKRNVSPSSTEGLSKLRATEDFSTWQRIIRLDAVRANAEWMPHSASQSAVSEGRARRSAEAVGLKDYDHLDPCRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIISVIPEDYEAFWCFVGFMKKARHNFRLDEVGIRRQLNIVSKIIKCKDSHLYRHLEKLQAEDCFFVYRMVVVLFRRELTFEQTICLWEVMWADQAAIRAGIGKSAWSRIRQRAPPTDDLLLYAIAASVLQRRKLIIEKYSSMDEILRECNSMSGQLDVWKLLDDAHDLVVTLHDKIESSF >EOY23667 pep chromosome:Theobroma_cacao_20110822:3:28152731:28158252:-1 gene:TCM_015482 transcript:EOY23667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 1 MVPSGNNIPTGIHHFGGGGGGGFWSAVATPPSKTAVAVTALAGLVLCAAIFYNSSRRSQTSSSSPNSPSSSSSSSSSSSSWIHLRSVLFVVTSSSPASCSSSDRGRLKSPWSRRRKKHALSPQQWKSLFTSDGRLRDGGVKFLKKVRSRGVDPSIRAEVWPFLLGVYDLNRAKEERDAVKTQKRKEYEKLRRECRRLLKHNSVSFKLTEIGGTCQNGDGGSLIQETDTSGSEDVVSARESLSSEERSPDAEYSDEPSSTLLEGDDGSRRITNADVSALNSESSDSDSSEDPEVIQESPSSEGREENDPDVSSKRNVSPSSTEGLSKLRATEDFSTWQRIIRLDAVRANAEWMPHSASQSAVSEGRARRSAEAVGLKDYDHLDPCRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIISVIPEDYEAFWCFVGFMKKARHNFRLDEVGIRRQLNIVSKIIKCKDSHLYRHLEKLQAEDCFFVYRMVVVLFRRELTFEQTICLWEVMWADQAAIRAGIGKSAWSRIRQRAPPTDDLLLYAIAASVLQRRKLIIEKYSSMDEILRECNSMSGQLDVWKLLDDAHDLVVTLHDKIESSF >EOY24630 pep chromosome:Theobroma_cacao_20110822:3:31710542:31716906:1 gene:TCM_016184 transcript:EOY24630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional corepressor SEUSS, putative MALDAFLDSNNSRAMPWVSRGLVQSSGSSNLLQKDEQCQNVVASLLGRASRNLPSTNAGISDFNLDKCCTVVNSATNLTCTFRESEGISSVSEHPQLQRTSPTNIDSHVDIPKLPVLSSHDLSSSRTSVVDALSRGQHMSYMNGSQVGKRKQQPGILNANSSNASQKPEDSFPHDIKQELHNSCLKKPRLDLNQDVILQEHIMKQPLESRDSVQLQGQMGVLKAFLQQNKSLNEHKEKNLQSISQFKGVDLKQQGQQQMRHHLQQPGICQGPFVNPFDVVCSRRLMQYVYHLRHRPPENGIEYWRKFVEEYYAPNAKKRWCLSLYDNVRHHSSGVFPQAAMAQWHCNLCGSISGRGFEATSEVLPRLYKVSFESGVIDEILFLDLPCERKLPSGLMMLEYEKAVQESVYDQVRVVREGKLRIIFTYDLKILSWEFCARHHEELLHRSFIVAQVHGLVHAAQKYQSTINGSNKFSPRYLQEDCNRFLTTGGQLVSNLDLELIDDLGFSKRYIRCLQIAGVVESMKDLMTFSKDNNIGPVEGLKKYPQPTTFIKPLRGEGKEKLPLKSVQSLPTDCNKLLGISPHFNNNNNNNGSPKITRNPNLINSEEESPSRLSRLTTLTSNASKWKEAHSRKCNRSKEGASTKPFQGRKISSLGLSQDIPVNDLSSYNFSECNKNNQECMIQKWLQKMISNSRAENEGAKEKVNREIGWTTPIGLQAEAKRTDKLVNGFDFDNMAEAAASRNSSSTGGNMHKFSVSAACNGDSSSASGNDSFVKREPDLLEMVRIMGHDYYKNGNPAGDHHLGQGWKV >EOY20670 pep chromosome:Theobroma_cacao_20110822:3:506806:509926:1 gene:TCM_012028 transcript:EOY20670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPGPGPHLMYAMSSGLALTHLTKGRFTPHHTLTYTLNAFFGPDIGSFSEWLSSTLFAGSSFVSSLADAIHHPFYYVLILGLPLCVFYSWVSSILVKRSVLDSVTGVPLSRRQCLLLISAGSLSHFFLDHLFEENGHSSMYTWILSTGWWINRAPVNPDAVMVVGLLCTCLIGGFIYINRTRLTKSTRKQSYQSMKLILIIASLYCLWCASQVYWVNPRRPAVGEEADLGVLVFLATYFLLPHCLCILSMNSEDIHTEQLPL >EOY22430 pep chromosome:Theobroma_cacao_20110822:3:23434700:23439665:-1 gene:TCM_014602 transcript:EOY22430 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein, putative MARGRTIKNPFISLTPRSLCQLISASPLTSLFSSKKTSPSLFIFILSFVVSFAFLGISVLRFFPSSQDSIQCSIVSPSSPSSQSLPTFSSSHSQIILAALLAGINSYNENEPRLGSSAMVPLPVHVVSGNLSEEEREFWQQPDGNGYRPCLEFSIGYRKKSAKISGEKNRFLVVVAAGGLNQQRNQIIDAVVIARILEASLVVPILQVNLIWEDESEFSDLYDVEHFKRTLRADVRVVSSLPSTHLAAKQTIVNQIPYNVSPLWIRARFFKRLNQDGALVLGGLDSKLSKNLPPDLQKLRCKVAFHALRFSRPILQLGNQLARRMWIEGPYIALHLRLEKDVWVRTGCLTGLGPEYDKIITQIRESQPRYLTARVNMNYTQRRLSGLCPLNALEMARLLKSLGAPRSARLYIAGGEPFGGTRALQQLAAEFPHLVRKEMLAREGELSPFLNSSSALSAIDYIVSFNSDIFIPSHGGNMGRALLGHRAYMGHRKCIRPNKRTILPFFEDPSISEEELRKIIRSLHNKYLKSEPSKKEKTKDVIAFPLPECMCRQGTGVY >EOY23225 pep chromosome:Theobroma_cacao_20110822:3:26705187:26706700:-1 gene:TCM_015193 transcript:EOY23225 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L36 MATKQPNSGLFVGLNKGHIVTKKELAPRPSNRKGKTSKRVHFVKNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRAHPGGGEKKK >EOY25189 pep chromosome:Theobroma_cacao_20110822:3:33510655:33518229:1 gene:TCM_016586 transcript:EOY25189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jumonji domain protein isoform 2 MVEGRVCLSKEAKNGLEFLKRKRLQRIKSETVSGTSGVTNLMARSGGDALRVSASCGMRLPGNAESISSSNGASSERDVFSKRKVNKFDTSDLEWTEKIPECPVYCPTKEEFEDPLVYLQQIAPEASKYGICKIISPLSATVPAGVVLMKENVGFKFTTRVQPLRLAEWDTDDRVTFFMSGRNYTFRDFEKMANKVFARRYCSAGCLPATYMEKEFWHEIACGKIESVEYACDVEGSAFSSSPSDPLGTSKWNLKKLSRLPKSILRLLETAIPGVTDPMLYIGMLFSIFAWHVEDHYLYSINYHHCGASKTWYGIPGHAALKFEKVVKEHVYTNDILSTDGEDGAFDVLLGKTTLFPPNILLEHDVPVYKAVQKPGEFVITFPRAYHAGFSHGFNCGEAVNFAVGDWFPLGAVASLRYAHLNRVPLLPHEELLCKEAMLLNTSLELEDLEYSAADLASHHSIKVSFVKLMRFLHRARWSVMKSRACSSISPNYYRTVVCTLCKRDCYVAFINCSCYSHPICLRHDIKSLGFPCGGYHGLFLRDDVAEMEAVAQKFEQEDVISKEIEQQAENGDDLYSYPLSNLFQTDVEDGYFPYCDISVVLNPEIAAISTTTGQPLEHIQPKMSHDTGNFRAELTDAFSSFAASTICSFVEQVGSSPKNQVQGLANLGNTNGKGFSEEVSRNTYESSASCLCREDCPGNHHGNVHEPESRSTVDQDSDGSDSEIFRVKRRSFLKIEKRNANDTMSSKNCEHQGLKRLKKLQHEGRCGQSTSSEGCRTDEPSRNINSTSDCKEAPENAVKERFGRGGALPISIKYKKLGNEETMSRQREHQRYDRFHHEFGKSTRETPPLEIGPKRLKVRGPTSLGSESRLD >EOY25190 pep chromosome:Theobroma_cacao_20110822:3:33510703:33518145:1 gene:TCM_016586 transcript:EOY25190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jumonji domain protein isoform 2 MVEGRVCLSKEAKNGLEFLKRKRLQRIKSETVSGTSGVTNLMARSGGDALRVSASCGMRLPGNAESISSSNGASSERDVFSKRKVNKFDTSDLEWTEKIPECPVYCPTKEEFEDPLVYLQQIAPEASKYGICKIISPLSATVPAGVVLMKENVGFKFTTRVQPLRLAEWDTDDRVTFFMSGRNYTFRDFEKMANKVFARRYCSAGCLPATYMEKEFWHEIACGKIESVEYACDVEGSAFSSSPSDPLGTSKWNLKKLSRLPKSILRLLETAIPGVTDPMLYIGMLFSIFAWHVEDHYLYSINYHHCGASKTWYGIPGHAALKFEKVVKEHVYTNDILSTDGEDGAFDVLLGKTTLFPPNILLEHDVPVYKAVQKPGEFVITFPRAYHAGFSHGFNCGEAVNFAVGDWFPLGAVASLRYAHLNRVPLLPHEELLCKEAMLLNTSLELEDLEYSAADLASHHSIKVSFVKLMRFLHRARWSVMKSRACSSISPNYYRTVVCTLCKRDCYVAFINCSCYSHPICLRHDIKSLGFPCGGYHGLFLRDDVAEMEAVAQKFEQEDVISKEIEQQAENGDDLYSYPLSNLFQTDVEDGYFPYCDISVVLNPEIAAISTTTGQPLEHIQPKMSHDTGNFRAELTDAFSSFAASTICSFVEQVGSSPKNVQGLANLGNTNGKGFSEEVSRNTYESSASCLCREDCPGNHHGNVHEPESRSTVDQDSDGSDSEIFRVKRRSFLKIEKRNANDTMSSKNCEHQGLKRLKKLQHEGRCGQSTSSEGCRTDEPSRNINSTSDCKEAPENAVKERFGRGGALPISIKYKKLGNEETMSRQREHQRYDRFHHEFGKSTRETPPLEIGPKRLKVRGPTSLGSESRLD >EOY25191 pep chromosome:Theobroma_cacao_20110822:3:33514019:33518145:1 gene:TCM_016586 transcript:EOY25191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jumonji domain protein isoform 2 MLYIGMLFSIFAWHVEDHYLYSINYHHCGASKTWYGIPGHAALKFEKVVKEHVYTNDILSTDGEDGAFDVLLGKTTLFPPNILLEHDVPVYKAVQKPGEFVITFPRAYHAGFSHGFNCGEAVNFAVGDWFPLGAVASLRYAHLNRVPLLPHEELLCKEAMLLNTSLELEDLEYSAADLASHHSIKVSFVKLMRFLHRARWSVMKSRACSSISPNYYRTVVCTLCKRDCYVAFINCSCYSHPICLRHDIKSLGFPCGGYHGLFLRDDVAEMEAVAQKFEQEDVISKEIEQQAENGDDLYSYPLSNLFQTDVEDGYFPYCDISVVLNPEIAAISTTTGQPLEHIQPKMSHDTGNFRAELTDAFSSFAASTICSFVEQVGSSPKNVQGLANLGNTNGKGFSEEVSRNTYESSASCLCREDCPGNHHGNVHEPESRSTVDQDSDGSDSEIFRVKRRSFLKIEKRNANDTMSSKNCEHQGLKRLKKLQHEGRCGQSTSSEGCRTDEPSRNINSTSDCKEAPENAVKERFGRGGALPISIKYKKLGNEETMSRQREHQRYDRFHHEFGKSTRETPPLEIGPKRLKVRGPTSLGSESRLD >EOY21921 pep chromosome:Theobroma_cacao_20110822:3:19857063:19860225:1 gene:TCM_014095 transcript:EOY21921 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose-5-phosphate-3-epimerase isoform 1 MDGRFVPNITIGPIVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTLNQIKGLGAKAGVVLNPATPLSTIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRRMCAEKGVNPWIEVDGGVGPKNAYKVIEAGANALVAGSAVFGAKDYAEGMDRELFLNFPIRGIKTSKKPEAVAV >EOY21920 pep chromosome:Theobroma_cacao_20110822:3:19855299:19860075:1 gene:TCM_014095 transcript:EOY21920 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose-5-phosphate-3-epimerase isoform 1 MRKIATVVKATARVDKFSKSDIIVSPSILSANFAKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPIVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTLNQIKGLGAKAGVVLNPATPLSTIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRRMCAEKGVNPWIEVDGGVGPKNAYKVIEAGANALVAGSAVFGAKDYAEAIRGIKTSKKPEAVAV >EOY21919 pep chromosome:Theobroma_cacao_20110822:3:19854816:19860247:1 gene:TCM_014095 transcript:EOY21919 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose-5-phosphate-3-epimerase isoform 1 MSTASSLCSSTQVNGFGGGLRLLRTHLSQPNTLTFTRRKIATVVKATARVDKFSKSDIIVSPSILSANFAKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPIVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTLNQIKGLGAKAGVVLNPATPLSTIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRRMCAEKGVNPWIEVDGGVGPKNAYKVIEAGANALVAGSAVFGAKDYAEAIRGIKTSKKPEAVAV >EOY24691 pep chromosome:Theobroma_cacao_20110822:3:31945610:31950801:1 gene:TCM_016230 transcript:EOY24691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MKSYIYFLLLFLASGVAFVTCAPFPTNEVWALTTFKEAIYEDPHLVLSNWNALDADPCEWSGITCNQERQHVIKINISSSSLKGFLAPEMGQITYLQELTLRENNLIGIIPKELGMLKFLKVLDLGMNQLTGPIPPELGNLSSVMKINLQSNGLTGSLPAELGNLKYLQELRLDRNKLHGTVPADSNSAFTAKMHGMYASGSNLTGLCRSSQLKVVDVSYNFLVGGIPKCLEYLPSTSFQGNCLQDKDAKQRPTTQCGGAMLSRSHQAPSPKHRPAEDVAKHQKASKPAWLLALEIVTGTMVGSLFLVALLTAFQRCNSKSAIIIPWKKSGSEKEHVTVYVDSELLKDVTKFSRQELEVACEDFSNIIGSSPDSLVYKGTMKGGPEIAVISLCIKEEHWTGYLELYFQREVADLARLNHENVGILLGYCRESTPFTRMLVFEYASNGTLYEHLHYGEGSQLSWTRRMRIIQGIARGLKYLHTEPEPPFTISELNSSAVYLTEDFSPKLVDFESWKTILARSEKNSGSIGSNGAICLLPNSVEKRHLDIQGNIHAFGVLLLEIISGRPPFCKDRGCLIDWAKDYLALPEVMSYIVDPALKQFRYDDLKVICEVISLCIHPDFSNRPAMQEISLMLESGIDTSVSVELKSSSLAWAELALSS >EOY21884 pep chromosome:Theobroma_cacao_20110822:3:19413669:19415072:1 gene:TCM_014041 transcript:EOY21884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWKLMLSLARFRSAFGVMSAYRDVAAVVKGPIGVPGRDTLQVARIDAPNGSHHHALKCNGRVLNFGIDAPICDSMASVLNLGENKVMNGTRNGFHGLEEDKISVPCRGENGDNCSSGSSITISNSMEEGGKSCIQETMMGNINGFPSPIPCLPSVPWPYPWNSTVPLPAFCPFGFPMSFYPAAAYWNCGIPSTWNIPWLSPQSSSLNQKPPTFRPNSSTLRKHSREGDIAKLDDSEKEKPSK >EOY21364 pep chromosome:Theobroma_cacao_20110822:3:7187387:7188435:1 gene:TCM_012893 transcript:EOY21364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g17950 [Source:Projected from Arabidopsis thaliana (AT3G17950) UniProtKB/Swiss-Prot;Acc:Q6DR24] MGVSFPAITFRAPSQHRHETQPTNAGSLSSTGSKPKKRRALTSVAFGAERLGRRRRRWWQLCRDDDAKPSSLGEFLEVERRFGDGAFYGAAAELEGVMGTPQDEHQEARNGRILFADGRVLPPPPPSGDDDDEGTSTAGLLCRFPVSLTGICSGGVG >EOY21365 pep chromosome:Theobroma_cacao_20110822:3:7187629:7188435:1 gene:TCM_012893 transcript:EOY21365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g17950 [Source:Projected from Arabidopsis thaliana (AT3G17950) UniProtKB/Swiss-Prot;Acc:Q6DR24] MGVSFPAITFRAPSQHRHETQPTNAGSLSSTGSKPKKRRALTSVAFGAERLGRRRRRWWQLCRDDDAKPSSLGEFLEVERRFGDGAFYGAAAELEGVMGTPQDEHQEARNGRILFADGRVLPPPPPSGDDDDEGTSTAGLLCRFPVSLTGICSGGVG >EOY21363 pep chromosome:Theobroma_cacao_20110822:3:7187294:7188426:1 gene:TCM_012893 transcript:EOY21363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g17950 [Source:Projected from Arabidopsis thaliana (AT3G17950) UniProtKB/Swiss-Prot;Acc:Q6DR24] MLDPASDLIPPPSSPTISSVSSSDLDTESTGSFFHDRSTTLGTLMGVSFPAITFRAPSQHRHETQPTNAGSLSSTGSKPKKRRALTSVAFGAERLGRRRRRWWQLCRDDDAKPSSLGEFLEVERRFGDGAFYGAAAELEGVMGTPQDEHQEARNGRILFADGRVLPPPPPSGDDDDEGTSTAGLLCRFPVSLTGICSGGVG >EOY24013 pep chromosome:Theobroma_cacao_20110822:3:29311506:29315785:1 gene:TCM_015725 transcript:EOY24013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVPILSLTSKTTSYLGSSCVSSSSWNVKLLCEFGVRKRRMSIRCAKASVERSGEAAIKDRERFAGSAMEVTTLDRSFGEAADFPVWDKIGAVVRLSYGIGIYGAMALAGRFICSITGIDSMGGFHPSLDAVLEGLGYAVPPIMALLFILDDEVVKVSPHARAIRDVEDEELRSFFYGMSPWQFILMVAASSVGEELFYRAAVQGALADIFLRGTELVSDARGMASLTGVLPPFVPFAQAFAAVITAALTGSLYYVAASPKDPTYVVAPVQRSRPAREDLKKLFIAWYERRQMKKIYSPLLEGILALYLGFEWIQTDNILAPIITHGIYSAVVLGHGLWKIHDHRRRLHQRIQQLKSEGKSSNKL >EOY22026 pep chromosome:Theobroma_cacao_20110822:3:20516846:20518886:-1 gene:TCM_014185 transcript:EOY22026 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 1 MGWNQKMIDKILLSEQITWVIADMAAGWALEVAKKMGIKRAAVLLSSPASMALALHVPRLIEAGIIDTDGTLIKDEPITLSEDIPAWNSSELSWSCCDPVLQKLLFAYICTALRTCKFADQILCNTFYELDSSAMKLIPKIIPIGPFIACNNFEAFSGNFWPEDSTCLSWLDKQTPGSVIYVALGSTTILSPQQVDELALGLELTGLPFLWVVRSNLTDGSTVKFPEGFINRVAGRGKIVGWAPQEKVLAHRSIACFLSHCGWNSTLEGLSTGIPFLCWPYFADQFHNRSYICDLWKIGLALAKDENGIITRNEMSTKIKILLSSDGIKANALHLKEVARKSVSERGSSFKNFKSFIEQI >EOY22025 pep chromosome:Theobroma_cacao_20110822:3:20516737:20518949:-1 gene:TCM_014185 transcript:EOY22025 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 1 MARQPHVMVIPHAAQGHVAPLMKLSLQIAAHGVKVTFVNTEFIHEKVKASLPAKAEQQSLINLVSIPDGLEPEDDRQDFVKLTESMLRVMPGHLRNLIENINRSNVTEQITWVIADMAAGWALEVAKKMGIKRAAVLLSSPASMALALHVPRLIEAGIIDTDGTLIKDEPITLSEDIPAWNSSELSWSCCDPVLQKLLFAYICTALRTCKFADQILCNTFYELDSSAMKLIPKIIPIGPFIACNNFEAFSGNFWPEDSTCLSWLDKQTPGSVIYVALGSTTILSPQQVDELALGLELTGLPFLWVVRSNLTDGSTVKFPEGFINRVAGRGKIVGWAPQEKVLAHRSIACFLSHCGWNSTLEGLSTGIPFLCWPYFADQFHNRSYICDLWKIGLALAKDENGIITRNEMSTKIKILLSSDGIKANALHLKEVARKSVSERGSSFKNFKSFIEQI >EOY25221 pep chromosome:Theobroma_cacao_20110822:3:33591521:33603162:1 gene:TCM_016602 transcript:EOY25221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like D3 MASESQVTRRSPTIHHITNSAGDLENEIGGVDFARYTVHIPPTPDNQPMPMEIAMQVVGTEEQYVSNSMFTGGHNRVTRAHSKKIVDSGTSHPPMVVAEGSFCEIPGCSARMMTNRQGIDVFPCECGFRICKDCYQDAMGSGDGICPGCKEHYRGLDESEMALASQLRLSTSERRLSMVESKEFLMKSPSNEFDYTQYLYETNKSYGYGNAVWPMDGASGSKDEIGGDPKVFREKQWKPLTQKSNIRAAVLSPYRLLILIRIVVLGLFLEWRITHPNEDAIWLWFMSVICEIWIAFSWLLDQLPKLCPVNRAVDLDVLQEKFETASSNNPSGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAAEYPVEKLACYVSDDGGALLTFEAMAEAASFARIWVPFCRKHGIEPRNPESYFNLKRDPYKNKVRPDFVRDRRRVKREYDEFKVRINGLSDSIRRRSDAFNTREEVKVLKRWREDNSDEPMEGLKIPKATWMADSTHWPGTWTVPAPEHSRGDHASIIQVMLDPPSHEPRKGTEGDGNSMNLSEVDIRLPMLVYVTREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSLALREGMCFMMDRDGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGVQGPVYVGTGCLFRRTALYGFEPPQLQEDTDCCSCCFPRLKKPATVASAPDVDPEDIQLREDDEMNIALIPKKFGNSSALIDSVRVAAIQGQPLADHPAVKYGRPPGALTMPREPLDPSTIGEAINVISCWYEDKTEWGQSVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLGSPRLKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLAITLTLCALAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVLAGIEISFTLTSKSAGDDVDDDFADLYIFKWTSLMIPPLTIIMVNLIAIAYGVCRTIYSTDPEWSHLLGGVFFSFWVLAHLYPFAKGLMGRRGKTPTIVYVWSGLIAISISLLWVAIKPPSGNSDIGGSFQFP >EOY22976 pep chromosome:Theobroma_cacao_20110822:3:25595616:25609261:1 gene:TCM_014995 transcript:EOY22976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor 160 isoform 1 MIILKASQAGSGFVGEDDAFGSGGAVSARVESSYIINLRDLDVKHIKDFIFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVISANTIHYHSQSASCALALNNYAISVDNSQDLPRSNFSVELDAANATWLLNDVALLSTKTGELLLLTLIYDGRVVQRLDLSKSKASVLTSDITTIGNSLFFLGSRLGDSLLVQFSGGSGVSALPSGLKEEVGDIEGDVPLAKRLRRSSSDALQDMVGGEELSLYGSAPNNTESAQKTFLFAVRDSLTNVGPLKDFSYGLRINADVNATGIAKQSNYELVCCSGHGKNGALCVLRQSIRPEMITEVELTGCKGIWTVYHKSTRSHSADLSKVTDDDDEYHAYLIISLEARTMVLETADLLTEVTESVDYYVQGRTIAAGNLFGRRRVVQVYERGARILDGSFMTQELSIPSPNSESSPGSENSTVISVSIADPYVLLRMTDGSILLLVGDPATCTVSINTPTAFEGSKKMVSACTLYHDKGPEPWLRKASTDAWLSTGVGESIDGADGGPHDQGDIYCVVCYESGALEIFDVPNFNCVFSMEKFASGRTRLVDAYTLESSKDSEKVINKSSEELTGQGRKENVQNLKVVELAMQRWSANHSRPFLFGILTDGTILCYHAYLFEGSENASKVEDSVVAQNSVGLSNINASRLRNLRFIRIPLDAYTREEMSNGTLSQRITIFKNISGYQGFFLSGSRPAWFMVFRERLRVHPQLCDGSIVAFTVLHNVNCNHGFIYVTSQGILKICQIPSASNYDNYWPVQKIPLRGTPHQVTYFAERNLYPIIVSVPVHKPVNQVLSSLVDQEVGHQMDNHNLSSDELQRTYTVDEFEVRILEPEKSGGPWETKATIPMQSSENALTVRVVTLFNTTTKENESLLAIGTAYIQGEDVAARGRVILCSIGRNTDNLQNLVSEVYSKELKGAISALASLQGHLLIASGPKIILHNWTGSELNGIAFYDAPPLYVVSLNIIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSSDRTSATAGSDKTNRFALLFGTLDGSIGCIAPLDELTFRRLQSLQKKLVDAVPHVAGLNPRSFRQFHSNGKAHRPGPDSIVDCELLCHYEMLPLEEQLDIAHQIGTTRSQILSNLNDLTLGTSFL >EOY22975 pep chromosome:Theobroma_cacao_20110822:3:25595612:25635930:1 gene:TCM_014995 transcript:EOY22975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor 160 isoform 1 MIILKASQAGSGFVGEDDAFGSGGAVSARVESSYIINLRDLDVKHIKDFIFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVISANTIHYHSQSASCALALNNYAISVDNSQDLPRSNFSVELDAANATWLLNDVALLSTKTGELLLLTLIYDGRVVQRLDLSKSKASVLTSDITTIGNSLFFLGSRLGDSLLVQFSGGSGVSALPSGLKEEVGDIEGDVPLAKRLRRSSSDALQDMVGGEELSLYGSAPNNTESAQKTFLFAVRDSLTNVGPLKDFSYGLRINADVNATGIAKQSNYELVCCSGHGKNGALCVLRQSIRPEMITEVELTGCKGIWTVYHKSTRSHSADLSKVTDDDDEYHAYLIISLEARTMVLETADLLTEVTESVDYYVQGRTIAAGNLFGRRRVVQVYERGARILDGSFMTQELSIPSPNSESSPGSENSTVISVSIADPYVLLRMTDGSILLLVGDPATCTVSINTPTAFEGSKKMVSACTLYHDKGPEPWLRKASTDAWLSTGVGESIDGADGGPHDQGDIYCVVCYESGALEIFDVPNFNCVFSMEKFASGRTRLVDAYTLESSKDSEKVINKSSEELTGQGRKENVQNLKVVELAMQRWSANHSRPFLFGILTDGTILCYHAYLFEGSENASKVEDSVVAQNSVGLSNINASRLRNLRFIRIPLDAYTREEMSNGTLSQRITIFKNISGYQGFFLSGSRPAWFMVFRERLRVHPQLCDGSIVAFTVLHNVNCNHGFIYVTSQGILKICQIPSASNYDNYWPVQKIPLRGTPHQVTYFAERNLYPIIVSVPVHKPVNQVLSSLVDQEVGHQMDNHNLSSDELQRTYTVDEFEVRILEPEKSGGPWETKATIPMQSSENALTVRVVTLFNTTTKENESLLAIGTAYIQGEDVAARGRVILCSIGRNTDNLQNLVSEVYSKELKGAISALASLQGHLLIASGPKIILHNWTGSELNGIAFYDAPPLYVVSLNIVKNFILLGDVHKSIYFLSWKEQGAQLSLLAKDFGSLDCFATEFLIDGSTLSLMVSDEQKNIQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSSDRTSATAGSDKTNRFALLFGTLDGSIGCIAPLDELTFRRLQSLQKKLVDAVPHVAGLNPRSFRQFHSNGKAHRPGPDSIVDCELLCHYEMLPLEEQLDIAHQIGTTRSQILSNLNDLTLGTSFL >EOY22974 pep chromosome:Theobroma_cacao_20110822:3:25594060:25616411:1 gene:TCM_014995 transcript:EOY22974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor 160 isoform 1 MSYAAYKMMHWPTGIENCASGFVTHCRADFTPQIPLNQTEDLESEWPARRGIGPVPNLIVTAANLLEIYVVRVQEEGRREARNSTEVKRGGVLDGVSGVSLELVCNYRLHGNVESMAVLSIGGGDGSRRRDSIILAFKDAKISVLEFDDSIHGLRTTSMHCFEGPEWLHLKRGRESFARGPLVKVDPQGRCGGVLVYDLQMIILKASQAGSGFVGEDDAFGSGGAVSARVESSYIINLRDLDVKHIKDFIFVHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVISANTIHYHSQSASCALALNNYAISVDNSQDLPRSNFSVELDAANATWLLNDVALLSTKTGELLLLTLIYDGRVVQRLDLSKSKASVLTSDITTIGNSLFFLGSRLGDSLLVQFSGGSGVSALPSGLKEEVGDIEGDVPLAKRLRRSSSDALQDMVGGEELSLYGSAPNNTESAQKTFLFAVRDSLTNVGPLKDFSYGLRINADVNATGIAKQSNYELVCCSGHGKNGALCVLRQSIRPEMITEVELTGCKGIWTVYHKSTRSHSADLSKVTDDDDEYHAYLIISLEARTMVLETADLLTEVTESVDYYVQGRTIAAGNLFGRRRVVQVYERGARILDGSFMTQELSIPSPNSESSPGSENSTVISVSIADPYVLLRMTDGSILLLVGDPATCTVSINTPTAFEGSKKMVSACTLYHDKGPEPWLRKASTDAWLSTGVGESIDGADGGPHDQGDIYCVVCYESGALEIFDVPNFNCVFSMEKFASGRTRLVDAYTLESSKDSEKVINKSSEELTGQGRKENVQNLKVVELAMQRWSANHSRPFLFGILTDGTILCYHAYLFEGSENASKVEDSVVAQNSVGLSNINASRLRNLRFIRIPLDAYTREEMSNGTLSQRITIFKNISGYQGFFLSGSRPAWFMVFRERLRVHPQLCDGSIVAFTVLHNVNCNHGFIYVTSQGILKICQIPSASNYDNYWPVQKIPLRGTPHQVTYFAERNLYPIIVSVPVHKPVNQVLSSLVDQEVGHQMDNHNLSSDELQRTYTVDEFEVRILEPEKSGGPWETKATIPMQSSENALTVRVVTLFNTTTKENESLLAIGTAYIQGEDVAARGRVILCSIGRNTDNLQNLVSEVYSKELKGAISALASLQGHLLIASGPKIILHNWTGSELNGIAFYDAPPLYVVSLNIVKNFILLGDVHKSIYFLSWKEQGAQLSLLAKDFGSLDCFATEFLIDGSTLSLMVSDEQKNIQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMLSTSSDRTSATAGSDKTNRFALLFGTLDGSIGCIAPLDELTFRRLQSLQKKLVDAVPHVAGLNPRSFRQFHSNGKAHRPGPDSIVDCELLCHYEMLPLEEQLDIAHQIGTTRSQILSNLNDLTLGTSFL >EOY23070 pep chromosome:Theobroma_cacao_20110822:3:26066268:26069736:1 gene:TCM_015075 transcript:EOY23070 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein isoform 3 MGKGGKSHEEASIGEEENMAAWLVGLNTLKIQPFKLPPLGPRDVRVRMKAVGICGSDVHYLKTLRLADFVVKEPMVIGHECAGIIEEVGGEVKNLVPGDRVALEPGISCWRCDLCKEGRYNLCPEMKFFATPPVHGSLANQVVHPADLCFKLPDNVSLEEGAMCEPLSVGVHACRRANIGPGKNVLVMGAGPIGLVTMLAARAFGAPRIVVVDVDDNRLSVAKDLGADGVVKVSTNMQDVPEEVERICKVMGAGVDVSFDCAGFNKTMSTALSATRAGGKVCLVGMGHSEMTVPLTPAAAREVDIIGIFRYKNTWPLCLEFLRSGKIDVKPLITHRYGFSQKEVEEAFETSARGGNAIKVMFNL >EOY23069 pep chromosome:Theobroma_cacao_20110822:3:26066224:26069293:1 gene:TCM_015075 transcript:EOY23069 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein isoform 3 MGKGGKSHEEASIGEEENMAAWLVGLNTLKIQPFKLPPLGPRDVRVRMKAVGICGSDVHYLKTLRLADFVVKEPMVIGHECAGIIEEVGGEVKNLVPGDRVALEPGISCWRCDLCKEGRYNLCPEMKFFATPPVHGSLANQVVHPADLCFKLPDNVSLEEGAMCEPLSVGVHACRRANIGPGKNVLVMGAGPIGLVTMLAARAFGAPRIVVVDVDDNRLSVAKDLGADGVVKVSTNMQDVPEEVERICKVMGAGVDVSFDCAGFNKTMSTALSATRAGGKVCLVGMGHSEMTVPLTPAAARCVWHCALSPPKGDGFHKMQGG >EOY23068 pep chromosome:Theobroma_cacao_20110822:3:26066246:26069769:1 gene:TCM_015075 transcript:EOY23068 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein isoform 3 MGKGGKSHEEASIGEEENMAAWLVGLNTLKIQPFKLPPLGPRDVRVRMKAVGICGSDVHYLKTLRLADFVVKEPMVIGHECAGIIEEVGGEVKNLVPGDRVALEPGISCWRCDLCKEGRYNLCPEMKFFATPPVHGSLANQVVHPADLCFKLPDNVSLEEGAMCEPLSVGVHACRRANIGPGKNVLVMGAGPIGLVTMLAARAFGAPRIVVVDVDDNRLSVAKDLGADGVVKVSTNMQEFGDFDIAQLKDVPEEVERICKVMGAGVDVSFDCAGFNKTMSTALSATRAGGKVCLVGMGHSEMTVPLTPAAAREVDIIGIFRYKNTWPLCLEFLRSGKIDVKPLITHRYGFSQKEVEEAFETSARGGNAIKVMFNL >EOY23071 pep chromosome:Theobroma_cacao_20110822:3:26066224:26069388:1 gene:TCM_015075 transcript:EOY23071 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein isoform 3 MFTISRLADFVVKEPMVIGHECAGIIEEVGGEVKNLVPGDRVALEPGISCWRCDLCKEGRYNLCPEMKFFATPPVHGSLANQVVHPADLCFKLPDNVSLEEGAMCEPLSVGVHACRRANIGPGKNVLVMGAGPIGLVTMLAARAFGAPRIVVVDVDDNRLSVAKDLGADGVVKVSTNMQDVPEEVERICKVMGAGVDVSFDCAGFNKTMSTALSATRAGGKVCLVGMGHSEMTVPLTPAAAREVDIIGIFRYKNTWPLCLEFLRSGKIDVKPLITHRYGFSQ >EOY24811 pep chromosome:Theobroma_cacao_20110822:3:32307312:32308953:-1 gene:TCM_016306 transcript:EOY24811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKIWQGQNDMFCIICKTKLLFHEYMERLMKFTSRNIAIKPQSRLCFASIIGEHICTYLYAWSFFLSFFLPSCLCEHQENAKSTYTNLSTNAPIAMRSCSGCKAGVAKISVERCRL >EOY24886 pep chromosome:Theobroma_cacao_20110822:3:32569004:32575385:-1 gene:TCM_016366 transcript:EOY24886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter family protein isoform 2 MVDRRYQWKEVLLLAYQSFGVLFGDLSISPLYVYKSTFSGKLSNYQTEDVIFGAFSLIFWTFTLLSLFKHVIILLNADDNGEGGIFALYSLLCRHAKFSLLPNHQAADEELSTYHVLRYSSRNLQSSSAKKFAERHKKLKTALLLLVLFGTCLVICVGFLTPAMSVRSSIEGLKVRSNNLHYGVVVVIACILLVGLFVLQHRGTYRVAFMFAPILILWSLCIAAIGLYNIIKWNPRIYEALSPYYIYKFFRDTGRDGWISLGGVLLCITGTEALFADLGQYTAASIRLSFCGIIYPCLVLQYMGQAAFLSKNFAAVSTSFYASIPDSLFWPVLVLATLAAMVASQSVISATFSIVKQCYAIGCFPRVKIVHKSKWFRGQIYIPEINWVLLILSLAVMIGFRDINHLGNAYGFACMSATLVTTWLTAMVINFVWHQSLLLALLFVLFFGLVEIVFLSSSCVKIPKGGWVPLMLSVIFMLIMYVWHYGARKKYLYDLHNKVSMKWILTLGPSLGIVRVPGIGLIFTELVSGVPATFTHFLTNLPAFYQVVVFVCEKTVPVPYVPQKERYLIGRIGPKSFRMYRCIVRNGYKDVQKNEDDFENDLVMSIAEFIQLEAEGCGTVDGSLDGRLAVVRTSEKFGKRLEMSEPESNGEGSSSTSPAVLNGSKSHTLQYLQSTYELVSPRFSLRRRVRFKLQDVKYKDPNVKEELLELVEAKHSGVAYVLGHSHIKAKRNAPFLKRFVIHVAYSFLRKNCRAPAVVLNIPHTCLIEVGMNYYL >EOY24887 pep chromosome:Theobroma_cacao_20110822:3:32569268:32575157:-1 gene:TCM_016366 transcript:EOY24887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter family protein isoform 2 MQVDRRYQWKEVLLLAYQSFGVLFGDLSISPLYVYKSTFSGKLSNYQTEDVIFGAFSLIFWTFTLLSLFKHVIILLNADDNGEGGIFALYSLLCRHAKFSLLPNHQAADEELSTYHVLRYSSRNLQSSSAKKFAERHKKLKTALLLLVLFGTCLVICVGFLTPAMSVRSSIEGLKVRSNNLHYGVVVVIACILLVGLFVLQHRGTYRVAFMFAPILILWSLCIAAIGLYNIIKWNPRIYEALSPYYIYKFFRDTGRDGWISLGGVLLCITGTEALFADLGQYTAASIRLSFCGIIYPCLVLQYMGQAAFLSKNFAAVSTSFYASIPDSLFWPVLVLATLAAMVASQSVISATFSIVKQCYAIGCFPRVKIVHKSKWFRGQIYIPEINWVLLILSLAVMIGFRDINHLGNAYGFACMSATLVTTWLTAMVINFVWHQSLLLALLFVLFFGLVEIVFLSSSCVKIPKGGWVPLMLSVIFMLIMYVWHYGARKKYLYDLHNKVSMKWILTLGPSLGIVRVPGIGLIFTELVSGVPATFTHFLTNLPAFYQVVVFVCEKTVPVPYVPQKERYLIGRIGPKSFRMYRCIVRNGYKDVQKNEDDFENDLVMSIAEFIQLEAEGCGTVDGSLDGRLAVVRTSEKFGKRLEMSEPESNGEGSSSTSPAVLNGSKSHTLQYLQSTYELVSPRFSLRRRVRFKLQDVKYKDPNVKEELLELVEAKHSGVAYVLGHSHIKAKRNAPFLKRFVIHVAYSFLRKNCRAPAVVLNIPHTCLIEVGMNYYL >EOY22813 pep chromosome:Theobroma_cacao_20110822:3:24873451:24875444:1 gene:TCM_014872 transcript:EOY22813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein, putative MERQSEGTCIKKGPWTAEEDEVLLNYVKKYGPRGWSSIRSMGLLPRTGKSCRLRWVNKLRPNLKTGCKFSAEEERVVIELQAQFGNKWAKIARYLPGRTDNDVKNFWSARRKRLERILLTPTSNSHKNKGKDPVLHEMPMVEVPPSNFIPLEQGSSSHHDQAKRPSFSGNFEEFRMVPLPDLVQPDLPNLETGLPILDIATIQMIPSIEPSANYPVSLQPQLQLDLPSLTQCQDLAPEPNGLNFEGMFKHQEASESDSKPKWFTRFPSTGMNNNAQIGKKEDRRNPAIPDSFFDEFPSDMFDYLDPLPNSSEW >EOY24954 pep chromosome:Theobroma_cacao_20110822:3:32761276:32765434:1 gene:TCM_016407 transcript:EOY24954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crooked neck protein / cell cycle protein, putative isoform 1 MASKDADPSLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDSTELADYRLRKRKEFEDLIRRVRWNVSVWIKYAQWEESQKDFNRARSVWERALEVDYRNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQIFERWMSWMPDQQGWLSYIKFELRYNEVERARAIYERFVQCHPKVGAWIKYAKFEMKNGEIVRARNVYERAVEKLADEEDAEQLFVAFAEFEERCKETERARCIYKFALDHIPKGRAEDLYRKFVAFEKQYGDKEGIEDAIVGKRRFQYEGEVRKNPMNYDTWFDYIRLEESVGSKERIREAYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAGDTERTRDVYRECLKLIPHEKFSFAKIWLLAAQFEIRQLNLKGARQILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWAPENCYAWSKYAELERSLSETERARSIFELAITQPALDMPELLWKAYIDFEISEGEYEQTRGLYERLLDRTKHLKVWISYAKFEASAMEENNGGSDSPQDGVQEEKKECIQRARSDL >EOY24955 pep chromosome:Theobroma_cacao_20110822:3:32761276:32765811:1 gene:TCM_016407 transcript:EOY24955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crooked neck protein / cell cycle protein, putative isoform 1 MASKDADPSLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDSTELADYRLRKRKEFEDLIRRVRWNVSVWIKYAQWEESQKDFNRARSVWERALEVDYRNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQIFERWMSWMPDQQGWLSYIKFELRYNEVERARAIYERFVQCHPKVGAWIKYAKFEMKNGEIVRARNVYERAVEKLADEEDAEQLFVAFAEFEERCKETERARCIYKFALDHIPKGRAEDLYRKFVAFEKQYGDKEGIEDAIVGKRRFQYEGEVRKNPMNYDTWFDYIRLEESVGSKERIREAYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAGDTERTRDVYRECLKLIPHEKFSFAKIWLLAAQFEIRQLNLKGARQILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWAPENCYAWSKYAELERSLSETERARSIFELAITQPALDMPELLWKAYIDFEISEGEYEQTRGLYERLLDRTKHLKVWISYAKFEASAMEENNGGSDSPQDGVQEEKKECIQRARRV >EOY24953 pep chromosome:Theobroma_cacao_20110822:3:32761216:32766501:1 gene:TCM_016407 transcript:EOY24953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crooked neck protein / cell cycle protein, putative isoform 1 MASKDADPSLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDSTELADYRLRKRKEFEDLIRRVRWNVSVWIKYAQWEESQKDFNRARSVWERALEVDYRNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQIFERWMSWMPDQQGWLSYIKFELRYNEVERARAIYERFVQCHPKVGAWIKYAKFEMKNGEIVRARNVYERAVEKLADEEDAEQLFVAFAEFEERCKETERARCIYKFALDHIPKGRAEDLYRKFVAFEKQYGDKEGIEDAIVGKRRFQYEGEVRKNPMNYDTWFDYIRLEESVGSKERIREAYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAGDTERTRDVYRECLKLIPHEKFSFAKIWLLAAQFEIRQLNLKGARQILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWAPENCYAWSKYAELERSLSETERARSIFELAITQPALDMPELLWKAYIDFEISEGEYEQTRGLYERLLDRTKHLKVWISYAKFEASAMEENNGGSDSPQDGVQEEKKECIQRARRVFERAINYYRTSAPELKEERAMLLEEWLNMESSFGELGNISLVQSKLPKKLKKRKQITSEDGGVAGYEEYIDYLFPEENQTTNLKILEAAYKWKKQKISSDDD >EOY21959 pep chromosome:Theobroma_cacao_20110822:3:20095571:20102425:1 gene:TCM_014128 transcript:EOY21959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MQHKSHLLGCYTAWDLNLDVNGTVWPSDNADRIVRNRHYNNGALPLSSDLNILDNKDLLKQTMLKHEAEFRNQIHELHRLYRRQKELMDEMKKIELYKHHHSLGTLQPNQSPVSEAKDGNRGQAGHDPIHIECSSKAPEFMESNCKMFGKKILDLELPADEYIDSEEEGFSEVKMAPEVTDIPTNALKKIPEVKDRGDKELPISASGCNSVFPEGNFIPSSISLKSKVLADLNIPVKLEEDKIPELSDFQDPIIGHRETSLQDLSGKSNSSFEVLSKEVIPNSQIMRDPEADLDSLFLDKHNMQRERITCNDKAGQSRNDLNSSCQDLYTEKLSIEHIDDEQAEDSSTPHGLDEAKGKLCNEILQCVGGDISSHSYKPVATVDMRSSYQIVPLADKMNSESSSVSSWRRDLKRSPIAVQALPCFKGKSSKSFTRSLGLAGNELCLSTKLLSRPKLCSAATFPQESWQNDFQLEGQPPSTSSVSLNCNNDNGSAFERHSPAKYTKDFKYVMSVKSLDLNFVLPSFSTDVACSQGASSILGEKTLENSTGCSQIAETPIHDSKSGERKDQSVPLECVLKQANSVCVHDAELDKVEASNSLDFKRILGFHRYNKPPIPNGQCSSHASPAGNHSNSCAKEDIKDKEKDRLPDMNLEVDHVPFRGKQLAVAELFSKSKPCGKHPTFGVLIDLNSCLSLDASPLIPSHSNEIDLEPPASPENKERSPPRGESDENQLETPLVSSGQEDGDLQEALVRIAAEAIVSISSSEIQTCKESTSCEPFKASWNNSLYWFARVASSVVDDPGSEFGVNVGVKDHGDHEEYLSDGIDYFEAMTLNLTEITVEESWCKSNGQKKEEMSANFLRNQPKRGRTRRGRQQRKDFQSEILPSLASLSRYEVTEDLQMIGGLMEAAGARRESCSSRNVGRNGCAKGRRRSNARASNIMESTMNTLLKQQSVNDDVGIQQRRLIEWGKITRRPRGPRCPSSNPRLILGQV >EOY21962 pep chromosome:Theobroma_cacao_20110822:3:20097381:20102164:1 gene:TCM_014128 transcript:EOY21962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MTMLGLQADMQHKSHLLGCYTAWDLNLDVNGTVWPSDNADRIVRNRHYNNGALPLSSDLNILDNKDLLKQTMLKHEAEFRNQIHELHRLYRRQKELMDEMKKIELYKHHHSLGTLQPNQSPVSEAKDGNRGQAGHDPIHIECSSKAPEFMESNCKMFGKKILDLELPADEYIDSEEEGFSEVKMAPEVTDIPTNALKKIPEVKDRGDKELPISASGCNSVFPEGNFIPSSISLKSKVLADLNIPVKLEEDKIPELSDFQDPIIGHRETSLQDLSGKSNSSFEVLSKEVIPNSQIMRDPEADLDSLFLDKHNMQRERITCNDKAGQSRNDLNSSCQDLYTEKLSIEHIDDEQAEDSSTPHGLDEAKGKLCNEILQCVGGDISSHSYKPVATVDMRSSYQIVPLADKMNSESSSVSSWRRDLKRSPIAVQALPCFKGKSSKSFTRSLGLAGNELCLSTKLLSRPKLCSAATFPQESWQNDFQLEGQPPSTSSVSLNCNNDNGSAFERHSPAKYTKDFKYVMSVKSLDLNFVLPSFSTDVACSQGASSILGEKTLENSTGCSQIAETPIHDSKSGERKDQSVPLECVLKQANSVCVHDAELDKVEASNSLDFKRILGFHRYNKPPIPNGQCSSHASPAGNHSNSCAKEDIKDKEKDRLPDMNLEVDHVPFRGKQLAVAELFSKSKPCGKHPTFGVLIDLNSCLSLDASPLIPSHSNEIDLEPPASPENKERSPPRGESDENQLETPLVSSGQEDGDLQEALVRIAAEAIVSISSSEIQTCKESTSCEPFKASWNNSLYWFARVASSVVDDPGSEFGVNVGVKDHGDHEEYLSDGIDYFEAMTLNLTEITVEESWCKSNGQKKEEMSANFLRNQPKRGRTRRGRQQRKDFQSEILPSLASLSRYEVTEDLQMIGGLMEAAGARRESCSSRNVGRNGCAKGRRRSNARASNIMESTMNTLLKQQSVNDDVGIQQRRLIEWGKITRRPRGPRCPSSNPRLILGQV >EOY21958 pep chromosome:Theobroma_cacao_20110822:3:20095571:20102425:1 gene:TCM_014128 transcript:EOY21958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MTMLGLQADMQHKSHLLGCYTAWDLNLDVNGTVWPSDNADRIVRNRHYNNGALPLSSDLNILDNKDLLKQTMLKHEAEFRNQIHELHRLYRRQKELMDEMKKIELYKHHHSLGTLQPNQVLSPKSSNHVQLPQHTSTSINLVHSQSPVSEAKDGNRGQAGHDPIHIECSSKAPEFMESNCKMFGKKILDLELPADEYIDSEEEGFSEVKMAPEVTDIPTNALKKIPEVKDRGDKELPISASGCNSVFPEGNFIPSSISLKSKVLADLNIPVKLEEDKIPELSDFQDPIIGHRETSLQDLSGKSNSSFEVLSKEVIPNSQIMRDPEADLDSLFLDKHNMQRERITCNDKAGQSRNDLNSSCQDLYTEKLSIEHIDDEQAEDSSTPHGLDEAKGKLCNEILQCVGGDISSHSYKPVATVDMRSSYQIVPLADKMNSESSSVSSWRRDLKRSPIAVQALPCFKGKSSKSFTRSLGLAGNELCLSTKLLSRPKLCSAATFPQESWQNDFQLEGQPPSTSSVSLNCNNDNGSAFERHSPAKYTKDFKYVMSVKSLDLNFVLPSFSTDVACSQGASSILGEKTLENSTGCSQIAETPIHDSKSGERKDQSVPLECVLKQANSVCVHDAELDKVEASNSLDFKRILGFHRYNKPPIPNGQCSSHASPAGNHSNSCAKEDIKDKEKDRLPDMNLEVDHVPFRGKQLAVAELFSKSKPCGKHPTFGVLIDLNSCLSLDASPLIPSHSNEIDLEPPASPENKERSPPRGESDENQLETPLVSSGQEDGDLQEALVRIAAEAIVSISSSEIQTCKESTSCEPFKASWNNSLYWFARVASSVVDDPGSEFGVNVGVKDHGDHEEYLSDGIDYFEAMTLNLTEITVEESWCKSNGQKKEEMSANFLRNQPKRGRTRRGRQQRKDFQSEILPSLASLSRYEVTEDLQMIGGLMEAAGARRESCSSRNVGRNGCAKGRRRSNARASNIMESTMNTLLKQQSVNDDVGIQQRRLIEWGKITRRPRGPRCPSSNPRLILGQV >EOY21961 pep chromosome:Theobroma_cacao_20110822:3:20095705:20102164:1 gene:TCM_014128 transcript:EOY21961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MQHKSHLLGCYTAWDLNLDVNGTVWPSDNADRIVRNRHYNNGALPLSSDLNILDNKDLLKQTMLKHEAEFRNQIHELHRLYRRQKELMDEMKKIELYKHHHSLGTLQPNQVLSPKSSNHVQLPQHTSTSINLVHSQSPVSEAKDGNRGQAGHDPIHIECSSKAPEFMESNCKMFGKKILDLELPADEYIDSEEEGFSEVKMAPEVTDIPTNALKKIPEVKDRGDKELPISASGCNSVFPEGNFIPSSISLKSKVLADLNIPVKLEEDKIPELSDFQDPIIGHRETSLQDLSGKSNSSFEVLSKEVIPNSQIMRDPEADLDSLFLDKHNMQRERITCNDKAGQSRNDLNSSCQDLYTEKLSIEHIDDEQAEDSSTPHGLDEAKGKLCNEILQCVGGDISSHSYKPVATVDMRSSYQIVPLADKMNSESSSVSSWRRDLKRSPIAVQALPCFKGKSSKSFTRSLGLAGNELCLSTKLLSRPKLCSAATFPQESWQNDFQLEGQPPSTSSVSLNCNNDNGSAFERHSPAKYTKDFKYVMSVKSLDLNFVLPSFSTDVACSQGASSILGEKTLENSTGCSQIAETPIHDSKSGERKDQSVPLECVLKQANSVCVHDAELDKVEASNSLDFKRILGFHRYNKPPIPNGQCSSHASPAGNHSNSCAKEDIKDKEKDRLPDMNLEVDHVPFRGKQLAVAELFSKSKPCGKHPTFGVLIDLNSCLSLDASPLIPSHSNEIDLEPPASPENKERSPPRGESDENQLETPLVSSGQEDGDLQEALVRIAAEAIVSISSSEIQTCKESTSCEPFKASWNNSLYWFARVASSVVDDPGSEFGVNVGVKDHGDHEEYLSDGIDYFEAMTLNLTEITVEESWCKSNGQKKEEMSANFLRNQPKRGRTRRGRQQRKDFQSEILPSLASLSRYEVTEDLQMIGGLMEAAGARRESCSSRNVGRNGCAKGRRRSNARASNIMESTMNTLLKQQSVNDDVGIQQRRLIEWGKITRRPRGPRCPSSNPRLILGQV >EOY21963 pep chromosome:Theobroma_cacao_20110822:3:20095705:20102164:1 gene:TCM_014128 transcript:EOY21963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MLKHEAEFRNQIHELHRLYRRQKELMDEMKKIELYKHHHSLGTLQPNQVLSPKSSNHVQLPQHTSTSINLVHSQSPVSEAKDGNRGQAGHDPIHIECSSKAPEFMESNCKMFGKKILDLELPADEYIDSEEEGFSEVKMAPEVTDIPTNALKKIPEVKDRGDKELPISASGCNSVFPEGNFIPSSISLKSKVLADLNIPVKLEEDKIPELSDFQDPIIGHRETSLQDLSGKSNSSFEVLSKEVIPNSQIMRDPEADLDSLFLDKHNMQRERITCNDKAGQSRNDLNSSCQDLYTEKLSIEHIDDEQAEDSSTPHGLDEAKGKLCNEILQCVGGDISSHSYKPVATVDMRSSYQIVPLADKMNSESSSVSSWRRDLKRSPIAVQALPCFKGKSSKSFTRSLGLAGNELCLSTKLLSRPKLCSAATFPQESWQNDFQLEGQPPSTSSVSLNCNNDNGSAFERHSPAKYTKDFKYVMSVKSLDLNFVLPSFSTDVACSQGASSILGEKTLENSTGCSQIAETPIHDSKSGERKDQSVPLECVLKQANSVCVHDAELDKVEASNSLDFKRILGFHRYNKPPIPNGQCSSHASPAGNHSNSCAKEDIKDKEKDRLPDMNLEVDHVPFRGKQLAVAELFSKSKPCGKHPTFGVLIDLNSCLSLDASPLIPSHSNEIDLEPPASPENKERSPPRGESDENQLETPLVSSGQEDGDLQEALVRIAAEAIVSISSSEIQTCKESTSCEPFKASWNNSLYWFARVASSVVDDPGSEFGVNVGVKDHGDHEEYLSDGIDYFEAMTLNLTEITVEESWCKSNGQKKEEMSANFLRNQPKRGRTRRGRQQRKDFQSEILPSLASLSRYEVTEDLQMIGGLMEAAGARRESCSSRNVGRNGCAKGRRRSNARASNIMESTMNTLLKQQSVNDDVGIQQRRLIEWGKITRRPRGPRCPSSNPRLILGQV >EOY21960 pep chromosome:Theobroma_cacao_20110822:3:20095571:20102425:1 gene:TCM_014128 transcript:EOY21960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MLKHEAEFRNQIHELHRLYRRQKELMDEMKKIELYKHHHSLGTLQPNQSPVSEAKDGNRGQAGHDPIHIECSSKAPEFMESNCKMFGKKILDLELPADEYIDSEEEGFSEVKMAPEVTDIPTNALKKIPEVKDRGDKELPISASGCNSVFPEGNFIPSSISLKSKVLADLNIPVKLEEDKIPELSDFQDPIIGHRETSLQDLSGKSNSSFEVLSKEVIPNSQIMRDPEADLDSLFLDKHNMQRERITCNDKAGQSRNDLNSSCQDLYTEKLSIEHIDDEQAEDSSTPHGLDEAKGKLCNEILQCVGGDISSHSYKPVATVDMRSSYQIVPLADKMNSESSSVSSWRRDLKRSPIAVQALPCFKGKSSKSFTRSLGLAGNELCLSTKLLSRPKLCSAATFPQESWQNDFQLEGQPPSTSSVSLNCNNDNGSAFERHSPAKYTKDFKYVMSVKSLDLNFVLPSFSTDVACSQGASSILGEKTLENSTGCSQIAETPIHDSKSGERKDQSVPLECVLKQANSVCVHDAELDKVEASNSLDFKRILGFHRYNKPPIPNGQCSSHASPAGNHSNSCAKEDIKDKEKDRLPDMNLEVDHVPFRGKQLAVAELFSKSKPCGKHPTFGVLIDLNSCLSLDASPLIPSHSNEIDLEPPASPENKERSPPRGESDENQLETPLVSSGQEDGDLQEALVRIAAEAIVSISSSEIQTCKESTSCEPFKASWNNSLYWFARVASSVVDDPGSEFGVNVGVKDHGDHEEYLSDGIDYFEAMTLNLTEITVEESWCKSNGQKKEEMSANFLRNQPKRGRTRRGRQQRKDFQSEILPSLASLSRYEVTEDLQMIGGLMEAAGARRESCSSRNVGRNGCAKGRRRSNARASNIMESTMNTLLKQQSVNDDVGIQQRRLIEWGKITRRPRGPRCPSSNPRLILGQV >EOY20574 pep chromosome:Theobroma_cacao_20110822:3:207403:209788:1 gene:TCM_011960 transcript:EOY20574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFRGSIGDADQVFDMCKIRVASWTKAKWPQDFRSILDTFRSPIAGAVTKKEMEKPSVVDSWTKPSQGEMKFKADGAARGCSGEAGIGGALRDEKGQIKILFLKCIGEGDSNLAEVMAIREAFLIFFCFEMGAVSYFDHWKRLG >EOY22238 pep chromosome:Theobroma_cacao_20110822:3:22557239:22558355:-1 gene:TCM_014459 transcript:EOY22238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFFLFFEENSNRFYKPKKIKITAKVGFLYIIMKASSLSCCSGRGCYFSSAACGLRQALRCCSPIWIWSMC >EOY23132 pep chromosome:Theobroma_cacao_20110822:3:26309478:26314149:1 gene:TCM_015118 transcript:EOY23132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase, putative MEFKLLLQFLCFSHLLLLISGAIREQGERNPDREVLVSFKTGLQNRHLLSSWNQKIHYCKWDGVTCQLGRVTTLALPSRSLKGPLSPSLSSLSSLTVLDLSANFLFGQIPTELSELTLLETLKLGSNFFTGKIPPELGGLKALRKLDLSTNALSGTVPSQLGQLTQLQFLDLGNNFISGSLPSTLFRNLQSLTSLDISNNSFSGNIPPEIGELKNLTALYIGINQFTGRLPPEIGKLSLLENFFSPSCSMAGPLPEELSNLKSLSKLDLSYNPLKCSIPKSIGKLQNLSILNLVYSELNGSVPAELGNCQNLKMLMLSFNSLSGSLPEELSNLPILTFSAEKNQLSGPLPPWLGKWNQVESLLLSSNRFSGNIPPQIGNCSMLKHLSLSNNMLAGWIPRELCNAESLLEIDLDGNNLSGSIENVFVKCRNLTQLVLVNNHINGSIPEYLSELPLMVIDLDSNNFTGSIPVSLWSSTNLMEFSAGNNMLEGSLPVEIGNAVILETLVLSNNHLTGSIPKEIGNLTALSVLNLNSNFLQGHIPVEIGDCTALTTLDLGNNNFSGSIPVELADLDQLQCLVLSHNNLSGSIASKPSSYFHQANMPDLSFVQHHGVFDLSNNRLSGPIPEELGNCVVVVDLLLNNNMLTGKIPGSLSRLTNLTTLDLSGNLLTGSIPIEFGDSLKLQGLYLGNNQLTGTIPGSLGQVGSLVKLNLTGNKLSGVVPASFGNLNELTHLDLSHNELAGELPSSLSQMLNLVGIYVQQNRLSGTLDNLFPISLAWKIEDMNFSNNIFDGNLPQSLGNLSYLTYLDLHGNKFTGEIPSEIGNLMQLEYFDASGNRLSGQIPENLCGLFGLFYLNLAENRLGGPVPRNGICQNLSRIFLAGNNDLCGRIMGLECQVRSFDRSSLLNAWGLAGVVAGSVFIIFTSAFALRRWITRSSQHSDPEEIEESKLSSFMDQNLYFLSSSRSKEPLSINIAMFEQPLLKLTLADILEGTNHFCKTNIIGDGGFGTVYKATLPSGKTVAVKKLSQAKTQGHREFIAEMETLGKVKHQNLVPLLGYCSLGEEKLLVYEYMVNGSLDLWLRNRSGALDALDWSKRFKIAMGAARGLAFLHHGFIPHIIHRDIKASNILLSEDFEAKVADFGLARLISACETHVSTDIAGTFGYIPPEYGQSGRSTTKGDVYSFGVILLELVTGKEPTGPDFKEIEGGNLVGWASKKIKKGQAADVLDAMVLNADSKQMMLQALSIAAVCLSDNPANRPTMLHVLKLLKGIKDE >EOY24300 pep chromosome:Theobroma_cacao_20110822:3:30470886:30472933:1 gene:TCM_015943 transcript:EOY24300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylamine-glycine ligase, putative isoform 2 MSLTRRVSSSLPQFVGFAKRSFKSYKLPGDPSSSPSLTYGIHIFHCPDEVGIVAKLSECIASRGGNILGADVFVPENKNVFYSRSEFVFDPVKWPREQMDEDFMKLSRMYSATRSVVRVPDLDPKFKISVLASKQDHCLVDLLHGWQDRRLPVDITCVISNHHRDPNTHVMSFLERNNIPYHYLQTTKENKREGEILELVLNTDFLVLARYMQVLSGHFLRTYGKDVINIHHGLLPSFKGGNPCKQIMLAGF >EOY24299 pep chromosome:Theobroma_cacao_20110822:3:30470444:30474010:1 gene:TCM_015943 transcript:EOY24299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylamine-glycine ligase, putative isoform 2 MSLTRRVSSSLPQFVGFAKRSFKSYKLPGDPSSSPSLTYGIHIFHCPDEVGIVAKLSECIASRGGNILGADVFVPENKNVFYSRSEFVFDPVKWPREQMDEDFMKLSRMYSATRSVVRVPDLDPKFKISVLASKQDHCLVDLLHGWQDRRLPVDITCVISNHHRDPNTHVMSFLERNNIPYHYLQTTKENKREGEILELVLNTDFLVLARYMQVLSGHFLRTYGKDVINIHHGLLPSFKGGNPCKQAFDAGVKLIGATSHFVTEELDSGPIIEQMVERVSHRDNLQSFVQKSENLERQCLSKAIRSYCELRVLPYEENRTVVF >EOY24301 pep chromosome:Theobroma_cacao_20110822:3:30470444:30474088:1 gene:TCM_015943 transcript:EOY24301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylamine-glycine ligase, putative isoform 2 MSLTRRVSSSLPQFVGFAKRSFKSYKLPGDPSSSPSLTYGIHIFHCPDEVGIVAKLSECIASRGGNILGADVFVPENKNVFYSRSEFVFDPVKWPREQMDEDFMKLSRMYSATRSVVRVPDLDPKFKISVLASKQDHCLVDLLHGWQDRRLPVDITCVISNHHRDPNTHVMSFLERNNIPYHYLQTTKENKREGEILELVLNTDFLVLARYMQVLSGHFLRTYGKDVINIHHGLLPSFKGGNPCKQQAFDAGVKLIGATSHFVTEELDSGPIIEQMVERVSHRDNLQSFVQKSENLERQCLSKAIRSYCELRVLPYEENRTVVF >EOY21745 pep chromosome:Theobroma_cacao_20110822:3:17886502:17889400:-1 gene:TCM_013854 transcript:EOY21745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEQHPFSLVAFVSTGSELHRGCPALTLASQAVNLSLATTISSTVGKWGLEVSINIWWLGEFSRVRKGDLKLRFIFGGIGSSHN >EOY20534 pep chromosome:Theobroma_cacao_20110822:3:12557:19261:-1 gene:TCM_011929 transcript:EOY20534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein MGWIGDTVDSIKSIQIRQLLTQAVSLGMIVTSALIIWKALMCITGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNVDGREIPIVHRVIKVHEREDTGEVDVLTKGDNNYGDDRLLYAQGQLWLQRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGWYKLGRLKSGSRNVQKFQRRSSTPLALQRSKGATSSQKQKSQCRNAKASAPQHWEGTNSSSCSAAALIAQRCSAEKPPFPAETMPQR >EOY24909 pep chromosome:Theobroma_cacao_20110822:3:32642332:32648056:1 gene:TCM_016380 transcript:EOY24909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane, putative MLGRKKGKEREREMKRAEKSGEGVAEKEENLCEKLSRRVLLVGNRKGGGPCTPLPSWKLYHPQARLHHNNIPPPPAAVSARKLAASLWEFHQYLPHHPKMHRGVNNTNGRYHQRHHANLFKDKGIDFSHFLADPCPSSDPDQPESASSLRRHIAQTLMKHHRSIEKNNHALQPVSPASYGSSMEVAPYNPAVTPSSSLDFRGRVGESHYNLKTSTELLKVLNRIWSLEEQHASNISLIKALKMELDHSRVRIKELFRDQQADRHEIDDLMKQIAEDKLVRKSKEQDRIHAAVQSVRDELEDERKLRKRSESLHRKLAREVSEAKASLSNALKELERERKSRKLLEDLCDEFARGIKSYEQEVHTLRQKSDEDWAGVADHDRLILHISESWLDERMQMKLEEAQSGFAEQNSIVDKLGFEIETFLQAKRVGTSVSKRTDYLSRKDRRKSLESVPLNEAVSAPKDVCGEEDSAGSDSNCFELNKPSSVDLKSNEDEAVNGDVEEIMKSNHAEKKPSSHEKSKSRHPSSLQVKFEEKMARAICNGNKKSQLADSEQENTGVGNTTEITVSQKFENDEATHYGSDGRKNKLDEIHGLSSNYVLDNLIRNHIALSEGGNVNPENDCGEASSSFPARRNQPSPVRQWMTKFTSPDVDVSEPSTKLPPGIKENTLKAKLLEARSKGQRSRLKIFKGKS >EOY24355 pep chromosome:Theobroma_cacao_20110822:3:30645141:30647468:1 gene:TCM_015978 transcript:EOY24355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein MATSLCLLYLLLFSTCWLTISMSEMVLLPLTHSLSKTQFTTPHHLLKTTSARSAARFHQRNHRHKQVSLPLSPGSDYTLSFTLGSPSSPTISLYLDTGSDLVWLPCSPFECILCESKPPLSPPLNLSSSATAVPCKSSACSAAHSSLPSSDLCAIARCPLDSIETSDCNSFPCPPFYYAYGDGSLIARLFKDSLTLPNSLSIQNFTFGCAHTALGEPVGVAGFGFGRLSLPAQLSSVSPQLGNRFSYCLVSHSFDSDKVGRPSPLILGRHEEQEKQFGNENVEFVYTDMLSNPKHPYFYSVGFEGISVGKRNIPAPENLKRVDRRGSGGMVVDSGTTFTMLPASLYDSVVTEFDHRVGRVYERASEVEETTGMSPCYYYDKVAKVPVISLHFVGNGSSVVLPRRNYFYEFLDGGDGVRKKRNVGCLMLMNGGDEKELIGGPGATLGNYQQQGFEVVYDLEKRKVGFARRKCSSLWDNLKN >EOY21780 pep chromosome:Theobroma_cacao_20110822:3:18145871:18148523:-1 gene:TCM_013887 transcript:EOY21780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MVLVLALGDLHIPHRAADLPPKFKSMLVPGKIQHIICTGNLCIKEVQDYLKSLCPDLHITRGEYDEETRCPETKTLTIGQFKLGLCHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTTATTHSAH >EOY21779 pep chromosome:Theobroma_cacao_20110822:3:18144051:18148305:-1 gene:TCM_013887 transcript:EOY21779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MVLVLALGDLHIPHRAADLPPKFKSMLVPGKIQHIICTGNLCIKEVQDYLKSLCPDLHITRGEYDEETRCPETKTLTIGQFKLGLCHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTTATTHSAH >EOY22551 pep chromosome:Theobroma_cacao_20110822:3:23876775:23878984:1 gene:TCM_014690 transcript:EOY22551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MLKVSASDRQLTTHLAAPSKDSKQPEKLTSNKPNHLQILKKCTHLIQFKQVHAQIIKTTLPQADTHLSKLIQALVGSAHLPYARLVLDQITEPSTFAFNTMIKGYGTNNLGDKGIDLYIQMRYRGLNPDNFTYPFLLKACNGLKQGKGVHSLVVKNKRFSSEIHFLTSLITFYCSVGDVESARLLFDRMPEKNVVTWTGIIKGYVKQKRYKEGIQLFYQMRNSGVEINELTLVCVLSACANLGALEIGKWVHEYTDRKKIFLNPKLGAALIDMYAKCGHIDKASQVFQTVPCKGVYVWNAMIGGLAMHGYGIEAIDRFMEMQGFGIKPDGITLIAVLSACSHSGLVVKGKEIFQSMRKVYEIEPTIKHYGCFVDLLCRAELLNEAYEIIINMPMEPNGVLWGTLLNACTATANIELAEAAMEQLMVLEPFNDGNYVLTSNIYAAKKRWDDVARIRKVLKHKQIVRNPGHSLIEVHNVVHEFMVGDGRHPCSEEIYDMLEKVAITLKE >EOY20987 pep chromosome:Theobroma_cacao_20110822:3:2125756:2130434:1 gene:TCM_012304 transcript:EOY20987 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H MLEQEEKEILPHQEITEMINLGNGEEKKEVKVGTSLSSDERQKLEELLHEYVDVFAWSYQDMPEVAKYPEWVANIVSVPKKDGKVRMCVDYRDLNRASPKDSFPLPHIDTLVDNTAKHALFSFMDGFSGYNQIKMAPEDMEKTTFVTMWGTFCYKVMPFGLKNAGATYQRAMVALFHDMMHKEIEVYVDDMITKSHTERDHTVNLKKLFERLRKFQLKLNPAKCTFGVTSGKLLGFIVSEKGIEVDQDKIRAIQELPPPKTQKEVRGFLGRLNYIARFISQLTCKCDPIFKLLRKRDPGEWNEECQIAFDKIKEYLTNPPVLMPPTVGKPLILYLTVNKNSMGCVLGQHDETGKKERAVYYLSKKFMEYESKYSALEKMCCALAWTAQRLRQYMLYHTTWLVAKLDPIKYIFEKPCLSGRIARWQVLLSEYDIVYVSQKSIKGSAIVDFLADRANEDYESVSFDFPDEDLMAVLHVEKVGPNELNPWKVYFDGASNALGHGIGAVLISPNGKYYPATTRLNFNCTNNMAEYEALVMGLQAAIEMKADAIDVYGDSALVICQMKGEWETRDPKLVPYKKLVTELSKQFKEISFNHLPREENQIADALATLAAMFKIKEAADDVCAKFKIKHHNSTTYRPKMNGAVEAANKNIMKIVEKMTEVYKDWHEKLPFALHAYRTAVRTSTGATPYSLVYGAEAVLPVEVEIPSLRVLMETKLEDAEWVRSCYEQLNLIEEKRLAALCHGQMYQRRMMRAYEKKVHPKQFREGELVLKRILPNQTDFRGKWMPNWEGPYVVKKAFSGGALILADMDGGDLPNPINADAVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXC >EOY22290 pep chromosome:Theobroma_cacao_20110822:3:22851744:22852708:1 gene:TCM_014508 transcript:EOY22290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASMPKFSYHRLKNEGWFDEVEEEQAILFKKTRKWSRGLRRFGIRKRPKLRIPGLRRFVRKRSRFLSKVKLSWGKALKRLKNGQAHMNDLFGGNFLVLQVNHTPFRSGEKPCRDHGLRGW >EOY23699 pep chromosome:Theobroma_cacao_20110822:3:28278464:28279058:1 gene:TCM_015507 transcript:EOY23699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat and KH domain-containing protein R11A8.7, putative MAVATIYAAIPAVVAAAVGIYSFDRHSGAEEFGGGIRSSIGSMLAKPRQKLKTTLPPKVAPQFDGLHCFETLVGC >EOY20904 pep chromosome:Theobroma_cacao_20110822:3:1707729:1713654:1 gene:TCM_012237 transcript:EOY20904 gene_biotype:protein_coding transcript_biotype:protein_coding description:C3HC zinc finger-like, putative isoform 1 MAQNSQERFHSIMNKIFHAPKPTPSSSSSLGGQSLRGKKRPNPSSALALEEPQHCLVTAEAPLCRPWDRGDLVRRLSTFKSMTWFAKPKVVSAVNCARRGWVNVDMDIIACESCGARLLFSTPPSWTQQQVEKVALVFSLKLDSGHKLLCPWINIACEERLAEFPPIMPADLVEKFKERSCSLCQLLALPVISSSAIEFMKSPQLEEFLRQPFMLDYQKDSAEFSQSETIESGSDVDSTNMYYQAQKLISLCGWEPRSLPYVVECKDGPNHVVKDADILNLSQGVDNGRNPSLSIHSIDEHENLEANKESENSCRLQYDPKSVVLDCRLCGASVGLWTFSTVQQPVEFFRVVGYAEVSPEVHDSGHESNVGERVVAVASNVGSSSMEQSSNLKLTIAGGPPPTRQNIKATISLPVIGQSLRARLLYHPEFREQIHINQEDTRPEANCNMIQGEEGQVVPLEDMRTSNKEKDDQVNCNSTSNDQSPCSNHDSARDDIFRNLMPLEGTGLTAEETYPDNGMYDSNMRGQIESFQNVAQGSYESNELPQNVSGHLAIIGSGTLQVGESSVMTQGANVSLGNAGTKDNDSSVMITSEKCHPEQIAETDKVCNKEISLSNHQESTCAASGLEADVNIDGTYKMNSTEDKTCSDAPNANSQEGVIAGRQTAQNNKVLACAKGKDLKQLCMDKITEFDPIRQHRHFCPWIASAGSSTPGWKQTLSALLGGKNFPHSSPTCSPSSASLIKVDDPIASVRKLFASPIAKRPKNTHESS >EOY20905 pep chromosome:Theobroma_cacao_20110822:3:1707927:1713654:1 gene:TCM_012237 transcript:EOY20905 gene_biotype:protein_coding transcript_biotype:protein_coding description:C3HC zinc finger-like, putative isoform 1 MAQNSQERFHSIMNKIFHAPKPTPSSSSSLGGQSLRGKKRPNPSSALALEEPQHCLVTAEAPLCRPWDRGDLVRRLSTFKSMTWFAKPKVVSAVNCARRGWVNVDMDIIACESCGARLLFSTPPSWTQQQVEKVALVFSLKLDSGHKLLCPWINIACEERLAEFPPIMPADLVEKFKERSCSLCQLLALPVISSSAIEFMKSPQLEEFLRQPFMLDYQKDSAEFSQSETIESGSDVDSTNMYYQAQKLISLCGWEPRSLPYVVECKDGPNHVVKDADILNLSQGVDNGRNPSLSIHSIDEHENLEANKESENSCRLQYDPKSVVLDCRLCGASVGLWTFSTVQQPVEFFRVVGYAEVSPEVHDSGHESNVGERVVAVASNVGSSSMEQSSNLKLTIAGGPPPTRQNIKATISLPVIGQSLRARLLYHPEFREQIHINQEDTRPEANCNMIQGEEGQVVPLEDMRTSNKEKDDQVNCNSTSNDQSPCSNHDSARDDIFRNLMPLEGTGLTAEETYPDNGTKDNDSSVMITSEKCHPEQIAETDKMNSTEDKTCSDAPNANSQEGVIAGRQTAQNNKVLACAKGKDLKQLCMDKITEFDPIRQHRHFCPWIASAGSSTPGWKQTLSALLGGKNFPHSSPTCSPSSASLIKVDDPIASVRKLFASPIAKRPKNTHESS >EOY20906 pep chromosome:Theobroma_cacao_20110822:3:1707927:1713654:1 gene:TCM_012237 transcript:EOY20906 gene_biotype:protein_coding transcript_biotype:protein_coding description:C3HC zinc finger-like, putative isoform 1 MAQNSQERFHSIMNKIFHAPKPTPSSSSSLGGQSLRGKKRPNPSSALALEEPQHCLVTAEAPLCRPWDRGDLVRRLSTFKSMTWFAKPKVVSAVNCARRGWVNVDMDIIACESCGARLLFSTPPSWTQQQVEKVALVFSLKLDSGHKLLCPWINIACEERLAEFPPIMPADLVEKFKERSCSLCQLLALPVISSSAIEFMKSPQLEEFLRQPFMLDYQKDSAEFSQSETIESGSDVDSTNMYYQAQKLISLCGWEPRSLPYVVECKDGPNHVVKDADILNLSQGVDNGRNPSLSIHSIDEHENLEANKESENSCRLQYDPKSVVLDCRLCGASVGLWTFSTVQQPVEFFRVVGYAEVSPEVHDSGHESNVGERVVAVASNVGSSSMEQSSNLKLTIAGGPPPTRQNIKATISLPVIGQSLRARLLYHPEFREQIHINQEDTRPEANCNMIQGEEGQVVPLEDMRTSNKEKDDQVNCNSTSNDQSPCSNHDSARDDIFRNLMPLEGTGLTAEETYPDNGTKDNDSSVMITSEKCHPEQIAETDKVCNKEISLSNHQESTCAASGLEADVNIDGTYKMNSTEDKTCSDAPNANSQEGVIAGRQTAQNNKVLACAKGKDLKQLCMDKITEFDPIRQHRHFCPWIASAGSSTPGWKQTLSALLGGKNFPHSSPTCSPSSASLIKVDDPIASVRKLFASPIAKRPKNTHESS >EOY22523 pep chromosome:Theobroma_cacao_20110822:3:23777434:23780538:1 gene:TCM_014669 transcript:EOY22523 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA damage tolerance protein rad31, putative isoform 2 MDGEELTEQETALYDRQIRVWGADAQRRLSKSHILVYGIKGTVAEFCKNIVLAGVGSLTLVDDRVVNEEALSANFLILPDDNLFQGKTLAEVCCDSLKEFNPMVRVSVEKGDISTFGVEFFEKFDVVVISCCSLAKKLINQKCRKLSKRVAFYTVDCRGSCGEIFVDLQNYKYSKKKLEENIDCQLEYPSFEEAISVPWRALPRRVSKLYFAMRVIEGFEDVEGSNPGETLIADLPGVLKLRKELCETNSLNESQIPNALLERLLIGTREYPPVCAIIGGILGQEVIKAISGKGDPLKNFFFFDAMDGKGLIEDISEPNTGS >EOY22520 pep chromosome:Theobroma_cacao_20110822:3:23776415:23780685:1 gene:TCM_014669 transcript:EOY22520 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA damage tolerance protein rad31, putative isoform 2 MPKKLKHQNNEQDAGLKELLRIVDEPKARWILWRTQPLIPIFSRFARLLKVASFYGYCEHLEFALKLTVQVVVEQETALYDRQIRVWGADAQRRLSKSHILVYGIKGTVAEFCKNIVLAGVGSLTLVDDRVVNEEALSANFLILPDDNLFQGKTLAEVCCDSLKEFNPMVRVSVEKGDISTFGVEFFEKFDVVVISCCSLAKKKLINQKCRKLSKRVAFYTVDCRGSCGEIFVDLQNYKYSKKKLEENIDCQLEYPSFEEAISVPWRALPRRVSKLYFAMRVIEGFEDVEGSNPGETLIADLPGVLKLRKELCETNSLNESQIPNALLERLLIGTREYPPVCAIIGGILGQEVIKAISGKGDPLKNFFFFDAMDGKGLIEDISEPNTGS >EOY22521 pep chromosome:Theobroma_cacao_20110822:3:23777390:23780378:1 gene:TCM_014669 transcript:EOY22521 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA damage tolerance protein rad31, putative isoform 2 MIAKLGFGVLMLKEGNFHILSKSHILVYGIKGTVAEFCKNIVLAGVGSLTLVDDRVVNEEALSANFLILPDDNLFQGKTLAEVCCDSLKEFNPMVRVSVEKGDISTFGVEFFEKFDVVVISCCSLAKKKLINQKCRKLSKRVAFYTVDCRGSCGEIFVDLQNYKYSKKKLEENIDCQLEYPSFEEAISVPWRALPRRVSKLYFAMRVIEGFEDVEGSNPGETLIADLPGVLKLRKELCETNSLNESQIPNALLERLLIGTREYPPVCAIIGGILGQEVIKAISGKGDPLKNFFFFDAMDGKGLIEDISE >EOY22522 pep chromosome:Theobroma_cacao_20110822:3:23776446:23780378:1 gene:TCM_014669 transcript:EOY22522 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA damage tolerance protein rad31, putative isoform 2 MIAKLGFGVLMLKEGNFHILSKSHILVYGIKGTVAEFCKNIVLAGVGSLTLVDDRVVNEEALSANFLILPDDNLFQGKTLAEVCCDSLKEFNPMVRVSVEKGDISTFGVEFFEKFDVVVISCCSLAKKKLINQKCRKLSKRVAFYTVDCRGSCGEIFVDLQNYKYSKKKLEENIDCQLEYPSFEEAISVPWRALPRRVSKLYFAMRVIEGFEDVEGSNPGETLIADLPGVLKLRKELCETNSLNESQIPNALLERLLIGTREYPPVCAIIGGILGQEVIKAISGKGDPLKNFFFFDAMDGKGLIEDISE >EOY25107 pep chromosome:Theobroma_cacao_20110822:3:33262406:33266441:-1 gene:TCM_016521 transcript:EOY25107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MVASSSAAKAAKTNNHKQTGSTAKTTDFKTKAKEPPTPAQRRAKQAVDKKYRANKKRRFEELEQENLRMGAELEEKNSEIIRITKENQELGRALERREAIVGQMKRIPCDWKVDGKNFTSFDEAETWFLSQTYDQGPNDTNNNTTASTAAGPSCVANHGLPNNPDNNPFSHDPAAGFPTARTAGSIFVEYPELASTFDAPYNPSISDLFTDHDLAAEFPTLSAAGSSFAAYPELASVFDALDSHDISDLSTDHDLAAEFPTSSAAGSSFIAGHDLPVMVDVPNNHDTISNLVTRDPAADYKSGFPIAFVAGSSVANYDLHAPKSYDISGLFTHDPAADKKAGLSF >EOY25108 pep chromosome:Theobroma_cacao_20110822:3:33262443:33266529:-1 gene:TCM_016521 transcript:EOY25108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MVASSSAAKAAKTNNHKQTGSTAKTTDFKTKAKEPPTPAQRRAKQAVDKKYRANKKRRFEELEQENLRMGAELEEKNSEIIRITKENQELGRALERREAIVGQMKRIPCDWKVDGKNFTSFDERRKLGFCRRPMIKGPNDTNNNTTASTAAGPSCVANHGLPNNPDNNPFSHDPAAGFPTARTAGSIFVEYPELASTFDAPYNPSISDLFTDHDLAAEFPTLSAAGSSFAAYPELASVFDALDSHDISDLSTDHDLAAGHDLPVMVDVPNNHDTISNLVTRDPAADYKSGFPIAFVAGSSVANYDLHAPKSYDISGLFTHDPAADKKAGLSF >EOY25106 pep chromosome:Theobroma_cacao_20110822:3:33262406:33266529:-1 gene:TCM_016521 transcript:EOY25106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MVASSSAAKAAKTNNHKQTGSTAKTTDFKTKAKEPPTPAQRRAKQAVDKKYRANKKRRFEELEQENLRMGAELEEKNSEIIRITKENQELGRALERREAIVGQMKRIPCDWKVDGKNFTSFDEAETWFLSQTYDQGPNDTNNNTTASTAAGPSCVANHGLPNNPDNNPFSHDPAAGFPTARTAGSIFVEYPELASTFDAPYNPSISDLFTDHDLAAEFPTLSAAGSSFAAYPELASVFDALDSHDISDLSTDHDLAAEFPTSSAAGSSFIAGHDLPVMVDVPNNHDTISNLVTRDPAADYKSGFPIAFVAGSSVANYDLHAPKSYDISGLFTHDPAADKKAGLSF >EOY22634 pep chromosome:Theobroma_cacao_20110822:3:24283918:24295587:-1 gene:TCM_014749 transcript:EOY22634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 38 protein isoform 2 MAIGAWILIALMCVFWCEVESKYMVYNTTSKIAPGKLNVHLVAHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSIVPALLADKNRKFIYVEQAFFQRWWRDQSEAVQETVKQLINSGQLELINGGMCMHDEAATHYIDMIDQTTLGHRFIKSEFNVTPRIGWQIDPFGHSAVQAYLLSAEVGFDSLFFARIDYQDRAKRKDEKSLEVVWRGSKSLGSSSQIFAGVFPENYDPPSNFNYEVNDDSPIVQDNMELFDYNVPERVNEFVAAALSQANITRTNHVMWTMGTDFKYQYAHTWFRQMDKFIHYVNQDGRVNALYSTPSIYTDAKYATNKAWPLKTDDYFPYADRINAYWTGYFTSRPALKGYVRTMSSYYLAARQLEFFKGRSKVGPNTDSLADALAIAQHHDAVSGTSKQHVADDYAKRLSIGYEETAKVVESSLASMTRSSSKADSGSPVTEFHQCLLLNISYCPPSEVDLSNGKNLVVVVYNPLGWKREDVIRIPVIDENVIVKDSGGKEIESQLLPLQNASLAIRNYYSVAYLGKFPSATPKYWLAFSASAPPIGFNTYFISRGKRPVIATASKSHVVYSSEEKRSDVIEVGPGDVKLVYSKNQGKLIRYINSRTMVKESVRQSYSFYSGDDGSVDEQASGAYIFRPNHTYHTRSDGQASFTVLRGPLLDEVHQRINSWIYQITRVYKGKEYAEFEFTVGPIPIDDGIGKEVVTQISTHMKTNKTFYTDSSGRDFIERIRDYRKDWNLELNQPVAGNYYPIDLGLYIKDDSKELSVLVDRSVGGASIKDGQLELMLHRRLLHDDNRGVAEALNETVCVQNKCSGLTIVGKYYLRIDPLGEAAKWRRSFGQEIYSPFLLAFTEQDGDEWANSRILSFSGMDPSYVLPDNVAMITLQIGEDKDLSVMASVQVKKVFAHKKINEVTEMSLSANQGRAEMEKKRLVWKVEGSSGESPKVVRGGPVDPSILVVELAPMEIRTFVIEFH >EOY22633 pep chromosome:Theobroma_cacao_20110822:3:24283918:24295999:-1 gene:TCM_014749 transcript:EOY22633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 38 protein isoform 2 MAIGAWILIALMCVFWCEVESKYMVYNTTSKIAPGKLNVHLVAHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSIVPALLADKNRKFIYVEQAFFQRWWRDQSEAVQETVKQLINSGQLELINGGMCMHDEAATHYIDMIDQTTLGHRFIKSEFNVTPRIGWQIDPFGHSAVQAYLLSAEVGFDSLFFARIDYQDRAKRKDEKSLEVVWRGSKSLGSSSQIFAGVFPENYDPPSNFNYEVNDDSPIVQDNMELFDYNVPERVNEFVAAALSQANITRTNHVMWTMGTDFKYQYAHTWFRQMDKFIHYVNQDGRVNALYSTPSIYTDAKYATNKAWPLKTDDYFPYADRINAYWTGYFTSRPALKGYVRTMSSYYLAARQLEFFKGRSKVGPNTDSLADALAIAQHHDAVSGTSKQHVADDYAKRLSIGYEETAKVVESSLASMTRSSSKADSGSPVTEFHQCLLLNISYCPPSEVDLSNGKNLVVVVYNPLGWKREDVIRIPVIDENVIVKDSGGKEIESQLLPLQNASLAIRNYYSVAYLGKFPSATPKYWLAFSASAPPIGFNTYFISRGKRPVIATASKSHVVYSSEEKRSDVIEVGPGDVKLVYSKNQGKLIRYINSRTMVKESVRQSYSFYSGDDGSVDEQASGAYIFRPNHTYHTRSDGQASFTVLRGPLLDEVHQRINSWIYQITRVYKGKEYAEFEFTVGPIPIDDGIGKEVVTQISTHMKTNKTFYTDSSGRDFIERIRDYRKDWNLELNQPVAGNYYPIDLGLYIKDDSKELSVLVDRSVGGASIKDGQLELMLHRRLLHDDNRGVAEALNETVCVQNKCSGLTIVGKYYLRIDPLGEAAKWRRSFGQEIYSPFLLAFTEQDGDEWANSRILSFSGMDPSYVLPDNVAMITLQELDDGKVLLRLAHLYEIGEDKDLSVMASVQVKKVFAHKKINEVTEMSLSANQGRAEMEKKRLVWKVEGSSGESPKVVRGGPVDPSILVVELAPMEIRTFVIEFH >EOY22636 pep chromosome:Theobroma_cacao_20110822:3:24284191:24295549:-1 gene:TCM_014749 transcript:EOY22636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 38 protein isoform 2 MAIGAWILIALMCVFWCEVESKYMVYNTTSKIAPGKLNVHLVAHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSIVPALLADKNRKFIYVEQAFFQRWWRDQSEAVQETVKQLINSGQLELINGGMCMHDEAATHYIDMIDQTTLGHRFIKSEFNVTPRIGWQIDPFGHSAVQAYLLSAEVGFDSLFFARIDYQDRAKRKDEKSLEVVWRGSKSLGSSSQIFAGVFPENYDPPSNFNYEVNDDSPIVQDNMELFDYNVPERVNEFVAAALSQANITRTNHVMWTMGTDFKYQYAHTWFRQMDKFIHYVNQDGRVNALYSTPSIYTDAKYATNKAWPLKTDDYFPYADRINAYWTGYFTSRPALKGYVRTMSSYYLAARQLEFFKGRSKVGPNTDSLADALAIAQHHDAVSGTSKQHVADDYAKRLSIGYEETAKVVESSLASMTRSSSKADSGSPVTEFHQCLLLNISYCPPSEVDLSNGKNLVVVVYNPLGWKREDVIRIPVIDENVIVKDSGGKEIESQLLPLQNASLAIRNYYSVAYLGKFPSATPKYWLAFSASAPPIGFNTYFISRGKRPVIATASKSHVVYSSEEKRSDVIEVGPGDVKLVYSKNQGKLIRYINSRTMVKESVRQSYSFYSGDDGSVDEQASGAYIFRPNHTYHTRSDGQASFTVLRGPLLDEVHQRINSWIYQITRVYKGKEYAEFEFTVGPIPIDDGIGKEVVTQISTHMKTNKTFYTDSSGRDFIERFKINAVD >EOY22637 pep chromosome:Theobroma_cacao_20110822:3:24284191:24295549:-1 gene:TCM_014749 transcript:EOY22637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 38 protein isoform 2 MAIGAWILIALMCVFWCEVESKYMVYNTTSKIAPGKLNVHLVAHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSIVPALLADKNRKFIYVEQAFFQRWWRDQSEAVQETVKQLINSGQLELINGGMCMHDEAATHYIDMIDQTTLGHRFIKSEFNVTPRIGWQIDPFGHSAVQAYLLSAEVGFDSLFFARIDYQDRAKRKDEKSLEVVWRGSKSLGSSSQIFAGVFPENYDPPSNFNYEVNDDSPIVQDNMELFDYNVPERVNEFVAAALSQANITRTNHVMWTMGTDFKYQYAHTWFRQMDKFIHYVNQDGRVNALYSTPSIYTDAKYATNKAWPLKTDDYFPYADRINAYWTGYFTSRPALKGYVRTMSSYYLAARQLEFFKGRSKVGPNTDSLADALAIAQHHDAVSGTSKQHVADDYAKRLSIGYEETAKVVESSLASMTRSSSKADSGSPVTEFHQCLLLNISYCPPSEVDLSNGKNLVVVVYNPLGWKREDVIRIPVIDENVIVKDSGGKEIESQLLPLQNASLAIRNYYSVAYLGKFPSATPKYWLAFSASAPPIGFNTYFISRGKRPVIATASKSHVVYSSEEKRSDVIEVGPGDVKLVYSKNQGKLIRYINSRTMVKESVRQSYSFYSGDDGSVDEQASGAYIFRPNHTYHTRSDGQASFTVLRGPLLDEVHQRINSWIYQITRVYKGKEYAEFEFTVGPIPIDDGIGKEVVTQISTHMKTNKTFYTDSSGRDFIERFFGWHTCTRLVFCLMY >EOY22635 pep chromosome:Theobroma_cacao_20110822:3:24284191:24295490:-1 gene:TCM_014749 transcript:EOY22635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 38 protein isoform 2 MAIGAWILIALMCVFWCEVESKYMVYNTTSKIAPGKLNVHLVAHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSIVPALLADKNRKFIYVEQAFFQRWWRDQSEAVQETVKQLINSGQLELINGGMCMHDEAATHYIDMIDQTTLGHRFIKSEFNVTPRIGWQIDPFGHSAVQAYLLSAEVGFDSLFFARIDYQDRAKRKDEKSLEVVWRGSKSLGSSSQIFAGVFPENYDPPSNFNYEVNDDSPIVQDNMELFDYNVPERVNEFVAAALSQANITRTNHVMWTMGTDFKYQYAHTWFRQMDKFIHYVNQDGRVNALYSTPSIYTDAKYATNKAWPLKTDDYFPYADRINAYWTGYFTSRPALKGYVRTMSSYYLAARQLEFFKGRSKVGPNTDSLADALAIAQHHDAVSGTSKQHVADDYAKRLSIGYEETAKVVESSLASMTRSSSKADSGSPVTEFHQCLLLNISYCPPSEVDLSNGKNLVVVVYNPLGWKREDVIRIPVIDENVIVKDSGGKEIESQLLPLQNASLAIRNYYSVAYLGKFPSATPKYWLAFSASAPPIGFNTYFISRGKRPVIATASKSHVVYSSEEKRSDVIEVGPGDVKLVYSKNQGKLIRYINSRTMVKESVRQSYSFYSGDDGSVDEQASGAYIFRPNHTYHTRSDGQASFTVLRGPLLDEVHQRINSWIYQITRVYKGKEYAEFEFTVGPIPIDDGIGKEVVTQISTHMKTNKTFYTDSSGRDFIERIDLGLYIKDDSKELSVLVDRSVGGASIKDGQLELMLHRRLLHDDNRGVAEALNETVCVQNKCSGLTIVGKYYLRIDPLGEAAKWRRSFGQEIYSPFLLAFTEQDGDEWANSRILSFSGMDPSYVLPDNVAMITLQELDDGKVLLRLAHLYEIGEDKDLSVMASVQVKKVFAHKKINEVTEMSLSANQGRAEMEKKRLVWKVEGSSGESPKVVRGGPVDPSILVVELAPMEIRTFVIEFH >EOY22630 pep chromosome:Theobroma_cacao_20110822:3:24275932:24283212:-1 gene:TCM_014748 transcript:EOY22630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle-related / SRP-related isoform 4 MAKVNEATAMEIDDPNSNVSDQISPKYSVHVLQLLKSAQMQHGLRHGDYARYRRYCTARLRRLYKSLKFTHGRGKYTRKAITESTVTEVRFLHLVLYTAERAWSHAMEKRQLPDGPNARQRIYLIGRLRKAVKWADLFSHLCSVKGDSRTSLEAEAYASYMKGNLLFEQDQNWDTALRNFKSARAVYEELGKYGDVENQVLCRERVEELEPSIRYCLHKIGESNLQASELLQIGEMEGPALDLFKAKLEAVMAEARSQQAASLTEFYWLGNRFPITNAKTRVAILKAQELEKDLHGPSADSLSAEKRLATFDKIFTAYHEARSCIRSDLASAGNAENVKDDLNGLDKAVSAVLGQRTIERNQLLVSIAKSKLTRRRDDKNEKVTKPEELVRLYDLLLQNTADLSDLVSSGRDRKPEEVTFAEECQLKSLAFRAERCFYLARSYSLAGKRTEAYSLYCRARSLAENALQKFQSHSNIEQMMIKELKTLYDECRSYSCIEHATGIIEEEKAPENLSKKISTISLNGAHKKVEKYLLEKLDLYESAVSDSNVKALPRIEPFPPAFQSIPRNPIVLDLAYNAIDFPSLENRMKKDKKGFISRLWR >EOY22632 pep chromosome:Theobroma_cacao_20110822:3:24277806:24283287:-1 gene:TCM_014748 transcript:EOY22632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle-related / SRP-related isoform 4 MAKVNEATAMEIDDPNSNVSDQISPKYSVHVLQLLKSAQMQHGLRHGDYARYRRYCTARLRRLYKSLKFTHGRGKYTRKAITESTVTEVRFLHLVLYTAERAWSHAMEKRQLPDGPNARQRIYLIGRLRKAVKWADLFSHLCSVKGDSRTSLEAEAYASYMKGNLLFEQDQNWDTALRNFKSARAVYEELGKYGDVENQVLCRERVEELEPSIRYCLHKIGESNLQASELLQIGEMEGPALDLFKAKLEAVMAEARSQQAASLTEFYWLGNRFPITNAKTRVAILKAQELEKDLHGPSADSLSAEKRLATFDKIFTAYHEARSCIRSDLASAGNAENVKDDLNGLDKAVSAVLGQRTIERNQLLVSIAKSKLTRRRDDKNEKVTKPEELVRLYDLLLQLIYLI >EOY22629 pep chromosome:Theobroma_cacao_20110822:3:24276292:24283596:-1 gene:TCM_014748 transcript:EOY22629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle-related / SRP-related isoform 4 MAKVNEATAMEIDDPNSNVSDQISPKYSVHVLQLLKSAQMQHGLRHGDYARYRRYCTARLRRLYKSLKFTHGRGKYTRKAITESTVTEVRFLHLVLYTAERAWSHAMEKRQLPDGPNARQRIYLIGRLRKAVKWADLFSHLCSVKGDSRTSLEAEAYASYMKGNLLFEQDQNWDTALRNFKSARAVYEELGKYGDVENQVLCRERVEELEPSIRYCLHKIGESNLQASELLQIGEMEGPALDLFKAKLEAVMAEARSQQAASLTEFYWLGNRFPITNAKTRVAILKAQELEKDLHGPSADSLSAEKRLATFDKIFTAYHEARSCIRSDLASAGNAENVKDDLNGLDKAVSAVLGQRTIERNQLLVSIAKSKLTRRRDDKNEKVTKPEELVRLYDLLLQNTADLSDLVSSGRDRKPEEVTFAEECQLKSLAFRAERCFYLARSYSLAGKRTEAYSLYCRARSLAENALQKFQSHSNIEQMMIKELKTLYDECRSYSCIEHATGIIEEEKAPENLSKKISTISLNGAHKKVEKYLLEKLDLYESAVSDSNVKALPRIEPFPPAFQSIPRNPIVLDLAYNAIDFPSLENRMKKDKKGFISRLWR >EOY22631 pep chromosome:Theobroma_cacao_20110822:3:24277677:24283287:-1 gene:TCM_014748 transcript:EOY22631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle-related / SRP-related isoform 4 MAKVNEATAMEIDDPNSNVSDQISPKYSVHVLQLLKSAQMQHGLRHGDYARYRRYCTARLRRLYKSLKFTHGRGKYTRKAITESTVTEVRFLHLVLYTAERAWSHAMEKRQLPDGPNARQRIYLIGRLRKAVKWADLFSHLCSVKGDSRTSLEAEAYASYMKGNLLFEQDQNWDTALRNFKSARAVYEELGKYGDVENQVLCRERVEELEPSIRYCLHKIGESNLQASELLQIGEMEGPALDLFKAKLEAVMAEARSQQAASLTEFYWLGNRFPITNAKTRVAILKAQELEKDLHGPSADSLSAEKRLATFDKIFTAYHEARSCIRSDLASAGNAENVKDDLNGLDKAVSAVLGQRTIERNQLLVSIAKSKLTRRRDDKNEKVTKPEELVRLYDLLLQNTADLSDLVSSGRDRKPEEVTFAEECQLKSLAFRAERCFYLARSYSLAGKRTEAYSLYCRARSLAENALQKFQSHSNIEQVSTFIKGFAFPTIF >EOY22756 pep chromosome:Theobroma_cacao_20110822:3:24689917:24694101:-1 gene:TCM_014833 transcript:EOY22756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein, putative MQTMTSELDREQVVAGGTFLMFTNLGIPYLCICSQRLSRLMKLENTSALYCTNDASLHIQTFGGFRLNIALQQTNVICISEVRSSNYKQTSGIYIYIYFIPSNISVNLSFMAKLILLYFLAIFCFALTSDAATYVIGDTSGWDISTDLDSWASDKSFKVGDVLSFQYSSYHSVCEVRKGSFERCNTTDTLKTFSNGNTTVTLSKAGARYFVCGNQLHCLGGMKLQVNVEDDQASTTAGAPEAQPGATFRQPSSKSNNPSTVIPTSSGFVVGGIGSLVIALLHLMATMLILAQI >EOY23859 pep chromosome:Theobroma_cacao_20110822:3:28777465:28783050:1 gene:TCM_015617 transcript:EOY23859 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 11 isoform 3 MAVVENASNQDAAAAAVASNDQDQSKQNHVRSRIDPSLHQNDQGLYNKIGGPLHRSNGGDLQRSNGGGGGEVGDSFKRDMRELQELFSKLNPMAEEFVPHSLANHGLNGGFYTDNSFLHDNNNITRNGHANGNGAGRRKKNFSQGKRRMNSRTSMAQREEIIRRTVYVSDIDQQVTEEQLAGLFVSCGQVVVDCRICGDPNSVLRFAFIEFTDEEGARAALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKKVLLKLMLNSFLKQSVGRYTA >EOY23860 pep chromosome:Theobroma_cacao_20110822:3:28777665:28783425:1 gene:TCM_015617 transcript:EOY23860 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 11 isoform 3 MAVVENASNQDAAAAAVASNDQDQSKQNHVRSRIDPSLHQNDQGLYNKIGGPLHRSNGGDLQRSNGGGGGEVGDSFKRDMRELQELFSKLNPMAEEFVPHSLANHGLNGGFYTDNSFLHDNNNITRNGHANGNGAGRRKKNFSQGKRRMNSRTSMAQREEIIRRTVYVSENEDERQMCARTIYCTNIDKKVTQTDVKLFFETVCGEVYRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRAPRIPMH >EOY23858 pep chromosome:Theobroma_cacao_20110822:3:28777214:28783288:1 gene:TCM_015617 transcript:EOY23858 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 11 isoform 3 MAVVENASNQDAAAAAVASNDQDQSKQNHVRSRIDPSLHQNDQGLYNKIGGPLHRSNGGDLQRSNGGGGGEVGDSFKRDMRELQELFSKLNPMAEEFVPHSLANHGLNGGFYTDNSFLHDNNNITRNGHANGNGAGRRKKNFSQGKRRMNSRTSMAQREEIIRRTVYVSDIDQQVTEEQLAGLFVSCGQVVDCRICGDPNSVLRFAFIEFTDEEGARAALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRNEDERQMCARTIYCTNIDKKVTQTDVKLFFETVCGEVYRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRAPRIPMH >EOY23861 pep chromosome:Theobroma_cacao_20110822:3:28777635:28783425:1 gene:TCM_015617 transcript:EOY23861 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 11 isoform 3 MAVVENASNQDAAAAAVASNDQDQSKQNHVRSRIDPSLHQNDQGLYNKIGGPLHRSNGGDLQRSNGGGGGEVGDSFKRDMRELQELFSKLNPMAEEFVPHSLANHGLNGGFYTDNSFLHDNNNITRNGHANGNGAGRRKKNFSQGKRRMNSRTSMAQREEIIRRTVYVSENEDERQMCARTIYCTNIDKKVTQTDVKLFFETVCGEVYRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRAPRIPMH >EOY23862 pep chromosome:Theobroma_cacao_20110822:3:28777635:28783425:1 gene:TCM_015617 transcript:EOY23862 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 11 isoform 3 MAVVENASNQDAAAAAVASNDQDQSKQNHVRSRIDPSLHQNDQGLYNKIGGPLHRSNGGDLQRSNGGGGGEVGDSFKRDMRELQELFSKLNPMAEEFVPHSLANHGLNGGFYTDNSFLHDNNNITRNGHANGNGAGRRKKNFSQGKRRMNSRTSMAQREEIIRRTVYVSENEDERQMCARTIYCTNIDKKVTQTDVKLFFETVCGEVYRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRAPRIPMH >EOY23491 pep chromosome:Theobroma_cacao_20110822:3:27591147:27596688:-1 gene:TCM_015367 transcript:EOY23491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine synthase 3 isoform 3 MGEGAGRGLECQKIMDGKVNNGNGSEKAIPSCCLKARASAPELEAKCHSTVVSGWFSEYQSSSDKAGKRVFFNNPMWPGEAHSLKVENILYRGKSDYQEVLVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIRSPKTVLVVGGGDGGVLREIARHSSVERIDICEIDKMVIDVSKKFFPELAVGFEDPRVCLHVGDAVEFLRHVPKGKYDAIIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIEDMISICRETFKGSVHYAWASVPTYPSGVIGFLICSTEGPPVDFVNPINPIEKLDGAYHHKRELRFYNSEMHRAAFALPSFLKREVRLLCDSATTARGVCVS >EOY23488 pep chromosome:Theobroma_cacao_20110822:3:27591112:27596861:-1 gene:TCM_015367 transcript:EOY23488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine synthase 3 isoform 3 MGEGAGRGLECQKIMDGKVNNGNGSEKAIPSCCLKARASAPELEAKCHSTVVSGWFSEYQSSSGHYLDKAGKRVFFNNPMWPGEAHSLKVENILYRGKSDYQEVLVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIRSPKTVLVVGGGDGGVLREIARHSSVERIDICEIDKMVIDVSKKFFPELAVGFEDPRVCLHVGDAVEFLRHVPKGKYDAIIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIEDMISICRETFKGSVHYAWASVPTYPSGVIGFLICSTEGPPVDFVNPINPIEKLDGAYHHKRELRFYNSEMHRAAFALPSFLKREVRLLCDSATTARGVCVS >EOY23492 pep chromosome:Theobroma_cacao_20110822:3:27591147:27596688:-1 gene:TCM_015367 transcript:EOY23492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine synthase 3 isoform 3 MGEGAGRGLECQKIMDGKVNNGNGSEKAIPSCCLKARASAPELEAKCHSTVVSGWFSEYQSSSGHYLDKAGKRVFFNNPMWPGEAHSLKVENILYRGKSDYQEVLVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIRSPKTVLVVGGGDGGVLREIARHSSVERIDICEIDKMVIDVSKKFFPELAVGFEDPRVCLHVGDAVEFLRHVPKGKYDAIIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIEDMISICRETFKGSVHYAWASVPTYPSGVIGFLICSTEGPPVDFVNPINPIEKLDGAYHHKRELRFYNSEMHRAAFALPSFLKREVRLLCDSATTARGVCVS >EOY23496 pep chromosome:Theobroma_cacao_20110822:3:27591147:27596688:-1 gene:TCM_015367 transcript:EOY23496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine synthase 3 isoform 3 MGEGAGRGLECQKIMDGKVNNGNGSEKAIPSCCLKARASAPELEAKCHSTVVSGWFSEYQSSSDKAGKRVFFNNPMWPGEAHSLKVENILYRGKSDYQEVLVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIRSPKTVLVVGGGDGGVLREIARHSSVERIDICEIDKMVIDVSKKFFPELAVGFEDPRVCLHVGDAVEFLRHVPKGKYDAIIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIEDMISICRETFKGSVHYAWASVPTYPSGVIGFLICSTEGPPVDFVNPINPIEKLDGAYHHKRELRFYNSEMHRAAFALPSFLKREVRLLCDSATTARGVCVS >EOY23493 pep chromosome:Theobroma_cacao_20110822:3:27591722:27595145:-1 gene:TCM_015367 transcript:EOY23493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine synthase 3 isoform 3 MGEGAGRGLECQKIMDGKVNNGNGSEKAIPSCCLKARASAPELEAKCHSTVVSGWFSEYQSSSDKAGKRVFFNNPMWPGEAHSLKVENILYRGKSDYQEVLVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIRSPKTVLVVGGGDGGVLREIARHSSVERIDICEIDKMVIDVSKKFFPELAVGFEDPRVCLHVGDAVEFLRHVPKGKYDAIIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIEDMISICRETFKGSVHYAWASVPTYPSGVIGFLICSTEGPPVDFVNPINPIEKLDGAYHHKRELRFYNSEMHRAAFALPSFLKREVRLLCDSATTARGVCVS >EOY23489 pep chromosome:Theobroma_cacao_20110822:3:27591722:27595145:-1 gene:TCM_015367 transcript:EOY23489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine synthase 3 isoform 3 MGEGAGRGLECQKIMDGKVNNGNGSEKAIPSCCLKARASAPELEAKCHSTVVSGWFSEYQSSSGHYLDKAGKRVFFNNPMWPGEAHSLKVENILYRGKSDYQEVLVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIRSPKTVLVVGGGDGGVLREIARHSSVERIDICEIDKMVIDVSKKFFPELAVGFEDPRVCLHVGDAVEFLRHVPKGKYDAIIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIEDMISICRETFKGSVHYAWASVPTYPSGVIGFLICSTEGPPVDFVNPINPIEKLDGAYHHKRELRFYNSEMHRAAFALPSFLKREVRLLCDSATTARGVCVS >EOY23494 pep chromosome:Theobroma_cacao_20110822:3:27591722:27595145:-1 gene:TCM_015367 transcript:EOY23494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine synthase 3 isoform 3 MGEGAGRGLECQKIMDGKVNNGNGSEKAIPSCCLKARASAPELEAKCHSTVVSGWFSEYQSSSDKAGKRVFFNNPMWPGEAHSLKVENILYRGKSDYQEVLVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIRSPKTVLVVGGGDGGVLREIARHSSVERIDICEIDKMVIDVSKKFFPELAVGFEDPRVCLHVGDAVEFLRHVPKGKYDAIIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIEDMISICRETFKGSVHYAWASVPTYPSGVIGFLICSTEGPPVDFVNPINPIEKLDGAYHHKRELRFYNSEMHRAAFALPSFLKREVRLLCDSATTARGVCVS >EOY23490 pep chromosome:Theobroma_cacao_20110822:3:27591598:27596743:-1 gene:TCM_015367 transcript:EOY23490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine synthase 3 isoform 3 MGEGAGRGLECQKIMDGKVNNGNGSEKAIPSCCLKARASAPELEAKCHSTVVSGWFSEYQSSSDKAGKRVFFNNPMWPGEAHSLKVENILYRGKSDYQEVLVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIRSPKTVLVVGGGDGGVLREIARHSSVERIDICEIDKMVIDVSKKFFPELAVGFEDPRVCLHVGDAVEFLRHVPKGKYDAIIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIEDMISICRETFKGSVHYAWASVPTYPSGVIGFLICSTEGPPVDFVNPINPIEKLDGAYHHKRELRFYNSEMHRAAFALPSFLKREVRLLCDSATTARGVCVS >EOY23495 pep chromosome:Theobroma_cacao_20110822:3:27591722:27595145:-1 gene:TCM_015367 transcript:EOY23495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine synthase 3 isoform 3 MGEGAGRGLECQKIMDGKVNNGNGSEKAIPSCCLKARASAPELEAKCHSTVVSGWFSEYQSSSGHYLDKAGKRVFFNNPMWPGEAHSLKVENILYRGKSDYQEVLVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIRSPKTVLVVGGGDGGVLREIARHSSVERIDICEIDKMVIDVSKKFFPELAVGFEDPRVCLHVGDAVEFLRHVPKGKYDAIIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIEDMISICRETFKGSVHYAWASVPTYPSGVIGFLICSTEGPPVDFVNPINPIEKLDGAYHHKRELRFYNSEMHRAAFALPSFLKREVRLLCDSATTARGVCVS >EOY21415 pep chromosome:Theobroma_cacao_20110822:3:7607120:7607793:1 gene:TCM_012927 transcript:EOY21415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGHYFIANDYQTWKQIEDGSYKIEKDMANWNSHDLDLIELNAKDMHTIFSALREKQYNQVQNYENAKEIWDKLKELYG >EOY24667 pep chromosome:Theobroma_cacao_20110822:3:31857998:31859818:1 gene:TCM_016210 transcript:EOY24667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elicitor-responsive protein, putative MPQGTLEVVLVSAKGLENTDFLCNMDPYVLLTCRTQEQKSSVASGKGSDPEWNETFVFNISEGVSELKLKIMDSDCSSQDDFVGEATIPLEPVFMEGNLPPAAYNVVKDEEYHGEIRLGLTFTPEERHTRDFQVEESFGGWKQSSYRD >EOY20830 pep chromosome:Theobroma_cacao_20110822:3:1411056:1417848:1 gene:TCM_012170 transcript:EOY20830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase family protein isoform 1 MASAKKKATLFSSASSSPSSSSSPSSCCAVDSAVSAAPAAAAAANVVQEWTVASRDRRDDQRATKAAMASLIHPVDSLPDTSTAAPSTKGIQILPRAQTSHPLDPLSAAEISVAVATVRAAGATPEVRDGMRFVEVVLLEPDKHVVALADAYFFPPFQPSLLPRTKGGPVIPTKLPPRRARLIVYNKKSNETSVWIVELSEVHAVTRGGHHRGKVISSKVVPDVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMELVMVDPWCVGYHSDADAPSRRLAKPLIFCRTESDCPMENGYARPVEGIYVLVDMQKMKVIEFEDCKFVPLPLADPLRNYTPGETRGGVDRSDVKPLQIVQPEGPSFRVNGCFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALQPGEFRKYGTMIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEVNAKVEEPGENNVHNNAFYAEETLLKTELQAMRDCNPFTARHWIVRNTRTVNRTGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTRYAPDEMFPGGEFPNQNPRAGEGLATWVKQDRPLEETDIVLWYVFGITHVPRLEDWPVMPVEHIGFMLMPHGFFNCSPAVDVPPNACELDTKDNEIKENVVPKSTQNGLLAKL >EOY20831 pep chromosome:Theobroma_cacao_20110822:3:1411203:1416853:1 gene:TCM_012170 transcript:EOY20831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase family protein isoform 1 MASAKKKATLFSSASSSPSSSSSPSSCCAVDSAVSAAPAAAAAANVVQEWTVASRDRRDDQRATKAAMASLIHPVDSLPDTSTAAPSTKGIQILPRAQTSHPLDPLSAAEISVAVATVRAAGATPEVRDGMRFVEVVLLEPDKHVVALADAYFFPPFQPSLLPRTKGGPVIPTKLPPRRARLIVYNKKSNETSVWIVELSEVHAVTRGGHHRGKVISSKVVPDVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMELVMVDPWCVGYHSDADAPSRRLAKPLIFCRTESDCPMENGYARPVEGIYVLVDMQKMKVIEFEDCKFVPLPLADPLRNYTPGETRGGVDRSDVKPLQIVQPEGPSFRVNGCFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALQPGEFRKYGTMIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEVNAKVEEPGENNVHNNAFYAEETLLKTELQAMRDCNPFTARHWIVRNTRTVNRTGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTRYAPDEMFPGGEFPNQNPRAGEGLATWVKQDRPLEETDIVCFWNYPCPSIGRLACYASGAHWFHANAAWIL >EOY20887 pep chromosome:Theobroma_cacao_20110822:3:1659135:1664576:1 gene:TCM_012226 transcript:EOY20887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSCEHHATARRKITTHWIPPPLGSPKLNTDGAVKGKPGPAGIGGVLRDDQGFIKGTLSNHIDIEDSKVAEFQTIRGGIRFFLLSLEVESNSSNPISWAKDHSKRPPVNSLHADLASRGGFDGRDIAGCCCACRHGDLDHGAGVASLCRQAEARACSTGTVDHHRRCNLLPCPLLRSNPLRSMPNPQTPHLIRGKF >EOY22616 pep chromosome:Theobroma_cacao_20110822:3:24211311:24218231:-1 gene:TCM_014739 transcript:EOY22616 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLPC MAKVLAQSTIVPALVTSRSHGPSKESSKSKGSAKMMCSLQTPGLRIRSFSGLRGSNSLDNMVRFGQDFRSKVAISISSRRGRGSRCVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGEGNEVSVVPGGSTGNTKMPTLEEYGTNLTKLAEEGKLDPVVGRQVQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPDTIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDDALISAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEARELEKELRQITKSKNEAVRSQDFEKAGELRDREIELRAQITAIQEKDKEMNKAEAEAGEGGPVVTEVDIQHIVSAWTGIPVEKVSTDESDRLLKMEETLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKEIELQVTERFRERVVEEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDVDSDGNVTVLNGSSGAPESLADPIPVV >EOY21598 pep chromosome:Theobroma_cacao_20110822:3:13530432:13544186:1 gene:TCM_013491 transcript:EOY21598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMAARMDDIQKIVEGRPTVQESPSSQGVRSVELVLFRLEDVAQEWYRSLCRGKPTDAAPLTWIAIEEMKIQRFVDGLVEPLFRAVAALDFNTYFAAVDCTQLIEMRTSESRVVKDRAKRAKTEGYQGRRDFSSCISSSSRQGGIASMAYQSQVSARGSNQPTSSAPLVATSSDYEASGSRGRGAVTSSQGRPSRSGRQSSIGRAQVRVYALTPKEAQTFNAVVSGTLSVYNMDARVLFDPGATHSFISPCFALRLGKDCVRREELS >EOY21490 pep chromosome:Theobroma_cacao_20110822:3:9339283:9340255:-1 gene:TCM_013103 transcript:EOY21490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFTLDEICGSIVTHELQMKKEELEEKIEAKEKKKNLALKISTLEEELEDLSSDDDEELAMMARRFRKFMGRKSRRFGRKNLKKDQGFF >EOY21088 pep chromosome:Theobroma_cacao_20110822:3:2862183:2871918:-1 gene:TCM_012417 transcript:EOY21088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MINLSMLHMIMCSLLAEETSFSDLSAYKFIEISVWLLRLIISFNIYLLYQFFSLENFKMNSQLMLSTPSIFIFTFFLIGLFSLPISFCQDDENFEQCFSRFDCGDIKNLTYPYWTDDRPQLCKQEGFRLTKCEDEKPVIHIGRYEFRMVYLNHFTYAMTISRNDLWEKICPENPINVTLENPFLRYSPTNRHLTFFYNCNLSIQPSPNPFRCTEDLYSFYADDLVERARYGDLSDSCDTAIQVQVNQSAFAELQNQTPQRLEAWKLGFDVVYNLAEILCSACNNSRRGKCEILSSQYPICNNPDDVHFTSCPHPFDCGNLGNLSYPFSTDDRPAYCGYDHEVYKLKCIPNQPPYITISSQEFQVVHLNQAHGLMTIQRVESEENTCPEEIFTYNVFNYSDTAANITLLYGCPRRGFADNSFTCKKDQSETFAVFGNNDEYHCRGKVVEVPVEKKARDELIRGTRALDKTLFEPFEMRYFAYDHYCRQCKNSGGRCGSNKTLTAVFLCYCRDHPYPIKCERATETLSADWHFEACMPRSCGNGPNISYPFWISEEQESYCGYPNFEITCEEKNPVLAISEDSFIIKDIFYNNNSLLVVTAAVSEDDCPTPRQNLSLDRTPFSLNLVNVNLSFLYNCEKRPEYHTYPVSCASNASFHSFAVFHKEGLEKTNYSLDSCQSLIDAPVYINDDVDFASLLEMNYTQVLSMGFVLNWTAHSCSNCKRSGGRCGFDNTSEFVCFCSDGSHPKTCNDGGGINWKAKLGIGFGALVGGIIITSIGFFCWQRRHRGKVFFKSSFVSGKSSSDRSVMMDAEKGDSLAGVHLFSYEELEKATNKFDSDRELGDGGFGTVYYGKLRDGRAVAVKRLYENNYRRVEQFMNEVEILTRLRHKNLVSLYGCTSRHSRELLLVYEYIPNGTVADHLHGERAKPGALPWSIRLEIAIETAEALRFLHASDTIHRDVKTNNILLDSNFSVKVADFGLSRLFPTDVTHVSTAPRGLRVMSIQNITSAITLLTRVMSLALGLYWSS >EOY24533 pep chromosome:Theobroma_cacao_20110822:3:31360805:31363551:1 gene:TCM_016111 transcript:EOY24533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 4 MRRIHQFIVSHALLFLILASFGIRILTISLAATEKEILLQFKGNITVDPYKSLASWVSSGNPCVDFSGVFCNPEGFVDKIVLWNTSLSGQLPAALSGLSSLRVLTLFGNRFSGNIPQEYSLLQTLWKINVSSNALSGSIPDFIGDLPNIRFLDFSNNGYTGEIPFALFRNCYKTKYVSFSHNSLSGSIPESIVNCSKLEGFDFSFNNLTGELPSRICEISVLRYVSVGSNALSGTVLEEMSKCQSLLYLDLSRNLFTGLAPLGVLEFKNTSYFNVSHNGFFGEIPEIGTCSQSMEFIDASWNSLEGEIPTSISNCKSLKVLDLGFNRLNGTIPVNIGDLGRILAISLANNSLSGTIPTGFGSIELLQVLDLHNLDLSGGIPDEISNCRFLRELDVSGNTLEGQIPDTLYNMSNLEILDLHHNQLNGSIPSSLGNLSKIQFLDLSQNLLSGSIPPSLGNLNMLTHFNLSYNNLSGIIPNVQTIQSFGASAFSNNPGLCGSPLTSCSGSGTPSTSGKTKVLSVSAIVAIVAAAVILTGVCVVTIMNIRARSSKKEEVTVVVESTPPGSSDSNLIIGKLVLFSKSLPSKYEDWEAGTKALLDKECLIGGGSIGTVYRTSFEGGISIAVKKLETLGRIRNQDEFEQEIGRLGNLHHPNLVAFQGYYWSSTMQLILSEFIPSGNLYDNLHGLNYPGTSTGVGNTELNWSRRFHIALGTARALSYLHHDCRPPILHLNIKSTNILLDEKYEAKLSDYGLGKLLPILDNYGLTKFHNAVGYVAPELAQSLRLSEKCDVYSFGVILLELVTGRKPVESPTLNEVVILCEYVRGLLERGSASDCFDRRLHGFAENELIQVMKLGLICTSEIPSRRPSMAEVVQVLESIRTGMES >EOY24534 pep chromosome:Theobroma_cacao_20110822:3:31360474:31364326:1 gene:TCM_016111 transcript:EOY24534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 4 MSKCQSLLYLDLSRNLFTGLAPLGVLEFKNTSYFNVSHNGFFGEIPEIGTCSQSMEFIDASWNSLEGEIPTSISNCKSLKVLDLGFNRLNGTIPVNIGDLGRILAISLANNSLSGTIPTGFGSIELLQVLDLHNLDLSGGIPDEISNCRFLRELDVSGNTLEGQIPDTLYNMSNLEILDLHHNQLNGSIPSSLGNLSKIQFLDLSQNLLSGSIPPSLGNLNMLTHFNLSYNNLSGIIPNVQTIQSFGASAFSNNPGLCGSPLTSCSGSGTPSTSGKTKVLSVSAIVAIVAAAVILTGVCVVTIMNIRARSSKKEEVTVVVESTPPGSSDSNLIIGKLVLFSKSLPSKYEDWEAGTKALLDKECLIGGGSIGTVYRTSFEGGISIAVKKLETLGRIRNQDEFEQEIGRLGNLHHPNLVAFQGYYWSSTMQLILSEFIPSGNLYDNLHGLNYPGTSTGVGNTELNWSRRFHIALGTARALSYLHHDCRPPILHLNIKSTNILLDEKYEAKLSDYGLGKLLPILDNYGLTKFHNAVGYVAPELAQSLRLSEKCDVYSFGVILLELVTGRKPVESPTLNEVVILCEYVRGLLERGSASDCFDRRLHGFAENELIQVMKLGLICTSEIPSRRPSMAEVVQVLESIRTGMES >EOY24531 pep chromosome:Theobroma_cacao_20110822:3:31359439:31364313:1 gene:TCM_016111 transcript:EOY24531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 4 MRRIHQFIVSHALLFLILASFGIRILTISLAATEKEILLQFKGNITVDPYKSLASWVSSGNPCVDFSGVFCNPEGFVDKIVLWNTSLSGQLPAALSGLSSLRVLTLFGNRFSGNIPQEYSLLQTLWKINVSSNALSGSIPDFIGDLPNIRFLDFSNNGYTGEIPFALFRNCYKTKYVSFSHNSLSGSIPESIVNCSKLEGFDFSFNNLTGELPSRICEISVLRYVSVGSNALSGTVLEEMSKCQSLLYLDLSRNLFTGLAPLGVLEFKNTSYFNVSHNGFFGEIPEIGTCSQSMEFIDASWNSLEGEIPTSISNCKSLKVLDLGFNRLNGTIPVNIGDLGRILAISLANNSLSGTIPTGFGSIELLQVLDLHNLDLSGGIPDEISNCRFLRELDVSGNTLEGQIPDTLYNMSNLEILDLHHNQLNGSIPSSLGNLSKIQFLDLSQNLLSGSIPPSLGNLNMLTHFNLSYNNLSGIIPNVQTIQSFGASAFSNNPGLCGSPLTSCSGSGTPSTSGKTKVLSVSAIVAIVAAAVILTGVCVVTIMNIRARSSKKEEVTVVVESTPPGSSDSNLIIGKLVLFSKSLPSKYEDWEAGTKALLDKECLIGGGSIGTVYRTSFEGGISIAVKKLETLGRIRNQDEFEQEIGRLGNLHHPNLVAFQGYYWSSTMQLILSEFIPSGNLYDNLHGLNYPGTSTGVGNTELNWSRRFHIALGTARALSYLHHDCRPPILHLNIKSTNILLDEKYEAKLSDYGLGKLLPILDNYGLTKFHNAVGYVAPELAQSLRLSEKCDVYSFGVILLELVTGRKPVESPTLNEVVILCEYVRGLLERGSASDCFDRRLHGFAENELIQVMKLGLICTSEIPSRRPSMAEVVQVLESIRTGMES >EOY24532 pep chromosome:Theobroma_cacao_20110822:3:31356512:31364051:1 gene:TCM_016111 transcript:EOY24532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 4 MPNGILTISLAATEKEILLQFKGNITVDPYKSLASWVSSGNPCVDFSGVFCNPEGFVDKIVLWNTSLSGQLPAALSGLSSLRVLTLFGNRFSGNIPQEYSLLQTLWKINVSSNALSGSIPDFIGDLPNIRFLDFSNNGYTGEIPFALFRNCYKTKYVSFSHNSLSGSIPESIVNCSKLEGFDFSFNNLTGELPSRICEISVLRYVSVGSNALSGTVLEEMSKCQSLLYLDLSRNLFTGLAPLGVLEFKNTSYFNVSHNGFFGEIPEIGTCSQSMEFIDASWNSLEGEIPTSISNCKSLKVLDLGFNRLNGTIPVNIGDLGRILAISLANNSLSGTIPTGFGSIELLQVLDLHNLDLSGGIPDEISNCRFLRELDVSGNTLEGQIPDTLYNMSNLEILDLHHNQLNGSIPSSLGNLSKIQFLDLSQNLLSGSIPPSLGNLNMLTHFNLSYNNLSGIIPNVQTIQSFGASAFSNNPGLCGSPLTSCSGSGTPSTSGKTKVLSVSAIVAIVAAAVILTGVCVVTIMNIRARSSKKEEVTVVVESTPPGSSDSNLIIGKLVLFSKSLPSKYEDWEAGTKALLDKECLIGGGSIGTVYRTSFEGGISIAVKKLETLGRIRNQDEFEQEIGRLGNLHHPNLVAFQGYYWSSTMQLILSEFIPSGNLYDNLHGLNYPGTSTGVGNTELNWSRRFHIALGTARALSYLHHDCRPPILHLNIKSTNILLDEKYEAKLSDYGLGKLLPILDNYGLTKFHNAVGYVAPELAQSLRLSEKCDVYSFGVILLELVTGRKPVESPTLNEVVILCEYVRGLLERGSASDCFDRRLHGFAENELIQVMKLGLICTSEIPSRRPSMAEVVQVLESIRTGMES >EOY25377 pep chromosome:Theobroma_cacao_20110822:3:34084101:34089048:1 gene:TCM_016705 transcript:EOY25377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Decapping 5-like, putative isoform 5 DDGLVSDLCVRSYGTEGRKKDGPQVPPSDKVYEYILFRGSDIKDLQVKSPPPVQIDEQINNDPAIIQSQFAGVPLSPFPSVSVGGRTLTESTQWQDNPALASRVYPSALPTHQFSSQVYPSNYSLAAQNAGTPLFSTPLYWQGYNGTPSNVSQAQQNPIPVQSPSMLSSPLTVQSHVNNPDLNSSPIMGLINASEPVNPVLSTITSSSSHSSVTGSFTPVQGSMYPNLPSCSSIKASPPSQAAYTANRLSMSSFPSSFGDTNIPESQPIVKPVTSPAAADPLSVLPNPMPYPAWSSGSLLTSSPSLVTPGQLAQTRPHVLSSTQNTYPDHTIAVASLTSYTPSVNSTPVTQPPLLPLPAPAQQEQNFRTEILSFAECLFRESLYSTTLYTEEFDFEAMNEKFKKDEVWGYLGKASQKDKAEGMEDDASDHSLGDKQGFGLLAKFDTQPAYKKDDFFDTISCNSHSRGARNGQNRFSERMKLDSETFGNFQQRSHVSYGPYRGYGAGRGQNYGGSYNWARGYGYGGRGCGQNMYP >EOY25375 pep chromosome:Theobroma_cacao_20110822:3:34083460:34089318:1 gene:TCM_016705 transcript:EOY25375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Decapping 5-like, putative isoform 5 MASESSSNSKNVGDSYIGNFLSLISKYEIRYEGVLYYLNVQDSAIGLQNVRSYGTEGRKKDGPQVPPSDKVYEYILFRGSDIKDLQVKSPPPVQIDEQINNDPAIIQSQFAGVPLSPFPSVSVGGRTLTESTQWQDNPALASRVYPSALPTHQFSSQVYPSNYSLAAQNAGTPLFSTPLYWQGYNGTPSNVSQAQQNPIPVQSPSMLSSPLTVQSHVNNPDLNSSPIMGLINASEPVNPVLSTITSSSSHSSVTGSFTPVQGSMYPNLPSCSSIKASPPSQAAYTANRLSMSSFPSSFGDTNIPESQPIVKPVTSPAAADPLSVLPNPMPYPAWSSGSLLTSSPSLVTPGQLAQTRPHVLSSTQNTYPDHTIAVASLTSYTPSVNSTPVTQPPLLPLPAPAQQEQNFRTEILSFAECLFRESLYSTTLYTEEFDFEAMNEKFKKDEVWGYLGKASQKDKAEGMEDDASDHSLGDKQGFGLLAKFDTQPAYKKDDFFDTISCNSHSRGARNGQNRFSERMKLDSETFGNFQQRSHVSYGPYRGYGAGRGQNYGGSYNWARGYGYGGRGCGQNMYP >EOY25378 pep chromosome:Theobroma_cacao_20110822:3:34083460:34089318:1 gene:TCM_016705 transcript:EOY25378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Decapping 5-like, putative isoform 5 MASESSSNSKNVGDSYIGNFLSLISKYEIRYEGVLYYLNVQDSAIGLQNVRSYGTEGRKKDGPQVPPSDKVYEYILFRGSDIKDLQVKSPPPVQIDEQINNDPAIIQSQFAGVPLSPFPSVSVGGRTLTESTQWQDNPALASRVYPSALPTHQFSSQVYPSNYSLAAQNAGTPLFSTPLYWQGYNGTPSNVSQAQQNPIPVQSPSMLSSPLTVQSHVNNPDLNSSPIMGLINASEPVNPVLSTITSSSSHSSVTGSFTPVQGSMYPNLPSCSSIKASPPSQAAYTANRLSMSSFPSSFGDTNIPESQPIVKPVTSPAAADPLSVLPNPMPYPAWSSGSLLTSSPSLVTPGQLAQTRPHVLSSTQNTYPDHTIAVASLTSYTPSVNSTPVTQPPLLPLPAPAQQSLYSTTLYTEEFDFEAMNEKFKKDEVWGYLGKASQKDKAEGMEDDASDHSLGDKQGFGLLAKFDTQPAYKKDDFFDTISCNSHSRGARNGQNRFSERMKLDSETFGNFQQRSHVSYGPYRGYGAGRGQNYGGSYNWARGYGYGGRGCGQNMYP >EOY25379 pep chromosome:Theobroma_cacao_20110822:3:34083580:34089048:1 gene:TCM_016705 transcript:EOY25379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Decapping 5-like, putative isoform 5 MASESSSNSKNVGDSYIGNFLSLISKYEIRYEGVLYYLNVQDSAIGLQNVRSYGTEGRKKDGPQVPPSDKVYEYILFRGSDIKDLQVKSPPPVQIDEQINNDPAIIQSQFAGVPLSPFPSVSVGGRTLTESTQWQDNPALASRVYPSALPTHQFSSQVYPSNYSLAAQNAGTPLFSTPLYWQGYNGTPSNVSQAQQNPIPVQSPSMLSSPLTVQSHVNNPDLNSSPIMGLINASEPVNPVLSTITSSSSHSSVTGSFTPVQGSMYPNLPSCSSIKASPPSQAAYTANRLSMSSFPSSFGDTNIPESQPIVKPVTSPAAADPLSVLPNPMPYPAWSSGSLLTSSPSLVTPGQLAQTRPHVLSSTQNTYPDHTIAVASLTSYTPSVNSTPVTQPPLLPLPAPAQQSLYSTTLYTEEFDFEAMNEKFKKDEVWGYLGKASQKDKAEGMEDDASDHSLGDKQGFGLLAKFDTQPAYKKDDFFDTISCNSHSRGARNGQNRFSERMKLDSEVC >EOY25376 pep chromosome:Theobroma_cacao_20110822:3:34083580:34089048:1 gene:TCM_016705 transcript:EOY25376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Decapping 5-like, putative isoform 5 MASESSSNSKNVGDSYIGNFLSLISKYEIRYEGVLYYLNVQDSAIGLQNVRSYGTEGRKKDGPQVPPSDKVYEYILFRGSDIKDLQVKSPPPVQIDEQINNDPAIIQSQFAGVPLSPFPSVSVGGRTLTESTQWQDNPALASRVYPSALPTHQFSSQVYPSNYSLAAQNAGTPLFSTPLYWQGYNGTPSNVSQAQQNPIPVQSPSMLSSPLTVQSHVNNPDLNSSPIMGLINASEPVNPVLSTITSSSSHSSVTGSFTPVQGSMYPNLPSCSSIKASPPSQAAYTANRLSMSSFPSSFGDTNIPESQPIVKPVTSPAAADPLSVLPNPMPYPAWSSGSLLTSSPSLVTPGQLAQTRPHVLSSTQNTYPDHTIAVASLTSYTPSVNSTPVTQPPLLPLPAPAQQEQNFRTEILSFAECLFRESLYSTTLYTEEFDFEAMNEKFKKDEVWGYLGKASQKDKAEGMEDDASDHSLGDKQGFGLLAKFDTQPAYKKDDFFDTISCNSHSRGARNGQNRFSERMKLDSEVC >EOY23015 pep chromosome:Theobroma_cacao_20110822:3:25766756:25770634:1 gene:TCM_015028 transcript:EOY23015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein, putative MTEVYRRMLSEDDDDSPAKCRQRRRRRIQMRRLGAISVSSTAAFPSQRQREDHAESSGCFPEAKRLRMGEASGLLATVTMCTFSGEEVEPTAGERKVTMTNGPVFGTMSISGRSREMEDAISVRTSFCRPDVNLKRPVHFFAVYDGHGGPHVAALCREKMHVFVEEELMGVKCSGESECGRDSSSQEDEHEESWRRVMKRSFERMDEVAISTCACGIVGHQCGCHSMEVALGGSTAVVALLTSEHIVVANCGDSRAVLCRGGKAVPLSVDHKPDRSDELARIEAAGGRVVFVNGARVEGILAMSRAIGDKYLKPVVTSEPEITFTKREPEDECLILASDGLWDVLSCDLACEVARECLKEGSNSATASALNLNAGPNKEDEGAETLYPSRSVLAAALLTRLALGRKSSDNISVIVVDLNES >EOY24587 pep chromosome:Theobroma_cacao_20110822:3:31519757:31526551:1 gene:TCM_016149 transcript:EOY24587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSAAAAPHPDAPAASSSSPSPSSVSESKEEAVKDEQNQEQEKQEDIKECMHKTKTIQFLGRTTPIILQNDNGPCPLLAICNILLLRNNLNLSPEISEVSQEKLLSLVAERLIDSNSNVNNKDAGYVENQQQNIADAIDLLPCLATGIDVNIKFRRIDDFEFTPECAIFDLLDIPLYHGWIVDPQDYETASAIGSKSYNAIMGELVALETRNMEVSRKNNSEDCVDFAAATTATLGVPSPSLSKTRSFDDSPCSASDQQRLRKGDLEEEAELLRALKLSEAELPTSVGDPGSLDERSCLKNLVSVDSVDTPEGDKGVEDQNLHWHEPFFSDNCNALSNDSGSKTFFETITREVFSKTDGVNQNQSSYVISGEPTLSNDVVEKSSVETTVVKWSSADELSQIEGAVPVSLAKDTACIDGNNTEISPGGEKIETQSTSATDAQKIPDNVNGCDMTEVSSVSIQNADSDSSSGRIHHADVPEAFTSSLDGSEPIYEGEECILDSVTTTYEDREPIYEGEVILAEQADKKAVEGCNVRSKDEITPQQG >EOY24589 pep chromosome:Theobroma_cacao_20110822:3:31519757:31526582:1 gene:TCM_016149 transcript:EOY24589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSAAAAPHPDAPAASSSSPSPSSVSESKEEAVKDEQNQEQEKQEDIKECMHKTKTIQFLGRTTPIILQNDNGPCPLLAICNILLLRNNLNLSPEISEVSQEKLLSLVAERLIDSNSNVNNKDAGYVENQQQNIADAIDLLPCLATGIDVNIKFRRIDDFEFTPECAIFDLLDIPLYHGWIVDPQDYETASAIGSKSYNAIMGELVALETRNMEVSRKNNSEDCVDFAAATTATLGVPSPSLSKTRSFDDSPCSASDQQRLRKGDLEEEAELLRALKLSEAELPTSVGDPGSLDERSCLKNLVSVDSVDTPEGDKGVEDQNLHWHEPFFSDNCNALSNDSGSKTFFETITREVFSKTDGVNQNQSSYVISGEPTLSNDVVEKSSVETTVVKWSSADELSQIEGAVPVSLAKDTACIDGNNTEISPGGEKIETQSTSATDAQKIPDNVNGCDMTEVSSVSIQNADSDSSSGRIHHADVPEAFTSSLDGSEPIYEGEECILDSVTTTYEDREPIYEGEVILAEQADKKAVEGCNVRSKDEITPQQG >EOY24584 pep chromosome:Theobroma_cacao_20110822:3:31519494:31528658:1 gene:TCM_016149 transcript:EOY24584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSAAAAPHPDAPAASSSSPSPSSVSESKEEAVKDEQNQEQEKQEDIKECMHKTKTIQFLGRTTPIILQNDNGPCPLLAICNILLLRNNLNLSPEISEVSQEKLLSLVAERLIDSNSNVNNKDAGYVENQQQNIADAIDLLPCLATGIDVNIKFRRIDDFEFTPECAIFDLLDIPLYHGWIVDPQDYETASAIGSKSYNAIMGELVALETRNMEVSRKNNSEDCVDFAAATTATLGVPSPSLSKTRSFDDSPCSASDQQRLRKGDLEEEAELLRALKLSEAELPTSVGDPGSLDERSCLKNLVSVDSVDTPEGDKGVEDQNLHWHEPFFSDNCNALSNDSGSKTFFETITREVFSKTDGVNQNQSSYVISGEPTLSNDVVEKSSVETTVVKWSSADELSQIEGAVPVSLAKDTACIDGNNTEISPGGEKIETQSTSATDAQKIPDNVNGCDMTEVSSVSIQNADSDSSSGRIHHADVPEAFTSSLDGSEPIYEGEECILDSVTTTYEDREPIYEGEVILAEQADKKAVEGCNVRSKDEITPQQGELIANFLKNNASQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKYDGELYLLATDQGYLNQPDLVWEKLNEVNGDTLFMTGNFKEFKVDSHASGTWDEQNAMASTADYIASIDSAAQAGLDITSDLQLAIALQQQEFEQQPQRQNVQQPPIVGASRLVTGPQVPRNSGRNSSSSSSKQDAKSKDKCIVM >EOY24585 pep chromosome:Theobroma_cacao_20110822:3:31519494:31527385:1 gene:TCM_016149 transcript:EOY24585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSAAAAPHPDAPAASSSSPSPSSVSESKEEAVKDEQNQEQEKQEDIKECMHKTKTIQFLGRTTPIILQNDNGPCPLLAICNILLLRNNLNLSPEISEVSQEKLLSLVAERLIDSNSNVNNKDAGYVENQQQNIADAIDLLPCLATGIDVNIKFRRIDDFEFTPECAIFDLLDIPLYHGWIVDPQDYETASAIGSKSYNAIMGELVALETRNMEVSRKNNSEDCVDFAAATTATLGVPSPSLSKTRSFDDSPCSASDQQRLRKGDLEEEAELLRALKLSEAELPTSVGDPGSLDERSCLKNLVSVDSVDTPEGDKGVEDQNLHWHEPFFSDNCNALSNDSGSKTFFETITREVFSKTDGVNQNQSSYVISGEPTLSNDVVEKSSVETTVVKWSSADELSQIEGAVPVSLAKDTACIDGNNTEISPGGEKIETQSTSATDAQKIPDNVNGCDMTEVSSVSIQNADSDSSSGRIHHADVPEAFTSSLDGSEPIYEGEECILDSVTTTYEDREPIYEGEVILAEQADKKAVEGCNVRSKDEITPQQGELIANFLKNNASQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKYDGELYLLATDQGYLNQPDLVWEKLNEVNGDTLFMTGNFKEFKVDSHASGTWDEQNAMASTADYIASIDSAAQAGLDITSDLQLAIALQQQEFEQQPQRQNVQQPPIVGASRLVTGPQKWHFAGAKKQRKELFIFIIKARCKIKRQVYRDVSFRHQCIWEVVLL >EOY24586 pep chromosome:Theobroma_cacao_20110822:3:31519757:31526581:1 gene:TCM_016149 transcript:EOY24586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSAAAAPHPDAPAASSSSPSPSSVSESKEEAVKDEQNQEQEKQEDIKECMHKTKTIQFLGRTTPIILQNDNGPCPLLAICNILLLRNNLNLSPEISEVSQEKLLSLVAERLIDSNSNVNNKDAGYVENQQQNIADAIDLLPCLATGIDVNIKFRRIDDFEFTPECAIFDLLDIPLYHGWIVDPQDYETASAIGSKSYNAIMGELVALETRNMEVSRKNNSEDCVDFAAATTATLGVPSPSLSKTRSFDDSPCSASDQQRLRKGDLEEEAELLRALKLSEAELPTSVGDPGSLDERSCLKNLVSVDSVDTPEGDKGVEDQNLHWHEPFFSDNCNALSNDSGSKTFFETITREVFSKTDGVNQNQSSYVISGEPTLSNDVVEKSSVETTVVKWSSADELSQIEGAVPVSLAKDTACIDGNNTEISPGGEKIETQSTSATDAQKIPDNVNGCDMTEVSSVSIQNADSDSSSGRIHHADVPEAFTSSLDGSEPIYEGEECILDSVTTTYEDREPIYEGEVILAEQADKKAVEGCNVRSKDEITPQQG >EOY24588 pep chromosome:Theobroma_cacao_20110822:3:31519757:31527605:1 gene:TCM_016149 transcript:EOY24588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSAAAAPHPDAPAASSSSPSPSSVSESKEEAVKDEQNQEQEKQEDIKECMHKTKTIQFLGRTTPIILQNDNGPCPLLAICNILLLRNNLNLSPEISEVSQEKLLSLVAERLIDSNSNVNNKDAGYVENQQQNIADAIDLLPCLATGIDVNIKFRRIDDFEFTPECAIFDLLDIPLYHGWIVDPQDYETASAIGSKSYNAIMGELVALETRNMEVSRKNNSEDCVDFAAATTATLGVPSPSLSKTRSFDDSPCSASDQQRLRKGDLEEEAELLRALKLSEAELPTSVGDPGSLDERSCLKNLVSVDSVDTPEGDKGVEDQNLHWHEPFFSDNCNALSNDSGSKTFFETITREVFSKTDGVNQNQSSYVISGEPTLSNDVVEKSSVETTVVKWSSADELSQIEGAVPVSLAKDTACIDGNNTEISPGGEKIETQSTSATDAQKIPDNVNGCDMTEVSSVSIQNADSDSSSGRIHHADVPEAFTSSLDGSEPIYEGEECILDSVTTTYEDREPIYEGEVILAEQADKKAVEGCNVRSKDEITPQQGELIANFLKNNASQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKYDGELYLLATDQGYLNQPDLVWEKLNEVNGDTLFMTGNFKEFKVDSHASGTWDEQNAMASTAVPRNSGRNSSSSSSKQDAKSKDKCIVM >EOY24176 pep chromosome:Theobroma_cacao_20110822:3:29959900:29964906:-1 gene:TCM_015847 transcript:EOY24176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureidoglycine aminohydrolase isoform 3 MQNPSLPQLHPFLLFPSFILISLFVIVLGDGGFCSAPSILDQTDASSKPLYWKFTNPTLSPSHLQDLPGFTRSVYKRDHALITPESHVFSPLPDWTNTLGAYLITPAMGSYFVMYLAKMQENSRSGLPPHDVERFIFVIQGTITLTNTSGLSNKLMVDSYAYLPPNFEHSLKCDESATLAVFERRYAFLDNHMTGHIVGSTDKRPLLETPGEVFELRKLLPASIPYDFNIHIMDFQPGEFLNVKD >EOY24175 pep chromosome:Theobroma_cacao_20110822:3:29960635:29964906:-1 gene:TCM_015847 transcript:EOY24175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureidoglycine aminohydrolase isoform 3 MQNPSLPQLHPFLLFPSFILISLFVIVLGDGGFCSAPSILDQTDASSKPLYWKFTNPTLSPSHLQDLPGFTRSVYKRDHALITPESHVFSPLPDWTNTLGAYLITPAMGSYFVMYLAKMQENSRSGLPPHDVERFIFVIQGTITLTNTSGLSNKLMVDSYAYLPPNFEHSLKCDESATLAVFERRYAFLDNHMTGHIVGSTDKRPLLETPGV >EOY24174 pep chromosome:Theobroma_cacao_20110822:3:29960121:29964934:-1 gene:TCM_015847 transcript:EOY24174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureidoglycine aminohydrolase isoform 3 MQNPSLPQLHPFLLFPSFILISLFVIVLGDGGFCSAPSILDQTDASSKPLYWKFTNPTLSPSHLQDLPGFTRSVYKRDHALITPESHVFSPLPDWTNTLGAYLITPAMGSYFVMYLAKMQENSRSGLPPHDVERFIFVIQGTITLTNTSGLSNKLMVDSYAYLPPNFEHSLKCDESATLAVFERRYAFLDNHMTGHIVGSTDKRPLLETPGEVFELRKLLPASIPYDFNIHIMDFQPGEFLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDAIWMAPFVPQWYAALGKTRSRYLLYKDVNRNPL >EOY20627 pep chromosome:Theobroma_cacao_20110822:3:374292:375798:-1 gene:TCM_011997 transcript:EOY20627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRHNKTCYTNFLVDVYYRISALFRIWHPLWSGDIKYSKAERTMEQDEMNFRVNTNRSKLSVLPSGNTVHFTKFYFHQSINSSAFISSFASTFSSEQTL >EOY22823 pep chromosome:Theobroma_cacao_20110822:3:24902674:24904797:1 gene:TCM_014881 transcript:EOY22823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-B2 MAPLSYICLPLAYIIVLVFFCHFELCVSFKSKQFNLSTIEIHWSSAGATWYGSPDGAGSDGGSCGYGNAVSLPPFSSMVTGIGPSLYKSGKECGACYQVKCTKHPFCSGKPVRVVITDFCPGGLCASDSAHFDLSGTAFGAMAIAGKEDKLRDAGVLEIRYARVACDYSGKTIVFHVDMGSNSNYFAVLVEFEEGDGDLAGVYLKETSSGSGDWRAMQQSWGAVWKLDAGSELHPPFSIRLKSQLSGQTLVAQNVIPQGWKPGATYRSLVNYL >EOY25138 pep chromosome:Theobroma_cacao_20110822:3:33390125:33392031:-1 gene:TCM_016551 transcript:EOY25138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 39, putative MGRSPCCAEDANLKKGPWTPEEDQKLVDYINKNGLGSWRTFPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFTEEEERVIINLHSVLGNKWSRIATHLPGRTDNEIKNFWNTHIRKKLLNMGIDPHTHKPRTDLDHLLNLSQLLCAAQLGNLMNPWDNAFKLQADAAQLAKAQLLQNLMQIINTNKLANVESAAGFPGSQNPYSFEGLLNGTSTLSAKEQTPQTQNIQNNGGAIPQASSEYEAAENPWAYFQGGVNYESLDMNNQSFSNSFEQTENPLPELVSGSPETSPITQMENKTATAPDSTIYDFEAWEKLIMEDEGDGSYWKEILEFTSSSSSPISW >EOY24730 pep chromosome:Theobroma_cacao_20110822:3:32056856:32059131:1 gene:TCM_016253 transcript:EOY24730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rpr2/Rpp21 subunit-like protein MGKRGGGAKRAANTPSKFQKTISLREEASGRKQTKGGSTNVKAILKNEHLQNLAVWASGEASIPSLASFFGHQLAADGEASSIPPDSSFFPCQRCETILQPGFNCTVRIEKNRAKARHRRKKPHILTQNSVVYNCHFCSHRNLKRGTPKGHMKEMYPPKLKASSIPKVVKSRLKKSVTSSGKETNKDELNKVDVISLPTIAAEDPTTDGPMTPLSRGRTLLDGKKRNRNKSGSKRPAESENNLTTLDAGKTVGTSSKRRRKSWMSLSEIVQSSEDNHGNVPNSRIPFLL >EOY20840 pep chromosome:Theobroma_cacao_20110822:3:1475185:1476198:1 gene:TCM_012182 transcript:EOY20840 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MLNKAMFQCSISYQIRPFFPYPNQITRHPLKPGLLTHHFFPEVPPKPIHLRLPPPKSGQTHQPKPQTKTQDDGIPIEDVKIIAKFKTRHNYIRVLEVSRRADHPFAGSRLLLLDSPGNIHSISFLFKLLTNTYFDVFATLPPVLPPGPVGILGFGAGSTARLMLELYPELIIHGWELDPSVVAVGREYFGLGKLEKQYPDRLYIYIGNALKASIKNGFSGVLVDLFSKGSLIPELQDPNTWQKLRKSLRKGGRIMVNVGGSCVEAEDSRRHGKVVMEETLKAMHRVFGDKLFVLNLGNRKDDSSLALTGELPDLDEWKKALPRSLKCYADMWTPFHG >EOY20841 pep chromosome:Theobroma_cacao_20110822:3:1475185:1476198:1 gene:TCM_012182 transcript:EOY20841 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MLNKAMFQCSISYQIRPFFPYPNQITRHPLKPGLLTHHFFPEVPPKPIHLRLPPPKSGQTHQPKPQTKTQDDGIPIEDVKIIAKFKTRHNYIRVLEVSRRADHPFAGSRLLLLDSPGNIHSISFLFKLLTNTYFDVFATLPPVLPPGPVGILGFGAGSTARLMLELYPELIIHGWELDPSVVAVGREYFGLGKLEKQYPDRLYIYIGNALKASIKNGFSGVLVDLFSKGSLIPELQDPNTWQKLRKSLRKGGRIMVNVGGSCVEAEDSRRHGKVVMEETLKAMHRVFGDKLFVLNLGNRKDDSSLALTGELPDLDEWKKALPRSLKCYADMWTPFHG >EOY20843 pep chromosome:Theobroma_cacao_20110822:3:1461405:1477815:1 gene:TCM_012182 transcript:EOY20843 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MYKKLGKLAYKKNPCALNVPEFYQNMNQQSSNSVMSGSLVKLATALVLLLLLMGELAATSALVPSGREKSPSNVLFGGSSLRKMFPGKDGYSRRENLVISRNRKLQKVGKVQPSPPSPTRNQQKIMGVPPAPPPPIPY >EOY21279 pep chromosome:Theobroma_cacao_20110822:3:5441231:5458181:1 gene:TCM_012715 transcript:EOY21279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine kinase family protein isoform 1 MKNLLKKLHMMSNQSEDVEGSTSSRGNNKSSEVSSSPERPLHARSHHNPEHNKPFSVLSNWLNSVANRKNPSPPSSSNVNKEETMEPTDSVSTSGLEAALDAVRRDSGSSNSRDPDIEEEYQIQLALELSAREDPEAAQIEAVKQISLGSCAPENTPAEVVAFRYWNYNSLNYDDKILDGFYDLYGILNESTSERMPSLLDLQGTSVSDNVSWEAVLVNRAFDANLLKLEQKALQMTARLRSESLAFVSSNLVQKLAVLVSEYMGGPVADPDNMSRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSAGIPCRLVKGQQYTGSEDVAMNFVKLDDGREYIVDLMADPGTLIPSDAAASHVEDGDSFFSTSPLSRDIDSSHVASSSSGVWSLFEDNSEFGTLEKRSRFKNFAAAGNQSDERGDLNAFVNLSGTTRSGEQSKESMDDFKTPSNMEEAPVRELPNRPNYLYSHMRSPSWTEGVSSPAVRRMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPNLFSEIYSEQLDTSTIEVRLPFETKDESRQGTGPQESKNQNDFGPSHCLPPLPNRKVFAKASSPCNQPEHLKPVEGLGVTYPFDTREVIGPPVLSQSEAAPIQYARNVPVAAAAAAAAAVVASSMVVAAKKSGTDSNVELPVAAAATATAAAVVVTSAAVTKHNERSDGDVDATGCESQGSGEREHDALGLNSEGERISDRSTGNDSSKSDVALDDVADCEIPWEEITLGERIGLGSYGEVYRGDWHGTEVAVKKFLDQDISGESLEEFKSEVRIMKKLRHPNVVLFMGAVTRPPNLSIVTEFLHRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTYLSSRSTAGTLNHMPLDVHWDGQC >EOY21275 pep chromosome:Theobroma_cacao_20110822:3:5443644:5457681:1 gene:TCM_012715 transcript:EOY21275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine kinase family protein isoform 1 MPSLLDLQGTSVSDNVSWEAVLVNRAFDANLLKLEQKALQMTARLRSESLAFVSSNLVQKLAVLVSEYMGGPVADPDNMSRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSAGIPCRLVKGQQYTGSEDVAMNFVKLDDGREYIVDLMADPGTLIPSDAAASHVEDGDSFFSTSPLSRDIDSSHVASSSSGVWSLFEDNSEFGTLEKRSRFKNFAAAGNQSDERGDLNAFVNLSGTTRSGEQSKESMDDFKTPSNMEEAPVRELPNRPNYLYSHMRSPSWTEGVSSPAVRRMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPNLFSEIYSEQLDTSTIEVRLPFETKDESRQGTGPQESKNQNDFGPSHCLPPLPNRKVFAKASSPCNQPEHLKPVEGLGVTYPFDTREVIGPPVLSQSEAAPIQYARNVPVAAAAAAAAAVVASSMVVAAKKSGTDSNVELPVAAAATATAAAVVVTSAAVTKHNERSDGDVDATGCESQGSGEREHDALGLNSEGERISDRSTGNDSSKSDVALDDVADCEIPWEEITLGERIGLGSYGEVYRGDWHGTEVAVKKFLDQDISGESLEEFKSEVRIMKKLRHPNVVLFMGAVTRPPNLSIVTEFLHRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTYLSSRSTAGTAEWMAPEVLQNELSDEKCDVYSFGVILWELCTLRQPWGGMNPMQVVGAVGFQHRRLDIPDDIDPVIAEIIRRCWQTDPKLRPTFAEIMAALKPLQKPITSAQVPRSTASSSSAHERGQP >EOY21277 pep chromosome:Theobroma_cacao_20110822:3:5441231:5458181:1 gene:TCM_012715 transcript:EOY21277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine kinase family protein isoform 1 MKNLLKKLHMMSNQSEDVEGSTSSRGNNKSSEVSSSPERPLHARSHHNPEHNKPFSVLSNWLNSVANRKNPSPPSSSNVNKEETMEPTDSVSTSGLEAALDAVRRDSGSSNSRDPDIEEEYQIQLALELSAREDPEAAQIEAVKQISLGSCAPENTPAEVVAFRYWNYNSLNYDDKILDGFYDLYGILNESTSERMPSLLDLQGTSVSDNVSWEAVLVNRAFDANLLKLEQKALQMTARLRSESLAFVSSNLVQKLAVLVSEYMGGPVADPDNMSRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSAGIPCRLVKGQQYTGSEDVAMNFVKLDDGREYIVDLMADPGTLIPSDAAASHVEDGDSFFSTSPLSRDIDSSHVASSSSGVWSLFEDNSEFGTLEKRSRFKNFAAAGNQSDERGDLNAFVNLSGTTRSGEQSKESMDDFKTPSNMEEAPVRELPNRPNYLYSHMRSPSWTEGVSSPAVRRMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPNLFSEIYSEQLDTSTIEVRLPFETKDESRQGTGPQESKNQNDFGPSHCLPPLPNRKVFAKASSPCNQPEHLKPVEGLGVTYPFDTREVIGPPVLSQSEAAPIQYARNVPVAAAAAAAAAVVASSMVVAAKKSGTDSNVELPVAAAATATAAAVVVTSAAVTKHNERSDGDVDATGCESQGSGEREHDALGLNSEGERISDRSTGNDSSKSDVALDDVADCEIPWEEITLGERIGLGSYGEVYRGDWHGTEVAVKKFLDQDISGESLEEFKSEVRIMKKLRHPNVVLFMGAVTRPPNLSIVTEFLHRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKGL >EOY21273 pep chromosome:Theobroma_cacao_20110822:3:5440647:5458215:1 gene:TCM_012715 transcript:EOY21273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine kinase family protein isoform 1 MKNLLKKLHMMSNQSEDVEGSTSSRGNNKSSEVSSSPERPLHARSHHNPEHNKPFSVLSNWLNSVANRKNPSPPSSSNVNKEETMEPTDSVSTSGLEAALDAVRRDSGSSNSRDPDIEEEYQIQLALELSAREDPEAAQIEAVKQISLGSCAPENTPAEVVAFRYWNYNSLNYDDKILDGFYDLYGILNESTSERMPSLLDLQGTSVSDNVSWEAVLVNRAFDANLLKLEQKALQMTARLRSESLAFVSSNLVQKLAVLVSEYMGGPVADPDNMSRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSAGIPCRLVKGQQYTGSEDVAMNFVKLDDGREYIVDLMADPGTLIPSDAAASHVEDGDSFFSTSPLSRDIDSSHVASSSSGVWSLFEDNSEFGTLEKRSRFKNFAAAGNQSDERGDLNAFVNLSGTTRSGEQSKESMDDFKTPSNMEEAPVRELPNRPNYLYSHMRSPSWTEGVSSPAVRRMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPNLFSEIYSEQLDTSTIEVRLPFETKDESRQGTGPQESKNQNDFGPSHCLPPLPNRKVFAKASSPCNQPEHLKPVEGLGVTYPFDTREVIGPPVLSQSEAAPIQYARNVPVAAAAAAAAAVVASSMVVAAKKSGTDSNVELPVAAAATATAAAVVVTSAAVTKHNERSDGDVDATGCESQGSGEREHDALGLNSEGERISDRSTGNDSSKSDVALDDVADCEIPWEEITLGERIGLGSYGEVYRGDWHGTEVAVKKFLDQDISGESLEEFKSEVRIMKKLRHPNVVLFMGAVTRPPNLSIVTEFLHRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTYLSSRSTAGTAEWMAPEVLQNELSDEKCDVYSFGVILWELCTLRQPWGGMNPMQVVGAVGFQHRRLDIPDDIDPVIAEIIRRCWQTDPKLRPTFAEIMAALKPLQKPITSAQVPRSTASSSSAHERGQP >EOY21276 pep chromosome:Theobroma_cacao_20110822:3:5441231:5458181:1 gene:TCM_012715 transcript:EOY21276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine kinase family protein isoform 1 MKNLLKKLHMMSNQSEDVEGSTSSRGNNKSSEVSSSPERPLHARSHHNPEHNKPFSVLSNWLNSVANRKNPSPPSSSNVNKEETMEPTDSVSTSGLEAALDAVRRDSGSSNSRDPDIEEEYQIQLALELSAREDPEAAQIEAVKQISLGSCAPENTPAEVVAFRYWNYNSLNYDDKILDGFYDLYGILNESTSERMPSLLDLQGTSVSDNVSWEAVLVNRAFDANLLKLEQKALQMTARLRSESLAFVSSNLVQKLAVLVSEYMGGPVADPDNMSRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSAGIPCRLVKGQQYTGSEDVAMNFVKLDDGREYIVDLMADPGTLIPSDAAASHVEDGDSFFSTSPLSRDIDSSHVASSSSGVWSLFEDNSEFGTLEKRSRFKNFAAAGNQSDERGDLNAFVNLSGTTRSGEQSKESMDDFKTPSNMEEAPVRELPNRPNYLYSHMRSPSWTEGVSSPAVRRMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPNLFSEIYSEQLDTSTIEVRLPFETKDESRQGTGPQESKNQNDFGPSHCLPPLPNRKVFAKASSPCNQPEHLKPVEGLGVTYPFDTREVIGPPVLSQSEAAPIQYARNVPVAAAAAAAAAVVASSMVVAAKKSGTDSNVELPVAAAATATAAAVVVTSAAVTKHNERSDGDVDATGCESQGSGEREHDALGLNSEGERISDRSTGNDSSKSDVALDDVADCEIPWEEITLGSYGEVYRGDWHGTEVAVKKFLDQDISGESLEEFKSEVRIMKKLRHPNVVLFMGAVTRPPNLSIVTEFLHRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTYLSSRSTAGTAEWMAPEVLQNELSDEKCDVYSFGVILWELCTLRQPWGGMNPMQVVGAVGFQHRRLDIPDDIDPVIAEIIRRCWQTDPKLRPTFAEIMAALKPLQKPITSAQVPRSTASSSSAHERGQP >EOY21274 pep chromosome:Theobroma_cacao_20110822:3:5441231:5454914:1 gene:TCM_012715 transcript:EOY21274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine kinase family protein isoform 1 MKNLLKKLHMMSNQSEDVEGSTSSRGNNKSSEVSSSPERPLHARSHHNPEHNKPFSVLSNWLNSVANRKNPSPPSSSNVNKEETMEPTDSVSTSGLEAALDAVRRDSGSSNSRDPDIEEEYQIQLALELSAREDPEAAQIEAVKQISLGSCAPENTPAEVVAFRYWNYNSLNYDDKILDGFYDLYGILNESTSERMPSLLDLQGTSVSDNVSWEAVLVNRAFDANLLKLEQKALQMTARLRSESLAFVSSNLVQKLAVLVSEYMGGPVADPDNMSRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSAGIPCRLVKGQQYTGSEDVAMNFVKLDDGREYIVDLMADPGTLIPSDAAASHVEDGDSFFSTSPLSRDIDSSHVASSSSGVWSLFEDNSEFGTLEKRSRFKNFAAAGNQSDERGDLNAFVNLSGTTRSGEQSKESMDDFKTPSNMEEAPVRELPNRPNYLYSHMRSPSWTEGVSSPAVRRMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPNLFSEIYSEQLDTSTIEVRLPFETKDESRQGTGPQESKNQNDFGPSHCLPPLPNRKVFAKASSPCNQPEHLKPVEGLGVTYPFDTREVIGPPVLSQSEAAPIQYARNVPVAAAAAAAAAVVASSMVVAAKKSGTDSNVELPVAAAATATAAAVVVTSAAVTKHNERSDGDVDATGCESQGSGEREHDALGLNSEGERISDRSTGNDSSKSDVALDDVADCEIPWEEITLGERIGLGSYGEVYRGDWHGTEVAVKKFLDQDISGESLEEFKSEVRIMKKLRHPNVVLFMGAVTRPPNLSIVTEFLHRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTYLSSRSTAGTLNHMPLDVHWDGQC >EOY21278 pep chromosome:Theobroma_cacao_20110822:3:5441371:5458202:1 gene:TCM_012715 transcript:EOY21278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine kinase family protein isoform 1 MKNLLKKLHMMSNQSEDVEGSTSSRGNNKSSEVSSSPERPLHARSHHNPEHNKPFSVLSNWLNSVANRKNPSPPSSSNVNKEETMEPTDSVSTSGLEAALDAVRRDSGSSNSRDPDIEEEYQIQLALELSAREDPEAAQIEAVKQISLGSCAPENTPAEVVAFRYWNYNSLNYDDKILDGFYDLYGILNESTSERMPSLLDLQGTSVSDNVSWEAVLVNRAFDANLLKLEQKALQMTARLRSESLAFVSSNLVQKLAVLVSEYMGGPVADPDNMSRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSAGIPCRLVKGQQYTGSEDVAMNFVKLDDGREYIVDLMADPGTLIPSDAAASHVEDGDSFFSTSPLSRDIDSSHVASSSSGVWSLFEDNSEFGTLEKRSRFKNFAAAGNQSDERGDLNAFVNLSGTTRSGEQSKESMDDFKTPSNMEEAPVRELPNRPNYLYSHMRSPSWTEGVSSPAVRRMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPNLFSEIYSEQLDTSTIEVRLPFETKDESRQGTGPQESKNQNDFGPSHCLPPLPNRKVFAKASSPCNQPEHLKPVEGLGVTYPFDTREVIGPPVLSQSEAAPIQYARNVPVAAAAAAAAAVVASSMVVAAKKSGTDSNVELPVAAAATATAAAVVVTSAAVTKHNERSDGDVDATGCESQGSGEREHDALGLNSEGERISDRSTGNDSSKSDVALDDVADCEIPWEEITLGSYGEVYRGDWHGTEVAVKKFLDQDISGESLEEFKSEVRIMKKLRHPNVVLFMGAVTRPPNLSIVTEFLHRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVVCDFGLSRMKHSTYLSSRSTAGTAEWMAPEVLQNELSDEKCVMFIALELYYGSSVHCDNHGEE >EOY25158 pep chromosome:Theobroma_cacao_20110822:3:33441509:33443484:-1 gene:TCM_016562 transcript:EOY25158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Damaged DNA binding,exodeoxyribonuclease IIIs isoform 5 MSSSALEAEAPDLVCQLDNVQGMVDALSAVRWKRHQDAVVELSEHGVVLIVEDTGCLQAKVYLQRELFIRYEYNAEGRPRFGVSLGLFVDCLNTFSLPGRSSMIEIQYPGPDMQLLVKSVDSLDACIYAEIRTRIPDTISWDYNFEPAGSTPLTFTVKSAALKEAIDDLEWPGSSIQILLQPVPPCVTFKGEGHGDLQTSCIM >EOY25155 pep chromosome:Theobroma_cacao_20110822:3:33439933:33443464:-1 gene:TCM_016562 transcript:EOY25155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Damaged DNA binding,exodeoxyribonuclease IIIs isoform 5 MSSSALEAEAPDLVCQLDNVQGMVDALSAVRWKRHQDAVVELSEHGVVLIVEDTGCLQAKVYLQRELFIRYEYNAEGRPRFGVSLGLFVDCLNTFSLPGRSSMIEIQYPGPDMQLLVKSVDSLDACIYAEIRTRIPDTISWDYNFEPAGSTPLTFTVKSAALKEAIDDLEWPGSSIQILLQPVPPCVTFKGEGHGDLQIDFMYYVNTDLLIAFHCDRQVSYRYKYKFLHATTSNLPSSVIKDNRGSKLTIGRGGMLKVQHLVSVAKLATSHPQIDSARHQQPSRIAYIEFFVKPEVDEDTVHNS >EOY25159 pep chromosome:Theobroma_cacao_20110822:3:33441509:33443484:-1 gene:TCM_016562 transcript:EOY25159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Damaged DNA binding,exodeoxyribonuclease IIIs isoform 5 RSKWITGSFLVREENWRERENESEEEMSSSALEAEAPDLVCQLDNVQGMVDALSAVRWKRHQDAVVELSEHGVVLIVEDTGCLQAKVYLQRELFIRYEYNAEGRPRFGVSLGLFVDCLNTFSLPGRSSMIEIQYPGPDMQLLVKTRIPDTISWDYNFEPAGSTPLTFTVKSAALKEAIDDLEWPGSSIQILLQPVPPCVTFKGEGHGDLQIDFMYYVNTDLLIAFHCDRQVSY >EOY25156 pep chromosome:Theobroma_cacao_20110822:3:33441389:33443484:-1 gene:TCM_016562 transcript:EOY25156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Damaged DNA binding,exodeoxyribonuclease IIIs isoform 5 RSKWITGSFLVREENWRERENESEEEMSSSALEAEAPDLVCQLDNVQGMVDALSAVRWKRHQDAVVELSEHGVVLIVEDTGCLQAKVYLQRELFIRYEYNAEGRPRFGVSLGLFVDCLNTFSLPGRSSMIEIQYPGPDMQLLVKSVDSLDACIYAEIRTRIPDTISWDYNFEPAGSTPLTFTVKSAALKEAIDDLEWPGSSIQILLQPVPPCVTFKGEGHGDLQIDFMYYVNTDLLIAFHCDRQVSYRYVYFVLILYILFTSSLQQINLVSSHLDLGRYKYKFLHAT >EOY25157 pep chromosome:Theobroma_cacao_20110822:3:33441003:33443505:-1 gene:TCM_016562 transcript:EOY25157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Damaged DNA binding,exodeoxyribonuclease IIIs isoform 5 MSSSALEAEAPDLVCQLDNVQGMVDALSAVRWKRHQDAVVELSEHGVVLIVEDTGCLQAKVYLQRELFIRYEYNAEGRPRFGVSLGLFVDCLNTFSLPGRSSMIEIQYPGPDMQLLVKSVDSLDACIYAEIRTRIPDTISWDYNFEPAGSTPLTFTVKSAALKEAIDDLEWPGSSIQILLQPVPPCVTFKGEGHGDLQQINLVSSHLDLGRYKYKFLHATTSNLPSSVIKDNRGSKLTIGRGGMLKVQHLVSVAKLATSHPQIDSARHQQPSRIAYIEFFVKPEVDEDTVHNS >EOY24576 pep chromosome:Theobroma_cacao_20110822:3:31478148:31482233:1 gene:TCM_016141 transcript:EOY24576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pgr5-like a, a isoform 1 MAGKLTFSLTPPRLFTAPFQKPLVPFSSSSSTRVQSNGKQLCVRRRLFLLPTKATADQQGQLGEDEVVDSKILPYCSIDKKEKKSLGEMEQEFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEASMAYVSGKPILSDEEFDKLKQRLKMEGSEIVVEGPRCSLRTRKVYSDLSVDYLKMFLLNVPATVVALGLFFFLDDLTGFEITYILELPEPFSFIFTWFAAVPALVWLAQALTKVVVKDSLILKGPCPNCGTENVSFFGTILSVSSGGTTNNLKCSNCGTPLVYDSNTRLITLPEGSEA >EOY24574 pep chromosome:Theobroma_cacao_20110822:3:31478148:31482167:1 gene:TCM_016141 transcript:EOY24574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pgr5-like a, a isoform 1 MAGKLTFSLTPPRLFTAPFQKPLVPFSSSSSTRVQSNGKQLCVRRRLFLLPTKATADQQGQLGEDEVVDSKILPYCSIDKKEKKSLGEMEQEFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEASMAYVSGKPILSDEEFDKLKQRLKMEGSEIVVEGPRCSLRTRKVYSDLSVDYLKMFLLNVPATVVALGLFFFLDDLTGFEITYILELPEPFSFIFTWFAAVPALVWLAQALTKVVVKDSLILKGPCPNCGTENVSFFGTILSVSSGGTTNNLKCSNCGTPLVYDSNTRLITLPEGSEA >EOY24573 pep chromosome:Theobroma_cacao_20110822:3:31478193:31482147:1 gene:TCM_016141 transcript:EOY24573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pgr5-like a, a isoform 1 MAGKLTFSLTPPRLFTAPFQKPLVPFSSSSSTRVQSNGKQLCVRRRLFLLPTKATADQQGQLGEDEVVDSKILPYCSIDKKEKKSLGEMEQEFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEASMAYVSGKPILSDEEFDKLKQRLKMEGSEIVVEGPRCSLRTRKVYSDLSVDYLKMFLLNVPATVVALGLFFFLDDLTGFEITYILELPEPFSFIFTWFAAVPALVWLAQALTKVVVKDSLILKGPCPNCGTENVSFFGTILSVSSGGTTNNLKCSNCGTPLVYDSNTRLITLPEGSEA >EOY24572 pep chromosome:Theobroma_cacao_20110822:3:31478148:31482233:1 gene:TCM_016141 transcript:EOY24572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pgr5-like a, a isoform 1 MAGKLTFSLTPPRLFTAPFQKPLVPFSSSSSTRVQSNGKQLCVRRRLFLLPTKATADQQGQLGEDEVVDSKILPYCSIDKKEKKSLGEMEQEFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEASMAYVSGKPILSDEEFDKLKQRLKMEGSEIVVEGPRCSLRTRKVYSDLSVDYLKMFLLNVPATVVALGLFFFLDDLTGFEITYILELPEPFSFIFTWFAAVPALVWLAQALTKVVVKDSLILKGPCPNCGTENVSFFGTILSVSSGGTTNNLKCSNCGTPLVYDSNTRLITLPEGSEA >EOY24575 pep chromosome:Theobroma_cacao_20110822:3:31478148:31482167:1 gene:TCM_016141 transcript:EOY24575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pgr5-like a, a isoform 1 MAGKLTFSLTPPRLFTAPFQKPLVPFSSSSSTRVQSNGKQLCVRRRLFLLPTKATADQQGQLGEDEVVDSKILPYCSIDKKEKKSLGEMEQEFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEASMAYVSGKPILSDEEFDKLKQRLKMEGSEIVVEGPRCSLRTRKVYSDLSVDYLKMFLLNVPATVVALGLFFFLDDLTGFEITYILELPEPFSFIFTWFAAVPALVWLAQALTKVVVKDSLILKGPCPNCGTENVSFFGTILSVSSGGTTNNLKCSNCGTPLVYDSNTRLITLPEGSEA >EOY24571 pep chromosome:Theobroma_cacao_20110822:3:31478193:31481782:1 gene:TCM_016141 transcript:EOY24571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pgr5-like a, a isoform 1 MAGKLTFSLTPPRLFTAPFQKPLVPFSSSSSTRVQSNGKQLCVRRRLFLLPTKATADQQGQLGEDEVVDSKILPYCSIDKKEKKSLGEMEQEFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEASMAYVSGKPILSDEEFDKLKQRLKMEGSEIVVEGPRCSLRTRKVYSDLSVDYLKMFLLNVPATVVALGLFFFLDDLTGFEITYILELPEPFSFIFTWFAAVPALVWLAQALTKVVVKDSLILKGPCPNCGTENVSFFGTILSVSSGGTTNNLKCSNCGTPLVYDSNTRLITLPEGSEA >EOY25033 pep chromosome:Theobroma_cacao_20110822:3:33020311:33024276:1 gene:TCM_016472 transcript:EOY25033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin domain-containing protein isoform 6 MDDSLGKIKVIPDHFQVSTPSADSLQIRSSPVSQPSIDNSSRSCSSLWSGRKLRSAALMLNLFSLRGLPWSSGAGQEKVQLTAAELESLRSELADIEEREAHLKARLEHIDEILRSARLTGYLYIRTRWTALPGEPAPIDDNDVDDWLPRFVVLHGQCIFFYLFSTDLSPQDSTLLSDIVEVGSLPSFTREDEGTQYSFYILTRQGLRYECSHVSKIQVDTWLSALQTDCKLGSDLKVPNGSSENIRKLLD >EOY25034 pep chromosome:Theobroma_cacao_20110822:3:33021829:33024175:1 gene:TCM_016472 transcript:EOY25034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin domain-containing protein isoform 6 MLNLFSLRGLPWSSGAGQEKVQLTAAELESLRSELADIEEREAHLKARLEHIDEILRSARLTGYLYIRTRWTALPGEPAPIDDNDVDDWLPRFVVLHGQCIFFYLFSTDLSPQDSTLLSDIVEVGSLPSFTREDEGTQYSFYILTRQGLRYECSHVSKIQVDTWLSALQTDCKLGSDLKVPNGSSENIRKLLDI >EOY25037 pep chromosome:Theobroma_cacao_20110822:3:33020532:33024172:1 gene:TCM_016472 transcript:EOY25037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin domain-containing protein isoform 6 MDDSLGKIKVIPDHFQVSTPSADSLQIRSSPVSQPSIDNSSSLRGLPWSSGAGQEKVQLTAAELESLRSELADIEEREAHLKARLEHIDEILRSARLTGYLYIRTRWTALPGEPAPIDDNDVDDWLPRFVVLHGQCIFFYLFSTDLSPQDSTLLSDIVEVGSLPSFTREDEGTQYSFYILTRQGLRYECSHVSKIQVDTWLSALQTDCKLGSDLKVPNGSSENIRKLLD >EOY25035 pep chromosome:Theobroma_cacao_20110822:3:33020516:33024176:1 gene:TCM_016472 transcript:EOY25035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin domain-containing protein isoform 6 MDDSLGKIKVIPDHFQVSTPSADSLQIRSSPVSQPSIDNSSRSCSSLWSGRKLRSAALMLNLFSLRGLPWSSGAGQEKVQLTAAELESLRSELADIEEREAHLKARLEHIDEILRSARLTGYLYIRTRWTALPGEPAPIDDNDVDDWLPRFVVLHGQCIFFYLFSTDLSPQDSTLLSDIVEVGSLPSFTREDEGTQYSFYILTRQGLRYECSHVSKIQVDTWLSALQTDCKLGSDLKVPNGSSENIRKLLD >EOY25036 pep chromosome:Theobroma_cacao_20110822:3:33020516:33024176:1 gene:TCM_016472 transcript:EOY25036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin domain-containing protein isoform 6 MDDSLGKIKVIPDHFQVSTPSADSLQIRSSPVSQPSIDNSSSLRGLPWSSGAGQEKVQLTAAELESLRSELADIEEREAHLKARLEHIDEILRSARLTGYLYIRTRWTALPGEPAPIDDNDVDDWLPRFVVLHGQCIFFYLFSTDLSPQDSTLLSDIVEVGSLPSFTREDEGTQYSFYILTRQGLRYECSHVSKIQVDTWLSALQTDCKLGSDLKVPNGSSENIRKLLDI >EOY25032 pep chromosome:Theobroma_cacao_20110822:3:33021569:33024053:1 gene:TCM_016472 transcript:EOY25032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin domain-containing protein isoform 6 MDDSLGKIKVIPDHFQVSTPSADSLQIRSSPVSQPSIDNSSRSCSSLWSGRKLRSAALMLNLFSLRGLPWSSGAGQEKVQLTAAELESLRSELADIEEREAHLKARLEHIDEILRSARLTGYLYIRTRWTALPGEPAPIDDNDVDDWLPRFVVLHGQCIFFYLFSTDLSPQDSTLLSDIVEVGSLPSFTREDEGTQYSFYILTRQGLRYECSHVSKIQVDTWLSALQTDCKLGSDLKVPNGSSEK >EOY24856 pep chromosome:Theobroma_cacao_20110822:3:32455745:32460575:-1 gene:TCM_016342 transcript:EOY24856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sporulation 11-2 MDDLRKSSMKFFSDQQLCYADVLHPHEVRARIQVAVLNFLKILNSPDPAISNLPLINRKSSNSRVREGLFTEVSWLFLSHSFCQRSLMRANAAKAFIRVWKVMEMCFEILIEDKKVTQRELFYKLLCDSPDYFSSQLQVNRTIQDVVALLRCSRNSLGIMASSKGLVAGRILFQEPNQEVVDCSACGSSGYAISGDLKLLDSLIMKTDARYIIVVEKHAVFQRLAEDHVFNQIPSILLTAKGYPDIATRFLLHRMSRAFPDLPILALVDWNPAGLAILCTYKFGSIGMGLEAYRYACNVKWLGLRGDDLQLIPEQSLAPLKPRDLQIAKGLISSVILQENYREELALMMQSGKRAEIEALYFHGYDYLGKYIAKKIVQASYI >EOY22289 pep chromosome:Theobroma_cacao_20110822:3:22848639:22851435:1 gene:TCM_014507 transcript:EOY22289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxal oxidase-related protein MEDKKNLLSIIIPAVFALLHFVVSGAVGASSGGRKGTWQLLRQNTGVVAMHMALTNRNTVIIFDQTGAGPSRYRLRRRYSGKRCTRAREDLEDWSCYAHSVEYNIDGHNVRSLRLDTDTWCSSGTFLSNGTLLQTGGHGSGSQKVRYFSPCSNGQCNWRQSKRSLSDDRWYASNQLLPENDRVIVVGGRRVFSYEFVPKLHSSDRSFNLPFLRKTNNKNAGGNNLYPFLHLSSDGNLFIFANRDSILFNYRQHKVIKTFPRIPGGGSRNYPSSGSSVILPLNHEDRFRKVEVMVCGGAASGAYEVAERGTFLKALSSCGRMVISGNRHKWKMENMPGPRTMHDMLILPTGHILIINGAKRGCAGWNNAATPSLQPYLYKPKKTLGKRFSVLKSTKIARMYHSSALVLPDGRILVAGGNPNNRYIFRNVSYPTELRLQAFIPNYMDRQYHHLRPGNVSTKYRSSSDGIAYGEEFAVQFWLGRRPSKEVEYSVYAPPFTTHSISMNQRLLKLRSKNTVRDKGGWMVAVLEAPPSPNVAPPGYYLLTVVNEGIPSLSQWIRFIHA >EOY25068 pep chromosome:Theobroma_cacao_20110822:3:33113922:33116298:1 gene:TCM_016493 transcript:EOY25068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator p15 (PC4) family protein (KELP) MDAETRGKIEQTVRGILQEANMDEMTEYKIRQMASQKLELNLSESKYKAYVRHIVNSFLEEQKAKQEEADDDDNNNKNNKEFDDDGDVIVCRLSERRRVMIQEFRGKSLVSIREFYKKDGKELPSSKGISLTEEQWSALKKNVPNIEKAVRKMESQTM >EOY20962 pep chromosome:Theobroma_cacao_20110822:3:1936463:1942262:-1 gene:TCM_012278 transcript:EOY20962 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein, putative isoform 1 MGCDACSWLRPRVPMTMAAPTLGQPLPEPIFFYSPLSSSFKRATAISCSMAGHTNSSSSSSSTGSYSRRWYNPSRRWYNPSRRQPPSSYDTSSEILRHWVEVQQPLASQDRFTVASYNILGDRNASKHKDLYITVPSDYLRWGYRKRVLCEELMGWNPDIICMQEVDKYFDLRNTMKKAGYVGSYKRRTGGNVDGCATFWKPDKFRLLERESIEFKGFGLRDNVAQLSVFEICRVESRRLVIGNIHVLYNPSRGEVKLGQIRFLSTRAQMLSNRWGNVPVVLGGDFNSTPQSAIYKFLSTSELDIKLYNRKELSGQRSCHPSQVLGVNRESRSPFTIMDGFLNDCWTDEEVRVATGSADSHLVVHPLKLSSSYATVKGSTNTRDFNGEPLATSYHSKFLGTVDYLWYSEGILPTRVLDTLPIDILRRTGGLPCKKLGSDHLALVTEFAFSKSAKDTILTMSAVSDGAISAYREDVGVPA >EOY20963 pep chromosome:Theobroma_cacao_20110822:3:1936635:1941816:-1 gene:TCM_012278 transcript:EOY20963 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein, putative isoform 1 RRQPPSSYDTSSEILRHWVEVQQPLASQDRFTVASYNILGDRNASKHKDLYITVPSDYLRWGYRKRVLCEELMGWNPDIICMQEVDKYFDLRNTMKKAGYVGSYKRRTGGNVDGCATFWKPDKFRLLERESIEFKGFGLRDNVAQLSVFEICRVESRRLVIGNIHVLYNPSRGEVKLGQIRFLSTRAQMLSNRWGNVPVVLGGDFNSTPQQSAIYKFLSTSELDIKLYNRKELSGQRSCHPSQVLGVNRESRSPFTIMDGFLNDCWTDEEVRVATGSADSHLVVHPLKLSSSYATVKGSTNTRDFNGEPLATSYHSKFLGTVDYLWYVLRGYSTY >EOY25103 pep chromosome:Theobroma_cacao_20110822:3:33248076:33255181:1 gene:TCM_016518 transcript:EOY25103 gene_biotype:protein_coding transcript_biotype:protein_coding description:General control non-repressible 3 isoform 1 MTEVASSVVHEVLGRRAEDVDQPIIDYIINVLADEDFDFGEDGDGAFESIGELLVAAECVSDFSECRQVCSKLSEKFGKHGLVKPKPTVRSLATPFRMNEGMEEEAPKKKPEPVDGPLLSERDKMKIERRKRKEERQREAQYQMHLAEMEAAREGMPVVCVNHDTGGPAIRDIHMENFTVSVGGRDLIVDGSVTLSFGRHYGLVGRNGTGKTTFLRYMAMHAIDGIPPNCQILHVEQEVVGDDTTALQCVLNSDIERTQLLKEEAHLLAQQRELDLEEDENGKSKGDLNGVPDKDAISQRLEEIYKRLEAIDADSAESRAASILAGLSFSPEMQQKATKTFSGGWRMRIALARALFIEPDMLLLDEPTNHLDLHAVLWLESYLVKWPKTFIVVSHAREFLNTVVTDIIHLQGQKLSAYKGDYDTFEKTRQEQVKNQQKAIEANERARSHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDEIVNDPDYKFEFPTPDDRPGPPIISFSDASFGYPRGPTLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLIAGELQPSSGTVFRSAKVRIAVFSQHHVDGLDLSSNPLLYMMRCYPGVPEQKLRAHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLVLFQGGILMVSHDEHLISGSVDELWVVSEGKVSPFHGTFQDYKKMLQSSS >EOY25102 pep chromosome:Theobroma_cacao_20110822:3:33248035:33255368:1 gene:TCM_016518 transcript:EOY25102 gene_biotype:protein_coding transcript_biotype:protein_coding description:General control non-repressible 3 isoform 1 MTEVASSVVHEVLGRRAEDVDQPIIDYIINVLADEDFDFGEDGDGAFESIGELLVAAECVSDFSECRQVCSKLSEKFGKHGLVKPKPTVRSLATPFRMNEGMEEEAPKKKPEPVDGPLLSERDKMKIERRKRKEERQREAQYQMHLAEMEAAREGMPVVCVNHDTGGPAIRDIHMENFTVSVGGRDLIVDGSVTLSFGRHYGLVGRNGTGKTTFLRYMAMHAIDGIPPNCQILHVEQEVVGDDTTALQCVLNSDIERTQLLKEEAHLLAQQRELDLEEDENGKSKGDLNGVPDKDAISQRLEEIYKRLEAIDADSAESRAASILAGLSFSPEMQQKATKTFSGGWRMRIALARALFIEPDMLLLDEPTNHLDLHAVLWLESYLVKWPKTFIVVSHAREFLNTVVTDIIHLQGQKLSAYKGDYDTFEKTRQEQVKNQQKAIEANERARSHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDEIVNDPDYKFEFPTPDDRPGPPIISFSDASFGYPRGPTLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLIAGELQPSSGTVFRSAKVRIAVFSQHHVDGLDLSSNPLLYMMRCYPGVPEQKLRAHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLVLFQGGILMVSHDEHLISGSVDELWVVSEGKVSPFHGTFQDYKKMLQSSS >EOY25361 pep chromosome:Theobroma_cacao_20110822:3:34023567:34026457:1 gene:TCM_016695 transcript:EOY25361 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein isoform 1 MESCPSVKNIILLDSEGQRVAVKYYSDDWPTNSAKEAFEKSIFTKTQKTNARTEAEIAMFENHIVVYKFVQDLHFFVTGAEDENELILATVLQGFFDSVDLLLRGTVDKKEALENLDLILLCLDEIVDGGQLVKHWPLLGNI >EOY25360 pep chromosome:Theobroma_cacao_20110822:3:34023600:34026338:1 gene:TCM_016695 transcript:EOY25360 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein isoform 1 MESCPSVKNIILLDSEGQRVAVKYYSDDWPTNSAKEAFEKSIFTKTQKTNARTEAEIAMFENHIVVYKFVQDLHFFVTGAEDENELILATVLQGFFDSVDLLLRGTVDKKEALENLDLILLCLDEIVDGGIILETDANVIVGKVASHSIDSGAPLSEQTISQALATAREHLTRSLLK >EOY21395 pep chromosome:Theobroma_cacao_20110822:3:7397899:7403179:1 gene:TCM_012913 transcript:EOY21395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate-zim-domain protein 3, putative isoform 1 MERDFMGLGSKHAPVTVKEEACEGPKDSVFLRGSGMQLSFSNKVSAIPQFLSFKAAQEDRLRKTAHDPLVSSGFMAKPTADSNPRPYSGLTQKQRGNHYTVTTFGLQQFDGHQPHCSQEVRLFPVSSEPNQTIAVSMSTPLLQSHLVSTGQNTIGNTVTPQPFAGVPIMAPPVSVVPPSSSIIGTTELRNAAKSSQAPSQLTIFYAGSVCVYDDVSPEKAQAIMLLAGNGSSAAQSKSTPVTQAQAPMPRPCAADGFVGNNSSTMSPCSGFPGPISVTSHVSLQPGEGSSGTNDLTAVTRVVAITSSNNQPERPRVVNSVGSAATSLIPAVAVPQARKASLARFLEKRKERVTSTSPYNISKKSPGSDDISFSVTSAGSSPRPAVN >EOY21397 pep chromosome:Theobroma_cacao_20110822:3:7400024:7402589:1 gene:TCM_012913 transcript:EOY21397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate-zim-domain protein 3, putative isoform 1 MQLSFSNKVSAIPQFLSFKAAQEDRLRKTAHDPLVSSGFMAKPTADSNPRPYSGLTQKQRGNHYTVTTFGLQQFDGHQPHCSQEVRLFPVSSEPNQTIAVSMSTPLLQSHLVSTGQNTIGNTVTPQPFAGVPIMAPPVSVVPPSSSIIGTTELRNAAKSSQAPSQLTIFYAGSVCVYDDVSPEKAQAIMLLAGNGSSAAQSKSTPVTQAQAPMPRPCAADGFVGNNSSTMSPCSGFPGPISVTSHVSLQPGEGSSGTNDLTAVTRVVAITSSNNQPERPRVVNSVGSAATSLIPAVAVPQARKASLARFLEKRKERVTSTSPY >EOY21396 pep chromosome:Theobroma_cacao_20110822:3:7398223:7402948:1 gene:TCM_012913 transcript:EOY21396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate-zim-domain protein 3, putative isoform 1 MERDFMGLGSKHAPVTVKEEACEGPKDSVFLRGSGMQLSFSNKVSAIPQFLSFKAAQEDRLRKTAHDPLVSSGFMAKPTADSNPRPYSGLTQKQRGNHYTVTTFGLQQFDGHQPHCSQEVRLFPVSSEPNQTIAVSMSTPLLQSHLVSTGQNTIGNTVTPQPFAGVPIMAPPVSVVPPSSSIIGTTELRNAAKSSQAPSQLTIFYAGSVCVYDDVSPEKAQAIMLLAGNGSSAAQSKSTPVTQAQAPMPRPCAADGFVGNNSSTMSPCSGFPGPISVTSHVSLQPGEGSSGTNDLTAVTRVVAITSSNNQPERPRVVNSVGSAATSLIPAGSSCASGS >EOY21398 pep chromosome:Theobroma_cacao_20110822:3:7398819:7402589:1 gene:TCM_012913 transcript:EOY21398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate-zim-domain protein 3, putative isoform 1 MQLSFSNKVSAIPQFLSFKAAQEDRLRKTAHDPLVSSGFMAKPTADSNPRPYSGLTQKQRGNHYTVTTFGLQQFDGHQPHCSQEVRLFPVSSEPNQTIAVSMSTPLLQSHLVSTGQNTIGNTVTPQPFAGVPIMAPPVSVVPPSSSIIGTTELRNAAKSSQAPSQLTIFYAGSVCVYDDVSPEKAQAIMLLAGNGSSAAQSKSTPVTQAQAPMPRPCAADGFVGNNSSTMSPCSGFPGPISVTSHVSLQPGEGSSGTNDLTAVTRVVAITSSNNQPERPRVVNSVGSAATSLIPAVAVPQARKASLARFLEKRKERVTSTSPY >EOY21399 pep chromosome:Theobroma_cacao_20110822:3:7400020:7402593:1 gene:TCM_012913 transcript:EOY21399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate-zim-domain protein 3, putative isoform 1 MQLSFSNKVSAIPQFLSFKAAQEDRLRKTAHDPLVSSGFMAKPTADSNPRPYSGLTQKQRGNHYTVTTFGLQQFDGHQPHCSQEVRLFPVSSEPNQTIAVSMSTPLLQSHLVSTGQNTIGNTVTPQPFAGVPIMAPPVSVVPPSSSIIGTTELRNAAKSSQAPSQLTIFYAGSVCVYDDVSPEKAQAIMLLAGNGSSAAQSKSTPVTQAQAPMPRPCAADGFVGNNSSTMSPCSGFPGPISVTSHVSLQPGEGSSGTNDLTAVTRVVAITSSNNQPERPRVVNSVGSAATSLIPAAVPQARKASLARFLEKRKERVTSTSPYNI >EOY24668 pep chromosome:Theobroma_cacao_20110822:3:31859878:31867437:-1 gene:TCM_016211 transcript:EOY24668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTAKTSPDWLPAGWTLQFKVQKTGRRITHYVNLATGQKFFTKDDLIRYTKTGSTKCDDLQPTLRQTKMPPANGSMDTAVNANERPEWLPKNWFMELKTRKSGVRIGKHYKIYIDPSTGSRFYSKLQVFRFLNHVEQKSSKPKQKKKVSHSTSEIVVKAHEHPEWLPKNWFTELKTYKSGAKFGKSYKIYVDPSTGLRFHSRPEVFRFLDKGEQKSTKSKPKKRALCSTSKVVTRKSTVDDLPAGWIKEVKIKRHANGVRRDPYYTDPASGYVFRSKKDILRYLETGEIGRYAFLPKKKHSDDQNLIHTEKSQLPAAKRQKVKHPVTRRQLFTARETSDRSILSHLEAETFEKGQSEKDYTETRLATTSVPQSQSYVEVIATADKSNWSCSVAPKASKRNQGKTVSADNMVVSTAAANVLQVKNLLERGTEKKSNINSKDSGKSKNKKELDLPRRFSKRLAHHEPDLAACGLELVKPCQNEANGQCVLEDEATEQHNIGSSAEVAKQASTDATVKSHWGSVKKTIKPIEDKVVLGKQPQMLETEKTSDTKSEVQPFVCSDPCLEFAIKTLTGAIPLQAASNKELVSTAASNVLQEKNLGKTRMGNKSRNIETRNSSKLKKMKELDLPCRSSKRLAGLEPELVASGGSIKVAVQNATTRSGKNEPKSPCLLVDKATRRPNVGPNAELPYQASAAAVNQEISSIRPHEGRAILGARPQMLGTQKGSDSKLELQPFFCSDPCLEFAIKTLTGAIPLEDAINEGLVSTPIANIQQQVNLAETRIEHSRCRKALFNSIRSKKDCSFPHRSLKQLAGRAPELEANSLSDERVLKIAARKSCNSKAIRNVDLTSENPIDKASQELETGPRPALQHPDFTYRTTVFQVESPNKSKAPHLNLTAPTEMNIEKPGLHSAIPFGNSWSDSRFEFAFKTVTGSSPAEDSFAFQSNFHQQFGSSGSQIDGNFALPDYGLPSISQSDISPHLGATAQLVMQQQCPVNPSFLPPGNVSLPSHSRVDAQRPYSKGNKELPGKVKP >EOY22134 pep chromosome:Theobroma_cacao_20110822:3:21774898:21776599:1 gene:TCM_014343 transcript:EOY22134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGTNALGSIDALKLTLIPRLVIPPKVKVPDFEKYDSTKCLMAHVTMYCHKMAAYTYDDNLLIHCFQDSLTRSTFRWYNKLN >EOY24555 pep chromosome:Theobroma_cacao_20110822:3:31420273:31421445:1 gene:TCM_016127 transcript:EOY24555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3G1 MTNLSEDTREPDLLELFYTFGPVTRVYVAMDNKTGTIRGFGFVNFVNTEDAQRAIDKLNGYGYDNLISKYFFS >EOY24393 pep chromosome:Theobroma_cacao_20110822:3:30783993:30789886:-1 gene:TCM_016006 transcript:EOY24393 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 2A isoform 4 MLTEFSEIQGQLKVLCEERSNLLDTLRQLEAANIEAETSGIHDGDFQLTKHEYSGIGRGKYSECSTTESSDDIEKQELEEVSDEDETSFYDTKEYFTEPPVSCGSVRGAADHAENQKEAEKQFDNVEKMHADKEDCDSRYPQIERRKKLPDPIEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGKGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGLMQICGNREYSCKLKFKEQSILDRNPRQVHGFVEDLSGKKVATLIGKWDDSMYYVSGDGSARPKDCNPSSNASLLWKRNKPPPNLTRYNLTSFAITLNELTPGLQIKEKLPPTDSRLRPDQRHLENGEYERANAEKQRLERRQRMSRKLQENGWNPRWFEREGENGSFRYVGGYWEAREQGKWDGCPNIFGEFNEELVDSTERP >EOY24391 pep chromosome:Theobroma_cacao_20110822:3:30782597:30792820:-1 gene:TCM_016006 transcript:EOY24391 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 2A isoform 4 MRVKEMHPLCCISLESPGIGDQSPEVSLTRARSMPAGSLSGSETGNASRLTTRGSEGTVAGILYKWTNYGKGWRSRWFLLRNGVLSYSKIRRPETLNLLSPTDDVRLIGDISTNRLSRMDSCSGRRKHQKTVGIIHLKSGSHQQVGLEGCNRISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRIAWIQALVSTRSLFLLRPLNDNLSLVPHDLSISTDKLKKRLLEEGISDNLVKDCEQIMLTEFSEIQGQLKVLCEERSNLLDTLRQLEAANIEAETSGIHDGDFQLTKHEYSGIGRGKYSECSTTESSDDIEKQELEEVSDEDETSFYDTKEYFTEPPVSCGSVRGAADHAENQKEAEKQFDNVEKMHADKEDCDSRYPQIERRKKLPDPIEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGKGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGLMQICGNREYSCKLKFKEQSILDRNPRQVHGFVEDLSGKKVATLIGKWDDSMYYVSGDGSARPKDCNPSSNASLLWKRNKPPPNLTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYERANAEKQRLERRQRMSRKLQENGWNPRWFEREGENGSFRYVGGYWEAREQGKWDGCPNIFGEFNEELVDSTERP >EOY24394 pep chromosome:Theobroma_cacao_20110822:3:30783273:30792644:-1 gene:TCM_016006 transcript:EOY24394 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 2A isoform 4 MRVKEMHPLCCISLESPGIGDQSPEVSLTRARSMPAGSLSGSETGNASRLTTRGSEGTVAGILYKWTNYGKGWRSRWFLLRNGVLSYSKIRRPETLNLLSPTDDVRLIGDISTNRLSRMDSCSGRRKHQKTVGIIHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRIAWIQALVSTRSLFLLRPLNDNLSLVPHDLSISTDKLKKRLLEEGISDNLVKDCEQIMLTEFSEIQGQLKVLCEERSNLLDTLRQLEAANIEAETSGIHDGDFQLTKHEYSGIGRGKYSECSTTESSDDIEKQELEEVSDEDETSFYDTKEYFTEPPVSCGSVRGAADHAENQKEAEKQFDNVEKMHADKEDCDSRYPQIERRKKLPDPIEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGKGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGLMQICGNREYSCKLKFKEQSILDRNPRQVHGFVEDLSGKKVATLIGKWDDSMYYVSGDGSARPKDCNPSSNASLLWKRNKPPPNLTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYERANAEKQRLERRQRMSRKLQENGWNPRWFEREGENGSFRYVGGYWEAREQGKWDGCPNIFGEFNEELVDSTERP >EOY24395 pep chromosome:Theobroma_cacao_20110822:3:30783276:30791971:-1 gene:TCM_016006 transcript:EOY24395 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 2A isoform 4 MLTEFSEIQGQLKVLCEERSNLLDTLRQLEAANIEAETSGIHDGDFQLTKHEYSGIGRGKYSECSTTESSDDIEKQELEEVSDEDETSFYDTKEYFTEPPVSCGSVRGAADHAENQKEAEKQFDNVEKMHADKEDCDSRYPQIERRKKLPDPIEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGKGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGLMQICGNREYSCKLKFKEQSILDRNPRQVHGFVEDLSGKKVATLIGKWDDSMYYVSGDGSARPKDCNPSSNASLLWKRNKPPPNLTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYERANAEKQRLERRQRMSRKLQENGWNPRWFEREGENGSFRYVGGYWEAREQGKWDGCPNIFGEFNEELVDSTERP >EOY24396 pep chromosome:Theobroma_cacao_20110822:3:30783276:30791429:-1 gene:TCM_016006 transcript:EOY24396 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 2A isoform 4 MLTEFSEIQGQLKVLCEERSNLLDTLRQLEAANIEAETSGIHDGDFQLTKHEYSGIGRGKYSECSTTESSDDIEKQELEEVSDEDETSFYDTKEYFTEPPVSCGSVRGAADHAENQKEAEKQFDNVEKMHADKEDCDSRYPQIERRKKLPDPIEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGKGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGLMQICGNREYSCKLKFKEQSILDRNPRQVHGFVEDLSGKKVATLIGKWDDSMYYVSGDGSARPKDCNPSSNASLLWKRNKPPPNLTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYERANAEKQRLERRQRMSRKLQENGWNPRWFEREGENGSFRYVGGYWEAREQGKWDGCPNIFGEFNEELVDSTERP >EOY24392 pep chromosome:Theobroma_cacao_20110822:3:30784557:30792355:-1 gene:TCM_016006 transcript:EOY24392 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 2A isoform 4 MRVKEMHPLCCISLESPGIGDQSPEVSLTRARSMPAGSLSGSETGNASRLTTRGSEGTVAGILYKWTNYGKGWRSRWFLLRNGVLSYSKIRRPETLNLLSPTDDVRLIGDISTNRLSRMDSCSGRRKHQKTVGIIHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRIAWIQALVSTRSLFLLRPLNDNLSLVPHDLSISTDKLKKRLLEEGISDNLVKDCEQIMLTEFSEIQGQLKVLCEERSNLLDTLRQLEAANIEAETSGIHDGDFQLTKHEYSGIGRGKYSECSTTESSDDIEKQELEEVSDEDETSFYDTKEYFTEPPVSCGSVRGAADHAENQKEAEKQFDNVEKMHADKEDCDSRYPQIERRKKLPDPIEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYKYGKEGNSLQRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGKGWKFWGDSNLRTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGLMQICGNREYSCKLKFKEQSILDRNPRQVHGFVEDLSGKKVATLIGKWDDSMYYVSGDGSARPKDCNPSSNASLLWKRNKPPPNLTRYNLTSFAITLNELTPGLQLCLIPGI >EOY25251 pep chromosome:Theobroma_cacao_20110822:3:33694421:33696196:-1 gene:TCM_016624 transcript:EOY25251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding,nucleic acid binding-like protein MLSNEKIEVNRTDAPKTTMQASYRSMWLHNHNIESFGESESEEEGEILEDVDSDDDNFDGIADGPYIRLSKEDKRRIRRPWRNAFIVKLLGRNINYTYLCIRVKQLWSLIGDFQVVDLDNGFYCVRFSNKSDFDHVLTEGPWFIAGHYLSTIRRWTLEFCSNEASVDSIAAWIRLPGMPLEYYDSGILSRIGNELGKTLKVDRTTAYASRGKFAKMCVELHLNKPLVSKVYRRQEQEGITEEQAQKTNQNCGDQEKDFVSTKFGPWMVAKKTYRRNNGLRAEGGLNLKSKVASQKGNMKAKQNIGTRFYLLDEDVSAPSDNEIIPESLEHVVLETVKLAAKKNKEVMRNEEELKKSLQAFASIRAITFVN >EOY21128 pep chromosome:Theobroma_cacao_20110822:3:3259945:3264600:1 gene:TCM_012485 transcript:EOY21128 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 MPFLTENSASASSSTIAEHLKLRRPPRNQINITETDPNPVPDIPSVVQSARSKSSISSLFLSTFSSTNNETTTTTLHPSTNTKKKANPFSQSTLRGLGCTASASQQVSVPAMIRTSADWEAKKVKKKKKTQQQEQEKKKKKQKKKKSGKLVGNENSSNKVHHQQGVVLNEGSGNNISCGVIQDVWCGPGIGFSADAVGSVDCVVARRNVPARGKIDVEKVNHRERSCIARRTVNPETLSFLDSDSALISAHPEPDFFGARYYRHVRHPSPEGLAEIMMLQNNLLMGGRLDSHDRFSDWRLDIDSMSYEQLLELGDKIGYVNTGLKEDEISRCLRKIKGSIMNELPPNLHTHVDKKCSICQEEYEADEEMGKLYCGHSFHIQCIKQWLVQKNTCPVCKTEAATRC >EOY21127 pep chromosome:Theobroma_cacao_20110822:3:3257579:3264563:1 gene:TCM_012485 transcript:EOY21127 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 MPFLTENSASASSSTIAEHLKLRRPPRNQINITETDPNPVPDIPSVVQSARSKSSISSLFLSTFSSTNNETTTTTLHPSTNTKKKANPFSQSTLRGLGCTASASQQVSVPAMIRTSADWEAKKVKKKKKTQQQEQEKKKKKQKKKKSGKLVGNENSSNKVHHQQGVVLNEGSGNNISCGVIQDVWCGPGIGFSADAVGSVDCVVARRNVPARGKIDVEKVNHRERSCIARRTVNPETLSFLDSDSALISAHPEPDFFGARYYRHVRHPSPEGLAEIMMLQNNLLMGGRLDSHDRFSDWRLDIDSMSYEQLLELGDKIGYVNTGLKEDEISRCLRKIKGSIMNELPPNLHTHVDKKCSICQEEYEADEEMGKLYCGHSFHIQCIKQWLVQKNTCPVYFAPNTKRIKSMGLWDMDRRIVATSLLFLKSIYYL >EOY21193 pep chromosome:Theobroma_cacao_20110822:3:3987518:3989896:1 gene:TCM_012576 transcript:EOY21193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEKLVQKTCEVSKGIRGKNVCRGFVAIVTGSMGVPSRDRKPNQSSTRGKHKQKVHGKGYRQADFPHNICPCKQQKRVH >EOY21194 pep chromosome:Theobroma_cacao_20110822:3:3989158:3989896:1 gene:TCM_012576 transcript:EOY21194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 ISELHPRKQEKRKKREEWKNWYKRLARSRKAFGGRMFVEASLRLSRARWEFRVVIENLTNLLPEASTNKR >EOY24513 pep chromosome:Theobroma_cacao_20110822:3:31267836:31271934:-1 gene:TCM_016097 transcript:EOY24513 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 1 isoform 1 MESREAVSTTTTTTATGGVTVVGSDAPSDYHIAPRSENSTQNPNPTPGSAPPPQPPPQTAAQPVPPPVSVSGLPVKKKRGRPRKYGPDGSVTMALSPKPISTAAPPPLIDFSAGKRGKVKSPTSVSKAKYELENLGREEVLSFRCVIVLGRSGTFKLWEFLWVGNMGMMESSCYECPLPLGILYGKKNLWKAMTAEASMLGVSEWVACSVGANFTPHIITVNAGEDVTMKIISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPSDSGGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFLAGNQHEQKPKKQKHEPISAATPMAAIPVSSADPKSNLSTSSFRGDSWSSLPSDSRNKPTDINVSLPAG >EOY24515 pep chromosome:Theobroma_cacao_20110822:3:31267391:31272246:-1 gene:TCM_016097 transcript:EOY24515 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 1 isoform 1 MESREAVSTTTTTTATGGVTVVGSDAPSDYHIAPRSENSTQNPNPTPGSAPPPQPPPQTAAQPVPPPVSVSGLPVKKKRGRPRKYGPDGSVTMALSPKPISTAAPPPLIDFSAGKRGKVKSPTSVSKAKYELENLVGEWVACSVGANFTPHIITVNAGEDVTMKIISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPSDSGGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFLAGNQHEQKPKKQKHEPISAATPMAAIPVSSADPKSNLSTSSFRGDSWSSLPSDSRNKPTDINVSLPAG >EOY24514 pep chromosome:Theobroma_cacao_20110822:3:31267212:31272255:-1 gene:TCM_016097 transcript:EOY24514 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 1 isoform 1 MESREAVSTTTTTTATGGVTVVGSDAPSDYHIAPRSENSTQNPNPTPGSAPPPQPPPQTAAQPVPPPVSVSGLPVKKKRGRPRKYGPDGSVTMALSPKPISTAAPPPLIDFSAGKRGKVKSPTSVSKAKYELENLGEWVACSVGANFTPHIITVNAGEDVTMKIISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPSDSGGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFLAGNQHEQKPKKQKHEPISAATPMAAIPVSSADPKSNLSTSSFRGDSWSSLPSDSRNKPTDINVSLPAG >EOY23675 pep chromosome:Theobroma_cacao_20110822:3:28194684:28195208:1 gene:TCM_015490 transcript:EOY23675 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein MRSGDKHHVNFHLHMPHLHSFHHHEKKDLKDIPKGCLAILVGQGEEQQRFVIPVIYINHPLFMQLLKEAEEEYGFDQKGPITIPCHVEEFRNVQGMIDKEQHQHHHHHHYHHNHHHVWCFRV >EOY20628 pep chromosome:Theobroma_cacao_20110822:3:375887:382661:-1 gene:TCM_011998 transcript:EOY20628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein isoform 1 MAGRAPAKADEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTTVIIPSSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQTLFGTRLPAVIGGSYTFVPTTISIILAGRFSDTSDPIERFKRIMRAIQGSLIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILIVFISQYMPHVIKSGRHVFDRFAVIFSVVVVWIYAHLLTVGGAYNGKAPKTQISCRTDRAGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSILSRGVGWQGVAILVSGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTALNGYGPVHTGARWFNDIVNVPLSSEAFVAGCLAYFLDNTLHRKDSSIRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >EOY20629 pep chromosome:Theobroma_cacao_20110822:3:375887:382716:-1 gene:TCM_011998 transcript:EOY20629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein isoform 1 MAGRAPAKADEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTTVIIPSSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQTLFGTRLPAVIGGSYTFVPTTISIILAGRFSDTSDPIERFKRIMRAIQGSLIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILIVFISQYMPHVIKSGRHVFDRFAVIFSVVVVWIYAHLLTVGGAYNGKAPKTQISCRTDRAGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSILSRGVGWQGVAILVSGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTALNGYGPVHTGARWFNDIVNVPLSSEAFVAGCLAYFLDNTLHRKDSSIRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >EOY20630 pep chromosome:Theobroma_cacao_20110822:3:376392:382716:-1 gene:TCM_011998 transcript:EOY20630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein isoform 1 MAGRAPAKADEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTTVIIPSSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQTLFGTRLPAVIGGSYTFVPTTISIILAGRFSDTSDPIERFKRIMRAIQGSLIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILIVFISQYMPHVIKSGRHVFDRFAVIFSVVVVWIYAHLLTVGGAYNGKAPKTQISCRTDRAGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSILSRGVGWQGVAILVSGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTALNGYGPVHTGARWFNDIVNVPLSSEAFVAGCLAYFLDNTLHRKDSSIRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >EOY22232 pep chromosome:Theobroma_cacao_20110822:3:22539760:22543773:-1 gene:TCM_014455 transcript:EOY22232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome instability 12-like, putative MEGSESEAIFDSLNLNPQLFINEALNTVDDLLNDGFDFYLQEASTLLKIEGTERSQDLTKGVNYIRNMIQSSLDKRLAMWEKYCLRHCFTVPEGFSLSKNDELPGSCSMVQDTLSDPDVDAELDSLRDKLTLVGAESDRLNSELKELERQSALSGHYTGLINEALQLYEDTSARDMFREMVQTATELRVKMQKLKTRQAERMEHARAERIYNSLTDYFTVNQEKGLANAKLDDLQEFLAELKKM >EOY21478 pep chromosome:Theobroma_cacao_20110822:3:8832085:8845084:1 gene:TCM_013057 transcript:EOY21478 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MMATRMEDIQRVVEGRPTVQESPSSQGQADHQHHEEERGHLDISLPDFLKLKPPTFSGSDASEKPQVFLDKMVKICKALGCSSVRSVELAAFQLEDVAQEWYSSLCRGRPTNATPLAWSEFSVAFLDRFLPLSVRNARAREFETLVQTSSMTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVEPLFRAVASRDFTTYSAAVDRAQRIEMRTNESRAARDRAKRGKTEGYQGRRDFSSGGSSSSRQGPQRDSRLPQQGSDAPGANIRVGQRTFNSRRQQDSRQSSQVIRSCDTCGRRHSGRCFLTTKTCYGCGQPGHIRRDCPMAHQSPDSARGSTQPASSAPPTSVTEIRSFVGLAGYYRRFVKDFSKIVAPLTKLTRKDTKFEWSDACENSFEKLKACLTTAPVLSLPQGTGGYTVFCDASGVGLGCVLMQHGKVIAYASRQLKRHEQNYPIHDLEMAAIVFALKIWRHYLYGETCEIYTDHKSLKYIFQQRDLNLRQRRWMELLKDYDCTILYHPGKANVVADALSRKSMGSLAHIFIGRRSLVREIHSLGDIGVRLEVAETNALLAHFRVRPILMDRIKEAQSKDEFVIKALEDPQGRKGKMFTKGTDGVLRYGTRLYVPDGDGLRREILEEAHMAAYVVHPGATKMYQDLKEVYWWEGLKRDVAEFVSKCLVCQQVKAEHQKPAGLLQPLPVPEWKWEHIAMDFVTGLPRTSGGYDSIWIVVDRLTKSAHFLPVKTTYGAAQYARVYVDEIVRLHGIPISIVSDRGAQFTSRFWGKLQEALGTKLDFSTAFHPQTDGQSERTIQTLEDMLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWLEGGKKKPLGS >EOY21351 pep chromosome:Theobroma_cacao_20110822:3:6827694:6838886:1 gene:TCM_012863 transcript:EOY21351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKERLEEFEANMDELGSKDDELREELHEMAKLELQMRSVQNITKVMTVVESLVDLHKFENKLFFLKPKKKGNDKEEKDNGSEEYAGEKSPRH >EOY21007 pep chromosome:Theobroma_cacao_20110822:3:2242907:2244186:-1 gene:TCM_012323 transcript:EOY21007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin fusion degradation UFD1 family protein MEPSDLEAVAAAMRLDHSFQHTYRCSSSRFEFGNRILMPLSAFDSLVDKGVESPWLFELCNLVTGKTSYCGVLEFTSDEGFVLLPRQMMESMELEEGELVTLTSASLDKGTFLKLQPHTKNFMQLSNPRAVLEIAFRDFCCLTTGDTIMIMYNNIKFYIDIVETKPSLAVNIIDTDCEVDFALPLDYEPPQEEQKKPKVLQKQKQPIEEVTVKFKAFNGIARRLDGEPVTEHVAVDDDDSMMNAKRKPCGSNKVVLGSNVIQSQEDSTGEPSRKGRQEETNMKKEEEKFQPFTGRSYRLT >EOY21778 pep chromosome:Theobroma_cacao_20110822:3:18138146:18143740:1 gene:TCM_013886 transcript:EOY21778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein MFQRWFYDRYKEAVKVTMPLSPWVARQLSKWFNDALYFVVKPINRMEFEIKNGKMDGLVNLLRKTAKEAWDVLSYDTFSLKHEKLISWDKPKSSFVKLNVDGSAKGQPGVTAPGKVIRDEDGTQYEEIGVYELKKGDFFANFTNYGAVMLSLVLPDKTGKLDDIVLGYDSVEDYKNDTTYFGAIVGRVANRIKGAEFTINGVSYKLVANEGKNTLHGGSKGFADVIWHVRNYKQDSHVTFTYYSFDGEQGFPGNLQVSVTYMIIGTNKLGVKMEAKPLNKATPVNLALHTYWNLGGHSSGDILSHTLQLFGSSITPVDDELIPTGKIDPVQGTPYDFLQPHEIGSMLDQLPHGYDVNYVLDKSSPQHLRKVAVVHESKSGRKMELWTNKPGVQVYTSNMLKSEKGKDGFVYSTYAGLCLETQGFPDSVNHPNFPSQIVNPGETYKHFMVFRFTAN >EOY25229 pep chromosome:Theobroma_cacao_20110822:3:33630743:33635520:-1 gene:TCM_016609 transcript:EOY25229 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIM zinc finger family protein MEIVESILDIPVQDPPEEEFSSADLTWTKFGAAEHHDDVALIPYARVDEFIIGECSNVECPTRFHIERGRKRSKGSLKEYKSDEYLEYRLYWCSFGPENYGEGGGILPSRKYRLNTRNRAARPQSMRGCMCHFVVKRLYAQPSLALIIYNERRHINKSGFVCHGPLDKDAIGPGAKKIPYISNEIQQQTMSMIYLGIPEENVLEKHIECIQRYCGSDARVSTLASQYVRKLGMIIKRSTHELDLDDQASIRMWVERNKKSIFFYQDTSETDPFILGIQTEWQLQQMVRFGHRSLIAADSTFGIKRLKYPLCTLLVFDSRQHALPVSWVITRSVAKSDVAKWMKALLDRARSIEPGWKINGFLIDDAAMEIDPIRDTFYCPILFSLWRVRRSWLRNVVKKCSNIEVQREIFKRLGEIVYSIWGGINTSVALEELIQDFVDQNAFVEYFKSSWVPKIEMWLSTMKTIPLASQEASGAIEAYHVKLKTKLFDDSHLGALQRVDWLVHKLTTELHSTYWLDRYADESDSFLNVKEEYIASTSWHRALQIPDSFVTLGDKANLFAKVASQKDISITHLVWNPGSDFAFCDCAWSMQGNFCKHVIKVNMMCENREGYKPSMSFQSFREILMDLLKKPMDDSIALDESVAWTHQMLDQIKQLVELNSSNDIGIVVKNMPLKWVSKKGRTFVGIPASLPAIPSSSKSITKNLQKKNRKRKRLSRLR >EOY21826 pep chromosome:Theobroma_cacao_20110822:3:18813920:18819166:1 gene:TCM_013958 transcript:EOY21826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MRSVFNIKIHTQLYYSSYKFISSLAAIENPSIYRVENDDKNPTFFNTSNFFIDYKRLRQYTIKSTKLLHTHLLKTSKLQSNIFVANSLLDGYCRCGSMEEAIKLFDQMSEPNIISWNTMISGYNYNYLLEGSWVWFLKMRFSGFEPDEITYRSVLSACVAMRSTSFGKQLYSVTMKNGFFSNGYVRTGMIDLFAKCCVFEDALRVFYDVSCCENVVCWNAIISGAVRSEENWVALDLFVQMRKQFLMPNSFTFSSVLSACAALKELEIGKEVQGWIIKCGVVDVFVGTALTDLYVKCGDMEEAVNMFSWMPTRDVVSWTAIISGFVQKDDLLNALEFFKEMRYMKVEINNYTATSVISACAKPDMIEEAKQIHSWIIKSGFYMDSVIQAALVNMYSKIGIIGLAEIVFKEMESIRSPNTWAVLISSFAQKQSFQRVIELLRTMLKEGLRPDRFCTSSVFSVIECINLGRQMHCYTLKTGLIFYLSVESSLFTMYSKCGSLEDSLKVFQNIPVRDNVSCASMIAGFTEHGYAEQAVQLFRDMLSEETKPDQMTLTATLSACSSLHCLHKGKEIHGYAIRAGFGNETLICGAVITLYSKCSALGLARRVFDMLVQKDLVSYSSLITGYAQTGLIEEAMLLFCAMMKSNLAVNSYTLSSILGASALSNKSGVGTQLHALVIKLGLDSEVSVGSSLVTMYSKCGSIRDSEKAFDEIDKPDLIGWTAMISSYAQHGKGVEALRAYELMRKEEINPDPVTFVGILSACSHNGLIEEGYYYLNSMAKEYGIQPGYHHYACIVDILGRLGKLREAEKFINNMPIEPNAFIWGTLLSACKVHGDVELGRLAAKKIIELEPCHSGAYVSLSNICADIGQWEGVLEIRSLMNGTGVRKEPGWSSV >EOY21825 pep chromosome:Theobroma_cacao_20110822:3:18813920:18819166:1 gene:TCM_013958 transcript:EOY21825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MRSVFNIKIHTQLYYSSYKFISSLAAIENPSIYRVENDDKNPTFFNTSNFFIDYKRLRQYTIKSTKLLHTHLLKTSKLQSNIFVANSLLDGYCRCGSMEEAIKLFDQMSEPNIISWNTMISGYNYNYLLEGSWVWFLKMRFSGFEPDEITYRSVLSACVAMRSTSFGKQLYSVTMKNGFFSNGYVRTGMIDLFAKCCVFEDALRVFYDVSCCENVVCWNAIISGAVRSEENWVALDLFVQMRKQFLMPNSFTFSSVLSACAALKELEIGKEVQGWIIKCGVVDVFVGTALTDLYVKCGDMEEAVNMFSWMPTRDVVSWTAIISGFVQKDDLLNALEFFKEMRYMKVEINNYTATSVISACAKPDMIEEAKQIHSWIIKSGFYMDSVIQAALVNMYSKIGIIGLAEIVFKEMESIRSPNTWAVLISSFAQKQSFQRVIELLRTMLKEGLRPDRFCTSSVFSVIECINLGRQMHCYTLKTGLIFYLSVESSLFTMYSKCGSLEDSLKVFQNIPVRDNVSCASMIAGFTEHGYAEQAVQLFRDMLSEETKPDQMTLTATLSACSSLHCLHKGKEIHGYAIRAGFGNETLICGAVITLYSKCSALGLARRVFDMLVQKDLVSYSSLITGYAQTGLIEEAMLLFCAMMKSNLAVNSYTLSSILGASALSNKSGVGTQLHALVIKLGLDSEVSVGSSLVTMYSKCGSIRDSEKAFDEIDKPDLIGWTAMISSYAQHGKGVEALRAYELMRKEEINPDPVTFVGILSACSHNGLIEEGYYYLNSMAKEYGIQPGYHHYACIVDILGRLGKLREAEKFINNMPIEPNAFIWGTLLSACKVHGDVELGRLAAKKIIELEPCHSGAYVSLSNICADIGQWEGVLEIRSLMNGTGVRKEPGWSSV >EOY21798 pep chromosome:Theobroma_cacao_20110822:3:18683439:18689062:1 gene:TCM_013937 transcript:EOY21798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRYENGLIKIFFSKAIRVKDSSVAETKATREAFFLFAASKWSHSHKLIVESDSRNVAKWTSKPSDASWRIRKWVLHIERLKKEIKRWEIKHVNLIPFMVRTPTFSIIWNGTRTNTFSRTRGIRQGDPLSPYLFVLCLEKLSQLIDNKVWLGNWRPLLLTRNGPFLAHVCYADDLVLFRVTSTKQVQVMMKTLDRFYLVSGQKVGLSKWRLLVSSKVSPVKAKNLSSIAKTTLTKDFGKYHGAPATHERITQTTYSELINEVQLRMESWSNKYLSVAGRISLVQSVTSTTATYPMQTTFLLKTLSRRSIV >EOY21806 pep chromosome:Theobroma_cacao_20110822:3:18705331:18708009:-1 gene:TCM_013940 transcript:EOY21806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MGSEAPAPLVEKTIAAVETSVGRSCAPKTKGKVPRRIHKAEREKLKREHLNELFLDLANALDPNQPNNGKASILCEATRLLKDLFGQIETLKKENACLLSESHYVSIEKNELKEENSTLETQIQKLQSEIGTRVAQSKPDLNEPPLGFQQSELSSHFRGDRPGLPAVEPALQQASALLVVPIHPDIQAYPVPDSMQPTANTNSIVSKPHARYPTPADSWPSQLLGKQSAIRNEFGLDDISRSGDRGAANL >EOY21805 pep chromosome:Theobroma_cacao_20110822:3:18705453:18708037:-1 gene:TCM_013940 transcript:EOY21805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 1 MGSEAPAPLVEKTIAAVETSVGRSCAPKTKGKVPRRIHKAEREKLKREHLNELFLDLANALDPNQPNNGKASILCEATRLLKDLFGQIETLKKENACLLSESHYVSIEKNELKEENSTLETQIQKLQSEIGTRVAQSKPDLNEPPLGFQQSELSSHFRGDRPGLPAVEPALQQASALLVVPIHPDIQAYPVPDSMQPTANTNSIVSKPHARYPTPADSWPSQLLGKQSAIRNEFGLDDISRSGDRGAANL >EOY20671 pep chromosome:Theobroma_cacao_20110822:3:510773:519199:-1 gene:TCM_012029 transcript:EOY20671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine synthase 1 MEGFNVGTDNGFGLAEESTNSHMKEPAADGFVSEHETMQSSAAAADLEMHYEIIPGSSGQVPGRHANVLREWPDNGFGLAEESTNSHEKELAADGFASEHETIQSSAAADDLEMHYEIIPGASGQLPGWYANVLREWPGEAKLYKIEKTLFHGKSEYQELFVFQSSNHGKIAILDGSLQLTERDEFAYQEMLTHLPLCSIPNPNKVLVIGGGDGGILRELSRHPTVEQIDICELDAMVIEVYKKFFPGVAVGYEDPRVNVHIGNGVEFIKSIPPGTYDAIILDAFQEMGPIAVELGDKRFLGSVATALRPGGVMSCPAEGPWQKDFNLAHTVANCRKVFKGSVNYAWTAIPAYPRHELCLQTQHGAIGFMLCSTEGPAVDFKHPINPLNPEYLGVAEGPPKFYNSEIHAAAFCLPSFTIKMIGSKI >EOY22686 pep chromosome:Theobroma_cacao_20110822:3:24463299:24467429:-1 gene:TCM_014786 transcript:EOY22686 gene_biotype:protein_coding transcript_biotype:protein_coding description:TUDOR-SN protein 1 isoform 1 MAASTAGGTGWYKGRVKAVPSGDCLVVMAMSSNRPGPTPEKTVTLASLIAPRLARRGGVDEPFAWESREYLRKLCIGKEITFRVEYAVPSIGREFGSVYLGDKNVAMLVVSEGWAKVREQGQQKGEASPFLAELLRLEEQAKQQGLGRWSKVPGAAEAAIRNLPPSAIGDPGNLDAMGLLAANKGRPMQGIVEQVRDGSTVRVYLLPDFQFVQVFVAGIQAPSMGRRAAVETVVETELTSDEQNGDVSAEPRAPLTSAQRLTASSAASAEVAPDPFGAEAKYFTEVRCLHRDVRIVLEGVDKFSNLIGSVYYPDGETAKDLALELVENGLAKYVEWSANMMEDDAKRRLKAAELQAKKTRLRIWTNYVPPATNSKAIRDQNFTGKVVEVVSGDCIIVADDSVPYGSPLAERRVNLSSIRCPKMGNPRRDEKPAAYAREAREFLRTRLIGKQVNVQMEYARKVTMADGATATTAPADSRVMDFGSVFLMSPVKGDGDDATAVAPSTAGTQQPGLNVAELVVGRGFGTVIRHRDFEERSNYYDTLLAAESRAISGKKGIHSAKDPPVMHITDLTTSSAKKARDFLPFLHRSRRIPAVVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPGRDEPYSDEAIALMRRKIMQRDVEIEVETVDRTGTFLGSLWESRTNMAVTLLEAGLAKLQTSFGADRIADAHLLEQAEQSAKRQKLKVRSDLGELC >EOY22688 pep chromosome:Theobroma_cacao_20110822:3:24461837:24468057:-1 gene:TCM_014786 transcript:EOY22688 gene_biotype:protein_coding transcript_biotype:protein_coding description:TUDOR-SN protein 1 isoform 1 MAASTAGGTGWYKGRVKAVPSGDCLVVMAMSSNRPGPTPEKTVTLASLIAPRLARRGGVDEPFAWESREYLRKLCIGKEITFRVEYAVPSIGREFGSVYLGDKNVAMLVVSEGWAKVREQGQQKGEASPFLAELLRLEEQAKQQGLGRWSKVPGAAEAAIRNLPPSAIGDPGNLDAMGLLAANKGRPMQGIVEQVRDGSTVRVYLLPDFQFVQVFVAGIQAPSMGRRAAVETVVETELTSDEQNGDVSAEPRAPLTSAQRLTASSAASAEVAPDPFGAEAKYFTEVRCLHRDVRIVLEGVDKFSNLIGSVYYPDGETAKDLALELVENGLAKYVEWSANMMEDDAKRRLKAAELQAKKTRLRIWTNYVPPATNSKAIRDQNFTGKVVEVVSGDCIIVADDSVPYGSPLAERRVNLSSIRCPKMGNPRRDEKPAAYAREAREFLRTRLIGKQVNVQMEYARKVTMADGATATTAPADSRVMDFGSVFLMSPVKGDGDDATAVAPSTAGTQQPGLNVAELVVGRGFGTVIRHRDFEERSNYYDTLLAAESRAISGKKGIHSAKDPPVMHITDLTTSSAKKARDFLPFLHRSRRIPAVVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPGRDEPYSDEAIALMRRKIMQRDVEIEVETVDRTGTFLGSLWESRTNMAVTLLEAGLAKLQTSFGADRIADAHLLEQAEQSAKRQKLKVRNLGELC >EOY22687 pep chromosome:Theobroma_cacao_20110822:3:24461447:24468057:-1 gene:TCM_014786 transcript:EOY22687 gene_biotype:protein_coding transcript_biotype:protein_coding description:TUDOR-SN protein 1 isoform 1 MAASTAGGTGWYKGRVKAVPSGDCLVVMAMSSNRPGPTPEKTVTLASLIAPRLARRGGVDEPFAWESREYLRKLCIGKEITFRVEYAVPSIGREFGSVYLGDKNVAMLVVSEGWAKVREQGQQKGEASPFLAELLRLEEQAKQQGLGRWSKVPGAAEAAIRNLPPSAIGDPGNLDAMGLLAANKGRPMQGIVEQVRDGSTVRVYLLPDFQFVQVFVAGIQAPSMGRRAAVETVVETELTSDEQNGDVSAEPRAPLTSAQRLTASSAASAEVAPDPFGAEAKYFTEVRCLHRDVRIVLEGVDKFSNLIGSVYYPDGETAKDLALELVENGLAKYVEWSANMMEDDAKRRLKAAELQAKKTRLRIWTNYVPPATNSKAIRDQNFTGKVVEVVSGDCIIVADDSVPYGSPLAERRVNLSSIRCPKMGNPRRDEKPAAYAREAREFLRTRLIGKQVNVQMEYARKVTMADGATATTAPADSRVMDFGSVFLMSPVKGDGDDATAVAPSTAGTQQPGLNVAELVVGRGFGTVIRHRDFEERSNYYDTLLAAESRAISGKKGIHSAKDPPVMHITDLTTSSAKKARDFLPFLHRSRRIPAVVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPGRDEPYSDEAIALMRRKIMQRDVEIEVETVDRTGTFLGSLWESRTNMAVTLLEAGLAKLQTSFGADRIADAHLLEQAEQSAKRQKLKVRNLGELC >EOY22685 pep chromosome:Theobroma_cacao_20110822:3:24459125:24468349:-1 gene:TCM_014786 transcript:EOY22685 gene_biotype:protein_coding transcript_biotype:protein_coding description:TUDOR-SN protein 1 isoform 1 MAASTAGGTGWYKGRVKAVPSGDCLVVMAMSSNRPGPTPEKTVTLASLIAPRLARRGGVDEPFAWESREYLRKLCIGKEITFRVEYAVPSIGREFGSVYLGDKNVAMLVVSEGWAKVREQGQQKGEASPFLAELLRLEEQAKQQGLGRWSKVPGAAEAAIRNLPPSAIGDPGNLDAMGLLAANKGRPMQGIVEQVRDGSTVRVYLLPDFQFVQVFVAGIQAPSMGRRAAVETVVETELTSDEQNGDVSAEPRAPLTSAQRLTASSAASAEVAPDPFGAEAKYFTEVRCLHRDVRIVLEGVDKFSNLIGSVYYPDGETAKDLALELVENGLAKYVEWSANMMEDDAKRRLKAAELQAKKTRLRIWTNYVPPATNSKAIRDQNFTGKVVEVVSGDCIIVADDSVPYGSPLAERRVNLSSIRCPKMGNPRRDEKPAAYAREAREFLRTRLIGKQVNVQMEYARKVTMADGATATTAPADSRVMDFGSVFLMSPVKGDGDDATAVAPSTAGTQQPGLNVAELVVGRGFGTVIRHRDFEERSNYYDTLLAAESRAISGKKGIHSAKDPPVMHITDLTTSSAKKARDFLPFLHRSRRIPAVVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPGRDEPYSDEAIALMRRKIMQRDVEIEVETVDRTGTFLGSLWESRTNMAVTLLEAGLAKLQTSFGADRIADAHLLEQAEQSAKRQKLKIWENYVEGEEVSNGPATVENKQKEVLKVVVTEVLGGGKFYVQTVGDQRVSSIQQQLASLNIQEAPVIGAFNPKKGEFVLAQFSMDNSWNRAMVVNAPRGGVESPNDKFEVFYLDYGNQEEVPYSQLRPLDASVSATAGLAQLCSLAFLKVPGLEDEFGTEAAQFLSEQTLGSSLQFRAMVEERDASGGKVKGQGTGTVLIVTLVAEKSELSINAAMLQEGLARLEKRKKWEPKDRKSVLDSLEAFQNEAKTARRGIWQYGDVESDDEDTLPPVAAKKTGGRR >EOY23130 pep chromosome:Theobroma_cacao_20110822:3:26293912:26299519:1 gene:TCM_015116 transcript:EOY23130 gene_biotype:protein_coding transcript_biotype:protein_coding description:XB3 in isoform 1 MGNSFGCSASGERLVSAARDGDLVEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVALLLENGADVNSRNYCGRALSKFVNKAADGGITALHMAALNGYFDCVQLLLDLHANVSTVTFHYGTSMDLIGAGSTPLHYAACGGNLKCCQILLARGASRVTLNCNGWLPLDVARMWGRHWLEPLLAPNSDTTIPRFPSSNYLSLPLLSVLNIARECGLQSSTTSSDDADTCAVCLERACSVAAEGCGHELCVRCALYLCSTSHIPSNMVAPPGSIPCPLCRHGILSFTKLPSSPAKEIKLHLSLGLCTPCMLHPRDADCLSPASEIRKNRVASVSSDLFCPVTCSPFPSVAIPLCTCNDGPCPSFEPRETETQDESPRRSQATSVDQDKIEGPRLERTTCSSMFWGRRSCSREHQCNSEINA >EOY23129 pep chromosome:Theobroma_cacao_20110822:3:26294471:26299519:1 gene:TCM_015116 transcript:EOY23129 gene_biotype:protein_coding transcript_biotype:protein_coding description:XB3 in isoform 1 MGNSFGCSASGERLVSAARDGDLVEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVALLLENGADVNSRNYCGRALSKFVNKAADGGITALHMAALNGYFDCVQLLLDLHANVSTVTFHYGTSMDLIGAGSTPLHYAACGGNLKCCQILLARGASRVTLNCNGWLPLDVARMWGRHWLEPLLAPNSDTTIPRFPSSNYLSLPLLSVLNIARECGLQSSTTSSDDADTCAVCLERACSVAAEGCGHELCVRCALYLCSTSHIPSNMVAPPGSIPCPLCRHGILSFTKLPSSPAKEIKLHLSLGLCTPCMLHPRDADCLSPASEIRKNRVASVSSDLFCPVTCSPFPSVAIPLCTCNDGPCPSFEPRETETQDESPRRSQATSVDQDKIEGPRLERTTCSSMFWGRRSCSREHQCNSEINA >EOY23127 pep chromosome:Theobroma_cacao_20110822:3:26293996:26299519:1 gene:TCM_015116 transcript:EOY23127 gene_biotype:protein_coding transcript_biotype:protein_coding description:XB3 in isoform 1 MGNSFGCSASGERLVSAARDGDLVEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVALLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLSGRTALHFAAVNGHVRCIRLVVADFVPSAPFEVINTQIEGERGDGSGVRNKNDQSALSKFVNKAADGGITALHMAALNGYFDCVQLLLDLHANVSTVTFHYGTSMDLIGAGSTPLHYAACGGNLKCCQILLARGASRVTLNCNGWLPLDVARMWGRHWLEPLLAPNSDTTIPRFPSSNYLSLPLLSVLNIARECGLQSSTTSSDDADTCAVCLERACSVAAEGCGHELCVRCALYLCSTSHIPSNMVAPPGSIPCPLCRHGILSFTKLPSSPAKEIKLHLSLGLCTPCMLHPRDADCLSPASEIRKNRVASVSSDLFCPVTCSPFPSVAIPLCTCNDGPCPSFEPRETETQDESPRRSQATSVDQDKIEGPRLERTTCSSMFWGRRSCSREHQCNSEINA >EOY23128 pep chromosome:Theobroma_cacao_20110822:3:26293983:26299532:1 gene:TCM_015116 transcript:EOY23128 gene_biotype:protein_coding transcript_biotype:protein_coding description:XB3 in isoform 1 MGNSFGCSASGERLVSAARDGDLVEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVALLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLSGRTALHFAAVNGHVRCIRLVVADFVPSAPFEVINTQIEGERGDGSGVRNKNDQSALSKFVNKAADGGITALHMAALNGYFDCVQLLLDLHANVSTVTFHYGTSMDLIGAGSTPLHYAACGGNLKCCQILLARGASRVTLNCNGWLPLDVARMWGRHWLEPLLAPNSDTTIPRFPSSNYLSLPLLSVLNIARECGLQSSTTSSDDADTCAVCLERACSVAAEGCGHELCVRCALYLCSTSHIPSNMVAPPGSIPCPLCRHGILSFTKLPSSPAKEIKLHLSLGLCTPCMLHPRDADCLSPASEIRKNRVASVSSDLFCPVTCSPFPSVAIPLCTCNDGPCPSFEPRETETQDESPRRSQATSVDQDKIEGPRLERTTCSSMFWGRRSCSREHQCNSEINA >EOY24410 pep chromosome:Theobroma_cacao_20110822:3:30862938:30864570:-1 gene:TCM_016018 transcript:EOY24410 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein, putative MVGASSIPHWLGILLGEKFFDPCVVHESAKKNEKNIFCLNCCVTICPHCLPVHRPHRRLQIRRYVYQDVIRLSDAQKLINCSLVQPYTTNSAKVVFLNERPMSRPFRGSGNFCINCDRSLQDPFLFCSLSCKVNHFETTKHGGKKLDQNSELLPSSYKTRSDVSVTEIEEDPQMTPDSVLDSPVSPRTWSGGSTNTTATSNGGSAINCKSSLIACTATTEFVKKKMKMKMKKSSCVLMPRVSCRSRCSQAAAAADSINRRKGVPRRSPLN >EOY22774 pep chromosome:Theobroma_cacao_20110822:3:24762373:24764465:-1 gene:TCM_014846 transcript:EOY22774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPKYKIEKIWKYVWTELAPFKVEAFIWLLMHKKLVVTCLLNAKKRGKFRLVGGSCAVVNELFPLTSEPSLKNEVIFSGKEWNVDQCLDLVKVRVASWSNAEWPTDHFSIMDTNREPLARCQPRKNRKNIKNTLWTAPDERTLKFNIDGAAQECPRLVGIGGLLPNYKGEVKIIFCKHIGEADSNLAKYRTIMEAFAIFAVSKWKEDYSLLIESDSCNAIKWIKAPASAPWRLKKWTLQIERFKEIIGNWSIKHTKRDVNQRANRLAKDGVHLSHDILRVFE >EOY25136 pep chromosome:Theobroma_cacao_20110822:3:33374123:33376705:-1 gene:TCM_016549 transcript:EOY25136 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family MEGREEMKGSKPRFPLSFWEVTVASTVVMAFVLGLVGVYLTMPASDYSFLKLPRSLEDLQILRDHLETYTSDYTVQVLVGYCVVYIFMQTFMIPGTVFMSLLAGALFGVFKGVALVVFTATAGASSCYFLSKLIGRPLVFSLWPDKLSFFQAQVAQRRERLLNYMLFLRLTPTLPNTFINVASPIVDVPYHIFFMATIIGLIPAAYITVRAGIALGELQSVGDLYDFNSIATLFLIGVVSVTPTLMSKTKS >EOY21381 pep chromosome:Theobroma_cacao_20110822:3:7344160:7350533:-1 gene:TCM_012907 transcript:EOY21381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus 1 cytochrome c oxidase biogenesis protein isoform 1 MASFSKTLTRLRPAGALYSFSNQLLPPKYWVPPASFSTAAAVSSSQSHDQEKGSTWSRWFLFLPGAITFGLGTWQIFRRQDKIKMLEYRQKRLQMEPLKLNNMPPSSENLESLEFRRVVCRGVFDDGRSIYVGPRSRSISGVTENGYYVITPLVPIANNAESVQAPVLVNRGWVPRSWRDKSFEVPQEREKSSSIEAVPAQQSEQSWWWQFWSKKPKVVEDQAPAITSIEVIGVVRGSEKPSIFVPANDPNSRQWFYVDVPAIAVASGLPEDSLLIEDINENVNPSNPYPVPKDVNTLIRSSVMPQDHLNYTLTWYSLSAAVTFMAFKRLKQKKSRR >EOY21384 pep chromosome:Theobroma_cacao_20110822:3:7344101:7349742:-1 gene:TCM_012907 transcript:EOY21384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus 1 cytochrome c oxidase biogenesis protein isoform 1 MIKMLEYRQKRLQMEPLKLNNMPPSSENLESLEFRRVVCRGVFDDGRSIYVGPRSRSISGVTENGYYVITPLVPIANNAESVQAPVLVNRGWVPRSWRDKSFEVPQEREKSSSIEAVPAQQSEQSWWWQFWSKKPKVVEDQAPAITSIEVIGVVRGSEKPSIFVPANDPNSRQWFYVDVPAIAVASGLPEDSLLIEDINENVNPSNPYPVPKDVNTLIRSSVMPQDHLNYTLTWYSLSAAVTFMAFKRLKQKKSRR >EOY21380 pep chromosome:Theobroma_cacao_20110822:3:7344071:7350533:-1 gene:TCM_012907 transcript:EOY21380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus 1 cytochrome c oxidase biogenesis protein isoform 1 MASFSKTLTRLRPAGALYSFSNQLLPPKYWVPPASFSTAAAVSSSQSHDQEKGSTWSRWFLFLPGAITFGLGTWQIFRRQDKIKMLEYRQKRLQMEPLKLNNMPPSSENLESLEFRRVVCRGVFDDGRSIYVGPRSRSISGVTENGYYVITPLVPIANNAESVQAPVLVNRGWVPRSWRDKSFEVPQEREKSSSIEAVPAQQSEQSWWWQFWSKKPKVVEDQAPAITSIEVIGVVRGSEKPSIFVPANDPNSRQWFYVDVPAIAVASGLPEDSLLIEDINENVNPSNPYPVPKDVNTLIRSSVMPQDHLNYTLTWYSLSAAVTFMAFKRLKQKKSRR >EOY21379 pep chromosome:Theobroma_cacao_20110822:3:7344237:7350533:-1 gene:TCM_012907 transcript:EOY21379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus 1 cytochrome c oxidase biogenesis protein isoform 1 MASFSKTLTRLRPAGALYSFSNQLLPPKYWVPPASFSTAAAVSSSQSHDQEKGSTWSRWFLFLPGAITFGLGTWQIFRRQDKIKMLEYRQKRLQMEPLKLNNMPPSSENLESLEFRRVVCRGVFDDGRSIYVGPRSRSISGVTENGYYVITPLVPIANNAESVQAPVLVNRGWVPRSWRDKSFEVPQEREKSSSIEAVPAQQSEQSWWWQFWSKKPKVVEDQAPAITSIEVIGVVRGSEKPSIFVPANDPNSRQWFYVDVPAIAVASGLPEDSLLIEDINENVNPSNPYPVPKDVNTLIRSSVMPQDHLNYTLTWYSLSAAVTFMAFKRLKQKKSRR >EOY21382 pep chromosome:Theobroma_cacao_20110822:3:7344370:7350390:-1 gene:TCM_012907 transcript:EOY21382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus 1 cytochrome c oxidase biogenesis protein isoform 1 MVSFPSWSYHFRPWNLADFQKIKMLEYRQKRLQMEPLKLNNMPPSSENLESLEFRRVVCRGVFDDGRSIYVGPRSRSISGVTENGYYVITPLVPIANNAESVQAPVLVNRGWVPRSWRDKSFEVPQEREKSSSIEAVPAQQSEQSWWWQFWSKKPKVVEDQAPAITSIEVIGVVRGSEKPSIFVPANDPNSRQWFYVDVPAIAVASGLPEDSLLIEDINENVNPSNPYPVPKDVNTLIRSSVMPQDHLNYTLTWYSLSAAVTFMAFKRLKQKKSRR >EOY21383 pep chromosome:Theobroma_cacao_20110822:3:7343135:7350533:-1 gene:TCM_012907 transcript:EOY21383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus 1 cytochrome c oxidase biogenesis protein isoform 1 MASFSKTLTRLRPAGALYSFSNQLLPPKYWVPPASFSTAAAVSSSQSHDQEKGSTWSRWFLFLPGAITFGLGTWQIFRRQDKIKMLEYRQKRLQMEPLKLNNMPPSSENLESLEFRRVVCRGVFDDGRSIYVGPRSRSISGVTENGYYVITPLVPIANNAESVQAPVLVNRGWVPRSWRDKSFEVPQEREKSSSIEAVPAQQSEQSWWWQFWSKKPKVVEDQAPAITSIEVIGVVRGSEKPSIFVPANDPNSRQWFYVDVPAIAVASGLPEDSLLIEDINENVNPSNPYPVPKDVNTLIRSSVMPQDHLNYTLTWYSLSAAVTFMAFKRLKQKKSRR >EOY20555 pep chromosome:Theobroma_cacao_20110822:3:162136:166728:-1 gene:TCM_011951 transcript:EOY20555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 2 isoform 3 MAISIPNHFLALTQPSNFALNRRQLSSNRIFTGGNHSFLSGGAGICRAKPRELVLGNPSVTVEKGKYSYDVETLINKLSSLPPRGSIARCLDVFRNKLSLNDFALVFKEFAHRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLEKCREVFDEMPSQGVTRSVFAYTALINAYGRNGAYNISLELLDKMKKDKVLPSILTYNTVINACARGGLDWEGLLGLFAEMRHEGIQPDIVTYNTLLSACANRGLGNEAEMVFRTMNEGGILPDLTTYSYLVESFGKLGKLEKVSELLKEMESGGNLPDIMSYNVLLEAYAKSGSIKEAMGVFKQMQVAGCAPNATTYSILLNLYGRNGRYDDVRELFLEMKESNTEPDAATYNILIQVFGEGGYFKEVVTLFHDMVEENIEPNVKTYDGLIFACGKGGLHEDAKKILLHMNEKCIVPSSRAYTGVIEAYGQAALYEEVLVAFNTMNEVESNPTIETYNSLLQTFARGGLYKEANAILSRMNETGVAKNRDSFNALIEAFRQGGQFEDAIKAYVEMEKARCDPDERTLEAVLSVYCFAGLVDESNEQFQEIKALGVLPSVMCYCMMLAVYAKCDRWDDAYQLFDEMLTNKVSNIHQVIGKMIRGDYDDDANWQMVEYVFDKLNSEGCGFGIRFYNALLEALWWLRQKERAARVLNEATKRGLFPELFRKNKLVWSVDVHRMWEGGTYTAVSIWLNSMQKMFLSGDDLPQLATVVVARGQMEKSSIARDIPTAKAAYTFLQDIVSSSFSFPGWNKGRIVCQRSQLKRILSATGSSSDESKADNIIALSNFPIPSMGVKSSPGDVEYTQHDNAISETKMRRTELMAGTA >EOY20556 pep chromosome:Theobroma_cacao_20110822:3:162914:166368:-1 gene:TCM_011951 transcript:EOY20556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 2 isoform 3 MAISIPNHFLALTQPSNFALNRRQLSSNRIFTGGNHSFLSGGAGICRAKPRELVLGNPSVTVEKGKYSYDVETLINKLSSLPPRGSIARCLDVFRNKLSLNDFALVFKEFAHRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLEKCREVFDEMPSQGVTRSVFAYTALINAYGRNGAYNISLELLDKMKKDKVLPSILTYNTVINACARGGLDWEGLLGLFAEMRHEGIQPDIVTYNTLLSACANRGLGNEAEMVFRTMNEGGILPDLTTYSYLVESFGKLGKLEKVSELLKEMESGGNLPDIMSYNVLLEAYAKSGSIKEAMGVFKQMQVAGCAPNATTYSILLNLYGRNGRYDDVRELFLEMKESNTEPDAATYNILIQVFGEGGYFKEVVTLFHDMVEENIEPNVKTYDGLIFACGKGGLHEDAKKILLHMNEKCIVPSSRAYTGVIEAYGQAALYEEVLVAFNTMNEVESNPTIETYNSLLQTFARGGLYKEANAILSRMNETGVAKNRDSFNALIEAFRQGGQFEDAIKAYVEMEKARCDPDERTLEAVLSVYCFAGLVDESNEQFQEIKALGVLPSVMCYCMMLAVYAKCDRWDDAYQLFDEMLTNKVSNIHQVIGKMIRGDYDDDANWQMVEYVFDKLNSEGCGFGIRFYNALLEALWWLRQKERAARVLNEATKRGLFPELFRKNKLVWSVDVHRMWEGGTYTAVSIWLNSMQKMFLSGDDLPQLATVVVACTYLMNLVWPVIFLANNYSAMS >EOY20557 pep chromosome:Theobroma_cacao_20110822:3:162159:166670:-1 gene:TCM_011951 transcript:EOY20557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 2 isoform 3 MAISIPNHFLALTQPSNFALNRRQLSSNRIFTGGNHSFLSGGAGICRAKPRELVLGNPSVTVEKGKYSYDVETLINKLSSLPPRGSIARCLDVFRNKLSLNDFALVFKEFAHRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLEKCREVFDEMPSQGVTRSVFAYTALINAYGRNGAYNISLELLDKMKKDKVLPSILTYNTVINACARGGLDWEGLLGLFAEMRHEGIQPDIVTYNTLLSACANRGLGNEAEMVFRTMNEGGILPDLTTYSYLVESFGKLGKLEKVSELLKEMESGGNLPDIMSYNVLLEAYAKSGSIKEAMGVFKQMQVAGCAPNATTYSILLNLYGRNGRYDDVRELFLEMKESNTEPDAATYNILIQVFGEGGYFKEVVTLFHDMVEENIEPNVKTYDGLIFACGKGGLHEDAKKILLHMNEKCIVPSSRAYTGVIEAYGQAALYEEVLVAFNTMNEVESNPTIETYNSLLQTFARGGLYKEANAILSRMNETGVAKNRDSFNALIEAFRQGGQFEDAIKAYVEMEKARCDPDERTLEAVLSVYCFAGLVDESNEQFQEIKALGVLPSVMCYCMMLAVYAKCDRWDDAYQLFDEMLTNKVSNIHQVIGKMIRGDYDDDANWQMVEYVFDKLNSEGCGFGIRFYNALLEALWWLRQKERAARVLNEATKRGLFPELFRKNKLVWSVDVHRMWEGGTYTAVSIWLNSMQKMFLSGDDLPQLATVVVAWRKAQLPVIFQLQKLPIHSCRILCRHHFLSLGGTKGELSVSGLSLSEFCQPQAHLQMSRKRII >EOY23781 pep chromosome:Theobroma_cacao_20110822:3:28583627:28586883:1 gene:TCM_015570 transcript:EOY23781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl-alcohol dehydrogenase isoform 1 MDARLTMDSQTKTEDCLGWAARDPSGALSPYKFSRRPLGSDDVSLKITHCGVCYADVIWSRNKNGDSMYPLVPGHEIAGVVKEVGSNVCRFKVGDPVGVGTYVNSCRDCEYCNDGVEVHCVKGSVFTFNGIDEDGTVTKGGYSSYIVVHERYCFRIPSNYPLASAAPLLCAGITVYAPMMRHNMNHSGKSLGVIGLGGLGHMAVKFGKAFGLHVTVFSTSISKKEEALNLLGADKFVVSSDQEQMKGLSKSLDFIVDTASGNHPFDPYMSLLKISGVYVLVGFPSEVKFSPASLNMGMRTVSGSITGGTKVTQEMIDFCAAHNIYPQIEVIPIQYANEALERLIKRDVKYRFVIDIENTLK >EOY23779 pep chromosome:Theobroma_cacao_20110822:3:28583278:28586989:1 gene:TCM_015570 transcript:EOY23779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl-alcohol dehydrogenase isoform 1 MDSQTKTEDCLGWAARDPSGALSPYKFSRRPLGSDDVSLKITHCGVCYADVIWSRNKNGDSMYPLVPGHEIAGVVKEVGSNVCRFKVGDPVGVGTYVNSCRDCEYCNDGVEVHCVKGSVFTFNGIDEDGTVTKGGYSSYIVVHERYCFRIPSNYPLASAAPLLCAGITVYAPMMRHNMNHSGKSLGVIGLGGLGHMAVKFGKAFGLHVTVFSTSISKKEEALNLLGADKFVVSSDQEQMKGLSKSLDFIVDTASGNHPFDPYMSLLKISGVYVLVGFPSEVKFSPASLNMGMRTVSGSITGGTKVTQEMIDFCAAHNIYPQIEVIPIQYANEALERLIKRDVKYRFVIDIENTLK >EOY23782 pep chromosome:Theobroma_cacao_20110822:3:28583383:28586989:1 gene:TCM_015570 transcript:EOY23782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl-alcohol dehydrogenase isoform 1 MDSQTKTEDCLGWAARDPSGALSPYKFSRRPLGSDDVSLKITHCGVCYADVIWSRNKNGDSMYPLVPGHEIAGVVKEVGSNVCRFKVGDPVGVGTYVNSCRDCEYCNDGVEVHCVKGSVFTFNGIDEDGTVTKGGYSSYIVVHERYCFRIPSNYPLASAAPLLCAGITVYAPMMRHNMNHSGKSLGVIGLGGLGHMAVKFGKAFGLHVTVFSTSISKKEEALNLLGADKFVVSSDQEQMKGLSKSLDFIVDTASGNHPFDPYMSLLKISGVYVLVGFPSEVKFSPASLNMGSMRTVSGSITGGTKVTQEMIDFCAAHNIYPQIEVIPIQYANEALERLIKRDVKYRFVIDIENTLK >EOY23783 pep chromosome:Theobroma_cacao_20110822:3:28583627:28586883:1 gene:TCM_015570 transcript:EOY23783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl-alcohol dehydrogenase isoform 1 MDSQTKTEDCLGWAARDPSGALSPYKFSRRPLGSDDVSLKITHCGVCYADVIWSRNKNGDSMYPLVPGHEIAGVVKEVGSNVCRFKVGDPVGVGTYVNSCRDCEYCNDGVEVHCVKGSVFTFNGIDEDGTVTKGGYSSYIVVHERYCFRIPSNYPLASAAPLLCAGITVYAPMMRHNMNHSGKSLGVIGLGGLGHMAVKFGKAFGLHVTVFSTSISKKEEALNLLGADKFVVSSDQEQMKLTLHLVIIRLIPTCHS >EOY23780 pep chromosome:Theobroma_cacao_20110822:3:28583655:28586884:1 gene:TCM_015570 transcript:EOY23780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl-alcohol dehydrogenase isoform 1 MDSQTKTEDCLGWAARDPSGALSPYKFSRRPLGSDDVSLKITHCGVCYADVIWSRNKNGDSMYPLVPGHEIAGVVKEVGSNVCRFKVGDPVGVGTYVNSCRDCEYCNDGVEVHCVKGSVFTFNGIDEDGTVTKGGYSSYIVVHERYCFRIPSNYPLASAAPLLCAGITVYAPMMRHNMNHSGKSLGVIGLGGLGHMAVKFGKAFGLHVTVFSTSISKKEEALNLLGADKFVVSSDQEQMKGLSKSLDFIVDTASGNHPFDPYMSLLKISGVYVLVGFPSEVKFSPASLNMGMRTVSGSITGGTKVTQEMIDFCAAHNIYPQIEVIPIQYANEALERLIKRDVKYRFVIDIENTLK >EOY23785 pep chromosome:Theobroma_cacao_20110822:3:28583683:28586884:1 gene:TCM_015570 transcript:EOY23785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl-alcohol dehydrogenase isoform 1 MDSQTKTEDCLGWAARDPSGALSPYKFSRRPLGSDDVSLKITHCGVCYADVIWSRNKNGDSMYPLVPGHEIAGVVKEVGSNVCRFKVGDPVGVGTYVNSCRDCEYCNDGVEVHCVKGSVFTFNGIDEDGTVTKGGYSSYIVVHERYCFRIPSNYPLASAAPLLCAGITVYAPMMRHNMNHSGKSLGVIGLGGLGHMAVKFGKAFGLHVTVFSTSISKKEEALNLLGADKFVVSSDQEQMKGLSKSLDFIVDTASGNHPFDPYMSLLKISGVYVLVGFPSEVKFSPASLNMGMRTVSGSITGGTKVTQEMIDFCAAHNIYPQIEVIPIQYANEALERLIKRDVKYRFVIDIENTLK >EOY23784 pep chromosome:Theobroma_cacao_20110822:3:28583278:28586822:1 gene:TCM_015570 transcript:EOY23784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl-alcohol dehydrogenase isoform 1 MDSQTKTEDCLGWAARDPSGALSPYKFSRRPLGSDDVSLKITHCGVCYADVIWSRNKNGDSMYPLVPGHEIAGVVKEVGSNVCRFKVGDPVGVGTYVNSCRDCEYCNDGVEVHCVKGSVFTFNGIDEDGTVTKGGYSSYIVVHERYCFRIPSNYPLASAAPLLCAGITVYAPMMRHNMNHSGKSLGVIGLGGLGHMAVKFGKAFGLHVTVFSTSISKKEEALNLLGADKFVVSSDQEQMKGLSKSLDFIVDTASGNHPFDPYMSLLKISGVYVLVGFPSEVKFSPASLNMGMRTVSGSITGGTKVTQEMIDFCAAHNIYPQIEVIPIQYANEALERLIKRDVKYRFVIDIENTLK >EOY23368 pep chromosome:Theobroma_cacao_20110822:3:27150107:27153329:-1 gene:TCM_015285 transcript:EOY23368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLWLAAFVVVRTVIILVFAVTVMRIKNPKFWVGSAVVEDLQPHHLTPSLGIKGVRGTVALGAVGREKRGWSCVEGFRDQCGYPAKRRGCVEWWPRCGEYGKSKWQRSFGRTVGLHEEAVRGRVCTGDSKLDCIELACKFPMAQTNDQARKDEESALAHSRELPDLEQSKDFRATESEESPSGGSFELNRKNLATFVASVIIIVPIIVFSVLVFSTKNPKFRVRSVVVENLNYSSSSNPSFSMRSVAEMTVLVPGARVGGLGTRKITATMDLNSNNVKNNTNLGSDIRSGFLTLTGQSKMSGKVYVMSSGKRTKVNSREDLQQ >EOY24308 pep chromosome:Theobroma_cacao_20110822:3:30497953:30502882:1 gene:TCM_015947 transcript:EOY24308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF547 [Source:Projected from Arabidopsis thaliana (AT5G47380) TAIR;Acc:AT5G47380] MTGKMDGFGLDQEAAAAAGGAKKVTEDTSWSHPHVQSQHRRSKSASDKNLDASRGGVLHSIKKEHNELHASPLSTRTYRTRSPFHDRSAYSNKNTSLNQRASLEKDIELLQLRLQQEKSMRITLERAMGRASSTLSPGHRHFAAQTKELIAEIELLEEEVANREQHVLSLYRSIFDHCVSRPPSEQSSGKASPAHTKHTSRKHPSIISSAFCSSKKFPLRPLQALVSTNDSGKRTSRSVDALQFCGKDDTLFDKTCSHPVKAHEKVQSMEKTSVLRSLKDHLYQCPSKLSEEMVRCMAAVYCWLRSATSMNSEKNRSPLLSRSSTNVVLPRRGVGEDQDFSCTSNVEISWISTDKSQFSRASYAISNYRALVEQLEKVTVSQMEYNSQIAFWINVYNALVMHAYLAYGIPHSSLRRLALFHKVVLLLI >EOY24307 pep chromosome:Theobroma_cacao_20110822:3:30498216:30503456:1 gene:TCM_015947 transcript:EOY24307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF547 [Source:Projected from Arabidopsis thaliana (AT5G47380) TAIR;Acc:AT5G47380] MTGKMDGFGLDQEAAAAAGGAKKVTEDTSWSHPHVQSQHRRSKSASDKNLDASRGGVLHSIKKEHNELHASPLSTRTYRTRSPFHDRSAYSNKNTSLNQRASLEKDIELLQLRLQQEKSMRITLERAMGRASSTLSPGHRHFAAQTKELIAEIELLEEEVANREQHVLSLYRSIFDHCVSRPPSEQSSGKASPAHTKHTSRKHPSIISSAFCSSKKFPLRPLQALVSTNDSGKRTSRSVDALQFCGKDDTLFDKTCSHPVKAHEKVQSMEKTSVLRSLKDHLYQCPSKLSEEMVRCMAAVYCWLRSATSMNSEKNRSPLLSRSSTNVVLPRRGVGEDQDFSCTSNVEISWISTDKSQFSRASYAISNYRALVEQLEKVTVSQMEYNSQIAFWINVYNALVMHAYLAYGIPHSSLRRLALFHKAAYNIGGHIISANAIEQSIFCFRTPRVGRWLETILSAALRKKSGEERQFISSKFGLPHSQPLACFALCTGAFSDPVVTSTSL >EOY24306 pep chromosome:Theobroma_cacao_20110822:3:30497630:30504779:1 gene:TCM_015947 transcript:EOY24306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF547 [Source:Projected from Arabidopsis thaliana (AT5G47380) TAIR;Acc:AT5G47380] MTGKMDGFGLDQEAAAAAGGAKKVTEDTSWSHPHVQSQHRRSKSASDKNLDASRGGVLHSIKKEHNELHASPLSTRTYRTRSPFHDRSAYSNKNTSLNQRASLEKDIELLQLRLQQEKSMRITLERAMGRASSTLSPGHRHFAAQTKELIAEIELLEEEVANREQHVLSLYRSIFDHCVSRPPSEQSSGKASPAHTKHTSRKHPSIISSAFCSSKKFPLRPLQALVSTNDSGKRTSRSVDALQFCGKDDTLFDKTCSHPVKAHEKVQSMEKTSVLRSLKDHLYQCPSKLSEEMVRCMAAVYCWLRSATSMNSEKNRSPLLSRSSTNVVLPRRGVGEDQDFSCTSNVEISWISTDKSQFSRASYAISNYRALVEQLEKVTVSQMEYNSQIAFWINVYNALVMHAYLAYGIPHSSLRRLALFHKAAYNIGGHIISANAIEQSIFCFRTPRVGRWLETILSAALRKKSGEERQFISSKFGLPHSQPLACFALCTGAFSDPVLKVYTASNVKEELEVAKREFLQANVVVKKSKKVFLPRVLERFAKEASISSDDLLNWVTENVDKKLHNSIQKCMDGKSKKKPSQVIDWLPYSSRFRYILSKDLTEKPWWV >EOY23289 pep chromosome:Theobroma_cacao_20110822:3:26928395:26932334:-1 gene:TCM_015232 transcript:EOY23289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferric reduction oxidase 2 MLSKKVVPENIMKMVRAAIKLFLVLVFLAYMVLWIMMPTNLYFEHWMPEIRAKTKSTYFGNQGASMLIYTFPILFIATMGCIYLHLGKKDADHNRHRMVQTSRLATLKQPAIVRGPLGIVSWMELSFLTMFVALLVWSTSSYLNHMFVHIDQMAAKSGLLVWEAKLEMSGLALGLVGNICLAFLFFPVTRGSSVLRLIGLTSEASVKYHIWIGHIAMTIFTAHGLCFIIFWAKTKQMSEVLKWDKVDVSNVAGEIALVSGLAMWATSLPQIRRKMFELFYYTHHLYILFVVFFVFHVGFSYSCIMLPGFYLFLIDRCLRFLQSQQRISLVSARILPCETVELNFSKSPELSYTPTSMVFVNVRGISKLQWHPFTITSSSNMNPDKLSIVIKSEGSWSSELYQKLSSPSPIDHLEVSIEGPYGPASTPFLRYLAKKNELCPCFCSDKSDKAFVPTSYQANNNMFYMRCRHDTLVMLSGGSGFTPLISIIRELLFKASSSSDRIPQIFLICAFKKSVDLTMLELLLPVSGATVDISCLKFQIEAYVTRDKEPATDNRRPLQNVWFKPNALDAPVSAILGPNSWLWFGVIISSSFVIFLLLLGILTRYYIYPIDHNTGMIYAGSARAALNMLFLCTAIAMTVTAVFLWSKKQNGKGTRQIQSMDTPPKTSPAWFHNADRELESLPHQSLLQATKVHYGERPDLKKILFERKGTSVGVIVSGPREMRQEVAAICSSGLADNLHFESISFVW >EOY24932 pep chromosome:Theobroma_cacao_20110822:3:32723266:32725171:1 gene:TCM_016396 transcript:EOY24932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin response factor 2, putative MDHCPVPTIKYTEHRNQTKLLTPLLNVRLENQPEMKPRIVRISVTDADATDSSSDEEEEQTTRFRSRNRVKKFVNEITIESSCPTQNDAVWRSKSSSLSKIPRKRPAAVAEAKAKSPAKVPAGKKFRGVRQRPWGKWAAEIRDPLRRVRLWLGTYDTAEEAAMVYDNAAIQLRGPDALTNFTTPPQKLVQDNNSQKPLSSSDYNSGEESHNTNLCSPTSVLRCPSLSTDEVDSQSVKESREIGSEPQDALDESCCISGENFSGFSDYSSFFPNDIFSSVPDLFDDNTSFHEAFMKDDFGNGFLSSGEDFEFGFGSFSNWQHVDDHFQDIGDLFATDSLLAV >EOY21096 pep chromosome:Theobroma_cacao_20110822:3:2966653:2972749:1 gene:TCM_012430 transcript:EOY21096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLGTGSQFGKVRGEDRFYIPVKARKNQNQKEKQKQKQEVVKEDNEKSSSNSSSSPAKNRASASDNNNKKKNLKETLVSSTVPCSEETGISRCNLERFLESTRPSVTAQYFSKKTMRGRRTCDVEFQPYFTLSDLWESFKEWSAYGAGVPLVLDGSDGVVQYYVPYLSGIQLYGESMHANAKLRLSGEESDGDYYRDSSSDGSSDYEIDKGIKFSREQRSLFNLTNDIHFGVSSLSISDENSTLHEGFFSDDSEAGNSRDRLLFEFFEHDTPYSREPLADKIFDLACEYPGLKTLRSCDLLPISWISVAWQRKWPNTICSISR >EOY21095 pep chromosome:Theobroma_cacao_20110822:3:2966653:2972239:1 gene:TCM_012430 transcript:EOY21095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLGTGSQFGKVRGEDRFYIPVKARKNQNQKEKQKQKQEVVKEDNEKSSSNSSSSPAKNRASASDNNNKKKNLKETLVSSTVPCSEETGISRCNLERFLESTRPSVTAQYFSKKTMRGRRTCDVEFQPYFTLSDLWESFKEWSAYGAGVPLVLDGSDGVVQYYVPYLSGIQLYGESMHANAKLRLSGEESDGDYYRDSSSDGSSDYEIDKGIKFSREQRSLFNLTNDIHFGVSSLSISDENSTLHEGFFSDDSEAGNSRDRLLFEFFEHDTPYSREPLADKIFDLACEYPGLKTLRSCDLLPISWISVAWYPIYRIPTGPTLKDLDACFLTYHSLCTPIEGSGSGQTPFVVYPDKADGIPQISLPVFGMASYKFKGSMWTQNGVSECQHANFLMQAAENWLRLHQVNHPDFQFFASHGMYL >EOY24293 pep chromosome:Theobroma_cacao_20110822:3:30448103:30450011:1 gene:TCM_015938 transcript:EOY24293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23/L15e family protein isoform 3 MNVGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVNHPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWINEDSTYKYFEVILVDVAHNAIRNDPRINWICNPVHKHRELRGLTSAGKKNRGLHGKGHLYHKNRPSRRATWKRNNTLSLRRYR >EOY24294 pep chromosome:Theobroma_cacao_20110822:3:30448081:30450012:1 gene:TCM_015938 transcript:EOY24294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23/L15e family protein isoform 3 MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVNHPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWINEDSTYKYFEVILVDVAHNAIRNDPRINWICNPVHKHRELRGLTSAGKKNRGLHGKGHLYHKNRPSRRATWKRNNTLSLRRYR >EOY24292 pep chromosome:Theobroma_cacao_20110822:3:30448602:30449723:1 gene:TCM_015938 transcript:EOY24292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23/L15e family protein isoform 3 MNVGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVNHPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWINEDSTYKYFEVILVDVAHNAIRNDPRINWICNPVHKHRELRGLTSAGKKNRGLHGKGHLYHKNRPSRRATWKRNNTLSLRRYR >EOY20734 pep chromosome:Theobroma_cacao_20110822:3:832861:834733:1 gene:TCM_012078 transcript:EOY20734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12/ ATP-dependent Clp protease adaptor protein ClpS family protein MQIMKLFGLVKVIHVRPSVREVTGPLQSRTFQHDFVPRDPNAKPKRFKYPQFYDPYGPRPLPSDKIVQLAERIASLLPEERRQIGPTLSERLRHPKLQPIETEGMDLGSQGGGAAGATKVEEKKEKTAFDVKLEKFDAAAKIKVIKEVRAFTNLGLKEAKDLVEKVPVLLKQGITKEEANDIIEKIKSAGGVAVME >EOY21845 pep chromosome:Theobroma_cacao_20110822:3:19095237:19096272:1 gene:TCM_013992 transcript:EOY21845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex protein 6, putative MDLSDIARKLDLSEQKLLVRKEAELHHLCHVQFDSSIIGGEVCRAIICLEIAATRFGEVIFDRQKAIKLRGMSEKAYNRSFNSLQNGLNIKTTLDIREFGIQFGCVRLIPFVKKVLSLQDPFPLYVFFDFGLFKSVSFQDCFVFERMPERMK >EOY23671 pep chromosome:Theobroma_cacao_20110822:3:28166625:28169820:1 gene:TCM_015485 transcript:EOY23671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSLTNLRHLDTRETCLEKMPLRLGRLTNLQMVSNFVVRTGNSSGMTEIENLSNLRGTLSISGLHNVTNIKDAIQAKLKTKKNLDEFVLEWSYNSNDSRYETVETEVFDVLEARESLQKLTIKYYGVTFSQRSQHCRNGWYKHGGAEFLRGAYPSIKPFPLLEILKFENMKEWEEWSFKNGVEAFPCLRQLSILWCRILKKFSHRFPFLEKLKVQKCEALESFTGLSQHENLESEELPCLRSLFLVCCAKLIELPKSLPSLEALEIDGWLELGAFPRLVNLQKLKLLDSNAKLLGSIVDFSSLTFLHIRLISFVKCLPECIIKQFGKLLDLKIAGCGDLEGLSNEQVGSKHLASLQHLTISHCPKLVALPDEEIKLLPELKLLDLSYCDNLKKLPCEIEKVNSVRKLRVDWCPKLESFPEQGLPGMLEQLVIRDCGPLKTLPNMMLQNNKALEYLEIHKCSSLTSLLEQGDLPTRLKRVKIYYCKNLASPPDGIMCKDKLTLEYLEIDNCSPLSSFQE >EOY21389 pep chromosome:Theobroma_cacao_20110822:3:7387453:7394467:-1 gene:TCM_012912 transcript:EOY21389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraticopeptide domain-containing thioredoxin isoform 2 MVMDASKLAELKLFVDQCKSNPSIVHNPSLSFFKSYLQSLGARIPPDTQTDKGGVNMAEPGQHSDSKDPNFSYEEDDDIVESDVELDNTGVVEPDNDPPQMMGDPSVEVTEDMRDAAQSEKLKASDAISEGMLDEAVNYLTEAIMLNPTYAILYATRASVFIKLSKPNAAILDADAALEINPDSAKGYKVRGMARAMLGRWEEAASDLHVASKLDYDEEIGSVLKKVEPNAHKIEEHRRKYDRLRKERELKRTERQRQQQKAEAQDQEALSAFKDGQVIGIHSTGELETKLNAATRTSRLVILYFTAT >EOY21391 pep chromosome:Theobroma_cacao_20110822:3:7388214:7394577:-1 gene:TCM_012912 transcript:EOY21391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraticopeptide domain-containing thioredoxin isoform 2 MVMDASKLAELKLFVDQCKSNPSIVHNPSLSFFKSYLQSLGARIPPDTQTDKGGVNMAEPGQHSDSKDPNFSYEEDDDIVESDVELDNTGVVEPDNDPPQMMGDPSVEVTEDMRDAAQSEKLKASDAISEGMLDEAVNYLTEAIMLNPTYAILYATRASVFIKLSKPNAAILDADAALEINPDSAKGYKVRGMARAMLGRWEEAASDLHVASKLDYDEEIGSVLKKVEPNAHKIEEHRRKYDRLRKERELKRTERQRQQQKAEAQDQEALSAFKDGQVIGIHSTGELETKLNAATRTSRLVILYFTATWCGPCRFISPLYTSLAAKYVKVVFLKVDIDEARDVAGCWNISSVPSFFFVRNGKEVDKVVGADKSLLERKIAQYAG >EOY21394 pep chromosome:Theobroma_cacao_20110822:3:7388588:7394467:-1 gene:TCM_012912 transcript:EOY21394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraticopeptide domain-containing thioredoxin isoform 2 MAEPGQHSDSKDPNFSYEEDDDIVESDVELDNTGVVEPDNDPPQMMGDPSVEVTEDMRDAAQSEKLKASDAISEGMLDEAVNYLTEAIMLNPTYAILYATRASVFIKLSKPNAAILDADAALEINPDSAKGYKVRGMARAMLGRWEEAASDLHVASKLDYDEEIGSVLKKVEPNAHKIEEHRRKYDRLRKERELKRTERQRQQQKAEAQDQEALSAFKDGQVIGIHSTGELETKLNAATRTSRLVILYFTATWCGPCRFISPLYTSLAAKYVKVVFLKVDIDEARDVAG >EOY21392 pep chromosome:Theobroma_cacao_20110822:3:7388214:7394577:-1 gene:TCM_012912 transcript:EOY21392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraticopeptide domain-containing thioredoxin isoform 2 MAEPGQHSDSKDPNFSYEEDDDIVESDVELDNTGVVEPDNDPPQMMGDPSVEVTEDMRDAAQSEKLKASDAISEGKLDEAVNYLTEAIMLNPTYAILYATRASVFIKLSKPNAAILDADAALEINPDSAKGYKVRGMARAMLGRWEEAASDLHVASKLDYDEEIGSVLKKVEPNAHKIEEHRRKYDRLRKERELKRTERQRQQQKAEAQDQEALSAFKDGQVIGIHSTGELETKLNAATRTSRLVILYFTATWCGPCRFISPLYTSLAAKYVKVVFLKVDIDEARDVAGCWNISSVPSFFFVRNGKEVDKVVGADKSLLERKIAQYAG >EOY21393 pep chromosome:Theobroma_cacao_20110822:3:7388584:7394333:-1 gene:TCM_012912 transcript:EOY21393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraticopeptide domain-containing thioredoxin isoform 2 MVMDASKLAELKLFVDQCKSNPSIVHNPSLSFFKSYLQSLGARIPPDTQTDKGGVNMAEPGQHSDSKDPNFSYEEDDDIVESDVELDNTGVVEPDNDPPQMMGDPSVEVTEDMRDAAQSEKLKASDAISEGKLDEAVNYLTEAIMLNPTYAILYATRALEINPDSAKGYKVRGMARAMLGRWEEAASDLHVASKLDYDEEIGSVLKKVEPNAHKIEEHRRKYDRLRKERELKRTERQRQQQKAEAQDQEALSAFKDGQVIGIHSTGELETKLNAATRTSRLVILYFTATWCGPCRFISPLYTSLAAKYVKVVFLKVDIDEARDVAGCW >EOY21390 pep chromosome:Theobroma_cacao_20110822:3:7388584:7394333:-1 gene:TCM_012912 transcript:EOY21390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraticopeptide domain-containing thioredoxin isoform 2 MVMDASKLAELKLFVDQCKSNPSIVHNPSLSFFKSYLQSLGARIPPDTQTDKGGVNMAEPGQHSDSKDPNFSYEEDDDIVESDVELDNTGVVEPDNDPPQMMGDPSVEVTEDMRDAAQSEKLKASDAISEGKLDEAVNYLTEAIMLNPTYAILYATRASVFIKLSKPNAAILDADAALEINPDSAKGYKVRGMARAMLGRWEEAASDLHVASKLDYDEEIGSVLKKVEPNAHKIEEHRRKYDRLRKERELKRTERQRQQQKAEAQDQEALSAFKDGQVIGIHSTGELETKLNAATRTSRLVILYFTAT >EOY21388 pep chromosome:Theobroma_cacao_20110822:3:7387504:7394577:-1 gene:TCM_012912 transcript:EOY21388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraticopeptide domain-containing thioredoxin isoform 2 MVMDASKLAELKLFVDQCKSNPSIVHNPSLSFFKSYLQSLGARIPPDTQTDKGGVNMAEPGQHSDSKDPNFSYEEDDDIVESDVELDNTGVVEPDNDPPQMMGDPSVEVTEDMRDAAQSEKLKASDAISEGKLDEAVNYLTEAIMLNPTYAILYATRASVFIKLSKPNAAILDADAALEINPDSAKGYKVRGMARAMLGRWEEAASDLHVASKLDYDEEIGSVLKKVEPNAHKIEEHRRKYDRLRKERELKRTERQRQQQKAEAQDQEALSAFKDGQVIGIHSTGELETKLNAATRTSRLVILYFTATWCGPCRFISPLYTSLAAKYVKVVFLKVDIDEARDVAGCWNISSVPSFFFVRNGKEVDKVVGADKSLLERKIAQYAG >EOY22074 pep chromosome:Theobroma_cacao_20110822:3:21216436:21223204:1 gene:TCM_014264 transcript:EOY22074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSWLVAAAAAAGYFAKYWKNLSKDRNGFPEFSSGNSRIGKADAGKGPFCKLSPRRKLQEDVSPDAREVSDRKVSDIYHLNVTSAAEVDSMIGFYGEKVGSLGNHTDHNVISLSSLAPGFLTNENLREDKCGKESGADSGGNSAKPSITRMDSFSDSMRKRSSLKTKISYGRLLKPLTSLDSCLMAQLYMQHVKMEEYVLSSLPSPSTPTLRPLLITDGSRIISRASHDFSSGSNVTGDNKLHNEATFKKSGYVLGIPPLPKIESLDLPKKLKFKRGSGRNGRLSIPCKIDTEKQFHSQQGSHHGAILFCLGISIGLISSYMGNRREVDKLKGLLKQTENLVQDLQEELEMKDSLTVKELANENYESQETYDNSFHDRATNSCSLEQNIDNSTRYDGKELYYEKVKESSESMSKIEAELEAELERLGLNMNVSNMERRLSDLVELDPDFVADFAEGELRSDKVKDQAPVQSVPNEDRSGTSTTHSGNYAVSPRELSLRLHEVIQSRLEERVQELETALQNSQRKVKLMESEPKNSWKISGSEWKNSSTPESLTNKEFDCVSKPLVMNLSGEALDAYNEACEELLKVDESEEEEDAPSDHYQNNYHEELDMFDGNMSWDGQNTRNGSLPNPTHHAMNISEEPFTGQGRILEEQSSRVQELLDIGVSEDESSDYDDEMEKQLIQQIVEKTKKGSPVLLNAQRILFSMYEI >EOY23664 pep chromosome:Theobroma_cacao_20110822:3:28150374:28153434:1 gene:TCM_015481 transcript:EOY23664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B5, n2,ATCB5-E,CB5-E isoform 3 MATDPKMHTFEEVSKHNKTKDCWLIISGKVYDVTPFMDDHPGGDEVLLSATGKDATNDFEDVGHSDSARDMMKKYYIGDIDSKTVPAKRTYIPPLQTPYNPDKTPEFIIKILQFLVPLLILGLAIAVRNFTKKE >EOY23666 pep chromosome:Theobroma_cacao_20110822:3:28150309:28153427:1 gene:TCM_015481 transcript:EOY23666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B5, n2,ATCB5-E,CB5-E isoform 3 AGIVFLTITRTDFHRRANAESFFRISQKPHPKMHTFEEVSKHNKTKDCWLIISGKVYDVTPFMDDHPGGDEVLLSATGKDATNDFEDVGHSDSARDMMKKYYIGDIDSKTVPAKRTYIPPLQTPYNPDKTPEFIIKILQFLVPLLILGLAIAVRNFTKKE >EOY23665 pep chromosome:Theobroma_cacao_20110822:3:28150662:28153084:1 gene:TCM_015481 transcript:EOY23665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B5, n2,ATCB5-E,CB5-E isoform 3 MATDPKMHTFEEVSKHNKTKDCWLIISGKVYDVTPFMDDHPGGDEVLLSATGKDATNDFEDVGHSDSARDMMKKYYIGDIDSKTVPAKRTYIPPLQTPYNPDKTPEFIIKILQFLVPLLILGLAIAVRNFTKKE >EOY22911 pep chromosome:Theobroma_cacao_20110822:3:25278923:25283839:1 gene:TCM_014940 transcript:EOY22911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MIKKRLLSCHLFFKTRRAITTSTLPLDPSFAAVSSICTDHKSFCLSLTEQLIKRGLLSSAQQLIQRIISQSSSVSDAITAVDFVTARGLDLDLSTFGALIKKLVRSGYPQLAYSLYSDNIIRRGINPDPFIVNSMVICLCKLGKLEEASTLFDRLLMNNSSEKPAFNALVRELFAQERFLDVFDYFVAMSDIGVNLGCWYYNGLIDGLCQKGNLEEAIQMFDLMRETAGLSPTLHLYKSLFYGLCKHGWVLEAEFLIGEIESQGFYVDRTMYTSLIKEYCKDRKMKMAMRIYLRMLKTGCEPDSYTYNTLIHGFVKMGLFDQGWVLYNQMMEKGLQPDVITYHVMISNYCREGKANCASMLLNSMVSNNLAPSVHCYTVLITSFYKENRLMEAGELYKSMLTGGIVPDHVLFFTLMKMYPKGYELHLALMIVQAIAVNGCGFDPLLLAVSDSEDLEQKIELLIGKIEKTNLSLANVAFTILISALSEGRKLDTAVHFMDKLMNLGCMPLLFTYNSLVKCLSQEGLFEDAKSLVDLMQDRGIFPDQATYLIMVNEHCKHGDLASAFDILDQMEDRGMKPGVAIYDCIIGSLCRQKRLFEAEDMFIRMLESGEDPDEIVYMTMINGYAKNGRLIEARQLFEKMIEDAIRPTSHSYTALISGLVKKDMTDKGCMYLDRMLGDGLVPNVVLYTSLINNFLRKGEFEFAFRLVDLMDRNQIEHDLITYIALVSGVCRNITSRKRWCSIKRSSERAREMLFRLLHYRCLLPREKKLRVSDSSPEAMKCFALKLMQKVKETRFMPNLYLYNGIISGFCWADRMQDAYDHFELMQKEGVRPNQVTLTILMGGHIKAGEIDHAIDLFNKMNADDCTPDKIAYNTLIKGLCQAGRLLEALSLLHAMHKRGLIPRKATYENLLAHFCASYLCIPAFKIFEEMLASNVVPRPYSYNWLLCILCEQKKLREAYIVFDTMIQRGKYPLKSTERLLAETLRKQGECDFGFMIQDITPV >EOY22912 pep chromosome:Theobroma_cacao_20110822:3:25278923:25283839:1 gene:TCM_014940 transcript:EOY22912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MIKKRLLSCHLFFKTRRAITTSTLPLDPSFAAVSSICTDHKSFCLSLTEQLIKRGLLSSAQQLIQRIISQSSSVSDAITAVDFVTARGLDLDLSTFGALIKKLVRSGYPQLAYSLYSDNIIRRGINPDPFIVNSMVICLCKLGKLEEASTLFDRLLMNNSSEKPAFNALVRELFAQERFLDVFDYFVAMSDIGVNLGCWYYNGLIDGLCQKGNLEEAIQMFDLMRETAGLSPTLHLYKSLFYGLCKHGWVLEAEFLIGEIESQGFYVDRTMYTSLIKEYCKDRKMKMAMRIYLRMLKTGCEPDSYTYNTLIHGFVKMGLFDQGWVLYNQMMEKGLQPDVITYHVMISNYCREGKANCASMLLNSMVSNNLAPSVHCYTVLITSFYKENRLMEAGELYKSMLTGGIVPDHVLFFTLMKMYPKGYELHLALMIVQAIAVNGCGFDPLLLAVSDSEDLEQKIELLIGKIEKTNLSLANVAFTILISALSEGRKLDTAVHFMDKLMNLGCMPLLFTYNSLVKCLSQEGLFEDAKSLVDLMQDRGIFPDQATYLIMVNEHCKHGDLASAFDILDQMEDRGMKPGVAIYDCIIGSLCRQKRLFEAEDMFIRMLESGEDPDEIVYMTMINGYAKNGRLIEARQLFEKMIEDAIRPTSHSYTALISGLVKKDMTDKGCMYLDRMLGDGLVPNVVLYTSLINNFLRKGEFEFAFRLVDLMDRNQIEHDLITYIALVSGVCRNITSRKRWCSIKRSSERAREMLFRLLHYRCLLPREKKLRVSDSSPEAMKCFALKLMQKVKETRFMPNLYLYNGIISGFCWADRMQDAYDHFELMQKEGVRPNQVTLTILMGGHIKAGEIDHAIDLFNKMNADDCTPDKIAYNTLIKGLCQAGRLLEALSLLHAMHKRGLIPRKATYENLLAHFCASYLCIPAFKIFEEMLASNVVPRPYSYNWLLCILCEQKKLREAYIVFDTMIQRGKYPLKSTERLLAETLRKQGECDFGFMIQDITPV >EOY22910 pep chromosome:Theobroma_cacao_20110822:3:25278923:25283839:1 gene:TCM_014940 transcript:EOY22910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MIKKRLLSCHLFFKTRRAITTSTLPLDPSFAAVSSICTDHKSFCLSLTEQLIKRGLLSSAQQLIQRIISQSSSVSDAITAVDFVTARGLDLDLSTFGALIKKLVRSGYPQLAYSLYSDNIIRRGINPDPFIVNSMVICLCKLGKLEEASTLFDRLLMNNSSEKPAFNALVRELFAQERFLDVFDYFVAMSDIGVNLGCWYYNGLIDGLCQKGNLEEAIQMFDLMRETAGLSPTLHLYKSLFYGLCKHGWVLEAEFLIGEIESQGFYVDRTMYTSLIKEYCKDRKMKMAMRIYLRMLKTGCEPDSYTYNTLIHGFVKMGLFDQGWVLYNQMMEKGLQPDVITYHVMISNYCREGKANCASMLLNSMVSNNLAPSVHCYTVLITSFYKENRLMEAGELYKSMLTGGIVPDHVLFFTLMKMYPKGYELHLALMIVQAIAVNGCGFDPLLLAVSDSEDLEQKIELLIGKIEKTNLSLANVAFTILISALSEGRKLDTAVHFMDKLMNLGCMPLLFTYNSLVKCLSQEGLFEDAKSLVDLMQDRGIFPDQATYLIMVNEHCKHGDLASAFDILDQMEDRGMKPGVAIYDCIIGSLCRQKRLFEAEDMFIRMLESGEDPDEIVYMTMINGYAKNGRLIEARQLFEKMIEDAIRPTSHSYTALISGLVKKDMTDKGCMYLDRMLGDGLVPNVVLYTSLINNFLRKGEFEFAFRLVDLMDRNQIEHDLITYIALVSGVCRNITSRKRWCSIKRSSERAREMLFRLLHYRCLLPREKKLRVSDSSPEAMKCFALKLMQKVKETRFMPNLYLYNGIISGFCWADRMQDAYDHFELMQKEGVRPNQVTLTILMGGHIKAGEIDHAIDLFNKMNADDCTPDKIAYNTLIKGLCQAGRLLEALSLLHAMHKRGLIPRKATYENLLAHFCASYLCIPAFKIFEEMLASNVVPRPYSYNWLLCILCEQKKLREAYIVFDTMIQRGKYPLKSTERLLAETLRKQGECDFGFMIQDITPV >EOY21138 pep chromosome:Theobroma_cacao_20110822:3:3358618:3361992:-1 gene:TCM_012498 transcript:EOY21138 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMV resistance protein N, putative MSCHLCHVSMYESHFIQDIIKRVQNKLHCTTLYVPPYLVGIDFTVASINWWLGDGSNKVGIATICGIGGIGKTTIAKVVYNLNIQRFESSSFLANVREITQEHNGLIRLQRQLLSDILKGKANKIYNSNDGITKIKEVISRRRVLFVLDDVDDSEKITKIIGVQIPFHPGSKIIITSRQQCLLSDPFIRQMFDLEASSSYQDLCKVFEVKELTFNESLQLFKWYAFRQNDPIDSYMEYARSIVKHCGGLPLALQVLGSSLAGKSINVWKSALEKLKIIPDSKIQKILKISYDYLQDDHDKSLFLDIACVFVGKDKDYTTTIPDGCDYYTVIGIENLINMSLLVVNEKNMLMMHQMIRDIGRNIIRQESPNLGKQSRLWHKDAFDALRKKIGTQTIKCLTMDLQRLLEEKYEKTIANHSKNPFLMSNEVDIEIDAFAKMQRLKLLQLDYVKLKGNYKDFLRSLIWLCWHGFPQEYLPTNLDISKLVVLEMCNSSLKCIWHDTKYFLPNLKILNLNHSDGLLKILNLSGLHSLERLMLKHCTKLIEVDQSIGKIKTLTILNLKGCKSLKKLPWTISLLDSLEELILSGCSTFEDVPKDLQKMKSLRVLSLDETAIYESNSWLSWLSLKRSKELGFFWTSLPCSLVKLSLESCRLFDDVMPTNLSYLPSLKSLNLSRNPIHSLPKSIICLTKLDELLLTCCNELQWLPKLPTSGLPMITSPSLYRITSLSCLLNLKRCIVFGCEKLIEVEGVFKLEPIENF >EOY23925 pep chromosome:Theobroma_cacao_20110822:3:29019726:29023276:-1 gene:TCM_015668 transcript:EOY23925 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRNAadenosine methylase isoform 2 METHTGGGTNNEGTIATIKSIRTQLESRIQTQHATHLDLLASLQSLDANIVPSLDLSLRIVSAFNHRPFSPTPPLPTPKKISHPPQHPPTHPVTDPKQLALVKPDQGDKPADEKGNPLSVVRAIVAECLLQRVPFKAIDSSTVLRKLENDENVTAAEKAAMRELGGDSGSILAVEMALRSMAEDNGGLEVEEFVVGGKSRVMILNIDRTRLVRELPEEPQNHLKRERINTVNESESLKINNNSNDEWVAPRPMSEIWMGGGDPGMMYPPGVPMAGPRGRGMGMMGRPPMGPNSGLSPAQRQRTEEDDLKDLEALLNKKSFKEMQKSKTGEEILDIINRPTARETAVAAKFKSKGGSQVREYCSALTKEDCRRQSGTFLACKKVHFKRIIAPHTDISLGDCSFLDTCRHMKTDGLIFLWVTGRAMELGRECLELWGYKRCEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEINRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNTQAGWISLGNQLNGVRLVDEGLRARYKAAYPHLD >EOY23926 pep chromosome:Theobroma_cacao_20110822:3:29020049:29023068:-1 gene:TCM_015668 transcript:EOY23926 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRNAadenosine methylase isoform 2 METHTGGGTNNEGTIATIKSIRTQLESRIQTQHATHLDLLASLQSLDANIVPSLDLSLRIVSAFNHRPFSPTPPLPTPKKISHPPQHPPTHPVTDPKQLALVKPDQGDKPADEKGNPLSVVRAIVAECLLQRVPFKAIDSSTVLRKLENDENVTAAEKAAMRELGGDSGSILAVEMALRSMAEDNGGLEVEEFVVGGKSRVMILNIDRTRLVRELPEEPQNHLKRERINTVNESESLKINNNSNDEWVAPRPMSEIWMGGGDPGMMYPPGVPMAGPRGRGMGMMGRPPMGPNSGLSPAQRQRTEEDDLKDLEALLNKKSFKEMQKSKTGEEILDIINRPTARETAVAAKFKSKGGSQVREYCSALTKEDCRRQSGTFLACKKVHFKRIIAPHTDISLGDCSFLDTCRHMKTCKYVHYELDQTQDDLGPEKPLKPPRADYCSEVELGEPQWINCDIRSFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRNLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRCEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEINRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNTQAGYGVLTHFSCFENYSNTLLSSIIGCQQRLL >EOY23924 pep chromosome:Theobroma_cacao_20110822:3:29019138:29023452:-1 gene:TCM_015668 transcript:EOY23924 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRNAadenosine methylase isoform 2 METHTGGGTNNEGTIATIKSIRTQLESRIQTQHATHLDLLASLQSLDANIVPSLDLSLRIVSAFNHRPFSPTPPLPTPKKISHPPQHPPTHPVTDPKQLALVKPDQGDKPADEKGNPLSVVRAIVAECLLQRVPFKAIDSSTVLRKLENDENVTAAEKAAMRELGGDSGSILAVEMALRSMAEDNGGLEVEEFVVGGKSRVMILNIDRTRLVRELPEEPQNHLKRERINTVNESESLKINNNSNDEWVAPRPMSEIWMGGGDPGMMYPPGVPMAGPRGRGMGMMGRPPMGPNSGLSPAQRQRTEEDDLKDLEALLNKKSFKEMQKSKTGEEILDIINRPTARETAVAAKFKSKGGSQVREYCSALTKEDCRRQSGTFLACKKVHFKRIIAPHTDISLGDCSFLDTCRHMKTCKYVHYELDQTQDDLGPEKPLKPPRADYCSEVELGEPQWINCDIRSFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRNLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRCEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEINRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNTQAGWISLGNQLNGVRLVDEGLRARYKAAYPHLDVQPASPPRASAMEIDSTSARSPFATESRAQFADPAAPDAGLAPEERAMSVDGDMTS >EOY22288 pep chromosome:Theobroma_cacao_20110822:3:22842548:22848576:1 gene:TCM_014506 transcript:EOY22288 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING domain ligase2 isoform 1 MGGVTSKRSATAQSSSVGSNSHSWDHQRYAQPSYAPSSRDYSWDHQRYAQPSYAPSSRDYVPQQHYAPPLQSYGGRAPESNRRLERKYSKIDDNYNSLEQVTEALARAGLESSNLIVGIDYTKSNEWTGARSFHRRSLHHIGDEQNPYEQAISIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFYPDETFCNGFEEVLRRYRELVPNLRLAGPTSFAPIIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTERGQLSPQEMKTVEAIVRASDYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNMDRSRKEAEFALAALMEIPSQYKATLELSILGTSRGKDIDRVPLPPPLYGAASFSNSKPSRSSSFRPTPPSSGRYDAPVRTTPSASSASDNHVCPICLCNPKDMAFGCGHQTCCECGQDLQLCPICRSTIDTRIRLY >EOY22287 pep chromosome:Theobroma_cacao_20110822:3:22842268:22848570:1 gene:TCM_014506 transcript:EOY22287 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING domain ligase2 isoform 1 MGGVTSKRSATAQSSSVGSNSHSWDHQRYAQPSYAPSSRDYSWDHQRYAQPSYAPSSRDYVPQQHYAPPLQSYGGRAPESNRRLERKYSKIDDNYNSLEQVTEALARAGLESSNLIVGIDYTKSNEWTGARSFHRRSLHHIGDEQNPYEQAISIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFYPDETFCNGFEEVLRRYRELVPNLRLAGPTSFAPIIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTERGQLSPQEMKTVEAIVRASDYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNMDRSRKEAEFALAALMEIPSQYKATLELSILGTSRGKDIDRVPLPPPLYGAASFSNSKPSRSSSFRPTPPSSGRYDAPVRTTPSASSASDNHVCPICLCNPKDMAFGCGHQTCCECGQDLQLCPICRSTIDTRIRLY >EOY25091 pep chromosome:Theobroma_cacao_20110822:3:33211924:33213369:-1 gene:TCM_016511 transcript:EOY25091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase E,1 isoform 1 MGDGNNTSGRGIAANSTTSSSNSEKPEWLQQYNLLGKIGEGTYGLVFLARIKSQTNRGKCIAIKKFKQSKDGDGVSPTAIREIMLLREITHENVVKLVNVHINHADMSLYLAFDYAEYDLYEIIRHHRDKVNHAINQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGDGDEQGVVKIADFGLARIYQAPLKPLSENGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGAEAKSTPNPFQLDQLDKIFKILGHPTLEKWPTLGNLPHWQSDLQHIQGHKYDNAGLHSVVHLSPRSPAFDLLSKMLEYDPRNRITAAQALEHEYFRIDPLPGRNALVPSQPGEKIVNYPTRPVDQNTDFEGTTSIQQSQPVSSGNAVAGNMGGAHAGRNGSVNRPMPAPMQRMPQGMMAYNFPAQAGVAGGMNPGGIPMPRNIATQAHQQQQLRRKDPGMNMPGYPPQQKSRRM >EOY25092 pep chromosome:Theobroma_cacao_20110822:3:33211173:33215943:-1 gene:TCM_016511 transcript:EOY25092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase E,1 isoform 1 MGDGNNTSGRGIAANSTTSSSNSEKPEWLQQYNLLGKIGEGTYGLVFLARIKSQTNRGKCIAIKKFKQSKDGDGVSPTAIREIMLLREITHENVVKLVNVHINHADMSLYLAFDYAEYDLYEIIRHHRDKVNHAINQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGDGDEQGVVKIADFGLARIYQAPLKPLSENGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGAEAKSTPNPFQLDQLDKIFKILGHPTLEKWPTLGNLPHWQSDLQHIQGHKYDNAGLHSVVHLSPRSPAFDLLSKMLEYDPRNRITAAQALEHEYFRIDPLPGRNALVPSQPGEKIVNYPTRPVDQNTDFEGTTSIQQSQPVSSGNAVAGNMGGAHAGRNGSVNRPMPAPMQRMPQGMMAYNFPAQAGVAGGMNPGGIPMPRNIATQAHQQQQLRRKDPGMNMPGYPPQQKSRRIHDLQDCELPQVRNVSSKYQCWITWLFLFDEYYQSCNYLCVAIFCLRTQGSGAGVESVDASEGSKTQCYGSQAL >EOY21311 pep chromosome:Theobroma_cacao_20110822:3:6067352:6073193:-1 gene:TCM_012791 transcript:EOY21311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 26 isoform 1 MPTRIELKLSRPNRIYRPSEPLQGKIVVKSASSISHYGIRMALNGSVNLQVRGGSAGVIESFYGVVKPITILSKSLDIKPSGKIVSGTTEIPFSIILRNSKEDNLERFYETFHGTNISIQYLATVDIMRGYLHKPLSAIVEFIVESDRADLLDQPIPPEIVIFYITQDTQRHPILPELKSGGFRIIGRISTQRSMLEPLSGELTVEASAIPICSIDIHLLRVESILLGEKIVTETSLIQTTQVADGDVCRNMTLPIYVVLPRLLTCPTVLAGPFSIEFKVAIVISFQSELSKLHPKSDPRTPRLWTAMETLPLELVRTSPSLFV >EOY21310 pep chromosome:Theobroma_cacao_20110822:3:6067001:6080613:-1 gene:TCM_012791 transcript:EOY21310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 26 isoform 1 MCLNTIDLVHAIEIKAMPTRIELKLSRPNSIYHPSAVEIEAMPTRIELKLSRPNRIYRPSEPLQGKIVVKSASSISHYGIRMALNGSVNLQVRGGSAGVIESFYGVVKPITILSKSLDIKPSGKIVSGTTEIPFSIILRNSKEDNLERFYETFHGTNISIQYLATVDIMRGYLHKPLSAIVEFIVESDRADLLDQPIPPEIVIFYITQDTQRHPILPELKSGGFRIIGRISTQRSMLEPLSGELTVEASAIPICSIDIHLLRVESILLGEKIVTETSLIQTTQVADGDVCRNMTLPIYVVLPRLLTCPTVLAGPFSIEFKVAIVISFQSELSKLHPKSDPRTPRLWTAMETLPLELVRTSAFDSNAKD >EOY22348 pep chromosome:Theobroma_cacao_20110822:3:23073552:23079235:1 gene:TCM_014545 transcript:EOY22348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-containing protein MEQKTLEAPQVSIKPEVDTVESSKTLLQPPKVVVLADLNVDPPETEDHDSLLLPAPDLTRLTNDESSHEKSTFISKESDAVEGEAKKLTKSGKCRSRISKADSSLDCGADADGDQPSQGTPSSREEKVSSLKTGLVHVARKMPKNAHAHFVLGLMYQRLGQPQKAILAYEKAAEILVRCEVEIARPELLSLVQIHHAQCLLLENSGDNGLDKELENDELEEILSKLKESMQSDVRQAGVWNTLGLILLKTGRLQSAIAVLSSLLALAPDDYDCLGNLGIAYLQSGNLELSARYFQDLIIKDQNHPAALMNYAAILLCKYGSVVAGAGANASEVASGDQVASVNVAKECLLAALKSDPKAAHTWANLANAYYLIGDYRSSSKCLEKAAKLEPNCMSTRYAVAVHRIKDAERSQDPSEQLSWAGNEMASVLREGDSVPIDPPIAWAGLSMVHKTQHEIVAAFETEQNELVEVEERAIFSLKQAAGEDPDDAVQWNQLGLHSLCSQNFKTAQKYLKAAVVRFKECSYAWSNLGISIQLSEEASQAESVYKRALSLATVEQAHAIFSNLGNLYRQQKQYERAKAMFTKSLELQPGYAPAFNNLGLVFVAEGQWEEAKFCFDKALQSDPLLDAAKSNMIKTVALSRLCAGLSSFFIQD >EOY25417 pep chromosome:Theobroma_cacao_20110822:3:34208895:34212545:-1 gene:TCM_016729 transcript:EOY25417 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT-1 / TIP-1 family, putative MRRERDRVMEGWHPQYLILPKLGKLCRQQAEYLDQHFKTQKDVSSSSSCLLSEWTKHCTDFDACLLHLRTTLMERALSWISRSFRAKACLGNLNLSLYASSPYGTASHRMRRILGEELPQLTLQLRRIHNILQYLETALRLEALVGDLEDAVFCSGIHSMGKIFTKLSTSLSSNDFGLKQERLLQAIKAMNDIEDMVINVEKSHQQCHQLLQSVDHRVDKTLSVLRPEALAEHRALLASLGWPPNFLTSKVEGGGISELPNPLVLIHRDEKKSYAQSFQVLCALQQLQTRREARKFETLDQKECGIQLWAIDELVSPIAERMEYHFLKWAEQPEFIFALVFKITRDFIVGVSDILQPMIDAARLSSCSANEAWVSAMVHMLSGFLAKKVFPSLAERYKKKDMKLEVVSLWLHLVDLIVGFDKQMQSLVRSETCLLLPDAERYGGLSRGISVLILFCDRPDWLKVWAKMELKDGWKKLKAVLKDARAWLIDDKHRVDFNVSTVSETFLLSSREDHKAPLVAESALKIAQEMMDRCQNLPAILARVKFVRSTVARFFWYFSNVLLLHCKNAEFSPENPDDGALVRACESINAARYVESKLQEWSDDVSFLEMKIAENDSNIQKKDKVVDDGCFFEEEIKFLAELETNLLMEIIAVFLRQFENLTLEYDHNEDYIDEDHNLTSNRDSAATALAVSSGFIEALDSLRSQLHVVKINLNPKDFLDLWRSVADGLDHFISGSIFASDVQFSGNQTNQFGTDMQALFLVFQPFCARPQAFFPCIRDILKLLTISKEGVKQLLAALSSKKSEKCMQFCGVSHLSFDQVDKILRNMKS >EOY21078 pep chromosome:Theobroma_cacao_20110822:3:2692188:2698541:1 gene:TCM_012395 transcript:EOY21078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSLSKSSRIVCAELRLQDTKNKVEFDLYNAFKITIEFCSDYYKTRSWVEGYAVPIYSIGHPSKWDISHNVQEIIVLPPTWRGQVGRPRWKRIPSAREDSRRCRCSQYKSYGHNRQNCLTPFAVPLTNSTPSSTQLTAQRR >EOY21260 pep chromosome:Theobroma_cacao_20110822:3:5256741:5272329:-1 gene:TCM_012700 transcript:EOY21260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESSWHSSRYDGIYEVTQHMASTQQSKGDCLAKGHMSPLPEGVHLDLKQNNFTNLLNIWESGYGADDQKIFSFALDKS >EOY21715 pep chromosome:Theobroma_cacao_20110822:3:17611256:17618790:1 gene:TCM_013822 transcript:EOY21715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter 1:2 isoform 2 MQQEEAHQSNKWEGYVDWRNRPAMRGRHGGMLAASFVLAVEILENLAFLANASNLVLYLSKFMHYSLSSSANIVTSFMGTAFLLALLGGFLADAFFTTYRLYLTSAAIEFMGLLTLTVQAHIPSLKPPTCALASTEIPCQKVGGQKAALLFTGLYLVALGVGGIKGSLPPHGAEQFDETTPQGRKQRSSFFNYYVFCLSCGALIAVTFVVWIEDNKGWQWGFGIATATILISIPVFLLGSPIYRTKIPAGSPITTMFEVLAAAIYNNCKSKNPSKAVMGMEASPSDRTETSEGEESNTKAMVPSRTPTEDLKFLNKAIKRKPVKPMLDCTVKQVEEVKEVLKILPIFTCTIMLNCCLAQLSTFSVQQAATMNTKIGSLKVPPASLPIFPVIFMVILAPTYNHVIIPFARKVTKTEIGITHLQRIGTGLVLSIIAMAVAALVETKRKKVAVESGLLNSADSLPITFLWIALQYLFLGSADLFSLVGMMEFFFTEAPTSMRSLATSLSWASLAMGYYFSSVLISIVNNVTSSFRLTPWLSGSNLNHYHLERFYWLMCLLSSLNFFHYLFWANRYKYRTTSPC >EOY21716 pep chromosome:Theobroma_cacao_20110822:3:17615043:17618657:1 gene:TCM_013822 transcript:EOY21716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter 1:2 isoform 2 MEEAHQSNKWEGYVDWRNRPAMRGRHGGMLAASFVLAVEILENLAFLANASNLVLYLSKFMHYSLSSSANIVTSFMGTAFLLALLGGFLADAFFTTYRLYLTSAAIEFMGLLTLTVQAHIPSLKPPTCALASTEIPCQKVGGQKAALLFTGLYLVALGVGGIKGSLPPHGAEQFDETTPQGRKQRSSFFNYYVFCLSCGALIAVTFVVWIEDNKGWQWGFGIATATILISIPVFLLGSPIYRTKIPAGSPITTMFEVLAAAIYNNCKSKNPSKAVMGMEASPSDRTETSEGEESNTKAMVPSRTPTEDLKFLNKAIKRKPVKPMLDCTVKQVEEVKEVLKILPIFTCTIMLNCCLAQLSTFSVQQAATMNTKIGSLKVPPASLPIFPVIFMVILAPTYNHVIIPFARKVTKTEIGITHLQRIGTGLVLSIIAMAVAALVETKRKKVAVESGLLNSADSLPITFLWIALQYLFLGSADLFSLVGMMEFFFTEAPTSMRSLATSLSWASLAMGYYFSSVLISIVNNVTSSFRLTPWLSGSNLNHYHLERFYWLMCLLSSLNFFHYLFWANRYKYRTTSPC >EOY21717 pep chromosome:Theobroma_cacao_20110822:3:17612766:17618657:1 gene:TCM_013822 transcript:EOY21717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter 1:2 isoform 2 MHYSLSSSANIVTSFMGTAFLLALLGGFLADAFFTTYRLYLTSAAIEFMGLLTLTVQAHIPSLKPPTCALASTEIPCQKVGGQKAALLFTGLYLVALGVGGIKGSLPPHGAEQFDETTPQGRKQRSSFFNYYVFCLSCGALIAVTFVVWIEDNKGWQWGFGIATATILISIPVFLLGSPIYRTKIPAGSPITTMFEVLAAAIYNNCKSKNPSKAVMGMEASPSDRTETSEGEESNTKAMVPSRTPTEDLKFLNKAIKRKPVKPMLDCTVKQVEEVKEVLKILPIFTCTIMLNCCLAQLSTFSVQQAATMNTKIGSLKVPPASLPIFPVIFMVILAPTYNHVIIPFARKVTKTEIGITHLQRIGTGLVLSIIAMAVAALVETKRKKVAVESGLLNSADSLPITFLWIALQYLFLGSADLFSLVGMMEFFFTEAPTSMRSLATSLSWASLAMGYYFSSVLISIVNNVTSSFRLTPWLSGSNLNHYHLERFYWLMCLLSSLNFFHYLFWANRYKYRTTSPC >EOY24808 pep chromosome:Theobroma_cacao_20110822:3:32294823:32297683:1 gene:TCM_016303 transcript:EOY24808 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein MASQEYMDKMQIRQNYRNLWHTDLVRTIQRDPPYCCLAFWCGPCVSYMLRKRALYNDMSRYTCCAGYMPCSGRCGESKCPEFCLCTEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQIACIFSIVAMIVGSDEIQEASQLLSCLADMVYCTVCACMQTQHKIEMDKRDGMFGPQPMAVPPVQQMSRIDQPIPPAAGYPPPAYGQAYPPQAPGYTAPGYPPAAYPPAQNPPAGYPPPGYYR >EOY22410 pep chromosome:Theobroma_cacao_20110822:3:23342384:23346489:1 gene:TCM_014586 transcript:EOY22410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein isoform 1 MQILQTPHFFSFPKPLNLSAPKPCSHPHHLPCFPSLLKTHFPKPWTTPKSFSSDEFPVDETFLENFGPKDKETEDEARRRNWIERGWAPWEEILTPEADFARKSLNEGEEVPLQTPEAIEAFKMLKPSYRLQKMKEMGITEDEWYRKQFEIKGEIPDPLETLWAGPLALRHVPPRDWPPSGWEVDREELEFMREVHKLQAVRVDLEKVEKEAITGEANMGLDRYKVFLKQYKEWVDANKDRLEEESYKYDQDYHPGRRKRGKDYNEDMYELPFYYPGQICEGKVTTLHLYQGAFVDIGGVYEGWVPIKGNDWFWIRHHIKVGMHVIVEILAKRDPYRFRFPIEMRFVHPNIDHLVFNRFDFPPIFHRDEDTNPDELRRDCGRPPVPKKHPGTKPEEEPLLSNHPYVDKLWQIHVAEQMILDDMEANPEKYKGKKLSELTDDDDYDDENNVQYTKAYYKKSLIPKMILVSLFFFFYSPTYLPRSTF >EOY22409 pep chromosome:Theobroma_cacao_20110822:3:23342384:23348543:1 gene:TCM_014586 transcript:EOY22409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein isoform 1 MQILQTPHFFSFPKPLNLSAPKPCSHPHHLPCFPSLLKTHFPKPWTTPKSFSSDEFPVDETFLENFGPKDKETEDEARRRNWIERGWAPWEEILTPEADFARKSLNEGEEVPLQTPEAIEAFKMLKPSYRLQKMKEMGITEDEWYRKQFEIKGEIPDPLETLWAGPLALRHVPPRDWPPSGWEVDREELEFMREVHKLQAVRVDLEKVEKEAITGEANMGLDRYKVFLKQYKEWVDANKDRLEEESYKYDQDYHPGRRKRGKDYNEDMYELPFYYPGQICEGKVTTLHLYQGAFVDIGGVYEGWVPIKGNDWFWIRHHIKVGMHVIVEILAKRDPYRFRFPIEMRFVHPNIDHLVFNRFDFPPIFHRDEDTNPDELRRDCGRPPVPKKHPGTKPEEEPLLSNHPYVDKLWQIHVAEQMILDDMEANPEKYKGKKLSELTDDDDYDDENNVQYTKAYYKKSLIPKMILKTSVKELDLEAALAERELHNKLRSEAKERGEEYKISKFRRNIEMDEYDFIHWRRSFEEREALIRDISCRQALGLPLEEPGRYKDASYFGKDQYDPSNPLYRYDYWGEPKNSEKSKRERMTDAHNKSIVGKGTVWYEMSYDDAIKQKMQREARSKGTMQKEADEDEKRQQDSDDEDFDYSILSESSLGFPNQPLVNGTESSSITDGGMFEN >EOY20964 pep chromosome:Theobroma_cacao_20110822:3:1944679:1947438:1 gene:TCM_012279 transcript:EOY20964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein MKLSSSISSIRFPNFNSPFVKSYPSSFFPSFKFSTTSKRTMTQFPNFSIKNTNSSPANTADSQVAPPKPKPTPPPPRPWLIVGLGNPGKKYNGTRHNVGFEMVDAIAEAEGIPINTVNFKALFGKGFIGNVPVMLAKPQTFMNSSGESVGAIVSYYKIPLKQVLVIFDDLDLPFAKLRLLPKGGHGGHNGMRSIIDHFKGSRDFPRLRIGIGRPPGRMDAVNFVLRPFNKQEREELGFTFQHGIEAVRILSLEGFDKSATYVNSAKAMEQLG >EOY21885 pep chromosome:Theobroma_cacao_20110822:3:19418609:19424633:-1 gene:TCM_014042 transcript:EOY21885 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease isoform 1 MIMHGKDSKSKRRTSSRPSSPPRSRSSKLTPPSASLVDGEPVTDRERSSTITSLFEDLQISQDVNSNPRSFPYSVKQQCWEKAEKVKGRDPDRWRRDTVGNIVFRKLVGCPGCLCHDYDHIIPYSKGGKSTLENCQVLQATVNRSKGNRTELSRADLIQRSSYCRVSGRDMDLIELSAYGNVRHTEDPAGCRIQ >EOY21886 pep chromosome:Theobroma_cacao_20110822:3:19418666:19424611:-1 gene:TCM_014042 transcript:EOY21886 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease isoform 1 HGKDSKSKRRTSSRPSSPPRSRSSKLTPPSASLVDGEPVTDRERSSTITSLFEDLQISQDVNSNPRSFPYSVKQQCWEKAEKVKGRDPDRWRRDTVGNIVFRKLVGCPGCLCHDYDHIIPYSKGGKSTLENCQVLQATVNRSKGNRTELSRADLIQRSSYCRVSAYGNVRHTEDPAGCRIQ >EOY22831 pep chromosome:Theobroma_cacao_20110822:3:24920291:24925807:-1 gene:TCM_014885 transcript:EOY22831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin F isoform 1 MEANSLWEKWVEQAVSKLESLKLLRSLRPLYLSSVRQEVANVGISNEEEYELFDAVQPCDRSSIEVSISESTYRDEIVLREGLADDKFGTCQQQFKRLILFSGNDYLGLSSHPAVRKAAAKAVQEYGMGPRGSALICGYTYHHRLLESSLASLKKKEDCLLCPTGFSANMAFMVALGNIATLLAAGNKPSKDEKIAIFSDELNHASIIDGIRLAERQRSVEFFVYKHCDMSHLNALLSSCKMKKKVVVTDSLFSMDGDFAPMVELVELRKKHSFLLVIDDAHGTFVCGKSGGGVAEEFDCERDVDICIGTLSKAAGCQGGFIACSKKWKQLIQSRGRSFIFSTAAPVPLAAAAHAAVIVAKREMWRRRALWDRVQDFRALTGIPISSPIISLIVGSEEKALKASRQLLKSSFHVTAIRPPTVPPNSCRLRIALSAAHTTDDVKKLTAALSSYINFRDIGSNYSLNIYSKL >EOY22829 pep chromosome:Theobroma_cacao_20110822:3:24920695:24925734:-1 gene:TCM_014885 transcript:EOY22829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin F isoform 1 MEANSLWEKWVEQAVSKLESLKLLRSLRPLYLSSVRQEVANVGISNEEEYELFDAVQPCDRSSIEVSISESTYRKWLLEVPSSGDEIVLREGLADDKFGTCQQQFKRLILFSGNDYLGLSSHPAVRKAAAKAVQEYGMGPRGSALICGYTYHHRLLESSLASLKKKEDCLLCPTGFSANMAFMVALGNIATLLAAGNKPSKDEKIAIFSDELNHASIIDGIRLAERQRSVEFFVYKHCDMSHLNALLSSCKMKKKVVVTDSLFSMDGDFAPMVELVELRKKHSFLLVIDDAHGTFVCGKSGGGVAEEFDCERDVDICIGTLSKAAGCQGGFIACSKKWKQLIQSRGRSFIFSTAAPVPLAAAAHAAVIVAKREMWRRRALWDRVQDFRALTGIPISSPIISLIVGSEEKALKASSC >EOY22828 pep chromosome:Theobroma_cacao_20110822:3:24922364:24925553:-1 gene:TCM_014885 transcript:EOY22828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin F isoform 1 MEANSLWEKWVEQAVSKLESLKLLRSLRPLYLSSVRQEVANVGISNEEEYELFDAVQPCDRSSIEVSISESTYRKWLLEVPSSGDEIVLREGLADDKFGTCQQQFKRLILFSGNDYLGLSSHPAVRKAAAKAVQEYGMGPRGSALICGYTYHHRLLESSLASLKKKEDCLLCPTGFSANMAFMVALGNIATLLAAGNKPSKDEKIAIFSDELNHASIIDGIRLAERQRSVEFFVYKHCDMSHLNALLSSCKMKKKVVVTDSLFSMDGDFAPMVELVELRKKHSFLLVIDDAHGTFVCGKSGGGVAEEFDCERDVDICIGTLSKAAGCQGGFIACRFLHISLAYTNSPKILV >EOY22830 pep chromosome:Theobroma_cacao_20110822:3:24920587:24925734:-1 gene:TCM_014885 transcript:EOY22830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin F isoform 1 MEANSLWEKWVEQAVSKLESLKLLRSLRPLYLSSVRQEVANVGISNEEEYELFDAVQPCDRSSIEVSISESTYRKWLLEVPSSGDEIVLREGLADDKFGTCQQQFKRLILFSGNDYLGLSSHPAVRKAAAKAVQEYGMGPRGSALICGYTYHHRLLESSLASLKKKEDCLLCPTGFSANMAFMVALGNIATLLAAGNKPSKDEKIAIFSDELNHASIIDGIRLAERQRSVEFFVYKHCDMSHLNALLSSCKMKKKVVVTDSLFSMDGDFAPMVELVELRKKHSFLLVIDDAHGTFVCGKSGGGVAEEFDCERDVDICIGTLSKAAGCQGGFIACSKKWKQLIQSRGRSFIFSTAAPVPLAAAAHAAVIVAKREMWRRRALWDRVQDFRALTGIPISSPIISLIVGSEEKALKASRQLLKSSFHVTAIRPPTVPPNSCRLLRIALSAAHTTDDVKKLTAALSSYINFRDIGSNYSLNIYSKL >EOY22827 pep chromosome:Theobroma_cacao_20110822:3:24920811:24925553:-1 gene:TCM_014885 transcript:EOY22827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin F isoform 1 MEANSLWEKWVEQAVSKLESLKLLRSLRPLYLSSVRQEVANVGISNEEEYELFDAVQPCDRSSIEVSISESTYRKWLLEVPSSGDEIVLREGLADDKFGTCQQQFKRLILFSGNDYLGLSSHPAVRKAAAKAVQEYGMGPRGSALICGYTYHHRLLESSLASLKKKEDCLLCPTGFSANMAFMVALGNIATLLAAGNKPSKDEKIAIFSDELNHASIIDGIRLAERQRSVEFFVYKHCDMSHLNALLSSCKMKKKVVVTDSLFSMDGDFAPMVELVELRKKHSFLLVIDDAHGTFVCGKSGGGVAEEFDCERDVDICIGTLSKAAGCQGGFIACSKKWKQLIQSRGRSFIFSTAAPVPLAAAAHAAVIVAKREMWRRRALWDRVQDFRALTGIPISSPIISLIVGSEEKALKASRQLLKSSFHVTAIRPPTVPPNSCRLRIALSAAHTTDDVKKLTAALSSYINFRDIGSNYSLNIYSKL >EOY21214 pep chromosome:Theobroma_cacao_20110822:3:4224548:4229162:-1 gene:TCM_012600 transcript:EOY21214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRGIDYFFFSSFLSPLVPSKECAMPHGIDYSFFTCNATWHGLFLLLFLFFSISPLILQKNVHLLRFEQKPLTSTLKSLPPYLSPLFLIIS >EOY22068 pep chromosome:Theobroma_cacao_20110822:3:21194850:21200093:1 gene:TCM_014260 transcript:EOY22068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma subunit of Mt ATP synthase MAMAALRREGRRFAPLISPRPITAFRSSPLAPTREDEGPIGVRYISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAIQTKAENSRGLWQPFTALLGDTPSVDVKKNVIVTVSSDKGLCGGINSTSVKISKALHKLNSGPENETKYVILGEKAKAQLVRDSKKYIELIITELQKNPLSYTQVSVLADDILKNVEFDALRIVFNKFHSVVSFLPTVSTVLSPEIVEREAEAGGKLGDLDSYEIEGGETKGEILQNLTEFQFSCVMFNAVLENACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALEG >EOY21472 pep chromosome:Theobroma_cacao_20110822:3:8684945:8688735:1 gene:TCM_013043 transcript:EOY21472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red elongated hypocotyls 3 isoform 9 MHVKRRPDGKWVVHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAGDVKILLEFFTHMQNINSNFFYAIDLGEDQRLKSLFWVDAKSRHDYSYFCDVVSFDTTYVRNKYKMPLALFIGVNHHYQFMPLGCALVSDDSAATFSWLMQTWLKAMGGQSPRVIITDQDRIVKSVVAEIFPNTHHCFFLWHVLGKVSENLGHVIKQHGNFMAKFEKCIYRSWTEEEFAKRWWKILDRFGLKDDEWMKSLYEDRRKWVPTYIMDVLLAGMSMVQRSESVNSFFDKYVHKKTTVQEFLKQYEAILQDRYEEEAKANSDSWSKLPTLKSPSPFEKSVAGLYTHTVFKKFQVEVVGAIACHPKPENHDATSSFFRVQDLEKNQDFIVTLNEMKSEVSCICRLYEYKGYLCRHAMVVLQINGHSAIPSQYILKRWTKEAKSRHLMGDESEQVQSRVQRYNDLFQRAMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVTSPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKGNSEQEVMTVPATDGLQQMDKLSSRSVGLDGYFGAQTSVQGMVQLNLMAPRDNYYGNQQTIQGLGQLNTIAASHDGYYGPQQTMPGMMDFFRAPGFYIRDDTNVRAAQLHDDASRHA >EOY21471 pep chromosome:Theobroma_cacao_20110822:3:8683803:8688735:1 gene:TCM_013043 transcript:EOY21471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red elongated hypocotyls 3 isoform 9 MDIDLRLPSGEQCKEDEEANGIDNILDGDEKLHNGVVEAGNIAHVGQDVRPEDGVEMNSSAVDMVTFKEDTNLEPLSGMEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQSKQDPDNTTGRRSCSKTDCKASMHVKRRPDGKWVVHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAGDVKILLEFFTHMQNINSNFFYAIDLGEDQRLKSLFWVDAKSRHDYSYFCDVVSFDTTYVRNKYKMPLALFIGVNHHYQFMPLGCALVSDDSAATFSWLMQTWLKAMGGQSPRVIITDQDRIVKSVVAEIFPNTHHCFFLWHVLGKVSENLGHVIKQHGNFMAKFEKCIYRSWTEEEFAKRWWKILDRFGLKDDEWMKSLYEDRRKWVPTYIMDVLLAGMSMVQRSESVNSFFDKYVHKKTTVQEFLKQYEAILQDRYEEEAKANSDSWSKLPTLKSPSPFEKSVAGLYTHTVFKKFQVEVVGAIACHPKPENHDATSSFFRVQDLEKNQDFIVTLNEMKSEVSCICRLYEYKGYLCRHAMVVLQINGHSAIPSQYILKRWTKEAKSRHLMGDESEQVQSRVQRYNDLFQRAMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVTSPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKVNYYSYGHVDIFLQILYPVLTGSFVLFLFLFFVCVLYWQGNSEQEVMTVPATDGLQQMDKLSSRSVGLDGYFGAQTSVQGMVQLNLMAPRDNYYGNQQTIQGLGQLNTIAASHDGYYGPQQTMPGMGQMDFFRAPGFYIRDDTNVRAAQLHDDASRHA >EOY21473 pep chromosome:Theobroma_cacao_20110822:3:8683803:8688735:1 gene:TCM_013043 transcript:EOY21473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red elongated hypocotyls 3 isoform 9 MDIDLRLPSGEQCKEDEEANGIDNILDGDEKLHNGVVEAGNIAHVGQDVRPEDGVEMNSSAVDMVTFKEDTNLEPLSGMEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQSKQDPDNTTGRRSCSKTDCKASMHVKRRPDGKWVVHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAGDVKILLEFFTHMQNINSNFFYAIDLGEDQRLKSLFWVDAKSRHDYSYFCDVVSFDTTYVRNKYKMPLALFIGVNHHYQFMPLGCALVSDDSAATFSWLMQTWLKAMGGQSPRVIITDQDRIVKSVVAEIFPNTHHCFFLWHVLGKVSENLGHVIKQHGNFMAKFEKCIYRSWTEEEFAKRWWKILDRFGLKDDEWMKSLYEDRRKWVPTYIMDVLLAGMSMVQRSESVNSFFDKYVHKKTTVQEFLKQYEAILQDRYEEEAKANSDSWSKLPTLKSPSPFEKSVAGLYTHTVFKKFQVEVVGAIACHPKPENHDATSSFFRVQDLEKNQDFIVTLNEMKSEVSCICRLYEYKGYLCRHAMVVLQINGHSAIPSQYILKRWTKEAKSRHLMGDESEQVQSRVQRYNDLFQRAMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVTSPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKGNSEQEVMTVPATDGLQQMDKLSSRSVGLDGYFGAQTSVQGMVQLNLMAPRDNYYGNQQTIQGLGQLNTIAASHDGYYGPQQTMPGMV >EOY21468 pep chromosome:Theobroma_cacao_20110822:3:8683730:8690384:1 gene:TCM_013043 transcript:EOY21468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red elongated hypocotyls 3 isoform 9 MDIDLRLPSGEQCKEDEEANGIDNILDGDEKLHNGVVEAGNIAHVGQDVRPEDGVEMNSSAVDMVTFKEDTNLEPLSGMEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQSKQDPDNTTGRRSCSKTDCKASMHVKRRPDGKWVVHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAGDVKILLEFFTHMQNINSNFFYAIDLGEDQRLKSLFWVDAKSRHDYSYFCDVVSFDTTYVRNKYKMPLALFIGVNHHYQFMPLGCALVSDDSAATFSWLMQTWLKAMGGQSPRVIITDQDRIVKSVVAEIFPNTHHCFFLWHVLGKVSENLGHVIKQHGNFMAKFEKCIYRSWTEEEFAKRWWKILDRFGLKDDEWMKSLYEDRRKWVPTYIMDVLLAGMSMVQRSESVNSFFDKYVHKKTTVQEFLKQYEAILQDRYEEEAKANSDSWSKLPTLKSPSPFEKSVAGLYTHTVFKKFQVEVVGAIACHPKPENHDATSSFFRVQDLEKNQDFIVTLNEMKSEVSCICRLYEYKGYLCRHAMVVLQINGHSAIPSQYILKRWTKEAKSRHLMGDESEQVQSRVQRYNDLFQRAMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVTSPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKGNSEQEVMTVPATDGLQQMDKLSSRSVGLDGYFGAQTSVQGMVQLNLMAPRDNYYGNQQTIQGLGQLNTIAASHDGYYGPQQTMPGMGQMDFFRAPGFYIRDDTNVRAAQLHDDASRHA >EOY21476 pep chromosome:Theobroma_cacao_20110822:3:8683803:8688735:1 gene:TCM_013043 transcript:EOY21476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red elongated hypocotyls 3 isoform 9 MEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQSKQDPDNTTGRRSCSKTDCKASMHVKRRPDGKWVVHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAGDVKILLEFFTHMQNINSNFFYAIDLGEDQRLKSLFWVDAKSRHDYSYFCDVVSFDTTYVRNKYKMPLALFIGVNHHYQFMPLGCALVSDDSAATFSWLMQTWLKAMGGQSPRVIITDQDRIVKSVVAEIFPNTHHCFFLWHVLGKVSENLGHVIKQHGNFMAKFEKCIYRSWTEEEFAKRWWKILDRFGLKDDEWMKSLYEDRRKWVPTYIMDVLLAGMSMVQRSESVNSFFDKYVHKKTTVQEFLKQYEAILQDRYEEEAKANSDSWSKLPTLKSPSPFEKSVAGLYTHTVFKKFQVEVVGAIACHPKPENHDATSSFFRVQDLEKNQDFIVTLNEMKSEVSCICRLYEYKGYLCRHAMVVLQINGHSAIPSQYILKRWTKEAKSRHLMGDESEQVQSRVQRYNDLFQRAMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVTSPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKGNSEQEVMTVPATDGLQQMDKLSSRSVGLDGYFGAQTSVQGMLNLMAPRDNYYGNQQTIQGLGQLNTIAASHDGYYGPQQTMPGMGQMDFFRAPGFYIRDDTNVRAAQLHDDASRHA >EOY21470 pep chromosome:Theobroma_cacao_20110822:3:8684945:8688735:1 gene:TCM_013043 transcript:EOY21470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red elongated hypocotyls 3 isoform 9 MHVKRRPDGKWVVHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAGDVKILLEFFTHMQNINSNFFYAIDLGEDQRLKSLFWVDAKSRHDYSYFCDVVSFDTTYVRNKYKMPLALFIGVNHHYQFMPLGCALVSDDSAATFSWLMQTWLKAMGGQSPRVIITDQDRIVKSVVAEIFPNTHHCFFLWHVLGKVSENLGHVIKQHGNFMAKFEKCIYRSWTEEEFAKRWWKILDRFGLKDDEWMKSLYEDRRKWVPTYIMDVLLAGMSMVQRSESVNSFFDKYVHKKTTVQEFLKQYEAILQDRYEEEAKANSDSWSKLPTLKSPSPFEKSVAGLYTHTVFKKFQVEVVGAIACHPKPENHDATSSFFRVQDLEKNQDFIVTLNEMKSEVSCICRLYEYKGYLCRHAMVVLQINGHSAIPSQYILKRWTKEAKSRHLMGDESEQVQSRVQRYNDLFQRAMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVTSPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKGNSEQEVMTVPATDGLQQMDKLSSRSVGLDGYFGAQTSVQGMVQLNLMAPRDNYYGNQQTIQGLGQLNTIAASHDGYYGPQQTMPGMGQMDFFRAPGFYIRDDTNVRAAQLHDDASRHA >EOY21475 pep chromosome:Theobroma_cacao_20110822:3:8684945:8688735:1 gene:TCM_013043 transcript:EOY21475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red elongated hypocotyls 3 isoform 9 MHVKRRPDGKWVVHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAGDVKILLEFFTHMQNINSNFFYAIDLGEDQRLKSLFWVDAKSRHDYSYFCDVVSFDTTYVRNKYKMPLALFIGVNHHYQFMPLGCALVSDDSAATFSWLMQTWLKAMGGQSPRVIITDQDRIVKSVVAEIFPNTHHCFFLWHVLGKVSENLGHVIKQHGNFMAKFEKCIYRSWTEEEFAKRWWKILDRFGLKDDEWMKSLYEDRRKWVPTYIMDVLLAGMSMVQRSESVNSFFDKYVHKKTTVQEFLKQYEAILQDRYEEEAKANSDSWSKLPTLKSPSPFEKSVAGLYTHTVFKKFQVEVVGAIACHPKPENHDATSSFFRVQDLEKNQDFIVTLNEMKSEVSCICRLYEYKGYLCRHAMVVLQINGHSAIPSQYILKRWTKEAKSRHLMGDESEQVQSRVQRYNDLFQRAMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVTSPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKVNYYSYGHVDIFLQILYPVLTGSFVLFLFLFFVCVLYWQGNSEQEVMTVPATDGLQQMDKLSSRSVGLDGYFGAQTSVQGMVQLNLMAPRDNYYGNQQTIQGLGQLNTIAASHDGYYGPQQTMPGMGQMDFFRAPGFYIRDDTNVRAAQLHDDASRHA >EOY21469 pep chromosome:Theobroma_cacao_20110822:3:8684945:8688735:1 gene:TCM_013043 transcript:EOY21469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red elongated hypocotyls 3 isoform 9 MHVKRRPDGKWVVHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAGDVKILLEFFTHMQNINSNFFYAIDLGEDQRLKSLFWVDAKSRHDYSYFCDVVSFDTTYVRNKYKMPLALFIGVNHHYQFMPLGCALVSDDSAATFSWLMQTWLKAMGGQSPRVIITDQDRIVKSVVAEIFPNTHHCFFLWHVLGKVSENLGHVIKQHGNFMAKFEKCIYRSWTEEEFAKRWWKILDRFGLKDDEWMKSLYEDRRKWVPTYIMDVLLAGMSMVQRSESVNSFFDKYVHKKTTVQEFLKQYEAILQDRYEEEAKANSDSWSKLPTLKSPSPFEKSVAGLYTHTVFKKFQVEVVGAIACHPKPENHDATSSFFRVQDLEKNQDFIVTLNEMKSEVSCICRLYEYKGYLCRHAMVVLQINGHSAIPSQYILKRWTKEAKSRHLMGDESEQVQSRVQRYNDLFQRAMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVTSPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKGNSEQEVMTVPATDGLQQMDKLSSRSVGLDGYFGAQTSVQGMVQLNLMAPRDNYYGNQQTIQGLGQLNTIAASHDGYYGPQQTMPGMGQMDFFRAPGFYIRDDTNVRAAQLHDDASRHA >EOY21467 pep chromosome:Theobroma_cacao_20110822:3:8683803:8688735:1 gene:TCM_013043 transcript:EOY21467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red elongated hypocotyls 3 isoform 9 MDIDLRLPSGEQCKEDEEANGIDNILDGDEKLHNGVVEAGNIAHVGQDVRPEDGVEMNSSAVDMVTFKEDTNLEPLSGMEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQSKQDPDNTTGRRSCSKTDCKASMHVKRRPDGKWVVHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAGDVKILLEFFTHMQNINSNFFYAIDLGEDQRLKSLFWVDAKSRHDYSYFCDVVSFDTTYVRNKYKMPLALFIGVNHHYQFMPLGCALVSDDSAATFSWLMQTWLKAMGGQSPRVIITDQDRIVKSVVAEIFPNTHHCFFLWHVLGKVSENLGHVIKQHGNFMAKFEKCIYRSWTEEEFAKRWWKILDRFGLKDDEWMKSLYEDRRKWVPTYIMDVLLAGMSMVQRSESVNSFFDKYVHKKTTVQEFLKQYEAILQDRYEEEAKANSDSWSKLPTLKSPSPFEKSVAGLYTHTVFKKFQVEVVGAIACHPKPENHDATSSFFRVQDLEKNQDFIVTLNEMKSEVSCICRLYEYKGYLCRHAMVVLQINGHSAIPSQYILKRWTKEAKSRHLMGDESEQVQSRVQRYNDLFQRAMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVTSPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKGNSEQEVMTVPATDGLQQMDKLSSRSVGLDGYFGAQTSVQGMVQLNLMAPRDNYYGNQQTIQGLGQLNTIAASHDGYYGPQQTMPGMGQMDFFRAPGFYIRDDTNVRAAQLHDDASRHA >EOY21474 pep chromosome:Theobroma_cacao_20110822:3:8684739:8687388:1 gene:TCM_013043 transcript:EOY21474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far-red elongated hypocotyls 3 isoform 9 MDIDLRLPSGEQCKEDEEANGIDNILDGDEKLHNGVVEAGNIAHVGQDVRPEDGVEMNSSAVDMVTFKEDTNLEPLSGMEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQSKQDPDNTTGRRSCSKTDCKASMHVKRRPDGKWVVHSFVKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAGDVKILLEFFTHMQNINSNFFYAIDLGEDQRLKSLFWVDAKSRHDYSYFCDVVSFDTTYVRNKYKMPLALFIGVNHHYQFMPLGCALVSDDSAATFSWLMQTWLKAMGGQSPRVIITDQDRIVKSVVAEIFPNTHHCFFLWHVLGKVSENLGHVIKQHGNFMAKFEKCIYRSWTEEEFAKRWWKILDRFGLKDDEWMKSLYEDRRKWVPTYIMDVLLAGMSMVQRSESVNSFFDKYVHKKTTVQEFLKQYEAILQDRYEEEAKANSDSWSKLPTLKSPSPFEKSVAGLYTHTVFKKFQVEVVGAIACHPKPENHDATSSFFRVQDLEKNQDFIVTLNEMKSEVSCICRLYEYKGYLCRHAMVVLQINGHSAIPSQYILKRWTKEAKSRHLMGDESEQVQSRVQRYNDLFQRAMKLIEEGSLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVTSPTQGMICIEEDNQSRSTSKTNKKKNPTKKRKGNSEQEVMTVPATDGLQQMDKLSSRSVGLDGYFGAQTSVQGMVRIVTIDFIYLLCQLYTIS >EOY20606 pep chromosome:Theobroma_cacao_20110822:3:315011:320468:-1 gene:TCM_011983 transcript:EOY20606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHWDGIIRPFVSGFGLAIGLQADRSISLDKDSLVSNSLTLQQNSCTLFLTLPYENLHASTHLIQQVNL >EOY20975 pep chromosome:Theobroma_cacao_20110822:3:2017346:2018155:1 gene:TCM_012290 transcript:EOY20975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYIYIYIYIYIYSVLKRERNLIFMHGVGTACGASGLLYGAIAFLIGMPCLLSCTHRTKLRNKLGLPEAPGPDWVTHFLCEW >EOY22003 pep chromosome:Theobroma_cacao_20110822:3:20331292:20335590:-1 gene:TCM_014163 transcript:EOY22003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVHKRPFVEEDTFEVSNKQSRQAECSNKLVLSSESFLPEDDSLISNASGEDRFINANTECDEKLANAIDTKHPGNAEDFEANVPSCIAISSLGTCCTGEEDSWPEEPLHIPSFAECFHPERQVRTSARWDDIYSILLECPPRKQVLAGPNYQADIPEWDSQVARNTSNDTDASETAADRYENKLMGTCIIPMPAFECSAYDDKVGSGRSDCSCEDKDSVRCVRQHIMEAREELRKSLGHEKFVELGFCDMGELVTMKWSEEEEQLFHKVVFSNPASLGRNFWDSLVSVYPYRTKEDIVSYYFNVFMLRKRSEQNRCESMSIDSDNDEWQGTDDSGNNEVGFSDEDEDSVIESPICQEDFDNHRSQEAGLCVFDEDIADETCDNHSIDFGSRGDATKVSETYSEKLFSSCGSDPTAQLHGKTLKDTQGEQEEREVQDYSCTSSDTGAASHETPVNADNADQWQGNLNGLNNGGSHGYVLEPCDTKVWDAGYPTCQKNKIDFLPTCSMIEEVFGDGS >EOY22002 pep chromosome:Theobroma_cacao_20110822:3:20331292:20335113:-1 gene:TCM_014163 transcript:EOY22002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVHKRPFVEEDTFEVSNKQSRQAECSNKLVLSSESFLPEDDSLISNASGEDRFINANTECDEKLANAIDTKHPGNAEDFEANVPSCIAISSLGTCCTGEEDSWPEEPLHIPSFAECFHPERQVRTSARWDDIYSILLECPPRKQVLAGPNYQADIPEWDSQVARNTSNDTDASETAADRYENKLMGTCIIPMPAFECSAYDDKVGSGRSDCSCEDKDSVRCVRQHIMEAREELRKSLGHEKFVELGFCDMGELVTMKWSEEEEQLFHKVVFSNPASLGRNFWDSLVSVYPYRTKEDIVSYYFNVFMLRKRSEQNRCESMSIDSDNDEWQGTDDSGNNEVGFSDEDEDSVIESPICQEDFDNHRSQEAGLCVFDEDIADETCDNHSIDFGSRGDATKVSETYSEKLFSSCGSDPTAQLHGKTLKDTQGEQEEREVQDYSCTSSDTGAASHETPVNADNADQWQGNLNGLNNGGSHGYVLEPCDTKVWDAGYPTCQKNKIDFLPTCSMIEEVFGDGS >EOY22004 pep chromosome:Theobroma_cacao_20110822:3:20331292:20335699:-1 gene:TCM_014163 transcript:EOY22004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVHKRPFVEEDTFEVSNKQSRQAECSNKLVLSSESFLPEDDSLISNASGNAEDFEANVPSCIAISSLGTCCTGEEDSWPEEPLHIPSFAECFHPERQVRTSARWDDIYSILLECPPRKQVLAGPNYQADIPEWDSQVARNTSNDTDASETAADRYENKLMGTCIIPMPAFECSAYDDKVGSGRSDCSCEDKDSVRCVRQHIMEAREELRKSLGHEKFVELGFCDMGELVTMKWSEEEEQLFHKVVFSNPASLGRNFWDSLVSVYPYRTKEDIVSYYFNVFMLRKRSEQNRCESMSIDSDNDEWQGTDDSGNNEVGFSDEDEDSVIESPICQEDFDNHRSQEAGLCVFDEDIADETCDNHSIDFGSRGDATKVSETYSEKLFSSCGSDPTAQLHGKTLKDTQGEQEEREVQDYSCTSSDTGAASHETPVNADNADQWQGNLNGLNNGGSHGYVLEPCDTKVWDAGYPTCQKNKIDFLPTCSMIEEVFGDGS >EOY22652 pep chromosome:Theobroma_cacao_20110822:3:24340837:24345744:1 gene:TCM_014757 transcript:EOY22652 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD (Development and Cell Death) domain-like protein isoform 1 MCNGRTKPQCYQYRVFGLPVGKMEVVEKIKPGMKLFLFDFELKLLYGIYEATSVGTMNLEQTAFNGRFPAQVGFKIYKDCLPLHESSFRHAIEHNYQKGFKFNQELNKQQVLESQHVQQIGFQRYNCYRPLLDMSHVHPVMKPQVSLAPVDPNVTGMQLGYVQPVSEPQNILQSAPFQQQHYFGSSTNRGHSNPTTVTQAFLTSNGQLYLAEVQQPYVAGNPTQPVPDQYNR >EOY22653 pep chromosome:Theobroma_cacao_20110822:3:24340837:24344341:1 gene:TCM_014757 transcript:EOY22653 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD (Development and Cell Death) domain-like protein isoform 1 MCNGRTKPQCYQYRVFGLPVGKMEVVEKIKPGMKLFLFDFELKLLYGIYEATSVGTMNLEQTAFNGRFPAQVGFKIYKDCLPLHESSFRHAIEHNYQKGFKFNQELNKQQVRSLLSLFRPFTVSALGSLQPMQQQAVENQSRLPFLKDSCAQVLESQHVQQIGFQRYNCYRPLLDMSHVHPVMKPQVSLAPVDPNVTGMQLGYVQPVSEPQNILQSAPFQQQHYFGSSTNRGHSNPTTVTQAFLTSNGQLYLAEVQQPYVAGNPTQPVPDQYNRCLFLFMDELGSRS >EOY22651 pep chromosome:Theobroma_cacao_20110822:3:24340837:24346377:1 gene:TCM_014757 transcript:EOY22651 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD (Development and Cell Death) domain-like protein isoform 1 MPLLDANKMEREIEEHDQEELSGFIFMCNGRTKPQCYQYRVFGLPVGKMEVVEKIKPGMKLFLFDFELKLLYGIYEATSVGTMNLEQTAFNGRFPAQVGFKIYKDCLPLHESSFRHAIEHNYQKGFKFNQELNKQQVLESQHVQQIGFQRYNCYRPLLDMSHVHPVMKPQVSLAPVDPNVTGMQLGYVQPVSEPQNILQSAPFQQQHYFGSSTNRGHSNPTTVTQAFLTSNGQLYLAEVQQPYVAGNPTQPVPDQYNR >EOY22650 pep chromosome:Theobroma_cacao_20110822:3:24340837:24346377:1 gene:TCM_014757 transcript:EOY22650 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD (Development and Cell Death) domain-like protein isoform 1 MPLLDANKMEREIEEHDQEELSGFIFMCNGRTKPQCYQYRVFGLPVGKMEVVEKIKPGMKLFLFDFELKLLYGIYEATSVGTMNLEQTAFNGRFPAQVGFKIYKDCLPLHESSFRHAIEHNYQKGFKFNQELNKQQVLESQHVQQIGFQRYNCYRPLLDMSHVHPVMKPQVSLAPVDPNVTGMQLGYVQPVSEPQNILQSAPFQQQHYFGSSTNRGHSNPTTVTQAFLTSNGQLYLAEVQQPYVAGNPTQPVPDQYNR >EOY22649 pep chromosome:Theobroma_cacao_20110822:3:24340837:24345744:1 gene:TCM_014757 transcript:EOY22649 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD (Development and Cell Death) domain-like protein isoform 1 MPLLDANKMEREIEEHDQEELSGFIFMCNGRTKPQCYQYRVFGLPVGKMEVVEKIKPGMKLFLFDFELKLLYGIYEATSVGTMNLEQTAFNGRFPAQVGFKIYKDCLPLHESSFRHAIEHNYQKGFKFNQELNKQQVRSLLSLFRPFTVSALGSLQPMQQQAVENQSRLPFLKDSCAQVLESQHVQQIGFQRYNCYRPLLDMSHVHPVMKPQVSLAPVDPNVTGMQLGYVQPVSEPQNILQSAPFQQQHYFGSSTNRGHSNPTTVTQAFLTSNGQLYLAEVQQPYVAGNPTQPVPDQYNR >EOY22648 pep chromosome:Theobroma_cacao_20110822:3:24340838:24346332:1 gene:TCM_014757 transcript:EOY22648 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD (Development and Cell Death) domain-like protein isoform 1 MPLLDANKMEREIEEHDQEELSGFIFMCNGRTKPQCYQYRVFGLPVGKMEVVEKIKPGMKLFLFDFELKLLYGIYEATSVGTMNLEQTAFNGRFPAQVGFKIYKDCLPLHESSFRHAIEHNYQKGFKFNQELNKQQVRSLLSLFRPFTVSALGSLQPMQQQAVENQSRLPFLKDSCAQVLESQHVQQIGFQRYNCYRPLLDMSHVHPVMKPQVSLAPVDPNVTGMQLGYVQPVSEPQNILQSAPFQQQHYFGSSTNRGHSNPTTVTQAFLTSNGQLYLAEVQQPYVAGNPTQPVPDQYNR >EOY25397 pep chromosome:Theobroma_cacao_20110822:3:34148025:34149582:-1 gene:TCM_016718 transcript:EOY25397 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L13 MVKHNNVVPNGHFRKHWQNYVKTWFNQPARKARRRLARQKKAVKIFPRPSAGHLRPIVHGQTLKYNMKLRAGRGFSLEELKAAGISKKLAPTIDTAVDHRRKNRSLESLQANVQRLKTCKAKLVAGDSTPEELATATQVQGPYIPIAGDKPSVELVKVTEEMKSFKAYNKLRVERMNERHIGARMKKAAEAEQEEKK >EOY22770 pep chromosome:Theobroma_cacao_20110822:3:24729145:24735351:-1 gene:TCM_014842 transcript:EOY22770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein, putative MIMDSSKKVGTIGFSELLSLVVLVISCFCDAISEAACLESERQALLRFTQDLTDNSSRLASWSNGGDCCDWTGVVCDNLTGHVLELHLGNIHDPNDDVLVPGKAFERSRLSGKINTSLLDLKHLQYLDLSGNNFGGQIPGFLGSLQNLRYLNLSTAGFEGLIPPQLGNLTKLQYLDLHDLLSVFLYAENLQWLTSLAQLQHLDLSGISLSKASDWLQVTNALPSLIVLRLSYCQLDPVPPLKNVNFSSLGTLDLSYNEFSNSFIYSWIFELNSLVSLDLSLNSFQGHFPDGLRNMSSLRYLSLASNQFNSSIPNWMYGFNHLQDLDLGSNNLQGRISEDVGNLTSAISLDFGYSNLEGAALRSLGSLCSLRSLVLSGIKLSQDVSEVLQSLSGCLSDGLESLFLAKCELSGHLTNQLGRFKNLHDLYMARNSISGPMPDSLRLLTSLRAVDLSENQLNGTFPEWLGQLRELEVLWIGQNSLHGVVSEVHFSNVTRLRLLQASGNRLSLKASPGWIPPFQLGVIALSSWNLGPSFPRWLRFQKDFVFLDISVAGIVDTLPDWFWNLSSQFFYMNLSHNQIQGRVPGILSTIPPLGYPSSIDLNSNFFEGSLPCLPSNVGTLDLSNNSFSGPISPFLCCNMEEPKNLGNLRLADNHLSGPIPDCWMNSPNLISIDFKNNNLSGSLPRSMGSLSLLQSLHLRKNNISGVLPLSLQNCSSLLALDLSENKFEGSIPSWIGEKLSKIMIVGLRANNFQGDIPHTLCALSYLTILDLAHNNLSGNIPKCFTNFSAMASKRNSSDPISYAFGHFKNSIETTLVVIKGILLEYSSTLRLVTSMDLSDNNLSGEIPVEITGLLGLRSLNLSTNLLTGRIPETIGKMGTLESVDFSFNQLSGAIPSSISNLTFLSYLNVAYNKLTGKIPLSTQLQSFDASNFAGNDLCGPPLTDNCSINAVIPGAENREKTGDGFEVDWFWFSASMALGFIIAFWSVAGPLLFKRSWRSAYFRMLDNMGEKIQGVFANNCLTQRS >EOY23696 pep chromosome:Theobroma_cacao_20110822:3:28262615:28268510:1 gene:TCM_015504 transcript:EOY23696 gene_biotype:protein_coding transcript_biotype:protein_coding description:SER/ARG-rich protein 34A MSGHFSRTIYVGNLPSDIKEWEVEDLFYKYGRILDIELKIPPRPPCYCFVEFENSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSDRRGGYDGGKAKFGISRHSEYRVIVRGLPSSASWQDLKDHMRKAGDVCFAEISRDSDGTFGIVDYTNYDDMKYAVRKLDDTEFRNPWARAYIRVKRYEGSPSRSQSRSRSRSRSVRRDRSKSRERSLSKSPVKSRSASPVKSSRARSRSRSRSGSPDKARSPSSFSTKTLDLTASGLSVSLPLSLSLYIWYRAPCLNPPNLQVSTPVAKQLQANPSASDLQLKILGTMATSELACSYAAMILHDDGNSITAEKIATVVKKANVAVESYWPSLFAKLLEKKSVDDLIMNVGSAGGGAPVAVAPSAGAGAAAAPAAEEKKEEPKEESDDDMGFSLFD >EOY24484 pep chromosome:Theobroma_cacao_20110822:3:31156485:31158607:-1 gene:TCM_016078 transcript:EOY24484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein, putative isoform 1 MQGGRGGRDPFFDFGDPFGGFGGFGGFGGPRSLLSNFFGGRDPFDDPFFARPFGGMFESSFFGPGQSPFPDMHPNGFIEHQPPEPKRSRGPIIQELNSDDEKEEADKEKKENPRKHGRSNDEPYVEVPDDEAGQSERRNRHLQYMNGYNSLYDRQQQQPQTRSFAFQSSTVTYGGANGAYHTSSKTRRTGSDGVTFEESKEADTTTGQATHRVSRGLHNKGHSVTRKLKSDGRVDTMQTLHNLNEDELSGFEEAWNGNARKHLPGLSGNFIGHDSIGAGRGGQNGQAGRGGWALPSNECSQQSGRVMLGARDGAGPSSSQQSMRMRGSTVLKDKSSYSQGKRRG >EOY24483 pep chromosome:Theobroma_cacao_20110822:3:31156201:31158723:-1 gene:TCM_016078 transcript:EOY24483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein, putative isoform 1 MQGGRGGRDPFFDFGDPFGGFGGFGGFGGPRSLLSNFFGGRDPFDDPFFARPFGGMFESSFFGPGQSPFPDMHPNGFIEHQPPEPKRSRGPIIQELNSDDEKEEADKEKKENPRKHGRSNDEPYVEVPDDEAGQSERRNRHLQYMNGYNSLYDRQQQQPQTRSFAFQSSTVTYGGANGAYHTSSKTRRTGSDGVTFEESKEADTTTGQATHRVSRGLHNKGHSVTRKLKSDGRVDTMQTLHNLNEDELSGFEEAWNGNARKHLPGLSGNFIGHDSIGAGRGGQNGQAGRGGWALPSNECSQQSGRVMLGARDGAGPSSSQQSMRMRGSTVLKDKSSYSQGKRRG >EOY21797 pep chromosome:Theobroma_cacao_20110822:3:18678323:18682758:1 gene:TCM_013936 transcript:EOY21797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule end binding protein EB1A MASNIGMMDSAYFVGRNEILTWINNRLQLNLSRIEEAASGAVQCQMIDMTYPGVVPMHKVNFDAKTEYDMIQNYKVLQEVFNKLKIEKHIEVNRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRVKGGKERNSKGSHKSSKSLQANNLHNSASGDIAGINKNSVSKQGKTGVAATSGDVQALSKEIADLKLSVDLLEKERDFYFAKLRDIEILCQTPEVENLPMAVAIKKILYAADAKESALEEAQEYISRSAESGETEE >EOY23356 pep chromosome:Theobroma_cacao_20110822:3:27117426:27120304:1 gene:TCM_015275 transcript:EOY23356 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein isoform 1 MQFFGGSEISPSPPVPTTTGNNAHMMYVFNRNGVCLLYREWNRPLHTLNPQQDHKLMFGLLFSLKSLTAKMDPTSADKGNLGVPQLPGQGCSFHSFRTNTYKLSFMETPSGIKIILVTHPRTGDLREPLKYIYNLYVEYVAKNPLYTPGTSIRCELFNTALDQYVRSIA >EOY23358 pep chromosome:Theobroma_cacao_20110822:3:27117426:27120298:1 gene:TCM_015275 transcript:EOY23358 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein isoform 1 MQFFGGSEISPSPPVPTTTGNNAHMMYVFNRNGVCLLYREWNRPLHTLNPQQDHKLMFGLLFSLKSLTAKMDPTSADKGNLGVPQLPGQGCSFHSFRTNTYKLSFMETPSGIKIILVTHPRTGDLREPLKYIYNLYVEYVAKNPLYTPGTSIR >EOY23354 pep chromosome:Theobroma_cacao_20110822:3:27117426:27120304:1 gene:TCM_015275 transcript:EOY23354 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein isoform 1 MQFFGGSEISPSPPVPTTTGNNAHMMYVFNRNGVCLLYREWNRPLHTLNPQQDHKLMFGLLFSLKSLTAKMDPTSADKGNLGVPQLPGQGCSFHSFRTNTYKLSFMETPSGIKIILVTHPRTGDLREPLKYIYNLYVEYVAKNPLYTPGTSIRCELFNTALDQYVRSIA >EOY23357 pep chromosome:Theobroma_cacao_20110822:3:27117468:27120625:1 gene:TCM_015275 transcript:EOY23357 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein isoform 1 MQFFGGSEISPSPPVPTTTGNNAHMMYVFNRNGVCLLYREWNRPLHTLNPQQDHKLMFGLLFSLKSLTAKMDPTSADKGNLGVPQLPGQGCSFHSFRTNTYKLSFMETPSGIKIILVTHPRTGDLREPLKYIYNLYVEYVAKNPLYTPGTSIRCELFNTALDQYVRSIA >EOY23355 pep chromosome:Theobroma_cacao_20110822:3:27117558:27120441:1 gene:TCM_015275 transcript:EOY23355 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein isoform 1 MQFFGGSEISPSPPVPTTTGNNAHMMYVFNRNGVCLLYREWNRPLHTLNPQQDHKLMFGLLFSLKSLTAKMDPTSADKGNLGVPQLPGQGCSFHSFRTNTYKLSFMETPSGIKIILVTHPRTGDLREPLKYIYNLYVEYVAKNPLYTPGTSIRCELFNTALDQYVRSIA >EOY23385 pep chromosome:Theobroma_cacao_20110822:3:27219906:27225134:1 gene:TCM_015296 transcript:EOY23385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MSLTKPRLPALLKISSEKNTISRLKKDNKHLNLKLSQLQALLEEKEVQSGQSKRSMGATTSSTTSSGVDDENLHLSTSSEEQCAPATAHLSKRELSEECSATEPAQIIASRVKSSSGHDLFSASTLHASYSGVDDTAPRFYGSHFIENGRDVLREEEFPEVSAEFQETFLGHTSPITRCQFSASGNNIASASVDGTVRIWTYDSSISASRNATIYCGAEIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTTEAFPSVLDLKCSPVEPIFVSAASSRRLGSNSIDSLGYASLTVWNMKTWKAMTVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDTAISSLLFGPDETSIFSLGSDGKIFEWSLQNQGHVLWSRTCSRFSDHETSKYCRHEMALEANGRQLLVTSGSVRAPIYQVRGHSNGLRTLPHSAAITTVDWHPSLPIFLTGSADNSVRVTSIL >EOY23384 pep chromosome:Theobroma_cacao_20110822:3:27220001:27225318:1 gene:TCM_015296 transcript:EOY23384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MSLTKPRLPALLKISSEKNTISRLKKDNKHLNLKLSQLQALLEEKEVQSGQSKRSMGATTSSTTSSGVDDENLHLSTSSEEQCAPATAHLSKRELSEECSATEPAQIIASRVKSSSGHDLFSASTLHASYSGVDDTAPRFYGSHFIENGRDVLREEEFPEVSAEFQETFLGHTSPITRCQFSASGNNIASASVDGTVRIWTYDSSISASRNATIYCGAEIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTTEAFPSVLDLKCSPVEPIFVSAASSRRLGSNSIDSLGYASLTVWNMKTWKAMTVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDTAISSLLFGPDETSIFSLGSDGKIFEWSLQNQGHVLWSRTCSRFSDHETSKYCRHEMALEANGRQLLVTSGSVRAPIYQVRGHSNGLRTLPHSAAITTVDWHPSLPIFLTGSADNSVRVTSIL >EOY23383 pep chromosome:Theobroma_cacao_20110822:3:27218118:27225318:1 gene:TCM_015296 transcript:EOY23383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MENMQYAEELVREYLVFRGFTNTLQAFETELCTDIGKGFQVNKILDLIFAVYIPKFQAEKLVGLFSFFKQCFSSWSETVVLDTLSKLEGSILQCYIVHALQSGRKDKVVEFFGMNGNDRLLKSYDWIPWFAIPYLKNPSLDPQFRVYFSKEWYEALRLSVRNFFSEIFNGTRLPALLKISSEKNTISRLKKDNKHLNLKLSQLQALLEEKEVQSGQSKRSMGATTSSTTSSGVDDENLHLSTSSEEQCAPATAHLSKRELSEECSATEPAQIIASRVKSSSGHDLFSASTLHASYSGVDDTAPRFYGSHFIENGRDVLREEEFPEVSAEFQETFLGHTSPITRCQFSASGNNIASASVDGTVRIWTYDSSISASRNATIYCGAEIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTTEAFPSVLDLKCSPVEPIFVSAASSRRLGSNSIDSLGYASLTVWNMKTWKAMTVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDTAISSLLFGPDETSIFSLGSDGKIFEWSLQNQGHVLWSRTCSRFSDHETSKYCRHEMALEANGRQLLVTSGSVRAPIYQVRGHSNGLRTLPHSAAITTVDWHPSLPIFLTGSADNSVRVTSIL >EOY23553 pep chromosome:Theobroma_cacao_20110822:3:27838106:27844650:-1 gene:TCM_015415 transcript:EOY23553 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit X isoform 3 MAAAAALRSKPSVETASLTVSQFRHFLSNYMHCGRMASSSHSTTYRTKWDDHFVNTPYHFTSFKPVSLRGELVEKGSQLLDIRRNSRGLNKDFDRELREKLNYSNCTVLSSYGDPPEVWQPPGDGVAIRVSGVNLGRGGGGGAGGGGGASTGSGGGFGPGSKDGCWGGSNLGHNFPTPKDICKGLDKFVIGQERAKKVLSVAVYNHYMRIYHESLQKRPAGDSVSNIADVLDDDIVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLVVADYNVAAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGENIQIDTKDILFICGGAFIDIEKTISERRQDSSIGFGAPVRANMRAGGVTNAAVASTLMESVESSDLIAYGLIPEFVGRFPVLVSLLALTEEQLVQVLTEPKNALGKQYKRMFQMNGVKLHITENALKLIARKAISKNTGARGLRAILENILMDSIFQMSGLVMM >EOY23554 pep chromosome:Theobroma_cacao_20110822:3:27840376:27844474:-1 gene:TCM_015415 transcript:EOY23554 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit X isoform 3 MAAAAALRSKPSVETASLTVSQFRHFLSNYMHCGRMASSSHSTTYRTKWDDHFVNTPYHFTSFKPVSLRGELVEKGSQLLDIRRNSRGLNKDFDRELREKLNYSNCTVLSSYGDPPEVWQPPGDGVAIRVSGVNLGRGGGGGAGGGGGASTGSGGGFGPGSKDGCWGGSNLGHNFPTPKDICKGLDKFVIGQERAKKVLSVAVYNHYMRIYHESLQKRPAGDSVSNIADVLDDDIVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLVVADYNVAAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGENIQIDTKDILFICGGAFIDIEKTISERRQDSSIGFGAPVRANMRAGGVTNAAVASTLMESVSAP >EOY23555 pep chromosome:Theobroma_cacao_20110822:3:27838416:27844679:-1 gene:TCM_015415 transcript:EOY23555 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit X isoform 3 MAAAAALRSKPSVETASLTVSQFRHFLSNYMHCGRMASSSHSTTYRTKWDDHFVNTPYHFTSFKPVSLRGELVEKGSQLLDIRRNSRGLNKDFDRELREKLNYSNCTVLSSYGDPPEVWQPPGDGVAIRVSGVNLGRGGGGGAGGGGGASTGSGGGFGPGSKDGCWGGSNLGHNFPTPKDICKGLDKFVIGQERAKKVLSVAVYNHYMRIYHESLQKRPAGDSVSNIADVLDDDIVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLVVADYNVAAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGENIQIDTKDILFICGGAFIDIEKTISERRQDSSIGFGAPVRANMRAGGVTNAAVASTLMESVSAP >EOY23552 pep chromosome:Theobroma_cacao_20110822:3:27837859:27845054:-1 gene:TCM_015415 transcript:EOY23552 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit X isoform 3 MAAAAALRSKPSVETASLTVSQFRHFLSNYMHCGRMASSSHSTTYRTKWDDHFVNTPYHFTSFKPVSLRGELVEKGSQLLDIRRNSRGLNKDFDRELREKLNYSNCTVLSSYGDPPEVWQPPGDGVAIRVSGVNLGRGGGGGAGGGGGASTGSGGGFGPGSKDGCWGGSNLGHNFPTPKDICKGLDKFVIGQERAKKVLSVAVYNHYMRIYHESLQKRPAGDSVSNIADVLDDDIVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLVVADYNVAAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGENIQIDTKDILFICGGAFIDIEKTISERRQDSSIGFGAPVRANMRAGGVTNAAVASTLMESVESSDLIAYGLIPEFVGRFPVLVSLLALTEEQLVQVLTEPKNALGKQYKRMFQMNGVKLHITENALKLIARKAISKNTGARGLRAILENILMDSMYEIPDVRTGDDVIDAVVVDEEAVGSEGRGTGAKILYGKGALDRYLSQQKSKDLETTAEGSDGEPEVETELPSVVASM >EOY24191 pep chromosome:Theobroma_cacao_20110822:3:30024733:30028875:1 gene:TCM_015861 transcript:EOY24191 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease R subunit 4 isoform 1 MEVATAAASSLALHAHMLSPPTSARTVNPYRTLNSPPSTSLRASLSTNFLSPFPATGSVAGDFSGLKLRPESLNPASLSRSKPKRGVVTMVIPFSRGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDAEKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLAAGATGNRSALPSSTIMMRQPIARFQGQATDVDLARKEVKNVKTELVKLYAKHIGKSTEQIEADIRRPKYFSPSEAVEYGIIDKVLYNERGAEDRGVVSDLKKAQLI >EOY24190 pep chromosome:Theobroma_cacao_20110822:3:30024950:30036617:1 gene:TCM_015861 transcript:EOY24190 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease R subunit 4 isoform 1 MEVATAAASSLALHAHMLSPPTSARTVNPYRTLNSPPSTSLRASLSTNFLSPFPATGSVAGDFSGLKLRPESLNPASLSRSKPKRGVVTMVIPFSRGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDAEKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLAAGATGNRSALPSSTIMMRQPIARFQGQATDVDLARKEVKNVKTELVKLYAKHIGKSTEQIEADIRRPKYFSPSEAVEYGIIDKVLYNERGAEDRGVVSDLKKAQLI >EOY23710 pep chromosome:Theobroma_cacao_20110822:3:28314181:28314825:1 gene:TCM_015517 transcript:EOY23710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLRMMPCCGRGAMFMHKQEEEELQWFDWGSQVHYKEQNWCKRRGLVSLVKVLGNKRWEIVGNLRSDILFHVKPHG >EOY22737 pep chromosome:Theobroma_cacao_20110822:3:24614937:24618021:-1 gene:TCM_014816 transcript:EOY22737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a close homolog of the Cauliflower OR (Orange) protein. The function of OR is to induce the differentiation of proplastids or other noncolored plastids into chromoplasts for carotenoid accumulation. Both proteins contain a Cysteine-rich zi /.../ger domain that is highly specific to DnaJ-like molecular chaperons. [Source:Projected from Arabidopsis thaliana (AT5G61670) TAIR;Acc:AT5G61670] MVCLSRVLAVSYTVKPSRPYNRSCFSSELVHATPKLNTRWRSMATEPESSSFAPSIDSESTAGFCIIEGPETVQDFAKMELQEIQDNIRSRRNKIFLHMEEVRRLRIQQRIKSAELGILKEETENELPNFPSFIPFLPPLTSDNLKVYYATCFSLIAGTIIFGGLLAPTLELKLGLGGTSYADFIRSMHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSNTGSLVLIEPVSTVNGGDRPLSAPKTERCSNCSGSGRSCAPHAFALEWQWQANMTQELTHLIRGFFRTDYS >EOY22738 pep chromosome:Theobroma_cacao_20110822:3:24614938:24617945:-1 gene:TCM_014816 transcript:EOY22738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a close homolog of the Cauliflower OR (Orange) protein. The function of OR is to induce the differentiation of proplastids or other noncolored plastids into chromoplasts for carotenoid accumulation. Both proteins contain a Cysteine-rich zi /.../ger domain that is highly specific to DnaJ-like molecular chaperons. [Source:Projected from Arabidopsis thaliana (AT5G61670) TAIR;Acc:AT5G61670] MVCLSRVLAVSYTVKPSRPYNRSCFSSELVHATPKLNTRWRSMATEPESSSFAPSIDSESTAGFCIIEGPETVQDFAKMELQEIQDNIRSRRNKIFLHMEEVRRLRIQQRIKSAELGILKEETENELPNFPSFIPFLPPLTSDNLKVYYATCFSLIAGTIIFGGLLAPTLELKLGLGGTSYADFIRSMHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSNTGSLVLIEPVSTVNGGDRPLSAPKTERCSNCSGSGKVYHQKICSFNGLLWTCPYQPGNLNERKNILLMQMLNSPLTVFIIYLYLPDVKFVDIIICSVLIFGFLCFGRSCAPHAFALEWQWQANMTQELTHLIRGFFRTDYS >EOY22736 pep chromosome:Theobroma_cacao_20110822:3:24615598:24618130:-1 gene:TCM_014816 transcript:EOY22736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a close homolog of the Cauliflower OR (Orange) protein. The function of OR is to induce the differentiation of proplastids or other noncolored plastids into chromoplasts for carotenoid accumulation. Both proteins contain a Cysteine-rich zi /.../ger domain that is highly specific to DnaJ-like molecular chaperons. [Source:Projected from Arabidopsis thaliana (AT5G61670) TAIR;Acc:AT5G61670] MVCLSRVLAVSYTVKPSRPYNRSCFSSELVHATPKLNTRWRSMATEPESSSFAPSIDSESTAGFCIIEGPETVQDFAKMELQEIQDNIRSRRNKIFLHMEEVRRLRIQQRIKSAELGILKEETENELPNFPSFIPFLPPLTSDNLKVYYATCFSLIAGTIIFGGLLAPTLELKLGLGGTSYADFIRSMHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSNTGSLVLIEPVSTVNGGDRPLSAPKTERCSNCSGSGKVMCPTCLCTGMAMASEHDPRIDPFD >EOY22739 pep chromosome:Theobroma_cacao_20110822:3:24616180:24618021:-1 gene:TCM_014816 transcript:EOY22739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a close homolog of the Cauliflower OR (Orange) protein. The function of OR is to induce the differentiation of proplastids or other noncolored plastids into chromoplasts for carotenoid accumulation. Both proteins contain a Cysteine-rich zi /.../ger domain that is highly specific to DnaJ-like molecular chaperons. [Source:Projected from Arabidopsis thaliana (AT5G61670) TAIR;Acc:AT5G61670] MVCLSRVLAVSYTVKPSRPYNRSCFSSELVHATPKLNTRWRSMATEPESSSFAPSIDSESTAGFCIIEGPETVQDFAKMELQEIQDNIRSRRNKIFLHMEEVRRLRIQQRIKSAELGILKEETENELPNFPSFIPFLPPLTSDNLKVYYATCFSLIAGTIIFGGLLAPTLELKLGLGGTSYADFIRSMHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTVMVSAGYLACARC >EOY24166 pep chromosome:Theobroma_cacao_20110822:3:29930062:29933342:-1 gene:TCM_015841 transcript:EOY24166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQCKMRNKPTNFIHKITHTHTPSQIDYLFRNEGGGKFTAPLRKESYLLLQKISPLQTQDNGKTN >EOY24472 pep chromosome:Theobroma_cacao_20110822:3:31115365:31117163:1 gene:TCM_016067 transcript:EOY24472 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-glucuronate 4-epimerase 3 MSHLDNIPSTPGKYKPDKSFRFHSSTASSLSKLTLYSTLFLSLLLILLLLLSTPSSTTSPRRHLSTGTHHSPVSLPHKLIHKSARPRSTAGHTVLVTGASGFVGTHVSLALKRRGDGVLGLDNFNQYYDPALKRARQKFLEKAGIFIVEGDINDKDLLQQLFDAVLFTHVMHLAAQAGVRYAMQNPGSYIHSNIAGFVNLLEVSKSASPQPAIIWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTRDIMKGKTITLYESPDKGSVARDFTYIDDVVKGCLGALDTAKKSTGSGGKKRGPAQFRIFNLGNTSPVPVSRLVSILEKILKVKAKKKVVPLPRNGDVEFTHANISLAVRELGYKPTTDLEAGLKKFVRWYLGFYLGSKKSSW >EOY20691 pep chromosome:Theobroma_cacao_20110822:3:622519:628293:1 gene:TCM_012048 transcript:EOY20691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar-insensitive 3 isoform 1 MAIRGVDFKWYDGFFLSMLATSVIIVAINWKRYHLCTYPLHKWIVVDYTTVFVFRLLMFVDNGLAAGMGLDLGWQQRYARFCGRIVVLSILSLLLYPFLWAWTIIGTLWFTSARNCLPEEGQKYGFLIWLLFSYCGLLCIACMSMGKWMTRRQAHLLRAQQGIPISEYGVLVDMVRVPDWAFEAAGQEMRGMGQDTASYHPGLYLTPAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDEWLRLNVKCPRCRCSVFPNLDLSALSNLRSADSERSSASVVTTTQYVRTQPPSQSYLLRLQGLLRPVRTENAGAPNDADVAIETAENGGLNVAAQDSTGTETVPGGLGGHSTPPQH >EOY20692 pep chromosome:Theobroma_cacao_20110822:3:624153:628229:1 gene:TCM_012048 transcript:EOY20692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar-insensitive 3 isoform 1 MGWQQRYARFCGRIVVLSILSLLLYPFLWAWTIIGTLWFTSARNCLPEEGQKYGFLIWLLFSYCGLLCIACMSMGKWMTRRQAHLLRAQQGIPISEYGVLVDMVRVPDWAFEAAGQEMRGMGQDTASYHPGLYLTPAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDEWLRLNVKCPRCRCSVFPNLDLSALSNLRSADSERSSASVVTTTQYVRTQPPSQSYLLRLQGLLRPVRTENAGAPNDADVAIETAENGGLNVAAQDSTGTETVPGGLGGHSTPPQH >EOY22398 pep chromosome:Theobroma_cacao_20110822:3:23258882:23260480:1 gene:TCM_014575 transcript:EOY22398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEAQVATDMSTVFKEPESTNLLLPLLPCDPLGRFSEPSAKVMVAIQVSFFACGGITICACVDHVVADVAATATFLKIWAAVACGANDIDNDLICNRTSVCPSLDLSGFWKQFVDENKDKKVLTKRLLFDGSKIASLRNEIGNGLCSYRLSSSWCRIPFYETDFGWGKPIRTAIALGMERVVFFLDTKDGEGIE >EOY21408 pep chromosome:Theobroma_cacao_20110822:3:7452535:7466596:1 gene:TCM_012919 transcript:EOY21408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 QNGESSSEAGQNESSWGHSGGLKSSDFCTPETSYDCENPKESESPRFQAILRVTSGPRKRFPADIKSFSHELNSKGVRPFPLWKPRRLNNLEEILIAIRAKFDKAKEEVNADLAIFAADLVGILEKNAESHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELPPGTLKQLYTKMLFILTRCTRLLQFHKESGLAEDEPVIQLRQSRILHPVDKRTSSGVLREAKSLSASKASKSSKAASSKKAYSQEQHALDWKRDHVVLPGGLIAPTDDTPKNLESPASRDRIASWKKLPSPAKKGPKEVIASKEQNDNKIETLKRRGASDVDLAAMKLQELPPAKESQEHSSKHQHKVSWGYWGDQPNVSEESSIICRICEEEVATSNVEDHSRICAVADRCDQKGLSVDERLVRIAETLEKMTDSFANKDIQHVGSPDGAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSVFMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLLTPRTSQIDLLLSGKGAFSEQEDLPQMNELADIARCVANTPLVDDHSMPFLLSFLEELRLVIDRRKFDALTVETFGARIEKLIREKYLQLCELVDDEKVDITSTVIDEDAPLEDDVVRSLRTSPNHSSRDRTTIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASEHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPRVSEEMSLEAKDLIDRLLTEDPHQRLGARGASEVKQHVFFKDINWDTLARQKAAFVPTSESALDTSYFTSRYSWNTSDDHAYPGSEFDDSSDADSLSGSSSCLSNRQDEVVS >EOY21407 pep chromosome:Theobroma_cacao_20110822:3:7452535:7466596:1 gene:TCM_012919 transcript:EOY21407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MTPPGEFWLQCEGIVQELDDKRQELPPGTLKQLYTKMLFILTRCTRLLQFHKESGLAEDEPVIQLRQSRILHPVDKRTSSGVLREAKSLSASKASKSSKAASSKKAYSQEQHALDWKRDHVVLPGGLIAPTDDTPKNLESPASRDRIASWKKLPSPAKKGPKEVIASKEQNDNKIETLKRRGASDVDLAAMKLQELPPAKESQEHSSKHQHKVSWGYWGDQPNVSEESSIICRICEEEVATSNVEDHSRICAVADRCDQKGLSVDERLVRIAETLEKMTDSFANKDIQHVGSPDGAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSVFMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLLTPRTSQIDLLLSGKGAFSEQEDLPQMNELADIARCVANTPLVDDHSMPFLLSFLEELRLVIDRRKFDALTVETFGARIEKLIREKYLQLCELVDDEKVDITSTVIDEDAPLEDDVVRSLRTSPNHSSRDRTTIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASEHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPRVSEEMSLEAKDLIDRLLTEDPHQRLGARGASEVKQHVFFKDINWDTLARQKAAFVPTSESALDTSYFTSRYSWNTSDDHAYPGSEFDDSSDADSLSGSSSCLSNRQDEVGDECGGLAEFESGSSVNYSFSNFSFKNLSQLASINYDLLSKGWKDDHPANSNA >EOY21409 pep chromosome:Theobroma_cacao_20110822:3:7448616:7466906:1 gene:TCM_012919 transcript:EOY21409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MVFKNKLFFSSSKKSDSDGSNSPRSTSNSPIRSDKKKPKASTSKPDSQQIPNSTSPFSPVACKQTQVKDGLRRLNLKAKEPQPQTPAAKPSSSTSKKPDAKDGPSSVSPILASSLGLNRIKTRSGPLPQESFFSFRGEKSAAASVLGASNLSRPGGSSSVGGDGSSGKSGSGKKDGLNQRLLQESSLDNASNSDSMSTGSGGGGWHSREQSPSVQGKSRLQNGESSSEAGQNESSWGHSGGLKSSDFCTPETSYDCENPKESESPRFQAILRVTSGPRKRFPADIKSFSHELNSKGVRPFPLWKPRRLNNLEEILIAIRAKFDKAKEEVNADLAIFAADLVGILEKNAESHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELPPGTLKQLYTKMLFILTRCTRLLQFHKESGLAEDEPVIQLRQSRILHPVDKRTSSGVLREAKSLSASKASKSSKAASSKKAYSQEQHALDWKRDHVVLPGGLIAPTDDTPKNLESPASRDRIASWKKLPSPAKKGPKEVIASKEQNDNKIETLKRRGASDVDLAAMKLQELPPAKESQEHSSKHQHKVSWGYWGDQPNVSEESSIICRICEEEVATSNVEDHSRICAVADRCDQKGLSVDERLVRIAETLEKMTDSFANKDIQHVGSPDGAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSVFMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLLTPRTSQIDLLLSGKGAFSEQEDLPQMNELADIARCVANTPLVDDHSMPFLLSFLEELRLVIDRRKFDALTVETFGARIEKLIREKYLQLCELVDDEKVDITSTVIDEDAPLEDDVVRSLRTSPNHSSRDRTTIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASEHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPRVSEEMSLEAKDLIDRLLTEDPHQRLGARGASEVKQHVFFKDINWDTLARQKAAFVPTSESALDTSYFTSRYSWNTSDDHAYPGSEFDDSSDADSLSGSSSCLSNRQDEVGDECGGLAEFESGSSVNYSFSNFSFKNLSQLASINYDLLSKGWKDDHPANSNA >EOY21404 pep chromosome:Theobroma_cacao_20110822:3:7451876:7462120:1 gene:TCM_012919 transcript:EOY21404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MVFKNKLFFSSSKKSDSDGSNSPRSTSNSPIRSDKKKPKASTSKPDSQQIPNSTSPFSPVACKQTQVKDGLRRLNLKAKEPQPQTPAAKPSSSTSKKPDAKDGPSSVSPILASSLGLNRIKTRSGPLPQESFFSFRGEKSAAASVLGASNLSRPGGSSSVGGDGSSGKSGSGKKDGLNQRLLQESSLDNASNSDSMSTGSGGGGWHSREQSPSVQGKSRLQNGESSSEAGQNESSWGHSGGLKSSDFCTPETSYDCENPKESESPRFQAILRVTSGPRKRFPADIKSFSHELNSKGVRPFPLWKPRRLNNLEEILIAIRAKFDKAKEEVNADLAIFAADLVGILEKNAESHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELPPGTLKQLYTKMLFILTRCTRLLQFHKESGLAEDEPVIQLRQSRILHPVDKRTSSGVLREAKSLSASKASKSSKAASSKKAYSQEQHALDWKRDHVVLPGGLIAPTDDTPKNLESPASRDRIASWKKLPSPAKKGPKEVIASKEQNDNKIETLKRRGASDVDLAAMKLQELPPAKESQEHSSKHQHKVSWGYWGDQPNVSEESSIICRICEEEVATSNVEDHSRICAVADRCDQKGLSVDERLVRIAETLEKMTDSFANKDIQHVGSPDGAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSVFMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLLTPRTSQIDLLLSGKGAFSEQEDLPQMNELADIARCVANTPLVDDHSMPFLLSFLEELRLVIDRRKFDALTVETFGARIEKLIREKYLQLCELVDDEKVDITSTVIDEDAPLEDDVVRSLRTSPNHSSRDRTTIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASEHQQERRKKRSAVGTPDYLAPEILLGTGHGLYSPF >EOY21402 pep chromosome:Theobroma_cacao_20110822:3:7451608:7466596:1 gene:TCM_012919 transcript:EOY21402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MVFKNKLFFSSSKKSDSDGSNSPRSTSNSPIRSDKKKPKASTSKPDSQQIPNSTSPFSPVACKQTQVKDGLRRLNLKAKEPQPQTPAAKPSSSTSKKPDAKDGPSSVSPILASSLGLNRIKTRSGPLPQESFFSFRGEKSAAASVLGASNLSRPGGSSSVGGDGSSGKSGSGKKDGLNQRLLQESSLDNASNSDSMSTGSGGGGWHSREQSPSVQGKSRLQNGESSSEAGQNESSWGHSGGLKSSDFCTPETSYDCENPKESESPRFQAILRVTSGPRKRFPADIKSFSHELNSKGVRPFPLWKPRRLNNLEEILIAIRAKFDKAKEEVNADLAIFAADLVGILEKNAESHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELPPGTLKQLYTKMLFILTRCTRLLQFHKESGLAEDEPVIQLRQSRILHPVDKRTSSGVLREAKSLSASKASKSSKAASSKKAYSQEQHALDWKRDHVVLPGGLIAPTDDTPKNLESPASRDRIASWKKLPSPAKKGPKEVIASKEQNDNKIETLKRRGASDVDLAAMKLQELPPAKESQEHSSKHQHKVSWGYWGDQPNVSEESSIICRICEEEVATSNVEDHSRICAVADRCDQKGLSVDERLVRIAETLEKMTDSFANKDIQHVGSPDGAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSVFMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLLTPRTSQIDLLLSGKGAFSEQEDLPQMNELADIARCVANTPLVDDHSMPFLLSFLEELRLVIDRRKFDALTVETFGARIEKLIREKYLQLCELVDDEKVDITSTVIDEDAPLEDDVVRSLRTSPNHSSRDRTTIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASEHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPRVSEEMSLEAKDLIDRLLTEDPHQRLGARGASEVKQHVFFKDINWDTLARQKAAFVPTSESALDTSYFTSRYSWNTSDDHAYPGSEFDDSSDADSLSGSSSCLSNRQDEVGDECGGLAEFESGSSVNYSFSNFSFKNLSQLASINYDLLSKGWKDDHPANSNA >EOY21403 pep chromosome:Theobroma_cacao_20110822:3:7451608:7466596:1 gene:TCM_012919 transcript:EOY21403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MVFKNKLFFSSSKKSDSDGSNSPRSTSNSPIRSDKKKPKASTSKPDSQQIPNSTSPFSPVACKQTQVKDGLRRLNLKAKEPQPQTPAAKPSSSTSKKPDAKDGPSSVSPILASSLGLNRIKTRSGPLPQESFFSFRGEKSAAASVLGASNLSRPGGSSSVGGDGSSGKSGSGKKDGLNQRLLQESSLDNASNSDSMSTGSGGGGWHSREQSPSVQGKSRLQNGESSSEAGQNESSWGHSGGLKSSDFCTPETSYDCENPKESESPRFQAILRVTSGPRKRFPADIKSFSHELNSKGVRPFPLWKPRRLNNLEEILIAIRAKFDKAKEEVNADLAIFAADLVGILEKNAESHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELPPGTLKQLYTKMLFILTRCTRLLQFHKESGLAEDEPVIQLRQSRILHPVDKRTSSGVLREAKSLSASKASKSSKAASSKKAYSQEQHALDWKRDHVVLPGGLIAPTDDTPKNLESPASRDRIASWKKLPSPAKKGPKEVIASKEQNDNKIETLKRRGASDVDLAAMKLQELPPAKESQEHSSKHQHKVSWGYWGDQPNVSEESSIICRICEEEVATSNVEDHSRICAVADRCDQKGLSVDERLVRIAETLEKMTDSFANKDIQHVGSPDGAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSVFMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLLTPRTSQIDLLLSGKGAFSEQEDLPQMNELADIARCVANTPLVDDHSMPFLLSFLEELRLVIDRRKFDALTVETFGARIEKLIREKYLQLCELVDDEKVDITSTVIDEDAPLEDDVVRSLRTSPNHSSRDRTTIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASEHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPRVSEEMSLEAKDLIDRLLTEDPHQRLGARGASEVKQHVFFKDINWDTLARQKAAFVPTSESALDTSYFTSRYSWNTSDDHAYPGSEFDDSSDADSLSGSSSCLSNRQDEGDECGGLAEFESGSSVNYSFSNFSFKNLSQLASINYDLLSKGWKDDHPANSNA >EOY21406 pep chromosome:Theobroma_cacao_20110822:3:7451876:7465025:1 gene:TCM_012919 transcript:EOY21406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MVFKNKLFFSSSKKSDSDGSNSPRSTSNSPIRSDKKKPKASTSKPDSQQIPNSTSPFSPVACKQTQVKDGLRRLNLKAKEPQPQTPAAKPSSSTSKKPDAKDGPSSVSPILASSLGLNRIKTRSGPLPQESFFSFRGEKSAAASVLGASNLSRPGGSSSVGGDGSSGKSGSGKKDGLNQRLLQESSLDNASNSDSMSTGSGGGGWHSREQSPSVQGKSRLQNGESSSEAGQNESSWGHSGGLKSSDFCTPETSYDCENPKESESPRFQAILRVTSGPRKRFPADIKSFSHELNSKGVRPFPLWKPRRLNNLEEILIAIRAKFDKAKEEVNADLAIFAADLVGILEKNAESHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELPPGTLKQLYTKMLFILTRCTRLLQFHKESGLAEDEPVIQLRQSRILHPVDKRTSSGVLREAKSLSASKASKSSKAASSKKAYSQEQHALDWKRDHVVLPGGLIAPTDDTPKNLESPASRDRIASWKKLPSPAKKGPKEVIASKEQNDNKIETLKRRGASDVDLAAMKLQELPPAKESQEHSSKHQHKVSWGYWGDQPNVSEESSIICRICEEEVATSNVEDHSRICAVADRCDQKGLSVDERLVRIAETLEKMTDSFANKDIQHVGSPDGAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSVFMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLLTPRTSQIDLLLSGKGAFSEQEDLPQMNELADIARCVANTPLVDDHSMPFLLSFLEELRLVIDRRKFDALTVETFGARIEKLIREKYLQLCELVDDEKVDITSTVIDEDAPLEDDVVRSLRTSPNHSSRDRTTIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASEHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPRVSEEMSLEAKDLIDRLLTEDPHQRLGARGASEVSEAACILQRYQLGHPCEAKGCFCSHFRECT >EOY21405 pep chromosome:Theobroma_cacao_20110822:3:7451628:7466646:1 gene:TCM_012919 transcript:EOY21405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MVFKNKLFFSSSKKSDSDGSNSPRSTSNSPIRSDKKKPKASTSKPDSQQIPNSTSPFSPVACKQTQVKDGLRRLNLKAKEPQPQTPAAKPSSSTSKKPDAKDGPSSVSPILASSLGLNRIKTRSGPLPQESFFSFRGEKSAAASVLGASNLSRPGGSSSVGGDGSSGKSGSGKKDGLNQRLLQESSLDNASNSDSMSTGSGGGGWHSREQSPSVQGKSRLQNGESSSEAGQNESSWGHSGGLKSSDFCTPETSYDCENPKESESPRFQAILRVTSGPRKRFPADIKSFSHELNSKGVRPFPLWKPRRLNNLEEILIAIRAKFDKAKEEVNADLAIFAADLVGILEKNAESHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELPPGTLKQLYTKMLFILTRCTRLLQFHKESGLAEDEPVIQLRQSRILHPVDKRTSSGVLREAKSLSASKASKSSKAASSKKAYSQEQHALDWKRDHVVLPGGLIAPTDDTPKNLESPASRDRIASWKKLPSPAKKGPKEVIASKEQNDNKIETLKRRGASDVDLAAMKLQELPPAKESQEHSSKHQHKVSWGYWGDQPNVSEESSIICRICEEEVATSNVEDHSRICAVADRCDQKGLSVDERLVRIAETLEKMTDSFANKDIQHVGSPDGAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSVFMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLLTPRTSQIDLLLSGKGAFSEQEDLPQMNELADIARCVANTPLVDDHSMPFLLSFLEELRLVIDRRKFDALTVETFGARIEKLIREKYLQLCELVDDEKVDITSTVIDEDAPLEDDVVRSLRTSPNHSSRDRTTIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASEHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPRVSEEMSLEAKDLIDRLLTEDPHQRLGARGASEVVKQHVFFKDINWDTLARQKAAFVPTSESALDTSYFTSRYSWNTSDDHAYPGSEFDDSSDADSLSGSSSCLSNRQDEGDECGGLAEFESGSSVNYSFSNFSFKNLSQLASINYDLLSKGWKDDHPANSNA >EOY21401 pep chromosome:Theobroma_cacao_20110822:3:7451608:7466596:1 gene:TCM_012919 transcript:EOY21401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MVFKNKLFFSSSKKSDSDGSNSPRSTSNSPIRSDKKKPKASTSKPDSQQIPNSTSPFSPVACKQTQVKDGLRRLNLKAKEPQPQTPAAKPSSSTSKKPDAKDGPSSVSPILASSLGLNRIKTRSGPLPQESFFSFRGEKSAAASVLGASNLSRPGGSSSVGGDGSSGKSGSGKKDGLNQRLLQESSLDNASNSDSMSTGSGGGGWHSREQSPSVQGKSRLQNGESSSEAGQNESSWGHSGGLKSSDFCTPETSYDCENPKESESPRFQAILRVTSGPRKRFPADIKSFSHELNSKGVRPFPLWKPRRLNNLEEILIAIRAKFDKAKEEVNADLAIFAADLVGILEKNAESHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELPPGTLKQLYTKMLFILTRCTRLLQFHKESGLAEDEPVIQLRQSRILHPVDKRTSSGVLREAKSLSASKASKSSKAASSKKAYSQEQHALDWKRDHVVLPGGLIAPTDDTPKNLESPASRDRIASWKKLPSPAKKGPKEVIASKEQNDNKIETLKRRGASDVDLAAMKLQELPPAKESQEHSSKHQHKVSWGYWGDQPNVSEESSIICRICEEEVATSNVEDHSRICAVADRCDQKGLSVDERLVRIAETLEKMTDSFANKDIQHVGSPDGAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSVFMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLLTPRTSQIDLLLSGKGAFSEQEDLPQMNELADIARCVANTPLVDDHSMPFLLSFLEELRLVIDRRKFDALTVETFGARIEKLIREKYLQLCELVDDEKVDITSTVIDEDAPLEDDVVRSLRTSPNHSSRDRTTIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEQPQLSASEHQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPRVSEEMSLEAKDLIDRLLTEDPHQRLGARGASEVKQHVFFKDINWDTLARQKAAFVPTSESALDTSYFTSRYSWNTSDDHAYPGSEFDDSSDADSLSGSSSCLSNRQDEVGDECGGLAEFESGSSVNYSFSNFSFKNLSQLASINYDLLSKGWKDDHPANSNA >EOY24276 pep chromosome:Theobroma_cacao_20110822:3:30375688:30378193:1 gene:TCM_015926 transcript:EOY24276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like transcription factor family protein isoform 2 MLPRILSPGRLRVVKEKGVPWTEEEHRMFLLGLQKLGKGDWRGISRNYVISRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVADESVDTAMVSQDLFSANHLQAETQSNDQLPVPPPLDEEDESMDSNNSNDGEAVPPKSESSQPCYPVVYPAYFPPFIPFSFPYWMGGNTEPTKKDTHEVVKPTAVHSKSPINVDELVGMSKLSLGESIGDNGPSSLSLKLLDGSSRQSAFHANPASGSSSMNSSGSPIHAV >EOY24275 pep chromosome:Theobroma_cacao_20110822:3:30375315:30378299:1 gene:TCM_015926 transcript:EOY24275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like transcription factor family protein isoform 2 MDIVCPSFSLSVYLQRQPEREEPNKEKEEVCSFSSFKSRALTYMRHNGHNSRTCPNRGVKLFGVRLTDGSIRKSASMGNLSQYSGSNSGAHNGNGSGSPGEGPDHAEGYASEDFVPGSSSSRERKKGVPWTEEEHRMFLLGLQKLGKGDWRGISRNYVISRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVADESVDTAMVSQDLFSANHLQAETQSNDQLPVPPPLDEEDESMDSNNSNDGEAVPPKSESSQPCYPVVYPAYFPPFIPFSFPYWMGGNTEPTKKDTHEVVKPTAVHSKSPINVDELVGMSKLSLGESIGDNGPSSLSLKLLDGSSRQSAFHANPASGSSSMNSSGSPIHAV >EOY23750 pep chromosome:Theobroma_cacao_20110822:3:28463820:28464814:1 gene:TCM_015550 transcript:EOY23750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLALALSLAGEVVQDLVAELCSWEIFMGCIGLETSKLFLLSWHIGRASKAFLVLVGEPQNKDVISCAVLKRSKFC >EOY24106 pep chromosome:Theobroma_cacao_20110822:3:29644609:29657703:1 gene:TCM_015799 transcript:EOY24106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts 1 MDSQFETASQPDPATDAYTFLEFNTQGESDFEYTDFRDTIRSWPTPSDTTAADRSGSDHQSDTAASSSPSSASKGAGRGATSNNNSNSNSISNSGAVVDALATGISGLNFEETVGDEDGGYEYGKGDFAEHACRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKIPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQAVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEVQMVRNTLPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGAKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPCLSEFPSNSFYEGTLQNGVTINERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFFGGGPGIVPNDNIGSAASSSPNADRRSSRARGTYMPPGPPNGTHKPGVHPTGFPMPRVPLPPFPGSPSQPYAIPTRGAVHGPVGAVPQVPQPGSRGFGAGRGNAGAPIGSHLPHQQGTQQNVGTIGSTFNFPLENPNSQPSVGGPLSQPGFVNNMPVQGPSQTFRDGFSMGGMSQDFLGDDFKSQGSHVPYNVADFSTQASQSAYAVDYVTQGAQGGFPGNFLNQNSQAGYSRFGTGNDFMSQDYMNHGSQGLFTQVGFNDPSQDDASQSHFGVANPNQLQSQGLMNSLYSQPFAHYNTQPLNLQAPQQQQPQQGQGSQNQKLHYNG >EOY20665 pep chromosome:Theobroma_cacao_20110822:3:490998:491946:1 gene:TCM_012024 transcript:EOY20665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQLLACSVLRFYGPDNNNCISFRTGRPDGMTNLCFFLSQFTPYNEFSKPMSANPDTRSCTACFELAHRGKQYHIWNSFHLVHCGLTYCDMDQTELTLIISK >EOY21629 pep chromosome:Theobroma_cacao_20110822:3:14925403:14935137:1 gene:TCM_013603 transcript:EOY21629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein isoform 3 MHLWVTSSTYPPHLRFPVKSEAQVEEIRVCTNRTCRRQGSMQTFHILTALAPPDVSVKSCGCLGRCGAGPNVALLPDGQIVGHCGTAAGAAELMVGLWHGGGVGDACNKSKSKTSLDALALRMRAEALVDEGNFSKAERLLSQAIDLKPFGGVHILYKKRSVARLAIHNYSGALEDAAESLTLAPNYAGAYICQGDAFLAMDQYDAAQKSYSTCLEIDPSIRRSKSFKIRIAKLEEKLATINMSHDSISETKGVDRSNDSLTLEPPNLNTSTHEQEQYESKRPRLNPEEIDAFHIELILDYDQ >EOY21630 pep chromosome:Theobroma_cacao_20110822:3:14925517:14959830:1 gene:TCM_013603 transcript:EOY21630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein isoform 3 MHLWVTSSTYPPHLRFPVKSEAQVEEIRVCTNRTCRRQGSMQTFHILTALAPPDVSVKSCGCLGRCGAGPNVALLPDGQIVGHCGTAAGAAELMVGLWHGGGVGDACNKSKSKTSLDALALRMRAEALVDEGNFSKAERLLSQQAIDLKPFGGVHILYKKRSVARLAIHNYSGALEDAAESLTLAPNYAGAYICQGDAFLAMDQYDAAQKSYSTCLEIDPSIRRSKSFKIRIAKLEEKLATINMSHDSISET >EOY21632 pep chromosome:Theobroma_cacao_20110822:3:14925517:14936360:1 gene:TCM_013603 transcript:EOY21632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein isoform 3 MHLWVTSSTYPPHLRFPVKSEAQVEEIRVCTNRTCRRQGSMQTFHILTALAPPDVSVKSCGCLGRCGAGPNVALLPDGQIVGHCGTAAGAAELMVGLWHGGGVGDACNKSKSKTSLDALALRMRAEALVDEGNFSKAERLLSQAIDLKPFGGVHILYKKRSVARLAIHNYSGALEDAAESLTLAPNYAGAYICQGDAFLAMDQYDAAQKSYSTCLEIDPSIRRSKSFKIRIAKLEEKLATINMSHDSISET >EOY21631 pep chromosome:Theobroma_cacao_20110822:3:14925517:14935114:1 gene:TCM_013603 transcript:EOY21631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-containing protein isoform 3 MHLWVTSSTYPPHLRFPVKSEAQVEEIRVCTNRTCRRQGSMQTFHILTALAPPDVSVKSCGCLGRCGAGPNVALLPDGQIVGHCGTAAGAAELMVGLWHGGGVGDACNKSKSKTSLDALALRMRAEALVDEGNFSKAERLLSQAIDLKPFGGVHILYKKRSVARLAIHNYSGALEDAAESLTLAPNYAGAYICQGDAFLAMDQYDAAQKSYSTCLEIDPSIRRSKSFKIRIAKLEEKLATINMSHDSISET >EOY23387 pep chromosome:Theobroma_cacao_20110822:3:27229273:27240506:-1 gene:TCM_015298 transcript:EOY23387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Timeless family protein, putative isoform 4 MDMEGLSVICASLGILEEDQTTKQIVYTKGEHCLDALKDLLRFLRRDNPETREVFKQVCRWNIVSKNLIPIIEHWQHDRNLVLNAVKVLVFLSMPIEPSSSDVPQQIEYLWDIKFSLTGSDAVAVIVSLLEGPLENLEYEVFTEDDWKLVQLVVTLFRNVLAIQDFSLLQKAGQFLSLRDRFLELLFRENVMELIIVITQHIGGSRGYLRQDNLLLLETFHYIFMNQDPELLSKAHLKGSTEGGDAKACIDDLKSIMEEEAKKRRVSRLHHTGRHSQFSGTFTRLTMDGSTAVYKGNPDSASQNVLLKSHKGHGISTQKIVRGHGQLPSTRNNILELLHDFVNQFLSGGYNVLMKSIREDIEKEHHAIQKGDIIVFFKVAEFVTSFQYHKFLTSKPTVENPTPEVSADKCADSTFFKGDICGPIAASMNESMFQLVISRWRNAFEGLKETNDYKFLSAASSLMKNMIRMLDLVLNLFPEDSKEPRTARMLLYKLFYDQTDQGMTQFLLNLIKMFNSRKQPKSDLADLVEMMHLIIQLMENLQARGSLRVSKKSRKGRKKKVVSDNVTKSEQFEDHAAAPDGVGTSVCEQSAAYVSEKESPVKGTSDWKEDTSTPLLVDELGKSETKMECPGDLPQVDNNTPGHADDDLCCSTDDSSGDEQPATVNEVDFKVSTLTSAFANCSIIQNLCWLLKFYRSNSINTNHYILGMLRKITDDLELAPMLYQLSLLTTFYDILEEQKSCPSEEHADIVGFITSLVRNMLKKMKNQPLLFIEILFWKTRRECHYINAEYLLHELGHWKKGSKTQDSAPRNGEIGSSEASEWVGRSIADALGEDEADVVISHERGHLNGENSMENKTGKINERKRRLVLNDDMETKLKELYEKFKDHPNCIRLIAESLDPDGGILPAQVSNKLKQLGLKVAPKKRTRGSDQQGDKSTLHDSNDLEGSSQRQPLNTRKRVRAFSKDQEAMIKDLFEQYKDHRRCSYMIANALDADNMFTAAQVSRKLKQLGLHVPRQKRSEDNMHLRDEELNDLSADETCDSDNETLLSFRNSDGSNQFSEIDVIFHQESRDTVNLSRVSTENIEGELAHQQAEDELADSGDDVASGSFVESTINRRKLRMVIDPEDDD >EOY23390 pep chromosome:Theobroma_cacao_20110822:3:27230714:27240506:-1 gene:TCM_015298 transcript:EOY23390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Timeless family protein, putative isoform 4 MDMEGLSVICASLGILEEDQTTKQIVYTKGEHCLDALKDLLRFLRRDNPETREVFKQVCRWNIVSKNLIPIIEHWQHDRNLVLNAVKVLVFLSMPIEPSSSDVPQQIEYLWDIKFSLTGSDAVAVIVSLLEGPLENLEYEVFTEDDWKLVQLVVTLFRNVLAIQDFSLLQKAGQFLSLRDRFLELLFRENVMELIIVITQHIGGSRGYLRQDNLLLLETFHYIFMNQDPELLSKAHLKGSTEGGDAKACIDDLKSIMEEEAKKRRVSRLHHTGRHSQFSGTFTRLTMDGSTAVYKGNPDSASQNVLLKSHKGHGISTQKIVRGHGQLPSTRNNILELLHDFVNQFLSGGYNVLMKSIREDIEKEHHAIQKGDIIVFFKVAEFVTSFQYHKFLTSKPTVENPTPEVSADKCADSTFFKGDICGPIAASMNESMFQLVISRWRNAFEGLKETNDYKFLSAASSLMKNMIRMLDLVLNLFPEDSKEPRTARMLLYKLFYDQTDQGMTQFLLNLIKMFNSRKQPKSDLADLVEMMHLIIQLMENLQARGSLRVSKKSRKGRKKKVVSDNVTKSEQFEDHAAAPDGVGTSVCEQSAAYVSEKESPVKGTSDWKEDTSTPLLVDELGKSETKMECPGDLPQVDNNTPGHADDDLCCSTDDSSGDEQPATVNEVDFKVSTLTSAFANCSIIQNLCWLLKFYRSNSINTNHYILGMLRKITDDLELAPMLYQLSLLTTFYDILEEQKSCPSEEHADIVGFITSLVRNMLKKMKNQPLLFIEILFWKTRRECHYINAEYLLHELGHWKKGSKTQDSAPRNGEIGSSEASEWVGRSIADALGEDEADVVISHERGHLNGENSMENKTGKINERKRRLVLNDDMETKLKELYEKFKDHPNCIRLIAESLDPDGGILPAQVSNKLKQLGLKVAPKKRTRGSDQQGDKSTLHDSNDLEGSSQRQPLNTRKRVRAFSKDQEAMIKDLFEQYKDHRRCSYMIANALDADNMFTAAQVSRKLKQLGLHVPRQKRSEDNMHLRDEELNDLSADETCDSDNETLLSFRNRKTRKLPLNSKNEKLATITTRERIDKISEVVVANDVTENDGSNQFSE >EOY23391 pep chromosome:Theobroma_cacao_20110822:3:27231061:27240506:-1 gene:TCM_015298 transcript:EOY23391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Timeless family protein, putative isoform 4 MDMEGLSVICASLGILEEDQTTKQIVYTKGEHCLDALKDLLRFLRRDNPETREVFKQVCRWNIVSKNLIPIIEHWQHDRNLVLNAVKVLVFLSMPIEPSSSDVPQQIEYLWDIKFSLTGSDAVAVIVSLLEGPLENLEYEVFTEDDWKLVQLVVTLFRNVLAIQDFSLLQKAGQFLSLRDRFLELLFRENVMELIIVITQHIGGSRGYLRQDNLLLLETFHYIFMNQDPELLSKAHLKGSTEGGDAKACIDDLKSIMEEEAKKRRVSRLHHTGRHSQFSGTFTRLTMDGSTAVYKGNPDSASQNVLLKSHKGHGISTQKIVRGHGQLPSTRNNILELLHDFVNQFLSGGYNVLMKSIREDIEKEHHAIQKGDIIVFFKVAEFVTSFQYHKFLTSKPTVENPTPEVSADKCADSTFFKGDICGPIAASMNESMFQLVISRWRNAFEGLKETNDYKFLSAASSLMKNMIRMLDLVLNLFPEDSKEPRTARMLLYKLFYDQTDQGMTQFLLNLIKMFNSRKQPKSDLADLVEMMHLIIQLMENLQARGSLRVSKKSRKGRKKKVVSDNVTKSEQFEDHAAAPDGVGTSVCEQSAAYVSEKESPVKGTSDWKEDTSTPLLVDELGKSETKMECPGDLPQVDNNTPGHADDDLCCSTDDSSGDEQPATVNEVDFKVSTLTSAFANCSIIQNLCWLLKFYRSNSINTNHYILGMLRKITDDLELAPMLYQLSLLTTFYDILEEQKSCPSEEHADIVGFITSLVRNMLKKMKNQPLLFIEILFWKTRRECHYINAEYLLHELGHWKKGSKTQDSAPRNGEIGSSEASEWVGRSIADALGEDEADVVISHERGHLNGENSMENKTGKINERKRRLVLNDDMETKLKELYEKFKDHPNCIRLIAESLDPDGGILPAQVSNKLKQLGLKVAPKKRTRGSDQQGDKSTLHDSNDLEGSSQRQPLNTRKRVRAFSKDQEAMIKDLFEQYKDHRRCSYMIANALDADNMFTAAQVSRKLKQLGLHVPRQKRSEDNMHLRDEELNDLSADETCDSDNETLLSFRNRNKDKDRLFSQEFPAQNVEGRISDDTDDKTLSSVLKKTRKLPLN >EOY23389 pep chromosome:Theobroma_cacao_20110822:3:27230714:27240506:-1 gene:TCM_015298 transcript:EOY23389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Timeless family protein, putative isoform 4 MDMEGLSVICASLGILEEDQTTKQIVYTKGEHCLDALKDLLRFLRRDNPETREVFKQVCRWNIVSKNLIPIIEHWQHDRNLVLNAVKVLVFLSMPIEPSSSDVPQQIEYLWDIKFSLTGSDAVAVIVSLLEGPLENLEYEVFTEDDWKLVQLVVTLFRNVLAIQDFSLLQKAGQFLSLRDRFLELLFRENVMELIIVITQHIGGSRGYLRQDNLLLLETFHYIFMNQDPELLSKAHLKGSTEGGDAKACIDDLKSIMEEEAKKRRVSRLHHTGRHSQFSGTFTRLTMDGSTAVYKGNPDSASQNVLLKSHKGHGISTQKIVRGHGQLPSTRNNILELLHDFVNQFLSGGYNVLMKSIREDIEKEHHAIQKGDIIVFFKVAEFVTSFQYHKFLTSKPTVENPTPEVSADKCADSTFFKGDICGPIAASMNESMFQLVISRWRNAFEGLKETNDYKFLSAASSLMKNMIRMLDLVLNLFPEDSKEPRTARMLLYKLFYDQTDQGMTQFLLNLIKMFNSRKQPKSDLADLVEMMHLIIQLMENLQARGSLRVSKKSRKGRKKKVVSDNVTKSEQFEDHAAAPDGVGTSVCEQSAAYVSEKESPVKGTSDWKEDTSTPLLVDELGKSETKMECPGDLPQVDNNTPGHADDDLCCSTDDSSGDEQPATVNEVDFKVSTLTSAFANCSIIQNLCWLLKFYRSNSINTNHYILGMLRKITDDLELAPMLYQLSLLTTFYDILEEQKSCPSEEHADIVGFITSLVRNMLKKMKNQPLLFIEILFWKTRRECHYINAEYLLHELGHWKKGSKTQDSAPRNGEIGSSEASEWVGRSIADALGEDEADVVISHERGHLNGENSMENKTGKINERKRRLVLNDDMETKLKELYEKFKDHPNCIRLIAESLDPDGGILPAQVSNKLKQLGLKVAPKKRTRGSDQQGDKSTLHDSNDLEGSSQRQPLNTRKRVRAFSKDQEAMIKDLFEQYKDHRRCSYMIANALDADNMFTAAQVSRKLKQLGLHVPRQKRSEDNMHLRDEELNDLSADETCDSDNETLLSFRNRNKDKDRLFSQEFPAQNVEGRISDDTDDKTLSSVLNDGSNQFSE >EOY23388 pep chromosome:Theobroma_cacao_20110822:3:27230508:27240301:-1 gene:TCM_015298 transcript:EOY23388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Timeless family protein, putative isoform 4 MDMEGLSVICASLGILEEDQTTKQIVYTKGEHCLDALKDLLRFLRRDNPETREVFKQVCRWNIVSKNLIPIIEHWQHDRNLVLNAVKVLVFLSMPIEPSSSDVPQQIEYLWDIKFSLTGSDAVAVIVSLLEGPLENLEYEVFTEDDWKLVQLVVTLFRNVLAIQDFSLLQKAGQFLSLRDRFLELLFRENVMELIIVITQHIGGSRGYLRQDNLLLLETFHYIFMNQDPELLSKAHLKGSTEGGDAKACIDDLKSIMEEEAKKRRVSRLHHTGRHSQFSGTFTRLTMDGSTAVYKGNPDSASQNVLLKSHKGHGISTQKIVRGHGQLPSTRNNILELLHDFVNQFLSGGYNVLMKSIREDIEKEHHAIQKGDIIVFFKVAEFVTSFQYHKFLTSKPTVENPTPEVSADKCADSTFFKGDICGPIAASMNESMFQLVISRWRNAFEGLKETNDYKFLSAASSLMKNMIRMLDLVLNLFPEDSKEPRTARMLLYKLFYDQTDQGMTQFLLNLIKMFNSRKQPKSDLADLVEMMHLIIQLMENLQARGSLRVSKKSRKGRKKKVVSDNVTKSEQFEDHAAAPDGVGTSVCEQSAAYVSEKESPVKGTSDWKEDTSTPLLVDELGKSETKMECPGDLPQVDNNTPGHADDDLCCSTDDSSGDEQPATVNEVDFKVSTLTSAFANCSIIQNLCWLLKFYRSNSINTNHYILGMLRKITDDLELAPMLYQLSLLTTFYDILEEQKSCPSEEHADIVGFITSLVRNMLKKMKNQPLLFIEILFWKTRRECHYINAEYLLHELGHWKKGSKTQDSAPRNGEIGSSEASEWVGRSIADALGEDEADVVISHERGHLNESGWCSGENSMENKTGKINERKRRLVLNDDMETKLKELYEKFKDHPNCIRLIAESLDPDGGILPAQVSNKLKQLGLKVAPKKRTRGSDQQGDKSTLHDSNDLEGSSQRQPLNTRKRVRAFSKDQEAMIKDLFEQYKDHRRCSYMIANALDADNMFTAAQVSRKLKQLGLHVPRQKRSEDNMHLRDEELNDLSADETCDSDNETLLSFRNSDGSNQFSEIDVIFHQESRDTVNLSRVSTENIEGELAHQQAEDELADSGDDVASGSFVESTINRRKLRMVIDPEDDD >EOY22315 pep chromosome:Theobroma_cacao_20110822:3:22974019:22977568:1 gene:TCM_014528 transcript:EOY22315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLISPLMRESKSGDWISQLPNDIFIFILSSVTVKEAVATSLPSKRWRYLWAYISRLDFVLLIVCETYCISHLRFCDVAEEKFIHWVNSVVKMHQGLTLDEFRIDFPYLHGSPHLVNLKYSGPSLKLKYLSSPAIDAGLKCIEICNINIVSFKYFGRKLILQLKNLPRLVELYVSPGYRRRLSYAFAQVSCIFSQLLSWVKPKMRRQVVETVERPHQHPKVVELAGCYGRTTDVELAIYFIQNAVALKKMIINPRNQEMDSEKEIS >EOY25222 pep chromosome:Theobroma_cacao_20110822:3:33604330:33608981:-1 gene:TCM_016603 transcript:EOY25222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase protein 30 MGRLHGSLSETLTPFSLLFFFFSWFSGFTFAAFNLTTLSFDEGYSPLFGDGNLVRSPDGHSVRLLLDVYTGSGFISSSMYQHGFFSAKIKLPSDYTAGIVVAFYTSNGDVFEKNHDELDFEFLGNIEGKPWRFQTNLYGNGSTNRGREERYSLWFDPSKEFHRYSILWTVKNIIFYIDEVPIREVVRNDEMGGDYPSKPMSLYATIWDASSWATSGGKYKVNYKYAPFTSEFKELVLEGCPTDPIQEFTNASACSDRHAWLESRDYALITPEQRAAMRRFRQRYMYYSYCYDSLRYPVTPPECVIYPTEKERFQDSGRLKFGGSHRKQARITRARRKRRSRVASISNDQTDM >EOY23679 pep chromosome:Theobroma_cacao_20110822:3:28207023:28213751:1 gene:TCM_015493 transcript:EOY23679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEAAASVAATRSGSLPMPSPSRKEWRAVSDHHAVRNPGNEVELERSKLGQSDERTIYEHGREPADVDFCSITVDGSLDDDILQQRIHNVTRQREELQQMEVELRAQAIARSRILEMQSSCDAKIKAHANAASKLEEQLHESEQAIHELERKMEEKERELHAIKVEKEEAWAKEDLLREQNKELATFRRERDHSEAERAQHIKQIHDLQEHVQEKERQLIELQEQYRAAQETILYKDEQLREAQTWISRVQEMDALQSSTNHSLQAELRERTEQYNQLWHGCQRQFAEMERLHLHTVHQLQLELADARERNGSYTDESHISQANSKDLSQFGQNNGNQVDSNGSGATNANAGVISNGTSDNVQSFASAGNAPTQNQNDHVSSVPIAPSSLLGMPTYLPPGQVTALHSFVMHQQGVPPSVASHVGHYSMPAMSSIQQWQNQQTASEGFQRSAHNQLPPSQTDQSLGRSDVKYDYEMSVNGQTIHPDYLDHISQGPEANSVMSSSAGKAQVLESINTSYVVDPQPEPSLQQVSSQFHDALRLGTLEQSCESKEQNILNMNNHVLENQVLAAEGASTAASPSPPDTSVHSVNFSETTINDGTDATLPEKSVSTGQTILISAKTSETALLDERSLLACIVRTVPTGGRIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVASHPELFVIEGDYIQLREGAQEMIAATAAVAKVAAAAAASSPYSSFLPSVAVTPMAQPNRLKKVLPSIDSNHVKNENAVFKEYAAISKNAADNRSQLLGMQNQHANGICFGVAGGLSNVKILSKSKDPAEINGANFERSSVTSVESKGSGHGRSNSNFVGKQQGRAGQLGQHYLLEDSGCIYLN >EOY23677 pep chromosome:Theobroma_cacao_20110822:3:28206877:28213383:1 gene:TCM_015493 transcript:EOY23677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEAAASVAATRSGSLPMPSPSRKEWRAVSDHHAVRNPGNEVELERSKLGQSDERTIYEVQHGREPADVDFCSITVDGSLDDDILQQRIHNVTRQREELQQMEVELRAQAIARSRILEMQSSCDAKIKAHANAASKLEEQLHESEQAIHELERKMEEKERELHAIKVEKEEAWAKEDLLREQNKELATFRRERDHSEAERAQHIKQIHDLQEHVQEKERQLIELQEQVIENYDQAAFPNSSLILQYRAAQETILYKDEQLREAQTWISRVQEMDALQSSTNHSLQAELRERTEQYNQLWHGCQRQFAEMERLHLHTVHQLQLELADARERNGSYTDESHISQANSKDLSQFGQNNGNQVDSNGSGATNANAGVISNGTSDNVQSFASAGNAPTQNQNDHVSSVPIAPSSLLGMPTYLPPGQVTALHSFVMHQQGVPPSVASHVGHYSMPAMSSIQQWQNQQTASEGFQRSAHNQLPPSQTDQSLGRSDVKYDYEMSVNGQTIHPDYLDHISQGPEANSVMSSSAGKAQVLESINTSYVVDPQPEPSLQQVSSQFHDALRLGTLEQSCESKEQNILNMNNHVLENQVLAAEGASTAASPSPPDTSVHSVNFSETTINDGTDATLPEKSVSTGQTILISAKTSETALLDERSLLACIVRTVPTGGRIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVASHPELFVIEGDYIQLREGAQEMIAATAAVAKVAAAAAASSPYSSFLPSVAVTPMAQPNRLKKVLPSIDSNHVKNENAVFKEYAAISKNAADNRSQLLGMQNQHANGICFGVAGGLSNVKILSKSKDPAEINGANFERSSVTSVESKGSGHGRSNSNFVGKQQGRATGAALSSRR >EOY23678 pep chromosome:Theobroma_cacao_20110822:3:28207023:28213751:1 gene:TCM_015493 transcript:EOY23678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MEAAASVAATRSGSLPMPSPSRKEWRAVSDHHAVRNPGNEVELERSKLGQSDERTIYEHGREPADVDFCSITVDGSLDDDILQQRIHNVTRQREELQQMEVELRAQAIARSRILEMQSSCDAKIKAHANAASKLEEQLHESEQAIHELERKMEEKERELHAIKVEKEEAWAKEDLLREQNKELATFRRERDHSEAERAQHIKQIHDLQEHVQEKERQLIELQEQYRAAQETILYKDEQLREAQTWISRVQEMDALQSSTNHSLQAELRERTEQYNQLWHGCQRQFAEMERLHLHTVHQLQLELADARERNGSYTDESHISQANSKDLSQFGQNNGNQVDSNGSGATNANAGVISNGTSDNVQSFASAGNAPTQNQNDHVSSVPIAPSSLLGMPTYLPPGQVTALHSFVMHQQGVPPSVASHVGHYSMPAMSSIQQWQNQQTASEGFQRSAHNQLPPSQTDQSLGRSDVKYDYEMSVNGQTIHPDYLDHISQGPEANSVMSSSAGKAQVLESINTSYVVDPQPEPSLQQVSSQFHDALRLGTLEQSCESKEQNILNMNNHVLENQVLAAEGASTAASPSPPDTSVHSVNFSETTINDGTDATLPEKSVSTGQTILISAKTSETALLDERSLLACIVRTVPTGGRIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVASHPELFVIEGDYIQLREGAQEMIAATAAVAKVAAAAAASSPYSSFLPSVAVTPMAQPNRLKKVLPSIDSNHVKNENAVFKEYAAISKNAADNRSQLLGMQNQHANGICFGVAGGLSNVKILSKSKDPAEINGANFERSSVTSVESKGSGHGRSNSNFVGKQQGRATGAALSSRR >EOY24682 pep chromosome:Theobroma_cacao_20110822:3:31907579:31908231:-1 gene:TCM_016221 transcript:EOY24682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-9 MRQAGTYSGILSGGISGRTGPHSLPLARIKKIMKKSGDDVKMISGEAPIVFSKACELFIKELTQRSWMVTMQGKRRTLNKEDVTSAVVATDIFDFLVNLVSEDSGDTVDATPLEMDTFTSSS >EOY25211 pep chromosome:Theobroma_cacao_20110822:3:33568232:33571697:1 gene:TCM_016598 transcript:EOY25211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Las1-like family protein, putative isoform 2 MEPLLGFEEETIAAYNEELSSSSSSNGYKLVPWLNWTEWESVRKSLFSSSPAKISSALSRISAWRSRGCLPVVIDVTASIIEIQQTDPFFRGDKSKDDLHSEQMLAMLYCMAILRLVNCVVEKTRKRTGISIADAADAIGIPRKLIDVRHEGSHRELPALAVVRDSSIVALNWLKSYYWEPQKKQIPLQGDGAVNIGKEIKSKLRELDFCIKAQQSAELGSSLVKGKGSRQFNHLCGSNKFFSLMAGKVHLSQSGGLKKQIAKTLKSLVSLYSTSSSEVVSVLLEFLLKALDSSSFLDLAKDSKAGRDKHASWDDWELVITKFSKREPDFLLALLQRVLDMIGTNEALKYQTEGHLTSSDRSREACQVEHLSSLFAWLVRHLLELKPAKGSGGKSMSNENFTELLRKCLLVSAFGNKHLMDSALHLAQLVGNSVLMEKLNKLRSLGLSSTGDIGENSSLEISKTVRQEQESANQAAKKLELVKLRRMKSTFVKTADDVRNSNRWVVAKSWNPCPLGMLPRALGSSGRMPVLDCHNDCQRDTELVEGKENRELNPCSGKRKASDDIQLLDLSSPKKVKETIGNHESGGEDVSSPDTSGLLMMDGVWKKVAQTELQSISSAVRILV >EOY25212 pep chromosome:Theobroma_cacao_20110822:3:33569342:33571495:1 gene:TCM_016598 transcript:EOY25212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Las1-like family protein, putative isoform 2 ALNWLKSYYWEPQKKQIPLQGDGAVNIGKEIKSKLRELDFCIKAQQSAELGSSLVKGKGSRQFNHLCGSNKFFSLMAGKVHLSQSGGLKKQIAKTLKSLVSLYSTSSSEVVSVLLEFLLKALDSSSFLDLAKDSKAGRDKHASWDDWELVITKFSKREPDFLLALLQRVLDMIGTNEALKYQTGHLTSSDRSREACQVEHLSSLFAWLVRHLLELKPAKGSGGKSMSNENFTELLRKCLLVSAFGNKHLMDSALHLAQLVGNSVLMEKLNKLRSLGLSSTGDIGENSSLEISKTVRQEQESANQAAKKLELVKLRRMKSTFVKTADDVRNSNRWVVAKSWNPCPLGMLPRALGSSGRMPVLDCHNDCQRDTELVEGKENRELNPCSGKRKASDDIQLLDLSSPKKVKETIGNHESGGEDVSSPDTSGLLMMDGVWKKVAQTELQSISSAVRILV >EOY24196 pep chromosome:Theobroma_cacao_20110822:3:30041933:30047100:-1 gene:TCM_015866 transcript:EOY24196 gene_biotype:protein_coding transcript_biotype:protein_coding description:SU(VAR)3-9, putative MGVSDNMLHKETLKVASCSHSEGRLGRVSTENGHFAPAPKYKQRKVSVVRNFPPGCGRLAAPIDRPSEQAVKSHPGESSLEKTSARNYRPRRGVTVVRNFPPFCGRNAPPLSEEERMKWLTSLKDKGFNLEKFVNEEKPSEKTICTDVKQVIEDVQDVNALEGKIEGSAPTLSAEEIRSKPEELASEKMRKLCAYEASSRNDMDEDKEDMREKSIKSPCETYPNEFDSKSKQVSETSDGYVRGLEENPIHDIVIYAEDKSFETKLSDSPAFEDQLLEEDCGSQEVLLDGSIVQGLMASSTCPLPQGKVTCKRDLGGVSFKRKRKNNFILLPRANHALVANKNEAESPEETCIKKNSSPTRPYKGLGQVVIRDKEESFQQDGLYTDDNFALRSYSYDVSLPPSCPSSVCHDNDAITTRNKVRETLRLFQAICRKLLQEEESKLNGEGKTFKRVDIQAAKILKEKGKYINTGKQIIGPVPGVEVGDEFHYFVELNIVGLHRQSQGGIDYVKQGDRIIATSVIASGGYDNDLDNSDILTYMGQGGNVMQKGKQPEDQKLERGNLALANSIFVKNPVRVIRGETRSSDLLEGRGKTYVYDGLYLVEECKQESGPHGKLVYKFKLVRIPGQPELAWKVVKKSNKSKVWEGLCAHDISQGKEVIPICAINTIDSEKPPPFVYVPHMIYPDWCHPIPPKGCDCIDGCSESGKCSCAMKNGGEIPYNHNGAIVEAKRLVYECGPTCKCPASCYNRVSQRGIKFQLEIFKTESRGWGVRSLNSIPSGSFICEYAGELLEDREAEERTGNDEYLFDIGNNYSESSLWDGLSTLMPDVHSSVCQVVQDSGFTIDAAQHGNVGRFINHSCSPNLYAQNVLYDHDDRRIPHIMLFAAENIPPLQELTYHYNYMIDQVRDENGNIKKKFCYCGSSECTGRLY >EOY22893 pep chromosome:Theobroma_cacao_20110822:3:25206349:25211590:1 gene:TCM_014930 transcript:EOY22893 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1 interacting protein 2 isoform 4 MFQALARDRLERLNASPSATPWAYFRVFSVFLFVISVNFFWIWLSFVIYRTLNSSMFLLLFFEPLSIAFETMQAILVHGFQLLEISLHSVGNSADCQRAKHFDLSAAGSFWEWKGILIRNLGFFLDMATLLMALGHYVLIWWLHGMAFHLVDAVLFLNIRVNICALLSAIVKRIKGFIKLRMALGALHAALPDATSEEIRAYEDECAICREPMAKAKKLHCNHLFHLACLRSWLDQGLNEVYSCPTCRKPLFLGRPENEANSRTGEALTDEQLARQINSGLDRQNVPGHTLPAGVFPNQMQNPVDGSPWRTAGLDSGWLHSWPNQSVDGAGPSTGIRSVGLGRVHMMMRHLASVGETYAQTALEDTAWSLWPMNPSQAAASTSSVPPNVGGRYPGNAGSLHMRTASRTANDNIANILAMAETVREVLPHVPDELIFQDLQRTNSVTVTVNNLLQM >EOY22895 pep chromosome:Theobroma_cacao_20110822:3:25203591:25211639:1 gene:TCM_014930 transcript:EOY22895 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1 interacting protein 2 isoform 4 MGVSYLAISAVSTALSFVGLQLWTEFSLDKLQTDGLISENIIHTENVNRALELLLGSYATVALLAIFVLNVFILLILSLKTVFFGELYPSETRKLVERLINYVIYKGTFLPLVIPPTIFQAGLWSIWLTVICCLKMFQALARDRLERLNASPSATPWAYFRVFSVFLFVISVNFFWIWLSFVIYRTLNSSMFLLLFFEPLSIAFETMQALLSAIVKRIKGFIKLRMALGALHAALPDATSEEIRAYEDECAICREPMAKAKKLHCNHLFHLACLRSWLDQGLNEVYSCPTCRKPLFLGRPENEANSRTGEALTDEQLARQINSGLDRQNVPGHTLPAGVFPNQMQNPVDGSPWRTAGLDSGWLHSWPNQSVDGAGPSTGIRSVGLGRVHMMMRHLASVGETYAQTALEDTAWSLWPMNPSQAAASTSSVPPNVGGRYPGNAGSLHMRTASRTANDNIANILAMAETVREVLPHVPDELIFQVMLGFTARTNSVTVTVNNLLQM >EOY22892 pep chromosome:Theobroma_cacao_20110822:3:25203718:25211590:1 gene:TCM_014930 transcript:EOY22892 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1 interacting protein 2 isoform 4 MGVSYLAISAVSTALSFVGLQLWTEFSLDKLQTDGLISENIIHTENVNRALELLLGSYATVALLAIFVLNVFILLILSLKTVFFGELYPSETRKLVERLINYVIYKGTFLPLVIPPTIFQAGLWSIWLTVICCLKMFQALARDRLERLNASPSATPWAYFRVFSVFLFVISVNFFWIWLSFVIYRTLNSSMFLLLFFEPLSIAFETMQAILVHGFQLLEISLHSVGNSADCQRAKHFDLSAAGSFWEWKGILIRNLGFFLDMATLLMALGHYVLIWWLHGMAFHLVDAVLFLNIRALLSAIVKRIKGFIKLRMALGALHAALPDATSEEIRAYEDECAICREPMAKAKKLHCNHLFHLACLRSWLDQGLNEVYSCPTCRKPLFLGRPENEANSRTGEALTDEQLARQINSGLDRQNVPGHTLPAGVFPNQMQNPVDGSPWRTAGLDSGWLHSWPNQSVDGAGPSTGIRSVGLGRVHMMMRHLASVGETYAQTALEDTAWSLWPMNPSQAAASTSSVPPNVGGRYPGNAGSLHMRTASRTANDNIANILAMAETVREVLPHVPDELIFQDLQRTNSVTVTVNNLLQM >EOY22894 pep chromosome:Theobroma_cacao_20110822:3:25206349:25211590:1 gene:TCM_014930 transcript:EOY22894 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1 interacting protein 2 isoform 4 MFQALARDRLERLNASPSATPWAYFRVFSVFLFVISVNFFWIWLSFVIYRTLNSSMFLLLFFEPLSIAFETMQAILVHGFQLLEISLHSVGNSADCQRAKHFDLSAAGSFWEWKGILIRNLGFFLDMATLLMALGHYVLIWWLHGMAFHLVDAVLFLNIRALLSAIVKRIKGFIKLRMALGALHAALPDATSEEIRAYEDECAICREPMAKAKKLHCNHLFHLACLRSWLDQGLNEVYSCPTCRKPLFLGRPENEANSRTGEALTDEQLARQINSGLDRQNVPGHTLPAGVFPNQMQNPVDGSPWRTAGLDSGWLHSWPNQSVDGAGPSTGIRSVGLGRVHMMMRHLASVGETYAQTALEDTAWSLWPMNPSQAAASTSSVPPNVGGRYPGNAGSLHMRTASRTANDNIANILAMAETVREVLPHVPDELIFQDLQRTNSVTVTVNNLLQM >EOY22061 pep chromosome:Theobroma_cacao_20110822:3:21165563:21172276:1 gene:TCM_014255 transcript:EOY22061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGSYVVNCDICLTFNSKNNVDSFGSYCTRSVVTDMHEDWVCEVCLSENDIDSLKPGQAEDVLDSSRKHCFELGRQVTCKRPKAVETGKVKFLPTEEVIKLSSGSPRKEFPLKSNFRSKPVPAKLTASLSKRSFMGPKTVGPSFNPIKVRSNPSFSQLGSSTPPRCGGLQIISSISQHAVKTSKESKEEKAPQALAKIYSSNEEPVSSVMSAKEVKTVNAKAMNKMKETLSASSTLTPKSDLVVPNKENVCKGQISDAILPNNKQTILPTKSEETMRSSRPSPSRLHTTIVERESWNIRLKVSLYRPHLPALHTTWMGGFKFLDVATPGEFYGGFLARPPCRVHRKAYEFSQKMPPVLQVNLLHQCHLQADILQNGCLDLCDIALYFYPVDYTERSQQNYSQLFQLMEIKNSVLTSYNDGVKLLIFTSTQLHLDTRDLFTRSNTDFFCGVFCHAKDNQMKVHQELPSLVSPLEYTHDDNANMNSSEAVDMDIDMVGGKIVGISDTAVSKASTRDFNEWAVKETLDAITNRKFSTVLEDLDSVIQPISLEFEQECCNDSDLPSKNTEKIKSTLTLEPLEHPLESSLCRLPSSMGETRIPPGFEGLMKLNSSNRAPKAPSAEEKNFLNERRIVSQVKDEIGCQGILQIAFGVSSPQRQGSIEDYSSRGSQKQHQVLACHLANDPSAACPPPCLSVKGTKVGSVIKIEGNDNEKPFEMREKKGLQSTLFLSKDKSKMVAGSFNGPCAVEVKAEMQAVDDRVNNSHGLHARLPSNMETSQCLRPVASTRVNLSVAGRSRGNTGAASAGGLIRDKLGKWIVGYNLNLGRCSSLSTDLWALFQGIKFTWDRGYRKVLVESDCVAAVECLRKAPSLLNSNIALIKSCRDLLNRKWDCKVHPIRREENLCANWLATHVEGCSPGLSIIKEPPLELNPLLENDCVRVARAHDSPSAVSFSDRKSH >EOY21430 pep chromosome:Theobroma_cacao_20110822:3:7878266:7879711:-1 gene:TCM_012960 transcript:EOY21430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MRLDDDMKLIVATRLLEKRARTWWSSVKSHSATPLTWSDFIREFDSQYFTYFYQREKKKEFLSLKQGNLIVEEYETRFNELMLYVLDLVKSEQDQANYFEEKLRNKIKERMTVIGREPHKEVVQMALRVEKLANENRRMLAEIVKRRNPSGFFSQPPKRGKDSFVSRSTTSAPITSFRPSVSQTQQRSPKFSKPEMTTSKKSFGGSDRCRHCGKYHVGLCKKLVRCFYCDQLGHYRSDCPQLGRAIVAISSPSAHTNVQRKDSTEVQPRSGVTIRSDVESNASAYPPPRPQTRISTRVFAVTEDEARVQLGAVTGTTILIDKYAYALIDSDLDKFQVSITFASFTSRNLSPLEEENVVHTPLGEKLIRNSCYRDYGVDMTVE >EOY21061 pep chromosome:Theobroma_cacao_20110822:3:2572444:2582796:-1 gene:TCM_012374 transcript:EOY21061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein-related isoform 4 MAFATGNSVDIQSLFQRYGFLRSIYKHHSIAEDEVIFPALDIRVKNVAKTYSLEHKGESNLFDHLFELLNSYMQADESFPRELASCTGALQTSISQHMAKEEEQVFPLLIEKFSLEEQASLVWQFLCSIPVNMMAEFLPWLSSFFSPDEYQDMKKCLSKIVPEEKLLQQVIFTWMEGRNGADISGKCHLNSTDGISQSLSSMTCPCESSKTGKRKYLEPSNNVLETDGTHPMNEILLWHNAIKRELNEIAEEARKIQLSGDFSNLSVFNERLQFVAEVCIFHSIAEDKVIFPAVDGELSFSQEHAEEESQFNEFRCLIESIQNAGAVSTSAAEFYSKLCEHADQIMETIRTHFHNEEVQVLPILRKNFSFKRQRELLYQSLCVMPLRLIERVLPWLVGSLTDNEAQNFLKNMQLAAPATDTALMTLYSGWACKGRNQGMCLSPHGNGCCVKRFTDIEEDFVRSCCACTSALCMKETCLSIHGDEVKRPVKKHTSESFKNGNASDQSDTADGHKPSCNERSCYVPGLGVKCNNLGLSSLSTAKSLRSLSFSSSAPSLNSSLFVWESDNNLSDIDSAERPIDTIFKFHKAISKDLEYLDVESGKLSDCDETFLRQFIGRFHLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEEKLFADINSVLSELSHLKESLSRGHVPENLTDNGTELYGAYDGDLLRKYNELATKLQGMCKSIRVTLDHHIFREELELWPLFGRYFSVEEQDKLVGRIIGTTGAEVLQSMLPWVTSALTQDEQNKMMDTWKQATKNTMFNEWLNECWKEPSQSSLQNEMSETGISLKENDFQESLDQSDQMFKPGWKDIFRMNQNELESEIRKVYRDSTLDPRRKAYLVQNLLTSRWIAAQQKLPQAASGETSNSEDVLGCSPSFRDTEKQIFGCEHYKRNCKLRAACCGKLFTCRFCHDEVSDHSMDR >EOY21060 pep chromosome:Theobroma_cacao_20110822:3:2572508:2582938:-1 gene:TCM_012374 transcript:EOY21060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein-related isoform 4 MAIPLPELQRREEAVVVSTSTVQTASFGGLSEEIEEKSPILMFLLFHKAVRNELDALHRLAMAFATGNSVDIQSLFQRYGFLRSIYKHHSIAEDEVIFPALDIRVKNVAKTYSLEHKGESNLFDHLFELLNSYMQADESFPRELASCTGALQTSISQHMAKEEEQVFPLLIEKFSLEEQASLVWQFLCSIPVNMMAEFLPWLSSFFSPDEYQDMKKCLSKIVPEEKLLQQVIFTWMEGRNGADISGKCHLNSTDGISQSLSSMTCPCESSKTGKRKYLEPSNNVLETDGTHPMNEILLWHNAIKRELNEIAEEARKIQLSGDFSNLSVFNERLQFVAEVCIFHSIAEDKVIFPAVDGELSFSQEHAEEESQFNEFRCLIESIQNAGAVSTSAAEFYSKLCEHADQIMETIRTHFHNEEVQVLPILRKNFSFKRQRELLYQSLCVMPLRLIERVLPWLVGSLTDNEAQNFLKNMQLAAPATDTALMTLYSGWACKGRNQGMCLSPHGNGCCVKRFTDIEEDFVRSCCACTSALCMKETCLSIHGDEVKRPVKKHTSESFKNGNASDQSDTADGHKPSCNERSCYVPGLGVKCNNLGLSSLSTAKSLRSLSFSSSAPSLNSSLFVWESDNNLSDIDSAERPIDTIFKFHKAISKDLEYLDVESGKLSDCDETFLRQFIGRFHLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEEKLFADINSVLSELSHLKESLSRGHVPENLTDNGTELYGAYDGDLLRKYNELATKLQGMCKSIRVTLDHHIFREELELWPLFGRYFSVEEQDKLVGRIIGTTGAEVLQSMLPWVTSALTQDEQNKMMDTWKQATKNTMFNEWLNECWKEPSQSSLQNEMSETGISLKENDFQESLDQSDQMFKPGWKDIFRMNQNELESEIRKVYRDSTLDPRRKAYLVQNLLTSRWIAAQQKLPQAASGETSNSEDVLGCSPSFRDTEKQIFGCEHYKRNCKLRAACCGKLFTCRFCHDEVSDHSMDRKATLEMMCMQCLKIQPVGPICTTPSCNGLPMAKYYCNICKFFDDERNVYHCPFCNLCRVGRGLGIDFFHCMTCNCCLGIKLVNHKCLEKGLETNCPICCDFLFTSSATVRALPCGHYMHSACFQVSSLDCAHISVCFFVSCFSMYNYSLKELWRMII >EOY21063 pep chromosome:Theobroma_cacao_20110822:3:2571119:2582811:-1 gene:TCM_012374 transcript:EOY21063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein-related isoform 4 MAFATGNSVDIQSLFQRYGFLRSIYKHHSIAEDEVIFPALDIRVKNVAKTYSLEHKGESNLFDHLFELLNSYMQADESFPRELASCTGALQTSISQHMAKEEEQVFPLLIEKFSLEEQASLVWQFLCSIPVNMMAEFLPWLSSFFSPDEYQDMKKCLSKIVPEEKLLQQVIFTWMEGRNGADISGKCHLNSTDGISQSLSSMTCPCESSKTGKRKYLEPSNNVLETDGTHPMNEILLWHNAIKRELNEIAEEARKIQLSGDFSNLSVFNERLQFVAEVCIFHSIAEDKVIFPAVDGELSFSQEHAEEESQFNEFRCLIESIQNAGAVSTSAAEFYSKLCEHADQIMETIRTHFHNEEVQVLPILRKNFSFKRQRELLYQSLCVMPLRLIERVLPWLVGSLTDNEAQNFLKNMQLAAPATDTALMTLYSGWACKGRNQGMCLSPHGNGCCVKRFTDIEEDFVRSCCACTSALCMKETCLSIHGDEVKRPVKKHTSESFKNGNASDQSDTADGHKPSCNERSCYVPGLGVKCNNLGLSSLSTAKSLRSLSFSSSAPSLNSSLFVWESDNNLSDIDSAERPIDTIFKFHKAISKDLEYLDVESGKLSDCDETFLRQFIGRFHLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEEKLFADINSVLSELSHLKESLSRGHVPENLTDNGTELYGAYDGDLLRKYNELATKLQGMCKSIRVTLDHHIFREELELWPLFGRYFSVEEQDKLVGRIIGTTGAEVLQSMLPWVTSALTQDEQNKMMDTWKQATKNTMFNEWLNECWKEPSQSSLQNEMSETGISLKENDFQESLDQSDQMFKPGWKDIFRMNQNELESEIRKVYRDSTLDPRRKAYLVQNLLTSRWIAAQQKLPQAASGETSNSEDVLGCSPSFRDTEKQIFGCEHYKRNCKLRAACCGKLFTCRFCHDEVSDHSMDR >EOY21059 pep chromosome:Theobroma_cacao_20110822:3:2571045:2582938:-1 gene:TCM_012374 transcript:EOY21059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein-related isoform 4 MAIPLPELQRREEAVVVSTSTVQTASFGGLSEEIEEKSPILMFLLFHKAVRNELDALHRLAMAFATGNSVDIQSLFQRYGFLRSIYKHHSIAEDEVIFPALDIRVKNVAKTYSLEHKGESNLFDHLFELLNSYMQADESFPRELASCTGALQTSISQHMAKEEEQVFPLLIEKFSLEEQASLVWQFLCSIPVNMMAEFLPWLSSFFSPDEYQDMKKCLSKIVPEEKLLQQVIFTWMEGRNGADISGKCHLNSTDGISQSLSSMTCPCESSKTGKRKYLEPSNNVLETDGTHPMNEILLWHNAIKRELNEIAEEARKIQLSGDFSNLSVFNERLQFVAEVCIFHSIAEDKVIFPAVDGELSFSQEHAEEESQFNEFRCLIESIQNAGAVSTSAAEFYSKLCEHADQIMETIRTHFHNEEVQVLPILRKNFSFKRQRELLYQSLCVMPLRLIERVLPWLVGSLTDNEAQNFLKNMQLAAPATDTALMTLYSGWACKGRNQGMCLSPHGNGCCVKRFTDIEEDFVRSCCACTSALCMKETCLSIHGDEVKRPVKKHTSESFKNGNASDQSDTADGHKPSCNERSCYVPGLGVKCNNLGLSSLSTAKSLRSLSFSSSAPSLNSSLFVWESDNNLSDIDSAERPIDTIFKFHKAISKDLEYLDVESGKLSDCDETFLRQFIGRFHLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEEKLFADINSVLSELSHLKESLSRGHVPENLTDNGTELYGAYDGDLLRKYNELATKLQGMCKSIRVTLDHHIFREELELWPLFGRYFSVEEQDKLVGRIIGTTGAEVLQSMLPWVTSALTQDEQNKMMDTWKQATKNTMFNEWLNECWKEPSQSSLQNEMSETGISLKENDFQESLDQSDQMFKPGWKDIFRMNQNELESEIRKVYRDSTLDPRRKAYLVQNLLTSRWIAAQQKLPQAASGETSNSEDVLGCSPSFRDTEKQIFGCEHYKRNCKLRAACCGKLFTCRFCHDEVSDHSMDRKATLEMMCMQCLKIQPVGPICTTPSCNGLPMAKYYCNICKFFDDERNVYHCPFCNLCRVGRGLGIDFFHCMTCNCCLGIKLVNHKCLEKGLETNCPICCDFLFTSSATVRALPCGHYMHSACFQAYTCSHYTCPICSKSMGDMAVYFGMLDALLAAEELPEEYRDRCQCIWQSRIFCATIVIERAQQASIGCITSVEIVDLTTPA >EOY21058 pep chromosome:Theobroma_cacao_20110822:3:2570462:2583374:-1 gene:TCM_012374 transcript:EOY21058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein-related isoform 4 MAIPLPELQRREEAVVVSTSTVQTASFGGLSEEIEEKSPILMFLLFHKAVRNELDALHRLAMAFATGNSVDIQSLFQRYGFLRSIYKHHSIAEDEVIFPALDIRVKNVAKTYSLEHKGESNLFDHLFELLNSYMQADESFPRELASCTGALQTSISQHMAKEEEQVFPLLIEKFSLEEQASLVWQFLCSIPVNMMAEFLPWLSSFFSPDEYQDMKKCLSKIVPEEKLLQQVIFTWMEGRNGADISGKCHLNSTDGISQSLSSMTCPCESSKTGKRKYLEPSNNVLETDGTHPMNEILLWHNAIKRELNEIAEEARKIQLSGDFSNLSVFNERLQFVAEVCIFHSIAEDKVIFPAVDGELSFSQEHAEEESQFNEFRCLIESIQNAGAVSTSAAEFYSKLCEHADQIMETIRTHFHNEEVQVLPILRKNFSFKRQRELLYQSLCVMPLRLIERVLPWLVGSLTDNEAQNFLKNMQLAAPATDTALMTLYSGWACKGRNQGMCLSPHGNGCCVKRFTDIEEDFVRSCCACTSALCMKETCLSIHGDEVKRPVKKHTSESFKNGNASDQSDTADGHKPSCNERSCYVPGLGVKCNNLGLSSLSTAKSLRSLSFSSSAPSLNSSLFVWESDNNLSDIDSAERPIDTIFKFHKAISKDLEYLDVESGKLSDCDETFLRQFIGRFHLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEEKLFADINSVLSELSHLKESLSRGHVPENLTDNGTELYGAYDGDLLRKYNELATKLQGMCKSIRVTLDHHIFREELELWPLFGRYFSVEEQDKLVGRIIGTTGAEVLQSMLPWVTSALTQDEQNKMMDTWKQATKNTMFNEWLNECWKEPSQSSLQNEMSETGISLKENDFQESLDQSDQMFKPGWKDIFRMNQNELESEIRKVYRDSTLDPRRKAYLVQNLLTSRWIAAQQKLPQAASGETSNSEDVLGCSPSFRDTEKQIFGCEHYKRNCKLRAACCGKLFTCRFCHDEVSDHSMDRKATLEMMCMQCLKIQPVGPICTTPSCNGLPMAKYYCNICKFFDDERNVYHCPFCNLCRVGRGLGIDFFHCMTCNCCLGIKLVNHKCLEKGLETNCPICCDFLFTSSATVRALPCGHYMHSACFQAYTCSHYTCPICSKSMGDMAVYFGMLDALLAAEELPEEYRDRCQDILCNDCDRKGTAGFHWLYHKCGNCGSYNTRVIKTETAATYCTTTQQ >EOY21062 pep chromosome:Theobroma_cacao_20110822:3:2570462:2583374:-1 gene:TCM_012374 transcript:EOY21062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein-related isoform 4 AVRNELDALHRLAMAFATGNSVDIQSLFQRYGFLRSIYKHHSIAEDEVIFPALDIRVKNVAKTYSLEHKGESNLFDHLFELLNSYMQADESFPRELASCTGALQTSISQHMAKEEEQVFPLLIEKFSLEEQASLVWQFLCSIPVNMMAEFLPWLSSFFSPDEYQDMKKCLSKIVPEEKLLQQVIFTWMEGRNGADISGKCHLNSTDGISQSLSSMTCPCESSKTGKRKYLEPSNNVLETDGTHPMNEILLWHNAIKRELNEIAEEARKIQLSGDFSNLSVFNERLQFVAEVCIFHSIAEDKVIFPAVDGELSFSQEHAEEESQFNEFRCLIESIQNAGAVSTSAAEFYSKLCEHADQIMETIRTHFHNEEVQVLPILRKNFSFKRQRELLYQSLCVMPLRLIERVLPWLVGSLTDNEAQNFLKNMQLAAPATDTALMTLYSGWACKGRNQGMCLSPHGNGCCVKRFTDIEEDFVRSCCACTSALCMKETCLSIHGDEVKRPVKKHTSESFKNGNASDQSDTADGHKPSCNERSCYVPGLGVKCNNLGLSSLSTAKSLRSLSFSSSAPSLNSSLFVWESDNNLSDIDSAERPIDTIFKFHKAISKDLEYLDVESGKLSDCDETFLRQFIGRFHLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEEKLFADINSVLSELSHLKESLSRGHVPENLTDNGTELYGAYDGDLLRKYNELATKLQGMCKSIRVTLDHHIFREELELWPLFGRYFSVEEQDKLVGRIIGTTGAEVLQSMLPWVTSALTQDEQNKMMDTWKQATKNTMFNEWLNECWKEPSQSSLQNEMSETGISLKENDFQESLDQSDQMFKPGWKDIFRMNQNELESEIRKVYRDSTLDPRRKAYLVQNLLTSRWIAAQQKLPQAASGETSNSEDVLGCSPSFRDTEKQIFGCEHYKRNCKLRAACCGKLFTCRFCHDEVSDHSMDRKATLEMMCMQCLKIQPVGPICTTPSCNGLPMAKYYCNICKFFDDERNVYHCPFCNLCRVGRGLGIDFFHCMTCNCCLGIKLVNHKCLEKGLETNCPICCDFLFTSSATVRALPCGHYMHSACFQSLHLSDLQQ >EOY22867 pep chromosome:Theobroma_cacao_20110822:3:25122234:25122907:-1 gene:TCM_014913 transcript:EOY22867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASKTCLSQWAVLFIVILLLLSSAMASRDAVTRSSTLHSSYEKGRGLASKPARDDPWDHNYR >EOY24370 pep chromosome:Theobroma_cacao_20110822:3:30668949:30670256:-1 gene:TCM_015987 transcript:EOY24370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function isoform 1 MGGHGGLNILPQKRWNVYNFENREKVRKDEEAAAKEEQLKREQARKRDAEFRLEQLRAARGLAPLIKAGGAEPASEPVEVVESESKSGHINLFEGIKIFDPIKGLEKEGAAEKDGFKRKKLKKEEVAPKPVAPEDEKYRLGYGVAGKGVKLPWYLERRNVDVKEESENDRSERAQKDEGRKTGKKTIEELREERLKRERREKERERALFIEKSKTDRSRTLKDRRFSRR >EOY24369 pep chromosome:Theobroma_cacao_20110822:3:30668904:30670269:-1 gene:TCM_015987 transcript:EOY24369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function isoform 1 MGGHGGLNILPQKRWNVYNFENREKVRKDEEAAAKEEQLKREQARKRDAEFRLEQLRAARGLAPLIKAGGAEPASEPVEVVESESKSGHINLFEGIKIFDPIKGLEKEGAAEKDGFKRKKLKKEEVAPKPVAPEDEKYRLGYGVAGKGVKLPWYLERRNVDVKEESENDRSERAQKDEGRKTGKKTIEELREERLKRERREKERERALFIEKSKTDRSRTLKDRRFSRR >EOY25042 pep chromosome:Theobroma_cacao_20110822:3:33034017:33040187:1 gene:TCM_016476 transcript:EOY25042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 2 MTLNIASSPWLSRFSPPIFTKLPEYSPNNSNSTSTSNATLLFLLLRTNSLSSLKTNKPFKPRASLKNTQSNGVLEGEETSVLNEELLSRVSATKDADEALEMIDQSQSESSEQRYGGVVSGSDCRLIISAALDRNNADLALSVFYAMRSSFDTGVSENGPLVDRWKWSRPNVGIYTTLVQGLAVSLRVSDALRMIDDICRIGVSPGEEVPFGKVVRCPICTIAVGVAQPQLGIQIVCCAKCRYKYELVSGNIVSVDSEEIRNSSCRTQHGDSSMEKGAKISADTEAKHSCCCSLYCVLSSSSFQVQTPSGVARTHRFATETVDLPAQEGERVTIACAAPSNVYREVGPFKFSPKDPNLYPGEPMCLTNHKDGRESQLLRAPAKDGNSSLLNPAFLIPILTVLAAGDAASGIIDPSLPQLLSVAAVGSLAVGATLNAVIFPQLNMLPQRSVETTAIKQQLLSQYDVLQSRIRDLKEAAEKEVWMLARMCQLDNKIFAIGESSYRARRSRIKRVREGLENSLRGRIELIDSYARISSMIEIEVEMDSDVLAAEAASNAETIAEQIQQIMELENLEEAFSISQSNFLFTYSPPPLSRYKACGFRHSSVCFGISHNALIEIGYPKLMKISNIKNLEVRELDKKTRFYLPRDHMRVLASIKTQFNS >EOY25043 pep chromosome:Theobroma_cacao_20110822:3:33034253:33039822:1 gene:TCM_016476 transcript:EOY25043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 2 MTLNIASSPWLSRFSPPIFTKLPEYSPNNSNSTSTSNATLLFLLLRTNSLSSLKTNKPFKPRASLKNTQSNGVLEGEETSVLNEELLSRVSATKDADEALEMIDQSQSESSEQRYGGVVSGSDCRLIISAALDRNNADLALSVFYAMRSSFDTGVSENGPLVDRWKWSRPNVGIYTTLVQGLAVSLRVSDALRMIDDICRIGVSPGEEVPFGKVVRCPICTIAVGVAQPQLGIQIVCCAKCRYKYELVSGNIVSVDSEEISMEIPAWKRGLKSLQILKQSIPAAVHSIVVQTPSGVARTHRFATETVDLPAQEGERVTIACAAPSNVYREVGPFKFSPKDPNLYPGEPMCLTNHKDGRESQLLRAPAKDGNSSLLNPAFLIPILTVLAAGDAASGIIDPSLPQLLSVAAVGSLAVGATLNAVIFPQLNMLPQRSVETTAIKQQLLSQYDVLQSRIRDLKEAAEKEVWMLARMCQLDNKIFAIGESSYRARRSRIKRVREGLENSLRGRIELIDSYARISSMIEIEVEMDSDVLAAEAASNAETIAEQIQQIMELENLEEKWKLQAEANDEAEKLLSSQSIPTEQI >EOY22682 pep chromosome:Theobroma_cacao_20110822:3:24450759:24454333:-1 gene:TCM_014783 transcript:EOY22682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQMASTRLLSTAQGAYRHEIFKKLMSTNMPHTLAKMTFNQLKGCKKLRCRGPKNNSRARKQIFHFSTSHRPKL >EOY24365 pep chromosome:Theobroma_cacao_20110822:3:30669749:30673959:-1 gene:TCM_015986 transcript:EOY24365 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MGTRSNFYKNPSLSYKKDLSLSSALQNLKAYNIATGDAPPSVELEAYPPVDDKIACKKRSRERKPFSMPDRRREIEENDGPMSHQDYILKRRREVSSSHGYEELSVDILQASSSSVNLVDYGSDGNASSECKESQDPPDSGHVNEVDQVKSRSEQRFSLPGEPICVVCGRYGEYICDKTDDDICSMECKSDLLQSLQITEKSLSNQNSLLSSSEPTSISLLPELAEDTWDYNNHRWSKKSSSLCTYKCWKCQRPGHLAEDCLVTTTEQVTMRQSKLTSISRDLLELYRRCHQIGKNLSSASCNACRSSIALATCLDCSTVLCDNAGHLNEHIQTHPSHQQYYSHKLKRLVKCCKSTCKVTNFRDLLVCHYCFDKAFDKFYDMYTATWKGAGLSIIWGSICCDDHFTWHRMNCLNADVEDRAYIMSRDTERETHVQLSDFIF >EOY24368 pep chromosome:Theobroma_cacao_20110822:3:30670726:30673927:-1 gene:TCM_015986 transcript:EOY24368 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MGTRSNFYKNPSLSYKKDLSLSSALQNLKAYNIATGDAPPSVELEAYPPVDDKIACKKRSRERKPFSMPDRRREIEENDGPMSHQDYILKRRREVSSSHGYEELSVDILQASSSSVNLVDYGSDGNASSECKESQDPPDSGHVNEVDQVKSRSEQRFSLPGEPICVVCGRYGEYICDKTDDDICSMECKSDLLQSLQITEKSLSNQNSLLSSSEPTSISLLPELAEDTWDYNNHRWSKKSSSLCTYKCWKCQRPGHLAEDCLVTTTEQVTMRQSKLTSISRDLLELYRRCHQIGKNLSSASCNACRSSIALATCLDCSTVLCDNAGHLNEHIQTHPSHQQYYSHKLKRLVKCCKSTCKVTNFRDLLVCHYCFDKAFDKFYDMYTATWKGAGLSIIWGSICCDDHFTWHRMNCLNADVEDRAYIMSRDTERETHVQLSDFIF >EOY24364 pep chromosome:Theobroma_cacao_20110822:3:30668759:30673959:-1 gene:TCM_015986 transcript:EOY24364 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MGTRSNFYKNPSLSYKKDLSLSSALQNLKAYNIATGDAPPSVELEAYPPVDDKIACKKRSRERKPFSMPDRRREIEENDGPMSHQDYILKRRREVSSSHGYEELSVDILQASSSSVNLVDYGSDGNASSECKESQDPPDSGHVNEVDQVKSRSEQRFSLPGEPICVVCGRYGEYICDKTDDDICSMECKSDLLQSLQITEKSLSNQNSLLSSSEPTSISLLPELAEDTWDYNNHRWSKKSSSLCTYKCWKCQRPGHLAEDCLVTTTEQVTMRQSKLTSISRDLLELYRRCHQIGKNLSSASCNACRSSIALATCLDCSTVLCDNAGHLNEHIQTHPSHQQYYSHKLKRLVKCCKSTCKVTNFRDLLVCHYCFDKAFDKFYDMYTATWKGAGLSIIWGSICCDDHFTWHRMNCLNADVEDRAYIMSRDTERETHVQLSDFIF >EOY24366 pep chromosome:Theobroma_cacao_20110822:3:30670726:30673927:-1 gene:TCM_015986 transcript:EOY24366 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MGTRSNFYKNPSLSYKKDLSLSSALQNLKAYNIATGDAPPSVELEAYPPVDDKIACKKRSRERKPFSMPDRRREIEENDGPMSHQDYILKRRREVSSSHGYEELSVDILQASSSSVNLVDYGSDGNASSECKESQDPPDSGHVNEVDQVKSRSEQRFSLPGEPICVVCGRYGEYICDKTDDDICSMECKSDLLQSLQITEKSLSNQNSLLSSSEPTSISLLPELAEDTWDYNNHRWSKKSSSLCTYKCWKCQRPGHLAEDCLVTTTEQVTMRQSKLTSISRDLLELYRRCHQIGKNLSSASCNACRSSIALATCLDCSTVLCDNAGHLNEHIQTHPSHQQYYSHKLKRLVKCCKSTCKVTNFRDLLVCHYCFDKAFDKFYDMYTATWKGAGLSIIWGSICCDDHFTWHRMNCLNADVEDRAYIMSRDTERETHVQLSDFIF >EOY24367 pep chromosome:Theobroma_cacao_20110822:3:30668759:30673959:-1 gene:TCM_015986 transcript:EOY24367 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MGTRSNFYKNPSLSYKKDLSLSSALQNLKGKIFSSSLFCSLVFFRTKKVKEIHEFSFLQFAAYNIATGDAPPSVELEAYPPVDDKIACKKRSRERKPFSMPDRRREIEENDGPMSHQDYILKRRREVSSSHGYEELSVDILQASSSSVNLVDYGSDGNASSECKESQDPPDSGHVNEVDQVKSRSEQRFSLPGEPICVVCGRYGEYICDKTDDDICSMECKSDLLQSLQITEKSLSNQNSLLSSSEPTSISLLPELAEDTWDYNNHRWSKKSSSLCTYKCWKCQRPGHLAEDCLVTTTEQVTMRQSKLTSISRDLLELYRRCHQIGKNLSSASCNACRSSIALATCLDCSTVLCDNAGHLNEHIQTHPSHQQYYSHKLKRLVKCCKSTCKVTNFRDLLVCHYCFDKAFDKFYDMYTATWKGAGLSIIWGSICCDDHFTWHRMNCLNADVEDRAYIMSRDTERETHVQLSDFIF >EOY21424 pep chromosome:Theobroma_cacao_20110822:3:7735401:7735943:1 gene:TCM_012944 transcript:EOY21424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLHPTLIKALRTHARLRRLVYISCNPESLVANAIELCTPSLKKVEKRKKDNRGWRNMSSAGLARH >EOY24989 pep chromosome:Theobroma_cacao_20110822:3:32882622:32884415:-1 gene:TCM_016440 transcript:EOY24989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVLMELGTKAWIFAGLYMIVWTLTLYAFFFYWKGRILLHEMCTIAGVAKKALPCIQKLSKDTKKPAINENIIQTYFKVAKDLSAIPKNTCHQ >EOY24901 pep chromosome:Theobroma_cacao_20110822:3:32615120:32615593:1 gene:TCM_016375 transcript:EOY24901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRFFFFVCLFTEESGERPFFDIRSFHLIMAVAEDAGAKPGSSGQNLKNMVKGNFLGSRTALHPSCALNIQIHADSVSLYRTFKRT >EOY21030 pep chromosome:Theobroma_cacao_20110822:3:2416726:2418950:-1 gene:TCM_012349 transcript:EOY21030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Checkpoint serine/threonine-protein kinase BUB1, putative isoform 2 MPCSEQALVRLFYNLSSSSLLLLLFLYFSSILLARLFYFIGSYPLIQRNQNGYDYSMFSEEEEAQEEEEEEYADRFYRVNCMEKDHLVADIIHGGESLLFLPDNSFQTIQNDGAEESNNPPDHQLTSEDQENASYTTEQFSAHDSSPCSDSEHDDADTELLDGEIPTKDADSLCNSDVNDQDGPTPIVSPILTEQDKSDQVDNDEDGVEICSNKKIRHGVVTDFSRNDKFFVIEPTKLESKKLLVHEKDDEEIYGDSCTIGSTSKSSSEWRSSINCRDSGTDDPFSSSSRRSCPKWESYTVFQKYDEEMMFLDRISAQKLQETESLRSIQACPRSISERLVHKFATMNKKPSDIRHNPYHELEAAYVAQICLTWEALNWNYKNFERKRAARKDFDCPASIAQQFQQFQVLLQRYIENEPYEQGRRPEVYARMRLLAPKLLLVPEYRDYEDDQRDEGFGSRISADSFLVIMEDGIQTFMNFLKADKEKPYQIIKAFFGRKRRGSVDPTLLQLMKKVNAKVKKDEAQRPPPGSQMHKEKKTEGGERDGDIDGSN >EOY21029 pep chromosome:Theobroma_cacao_20110822:3:2415787:2418976:-1 gene:TCM_012349 transcript:EOY21029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Checkpoint serine/threonine-protein kinase BUB1, putative isoform 2 MPCSEQALVRLFYNLSSSSLLLLLFLYFSSILLARLFYFIGSYPLIQRNQNGYDYSMFSEEEEAQEEEEEEYADRFYRVNCMEKDHLVADIIHGGESLLFLPDNSFQTIQNDGAEESNNPPDHQLTSEDQENASYTTEQFSAHDSSPCSDSEHDDADTELLDGEIPTKDADSLCNSDVNDQDGPTPIVSPILTEQDKSDQVDNDEDGVEICSNKKIRHGVVTDFSRNDKFFVIEPTKLESKKLLVHEKDDEEIYGDSCTIGSTSKSSSEWRSSINCRDSGTDDPFSSSSRRSCPKWESYTVFQKYDEEMMFLDRISAQKLQETESLRSIQACPRSISERLVHKFATMNKKPSDIRHNPYHELEAAYVAQICLTWEALNWNYKNFERKRAARKDFDCPASIAQQFQQFQVLLQRYIENEPYEQGRRPEVYARMRLLAPKLLLVPEYRDYEDDQRDEGFGSRISADSFLVIMEDGIQTFMNFLKADKEKPYQIIKAFFGRKRRGSVDPTLLQLMKKVNAKKKMKLKDLRRAHKCIRKRKLKVEKEMEILMGLIDLKVVSRVLRMSDLSEEQLHWCEEKMSKVRILEGELQRDSSPLFFPAH >EOY25018 pep chromosome:Theobroma_cacao_20110822:3:32976936:32980633:-1 gene:TCM_016460 transcript:EOY25018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inner membrane protein isoform 2 MAFSRSLSNRATLIARRYRPSFAYVLHEDDRKNQSLNESQSQEKPGNFVQHRYFGTGFSNSSSGFGVLFQDRICSQLSLHPSSGMSFCRYMSTTIGGGADMSAAASAINGGGADMSAAASAVNEVAIAAADSWFLVAALQHVIDTVHSFTGFPWWASIVVTTLLIRGATIPLLINQLKATSKFTLLRPRLEEIKEQMQSKGMDPLTVAEGQKEMKKLFNEYGVTPFSPMKGLFIQGPIFISFFLAISNMAEKVPSFKCGGAYWFMDLTTPDDLYILPVLTALSFLITVECNMQEGMEGNPAAGTIKNVSRVLAALTVPFTMSFPKAIFCYWITSNLFSLTYGLGESINSRAQGSGGEEGFRCS >EOY25017 pep chromosome:Theobroma_cacao_20110822:3:32976257:32981011:-1 gene:TCM_016460 transcript:EOY25017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inner membrane protein isoform 2 MAFSRSLSNRATLIARRYRPSFAYVLHEDDRKNQSLNESQSQEKPGNFVQHRYFGTGFSNSSSGFGVLFQDRICSQLSLHPSSGMSFCRYMSTTIGGGADMSAAASAINGGGADMSAAASAVNEVAIAAADSWFLVAALQHVIDTVHSFTGFPWWASIVVTTLLIRGATIPLLINQLKATSKFTLLRPRLEEIKEQMQSKGMDPLTVAEGQKEMKKLFNEYGVTPFSPMKGLFIQGPIFISFFLAISNMAEKVPSFKCGGAYWFMDLTTPDDLYILPVLTALSFLITVECNMQEGMEGNPAAGTIKNVSRVLAALTVPFTMSFPKAIFCYWITSNLFSLTYGLVLKAPGVKKALGVPEIPKPPPGAAQKPSVDLFAALKQAVKQGRPATQESTSLPVEPAKVSNQITSSSSAISQRLKSLERQVKGRKKNKKR >EOY22992 pep chromosome:Theobroma_cacao_20110822:3:25678391:25681335:-1 gene:TCM_015010 transcript:EOY22992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding,zinc ion binding isoform 1 MGMSRNEFLLFVKRFSLSFCLLFFLMDVGMVGNLNIETSFPRMNTHSAFLDSLQQL >EOY22991 pep chromosome:Theobroma_cacao_20110822:3:25678771:25681334:-1 gene:TCM_015010 transcript:EOY22991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding,zinc ion binding isoform 1 MAEQADKLRCQRIGCNATFTEDDNPEGSCTYHDSPIFHDGMKEWSCCKKRSHDFSLFLEIPGCKTGKHTIEKPVLTKPAAITKNPTSTPAFTLASSATSKESCPRCLQGFFCSDHGSQPKELNSTTASPGESSANVQASAPPRKKIDINQPQTCKNKGCGKVFKEKDNHEIACSYHPGPAIFHDRMRGWKCCDIHVKEFDEFMTIPPCAKGWHNADPVS >EOY22546 pep chromosome:Theobroma_cacao_20110822:3:23872805:23876766:-1 gene:TCM_014689 transcript:EOY22546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit, putative isoform 3 MAIPFLPSINIANPESDPKRHKSKLAKDPGMENNTRHDPNPESTRPEEPELDLYTIPSYSSWFAWNDIHETERQALKEFFEGSSISRTPKIYKEYRDFIINKYREDPSRRLTFTEIRKSLVGDVTLLHKVFIFLETWGLINFVSPPRPHEGSEKDDTVRVEDGAPNGVRVVATPNSLRPLSAPVVKGKSSDGGAGEGVLKLPPLASYSDVFGDLKRLRCGNCGDCDSEYYEYNKDHFVVCVKCFKSGNYGENKSMDDFNLKNGSGNSATNGAVWTEAETLLLLESVLKHGDDWDLVAQDVQTKSKLDCITKLIELPFGESLIDSVNGRANSSGPSMNMNSVKPVPVPSEHQENIRNEDQGPNLGHDDTNENEQNGDSENEEPPLKKKRTASISDADSSLMKQVALISTMVGPQITAAAAEAAVAVLAEEMACPREIFDGDEINLTNGLPSPTSIGQPERAYHDEESEMKERASPSETQETSPKKNDVPLPLRIRAAVATGLGAAAAHAKLLAEHEEREIEHLVATIIEAQLKKLHSKIKHCEDAELLMKKEYAAIEDLREYIIGERINILRRTFTTGIPKLRVHTSVQSQTANLS >EOY22550 pep chromosome:Theobroma_cacao_20110822:3:23873599:23876420:-1 gene:TCM_014689 transcript:EOY22550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit, putative isoform 3 MAIPFLPSINIANPESDPKRHKSKLAKDPGMENNTRHDPNPESTRPEEPELDLYTIPSYSSWFAWNDIHETERQALKEFFEGSSISRTPKIYKEYRDFIINKYREDPSRRLTFTEIRKSLVGDVTLLHKVFIFLETWGLINFVSPPRPHEGSEKDDTVRVEDGAPNGVRVVATPNSLRPLSAPVVKGKSSDGGAGEGVLKLPPLASYSDVFGDLKRLRCGNCGDCDSEYYEYNKDHFVVCVKCFKSGNYGENKSMDDFNLKNGSGNSATNGAVWTEAETLLLLESVLKHGDDWDLVAQDVQTKSKLDCITKLIELPFGESLIDSVNGRANSSGPSMNMNSVKPVPVPSEHQENIRNEDQGPNLGHDDTNENEQNGDSENEEPPLKKKRTASISDADSSLMKQVALISTMVGPQITAAAAEAAVAVLAEEMACPREIFDGDEINLTNGLPSPTSIGQPERLF >EOY22548 pep chromosome:Theobroma_cacao_20110822:3:23873054:23876108:-1 gene:TCM_014689 transcript:EOY22548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit, putative isoform 3 NLNSNPPSFFNLFPINFFFFVGWFAWNDIHETERQALKEFFEGSSISRTPKIYKEYRDFIINKYREDPSRRLTFTEIRKSLVGDVTLLHKVFIFLETWGLINFVSPPRPHEGSEKDDTVRVEDGAPNGVRVVATPNSLRPLSAPVVKGKSSDGGAGEGVLKLPPLASYSDVFGDLKRLRCGNCGDCDSEYYEYNKDHFVVCVKCFKSGNYGENKSMDDFNLKNGSGNSATNGAVWTEAETLLLLESVLKHGDDWDLVAQDVQTKSKLDCITKLIELPFGESLIDSVNGRANSSGPSMNMNSVKPVPVPSEHQENIRNEDQGPNLGHDDTNENEQNGDSENEEPPLKKKRTASISDADSSLMKQVALISTMVGPQITAAAAEAAVAVLAEEMACPREIFDGDEINLTNGLPSPTSIGQPERLF >EOY22549 pep chromosome:Theobroma_cacao_20110822:3:23873063:23876420:-1 gene:TCM_014689 transcript:EOY22549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit, putative isoform 3 MAIPFLPSINIANPESDPKRHKSKLAKDPGMENNTRHDPNPESTRPEEPELDLYTIPSYSSWFAWNDIHETERQALKEFFEGSSISRTPKIYKEYRDFIINKYREDPSRRLTFTEIRKSLVGDVTLLHKVFIFLETWGLINFVSPPRPHEGSEKDDTVRVEDGAPNGVRVVATPNSLRPLSAPVVKGKSSDGGAGEGVLKLPPLASYSDVFGDLKRLRCGNCGDCDSEYYEYNKDHFVVCVKCFKSGNYGENKSMDDFNLKNGSGNSATNGAVWTEAETLLLLESVLKHGDDWDLVAQDVQTKSKLDCITKLIELPFGESLIDSVNGRANSSGPSMNMNSVKPVPVPSEHQENIRNEDQGPNLGHDDTNENEQNGDSENEEPPLKKKRTASISDADSSLMKQVALISTMVGPQITAAAAEAAVAVLAEEMACPREIFDGDEINLTNGLPSPTSIGQPESSCNHNRGTIKEIAL >EOY22547 pep chromosome:Theobroma_cacao_20110822:3:23873284:23876420:-1 gene:TCM_014689 transcript:EOY22547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit, putative isoform 3 MAIPFLPSINIANPESDPKRHKSKLAKDPGMENNTRHDPNPESTRPEEPELDLYTIPSYSSWFAWNDIHETERQALKEFFEGSSISRTPKIYKEYRDFIINKYREDPSRRLTFTEIRKSLVGDVTLLHKVFIFLETWGLINFVSPPRPHEGSEKDDTVRVEDGAPNGVRVVATPNSLRPLSAPVVKGKSSDGGAGEGVLKLPPLASYSDVFGDLKRLRCGNCGDCDSEYYEYNKDHFVVCVKCFKSGNYGENKSMDDFNLKNGSGNSATNGAVWTEAETLLLLESVLKHGDDWDLVAQDVQTKSKLDCITKLIELPFGESLIDSVNGRANSSGPSMNMNSVKPVPVPSEHQENIRNEDQGPNLGHDDTNENEQNGDSENEEPPLKKKRTASISDADSSLMKQVALISTMVGPQITAAAAEAAVAVLAEEMACPREIFDGDEINLTNGLPSPTSIGQPERAYHDEESEMKERASPSGIYTLRYSHAK >EOY25040 pep chromosome:Theobroma_cacao_20110822:3:33029818:33033696:1 gene:TCM_016474 transcript:EOY25040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 VYSFCCWRNHEASKPLQFFSPLLPFSKKVTSKTLTLAPASPKSWIRFAFLVEFLVSKSMAAKPLTSEAIALTEKKMDMTLDDIIKMSKNSTKAKKQQRAPIKSQKPVNNAAKEKALKVQQYMDSRSSLRQGVLAQRRSNFQGNRFPLAAEAARRAAIAPIRVRAFNGSRVANMNKPSRIGAPPVQRRAVNGGFAAKPHQQQQQQQQQQEQQGNVATKQRPQTLDSLFANMKEQRMRVLSRQHNTAQRNGGGRQRMPWGRGRFGN >EOY25039 pep chromosome:Theobroma_cacao_20110822:3:33029818:33033523:1 gene:TCM_016474 transcript:EOY25039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAAKPLTSEAIALTEKKMDMTLDDIIKMSKNSTKAKKQQRAPIKSQKPVNNAAKEKALKVQQYMDSRSSLRQGVLAQRRSNFQGNRFPLAAEAARRAAIAPIRVRAFNGSRVANMNKPSYAFMVFTSGCRIGAPPVQRRAVNGGFAAKPHQQQQQQQQQQEQQGNVATKQRPQTLDSLFANMKEQRMRVLSRQHNTAQRNGGGRQRMPWGRGRFGN >EOY25041 pep chromosome:Theobroma_cacao_20110822:3:33029931:33033560:1 gene:TCM_016474 transcript:EOY25041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAAKPLTSEAIALTEKKMDMTLDDIIKMSKNSTKAKKQQRAPIKSQKPVNNAAKEKALKVQQYMDSRSSLRQGVLAQRRSNFQGNRFPLAAEAARRAAIAPIRVRAFNGSRVANMNKPRIGAPPVQRRAVNGGFAAKPHQQQQQQQQQQEQQGNVATKQRPQTLDSLFANMKEQRMRVLSRQHNTAQRNGGGRQRMPWGRGRFGN >EOY24038 pep chromosome:Theobroma_cacao_20110822:3:29406537:29412191:-1 gene:TCM_015743 transcript:EOY24038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant intracellular ras group-related LRR 4 isoform 1 MAVMSKQDPSPAFVETVQEIMRLYRSLPPRPSIEEVEAAKSVLQTVENEEKLKLEEISKAQAAEDVPEELFSVLQQVRKTMVLFQSHEQKKEALFLVEADKMFETFDGLIQRASLLVSGGTQDEKVSTFGEQARKFERESLISDDRLEKRKEDGGELNKDDAKGMVRSSSTKASFFSGEDSSEKLNLMKTAALIENTARSGAIVLDLRGKLMDQTEWLPLSIGKLKDVSEMDLSENRIMALPPSIGGLQALTKLDLHSNQLINLPDSVGELVNLIELDLHANRLKSLPASFGNLTNLMNLDLSSNGYTHLPETIGNLTSLKRLNVETNDLEELPYTIGNCSLLLELILDFNQIRALPEAIGKLECLEILTAHYNRLKGLPTTMGNLSNLKELDVSFNEIESIPENLCFAVSIKKLNVGKNFADLRALPRSIGNLEMLEELDISDDQIRVLPDSFGLLSKLRVFRADETPLEVPPREVIKLGAQAVVQFMADLVAKRGTKSPPAKKKKGFWFRVCSIFWHFRTANARTI >EOY24039 pep chromosome:Theobroma_cacao_20110822:3:29409383:29412278:-1 gene:TCM_015743 transcript:EOY24039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant intracellular ras group-related LRR 4 isoform 1 MAVMSKQDPSPAFVETVQEIMRLYRSLPPRPSIEEVEAAKSVLQTVENEEKLKLEEISKAQAAEDVPEELFSVLQQVRKTMVLFQSHEQKKEALFLVEADKMFETFDGLIQRASLLVSGGTQDEKVSTFGEQARKFERESLISDDRLEKRKEDGGELNKDDAKGMVRSSSTKASFFSGEDSSEKLNLMKTAALIENTARSGAIVLDLRGKLMDQTEWLPLSIGKLKDVSEMDLSENRIMALPPSIGGLQALTKLDLHSNQLINLPDSVGELVNLIELDLHANRLKSLPASFGNLTNLMNLDLSSNGYTHLPETIGNLTSLKRLNVETNDLEELPYTIGNCSLLLELILDFNQIRALPEAIGKLECLEILTAHYNRLKGLPTTMGNLSNLKELDVSFNEIESIPENLCFAVSIKKLNVGKNFADLRALPRSIGNLEMLEELDISDDQIRVLPDSFGLLSKLRVFRADETPLEVPPREVIKLGAQVLLVRLQNLLVGILPV >EOY23892 pep chromosome:Theobroma_cacao_20110822:3:28913934:28915953:-1 gene:TCM_015646 transcript:EOY23892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med10 isoform 1 MDSSQSSTLGTGGSGGNGIVSSQTNDTRTATPNDDPKQNLTQVINSIQKTLGLLHQLYLTVSSFNAASQLPLLQRLNSLVSELDNMAKLSEKCNIQVPMEVLNLIDDGKNPDEFTRDVLNSCIAKNQVTKGKTDAFKSLRKHLLEELEQTFPDEVESYREIRASSAALKALGTMQECKRLAQSQ >EOY23890 pep chromosome:Theobroma_cacao_20110822:3:28913891:28915588:-1 gene:TCM_015646 transcript:EOY23890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med10 isoform 1 MDSSQSSTLGTGGSGGNGIVSSQTNDTRTATPNDDPKQNLTQVINSIQKTLGLLHQLYLTVSSFNAASQLPLLQRLNSLVSELDNMAKLSEKCNIQVPMEVLNLIDDGKNPDEFTRDVLNSCIAKNQVTKGKTDAFKSLRKHLLEELEQTFPDEVESYREIRASSAAECKRLAQSQSMLPNGDVKVKTEL >EOY23893 pep chromosome:Theobroma_cacao_20110822:3:28913429:28915855:-1 gene:TCM_015646 transcript:EOY23893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med10 isoform 1 MDSSQSSTLGTGGSGGNGIVSSQTNDTRTATPNDDPKQNLTQVINSIQKTLGLLHQLYLTVSSFNAASQLPLLQRLNSLVSELDNMAKLSEKCNIQVPMEVLNLIDDGKNPDEFTRDVLNSCIAKNQVTKGKTDAFKSLRKHLLEELEQTFPDEVESYREIRASSAAIEAELSPFIW >EOY23891 pep chromosome:Theobroma_cacao_20110822:3:28913934:28915953:-1 gene:TCM_015646 transcript:EOY23891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med10 isoform 1 MDSSQSSTLGTGGSGGNGIVSSQTNDTRTATPNDDPKQNLTQVINSIQKTLGLLHQLYLTVSSFNAASQLPLLQRLNSLVSELDNMAKLSEKCNIQVPMEVLNLIDDGKNPDEFTRDVLNSCIAKNQVTKGKTDAFKSLRKHLLEELEQTFPDEVESYREIRASSAACVFGFCLQVILMERAQSLRLGM >EOY23980 pep chromosome:Theobroma_cacao_20110822:3:29187996:29190628:-1 gene:TCM_015701 transcript:EOY23980 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein isoform 1 MGAGGPDEEDNRWPPWLKPLLREQFFVQCKLHVDSHKSECNMYCLDCMNGALCSFCLSYHKDHRYIQIRRSSYHDVIRVSEIQKYLDISGVQTYVINSAKVVFLNERPQPRPGKGVTNTCEVCDRSLVDSFRFCSLGCKIVGTSKNFQKKKRHLAMASDSEDSYSSSSHGKLKNSNKENNISNKVQSFSPSTPPPTSVNYRTAKRRKGIPHRAPMGGLIIEY >EOY23981 pep chromosome:Theobroma_cacao_20110822:3:29188486:29190504:-1 gene:TCM_015701 transcript:EOY23981 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein isoform 1 MYCLDCMNGALCSFCLSYHKDHRYIQIRRSSYHDVIRVSEIQKYLDISGVQTYVINSAKVVFLNERPQPRPGKGVTNTCEVCDRSLVDSFRFCSLGCKIVGTSKNFQKKKRHLAMASDSEDSYSSSSHGKLKNSNKENNISNKVQSFSPSTPPPTSVNYRTAKRRKGIPHRAPMGGLIIEY >EOY24920 pep chromosome:Theobroma_cacao_20110822:3:32674721:32683075:-1 gene:TCM_016387 transcript:EOY24920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance protein ABC transporter family MGEGLWILFCNNSNCSLETGRTCSSGFSAILNPYSCVNHAFIISVDILLLLLALLIIIYKFSIKKITAPFQSQHFPSMPILSAIFNGILSIAYLALGIWTIYQKLDTDKTVLPLDGWLLLLFQGFTWLLLAISVSQKKLNLPSITAVKSCSIFAFLYAGFLCISSLQEAIVDKTVSIKIVLDVLSFPGSILFLSCAFKGHSLKDTDPDGNFDAFYAPLQGEEHDSNDEIGSNHNITPFAKAGLLSKMSFWWLNPILKKGKEKILENKDIPTLQEACRAQACYSKYMDQLGKEKHRRPSGSPSMLSIIISSHWKAMFTSGFFALIKVVTLSTGPLFLRAFIEVVQGKEVFKYEAYMLTVGLLIAKCLESLSERQWFFQTRVVGIQVSSMLSAAIYQKQLKLSNAAKMTHSPGKIVSYVTVDAYRIGEFPYWFHQIWSTSLQLCLALFIVYASVGLATIAALVTVTLTVIASYPLTKSQLEYHKKLMLAQDKRLQAIAEALANMKVLKFYAWETHFKNVIERLRKDEFKWISGILSQRGYHLVCFWSSPIIVPTVTFWTCYLLGIPLNASNIFTFLASIRIVQEPVRLIPDVVQVFIEAKVSLDRIVKFLEAPELANRNLQQESNDEKFDHSIFIRSNEISWDLKSSSKPTLRDINLEVKPGEKIAICGEVGSGKSTLLAAVLGEVPKVNGTVHVYGKIAYVSQAAWIQTGSIQENILFGAAMDPVRYEDVLEKCCLVKDLQMLPFGDLTEIGERGVNLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAQTATSLFNDYVIRALSGKTVLLVSHQVDFLPAFDSILLMSAGEIIEAATYDQLLASSQKFQDLVNAHGNTIKSETDQTLYSDSGRAMTSKDVIQKVHVKEQPVGPAGEQLIKQEERETGDTGFKPYMQYLRHNKGFLYFTLAILFHVIFIVGQLIQYYWLAADIQNSQVSRMKLLTVFSVMGCALAIFLLLRSFYVVLLGCGASESIFSTLLKSLFRAPMSFYDSTPLGRILSRVSSDLSIIDLEMAFKLSITLGTTMNTYFSLFTLAILAWPVAFVIIPMVYLTILLQRHYYASAKELMRINGTTKSSVASHLAESIAGAMTIRAFGDGDRFFLKNMNLIDANASTNFHTFSANEWLIHCLEILCAIVLSSSALAMTLIPLGASASGLIGMALAYGLSLNVFLVVSVKFQCLLSSSVVSVERLEQYMHIPSEAPEVIEANRPPHNWPSVGRVEIYNLKVRYRPSAPLVLQGISCIFEGGSKIGIVGRTGSGKTTLISTLFRLVEPTDGEIIIDNLNICTIGLHDLRSHLGIIPQEPTLFGGSVRYNLDPVEQHTDNEIWEVLEKCKLREAVQGKEGGLNSFVVQDGSNWSVGQRQLFCLGRALLKRSRILVLDEATASIDNATDSIIQKTIRTEFEDCTVITVAHRIPTVMDCNMVVGISDGTLVEYDEPKKLMNKKGSLFGQLVQEYWSRSANNGTSPEDWY >EOY24197 pep chromosome:Theobroma_cacao_20110822:3:30055321:30057309:1 gene:TCM_015868 transcript:EOY24197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPDDPFGWITELCQVSSSEESRLRRCSYFGQSDSDDSDSEQQNPLDILVAAVGITIVTPPESQQDEPENDTNTLPEDQEIFHTPPESRATSISTSVGNCNDVRMIGDDLDHDGETVVVDADSAASRRAVDLGSDTDLGFSEVEVDSTQRIEANSKPDGAFGRKSEEIRVSRRGFSPNGQLSTESPSKKLKSLDFESPSVRLGTSKGGNTDEILKSLEKWNFKTVSLSPRASSELERENQQNYEANEVGEGSVRERRLDMPTDVTESEERIMDSKKNDSEGNDGETRLDFSTEDIDFHPEKGNAESENENGEGNSGRNNKSVEDIDQFRFTGGNGSNSREMKGKTDKKRALPSWANGRMGADERVEDNEREPVQADTDSETLSEDVEDSESLSEDFEEMTLLNVLMELAKACEEDRSLECLSLLEVAERKGI >EOY22460 pep chromosome:Theobroma_cacao_20110822:3:23542669:23543260:1 gene:TCM_014623 transcript:EOY22460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPGSLIFYMRWSLIFVLVLLLLIYWIADPNALDKLLCVTVRYYYSIMVKMFNPALFATFRSSYPQVQLVYPWNIRKKVLKFDCSKLVHS >EOY24646 pep chromosome:Theobroma_cacao_20110822:3:31787655:31791439:1 gene:TCM_016194 transcript:EOY24646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C3HC4 type (RING finger) family protein isoform 2 MASTTPTNRSSSDDIIDTTPFLSSSSSVSRDESTTRRSVRRQSLREAARFLRRASSRRMMREPSMLVRETAAEQLEERQSDWAYSKPVVILDIIWNFAFVAVAVGVLVWSRDERPNMPLRLWIIGYALQCLLHMVCVCVEYRRRRRRQSVEYRPFNAGEEGVLSPGSRADSEQYVTLAQLEEDGGSVAKHLESANTMFSFIWWIIGFYWVSVGGQALARGSPQLYWLCIIFLGFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVADQEGASKEDIDQLSKFRFRKTCNDEKIAGDAEGPVGGIMTECSTDSPMEHVLSQDDAECCICLSPYDDGVELRELPCGHHFHCACVDKWLYINATCPLCKYNILKSSSHEEV >EOY24645 pep chromosome:Theobroma_cacao_20110822:3:31787798:31791392:1 gene:TCM_016194 transcript:EOY24645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C3HC4 type (RING finger) family protein isoform 2 MASTTPTNRSSSDDIIDTTPFLSSSSSVSRDESTTRRSVRRQSLREAARFLRRASSRRMMREPSMLVRETAAEQLEERQSDWAYSKPVVILDIIWNFAFVAVAVGVLVWSRDERPNMPLRLWIIGYALQCLLHMVCVCVEYRRRRRRQSVEYRPFNAGEEGVLSPGSRADSEQYVTLAQLEEDGGSSVAKHLESANTMFSFIWWIIGFYWVSVGGQALARGSPQLYWLCIIFLGFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVADQEGASKEDIDQLSKFRFRKTCNDEKIAGDAEGPVGGIMTECSTDSPMEHVLSQDDAECCICLSPYDDGVELRELPCGHHFHCACVDKWLYINATCPLCKYNILKSSSHEEV >EOY23196 pep chromosome:Theobroma_cacao_20110822:3:26576732:26580653:-1 gene:TCM_015171 transcript:EOY23196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein, putative MPTELMKRLSLSDNHFSSMDKLFNAMLLLLTLFTEIALLCSCQNASFSCIQSERQALLKLKQSFSGSSHCLSSWKGKNCCMWKGVSCDENNGHVVKLNLRAGSTSWLDNGSSLRAREVNSYITELRYLKYLDLSGNDFQDSAIPQFFSLMKQLRYLNISDAGFSGSVPQNLGNLTSLRVLDLSTEHRGSTLRVDDIQWISHLVSLQQLGMAGIHLGEARNLFQVLNMLPSLQSLHLSHCGIHNFHFSRLPINSTFHGSLQRLDLGGNDLGGPVPIALQNMTLLRILDLSYNRFNSSIPNWFGNFKNLIHLNLAGNDFSSTKKGLALILGNMCYLKSLDLSFSQFQDDLIRIYENVTGCVGHDLETLNLDYSMISGHIPERLEMLKNLKHLHLYGNQLNGTIPESLGQLSNLETIDLSHNSLEGAISEVHFATLSKLKVLSISSNSLTIKIKSNWVPPFQLECIEMGSCKFGTQFPQWLRTQLKATTLVLSNNSISGILPKWIKELNLSLLDLSHNQITGSIPKFSSNLMTIDLSNNLISGTLTEMIGDNNVLSKLQSLILSDNRVNGSIPNSFCHIETLEVLQLSKNKLSANIPDCWRDYQSLQVLDLSSNNLSGVIPSSIGHLNSLQWLHLSNNSLSGEPPLALKNCRDLVVLDLGENALSGHVPKWIGDGFWQLSVLRLRKNKFSGTIPSQLCHISTLQILDLAENNLKGKIPYCFADFIGMVKTDVSDLEGYSGIFLTQWNNEHLTEVIKARSLEYTKTLRFLVSMDLSSNKLEGSIPEELALLTGLIGLNLSHNQLSGQFPNKIGKLESLESLDLSFNELSGMIPGSMSSLTKLSHLNLSYNNFSGKIPEGNQLQTLDDPFIYAGNPLLCGAPLKKCWDDKHHQGKNGNAKHNSAEKMWFYIVIMSGYATGFWGVVAALIFEKSWRHAYFLFVDKCKDWVLVLVALKMASVKNLIKGNRTDE >EOY24384 pep chromosome:Theobroma_cacao_20110822:3:30740284:30742728:1 gene:TCM_016000 transcript:EOY24384 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase 1 beta subcomplex subunit 8 MAGRLSNVASRIMGGNGIVSRSVASSLRLRSGMGLPVGKHIVPDKPLPVNDELIWDNGTSFPEPCIDRIADTVGKYEALAWMCGGLSFFASLGLLAWWNDKASKIPFTPKVYPYDNLRVELGGEP >EOY22225 pep chromosome:Theobroma_cacao_20110822:3:22476671:22485801:-1 gene:TCM_014443 transcript:EOY22225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphyromonas-type peptidyl-arginine deiminase family protein isoform 3 MEMKGTPSQLGYHMPAEWEPHFQCWMGWPERPDNWRDDAVHGQHVFAKVATAISKFEFVTVCASAAQFVVTKREPSSDSPEQKIAGIDWNFNSWGGVDDGCYKDWSLDLYVARKILGIERFPRFSHTMILEGGSIHVDGEGDDDTNGHIDNMCCFAKPGVVLLSWTDDETDPQYERSMEAFSILSNATDASGRKLQIIKLHVPGPLFMTDEEAAGVAQDGEAKPRLPGTRLAASYVNFYIANGGIITPQFGDKKWDDEAVRVLSQAFPDYEVVRIEGAREIVLGGGNIHCITQQQPAT >EOY22221 pep chromosome:Theobroma_cacao_20110822:3:22476817:22486079:-1 gene:TCM_014443 transcript:EOY22221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphyromonas-type peptidyl-arginine deiminase family protein isoform 3 MEMKGTPSQLGYHMPAEWEPHFQCWMGWPERPDNWRDDAVHGQHVFAKVATAISKFEFVTVCASAAQWANARSQLPPNIRVVEMSMNDSWFRDTGPTFVVTKREPSSDSPEQKIAGIDWNFNSWGGVDDGCYKDWSLDLYVARKILGIERFPRFSHTMILEGGSIHVDGEGTCLTTEECLLNKNRNPNMTKEQIENELEAYLGVKKVIWLPRGLYGDDDTNGHIDNMCCFAKPGVVLLSWTDDETDPQYERSMEAFSILSNATDASGRKLQIIKLHVPGPLFMTDEEAAGVAQDGEAKPRLPGTRLAASYVNFYIANGGIITPQFGDKKWDDEAVRVLSQAFPDYEVVRIEGAREIVLGGGNIHCITQQQPAT >EOY22224 pep chromosome:Theobroma_cacao_20110822:3:22476862:22485654:-1 gene:TCM_014443 transcript:EOY22224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphyromonas-type peptidyl-arginine deiminase family protein isoform 3 MEMKGTPSQLGYHMPAEWEPHFQCWMGWPERPDNWRDDAVHGQHVFAKVATAISKFEFVTVCASAAQWANARSQLPPNIRVVEMSMNDSWFRDTGPTFVVTKREPSSDSPEQKIAGIDWNFNSWGGVDDGCYKDWSLDLYVARKILGIERFPRFSHTMILEGGSIHVDGEGDDDTNGHIDNMCCFAKPGVVLLSWTDDETDPQYERSMEAFSILSNATDASGRKLQIIKLHVPGPLFMTDEEAAGVAQDGEAKPRLPGTRLAASYVNFYIANGGIITPQFGDKKWDDEAVRVLSQAFPDYEVVRIEGAREIVLGGGNIHCITQQQPAT >EOY22222 pep chromosome:Theobroma_cacao_20110822:3:22476916:22484922:-1 gene:TCM_014443 transcript:EOY22222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphyromonas-type peptidyl-arginine deiminase family protein isoform 3 MLERPDNWRDDAVHGQHVFAKVATAISKFEFVTVCASAAQWANARSQLPPNIRVVEMSMNDSWFRDTGPTFVVTKREPSSDSPEQKIAGIDWNFNSWGGVDDGCYKDWSLDLYVARKILGIERFPRFSHTMILEGGSIHVDGEGTCLTTEECLLNKNRNPNMTKEQIENELEAYLGVKKVIWLPRGLYGDDDTNGHIDNMCCFAKPGVVLLSWTDDETDPQYERSMEAFSILSNATDASGRKLQIIKLHVPGPLFMTDEEAAGVAQDGEAKPRLPGTRLAASYVNFYIANGGIITPQFGDKKWDDEAVRVLSQAFPDYEVVRIEGAREI >EOY22223 pep chromosome:Theobroma_cacao_20110822:3:22476589:22485986:-1 gene:TCM_014443 transcript:EOY22223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphyromonas-type peptidyl-arginine deiminase family protein isoform 3 MEMKGTPSQLGYHMPAEWEPHFQCWMGWPERPDNWRDDAVHGQHVFAKVATAISKFEFVTVCASAAQFVVTKREPSSDSPEQKIAGIDWNFNSWGGVDDGCYKDWSLDLYVARKILGIERFPRFSHTMILEGGSIHVDGEGTCLTTEECLLNKNRNPNMTKEQIENELEAYLGVKKVIWLPRGLYGDDDTNGHIDNMCCFAKPGVVLLSWTDDETDPQYERSMEAFSILSNATDASGRKLQIIKLHVPGPLFMTDEEAAGVAQDGEAKPRLPGTRLAASYVNFYIANGGIITPQFGDKKWDDEAVRVLSQAFPDYEVVRIEGAREIVLGGGNIHCITQQQPAT >EOY22966 pep chromosome:Theobroma_cacao_20110822:3:25564347:25569682:-1 gene:TCM_014988 transcript:EOY22966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule associated protein family protein isoform 2 MSTPKNDPLLQVETTCGTLLYELQIIWDEVGETDSDRDKMLLELEQECLEVYRRKVDQANRCRAQLRQTIADSEAELAAICSAMGERPVHIRQSDQNSGSLKEELRKILPQLEEMRKRKVERRNQFIEVLEQTQKITNEIYGSTESVSLKTVVDETDLSLRKLEELHRQLHELQKEKSDRLKQVQDHLHMLNSLCSVMGMDFKLTVSEVHPSLGDSDGLRSISNNTIEKLAIAIKKLREVKIQRMQRLQDLATTMLELWNLMDTPIEEQQMFQNVTCNIAASEHEITEPNTLSVDFINYVEAEVSRLEELKSSKMKELVLKKRSELEDICRKTHLVPDSDSAIEDAIEAIESGAVDAATILEQIELQIAKVKEEAFSRKEILEKVEKWLTACDEECWLEEYNRDENRYNAGKGAHLTLKRAEKARSLVNKLPGMVEALASKTLAWEKEREIKFMYDGIRLLSMLEEYTILRQEKEQERRRLRVWDQKKLQGQLIAEQEALYGSKPSPSKPQSVKKGSRFSTGGASNRRVSLGGAMLPNHKPDSLHSAKATPQTRPSKKTERIFQNDLQDDALPAYSAVRRGLDIADIPVRKHSSSAVNANELESPLVRKPFSPISSTVSSKANMTNMLEDNGDTLQKTIPTKDLSYTTPLKTTSLVDEENTTPKAMPIPVPSTPSTASIPMQTAMTPAPPIPFITKPAEEMPEEIEQSFEERRLAFLLSKTQITSLIQV >EOY22965 pep chromosome:Theobroma_cacao_20110822:3:25565058:25569434:-1 gene:TCM_014988 transcript:EOY22965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule associated protein family protein isoform 2 CSFQSLFVQNNHLIELGCCCLEISLNFKELFIMSTPKNDPLLQVETTCGTLLYELQIIWDEVGETDSDRDKMLLELEQECLEVYRRKVDQANRCRAQLRQTIADSEAELAAICSAMGERPVHIRQSDQNSGSLKEELRKILPQLEEMRKRKVERRNQFIEVLEQTQKITNEIYGSTESVSLKTVVDETDLSLRKLEELHRQLHELQKEKSDRLKQVQDHLHMLNSLCSVMGMDFKLTVSEVHPSLGDSDGLRSISNNTIEKLAIAIKKLREVKIQRMQRLQDLATTMLELWNLMDTPIEEQQMFQNVTCNIAASEHEITEPNTLSVDFINYVEAEVSRLEELKSSKMKELVLKKRSELEDICRKTHLVPDSDSAIEDAIEAIESGAVDAATILEQIELQIAKVKEEAFSRKEILEKVEKWLTACDEECWLEEYNRDENRYNAGKGAHLTLKRAEKARSLVNKLPGMVEALASKTLAWEKEREIKFMYDGIRLLSMLEEYTILRQEKEQERRRLRDQKKLQGQLIAEQEALYGSKPSPSKPQSVKKGSRFSTGGASNRRVSLGGAMLPNHKPDSLHSAKATPQTRPSKKTERIFQNDLQDDALPAYSAVRRGLDIADIPVRKHSSSAVNANELESPLKTIPTKDLS >EOY22967 pep chromosome:Theobroma_cacao_20110822:3:25564347:25569434:-1 gene:TCM_014988 transcript:EOY22967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule associated protein family protein isoform 2 MSTPKNDPLLQVETTCGTLLYELQIIWDEVGETDSDRDKMLLELEQECLEVYRRKVDQANRCRAQLRQTIADSEAELAAICSAMGERPVHIRQSDQNSGSLKEELRKILPQLEEMRKRKVERRNQFIEVLEQTQKITNEIYGSTESVSLKTVVDETDLSLRKLEELHRQLHELQKEKSDRLKQVQDHLHMLNSLCSVMGMDFKLTVSEVHPSLGDSDGLRSISNNTIEKLAIAIKKLREVKIQRMQRLQDLATTMLELWNLMDTPIEEQQMFQNVTCNIAASEHEITEPNTLSVDFINYVEAEVSRLEELKSSKMKELVLKKRSELEDICRKTHLVPDSDSAIEDAIEAIESGAVDAATILEQIELQIAKVKEEAFSRKEILEKVEKWLTACDEECWLEEYNRDENRYNAGKGAHLTLKRAEKARSLVNKLPGMVEALASKTLAWEKEREIKFMYDGIRLLSMLEEYTILRQEKEQERRRLRGKR >EOY22964 pep chromosome:Theobroma_cacao_20110822:3:25565059:25569434:-1 gene:TCM_014988 transcript:EOY22964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule associated protein family protein isoform 2 CSFQSLFVQNNHLIELGCCCLEISLNFKELFIMSTPKNDPLLQVETTCGTLLYELQIIWDEVGETDSDRDKMLLELEQECLEVYRRKVDQANRCRAQLRQTIADSEAELAAICSAMGERPVHIRQSDQNSGSLKEELRKILPQLEEMRKRKVERRNQFIEVLEQTQKITNEIYGSTESVSLKTVVDETDLSLRKLEELHRQLHELQKEKSDRLKQVQDHLHMLNSLCSVMGMDFKLTVSEVHPSLGDSDGLRSISNNTIEKLAIAIKKLREVKIQRMQRLQDLATTMLELWNLMDTPIEEQQMFQNVTCNIAASEHEITEPNTLSVDFINYVEAEVSRLEELKSSKMKELVLKKRSELEDICRKTHLVPDSDSAIEDAIEAIESGAVDAATILEQIELQIAKVKEEAFSRKEILEKVEKWLTACDEECWLEEYNRDENRYNAGKGAHLTLKRAEKARSLVNKLPGMVEALASKTLAWEKEREIKFMYDGIRLLSMLEEYTILRQEKEQERRRLRVWDQKKLQGQLIAEQEALYGSKPSPSKPQSVKKGSRFSTGGASNRRVSLGGAMLPNHKPDSLHSAKATPQTRPSKKTERIFQNDLQDDALPAYSAVRRGLDIADIPVRKHSSSAVNANELESPLKTIPTKDLS >EOY22968 pep chromosome:Theobroma_cacao_20110822:3:25565238:25569682:-1 gene:TCM_014988 transcript:EOY22968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule associated protein family protein isoform 2 MSTPKNDPLLQVETTCGTLLYELQIIWDEVGETDSDRDKMLLELEQECLEVYRRKVDQANRCRAQLRQTIADSEAELAAICSAMGERPVHIRQSDQNSGSLKEELRKILPQLEEMRKRKVERRNQFIEVLEQTQKITNEIYGSTESVSLKTVVDETDLSLRKLEELHRQLHELQKEKSDRLKQVQDHLHMLNSLCSVMGMDFKLTVSEVHPSLGDSDGLRSISNNTIEKLAIAIKKLREVKIQRMQRLQDLATTMLELWNLMDTPIEEQQMFQNVTCNIAASEHEITEPNTLSVDFINYVEAEVSRLEELKSSKMKELVLKKRSELEDICRKTHLVPDSDSAIEDAIEAIESGAVDAATILEQIELQIAKVKEEAFSRKEILEKVEKWLTACDEECWLEEYNRDENRYNAGKGAHLTLKRAEKARSLVNKLPGMVEALASKTLAWEKEREIKFMYDGIRLLSMLEEYTILRQEKEQERRRLRDQKKLQGQLIAEQEALYGSKPSPSKPQSVKKGSRFSTGGASNRRGKFSVSACYNQEHLNCFIKKITINNCLCKAS >EOY22963 pep chromosome:Theobroma_cacao_20110822:3:25564082:25569656:-1 gene:TCM_014988 transcript:EOY22963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule associated protein family protein isoform 2 MSTPKNDPLLQVETTCGTLLYELQIIWDEVGETDSDRDKMLLELEQECLEVYRRKVDQANRCRAQLRQTIADSEAELAAICSAMGERPVHIRQSDQNSGSLKEELRKILPQLEEMRKRKVERRNQFIEVLEQTQKITNEIYGSTESVSLKTVVDETDLSLRKLEELHRQLHELQKEKSDRLKQVQDHLHMLNSLCSVMGMDFKLTVSEVHPSLGDSDGLRSISNNTIEKLAIAIKKLREVKIQRMQRLQDLATTMLELWNLMDTPIEEQQMFQNVTCNIAASEHEITEPNTLSVDFINYVEAEVSRLEELKSSKMKELVLKKRSELEDICRKTHLVPDSDSAIEDAIEAIESGAVDAATILEQIELQIAKVKEEAFSRKEILEKVEKWLTACDEECWLEEYNRDENRYNAGKGAHLTLKRAEKARSLVNKLPGMVEALASKTLAWEKEREIKFMYDGIRLLSMLEEYTILRQEKEQERRRLRDQKKLQGQLIAEQEALYGSKPSPSKPQSVKKGSRFSTGGASNRRVSLGGAMLPNHKPDSLHSAKATPQTRPSKKTERIFQNDLQDDALPAYSAVRRGLDIADIPVRKHSSSAVNANELESPLKTIPTKDLSYTTPLKTTSLVDEENTTPKAMPIPVPSTPSTASIPMQTAMTPAPPIPFITKPAEEMPEEIEQSFEERRLAFLLSKTQITSLIQV >EOY25016 pep chromosome:Theobroma_cacao_20110822:3:32967186:32972151:-1 gene:TCM_016459 transcript:EOY25016 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 1 MANKSYIIEVEKGKAASDGKPSIGPVYRSSFAQNGFPAPIPGLESCWDIFRMSVEKYPDNPMLGRLQIVNGKAGKYVWQTYKEVYDIVINVGNSIRGCGVEEGGKCGIFGANCPEWIISMEACNAHGLCCVPLYDTLGASAVEFIICHAEVSIAFVEEKKINELFKTCPNSTNYLRTIVSFGKVTPEQKEEAEKYGLAIYAWEEFLQLGENKRFDLPVKKKSDICTIMYTSGTTGDPKGVLISNDSIVTFIAGVKRLLERVNEQLTVKDVYLSYLPLAHIFDRVIEELFISLGASIGFWRGDVKLLVEDIGELKPTFFCAVPRVLDRIYSGLLQKISGKQGLGGRVRIILSGAAPLSAHVEEFLRVVACCHVLQGYGLTESCAGTFVSLPDELSMLGTVGPPVPNVDIRLESVPEMGYDALASTPRGEICIKGSTLFSGYYKREDLTNEVMVDEWFHT >EOY25015 pep chromosome:Theobroma_cacao_20110822:3:32965674:32972114:-1 gene:TCM_016459 transcript:EOY25015 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 1 MANKSYIIEVEKGKAASDGKPSIGPVYRSSFAQNGFPAPIPGLESCWDIFRMSVEKYPDNPMLGRLQIVNGKAGKYVWQTYKEVYDIVINVGNSIRGCGVEEGGKCGIFGANCPEWIISMEACNAHGLCCVPLYDTLGASAVEFIICHAEVSIAFVEEKKINELFKTCPNSTNYLRTIVSFGKVTPEQKEEAEKYGLAIYAWEEFLQLGENKRFDLPVKKKSDICTIMYTSGTTGDPKGVLISNDSIVTFIAGVKRLLERVNEQLTVKDVYLSYLPLAHIFDRVIEELFISLGASIGFWRGDVKLLVEDIGELKPTFFCAVPRVLDRIYSGLLQKISGGGLLKKKLFDVAYSYKYYNMRKGSNHREASPICDKVVFSKVKQGLGGRVRIILSGAAPLSAHVEEFLRVVACCHVLQGYGLTESCAGTFVSLPDELSMLGTVGPPVPNVDIRLESVPEMGYDALASTPRGEICIKGSTLFSGYYKREDLTNEVMVDEWFHTGDIGEWQPNGSMKVIDRKKNIFKLSQGEYVAVENLENIYGLVSDIDSIWVYGNSFESFLVAVVNPNKQALERWAAENGVGGDFDSLCQNPKAKEFILGELAKIGKEKKLKGFEFIKAVHLDPEPFDIERDLLTPTYKKKRPQLLKYYQTVIDNMYKAARKPNA >EOY25014 pep chromosome:Theobroma_cacao_20110822:3:32965931:32975883:-1 gene:TCM_016459 transcript:EOY25014 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 1 MANKSYIIEVEKGKAASDGKPSIGPVYRSSFAQNGFPAPIPGLESCWDIFRMSVEKYPDNPMLGRLQIVNGKAGKYVWQTYKEVYDIVINVGNSIRGCGVEEGGKCGIFGANCPEWIISMEACNAHGLCCVPLYDTLGASAVEFIICHAEVSIAFVEEKKINELFKTCPNSTNYLRTIVSFGKVTPEQKEEAEKYGLAIYAWEEFLQLGENKRFDLPVKKKSDICTIMYTSGTTGDPKGVLISNDSIVTFIAGVKRLLERVNEQLTVKDVYLSYLPLAHIFDRVIEELFISLGASIGFWRGDVKLLVEDIGELKPTFFCAVPRVLDRIYSGLLQKISGGGLLKKKLFDVAYSYKYYNMRKGSNHREASPICDKVVFSKVKQGLGGRVRIILSGAAPLSAHVEEFLRVVACCHVLQGYGLTESCAGTFVSLPDELSMLGTVGPPVPNVDIRLESVPEMGYDALASTPRGEICIKGSTLFSGYYKREDLTNEVMVDEWFHTGDIGEWQPNGSMKVIDRKKNIFKLSQGEYVAVENLENIYGLVSDIDSIWVYGNSFESFLVAVVNPNKQALERWAAENGVGGDFDSLCQNPKAKEFILGELAKIGKEKKLKGFEFIKAVHLDPEPFDIERDLLTPTYKKKRPQLLKYYQTVIDNMYKAARKPNA >EOY22339 pep chromosome:Theobroma_cacao_20110822:3:23044760:23053042:-1 gene:TCM_014539 transcript:EOY22339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRWTENANDDALAVMDNNVDPKYQTMLRYASLSSHCNRLCHVASQFVETFNKARSEIANLTRRYEEMCKVNIDGISNLTKHVHDLTRVKAKGKIGAKIEKKKKPRKCGNCTKEGHTRNKCPQLELTLRSLDSSSCLLNDNDVDVYEKNNEVWPSQLGTLFGGYSLEVFTESYFCVAGALMGLLKPGRMSMFGTLLYHSYEAVASELQLQVCNTAMLSSATQTFHQAVYLALQRCTFSTATLSSEVTLPLAVKSAL >EOY20732 pep chromosome:Theobroma_cacao_20110822:3:818992:832374:1 gene:TCM_012077 transcript:EOY20732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger DNA-binding family protein isoform 1 MALHSSVNATVSYSPSLFFFVKISFKNFTYEGICSNASINANSLADTNHFNPWISLSHLFSSSSLFFCTLVPLSQVLVKRERLLSREQLFSFEYLAFCLCWVGFVSMGLTSLQVCMDSSDWLQGTIHEESGMDSSSPSGDMLACSRPLIERRLRPPHDQALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVASKKSNDQSANSNNPGSSSHNPTDLHLSFPEMNLSHLNNMLGTHGANNNFMESKYNSLLENPRPIDFMESKLEAIVGSSRNYDFMGNGELGMVGGLGDMGHGLAPNLHGLCSPYGMSLDGNGGTFLDTCQRIMLPYDANADQHAVDVKPNTKLLSLDWQDQGCSDAGKDTYGYLNNLGSWSGMMNNYGPSTTNPLV >EOY20733 pep chromosome:Theobroma_cacao_20110822:3:829682:831973:1 gene:TCM_012077 transcript:EOY20733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger DNA-binding family protein isoform 1 FTANSLADTNHFNPWISLSHLFSSSSLFFCTLVPLSQVLVKRERLLSREQLFSFEYLAFCLCWVGFVSMGLTSLQVCMDSSDWLQGTIHEESGMDSSSPSGDMLACSRPLIERRLRPPHDQALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVASKKSNDQSANSNNPGSSSHNPTDLHLSFPEMNLSHLNNMLGTHGANNNFMESKYNSLLENPRPIDFMESKLEAIVGSSRNYDFMGNGELGMVGGLGDMGHGLAPNLHGLCSPYGMSLDGNGGTFLDTCQRIMLPYDANADQHAVDVKPNTKLLSLDWQDQGCSDAGKDTYGYLNNLGSWSGMMNNYGPSTTNPLV >EOY24774 pep chromosome:Theobroma_cacao_20110822:3:32172229:32175625:1 gene:TCM_016274 transcript:EOY24774 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MWIFGWKGPSGFSASSTAEEVTQGIDGTGLTAIVTGASSGIGVETTRVLALRGVHVVMAVRNVDAGRNVKEAILKELPGATIDVMELDLSSLASVRKFASEYQSSSLPLNLLINNAGVMATPFMLSHENIELQFATNHLGHFLLTNLLLETMKNTARESSREGRIVNLSSEGHRIVYREGIRFDKINDESGYFSWLAYGQSKLSNILHAKELARRLKEEGVEITANSVHPGAIMTNLMRHHGFINIQ >EOY24773 pep chromosome:Theobroma_cacao_20110822:3:32169387:32175775:1 gene:TCM_016274 transcript:EOY24773 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MWIFGWKGPSGFSASSTAEEVTQGIDGTGLTAIVTGASSGIGVETTRVLALRGVHVVMAVRNVDAGRNVKEAILKELPGATIDVMELDLSSLASVRKFASEYQSSSLPLNLLINNAGVMATPFMLSHENIELQFATNHLGHFLLTNLLLETMKNTARESSREGRIVNLSSEGHRIVYREGIRFDKINDESGYFSWLAYGQSKLSNILHAKELARRLKEEGVEITANSVHPGAIMTNLMRHHGFINTISHTMQNEIGKYNLIIIECLANIPQGAATTCYVALHPQVKGVSGEYFMDSNIGNPSSKAKDADLAKRLWDFSLTLTNTK >EOY24775 pep chromosome:Theobroma_cacao_20110822:3:32172229:32175758:1 gene:TCM_016274 transcript:EOY24775 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MWIFGWKGPSGFSASSTAEEVTQGIDGTGLTAIVTGASSGIGVETTRVLALRGVHVVMAVRNVDAGRNVKEAILKELPGATIDVMELDLSSLASVRKFASEYQSSSLPLNLLINNAGVMATPFMLSHENIELQFATNHLGHFLLTNLLLETMKNTARESSREGRIVNLSSEGHRIVYREGIRFDKINDESGYFSWLAYGQSKLSNILHAKELARRLKEEGVEITANSVHPGAIMTNLMRHHGFINSNPYASFTILSKP >EOY24178 pep chromosome:Theobroma_cacao_20110822:3:29967883:29987317:1 gene:TCM_015848 transcript:EOY24178 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA/rRNA methyltransferase family protein isoform 2 MDKDYMLALSGSFPLPLSCHVLSIILDAALRTFQAAPVTDSVLENGCCYAPKFIANLLWNLCNVTERLLLQCSENRSCTVGFLLPVIFKAFVSHSSFKVSVHGQTHILSRNRFFMRMWRCCGRLFSLGSLERRDAYSILSLYLSYFSCTETSENSDISDGAEEFDVSSEKELWNEIKAGLVDEEGLVRKQSLRILKTVLCMSSGSQCHSGISEKKSQGKHSVPHGVTKRELWAYNEAKSLGVGKVCSLVDSGLNSQQQWEAFFLLFEMLEEYGTHLVEAAWNHQITLLLQFSASDDNFVSAISRGVHQNQSETWGEVFSWLSILWKRGFCHDNPQVRCMIMQSFLGIEWTKYGSRVKSVPEIFILGPLMEALNDPVHHNDFGVKGVYSSKTIEGAGQFLHHYSSYLDSRERIVFLSSLVSLAKRKSFSRAGLMGLAECIAASALGACKYIDNEVKFSKDGFVDKVQQENSLQNFLHDDGTELLDVFRYVLESSKQHFNPNYRFRVCEKVVDAAALLVPASDVPFETLLHFISTLPREFTDYGGSLRVRVQDWLLQNHCTPHCGGTWMQLLDSLYGFPKRFITHNYLVENFNDEDLDAWDLEVRRWARVLFLVIKEEHQLVPLLMFIQNHGTNICKQKNNSEWIPVKFLTLILGLIQEIQVMQSRVAKLGVRIQIKSEMGLLETEERPNNVEVSIVYKMFTDPLLFILEELVSFANLSCSIFLSSSEIDDKVLPSSVRGKLGGPSQRRLSNSLTTAVLQAIMSVKAVACISAWCAQMRFGILLNSAFTFVWKFFCNTIASLTCNSESEAEVCLAAYEALAPALKALVSTFSPQTLDLFRENCKSLVPAVEGEPWLGSVVLSFLQNINDLLTVRFMARTRRAVLLNWKWVCLESLLLIPYYAFESKLHVEDGRFFFSDAAVRHIVTDILESLENAGEGSVLPMLRSIRLALELFTPGRLSAVVSHCSGIDFQMIWHLVRSSWILHVSCNKRRVAPIAALLSSVLHPSLFSDGDMHETDNEPGPLKWFVEKLLEEGTKSPRTIRLAALHLTGLWLSNPRTIKYYIKELKLLTLYGSVAFDEDFEAELTENHDARTEVTLLAKNPDPELTELFINTELYARVSVAVLFYKLADLTNMVGSSSGNKDYQAALESGKLFLLELLDSVVNDKDLAKELYKKYSAIHRRKIRAWQMICVLSQFVDDDIVGEVAHCLHIALYRNNLPSVRQYLETFAINIYLKFPSLVAEQLVPTLRDYDMRPQALSSYVFVAANVIIHASKETQFRHLDELLPPILPLLTSHHHSLRGFTQVLVHQVLCKLFPPVDPRSSEFIPLEKRCFEDLKLYLAKNSDCMRLRASMEGYLDAYNPKNSATPAGIFVSRVEEIEFECVPTSLMEQVLNFLNDVREDLRCSMAKDIVTIKNESLNISEDPESIEKLSTACKERLFTELSKDAHLDFQKKITFSNHEKQDMNSSSLLGKEEVYKQLLEMEKEDGLLDQLLKSRSMAMERIRGNRQHIILVASLLDRIPNLAGLARTSEVFKASGLAVADAKIVHDKQFQLISVTAEKWVPIIEVPVNSVKQFLEKKKREGYSILGLEQTANSVPLDQYIYPKKTDIRWS >EOY24177 pep chromosome:Theobroma_cacao_20110822:3:29967031:29992135:1 gene:TCM_015848 transcript:EOY24177 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA/rRNA methyltransferase family protein isoform 2 MSATEPNPMAAAALITSLSNSFRQVPLSAIPPMLDCVLASTPLPPMSIFSSLLDDLHNLIERANRDGKLDSDHRTNIASMVGALCHLLTEAKTNHEGLQSFLRKGFIPLMKMGHEFDRELLNQIADSFFDVVQKTNAWAVLEATLVPFFLRSVGVSASIIQNEELDGTGWYRSSVFLVSNDLIENLDMDKDYMLALSGSFPLPLSCHVLSIILDAALRTFQAAPVTDSVLENGCCYAPKFIANLLWNLCNVTERLLLQCSENRSCTVGFLLPVIFKAFVSHSSFKVSVHGQTHILSRNRFFMRMWRCCGRLFSLGSLERRDAYSILSLYLSYFSCTETSENSDISDGAEEFDVSSEKELWNEIKAGLVDEEGLVRKQSLRILKTVLCMSSGSQCHSGISEKKSQGKHSVPHGVTKRELWAYNEAKSLGVGKVCSLVDSGLNSQQQWEAFFLLFEMLEEYGTHLVEAAWNHQITLLLQFSASDDNFVSAISRGVHQNQSETWGEVFSWLSILWKRGFCHDNPQVRCMIMQSFLGIEWTKYGSRVKSVPEIFILGPLMEALNDPVHHNDFGVKGVYSSKTIEGAGQFLHHYSSYLDSRERIVFLSSLVSLAKRKSFSRAGLMGLAECIAASALGACKYIDNEVKFSKDGFVDKVQQENSLQNFLHDDGTELLDVFRYVLESSKQHFNPNYRFRVCEKVVDAAALLVPASDVPFETLLHFISTLPREFTDYGGSLRVRVQDWLLQNHCTPHCGGTWMQLLDSLYGFPKRFITHNYLVENFNDEDLDAWDLEVRRWARVLFLVIKEEHQLVPLLMFIQNHGTNICKQKNNSEWIPVKFLTLILGLIQEIQVMQSRVAKLGVRIQIKSEMGLLETEERPNNVEVSIVYKMFTDPLLFILEELVSFANLSCSIFLSSSEIDDKVLPSSVRGKLGGPSQRRLSNSLTTAVLQAIMSVKAVACISAWCAQMRFGILLNSAFTFVWKFFCNTIASLTCNSESEAEVCLAAYEALAPALKALVSTFSPQTLDLFRENCKSLVPAVEGEPWLGSVVLSFLQNINDLLTVRFMARTRRAVLLNWKWVCLESLLLIPYYAFESKLHVEDGRFFFSDAAVRHIVTDILESLENAGEGSVLPMLRSIRLALELFTPGRLSAVVSHCSGIDFQMIWHLVRSSWILHVSCNKRRVAPIAALLSSVLHPSLFSDGDMHETDNEPGPLKWFVEKLLEEGTKSPRTIRLAALHLTGLWLSNPRTIKYYIKELKLLTLYGSVAFDEDFEAELTENHDARTEVTLLAKNPDPELTELFINTELYARVSVAVLFYKLADLTNMVGSSSGNKDYQAALESGKLFLLELLDSVVNDKDLAKELYKKYSAIHRRKIRAWQMICVLSQFVDDDIVGEVAHCLHIALYRNNLPSVRQYLETFAINIYLKFPSLVAEQLVPTLRDYDMRPQALSSYVFVAANVIIHASKETQFRHLDELLPPILPLLTSHHHSLRGFTQVLVHQVLCKLFPPVDPRSSEFIPLEKRCFEDLKLYLAKNSDCMRLRASMEGYLDAYNPKNSATPAGIFVSRVEEIEFECVPTSLMEQVLNFLNDVREDLRCSMAKDIVTIKNESLNISEDPESIEKLSTACKERLFTELSKDAHLDFQKKITFSNHEKQDMNSSSLLGKEEVYKQLLEMEKEDGLLDQLLKSRSMAMERIRGNRQHIILVASLLDRIPNLAGLARTSEVFKASGLAVADAKIVHDKQFQLISVTAEKWVPIIEVPVNSVKQFLEKKKREGYSILGLEQTANSVPLDQYIYPKKTVLVLGREKEGIPVDIIHILDACIEIPQLGVVRSLNVHEEPTLSLTAKQKHHRSDKNTVAYKGKISSSHTIFLESHLQKGSYTKLYSYTHLLNGFAVHVTLEKVLSILQNATGVRFIHEDVKVEKLTTHSPDFLRIPAGVWRRLGGAETSGEGVVIGFIDTGMNPYHPSFMRHSALGFLNSTNYKGKCTSGEQFPSTACNGKMDMEGSLCPYIDKFRGNWIAVYKALHTFGGYMSDVGAAVDRAVEDGVDRLSLSLGPSSVPPGLSALLNMLELEPLFATKAGVLVVQAAGNGGPSSSSIISFCPWITSVPASITDRKYKNTILLGNGQSFSGVGLARILFSKFQIMI >EOY21011 pep chromosome:Theobroma_cacao_20110822:3:2253458:2254696:-1 gene:TCM_012327 transcript:EOY21011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMNDVALCMKSVNEEVGSAWKIRLLRKVVLGIAYVAKDGRGSFGGYFIMDDDDDDDGVEMDPTTTICRSRDGPI >EOY22056 pep chromosome:Theobroma_cacao_20110822:3:21102641:21104613:1 gene:TCM_014244 transcript:EOY22056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASKGSKSVEVVSSHHKIVDVMLARDGSNFVEWKFLVKVNAKRLGKEAHFTEPYQNKENATKLWITDDGRLYKEYDETVQFITRVDKEKDQVGEKAILKEVMEVQEYVDNRATTTISTPSKFAHIASQVGDFATPHLKRRTLSEVIPQHVFLLPLIARSLTQDLLTWKITGSGSESNGLLLLDEVVVALPSAGASIACCNDLAHFQLHCQLGHPSLSVLKKMHVDLTSLPSLPYETCEFAKHHHLPCV >EOY20779 pep chromosome:Theobroma_cacao_20110822:3:1043067:1109918:-1 gene:TCM_012111 transcript:EOY20779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative isoform 2 MEAHNICSSAIVAQVLNGASNYENWKACVQNYLLVRDLCDVVEQTSEPPQQEEGDGADFKAWRKRNLSALHAIQISCDPIMLSQIRNMTTAKDAWNTLAQVCQLPMPQQAPQVPQGAPQIQVARRISRTRILELLKAIKESSLEPTKSLLTSQGHLATAAICDSSFTTFHFTIFKGQLDMIDEFLSTMSEEDLKLQDRTGRTVLHRASTYTKNSKIAQSLIRKNGELLTVQDCRGDIPLNYACWIGHKDMTHYLCNMTTREFLLSPENERQAALFVKDCINNKWFDVALDLLRHRPQLAFAKERTGVNAALALSCQPSGFPSSSGFSFWQRWIYSCLKVKQPKAWSREDDVHINTCELQDQKEMKNFTTQVAGQLLRLGSNLLKFFGIKQIYDLKLAHVYAHELLLLMAETIATFEIEQLYQGLVNHAIINAAQRGMMEFIVEIIKRNLDLLMMSDVDDRSIFHIAIAHRQEKVFSLIYGLDTIKYIFVSFTDKNSNNMLHLAGKLSPQSQLKLQQISGAALQMQRELQWFKEVESIIPPMYKEYRNKRGETPYEAFDQSHAKLVKEGEKWMK >EOY20776 pep chromosome:Theobroma_cacao_20110822:3:1042266:1109918:-1 gene:TCM_012111 transcript:EOY20776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative isoform 2 MEAHNICSSAIVAQVLNGASNYENWKACVQNYLLVRDLCDVVEQTSEPPQQEEGDGADFKAWRKRNLSALHAIQISCDPIMLSQIRNMTTAKDAWNTLAQVCQLPMPQQAPQVPQGAPQIQVARRISRTRILELLKAIKESSLEPTKSLLTSQGHLATAAICDSSFTTFHFTIFKGQLDMIDEFLSTMSEEDLKLQDRTGRTVLHRASTYTKNSKIAQSLIRKNGELLTVQDCRGDIPLNYACWIGHKDMTHYLCNMTTREFLLSPENERQAALFVKDCINNKWFDVALDLLRHRPQLAFAKERTGVNAALALSCQPSGFPSSSGFSFWQRWIYSCLKVKQPKAWSREDDVHINTCELQDQKEMKNFTTQVAGQLLRLGSNLLKFFGIKQIYDLKLAHVYAHELLLLMAETIATFEIEQLYQGLVNHAIINAAQRGMMEFIVEIIKRNLDLLMMSDVDDRSIFHIAIAHRQEKVFSLIYGLDTIKYIFVSFTDKNSNNMLHLAGKLSPQSQLKLQQISGAALQMQRELQWFKEVESIIPAAYKEHRNSVGQTPYEAFDQSHAELLKEGEKWMKDIAQSSTVVGTLIITIMFAALFTVPGGLNQNTGVPLLLTKKLFKLFIISDAISLFASTTSVLMFVGILTSRYIAEDFRKSLPNKLIIGLSSLFISIAAMMVAFSSTVFIMLKGQLEIIIPIVVLVGFPIGLFVWLQFPLLAKIFISTYGPGIFDKKMKKWL >EOY20777 pep chromosome:Theobroma_cacao_20110822:3:1069889:1193132:-1 gene:TCM_012111 transcript:EOY20777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative isoform 2 MEAHNICSSAIVAQVLNGASNYENWKACVQNYLLVRDLCDVVEQTSEPPQQEEGDGADFKAWRKRNLSALHAIQISCDPIMLSQIRNMTTAKDAWNTLAQVCQLPMPQQAPQVPQGAPQIQVARRISRTRILELLKAIKESSLEPTKSLLTSQGHLATAAICDSSFTTFHFTIFKGQLDMIDEFLSTMSEEDLKLQDRTGRTVLHRASTYTKNSKIAQSLIRKNGELLTVQDCRGDIPLNYACWIGHKDMTHYLCNMTTREFLLSPENERQAALFVKDCINNKWFDVALDLLRHRPQLAFAKERTGVNAALALSCQPSGFPSSSGFSFWQRWIYSCLKVKQPKAWSREDDVHINTCELQDQKEMKNFTTQVAGQLLRLGSNLLKFFGIKQIYDLKLAHVYAHELLLLMAETIATFEIEQLYQGLVNHAIINAAQRGMMEFIVEIIKRNLDLLMMSDVDDRSIFHIAIAHRQEKVFSLIYGLDTIKYIFVSFTDKNSNNMLHLAGKLSPQSQLKLQQISGAALQMQRELQWFKSSDLSRRFGENPSRTSLPKNPPEIGNSLPFLGGGKHYTSCV >EOY20778 pep chromosome:Theobroma_cacao_20110822:3:1042315:1193132:-1 gene:TCM_012111 transcript:EOY20778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative isoform 2 MEAHNICSSAIVAQVLNGASNYENWKACVQNYLLVRDLCDVVEQTSEPPQQEEGDGADFKAWRKRNLSALHAIQISCDPIMLSQIRNMTTAKDAWNTLAQVCQLPMPQQAPQVPQGAPQIQVARRISRTRILELLKAIKESSLEPTKSLLTSQGHLATAAICDSSFTTFHFTIFKGQLDMIDEFLSTMSEEDLKLQDRTGRTVLHRASTYTKNSKIAQSLIRKNGELLTVQDCRGDIPLNYACWIGHKDMTHYLCNMTTREFLLSPENERQAALFVKDCINNKWFDVALDLLRHRPQLAFAKERTGVNAALALSCQPSGFPSSSGFSFWQRWIYSCLKVKQPKAWSREDDVHINTCELQDQKEMKNFTTQVAGQLLRLGSNLLKFFGIKQIYDLKLAHVYAHELLLLMAETIATFEIEQLYQGLVNHAIINAAQRGMMEFIVEIIKRNLDLLMMSDVDDRSIFHIAIAHRQEKVFSLIYGLDTIKYIFVSFTDKNSNNMLHLAGKLSPQSQLKLQQISGAALQMQRELQWFKEVESIIPPMYKEYRNKRGETPYEAFDQSHAKLVKEGEKWMKDRAQSSSVVGTLIITIMFAALFTVPGGPNQETGVPILLRKKHFRVFVISDAISLSASTTSMLIFVGILTSRYTAHDFLISLPNKLIIRLSFLFISIAAMMVAFSSTVFIMLKGQLEIIIPIVVLVGFPIGLFVWLQFPLLAKIFISTYGPGIFDKKMKKWL >EOY22210 pep chromosome:Theobroma_cacao_20110822:3:22411003:22411773:-1 gene:TCM_014435 transcript:EOY22210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASWAWVLWPKNNLLTLDIVREPRAAMLLDKPKKTDKWNWREVGIGGVLKDDNDTVRIVFSKCIKAVNANLAKELVFREAMTIWAPFS >EOY22307 pep chromosome:Theobroma_cacao_20110822:3:22936875:22938684:-1 gene:TCM_014521 transcript:EOY22307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MSSQLHVFPLQTLKHRCLAALKTRSPHISCLAVRDNLLYAAAINEINVFDLSNHSPVDSFNDDPTSGFVKSIAFNKTKIFTAHQDGKIRIWEITLSKKHQLLTTLPTVKDRFLHFILPKNYVNVRRHKKRLWIEHWDTVSGLVILNQKELMYSVSWDKSFKIWNVNNRRCLESVKAHEDAVNTLVVSDNGTVYTGSADGLISVWERANKERRHSLVATLDKHKSTVNALALNADGSVLFSGGCDRSIMVWEKKGENNAENDHVGFVEALWGHSGPILCLINVGDLFVSGSSDRTVRVWQRGKEGGFHCSIVLEGHEKPVKSLVAVARGVFEGVFTFCSGSLDREIRVWEISRCSNLKNKSHEIRILLDGVPKSC >EOY23839 pep chromosome:Theobroma_cacao_20110822:3:28744434:28745147:1 gene:TCM_015609 transcript:EOY23839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWVVDPFRDCLLLGRIQIALILQGNGKVNRTWHLATLFYHLQFQIAFKQSQLAQPYLFLLCNFLR >EOY21220 pep chromosome:Theobroma_cacao_20110822:3:4229633:4238381:1 gene:TCM_012601 transcript:EOY21220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1A/initiation factor IF2gamma family protein isoform 4 MGMKDELDTKSQPVQAEPKVKDKEVSAPEDVEALDEMEIDKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERIKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGAAQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVAKLLVVVNKMDDHTVNWSKERYDEIESKMTPFLRSSGYNVKKDVQFLPISGLVGTNMKTRVDKSTCPWWNGPCLFEALDSVEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVREGDSLLVMPNKAQVKVLAVYCDENKVRCAGPGENLRVRLSGIEEEDILSGFVLSSVVKSIAAVTEFTAQLQILELLENAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVCRIQVNNLICIEKFSDFPQLGRFTLRTEGKTVAVGKVTDLSSSSSA >EOY21216 pep chromosome:Theobroma_cacao_20110822:3:4229118:4242029:1 gene:TCM_012601 transcript:EOY21216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1A/initiation factor IF2gamma family protein isoform 4 MNRMTVRWETGFAWGPRTPPRCHAKNAKRQHHFYITKHSFLVSSYSKSGFPELRLSFPFATKTLASAQIPRLLSAPMDIEAEIRALQLDSTEDNNGVVNPEDAKPEVEMTDKVEEEGMKDELDTKSQPVQAEPKVKDKEVSAPEDVEALDEMEIDKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERIKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGAAQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVAKLLVVVNKMDDHTVNWSKERYDEIESKMTPFLRSSGYNVKKDVQFLPISGLVGTNMKTRVDKSTCPWWNGPCLFEALDSVEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVREGDSLLVMPNKAQVKVLAVYCDENKVRCAGPGENLRVRLSGIEEEDILSGFVLSSVVKSIAAVTEFTAQLQILELLENAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVCRIQVNNLICIEKFSDFPQLGRFTLRTEGKTVAVGKVTDLSSSSSA >EOY21219 pep chromosome:Theobroma_cacao_20110822:3:4229633:4238381:1 gene:TCM_012601 transcript:EOY21219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1A/initiation factor IF2gamma family protein isoform 4 MGMKDELDTKSQPVQAEPKVKDKEVSAPEDVEALDEMEIDKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERIKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGAAQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVAKLLVVVNKMDDHTVNWSKERYDEIESKMTPFLRSSGYNVKKDVQFLPISGLVGTNMKTRVDKSTCPWWNGPCLFEALDSVEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVREGDSLLVMPNKAQVKVLAVYCDENKVRCAGPGENLRVRLSGIEEEDILSGFVLSSVVKSIAAVTEFTAQLQILELLENAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVCRIQVNNLICIEKFSDFPQLGRFTLRTEGKTVAVGKVTDLSSSSSA >EOY21221 pep chromosome:Theobroma_cacao_20110822:3:4231462:4238664:1 gene:TCM_012601 transcript:EOY21221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1A/initiation factor IF2gamma family protein isoform 4 MKDELDTKSQPVQAEPKVKDKEVSAPEDVEALDEMEIDKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERIKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGAAQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVAKLLVVVNKMDDHTVNWSKERYDEIESKMTPFLRSSGYNVKKDVQFLPISGLVGTNMKTRVDKSTCPWWNGPCLFEALDSVEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVREGDSLLVMPNKAQVKVLAVYCDENKVRCAGPGENLRVRLSGIEEEDILSGFVLSSVVKSIAAVTEFTAQLQILELLENAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVCRIQVNNLICIEKFSDFPQLGRFTLRTEGNGDKLFSLPINYCS >EOY21217 pep chromosome:Theobroma_cacao_20110822:3:4229508:4238486:1 gene:TCM_012601 transcript:EOY21217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1A/initiation factor IF2gamma family protein isoform 4 MDIEAEIRALQLDSTEDNNGVVNPEDAKPEVEMTDKVEEEGMKDELDTKSQPVQAEPKVKDKEVSAPEDVEALDEMEIDKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERIKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGAAQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVAKLLVVVNKMDDHTVNWSKERYDEIESKMTPFLRSSGYNVKKDVQFLPISGLVGTNMKTRVDKSTCPWWNGPCLFEALDSVEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVREGDSLLVMPNKAQVKVLAVYCDENKVRCAGPGENLRVRLSGIEEEDILSGFVLSSVVKSIAAVTEFTAQLQILELLENAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVCRIQVNNLICIEKFSDFPQLGRFTLRTEGKTVAVGKVTDLSSSSSA >EOY21218 pep chromosome:Theobroma_cacao_20110822:3:4229633:4238381:1 gene:TCM_012601 transcript:EOY21218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1A/initiation factor IF2gamma family protein isoform 4 MGMKDELDTKSQPVQAEPKVKDKEVSAPEDVEALDEMEIDKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERIKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGAAQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVAKLLVVVNKMDDHTVNWSKERYDEIESKMTPFLRSSGYNVKKDVQFLPISGLVGTNMKTRVDKSTCPWWNGPCLFEALDSVEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVREGDSLLVMPNKAQVKVLAVYCDENKVRCAGPGENLRVRLSGIEEEDILSGFVLSSVVKSIAAVTEFTAQLQILELLENAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVCRIQVNNLICIEKFSDFPQLGRFTLRTEGKTVAVGKVTDLSSSSSA >EOY21215 pep chromosome:Theobroma_cacao_20110822:3:4229118:4242029:1 gene:TCM_012601 transcript:EOY21215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1A/initiation factor IF2gamma family protein isoform 4 MNRMTVRWETGFAWGPRTPPRCHAKNAKRQHHFYITKHSFLVSSYSKSGFPELRLSFPFATKTLASAQIPRLLSAPMDIEAEIRALQLDSTEDNNGVVNPEDAKPEVEMTDKVEEEGMKDELDTKSQPVQAEPKVKDKEVSAPEDVEALDEMEIDKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERIKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGAAQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVAKLLVVVNKMDDHTVNWSKERYDEIESKMTPFLRSSGYNVKKDVQFLPISGLVGTNMKTRVDKSTCPWWNGPCLFEALDSVEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVREGDSLLVMPNKAQVKVLAVYCDENKVRCAGPGENLRVRLSGIEEEDILSGFVLSSVVKSIAAVTEFTAQLQILELLENAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVCRIQVNNLICIEKFSDFPQLGRFTLRTEGKTVAVGKVTDLSSSSSA >EOY22819 pep chromosome:Theobroma_cacao_20110822:3:24889063:24892684:-1 gene:TCM_014877 transcript:EOY22819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MAAGLAITSEGGRYNGRMTLLVLLSCMMAATGGIIFGYDLGISGGVTSMEPFLKKFFPKVNTKMKEDTKISNYCKFDSQLLTSFTSSLYIAGLISSFFASPVTRAFGRKPSILIGGAAFLAGSALGGAAFNVYMLIFGRVLLGVGVGFANQSVPLYISEMALPRHRGAMNIGFQCGVGIGVLSANIINFGTEKIEGGWGWRISLALAALPASFLTIGALLLPETPNSLIQNSNNHQKAKSVLQRIRGTADVQAELDDLIKASSISKTTNHPFQKIIQRKYRPQFVMAIALPFFQQVTGINVITFYAPILFRTIGQGESASLMSAVVTGLVGTTATFVSMLAVDKVGRRALLMIGGIQMLVTQIIIGGIMAALLGDHGGLSKGYAYLVLALICVYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSITVAVGFLFTFIIAQTFLAMLCHFKSGIFFFFGGWVVLMTAFVYFFLPETKNVPIEQMEKVWREHWFWKRIVGEVDEKVYTQGARC >EOY22480 pep chromosome:Theobroma_cacao_20110822:3:23615531:23620933:-1 gene:TCM_046741 transcript:EOY22480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 27 MERFLSMEYVFILLSLLQCLKFGPAAAVAHAGNGDGVQPLSKIAIHKAVYALHENASVTAHPVVLGTKGGDSDWVTVEVECPKPSDDDWIAVFSPASFNSSTCPPSGDMEESPHICSAPIKYKYANDSNAEYNKTGKASLKFQLINQREDISFALFSGGLSDPKLVAVSNVISFANPKAPLYPRLSQGKSWNEMTVTWTSGYNIIEAVPFVEWGLKGESQTRSPAGTLTFHQNSMCAPPARTVGWRDPGFIHTSFLKDLWPNSVYTYKLGHKLLNGSHVWSKSYSFKSSPYPGQDSLQRVVIFGDMGKAERDGSNEYNNYQPGSLNTTDQLIKDLENIDIVFHIGDITYSNGYISQWDQFTSQVEPIASTVPYMIASGNHERDAPNSGSFYDGNDSGGECGVVAETMFYVPAENRAKFWYSTDYGMFHFCIADSEHDWREGSEQYEFIEKCLASADRQKQPWLIFAAHRVLGYSSSYWRSGSFGEPMGRESLQGLWQKYKVDIAFFGHIHNYERTCPVYQEQCVNTEKSHYSGAVNGTIHIVVGGGGSHLSGFGPIQTSWSLYKDSDFGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTIARDYRDVLACVHDGCEPTTLGS >EOY20807 pep chromosome:Theobroma_cacao_20110822:3:1347068:1350779:1 gene:TCM_012154 transcript:EOY20807 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDA1/CD39 nucleoside phosphatase family protein, putative MEPKSPSKVKLSVMGFKRWKRVLKVFTFGFLILLSCIGVYLAFNFVKAWNVLESSYYTVVVDCGSTGTRVNVFEWEKGGLVNQGLPYLVHSYPDYSTKSPLWRNSCHYHCMQTEPGLDKFVGNASGMRASLEPLIAWAEQMVPHERHGDTPIIVLATAGLRRLAAKDARQVLDDVEIVVRGHSFVYSKNWIRVLTGKEEAYYGWVALNYKLGSLGNSLKASTFGLLDLGGSSLQVVVEVAEENGNENVMTSNIGSTDHNILAYSLPAFGLTEAFDRTVVMLSQNQTDRGNTTNRFEVRHPCLSSDFVQNYTCSGCPMPNVTDLENSESLMYKSQFSLTYLVGDPNWEQCKELVRAAAMNYSGSDWSHQIVGRNCEANSSPYGGSNMLNLTAVAHHSGRFHALSGFFVVNDMLHLSPRASVTEIWEKGEQLCSRSSTELSSISQRQTYAGQSCFRVPYVASLIEDSLCLGNGEIVFGPGDVSWTLGAALIHGLDSIETPASISTTKDMDIFSSPVFLFVLLLFLLFVVYCSQITLPMLGRKVADVGVSLPSYVHPRRRQT >EOY24372 pep chromosome:Theobroma_cacao_20110822:3:30679612:30679980:1 gene:TCM_015989 transcript:EOY24372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRPGKQKQAMWINHHRLSPWAFCTFLHSAWLSAFRYLQEFSYLGRCSFEPSRPPALCRS >EOY22036 pep chromosome:Theobroma_cacao_20110822:3:20799113:20802841:1 gene:TCM_014214 transcript:EOY22036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 METPEPKSQSSSSSPMPPSSLARQWRIAAQRNLRNQWSKMASNRQQWLSSSSSARTHATSLVNAYLSQKYMPLMELGALKDMPDIRNKASFKLFEQQELHRSKVLSSYKDMVAVVMHMVDASKSVRSFLKVASSSSLVQFSSSSEDINDTGDCGGIAVFRFWSISPFEKLAEELSQMFKLELSLKRFLLLELLSIGCEVSQVNRLCWSDELYPGEFSDLKVCNLYSEETSEPVHPRLKDCKFDMPAFQCNRHPDHEILQVYITTWLAEVNIDGHRVDEIFAVIGEEMHISLS >EOY22037 pep chromosome:Theobroma_cacao_20110822:3:20798981:20802314:1 gene:TCM_014214 transcript:EOY22037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 METPEPKSQSSSSSPMPPSSLARQWRIAAQRNLRNQWSKMASNRQQWLSSSSSARTHATSLVNAYLSQKYMPLMELGALKDMPDIRNKASFKLFEQQELHRSKVLSSYKDMVAVVMHMVDASKSVRSFLKVASSSSLVQFSSSSEDINDTGDCGGIAVFRFWSISPFEKLAEELSQMFKLELSLKRFLLLELLSIGCEVSQVNRLCWSDELYPGEFSDLKVCNLYSEETSEPVHPRLKDCKFDMPAFQCNRHPDHEILQFSLQVYITTW >EOY22184 pep chromosome:Theobroma_cacao_20110822:3:22179212:22187435:-1 gene:TCM_014402 transcript:EOY22184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSIRFFGFVLVFILVLTGESAADSQVLKRILAAHGCVIEPARKNPAKLGKEVYDAVPAAAEVGNSRLEGRKMMLKWGLDKKTVTVEGSDGEESAKITGKVTNALNNQIGSSQEKFNYQDDRNMLKANFLSSATPEASRKHSVKLPSRNQLCFQYSKPVSDKGSSECFSRSPKLVPRDSDNSLEKHQSKKLLEAARDLLNFMNEDYRIPPTKPPVHNYEPLDQKQAIP >EOY21847 pep chromosome:Theobroma_cacao_20110822:3:19103158:19108700:-1 gene:TCM_013994 transcript:EOY21847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTPQLNQLEFMYKELGVLKKVQEIVQAWKKTSQVDQGKFIDEVMRDEQFEMMDKIEKKLEEIMGHSIEKCTAFKHKVQGLIKASFLNFEKNPEQGVNDYPLLNHARAGLKTWLMC >EOY21973 pep chromosome:Theobroma_cacao_20110822:3:20212615:20216047:-1 gene:TCM_014141 transcript:EOY21973 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MHGLSGLLPTAPPTKPTWGRSNDTLESIVHQATCHKQKQNFNLLQHDQTRSNRSSIAASSVGNWAESSSRLPVAAAAALLKKRARSDSDQCRKNLSGGIQEDRADRSACASASAAFCRDNDATMMTWASHESPQSMKTKTADEDSSYHDGSENQDEDRETRGETGRSHSTRRSRAAAIHNLSERRRRDRINQKMRTLQKLVPNASKTDKASMLDEVIEYLKQLQAQVQMMSMRSMPQMMVPLGMQQHLQMSLLARMGMGMLDINSMARFPSQSLPPLMHPSPVTVPPTFLPPFVAPPMIPTREAAQANSDAISNASVPLPDPYCALLAQSVNMDLYSKMAALYRPQINQTTQTASSPSRSNNVQED >EOY21971 pep chromosome:Theobroma_cacao_20110822:3:20212503:20216052:-1 gene:TCM_014141 transcript:EOY21971 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MNQCVVPNWNLKHQRQEQVEEEGNRSSHVLNSSNAHLVPMSNYEVAELTWENGQLAMHGLSGLLPTAPPTKPTWGRSNDTLESIVHQATCHKQKQNFNLLQHDQTRSNRSSIAASSVGNWAESSSRLPVAAAAALLKKRARSDSDQCRKNLSGGIQEDRADRSACASASAAFCRDNDATMMTWASHESPQSMKTKTADEDSSYHDGSENQDEDRETRGETGRSHSTRRSRAAAIHNLSERRRRDRINQKMRTLQKLVPNASKTDKASMLDEVIEYLKQLQAQVQMMSMRSMPQMMVPLGMQQHLQMSLLARMGMGMLDINSMARFPSQSLPPLMHPSPVTVPPTFLPPFVAPPMIPTREAAQANSDAISNASVPLPDPYCALLAQSVNMDLYSKMAALYRPQINQTTQTASSPSRSNNVQED >EOY21974 pep chromosome:Theobroma_cacao_20110822:3:20212998:20214899:-1 gene:TCM_014141 transcript:EOY21974 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MEMPCFNQFLYLKFRSNYEVAELTWENGQLAMHGLSGLLPTAPPTKPTWGRSNDTLESIVHQATCHKQKQNFNLLQHDQTRSNRSSIAASSVGNWAESSSRLPVAAAAALLKKRARSDSDQCRKNLSGGIQEDRADRSACASASAAFCRDNDATMMTWASHESPQSMKTKTADEDSSYHDGSENQDEDRETRGETGRSHSTRRSRAAAIHNLSERRRRDRINQKMRTLQKLVPNASKTDKASMLDEVIEYLKQLQAQVQMMSMRSMPQMMVPLGMQQHLQMSLLARMGMGMLDINSMARFPSQSLPPLMHPSPVTVPPTFLPPFVAPPMIPTREAAQANSDAISNASVPLPDPYCALLAQSVNMDLYSKMAALYRPQINQTTQTASSPSRSNNVQED >EOY21972 pep chromosome:Theobroma_cacao_20110822:3:20212998:20215861:-1 gene:TCM_014141 transcript:EOY21972 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MNQCVVPNWNLKHQRQEQVEEEGNRSSHVLNSSNAHLVPMSNYEVAELTWENGQLAMHGLSGLLPTAPPTKPTWGRSNDTLESIVHQATCHKQKQNFNLLQHDQTRSNRSSIAASSVGNWAESSSRLPVAAAAALLKKRARSDSDQCRKNLSGGIQEDRADRSACASASAAFCRDNDATMMTWASHESPQSMKTKTADEDSSYHDGSENQDEDRETRGETGRSHSTRRSRAAAIHNLSERRRRDRINQKMRTLQKLVPNASKTDKASMLDEVIEYLKQLQAQVQMMSMRSMPQMMVPLGMQQHLQMSLLARMGMGMLDINSMARFPSQSLPPLMHPSPVTVPPTFLPPFVAPPMIPTREAAQANSDAISNASVPLPDPYCALLAQSVNMDLYSKMAALYRPQINQTTQTASSPSRSNNVQED >EOY23251 pep chromosome:Theobroma_cacao_20110822:3:26777708:26810275:-1 gene:TCM_015208 transcript:EOY23251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion symporter isoform 2 MAMASYVKLILGSIAFAIFWVLAVFPAVPCLPIGRTAGSLLGGMLMVIFQVLTVDQAYEAIDLSILGLLFGTMVVSGYLESADAFKYLGKLLTWKSKGAKDLICRICLISAISSAFFTNDTSCMVLTEFVLKIARQKNLPPQPFLLALASSANIGSTATPIGNPQNLVIATQSGISFGEFLTGILPATILGSIVNALLLICMYWNLLSVKIDEEDSAAQFLTGDGVSSHHFSPATMSRLASSSSQGRLPGLEITNVLTSPHVNGSVNLAYHDTLRNRVNSDQGETEIHGFLNGPWESRNSNCSERWKRKLWKSCVYLVVVGMLISLLMGLNMSGTAISAALALMILDFKDAVPCLEKVSYSLLIFFCGMFVTVQGFNKTGIPSALWELMEPYAKIDQVSGMAVLALVILVLSNLVSNVPTVLLLGARMAASAALISTAFEKKAWLILSWVSTVAGNLSLLGSAANLIVCEQACRVPNLGYTLTFWSHLKFGVPSTLLVTAIGLILIKS >EOY23254 pep chromosome:Theobroma_cacao_20110822:3:26779267:26781577:-1 gene:TCM_015208 transcript:EOY23254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion symporter isoform 2 MAMASYVKLILGSIAFAIFWVLAVFPAVPCLPIGRTAGSLLGGMLMVIFQVLTVDQAYEAIDLSILGLLFGTMVVSGYLESADAFKYLGKLLTWKSKGAKDLICRICLISAISSAFFTNDTSCMVLTEFVLKIARQKNLPPQPFLLALASSANIGSTATPIGNPQNLVIATQSGISFGEFLTGILPATILGSIVNALLLICMYWNLLSVKIDEEDSAAQFLTGDGVSSHHFSPATMSRLASSSSQGRLPGLEITNVLTSPHVNGSVNLAYHDTLRNRVNSDQGETEIHGFLNGPWESRNSNCSERWKRKLWKSCVYLVVVGMLISLLMGLNMSGTAISAALALMILDFKDAVPCLEKVSYSLLIFFCGMFVTVQGFNKTGIPSALWELMEPYAKIDQVSGMAVLALVILVLSNLVSNVPTGMLIFLFYVALSS >EOY23249 pep chromosome:Theobroma_cacao_20110822:3:26777856:26781220:-1 gene:TCM_015208 transcript:EOY23249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion symporter isoform 2 MAMASYVKLILGSIAFAIFWVLAVFPAVPCLPIGRTAGSLLGGMLMVIFQVLTVDQAYEAIDLSILGLLFGTMVVSGYLESADAFKYLGKLLTWKSKGAKDLICRICLISAISSAFFTNDTSCMVLTEFVLKIARQKNLPPQPFLLALASSANIGSTATPIGNPQNLVIATQSGISFGEFLTGILPATILGSIVNALLLICMYWNLLSVKIDEEDSAAQFLTGDGVSSHHFSPATMSRLASSSSQGRLPGLEITNVLTSPHVNGSVNLAYHDTLRNRVNSDQGETEIHGFLNGPWESRNSNCSERWKRKLWKSCVYLVVVGMLISLLMGLNMSGTAISAALALMILDFKDAVPCLEKVSYSLLIFFCGMFVTVQGFNKTGIPSALWELMEPYAKIDQVSGMAVLALVILVLSNLVSNVPTVLLLGARMAASAALISTAFEKKAWLILSWVSTVAGNLSLLGSAANLIVCEQACRVPNLGYTLTFWSHLKFGVPSTLLVTAIGLILIKS >EOY23252 pep chromosome:Theobroma_cacao_20110822:3:26777741:26781577:-1 gene:TCM_015208 transcript:EOY23252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion symporter isoform 2 MLMVIFQVLTVDQAYEAIDLSILGLLFGTMVVSGYLESADAFKYLGKLLTWKSKGAKDLICRICLISAISSAFFTNDTSCMVLTEFVLKIARQKNLPPQPFLLALASSANIGSTATPIGNPQNLVIATQSGISFGEFLTGILPATILGSIVNALLLICMYWNLLSVKIDEEDSAAQFLTGDGVSSHHFSPATMSRLASSSSQGRLPGLEITNVLTSPHVNGSVNLAYHDTLRNRVNSDQGETEIHGFLNGPWESRNSNCSERWKRKLWKSCVYLVVVGMLISLLMGLNMSGTAISAALALMILDFKDAVPCLEKVSYSLLIFFCGMFVTVQGFNKTGIPSALWELMEPYAKIDQVSGMAVLALVILVLSNLVSNVPTVLLLGARMAASAALISTAFEKKAWLILSWVSTVAGNLSLLGSAANLIVCEQACRVPNLGYTLTFWSHLKFGVPSTLLVTAIGLILIKS >EOY23250 pep chromosome:Theobroma_cacao_20110822:3:26777258:26810339:-1 gene:TCM_015208 transcript:EOY23250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion symporter isoform 2 MLMVIFQVLTVDQAYEAIDLSILGLLFGTMVVSGYLESADAFKYLGKLLTWKSKGAKDLICRICLISAISSAFFTNDTSCMVLTEFVLKIARQKNLPPQPFLLALASSANIGSTATPIGNPQNLVIATQSGISFGEFLTGILPATILGSIVNALLLICMYWNLLSVKIDEEDSAAQFLTGDGVSSHHFSPATMSRLASSSSQGRLPGLEITNVLTSPHVNGSVNLAYHDTLRNRVNSDQGETEIHGFLNGPWESRNSNCSERWKRKLWKSCVYLVVVGMLISLLMGLNMSGTAISAALALMILDFKDAVPCLEKVSYSLLIFFCGMFVTVQGFNKTGIPSALWELMEPYAKIDQVSGMAVLALVILVLSNLVSNVPTVLLLGARMAASAALISTAFEKKAWLILSWVSTVAGNLSLLGSAANLIVCEQACRVPNLGYTLTFWSHLKFGVPSTLLVTAIGLILIKS >EOY23253 pep chromosome:Theobroma_cacao_20110822:3:26777238:26781223:-1 gene:TCM_015208 transcript:EOY23253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion symporter isoform 2 MAMASYVKLILGSIAFAIFWVLAVFPAVPCLPIGRTAGSLLGGMLMVIFQVLTVDQAYEAIDLSILGLLFGTMVVSGYLESADAFKYLGKLLTWKSKGAKDLICRICLISAISSAFFTNDTSCMVLTEFVLKIARQKNLPPQPFLLALASSANIGSTATPIGNPQNLVIATQSGISFGEFLTGILPATILGSIVNALLLICMYWNLLSVKIDEEDSAAQFLTGDGVSSHHFSPATMSRLASSSSQGRLPGLEITNVLTSPHVNGSVNLAYHDTLRNRVNSDQGETEIHGFLNGPWESRNSNCSERWKRKLWKSCVYLVVVGMLISLLMGLNMSGTAISAALALMILDFKDAVPCLEKVSYSLLIFFCGMFVTVQGFNKTGIPSALWELMEPYAKIDQVSGMAVLALVILVLSNLVSNVPTVLLLGARMAASAALISTAFEKKAWLILSWVSTVAGNLSLLGSAANLIVCEQACRVPNLGYTLTFWSHLKFGVPSTLLVTAIGLILIKS >EOY20562 pep chromosome:Theobroma_cacao_20110822:3:179545:185521:-1 gene:TCM_011955 transcript:EOY20562 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 2 MQCRFRNPTHHRNLVHSFVQKNFLHVYITLHDRRGGTSVISQHWGLAQCHRHHHRQHRRRSETSKKEDMAQSFKLKINTLLNKLGDRDTFSLAAAELESVSRNLDAISLPTFLSCILSVDSSDKCGVRKQCVKLVSVLAVTYPNSLPHFLHKILSSLLRRLRDPDSAVRSACVDAVSALSTNLTKCNFSSSFLKPLSDALFTEQEPNAQIGAALCLAAAIDGSPDPDAVRLGRMLTKLEKLVKSDACKAKAAVMVVIGSVIGSGGASNLSQGMMKGLVGCLIGFLSSEDWAARKGAAEALGRLAIAERDSLAEFKTSCMKVFEARRFDKVKAAREVMSQMLEAWKQVPDFSEEASPPPLSQASSKEDASDGRYPPGSKISSNVDVAVPQTRKKPTFTTRSTPPESSFATTARKRSPLKATIQKVNGKKPLDWRLEIAVPHAANVTGACEDSLKERSENVSRRRNNENVKSAKSDIKRVLFSNKNSDDKMHKFGGSKSGSRVAPCHEETPESTVVVSNASENLNANHKDSEDLSLIRSQLVQIEKQQSSLLDLLQRFVCSSQTGMHSLETRVHGLELALDEISYDLAVSTGRMSTSSRTTCCLLPAAGFLSSKFWRKTEGRYATSRFSTSSGTPSVAATRCRADRNWNAEAFKLENHRLRLQGGGGFIMNPLAEIHGDSRRVSEIAHQ >EOY20563 pep chromosome:Theobroma_cacao_20110822:3:177072:183525:-1 gene:TCM_011955 transcript:EOY20563 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 2 MAQSFKLKINTLLNKLGDRDTFSLAAAELESVSRNLDAISLPTFLSCILSVDSSDKCGVRKQCVKLVSVLAVTYPNSLPHFLHKILSSLLRRLRDPDSAVRSACVDAVSALSTNLTKCNFSSSFLKPLSDALFTEQEPNAQIGAALCLAAAIDGSPDPDAVRLGRMLTKLEKLVKSDACKAKAAVMVVIGSVIGSGGASNLSQGMMKGLVGCLIGFLSSEDWAARKGAAEALGRLAIAERDSLAEFKTSCMKVFEARRFDKVKAAREVMSQMLEAWKQVPDFSEEASPPPLSQASSKEDASDGRYPPGSKISSNVDVAVPQTRKKPTFTTRSTPPESSFATTARKRSPLKATIQKVNGKKPLDWRLEIAVPHAANVTGACEDSLKERSENVSRRRNNENVKSAKSDIKRVLFSNKNSDDKMHKFGGSKSGSRVAPCHEETPESTVVVSNASENLNANHKDSEDLSLIRSQLVQIEKQQSSLLDLLQRFVCSSQTGMHSLETRVHGLELALDEISYDLAVSTGRMSTSSRTTCCLLPAAGFLSSKFWRKTEGRYATSRFSTSSGTPSVAATRCRADRNWNAEAFKLENHRLRLQGGGGFIMNPLAEIHGDSRRVSEIAHQ >EOY20564 pep chromosome:Theobroma_cacao_20110822:3:177048:183525:-1 gene:TCM_011955 transcript:EOY20564 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 2 MAQSFKLKINTLLNKLGDRDTFSLAAAELESVSRNLDAISLPTFLSCILSVDSSDKCGVRKQCVKLVSVLAVTYPNSLPHFLHKILSSLLRRLRDPDSAVRSACVDAVSALSTNLTKCNFSSSFLKPLSDALFTEQEPNAQIGAALCLAAAIDGSPDPDAVRLGRMLTKLEKLVKSDACKAKAAVMVVIGSVIGSGGASNLSQGMMKGLVGCLIGFLSSEDWAARKGAAEALGRLAIAERDSLAEFKTSCMKVFEARRFDKVKAAREVMSQMLEAWKQVPDFSEEASPPPLSQASSKEDASDGRYPPGSKISSNVDVAVPQTRKKPTFTTRSTPPESSFATTARKRSPLKATIQKVNGKKPLDWRLEIAVPHAANVTGACEDSLKERSENVSRRRNNENVKSAKSDIKRVLFSNKNSDDKMHKFGGSKSGSRVAPCHEETPESTVVVSNASENLNANHKDSEDLSLIRSQLVQIEKQQSSLLDLLQRFVCSSQTGMHSLETRVHGLELALDEISYDLAVSTGRMSTSSRTTCCLLPAAGFLSSKFWRKTEGRYATSRFSTSSGTPSVAATRCRADRNWNAEAFKLENHRLRLQGGGGFIMNPLAEIHGDSRRVSEIAHQ >EOY20742 pep chromosome:Theobroma_cacao_20110822:3:893744:897563:-1 gene:TCM_012088 transcript:EOY20742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate/phosphate translocator-related MQSAAFSLSSPSSFPSLKPRIFGSNLRFDPVRVSSSFSASKRHDLSASSNVVSVPSLPKRSWRLSSSSGLPLRAWNSVPSDSKAERFEVRATAAESAGEGEKAGNLMKTLELGLLFGLWYLFNIYFNIYNKQVLKVFHYPVTVTVIQFAVGTVLVALMWTFNLYKRPKITGVQLAAILPLALVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVVLSAMFLGELPTVWVVGSLVPIVGGVALASVTEASFNWAGFWSAMASNLTNQSRNVLSKKVMVKKEDAMDNITLFSIITVMSFILLAPAAIFMEGVKFTPSYLQSAGLNVKEVVVRSLIAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVFFFKTPVSPINSLGTGIALAGVFLYSRVKRIKPKAKAA >EOY24855 pep chromosome:Theobroma_cacao_20110822:3:32451939:32455440:1 gene:TCM_016341 transcript:EOY24855 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein isoform 1 MAAPEAPLCYVGIARQSAAFRLMKQMGWEEGEGLGKDKQGIKGYVRVKNKQDTTGVGLDKPNPWAFDTAQFDSILKRLKVQAAPANDEVDKNENQVETKTNVSNDAEEQVVKTTRPQGRYKKRERGKLVHAYSSKDLEGILVKKVEESPQANPDVGGEMELIETTESQDPPTEGHKAESVSPEWWGHKYGFVSGGFLGECTRKKAIKTGESKNSTERTVFFEDDQENLYKLVQDKATTGKQGLGIKDRPKKIAGVRFQGKKTSFSDSDDEDSDDVGPLAKRMCDNAQEMEKADEPKLKLKKLCKQLLRQVPGESLKLKQLKVLIDERSSSVFSYFSSKKDALAYLKRKLAGSSKFSMEGKRVSLTSTSS >EOY24853 pep chromosome:Theobroma_cacao_20110822:3:32451835:32455740:1 gene:TCM_016341 transcript:EOY24853 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein isoform 1 MAAPEAPLCYVGIARQSAAFRLMKQMGWEEGEGLGKDKQGIKGYVRVKNKQDTTGVGLDKPNPWAFDTAQFDSILKRLKVQQAAPANDEAVDKNENQVETKTNVSNDAEEQVVKTTRPQGRYKKRERGKLVHAYSSKDLEGILVKKVEESPQANPDVGGEMELIETTESQDPPTEGHKAESVSPEWWGHKYGFVSGGFLGECTRKKAIKTGESKNSTERTVFFEDDQENLYKLVQDKATTGKQGLGIKDRPKKIAGVRFQGKKTSFSDSDDEDSDDVGPLAKRMCDNAQEMEKADEPKLKLKKLCKQLLRQVPGESLKLKQLKVLIDERSSSVFSYFSSKKDALAYLKRKLAGSSKFSMEGKRVSLTSTSS >EOY24854 pep chromosome:Theobroma_cacao_20110822:3:32451939:32455640:1 gene:TCM_016341 transcript:EOY24854 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein isoform 1 MAAPEAPLCYVGIARQSAAFRLMKQMGWEEGEGLGKDKQGIKGYVRVKNKQDTTGVGLDKPNPWAFDTAQFDSILKRLKVQQAAPANDEVDKNENQVETKTNVSNDAEEQVVKTTRPQGRYKKRERGKLVHAYSSKDLEGILVKKVEESPQANPDVGGEMELIETTESQDPPTEGHKAESVSPEWWGHKYGFVSGGFLGECTRKKAIKTGESKNSTERTVFFEDDQENLYKLVQDKATTGKQGLGIKDRPKKIAGVRFQGKKTSFSDSDDEDSDDVGPLAKRMCDNAQEMEKADEPKLKLKKLCKQLLRQVPGESLKLKQLKVLIDERSSSVFSYFSSKKDALAYLKRKLAGSSKFSMEGKRVSLTSTSS >EOY22749 pep chromosome:Theobroma_cacao_20110822:3:24664396:24669060:1 gene:TCM_014825 transcript:EOY22749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MEISSLCLNRKYQEYATWNIGFGRIPSSIGNCTSLTLLDLADNSFDGLIPNTIVNLKNLQKLNLAHNALTIESSTLESSFINSLTNNMHLRRIVLSGNPLDTMLPMSIGNLSTSLEYLWLTDCKLHGRIPSEVGNLSSLVALRLGNNELTGVIPNTIGRLQKLQGLHLQGNKLQGTILYDFCKLSSLFELFLSGNELSGLIPECLSNLTTLRNLSLSSNRLTSTIPSSLWSLRDILAINMSSNSLQGSLPFDIENLKVVIEIDLSKNQFSGYIPDSWNLQSLEYLSLAVNRLQGLIPESLVSSLSLEFLDLSHNKLSGVIPKSLVQLHLMYFNVSFNELEGEIPSGGTFDNFTARSYVMNKALCGAARLQVPTCRTGTSKRSRRPILTLAKILLPIIASMCILPQMYRAIKHCLKKRKDPLLTTNRTILYEEIVLATDGFSESNIIGTGSFGSVYKGAMKEEKNVAIKVFNLHPERGLRSFQVESELLSNTRHPNLVKLMNSCCDDDFRALVLEYMPNGTLDKWLYTHNYFLNLLQRLDIMIEVASAMSYLHSIHVIHCDLKPSNILLDEDMIARVSDFSVAKRLGENATMQTRTMATMGYMAPEYGSSGIISEKTDVYSFGILLMATFTGKKPTDDMFDGEMNLRLWIYESLPHAVDRFIDVSLLQSDQEDIAAKTKCVRSIMKVAWFCTAESSLERKTMAEVQFELHRIKSRFLIDTELIECEEMPREIVYPRISYQELKLATDGFSERNLLDLGEFCVVYKGILKDKRIAAIKIFNTQRRGFESFEVQSQVLPFIRHRNVVKILKCCSDVDFKALVLEYIPNLSLQKWLYRIYPGSNILNFLKRLDIMINVASALCYLHTMRVIHCNLNPSNVLLDNDMVARVSGFSVAKCLEKGIGATNTTTMATAGYMAPEYESMGIVSEKTDVYSFGILLTETFTTTRPTEEMNRRSWICHSLQVVDTVVDLNFVHDGEEHLAAARRRCLRLILQLAYCCTAELSDERKTMREIESELVRIKKWFLSDN >EOY22441 pep chromosome:Theobroma_cacao_20110822:3:23462055:23464419:-1 gene:TCM_014607 transcript:EOY22441 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 4 MITTLSRLSTSSAAVVTAAAGPLYRLLQITVREFHGAAEPLLDPDYCYYYGPSQEPRRDQLVRSTPMADTEGSVPLRGVQWAFVGNPRAKKHVYAEMLSKLLKVPHISMASLVRQELSPHSILYKQIANAVNHGELVQEDIILGLLSKRLEDGHYRGETGFILDGIPRSRTQAEILDQLAEIDLVVNFLCTEDLVTNTQGEASWKERLQDYTKQSKPLEDYYKKQKKLLDFQVGSAHVETWRGLLTALHLQHTNAATHSQKLTVGSILL >EOY22443 pep chromosome:Theobroma_cacao_20110822:3:23462045:23464407:-1 gene:TCM_014607 transcript:EOY22443 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 4 MITTLSRLSTSSAAVVTAAAGPLYRLLQITVREFHGAAEPLLDPDYCYYYGPSQEPRRDQLVRSTPMADTEGSVPLRGVQWAFVGNPRAKKHVYAEMLSKLLKVPHISMASLVRQELSPHSILYKQIANAVNHGELVQEDIILGLLSKRLEDGHYRGETGFILDGIPRSRTQAKTW >EOY22442 pep chromosome:Theobroma_cacao_20110822:3:23462007:23464924:-1 gene:TCM_014607 transcript:EOY22442 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 4 MITTLSRLSTSSAAVVTAAAGPLYRLLQITVREFHGAAEPLLDPDYCYYYGPSQEPRRDQLVRSTPMADTEGSVPLRGVQWAFVGNPRAKKHVYAEMLSKLLKVPHISMASLVRQELSPHSILYKQIANAVNHGELVQEDIILGLLSKRLEDGHYRGETGFILDGIPRSRTQAEILDQLAEIDLVVNFLCTEDLVTNTQGEASWKERLQDYTKQSKPLEDYYKKQKKLLDFQVGSAHVETWRGLLTALHLQHTNAATHSQKLTVGSILL >EOY22445 pep chromosome:Theobroma_cacao_20110822:3:23463289:23464301:-1 gene:TCM_014607 transcript:EOY22445 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 4 MITTLSRLSTSSAAVVTAAAGPLYRLLQITVREFHGAAEPLLDPDYCYYYGPSQEPRRDQLVRSTPMADTEGSVPLRGVQWAFVGNPRAKKHVYAEMLSKLLKVPHISMASLVRQELSPHSILYKQIANAVNHGELVQEDIILGLLSKRLEDGHYRGETGFILDGIPRSRTQAEILDQLAEIDLVVNFLCTEDLVTNTQGGEFF >EOY22444 pep chromosome:Theobroma_cacao_20110822:3:23462737:23464924:-1 gene:TCM_014607 transcript:EOY22444 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 4 MITTLSRLSTSSAAVVTAAAGPLYRLLQITVREFHGAAEPLLDPDYCYYYGPSQEPRRDQLVRSTPMADTEGSVPLRGVQWAFVGNPRAKKHVYAEMLSKLLKVPHISMASLVRQELSPHSILYKQIANAVNHGELVQEDIILGLLSKRLEDGHYRGETGFILDGIPRSRTQAEILDQLAEIDLVVNFLCTEDLVTNTQGEASWKERLQDYTKQVVFSSHISCIQVTVL >EOY24317 pep chromosome:Theobroma_cacao_20110822:3:30542227:30543834:1 gene:TCM_015953 transcript:EOY24317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Matrixin family protein MFPLFGYYSFLFFFLFLCFFPLPGFPARITPEQITVITTDTHNATWHNFTRFKDAEKGSHISGMSELKKYFQRFGYLSIPDNQNGNFTDVFDTQFESAVMLYQQKLGLPVTGKLDSGTISAIMSPRCGVSDTAPRIHSTKHFAYFYGRPRWERGSPMTLTYAFSPSNMIDYISLSEIRTVFKRAFSRWASVIPVNFAEIEDYESANIKIGFFRGDHGDGEPFDGVLGVLAHAFSPENGRFHLDEAETWAVDFEKVRSKTAVDLESVATHEIGHVLGLAHSSVTEAVMYPSLRPRSRKADLKLDDVEGVQALYGSNPNFKFSSLLESENSSNKGNVLNCISSKWTFSLAVISFFLLLMIA >EOY21649 pep chromosome:Theobroma_cacao_20110822:3:15419812:15426679:1 gene:TCM_013659 transcript:EOY21649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLQKEMHTTIKIKLKHYLNVIIMVNMVIYHMTVLRKEKCRKLRKSGFLRDPLLQLALKDPSKYGYL >EOY22988 pep chromosome:Theobroma_cacao_20110822:3:25661726:25666975:1 gene:TCM_015007 transcript:EOY22988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle regulated microtubule associated protein, putative MDDQIGEHMEEFFSIEEPSEAEEFFDIKYEFDAPQCYDFSRPERYWEAKEAELWFESAGSYPPSPFVIKLKWRYDVDGDEEFSNGSVDYDDREVCNCFNEDNLRAKTESRVNSSLSRNSTLMKPTASYLAKQNQSRAVHFTQLHRRFRKFLDRLDDKSTKSSSVTEGDATKRQKLGAGYLCKVANLSHQALFVHKKPKTVRSLDGNSVRAKPKVTIPRELELQTARRAQRRRSKIKTESEENAKSHAHFFKALPLNRKILEAPSLPLPKKSLPQRPEFQLFHLRTSERARQHASNSAMKLPNYISTSRNEKTGLRSFNSVNALKEEKFEAVNKFKACPLNKKIMSSIGQTGVLQKETTAPMEFKILANRRLPDEPPIELLNKLSLSSEVHSGEKSRAKMVFSEGFKENETVPFLLQCQIINVGKENLQRIGGMEYQCRNDRTIEIGRQLNVNRSLRLDIT >EOY22406 pep chromosome:Theobroma_cacao_20110822:3:23328174:23333160:1 gene:TCM_014584 transcript:EOY22406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MATSAFKSTTKRTSLANSTGDSSSSNRTSVHRRARSLSRFSSRLPGADDDEEPTPAPRSRGRFVNTVRGSGFPEISLDDLAIELFDSSPRGRSASRNADVSPRNGEGGKGGGESATQRRGRSVSRQGSRGSFVNSGGGGRLTSDTANSRRRRSVSVVRYQISDSESDLDNSHNSSNRASVRSSIGGNQISSTHKPTALNDRQGLRRTLSQKDLKYHDGYSSHSSALTDDEGRDALSSKNGMERTIRAVYAQKKGEHPTGDDMNGGLYAAMRKELRHAVEEIKTQLEKAMVKTEKSGIASDYSLQSDNSDVLQAVSTIRRKCTTKFEKSEKRRQDLLAEILLEEQHERELSKIVKELLPEPKNSSVEKPLRARKRSNDRTRMSKQLTEEAEKYIEDFISNVEDTDISSLDGDRSDTSSSIGGMTKTPTFQSPAVFKSVPVEMDGVMLPWLQWETSNDTSPLSCKNKRNPSQEASSAQDICNQFTSSRGSCSPAFTDCPSVSIGEDTGTKFGQPGSYQSQFSSNGNNAMRFDVDDYLNLKSNEAFLHEIWSQRHRISSGGLLLCNQMFF >EOY22407 pep chromosome:Theobroma_cacao_20110822:3:23328302:23332730:1 gene:TCM_014584 transcript:EOY22407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MATSAFKSTTKRTSLANSTGDSSSSNRTSVHRRARSLSRFSSRLPGADDDEEPTPAPRSRGRFVNTVRGSGFPEISLDDLAIELFDSSPRGRSASRNADVSPRNGEGGKGGGESATQRRGRSVSRQGSRGSFVNSGGGGRLTSDTANSRRRRSVSVVRYQISDSESDLDNSHNSSNRASVRSSIGGNQISSTHKPTALNDRQGLRRTLSQKDLKYHDGYSSHSSALTDDEGRDALSSKNGMERTIRAVYAQKKGEHPTGDDMNGGLYAAMRKELRHAVEEIKTQLEKAMVKTEKSGIASDYSLQSDNSDVLQAVSTIRRKCTTKFEKSEKRRQDLLAEILLEEQHERELSKIVKELLPEPKNSSVEKPLRARKRSNDRTRMSKQLTEEAEKYIEDFISNVEDTDISSLDRKHPLHKIYAINLPAAVGVVARHLLIALQ >EOY22279 pep chromosome:Theobroma_cacao_20110822:3:22783539:22787192:-1 gene:TCM_014497 transcript:EOY22279 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS3-complementing gene 2 isoform 4 MGEGTFGQVLECWDRETKDVVAIKIVRGIKKYREAAMIEIEMLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLHLIHTDLKPENILLVSPEYVKVPDYKSTSRSPKDNSYFKRLPKSSAIKVIDFGSTTYERQDQTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLPQHMLKRVDRHAEKYVRRCRLDWPDGATSSGSIKAVLKLPRLQNLIMQHVDHSAGDLIHLLQGLLRYDPIDRLTAREALRHPFFSRDQFRR >EOY22277 pep chromosome:Theobroma_cacao_20110822:3:22783540:22787252:-1 gene:TCM_014497 transcript:EOY22277 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS3-complementing gene 2 isoform 4 MEMERVTEFPHTHMDRRPRKRPRLGWDVTQLPPQAQVGMFCAQEVGNLTSFASSTARAPSDYTSTITTSSSLVVKGGVARNGSPPWREDDKDGHYMFELGDNLTSRYKIQSKMGEGTFGQVLECWDRETKDVVAIKIVRGIKKYREAAMIEIEMLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLHLIHTDLKPENILLVSPEYVKVPDYKSTSRSPKDNSYFKRLPKSSAIKVIDFGSTTYERQDQTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLPQHMLKRVDRHAEKYVRRCRLDWPDGATSSGSIKAVLKLPRLQNLIMQHVDHSAGDLIHLLQGLLRYDPIDRLTAREALRHPFFSRDQFRR >EOY22280 pep chromosome:Theobroma_cacao_20110822:3:22783914:22787129:-1 gene:TCM_014497 transcript:EOY22280 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS3-complementing gene 2 isoform 4 MEMERVTEFPHTHMDRRPRKRPRLGWDVTQLPPQAQVGMFCAQEVGNLTSFASSTARAPSDYTSTITTSSSLVVKGGVARNGSPPWREDDKDGHYMFELGDNLTSRYKIQSKMGEGTFGQVLECWDRETKDVVAIKIVRGIKKYREAAMIEIEMLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLHLIHTDLKPENILLVSPEYVKVPDYKSTSRSPKDNSYFKRLPKSSAIKVIDFGSTTYERQDQTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLPQHMLKRVDRHAEKYVRRCRLDWPDGATSSGSIKAVLKLPRLQVFCIMNFKHLN >EOY22278 pep chromosome:Theobroma_cacao_20110822:3:22783539:22787259:-1 gene:TCM_014497 transcript:EOY22278 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS3-complementing gene 2 isoform 4 MEMERVTEFPHTHMDRRPRKRPRLGWDVTQLPPQAQVGMFCAQEVGNLTSFASSTARAPSDYTSTITTSSSLVVKGGVARNGSPPWREDDKDGHYMFELGDNLTSRYKIQSKMGEGTFGQVLECWDRETKDVVAIKIVRGIKKYREAAMIEIEMLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLHLIHTDLKPENILLVSPEYVKVPDYKSTSRSPKDNSYFKRLPKSSAIKVIDFGSTTYERQDQTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLPQHMLKRVDRHAEKYVRRCRLDWPDGATSSGSIKAVLKLPRLQNLIMQHVDHSAGDLIHLLQGLLRYDPIDRLTAREALRHPFFSRDQFRR >EOY22795 pep chromosome:Theobroma_cacao_20110822:3:24827927:24830553:1 gene:TCM_014860 transcript:EOY22795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol-cytochrome C chaperone family protein isoform 1 MLPRWNRALAHLSKLRSFNSIELGKDFSMIHRQSYAAVAPAAPDSPDKSFPARSTVNLDKMFWSKPCSLALAPDSPLRVEDPKYDGIKRIILKMMLFYSKQSKSIRGANVIYRRVLSQVDKPAIYEVFNLEKTFKMTFSLLVLHMWLCLRRLKAEGKDGVELGQYLYEIYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVAYDAALLPVAKQEELTDIIWRNVFSDDGTSKPDAAALRTVQQAMARYVRREVTCLSLTDKEAMFSGNFMFTSLENSSPDPMRR >EOY22794 pep chromosome:Theobroma_cacao_20110822:3:24827797:24830420:1 gene:TCM_014860 transcript:EOY22794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol-cytochrome C chaperone family protein isoform 1 DEVIWTARYSKFAILSLRWEKKGLGLGKLKQQINFHKTPWVIEEIHISSEKKMLPRWNRALAHLSKLRSFNSIELGKDFSMIHRQSYAAVAPAAPDSPDKSFPARSTVNLDKMFWSKPCSLALAPDSPLRVEDPKYDGIKRIILKMMLFYSKQSKSIRGANVIYRRVLSQVDKPAIYEAEGKDGVELGQYLYEIYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVAYDAALLPVAKQEELTDIIWRNVFSDDGTSKPDAAALRTVQAMARYVRREVTCLSLTDKEAMFSGNFMFTSLENSSPDPMRR >EOY22793 pep chromosome:Theobroma_cacao_20110822:3:24828027:24830150:1 gene:TCM_014860 transcript:EOY22793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol-cytochrome C chaperone family protein isoform 1 MLPRWNRALAHLSKLRSFNSIELGKDFSMIHRQSYAAVAPAAPDSPDKSFPARSTVNLDKMFWSKPCSLALAPDSPLRVEDPKYDGIKRIILKMMLFYSKQSKSIRGANVIYRRVLSQVDKPAIYEVFNLEKTFKMTFSLLVLHMWLCLRRLKAEGKDGVELGQYLYEIYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVAYDAALLPVAKQEELTDIIWRNVFSDDGTSKPDAAALRTVQAMARYVRREVTCLSLTDKEAMFSGNFMFTSLENSSPDPMRR >EOY21070 pep chromosome:Theobroma_cacao_20110822:3:2655794:2665434:-1 gene:TCM_012385 transcript:EOY21070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQIHQDHRSRKDIKDFEGFHNQMDSMKEANRVTHELAKFVKDLEEGWIIWRDVANLAGTVQKEAHEDTESSFLGYGLRKVSTIATNAAGSLRSQDLKEDFKCTKPSRFVS >EOY25227 pep chromosome:Theobroma_cacao_20110822:3:33622405:33625390:1 gene:TCM_016607 transcript:EOY25227 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein, putative MAPDPDAEQEAQRLKALAETKYKNSNLKSALKHAKKAHRLFPNLEGISSMLTAFKILRAASQADASPDWYSILQVEPFSHINSIKKQYRKLALILHPDKNPYLGCEEAFKLVGEGFRIFSDKIRRKEYDMKLRIRIQEERVDGLEENGVVGETFWTACSRCRLLHKFERKYLGHNLVCPSCKKSFLAVEVDGEGGDGESTEEEEVVAAVSVSERLKRKMVSKEKMSSVKSVGLEGEKGSGETIRSVGLRRKVSDENLKEKERGGGDDGWGVGRLRSGVLRRRMSTVGEVLARSVAGKVDDGEEKRSRSKRVKVAEETMTLAEMKWEMKQKANQGREKLNLKEKVNKAGEKEKKRDRREPPKNRVSKIEKQKASEKSKDFEIGSQGPSKMSGNLGIMTRATKKISVDLEARTQEGGKKNQNSELTKQGTSRKKVKLDIKKRCGSKSGDMKIMAVEDSDFYDFDKDRLERNFKRGQVWAIYDDDDGMPRHYGLIEEVFTVNPFELKMSWLDFQNNGDDRLISWEKRGFHVSCGRFKAARKSSINSVNIFSHMVECERAAKELYRIYPKKGSVWALYNEAKSGAAGRNFSARDKHCYDIVVFLTTYSEIHGLSMAYLEKVDGFRTIFKRQEIGCQAIRWLEKDDIRLFSHQIPARKLSSDDVPDLLKDCWELDPTSLPPDLLAIGLES >EOY24825 pep chromosome:Theobroma_cacao_20110822:3:32343698:32347729:1 gene:TCM_016315 transcript:EOY24825 gene_biotype:protein_coding transcript_biotype:protein_coding description:POX family protein isoform 1 MARELCEDKSRNMVSSTGFCYSDVSSGNATMQTHLVNQIQSFESNSEIFNLTTGMEMIGFSKNLQQQGDSNTVMWKGFFNKHGTNPGPSSSKTISESTSDFYQHEFHKPEFTTGISETSTENLIVGPESAPWQENRLLVDDSSLRCVFPCEGNERPSQGLSLSLSSSNPTSIGLQSFELRQTSHSNHDQQDDMRFIGSSSRDGFFGKPVNIQHQQQMIQDGFLGKAANLHHQGLFQLRSSKYLGPAQELLNEFCSLGTKQIDASKQKQIHKTKQWDDENGASSSRKQALYSLDFIELQKRKTKLLSMLEEVDRRYRHYCDQMKAVVSSFEAVAGTGAASVYSALASKAMSRHFRCLRDGIVSQIQATRKAMGEKDPVAPGTTRGETPRLRVLDQALRQQKAFQQMSMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEEIKEHENNMASSDGATDGDDNGRPNQNPPTDQKPTPDQLVRVDSECLSSIVTNPDKNDAKSAKTLQNQHSHQPQNFGTYGAMELDFSSYSHHTAGGVSYGSDNANQNFNGGGVSLTLGLQQHGGTGVSLAFSPASQSPLFYPRDHIEDCQQVQYSLLDGEGQHLPYRNLMGAQLLHDLAG >EOY24826 pep chromosome:Theobroma_cacao_20110822:3:32342713:32347745:1 gene:TCM_016315 transcript:EOY24826 gene_biotype:protein_coding transcript_biotype:protein_coding description:POX family protein isoform 1 MARELCEDKSRNMVSSTGFCYSDVSSGNATMQTHLVNQIQSFESNSEIFNLTTGMEMIGFSKNLQQQGDSNTVMWKGFFNKHGTNPGPSSSKTISESTSDFYQHEFHKPEFTTGISETSTENLIVGPESAPWQENRLLVDDSSLRCVFPCEGNERPSQGLSLSLSSSNPTSIGLQSFELRQTSHSNHDQQDDMRFIGSSSRDGFFGKPVNIQHQQQMIQDGFLGKAANLHHQGLFQLRSSKYLGPAQELLNEFCSLGTKQIDASKQKQIHKTKQWDDENGASSSRKQALYSLDFIELQKRKTKLLSMLEEVDRRYRHYCDQMKAVVSSFEAVAGTGAASVYSALASKAMSRHFRCLRDGIVSQIQATRKAMGEKDPVAPGTTRGETPRLRVLDQALRQQKAFQQMSMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEEIKEHENNMASSDGATDGDDNGRPNQNPPTDQKPTPDQLVRVDSECLSSIVTNPDKNDAKSAKTLQNQHSHQPQNFGTYGAMELDFSSYSHHTAGGVSYGSDNANQNFNGGGVSLTLGLQQHGGTGVSLAFSPASQSPLFYPRDHIEDCQQVQYSLLDGEGQHLPYRNLMGAQLLHDLAG >EOY24824 pep chromosome:Theobroma_cacao_20110822:3:32343698:32347729:1 gene:TCM_016315 transcript:EOY24824 gene_biotype:protein_coding transcript_biotype:protein_coding description:POX family protein isoform 1 MARELCEDKSRNMVSSTGFCYSDVSSGNATMQTHLVNQIQSFESNSEIFNLTTGMEMIGFSKNLQQQGDSNTVMWKGFFNKHGTNPGPSSSKTISESTSDFYQHEFHKPEFTTGISETSTENLIVGPESAPWQENRLLVDDSSLRCVFPCEGNERPSQGLSLSLSSSNPTSIGLQSFELRQTSHSNHDQQDDMRFIGSSSRDGFFGKPVNIQHQQQMIQDGFLGKAANLHHQGLFQLRSSKYLGPAQELLNEFCSLGTKQIDASKQKQIHKTKQWDDENGASSSRKQALYSLDFIELQKRKTKLLSMLEEVDRRYRHYCDQMKAVVSSFEAVAGTGAASVYSALASKAMSRHFRCLRDGIVSQIQATRKAMGEKDPVAPGTTRGETPRLRVLDQALRQQKAFQQMSMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEEIKEHENNMASSDGATDGDDNGRPNQNPPTDQKPTPDQLVRVDSECLSSIVTNPDKNDAKSAKTLQNQHSHQPQNFGTYGAMELDFSSYSHHTAGGVSYGSDNANQNFNGGGVSLTLGLQQHGGTGVSLAFSPASQSPLFYPRDHIEDCQQVQYSLLDGEGQHLPYRNLMGAQLLHDLAG >EOY24827 pep chromosome:Theobroma_cacao_20110822:3:32343698:32347786:1 gene:TCM_016315 transcript:EOY24827 gene_biotype:protein_coding transcript_biotype:protein_coding description:POX family protein isoform 1 MARELCEDKSRNMVSSTGFCYSDVSSGNATMQTHLVNQIQSFESNSEIFNLTTGMEMIGFSKNLQQQGDSNTVMWKGFFNKHGTNPGPSSSKTISESTSDFYQHEFHKPEFTTGISETSTENLIVGPESAPWQENRLLVDDSSLRCVFPCEGNERPSQGLSLSLSSSNPTSIGLQSFELRQTSHSNHDQQDDMRFIGSSSRDGFFGKPVNIQHQQQMIQDGFLGKAANLHHQGLFQLRSSKYLGPAQELLNEFCSLGTKQIDASKQKQIHKTKQWDDENGASSSRKQALYSLDFIELQKRKTKLLSMLEEVDRRYRHYCDQMKAVVSSFEAVAGTGAASVYSALASKAMSRHFRCLRDGIVSQIQATRKAMGEKDPVAPGTTRGETPRLRVLDQALRQQKAFQQMSMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEEIKEHENNMASSDGATDGDDNGRPNQNPPTDQKPTPDQLVRVDSECLSSIVTNPDKNDAKSAKTLQNQHSHQPQNFGTYGAMELDFSSYSHHTAGGVSYGSDNANQNFNGGGVSLTLGLQQHGGTGVSLAFSPASQSPLFYPRDHIEDCQQVQYSLLDGEGQHLPYRNLMGAQLLHDLAG >EOY24823 pep chromosome:Theobroma_cacao_20110822:3:32342841:32347745:1 gene:TCM_016315 transcript:EOY24823 gene_biotype:protein_coding transcript_biotype:protein_coding description:POX family protein isoform 1 MARELCEDKSRNMVSSTGFCYSDVSSGNATMQTHLVNQIQSFESNSEIFNLTTGMEMIGFSKNLQQQGDSNTVMWKGFFNKHGTNPGPSSSKTISESTSDFYQHEFHKPEFTTGISETSTENLIVGPESAPWQENRLLVDDSSLRCVFPCEGNERPSQGLSLSLSSSNPTSIGLQSFELRQTSHSNHDQQDDMRFIGSSSRDGFFGKPVNIQHQQQMIQDGFLGKAANLHHQGLFQLRSSKYLGPAQELLNEFCSLGTKQIDASKQKQIHKTKQWDDENGASSSRKQALYSLDFIELQKRKTKLLSMLEEVDRRYRHYCDQMKAVVSSFEAVAGTGAASVYSALASKAMSRHFRCLRDGIVSQIQATRKAMGEKDPVAPGTTRGETPRLRVLDQALRQQKAFQQMSMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEEIKEHENNMASSDGATDGDDNGRPNQNPPTDQKPTPDQLVRVDSECLSSIVTNPDKNDAKSAKTLQNQHSHQPQNFGTYGAMELDFSSYSHHTAGGVSYGSDNANQNFNGGGVSLTLGLQQHGGTGVSLAFSPASQSPLFYPRDHIEDCQQVQYSLLDGEGQHLPYRNLMGAQLLHDLAG >EOY24529 pep chromosome:Theobroma_cacao_20110822:3:31348718:31350959:1 gene:TCM_016109 transcript:EOY24529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVASKLSVQEAQAVGCARPNKVPESIVWPDEGLLEGVFSSHRSDLRRDVVEHRTGCVGHKAHIACARPGRTFLAMRFSAQCPDNGCQVSRAVGMRGMCCTCVWPRMSRPANAQEYHTLPMRHNGACTSPMLEGSAHGLRDIGLSMSVWRASLVSPRTHRAAWRAMHPSQPTPSVPWRAKHPS >EOY24707 pep chromosome:Theobroma_cacao_20110822:3:32001558:32005815:-1 gene:TCM_016243 transcript:EOY24707 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif DNA-binding family protein, putative MDGREAMALSGGSAPYYIHRAVGGSSSGSLTHTGIAAFNSQPGFRPLSNPQVQVQSNVGSTFTGEPKNVSFPHGINMGVSSGMPPGEPVKKKRGRPRKYAPDGQVSLGLLPMPAKPKPSSGSDASGQKRCRGRPPGTGRKQQLATLGEWMNSSAGLAFAPHVVTVGIGEDIVAKMLSFSQQRPRAVCILSGSGTVSSVTLRQPASSTPTVTYEGRFEILCLSGSYLLAEDGGPRSRTGGISASLSTPDGHVIGGGVATLIASSLVQLVVCSFVYGGSKTKNKQLASPKGSKDSMPQLSNKSATQTGAPSTQNFSHPSMSVWPGSRHVDPRNPHTDIDLTRG >EOY25252 pep chromosome:Theobroma_cacao_20110822:3:33700460:33701432:-1 gene:TCM_016625 transcript:EOY25252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSEIVVSQESCGYKSNRLGLERTFQNLCLKEWVLVNMSNYTMVDNIPLAVLFVYTLWFIWYWRNLTIFNASFSWPYNDWQPISWDTMRRAQSRMKSEIMISWEKRNHSFVKLNVDGSAQGQSGLAAAGGLIMDEYGHWIVGFTYKIGITFSLTTELWAMYHGLKLC >EOY24919 pep chromosome:Theobroma_cacao_20110822:3:32671308:32674566:-1 gene:TCM_016386 transcript:EOY24919 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein isoform 1 MEASPALSRIGLAGLAVMGQNLALNVAEKGFPISVYNRTTSKVDETVQRAQEEGQLPLFGQYSPREFVLSVQRPRSVVILVKAGSPVDQTIAALSDHMEPGDCIIDGGNEWYENTERRIQEVSNKGLLYLGMGVSGGEEGARNGPSLMPGGSHQAYSNIKDILEKVAAQVEDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKHVGGLTNDELAEIFSEWNRGELESFLIEITSDIFRVKDELEDGYLVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKEERENAAGVLKEAGLKEEVGSLAQGIDKKRLIDDVRQALYASKICSYAQGMNLLRAKSVEKGWNLNLGELARIWKGGCIIRAVFLDRIKKAYQRNPNLASLVVDPEFAREMVQRQAAWRRVVGLAISAGISTPGMCASLAYFDTYRRARLPANLVQAQRDLFGAHTYERTDRPGAFHTEWTKLARFARSFIW >EOY24918 pep chromosome:Theobroma_cacao_20110822:3:32671287:32674574:-1 gene:TCM_016386 transcript:EOY24918 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein isoform 1 MEASPALSRIGLAGLAVMGQNLALNVAEKGFPISVYNRTTSKVDETVQRAQEEGQLPLFGQYSPREFVLSVQRPRSVVILVKAGSPVDQTIAALSDHMEPGDCIIDGGNEWYENTERRIQEVSNKGLLYLGMGVSGGEEGARNGPSLMPGGSHQAYSNIKDILEKVAAQVEDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKHVGGLTNDELAEIFSEWNRGELESFLIEITSDIFRVKDELEDGYLVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKEERENAAGVLKEAGLKEEVGSLAQGIDKKRLIDDVRQALYASKICSYAQGMNLLRAKSVEKGWNLNLGELARIWKGGCIIRAVFLDRIKKAYQRNPNLASLVVDPEFAREMVQRQAAWRRVVGLAISAGISTPGMCASLAYFDTYRRARLPANLVQAQRDLFGAHTYERTDRPGAFHTEWTKLAHGINCYRSCESLLPEYGHYGVLIRFCEKLYLVDLLQ >EOY24233 pep chromosome:Theobroma_cacao_20110822:3:30186219:30187603:-1 gene:TCM_015898 transcript:EOY24233 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor, putative MEMYQSTIDSDLAMLESIRRHLLGESSDLRLTASTDCTTAPPMFCRSSSFSRLYPCLTETWGDLPLKEDDSEDMLVFGFLRDALTEGWAPSDNSSTNFPPIKPEPQEILTETPVKKVATTEAATAVPAVVPAKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDRAAYRMRGSRALLNFPLRVNSGEPDPVRVTSKRASPEPSSSSSSGSENGSPKRRRKVAASAPVVAQAGLDMGSGAEVKYQVESCTHGEQLLVS >EOY22283 pep chromosome:Theobroma_cacao_20110822:3:22819972:22823816:1 gene:TCM_014501 transcript:EOY22283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 71A1 MASLQWLKESCSPFLLFASIFLLILLQFLLKGKSSKRNRNLPPGPPKLPIIGNLHQLGSMPHLSLRRLAEKFGPIMYLQLGEVPTVVVSSARMAKEVMKTHDLALASRPQIFSAKHLFYDCTDVVFSPYGAYWRHIRKICILELLSAKRVQSFGFVREEEVARLIHRIGEYSYPSTVNLSKVLGLYANDVLCRVAFGRDFSQGGDYDRHGFQKMLEDYQELLGGFSTGDFFPSMEFIHNLTGMKSRLQHTFRRFDQFFDEVINEHLNPKRQKEEHSKDLVDVLLDVQKNVSNEIPLTMDNVKAIILDMFAAGTDTTFITLDWGMTELIMNPKVLERAQAEVRKVVGERRVVLESDLPQLDYMKAVIKEIFRLHPPAPVLVPRESTEDIRINGFDIPAKTRVFVNAWAIGRDPESWENPESFEPERFLGSPIDFKGQDFELIPFGAGRRSCPAITFGTATVELAVAQLLHSFDWELPPGTEAKDLDMTETFGITMHRIADLTVIAKPHFP >EOY23478 pep chromosome:Theobroma_cacao_20110822:3:27567105:27571453:-1 gene:TCM_015363 transcript:EOY23478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 3 MMVDRGATANQGPVVDMFPEKDDDGGYVSGGWKSEDGKLSCGYSSFRGKRATMEDFYDIKTSKINGQTVCMFGIFDGHGGSRAAEYLKQHLFENLMKHPQFMTDTKLAISETYQQTDVDFLDSERDTFRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGKAIPLSEDHKPNRSDERQRIENAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDKEIDEEFELLVLASDGLWDVVPNEDAVLLARAEEEPETAARKLTETAFTRGSADNITCIVARFHHDKADPANPQASA >EOY23481 pep chromosome:Theobroma_cacao_20110822:3:27567101:27571646:-1 gene:TCM_015363 transcript:EOY23481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 3 MEDFYDIKTSKINGQTVCMFGIFDGHGGSRAAEYLKQHLFENLMKHPQFMTDTKLAISETYQQTDVDFLDSERDTFRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGKAIPLSEDHKPNRSDERQRIENAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDKEIDEEFELLVLASDGLWDVVPNEDAVLLARAEEEPETAARKLTETAFTRGSADNITCIVARFHHDKADPANPQASA >EOY23477 pep chromosome:Theobroma_cacao_20110822:3:27567402:27571031:-1 gene:TCM_015363 transcript:EOY23477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 3 MVCNSCLRSVIVQTGHIGTLLTPKRVHLRSVGRTSHVDAGLTFWWNREFRTTAKMMVDRGATANQGPVVDMFPEKDDDGGYVSGGWKSEDGKLSCGYSSFRGKRATMEDFYDIKTSKINGQTVCMFGIFDGHGGSRAAEYLKQHLFENLMKHPQFMTDTKLAISETYQQTDVDFLDSERDTFRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGKAIPLSEDHKPNRSDERQRIENAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDKEIDEEFELLVLASDGLWDVVPNEVNVGCCFACPSRRRTRNSCSEVDRNCIYPWKCRQYNMHCSEIPP >EOY23482 pep chromosome:Theobroma_cacao_20110822:3:27567105:27571173:-1 gene:TCM_015363 transcript:EOY23482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 3 MVCNSCLRSVIVQTGHIGTLLTPKRVHLRSVGRTSHVDAGLTFWWNREFRTTAKMMVDRGATANQGPVVDMFPEKDDDGGYVSGGWKSEDGKLSCGYSSFRGKRATMEDFYDIKTSKINGQTVCMFGIFDGHGGSRAAEYLKQHLFENLMKHPQFMTDTKLAISETYQQTDVDFLDSERDTFRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGKAIPLSEDHKPNRSDERQRIENAGGVVMWAG >EOY23480 pep chromosome:Theobroma_cacao_20110822:3:27567102:27571773:-1 gene:TCM_015363 transcript:EOY23480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 3 MVCNSCLRSVIVQTGHIGTLLTPKRVHLRSVGRTSHVDAGLTFWWNREFRTTAKMMVDRGATANQGPVVDMFPEKDDDGGYVSGGWKSEDGKLSCGYSSFRGKRATMEDFYDIKTSKINGQTVCMFGIFDGHGGSRAAEYLKQHLFENLMKHPQFMTDTKLAISETYQQTDVDFLDSERDTFRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGKAIPLSEDHKPNRSDERQRIENAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDKEIDEEFELLVLASDGLWDVVPNEDAVLLARAEEEPETAARKLTETAFTRGSADNITCIVARFHHDKADPANPQASA >EOY23476 pep chromosome:Theobroma_cacao_20110822:3:27567105:27571454:-1 gene:TCM_015363 transcript:EOY23476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 3 MVCNSCLRSVIVQTGHIGTLLTPKRVHLRSVGRTSHVDAGLTFWWNREFRTTAKMMVDRGATANQGPVVDMFPEKDDDGGYVSGGWKSEDGKLSCGYSSFRGKRATMEDFYDIKTSKINGQTVCMFGIFDGHGGSRAAEYLKQHLFENLMKHPQFMTDTKLAISETYQQTDVDFLDSERDTFRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGKAIPLSEDHKPNRSDERQRIENAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDKEIDEEFELLVLASDGLWDVVPNEDAVLLARAEEEPETAARKLTETAFTRGSADNITCIVARFHHDKADPANPQASA >EOY23479 pep chromosome:Theobroma_cacao_20110822:3:27567100:27571847:-1 gene:TCM_015363 transcript:EOY23479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 3 MVCNSCLRSVIVQTGHIGTLLTPKRVHLRSVGRTSHVDAGLTFWWNREFRTTAKMMVDRGATANQGPVVDMFPEKDDDGGYVSGGWKSEDGKLSCGYSSFRGKRATMEDFYDIKTSKINGQTVCMFGIFDGHGGSRAAEYLKQHLFENLMKHPQFMTDTKLAISETYQQTDVDFLDSERDTFRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGKAIPLSEDHKPNRSDERQRIENAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQQDKEIDEEFELLVLASDGLWDVVPNEDAVLLARAEEEPETAARKLTETAFTRGSADNITCIVARFHHDKADPANPQASA >EOY25273 pep chromosome:Theobroma_cacao_20110822:3:33770486:33772792:-1 gene:TCM_016638 transcript:EOY25273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic pentacysteine 7, putative MKMGSYSNKNMMPETNTGSSVSPFSLFYTGNYIPTSRPGLSSLQGTQIHHEPGLVVAPIRSIAPTTESGKNNDLGSKSTRVGKQNPSVKGSNQIASKVLRPKQPKKKPSVPKKAKGTVIPEAKREKKNLNIDLDGTNFDFSGVPSPICSCTGVARVCYKWGAGGWQSSCCTINISEYPLPMSSTRPGARMAGRKMSNGAYLKLLLRLAAEGHDLSHPVDLKEHWARHGTNKFVTIK >EOY21333 pep chromosome:Theobroma_cacao_20110822:3:6291162:6299486:-1 gene:TCM_012825 transcript:EOY21333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIQQVIGIHPIGLSMFVTISGASKRNPREAGIKGVFQDSNRDVKIVLSKAIGMGDSNLAKVFAIREAFLFFQPQNGVGASP >EOY24007 pep chromosome:Theobroma_cacao_20110822:3:29297385:29302796:-1 gene:TCM_015723 transcript:EOY24007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGSSNAEKGFSGGHSSSSKLGLKEESGTCNTCAPSCSPCLHSEQVTSMATKTNGFSGEACKKKDSNCCSFNDADLSSPRVNSACNDRHHTSSETSQPLSACLSRESFSENAESEETLRDCNTSEGIKMIRKPNLCQNSADNCGSLKSSIFHDKVVSNQLEKQKELECHGDNIAFICGSDYVKTRGGGHNSDADKKNLSYRSASVDSFSETEKAVNAQPASSCLVGSPCDEVDNNHPRRSNRSTNVSSQEILCCSNKSDLSEISSLRDSCAGASSAKGERSECSEEQVQSSFVRADALRIGSQIGDEHNSAESIQPETGINGGEQTAEVKSTTVVKDVNMEESTIVSRPYACSDGSDSLELEVKVCDICGDIGREELLAICSKCNDGAEHIYCMRVKMDNVPKSDWMCEECMLGKETEKQKQDKIEEGVGIFKKSNTLEPETKVEESEAYKVSSSRLFSFKRPAGGLQVVRKRPFETVLKSPSTSSSSSKTSMHQSGGNSSSTTLKTVCIPTESSIKSPKLSSQSQVLRGSLLKSKSFSAMSSKEDVQLLKEGGSRMQGFAKDTAASDSKRGVLMMSKSMSLKNMRSYGVNNSNADSRLCPNFSHGEDLKRSRHAKGQHSTNTEKKLRLANSDSFLLVADKRIASPSNNSLRQSSSSSCHDLIAVKAHEISDNSLKISGHSAQGGSASEEKKRVVNVRRHAAYSVEVVPATCTNQSNANVLPDEQPCLRDLSMFASSLHMPSWISAVPQLDYIWQGKFEIQRSGGLPFTCDGIQAHLSTCGSHKVVEVVQKLPQKLLLEEVPRLSMWPTQFMKSHATEDNIALYFFAKDLNSYERSYENLLDRMIKNEVSLKGNFGGVDLLIFTSNLLPEKSRRWNNLLFLWGVFGGKGVHCLGKIPGMSASENLLPPGKSSESISASLVSYNTQQTLNSITLLDSQAVTSGRMVEVCETKASWEQKPPDLQTSCSQPMGRVDGEMENEQFSRADSSQCFLQRQERDSKRRPEIDLNNSLQESEEYAEDCTEADGRNDCKRLKSCFSGMDIELDSLGDK >EOY24009 pep chromosome:Theobroma_cacao_20110822:3:29297384:29304000:-1 gene:TCM_015723 transcript:EOY24009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGSSNAEKGFSGGHSSSSKLGLKEESGTCNTCAPSCSPCLHSEQVTSMATKTNGFSGEACKKKDSNCCSFNDADLSSPRVNSACNDRHHTSSETSQPLSACLSRESFSENAESEETLRDCNTSEGIKMIRKPNLCQNSADNCGSLKSSIFHDKVVSNQLEKQKELECHGDNIAFICGSDYVKTRGGGHNSDADKKNLSYRSASVDSFSETEKAVNAQPASSCLVGSPCDEVDNNHPRRSNRSTNVSSQEILCCSNKSDLSEISSLRDSCAGASSAKGERSECSEEQVQSSFVRADALRIGSQIGDEHNSAESIQPETGINGGEQTAEVKSTTVVKDVNMEESTIVSRPYACSDGSDSLELEVKVCDICGDIGREELLAICSKCNDGAEHIYCMRVKMDNVPKSDWMCEECMLGKETEKQKQDKIEEGVGIFKKSNTLEPETKVEESEAYKVSSSRLFSFKRPAGGLQVVRKRPFETVLKSPSTSSSSSKTSMHQSGGNSSSTTLKTVCIPTESSIKSPKLSSQSQVLRGSLLKSKSFSAMSSKEDVQLLKEGGSRMQGFAKDTAASDSKRGVLMMSKSMSLKNMRSYGVNNSNADSRLCPNFSHGEDLKRSRHAKGQHSTNTEKKLRLANSDSFLLVADKRIASPSNNSLRQSSSSSCHDLIAVKAHEISDNSLKISGHSAQGGSASEEKKRVVNVRRHAAYSVEVVPATCTNQSNANVLPDEQPCLRDLSMFASSLHMPSWISAVPQLDYIWQGKFEIQRSGGLPFTCDGIQAHLSTCGSHKVVEVVQKLPQKLLLEEVPRLSMWPTQFMKSHATEDNIALYFFAKDLNSYERSYENLLDRMIKNEVSLKGNFGGVDLLIFTSNLLPEKSRRWNNLLFLWGVFGGKGVHCLGKIPGMSASENLLPPGKSSESISASLVSYNTQQTLNSITLLDSQAVTSGRMVEVCETKASWEQKPPDLQTSCSQPMGRVDGEMENEQFSRADSSQRQERDSKRRPEIDLNNSLQESEEYAEDCTEADGRNDCKRLKSCFSGMDIELDSLGDK >EOY24011 pep chromosome:Theobroma_cacao_20110822:3:29297649:29304000:-1 gene:TCM_015723 transcript:EOY24011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGSSNAEKGFSGGHSSSSKLGLKEESGTCNTCAPSCSPCLHSEQVTSMATKTNGFSGEACKKKDSNCCSFNDADLSSPRVNSACNDRHHTSSETSQPLSACLSRESFSENAESEETLRDCNTSEGIKMIRKPNLCQNSADNCGSLKSSIFHDKVVSNQLEKQKELECHGDNIAFICGSDYVKTRGGGHNSDADKKNLSYRSASVDSFSETEKAVNAQPASSCLVGSPCDEVDNNHPRRSNRSTNVSSQEILCCSNKSDLSEISSLRDSCAGASSAKGERSECSEEQVQSSFVRADALRIGSQIGDEHNSAESIQPETGINGGEQTAEVKSTTVVKDVNMEESTIVSRPYACSDGSDSLELEVKVCDICGDIGREELLAICSKCNDGAEHIYCMRVKMDNVPKSDWMCEECMLGKETEKQKQDKIEEGVGIFKKSNTLEPETKVEESEAYKVSSSRLFSFKRPAGGLQVVRKRPFETVLKSPSTSSSSSKTSMHQSGGNSSSTTLKTVCIPTESSIKSPKLSSQSQVLRGSLLKSKSFSAMSSKEDVQLLKEGGSRMQGFAKDTAASDSKRGVLMMSKSMSLKNMRSYGVNNSNADSRLCPNFSHGEDLKRSRHAKGQHSTNTEKKLRLANSDSFLLVADKRIASPSNNSLRQSSSSSCHDLIAVKAHEISDNSLKISGHSAQGGSASEEKKRVVNVRRHAAYSVEVVPATCTNQSNANVLPDEQPCLRDLSMFASSLHMPSWISAVPQLDYIWQGKFEIQRSGGLPFTCDGIQAHLSTCGSHKVVEVVQKLPQKLLLEEVPRLSMWPTQFMKSHATEDNIALYFFAKDLNSYERSYENLLDRMIKNEVSLKGNFGGVDLLIFTSNLLPEKSRRWNNLLFLWGVFGGKGVHCLGKIPGMSASENLLPPGKSSESISASLVSYNTQQTLNSITLLDSQAVTSGRMVEVCETKASWEQKPPDLQTSCSQPMGRVDGEMENEQFSRADSSQRQERDSKRR >EOY24008 pep chromosome:Theobroma_cacao_20110822:3:29296650:29303989:-1 gene:TCM_015723 transcript:EOY24008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGSSNAEKGFSGGHSSSSKLGLKEESGTCNTCAPSCSPCLHSEQVTSMATKTNGFSGEACKKKDSNCCSFNDADLSSPRVNSACNDRHHTSSETSQPLSACLSRESFSENAESEETLRDCNTSEGIKMIRKPNLCQNSADNCGSLKSSIFHDKVVSNQLEKQKELECHGDNIAFICGSDYVKTRGGGHNSDADKKNLSYRSASVDSFSETEKAVNAQPASSCLVGSPCDEVDNNHPRRSNRSTNVSSQEILCCSNKSDLSEISSLRDSCAGASSAKGERSECSEEQVQSSFVRADALRIGSQIGDEHNSAESIQPETGINGGEQTAEVKSTTVVKDVNMEESTIVSRPYACSDGSDSLELEVKVCDICGDIGREELLAICSKCNDGAEHIYCMRVKMDNVPKSDWMCEECMLGKETEKQKQDKIEEGVGIFKKSNTLEPETKVEESEAYKVSSSRLFSFKRPAGGLQVVRKRPFETVLKSPSTSSSSSKTSMHQSGGNSSSTTLKTVCIPTESSIKSPKLSSQSQVLRGSLLKSKSFSAMSSKEDVQLLKEGGSRMQGFAKDTAASDSKRGVLMMSKSMSLKNMRSYGVNNSNADSRLCPNFSHGEDLKRSRHAKGQHSTNTEKKLRLANSDSFLLVADKRIASPSNNSLRQSSSSSCHDLIAVKAHEISDNSLKISGHSAQGGSASEEKKRVVNVRRHAAYSVEVVPATCTNQSNANVLPDEQPCLRDLSMFASSLHMPSWISAVPQLDYIWQGKFEIQRSGGLPFTCDGIQAHLSTCGSHKVVEVVQKLPQKLLLEEVPRLSMWPTQFMKSHATEDNIALYFFAKDLNSYERSYENLLDRMIKNEVSLKGNFGGVDLLIFTSNLLPEKSRRWNNLLFLWGVFGGKGVHCLGKIPGMSASENLLPPGKSSESISASLVSYNTQQTLNSITLLDSQAVTSGRMVEVCETKASWEQKPPDLQTSCSQPMGRVDGEMENEQFSRADSSQCFLQRQERDSKRRPEIDLNNSLQESEEYAEDCTEADGRNDCKRLKSCFSGMDIELDSLGDKNRWKSLQQQVVSNDSGNRLDSSVSSLELKLGVERSPSDQGIMPPFFMLMGSKGLHEGNSDRETSGDTNPSLALSLALPYPKKGGVLKLDSEMQVPKCPEVNTTLSLFGVSSDS >EOY24006 pep chromosome:Theobroma_cacao_20110822:3:29297043:29302796:-1 gene:TCM_015723 transcript:EOY24006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGSSNAEKGFSGGHSSSSKLGLKEESGTCNTCAPSCSPCLHSEQVTSMATKTNGFSGEACKKKDSNCCSFNDADLSSPRVNSACNDRHHTSSETSQPLSACLSRESFSENAESEETLRDCNTSEGIKMIRKPNLCQNSADNCGSLKSSIFHDKVVSNQLEKQKELECHGDNIAFICGSDYVKTRGGGHNSDADKKNLSYRSASVDSFSETEKAVNAQPASSCLVGSPCDEVDNNHPRRSNRSTNVSSQEILCCSNKSDLSEISSLRDSCAGASSAKGERSECSEEQVQSSFVRADALRIGSQIGDEHNSAESIQPETGINGGEQTAEVKSTTVVKDVNMEESTIVSRPYACSDGSDSLELEVKVCDICGDIGREELLAICSKCNDGAEHIYCMRVKMDNVPKSDWMCEECMLGKETEKQKQDKIEEGVGIFKKSNTLEPETKVEESEAYKVSSSRLFSFKRPAGGLQVVRKRPFETVLKSPSTSSSSSKTSMHQSGGNSSSTTLKTVCIPTESSIKSPKLSSQSQVLRGSLLKSKSFSAMSSKEDVQLLKEGGSRMQGFAKDTAASDSKRGVLMMSKSMSLKNMRSYGVNNSNADSRLCPNFSHGEDLKRSRHAKGQHSTNTEKKLRLANSDSFLLVADKRIASPSNNSLRQSSSSSCHDLIAVKAHEISDNSLKISGHSAQGGSASEEKKRVVNVRRHAAYSVEVVPATCTNQSNANVLPDEQPCLRDLSMFASSLHMPSWISAVPQLDYIWQGKFEIQRSGGLPFTCDGIQAHLSTCGSHKVVEVVQKLPQKLLLEEVPRLSMWPTQFMKSHATEDNIALYFFAKDLNSYERSYENLLDRMIKNEVSLKGNFGGVDLLIFTSNLLPEKSRRWNNLLFLWGVFGGKGVHCLGKIPGMSASENLLPPGKSSESISASLVSYNTQQTLNSITLLDSQAVTSGRMVEVCETKASWEQKPPDLQTSCSQPMGRVDGEMENEQFSRADSSQCFLQRQERDSKRRPEIDLNNSLQESEEYAEDCTEADGRNDCKRLKSCFSGMDIGNNNIKDINERCSIAINGKVPSIPAEFKCDGAYDMSIFSPELDSLGDKNRWKSLQQQVVSNDSGNRLDSSVSSLELKLGVERSPSDQGIMPPFFMLMGSKGLHEGNSDRETSGDTNPSLALSLALPYPKKGGVLKLDSEMQVPKCPEVNTTLSLFGVSSDS >EOY24012 pep chromosome:Theobroma_cacao_20110822:3:29297649:29304000:-1 gene:TCM_015723 transcript:EOY24012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGSSNAEKGFSGGHSSSSKLGLKEESGTCNTCAPSCSPCLHSEQVTSMATKTNGFSGEACKKKDSNCCSFNDADLSSPRVNSACNDRHHTSSETSQPLSACLSRESFSENAESEETLRDCNTSEGIKMIRKPNLCQNSADNCGSLKSSIFHDKVVSNQLEKQKELECHGDNIAFICGSDYVKTRGGGHNSDADKKNLSYRSASVDSFSETEKAVNAQPASSCLVGSPCDEVDNNHPRRSNRSTNVSSQEILCCSNKSDLSEISSLRDSCAGASSAKGERSECSEEQVQSSFVRADALRIGSQIGDEHNSAESIQPETGINGGEQTAEVKSTTVVKDVNMEESTIVSRPYACSDGSDSLELEVKVCDICGDIGREELLAICSKCNDGAEHIYCMRVKMDNVPKSDWMCEECMLGKETEKQKQDKIEEGVGIFKKSNTLEPETKVEESEAYKVSSSRLFSFKRPAGGLQVVRKRPFETVLKSPSTSSSSSKTSMHQSGGNSSSTTLKTVCIPTESSIKSPKLSSQSQVLRGSLLKSKSFSAMSSKEDVQLLKEGGSRMQGFAKDTAASDSKRGVLMMSKSMSLKNMRSYGVNNSNADSRLCPNFSHGEDLKRSRHAKGQHSTNTEKKLRLANSDSFLLVADKRIASPSNNSLRQSSSSSCHDLIAVKAHEISDNSLKISGHSAQGGSASEEKKRVVNVRRHAAYSVEVVPATCTNQSNANVLPDEQPCLRDLSMFASSLHMPSWISAVPQLDYIWQGKFEIQRSGGLPFTCDGIQAHLSTCGSHKVVEVVQKLPQKLLLEEVPRLSMWPTQFMKSHATEDNIALYFFAKDLNSYERSYENLLDRMIKNEVSLKGNFGGVDLLIFTSNLLPEKSRRWNNLLFLWGVFGGKGVHCLGKIPGMSASENLLPPGKSSESISASLVSYNTQQTLNSITLLDSQAVTSGRMVEVCETKASWEQKPPDLQTSCSQPMGRVDGEMENEQFSRADSSQRQERDSKRR >EOY24010 pep chromosome:Theobroma_cacao_20110822:3:29296650:29303989:-1 gene:TCM_015723 transcript:EOY24010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGSSNAEKGFSGGHSSSSKLGLKEESGTCNTCAPSCSPCLHSEQVTSMATKTNGFSGEACKKKDSNCCSFNDADLSSPRVNSACNDRHHTSSETSQPLSACLSRESFSENAESEETLRDCNTSEGIKMIRKPNLCQNSADNCGSLKSSIFHDKVVSNQLEKQKELECHGDNIAFICGSDYVKTRGGGHNSDADKKNLSYRSASVDSFSETEKAVNAQPASSCLVGSPCDEVDNNHPRRSNRSTNVSSQEILCCSNKSDLSEISSLRDSCAGASSAKGERSECSEEQVQSSFVRADALRIGSQIGDEHNSAESIQPETGINGGEQTAEVKSTTVVKDVNMEESTIVSRPYACSDGSDSLELEVKVCDICGDIGREELLAICSKCNDGAEHIYCMRVKMDNVPKSDWMCEECMLGKETEKQKQDKIEEGVGIFKKSNTLEPETKVEESEAYKVSSSRLFSFKRPAGGLQVVRKRPFETVLKSPSTSSSSSKTSMHQSGGNSSSTTLKTVCIPTESSIKSPKLSSQSQVLRGSLLKSKSFSAMSSKEDVQLLKEGGSRMQGFAKDTAASDSKRGVLMMSKSMSLKNMRSYGVNNSNADSRLCPNFSHGEDLKRSRHAKGQHSTNTEKKLRLANSDSFLLVADKRIASPSNNSLRQSSSSSCHDLIAVKAHEISDNSLKISGHSAQGGSASEEKKRVVNVRRHAAYSVEVVPATCTNQSNANVLPDEQPCLRDLSMFASSLHMPSWISAVPQLDYIWQGKFEIQRSGGLPFTCDGIQAHLSTCGSHKVVEVVQKLPQKLLLEEVPRLSMWPTQFMKSHATEDNIALYFFAKDLNSYERSYENLLDRMIKNEVSLKGNFGGVDLLIFTSNLLPEKSRRWNNLLFLWGVFGGKGVHCLGKIPGMSASENLLPPGKSSESISASLVSYNTQQTLNSITLLDSQAVTSGRMVEVCETKASWEQKPPDLQTSCSQPMGRVDGEMENEQFSRADSSQRQERDSKRRPEIDLNNSLQESEEYAEDCTEADGRNDCKRLKSCFSGMDIGNNNIKDINERCSIAINGKVPSIPAEFKCDGAYDMSIFSPELDSLGDKNRWKSLQQQVVSNDSGNRLDSSVSSLELKLGVERSPSDQGIMPPFFMLMGSKGLHEGNSDRETSGDTNPSLALSLALPYPKKGGVLKLDSEMQVPKCPEVNTTLSLFGVSSDS >EOY23795 pep chromosome:Theobroma_cacao_20110822:3:28609569:28616773:1 gene:TCM_015579 transcript:EOY23795 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor, putative MGAPSSSNGVRGRKKSSSRGHHRFVGVRQRPSGRWVAEIKDSLQKVRLWLGTFDTAEEAARAYDDAARALRGANARTNFELPQSASSTCGNRVSLDNMQPFSFEEVCGTGSDADGFLGALKAKLLDGKGLRVLSPASCAGLQPSVASSSPHNNSNVSRKSGATTVAPQGIGNMNPVQGPVNPGFSKPDLVVNQDHEHDDQAAAGHIGVQWHQPGQSQTASIASMMWSNEPSYEAAWGTQMNQVPPNGLFNITTCTTATSTWPLSGTTESTMDLSYSDQCTIEMQMNMNGKANMVSMPISQIDGTTEGVWPSEQQFVHSDNNGWSGANGSWDPYIYVSSVLG >EOY25322 pep chromosome:Theobroma_cacao_20110822:3:33933832:33935926:-1 gene:TCM_016674 transcript:EOY25322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger B-box domain containing protein 1 isoform 4 MDFWFKGINEDTSECSFNERDIQRCPFLRNINKPTNFSFSSLNFPIPVQGPRGPIFEDAPNFDMAFKLFHGKDGIVPLSGRSDGRCNSLKQEPTPQFNPLAAKVATISLSAFGGGGPFSFGPFSDKWKNQKKKSDTPNKQETSSQNGDSSNHDALGDEWLQTGNCPIAKSYRAVSRVLPLVATALQPPPGMKLRCPRAVVAARATLARTALVKNLRPQPLPAKVFVIALLGMAANVPLGVWKEHTEKFSLSWFAAVHAAVPFIAMFRKSVLIPKTSMALTITASILGQVIGSRAERHRLKAVAEREKMAAQTAIDVAVAGYNDLSQVDYHCGREVPVKAGRPSSSSNNVCY >EOY25321 pep chromosome:Theobroma_cacao_20110822:3:33933807:33936681:-1 gene:TCM_016674 transcript:EOY25321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger B-box domain containing protein 1 isoform 4 MDFWFKGINEDTSECSFNERDIQRCPFLRNINKPTNFSFSSLNFPIPVQGPRGPIFEDAPNFDMAFKLFHGKDGIVPLSGRSDGRCNSLKQEPTPQFNPLAAKVATISLSAFGGGGPFSFGPFSDKWKNQKKKSDTPNKQETSSQNGDSSNHDALGDEWLQTGNCPIAKSYRAVSRVLPLVATALQPPPGMKLRCPRAVVAARATLARTALVKNLRPQPLPAKVFVIALLGMAANVPLGVWKEHTEKFSLSWFAAVHAAVPFIAMFRKSVLIPKTSMALTITASILGQVIGSRAERHRLKAVAEREKMAAQTAIDVAVAGYNDLSQVDYHCGREVPVKAGRPSSSSNNVCY >EOY25323 pep chromosome:Theobroma_cacao_20110822:3:33933825:33936706:-1 gene:TCM_016674 transcript:EOY25323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger B-box domain containing protein 1 isoform 4 MDFWFKGINEDTSECSFNERDIQRCPFLRNINKPTNFSFSSLNFPIPGPRGPIFEDAPNFDMAFKLFHGKDGIVPLSGRSDGRCNSLKQEPTPQFNPLAAKVATISLSAFGGGGPFSFGPFSDKWKNQKKKSDTPNKQETSSQNGDSSNHDALGDEWLQTGNCPIAKSYRAVSRVLPLVATALQPPPGMKLRCPRAVVAARATLARTALVKNLRPQPLPAKVFVIALLGMAANVPLGVWKEHTEKFSLSWFAAVHAAVPFIAMFRKSVLIPKTSMALTITASILGQVIGSRAERHRLKAVAEREKMAAQTAIDVAVAGYNDLSQVDYHCGREVPVKAGRPSSSSNNVCY >EOY25324 pep chromosome:Theobroma_cacao_20110822:3:33933832:33936081:-1 gene:TCM_016674 transcript:EOY25324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger B-box domain containing protein 1 isoform 4 MAFKLFHGKDGIVPLSGRSDGRCNSLKQEPTPQFNPLAAKVATISLSAFGGGGPFSFGPFSDKWKNQKKKSDTPNKQETSSQNGDSSNHDALGDEWLQTGNCPIAKSYRAVSRVLPLVATALQPPPGMKLRCPRAVVAARATLARTALVKNLRPQPLPAKVFVIALLGMAANVPLGVWKEHTEKFSLSWFAAVHAAVPFIAMFRKSVLIPKTSMALTITASILGQVIGSRAERHRLKAVAEREKMAAQTAIDVAVAGYNDLSQVDYHCGREVPVKAGRPSSSSNNVCY >EOY21931 pep chromosome:Theobroma_cacao_20110822:3:19897391:19900068:-1 gene:TCM_014104 transcript:EOY21931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport v-SNARE family protein isoform 2 GNSNGSPRAITTSQLKLQYCIFCNKTIENFFHCSILHLHFSDHSPVILPAPFRLARDWGEMSQVFEGYERQYCELSANLTRKCASASALDGEQKKQKLTEIKAGLDDADALIRKMDLEARSLQPSIKATLLSKLREYRNDLNNLKSEVKRITSGNANQAARDELLGSGMADARMVSADQRGRLLMSTERLNQSTDRIKESRKAMLETEELGVSILQDLHQQRESLLHAHNTLHGVDDNISRSKKILTNMSRRMSRNKWIIGSIIAALVLAILFILYFKLTH >EOY21930 pep chromosome:Theobroma_cacao_20110822:3:19897163:19900631:-1 gene:TCM_014104 transcript:EOY21930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport v-SNARE family protein isoform 2 MALSHHYVSVTLSECVMSNIDVIYGTAYGVHAGNSNGSPRAITTSQLKLQYCIFCNKTIENFFHCSILHLHFSDHSPVILPAPFRLARDWGEMSQVFEGYERQYCELSANLTRKCASASALDGEQKKQKLTEIKAGLDDADALIRKMDLEARSLQPSIKATLLSKLREYRNDLNNLKSEVKRITSGNANQAARDELLGSGMADARMVSADQRGRLLMSTERLNQSTDRIKESRKAMLETEELGVSILQDLHQQRESLLHAHNTLHGVDDNISRSKKILTNMSRRMSRNKWIIGSIIAALVLAILFILYFKLTH >EOY23092 pep chromosome:Theobroma_cacao_20110822:3:26181800:26183730:-1 gene:TCM_015093 transcript:EOY23092 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 3 MMRMKTKATGLSPVTNGGGGGGGGEPAAHDWELRPGGMLVQKRSPDSDRSTIPPPNIRVRVKYGSIYHEISINSQATFGELKKMLTGPTGLHHQDQKLLYKDKERDSNAFLDMAGVKDKSKIVLVEDPISQEKRLLEMRKNAKMEKASKSISEISLEVDRLAGQVSAFESIITKGGKVAEKDVLNLIELLMNQLLKLDGIMADGDVKLQRKMQVRRVQKYVETLDMLKVKNAVPSSNGGQMPMQNQHRHTNGQKLAPIQEQQSRHFNGQRVAPNQEQQSRNSVAHLPVHQQYQQQQRQSKHSASGAVVVTTKWETFDSSPSLLPLPSTSTSTATSTSSTSNNSVPPKFPWEFFD >EOY21993 pep chromosome:Theobroma_cacao_20110822:3:20300290:20301202:1 gene:TCM_014157 transcript:EOY21993 gene_biotype:protein_coding transcript_biotype:protein_coding description:C6orf149, putative MAASGVASPTRTEVLQLYRSLLRVARQFCDYNIREYTKRRTIDAFRDNKNLTDPSQLFAAFSDGKAQLEVAKRQAVVYSLYAPKVKSIMDIKPS >EOY22143 pep chromosome:Theobroma_cacao_20110822:3:21881364:21882983:1 gene:TCM_014356 transcript:EOY22143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonol 4'-sulfotransferase, putative MAAYLLSPKLGNPSTQNKQEDANLSSESGAIEMAYYKKYREILPTLPVGKAWMIAEHLVQYQGFWLSPNLALKAVIWIQDEFKVQPTDIFLASFPKTGTTWLKALIFATINRTHCDFSTHPLLTRSPHECFPFLEAYVHESHETISGLDALPPPRLLATHFPHTLLPNSMITSGCKFIYICRDPKDVLVSTWLFSNKLRPKELPPLSLQEAFELFCQGISHFGPYWDHVLGYWKWSLENPEKILFLKYEDLKKDPSAVVKRLAEFLGVHFSLEEEGKGVAQEITRLCSFESLSNLEVNKTKTYKFKSDLVLYNNIFFRKGQTGDSKNHLTNEMIQHLDKTTQEKLAGSGLTFVS >EOY23101 pep chromosome:Theobroma_cacao_20110822:3:26215986:26224241:1 gene:TCM_015099 transcript:EOY23101 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEUSS-like 2 MAPSRVAGGLTQSSSSSGIFFQGDGQSQAVVNSRLSSPYENSSNSIPGTGRPNLGPVSGDMNSAVLNSVANSGPSVGASSLVTDANSALSGGPHLQRSASINTDSYMRLPASPMSFSSNNISMSGSSVVDGSSVGQQGSHQDPSVQQMQQSQQLQQGASSATSLPTTQTGQVSLPMGPRVPGSFMQDPNNLSQVQKKPRLDIKQEDILQQQVLQQLLQRQDSMQLQGRNPQLQALIQQQRLRHQQQQQYLQSMPPLQRAHLQQQQQQMQLRQQLQQQGMQQVAAMKRPFDSGVCARRLMQYLYHQRQRPSDNTIAYWRKFVAEYYSPRAKKRWCLSQYDNVGSHALGVFPQAAMDAWQCDICGSKSGRGFEATFEVLPRLNEIKFGSGVMDELLFLDLPRECRSTSGMMMLEYGKAVQESVYEQLRVVREGQLRIIFTQELKILSWEFCARKHEELFPRRLVAPQVNQLLHVAQKCQSTISDGGSEGVSQQDLQTNSNIALKIFEQISCSKSLLEKPWKVLTAGRQLVKSLELQSLNDLGFSKRYVRCLQIAEVVNSMKDLIDFCREHKVGPIEGLKTYPRHATTAKLQMQNMQEMEQLANVQGLPTDRNTLNKLMALHPGINNPMGNNHHMVGRGTLSGSAQAALALTNYQNLLMRQNSMNSNPNSLHQEASSSFNNSNQSPSSNFQGPAALLPGSMQTLPVSGLSSPHLPAAQQPQQQQQLQQRTLSANNLIQQNHPQSSQGNQALQQQMIQQLLREMSNNSTGVQQQSLSGQNVNGSMARNGVGFGSNTGAVAPAASNVSGSVAGPAPSRSNSFKAPSNSDSSAAGGNNGFNQRAPDLPQNLHLQDDIVPDIAHEFTENGFFNSDLDDNMGYGWKA >EOY21091 pep chromosome:Theobroma_cacao_20110822:3:2901085:2902738:-1 gene:TCM_012425 transcript:EOY21091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMSRIPARLNRIAVAFNEAAKAPRRLCESSGSEHSPEGSTDLSDLVNSFFERDFEVENDEGKVHQEGEKELDETDGYWSESEAKHTLQSLLSNAHDGNQEDEMKQKICRETELACGIIGDRLSKDFKRQLMSRLRDKGFDAGLCKSQWKKLRYYPAGNYEYVDVNVNGTCYIVEVNLAREFEIARPTTSYASLLEVLPPIYVGKSKDLKQIVKLTCTAMRESMKRRDMHMPPWRLSGYMQSKWFASYRRTVNELPARDAPEFNDSAPAKRPVGFDSIPAISFHCRDNFASKSGLKVGYLAAAFNGTS >EOY21378 pep chromosome:Theobroma_cacao_20110822:3:7302793:7305081:1 gene:TCM_012904 transcript:EOY21378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRPSERVPNPVAGSGQEAPDPVLLVARSGWIFVESCQKGLYRRFSTLFDREIAVVTVVATANVISEQTNELLLKNHDLRLVGLKALPIANASFNKSTNRIKGRERKQWHNPCRGSERLNHPKQLNLSPNHGKGKQPVKKHDEHIYHHYGMVGHWSHTYHMPKHFANIYQAP >EOY23964 pep chromosome:Theobroma_cacao_20110822:3:29137458:29140894:1 gene:TCM_015690 transcript:EOY23964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein, putative MYHISFFPRKLQKENHFEEKERTKHTEKRKDPSVWATEEDYRVWNNQETSTDTPSNSSYDQRQPQSRSNSEQPPNKKSRNSQELNSRSKAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHSIEELRRPPPNWQEIVAAHEEEKGILSEPREEFQIPSLGSSSFSGETQRSYKGRHCKKFYTEEGCPYGDNCTFLHDEQSKNRESVAISLGPGGYGGGGGGAAAAGGGGGGGGGGGGAAAAGNGSNSKPSNWKTRICNKWELTGYCPFGNKCHFAHGVAELHRYGGGLVEAEAKDSSATPSDPKQGGVPSKTPADTVAVSAPSVPLSDVYHLGVPSQRTSLVIQRPGQRAHQKWKGPDKISRIYGDWIDDIE >EOY20700 pep chromosome:Theobroma_cacao_20110822:3:645255:652137:1 gene:TCM_012052 transcript:EOY20700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase HT1 MKNLYWFKQISNNVRSERRLSLGEYKRAISWSKYLVSSGAEIKGEGEEEWSADMSQLFIGNKFASGRHSRIYRGIYKQRDVAIKLISQPEEDANLANFLEKQFISEVALLFHLRHPNIITFVAACKKPPVFCIITEYLAGGSLRKYLHQQEPYSVPLNLVLKLALDIARGMQYLHSEGILHRDLKSENLLLGEDMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTALTPFDNMTPEQAAFAVCQKNARPPLPSTCPLAFGHLINRCWSSNPQKRPHFDEIVSILEHYAESLEEDPEFFSTYKPSPDHGILRCLPKCIAGHY >EOY22585 pep chromosome:Theobroma_cacao_20110822:3:24050733:24059168:-1 gene:TCM_014715 transcript:EOY22585 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding,ATP binding, putative isoform 1 MLAMVTPKEHIEQIRKTKFSIGGEPNPLTEDLHQAVRNLSAELYTKDVHFLMELIQIWLPPRELKSQTRFDWIWPKNTKSSRMWLEKNNAEDNVYLEGVDPSLEFVITSRDITATGATATLLMFNNEKGFSSKNIESICSVGRSTKKGNRKRGYIGEKGIGFKSVFLITAQPYIFSNGYQIRFNEAPCPHCSLGYIVPEWVEEKPTLSDIRKVYGLSSALPTTTIVLPLKPDKVKPVKQQLSSVHPEVLLFLSKIKCLSVREDNEDPRLNTVSAIAITSETNFMTRKNIDAESYTLRLAAEENGNKFGRECSYFMWKQKFPVRQENKVERRMDVEELVITLAFPNEERLHRGMTLPGVYAFLPTEMVTNLPFIIQADFVLSSSRETILLDNKWNQGILDCVPSAFVNAFISLVKMTEDAPVSSLPRMFTFLPVNCSSYQQFNAIRESIRLKLVDEDILPSDESCMEQKFFHKPSEVGRIMPAFWDIVEKARKEGVGLHNLSSHGTYVLHSSFDRVEYDHILNFLGVGPVKNGWYAKCIQSSNIVLGVSEGVYLDLLLLLAENWSNIFLSTDIKNIPLVKYVDSFGGVSLFSISESSQQKKAVICLSNQVRHVSWLIDWNAEFRGVANRFFLPKSTQEAIRSCFEKETILEWLQNQVKVVPVSVYDYAAALIGYLGGERKLVVTYAHFLYHSLLKDFISAPNVRYLCGIMPLVDNYGNVTATTRRRVLVPANGSKWVSLIGSNPLKAEGYIELGEDYLRPGNFVGEITPEKKLLKFLGSNVAASDIPSLSPPNAAIPAVSSPLTKENTFLLLDWIQNMKNRGTLIPEKFLTSIKNGSWLKVTINGSSSYKPPSQSFFHSSSWGRFLQNGLVFVDIPLIDQSFYGDRISKYKEELKIIGVMFEYGEACAFIGKHLMRLVSSSTLGRDRVFSILGFIRYLRTKLLPPDEFICSIKEGMWLKTSHDYRSPVGAVLFDEEWKTATQICDVPFIDHTFYGDEIFCFKAELELLGVIVRFSGSYQLVIESLKSSSCLTSLKADAFLLALECMHYAKSSERLVTALKNVKCLKTNLGHKPPSECFLYDREWGCLLQVFNCFPIIDCAYYGSTISSYKCELRRLGAVVDFGAAVTSFASKFRQQASLSSITKDNILSFLSCYRQFKRTLHKFPSDLKNCIHEVKWLRTRLGDFRSPKDCILFGPKWESISTITLLPFIDDTDNYCGKDIHEYRDELMSIGVVVEFEHGVKFVPGCLCFPRSSSMIAPTNALSLLKCLRILLKDKNYTFSEAFLKKVSEKWLKTYTGYRSPGKSLLFDGRSGLKPTDGPFIDEGFYGSEIRTYRKELDSIGVTVDVEKGSTLLASHLAFHSDFATIIRIYKFLAEVGWVPDSEATRKIWIPDGNENGRWVKPDECVLHDKDGLFGLLLNVLEKHYKNKLPLQFFSGAFGVKSNPSLDDYCNLWKGWETSRQQLSHDECCAFWRFVVEHQSSKNEKILSERLVKLPVDSGSDGIMLFDKHDVFIADDLQLKDLFVQSSSCPLFVWYPQPSLPSLPRTMLLELYRKIGVRMISESVETKELSLKNDLELKQVNHRGAIRKELVRLLLGFLAGSSLKMESDKRHEAVKCLLNLTVLETSEPITVGYTLFLSSGETQEVRASRMIRWDKESSKIFIQKMDKSAGKKNFLECATYFAEAIAEGVLWEKEDQISSLSELIKLAFLLKFNEEAVGFLMKSKNLQVFVEDEELLSAAFPSE >EOY22586 pep chromosome:Theobroma_cacao_20110822:3:24050733:24058988:-1 gene:TCM_014715 transcript:EOY22586 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding,ATP binding, putative isoform 1 MELIQNAEDNVYLEGVDPSLEFVITSRDITATGATATLLMFNNEKGFSSKNIESICSVGRSTKKGNRKRGYIGEKGIGFKSVFLITAQPYIFSNGYQIRFNEAPCPHCSLGYIVPEWVEEKPTLSDIRKVYGLSSALPTTTIVLPLKPDKVKPVKQQLSSVHPEVLLFLSKIKCLSVREDNEDPRLNTVSAIAITSETNFMTRKNIDAESYTLRLAAEENGNKFGRECSYFMWKQKFPVRQENKVERRMDVEELVITLAFPNEERLHRGMTLPGVYAFLPTEMVTNLPFIIQADFVLSSSRETILLDNKWNQGILDCVPSAFVNAFISLVKMTEDAPVSSLPRMFTFLPVNCSSYQQFNAIRESIRLKLVDEDILPSDESCMEQKFFHKPSEVGRIMPAFWDIVEKARKEGVGLHNLSSHGTYVLHSSFDRVEYDHILNFLGVGPVKNGWYAKCIQSSNIVLGVSEGVYLDLLLLLAENWSNIFLSTDIKNIPLVKYVDSFGGVSLFSISESSQQKKAVICLSNQVRHVSWLIDWNAEFRGVANRFFLPKSTQEAIRSCFEKETILEWLQNQVKVVPVSVYDYAAALIGYLGGERKLVVTYAHFLYHSLLKDFISAPNVRYLCGIMPLVDNYGNVTATTRRRVLVPANGSKWVSLIGSNPLKAEGYIELGEDYLRPGNFVGEITPEKKLLKFLGSNVAASDIPSLSPPNAAIPAVSSPLTKENTFLLLDWIQNMKNRGTLIPEKFLTSIKNGSWLKVTINGSSSYKPPSQSFFHSSSWGRFLQNGLVFVDIPLIDQSFYGDRISKYKEELKIIGVMFEYGEACAFIGKHLMRLVSSSTLGRDRVFSILGFIRYLRTKLLPPDEFICSIKEGMWLKTSHDYRSPVGAVLFDEEWKTATQICDVPFIDHTFYGDEIFCFKAELELLGVIVRFSGSYQLVIESLKSSSCLTSLKADAFLLALECMHYAKSSERLVTALKNVKCLKTNLGHKPPSECFLYDREWGCLLQVFNCFPIIDCAYYGSTISSYKCELRRLGAVVDFGAAVTSFASKFRQQASLSSITKDNILSFLSCYRQFKRTLHKFPSDLKNCIHEVKWLRTRLGDFRSPKDCILFGPKWESISTITLLPFIDDTDNYCGKDIHEYRDELMSIGVVVEFEHGVKFVPGCLCFPRSSSMIAPTNALSLLKCLRILLKDKNYTFSEAFLKKVSEKWLKTYTGYRSPGKSLLFDGRSGLKPTDGPFIDEGFYGSEIRTYRKELDSIGVTVDVEKGSTLLASHLAFHSDFATIIRIYKFLAEVGWVPDSEATRKIWIPDGNENGRWVKPDECVLHDKDGLFGLLLNVLEKHYKNKLPLQFFSGAFGVKSNPSLDDYCNLWKGWETSRQQLSHDECCAFWRFVVEHQSSKNEKILSERLVKLPVDSGSDGIMLFDKHDVFIADDLQLKDLFVQSSSCPLFVWYPQPSLPSLPRTMLLELYRKIGVRMISESVETKELSLKNDLELKQVNHRGAIRKELVRLLLGFLAGSSLKMESDKRHEAVKCLLNLTVLETSEPITVGYTLFLSSGETQEVRASRMIRWDKESSKIFIQKMDKSAGKKNFLECATYFAEAIAEGVLWEKEDQISSLSELIKLAFLLKFNEEAVGFLMKSKNLQVFVEDEELLSAAFPSE >EOY22399 pep chromosome:Theobroma_cacao_20110822:3:23273549:23274270:1 gene:TCM_014576 transcript:EOY22399 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-N-debenzoyl-2'-deoxytaxol N-benzoyltransferase, putative MFGFTSWCRFPFYETDFGWGKPIWLGTALRFNRAAFFLDTRDGEGIEAWITLTQKEMAKLEQDPDILAHASFKPSC >EOY24557 pep chromosome:Theobroma_cacao_20110822:3:31428746:31431000:1 gene:TCM_016129 transcript:EOY24557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein, putative MRPHMFYSYKNVISGFAARLTEEEVQAMRMKKGFISARPQRMLRTQTTHTPNFLGLQQELGIWKQSNFGKGVIIGVLDTGVLPSHPSFSDEGMPPPPPKWKARCDFTASITAAGQFVNYADVLGNAKGTAVGMATLAHLAIYKVCFGEDCGDSNILAALDAAIEDDVDVLSLSLGVDAVPFFQDNIAIGAFAAMKNGTFVGCPAGNSGPSNTTLSNEAPWLLTVGVSTIDRKIVATAKLGNGEEFDGESVFQPNDFSSMLLPLVYAGMNGGGIARIAKGQEVKNTGGAAMILINEQADGFSTSADVHVLPATNVNYAAGLKIKAYINSTASPKATILFRGTIIGEPSSPSAASFSSRGPSLASPGILKPDIIGPGVNILVAWPFSLSNNTNSTSTFNLISGTSMSWPHLSGIAALLKSSHPAWSPAATKSAMMTSTNLFNIGGKPTVDDTLQPADVFAIGAGHINPSRADNPGLIYDIQPDDLMTIFHISVV >EOY20621 pep chromosome:Theobroma_cacao_20110822:3:356651:365578:1 gene:TCM_011993 transcript:EOY20621 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein isoform 1 MATFAGTTQKCKACEKTVYLVDQLTADSKVYHKACFRCHHCKGTLKLSNYSSFEGVLYCKPHFDQLFKRTGSLDKSFEGTPKTVRVDRSADHALKVVLPYLLLILSGTLQVTNSRVSSLFAGTQEKCVACKKTVYPIEKVAVDGSSYHKACFRCTHGGCVISPSNYVAHEQRLYCRHHHNQLFKQKGNFSQLDKHEEVKEVTENIVA >EOY20622 pep chromosome:Theobroma_cacao_20110822:3:356709:365326:1 gene:TCM_011993 transcript:EOY20622 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein isoform 1 MATFAGTTQKCKACEKTVYLVDQLTADSKVYHKACFRCHHCKGTLKLSNYSSFEGVLYCKPHFDQLFKRTGSLDKSFEGTPKTVRVDRSADQVTNSRVSSLFAGTQEKCVACKKTVYPIEKVAVDGSSYHKACFRCTHGGCVISPSNYVAHEQRLYCRHHHNQLFKQKGNFSQLDKHEEVKEVTENIVA >EOY20623 pep chromosome:Theobroma_cacao_20110822:3:356753:365219:1 gene:TCM_011993 transcript:EOY20623 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein isoform 1 MATFAGTTQKCKACEKTVYLVDQLTADSKVYHKACFRCHHCKGTLKLSNYSSFEGVLYCKPHFDQLFKRTGSLDKSFEGTPKTVRVDRSADQVTNSRVSSLFAGTQEKCVACKKTVYPIEKVAVDGSSYHKACFRCTHGGCVISPSNYVAHEQRLYCRHHHNQLFKQKGNFSQLDKHEEVKEVTENIVA >EOY24928 pep chromosome:Theobroma_cacao_20110822:3:32710764:32714063:1 gene:TCM_016393 transcript:EOY24928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carrier protein isoform 2 MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >EOY24929 pep chromosome:Theobroma_cacao_20110822:3:32710938:32713517:1 gene:TCM_016393 transcript:EOY24929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carrier protein isoform 2 MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >EOY24335 pep chromosome:Theobroma_cacao_20110822:3:30587230:30591918:-1 gene:TCM_015963 transcript:EOY24335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein isoform 2 MGNTVGEFRKKLKIADIKGGPDGGLDRVGQTLVVMGWVRTLRVQSSVTFLEVNDGSCLSNMQCVMNSDAEGYDQVESGLIATGASIWVQGTLVASQGAKQKVELKVDKVVVVGQSDPSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNALAYATHKFFQENGFVWISSPIITASDCEGAGEQFCVTTLIPSSREATDSPVRAIPNTKDGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTTRHLAEFWMIEPELAFADLNDDTACATAYLQYVVRHVLDNCKEDMEFFNTWIEKGIIDRLNDVAEKDFVQLPYTDAIELLLKAKKKFEFPLKWGCDLQSEHERYITEEAFNGCPVIIRDYPKACNWRSRHSICGKMMMGGL >EOY24334 pep chromosome:Theobroma_cacao_20110822:3:30586674:30592379:-1 gene:TCM_015963 transcript:EOY24334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein isoform 2 MAAAAAALAPATPLRYKPYSTLRFLSFYSKNLTTPRLSPPLPRRPFQFHSTVPPKRCFCSVVSAALQSGERTKTEFQEKKVCEMGNTVGEFRKKLKIADIKGGPDGGLDRVGQTLVVMGWVRTLRVQSSVTFLEVNDGSCLSNMQCVMNSDAEGYDQVESGLIATGASIWVQGTLVASQGAKQKVELKVDKVVVVGQSDPSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNALAYATHKFFQENGFVWISSPIITASDCEGAGEQFCVTTLIPSSREATDSPVRAIPNTKDGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTTRHLAEFWMIEPELAFADLNDDTACATAYLQYVVRHVLDNCKEDMEFFNTWIEKGIIDRLNDVAEKDFVQLPYTDAIELLLKAKKKFEFPLKWGCDLQSEHERYITEEAFNGCPVIIRDYPKEIKAFYMRQNDDGRTVAAMDMLVPRVGELIGGSQREERLEYLENRLDELKLSKESYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIENIRDTIPFPRTPGSAEF >EOY22251 pep chromosome:Theobroma_cacao_20110822:3:22622583:22631895:-1 gene:TCM_014475 transcript:EOY22251 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein isoform 3 MAGGRRKKQHFSRIHAFSCGKASFKGEHSLIGGPGFSRVVYCNDPECFEAGLLNYCDNYVRAVSNVLPLVVVIGATMGKEVVEDWRRKKQDIEVNNRKVKMHQGDGIFEHTKWMDLKVGDIVKVEKDEFFPADLILLSSSYDEAICYVETTNLDGETNLKLKQALEATSSMHEESSFQNFKAVIRCEDPNSNLYSFVGSLELGEEQHPLSPQQLLLRDSKLRNTDYIFGAVIFTGHDTKVIQNSTAPPSKRSKIEKRMDKIVYFLFAVLVVLSIIGSIFFGIATREDLENGRMTRWYLRPDKTTIYYNPKRAAVAAILQFLTALMLYSYLIPISLYVSIEIVKVLQSIFINQDLHMYYEEADKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGISYGHGITEVERALAWRKGSPLAQEATEVEGQVEKFKEEKPSVKGFNFVDERITNGNWPNETRADVIQKFLRLLAICHTAIPEVDEGTGRISYEAESPDEAAFVVAARELGFEFYERTQTSISLYELDPVSGKKVERSYNLLNILEFSSSRKRMSVIVRNEEGKLLLLCKGADSVMFERLAKNGREFAEQTKEHIDEYADAGLRTLVLAYREIDEEEYVEFNEQFTEAKNLVSGDREEMIEEVAEKIERDLILLGATAVEDKLQNGVPECIDKLAQAGIKLWVLTGDKMETAINIGFACSLLRQGMKQIVINSETPENKALEKAGDKSAVAAAFKAGVLQQIAEGKQLLTLSSENSEALALIVDGKSLTYALDDDVRDIFLELAIGCASVICCRSSPKQKALVARLVKSKTGSTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTIFFYEIYASFSGQAVYNDWYLSLYNVFFTSLPVIALGVFDQDISSRLCLKFPLLYQEGIQNVLFSWLRILGWAFNGVLSATIIFFFCIRAMQHQAFRKGGEVVGLEILGATMYTCMVWVVNCQMALSITYFTYIQHLFIWGGIILWYIFLMAYGAMDPDISTTAYQVFVEACAPSGLYWLLTLLVLICSLLPYFTYSAIQMRFFPLYHQMIQWIRSDGQSDDPEYCHMVRQRSLRPTTVGYTARFEAKSKSFKERAGDH >EOY22253 pep chromosome:Theobroma_cacao_20110822:3:22623375:22630511:-1 gene:TCM_014475 transcript:EOY22253 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein isoform 3 MAGGRRKKQHFSRIHAFSCGKASFKGEHSLIGGPGFSRVVYCNDPECFEAGLLNYCDNYVRGTKYTLATFFPKSLFEQFRRVANFYFLICAILSFTPLSPYSAVSNVLPLVVVIGATMGKEVVEDWRRKKQDIEVNNRKVKMHQGDGIFEHTKWMDLKVGDIVKVEKDEFFPADLILLSSSYDEAICYVETTNLDGETNLKLKQALEATSSMHEESSFQNFKAVIRCEDPNSNLYSFVGSLELGEEQHPLSPQQLLLRDSKLRNTDYIFGAVIFTGHDTKVIQNSTAPPSKRSKIEKRMDKIVYFLFAVLVVLSIIGSIFFGIATREDLENGRMTRWYLRPDKTTIYYNPKRAAVAAILQFLTALMLYSYLIPISLYVSIEIVKVLQSIFINQDLHMYYEEADKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGISYGHGITEVERALAWRKGSPLAQEATEVEGQVEKFKEEKPSVKGFNFVDERITNGNWPNETRADVIQKFLRLLAICHTAIPEVDEGTGRISYEAESPDEAAFVVAARELGFEFYERTQTSISLYELDPVSGKKVERSYNLLNILEFSSSRKRMSVIVRNEEGKLLLLCKGADSVMFERLAKNGREFAEQTKEHIDEYADAGLRTLVLAYREIDEEEYVEFNEQFTEAKNLVSGDREEMIEEVAEKIERDLILLGATAVEDKLQNGVPECIDKLAQAGIKLWVLTGDKMETAINIGFACSLLRQGMKQIVINSETPENKALEKAGDKSAVAAAFKAGVLQQIAEGKQLLTLSSENSEALALIVDGKSLTYALDDDVRDIFLELAIGCASVICCRSSPKQKALVARLVKSKTGSTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTIFFYEIYASFSGQAVYNDWYLSLYNVFFTSLPVIALGVFDQDISSRLCLKFPLLYQEGIQNVLFSWLRILGWAFNGVLSATIIFFFCIRAMQHQAFRKGGEVVGLEILGATMYTCMVWVVNCQMALSITYFTYIQHLFIWGGIILWYIFLMAYGAMDPDISTTAYQVFVEACAPSGLYWLLTLLVLICSLLPYFTYSAIQMRFFPLYHQMIQWIRSDGQSDDPEYCHMVRQRSLRPTTVGYTARFEAKSKSFKERAGDH >EOY22252 pep chromosome:Theobroma_cacao_20110822:3:22622847:22631655:-1 gene:TCM_014475 transcript:EOY22252 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein isoform 3 MAGGRRKKQHFSRIHAFSCGKASFKGEHSLIGGPGFSRVVYCNDPECFEAGLLNYCDNYVRAVSNVLPLVVVIGATMGKEVVEDWRRKKQDIEVNNRKVKMHQGDGIFEHTKWMDLKVGDIVKVEKDEFFPADLILLSSSYDEAICYVETTNLDGETNLKLKQALEATSSMHEESSFQNFKAVIRCEDPNSNLYSFVGSLELGEEQHPLSPQQLLLRDSKLRNTDYIFGAVIFTGHDTKVIQNSTAPPSKRSKIEKRMDKIVYFLFAVLVVLSIIGSIFFGIATREDLENGRMTRWYLRPDKTTIYYNPKRAAVAAILQFLTALMLYSYLIPISLYVSIEIVKVLQSIFINQDLHMYYEEADKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGISYGHGITEVERALAWRKGSPLAQEATEVEGQVEKFKEEKPSVKGFNFVDERITNGNWPNETRADVIQKFLRLLAICHTAIPEVDEGTGRISYEAESPDEAAFVVAARELGFEFYERTQTSISLYELDPVSGKKVERSYNLLNILEFSSSRKRMSVIVRNEEGKLLLLCKGADSVMFERLAKNGREFAEQTKEHIDEYADAGLRTLVLAYREIDEEEYVEFNEQFTEAKNLVSGDREEMIEEVAEKIERDLILLGATAVEDKLQNGVPECIDKLAQAGIKLWVLTGDKMETAINIGFACSLLRQGMKQIVINSETPENKALEKAGDKSAVAAAFKAGVLQQIAEGKQLLTLSSENSEALALIVDGKSLTYALDDDVRDIFLELAIGCASVICCRSSPKQKALVARLVKSKTGSTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTIFFYEIYASFSGQAVYNDWYLSLYNVFFTSLPVIALGVFDQDISSRLCLKFPLLYQEGIQNVLFSWLRILGWAFNGVLSATIIFFFCIRAMQHQAFRKGGEVVGLEILGATMYTCMVWVVNCQMALSITYFTYIQHLFIWGGIILWYIFLMAYGAMDPDISTTAYQVFVEACAPSGLYWLLTLLVLICSLLPYFTYSAIQMRFFPLYHQMIQWIRSDGQSDDPEYCHMVRQRSLRPTTVGYTARFEAKSKSFKERAGDH >EOY21747 pep chromosome:Theobroma_cacao_20110822:3:17894707:17897070:-1 gene:TCM_013856 transcript:EOY21747 gene_biotype:protein_coding transcript_biotype:protein_coding description:F6A14.6 protein, putative MTLLDVVTKASDNIEPRSSQADHPIVLNPDDIFLKLKPDVENPNPTSLVSPLSGWAISPTDAKLIDLSKKFFTKLNRKLKDIHNFNKQEFLELLNPFLEKIKEKGGIFIGVDSNDSRYTSVLIEKVGFLMGRDVLSLILEACTSLEVWELLEALIVNGLVDHSCYSNLIINVAAKKKLDLLCLSVKHAHHLGSSELLCILKYFLCPPKDCNFSMVNVRKEWESQALLAIEKARIGKKSRLAKEASILLMVAHDGFSDAELCLHYLLASNNVDEVVLSSSLGKLSGKEMMNLIRYLGKWLRKYERFPQAIPCPKASSALGLKACDWVPKLEDVVKCLGFVLDENFSSLMLHPEFHEELKSIEGVVSSLAFEARFGSLMANVIEKLRAGDVQS >EOY21132 pep chromosome:Theobroma_cacao_20110822:3:3283698:3284554:-1 gene:TCM_012489 transcript:EOY21132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mads box protein, putative MLNQQKMTNQCCGDGEEGKKKPAGKTKGRQRIEIKQLEKKSNLHVTFSKRRKGLFKKASELCILSGAKIGIIVLSPSPREKPFCFGHPDIDTVLDQYLSGNPAFDDQDYAALTDLPGFEEIDKQYEESLKELEKEKKRGKEIEQAKNVENNGGFWWDEAIDGMRVEELEAYMKAMEKLKKNLILRASALMMANVMETVVQADHSYGFGGS >EOY21170 pep chromosome:Theobroma_cacao_20110822:3:3796023:3803753:1 gene:TCM_012552 transcript:EOY21170 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MEREDQKLSLTFPLIRKSGENDDLTLSNEQKSSNGSDTRKNIFEEVKKQLWLAGPLIAVNLLQYCIQMISVMFVGHLGELALSGASMATSFATVTGFSLLMGMAAALDTLCGQSYGAKQHSMLGIHMQRAIIVLEIVSIPLAIIWVNTRPILVLLGQDHDISKEAGEYARFMVPSIFAYGLLQCLVKFLQTQNIVFPMMICSGITTLLHILVCWIMVFKSGLGSRGAALSNAISNWINVFLLVLYVKFSPSCAKTWAGFSKEAFHNIFSFLRLAIPSAIMVCLEMWSFEMMVLLSGLLPNPVLQTSVLSICLNTAATVWMIPSGLSGAASTRVSNELGAGHSEAARLAVYVVIVMAISEGLLVGSILILIRNIWGYAYSNEVEVIKYVAAMMPILAISNFLDGIQCVLSGIARGCGWQKIGAYVNLGSYYLVGIPCAILLGFVLDVGGRGLWLGIICALIVQVTSLSIITIRTNWQQEASKAVERVYDYVIPVEVVS >EOY23207 pep chromosome:Theobroma_cacao_20110822:3:26630093:26632867:-1 gene:TCM_015181 transcript:EOY23207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRISRTPTSKSGLLLNSFDPILCRFFRHLTSGIAKQLMKFEKMILETDPPVNLVKEQQTLSGETVFGVQASDKF >EOY24652 pep chromosome:Theobroma_cacao_20110822:3:31819069:31821289:-1 gene:TCM_016198 transcript:EOY24652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Winged-helix DNA-binding transcription factor family protein, putative isoform 1 MAPLPADQPCESPTSAASDSQRSLPTPFLTKTYQLVDDPSVDDLISWNDDGSTFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDCFRRGEKGLLRDIQRRKITPAAAAASATVTVAAVPCKVSPSNSDEQVISSNSPPVVAVVHRTTSCTTTPELLEENERLRKENMQLNHELTQLKGLCNNILTLMTNYASGQLENPSNLAEGKALDLLPARNSAGTAEDGGSKGAMAMEEEADDVTPKLFGVSIGVKRLRREEDDEEQNNNQVQQQDIEPGSEVKAEPLDGKTDDQDTAWLELGK >EOY24653 pep chromosome:Theobroma_cacao_20110822:3:31818875:31820190:-1 gene:TCM_016198 transcript:EOY24653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Winged-helix DNA-binding transcription factor family protein, putative isoform 1 ASSANSTLIKVVPDRWEFANDCFRRGEKGLLRDIQRRKITPAAAAASATVTVAAVPCKVSPSNSDEQVISSNSPPVVAVVHRTTSCTTTPELLEENERLRKENMQLNHELTQLKGLCNNILTLMTNYASGQLENPSNLAEGKALDLLPARNSAGTAEDGGSKGAMAMEEEADDVTPKLFGVSIGVKRLRREEDDEEQNNNQVQQQDIEPGSEVKAEPLDGKTDDQDTAWLELGK >EOY21689 pep chromosome:Theobroma_cacao_20110822:3:17074342:17079216:-1 gene:TCM_013779 transcript:EOY21689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRGSDAPQFAFVLKERFIYLIDKFQAMKAKNNLNALLGDIMVIFSRLAIVKEVYDHVIRHPFYHSNFIQYSALHDIIHQKKVLTDIIGLLKTMSLVTKVQLNNKDLFVQKQDIHIQNTR >EOY21942 pep chromosome:Theobroma_cacao_20110822:3:19957498:19969193:-1 gene:TCM_014113 transcript:EOY21942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 protein isoform 2 MALFRRFFYRKPPDRLLEISERVYVFDCCFSTDVLEEDEYKVYMGGIVAQLQDYFPDASFMVFNFREGERRSQISDILSQYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYNGEQKTLEMVYKQAPRELLQLLSPLNPQPSQLRYLQYISRRNLSSDWPPSDTPLFLDCLILRVLPLFEGRKGCTPVIRVYGQDPKTPANRSSKLLFSTSKTKKQVRHFPQEECALVKIDIHCRVQGDVVLECINLDEDLLREEMIFRVMFHTAFVRANILMLNRDEIDVLWDAKDQFPKDFRTEVLFMDPDAVVPRLTKVVASEDGNEIESASPEEFFEVEEIFSNAVDVLEGKVDDESPIVHNNKPDSREQKDVWREDVDPPTFQDCASDDGNHKQDVKVDSSVDAVKDIAVDDVNYKLNKMDSDLNAVKDIAVDDGDIKVSSVVFTVDVLRDKETKEVTEDVLGKLEEMEDKGNTEDTVPLKNSESKMTQQRLKTDVSKPNSEKVLLVSKKQAGLGPKPASDSVLVKPKSKQLEPQGPPARQAKANAISRWIPPNKGSYTNSMHVSYPPSRYNSAPPVLSSSVALKESNSNANLKGSTGAVISKDVSSEQRSQKADPTKPSDSPKEIPTTPIVPTSPPGLQQTESVPPSPPPQPSSSLPPPLRSSFLVSPSFQENATTIPPPPPPPPVIGNAVSRMSLAAPPPPPPPPPPYRAANVLSIGMVSQSALAAPPPPPPPPPFSKKQTAAPVLPPPPPWNSGYSSASPTIICSPPPPPPPPPPPPPVSALGTSKLGNVPPPPPPPPSPIQGAMASSSIHGVPLPLHPTPPLRGAPSPPAPPPPPPLLTRHGVAPPPPPPPPQYRVLPPAPPPPPRHGASQPPSPPPPMSGGPPPPKSGAPPPPPPPVRGVPPLPPPFGAPPPPPPPARGTPAPPPPPLRGAPPPPVGGAPPPPPPPGGRAPCPPPPPGTPGGAPPPPPPLGARAGDMRGRGRGLSRPAAATAPRRSSLKPLHWSKVTRAIQGSLWEELQRHGEPQIAPEFDVSELETLFSAVVPKPADSGGKSGGRRKSVGSKTDKVHLIDLRRANNTEIMLTKVKMPLSDMMAAVLAMDDTVLDVDQVENLIKFCPTKEEMELLKGYTGDKENLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQISEFKKSLNTVNSACDEVRNSIKLKEIMKKILYLGNTLNQGTARGSAIGFKLDSLLKLTDTRASNSKMTLMHYLCKVLAAKTPALLDVHLEFVSLEAATKIQLKSLAEEMQAIIKGLEKVKQELDASQNDGPVSEVFRKTLKEFISVAEMEVESVKTLYSVVGRNADALALYFGEDPARCPFEQGRLWIYCNTIKLCEVVSKST >EOY21943 pep chromosome:Theobroma_cacao_20110822:3:19960764:19969785:-1 gene:TCM_014113 transcript:EOY21943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 protein isoform 2 MALFRRFFYRKPPDRLLEISERVYVFDCCFSTDVLEEDEYKVYMGGIVAQLQDYFPDASFMVFNFREGERRSQISDILSQYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYNGEQKTLEMVYKQAPRELLQLLSPLNPQPSQLRYLQYISRRNLSSDWPPSDTPLFLDCLILRVLPLFEGRKGCTPVIRVYGQDPKTPANRSSKLLFSTSKTKKQVRHFPQEECALVKIDIHCRVQGDVVLECINLDEDLLREEMIFRVMFHTAFVRANILMLNRDEIDVLWDAKDQFPKDFRTEVLFMDPDAVVPRLTKVVASEDGNEIESASPEEFFEVEEIFSNAVDVLEGKVDDESPIVHNNKPDSREQKDVWREDVDPPTFQDCASDDGNHKQDVKVDSSVDAVKDIAVDDVNYKLNKMDSDLNAVKDIAVDDGDIKVSSVVFTVDVLRDKETKEVTEDVLGKLEEMEDKGNTEDTVPLKNSESKMTQQRLKTDVSKPNSEKVLLVSKKQAGLGPKPASDSVLVKPKSKQLEPQGPPARQAKANAISRWIPPNKGSYTNSMHVSYPPSRYNSAPPVLSSSVALKESNSNANLKGSTGAVISKDVSSEQRSQKADPTKPSDSPKEIPTTPIVPTSPPGLQQTESVPPSPPPQPSSSLPPPLRSSFLVSPSFQENATTIPPPPPPPPVIGNAVSRMSLAAPPPPPPPPPPYRAANVLSIGMVSQSALAAPPPPPPPPPFSKKQTAAPVLPPPPPWNSGYSSASPTIICSPPPPPPPPPPPPPVSALGTSKLGNVPPPPPPPPSPIQGAMASSSIHGVPLPLHPTPPLRGAPSPPAPPPPPPLLTRHGVAPPPPPPPPQYRVLPPAPPPPPRHGASQPPSPPPPMSGGPPPPKSGAPPPPPPPVRGVPPLPPPFGAPPPPPPPARGTPAPPPPPLRGAPPPPVGGAPPPPPPPGGRAPCPPPPPGTPGGAPPPPPPLGARAGDMRGRGRGLSRPAAATAPRRSSLKPLHWSKVTRAIQGSLWEELQRHGEPQIAPEFDVSELETLFSAVVPKPADSGGKSGGRRKSVGSKTDKVHLIDLRRANNTEIMLTKVKMPLSDMMAAVLAMDDTVLDVDQVENLIKFCPTKEEMELLKGYTGDKENLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQVWRYLHSLI >EOY21941 pep chromosome:Theobroma_cacao_20110822:3:19956285:19969460:-1 gene:TCM_014113 transcript:EOY21941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 protein isoform 2 MALFRRFFYRKPPDRLLEISERVYVFDCCFSTDVLEEDEYKVYMGGIVAQLQDYFPDASFMVFNFREGERRSQISDILSQYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYNGEQKTLEMVYKQAPRELLQLLSPLNPQPSQLRYLQYISRRNLSSDWPPSDTPLFLDCLILRVLPLFEGRKGCTPVIRVYGQDPKTPANRSSKLLFSTSKTKKQVRHFPQEECALVKIDIHCRVQGDVVLECINLDEDLLREEMIFRVMFHTAFVRANILMLNRDEIDVLWDAKDQFPKDFRTEVLFMDPDAVVPRLTKVVASEDGNEIESASPEEFFEVEEIFSNAVDVLEGKVDDESPIVHNNKPDSREQKDVWREDVDPPTFQDCASDDGNHKQDVKVDSSVDAVKDIAVDDVNYKLNKMDSDLNAVKDIAVDDGDIKVSSVVFTVDVLRDKETKEVTEDVLGKLEEMEDKGNTEDTVPLKNSESKMTQQRLKTDVSKPNSEKVLLVSKKQAGLGPKPASDSVLVKPKSKQLEPQGPPARQAKANAISRWIPPNKGSYTNSMHVSYPPSRYNSAPPVLSSSVALKESNSNANLKGSTGAVISKDVSSEQRSQKADPTKPSDSPKEIPTTPIVPTSPPGLQQTESVPPSPPPQPSSSLPPPLRSSFLVSPSFQENATTIPPPPPPPPVIGNAVSRMSLAAPPPPPPPPPPYRAANVLSIGMVSQSALAAPPPPPPPPPFSKKQTAAPVLPPPPPWNSGYSSASPTIICSPPPPPPPPPPPPPVSALGTSKLGNVPPPPPPPPSPIQGAMASSSIHGVPLPLHPTPPLRGAPSPPAPPPPPPLLTRHGVAPPPPPPPPQYRVLPPAPPPPPRHGASQPPSPPPPMSGGPPPPKSGAPPPPPPPVRGVPPLPPPFGAPPPPPPPARGTPAPPPPPLRGAPPPPVGGAPPPPPPPGGRAPCPPPPPGTPGGAPPPPPPLGARAGDMRGRGRGLSRPAAATAPRRSSLKPLHWSKVTRAIQGSLWEELQRHGEPQIAPEFDVSELETLFSAVVPKPADSGGKSGGRRKSVGSKTDKVHLIDLRRANNTEIMLTKVKMPLSDMMAAVLAMDDTVLDVDQVENLIKFCPTKEEMELLKGYTGDKENLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQISEFKKSLNTVNSACDEVRNSIKLKEIMKKILYLGNTLNQGTARGSAIGFKLDSLLKLTDTRASNSKMTLMHYLCKVLAAKTPALLDVHLEFVSLEAATKIQLKSLAEEMQAIIKGLEKVKQELDASQNDGPVSEVFRKTLKEFISVAEMEVESVKTLYSVVGRNADALALYFGEDPARCPFEQVTATLLNFVRLFRKAHEENVKQAELEKKKADKEAEMEKAKGINLTKKGAK >EOY21944 pep chromosome:Theobroma_cacao_20110822:3:19961109:19969460:-1 gene:TCM_014113 transcript:EOY21944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 protein isoform 2 MALFRRFFYRKPPDRLLEISERVYVFDCCFSTDVLEEDEYKVYMGGIVAQLQDYFPDASFMVFNFREGERRSQISDILSQYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYNGEQKTLEMVYKQAPRELLQLLSPLNPQPSQLRYLQYISRRNLSSDWPPSDTPLFLDCLILRVLPLFEGRKGCTPVIRVYGQDPKTPANRSSKLLFSTSKTKKQVRHFPQEECALVKIDIHCRVQGDVVLECINLDEDLLREEMIFRVMFHTAFVRANILMLNRDEIDVLWDAKDQFPKDFRTEVLFMDPDAVVPRLTKVVASEDGNEIESASPEEFFEVEEIFSNAVDVLEGKVDDESPIVHNNKPDSREQKDVWREDVDPPTFQDCASDDGNHKQDVKVDSSVDAVKDIAVDDVNYKLNKMDSDLNAVKDIAVDDGDIKVSSVVFTVDVLRDKETKEVTEDVLGKLEEMEDKGNTEDTVPLKNSESKMTQQRLKTDVSKPNSEKVLLVSKKQAGLGPKPASDSVLVKPKSKQLEPQGPPARQAKANAISRWIPPNKGSYTNSMHVSYPPSRYNSAPPVLSSSVALKESNSNANLKGSTGAVISKDVSSEQRSQKADPTKPSDSPKEIPTTPIVPTSPPGLQQTESVPPSPPPQPSSSLPPPLRSSFLVSPSFQENATTIPPPPPPPPVIGNAVSRMSLAAPPPPPPPPPPYRAANVLSIGMVSQSALAAPPPPPPPPPFSKKQTAAPVLPPPPPWNSGYSSASPTIICSPPPPPPPPPPPPPVSALGTSKLGNVPPPPPPPPSPIQGAMASSSIHGVPLPLHPTPPLRGAPSPPAPPPPPPLLTRHGVAPPPPPPPPQYRVLPPAPPPPPRHGASQPPSPPPPMSGGPPPPKSGAPPPPPPPVRGVPPLPPPFGAPPPPPPPARGTPAPPPPPLRGAPPPPVGGAPPPPPPPGGRAPCPPPPPGTPGGAPPPPPPLGARAGDMRGRGRGLSRPAAATAPRRSSLKPLHWSKVTRAIQGSLWEELQRHGEPQMYFIFFY >EOY23145 pep chromosome:Theobroma_cacao_20110822:3:26381673:26385646:1 gene:TCM_015133 transcript:EOY23145 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MHKISFRKMKSPRFLVFSFLFAALFSLSRATSSRTHESFLHCLSLHSEDSSFISKIIYTQNNSSYSSVLEFSMHNLRFSTPTTPKPQVIITPFHESHIQATIYCSKKHRLQVRTRSGGHDFEGISYVSEVSFVIIDLINFRSIDIDVENKVAWVQSGAILGELYYRIAEKSKTLAFPAGICHTVGVGGYFSGGGYGLLFRKYGLAADNIIDAQFIDVNGRILDRKAMGEDLFWAIRGGGGGSFGIVIAWKLKLVPVPATVTVFTISRTSEQNAIKLVHRWQYIAHQLPDGTFSFVVLRSVNSSQDGKKTVLASFNLFFLGGVDELVPLMQERFPELGLVKEDCTEMSWIESILYFGQLQNKSLDILLDRTFQSPLLSPAFKAKSDYVKEPIPEIALEAILSKLHEEEAKSAGIFFLAYGGIMDEIPETATPFPHRAGNLYKILYTVGWQEEDNKNSQRYISWIRRVYSYMSSFVSKSPREAYINYRDLDIGINNKGSTSYAQASVWGHKYFKNNFDKLMRVKTMIDPENFFKHEQSIPPHLS >EOY23118 pep chromosome:Theobroma_cacao_20110822:3:26264180:26265514:-1 gene:TCM_015110 transcript:EOY23118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein MGGHGIMIGVLMLLSWAISTAAAVDCTTVTGLLSTCSAFITYGSPDPYPGSPCCDAVMNLNLIADSTDNRKSVCGCLMGLITTYNPNSTAIATLPGFCGVALGFTIDPNTDCNLYILQSLPKNHRHGMQGGSNEETYGGSNKKLPSATDRRTKILHKQ >EOY23747 pep chromosome:Theobroma_cacao_20110822:3:28435887:28444269:1 gene:TCM_015545 transcript:EOY23747 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, putative MPLFAALGTICGYPMSIIRKRNVYFKVSSSIEGHNQSRVKYDSLRVLEWDKLCDCVASFARTSLGRQATKAQLWSLDQTFQESLRLLQETNAAILMHNHGSFNLDLTSVDLALVKSAIKQARRGLPIDANAAMALVSLLQFIEALQLNLKAAIKEDSDWYKQFMPLSEKITQLVVNRSIIKLIQQVIDEDGTVKDSASSALKKARHQVRMLERKLHHLVENLIRNDTKEASLLEASTIDGRWCIRSGTDQMTSFKGLLLSSGSGLGSIIEPLAAVPLNDELQQARALVDKAEADVLLMVTEKIQMDLDDIEKILRSAIQLDIIYARATYSHSFGGTYPNIYLPEDINGTLMAESYRSKDKTLQASNTNKEWILYLRKAYHPLLLQQHRQKLHMARKDVRNATAEIRRRKLQGENMAVKGEADIDLSSLEMQVRALEEAPPVPTDFFIAQKTRVLVITGPNTGGKTICLKTVGLAAIMAKSGLHVLSSESAKIPWFDSVFADIGDEQSLSQSLSTFSGRLKQISEIRSQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFAKTGALLTIATTHHGELKTLKYSNDTFENACMEFDEENLKPTYKILWGVPGRSNAINIAERLGVPSIVVDNARELYGPASAEIDEVIIDMETFKQSFQELIHESRHYLMLSRSLHEKLLLTRRKLKDLGTDQRYKMMQVLSEAAAVARSTLHKRVQQLRTSTMKQSQLSKASKHTLPSNYKHATSVETKQRSTNVGSSSIQVIKQPQSEKITELPKVGDMVHVSSLGKRAMVLRVDTYKEEIVVQAGNMKLKLKLIDVQT >EOY25096 pep chromosome:Theobroma_cacao_20110822:3:33227498:33235891:-1 gene:TCM_016515 transcript:EOY25096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 1 MAVASPSAPSFSVSFRCRGAAESCISNRLTWFPSVAFTRRHHRQSLPCVKRLSIASSLNSSRSSQDGDVQYEFQQRGWQEGSPVYVKLPMDAVGPTVGQLWNAKTMSYSLHALADAGVEGVVLEIWWGLVERYAPGVYNWHGYKELVELAMRSGLKVRVVMAFHQCGTGPDDPHWISLPRWVLEEIQKNPDLAYADKFGRRNMEYISLGCDTLPVLRGRSPLQAYADFMRNFRDTFGHFIGFIITGIQVGMGPSGELRYPLCKFELRYPSCTSEKLTWSWHSHELGEFQCYDKYMLASLNACAKDIGMPEWGNGGPFGASNLIQNPENTDFFGSDNGSWNTPYGKFFLEWYSGMLLWHGEIICREAESIFQGTEVNKSAKVAAIYWHYDTQSHPSELTAGYYNTSTRDGYLPIARMFARYGFTLCCTGFERQDAEEKKRNPVSSPEGFLRQLLLAAKVCDVPLEGENYATTFDDESFQQVLKMLKFYSYGLEKYSLSFNFERMDKNLFDNRNWIRFVRFVKQMSDANTFRANLGIEDDVHWSSTSALPAASSMGPYAKTMILLLLLVYLDPFIGRVKAMEHVATSANSATNEGMNQVKMRKLTLEVDIQKDYNPIPNPKHEPPPRGKSGAPAGGGN >EOY23616 pep chromosome:Theobroma_cacao_20110822:3:28037145:28042919:1 gene:TCM_015454 transcript:EOY23616 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein MDVHLVSSIDSDEFTHLTRALSRSTLIGLDAEWKPIRSQQSTFPTVTLLQLACQLGDDPAEWDESLVFLLDLASIPLSSIWELLKDVFVSPDILKLGFKFKQDLIFLSSTFGAEGCDPAFDKVEPYLDITNIHKFLQHKQGKKVSKDTKSLSAICEEVLGISLSKALQCSDWSHRPLTEEQLRYAATDAHCLLEIFKIFQAMVVKEGKSCNDVKELHQFNLNLGLKDIFQMPDGDNKLAGTKFCNALDIVQATASSEDCGRIARGLEVIRKTKPMDESLWKIVRKYGEKLLLCESDRKPKTSRKKGKKRSSVVAVCKKNQLDSSGGWQGLPPWDISLGGDGWPKFLCDVMVEGLAKHLRCVGVDAAIPHSKKPEPRELIDQAYKEKRVLLTRDAKLLRHQYLLKNQIYRVKSLLKNEQLIEVIEIFQLKISEDQLMSRCTKCNGRFVQKPLTTEEAIEAAKGFQRIPNCLFDKNLEFWQCMDCNQLYWEGTQYHNAVQKFIDVCKLNE >EOY25217 pep chromosome:Theobroma_cacao_20110822:3:33575308:33581567:1 gene:TCM_016600 transcript:EOY25217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein isoform 1 MSTLPLPFFSGTSTRAPFSFSLKSSPPSAASSSSSSSLVPFQRVELFRSTSTRTTRSFSCVFYSLKAKAMAELVQDKESGVAATGSSGGGRPEVEHSRTFLEARSEQELLSGIRKEVEAGRLPPNIAAGMEELYQNYRNAVFQSGDPSAVEIVLSNMAVAFDRMLLDVEDPFVFEPYHKALREPFDYYMFGQNYIRPLTDFRNSYVGNLSLFYEIEEKLKQGHNVVLISNHQTEADPAIITLLLEKTNPHVAENMIYVAGDRVITDPLCKPFSMGRNLICVYSKKHMYDVPELVEMKRKANTQSLKQMALLLRGGSKIVWIAPSGGRDRPDPLTEEWYPWTI >EOY25214 pep chromosome:Theobroma_cacao_20110822:3:33575281:33583425:1 gene:TCM_016600 transcript:EOY25214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein isoform 1 MSTLPLPFFSGTSTRAPFSFSLKSSPPSAASSSSSSSLVPFQRVELFRSTSTRTTRSFSCVFYSLKAKAMAELVQDKESGVAATGSSGGGRPEVEHSRTFLEARSEQELLSGIRKEVEAGRLPPNIAAGMEELYQNYRNAVFQSGDPSAVEIVLSNMAVAFDRMLLDVEDPFVFEPYHKALREPFDYYMFGQNYIRPLTDFRNSYVGNLSLFYEIEEKLKQGHNVVLISNHQTEADPAIITLLLEKTNPHVAENMIYVAGDRVITDPLCKPFSMGRNLICVYSKKHMYDVPELVEMKRKANTQSLKQMALLLRGGSKIVWIAPSGGRDRPDPLTEEWYPAPFDSSSVDNMRRLIEHSGAPGHIYPLALLCYNIMPPPPQVEKQIGERRNISFHGAGLSVAPKISFQEIAAACEKSEEAKDVYSQALYKSVTKQYNVLKSAVHGKQGLEASTAGVSLSQPWN >EOY25215 pep chromosome:Theobroma_cacao_20110822:3:33575281:33583124:1 gene:TCM_016600 transcript:EOY25215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein isoform 1 MSTLPLPFFSGTSTRAPFSFSLKSSPPSAASSSSSSSLVPFQRVELFRSTSTRTTRSFSCVFYSLKAKAMAELVQDKESGVAATGSSGGGRPEVEHSRTFLEARSEQELLSGIRKEVEAGRLPPNIAAGMEELYQNYRNAVFQSGDPSAVEIVLSNMAVAFDRMLLDVEDPFVFEPYHKALREPFDYYMFGQNYIRPLTDFRNSYVGNLSLFYEIEEKLKQGHNVVLISNHQTEADPAIITLLLEKTNPHVAENMIYVAGDRVITDPLCKPFSMGRNLICVYSKKHMYDVPELVEMKRKANTQSLKQMALLLRGGSKIVWIAPSGGRDRPDPLTEEWYPAPFDSSSVDNMRRLIEHSGAPGHIYPLALLCYNIMPPPPQVEKQIGERRNISFHGAGLSVAPKISFQEIAAACEKSEEAKDVYSQALYKSVTKQYNVLKSAVHGKQGLEASTAGVSLSQPWN >EOY25216 pep chromosome:Theobroma_cacao_20110822:3:33575281:33583124:1 gene:TCM_016600 transcript:EOY25216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein isoform 1 MSTLPLPFFSGTSTRAPFSFSLKSSPPSAASSSSSSSLVPFQRVELFRSTSTRTTRSFSCVFYSLKAKAMAELVQDKESGVAATGSSGGGRPEVEHSRTFLEARSEQELLSGIRKEVEAGRLPPNIAAGMEELYQNYRNAVFQSGDPSAVEIVLSNMAVAFDRMLLDVEDPFVFEPYHKALREPFDYYMFGQNYIRPLTDFRNSYVGNLSLFYEIEEKLKQGHNVVLISNHQTEADPAIITLLLEKTNPHVAENMIYVAGDRVITDPLCKPFSMGRNLICVYSKKHMYDVPELVEMKRKANTQSLKQMALLLRGGSKIVWIAPSGGRDRPDPLTEEWYPVEKQIGERRNISFHGAGLSVAPKISFQEIAAACEKSEEAKDVYSQALYKSVTKQYNVLKSAVHGKQGLEASTAGVSLSQPWN >EOY23957 pep chromosome:Theobroma_cacao_20110822:3:29117046:29174297:1 gene:TCM_015697 transcript:EOY23957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol oxygenase 4 isoform 1 MARRRRTGTIAVLKMTICIESPELTAATLGDEKKMQTDADKLASKEEFAVPENNAFGKSFRDYEADGERKDIVEQHYKLSHINQTYDFVKRMREEYKKLDKAEMGIWECCELHNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPEEDWLHLTALIHDLGKILVLPKFGGLPQWAVVGDTFPVGCAFDDSNVHHKYFKENPDFNNPNYNTKNGVYSEGCGLDNVLMSWGHDDYMYMVAKENGTTLPSAGSFIIRYHSFYPLHKHGAYTQLMNEEDKENMKWLKIFNKYDLYSKSKVLVDVEEVKPYYESLIAKYFPAKLNW >EOY23956 pep chromosome:Theobroma_cacao_20110822:3:29170808:29174388:1 gene:TCM_015697 transcript:EOY23956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol oxygenase 4 isoform 1 MTICIESPELTAATLGDEKKMQTDADKLASKEEFAVPENNAFGKSFRDYEADGERKDIVEQHYKLSHINQTYDFVKRMREEYKKLDKAEMGIWECCELHNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPEEDWLHLTALIHDLGKILVLPKFGGLPQWAVVGDTFPVGCAFDDSNVHHKYFKENPDFNNPNYNTKNGVYSEGCGLDNVLMSWGHDDYMYMVAKENGTTLPSAGSFIIRYHSFYPLHKHGAYTQLMNEEDKENMKWLKIFNKYDLYSKSKVLVDVEEVKPYYESLIAKYFPAKLNW >EOY23958 pep chromosome:Theobroma_cacao_20110822:3:29171319:29174307:1 gene:TCM_015697 transcript:EOY23958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol oxygenase 4 isoform 1 MQTDADKLASKEEFAVPENNAFGKSFRDYEADGERKDIVEQHYKLSHINQTYDFVKRMREEYKKLDKAEMGIWECCELHNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPEEDWLHLTALIHDLGKILVLPKFGGLPQWAVVGDTFPVGCAFDDSNVHHKYFKENPDFNNPNYNTKNGVYSEGCGLDNVLMSWGHDDYMYMVAKENGTTLPSAGSFIIRYHSFYPLHKHGAYTQLMNEEDKENMKWLKIFNKYDLYSKSKVLVDVEEVKPYYESLIAKQS >EOY23959 pep chromosome:Theobroma_cacao_20110822:3:29171319:29174307:1 gene:TCM_015697 transcript:EOY23959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol oxygenase 4 isoform 1 MQTDADKLASKEEFAVPENNAFGKSFRDYEADGERKDIVEQHYKLSHINQTYDFVKRMREEYKKLDKAEMGIWECCELHNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPEEDWLHLTALIHDLGKILVLPKFGGLPQWAVVGDTFPVGCAFDDSNVHHKYFKENPDFNNPNYNTKNGVYSEGCGLDNVLMSWGHDDYMYMVAKENGTTLPSAGSFIIRYHSFYPLHKHGAYTQLMNEEDKENMKWLKIFNKSKVLVDVEEVKPYYESLIAKYFPAKLNW >EOY23960 pep chromosome:Theobroma_cacao_20110822:3:29171033:29174307:1 gene:TCM_015697 transcript:EOY23960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol oxygenase 4 isoform 1 MREEYKKLDKAEMGIWECCELHNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPEEDWLHLTALIHDLGKILVLPKFGGLPQWAVVGDTFPVGCAFDDSNVHHKYFKENPDFNNPNYNTKNGVYSEGCGLDNVLMSWGHDDYMYMVAKENGTTLPSAGSFIIRYHSFYPLHKHGAYTQLMNEEDKENMKWLKIFNKYDLYSKSKVLVDVEEVKPYYESLIAKYFPAKLNW >EOY22758 pep chromosome:Theobroma_cacao_20110822:3:24698788:24700582:1 gene:TCM_014835 transcript:EOY22758 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP oxidoreductase 1, putative MTFKLVFISTRMSADENSSSFTIGNFAQEKGLPYVPKCYVVSPSNRSSLDLVPEKAQVPTIDIEVRKLALEIMGTIRESLGIVPNQLGKKMEDGVQVMAVNCYPPCPEPEMALGLPPHSDYTFLTIVLQNSSGLEILDTEDGKWKIVPVLHPCRTRISTASLHSLGMDDKMGTAKELVDEKRPKGYKESSFRDFLDFIATTDIGDGKSFIGTLKLEN >EOY21357 pep chromosome:Theobroma_cacao_20110822:3:7017585:7031179:-1 gene:TCM_012877 transcript:EOY21357 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase) MVFSKIDLRSGYYQLRIKEQDVPKTAFRTRYGHYEFLVMPFGLTNAPAVFMDLMNRVFHPYLDKFVIVFIDDILVYSKNDDEHAAHLLIVLQTLRERQLYAKFSKCEFWLKEVVFLGHVVSGAGIYVDPKKIEAILQWEQPRTVTEIRSFLGLAGYYRRFVQGFSLIAAPLTRLTRKGVKYEWDDVCENRFQELKNRLTSAPVLTLPVSGKEFVVYSDASKLGLGSSIRIEDLETLFIW >EOY22502 pep chromosome:Theobroma_cacao_20110822:3:23720231:23726299:-1 gene:TCM_014657 transcript:EOY22502 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS / octicosapeptide/Phox/Bemp1 domains-containing protein isoform 1 MASQGGSSRRSLSVVNSASGRKKTSENVGSDSGRKLLPSSRPMGLAGERTVKRLRLSKALTVPESTSIYEACRRMAARRVDALLLTDSNALLCGILTDKDIATRVIARELNLEETPVSKVMTRNPVFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGASFAGQNTFIEALRERMFRPSLSTIISDNSKIVTVSPTDSVLATAKKMLESRLSSAVVTVENKPRGILTSKDILMRVISQNLPPESTLVEKVMTPNPECATVDTPIVDALHTMHDGKFLHLPVVDRDGEIVAVVDVLHITHAAVATVSQVGSTSGINNEATTTMMQKFWDSAMALPPNEDDDETRSDNSLKLASDGGETARSLPYPSSSMPNTFGFKIQDKKGRMHRFTCDTRSMTDLITAILQRLEDDIDRDSVPQILYEDEDHDKVVLSSDNDLSAAVQHAKLVGWKGLRLHLDYSGKQGRRRGSSSGSLDYAHSDAWAAAYSAVAAGAAVAAGLGLLAYLRKAGN >EOY22501 pep chromosome:Theobroma_cacao_20110822:3:23719988:23726333:-1 gene:TCM_014657 transcript:EOY22501 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS / octicosapeptide/Phox/Bemp1 domains-containing protein isoform 1 MASQGGSSRRSLSVVNSASGRKKTSENVGSDSGRKLLPSSRPMGLAGERTVKRLRLSKALTVPESTSIYEACRRMAARRVDALLLTDSNALLCGILTDKDIATRVIARELNLEETPVSKVMTRNPVFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGASFAGQNTFIEALRERMFRPSLSTIISDNSKIVTVSPTDSVLATAKKMLESRLSSAVVTVENKPRGILTSKDILMRVISQNLPPESTLVEKVMTPNPECATVDTPIVDALHTMHDGKFLHLPVVDRDGEIVAVVDVLHITHAAVATVGSTSGINNEATTTMMQKFWDSAMALPPNEDDDETRSDNSLKLASDGGETARSLPYPSSSMPNTFGFKIQDKKGRMHRFTCDTRSMTDLITAILQRLEDDIDRDSVPQILYEDEDHDKVVLSSDNDLSAAVQHAKLVGWKGLRLHLDYSGKQGRRRGSSSGSLDYAHSDAWAAAYSAVAAGAAVAAGLGLLAYLRKAGN >EOY22503 pep chromosome:Theobroma_cacao_20110822:3:23721461:23725862:-1 gene:TCM_014657 transcript:EOY22503 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS / octicosapeptide/Phox/Bemp1 domains-containing protein isoform 1 MASQGGSSRRSLSVVNSASGRKKTSENVGSDSGRKLLPSSRPMGLAGERTVKRLRLSKALTVPESTSIYEACRRMAARRVDALLLTDSNALLCGILTDKDIATRVIARELNLEETPVSKVMTRNPVFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGASFAGQNTFIEALRERMFRPSLSTIISDNSKIVTVSPTDSVLATAKKMLESRLSSAVVTVENKPRGILTSKDILMRVISQNLPPESTLVEKVMTPNPECATVDTPIVDALHTMHDGKFLHLPVVDRDGEIVAVVDVLHITHAAVATVSQVGSTSGINNEATTTMMQKFWDSAMALPPNEDDDETRSDNSLKLASDGGETARSLPYPSSSMPNTFGFKIQDKRGRMHRFTL >EOY22504 pep chromosome:Theobroma_cacao_20110822:3:23720161:23726299:-1 gene:TCM_014657 transcript:EOY22504 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS / octicosapeptide/Phox/Bemp1 domains-containing protein isoform 1 MASQGGSSRRSLSVVNSASGRKKTSENVGSDSGRKLLPSSRPMGLAGERTVKRLRLSKALTVPESTSIYEACRRMAARRVDALLLTDSNALLCGILTDKDIATRVIARELNLEETPVSKVMTRNPVFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGASFAGQNTFIEALRERMFRPSLSTIISDNSKIVTVSPTDSVLATAKKMLESRLSSAVVTVENKPRGILTSKDILMRVISQNLPPESTLVEKVMTPNPECATVDTPIVDALHTMHDGKFLHLPVVDRDGEIVAVVDVLHITHAAVATVGSTSGINNEATTTMMQKFWDSAMALPPNEDDDETRSDNSLKLASDGGETARSLPYPSSSMPNTFGFKIQDKRGRMHRFTL >EOY21161 pep chromosome:Theobroma_cacao_20110822:3:3631549:3637469:-1 gene:TCM_012535 transcript:EOY21161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 61 protein, putative MGEKMMYDTIFARSFSRYDQKKLSYGAFLGCLLIALSFCTVFKPYLGPLPVLNMRLSMDAGLKMLRITDSASSQKLWANDASGSKELMSNDKSSFLRIIVNQTSSPQIEMVVDNDTSTSNETISNDMVSFNNMPLPVVNETISSSKTQEMNYTLSTNATMRNDIISYNTPLPAADDDRMSSSSNLTTTNDLVSSNMSVPVVKSSSSETLEINSRNSSQQVRDVVPEKMKPICNLEGRTEYCEMNGDIRIDGKSSTVFMADSSQAAGILVENSSWIISPYARKGDEEALNRVTKWSVKSGVDGYAVPQCNQNHRVPAIIFSLGGYAGNNFHDYTDIVIPLYLTSRQFDGEVKFLITDRNPWWINKFQNVLRTLSRYELIDIDKEENVHCFTTVTVGLKRYPKELSIDPSKSPYSMKKFRQFLRSAYSLKKGTAIKMKDNGGKRPRLLILSRKRTRAFTNTNDIAKMARRLGFKVVVAEADSNVARFAEIVNSCDVMMGVHGAGLTNMVFLPENAILIQVVPIGGFEWLARTDFGEPSKYMNLRYLEYKIKTEESTLIQQYPPQHEVLSNPASIEKQGWYAFKSVYLEKQNVHLDVNRKIEYMETVLKFMILYVIEIVAYDTMWWGFPWTAYERGTVTQLYIYLQGEMLDEVSPEAQDSLLIVDQDENESRSCILENLITVAHRGDVEADVNLCGVLIGIRGNECLSGRRDGCYGPDVSFGS >EOY22369 pep chromosome:Theobroma_cacao_20110822:3:23183203:23186131:-1 gene:TCM_014560 transcript:EOY22369 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MDYFELSASSSYQDYLKVLEADVQHANMLAASIPRAKGGACLQMKLVYNHLAPIFLVFLQWLDCSCSCLLSSYVNLFHIVVYQVCSDGRPSISSCRRKATIREFYCVILPSLQRLHGDLSELDVTPGEDHCFEMVVRKKLDDKRKVTDMELEREDECGICLEPCNKIVLPNCCHAMCINCYRDWSIRSESCPFCRGSLKRVTSGDLWVLTRSTEVVETQTVLKEDMLRLHLYINRLPKDMPDALFLMYYEYLI >EOY23617 pep chromosome:Theobroma_cacao_20110822:3:28043324:28044955:1 gene:TCM_015455 transcript:EOY23617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 LRRTILAVKSFIHRKNLQVFLLRLSNDLLLPHPRNCHFFATLQPVLSSRQFLFSPCAPTPLAYSELSLVGSTSLSTHSVFFSFLFFTF >EOY21079 pep chromosome:Theobroma_cacao_20110822:3:2748787:2753162:1 gene:TCM_012399 transcript:EOY21079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MLLPLFFLIFLSLFFMNTHGNNTFLPSTCPSHDCGNGLEIRYPFWHNDSDTPNNHYCGYPHFGLRCADNGQPIFSLPNDNFYVKNINYDDYTFTLVDIDVVDQTCPRARHNLTLGTLPLYHSDLNLNLSFYFNCSSHPSNLTPVKCLGSNDLRSYVVTEENDDGVDKSDLVISCEEKVEATVMKREINMNDLISGFGAAMNKGFVLDWRRMRECGACEASQGFCGYSNAAHEFLCFCKNGQIGKDHCLDLVVPRSMATSMPITWMSHC >EOY21577 pep chromosome:Theobroma_cacao_20110822:3:12986213:13007561:1 gene:TCM_013428 transcript:EOY21577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endosomal targeting BRO1-like domain-containing protein isoform 3 MGCLVSTPKDSGGNRRRPGNIGEISVYVPGLRIPKPVDFFQSLGGHLSKTLVERLTALRTRIVVMAGQEGPTITRTRRKTQHGGSTLADLHQALEDYLPVLLGLIKDGSQLQFKVQFIWVNQEDDAEETAMFNAWYEVLSVLHLMAMLSLSQANLLLLPRTSADGYQPKVSEESRRASIDIFLKAAGYLDCAVRHVLPQLPSELRRNLPVDLAEGVLRALCLQALGQGVDIQLGLAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLQLSNGWGEKHRHFVKWKYIEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESKKACEVFNAAHPLSRNPPLWGTM >EOY21576 pep chromosome:Theobroma_cacao_20110822:3:12986295:13008129:1 gene:TCM_013428 transcript:EOY21576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endosomal targeting BRO1-like domain-containing protein isoform 3 MAYESLFSLFALYCNSQDMGCLVSTPKDSGGNRRRPGNIGEISVYVPGLRIPKPVDFFQSLGGHLSKTLVERLTALRTRIVVMAGQEGPTITRTRRKTQHGGSTLADLHQALEDYLPVLLGLIKDGSQLQFKVQFIWVNQEDDAEETAMFNAWYEVLSVLHLMAMLSLSQANLLLLPRTSADGYQPKVSEESRRASIDIFLKAAGYLDCAVRHVLPQLPSELRRNLPVDLAEGVLRALCLQALGQGVDIQLGLAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLQLSNGWGEKHRHFVKWKYIEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESKKACEVFNAAHPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFALALKPDEYQLPPADPSWNENVQSHIGINQVKSDKR >EOY21575 pep chromosome:Theobroma_cacao_20110822:3:12986042:13009186:1 gene:TCM_013428 transcript:EOY21575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endosomal targeting BRO1-like domain-containing protein isoform 3 MGCLVSTPKDSGGNRRRPGNIGEISVYVPGLRIPKPVDFFQSLGGHLSKTLVERLTALRTRIVVMAGQEGPTITRTRRKTQHGGSTLADLHQALEDYLPVLLGLIKDGSQLQFKVQFIWVNQEDDAEETAMFNAWYEVLSVLHLMAMLSLSQANLLLLPRTSADGYQPKVSEESRRASIDIFLKAAGYLDCAVRHVLPQLPSELRRNLPVDLAEGVLRALCLQALGQGVDIQLGLAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLQLSNGWGEKHRHFVKWKYIEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESKKACEVFNAAHPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFALALKPDEYQLPPADPSWNENVQSHIGINQVIIGGGEGRGFLGGGAAAFVGGVALAVEAPAVEEDKVEESDDEDMGFSLFD >EOY24683 pep chromosome:Theobroma_cacao_20110822:3:31910410:31915021:1 gene:TCM_016223 transcript:EOY24683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMADWSELPGDLLVLIAKKLVSVEEFLAFGVVCTSWRSAADKSNFEPSQQQPPWLMLSKRKHKRFREFFSLTTHKIYKFSIPDDIGKKCLASQGWLFAIGKTFDINLIHPLSGARVPLPQMHTLKGLNCLFDSPASLCFHFIYKAILSTNNNPNELRVAIIYGGSLNRVAVCKVGDETWKTVEAYPSCACNDLVYYEGRFYGIDILARVWVFDHEVLNAQVISIVPREFLPNYGDTREPWQYFERFYMVESAGSLLVVSREGKACGHDYGHGTMAFRVFEVDVEKGMWKWVNSLGNRALFLGRNSSFSIQVTSRSGCKADCIYFTDDCFSSYSGSQKADGKDQGIYNMRDGSFEQHFTGESYDSDNPPIWIEPTFEPKIYTMMSNLLKQ >EOY24566 pep chromosome:Theobroma_cacao_20110822:3:31468896:31471863:1 gene:TCM_016137 transcript:EOY24566 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein, putative MIKASASGPKAPNGHSKEQELPLVLVRRPPNFHFPFKHRLETHFHLLDPLDSVPPESTHSFISRHSPSVRALLCVDPTPISRDLSSLLHSLQFIVCSTARVDHVDLQEYRCRSIAVSNVGKAFSSDVADLAVGLLIDVLRKSPPETGTFGLDCGLGMGNSRLDSRLFLLGGKRVGIVGLGGIGAEIVKKLESFGCAISYNSRRKRPSVPFRWYKNVYDLAINSDILIVCCALTEETHYIINKDVMTALGKEGVIINVGRGALIDEEELLQLLVRGELVGAGLAVFENEPDVPGELFSLNNLVLFPHCAVATPEYFDALEELIPTNLKAFFKSKPLQSLVEFE >EOY21681 pep chromosome:Theobroma_cacao_20110822:3:17024894:17032490:1 gene:TCM_013773 transcript:EOY21681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding, putative isoform 1 MHCLQVFPLLVKQFSSQEQASLVWQFVGSIPIILLEDFLPWMISFFHPDVQEEITNCIKDVVPKEKSLQEVVVSWLGKKHQTTFGFHTELAKGVRPLDGPATIKGKFNFNFITRPLGWKKVYCFQTSVGNNPVDGLLLWHSAIQKDLKEILLELHQIKISSCFQNIDFVVHQLKFLVDIIIFYSNALEKFFYPVLVDVSNSQLSLPTQHLYIACHIEHLQYLLHYNDQKGVATNEFAEKLFQKLESFVMNVDKQFGLQEKEVFSIISKNCSQEMQQQLLCMSLHVLPLGLLKLVITWFAAHLSEDESRSILRNINQGSSLVNKSFASLLLEWFHIGYSGKTSVESFRRDLEKMFSSRCSFLPEPIKEDAESSCLLSDMLLCKGPKSELVKPVFVNKEKKGFSFSSADSHGIKQFDTSYCSGINLHIFFPKTIRASYSFSKFPGEKSCVDSAVTEPLPMDLIFFFHRAQKKDLDYLVLGSAQLAENVGFLMEFRQHFNLIQLLYQIHSDAEDEIAFPALEAKGKLQNISHSYTIDHKLEVENFSKISLILDEMYELHITPSNGESKTLDRVVRHQQLCVNLHDACKSMHKLLSDHVHREEVELWPLFRECFSLEEQEKIIRSMLGRTGAEILQDMIPWLMASLTPDEQQSVMSLWHKATRNTMFDEWLEEWWEGHKIAKAAEESTTPSWTTDPLEIISTYLPKVLDEQEAFCDNFLSANSIGADIERLGMSNLDHKAKAFKGDEKFSECSGLFSRSNDKKSNEVADWMNRTNKPCQNFQVTENSGQCKHVLTMSQEDLEAAIRRVFSDTSFDPERKAHVMQNLLMSRWILKQQVYNLEVNKSHNGGEFPGQHPSYRDPRKLALGCKHYKRNCKLFAACCNQLYTCIRCHDEVADHSLDRKSVTKMMCMKCLIIQPIGSMCSTASCNDLSMGKYYCRICKLFDDERQIYHCPYCNLCRVGKGLGIDYFHCMNCNACMSRSLSLHICREKSFEDNCPICHEDIFTSSAPVKALPCGHLMHSICFQDYTCTHYTCPICSKSLGDMQVDCFSRYKKVVWFDGEE >EOY21680 pep chromosome:Theobroma_cacao_20110822:3:17023180:17032490:1 gene:TCM_013773 transcript:EOY21680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding, putative isoform 1 MGGGRAPKDPPDKEEERPSPSSSPWLPPSLAGVRLADAPILLLVYFHEAIRTELSELRRVAVAAAADEKSESHSREFAVELSGRFEFLKLFCKYHCAAEDEVVFLALDAHVKNVACTYSLEHESIDDLFDSVFCCLNVFDGSKSTSKASQELVFCIGTIQSSICKHMLKEEKQVFPLLVKQFSSQEQASLVWQFVGSIPIILLEDFLPWMISFFHPDVQEEITNCIKDVVPKEKSLQEVVVSWLGKKHQTTFGFHTELAKGVRPLDGPATIKGKFNFNFITRPLGWKKVYCFQTSVGNNPVDGLLLWHSAIQKDLKEILLELHQIKISSCFQNIDFVVHQLKFLVDIIIFYSNALEKFFYPVLVDVSNSQLSLPTQHLYIACHIEHLQYLLHYNDQKGVATNEFAEKLFQKLESFVMNVDKQFGLQEKEVFSIISKNCSQEMQQQLLCMSLHVLPLGLLKLVITWFAAHLSEDESRSILRNINQGSSLVNKSFASLLLEWFHIGYSGKTSVESFRRDLEKMFSSRCSFLPEPIKEDAESSCLLSDMLLCKGPKSELVKPVFVNKEKKGFSFSSADSHGIKQFDTSYCSGINLHIFFPKTIRASYSFSKFPGEKSCVDSAVTEPLPMDLIFFFHRAQKKDLDYLVLGSAQLAENVGFLMEFRQHFNLIQLLYQIHSDAEDEIAFPALEAKGKLQNISHSYTIDHKLEVENFSKISLILDEMYELHITPSNGESKTLDRVVRHQQLCVNLHDACKSMHKLLSDHVHREEVELWPLFRECFSLEEQEKIIRSMLGRTGAEILQDMIPWLMASLTPDEQQSVMSLWHKATRNTMFDEWLEEWWEGHKIAKAAEESTTPSWTTDPLEIISTYLPKVLDEQEAFCDNFLSANSIGADIERLGMSNLDHKAKAFKGDEKFSECSGLFSRSNDKKSNEVADWMNRTNKPCQNFQVTENSGQCKHVLTMSQEDLEAAIRRVFSDTSFDPERKAHVMQNLLMSRWILKQQVYNLEVNKSHNGGEFPGQHPSYRDPRKLALGCKHYKRNCKLFAACCNQLYTCIRCHDEVADHSLDRKSVTKMMCMKCLIIQPIGSMCSTASCNDLSMGKYYCRICKLFDDERQIYHCPYCNLCRVGKGLGIDYFHCMNCNACMSRSLSLHICREKSFEDNCPICHEDIFTSSAPVKALPCGHLMHSICFQDYTCTHYTCPICSKSLGDMQVDCFSRYKKVSLIKWTQLLSY >EOY21682 pep chromosome:Theobroma_cacao_20110822:3:17026076:17033123:1 gene:TCM_013773 transcript:EOY21682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding, putative isoform 1 LGWKKVYCFQTSVGNNPVDGLLLWHSAIQKDLKEILLELHQIKISSCFQNIDFVVHQLKFLVDIIIFYSNALEKFFYPVLVDVSNSQLSLPTQHLYIACHIEHLQYLLHYNDQKGVATNEFAEKLFQKLESFVMNVDKQFGLQEKEVFSIISKNCSQEMQQQLLCMSLHVLPLGLLKLVITWFAAHLSEDESRSILRNINQGSSLVNKSFASLLLEWFHIGYSGKTSVESFRRDLEKMFSSRCSFLPEPIKEDAESSCLLSDMLLCKGPKSELVKPVFVNKEKKGFSFSSADSHGIKQFDTSYCSGINLHIFFPKTIRASYSFSKFPGEKSCVDSAVTEPLPMDLIFFFHRAQKKDLDYLVLGSAQLAENVGFLMEFRQHFNLIQLLYQIHSDAEDEIAFPALEAKGKLQNISHSYTIDHKLEVENFSKISLILDEMYELHITPSNGESKTLDRVVRHQQLCVNLHDACKSMHKLLSDHVHREEVELWPLFRECFSLEEQEKIIRSMLGRTGAEILQDMIPWLMASLTPDEQQSVMSLWHKATRNTMFDEWLEEWWEGHKIAKAAEESTTPSWTTDPLEIISTYLPKVLDEQEAFCDNFLSANSIGADIERLGMSNLDHKAKAFKGDEKFSECSGLFSRSNDKKSNEVADWMNRTNKPCQNFQVTENSGQCKHVLTMSQEDLEAAIRRVFSDTSFDPERKAHVMQNLLMSRWILKQQVYNLEVNKSHNGGEFPGQHPSYRDPRKLALGCKHYKRNCKLFAACCNQLYTCIRCHDEVADHSLDRKSVTKMMCMKCLIIQPIGSMCSTASCNDLSMGKYYCRICKLFDDERQIYHCPYCNLCRVGKGLGIDYFHCMNCNACMSRSLSLHICREKSFEDNCPICHEDIFTSSAPVKALPCGHLMHSICFQVLLKLPLFPL >EOY21679 pep chromosome:Theobroma_cacao_20110822:3:17014593:17032848:1 gene:TCM_013773 transcript:EOY21679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding, putative isoform 1 MGGGRAPKDPPDKEEERPSPSSSPWLPPSLAGVRLADAPILLLVYFHEAIRTELSELRRVAVAAAADEKSESHSREFAVELSGRFEFLKLFCKYHCAAEDEVVFLALDAHVKNVACTYSLEHESIDDLFDSVFCCLNVFDGSKSTSKASQELVFCIGTIQSSICKHMLKEEKQVFPLLVKQFSSQEQASLVWQFVGSIPIILLEDFLPWMISFFHPDVQEEITNCIKDVVPKEKSLQEVVVSWLGKKHQTTFGFHTELAKGVRPLDGPATIKGKFNFNFITRPLGWKKVYCFQTSVGNNPVDGLLLWHSAIQKDLKEILLELHQIKISSCFQNIDFVVHQLKFLVDIIIFYSNALEKFFYPVLVDVSNSQLSLPTQHLYIACHIEHLQYLLHYNDQKGVATNEFAEKLFQKLESFVMNVDKQFGLQEKEVFSIISKNCSQEMQQQLLCMSLHVLPLGLLKLVITWFAAHLSEDESRSILRNINQGSSLVNKSFASLLLEWFHIGYSGKTSVESFRRDLEKMFSSRCSFLPEPIKEDAESSCLLSDMLLCKGPKSELVKPVFVNKEKKGFSFSSADSHGIKQFDTSYCSGINLHIFFPKTIRASYSFSKFPGEKSCVDSAVTEPLPMDLIFFFHRAQKKDLDYLVLGSAQLAENVGFLMEFRQHFNLIQLLYQIHSDAEDEIAFPALEAKGKLQNISHSYTIDHKLEVENFSKISLILDEMYELHITPSNGESKTLDRVVRHQQLCVNLHDACKSMHKLLSDHVHREEVELWPLFRECFSLEEQEKIIRSMLGRTGAEILQDMIPWLMASLTPDEQQSVMSLWHKATRNTMFDEWLEEWWEGHKIAKAAEESTTPSWTTDPLEIISTYLPKVLDEQEAFCDNFLSANSIGADIERLGMSNLDHKAKAFKGDEKFSECSGLFSRSNDKKSNEVADWMNRTNKPCQNFQVTENSGQCKHVLTMSQEDLEAAIRRVFSDTSFDPERKAHVMQNLLMSRWILKQQVYNLEVNKSHNGGEFPGQHPSYRDPRKLALGCKHYKRNCKLFAACCNQLYTCIRCHDEVADHSLDRKSVTKMMCMKCLIIQPIGSMCSTASCNDLSMGKYYCRICKLFDDERQIYHCPYCNLCRVGKGLGIDYFHCMNCNACMSRSLSLHICREKSFEDNCPICHEDIFTSSAPVKALPCGHLMHSICFQDYTCTHYTCPICSKSLGDMQVYFRMLDALLAEEKIPDEYHGRTQVILCNDCEKKGTAPFHWLYHKCSNCGSYNTRVL >EOY22012 pep chromosome:Theobroma_cacao_20110822:3:20366193:20374161:-1 gene:TCM_014168 transcript:EOY22012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSETPLVLSRQLKRIFGEEDFSAQFLDLKISIPQFIKWAKDCTSKLDLSKMRLKISMASQSHNLALTPSHAVTPTSMSSSSPSPTTQQIAISTNESKAVCSKKRKSTSTERCKITIGEEKDKSELERYLSLNEPNAINSDNFDVLIWRKLNNHRYPTLALLTYHVLAIPPSIVASKLVFSIGGCVLDAKSSLTLKMMQAFICTQD >EOY24603 pep chromosome:Theobroma_cacao_20110822:3:31596741:31599967:-1 gene:TCM_016164 transcript:EOY24603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein MHSPCLREASQACYGCCLNPFPGLPESRAATSQIPRSAAASRYEFEVCTASSLCPNFQFTNHESLPSSEESFSYFIKVYPQYSQTDQADKIRAQEYYHLSLSKHVCLDYIGHGLFSYSQLESQCPGSPAASSSSSPPPPPPPPVRSVTLEAPFFDVSYKSVNLNSQILYGGEESEFESNIRKRIMAFMNISEADYTMVLSANQSSASKLLAESYPFQSYQNLLTVYDYQSEAVEVMIESSKKRGANVMSANFSWPNLSIQSEKLRKKIANKSKHKKKGLFVFPLQSRVTGSRYSYLWMSLAQENGWHVLLDASALGAKDMETLGLSLFNPDFLICSFFKVFGENPSGFCCLFIRKSSASVLKDSTTATSIGIVNLVPGSEPTRIPESSAISSIETRKKSKEFPAQGSFSGPISIQQRRDETTLDLHKTEGINRKQKTVSFSEIEEVIETSFESASSIINNTRQSKNPKIECRSLDHADSLGLILISSRTRNLINWLVNALMSLQHPHSENGIPAVKIYGPKIMFDRGPAVAFNVFDWKGEKIDPVLVQKLADRNNISLSIGFLQHIWFSDKHEEEKEKQLETRTSEAEEPVSSKKRDKFHSGISVVTAALGFLTNFEDIYRLWAFVSRFLDADFLEKEKWRYKALNQKTIEI >EOY22518 pep chromosome:Theobroma_cacao_20110822:3:23769471:23773158:-1 gene:TCM_014668 transcript:EOY22518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding protein isoform 1 MDWNLKATSWDLTELVNEAVPTIDVANGSSSYGVPRNEGDFSVDLKLGQVGNSGEESMNKWKEPGELKMESSPSKRARATNNGTHQVSCLVDGCNSDLSSCRDYHRRHKVCELHSKTPQVMINGQKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPDPLSRSGSYFSNYQGTQMSPFSNLQVYPSTTVVKPTWPGVTNSETDSRHLNQQQQLHSPEKRNLVLGSSSSNYRGGKQFMFLQGENNTPQNQTLPEASVCQPLLRATPFSEGSGGSHTMFCDRSTTPVQDSDCALSLLSSPQTQTSGISLSSMVQPRSFPLVQPLGPSLQNHIIEPMDSVVVANGRDTAVHCPGMFHMGSGGSSGNEAPQTLPFHWQ >EOY22519 pep chromosome:Theobroma_cacao_20110822:3:23769471:23773492:-1 gene:TCM_014668 transcript:EOY22519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding protein isoform 1 MDWNLKATSWDLTELVNEAVPTIDVANGSSSYGVPRNEGDFSVDLKLGQVGNSGEESMNKWKEPGELKMESSPSKRARATNNGTHQVSCLVDGCNSDLSSCRDYHRRHKVCELHSKTPQVMINGQKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPDPLSRSGSYFSNYQGTQMSPFSNLQVYPSTTVVKPTWPGVTNSETDSRHLNQQQQLHSPEKRNLVLGSSSSNYRGGKQFMFLQGENNTPQNQTLPEASVCQPLLRATPFSEGSGGSHTMFCDRSTTPVQDSDCALSLLSSPQTQTSGISLSSMVQPRSFPLVQPLGPSLQNHIIEPMDSVVVANGRDTAVHCPGMFHMGSGGSSGNEAPQTLPFHWQ >EOY24511 pep chromosome:Theobroma_cacao_20110822:3:31256596:31259900:1 gene:TCM_016095 transcript:EOY24511 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease proteolytic subunit 2 MAISLNTNLHQPSLSCGTKLYSGLRLQSPCLFATGRPNLSADFYSRVNKSLQCGTRNCKPTRSRVGMMPIGTPRVPYRVPGEGTWQWVDIWNALYRERVIFIGQHIDEEFSNQILATMLYLDSIDDNKRLYFYINGPGGDLTPSLAIYDTMQSLKSPVGTHCVGYAYNLAGFLLAAGEKGNRFAMPLSRIALQSPAGAARGQADDIRNEANELLRIRDYLFSELAKNTGQPVEKINKDLSRMKRFNAQEALEYGLIDRVVRPPRIKADAPRKDAGTGLG >EOY21048 pep chromosome:Theobroma_cacao_20110822:3:2522899:2525550:1 gene:TCM_012365 transcript:EOY21048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7e family protein MYTSRKKIHKDKDAEPTEFEESVAQAFVDLENINQDLKSELKDLYINSAVQIDVSGSRKAVVIHVPYRLRKAFRKIHVKLVRELEKKFSGKDVILIATRRILRPPKKGSAVQRPRTRTLTAVHEAMLEDIVLPAEIVGKRIRYRIDGSKIMKVFLDPKERNNTEYKLETFAAVYRKLAGKDVVFEYPVTEA >EOY23806 pep chromosome:Theobroma_cacao_20110822:3:28643547:28645645:1 gene:TCM_015587 transcript:EOY23806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein MATLLARAFGSIISVIASCFFGYRDNKKGFSNINYYNMPKGRPLSLQTVDLKVRMCCTGCERVVKNAIYKLRGIDSVEVDLEMEKVTVIGYVDRNKVLKQVRRAGKRAEFWPYPDPPLYFTSTNEYFKDTTNEFKESYNYYRHGYNLGHRHGNIPVTHRGDDKVSNMFNDDNVNACCLM >EOY22945 pep chromosome:Theobroma_cacao_20110822:3:25485728:25488951:-1 gene:TCM_047011 transcript:EOY22945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MDRFLACEGWSWCCEGLATYNIIRAAAIVLAAALATFCYAWWANKFTKSTPPLPPGPPGMPILGNLRFIQPDFYQYVVKLSQIYGPIIKLQLGSKICIVISSPSVAKEVLKDHDAIFANHDTPAGAIVGMYGGLDIAWRPNGPELHKLRKLVVRGIMSKRSLDACYTLRRREIRRMVKDIYGKVGSPVNIGEQMFLTSLNVMVSMLWGDSLNGEERSTLGIEFRRRLVEFVELFGAPNVSDLFPVLTPFDLQGIQSKTKKNLSWFYEFFESVIVHRTKVEQADGEGKKKEESKDFLQQLLELNQRGDDETSLSMNEVKALMLDLIVGATDTTSTTVEWAMTVLLRHPNKMSRVLEELEAVVGNQNIVEESHLPRLLYLEAVVKETLRMYPPTPLLLPRKPSMTCTVAGYTIPKDSRILINACSIQRDPEIWEGPLQFEPERFLKDTEKGNYLGNNFHFFPFGSGRRICAGIPMAERMIAHVLAALVHSFEWKLPEGTKLDTQEKFGILLKKMEPLAAIPAARLCNSEQYQ >EOY22080 pep chromosome:Theobroma_cacao_20110822:3:21257720:21261776:1 gene:TCM_014274 transcript:EOY22080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 70 kDa DNA-binding subunit MIKIVAGNSLKNTKTPSKFLLFQNKTLPFLDPCLHSSFVSSPSISQIPTTTNIEREMAKWVTRDAISTILANPSPDSASGLLEIVVQVLDLKLTGNRYTFNASDGNMKLRAIFPSNVSSEITSGSIQNKGLVKILDYTLNDIPNKSEKYLIVKKCETVSPALDTEIKAEVKTEESEIASKKPKLENDAKTEGAGIILKPKQEMVSKSAAQIVYEQRDNMAPSARMAMTRRVHPLVSLNPYQGNWTIKVRLTSKGNMRTYKNARGEGCVFNVELTDEDGTQIQATMFNEAARKFYEKFQLGKVYYISKGTLKVANKQFKTLQNDYEMTLNESSVVEEAVNEETFIPETKFNFIPIDQLGPYVNGRELVDIIGVVQSVTSVSNIKRKIDNENISKRDMTVADETKRTVVVSLWNELANNAGQELFDIADKSPIVAIKSLKVSDFQGVSLSTLGKSTLLINPDMPEAKNLRSWYDSEGKGSSMASVGSGLSPSKSGARSMYTDRVSLSHITGNPSLGEEKPAFFSIKAFISLIRPEQAMWYRACKSCNKKVTEAVGSGYWCEGCQKNDEECSLRYIMVSKISDASGEAWVSGFNEEAERIVGCPADELDKLKSKEGEMNAYQQKLKEATWVPHLFRVSVTQNEYNNEKRQRITVRAVAPIDFAEESRFLLEEIKKMRNSQ >EOY23887 pep chromosome:Theobroma_cacao_20110822:3:28896851:28906129:-1 gene:TCM_015642 transcript:EOY23887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxal oxidase-related protein MLSNSTIFAMSKAAMEPKILVFLLLVSYSSVLFNLALGAQTYQGKWKLLKRSIGISAMHMALLPNERIVTFDRTDFGPSNITLPQGKCMKDLQSSDCFAHSVEFDPATRAVRPLTILSDTWCSSGALSQDGTLVQSGGYRLGEKVVRYFKPCMDCDWEEDQNGLLSPRWYASNQILPDGKIIVVGGRYQFTYEFIPKASSSDQRLYQLPFLKETRYSPLVSNNLYPFLHLSTDGNLFVFANDRGILLDYVNNKVIRTYPVMPGGFSHNYPSTGSSVLLPLKLLSTDNENNTSTPDAEVLICGGTPPDSNEKANIGIFVPASRYCGRLIITAASPEWEMEEMPINRVMGDMIMLPTGDVLIINGAAKGSAGWGVARKPVLNPVLYRPADNPSSDKNTRFEVLSPSMIPRLYHSTAQLLSDGRVLVGGSNPNMNYNFSALYPTELSLEAFYPPYLSSTKPRPSILAVRPGLKLGYKQKVSLEFTLEGNVNQGDVYVTMVAPSFTTHSIAMNQRLLVLALDEGVKKTPSGNYLVKGYAPETAALAPPGYYQLFLVHEGIPSKGTWVHIKQSS >EOY24638 pep chromosome:Theobroma_cacao_20110822:3:31758022:31766407:1 gene:TCM_016190 transcript:EOY24638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Map3k delta-1 protein kinase, putative isoform 2 MAGDDSEASLYRVLVDRCLGLEASHAKLREEFGELVQQDKRKTEVVGTSDSGDATPYSCFVTFPGFFSTGSPFKNVLESIGHAVHVCSASSEKITFWNRSAENLFGWRSNEVLGQRDTELLIAEEYNTPLKKIMEKLSSGKSWSGQFPLKRRSGEMFMALVSKSPLYEDGQLTGIITVSSDAAIFNGINSESLGKYQDHGRLRRIKMKRIQWHPPRPQIAPSVSNLASRFLLNKQAGTNSRDKEDAVTSTEDKLETPNTAEGKFYTNSHEESNPAEGTSCRKDENAFNFAQPSKIAAKVLAKLHVRETSNHSNKYDESLQQNGTTSRLARNDVTDVPNSFGDSNASAPNHFNPLSAAENAISSVHKHTSPASVEEKNVLASSRECNGQFCLTRIGDSTAGLACQGNGNELELEFPNMDASEMEDEVQKHTDGKNFSSLGESIGSQGSSSSKGDNESNSIVDCEIQWEDLHLGEEVGQGSYAIVYRGIWNGSDVAVKVYFVGEHKESTLLEYKKEIDIMRKLRHPNVLLFMGAVYSQERLAIVTEYLPRGSLFKILHKNNQALDLRRRMKMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDRNWNVKVADFGLSRWKNATFLTTKSGRGTPQWMAPEVLRNEPSNEKSDVFSFGVLLWELVTVSIPWNNLNSLQVVGVVGFMDRRLELPEGLDPQVASIIRDCWRSDPENRPSFEDIINRMTGIFPKSTTGLARRSSES >EOY24639 pep chromosome:Theobroma_cacao_20110822:3:31758022:31765243:1 gene:TCM_016190 transcript:EOY24639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Map3k delta-1 protein kinase, putative isoform 2 MEKLSSGKSWSGQFPLKRRSGEMFMALVSKSPLYEDGQLTGIITVSSDAAIFNGINSESLGKYQDHGRLRRIKMKRIQWHPPRPQIAPSVSNLASRFLLNKQAGTNSRDKEDAVTSTEDKLETPNTAEGKFYTNSHEESNPAEGTSCRKDENAFNFAQPSKIAAKVLAKLHVRETSNHSNKYDESLQQNGTTSRLARNDVTDVPNSFGDSNASAPNHFNPLSAAENAISSVHKHTSPASVEEKNVLASSRECNGQFCLTRIGDSTAGLACQGNGNELELEFPNMDASEMEDEVQKHTDGKNFSSLGESIGSQGSSSSKGDNESNSIVDCEIQWEDLHLGEEVGQGSYAIVYRGIWNGSDVAVKVYFVGEHKESTLLEYKKEIDIMRKLRHPNVLLFMGAVYSQERLAIVTEYLPRGSLFKILHKNNQALDLRRRMKMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDRNWNVKVADFGLSRWKNATFLTTKSGRGTPQWMAPEVLRNEPSNEKSDVFSFGVLLWELVTVSIPWNNLNSLQVVGVVGFMDRRLELPEGLDPQVASIIRDCWRSDPENRPSFEDIINRMTGIFPKSTTGLARRSSES >EOY23919 pep chromosome:Theobroma_cacao_20110822:3:29008932:29010645:1 gene:TCM_015664 transcript:EOY23919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase family protein MATLTYTAAAAASPKLSLRNPLSFISSKSLSFPSSKPSISLLKPLNSTKFPTLSPLKCSPNPSPSPSTSSLKSRLRNGETLYGIFLLSFSPTLAEIAALSGYDFVVIDMEHGPGEIHESLQILRTLSPTNTPAIIRLPEFSAAWAKKALDLGPQGIMFPMIDSPKDAKKAVSYCRFPPDGIRGSAHTVVRASNYGINEGYLSNYMEDLLIMCQVETVDAVKKVEEIAAVDGVDCVQMGPLDLSASLGYLWDPGHKNVREMLRTAERGVLKSDPGDGGAFLAGFAMPHDPPVELGRRGYHMVSGAVDFALFRNAALADVKSFKNSVTVGSDDDGEEDKDGDEKYWSE >EOY22045 pep chromosome:Theobroma_cacao_20110822:3:20965261:20970146:1 gene:TCM_014230 transcript:EOY22045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 101 MNPDKFTHKTNEALAGAHELAMSNGHAQFTPLHLAATLISDPSGVFYQAISNTGGESAAQAADRVFNQALKKLPSQTPPPDEIPASTSLIKGIRRAQAAQKARGDTHLAVDQLILGLLEDSQIADLLKEAGVAPARVKSEVEKLRGKEGKKVESASGDTTFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVIRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLADVKVIALDMGALIAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVADTISILRGLKEKYEGHHGVRIQDRALVVAAQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRMQLEVELHALEKEKDKASKARLVEVRKELDDLRDKLQPLMMKYRKEKERIDEIRRLKQKREELLFALQEAERRYDLARAADLRYGAIQDVESAIAQLEGTTDENLMLTETVGPEHIAEVVSRWTGIPVTRLGQNDKERLIGLAERLHRRVVGQDQAVAAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLIRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEVEKAHISVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLSGLMGKSSMQVARDRVMQEVRRHFRPELLNRLDEIVVFDPLSHDQLRKVARLQMKEVASRLAERGIALAVTDSALDYVLAESYDPVYGARPIRRWLEKRVVTELSRMLVREEIDENSTVYIDAAPDGSDLVYRVEKNGGLVNATTGQKSDVLIQIPSGQTRSDAAQAVKKMKIEEIDDEDDEMDV >EOY21526 pep chromosome:Theobroma_cacao_20110822:3:10586333:10587289:-1 gene:TCM_013233 transcript:EOY21526 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZF-HD homeobox protein MEKEYPNDLYRECLRNHAASLGSYATDGCGEFTLDDTSPSNLQCAACGCHRNFHRKVSYCSSSRSHGDPENTVELIDYGDGGSGGRRPVVVESTGAADRCGKKRFRTKFTAEQKEKMLGFAEKLGWKLQRRDEEDEVEKFCRAIGVSRQVFKVWMHNHKSSSSTSSASTCNVSSLTTQ >EOY21027 pep chromosome:Theobroma_cacao_20110822:3:2390507:2392278:-1 gene:TCM_012344 transcript:EOY21027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNANGMGRFEERGYNSVVCPKPRRLGLFNSSAHDHIRTFRWPTNSCYQTEMVDSKDGTELLDIILTKGGYGAEKPSNQVASSPPFFCGSPPSRASNPVVQDAQFNKEKQLPPLSSPAPLSPSSRIAGGGCVRMKFGHKPAAVRIEGFDSLSRDRRNCSISAVA >EOY21454 pep chromosome:Theobroma_cacao_20110822:3:8403336:8414860:-1 gene:TCM_013017 transcript:EOY21454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extra-large GTP-binding protein 3 MAASTEAEEENKAWEDVIRKMLPVGAPLPDEDHLDYSIAVEYEGPPIPYDVPRVDPLDLGSLAASDLSSIPVAAPIPTKPKFSSFKKNRNNIRNGSPLESQRPSSASRSQIDSRNGDYDSEIEVDKSPPPPLPQPQPVTVDKKNVVVTFNTPKDSETEEEEDDGYSSSQSCVADAAASVGNVETRGIKKKEKKRGICSRCEKGSRLKEREACLVCDARYCSNCLLKAMGSMPEGRKCVGCIGKPIDEAKRSRLGKSSRILRTVCSALEVKQIMKAEKECAANQLRPEQLVVNGRQLTPEELAEVLGCPLPPQKLKPGKYWYDKDSGLWGKEGEKPDRIISSKLNIGGKMKKDASNGNTRVYINGREITKIELRVLKLANVQCPRDTHFWMYDDGSYEEEGQNNIKGKIWEKASTRFFCSLFSLPVPPANPHGPQEDPTTFSGRSVPEYLEQGRVQKLLLFGLEGSGTSTIFKQAKLLYGNGFSPEELQSIKLMIQSNMYKYLSVLLEGRERFEEEALLALRTTNFDTEESASGETVVDGSNQCIYSINQRFKHFSDWLLDIMAMGDLDTFFPAATREYAPIVDEIWKDPAIQETYKRSEELHHLPDVAKYFLDQAVEISSNEYEPSERDILYAEGVTQSNGLALIEFSFDDRSPMSEIYNENFECPPSLTKYQLIRINSKGLHDGCKWLEMFEDVRAVIFCVALSDYDEIWCRGTDPLCNKMLASRDMFESLVRHPSFRETPFVLLLNKYDAFEDKINRVPLSTCEWFRDFSPLKPHHNNHALAQQAYYYMAVKFKELYFSISGRKLFVSQTRARERASIDEAFKYIREVLKWDEEKDDNVYGINGDDSFYSTEMSSSPYIRHE >EOY21400 pep chromosome:Theobroma_cacao_20110822:3:7406152:7409170:1 gene:TCM_012914 transcript:EOY21400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVTTCHTLGAMEKNELVVVKRMCFEKLMDEESKKSLFCTSLVHNLLLCKIDELVPLKVSYGLPKAIPRRVSPNVRKVTCFIESCVQQIGSPRAEGYGQIKSLFVLFMEPTLEHASNTLDQDGD >EOY23584 pep chromosome:Theobroma_cacao_20110822:3:27951439:27952373:1 gene:TCM_015433 transcript:EOY23584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNLYFSLPLGLSSPMLGFETGNLGWHQYFSDLEHTSSIYIVSRGRASSTNLAFSSTE >EOY23585 pep chromosome:Theobroma_cacao_20110822:3:27951476:27952410:1 gene:TCM_015433 transcript:EOY23585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNLYFSLPLGLSSPMLGFETGNLGWHQYFSDLEHTSSIYIVSRGRASSTNLAFSSTE >EOY25196 pep chromosome:Theobroma_cacao_20110822:3:33547385:33553158:1 gene:TCM_016591 transcript:EOY25196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shikimate kinase-like protein isoform 2 MAGAIASAGATLCFSSQNPIKTLHFSTKTHSFYFPKPKVSAFRWNSVRPISPLHGFSCNCFSTVSTNTTHYEFSDGSSEVELRLELGGQDVPSAKDIFVDADGTSLTVKVRQAGSFVILIETNSLFEKIKPAETIWYVDDDQLVINLKKQDLDLEWPDIVESWESLSAGSMQLLKGTSIYIVGDSTEINQKVARELAVALGYTPLDTKELLETFTKQTVDSWVLAEGSDSVAEAESAILESLSSHVRAVVATLGGSHGAAGRTDKWRHLYSGFTVWLSQTEAIVNSRNPDADEDSAKGEARRHVQDGSLGYSNADVVVKLQGWDADHAKSLAQASLSALKQLILSDKKLPGKKSLYIRLGCRGDRPNIKPPGWDPSTPN >EOY25197 pep chromosome:Theobroma_cacao_20110822:3:33549285:33552650:1 gene:TCM_016591 transcript:EOY25197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shikimate kinase-like protein isoform 2 MAGAIASAGATLCFSSQNPIKTLHFSTKTHSFYFPKPKVSAFRWNSVRPISPLHGFSCNCFSTVSTNTTHYEFSDGSSEVELRLELGGQDVPSAKDIFVDADGTSLTVKVRQAGSFVILIETNSLFEKIKPAETIWYVDDDQLVINLKKQDLDLEWPDIVESWESLSAGSMQLLKGTSIYIVGDSTEINQKVARELAVALGYTPLDTKELLETFTKQTVDSWVLAEGSDSVAEAESAILESLSSHVRAVVATLGGSHGAAGRTDKWRHLYSGFTVWLSQTEAIDEDSAKGEARRHVQDGSLGYSNADVVVKLQGWDADHAKSLAQASLSALKQLILSDKKLPGIALHV >EOY21583 pep chromosome:Theobroma_cacao_20110822:3:13076977:13081738:-1 gene:TCM_013440 transcript:EOY21583 gene_biotype:protein_coding transcript_biotype:protein_coding description:White-brown complex protein 11 MSNSASNVMMEIESNKPAGNGMVVGGLSPLSETLWREKTNTEFVGDVSARITWKDLTVMVTLSNGETQKVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALSSRLAANAFLSGAILLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPWSEKRALVESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASVHQPSSEVFELFDQLYLLSSGKTVYFGQASEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPLDKITTAEAIRSLIDFYHTSQQCYTAKERVDEISKVKGTVLDSGGSQASFLMQSYTLTKRSFINMSRDFGYYWLRLLIYIVVTICIGTIYLNVGTGYNSILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVIGNTLSAMPFLIMITFISGTVCYFMVRLHPGFEHYLFFVLCLYASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHFWALQGQYQNDLKGLLFDNQSPELPKIPGEYILENVFQIDVGRSKWIDLSVIFSMIFIYRIIFFFMIKISEDVTPWIRGLIARRRMQQKNGTQNTTVAPDGLTKSPSLRAYAANRATRTGKRWTTRLHARDPTNN >EOY21833 pep chromosome:Theobroma_cacao_20110822:3:18836501:18838398:1 gene:TCM_013965 transcript:EOY21833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 9, putative MGEEHYTGYLGNKTPQLLQYNPVCKKVPVLVHNGKPIAESLIILLLQTLITVIKTDGEAQEKAIKELLEKLEVLGEGMKEFFPDGNPSIDSENVGLLDLVFFTSFGLHQVREEVLGIKIVDPEKTTLLFSWVTAINQLPLVKELTPPHDKLVAFLKFIRENALKSSSA >EOY25295 pep chromosome:Theobroma_cacao_20110822:3:33847867:33851054:1 gene:TCM_016657 transcript:EOY25295 gene_biotype:protein_coding transcript_biotype:protein_coding description:C globular stage isoform 1 MGSFKGHALPGTLFLTVGVWHIWSCVVRYVSNPKSFQVRVWNPVPGFDGKLKYLELYVVAIGAFIDLCIELLYSTHLKFLVNGVLNPSHLNDFEHSGMLLMFFLLGAIALLSEKTSFVSLPQEGLCLIAAAAFCAEYLLFYFHSTTHKGLEGYYHLVLVLLIGLCILTTVAGALFPNSFPVDLCSGIAITLQGLWFYQTAFTLYGPMMPDGCQLKGNAIYCRSADSEVRGELLANFQLFSLVLGVLVAVVGSYGFAASRYANSDLGSMHSVQDG >EOY25294 pep chromosome:Theobroma_cacao_20110822:3:33848799:33851252:1 gene:TCM_016657 transcript:EOY25294 gene_biotype:protein_coding transcript_biotype:protein_coding description:C globular stage isoform 1 MGSFKGHALPGTLFLTVGVWHIWSCVVRYVSNPKSFQVRVWNPVPGFDGKLKYLELYVVAIGAFIDLCIELLYSTHLKFLVNGVLNPSHLNDFEHSGMLLMFFLLGAIALLSEKTSFVSLPQEGLCLIAAAAFCAEYLLFYFHSTTHKGLEGYYHLVLVLLIGLCILTTVAGALFPNSFPVDLCSGIAITLQGLWFYQTAFTLYGPMMPDGCQLKGNAIYCRSADSEVRGELLANFQLFSLVLGVLVAVVGSYGFAASRYANSDLGSMHSVQDG >EOY22615 pep chromosome:Theobroma_cacao_20110822:3:24205102:24211380:1 gene:TCM_014738 transcript:EOY22615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein phosphatase, putative isoform 1 MAQPQKENPAAIINSLHLQEKEEEKELDLGSDEPDAPLPLTVTSRVLYMLGDITAGPASRFTQWLELVRKRSGKYRSSGFPNRPNRLDSMASSAEDSPVDSPSLLPTEHPPEINLWERLGKAAVLDIKSSSFSWDMLSSLHHTEHSSSTDHSEDEQNKALEVTVNSGGVVFFALFNQPGVDDTSPKEAAAVIKFSSSRMATQSERLGYEFAKWLGIRTPQARVIHNSSPEWFQIKEAAQKARITATSEGDEVGEVTCSELLEALELSRCLFLMSYVHGSPLLESVSGFDSKGTAERTAAALGRVLMLDLVIRNEDRLPCRELRWRGNPANLLLADKTSSANMGSLDEAFDSAIKRFRPRVIRAIQKERRASSVDSRLSPHSPGLVSQSSDLSEITESPKSSDMSIVSPTFSESFHSGSHIVAIDSGVPRRPPVGKRANDQVIYPKLVELLLNSSNYSSNLLHDITCGKLGTASPDDADTTDMRGTETTSVVQEFRSGFRAALRDLQGFHIFLLTLHQKLDSLLRQFLTILNKTSGDFDKEDLAVPESPLHPPCLGGIASPSTPSKERVLSDNRSDYSDSELQRTAPKSSSSGHRESMDSSSPMSREGWHGKFHKGSGEPLHSLRLTAKLRDFHKFAKVDAESGRDLEQWNEMLKNDAVKLCQENNFNTGFFEGSDNNSVVDAYELKVRLEHILERIALISEAANTEKPSLITSSLLIGGALAARYVTTKIQTSAVSLKKLLILSIMLNK >EOY22614 pep chromosome:Theobroma_cacao_20110822:3:24205102:24211380:1 gene:TCM_014738 transcript:EOY22614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein phosphatase, putative isoform 1 MLSSLHHTEHSSSTDHSEDEQNKALEVTVNSGGVVFFALFNQPGVDDTSPKEAAAVIKFSSSRMATQSERLGYEFAKWLGIRTPQARVIHNSSPEWFQIKEAAQKARITATSEGDEVGEVTCSELLEALELSRCLFLMSYVHGSPLLESVSGFDSKGTAERTAAALGRVLMLDLVIRNEDRLPCRELRWRGNPANLLLADKTSSANMGSLDEAFDSAIKRFRPRVIRAIQKERRASSVDSRLSPHSPGLVSQSSDLSEITESPKSSDMSIVSPTFSESFHSGSHIVAIDSGVPRRPPVGKRANDQVIYPKLVELLLNSSNYSSNLLHDITCGKLGTASPDDADTTDMRGTETTSVVQEFRSGFRAALRDLQGFHIFLLTLHQKLDSLLRQFLTILNKTSGDFDKEDLAVPESPLHPPCLGGIASPSTPSKERVLSDNRSDYSDSELQRTAPKSSSSGHRESMDSSSPMSREGWHGKFHKGSGEPLHSLRLTAKLRDFHKFAKVDAESGRDLEQWNEMLKNDAVKLCQENNFNTGFFEGSDNNSVVDAYELKVRLEHILERIALISEAANTEKPSLITSSLLIGGALAARSVYTLQHLGISHILCLCSNEIGQSDSQYPDLFEYKNFSICDNEDSNISGIFEEASDFIDHVEQIGGRVLVHCFEGRSRSATLVIAYLMLRKNLTLLEAWNALKRVHRRAQPNDGFSRILVDLDWKLHGKVSMEWHQRKPMMKVCPICGKNAGLSSSSLKLHLQKAHKKLSSGSVDSAMTMEIQKALDALKMNRGGSVSPTQRQSHSVMDE >EOY22613 pep chromosome:Theobroma_cacao_20110822:3:24204634:24211306:1 gene:TCM_014738 transcript:EOY22613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein phosphatase, putative isoform 1 MAQPQKENPAAIINSLHLQEKEEEKELDLGSDEPDAPLPLTVTSRVLYMLGDITAGPASRFTQWLELVRKRSGKYRSSGFPNRPNRLDSMASSAEDSPVDSPSLLPTEHPPEINLWERLGKAAVLDIKSSSFSWDMLSSLHHTEHSSSTDHSEDEQNKALEVTVNSGGVVFFALFNQPGVDDTSPKEAAAVIKFSSSRMATQSERLGYEFAKWLGIRTPQARVIHNSSPEWFQIKEAAQKARITATSEGDEVGEVTCSELLEALELSRCLFLMSYVHGSPLLESVSGFDSKGTAERTAAALGRVLMLDLVIRNEDRLPCRELRWRGNPANLLLADKTSSANMGSLDEAFDSAIKRFRPRVIRAIQKERRASSVDSRLSPHSPGLVSQSSDLSEITESPKSSDMSIVSPTFSESFHSGSHIVAIDSGVPRRPPVGKRANDQVIYPKLVELLLNSSNYSSNLLHDITCGKLGTASPDDADTTDMRGTETTSVVQEFRSGFRAALRDLQGFHIFLLTLHQKLDSLLRQFLTILNKTSGDFDKEDLAVPESPLHPPCLGGIASPSTPSKERVLSDNRSDYSDSELQRTAPKSSSSGHRESMDSSSPMSREGWHGKFHKGSGEPLHSLRLTAKLRDFHKFAKVDAESGRDLEQWNEMLKNDAVKLCQENNFNTGFFEGSDNNSVVDAYELKVRLEHILERIALISEAANTEKPSLITSSLLIGGALAARSVYTLQHLGISHILCLCSNEIGQSDSQYPDLFEYKNFSICDNEDSNISGIFEEASDFIDHVEQIGGRVLVHCFEGRSRSATLVIAYLMLRKNLTLLEAWNALKRVHRRAQPNDGFSRILVDLDWKLHGKVSMEWHQRKPMMKVCPICGKNAGLSSSSLKLHLQKAHKKLSSGSVDSAMTMEIQKALDALKMNRGGSVSPTQRQSHSVMDE >EOY23689 pep chromosome:Theobroma_cacao_20110822:3:28241720:28244299:1 gene:TCM_015501 transcript:EOY23689 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein, putative MRKEERYAGELDTARHVLILAWVLSLLSLWGWLCFVLGCYSLSQSGPNKMAGENNATGFGDTTYTKIFVGGLAWETKRDALKRYFEQFGEILEAVVINDKTTGRSKGYGFVTFKDADSAMRACHNPFPVIDGRRANCNLASLGAQKNRPTSASQHGMEKFSPPPRVMAPPSTGTPAVYRQIIPQYAFPYSAYGYPGYTQDIYLMNYYNAYGGQQLPSHFTTGSSGSHGVYLSYFPLYHQQGQGSPTQYPKITQYPYPSPPYRAFGSLTLPPAASPSPLAPTGFLYNAAAPATAESAGMPGTASAQNSSV >EOY21195 pep chromosome:Theobroma_cacao_20110822:3:3990876:3992282:1 gene:TCM_012578 transcript:EOY21195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKEDLMSGGSNSNLILKDAIGLNHNSASFWRPVLDRAGTKLVGLKAKTLSFRGRVTLLKSILASLPIPIKVKNELEKL >EOY23031 pep chromosome:Theobroma_cacao_20110822:3:25876888:25883332:-1 gene:TCM_015043 transcript:EOY23031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTIELTLRNPEDFSGDGKLENWVADWPCPNARLYYCGCLCRLGPLLSPSLRDSTCYVSNDVCKIHD >EOY20674 pep chromosome:Theobroma_cacao_20110822:3:537309:545267:1 gene:TCM_012032 transcript:EOY20674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated channel 16 MNNLHLYASSRFRHLPKTFSLRREVPWWDQILDPGSQIVTKWNYIILVTCLIALFLDPLYFYLPVIAGPACMSIDLGLGIIVTFFRTVADLFFLMHIILKFRTAFVAPNSRVFGKGELVMDPRAIAIRYLKSSFAIDLAAALPLPQIVIWFIIPAAKSPSAAHANHTLSFIVLIQYVPRFFIMFPLNQRIIKTTGVVAKTAWSGAAYNLLLSMLASHVLGSSWYVLSIQRQYQCWRMECAKEMNATHSPSCNPLFLDCSTIGKPERNFWLKVTRVITSCDAKNDDNNDFQFGMFSDAFTNDVASADFLDKYFYCLWWGLKNLSSYGQNIVTSTLSGETLFCILICIIGLVFFSHLIGNMQTYLQSTSARIEEWRVRRRDTEEWMRHRQLPPDLQDRVRRFVQYKWIATRGVDEEAILKSLPLDLRRQIQRHLCLALVRRVPFFAQMDDQLLDAICERLVPSLNTNDMYLVREGDPVNEMLFIIRGRLESSTTNGGRAGFFNSITLGPGDFCGEELLTWALVPASTSELNLPLSTRTVKSLTEVEAFALRSRDLKFVAKQFKRLHSKTLQHAFRYYSHQWRTWGACFIQAAWRRFKRKKQAMELAKQENLYYMQDNEDGYGEGSAAAEYDDGGAASADNANNSQHLGATILASKFAKNTRKGTKKITRIDPDDSSLKLPKMFKPVEPDFSADHDS >EOY24761 pep chromosome:Theobroma_cacao_20110822:3:32146642:32150207:1 gene:TCM_016268 transcript:EOY24761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid-amino acid ligases,ligases,ATP binding,ATP binding,ligases isoform 2 MKRQELVEQGLLQPAPKKEEAFDDELGPDEVVDLEEIDKLQALNVVSDLDDGENEDSDEEDSSKFDVRISDSEGKKGEKDKVNLLDSSFDLDLDSFGKSKVRIVEPKFKMSLAELLDESKVVPVSVYGDLEVEITGIQHDSRVVSAGDLFVCCVGRRTDGHLYLSEADKRGAVAVVASKEIDIEDTLGCKALVIVEDTNSVLPVLAASFYRYPSKNMVVIGITGTNGKTTTSYLIKGMYEAMGLRTGMLSSVVYYIHGDNKLESQNTTPDAVLVQNLMAKMLHNGTEAVVMEASSHGLALGRCNEVDFDIAVFTNLTRDHLDFHGTEEEYRDAKAKLFARMVDPERHRKVVNIDDAQAPFFIAQGSPKVPVVTFAMENKNADVHPLKFELSLFETQVLVNTPHGILEISSGLLGRHNIYNILAAVAVGIAVGAPLEDIVRGIEEVDAVPGRCELIDEEQAFGVIVDYAHTPDALSRLLDSVRELAPKRIITVIGCPGESDRGKRPMMAKISTDKSEVTILTSDNPKSEDPLDILDDMLAGVGWTMQEYLKYGENDYYPPLPNGHRLFLHDIRQVAVRCAVAMGEEGDMVVIAGKGHETYQIEGDKKEFFDDREECREALQYVDELHQAGIDTSEFPWRLPESH >EOY24760 pep chromosome:Theobroma_cacao_20110822:3:32141947:32151459:1 gene:TCM_016268 transcript:EOY24760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid-amino acid ligases,ligases,ATP binding,ATP binding,ligases isoform 2 MAFRLLSLPTFLSPQRNYVSLKTHHHHRHRHFPFKPLRLPAIGPDGKYYPSPDDDDPPEAPEDSMHGVDKFQQIHRQAARARKLQEEDFNKHKSNYLSAIADVDEDVLNKEKTGNDDGDDLFGEIDKAIAMKRQELVEQGLLQPAPKKEEAFDDELGPDEVVDLEEIDKLQALNVVSDLDDGENEDSDEEDSSKFDVRISDSEGKKGEKDKVNLLDSSFDLDLDSFGKSKVRIVEPKFKMSLAELLDESKVVPVSVYGDLEVEITGIQHDSRVVSAGDLFVCCVGRRTDGHLYLSEADKRGAVAVVASKEIDIEDTLGCKALVIVEDTNSVLPVLAASFYRYPSKNMVVIGITGTNGKTTTSYLIKGMYEAMGLRTGMLSSVVYYIHGDNKLESQNTTPDAVLVQNLMAKMLHNGTEAVVMEASSHGLALGRCNEVDFDIAVFTNLTRDHLDFHGTEEEYRDAKAKLFARMVDPERHRKVVNIDDAQAPFFIAQGSPKVPVVTFAMENKNADVHPLKFELSLFETQVLVNTPHGILEISSGLLGRHNIYNILAAVAVGIAVGAPLEDIVRGIEEVDAVPGRCELIDEEQAFGVIVDYAHTPDALSRLLDSVRELAPKRIITVIGCPGESDRGKRPMMAKISTDKSEVTILTSDNPKSEDPLDILDDMLAGVGWTMQEYLKYGENDYYPPLPNGHRLFLHDIRQVAVRCAVAMGEEGDMVVIAGKGHETYQIEGDKKEFFDDREECREALQYVDELHQAGIDTSEFPWRLPESH >EOY24762 pep chromosome:Theobroma_cacao_20110822:3:32146619:32151613:1 gene:TCM_016268 transcript:EOY24762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid-amino acid ligases,ligases,ATP binding,ATP binding,ligases isoform 2 MKRQELVEQGLLQPAPKKEEAFDDELGPDEVVDLEEIDKLQALNVVSDLDDGENEDSDEEDSSKFDVRISDSEGKKGEKDKVNLLDSSFDLDLDSFGKSKVRIVEPKFKMSLAELLDESKVVPVSVYGDLEVEITGIQHDSRVVSAGDLFVCCVGRRTDGHLYLSEADKRGAVAVVASKEIDIEDTLGCKALVIVEDTNSVLPVLAASFYRYPSKNMVVIGITGTNGKTTTSYLIKGMYEAMGLRTGMLSSVVYYIHGDNKLESQNTTPDAVLVQNLMAKMLHNGTEAVVMEASSHGLALGRCNEVDFDIAVFTNLTRDHLDFHGTEEEYRDAKAKLFARMVDPERHRKVVNIDDAQAPFFIAQGSPKVPVVTFAMENKNADVHPLKFELSLFETQVLVNTPHGILEISSGLLGRHNIYNILAAVAVGIAVGAPLEDIVRGIEEVDAVPGRCELIDEEQAFGVIVDYAHTPDALSRLLDSVRELAPKRIITVIGCPGESDRGKRPMMAKISTDKSEVTILTSDNPKSEDPLDILDDMLAGVGWTMQEYLKYGENDYYPPLPNGHRLFLHDIRQVAVRCAVAMGEEGDMVVIAGKGHETYQIEGDKKEFFDDREECREALQYVDELHQAGIDTSEFPWRLPESH >EOY24763 pep chromosome:Theobroma_cacao_20110822:3:32146642:32151080:1 gene:TCM_016268 transcript:EOY24763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid-amino acid ligases,ligases,ATP binding,ATP binding,ligases isoform 2 MKRQELVEQGLLQPAPKKEEAFDDELGPDEVVDLEEIDKLQALNVVSDLDDGENEDSDEEDSSKFDVRISDSEGKKGEKDKVNLLDSSFDLDLDSFGKSKVRIVEPKFKMSLAELLDESKVVPVSVYGDLEVEITGIQHDSRVVSAGDLFVCCVGRRTDGHLYLSEADKRGAVAVVASKEIDIEDTLGCKALVIVEDTNSVLPVLAASFYRYPSKNMVVIGITGTNGKTTTSYLIKGMYEAMGLRTGMLSSVVYYIHGDNKLESQNTTPDAVLVQNLMAKMLHNGTEAVVMEASSHGLALGRCNEVDFDIAVFTNLTRDHLDFHGTEEEYRDAKAKLFARMVDPERHRKVVNIDDAQAPFFIAQGSPKVPVVTFAMENKNADVHPLKFELSLFETQVLVNTPHGILEISSGLLGRHNIYNILAAVAVGIAVGAPLEDIVRGIEEVDAVPGRCELIDEEQAFGVIVDYAHTPDALSRLLDSVRELAPKRIITVIGCPGESDRGKRPMMAKISTDKSEVTILTSDNPKSEDPLDILDDMLAGVGWTMQEYLKYGENDYYPPLPNGHRLFLHDIRQVAVRCAVAMGEEGDMVVIAGKGHETYQIEGDKKEFFDDREECREALQYVDELHQAGIDTSEFPWRLPESH >EOY25357 pep chromosome:Theobroma_cacao_20110822:3:34016702:34017236:1 gene:TCM_016692 transcript:EOY25357 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-dehydro-3-deoxyphosphooctonate aldolase, putative isoform 2 MAANAGRVILKGKMKVKGVPSASSQLGKYLGIPEPPRADTSKLISTFIKINNRQVFRPQSSTRPRYEEGRSLHGEGEGLIGWQRQSWNPGDC >EOY25356 pep chromosome:Theobroma_cacao_20110822:3:34016629:34017393:1 gene:TCM_016692 transcript:EOY25356 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-dehydro-3-deoxyphosphooctonate aldolase, putative isoform 2 MAANAGRVILKGKMKVKGVPSASSQLGKYLGIPEPPRADTSKLISTFIKINNRQGPGMKKDGRCMEKVKALLDGKDRVGTPEIAKLLSPQFVNPA >EOY21864 pep chromosome:Theobroma_cacao_20110822:3:19256663:19258557:-1 gene:TCM_014013 transcript:EOY21864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVNRDVAAIVMGLREVPGRDIFYLDICFSMYSQMLSFLSKAKTLTPKAFNLFSLKQSVIETPHRSHRP >EOY20661 pep chromosome:Theobroma_cacao_20110822:3:482130:483451:-1 gene:TCM_012021 transcript:EOY20661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVISDKKLTPSGIYRGIQSSSYRCIFGGCEILRKLLLMELDNHDCAFKQWSPHQLHLLLSCSLRKLSSLASNARYFALSGWEHFSLVGFASQLNWKTQKIQEPLEKVKPQVSNHSYYQSQHQTKICRFSVRFQCCFR >EOY20548 pep chromosome:Theobroma_cacao_20110822:3:128248:134471:-1 gene:TCM_011944 transcript:EOY20548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENLEGDHNPLEIQDLENDDEFEIENPFPEDGHANQATRVGLEGQLLHALDLNGGGIKIKVTDFHGKVHAKEYLDWEASLKNYFEWKPMAENQKVLLVKLKLKANKTNGSATNVERNTKSKSILPYGGQNSPGSSTNKGGSNSHIRCFTCGEKGHTSFACLQRKVNLTEFREELEPIYDKYDEEIEEIDVYPTQGKVCDLMIDGGSMENIISKEAVNKLKLPTSKHPHSYKIGWLKKGHEVLVTTQCLLKFTMGDNLDDEALCDVVPMDVGHILVGRPWFPGSSKISKISKIARYLSAENCEAEGSNEEELKQVNPGIYEKYVKAYSSEMSLF >EOY22621 pep chromosome:Theobroma_cacao_20110822:3:24252783:24256915:1 gene:TCM_014744 transcript:EOY22621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 1 MRLTSKRRLYHFTKTRLSRLKSASFCTSAENDAAAEEIAAILEKKDWKRLLETTSELKNKLNPETVHSILHQSSVRDPKRLFNFFNWAIHQVPNPQNLDSFSFLAIILCNSKLFRDANMVLDKMVQTRRPVQAVLASIIRCYKEYKGNDAGVFEILIDCYKKVGSWNNAVYVFLGAKEGGFLPGLVCCNNFLGDLVKFNKLDLFWKVFDGMVDAKLVPDVYTFTNVINAHCRVGDIEKAKRVILEMEEKGCTPGLVTYNVMIGGLCRAGVVDEALKLKKSMAEKGFAPDAYTYNTLIDGFCREKRFSEAKLMMTEMRRAGLNPNHFAYTALIDGLMKQGNVVEGFRVKDEMVARGIKLNVFTYNALISGVCKAGDLEKAKALFNEMVWIGAEPDAQTFSILIESYSRAKKIDKAYELLNEMKRSNLTPTLYTYSGIINGLCHCGDLERANHVLDAMVEGGLKPNLVIYTNLIKGHIQKSRFEEARRILDRMMEKGVLPDVICCNTLISGLCKAQKMDEARSCLVEMVDRGLKPNAHTYGAFIHGYAKAGEIEAVERCFKEMQNYGIAPNNVIYSELINSHCKAGNVTEALSTLRCMSEQGVVPDVKTYTVLIHGLATNGRINDARDVFSQLHGKGIVPDVFTYTSLISGFCKLGDMKAALNLYKEMCQKSIAPNIVTYNTLIGGLCKAGNIEKARKVFNEISQKALAPNTKSYTMIIDGYCKSGNLTQAFQLLDEMPSRGVPPDSFAYCALVDGCCKEGKLEKALSLFYEMVRKGFASTTAFNALIDGLCKSGKPNDANGLLEDMVDKCITPNHITYTILIDHHCKAGEMKEAENLFLEMQRRNLVPNTVTYTLLLHGYDRLGRRAEMFALFERMAANAVEPDEIIYGLMTNAHLKENNLIGNLKLLDEILVKDVVLDQKWSSLLLDAVCKREEFSEVVKFLDEMAEQGLRLSPVTCHKLVRSFHDKGSLEKAEQILESLVQFGWVPNSTSVHSIIHKDHDDANSESPGNFSKQVTFGVAC >EOY22622 pep chromosome:Theobroma_cacao_20110822:3:24251135:24256849:1 gene:TCM_014744 transcript:EOY22622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 1 MRLTSKRRLYHFTKTRLSRLKSASFCTSAENDAAAEEIAAILEKKDWKRLLETTSELKNKLNPETVHSILHQSSVRDPKRLFNFFNWAIHQVPNPQNLDSFSFLAIILCNSKLFRDANMVLDKMVQTRRPVQAVLASIIRCYKEYKGNDAGVFEILIDCYKKVGSWNNAVYVFLGAKEGGFLPGLVCCNNFLGDLVKFNKLDLFWKVFDGMVDAKLVPDVYTFTNVINAHCRVGDIEKAKRVILEMEEKGCTPGLVTYNVMIGGLCRAGVVDEALKLKKSMAEKGFAPDAYTYNTLIDGFCREKRFSEAKLMMTEMRRAGLNPNHFAYTALIDGLMKQGNVVEGFRVKDEMVARGIKLNVFTYNALISGVCKAGDLEKAKALFNEMVWIGAEPDAQTFSILIESYSRAKKIDKAYELLNEMKRSNLTPTLYTYSGIINGLCHCGDLERANHVLDAMVEGGLKPNLVIYTNLIKGHIQKSRFEEARRILDRMMEKGVLPDVICCNTLISGLCKAQKMDEARSCLVEMVDRGLKPNAHTYGAFIHGYAKAGEIEAVERCFKEMQNYGIAPNNVIYSELINSHCKAGNVTEALSTLRCMSEQGVVPDVKTYTVLIHGLATNGRINDARDVFSQLHGKGIVPDVFTYTSLISGFCKLGDMKAALNLYKEMCQKSIAPNIVTYNTLIGGLCKAGNIEKARKVFNEISQKALAPNTKSYTMIIDGYCKSGNLTQAFQLLDEMPSRGVPPDSFAYCALVDGCCKEGKLEKALSLFYEMVRKGFASTTAFNALIDGLCKSGKPNDANGLLEDMVDKCITPNHITYTILIDHHCKAGEMKEAENLFLEMQRRNLVPNTVTYTLLLHGYDRLGRRAEMFALFERMAANAVEPDEIIYGLMTNAHLKENNLIGNLKLLDEILVKDVVLDQKWSSLLLDAVCKREEFSEVVKFLDEMAEQGLRLSPVTCHKLVRSFHDKGSLEKAEQILESLVQFGWVPNSTSVHSIIHKDHDDANSESPGNFSKQVTFGVAC >EOY24966 pep chromosome:Theobroma_cacao_20110822:3:32793436:32799650:1 gene:TCM_016414 transcript:EOY24966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 23 MNSLLYLRGRKERRRKKKKMRVNWKSWSLNKHQHVLVKLAVAVLLVGLAFRLFVSRSKGFASDLESPVLGKEQVQGPDLKPPVSVEIPENEDQIPLDIVAEKCDLFTGDWIPSPSGPTYTNKSCPLIEGHQNCMRNGRPDPEYLYWKWKPRDCQLPRFNAGRFLELMRNKAWALIGDSISRNHVQSLLCMLTTVERPVEVYHDGEYKSKRWHFPSYNFTISNIWSPFLVKAAIFEDNNGVSTAEVQLHLDKLDKTWTDVYQSLDYMIISTGKWFLKAAIYHENDTIVGCHICPEKNLTELGFVYAYNKTLHYVMDFIATSKHKGLIFFRTSTPDHFENGEWHNGGTCRRTTPAKEGEIEIKDLNRILRNVELEEFEKTAAKAADNGVKLKLLDFTNLLLLRPDGHPGPYRQFQPFAGNKTAVVQNDCLHWCLPGPIDFWNDVIMEMVVNG >EOY24556 pep chromosome:Theobroma_cacao_20110822:3:31421523:31428659:1 gene:TCM_016128 transcript:EOY24556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein MGFWKQSNFRKGVIIGVQDTGITPSHPSFSDEGMPPPEKWKGRCELVECNNKLIGARNFRNDEQGQAPLDQDGHSTHTASTTAGNFVQGASVFEDGVDVISISIGGASTPFYEDVIAVGAFGAILICKGQEVKDAGGAAMILMNDDLNKFSTLADPHVLPATHVSYTAGMNILNYINSTAKPTATILFEGTFSAPAMKSAIITTADRVTLDGLPILDETWSPSNVFAIGAGHVNPSKANDPGLVYDMQPDDYIPYLCSLGYTDDQVRVIVQQSVRCSNDTNIAEAELNYPSFSIVLGSTNRTYTWTVTNVGPANSSHTINIAAPPGVDISVTTNIIEFTEVKQNATFSVTFRLSWKTSALFVQVAQEVEDLPKSKEHEKSSLSTYIVHLKKPEGVFTQVVDLDGWYQSFLSFTSSQHRRIVYSYRNVITGFAAKLTAEEAEAMKRKEGIISARPEKVYSLHTTHSPNFLGLHQNSGVWNQSNYGKGVIIGLLDTGITPGHPSFSDEGMPPPPAKWKGKCEFATGCNNKLIGARNFAEDVAGPATDEEGHGTHTASTAGGNYVKGANVFGNANGTAVGMAPLAHLAMYKVCGEGCSESAILAAMDAAVEDGVDVLSLSLGGGSVPFFEDSIAVGAFTAIQKGIFVSCSAGNEGPLYGTLSNEAPWIFTVGASTIDRGIAATAKLGNGLTIDGESLFQPKDFPSTLLPLVYAGANGKASSAFCAPRSLRDVDVKGKVVLCERGGDIGRIDKGQEVKDNGGAAMILMNDKLNGFSTIADPHVLPATHVSFLAGLKIQDYINSTSEPTATILFKGTVIGNPSAPEVTSFSSRGPNRQSFGILKPDIIGPGVSILAAWPVSVENKTNTKSTFNMISGTSMSCPHLSGIAALLKSSHPDWSPAAIKSAIITTATLVNLGGKPIVDQTKAPADIFATGAGHVNPSKANDPGLIYDIQPDDYIAYLCGLNYTDEEVGTIVQRTVECSSESIIAEAELNYPSFSIILPESGSQTYTRTVTNVGPASSSYTYEVVAPTGVDISVQPDHIAFTAVNQKATYSVTFSRQKDVSLQFSQGLLTWISAQHNVTTPIVAIFA >EOY21735 pep chromosome:Theobroma_cacao_20110822:3:17744285:17751074:-1 gene:TCM_013842 transcript:EOY21735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismate synthase 2 isoform 1 MAATGAGRHYVARFVDSELTKCTLSYSQHSSCRQSIQVFHNKFQVCTLSMNGCQGDPKLPVGTIETRTLAAVPSPDLAMDRLNLAIGDLKYSPPPFSSGIIRLQVPIQQRIEAIEWLHAQNQILPRCFFSGRRSRANGANLLVDMTNGNGHNSFGQNLLSVAGVGSAVFFRQLHPFSYDDWRSITRFLSLKCPLIRAYGAIRFDARANISREWEAFGSFYFTVPQVEFDELEGSSILAVTVAWDNALSWTWDEAIDSLEATTHQVASVVVMLRKEASGELILSNNHVPNKTHWDLAVKRALQTINRSSSPLIKVVLARSSRVLTATDIDPITWLACLQVEGENAYQFCLQPPNAPAFIGNTPEQLFHRKWLSISSEAMAGTRARGGSRTLDLQIEHDLLSSPKDHLEFTIVRESIQNKLESVCDRVVVEPKKTVRKLRRIQHLFAQLAGNLKREDDEFEILSYLHPTPAVCGFPTETARLFISETEMFDRGMYAGPVGWFGGGESEFAVGIRSALVEKVSTKASLKLHNILY >EOY21731 pep chromosome:Theobroma_cacao_20110822:3:17742845:17751320:-1 gene:TCM_013842 transcript:EOY21731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismate synthase 2 isoform 1 MAATGAGRHYVARFVDSELTKCTLSYSQHSSCRQSIQVFHNKFQVCTLSMNGCQGDPKLPVGTIETRTLAAVPSPDLAMDRLNLAIGDLKYSPPPFSSGIIRLQVPIQQRIEAIEWLHAQNQILPRCFFSGRRSRANGANLLVDMTNGNGHNSFGQNLLSVAGVGSAVFFRQLHPFSYDDWRSITRFLSLKCPLIRAYGAIRFDARANISREWEAFGSFYFTVPQVEFDELEGSSILAVTVAWDNALSWTWDEAIDSLEATTHQVASVVVMLRKEASGELILSNNHVPNKTHWDLAVKRALQTINRSSSPLIKVVLARSSRVLTATDIDPITWLACLQVEGENAYQFCLQPPNAPAFIGNTPEQLFHRKWLSISSEAMAGTRARGGSRTLDLQIEHDLLSSPKDHLEFTIVRESIQNKLESVCDRVVVEPKKTVRKLRRIQHLFAQLAGNLKREDDEFEILSYLHPTPAVCGFPTETARLFISETEMFDRGMYAGPVGWFGGGESEFAVGIRSALVEKDLGALIYAGTGIVEGSNPSLEWDELELKTSQFTKLLQLEVPWR >EOY21733 pep chromosome:Theobroma_cacao_20110822:3:17742845:17751320:-1 gene:TCM_013842 transcript:EOY21733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismate synthase 2 isoform 1 MAATGAGRHYVARFVDSELTKCTLSYSQHSSCRQSIQVFHNKFQVCTLSMNGCQGDPKLPVGTIETRTLAAVPSPDLAMDRLNLAIGDLKYSPPPFSSGIIRLQVPIQQRIEAIEWLHAQNQILPRCFFSGRRSRANGANLLVDMTNGNGHNSFGQNLLSVAGVGSAVFFRQLHPFSYDDWRSITRFLSLKCPLIRAYGAIRFDARANISREWEAFGSFYFTVPQVEFDELEGSSILAVTVAWDNALSWTWDEAIDSLEATTHQVASVVVMLRKEASGELILSNNHVPNKTHWDLAVKRALQTINRSSSPLIKVVLARSSRVLTATDIDPITWLACLQVEGENAYQFCLQPPNAPAFIGNTPEQLFHRKWLSISSEAMAGTRARGGSRTLDLQIEHDLLSSPKDHLEFTIVRESIQNKLESVCDRVVVEPKKTVRKLRRIQHLFAQLAGNLKREDDEFEILSYLHPTPAVCGFPTETARLFISETEMFDRGMYAGPVGWFGGGESEFAVGIRSALVEKRILVH >EOY21734 pep chromosome:Theobroma_cacao_20110822:3:17743169:17751118:-1 gene:TCM_013842 transcript:EOY21734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismate synthase 2 isoform 1 MAATGAGRHYVARFVDSELTKCTLSYSQHSSCRQSIQVFHNKFQVCTLSMNGCQGDPKLPVGTIETRTLAAVPSPDLAMDRLNLAIGDLKYSPPPFSSGIIRLQVPIQQRIEAIEWLHAQNQILPRCFFSGRRSRANGANLLVDMTNGNGHNSFGQNLLSVAGVGSAVFFRQLHPFSYDDWRSITRFLSLKCPLIRAYGAIRFDARANISREWEAFGSFYFTVPQVEFDELEGSSILAVTVAWDNALSWTWDEAIDSLEATTHQVASVVVMLRKEASGELILSNNHVPNKTHWDLAVKRALQTINRSSSPLIKVVLARSSRVLTATDIDPITWLACLQVEGENAYQFCLQPPNAPAFIGNTPEQLFHRKWLSISSEAMAGTRARGGSRTLDLQIEHDLLSSPKDHLEFTIVRESIQNKLESVCDRVVVEPKKTVRKLRRIQHLFAQLAGNLKREDDEFEILSYLHPTPAVCGFPTETARLFISETEMFDRGMYAGPVGWFGGGESEFAVGIRSALVEKRILVH >EOY21732 pep chromosome:Theobroma_cacao_20110822:3:17742832:17751164:-1 gene:TCM_013842 transcript:EOY21732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismate synthase 2 isoform 1 MAATGAGRHYVARFVDSELTKCTLSYSQHSSCRQSIQVFHNKFQVCTLSMNGCQGDPKLPVGTIETRTLAAVPSPDLAMDRLNLAIGDLKYSPPPFSSGIIRLQVPIQQRIEAIEWLHAQNQILPRCFFSGRRSRANGANLLVDMTNGNGHNSFGQNLLSVAGVGSAVFFRQLHPFSYDDWRSITRFLSLKCPLIRAYGAIRFDARANISREWEAFGSFYFTVPQVEFDELEGSSILAVTVAWDNALSWTWDEAIDSLEATTHQVASVVVMLRKEASGELILSNNHVPNKTHWDLAVKRALQTINRSSSPLIKVVLARSSRVLTATDIDPITWLACLQVEGENAYQFCLQPPNAPAFIGNTPEQLFHRKWLSISSEAMAGTRARGGSRTLDLQIEHDLLSSPKDHLEFTIVRESIQNKLESVCDRVVVEPKKTVRKLRRIQHLFAQLAGNLKREDDEFEILSYLHPTPAVCGFPTETARLFISETEMFDRGMYAGPVGWFGGGESEFAVGIRSALVEKDLGALIYAGTGIVEGSNPSLEWDELELKTSQFTKLLQLEVPWR >EOY21736 pep chromosome:Theobroma_cacao_20110822:3:17744285:17751074:-1 gene:TCM_013842 transcript:EOY21736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismate synthase 2 isoform 1 MAATGAGRHYVARFVDSELTKCTLSYSQHSSCRQSIQVFHNKFQVCTLSMNGCQGDPKLPVGTIETRTLAAVPSPDLAMDRLNLAIGDLKYSPPPFSSGIIRLQVPIQQRIEAIEWLHAQNQILPRCFFSGRRSRANGANLLVDMTNGNGHNSFGQNLLSVAGVGSAVFFRQLHPFSYDDWRSITRFLSLKCPLIRAYGAIRFDARANISREWEAFGSFYFTVPQVEFDELEGSSILAVTVAWDNALSWTWDEAIDSLEATTHQVASVVVMLRKEASGELILSNNHVPNKTHWDLAVKRALQTINRSSSPLIKVVLARSSRVLTATDIDPITWLACLQVEGENAYQFCLQPPNAPAFIGNTPEQLFHRKWLSISSEAMAGTRARGGSRTLDLQIEHDLLSSPKDHLEFTIVRESIQNKLESVCDRVVVEPKKTVRKLRRIQHLFAQLAGNLKREDDEFEILSYLHPTPAVCGFPTETARLFISETEMFDRGMYAGPVGWFGGGESEFAVGIRSALVEKVSTKASLKLHNILY >EOY22121 pep chromosome:Theobroma_cacao_20110822:3:21451088:21457362:1 gene:TCM_014310 transcript:EOY22121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNFLKIWLMPKLILGDTLVEASNRVRQVLSTNTYKIGFKPMHCLHFDEPRTHSTGNATRRRWRCCWRNIK >EOY22150 pep chromosome:Theobroma_cacao_20110822:3:21928321:21934365:1 gene:TCM_014365 transcript:EOY22150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFWFMIRAMWGNKVFILLVWPFAQPSALDMATLIDVVHGFTQLMSFMEAMESCMTVRIKVLEEQTLEILQRQRDLEDVQEDAAIDFDDIRGFRLLG >EOY20952 pep chromosome:Theobroma_cacao_20110822:3:1913616:1920074:-1 gene:TCM_012270 transcript:EOY20952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger domain of monoamine-oxidase A repressor R1 protein, putative isoform 2 MAVSPSSSKKKKKQQSSNSKPRTKTARTTDSGSSTHPQHSPKGRRNKSPGVRLVHGRIYDSENGKTCHQCRQKTMDFAASCKRKIEAKQCTIHFCHKCLLNRYGERAEEVALLNDWTCPRCRGICNCSFCMKKRGHQPTGNLVHAAKANGFASVSDMLHLKNSESSGSQETTDAAVSSKKRKAGDKESGVKKPSKSQKGSSFKGPNGSTQANDERTATRNTKLTRSRNKNSSKEISSEGNYGDFSTQKINPKKYQISQDSYKGEVICKGNDAIQLIDMMLHKKCDHGEDEVLNNADPVAKNKPTSKSPNRKKKNVKVKSKTSDAEILLPQGISLNSIAAIDLPVEDIGHALQFLEFCEAFGKVLNMRKGQSQLLLRELVTGKSKRRHSHSSIVQFHIQLLSVMLKDSVKEYPWLNQNLSENSWLQVLREYISDSRYSLKQQLLDCLDLCGDGYERLDSSKKLRLLNFLCDEALGTIDFRSWIDEEYSKFVEKEKKAKEELLARREKERNLEKEMKRKLQDEIAKAILMKNGAPLTISENEDLVSKIKLEVAQTLEVAHTLASALEMSEMVLEDDWRSDAVRSEPIFWDGRGHKFQKFWRLRGYSGETDILLQGLSFNYPKFEFLRLINRTAISFVSLIVQILRGEI >EOY20953 pep chromosome:Theobroma_cacao_20110822:3:1912379:1920074:-1 gene:TCM_012270 transcript:EOY20953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger domain of monoamine-oxidase A repressor R1 protein, putative isoform 2 MAVSPSSSKKKKKQQSSNSKPRTKTARTTDSGSSTHPQHSPKGRRNKSPGVRLVHGRIYDSENGKTCHQCRQKTMDFAASCKRKIEAKQCTIHFCHKCLLNRYGERAEEVALLNDWTCPRCRGICNCSFCMKKRGHQPTGNLVHAAKANGFASVSDMLHLKNSESSGSQETTDAAVSSKKRKAGDKESGVKKPSKSQKGSSFKGPNGSTQANDERTATRNTKLTRSRNKNSSKEISSEGNYGDFSTQKINPKKYQISQDSYKGEVICKGNDAIQLIDMMLHKKCDHGEDEVLNNADPVAKNKPTSKSPNRKKKNVKVKSKTSDAEILLPQGISLNSIAAIDLPVEDIGHALQFLEFCEAFGKVLNMRKGQSQLLLRELVTGKSKRRHSHSSIVQFHIQLLSVMLKDSVKEYPWLNQNLSENSWLQVLREYISDSRYSLKQQLLDCLDLCGDGYERLDSSKKLRLLNFLCDEALGTIDFRSWIDEEYSKFVEKEKKAKEELLARREKEMLLDLSQYFGMAVVINFKSSGD >EOY20950 pep chromosome:Theobroma_cacao_20110822:3:1912004:1920004:-1 gene:TCM_012270 transcript:EOY20950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger domain of monoamine-oxidase A repressor R1 protein, putative isoform 2 MAVSPSSSKKKKKQQSSNSKPRTKTARTTDSGSSTHPQHSPKGRRNKSPGVRLVHGRIYDSENGKTCHQCRQKTMDFAASCKRKIEAKQCTIHFCHKCLLNRYGERAEEVALLNDWTCPRCRGICNCSFCMKKRGHQPTGNLVHAAKANGFASVSDMLHLKNSESSGSQETTDAAVSSKKRKAGDKESGVKKPSKSQKGSSFKGPNGSTQANDERTATRNTKLTRSRNKNSSKEISSEGNYGDFSTQKINPKKYQISQDSYKGEVICKGNDAIQLIDMMLHKKCDHGEDEVLNNADPVAKNKPTSKSPNRKKKNVKVKSKTSDAEILLPQGISLNSIAAIDLPVEDIGHALQFLEFCEAFGKVLNMRKGQSQLLLRELVTGKSKRRHSHSSIVQFHIQLLSVMLKDSVKEYPWLNQNLSENSWLQVLREYISDSRYSLKQQLLDCLDLCGDGYERLDSSKKLRLLNFLCDEALGTIDFRSWIDEEYSKFVEKEKKAKEELLARREKERNLEKEMKRKLQDEIAKAILMKNGAPLTISENEDLVSKIKLEVAQTLEVAHTLASALEMSEMVLEELIIQKEQTHFLSVQSQPLRILQHSRQKLVLMYFTLQLMLKDDWRSDAVRSEPIFWDGRGHKFQKFWRLRGYSGETDILLQDIEGRDLVTAKERWYTYSTEQKAIVDNYISSFRMQRK >EOY20951 pep chromosome:Theobroma_cacao_20110822:3:1912383:1920074:-1 gene:TCM_012270 transcript:EOY20951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger domain of monoamine-oxidase A repressor R1 protein, putative isoform 2 MDFAASCKRKIEAKQCTIHFCHKCLLNRYGERAEEVALLNDWTCPRCRGICNCSFCMKKRGHQPTGNLVHAAKANGFASVSDMLHLKNSESSGSQETTDAAVSSKKRKAGDKESGVKKPSKSQKGSSFKGPNGSTQANDERTATRNTKLTRSRNKNSSKEISSEGNYGDFSTQKINPKKYQISQDSYKGEVICKGNDAIQLIDMMLHKKCDHGEDEVLNNADPVAKNKPTSKSPNRKKKNVKVKSKTSDAEILLPQGISLNSIAAIDLPVEDIGHALQFLEFCEAFGKVLNMRKGQSQLLLRELVTGKSKRRHSHSSIVQFHIQLLSVMLKDSVKEYPWLNQNLSENSWLQVLREYISDSRYSLKQQLLDCLDLCGDGYERLDSSKKLRLLNFLCDEALGTIDFRSWIDEEYSKFVEKEKKAKEELLARREKERNLEKEMKRKLQDEIAKAILMKNGAPLTISENEDLVSKIKLEVAQTLEVAHTLASALEMSEMVLEDDWRSDAVRSEPIFWDGRGHKFQKFWRLRGYSGETDILLQDIEGRDLVTAKERWYTYSTEQKAIVDNYISSFRMQRK >EOY24284 pep chromosome:Theobroma_cacao_20110822:3:30423881:30425447:1 gene:TCM_015933 transcript:EOY24284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 5 MGWTLTDHSILSHQAKLSHVLYSTSLLVFPVKVTLTXXXXXXXXXXXYLLLSLSLSLSLSLCPFSQWQMAPKKDGVSKRVMNKGAWTAEEDRKLAEYIKIHGAKRWKTIAIKAGLSRCGKSCRLRWLNYLRPNIKRGNISDEEEDLILRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKINQEKTEQTSTSEQTVPQQTWETVQMVEEVAKGSDENLELSFDANEFFDFSTQGCFGLDWVNKFLELDDQTP >EOY23641 pep chromosome:Theobroma_cacao_20110822:3:28085755:28092817:1 gene:TCM_015468 transcript:EOY23641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIIC, subunit 5, putative isoform 3 MGVIKEGRVSGTLPNDESFAVHFPGYPKTTARAIETLGGTEGILRARSSQSNKLELHFRPEDPYSRPAFGELRPCNNLLLKISKKKSADGQSAEASSKVRECSTSGATDSENPKQPSQAEVQISEQEQTNLCADIVSRVSEAYHFDGMADYQHVLAVHADAARKRKRNWAEAEEPPFEKGGFMDVDQEDVMMILPPLFSPKDMPENIVLRPSTILSSKKKQEGVVQNTAEVDLEPGLAIDFNIKEIPKKVNWEELITRGSEQWEWQMIVSKLFDERPIWPKESVTERLLDKGLKFSHLMLKRLLLGVAYYFSNGPFLRFWIKKGYDPRKDPDSRIYQRTEFRVPEPLRSYSDANTANKLKHKWEDLCSFRVFPYKCQTFLQLFELDDDYIQQEIRKPPKLATCDSKTGWFSECVLDCLRLRVAVRFLSVYPKDGAESIRKSYSDEFEKLKRSCIYKDVFNSHQQEIRRTNRGDEDKERPKSSDNEEDEIDADDDEELDVYETLNLGGEDDEIPLQPDTYLDMENNSRTYLQELFGSFPSVVGGDAIQAADISDGEYQIYEQFSDNNYSDDDDDDDDNDS >EOY23640 pep chromosome:Theobroma_cacao_20110822:3:28085755:28092817:1 gene:TCM_015468 transcript:EOY23640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIIC, subunit 5, putative isoform 3 MGVIKEGRVSGTLPNDESFAVHFPGYPKTTARAIETLGGTEGILRARSSQSNKLELHFRPEDPYSRPAFGELRPCNNLLLKISKKKSADGQSAEASSKVRECSTSGATDSENPKQPSQAEVQISEQEQTNLCADIVSRVSEAYHFDGMADYQHVLAVHADAARKRKRNWAEAEEPPFEKGGFMDVDQEDVMMILPPLFSPKDMPENIVLRPSTILSSKKKQEGVVQNTAEVDLEPGLAIDFNIKEIPKKVNWEELITRGSEQWEWQMIVSKLFDERPIWPKESVTERLLDKGLKFSHLMLKRLLLGVAYYFSNGPFLRFWIKKGYDPRKDPDSRIYQRTEFRVPEPLRSYSDANTANKLKHKWEDLCSFRVFPYKCQTFLQLFELDDDYIQQEIRKPPKLATCDSKTGWFSECVLDCLRLRVAVRFLSVYPKDGAESIRKSYSDEFEKLKRSCIYKDVFNSHQQEIRRTNRELIGDEDKERPKSSDNEEDEIDADDDEELDVYETLNLGGEDDEIPLQPDTYLDMENNSRTYLQELFGSFPSVVGGDAIQAADISDGEYQIYEQFSDNNYSDDDDDDDDNDS >EOY23639 pep chromosome:Theobroma_cacao_20110822:3:28085835:28092855:1 gene:TCM_015468 transcript:EOY23639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIIC, subunit 5, putative isoform 3 MGVIKEGRVSGTLPNDESFAVHFPGYPKTTARAIETLGGTEGILRARSSQSNKLELHFRPEDPYSRPAFGELRPCNNLLLKISKKKSADGQSAEASSKVRECSTSGATDSENPKQPSQAEVQISEQEQTNLCADIVSRVSEAYHFDGMADYQHVLAVHADAARKRKRNWAEAEEPPFEKGGFMDVDQEDVMMILPPLFSPKDMPENIVLRPSTILSSKKKQEGVVQNTAENVSNLDAVQILFSIFLLDLAFSQIPKKVNWEELITRGSEQWEWQMIVSKLFDERPIWPKESVTERLLDKGLKFSHLMLKRLLLGVAYYFSNGPFLRFWIKKGYDPRKDPDSRIYQRTEFRVPEPLRSYSDANTANKLKHKWEDLCSFRVFPYKCQTFLQLFELDDDYIQQEIRKPPKLATCDGGCLWGVVIGVVGDLDTLQSKTGWFSECVLDCLRLRVAVRFLSVYPKDGAESIRKSYSDEFEKLKRSCIYKDVFNSHQQEIRRTNRELIGDEDKERPKSSDNEEDEIDADDDEELDVYETLNLGGEDDEIPLQPDTFFGFVRIWMFFVCLRFPIYCLDLDMENNSRTYLQELFGSFPSVVGGDAIQAADISDGEYQIYEQFSDNNYSDDDDDDDDNDS >EOY25421 pep chromosome:Theobroma_cacao_20110822:3:34219525:34220249:1 gene:TCM_016733 transcript:EOY25421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNLVYLFLDKFSTDEIINDYPNVGQVKPHGYGFSQPSGCHLQEPIQVAIQQMDKADFRIKFQSSICSGNRRWRDQAGSLQLQFGTREMNRYRC >EOY22146 pep chromosome:Theobroma_cacao_20110822:3:21909217:21919851:-1 gene:TCM_014362 transcript:EOY22146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding,ATP-dependent helicases,ATP binding,helicases,ATP-dependent helicases isoform 1 MTEIQVRTLSNESTVITTSPEKTINDLKLLLKLSFPPASSSPNFHLFFKGTKLNLQSKVSSLSIQSGEFFVLIPFTKKDKPRIEKPSFSNNASTATSFADSTYSDMMQEFSSLRDESGNFGEDNSNNNNVYFKSKRKEGVTIDRDEKKEGRAYDFLWNVLRSSDGDLFEKKNCDKFVEVLRSVNCLSSPYSGKCMLLSEAKIRSRDEQASCLCPVWLKKIVEAFAFLSILSAFLQLRTERMTSACLKEVLERLRKFGLGVCMEDIECLSVLCPKVVCFVNNDMEPKNFGDALVITLTSTKEGDKVDNKLGAGKSRMSLSKIFSIMKKWESSFKTSLWETIKLLMSKQKYENLTSFSLEDLLIFWKEGDKVSERNETKRARRSWSSASNSHSVKRRCHDTSQLLPAEMVEHLRKSIGLDRQMVHVENIGARKASYVEIPKELSDNTKSALQSIGINKLYSHQAESIMASLSGKNVVVATMTSSGKSVCYNLPVLEALSHNLSSCALYLFPTKALAQDQLRALLAIINGFDCSINIGVYDGDTSQKERTWLRDNARLLITNPDMLHMSILPLHRQFSRILSNLSFVVVDEAHTYKGAFGCHTALILRRLCRLCSHVYGSDPSFVFSTATSANPREHCMELANLSTLELIENDGSPSSEKLFVLWNPALCLRTELDKSDHDIDARNASDKSLSPISEVSYLFAEMVQHGLRCIAFCRSRKLCELVLCYTREILEETAPHLVNSISAYRAGYVAEDRRKIESDFFGGKLCGIAATNALELGIDVGHIDVTLHLGFPGSIASLWQQAGRSGRRERPSLAVYVAFEGPLDQYFMKFPEKLFRSPIECCHIDTQNQQVLEQHLVCAALEHPLSLLYDEKYFGSGLNSAITALKSRGYLISDHSSDPLAKIWSYIGHEKMPSRSISIRAIEAERYVVIDTQLNETLEEIEESRAFFQVYEGAVYMHQGRTYLVKDLDLSRKIAYCEKAALDYYTKTRDYTDIHIIGGKIAYPARISKDQLPRTTAQANTCSVTTTWFGFRRIRKGSNQILDTVDLWLPRYSYESQAVWISVPQSIKTVVEKKYSFCAGLHAACHAVLHVVPLYIRCNLSDLAPECPNPHDTRFFPERILLYDQHPGGTGVSKQIQPYFTELLCSALELLTCCHCSSDSGCPNCVQNLACHEYNELINKDAAIMIIKGVLDAEKLYFEGHPDSTPSC >EOY22147 pep chromosome:Theobroma_cacao_20110822:3:21909276:21919300:-1 gene:TCM_014362 transcript:EOY22147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding,ATP-dependent helicases,ATP binding,helicases,ATP-dependent helicases isoform 1 MKFPEKLFRSPIECCHIDTQNQQVLEQHLVCAALEHPLSLLYDEKYFGSGLNSAITALKSRGYLISDHSSDPLAKIWSYIGHEKMPSRSISIRAIEAERYVVIDTQLNETLEEIEESRAFFQVYEGAVYMHQGRTYLVKDLDLSRKIAYCEKAALDYYTKTRDYTDIHIIGGKIAYPARISKDQLPRTTAQANTCSVTTTWFGFRRIRKGSNQILDTVDLWLPRYSYESQAVWISVPQSIKTVVEKKYSFCAGLHAACHAVLHVVPLYIRCNLSDLAPECPNPHDTRFFPERILLYDQHPGGTGVSKQIQPYFTELLCSALELLTCCHCSSDSGCPNCVQNLACHEYNELINKDAAIMIIKGVLDAEKLYFEGHPDSTPSC >EOY25132 pep chromosome:Theobroma_cacao_20110822:3:33366031:33366718:-1 gene:TCM_016545 transcript:EOY25132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEKDGVKQVHQNEQIKEVTDARDEDMQDDRVIPMLEENQLLDDKKEEKDRNGEEINVENQVLKSEKVGDSQIDLAQKPKQGSKQSLKTKPTIPQPFSLSTAKRMSKDKRGSINFSSENMKRKPKERQGSMDFKNSYSQPRLSRSVSLSHK >EOY21290 pep chromosome:Theobroma_cacao_20110822:3:5566522:5567279:-1 gene:TCM_012732 transcript:EOY21290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKHAAARNVIERCFGLLKMRWGILRSPSFYPIRIHNRIIIACCLLHNFIRREMSFDPIEMDLGEYVETNIAVDEDFISTIDPTDVWGNFRMELANQMFNEWQASRQNDD >EOY22655 pep chromosome:Theobroma_cacao_20110822:3:24348194:24355536:1 gene:TCM_014761 transcript:EOY22655 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD (Development and Cell Death) domain protein isoform 2 MGNCCSDVGGGMAAVGGTAASAVTGNQNDAVDMFFKSRGIHGLFSQIELSFSASNLRNRDIFSKSDPMVVVYIKERDGAITEVFRTEVVLNSLNPTWITKYTITYHFEVVQTFLFHVFDVDTQFHNVDVKMLKLEEQQSLGEACCALSEIVTKPNRSLTFDLVRREESVTATHSQHRGKLTVHAEECFSSKTTSEMVLRCSDLESKDLFSKSDPFLVISKLVESGISIPVCKTEVLKNDLNPTWKPIFLNIQQVGSKDSPLVIECFNFNSNGKHDLIGKVQKSLADLEKLHSGREGESLFLPTPVGHDFQNKVLKSKLFVDQFSETVQHTFLDYLAAGFELNFMVAIDFTASNGNPRLPDSLHYIDPSGRLNAYQKAIYEVGEVLQFYDADKRFPAWGFGARPIDGPVSHCFNLNGSSNYCEVEGIRGIMMAYTSALFNVSLAGPTLFGHVINKAALIASQSLANDARKYFVLLIITDGVVTDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLESSTGRVASRDIVQFVPFRDVQSGEISIVQALLAELPTQFLTYMRSRDIKPST >EOY22654 pep chromosome:Theobroma_cacao_20110822:3:24348045:24355379:1 gene:TCM_014761 transcript:EOY22654 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD (Development and Cell Death) domain protein isoform 2 MGNCCSDVGGGMAAVGGTAASAVTGNQNDAVDMFFKSRGIHGLFSQIELSFSASNLRNRDIFSKSDPMVVVYIKERDGAITEVFRTEVVLNSLNPTWITKYTITYHFEVVQTFLFHVFDVDTQFHNVDVKMLKLEEQQSLGEACCALSEIVTKPNRSLTFDLVRREESVTATHSQHRGKLTVHAEECFSSKTTSEMVLRCSDLESKDLFSKSDPFLVISKLVESGISIPVCKTEVLKNDLNPTWKPIFLNIQQVGSKDSPLVIECFNFNSNGKHDLIGKVQKSLADLEKLHSGREGESLFLPTPVGHDFQNKVLKSKLFVDQFSETVQHTFLDYLAAGFELNFMVAIDFTASNGNPRLPDSLHYIDPSGRLNAYQKAIYEVGEVLQFYDADKRFPAWGFGARPIDGPVSHCFNLNGSSNYCEVEGIRGIMMAYTSALFNVSLAGPTLFGHVINKAALIASQSLANDARKYFVLLIITDGVVTDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLESSTGRVASRDIVQFVPFRDVQSKSSSQSKGGEISIVQALLAELPTQFLTYMRSRDIKPST >EOY21791 pep chromosome:Theobroma_cacao_20110822:3:18542072:18543016:1 gene:TCM_013925 transcript:EOY21791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding family protein, putative MSSQGSRASHKITDDELSALVLNLQALLPQLNQGRNGRASATKVLNETCSYIRKLQAEIDDLSERLSQCLGSMDITSLDAEILRNLLQQ >EOY21725 pep chromosome:Theobroma_cacao_20110822:3:17644426:17650501:-1 gene:TCM_013830 transcript:EOY21725 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein MTTSSQQPPPQPPTTVRKVIVEVIDARDLLPKDGQGSSSPYVIADFDGQKKRTSTKYRELNPVWNEPLEFTVSDPENMDVEELEIEVFNDKKFGNGSGRKNHFLGRVKLYGSQFARRGEEGLIYFPLEKKSVFSWIRGEIGLKICYYDEIVEDQPPPEEPSPQQQQQQSPQMEEPKPSPGLLVVEEGRVFEVPTAHMEFPHGVHGHGQGPIPCYPSSPVVVVEESPPHVVRVHEELPPQVEATALPPHMASGIPVSEVHFTVPEVRRMQSNRGERVRVLKRPHGDYLPKDIGGNKTQADNAAAAGAGGAERIHPFDLVEPMQYLFVKIVKARGLAPNECPYVKIRTSSHYLKSKPTIYRPGEPTDSPEWRQVFALGYNKQESVTATLEISVWDAPTENFLGGVCFDLSDVPVREPPDSPLAPQWYRLETGAVDQNSGRVSGDIQLAVWIGTQNDDAFPEAWSSDAPYVAHTRSKVYQSPKLWYLRLTLIEAQDLQIAPNLPPLTVPEIRVKAQLGFQSVRSRRGNMNNHSMSVHWNEDLIFVAGEPLEDSLILLVEDRTNKEATVLGLVMIPLISIEQRIDERHVASKWYGLDGGAGGGGGPYGGRIHLRLCLEGGYHVLDEAAHVCSDFRPTAKQLWKPAIGILELGILGARGLLPMKTKGGGKGSTDAYCVAKYGKKWVRTRTVTDSFDPRWNEQYTWQVYDPCTVLTVGVFDNWRMFADASEDKPDSRIGKIRIRISTLESNKVYTNSYPLLVLTRMGLKKMGEIELAVRFACPSLLPDTCSAYGQPLLPRMHYLRPLGVAQQEALRGAATKMVAQWLARSEPPLGQEVVRYMLDADSHTWSMRKSKANWFRIVAVLAWAVGLAKWLDDIRRWRNPVTTVLVHVLYLVLVWYPDLIVPTGFLYVVLIGVWYYRFRPKIPAGMDIRLSQAETVDPDELDEEFDTIPSSKPPELIRARYDRLRILAGRVQTVLGDFATQGERVQALVSWRDPRATKLFIGVCLAITLILYVVPPKMVVVALGFYYLRHPMFRDPMPPASLNFFRRLPSLSDRLM >EOY23313 pep chromosome:Theobroma_cacao_20110822:3:26998358:27001767:-1 gene:TCM_015251 transcript:EOY23313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaminyl cyclase, putative isoform 1 HSLHSYGYVVNEFPHDPNAFTQGLVYAGNDTLFESTGLYRHSSVRKIALRTGKVQILQKMADSYFGEGLTLLGERLFQVTWLTKIGFIYDRKNLKKSEQFTHEMDDGWGLATDGKILYGSDGTSTLYQMDPQTLKVTRKHVIKFNGQSVRYLNELEYINGEIWANVWQTDCIVRISPNNGTILGWILLQTLRQGLIAAGYNGIDVLNGIAWDSNNNRIFVTGKLWPKLYEIKLHPAQKQYNDDVIEQLCLP >EOY23312 pep chromosome:Theobroma_cacao_20110822:3:26998284:27002088:-1 gene:TCM_015251 transcript:EOY23312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaminyl cyclase, putative isoform 1 MASKSFKKKTNSKRSGSKSAASMAFSKHWYLRRIFTAISIILLVCVIVVLGVSSNTWNKLSSVNHSLHSYGYVVNEFPHDPNAFTQGLVYAGNDTLFESTGLYRHSSVRKIALRTGKVQILQKMADSYFGEGLTLLGERLFQVTWLTKIGFIYDRKNLKKSEQFTHEMDDGWGLATDGKILYGSDGTSTLYQMDPQTLKVTRKHVIKFNGQSVRYLNELEYINGEIWANVWQTDCIVRISPNNGTILGWILLQTLRQGLIAAGYNGIDVLNGIAWDSNNNRIFVTGKLWPKLYEIKLHPAQKQYNDDVIEQLCLP >EOY23167 pep chromosome:Theobroma_cacao_20110822:3:26468505:26472276:-1 gene:TCM_015152 transcript:EOY23167 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein isoform 3 MEESDSVAWRWEDLDADVLVKILQSFDIVQLTSGIASVCSSWRMACCDPMLWKTLDLSVMRSNFIKIPLEPYVYVDARSDKTLTRILKISLSLSRGNIMTLIFHFNLYVSDDQLTYTAERCPRLRRLVMPAWNRIKKTGICKAIRIWRDLESLTMPSIANPPYLMEEIANNCKNFSELKVMGPFDILFATTIITRLPKIKVLSLRCSMLVKDALISILDNLQNLEVLNISHCLLIEIPPPPAPRRIMEELDQSILEKASQLRQFITCMKDSCIMCQRTRNDEGLMRWYKYEEGLWKTDEVSSLSL >EOY23165 pep chromosome:Theobroma_cacao_20110822:3:26468186:26472430:-1 gene:TCM_015152 transcript:EOY23165 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein isoform 3 MEESDSVAWRWEDLDADVLVKILQSFDIVQLTSGIASVCSSWRMACCDPMLWKTLDLSVMRSNFIKIPLEPYVYVDARSDKTLTRILKISLSLSRGNIMTLIFHFNLYVSDDQLTYTAERCPRLRRLVMPAWNRIKKTGICKAIRIWRDLESLTMPSIANPPYLMEEIANNCKNFSELKVMGPFDILFATTIITRLPKIKVLSLRCSMLVKDALISILDNLQNLEVLNISHCLLIEIPPPPAPRRIMEELDQSILEKASQLRQFITCMKDSCIMCQRTRNDEGLMRWYKYEEGLWKTDEVIESCLGGFRNDLSTTANVHGKH >EOY23166 pep chromosome:Theobroma_cacao_20110822:3:26469050:26472432:-1 gene:TCM_015152 transcript:EOY23166 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein isoform 3 MEESDSVAWRWEDLDADVLVKILQSFDIVQLTSGIASVCSSWRMACCDPMLWKTLDLSVMRSNFIKIPLEPYVYVDARSDKTLTRILKISLSLSRGNIMTLIFHFNLYVSDDQLTYTAERCPRLRRLVMPAWNRIKKTGICKAIRIWRDLESLTMPSIANPPYLMEEIANNCKNFSELKVMGPFDILFATTIITRLPKIKVLSLRCSMLVKDALISILDNLQNLEVLNISHCLLIEIPPPPAP >EOY22101 pep chromosome:Theobroma_cacao_20110822:3:21375535:21381466:-1 gene:TCM_014294 transcript:EOY22101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MESSSVPESSPSNVKLSRSEKKKMKKQRKHRAIQNGSAESKEEETSLGTDSNLESKADVSLDSGLQQGENCSSKDAHLEIEHLTPMRRKKKRKENSLIDDSKVNIGVESGLKEEVNSSVKDTLVEKDSHSGTLESIGFKSGLKESQLNEQPAHSERKRKRKKDRKMAIRGQYGEEGPKSVLLFRDGNENAIIDENQSHSHAESKVTVSLESVEKSSLEPALKGSQVNKQPAASEKKSKRKREHKMAKAGQFEKLDQKSAVELRNGKENALIDEIQSDSWVESKLNVSLESGLKEGANSLMEDSLWERDSHVETLNKISLGSVLKGSQLNEQLADPGRKRKRKRERKVAKPEQFEKLDAKCVLELRDRKENSLIDEKQNDSHVESKMNVSSESGLKEEANRDVDTLKNISLESGLKGTQSNEQTVQSERKGKRKKEKQEKRRLSVLEDNDISSRRNDGDDNEAENNNICPVTQITNRKEDLMSISENVELKNMKENSLIPDKQSDSPRTASTSRVKTQDLHVDGNLVIPEVKDNKTFQIGKMVKTYHRKRKRSSDLLGDTFEPVRENGMMQTSIDHLEKEHTTGISESRLHGVLLGEHAMPARLLEEALGNSTGSEAALKGRKRKRTKKLKESLCKYPDQKDVEIETNMKEFIPSPSNLAVTKDDVALKATVKENNLSRTLYPSLERICISHPKKKLLVLDLNGILVDVAQKPGEFKPDIRLSGKGVFKRPFCDDFLEFCFRTFNVGIWSSRIKKNVKRLVAFLMKKWRRNLLFCWHRKQCTITKFKTIEDKKKPLVLKELSKLWGRHSPNVPWEKGEYDESNTLLLDDSPYKALHNPANTAVFPYPYQYRDTKDSSLGEIWASIFWN >EOY22100 pep chromosome:Theobroma_cacao_20110822:3:21374649:21381368:-1 gene:TCM_014294 transcript:EOY22100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MESSSVPESSPSNVKLSRSEKKKMKKQRKHRAIQNGSAESKEEETSLGTDSNLESKADVSLDSGLQQGENCSSKDAHLEIEHLTPMRRKKKRKENSLIDDSKVNIGVESGLKEEVNSSVKDTLVEKDSHSGTLESIGFKSGLKESQLNEQPAHSERKRKRKKDRKMAIRGQYGEEGPKSVLLFRDGNENAIIDENQSHSHAESKVTVSLESVEKSSLEPALKGSQVNKQPAASEKKSKRKREHKMAKAGQFEKLDQKSAVELRNGKENALIDEIQSDSWVESKLNVSLESGLKEGANSLMEDSLWERDSHVETLNKISLGSVLKGSQLNEQLADPGRKRKRKRERKVAKPEQFEKLDAKCVLELRDRKENSLIDEKQNDSHVESKMNVSSESGLKEEANRDVDTLKNISLESGLKGTQSNEQTVQSERKGKRKKEKQEKRRLSVLEDNDISSRRNDGDDNEAENNNICPVTQITNRKEDLMSISENVELKNMKENSLIPDKQSDSPRTASTSRVKTQDLHVDGNLVIPEVKDNKTFQIGKMVKTYHRKRKRSSDLLGDTFEPVRENGMMQTSIDHLEKEHTTGISESRLHGVLLGEHAMPARLLEEALGNSTGSEAALKGRKRKRTKKLKESLCKYPDQKDVEIETNMKEFIPSPSNLAVTKDDVALKATVKENNLSRTLYPSLERICISHPKKKLLVLDLNGILVDVAQKPGEFKPDIRLSGKGVFKRPFCDDFLEFCFRTFNVGIWSSRIKKNVKRLVAFLMKKWRRNLLFCWHRKQCTITKFKTIEDKKKPLVLKELSKLWGRHSPNVPWEKGEYDESNTLLLDDSPYKALHNPANTAVFPYPYQYRDTKDSSLGCGGDIRTYLEGLAAAENVQKYVEQNPFGQPAITESNPHWDFYCQIIDKKK >EOY22099 pep chromosome:Theobroma_cacao_20110822:3:21374620:21381300:-1 gene:TCM_014294 transcript:EOY22099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MESSSVPESSPSNVKLSRSEKKKMKKQRKHRAIQNGSAESKEEETSLGTDSNLESKADVSLDSGLQQGENCSSKDAHLEIEHLTPMRRKKKRKENSLIDDSKVNIGVESGLKEEVNSSVKDTLVEKDSHSGTLESIGFKSGLKESQLNEQPAHSERKRKRKKDRKMAIRGQYGEEGPKSVLLFRDGNENAIIDENQSHSHAESKVTVSLESVEKSSLEPALKGSQVNKQPAASEKKSKRKREHKMAKAGQFEKLDQKSAVELRNGKENALIDEIQSDSWVESKLNVSLESGLKEGANSLMEDSLWERDSHVETLNKISLGSVLKGSQLNEQLADPGRKRKRKRERKVAKPEQFEKLDAKCVLELRDRKENSLIDEKQNDSHVESKMNVSSESGLKEEANRDVDTLKNISLESGLKGTQSNEQTVQSERKGKRKKEKQEKRRLSVLEDNDISSRRNDGDDNEAENNNICPVTQITNRKEDLMSISENVELKNMKENSLIPDKQSDSPRTASTSRVKTQDLHVDGNLVIPEVKDNKTFQIGKMVKTYHRKRKRSSDLLGDTFEPVRENGMMQTSIDHLEKEHTTGISESRLHGVLLGEHAMPARLLEEALGNSTGSEAALKGRKRKRTKKLKESLCKYPDQKDVEIETNMKEFIPSPSNLAVTKDDVALKATVKENNLSRTLYPSLERICISHPKKKLLVLDLNGILVDVAQKPGEFKPDIRLSGKGVFKRPFCDDFLEFCFRTFNVGIWSSRIKKNVKRLVAFLMKKWRRNLLFCWHRKQCTITKFKTIEDKKKPLVLKELSKLWGRHSPNVPWEKGEYDESNTLLLDDSPYKALHNPANTAVFPYPYQYRDTKDSSLGCGGDIRTYLEGLAAAENVQKYVEQNPFGQPAITESNPHWDFYCQIIDKKK >EOY24658 pep chromosome:Theobroma_cacao_20110822:3:31830497:31833286:-1 gene:TCM_016202 transcript:EOY24658 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-glucose/UDP-D-galactose 4-epimerase 1 isoform 1 MGSLEKTILVTGGAGFIGTHTVVQLLNGGFRVSIIDNLDNSVIEAVHRVKELVGPELSKKLDFNLGDLRNREDLEKLFSKTHFDAVIHFAGLKAVGESVANPSRYFDNNLIGTINLYEIMAKYDCKKMVFSSSATVYGQPEKIPCVEDFELKAMNPYGRTKLFLEEIARDIQKAEPDWSIILLRYFNPVGAHESGKIGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAIRDYIHVMDLADGHIAALRKLFTTQNIGCIAYNLGTGCGTSVLEMVAAFEKASGKKIPIKLCPRRPGDATAVYASTEKAQKELGWNQNFGLLQGKIWGRGNVP >EOY24657 pep chromosome:Theobroma_cacao_20110822:3:31830120:31833684:-1 gene:TCM_016202 transcript:EOY24657 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-glucose/UDP-D-galactose 4-epimerase 1 isoform 1 MGSLEKTILVTGGAGFIGTHTVVQLLNGGFRVSIIDNLDNSVIEAVHRVKELVGPELSKKLDFNLGDLRNREDLEKLFSKTHFDAVIHFAGLKAVGESVANPSRYFDNNLIGTINLYEIMAKYDCKKMVFSSSATVYGQPEKIPCVEDFELKAMNPYGRTKLFLEEIARDIQKAEPDWSIILLRYFNPVGAHESGKIGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAIRDYIHVMDLADGHIAALRKLFTTQNIGCIAYNLGTGCGTSVLEMVAAFEKASGKKIPIKLCPRRPGDATAVYASTEKAQKELGWKAKYGVAEMCRDQWNWASNNPWGFQSKP >EOY24913 pep chromosome:Theobroma_cacao_20110822:3:32664878:32668745:1 gene:TCM_016384 transcript:EOY24913 gene_biotype:protein_coding transcript_biotype:protein_coding description:POZ/BTB containin G-protein 1 isoform 3 MRVPNVDLFDPRTIMDSDFSPGEASGSGSGDSDFGFAFNDSNFSDRVLRIEIMPDLRETKADGDGCSSIADWARNRKRRREDIKKENGADVVGQREEQTLNCNMPDTEDGVTYENQDEEAVAMIEGSPTDVGLNSNQIGNDATPSNDSSWNMDCSSVLRVKTIHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRIHASEETALMDLLSFMYSNTLSTTIPTALLDVLMAADKFEVASCMRYCSRLLRNLPMTCESALLYLDLPSSVLLAEAVQPLTDAAKQFLADRYKDVTKFQEEVLNLPLAGIEAVFSSDDLQVASEDAVYDSVLKWARTHYPKLEERRDVLATRLGRLIRFPYMTCRKLKKVLTCNDFDPELASKVVLEALFFKAETPYRQRAHAAEEANAPYRRFVERAYKYRPVKVVEFEMPRQQCVVYLDLKREECVHLFPAGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVTFAVDYEFAARSKPTEDYVSKYKGNYTFTGGKAVGYRNLFGIPWTAFMADDSIYFINGILHLRAELTIRQ >EOY24914 pep chromosome:Theobroma_cacao_20110822:3:32665665:32668713:1 gene:TCM_016384 transcript:EOY24914 gene_biotype:protein_coding transcript_biotype:protein_coding description:POZ/BTB containin G-protein 1 isoform 3 MPDTEDGVTYENQDEEAVAMIEGSPTDVGLNSNQIGNDATPSNDSSWNMDCSSVLRVKTIHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRIHASETALMDLLSFMYSNTLSTTIPTALLDVLMAADKFEVASCMRYCSRLLRNLPMTCESALLYLDLPSSVLLAEAVQPLTDAAKQFLADRYKDVTKFQEEVLNLPLAGIEAVFSSDDLQVASEDAVYDSVLKWARTHYPKLEERRDVLATRLGRLIRFPYMTCRKLKKVLTCNDFDPELASKVVLEALFFKAETPYRQRAHAAEEANAPYRRFVERAYKYRPVKVVEFEMPRQQCVVYLDLKREECVHLFPAGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVTFAVDYEFAARSKPTEDYVSKYKGNYTFTGGKAVGYRNLFGIPWTAFMADDSIYFINGILHLRAELTIRQ >EOY24915 pep chromosome:Theobroma_cacao_20110822:3:32665665:32668713:1 gene:TCM_016384 transcript:EOY24915 gene_biotype:protein_coding transcript_biotype:protein_coding description:POZ/BTB containin G-protein 1 isoform 3 MPDTEDGVTYENQDEEAVAMIEGSPTDVGLNSNQIGNDATPSNDSSWNMDCSSVLRVKTIHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRIHASEETALMDLLSFMYSNTLSTTIPTALLDVLMAADKFEVASCMRYCSRLLRNLPMTCESALLYLDLPSSVLLAEAVQPLTDAAKQFLADRYKDVTKFQEEVLNLPLAGIEAVFSSDDLQVASEDAVYDSVLKWARTHYPKLEERRDVLATRLGRLIRFPYMTCRKLKKVLTCNDFDPELASKVVLEALFFKAETPYRQRAHAAEEANAPYRRFVERAYKYRPVKVVEFEMPRQQCVVYLDLKREECVHLFPAGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVTFAVDYEFAARSKPTEDYVSKYKGNYTFTGGKAVGYRNLFGIPWTAFMADDSIYFINGILHLRAELTIRQ >EOY24916 pep chromosome:Theobroma_cacao_20110822:3:32665042:32668713:1 gene:TCM_016384 transcript:EOY24916 gene_biotype:protein_coding transcript_biotype:protein_coding description:POZ/BTB containin G-protein 1 isoform 3 MRVPNVDLFDPRTIMDSDFSPGEASGSGSGDSDFGFAFNDSNFSDRVLRIEIMPDLRETKADGDGCSSIADWARNRKRRREDIKKENELFSNGMRESEQRHVTLRIHASEETALMDLLSFMYSNTLSTTIPTALLDVLMAADKFEVASCMRYCSRLLRNLPMTCESALLYLDLPSSVLLAEAVQPLTDAAKQFLADRYKDVTKFQEEVLNLPLAGIEAVFSSDDLQVASEDAVYDSVLKWARTHYPKLEERRDVLATRLGRLIRFPYMTCRKLKKVLTCNDFDPELASKVVLEALFFKAETPYRQRAHAAEEANAPYRRFVERAYKYRPVKVVEFEMPRQQCVVYLDLKREECVHLFPAGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVTFAVDYEFAARSKPTEDYVSKYKGNYTFTGGKAVGYRNLFGIPWTAFMADDSIYFINGILHLRAELTIRQ >EOY24591 pep chromosome:Theobroma_cacao_20110822:3:31541143:31542797:-1 gene:TCM_016152 transcript:EOY24591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVHSAGKKRFETTKMEIPMLVKQGTGQSQRRNSTRQILGLGSCSEISFRVWSLVYPAIIKLVVFWFPLQGWFTSSRESRPFIYLEHQQPRQGATALSTPPVSPKEVKESPSKLFTFHLTRNDRVQGSQSNEVLNSVSKKQFSISWQKSEESNGKCFKQKVHVICLYPVYCLAWSAFFQRGSITINLRVNDVEPKAVATLCSDDVSPI >EOY22320 pep chromosome:Theobroma_cacao_20110822:3:23005252:23008874:1 gene:TCM_014531 transcript:EOY22320 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB and TAZ domain protein 2 isoform 1 MEDKNNHNTTSSVISSAPELPEPDVQILTSGGLRIAAHSSILAMVSPVLENIMDRPRKHRTSERVIPILGVPCDAVSAFVGYLYSARCTEEQMEKYGIHLLALSHVYSVTQLKQRCARAVSQRLTIENVVDVLQLARLCDAPDLYLKCMKLVAAHFKSVEQTEGWKFMQDHDPWLELEILQFIDEELEAMECLEHICTEGCTTVGPYDVEPAKKRGPCNKYTTCQGVQLLIKHFASCKRRANGGGCSRCKRMWQLLRLHSSICDQPDSCRVPLCRQFKLKAQQQRMGDDALWKLLVRKVLSAKTISSLSLPKRKREEELRETMGGHALRTFRFC >EOY22321 pep chromosome:Theobroma_cacao_20110822:3:23005696:23008874:1 gene:TCM_014531 transcript:EOY22321 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB and TAZ domain protein 2 isoform 1 FVGYLYSARLLKQRCARAVSQRLTIENVVDVLQLARLCDAPDLYLKCMKLVAAHFKSVEQTEGWKFMQDHDPWLELEILQFIDEAESRKKRTRRHRVEQSLYLQLSEAMECLEHICTEGCTTVGPYDVEPAKKRGPCNKYTTCQGVQLLIKHFASCKRRANGGGCSRCKRMWQLLRLHSSICDQPDSCRVPLCRQFKLKAQQQRMGDDALWKLLVRKVLSAKTISSLSLPKRKREEELRETMGGHALRTFRFC >EOY22319 pep chromosome:Theobroma_cacao_20110822:3:22997598:23008688:1 gene:TCM_014531 transcript:EOY22319 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB and TAZ domain protein 2 isoform 1 MEDKNNHNTTSSVISSAPELPEPDVQILTSGGLRIAAHSSILAMVSPVLENIMDRPRKHRTSERVIPILGVPCDAVSAFVGYLYSARCTEEQMEKYGIHLLALSHVYSVTQLKQRCARAVSQRLTIENVVDVLQLARLCDAPDLYLKCMKLVAAHFKSVEQTEGWKFMQDHDPWLELEILQFIDEAESRKKRTRRHRVEQSLYLQLSEAMECLEHICTEGCTTVGPYDVEPAKKRGPCNKYTTCQGVQLLIKHFASCKRRANGGGCSRCKRMWQLLRLHSSICDQPDSCRVPLCRQFKLKAQQQRMGDDALWKLLVRKVLSAKTISSLSLPKRKREEELRETMGGHALRTFRFC >EOY24592 pep chromosome:Theobroma_cacao_20110822:3:31542912:31549779:1 gene:TCM_016153 transcript:EOY24592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D beta 1 MASTLFHETLSFGSSSHGQGQQVLPFKTTDGSLKVLLLHGNLDIWVKEAKNLPNMDIFHKKLGDVFGKFNLKVSSKIEGHMPHKITSDPYVTVSAAGAVIGRTFVISNTENPVWMQHFNIPVAHYAPEVHFVVKDSDVVGSQIMGAVGIPVEKLCSGTKVEGTFPILNASGKPCKPGAVLTLSIQYTPTEKVALYHRGLGSGPDHHGVPGTYFPLRKGGKVTLYQDVHVHDGFLPNLKVDGNVQYEHGNCWQDICNAISQARRLIYIAGWSVYHNVRLVRETDKATNSTLGDLLKTKSQEGVRVLLLVWDDPTSRSILGYKTEGIMHTNDEETRRFFKHSSVQVLLCPRSAGRGSWVKKQETGTIYTHHQKTVIVDADAGNNKRKVTAFVGGLDLCNGRYDTPNHPLFRTLQTVHKDDYRNPNFTENDAGCPRQPWHDLHCRIDGPAAYDILTNFEERWLKASKPHGLQKLKTSVDDALLKIERIPEIAGITEIPYLREDDPETWHVQVFRSIDSNSVKGFPDDPKDATRMNLVCGKNVLIDMSIHTAYVNAIRAAQRFIYIENQYFLGSSFNWDSHKDLGANNLIPMEIALKIANKIRSNERFSAYILIPMWPEGVTTSTPIQRILFWQHKTMQMMYDIVYKALVEVGLENKYEPQDFLNFFCLGNREAVNGGDSLDPRSSFASNSPQALAQKNRRFMIYIHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGAYQPHHAGSTKPYNSHGQVYGYRMSLWAEHIGALEQSFKQPESLECVRRVRSLGEQNWRQYVADEVTEMKGHLLKYPVEVDRMGKVKALPGCETFPDVGGKILGSFTAIQENLTI >EOY25187 pep chromosome:Theobroma_cacao_20110822:3:33501234:33501904:1 gene:TCM_016584 transcript:EOY25187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGAFQRNRECPKGSMNFIVNVNVTPPEGEQDFVECPRRRLPRFKPPQVLVDTIKPALEVNAVAGFVLYAHRHPWENLLSYCFGELRHDCGRLCRK >EOY22694 pep chromosome:Theobroma_cacao_20110822:3:24480780:24482254:1 gene:TCM_014789 transcript:EOY22694 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein, putative MISSSELQASDNNFQFLQPLNETYINTRTSKLTSIASQQYTKMNSTLLLFIIFCTSINICLADSGNLQDACPTDMKAKQTTFVNGFPCKNPSSIIAPDFKTSDINHTGDTDNFLHSSVNILTAADFPGLNTLGLSIARTDLDLDGMVMPHSHPRASELFFLRKGIVLAGFLDSNNTLFQSLIKGGDVFLFPRGLLHFCLNAGYEPATAFSVLNSQNSGVVSIAGALFETNSELIDKITRKLISVPVSELKHIRNATLAKFSRIHIQ >EOY23518 pep chromosome:Theobroma_cacao_20110822:3:27692015:27701367:1 gene:TCM_015385 transcript:EOY23518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MPRSSRHKSSKHSSRDARDYSDSEKDSGLKEKEKKTKEESGGRVSKESGSCEKRKLDTKETSKDLWSSGNGEYVEEYGSLKRRKDKADDGVSDRWNGGEDDGRGEKKSKASSESKSKRREEVEGDDAKKSKSEGKHRESSRKEEREREKKGKESKGDRLIESEEHRTVKQSAEKTELDVPDRLLSPESESQLERRLRKRRDDSGDGDKHQEDNGDILDRQVSLRNETGKDGRTKDEKHKDERYRDKYREDTDRDDKYRDDKLKDERPARDHANSKSSEKHARDEKDAVEVRQKKSKVQDGDRERDHDHDRERDRDRERERDRDRDRDRDRERDRDLDLGHDRERERYRDRDHYRERGRNRDHDRDRDHDREWDQDRDHDRDRERERRDSDRDKDRDRDRDRGRDVDHDHNGLHLDERSGRYKDSRGRKRSPDDRDDGIDTKSRGIKAHYSDIENKSLTSGRVEFDADRGRSQSRQANVDSTVGSNKRRTSPSPSSHVSTDEYRHLKQEDSKYRDSMTEQRSRAASSREVTSFSGASERGAKYRSMEKSSRLDEGHSGELPIERSSSSKASPMNMMERSPSSTSLERRYMNRSGVRRSLDIEEAAWRSSASIGGRELSSAEDRLSRDLPPEKPLLDESSQADSAFYNRAGQGNSSLIPQPPGFRAGIGSPSFMGSLEEDNRINISGRYKRSGDLNVGRGQANAWRGTPNWPSPVPNGFIPFQPGPPHGGYQAMMPQFPSPSLFGVRPAMEINHSGIPFHIPDAERFSNHLRPMGWQNMMDGSGPPHMHGWDGNNVVFRDEAHMYGGPEWDQNRHPMNGRGWDTSSDVWKGQNGDADLPSTSQKEDHPVQAPPDDVYDGQERQRSQHESSHSGVQVKSLEIRSDVVSPVKESSRSSPEIPHEKAPDSSKISSDKDGAHSCQVYLSKLDISTELAGSELYDQCMSLLNAERSKDLVKDVTMLVDLKNGGRAVQKASIAVLRPPLIPATNVSVFQKAMDLYKKQRLQMGAMLNDNGGMLKFISASNQEKEQSSDHVVEDTEEQALISDAEMLDVAMPNSDQQKEEAVPTAAQENKEQPVSIQSGELPDHMDSLSPEKSELPNTDLGHRSPEVLKPVLNGIEAEEMESLEADNASEAVVLSTDVENSNEINKTEGDNSVYCGKERQVFDDAISGSLFLSDGSPKVSGDLIPGSNESEFVILSRIHHSPESTH >EOY23517 pep chromosome:Theobroma_cacao_20110822:3:27691991:27701367:1 gene:TCM_015385 transcript:EOY23517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVFFEFSYLASVMPRSSRHKSSKHSSRDARDYSDSEKDSGLKEKEKKTKEESGGRVSKESGSCEKRKLDTKETSKDLWSSGNGEYVEEYGSLKRRKDKADDGVSDRWNGGEDDGRGEKKSKASSESKSKRREEVEGDDAKKSKSEGKHRESSRKEEREREKKGKESKGDRLIESEEHRTVKQSAEKTELDVPDRLLSPESESQLERRLRKRRDDSGDGDKHQEDNGDILDRQVSLRNETGKDGRTKDEKHKDERYRDKYREDTDRDDKYRDDKLKDERPARDHANSKSSEKHARDEKDAVEVRQKKSKVQDGDRERDHDHDRERDRDRERERDRDRDRDRDRERDRDLDLGHDRERERYRDRDHYRERGRNRDHDRDRDHDREWDQDRDHDRDRERERRDSDRDKDRDRDRDRGRDVDHDHNGLHLDERSGRYKDSRGRKRSPDDRDDGIDTKSRGIKAHYSDIENKSLTSGRVEFDADRGRSQSRQANVDSTVGSNKRRTSPSPSSHVSTDEYRHLKQEDSKYRDSMTEQRSRAASSREVTSFSGASERGAKYRSMEKSSRLDEGHSGELPIERSSSSKASPMNMMERSPSSTSLERRYMNRSGVRRSLDIEEAAWRSSASIGGRELSSAEDRLSRDLPPEKPLLDESSQADSAFYNRAGQGNSSLIPQPPGFRAGIGSPSFMGSLEEDNRINISGRYKRSGDLNVGRGQANAWRGTPNWPSPVPNGFIPFQPGPPHGGYQAMMPQFPSPSLFGVRPAMEINHSGIPFHIPDAERFSNHLRPMGWQNMMDGSGPPHMHGWDGNNVVFRDEAHMYGGPEWDQNRHPMNGRGWDTSSDVWKGQNGDADLPSTSQKEDHPVQAPPDDVYDGQERQRSQHESSHSGVQVKSLEIRSDVVSPVKESSRSSPEIPHEKAPDSSKISSDKDGAHSCQVYLSKLDISTELAGSELYDQCMSLLNAERSKDLVKDVTMLVDLKNGGRAVQKASIAVLRPPLIPATNVSVFQKAMDLYKKQRLQMGAMLNDNGGMLKFISASNQEKEQSSDHVVEDTEEQALISDAEMLDVAMPNSDQQKEEAVPTAAQENKEQPVSIQSGELPDHMDSLSPEKSELPNTDLGHRSPEVLKPVLNGIEAEEMESLEADNASEAVVLSTDVENSNEINKTEGDNSVYCGKERQVFDDAISGSLFLSDGSPKVSGDLIPGSNESEFVILSRIHHSPESTH >EOY23519 pep chromosome:Theobroma_cacao_20110822:3:27692015:27698882:1 gene:TCM_015385 transcript:EOY23519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVFFEFSYLASVMPRSSRHKSSKHSSRDARDYSDSEKDSGLKEKEKKTKEESGGRVSKESGSCEKRKLDTKETSKDLWSSGNGEYVEEYGSLKRRKDKADDGVSDRWNGGEDDGRGEKKSKASSESKSKRREEVEGDDAKKSKSEGKHRESSRKEEREREKKGKESKGDRLIESEEHRTVKQSAEKTELDVPDRLLSPESESQLERRLRKRRDDSGDGDKHQEDNGDILDRQVSLRNETGKDGRTKDEKHKDERYRDKYREDTDRDDKYRDDKLKDERPARDHANSKSSEKHARDEKDAVEVRQKKSKVQDGDRERDHDHDRERDRDRERERDRDRDRDRDRERDRDLDLGHDRERERYRDRDHYRERGRNRDHDRDRDHDREWDQDRDHDRDRERERRDSDRDKDRDRDRDRGRDVDHDHNGLHLDERSGRYKDSRGRKRSPDDRDDGIDTKSRGIKAHYSDIENKSLTSGRVEFDADRGRSQSRQANVDSTVGSNKRRTSPSPSSHVSTDEYRHLKQEDSKYRDSMTEQRSRAASSREVTSFSGASERGAKYRSMEKSSRLDEGHSGELPIERSSSSKASPMNMMERSPSSTSLERRYMNRSGVRRSLDIEEAAWRSSASIGGRELSSAEDRLSRDLPPEKPLLDESSQADSAFYNRAGQGNSSLIPQPPGFRAGIGSPSFMGSLEEDNRINISGRYKRSGDLNVGRGQANAWRGTPNWPSPVPNGFIPFQPGPPHGGYQAMMPQFPSPSLFGVRPAMEINHSGIPFHIPDAERFSNHLRPMGWQNMMDGSGPPHMHGWDGNNVVFRDEAHMYGGPEWDQNRHPMNGRGWDTSSDVWKGQNGDADLPSTSQKEDHPVQAPPDDVYDGQERQRSQHESSHSGVQVKSLEIRSDVVSPVKESSRSSPEIPHEKAPDSSKISSDKDGAHSCQVYLSKLDISTELAGSELYDQCMSLLNAERSKDLVKDVTMLVDLKNGGRAVQKASIAVLRPPLIPATNVSVFQVVIGEEPSHMSAYVLYSFRWSIYVHLHI >EOY21410 pep chromosome:Theobroma_cacao_20110822:3:7467828:7472567:1 gene:TCM_012920 transcript:EOY21410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMWYLFILIDVDGIDEIRRQNDELVYVFYLELGSEIPVCSTSKCPSLVKRTVWCIEDKSALAAPLGRKGKALPSPANVTSFGNPFSNYTS >EOY22754 pep chromosome:Theobroma_cacao_20110822:3:24688118:24688850:1 gene:TCM_014831 transcript:EOY22754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MIEVASALSYLHGKHVVHCDLKPSNVLLDEGMVAHVSDFSIAKLLGRVNVVQTETMATVGYMAPEYGSSGIISEKTDVYSFGILLMETFTRKKPTDEMFDGEMNLRRWIYESLPDASCLLRGRL >EOY20850 pep chromosome:Theobroma_cacao_20110822:3:1488264:1491027:1 gene:TCM_012189 transcript:EOY20850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEQWEIHYSRFFNFPGLPSTCLSLKPLPSRKLRSIGNWVSSPSLASLQLITNPYAHGTVLVVSFQGKIHEEHYASKLHFTWPQVSCPAECPVRGSKVVFASYKDREGQASFCLYSLRESLKDARNVWLPSSNRGLATSLTSESVDLNGFTSRPAVELCHVNPIGNHEPLQQPPSNDKGLKCPCPKESIFSPNPGAKDANFPSSFTALLSDSCIETEQDMLTKMEMAIDDLGGDLAP >EOY22980 pep chromosome:Theobroma_cacao_20110822:3:25637267:25638118:-1 gene:TCM_015002 transcript:EOY22980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPFLPLEKEQISESLKIKSTRTVGLVGGSKPIPLIAKMESHLMIIEINGLDINLAHPTGRVHPGQTMARTHLKTFFERINEEMKGSLWPLVDGGPNCKCALARSH >EOY24447 pep chromosome:Theobroma_cacao_20110822:3:31013340:31016130:1 gene:TCM_016046 transcript:EOY24447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription regulatory protein SNF5 (BSH), putative isoform 5 RRHQPLGKPLSSSGSENLVPIRLDIEVDGQRYKDAFTWNPSDPDSEVVMFARRTVKDLKLAPGFIPQIVQSIQSQLADFRSYEGQDMYAGDKIVPIKLDLRVNHTVIRDQFLWDLNNFESDPEEFSRTLCKDLGIEDPEVGPAIAYAIREQLYEIAIQNVTSARENRISKKGRRGAEHFPPSKAGGAALDLIKLFSFRSSVVRKRKEWGYYKPTLDLLSNEDVDALETKEERSGR >EOY24449 pep chromosome:Theobroma_cacao_20110822:3:31013255:31016120:1 gene:TCM_016046 transcript:EOY24449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription regulatory protein SNF5 (BSH), putative isoform 5 MKAPASAPWKAPLKFRMPTAENLVPIRLDIEVDGQRYKDAFTWNPSDPDSEVVMFARRTVKDLKLAPGFIPQIVQSIQSQLADFRSYEGQDMYAGDKIVPIKDLNNFESDPEEFSRTLCKDLGIEDPEVGPAIAYAIREQLYEIAIQNVTSARENRISKKGRRGAEHFPPSKAGGAALDLIKLFSFRSSVVRKRKEWGYYKPTLDLLSNEDVDALETKEERSGR >EOY24448 pep chromosome:Theobroma_cacao_20110822:3:31013369:31016110:1 gene:TCM_016046 transcript:EOY24448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription regulatory protein SNF5 (BSH), putative isoform 5 SSSGSENLVPIRLDIEVDGQRYKDAFTWNPSDPDSEVVMFARRTVKDLKLAPGFIPQIVQSIQSQLADFRSYEGQDMYAGDKIVPIKLDLRVNHTVIRDQFLWDLNNFESDPEEFSRTLCKDLGIEDPEVGPAIAYAIREQLYEIAIQNVTSARENRISKKGRRGAEHFPPSKAGGAALDLIKLFSFRSRKERNGVIINLLSIFYQMRTWMPLKPKKRGAVGK >EOY24446 pep chromosome:Theobroma_cacao_20110822:3:31013302:31016116:1 gene:TCM_016046 transcript:EOY24446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription regulatory protein SNF5 (BSH), putative isoform 5 MKAPASAPWKAPLKFRMPTAENLVPIRLDIEVDGQRYKDAFTWNPSDPDSEVVMFARRTVKDLKLAPGFIPQIVQSIQSQLADFRSYEGQDMYAGDKIVPIKLDLRVNHTVIRDQFLWDLNNFESDPEEFSRTLCKDLGIEDPEVGPAIAYAIREQLYEIAIQNVTSARENRISKKGRRGAEHFPPSKAGGAALDLIKLFSFRSSVVRKRKEWGYYKPTLDLLSNEDVDALETKEERSGR >EOY24450 pep chromosome:Theobroma_cacao_20110822:3:31013519:31015742:1 gene:TCM_016046 transcript:EOY24450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription regulatory protein SNF5 (BSH), putative isoform 5 PTAENLVPIRLDIEVDGQRYKDAFTWNPSDSEVVMFARRTVKDLKLAPGFIPQIVQSIQSQLADFRSYEGQDMYAGDKIVPIKLDLRVNHTVIRDQFLWDLNNFESDPEEFSRTLCKDLGIEDPEVGPAIAYAIREQLYEIAIQNVTSARENRISKKGRRGAEHFPPSKAGGAALDLIKLFSFRSSVVRKRKEWGY >EOY24080 pep chromosome:Theobroma_cacao_20110822:3:29568539:29574656:-1 gene:TCM_015780 transcript:EOY24080 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like two-component responsive regulator family protein isoform 1 MVCTANDLSAWKDFPKGLRVLLLDEDSNSAAEIRSKLEAMDYIVYTFCNENEALSAVSSRPESFHVAIVEVNTNNNNGSFKFLETAKDLPTIMTSNIHCISTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGKDLSESLKPAKESVVSMLHLQMENGIPMNEDSDKTEDASLVYEIDRQPSPGSDKYPAPSTPQLKQGERLLDDGDCPEHTNCSTEKESGEQDGESKSVETTSGNAIAEVTAPVGQPQGSRETEVKEVADLVDGNKGESTVHSHPKNRVNRKDSQAGAEKPSTVSGLHSSCLNKANRKKLKVDWTPELHKQFVQAVDQLGVDQAIPSRILELMKVEGLTRHNVASHLQKYRMHRRHILPKEDDRRWPQRDQTQRSCYPHKPIMAFPPYHSNHVVPVGPLYPMWGAPPHPANIQMWGSPGYPPWQPAESWHWKPYPGFQADAWGCPVMPPPPGYCSAFTQNASGFHCSSTMDNRSGMPQNSVEHQPVIHPIIA >EOY24081 pep chromosome:Theobroma_cacao_20110822:3:29567991:29574656:-1 gene:TCM_015780 transcript:EOY24081 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like two-component responsive regulator family protein isoform 1 MVCTANDLSAWKDFPKGLRVLLLDEDSNSAAEIRSKLEAMDYIVYTFCNENEALSAVSSRPESFHVAIVEVNTNNNNGSFKFLETAKDLPTIMTSNIHCISTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGKDLSESLKPAKESVVSMLHLQMENGIPMNEDSDKTEDASLVYEIDRQPSPGSDKYPAPSTPQLKQGERLLDDGDCPEHTNCSTEKESGEQDGESKSVETTSGNAIAEVTAPVGQPQGSRETEVKEVADLVDGNKGESTVHSHPKNRVNRKDSQAGAEKPSTVSGLHSSCLNKANRKKLKVDWTPELHKQFVQAVDQLGVDQAIPSRILELMKVEGLTRHNVASHLQKYRMHRRHILPKEDDRRWPQRDQTQRSCYPHKPIMAFPPYHSNHVVPVGPLYPMWGAPPHPANIQMWGSPGYPPWQPAESWHWKPYPGFQADAWGCPVMPPPPGYCSAFTQNASGFHCSSTMDNRSGMPQNSVEHQPAEEVIDNVVKEAINKPWLPLPLGLKPPSTEGVLAELSRQGISAVPPHVNGSNS >EOY24079 pep chromosome:Theobroma_cacao_20110822:3:29567792:29574505:-1 gene:TCM_015780 transcript:EOY24079 gene_biotype:protein_coding transcript_biotype:protein_coding description:CheY-like two-component responsive regulator family protein isoform 1 MVCTANDLSAWKDFPKGLRVLLLDEDSNSAAEIRSKLEAMDYIVYTFCNENEALSAVSSRPESFHVAIVEVNTNNNNGSFKFLETAKDLPTIMTSNIHCISTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGKDLSESLKPAKESVVSMLHLQMENGIPMNEDSDKTEDASLVYEIDRQPSPGSDKYPAPSTPQLKQGERLLDDGDCPEHTNCSTEKESGEQDGESKSVETTSGNAIAEVTAPVGQPQGSRETEVKEVADLVDGNKGESTVHSHPKNRVNRKDSQAGAEKPSTVSGLHSSCLNKANRKKLKVDWTPELHKQFVQAVDQLGVDQAIPSRILELMKVEGLTRHNVASHLQKYRMHRRHILPKEDDRRWPQRDQTQRSCYPHKPIMAFPPYHSNHVVPVGPLYPMWGAPPHPANIQMWGSPGYPPWQPAESWHWKPYPGFQADAWGCPVMPPPPGYCSAFTQNASGFHCSSTMDNRSGMPQNSVEHQPAEEVIDNVVKEAINKPWLPLPLGLKPPSTEGVLAELSRQGISAVPPHVNGSNS >EOY21329 pep chromosome:Theobroma_cacao_20110822:3:6230638:6233214:1 gene:TCM_012814 transcript:EOY21329 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H, putative MLVVKDSTVFARSATCSSKDVVLVAMTTITLEFTFEEALWGSKPNPAYLAFFGMLRPIRFYKQSYEE >EOY21330 pep chromosome:Theobroma_cacao_20110822:3:6223707:6234510:1 gene:TCM_012814 transcript:EOY21330 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H, putative MVTLFHDMMHKEVEVYVDDMIVKAHKTEDHATNLERLFKRLRKFQLRLNPTKCTFGVTSEKLLGFVVSERGIEVDPDKVQAIRDLPPPKTQKEVRGFLGRLNYIARFISQLTLKCDLIFKLLRKHNLGAWNEECQVAFDKVKEYLLSLLVLVPPVAGRPLFLYLTICFTYLPREENQMADALATLAAMFNVGTDVKIQPIMINLRECPYPEQSSENDKKTIRRLAMNFFLDGDILYKRSRDQVLLRCADLVEARRIVEEGHEGICGAHASGHMLARQVIRAGFVPSFKIKHHNSVPYRPKMNGVVETANKNIKRIIEKMTDVYKDWHEKLPFALHAYRTTVRTSTGATLFSLVYGMEAVFPIEVEIPSLRVLKEVQLEEAEWVNTRYEQLNLIEEKRLTALCHGQLYQKRMMKAYGKKVHPRQFQEGKLILKRILPNQQDPRGKWTLNWEGPFVVKKVFSRGTLILAEMDRREFSNPVNADAVKKYFA >EOY22397 pep chromosome:Theobroma_cacao_20110822:3:23249707:23251704:-1 gene:TCM_014574 transcript:EOY22397 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor MGHGFLANEFQKTDRIDEVIGLDLELSAMAFCYQPFMPIMGDNACGWSLPFSGEIRDTKRLRRTISIPESIGSSGSLSSGGNSDSSLSRSGSTSSLNSFSRLHFRDHVLTYNQRYLAAEAVEEAAAAMISSEESGGEEDETADGMRLVQLLIACAEAVACRDKSHASALLSELRANALVFGSSFQRVASCFVQGLADRLALVQPLGTVGLVAPVMNIMDISSDKKEEALRLVYEICPHIQFGHFVANSSILEAFEGESFVHVVDLGMTLGLPHGHQWRHLIQSLANRAGKAPSRLRITAVGLSDHRFHIIGQELEAYAKDLGMNLEFSVVKSNLENLRPEDIKVFDGEVLVVNSILQLHCVVKESRGALNSVLQMIHELSPKVLVLVEQDSSHNGPFFLGRFMEALHYYSAIFDSLDAMLPKYDTRRAKMEQFYFAEEIKNIVSCEGPGRVERHERVDQWRRRMSRAGFQAAPLRMMTQAKQWLGKNKVCEGYTVVEDKGCLVLGWKSKPIVAASCWKC >EOY25260 pep chromosome:Theobroma_cacao_20110822:3:33746831:33750943:-1 gene:TCM_016633 transcript:EOY25260 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 3 MTASTEPLAPESMSDQTIKKKNKKKNKLAKLEDQREENVKQKPQETEEIELRKKDKKKRKKGKESESQASHEESADEPKRKKKKEKKEQKQEEENGEFSETQKIKQDSVQFDGEEKVVVSGKDAEEAKYAPMKSFADSKLPKNVLNCCKDFKSPSPIQAHAWPFLLNGRDFIGIAKTGSGKTLAFGVPAMMHVLDKRKRVKNKNPLCLVLSPTRELAEQIFNVMCNAGQSCDVKSVCLYGGTSKGPQISSLNSGVDIVIGTPGRLKDLMNMEVCQLKDVSFVVLDEADRMLDMGFEDDVRFILGRTCSARQMVMFSATWPAAVHRLAQEYMDPNPVKVVIGSEDLAANHDVMQIVEVLDDRSRYERLTALLKKYHESKRNRVLVFVLYKAEAGRIENMLQRSGWNVVSIHGGKAQHERTNALSLFKDGSSPLMVATDVAARGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGRKGVAHTFFTQQNK >EOY25265 pep chromosome:Theobroma_cacao_20110822:3:33746209:33751074:-1 gene:TCM_016633 transcript:EOY25265 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 3 MTASTEPLAPESMSDQTIKKKNKKKNKLAKLEDQREENVKQKPQETEEIELRKKDKKKRKKGKESESQASHEESADEPKRKKKKEKKEQKQEEENGEFSETQKIKQDSVQFDGEEKVVVSGKDAEEAKYAPMKSFADSKLPKNVLNCCKDFKSPSPIQAHAWPFLLNGRDFIGIAKTGSGKTLAFGVPAMMHVLDKRKRVKNKNPLCLVLSPTRELAEQIFNVMCNAGQSCDVKSVCLYGGTSKGPQISSLNSGVDIVIGTPGRLKDLMNMEVCQLKDVSFVVLDEADRMLDMGFEDDVRFILGRTCSARQMVMFSATWPAAVHRLAQEYMDPNPVKVVIGSEDLAANHDVMQIVEVLDDRSRYERLTALLKKYHESKRNRVLVFVLYKAEAGRIENMLQRSGWNVVSIHGGKARSPSSTGLTSRKSLLMLQRLRK >EOY25261 pep chromosome:Theobroma_cacao_20110822:3:33746183:33751074:-1 gene:TCM_016633 transcript:EOY25261 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 3 MTASTEPLAPESMSDQTIKKKNKKKNKLAKLEDQREENVKQKPQETEEIELRKKDKKKRKKGKESESQASHEESADEPKRKKKKEKKEQKQEEENGEFSETQKIKQDSVQFDGEEKVVVSGKDAEEAKYAPMKSFADSKLPKNVLNCCKDFKSPSPIQAHAWPFLLNGRDFIGIAKTGSGKTLAFGVPAMMHVLDKRKRVKNKNPLCLVLSPTRELAEQIFNVMCNAGQSCDVKSVCLYGGTSKGPQISSLNSGVDIVIGTPGRLKDLMNMEVCQLKDVSFVVLDEADRMLDMGFEDDVRFILGRTCSARQMVMFSATWPAAVHRLAQEYMDPNPVKVVIGSEDLAANHDVMQIVEVLDDRSRYERLTALLKKYHESKRNRVLVFVLYKAEAGRIENMLQRSGWNVVSIHGGKAQHERTNALSLFKDGSSPLMVYSCSHRCGCSRIGYSRC >EOY25259 pep chromosome:Theobroma_cacao_20110822:3:33745577:33751111:-1 gene:TCM_016633 transcript:EOY25259 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 3 MTASTEPLAPESMSDQTIKKKNKKKNKLAKLEDQREENVKQKPQETEEIELRKKDKKKRKKGKESESQASHEESADEPKRKKKKEKKEQKQEEENGEFSETQKIKQDSVQFDGEEKVVVSGKDAEEAKYAPMKSFADSKLPKNVLNCCKDFKSPSPIQAHAWPFLLNGRDFIGIAKTGSGKTLAFGVPAMMHVLDKRKRVKNKNPLCLVLSPTRELAEQIFNVMCNAGQSCDVKSVCLYGGTSKGPQISSLNSGVDIVIGTPGRLKDLMNMEVCQLKDVSFVVLDEADRMLDMGFEDDVRFILGRTCSARQMVMFSATWPAAVHRLAQEYMDPNPVKVVIGSEDLAANHDVMQIVEVLDDRSRYERLTALLKKYHESKRNRVLVFVLYKAEAGRIENMLQRSGWNVVSIHGGKAQHERTNALSLFKDGSSPLMVATDVAARGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGRKGVAHTFFTQQNKGLAGELVNVLKEAGQIVPPALLKFGTHVKKKESKLYGAHFKEIAANAPKAKKITFDNSDDEN >EOY25264 pep chromosome:Theobroma_cacao_20110822:3:33746539:33751074:-1 gene:TCM_016633 transcript:EOY25264 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 3 MTASTEPLAPESMSDQTIKKKNKKKNKLAKLEDQREENVKQKPQETEEIELRKKDKKKRKKGKESESQASHEESADEPKRKKKKEKKEQKQEEENGEFSETQKIKQDSVQFDGEEKVVVSGKDAEEAKYAPMKSFADSKLPKNVLNCCKDFKSPSPIQAHAWPFLLNGRDFIGIAKTGSGKTLAFGVPAMMHVLDKRKRVKNKNPLCLVLSPTRELAEQIFNVMCNAGQSCDVKSVCLYGGTSKGPQISSLNSGVDIVIGTPGRLKDLMNMEVCQLKDVSFVVLDEADRMLDMGFEDDVRFILGRTCSARQMVMFSATWPAAVHRLAQEYMDPNPVKVVIGSEDLAANHDVMQIVEVLDDRSRYERLTALLKKYHESKRNRVLVFVLYKAEAGRIENMLQRSGWNVVSIHGGKAQHERTNALSLFKDGSSPLMVYSCILSFFSF >EOY25263 pep chromosome:Theobroma_cacao_20110822:3:33746539:33751074:-1 gene:TCM_016633 transcript:EOY25263 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 3 MTASTEPLAPESMSDQTIKKKNKKKNKLAKLEDQREENVKQKPQETEEIELRKKDKKKRKKGKESESQASHEESADEPKRKKKKEKKEQKQEEENGEFSETQKIKQDSVQFDGEEKVVVSGKDAEEAKYAPMKSFADSKLPKNVLNCCKDFKSPSPIQAHAWPFLLNGRDFIGIAKTGSGKTLAFGVPAMMHVLDKRKRVKNKNPLCLVLSPTRELAEQIFNVMCNAGQSCDVKSVCLYGGTSKGPQISSLNSGVDIVIGTPGRLKDLMNMEVCQLKDVSFVVLDEADRMLDMGFEDDVRFILGRTCSARQMVMFSATWPAAVHRLAQEYMDPNPVKVVIGSEDLAANHDVMQIVEVLDDRSRYERLTALLKKYHESKRNRVLVFVLYKAEAGRIENMLQRSGWNVVSIHGGKAQHERTNALSLFKDGSSPLMVATDVAARGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGRKGVAHTFFTQQNK >EOY25262 pep chromosome:Theobroma_cacao_20110822:3:33746229:33750645:-1 gene:TCM_016633 transcript:EOY25262 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 3 MKSFADSKLPKNVLNCCKDFKSPSPIQAHAWPFLLNGRDFIGIAKTGSGKTLAFGVPAMMHVLDKRKRVKNKNPLCLVLSPTRELAEQIFNVMCNAGQSCDVKSVCLYGGTSKGPQISSLNSGVDIVIGTPGRLKDLMNMEVCQLKDVSFVVLDEADRMLDMGFEDDVRFILGRTCSARQMVMFSATWPAAVHRLAQEYMDPNPVKVVIGSEDLAANHDVMQIVEVLDDRSRYERLTALLKKYHESKRNRVLVFVLYKAEAGRIENMLQRSGWNVVSIHGGKAQHERTNALSLFKDGSSPLMVATDVAARGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGRKGVAHTFFTQQNKGLAGELVNVLKEAGQIVPPALLKFGTHVKKKESKLYGAHFKEIAANAPKAKKITFDNSDDEN >EOY21205 pep chromosome:Theobroma_cacao_20110822:3:4117636:4123400:1 gene:TCM_012593 transcript:EOY21205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 3 isoform 1 MLSLRDLWRRHKRKVLVTAGVLGSGYFLYKLYDAHRHRLADLERQLASERENDEFIKAQMQVHFENIQRIADTTTLPHAMHYLSCRIAEDLDLSHLTNRLMRGKGQPNTLSSSEKLQLWDRLKILSFTRMVVSIWAVTLLSLYIRVQVNILGRHLYIATARGLGSSNLLEDAELIDRDDQQKFLANADFLANHGLSTLISHMQTAATEVLKAKQLRDFFDTTVLQQIIMQILDMFMSMGSPHHWVDCLMPEDPRLYKFAMASSSDKTNPPDFTQFNQLMVETREVLSSAEFTSVVEISLKAVVNALVEEKGFQSGGSSLTLGMPLARLLPRISQICPSLLDEPSKNQFIQIIQSVPEVGLFFTLLYANMSTS >EOY21206 pep chromosome:Theobroma_cacao_20110822:3:4117487:4123351:1 gene:TCM_012593 transcript:EOY21206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 3 isoform 1 MLSLRDLWRRHKRKVLVTAGVLGSGYFLYKLYDAHRHRLADLERQLASERENDEFIKAQMQVHFENIQRIADTTTLPHAMHYLSCRIAEDLDLSHLTNRLMRGKGQPNTLSSSEKLQLWDRLKILSFTRMVVSIWAVTLLSLYIRVQVNILGRHLYIATARGLGSSNLLEDAELIDRDDQQKFLANADFLANHGLSTLISHMQTAATEVLKAKQLRDFFDTTVLQQIIMQILDMFMSMGSPHHWVDCLMPEDPRLYKFAMASSSDKTNPPDFTQFNQLMVETREVLSSAEFTSVVEISLKAVVNALVEEKGFQSGGSSLTLGMPLARLLPRISQICPSLLDEPSKNQFIQIIQSVPEVGLFFTLLYANMSTS >EOY21207 pep chromosome:Theobroma_cacao_20110822:3:4118180:4126759:1 gene:TCM_012593 transcript:EOY21207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 3 isoform 1 FSILLLLQLIANRLLHFFQYRDLWRRHKRKVLVTAGVLGSGYFLYKLYDAHRHRLADLERQLASERENDEFIKAQMQVHFENIQRIADTTTLPHAMHYLSCRIAEDLDLSHLTNRLMRGKGQPNTLSSSEKLQLWDRLKILSFTRMVVSIWAVTLLSLYIRVQVNILGRHLYIATARGLGSSNLLEDAELIDRDDQQKFLANADFLANHGLSTLISHMQTAATEVLKAKQLRDFFDTTVLQQIIMQILDMFMSMGSPHHWVDCLMPEDPRLYKFAMASSSDKTNPPDFTQFNQLMVETREVLSSAEFTSVVEISLKAVVNALVEEKGFQSGGSSLTLGMPLARLLPRISQICPSLLDEPSKNQFIQIIQSVPEVGLFFTLLYANMSTS >EOY21209 pep chromosome:Theobroma_cacao_20110822:3:4117494:4123140:1 gene:TCM_012593 transcript:EOY21209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 3 isoform 1 MLSLRDLWRRHKRKVLVTAGVLGSGYFLYKLYDAHRHRLADLERQLASERENDEFIKAQMQVHFENIQRIADTTTLPHAMHYLSCRIAEDLDLSHLTNRLMRGKGQPNTLSSSEKLQLWDRLKILSFTRMVVSIWAVTLLSLYIRVQVNILGRHLYIATARGLGSSNLLEDAELIDRDDQQKFLANADFLANHGLSTLISHMQTAATEVLKAKQLRDFFDTTVLQQIIMQILDMFMSMGSPHHWVDCLMPEDPRLYKFAMASSSDKTNPPDFTQFNQLMVETREVLSSGERPGGGE >EOY21210 pep chromosome:Theobroma_cacao_20110822:3:4118180:4123398:1 gene:TCM_012593 transcript:EOY21210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 3 isoform 1 FSILLLLQLIANRLLHFFQYRDLWRRHKRKVLVTAGVLGSGYFLYKLYDAHRHRLADLERQLASERENDEFIKAQMQVHFENIQRIADTTTLPHAMHYLSCRIAEDLDLSHLTNRLMRGKGQPNTLSSSEKLQLWDRLKILSFTRMVVSIWAVTLLSLYIRVQVNILGRHLYIATARGLGSSNLLEDAELIDRDDQQKFLANADFLANHGLSTLISHMQTAATEVLKAKQLRDFFDTTVLQQIIMQILDMFMSMGSPHHWVDCLMPEDPRLYKFAMASSSDKTNPPDFTQFNQLMVETREVLSSGERPGGGEGFSIWRKQSNIGDASS >EOY21208 pep chromosome:Theobroma_cacao_20110822:3:4118180:4126514:1 gene:TCM_012593 transcript:EOY21208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 3 isoform 1 FSILLLLQLIANRLLHFFQYRDLWRRHKRKVLVTAGVLGSGYFLYKLYDAHRHRLADLERQLASERENDEFIKAQMQVHFENIQRIADTTTLPHAMHYLSCRIAEDLDLSHLTNRLMRGKGQPNTLSSSEKLQLWDRLKILSFTRMVVSIWAVTLLSLYIRVQVNILGRHLYIATARGLGSSNLLEDAELIDRDDQQKFLANADFLANHGLSTLISHMQTAATEVLKAKQLRDFFDTTVLQQIIMQILDMFMSMGSPHHWVDCLMPEDPRLYKFAMASSSDKTNPPDFTQFNQLMVETREVLSSAEFTSVVEISLKAVVNALVEEKGFQSGGSSLTLGMPLARLLPRISQICPSLLDEPSKNQFIQIIQSVPEVGLFFTLLYANMSTS >EOY21498 pep chromosome:Theobroma_cacao_20110822:3:9430420:9432195:-1 gene:TCM_013118 transcript:EOY21498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCTNAIHEEERWIDELRIKKENVPKTAFRTRYGHYEFLVMSFELTNVLVAFMVIMNQVLKPCLDKEHRLYTKFFKCELWLDSVTFLGHIVLKEGMKVDLKKIKVVEKWLRPTLVIEIWSFLCLAEYYRKFVKDFSKIATPMAI >EOY23407 pep chromosome:Theobroma_cacao_20110822:3:27311623:27314140:-1 gene:TCM_015313 transcript:EOY23407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGAPPPAFTIRHPDYAISDNVTPFRDYDYFGALWDPVPFFSSKQTSEEWTTNELITFEQLEEYGAYYVGERSDMYAVSHFVYYVDGEYMPVFRKITGYDMFTYCRRRIMRRENRRGYATPRAFPKPVCLNPPANSQEQPKRQDTVINAFDFWDMVETGEVPIQRHANSTLAQIKQHSEEVAKRYKALICLQSAVLETIFEKIMNYKDPKQVWDSLKEEY >EOY23268 pep chromosome:Theobroma_cacao_20110822:3:26846725:26855601:1 gene:TCM_015220 transcript:EOY23268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial sec-independent translocation protein mttA/Hcf106, putative isoform 1 MTTIMVVASPISTSTFLCTSSVSSKSVSCSLSPLISYPRASSIRFSTLFQQLGSAYFSPWSGLKHLGISISPKSLKLEKRGRRKGRVVHASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLREFQPTIRELQEVSREFKSTLEREIGLDEMPSSTQTTLKRNSPYISNSIPTPSPVTNTEESGTKADPNGTPSVNKAYTSEEYLKVTEEQLKASASQQQDQTASPAESQLESQNQQEATAKETASAMPPSQKPESET >EOY23269 pep chromosome:Theobroma_cacao_20110822:3:26846700:26854949:1 gene:TCM_015220 transcript:EOY23269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial sec-independent translocation protein mttA/Hcf106, putative isoform 1 MTTIMVVASPISTSTFLCTSSVSSKSVSCSLSPLISYPRASSIRFSTLFQQLGSAYFSPWSGLKHLGISISPKSLKLEKRGRRKGRVVHASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLREFQPTIRELQEVSREFKSTLEREIGLDEMPSSTQTTLKRNSPYISNSIPTPSPVTNTEESGTKADPKTQ >EOY23270 pep chromosome:Theobroma_cacao_20110822:3:26846700:26854950:1 gene:TCM_015220 transcript:EOY23270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial sec-independent translocation protein mttA/Hcf106, putative isoform 1 MTTIMVVASPISTSTFLCTSSVSSKSVSCSLSPLISYPRASSIRFSTLFQQLGSAYFSPWSGLKHLGISISPKSLKLEKRGRRKGRVVHASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLREFQPTIRELQEVSREFKSTLEREIGLDEMPSSTQTTLKRNSPYITNCRWYSIREQGL >EOY22587 pep chromosome:Theobroma_cacao_20110822:3:24061745:24066010:1 gene:TCM_014716 transcript:EOY22587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transketolase family protein, putative MLVHYCLIGIGFFRRRRGNVGDHQAKCQQWSVALCLLFSFRCRGFVLHFTRLEITPPPQKRTTFSHSNTFMLAMLFQRTVREALNSALDEEMSADPRVFLIGEEVGEYQGAYKISKSLLEKYGPERVRDTPITEACFAGIGVGAAYYGLRPVVEFMTFNFSMQLSVPIVFRGPNGAAAGVAAQHSQCYASWYGTCPGLKPDPVVFLENELLQVSFPVSAEALNSSFCLPIGKAKIEREGKDVTITAFSKMVGYALKATDMLAKEGINAEVINLRSIRPLDRATINTSVRKTNRLVTEEEGFPQNGVGAEIWHFIVKHCTFCSASVVEESFKFLDAPVERIGAADVPMPYAANLERMAVPQVEDIVRAAKRTCYRSV >EOY22969 pep chromosome:Theobroma_cacao_20110822:3:25571460:25575483:-1 gene:TCM_014989 transcript:EOY22969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 18 isoform 1 MSLASPKGSPKMAAFPRSLSSIAASLAVLAMFLIFASWLLVSYPIGSTVRGYFYSVDRKIVLPASGFNQSAVNDVDFVDKNSSSGSNLKAPVLSSNSSFVVDNSGNARVSITSSVAKDSLPSESNVELPISSKDSLVDSKSKEMPEKPVELPEPSLRSAENEVGTSSSASSKASDINSVDSGCDLYHGNWFYDPQGPLYTNNSCPVLTQMQNCQGNGRPDKEYENWRWKPSKCDLPRFDAKKFLELMRGKTLAFIGDSVARNQMESMLCLLWQVEVPKNRGNRKMQRWHFRSTSVMIVRIWSSWLVHHSSEKFDFAPEGVSKLHLDAPDNSFMEFIPNFDVIVISSGHWFAKQSVYILKNEIVGGQLWWPDRSRPMKINNIDAFGISVETSLSAILTHPNYTGLTILRSFSPDHYEGGAWNTGGSCTGKVKPLATGELVENGFTNIMHKKQVMGFKRAVKKATNKSKLRLMDITEVFGYRHDGHPGPYRNPDPHKITKRGPDGKPPPQDCLHWCMPGPVDTWNELVLEIIRREFKGDQNFSSED >EOY22970 pep chromosome:Theobroma_cacao_20110822:3:25571538:25575332:-1 gene:TCM_014989 transcript:EOY22970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 18 isoform 1 MSLASPKGSPKMAAFPRSLSSIAASLAVLAMFLIFASWLLVSYPIGSTVRGYFYSVDRKIVLPASGFNQSAVNDVDFVDKNSSSGSNLKAPVLSSNSSFVVDNSGNARVSITSSVAKDSLPSESNVELPISSKDSLVDSKSKEMPEKPVELPEPSLRSAENEVGTSSSASSKASDINSVDSGCDLYHGNWFYDPQGPLYTNNSCPVLTQMQNCQGNGRPDKEYENWRWKPSKCDLPRFDAKKFLELMRGKTLAFIGDSVARNQMESMLCLLWQVEVPKNRGNRKMQRWHFRSTSVMIVRIWSSWLVHHSSEKFDFAPEGVSKLHLDAPDNSFMEFIPNFDVIVISSGHWFAKQSVYILKNEIVGGQLWWPDRSRPMKINNIDAFGISVETSLSAILTHPNYTGLTILRSFSPDHYEGGAWNTGGSCTGKVKPLATGELVENGFTNIMHKKQVMGFKRAVKKATNKSKLRLMDITEVFGYRHDGHPGPYRNPDPHKITKRGPDGKPPPQDCLHWCMPGPVDTWNELVLEIIRREFKGDQNFSSED >EOY22971 pep chromosome:Theobroma_cacao_20110822:3:25571815:25574471:-1 gene:TCM_014989 transcript:EOY22971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 18 isoform 1 MSLASPKGSPKMAAFPRSLSSIAASLAVLAMFLIFASWLLVSYPIGSTVRGYFYSVDRKIVLPASGFNQSAVNDVDFVDKNSSSGSNLKAPVLSSNSSFVVDNSGNARVSITSSVAKDSLPSESNVELPISSKDSLVDSKSKEMPEKPVELPEPSLRSAENEVGTSSSASSKASDINSVDSGCDLYHGNWFYDPQGPLYTNNSCPVLTQMQNCQGNGRPDKEYENWRWKPSKCDLPRFDAKKFLELMRGKTLAFIGDSVARNQMESMLCLLWQVEVPKNRGNRKMQRWHFRSTSVMIVRIWSSWLVHHSSEKFDFAPEGVSKLHLDAPDNSFMEFIPNFDVIVISSGHWFAKQSVYILKNEIVGGQLWWPDRSRPMKINNIDAFGISVETSLSAILTHPNYTGLTILRSFSPDHYEGGAWNTGGSCTGKVKPLATGELVENGFTNIMHKKQVMGFKRAVKKATNKSKLRLMDITEVFGYRHDGHPGPYRNPDPHKITKRGPDGKPPPQDCLHWCMPGPVDTWNELVLEIIRREFKGDQNFSSED >EOY25023 pep chromosome:Theobroma_cacao_20110822:3:32991885:32992965:-1 gene:TCM_016465 transcript:EOY25023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAFKLLFFFSCLFFLQPSTSHQRWLVADRFPFSGKFKVEILDYMWQYIWISDPSDCIAERGLQGFDLSGTPLELLYLQSFTILNYSSGLPSNAERGTAMLVAAAGNSICFCIIGRIIKRVTAIIVRIPTLKSLTHQQP >EOY24163 pep chromosome:Theobroma_cacao_20110822:3:29922901:29929037:1 gene:TCM_015839 transcript:EOY24163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Restriction endonuclease, type II-like superfamily protein MVLKFHEQIVSDLLQDPNGGLVILSSGLSLPKLLSSFLSFHSQSNGSLLLLHSPQFSSSLKSLLLSLSPNLPLSEITADLPSSNRLSLYSSNRVLLLSPRILIVDLLTQKAQTSLISGVIFLNTHSLSESSTESFIVRIIKTFNKNASVYAFSDKPHSMVSGFAKTERIMKSLFIKKLHLWPRFQVNVSEELERDPPEVVDIRVPMSKYMVGIQKAIVEVMDACLKEMRKTNKVDVEDLTFENGLFKSFDEIVRRQLDPIWHTLGKKTKQLVSDLKTLRKLLDYLVRYDAVSYLKYLDTLRVSESFRSVWIFAESSYKIFDYARKRVYCFSRSDGTKINKPSKNVSGKKRKLKEDGSINEGAIAGTSSTGTSNGVVLEEVLEEPPKWKVLREVLEEIEEERQKQASSEELLLDVGEDNNGIVLVVCKDERSCMQLEDCITNSPQKVMRDEWEKYLLSKVELRSVQTSHKKKPKKPKTPKGYGILDGIVPVTSAQNAEPSSACKQEHEALLAAASELRRNQTKMENDAADDPEPQVGSRGHGKGRGRGRIKKGPANTRCSRNKDGSHSTEAATDDRPEISVSENEGHGNEINPTIGNGLFRKHIDRIDDTKTDNSKQLPPVHFHALERDQPILDVLKPSVIIVYHPDTTFVREIEVYKAENPGKRLKVYFLFYEASTEVQKFEASIRRENGAFESLIRQKSMMMIPVDQDGFCLGSNSSSDLQGSSSQNSITRKAGGRKEAEKEKQVVVDMREFMSSLPNVLHQKGMRIIPVTLEVGDYVLSPLICVERKSIQDLFMSFTSGRLYHQVETMVRYYRIPVLLIEFSQDKSFSFQSASDIGDDVTPNNIISKLSLLVLHFPRLRILWSRSLHATAEIFASLKANQDEPDEAKAMRVGVPSEEGFIENDVRAENYNTSAVEFLRRLPGVTDSNYRAIMDGCKSLAELALLPMEKLAELMGGRKAAQTLRDFLDAKCPTLL >EOY21761 pep chromosome:Theobroma_cacao_20110822:3:18009195:18009841:1 gene:TCM_013868 transcript:EOY21761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNERERITGGIHSRRRKRTKCGRNFNRSERHRRRWNQREGDGEDQSIGRAIKSLQGGKDDGAEGRGDARLGRCLSALGYRLCIGIRLLTRWLLSPPPSCLTPSPFVSSFFFFFLSFPSRL >EOY24015 pep chromosome:Theobroma_cacao_20110822:3:29318126:29322439:-1 gene:TCM_015726 transcript:EOY24015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein isoform 1 MATSNVKQTLGNLNKDSFVSLLTKVIGESKFLQNNPPELIPEEDRVVKHVLDCLVPYSTTTGGGPLVVNHVTCFPGRGNLIVEYPGTEPGKILSFVGMHMDVVTANPNDWDFDPFSLSIDGDKLRGRGTTDCLGHVALVTELMRKLGERKPKLKSTVVAVFIANEENSAITGVGVDALVKDGLLDKLKGGPLFWIDTADKQPCIGTGGMIPWKLHVTGKLFHSGLAHKAINPLELGMEALKEIQLRFYKDFPPHPNEQVYGFATPSTMKPTQWSYPGGGINQIPGECTLSGDVRLLTPFYNVKDVMEKLQEYVDDINENIDELDTQGPVSKYILHDENLRGSLTLTFDEAMSGVACDLDSRGFHVLCKATEEAVGYVKPYSITGTLPLIRELQDEGFDVQTAGYGV >EOY24014 pep chromosome:Theobroma_cacao_20110822:3:29315190:29330398:-1 gene:TCM_015726 transcript:EOY24014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein isoform 1 MSACFPCTFFSNAKYKLYAVSEVENRLLKRKLSTFIRVYGQMATSNVKQTLGNLNKDSFVSLLTKVIGESKFLQNNPPELIPEEDRVVKHVLDCLVPYSTTTGGGPLVVNHVTCFPGRGNLIVEYPGTEPGKILSFVGMHMDVVTANPNDWDFDPFSLSIDGDKLRGRGTTDCLGHVALVTELMRKLGERKPKLKSTVVAVFIANEENSAITGVGVDALVKDGLLDKLKGGPLFWIDTADKQPCIGTGGMIPWKLHVTGKLFHSGLAHKAINPLELGMEALKEIQLRFYKDFPPHPNEQVYGFATPSTMKPTQWSYPGGGINQIPGECTLSGDVRLTPFYNVKDVMEKLQEYVDDINENIDELDTQGPVSKYILHDENLRGSLTLTFDEAMSGVACDLDSRGFHVLCKATEEAVGYVKPYSITGTLPLIRELQDEGFDVQTAGYGLMATYHAKNEYCLLSDMCQGYDVFTSIISQLEN >EOY24016 pep chromosome:Theobroma_cacao_20110822:3:29318095:29322264:-1 gene:TCM_015726 transcript:EOY24016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein isoform 1 PPELIPEEDRVVKHVLDCLVPYSTTTGGGPLVVNHVTCFPGRGNLIVEYPGTEPGKILSFVGMHMDVVTANPNDWDFDPFSLSIDGDKLRGRGTTDCLGHVALVTELMRKLGERKPKLKSTVVAVFIANEENSAITGVGVDALVKDGLLDKLKGGPLFWIDTADKQPCIGTGGMIPWKLHVTGKLFHSGLAHKAINPLELGMEALKEIQLRFYKDFPPHPNEQVYGFATPSTMKPTQWSYPGGGINQIPGECTLSGDVRLTPFYNVKDVMEKLQEYVDDINENIDELDTQGPVSKYILHDENLRGSLTLTFDEAMSGVACDLDSRGFHVLCKATEEAVGYVKPYSITGTLPLIRELQVRYISFSSSCSSDLLSI >EOY21491 pep chromosome:Theobroma_cacao_20110822:3:9399772:9405290:1 gene:TCM_013113 transcript:EOY21491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, putative MSPRTRATSRWMEEQNASTYMVNRPLASTLRGRGRRGRATRSVRVDTFVSRREEGQSSSDVDRQPTGGITIEDLAAGLQGVNRANHQHHEVEKGQLEISLPDFLKLEPPSFSRFNASEKPQIFLDMMEKICKALGCSSVRSLELAAFRLEDVAQECVRNARAREFETLLQTSSMIVSEYDIKFTQLVRYAPYPISTEEMKIQRFVDGLVEPLFRVVASQDFNTYSAVGSQVIHPCNTCGGQHRGRCLRATGVCFLCGQPGQIWRNCLMAHQSQGSARGSTQPTSFAPSVATSSNREASESRGISAGTFSQGRPSRFGHQSSVGKGQARVFALTPQEAQTSNIMVSSTLSVSNMDAQVLFDLGATHSFISQCFASCLGKYRARREEQLTVSTPLNEVFVAEWEYESCVVRVEDKNTLVNLVVLDTLDFDVILGMDWLASCHASVDCYHKLVKFDFPGEPSFNIQGDRSNFPTNLISIMSTRKLLRQGCLGYLAVVKDTQAKVGDISQVSVVNEFKDTYIHTPIRMAPAELKELKDQLEDLLDKGFIRPSVSPWGALVLFVKKKDGSLRLCIDYRQLNKVTVKNKYSLPRIDDLFDQLQGAQCFSKIDLQSGYHQLRIQNEAIPKTTFRTRYGHYEFLVMSFGLTNALAAFMDLMNWVFKPYLDKFVVVFIDDILIYSKRHVVSKDGVQVDPKKVKVVEKWPRQTSVSEIRSFLGLACYYRRFVKDFSKIVFPLTKLTCKDTKFEWSDACENSFEKLKACLTTAPVLSLPQGTRGYTVFCDALQIGLGCVLMQHGKVIEYASRQLKRHEQNYLAHDLEMAAIVFALKFWRHYLYGTDGVMRYGTRIYVPASDGLRREILEEAHMAAYVVHPSATKMYQDLKEVYWWEGLKKDVTEFVSKCLVCQQVKAEHQRPAGLLQLWDRYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWLKVGERKLLGPELVQDATKKIRMIRQRMLIAQSRQKSYVDNRRRDLEFQVGDHVFLKVSPTKGIMRFGKKGKLSPQYIGPFEILERVGAVAYRLALPPDLSNIHPVFHVSILRKYNSDPSHVIWYETIQLNNDLTYEKQPVAILDRQVKKLHSKEIALVKVLWRNHTSEEVTWEAEEEMRTKYPHLFNM >EOY20983 pep chromosome:Theobroma_cacao_20110822:3:2073773:2107691:-1 gene:TCM_012299 transcript:EOY20983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stomatal cytokinesis defective / SCD1 protein (SCD1) isoform 1 MARIFEYFVVCGLGPEIRTLDGTKGYHGTEYMYLPSLLDQYPPPNHSLYPPPPPQLPTCVLPAGVEFYSSGFDSNDPSTYPRSYPIVLTEGDGSKIYVSCIAFRDPVSEDIAEAYRIPTNSFADKCICLVSRSPCFRVLRDALEELFALCFSPIGSSKPLWDIIAYMVSKVPLPTPGKDRVLFAIDSCLLSVEAPPKDGLPHADISFQPLVQCLDVDNLIKFFTAVLLERRILLRSNKYSLLTLVSEAICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTSNLVMDGVVVVDLEFNQITTTEEIPPIPDPELSLLRGEILKLLYPNVVGIDQMKADLCGSSDQNFKISNKPWGEDHDLQLRFIFLKFFASILGGYRNFIENNATQAFNTQAFLKKRSRSTNQPPEPMIAQFLDSHGFLDYLERGIGSDENNNNLLDKLQDAIGRGQNPLLIISSTMVEPEIITISDPDVGVSGSGAKYSYDRFPSTVRTEEEEEKRKQILAAANGAFEYSGRQTPSSPSVSSLERAAERERMVLDIKVKLQGLWLRLLKLGATEDPLSSFEYGTILALIESDAEGIGGSGFVECIREHINSGWHGQLTEEQFIAVKELLKTAISRATSRNDVSTIRDALEVSAEMYKKDANNVPDYVQRHLISLSIWEELRFWEGYFDYLMEQSSNKSANYATMVTAQLIVLALHMAGLGLADNDGWYMIETIAERYNIGYKLLIKLRGLLSHIQQLRINYWGISSVKSQSLLPPGLSSPRPKDAADENQQPAEASGVGRSWVQSMFSRDTASRANSFSRVRKSTSDGGPSENGNPSKQDLSAAGQKKMQTNVRILRGHTGAVTALHCVTRREVWDLVGDREDAGFFISGSTDCSVKIWDPSLRGSELRTTLKGHTRTIRAISSDRGKVVSGSDDQSVIVWDKQTSQLLEELKGHDAQVSCVQMLSGERVLTSAHDGTVKMWDVRTDTCVATVGRCSSAVLCMEYDDSTGILAAAGRDAVANIWDIRAGRQMHKLLGHTKWIRSIRMDGDTMVTGSDDWTARVWSVSRGTCDAVLACHAGPLLCVEYSASDKGIITGSADGLLRFWENEEGGIKCVKNVTIHSAAILSINAGDHWLGIGAADNSMSLFHRPQERLGSFSNTGSKMSGWQLYRTPQKTSAVVRCVASDLERKRICSGGRNGILRLWEATINI >EOY20984 pep chromosome:Theobroma_cacao_20110822:3:2074142:2095374:-1 gene:TCM_012299 transcript:EOY20984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stomatal cytokinesis defective / SCD1 protein (SCD1) isoform 1 MARIFEYFVVCGLGPEIRTLDGTKGYHGTEYMYLPSLLDQYPPPNHSLYPPPPPQLPTCVLPAGVEFYSSGFDSNDPSTYPRSYPIVLTEGDGSKIYVSCIAFRDPVSEDIAEAYRIPTNSFADKCICLVSRSPCFRVLRDALEELFALCFSPIGSSKPLWDIIAYMVSKVPLPTPGKDRVLFAIDSCLLSVEAPPKDGLPHADISFQPLVQCLDVDNLIKFFTAVLLERRILLRSNKYSLLTLVSEAICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTSNLVMDGVVVVDLEFNQITTTEEIPPIPDPELSLLRGEILKLLYPNVVGIDQMKADLCGSSDQNFKISNKPWGEDHDLQLRFIFLKFFASILGGYRNFIENNATQAFNTQAFLKKRSRSTNQPPEPMIAQFLDSHGFLDYLERGIGSDENNNNLLDKLQDAIGRGQNPLLIISSTMVEPEIITISDPDVGVSGSGAKYSYDRFPSTVRTEEEEEKRKQILAAANGAFEYSGRQTPSSPSVSSLERAAERERMVLDIKVKLQGLWLRLLKLGATEDPLSSFEYGTILALIESDAEGIGGSGFVECIREHINSGWHGQLTEEQFIAVKELLKTAISRATSRNDVSTIRDALEVSAEMYKKDANNVPDYVQRHLISLSIWEELRFWEGYFDYLMEQSSNKSANYATMVTAQLIVLALHMAGLGLADNDGWYMIETIAERYNIGYKLLIKLRGLLSHIQQLRINYWGISSVKSQSLLPPGLSSPRPKDAADENQQPAEASGVGRSWVQSMFSRDTASRANSFSRVRKSTSDGGPSENGNPSKQDLSAAGQKKMQTNVRILRGHTGAVTALHCVTRREVWDLVGDREDAGFFISGSTDCSVKIWDPSLRGSELRTTLKGHTRTIRAISSDRGKVVSGSDDQSVIVWDKQTSQLLEELKGHDAQVSCVQMLSGERVLTSAHDGTVKMWDVRTDTCVATVGRCSSAVLCMEYDDSTGILAAAGRDAVANIWDIRAGRQMHKLLGHTKWIRVN >EOY21122 pep chromosome:Theobroma_cacao_20110822:3:3201588:3203677:1 gene:TCM_012470 transcript:EOY21122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pro-like protein MGQLSKILELISTDKGKKAARSSGTPEDVQQTETNTDPVYPPGFTPPPARNASIPMPSVGQYPFFGMPIGPPSTYAQQRPIGGASPSDPISVPDLDDPKEQEKLKCGSVESKDNPDTHQKFDLFEERLRMVEGMGMYCSMDAIELCLVPDVVIPPKFKVPDFEKYDGTKCPVTHITMYCRRMAAYAHDDKLLIHCFQDSLTGAAAKWYVQLDRNRIHTWKDLARAFVAQYKHVTDMAPDRLSLQNMEKKTIESFKEYAQRWRNVASQVQPPLTEKETTVIFVNTLRAPYYERLVGSATKNFADMVISGEMIETAIKQGKIE >EOY23308 pep chromosome:Theobroma_cacao_20110822:3:26987324:26987928:1 gene:TCM_015248 transcript:EOY23308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol dehydrogenase large subunit, putative MRRGESYGGGQSSLGYLFGSDEEPSKTLAPSTPIKAPWNDDNTTKKPPNNSSKKSSVTNNYHRAQGQNSGNFITDRPTTKVQSAPGGDSSLGYLFGHK >EOY21643 pep chromosome:Theobroma_cacao_20110822:3:15170530:15180440:-1 gene:TCM_013630 transcript:EOY21643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFVVAKKSLIGEDLVTCKPSNSLMHDGFKVKEKSFGRILKLHARSKPSQSMNGKLDIVLSGIMLNVNNGAYKLRNYLVKLDL >EOY22117 pep chromosome:Theobroma_cacao_20110822:3:21434903:21439120:1 gene:TCM_014305 transcript:EOY22117 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like extracellular glycosyl-phosphatidyl inositol-anchored protein family isoform 1 METVLSSASGSISKLCSFTIVLLFLFSCSTFTSTEAYDALDPTGNVTIKWDVISWTPDGYVAVVTIYNFQQYRHIQAPGWTLGWTWAKKEVIWSMMGAQTTEQGDCSKFKGNIPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVINSWVQDPANAASSFQVSVGAAGTTNKTVRVPRNFTLRAPGPGYTCGPAKIVRPTKFVTPDKRRITQAMSKMVEQSSNFSLSYLHLIAVTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVSCPTCACGCQNNITEPGSCVSERSPYLASAVSGPGKSSNSPLLQCTSHMCPVRVHWHVKLNYKEYWRVKITITNFNYRMNYTQWNLVVQHPNFDNLTQLFSFHYKSLTPYAGLNDTAMLWGVKFYNDLLSEAGPLGNVQSELLFQKDAATFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNSGFRPVISLCQLVMTILASIVFLWAYM >EOY22118 pep chromosome:Theobroma_cacao_20110822:3:21435024:21439129:1 gene:TCM_014305 transcript:EOY22118 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like extracellular glycosyl-phosphatidyl inositol-anchored protein family isoform 1 METVLSSASGSISKLCSFTIVLLFLFSCSTFTSTEAYDALDPTGNVTIKWDVISWTPDGYVAVVTIYNFQQYRHIQAPGWTLGWTWAKKEVIWSMMGAQTTEQGDCSKFKGNIPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVINSWVQDPANAASSFQVSVGAAGTTNKTVRVPRNFTLRAPGPGYTCGPAKIVRPTKFVTPDKRRITQAMMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVSCPTCACGCQNNITEPGSCVSERSPYLASAVSGPGKSSNSPLLQCTSHMCPVRVHWHVKLNYKEYWRVKITITNFNYRMNYTQWNLVVQHPNFDNLTQLFSFHYKSLTPYAGLNDTAMLWGVKFYNDLLSEAGPLGNVQSELLFQKDAATFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNSGFRPVISLCQLVMTILASIVFLWAYM >EOY22395 pep chromosome:Theobroma_cacao_20110822:3:23244269:23245639:1 gene:TCM_014572 transcript:EOY22395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGRVRASPSPSPLDSLETPPSKIIKDDSLSIYEATLMKLKLGSQRCLISPSTVTVTETETVTETTTDLSSEAMKVEATCTSGTGFKSCPDISSGEEVMMMETDCSSASVSNSSSDCQSTGNLSSQQQSTSVSILYLFSKFKGYQRVPTSTISHEAMMMENEGSARFSSSSVSNLE >EOY20894 pep chromosome:Theobroma_cacao_20110822:3:1696632:1700918:1 gene:TCM_012233 transcript:EOY20894 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEHYDRATION-INDUCED 19, putative isoform 1 MDVDLWPSKVHSSKHLSVVRAARLNSDECEDETEDGELDYESNCDEDGHYDDFDGDEDTRACFPCPFCYVEIEIHALCNHLQEEHCFNLKNSVCPLCAANLGKDVIGHFIVQHASSLKRRRKSQKSGCWPGTPATLSRELSLFIGSSTGDRDNAHESAPDLILSPFLCNAPVSDTKDILRDKCSDGETSVTSNLKRTEPPFLDKDHEQNTEERRQKAAFVLQLVMSTIF >EOY20895 pep chromosome:Theobroma_cacao_20110822:3:1696776:1701347:1 gene:TCM_012233 transcript:EOY20895 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEHYDRATION-INDUCED 19, putative isoform 1 MDVDLWPSKVHSSKHLSVVRAARLNSDECEDETEDGELDYESNCDEDGHYDDFDGDEDTRACFPCPFCYVEIEIHALCNHLQEEHCFNLKNSVCPLCAANLGKDVIGHFIVQHASSLKRRRKSQKSGCWPGTPATLSRELSLFIGSSTGDRDNAHESAPDLILSPFLCNAPVSDTKDILRDKCSDGETSVTSNLKRTEPPFLDKDHEQNTEERRQKAAFVLQLVMSTIF >EOY20902 pep chromosome:Theobroma_cacao_20110822:3:1696627:1700917:1 gene:TCM_012233 transcript:EOY20902 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEHYDRATION-INDUCED 19, putative isoform 1 MDVDLWPSKVHSSKHLSVVRAARLNSDGHYDDFDGDEDTRACFPCPFCYVEIEIHALCNHLQEEHCFNLKNSVCPLCAANLGKDVIGHFIVQHASSLKRRRKSQKSGCWPGTPATLSRELSLFIGSSTGDRDNAHESAPDLILSPFLCNAPVSDTKDILRDKCSDGETSVTSNLKRTEPPFLDKDHEQNTEERRQKAAFVLQLVMSTIF >EOY20896 pep chromosome:Theobroma_cacao_20110822:3:1696722:1700917:1 gene:TCM_012233 transcript:EOY20896 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEHYDRATION-INDUCED 19, putative isoform 1 MDVDLWPSKVHSSKHLSVVRAARLNSGTEFDYTDGHYDDFDGDEDTRACFPCPFCYVEIEIHALCNHLQEEHCFNLKNSVCPLCAANLGKDVIGHFIVQHASSLKRRRKSQKSGCWPGTPATLSRELSLFIGSSTGDRDNAHESAPDLILSPFLCNAPVSDTKDILRDKCSDGETSVTSNLKRTEPPFLDKDHEQNTEERRQKAAFVLQLVMSTIF >EOY20899 pep chromosome:Theobroma_cacao_20110822:3:1696722:1700917:1 gene:TCM_012233 transcript:EOY20899 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEHYDRATION-INDUCED 19, putative isoform 1 MDVDLWPSKVHSSKHLSVVRAARLNSGTEFDYTDGHYDDFDGDEDTRACFPCPFCYVEIEIHALCNHLQEEHCFNLKNSVCPLCAANLGKDVIGHFIVQHASSLKRRRKSQKSGCWPGTPATLSRELSLFIGSSTGDRDNAHESAPDLILSPFLCNAPVSDTKDILRDKCSDGETSVTSNLKRTEPPFLDKDHEQNTEERRQKAAFVLQLVMSTIF >EOY20901 pep chromosome:Theobroma_cacao_20110822:3:1696628:1700681:1 gene:TCM_012233 transcript:EOY20901 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEHYDRATION-INDUCED 19, putative isoform 1 MDVDLWPSKVHSSKHLSVVRAARLNSADGHYDDFDGDEDTRACFPCPFCYVEIEIHALCNHLQEEHCFNLKNSVCPLCAANLGKDVIGHFIVQHASSLKRRRKSQKSGCWPGTPATLSRELSLFIGSSTGDRDNAHESAPDLILSPFLCNAPVSDTKDILRDKCSDGETSVTSNLKRTEPPFLDKDHEQNTEERRQKAAFVLQLVMSTIF >EOY20900 pep chromosome:Theobroma_cacao_20110822:3:1696628:1700934:1 gene:TCM_012233 transcript:EOY20900 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEHYDRATION-INDUCED 19, putative isoform 1 MDVDLWPSKVHSSKHLSVVRAARLNSDGHYDDFDGDEDTRACFPCPFCYVEIEIHALCNHLQEEHCFNLKNSVCPLCAANLGKDVIGHFIVQHASSLKRRRKSQKSGCWPGTPATLSRELSLFIGSSTGDRDNAHESAPDLILSPFLCNAPVSDTKDILRDKCSDGETSVTSNLKRTEPPFLDKDHEQNTEERRQKAAFVLQLVMSTIF >EOY20897 pep chromosome:Theobroma_cacao_20110822:3:1696632:1700918:1 gene:TCM_012233 transcript:EOY20897 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEHYDRATION-INDUCED 19, putative isoform 1 MDVDLWPSKVHSSKHLSVVRAARLNSDECEDETEDGELDYESNCDEDGHYDDFDGDEDTRACFPCPFCYVEIEIHALCNHLQEEHCFNLKNSVCPLCAANLGKDVIGHFIVQHASSLKRRRKSQKSGCWPGTPATLSRELSLFIGSSTGDRDNAHESAPDLILSPFLCNAPVSDTKDILRDKCSDGETSVTSNLKRTEPPFLDKDHEQNTEERRQKAAFVLQLVMSTIF >EOY20898 pep chromosome:Theobroma_cacao_20110822:3:1696628:1700681:1 gene:TCM_012233 transcript:EOY20898 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEHYDRATION-INDUCED 19, putative isoform 1 MDVDLWPSKVHSSKHLSVVRAARLNSGTEFDYNGHYDDFDGDEDTRACFPCPFCYVEIEIHALCNHLQEEHCFNLKNSVCPLCAANLGKDVIGHFIVQHASSLKRRRKSQKSGCWPGTPATLSRELSLFIGSSTGDRDNAHESAPDLILSPFLCNAPVSDTKDILRDKCSDGETSVTSNLKRTEPPFLDKDHEQNTEERRQKAAFVLQLVMSTIF >EOY22298 pep chromosome:Theobroma_cacao_20110822:3:22882213:22885887:-1 gene:TCM_014514 transcript:EOY22298 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-isoaspartate O-methyltransferase MMNLSTVIAYGCRYCTPPIKQLLNYTTLLHLPHHHHLHLHLHLHLQRRSPASIFYSSFNNLKLPNFSLLLTGNSLFFRMERFWSGNGVNKNKQMVEHLQHYGVISSKKVAEVMETVDRAFFVPDGTPPYVDSPMAIGYNATISAPHMHATCLQLLEENLQPGMHALDVGSGTGYLTACFAIMVGRQGRAVGVEHIPELVASSIENIKKCAAAPLLKEGSLSVHTGDGRQGWPECAPYDAIHVGAAAPEIPQPLLDQLKPGGRMVIPVGNIFQDLKVVDKNLDGSISIRSETSVRYVPLTSRDAQLRGY >EOY25195 pep chromosome:Theobroma_cacao_20110822:3:33539231:33540905:1 gene:TCM_016590 transcript:EOY25195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLVIFQSPPEFVNPKTSKGYKTGSFQICRISARQGDITGETMVEIYGKLHPHFHKKECMVQKLELYNNDMLFSFQPLFPLLKRPLMGSTRAELLPGFTIRQTKLC >EOY21895 pep chromosome:Theobroma_cacao_20110822:3:19503665:19505506:-1 gene:TCM_014055 transcript:EOY21895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin II, putative MACSLNWGSYLSGFNGTVVASNSNRVAWFGTSLTSLESKDSTSKKFPLLSIDFVGKPLVVPDQKGSRNWSSKPGNRFSVQARTACVSRAMRWWEKNLKPNMVKIHSADELVDSLQNAGDRLVIIDFYSPGCGGCKALHPKICQLAEQNPNAIFLEVNYEDLNNMCQCLDIHVLPFFRFYRGAKGRVCSFSCTNATIKKFKDALAKHGADRSSLGPAKGLDESELMTLASAGELSTNFELPSTKEKRLEDLLMRRVELSGIMKNADNSKMELKEEAALL >EOY21881 pep chromosome:Theobroma_cacao_20110822:3:19373723:19380440:-1 gene:TCM_014036 transcript:EOY21881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disulfide bond formation protein B 2, putative MREYIPELMPLIVEALLDGAAVTKREVAVATLRQVVQSTGKSAMESTLFRMIVVLLGLYHIVCLNAVPITRIGSLTHGAQVHQVPENTHLVAAEKSSEAQIIKGRMVVELNDYPGSGANNRHTPRPQFGR >EOY21557 pep chromosome:Theobroma_cacao_20110822:3:12212880:12219302:-1 gene:TCM_013360 transcript:EOY21557 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit B2 isoform 1 KVKIYPPKSAAKVQNPPPFAKIPFPRQSEKSVRKNKTVKPETKKKKRGGKMSGQTRKMIQKKLKIRGYSLKMDGLEEILSFVDRFQDAQDEAIDLLLDQLDHESLKSSIIDKGAVHRVISLLLEAEAAEEECPTSSTSLSHSSIRVVDAFLVPKFRYDPIKKHFFQHAGSLPIHGDASAKPALYRDRFLLLFQRVSRDQHFIKPAFDTDETSQSCQLSPIQSLVGQRGKRWVMGVISQLEDGHFYLEDLTAAVEIDFSKAKITTGFFMENTVIVAEGEMLSEGIFQVITCGFPPLEDRDKSFKILAGHDFFGGGTLTKEETLRLADLEKRAVNDMFVILSDIWLDNEEVMEKLETVLNGFESVEIVPSLFVLMGNFCSHPCNLSFHSFSSLRLQFGKLGRMIEAHPRLKEQSRFLFIPGPDDAGPSTALPRCALPKYLTEELQKHVPNAIFSTNPIKFYTQEIV >EOY21556 pep chromosome:Theobroma_cacao_20110822:3:12210167:12219302:-1 gene:TCM_013360 transcript:EOY21556 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit B2 isoform 1 MSGQTRKMIQKKLKIRGYSLKMDGLEEILSFVDRFQDAQDEAIDLLLDQLDHESLKSSIIDKGAVHRVISLLLEAEAAEEECPTSSTSLSHSSIRVVDAFLVPKFRYDPIKKHFFQHAGSLPIHGDASAKPALYRDRFLLLFQRVSRDQHFIKPAFDTDETSQSCQLSPIQSLVGQRGKRWVMGVISQLEDGHFYLEDLTAAVEIDFSKAKITTGFFMENTVIVAEGEMLSEGIFQVITCGFPPLEDRDKSFKILAGHDFFGGGTLTKEETLRLADLEKRAVNDMFVILSDIWLDNEEVMEKLETVLNGFESVEIVPSLFVLMGNFCSHPCNLSFHSFSSLRLQFGKLGRMIEAHPRLKEQSRFLFIPGPDDAGPSTALPRCALPKYLTEELQKHVPNAIFSTNPCRIKFYTQEIVFFRQDLLYRMRRSCLIPPSTEETDDPFEHLVATITHQSHLCPLPLFVQPIIWNYDHCLHLYPTPHTIILGDRSEQKAFKYTGITCFNPGSFSDDSTFVAYRPCTQEVLQTPLNSFFISLVFSSASLLPLTRVRSCCVLCDYPFISTISNRLDSLYSLSRTQSFSKRKKIVLPELLEKKVY >EOY25172 pep chromosome:Theobroma_cacao_20110822:3:33476143:33477387:1 gene:TCM_016573 transcript:EOY25172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLKLVRLAFVVTVLIISSGTPFSKVPAVEGRICRSNADCPPCANGPSVCDLGICFCLRGGLAPPALILSKFP >EOY23343 pep chromosome:Theobroma_cacao_20110822:3:27056022:27060407:-1 gene:TCM_015265 transcript:EOY23343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 5 MMMMRIESALAVRFPAGANFCSSSALHHYRPTCSSDEVTCCHVTSRRLFRRGGFDLTWDRFRRINSGSLLRRTLIKNKIRATAEHLGSASDPTKQNRRPHYHPFEDIGEATSKNSNDAILSAAETTRTIIKVNSKATLMFTGIINDEVHENIMWPDLPYVTDEHGNVYFQVKSDEDIMQSLTLENNFVQVIIGFDTTEIMKEIELSGPSDIDFGIEEIEDEDSDVEDVDEDEDDHAEEEDYDEEWVAALEHEDDQDDSDETLGDWAKLETMRSSHPMYFAKKLTEVASDDPIDWMEQPSDGLAIQGLIRPAFVEEHSEIQKHMSSNQSRSSDTSQVEKVVEDKLEDLGIINGQSNELGWSGDSSTISEEPEKKEISINGSSFYKLEIVKIQLITAHGHQTVVELEDFKQAQPDAIAQSAAKIISCLKAGGEKTTQALKSLCWRCKSIQVEATSEYSAER >EOY23340 pep chromosome:Theobroma_cacao_20110822:3:27055612:27060439:-1 gene:TCM_015265 transcript:EOY23340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 5 MMMMRIESALAVRFPAGANFCSSSALHHYRPTCSSDEVTCCHVTSRRLFRRGGFDLTWDRFRRINSGSLLRRTLIKNKIRATAEHLGSASDPTKQNRRPHYHPFEDIGEATSKNSNDAILSAAETTRTIIKVNSKATLMFTGIINDEVHENIMWPDLPYVTDEHGNVYFQVKSDEDIMQSLTLENNFVQVIIGFDTTEIMKEIELSGPSDIDFGIEEIEDEDSDVEDVDEDEDDHAEEEDYDEEWVAALEHEDDQDDSDETLGDWAKLETMRSSHPMYFAKKLTEVASDDPIDWMEQPSDGLAIQGLIRPAFVEEHSEIQKHMSSNQSRSSDTSQVEKVVEDKLEDLGIINGQSNELGWSGDSSTISEEPEKKEISINGSSFYKLEIVKIQLITAHGHQTVVELEDFKQAQPDAIAQSAAKIISCLKAGGEKTTQALKSLCWRCKSIQVEEVAIIGIDSLGFDLRVCCGPQIQTLRFAFNTRATSEYSAERQLNDLLFPRSHQKPQKRTQAHQNEC >EOY23344 pep chromosome:Theobroma_cacao_20110822:3:27055593:27060388:-1 gene:TCM_015265 transcript:EOY23344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 5 MMMMRIESALAVRFPAGANFCSSSALHHYRPTCSSDEVTCCHVTSRRLFRRGGFDLTWDRFRRINSGSLLRRTLIKNKIRATAEHLGSASDPTKQNRRPHYHPFEDIGEATSKNSNDAILSAAETTRTIIKVNSKATLMFTGIINDEVHENIMWPDLPYVTDEHGNVYFQVKSDEDIMQSLTLENNFVQVIIGFDTTEIMKEIELSGPSDIDFGIEEIEDEDSDVEDVDEDEDDHAEEEDYDEEWVAALEHEDDQDDSDETLGDWAKLETMRSSHPMYFAKKLTEVASDDPIDWMEQPSDGLAIQGLIRPAFVEEHSEIQKHMSSNQSRSSDTSQVEKVVEDKLEDLGIINGQSNELGWSGDSSTISEEPEKKEISINGSSFYKLEIVKIQLITAHGHQGHFRV >EOY23342 pep chromosome:Theobroma_cacao_20110822:3:27056609:27060275:-1 gene:TCM_015265 transcript:EOY23342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 5 MMMMRIESALAVRFPAGANFCSSSALHHYRPTCSSDEVTCCHVTSRRLFRRGGFDLTWDRFRRINSGSLLRRTLIKNKIRATAEHLGSASDPTKQNRRPHYHPFEDIGEATSKNSNDAILSAAETTRTIIKVNSKATLMFTGIINDEVHENIMWPDLPYVTDEHGNVYFQVKSDEDIMQSLTLENNFVQVIIGFDTTEIMKEIELSGPSDIDFGIEEIEDEDSDVEDVDEDEDDHAEEEDYDEEWVAALEHEDDQDDSDETLGDWAKLETMRSSHPMYFAKKLTEVASDDPIDWMEQPSDGLAIQGLIRPAFVEEHSEIQKHMSSNQSRSSDTSQVEKVVEDKLEDLGIINGQSNELGWSGDSSTISEEPEKKEISINGSSFYKLEIVKIQLITAHGHQTVVELEDFKQAQPDAIAQSAAKIISCLKAGGEKTTQALKSLCWRCKSIQVEVKNKKVKVMKSIGCMKVCYLDVELQPFYLTMLC >EOY23341 pep chromosome:Theobroma_cacao_20110822:3:27056026:27060387:-1 gene:TCM_015265 transcript:EOY23341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative isoform 5 MMMMRIESALAVRFPAGANFCSSSALHHYRPTCSSDEVTCCHVTSRRLFRRGGFDLTWDRFRRINSGSLLRRTLIKNKIRATAEHLGSASDPTKQNRRPHYHPFEDIGEATSKNSNDAILSAAETTRTIIKVNSKATLMFTGIINDEVHENIMWPDLPYVTDEHGNVYFQVKSDEDIMQSLTLENNFVQVIIGFDTTEIMKEIELSGPSDIDFGIEEIEDEDSDVEDVDEDEDDHAEEEDYDEEWVAALEHEDDQDDSDETLGDWAKLETMRSSHPMYFAKKLTEVASDDPIDWMEQPSDGLAIQGLIRPAFVEEHSEIQKHMSSNQSRSSDTSQVEKVVEDKLEDLGIINGQSNELGWSGDSSTISEEPEKKEISINGSSFYKLEIVKIQLITAHGHQLLN >EOY24237 pep chromosome:Theobroma_cacao_20110822:3:30194037:30202100:-1 gene:TCM_015900 transcript:EOY24237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin F-box isoform 1 MISRHKKERKRKKNWSSQSFRLKTTSVEQKRWGKQDLVSNQIFNGHKTLEFYFNIVLGQLKSIPNLNRKSLKSMENAEVDWEKLPTLCLFLVLDKLGVPSNLVRFGVVCKYWYSVFNNFLNSKRRSSPNLIPMLLIPTRMSNRVRQLCSLQAKTKIYNIELPKSHIKRSCGSTYGWLAAVDKNMVITLLNPFKDGVTIDLPEIEVPNPGYPYEYNEYDVHKVILSADPLSYPDSYVVVVIYSMRSKLAFYKSEQKSWIYLDKDLEAFTDVIFYKNLVYAIGTRSLIISFDVNHSLDNNLKPKVKILMSMRQGLEDYVDHAYLVESSRGNLFSIKKEIDVEEYHCCAHFTKSFKVFKLILDDQSGELLEEKEVKNIDGDVVFVGDNQTLTVSALDFPEAQPNSIYFTDDYFIYTTYKPFGPRDNAAKPNNLLPPVWPSSISATIPISQSQFFLQGPNNPNPTKFSSPTKPKYFFFQNPDNFFPQDPNIQLQQVLNRTDSSHRQPQNQIDTTASDQVPKGVRERTDQAVKQA >EOY24236 pep chromosome:Theobroma_cacao_20110822:3:30194039:30202100:-1 gene:TCM_015900 transcript:EOY24236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin F-box isoform 1 MISRHKKERKRKKNWSSQSFRLKTTSVEQKRWGKQDLVSNQIFNGHKTLEFYFNIVLGQLKSIPNLNRKSLKSMENAEVDWEKLPTLCLFLVLDKLGVPSNLVRFGVVCKYWYSVFNNFLNSKRRSSPNLIPMLLIPTRMSNRVRQLCSLQAKTKIYNIELPKSHIKRSCGSTYGWLAAVDKNMVITLLNPFKDGVTIDLPEIEVPNPGYPYEYNEYDVHKVILSADPLSYPDSYVVVVIYSMRSKLAFYKSEQKSWIYLDKDLEAFTDVIFYKNLVYAIGTRSLIISFDVNHSLDNNLKPKVKILMSMRQGLEDYVDHAYLVESSRGNLFSIKKEIDVEEYHCCAHFTKSFKVFKLILDDQSGELLEEKEVKNIDGDVVFVGDNQTLTVSALDFPEAQPNSIYFTDDYFIYTTYKPFGPRDNAAKPNNLLPPVWPSSISATIPISQSQFFLQGPNNPNPTKFSSPTKPKYFFFQNPDNFFPQDPNIQLQQVLNRTDSSHRQPQNQIDTTASDQVPKGVRERTDQAVKQA >EOY24235 pep chromosome:Theobroma_cacao_20110822:3:30197257:30201603:-1 gene:TCM_015900 transcript:EOY24235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin F-box isoform 1 MISRHKKERKRKKNWSSQSFRLKTTSVEQKRWGKQDLVSNQIFNGHKTLEFYFNIVLGQLKSIPNLNRKSLKSMENAEVDWEKLPTLCLFLVLDKLGVPSNLVRFGVVCKYWYSVFNNFLNSKRRSSPNLIPMLLIPTRMSNRVRQLCSLQAKTKIYNIELPKSHIKRSCGSTYGWLAAVDKNMVITLLNPFKDGVTIDLPEIEVPNPGYPYEYNEYDVHKVILSADPLSYPDSYVVVVIYSMRSKLAFYKSEQKSWIYLDKDLEAFTDVIFYKNLVYAIGTRSLIISFDVNHSLDNNLKPKVKILMSMRQGLEDYVDHAYLVESSRGNLFSIKKEIDVEEYHCCAHFTKSFKVFKLILDDQSGELLEEKEVKNIDGDVVFVGDNQTLTVSALDFPEAQPNSIYFTDDYFIYTTYKPFGPRDNAAKPNNLLPPVWPSSISATIPISQSQFFLQGPNNPNPTKFSSPTKPKYFFFQNPDNFFPQDPNIQLQQVLNRTDSSHRQPQNQIDTTASDQVPKGVRERTDQAVKQA >EOY21235 pep chromosome:Theobroma_cacao_20110822:3:4431301:4432855:1 gene:TCM_012631 transcript:EOY21235 gene_biotype:protein_coding transcript_biotype:protein_coding description:R2R3 MYB C2 repressor 2 protein MPIPSLAFSPDFGPLLSSDLICYLHWGFSLFFLFPMRKPCCEKKETNKGAWSKLEDQKLIDYIQKHGEGCWRYLPQAAGLLRCGKSCRLRWVNYLRPDLKRGNFGEDEEELIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNTHIKRKLLQKGIDPNNRKLVLSSISIAKSKMSNESNSSTVDSGSDDSNQDMKFASGSESNTMSSLPDLNLDLTIAPPPPLPLMEERQLINLDSS >EOY25105 pep chromosome:Theobroma_cacao_20110822:3:33258756:33262105:1 gene:TCM_016520 transcript:EOY25105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fiber protein Fb17 MEDFSAFAAFQADDSERTPDQRGSKRPLTEAQRIAKNERDRERRQEHKVEFERLQSVEFQFNQIAPQFKDLRTQLGIQTNIISKLKQENQRLKNRDLLVHGNQLQPVALIGGEINQMVWNTATVRPQAHLQLMPDLSISAAVQTQVIPAIPAESVIDKETVTNQFTMNVPFLFVASKTEEVTCIRLRGKCSASPEGDLLQYLFEWIPHQTNQESWENLGWSPSDVGLIQNMALSSHTSSSCGAEPCFPIKLLLWNSVGTLNTYGQMVVMDLINRFRPAVLVIAETKLSRARAKEIIDTFHFDAHRVTPNLGFVGGMWLLWRSDVVEVEVLSFTEQEIHALIKEPQTNQNPSPWEIFRDVNFDSLEEAQSFLVPSPTAQVANSEPVTEAQGSDPQTGNTKYADQLVEDFTKKLDAMDQSKVDFSDFEGLKEELDASGIGNLPPSLAPIDERIKMVYGDITADSRQSPCIVRPSYILLCSVIKEMDELTLDQVDEKKMLFWGDAINSGLRIGLRGSFAIDHLKNIARAYYGLKAWNEQGHDQKDLESIQCRMSELQIELRSLEEKHAKKLEERESEVRRQCIHVAEQFWGKPLSTYLFSQLFPGCNSAF >EOY21466 pep chromosome:Theobroma_cacao_20110822:3:8535260:8541315:1 gene:TCM_013031 transcript:EOY21466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSTNVVSFSILYCVKVASPPIKARELHPRTNSLSISRIDTLDIEVKKAIFNIEKDSEVGLDGFSSLFYQHYWAIVAKDLLEAVKEFFNGATFLKGVTSMTFREDLAYWTLTSNGDFST >EOY21666 pep chromosome:Theobroma_cacao_20110822:3:16221517:16222911:-1 gene:TCM_013708 transcript:EOY21666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFELEKMIWRDGGLFPLVILAYSALMPSVEITPNDVDVRRFLLSMTMILNSLLGHAHDLFLLLKALSCK >EOY22805 pep chromosome:Theobroma_cacao_20110822:3:24860488:24866618:-1 gene:TCM_014869 transcript:EOY22805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein isoform 2 MEINGGVCNSGDKSQREAEVEGEASSLSSNVLLNHTGEVTLTLNSDGLSWEALDSSDNCGSTCLGIEFVPKITTKTTTELQFSDIYAVELIHGGMIQESISTSARECFFGTDSHDSEMNHFIVHSFQKSMSQPCLWVLAVYTFGHKDLQTCQMWMNKINDSLNKEFGRPKNLLVFVHPMSGKANGLRTWETVAPIFSRAKINTKVVVTQRAGHAFDVMTSTTNEELNSYDGAVAVGGDGFFNEILNGYLRSRHKAPFPPAPSDFLDALGNDGSSLVHDPAGAGSGTHNEESYPLLPSLAHNELGFSHLGTNNSSRSIDQEIEYPLPNQRFRFGIIPAGSTDAIVICTTGARDPITSALHIVLGKRVCLDVAQVVRWKTTSSSKVEPCVRYAASFAGYGFYGDVIAESEKYRWMGPKRYDYAGTKVFLKHRSYEAEVAYLEVESDKNNSIPDASRMFDKVRSLKRKKSERIICRVNCNVCNTKPVYSSARSSPATPYMRPQETRWLKSKGQFLSVGAAIMSNRNERAPDGLVADAHLSDGFLHLLLIKDCPHALYLCFSSLKELLLSDES >EOY22807 pep chromosome:Theobroma_cacao_20110822:3:24859860:24866811:-1 gene:TCM_014869 transcript:EOY22807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein isoform 2 MEINGGVCNSGDKSQREAEVEGEASSLSSNVLLNHTGEVTLTLNSDGLSWEALDSSDNCGSTCLGIEFVPKITTKTTTELQFSDIYAVELIHGGMIQESISTSARECFFGTDSHDSEMNHFIVHSFQKSMSQPCLWVLAVYTFGHKDLQTCQMWMNKINDSLNKEFGRPKNLLVFVHPMSGKANGLRTWETVAPIFSRAKINTKVVVTQRAGHAFDVMTSTTNEELNSYDGAVAVGGDGFFNEILNGYLRSRHKAPFPPAPSDFLDALGNDGSSLVHDPAGAGSGTHNEESYPLLPSLAHNELGFSHLGYGFYGDVIAESEKYRWMGPKRYDYAGTKVFLKHRSYEAEVAYLEVESDKNNSIPDASRMFDKVRSLKRKKSERIICRVNCNVCNTKPVYSSARSSPATPYMRPQETRWLKSKGQFLSVGAAIMSNRNERAPDGLVADAHLSDGFLHLLLIKDCPHALYLWHLTQLARKGGNPLNFDFVEHHKLLHSRPSARRVSGIWMVSFLKHTNFQRKCFVAWFAYLHLVLRYRRRRKDGLIKAVK >EOY22806 pep chromosome:Theobroma_cacao_20110822:3:24860189:24866618:-1 gene:TCM_014869 transcript:EOY22806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein isoform 2 MEINGGVCNSGDKSQREAEVEGEASSLSSNVLLNHTGEVTLTLNSDGLSWEALDSSDNCGSTCLGIEFVPKITTKTTTELQFSDIYAVELIHGGMIQESISTSARECFFGTDSHDSEMNHFIVHSFQKSMSQPCLWVLAVYTFGHKDLQTCQMWMNKINDSLNKEFGRPKNLLVFVHPMSGKANGLRTWETVAPIFSRAKINTKVVVTQRAGHAFDVMTSTTNEELNSYDGAVAVGGDGFFNEILNGYLRSRHKAPFPPAPSDFLDALGNDGSSLVHDPAGAGSGTHNEESYPLLPSLAHNELGFSHLGYGFYGDVIAESEKYRWMGPKRYDYAGTKVFLKHRSYEAEVAYLEVESDKNNSIPDASRMFDKVRSLKRKKSERIICRVNCNVCNTKPVYSSARSSPATPYMRPQETRWLKSKGQFLSVGAAIMSNRNERAPDGLVADAHLSDGFLHLLLIKDCPHALYLWHLTQLARKGGNPLNFDFVEHHKTPAFTFTSFGKESVWNLDGELFEAHKLSAQVFRGLVCLFASGPEV >EOY22804 pep chromosome:Theobroma_cacao_20110822:3:24859571:24866902:-1 gene:TCM_014869 transcript:EOY22804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein isoform 2 MEINGGVCNSGDKSQREAEVEGEASSLSSNVLLNHTGEVTLTLNSDGLSWEALDSSDNCGSTCLGIEFVPKITTKTTTELQFSDIYAVELIHGGMIQESISTSARECFFGTDSHDSEMNHFIVHSFQKSMSQPCLWVLAVYTFGHKDLQTCQMWMNKINDSLNKEFGRPKNLLVFVHPMSGKANGLRTWETVAPIFSRAKINTKVVVTQRAGHAFDVMTSTTNEELNSYDGAVAVGGDGFFNEILNGYLRSRHKAPFPPAPSDFLDALGNDGSSLVHDPAGAGSGTHNEESYPLLPSLAHNELGFSHLGTNNSSRSIDQEIEYPLPNQRFRFGIIPAGSTDAIVICTTGARDPITSALHIVLGKRVCLDVAQVVRWKTTSSSKVEPCVRYAASFAGYGFYGDVIAESEKYRWMGPKRYDYAGTKVFLKHRSYEAEVAYLEVESDKNNSIPDASRMFDKVRSLKRKKSERIICRVNCNVCNTKPVYSSARSSPATPYMRPQETRWLKSKGQFLSVGAAIMSNRNERAPDGLVADAHLSDGFLHLLLIKDCPHALYLWHLTQLARKGGNPLNFDFVEHHKTPAFTFTSFGKESVWNLDGELFEAHKLSAQVFRGLVCLFASGPEV >EOY22808 pep chromosome:Theobroma_cacao_20110822:3:24860446:24866811:-1 gene:TCM_014869 transcript:EOY22808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein isoform 2 MEINGGVCNSGDKSQREAEVEGEASSLSSNVLLNHTGEVTLTLNSDGLSWEALDSSDNCGSTCLGIEFVPKITTKTTTELQFSDIYAVELIHGGMIQESISTSARECFFGTDSHDSEMNHFIVHSFQKSMSQPCLWVLAVYTFGHKDLQTCQMWMNKINDSLNKEFGRPKNLLVFVHPMSGKANGLRTWETVAPIFSRAKINTKVVVTQRAGHAFDVMTSTTNEELNSYDGAVAVGGDGFFNEILNGYLRSRHKAPFPPAPSDFLDALGNDGSSLVHDPAGAGSGTHNEESYPLLPSLAHNELGFSHLGYGFYGDVIAESEKYRWMGPKRYDYAGTKVFLKHRSYEAEVAYLEVESDKNNSIPDASRMFDKVRSLKRKKSERIICRVNCNVCNTKPVYSSARSSPATPYMRPQETRWLKSKGQFLSVGAAIMSNRNERAPDGLVADAHLSDGFLHLLLIKDCPHALYLCFSSLKELLLSDES >EOY22809 pep chromosome:Theobroma_cacao_20110822:3:24860649:24866618:-1 gene:TCM_014869 transcript:EOY22809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein isoform 2 MEINGGVCNSGDKSQREAEVEGEASSLSSNVLLNHTGEVTLTLNSDGLSWEALDSSDNCGSTCLGIEFVPKITTKTTTELQFSDIYAVELIHGGMIQESISTSARECFFGTDSHDSEMNHFIVHSFQKSMSQPCLWVLAVYTFGHKDLQTCQMWMNKINDSLNKEFGRPKNLLVFVHPMSGKANGLRTWETVAPIFSRAKINTKVVVTQRAGHAFDVMTSTTNEELNSYDGAVAVGGDGFFNEILNGYLRSRHKAPFPPAPSDFLDALGNDGSSLVHDPAGAGSGTHNEESYPLLPSLAHNELGFSHLGYGFYGDVIAESEKYRWMGPKRYDYAGTKVFLKHRSYEAEVAYLEVESDKNNSIPDASRMFDKVRSLKRKKSERIICRVNCNVCNTKPVYSSARSSPATPYMRPQETRWLKSKGQFLSVGAAIMSNRNERAPDGLVADAHLSDGFLHLLLIKDCPHALYLW >EOY23126 pep chromosome:Theobroma_cacao_20110822:3:26288488:26292872:1 gene:TCM_015115 transcript:EOY23126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein MLVFFTYTSNLLKPRTPTPLRPLPSILFYNFTSQKLMSQSTSIPKKQQRVRDHGYDNYMEVEKKTRKVLKFQSLILSQPNQTLPISRFDSLARRLGLGFKQNEAGAYLLKFPHVFEIYEHPVQRILYCRLTRKALLQIDRKKEALNAQIPDAVTRLRKLLMMSNTGRLRLEHVRIARKEFGLPDDFEYSVILNHPQFFRLFDATETRNKYIEIVERDPKLAVCAIGTVREREYREKGFDAEDIRFSFIVNFPPGFKIGKYYRIAVWKWQRVPYWSPYEDVSGYDLRSIEAQKRMEKRAVATIHELLSLTVEKKITLERIAHFRMAMNLPKKLKDFLLQHQGIFYVSTRGNYGKLHTVFLREAYRKGELIEPNDLYLARRKLGELVLISPRKAKVDKELVSFKRDREGDEVERVRRDYVENDFEDFGVEGKVGQDGEGKDGSDSDLVSDIECHYTDEDEDFDETMDQKKDACGTE >EOY25239 pep chromosome:Theobroma_cacao_20110822:3:33654981:33659282:1 gene:TCM_016614 transcript:EOY25239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extra-large GTP-binding protein 3 isoform 1 MEQKEGESWKELVRKMLPPGASLPEDSSELDYSIAMEYKGPPVAYEVPRVEPLDVNSRAIPTAEPLSESQRSVANAGPPVIEPIPLPVSYIAGVTSPPTQSPRVSASSESVVSVLQNPDFSSASPSASPGSVRNPQSNPPKQVNEVKRVPVVTFNTVERSERKEVDLEKPVFPEYVGVSKEKKKKRRVCYRCGKRKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRYRLGKHSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGFPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLMPDASNGNTEVYINGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFVSTLFSLPVLHGQPQGPREEASNYTTVPNYLEQKKIQKLLLLGLQGSGTSTIFKQAKFLYGNGFSADELQDIKLMIQSNMYRYLSILLDGRERFEEEAMCQIRELGSDNQNCEANGEVDFGETNQCVYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEELWKDPAIQQTYKRKDELHFLPDVAEYFLSRAVEVSSNEYEPSDRDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTDNLEAPSQPLTKYQLIRVNAKGMNEGCKWVEMFEDVRVVVFCVALSDYDQMWIAPESSGSGALLQNKMMQTKELFETMIRHPCFKETPFVLILNKYDLFEDKVNRVPLSTCEWFNDFSPVRPLHNHQSLAQQAYFYIAVKFKDLYASLTGQKLFVWQARARDHRVTIDEAFKYIREVLKWEEEKDENYYGGEDSFYSTDISSSPFVRQE >EOY25238 pep chromosome:Theobroma_cacao_20110822:3:33653615:33660423:1 gene:TCM_016614 transcript:EOY25238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extra-large GTP-binding protein 3 isoform 1 MEQKEGESWKELVRKMLPPGASLPEDSSELDYSIAMEYKGPPVAYEVPRVEPLDVNSRAIPTAEPLSESQRSVANAGPPVIEPIPLPVSYIAGVTSPPTQSPRVSASSESVVSVLQNPDFSSASPSASPGSVRNPQSNPPKQVNEVKRVPVVTFNTVERSERKEVDLEKPVFPEYVGVSKEKKKKRRVCYRCGKRKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRYRLGKHSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGFPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLMPDASNGNTEVYINGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFVSTLFSLPVLHGQPQGPREEASNYTTVPNYLEQKKIQKLLLLGLQGSGTSTIFKQAKFLYGNGFSADELQDIKLMIQSNMYRYLSILLDGRERFEEEAMCQIRELGSDNQNCEANGEVDFGETNQCVYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEELWKDPAIQQTYKRKDELHFLPDVAEYFLSRAVEVSSNEYEPSDRDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTDNLEAPSQPLTKYQLIRVNAKGMNEGCKWVEMFEDVRVVVFCVALSDYDQMWIAPESSGSGALLQNKMMQTKELFETMIRHPCFKETPFVLILNKYDLFEDKVNRVPLSTCEWFNDFSPVRPLHNHQSLAQQAYFYIAVKFKDLYASLTGQKLFVWQARARDHRVTIDEAFKYIREVLKWEEEKDENYYGGEDSFYSTDISSSPFVRLILFHAWFISSFPKLRWSCLKVRF >EOY25240 pep chromosome:Theobroma_cacao_20110822:3:33651651:33660235:1 gene:TCM_016614 transcript:EOY25240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extra-large GTP-binding protein 3 isoform 1 MEQKEGESWKELVRKMLPPGASLPEDSSELDYSIAMEYKGPPVAYEVPRVEPLDVNSRAIPTAEPLSESQRSVANAGPPVIEPIPLPVSYIAGVTSPPTQSPRVSASSESVVSVLQNPDFSSASPSASPGSVRNPQSNPPKQVNEVKRVPVVTFNTVERSERKEVDLEKPVFPEYVGVSKEKKKKRRVCYRCGKRKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRYRLGKHSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGFPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLMPDASNGNTEVYINGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFVSTLFSLPVLHGQPQGPREEASNYTTVPNYLEQKKIQKLLLLGLQGSGTSTIFKQAKFLYGNGFSADELQDIKLMIQSNMYRYLSILLDGRERFEEEAMCQIRELGSDNQNCEANGEVDFGETNQCVYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEELWKDPAIQQTYKRKDELHFLPDVAEYFLSRAVEVSSNEYEPSDRDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTDNLEAPSQPLTKYQLIRVNAKGMNEGCKWVEMFEDVRVVVFCVALSDYDQMWIAPESSGSGALLQNKMMQTKELFETMIRHPCFKETPFVLILNKYDLFEDKVNRVPLSTCEWFNDFSPVRPLHNHQSLAQQAYFYIAVKFKDLYASLTGQKLFVWQARARDHRVTIDEAFKYIREVLKWEEEKDENYYGGEDSFYSTDISSSPFVRLILFHAWFISSFPKLRWSCLKVRF >EOY21922 pep chromosome:Theobroma_cacao_20110822:3:19869264:19872024:-1 gene:TCM_014097 transcript:EOY21922 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MFLDAVDTVPRFIAGAISGALTGLFAFAGAFTGAVMGALAGKASDSGILRGAGLGAIAGAILSVEVLEASRAYWCLEQSGSRGSSSMADFIEELLRGRLVEEGLTPAMLNAYNWQLSLADISYDEIHDIYGEVILRGLSGDSLKNLPCHVILDEIKATQGIYCTICLQDIKVGETARSLPKCQHTFHLACVDKWLIRRGSCPVCRRDV >EOY21923 pep chromosome:Theobroma_cacao_20110822:3:19869159:19871233:-1 gene:TCM_014097 transcript:EOY21923 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MLSPIWLFFLLAGAFTGAVMGALAGKASDSGILRGAGLGAIAGAILSVEVLEASRAYWCLEQSGSRGSSSMADFIEELLRGRLVEEGLTPAMLNAYNWQLSLADISYDEIHDIYGEVILRGLSGDSLKNLPCHVILDEIKATQGIYCTICLQDIKVGETARSLPKCQHTFHLACVDKWLIRRGSCPVCRRDV >EOY20615 pep chromosome:Theobroma_cacao_20110822:3:338821:344769:1 gene:TCM_011988 transcript:EOY20615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like isoform 1 MNLIVAHHQNRLAFGVFLLSEFIVIMSQKRPQEDGKVRPSEGNSPDQDKRRRVPALRNVVHEVMKMQSIQHWLEPVLEPLIRRVVREEVEVALRKHLNNMKRNGGKEVNSTESRSLQLQFLNNLSLPVFTGARIEAEECSAIKVAIVDALTGQIVTSGPESSAKVEVVVLEGDFDGDEGDNWTLEEFKNNIVREREGKKPLLTGDAFLTLTEGIGLVGEISFTDNSSWTRSRRFRLGARVVDGSNGTRVREAKTESFIVRDHRGELYKKHHPPSLSDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTMLYVDPPRLRHILGTGMSAKMWEVTVEHARTCVLDKRMYLYCPPGSQQKSGVAFNIVGQVTGLLSECQYVTIDKLSETEKIEAQNLVISAFERWEEVFSFDDEASLIGESSNLANIPYTSSAKTEIPNGSKFLASQKMGGFDYAQPSASSPDIISTIYSVGGMSGLDDYALHDITQTFCDEDHLRFFDSDLQTPGLGLESQADLQTAVDGFLLQRTVAVQAQRRWTKVFSVLKWFSIKRRVKEKFRGVRYSNGL >EOY20614 pep chromosome:Theobroma_cacao_20110822:3:337244:345049:1 gene:TCM_011988 transcript:EOY20614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like isoform 1 MNLIVAHHQNRLAFGVFLLSEFIVIMSQKRPQEDGKVRPSEGNSPDQDKRRRVPALRNVVHEVMKMQSIQHWLEPVLEPLIRRVVREEVEVALRKHLNNMKRNGGKEVNSTESRSLQLQFLNNLSLPVFTGARIEAEECSAIKVAIVDALTGQIVTSGPESSAKVEVVVLEGDFDGDEGDNWTLEEFKNNIVREREGKKPLLTGDAFLTLTEGIGLVGEISFTDNSSWTRSRRFRLGARVVDGSNGTRVREAKTESFIVRDHRGELYKKHHPPSLSDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTMLYVDPPRLRHILGTGMSAKMWEVTVEHARTCVLDKRMYLYCPPGSQQKSGVAFNIVGQVTGLLSECQYVTIDKLSETEKIEAQNLVISAFERWEEVFSFDDEASLIGESSNLANIPYTSSAKTEIPNGSKFLASQKMGGFDYAQPSASSPDIISTIYSVGGMSGLDDYALHGIENMGLRYDQTLSYPGQVTNSLICDTDITQTFCDEDHLRFFDSDLQTPGLGLESQADLQTAVDGFLLQRTVAVQAQRRWTKVFSVLKWFSIKRRVKEKFRGVRYSNGL >EOY23117 pep chromosome:Theobroma_cacao_20110822:3:26258556:26263990:1 gene:TCM_015109 transcript:EOY23117 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 24, putative MGLFRRLFGAKKSAQARPDRDRRRWSFARSSNPTSSSSHRDALSGSCDDTLDANKHAIAVAAATAAVAEAALAAAHAAAEVVRLTSGGGGAGGSNRRLAQEMAAVKMQSAFRGYLARRALRALKALVKLQALVRGHIVRKQTADMLRRMQTLVRLQARARASRAYVTKSFDSAGKMSQSRNAVPASSVKDECQLRAYSTKFDGPSILKRCGSNSNLGDIIDLEKARMGSNWLDRWMEESLWNNNRDVPLRHGHVDDEKSDKILEVDTWKPHLNTQQSNRNFRASQHGSAWDYNHSFMTYDSPRKLSGKASNPLPNLTSAEVLALSSLKYPGGKDEAVLRTADNSPQVLSASSRPGSSARRSPFTPARSECSWGYLSGCSGHPNYMANTESSRAKYRSQSAPRQRLEFDKYGSTRRTFQGLWDSGTNSERDFPQHVDFRYRVHPASGGLNRLGSANQRE >EOY24978 pep chromosome:Theobroma_cacao_20110822:3:32843867:32846131:1 gene:TCM_016425 transcript:EOY24978 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase delta isoform 1 MNLSCLQLLPSYPVPLTFAGSSSFLHNHRANPSKLSRDFRSYKNGEVVKRKGGIVCGWLLPVDPWAPNIDSQSIASQLFAVSLFPYIGFLYFITKSKSAPKLTLFGFYFLLAFVGATIPAGIYAKVKYGTSLSNVDWLHGGAESLLTLTNLFIVLGLRQALRKTEDAKESTSSSAPDAKEQEKPFI >EOY24977 pep chromosome:Theobroma_cacao_20110822:3:32843920:32846107:1 gene:TCM_016425 transcript:EOY24977 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase delta isoform 1 MNLSCLQLLPSYPVPLTFAGSSSFLHNHRANPSKLSRDFRSYKNGEVVKRKGGIVCGWLLPVDPWAPNIDSQSIASQLFAVSLFPYIGFLYFITKSKSAPKLTLFGFYFLLAFVGATIPAGIYAKVKYGTSLSNVDWLHGGAESLLTLTNLFIVLGLRQALRKTEDAKESTSSSAPDAKEQEKPFI >EOY24602 pep chromosome:Theobroma_cacao_20110822:3:31583609:31588442:-1 gene:TCM_016163 transcript:EOY24602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA synthase / HMG-CoA synthase / 3-hydroxy-3-methylglutaryl coenzyme A synthase MAKNVGILAMDIYFPPTCVRQEALEAHDGASKGKYTIGLGQDCMAFCTEVEDVISMSLTVVTSLLEKYKIDPKQIGRLEVGSETVIDKSKSIKTFLMQIFEKCGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLVGPDAPIAFESKLRGSHMSHVYDFYKPNLASEYPVVDGKLSQTCYLMALDSCYKYFCHKYEKLVGKQFSLSDAEYFVFHSPYNKLVQKSFSRLLFNDFLRNASSVDDIAKEKLGPFSTLTGDESYQSRDLEKASQQVSKPLYDAKVQPTTLIPKQVGNMYTASLYAAFVSLIHNKHSELAGKRVILFSYGSGLTATMFSLRLNEGQHPFSLSNIATVMNVAGKLKSRHEFPPEKFVATMKLMEHRYGAKDFVTSKDCSLLSPGTYYLTEVDSMYRRFYAKKDGDFTACENGSLSNGH >EOY22250 pep chromosome:Theobroma_cacao_20110822:3:22621157:22621938:1 gene:TCM_014474 transcript:EOY22250 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF hand calcium-binding protein family MVSLRVDQINQLKDIFARFDMDADGSLTILELAALLRSLGLKPSGDQIHVLLANMDSNGNGAVEFDELVNAILPDMNEQILVNQEQLREVFHLFDRDGNGYITAAELAGCMAKMGQSLTYRELTEMIKEADTDGDGVISFNEFSSVMAKSALDFLGITLSC >EOY23333 pep chromosome:Theobroma_cacao_20110822:3:27041455:27042504:-1 gene:TCM_015261 transcript:EOY23333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol regulatory element-binding protein cleavage-activating protein, putative MKTLLSLEAQQFYLPKPSLWGPRTPTILPTIIKSQNQIQSSSTWKLQANAKGFASTPPASVKKKGANEDSNNNNNNDDEPVPQVVFDRIIARILVSVGVPLATGLALLHLFGVAKEQNLWDVPLWLPFLTTFITFGASTLGIAYGTLSASWDPERRGSIMGLEEAQRNWVEMWKEENDGQW >EOY22127 pep chromosome:Theobroma_cacao_20110822:3:21573158:21575631:-1 gene:TCM_014327 transcript:EOY22127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCFVLVYYVLASFEIFEKNDQNTPVDIPSNDCLPGHRGGCHGLEGVPGRDIQVYPLC >EOY25438 pep chromosome:Theobroma_cacao_20110822:3:34274944:34276535:-1 gene:TCM_016747 transcript:EOY25438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding protein 6 isoform 1 MGLKIWKFQAFYSTGWIQEVNKYVDLFLVLSISYYCFLLQEEFEEYAEKAKTLPETTTNENKLILYGLFKQATVGPVNTSRPGMFNMRERYKWDAWKAVEGKSKEEAMSDYITKVKQLLEEAAASS >EOY25439 pep chromosome:Theobroma_cacao_20110822:3:34274884:34276535:-1 gene:TCM_016747 transcript:EOY25439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding protein 6 isoform 1 ITRRWSLRLQFIYCERKMGLKEEFEEYAEKAKTLPETTTNENKLILYGLFKQATVGPVNTSRPGMFNMRERYKWDAWKAVEGKSKEEAMSDYITKVKQLLEEAAASS >EOY24782 pep chromosome:Theobroma_cacao_20110822:3:32191164:32193801:-1 gene:TCM_016281 transcript:EOY24782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 86, subfamily A, polypeptide 8 MDASTALMILSAIAAYLVWFKLITRSLHGPRVWPLLGSLPGLIQNSNCMHEWIAENLRACGGTYQTCISAIPFLARKQGLVTVTCDPKNLEHILKGRFDNYPKGPNWQAVFHDLLGDGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVSRAIKHRFCPILETAQLQGKPVDLQDLLLRLTFDNICGLTFGKDPQTLSPGLPENGFAMAFDRATEATLQRFILPEIIWKLKKWFRLGMEVKLSQSLEHMDKFLSDIINTRKLELVSQRQGGTPHDDLLSRFMKKKEAYSDEFLQNVALNFILAGRDTSSVALCWFFWLVIQNPRVEEKILIEICTVLVETRGADTSKWVDEPLVFEEVDRLIYLKAALSETLRLYPSVPQDSKHVIADDVLPSGTFVPSGSNVTYSIYSIGRMKFIWGEDCLDFKPERWLSEDGNKYEAKDSYKFLAFNAGPRICLGKDLAYLQMKSIATAVLLRHRLTIMAGHRVEQKMSLTLFMKYGLLVDVHPRNLKPVLEKICKASESSSPKGNHEELAAAAGVA >EOY24346 pep chromosome:Theobroma_cacao_20110822:3:30624235:30625191:-1 gene:TCM_015973 transcript:EOY24346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVCSLPMPMCIINVDLYEQLIALRNKAIWIIYINAQEDKFFYVVFFPKDKMAIGDAIFGIHQLELWTKVGHENLIPNYSKYHKRTLLQLFFLTTSWLMAGMAIHGE >EOY22039 pep chromosome:Theobroma_cacao_20110822:3:20922674:20923793:-1 gene:TCM_014224 transcript:EOY22039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGPLSKVRKVDEDVVTVRQLRWVMWKHSRDMLELKGSIQSLKDAMQTLEDHIGDAFVQHDELQYDYANQNDHGQDDELDVDVPAIDHDGVGAEGDNVPHADEVVGRDGNL >EOY21829 pep chromosome:Theobroma_cacao_20110822:3:18830239:18833610:-1 gene:TCM_013963 transcript:EOY21829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic release factor 1-3 isoform 1 MADGHETDKNIEIWKIKKLIKALEAAKGNGTSMISLIMPPRDQVSRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYSKVPPNGLVLYTGTIVTEEGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSSEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDINRYVLKNSSTGEIVIKHLNKEQEANQNNFHDSASSADLEVQEKMPLLEWFANEYRRFGCNLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDEVSDDGEVYDDSE >EOY21830 pep chromosome:Theobroma_cacao_20110822:3:18830230:18833758:-1 gene:TCM_013963 transcript:EOY21830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic release factor 1-3 isoform 1 MADGHETDKNIEIWKIKKLIKALEAAKGNGTSMISLIMPPRDQVSRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYSKVPPNGLVLYTGTIVTEEGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSSEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDINRYVLKNSSTGEIVIKHLNKEQEANQNNFHDSASSADLEVQEKMPLLEWFANEYRRFGCNLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDEVSDDGEVYDDSE >EOY21831 pep chromosome:Theobroma_cacao_20110822:3:18830276:18833517:-1 gene:TCM_013963 transcript:EOY21831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic release factor 1-3 isoform 1 MADGHETDKNIEIWKIKKLIKALEAAKGNGTSMISLIMPPRDQVSRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYSKVPPNGLVLYTGTIVTEEGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSSEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDINRYVLKNSSTGEIVIKHLNKEQEANQNNFHDSASSADLEVQEKMPLLEWFANEYRRFGCNLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDEVSDDGEVYDDSE >EOY21788 pep chromosome:Theobroma_cacao_20110822:3:18485819:18491243:1 gene:TCM_013921 transcript:EOY21788 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT-1 / TIP-1 family isoform 2 MDSLQSLPPLSTLSTSASAILNSKLGTDHDLTRAPCLVSDLLTQCDDLDRTVIHLNRTLESSLAFYASFSDRIGDLFGDVNSKLTDLGSSVCSRSSVSDEEGLGEELPALAKEVARVETVRAYAEIASKLDNLVGDIEDAVSSTMNKNLRNDPSTRNSEETRLVAIKTLKLTEDLLTSVTKTRPQWVRLVSAVDHRVDRALAILRPLAIADHRALLTSLRWPPPLSNLTSSSLDTRKSNEVPNPLFTMQGDLKHQYCENFLALCRLQELQRQRKSRQLEGHNREVALHQPLWAIEELVNPVSVASQRHFSKWIDKPEFIFALVYKITRDYVDSMDELLQPLVDEAMLTGYSCREEWISAMVDSLSTYLAKEIFPIYVGQLEEESMTGIQSQARTSWLHLVDLMVSFDKRIKSLVEQSGIFLSLQEDGTLRKISSLSVFCDRPDWLDLWAEIELAETLEKLKSEMDKEKNWTKKVQGAVLSNSDDYKSPAVGSSIFRCLSSLVDRCRSLPTVSLRSRFLRLAGTPLVKMFLDCLLLRCQEAEGLTALTDDDALMKVTNSINAAHFAESILKEWREDVFFLEMGLDQGDQLGASVTENSGSEIPIEEYGNGIFHEEIVKFEDFRTEWVEKISVVVLRGFDARCRDYIKNRRQWQERSEGWTVSKALVGALDYLQGKMSVIEENLNRLDFAGIWRSLAAGVDRLIFNGILISNVKFHDNGVERFGYDLEVLVGVFRAWCLRPEGFFPKASEGLKLLKMEKKQLQDGLAVGEKWMKENGIRHLGVAEVEKIRKNRVFTK >EOY21789 pep chromosome:Theobroma_cacao_20110822:3:18485819:18491243:1 gene:TCM_013921 transcript:EOY21789 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT-1 / TIP-1 family isoform 2 MRKDWERSCRHWRRRWRGWKRLEHMRETRLVAIKTLKLTEDLLTSVTKTRPQWVRLVSAVDHRVDRALAILRPLAIADHRALLTSLRWPPPLSNLTSSSLDTRKSNEVPNPLFTMQGDLKHQYCENFLALCRLQELQRQRKSRQLEGHNREVALHQPLWAIEELVNPVSVASQRHFSKWIDKPEFIFALVYKITRDYVDSMDELLQPLVDEAMLTGYSCREEWISAMVDSLSTYLAKEIFPIYVGQLEEESMTGIQSQARTSWLHLVDLMVSFDKRIKSLVEQSGIFLSLQEDGTLRKISSLSVFCDRPDWLDLWAEIELAETLEKLKSEMDKEKNWTKKVQGAVLSNSDDYKSPAVGSSIFRCLSSLVDRCRSLPTVSLRSRFLRLAGTPLVKMFLDCLLLRCQEAEGLTALTDDDALMKVTNSINAAHFAESILKEWREDVFFLEMGLDQGDQLGASVTENSGSEIPIEEYGNGIFHEEIVKFEDFRTEWVEKISVVVLRGFDARCRDYIKNRRQWQERSEGWTVSKALVGALDYLQGKMSVIEENLNRLDFAGIWRSLAAGVDRLIFNGILISNVKFHDNGVERFGYDLEVLVGVFRAWCLRPEGFFPKASEGLKLLKMEKKQLQDGLAVGEKWMKENGIRHLGVAEVEKIRKNRVFTK >EOY25254 pep chromosome:Theobroma_cacao_20110822:3:33706868:33713350:1 gene:TCM_016627 transcript:EOY25254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dimerizations, putative MSEENLEEWDASFLEELIQVEELALSSSSVTQNNPPSSSYLRPLPPPSDEPLHFPPPSRIDSLSYSPPRELSQRTADVGGAFTSNGVVAKSATPSTPVRCVRGSDNAKDLEIERLKKELGRVSKQLANLEHECFKLKKERNKEDQLTFADSRNEVKVANFHGSRIANLEHGIPVAEHHGVRQELPNAKAFDDQIGLHTAKSSCKAIGVQADLNTCLDLSKKLQDIWGLPSDQQFGRNLISKLFAVCSKDINVLFGFISISSPSKTMEPLAVKSSVDMALQHSMQPFHSSEAAKVSRFYSALTKIGNGMSQLEALFESLFDLCSVENVVIVYSSLCILYVLLKHLLTFERKSEGSFCQYALSDIALYFTFPFIYCLLFIMLEMLYALVKCTLIRDNFLAECLHSGSSIDDIFGCETRGRDCVGMDGTYGSCMPTGVRPSEAETLCKKGHCSTGSSLLFSCINWIYLFESMHHIVMKSSEECVRLKAVSIMNVILMRTDAYTDREKFGLIQVFGSISQLLRKEAGLLAQKEAVHTLHLLLNCPKLVVTFCCGCTVAAGADTDKENTAAFQEFTLILQGLADCIACSGNSLQALELRKNAITLLAFIASSGKFGFEILVNYKLSGEANFLTLILQLLVSEIDLEASVYPESGETFRARTLLIREVLILLNRLVSNPVHSATVLRLLTNSRDMVSLTIDVANRLSRKEPKRRHSDSITKQMRESEIVDLGQIFKRRVSTYLGE >EOY23598 pep chromosome:Theobroma_cacao_20110822:3:27979156:27980014:-1 gene:TCM_015440 transcript:EOY23598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosylcobalamin-dependent ribonucleoside-triphosphate reductase, putative MALCFKAFLLLSLLLMPFSCGTVAAFQDGMQAKYSLHLGGIQTNPSRKLLMLGAMLDYDDTGANTKHDPRKKPGKP >EOY23734 pep chromosome:Theobroma_cacao_20110822:3:28400100:28403316:-1 gene:TCM_015535 transcript:EOY23734 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain class transcription factor, putative isoform 1 MAALSLNSLPVGFRFRPTDEELIDFYLRSKINGNRTDEVGVIREIDVCKWEPWDLPDLSAIATRDPEWFFFCPLDRKYPNGNRLNRATEAGYWKATGKDRKIKSGSSLIGMKKTLVFYTGRAPRGKRTNWVMHEYRTTLDELDGTKPGQNAFVICRLFKKDDETIEDINGDEVDPALLTPAEDMQSELVVPQDSPVVEGEAEKLPVSSETYPVGLPNEVIFNAVAPILECNSIDYKAYGVTGQVADIAPAEVDPIVEALSQFYDPMPDSLYCKLFSPLHSQIEAEQAPWMFNHVGNSFSGVVIEHGTNENDADISDFLNDILKNPDECCSDDSGSQKNSTIESETPRAMAIGKDGSGSESDAEVAQVLLGTETANRGGAPLEIKATAQDCTILNPKQDVNFSGTGPFFNVLNSNGELSNTTCNVDNAITGIRIRSRTARSQPDTENSMTQGNAPRRIRLQCQFQVGPLYCGNAMNDWSSEEKEDNSKPVFKEEVKVVEEDITVGGTMDEPQEISLSGSSKNSILRSKSIVSVCKEIQSRCLKKFSARSSHKRFAVIFRVAVMSILFIILVSSLNVL >EOY23735 pep chromosome:Theobroma_cacao_20110822:3:28400579:28402766:-1 gene:TCM_015535 transcript:EOY23735 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain class transcription factor, putative isoform 1 MAALSLNSLPVGFRFRPTDEELIDFYLRSKINGNRTDEVGVIREIDVCKWEPWDLPDLSAIATRDPEWFFFCPLDRKYPNGNRLNRATEAGYWKATGKDRKIKSGSSLIGMKKTLVFYTGRAPRGKRTNWVMHEYRTTLDELDGTKPGQNAFVICRLFKKDDETIEDINGDEVDPALLTPAEDMQSELVVPQDSPVVEGEAEKLPVSSETYPVGLPNEVIFNAVAPILECNSIDYKAYGVTGQVADIAPAEVDPIVEALSQFYDPMPDSLYCKLFSPLHSQIEAEQAPWMFNHVGNSFSGVVIEHGTNENDADISDFLNDILKNPDECCSDDSGSQKNSTIESETPRAMAIGKDGSGSESDAEVAQVLLGTETANRGGAPLEIKATAQDCTILNPKQDVNFSGTGPFFNVLNSNGELSNTTCNVDNAITGIRIRSRTARSQPDTENSMTQGNAPRRIRLQCQFQVGPLYCGNAMNDWSSEEKEDNSKPVFKEVRSL >EOY20647 pep chromosome:Theobroma_cacao_20110822:3:416972:424303:1 gene:TCM_012006 transcript:EOY20647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 9 isoform 1 MGDTKGKRGRKPKNPNPTETLESPSSNSALDDVFSVSNVEIIPSAATATTSTSSAAATTSARPRGRPRKLPKLPENPEPLPPQPTPAILSPGRRVPTGNAIGVGAGGGVGGESGGHSVGVRLVPAMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHYTQVKVKKRGSDTKYLATVLAIGTECDIAMLTVNDDEFWEGVSPVEFGELPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLKHEDVENIGYVIPTPVIQHFIQDYEKNEAYTGFPILGVEWQKMENPDLRAAMGMKIDQKGVRIRRVDPTAPESGVLKSSDIILSFDGVDIANDGTVPFRHGERIGFSYLVSQKYAGDSSAIKVLRNSEILNFNIKLASHRRLIPAHNKGRPPSYYIIAGFVFTTVSVPYLRSEYGKDYEYEAPVKLLDKLLHSMPQSPDEQLVVVSQVLVADTNIGYEDIVNTQVLAFNGKPVKNLKSLAEMVENCEDEFLKFDLEYEQMVVLRTKTAKAATPDILAMHCIPSAMSEDLKA >EOY20642 pep chromosome:Theobroma_cacao_20110822:3:416972:424303:1 gene:TCM_012006 transcript:EOY20642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 9 isoform 1 MGDTKGKRGRKPKNPNPTETLESPSSNSALDDVFSVSNVEIIPSAATATTSTSSAAATTSARPRGRPRKLPKLPENPEPLPPQPTPAILSPGRRVPTGNAIGVGAGGGVGGESGGHSVGVRLVPAMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHYTQVKVKKRGSDTKYLATVLAIGTECDIAMLTVNDDEFWEGVSPVEFGELPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLKHEDVENIGYVIPTPVIQHFIQDYEKNEAYTGFPILGVEWQKMENPDLRAAMGMKIDQKGVRIRRVDPTAPESGVLKSSDIILSFDGVDIANDGTVPFRHGERIGFSYLVSQKYAGDSSAIKVLRNSEILNFNIKLASHRRLIPAHNKGRPPSYYIIAGFVFTTVSVPYLRSEYGKDYEYEAPVKLLDKLLHSMPQSPDEQLVVVSQVLVADTNIGYEDIVNTQVLAFNGKPVKNLKSLAEMVENCEDEFLKFDLEYEQMVVLRTKTAKAATPDILAMHCIPSAMSEDLKA >EOY20644 pep chromosome:Theobroma_cacao_20110822:3:416972:424303:1 gene:TCM_012006 transcript:EOY20644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 9 isoform 1 MGDTKGKRGRKPKNPNPTETLESPSSNSALDDVFSVSNVEIIPSAATATTSTSSAAATTSARPRGRPRKLPKLPENPEPLPPQPTPAILSPGRRVPTGNAIGVGAGGGVGGESGGHSVGVRLVPAMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHYTQVKVKKRGSDTKYLATVLAIGTECDIAMLTVNDDEFWEGVSPVEFGELPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLKHEDVENIGYVIPTPVIQHFIQDYEKNEAYTGFPILGVEWQKMENPDLRAAMGMKIDQKGVRIRRVDPTAPESGVLKSSDIILSFDGVDIANDGTVPFRHGERIGFSYLVSQKYAGDSSAIKVLRNSEILNFNIKLASHRRLIPAHNKGRPPSYYIIAGFVFTTVSVPYLRSEYGKDYEYEAPVKLLDKLLHSMPQSPDEQLVVVSQVLVADTNIGYEDIVNTQVLAFNGKPVKNLKSLAEMVENCEDEFLKFDLEYEQMVVLRTKTAKAATPDILAMHCIPSAMSEDLKA >EOY20645 pep chromosome:Theobroma_cacao_20110822:3:417246:423480:1 gene:TCM_012006 transcript:EOY20645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 9 isoform 1 MGDTKGKRGRKPKNPNPTETLESPSSNSALDDVFSVSNVEIIPSAATATTSTSSAAATTSARPRGRPRKLPKLPENPEPLPPQPTPAILSPGRRVPTGNAIGVGAGGGVGGESGGHSVGVRLVPAMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHYTQVKVKKRGSDTKYLATVLAIGTECDIAMLTVNDDEFWEGVSPVEFGELPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLKHEDVENIGYVIPTPVIQHFIQDYEKNEAYTGFPILGVEWQKMENPDLRAAMGMKIDQKGVRIRRVDPTAPESGVLKSSDIILSFDGVDIANDGTVPFRHGERIGFSYLVSQKYAGDSSAIKVLRNSEILNFNIKLASHRRLIPAHNKGRPPSYYIIAGFVFTTVSVPYLRSEYGKDYEYEAPVKLLDKLLHSMPQSPDEQLVVVSQVLVADTNIGYEDIVNTQVLAFNGKPVKNLKSLAEMVENCEDEFLKFDLEYEQMVVLRTKTAKAATPDILAMHCIPSAMSEDLKA >EOY20646 pep chromosome:Theobroma_cacao_20110822:3:416972:424273:1 gene:TCM_012006 transcript:EOY20646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 9 isoform 1 MGDTKGKRGRKPKNPNPTETLESPSSNSALDDVFSVSNVEIIPSAATATTSTSSAAATTSARPRGRPRKLPKLPENPEPLPPQPTPAILSPGRRVPTGNAIGVGAGGGVGGESGGHSVGVRLVPAMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHYTQVKVKKRGSDTKYLATVLAIGTECDIAMLTVNDDEFWEGVSPVEFGELPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLKHEDVENIGYVIPTPVIQHFIQDYEKNEAYTGFPILGVEWQKMENPDLRAAMGMKIDQKGVRIRRVDPTAPESGVLKSSDIILSFDGVDIANDGTVPFRHGERIGFSYLVSQKYAGDSSAIKVLRNSEILNFNIKLASHRRLIPAHNKGRPPSYYIIAGFVFTTVSVPYLRSEYGKDYEYEAPVKLLDKLLHSMPQSPDEQLVVVSQVLVADTNIGYEDIVNTQVLAFNGKPVKNLKSLAEMVENCEDEFLKFDLEYEQMVVLRTKTAKAATPDILAMHCIPSAMSEDLKA >EOY20643 pep chromosome:Theobroma_cacao_20110822:3:416972:424273:1 gene:TCM_012006 transcript:EOY20643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 9 isoform 1 MGDTKGKRGRKPKNPNPTETLESPSSNSALDDVFSVSNVEIIPSAATATTSTSSAAATTSARPRGRPRKLPKLPENPEPLPPQPTPAILSPGRRVPTGNAIGVGAGGGVGGESGGHSVGVRLVPAMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHYTQVKVKKRGSDTKYLATVLAIGTECDIAMLTVNDDEFWEGVSPVEFGELPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLKHEDVENIGYVIPTPVIQHFIQDYEKNEAYTGFPILGVEWQKMENPDLRAAMGMKIDQKGVRIRRVDPTAPESGVLKSSDIILSFDGVDIANDGTVPFRHGERIGFSYLVSQKYAGDSSAIKVLRNSEILNFNIKLASHRRLIPAHNKGRPPSYYIIAGFVFTTVSVPYLRSEYGKDYEYEAPVKLLDKLLHSMPQSPDEQLVVVSQVLVADTNIGYEDIVNTQVLAFNGKPVKNLKSLAEMVENCEDEFLKFDLEYEQMVVLRTKTAKAATPDILAMHCIPSAMSEDLKA >EOY22028 pep chromosome:Theobroma_cacao_20110822:3:20531810:20535489:-1 gene:TCM_014187 transcript:EOY22028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCTTIGGQPLDWLRFFLLVVFVGLLRKVVSYLIRVKDYTRGPRIGVYLLLVQLRIGSRLSIASRSKFRSCILESKVIDLNLLSYLGAHVSL >EOY22054 pep chromosome:Theobroma_cacao_20110822:3:21092328:21131470:-1 gene:TCM_014243 transcript:EOY22054 gene_biotype:protein_coding transcript_biotype:protein_coding description:U5 small nuclear ribonucleoprotein helicase isoform 2 MLVQLPRLTNSLREPFDIDQAYLQRKIFLQSRNKATNGNQLDESDLARKIVHQWEEASVEVRQLYKQFIGAVVELIDGELLPEGFREVALTAYRIFSGTVEGDEVAKNINEKKVELQKVIGHGVSYANVQKVACLAQKLSQSQPRDSGDTLVFEKHVNGSDDGSEFGADLIFKAPARFLVDVSLEDVELLGEENTAPSSAFVEGCYDKNGTINYHNAADSVNFNLSWLRDSCERIVRGSTSQLSRDDLAMAICRVLDSDKPGEEIAGDLLDLVGDSAFETVQDLILHRKELVDAIHHGLSVLKSDKVNPNSRSRMPSYGTQVTVQTESEKQIDKLRRREEKRHRRGTDYAAESDMSAASFSSLLEASERKSPFDDLIGSGQGPNSLAATALPQGTMRKHFKGYEEVIIPPTPTAQMKPGEKLIEIKELDDFAQAAFRGYKSLNRIQSRIFQTVYCTNENILVCAPTGAGKTNIAMISILHEIGQHFKDGYLHKDEFKIVYVAPMKALAAEVTSAFSHRLSPLNMCVKELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQTMIRIVGLSATLPNYLEVAQFLRVNPETGLFYFDSSYRPVPLSQQYIGISEQNFVARNELLNEICYKKVVDSLRQGHQAMVFVHSRKDTAKTAEKLVELARKYEDLELFKNDAHPQFSLLKKEVVKSRNKDLVQLFEFGVGVHHAGMLRADRGLTERLFSDGILKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMRLNPLAYGIGWDEVIADPSLSLKQRALVADAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMNDSEVIEMVAHSSEFENIVVREEEQNELEMLARTSCPLEVKGGPSNKHGKISILIQLYISRGSIDTFSLVSDAAYISASLARIMRALFEICLRRGWCEMSLFMLEYCKAVDRQIWPHQHPLRQFDKDLSPEILRKLEERGADLDRLHEMEEKDIGALIRYGPGGRLVKQYLGYFPWIQLSATVSPITRTVLKVDLVISPDLIWKDRFHGAAQRWWILVEDSENDHIYHSELFTLTKKMARGEPQKLSFTVPIFEPHPPQYFIRAVSDSWLYAEAFYTISFHKLALPEARTTHTELLDLKPLPVTSLGNSTYESLYNFSHFNPIQTQIFHVLYHTDNNVLLGAPTGSGKTISAELAMLRLFNTQPDMKVIYIAPLKAIVRERMHDWRKRLVSQLGKEMVEMTGDYTPDLMALLSADIIISTPEKWDGISRNWHSRSYVTKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERAVRFVGLSTALANAGDLADWLGVGEIGLFNFKPSVRPVPLEVHIQGYPGKYYCPRMNSMNKPAYAAICTHSPTKPVLIFVSSRRQTRLTALDLIQFAASDENPRQFLSMPEEALQMVLSQVTDQNLRHTLQFGIGLHHAGLNDKDRSLVEELFANNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLREQLHDHINAEIVSGTICHKEDAVHYLTWTYLFRRLMVNPAYYGLESAEDETLSSYLSRLVHSTFEDLEDSGCIKMTEDNVEPMMLGTIASQYYLSYMTVSMFGSNIGPDTSLEVFLHVLSGASEYNELPVRHNEENYNEALSKRVRYMVDQNHLDDPHVKANLLFQAHFSQLDLPISDYVTDLKSVLDQSIRIIQAMIDICANSGWLTSSIACMHLLQMVMQGLWFDQDSALWMLPCMNNELAGALSKGGISSVQQLLDLPKATLQTVIGNFPASKLCQDLQYFPHIQMKLKLLKKGPESEKSLQLNIRLEKTNLRRNASRAFAPRFPKLKDEAWWLILGNTFTSELYALKRVSFSDRLVTHMELPSDVTTFQGMKLIIVSDCYLGFEQEHSIEKLAAQCLEVGTKTV >EOY22055 pep chromosome:Theobroma_cacao_20110822:3:21092767:21131470:-1 gene:TCM_014243 transcript:EOY22055 gene_biotype:protein_coding transcript_biotype:protein_coding description:U5 small nuclear ribonucleoprotein helicase isoform 2 MLVQLPRLTNSLREPFDIDQAYLQRKIFLQSRNKATNGNQLDESDLARKIVHQWEEASVEVRQLYKQFIGAVVELIDGELLPEGFREVALTAYRIFSGTVEGDEVAKNINEKKVELQKVIGHGVSYANVQKVACLAQKLSQSQPRDSGDTLVFEKHVNGSDDGSEFGADLIFKAPARFLVDVSLEDVELLGEENTAPSSAFVEGCYDKNGTINYHNAADSVNFNLSWLRDSCERIVRGSTSQLSRDDLAMAICRVLDSDKPGEEIAGDLLDLVGDSAFETVQDLILHRKELVDAIHHGLSVLKSDKVNPNSRSRMPSYGTQVTVQTESEKQIDKLRRREEKRHRRGTDYAAESDMSAASFSSLLEASERKSPFDDLIGSGQGPNSLAATALPQGTMRKHFKGYEEVIIPPTPTAQMKPGEKLIEIKELDDFAQAAFRGYKSLNRIQSRIFQTVYCTNENILVCAPTGAGKTNIAMISILHEIGQHFKDGYLHKDEFKIVYVAPMKALAAEVTSAFSHRLSPLNMCVKELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQTMIRIVGLSATLPNYLEVAQFLRVNPETGLFYFDSSYRPVPLSQQYIGISEQNFVARNELLNEICYKKVVDSLRQGHQAMVFVHSRKDTAKTAEKLVELARKYEDLELFKNDAHPQFSLLKKEVVKSRNKDLVQLFEFGVGVHHAGMLRADRGLTERLFSDGILKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMRLNPLAYGIGWDEVIADPSLSLKQRALVADAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMNDSEVIEMVAHSSEFENIVVREEEQNELEMLARTSCPLEVKGGPSNKHGKISILIQLYISRGSIDTFSLVSDAAYISASLARIMRALFEICLRRGWCEMSLFMLEYCKAVDRQIWPHQHPLRQFDKDLSPEILRKLEERGADLDRLHEMEEKDIGALIRYGPGGRLVKQYLGYFPWIQLSATVSPITRTVLKVDLVISPDLIWKDRFHGAAQRWWILVEDSENDHIYHSELFTLTKKMARGEPQKLSFTVPIFEPHPPQYFIRAVSDSWLYAEAFYTISFHKLALPEARTTHTELLDLKPLPVTSLGNSTYESLYNFSHFNPIQTQIFHVLYHTDNNVLLGAPTGSGKTISAELAMLRLFNTQPDMKVIYIAPLKAIVRERMHDWRKRLVSQLGKEMVEMTGDYTPDLMALLSADIIISTPEKWDGISRNWHSRSYVTKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERAVRFVGLSTALANAGDLADWLGVGEIGLFNFKPSVRPVPLEVHIQGYPGKYYCPRMNSMNKPAYAAICTHSPTKPVLIFVSSRRQTRLTALDLIQFAASDENPRQFLSMPEEALQMVLSQVTDQNLRHTLQFGIGLHHAGLNDKDRSLVEELFANNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLREQLHDHINAEIVSGTICHKEDAVHYLTWTYLFRRLMVNPAYYGLESAEDETLSSYLSRLVHSTFEDLEDSGCIKMTEDNVEPMMLGTIASQYYLSYMTVSMFGSNIGPDTSLEVFLHVLSGASEYNELPVRHNEENYNEALSKRVRYMVDQNHLDDPHVKANLLFQAHFSQLDLPISDYVTDLKSVLDQSIRIIQAMIDICANSGWLTSSIACMHLLQMVMQGLWFDQDSALWMLPCMNNELAGALSKGGISSVQQLLDLPKATLQTVIGNFPASKLCQDLQYFPHIQMKLKLLKKGPESEKSLQLNIRLEKTNLRRNASRAFAPRFPKMKHGG >EOY23776 pep chromosome:Theobroma_cacao_20110822:3:28575577:28578654:-1 gene:TCM_015568 transcript:EOY23776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin 7 isoform 1 MADTEDIQPLVVDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDGLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDFEQELETAKSSSSIEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >EOY23777 pep chromosome:Theobroma_cacao_20110822:3:28575182:28578699:-1 gene:TCM_015568 transcript:EOY23777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin 7 isoform 1 MADTEDIQPLVVDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDGLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDFEQELETAKSSSSIEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >EOY23151 pep chromosome:Theobroma_cacao_20110822:3:26439334:26441680:-1 gene:TCM_015143 transcript:EOY23151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar isomerase (SIS) family protein isoform 2 MVAELTSIASQKGRVFLYGVGREGLMLKALCMRLAHLGLSAHSVFDMTTPPITSKDLLIASAGPGGFSTVDAICSVARSHGGRVLLLTAQPETGSSVKHANVVAYVPAQTMADDKEDGRGEKSRTLLPMGSVYEGAMFVLFEMVVYKLGEALGESPEAIRGRHTNLE >EOY23150 pep chromosome:Theobroma_cacao_20110822:3:26439810:26441774:-1 gene:TCM_015143 transcript:EOY23150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar isomerase (SIS) family protein isoform 2 MDTGNTPMASLASQICDHIASIFSKPTAHPAVLDLMVAELTSIASQKGRVFLYGVGREGLMLKALCMRLAHLGLSAHSVFDMTTPPITSKDLLIASAGPGGFSTVDAICSVARSHGGRVLLLTAQPETGSSVKHANVVAYVPAQTMADDKEDGRGEKSRTLLPMGSVYEGAMFVLFEMVVYKLGEALGESPEAIRGRHTNLE >EOY23152 pep chromosome:Theobroma_cacao_20110822:3:26439489:26441948:-1 gene:TCM_015143 transcript:EOY23152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar isomerase (SIS) family protein isoform 2 MDTGNTPMASLASQICDHIASIFSKPTAHPAVLDLMVAELTSIASQKGRVFLYGVGREGLMLKALCMRLAHLGLSAHSVFDMTTPPITSKDLLIASAGPGGFSTVDAICSVARSHGGRVLLLTAQPETGSSVKHANVVAYVPAQTMADDKEDGRGEKSRTLLPMGSVYEGAMFVLFEMVVYKLGEALGESPEAIRGRHTNLE >EOY24780 pep chromosome:Theobroma_cacao_20110822:3:32187315:32188482:-1 gene:TCM_016279 transcript:EOY24780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDALQGDNYTQTFASWSAGKKGCYNMLCTGSVQVNKAIPLGFILHNISVYGGQKFDFGYFISQDLDTGNW >EOY22180 pep chromosome:Theobroma_cacao_20110822:3:22153371:22155849:-1 gene:TCM_014396 transcript:EOY22180 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box-like protein MGDTRGTIDCCDHTFCFVCIVKWAKVKSFCPICKLNFTTIYGPQKNVVFASKRFVNVPQGNQVNHPFGNATSGLFNPHEEIKCRVCHGIADESNRRLLCDICDSAVHTYCVGFSATVPAGGAWFCYGCALSRYNHDKTKVNIAMDNQKISGNFNVKLPVETSVPFFGIARESNIPEVGHHNPSFSSLPNYLSPPFLAGRRILDEANGPSERGHHLSPHLGGKKSGKGRTRARVTELEQLVQSLQQKIEDEQEKKGWIGGSHEANH >EOY22094 pep chromosome:Theobroma_cacao_20110822:3:21337597:21339822:1 gene:TCM_014288 transcript:EOY22094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Teosinte branched 1, putative MISSPREKDFQAKQEGETNDGKFSKGSSSSRQWSGFRNPRIVRVSRSFGGKDRHSKVCTIRGLRDRRIRLSVPTAIQLYDLQERLGLSQPSKVIDWLLDATKTDIDKLPPLQMPPGFGQFHQQMLVPHESNSSQSSLAPFFEANSMFMKDGEDPSIAAKRYWDMDAALRAKSKEVERESTTAAEKGKWIKVTEQHENQDGIGDYSGQASSQKHFPVANHSSLPGLSNTAMPFNSYYHWEPSSLSLSQFGNHGFLSQTENFLHGNTTVPLPSSLALPSAHAPQLFFCPPSTMPSLFPSYPPYVTTTPGDSSDSRQINHFQLLSSSSQHILPNSVTMSPSMKPFSLNVNAGLRTHTQNDDESHADEDNIDS >EOY24950 pep chromosome:Theobroma_cacao_20110822:3:32752892:32753630:1 gene:TCM_016404 transcript:EOY24950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQRLTSVSGQFRKHIFSNHLVISAPVLETENSENLTRPSAELQLNKDEMGKGITGN >EOY21519 pep chromosome:Theobroma_cacao_20110822:3:10285965:10289222:-1 gene:TCM_013199 transcript:EOY21519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein isoform 7 MKQCIQSLLKANMEGPYGSEWAAEEKVKRREMVAPEARYIFVHSNANEMTVDDAPSPSPLLGFVHYRFTVEQEITVLYLYEIQLDSSVQGKGLGKFLMHLIELIARKNHMGALVLTVQKANSLAMKFYMSKLRFVISSISPSRVNPLVGVENNYEILCKTFDPDAKAILELQHCHIMKIEWEDYLIL >EOY21514 pep chromosome:Theobroma_cacao_20110822:3:10282569:10289222:-1 gene:TCM_013199 transcript:EOY21514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein isoform 7 MESRRESEKKKLKRSEILEKKKAIDQSIKAASAQTDQLASFPPFRCFNRNGLCVRLESGRGDKLSPCMKQCIQSLLKANMEGPYGSEWAAEEKVKRREMVAPEARYIFVHSNANEMTVDDAPSPSPLLGFVHYRFTVEQEITVLYLYEIQLDSSVQGKGLGKFLMHLIELIARKNHMGALVLTVQKANSLAMKFYMSKLRFVISSISPSRVNPLVGVENNYEILCKTFDPDAKAILEESE >EOY21517 pep chromosome:Theobroma_cacao_20110822:3:10285855:10289156:-1 gene:TCM_013199 transcript:EOY21517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein isoform 7 MESRRESEKKKLKRSEILEKKKAIDQSIKAASAQTDQLASFPPFRCFNRNGLCVRLESGRGDKLSPCMKQCIQSLLKANMEGPYGSEWAAEEKVKRREMVAPEARYIFVHSNANEMTVDDAPSPSPLLGFVHYRFTVEQEITVLYLYEIQLDSSVQGKGLGKFLMHLIELIARKNHMGALVLTVQKANSLAMKFYMSKLRFVISSISPSRVNPLVGVENNYEILCKTFDPDAKAILELQHCHIMKIEWEDYLIL >EOY21515 pep chromosome:Theobroma_cacao_20110822:3:10286179:10289067:-1 gene:TCM_013199 transcript:EOY21515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein isoform 7 MESRRESEKKKLKRSEILEKKKAIDQSIKAASAQTDQLASFPPFRCFNRNGLCVRLESGRGDKLSPCMKQCIQSLLKANMEGPYGSEWAAEEKVKRREMVAPEARYIFVHSNANEMTVDDAPSPSPLLGFVHYRFTVEQEITVLYLYEIQLDSSVQGKGLGKFLMHLIELIARKNHMGALVLTVQKANSLAMKFYMSKLRFVISSISPSRVNPLVGVENNYEILCKTFDPDAKAILEVNNGD >EOY21512 pep chromosome:Theobroma_cacao_20110822:3:10284800:10289067:-1 gene:TCM_013199 transcript:EOY21512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein isoform 7 MESRRESEKKKLKRSEILEKKKAIDQSIKAASAQTDQLASFPPFRCFNRNGLCVRLESGRGDKLSPCMKQCIQSLLKANMEGPYGSEWAAEEKVKRREMVAPEARYIFVHSNANEMTVDDAPSPSPLLGFVHYRFTVEQEITVLYLYEIQLDSSVQGKGLGKFLMHLIELIARKNHMGALVLTVQKANSLAMKFYMSKLRFVISSISPSRVNPLVGVENNYEILCKTFDPDAKAILEESE >EOY21518 pep chromosome:Theobroma_cacao_20110822:3:10286638:10289222:-1 gene:TCM_013199 transcript:EOY21518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein isoform 7 MESRRESEKKKLKRSEILEKKKAIDQSIKAASAQTDQLASFPPFRCFNRNGLCVRLESGRGDKLSPCMKQCIQSLLKANMEGPYGSEWAAEEKVKRREMVAPEARYIFVHSNANEMTVDDAPSPSPLLGFVHYRFTVEQEITVLYLYEIQLDSSVQGKGLGKFLMHLIELIARKNHMGALVLTVQKANSLAMKFYMSKLRFVISSISPSRVNPLVGVENNYEILCKTFDPDAKAILEVYSVQCRCYYHVIYILLNSSIC >EOY21513 pep chromosome:Theobroma_cacao_20110822:3:10284800:10289067:-1 gene:TCM_013199 transcript:EOY21513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein isoform 7 MESRRESEKKKLKRSEILEKKKAIDQSIKAASAQTDQLASFPPFRCFNRNGLCVRLESGRGDKLSPCMKQCIQSLLKANMEGPYGSEWAAEEKVKRREMVAPEARYIFVHSNANEMTVDDAPSPSPLLGFVHYRFTVEQEITVLYLYEIQLDSSVQGKGLGKFLMHLIELIARKNHMGALVLTVQKANSLAMKFYMSKLRFVISSISPSRVNPLVGVENNYEILCKTFDPDAKAILEESE >EOY21516 pep chromosome:Theobroma_cacao_20110822:3:10266107:10289222:-1 gene:TCM_013199 transcript:EOY21516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein isoform 7 MESRRESEKKKLKRSEILEKKKAIDQSIKAASAQTDQLASFPPFRCFNRNGLCVRLESGRGDKLSPCMKQCIQSLLKANMEGPYGSEWAAEEKVKRREMVAPEARYIFVHSNANEMTVDDAPSPSPLLGFVHYRFTVEQEITVLYLYEIQLDSSVQGKGLGKFLMHLIELIARKNHMGALVLTVQKANSLAMKFYMSKLRFVISSISPSRVNPLVGVENNYEILCKTFDPDAKAILEVSTDWID >EOY23039 pep chromosome:Theobroma_cacao_20110822:3:25911450:25914864:1 gene:TCM_015047 transcript:EOY23039 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRNA, cds, clone: RAFL24-31-B07, putative MASNPALKPEIGPDGLAREAPVIAYTEKIIEEEQLQLKKYIEENYSKIRDVERELSNLTMEMKLTAGPKKAALEHLRKKIEMSTERIHIAKQKEEQARKTWEAAVKALNDEEAIKQKLCEDLNNLVQESSNSQFARLEELKRRLEALNPSRASTLSHHDRKAIGSAQGAATGDASSVPQTTQSGSTASENVPNQRNDGNVLAMNGQNQLPTNDGEVKGKKKSQFQGRGKGIGAVPKGRGSAAPGWTGAGFDVDGRT >EOY22001 pep chromosome:Theobroma_cacao_20110822:3:20323754:20326611:-1 gene:TCM_014162 transcript:EOY22001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCKHMMFRILLWQKANNIPFFALTALCLTPPQLSVHKQLGEKRFLDEFTAKHCTKVPAKRAQKKGVVASKMRLSAANKTFTLRLPAAADEVLNCVMRSSQSTAFPSPTPPNQITPNEPCLAEMTR >EOY22367 pep chromosome:Theobroma_cacao_20110822:3:23174886:23179148:1 gene:TCM_014558 transcript:EOY22367 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein MATLSILHFSLQKILITVNTIFLLSSSLPSASAITPFKKIYAFGDSFTDTGNTGSLTGPTGFVHVSNPPYGTTFFHHPTNRYSDGRLVIDFVAQSLSLPFLPPYRKSKGNTTYGVNFAVAGSTAINHAFFAKNNLSLDITPESIQTQLIWFDKYLESQGCKGPESGPECKEGLDDALFWIGEIGVNDYAYTLGSTVSDDTIRKLAIYSLTEFLQSLLKKGAKYVVVQALPTTGCLPLAMTLAPSDDRDDIGCVKSVNNQSYTHNLVLQAKLSDLRQQFPQAVIAYADYWNAYRTVMKNPEKYGFKESFKACCGTGDPYNFDVFNTCGTPSVTACSNPSEHINWDGVHLTEAMYKVVADMFLNGNLSNPPFKSLLERKQQKP >EOY21432 pep chromosome:Theobroma_cacao_20110822:3:7913384:7916091:1 gene:TCM_012968 transcript:EOY21432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKMELGEDITSMLDRFTNITNKLSQLGKLIPEHEIVELKEEEEEDRKEAKEKKKSIALKASILEEELEELSCDDEKELALINTQPLGKFDAKIDEVIFLGYALNSKAYRVFNKKTLNIEESIHVVFDESNDLQKEIHVDDDDVEILEKQMKEMSLENNKNNEESPPRREKETPSLKDLQRTKNQHNDLPRS >EOY25384 pep chromosome:Theobroma_cacao_20110822:3:34110076:34112860:-1 gene:TCM_016710 transcript:EOY25384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family (UPF0114) [Source:Projected from Arabidopsis thaliana (AT4G19390) TAIR;Acc:AT4G19390] MTPPSPSPSPFISKPLRTTTPRMQFYNYNISRKLGQNFKRVAKFEPHGAEFPVTKTSGRQIPLVALAATNSELDTGVFQSSPDKLEAIEEDIEKVIYRCRFMTLLAVFGSLTGSFLCFIKGCSYIITSFMEYFVDRGKVIFLLVEAIDVYLLGTVMLVFGMGLYELFVSNLDIAKSQSKEEVTSRSNLFGLFALKERPRWLEIKSVSEMKTKLGHVIVMLLLIGFFEKCKKAAIYSPVDLLCFSASVFLSSSCLFLLSKLNDS >EOY21437 pep chromosome:Theobroma_cacao_20110822:3:8057414:8063027:-1 gene:TCM_012983 transcript:EOY21437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 2 MFTFVSLLLLFFIDAPLSPGTYSNPFQKETWMHTILLSFQSLGVVYGRLSTAPLYVFGSIPPKDFKSEESVYEYFSFIFWTLTIVSLVKYAFIVMRADDDGEGGTFALYSLLCRHAKVGLLPNDKSANDVMHYEAGSPLRTKVKSRARRAIAKHKSSHYLMLFLALFGSCMIIGDAVLTPAISGLTNLTKFSIFGIVFFLWFYQLHQAFNDHYQISNVRASISSSRETDEFMSKKLKKYVSVPCACAILVYLFTMQHYGSHKIGFIFAPIVILWLLLIGGVGIYNIVHCDPKIICAISPTYMYTFVKNISVESWRALGSVILCVAGSEAMFADLGHFSMKSIKAINLAAFISHALSPKNNSDVPEDYNHLYKSVPKHVHHVFIILSLLASAIGSQATITACFSIINQCHALGCFPRVKVIHTSSKIHGQVYIPDVNWIVMVLSIGVTIGFHDIVRIGNASSMALVSGMLVTTCLMSLVIALYWEKSLLASACFLMFFGSIEPMYLSSSMLNFHKGAWYLVVLLVLSLTIMVAWHYGAMKKYEFDLENKLSMEWLTNLSPGLGVSRVPGIGFIYTDIVKGIPAFFSHFITNLLAFHQVLIFVSFKSLPVPYVSPDRRYLIGRVGPREYKIYRCIVRYGYCDHIRDTDDFEEQIIGSIGEFISLEEHHFESLMSPEGRMIVVGRHLPEESALISLHDTYLGMGGAGSANAEPQMKVRSTVGDADNEGKRKKKVQFMLPTNSPKMRVPVREELQELINARESGTAYFLGQSHLAVRNGSNLLKQFLIMTYVFFDKNCREPHVALNIPHAALVEVGMVYTI >EOY25282 pep chromosome:Theobroma_cacao_20110822:3:33814664:33817058:1 gene:TCM_016647 transcript:EOY25282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative MERLSYGGGAGGGAVGGENFGYENGVVMTRDPKPRLRWTADLHDRFVDAVTKLGGPDKATPKSVLRLMGLKGLTLYHLKSHLQKYRLGQQARKQNAVDQNKDNGGSSYVQFSNHSPGTITNSPSADNDQRQIPVADALNTHLEVRRTLQEQLEVQKKLQMRVEAQGRYLQAILEKAHKSLSFDINCEGNVEETRAELTNFNLALSSLMENVNGGADRKPNVVQMNEVPKKATSCSAFQNYAVGERERNKDVKLKVEGESINFDLNTKDSFEFVAVNGNELQSHMFSYKR >EOY23193 pep chromosome:Theobroma_cacao_20110822:3:26572700:26576724:1 gene:TCM_015170 transcript:EOY23193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase isoform 2 MNINMRENNMQQGNGSGLFSQFTETIWRPFAKSSARSSAIFVVFIVLLVGAFSTHLLDTTTFLGSLAQKPMLSTRTSRGNPKKPRQQRDIPLNCTARNLTRACPTNDPTAIEEEPDSSLNAMCPDYFRWIHEDLRPWAYTGISMDMLKRAEKTANFRLVVVNGRAYVQRYRRSFQTRDVFTLWGILQLLRRYPGKVPDLDLMFDCVDWPVIKTSDYGGPNATTPPPLFRYCKDDETLDIVFPDWSFWGWPEINIKPWVPLLNDLMEGNKRMGWEGREPHAYWKGNPNVATTRQDLLKCNVSDKQDWGARVYAQDWARESQQGYKQSDLANQCIHRFKIYIEGSAWSVSEKYILACDSLTLLVKPRYYDFFTRSLEPMRHYWPIKDDDKCRSIKHAVDWGNGHQQEAQAIGKAASEFIKEGLKMDYVYDYMFHLLNEYAKLLRYKPTVPRKAVELCSETMACPAEGLQKKFMMESMVKGPSVTSPCTMPPPYDPASLYALLSKKENSIKQVEEWEKKFWEMQK >EOY23194 pep chromosome:Theobroma_cacao_20110822:3:26572559:26577035:1 gene:TCM_015170 transcript:EOY23194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase isoform 2 MNINMRENNMQQGNGSGLFSQFTETIWRPFAKSSARSSAIFVVFIVLLVGAFSTHLLDTTTFLGSLAQKPMLSTRTSRGNPKKPRQQRDIPLNCTARNLTRACPTNDPTAIEEEPDSSLNAMCPDYFRWIHEDLRPWAYTGISMDMLKRAEKTANFRLVVVNGRAYVQRYRRSFQTRDVFTLWGILQLLRRYPGKVPDLDLMFDCVDWPVIKTSDYGGPNATTPPPLFRYCKDDETLDIVFPDWSFWGWPEINIKPWVPLLNDLMEGNKRMGWEGREPHAYWKGNPNVATTRQDLLKCNVSDKQDWGARVYAQDWARESQQGYKQSDLANQCIHRFKIYIEGSAWSVSEKYILACDSLTLLVKPRYYDFFTRSLEPMRHYWPIKDDDKCRSIKHAVDWGNGHQQEAQAIGKAASEFIKEGLKMDYVYDYMFHLLNEYAKLLRYKPTVPRKAVELCSETMACPAEGLQKKFMMESMVKGPSVTSPCTMPPPYDPASLYA >EOY23195 pep chromosome:Theobroma_cacao_20110822:3:26572700:26576724:1 gene:TCM_015170 transcript:EOY23195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase isoform 2 MNINMRENNMQQGNGSGLFSQFTETIWRPFAKSSARSSAIFVVFIVLLVGAFSTHLLDTTTFLGSLAQKPMLSTRTSRGNPKKPRQQRDIPLNCTARNLTRACPTNDPTAIEEEPDSSLNAMCPDYFRWIHEDLRPWAYTGISMDMLKRAEKTANFRLVVVNGRAYVQRYRRSFQTRDVFTLWGILQLLRRYPGKVPDLDLMFDCVDWPVIKTSDYGGPNATTPPPLFRYCKDDETLDIVFPDWSFWGWPEINIKPWVPLLNDLMEGNKRMGWEGREPHAYWKGNPNVATTRQDLLKCNVSDKQDWGARVYAQDWARESQQGYKQSDLANQCIHRSLEPMRHYWPIKDDDKCRSIKHAVDWGNGHQQEAQAIGKAASEFIKEGLKMDYVYDYMFHLLNEYAKLLRYKPTVPRKAVELCSETMACPAEGLQKKFMMESMVKGPSVTSPCTMPPPYDPASLYALLSKKENSIKQVEEWEKKFWEMQK >EOY24053 pep chromosome:Theobroma_cacao_20110822:3:29457059:29460344:-1 gene:TCM_015755 transcript:EOY24053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin family protein MRMEEIVEETDGKHEKMAEITLKTVGPARPTRLHVPSSIRVLDLRKLIAGKNRLPVENLKLILRGKVLHDREDGDDIYIQLNDGDSLIVAVKPKPPVGHDIDDDDEDLKFQLPQSTSRWQKKLYSFLHNRLRLPDILLIAIFSLSLKAWAFIILWFILAPVAHKWDLGPLFILGTGFCIIFLNLGRRQPGDVSAYSIFNEDFRELPGTLNADAIDRDIRTGQF >EOY25095 pep chromosome:Theobroma_cacao_20110822:3:33222955:33226721:-1 gene:TCM_016514 transcript:EOY25095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MPGLVSVKSPPDAPPLRISVPDQAPPHNPPRTPNKRVPSPSPSRSKPSPARSAKKPPPESPNPDDSSLDNPDLGPFLLKLARDTIASGEGPSKALDYAIRAAKSFERCAVEGEPSLDLAMSLHVLAAIYCSLGRFDEAVPVLERAIQVPDVDRGPDHALAAFSGHMQLGDTYSMLGQVEKSIGCYEEGLKIQIQALGETDARVGETCRYLAEAHVQAMQFDKAEELCKKTLEIHRAHSEPASLEEAADRRLMALVCEAKGDYEAALEHLVLASMAMIANGQENEVAAIDVSIGNIYMSLCRFDEAVFSYQKALTVFKSSKGDNHPSVASVFVRLADLYHRTGKLRESKSYCENALRIFAKPVPGTTAEEIAGGLTEISAIYESVDEPEEALKLLQKAMKLLEDKPGQQSTIAGIEARMGVMFYILGRYEEARNSFESAVAKLRASGERKSAFFGVMLNQMGLACVQLFKIDEAADLFEEARAILEQECGPCHQDTLGVYSNLAATYDAMGRVEDAIEILEYVLKLREEKLGIANPDFEDEKSRLAELLKEAGRSRNRKAKSLENLIAPSSRRTKKEGTKRWPGLGFRI >EOY24338 pep chromosome:Theobroma_cacao_20110822:3:30595209:30595719:1 gene:TCM_015966 transcript:EOY24338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDIHITRLEERYGQNYLLLQSMSLVRGFYLGTLMPFYMLMIRLVVHHKVVSLVYIFNGLLMHMA >EOY23903 pep chromosome:Theobroma_cacao_20110822:3:28962809:28967820:1 gene:TCM_015655 transcript:EOY23903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MSAMTEESELQNGFQAQSEPELESKVESQPEQQSEPETKPEEELKPEPVVTDADLKPEEDKEGSIQSNEVDKPASTDQIARPELGNDEGNRTFTMRELLSELKSEEDDAGSPYSQESTQQQTYQNNAAMELINSVTGADEEGRSRQRILIYAARRYATALERNPEDYDALYNWALVLQESADNVSPDSTSPSKDALLEEACKKYDEATRLCPTLHDAFYNWAIAISDRAKMRGRTKEAEELWEQATKNYEKAVQLNWNSPQALNNWGLALQELSAIVPARAKQKIVRTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRTGGSTNLKEVSPNELYSQSAIYIAAAHALKPNYSVYSSALRLVRSMRAAIGWGGLDLDG >EOY23902 pep chromosome:Theobroma_cacao_20110822:3:28962811:28969932:1 gene:TCM_015655 transcript:EOY23902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 LHRNHSFIAMSAMTEESELQNGFQAQSEPELESKVESQPEQQSEPETKPEEELKPEPVVTDADLKPEEDKEGSIQSNEVDKPASTDQIARPELGNDEGNRTFTMRELLSELKSEEDDAGSPYSQESTQQQTYQNNAAMELINSVTGADEEGRSRQRILIYAARRYATALERNPEDYDALYNWALVLQESADNVSPDSTSPSKDALLEEACKKYDEATRLCPTLHDAFYNWAIAISDRAKMRGRTKEAEELWEQATKNYEKAVQLNWNSPQALNNWGLALQELSAIVPARAKQKIVRTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRTGGSTNLKEVSPNELYSQSAIYIAAAHALKPNYSVYSSALRLVRSMVIRVEQKQISRSLSGRTADVANIDKRAIRVDIPDIVSVSACADLTLPP >EOY23901 pep chromosome:Theobroma_cacao_20110822:3:28962950:28969539:1 gene:TCM_015655 transcript:EOY23901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MSAMTEESELQNGFQAQSEPELESKVESQPEQQSEPETKPEEELKPEPVVTDADLKPEEDKEGSIQSNEVDKPASTDQIARPELGNDEGNRTFTMRELLSELKSEEDDAGSPYSQESTQQQTYQNNAAMELINSVTGADEEGRSRQRILIYAARRYATALERNPEDYDALYNWALVLQESADNVSPDSTSPSKDALLEEACKKYDEATRLCPTLHDAFYNWAIAISDRAKMRGRTKEAEELWEQATKNYEKAVQLNWNSPQALNNWGLALQELSAIVPARAKQKIVRTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRTGGSTNLKEVSPNELYSQSAIYIAAAHALKPNYSVYSSALRLVRSMLPLPHLKDGYLTAPPAGNTIAPHSDWKRTEFFLNHEALQQVIRVEQKQISRSLSGRTADVANIDKRAIRVDIPDIVSVSACADLTLPPGAGLCIDTTRGPVFLVADSWESLDGWLDAIRLVYTIYARGKTDVLAGIITA >EOY25181 pep chromosome:Theobroma_cacao_20110822:3:33488696:33493595:-1 gene:TCM_016581 transcript:EOY25181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein isoform 4 MALLFTAHPSSLFLSQSPRSSLSQSPKPVSLSFSFPTKPHKVFRFSSLVSFSSSQPDPTPEPDSDPKPTPLSSITDEWGEKTELGSEPEPTKLPDSDPPTNEDEWEEQYAEMGNGSAAAAQSAEAAVEEEEEEEDGFDSRIADLKRCLVDTVYGTELGFRTGPEVRAEVLELVNQLEAVNPTPAPVEATQLLDGYWVLLYTASSELLPLLAAGSTPLLKVKSISQTIDTERLSIVNSTTLASPFVNFSFSASAAFEVRTPSRIQVEFKEGTLQPPEIKPSIDLPADVDVFGQKISLLPVQQSLNPLQELVANISTAISGQPPLKIPIPGERSGSWLLITYLDEDLRISRGDGGLFVLARQGSPLLEQ >EOY25182 pep chromosome:Theobroma_cacao_20110822:3:33489285:33491571:-1 gene:TCM_016581 transcript:EOY25182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein isoform 4 MALLFTAHPSSLFLSQSPRSSLSQSPKPVSLSFSFPTKPHKVFRFSSLVSFSSSQPDPTPEPDSDPKPTPLSSITDEWGEKTELGSEPEPTKLPDSDPPTNEDEWEEQYAEMGNGSAAAAQSAEAAVEEEEEEEDGFDSRIADLKRCLVDTVYGTELGFRTGPEVRAEVLELVNQLEAVNPTPAPVEATQLLDGYWVLLYTASSELLPLLAAGSTPLLKVKSISQTIDTERLSIVNSTTLASPFVNFSFSASAAFEVRTPSRIQWDKPMRRKKF >EOY25184 pep chromosome:Theobroma_cacao_20110822:3:33489984:33491462:-1 gene:TCM_016581 transcript:EOY25184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein isoform 4 MALLFTAHPSSLFLSQSPRSSLSQSPKPVSLSFSFPTKPHKVFRFSSLVSFSSSQPDPTPEPDSDPKPTPLSSITDEWGEKTELGSEPEPTKLPDSDPPTNEDEWEEQYAEMGNGSAAAAQSAEAAVEEEEEEEDGFDSRIADLKRCLVDTVYGTELGFRTGPEVRAEVLELVNQLEAVNPTPAPVEATQLLDGYWVLLYTASSELLPLLAAGSTPLLKVKSISQTIDTERLSIVNSTTLASPFVNFSFSASAAFEVRTPSRIQVNLKLNLTGCLSLICLESSLTTLQKDKKFITQHRTNLFRKVSFNTSRNCSPLEISDVGVNLMLLTILNYLTVG >EOY25180 pep chromosome:Theobroma_cacao_20110822:3:33488597:33493595:-1 gene:TCM_016581 transcript:EOY25180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein isoform 4 MALLFTAHPSSLFLSQSPRSSLSQSPKPVSLSFSFPTKPHKVFRFSSLVSFSSSQPDPTPEPDSDPKPTPLSSITDEWGEKTELGSEPEPTKLPDSDPPTNEDEWEEQYAEMGNGSAAAAQSAEAAVEEEEEEEDGFDSRIADLKRCLVDTVYGTELGFRTGPEVRAEVLELVNQLEAVNPTPAPVEATQLLDGYWVLLYTASSELLPLLAAGSTPLLKVKSISQTIDTERLSIVNSTTLASPFVNFSFSASAAFEVRTPSRIQVEFKEGTLQPPEIKPSIDLPADVDVFGQKISLLPVQQSLNPLQELVANISTAISGQPPLKIPIPGERSGSWLLITYLDEDLRISRGDGGLFVLARQGSPLLEQ >EOY25183 pep chromosome:Theobroma_cacao_20110822:3:33489778:33491571:-1 gene:TCM_016581 transcript:EOY25183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein isoform 4 MALLFTAHPSSLFLSQSPRSSLSQSPKPVSLSFSFPTKPHKVFRFSSLVSFSSSQPDPTPEPDSDPKPTPLSSITDEWGEKTELGSEPEPTKLPDSDPPTNEDEWEEQYAEMGNGSAAAAQSAEAAVEEEEEEEDGFDSRIADLKRCLVDTVYGTELGFRTGPEVRAEVLELVNQLEAVNPTPAPVEATQLLDGYWVLLYTASSELLPLLAAGSTPLLKVKSISQTIDTERLSIVNSTTLASPFVNFSFSASAAFEVRTPSRIQVNLKLNLTGCLSLICLESSLTTLQKDKKFITQHRTNLFRKVSFNTSRNCSPLEISDVGVNLMLLTILNYLTVG >EOY23458 pep chromosome:Theobroma_cacao_20110822:3:27476906:27478032:1 gene:TCM_015347 transcript:EOY23458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFLKRSQIYQEPNIKGSDEFLCVFFFCVAKTCEINKEREKPEDGGRMWVWDFKGHFPVLNEGQNGGKEGINQERKGRSLTETKVKWIILIKTGLRS >EOY23440 pep chromosome:Theobroma_cacao_20110822:3:27405976:27408191:-1 gene:TCM_015332 transcript:EOY23440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related isoform 2 MNRYKAQRRVQIDESETPKYDDDVQDEEQQEENGIRLRPSDSNVTEDQEPFMGFKARRKASLRREYKGDYLDVPSRPFLMKILQKQGDKQVLFADKVLKFTSSGKMKRRILMITDFAIYIVDPDTDALKRRIALAAVDKMCLSELSDNFFAIIIPTEYDLLMASTRKTEIVTVLVEATKSASEYELEVV >EOY23439 pep chromosome:Theobroma_cacao_20110822:3:27405976:27408223:-1 gene:TCM_015332 transcript:EOY23439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related isoform 2 MNRYKAQRRVQIDESETPKYDDDVQDEEQQEENGIRLRPSDSNVTEDQEPFMGFKARRKASLRREYKGDYLDVPSRPFLMKILQKQGDKQVLFADKVLKFTSSGKMKRRILMITDFAIYIVDPDTDALKRRIALAAVDKMCLSELSDNFFAIIIPTEYDLLMASTRKTEIVTVLVEATKSASEYELEVVFSNSRFEYNASADLVKEVQFEEVEGGVRTRIVRK >EOY23438 pep chromosome:Theobroma_cacao_20110822:3:27406340:27408187:-1 gene:TCM_015332 transcript:EOY23438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related isoform 2 MNRYKAQRRVQIDESETPKYDDDVQDEEQQEENGIRLRPSDSNVTEDQEPFMGFKARRKASLRREYKGDYLDVPSRPFLMKILQKQGDKQVLFADKVLKFTSSGKMKRRILMITDFAIYIVDPDTDALKRRIALAAVDKMCLSELSDNFFAIIIPTEYDLLMASTRKTEIVTVLVEATKSASEYELEV >EOY23437 pep chromosome:Theobroma_cacao_20110822:3:27405787:27408151:-1 gene:TCM_015332 transcript:EOY23437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related isoform 2 MNRYKAQRRVQIDESETPKYDDDVQDEEQQEENGIRLRPSDSNVTEDQEPFMGFKARRKASLRREYKGDYLDVPSRPFLMKILQKQGDKQVLFADKVLKFTSSGKMKRRILMITDFAIYIVDPDTDALKRRIALAAVDKMCLSELSDNFFAIIIPTEYDLLMASTRKTEIVTVLVEATKSASEYELEVVFSNRFEYNASADLVKEVQFEEVEGGVRTRIVRK >EOY25117 pep chromosome:Theobroma_cacao_20110822:3:33303365:33333632:1 gene:TCM_016537 transcript:EOY25117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalase 1 isoform 1 MASFQSQTNCENLFLFLVSVFFLLLSLTPMSAVNSDSAPSSCSKDSGPVVPTIPLLGFLQRVQETALKTYGKSSFDPKDYVDLSLKFNLSTTVEAFDKLPKTANGSVSVKDLDAFIGEYFKGAGEDLVYAEPLDFVPEPHGFLPKVENPEVRAWALEVHALWKNLSRKVSTSVHQHPELHTMLPLPGPVVIPGSRFREVYYWDSYWVIRGLLASKMYETAKAIVINLISLLDTYGHVLNGARAYYTNRSQPPLLSAMVYEIYNRTGDVELVRKALPPLLKEYQFWNSEIHKVIISDAELGNHSLNRYYAMWNKPRPESSTMDKKFASKLLNDCEKQQFYRELASTAESGWDFSTRWMRNPSEFTTLATTKILPVDLNIFILRMEVDIAFFAKVVGENTVAEDFLRASQTRQKAFNSVFWNAKMGQWLDYWLNNNAACEESQTWEAQNQNENVFASNFVPLWIDLFNSDTPLVEKV >EOY25115 pep chromosome:Theobroma_cacao_20110822:3:33329592:33334867:1 gene:TCM_016537 transcript:EOY25115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalase 1 isoform 1 MASFQSQTNCENLFLFLVSVFFLLLSLTPMSAVNSDSAPSSCSKDSGPVVPTIPLLGFLQRVQETALKTYGKSSFDPKDYVDLSLKFNLSTTVEAFDKLPKTANGSVSVKDLDAFIGEYFKGAGEDLVYAEPLDFVPEPHGFLPKVENPEVRAWALEVHALWKNLSRKVSTSVHQHPELHTMLPLPGPVVIPGSRFREVYYWDSYWVIRGLLASKMYETAKAIVINLISLLDTYGHVLNGARAYYTNRSQPPLLSAMVYEIYNRTGDVELVRKALPPLLKEYQFWNSEIHKVIISDAELGNHSLNRYYAMWNKPRPESSTMDKKFASKLLNDCEKQQFYRELASTAESGWDFSTRWMRNPSEFTTLATTKILPVDLNIFILRMEVDIAFFAKVVGENTVAEDFLRASQTRQKAFNSVFWNAKMGQWLDYWLNNNAACEESQTWEAQNQNENVFASNFVPLWIDLFNSDTPLVEKVTRSLQSSGLLCAAGIATSLTNSGNQWDFPNGWAPLQHMIVEGLSRSTSTEARSIAKDIAERWIRTNYVAYKKTGAMHEKYDVEKCGEYGGGGEYIPQTGFGWSNGVVLAFLEEFGWPEDQKIGCN >EOY25116 pep chromosome:Theobroma_cacao_20110822:3:33302662:33335121:1 gene:TCM_016537 transcript:EOY25116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalase 1 isoform 1 MASFQSQTNCENLFLFLVSVFFLLLSLTPMSAVNSDSAPSSCSKDSGPVVPTIPLLGFLQRVQETALKTYGKSSFDPKDYVDLSLKFNLSTTVEAFDKLPKTANGSVSVKDLDAFIGEYFKGAGEDLVYAEPLDFVPEPHGFLPKVENPEVRAWALEVHALWKNLSRKVSTSVHQHPELHTMLPLPGPVVIPGSRFREVYYWDSYWVIRGLLASKMYETAKAIVINLISLLDTYGHVLNGARAYYTNRSQPPLLSAMVYEIYNRTGDVELVRKALPPLLKEYQFWNSEIHKVIISDAELGNHSLNRYYAMWNKPRPESSTMDKKFASKLLNDCEKQQFYRELASTAESGWDFSTRWMRNPSEFTTLATTKILPVDLNIFILRMEVDIAFFAKVVGENTVAEDFLRASQTRQKAFNSVFWNAKMGQWLDYWLNNNAACETWEAQNQNENVFASNFVPLWIDLFNSDTPLVEKVTRSLQSSGLLCAAGIATSLTNSGNQWDFPNGWAPLQHMIVEGLPTTLPTRRQVQCMRNMMWKSVVNTVVVVNTYPRPVSVGPME >EOY21711 pep chromosome:Theobroma_cacao_20110822:3:17594660:17595570:1 gene:TCM_013820 transcript:EOY21711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERSVLCLCHGRFSPDQVPRSRKTSTSGWGPEQWRFRRGVCLGWLWWTLSLDLDGDALLAGYVFRGVGMGTFHQDRKRGWHRLKPHRYGFRMVGRKSLEKDKKPLMLRETWTPGLEIIGSHRNCRKE >EOY23686 pep chromosome:Theobroma_cacao_20110822:3:28234655:28236318:1 gene:TCM_015499 transcript:EOY23686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small heat shock protein isoform 1 MASTLSWSSSPLVSNRAKPCSTKAIIPRSVSFPSGNSSCGRLSVVRAQAAGDNKDTSVDVHVDKNNQGRAVEKRPKRSAVDVSPFGNSKLMHFPCLFDPLSPMRSMRQMLDTMDQIFEDAMTLPGRSRSGGEVRAPWDIKDDEHEVKMRFDMPGLAKEDVKVSVEDDILVVKGEHKQEEGGDDSWTKRSYSSYDTRLQLPDNCEKDKIKAELKNGVLFISIPKTKVERKVIDVDVQ >EOY23687 pep chromosome:Theobroma_cacao_20110822:3:28234826:28236209:1 gene:TCM_015499 transcript:EOY23687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small heat shock protein isoform 1 MASTLSWSSSPLVSNRAKPCSTKAIIPRSVSFPSGNSSCGRLSVVRAQAAGDNKDTSVDVHVDKNNQGRAVEKRPKRSAVDVSPFGLFDPLSPMRSMRQMLDTMDQIFEDAMTLPGRSRSGGEVRAPWDIKDDEHEVKMRFDMPGLAKEDVKVSVEDDILVVKGEHKQEEGGDDSWTKRSYSSYDTRLQLPDNCEKDKIKAELKNGVLFISIPKTKVERKVIDVDVQ >EOY20570 pep chromosome:Theobroma_cacao_20110822:3:199849:201212:1 gene:TCM_011957 transcript:EOY20570 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 3 MLAASNGFRKNKVCCKAGTNGGDSKRTPRGERDSSLENLEMRRRGEANGGGENGSVQHESDSESLPSNSPTSSLLLGGTGSGTSFTNSSSGSTSSGGCCSGSITEEEGDDGCLDDWEAVADALAADDDKQEMERNENYRENLCSGSTPEHEPNPQLGLNGARSDLRNSKPECQRTVQMATGTSRAWRVDDAFRPQTLPNLSKQRSFPATDRHFGQGGVSWVRSSAFSPPSSCPICCEDLDFTDSSFLPCLCGFRLCLF >EOY20571 pep chromosome:Theobroma_cacao_20110822:3:199949:201499:1 gene:TCM_011957 transcript:EOY20571 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 3 MRRRGEANGGGENGSVQHESDSESLPSNSPTSSLLLGGTGSGTSFTNSSSGSTSSGGCCSGSITEEEGDDGCLDDWEAVADALAADDDKQEMERNENYRENLCSGSTPEHEPNPQLGLNGARSDLRNSKPECQRTVQMATGTSRAWRVDDAFRPQTLPNLSKQRSFPATDRHFGQGGVSWVRSSAFSPPSSCPICCEDLDFTDSSFLPCLCGFRLCLFCHKRILEDDGRCPGCRKAYEHDPMEAEASIQGGSMTFRLARSCSMIARS >EOY20569 pep chromosome:Theobroma_cacao_20110822:3:199945:201695:1 gene:TCM_011957 transcript:EOY20569 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 3 MDSDSINNASSTSVHNGKDFSRKKRRSAKLKQCKLDARREQWLSQSVVKNKVCCKAGTNGGDSKRTPRGERDSSLENLEMRRRGEANGGGENGSVQHESDSESLPSNSPTSSLLLGGTGSGTSFTNSSSGSTSSGGCCSGSITEEEGDDGCLDDWEAVADALAADDDKQEMERNENYRENLCSGSTPEHEPNPQLGLNGARSDLRNSKPECQRTVQMATGTSRAWRVDDAFRPQTLPNLSKQRSFPATDRHFGQGGVSWVRSSAFSPPSSCPICCEDLDFTDSSFLPCLCGFRLCLFCHKRILEDDGRCPGCRKAYEHDPMEAEASIQGGSMTFRLARSCSMIARS >EOY23831 pep chromosome:Theobroma_cacao_20110822:3:28716513:28725233:-1 gene:TCM_015603 transcript:EOY23831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein, putative MGNPMPFFVTVFILLVLNGHGLMTTKVEAKSNVHIVYLGERQLDDPKLVTDSHHDLLATVVGSKEVASDLMVYSYRHGFSGFAAKLTESQAQKLSELPGVVRVIPNSLHRLQTTRSWDFLGLSSHYPTNILQNSKMGDGVIIGVFDTGIWPESKAFSDEGLGPIPSRWKGVCKSGDHFNAATHCNRKIIGARWFIDGFLAEYGQPFNTSEDPEYFSPRDANGHGTHTSSTAGGSYVRNVSYRGLGPGTVRGGAPHARLAIYKVCWNVLGGQCASADILKAFDEAIHDGVDVLSLSIGYSLPLFSDVDERDGIATGSFHAVARGITVVCGAANDGPSAQTVQNTAPWIVTVAASTMDRAFPTPITLGNNKTFLGQAIFTGKENGFTGLTYPEGTGLDPTSAGACQDLLLNSTLVAGKVVLCFASVARRVAIRSAAATLQEAGGTGLIIAKNPSDALTECSNDFPCIEVDYEIGTRILYYIRSAKSPTVKLSPSKTLVGKPVSAKVAFFSSRGPSSIAPEILKPDITAPGVNILAAISQLNQLRDDGYAIRSGTSMATPHVSGIVALLKAIHPDWSPAAIKSALVTTAWTKDASGLPLFAEGSPQKLANPFDFGGGIVNPNGAADPGLVYDMGTSDYIQYLCAMGYNDSAISRLTGQSTVCPIKKPSILDVNVPSITISSLRNSATLTRTVTNVGAPISVYRAVIEPPTGITVTVRPNVLVFNSTTKKISFSVTVSAAHQKYATNTMGRLLIAISSYRTSLILLVNLFLILNGQNRFAAAVNAESRVHIVYMGERQQNDPKLITEFHHDLLATIVGSKEAAVDSMVYTYKHGFSGFAAKLTESQAQQISELPEVVHVIPNRFHSLQTTRTWDYLDISSYSPFNLLHDTDMGDGIIIGLLDTGVWPESVVFNDEGLEPIPARWKGLCESGQLFNGTTDCNRKLIGAKYFIDGFLAGNNQPFNTTDNPDYMSPRDSFGHGTHTSTIAGGSFVANASYKGLALGTSRGGAPRARIAMYKKLMSVMGLPLVHSML >EOY25304 pep chromosome:Theobroma_cacao_20110822:3:33884218:33891864:-1 gene:TCM_016665 transcript:EOY25304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 7 MWNSWILVAGLALLCTSSAMQVDHDANAMIIDGERKLIFSGAIHYPRSTPEMWPDLIKKAKDGGLNAIETYIFWNAHEPRPRQYNFSGNLDFIKFFKLVHEAGLYGILRIGPYVCAEWNYGGFPVWLHNIDGIELRTNNEIYKKEMQIFTTKIVEMCKEANLFAPQGGPIILSQIENEYGNIMDKYKEKGKEYVKWCAEMAVAQNVGVPWIMCQQGDAPQPMINTCNGFYCDQFKPNNQKSPKMFTENWTGWFKKWGQKDPYRTAEDLAYSVARFFQLGGVLINYYMYHGGTNFGRTSGGPYITTSYDYNAPLDEYGNLNQPKWGHLKQLHEALRVGEKFLTNGTSETKDSGDGIQLTTFTDGQTGERFCFLSNTNNNKDVNVDLKDDGMHFVPAWSVSILGACKKEIYNSAKVNTQTSVMVKKQIGDEDKSAQFNWTWTLEPMRDTLEGKGTFSANTLLEQKRASDDLSDYLWYMTSVDINGTSFNEGTIFANSSGQVVHAYVNGRLIGTKSGYSFQFRNPVSLVPGRNNITLLSVTVGLQNYGDHFDLGPEGVTGPVGLIDVGNKTIDLSSNAWNYKVGLNGEAKKLYDPNSPNLQLWRTSEELPIGRPMTWYKTAFKAPSGTDPVVLDLMGMGKGHAWINGNSIGRFWPAQIADNNGCTDECDYRGAYKEQKCLSNCGNPSQRWYHVPRSFITSGTNFLVLFEEIGGDPSEVSFQTVTTGSICANANEGSLLELSCHGGNVISKIEFASYGNPKGRCGSLTKGSCEAEGSLSALEKICVGKESCTVDVSEKTFGSADCGEDKKSLAVEALCENQMVYLRELKKKKNQSGGSSWGFPPATFDQKQKQKQLGLPTPPRDMANSSEQQSRGSRNGSDRIETLYDQYQRNLPSSSEEKSSKGKFHNRVDKFFKAAARGDNPSSNKDQTQADNPAAPPNGKATQTQNNSDEGSSSQKRKIRKTYSHPPKVRGFEYDERSLEMMLLEGGKDNSFKTIGVVGPPGVGKTTLCKSILENERVKRSYGRRIWVSLPEKPEDETTLDHAGATEDIVELIYDEHKLPRLLRDQQLKEKKYLIVLDDVGEGEIDSYYNALKACFSDELPKEKGGAVIVTSRSEEAAKKVIGDKHLHRLLPLSDPKSCWLIYTDAVEGFPTPEDATASKDVMEELMKKSGGLPGAAQMMGKIKAAKKGNNNSSLRS >EOY20861 pep chromosome:Theobroma_cacao_20110822:3:1554107:1558499:-1 gene:TCM_012202 transcript:EOY20861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan aminotransferase related 2, putative MLQFSLREMAKFLSVFSVRNLLVLSLALNVSLVLRVLVLHDSQNGSFNGFFFEKQNGPQEEAHASKRARLSSSVSSSSSSPLTMAQGDQDRVINLDHGDPTMYENYWQKKGDETTIVIPGWQFMSYFSDPTSLCWFLEPEFAKQVVRLHKVVGNAVTENRHIVVGTGSTQLFQAALYALSLKTEAEPVSVVSAAPYYSSYPVITDCLRSGLYKWAGDARSFSKNGPYIELVTSPNNPDGFVRHSIVNRSEGILIHDLAYYWPQYTPISSPANYDLMLFTVSKSTGHAGMRIGWALVKDEDVAKRMTKYIELNTIGVSKDSQLRAATVLKVISDSCERSDEEGDSFFEFSYRLMAKRWKQLREAVHQSGLFSVPDFPPQFCNFLIRVFEPQPAFAWVKCEGEIEDCESFLRGKKILTRGGKHFGVSPKYVRISMLDRDNNYDTFVERMSTIQS >EOY20656 pep chromosome:Theobroma_cacao_20110822:3:468736:473840:1 gene:TCM_012016 transcript:EOY20656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 5 isoform 3 MSSPSKRREMDVMKLMMSDYNVETINDGLNEFNVEFHGPKESLYEGGVWKIRVELPDAYPYKSPSIGFMNKIFHPNVDEMSGSVCLDVINQSWSPMFDLLNIFEVFLPQLLLYPNPSDPLNGDAASLMMKDRKQYDQKVKEYCERYAKKENITKSTADEESDEEDVTDEESKSSDDEIAGHADP >EOY20657 pep chromosome:Theobroma_cacao_20110822:3:468719:473888:1 gene:TCM_012016 transcript:EOY20657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 5 isoform 3 MSSPSKRREMDVMKLMMSDYNVETINDGLNEFNVEFHGPKESLYEGGVWKIRVELPDAYPYKSPSIGFMNKIFHPNVDEMSGSVCLDVINQSWSPMFDLLNIFEVFLPQLLLYPNPSDPLNGDAASLMMKDRKQYDQKVKEYCERYAKKENITKSTADEESDEEDVTDEESKSSDDEIAGHADP >EOY20658 pep chromosome:Theobroma_cacao_20110822:3:471019:473916:1 gene:TCM_012016 transcript:EOY20658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 5 isoform 3 MMSDYNVETINDGLNEFNVEFHGPKESLYEGGVWKIRVELPDAYPYKSPSIGFMNKIFHPNVDEMSGSVCLDVINQSWSPMFDLLNIFEVFLPQLLLYPNPSDPLNGDAASLMMKDRKQYDQKVKEYCERYAKKENITKSTADEESDEEDVTDEESKSSDDEIAGHADP >EOY22384 pep chromosome:Theobroma_cacao_20110822:3:23227864:23229948:-1 gene:TCM_014569 transcript:EOY22384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein, putative isoform 4 MGNEKHGDDPAKPIDLASSDPEYNGYPISKHRSCWVHVIGSLKARKKKISKQEAQKLRDFRLTAPCFLGNIPCRQRSKRRVKSKNSISKQTNRLDSGAFECYMEKLWSSFPEEKRTSFAYFDCQWFAWYRKASFREKVLSWIKREQIFSKKYVLVPVVCCLQSETKTPCMLLLDSLEIANPRRLEPDIRKFVLDIYRAEGRPEKKEMIYRIPLLVPKVPQQRDGEECGKFVLYFINLFVEGAPENFSIEGYPYFMRKDWFNAEGVECFCEKLDSFGK >EOY22386 pep chromosome:Theobroma_cacao_20110822:3:23227814:23230110:-1 gene:TCM_014569 transcript:EOY22386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein, putative isoform 4 MGNEKHGDDPAKPIDLASSDPGSLKARKKKISKQEAQKLRDFRLTAPCFLGNIPCRQRSKRRVKSKNSISKQTNRLDSGAFECYMEKLWSSFPEEKRTSFAYFDCQWFAWYRKASFREKVLSWIKREQIFSKKYVLVPVVCWSHWSLLIFCHFGESLQSETKTPCMLLLDSLEIANPRRLEPDIRKFVLDIYRAEGRPEKKEMIYRIPLLVPKQRDGEECGKFVLYFINLFVEGAPENFSIEGYPYFMRKDWFNAEGVECFCEKLDSFGK >EOY22389 pep chromosome:Theobroma_cacao_20110822:3:23228408:23229843:-1 gene:TCM_014569 transcript:EOY22389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein, putative isoform 4 MGNEKHGDDPAKPIDLASSDPGSLKARKKKISKQEAQKLRDFRLTAPCFLGNIPCRQRSKRRVKSKNSISKQTNRLDSGAFECYMEKLWSSFPEEKRTSFAYFDCQWFAWYRKASFREKVLSWIKREQIFSKKYVLVPVVCWSHWSLLIFCHFGESLQSETKTPCMLLLDSLEIANPRRLEPDIRKFVLDIYRAEGRPEKKEMIYRIPLLVPKVIIVQALGVVFIIL >EOY22383 pep chromosome:Theobroma_cacao_20110822:3:23227696:23230061:-1 gene:TCM_014569 transcript:EOY22383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein, putative isoform 4 MGNEKHGDDPAKPIDLASSDPEYNGYPISKHRSCWVHVIGSLKARKKKISKQEAQKLRDFRLTAPCFLGNIPCRQRSKRRVKSKNSISKQTNRLDSGAFECYMEKLWSSFPEEKRTSFAYFDCQWFAWYRKASFREKVLSWIKREQIFSKKYVLVPVVCWSHWSLLIFCHFGESLQSETKTPCMLLLDSLEIANPRRLEPDIRKFVLDIYRAEGRPEKKEMIYRIPLLVPKVPQQRDGEECGKFVLYFINLFVEGAPENFSIEGYPYFMRKDWFNAEGVECFCEKLDSFGK >EOY22388 pep chromosome:Theobroma_cacao_20110822:3:23227814:23229805:-1 gene:TCM_014569 transcript:EOY22388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein, putative isoform 4 IDLASSDPEYNGYPISKHRSCWVHVIGSLKARKKKISKQEAQKLRDFRLTAPCFLGNIPCRQRSKRRVKSKNSISKQTNRLDSGAFECYMEKLWSSFPEEKRTSFAYFDCQWFAWYRKASFREKVLSWIKREQIFSKKYVLVPVVCWSHWSLLIFCHFGESLQSETKTPCMLLLDSLEIANPRRLEPDIRKFVLDIYRAEGRPEKKEMIYRIPLLVPKVIIVQALGVVFIIL >EOY22385 pep chromosome:Theobroma_cacao_20110822:3:23227852:23229902:-1 gene:TCM_014569 transcript:EOY22385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein, putative isoform 4 MGNEKHGDDPAKPIDLASSDPGSLKARKKKISKQEAQKLRDFRLTAPCFLGNIPCRQRSKRRVKSKNSISKQTNRLDSGAFECYMEKLWSSFPEEKRTSFAYFDCQWFAWYRKASFREKVLSWIKREQIFSKKYVLVPVVCWSHWSLLIFCHFGESLQSETKTPCMLLLDSLEIANPRRLEPDIRKFVLDIYRAEGRPEKKEMIYRIPLLVPKVPQQRDGEECGKFVLYFINLFVEGAPENFSIEGYPYFMRKDWFNAEGVECFCEKLDSFGK >EOY22387 pep chromosome:Theobroma_cacao_20110822:3:23227864:23229948:-1 gene:TCM_014569 transcript:EOY22387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein, putative isoform 4 MGNEKHGDDPAKPIDLASSDPGSLKARKKKISKQEAQKLRDFRLTAPCFLGNIPCRQRSKRRVKSKNSISKQTNRLDSGAFECYMEKLWSSFPEEKRTSFAYFDCQWFAWYRKASFREKVLSWIKREQIFSKKYVLVPVVCCLQSETKTPCMLLLDSLEIANPRRLEPDIRKFVLDIYRAEGRPEKKEMIYRIPLLVPKVPQQRDGEECGKFVLYFINLFVEGAPENFSIEGYPYFMRKDWFNAEGVECFCEKLDSFGK >EOY22683 pep chromosome:Theobroma_cacao_20110822:3:24452451:24453715:1 gene:TCM_014784 transcript:EOY22683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGGNAFFFPVLSIYVAWASSCLQVINLFKNRVRRLSQGKVRESLPLNSDDADPKIPNAITYKRGILVQNRKSVVICG >EOY22097 pep chromosome:Theobroma_cacao_20110822:3:21357134:21364984:-1 gene:TCM_014292 transcript:EOY22097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAAKLLHSLADENPDLQKQIGCMTGIFQIFDRHHMLTTKRLSHRRLPAGISFLNNGILEEDSNNAYHRQAATEMNINRSGNEKQRISTESSRASFSSSCSSSLSSLDCNKTAQQDASSFDRILIPETPSRDPAMNQLSTSPHLGSACLDLRDVVKDSMYREARGLSVRTTTREEVSGSTVKHKGSPRPFPLPTSVDGSYGAGINGKQNVPADLKESLRVLAQLREAPWYYNNEARELQSSSHEANGSWNSISRDAPRFSYDGREINRLSFESRETFKSTPKLKELPRLSLDSRERLMRGSNYLTKSFHNRGNLNSRVTDPPQSLGGQKRPPNVVAKLMGLEPLPDSSSAGDRQLGVIKTCSVEDNNPFSRSLRANDLNRRTRTSNSSRNSLKEPTSPRWKNPDMVMKPISSSRFPIEPAPWRHVDGSRGSQKQPLKQFKVPAKTPNSFPSVYREIEKRLKDLEFQQSGKDLRALKQILEAMQAKGLLESRKEEQAANLVTQRDHEPKCTSPGQNLRGQRSPQNTRINTSTTRGSDSIRPYESPIVIMKPAKPVEKVDIPASTVIPIDDFSRLPKIHGGGSVDNKTGSINSRTVGDHTARNSRRDFAASSSDKRASSRSIKSIQSSIKPSKESTATLVKNSGSVSPRLQQKKLELDRRSRPPTPPSDPSKPRRQHSRHSSESGSPAGKHRPKSHNILQSDDQLSQVSNESRTSSHQGDDTSLQSDCNIILESKLDVEVTSNERSIEINGSQSPSMKAAKYSISGIMQKGAQGFNDNHNEEQWNPADNCLSNNVGSGLTSEISRKKLQNIEHLVQKLRRLNSNHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLSSGLTTFQLHPSGHPINPELFFVLEQTKASSILSKEESNSGKVPHSKPDHEKFHRKLIFDSVNEILVGKLALVGASPEPWVKSGKLAKKTLSAQKLLKELCLEIEQLQAKKSKCNLEEEEDGLKSILWEDVLCRSESWTDFHCEISGMVLDVERLVFKDLVDEIVIGERVGLRAKQSRRRQLFSK >EOY22096 pep chromosome:Theobroma_cacao_20110822:3:21357299:21368553:-1 gene:TCM_014292 transcript:EOY22096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAAKLLHSLADENPDLQKQIGCMTGIFQIFDRHHMLTTKRLSHRRLPAGISFLNNGILEEDSNNAYHRQAATEMNINRSGNEKQRISTESSRASFSSSCSSSLSSLDCNKTAQQDASSFDRILIPETPSRDPAMNQLSTSPHLGSACLDLRDVVKDSMYREARGLSVRTTTREEVSGSTVKHKGSPRPFPLPTSVDGSYGAGINGKQNVPADLKESLRVLAQLREAPWYYNNEARELQSSSHEANGSWNSISRDAPRFSYDGREINRLSFESRETFKSTPKLKELPRLSLDSRERLMRGSNYLTKSFHNRGNLNSRVTDPPQSLGGQKRPPNVVAKLMGLEPLPDSSSAGDRQLGVIKTCSVEDNNPFSRSLRANDLNRRTRTSNSSRNSLKEPTSPRWKNPDMVMKPISSSRFPIEPAPWRHVDGSRGSQKQPLKQFKVPAKTPNSFPSVYREIEKRLKDLEFQQSGKDLRALKQILEAMQAKGLLESRKEEQAANLVTQRDHEPKCTSPGQNLRGQRSPQNTRINTSTTRGSDSIRPYESPIVIMKPAKPVEKVDIPASTVIPIDDFSRLPKIHGGGSVDNKTGSINSRTVGDHTARNSRRDFAASSSDKRASSRSIKSIQSSIKPSKESTATLVKNSGSVSPRLQQKKLELDRRSRPPTPPSDPSKPRRQHSRHSSESGSPAGKHRPKSHNILQSDDQLSQVSNESRTSSHQGDDTSLQSDCNIILESKLDVEVTSNERSIEINGSQSPSMKAAKYSISGIMQKKSIARLVEDGSVAELAMVALEHPSPVSVLDTSVYTDDAPSPVKQILNTPGGNGAQGFNDNHNEEQWNPADNCLSNNVGSGLTSEISRKKLQNIEHLVQKLRRLNSNHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLSSGLTTFQLHPSGHPINPELFFVLEQTKASSILSKEESNSGKVPHSKPDHEKFHRKLIFDSVNEILVGKLALVGASPEPWVKSGKLAKKTLSAQKLLKELCLEIEQLQAKKSKCNLEEEEDGLKSILWEDVLCRSESWTDFHCEISGMVLDVERLVFKDLVDEIVIGERVGLRAKQSRRRQLFSK >EOY22901 pep chromosome:Theobroma_cacao_20110822:3:25229975:25237682:1 gene:TCM_014935 transcript:EOY22901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 5 MDLKSNHTAPILADPAPISKSRLGVHSSLLAYSPPGAVFSPNLFLTVPRKKTGILDDVRASGWLDAMKSSSPPHKKTREFNNELASADTDVAYRTWMVKYPSALTSFEQITNFAKGKRMALFLDYDGTLSPIVDNPDCAFMSTDMRAAVEKVAKYFPTAIISGRSRDKVYEFVGLTDLYYAGSHGMDIMGPVRQFSDDHANCIRSTDKQGKEVNLFQPASEFLPMIDEVFNSLVNSTKEIKGAKVENNKFCVSVHYRNVDEKNWTTVAQRVHDVIRNYPRLRLTHGRKVLEVRPVINWDKGKAVTFLLESLGLSNCDDVLPIYVGDDRTDEDAFKVLREGNRGYGILVSSMPKESNAFFSLRDPQEVMEFLKSLVIWKKKTIAKMIQETGFAQMRQMFSPHPAVSLFVCLSLNFPPSTGSWISTFIEQLSPTSFGCLHDCGERREEVKKTGDVGWYQSRTHPVLMTDVE >EOY22905 pep chromosome:Theobroma_cacao_20110822:3:25233103:25236083:1 gene:TCM_014935 transcript:EOY22905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 5 MDLKSNHTAPILADPAPISKSRLGVHSSLLAYSPPGAVFSPNLFLTVPRKKTGILDDVRASGWLDAMKSSSPPHKKTREFNNELASADTDVAYRTWMVKYPSALTSFEQITNFAKGKRMALFLDYDGTLSPIVDNPDCAFMSTDMRAAVEKVAKYFPTAIISGRSRDKVVYEFVGLTDLYYAGSHGMDIMGPVRQFSDDHANCIRSTDKQQGKEVNLFQPASEFLPMIDEVFNSLVNSTKEIKGAKVENNKFCVSVHYRNVDEKNWTTVAQRVHDVIRNYPRLRLTHGRKVLEVRPVINWDKGKAVTFLLESLGA >EOY22902 pep chromosome:Theobroma_cacao_20110822:3:25230267:25236534:1 gene:TCM_014935 transcript:EOY22902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 5 MDLKSNHTAPILADPAPISKSRLGVHSSLLAYSPPGAVFSPNLFLTVPRKKTGILDDVRASGWLDAMKSSSPPHKKTREFNNELASADTDVAYRTWMVKYPSALTSFEQITNFAKGKRMALFLDYDGTLSPIVDNPDCAFMSTDMRAAVEKVAKYFPTAIISGRSRDKVYEFVGLTDLYYAGSHGMDIMGPVRQFSDDHANCIRSTDKQGKEVNLFQPASEFLPMIDEVFNSLVNSTKEIKGAKVENNKFCVSVHYRNVDEKNWTTVAQRVHDVIRNYPRLRLTHGRKVLEVRPVINWDKGKAVTFLLESLGLSNCDDVLPIYVGDDRTDEDAFKVLREGNRGYGILVSSMPKESNAFFSLRDPQEVMEFLKSLVIWKKKTSAL >EOY22904 pep chromosome:Theobroma_cacao_20110822:3:25230267:25236534:1 gene:TCM_014935 transcript:EOY22904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 5 MDLKSNHTAPILADPAPISKSRLGVHSSLLAYSPPGAVFSPNLFLTVPRKKTGILDDVRASGWLDAMKSSSPPHKKTREFNNELASADTDVAYRTWMVKYPSALTSFEQITNFAKGKRMALFLDYDGTLSPIVDNPDCAFMSTDMRAAVEKVAKYFPTAIISGRSRDKVYEFVGLTDLYYAGSHGMDIMGPVRQFSDDHANCIRSTDKQGKEVNLFQPASEFLPMIDEVFNSLVNSTKEIKGAKVENNKFCVSVHYRNVDEKNWTTVAQRVHDVIRNYPRLRLTHGRKVLEVRPVINWDKGKAVTFLLESLGLSNCDDVLPIYVGDDRTDEDAFKVLREGNRGYGILVSSMPKESNAFFSLRDPQEVMEFLKSLVIWKKKTSAL >EOY22903 pep chromosome:Theobroma_cacao_20110822:3:25229930:25236564:1 gene:TCM_014935 transcript:EOY22903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 5 MDLKSNHTAPILADPAPISKSRLGVHSSLLAYSPPGAVFSPNLFLTVPRKKTGILDDVRASGWLDAMKSSSPPHKKTREFNNELASADTDVAYRTWMVKYPSALTSFEQITNFAKGKRMALFLDYDGTLSPIVDNPDCAFMSTDMRAAVEKVAKYFPTAIISGRSRDKVYEFVGLTDLYYAGSHGMDIMGPVRQFSDDHANCIRSTDKQGKEVNLFQPASEFLPMIDEVFNSLVNSTKEIKGAKVENNKFCVSVHYRNVDEKNWTTVAQRVHDVIRNYPRLRLTHGRKVLEVRPVINWDKGKAVTFLLESLGLSNCDDVLPIYVGDDRTDEDAFKVLREGNRGYGILVSSMPKESNAFFSLRDPQEVMEFLKSLVIWKKKTSAL >EOY22356 pep chromosome:Theobroma_cacao_20110822:3:23095772:23106722:1 gene:TCM_014549 transcript:EOY22356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Relative of early flowering 6, putative isoform 1 MAASSLSPEPSQEVFSWLKSLPLAPEYRPTLAEFQDPIAYIFKIEKEASQYGICKIIPPVPPAPKKTAIGNLNRSLLARAAANTSSDSKPAPTFTTRQQQIGFCPRKPRPVQKPVWQSGEYYTFQEFEAKAKNFERGYLKRYSRKGSLSALEVETLFWKATVDKPFSVEYANDMPGSAFVPLSSKKSSGGGREAGEGVTVGETPWNMRAVSRAKGSLLRFMKDEIPGVTSPMVYIAMLFSWFAWHVEDHDLHSLNYLHMGAGKTWYGVPRDAAVAFEEVVRLDGYGGEFNPLVTFSTLGEKTTVMSPEVFVHAGIPCCRLVQNAGEFVVTFPRAYHSGFSHGFNFGEAANIATPEWLRVARDAAIRRASINYPPMVSHFQLLYDLALELCSRVPMSINAKPKSSRLKDKKKSEGETLVKELFVQNLMQNNELLHILGKGSSVVLLPKSSSDISPCSDLRVASQIRINPRMSRGLCNYKDVVKLSKDLASDEIMVGGNEEIKGVKGFYSVKGKFASMYEGNRDSAFNGTDHLCRLPLQTLNMSAEGENAVQGDALSDQGLFSCVTCGILCFSCIAVLQPTEQAARYLMSADCSFFNDWTVGSGVTRDGFTTTHGDVITSEQNSCTRWMNKRAPNALYDVPVQSVEDKFHMADQSNQVVEDTEKGGDTSALGLLASTYGNSSDSEEDHVEPNVTVSGDETNSANRSLERKFQYNGSGFSPGDANGSNNPSLLRLESEEEAPVHVDIKSTSPQAFDHTVEFETDNLASRRSIGLEDKFRDPITTSHANPSYSPATHGAEKMRFSKTMVPMENADIPFAPRSDEDSSRMHVFCLEHAVEVDQQLRQIGGVHVFLLCHPEYPKIEAEAKLVTEELGIDYPWNDILFGDATKEDEERIQSALDSEDAIPGNGDWAVKLGVNLFYSANLSRSTLYSKQMPYNYVIYSAFGRNSPGSSPTKLNVYGRRSGKQKKVVAGKWCGKVWMSNQVHPFLAQRDPEEQEQERGFHAWATSDENLERKPENVHKAETTKVAKKFNRKRKMRPEIASSKKVKCIETEGAVSDDSLDGGSLRQQQIFFRGKQPRLIQKEEAISYDLLEDDSLLQQRNLSRKKLAKFIEREGAESEDAEEEFTHQQHWRNLRGKQGKYIEEDDAVSGDSLDESSLKQYRRIPRSWQAKFREREDIVSEDELEEISHRLHRRIPRCRQIKSCEKNDAISDDSRADNSLKQYRRMPKGRQANFVERDDTMSDDASEDDSQHQLRRIPKGKQMKCMERDDAFSDDSLEDNLQQQHRIPRSKVAKFTDREDVVSFDSLKGSSHQQRRRVSRSQLTKFIEREDAVSSDSPDDSSLQQLRRIPRSKQTKILEREDAVSDDSLDDTSQQQLRKTPRSRQGKFIEREDAVSYDSLDENYHQPNRRTLRSRKKKAQTPRQIKQETPRNVKQGKRRTTKQVVSQQIKQETPRNRNTKIEQSARQCNSYGEDELEGGPSTRLRKRVRKPLKESETKPKEKKQASKKKVKNASNVKTLAGHNTSKVRDEEAEYQCDMEGCTMSFGLKQELLLHKRNICPVKGCGKKFFSHKYLVQHRRVHLDDRPLKCPWKGCKMTFKWAWARTEHIRVHTGARPYVCAEEGCGQTFRFVSDFSRHKRKTGHSAKKGRG >EOY22358 pep chromosome:Theobroma_cacao_20110822:3:23096098:23106428:1 gene:TCM_014549 transcript:EOY22358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Relative of early flowering 6, putative isoform 1 MAASSLSPEPSQEVFSWLKSLPLAPEYRPTLAEFQDPIAYIFKIEKEASQYGICKIIPPVPPAPKKTAIGNLNRSLLARAAANTSSDSKPAPTFTTRQQQIGFCPRKPRPVQKPVWQSGEYYTFQEFEAKAKNFERGYLKRYSRKGSLSALEVETLFWKATVDKPFSVEYANDMPGSAFVPLSSKKSSGGGREAGEGVTVGETPWNMRAVSRAKGSLLRFMKDEIPGVTSPMVYIAMLFSWFAWHVEDHDLHSLNYLHMGAGKTWYGVPRDAAVAFEEVVRLDGYGGEFNPLVTFSTLGEKTTVMSPEVFVHAGIPCCRLVQNAGEFVVTFPRAYHSGFSHGFNFGEAANIATPEWLRVARDAAIRRASINYPPMVSHFQLLYDLALELCSRVPMSINAKPKSSRLKDKKKSEGETLVKELFVQNLMQNNELLHILGKGSSVVLLPKSSSDISPCSDLRVASQIRINPRMSRGLCNYKDVVKLSKDLASDEIMVGGNEEIKGVKGFYSVKGKFASMYEGNRDSAFNGTDHLCRLPLQTLNMSAEGENAVQGDALSDQGLFSCVTCGILCFSCIAVLQPTEQAARYLMSADCSFFNDWTVGSGVTRDGFTTTHGDVITSEQNSCTRWMNKRAPNALYDVPVQSVEDKFHMADQSNQVVEDTEKGGDTSALGLLASTYGNSSDSEEDHVEPNVTVSGDETNSANRSLERKFQYNGSGFSPGDANGSNNPSLLRLESEEEAPVHVDIKSTSPQAFDHTVEFETDNLASRRSIGLEDKFRDPITTSHANPSYSPATHGAEKMRFSKTMVPMENADIPFAPRSDEDSSRMHVFCLEHAVEVDQQLRQIGGVHVFLLCHPEYPKIEAEAKLVTEELGIDYPWNDILFGDATKEDEERIQSALDSEDAIPGNGDWAVKLGVNLFYSANLSRSTLYSKQMPYNYVIYSAFGRNSPGSSPTKLNVYGRRSGKQKKVVAGKWCGKVWMSNQVHPFLAQRDPEEQEQERGFHAWATSDENLERKPENVHKAETTKVAKKFNRKRKMRPEIASSKKVKCIETEGAVSDDSLDGGSLRQQQIFFRGKQPRLIQKEEAISYDLLEDDSLLQQRNLSRKKLAKFIEREGAESEDAEEEFTHQQHWRNLRGKQGKYIEEDDAVSGDSLDESSLKQYRRIPRSWQAKFREREDIVSEDELEEISHRLHRRIPRCRQIKSCEKNDAISDDSRADNSLKQYRRMPKGRQANFVERDDTMSDDASEDDSQHQLRRIPKGKQMKCMERDDAFSDDSLEDNLQQQHRIPRSKVAKFTDREDVVSFDSLKGSSHQQRRRVSRSQLTKFIEREDAVSSDSPDDSSLQQLRRIPRSKQTKILEREDAVSDDSLDDTSQQQLRKTPRSRQGKFIEREDAVS >EOY22359 pep chromosome:Theobroma_cacao_20110822:3:23099734:23105915:1 gene:TCM_014549 transcript:EOY22359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Relative of early flowering 6, putative isoform 1 MSRGLCNYKDVVKLSKDLASDEIMVGGNEEIKGVKGFYSVKGKFASMYEGNRDSAFNGTDHLCRLPLQTLNMSAEGENAVQGDALSDQGLFSCVTCGILCFSCIAVLQPTEQAARYLMSADCSFFNDWTVGSGVTRDGFTTTHGDVITSEQNSCTRWMNKRAPNALYDVPVQSVEDKFHMADQSNQVVEDTEKGGDTSALGLLASTYGNSSDSEEDHVEPNVTVSGDETNSANRSLERKFQYNGSGFSPGDANGSNNPSLLRLESEEEAPVHVDIKSTSPQAFDHTVEFETDNLASRRSIGLEDKFRDPITTSHANPSYSPATHGAEKMRFSKTMVPMENADIPFAPRSDEDSSRMHVFCLEHAVEVDQQLRQIGGVHVFLLCHPEYPKIEAEAKLVTEELGIDYPWNDILFGDATKEDEERIQSALDSEDAIPGNGDWAVKLGVNLFYSANLSRSTLYSKQMPYNYVIYSAFGRNSPGSSPTKLNVYGRRSGKQKKVVAGKWCGKVWMSNQVHPFLAQRDPEEQEQERGFHAWATSDENLERKPENVHKAETTKVAKKFNRKRKMRPEIASSKKVKCIETEGAVSDDSLDGGSLRQQQIFFRGKQPRLIQKEEAISYDLLEDDSLLQQRNLSRKKLAKFIEREGAESEDAEEEFTHQQHWRNLRGKQGKYIEEDDAVSGDSLDESSLKQYRRIPRSWQAKFREREDIVSEDELEEISHRLHRRIPRCRQIKSCEKNDAISDDSRADNSLKQYRRMPKGRQANFVERDDTMSDDASEDDSQHQLRRIPKGKQMKCMERDDAFSDDSLEDNLQQQHRIPRSKVAKFTDREDVVSFDSLKGSSHQQRRRVSRSQLTKFIEREDAVSSDSPDDSSLQQLRRIPRSKQTKILEREDAVSDDSLDDTSQQQLRKTPRSRQGKFIEREDAVSYDSLDENYHQPNRRTLRSRKKKAQTPRQIKQETPRNVKQGKRRTTKQVVSQQIKQETPRNRNTKIEQSARQCNSYGEDELEGGPSTRLRKRVRKPLKESETKPKEKKQASKKKVKNASNVKTLAGHNTSKVRDEEAEYQCDMEGCTMSFGLKQELLLHKRNICPVKGCGKKFFSHKYLVQHRRVHLDDRPLKCPWKGCKMTFKWAWARTEHIRVHTGARPYVCAEEGCGQTFRFVSDFSRHKRKTGHSAKKGLGSTKGLEFPHCCHSGNC >EOY22357 pep chromosome:Theobroma_cacao_20110822:3:23096098:23106428:1 gene:TCM_014549 transcript:EOY22357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Relative of early flowering 6, putative isoform 1 MAASSLSPEPSQEVFSWLKSLPLAPEYRPTLAEFQDPIAYIFKIEKEASQYGICKIIPPVPPAPKKTAIGNLNRSLLARAAANTSSDSKPAPTFTTRQQQIGFCPRKPRPVQKPVWQSGEYYTFQEFEAKAKNFERGYLKRYSRKGSLSALEVETLFWKATVDKPFSVEYANDMPGSAFVPLSSKKSSGGGREAGEGVTVGETPWNMRAVSRAKGSLLRFMKDEIPGVTSPMVYIAMLFSWFAWHVEDHDLHSLNYLHMGAGKTWYGVPRDAAVAFEEVVRLDGYGGEFNPLVTFSTLGEKTTVMSPEVFVHAGIPCCRLVQNAGEFVVTFPRAYHSGFSHGFNFGEAANIATPEWLRVARDAAIRRASINYPPMVSHFQLLYDLALELCSRVPMSINAKPKSSRLKDKKKSEGETLVKELFVQNLMQNNELLHILGKGSSVVLLPKSSSDISPCSDLRVASQIRINPRMSRGLCNYKDVVKLSKDLASDEIMVGGNEEIKGVKGFYSVKGKFASMYEGNRDSAFNGTDHLCRLPLQTLNMSAEGENAVQGDALSDQGLFSCVTCGILCFSCIAVLQPTEQAARYLMSADCSFFNDWTVGSGVTRDGFTTTHGDVITSEQNSCTRWMNKRAPNALYDVPVQSVEDKFHMADQSNQVVEDTEKGGDTSALGLLASTYGNSSDSEEDHVEPNVTVSGDETNSANRSLERKFQYNGSGFSPGDANGSNNPSLLRLESEEEAPVHVDIKSTSPQAFDHTVEFETDNLASRRSIGLEDKFRDPITTSHANPSYSPATHGAEKMRFSKTMVPMENADIPFAPRSDEDSSRMHVFCLEHAVEVDQQLRQIGGVHVFLLCHPEYPKIEAEAKLVTEELGIDYPWNDILFGDATKEDEERIQSALDSEDAIPGNGDWAVKLGVNLFYSANLSRSTLYSKQMPYNYVIYSAFGRNSPGSSPTKLNVYGRRSGKQKKVVAGKWCGKVWMSNQVHPFLAQRDPEEQEQERGFHAWATSDENLERKPENVHKAETTKVAKKFNRKRKMRPEIASSKKVKCIETEGAVSDDSLDGGSLRQQQIFFRGKQPRLIQKEEAISYDLLEDDSLLQQRNLSRKKLAKFIEREGAESEDAEEEFTHQQHWRNLRGKQGKYIEEDDAVSGDSLDESSLKQYRRIPRSWQAKFREREDIVSEDELEEISHRLHRRIPRCRQIKSCEKNDAISDDSRADNSLKQYRRMPKGRQANFVERDDTMSDDASEDDSQHQLRRIPKGKQMKCMERDDAFSDDSLEDNLQQQHRIPRSKVAKFTDREDVVSFDSLKGSSHQQRRRVSRSQLTKFIEREDAVSSDSPDDSSLQQLRRIPRSKQTKILEREDAVSDDSLDDTSQQQLRKTPRSRQGKFIEREDAVSYDSLDENYHQPNRRTLRSRKKKAQTPRQIKQETPRNVKQGKRRTTKQVVSQQIKQETPRNRNTKIEQSARQCNSYGEDELEGGPSTRLRKRVRKPLKESETKPKEKKQASKKKVKNASNVKTLAGHNTSKVRDEEAEYQCDMEGCTMSFGLKQELLLHKRNICPVKGCGKKFFSHKYLVQHRRVHLDDRPLKCPWKGCKMTFKWAWARTEHIRVHTGARPYVCAEEGCGQTFRFVSDFSRHKRKTGHSAKKG >EOY24155 pep chromosome:Theobroma_cacao_20110822:3:29893886:29895010:1 gene:TCM_015834 transcript:EOY24155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFMKSDSPYVDVYFNRPERFHLGYVSSSRSPSPDSERGLAAFIENQTVLLVTLMTEPVHQVMAVIECSGVARIHVFSRLRQEGLGRLCNRSVG >EOY21521 pep chromosome:Theobroma_cacao_20110822:3:10348922:10367221:-1 gene:TCM_013210 transcript:EOY21521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLSKLWLVKVYGWQVCRGSVLDEVTSDRRLELARYAPESIAMKHPTIATSDTQLQLLNSLMSANIVETTMAYVGSGGARLPDAITW >EOY22261 pep chromosome:Theobroma_cacao_20110822:3:22679403:22680277:-1 gene:TCM_014482 transcript:EOY22261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 6, putative MPELAAKTTMASHFRKVFLFSSLFFYIAICAEYEVNGDDGWIAPKHDNQIYNKWASSNRFKVDDTIHFKYKKDSVLVVTQEEYDKCQPSHPQFFSNNGDTVFKLDRAGLFYFMSGVTGHCQKGQKMIIKVLEPETPPQSQNQNSTTNTPEHETNGAFEVAAVSASTIMLLVMSAFI >EOY24749 pep chromosome:Theobroma_cacao_20110822:3:32110572:32113821:1 gene:TCM_016261 transcript:EOY24749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 6 MQMATGVQNQERLPENLKKQLALAVRNIQWSYAIFWSISARQPGVLEWGDGYYNGDIKTRKTVQAVELNANQLGLQRSEQLRELYESLSAGDSSPQARRPSAALSPEDLTDTEWYYLVCMSFVFNIGQGLPGRTLASGQPIWLCNAHYAESKVFSRSLLAKSASIQTVVCFPFSGGVIELGVADMVLEDLSLIQRIKTSFLDTPHPIGSYKSIPVAGSTGNDKDLACPALEPQIPDTKLSPLLGCEQLEMASPNDSSDGFEPNQPAEDSFMVEGINGGASQVQSWQFMEEEFSNCVHHSLNSSDCISQTFVDHGNVVPLCKGENDNDNGLQDVQECNQTKLTSLDIRSDDLHYQTVLSALLKTSHQLILGPHFRNSNQESSFMRWKRNGLVKSQKAGDETPQKLLKKILFEVPQMHDKGLLDSPEDNGIRDAAWRPEADEICGNHVLSERRRREKLNERFMILKSLVPSVSRADKVSILDDTIGYLQDLERRVEELESCRELTDLEARMKRKPQDHVERTSDNYGNNKMTNGKKPSLNKRKACDIDGAELEIDYVASKDGSTENVTVSMSNKDFLIEFRCPWREGILLEIMDALSILNLDCHSVQSSTTEGILSLTIESKYKGSTAASAGTIKQALQRVARKC >EOY24754 pep chromosome:Theobroma_cacao_20110822:3:32110484:32113777:1 gene:TCM_016261 transcript:EOY24754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 6 MVLEDLSLIQRIKTSFLDTPHPIGSYKSIPVAGSTGNDKDLACPALEPQIPDTKLSPLLGCEQLEMASPNDSSDGFEPNQPAEDSFMVEGINGGASQVQSWQFMEEEFSNCVHHSLNSSDCISQTFVDHGNVVPLCKGENDNDNGLQDVQECNQTKLTSLDIRSDDLHYQTVLSALLKTSHQLILGPHFRNSNQESSFMRWKRNGLVKSQKAGDETPQKLLKKILFEVPQMHDKGLLDSPEDNGIRDAAWRPEADEICGNHVLSERRRREKLNERFMILKSLVPSVSRADKVSILDDTIGYLQDLERRVEELESCRELTDLEARMKRKPQDHVERTSDNYGNNKMTNGKKPSLNKRKACDIDGAELEIDYVASKDGSTENVTVSMSNKDFLIEFRCPWREGILLEIMDALSILNLDCHSVQSSTTEGILSLTIESKVRLFCMCFIRKSLGNQIR >EOY24755 pep chromosome:Theobroma_cacao_20110822:3:32110484:32113777:1 gene:TCM_016261 transcript:EOY24755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 6 MQMATGVQNQERLPENLKKQLALAVRNIQWSYAIFWSISARQPGVLEWGDGYYNGDIKTRKTVQAVELNANQLGLQRSEQLRELYESLSAGDSSPQARRPSAALSPEDLTDTEWYYLVCMSFVFNIGQGLPGRTLASGQPIWLCNAHYAESKVFSRSLLAKSASIQTVVCFPFSGGVIELGVADMVLEDLSLIQRIKTSFLDTPHPIGSYKSIPVAGSTGNDKDLACPALEPQIPDTKLSPLLGCEQLEMASPNDSSDGFEPNQPAEDSFMVEGINGGASQVQSWQFMEEEFSNCVHHSLNSSDCISQTFVDHGNVVPLCKGENDNDNGLQDVQECNQTKLTSLDIRSDDLHYQTVLSALLKTSHQLILGPHFRNSNQESSFMRWKRNGLVKSQKAGDETPQKLLKKILFEVPQMHDKGLLDSPEDNGIRDAAWRPEADEICGNHVLSERRRREKLNERFMILKSLVPSVSRVVKFPFSLVIPNMKITKLTGIVYAG >EOY24750 pep chromosome:Theobroma_cacao_20110822:3:32109723:32114009:1 gene:TCM_016261 transcript:EOY24750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 6 MQMATGVQNQERLPENLKKQLALAVRNIQWSYAIFWSISARQPGVLEWGDGYYNGDIKTRKTVQAVELNANQLGLQRSEQLRELYESLSAGDSSPQARRPSAALSPEDLTDTEWYYLVCMSFVFNIGQGLPGRTLASGQPIWLCNAHYAESKVFSRSLLAKSASIQTVVCFPFSGGVIELGVADMVLEDLSLIQRIKTSFLDTPHPIGSYKSIPVAGSTGNDKDLACPALEPQIPDTKLSPLLGCEQLEMASPNDSSDGFEPNQPAEDSFMVEGINGGASQVQSWQFMEEEFSNCVHHSLNSSDCISQTFVDHGNVVPLCKGENDNDNGLQDVQECNQTKLTSLDIRSDDLHYQTVLSALLKTSHQLILGPHFRNSNQESSFMRWKRNGLVKSQKAGDETPQKLLKKILFEVPQMHDKGLLDSPEDNGIRDAAWRPEADEICGNHVLSERRRREKLNERFMILKSLVPSVSRADKVSILDDTIGYLQDLERRVEELESCRELTDLEARMKRKPQDHVERTSDNYGNNKMTNGKKPSLNKRKACDIDGAELEIDYVASKDGSTENVTVSMSNKDFLIEFRCPWREGILLEIMDALSILNLDCHSVQSSTTEGILSLTIESKYKGSTAASAGTIKQALQRVARKC >EOY24752 pep chromosome:Theobroma_cacao_20110822:3:32109723:32114009:1 gene:TCM_016261 transcript:EOY24752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 6 MSFVFNIGQGLPGRTLASGQPIWLCNAHYAESKVFSRSLLAKSASIQTVVCFPFSGGVIELGVADMVLEDLSLIQRIKTSFLDTPHPIGSYKSIPVAGSTGNDKDLACPALEPQIPDTKLSPLLGCEQLEMASPNDSSDGFEPNQPAEDSFMVEGINGGASQVQSWQFMEEEFSNCVHHSLNSSDCISQTFVDHGNVVPLCKGENDNDNGLQDVQECNQTKLTSLDIRSDDLHYQTVLSALLKTSHQLILGPHFRNSNQESSFMRWKRNGLVKSQKAGDETPQKLLKKILFEVPQMHDKGLLDSPEDNGIRDAAWRPEADEICGNHVLSERRRREKLNERFMILKSLVPSVSRADKVSILDDTIGYLQDLERRVEELESCRELTDLEARMKRKPQDHVERTSDNYGNNKMTNGKKPSLNKRKACDIDGAELEIDYVASKDGSTENVTVSMSNKDFLIEFRCPWREGILLEIMDALSILNLDCHSVQSSTTEGILSLTIESKYKGSTAASAGTIKQALQRVARKC >EOY24753 pep chromosome:Theobroma_cacao_20110822:3:32110146:32114427:1 gene:TCM_016261 transcript:EOY24753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 6 MSFVFNIGQGLPGRTLASGQPIWLCNAHYAESKVFSRSLLAKSASIQTVVCFPFSGGVIELGVADMVLEDLSLIQRIKTSFLDTPHPIGSYKSIPVAGSTGNDKDLACPALEPQIPDTKLSPLLGCEQLEMASPNDSSDGFEPNQPAEDSFMVEGINGGASQVQSWQFMEEEFSNCVHHSLNSSDCISQTFVDHGNVVPLCKGENDNDNGLQDVQECNQTKLTSLDIRSDDLHYQTVLSALLKTSHQLILGPHFRNSNQESSFMRWKRNGLVKSQKAGDETPQKLLKKILFEVPQMHDKGLLDSPEDNGIRDAAWRPEADEICGNHVLSERRRREKLNERFMILKSLVPSVSRADKVSILDDTIGYLQDLERRVEELESCRELTDLEARMKRKPQDHVERTSDNYGNNKMTNGKKPSLNKRKACDIDGAELEIDYVASKDGSTENVTVSMSNKDFLIEFRCPWREGILLEIMDALSILNLDCHSVQSSTTEGILSLTIESKYKGSTAASAGTIKQALQRVARKC >EOY24751 pep chromosome:Theobroma_cacao_20110822:3:32109363:32114787:1 gene:TCM_016261 transcript:EOY24751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 6 VVSLLALVVGPSVFLYLGVLEWGDGYYNGDIKTRKTVQAVELNANQLGLQRSEQLRELYESLSAGDSSPQARRPSAALSPEDLTDTEWYYLVCMSFVFNIGQGLPGRTLASGQPIWLCNAHYAESKVFSRSLLAKSASIQTVVCFPFSGGVIELGVADMVLEDLSLIQRIKTSFLDTPHPIGSYKSIPVAGSTGNDKDLACPALEPQIPDTKLSPLLGCEQLEMASPNDSSDGFEPNQPAEDSFMVEGINGGASQVQSWQFMEEEFSNCVHHSLNSSDCISQTFVDHGNVVPLCKGENDNDNGLQDVQECNQTKLTSLDIRSDDLHYQTVLSALLKTSHQLILGPHFRNSNQESSFMRWKRNGLVKSQKAGDETPQKLLKKILFEVPQMHDKGLLDSPEDNGIRDAAWRPEADEICGNHVLSERRRREKLNERFMILKSLVPSVSRADKVSILDDTIGYLQDLERRVEELESCRELTDLEARMKRKPQDHVERTSDNYGNNKMTNGKKPSLNKRKACDIDGAELEIDYVASKDGSTENVTVSMSNKDFLIEFRCPWREGILLEIMDALSILNLDCHSVQSSTTEGILSLTIESKYKGSTAASAGTIKQALQRVARKC >EOY22889 pep chromosome:Theobroma_cacao_20110822:3:25188454:25191559:1 gene:TCM_014926 transcript:EOY22889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate starvation-induced gene 3 isoform 2 MYFSGHLGDRMNLRIFLTVGMIGTGLFTALFGVGYWAKLHSFYYFLIVQMIAGLFQSTGWPSVVAVVGNWFGKKKRGLIMGIWNAHTSVGNITGSLIASALLSYGWGWSFVVPGLIIAFLGLVVFLLLPVCPESVGADREEDEVDSPRKNGGGVTEPLLGSDTELKEKAVGFIEAWKIPGVAPFAFCLFFAKLVAYTFLYWLPYYISHTAIEGKYLSNETAGNMSTFFDVGGVLGGILAGHISDRLDARAITAATFMYCAIPALYFYRSYGHISLAMNIALMFISGMFVNGPYALITTAVSADLGTHSSLKGNSKALATVTAIIDGTGSVGAAIGPLLTGYISAKSWSAVF >EOY22888 pep chromosome:Theobroma_cacao_20110822:3:25188427:25192061:1 gene:TCM_014926 transcript:EOY22888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate starvation-induced gene 3 isoform 2 MGSPSELPAERSYNKPLGIRFLESTTKAKLSYKTYQAIVLIVTFFAYTSYHATRKTTSIVKSALDPQSSDVGLKFPWRITYISEPAESKRLSWVLGDGWAPFNGSDGTALLGELDVAFLAVYAFGMYFSGHLGDRMNLRIFLTVGMIGTGLFTALFGVGYWAKLHSFYYFLIVQMIAGLFQSTGWPSVVAVVGNWFGKKKRGLIMGIWNAHTSVGNITGSLIASALLSYGWGWSFVVPGLIIAFLGLVVFLLLPVCPESVGADREEDEVDSPRKNGGGVTEPLLGSDTELKEKAVGFIEAWKIPGVAPFAFCLFFAKLVAYTFLYWLPYYISHTAIEGKYLSNETAGNMSTFFDVGGVLGGILAGHISDRLDARAITAATFMYCAIPALYFYRSYGHISLAMNIALMFISGMFVNGPYALITTAVSADLGTHSSLKGNSKALATVTAIIDGTGSVGAAIGPLLTGYISAKSWSAVFTMLMGAALVAGLLLTRLVVAEVAARISESRSQGGQESRSEAAELDV >EOY21966 pep chromosome:Theobroma_cacao_20110822:3:20154270:20156847:1 gene:TCM_014133 transcript:EOY21966 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MWNFATKAFPGVSGLKTDSLKPSQVSSECSDDESLNNSGEERLECPICWESFNIVENIPYVLWCGHTLCKNCVLGLQRAVVKFPTLPIHLPFFVSCPWCNLFSLRLVYKGNVKFPSKNYFLLWMVESMNGDRAKSHSSFHGVPPACSKNRIIDAGNQLRRVNNRRASCSTHHSESSLITSYFSAERLQLSLR >EOY21965 pep chromosome:Theobroma_cacao_20110822:3:20154220:20156922:1 gene:TCM_014133 transcript:EOY21965 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MWNFATKAFPGVSGLKTDSLKPSQVSSECSDDESLNNSGEERLECPICWESFNIVENIPYVLWCGHTLCKNCVLGLQRAVVKFPTLPIHLPFFVSCPWCNLFSLRLVYKGNVKFPSKNYFLLWMVESMNGDRAKSHSSFHGVPPACSKNRIIDAGNQLRRVNNRRASCSTHHSESSLITSYFSAERLQLSLR >EOY22926 pep chromosome:Theobroma_cacao_20110822:3:25346373:25348347:1 gene:TCM_014956 transcript:EOY22926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 42 MGRQPCCDKLGVKKGPWTAEEDKKLVNFILTHGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLNEDEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLLKMGIDPVTHEPLQKPAATAQDATCQENDQPNLDPNQLELPKNSGHVSPSTDEFCTPTENSSTDESRSSSESGSDDDPLMNLIWSESFLNDLSWNFPGPDSREEYSELGFSSSSEENSLSWLLDYPNIGDDLHQSFGIGGFSEIDMNCLDMGGKY >EOY23416 pep chromosome:Theobroma_cacao_20110822:3:27337369:27346627:-1 gene:TCM_015319 transcript:EOY23416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 14 isoform 1 MEHLWTMFCGEPACSDSDGKPCNSTFWHLTHPSSCINQAMIICFDILLSIMLLFNMIQKSSSKTVGIPARFRHRSALQTASAVFNGCLGLVYLCFGIWILEEKLRKTQTLLPFNWWLLALFQGCTWLLVGLTVSLRGNRLPKTPLRLLSILALIFAAIVCVLSIFAAILNEIVTVNIVLNVLSLPGAILLVLCAYKGYKHEDGDQDTNENGAYAPLNAEANGSAKVDYNAQVTPFSTAGFLSKFSFWWLNSLMRKGREKTLQEEDIPKLREAEKAKSCYLLFLEQLNRQKQAKPSSQPSILKTIILCHWREILVSGFFALLKILTVSSGPLLLNAFILVAEGKGSFKYEGYLLAILLFFAKSLESLSQRQWYFRSRLIGLKVRSLLTAAIYKKQLRLSNAARLMHSSGEITNYVTVDAYRIGEFPFWFHQTWTTSLQLCFALIILFGAVGLATIAALVVIILTVLCNTPLAKLQHMFQSKLMTAQDERLKASSEALISMKVLKLYAWESHFKKVIENLRAVEYKWLSAVQLRKAYNGFLFYSSPVLVSAATFGACYFLKIPLHASNVFTFVATLRLVQDPITSIPDVIGIVIQAKVALKRVVKFFEAPELQSANVRQKRHMENADLAISIKSGWFSWEENSSKPTLRNITLDVTMGEKVAVCGEVGSGKSTLLASILGEVPNVQGSIQAFGKIAYVSQTAWIQTGTIQDNILFGSAMDRQRYEETLERCSLVKDLELMPYGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTATSLFNDYVMEALSGKAVLLVTHQVDFLPAFNSVLLMSDGEILQAAPYHQLLASSQEFQDLVNAHKETAGSGRVAEVNSSDKHGTSTREIKKSYVEKQFKISKGDQLIKQEERERGDIGFKPYIQYLNQDKGFLFFSISALSHLLFVGGQISQNSWMAASVDNPNVSPLKLIAVYLVIGFFSTLLLLCRSLFIFTLGIRSSKSLFSQLLNSLFRAPMSFYDSTPLGRILSRVSVDLSIVDLDVPFSLIFTVGATINAYSNLGVLAVVTWQVLFVSVPVIYAAICLQKYYLSTAKELMRINGTTKSLVANHLAESIAGTVTIRAFEEEERFFAKNLHLTDTNASPFFHSFAANEWLIQRLETLSATVLASAAFCMVLLPPGTFSSGFIGMTLSYGLSLNMSLVFSVQSQCTIANYIISVERLNQYMYIPSEAPEVIEENRPPSNWPAMGKVDICDLQIRYRPDTPLVLRGISCTFQGGHKIGIVGRTGSGKTTLISALFRLVEPAGGKIIVDGIDICTIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLSQHTDQEIWEVLDKCQLREAVQEKEEGLDSLVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMDCTMVLAISDGKLVEYDEPTKLMEREDSLFGQLVKEYWSHYQAAESH >EOY23417 pep chromosome:Theobroma_cacao_20110822:3:27339650:27368107:-1 gene:TCM_015319 transcript:EOY23417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 14 isoform 1 MEHLWTMFCGEPACSDSDGKPCNSTFWHLTHPSSCINQAMIICFDILLSIMLLFNMIQKSSSKTVGIPARFRHRSALQTASAVFNGCLGLVYLCFGIWILEEKLRKTQTLLPFNWWLLALFQGCTWLLVGLTVSLRGNRLPKTPLRLLSILALIFAAIVCVLSIFAAILNEIVTVNIVLNVLSLPGAILLVLCAYKGYKHEDGDQDTNENGAYAPLNAEANGSAKVDYNAQVTPFSTAGFLSKFSFWWLNSLMRKGREKTLQEEDIPKLREAEKAKSCYLLFLEQLNRQKQAKPSSQPSILKTIILCHWREILVSGFFALLKILTVSSGPLLLNAFILVAEGKGSFKYEGYLLAILLFFAKSLESLSQRQWYFRSRLIGLKVRSLLTAAIYKKQLRLSNAARLMHSSGEITNYVTVDAYRIGEFPFWFHQTWTTSLQLCFALIILFGAVGLATIAALVVIILTVLCNTPLAKLQHMFQSKLMTAQDERLKASSEALISMKVLKLYAWESHFKKVIENLRAVEYKWLSAVQLRKAYNGFLFYSSPVLVSAATFGACYFLKIPLHASNVFTFVATLRLVQDPITSIPDVIGIVIQAKVALKRVVKFFEAPELQSANVRQKRHMENADLAISIKSGWFSWEENSSKPTLRNITLDVTMGEKVAVCGEVGSGKSTLLASILGEVPNVQGSIQAFGKIAYVSQTAWIQTGTIQDNILFGSAMDRQRYEETLERCSLVKDLELMPYGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTATSLFNDYVMEALSGKAVLLVTHQVDFLPAFNSVLLMSDGEILQAAPYHQLLASSQEFQDLVNAHKETAGSGRVAEVNSSDKHGTSTREIKKSYVEKQFKISKGDQLIKQEERERGDIGFKPYIQYLNQDKGFLFFSISALSHLLFVGGQISQNSWMAASVDNPNVSPLKLIAVYLVIGFFSTLLLLCRSLFIFTLGIRSSKSLFSQLLNSLFRAPMSFYDSTPLGRILSRVSVDLSIVDLDVPFSLIFTVGATINAYSNLGVLAVVTWQVLFVSVPVIYAAICLQKYYLSTAKELMRINGTTKSLVANHLAESIAGTVTIRAFEEEERFFAKNLHLTDTNASPFFHSFAANEWLIQRLETLSATVLASAAFCMVLLPPGTFSSVQSQCTIANY >EOY23824 pep chromosome:Theobroma_cacao_20110822:3:28688211:28691256:-1 gene:TCM_015597 transcript:EOY23824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter of isoform 1 MEVQNSEQCNIIEIRGDVPAAETSLGGSTICGGAACGFSDAQTSSKDTKERAASMRKLLMAVVLCIVFMSVEVAGGIKANSLAILTDAAHLLSDVAAFAISLFSLWASGWEATPRQSYGFFRIEILGALVSIQMIWLLAGILVYEAIARLIHDNGEVQGFLMFVVSAFGLVVNIAMALLLGHDHGHHHHHGHNHNHGHGGNDHNHHDHSHSHDNETHNHGLSITSHHHHHGSNSKHDEHHHTHEADHTVPLIKNYSDCEKILESGAPRKKERNINVQGAYLHVLGDSIQSVGVMIGGAIIWYKPEWKIIDLICTLVFSIIVLGTTIRMLRNILEVLMESTPREIDATRLEKGLCEMDEVVAIHELHIWAITVGKVLLACHVLIKHEANADMVLNKVIDYIRREYNISHVTIQIERQ >EOY23823 pep chromosome:Theobroma_cacao_20110822:3:28687671:28691389:-1 gene:TCM_015597 transcript:EOY23823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter of isoform 1 MEVQNSEQCNIIEIRGDVPAAETSLGGSTICGGAACGFSDAQTSSKDTKERAASMRKLLMAVVLCIVFMSVEVAGGIKANSLAILTDAAHLLSDVAAFAISLFSLWASGWEATPRQSYGFFRIEILGALVSIQMIWLLAGILVYEAIARLIHDNGEVQGFLMFVVSAFGLVVNIAMALLLGHDHGHHHHHGHNHNHGHGGNDHNHHDHSHSHDNETHNHGLSITSHHHHHGSNSKHDEHHHTHEADHTVPLIKNYSDCEKILESGAPRKKERNINVQGAYLHVLGDSIQSVGVMIGGAIIWYKPEWKIIDLICTLVFSIIVLGTTIRMLRNILEVLMESTPREIDATRLEKGLCEMDEVVAIHELHIWAITVGKVLLACHVLIKHEANADMVLNKVIDYIRREYNISHVTIQIERQ >EOY20586 pep chromosome:Theobroma_cacao_20110822:3:244886:245968:1 gene:TCM_011969 transcript:EOY20586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFMLLLIYLPVLLLAVEWTLYGCFYTNGQICSATSRLIVHELIGFLPFIELSISFFYHFTCGMRL >EOY20604 pep chromosome:Theobroma_cacao_20110822:3:306587:309535:-1 gene:TCM_011980 transcript:EOY20604 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MVVCRCKILNVPSSLGTLVKHLPLSKPKTSFPPPQTLSFNSQTSAAIRSSSSFETLTSRQKDQVRLYVDALLQWNQKMNLTAVKEVSEVMDRHIEDSLAIIPPIQNSYVSSCNDSFDNLRIVDVGTGAGLPGLVLAIACPGWAVTLVESVNKRCLFLEHVVNLTGLSNVQVVRERAENLGQDIGFREKFDVAVARAVAEMRVLAEYCLPLVRVGGLFVAAKGHDPKEEVRNAERAIKLLGASILQLRSVESHSPHGRRTAIICLKNHPTPRKYPRGPGTPTKVPL >EOY20602 pep chromosome:Theobroma_cacao_20110822:3:305585:309740:-1 gene:TCM_011980 transcript:EOY20602 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MVVCRCKILNVPSSLGTLVKHLPLSKPKTSFPPPQTLSFNSQTSAAIRSSSSFETLTSRQKDQVRLYVDALLQWNQKMNLTAVKEVSEVMDRHIEDSLAIIPPIQNSYVSSCNDSFDNLRIVDVGTGAGLPGLVLAIACPGWAVTLVESVNKRCLFLEHVVNLTGLSNVQVVRERAECIAQLYFLMTVVIGQNLGQDIGFREKFDVAVARAVAEMRVLAEYCLPLVRVGGLFVAAKGHDPKEEVRNAERAIKLLGASILQLRSGDAKIWCLCQDFGGGLSRCGITQPTWTENCYHLLKKSPHSKKISTWSRYTDKSATLIIRVSVLLSALLCNASCTSKCKKCKGVHFPVKQLTWHRDMDNTVPQLGEGT >EOY20603 pep chromosome:Theobroma_cacao_20110822:3:305697:309530:-1 gene:TCM_011980 transcript:EOY20603 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MVVCRCKILNVPSSLGTLVKHLPLSKPKTSFPPPQTLSFNSQTSAAIRSSSSFETLTSRQKDQVRLYVDALLQWNQKMNLTAVKEVSEVMDRHIEDSLAIIPPIQNSYVSSCNDSFDNLRIVDVGTGAGLPGLVLAIACPGWAVTLVESVNKRCLFLEHVVNLTGLSNVQVVRERAENLGQDIGFREKFDVAVARAVAEMRVLAEYCLPLVRVGGLFVAAKGHDPKEEVRNAERAIKLLGASILQLRSVESHSPHGRRTAIICLKNHPTPRKYPRGPGKKCKGVHFPVKQLTWHRDMDNTVPQLGEGT >EOY24103 pep chromosome:Theobroma_cacao_20110822:3:29633465:29636161:1 gene:TCM_015796 transcript:EOY24103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase isoform 1 MHRGVTIFTHQVLKNPNIVPFKSTCFYSQSNSFTRRANGFALFRLFSFTPLHRRVPDPDDPANLMKEDGVSVCSQMWIENFREPDRIITNLASYLRRFELWVLAYQKVCADEIGSYMPRSSITRSALDDLLALRNAVLDNRFKWGARLEFFIKSPKDKTDYESLSKRKIKAILTTTQPAPFQDKLVQEVLFMILEPIYEARFSQKSFAFRPGRNAHTVLRVIRRSFAGYLWYIKGDLSAILDGLKVGLVISALMRDVRDKKVIDLIKSALVTPVITSPLDGGEKKKKKKRKYQKKRVLAEDEPKPDPYWLETFFGFAPEEAEKLPSWGHCGILSPLLANICLDELDRWMEGKIKEFYRPSKSDVIWNSPEGEAEQGNTSWPEFVPTSGPDKTRKMDYIRHGGHILIGIRGPRADAATLRKQLIEFCDLKYMIKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLNFLKGDREPDPQPCFRMFHATQAHTNAQMNKFLSTMVEWYRYADNRKKAVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGARNLSRPLKERKGQSPEYQNLLRMGLVESIDGLQYTRMSLIPETDYTPFPSNWRPDHEKALVEYIRLDDPKTLKEQRSCIGEQGLVSPQDYISMLVWNYKRNAIVMDQLYLVKTAGSHTEGDDQLLLSSNHENYDPKSNEEEETEEGFHASQI >EOY24100 pep chromosome:Theobroma_cacao_20110822:3:29633385:29636105:1 gene:TCM_015796 transcript:EOY24100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase isoform 1 MHRGVTIFTHQVLKNPNIVPFKSTCFYSQSNSFTRRANGFALFRLFSFTPLHRRVPDPDDPANLMKEDGVSVCSQMWIENFREPDRIITNLASYLRRFELWVLAYQKVCADEIGSYMPRSSITRSALDDLLALRNAVLDNRFKWGARLEFFIKSPKDKTDYESLSKRKIKAILTTTQPAPFQDKLVQEVLFMILEPIYEARFSQKSFAFRPGRNAHTVLRVIRRSFAGYLWYIKGDLSAILDGLKVGLVISALMRDVRDKKVIDLIKSALVTPVITSPLDGGEKKKKKKRKYQKKRVLAEDEPKPDPYWLETFFGFAPEEAEKLPSWGHCGILSPLLANICLDELDRWMEGKIKEFYRPSKSDVIWNSPEGEAEQGNTSWPEFVPTSGPDKTRKMDYIRHGGHILIGIRGPRADAATLRKQLIEFCDLKYMIKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLNFLKGDREPDPQPCFRMFHATQAHTNAQMNKFLSTMVEWYRYADNRKKAVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGARNLSRPLKERKGQSPEYQNLLRMGLVESIDGLQYTRMSLIPETDYTPFPSNWRPDHEKALVEYIRLDDPKTLKEQRSCIGEQGLVSPQDYISMLVWNYKRNAIVMDQLYLVKTAGSHTEGDDQLLLSSNHENYDPKSNEEEETEEGFHASQM >EOY24102 pep chromosome:Theobroma_cacao_20110822:3:29633396:29635939:1 gene:TCM_015796 transcript:EOY24102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase isoform 1 MHRGVTIFTHQVLKNPNIVPFKSTCFYSQSNSFTRRANGFALFRLFSFTPLHRRVPDPDDPANLMKEDGVSVCSQMWIENFREPDRIITNLASYLRRFELWVLAYQKVCADEIGSYMPRSSITRSALDDLLALRNAVLDNRFKWGARLEFFIKSPKDKTDYESLSKRKIKAILTTTQPAPFQDKLVQEVLFMILEPIYEARFSQKSFAFRPGRNAHTVLRVIRRSFAGYLWYIKGDLSAILDGLKVGLVISALMRDVRDKKVIDLIKSALVTPVITSPLDGGEKKKKKKRKYQKKRVLAEDEPKPDPYWLETFFGFAPEEAEKLPSWGHCGILSPLLANICLDELDRWMEGKIKEFYRPSKSDVIWNSPEGEAEQGNTSWPEFVPTSGPDKTRKMDYIRHGGHILIGIRGPRADAATLRKQLIEFCDLKYMIKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLNFLKGDREPDPQPCFRMFHATQAHTNAQMNKFLSTMVEWYRYADNRKKAVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGARNLSRPLKERKGQSPEYQNLLRMGLVESIDGLQYTRMSLIPETDYTPFPSNWRPDHEKALVEYIRLDDPKTLKEQRSCIGEQGLVSPQDYISMLVWNYKRNAIVMDQLYLVKTAGSHTEGDDQLLLSSNHENYDPKSNEEEETEEGFHASQM >EOY24101 pep chromosome:Theobroma_cacao_20110822:3:29633402:29635939:1 gene:TCM_015796 transcript:EOY24101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase isoform 1 MHRGVTIFTHQVLKNPNIVPFKSTCFYSQSNSFTRRANGFALFRLFSFTPLHRRVPDPDDPANLMKEDGVSVCSQMWIENFREPDRIITNLASYLRRFELWVLAYQKVCADEIGSYMPRSSITRSALDDLLALRNAVLDNRFKWGARLEFFIKSPKDKTDYESLSKRKIKAILTTTQPAPFQDKLVQEVLFMILEPIYEARFSQKSFAFRPGRNAHTVLRVIRRSFAGYLWYIKGDLSAILDGLKVGLVISALMRDVRDKKVIDLIKSALVTPVITSPLDGGEKKKKKKRKYQKKRVLAEDEPKPDPYWLETFFGFAPEEAEKLPSWGHCGILSPLLANICLDELDRWMEGKIKEFYRPSKSDVIWNSPEGEAEQGNTSWPEFVPTSGPDKTRKMDYIRHGGHILIGIRGPRADAATLRKQLIEFCDLKYMIKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLNFLKGDREPDPQPCFRMFHATQAHTNAQMNKFLSTMVEWYRYADNRKKAVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGARNLSRPLKERKGQSPEYQNLLRMGLVESIDGLQYTRMSLIPETDYTPFPSNWRPDHEKALVEYIRLDDPKTLKEQRSCIGEQGLVSPQDYISMLVWNYKRNAIVMDQLYLVKTAGSHTEGDDQLLLSSNHENYDPKSNEEEETEEGFHASQM >EOY21622 pep chromosome:Theobroma_cacao_20110822:3:14755854:14771657:1 gene:TCM_013595 transcript:EOY21622 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MAKKKQGLGWMEWLRGWMYVIHEMLFQRIMASHLQNPLALPPVNDLTCIVTGATSGIGREMARQLAEAGAHVVMAVRNPKAAHELINRWSESWHGLPLNIEVMELDLLSLDSVVTFANAWNARLGPLNVLINNAGIFSIGEPQKFSKDGYEEHMQVNHLAPALLSVLLLPSLIRGSPSRIINVNSVMHYVGFVDTEDMNAVSGKRKYSSLLGYTSSKLAQVMFSSVLHKRLPIEAGVNVVCVSPGIVHTNVVLGVLFSQPLIPRFRSTVNC >EOY21620 pep chromosome:Theobroma_cacao_20110822:3:14755509:14771571:1 gene:TCM_013595 transcript:EOY21620 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MAKKKQGLGWMEWLRGWMYVIHEMLFQRIMASHLQNPLALPPVNDLTCIVTGATSGIGREMARQLAEAGAHVVMAVRNPKAAHELINRWSESWHGLPLNIEVMELDLLSLDSVVTFANAWNARLGPLNVLINNAGIFSIGEPQKFSKDGYEEHMQVNHLAPALLSVLLLPSLIRGSPSRIINVNSVMHYVGFVDTEDMNAVSGKRKYSSLLGYTSSKLAQVMFSSVLHKRLPIEAGVNVVCVSPGIVHTNVARDLPRIVQAAYHLIPYFIFSAQEGSRSALFSATDPQIPEYCELLKADEWPVCAFISQDCRPTNPSEEAHNIETSFEVWEKTLEMIGLPSDAVERFIEGNEVTCRYGTRSD >EOY21621 pep chromosome:Theobroma_cacao_20110822:3:14756099:14771761:1 gene:TCM_013595 transcript:EOY21621 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MASHLQNPLALPPVNDLTCIVTGATSGIGREMARQLAEAGAHVVMAVRNPKAAHELINRWSESWHGLPLNIEVMELDLLSLDSVVTFANAWNARLGPLNVLINNAGIFSIGGKRKYSSLLGYTSSKLAQVMFSSVLHKRLPIEAGVNVVCVSPGIVHTNVARDLPRIVQAAYHLIPYFIFSAQEGSRSALFSATDPQIPEYCELLKADEWPVCAFISQDCRPTNPSEEAHNIETSFEVWEKTLEMIGLPSDAVERFIEGNEVTCRYGTRSD >EOY21623 pep chromosome:Theobroma_cacao_20110822:3:14755792:14761234:1 gene:TCM_013595 transcript:EOY21623 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MAKKKQGLGWMEWLRGWMYVIHEMLFQRIMASHLQNPLALPPVNDLTCIVTGATSGIGREMARQLAEAGAHVVMAVRNPKAAHELINRWSESWHGLPLNIEVMELDLLSLDSVVTFANAWNARLGPLNVLINNAGIFSIGEPQKFSKDGYEEHMQVNHLAPALLSVLLLPSLIRGSPSRIINVNSVMHYVGFVDTEDMNAVSGKRKYSSLLGYTSSKLAQVMFSSVLHKRLPIEAGVNVVCVSPGIVHTNVVCGW >EOY24600 pep chromosome:Theobroma_cacao_20110822:3:31578202:31580367:-1 gene:TCM_016161 transcript:EOY24600 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP family transcription factor, putative MDPDDDGGTSDLSTSAGEPNTATATTANNKNNDKNCENSAFPVMPLKEEPTETDPDRKTHPSPPVGVVPVAMQIQQMPMQIPAMPMPVTTAKRSSTKDRHTKVEGRGRRIRIPATCAARIFQLTRELGHKSDGETVRWLLEHAEQAIIEATGTGTVPAIAVSVGGTLKIPTTSSANTSNNNNSNNNKQIDDGATKKRKRPANSEFCDISDGIPFAVSQNQQQLVTQASGLAPVTPQGVVPVWAVGNTGMMVPANAFWMIPQPAATAAGNGLTNQQPSPQIWTLSPSLTPVFNVAARPISSFVATTNQVQAVVGNGLSTLAVNTSSTAVGATVSKKSTMAPSVSSGGSGSGSTGGKPQLLRDFSLEIYDKQELQFMGRSGNHHQQMQASKQ >EOY21143 pep chromosome:Theobroma_cacao_20110822:3:3412896:3413674:-1 gene:TCM_012505 transcript:EOY21143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFCGPLGVHNSNSAELMAIKMTLQLYSSSHWVGRKNLFIEPDSKVAIPWVSNSSMRHWNYWSALNEIDNYWRIIGEVELRHILREVNALADSLANFGVDREEMFMAWW >EOY23189 pep chromosome:Theobroma_cacao_20110822:3:26554053:26557554:1 gene:TCM_015165 transcript:EOY23189 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAP160 protein, putative MDTQTAYPRGYNQKHDPNIIGHHHGEDKHHHEKKSVLKKVKAKAKKIKDTITKHGHGHNHDHDDHGHQYHEGHIPDDHDLDGGDDDKEEIVDDPEVHRPPMYESAAARVIVSGQQKDLSHAGITYGRSKALRPDPLAPRDSSETFYSGNDKTKYYNDPAMSSVPGLKALATEQPRVNSLKTTVTVEEPLAPQNTPMPRTSHQSKDANPTRTFLHGQEEYPGQPKVNLQRPKGLEEDPAAPEDTPHAYTTTNYQSKVTDPTGNGGEATGITPILHSLDKMKIYDEQNIGREQNLPPGTHHRSSKLTFPMGSHDQFSPEPTPAIPIKIPEKSPLVSETMETTKPEEHVHNIPSDKQSNLTSYSEKISSATSAIAGKAESAKDMVASKLGYGEKDQTPANESHEGQGATKLASAVDYGKKMAVAVTEKLTPVYEKVAEVGSTVVSKLHGPGTGTASEVHTEVEEQDEGVSMKGYIAEKLRPGDEDRALSEVITDALHKRKEDPEKETRPREKVIESVEVSRRLGTGDERDERAGSGSVNSPTTGVVDKLKGAVGSWFGKGEESPGIQQAHGSSYGNEGFSSSTGERRLQESGN >EOY22646 pep chromosome:Theobroma_cacao_20110822:3:24331186:24336043:1 gene:TCM_014755 transcript:EOY22646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide binding protein, putative isoform 2 MSASLTIAKKVKEEEAEEDEAATGEDEDSRAEQEEALVALIEHRTKEVDHLRQRISYYNSQLHQAEKRLQDSQSKLTRLRERHNRASSGGSLANGTKNVKVEPRSTSPEHINGGFRNQPQSRTELVIPSVNPRNAQPVKSAGSGANSAAVSGLEASAASNRAVKAKAEKSHRSSTDVQAVETKDKGTKRKFEQKEHKELIPLVRSSSSPNTIRYTSTQISSQHKRKLRSLAVCPVNDQLFVTSSALDGLVSLWQLQARGSSASLLSTTDCASSRERRWPEDIAWHPHGNSLFSVYTADAGDSQISVLNLNKTQGRARVTFLENKPHVKGIINSIIFMPWENTCFVTGGSDHAVVLWDERDENVWKPKSLHRNLHSSAVMGVAGMQQKQIVLSAGLDKRIVGFDVHVGRADFKHQLESKCMSVLPNPCDFNLFMVQAGAHERQLRLYDIRLRQTELHSFGWKQESSDSLSALINQAWSPDGLYLSSGSADPVIHIFDIRYNAHKPSQSIRAHQKRVFKAVWHYSIPLLISISSDLHIGLHKL >EOY22645 pep chromosome:Theobroma_cacao_20110822:3:24331071:24337219:1 gene:TCM_014755 transcript:EOY22645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide binding protein, putative isoform 2 MSASLTIAKKVKEEEAEEDEAATGEDEDSRAEQEEALVALIEHRTKEVDHLRQRISYYNSQLHQAEKRLQDSQSKLTRLRERHNRASSGGSLANGTKNVKVEPRSTSPEHINGGFRNQPQSRTELVIPSVNPRNAQPVKSAGSGANSAAVSGLEASAASNRAVKAKAEKSHRSSTDVQAVETKDKGTKRKFEQKEHKELIPLVRSSSSPNTIRYTSTQISSQHKRKLRSLAVCPVNDQLFVTSALDGLVSLWQLQARGSSASLLSTTDCASSRERRWPEDIAWHPHGNSLFSVYTADAGDSQISVLNLNKTQGRARVTFLENKPHVKGIINSIIFMPWENTCFVTGGSDHAVVLWDERDENVWKPKSLHRNLHSSAVMGVAGMQQKQIVLSAGLDKRIVGFDVHVGRADFKHQLESKCMSVLPNPCDFNLFMVQAGAHERQLRLYDIRLRQTELHSFGWKQESSDSLSALINQAWSPDGLYLSSGSADPVIHIFDIRYNAHKPSQSIRAHQKRVFKAVWHYSIPLLISISSDLHIGLHKL >EOY23453 pep chromosome:Theobroma_cacao_20110822:3:27459326:27464297:1 gene:TCM_015343 transcript:EOY23453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthetase family protein isoform 1 MKILKLVSQLSTLTCRKPLKKFRLESKQWFSTYTEEPELKDFIQYIDSLKNYEKSGVPKDAGTDSDDGFDLGRMRRLMDRLGNPQSNFKARSLSFPLFLKNYSVHIAGTKGKGSTAAYLSNILRTEGYSVGCYTSPHILSIRERMSVGRLGKPVSSNTLNCLFHRIKQSLDEAIILENGCLSHFEVLTAVAFTLFAQENVDIAIIEAGLGGARDATNIISSSELAASIITTIGEEHLAALGGSLESIAMAKAGIIKHGRPVRLESQLSLLQLILGGPFLPHIDCILRDKALSMSSPIVSASDSGIRTAIKGVSTFKGRPSQSCDLMIQLDCDFQLSIELCDLNLSMLGTHQLQNAVTAACTALCLCNQGGCLVFVISDCLVSTQIHLIRIIENSSNSMLDAFMDISGWKISDGSIRAGLENTCLQGRSQFLTSKEAETLGLPGATVLIDGAHTKESAKALLDTIQMTFPDSRLAIVVAMACDKDHLAFAKELLSGRQVEAVFLTESNIAGGTSRTTSASVLRDCWMQASRELGIKVLHDRIAEYRELFEDKYICSTRDLNHEILVATENSLSDSLRFANQILRERTWNRSGIIVVTGSLHIVSLVLASLNRFYAMMDLSAVVPSICYEGAPTTALKAGS >EOY23454 pep chromosome:Theobroma_cacao_20110822:3:27459394:27462987:1 gene:TCM_015343 transcript:EOY23454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthetase family protein isoform 1 MKILKLVSQLSTLTCRKPLKKFRLESKQWFSTYTEEPELKDFIQYIDSLKNYEKSGVPKDAGTDSDDGFDLGRMRRLMDRLGNPQSNFKSVHIAGTKGKGSTAAYLSNILRTEGYSVGCYTSPHILSIRERMSVGRLGKPVSSNTLNCLFHRIKQSLDEAIILENGCLSHFEVLTAVAFTLFAQENVDIAIIEAGLGGARDATNIISSSELAASIITTIGEEHLAALGGSLESIAMAKAGIIKHGRPLILGGPFLPHIDCILRDKALSMSSPIVSASDSGIRTAIKGVSTFKGRPSQSCDLMIQLDCDFQLSIELCDLNLSMLGTHQLQNAVTAACTALCLCNQGWKISDGSIRAGLENTCLQGRSQFLTSKEAETLGLPGATVLIDGAHTKESAKALLDTIQMTFPDSRLAIVVAMACDKDHLAFAKELLSGRQVEAVFLTESNIAGGTSRTTSASVLRDCWMQASRELGIKVLHDRIAEYRELFEDKYICSTRDLNHEILVATENSLSDSLRFANQILRERTWNRSGIIVVTGSLHIVSLVLASLNR >EOY21450 pep chromosome:Theobroma_cacao_20110822:3:8369532:8370197:-1 gene:TCM_013011 transcript:EOY21450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWGVPDQSTPLQPCHGNNKCKHVTNEFYSNYYKTWSWVEGYAVPIHPVRHPNDWEISPDVKQIAFCQYLGKVKQKDLKRRRIPSIEEDSR >EOY25409 pep chromosome:Theobroma_cacao_20110822:3:34191952:34195763:-1 gene:TCM_016726 transcript:EOY25409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MDLRRLAVNKPIYIYTPITRPLTCVTSTTSAAAAAQQFNPQQQPQPPLNLTDSDSSNDSNNNNNQGLLGRLSCILSKSSLDSSKCKQLLPLLSPLDFDRFFSAISSHLNPKTTLHFFYLASQSFNFRFTLRSYCILILLLLLANHSSPARLLFIRLIDGKLPLSSPNNTTIDHIQITTALADLNTLSKGVPRVMGVDMLLHLYCTQFKNAGFTSAIDVFFTLADKGMFPSSKTCNFFLSSLVKANELQKTYQVFETLSRFVSLDVYLCTTMINAFCKGGRIQDAFALFSRMENLGIAPNVVTYNNIIHGLCKSGRLDEAFQLKQNMTRHGVQPNLITFSVLINGLIKLNKFEEANFVLKEMSGKGFVPNEVVYNTLIDGYCKMGNIDEALGVRDEMLSKGMIPNSVTLNSLVQGLCRTGQMEHAEHLLEEMLSIGLSINLGAFSSVIHWLCMKSRFDSALHFTRKMLLKNLRPNDRLITTLVGGLCKDGKHSEAIELWFKLFEKGFAANTVTSNALLHGLYEAGKMQEAIRLLKEMIQSGLVLDRVSYNTLILGWCKAGKVEEAFRLKEEMFKRGIQPDIYTYNLLLHGISNMGKMKDATNIWDECKRHGIVSNVYTYAIMMDGYCKVDKIEECQNLFDELVTNKVDLNAVVYNTLIRAYCKNGNIMAAFKLHDDMKSKGIPPTICTYSSLIHGLCNMGLPEDARQLLLEMRGLGLVPNVVCYTALLGGYCRLGQMDKVGSLLQEMSSSNVQPNKITYTVMIDGYCKLGNMKEAGKLLCLMVKNGIVPDVVTYNAFTNGLCKEGRVEDAFKVCDHMASEGLPLDEITYTTLIHEWHLPSAWSNQE >EOY25407 pep chromosome:Theobroma_cacao_20110822:3:34190006:34195504:-1 gene:TCM_016726 transcript:EOY25407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MDLRRLAVNKPIYIYTPITRPLTCVTSTTSAAAAAQQFNPQQQPQPPLNLTDSDSSNDSNNNNNQGLLGRLSCILSKSSLDSSKCKQLLPLLSPLDFDRFFSAISSHLNPKTTLHFFYLASQSFNFRFTLRSYCILILLLLLANHSSPARLLFIRLIDGKLPLSSPNNTTIDHIQITTALADLNTLSKGVPRVMGVDMLLHLYCTQFKNAGFTSAIDVFFTLADKGMFPSSKTCNFFLSSLVKANELQKTYQVFETLSRFVSLDVYLCTTMINAFCKGGRIQDAFALFSRMENLGIAPNVVTYNNIIHGLCKSGRLDEAFQLKQNMTRHGVQPNLITFSVLINGLIKLNKFEEANFVLKEMSGKGFVPNEVVYNTLIDGYCKMGNIDEALGVRDEMLSKGMIPNSVTLNSLVQGLCRTGQMEHAEHLLEEMLSIGLSINLGAFSSVIHWLCMKSRFDSALHFTRKMLLKNLRPNDRLITTLVGGLCKDGKHSEAIELWFKLFEKGFAANTVTSNALLHGLYEAGKMQEAIRLLKEMIQSGLVLDRVSYNTLILGWCKAGKVEEAFRLKEEMFKRGIQPDIYTYNLLLHGISNMGKMKDATNIWDECKRHGIVSNVYTYAIMMDGYCKVDKIEECQNLFDELVTNKVDLNAVVYNTLIRAYCKNGNIMAAFKLHDDMKSKGIPPTICTYSSLIHGLCNMGLPEDARQLLLEMRGLGLVPNVVCYTALLGGYCRLGQMDKVGSLLQEMSSSNVQPNKITYTVMIDGYCKLGNMKEAGKLLCLMVKNGIVPDVVTYNAFTNGLCKEGRVEDAFKVCDHMASEGLPLDEITYTTLIHEWHLPSAWSNQE >EOY25410 pep chromosome:Theobroma_cacao_20110822:3:34190006:34195504:-1 gene:TCM_016726 transcript:EOY25410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MDLRRLAVNKPIYIYTPITRPLTCVTSTTSAAAAAQQFNPQQQPQPPLNLTDSDSSNDSNNNNNQGLLGRLSCILSKSSLDSSKCKQLLPLLSPLDFDRFFSAISSHLNPKTTLHFFYLASQSFNFRFTLRSYCILILLLLLANHSSPARLLFIRLIDGKLPLSSPNNTTIDHIQITTALADLNTLSKGVPRVMGVDMLLHLYCTQFKNAGFTSAIDVFFTLADKGMFPSSKTCNFFLSSLVKANELQKTYQVFETLSRFVSLDVYLCTTMINAFCKGGRIQDAFALFSRMENLGIAPNVVTYNNIIHGLCKSGRLDEAFQLKQNMTRHGVQPNLITFSVLINGLIKLNKFEEANFVLKEMSGKGFVPNEVVYNTLIDGYCKMGNIDEALGVRDEMLSKGMIPNSVTLNSLVQGLCRTGQMEHAEHLLEEMLSIGLSINLGAFSSVIHWLCMKSRFDSALHFTRKMLLKNLRPNDRLITTLVGGLCKDGKHSEAIELWFKLFEKGFAANTVTSNALLHGLYEAGKMQEAIRLLKEMIQSGLVLDRVSYNTLILGWCKAGKVEEAFRLKEEMFKRGIQPDIYTYNLLLHGISNMGKMKDATNIWDECKRHGIVSNVYTYAIMMDGYCKVDKIEECQNLFDELVTNKVDLNAVVYNTLIRAYCKNGNIMAAFKLHDDMKSKGIPPTICTYSSLIHGLCNMGLPEDARQLLLEMRGLGLVPNVVCYTALLGGYCRLGQMDKVGSLLQEMSSSNVQPNKITYTVMIDGYCKLGNMKEAGKLLCLMVKNGIVPDVVTYNAFTNGLCKEGRVEDAFKVCDHMASEGLPLDEITYTTLIHEWHLPSAWSNQE >EOY25412 pep chromosome:Theobroma_cacao_20110822:3:34191551:34195504:-1 gene:TCM_016726 transcript:EOY25412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MDLRRLAVNKPIYIYTPITRPLTCVTSTTSAAAAAQQFNPQQQPQPPLNLTDSDSSNDSNNNNNQGLLGRLSCILSKSSLDSSKCKQLLPLLSPLDFDRFFSAISSHLNPKTTLHFFYLASQSFNFRFTLRSYCILILLLLLANHSSPARLLFIRLIDGKLPLSSPNNTTIDHIQITTALADLNTLSKGVPRVMGVDMLLHLYCTQFKNAGFTSAIDVFFTLADKGMFPSSKTCNFFLSSLVKANELQKTYQVFETLSRFVSLDVYLCTTMINAFCKGGRIQDAFALFSRMENLGIAPNVVTYNNIIHGLCKSGRLDEAFQLKQNMTRHGVQPNLITFSVLINGLIKLNKFEEANFVLKEMSGKGFVPNEVVYNTLIDGYCKMGNIDEALGVRDEMLSKGMIPNSVTLNSLVQGLCRTGQMEHAEHLLEEMLSIGLSINLGAFSSVIHWLCMKSRFDSALHFTRKMLLKNLRPNDRLITTLVGGLCKDGKHSEAIELWFKLFEKGFAANTVTSNALLHGLYEAGKMQEAIRLLKEMIQSGLVLDRVSYNTLILGWCKAGKVEEAFRLKEEMFKRGIQPDIYTYNLLLHGISNMGKMKDATNIWDECKRHGIVSNVYTYAIMMDGYCKVDKIEECQNLFDELVTNKVDLNAVVYNTLIRAYCKNGNIMAAFKLHDDMKSKGIPPTICTYSSLIHGLCNMGLPEDARQLLLEMRGLGLVPNVVCYTALLGGYCRLGQMDKVGSLLQEMSSSNVQPNKITYTVMIDGYCKLGNMKEAGKLLCLMVKNGIVPDVVTYNAFTNGLCKEGRVEDAFKVCDHMASEGLPLDEITYTTLIHEWHLPSAWSNQE >EOY25411 pep chromosome:Theobroma_cacao_20110822:3:34192709:34195246:-1 gene:TCM_016726 transcript:EOY25411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MDLRRLAVNKPIYIYTPITRPLTCVTSTTSAAAAAQQFNPQQQPQPPLNLTDSDSSNDSNNNNNQGLLGRLSCILSKSSLDSSKCKQLLPLLSPLDFDRFFSAISSHLNPKTTLHFFYLASQSFNFRFTLRSYCILILLLLLANHSSPARLLFIRLIDGKLPLSSPNNTTIDHIQITTALADLNTLSKGVPRVMGVDMLLHLYCTQFKNAGFTSAIDVFFTLADKGMFPSSKTCNFFLSSLVKANELQKTYQVFETLSRFVSLDVYLCTTMINAFCKGGRIQDAFALFSRMENLGIAPNVVTYNNIIHGLCKSGRLDEAFQLKQNMTRHGVQPNLITFSVLINGLIKLNKFEEANFVLKEMSGKGFVPNEVVYNTLIDGYCKMGNIDEALGVRDEMLSKGMIPNSVTLNSLVQGLCRTGQMEHAEHLLEEMLSIGLSINLGAFSSVIHWLCMKSRFDSALHFTRKMLLKNLRPNDRLITTLVGGLCKDGKHSEAIELWFKLFEKGFAANTVTSNALLHGLYEAGKMQEAIRLLKEMIQSGLVLDRVSYNTLILGWCKAGKVEEAFRLKEEMFKRGIQPDIYTYNLLLHGISNMGKMKDATNIWDECKRHGIVSNVYTYAIMMDGYCKVDKIEECQNLFDELVTNKVDLNAVVYNTLIRAYCKNGNIMAAFKLHDDMKSKGIPPTICTYSSLIHGLCNMGLPEDARQLLLEMRGLGLVPNVVCYTALLGGYCRLGQMDKVGSLLQEMSSSNVQPNKITYTVMIDGYCKLGNMKEAGKLLCLMVKNGIVPDVVTYNAFTNGLCKEGRVEDAFKVCDHMASEGLPLDEITYTTLIHEWHLPSAWSNQE >EOY25408 pep chromosome:Theobroma_cacao_20110822:3:34190566:34195510:-1 gene:TCM_016726 transcript:EOY25408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MDLRRLAVNKPIYIYTPITRPLTCVTSTTSAAAAAQQFNPQQQPQPPLNLTDSDSSNDSNNNNNQGLLGRLSCILSKSSLDSSKCKQLLPLLSPLDFDRFFSAISSHLNPKTTLHFFYLASQSFNFRFTLRSYCILILLLLLANHSSPARLLFIRLIDGKLPLSSPNNTTIDHIQITTALADLNTLSKGVPRVMGVDMLLHLYCTQFKNAGFTSAIDVFFTLADKGMFPSSKTCNFFLSSLVKANELQKTYQVFETLSRFVSLDVYLCTTMINAFCKGGRIQDAFALFSRMENLGIAPNVVTYNNIIHGLCKSGRLDEAFQLKQNMTRHGVQPNLITFSVLINGLIKLNKFEEANFVLKEMSGKGFVPNEVVYNTLIDGYCKMGNIDEALGVRDEMLSKGMIPNSVTLNSLVQGLCRTGQMEHAEHLLEEMLSIGLSINLGAFSSVIHWLCMKSRFDSALHFTRKMLLKNLRPNDRLITTLVGGLCKDGKHSEAIELWFKLFEKGFAANTVTSNALLHGLYEAGKMQEAIRLLKEMIQSGLVLDRVSYNTLILGWCKAGKVEEAFRLKEEMFKRGIQPDIYTYNLLLHGISNMGKMKDATNIWDECKRHGIVSNVYTYAIMMDGYCKVDKIEECQNLFDELVTNKVDLNAVVYNTLIRAYCKNGNIMAAFKLHDDMKSKGIPPTICTYSSLIHGLCNMGLPEDARQLLLEMRGLGLVPNVVCYTALLGGYCRLGQMDKVGSLLQEMSSSNVQPNKITYTVMIDGYCKLGNMKEAGKLLCLMVKNGIVPDVVTYNAFTNGLCKEGRVEDAFKVCDHMASEGLPLDEITYTTLIHEWHLPSAWSNQE >EOY22839 pep chromosome:Theobroma_cacao_20110822:3:24978048:24985310:1 gene:TCM_014895 transcript:EOY22839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor-related MDSVQTNLNDPQSFSAQNQNFYNQNPPPPPQTLASDYPPPPPPSQIVDPDPSQTLGSAPNHGYNNNSRVQVNHDADSNTVNNNSSWKPEMQKPLLSENGLTNTHSGTTDKDYSGGEEETTSRRRRRSRWDPPSDSNNNQSGNEETGSGTKKRKSRWADDEPKPVIQLPDFMKDFTGGIQFDPEIQALNSRLLEISRMLQSGLPLDDRPEGARSPSPEPIYDNMGIRINTREYRARERLTKERQEIISQILKKNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKDTGAKIVIRGKGSVKEGRLQQKRDLKPDPSENEDLHVLVEADTQESLDAAAAMVEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEYCRLCGEPGHRQYACPSRTSTFKSDVLCKICGDGGHPTIDCPMKGTTGKKMDDEYQNFLAELGGTVPDSATKQNTTSVCSGSNPPWASNSGSAGSAHPGLGSNAVKPPKEYDDTNLYIGYLPPNLDDDGLISLFSSYGDIVMAKVIKDRVTGLSKGYGFVKYANVDMANNAIKGMNGYRLEGRTIAVRVAGKPPQPTVPPGPPASTMPTYPVSSQPVGAYPSQQFTTGGPLPNAAPASYAGNPVPWGPPVPPPYAPYAPPPPPPGSTMYPPVPGQPMPPYGLQYTAQMQTVPPGVPPPPVTSSETPQSFPPGVQSENSTSAPAVPTNIYGNSMTAIPPNSQSAYPTSSLGYSSYYNAVPPPPPPPAPTSLTDHAHSIGNVPWAPNPPLPPTVSSAEKTTYGTDAEYEKFMAEMK >EOY21927 pep chromosome:Theobroma_cacao_20110822:3:19883192:19888184:1 gene:TCM_014100 transcript:EOY21927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, putative isoform 2 MGPTTSGDRSEICFFDLETTVPKRRGQGYAILEFGAILVCSKRLLELESYSTLVRPDNLASISPSSERCNRITREDVASAPSFSEISDKVHDLLHGRVWAGHNIVRFDCLRIREAFEKIGRPAPEPKGIIDSLALLTKTFGRRAGNMKESSLPDILTADSVVSPRPTTRSISNSKSSPEGPSPNMQAPSSSIYESVPNLSPTDIENGEPHPIISLLTCHIGEEIPSLDASDSAQPDPFDMSRLSNEIKTEAYQLDVTMEEKPEPESPEVSLATAVAGGCSGYAGFLEPDEVSVTSISASLTPYYRGTDRIKLLHEDVVLQLFCSRLRVRFGISKKFVDQAGRPRLSIMVNVSPSLCRVLDACDAVAKKRFEDCGSSSEWRPVVNRKDGYVNYPTVRLHIPTVVSGDIVQYATEIHQKDSSGTVQKLVFSKFDAAELDNLFRTGIFVDAFFSLDPYDYQQSAGIRLVAKNLIIHSY >EOY21926 pep chromosome:Theobroma_cacao_20110822:3:19883182:19890746:1 gene:TCM_014100 transcript:EOY21926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, putative isoform 2 MGPTTSGDRSEICFFDLETTVPKRRGQGYAILEFGAILVCSKRLLELESYSTLVRPDNLASISPSSERCNRITREDVASAPSFSEISDKVHDLLHGRVWAGHNIVRFDCLRIREAFEKIGRPAPEPKGIIDSLALLTKTFGRRAGNMKMATLANYFNIGEQRHRSLDDIRMNLEVLKYCATVLFLESSLPDILTADSVVSPRPTTRSISNSKSSPEGPSPNMQAPSSSIYESVPNLSPTDIENGEPHPIISLLTCHIGEEIPSLDASDSAQPDPFDMSRLSNEIKTEAYQLDVTMEEKPEPESPEVSLATAVAGGCSGYAGFLEPDEVSVTSISASLTPYYRGTDRIKLLHEDVVLQLFCSRLRVRFGISKKFVDQAGRPRLSIMVNVSPSLCRVLDACDAVAKKRFEDCGSSSEWRPVVNRKDGYVNYPTVRLHIPTVVSGDIVQYATEIHQKDSSGTVQKLVFSKFDAAELDNLFRTGIFVDAFFSLDPYDYQQSAASLIRKERQRKAKDHLLNKCN >EOY22542 pep chromosome:Theobroma_cacao_20110822:3:23854505:23856934:1 gene:TCM_014686 transcript:EOY22542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose 1-dehydrogenase, putative MDLINKFMNAIVATISLIALFFLLHHYRFFKSLLSTVRTIFKENVTGKVILITGASSGVGENLAYKYARRGARLALVARREHRLQEVAAIFEITGSPEAIYILGDISKIEDCKRFVDATVNHFGHLDHLVTSAGVAPVCLFEDYDDITKASPAMDINFWASQWPKASKAALISFYETLRIEFRTQIGITIVTLGLIKTEMTEGKFLSREGKLVVDREMRDVQVSLMPLESADKCAKAVVDSACRGENYLTLPSWTKATLLWKVFCPEIIEWWNRLLLMTGPGSSHGDVPSKKIFDVASRVKKFSLWMGGPFPKIVIKAP >EOY24428 pep chromosome:Theobroma_cacao_20110822:3:30935797:30937552:-1 gene:TCM_016033 transcript:EOY24428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRMIPVFRDYPKGCSPRGRFATQFSQHLVQSESPSSSSLYDSESEDLVASLFDDALESVSSAEVEGKDGSQALDTTSPIDQLETSDHEATEFPEEVVSESMPTDYAPRKKVLAIRGYPRGCGPKGKSQTPLSEDLESEGPDSSLWSGSELEVSLSKKGLDSITSAERETPKALDEIEHIDLKETSESLEEAKLVFSSSWYDLESEDSMVSIYNYSLESVALSEEEQGIDMPKVVDSMGLIDQSETSEPEDPFLPPKTIDLVTMDSPKGCRRRCPQVRDENILEATTFETNGLNHELSDAEDPSLIVLSDSEGSCSTNTCRSNLQESENLSGQCSNQFQEKGPSRTEHRVPKLIVTGWMAARNCPWRERKRASRSNTTRDANSSKRKKH >EOY23843 pep chromosome:Theobroma_cacao_20110822:3:28753947:28757394:1 gene:TCM_015612 transcript:EOY23843 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 2 MTVSPRYDQYKDAWDTSVLVQLIVGDKIETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGSKIYGPRTGLDYKDNQLRFSLLCQAALEAPRVLNLNSNKYFSGPYGEDVVFIANDWHTALLPCYLKSMYQSRGIYMNAKVAFCIHNIVYQGRFAFADFSLLNLPARFKSSFDFMDGYDKPVKGRKINWMKAGIIESDRVLTVSPYYAQELVSGADKGAELDTIIRKACITGIVNGMDVQEWNPATDKYISVKYDATTVMDAKPLLKEALQAEVGLPCDRDVPVIGFIARLEEQKGSDILAKAIPKFIAENCQIVALGTGKKAMEKQLEQLELQYPDKARGVAKFNVPLAHMIIAGADYILVPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGYTGFQMGAFSIECDAVDPSDVDKVARSIKRALATYGTQALKEMIQNCMAQDLSWKGPSKLWEKMLLSLEVAGSEPGIEGEEIAPLAKENVPTP >EOY23842 pep chromosome:Theobroma_cacao_20110822:3:28753045:28758044:1 gene:TCM_015612 transcript:EOY23842 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 2 MATVTASHFVSRSSHVNSQETKAKLAQIGMRNQAMTHNGLRSLNQVDRLQMRTHAKAVARQAVKEGHPTANDRPSGKIICGTGMNLVFVGAEVGPWSKTGGLGDVVGGLPPAMAARGHRVMTVSPRYDQYKDAWDTSVLVQLIVGDKIETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGSKIYGPRTGLDYKDNQLRFSLLCQAALEAPRVLNLNSNKYFSGPYGEDVVFIANDWHTALLPCYLKSMYQSRGIYMNAKVAFCIHNIVYQGRFAFADFSLLNLPARFKSSFDFMDGYDKPVKGRKINWMKAGIIESDRVLTVSPYYAQELVSGADKGAELDTIIRKACITGIVNGMDVQEWNPATDKYISVKYDATTVMDAKPLLKEALQAEVGLPCDRDVPVIGFIARLEEQKGSDILAKAIPKFIAENCQIVALGTGKKAMEKQLEQLELQYPDKARGVAKFNVPLAHMIIAGADYILVPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGYTGFQMGAFSIECDAVDPSDVDKVARSIKRALATYGTQALKEMIQNCMAQDLSWKGPSKLWEKMLLSLEVAGSEPGIEGEEIAPLAKENVPTP >EOY20919 pep chromosome:Theobroma_cacao_20110822:3:1739882:1744249:1 gene:TCM_012243 transcript:EOY20919 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain MEWNSNNTFKTYKDMEPKAMMDMALIPNIDPVDIGLGSSEKGSVVPTSKPRKKTMTSVYLKYFETAPDGKTRRCKFCGQSYSIATATGNLGRHLSNRHPGYDKTGDVVTTSSVPQPTTPVIKKSQPQGRAAQVDYDHLNWLLIKWLILASLPPSTLEEKWLANSFKFLNPSIQLWPGEKYKAVFREVFRSMREDVRVSLEQVSSKVSVTLDFWTSYEQIFYMSVTCQWIDENWSFQKVLLDICQVPYPCTGSEIYNTLFKVLKMYNIENKVLSCTHDNSQNAIHACHTLKEDLDGQKVGPFCYIPCAARTLSLIIDDALRTTKPVIAKVREFVQELNASLDISEDFIQLTTAYQEGSWQFPLDASARWSGNYQMLDLVHKAGKSMDAVVRKNEEILGSRILLNGAEKNVANIVHNYLEPFYKVINEICVNNPPTIGMVIVYMDHISDTIATRQTPDWLKSAAEDMAKKLRSYNNQVCNIFIYMTAILDPRIKCELIPESLNSENYLEEARAHFMRNYYTSHFSSMTSGYSAQDIEDGGSVSFAEEIARKKRRASMSNVADELTQYLSESPAPTKTDVLEWWKVNSTRYPRLSAMARDFLAVQATSVKPEELFCSKGDEIDKQRFCMPHDSTQAILCIKSWTQGGLKLKYKSSEIDYERLMELAAAAAADNISAGFDKKQK >EOY24895 pep chromosome:Theobroma_cacao_20110822:3:32598495:32604705:-1 gene:TCM_016371 transcript:EOY24895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family (UPF0016) [Source:Projected from Arabidopsis thaliana (AT1G64150) TAIR;Acc:AT1G64150] MRGITLTESGLVVLSLLPPCLKPPKSFTSRLLSLNVNSLSKKQYSSKLSSRHQSLLFSRCYRNWSERTCEERFGRFLQKVAELQHGLEHKYVDCKNGEETRALGHNSEDCCVSDHAPVNYNLRRGNYIATNLAISNTLFNNLLKFMVLFGLFTFQGSQPAAAVSDIASGLQLIPYIGDLGDISTGFASAFLLIFFSELGDKTFFIAALLAARNSAGVVFTGTFGALAAMTIISVVLGRTFHYVDELLPFRFGEADLPIDDIAAVCLLVYFGVSTLLDAASSDNQKADEEQKEAELAVSEFSGNGAGILAAANTVVSTFILVFIAEWGDKSFFSTIALAAASSPLGVIGGALAGHGVATLLAVLGGSLLGTFLSEKAIAYIGGTLFLVFAAVTLIEIVN >EOY24896 pep chromosome:Theobroma_cacao_20110822:3:32599809:32604401:-1 gene:TCM_016371 transcript:EOY24896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family (UPF0016) [Source:Projected from Arabidopsis thaliana (AT1G64150) TAIR;Acc:AT1G64150] YRSRELLRECERMRGITLTESGLVVLSLLPPCLKPPKSFTSRLLSLNVNSLSKKQYSSKLSSRHQSLLFSRCYRNWSERTCEERFGRFLQKVAELQHGLEHKYVDCKNGEETRALGHNSEDCCVSDHAPVNYNLRRGNYIATNLAISNTLFNNLLKFMVLFGLFTFQGSQPAAAVSDIASGLQLIPYIGDLGDISTGFASAFLLIFFSELGDKTFFIAALLAARNSAGVVFTGTFGALAAMTIISVVLGRTFHYVDELLPFRFGEADLPIDDIAAVCLLVYFGVSTLLDAASSDNQKADEEQKEAELAVSEFSGNGAGILAAANTVVSTFILVFIAEWGDKSFFSTIACCFGRLFTG >EOY24897 pep chromosome:Theobroma_cacao_20110822:3:32601256:32604401:-1 gene:TCM_016371 transcript:EOY24897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family (UPF0016) [Source:Projected from Arabidopsis thaliana (AT1G64150) TAIR;Acc:AT1G64150] MRGITLTESGLVVLSLLPPCLKPPKSFTSRLLSLNVNSLSKKQYSSKLSSRHQSLLFSRCYRNWSERTCEERFGRFLQKVAELQHGLEHKYVDCKNGEETRALGHNSEDCCVSDHAPVNYNLRRGNYIATNLAISNTLFNNLLKFMVLFGLFTFQGSQPAAAVSDIASGLQLIPYIGDLGDISTGFASAFLLIFFSELGDKTFFIAALLAARNSAGVVFTGTFGALAAMTIISVVLGRTFHYVDELLPFRFGEADLPIDDIAAVCLLVYYPLFRL >EOY21447 pep chromosome:Theobroma_cacao_20110822:3:8320495:8341621:1 gene:TCM_013007 transcript:EOY21447 gene_biotype:protein_coding transcript_biotype:protein_coding description:STELAR K+ outward rectifier isoform 1 MMREGERGNRGKESEDEESEEAKLREESRKPLWKRVLSLMRNEQLSSWSGVGRDIVSGSRGASPPHGFIIHPDDWWYVLWTHFILVWAIYSSFFTPLEFGFFRGLPENLFLLDIAGQIAFLFDIVVRFFLAYRDTHSYRMVYDRKLIALRYLKSRFIVDFLGCLPWDAIYKVCGRKEPIRYMLWIRLSRALRVTEFFEKLEKDIRINYLFTRIVKLLVVEYYCTHAAGCIFYYLATTVPPSKEGYTWIGSLQMGEYHFSNFREIDIWKRYVVSLYFAVVTMVTVGYGDIHAVNVREMIFVMIYVSLDMILGAYLLGNMAALIVKGSKTERFRDKMTDLIKYMNRNNLEKQISKEIKGHLKLQYDRSYTEATVLQDIPASIRTKISQKLYEPFIKEVSLFKGCSTGFIKLIANKVHEEFFLPGEVIIEQGNVVDQLYIVCHGKLVEVGRGKNDETGEFIADLQTFSSFGEVSFLCNTPQPYTIRVRELCRVLRLDKQSFMEIVEINFSDGRIILNNLLEGKDSNMQNEILESDVTLYIGKLESELAARLNCAAYNGDLYRLKRLIGAGADPNKTDYDGRSPLHIAASKGYEDITSFLIEQNVDINISDKFGNTPLLESIKHGHDQVASLLVNAGALLAMDDAGGFLCMTVARRDLDLLKRVLAGGIDPNAKSYDYRTPLHVAASEGLYLAAKMLIEAGASVFSKDRWGNTPLEEARIAGNRNLIGLLEAARASQMTEFSDCLRQIQGKMRKKKCTVYPCHPWHQEERRQGVVLWVPESMEELIKAAKEQLECSHGCCILSEDGGKILDANMISNDQKLYLVD >EOY21448 pep chromosome:Theobroma_cacao_20110822:3:8320345:8340596:1 gene:TCM_013007 transcript:EOY21448 gene_biotype:protein_coding transcript_biotype:protein_coding description:STELAR K+ outward rectifier isoform 1 MMREGERGNRGKESEDEESEEAKLREESRKPLWKRVLSLMRNEQLSSWSGVGRDIVSGSRGASPPHGFIIHPDDWWYVLWTHFILVWAIYSSFFTPLEFGFFRGLPENLFLLDIAGQIAFLFDIVVRFFLAYRDTHSYRMVYDRKLIALRYLKSRFIVDFLGCLPWDAIYKVCGRKEPIRYMLWIRLSRALRVTEFFEKLEKDIRINYLFTRIVKLLVVEYYCTHAAGCIFYYLATTVPPSKEGYTWIGSLQMGEYHFSNFREIDIWKRYVVSLYFAVVTMVTVGYGDIHAVNVREMIFVMIYVSLDMILGAYLLGNMAALIVKGSKTERFRDKMTDLIKYMNRNNLEKQISKEIKGHLKLQYDRSYTEATVLQDIPASIRTKISQKLYEPFIKEVSLFKGCSTGFIKLIANKVHEEFFLPGEVIIEQGNVVDQLYIVCHGKLVEVGRGKNDETGEFIADLQTFSSFGEVSFLCNTPQPYTIRVRELCRVLRLDKQSFMEIVEINFSDGRIILNNLLEGKDSNMQNEILESDVTLYIGKLESELAARLNCAAYNGDLYRLKRLIGAGADPNKTDYDGRSPLHIAASKGYEDITSFLIEQNVDINISDKFGNTPLLESIKHGHDQVASLLVNAGALLAMDDAGGFLCMTVARRDLDLLKRVLAGGIDPNAKSYDYRTPLHVAASEGLYLAAKMLIEAGASVFSKDSPLLFIDGEILHLKKPV >EOY21449 pep chromosome:Theobroma_cacao_20110822:3:8320636:8340679:1 gene:TCM_013007 transcript:EOY21449 gene_biotype:protein_coding transcript_biotype:protein_coding description:STELAR K+ outward rectifier isoform 1 MMREGERGNRGKESEDEESEEAKLREESRKPLWKRVLSLMRNEQLSSWSGVGRDIVSGSRGASPPHGFIIHPDDWWYVLWTHFILVWAIYSSFFTPLEFGFFRGLPENLFLLDIAGQIAFLFDIVVRFFLAYRDTHSYRMVYDRKLIALRYLKSRFIVDFLGCLPWDAIYKVCGRKEPIRYMLWIRLSRALRVTEFFEKLEKDIRINYLFTRIVKLLVVEYYCTHAAGCIFYYLATTVPPSKEGYTWIGSLQMGEYHFSNFREIDIWKRYVVSLYFAVVTMVTVGYGDIHAVNVREMIFVMIYVSLDMILGAYLLGNMAALIVKGSKTERFRDKMTDLIKYMNRNNLEKQISKEIKGHLKLQYDRSYTEATVLQDIPASIRTKISQKLYEPFIKEVSLFKGCSTGFIKLIANKVHEEFFLPGEVIIEQGNVVDQLYIVCHGKLVEVGRGKNDETGEFIADLQTFSSFGEVSFLCNTPQPYTIRVRELCRVLRLDKQSFMEIVEINFSDGRIILNNLLEGKDSNMQNEILESDVTLYIGKLESELAARLNCAAYNGDLYRLKRLIGAGADPNKTDYDGRSPLHIAASKGYEDITSFLIEQNVDINISDKFGNTPLLESIKHGHDQVASLLVNAGALLAMDDAGGFLCMTVARRDLDLLKRVLAGGIDPNAKSYDYRTPLHVAASEGLYLAAKMLIEAGASVFSKDRWGNTPLEEARIAGNRNLIGLLEAARASQMTEFSDCLRQIQGKMRKKKCTVYPCHPWHQEERRQGVVLWVPESMEEL >EOY23590 pep chromosome:Theobroma_cacao_20110822:3:27967404:27971066:1 gene:TCM_015437 transcript:EOY23590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 IPSPSFNTYRTSQVSLNLHSQHWHQSISRFSVSLKTHKSSLKLYMIPAIPIVARQFLLKPFAAVDSLEAHVSPDQNCAASGVSSQNGFDRSKTLLDKASNSLDGMSDFERQLQELFNEVKTLIMTGNRSDALDLLQANYEAVKEQINAGAKGIEEAAILDVIALGYMAVGELKFVHPLLNLINEVIEDQKDDEPLLDSILLHMGSMYSTLGEFEKSMLVNQRVTDILENRHGKNSVFLVTPLLGMTKVLSSTGRTTKAVDIYHRVIKILELSRGTESEDFVVPLVGLGNLLIKEGRATDAENAFVRILNIYTKLYGENDGRVALAMCSLAHAKCAKGNANEAIDLYKKALQMIKDSSYMPSDDSLMENMRIDLAELLHAVGRGREGRELLEECLLITEKLKGKDHPSLVAHYLNLATSYSQSKDFVMAERLLRTSLEITKKAERPDNPSITFPMLHLAVTLYHLERDEEAEQVALEALHIREKAFGKDSLPVGFGLFGVN >EOY23589 pep chromosome:Theobroma_cacao_20110822:3:27967139:27971391:1 gene:TCM_015437 transcript:EOY23589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MAASILIPSPSFNTYRTSQVSLNLHSQHWHQSISRFSVSLKTHKSSLKLYMIPAIPIVARQFLLKPFAAVDSLEAHVSPDQNCAASGVSSQNGFDRSKTLLDKASNSLDGMSDFERQLQELFNEVKTLIMTGNRSDALDLLQANYEAVKEQINAGAKGIEEAAILDVIALGYMAVGELKFVHPLLNLINEVIEDQKDDEPLLDSILLHMGSMYSTLGEFEKSMLVNQRVTDILENRHGKNSVFLVTPLLGMTKVLSSTGRTTKAVDIYHRVIKILELSRGTESEDFVVPLVGLGNLLIKEGRATDAENAFVRILNIYTKLYGENDGRVALAMCSLAHAKCAKGNANEAIDLYKKALQMIKDSSYMPSDDSLMENMRIDLAELLHAVGRGREGRELLEECLLITEKLKGKDHPSLVAHYLNLATSYSQSKDFVMAERLLRTSLEITKKAERPDNPSITFPMLHLAVTLYHLERDEEAEQVALEALHIREKAFGKDSLPVGEALDCLVSIQTRLGKGEVELLEQLKRILRIQEKELGYENEEVMITLKKVVFYLDKLGKKDEKFPLQKRLSRLWMKYKQVVRY >EOY22380 pep chromosome:Theobroma_cacao_20110822:3:23213013:23216817:-1 gene:TCM_014566 transcript:EOY22380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM domain-containing protein / GCN5-related N-acetyltransferase family protein isoform 4 MAPEPKKLPRPGRGGFQAHGLTEEEARVRAIAEIVSTMVELSRKNQTVDLNAIKSAACRKYGLTRSPKLVEMIAALPESDRESLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVTYSEHSAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFKEFFESPLFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMDDLGLKCRDVRTREAGIQVRRHNLAPQESVHAEKQKPFEGVMACLFENRTFTTKLNLKKLSLFDVIIQQMKVGKHFCHMKIHARIFLLGCCVCESVAGTLLALN >EOY22377 pep chromosome:Theobroma_cacao_20110822:3:23213214:23216832:-1 gene:TCM_014566 transcript:EOY22377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM domain-containing protein / GCN5-related N-acetyltransferase family protein isoform 4 MATAVMAPEPKKLPRPGRGGFQAHGLTEEEARVRAIAEIVSTMVELSRKNQTVDLNAIKSAACRKYGLTRSPKLVEMIAALPESDRESLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVTYSEHSAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFKEFFESPLFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMDDLGLKCRDVRTREAGIQDIHHKIKPEEVELVRRDYTANEGWETFLSYEDTCQDILVGLLRLRKCGRNTTCPELMGKCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIARREHRSTKIAVISGVGTRHYYRKLGYELEGPYMVKYLV >EOY22379 pep chromosome:Theobroma_cacao_20110822:3:23213013:23216817:-1 gene:TCM_014566 transcript:EOY22379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM domain-containing protein / GCN5-related N-acetyltransferase family protein isoform 4 MAPEPKKLPRPGRGGFQAHGLTEEEARVRAIAEIVSTMVELSRKNQTVDLNAIKSAACRKYGLTRSPKLVEMIAALPESDRESLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVTYSEHSAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFKEFFESPLFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMDDLGLKCRDVRTREAGIQDIHHKIKPEEVELVRRDYTANEGWETFLSYEDTCQVTARTISPVGACVCICLQLIFCHMQISISVLLLTGVISWYFLNYFINVLSNLKLSISFGNDCTSWYNVFLHNSLFLLEHNVPSRFGHRIFLLGCCVCESVAGTLLALN >EOY22378 pep chromosome:Theobroma_cacao_20110822:3:23213018:23217344:-1 gene:TCM_014566 transcript:EOY22378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM domain-containing protein / GCN5-related N-acetyltransferase family protein isoform 4 MATAVMAPEPKKLPRPGRGGFQAHGLTEEEARVRAIAEIVSTMVELSRKNQTVDLNAIKSAACRKYGLTRSPKLVEMIAALPESDRESLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVTYSEHSAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFKEFFESPLFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMDDLGLKCRDVRTREAGIQKLSLFDVIIQQMKVGKHFCHMKIHARIFLLGCCVCESVAGTLLALN >EOY24379 pep chromosome:Theobroma_cacao_20110822:3:30718438:30720542:1 gene:TCM_015995 transcript:EOY24379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactate/malate dehydrogenase family protein MQKSASASSLGPGGLDLTQAFFKPIQNTAPPSPTKRHTKISVIGVGNVGMAIAQTILTQDLADELSLVDAKADKLRGEMLDLQHAAAFLPRTKINASVDYSVTAGSDLCIVTAGARQNPGESRLNLLRRNVALFSSIIPPLAKYAPDSILLIVSNPVDLLTYVAWKLSGFPSNRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAYIVGEHGDSSVALWSSISVGGVPVLSFLKNQQIAYEKETLENIHKAVIGSAYEVISLKGYTSWAIGYSVASLARSLLRDQRKIHPVSVLAKGFYGINAGDVFLSLPAQLGRGGVLGVTNIHLTDEEVQRLRKSAKTILEYQSQLGL >EOY22050 pep chromosome:Theobroma_cacao_20110822:3:21089120:21092105:1 gene:TCM_014242 transcript:EOY22050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein adipocyte-associated 1 isoform 3 MPNNLHQIITNSSISPPPISNINGQDGQSDRQCHGVWYDALLVVPAVLFVVYLAVNAKKNVNKLRNGRSLVLISYFALLWLASGLNLAWCSLQAWQCASGKEIAWNLLSLITTSGFLCLELSLVGFLLQESYANGLEALARTFTISGIIVAVDMLLKMDYIFIDLSGKAIFIFGFGVPLFFDVVGSTHQMKWGLWIIQKLLLTTVYGFILFVPFSKWREKLPPRPAFYNYVAVMFVVNAISLLACGLATIGIGFGLWLYNLTVICYHLLYLPFLYVTFLADFFQEEDFLLDNAYYSEMKDAGFFDADWD >EOY22052 pep chromosome:Theobroma_cacao_20110822:3:21089227:21092357:1 gene:TCM_014242 transcript:EOY22052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein adipocyte-associated 1 isoform 3 MPNNLHQIITNSSISPPPISNINGQDGQSDRQCHGVWYDALLVVPAVLFVVYLAVNAKKNVNKLRNGRSLVLISYFALLWLASGLNLAWCSLQAWQCASGKEIAWNLLSLITTSGFLCLELSLVGFLLQESYANGLEALARTFTISGIIVAVDMLLKAIFIFGFGVPLFFDVVGSTHQMKWGLWIIQKLLLTTVYGFILFVPFSKWREKLPPRPAFYNYVAVMFVVNAISLLACGLATIGIGFGLW >EOY22053 pep chromosome:Theobroma_cacao_20110822:3:21089209:21091425:1 gene:TCM_014242 transcript:EOY22053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein adipocyte-associated 1 isoform 3 MPNNLHQIITNSSISPPPISNINGQDGQSDRQCHGVWYDALLVVPAVLFVVYLAVNAKKNVNKLRNGRSLVLISYFALLWLASGLNLAWCSLQAWQCASGKEIAWNLLSLITTSGFLCLELSLVGFLLQESYANGLEALARTFTISGIIVAVDMLLKAIFIFGFGVPLFFDVVGSTHQMKWGLWIIQKLLLTTVYGFILFVPFSKWREKLPHQHSTTM >EOY22051 pep chromosome:Theobroma_cacao_20110822:3:21089144:21092357:1 gene:TCM_014242 transcript:EOY22051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein adipocyte-associated 1 isoform 3 MPNNLHQIITNSSISPPPISNINGQDGQSDRQCHGVWYDALLVVPAVLFVVYLAVNAKKNVNKLRNGRSLVLISYFALLWLASGLNLAWCSLQAWQCASGKEIAWNLLSLITTSGFLCLELSLVGFLLQESYANGLEALARTFTISGIIVAVDMLLKAIFIFGFGVPLFFDVVGSTHQMKWGLWIIQKLLLTTVYGFILFVPFSKWREKLPPRPAFYNYVAVMFVVNAISLLACGLATIGIGFGLWLYNLTVICYHLLYLPFLYVTFLADFFQEEDFLLDNAYYSEMKDAGFFDADWD >EOY22105 pep chromosome:Theobroma_cacao_20110822:3:21404438:21406975:1 gene:TCM_014299 transcript:EOY22105 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPIRAL1-like1, putative isoform 1 MGRGVSSGGGQSSLGYLFGSGEAPKPTANGNVTGTSTASEVPKTQNEVAKETPQKPTTTSPPADVARQVPAGIPGNNANNYFRADGQNCGNFLTDRRTTKVHAAPGGGSSLDYLFGGNEK >EOY22104 pep chromosome:Theobroma_cacao_20110822:3:21404348:21406974:1 gene:TCM_014299 transcript:EOY22104 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPIRAL1-like1, putative isoform 1 MGRGVSSGGGQSSLGYLFGSGEAPKPTANGNVTGTSTASEVPKTQNEVAKETPQKPTTTSPPADVARQVPAGIPGNNANNYFRADGQNCGNFLTDRRTTKVHAAPGGGSSLDYLFGGNEK >EOY23349 pep chromosome:Theobroma_cacao_20110822:3:27079062:27080384:-1 gene:TCM_015270 transcript:EOY23349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2, putative MQRHLLVAAVLATFSLLVSGQNNYPDFFYKLSLQWPPAVCATSQCRTPIPGTFTIHGLWPQFVKDDRPVPPYNPTTNKCNDVKPTAPDQILVSLQPIRDKLNKLWPSLLMKNTNEDFWRLEWERHGMCSDYLDDPGSYFTTALNLATTNNPLKGLVPRQDLYKASEISEAINTKLGKYPEISCGKVSNTLQLNEIRLCFERAKPPSVLRDCPKRYSNGCSNGNDQVKFPPLTSRV >EOY22177 pep chromosome:Theobroma_cacao_20110822:3:22140911:22144088:1 gene:TCM_014394 transcript:EOY22177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide 4-kinase gamma 7, gamma 7,ubdk gamma 7,pi4k gamma 7 isoform 1 MASKLDSPVQTQVAVAVFNGICNGEYHGNTRARPSRTRRVFVQTETGCVLGLELDRNDNAHTVKRRLQLALNVPTDESSLTYGDLTLKNDLSAIRNDSPLLLTRNAMHRSSSTPCLSPTGKTFQQGDQSGPIEILGCSNRFAGTTELVKDIIRAIKNGVDPIPVNSGLGGAYYFRNIKGENAAIVKPTDEEPFAPNNPKGFVGKALGQPGLKHSVRVGETGFREVAAYLLDYGHFANVPPTVLVKVTHSVFNVNDGVNRNKHQKKKKVSKIASLQQFIPHDFDASDHGTSSFPVDAIHRIGILDIRIFNTDRHAGNLLVRKLSGVEGFGQVELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSADELEYIKNLDPVRDCDMLRAELPMIREACLRVLVLCSIFLQEAAAFGLCLAEIGEMMSREFHGQEEEPSELEVVCLEAKELTMEIDMSFFESDEGDNEFQFDMDCEAAEVNVAPKMSGLFAKLPFYYRSESFNEKNLLAQVDENLEESEIQVDGGPISAAVACGHKDDWVYTASRLSLSLKKVNLGEKGFHYHGGKQKGKMIGYSSRMIRSANEHLPTSTSFVKFADMSEEEWNLFIEKFKELLYPAFAKRRSRTIVQRQRLGTSCQF >EOY22176 pep chromosome:Theobroma_cacao_20110822:3:22140734:22144103:1 gene:TCM_014394 transcript:EOY22176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide 4-kinase gamma 7, gamma 7,ubdk gamma 7,pi4k gamma 7 isoform 1 MASKLDSPVQTQVAVAVFNGICNGEYHGNTRARPSRTRRVFVQTETGCVLGLELDRNDNAHTVKRRLQLALNVPTDESSLTYGDLTLKNDLSAIRNDSPLLLTRNAMHRSSSTPCLSPTGKTFQQGDQSGPIEILGCSNRFAGTTELVKDIIRAIKNGVDPIPVNSGLGGAYYFRNIKGENAAIVKPTDEEPFAPNNPKGFVGKALGQPGLKHSVRVGETGFREVAAYLLDYGHFANVPPTVLVKVTHSVFNVNDGVNRNKHQKKKKVSKIASLQQFIPHDFDASDHGTSSFPVDAIHRIGILDIRIFNTDRHAGNLLVRKLSGVEGFGQVELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSADELEYIKNLDPVRDCDMLRAELPMIREACLRVLVLCSIFLQEAAAFGLCLAEIGEMMSREFHGQEEEPSELEVVCLEAKELTMEIDMSFFESDEGDNEFQFDMDCEAAEVNVAPKMSGLFAKLPFYYRSESFNEKNLLAQVDENLEESEIQVDGGPISAAVACGHKDDWVYTASRLSLSLKKVNLGEKGFHYHGGKQKGKMIGYSSRMIRSANEHLPTSTSFVKFADMSEEEWNLFIEKFKELLYPAFAKRRSRTIVQRQRLGTSCQF >EOY22130 pep chromosome:Theobroma_cacao_20110822:3:21608327:21609495:-1 gene:TCM_014331 transcript:EOY22130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVRQLCLAKLLNIFASKTRPRIMSLKKKLNVFSQDGKTIVEYLQYMKGITDERALAQALIATDDLVIFIFKWLGGRLKGNLNY >EOY22574 pep chromosome:Theobroma_cacao_20110822:3:23957012:23965365:1 gene:TCM_014705 transcript:EOY22574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 2 MKRKRGKEGGEEEEKEEEKNKRKMDIVWQTPAHPAHKDDYIFHNGKRYVRPYYFEFVSHVNKRWEGKTIVDLFTQEFRGRPNDYYVSAVKCGRIQVDGENIPVSYKVKRCQKISHFVHRHEPPVMAWDVAILQNEPDVLTVCKPASVPVHPCGQYRKNTVLGILQAEHGLSPLYPIHRLDRLVSGLLIVAKNPAKADIFRQHIEAGLVQKQYVAKVIGVFPECEQVVDANVDYNAREGRSTAEKRKTRRKMRSVVVIHKSLLCLLCRDFSFSKVGISNGDTTLKGKVACTKFTRISTNGIHSIVLCEPTTGRTHQIRVHLQCTGHPIANDMLYLSDNVVDRSTLGMTADRAAALSCSCPVTSCENHNEEYKVSSEDFSIDPMCSHCPNLAPNGYNGHEEGLWLHCVRYSGPGWVYECPYPDWASLS >EOY22575 pep chromosome:Theobroma_cacao_20110822:3:23956982:23965013:1 gene:TCM_014705 transcript:EOY22575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein isoform 2 MKRKRGKEGGEEEEKEEEKNKRKMDIVWQTPAHPAHKDDYIFHNGKRYVRPYYFEFVSHVNKRWEGKTIVDLFTQEFRGRPNDYYVSAVKCGRIQVDGENIPVSYKVKRCQKISHFVHRHEPPVMAWDVAILQNEPDVLTVCKPASVPVHPCGQYRKNTVLGILQAEHGLSPLYPIHRLDRLVSGLLIVAKNPAKADIFRQHIEAGLVQKQYVAKVIGVFPECEQVVDANVDYNAREGRSTAEVGISNGDTTLKGKVACTKFTRISTNGIHSIVLCEPTTGRTHQIRVHLQCTGHPIANDMLYLSDNVVDRSTLGMTADRAAALSCSCPVTSCENHNEEYKVSSEDFSIDPMCSHCPNLAPNGYNGHEEGLWLHCVRYSGPGWVYECPYPDWASLS >EOY24439 pep chromosome:Theobroma_cacao_20110822:3:30996346:30997273:-1 gene:TCM_016042 transcript:EOY24439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein, putative MASSYFSIAAILILILFTTHLNFCIAARKILSSETDTEFIKTSCGATSYPDLCFTTFSSYASEIQASPKILASKSLSLTLNTTLSASKFLTELSKSQDLEPQEAAALQDCVEEISDSVDELKRSIGEIDEIEGKSFAFRMSDIETWVSAALTDEDTCTDGFSENATDGDVKATVRSQIEKVAHMTSIALAFVNRYAGTKN >EOY25124 pep chromosome:Theobroma_cacao_20110822:3:33325554:33326045:1 gene:TCM_016536 transcript:EOY25124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDNSENNSPSLISREKLEEVASWVSATVVSAFFSSLERCSCVNLATTDPDDDDNPGEAKDRPLTYSSISSPSR >EOY20568 pep chromosome:Theobroma_cacao_20110822:3:187899:193676:-1 gene:TCM_011956 transcript:EOY20568 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 12 isoform 4 MQRLLFLKQASSSFSKALTKTSSLSSLLHSFPYKTFSSIAKPTVDNQFLNSSFSHPFHHHSFPWRSQLSLTGEIRGFLSYPVVAKRFWLNFSSIHLKTSGKSLLGCRVWFLRPQIPRGRFDFGLQTSRWRLWFQRLTASDMVLGLIITNVAVFLLWRIADRKFMMNNFMIGRIFGPEYLLKLYLAGAIGGSVFYLVHHAFLALSSKGHAMWMMDPSKTPGLGASGAVNAIMLLDIFLNPKATLYFDFIIPVPAMLLGIFLIGKDVLRIIEGNSHISGSAHLGGAAVAAIAWARLRRGRF >EOY20566 pep chromosome:Theobroma_cacao_20110822:3:188358:193642:-1 gene:TCM_011956 transcript:EOY20566 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 12 isoform 4 MQRLLFLKQASSSFSKALTKTSSLSSLLHSFPYKTFSSIAKPTVDNQFLNSSFSHPFHHHSFPWRSQLSLTGEIRGFLSYPVVAKRFWLNFSSIHLKTSGKSLLGCRVWFLRPQIPRGRFDFGLQTSRWRLWFQRLTASDMVLGLIITNVAVFLLWRIADRKFMMNNFMVSLDNFKSGRLHTLITSAFSHIDIEHIISNMIGLYFFGSNIGRIFGPEYLLKLYLAGAIGGSVFYLVHHAFLALSSKGHAMWMMDPSKTPGLGASGAVNAIMLLDIFLNPKATLYFDFIIPVPAMLLGIFLIGKDVLRIIEFMAFNALFHKFEGHPLLSMLAYQ >EOY20567 pep chromosome:Theobroma_cacao_20110822:3:188297:193629:-1 gene:TCM_011956 transcript:EOY20567 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 12 isoform 4 MQRLLFLKQASSSFSKALTKTSSLSSLLHSFPYKTFSSIAKPTVDNQFLNSSFSHPFHHHSFPWRSQLSLTGEIRGFLSYPVVAKRFWLNFSSIHLKTSGKSLLGCRVWFLRPQIPRGRFDFGLQTSRWRLWFQRLTASDMVLGLIITNVAVFLLWRIADRKFMMNNFMVSLDNFKSGRLHTLITSAFSHIDIEHIISNMIGLYFFGSNIGRIFGPEYLLKLYLAGAIGGSVFYLVHHAFLALSSKGHAMWMMDPSKTPGLGASGAVNAIMLLDIFLNPKATLYFDFIIPVPAMLLGIFLIGKDVLRIIEGNSHISGSAHLGGAAVAAIAWARLRRGRF >EOY20565 pep chromosome:Theobroma_cacao_20110822:3:187953:193674:-1 gene:TCM_011956 transcript:EOY20565 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 12 isoform 4 MQRLLFLKQASSSFSKALTKTSSLSSLLHSFPYKTFSSIAKPTVDNQFLNSSFSHPFHHHSFPWRSQLSLTGEIRGFLSYPVVAKRFWLNFSSIHLKTSGKSLLGCRVWFLRPQIPRGRFDFGLQTSRWRLWFQRLTASDMVLGLIITNVAVFLLWRIADRKFMMNNFMVSLDNFKSGRLHTLITSAFSHIDIEHIISNMIGLYFFGSNIGRIFGPEYLLKLYLAGAIGGSVFYLVHHAFLALSSKSFLTLSHLPQGHAMWMMDPSKTPGLGASGAVNAIMLLDIFLNPKATLYFDFIIPVPAMLLGIFLIGKDVLRIIEICGHNSTSFPHLQLGIKVFRTPPDAFQELLLKDLIYIMWPGTIRLNFVGDNHLYDFIWGNSHISGSAHLGGAAVAAIAWARLRRGRF >EOY21066 pep chromosome:Theobroma_cacao_20110822:3:2609369:2613601:1 gene:TCM_012378 transcript:EOY21066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-adaptin MSGPSLIDSLFQRTLEDLIKGLRQQLIGEQAFISKALEEIRKEIKSTDLSTKSTALLKLSYLSSLHFHDMAFASFHALEVLSSPRFSHKKIAYHAISLSFHDSTPVLLLITNHLRKDLTSTNEFEVSLSLQCLSRIANVDLARDLTPEIFTLLSSNKLYVRKRAVAVVLRVFEKYPDSVRVCFKRLVENLENYDPQILSAVVGVFCELACKDPRSYLPLAPEFYKILVDSKNNWVLIKVLKILAKLAPLEPRLAKRVVEPVCDHMRRTGAKSLLFECVRTVVTSLSEYDSAVRLAVGKVREFLVDEDPNLKYLGLQALSIVAPKHLWAVSENKEVVIKSLSDADPNIKIESLHLVMAMVSEHNVAEISRVLVNYALKADPEFCNEILNSILSTCSRNLYEIIVDFDWYVSLLGEMSRIPHCQKGEEIENQLIDIGLRVKQVRPELVRVARDLLIDPALLGNPFLHRVLSAAAWASGEYVEFSRNPLELMEALLQPRTSLLPPSIRAIYIQSAFKVLVFCLHTYLMQRESTTSSACPDNLPSGVSASVSYESFDGLSVENGGDAAVTHSLTSTSASMTDESIVNLLNLVEIALGPLLGSHDVEVQGRARNVLGFVDMTKLDLLNPSAQEDKGLERKGVEAYKTIELMHDAFSEELGPVSLTAQGKVPLPDGLMLKENLGDLEMICGDIELPSSNSFSFGSPYEEKVGVSFSNLQIKEDFEQSNESTSLLAEHRKRHGLYYLPSGKSEIISNDYPPANDPTSQGNVNDNSDDLAKLTEESLFPKKKPNHAKPRPVVVKLDEVDEKPIAMKKPEAKDDSLSGAVRDILLGSEDVIPTSSRSNLSGKPSSKRRGKEKQDTDPHVESKENLVDDGNPSSRRRKHHSHGKERRHKSPRKKNAEEREDNGQKEKEKSSHRHGRHKSRRRADELLNVSPQTPVIPDFLL >EOY22773 pep chromosome:Theobroma_cacao_20110822:3:24752257:24754171:-1 gene:TCM_014845 transcript:EOY22773 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor, putative MNEVTMCELSFPHQYPRSSSFSCLNSCLTEHWGDLPLKVDDSEDMIIYNSLHEALNFGWSPTSDSTVAASVKAEPGDEPEMMTGPVMEVSPMGLTQPAAATATQMLFGSAFMGISSQNRSSFFMGKQEKCFRNGNQKVVKGRHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYETAEEAALAYDRAAYRMRGSRALLNFPHRIGNNEPEPVRVTAKRREHDPALYNSSSPKRKKSLAANEAELERASRGLDVFQLGHQMGLMPVGEQLLVN >EOY25314 pep chromosome:Theobroma_cacao_20110822:3:33909138:33913125:-1 gene:TCM_016670 transcript:EOY25314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MERYKLIKEVGDGTFGSVWRAINKLSGEVVAIKKMKKKYYSWEECVNLREVKSLRRMNHPNIVKLKEVIRENDILYFVFEYLECSLYQLMKDREKLFSEVEIRNWCFQVFQGLAYMHQRGYFHRDLKPENLLVTKDIIKIADFGLAREISSQPPYTEYVSTRWYRAPEVLLQSFLYTSKVDMWAMGAIMAELFTLRPLFPGTSEADEIYKICSVVGTPSKDSWLDGLNLARAINYQFPQFTGVHLSVLIPSASDDAINLVTSLCSWDPCKRPTAAEALQHPFFQSCFYVPPSLRPRSAISRTPPSVGVRGTLEQQSARRYPGVLPNAKLTGNLNSAKINASFGTAGVQRKLEMVNRDPTKNDKSLKNPAKQPKYRPPGRKSPVSFNKDRTARGASDVAEKLANVTIGNRRQSVGQLRPPPMKAGVQWTAESNDMFLRPTQQLQSGRTFSRKVAG >EOY25312 pep chromosome:Theobroma_cacao_20110822:3:33909221:33914976:-1 gene:TCM_016670 transcript:EOY25312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MERYKLIKEVGDGTFGSVWRAINKLSGEVVAIKKMKKKYYSWEECVNLREVKSLRRMNHPNIVKLKEVIRENDILYFVFEYLECSLYQLMKDREKLFSEVEIRNWCFQVFQGLAYMHQRGYFHRDLKPENLLVTKDIIKIADFGLAREISSQPPYTEYVSTRWYRAPEVLLQSFLYTSKVDMWAMGAIMAELFTLRPLFPGTSEADEIYKICSVVGTPSKDSWLDGLNLARAINYQFPQFTGVHLSVLIPSASDDAINLVTSLCSWDPCKRPTAAEALQHPFFQSCFYVPPSLRPRSAISRTPPSVGVRGTLEQQSARRYPGVLPNAKLTGNLNSAKINASFGTGVQRKLEMVNRDPTKNDKSLKNPAKQPKYRPPGRKSPVSFNKDRTARGASDVAEKLANVTIGNRRQSVGQLRPPPMKAGVQWTAESNDMFLRPTQQLQSGRTFSRKVAG >EOY25315 pep chromosome:Theobroma_cacao_20110822:3:33909749:33913537:-1 gene:TCM_016670 transcript:EOY25315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MERYKLIKEVGDGTFGSVWRAINKLSGEVVAIKKMKKKYYSWEECVNLREVKSLRRMNHPNIVKLKEVIRENDILYFVFEYLECSLYQLMKDREKLFSEVEIRNWCFQVFQGLAYMHQRGYFHRDLKPENLLVTKDIIKIADFGLAREISSQPPYTEYVSTRWYRAPEVLLQSFLYTSKVDMWAMGAIMAELFTLRPLFPGTSEADEIYKICSVVGTPSKDSWLDGLNLARAINYQFPQFTGVHLSVLIPSASDDAINLVTSLCSWDPCKRPTAAEALQHPFFQSCFYVPPSLRPRSAISRTPPSVGVRGTLEQQSARRYPGVLPNAKLTGNLNSAKINASFGTGVQRKLEMVNRVIAI >EOY25313 pep chromosome:Theobroma_cacao_20110822:3:33909221:33913378:-1 gene:TCM_016670 transcript:EOY25313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MERYKLIKEVGDGTFGSVWRAINKLSGEVVAIKKMKKKYYSWEECVNLREVKSLRRMNHPNIVKLKEVIRENDILYFVFEYLECSLYQLMKDREKLFSEVEIRNWCFQVFQGLAYMHQRGYFHRDLKPENLLVTKDIIKIADFGLAREISSQPPYTEYVSTRWYRAPEVLLQSFLYTSKVDMWAMGAIMAELFTLRPLFPGTSEADEIYKICSVVGTPSKDSWLDGLNLARAINYQFPQFTGVHLSVLIPSASDDAINLVTSLCSWDPCKRPTAAEALQHPFFQSCFYVPPSLRPRSAISRTPPSVGVRGTLEQQSARRYPGVLPNAKLTGNLNSAKINASFGTGVQRKLEMVNRDPTKNDKSLKNPAKQPKYRPPGRKSPVSFNKDRTARGASDVAEKLANVTIGNRRQSVGQLRPPPMKAGVQWTAESNDMFLRPTQQLQSGRTFSRKVAG >EOY25072 pep chromosome:Theobroma_cacao_20110822:3:33124605:33127011:-1 gene:TCM_016497 transcript:EOY25072 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD domain protein isoform 3 MENNQQSFWQFSDQLRVQNSNLANLSLNDSIWSNSYVSKRPDERRNFDIRVGGEVNSVNNLKPKVSDFNSFNNDGWKIGASSNNIGFGPIGLMGSQNNVGINGGFNKGIYSKPGNNNNFNLNLKGNKNKGEDDHGSKIGKKNSNKKNNNNNSDNNNNNNGDNKDGKSAADKRFKTLPPSESLPRNETVGGYIFVCNNDTMQENLRRQLFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGVFEAASFGGTNIDPTAWEDKKCPGESRFPAQVKVMTRKICEPLEEDSFRPILHHYDGPKFRLELNVPEALSLLDIFYEQNALSSFI >EOY25073 pep chromosome:Theobroma_cacao_20110822:3:33125364:33127068:-1 gene:TCM_016497 transcript:EOY25073 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD domain protein isoform 3 MENNQQSFWQFSDQLRVQNSNLANLSLNDSIWSNSYVSKRPDERRNFDIRVGGEVNSVNNLKPKVSDFNSFNNDGWKIGASSNNIGFGPIGLMGSQNNVGINGGFNKGIYSKPGNNNNFNLNLKGNKNKGEDDHGSKIGKKNSNKKNNNNNSDNNNNNNGDNKDGKSAADKRFKTLPPSESLPRNETVGGYIFVCNNDTMQENLRRQLFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGVFEAASFGGTNIDPTAWEDKKCPGESRFPEEI >EOY25074 pep chromosome:Theobroma_cacao_20110822:3:33125170:33126950:-1 gene:TCM_016497 transcript:EOY25074 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD domain protein isoform 3 NQQSFWQFSDQLRVQNSNLANLSLNDSIWSNSYVSKRPDERRNFDIRVGGEVNSVNNLKPKVSDFNSFNNDGWKIGASSNNIGFGPIGLMGSQNNVGINGGFNKGIYSKPGNNNNFNLNLKGNKNKGEDDHGSKIGKKNSNKKNNNNNSDNNNNNNGDNKDGKSAADKRFKTLPPSESLPRNETVGGYIFVCNNDTMQENLRRQLFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGVFEVCTSIFSYFPC >EOY23613 pep chromosome:Theobroma_cacao_20110822:3:28020162:28025246:-1 gene:TCM_015452 transcript:EOY23613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MGSLREDEERSFFDAHEHIATMSGLNTNYIEIPDPNSGSDNWFESSFQYDVWIRSPRSVKERRSKFLDWMGVHLDRILCENSVDEPSLEGEVDRLRETSAAVLRTSDFEEEFSSSRSSMSCWSNDNFDLSEESSSRGNFVCREGNEGGGAVCDVDEVGQDGKTSEDCEMGAEHLVTGEESENLSVSSPSFQQLREREVEKQNKSEGLMKRVKNRWLSKLRSIACVVDRQVEDDRLRAIGDDSIVGPKVHRVKVHQCRKRTKELSALYKGQDIQAHEGPILSMKFSPDGQYLASAGEDGVVRIWQVVEDQRCNDLDIPEIDPSCIYFTVNHLSELKPLFVDKEKVGNLRSLRKTSDSACVIFPPKVFRLLEKPLHEFHGHSGEILDLSWSKKNYLLSSSVDKTVRMWQVGCDHCLRVFSHSNYVTCVQFNPVDDNYFISGSIDGKVRIWAISGCQVVDWTDVRDIVTAVCYRPDGQGGIVGSMTGSCRFYNMSDNHLQLDAYICLNGKKKSTCRRITGLQFLPQDSSKVMVTCADSQVRILQGLNVICKYRGVRNNGNQTLASFTADGKHIVSACEDANVYIWNCVAQDEPTLSQAKDIRSCERFSANASIAIPWSGLNGNSENGRQFEVLNDNLPENLPFSSPSYFSLSNEYFLESFPKGSATWPEETLPSSSPMSVSSSMHKSQYKFLKTSCQSTFSSHAWGLVIVTAGSDGRIRSFLNYGLPAPV >EOY23614 pep chromosome:Theobroma_cacao_20110822:3:28019849:28024342:-1 gene:TCM_015452 transcript:EOY23614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MSCWSNDNFDLSEESSSRGNFVCREGNEGGGAVCDVDEVGQDGKTSEDCEMGAEHLVTGEESENLSVSSPSFQQLREREVEKQNKSEGLMKRVKNRWLSKLRSIACVVDRQVEDDRLRAIGDDSIVGPKVHRVKVHQCRKRTKELSALYKGQDIQAHEGPILSMKFSPDGQYLASAGEDGVVRIWQVVEDQRCNDLDIPEIDPSCIYFTVNHLSELKPLFVDKEKVGNLRSLRKTSDSACVIFPPKVFRLLEKPLHEFHGHSGEILDLSWSKKNYLLSSSVDKTVRMWQVGCDHCLRVFSHSNYVTCVQFNPVDDNYFISGSIDGKVRIWAISGCQVVDWTDVRDIVTAVCYRPDGQQGGIVGSMTGSCRFYNMSDNHLQLDAYICLNGKKKSTCRRITGLQFLPQDSSKVMVTCADSQVRILQGLNVICKYRGVRNNGNQTLASFTADGKHIVSACEDANVYIWNCVAQDEPTLSQAKDIRSCERFSANASIAIPWSGLNGNSENGRQFEVLNDNLPENLPFSSPSYFSLSNEYFLESFPKGSATWPEETLPSSSPMSVSSSMHKSQYKFLKTSCQSTFSSHAWGLVIVTAGSDGRIRSFLNYGLPAPV >EOY22879 pep chromosome:Theobroma_cacao_20110822:3:25157573:25159510:-1 gene:TCM_014920 transcript:EOY22879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell number regulator 6 isoform 1 SFTSCCTLFSEILIIAILPNFHILSSLETSPKISFITEMEDAQSRYVKLTKDQAPLEDIRPGELNQPIDVPQLHVRKCNECGQPLPENFEPPAVEPWTTGIFGCAEDTESCWTGLFCPCVLFGRNIENLRDDTPWTTPCICHAICVEGGIALAAATAVFHGIDPKTSFLICEGLLFAWWMCGIYTGLARQSLQKKYHLKVVSKLTLPICTY >EOY22877 pep chromosome:Theobroma_cacao_20110822:3:25156447:25159686:-1 gene:TCM_014920 transcript:EOY22877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell number regulator 6 isoform 1 MEDAQSRYVKLTKDQAPLEDIRPGELNQPIDVPQLHVRKCNECGQPLPENFEPPAVEPWTTGIFGCAEDTESCWTGLFCPCVLFGRNIENLRDDTPWTTPCICHAICVEGGIALAAATAVFHGIDPKTSFLICEGLLFAWWMCGIYTGLARQSLQKKYHLKNSPCDPCMVHCCMHWCALCQEHREMKGRLSDNFVMPMTIVNPPPVQEMNSASENHDSTSPSGTSTNLEMQAL >EOY22878 pep chromosome:Theobroma_cacao_20110822:3:25156528:25159471:-1 gene:TCM_014920 transcript:EOY22878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell number regulator 6 isoform 1 MEDAQSRYVKLTKDQAPLEDIRPGELNQPIDVPQLHVRKCNECGQPLPENFEPPAVEPWTTGIFGCAEDTESCWTGLFCPCVLFGRNIENLRDDTPWTTPCICHAICVEGGIALAAATAVFHGIDPKTSFLICEGLLFAWWMCGIYTGLARQSLQKKYHLKNSPCDPCMVHCCMHWCALCQEHREMKGRLSDNFVMPMTIVNPPPVQEMNSASENHDSTSPSGTSTNLEMQAL >EOY23498 pep chromosome:Theobroma_cacao_20110822:3:27610653:27613741:-1 gene:TCM_015369 transcript:EOY23498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MMMMSLSLPSPAKPPPHSLKPSTRPRQTLAPPSVIRPVNFETLRNRLINHLDEGHLHKAVSTLDVMARQNTHPDLITYSLLLKACIRSRDFQLGKIVHTNLNQSKLELDSVLFNSLISLYSKSGDWARAHKIFQRMEDKRDLVSWSAMISCFANNKMEFKAILTFLDMLENGFYPNEYCFTAVVRACSKAEFFSIGEIILGFLVKSGYLESDTNVGCALIDMFVKGNSDLASAFKVFDKMPAKNVVAWTLMITRCTQLGYPRDAIDLFLDMVLGGYVPDRFTLSGIISACTELESESLSLGKQLHSWVIRSGFALDVCIGCSLVDMYAKCTVGGSLDDSRKVFGRMEEHNVMSWTAIITGYVQCGGRDKEALELFSKMMGGPVQPNHFTFSSVLKACGNLSDSCTGEQFYAHAVKHGFASDDCVGNSLISMYARSGRMDNAQKAFESLFEKNLVSYNTIVDACAKNLDSEGAFELFHELTDSKIELNAFTFASLLSGASSVGAIGKGEQIHARVLKSGYQSNQCICNALISMYARCGHIEAAFLVFNEMGDRNVISWTSMITGFAKHGFATRALEIFHEMLEAGIRPNEITYTAVLSACSHAGLISEGWEIFNSMPIEHGLVPGMEHYACMVDLLGRSGSLREAIELINTMPCTPDALVWRTFLGACRVHHDKELGEYAAKMILQQDPHDAAAYILLSNLYASAGQWEDVAQIRKDMKERNLIKEAGCSWIEVDNKMHRFHVADTSHPQVKEIYEKLDEMAFKIKGLGYVPDTDFVLHELEEEQKEQYVFQHSEKIAVAFGLISTSRSKPIRVFKNLRVCGDCHTAIKYISMATGREIVLRDSNRFHHIKNGTCSCNDFW >EOY24215 pep chromosome:Theobroma_cacao_20110822:3:30112192:30114378:-1 gene:TCM_015882 transcript:EOY24215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-like 120 kDa protein, putative isoform 3 MLGQVMSATRGATDAFSGVSRHVNGALRKLGAKNIEAGIGCGVGLGHGFGVGLAVKPGVVRQIQCCVIEAMTKLMMKFGMAPKLPFSEGSFPVSFQSSLTTRNEPYIQSPLGNMKQMVTKLPDPTSQGLPGPANVGRDSAYEKLSSKYPTETSYGSRTEKVLSSFLQNPVLKEDKTSLNELVGRLRSENNLLQLVLKHQRIIEELMEENQKLHQILIEDLKIPPSKLQASYSSKIKSPCSECFHCRRKLRKNR >EOY24216 pep chromosome:Theobroma_cacao_20110822:3:30112087:30115762:-1 gene:TCM_015882 transcript:EOY24216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-like 120 kDa protein, putative isoform 3 MRFLVLADMSMLRKLGAKNIEAGIGCGVGLGHGFGVGLAVKPGVVRQIQCCVIEAMTKLMMKFGMAPKLPFSEGSFPVSFQSSLTTRNEPYIQSPLGNMKQMVTKLPDPTSQGLPGPANVGRDSAYEKLSSKYPTETSYGSRTEKVLSSFLQNPVLKEDKTSLNELVGRLRSENNLLQLVLKHQRIIEELMEENQKLHQILIEDLKIPPSKLQASYSSKIKSPCSECFHCRRKLRKNR >EOY24214 pep chromosome:Theobroma_cacao_20110822:3:30111958:30115916:-1 gene:TCM_015882 transcript:EOY24214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-like 120 kDa protein, putative isoform 3 MESSISNSNDKIEVTNQRKGFEGIRMENPFTLKVGQVFTGFGIGCGIGIGVGRPINLGAIPMLGQVMSATRGATDAFSGVSRHVNGALRKLGAKNIEAGIGCGVGLGHGFGVGLAVKPGVVRQIQCCVIEAMTKLMMKFGMAPKLPFSEGSFPVSFQSSLTTRNEPYIQSPLGNMKQMVTKLPDPTSQGLPGPANVGRDSAYEKLSSKYPTETSYGSRTEKVLSSFLQNPVLKEDKTSLNELVGRLRSENNLLQLVLKHQRIIEELMEENQKLHQILIEDLKIPPSKLQASYSSKIKSPCSECFHCRRKLRKNR >EOY22752 pep chromosome:Theobroma_cacao_20110822:3:24683848:24686745:1 gene:TCM_014829 transcript:EOY22752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase MGLSGTIPPYIGNLSSLALLSIKNNSFYGSLPDEVAHLRSLKTVDFGINFLTGEIPPWFWSLPELENLVLKGNHFLGTVPSSLSNTTTLKNIDLSYNQLSGSISSNLFNVSSLQIIDLSFNRLSGRLSVDMVLPELQELYLSGNQLYGQISRSLFKCRQLEVLFLCSNNFTGAIPMELGNLTMLKELHLSHNNLEGTIPWEIGHLTIVKNLSISNNHLAGHIPSSIGNCTSLKEINFSVNNLTGALPPEIGNLSGLEVLRVNDNVLRGFIPSSVFNISTLQVVLLEMNFREQFLVPSQMHRSSLS >EOY22555 pep chromosome:Theobroma_cacao_20110822:3:23892348:23898337:1 gene:TCM_014693 transcript:EOY22555 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEK domain-containing chromatin associated protein, putative isoform 1 MATETLEEKKPEEEEEAAPVNAKEEVKEDKEKQEESKEVFDKQVSRESEEKKELDDKEGEKQEEKEEEKKEEKVEKAEETKEEEEKEEEGSKKSKGSSRKRSSRKEPTVSKKPSRESSENKKASQESAEKKEPVTPSSDRPTRERKVVERYSAPSVARSSSGKPLSIEKGRGTQLKDIPNVAFKLSKRKPDDNLQMLHMILFGKKAKPHGLKRNIGQFSGFVWAENEEKQKSKVKEKLDKCVKEKLVDFCDLLNIPITRATVKKEEVSAKLLEFLESPHATTDVLLADKEQQKGKKRKATPSRNISSGEASDTSAKKRRTSQGGEKRKHSPKAEEEDEDDDKVESPDTKGDSHEDNADTIPKEESDDEDTKSEEEDEPKKSTNKSTSKKIAKESPESKGKDKSTSGRKVTPAKSVKSPSKPSKRSSGSTAKQVSSDVDGTSGSKSKGSVSKKQKVEKESSKDGSFFTKDKVAGRKRTNKSPAKVSAKTQGKGKSAKKPKAEPSREEIHDVVVDILKEVDFNTATLSDILRQLGTHFDLDLMHRKAEVKDIITDVINNMSDEDEEGEESEENADTGGEAGKDGDGDDDD >EOY22557 pep chromosome:Theobroma_cacao_20110822:3:23892348:23898337:1 gene:TCM_014693 transcript:EOY22557 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEK domain-containing chromatin associated protein, putative isoform 1 MATETLEEKKPEEEEEAAPVNAKEEVKEDKEKQEESKEVFDKQVSRESEEKKELDDKEGEKQEEKEEEKKEEKVEKAEETKEEEEKEEEGSKKSKGSSRKRSSRKEPTVSKKPSRESSENKKASQESAEKKEPVTPSSDRPTRERKVVERYSAPSVARSSSGKPLSIEKGRGTQLKDIPNVAFKLSKRKPDDNLQMLHMILFGKKAKPHGLKRNIGQFSGFVWAENEEKQKSKVKEKLDKCVKEKLVDFCDLLNIPITRATVKKEEVSAKLLEFLESPHATTDVLLADKEQKGKKRKATPSRNISSGEASDTSAKKRRTSQGGEKRKHSPKAEEEDEDDDKVESPDTKGDSHEDNADTIPKEESDDEDTKSEEEDEPKKSTNKSTSKKIAKESPESKGKDKSTSGRKVTPAKSVKSPSKPSKRSSGSTAKQVSSDVDGTSGSKSKGSVSKKQKVEKESSKDGSFFTKDKVAGRKRTNKSPAKVSAKTQGKGKSAKKPKAEPSREEIHDVVVDILKEVDFNTATLSDILRQLGTHFDLDLMHRKAEVKDIITDVINNMSDEDEEGEESEENADTGGEAGKDGDGDDDD >EOY22556 pep chromosome:Theobroma_cacao_20110822:3:23892348:23898337:1 gene:TCM_014693 transcript:EOY22556 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEK domain-containing chromatin associated protein, putative isoform 1 MATETLEEKKPEEEEEAAPVNAKEEVKEDKEKQEESKEVFDKQVSRESEEKKELDDKEGEKQEEKEEEKKEEKVEKAEETKEEEEKEEEGSKKSKGSSRKRSSRKEPTVSKKPSRESSENKKASQESAEKKEPVTPSSDRPTRERKVVERYSAPSVARSSSGKPLSIEKGRGTQLKDIPNVAFKLSKRKPDDNLQMLHMILFGKKAKPHGLKRNIGQFSGFVWAENEQEKQKSKVKEKLDKCVKEKLVDFCDLLNIPITRATVKKEEVSAKLLEFLESPHATTDVLLADKEQQKGKKRKATPSRNISSGEASDTSAKKRRTSQGGEKRKHSPKAEEEDEDDDKVESPDTKGDSHEDNADTIPKEESDDEDTKSEEEDEPKKSTNKSTSKKIAKESPESKGKDKSTSGRKVTPAKSVKSPSKPSKRSSGSTAKQVSSDVDGTSGSKSKGSVSKKQKVEKESSKDGSFFTKDKVAGRKRTNKSPAKVSAKTQGKGKSAKKPKAEPSREEIHDVVVDILKEVDFNTATLSDILRQLGTHFDLDLMHRKAEVKDIITDVINNMSDEDEEGEESEENADTGGEAGKDGDGDDDD >EOY22554 pep chromosome:Theobroma_cacao_20110822:3:23892331:23898027:1 gene:TCM_014693 transcript:EOY22554 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEK domain-containing chromatin associated protein, putative isoform 1 MATETLEEKKPEEEEEAAPVNAKEEVKEDKEKQEESKEVFDKQVSRESEEKKELDDKEGEKQEEKEEEKKEEKVEKAEETKEEEEKEEEGSKKSKGSSRKRSSRKEPTVSKKPSRESSENKKASQESAEKKEPVTPSSDRPTRERKVVERYSAPSVARSSSGKPLSIEKGRGTQLKDIPNVAFKLSKRKPDDNLQMLHMILFGKKAKPHGLKRNIGQFSGFVWAENEQEKQKSKVKEKLDKCVKEKLVDFCDLLNIPITRATVKKEEVSAKLLEFLESPHATTDVLLADKEQKGKKRKATPSRNISSGEASDTSAKKRRTSQGGEKRKHSPKAEEEDEDDDKVESPDTKGDSHEDNADTIPKEESDDEDTKSEEEDEPKKSTNKSTSKKIAKESPESKGKDKSTSGRKVTPAKSVKSPSKPSKRSSGSTAKQVSSDVDGTSGSKSKGSVSKKQKVEKESSKDGSFFTKDKVAGRKRTNKSPAKVSAKTQGKGKSAKKPKAEPSREEIHDVVVDILKEVDFNTATLSDILRQLGTHFDLDLMHRKAEVKDIITDVINNMSDEDEEGEESEENADTGGEAGKDGDGDDDD >EOY23361 pep chromosome:Theobroma_cacao_20110822:3:27134489:27135154:1 gene:TCM_015278 transcript:EOY23361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRQLISRSWPPQHTISYGHYSIPRFLASESINSVTGFSNASAPSFLLRKLFCSSSARHIEATLTLVPRNRRLQG >EOY24757 pep chromosome:Theobroma_cacao_20110822:3:32121411:32133426:-1 gene:TCM_016263 transcript:EOY24757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNGGSSSTAKLLGTGQKNCSLICMVVPILLHGEDRFTAQQMSLVLQWGVAIYQNMAMMGNLPTSSRCKYGTMEILFIQMATLLRFILIDLSATMHRLLTKMVLPIQWIFSMGAPATVNLYVNNEVIRNHAFIQREKV >EOY24687 pep chromosome:Theobroma_cacao_20110822:3:31935084:31936296:-1 gene:TCM_016227 transcript:EOY24687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transport protein family MSPIDVGWTTNKKVVLKIMTMNDEKTKKKALETVANIYGVDSIAADLKEQKLTIIGEMDTVAIAKKLKKIGKVEIVTVGPAKEEKKDEKKDEKDDEMN >EOY22255 pep chromosome:Theobroma_cacao_20110822:3:22653024:22657998:1 gene:TCM_014477 transcript:EOY22255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y isoform 1 MEPMDIVGKSKEDASLPKGVNSLSNFLKKAIVGWLLYVFVFKLQRRFRARASMASLSWERVQVFGQMYLKLVDVFAFHATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLISSESNDVCNREDKRTIAPEHVLKALEVLGFGEYIEEVYAAYEQHKIETMQDSLKGGKWSNGAEMTEEEAVAEQQRMFAEARARMNGGAVAPKQPDPDPSLES >EOY22256 pep chromosome:Theobroma_cacao_20110822:3:22653127:22657709:1 gene:TCM_014477 transcript:EOY22256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y isoform 1 MEPMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLISSESNDVCNREDKRTIAPEHVLKALEVLGFGEYIEEVYAAYEQHKIETMQDSLKGGKWSNGAEMTEEEAVAEQQRMFAEARARMNGGAVAPKQPDPDPSLES >EOY21799 pep chromosome:Theobroma_cacao_20110822:3:18692507:18701260:-1 gene:TCM_013938 transcript:EOY21799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase binding protein, putative isoform 1 MADFNRQILSDRDYEQAITTLKKGMYLLKYGRRGKPKFCPFSLSNDEKSLIWYSDKEKKQLELSHVSRIVPGQRTAIFQRYPQPDKEHQSFSLIYSNRSLDLICKDRDEAEIWFTALKVLIWGGDNRRPKSDAISDNTSSESPCTYTQRNCLSNVSSTGCDIISKDPKDIQTASVPYESSQQCRLGKAFSEVLTYTSITKALTHTDSVAKHFSSLSLGGLDNPHGCSSVIDSFRSSLSSAVSSSSQGTSFEDFDALGDVFIWGEGTGNGPLGGSVHRTQTNSVTRVDSLSPKALESNVLLDAHNISCGNKHAVLVTRQGRIFSWGEGSGGRLGHGVEADVSQPMLIGALSWSTIGLVACGEFHTCAVTLSGDLYTWGDGSYNLGLLGHGTEASKWTPKRVSGEMEGMHVSYISCGAWHTAAVTSTGKLFTFGDGTFGALGHGDRSSTNMPREVDALRGLRTLRASCGVWHTAAIVEVPTETSGAFSSGKLFTWGDGEKGQLGHGDKEPRLVPFLVALSDTISFSQVACGHSITVALTDTGKVYSMGSVGPGQLGSSGSSKLPTCVKGNIKNSRIEEIACGSHHIVVRSSDAKVYTWGKGANGQLGHGDNADRNVPTLVDALQNKRVKRVVCGSNFTAAICLHDWALGADHFNCFGCRNPFSFIRKRHNCYNCGLVFCKACSCKKSLKAALAPNMNKPYRVCDDCFTKLNRKKESRSTPEFVKNSRESLNQNSNELPEKDTLNLKSLRKLARLASFDSFRQTRSQNSKHDRRVLNENSQWESTSLFGNSTRISASSSGSRMVSRGSSPPSRKSSPAYYITRTSIHTNLANPELLFNGSKHSVESLTQEIGILRAQVEDLTVKSQVLEEKLERTSRQLKEAVGTAHEEAEKNKASKEVIRSLTVQLKKMAGRAPDNQLHLGTEFHSLNSHSCSELKISQRHD >EOY21800 pep chromosome:Theobroma_cacao_20110822:3:18690997:18701541:-1 gene:TCM_013938 transcript:EOY21800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase binding protein, putative isoform 1 MADFNRQILSDRDYEQAITTLKKGMYLLKYGRRGKPKFCPFSLSNDEKSLIWYSDKEKKQLELSHVSRIVPGQRTAIFQRYPQPDKEHQSFSLIYSNRSLDLICKDRDEAEIWFTALKVLIWGGDNRRPKSDAISDNTSSESPCTYTQRNCLSNVSSTGCDIISKDPKDIQTASVPYESSQQCRLGKAFSEVLTYTSITKALTHTDSVAKHFSSLSLGGLDNPHGCSSVIDSFRSSLSSAVSSSSQGTSFEDFDALGDVFIWGEGTGNGPLGGSVHRTQTNSVTRVDSLSPKALESNVLLDAHNISCGNKHAVLVTRQGRIFSWGEGSGGRLGHGVEADVSQPMLIGALSWSTIGLVACGEFHTCAVTLSGDLYTWGDGSYNLGLLGHGTEASKWTPKRVSGEMEGMHVSYISCGAWHTAAVTSTGKLFTFGDGTFGALGHGDRSSTNMPREVDALRGLRTLRASCGVWHTAAIVEVPTETSGAFSSGKLFTWGDGEKGQLGHGDKEPRLVPFLVALSDTISFSQVACGHSITVALTDTGKVYSMGSVGPGQLGSSGSSKLPTCVKGNIKNSRIEEIACGSHHIVVRSSDAKVYTWGKGANGQLGHGDNADRNVPTLVDALQNKRVKRVVCGSNFTAAICLHDWALGADHFNCFGCRNPFSFIRKRHNCYNCGLVFCKACSCKKSLKAALAPNMNKPYRVCDDCFTKLNRKKESRSTPEFVKNSRESLNQNSNELPEKDTLNLKSLRKLARLASFDSFRQTRSQNSKHDRRVLNENSQWESTSLFGNSTRISASSSGSRMVSRGSSPPSRKSSPAYYITRTSIHTNLANPELLFNGSKHSVESLTQEIGILRAQVEDLTVKSQVLEEKLERTSRQLKEAVGTAHEEAEKNKASKEVIRSLTVQS >EOY21801 pep chromosome:Theobroma_cacao_20110822:3:18691019:18701836:-1 gene:TCM_013938 transcript:EOY21801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase binding protein, putative isoform 1 MADFNRQILSDRDYEQAITTLKKGMYLLKYGRRGKPKFCPFSLSNDEKSLIWYSDKEKKQLELSHVSRIVPGQRTAIFQRYPQPDKEHQSFSLIYSNRSLDLICKDRDEAEIWFTALKVLIWGGDNRRPKSDAISDNTSSESPCTYTQRNCLSNVSSTGCDIISKDPKDIQTASVPYESSQQCRLGKAFSEVLTYTSITKALTHTDSVAKHFSSLSLGGLDNPHGCSSVIDSFRSSLSSAVSSSSQGTSFEDFDALGDVFIWGEGTGNGPLGGSVHRTQTNSVTRVDSLSPKALESNVLLDAHNISCGNKHAVLVTRQGRIFSWGEGSGGRLGHGVEADVSQPMLIGALSWSTIGLVACGEFHTCAVTLSGDLYTWGDGSYNLGLLGHGTEASKWTPKRVSGEMEGMHVSYISCGAWHTAAVTSTGKLFTFGDGTFGALGHGDRSSTNMPREVDALRGLRTLRASCGVWHTAAIVEVPTETSGAFSSGKLFTWGDGEKGQLGHGDKEPRLVPFLVALSDTISFSQVACGHSITVALTDTGKVYSMGSVGPGQLGSSGSSKLPTCVKGNIKNSRIEEIACGSHHIVVRSSDAKVYTWGKGANGQLGHGDNADRNVPTLVDALQNKRVKRVVCGSNFTAAICLHDWALGADHFNCFGCRNPFSFIRKRHNCYNCGLVFCKACSCKKSLKAALAPNMNKPYRVCDDCFTKLNRKKESRSTPEFVKNSRESLNQNSNELPEKDTLNLKSLRKLARLASFDSFRQTRSQNSKHDRRVLNENSQWESTSLFGNSTRISASSSGSRMVSRGSSPPSRKSSPAYYITRTSIHTNLANPELLFNGSKHSVESLTQEIGILRAQVEDLTVKSQVLEEKLERTSRQLKEAVGTAHEEAEKNKASKEVIRSLTVQQS >EOY21802 pep chromosome:Theobroma_cacao_20110822:3:18692230:18701836:-1 gene:TCM_013938 transcript:EOY21802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase binding protein, putative isoform 1 MADFNRQILSDRDYEQAITTLKKGMYLLKYGRRGKPKFCPFSLSNDEKSLIWYSDKEKKQLELSHVSRIVPGQRTAIFQRYPQPDKEHQSFSLIYSNRSLDLICKDRDEAEIWFTALKVLIWGGDNRRPKSDAISDNTSSESPCTYTQRNCLSNVSSTGCDIISKDPKDIQTASVPYESSQQCRLGKAFSEVLTYTSITKALTHTDSVAKHFSSLSLGGLDNPHGCSSVIDSFRSSLSSAVSSSSQGTSFEDFDALGDVFIWGEGTGNGPLGGSVHRTQTNSVTRVDSLSPKALESNVLLDAHNISCGNKHAVLVTRQGRIFSWGEGSGGRLGHGVEADVSQPMLIGALSWSTIGLVACGEFHTCAVTLSGDLYTWGDGSYNLGLLGHGTEASKWTPKRVSGEMEGMHVSYISCGAWHTAAVTSTGKLFTFGDGTFGALGHGDRSSTNMPREVDALRGLRTLRASCGVWHTAAIVEVPTETSGAFSSGKLFTWGDGEKGQLGHGDKEPRLVPFLVALSDTISFSQVACGHSITVALTDTGKVYSMGSVGPGQLGSSGSSKLPTCVKGNIKNSRIEEIACGSHHIVVRSSDAKVYTWGKGANGQLGHGDNADRNVPTLVDALQNKRVKRVVCGSNFTAAICLHDWALGADHFNCFGCRNPFSFIRKRHNCYNCGLVFCKACSCKKSLKAALAPNMNKPYRVCDDCFTKLNRKKESRSTPEFVKNSRESLNQNSNELPEKDTLNLKSLRKLARLASFDSFRQTRSQNSKHDRRVLNENSQWESTSLFGNSTRISASSSGSRMVSRGSSPPSRKSSPAYYITRTSIHTNLANPELLFNGSKHSVESLTQEIGVEDLTVKSQVLEEKLERTSRQLKEAVGTAHEEAEKNKASKEVIRSLTVQLKKMAGRAPDNQLHLGTEFHSLNSHSCSELKISQRHD >EOY21803 pep chromosome:Theobroma_cacao_20110822:3:18690997:18701841:-1 gene:TCM_013938 transcript:EOY21803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran GTPase binding protein, putative isoform 1 MADFNRQILSDRDYEQAITTLKKGMYLLKYGRRGKPKFCPFSLSNDEKSLIWYSDKEKKQLELSHVSRIVPGQRTAIFQRYPQPDKEHQSFSLIYSNRSLDLICKDRDEAEIWFTALKVLIWGGDNRRPKSDAISDNTSSESPCTYTQRNCLSNVSSTGCDIISKDPKDIQTASVPYESSQQCRLGKAFSEVLTYTSITKALTHTDSVAKHFSSLSLGGLDNPHGCSSVIDSFRSSLSSAVSSSSQGTSFEDFDALGDVFIWGEGTGNGPLGGSVHRTQTNSVTRVDSLSPKALESNVLLDAHNISCGNKHAVLVTRQGRIFSWGEGSGGRLGHGVEADVSQPMLIGALSWSTIGLVACGEFHTCAVTLSGDLYTWGDGSYNLGLLGHGTEASKWTPKRVSGEMEGMHVSYISCGAWHTAAVTSTGKLFTFGDGTFGALGHGDRSSTNMPREVDALRGLRTLRASCGVWHTAAIVEVPTETSGAFSSGKLFTWGDGEKGQLGHGDKEPRLVPFLVALSDTISFSQVACGHSITVALTDTGKVYSMGSVGPGQLGSSGSSKLPTCVKGNIKNSRIEEIACGSHHIVVRSSDAKVYTWGKGANGQLGHGDNADRNVPTLVDALQNKRVKRVVCGSNFTAAICLHDWALGADHFNCFGCRNPFSFIRKRHNCYNCGLVFCKACSCKKSLKAALAPNMNKPYRVCDDCFTKLNRKKESRSTPEFVKNSRESLNQNSNELPEKDTLNLKSLRKLARLASFDSFRQTRSQNSKHDRRVLNENSQWESTSLFGNSTRISASSSGSRMVSRGSSPPSRKSSPAYYITRTSIHTNLANPELLFNGSKHSVESLTQEIGILRAQVEDLTVKSQVLEEKLERTSRQLKEAVGTAHEEAEKNKASKEVIRSLTVQS >EOY24063 pep chromosome:Theobroma_cacao_20110822:3:29514104:29519981:-1 gene:TCM_015766 transcript:EOY24063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase isozyme G, chloroplastic MATITSLTDAASIAAADISSFRNVTDNSLFESGIHRTKRRLFFRKGDAAFSIRSMKITPARTGTLTCSNGRLNADKLNPTVELLKVGALGQVKMNSSSRRKTKIVCTIGPSTSSREMIWKLAEAGMNVARLNMSHGDHSSHQKTIDLVKEYNAQFEDKVIAIMLDTKGPEVRSGDVPQPIQLKEGQEFNFTIKRGVSSENTVSVNYDDFVNDVEVGDILLVDGGMMSLAVKAKTKDLVKCVVVDGGELKSRRHLNVRGKSATLPSITDKDWEDIKFGVDNQVDFYAVSFVKDARVVHELKDYLKGCNADIHVIVKIESADSIPNLHSIISASDGAMVARGDLGAELPIEEVPLLQEDIIRRCRSMHKPVIVATNMLESMINHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKYPIKAVKVMHTVALRTESSLPVSIMPPVRFNAYKSHMGEMFAFHSTTMANTLNTPIIVFTRTGSMAILLSHYRPSSSIFAFTNEERIKQRLALYQGVMPIYMQFSDDAEETFSRALKLLMDKNLVKEGEHVTLVQSGAQPIWRRESTHHIQVRKVQA >EOY23531 pep chromosome:Theobroma_cacao_20110822:3:27744468:27748322:-1 gene:TCM_015399 transcript:EOY23531 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT motif family protein MYAETGLFFPYMQNFCQDFQQFEEFCKTQKPNASMNNLVQTSTISEYDLGGEGDLFKAPEPIIEEPIVGLDPMTAAISLISCGEDVITSQGLKAADIESIQNEQLLEVLYECEKDLMAQAAIETPLSDVLDIKIPVVKTDENQNQGNKLLCDVPFQKSVSSGCLSSMEWMQGAAIKPSFLDFSGMDFGSVYGMRRAFSEGDIKTLGNGNTTVIHSPLERPLIVSSCSTEDRREKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFARTEESDNSKRQELFSN >EOY21359 pep chromosome:Theobroma_cacao_20110822:3:7157952:7165248:1 gene:TCM_012888 transcript:EOY21359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMFENLTDFIGALKSMTNIAKTPFTLHSVRPPRYILIQIYLKLQYLNRGNINIITINGNSNIKYQLTNLLTHRYQEKPFNVPIINMKMDSHPQIGNNNNFEESTIAAINAVGLTKTQNIKYKIRAIITDIDCSNGWFYNSCNKYGLALRFCAEKYWCTQHDEKTPLPT >EOY25413 pep chromosome:Theobroma_cacao_20110822:3:34199070:34204071:1 gene:TCM_016727 transcript:EOY25413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MRPRKELDRKHQIFNLKSNLRPRPKQYSISDLLLRFVMAGQSRKWMILVATIWIQAFTGTNFDFSAYSTEMKRVLGISQVQLNYLAVASDMGKAFGWSSGLALTYFPLWVVLFMAAFMGLFGYGIQWLVIRNVISLPYMLVFCLCLLAGCSICWFNTVCFVLCIKNFPANRALALSLTVSYNGVSAALYALAGDAINASSSSLYLLLNSLVPLIISIAALVPILRQPPVDPLSPEAVRSDSIMFLLLNVLALLTGVYLLIFGSNATDSTTARLLFGGAIFLLVFPLCVPGVVYARHWFHHTVHSSFQLGGSGFILVDDDDLELHKRLLSREASFNDRNGSLSDDASEYKMGSQKCIDEDSARCCEKMIGKDQLVILGEEHPAQVLVRRWDFWLYYVAYFCGGTIGLVYSNNLGQIAQSLGESSNTALLLTLYSSFSFFGRLLSAAPDYVRAKMYFARTGWLAIALLPTPIAFFLLAGLGNSMALRAGTALIGLSSGFIFAAAVSVTSELFGPNSVGVNHNILITNIPIGSLVYGVLAAIVYDANAGKGLKLSFADSVVCMGRQCYFLTFVWWGCLSILGLASSLLLFLRTKHAYDAFERNRALAEASQES >EOY25414 pep chromosome:Theobroma_cacao_20110822:3:34199070:34204071:1 gene:TCM_016727 transcript:EOY25414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MDDSSGDDLDSSVHRHEFRFLRVFHGDEASAGDIAGAVELPGCGVGYGEGLRVVLRVGSHVFSSVGGALHGCFHGPFWLWNSVARHSECHLFAVYAGCSICWFNTVCFVLCIKNFPANRALALSLTVSYNGVSAALYALAGDAINASSSSLYLLLNSLVPLIISIAALVPILRQPPVDPLSPEAVRSDSIMFLLLNVLALLTGVYLLIFGSNATDSTTARLLFGGAIFLLVFPLCVPGVVYARHWFHHTVHSSFQLGGSGFILVDDDDLELHKRLLSREASFNDRNGSLSDDASEYKMGSQKCIDEDSARCCEKMIGKDQLVILGEEHPAQVLVRRWDFWLYYVAYFCGGTIGLVYSNNLGQIAQSLGESSNTALLLTLYSSFSFFGRLLSAAPDYVRAKMYFARTGWLAIALLPTPIAFFLLAGLGNSMALRAGTALIGLSSGFIFAAAVSVTSELFGPNSVGVNHNILITNIPIGSLVYGVLAAIVYDANAGKGLKLSFADSVVCMGRQCYFLTFVWWGCLSILGLASSLLLFLRTKHAYDAFERNRALAEASQES >EOY20592 pep chromosome:Theobroma_cacao_20110822:3:253333:265823:-1 gene:TCM_011971 transcript:EOY20592 gene_biotype:protein_coding transcript_biotype:protein_coding description:DP-E2F-like 1 isoform 3 FLSLYNREDVELIGLDEAAAKLGVERHRIYDIVNVLESVGVLTRKAKNKYTWKGFAAIPKALQDLKEEGFSSNFKNLDANNNAKVSDDDDDDEDDEGFSNPNTGSQTETSKPTSVLKSSASSKIDNRREKSLGLLTQNFVKLFVCSNAELISLDEAARLLLGNAHNTSIMRTKVRRLYDIANVLSSMNLIEKTHTVDTRKPAFRWLGLSGKSDKGSADALVLHESRKRAFGTDVTNIISKRNKVDSSNEGNVKQCMKMQKQIKVENLVAVADRRSWEDSKQGSKSYQFGPFAPVNLSRSGNSENNVKRVHDWESLASTYRPQYHNQALRDLFSHYMEAWKSWYTEVAGKKPIQQIS >EOY20593 pep chromosome:Theobroma_cacao_20110822:3:253789:266016:-1 gene:TCM_011971 transcript:EOY20593 gene_biotype:protein_coding transcript_biotype:protein_coding description:DP-E2F-like 1 isoform 3 MPVSSSPSLPESSSRHYTYSRKQKSLGLLCSNFLSLYNREDVELIGLDEAAAKLGVERHRIYDIVNVLESVGVLTRKAKNKYTWKGFAAIPKALQDLKEEGFSSNFKNLDANNNAKVSDDDDDDEDDEGFSNPNTGSQTETSKPTSVLKSSASSKIDNRREKSLGLLTQNFVKLFVCSNAELISLDEAARLLLGNAHNTSIMRTKVRRLYDIANVLSSMNLIEKTHTVDTRKPAFRWLGLSGKSDKGSADALVLHESRKRAFGTDVTNIISKRNKVDSSNEGNVKQCMKMQKQIKVENLVAVADRRSWEDSKQGSKSYQFGPFAPVNLSRSGNSENNVKRVHDWESLASTYRPQYQLHGSVEIMVHGSCWEEANTTNFLMRQAE >EOY20591 pep chromosome:Theobroma_cacao_20110822:3:253705:256798:-1 gene:TCM_011971 transcript:EOY20591 gene_biotype:protein_coding transcript_biotype:protein_coding description:DP-E2F-like 1 isoform 3 MPVSSSSSLPESSSRHYTYSRKQKSLGLLCSNFLSLYNREDVELIGLDEAAAKLGVERRRIYDIVNVLESVGVLTRKAKNKYTWKGFAAIPKALQDLKEEGFSSNFKNLDANNNAKVSDDDDDDEDDEGFSNPNTGSQTETSKPTSVLKSSASSKIDNRREKSLGLLTQNFVKLFVCSNAELISLDEAARLLLGNAHNTSIMRTKVRRLYDIANVLSSMNLIEKTHTVDTRKPAFRWLGLSGKSDKGSADALVLHESRKRAFGTDVTNIISKRNKVDSSNEGNVKQCMKMQKQIKVENLVAVADRRSWEDSKQGSKSYQFGPFAPVNLSRSGNSENNVKRVHDWESLASTYRPQYHNQALRDLFSHYMEAWKSWYTEVAGKKPIQQIS >EOY23377 pep chromosome:Theobroma_cacao_20110822:3:27179849:27188347:-1 gene:TCM_015292 transcript:EOY23377 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MEQKRILLSALSVGVGVGVGLGLASGQTVSKWAGKSSAEDGITGEQIEQELMRQVVDGKLSTVTFDDFPYYLSERTRVLLTSAAYVQLKHNDVSKHTRNLSPVSRAILLSGPAELYQQMLAKALAHDFESKLLLLDITDFSLKMQSKYGCTKKEPSFKRSISEMTLERMNSLFGSFSLLLPREETSVLVLVILVLIKIFPTGTLRRQGSGIDIKSRAVEGSSNLPKLRRNASTASDMSSISSNCTLTNPASHKRTSSWCFDQKLFLQSLYKVLVSVSETGSIILYLRDVEKLLLRSQRLYNLFQKLLNKLSGSVLILGSRMLDPEDDRREVDQRLSVLFPYNIEIKPPEDETRLDSWKAQLEEDMKVLQIQDNRNHIAEVLAANDLECDDLGSICQADTMILSNYIEEIVVSAISYHLMNNKDPEYRNGKLVISSKSLSHGLNIFQEGKSCGKDTLKLEANADSAKENEGEEAVGAKTESKSETPASESKSETEKSIPAAKKDGDNPPAPKAPEVPPDNEFEKRIRPEVIPANEIGVTFADIGAMDEIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVAPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTKTGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSIESRELILKTLLAKEKVEDLDFKELATMTEGYSGSDLKNLCVTAAYRPVRELIQQERLKDLEKKKREEAGKSSEDASETKEEDKEERVITLRPLNLEDLRQAKNQVAASFASEGSIMGELKQWNDLYGEGGSRKKQQLTYFL >EOY23455 pep chromosome:Theobroma_cacao_20110822:3:27463425:27464711:-1 gene:TCM_015344 transcript:EOY23455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILLQFNHQNSLPWVGTGKLDVAGAAGSPTKNGAAAKRQVGDCCLLFLGALFVHEGQSGEGSRAPFMCSPLSPLLK >EOY22295 pep chromosome:Theobroma_cacao_20110822:3:22867980:22872301:1 gene:TCM_014511 transcript:EOY22295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed imbibition 2 isoform 3 MTITATPCVKDGCLLVRGKVLLTKVPKNIIVSQGSRGSAFLGATSGIPSSRHVFTLGVLEGYKLLCLFRFKIWWMIPRYGESGSEIPMETQMLLLEVREESAVDDGISSDPATENTFYILFLPVLDGEFRTSLQGTSANELQFCVESGDANVQTSQILEPVFINSGDNPFELIKNSIKILEKHKGTFSHIENKKIPAHLDWFGWCTWDAFYTEVNPQGIKEGLQSFSDGGCSPKFLVIDDGWQDTVNEFRKEGEPLIEGTQFATRLVDIKENSKFKSSGSDAGCDGLHEFIDTIKGKYGLKYVYVWHALAGYWGGVLSSSETMKKYNPKIVYPVQSPGIIGNLRDIIPDSLEKYGVGIIDPQKIFDFYNDLHSYLSSSGVDGVKVDAQNLIETLGSGFGGRVSLTRQYQQALEQSVSRNFRDNNLICCMSHNSDSIYSSKKSVVARASEDFMPREPTFQTLHIASVAFNSLLLGEIVVPDWDMFHSKHDTAEFHGAARSIGGCAVYVRRLVLPDGSILRARHAGRPTRDCLFRDPVMDGKFWSGCSFCSHRIA >EOY22294 pep chromosome:Theobroma_cacao_20110822:3:22867980:22872301:1 gene:TCM_014511 transcript:EOY22294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed imbibition 2 isoform 3 MTITATPCVKDGCLLVRGKVLLTKVPKNIIVSQGSRGSAFLGATSGIPSSRHVFTLGVLEGYKLLCLFRFKIWWMIPRYGESGSEIPMETQMLLLEVREESAVDDGISSDPATENTFYILFLPVLDGEFRTSLQGTSANELQFCVESGDANVQTSQILEPVFINSGDNPFELIKNSIKILEKHKGTFSHIENKKIPAHLDWFGWCTWDAFYTEVNPQGIKEGLQSFSDGGCSPKFLVIDDGWQDTVNEFRKEGEPLIEGTQFATRLVDIKENSKFKSSGSDAGCDGLHEFIDTIKGKYGLKYVYVWHALAGYWGGVLSSSETMKKYNPKIVYPVQSPGIIGNLRDIIPDSLEKYGVGIIDPQKIFDFYNDLHSYLSSSGVDGVKVDAQNLIETLGSGFGGRVSLTRQYQQALEQSVSRNFRDNNLICCMSHNSDSIYSSKKSVVARASEDFMPREPTFQTLHIASVAFNSLLLGEIVVPDWDMFHSKHDTAEFHGAARSIGGCAVYVSDKPENHDFEILRRLVLPDGSILRARHAGRPTRDCLFRDPVMDGKFWSGCSFCSHRIA >EOY22293 pep chromosome:Theobroma_cacao_20110822:3:22867982:22872961:1 gene:TCM_014511 transcript:EOY22293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed imbibition 2 isoform 3 MTITATPCVKDGCLLVRGKVLLTKVPKNIIVSQGSRGSAFLGATSGIPSSRHVFTLGVLEGYKLLCLFRFKIWWMIPRYGESGSEIPMETQMLLLEVREESAVDDGISSDPATENTFYILFLPVLDGEFRTSLQGTSANELQFCVESGDANVQTSQILEPVFINSGDNPFELIKNSIKILEKHKGTFSHIENKKIPAHLDWFGWCTWDAFYTEVNPQGIKEGLQSFSDGGCSPKFLVIDDGWQDTVNEFRKEGEPLIEGTQFATRLVDIKENSKFKSSGSDAGCDGLHEFIDTIKGKYGLKYVYVWHALAGYWGGVLSSSETMKKYNPKIVYPVQSPGIIGNLRDIIPDSLEKYGVGIIDPQKIFDFYNDLHSYLSSSGVDGVKVDAQNLIETLGSGFGGRVSLTRQYQQALEQSVSRNFRDNNLICCMSHNSDSIYSSKKSVVARASEDFMPREPTFQTLHIASVAFNSLLLGEIVVPDWDMFHSKHDTAEFHGAARSIGGCAVYVSDKPENHDFEILRRLVLPDGSILRARHAGRPTRDCLFRDPVMDGKSLLKIWNLNKLSGVIGVFNCQGAGSWPMKQATEDLTSTPSSISGNMSPCDVEFIEEVAGENWNGDCAVYAFNSGSLSKLPKKGNIKVSLATLKCEIYTVSPIRVFGQDVRFAPIGLLDMYNSGGAVEAMDCTMNLSGCIIKIKGRGCGRFGTYSSAKPRSCTVDMKEVEFIYNTENGLLTVDLQGDCNLRTMEFVF >EOY21915 pep chromosome:Theobroma_cacao_20110822:3:19838907:19841112:1 gene:TCM_014093 transcript:EOY21915 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein isoform 1 MGKDSKPKEAKGKGKQAGGGSDESASKGKGKAGKGDGLGTCTYVKARHILCEKQGKINEAYKKLEDGWLSNGDKVPPAEFAKIAQEYSECPSGKKGGDLGWFPRGKMAGPFQEVAFSTAVGATSAPFKSTHGYHIILSEGRKN >EOY21914 pep chromosome:Theobroma_cacao_20110822:3:19838971:19841230:1 gene:TCM_014093 transcript:EOY21914 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein isoform 1 MGKDSKPKEAKGKGKQAGGGSDESASKGKGKAGKGDGLGTCTYVKARHILCEKQGKINEAYKKLEDGWLSNGDKVPPAEFAKIAQEYSECPSGKKGGDLGWFPRGKMAGPFQEVAFSTAVGATSAPFKSTHGYHIILSEGRKN >EOY22666 pep chromosome:Theobroma_cacao_20110822:3:24405969:24408428:-1 gene:TCM_014770 transcript:EOY22666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MMMMMSSDSMDCSTETEEESFKSNGIEKTKISLMRTLVETQDPSSKEVDDLTFRRFLRARDLDVEKASAMFLKYLNWRRNFVPNGSISPSEVAQEIEQNKMFLQGSDKKGQLIAVVLAARHFQHKGGVEEFKRCLQDKRNSLLLEILKVGDMQTATSVHTLQLYLSCRSTTLKD >EOY22667 pep chromosome:Theobroma_cacao_20110822:3:24405380:24408412:-1 gene:TCM_014770 transcript:EOY22667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MMMMMSSDSMDCSTETEEESFKSNGIEKTKISLMRTLVETQDPSSKEVDDLTFRRFLRARDLDVEKASAMFLKYLNWRRNFVPNGSISPSEVAQEIEQNKMFLQGSDKKGQLIAVVLAARHFQHKGGVEEFKRQEKFVVIGDLEGWGYANSDIRAYLAALSLVQEYYPERLEKLFIVHAPYIFMTAWKIVYPFIDNKTRKKIVFVGSKTLKSTLLEEIDESQLPETYGGKLPLVPIHDC >EOY22665 pep chromosome:Theobroma_cacao_20110822:3:24405574:24408443:-1 gene:TCM_014770 transcript:EOY22665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MMMMMSSDSMDCSTETEEESFKSNGIEKTKISLMRTLVETQDPSSKEVDDLTFRRFLRARDLDVEKASAMFLKYLNWRRNFVPNGSISPSEVAQEIEQNKMFLQGSDKKGQLIAVVLAARHFQHKGGVEEFKRFIVYAFDKIFARMPPGQEKFVVIGDLEGWGYANSDIRAYLAALSLVQEYYPERLEKLFIVHAPYIFMTAWKIVYPFIDNKTRKKIVFVGSKTLKSTLLEEIDESQLPETYGGKLPLVPIHDC >EOY23600 pep chromosome:Theobroma_cacao_20110822:3:27988966:27993422:-1 gene:TCM_015443 transcript:EOY23600 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MSNCYVDPKYLSVPYQLLSMNIRAMEVTQVLLNAQSIDGAVRKNAEESLKQFQEQNLPAFLLSLSGELANEEKPVETRKLAGLILKNALDAKEQHRKYELVQRWLSLDANAKSQIKACVLKTLSSAVADARSTASQVIAKVAGIELPQKQWPELIGSLLSNIHQLRAHAKQATLETLGYLCEEVSPDIIDQDQVNKILTAVVQGMSASEGNTDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCEATLSPEVRIRQAAFECLVSISSTYYEKLAPYIQDIFSITAKAVREDEEPVSLQAIEFWSSICDEEIDILEDYGGEFTGDSDIPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVPLVVPFIEENITKPDWRQREAATYAFGSILEGPSPEKLIPLVNVALNFMLSALTKDPNSHVKDTTAWTVGRIFEFLHGSAVDSPIITQANCQQIVTVLLQSMKDTPNVAEKACGALYFLAQGYEDVGPSSPLTPFFQEIVQSLLTVTHREDAGESRLRTAAYETLNEVVRCSTDETAPLVLQLVPVIMMELHNTLEGQKLSSDEREKQSELQGLLCGCLQVIIQKLGSSEPTKYVFMQYADQIMGLFLRVFACRSSTVHEEAMLAIGALAYATGPDFAKYMPDFYRYLEMGLQNFEEYQVCAVTVGVVGDISRALEEKIVPYCDGIMTQLLKNLSSNQLHRSVKPPIFSCFGDIALAVGEYFEKYLMWAMSALQRAAELSTHTAGDDELTEYTNSLRNGILEAYSGIFQGFKNSPKTQLLIPYAPHILQFLDGIYMEKDMDDVVMKTAIGVLGDLADTLGSHAGSLIQQSRSSKDFLNECLSSEDHMIKESAEWAKLAISRAISV >EOY21081 pep chromosome:Theobroma_cacao_20110822:3:2753925:2850592:1 gene:TCM_012401 transcript:EOY21081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHSQLILSIPSISMFIFFFISLFFLPISYCQDDENFVHCFSPFDCGDIKNLTYPFWTDGRPPLCQHEGFRLTKCKDQQPVINVSGNEFRLIYLNQSTYTMSIARNDLWENICPANLINVNVESRVLRYHPRNRHLTFFYNCTPSLPNLFQCTGEGEFYSLYADDLFERDRYEDLRDSCGTAIQVQVNQSAFAELQNQTPQRLEAWKLGFDVVYNLAEILCSACNNSRRGKCEILSSQYPICNNPAFY >EOY23681 pep chromosome:Theobroma_cacao_20110822:3:28216258:28217772:1 gene:TCM_047014 transcript:EOY23681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGYVLRVRLASFFTGAATASFLGLYVLYKDYKVAHESITQQVKSLHESLDRRISAVESLKRVETSQHVEAAE >EOY21103 pep chromosome:Theobroma_cacao_20110822:3:3025854:3027429:1 gene:TCM_012437 transcript:EOY21103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B9, putative isoform 2 MPIYESSESDNETIPRVKLFGRERPMHSILGGGKVADVLLWRERNLSAALLIGVTAIWFLFEVVEYNFVTLLCHISITTMLVIFIWGMFADYFGCTRPKIPELLSNEHAFREVVSAFGFLCMETLPYLYTKFENEVDYHAGQMTRKASKLYRRFDSRVLTKIPRGPVKEKKHA >EOY21102 pep chromosome:Theobroma_cacao_20110822:3:3024510:3027448:1 gene:TCM_012437 transcript:EOY21102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B9, putative isoform 2 MPIYESSESDNETIPRVKLFGRERPMHSILGGGKVADVLLWRERNLSAALLIGVTAIWFLFEVVEYNFVTLLCHISITTMLVIFIWGMFADYFGCTRPKIPELLSNEHAFREVVSAFHWRFNQFLSKFLHIAGGKDPVHFFLVIVSLYIISVIGSYFDFVNLLFIGFLCMETLPYLYTKFENEVDYHAGQMTRKASKLYRRFDSRVLTKIPRGPVKEKKHA >EOY21905 pep chromosome:Theobroma_cacao_20110822:3:19677766:19679546:1 gene:TCM_014073 transcript:EOY21905 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441 MANITVIGQEDDQMDLPPGFRFHPTDEELISHYLYKKVLDINFSARAIGEVDLNKSEPWELPWKAKMGEKEWYFFCVRDRKYPTGLRTNRATDSGYWKATGKDKEIYRGKSLVGMKKTLVFYKGRAPKGEKTNWVMHEYRLEGKYSVHNLPKTAKNEWVICRVFQKSSGGKKTHISGLVRVGSFGNELGPSGLPPLMESSPYNGKSKPAVESAYVPCFSNPIDVQRNQQDTTDNFNNPLLPASSNPTDIFPRIPLSNAFYSNQAVPFPSNFQFPGSVLMQDQSILRALIKNHGSNMRQDFKTEREMVSVSQETGLTTDINTEISSVVSNLEMGKRSFDGQEAPSASAGPVDLDCFWHY >EOY25130 pep chromosome:Theobroma_cacao_20110822:3:33361593:33364130:1 gene:TCM_016543 transcript:EOY25130 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MLETVKYLFGSTGASGFGSKSTAEQVTETCPDLRSITAIITGATSGIGAETARVLAKRGARLVLPARNLKAAEEAKARIVSEFPDAKIVVMALDLSSLSSVRKFVSEFQSLSLPLNVLINNAGKFAHEHGISEDGIEMTFATNYLGHFLLTKLLLNKMIKTAKESGVQGRIVNVSSSIHGWFSGDVIRHLGQISRSKSPYDATRAYALSKLANVLHTKELAQRLKQVDANVTVNCVHPGIVRTRLTREREGLITDLVFFLASKLLKTIPQAAATTCYVATHPRLVNVSGKYFADCNEARPSKLGSNSNEAARLWTASEIMVSIDPKAVFDPRNGFDYDHM >EOY23370 pep chromosome:Theobroma_cacao_20110822:3:27159202:27160195:1 gene:TCM_015287 transcript:EOY23370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEAKSQSPYPLVPAANGHERSDEESVAAHSKELKKKKRMKCLLYIVLFAVFQTGIILLFALTVMRIRNPKFRVRSGSFTTFNVGTEASPSFDLQMNTQFTVKNTNFGHFKYEGGLVTFAYRGTPVGRATIQKARARARSTKKVDVVVELSSNGLPNTNELGRDISAGVLTLTSSSKLDGKIHLMKVIKKKKSTQMNCTMDVAIDTRTVRNIICK >EOY21113 pep chromosome:Theobroma_cacao_20110822:3:3087470:3107312:-1 gene:TCM_012451 transcript:EOY21113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diphthamide synthesis DPH2 family protein MLIRANLAILGLLALANLSHFLDACEPSSCEALTNINSPFRLKSDPLECGDVELVCENNRTILHDRDYVPINSSLYVDTSLCTNASFSPHPYVYALFSGYTLNATSFQESYTIQFQAPRPFWFRSPNVTNLSIFDIHRGFLMGYDVPWYYAPCAGRVAYAPPPTSCYKITLGDMFILLEYLYTWQMVFTHSILPRDSFEYYYDRVLIAIRTLLGVACLFAVVLIKFCRRHLSMDDTIEEFLQMQNNLMPIRRLVAIKLLEMSKSHRQDFINEVATIGRIYHVNVIRPIDRPSINKVLEILEGLNWARPSTQSPAQGSVFPLSLFLRIFLLRLAPRGKACHPAAMDLETNFEISRTAEFILSRNFTRVALQFPDDLLKHSTKVVTSLRNKLGSGNKVGLFVMADTAYGSCCVDEVGASHIDADCVIHYGHTCLSPTSTLPSFCVFGKASISVFSCVEKLSSFFWTNCRPTVVLYGLEYAHAISQIKEALVEATPKAKVELQFADVMCSIINPLEDHRRSNGLLGHLGSCTSNSSGAETGSRYSLGGLIWDLPEGQKMEDYMLLWIGPDNSAFANVVLTFNGCEIVRYDAAEDQLVTDVSQQKRILKRRYYLVERAKDANMVGILVGTLGVAGYLHMIHQMKELIMGAGKKAYTLVMGRPNPAKLANFPECDVFIYVSCAQTALLDSKEFLAPVITPFEALLAFNRGSQWTGTYVMEFRDLINSSPLEVKKQPEEARFSFLKGGYVEDFELHENGDEENEGSLALANATEKVLQLRDTNPGSLVKRVAKSGAEFFVTRSYHGLEMHANSSLPEPYLVGRSGKASGYKDEKIEHET >EOY22249 pep chromosome:Theobroma_cacao_20110822:3:22618282:22618867:1 gene:TCM_014473 transcript:EOY22249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESAISSLPQGQQQQQGDIGSSQGAAAASSSSSSAGSIGPFFAVISILTFLAIVSCVVGRICVRRRTAAPVTPLDTIKHGSCLGWLKRKCRHCMAGEVEVGAKVMSFGEETNNHAHSPEV >EOY24520 pep chromosome:Theobroma_cacao_20110822:3:31311967:31313287:1 gene:TCM_016102 transcript:EOY24520 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook DNA-binding family protein MDPVTSHGLSLPPPFNIRDFNLHHQQQQQQEHQFHHQNSEDEQSGSSSGLRKRDRDDSNNINNSSSANNNEGNELSFPGSGEGEITRRPRGRPAGSKNKPKPPIIITRDSANALRTHVMEIGDGCDIVESVATFARRRQRGVCIMSGTGTVTNVTLRQPASAGAIVTLHGRFEILSLAGSFLPPPAPPAATGLTIYLAGGQGQVVGGSVVGTLICSGPVVIMAASFSNAAYERLPLEEEEQLPMQGGAIGSPGAIGGQQQQQQQQQVLAESNAPLFHGLPPNLLNSIQLPTEAFWATGRPPF >EOY21994 pep chromosome:Theobroma_cacao_20110822:3:20303070:20306786:-1 gene:TCM_014158 transcript:EOY21994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptosomal associated protein, putative MLGFKKSPLKTAKHNSVDPGRVVPSRSNPFDSDDELDNKQTLKPSRRTSSEPTLNPPNFGTNPFDDDEEKVNSSSSSYWQASATRDKYKNDFRDSGGLENQSVQELENYAVYKAEETTKTVNNCVKIAEEMREGATNTLITLHQQGEQITRTHNVAAGIDHDLSRGEKLLGSLGGMFSRTWKPKKTRTIVGPVITRDVSPKRSGGHLEQKEKLGLNPVRRGHSKSQTPPPEPTNAFQKVEVEKAKQDDALSDLSDLLGELKDMAVDMGSEIERQNKGLNGLQDDVDELNFRVKGANQRARRLLGK >EOY22792 pep chromosome:Theobroma_cacao_20110822:3:24813740:24827645:1 gene:TCM_014859 transcript:EOY22792 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein 10 and NUC211 domain-containing protein, putative isoform 2 MIFPLLLNLPETQRLSLKVLDLAKEVKWPFFQTLAAVSGEDVLQKLLSGSSVDMEPVSRFEKKMQKRGSVSTVNIEIVGSLSEAFLMNPHEYLPWLTRSCSDLKSSKTLCFLVLMQSFSMSKNNGKFLVLFEACFPVLKSEWEAFGSVVDASLQEFNEEMLDWDCRKFLDQLFVADIDSLNTYILICIFWRLLEAFISASSTEVFLDDSEKAINRVQDFFIFVAVSNLKYAFKKRLRDLVEKHLHDFLTKCKISPVRFLSSFFTAEDVPFAVQVESLHCFAFLCSQLDDRLPFELLAEFPSLLVPLARENQATRFAAMDCIEKLHKLWCQVDFSSKKNGNTAVWSHFLDELLGLMVQQKRLILSDKNFLPSFLTCLLSSSCDSILVSPNIEQRFNQSTKEKILAFILSSALKLSGSGKLKVLSLLKGLGNTILHVKEVESLLSLLLRKHSQYHLDLENSSLKLSEIEIRILCLLLEICVMPSSLLGGQISEDYVLKALQLDFKSPEDPAIIEPCVTVLQKLSNQFYSGLTTEAQGHLFRQLILLFHNSNGDIRSATRDALLRLNIASSTVSQMLDLVLKEDPLVTSSAHGKKKKKLAGNLKAGYHCDIVSRGEWSLSFLSSLLDALLLKKDIANRQFLVGPLFNLLGKFFSDEWGHGALTQDERLIQTSGVSQTMSSAICYIQQALLLILEDIFASFINANSPLKAGIINKIDIQILVDCARLIEDGETRNHVFTLLSSVVKLVPNRILEHTLDILTVIGESAVSQIDSHSQHVFEDLISAIVPCWLSKTNNTEKLLEIFINILPGVAEHRRLSIIIFLLRILGETDSLASLLVILFRSLVSRKGLSCLNATHASDRFSAQKEWEYAFAVQICGQHSSLIWLPSLVMVLQLIGQSDLSQELVMQLLFAMDFVLHKLQDPEFSLKLESRESSDSIQRKLGELMEQVVSLLQVVDARRKQIGIPVATWKDFRACVDAILKTITMTMIPSTCFECITKLLGNADGTVRKKALGILCETVKDHGSVKSKRKEKRELDLNSNSFELHLDDTSLELFQKMCAEIVQIVDDSIEKSNALLKLAAISTLEILAQRFSSNYSVFSMCLASVTKGISSENLAVSSSCLKTTGALLNVLGPRALAELPCIMENVIKKSREISVSSELKSKTDENSSILLLILVTLEAVVDKLGGFLNPYLGDVIELMVLHPAYVSGSDLKLKLKADLVRKLLTDKIPVRLTLQPLLKTYSGVVKSGDSSLVIAFEMLANLVTKMDRASVSGYYGKIFDQCMLALDLRRQHPVSVQTIDVVEKSVINALVSLTMKLTENMFKPLFAKSIEWAEAEVEDVAGSGSPNIDRAISFYSLVNKLVENHRSLFVPYFKYLVKGCIQLLGDFGVFKASNLVQKKKKAKIQDGNLGNHMLSLKSWHLRALILSSLQKCFLHDTGRLKFLDSSNFQVLLKPIVSQLVIEPPTSIEEHPDTPSVKEVDDLLVGCIGQMAVTAGTDLLWKPLNHEVLMQTRSEKMRARVLGLRIVKQFLDNLKEEYLVLLAETIPFLAELLEDVELPVKSLAQDILKEMETMSGESLREYL >EOY22791 pep chromosome:Theobroma_cacao_20110822:3:24809747:24827750:1 gene:TCM_014859 transcript:EOY22791 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein 10 and NUC211 domain-containing protein, putative isoform 2 MASSIASQLQAIKSFIQADSEPQKRPFTRPSILFNPKEAADIDIDTILNIALSGLEVLVGVDDRFRNYKNDLFSHKSKELDRELMGVDDNNQINDSISSYLRLLSGHLQHAASLKTLEYLIRRYKIHVYNIEDLVLCVLPYHDTHAFVRIVQLINTGNSKWKFLDGVKVSGAPPPRAVVVQQCIRDMGVLEALCNYASATKKFQASRPVISFCTAVIIEVLGSVTTIDTDTVKRIHPFVASGLQTGTEGGSDHKAGALMIVGLLANKVALSPKLVNSLIRSVAEVARKDVKESTDLLWLRLSLMALINLVQSQSVDTFPKKALEILRDIRDIAGILLELSKDFNIDRFLTILLEALVDQSSSDDSYHLALISVIDTVPLTNLVDHIVSKILLCCMRLSEKDGNSASSESGTWAKKILAAIHKNYPSQFHGAVHKFLEDTKVQSKKEDTVCEFLSKILDGNLDLSMVVPESKIWFASHHPKPEVRRATFSGLNRSAILKLRSLDPQRLVTIKDVILRQLHDDDLTVVQAALSLDWFTEIISPLELLEALHHVLKRCLSFLTSGSSVNSTLSCDVAVSFLKVAVFSFHDQIDYLKEVASMIFPLLLNLPETQRLSLKVLDLAKEVKWPFFQTLAAVSGEDVKLLSGSSVDMEPVSRFEKKMQKRGSVSTVNIEIVGSLSEAFLMNPHEYLPWLTRSCSDLKSSKTLCFLVLMQSFSMSKNNGKFLVLFEACFPVLKSEWEAFGSVVDASLQEFNEEMLDWDCRKFLDQLFVADIDSLNTYILICIFWRLLEAFISASSTEVFLDDSEKAINRVQDFFIFVAVSNLKYAFKKRLRDLVEKHLHDFLTKCKISPVRFLSSFFTAEDVPFAVQVESLHCFAFLCSQLDDRLPFELLAEFPSLLVPLARENQATRFAAMDCIEKLHKLWCQVDFSSKKNGNTAVWSHFLDELLGLMVQQKRLILSDKNFLPSFLTCLLSSSCDSILVSPNIEQRFNQSTKEKILAFILSSALKLSGSGKLKVLSLLKGLGNTILHVKEVESLLSLLLRKHSQYHLDLENSSLKLSEIEIRILCLLLEICVMPSSLLGGQISEDYVLKALQLDFKSPEDPAIIEPCVTVLQKLSNQFYSGLTTEAQGHLFRQLILLFHNSNGDIRSATRDALLRLNIASSTVSQMLDLVLKEDPLVTSSAHGKKKKKLAGNLKAGYHCDIVSRGEWSLSFLSSLLDALLLKKDIANRQFLVGPLFNLLGKFFSDEWGHGALTQDERLIQTSGVSQTMSSAICYIQQALLLILEDIFASFINANSPLKAGIINKIDIQILVDCARLIEDGETRNHVFTLLSSVVKLVPNRILEHTLDILTVIGESAVSQIDSHSQHVFEDLISAIVPCWLSKTNNTEKLLEIFINILPGVAEHRRLSIIIFLLRILGETDSLASLLVILFRSLVSRKGLSCLNATHASDRFSAQKEWEYAFAVQICGQHSSLIWLPSLVMVLQLIGQSDLSQELVMQLLFAMDFVLHKLQDPEFSLKLESRESSDSIQRKLGELMEQVVSLLQVVDARRKQIGIPVATWKDFRACVDAILKTITMTMIPSTCFECITKLLGNADGTVRKKALGILCETVKDHGSVKSKRKEKRELDLNSNSFELHLDDTSLELFQKMCAEIVQIVDDSIEKSNALLKLAAISTLEILAQRFSSNYSVFSMCLASVTKGISSENLAVSSSCLKTTGALLNVLGPRALAELPCIMENVIKKSREISVSSELKSKTDENSSILLLILVTLEAVVDKLGGFLNPYLGDVIELMVLHPAYVSGSDLKLKLKADLVRKLLTDKIPVRLTLQPLLKTYSGVVKSGDSSLVIAFEMLANLVTKMDRASVSGYYGKIFDQCMLALDLRRQHPVSVQTIDVVEKSVINALVSLTMKLTENMFKPLFAKSIEWAEAEVEDVAGSGSPNIDRAISFYSLVNKLVENHRSLFVPYFKYLVKGCIQLLGDFGVFKASNLVQKKKKAKIQDGNLGNHMLSLKSWHLRALILSSLQKCFLHDTGRLKFLDSSNFQVLLKPIVSQLVIEPPTSIEEHPDTPSVKEVDDLLVGCIGQMAVTAGTDLLWKPLNHEVLMQTRSEKMRARVLGLRIVKQFLDNLKEEYLVLLAETIPFLAELLEDVELPVKSLAQDILKEMETMSGESLREYL >EOY24431 pep chromosome:Theobroma_cacao_20110822:3:30966418:30967253:1 gene:TCM_016036 transcript:EOY24431 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein, putative MKKIRGFKLGRKLVKVFKWIIRPRRRIYRNSFLSPPTRRYNPLSRICSFARFLRRGTKGLCNSNADPGFIQLGEEGVKRVGVPKGHLAVYVGDSEGDTTRVVVPVIYFNHPLFAELLKEAERVYGYNHSGGITLPCGISEFEKVKMRIADWEHCRRKPHRRYL >EOY22145 pep chromosome:Theobroma_cacao_20110822:3:21904286:21908011:-1 gene:TCM_014361 transcript:EOY22145 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein MGWAIALHGGAGDIPLSLPPDRRLPREAGLRHCLDVGIAALKAHKHPLDVVELVVRELENHPHFNAGKGSVLTTSGTVEMEACIMDGKTKKCGAVSGLSTVVNAISLARKVMDKTPHIYLAFDGAEAFAREQGLETVDPSYFITPENIERLKQAQEANRVQIDYTQPIQKEVKKDDASVDGDSQIGTVGCVAVDNEGNLASATSTGGLVNKMVGRIGDTPIIGAGTYANHLCAVSATGKGEAIIRGTVARDVAAVMEFKGVSLKEAAAYVVEQVPPGNVGLVAVSSTGEVTMPFNTTGMFRACATEDGHSEIAIWDSPSK >EOY23962 pep chromosome:Theobroma_cacao_20110822:3:29127398:29131377:1 gene:TCM_015688 transcript:EOY23962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFNLSRMNPTSNRNSCTSEIGLLRGQGFNHILCLKFILPLSTTLFYFSLRKKRHYFIIMATWSALPKSILIVVMKKLINLSDKIRFSSVCSSWRSVALQERFHLLRCAPPGFIIPGSPNNSCKARCFLPFTKIKLCNAGDTLVHNNLPLLSLPDKSQCCGSYQGWLVMVNPNLDMAMVDLVSGVRFRLPGVTTLPPVPQALALSKTHVFYSMSSVNKAFISSSPTCSVPSKCFFFVTYTRHHALAYCKLGDTTWSPLKSTDSVGKPFNGFTDAIYHKGQLYALHETEKIFTCELTAPSPEMTEFLASPHRFINKQCSKEVCYLVESGGELLLVYRRKDNTRTRIGYRTRMFEVYRLDFSLKKWIPVVSLGEYALFLGRSQSFSLSELKVGGIKRNHIYFMDDCIHADHYYKKDTGYDMGEFNLESSTIDFYPTSFRLPYQPGFWVTPALQSSSQEP >EOY22537 pep chromosome:Theobroma_cacao_20110822:3:23828331:23829322:1 gene:TCM_014681 transcript:EOY22537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKKSKTMILREVVIFKASFGWTPRQQGAVWFLPHRTPPIRALRRNVSPVRDEAFSGADFFYFVGLKQGQ >EOY23315 pep chromosome:Theobroma_cacao_20110822:3:27005588:27009274:1 gene:TCM_015252 transcript:EOY23315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 90.1 isoform 2 MLLPIRSLQVQCLDFVSFTKCGFYIQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDDEPKKEEEGDIEDVDEEKETKSKKKKIKEVSHEWQLINKQKPIWLRKPEEITKEEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPECLGFVKGVVDSDDLPLNISRETLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYNKFYEAFSKNLKLGVHEDSQNRAKLADLLRYHSTKSGDELTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLEKLKKKGYEVLFMVDAVDEYAVGQMKEYDGKKLVSATKEGLKLEDESEEEKRKKEEKKKSFEDLCKTMKDILGDKVEKVVVSDRIVDSPCCLVTGEYGWSANMERIMKAQALRDSSMSSYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGARIHRMLKLGLSIDEDETGGDDADMPALEECDAEASKMEEVD >EOY23314 pep chromosome:Theobroma_cacao_20110822:3:27005588:27009274:1 gene:TCM_015252 transcript:EOY23314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 90.1 isoform 2 MADVQMADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIRLVPDKVNKTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTSKHNDDEQYIWESQAGGSFTVTRDVNGEPLGRGTKMTLYLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDDEPKKEEEGDIEDVDEEKETKSKKKKIKEVSHEWQLINKQKPIWLRKPEEITKEEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPECLGFVKGVVDSDDLPLNISRETLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYNKFYEAFSKNLKLGVHEDSQNRAKLADLLRYHSTKSGDELTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLEKLKKKGYEVLFMVDAVDEYAVGQMKEYDGKKLVSATKEGLKLEDESEEEKRKKEEKKKSFEDLCKTMKDILGDKVEKVVVSDRIVDSPCCLVTGEYGWSANMERIMKAQALRDSSMSSYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGARIHRMLKLGLSIDEDETGGDDADMPALEECDAEASKMEEVD >EOY23316 pep chromosome:Theobroma_cacao_20110822:3:27006847:27008475:1 gene:TCM_015252 transcript:EOY23316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 90.1 isoform 2 MLLPIRSLQVQCLDFVSFTKCGFYIQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDDEPKKEEEGDIEDVDEEKETKSKKKKIKEVSHEWQLINKQKPIWLRKPEEITKEEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPECLGFVKGVVDSDDLPLNISRETLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYNKFYEAFSKNLKLGVHEDSQNRAKLADLLRYHSTKSGDELTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLEKLKKKGYEVLFMVDAVDEYAVGQMKEYDGKKLVSATKEGLKLEDESEEEKRKKEEKKKSFEDLCKTMKDILGDKVEKVVVSDRIVDSPCCLVTGEYGWSANMERIMKAQALRDSSMSSYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGARIHRMLKLGLSIDEDETGGDDADMPALEECDAEASKMEEVD >EOY24247 pep chromosome:Theobroma_cacao_20110822:3:30259311:30260617:1 gene:TCM_015909 transcript:EOY24247 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 4 MDTSVFFILLFSLVLSSTAAFESSCPPAKFGHGAFDIRFPFRLKTHQPQNCGDRGFDLFCRNNSTMIHFPSYGDLVVKSISYDTRKLNLLDPKNCVHEVFLNLNLSLTPFDYYHVLKNYTYFNCSASLGPSVTQVPCLSGPQHHVYTVESPVPVPDSCRTIKTVAIPFAYSSYLSDSSFGLGLTWDLPERQDSGSQSKTGWFHLAPKEDKQICAPANMNLINFMFPPLIHHFIVS >EOY24245 pep chromosome:Theobroma_cacao_20110822:3:30259428:30261378:1 gene:TCM_015909 transcript:EOY24245 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 4 MDTSVFFILLFSLVLSSTAAFESSCPPAKFGHGAFDIRFPFRLKTHQPQNCGDRGFDLFCRNNSTMIHFPSYGDLVVKSISYDTRKLNLLDPKNCVHEVFLNLNLSLTPFDYYHVLKNYTYFNCSASLGPSVTQVPCLSGPQHHVYTVESPVPVPDSCRTIKTVAIPFAYSSYLSDSSFGLGLTWDLPERQDSGSQSKTGWFHLAPKEDRSAADTWPNCGDLCWLFHFPSLFFWHSWHGSFSCCNADRHEDPLYPDTGFTCRQGKSICKEVTSIKSAKND >EOY24246 pep chromosome:Theobroma_cacao_20110822:3:30259311:30260877:1 gene:TCM_015909 transcript:EOY24246 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 4 MDTSVFFILLFSLVLSSTAAFESSCPPAKFGHGAFDIRFPFRLKTHQPQNCGDRGFDLFCRNNSTMIHFPSYGDLVVKSISYDTRKLNLLDPKNCVHEVFLNLNLSLTPFDYYHVLKNYTYFNCSASLGPSVTQVPCLSGPQHHVYTVESPVPVPDSCRTIKTVAIPFAYSSYLSDSSFGLGLTWDLPERQDSGSQSKTGWFHLAPKEDRSAADTWPNCGDLCWLFHFPSLFFWHSW >EOY24248 pep chromosome:Theobroma_cacao_20110822:3:30259378:30261079:1 gene:TCM_015909 transcript:EOY24248 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 4 MDTSVFFILLFSLVLSSTAAFESSCPPAKFGHGAFDIRFPFRLKTHQPQNCGDRGFDLFCRNNSTMIHFPSYGDLVVKSISYDTRKLNLLDPKNCVHEVFLNLNLSLTPFDYYHVLKNYTYFNCSASLGPSVTQVPCLSGPQHHVYTVESPVPVPDSCRTIKTVAIPFAYSSYLSDSSFGLGLTWDLPERQDSGSQSKTGWFHLAPKEVHIMV >EOY24893 pep chromosome:Theobroma_cacao_20110822:3:32588993:32593027:-1 gene:TCM_016369 transcript:EOY24893 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: loricrin-related (TAIR:AT5G64550.1); Has 3596 Blast hits to 2004 proteins in 150 species: Archae - 0; Bacteria - 54; Metazoa - 1597; Fungi - 38; Plants - 513; Viruses - 9; Other Eukaryotes - 1385 (source: /.../Link). [Source:Projected from Arabidopsis thaliana (AT1G64140) TAIR;Acc:AT1G64140] MDTRFSNLGFAANFSSNAFKILGGSMQVGGTGVAYGTDTVLRLDSPGSSIPYMSTSKGTKRKWSLMDGSVSEQVGSSLSLGLGRSSSSSDSKGSSTTACTTTSSAKEADEESSMDIELDFTLHLGNEKVNNLKKHASPNLKGLELQPKVDLGLSLSTGPSESDITSVHLSSSPIQSGMEMPIAVDGAPNADEGSTSCCWKPRMALPPLQSLPGKQTSIFFKEVPRSIDLSPIVPDLSSSVITTPKSSVTCTSGITRQQQPQQRSSSSKTCQVEGCGKGARGASGRCISHGGGRRCQKPGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSHEGCTRAARGKSGLCIRHGGGKRCQKENCTKSAEGLSGLCISHGGGRRCQFLGCTKGAQGSTMFCKAHGGGKRCTYPDCTKGAEGSTPFCKGHGGGKRCAFQGGGVYASLKGVAKVHKVARISARHMVEGRDAPGVILDQNMAINFLVLVTPLQGARQDSVHSTVAWFRIRGFMGVQHLGLLSKTPKLASPRR >EOY24891 pep chromosome:Theobroma_cacao_20110822:3:32588872:32594094:-1 gene:TCM_016369 transcript:EOY24891 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: loricrin-related (TAIR:AT5G64550.1); Has 3596 Blast hits to 2004 proteins in 150 species: Archae - 0; Bacteria - 54; Metazoa - 1597; Fungi - 38; Plants - 513; Viruses - 9; Other Eukaryotes - 1385 (source: /.../Link). [Source:Projected from Arabidopsis thaliana (AT1G64140) TAIR;Acc:AT1G64140] MDTRFSNLGFAANFSSNAFKILGGSMQVGGTGVAYGTDTVLRLDSPGSSIPYMSTSKGTKRKWSLMDGSVSEQVGSSLSLGLGRSSSSSDSKGSSTTACTTTSSAKEADEESSMDIELDFTLHLGNEKVNNLKKHASPNLKGLELQPKVDLGLSLSTGPSESDITSVHLSSSPIQSGMEMPIAVDGAPNADEGSTSCCWKPRMALPPLQSLPGKQTSIFFKEVPRSIDLSPIVPDLSSSVITTPKSSVTCTSGITRQQQPQQRSSSSKTCQVEGCGKGARGASGRCISHGGGRRCQKPGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSHEGCTRAARGKSGLCIRHGGGKRCQKENCTKSAEGLSGLCISHGGGRRCQFLGCTKGAQGSTMFCKAHGGGKRCTYPDCTKGAEGSTPFCKGHGGGKRCAFQGGGVCTKSVHGGTNFCVAHGGGKRCKFEGCGKSAQGSTDFCKAHGGGKRCSWGHPGSEYGNQLSGPCNSFARGKTGLCALHSGLVQDKRVHGGATLGPIVQDPKVSKSEKMKEIVTAEDMNVDIMKMGSDMEASAGRTCSSLNQYGVPNAHISVGERGFSVFVPEGRVHGGSLMAMLAGGSGPGSGDSEGLACDPSEPSKSFMVTQSWM >EOY24892 pep chromosome:Theobroma_cacao_20110822:3:32588993:32592533:-1 gene:TCM_016369 transcript:EOY24892 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: loricrin-related (TAIR:AT5G64550.1); Has 3596 Blast hits to 2004 proteins in 150 species: Archae - 0; Bacteria - 54; Metazoa - 1597; Fungi - 38; Plants - 513; Viruses - 9; Other Eukaryotes - 1385 (source: /.../Link). [Source:Projected from Arabidopsis thaliana (AT1G64140) TAIR;Acc:AT1G64140] MDTRFSNLGFAANFSSNAFKILGGSMQVGGTGVAYGTDTVLRLDSPGSSIPYMSTSKGTKRKWSLMDGSVSEQVGSSLSLGLGRSSSSSDSKGSSTTACTTTSSAKEADEESSMDIELDFTLHLGNEKVNNLKKHASPNLKGLELQPKVDLGLSLSTGPSESDITSVHLSSSPIQSGMEMPIAVDGAPNADEGSTSCCWKPRMALPPLQSLPGKQTSIFFKEVPRSIDLSPIVPDLSSSVITTPKSSVTCTSGITRQQQPQQRSSSSKTCQVEGCGKGARGASGRCISHGGGRRCQKPGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSHEGCTRAARGKSGLCIRHGGGKRCQKENCTKSAEGLSGLCISHGGGRRCQFLGCTKGAQGSTMFCKAHGGGKRCTYPDCTKGAEGSTPFCKGHGGGKRCAFQGGGVYASLKGVAKVHKVARISARHMVEGRDAPGVILDQNMAINFLVLVTPLQGARQDSVHSTVAWFRIRGFMGVQHLGLLSKTPKLASPRR >EOY22013 pep chromosome:Theobroma_cacao_20110822:3:20374226:20375003:1 gene:TCM_014169 transcript:EOY22013 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-binding cassette sub-family D member 4, putative MGEKSKEKVRPPPRERRLALASPTYAHLQTLNPISRLSPRNNGSTLYDSYELRAVTYQLNKAMHRSTGSSPAHLCYLMSPFYSRRLDRVNTQNSRAPKKVVCSHLTCATINRNASNKRTRDVTRGFAAKLWNKVKQGLLRSIKQRNELSDT >EOY23006 pep chromosome:Theobroma_cacao_20110822:3:25725611:25731650:1 gene:TCM_015020 transcript:EOY23006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 704C1 MQNLSNDNVKPDKITMASVLSACAYLGAIDHGKWIHSYLRRSGVECDLVVGTALIDMYGKCGSVERAYEVFKEMPRRDTLAWTAMISAFALHGYSKEAFDTFVEMEAVGVNPNHVTFVSLLSACVHSGLVEKGRWCFDMMRRVYSIEPQLHHYACMVDVLSRAGLIEEVENLVRSMPMKPDVFVWDALLGGCQIHGNIELGERVAQYLIDLEPMNHAFYIDLCDIYAKAGRFDDVKRIRALMKERGIRKEVAGCRMIEVDEFVLEFSLKGWPELVMDEIVVILNLLKNEIKGEELISKDKRPPVAGLMLHQLVHFNGLFDYQTSLAKKHSTYRLIMPLRSEIYTADPVNIEHILKTNFPNYGRGARAVILRDLFGDGIFAVDGEKWRHQRKLASHEFSTKVLREYSTAVFQDNAAKLVSKVSIMGAARHAMDLQDMFMKSTLDSIFKVGFGVELNALSGSDEFGNQFTKAFDDSNVIVYWRFVDPFWKVKRLLNIGLEAALKRNVKIIDDFIFELVRCKREQMKNEKLVRDREDILSRFLMESEKDPENMTDQYLRDIILNFMIAGKDTSANTLTWFFYMLCKHPLVQKKVVQEVIESTQAEDKICADEFSRLMTEQALDRMQYLHAALTETLRLYPAVPADGKRAAEDDVLPDGFKVKKGDGVTYMAYAMGRMTYIWGEDADEYRPERWLENGIFQPESPFKFTAFQAGPRICLGKEFAYRQMKILAAVLLYFFQFRLVDETKEATYRTMFTLHMAEGLNVYAFPRA >EOY24655 pep chromosome:Theobroma_cacao_20110822:3:31824719:31828073:1 gene:TCM_016200 transcript:EOY24655 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MPALASTRLLLFFGESLPFHRFPSVSRKVWCQLSVRFVSQVNHNNGPLTLASLGFKSEFGETNKNKGNKIERLSVSNEAPKSKVRVIGSNSIKGVKVVGVKKSLEIESAPFAAQSFPELGLPPLLLESLETAGYTVPTDVQSVAVPTVLKGHDVVIQSYTGSGKTLAYLLPILSEVGPLKKKSPNGNGESGKKREIEAVIVAPSRELGMQIVREFEKLLGPADKRVVQQLVGGANRSRQEEALKKNKPAIVVGTPGRIAEISAAGKLHTHGCRFLVLDEVDELLSFNFREDMHRILEHVGRRSGADPRGSLAKWAERQTIMVSATVPYSVIRAARSWGRDPLLVQAKKVMPLESVSPSGPVNLLRPTSSSDSSSNMQTQAASQSLPPALKHYHCVTKLQHKVDTLRRCVHALDSKSVIAFMNHAKQLKDAVFKLEARGMKAAELHGDLGKLVRSTTLKKFKHGEVRVLVTNELSARGLDVAECDLVVNLDLPTDSIHYAHRAGRTGRLGRKGTVVTICEEPEVFVVKKLQKQLGVPIPACEFTEGKLHVIEEEKPLGAAR >EOY20597 pep chromosome:Theobroma_cacao_20110822:3:276505:288948:-1 gene:TCM_011976 transcript:EOY20597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase family / NAGLU family isoform 2 MDTPLPAIFLLFLFSLLSSTHSSTIGVQYVSKLLEIQDHERAPPSLQVAAARAVLHRLLPSHSSAFEFRIISSKEECGGGSCFIIKNHPASHKHGAPEILISGVTGVEVLAGLHWYLKYLCGSHISWEKTGGAQLSSIPKLGSLPRVQDTGILVQRPIPWNYYQNAVTSSYSFAWWDWERWEKEIDWMALQGINLPLAFTGQEAIWQKVYQKFNISKSDLDDFFGGPAFLAWSRMGNLHGWGGPLPQSWFNGQLTLQKKILSRMYELGMTPVLPAFSGNVPAALKNIFPSAKITRLGNWFSVKGNPKWCCTYLLDATDPLFIEIGKAFIKEQLKEYGKTSHIYNCDTFDENTPPMDDPEYITSLGVAIFSGMQSGDVNAMWLMQGWLFSYDPFWRPPQMKALLHSVPLGKLVVLDLFAEVKPIWITSEQCMLHNFAGNIEMYGYLDAIASGPIEALTSENSTMVGIGMSMEGIEQNPIVYDLMSEMAFQHKKVDVEAWIELYIARRYGQSIPSISDAWSILYRTLYNCTDGAYDKNRDVIVAFPDVSPSFISLPRERYHHYGKPTSRRAVLSEKTDAYDQPHLWYSTSEVIRALELFITSGDALSASNTYRYDLVDLTRQALAKYANELFLEIIDAYELKDVNRVTTLSQKFLELVEDMDTLLACHDGFLLGPWLESAKQLAQNKEEEKQFEWNARTQITMWFDNTKEEASLLRDYGNKYWSGVVGDYYGPRATIYFKVLIESLENGEDFKVKKWRGEWIKLTNDWQTSRKVYPVESNGNALTISRWLYNKYLRSESS >EOY20598 pep chromosome:Theobroma_cacao_20110822:3:277123:285208:-1 gene:TCM_011976 transcript:EOY20598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase family / NAGLU family isoform 2 MGNLHGWGGPLPQSWFNGQLTLQKKILSRMYELGMTPVLPAFSGNVPAALKNIFPSAKITRLGNWFSVKGNPKWCCTYLLDATDPLFIEIGKAFIKEQLKEYGKTSHIYNCDTFDENTPPMDDPEYITSLGVAIFSGMQSGDVNAMWLMQGWLFSYDPFWRPPQMKALLHSVPLGKLVVLDLFAEVKPIWITSEQFYGVPYIWCMLHNFAGNIEMYGYLDAIASGPIEALTSENSTMVGIGMSMEGIEQNPIVYDLMSEMAFQHKKVDVEAWIELYIARRYGQSIPSISDAWSILYRTLYNCTDGAYDKNRDVIVAFPDVSPSFISLPRERYHHYGKPTSRRAVLSEKTDAYDQPHLWYSTSEVIRALELFITSGDALSASNTYRYDLVDLTRQALAKYANELFLEIIDAYELKDVNRVTTLSQKFLELVEDMDTLLACHDGFLLGPWLESAKQLAQNKEEEKQFEWNARTQITMWFDNTKEEASLLRDYGNKYWSGVVGDYYGPRATIYFKVLIESLENGEDFKVKKWRGEWIKLTNDWQTSRKVYPVESNGNALTISRWLYNKYLRSESS >EOY20581 pep chromosome:Theobroma_cacao_20110822:3:231543:234170:1 gene:TCM_011967 transcript:EOY20581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MFSLLSKLTPPLSKTALHLPKSFSTTTPQPQNTTSAAAILTGLILTSTNPKSLTQSLLSPSINWTPLLVDTILKQLWNHGPKALQFFHLLLHNHPTYIHSVSSFDHAIDIAARLRHYATVFTLLHRMRSLRLHPTPKTFAIIAERYVAAGKPDKALKIFLSMHEHGCFQDLHSFNTILDVLCKAKRVEKACNFFKVLRGKFKADVISYNIIANGWCLIKRTNMALETLKEMVEKGLTPNLTTYNIMLKGYFRAGQIEEGWKFFLEMKKRKCEIDVVTYTTVVHGLGVAGEIKRARKVFDEMVREGVLPSVATYNALIQVLCKKDCVENAILVFEEMLRKGYVPNSTTYNVVIRGLCHKEQMDRAIEFMDKMRDDECGPNVQTYNIVIRYFCDAGEIEKGLELFQKMSCGDCLPNLDTYNILIGAMFVRKKPDDLVVAGKLLIEMVDRGFMPRRLTFNRVLDGLLLTGNQGFAKGILRLQSRCGRLPRQFKL >EOY20582 pep chromosome:Theobroma_cacao_20110822:3:231543:234175:1 gene:TCM_011967 transcript:EOY20582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MFSLLSKLTPPLSKTALHLPKSFSTTTPQPQNTTSAAAILTGLILTSTNPKSLTQSLLSPSINWTPLLVDTILKQLWNHGPKALQFFHLLLHNHPTYIHSVSSFDHAIDIAARLRHYATVFTLLHRMRSLRLHPTPKTFAIIAERYVAAGKPDKALKIFLSMHEHGCFQDLHSFNTILDVLCKAKRVEKACNFFKVLRGKFKADVISYNIIANGWCLIKRTNMALETLKEMVEKGLTPNLTTYNIMLKGYFRAGQIEEGWKFFLEMKKRKCEIDVVTYTTVVHGLGVAGEIKRARKVFDEMVREGVLPSVATYNALIQVLCKKDCVENAILVFEEMLRKGYVPNSTTYNVVIRGLCHKEQMDRAIEFMDKMRDDECGPNVQTYNIVIRYFCDAGEIEKGLELFQKMSCGDFVN >EOY20584 pep chromosome:Theobroma_cacao_20110822:3:231546:234175:1 gene:TCM_011967 transcript:EOY20584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MFSLLSKLTPPLSKTALHLPKSFSTTTPQPQNTTSAAAILTGLILTSTNPKSLTQSLLSPSINWTPLLVDTILKQLWNHGPKALQFFHLLLHNHPTYIHSVSSFDHAIDIAARLRHYATVFTLLHRMRSLRLHPTPKTFAIIAERYVAAGKPDKALKIFLSMHEHGCFQDLHSFNTILDVLCKAKRVEKACNFFKVLRGKFKADVISYNIIANGWCLIKRTNMALETLKEMVEKGLTPNLTTYNIMLKGYFRAGQIEEGWKFFLEMKKRKCEIDVVTYTTVVHGLGVAGEIKRARKVFDEMVREGVLPSVATYNALIQVLCKKDCVENAILVFEEMLRKGYVPNSTTYNVVIRGLCHKEQMDRAIEFMDKMRDDECGPNVQTYNIVIRYFCDAGEIEKGLELFQKMSCGDCLPNLDTYNILIGAMFVRKKPDDLVVAGKLLIEMVDRGFMPRRLTFNRVLDGLLLTGNQGFAKGILRLQSRCGRLPRQFKL >EOY20583 pep chromosome:Theobroma_cacao_20110822:3:231543:234175:1 gene:TCM_011967 transcript:EOY20583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MFSLLSKLTPPLSKTALHLPKSFSTTTPQPQNTTSAAAILTGLILTSTNPKSLTQSLLSPSINWTPLLVDTILKQLWNHGPKALQFFHLLLHNHPTYIHSVSSFDHAIDIAARLRHYATVFTLLHRMRSLRLHPTPKTFAIIAERYVAAGKPDKALKIFLSMHEHGCFQDLHSFNTILDVLCKAKRVEKACNFFKVLRGKFKADVISYNIIANGWCLIKRTNMALETLKEMVEKGLTPNLTTYNIMLKGYFRAGQIEEGWKFFLEMKKRKCEIDVVTYTTVVHGLGVAGEIKRARKVFDEMVREGVLPSVATYNALIQVLCKKDCVENAILVFEEMLRKGYVPNSTTYNVVIRGLCHKEQMDRAIEFMDKMRDDECGPNVQTYNIVIRYFCDAGEIEKGLELFQKMSCGDFVN >EOY24289 pep chromosome:Theobroma_cacao_20110822:3:30437403:30442922:1 gene:TCM_015936 transcript:EOY24289 gene_biotype:protein_coding transcript_biotype:protein_coding description:DWNN domain isoform 3 MAVYYKFKSARDFDSIAMDGPFISVGTLKEKIFESKHLGRGTDFDLVVTNAQTNEEYLDEAMLIPKNTSVLIRRVPGRPRMPIVAAQEPKVENQIENAQPEKSNFLDADSSVPKYPEDSEWDEFGNDLYSIPETLPVQSSNPLPDAPPTNKADEDSKIKALIDTPALDWQRQGADGFGPGRGFGRGMGGRMGGRGFGLERKTPPQGYVCHRCKVPGHFIQHCPTNGDPNYDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLKPNEAAFEKEIEGLPSTRSVGDLPPELHCPLCKEVMKDAVLTSKCCFKSFCDKCIRDHIISKSMCVCGATNILADDLLPNKTLRDTINRILESGNSSADNAGSAFQVQDMESARCPQPKIPSPTTSAASKGEQKPVSAKEESPSVKDKANEVKVAIPPQQVVEKVKIAKPADASEATLESMSVKEPASQGSAPLAEEEVQQKVVSGEAGKKKKKKKVRLPANDLQWKTPQDLAAENYMMSMGPSAYNPYWAGMQPGMDGFMGPYAGAMPYMGGYGLSPLDVPFGGVMPPDPFGAQSYMFPPIPPQRDLAEFGMGMNVAPPIMSREEFEARQADLRRKRENERRGSSLEIGNSVEK >EOY24288 pep chromosome:Theobroma_cacao_20110822:3:30437582:30445028:1 gene:TCM_015936 transcript:EOY24288 gene_biotype:protein_coding transcript_biotype:protein_coding description:DWNN domain isoform 3 MAVYYKFKSARDFDSIAMDGPFISVGTLKEKIFESKHLGRGTDFDLVVTNAQTNEEYLDEAMLIPKNTSVLIRRVPGRPRMPIVAAQEPKVENQIENAQPEKSNFLDADSSVPKYPEDSEWDEFGNDLYSIPETLPVQSSNPLPDAPPTNKADEDSKIKALIDTPALDWQRQGADGFGPGRGFGRGMGGRMGGRGFGLERKTPPQGYVCHRCKVPGHFIQHCPTNGDPNYDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLKPNEAAFEKEIEGLPSTRSVGDLPPELHCPLCKEVMKDAVLTSKCCFKSFCDKCIRDHIISKSMCVCGATNILADDLLPNKTLRDTINRILESGNSSADNAGSAFQVQDMESARCPQPKIPSPTTSAASKGEQKPVSAKEESPSVKDKANEVKVAIPPQQVVEKVKIAKPADASEATLESMSVKEPASQGSAPLAEEEVQQKVVSGEAGKKKKKKKVRLPANDLQWKTPQDLAAENYMMSMGPSAYNPYWAGMQPGMDGFMGPYAGAMPYMGGYGLSPLDVPFGGVMPPDPFGAQSYMFPPIPPQRDLAEFGMGMNVAPPIMSREEFEARQADLRRKRENERRGEREFSRDREFSREVSSSGDVSSLKSKSKPIPQMSGGDHRHEHLRHRSERTSPERSLRDHDAPPRPLKRKADQHHDRECDHDYDYDDRDRDRERQHHHRSESSKLAPETATKATSMATTAAMDKKQKGSVFSRISFPEGEVSKKRKLSSDAPISSGHHKPSSNGYYDDYKTSSAATKAVSATSGGVRKSTSSNAVDYESSDDDRHFKRKPSRYESSPPPSAEWEEEPRHSRGSRERERSGYSKHR >EOY24290 pep chromosome:Theobroma_cacao_20110822:3:30440273:30445118:1 gene:TCM_015936 transcript:EOY24290 gene_biotype:protein_coding transcript_biotype:protein_coding description:DWNN domain isoform 3 MLMATPDGSYALPSGAVAVLKPNEAAFEKEIEGLPSTRSVGDLPPELHCPLCKEVMKDAVLTSKCCFKSFCDKCIRDHIISKSMCVCGATNILADDLLPNKTLRDTINRILESGNSSADNAGSAFQVQDMESARCPQPKIPSPTTSAASKGEQKPVSAKEESPSVKDKANEVKVAIPPQQVVEKVKIAKPADASEATLESMSVKEPASQGSAPLAEEEVQQKVVSGEAGKKKKKKKVRLPANDLQWKTPQDLAAENYMMSMGPSAYNPYWAGMQPGMDGFMGPYAGAMPYMGGYGLSPLDVPFGGVMPPDPFGAQSYMFPPIPPQRDLAEFGMGMNVAPPIMSREEFEARQADLRRKRENERRGEREFSRDREFSREVSSSGDVSSLKSKSKPIPQMSGGDHRHEHLRHRSERTSPERSLRDHDAPPRPLKRKADQHHDRECDHDYDYDDRDRDRERQHHHRSESSKLAPETATKATSMATTAAMDKKQKGSVFSRISFPEGEVSKKRKLSSDAPISSGHHKPSSNGYYDDYKTSSAATKAVSATSGGVRKSTSSNAVDYESSDDDRHFKRKPSRYESSPPPSAEWEEEPRHSRGSRERERSGYSKHR >EOY23946 pep chromosome:Theobroma_cacao_20110822:3:29087292:29088662:-1 gene:TCM_015683 transcript:EOY23946 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 2 MDTAKWPQEIVVKPIEEIVTNTCPKPAALERKARPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRSASSSSSSSSSSSKKLPDLVTPPSLSQSSTQNPKIQDGQDLNLAFPASQGYRSMSELVQVPNSVENNKSQIPSSSSPTSQLSALELLTGISSRGLNSFLPMSVPDPNTVYTSGFPMQEFKPTLNFSLDGLGSGYGSLQGVQETTGRLLFPFEDLKQVSSTTEIEQNKEQGDSAGYWTGMLGGGSW >EOY23948 pep chromosome:Theobroma_cacao_20110822:3:29086165:29088993:-1 gene:TCM_015683 transcript:EOY23948 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 2 MDTAKWPQEIVVKPIEEIVTNTCPKPAALERKARPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRSASSSSSSSSSSSKKLPDLVTPPSLSQSSTQNPKIQDGQDLNLAFPASQGYRSMSELVQVPNSVENNKSQIPSSSSPTSQLSALELLTGISSRGLNSFLPMSVPDPNTVYTSGFPMQEFKPTLNFSLDGLGSGYGSLQGVQETTGRLLFPFEDLKQVSSTTEIEQNKEQGDSAGYWTGMLGGGS >EOY23947 pep chromosome:Theobroma_cacao_20110822:3:29086336:29088942:-1 gene:TCM_015683 transcript:EOY23947 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 2 MDTAKWPQEIVVKPIEEIVTNTCPKPAALERKARPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRSASSSSSSSSSSSKKLPDLVTPPSLSQSSTQNPKIQDGQDLNLAFPASQGYRSMSELVQVPNSVENNKSQIPSSSSPTSQLSALELLTGISSRGLNSFLPMSVPDPNTVYTSGFPMQEFKPTLNFSLDGLGSGYGSLQGVQETTGRLLFPFEDLKQVSSTTEIEQNKEQGDSAGYWTGMLGGGS >EOY24050 pep chromosome:Theobroma_cacao_20110822:3:29439602:29446494:-1 gene:TCM_015752 transcript:EOY24050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poltergeist like 1 isoform 1 MGSGVSTLCPCFNPVTSRSNRQPDNQPDLIFASSEPLDETLGHSFCYVRSSNRFLSPTPSDRFVSPSHSLRFSPPHDPKTRTGPETLFKAISGASVSANTSTPRTVLQLDNIYDDATENTLGYGVKSSIVSGNGFESTSSFSALPLQPVPRGVAHVPMERGGFFMSGPLERGAVSGPLEANPGPEGRVHFSAPLGGIYVKKKRKKGITGILRNFSEKKRPWVVPVLNFVGRRENSEEAEAAAVVEGKTEGDVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVFHELHGLFWELDEETENEAENKTNAPDLIIATDSSNKTDTETENQQKSVEEVKSAGEGLIGENESSGVVQERTRRVTFESEGTEIRRRRLWEFLAEDDPEDGLDLSGSDRFAFSVDDAISVSKEGTAVSRRWLLLSKLKQGLSKHRDGSGSLLFPWRFGLEEKEKVEEVGNRVEERVQETGRRRKEGPVDHELVLRALSRALEVTELAYLDMTDKVLGTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYELEEVGSSMEGRKREENGSSMEGIVEETLAIGEKAIKPVSEAPPQPMRLTALQLSTDHSTSIEEGI >EOY24048 pep chromosome:Theobroma_cacao_20110822:3:29440030:29446930:-1 gene:TCM_015752 transcript:EOY24048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poltergeist like 1 isoform 1 MGSGVSTLCPCFNPVTSRSNRQPDNQPDLIFASSEPLDETLGHSFCYVRSSNRFLSPTPSDRFVSPSHSLRFSPPHDPKTRTGPETLFKAISGASVSANTSTPRTVLQLDNIYDDATENTLGYGVKSSIVSGNGFESTSSFSALPLQPVPRGVAHVPMERGGFFMSGPLERGAVSGPLEANPGPEGRVHFSAPLGGIYVKKKRKKGITGILRNFSEKKRPWVVPVLNFVGRRENSEEAEAAAVVEGKTEGDVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVFHELHGLFWELDEETENEAENKTNAPDLIIATDSSNKTDTETENQQKSVEEVKSAGEGLIGENESSGVVQERTRRVTFESEGTEIRRRRLWEFLAEDDPEDGLDLSGSDRFAFSVDDAISVSKEGTAVSRRWLLLSKLKQGLSKHRDGSGSLLFPWRFGLEEKEKVEEVGNRVEERVQETGRRRKEGPVDHELVLRALSRALEVTELAYLDMTDKVLGTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYELEEVGSSMEGRKREENGSSMEGIVEETLAIGEKAIKPVSEAPPQPMRLTALQLSTDHSTSIEEEVIRIKNEHPDDSHCIVNDRVKGRLKVTRAFGAGFLKKPKLNDALLEMFRNEYIGTAPYISCSPSICHHRLCPRDQFLILSSDGLYQYLSNQEVVSLVESFMEKFPDGDPAQHLIEELLFRAAKKAGMDFHELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYL >EOY24049 pep chromosome:Theobroma_cacao_20110822:3:29440302:29446494:-1 gene:TCM_015752 transcript:EOY24049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poltergeist like 1 isoform 1 MGSGVSTLCPCFNPVTSRSNRQPDNQPDLIFASSEPLDETLGHSFCYVRSSNRFLSPTPSDRFVSPSHSLRFSPPHDPKTRTGPETLFKAISGASVSANTSTPRTVLQLDNIYDDATENTLGYGVKSSIVSGNGFESTSSFSALPLQPVPRGVAHVPMERGGFFMSGPLERGAVSGPLEANPGPEGRVHFSAPLGGIYVKKKRKKGITGILRNFSEKKRPWVVPVLNFVGRRENSEEAEAAAVVEGKTEGDVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVFHELHGLFWELDEETENEAENKTNAPDLIIATDSSNKTDTETENQQKSVEEVKSAGEGLIGENESSGVVQERTRRVTFESEGTEIRRRRLWEFLAEDDPEDGLDLSGSDRFAFSVDDAISVSKEGTAVSRRWLLLSKLKQGLSKHRDGSGSLLFPWRFGLEEKEKVEEVGNRVEERVQETGRRRKEGPVDHELVLRALSRALEVTELAYLDMTDKVLGTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYELEEVGSSMEGRKREENGSSMEGIVEETLAIGEKAIKPVSEAPPQPMRLTALQLSTDHSTSIEEEVIRIKNEHPDDSHCIVNDRVKGRLKVTRAFGAGFLKKPKLNDALLEMFRNEYIVSEQSGSGFSC >EOY23398 pep chromosome:Theobroma_cacao_20110822:3:27319144:27321980:-1 gene:TCM_015303 transcript:EOY23398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MRTITPPCRHFSTAKQLKDLAPSSPPKPEFPPLILNEHLCGNLLDQYPDVKTLKKLHSRIFKDQYLRFNPSLRIKLMRAFAACGEPTVTRHIFDEITEKNIVFFNVTIRSYVNNRCYHDALLIFKEMSSHGVSPDHYTYPCVLKACSGSDNLRVGLQIHSAVIKVGLDLNLFTGNGLVSMYSKCRCLVEARRVLDEMPIRDVVSWNSMVSGYAQNGCFDNALDVCREMELLRIKADAGTMSSLLPAVTNTCSDNILYVKEMFWKLARKSLVSWNVMIAVFVNNLLSTEAVDLYSQMEACGIEPDSFTIASVLPACGDLSAIFLGRRIHEYVERKKLLPNLALENALIDMYAKCGCLQEAKAVFDQMKFRDIVSWTSLISAYGMSGQGYSAVALFSEMQDSGLTPDSIAFVSVLSACSHAGLLEQGWHFFNLMTEQYKIIPSVEHFACMVDLLGRSGQVEEAYNFIRQMPIEPTERVWGTLLGACWMHSNMYIGLLAADHLFQLAPEPSGYYVLLSNIYAKAGRWEDVTTVRSIMKSKGIKKMAGASNTEINNQVYTFLAGDRSHPQSKAIYEELDVLVGKMKEAGYVPETHSALHDVEEEDKECHLAVHSEKLAIVFAILNTEPGTPIRITKNLRICGDCHIAAKLISQIAEREIIVRDTYRFHHFQNGVCSCGDSW >EOY23399 pep chromosome:Theobroma_cacao_20110822:3:27268277:27321980:-1 gene:TCM_015303 transcript:EOY23399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MRTITPPCRHFSTAKQLKDLAPSSPPKPEFPPLILNEHLCGNLLDQYPDVKTLKKLHSRIFKDQYLRFNPSLRIKLMRAFAACGEPTVTRHIFDEITEKNIVFFNVTIRSYVNNRCYHDALLIFKEMSSHGVSPDHYTYPCVLKACSGSDNLRVGLQIHSAVIKVGLDLNLFTGNGLVSMYSKCRCLVEARRVLDEMPIRDVVSWNSMVSGYAQNGCFDNALDVCREMELLRIKADAGTMSSLLPAVTNTCSDNILYVKEMFWKLARKSLVSWNVMIAVFVNNLLSTEAVDLYSQMEACGIEPDSFTIASVLPACGDLSAIFLGRRIHEYVERKKLLPNLALENALIDMYAKCGCLQEAKAVFDQMKFRDIVSWTSLISAYGMSGQGYSAVALFSEMQDSGLTPDSIAFVSVLSACSHAGLLEQGWHFFNLMTEQYKIIPSVEHFACMVDLLGRSGQVEEAYNFIRQMPIEPTERVWGTLLGACWMHSNMYIGLLAADHLFQLAPEPSGYYVLLSNIYAKAGRWEDVTTVRSIMKSKGIKKMAGASNTEINNQVYTFLAGDRSHPQSKAIYEELDVLVGKMKEAGYVPETHSALHDVEEEDKECHLAVHSEKLAIVFAILNTEPGTPIRITKNLRICGDCHIAAKLISQIAEREIIVRDTYRFHHFQNGVCSCGDSW >EOY23412 pep chromosome:Theobroma_cacao_20110822:3:27323661:27326287:-1 gene:TCM_015315 transcript:EOY23412 gene_biotype:protein_coding transcript_biotype:protein_coding description:LIM domain-containing protein A, putative isoform 3 MAAKVVDPLTDYSKTQRVVLLIDLNPLLHLQDANPYLKTLLSSSKTLLSFPPLSSSLFSFKPFFSSLSPLLSSSKLPSTSTSLSLSFNHPDSTLHSLTEFLTSLPTTINKSSFPLNPSKALNLAASLRQLVHDYAWDPLIPDPVAGTLSNSDSSDLIRSNLVILFSPVYRHLNGLCEFFDVEMEDECLRNLDAFVDKFSGVFDSVNDAFVSRDIHCCWVDVKFQSWENEDFENLGYGFLESGIRSLGWGFCSADSIVLGSALVPFGLIYPIIGVSSNCFRGFDFNDDSGRRMNAQLSLEISDASGKPLECKCCELEFVHFKMCSRNKNGDVLFTPEFSNPQMRGDDQKLRSMFEQYSDGVMTLCVRTVRKYDGCEKFEGHFLNPIIVREYWGNSRKDPKDNLGEFFADRALQILARDMGESLVRKPVPIWQIFLSFLYREGYWALVSLSDGNCDLHTGILKPFTVSSAILCIIDDEFCTNNKLQEYRGEDVAAYVSKRDDEISKSNVDSKHSSGILDSQSHPSPSIKCASKRKKNKKNLHLLHEMTWSTFSHAAAEHLEINLEESYFSRNCNNSKKLKFLKCWMKQIKKCSSCSLKIPESANPDQDATEEMNHRPIELPQDSEQPASYSASAGEGSSRILDEAGNEFCSETLENFFNSLPNKIKQGLESGEVELGAFAERLVSSSIYWLYQKHEMEDNSESQTSVVKANDACASKAAVELTELLLREPKDIAAMHKRRDPFSQASDSRFCFAWRF >EOY23411 pep chromosome:Theobroma_cacao_20110822:3:27322102:27326287:-1 gene:TCM_015315 transcript:EOY23411 gene_biotype:protein_coding transcript_biotype:protein_coding description:LIM domain-containing protein A, putative isoform 3 MAAKVVDPLTDYSKTQRVVLLIDLNPLLHLQDANPYLKTLLSSSKTLLSFPPLSSSLFSFKPFFSSLSPLLSSSKLPSTSTSLSLSFNHPDSTLHSLTEFLTSLPTTINKSSFPLNPSKALNLAASLRQLVHDYAWDPLIPDPVAGTLSNSDSSDLIRSNLVILFSPVYRHLNGLCEFFDVEMEDECLRNLDAFVDKFSGVFDSVNDAFVSRDIHCCWVDVKFQSWENEDFENLGYGFLESGIRSLGWGFCSADSIVLGSALVPFGLIYPIIGVSSNCFRGFDFNDDSGRRMNAQLSLEISDASGKPLECKCCELEFVHFKMCSRNKNGDVLFTPEFSNPQMRGDDQKLRSMFEQYSDGVMTLCVRTVRKYDGCEKFEGHFLNPIIVREYWGNSRKDPKDNLGEFFADRALQILARDMGESLVRKPVPIWQIFLSFLYREGYWALVSLSDGNCDLHTGILKPFTVSSAILCIIDDEFCTNNKLQEYRGEDVAAYVSKRDDEISKSNVDSKHSSGILDSQSHPSPSIKCASKRKKNKKNLHLLHEMTWSTFSHAAAEHLEINLEESYFSRNCNNSKKLKFLKCWMKQIKKCSSCSLKIPESANPDQDATEEMNHRPIELPQDSEQPASYSASAGEGSSRILDEAGNEFCSETLENFFNSLPNKIKQGLESGEVELGAFAERLVSSSIYWLYQKHEMEDNSESQTSVVKANDACASKAAVELTELLLREPKDIAAMHKRRDPFSQASDSRSTGSAFLNIVREYELQILFRMEILQSEVGAIIEEPMRQKFVKQICLLLESIQCHLEGGFFGDWRLDKYVEKIIKSREFGFQSSRRQ >EOY23410 pep chromosome:Theobroma_cacao_20110822:3:27322221:27326159:-1 gene:TCM_015315 transcript:EOY23410 gene_biotype:protein_coding transcript_biotype:protein_coding description:LIM domain-containing protein A, putative isoform 3 MRGDDQKLRSMFEQYSDGVMTLCVRTVRKYDGCEKFEGHFLNPIIVREYWGNSRKDPKDNLGEFFADRALQILARDMGESLVRKPVPIWQIFLSFLYREGYWALVSLSDGNCDLHTGILKPFTVSSAILCIIDDEFCTNNKLQEYRGEDVAAYVSKRDDEISKSNVDSKHSSGILDSQSHPSPSIKCASKRKKNKKNLHLLHEMTWSTFSHAAAEHLEINLEESYFSRNCNNSKKLKFLKCWMKQIKKCSSCSLKIPESANPDQDATEEMNHRPIELPQDSEQPASYSASAGEGSSRILDEAGNEFCSETLENFFNSLPNKIKQGLESGEVELGAFAERLVSSSIYWLYQKHEMEDNSESQTSVVKANDACASKAAVELTELLLREPKDIAAMHKRRDPFSQASDSRSTGSAFLNIVREYELQILFRMEILQSEVGAIIEEPMRQKFVKQICLLLESIQCHLEGGFFGDWRLDKYVEKIIKSRYYQSLRDVVDKIYTKMDLLLFDDEDELPNHLLNSEGSNQSWKEKPEKDVNYRKNEPVSIGDESPQVHKNDNRSPQVIRTKEHAQKLIEAQERRERARRFSSFTSWMPHLQRVWVPKQPKAMKLKSEPLRKLSKRKNCSRANYDMVCETPITEKKRSSPRRIGIDEEEGHRDCGAHSHGSVSKALFQDDIQ >EOY23409 pep chromosome:Theobroma_cacao_20110822:3:27322112:27328038:-1 gene:TCM_015315 transcript:EOY23409 gene_biotype:protein_coding transcript_biotype:protein_coding description:LIM domain-containing protein A, putative isoform 3 MAAKVVDPLTDYSKTQRVVLLIDLNPLLHLQDANPYLKTLLSSSKTLLSFPPLSSSLFSFKPFFSSLSPLLSSSKLPSTSTSLSLSFNHPDSTLHSLTEFLTSLPTTINKSSFPLNPSKALNLAASLRQLVHDYAWDPLIPDPVAGTLSNSDSSDLIRSNLVILFSPVYRHLNGLCEFFDVEMEDECLRNLDAFVDKFSGVFDSVNDAFVSRDIHCCWVDVKFQSWENEDFENLGYGFLESGIRSLGWGFCSADSIVLGSALVPFGLIYPIIGVSSNCFRGFDFNDDSGRRMNAQLSLEISDASGKPLECKCCELEFVHFKMCSRNKNGDVLFTPEFSNPQMRGDDQKLRSMFEQYSDGVMTLCVRTVRKYDGCEKFEGHFLNPIIVREYWGNSRKDPKDNLGEFFADRALQILARDMGESLVRKPVPIWQIFLSFLYREGYWALVSLSDGNCDLHTGILKPFTVSSAILCIIDDEFCTNNKLQEYRGEDVAAYVSKRDDEISKSNVDSKHSSGILDSQSHPSPSIKCASKRKKNKKNLHLLHEMTWSTFSHAAAEHLEINLEESYFSRNCNNSKKLKFLKCWMKQIKKCSSCSLKIPESANPDQDATEEMNHRPIELPQDSEQPASYSASAGEGSSRILDEAGNEFCSETLENFFNSLPNKIKQGLESGEVELGAFAERLVSSSIYWLYQKHEMEDNSESQTSVVKANDACASKAAVELTELLLREPKDIAAMHKRRDPFSQASDSRSTGSAFLNIVREYELQILFRMEILQSEVGAIIEEPMRQKFVKQICLLLESIQCHLEGGFFGDWRLDKYVEKIIKSRYYQSLRDVVDKIYTKMDLLLFDDEDELPNHLLNSEGSNQSWKEKPEKDVNYRKNEPVSIGDESPQVHKNDNRSPQVIRTKEHAQKLIEAQERRERARRFSSFTSWMPHLQRVWVPKQPKAMKLKSEPLRKLSKRKNCSRANYDMVCETPITEKKRSSPRRIGIDEEEGHRDCGAHSHGSVSKALFQDDIQ >EOY22767 pep chromosome:Theobroma_cacao_20110822:3:24712555:24722759:1 gene:TCM_014839 transcript:EOY22767 gene_biotype:protein_coding transcript_biotype:protein_coding description:VEFS-Box of polycomb protein isoform 4 MCREDSRLHLSAEEELAAEESLSIYCKPVELYNILQRRAVRNPSFLQRCLRYKIQAKHKMRIQMTVSVSGIVNGGVLTQSLFPLYILLARLVSDVAVAEYSAVYRFRRACILTSFTGIEGSNQAQANFILPEINKLAIEAKSGSLAILLVSFANGGGCCLWGRIPLESLYMSLEKSPNLSLGQRAEMTLPVEMHSCSLKLNCLNEDKCILIQNSSNSLSMVIISAEEAGAKEKSPYNSYTCSGISSSSLSHIIRLRAGNVIFNYRYYNNKLQRTEVTEDFSCPFCLVKCASFKGLRHHLPASHDLFHFEFWVTEEYQAVNVSVKTDIWRSEIVADGVDPKQQTFFFCSKQLRRRRPKSLVARHVNPVFLEPNLPAGDCELLDKVHGGNILQNARIGEVECVQHVPSSFNVAGVSGAAGQSYSDSERVQSVSGNNLGPPALLQFAKTRKLSMERSDPRNRTLLQKRQFFHSHRAQPMAIDQVMSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQIMHLWNSFVRKQRVLADGHISWACEAFSKLHGRDLVKAPALIWCWRLFMIKLWNHGLLDARTMNNCNIILEQYQKQGSDPIKG >EOY22765 pep chromosome:Theobroma_cacao_20110822:3:24711738:24723305:1 gene:TCM_014839 transcript:EOY22765 gene_biotype:protein_coding transcript_biotype:protein_coding description:VEFS-Box of polycomb protein isoform 4 MCREDSRLHLSAEEELAAEESLSIYCKPVELYNILQRRAVRNPSFLQRCLRYKIQAKHKMRIQMTVSVSGIVNGGVLTQSLFPLYILLARLVSDVAVAEYSAVYRFRRACILTSFTGIEGSNQAQANFILPEINKLAIEAKSGSLAILLVSFANGGGCCLWGRIPLESLYMSLEKSPNLSLGQRAEMTLPVEMHSCSLKLNCLNEDKCILIQNSSNSLSMNQSLQLQVIISAEEAGAKEKSPYNSYTCSGISSSSLSHIIRLRAGNVIFNYRYYNNKLQRTEVTEDFSCPFCLVKCASFKGLRHHLPASHDLFHFEFWVTEEYQAVNVSVKTDIWRSEIVADGVDPKQQTFFFCSKQLRRRRPKSLVARHVNPVFLEPNLPAGDCELLDKVHGGNILQNARIGEVECVQHVPSSFNVAGVSGAAGQSYSDSERVQSVSGNNLGPPALLQFAKTRKLSMERSDPRNRTLLQKRQFFHSHRAQPMAIDQVMSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQIMHLWNSFVRKQRVLADGHISWACEAFSKLHGRDLVKAPALIWCWRLFMIKLWNHGLLDARTMNNCNIILEQYQKQGSDPIKG >EOY22764 pep chromosome:Theobroma_cacao_20110822:3:24711738:24723305:1 gene:TCM_014839 transcript:EOY22764 gene_biotype:protein_coding transcript_biotype:protein_coding description:VEFS-Box of polycomb protein isoform 4 MPGIPLVARETASYSRSTDQMCREDSRLHLSAEEELAAEESLSIYCKPVELYNILQRRAVRNPSFLQRCLRYKIQAKHKMRIQMTVSVSGIVNGGVLTQSLFPLYILLARLVSDVAVAEYSAVYRFRRACILTSFTGIEGSNQAQANFILPEINKLAIEAKSGSLAILLVSFANGGGCCLWGRIPLESLYMSLEKSPNLSLGQRAEMTLPVEMHSCSLKLNCLNEDKCILIQNSSNSLSMNQSLQLQVIISAEEAGAKEKSPYNSYTCSGISSSSLSHIIRLRAGNVIFNYRYYNNKLQRTEVTEDFSCPFCLVKCASFKGLRHHLPASHDLFHFEFWVTEEYQAVNVSVKTDIWRSEIVADGVDPKQQTFFFCSKQLRRRRPKSLVARHVNPVFLEPNLPAGDCELLDKVHGGNILQNARIGEVECVQHVPSSFNVAGVSGAAGQSYSDSERVQSVSGNNLGPPALLQFAKTRKLSMERSDPRNRTLLQKRQFFHSHRAQPMAIDQVMSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQIMHLWNSFVRKQRVLADGHISWACEAFSKLHGRDLVKAPALIWCWRLFMIKLWNHGLLDARTMNNCNIILEQYQKQGSDPIKG >EOY22766 pep chromosome:Theobroma_cacao_20110822:3:24712555:24722759:1 gene:TCM_014839 transcript:EOY22766 gene_biotype:protein_coding transcript_biotype:protein_coding description:VEFS-Box of polycomb protein isoform 4 MCREDSRLHLSAEEELAAEESLSIYCKPVELYNILQRRAVRNPSFLQRCLRYKIQAKHKMRIQMTVSVSGIVNGGVLTQSLFPLYILLARLVSDVAVAEYSAVYRFRRACILTSFTGIEGSNQAQANFILPEINKLAIEAKSGSLAILLVSFANGGGCCLWGRIPLESLYMSLEKSPNLSLGQRAEMTLPVEMHSCSLKLNCLNEDKCILIQNSSNSLSMLQVIISAEEAGAKEKSPYNSYTCSGISSSSLSHIIRLRAGNVIFNYRYYNNKLQRTEVTEDFSCPFCLVKCASFKGLRHHLPASHDLFHFEFWVTEEYQAVNVSVKTDIWRSEIVADGVDPKQQTFFFCSKQLRRRRPKSLVARHVNPVFLEPNLPAGDCELLDKVHGGNILQNARIGEVECVQHVPSSFNVAGVSGAAGQSYSDSERVQSVSGNNLGPPALLQFAKTRKLSMERSDPRNRTLLQKRQFFHSHRAQPMAIDQVMSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQIMHLWNSFVRKQRVLADGHISWACEAFSKLHGRDLVKAPALIWCWRLFMIKLWNHGLLDARTMNNCNIILEQYQKQGSDPIKG >EOY24498 pep chromosome:Theobroma_cacao_20110822:3:31210948:31215411:-1 gene:TCM_016086 transcript:EOY24498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol-4alpha-methyl oxidase 1-1 isoform 2 MLPFETIEEATIFLGRNLTVAETLWFNYSAKKSDYCLYCHNILFLFLIFSVVPVPLVFVEMMRSLGFDKYKIQPKVSLSLSDMFRCYKDVMRMFVLVVGPLQLVSYPSIKMIGIRTGLPLPSAWEILAQLGVYFMIEDYTNYWIHRFLHGKWGYEKIHRVHHEFTAPIGFAAPYAHWAEVLILGIPSFLGPAIVPGHIITFWLWIALRQIEAIETHSGYDFPWTPTRYIPFYGGADYHDYHHYVGGQSQSNFASVFTYCDYIYGTDKGYRYHKKVLRKVSCCTSFVVYPLLLDKASFLDKFGLASG >EOY24499 pep chromosome:Theobroma_cacao_20110822:3:31210748:31215411:-1 gene:TCM_016086 transcript:EOY24499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol-4alpha-methyl oxidase 1-1 isoform 2 MLPFETIEEATIFLGRNLTVAETLWFNYSAKKSDYCLYCHNILFLFLIFSVVPVPLVFVEMMRSLGFDKYKIQPKVSLSLSDMFRCYKDVMRMFVLVVGPLQLVSYPSIKMIGIRTGLPLPSAWEILAQLGVYFMIEDYTNYWIHRFLHGKWGYEKIHRVHHEFTAPIGFAAPYAHWAEVLILGIPSFLGPAIVPGHIITFWLWIALRQIEAIETHSGYDFPWTPTRYIPFYGGADYHDYHHYVGGQSQSNFASVFTYCDYIYGTDKVMKQCIILLFFF >EOY24497 pep chromosome:Theobroma_cacao_20110822:3:31210339:31215411:-1 gene:TCM_016086 transcript:EOY24497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol-4alpha-methyl oxidase 1-1 isoform 2 MLPFETIEEATIFLGRNLTVAETLWFNYSAKKSDYCLYCHNILFLFLIFSVVPVPLVFVEMMRSLGFDKYKIQPKVSLSLSDMFRCYKDVMRMFVLVVGPLQLVSYPSIKMIGIRTGLPLPSAWEILAQLGVYFMIEDYTNYWIHRFLHGKWGYEKIHRVHHEFTAPIGFAAPYAHWAEVLILGIPSFLGPAIVPGHIITFWLWIALRQIEAIETHSGYDFPWTPTRYIPFYGGADYHDYHHYVGGQSQSNFASVFTYCDYIYGTDKGYRYHKKVLRKVSCCTSFVVYPLLLDKASFLDKFGLAIERGI >EOY24500 pep chromosome:Theobroma_cacao_20110822:3:31213215:31215411:-1 gene:TCM_016086 transcript:EOY24500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol-4alpha-methyl oxidase 1-1 isoform 2 MLPFETIEEATIFLGRNLTVAETLWFNYSAKKSDYCLYCHNILFLFLIFSVVPVPLVFVEMMRSLGFDKYKIQPKVSLSLSDMFRCYKDVMRMFVLVVGPLQLVSYPSIKMIGIRTGLPLPSAWEILAQLGVYFMIEDYTNYWIHRFLHGKWGYEKIHRVHHEFTAPIGFAAPYAHWAEVLILGIPSFLGPAIVPGHIITFWLWIALRQIEAIETHSGYACYSYTFLYYFIFPICSLPFPLVLVTN >EOY24496 pep chromosome:Theobroma_cacao_20110822:3:31210309:31215284:-1 gene:TCM_016086 transcript:EOY24496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol-4alpha-methyl oxidase 1-1 isoform 2 MLPFETIEEATIFLGRNLTVAETLWFNYSAKKSDYCLYCHNILFLFLIFSVVPVPLVFVEMMRSLGFDKYKIQPKVSLSLSDMFRCYKDVMRMFVLVVGPLQLVSYPSIKMIGIRTGLPLPSAWEILAQLGVYFMIEDYTNYWIHRFLHGKWGYEKIHRVHHEFTAPIGFAAPYAHWAEVLILGIPSFLGPAIVPGHIITFWLWIALRQIEAIETHSGYDFPWTPTRYIPFYGGADYHDYHHYVGGQSQSNFASVFTYCDYIYGTDKGYRYHKKVLRKLKEESRTNGAQNGGSYYVPTQDLKSE >EOY24250 pep chromosome:Theobroma_cacao_20110822:3:30291509:30295567:1 gene:TCM_015913 transcript:EOY24250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein MDIIKHFLHRHPLSFIDKGNEELFCSRCLKHLSGSTYGCSRCKFFIHDHCAELPPKIEHFLHPCPLVLKVLPLPVVYNCNLCIEPGAGSSYRCEECKFDMHVECALKPTFKSEGEELIQHFTHWHPLQLVLEKNKEEDQVCCLICQKLCSGSATAYGCKQCEFFLHNSCMTSIPRKINHFFHPCPLILLTSPFIYTCGGCDERGSFLTFSCGRCCFQLDVKCALLPTVKSEDAKQIQHFSHQHPLALRESINGSEVRCRACEEICSGPTYTFGCSRCSFFFHRSCAVELPQQIHHPFHSQHPLTLSSFPLKNFQYYCAACGLDVRSLLAYRCDKCEFTLHKNCAKLTPSFKYGPHPHLLTLIDKTDGIYCDICRKKANNFCLRCVACRFSIHLYCHLSVPKTINHKCHIHPLTLTESPFEFELNSPKDAYNMDDEFYCDVCEEKRDKYNQVYYCVTCKFIAETRCVISVLLSFLTNSDEQSTMSSRIISTDEENSEIDSVLAKLDEEIAKLREKAKPFKLEIESLKRLIQKLQARLQELEAKLEPITWGLDKLEEDRFLYMYELKHKMKGKHSIEASP >EOY23047 pep chromosome:Theobroma_cacao_20110822:3:25948395:25950349:-1 gene:TCM_015053 transcript:EOY23047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase, putative MATLALNKLFLPIVFLVLIIEVSQSKAALDARYYDQTCPEAEKIILDTVRNASMHDPKVPARILRMFFHDCFVRGCDASVLLDLTPQNKAEKDGPPNISLQAFYVIDDAKAKLERACPQTVSCADIITIAARDVVAMEGKMEESPVQPKQLTYQRQLSTFRNSIQSFAKRGLGVKDLVALSGGHTLGFSHCSSFQARLHNFSSVHDIDPSLNSEFAETLRKKCPKPNKDRNAGQFLDSTATRWIVESFAKDQTLFFKEFAASMVKLGNVRVIENGEVRLKCRVVIKMRINMLASIILLEEVMPHPQT >EOY22140 pep chromosome:Theobroma_cacao_20110822:3:21839679:21841332:1 gene:TCM_014352 transcript:EOY22140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein, putative MARSHSRSPKLSLSRSVARVRVHSPSLRRKPASNCIENDQELEFLGKGGADNLINSETGTEFGSGNKVMVVVDASVEAKGALEWALSHTIQSQDTIVLLHVAKPRKRESKRNRNPRVYELLHSMKNMCQMKRPGVLVEVATLEGKEKGPIIVGAAKQQQASLLVLGQRKKSMIWRLMRRWAGKRGPAGVGDYCIQNASCMTIAVRKKSNELGGYLITTKRHKNFWLLA >EOY22578 pep chromosome:Theobroma_cacao_20110822:3:24010832:24013709:-1 gene:TCM_014709 transcript:EOY22578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Medicago truncatula MTN3, putative MGVFATDNPWVFISGLLGNISSFVVFLAPLPTFIRVCKKKSTEGFQSVPYVVALFSAMLWMYYAFVKSGAFLLVTINSFGCVVETAYIAIYIAYAPKQARMFTLRILLLMNFGGFCSILLVSRFLAKGSNRVEVLGWICVAFATGVFAAPLSIIRQVIRTKSVEFMPFYLSFFLTLSAIMWLLYGIFLKDLYIAIPNVLGFIFGLLQMVLYAIYRNSKTVTKEVEAPEHSIDITKLSTVMNSEVQEMSSEPDVAHDKSIGSCKVQNEHDQREKILEFANPNLLLGKCEA >EOY23773 pep chromosome:Theobroma_cacao_20110822:3:28552464:28570039:-1 gene:TCM_015566 transcript:EOY23773 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 2 MATSSSSLAASAAGARSWRTAFLTLRDETLSNPPSIHQLVQSLLFSHSHCSFIYAASDLPAHEVTSDLLFLIQLVANASQFQQDWIPTFSNTCHLIHDVSRRVSLDMNSSLWTLLLDSFTKMMDFFLAKKPSNAALYKPVLECLETLRYLVSANQRKCSLSDDIQLVNFLLHIIARSHVDLISLYRPSGNQKSAIEMGKKSPRYGSLWEVQTTTFTLLGEVYSRTGSSFPVDTWQSTIQILRKMMDSLASKNLVVEDIVMSRFYVSLLHCLHLVLMDPKGSISEHVSGFVASLRMFFVYGLTGGPQLMCAAVGSKENEPGSLSLKLTSEEPKKTNNTPYRPPHLRKKEGFNMRQAKAQDAQSSSDHDSSMVDITSSDSDYSDNDGSLNDINSSRCSKVRVSAIVCVQDLCQADPKSFTAQWTMLLPTNDVLQPRKFEATLMASLLYDPYLKARMASASALAVMMDGPATVFLQVAEYKESTKCESFMALSSSLGQILMQLHTGILYLIQHETNSRLLVLVFKILMLLISCTPYSRMPVELLPKVIMSLQARIEAGFPFKSDQTGLQVAAISCLTAALSVSPLIQVKEMILEEVSTGSVEAEKKSGVLFTLLQHSERVSNPTICFEALQALRALSHNYPDLMLACWGQISAIVHKFLREASAEIPTKTWKEQAGNTVLFVGEKIVTSAIKVLDECLRAISGFKGTEDLSDEKFLDTPFTSDCIRIKKISSAPSYAPQSVEDTNPSGIEQWAETIENHMPLVLWHASAMVRTASVTCFAGITSSVFFTLPKGNQEFVVSSLISAAMHDEVPSVRSAACRAIGVVSCFQKISESAEILGKFIHAVESNTRDPVVSVRIPASWALANICDCFRHFDSDTNSQLVELLTECALHLTKDGDKIKSNAVRALGNLARFVRYSSSSCVHNKPVVNTGFSSTCNNVIMLSARSDPKALDGDDPASLKDLHRLESMVQAFISCVTTGNVKVQWNVCHALSNLFLNKTIQLQDMDWAPSVFGILLLLLRDSSNFKIRIQAAAALAVPASALDYGKSFPDIIQGLEHVVENLCSDQISVPSSFKYRVALEKQLTSTMLHVLSLASATDHQPLKDFLVKKAFFLEDWFKMLCSSLRKTGAQPEIENDSIGNQKKAMISKALQALIEVYDSKNQHTISQKFKKLFLRN >EOY23774 pep chromosome:Theobroma_cacao_20110822:3:28554837:28568538:-1 gene:TCM_015566 transcript:EOY23774 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 2 MATSSSSLAASAAGARSWRTAFLTLRDETLSNPPSIHQLVQSLLFSHSHCSFIYAASDLPAHEVTSDLLFLIQLVANASQFQQDWIPTFSNTCHLIHDVSRRVSLDMNSSLWTLLLDSFTKMMDFFLAKKPSNAALYKPVLECLETLRYLVSANQRKCSLSDDIQLVNFLLHIIARSHVDLISLYRPSGNQKSAIEMGKKSPRYGSLWEVQTTTFTLLGEVYSRTGSSFPVDTWQSTIQILRKMMDSLASKNLVVEDIVMSRFYVSLLHCLHLVLMDPKGSISEHVSGFVASLRMFFVYGLTGGPQLMCAAVGSKENEPGSLSLKLTSEEPKKTNNTPYRPPHLRKKEGFNMRQAKAQDAQSSSDHDSSMVDITSSDSDYSDNDGSLNDINSSRCSKVRVSAIVCVQDLCQADPKSFTAQWTMLLPTNDVLQPRKFEATLMASLLYDPYLKARMASASALAVMMDGPATVFLQVAEYKESTKCESFMALSSSLGQILMQLHTGILYLIQHETNSRLLVLVFKILMLLISCTPYSRMPVELLPKVIMSLQARIEAGFPFKSDQTGLQVAAISCLTAALSVSPLIQVKEMILEEVSTGSVEAEKKSGVLFTLLQHSERVSNPTICFEALQALRALSHNYPDLMLACWGQISAIVHKFLREASAEIPTKTWKEQAGNTVLFVGEKIVTSAIKVLDECLRAISGFKGTEDLSDEKFLDTPFTSDCIRIKKISSAPSYAPQSVEDTNPSGIEQWAETIENHMPLVLWHASAMVRTASVTCFAGITSSVFFTLPKGNQEFVVSSLISAAMHDEVPSVRSAACRAIGVVSCFQKISERDPWQVYPCC >EOY22899 pep chromosome:Theobroma_cacao_20110822:3:25217649:25219085:1 gene:TCM_014933 transcript:EOY22899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKKSFTILQKKLKELESELNQVFALPPETPHHKSFSQDIQQRFLFLKNLLSAEIASRPGKPYLQHIAQRFLELESAFQDWDSFQASAPDHIEKGSTCSCTDSCLNDDGEAAEGSLELSLADMEEVAEPSAELSLAGWDLEGSDEERMVTVPVLENLETARVSEAESERRNEEERVWVEAERSMRKEETNRVWFGKYLRTLASGVVLGMVMMGCLMVRLSGCFHYASDYTFRLSPT >EOY20865 pep chromosome:Theobroma_cacao_20110822:3:1571680:1576214:-1 gene:TCM_012210 transcript:EOY20865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein MAPSITKNALDAMGLVYDGQLSTSITLEGSNFLANGEPILTEVPANIVATPSPFCSADKAKSTVGCFVGFDVEEPKSRHVVPIGKLSGIRFMSIFRFKVWWTTHWVGSSGKDVENDTQMMMLDKKESGRPYVLLLPLLEGPFRASLQPGVGDQNVDICVESGSTQVCGSSFRSCLYMHVGDDPYSLVKEAMKVARVHLGTFRLLDEKAPPGIVDKFGWCTWDAFYLKVHPKGVWEGVKGLVEGGCPPGMVLIDDGWQSICHDDDPISDQEGINRTSAGEQMPCRLIKFEENYKFREYESTKSPIKKGMGAFIKDIKEEFKTIEHVYVWHALCGYWGGIRPNVPGMPPAEVITPKLSQGLLMTMEDLAVDKIVNNGVGLVPPELVHKMYEGLHSYLESLGIDGVKVDVIHLLEMLAEEFGGRVDLAKAYYKALTASVRRHFKGNGVIASMQHCNDFFFLGTETISLGRVGDDFWCTDPLGDPNGTYWLQGCHMVHCAYNSLWMGNFIQPDWDMFQSTHQCAEFHAASRAMSGGPIYVSDSVGQHNFKVLKSLVLPDGSILRCQHYALPTRDCLFEDPLHDGKTMLKIWNLNKYTGVLGLFNCQGGGWSRESRRNESASQFSSMVGCFASPKDIEWSHGKNPISVKSVSIFAVYMLQKRKLKLMKQSDKVEVSLEPFDYELLTVSPVTVLPRKRIQFAPIGLVNMLNSGGAIQSMVFDDGEGLVRIGVKGSGEMRVFASDKPSTCKIDGVPVKFDYDEQMVTIHVPWPNSSSLSNVEYLF >EOY22093 pep chromosome:Theobroma_cacao_20110822:3:21332343:21333235:-1 gene:TCM_014287 transcript:EOY22093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMQLLLSYSQRKRPRQTLEEEEKRKAKSMEKCVLPASIIQKVRSSTESKLHLQLSVRNANPHVHARKHSLCSRRYGRRPTRVTLSACNP >EOY24644 pep chromosome:Theobroma_cacao_20110822:3:31780791:31783944:-1 gene:TCM_016193 transcript:EOY24644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C / DNA polymerase III gamma-tau subunit isoform 2 MASSSSSSSGGYDVPWVEKYRPNKVSDIVGNEDAVSRLQVIAHDGNMPNLILSGPPGTGKTTSILALAHELLGTNYKEAVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKIVILDEADSMTSGAQQALRRTMEIYSNSTRFALACNTSSKIIEPIQSRCALVRFSRLSDQEILGRLMVVVDAEKVPYVPEGLEAIIFTADGDMRQALNNLQATFSGFRFVNQENVFKVCDQPHPLHVKNMVRYVLEGRFDDACLGLKQLYDLGYSPTDIITTLFRIIKNYDMAEYLKLEFMKETGFAHMRICDGVGSYLQLCGLLAKFSLVRETAKAA >EOY24642 pep chromosome:Theobroma_cacao_20110822:3:31781449:31783844:-1 gene:TCM_016193 transcript:EOY24642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C / DNA polymerase III gamma-tau subunit isoform 2 MASSSSSSSGGYDVPWVEKYRPNKVSDIVGNEDAVSRLQVIAHDGNMPNLILSGPPGTGKTTSILALAHELLGTNYKEAVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKIVILDEADSMTSGAQQALRRTMEIYSNSTRFALACNTSSKIIEPIQSRCALVRFSRLSDQEILGRLMVVVDAEKVPYVPEGLEAIIFTADGDMRQALNNLQATFSGFRFVNQENVFKVCDQPHPLHVKNMVRYVLEGRFDDACLGLKQLYDLGYSPTDIITTLFRIIKNYDMAEYLKLEFMKETGFAHMRICDGVGSYLQLCGLLAKFSLVRETAKAA >EOY24643 pep chromosome:Theobroma_cacao_20110822:3:31781061:31783945:-1 gene:TCM_016193 transcript:EOY24643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C / DNA polymerase III gamma-tau subunit isoform 2 MASSSSSSSGGYDVPWVEKYRPNKVSDIVGNEDAVSRLQVIAHDGNMPNLILSGPPGTGKTTSILALAHELLGTNYKEAVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKIVILDEADSMTSGAQQALRRTMEIYSNSTRFALACNTSSKIIEPIQSRCALVRFSRLSDQEILGRLMVVVDAEKVPYVPEGLEAIIFTADGDMRQALNNLQATFSGFRFVNQENVFKVCDQPHPLHVKNMVRYVLEGRFDDACLGLKQLYDLGYSPTDIITTLFRIIKNYDMAEYLKLEFMKETGFAHMRICDGVGSYLQLCGLLAKFLTKTICSDCSWSAEVVAFIWELVVQFRSLSPSNLTSPPWLLYFKVMNEVGESFDCFTLDATILILNICLQK >EOY20920 pep chromosome:Theobroma_cacao_20110822:3:1744378:1747852:-1 gene:TCM_012244 transcript:EOY20920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin binding-like protein MIFRVSILVVASVAPFAVIRKRWKKQNLMLPAKDCSSSQQVDMAEDNIPEKDMEEEEVKVVNSKNVEKVLKPNLTQKRNCEVLELDRQWKLGNREIEQSQNLIKELEKRRVTLEGKPLELYSLKEKLSYIGCLQGNLDDKTAEVDKLNFRIFALKAEIKDLQEIIRQGNLAMKQLEKAKKMTEQMQMENGNASQIKGQIMVLEEQLSGFTTNETSAPNDMVKKKLEAVKTIEFEVVKMKRRNKELELEKRELSVKLFAAYAKISALSDMTQSKTIAKISELRHANGDLSEQVERLQKSRFDMVEELVYQRWLNACLRAEIQGHQKSSRKTLQKELPKPSDHKPRKITTQDPDINSTSSYTSSTESEDTDSSTIESSSSGHISISKNSGIGHKSSWRSSMDGSSVVSSLDKSSTGSPLERNGIIRRLSNSMVPSKTSMPSTKLDVETVPSAKQVLTKFVEGVLDDKEIATLVSGHRTRMKAGLQSQSAMAGEKHEFSSSEVSLAKPDQSSDSKRRYVDNDDTAAQEVSRIANARPKGLHSAFPMSFLIKIRWILTSCLLYLHFSFFYLCYLSISYIFLPGYSNHNIFMKHGTANNYIHSFT >EOY22840 pep chromosome:Theobroma_cacao_20110822:3:24986414:24991902:1 gene:TCM_014896 transcript:EOY22840 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MDQDGPSSGRRKVRFAPKAPQSSRRLKTTVSKSEVNDEDGEAAQAQYLLGRFNENQTRQRPKVEKKSSAQISFGPGAPSSNLLRAYGSQRGGTSGKSTDSRQRSPDDNDGQIIGSFPSASKEDRTDICSSDAIEASAPKIKREYREPWVKVCSLFVCLRPSAHLCAIPLILLSSMIALQDYHHTYYPITLPLRRPYSGDPELLDQAEFVEAARKEYDEKTINPASDLGLLEEGEKGKMFFFQLPANLPVIKRLASTKGKEKAENLGSSERFGALKKGCQLEELPGGFMGKMLVYKSGAVKLKLGETLYDVSPGSDCIFAQDVAAVNTTEKHCCVIGELGKRVVVTPDISSVLNSVIDLG >EOY22841 pep chromosome:Theobroma_cacao_20110822:3:24986424:24991712:1 gene:TCM_014896 transcript:EOY22841 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MDQDGPSSGRRKVRFAPKAPQSSRRLKTTVSKSEVNDEDGEAAQAQYLLGRFNENQTRQRPKVEKKSSAQISFGPGAPSSNLLRAYGSQRGGTSGKSTDSRQRSPDDNDGQIIGSFPSASKEDRTDICSSDAIEASAPKIKREYREPWDYHHTYYPITLPLRRPYSGDPELLDQAEFVEAARKEYDEKTINPASDLGLLEEGEKGKMFFFQLPANLPVIKRLASTKGKEKAENLGSSERFGALKKGCQLEELPGGFMGKMLVYKSGAVKLKLGETLYDVSPGSDCIFAQDVAAVNTTEKHCCVIGELGKRVVVTPDISSVLNSVIDLG >EOY22527 pep chromosome:Theobroma_cacao_20110822:3:23785784:23786854:-1 gene:TCM_014673 transcript:EOY22527 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY-type DNA binding protein 1 MENYHILFPDGSSASPSLLTMTNHRSYLQGNDTITATKVGNQCEDLDVKDVSSESEVKVGKKGDNKGMRKHKYAFQTRSQVDILDDGYRWRKYGQKTVKNSKFPRSYYRCTHKECNVKKQVQRSSKDDEIVVTTYEGIHTHPVEKFTENFEQILRQMQTYNPL >EOY23305 pep chromosome:Theobroma_cacao_20110822:3:26968185:26973389:-1 gene:TCM_015246 transcript:EOY23305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSVADSCCFRGNFHDEVKTHFHLLKSKSPLWRQNILFQKCIFAWEEKRRISLLATRATKREQECKLNLKYQKQKEWIHFVGVGGSGLSALALLAVKHGFEVSGSDLTWTSFMDGLQQAGVRLHVGHSVSNIQSKNGSRFPNAVVVSSAIPQDNVEILHAKSIGVPVYKRDFWLAKLTEHHKLIAVSGSHGKSTTAGLLAYVLKSMGDDLTAVVGAHVPQFPGGNIIWGDGQHFVLEADEYDGCFLGLSPYIAVITNVDWEHVDIFQDEEAVKTLYRRLLKKIRMGGHLIICGDSLGAYSLLDYTREGTKPEHSIGTMSIPSSDIDGYDVTTYGISSTNEWHASSICPNSQGGSDYVLCHRGQPLAEISLQIPGVHNVLNSVAVIATVMALLHDQRPTRELISSLKLHLSNFIGLSRRFELIGEIHGCHIYDDYAHHPTEVYVVLQAARQRFPFKRLLVVFQPHTYSRLAVFKDDFAVALSYADYIVVTAVQVPHPL >EOY23304 pep chromosome:Theobroma_cacao_20110822:3:26968062:26977117:-1 gene:TCM_015246 transcript:EOY23304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MIFKKNVGSLSPLGLDFGAQGKNNQITSLSRFPGKTNTVLHRIETPAMSVADSCCFRGNFHDEVKTHFHLLKSKSPLWRQNILFQKCIFAWEEKRRISLLATRATKREQECKLNLKYQKQKEWIHFVGVGGSGLSALALLAVKHGFEVSGSDLTWTSFMDGLQQAGVRLHVGHSVSNIQSKNGSRFPNAVVVSSAIPQDNVEILHAKSIGVPVYKRDFWLAKLTEHHKLIAVSGSHGKSTTAGLLAYVLKSMGDDLTAVVGAHVPQFPGGNIIWGDGQHFVLEADEYDGCFLGLSPYIAVITNVDWEHVDIFQDEEAVKTLYRRLLKKIRMGGHLIICGDSLGAYSLLDYTREGTKPEHSIGTMSIPSSDIDGYDVTTYGISSTNEWHASSICPNSQGGSDYVLCHRGQPLAEISLQIPGVHNVLNSVAVIATVMALLHDQRPTRELISSLKLHLSNFIGLSRRFELIGEIHGCHIYDDYAHHPTEVYVVLQAARQRFPFKRLLVVFQPHTYSRLAVFKDDFAVALSYADYIVVTAVYSVRESGAWNVSGKDLAASIIGPPSEYIPALEDVVDKLALEISKDPLREIVILTLGAGDINTVGPKLLHELRKRLCKAKSKH >EOY25291 pep chromosome:Theobroma_cacao_20110822:3:33833803:33841143:-1 gene:TCM_016653 transcript:EOY25291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhanced disease resistance 2 isoform 2 VYNKKEKYHRITMAAFNIQEALIWKEKIESIIEHQESQIANGNKYVSFEYKSGMDNGRTASSSDHESQFSAQEDEDDAHPNLLRRTTIGNGLPDSVLDWTREFDSELSNQNANNQAFSRKHWRLLQCQNGLRIFEELLEVDYLPRSCSRAMKAVGVVEATCEEIFGLVMSMDGTRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPMIVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGPQPGYVRAHVESGGFNISPLKSRNEKPRTQVQHLMQIDLKGWGVGYISSFQQHCLLQMLNSVAGLREWFAQTDERGAPPRIPVMVNMASSSVSSKKTQKIDDLSVQSASSLDQITASRNSVMAYATKSDNDVKRTALEEEPIEKIDLSCFSGNLRRDDRDNSRDCWRISDGNNFRVRSKHFCYDKTKIPAGKHLMDLVAVDWFKDTKRIDHVARRQGCAAQVASEKGLFSLVFNFQVPGSTHYSMVFYFVTRELVPGSLLHRFVDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEVDIDIGSSTVANGVLGLVIGVITTLVVDMAFLVQANTTDELPERLIGAV >EOY25290 pep chromosome:Theobroma_cacao_20110822:3:33833452:33843426:-1 gene:TCM_016653 transcript:EOY25290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhanced disease resistance 2 isoform 2 MSKVVYEGWMVRYGRRKIGRSFIHMRYFVLETRLLAYYKRKPQDNQVPIKTLLIDGNCRVEDRGLKTHHGHMVYVLSVYNKKEKYHRITMAAFNIQEALIWKEKIESIIEHQESQIANGNKYVSFEYKSGMDNGRTASSSDHESQFSAQEDEDDAHPNLLRRTTIGNGLPDSVLDWTREFDSELSNQNANNQAFSRKHWRLLQCQNGLRIFEELLEVDYLPRSCSRAMKAVGVVEATCEEIFGLVMSMDGTRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPMIVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGPQPGYVRAHVESGGFNISPLKSRNEKPRTQVQHLMQIDLKGWGVGYISSFQQHCLLQMLNSVAGLREWFAQTDERGAPPRIPVMVNMASSSVSSKKTQKIDDLSVQSASSLDQITASRNSVMIDEYSDEDEEQMPDAEHEAYATKSDNDVKRTALEEEPIEKIDLSCFSGNLRRDDRDNSRDCWRISDGNNFRVRSKHFCYDKTKIPAGKHLMDLVAVDWFKDTKRIDHVARRQGCAAQVASEKGLFSLVFNFQVPGSTHYSMVFYFVTRELVPGSLLHRFVDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEVDIDIGSSTVANGVLGLVIGVITTLVVDMAFLVQANTTDELPERLIGAVRVSHIELSSAIVPKLDADPF >EOY24681 pep chromosome:Theobroma_cacao_20110822:3:31906010:31906572:1 gene:TCM_016220 transcript:EOY24681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide binding, putative MGPTPMATAYYSGIIQMDVFIPEEYVIRRRIEKRAAAIAGKRPNKVPEATKRTDKEKKSLPPPFRLDSNDFLVSGGIGENLDFGCFSA >EOY22507 pep chromosome:Theobroma_cacao_20110822:3:23744662:23748820:1 gene:TCM_014661 transcript:EOY22507 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein isoform 1 MGRGKVEMKRIENPTSRQVTFSKRRNGLLKKAFELSILCDAEVALLIFSSTGKAYQFASHDMDRSIGKYRSEVGLPDSSNPQFRTMEFWRSEIEELKRSINTLEARLKHLSGEDLLSLGMRDLKQLERQLKIGVERVRSRKVQTLIHSHRRIVSDHATLLKRREDMVRQRHTPCRRPVEILHKELHEDNTRLQKRLKELHDGNLSSTIVGENVCSMFQQREKLLHITASNRKEGYVSTIGISNQNRLRKTSKQVKELTLDQSPLSRLKRFRTPDI >EOY22508 pep chromosome:Theobroma_cacao_20110822:3:23744687:23747963:1 gene:TCM_014661 transcript:EOY22508 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein isoform 1 MGRGKVEMKRIENPTSRQVTFSKRRNGLLKKAFELSILCDAEVALLIFSSTGKAYQFASHDMDRSIGKYRSEVGLPDSSNPQFRTMEFWRSEIEELKRSINTLEARLKHLSGEDLLSLGMRDLKQLERQLKIGVERVRSRKRRIVSDHATLLKRRHKELHEDNTRLQKRLKELHDGNLSSTIVGENVCSMFQQRILQDENLLKEAEKVSH >EOY22697 pep chromosome:Theobroma_cacao_20110822:3:24496023:24506347:1 gene:TCM_014793 transcript:EOY22697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain-containing protein / FHA domain-containing protein, putative isoform 1 MKDSVFSFPNKNKKRTEENPHFPRKKPKTLNKSAFIELKSFDLPLLSTTTGSPIYLLRLESDRPYIIGRANSSCDFTFDSRFVSKQHCQILYDSVDRKIFILDGTILLDDLSFFVSEFRRRFLLSDDELEDKEKEKETEILGFSRVRVSLNGVYVNGVRVKRGMVRELFAGDEVLLVCGNESECSLRVRIGFMIQGIVFKEEVVSDLDEVPGVRPLLLGAMASSRHSQGTLSSGKRNKRVFAISSNEMTIGCDLSGFKSRDVMGRAKFILSHCCSILHSDDPISCIRQCDISDSGRNRFLGLTLSNRMEFAIGREEEVGSIFPLCRQEPQSSDKKDSPVQPNSFKIMTTSEANHSLIGDLLPSDLPCGEGTVEGCGKDATANYRPGMSSLNCAEKENAPDIDGVGTSKTFMSSCSAPGKMFYLNRLAYLDCGSSNHHTLVSLHELLYPVESISKIFIATFTSDILWFLSHCEIPCHLPVTVACHNAERCWSSSPDARSSMPFPDFPNLVVVFPPFPEVIAFGNDRKKRGIACHHPKLLVLQREDSIRVVITSANLVAKQWESVTNTVWWQDFPHRSESDYLSLFSFSYGEMSQGSRSDFGAQLAGFMASLIVDVPSQAHWIVELTKYDFTSAEGHLVASIPGIHSDRILKANQFTTSSFDAKFLGLVEASVVGLSHLFRTAADTNAALLKKLALFLGKTCENAYGMLDVVLRRNTNIPADENAVSVLVPNPDELSGRDCIQLGFLPRNVAKWVSPLWDMGFFEFHGYVYREEALTATFGGNNKKVQLVLHVSQGPRFFDLSKLMQAQNIVALCSLIASVQRCTGLWRLQEVLGRYKWPESQESDFIYGASSIGSSVSAQFLAAFAASVGKKSSQIFDSEESDPEWGCWTASQEVRNPSIKILFPTIERVKNACNGISPTRKILCFSEKTWQRLRNVGILHDAVPSPCNREGHPMHVKDITVECSLTCLLNPRLKKLNEHSANTKQVARRRFWSKTGSSSFGWVYCGSHNFSAAAWGRPISGSVGIKASGLDKTKSLITSRLHICNYELGIIFLFPPTETKCIANQSSTKLDDIALPFVVPAPKYGSRDRPATAQAMREALAELSERKTKSLVEVEITENMMEEVPDEDEEVSEATNYVAEEKEEDKTYAEKLWSQVDSSQSC >EOY22698 pep chromosome:Theobroma_cacao_20110822:3:24498394:24505868:1 gene:TCM_014793 transcript:EOY22698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead-associated domain-containing protein / FHA domain-containing protein, putative isoform 1 NKNKKRTEENPHFPRKKPKTLNKSAFIELKSFDLPLLSTTTGSPIYLLRLESDRPYIIGRANSSCDFTFDSRFVSKQHCQILYDSVDRKIFILDGTILLDDLSFFVSEFRRRFLLSDDELEDKEKEKETEILGFSRVRVSLNGVYVNGVRVKRGMVRELFAGDEVLLVCGNESECSLRVRIGFMIQGIVFKEEVVSDLDEVPGVRPLLLGAMASSRHSQGTLSSGKRNKRVFAISSNEMTIGCDLSGFKSRDVMGRAKFILSHCCSILHSDDPISCIRQCDISDSGRNRFLGLTLSNRMEFAIGREEEVGSIFPLCRQEPQSSDKKDSPVQPNSFKIMTTSEANHSLIGDLLPSDLPCGEGTVEGCGKDATANYRPGMSSLNCAEKENAPDIDGVGTSKTFMSSCSAPGKMFYLNRLAYLDCGSSNHHTLVSLHELLYPVESISKIFIATFTSDILWFLSHCEIPCHLPVTVACHNAERCWSSSPDARSSMPFPDFPNLVVVFPPFPEVIAFGNDRKKRGIACHHPKLLVLQREDSIRVVITSANLVAKQWESVTNTVWWQDFPHRSESDYLSLFSFSYGEMSQGSRSDFGAQLAGFMASLIVDVPSQAHWIVELTKYDFTSAEGHLVASIPGIHSDRILKANQFTTSSFDAKFLGLVEASVVGLSHLFRTAADTNAALLKKLALFLGKTCENAYGMLDVVLRRNTNIPADENAVSVLVPNPDELSGRDCIQLGFLPRNVAKWVSPLWDMGFFEFHGYVYREEALTATFGGNNKKVQLVLHVSQGPRFFDLSKLMQAQNIVALCSLIASVQRCTGLWRLQEVLGRYKWPESQESDFIYGASSIGSSVSAQFLAAFAASVGKKSSQIFDSEESDPEWGCWTASQEVRNPSIKILFPTIERVKNACNGISPTRKILCFSEKTWQRLRNVGILHDAVPSPCNREGHPMHVKVARRRFWSKTGSSSFGWVYCGSHNFSAAAWGRPISGSVGIKASGLDKTKSLITSRLHICNYELGIIFLFPPTETKCIANQSSTKLDDIALP >EOY24358 pep chromosome:Theobroma_cacao_20110822:3:30655567:30660262:1 gene:TCM_015980 transcript:EOY24358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant VAP MSTGDLLSIEPLELKFPFELRKQISCSLLLSNKTDNYVAFKVKTTNPKKYCVRPNTGIVLPRSTCDVIVTMQAQKEAPPDMQCKDKFLLQSVNVNDGATVKDITAEMFNKEAGHVVEECKLRVVYVSPPQPPSPVPEGSEEGSSPRGSVSDNGHVNAAEFANAARAFSERLESQDKSSEARALISKLTEEKNNAIQQNNKLRQELELLRRQGSKRSGGVSFMFVIFIGLLGIIMGYLMKKS >EOY22625 pep chromosome:Theobroma_cacao_20110822:3:24259940:24269750:-1 gene:TCM_014745 transcript:EOY22625 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 1 isoform 3 MHFSKLDDTPMFRQEIQCLEENAELLRGRCLKFYKGCRKYIEGLGEGYDGDIAFVNALETFGGGHNDPICVAFGGPVMTKFTIALREIGTYKEVLRSQIEHMLNERLLQFVNVDLQDVKDARKRFDKASLIYDQAREKFRSLRKSTRMDVAAAIEEELHTARTSFEQARFNLVSALSKFEAKKRFEFLEAVSGTMDAHLRFFKQGYELLHQMEPFINQVLAYAQQSRECSNYEQASLSERMQEHIRQIDLKSKQSCYVCPATADGMRPFARGSQKVIEAVMQSAEKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKPFAWSSAGGSQSAIQRSGPSESGPGLLSRWLSSHYHGGVHDEKSVARHTVNLLTATIKVDADQSDLRFCFRIISPTKIYTLQAENALDQRDWIEKITGVIASLLSFQTPEKCLSAYNMGTADNCSASDCSSLVDSSDAYQTAVGEHTSQNLSSGSHLDISRSLQHQEYCIKSEKPIDILRRVAGNDKCVDCGAPEPDWASLNLGVLICIECSGVHRNLGVHISKIRSLTLDVKVWEPSVLALFQSLGNIYANSIWEELLHSRSTRTAMPVG >EOY22624 pep chromosome:Theobroma_cacao_20110822:3:24256897:24270156:-1 gene:TCM_014745 transcript:EOY22624 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 1 isoform 3 MDAHLRFFKQGYELLHQMEPFINQVLAYAQQSRECSNYEQASLSERMQEHIRQIDLKSKQSCYVCPATADGMRPFARGSQKVIEAVMQSAEKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKPFAWSSAGGSQSAIQRSGPSESGPGLLSRWLSSHYHGGVHDEKSVARHTVNLLTATIKVDADQSDLRFCFRIISPTKIYTLQAENALDQRDWIEKITGVIASLLSFQTPEKCLSAYNMGTADNCSASDCSSLVDSSDAYQTAVGEHTSQNLSSGSHLDISRSLQHQEYCIKSEKPIDILRRVAGNDKCVDCGAPEPDWASLNLGVLICIECSGVHRNLGVHISKIRSLTLDVKVWEPSVLALFQSLGNIYANSIWEELLHSRSTRTAMPVGSSKSDVHKQLLTMKPSYNDPISVKELFIHAKYAEKAFVSKKQDNQHLLAVAEEVWESVRANDQKAVYRHIVCSGADVNAIHGQASYCDSLDQPSRYLNSLNKSEDQIEKECSDGCSLLHLACLTTDIGMVELLLQYGANINASDSRGRTPLHLCVVSGKSSIAKLLLTRGADPHAVD >EOY22623 pep chromosome:Theobroma_cacao_20110822:3:24256384:24270264:-1 gene:TCM_014745 transcript:EOY22623 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 1 isoform 3 MHFSKLDDTPMFRQEIQCLEENAELLRGRCLKFYKGCRKYIEGLGEGYDGDIAFVNALETFGGGHNDPICVAFGGPVMTKFTIALREIGTYKEVLRSQIEHMLNERLLQFVNVDLQDVKDARKRFDKASLIYDQAREKFRSLRKSTRMDVAAAIEEELHTARTSFEQARFNLVSALSKFEAKKRFEFLEAVSGTMDAHLRFFKQGYELLHQMEPFINQVLAYAQQSRECSNYEQASLSERMQEHIRQIDLKSKQSCYVCPATADGMRPFARGSQKVIEAVMQSAEKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKPFAWSSAGGSQSAIQRSGPSESGPGLLSRWLSSHYHGGVHDEKSVARHTVNLLTATIKVDADQSDLRFCFRIISPTKIYTLQAENALDQRDWIEKITGVIASLLSFQTPEKCLSAYNMGTADNCSASDCSSLVDSSDAYQTAVGEHTSQNLSSGSHLDISRSLQHQEYCIKSEKPIDILRRVAGNDKCVDCGAPEPDWASLNLGVLICIECSGVHRNLGVHISKIRSLTLDVKVWEPSVLALFQSLGNIYANSIWEELLHSRSTRTAMPVGSSKSDVHKQLLTMKPSYNDPISVKELFIHAKYAEKAFVSKKQDNQHLLAVAEEVWESVRANDQKAVYRHIVCSGADVNAIHGQASYCDSLDQPSRYLNSLNKSEDQIEKECSDGCSLLHLACLTTDIGMVELLLQYGANINASDSRGRTPLHLCVVSGKSSIAKLLLTRGADPHAVDGEGNTALQLASASGIDDNEVLALLTDTHR >EOY22626 pep chromosome:Theobroma_cacao_20110822:3:24256897:24270156:-1 gene:TCM_014745 transcript:EOY22626 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 1 isoform 3 MTKFTIALREIGTYKEVLRSQIEHMLNERLLQFVNVDLQDVKDARKRFDKASLIYDQAREKFRSLRKSTRMDVAAAIEEELHTARTSFEQARFNLVSALSKFEAKKRFEFLEAVSGTMDAHLRFFKQGYELLHQMEPFINQVLAYAQQSRECSNYEQASLSERMQEHIRQIDLKSKQSCYVCPATADGMRPFARGSQKVIEAVMQSAEKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKPFAWSSAGGSQSAIQRSGPSESGPGLLSRWLSSHYHGGVHDEKSVARHTVNLLTATIKVDADQSDLRFCFRIISPTKIYTLQAENALDQRDWIEKITGVIASLLSFQTPEKCLSAYNMGTADNCSASDCSSLVDSSDAYQTAVGEHTSQNLSSGSHLDISRSLQHQEYCIKSEKPIDILRRVAGNDKCVDCGAPEPDWASLNLGVLICIECSGVHRNLGVHISKIRSLTLDVKVWEPSVLALFQSLGNIYANSIWEELLHSRSTRTAMPVGSSKSDVHKQLLTMKPSYNDPISVKELFIHAKYAEKAFVSKKQDNQHLLAVAEEVWESVRANDQKAVYRHIVCSGADVNAIHGQASYCDSLDQPSRYLNSLNKSEDQIEKECSDGCSLLHLACLTTDIGMVELLLQYGANINASDSRGRTPLHLCVVSGKSSIAKLLLTRGADPHAVD >EOY25326 pep chromosome:Theobroma_cacao_20110822:3:33943322:33946320:1 gene:TCM_016676 transcript:EOY25326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDSQEIPDNGNKGFLFMFFRIAFALLFPIFAFFFLSFLVGFVAVFIGELSIPNSITIPTQCKIVSSSVDIRSSKICELGLLNYKAKHVLYHFERSKFRCRYDYYWTSVFEVEYRDHSLGQTRLAFTEAPNEALPLSCRPNFGAAWLTKDKFKVNETYDCWYTSGISKVKLYNDGFFSCQAKDPSTIEMIKRYLMIEQYSGTSRTALSETWEERIRISECRSSKIVYSWLSSKGRGIYWRWETIAGVVTGFSTSIITISFIRILQHMKSWLPQALNTVHIKRVCFLLVYVSVMGWLVSQYWRRLNIPLINVYNY >EOY25327 pep chromosome:Theobroma_cacao_20110822:3:33943392:33946442:1 gene:TCM_016676 transcript:EOY25327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDSQEIPDNVGFVAVFIGELSIPNSITIPTQCKIVSSSVDIRSSKICELGLLNYKAKHVLYHFERSKFRCRYDYYWTSVFEVEYRDHSLGQTRLAFTEAPNEALPLSCRPNFGAAWLTKDKFKVNETYDCWYTSGISKVKLYNDGFFSCQAKDPSTIEMIKRYLMISSKIVYSWLSSKGRGIYWRWETIAGVVTGFSTSIITISFIRILQHMKSWLPQALNTVHIKRVCFLLVYVSVMGWLVSQYWRRLNIPLINVYNY >EOY25318 pep chromosome:Theobroma_cacao_20110822:3:33922534:33928210:-1 gene:TCM_016673 transcript:EOY25318 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MKHKDGKYHSQPDKGFKVFPATLLVIVLCGFSFYLGGIFCSEKNNLEGKTVQDVSKAVPSPKESAINPLQIKPITFQECSIDYQDYTPCTDPRRWKKYGVHRLTFLERHCPPAFERKECLVPPPDGYKPPITWPKSRDECWYRNVPYDWINKQKSNQNWLRKQGDKFLFPGGGTMFPRGVGAYVDLMQDLIPEMRDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKSDYEKLEDLLTSMCFKLYAKKDDIAVWQKSSDNSCYDKLTDPDAYPAKCDDSLEPDSAWYTPLRPCVVVPSPKLKKTALESLPKWPERLEVAPERISDIPGGSASTLKHDDSKWKVRAKHYKKLLSAIGTDKIRNVMDMNTVYGGFATALIDDPLWVMNVVSSYAANTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLHLDGLFTAESQRCDMKYVLLEMDRILRPNGYALIRESSYFVDAIATIAKGLRWGCHKEDTEYGVEKEKILICQKKLWYSSNSSSR >EOY25320 pep chromosome:Theobroma_cacao_20110822:3:33922321:33928015:-1 gene:TCM_016673 transcript:EOY25320 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MKHKDGKYHSQPDKGFKVFPATLLVIVLCGFSFYLGGIFCSEKNNLEGKTVQDVSKAVPSPKESAINPLQIKPITFQECSIDYQDYTPCTDPRRWKKYGVHRLTFLERHCPPAFERKECLVPPPDGYKPPITWPKSRDECWYRNVPYDWINKQKSNQNWLRKQGDKFLFPGGGTMFPRGVGAYVDLMQDLIPEMRDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKSDYEKLEDLLTSMCFKLYAKKDDIAVWQKSSDNSCYDKLTDPDAYPAKCDDSLEPDSAWYTPLRPCVVVPSPKLKKTALESLPKWPERLEVAPERISDIPGGSASTLKHDDSKWKVRAKHYKKLLSAIGTDKIRNVMDMNTVYGGFATALIDDPLWVMNVVSSYAANTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLHLDGLFTAESQRCDMKYVLLEMDRILRPNGYALIRESSYFVDAIATIAKGLRWGCHKEDTEYGVEKEKILICQKKLWYSSNSSSR >EOY25319 pep chromosome:Theobroma_cacao_20110822:3:33922531:33927934:-1 gene:TCM_016673 transcript:EOY25319 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MKHKDGKYHSQPDKGFKVFPATLLVIVLCGFSFYLGGIFCSEKNNLEGKTVQDVSKAVPSPKESAINPLQIKPITFQECSIDYQDYTPCTDPRRWKKYGVHRLTFLERHCPPAFERKECLVPPPDGYKPPITWPKSRDECWYRNVPYDWINKQKSNQNWLRKQGDKFLFPGGGTMFPRGVGAYVDLMQDLIPEMRDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKSDYEKLEDLLTSMCFKLYAKKDDIAVWQKSSDNSCYDKLTDPDAYPAKCDDSLEPDSAWYTPLRPCVVVPSPKLKKTALESLPKWPERLEVAPERISDIPGGSASTLKHDDSKWKVRAKHYKKLLSAIGTDKIRNVMDMNTVYGGFATALIDDPLWVMNVVSSYAANTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLHLDGLFTAESQRCDMKYVLLEMDRILRPNGYALIRESSYFVDAIATIAKGLRWGCHKEDTEYGVEKEKILICQKKLWYSSNSSSR >EOY23719 pep chromosome:Theobroma_cacao_20110822:3:28359894:28360985:-1 gene:TCM_015525 transcript:EOY23719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNSETAMPQPKIPDFCLGRSTLETDSSISKHDESCTVNQVTVTMLDASIVRLVLGCLGECGLDAIPAKCMALTCLDIQGFWNVKLEGELEDRRLQLLAFKSLWIYEMITDSDEQADDEEYRSSDSE >EOY23232 pep chromosome:Theobroma_cacao_20110822:3:26724085:26727107:-1 gene:TCM_015198 transcript:EOY23232 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative METIADPSSDIPVNGLDSSIVPLNSDHDLLAELESVRESYHALQSKSSSMEENLGLLQHQRDEAISESTKLTGKVHELSLERDFLRHQIEEFEVTLKENEEEFAKKIDEESKAKLELEKELEVTGGRIEQLQSEMKVRNEVFAKNLDSIRSVKDNLVKLIEILNDEKEVIENFDSESEKLELEEEEMTIFSREITMVLKLASEANSKVNEYTEARKKEKRELENSVVSLTEENRDINSLLRVALVEKEAVEKSLNKLKGNNEQKRVALLQIAERGLQRVGFGFMMGSGSNEQALESSGASTTTASTTGSKSDSSECEEEVVSLASTVERIMKNLRLEISQLRRSLEESRSDTERLQSLTEKQAQKLEENTLYIRELEERERVLAQSAEELLMEIKETEAEVARWREACELEVEAGKKEVEERDKVVVILKQELEKTKAALEISNGKLKLKEELAAAAMAAQAAAERSLQLADSRAAGLRDRIEELTKQLEEAESKERSRRKVRHICWPWRALKMNITNNTNNRVQDVKRMLPEMQALLHHTM >EOY25398 pep chromosome:Theobroma_cacao_20110822:3:34149892:34157365:-1 gene:TCM_016719 transcript:EOY25398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 2 MQNPQSSTNANQDPTIEPQPQPQPRRPRGFAATAAAAAASVSPTGTPSGTAAASTGSSTGKGKREREKEKERTKLRERHRRAITSRMLAGLRQYGNFPLPARADMNDVLAALAREAGWTVEPDGTTYRLRHSPPPQHHQHLGAFPVRSVESPISASSLKSCSVKATLDCQPPVVRIDESLSPASLDSVVIAERDTRSEKYPSTSPINSVECLEADQLIQDVHSGEHENDFTGTQYVPVFIKLSTGVINNFCQLADPEGVRQVLSHMKSLNVDGVVVDCWWGIVEGWNPQKYVWSGYRELFNVIQEFKMKIQVVMAFHEYGRTDTAELLISLPQWILEIGKENQDIFFTDREGRRTTECLSWGIDKERVLNGRTGIEVYFDFMRNFRTEFDDLFAEGLFSAVEIGLGPSGELRYPSFSERMGWRYPGIGEFQCYDKYLQQNLRKAAKLRGHSFWARGPDNAGQYNSRPHETGFFCERGDYDSYYGRFFLHWYAQSLTDHADNVLSLASLAFEETKIIVKVPAIYWWYKTSSHAAEVTAGYYNPTNQDGYSPVFEVLKKHSVTIKFVCSGLQVCSYESDEAFADPEGLSWQVLNSAWDRGLTVAGENTLSCFDREGCLRIIETAKPRNDPDHRHFSFFVYQQPSPLVEGVICFLDLDYFIKCMHGDITGDLVP >EOY21928 pep chromosome:Theobroma_cacao_20110822:3:19890816:19896951:1 gene:TCM_014102 transcript:EOY21928 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT motif-containing response regulator protein isoform 2 MESKELNLNKECKVGGGAGEGFIDRSKVRILLCDNDPKSSEEVFSLLLKCSYQVTSVRSARQVIDALNAEGPEIDIILAEVDLPMTKGMKMLKYIMRDKDLRRIPVIMMSAQDEVSIVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRMLGLAEKNILNYDFDLVASDPSDANTNSTTLLSDDTDDKSRKSTNPEIGVSTHQEDEYVAAVVEPPQTETSECRPDVPGISDRRTGQFSSGPKKSELKIGESSAFFTYVKSNAVKTSTQVVTPHDENAAQNKIIEENLQQSGQQVVNDVQVHENGEAWENCSQGDVFPSSSSIPDSLSLERSSTPPVSMEFSQQRNFKEEKLSQVLVPPRNEPQHDLSGLPTQTAYPYYMPGVVNQVMMQPSGQLFQNNLHDLQNHAASSVLPQYSHLQQCLSHPHVSGMASFPYYPVNMCVQPGQMPTGHSWPSFGNSSTSEVKLSKVDRREAALIKFRQKRKERCFDKKIRYVNRKRLAERRPRVRGQFVRKVNGVTVDLNGQPASADYDEDEEEEEQASRDSSPEDDTSGC >EOY21929 pep chromosome:Theobroma_cacao_20110822:3:19891469:19897087:1 gene:TCM_014102 transcript:EOY21929 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT motif-containing response regulator protein isoform 2 MTKGMKMLKYIMRDKDLRRIPVIMMSAQDEVSIVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRMLGLAEKNILNYDFDLVASDPSDANTNSTTLLSDDTDDKSRKSTNPEIGVSTHQEDEYVAAVVEPPQTETSECRPDVPGISDRRTGQFSSGPKKSELKIGESSAFFTYVKSNAVKTSTQVVTPHDENAAQNKIIEENLQQSGQQVVNDVQVHENGEAWENCSQGDVFPSSSSIPDSLSLERSSTPPVSMEFSQQRNFKEEKLSQVLVPPRNEPQHDLSGLPTQTAYPYYMPGVVNQVMMQPSGQLFQNNLHDLQNHAASSVLPQYSHLQQCLSHPHVSGMASFPYYPVNMCVQPGQMPTGHSWPSFGNSSTSEVKLSKVDRREAALIKFRQKRKERCFDKKIRYVNRKRLAERRPRVRGQFVRKVNGVTVDLNGQPASADYDEDEEEEEQASRDSSPEDDTSGC >EOY22196 pep chromosome:Theobroma_cacao_20110822:3:22226019:22231383:1 gene:TCM_014412 transcript:EOY22196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQQSVLKANGSGLTQLREEEEWAGKGVLFMNDNKASELHPSPNCPSLIALYLQGNYELTAIPPFFFRRMALLQVLDLSHTSIKCLPKSLPRLVALKKLLLRRCQLFMELSPQVAKLSNLQELDLDETQIMDLPREIGKLLNLRHLRVSFYLICGKKKSKSNILIHPETISDLSLLTELSIDVNPTDKRWDDSVEAVVKEVCNSETLTTLSLYLPKFQLLDNISSLYPSLSGFRFTVGHHKRRIISRVPHEVEAEFRNWDKCLKFVNGENIPIEIKDVLKYSTSFFLDHHATAMNLSEFGIENMKRLKFCLLVDCNKMETIIDGERRYEGNEDDLSESDPSPVENVLESLEYLIIYYMENLESIWRGPNRYGCMSRLKFLALHTCPQLINIFSHTLLGNFVNLEEFILEDCPLVTSLVSHASVKPMVSDNFLPSLKRLLLLYLPELVSISNGLLIAPKLETIGFYNCPKLKSISKMELSSKTLKIIKGELQWWEDMKWNEAEWGNRPDYLMRIFSPIDKEKDVMNQLAEDRDLFEVTMQNEGQQLDDEKLIEVSTQDHGGRWSDYTEERTTGADVTKSISSVCILPSTPWTEAPKQAWSFSPDENKRLEDDYFDLASETSEADDIVDEPKAKRWNCTENENKGVIRFASKIATGDRTEFQVKSNVDILDDGYKWRNHRTKLKGYPYSS >EOY22837 pep chromosome:Theobroma_cacao_20110822:3:24957587:24960882:1 gene:TCM_014892 transcript:EOY22837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response factor 2 MYRTSEGRPDFNIAKFQNSDESPTSAGANSATLEYKGHGDAKRFFFVTPLCSPSDSCSINTFAFASSLAFMDLKYIKQSFRKKRSIREKRSTEANERGEKSFKKGKAYRHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVASMAIKGNSAYLNFPESAHELPRPASSARRDIQEAANKAAYAMVDQHNAMIEVKTVPSQDEMPAPQSPSSTTMSCETQGSPSSQSVDSDSMWFDLPDLSLEANSSHRFGYTSWWQQAGIDIEFKFEETMGWDK >EOY23382 pep chromosome:Theobroma_cacao_20110822:3:27212707:27217192:1 gene:TCM_015295 transcript:EOY23382 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-type lectin S-receptor serine/threonine-protein kinase MANSCLCSTCFFFFLVMVWFSGCIAGQIGLGSRLLASDQGRPWVSDNGTFAFGFTPSDNRDRFLLGIWFAELPGDRTVVWSANGNSPVTEDAILELDTTGNLVLIDGDATVWTSNTSGTRVEFAVMSESGNFILYTANNRPAWQSFEHPSDTLLPNQPLTVSLELTSSKSPSHGGYYALKMLQQPTSLTLALTYNLPDSYDASPEAYTNYSYWAAPDISNVTGDVVAVLDEAGSFGIVYGESSNGAVYVHKNDGDYNGLASATNQSNVRLSVLRRLIIETNGNLRMYRWDNDVNGSRQWVPEWAAVSNPCDIAGICGNGICNLDRSKTNASCKCLPGTYKVGSAGESYCSQNSSLTRNCDSRNKNYTSQFKIATEQQTNYYFSYFSVIANYSDIATVSKCGDACLSDCDCVASVYGLDDEKPYCWILKSLDYGGFEDPGSTLFVKVRSDLSFEPSDHTRGSGDSSEGSGNGREKILVLPIVLGMSFLIGLLCLLLYYNVHRRKYLKKAIESSLILEGAPLNFSYRDLLLRTCNFSQLLGTGGFGSVYKGSLTDGTLVAVKKLDRVLPHGEKEFITEVNTIGSMHHMNLVRLCGYCSEGSQRLLVYEFMKNGSLDKWIFPSYQSRDRLLDWPTRFNIAVATAQGIAYFHEQCRNRIIHCDIKPENILLDENFCPKVSDFGLAKLMGREHSHVVTMVRGTRGYLAPEWVSNRPITVKADVYSYGMLLLEILGGRRNLDMSFDAEDFFYPGWAYKELTNGTPIKVADRRLGGAVDEEELTRALKVAFWCIQDEVFMRPSMGEVVKMLEGSMDINEPPIPQTVLELVEEGLEQVYKAMKRDFNQSSSFTITTRPSSQATCSYSTMSPR >EOY24502 pep chromosome:Theobroma_cacao_20110822:3:31243564:31245695:1 gene:TCM_016091 transcript:EOY24502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSEKSLRRRLPRGRRPRLPYPSPSPRLRTPPPHRRSAKRSSKHGRILKRCASEPCLWSSVAEDQQRSNLLGSEVEGALFRPQTCTDVFGSSPCILGFGSPRSSSSPKQGFEGYNKDAKVVINVSVEGSPGPVRTMVKLGSSVEETIKVVVDKYAEEGRTPKLYHSSGLELHQSYFSLQSLDKSLIIGDAGSRSFYLRKSSSDNSSNVASNSFVSEIGLEGANSPPAVPPPTYLLTAFIARKLSKIVRRTRRLWKVLVCLR >EOY24503 pep chromosome:Theobroma_cacao_20110822:3:31243478:31245446:1 gene:TCM_016091 transcript:EOY24503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSEKSLRRRLPRGRRPRLPYPSPSPRLRTPPPHRRSAKRSSKHGRILKRCASEPCLWSSVAEDQQRSNLLGSEVEGALFRPQTCTDVFGSSPCILGFGSPRSSSSPKQGFEFGMQGYNKDAKVVINVSVEGSPGPVRTMVKLGSSVEETIKVVVDKYAEEGRTPKLYHSSGLELHQSYFSLQSLDKSLIIGDAGSRSFYLRKSSSDNSSNVASNSFVSEIGLEGANSPPAVPPPTYLLTAFIARKLSKIVRRTRRLWKVLVCLR >EOY24504 pep chromosome:Theobroma_cacao_20110822:3:31243478:31245446:1 gene:TCM_016091 transcript:EOY24504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSEKSLRRRLPRGRRPRLPYPSPSPRLRTPPPHRRSAKRSSKHGRILKRCASEPCLWSSVAEDQQRSNLLGSEVEGALFRPQTCTDVFGSSPCILGFGSPRSSSSPKQGFEFGMQGYNKDAKVVINVSVEGSPGPVRTMVKLGSSVEETIKVVVDKYAEEGRTPKLYHSSGLELHQSYFSLQKIGLEGANSPPAVPPPTYLLTAFIARKLSKIVRRTRRLWKVLVCLR >EOY21033 pep chromosome:Theobroma_cacao_20110822:3:2430918:2434054:1 gene:TCM_012351 transcript:EOY21033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 1 MKALIEQNHQQARQILIQNPYLTKALFQAQIMLGMVKPPQVIPTIQPPAPQHSQQSAQPPPQPNLQPAQSLPVQVGLQDLAAASQTQPPIRKQYQNQTVTQIPSAAVPAANLQSQSMPPHSLQTPQQTKGHLNPPMSLPQSSQLPNVPSVPLHSSSQPPHHHQTHLPTASSQLQQPIQTTGIPHMPLQPPMPPQARPTSVPTFHHQYAPQMGPNVGFQHPGAPQHPSQPMFHVINLLLALDLLFHKDSYPFQISRHLNRYIKIRQVACI >EOY21032 pep chromosome:Theobroma_cacao_20110822:3:2430735:2434820:1 gene:TCM_012351 transcript:EOY21032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 1 MAGKQLAGEGLPANIAGMSKNQLYDIMSQMKALIEQNHQQARQILIQNPYLTKALFQAQIMLGMVKPPQVIPTIQPPAPQHSQQSAQPPPQPNLQPAQSLPVQVGLQDLAAASQTQPPIRKQYQNQTVTQIPSAAVPAANLQSQSMPPHSLQTPQQTKGHLNPPMSLPQSSQLPNVPSVPLHSSSQPPHHHQTHLPTASSQLQQPIQTTGIPHMPLQPPMPPQARPTSVPTFHHQYAPQMGPNVGFQHPGAPQHPSQPMFHSGNKPPSGLGPSFPQGQLPLPNQPPPQSIYQNQAGGLHLGSEFGNQVGGSMQADRGSSWMSSQPDNLTLAQLQGQSPLVPSQMGQGNQPPRPASLTPEMEKALLQQVMSLTPEQISLLPPEQRNQVLQLQQILRH >EOY22544 pep chromosome:Theobroma_cacao_20110822:3:23866557:23872768:1 gene:TCM_014688 transcript:EOY22544 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 6 isoform 2 MLILLQAAEVQKLKGIEKREQSFKVPMEAKPVKLVAAMIMGLLVLGPNLVEGAFGIQLNPCTLPQCIAECKKALQDKFLSATCASGSQGKFCICLEHKEKMDDEFAKLIRRINPPRVVVDNDACEHATVIQVDSVNRHGILLEVVQVLADLNLVVTKAYISSDAGWFMDVFYVTDYEGNKIRNERTLSYIQKTLETKVHFLNSLRSSVGVIPSKEHTSIELTGSDRPGLVSEVSAVLADLGCNVVNAEIWTHNARAAAVIYVTDQSTGHAIEDPKKLSIIKELLFNVMKGNSDFRTPRMSISSSGVMHRARRLHQMLFADRDFERPENVKFESAKPHVTVQDCSDRDYTVVTIRSLDRPKLLFDTICTLTDMEYVVFHGTVITGRMEAYQEYYIRHVDGFPISSEAEQQRVVECLEAAIERRAQEGLELELFTDDRFGLLSDITRIFRENGLCIRRAEISTKGGKAKDTFFVTDVSGNPVDAKTVDLIQHQIGQANLQVKGNSFLSPKLPKETARSFLFGNLFKGRSFQNFKLIKSCS >EOY22545 pep chromosome:Theobroma_cacao_20110822:3:23869757:23872448:1 gene:TCM_014688 transcript:EOY22545 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 6 isoform 2 MDDEFAKLIRRINPPRVVVDNDACEHATVIQVDSVNRHGILLEVVQVLADLNLVVTKAYISSDAGWFMDVFYVTDYEGNKIRNERTLSYIQKTLETKVHFLNSLRSSVGVIPSKEHTSIELTGSDRPGLVSEVSAVLADLGCNVVNAEIWTHNARAAAVIYVTDQSTGHAIEDPKKLSIIKELLFNVMKGNSDFRTPRMSISSSGVMHRARRLHQMLFADRDFERPENVKFESAKPHVTVQDCSDRDYTVVTIRSLDRPKLLFDTICTLTDMEYVVFHGTVITGRMEAYQEYYIRHVDGFPISSEAEQQRVVECLEAAIERRAQEEGLELELFTDDRFGLLSDITRIFRENGLCIRRAEISTKGGKAKDTFFVTDVSGNPVDAKTVDLIQHQIGQANLQFLQGNSGVLP >EOY22313 pep chromosome:Theobroma_cacao_20110822:3:22969522:22971895:-1 gene:TCM_014527 transcript:EOY22313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein isoform 1 MRRIDMVLVVLSLALVLGIAESFDFHETDLASEESLWDLYERWRSHHTVSRSLDEKHKRFNVFKQNVMHVHNTNNKDKPYKLKLNKFADMTNHEFRNAYAGSKIKHHRMFQGTPRGTGSFMYENVDRVPPFVDWRKKGAVTAVKDQGQCGSCWAFSTVVAVEGINQIKTNKLVSLSEQELVDCDTEENQGCNGGLMDIAFDFIQKKGGITTETNYPYEAEDGTCDVSKENSPAVSIDGHENVPANNEDALLKAVAHQPVSVAIDAGGMDFQFYSEGVFTGQCGTELNHGVAAVGYGTTLDGTKYWIVKNSWGPEWGEKGFIRIERGIKDKKGLCGIAMESSYPIKNSSSNPTEPSFYPKDEL >EOY22314 pep chromosome:Theobroma_cacao_20110822:3:22969783:22971890:-1 gene:TCM_014527 transcript:EOY22314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein isoform 1 MRRIDMVLVVLSLALVLGIAESFDFHETDLASEESLWDLYERWRSHHTVSRSLDEKHKRFNVFKQNVMHVHNTNNKDKPYKLKLNKFADMTNHEFRNAYAGSKIKHHRMFQGTPRGTGSFMYENVDRVPPFVDWRKKGAVTAVKDQGQCGSCWAFSTVVAVEGINQIKTNKLVSLSEQELVDCDTEENQGCNGGLMDIAFDFIQKKGGITTETNYPYEAEDGTCDVSKENSPAVSIDGHENVPANNEDALLKAVAHQPVSVAIDAGGMDFQFYSEGVFTGQCGTELNHGVAAVGYGTTLDGTKYWIVKNSWGP >EOY24020 pep chromosome:Theobroma_cacao_20110822:3:29355126:29356600:1 gene:TCM_015730 transcript:EOY24020 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook DNA-binding family protein MAGLDLGTASRYVHQLHRPDLHLQHQPEPEDHEASHNRVGGGGGGSQYGSADHQQDDGSHHALDLVNAGNSGPGDLVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGNGCDVFDCVANYARRRQRGICILSGSGTVTNVSIRQPAAAGAIVTLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGSVVGELMAAGPVIVIAASFTNVAYERLPLDEDEQLQMQSGGGGSGGGGGNNMFADGGAGAGGLPFFNLPLNMPPNVQLPVEGWPGNSGGRPPF >EOY23672 pep chromosome:Theobroma_cacao_20110822:3:28172183:28178950:-1 gene:TCM_015486 transcript:EOY23672 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein MEREYHPAAHEEVAEQPPQTPRKKKNKMKNKRRFSDEQIRLLESIFESETKLEPRKKLQLARDLGLQPRQVAIWFQNRRARWKSKQIEQDYRTLRANYDNLASRFESLKKEKQTLMLQLQSLSKLLAEPHDINKDRKGLDTGGGSESGDTKCEPEAEAQPSIQQAGVEDRGFLGLQIENDRDIEHAAQPGDEFMSMDGYRNDSPASPEKLCGFDSSACITCLSSSNRFALPSSPIKSLKFPLFWPWQRVKVGPLTVSPMGFGTWAWGNQLLWGYKQSMDSELEQVFNLAVENGINLFDTADSYGTGRLNGQSEKLLGKFIREFRGEKRIEDEIVIATKFAAYPWRLTPGQFVQACRASRDRMQIEQIGIGQLHWSTANYAPPQELALWGGLVAMYEQGLVKAVGVSNYGPKQLVKIYDYLKARGVPLCSAQVQFSLLSKGEVQMEIKNICDSLGIRLISYSPLGLGMLTGKYTPSRLPSGPRGLLFKQILPGLEPLLVSLREIAEKRSKTVPQVAINWCISKGTIPIPGVKSVKQAQENLGALGWRLSSNELLQLDCAAQQSPRRMIQNIFQTK >EOY21322 pep chromosome:Theobroma_cacao_20110822:3:6143543:6144310:1 gene:TCM_012800 transcript:EOY21322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHTHATLGLVPLAGALSTNNDLSTVLAIIIRVDFSCRWAFRIENEIQIVNHILVKASLISIIRGILENFPTTKYWNGCARVTISSSKNMVAGRSLYLIGFREMGINGGLSLVAIVRKDFLRALCETGDCGYCGGIW >EOY25285 pep chromosome:Theobroma_cacao_20110822:3:33824104:33826376:1 gene:TCM_016649 transcript:EOY25285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pinoresinol-(+)-lariciresinol reductase MAMKSKVLVVGGTGYLGKRLVKASLAQGHETYVLHREEIGVDIDKVQMLLSFKQQGARLVPASFSDHQSLVNAVKLVDVVICAISGVHIRSHQILLQLKLVDAIKEAGNVKRFLPSEFGTDPARMENAMEPGRLTFDDKMVVRRAIQEAGIPFTYVSANCFAGYFLGGLCQPGYILPSRDHVRLLGDGDQKAIYVDEDDIATYTIKTIDDPRTLNKTVYIRPPKNILSQREVVQIWEKLIGKELLKSSISKEEFLSSMKEQNYAEQVGLTHYYHVCYEGCLANFEIGDGGEEASKLYPEVKYTSAEDYMKRYL >EOY21506 pep chromosome:Theobroma_cacao_20110822:3:10060320:10064696:-1 gene:TCM_013176 transcript:EOY21506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin 5 isoform 1 MSTLSVPPVPTSPRDDAIQLYRAFKGCDTAAVVNILAHRDAMQRSLIQQEYRTMYSDDLSKRLASELSGKLETAVLLWMHDPAGRDAIVIRQALLADVTNLNGATEVICSRTPSQIQLIKQNYHSKFGVFLEQDIEGHTSGDHKKLLLAYVSTFRYEGLEVDREMALKDAKDLYKAGEKKLGTDEKTFIRIFSERSRAQLGAISPAYHDMYGGSLK >EOY21503 pep chromosome:Theobroma_cacao_20110822:3:10058839:10064528:-1 gene:TCM_013176 transcript:EOY21503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin 5 isoform 1 MSTLSVPPVPTSPRDDAIQLYRAFKGLGCDTAAVVNILAHRDAMQRSLIQQEYRTMYSDDLSKRLASELSGKLETAVLLWMHDPAGRDAIVIRQALLADVTNLNGATEVICSRTPSQIQLIKQNYHSKFGVFLEQDIEGHTSGDHKKLLLAYVSTFRYEGLEVDREMALKDAKDLYKAGEKKLGTDEKTFIRIFSERSRAQLGAISPAYHDMYGGSLKKAVKSETSGKFEHGLLTILKCSENPAKYFAKVLHKAMKGLGTDDTTLIRVIVTRTEIDMQYIKAEYLRKYKKTLNDAVHSETSGHYRTFLLSLLGPNH >EOY21505 pep chromosome:Theobroma_cacao_20110822:3:10060165:10064648:-1 gene:TCM_013176 transcript:EOY21505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin 5 isoform 1 MSTLSVPPVPTSPRDDAIQLYRAFKGLGCDTAAVVNILAHRDAMQRSLIQQEYRTMYSDDLSKRLASELSGKLEVPIFTAVLLWMHDPAGRDAIVIRQALLADVTNLNGATEVICSRTPSQIQLIKQNYHSKFGVFLEQDIEGHTSGDHKKLLLAYVSTFRYEGLEVDREMALKDAKDLYKAGEKKLGTDEKTFIRIFSERSRAQLGAISPAYHDMQ >EOY21504 pep chromosome:Theobroma_cacao_20110822:3:10059076:10064648:-1 gene:TCM_013176 transcript:EOY21504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin 5 isoform 1 MSTLSVPPVPTSPRDDAIQLYRAFKGLGCDTAAVVNILAHRDAMQRSLIQQEYRTMYSDDLSKRLASELSGKLEVPIFTAVLLWMHDPAGRDAIVIRQALLADVTNLNGATEVICSRTPSQIQLIKQNYHSKFGVFLEQDIEGHTSGDHKKLLLAYVSTFRYEGLEVDREMALKDAKDLYKAGEKKLGTDEKTFIRIFSERSRAQLGAISPAYHDMYGGSLKKAVKSETSGKFEHGLLTILKCSENPAKYFAKVYGFYFCLYCIRQ >EOY22139 pep chromosome:Theobroma_cacao_20110822:3:21808481:21810482:-1 gene:TCM_014348 transcript:EOY22139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRMEAYLQGQDLWELIATTDAEIPVDTLENAELRRKWKIKCGKALFALRTSISKEFIDHVRDSTLLKEVWETLERLFSKKEYSKAFAKQMTKNFDQDVALFSKGKHNKKNTTTEKWIIDSSCSNRATGNDSLLSELRQQNGNRVIVTVDNSTYPVMNEGVAKMNDDARTRGIKLNDVYHVPGSMMNVVIGCFSVMTCFETCSAMEMSVLMSWIGLVKKMGSERRNS >EOY22612 pep chromosome:Theobroma_cacao_20110822:3:24200825:24203971:1 gene:TCM_014737 transcript:EOY22612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 45 isoform 2 MLQLCFSMEVESSLAHPDKIVRLPGQPRVGFQQFSGYVTVDEKKHKVLFYYFVEAEIDPASKPLVLWLNGGPGCSSVGVGAFSENGPFRPNGEVLVRNDYSWNREANMLYLETPIGVGFSYSTNSSSYVAVDDETTARDNLVFLQRWYHKFPNYRQRDLFITGESYAGHYIPQLAKLMVELNKKQNLFNLKGIALGNPVLEFAIDFNSRAEYFWSHGLISDSTYRMFTSVCNYSRYVSEYYRDSVSPSCSRVMSQVSRETSKFVDKYDVTLDVCISSVLSQSMVLNPQQVSERVDVCVEDKIVNYLNRKDVQKALHALLVGVRRWAVSLQVGGWTQVYGNILAFATIRGASHEAPFSQPERSLMLFKSFLEGKPLPEVF >EOY22611 pep chromosome:Theobroma_cacao_20110822:3:24200626:24204586:1 gene:TCM_014737 transcript:EOY22611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 45 isoform 2 MYSSPWKAMLIAVAMLQLCFSMEVESSLAHPDKIVRLPGQPRVGFQQFSGYVTVDEKKHKVLFYYFVEAEIDPASKPLVLWLNGGPGCSSVGVGAFSENGPFRPNGEVLVRNDYSWNREANMLYLETPIGVGFSYSTNSSSYVAVDDETTARDNLVFLQRWYHKFPNYRQRDLFITGESYAGHYIPQLAKLMVELNKKQNLFNLKGIALGNPVLEFAIDFNSRAEYFWSHGLISDSTYRMFTSVCNYSRYVSEYYRDSVSPSCSRVMSQVSRETSKFVDKYDVTLDVCISSVLSQSMVLNPQQVSERVDVCVEDKIVNYLNRKDVQKALHALLVGVRRWAVCSNILDYQLLNLEIPTISIVGSLIKAGIPVLVYSGDQDSVIPLTGSRTLVRGLAKELGLETTVPYRVWFEGKQVGGWTQVYGNILAFATIRGASHEAPFSQPERSLMLFKSFLEGKPLPEVF >EOY24065 pep chromosome:Theobroma_cacao_20110822:3:29522701:29523195:-1 gene:TCM_015769 transcript:EOY24065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGPLCLQWGLGLGHGATSFSGVSSNQRIMNFNKFSILPWRMISIFLILRILMGLENSMDRVKNF >EOY20989 pep chromosome:Theobroma_cacao_20110822:3:2141630:2142433:1 gene:TCM_012306 transcript:EOY20989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MCPSTYALLLAFLDLLSSLLYILRSTSRPKHSKDHRKLPPAPWALPIIGNLHMLGKLPDRTLHHLAQKYGSMMSIRLVYVPTVVVSSPEAAELFLKTHDTIFASRPKVQVFEYLSYGTKGLAFAQYGSYWCTVRKWCTLQLLSASKVEFFTPVRRTELQSSVKLLKNVAAAGEVVDLNSKVGELIEDIMYMMILGRCKYDKLDFKPLV >EOY21869 pep chromosome:Theobroma_cacao_20110822:3:19288472:19292009:-1 gene:TCM_014018 transcript:EOY21869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase MMVNILSRERRCIRYTKEKGKILGRENSAAKRVDLKECEMAATSATSFSIGSTVSLGSRGCSLPQKKPFSVRFTSQNSLTSFSGLKAATSVNCESESSFLGKESSAALRASVAPKAQKPNQRSQYVLQPQASYKVAILGAAGGIGQPLALLIKMSPLVSTLNLYDIANVKGVAADLSHCNTPSQVLDFTGASELGNCLKGVNVVVIPAGVPRKPGMTRDDLFNINANIVKTLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYDPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKAKPSVSFTDEEVEQLTVRIQNAGTEVVEAKAGAGSATLSMGYAAARFVESSLRALDGDGDVYECSFVQSNLTDLPFFASRIKLGRKGIEAWIPSDLEGLTEYEQKALEALKPELKASIEKGIAFVQKQPVTA >EOY22102 pep chromosome:Theobroma_cacao_20110822:3:21382779:21390256:-1 gene:TCM_014295 transcript:EOY22102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MYTQGSHNPQPQQGTQKPMSSLYQQRLPGPPPSLPHFQQGPLAYTYQIPPGGLPNTGQSYLHPPIHVHRGALLPHMYQTAQQNSQHHSHLGAQNAHNMPQLVLPSPISASHTEVSQAQPHPRALPPPPPPPPQSQRQTFYRAPVNPLPQKPGLPHISSHPPLPPTTSFFTSAPLGSLVHSTGGDHNVLSTASLPPPPLPSSPPPILPSPPPSTSTPFSFSKPVQISSNLPCHLDSDGSKLSASGSMDEVAAPNQVKHNLIADNGSLNKGVGNGCDMSSLVGDKLSLEVCLTNDHSSTPLKPTDEKVVERIEALCQCIAKNGPDYEDMVRKKESSKPEYAFLHGGELGSEAAIAHDFFQWMKKKSILSCKLDERQGNSTLRPSKNEPSEQPFNLVITAASYLPDDSDMEMEDDITQIDDEQETNRSLEGLDSQCDINDNMLNVKEQLHPLKISAECNSYKDVSSEKESAAGSSGLGEQGPEGIANADKKAIGASVSKVIAVKNLAVPTEQPLVTSLEKLDTSSQLAKGGSPFRLLQDYASDDNTEKDVENCVENTCVSLGANLHRDAGSSLENVSSHCKTEKGFGPLYILSIPCAVASSEVVEGTVTTSSINGNEHVDNKHVHQISIHHAASMEVFQKENVMVGASVGSARFSKVNRQEEENGTLGFTQQKVDKFGRLARDGASDSDDDSHYIGRHRRGRSWSRSRSRSPPDRRRRRSPRRRREKRSRSRSWSPRNCRSRSRSPRNRRSRSRSPRTRRSRSRSPRNHRSKSRSPNFRRAGEFIGENKRRVKGQMPDCFDFRRGRCYRGASCRYLHHDSSKSDEPLRQRNKQQYLEFPQSSRTNVHEEIKQISERVCDHDHDEVRDPEVKPYSNFFASRDMNIDRKREDSVGGGVHNQDRQSTEYYMVTSEKCRDIPAPVFGGHLVENKQKGPSPVTNEDCQEAAESHHPSIVDTLSVGYIDKLKSFDNASQKILMSFKNSDQKSLSNPLDQVCQNADCRPLQSDNSSVSDSSPLKTTTSSPNRHTKSNAHPNTMELYNHPSQIPSPSFPHSQGIDNPHMKQQQTASSMFQSSGESFPSYMLPNQHSYFALQPNSSSTSLPPPPPLPPQDVTVNSGTVTPGVSSHFRQSHLPLRNDFGSQIGPRSYPTEFPAHSQSDGFQQQAYLPIQEANRPFLHASLPVYNMPIQQFGAPSMSRDDGLTQPPTHNVIASNSFAQGNTHPHTMPFSEQLLGNKMQPFPGESLPSGGLSNSSSYIHPYSQQQQPPNSSHHPMVDNIHNLTGKMNSSIKDPPDIRDTTSHRVDIGGSTSSTFPDPYASNLDQPLNSKYSSDVLRQEKDKTYNNSPFSLTHAPVDGRSIGSQQATSSPNSARAIGQNFPRSGGDQYDPLFDSIEPSSRLSRKFDYIQKLEVTGDSDILLGLTGSNKPLDMEENDRRKDGGAVASAASADNEEFGETADAEVGAIENGSPSNQVEVNMTTGEIEIDQIKSPGKSKENKGSRSMKLFKVALADFVKEVLKPSWRQGNMSKEAFKTIVKKTVDKVSGAMKSYQIPKSRAKIDQYIESSQRKLTKLVMGYVDKYVKV >EOY22103 pep chromosome:Theobroma_cacao_20110822:3:21382457:21395363:-1 gene:TCM_014295 transcript:EOY22103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MYTQGSHNPQPQQGTQKPMSSLYQQRLPGPPPSLPHFQQGPLAYTYQIPPGGLPNTGQSYLHPPIHVHRGALLPHMYQTAQQNSQHHSHLGAQNAHNMPQLVLPSPISASHTEVSQAQPHPRALPPPPPPPPQSQRQTFYRAPVNPLPQKPGLPHISSHPPLPPTTSFFTSAPLGSLVHSTGGDHNVLSTASLPPPPLPSSPPPILPSPPPSTSTPFSFSKPVQISSNLPCHLDSDGSKLSASGSMDEVAAPNQVKHNLIADNGSLNKGVGNGCDMSSLVGDKLSLEVCLTNDHSSTPLKPTDEKVVERIEALCQCIAKNGPDYEDMVRKKESSKPEYAFLHGGELGSEAAIAHDFFQWMKKKSILSCKLDERQGNSTLRPSKNEPSEQPFNLVITAASYLPDDSDMEMEDDITQIDDEQETNRSLEGLDSQCDINDNMLNVKEQLHPLKISAECNSYKDVSSEKESAAGSSGLGEQGPEGIANADKKAIGASVSKVIAVKNLAVPTEQPLVTSLEKLDTSSQLAKGGSPFRLLQDYASDDNTEKDVENCVENTCVSLGANLHRDAGSSLENVSSHCKTEKGFGPLYILSIPCAVASSEVVEGTVTTSSINGNEHVDNKHVHQISIHHAASMEVFQKENVMVGASVGSARFSKVNRQEEENGTLGFTQQKVDKFGRLARDGASDSDDDSHYIGRHRRGRSWSRSRSRSPPDRRRRRSPRRRREKRSRSRSWSPRNCRSRSRSPRNRRSRSRSPRTRRSRSRSPRNHRSKSRSPNFRRAGEFIGENKRRVKGQMPDCFDFRRGRCYRGASCRYLHHDSSKSDEPLRQRNKQQYLEFPQSSRTNVHEEIKQISERVCDHDHDEVRDPEVKPYSNFFASRDMNIDRKREDSVGGGVHNQDRQSTEYYMVTSEKCRDIPAPVFGGHLVENKQKGPSPVTNEDCQEAAESHHPSIVDTLSVGYIDKLKSFDNASQKILMSFKNSDQKSLSNPLDQVCQNADCRPLQSDNSSVSDSSPLKTTTSSPNRHTKSNAHPNTMELYNHPSQIPSPSFPHSQGIDNPHMKQQQTASSMFQSSGESFPSYMLPNQHSYFALQPNSSSTSLPPPPPLPPQDVTVNSGTVTPGVSSHFRQSHLPLRNDFGSQIGPRSYPTEFPAHSQSDGFQQQAYLPIQEANRPFLHASLPVYNMPIQQFGAPSMSRDDGLTQPPTHNVIASNSFAQGNTHPHTMPFSEQLLGNKMQPFPGESLPSGGLSNSSSYIHPYSQQQQPPNSSHHPMVDNIHNLTGKMNSSIKDPPDIRDTTSHRVDIGGSTSSTFPDPYASNLDQPLNSKYSSDVLRQEKDKTYNNSPFSLTHAPVDGRSIGSQQATSSPNSARAIGQNFPRSGGDQYDPLFDSIEPSSRLSRKFDYIQKLEVTGDSDILLGLTGSNKPLDMEENDRRKDGGAVASAASADNEEFGETADAEVGAIENGSPSNQVEVNMTTGEIEIDQIKSPGKSKENKGSRSMKLFKVALADFVKEVLKPSWRQGNMSKEAFKTIVKKTVDKVSGAMKSYQIPKSRAKIDQYIESSQRKLTKLVMGYVDKYVKV >EOY22138 pep chromosome:Theobroma_cacao_20110822:3:21802341:21804448:-1 gene:TCM_014347 transcript:EOY22138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAQECMWLKSLMGDIMCKIDYAVQIKCDNESAIKLASNLIFHERTKHIEVRHHYIREKVLNQEIELKGVSTNDQVADIFTKAFEKPKFEFVRVVLGVIDCKHALRGSDKN >EOY22487 pep chromosome:Theobroma_cacao_20110822:3:23664224:23668485:1 gene:TCM_014646 transcript:EOY22487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 84, putative isoform 2 MEDRSEKPNPNPKSHFKNQNYDNTKGEKKQKKKSEFEFCKVCKLNHNQGPRHKYFPNHKNSLSAFLSRIQNKINDIRFFLKNPTIIRPEHAERNRFWCVFCDADVDELDSSFACENAINHLVSAHHLKNLKHFLWQYGGKMDCLDMYRILETDLTKWQKKCKSLKSEAVAALGEGSCGVVYGTSYDIQNNVNFDKINNLEQNDINPLKSSYSNVVTPLQYHTNESQISNSRFSEVANFGSNLHDVNFSLPAAACSNISLWNSNDLTVRVSYIKNENTLISFVFMFSVLELPANSISQHDLLYKNGICSANAYLSHIGYLALFLELNAEPCPSLFCHATFPQALEEVDHVCQVYQGGSMVNRESSSQGLLSLTEVASLSTVDAGGNVHSGAPPPWFEATDEAKPALSSFISSNKSQKSSKLNPKRVGAAWAEKRKMELEKEKRGEIVKSDCDANWLPNFGRVWQSGSRKESRKEFEIEKQKFLKVESHSEMPIKIQPYISKRMRRDFGE >EOY22488 pep chromosome:Theobroma_cacao_20110822:3:23664570:23668232:1 gene:TCM_014646 transcript:EOY22488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 84, putative isoform 2 RSEKPNPNPKSHFKNQNYDNTKGEKKQKKKSEFEFCKVCKLNHNQGPRHKYFPNHKNSLSAFLSRIQNKINDIRFFLKNPTIIRPEHAERNRFWCVFCDADVDELDSSFACENAINHLVSAHHLKNLKHFLWQYGGKMDCLDMYRILETDLTKWQKKCKSLKSEAVAALGEGSCGVVYGTSYDIQNNVNFDKINNLEQNDINPLKSSYSNVVTPLQYHTNESQISNSRFSEVANFGSNLHDVNFSLPAAACSNISLWNSNDLTANSISQHDLLYKNGICSANAYLSHIGGGSMVNRESSSQGLLSLTEVASLSTVDAGGNVHSGAPPPWFEATDEAKPALSSFISSNKSQKSSKLNPKRVGAAWAEKRKMELEKEKRGEIVKSDCDANWLPNFGRVWQSGSRKESRKEFEIEKQKFLKVESHSEMPIKIQPYISKRMRRDFGE >EOY23856 pep chromosome:Theobroma_cacao_20110822:3:28771019:28774854:-1 gene:TCM_015616 transcript:EOY23856 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 1 MKGRTHRADPHDDWGDGSWTVDCVCGVNFDDGEEMVKCDECGVWVHTRCSRYTKAEELFACDKCKSKSNRNDSEEKEVAQLLVELPTKTVRIESSYVGHVPPRRPFRLWTDIPMEERVHVQGVPGGEPGLFGGLSGVFTPELWKCTGYVPKKFNFQYREFPCWDEKKDDDNKNGMQNENENGNLVDNGAGVLFSLSKERVFGAPIYPMKDALKEGKKSEGEDLDGKRWQNGARKDRSVLQPVVIPSSKRKKDELGASKDRSAKKKSRSAAEKEAYEKKRAAQSHKTVFRPSSDAKQLEFYEDRGSKSFKMDVQSVKNKNLRDGVLQEPTSDGNVALNHAIERPQNNLVAKERASEASTSSMSGHDCSIRFELKEEKVDHQIPAAMKSSPATEDVVALPLEHKDPGITPVIEEGDSMTIDKVDGGVEGSPSLQEHPVDDLASSALGAQGNKIVKDSNVCMPHVLIKPDIEVKKEMNYDDGSKVVLTAQSSPHDDTKDTGKSLHQTSETSQMNDVVGGSSQSSDGKEKVIVSEAVADCPSDKANEMSGDCSLLKRDLEGSEVPEPVQKSSSESKLVPGSAEELKLSGNVLTSEEQSIQHKTVVCVGKSSSTSSAAVNPMSSIPDNSKPTDTQNSNPNTKQRVISDNNASIKKDHAASDVPRDEDRHDLSRKTAKERPKSSFGSASKVSHQSRISHASISRRTISESKDYVPSSFSKASSVQNTSVTSVSGEPAGSMQSHSAPHVQQNKTSASGFPQKGEKLNHSSTQPASKVTHPTSAHPFAPSNSPTLSDEELALLLHQELNSSPRVPRVPRVRHTGSFPQLASPTATSMLIKRTSSSGGKDHSVVICALPYLTFCANLHSCFKY >EOY23854 pep chromosome:Theobroma_cacao_20110822:3:28768624:28775283:-1 gene:TCM_015616 transcript:EOY23854 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 1 MKGRTHRADPHDDWGDGSWTVDCVCGVNFDDGEEMVKCDECGVWVHTRCSRYTKAEELFACDKCKSKSNRNDSEEKEVAQLLVELPTKTVRIESSYVGHVPPRRPFRLWTDIPMEERVHVQGVPGGEPGLFGGLSGVFTPELWKCTGYVPKKFNFQYREFPCWDEKKDDDNKNGMQNENENGNLVDNGAGVLFSLSKERVFGAPIYPMKDALKEGKKSEGEDLDGKRWQNGARKDRSVLQPVVIPSSKRKKDELGASKDRSAKKKSRSAAEKEAYEKKRAAQSHKTVFRPSSDAKQLEFYEDRGSKSFKMDVQSVKNKNLRDGVLQEPTSDGNVALNHAIERPQNNLVAKERASEASTSSMSGHDCSIRFELKEEKVDHQIPAAMKSSPATEDVVALPLEHKDPGITPVIEEGDSMTIDKVDGGVEGSPSLQEHPVDDLASSALGAQGNKIVKDSNVCMPHVLIKPDIEVKKEMNYDDGSKVVLTAQSSPHDDTKDTGKSLHQTSETSQMNDVVGGSSQSSDGKEKVIVSEAVADCPSDKANEMSGDCSLLKRDLEGSEVPEPVQKSSSESKLVPGSAEELKLSGNVLTSEEQSIQHKTVVCVGKSSSTSSAAVNPMSSIPDNSKPTDTQNSNPNTKQRVISDNNASIKKDHAASDVPRDEDRHDLSRKTAKERPKSSFGSASKVSHQSRISHASISRRTISESKDYVPSSFSKASSVQNTSVTSVSGEPAGSMQSHSAPHVQQNKTSASGFPQKGEKLNHSSTQPASKVTHPTSAHPFAPSNSPTLSDEELALLLHQELNSSPRVPRVPRVRHTGSFPQLASPTATSMLIKRTSSSGGKDHSVVSRRKNKDASKDASRGSRELDDEAKRTDKALLSPDQRQDTGSAMDASVKRDDKNVLPAPTTTTNSGPSSSTEANDQTLSSIRSSPRNISDDDPGIVRGSAPRTLPGLINEIMSKGRRMAYEELCNAVLPHWPNLRKHNGERYAYSSHSQAVLDCLRNRQEWAQLVDRGPKTNSSRKRRKADAEESEDNEYSKGRTTKEVESKSLESQKEEFPKGKRKARKRRRLALQGRGIKDVQRRRKVDFSEDDAGPFSNSSEESMFSEDEIQGGGACPAGSEASASSDEIGTM >EOY23855 pep chromosome:Theobroma_cacao_20110822:3:28768067:28777415:-1 gene:TCM_015616 transcript:EOY23855 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 1 MKGRTHRADPHDDWGDGSWTVDCVCGVNFDDGEEMVKCDECGVWVHTRCSRYTKAEELFACDKCKSKSNRNDSEEKEVAQLLVELPTKTVRIESSYVGHVPPRRPFRLWTDIPMEERVHVQGVPGGEPGLFGGLSGVFTPELWKCTGYVPKKFNFQYREFPCWDEKKDDDNKNGMQNENENGNLVDNGAGVLFSLSKERVFGAPIYPMKDALKEGKKSEGEDLDGKRWQNGARKDRSVLQPVVIPSSKRKKDELGASKDRSAKKKSRSAAEKEAYEKKRAAQSHKTVFRPSSDAKQLEFYEDRGSKSFKMDVQSVKNKNLRDGVLQEPTSDGNVALNHAIERPQNNLVAKERASEASTSSMSGHDCSIRFELKEEKVDHQIPAAMKSSPATEDVVALPLEHKDPGITPVIEEGDSMTIDKVDGGVEGSPSLQEHPVDDLASSALGAQGNKIVKDSNVCMPHVLIKPDIEVKKEMNYDDGSKVVLTAQSSPHDDTKDTGKSLHQTSETSQMNDVVGGSSQSSDGKEKVIVSEAVADCPSDKANEMSGDCSLLKRDLEGSEVPEPVQKSSSESKLVPGSAEELKLSGNVLTSEEQSIQHKTVVCVGKSSSTSSAAVNPMSSIPDNSKPTDTQNSNPNTKQRVISDNNASIKKDHAASDVPRDEDRHDLSRKTAKERPKSSFGSASKVSHQSRISHASISRRTISESKDYVPSSFSKASSVQNTSVTSVSGEPAGSMQSHSAPHVQQNKTSASGFPQKGEKLNHSSTQPASKVTHPTSAHPFAPSNSPTLSDEELALLLHQELNSSPRVPRVPRVRHTGSFPQLASPTATSMLIKRTSSSGGKDHSVVSRRKNKDASKDASRGSRELDDEAKRTDKALLSPDQRQDTGSAMDASVKRDDKNVLPAPTTTTNSGPSSSTEANDQTLSSIRSSPRNISDDDPGIVRGSAPRTLPGLINEIMSKGRRMAYEELCNAVLPHWPNLRKHNGERYAYSSHSQAVLDCLRNRQEWAQLVDRGPKTNSSRKRRKADAEESEDNEYSKGRTTKEVESKSLESQKEEFPKGKRKARKRRRLALQGRGIKDVQRRRKVDFSEDDAGPFSNSSEESMFSEDEIQGGGACPAGSEASASSDEIGTM >EOY23857 pep chromosome:Theobroma_cacao_20110822:3:28768624:28775283:-1 gene:TCM_015616 transcript:EOY23857 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 1 MRTVVICQEHTFHIIEMNGNGESHLYQSWHMHVDSRFFCCFCVCFHSLLVFRPSSDAKQLEFYEDRGSKSFKMDVQSVKNKNLRDGVLQEPTSDGNVALNHAIERPQNNLVAKERASEASTSSMSGHDCSIRFELKEEKVDHQIPAAMKSSPATEDVVALPLEHKDPGITPVIEEGDSMTIDKVDGGVEGSPSLQEHPVDDLASSALGAQGNKIVKDSNVCMPHVLIKPDIEVKKEMNYDDGSKVVLTAQSSPHDDTKDTGKSLHQTSETSQMNDVVGGSSQSSDGKEKVIVSEAVADCPSDKANEMSGDCSLLKRDLEGSEVPEPVQKSSSESKLVPGSAEELKLSGNVLTSEEQSIQHKTVVCVGKSSSTSSAAVNPMSSIPDNSKPTDTQNSNPNTKQRVISDNNASIKKDHAASDVPRDEDRHDLSRKTAKERPKSSFGSASKVSHQSRISHASISRRTISESKDYVPSSFSKASSVQNTSVTSVSGEPAGSMQSHSAPHVQQNKTSASGFPQKGEKLNHSSTQPASKVTHPTSAHPFAPSNSPTLSDEELALLLHQELNSSPRVPRVPRVRHTGSFPQLASPTATSMLIKRTSSSGGKDHSVVSRRKNKDASKDASRGSRELDDEAKRTDKALLSPDQRQDTGSAMDASVKRDDKNVLPAPTTTTNSGPSSSTEANDQTLSSIRSSPRNISDDDPGIVRGSAPRTLPGLINEIMSKGRRMAYEELCNAVLPHWPNLRKHNGERYAYSSHSQAVLDCLRNRQEWAQLVDRGPKTNSSRKRRKADAEESEDNEYSKGRTTKEVESKSLESQKEEFPKGKRKARKRRRLALQGRGIKDVQRRRKVDFSEDDAGPFSNSSEESMFSEDEIQGGGACPAGSEASASSDEIGTM >EOY22486 pep chromosome:Theobroma_cacao_20110822:3:23659415:23660215:1 gene:TCM_014645 transcript:EOY22486 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: C2H2-like zinc finger protein (TAIR:AT2G01940.3); Has 78 Blast hits to 78 proteins in 11 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 78; Viruses - 0; Other Eukaryotes - 0 (source: N /.../ink). [Source:Projected from Arabidopsis thaliana (AT3G48550) TAIR;Acc:AT3G48550] MEEEDQKELQLLPTSLSIASSSQMSSRASDSSLRYRSTTTSDQYEGPSLDLQLSISLRPIQQPSNCVIAGPICDFGDVKADTSCVESLKWQAAEQIRLAAIEKAYAERVRDLTKREMELAQSEFARARHMWQRAREEVEKAERMKERATRKIDSTCMEITCQSCRQRFRP >EOY24965 pep chromosome:Theobroma_cacao_20110822:3:32789754:32793497:1 gene:TCM_016413 transcript:EOY24965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MAEESQVRFVRCFKCKSLLQELREYSFYKCGSCGAVLQAKKKEPASNGFLDKPIEEKGRGGCEEVDSQLDKGGSLRSASETEICGVEGISRRKERTFGEKSMNFNSVSARINKENVYLGDDKKVREHKHEHNRAEKGVGYVDNYMPSSKNPVNNWAQRDDQDMNMNRSKSVNSSRGSKVEDYSPKLANFAGSLRLKGVTDMRGVDRDGFGGFYKRSLRTIDEQDRFSVYRYPDEGPSNYRYGSAYGYHKPVKSFGYLDVPSVIKKFDRTQLLKELDELKDQLLQRPRDMVPSDNIIASSDPLGGSIGNKSSAHHRSAQYPHVSELTYLNHSSMNDDDHVQNYGKFHPASKHVRRKIPGCGDSLSPCMHRRWHQQVTHYHHQHRTYGYSSRHNEDLLASYPNPDETLYHLPSCSCLHCSDKNLKGPLQVPPDFSKRRFLKDPCNSNFNHYVNSDGVQQHYLPRTAQCPLVNFQDSPVHVWPSDIDSDIDGFGRRCPRRVVLACRDKQICHPIANGAPFITCHKCLALLKLPRKFRKTMKNDQRLRCGACSTAIVFEMEKRLINSVPGNPKRRPTEAEQKSSELLNVGHLSSHGCFNAGATIPSSRNVEHSGYDQTSSKDVESPDNVIDWKDSPGSPEQPSSYDVCPAVSSLPFQDQVSNPSSNQAVSGSRKGNRTKLAQEEKVILLKNASQVVSEKNAPVATEVEVSFSGYLNSSSSKDTLQASKEENQLKLHKGSKSFLVGLIKKSFRDCSRSNDNIKNERPNVLVNGQDMSDSAVRKAEKQAGPIRPGNYW >EOY24962 pep chromosome:Theobroma_cacao_20110822:3:32789516:32793782:1 gene:TCM_016413 transcript:EOY24962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MAEESQVRFVRCFKCKSLLQELREYSFYKCGSCGAVLQAKKKEPASNGFLDKPIEEKGRGGCEEVDSQLDKGGSLRSASETEICGVEGISRRKERTFGEKSMNFNSVSARINKENVYLGDDKKVREHKHEHNRAEKGVGYVDNYMPSSKNPVNNWAQRDDQDMNMNRSKSVNSSRGSKVEDYSPKLANFAGSLRLKGVTDMRGVDRDGFGGFYKRSLRTIDEQDRFSVYRYPDEGPSNYRYGSAYGYHKPVKSFGYLDVPSVIKKFDRTQLLKELDELKDQLLQRPRDMVPSDNIIASSDPLGGSIGNKSSAHHRSAQYPHVSELTYLNHSSMNDDDHVQNYGKFHPASKHVRRKIPGCGDSLSPCMHRRWHQQVTHYHHQHRTYGYSSRHNEDLLASYPNPDETLYHLPSCSCLHCSDKNLKGPLQVPPDFSKRRFLKDPCNSNFNHYVNSDGVQQHYLPRTAQCPLVNFQDSPVHVWPSDIDSDIDGFGRRCPRRVVLACRDKQICHPIANGAPFITCHKCLALLKLPRKFRKTMKNDQRLRCGACSTAIVFEMEKRLINSVPGNPKRRPTEAEQKSSELLNVGHLSSHGCFNAGATIPSSRNVEHSGYDQTSSKDVESPDNVIDWKDSPGSPEQPSSYDVCPAVSSLPFQDQVSNPSSNQAVSGSRKGNRTKLAQEEKVILLKNASQVVSEKNAPVATEVEVSFSGYLNSSSSKDTLQASKEENQLKLHKGSKSFLVGLIKKSFRDCSRSNDNIKNERPNVLVNGQDMSDSAVRKAEKQAGPIRPGNY >EOY24963 pep chromosome:Theobroma_cacao_20110822:3:32789232:32793497:1 gene:TCM_016413 transcript:EOY24963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MAEESQVRFVRCFKCKSLLQELREYSFYKCGSCGAVLQAKKKEPASNGFLDKPIEEKGRGGCEEVDSQLDKGGSLRSASETEICGVEGISRRKERTFGEKSMNFNSVSARINKENVYLGDDKKVREHKHEHNRAEKGVGYVDNYMPSSKNPVNNWAQRDDQDMNMNRSKSVNSSRGSKVEDYSPKLANFAGSLRLKGVTDMRGVDRDGFGGFYKRSLRTIDEQDRFSVYRYPDEGPSNYRYGSAYGYHKPVKSFGYLDVPSVIKKFDRTQLLKELDELKDQLLQRPRDMVPSDNIIASSDPLGGSIGNKSSAHHRSAQYPHVSELTYLNHSSMNDDDHVQNYGKFHPASKHVRRKIPGCGDSLSPCMHRRWHQQVTHYHHQHRTYGYSSRHNEDLLASYPNPDETLYHLPSCSCLHCSDKNLKGPLQVPPDFSKRRFLKDPCNSNFNHYVNSDGVQQHYLPRTAQCPLVNFQDSPVHVWPSDIDSDIDGFGRRCPRRVVLACRDKQICHPIANGAPFITCHKCLALLKLPRKFRKTMKNDQRLRCGACSTAIVFEMEKRLINSVPGNPKRRPTEAEQKSSELLNVGHLSSHGCFNAGATIPSSRNVEHSGYDQTSSKDVESPDNVIDWKDSPGSPEQPSSYDVCPAVSSLPFQDQVSNPSSNQAVSGSRKGNRTKLAQEEKVILLKNASQVVSEKNAPVATEVEVSFSGYLNSSSSKDTLQASKEENQLKLHKGSKSFLVGLIKKSFRDCSRSNDNIKNERPNVLVNGQDMSDSAVRKAEKQAGPIRPGNYCCAT >EOY24964 pep chromosome:Theobroma_cacao_20110822:3:32789095:32793782:1 gene:TCM_016413 transcript:EOY24964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MAEESQVRFVRCFKCKSLLQELREYSFYKCGSCGAVLQAKKKEPASNGFLDKPIEEKGRGGCEEVDSQLDKGGSLRSASETEICGVEGISRRKERTFGEKSMNFNSVSARINKENVYLGDDKKVREHKHEHNRAEKGVGYVDNYMPSSKNPVNNWAQRDDQDMNMNRSKSVNSSRGSKVEDYSPKLANFAGSLRLKGVTDMRGVDRDGFGGFYKRSLRTIDEQDRFSVYRYPDEGPSNYRYGSAYGYHKPVKSFGYLDVPSVIKKFDRTQLLKELDELKDQLLQRPRDMVPSDNIIASSDPLGGSIGNKSSAHHRSAQYPHVSELTYLNHSSMNDDDHVQNYGKFHPASKHVRRKIPGCGDSLSPCMHRRWHQQVTHYHHQHRTYGYSSRHNEDLLASYPNPDETLYHLPSCSCLHCSDKNLKGPLQVPPDFSKRRFLKDPCNSNFNHYVNSDGVQQHYLPRTAQCPLVNFQDSPVHVWPSDIDSDIDGFGRRCPRRVVLACRDKQICHPIANGAPFITCHKCLALLKLPRKFRKTMKNDQRLRCGACSTAIVFEMEKRLINSVPGNPKRRPTEAEQKSSELLNVGHLSSHGCFNAGATIPSSRNVEHSGYDQTSSKDVESPDNVIDWKDSPGSPEQPSSYDVCPAVSSLPFQDQVSNPSSNQAVSGSRKGNRTKLAQEEKVILLKNASQVVSEKNAPVATEVEVSFSGYLNSSSSKDTLQASKEENQLKLHKGSKSFLVGLIKKSFRDCSRSNDNIKNERPNVLVNGQDMSDSAVRKAEKQAGPIRPGNYCCAT >EOY24770 pep chromosome:Theobroma_cacao_20110822:3:32166553:32169502:1 gene:TCM_016272 transcript:EOY24770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane intrinsic protein 1,4 isoform 3 MEGKEEDVKLGANKFPERQPIGTSAQTDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSQSKTKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLLLARKLSLTRAVFYIIMQCLGAICGAGVVKGFQGDSRYEMLRGGANVVNHGYTKGDGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAVIYNKDHAWDDHWIFWVGPFIGAALAAIYHQIIIRAIPFKARA >EOY24769 pep chromosome:Theobroma_cacao_20110822:3:32166643:32169151:1 gene:TCM_016272 transcript:EOY24769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane intrinsic protein 1,4 isoform 3 MEGKEEDVKLGANKFPERQPIGTSAQTDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSQSKTKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLLLARKLSLTRAVFYIIMQCLGAICGAGVVKGFQGDSRYEMLRGGANVVNHGYTKGDGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAVIYNKDHAWDDHWIFWVGPFIGAALAAIYHQIIIRAIPFKARA >EOY24771 pep chromosome:Theobroma_cacao_20110822:3:32166552:32169654:1 gene:TCM_016272 transcript:EOY24771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane intrinsic protein 1,4 isoform 3 RKMEGKEEDVKLGANKFPERQPIGTSAQTDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSQSKTKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLLLARKLSLTRAVFYIIMQCLGAICGAGVVKGFQGDSRYEMLRGGANVVNHGYTKGDGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAVIYNKDHAWDDHWIFWVGPFIGAALAAIYHQIIIRAIPFKSRS >EOY21255 pep chromosome:Theobroma_cacao_20110822:3:5128205:5133748:-1 gene:TCM_012687 transcript:EOY21255 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEBP family protein isoform 1 MPYAWHIRHTNLSHFSPFTPLYKLLLAFLYSTLTPNQTNILISSPLLFALFFSMAVSVDPLVVGRVIGDVVDMFVPTVTMSVYYGSRHVTNGCDIKPSTTINPPKVSINGHSDELYTLVMTDPDAPSPSEPSMREWVHWIVSDIPGGTNPTRGKEILVYMGPRPPVGIHRYILVLFQQKGPLGQVQQPASRANFSTRLFAQHLNLGQPVATVYFNAQKEPVSRRR >EOY21256 pep chromosome:Theobroma_cacao_20110822:3:5128296:5131105:-1 gene:TCM_012687 transcript:EOY21256 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEBP family protein isoform 1 MAVSVDPLVVGRVIGDVVDMFVPTVTMSVYYGSRHVTNGCDIKPSTTINPPKVMTDPDAPSPSEPSMREWVHWIVSDIPGGTNPTRGKEILVYMGPRPPVGIHRYILVLFQQKGPLGQVQQPASRANFSTRLFAQHLNLGQPVATVYFNAQKEPVSRRR >EOY25004 pep chromosome:Theobroma_cacao_20110822:3:32938358:32942311:1 gene:TCM_016454 transcript:EOY25004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 METNYVLLMGLFLLLVAVDCSSSDPKGNVNEENGLDQNVDDIVDPVNGKNVLKSASDSIEANKVNVKANERDQIDKSGIESGTSKSNLNQQSGSNEGENLQKDGQESSAEAKAKTDGKNEGDNMPEGQGESNVEAKGKMDGENEGDNVHNKSQEESNVEAKGKMDGETEGDNVHKDHEKSNAEAKGKADGGKKENLGDSVDPKELTVKKDNAQDSVPPPPPTRTDGFRGEECDPSNMCMDKNERFAACLRVPGNESPDLSLLIQNKGKGPLTIKISAPAFVQLEETDVELQEKQDKKVKVSIKDSGTGNLIVLKDGRGECSLDFKDLIVHNSAESYVNFLSQTPTTTLIFVAAILILASGWMCMSFKRRQLARSGLKYQRLDMELPVSAGAKTEPDVNDGWDNSWGNNWEDEEAPMTPLMPVTPSLSSKGLASRRLSKEGWKD >EOY25005 pep chromosome:Theobroma_cacao_20110822:3:32938656:32942122:1 gene:TCM_016454 transcript:EOY25005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 METNYVLLMGLFLLLVAVDCSSSDPKGNVNEENGLDQNVDDIVDPVNGKNVLKSASDSIEANKVNVKANERDQIDKSGIESGTSKSNLNQQSGSNEGENLQKDGQESSAEAKAKTDGKNEGDNMPEGQGESNVEAKGKMDGENEGDNVHNKSQEESNVEAKGKMDGETEGDNVHKDHEKSNAEAKGKADGGKKENLGDSVDPKELTVKKDNAQDSVPPPPPTRTDGFRGEECDPSNMCMDKNERFAACLRVPGNESPDLSLLIQNKGKGPLTIKISAPAFVQLEETDVELQEKQDKKVKVSIKDSGTGNLIVLKDGRGECSLDFKDLIVHNSAESYVGCA >EOY24494 pep chromosome:Theobroma_cacao_20110822:3:31199210:31204195:-1 gene:TCM_016085 transcript:EOY24494 gene_biotype:protein_coding transcript_biotype:protein_coding description:F5O11.10 isoform 2 MAATTNTTTTPVDAVSADELTAKAVHKRYEGLVMVRTKAIKGKGAWYWAHLEPMLVHNTDTGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSLAKPISSVSPSPTTVATATATQSNRKRSSSSVTVTATGGVLVGSGSGSGSGLGCSYQVTPLAIVDPSRFCGELAYSPSPGAVVTASGGSLVPQHQQHLVLSGGKEDLGALAMLEDSVKKLKSPKTSPGPTLSKSQIECAVDFLADWIYECCGSVSFSSLEHPKFRAFLNQVGLPPVSRRELAGSRLDVKYEEVKSESEARIRDAMFFQVASDGWKAKSFASGEESLVNLMVNLPNGTSLYRRAVFLSGAVPSKYAEEVLWETVTGICGNAVQQCAGIVADKFKAKALRNLENQHHWMVNLSCQFQGLNSLIKDFSKELPLFKTVTENALKLANFINNTSQIRISFQKYQLQECGSADLLRVPLRDHESLNFGPVYTMIEDILNSARALQLLLLDETYKMVSMEDPVARDVAEMIRDMGFWNDLEAVHSLVKLIKEMAQEIETERPLVGKCLPLWDDLRTKVKDWCSKFHIAEGQVEKVIERRFKKNYHPAWAAAYILDPLYLIRDTSGKYLPPFKCLTLEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYAQAVQMKERDPVTGKMKIANPQSSRLIWETHLTEFKSLGKVAVRLIFLHATSCGFKCSWSLLRWVGAHGHSRVGMDRAQKLIFVAAHSKLERRDFSSDEEKDAELFALANGEDDVLNESHWLMPPMIARKVLRGPKAKEDCRHEAAHKMLESDPTTISN >EOY24495 pep chromosome:Theobroma_cacao_20110822:3:31200150:31204084:-1 gene:TCM_016085 transcript:EOY24495 gene_biotype:protein_coding transcript_biotype:protein_coding description:F5O11.10 isoform 2 MAATTNTTTTPVDAVSADELTAKAVHKRYEGLVMVRTKAIKGKGAWYWAHLEPMLVHNTDTGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSLAKPISSVSPSPTTVATATATQSNRKRSSSSVTVTATGGVLVGSGSGSGSGLGCSYQVTPLAIVDPSRFCGELAYSPSPGAVVTASGGSLVPQHQQHLVLSGGKEDLGALAMLEDSVKKLKSPKTSPGPTLSKSQIECAVDFLADWIYECCGSVSFSSLEHPKFRAFLNQVGLPPVSRRELAGSRLDVKYEEVKSESEARIRDAMFFQVASDGWKAKSFASGEESLVNLMVNLPNGTSLYRRAVFLSGAVPSKYAEEVLWETVTGICGNAVQQCAGIVADKFKAKALRNLENQHHWMVNLSCQFQGLNSLIKDFSKELPLFKTVTENALKLANFINNTSQIRISFQKYQLQECGSADLLRVPLRDHESLNFGPVYTMIEDILNSARALQLLLLDETYKMVSMEDPVARDVAEMIRDMGFWNDLEAVHSLVKLIKEMAQEIETERPLVGKCLPLWDDLRTKVKDWCSKFHIAEGQVEKVIERRFKKNYHPAWAAAYILDPLYLIRDTSGKYLPPFKCLTLEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYAQAVQMKERDPVTGKMKIANPQSSRLIWETHLTEFKSLGKVAVRLIFLHATSCGFKCSWSLLRWVGAHGHSRVGMDRAQKLIFVAAHSKLERRDFSSDEEKDAELFALANGEDDVLNEVLVETSSVSM >EOY24493 pep chromosome:Theobroma_cacao_20110822:3:31199210:31204182:-1 gene:TCM_016085 transcript:EOY24493 gene_biotype:protein_coding transcript_biotype:protein_coding description:F5O11.10 isoform 2 MAATTNTTTTPVDAVSADELTAKAVHKRYEGLVMVRTKAIKGKGAWYWAHLEPMLVHNTDTGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSLAKPISSVSPSPTTVATATATQSNRKRSSSSVTVTATGGVLVGSGSGSGSGLGCSYQVTPLAIVDPSRFCGELAYSPSPGAVVTASGGSLVPQHQQHLVLSGGKEDLGALAMLEDSVKKLKSPKTSPGPTLSKSQIECAVDFLADWIYECCGSVSFSSLEHPKFRAFLNQVGLPPVSRRELAGSRLDVKYEEVKSESEARIRDAMFFQVASDGWKAKSFASGEESLVNLMVNLPNGTSLYRRAVFLSGAVPSKYAEEVLWETVTGICGNAVQQCAGIVADKFKAKALRNLENQHHWMVNLSCQFQGLNSLIKDFSKELPLFKTVTENALKLANFINNTSQIRISFQKYQLQECGSADLLRVPLRDHESLNFGPVYTMIEDILNSARALQLLLLDETYKMVSMEDPVARDVAEMIRDMGFWNDLEAVHSLVKLIKEMAQEIETERPLVGKCLPLWDDLRTKVKDWCSKFHIAEGQVEKVIERRFKKNYHPAWAAAYILDPLYLIRDTSGKYLPPFKCLTLEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYAQAVQMKERDPVTGKMKIANPQSSRLIWETHLTEFKSLGKVAVRLIFLHATSCGFKCSWSLLRWVGAHGHSRVGMDRAQKLIFVAAHSKLERRDFSSDEEKDAELFALANEACDKDIVGLERPP >EOY24222 pep chromosome:Theobroma_cacao_20110822:3:30133931:30136744:-1 gene:TCM_015887 transcript:EOY24222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MFHYLKNTRKQPTLPFLKIFPPTKHPLSPFSTFTILNPNVNPNHEAVPANFPSHSPHEPHLVPTSNITRKPPTAPSLDKSPTLDQQDHNYIISSNKVITSYIRSGDLDSALRVFNTMTVKTTVTWNSILAGYSKKPGKITQAQKLFDKIPEKDTVSYNIMLACYVHNSDMETAWSFFNSMPFKDSASWNTMISGFAQKGLMGKARELFSATPEKNSVTWSAMISGYVECGELELAVEFFELVDVKSVVAWTAMISGYMKFGKIEKAERLFKEMPVKNLVTWNAMISGYVENCRAEDGLKLFRMMLRYGIRPNNSSLSSVLLGCSELSALQFGKQVHQLVCKSLLRDDTTADTSLISMYCKCGALDDAWKLFLEIKKKDVVSWNAMISGYAQHGAGEKALHLFEEMRDEGVRPDWITFVAVLLACNHAGLVDMGIRYFDSMLKDYGVEARPDHYTCMVDLLGRAGKLVEAVNLIKRMPFKPHCAIYGTLLGACRIHKNLEMAEFAAENLLNLDPKNAAGYVQLANIYAAMNKWDHVARVRQSMKDNKVVKTPGYSWIEIKSVVHEFRSGDRVHPDLASIHEKLRELEKKLKFAGYVPDLEFALHDVGEEQKAQLLLRHSEKLAIAFGLIKVPSGGPIRVFKNLRVCGDCHRAIKYISAIETREIIVRDTVRFHHFKDGSCSCGDYW >EOY23987 pep chromosome:Theobroma_cacao_20110822:3:29218205:29219760:1 gene:TCM_015706 transcript:EOY23987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAIKTLFGVVLFLLLGVGICSATRALLTFDEVASHLPGVGHGGGTVSVHGASLIGGGGGGSGGGSGSAYADVGGAIGYGGGSGGGSGEGSGAGYGGVGGHGGGGGNGGGGGSAGGAPGYGSGGGEGGGAGSGAGYGGASGHGGGGGNGGGGGSAGGASGYGSGGGEGGGAGSGAGFGGAGGHGGGGGNGGGGGSAGGAFGYGSGGGEGGGAGNGAGYGGGGGGNGGGGGSVGGASGYGSGGGAGSGVGNGGAGGHGGGGGNGGGGGNAGGASGYGSGGGEGGGAGSGAGYGGAGGHGGGGGGGGGNGGGGGSAGGASGYGSGGGEGAGSGYGAGGAHGGGYGSGEGVGGGSGGGASGHGGGGGSGGGGGGGYGAGGAHGGGYGNGSGGGKGGGYGDGYYP >EOY23986 pep chromosome:Theobroma_cacao_20110822:3:29218196:29220290:1 gene:TCM_015706 transcript:EOY23986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAIKTLFGVVLFLLLGVGICSATRALLTFDEVASHLPGVGHGGGTVSVHGASLIGGGGGGSGGGSGSAYADVGGAIGYGGGSGGGSGEGSGAGYGGVGGHGGGGGNGGGGGSAGGAPGYGSGGGEGGGAGSGAGYGGASGHGGGGGNGGGGGSAGGASGYGSGGGEGGGAGSGAGFGGAGGHGGGGGNGGGGGSAGGAFGYGSGGGEGGGAGNGAGYGGGGGGNGGGGGSVGGASGYGSGGGAGSGVGNGGAGGHGGGGGNGGGGGNAGGASGYGSGGGEGGGAGSGAGYGGAGGHGGGGGGGGGNGGGGGSAGGASGYGSGGGEGAGSGYGAGGVGSGGGGGGGGGGGGSGGGGEGYGAGGAHGGGYGSGEGVGGGSGGGASGHGGGGGSGGGGGGGYGAGGAHGGGYGNGSGGGKGGGYGDGYYP >EOY20979 pep chromosome:Theobroma_cacao_20110822:3:2055368:2064281:-1 gene:TCM_012294 transcript:EOY20979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSSFNAIAPPIFTRENYVIWPVKMKAYLRVFDLWEMVEVGGDPLMMRHANPTIAQLKQHSEEDRLKDEFHGSERTRQMQALNLHREFEILRMKEDKTIKEYSDKIMKLVNQLRLLGEDLSEKRIVNKVLVSLSEKFEAKISSLEDSKDLSQLTVTELVNALQAQEQRCSNRNGGQVEGALMVRSRGKAMGHVEKFCKAKANNNEEKVAVVEEKEDCDEALFIIKITEKHIRNDVWLIDSGCSNHITSDKEIFIMLDSSFRSRAEIGNGDFIQIVRKGTVGVQTTSGIKTISNVLYSTEVSQNLLSVGQLLDDNDYWRVKWVLHHDPMVCFQSWVELSKSVSNDKVMRTAFYTISWSIWLARNEVIFKGKEWSRANVFDLIKMRLVWWVKAKWSKLSPSTLDIMRFPNEGVQPMKTKKNQSKDLWTEPPPGCL >EOY22842 pep chromosome:Theobroma_cacao_20110822:3:24991942:24996152:-1 gene:TCM_014897 transcript:EOY22842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMFGGGKGMGGGAGGANMLRTVGRAVVRAGVTTGNPTTFQEPLSSASSNSTTTPPSPTSASQRHNNSNSNTYLSISSGSSAFGSCNTGVPISANSGLPSNWPPFAAAPASAAASCCDEFEWVSVDGSEGERPHGVLDDFVLGPVPSVGEVQNVVSALQRVFDASSSPQLIRDKFSYNAGKEIAYQIPSPTGSMHRVHSAGSESEWKEPSLHLYNTGALQPYGTNRVYDAFHLLQTEPLVQKMVFSLSSDKAVWDAVLNNEVVKELRDSYYAAEDSNPLSSDESSDENSDESNKATNIVKWIFENTKAKVIDVYEKMIKLVNELFKLRTDDKTTAGTPDPFEERLRTSFLLSVLVLLIVVVTRAN >EOY24986 pep chromosome:Theobroma_cacao_20110822:3:32863502:32865049:-1 gene:TCM_016432 transcript:EOY24986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLLSHILEDGALEGGIGFERFEWSHASVRMWT >EOY23768 pep chromosome:Theobroma_cacao_20110822:3:28521736:28529089:1 gene:TCM_015562 transcript:EOY23768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fringe-related protein isoform 2 MMLPCHNNLAVTNERSPRVARSRCRRLRQSRTVTAVVAVAALLISTTAWLSLVFSGTTTRCWRRFKDWEGSPLSLSRSLPWSSSPISRSIPAPPRFQSPLASPRNRSLSERANEKQELLLNDIVFGIAGSLHLWKQRKELLRLWWRPLEMRGHVWLEEQVPPEEADDSLPPLMVSEDISRFRYTNPTGHPSGLRISRILTESFRLRLPDVRWFVLGDDDTVFNVDNLVAVLSKYDPSEMMYIGTPSESHSANAYFSHSMAFGGGGIAISYPLAEALSNFHDDCIERYPKLYGSDDRLHACITELGVPLTREHGFHQWDIRGNAYGLLSSHPIAPLVSIHHVEYVDPFYPGLTSLDSLKLFAKAMRMEPRSFLQRSICYDRVRRLTFSVSIGYVVQVFPNIVLPRELERSEQTYIAWNKLRHRHEFDHDTRDSYKSVCKKPVMFFLKDVMKDGNATSGSYGRAKSKDDLKRKVFCFPSSPPLQYVQKIQVLGYPLGKNWHLVPRRLCCKVNNTGDELLRLTVGQCEKGALSSFTDSL >EOY23766 pep chromosome:Theobroma_cacao_20110822:3:28521628:28528834:1 gene:TCM_015562 transcript:EOY23766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fringe-related protein isoform 2 MMLPCHNNLAVTNERSPRVARSRCRRLRQSRTVTAVVAVAALLISTTAWLSLVFSGTTTRCWRRFKDWEGSPLSLSRSLPWSSSPISRSIPAPPRFQSPLASPRNRSLSERANEKQELLLNDIVFGIAGSLHLWKQRKELLRLWWRPLEMRGHVWLEEQVPPEEADDSLPPLMVSEDISRFRYTNPTGHPSGLRISRILTESFRLRLPDVRWFVLGDDDTVFNVDNLVAVLSKYDPSEMMYIGTPSESHSANAYFSHSMAFGGGGIAISYPLAEALSNFHDDCIERYPKLYGSDDRLHACITELGVPLTREHGFHQWDIRGNAYGLLSSHPIAPLVSIHHVEYVDPFYPGLTSLDSLKLFAKAMRMEPRSFLQRSICYDRVRRLTFSVSIGYVVQVFPNIVLPRELERSEQTYIAWNKLRHRHEFDHDTRDSYKSVCKKPVMFFLKDVMKDGNATSGSYGRAKSKDDLKRKVFCFPSSPPLQYVQKIQVLGYPLGKNWHLVPRRLCCKVNNTGDELLRLTVGQCEKGALSSFTDSL >EOY23763 pep chromosome:Theobroma_cacao_20110822:3:28521624:28529965:1 gene:TCM_015562 transcript:EOY23763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fringe-related protein isoform 2 MMLPCHNNLAVTNERSPRVARSRCRRLRQSRTVTAVVAVAALLISTTAWLSLVFSGTTTRCWRRFKDWEGSPLSLSRSLPWSSSPISRSIPAPPRFQSPLASPRNRSLSERANEKQELLLNDIVFGIAGSLHLWKQRKELLRLWWRPLEMRGHVWLEEQVPPEEADDSLPPLMVSEDISRFRYTNPTGHPSGLRISRILTESFRLRLPDVRWFVLGDDDTVFNVDNLVAVLSKYDPSEMMYIGTPSESHSANAYFSHSMAFGGGGIAISYPLAEALSNFHDDCIERYPKLYGSDDRLHACITELGVPLTREHGFHQWDIRGNAYGLLSSHPIAPLVSIHHVEYVDPFYPGLTSLDSLKLFAKAMRMEPRSFLQRSICYDRVRRLTFSVSIGYVVQVFPNIVLPRELERSEQTYIAWNKLRHRHEFDHDTRDSYKSVCKKPVMFFLKDVMKDGNATSGSYGRAKSKDDLKRKVFCFPSSPPLQYVQKIQVLGYPLGKNWHLVPRRLCCKVNNTGDELLRLTVGQYIGVLCCTQLIELKIRPTSAQSFHSLPSGGSETGMSFNNAIVSFKYKAMNRQFLSAQALGPRKIVIILACWPLNLFWKEERGWGGGTGADACERGWLTVYYGKG >EOY23767 pep chromosome:Theobroma_cacao_20110822:3:28521628:28528834:1 gene:TCM_015562 transcript:EOY23767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fringe-related protein isoform 2 MMLPCHNNLAVTNERSPRVARSRCRRLRQSRTVTAVVAVAALLISTTAWLSLVFSGTTTRCWRRFKDWEGSPLSLSRSLPWSSSPISRSIPAPPRFQSPLASPRNRSLSERANEKQELLLNDIVFGIAGSLHLWKQRKELLRLWWRPLEMRGHVWLEEQVPPEEADDSLPPLMVSEDISRFRYTNPTGHPSGLRISRILTESFRLRLPDVRWFVLGDDDTVFNVDNLVAVLSKYDPSEMMYIGTPSESHSANAYFSHSMAFGGGGIAISYPLAEALSNFHDDCIERYPKLYGSDDRLHACITELGVPLTREHGFHQWDIRGNAYGLLSSHPIAPLVSIHHVEYVDPFYPGLTSLDSLKLFAKAMRMEPRSFLQRSICYDRVRRLTFSVSIGYVVQVFPNIVLPRELERSEQTYIAWNKLRHRHEFDHDTRDSYKSVCKKPVMFFLKDVMKDGNATSGSYGRAKSKDDLKRKVFCFPSSPPLQYVQKIQVLGYPLGKNWHLVPRRLCCKVNNTGDELLRLTVGQCEKGALSSFTDSL >EOY23765 pep chromosome:Theobroma_cacao_20110822:3:28521577:28527798:1 gene:TCM_015562 transcript:EOY23765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fringe-related protein isoform 2 MMLPCHNNLAVTNERSPRVARSRCRRLRQSRTVTAVVAVAALLISTTAWLSLVFSGTTTRCWRRFKDWEGSPLSLSRSLPWSSSPISRSIPAPPRFQSPLASPRNRSLSERANEKQELLLNDIVFGIAGSLHLWKQRKELLRLWWRPLEMRGHVWLEEQVPPEEADDSLPPLMVSEDISRFRYTNPTGHPSGLRISRILTESFRLRLPDVRWFVLGDDDTVFNVDNLVAVLSKYDPSEMMYIGTPSESHSANAYFSHSMAFGGGGIAISYPLAEALSNFHDDCIERYPKLYGSDDRLHACITELGVPLTREHGFHQWDIRGNAYGLLSSHPIAPLVSIHHVEYVDPFYPGLTSLDSLKLFAKAMRMEPRSFLQRSICYDRVRRLTFSVSIGYVVQVFPNIVLPRELERSEQTYIAWNKLRHRHEFDHDTRDSYKSVCKKPVMFFLKDVMKDGNATSGSYGRAKSKDDLKRKVFCFPSSPPLQYVQKIQVLGYPLGKNWHLVPRRLCCKVNNTGDELLRLTVGQCEKGALSSFTDSL >EOY23764 pep chromosome:Theobroma_cacao_20110822:3:28521577:28528391:1 gene:TCM_015562 transcript:EOY23764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fringe-related protein isoform 2 MMLPCHNNLAVTNERSPRVARSRCRRLRQSRTVTAVVAVAALLISTTAWLSLVFSGTTTRCWRRFKDWEGSPLSLSRSLPWSSSPISRSIPAPPRFQSPLASPRNRSLSERANEKQELLLNDIVFGIAGSLHLWKQRKELLRLWWRPLEMRGHVWLEEQVPPEEADDSLPPLMVSEDISRFRYTNPTGHPSGLRISRILTESFRLRLPDVRWFVLGDDDTVFNVDNLVAVLSKYDPSEMMYIGTPSESHSANAYFSHSMAFGGGGIAISYPLAEALSNFHDDCIERYPKLYGSDDRLHACITELGVPLTREHGFHQWDIRGNAYGLLSSHPIAPLVSIHHVEYVDPFYPGLTSLDSLKLFAKAMRMEPRSFLQRSICYDRVRRLTFSVSIGYVVQVFPNIVLPRELERSEQTYIAWNKLRHRHEFDHDTRDSYKSVCKKPVMFFLKDVMKDGNATSGSYGRAKSKDDLKRKVFCFPSSPPLQYVQKIQVLGYPLGKNWHLVPRRLCCKVNNTGDELLRLTVGQCEKGALSSFTDSL >EOY22835 pep chromosome:Theobroma_cacao_20110822:3:24940269:24943124:1 gene:TCM_014890 transcript:EOY22835 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate-dependent dioxygenase MPETKTSVELPVFDLSQPLSPSSLSSLSLACREWGFFRITNHGVSKDLYRKLYSLSNHLFSLPDESKLKLGPTSSLKTYTPHFIASPFFESLRVSGPDFFASAQSSVDVLFEQPNSEFSEVLKEYGSLMTKLSKEIVEAILKSLGEELEKKSFESEFGNCHGYLRINNYTPPKSMKEEEVDGLGMHTDMSCVTIVYQDEIGGLQVRSKEGKWMDINPCEDTLVVNIGDLMHAWSNGKLSSSEHRVVLKRIVNRFSLAFFWCFEDEKVIFAPNEVVGEDNLRIYKPFVCADYLKFRQSSEKGRFDKVGFTVKDFAGT >EOY25450 pep chromosome:Theobroma_cacao_20110822:3:34355302:34355797:-1 gene:TCM_016756 transcript:EOY25450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated gene 21, putative MAQLSVKSFQLLSRRSYAVAAENMKVQAVASVMRNATDLRAEVLAEQKETFWMRDPKTGNWIPENHFGDIDVAELREKFLSKKQK >EOY20695 pep chromosome:Theobroma_cacao_20110822:3:628680:635346:1 gene:TCM_012049 transcript:EOY20695 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXECUTER1 protein, chloroplast, putative isoform 1 MIVTNHVCGVGQSISMPQLKPFCYIDFSAKKSSNSCFVLGWNWSFASAQNRPFFRHHTKASSLRCCCNNPSNSNSSSSSSEWDWNRWSRHFSEIEQAESYASVLKFQLEDAIEKEDFQEAAKLKLAIAEVASKDSVAEIMSQLKSAIDEERYHDASWLSRHTGSGLVGWWVGYSKDSDDPFGRLVRITPGVGRFVARSYSPRQLVSASPGTPLFEIFVVKEDEETYLMQVVYLQRAKGSSMNSTSSPSKPTKNPSTPEVENASVIDVQGNEAKAERSDEKGINIEGATEEGIKSVINFLKNKIPGLKVKVMNVDVSEEVMDNDSVKQLMQEDDEKTGSTENSEDDTDDLEEIQPDGVALGEGNNPAEDGKDLDMKLFIGGLVHNNEDTPTKDEYVRLPADIKDLERDSFLLHVPKRSSDNDNGESKASKVKIAAIAAQGVSELMPPDVAKALWSSDKVSSKVSRDVREIVKLAVSQARRRSRLSEYTNFNRISSDNGNLDPFEGLYVGAFGPYGTEIVQLRRKYGRWNDADDETSDVEFFEYVEAVKLTGDLNVPAGQVTFRAKIGRESRLPNRGMYPDELGVVACYKGQGRIAEFSFRNPRWVDGHWTLCQRC >EOY20693 pep chromosome:Theobroma_cacao_20110822:3:628680:635346:1 gene:TCM_012049 transcript:EOY20693 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXECUTER1 protein, chloroplast, putative isoform 1 MIVTNHVCGVGQSISMPQLKPFCYIDFSAKKSSNSCFVLGWNWSFASAQNRPFFRHHTKASSLRCCCNNPSNSNSSSSSSEWDWNRWSRHFSEIEQAESYASVLKFQLEDAIEKEDFQEAAKLKLAIAEVASKDSVAEIMSQLKSAIDEERYHDASWLSRHTGSGLVGWWVGYSKDSDDPFGRLVRITPGVGRFVARSYSPRQLVSASPGTPLFEIFVVKEDEETYLMQVVYLQRAKGSSMNSTSSPSKPTKNPSTPEVENASVIDVQGNEAKAERSDEKGINIEGATEEGIKSVINFLKNKIPGLKVKVMNVDVSEEVMDNDSVKQLMQEDDEKTGSTENSEDDTDDLEEIQPDGVALGEGNNPAEDGKDLDMKLFIGGLVHNNEDTPTKDEYVRLPADIKDLERDSFLLHVPKRSSDNDNGESKASKVKIAAIAAQGVSELMPPDVAKALWSSDKVSSKVSRDVREIVKLAVSQARRRSRLSEYTNFNRISSDNGNLDPFEGLYVGAFGPYGTEIVQLRRKYGRWNDADDETSDVEFFEYVEAVKLTGDLNVPAGQVTFRAKIGRESRLPNRGMYPDELGVVACYKGQGRIAEFSFRNPRWVDGELLQLNGKGIGPYVKGADLGFLYIVPEQSFLVLFNRLRLPD >EOY20694 pep chromosome:Theobroma_cacao_20110822:3:628796:635388:1 gene:TCM_012049 transcript:EOY20694 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXECUTER1 protein, chloroplast, putative isoform 1 MIVTNHVCGVGQSISMPQLKPFCYIDFSAKKSSNSCFVLGWNWSFASAQNRPFFRHHTKASSLRCCCNNPSNSNSSSSSSEWDWNRWSRHFSEIEQAESYASVLKFQLEDAIEKEDFQEAAKLKLAIAEVASKDSVAEIMSQLKSAIDEERYHDASWLSRHTGSGLVGWWVGYSKDSDDPFGRLVRITPGVGRFVARSYSPRQLVSASPGTPLFEIFVVKEDEETYLMQVVYLQRAKGSSMNSTSSPSKPTKNPSTPEVENASVIDVQGNEAKAERSDEKGINIEGATEEGIKSVINFLKNKIPGLKVKVMNVDVSEEVMDNDSVKQLMQEDDEKTGSTENSEDDTDDLEEIQPDGVALGEGNNPAEDGKDLDMKLFIGGLVHNNEDTPTKDEYVRLPADIKDLERDSFLLHVPKRSSDNDNGESKASKVKIAAIAAQGVSELMPPDVAKALWSSDKVSSKVSRDVREIVKLAVSQARRRSRLSEYTNFNRISSDNGNLDPFEGLYVGAFGPYGTEIVQLRRKYGRWNDADDETSDVEFFEYVEAVKLTGDLNVPAGQVTFRAKIGRESRLPNRGMYPDELGVVACYKGQGRIAEFSFRNPRWVDGELLQLNGKGIGPYVKGADLGFLYIVPEQSFLVLFNRLRLPD >EOY24430 pep chromosome:Theobroma_cacao_20110822:3:30945188:30951914:-1 gene:TCM_016035 transcript:EOY24430 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441 isoform 1 MNTFTHVPPGFRFHPTDEELVDYYLRKKVASKRIDLDVIKDVDLYKIEPWDLQELCRIGTEEQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSRHSLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTPQEEGWVVCRVFKKRMTTVRKVGEYDSPCWYDDQVSFMQDLDSPRRIPQPYASYHHHYPCKQELELQYNLPHDPFLQLPQLESPKVPQSAASVSCNSVVPYGYDRNNGSTLQSSTLTQEEHMQPGHQQNLNSLYNNSEQAVDQVTDWRVLDKFVASQLSHEEASKENNYPNAATSLQVAEQMNLLASESKRPEIAHQEYASTSTSSCQIDLVKKMN >EOY24429 pep chromosome:Theobroma_cacao_20110822:3:30946989:30962854:-1 gene:TCM_016035 transcript:EOY24429 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441 isoform 1 MNTFTHVPPGFRFHPTDEELVDYYLRKKVASKRIDLDVIKDVDLYKIEPWDLQELCRIGTEEQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSRHSLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTPQEEGWVVCRVFKKRMTTVRKVGEYDSPCWYDDQVSFMQDLDSPRRIPQPYASYHHHYPCKQELELQYNLPHDPFLQLPQLESPKVPQSAASVSCNSVVPYGYDRNNGSTLQSSTLTQEEHMQPGHQQNLNSLYNNSEQAVDQVTDWRVLDKFVASQLSHEEASKENNYPNAATSLQVAEQMNLLASESKRPEIAHQEYASTSTSSCQIDLWK >EOY25237 pep chromosome:Theobroma_cacao_20110822:3:33647907:33651401:-1 gene:TCM_016613 transcript:EOY25237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MHAENSECKGSQKKMEGSMRLSSWTPAIVRSILDQCLLSNKPVILVFTKTSARATAAAMAQAFRILTPRVKHADIFLVEVTMGQEHIHRLVNHDVDNRMPGTSVLQQTKFFSLPADPPQSLELSLRLKEQECFSILKRCKNMEEFRQAHAQIVKWGFFWNSFCASNLVAACALSDGGSMDYACSIFQQIDEPGTFEFNTMIRAHVKDMTFEEALVFYYEMLEKGVEPDNFTYPALFKACACLQAQEEGKQIHGHAFKLGLESDLYVQNSLINMYGKCGEIEHSCAIFEQMDQKSVASWSAIIAAHASFGKWYECLMMFGNMSSEGCWRPEESTLVTVLSACTHLGALDLGKCTHGSLLRNISELNVIVQTSLMDMYVKCGCLEKGLSLFRKMGNRSQMSYTVMISGLAMHGHGEEALRIYSEMLKDGLDPDDVVYVGVLSACSHAGLVDEGFRCFDRMKSEHGITPTVQHYGCMVDLMGKAGMINEALEFIKSMPIKPNDVFWRSLLSACRVHCNLEIGEIAAKHLFQSKSQNPGDYVILSNMYARAQRWQEVAKIRVEMARKGLHQVPGFSLVEVGRRIHKFVSQDTSHPQCVSVYEMIHQMEWQLKFEGYSPDTSQVLLDVDEEEKRQRLKGHSQKLAIAFALIHTSQGSPIRIARNLRMCNDCHTYTKLISLIYEREITVRDRNRFHHFKDGTCSCRDYR >EOY23544 pep chromosome:Theobroma_cacao_20110822:3:27806980:27808921:-1 gene:TCM_015410 transcript:EOY23544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein isoform 4 MDRKTGYWWSLDSKFIAFTEVDYSEIPLFRIMHQGKSSVGPEAEEDHAYPFAGASNVKVRLGVVSTAGASVTWMDLFCGGSNFDDEYLARVNWMHGNVLTAQVLNRSHSKLKILKFDIKTGQNNVVMVEELKPWINLHDCFTPLDRGATRYSGGFIWASERTGYRHLYLHDANGTCLGPITEGDWMVEQIAGINEAAGLVYFTGTLDGPLESHLYYTRLCPDENSTLQAPIRLTHGKGKHVVVLDHHMRKFVDIYDSLDSPPRVLLCNLIDGSVIISLYEQPFTIPRLKRLQLEPPEIVQIQSNDGTILYGAIYKPDAARFGPPPYKTLISVYGGPSVQLVCDSWINTVDMRAQYLRSKGILVWKLDNRGTARRGLKFEGCLKNNIGRVDAEDQLTGAEWLIKQGLAKAGHIGFYGWSYGGYLSAMTLARFPDVFQCAVSGAPVTSWDGYDTFYTEKYMGLPSEDAESYEYSSVMHHVNKMKGRLLLVHGMIDENVHFRHTARLVNALVATRKSYELLIFPDERHMPRRHRDRIYMEERIWEFIERSL >EOY23548 pep chromosome:Theobroma_cacao_20110822:3:27806675:27812075:-1 gene:TCM_015410 transcript:EOY23548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein isoform 4 MDRKTGYWWSLDSKFIAFTEVDYSEIPLFRIMHQGKSSVGPEAEEDHAYPFAGASNVKVRLGVVSTAGASVTWMDLFCGGSNFDDEYLARVNWMHGNVLTAQVLNRSHSKLKILKFDIKTGQNNVVMVEELKPWINLHDCFTPLDRGATRYSGGFIWASERTGYRHLYLHDANGTCLGPITEGDWMVEQIAGINEAAGLVYFTGTLDGPLESHLYYTRLCPDENSTLQAPIRLTHGKGKHVVVLDHHMRKFVDIYDSLDSPPRVLLCNLIDGSVIISLYEQPFTIPRLKRLQLEPPEIVQIQSNDGTILYGAIYKPDAARFGPPPYKTLISVYGGPSVQLVCDSWINTVDMRAQYLRSKGILVWKLDNRGTARRGLKFEGCLKNNIGRVDAEDQLTGAEWLIKQGLAKAGHIGFYGWSYGGYLSAMTLARFPDVFQCAVSGAPVTSWDGYDTFYTEKYMGLPSEDAESYEYSSVMHHVNKMKGRLLLVHGMIDENVHFRHTARLVNALVATRKSYELLIFPDERHMPRRHRDRIYMEERIWEFIERSL >EOY23545 pep chromosome:Theobroma_cacao_20110822:3:27806169:27812405:-1 gene:TCM_015410 transcript:EOY23545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein isoform 4 MQEFLQFVMQSVDDSKESKKSLKRSRSLSPRDMPVTDCTAMQTIDDCILFPVEEIVQSPLPGYVAPTSVSFSPDDSLIAFLFSPDHTLSRKVFTLDLNAGKQELFFSPPDGGLDESNISPEEKLRRERSRERGLGVTRYEWVKTISKKKTIMVPLPGGIYFQEFSDSKPELKLPSMSSSPIIDPHLSPDGTMLAYIRDYELHVLNLLYSEQRQLTFGANGDILTHGLAEYIAQEEMDRKTGYWWSLDSKFIAFTEVDYSEIPLFRIMHQGKSSVGPEAEEDHAYPFAGASNVKVRLGVVSTAGASVTWMDLFCGGSNFDDEYLARVNWMHGNVLTAQVLNRSHSKLKILKFDIKTGQNNVVMVEELKPWINLHDCFTPLDRGATRYSGGFIWASERTGYRHLYLHDANGTCLGPITEGDWMVEQIAGINEAAGLVYFTGTLDGPLESHLYYTRLCPDENSTLQAPIRLTHGKGKHVVVLDHHMRKFVDIYDSLDSPPRVLLCNLIDGSVIISLYEQPFTIPRLKRLQLEPPEIVQIQSNDGTILYGAIYKPDAARFGPPPYKTLISVYGGPSVQLVCDSWINTVDMRAQYLRSKGILVWKLDNRGTARRGLKFEGCLKNNIGRVDAEDQLTGAEWLIKQGLAKAGHIGFYGWSYGGYLSAMTLARFPDVFQCAVSGAPVTSWDGYDTFYTEKYMGLPSEDAESYEYSSVMHHVNKMKGRLLLVHGMIDENVHFRHTARLVNALVATRKSYELLIFPDERHMPRRHRDRIYMEERIWEFIERSL >EOY23543 pep chromosome:Theobroma_cacao_20110822:3:27806258:27812362:-1 gene:TCM_015410 transcript:EOY23543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein isoform 4 MQEFLQFVMQSVDDSKESKKSLKRSRSLSPRDMPVTDCTAMQTIDDCILFPVEEIVQSPLPGYVAPTSVSFSPDDSLIAFLFSPDHTLSRKVFTLDLNAGKQELFFSPPDGGLDESNISPEEKLRRERSRERGLGVTRYEWVKTISKKKTIMVPLPGGIYFQEFSDSKPELKLPSMSSSPIIDPHLSPDGTMLAYIRDYELHVLNLLYSEQRQLTFGANGDILTHGLAEYIAQEEMDRKTGYWWSLDSKFIAFTEVDYSEIPLFRIMHQGKSSVGPEAEEDHAYPFAGASNVKVRLGVVSTAGASVTWMDLFCGGSNFDDEYLARVNWMHGNVLTAQVLNRSHSKLKILKFDIKTGQNNVVMVEELKPWINLHDCFTPLDRGATRYSGGFIWASERTGYRHLYLHDANGTCLGPITEGDWMVEQIAGINEAAGLVYFTGTLDGPLESHLYYTRLCPDENSTLQAPIRLTHGKGKHVVVLDHHMRKFVDIYDSLDSPPRVLLCNLIDGSVIISLYEQPFTIPRLKRLQLEPPEIVQIQSNDGTILYGAIYKPDAARFGPPPYKTLISVYGGPSVQLVCDSWINTVDMRAQYLRSKGILVWKLDNRGTARRGLKFEGCLKNNIGRVDAEDQLTGAEWLIKQGLAKAGHIGFYGWSYGGYLSAMTLARFPDVFQCAVSGAPVTSWDGYDTFYTEKYMGLPSEDAESYEYSSVMHHVNKMKGRLLLVHGMIDENVHFRHTARLVNALVATRKSYELLIFPDERHMPRRHRDRIYMEERIWEFIERSL >EOY23547 pep chromosome:Theobroma_cacao_20110822:3:27806266:27812351:-1 gene:TCM_015410 transcript:EOY23547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein isoform 4 MQSVDDSKESKKSLKRSRSLSPRDMPVTDCTAMQTIDDCILFPVEEIVQSPLPGYVAPTSVSFSPDDSLIAFLFSPDHTLSRKVFTLDLNAGKQELFFSPPDGGLDESNISPEEKLRRERSRERGLGVTRYEWVKTISKKKTIMVPLPGGIYFQEFSDSKPELKLPSMSSSPIIDPHLSPDGTMLAYIRDYELHVLNLLYSEQRQLTFGANGDILTHGLAEYIAQEEMDRKTGYWWSLDSKFIAFTEVDYSEIPLFRIMHQGKSSVGPEAEEDHAYPFAGASNVKVRLGVVSTAGASVTWMDLFCGGSNFDDEYLARVNWMHGNVLTAQVLNRSHSKLKILKFDIKTGQNNVVMVEELKPWINLHDCFTPLDRGATRYSGGFIWASERTGYRHLYLHDANGTCLGPITEGDWMVEQIAGINEAAGLVYFTGTLDGPLESHLYYTRLCPDENSTLQAPIRLTHGKGKHVVVLDHHMRKFVDIYDSLDSPPRVLLCNLIDGSVIISLYEQPFTIPRLKRLQLEPPEIVQIQSNDGTILYGAIYKPDAARFGPPPYKTLISVYGGPSVQLVCDSWINTVDMRAQYLRSKGILVWKLDNRGTARRGLKFEGCLKNNIGRVDAEDQLTGAEWLIKQGLAKAGHIGFYGWSYGGYLSAMTLARFPDVFQCAVSGAPVTSWDGYDTFYTEKYMGLPSEDAESYEYSSVMHHVNKMKGRLLLVHGMIDENVHFRHTARLVNALVATRKSYELLIFPDERHMPRRHRDRIYMEERIWEFIERSL >EOY23546 pep chromosome:Theobroma_cacao_20110822:3:27806167:27812130:-1 gene:TCM_015410 transcript:EOY23546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein isoform 4 MQSVDDSKESKKSLKRSRSLSPRDMPVTDCTAMQTIDDCILFPVEEIVQSPLPGYVAPTSVSFSPDDSLIAFLFSPDHTLSRKVFTLDLNAGKQELFFSPPDGGLDESNISPEEKLRRERSRERGLGVTRYEWVKTISKKKTIMVPLPGGIYFQEFSDSKPELKLPSMSSSPIIDPHLSPDGTMLAYIRDYELHVLNLLYSEQRQLTFGANGDILTHGLAEYIAQEEMDRKTGYWWSLDSKFIAFTEVDYSEIPLFRIMHQGKSSVGPEAEEDHAYPFAGASNVKVRLGVVSTAGASVTWMDLFCGGSNFDDEYLARVNWMHGNVLTAQVLNRSHSKLKILKFDIKTGQNNVVMVEELKPWINLHDCFTPLDRGATRYSGGFIWASERTGYRHLYLHDANGTCLGPITEGDWMVEQIAGINEAAGLVYFTGTLDGPLESHLYYTRLCPDENSTLQAPIRLTHGKGKHVVVLDHHMRKFVDIYDSLDSPPRVLLCNLIDGSVIISLYEQPFTIPRLKRLQLEPPEIVQIQSNDGTILYGAIYKPDAARFGPPPYKTLISVYGGPSVQLVCDSWINTVDMRAQYLRSKGILVWKLDNRGTARRGLKFEGCLKNNIGRVDAEDQLTGAEWLIKQGLAKAGHIGFYGWSYGGYLSAMTLARFPDVFQCAVSGAPVTSWDGYDTFYTEKYMGLPSEDAESYEYSSVMHHVNKMKGRLLLVHGMIDENVHFRHTARLVNALVATRKSYELLIFPDERHMPRRHRDRIYMEERIWEFIERSL >EOY20558 pep chromosome:Theobroma_cacao_20110822:3:168716:169034:1 gene:TCM_011952 transcript:EOY20558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLLNLLWVGLITNSQRNATYLILQALRETTIFKHALHFGLPFSYYTLVVLKGTCIATICRSPHCLRGFQ >EOY23636 pep chromosome:Theobroma_cacao_20110822:3:28076618:28084616:-1 gene:TCM_015467 transcript:EOY23636 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 44, putative isoform 1 MEKGIITSTPLVSLPELFSQTIVAIFDCIHAAKGVLTQMENFEKFSNYLEKITFILKEFSKSYVDDLESLRKALAILNLEVKAVKQLALECGTRNKVYLFISCRKILKQLENSTKEICQALSLIPLASIDGPLRIRHNRLCKDMLEAEYSPGIVEDEILEKIESGVKERYVDRCYANYLLLSIAEAAGVPDEQLALKKEFEELKSEIEDLKLGVDATEARRMEQIVMLLEKADATTSYEEKAQRYLDERNSLGRQPLEPLQSFYCPITMDVMVDPVEISSGRTFERSAIERWFADGNKHCPSTSIHLDSLVLQPNKTLRQSIEEWKDRNKMITIVSIKPKLQSNEEQEVLQSLCELQDLCTERELHRVWVTFEDYKPILIGLLSAKNREIRTQALAILCILAKDSHDNKERIANVDRALESIVRSLARQIKESKLALQLLLQLSRSSAGRDAIGTIQGCIFLVVTMLNSDDAQASGDSRELLDNLSFLDQNIIEMAKANYFKPLLQLLSSGPDNVRLLMAKTLSEIELTDHHKLSLFKDGALGPLLQLLSHDNLQVKTVAVRALQNLLNLPQNGLQMIKEGALETLFEILYRHSLSSPSLREQVAAVIMHLAKSTNTEEADREQISLVKSDEDIFKLFSLISLTGPDIQRNILQAFCEMCQSSSGLDIRAKLRQVSGGCHLCNAIYSDSSSVFSPLRNQLSAVQVLVQLCEVNNHLVRASAVKLFCCLTVDGDDTSFQEHVGQRCIDTLLRIIKTSSDEEETAAAMGIVSNLPKDIEMTQWLLDSGALDIIFVSMTDRYRNASHKKQEIENAVRALCRFTLSTNKEWQKKVAETGIIPVLVQLLVSGTSLTKQNAAISLKQFSESSTSLSHPVKKTKAFLCCFAATETGCPVHQGICSVESSFCILEANAVEPLVRILGEGDLGACEASLDALLTLIDDERLQNGCKVLVKANAIPPIIKLLSSTSTILQEKTLRALERMFRLAEMKQAYATLAQMPLVDITQRGTGGMKSLAAKVLAQLNVLGEQSSYF >EOY23638 pep chromosome:Theobroma_cacao_20110822:3:28078981:28084266:-1 gene:TCM_015467 transcript:EOY23638 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 44, putative isoform 1 MEKGIITSTPLVSLPELFSQTIVAIFDCIHAAKGVLTQMENFEKFSNYLEKITFILKEFSKSYVDDLESLRKALAILNLEVKAVKQLALECGTRNKVYLFISCRKILKQLENSTKEICQALSLIPLASIDGPLRIRHNRLCKDMLEAEYSPGIVEDEILEKIESGVKERYVDRCYANYLLLSIAEAAGVPDEQLALKKEFEELKSEIEDLKLGVDATEARRMEQIVMLLEKADATTSYEEKAQRYLDERNSLGRQPLEPLQSFYCPITMDVMVDPVEISSGRTFERSAIERWFADGNKHCPSTSIHLDSLVLQPNKTLRQSIEEWKDRNKMITIVSIKPKLQSNEEQEVLQSLCELQDLCTERELHRVWVTFEDYKPILIGLLSAKNREIRTQALAILCILAKDSHDNKERIANVDRALESIVRSLARQIKESKLALQLLLQLSRSSAGRDAIGTIQGCIFLVVTMLNSDDAQASGDSRELLDNLSFLDQNIIEMAKANYFKPLLQLLSSGPDNVRLLMAKTLSEIELTDHHKLSLFKDGALGPLLQLLSHDNLQVKTVAVRALQNLLNLPQNGLQMIKEGALETLFEILYRHSLSSPSLREQVAAVIMHLAKSTNTEEADREQISLVKSDEDIFKLFSLISLTGPDIQRNILQAFCEMCQSSSGLDIRAKLRQLSAVQVLVQLCEVNNHLVRASAVKLFCCLTVDGDDTSFQEHVGQRCIDTLLRIIKTSSDEEETAAAMGIVSNLPKDIEMTQWLLDSGALDIIFVSMTDRYRNASHKKQEIENAVRALCRFTLSTNKEWQKKVAETGIIPVLVQLLVSGTSLTKQNAAISLKQFSESSTSLSHPVKKTKAFLCCFAATETGCPVHQGICSVESSFCILEANAVEPLVRILGEGDLGACEASLDALLTLIDDERLQNGCKVLVKANAIPPIIKLLSSTSTILQEKTLRALERMFRLAEMKQAYATLAQMPLVDITQRGTGGMKSLAAKVLAQLNVLGEQSSYF >EOY23637 pep chromosome:Theobroma_cacao_20110822:3:28078973:28084616:-1 gene:TCM_015467 transcript:EOY23637 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 44, putative isoform 1 MEKGIITSTPLVSLPELFSQTIVAIFDCIHAAKGVLTQMENFEKFSNYLEKITFILKEFSKSYVDDLESLRKALAILNLEVKAVKQLALECGTRNKVYLFISCRKILKQLENSTKEICQALSLIPLASIDGPLRIRHNRLCKDMLEAEYSPGIVEDEILEKIESGVKERYVDRCYANYLLLSIAEAAGVPDEQLALKKEFEELKSEIEDLKLGVDATEARRMEQIVMLLEKADATTSYEEKAQRYLDERNSLGRQPLEPLQSFYCPITMDVMVDPVEISSGRTFERSAIERWFADGNKHCPSTSIHLDSLVLQPNKTLRQSIEEWKDRNKMITIVSIKPKLQSNEEQEVLQSLCELQDLCTERELHRVWVTFEDYKPILIGLLSAKNREIRTQALAILCILAKDSHDNKERIANVDRALESIVRSLARQIKESKLALQLLLQLSRSSAGRDAIGTIQGCIFLVVTMLNSDDAQASGDSRELLDNLSFLDQNIIEMAKANYFKPLLQLLSSGPDNVRLLMAKTLSEIELTDHHKLSLFKDGALGPLLQLLSHDNLQVKTVAVRALQNLLNLPQNGLQMIKEGALETLFEILYRHSLSSPSLREQVAAVIMHLAKSTNTEEADREQISLVKSDEDIFKLFSLISLTGPDIQRNILQAFCEMCQSSSGLDIRAKLRQVSGGCHLCNAIYSDSSSVFSPLRNQLSAVQVLVQLCEVNNHLVRASAVKLFCCLTVDGDDTSFQEHVGQRCIDTLLRIIKTSSDEEETAAAMGIVSNLPKDIEMTQWLLDSGALDIIFVSMTDRYRNASHKKQEIENAVRALCRFTLSTNKEWQKKVAETGIIPVLVQLLVSGTSLTKQNAAISLKQFSESSTSLSHPVKKTKAFLCCFAATETGCPVHQGICSVESSFCILEANAVEPLVRILGEGDLGACEASLDALLTLIDDERLQNGCKVLVKANAIPPIIKLLSSTSTILQEKTLRALERMFRLAEMKQAYATLAQMPLVDITQRGTGGMKSLAAKVLAQLNVLGEQSSYF >EOY22599 pep chromosome:Theobroma_cacao_20110822:3:24129833:24135480:-1 gene:TCM_014728 transcript:EOY22599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 29 (sialyltransferase) family protein isoform 1 MRILQLGLLVALFSGVAAILIYITGLSNRYENHQLSGEDWEALQSLQSGFQKCVSANGLGLQAISGKDYCQVTLTYPSDTDSKWRDPNTGEVEGLSFEFNLCEAVATWEQVRNSTTILTREFIDALPNGWEEYAWRRINKGVLLNHCKNKALCMEKLSLVLPETPPYVPRQFARCAVIGNSGDLLKTRFGKEIDSYDVVIRENGAPIENYTEYVGKKSSFRLLNRGSAKALDKVVELDETRQEVLIIKTTIHDIMSQMIREIPIRNPVYLMLGASFGSAAKGTGLKALEFALSVCDSVDMYGFTVDPGYKEWTRYFSESRKGHTPLHGRAYYQMMECLGLIKIHSPMRADSSRVVKWLPSRSAIRAARIASEKLLRRVGAGSEDLLGSCSVIKKQVKRKHLSVSKLRKAAVDHQKFVKGTTMYPLEHSPGHGQLCIVPVD >EOY22600 pep chromosome:Theobroma_cacao_20110822:3:24129832:24135480:-1 gene:TCM_014728 transcript:EOY22600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 29 (sialyltransferase) family protein isoform 1 MRILQLGLLVALFSGVAAILIYITGLSNRYENHQLSGEDWEALQSLQSGFQKCVSANGLGLQAISGKDYCQVTLTYPSDTDSKWRDPNTGEVEGLSFEFNLCEAVATWEQVRNSTTILTREFIDALPNGWEEYAWRRINKGVLLNHCKNKALCMEKLSLVLPETPPYVPRQFARCAVIGNSGDLLKTRFGKEIDSYDVVIRENGAPIENYTEYVGKKSSFRLLNRGSAKALDKVVELDETRQEVLIIKTTIHDIMSQMIREIPIRNPVYLMLGASFGSAAKGTGLKALEFALSVCDSVDMYGFTVDPGYKEWTRYFSESRKGHTPLHGRAYYQMMECLGPSGEVAAKS >EOY21766 pep chromosome:Theobroma_cacao_20110822:3:18102226:18108220:1 gene:TCM_013880 transcript:EOY21766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MNPNLLALLHSSDQLNQFKQIHSQIIVNCAALTRILVKKLIDSSFLGYAREVFDRIPLPDQALYISFISSYTKLSFNKEAIKLFASMHSSRTQMSSRAVLAVIKSCSGFSGVSVGKQVHGLVVNYGFDLDVFVQSALMDFYAKKGDFFSARKIFDGILVKDPVFYNCLISGYSKVGDVMAAECLFDEMKEKTVASWNSMISCYVNNGYHDKALEIFERMQANNIPPSQITWVTLLSLTAKLRDLKLGLKVKKLIADSNICVNTIVLTAIVNMFVKCGAIDEAREEFDWMRTRDTLAWSAMISGYAQNRRPTEALELFECMKKEQIRPNDVALVSVLSACSQLGSVEAGECLAACIETQDLASNVYVASALLDMYSKFGNIAKARQVFDKMPERDIVSWNSMIVGLAVNGFAEDAILLYEKMKESEVKPDSITFVGLLTACSRTGLVELGLEFFRSMELHYSIEPKIEHYACIVDLFCRTGRLNEAYELVCRMEMEPNVVIWGTLLSASRTHLNVELAELCVEKLLKLEPENSGHYVLLSNIYASLGRWQAALEVRNLMKNKRVQKVAAYSWIELDNTVHKFLVGDTFHPRSSEMMPASETVVVVAGCTSSNFRTISDLIMPAQTKVCEGLLDLATRRGVQVFSQIIFVQASVQVVVGVFELVASRMKGRSFTTKLEGSGTMKRQEERMSAEKYLASSIERFLGVLLF >EOY24593 pep chromosome:Theobroma_cacao_20110822:3:31551547:31554037:1 gene:TCM_016154 transcript:EOY24593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-rhamnose synthase/epimerase-reductase MGYPANGSSEKPLKFLIYGRTGWIGGLLGKLCESKGIDYQYGSGRLENRLSLESDIASVKPTHVFNAAGVTGRPNVDWCESHKVETIRTNVVGTLTLADVCRDKGLVLINYATGCIFEYDAGHPIESGVGFKEEDTPNFTGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLANPRNFITKITRYDKVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYHDYIDSNFSWKNFTLEEQAKVIIAPRSNNELDTNKLKNEFPELLSIKESLVKYVFEPNKKTLGA >EOY20587 pep chromosome:Theobroma_cacao_20110822:3:248549:253588:1 gene:TCM_011970 transcript:EOY20587 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase family protein isoform 3 MGSSDEKVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMAHHPISACKRIPNLAQIYLVGFYEEREFAMYVSSISNELRVPVRYLREDKPHGSAGGLYNFRDLIMEDNPSHIFLLNCDVCCSFPLPEMLEAHKRYGGMGTILVIKVSAESASQFGELVADPVTKELLHYTEKPETFVSDHINCGVYVFTPDIFTAIQGVSSQRKDRANLRRLSSFEALQSATRSPPSDFVRLDQDILTPFAGKKQLYTYETMDFWEQIKTPGMSLKCSGLYLSQFRFTSPNLLASGDGTKSATIIGDVYIHPSAKVHPSAKVGPNVSISANARIGAGVRLISCIILDGVEIMENAVVAHAIVGWKSSIGKWSRVQAEGDYNAKLGITILGEAVGVEDEVVVTNSIVLPNKTLNVSVQDEILL >EOY20589 pep chromosome:Theobroma_cacao_20110822:3:248753:253356:1 gene:TCM_011970 transcript:EOY20589 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase family protein isoform 3 MGSSDEKVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMAHHPISACKRIPNLAQIYLVSHIFLLNCDVCCSFPLPEMLEAHKRYGGMGTILVIKVSAESASQFGELVADPVTKELLHYTEKPETFVSDHINCGVYVFTPDIFTAIQGVSSQRKDRANLRRLSSFEALQSATRSPPSDFVRLDQDILTPFAGKKQLYTYETMDFWEQIKTPGMSLKCSGLYLSQFRFTSPNLLASGDGTKSATIIGDVYIHPSAKVHPSAKVGPNVSISANARIGAGVRLISCIILDGVEIMENAVVAHAIVGWKSSIGKWSRVQAEGDYNAKLGITILGEAVGVEDEVVVTNSIVLPNKTLNVSVQDEILL >EOY20588 pep chromosome:Theobroma_cacao_20110822:3:248965:253576:1 gene:TCM_011970 transcript:EOY20588 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase family protein isoform 3 MGSSDEKVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMAHHPISACKRIPNLAQIYLVGFYEEREFAMYVSSISNELRVPVRYLREDKPHGSAGGLYNFRDLIMEDNPSHIFLLNCDVCCSFPLPEMLEAHKRYGGMGTILVIKVSAESASQFGELVADPVTKELLHYTEKPETFVSDHINCGVYVFTPDIFTAIQGVSSQRKDRANLRRLSSFEALQSATRSPPSDFVRLDQDILTPFAGKKQLYTYETMDFWEQIKTPGMSLKCSGLYLSQFRFTSPNLLASGDGTKSATIIGDVYIHPSAKVHPSAKVGPNVSISANARIGAGVRLISCIILDGVEIMENAVVAHAIVGWKSSIGKWSRVQAEGDYNAKLGITILGEAVGVEDEVVVTNSIVLPNKTLNVSVQDEILL >EOY20590 pep chromosome:Theobroma_cacao_20110822:3:249031:253356:1 gene:TCM_011970 transcript:EOY20590 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase family protein isoform 3 MGSSDEKVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMAHHPISACKRIPNLAQIYLVSHIFLLNCDVCCSFPLPEMLEAHKRYGGMGTILVIKVSAESASQFGELVADPVTKELLHYTEKPETFVSDHINCGVYVFTPDIFTAIQGVSSQRKDRANLRRLSSFEALQSATRSPPSDFVRLDQDILTPFAGKKQLYTYETMDFWEQIKTPGMSLKCSGLYLSQFRFTSPNLLASGDGTKSATIIGDVYIHPSAKVHPSAKVGPNVSISANARIGAGVRLISCIILDGVEIMENAVVAHAIVGWKSSIGKWSRVQAEGDYNAKLGITILGEAVGVEDEVVVTNSIVLPNKTLNVSVQDEILL >EOY21292 pep chromosome:Theobroma_cacao_20110822:3:5654309:5655459:-1 gene:TCM_012743 transcript:EOY21292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MSTTTPSPQPNTSSVYLENMDELQKVFNQFDANKDGKISVSELGDVLKAMGSSYSKEELERVMEDIDTDKDGFINLSEFSAFCRSSSDAVSAASELRDAFDLYDQDKNGLISANELHLVLNRLGLKCSVDDCVRMITSVDSDGDGNVNFEEFEKMMSASAPRIDNGSKP >EOY24226 pep chromosome:Theobroma_cacao_20110822:3:30156197:30161351:1 gene:TCM_015891 transcript:EOY24226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb protein embryonic flower, putative isoform 1 MTYYSVNVRRICLCRLRAGIVIFNYKDYGNMLQKTEVTEDFSCPFCLMRCARFKGLRYHLCSSHDLFNFDFWVTEEYQVVNVSVKIDIMVSETVASGVDPRVETFCFCSKPRRRWATNPLQSEKNVNVQFLELDSPKMASESRQNGFLEKDDGERVSKSIPVERDMQNGWHGVENYGSDYTSATECVAPVATSCNGPGVSIAMAQSPVEPDCIKSLSANDTAVPPVKQEIMAERSEPRNRMLLVKRQFFHSHRVQPMAIDQVMSDRDSEDEVDDDIADLEDRRMLDDFVDVSKDEKQLMHLWNSFVRKQRVLADGHVPWACEAFSKLHGQELVQSPALFWCWRLFMIKLWNHGLLDAGAMNNCSMILQRCQNEGLDAMKS >EOY24225 pep chromosome:Theobroma_cacao_20110822:3:30154001:30161250:1 gene:TCM_015891 transcript:EOY24225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb protein embryonic flower, putative isoform 1 MCCQNSCMHLSAEESDSAAENLLVYCKPVELYNILHRRALHNPSFLRRCLKYKIQARHRRRLRAGIVIFNYKDYGNMLQKTEVTEDFSCPFCLMRCARFKGLRYHLCSSHDLFNFDFWVTEEYQVVNVSVKIDIMVSETVASGVDPRVETFCFCSKPRRRWATNPLQSEKNVNVQFLELDSPKMASESRQNGFLEKDDGERVSKSIPVERDMQNGWHGVENYGSDYTSATECVAPVATSCNGPGVSIAMAQSPVEPDCIKSLSANDTAVPPVKQEIMAERSEPRNRMLLVKRQFFHSHRVQPMAIDQVMSDRDSEDEVDDDIADLEDRRMLDDFVDVSKDEKQLMHLWNSFVRKQRVLADGHVPWACEAFSKLHGQELVQSPALFWCWRLFMIKLWNHGLLDAGAMNNCSMILQRCQNEGLDAMKS >EOY24229 pep chromosome:Theobroma_cacao_20110822:3:30176355:30177935:1 gene:TCM_015895 transcript:EOY24229 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein RAV1, putative isoform 1 MRSSCSSGRMSSSRFRGVLALNNSKWGARISLKYRAYWLGTYQVEEEAAIAYDRAALKLHRSDSPLNFPWKIYTAQEKLFQSSYSNEEIMSMIKDKTYTSNLTSFLARESLVRRSMSGCLINAKGISHQLLFHKKLTQTDVAQIKGFHIPKEYALQYLPPLGNSSDGGQMRNDSVDLTFYDKFYRPWTFRYSYWCTTKTFLFTKGWRHFVAMNNLNIADTIMVYGCAFVEEGQSRNFYMIDIHRNDAANYIVGRDAEQGIGTQPNIVDNGAHVDGISGVERKNGVKLFGIQIA >EOY24230 pep chromosome:Theobroma_cacao_20110822:3:30175055:30177800:1 gene:TCM_015895 transcript:EOY24230 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein RAV1, putative isoform 1 MVGSSNSNKIIPCRRNSQYRMRSSCSSGRMSSSRFRGVLALNNSKWGARISLKYRAYWLGTYQVEEEAAIAYDRAALKLHRSDSPLNFPWKIYTAQEKLFQSSYSNEEIMSMIKDKTYTSNLTSFLARESLVRRSMSGCLINAKGISHQLLFHKKLTQTDVAQIKGFHIPKEYALQYLPPLGNSSDGGQMRNDSVDLTFYDKFYRPWTFRYSYWCTTKTFLFTKGWRHFVAMNNLNIADTIMVYGCAFVEEGQSRNFYMIDIHRNDAANYIVGRDAEQGIGTQPNIVDNGAHVDGISGVERKNGVKLFGIQIA >EOY20881 pep chromosome:Theobroma_cacao_20110822:3:1637086:1639012:-1 gene:TCM_012221 transcript:EOY20881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQFPAFMTQFPSTTRTIPTSFLLPSQWPQPHSEELLLAMEESNLEEKLNEIRDTNRNLVVIGKTTIDNDKEDDDNDADDDDADNAEESEAEEFEQETG >EOY22530 pep chromosome:Theobroma_cacao_20110822:3:23794375:23795016:1 gene:TCM_014676 transcript:EOY22530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYRRGALRKLAMSPMPRHIGVGLVGACLGATFAKSPLGLCMKARNGQTEECSATKPHVTFGVFEIISL >EOY25145 pep chromosome:Theobroma_cacao_20110822:3:33413440:33419373:-1 gene:TCM_016556 transcript:EOY25145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose phosphatase/synthase 5 isoform 1 MVSRSYSNLLDLASGDSPTFGREKKRFPRVATVPGLLSEVDDDNCNSVGSDAPSSVSQERMIIVGNQLPLRSHRNADDGEWCFSWDEDSLLLQLKDGLGEDVDVIYVGCLKEEIAPNEQDDVAQTLLETFKCVPAFLPPELFTKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDYVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVLNLPETEAKVAELRNQFRGQTVLLGVDDMDIFKGISLKLLAMEQLLMQHPENTGEVVLVQIANPARGRGRDVQEVQSETYATVKRINDTFGRPGYDPVVLIDSNLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLNPLVPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALIVSEAEKQLRHEKHYRYVSTHDVAYWARSFLQDLERACGDHLRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKNRAILLDYDGTLIRTGSLSTTPNAEAVVILNNLCRDPKNVVFLVSGKDRKTLTEWFSSCEKLGIAAEHGYFIRSNRDADWETCVSVPDFDWKQIAEPVMKLYTETTDGSAIETKESALVWNYLYADPDFGFCQAKELLDHLESVLANEPVSVKSGQHIVEVKPQGVNKGLVAEHLLTTMQQKGMLPDFVLCIGDDRSDEDMFEVIMRARTGPSLSPVAEVFACTVGQKPSKAKYYVEDRTEILRMLQGLANASEQTAKSTPQASQQVIIDRE >EOY25146 pep chromosome:Theobroma_cacao_20110822:3:33414524:33418482:-1 gene:TCM_016556 transcript:EOY25146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose phosphatase/synthase 5 isoform 1 MVSRSYSNLLDLASGDSPTFGREKKRFPRVATVPGLLSEVDDDNCNSVGSDAPSSVSQERMIIVGNQLPLRSHRNADDGEWCFSWDEDSLLLQLKDGLGEDVDVIYVGCLKEEIAPNEQDDVAQTLLETFKCVPAFLPPELFTKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDYVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVLNLPETEAKVAELRNQFRGQTVLLGVDDMDIFKGISLKLLAMEQLLMQHPENTGEVVLVQIANPARGRGRDVQEVQSETYATVKRINDTFGRPGYDPVVLIDSNLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLNPLVPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALIVSEAEKQLRHEKHYRYVSTHDVAYWARSFLQDLERACGDHLRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKNRAILLDYDGTLIRTGSLSTTPNAEAVVILNNLCRDPKNVVFLVSGKDRKTLTEWFSSCEKLGIAAEHGYFIRSNRDADWETCVSVPDFDWKQIAEPVMKLYTETTDGSAIETKESALVWNYLYADPDFGFCQAKELLDHLESVLANEPVSVKSGQHIVEVKPQGVNKGLV >EOY25144 pep chromosome:Theobroma_cacao_20110822:3:33413629:33418578:-1 gene:TCM_016556 transcript:EOY25144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose phosphatase/synthase 5 isoform 1 MVSRSYSNLLDLASGDSPTFGREKKRFPRVATVPGLLSEVDDDNCNSVGSDAPSSVSQERMIIVGNQLPLRSHRNADDGEWCFSWDEDSLLLQLKDGLGEDVDVIYVGCLKEEIAPNEQDDVAQTLLETFKCVPAFLPPELFTKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDYVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVLNLPETEAKVAELRNQFRGQTVLLGVDDMDIFKGISLKLLAMEQLLMQHPENTGEVVLVQIANPARGRGRDVQEVQSETYATVKRINDTFGRPGYDPVVLIDSNLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLNPLVPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALIVSEAEKQLRHEKHYRYVSTHDVAYWARSFLQDLERACGDHLRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKNRAILLDYDGTLIRTGSLSTTPNAEAVVILNNLCRDPKNVVFLVSGKDRKTLTEWFSSCEKLGIAAEHGYFIRSNRDADWETCVSVPDFDWKQIAEPVMKLYTETTDGSAIETKESALVWNYLYADPDFGFCQAKELLDHLESVLANEPVSVKSGQHIVEVKPQGVNKGLVAEHLLTTMQQKGMLPDFVLCIGDDRSDEDMFEVIMRARTGPSLSPVAEVFACTVGQKPSKAKYYVEDRTEILRMLQGLANASEQTAKSTPQASQQVIIDRE >EOY23374 pep chromosome:Theobroma_cacao_20110822:3:27169095:27170006:-1 gene:TCM_015289 transcript:EOY23374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSKMASSPSCSLPICFTFLIFIPTVFLINTVLQPSRESIKMHGENSKQKPVFSNGDDHPLISIVCSNTSRPEYCLKCFYSNPQIKQETDIRRLCSVSIDCALYQSLALG >EOY24758 pep chromosome:Theobroma_cacao_20110822:3:32133475:32134938:-1 gene:TCM_016266 transcript:EOY24758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEAEYMVIPAGYSRNFMLLLLVQIMIALLLGADAVQEWKTLSEEENLEMERQLKVINKPPIKSFLTEYGDILDCIDIYKQHAFDHPLLKDHKVQMRPTRIPKDQMMGGKSSMKTKPPRFLPENIRCPPGSVLIKRTTKEDLIMAKKIKALGLNYPTTSRFHSNDAAPNGYVSANAEYTKHNFGAKTTMNVWNPSVSPNQLSLASMWIANGPVDTLNVIQFGWGVQPRLYSSNYTRLFSYWTVDGYKKTGCYDYLCPL >EOY23367 pep chromosome:Theobroma_cacao_20110822:3:27146913:27148273:-1 gene:TCM_015284 transcript:EOY23367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALIVSEEKKFSAVGFFMAFLPTQKRIMALQHVGKSKYSEIMCQISTEWSEDQEGTLTSIGSAFVKHSAPAKWEMKQDLVRILGDR >EOY20767 pep chromosome:Theobroma_cacao_20110822:3:996522:1000269:1 gene:TCM_012105 transcript:EOY20767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 42, putative MRFSIPYPWNIIWMFWVFSSCFFPSPSDSQALEARLMCGTTNETSRSFVGNVNRVMGALSESVAAKGWGTFSVNDPPFNVFGLGQCYKDVKGDDCRLCLDTAREELERCIPATSGRIYLDRCFQRFDNYSFYNEGVDAKYDRATCGSPTNASIDSYIYQDFQNKLDQVIMNVTKMTLKKNGYGVTEVKGGLVTVYALAQCWKTIDAGSCGECLRNAGLGLRKCAPGAEGRAMFSGCYMRYSTNRFFDHAETDDNQGFGRWLIIGMALAATAFTVLATIGAFISYKRLSKKKEDGLDDIPATGNQTSLSFKYEVLEKATNSFDESRKLGQGGAGSVFKGILPNGKTVAVKRLFFNTRQWVDQFFNEVNLISGVQHKNLVRLLGCSIEGPESLLVYEYVPNRSLDQILFVKNTIHILSWSQRFNIICGTAEGLAYLHGGSGAKIIHRDIKTSNILLDENLTPKIADFGLARCVASDKSHVSTAIAGTLGYMAPEYLVRGQLTEKADVYAFGVVVLEIATGRKNSVFSEGSSSILYSVWKNYKADTITQAIDSGLRGRFEEREASSVLLVGLLCTQASAALRPSMAEVVQMLTDKSCEIPSPKQPPFLNASVLSPEDTISGSFMGPLALDEQQTGPESSSHKLGIMASLESR >EOY23758 pep chromosome:Theobroma_cacao_20110822:3:28492676:28493743:1 gene:TCM_015556 transcript:EOY23758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGMSGTHLEVGSSSLDNCIVISSGEVPATPVSYGAQSKSVFELLIVPMLILLNLRECLSAVPLCCWHSMCTSNLLTSNQIA >EOY23248 pep chromosome:Theobroma_cacao_20110822:3:26767458:26775275:-1 gene:TCM_015207 transcript:EOY23248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MPSWWGKSSSKEVKKKTSKESFIDTLHRKFKIPSEGKPNSRSGVSRRRCTDTISEKGSQSQAVSRSPSPSKQVSRCQSFAERPLAQPLPLPDLHPAIVGRTDSGISISTKPRQEKGSKSSLFLPLPRPACIRHRPNRNDLDGDFITASVSSECSAESDDPTDSLHRSPQATDYDNGTRTAASSPSSLMLKDHSSTVSQSNSREAKKQTSISLGNNISPKSPKRRPISNHVPNLQVPQHGTFTSAPDSSMSSPSRSPMRAFGTEQLMNSPFWVGKTYTDVTLLGSGHCSSPGSGHNSGHNSMGGDMSGQLFWQQSRGSPEYSPNPSPRMASAGPSSRIHSGAVTPIHPRSAGIATESQTSWHDDGKQQSHRLPLPPVTIPTPSPFSHSNSAATSPSVPRSPGRAENPVNPGSRWKKGKLLGRGTFGHVYVGFNSESGEMCAMKEVTLFSDDAKSKESTKQLMQEISLLSRLWHPNIVQYYGSEKVDDRLYIYLEYVSGGSIYKLLQEYGQLKEPVIRSYTQQILSGLAYLHSKSTVHRDIKGANILVDPNGRVKLADFGMAKHIAGQSCPLSFKGSPYWLAPEVIRNTSGYNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPPIPDCLPDEGKDFVRQCLQRNPLHRPTAVQLLDHPFVKCAAPLERPIPDPEPPDPTPGVTNGVKALGIGQTRNYSSLDSEQLAVHSSRVSKLHASDVGIPRNVSCPVSPIGSPLLHSRSPQHLNGRMSPSPISSPRTTSGSSTPLTGGNGAIPFGYLKQSAYLQEGFGSMPKPSNGLYVSGSSYHDSNPDIFRGLQSGSHIFSELVPSENDVLGIGRSVHGESYDGQSVLADRVSRQLLKDHATMSPSLDLSPRSPSPSRTGVII >EOY23247 pep chromosome:Theobroma_cacao_20110822:3:26767630:26775243:-1 gene:TCM_015207 transcript:EOY23247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MPSWWGKSSSKEVKKKTSKESFIDTLHRKFKIPSEGKPNSRSGVSRRRCTDTISEKGSQSQAVSRSPSPSKQVSRCQSFAERPLAQPLPLPDLHPAIVGRTDSGISISTKPRQEKGSKSSLFLPLPRPACIRHRPNRNDLDGDFITASVSSECSAESDDPTDSLHRSPQATDYDNGTRTAASSPSSLMLKDHSSTVSQSNSREAKKQTSISLGNNISPKSPKRRPISNHVPNLQVPQHGTFTSAPDSSMSSPSRSPMRAFGTEQLMNSPFWVGKTYTDVTLLGSGHCSSPGSGHNSGHNSMGGDMSGQLFWQQSRGSPEYSPNPSPRMASAGPSSRIHSGAVTPIHPRSAGIATESQTSWHDDGKQQSHRLPLPPVTIPTPSPFSHSNSAATSPSVPRSPGRAENPVNPGSRWKKGKLLGRGTFGHVYVGFNSESGEMCAMKEVTLFSDDAKSKESTKQLMQEISLLSRLWHPNIVQYYGSEKVDDRLYIYLEYVSGGSIYKLLQEYGQLKEPVIRSYTQQILSGLAYLHSKSTVHRDIKGANILVDPNGRVKLADFGMAKHIAGQSCPLSFKGSPYWLAPEVIRNTSGYNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPPIPDCLPDEGKDFVRQCLQRNPLHRPTAVQLLDHPFVKCAAPLERPIPDPEPPDPTPGVTNGVKALCISSLISYFIVVIGEGCRGGQNPKKETKNFKGTNQGLTMPKLPCSNRSLVPTHSYMPNFGSVISLGFWLTTDKSVGIANIFGIGQTRNYSSLDSEQLAVHSSRVSKLHASDVGIPRNVSCPVSPIGSPLLHSRSPQHLNGRMSPSPISSPRTTSGSSTPLTGGNGAIPFGYLKQSAYLQEGFGSMPKPSNGLYVSGSSYHDSNPDIFRGLQSGSHIFSELVPSENDVLGIGRSVHGESYDGQSVLADRVSRQLLKDHATMSPSLDLSPRSPSPSRTGVII >EOY21306 pep chromosome:Theobroma_cacao_20110822:3:6056137:6058264:-1 gene:TCM_012788 transcript:EOY21306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19e family protein MVSVKLQKRLAASLLKCGKGKVWIDPYEVLQISMANSRMDVRKLVKDSLIIKKPNVTHSRWRCRRAYEAKRKGRQSGYGKRKGTREARLPTKLLWMRKARVLRRLLRKYREMNKIDKHMYHDMYMKAKGGVFKNKRALLESIHKAKTERATDNAVFDQIVAIKVMGKATKERIAWSKETDVLNKATAYLV >EOY23419 pep chromosome:Theobroma_cacao_20110822:3:27337415:27366872:-1 gene:TCM_015324 transcript:EOY23419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 14 isoform 3 MEHLWTMFCGEPACSDSDGKPCNSTFWHLTHPSSCINQAMIICFDILLSIMLLFNMIQKSSSKTVGIPARFRHRSALQTASAVFNGCLGLVYLCFGIWILEEKLRKTQTLLPFNWWLLALFQGCTWLLVGLTVSLRGNRLLKTPLRLLSILALIFAVILCVLSIFAAILNEIVTVNIVLNVLSLPGAILLLLCAYKRYKHEDGEQDTNENGLYAPLNAEANGSAKVDYNAQVTPFSTAGFLSKFSFWWLNPLMRKGREKTLQEEDIPKLREAEKAESCYLLFLEQLNRQKQAKPSSQPSILKTIILCHWKEILVSGFFALVKILTVSSGPLLLNAFILVAEGKGSFKYEGYLLAISLFFAKSLESLSQRQWYFRSRLIGLKVRSLLTAAIYKKQLRLSNAARLMHSSGEITNYVTVDAYRIGEFPFWFHQTWTTSLQLCFALIILVRAVGLATIAALVVIILTVLCNTPLAKLQHRFQSKLMTAQDERLKASSEALISMKVLKLYAWESHFKKVIENLRAVEYKWLSAVQLRKAYNGFLFWSSPVLVSAATFGACYFLKIPLHASNVFTFVATLRLVQDPIRSIPDVIGIVIQANVALKRVVKFLEAPELQSANVRQKRHMENADLAVSIKSGGFSWEENSSKPTLRNITLEVTIGEKVAVCGEVGSGKSTLLAAILGEVPNVQGSIQVFGKIAYVSQTAWIQTGTIQDNILFGSAMDRQRYEETLEKCSLVKDLELMPYGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTATSLFNDYVMEALSGKAVLLVTHQVDFLPAFNSVLLMSDGEILQAAPYHQLLASSQEFQDLVDAHKETAGSGRVAEVNSSDKHGTSTREIKKSYVDKQFKISKGDQLIKQEERERGDIGFKPYIQYLNQDKGFLFFSISALSHLLFVGGQISQNSWMAASVDNPNVSPLKLIAVYLVIGFFSTLLLLCRSLSIVTLGIRSSKSLFSQLLNSLFRAPMSFYDSTPLGRILSRVSVDLSIVDLDVPFSLIFAVGATINAYSNLGVLAVVTWQVLFVSVPVIYAAICLQKYYFSTAKELMRINGTTKSLVANHLAESIAGAVTIRAFEEEERFFAKNLHLVDTNASPFFHSFAANEWLIQRLETLSATVLASAALCMVLLPPGTFSSGFIGMALSYGLSLNMSLVFSIQNQCTIANYIISVERLNQYMYIPSEAPEVIEENRPPSNWPAVGKVDICDLQIRYRPDTPFVLRGISCTFQGGHKIGIVGRTGSGKTTLISALFRLVEPAGGKITVDGIDICTIGLHDLRSRFGVIPQDPTLFNGTVRYNLDPLSQHTDQEIWKVLDKCQLREAVQEKEEGLDSLGKRWIKLEHGTTATILFGPCPLEEKSDIGA >EOY23421 pep chromosome:Theobroma_cacao_20110822:3:27339654:27368107:-1 gene:TCM_015324 transcript:EOY23421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 14 isoform 3 MEHLWTMFCGEPACSDSDGKPCNSTFWHLTHPSSCINQAMIICFDILLSIMLLFNMIQKSSSKTVGIPARFRHRSALQTASAVFNGCLGLVYLCFGIWILEEKLRKTQTLLPFNWWLLALFQGCTWLLVGLTVSLRGNRLLKTPLRLLSILALIFAVILCVLSIFAAILNEIVTVNIVLNVLSLPGAILLLLCAYKRYKHEDGEQDTNENGLYAPLNAEANGSAKVDYNAQVTPFSTAGFLSKFSFWWLNPLMRKGREKTLQEEDIPKLREAEKAESCYLLFLEQLNRQKQAKPSSQPSILKTIILCHWKEILVSGFFALVKILTVSSGPLLLNAFILVAEGKGSFKYEGYLLAISLFFAKSLESLSQRQWYFRSRLIGLKVRSLLTAAIYKKQLRLSNAARLMHSSGEITNYVTVDAYRIGEFPFWFHQTWTTSLQLCFALIILVRAVGLATIAALVVIILTVLCNTPLAKLQHRFQSKLMTAQDERLKASSEALISMKVLKLYAWESHFKKVIENLRAVEYKWLSAVQLRKAYNGFLFWSSPVLVSAATFGACYFLKIPLHASNVFTFVATLRLVQDPIRSIPDVIGIVIQANVALKRVVKFLEAPELQSANVRQKRHMENADLAVSIKSGGFSWEENSSKPTLRNITLEVTIGEKVAVCGEVGSGKSTLLAAILGEVPNVQGSIQVFGKIAYVSQTAWIQTGTIQDNILFGSAMDRQRYEETLEKCSLVKDLELMPYGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTATSLFNDYVMEALSGKAVLLVTHQVDFLPAFNSVLLMSDGEILQAAPYHQLLASSQEFQDLVDAHKETAGSGRVAEVNSSDKHGTSTREIKKSYVDKQFKISKGDQLIKQEERERGDIGFKPYIQYLNQDKGFLFFSISALSHLLFVGGQISQNSWMAASVDNPNVSPLKLIAVYLVIGFFSTLLLLCRSLSIVTLGIRSSKSLFSQLLNSLFRAPMSFYDSTPLGRILSRVSVDLSIVDLDVPFSLIFAVGATINAYSNLGVLAVVTWQVLFVSVPVIYAAICLQKYYFSTAKELMRINGTTKSLVANHLAESIAGAVTIRAFEEEERFFAKNLHLVDTNASPFFHSFAANEWLIQRLETLSATVLASAALCMVLLPPGTFSSGFIGMALSYGLSLNMSLVFSVQSQCTIA >EOY23418 pep chromosome:Theobroma_cacao_20110822:3:27360491:27368111:-1 gene:TCM_015324 transcript:EOY23418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 14 isoform 3 MEHLWTMFCGEPACSDSDGKPCNSTFWHLTHPSSCINQAMIICFDILLSIMLLFNMIQKSSSKTVGIPARFRHRSALQTASAVFNGCLGLVYLCFGIWILEEKLRKTQTLLPFNWWLLALFQGCTWLLVGLTVSLRGNRLLKTPLRLLSILALIFAVILCVLSIFAAILNEIVTVNIVLNVLSLPGAILLLLCAYKRYKHEDGEQDTNENGLYAPLNAEANGSAKVDYNAQVTPFSTAGFLSKFSFWWLNPLMRKGREKTLQEEDIPKLREAEKAESCYLLFLEQLNRQKQAKPSSQPSILKTIILCHWKEILVSGFFALVKILTVSSGPLLLNAFILVAEGKGSFKYEGYLLAISLFFAKSLESLSQRQWYFRSRLIGLKVRSLLTAAIYKKQLRLSNAARLMHSSGEITNYVTVDAYRIGEFPFWFHQTWTTSLQLCFALIILVRAVGLATIAALVVIILTVLCNTPLAKLQHRFQSKLMTAQDERLKASSEALISMKVLKLYAWESHFKKVIENLRAVEYKWLSAVQLRKAYNGFLFWSSPVLVSAATFGACYFLKIPLHASNVFTFVATLRLVQDPIRSIPDVIGIVIQANVALKRVVKFLEAPELQSANVRQKRHMENADLAVSIKSGGFSWEENSSKPTLRNITLEVTIGEKVAVCGEVGSGKSTLLAAILGEVPNVQGSIQVFGKIAYVSQTAWIQTGTIQDNILFGSAMDRQRYEETLEKCSLVKDLELMPYGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTATSLFNDYVMEALSGKAVLLVTHQVDFLPAFNSVLLMSDGEILQAAPYHQLLASSQEFQDLVDAHKETAGSGRVAEVNSSDKHGTSTREIKKSYVDKQFKISKGDQLIKQEERERGDIGFKPYIQYLNQDKGFLFFSISALSHLLFVGGQISQNSWMAASVDNPNVSPLKLIAVYLVIGFFSTLLLLCRSLSIVTLGIRSSKSLFSQLLNSLFRAPMSFYDSTPLGRILSRVSVDLSIVDLDVPFSLIFAVGATINAYSNLGVLAVVTWQVLFVSVPVIYAAICLQKYYFSTAKELMRINGTTKSLVANHLAESIAGAVTIRAFEEEERFFAKNLHLVDTNASPFFHSFAANEWLIQRLETLSATVLASAALCMVLLPPGTFSSGFIGMALSYGLSLNMSLVFSIQNQCTIANYIISVERLNQYMYIPSEAPEVIEENRPPSNWPAVGKVDICDLQIRYRPDTPFVLRGISCTFQGGHKIGIVGRTGSGKTTLISALFRLVEPAGGKITVDGIDICTIGLHDLRSRFGVIPQDPTLFNGTVRYNLDPLSQHTDQEIWKVLDKCQLREAVQEKEEGLDSLVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDLILQKTIRTEFADCTVITVAHRIPTVMDCTMVLAISDGKLVEYDEPRKLMEREDSLFGQLVKEYWSHYQSAESH >EOY23420 pep chromosome:Theobroma_cacao_20110822:3:27339654:27367653:-1 gene:TCM_015324 transcript:EOY23420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 14 isoform 3 MEHLWTMFCGEPACSDSDGKPCNSTFWHLTHPSSCINQAMIICFDILLSIMLLFNMIQKSSSKTVGIPARFRHRSALQTASAVFNGCLGLVYLCFGIWILEEKLRKTQTLLPFNWWLLALFQGCTWLLVGLTVSLRGNRLLKTPLRLLSILALIFAVILCVLSIFAAILNEIVTVNIVLNVLSLPGAILLLLCAYKRYKHEDGEQDTNENGLYAPLNAEANGSAKVDYNAQVTPFSTAGFLSKFSFWWLNPLMRKGREKTLQEEDIPKLREAEKAESCYLLFLEQLNRQKQAKPSSQPSILKTIILCHWKEILVSGFFALVKILTVSSGPLLLNAFILVAEGKGSFKYEGYLLAISLFFAKSLESLSQRQWYFRSRLIGLKVRSLLTAAIYKKQLRLSNAARLMHSSGEITNYVTVDAYRIGEFPFWFHQTWTTSLQLCFALIILVRAVGLATIAALVVIILTVLCNTPLAKLQHRFQSKLMTAQDERLKASSEALISMKVLKLYAWESHFKKVIENLRAVEYKWLSAVQLRKAYNGFLFWSSPVLVSAATFGACYFLKIPLHASNVFTFVATLRLVQDPIRSIPDVIGIVIQANVALKRVVKFLEAPELQSANVRQKRHMENADLAVSIKSGGFSWEENSSKPTLRNITLEVTIGEKVAVCGEVGSGKSTLLAAILGEVPNVQGSIQVFGKIAYVSQTAWIQTGTIQDNILFGSAMDRQRYEETLEKCSLVKDLELMPYGDLTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTATSLFNDYVMEALSGKAVLLVTHQVDFLPAFNSVLLMSDGEILQAAPYHQLLASSQEFQDLVDAHKETAGSGRVAEVNSSDKHGTSTREIKKSYVDKQFKISKGDQLIKQEERERGDIGFKPYIQYLNQDKGFLFFSISALSHLLFVGGQISQNSWMAASVDNPNVSPLKLIAVYLVIGFFSTLLLLCRSLSIVTLGIRSSKSLFSQLLNSLFRAPMSFYDSTPLGRILSRVSVDLSIVDLDVPFSLIFAVGATINAYSNLGVLAVVTWQVLFVSVPVIYAAICLQKYYFSTAKELMRINGTTKSLVANHLAESIAGAVTIRAFEEEERFFAKNLHLVDTNASPFFHSFAANEWLIQRLETLSATVLASAALCMVLLPPGTFSSGFIGMALSYGLSLNMSLVFSVQSQCTIA >EOY22189 pep chromosome:Theobroma_cacao_20110822:3:22194321:22196545:1 gene:TCM_014405 transcript:EOY22189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative isoform 1 MASVSFLQLHEPEDDVVNHQQQQQQHEQTLNLDSLPYWSHDIHFFSSSSSDPDLPHQDDDVSLPDSLIINGPDLFDRRENQVNFVIDLFHQRVEQSQVISNNTPNNNTNSNNNGNTINNDDDINAVDLPSDSLNESGFGVIEGSHELDLGLVLGFDSMDTNEIDGSGGYDDDDDFFVERRVSGLSSCEAASSFSGVERFGDSIRLVGFRSDSEEDEENGALAMDLNSGDDYGIDDHVSDGYDVDAADDDVSVSIPLCWDSLQLEDRRDTNEDFEWEEVDGRVDEREVLSVFVDADDDENSVSLSISPVIAPEDVVSFERAAGLGNLGWEVLLNANNLETNPEMEENAEPFFADRDDYIYTAEYEMLFGQFTENDNAYIGRPPASKYVVENLPSVVVTQEDVVNGNALCAVCKDEVNLGEKMKQLPCAHRYHGDCIIPWLGIRNTCPVCRHELPTDDADYERRRSLGASGAP >EOY22188 pep chromosome:Theobroma_cacao_20110822:3:22194461:22196541:1 gene:TCM_014405 transcript:EOY22188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative isoform 1 HEQTLNLDSLPYWSHDIHFFSSSSSDPDLPHQDDDVSLPDSLIINGPDLFDRRENQVNFVIDLFHQRVEQSQVISNNTPNNNTNSNNNGNTINNDDDINAVDLPSDSLNESGFGVIEGSHELDLGLVLGFDSMDTNEIDGSGGYDDDDDFFVERRVSGLSSCEAASSFSGVERFGDSIRLVGFRSDSEEDEENGALAMDLNSGDDYGIDDHVSDGYDVDAADDDVSVSIPLCWDSLQLEDRRDTNEDFEWEEVDGRVDEREVLSVFVDADDDENSVSLSISPVIAPEDVVSFERAAGLGNLGWEVLLNANNLETNPEMEENAEPFFADRDDYIYTAEYEMLFGQFTENDNAYIGRPPASKYVVENLPSVVVTQEDVVNGNALCAVCKDEVNLGEKMKQLPCAHRYHGDCIIPWLGIRNTCPVCRHELPTDDADYERRRSLGASGAP >EOY22187 pep chromosome:Theobroma_cacao_20110822:3:22194145:22196393:1 gene:TCM_014405 transcript:EOY22187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative isoform 1 MASVSFLQLHEPEDDVVNHQQQQQQHEQTLNLDSLPYWSHDIHFFSSSSSDPDLPHQDDDVSLPDSLIINGPDLFDRRENQVNFVIDLFHQRVEQSQVISNNTPNNNTNSNNNGNTINNDDDINAVDLPSDSLNESGFGVIEGSHELDLGLVLGFDSMDTNEIDGSGGYDDDDDFFVERRVSGLSSCEAASSFSGVERFGDSIRLVGFRSDSEEDEENGALAMDLNSGDDYGIDDHVSDGYDVDAADDDVSVSIPLCWDSLQLEDRRDTNEDFEWEEVDGRVDEREVLSVFVDADDDENSVSLSISPVIAPEDVVSFERAAGLGNLGWEVLLNANNLETNPEMEENAEPFFADRDDYIYTAEYEMLFGQFTENDNAYIGRPPASKYVVENLPSVVVTQEDVVNGNALCAVCKDEVNLGEKMKQLPCAHRYHGDCIIPWLGIRNTCPVCRHELPTDDADYERRRSLGASGAP >EOY22856 pep chromosome:Theobroma_cacao_20110822:3:25047225:25060693:1 gene:TCM_014905 transcript:EOY22856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes 6A, putative isoform 1 MSYSRVLPDQIYGGQRSGAGTIKRIRLENFMCHSSLEIELCEGVNFITGQNGSGKSAILTALCIAFGCRAKDTQRASKLKEFIKTGCSYAIVQVEIKNEGVDAFRPEIYGDYIMIERRISDSTSSTFMKDRQGKKVASRKEDLRELVEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVDELLQTIIKQLKDAFALVDELETLIRPIQLELSELQEKIKNMERVEEISREVQQLKKKLAWSWVYDVDRQLQEQGAKIEKLKDRIPTCQAKIDSILHNLEKLQEHFSNKKVQVACLVEKTSLVRRRKDELWDAFCVATKEKLELEEEHGRSTKQIQKMLNNVRMLEEQARDIQEKHFRNTQAEESEIEEQIKEIEYAVDHVKSILSSLKEEGNTLSEHASAEVDVMKKINDEIKDYEKKQHEIDRQIRELQLHQTNRVTAFGGDGVLRLLREIERHHHKFTMPPIGPIGAHVTLVNGDTWAPAVEQAIGKLLNAFIVTNSKDASALRTCAKEARYNYFPIVIHEFSRPRLKIPNHSLPQTKHPTTLSVLRSDNPTVFNVLVDTVKAERQVLVKDYNIGRAVAFDQRIPNLMEVFTLDGFRMFSRGSVQTILPSNKKLRIGRLCGSFDDQIKEFEKHALSVDVEIKQCKSRKRESEKKLWDFDSRLHNVKRRRLDVERDLTAKSMKLRDVQNSLVAEAGVSPESTTNELLQEISNVKMEIQQKEALLETLRERMIEAEAKARTLKLSFEDLGESTKGEIVAFQKAEEELTEIEKEINAAQAMRAHYESVMNDKVLPLIKEAEAQYLDLENSRKESYRKASVICPESEIEALGGWDGSTPEQLSAHLNRLNQRLKHESHQYSESIDDLRMLYQEKEHKILRKLQTYKAFREKLDACQKALDLRWKKFNRNASLLKRELTWQFNGHLGKKGISGHINVSYEEKTLSVEVKMPQDASSGIVRDTRGLSAGGERSFSTLCFALALHEMTEAPFRAMDEFDVFMDAVSRKISLDTLVEFALAQGSQWIFITPHDISMVKQGERIKKQQMAAPRS >EOY22855 pep chromosome:Theobroma_cacao_20110822:3:25047237:25056602:1 gene:TCM_014905 transcript:EOY22855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes 6A, putative isoform 1 MSYSRVLPDQIYGGQRSGAGTIKRIRLENFMCHSSLEIELCEGVNFITGQNGSGKSAILTALCIAFGCRAKDTQRASKLKEFIKTGCSYAIVQVEIKNEGVDAFRPEIYGDYIMIERRISDSTSSTFMKDRQGKKVASRKEDLRELVEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVDELLQTIIKQLKDAFALVDELETLIRPIQLELSELQEKIKNMERVEEISREVQQLKKKLAWSWVYDVDRQLQEQGAKIEKLKDRIPTCQAKIDSILHNLEKLQEHFSNKKVQVACLVEKTSLVRRRKDELWDAFCVATKEKLELEEEHGRSTKQIQKMLNNVRMLEEQARDIQEKHFRNTQAEESEIEEQIKEIEYAVDHVKSILSSLKEEGNTLSEHASAEVDVMKKINDEIKDYEKKQHEIDRQIRELQLHQTNRVTAFGGDGVLRLLREIERHHHKFTMPPIGPIGAHVTLVNGDTWAPAVEQAIGKLLNAFIVTNSKDASALRTCAKEARYNYFPIVIHEFSRPRLKIPNHSLPQTKHPTTLSVLRSDNPTVFNVLVDTVKAERQVLVKDYNIGRAVAFDQRIPNLMEVFTLDGFRMFSRGSVQTILPSNKKLRIGRLCGSFDDQIKEFEKHALSVDVEIKQCKSRKRESEKKLWDFDSRLHNVKRRRLDVERDLTAKSMKLRDVQNSLVAEAGVSPESTTNELLQEISNVKMEIQQKEALLETLRERMIEAEAKARTLKLSFEDLGESTKGEIVAFQKAEEELTEIEKEINAAQAMRAHYESVMNDKVLPLIKEAEAQYLDLENSRKESYRKASVICPESEIEALGGWDGSTPEQLSAHLNRLNQRLKHESHQLDIRNQLMILGCCIRRKNIRF >EOY22854 pep chromosome:Theobroma_cacao_20110822:3:25047094:25060693:1 gene:TCM_014905 transcript:EOY22854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes 6A, putative isoform 1 MSYSRVLPDQIYGGQRSGAGTIKRIRLENFMCHSSLEIELCEGVNFITGQNGSGKSAILTALCIAFGCRAKDTQRASKLKEFIKTGCSYAIVQVEIKNEGVDAFRPEIYGDYIMIERRISDSTSSTFMKDRQGKKVASRKEDLRELVEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVDELLQTIIKQLKDAFALVDELETLIRPIQLELSELQEKIKNMERVEEISREVQQLKKKLAWSWVYDVDRQLQEQGAKIEKLKDRIPTCQAKIDSILHNLEKLQEHFSNKKVQVACLVEKTSLVRRRKDELWDAFCVATKEKLELEEEHGRSTKQIQKMLNNVRMLEEQARDIQEKHFRNTQAEESEIEEQIKEIEYAVDHVKSILSSLKEEGNTLSEHASAEVDVMKKINDEIKDYEKKQHEIDRQIRELQLHQTNRVTAFGGDGVLRLLREIERHHHKFTMPPIGPIGAHVTLVNGDTWAPAVEQAIGKLLNAFIVTNSKDASALRTCAKEARYNYFPIVIHEFSRPRLKIPNHSLPQTKHPTTLSVLRSDNPTVFNVLVDTVKAERQVLVKDYNIGRAVAFDQRIPNLMEVFTLDGFRMFSRGSVQTILPSNKKLRIGRLCGSFDDQIKEFEKHALSVDVEIKQCKSRKRESEKKLWDFDSRLHNVKRRRLDVERDLTAKSMKLRDVQNSLVAEAGVSPESTTNELLQEISNVKMEIQQKEALLETLRERMIEAEAKARTLKLSFEDLGESTKGEIVAFQKAEEELTEIEKEINAAQAMRAHYESVMNDKVLPLIKEAEAQYLDLENSRKESYRKASVICPESEIEALGGWDGSTPEQLSAHLNRLNQRLKHESHQYSESIDDLRMLYQEKEHKILRKLQTYKAFREKLDACQKALDLRWKKFNRNASLLKRELTWQFNGHLGKKGISGHINVSYEEKTLSVEVKMPQDASSGIVRDTRGLSGGERSFSTLCFALALHEMTEAPFRAMDEFDVFMDAVSRKISLDTLVEFALAQGSQWIFITPHDISMVKQGERIKKQQMAAPRS >EOY22857 pep chromosome:Theobroma_cacao_20110822:3:25047094:25060693:1 gene:TCM_014905 transcript:EOY22857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes 6A, putative isoform 1 MSYSRVLPDQIYGGQRSGAGTIKRIRLENFMCHSSLEIELCEGVNFITGQNGSGKSAILTALCIAFGCRAKDTQRASKLKEFIKTGCSYAIVQVEIKNEGVDAFRPEIYGDYIMIERRISDSTSSTFMKDRQGKKVASRKEDLRELVEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVDELLQTIIKQLKDAFALVDELETLIRPIQLELSELQEKIKNMERVEEISREVQQLKKKLAWSWVYDVDRQLQEQGAKIEKLKDRIPTCQAKIDSILHNLEKLQEHFSNKKVQVACLVEKTSLVRRRKDELWDAFCVATKEKLELEEEHGRSTKQIQKMLNNVRMLEEQARDIQEKHFRNTQAEESEIEEQIKEIEYAVDHVKSILSSLKEEGNTLSEHASAEVDVMKKINDEIKDYEKKQHEIDRQIRELQLHQTNRVTAFGGDGVLRLLREIERHHHKFTMPPIGPIGAHVTLVNGDTWAPAVEQAIGKLLNAFIVTNSKDASALRTCAKEARYNYFPIVIHEFSRPRLKIPNHSLPQTKHPTTLSVLRSDNPTVFNVLVDTVKAERQVLVKDYNIGRAVAFDQRIPNLMEVFTLDGFRMFSRGSVQTILPSNKKLRIGRLCGSFDDQIKEFEKHALSVDVEIKQCKSRKRESEKKLWDFDSRLHNVKRRRLDVERDLTAKSMKLRDVQNSLVAEAGVSPESTTNELLQEISNVKMEIQQKEALLETLRERMIEAEAKARTLKLSFEDLGESTKGEIVAFQKAEEELTEIEKEINAAQAMRAHYESVMNDKVLPLIKEAEAQYLDLENSRKESYRKASVICPESEIEALGGWDGSTPEQLSAHLNRLNQRLKHESHQYSESIDDLRMLYQEKEHKILRKLQTYKAFREKLDACQKALDLRWKKFNRNASLLKRELTWQFNGHLGKKGISGHINVSYEEKTLSVEVKMPQDASSGIVRDTRGLSGGERSFSTLCFALALHEMTEAPFRAMDEFDVFMLAEKSVWTL >EOY22853 pep chromosome:Theobroma_cacao_20110822:3:25047064:25060887:1 gene:TCM_014905 transcript:EOY22853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes 6A, putative isoform 1 MSYSRVLPDQIYGGQRSGAGTIKRIRLENFMCHSSLEIELCEGVNFITGQNGSGKSAILTALCIAFGCRAKDTQRASKLKEFIKTGCSYAIVQVEIKNEGVDAFRPEIYGDYIMIERRISDSTSSTFMKDRQGKKVASRKEDLRELVEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVDELLQTIIKQLKDAFALVDELETLIRPIQLELSELQEKIKNMERVEEISREVQQLKKKLAWSWVYDVDRQLQEQGAKIEKLKDRIPTCQAKIDSILHNLEKLQEHFSNKKVQVACLVEKTSLVRRRKDELWDAFCVATKEKLELEEEHGRSTKQIQKMLNNVRMLEEQARDIQEKHFRNTQAEESEIEEQIKEIEYAVDHVKSILSSLKEEGNTLSEHASAEVDVMKKINDEIKDYEKKQHEIDRQIRELQLHQTNRVTAFGGDGVLRLLREIERHHHKFTMPPIGPIGAHVTLVNGDTWAPAVEQAIGKLLNAFIVTNSKDASALRTCAKEARYNYFPIVIHEFSRPRLKIPNHSLPQTKHPTTLSVLRSDNPTVFNVLVDTVKAERQVLVKDYNIGRAVAFDQRIPNLMEVFTLDGFRMFSRGSVQTILPSNKKLRIGRLCGSFDDQIKEFEKHALSVDVEIKQCKSRKRESEKKLWDFDSRLHNVKRRRLDVERDLTAKSMKLRDVQNSLVAEAGVSPESTTNELLQEISNVKMEIQQKEALLETLRERMIEAEAKARTLKLSFEDLGESTKGEIVAFQKAEEELTEIEKEINAAQAMRAHYESVMNDKVLPLIKEAEAQYLDLENSRKESYRKASVICPESEIEALGGWDGSTPEQLSAHLNRLNQRLKHESHQYSESIDDLRMLYQEKEHKILRKLQTYKAFREKLDACQKALDLRWKKFNRNASLLKRELTWQFNGHLGKKGISGHINVSYEEKTLSVEVKMPQDASSGIVRDTRGLSGGERSFSTLCFALALHEMTEAPFRAMDEFDVFMDAVSRKISLDTLVEFALAQGSQWIFITPHDIRLLFILGYVCPNSPFSDSINPIMMLSYEARLRFFFHQIGNDPMPRQLWTFFEDFIKSLWF >EOY25169 pep chromosome:Theobroma_cacao_20110822:3:33470243:33471339:1 gene:TCM_016570 transcript:EOY25169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQMKYQPRKQNNHSSPVCRGRENQSWGNHYVKYETSLSTSRKTEKTLNVSNGESFIQVRFRGRPAAHFILWAAVLLQGGRVCDCLPCCLPCPCNTDDSTAAATGVVREGSTCSTHGDCAGISCKSGFGFCADSICTCSIGNTRY >EOY23752 pep chromosome:Theobroma_cacao_20110822:3:28466815:28471172:-1 gene:TCM_015551 transcript:EOY23752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded DNA binding, putative isoform 2 MSSSRENSPDWLRSFQAPTSTLTLSSDSNSSPNCSPLREYKTDDEENFLHSSSTWEKGKRNNNKVHETPSKKKKIDVLKSSEGHRDDAKAAKGEISNKHLGSHAANSSIWTLSSDSESSPGHSPKRKEKISLSQESGEANDPVLTGRGGESPRKKTSKGKSPKKGLKVGGQTPEMENNVNDDGKITENDDNIAAAEEASEKHIEPHVSTSRLPLVLSEKIQRSKALVECEGDSIDLSGDVGAVGRIVISDTASENHEMFLDLKGTIYKTTIVPSRTFCIVGFGQSEAKIEAIMNDFIQLKSQSNVCEAETMVEGTLDGFSFDSEDEIDKMPKTIPHQTDQNEGTDGQMNGKAKGKADKTSTASRKRGKTAGGKPQPPKKAGKKTVVSKKPKTRK >EOY23751 pep chromosome:Theobroma_cacao_20110822:3:28464517:28471787:-1 gene:TCM_015551 transcript:EOY23751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded DNA binding, putative isoform 2 MGFISRVRNMLESSQGRMSSSRENSPDWLRSFQAPTSTLTLSSDSNSSPNCSPLREYKTDDEENFLHSSSTWEKGKRNNNKVHETPSKKKKIDVLKSSEGHRDDAKAAKGEISNKHLGSHAANSSIWTLSSDSESSPGHSPKRKEKISLSQESGEANDPVLTGRGGESPRKKTSKGKSPKKGLKVGGQTPEMENNVNDDGKITENDDNIAAAEEASEKHIEPHVSTSRLPLVLSEKIQRSKALVECEGDSIDLSGDVGAVGRIVISDTASENHEMFLDLKGTIYKTTIVPSRTFCIVGFGQSEAKIEAIMNDFIQLKSQSNVCEAETMVEGTLDGFSFDSEDEIDKMPKTIPHQTDQNEGTDGQMNGKAKGKADKTSTASRKRGKTAGGKPQPPKKAGKKTVVSKKPKTRK >EOY25168 pep chromosome:Theobroma_cacao_20110822:3:33467253:33469907:1 gene:TCM_016569 transcript:EOY25168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L25/Gln-tRNA synthetase, anti-codon-binding domain MATLIHRTLSNRTLHLRFFSQAALALDKSSLTSPSPSPSPSSPFPLTYLEGFPKPDPKYAETILAIPRATSGKSIAAKERKVGRLPSIIFEQEDGQHGGNKRLISVQINQIRKLVKHLGQSFFLSRLFDLEVRSEFGTGDLIEKVRVLPRKLHLHAGTDAPLNVTFIRAPSHALLKVDVPVVFRGEDVSPGLRKGSYLNIIKRTVKFRCPADVVPPYIDVDLSELDVGQKLVMGDLEVHPALKLIQSKDEPVVKIMGARVSDQQRKK >EOY24076 pep chromosome:Theobroma_cacao_20110822:3:29559674:29563812:-1 gene:TCM_015779 transcript:EOY24076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tobamovirus multiplication 2A isoform 1 MACRGCLGCLLKLLNLLMTLVGLAMVGYGIYLFVEYEKASDTAMLLSPAGSDQDLIQLGRPMLMAVSLSSSIFDNLPKAWFIYLFIGVGVVLVIISCFGCIGAATRNLCCLTCYSVLVILLILVELGCAAFIFFDKSWKDELPTDKTGDFDMIYKFLKEHWSIVKWAALGVVILEALIFLLALMVRAANRPVDYDSDDEFMAPRPQIRQPLINRPPVPATGVPVAGSLEQRSSRNDAWSTRMREKYGLDTSEFTYNPSESNRYQQVTPQPAEERSRCTIM >EOY24077 pep chromosome:Theobroma_cacao_20110822:3:29559631:29563945:-1 gene:TCM_015779 transcript:EOY24077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tobamovirus multiplication 2A isoform 1 MACRGCLGCLLKLLNLLMTLVGLAMVGYGIYLFVEYEKASDTAMLLSPAGSDQDLIQLGRPMLMAVSLSSSIFDNLPKAWFIYLFIGVGVVLVIISCFGCIGAATRNLCCLTCYSVLVILLILVELGCAAFIFFDKSWKDELPTDKTGDFDMIYKFLKEHWSIVKWAALGVVILEALIFLLALMVRAANRPVDYDSDDEFMAPRPQIRQPLINRPPVPATGVPVAGSLEQRSSRNDAWSTRMREKYGLDTSEFTYNPSESNRYQQVTPQPAEERSRCTIM >EOY24078 pep chromosome:Theobroma_cacao_20110822:3:29559693:29563119:-1 gene:TCM_015779 transcript:EOY24078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tobamovirus multiplication 2A isoform 1 MACRGCLGCLLKLLNLLMTLVGLAMVGYGIYLFVEYEKASDTAMLLSPAGSDQDLIQLGRPMLMAVSLSSSIFDNLPKAWFIYLFIGVGVVLVIISCFGCIGAATRNLCCLTCYSVLVILLILVELGCAAFIFFDKSWKDELPTDKTGDFDMIYKFLKEHWSIVKWAALGVVILEALIFLLALMVRAANRPVDYDSDDEFMAPRPQIRQPLINRPPVPATGVPVAGSLEQRSSRNDAWSTRMREKYGLDTSEFTYNPSESNRYQQVTPQPAEERSRCTIM >EOY22953 pep chromosome:Theobroma_cacao_20110822:3:25531652:25536601:-1 gene:TCM_014982 transcript:EOY22953 gene_biotype:protein_coding transcript_biotype:protein_coding description:F5O11.10, putative isoform 1 MASANSLPPTDPSSSTEDPAAKAVNKRYEGLITVRTKATKGKGAWYWAHLEPILVRNPDTNLPKAVKLKCSLCDAVFSASNPSRTASEHLKRGTCPNFSSVLRPNSSLSPLPISSLASPSASYHHHNHRKRSPSVAIVSPLQNQVSNNSNDDNNNALAIVESTRLLGFSSHNNNNNAGLTQQHLVLSGGKEDLDALAMLEDSVKRLKSPKTSPGPALSKDQIDSAFDLLADWFYESCGSVSFSSFEHPKFRAFLSQVGMPAVSRRDLSGARLDNKFHEAKRESEARIRDAMFFQVASDGWKRKNCCCCSSSYTSSSTSSCCVEENLVKFSVNLPNGSSVYQKAVFTGGSVTSKYAEEVLWEAVMGISGSGVQKCVGIVADKYKAKALRNLEIQNHWMVNLSCQLQGFVSLIKDFSKELLLFRTVTENSLKLANFVNNKSQVRASFQKYRMQELECAGLIRVPSNKCDCSSNIAHVFAMLEDILNCSRVLQMVVLDDFYKVICVEDPVAQEVAGIVQNEGFWNDLEAVYSLVKLIRGMAQEIEVERPLIGQCLPLWEELRLKVKEWCTKFNLAEAPVEKIVEKRFRKNYHPAWSAAFILDPLYLTRETSGKYLPPFKCLTHEQEKDVDKLITRLVTREEGHVALMELMKWRSEGLDPLYAQAVQVKQRDPVTGKMKIANPQSSRLVWETCLSEYKSLGKVAVRLIFLHATSCGFKCNWSLMKWICVHRHSRIGLERAQKMIFIAAHSKLGRRDFSNEEEKDAELFMISSEDDMLNEVFADAP >EOY22954 pep chromosome:Theobroma_cacao_20110822:3:25529807:25536551:-1 gene:TCM_014982 transcript:EOY22954 gene_biotype:protein_coding transcript_biotype:protein_coding description:F5O11.10, putative isoform 1 MASANSLPPTDPSSSTEDPAAKAVNKRYEGLITVRTKATKGKGAWYWAHLEPILVRNPDTNLPKAVKLKCSLCDAVFSASNPSRTASEHLKRGTCPNFSSVLRPNSSLSPLPISSLASPSASYHHHNHRKRSPSVAIVSPLQNQVSNNSNDDNNNALAIVESTRLLGFSSHNNNNNAGLTQQHLVLSGGKEDLDALAMLEDSVKRLKSPKTSPGPALSKDQIDSAFDLLADWFYESCGSVSFSSFEHPKFRAFLSQVGMPAVSRRDLSGARLDNKFHEAKRESEARIRDAMFFQVASDGWKRKNCCCCSSSYTSSSTSSCCVEENLVKFSVNLPNGSSVYQKAVFTGGSVTSKYAEEVLWEAVMGISGSGVQKCVGIVADKYKAKALRNLEIQNHWMVNLSCQLQGFVSLIKDFSKELLLFRTVTENSLKLANFVNNKSQVRASFQKYRMQELECAGLIRVPSNKCDCSSNIAHVFAMLEDILNCSRVLQMVVLDDFYKVICVEDPVAQEVAGIVQNEGFWNDLEAVYSLVKLIRGMAQEIEVERPLIGQCLPLWEELRLKVKEWCTKFNLAEAPVEKIVEKRFRKNYHPAWSAAFILDPLYLTRETSGKYLPPFKCLTHEQEKDVDKLITRLVTREEGHVALMELMKWRSEGLDPLYAQAVQVKQRDPVTGKMKIANPQSSRLVWETCLSEYKSLGKVAVRLIFLHATSCGFKCNWSLMKWICVHRHSRIGLERAQKMIFIAAHSKLGRRDFSNEEEKDAELFMISSEDDMLNEVFADAP >EOY24068 pep chromosome:Theobroma_cacao_20110822:3:29528451:29531047:1 gene:TCM_015771 transcript:EOY24068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tobamovirus multiplication 2B protein isoform 1 MATAGGGGGNVKGTSSREGTAKSIVTEQISQAVQSTSNLLHLMQQSSPSQQTQLMKLPKNLLAKAPTIKNTGQMLEQMPRVISVLDAQIDSGLQSVPHLKTVIQLLENMESCHLSSLSQAHFSQGESQQANQHPKAGSPP >EOY24067 pep chromosome:Theobroma_cacao_20110822:3:29527446:29531103:1 gene:TCM_015771 transcript:EOY24067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tobamovirus multiplication 2B protein isoform 1 MATAGGGGGNVKGTSSREGTAKSIVTEQISQAVQSTSNLLHLMQQSSPSQTQLMKLPKNLLAKAPTIKNTGQMLEQMPRVISVLDAQIDSGLQSVPHLKTVIQLLENMESCHLSSLSQAHFSQGESQQANQHPKAGSPP >EOY21827 pep chromosome:Theobroma_cacao_20110822:3:18820843:18822777:1 gene:TCM_013959 transcript:EOY21827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 9 MGEENKVILHGVWASPFSKRVELALKLTGIPLEYVEEDLQNKSPQLLRCNPVHKKIPVRVHNGKPIAESLVILEYIDETWKNSPRLLPKDPYKRAKVRFWTSFVQQQLFDTMFTVTKTEGEAQRKAVKEVSEKLQVLEEGIKELFPNGNPCIDSQNIGLLDIVILSLLGPHKVQEEVLGIKIIDPEKTPLIFAWLTAINQLTVVKESTPPHDKLVALLKFLRENSLKSSA >EOY20719 pep chromosome:Theobroma_cacao_20110822:3:694140:695512:1 gene:TCM_012063 transcript:EOY20719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprotein-like protein MILEPCKEKNSSKENVVLSKRQISINITNDLILFPNYIMAFYDPNLLSALKVQVQIIGAPQIPSTIIATLHYQMVCRVQDHAFNLSKIQTSSNNALVLTINTSQALICSFVPKRISKEDFAKLLLEKWITNYENLQEAIISIQFTKINFTKKADGTIEIKFNHSHLKEPFAPPVFSSMFMIKQTETVEPGSKQKLIKSFDHMGKPIFHFTDPITSHCPWGINCTCEGCQEDGLATNYEMDREKKKKKSKNKPKCSDRELYKKFQDGDPHVGTLGQGGRYQYLVKYSFPEWTKFVPLEKPFQ >EOY21667 pep chromosome:Theobroma_cacao_20110822:3:16345565:16348457:1 gene:TCM_013719 transcript:EOY21667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin / Major Facilitator Superfamily protein MGSLNLKMKYKLNNKWISTVGSIWIQCTSGSLYTFSIYSPTLKSTQNYDQSTLDTVSVFKDIGANCGVLSGILYTFAVPYNRHSRLASFGGPWLVHVAGAIQSFTGYFLIWAAVIGLIPRPPVVGMCLFMLLAAHAQSFFNTANVVTAVRNFPDYSGTAVGLMKGFLGLSGAILIQVYQTIFNNKPTSYLLMLALLPTINPFLLMWFVRTYDTNEQDEKKLLNAISLVSLLVGAYLMAIIILEHIVHLQLVVRVLILFVLLVLVASPLCIALRAQERGFPVIQQSLFSEGDKLLDEPQQLDAGTAAQDPACYHHFSTDADQEINANDTRNPEEEENLNLLQAMCTVNFWILFFAMACGMGSGLATVNNLGQIGESLGYLSFETNTLVSLWSIWNFLGRFGAGYVSDYFLHVRGCARPLFMVLTLATMSVGHAVIASGLPGAMYAGSILVGVCYGSQWSLMPTIASEIFGVRHMGTIFNGITIASPVGSYIFSVKVVGYIYDMEASGEGNSCTGTHCFMLSYLIMASATLLGSLAALCLFFQTKSFYNQVSGPPPYH >EOY20967 pep chromosome:Theobroma_cacao_20110822:3:1956704:1968912:-1 gene:TCM_012282 transcript:EOY20967 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-displacement protein alternatively spliced product isoform 1 MDAPQSGSDRDKSNPSSSPVSVVSNFWKEFYLEKEKSVLDEQGLRIAENQENSQKNRRKLAESTRDFKKASAEEKLSLFNSLLKGYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASIAEQDLKISELESENRKMKVELEEFRTEAMHLKNQQATIRRLEERTRQLEQQMEEKVKEIVEIKQRSLAEENQKTLEVLKDREQSLQDQLRQAKESVSNMQKLHELAQSQLFELRAQSEEDRAAKQSEVNLLMDEVERAQTRLFSLEREKGVLRSQLQSVHEESENKRSNNVDSNTILENSLSAKEKIISELNMELHNIETTLSNEREEHVNEIKKLNSLLNEKEVALEEMKKELQVRPTTKLVDDLHKKVKILQAVGYNSIEAEDWEAATSGEEMSKMESLLLDKNRKMEHELTQLKIKLSEKTSLLETAEGKIVELTEKVNEQQKLIQKLEDDILKGYSSKERKGTLFDDWDLSESGVNELSENTDQKHISSDQDQSSMLKVICNQRDRFRARLRETEEEIRQLKEKIGELTAELEKTKADNVKLYGKIRYVQDYNQEKVISRGSKKYAEDLESGFTSDVESKYKKIYEDDINPFAAFSKKERDQRYKELGFRDRITLSSGRFLLGNKYARTFAFFYTIGLHILVFTCLYRMSALSYLSNGPEEALVGEKNVNLPRGF >EOY20969 pep chromosome:Theobroma_cacao_20110822:3:1957616:1968526:-1 gene:TCM_012282 transcript:EOY20969 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-displacement protein alternatively spliced product isoform 1 MDAPQSGSDRDKSNPSSSPVSVVSNFWKEFYLEKEKSVLDEQGLRIAENQENSQKNRRKLAESTRDFKKASAEEKLSLFNSLLKGYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASIAEQDLKISELESENRKMKVELEEFRTEAMHLKNQQATIRRLEERTRQLEQQMEEKVKEIVEIKQRSLAEENQKTLEVLKDREQSLQDQLRQAKESVSNMQKLHELAQSQLFELRAQSEEDRAAKQSEVNLLMDEVERAQTRLFSLEREKGVLRSQLQSVHEESENKRSNNVDSNTILENSLSAKEKIISELNMELHNIETTLSNEREEHVNEIKKLNSLLNEKEVALEEMKKELQVRPTTKLVDDLHKKVKILQAVGYNSIEAEDWEAATSGEEMSKMESLLLDKNRKMEHELTQLKIKLSEKTSLLETAEGKIVELTEKVNEQQKLIQKLEDDILKGYSSKERKGTLFDDWDLSESGVNELSENTDQKHISSDQDQSSMLKVICNQRDRFRARLRETEEEIRQLKEKIGELTAELEKTKADNVKLYGKIRYVQDYNQEKVISRGSKKYAEDLESGFTSDVESKYKKIYEDDINPFAAFSKKVGEHVLLS >EOY20968 pep chromosome:Theobroma_cacao_20110822:3:1956792:1968633:-1 gene:TCM_012282 transcript:EOY20968 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-displacement protein alternatively spliced product isoform 1 MDAPQSGSDRDKSNPSSSPVSVVSNFWKEFYLEKEKSVLDEQGLRIAENQENSQKNRRKLAESTRDFKKASAEEKLSLFNSLLKGYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASIAEQDLKISELESENRKMKVELEEFRTEAMHLKNQQATIRRLEERTRQLEQQMEEKVKEIVEIKQRSLAEENQKTLEVLKDREQSLQDQLRQAKESVSNMQKLHELAQSQLFELRAQSEEDRAAKQSEVNLLMDEVERAQTRLFSLEREKGVLRSQLQSVHEESENKRSNNVDSNTILENSLSAKEKIISELNMELHNIETTLSNEREEHVNEIKKLNSLLNEKEVALEEMKKELQVRPTTKLVDDLHKKVKILQAVGYNSIEAEDWEAATSGEEMSKMESLLLDKNRKMEHELTQLKIKLSEKTSLLETAEGKIVELTEKVNEQQKLIQKLEDDILKGYSSKERKGTLFDDWDLSESGVNELSENTDQKHISSDQDQSSMLKVICNQRDRFRARLRETEEEIRQLKEKIGELTAELEKTKADNVKLYGKIRYVQDYNQEKVISRGSKKYAEDLESGFTSDVESKYKKIYEDDINPFAAFSKKERDQRYKELGFRDRITLSSGRFLLGNKYARTFAFFYTIGLHILVFTCLYRMSALSYLSNGPEEALVGEKNVNLPRGF >EOY20970 pep chromosome:Theobroma_cacao_20110822:3:1959166:1968633:-1 gene:TCM_012282 transcript:EOY20970 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-displacement protein alternatively spliced product isoform 1 MDAPQSGSDRDKSNPSSSPVSVVSNFWKEFYLEKEKSVLDEQGLRIAENQENSQKNRRKLAESTRDFKKASAEEKLSLFNSLLKGYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASIAEQDLKISELESENRKMKVELEEFRTEAMHLKNQQATIRRLEERTRQLEQQMEEKVKEIVEIKQRSLAEENQKTLEVLKDREQSLQDQLRQAKESVSNMQKLHELAQSQLFELRAQSEEDRAAKQSEVNLLMDEVERAQTRLFSLEREKGVLRSQLQSVHEESENKRSNNVDSNTILENSLSAKEKIISELNMELHNIETTLSNEREEHVNEIKKLNSLLNEKEVALEEMKKELQVRPTTKLVDDLHKKVKILQAVGYNSIEAEDWEAATSGEEMSKMESLLLDKNRKMEHELTQLKIKLSEKTSLLETAEGKIVELTEKVNEQQKLIQKLEDDILKGYSSKERKGTLFDDWDLSESGVNELSEVGKEFIVLFVLSIHC >EOY22490 pep chromosome:Theobroma_cacao_20110822:3:23672690:23674961:1 gene:TCM_014648 transcript:EOY22490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein MGCSNKDQVVSHAGSKDMMESEHKESPFASIPKSPIQSSRPSSMVVKKAHTVIPAHIVAEAISTLHGLDLRWSGPITNTERVYVEQYVLAKYPQYAGQVEIENMDLSSLCINEESSEPAPDDKRKSPKSNFRESSTPSFGSNLPDLDRIQLEASRLLDILTKKSSFPGSFISIPEIQARNKVLRHCGLQDDDYLVLFTPNYKDAMMLVGESYPFFRGNFYMTIIGEEMDYIREFAIYKEAKAILAPESWLDLRIKGSQLSQYFRRKCKHSPKGLFSYPADVNGMRYSMHWISEAHRNSWHVLLDATALVVGQDRLNLALHRPDFVLCSLENTHAQPSRITCLLVRKKSFDTTTSSSQVTE >EOY20893 pep chromosome:Theobroma_cacao_20110822:3:1679215:1683413:1 gene:TCM_012231 transcript:EOY20893 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MTNFTFPVEVCLKPSSTARPDDVRKAVEQMLEKRSLSYVDGPVPVPIDDPFLMENVQRIRICDTDEWVKNHDILLFWQVKPVVHVFQLSEEGPCEELSGDGQLSSFNDWILPAKEFDGMWESLIYESGLKQRLLRYAASALLFTEKGVDPFLVSWNRIILLHGPPGTGKTSLCKALAQKLSIRFSSRYPQCQLVEVNAHSLFSKWFSESGKLGRKAFPKNSRNGRGRKQSDEVESLAAARKAALSGSEPSDSIRVVNALLTQMDKLKSSPNVIILTTSNITAAIDIAFVDRADIKAYIGPPTLQARYEILKSCLNELIRTGIISSSRDSSQSILPDYVTLKEKLSMPEIQEVQTTLHLWKQLLEAAEACEGLSGRSLRKLPFLAHAALNNPYCCDPSKFLNTMIETARRERSELPD >EOY20892 pep chromosome:Theobroma_cacao_20110822:3:1679193:1684027:1 gene:TCM_012231 transcript:EOY20892 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MSSPMEISVPNPADVKTSEQNGVAPSPPPASPSPIVPHDKFHVSVEVCLKPSSTARPDDVRKAVEQMLEKRSLSYVDGPVPVPIDDPFLMENVQRIRICDTDEWVKNHDILLFWQVKPVVHVFQLSEEGPCEELSGDGQLSSFNDWILPAKEFDGMWESLIYESGLKQRLLRYAASALLFTEKGVDPFLVSWNRIILLHGPPGTGKTSLCKALAQKLSIRFSSRYPQCQLVEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEENNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQMDKLKSSPNVIILTTSNITAAIDIAFVDRADIKAYIGPPTLQARYEILKSCLNELIRTGIISSSRDSSQSILPDYVTLKEKLSMPEIQEVQTTLHLWKQLLEAAEACEGLSGRSLRKLPFLAHAALNNPYCCDPSKFLNTMIETARRERSELPD >EOY21358 pep chromosome:Theobroma_cacao_20110822:3:7075785:7077221:-1 gene:TCM_012883 transcript:EOY21358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIEIIDQIQDSTLKREYLQKLKDSIKEKSDKTNLLNHEPIYNIKSIIFEKYEKTKPRKITKEELNLEINTIKAEISELKKEQQQIKRQIEFVKNTKIQTNQCSFESETEDQKTQEYMMILIEVSTQRYLIKIKLLINEEFQLETIALVDTSADQNCIRERIIPTKYYDKTIEGLKTANDEKLKITYKISNAEICNQGIKFKTPF >EOY23090 pep chromosome:Theobroma_cacao_20110822:3:26164591:26167133:1 gene:TCM_015091 transcript:EOY23090 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MCQLTPSPHSCKCNSNVPYLLSIRDSEGSNIYEPLIPKSPTVPNQEQKYPVPIQPQKQDGAPSLPLAVKEAISIAKIALPMILTGLMLYSRSLISMLFLGRLGELALAGGSLAIGFANITGYSILSGLAMGMESICGQAFGARKYTLLGITLQRTVLLLFVSSLPISLLWINMKTILILCGQDETIATEAQSYLVYSVPDLLAQSLLHPLRIYLRTQSITFPLTCCAILSILLHIPINYLLVSHLKLGIKGVALSGVLTNFNLVGSLIIYILYFGVHKKTWGGFSMECFKEWKSLTNLAIPSCISVCLEWWWYEIMILLCGLLLNPEATVASMGILIQTTALIYIFPSSLSFSVSTRVGNELGANQPKRAKLAAFVGLFCGFMLGFSALLFAVMVRNMWASMFTDDREIIALTSLVLPIIGLCELGNCPQTTGCGVLRGTARPKVGANINLGCFYLVGMPVAVWLGFFAGFDFKGLWLGLLAAQGSCMLTMMMVLVRTDWDSEAQRAKELTGTVVVVDDSKEVEQEKLLKAEIKEDSFCLLGDLEKPDHYCLV >EOY22711 pep chromosome:Theobroma_cacao_20110822:3:24538081:24541063:-1 gene:TCM_014801 transcript:EOY22711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase 1 isoform 2 MLMLVNCSNCHTPLQLPPGAKSIRCVLCQAVTLIADPRYAPSPSASSSHYYQQPPPQLQGPSPYNHAPSGPPPQAHGSKRAVICGVSYKNTGYELKGCINDANCMKYLLVNRFSFPESSIVTLTEEETDPHKRPTKHNIRMALNWLVQGCQPGDSLVFHYSGHGSQQRNYTGDEVDGYDETLCPTDFESQGMIVDDEINVTIVRPLPHGVKLHAIIDACHSGTVLDLPFLCRMDGQGKYFWEDHRPRSGMWKGTSGGEVISFSGCDDNQTSADTSALSRIASTGAMTFSFIQAIERGHATTYGNMLNAMRSTIHKTDEHEGGFISSLLTMLLTGGSLGGGLRQEPQLTASETFDVYKKPFSL >EOY22712 pep chromosome:Theobroma_cacao_20110822:3:24538057:24541150:-1 gene:TCM_014801 transcript:EOY22712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase 1 isoform 2 MLMLVNCSNCHTPLQLPPGAKSIRCVLCQAVTLIADPRYAPSPSASSSHYYQQPPPQLQGPSPYNHAPSGPPPQAHGSKRAVICGVSYKNTGYELKGCINDANCMKYLLVNRFSFPESSIVTLTEEETDPHKRPTKHNIRMALNWLVQGCQPGDSLVFHYSGHGSQQRNYTGDEVDGYDETLCPTDFESQGMIVDDEINVTIVRPLPHGVKLHAIIDACHSGTVLDLPFLCRMDGGGSLGGGLRQEPQLTASETFDVYKKPFSL >EOY21708 pep chromosome:Theobroma_cacao_20110822:3:17568198:17572823:1 gene:TCM_013815 transcript:EOY21708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 GKLDGLQRLYLRANYLQGGIPSNIGNLSFLTILDLSSNSLKGAIPSSIGRLTRLHYLNLSTNFFSGEIPDIGVLSTFGNKSFIGNLDLCGQQVHKPCRTSLGFPAVLPHAESDEVAVPTKRSSHYIKGVLIGAMSTMALVLVMLLAFLWICLLSKKERAAKRYTEVKKQVQQDISTKLITFHGDLPYPSCEIIEKLESLDEEDVVGSGGFGTVYRMVMNDCGTFAVKRIDRSREGSDQVFERELEILGSIKHINLVNLRGYCRLPASKLLIYDYLAMGSLDDFLHEHKEQDRPLNWSARLKIALGSARGLAYLHHDCCPKIVHRDIKSSNILLDENLEPHVSDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGRATEKSDVYSFGVLLLELVTGKRPTDPTFVKRGLNVVGWMNTLLRENRLEDVVDKKCTDADMETVEAILEIAAKCTDANPDQRPTMSQVLQLLEQEVMSPCPSDFYESHSDYC >EOY21707 pep chromosome:Theobroma_cacao_20110822:3:17567425:17572798:1 gene:TCM_013815 transcript:EOY21707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MRAMLWLFSAIFAATLLSSGSFALTQDGLTLLEIKSTLNDSKNFLGNWLASDESPCSWTGISCYPNDQRVRSINLPYMQLGGTISPSIGKLDGLQRLALHQNSLHGLIPNEITNCTELRALYLRANYLQGGIPSNIGNLSFLTILDLSSNSLKGAIPSSIGRLTRLHYLNLSTNFFSGEIPDIGVLSTFGNKSFIGNLDLCGQQVHKPCRTSLGFPAVLPHAESDEVAVPTKRSSHYIKGVLIGAMSTMALVLVMLLAFLWICLLSKKERAAKRYTEVKKQVQQDISTKLITFHGDLPYPSCEIIEKLESLDEEDVVGSGGFGTVYRMVMNDCGTFAVKRIDRSREGSDQVFERELEILGSIKHINLVNLRGYCRLPASKLLIYDYLAMGSLDDFLHEHKEQDRPLNWSARLKIALGSARGLAYLHHDCCPKIVHRDIKSSNILLDENLEPHVSDFGLAKLLVDEDAHVTTVVAGTFGYLAPAEYLQSGRATEKSDVYSFGVLLLELVTGKRPTDPTFVKRGLNVVGWDEHSTERE >EOY21706 pep chromosome:Theobroma_cacao_20110822:3:17566907:17573181:1 gene:TCM_013815 transcript:EOY21706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MRAMLWLFSAIFAATLLSSGSFALTQDGLTLLEIKSTLNDSKNFLGNWLASDESPCSWTGISCYPNDQRVRSINLPYMQLGGTISPSIGKLDGLQRLALHQNSLHGLIPNEITNCTELRALYLRANYLQGGIPSNIGNLSFLTILDLSSNSLKGAIPSSIGRLTRLHYLNLSTNFFSGEIPDIGVLSTFGNKSFIGNLDLCGQQVHKPCRTSLGFPAVLPHAESDEVAVPTKRSSHYIKGVLIGAMSTMALVLVMLLAFLWICLLSKKERAAKRYTEVKKQVQQDISTKLITFHGDLPYPSCEIIEKLESLDEEDVVGSGGFGTVYRMVMNDCGTFAVKRIDRSREGSDQVFERELEILGSIKHINLVNLRGYCRLPASKLLIYDYLAMGSLDDFLHEHKEQDRPLNWSARLKIALGSARGLAYLHHDCCPKIVHRDIKSSNILLDENLEPHVSDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGRATEKSDVYSFGVLLLELVTGKRPTDPTFVKRGLNVVGWMNTLLRENRLEDVVDKKCTDADMETVEAILEIAAKCTDANPDQRPTMSQVLQLLEQEVMSPCPSDFYESHSDYC >EOY22209 pep chromosome:Theobroma_cacao_20110822:3:22408343:22421929:1 gene:TCM_014434 transcript:EOY22209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALNSTLKSFTLQRESYISSHITNFEHRFTIFPDVLIDNWSYNDDFHNVHIKPSSNKFGSSSNSSIALPQTSIDASSHSDIKSNSSNFFCEHIETQNSPILHLLDHYTTSNSSPIPRKTTSQKAITKSLTNLLEPYTYHQAIQHVHWQKVYKWEPKKGIKQGLWLKDIVKGQTKVAFSTGEVGAYKDGNGYPITRYHPMGTRYLNLIIFFKLYYQTPLNYIEVDNHFIRENVIHGIIAARYIHASSRVHKWQICADEIKVFFFMENNIVTLRGWNELRGARPHYTRQSSKAIPPIFIRCRQDIPCVNQLVVPHDRKIVENELQTILVLFYHILPF >EOY23538 pep chromosome:Theobroma_cacao_20110822:3:27784540:27787134:-1 gene:TCM_015406 transcript:EOY23538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTWVVGAASIIFEKKVLMEWPILRLDVLLMGKLSPAIELRSKFAVSSIKEKRENKEQRFRSRDLITMV >EOY20915 pep chromosome:Theobroma_cacao_20110822:3:1731384:1731961:-1 gene:TCM_012241 transcript:EOY20915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIMSFNFADDFAYTDPVDGSVASKQHDMDAQVALKPLIDLALSVSMLKDFTAMEEPTGYSHHIIKFMYNYLLMNYIYRRISKSTLSPTVTISII >EOY23997 pep chromosome:Theobroma_cacao_20110822:3:29265192:29267531:-1 gene:TCM_015717 transcript:EOY23997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDGLNMNPSGTQASLVTNTNTKSYNDIMTRRLKNRERQRRYRARKRLEADMQKSHVLNQPTIPPVGLQLNGIRNNGTARVHCKRDWKKDARRAHICKGQEDALHTSVQSTLILTAESQTPCLPSGIRAEGSLERECHSENSHNVANCETRKLKLGRRDWKADARNKKS >EOY25317 pep chromosome:Theobroma_cacao_20110822:3:33921155:33922231:-1 gene:TCM_016672 transcript:EOY25317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIMCHEKSIANSKRLGMAYKPLRQIKLPFQIKKSVKGQNNDKAFEEGQGCCCWLLFQLVSFSFVLLTIFMASC >EOY25390 pep chromosome:Theobroma_cacao_20110822:3:34123356:34126846:1 gene:TCM_016714 transcript:EOY25390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAGLVELIPKLRNSLTIMVTMPFSLCKLAFKFCTKTIFIVIQTWVELVKAAVSFHVNMFWKAVIWMVALVSIPVRVLTALQRERLLEQHLHEMQFELENLVWDRKELEDHLQAAVRERRIMESMLIELEEEHDKAVAKIELLVGELQDLKDENLRLKEIRGKAAWSLKGHDETIKSKSINTVDDHVIPYNIASWISSYKGSGISFQDLMMNREGREGKSKSNTGSFNFLKASPAPSGSVSVQPLTPIVIPNLDVNAVLEQRREIALSQTLFSAILSLLVGMIVWEAEDPCMPLVVALFTVVGMSLRSVTEFFFTIKNKPASDAVALLSFNWFILGTLSYPSLPRVIRMLAPLGLILVDHIASWLGISFN >EOY25389 pep chromosome:Theobroma_cacao_20110822:3:34123311:34126846:1 gene:TCM_016714 transcript:EOY25389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAGLVELIPKLRNSLTIMVTMPFSLCKLAFKFCTKTIFIVIQTWVELVKAAVSFHVNMFWKAVIWMVALVSIPVRVLTALQRERLLEQHLHEMQFELENLVWDRKELEDHLQAAVRERRIMESMLIELEEEHDKAVAKIELLVGELQDLKDENLRLKEIRGKAAWSLKGHDETIKSKSINTVDDHVIPYNIASWISSYKGSGISFQDLMMNREGREGKSKSNTGSFNFLKASPAPSGSVSVQPLTPIVIPNLDVNAVLEQRREIALSQTLFSAILSLLVGMIVWEAEDPCMPLVVALFTVVGMSLRSVTEFFFTIKNKPASDAVALLSFNWFILGTLSYPSLPRVIRMLAPLGLILVDHIASWLGISFN >EOY22144 pep chromosome:Theobroma_cacao_20110822:3:21885145:21888046:-1 gene:TCM_014357 transcript:EOY22144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITQYSTMENKNKSMGKLARCFRERERERKTLYNSLRILEEESNYKASKVPTKLHRPIWTIRGSWN >EOY21057 pep chromosome:Theobroma_cacao_20110822:3:2566122:2568038:1 gene:TCM_012373 transcript:EOY21057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMCSETSPRLSFSHDLGQADDLPIELDESRRDTMLLETCSDFEFNICSFEQQSSPADELFANGMILPVRLQERQRVQKCELPPPVSLPPRPKPSTAGDSKKDSMGQIRPASSDSEEKPQSKSFWGFKRSSSLNRDIKKSLVCSLPLLSRSNSTGSVPNPKRSSIKDINKHTSQKLSMTKSSSSSSSSSSSSCCSSCNAYKFPQKPPLKKNHGNSYGSGVRISPVLNVPPPYISKGTASLFGLGSFLRNGKDKKSRK >EOY24098 pep chromosome:Theobroma_cacao_20110822:3:29622226:29625704:-1 gene:TCM_015794 transcript:EOY24098 gene_biotype:protein_coding transcript_biotype:protein_coding description:DYNAMIN-like 1E isoform 1 MATMESLIVTVNRIQRACTVLGDHGGDSALPTLWEALPSVVVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEQGVQEYGEFLHLPKKKFTDFAMVRKEIQDETDRVTGKSKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVNDIESMVRSYVEKPNCIILAITPANQDIATSDAMKLSREVDPTGERTFGVLTKLDLMDKGTNAVDVLEGRAYPLQHPWVGIVNRSQADINKNVDMIAARRREREFFATSPDYGHLASKMGSEYLAKLLSKHLESVIRARLPGITSLINKSIDELEAELSHLGRPVAVDAGAQLYTILELCRAFDRIFKEHLDGGRPGGDRIYGVFDHQLPAALRKLPFDRHLSLQNIRKVVSEADGYQPHLIAPEQGYRRLIDGALNYFRGPAEASVDA >EOY24097 pep chromosome:Theobroma_cacao_20110822:3:29620737:29625704:-1 gene:TCM_015794 transcript:EOY24097 gene_biotype:protein_coding transcript_biotype:protein_coding description:DYNAMIN-like 1E isoform 1 MATMESLIVTVNRIQRACTVLGDHGGDSALPTLWEALPSVVVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEQGVQEYGEFLHLPKKKFTDFAMVRKEIQDETDRVTGKSKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVNDIESMVRSYVEKPNCIILAITPANQDIATSDAMKLSREVDPTGERTFGVLTKLDLMDKGTNAVDVLEGRAYPLQHPWVGIVNRSQADINKNVDMIAARRREREFFATSPDYGHLASKMGSEYLAKLLSKHLESVIRARLPGITSLINKSIDELEAELSHLGRPVAVDAGAQLYTILELCRAFDRIFKEHLDGGRPGGDRIYGVFDHQLPAALRKLPFDRHLSLQNIRKVVSEADGYQPHLIAPEQGYRRLIDGALNYFRGPAEASVDAVHFLLKELVRRSIAETQELKRFPTLQAEIAGAANEALERFREDSKKTTLRLVDMESSYLTVDFFRKLPQEVEKSGNPTSLSADRYAEGHFRRIGSNVSSYVGMVSDTLRNTIPKAVVYCQVREAKQSLLDHFYTQVGKKEGKQLAQLLDEDPALMERRQQCAKRLELYKSARDEIDSVSWAR >EOY24096 pep chromosome:Theobroma_cacao_20110822:3:29621028:29625850:-1 gene:TCM_015794 transcript:EOY24096 gene_biotype:protein_coding transcript_biotype:protein_coding description:DYNAMIN-like 1E isoform 1 MATMESLIVTVNRIQRACTVLGDHGGDSALPTLWEALPSVVVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEQGVQEYGEFLHLPKKKFTDFAMVRKEIQDETDRVTGKSKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVNDIESMVRSYVEKPNCIILAITPANQDIATSDAMKLSREVDPTGERTFGVLTKLDLMDKGTNAVDVLEGRAYPLQHPWVGIVNRSQADINKNVDMIAARRREREFFATSPDYGHLASKMGSEYLAKLLSKHLESVIRARLPGITSLINKSIDELEAELSHLGRPVAVDAGAQLYTILELCRAFDRIFKEHLDGGRPGGDRIYGVFDHQLPAALRKLPFDRHLSLQNIRKVVSEADGYQPHLIAPEQGYRRLIDGALNYFRGPAEASVDAVHFLLKELVRRSIAETQELKRFPTLQAEIAGAANEALERFREDSKKTTLRLVDMESSYLTVDFFRKLPQEVEKSGNPTSLSADRYAEGHFRRIGSNVSSYVGMVSDTLRNTIPKAVVYCQVREAKQSLLDHFYTQVGKKEGKQLAQLLDEDPALMERRQQCAKRLELYKSARDEIDSVSWAR >EOY21562 pep chromosome:Theobroma_cacao_20110822:3:12379933:12403307:-1 gene:TCM_013380 transcript:EOY21562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHGGQLTENRITLKAKPLMEKQIMHMPNQREENRSRIMPEQLVEKQIIDQRNLSMSVSLGVISTDGIKHCRWESQARLVPPSLFTTILVGTQIVTRG >EOY23057 pep chromosome:Theobroma_cacao_20110822:3:25997585:26000122:-1 gene:TCM_015065 transcript:EOY23057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYITGLIVSLMPAYVASVAVYGIRFLMSSFYQLGSIFGRTLISNWIIINSYVFGLMIEGKQLFERNPPIEMLPSSTKTRFNFSNYSGKIQSDFKINQEYCAARPGMGRL >EOY20957 pep chromosome:Theobroma_cacao_20110822:3:1923615:1930259:-1 gene:TCM_012274 transcript:EOY20957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MQRLVDNALAVTKDGVSSFNQFIHELSVDSDTSSIDYSSGEDDLDGVYPASPSSYGSRISRTSTRIKNDWHWTDWMAFIFSWILLPARFLLGIPFLLFRLLNIWGPRASSDPGSPRPRHFHSFRKVHTPKDHVVHRTTDKRRGVIEDLHLAIEIFIEAIFDMFHKAAHYVLSPFEAFSIFVKWLSCPSVGVKDFKDDVINASVPTATLGDNDPAPRERNLTLHHSLNTDARTCKDVITELGYPYEAIHVITADGYVLLLERIPRRDAWKAVYLQHGILDSSMGWVSNGIVGSPAFAAFDQGYDVYLGNFRGLVSREHVDKNISSRQYWRYSINEHGTEDIPAMIEKIHEVKTAELKISQPDEETNDEQPYKLCAISHSMGGAGMLMYVITRRIEEKPHRLSRLVLLSPAGFHDDSTFVFTMMEYLFLLLAPILPLLVPAFYIPTRFFRMLLNKLARDFHNYPAVGGLVQTLMSYFVGGDSSNWIGVLGLPHYNMNDMPGVSFRVAHHLAQIKHTGKFRMYDYGSTSANMEVYGSPEPLDLGEYYGLIDIPVDLVAGRKDQVIRPRMVRKHYKLMKGSGVDVSYSEFEYAHLDFTFSHHEELLAYVMSRLLLVEPAPKRQSGQKALRLKRKDWRTLNC >EOY20956 pep chromosome:Theobroma_cacao_20110822:3:1923474:1930407:-1 gene:TCM_012274 transcript:EOY20956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MQRLVDNALAVTKESVKTFTYESLNNIARLINGVSALLLTILPGKANILEGVQGWELRPTFRGPRFPRWMGNGVSSFNQFIHELSVDSDTSSIDYSSGEDDLDGVYPASPSSYGSRISRTSTRIKNDWHWTDWMAFIFSWILLPARFLLGIPFLLFRLLNIWGPRASSDPGSPRPRHFHSFRKVHTPKDHVVHRTTDKRRGVIEDLHLAIEIFIEAIFDMFHKAAHYVLSPFEAFSIFVKWLSCPSVGVKDFKDDVINASVPTATLGDNDPAPRERNLTLHHSLNTDARTCKDVITELGYPYEAIHVITADGYVLLLERIPRRDAWKAVYLQHGILDSSMGWVSNGIVGSPAFAAFDQGYDVYLGNFRGLVSREHVDKNISSRQYWRYSINEHGTEDIPAMIEKIHEVKTAELKISQPDEETNDEQPYKLCAISHSMGGAGMLMYVITRRIEEKPHRLSRLVLLSPAGFHDDSTFVFTMMEYLFLLLAPILPLLVPAFYIPTRFFRMLLNKLARDFHNYPAVGGLVQTLMSYFVGGDSSNWIGVLGLPHYNMNDMPGVSFRVAHHLAQIKHTGKFRMYDYGSTSANMEVYGSPEPLDLGEYYGLIDIPVDLVAGRKDQVIRPRMVRKHYKLMKGSGVDVSYSEFEYAHLDFTFSHHEELLAYVMSRLLLVEPAPKRQSGQKALRLKRKDWRTLNC >EOY20958 pep chromosome:Theobroma_cacao_20110822:3:1923615:1927918:-1 gene:TCM_012274 transcript:EOY20958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MFHKAAHYVLSPFEAFSIFVKWLSCPSVGVKDFKDDVINASVPTATLGDNDPAPRERNLTLHHSLNTDARTCKDVITELGYPYEAIHVITADGYVLLLERIPRRDAWKAVYLQHGILDSSMGWVSNGIVGSPAFAAFDQGYDVYLGNFRGLVSREHVDKNISSRQYWRYSINEHGTEDIPAMIEKIHEVKTAELKISQPDEETNDEQPYKLCAISHSMGGAGMLMYVITRRIEEKPHRLSRLVLLSPAGFHDDSTFVFTMMEYLFLLLAPILPLLVPAFYIPTRFFRMLLNKLARDFHNYPAVGGLVQTLMSYFVGGDSSNWIGVLGLPHYNMNDMPGVSFRVAHHLAQIKHTGKFRMYDYGSTSANMEVYGSPEPLDLGEYYGLIDIPVDLVAGRKDQVIRPRMVRKHYKLMKGSGVDVSYSEFEYAHLDFTFSHHEELLAYVMSRLLLVEPAPKRQSGQKALRLKRKDWRTLNC >EOY23119 pep chromosome:Theobroma_cacao_20110822:3:26266197:26269620:-1 gene:TCM_015111 transcript:EOY23119 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like 2 MPGDDIESRGGVVKRNRNNSNATSSGYSSSLTYMIEDTETQWTSWLVPMFVVANIAVFVVVMYVNNCPKQRHTRLVGKCVARFLGRFSFEPLRENPLFGPSSSTLKKLGALAWNKVVLKHQGWRLVTCIWLHAGVIHLLANMLSLVFIGIRLEQQFGFVRIGILYLLSGFGGSVLSSLFIQNSISVGASGALFGLLGAMLSELITNWTIYTNKAAALLTLLVVIAINLAIGILPHVDNFAHIGGFLTGFLLGFVLLPRPQCGWLERRNLPAGTRLKSKYRPYQYVLWLVSVVLLVVGFTVALVMLFRGENGNDHCHWCHYLSCVPTSRWNCNEN >EOY25235 pep chromosome:Theobroma_cacao_20110822:3:33638921:33642007:1 gene:TCM_016611 transcript:EOY25235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle receptor subunit beta isoform 3 MEGMEQWKDQLEQLKSQLEPWKNQLEQWLHQAIEFLNQIPPIQLYAAVAVLLVSTLLLLSFHLFKRTKSNSIVLTGLSGSGKTVLFYQLRDGSSHQGTVTSMEPNEGTFVLNSESYKGKTKPVHLVDVPGHSRLQPKLDEFLPQAAGIVFVVDALEFLPNCRLASEYLYDILTKASVVKKKIPVLICCNKTDKVTAHTKEFIRKQMEKEIDKLRASRSAISAADIANDFTLGEPGEAFAFSQCRNKVTVAEASGLTGEVAQVEQFIREHVKP >EOY25233 pep chromosome:Theobroma_cacao_20110822:3:33638921:33642007:1 gene:TCM_016611 transcript:EOY25233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle receptor subunit beta isoform 3 MEGMEQWKDQLEQLKSQLEPWKNQLEQWLHQAIEFLNQIPPIQLYAAVAVLLVSTLLLLSFHLFKRTKSNSIVLTGLSGSGKTVLFYQLRDGSSHQGTVTSMEPNEGTFVLNSESYKKGKTKPVHLVDVPGHSRLQPKLDEFLPQAAGIVFVVDALEFLPNCRLASEYLYDILTKASVVKKKIPVLICCNKTDKVTAHTKEFIRKQMEKEIDKLRASRSAISAADIANDFTLGEPGEAFAFSQCRNKVTVAEASGLTGEVAQVEQFIREHVKP >EOY25234 pep chromosome:Theobroma_cacao_20110822:3:33639017:33641942:1 gene:TCM_016611 transcript:EOY25234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle receptor subunit beta isoform 3 MEGMEQWKDQLEQLKSQLEPWKNQLEQWLHQAIEFLNQIPPIQLYAAVAVLLVSTLLLLSFHLFKRTKSNSIVLTGLSGSGKTVLFYQLRDGSSHQGTVTSMEPNEGTFVLNSESYKKGKTKPVHLVDVPGHSRLQPKLDEFLPQAAGIVFVVDALEFLPNCRLASEYLYDILTKASVVKKKIPVLICCNKTDKVTAHTKEFIRKQMEKEIDKLRASRSAISAADIANDFTLGEPGEAFAFSQCRNKVTVAEASGLTGEVAQVEQFIREHVKP >EOY22962 pep chromosome:Theobroma_cacao_20110822:3:25559044:25563365:-1 gene:TCM_014987 transcript:EOY22962 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif DNA-binding family protein MEDKEGPSPGVTVKGDEAPEGYHVAPRTENPTPYAGPTMQATAAPPARVAAPPGPSTGSEMKKKRGRPRKYRPDGSLAMTLSPMPISSSIPLGGEFSPWKRGRGRPVDAVKKSHKYEFESSPEYCTCLWTGKMVRYQNISQGASATLFCALVGKVLRCSSCYTPNSFASQSLDETGKRLFSSFPSPYPSINLDVNDTEFFLIPSLDLGDGIAYFVGANFTPHVITVNAGEDVTMKVMSFSQQGARAICILSANGTISNVTLRQPTSSGGTLTYEGRFEILSLSGSFMPTENAGTKSRSGGMSVSLAGPDGRVLGGGLAGLLVAAGPVQVVVGSFLPGHQQEQKHKKQRTEPAAAVVSPTAVHTMSTEEIKVSYGGVRPILTSPFPGDNLGTLNPIQAFRNSAIDNKSSSAGEESKGHSLSQCEVSS >EOY23877 pep chromosome:Theobroma_cacao_20110822:3:28839813:28842745:-1 gene:TCM_015629 transcript:EOY23877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 1 MAPAIFSLTVQIVCLTLFSLSLLCTAFTPHDYSDALEKSILFFEGQRSGRLPTNQRLKWRGNSGLSDGSSYHVDLVGGYYDAGDNVKFGLPMAFTTTMLAWSVIEFGSSMQNQIANAKAAIRWGTDYLLKAATATPDTLYVQVGDPNMDHKCWERPEDMDTPRNVYKVSTQNPGSDVAAETAAALAAASIVFKESDPSYSAKLLQTAKKVFDFADRHRGSYSDSLNSVVCPFYCSYSGYQDELLWGASWIHRASQDNSYLTYIQSNGHTMGSDNDDYSFSWDDKRAGTKVLLSKDFLEKSTEEFQLYKSHADNYICSLIPGTSGFQAQYTPGGLLYKASESNLQYVTTTSFLLLTYAKYLSANGGVATCGTSTVTAESLIAHAKKQVDYTLGDNPAKMSYMVGFGARYPQHVHHRGSSVPSIHAHPDRITCNDGFQYLYSSSPNPNVLVGAIVGGPDSKDNFADDRNNYQQSEPATYINAPFVGALAFFSGKATAN >EOY24069 pep chromosome:Theobroma_cacao_20110822:3:29532802:29537004:1 gene:TCM_015773 transcript:EOY24069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyltransferase family protein MVSLSIPSPVKSSSFLSSSSSSLFARCSLSYKGFAFNEPRSRICAANSFKCDLPQPLNYGNGKPTIPILNERTLPKFLESARMETTVNRNGAKLKLFSGTANPALSQEIAWYMGLELGKINIKRFADGEIYVQLQESVRGCDVYLVQPTCPPANENLMELLIMVDACRRASAKTITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYCQPVILDYLASKRICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACCTHAVFSPPAIERLSGGLFQEVIITNTLPVAEKNYFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >EOY21988 pep chromosome:Theobroma_cacao_20110822:3:20289285:20294944:1 gene:TCM_014154 transcript:EOY21988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucuronidase 2 isoform 1 MEFRLILFLFVASLPAILAEEISHGTIVVDGTTTVAQTDDNFICATIDWWPHDKCDYKQCPWHYTSAINLDLTHPFLAKAVQAFNRLRIRIGGSLQDQVLYNVGNLKSPCHPFRQMKDGLFGFSKGCLHMERWDQLNHFFNATGVMLTFSLNALHGRHKIRRSLWGGDWDSSNAQDFMKYTISKGYQIDSWEFGNELSGNGVGAHVLASQYGKDLIYLKKIINELYKGSQYKPSLVAPGGFFDQEWFVKLLQISGSSILDAMSHHIYNLGAGVDPKLISKILDPNHLSKVANTFSNLTHVIRRHGPWASAWVGESGGAYNSGGPHVSDTFVNSFWYLDQLGMASKYHTKVYCRQTLIGGNYGLLNATTYVPNPDYYSALLWHRLMGKVVLAVDSDASSFLRTYAHCSKGRAGVTLLLINLSKQTKFIMDVQNSINIKLAVKEENISPDSFTHSLKKTFSWVGVKASDEPLLREEYHLTPKNGYLQSRTMVLNGVPLLTDAGNIPRLDPIRVNVMSPISLAPLSIAFIVFPNFDAPACG >EOY21989 pep chromosome:Theobroma_cacao_20110822:3:20288866:20294468:1 gene:TCM_014154 transcript:EOY21989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucuronidase 2 isoform 1 MEFRLILFLFVASLPAILAEEISHGTIVVDGTTTVAQTDDNFICATIDWWPHDKCDYKQCPWHYTSAINLDLTHPFLAKAVQAFNRLRIRIGGSLQDQVLYNVGNLKSPCHPFRQMKDGLFGFSKGCLHMERWDQLNHFFNATGVMLTFSLNALHGRHKIRRSLWGGDWDSSNAQDFMKYTISKGYQIDSWEFGNELSGNGVGAHVLASQYGKDLIYLKKIINELYKGSQYKPSLVAPGGFFDQEWFVKLLQISGSSILDAMSHHIYNLGAGVDPKLISKILDPNHLSKVANTFSNLTHVIRRHGPWASAWVGESGGAYNSGGPHVSDTFVNSFWYLDQLGMASKYHTKVYCRQTLIGGNYGLLNATTYVPNPDYYSALLWHRLMGKVVLAVDSDASSFLRTYAHCSKGRAGVTLLLINLSKQTKFIMDVQNSINIKLAVKEENISPDSFTHSLKKTFSWVGVKASDEPLLREEYHLTPKNGYLQSRTMVLNGVPLLTDAGNIPRLDPIRVNVMSPISLAPLSIAFIVFPNFDAPACG >EOY21990 pep chromosome:Theobroma_cacao_20110822:3:20288922:20294435:1 gene:TCM_014154 transcript:EOY21990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucuronidase 2 isoform 1 MKDGLFGFSKGCLHMERWDQLNHFFNATGVMLTFSLNALHGRHKIRRSLWGGDWDSSNAQDFMKYTISKGYQIDSWEFGNELSGNGVGAHVLASQYGKDLIYLKKIINELYKGSQYKPSLVAPGGFFDQEWFVKLLQISGSSILDAMSHHIYNLGAGVDPKLISKILDPNHLSKVANTFSNLTHVIRRHGPWASAWVGESGGAYNSGGPHVSDTFVNSFWYLDQLGMASKYHTKVYCRQTLIGGNYGLLNATTYVPNPDYYSALLWHRLMGKVVLAVDSDASSFLRTYAHCSKGRAGVTLLLINLSKQTKFIMDVQNSINIKLAVKEENISPDSFTHSLKKTFSWVGVKASDEPLLREEYHLTPKNGYLQSRTMVLNGVPLLTDAGNIPRLDPIRVNVMSPISLAPLSIAFIVFPNFDAPACG >EOY22111 pep chromosome:Theobroma_cacao_20110822:3:21417834:21423370:-1 gene:TCM_014301 transcript:EOY22111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter associated with antigen processing protein 2 isoform 5 MRFGGSERTPLLDRGGRRKRNETLENDNLTDLEHGDAVPAANVSFTRVFSLAKPDAGKLILATIALLIASTSSILIPKFGGKIIDIVSGDIRTPEQKAEALTAVRNTILEIFLIVVVGSVCTALRAWLFSSASERVVARLRKNLFSHLINQEIAFYDITRTGELLSRLSEDTQIIKNAATSNLSEALRNLSTAFIGLGFMFATSWKLTLLALAVVPAISVAVQKFGRFLRELSHKTQAAAAAAASISEESFGAIRTVRSFAQEGYEITRYSEKVDETLNLGLKQAKVVGLFFGGLNAASTLSVIVVVIYGANLTITGSMTPGALTSFILYSLTVGSSVSGLSGLYTVAMKAAGASRRVFQLLDRSSSMPKSGNKCPLGDQDGEVELDDVWFAYPSRPNHMVLKGITLKLQPGSKVALVGPSGGGKSTIANLIERFYDPMKGKILLNGVPLVEISHEHLHRKISIVSQEPVLFNCSIEENIAYGCEGKANINDIENAAKMANAHEFISKFPDKYQTHVGERGVRLSGGQKQRVAIARALLMDPKILLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVQTADTVAVVSDGQVVESGTHEELLGKDGIYTALVRRQLQAPKANL >EOY22110 pep chromosome:Theobroma_cacao_20110822:3:21417646:21423183:-1 gene:TCM_014301 transcript:EOY22110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter associated with antigen processing protein 2 isoform 5 MRFGGSERTPLLDRGGRRKRNETLENDNLTDLEHGDAVPAANVSFTRVFSLAKPDAGKLILATIALLIASTSSILIPKFGGKIIDIVSGDIRTPEQKAEALTAVRNTILEIFLIVVVGSVCTALRAWLFSSASERVVARLRKNLFSHLINQEIAFYDITRTGELLSRLSEDTQIIKNAATSNLSEALRNLSTAFIGLGFMFATSWKLTLLALAVVPAISVAVQKFGRFLRELSHKTQAAAAAAASISEESFGAIRTVRSFAQEGYEITRYSEKVDETLNLGLKQAKVVGLFFGGLNAASTLSVIVVVIYGANLTITGSMTPGALTSFILYSLTVGSSVSGLSGLYTVAMKAAGASRRVFQLLDRSSSMPKSGNKCPLGDQDGEVELDDVWFAYPSRPNHMVLKGITLKLQPGSKVALVGPSGGGKSTIANLIERFYDPMKGKILLNGVPLVEISHEHLHRKISIVSQEPVLFNCSIEENIAYGCEGKANINDIENAAKMANAHEFISKFPDKYQTHVGERGVRLSGGQKQRVAIARALLMDPKILLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVQTADTVAVVSDGQVVESGTHEELLGKDGIYTALVRRQLQAPKANL >EOY22113 pep chromosome:Theobroma_cacao_20110822:3:21418786:21423370:-1 gene:TCM_014301 transcript:EOY22113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter associated with antigen processing protein 2 isoform 5 MRFGGSERTPLLDRGGRRKRNETLENDNLTDLEHGDAVPAANVSFTRVFSLAKPDAGKLILATIALLIASTSSILIPKFGGKIIDIVSGDIRTPEQKAEALTAVRNTILEIFLIVVVGSVCTALRAWLFSSASERVVARLRKNLFSHLINQEIAFYDITRTGELLSRLSEDTQIIKNAATSNLSEALRNLSTAFIGLGFMFATSWKLTLLALAVVPAISVAVQKFGRFLRELSHKTQAAAAAAASISEESFGAIRTVRSFAQEGYEITRYSEKVDETLNLGLKQAKVVGLFFGGLNAASTLSVIVVVIYGANLTITGSMTPGALTSFILYSLTVGSSVSGLSGLYTVAMKAAGASRRVFQLLDRSSSMPKSGNKCPLGDQDGEVELDDVWFAYPSRPNHMVLKGITLKLQPGSKVALVGPSGGGKSTIANLIERFYDPMKGKILLNGVPLVEISHEHLHRKHSKPGTCP >EOY22109 pep chromosome:Theobroma_cacao_20110822:3:21417646:21423622:-1 gene:TCM_014301 transcript:EOY22109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter associated with antigen processing protein 2 isoform 5 MRFGGSERTPLLDRGGRRKRNETLENDNLTDLEHGDAVPAANVSFTRVFSLAKPDAGKLILATIALLIASTSSILIPKFGGKIIDIVSGDIRTPEQKAEALTAVRNTILEIFLIVVVGSVCTALRAWLFSSASERVVARLRKNLFSHLINQEIAFYDITRTGELLSRLSEDTQIIKNAATSNLSEALRNLSTAFIGLGFMFATSWKLTLLALAVVPAISVAVQKFGRFLRELSHKTQAAAAAAASISEESFGAIRTVRSFAQEGYEITRYSEKVDETLNLGLKQAKVVGLFFGGLNAASTLSVIVVVIYGANLTITGSMTPGALTSFILYSLTVGSSVSGLSGLYTVAMKAAGASRRVFQLLDRSSSMPKSGNKCPLGDQDGEVELDDVWFAYPSRPNHMVLKGITLKLQPGSKVALVGPSGGGKSTIANLIERFYDPMKGKILLNGVPLVEISHEHLHRKISIVSQEPVLFNCSIEENIAYGCEGKANINDIENAAKMANAHEFISKFPDKYQTHVGERGVRLSGGQKQRVAIARALLMDPKILLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVQTADTVAVVSDGQVVESGTHEELLGKDGIYTALVRRQLQAPKANL >EOY22112 pep chromosome:Theobroma_cacao_20110822:3:21418101:21421632:-1 gene:TCM_014301 transcript:EOY22112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter associated with antigen processing protein 2 isoform 5 MLQPKFGGKIIDIVSGDIRTPEQKAEALTAVRNTILEIFLIVVVGSVCTALRAWLFSSASERVVARLRKNLFSHLINQEIAFYDITRTGELLSRLSEDTQIIKNAATSNLSEALRNLSTAFIGLGFMFATSWKLTLLALAVVPAISVAVQKFGRFLRELSHKTQAAAAAAASISEESFGAIRTVRSFAQEGYEITRYSEKVDETLNLGLKQAKVVGLFFGGLNAASTLSVIVVVIYGANLTITGSMTPGALTSFILYSLTVGSSVSGLSGLYTVAMKAAGASRRVFQLLDRSSSMPKSGNKCPLGDQDGEVELDDVWFAYPSRPNHMVLKGITLKLQPGSKVALVGPSGGGKSTIANLIERFYDPMKGKILLNGVPLVEISHEHLHRKISIVSQEPVLFNCSIEENIAYGCEGKANINDIENAAKMANAHEFISKFPDKYQTHVGERGVRLSGGQKQRVAIARALLMDPKILLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVQTADTVAVVSDGQVVESGTHEELLGKDGIYTALVRRQLQAPKANL >EOY24422 pep chromosome:Theobroma_cacao_20110822:3:30913274:30917903:1 gene:TCM_016026 transcript:EOY24422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein isoform 1 MALGKFLGLFLIHIALLLGLCFAGDPFVFYDFEVSYITASPLGVPQQVIAINNKFPGPTINATTNNNVVVNVRNKLDESLLIHWSGIQQRRSSWQDGLPGTNCPIPPKWNWTYQFQVKDQIGSFFYFPSLHFQRAAGGFGGFMINNRDIIPIPFDTPDGDITIMIGDWYTRNHTALRKALDAGKDLGMPDGVLINGKGPYRYNDTLVPDGIDYETINVHPGRTYRLRVHNVGISTSLNFRIQSHNLLLAETEGSYTVQQNYTSLDIHVGQSYSFLLTTDQNASTDYYIVASARMVNESLWKRVTGVAILRYSNSKGKAAGPLPDPPQDEYDKTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEIYVLQNKPPVTIDGKRRTTLSGISFVNPATPIRLADQFKVKGVYKLDFPKAPLTGSPKMETSVINGTYRGFMEVILQNNDTKVQSYHMSGYAFFVVGMDYGEWSENSRGTYNKWDGIARTTTQVYPGAWTAILISLDNVGVWNLRTENLDSWYLGQETYVRVVNPEATNKTEFPMPDNVLYCGALSKLQKPQDISWATSITGGRSKLFFTVLMITSALLFLSR >EOY24423 pep chromosome:Theobroma_cacao_20110822:3:30913612:30917633:1 gene:TCM_016026 transcript:EOY24423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein isoform 1 MALGKFLGLFLIHIALLLGLCFAGDPFVFYDFEVSYITASPLGVPQQVIAINNKFPGPTINATTNNNVVVNVRNKLDESLLIHWSGIQQRRSSWQDGLPGTNCPIPPKWNWTYQFQVKDQIGSFFYFPSLHFQRAAGGFGGFMINNRDIIPIPFDTPDGDITIMIGDWYTRNHTALRKALDAGKDLGMPDGVLINGKGPYRYNDTLVPDGIDYETINVHPGRTYRLRVHNVGISTSLNFRIQSHNLLLAETEGSYTVQQNYTSLDIHVGQSYSFLLTTDQNASTDYYIVASARMVNESLWKRVTGVAILRYSNSKGKAAGPLPDPPQDEYDKTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEIYVLQNKPPVTIDGKRRTTLSGISFVNPATPIRLADQFKVKGVYKLDFPKAPLTGSPKMETSVINGTYRGFMEVILQNNDTKVQSYHMSGYAFFVVGMDYGEWSENSRGTYNKWDGIARTTTQVFILVRGRQS >EOY23647 pep chromosome:Theobroma_cacao_20110822:3:28115371:28117042:1 gene:TCM_015471 transcript:EOY23647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERLPGDICLKIFCFLDHQNLATAQRVCRKWKVLASDNLLWSELFGQRWGIDQAAFYAPNPIDSRSWKDVYETQDRCDRVGIGLKIIREGGDYFLVHQGEIQRHLGSRRKRKGVKDGPSSSKTELRVEEAMKVEEPSRGILDKILFFLGDLEAASADAKRGRVLL >EOY21015 pep chromosome:Theobroma_cacao_20110822:3:2292167:2301810:-1 gene:TCM_012331 transcript:EOY21015 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein, putative isoform 2 MRKKGRRQLPEEQSCATVKRKHTHFEQFHYNKQTKRLKWVSTETKTATLKPDPVRNLPVSNNGFEAIRPCTSSSRRHNKRRKRSNCSSLEGSRKWVFSSRNCSNYKDKLVIVSYNILGAENVAKHPDLYLDVPPKFLEWSRRKDLISEEVNRYNASILCFQEVDRFKDLDDLLQKDGFKGVYKARTGNGCDGCALFWKDKIFTLLQEENIEFQDFGLRNNVAQLCVLKINQHQLESDSCRKQSKITPMQSRSLLVGNIHALFNPNRGDIKLGQVRLFLEKAYKLSQEWGSIPVILVGDFNSIPQSAIYQFLASSELNIQLHDRRRISGQLEYPSQGREIRAQNKYTARRHVWMPVSRQLSHRWSDEELVLATGDEGVTHLQHKLKLCSAYAGIPGSSRTRDSHGEPLATSYHSKFMGTVDYIWHTGELVPVRVLETLPIDILRRNASLPNERLGSDHLALVCELAFADDHKGA >EOY21017 pep chromosome:Theobroma_cacao_20110822:3:2292167:2301810:-1 gene:TCM_012331 transcript:EOY21017 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein, putative isoform 2 MRKKGRRQLPEEQSCATVKRKHTHFEQFHYNKQTKRLKWVSTETKTATLKPDPVRNLPVSNNGFEAIRPCTSSSRRHNKRRKRSNCSSLEGSRKWVFSSRNCSNYKDKLVIVSYNILGAENVAKHPDLYLDVPPKFLEWSRRKDLISEEVNRYNASILCFQEVDRFKDLDDLLQKDGFKGVYKARTGNGCDGCALFWKDKIFTLLQEENIEFQDFGLRNNVAQLCVLKINQHQLESDSCRKQSKITPMQSRSLLVGNIHALFNPNRGDIKLGQVRLFLEKAYKLSQEWGSIPVILVGDFNSIPQSAIYQFLASSELNIQLHDRRRISGQLEYPSQGREIRAQNKRHVWMPVSRQLSHRWSDEELVLATGDEGVTHLQHKLKLCSAYAGIPGSSRTRDSHGEPLATSYHSKFMGTVDYIWYIDCIVKLSICS >EOY21018 pep chromosome:Theobroma_cacao_20110822:3:2292667:2301703:-1 gene:TCM_012331 transcript:EOY21018 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein, putative isoform 2 FHYNKQTKRLKWVSTETKTATLKPDPVRNLPVSNNGFEAIRPCTSSSRRHNKRRKRSNCSSLEGSRKWVFSSRNCSNYKDKLVIVSYNILGAENVAKHPDLYLDVPPKFLEWSRRKDLISEEVNRYNASILCFQEVDRFKDLDDLLQKDGFKGVYKARTGNGCDGCALFWKDKIFTLLQEENIEFQDFGLRNNVAQLCVLKINQHQLESDSCRKQSKITPMQSRSLLVGNIHALFNPNRGDIKLGQVRLFLEKAYKLSQEWGSIPVILVGDFNSIPQQSAIYQFLASSELNIQLHDRRRISGQLEYPSQGREIRAQNKRHVWMPVSRQLSHRWSDEELVLATGDEGVTHLQHKLKLCSAYAGIPGSSRTRDSHGEPLATSYHSKFMGTVDYIWYIDCIVKLSICS >EOY21016 pep chromosome:Theobroma_cacao_20110822:3:2292161:2301816:-1 gene:TCM_012331 transcript:EOY21016 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein, putative isoform 2 MRKKGRRQLPEEQSCATVKRKHTHFEQFHYNKQTKRLKWVSTETKTATLKPDPVRNLPVSNNGFEAIRPCTSSSRRHNKRRKRSNCSSLEGSRKWVFSSRNCSNYKDKLVIVSYNILGAENVAKHPDLYLDVPPKFLEWSRRKDLISEEVNRYNASILCFQEVDRFKDLDDLLQKDGFKGVYKARTGNGCDGCALFWKDKIFTLLQEENIEFQDFGLRNNVAQLCVLKINQHQLESDSCRKQSKITPMQSRSLLVGNIHALFNPNRGDIKLGQVRLFLEKAYKLSQEWGSIPVILVGDFNSIPQSAIYQFLASSELNIQLHDRRRISGQLEYPSQGREIRAQNKRHVWMPVSRQLSHRWSDEELVLATGDEGVTHLQHKLKLCSAYAGIPGSSRTRDSHGEPLATSYHSKFMGTVDYIWHTGELVPVRVLETLPIDILRRNASLPNERLGSDHLALVCELAFADDHKGA >EOY20847 pep chromosome:Theobroma_cacao_20110822:3:1483196:1484120:1 gene:TCM_012186 transcript:EOY20847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLVHIRVIISRLGLDPICGSAFVTGYSKLCLVDEASKVFNGMPEKDLVLWNSTGSGYGNCGLLYKGLELFSWMRHVGQQPDGYTLVGLIS >EOY20754 pep chromosome:Theobroma_cacao_20110822:3:928859:935370:1 gene:TCM_012096 transcript:EOY20754 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar ribonucleoprotein mpp10 isoform 2 MATSIEAAVESLREIKSKEPPMWLVPKQELSQAVRAASKHLFSSLKPHSPKSPFDQLLIEGFDAEQIWQQIDLQSQPLLYTLRREVKKFEKNPEEISKLKEAIEGGKKKKVVEEKGTDDIDDDDDDDDDLDMDDDDDYDDDEEEEEKKERGREEESEREGEEMELEGEEKGGIEDKFLKIKELQEYLEEDEAKEYGLKKNKKTKTETKKKEEDTEEEEEGEDEEEEEEEDDDNDEAEEEEDELGLFDGDDEDDEDSLENARYEDFFGTKKNKGPKEKAKSRDRFEGDSGSDDEQDFDKRKDGLSTHEEELKKLQSKIEQMEKANLDPKVWTMRGEVTAAQRQKNSALEVDLDFEHNVRPAPVITEEVTASLEDLIKTRISEGLFDDVQKSRSLSSKAPRETKELDDNKSKKGLAEVYEEEFVQKTDPAAAPLSFSDELKKEASMLFKKLCLKLDALSHFHFTPKPVVEDMSIQTNVPALAMEEIAPMAVSDAAMLAPEEVFAGKGDIKEEAELTRSERKRRRANKKRKFKAEAAKRLAKKPRETTQVDSNEGKENE >EOY20755 pep chromosome:Theobroma_cacao_20110822:3:928859:936745:1 gene:TCM_012096 transcript:EOY20755 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar ribonucleoprotein mpp10 isoform 2 MATSIEAAVESLREIKSKEPPMWLVPKQELSQAVRAASKHLFSSLKPHSPKSPFDQLLIEGFDAEQIWQQIDLQSQPLLYTLRREVKKFEKNPEEISKLKEAIEGGKKKKVVEEKGTDDIDDDDDDDDDLDMDDDDDYDDDEEEEEKKERGREEESEREGEEMELEGEEKGGIEDKFLKIKELQEYLEEDEAKEYGLKKNKKTKTETKKKEEDTEEEEEGEDEEEEEEEDDDNDEAEEEEDELGLFDGDDEDDEDSLENARYEDFFGTKKNKGPKEKAKSRDRFEGDSGSDDEQDFDKRKDGLSTHEEELKKLQSKIEQMEKANLDPKVWTMRGEVTAAQRQKNSALEVDLDFEHNVRPAPVITEEVTASLEDLIKTRISEGLFDDVQKSRSLSSKAPRETKELDDNKSKKGLAEVYEEEFVQKTDPAAAPLSFSDELKKEASMLFKKLCLKLDALSHFHFTPKPVVEDMSIQTNVPALAMEEIAPMAVSDAAMLAPEEVFAGKGDIKEEAELTRSERKRRRANKKRKFKAEAAKRLAKKPRETTQVDSNEGKENE >EOY20756 pep chromosome:Theobroma_cacao_20110822:3:928859:935370:1 gene:TCM_012096 transcript:EOY20756 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar ribonucleoprotein mpp10 isoform 2 MATSIEAAVESLREIKSKEPPMWLVPKQELSQAVRAASKHLFSSLKPHSPKSPFDQLLIEGFDAEQIWQQIDLQSQPLLYTLRREVKKFEKNPEEISKLKEAIEGGKKKKVVEEKGTDDIDDDDDDDDDLDMDDDDDYDDDEEEEEKKERGREEESEREGEEMELEGEEKGGIEDKFLKIKELQEYLEEDEAKEYGLKKNKKTKTETKKKEEDTEEEEEGEDEEEEEEEDDDNDEAEEEEDELGLFDGDDEDDEDSLENARYEDFFGTKKNKGPKEKAKSRDRFEGDSGSDDEQDFDKRKDGLSTHEEELKKLQSKIEQMEKANLDPKVWTMRGEVTAAQRQKNSALEVDLDFEHNVRPAPVITEEVTASLEDLIKTRISEGLFDDVQKSRSLSSKAPRETKELDDNKSKKGLAEVYEEEFVQKTDPAAAPLSFSDELKKEASMLFKKLCLKLDALSHFHFTPKPVVEDMSIQTNVPALAMEEIAPMAVSDAAMLAPEEVFAGKGDIKEEAELTRSERKRRRANKKRKFKAEAAKRLAKKPRETTQVDSNEGDYSICYSSLHIITLGTNQFLSQTLHTICR >EOY20753 pep chromosome:Theobroma_cacao_20110822:3:928838:934908:1 gene:TCM_012096 transcript:EOY20753 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar ribonucleoprotein mpp10 isoform 2 MATSIEAAVESLREIKSKEPPMWLVPKQELSQAVRAASKHLFSSLKPHSPKSPFDQLLIEGFDAEQIWQQIDLQSQPLLYTLRREVKKFEKNPEEISKLKEAIEGGKKKKVVEEKGTDDIDDDDDDDDDLDMDDDDDYDDDEEEEEKKERGREEESEREGEEMELEGEEKGGIEDKFLKIKELQEYLEEDEAKEYGLKKNKKTKTETKKKEEDTEEEEEGEDEEEEEEEDDDNDEAEEEEDELGLFDGDDEDDEDSLENARYEDFFGTKKNKGPKEKAKSRDRFEGDSGSDDEQDFDKRKDGLSTHEEELKKLQSKIEQMEKANLDPKVWTMRGEVTAAQRQKNSALEVDLDFEHNVRPAPVITEEVTASLEDLIKTRISEGLFDDVQKSRSLSSKAPRETKELDDNKSKKGLAEVYEEEFVQKTDPAAAPLSFSDELKKEASMLFKKLCLKLDALSHFHFTPKPVVEDMSIQTNVPALAMEEIAPMAVSDAAMLAPEEVFAGKGDIKEEAELTRSERKRRRANKKRKFKAEAAKRLAKKPRETTQVDSNEGVRKTSDLLSLSVGGQQYVFKRGRQGRLGFISTSVLLFSLSRSFISKRNKYTKS >EOY22170 pep chromosome:Theobroma_cacao_20110822:3:22096020:22102882:-1 gene:TCM_014390 transcript:EOY22170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTGPNVSPTWRLSLAVVNQTWRRLRTEFLVYESMGFAIAVRFGMALNHLPIHRQYIARISGRGIFPSCSNLLKRRRKEKKLIKKKRLKMMMNLNPIDNRSAFDSFYRQSVGCAVKTAFQCQNGHSTGTARCNNPNALLPPQIA >EOY22057 pep chromosome:Theobroma_cacao_20110822:3:21106189:21108959:1 gene:TCM_014245 transcript:EOY22057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MVYLMADNRELDDDNNGGRQWLWRRTVANLRRERGRGGDMRPHVTKLDTCSLKCIFLGYSRTQKGYRCYSLELSKYLVSCDITFFEYNPYFDGPPSSVSKTESVDDFFIYKWAAMEEEMSALETHGTWDLVCLPSSKQAIRYKFSPVTKLTSIRIFLSLATTHHWPLYQLDVNNAFLHNELQEEVYMVQPPGFIAHGEFGKSSCDHAVFFRHTDNACILLVVYVDGIVITRSDQADIHDLKYVLDLLEDVGLLEVQPCETTMDSSVKLTAEERKAFADPKKHR >EOY20924 pep chromosome:Theobroma_cacao_20110822:3:1767773:1768515:1 gene:TCM_012248 transcript:EOY20924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRKINKMATNKSKTTLTYKGKTSMVQGVWTSRDKPFLGAVTWVDLVAAGRFRLDGRNVSWTMPSMVKKWGEVEFASQHFAVAQSVMGN >EOY23262 pep chromosome:Theobroma_cacao_20110822:3:26817526:26825313:-1 gene:TCM_015215 transcript:EOY23262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDNQMRQTIGCGVKRGKLYYLDLVSKSLDKLGQALKVDGHEEEKKKYATRMTWVCFMKSKGEVNLLFQKFHGMVCTQYNAHVQHLYTFISINATSWHLEHFDEFFYSTLLIKRGEYQEEVQTLDYEVHFAKERELPEHGNQNVDTFAPVAKLNTVRVLLSLAANLDWPLQQFNVKNAFLHRELSEDVYMDLPPRCMMPEKYSQKSMEAYIDVESMEAVDDRRSIFGYFAFVGGNLVKVKNRMLLDALVQRLNLELWHLKDKNPVQHDRTKHVKVDKIFIKEKLDELIVELPKIRSKDQLVDILTKAVSSRVFSKFLDKLGMCDIYAPT >EOY24690 pep chromosome:Theobroma_cacao_20110822:3:31937064:31940630:1 gene:TCM_016228 transcript:EOY24690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase isoform 3 MLHGSGLARHVLEMPFWRPPLKRKPATTAALLFLTVLLVAAFTSSSWIDTKIEIPLGCTSSKNQTQTCPTNYPKTFQTEDLDPSSNHVCPDYFRWIHEDLRPWKTSGITRDMVERANRTATFRLVIIGGKAYVENYRKAIQTRDVFTIWGVLQLLRKYPGRLPDLEIMFDTEDKPVVRSRDYRGPNATGPPPLFRYCGDKETLDIVFPDWSFWGWAEINIKPWHSILKDVRQGNNQTKWIDREPYAYWKGNPFVDGKRQDLLKCNVSDQQDWNARLFIQQDWILEGQQGFKQSNVADQCTYRYKIYIEGYAWSVSEKYILACDSVTLIVQPQYYDFFMRSMQPVEHYWPIRDDDKCRSLKFAVDWGNNHKKKAQEIGKAASSFMEEQLKMDYIYDYMYHLLNEYAKLLKFEPRIPEGAVELCSEVMACHAEGIEGRKKKFMMESLVKGPSVSSPCTLPPYEPQALAALVRRKINSIMQVKKWEKGYWDSLNKQ >EOY24688 pep chromosome:Theobroma_cacao_20110822:3:31937030:31940556:1 gene:TCM_016228 transcript:EOY24688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase isoform 3 MLHGSGLARHVLEMPFWRPPLKRKPATTAALLFLTVLLVAAFTSSSWIDTSSFLTENLRNKTIIISEKPKIPIQKIEIPLGCTSSKNQTQTCPTNYPKTFQTEDLDPSSNHVCPDYFRWIHEDLRPWKTSGITRDMVERANRTATFRLVIIGGKAYVENYRKAIQTRDVFTIWGVLQLLRKYPGRLPDLEIMFDTEDKPVVRSRDYRGPNATGPPPLFRYCGDKETLDIVFPDWSFWGWAEINIKPWHSILKDVRQGNNQTKWIDREPYAYWKGNPFVDGKRQDLLKCNVSDQQDWNARLFIQDWILEGQQGFKQSNVADQCTYRYKIYIEGYAWSVSEKYILACDSVTLIVQPQYYDFFMRSMQPVEHYWPIRDDDKCRSLKFAVDWGNNHKKKAQEIGKAASSFMEEQLKMDYIYDYMYHLLNEYAKLLKFEPRIPEGAVELCSEVMACHAEGIEGRKKKFMMESLVKGPSVSSPCTLPPYEPQALAALVRRKINSIMQVKKWEKGYWDSLNKQ >EOY24689 pep chromosome:Theobroma_cacao_20110822:3:31937030:31940630:1 gene:TCM_016228 transcript:EOY24689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase isoform 3 MLHGSGLARHVLEMPFWRPPLKRKPATTAALLFLTVLLVAAFTSSSWIDTKIEIPLGCTSSKNQTQTCPTNYPKTFQTEDLDPSSNHVCPDYFRWIHEDLRPWKTSGITRDMVERANRTATFRLVIIGGKAYVENYRKAIQTRDVFTIWGVLQLLRKYPGRLPDLEIMFDTEDKPVVRSRDYRGPNATGPPPLFRYCGDKETLDIVFPDWSFWGWAEINIKPWHSILKDVRQGNNQTKWIDREPYAYWKGNPFVDGKRQDLLKCNVSDQQDWNARLFIQDWILEGQQGFKQSNVADQCTYRYKIYIEGYAWSVSEKYILACDSVTLIVQPQYYDFFMRSMQPVEHYWPIRDDDKCRSLKFAVDWGNNHKKKAQEIGKAASSFMEEQLKMDYIYDYMYHLLNEYAKLLKFEPRIPEGAVELCSEVMACHAEGIEGRKKKFMMESLVKGPSVSSPCTLPPYEPQALAALVRRKINSIMQVKKWEKGYWDSLNKQ >EOY25349 pep chromosome:Theobroma_cacao_20110822:3:33994107:33997747:-1 gene:TCM_016688 transcript:EOY25349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Component of oligomeric golgi complex isoform 1 MGTVGLAPGLSRKLKKVLECRTDSPEVVASLNTLSTFYTENTPQARRNLRSTIEKRSLQINLDFLRASQAAQLALDRVEDEVNSLADCCDRIAKALNSCSASTADIISTTERLKQELDVTTQKQEIASCFLRDYQLSPEEINALRDEELNENFFKALSHVQEIHANCKILLSTHHQRAGLELMDMMAMYQEGAYERLCRWVQAECRKLGDTDNPEVSELLRTAVRCLKERPVLFKYCAEEVANMRHNALFRRFISALTRGGPGGMPRPIEVHAHDPLRYVGDMLGWLHQALASERELVLALLDPDAVVDTGSTANRFSKNVENDSGKIEADLTFVLDRIFEGVCRPFKVRVEQVLQSQPSLIISYKLSNTLEFYSYTISDLLGRETALCNTLWALKDAAQKTFFEILKGRGEKLLRYPPLVAVDLSPPPAVREGVSVLLEIIETYNSMMVPASGKKPAFDPVISALLDPIIQMCEQAAEAQKSKGAGHTSRSRRMSSDSGQLSKSAVDAILSNNNSSKFSQNSETPSKIFLINCLCAIQQPLLGHEVAAEYAKKLGMMIDNHVRVLVEKEVDAILSRCGLSIKMHHFHNSLNKDATDGAPLAELEDTTPASLSECLKAFFGFILGSESSLPEFEQMQVPKLRSEAGIQVAKSLADAYELIYNAIMDPRNSYPDPKSLARHPPDQIRTILGI >EOY25352 pep chromosome:Theobroma_cacao_20110822:3:33993692:33997826:-1 gene:TCM_016688 transcript:EOY25352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Component of oligomeric golgi complex isoform 1 MGTVGLAPGLSRKLKKVLECRTDSPEVVASLNTLSTFYTENTPQARRNLRSTIEKRSLQINLDFLRASQAAQLALDRVEDEVNSLADCCDRIAKALNSCSASTADIISTTERLKQELDVTTQKQEIASCFLRDYQLSPEEINALRDEELNENFFKALSHVQEIHANCKILLSTHHQRAGLELMDMMAMYQEGAYERLCRWWVQAECRKLGDTDNPEVSELLRTAVRCLKERPVLFKYCAEEVANMRHNALFRRFISALTRGGPGGMPRPIEVHAHDPLRYVGDMLGWLHQALASERELVLALLDPDAVVDTGSTANRFSKNVENDSGKIEADLTFVLDRIFEGVCRPFKVRVEQVLQSQPSLIISYKLSNTLEFYSYTISDLLGRETALCNTLWALKDAAQKTFFEILKGRGEKLLRYPPLVAVDLSPPPAVREGVSVLLEIIETYNSMMVPASGKKPAFDPVISALLDPIIQMCEQAAEAQKSKGAGHTSRSRRMSSDSGQLSKSAVDAILSNNNSSKFSQNSETPSKIFLINCLCAIQQPLLGHEVAAEYAKKLGMMIDNHVRVLVEKEVDAILSRCGLSIKMHHFHNSLNKDATDGAPLAELEDTTPASLSECLKAFFGFILGSESSLPEFEQMQVPKLRSEAGIQVAKSLADAYELIYNAIMDPRNSYPDPKSLARHPPDQIRTILGI >EOY25350 pep chromosome:Theobroma_cacao_20110822:3:33993467:33998163:-1 gene:TCM_016688 transcript:EOY25350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Component of oligomeric golgi complex isoform 1 EEKRSKMGTVGLAPGLSRKLKKVLECRTDSPEVVASLNTLSTFYTENTPQARRNLRSTIEKRSLQINLDFLRASQAAQLALDRVEDEVNSLADCCDRIAKALNSCSASTADIISTTERLKQELDVTTQKQEIASCFLRDYQLSPEEINALRDEELNENFFKALSHVQEIHANCKILLSTHHQRAGLELMDMMAMYQEGAYERLCRWVQAECRKLGDTDNPEVSELLRTAVRCLKERPVLFKYCAEEVANMRHNALFRRFISALTRGGPGGMPRPIEVHAHDPLRYVGDMLGWLHQALASERELVLALLDPDAVVDTGSTANRFSKNVENDSGKIEADLTFVLDRIFEGVCRPFKVRVEQVLQSQPSLIISYKLSNTLEFYSYTISDLLGRETALCNTLWALKDAAQKTFFEILKGRGEKLLRYPPLVAVDLSPPPAVREGVSVLLEIIETYNSMMVPASGKKPAFDPVISALLDPIIQMCEQAAEAQKSKGAGHTSRSRRMSSDSGQLSKSAVDAILSNNNSSKFSQNSETPSKIFLINCLCAIQQPLLGHEVAAEYAKKLGMMIDNHVRVLVEKEVDAILSRCGLSIKMHHFHNSLNKDATDGAPLAELEDTTPASLSECLKAFFGFILGSESSLPEFEQMQVPKLRSEAGIQVAKGNVKNQRV >EOY25351 pep chromosome:Theobroma_cacao_20110822:3:33993692:33997826:-1 gene:TCM_016688 transcript:EOY25351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Component of oligomeric golgi complex isoform 1 MGTVGLAPGLSRKLKKVLECRTDSPEVVASLNTLSTFYTENTPQARRNLRSTIEKRSLQINLDFLRASQAAQLALDRVEDEVNSLADCCDRIAKALNSCSASTADIISTTERLKQELDVTTQKQEIASCFLRDYQLSPEEINALRDEELNENFFKALSHVQEIHANCKILLSTHHQQRAGLELMDMMAMYQEGAYERLCRWVQAECRKLGDTDNPEVSELLRTAVRCLKERPVLFKYCAEEVANMRHNALFRRFISALTRGGPGGMPRPIEVHAHDPLRYVGDMLGWLHQALASERELVLALLDPDAVVDTGSTANRFSKNVENDSGKIEADLTFVLDRIFEGVCRPFKVRVEQVLQSQPSLIISYKLSNTLEFYSYTISDLLGRETALCNTLWALKDAAQKTFFEILKGRGEKLLRYPPLVAVDLSPPPAVREGVSVLLEIIETYNSMMVPASGKKPAFDPVISALLDPIIQMCEQAAEAQKSKGAGHTSRSRRMSSDSGQLSKSAVDAILSNNNSSKFSQNSETPSKIFLINCLCAIQQPLLGHEVAAEYAKKLGMMIDNHVRVLVEKEVDAILSRCGLSIKMHHFHNSLNKDATDGAPLAELEDTTPASLSECLKAFFGFILGSESSLPEFEQMQVPKLRSEAGIQVAKSLADAYELIYNAIMDPRNSYPDPKSLARHPPDQIRTILGI >EOY25372 pep chromosome:Theobroma_cacao_20110822:3:34069665:34073635:-1 gene:TCM_016703 transcript:EOY25372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 3006, putative isoform 1 MGSNGGGAAPPLIGLGRRQSDLRKSFNLAVRSLLTTCPKQEFSKAFPNFTSAEQERLHQLFIQVITSLHGNVEDEFKSLCQETQVATALDTVEQLVEEQHLDPLISDKTNIMDVVHNLSTAKKAEIQYLRGLLERAEEHNHLIQARVELLKNRRQEVSSTKDVEKHNFCSTDKLHGLVDHTNTFVLRC >EOY25373 pep chromosome:Theobroma_cacao_20110822:3:34069712:34073535:-1 gene:TCM_016703 transcript:EOY25373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 3006, putative isoform 1 MGSNGGGAAPPLIGLGRRQSDLRKSFNLAVRSLLTTCPKQEFSKAFPNFTSAEQERLHQLFIQVITSLHGNVEDEFKSLCQETQVATALDTVEQLVEEQHLDPLISDKTNIMDVVHNLSTAKKAEIQYLRGLLERAEEHNHLIQARVELLKNRRQEVSSTKDVEKVRGGILSYGEHKMSGFSDLQLVG >EOY24182 pep chromosome:Theobroma_cacao_20110822:3:29998718:29999953:1 gene:TCM_015853 transcript:EOY24182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTKILVLSKKTGLHLFFPSIHKANMNLVNNRILHWKRVLLISILQNKRIQRWDIPKESCGNYTFFKSLTLNPHLKENIHKNNSMEGKEHLGLDCI >EOY22673 pep chromosome:Theobroma_cacao_20110822:3:24425066:24427443:-1 gene:TCM_014776 transcript:EOY22673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATLRRALLNAHRILNSSTVPSRTTIVIRPQYGWVSRFSSTNISNTQPLDIDLSSEESKRRLFNRLLYRSKQRGFLELDLVLGKWVEEHIYSMDENGIKALVHVLDLENPDLWKWLTGQEQPPETVSINPVFSTVQEKVLKNLNSHSAPETRATPGQPWVRGWDDIKKGRDSPITGNQ >EOY22906 pep chromosome:Theobroma_cacao_20110822:3:25241308:25242530:-1 gene:TCM_014936 transcript:EOY22906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKPSASNEPIKTPINYAINNKLLAKYASASRTVGQTVSSNLWEDDELLSVERIVIEPWDTITAQSRQELTVRAVTCLTSHVTVYLVGVLIQCAWGITSAAKSSKKCFNKDKHINPAKQVKLDNLDEAVLYRANCSNSYGHI >EOY23115 pep chromosome:Theobroma_cacao_20110822:3:26250082:26253233:1 gene:TCM_015107 transcript:EOY23115 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 5 isoform 1 MIITRQPNGKYRVSHFEANHNHDNINPNNEQTLQLQKELCFAQASETDKPNNSETQNAAFDLMRRRFLVRESLDCLAEDYDNHLRSERVRDMKEGEAGHLLRYFLRQHFENPSVFYAIQLDIDDKVSNIFWADDNMVVDYNYFGDVVCLDTSCRTNKDLKPFVQFIGVNHHNQVVIFAAALLYDDTVESLKWLFHTFLEAMSGKKPKVILTDQDATVVEAISSVLPETSHHICVWQMHRNALKHLSYVQKDAEAFANDFRSCIYDHKDENDFIHAWEAMLEIYNLKQNEWLKWMYREREKWAVVYDRNTFFIDMKCSHLGESLSNKLRRHLNSDQDVLQFFKHFERVVDEQRYKEIEASDEMSHCKPKLMGNVILLKHASEIYTPKAFEVFQCEYEKCLNVVANQCSQNGYLSEYKVNTFGQSQEYTVTFDSSDDTVICSCMKFEYVGFLCSHALRVLDHRNIKVVPSRYILRRWTKDARIGCAREDSDFIIQENPKLVAARRYRDMCRCILNISARAAESDDAFHFASRQLNEIIVGLEKILTLKAEEAQVIASSSSGASASDSENAEIFLDGHAIEDQDESSRVQSKKENEAVVPHRQKQKNVPERGSKTKGVQNKRSNSPNTITSISSPSPTYVSPQASGPAPVMQGLFNFEANQVVQCIYQQPNMVMEQEPNAEMYQQPNFYTDQHDSPSQTQLLQQEPLIRSTYQESASNSTHLRQAMELDIQPPHSSSFLLYDHRYRTSDTPFLGPK >EOY23112 pep chromosome:Theobroma_cacao_20110822:3:26247448:26253740:1 gene:TCM_015107 transcript:EOY23112 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 5 isoform 1 MAILRSLLCLISWQATALLLLLAVAAVQSQTAKAQSCPNELNRLNVCAPFVVPGVPEATPSSDCCAALQSVQHDCLCNTLRIASRLPSRCNIPALSCVPFFFSQRDRSRISYPGSLLKSDISSVENDDSLVTPRSMNRDADDLGNLHDEDADNRAQTSDKLDLNVEHNCRSPKVNPVHTTQSSLPSKGETNADGVLKIGIEFDSDEHAYRFYNKYARLLGFSVRKDWVNRSKIHGQVVSRKFTCSKEGYRRKDQRDVNVKKHRKETRTGCLAHMIITRQPNGKYRVSHFEANHNHDNINPNNEQTLQLQKELCFAQASETDKPNNSETQNAAFDLMRRRFLVRESLDCLAEDYDNHLRSERVRDMKEGEAGHLLRYFLRQHFENPSVFYAIQLDIDDKVSNIFWADDNMVVDYNYFGDVVCLDTSCRTNKDLKPFVQFIGVNHHNQVVIFAAALLYDDTVESLKWLFHTFLEAMSGKKPKVILTDQDATVVEAISSVLPETSHHICVWQMHRNALKHLSYVQKDAEAFANDFRSCIYDHKDENDFIHAWEAMLEIYNLKQNEWLKWMYREREKWAVVYDRNTFFIDMKCSHLGESLSNKLRRHLNSDQDVLQFFKHFERVVDEQRYKEIEASDEMSHCKPKLMGNVILLKHASEIYTPKAFEVFQCEYEKCLNVVANQCSQNGYLSEYKVNTFGQSQEYTVTFDSSDDTVICSCMKFEYVGFLCSHALRVLDHRNIKVVPSRYILRRWTKDARIGCAREDSDFIIQENPKLVAARRYRDMCRCILNISARAAESDDAFHFASRQLNEIIVGLEKILTLKAEEAQVIASSSSGASASDSENAEIFLDGHAIEDQDESSRVQSKKENEAVVPHRQKQKNVPERGSKTKGVQNKRSNSPNTITSISSPSPTYVSPQASGPAPVMQGLFNFEANQVVQCIYQQPNMVMEQEPNAEMYQQPNFYTDQHDSPSQTQLLQEPLIRSTYQESASNSTHLRQAMELDIQPPHSSSFLLYDHRYRTSDTPFLGPK >EOY23114 pep chromosome:Theobroma_cacao_20110822:3:26247641:26252600:1 gene:TCM_015107 transcript:EOY23114 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 5 isoform 1 MAILRSLLCLISWQATALLLLLAVAAVQSQTAKAQSCPNELNRLNVCAPFVVPGVPEATPSSDCCAALQSVQHDCLCNTLRIASRLPSRCNIPALSCVPFFFSQRDRSRISYPGSLLKSDISSVENDDSLVTPRSMNRDADDLGNLHDEDADNRAQTSDKLDLNVEHNCRSPKVNPVHTTQSSLPSKGETNADGVLKIGIEFDSDEHAYRFYNKYARLLGFSVRKDWVNRSKIHGQVVSRKFTCSKEGYRRKDQRDVNVKKHRKETRTGCLAHMIITRQPNGKYRVSHFEANHNHDNINPNNEQTLQLQKELCFAQASETDKPNNSETQNAAFDLMRRRFLVRESLDCLAEDYDNHLRSERVRDMKEGEAGHLLRYFLRQHFENPSVFYAIQLDIDDKVSNIFWADDNMVVDYNYFGDVVCLDTSCRTNKDLKPFVQFIGVNHHNQVVIFAAALLYDDTVESLKWLFHTFLEAMSGKKPKVILTDQDATVVEAISSVLPETSHHICVWQMHRNALKHLSYVQKDAEAFANDFRSCIYDHKDENDFIHAWEAMLEIYNLKQNEWLKWMYREREKWAVVYDRNTFFIDMKCSHLGESLSNKLRRHLNSDQDVLQFFKHFERVVDEQRYKEIEASDEMSHCKPKLMGNVILLKHASEIYTPKAFEVFQCEYEKCLNVVANQCSQNGYLSEYKVNTFGQSQEYTVTFDSSDDTVICSCMKFEYVGFLCSHALRVLDHRNIKVVPSRYILRRWTKDARIGCAREDSDFIIQENPKLVAARRYRDMCRCILNISARAAESDDAFHFASRQLNEIIVGLEKILTLKAEEAQVIASSSSGASASDSENAEIFLDGHAIEDQDESSRVQSKKENEAVVPHRQKQKNVPERGSKTKGVQNKRSNSPNTITSISSPSPTYVSPQASGPAPVMQGLFNFEANQVVQCIYQQPNMVMEQEPNAEMYQQPNFYTDQHDSPSQTQLLQVIFVCSTF >EOY23113 pep chromosome:Theobroma_cacao_20110822:3:26247448:26253740:1 gene:TCM_015107 transcript:EOY23113 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 5 isoform 1 MNRDADDLGNLHDEDADNRAQTSDKLDLNVEHNCRSPKVNPVHTTQSSLPSKGETNADGVLKIGIEFDSDEHAYRFYNKYARLLGFSVRKDWVNRSKIHGQVVSRKFTCSKEGYRRKDQRDVNVKKHRKETRTGCLAHMIITRQPNGKYRVSHFEANHNHDNINPNNEQTLQLQKELCFAQASETDKPNNSETQNAAFDLMRRRFLVRESLDCLAEDYDNHLRSERVRDMKEGEAGHLLRYFLRQHFENPSVFYAIQLDIDDKVSNIFWADDNMVVDYNYFGDVVCLDTSCRTNKDLKPFVQFIGVNHHNQVVIFAAALLYDDTVESLKWLFHTFLEAMSGKKPKVILTDQDATVVEAISSVLPETSHHICVWQMHRNALKHLSYVQKDAEAFANDFRSCIYDHKDENDFIHAWEAMLEIYNLKQNEWLKWMYREREKWAVVYDRNTFFIDMKCSHLGESLSNKLRRHLNSDQDVLQFFKHFERVVDEQRYKEIEASDEMSHCKPKLMGNVILLKHASEIYTPKAFEVFQCEYEKCLNVVANQCSQNGYLSEYKVNTFGQSQEYTVTFDSSDDTVICSCMKFEYVGFLCSHALRVLDHRNIKVVPSRYILRRWTKDARIGCAREDSDFIIQENPKLVAARRYRDMCRCILNISARAAESDDAFHFASRQLNEIIVGLEKILTLKAEEAQVIASSSSGASASDSENAEIFLDGHAIEDQDESSRVQSKKENEAVVPHRQKQKNVPERGSKTKGVQNKRSNSPNTITSISSPSPTYVSPQASGPAPVMQGLFNFEANQVVQCIYQQPNMVMEQEPNAEMYQQPNFYTDQHDSPSQTQLLQEPLIRSTYQESASNSTHLRPWNLTSNLHIHLHFCFMITDTELLTLHFLGPSKG >EOY22999 pep chromosome:Theobroma_cacao_20110822:3:25718498:25720530:1 gene:TCM_015017 transcript:EOY22999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MIRAYASKICDTNDGAPFLPSLLLFKQMLFNGISPDCLTFPFLLKECTRRFDSRVGCSIHGKTIKFGWFRDLYVQNSLVNFYSACGFLNSARKLFDEMLNRDVVSWNSMIIGHLRAGNLDMALKLFRSIEKRNIITWNSMITGFVQGGLGKEALQLFHEMQNLSNDNVKPDKITMASVLSACAYLGAIDHGKWIHSYLRRSGVECDLVVGTALIDMYGKCGSVERAYEVFKEMPRRDTLAWTAMISAFALHGYSKEAFDTFVEMEAVGVKPNHVTFVSLLSACVHSGLVEKGRWCFDMMRRVYSIEPQLHHYACMVDVLSRAGLFEEVESLVRSMPMEPDVFVWGALLGGCQIHGNIELGERVAQYLIDLEPMNHAFYINLCDIYAKAGRFDDVKRIRALMKERGIRKEVAGCSMIEVDGFVLEFSIKGCPELVMDEIVVILNLLKNEIKGEGSVHYCDGILLDAQN >EOY23001 pep chromosome:Theobroma_cacao_20110822:3:25718847:25727628:1 gene:TCM_015017 transcript:EOY23001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MLNRDVVSWNSMIIGHLRAGNLDMALKLFRSIEKRNIITWNSMITGFVQGGLGKEALQLFHEMQNLSNDNVKPDKITMASVLSACAYLGAIDHGKWIHSYLRRSGVECDLVVGTALIDMYGKCGSVERAYEVFKEMPRRDTLAWTAMISAFALHGYSKEAFDTFVEMEAVGVKPNHVTFVSLLSACVHSGLVEKGRWCFDMMRRVYSIEPQLHHYACMVDVLSRAGLFEEVESLVRSMPMEPDVFVWGALLGGCQIHGNIELGERVAQYLIDLEPMNHAFYINLCDIYAKAGRFDDVKRIRALMKERGIRKEVAGCSMIEVDGFVLEFSIKGCPELVMDEIVVILNLLKNEIKGEGSVHYCDGILLDAQN >EOY23000 pep chromosome:Theobroma_cacao_20110822:3:25718807:25720876:1 gene:TCM_015017 transcript:EOY23000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MLNRDVVSWNSMIIGHLRAGNLDMALKLFRSIEKRNIITWNSMITGFVQGGLGKEALQLFHEMQNLSNDNVKPDKITMASVLSACAYLGAIDHGKWIHSYLRRSGVECDLVVGTALIDMYGKCGSVERAYEVFKEMPRRDTLAWTAMISAFALHGYSKEAFDTFVEMEAVGVKPNHVTFVSLLSACVHSGLVEKGRWCFDMMRRVYSIEPQLHHYACMVDVLSRAGLFEEVESLVRSMPMEPDVFVWGALLGGCQIHGNIELGERVAQYLIDLEPMNHAFYINLCDIYAKAGRFDDVKRIRALMKERGIRKEVAGCSMIEVDGFVLEFSIKGCPELVMDEIVVILNLLKNEIKGEGSVHYCDGILLDAQN >EOY21464 pep chromosome:Theobroma_cacao_20110822:3:8501278:8503642:1 gene:TCM_013026 transcript:EOY21464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 NSHNSGQAGSGLKMDAATASASVVGSSMTTRRPPSSVTRSAILTANEPHFLRFAAKPRLPFSIKHYSPLSYSKPQNRRMALGSRRGMVVRASSSPDSAGPTAPIAPLQMESPIGQFLSQILISHPHLVPAAVEQQLEQLQTDRDAEEKKEEPSASAGTDLVLYRRIAEVKANERKKALEEILYALVVQKFMDANVSLVPAMTPSSTDPSGRVDMWPSEEDKLELLHSPEAYEMIQNHLALILGNRLGDSTSVAQISKLRVGQVYAASVMYGYFLKRVDQRFQLEKTMKILPNASNGEESGVEQSVGEDMGTAGLGDSYKAVSSHPEVSSWSGGISPGGFGHGIKPCRLRTYVMSFDGETL >EOY21463 pep chromosome:Theobroma_cacao_20110822:3:8501530:8503427:1 gene:TCM_013026 transcript:EOY21463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDAATASASVVGSSMTTRRPPSSVTRSAILTANEPHFLRFAAKPRLPFSIKHYSPLSYSKPQNRRMALGSRRGMVVRASSSPDSAGPTAPIAPLQMESPIGQFLSQILISHPHLVPAAVEQQLEQLQTDRDAEEKKEEPSASAGTDLVLYRRIAEVKANERKKALEEILYALVVQKFMDANVSLVPAMTPSSTDPSGRVDMWPSEEDKLELLHSPEAYEMIQNHLALILGNRLGDSTSVAQISKLRVGQVYAASVMYGYFLKRVDQRFQLEKTMKILPNASNGEESGVEQSVGEDMGTAGLGDSYKAVSSHPEVSSWSGGISPGGFGHGIKPCRLRTYVMSFDGETLQKFAAIRSKEAVSIIEKHTEALFGRPEIVITPQGTVDSSKDELIKISFNGLKRLVLEAVTFGSFLWDVESYVDSRYHFVMN >EOY21462 pep chromosome:Theobroma_cacao_20110822:3:8501363:8504987:1 gene:TCM_013026 transcript:EOY21462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDAATASASVVGSSMTTRRPPSSVTRSAILTANEPHFLRFAAKPRLPFSIKHYSPLSYSKPQNRRMALGSRRGMVVRASSSPDSAGPTAPIAPLQMESPIGQFLSQILISHPHLVPAAVEQQLEQLQTDRDAEEKKEEPSASAGTDLVLYRRIAEVKANERKKALEEILYALVVQKFMDANVSLVPAMTPSSTDPSGRVDMWPSEEDKLELLHSPEAYEMIQNHLALILGNRLGDSTSVAQISKLRVGQVYAASVMYGYFLKRVDQRFQLEKTMKILPNASNGEESGVEQSVGEDMGTAGLGDSYKAVSSHPEVSSWSGGISPGGFGHGIKPCRLRTYVMSFDGETLQKFAAIRSKEAVSIIEKHTEALFGRPEIVITPQGTVDSSKDELIKISFNGLKRLVLEAVTFGSFLWDVESYVDSREGRRNGGRGTSVNERG >EOY21136 pep chromosome:Theobroma_cacao_20110822:3:3308607:3309885:1 gene:TCM_012493 transcript:EOY21136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPFIVLFMSISRFLKILLIVRFGIIGKQNTLTFFFLEFFFLRDTLRNKVINTPNLIHNIIGSFDMGKLNRKGIKCMMNNEYVKKDNAMGS >EOY22776 pep chromosome:Theobroma_cacao_20110822:3:24766876:24768444:1 gene:TCM_014847 transcript:EOY22776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative isoform 1 MASPEETSALKLIRQHLLTDFASMENFLSNLDNCTSRVSATNQIPQFVDTKVPKAPQNNSPKQLKQSTLSQRRPSINVEIPPAKVNISSNPAPVESDSNEKRHYRGVRRRPWGKFAAEIRDPNRKGARVWLGTFDTAIEAAKAYDRAAFKLRGSKAILNFPLEAGQSNSAEPELIEDSSSRKRRREKEDEEEESVASKGVKREEVTEEKVVMPVAKETVTVTETVTPGVCLTPSNWTGFWDSEDMKGIFSIPPVEMSKKDTAKGHSTVNKEKLFKGRGSIISVHKVD >EOY22775 pep chromosome:Theobroma_cacao_20110822:3:24766896:24771356:1 gene:TCM_014847 transcript:EOY22775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative isoform 1 MASPEETSALKLIRQHLLTDFASMENFLSNLDNCTSRVSATNQIPQFVDTKVPKAPQNNSPKQLKQSTLSQRRPSINVEIPPAKVNISSNPAPVESDSNEKRHYRGVRRRPWGKFAAEIRDPNRKGARVWLGTFDTAIEAAKAYDRAAFKLRGSKAILNFPLEAGQSNSAEPELIEDSSSRKRRREKEDEEEESVASKGVKREEVTEEKVVMPVAKETVTVTETVTPGVCLTPSNWTGFWDSEDMKGIFSIPPERLLKEMANLIGIDLMDNNCVREFELSNRKRDLSLLTRLLPIVELDLLLNSFPPISLTRPNEPYWAISLFVKFTVASANEALRGVLPFDGGLNSGVWKLAWQ >EOY23063 pep chromosome:Theobroma_cacao_20110822:3:26052460:26054117:-1 gene:TCM_015071 transcript:EOY23063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNFSIFCNGLILYYKVKGSLAMRSEMELNFQPKRRKTVQRLNEETSKTDKEGGRDVEIEFANIEVRLGEEVDWGFQSQTQLEEENPKCETKSNNKDRAGPHEPRSSEDH >EOY22898 pep chromosome:Theobroma_cacao_20110822:3:25212263:25214869:1 gene:TCM_014932 transcript:EOY22898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSGDKSLELFHGKNENRATLFPLALNPPLVFFSFLTLLTYGHVSSIMSITLSFLLIFFSCLSMHACNARHLRVMDDKALAPHQKLQFSTKALESVELNRDDQISVREGSSGESHHATATVVKPKDSDNLLKETKQNREMSSSVPVPIKPLVSFSGRVPRKNYDERTGFFSDYSRPRTRPPSHN >EOY24521 pep chromosome:Theobroma_cacao_20110822:3:31314940:31323941:-1 gene:TCM_016103 transcript:EOY24521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat kinesin 3 MASGGGNYRNGTHRSSLKGTTTTSIDRPLSVNSNPSKPALKTKSLSSVGASSGLRKSSPGSLGAAKDDAGVPGRVRVAVRLRPRNAEESAADADFADCVELQPELKRLKLRKNNWDTDTYAFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTYTLGRLGEEDTADRGIMVRAMEDILADVSPESDSVSVSYLQLYMESLQDLLDPTNDNISIVEDPKSGDVSLPGATVVEIRDQQSFLELLHLGEAHRYAANTKLNTESSRSHAILMVHVKRSVKGREPAHSSENGNSTNMAKSLRPPLVRKGKLIVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSAHIPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETASTIMFGQRAMKVENMLKLKEEFDYKSLARRLDIQLDKLIMEHERKQKAFEDEIERITTDAQVRITEAERNFADAMEKERLKYQKDYMESIKKLEEKWMMNQQKQGGERKEQMVSTAEEVAEVKKLLSKETSLRKAAEEEVNNLKSQLAQLKISEASANSEILKLQKMLEDEAYQKEKLEGEISMLQSQLLQLSFEADETRRRLDRGGPGKVPGGLDSLIAQVRPQLKDSGNGEKASIAKLFEQVGLQKILSLLEAEDADVRIHAVKVVANLAAEETNQQKIVEAGGLTSLLRLLGSSEDETVHRVAAGAIANLAMNETNQELIMSQGGISLLSMTAANAEDPQTLRMVAGAVANLCGNDKLQNKLRGEGGIKALLGMVKCGHPDVLAQVARGIANFAKCESRASTQGSKTGRSLLIEDGALPWIVQNANNDASPIRRHIELALCHLAQHEVNAKDMISGGALWELVRILQECSREDIRTLAHRTLTSSPTFLAELRRLRIDF >EOY21934 pep chromosome:Theobroma_cacao_20110822:3:19925544:19932614:1 gene:TCM_014107 transcript:EOY21934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyl transferase GMA12/MNN10 family protein MGQDNFTPQKRSSTGGGGGLPTTNNAAAGGGRNRGFPAMPRGRQIHKTFNNIKITILCGFVTILVLRGTIGVGNLGSSEAEAVNQNLIEETNRILAEIRSDSDPTDPDEPPETEINPNITFTLGPKISDWDQQRKVWISQNPEFPNFVNGKARILLVTGSPPNPCDNAIGDHYLLKSIKNKIDYCRLHGIEIVYNMAHLDRELAGYWAKLPLIRRLMLSHPEVEWIWWMDSDAFFTDMVFEIPLSKYDKYNLVVHGYPDLMFEQKSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGVIREEAGKVLTANLKGRPAFEADDQSALIYLLLSQKDQWMDKVFLENQYYLHGYWAGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLRSMQRAFNFADNQVLKLYGFRHRGLLGPNIKRIRNETVTPLEIVDQLDIRRSVHGKSGSQS >EOY23624 pep chromosome:Theobroma_cacao_20110822:3:28054817:28057380:-1 gene:TCM_015459 transcript:EOY23624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative isoform 1 MAESFGPRLYSCSNCRNHVSLHDDIISKSFQGRNGRAFLFSHAMNVVVGPKEDRHLLTGLHTVADVYCADCREVLGWKYERAYEVSQKYKEGKFILEKAKIVKENW >EOY23623 pep chromosome:Theobroma_cacao_20110822:3:28055396:28057392:-1 gene:TCM_015459 transcript:EOY23623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative isoform 1 MLHIDLVQKLVTRLEICHCFPLCILYIAQRPQNARWIDHSHINKLRYCQLLSTTSHCVLWIQHCVNTCG >EOY23622 pep chromosome:Theobroma_cacao_20110822:3:28055566:28057359:-1 gene:TCM_015459 transcript:EOY23622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative isoform 1 MAESFGPRLYSCSNCRNHVSLHDDIISKSFQGRNGRAFLFSHAMNVVVGPKEDRHLLTGLHTVADVYCADCREVLGWKYERAYEVSQKYKEGKFILEKAKIVKENW >EOY23611 pep chromosome:Theobroma_cacao_20110822:3:28013787:28017076:-1 gene:TCM_015450 transcript:EOY23611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MATLSTPLSQNALSLRQLSTKRLHCPLHQLPLPIRINCSSASKCVFKTEKSSSPFKELRSVACGLLAVCAVATASPVIAANQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKSNLKGKSLAAALMSDAKFDGADMSEAVMSKAYAVGASFKGANFSNAVLDRVNFDKADLRGAVFKNTVLSGSTFNNAQLEDAVFEDTIIGYIDLQKLCTNTSVSADGRAELGCR >EOY23610 pep chromosome:Theobroma_cacao_20110822:3:28013329:28016976:-1 gene:TCM_015450 transcript:EOY23610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MATLSTPLSQNALSLRQLSTKRLHCPLHQLPLPIRINCSSASKCVFKTEKSSSPFKELRSVACGLLAVCAVATASPVIAANQRLPPLSTEPNRCERAFVGNTIAALMSDAKFDGADMSEAVMSKAYAVGASFKGANFSNAVLDRVNFDKADLRGAVFKNTVLSGSTFNNAQLEDAVFEDTIIGYIDLQKLCTNTSVSADGRAELGCR >EOY24699 pep chromosome:Theobroma_cacao_20110822:3:31964872:31975065:-1 gene:TCM_016236 transcript:EOY24699 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 1 MESVTLTGLMKKAATEFPSRRALSVSGKFDLTHAQLQQLIDHAASLLIASGIKPGDVVALSFPNTVEFVIMFLAVIRCRATAAPLNSAYTTEEFEFYLSDSQSKLLLVPAQGIKSAQAAASKLNIPHVSATLQDENSKVALSSNPDSHLDSVHQLVNDPADVSLFLHTSGTTSRPKGVPLTQLNLATSTLNIKSVYKLTESDSTVIVLPLFHVHGLLAGLLSSLISGAAVALPAAGRFSASTFWTDVIKYNATWYTAVPTIHQIILDRHESKPEPAYPNLRFIRSCSASLAPSVLARLEAAFDAPVLEAYAMTEASHLMASNPLPECGPHKAGSVGKPVGQEMAILNEQGEIQPPNANGEVCIRGLNVTKGYKNNPEANKSAFLFGWFHTGDIGFFDSDGYLHLVGRIKELINRGGEKISPIEVDAVLLSHPNVAQAVAFGVPDEKYGEEINCAVIPREGVNLEEAEISQYCKKNLASFKVPKKVFITGSLPKTATGKIQRRIVAEHFLSQMSAAKVPKFGA >EOY24700 pep chromosome:Theobroma_cacao_20110822:3:31965108:31967320:-1 gene:TCM_016236 transcript:EOY24700 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 1 MFLAVIRCRATAAPLNSAYTTEEFEFYLSDSQSKLLLVPAQGIKSAQAAASKLNIPHVSATLQDENSKVALSSNPDSHLDSVHQLVNDPADVSLFLHTSGTTSRPKGVPLTQLNLATSTLNIKSVYKLTESDSTVIVLPLFHVHGLLAGLLSSLISGAAVALPAAGRFSASTFWTDVIKYNATWYTAVPTIHQIILDRHESKPEPAYPNLRFIRSCSASLAPSVLARLEAAFDAPVLEAYAMTEASHLMASNPLPECGPHKAGSVGKPVGQKISPIEVDAVLLSHPNVAQAVAFGVPDEKYGEEINCAVIPREGVNLEEAEISQYCKKNLASFKVPKKVFITGSLPKTATGKIQRRIVAEHFLSQMSAAKVPKFGA >EOY20626 pep chromosome:Theobroma_cacao_20110822:3:370767:373022:1 gene:TCM_011996 transcript:EOY20626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTPKVPFGARSREGREAAVDHGRMGMSHSHRTRKMHTMTTLEDKILSLCFTGDQGQFPSSMPGCINWEQKQLHHTGEAVGALTLPDFFCPEI >EOY25448 pep chromosome:Theobroma_cacao_20110822:3:34339732:34347167:-1 gene:TCM_016754 transcript:EOY25448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRMNEIRLAFKGIGLTGAYDLRWLDYKHILIHLTNQHDFNRIWIRQVWFIANQKLRVFKWSPDFQPEKESSLVSVWISFPNLRTHLHEKSALMIIAKAVGKLLFADEATANGSRPSVARVCVEYDCQKQPLDHIWIVSRDQKTRAAVGGFTQRENFSKLPDYCSHCGHVGHAISECIVLGNRPDKSGVKNVLPLRVVKQSDERLNEQILQQNTVKANVDKRSSDLLSKGGIMEPAARVTELKQNKKWQIIESQAQPGTTAAKGEEIITRKPENVLAEGGRATSTAELIAGKSKSHAERAQKNSVIVRSCTPLQLMQGERKDSGYASIHAYGDKTNEGKGHDVDQFSEGNEHPITRRHHKLQNKAKPILTKLIPSHNVDFDVGSVALLFDKTSDNDESQLKKAKEAIDEDNSAEYLKNLPLETGKCLLNKETDSVPSFADACSSSEQVSKLPNPVQYQTNLEDQISIGHPRVHRRRKSDSSLLTSIVWNFAHATDPLEGPWMVGGDFNTIMSCAERLNGAPPHGGSMEDFAATLLDCGLIDAGWENKTLSPGGRITLLRSVLSSMPMYLLQVLKPPVVVIEKIERLFNSFLWGDSTTDKRMHWVAWYKLTFPCSEGGLDIRRLNDVSDAFMMKLWWRFQTCDGLWTNFLKTKYCMGQIPHYVQSKLHDSQWKGDTDIAVMWGFTFSLNILEAPQIIHWVKPVTGEYKLNVDGSYRHNQSAATGGLLRDHTVENALSFHVFPFLPQSQGMAAGRPPDLLPTLLPVAAPSIFQSGATPNPPATENSKSPLSHGHTQGVHNKTQPSVSPRTQKKSFLAVAAGEKASLIPLDREPFWYKDRPTASFFDDEISTLAQPFKFSMVGKFFRMPRM >EOY25293 pep chromosome:Theobroma_cacao_20110822:3:33844530:33846000:-1 gene:TCM_016655 transcript:EOY25293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein, putative isoform 2 MAHLLQSQSFISRSSVWTEPRQQHRHACVKLGDQHRHGSWKIDGKRRARGTFFNGRVVQCCTTSSSSLASSSAKAAVKLIDGNAEENDNGRWGDGKDQPLYLASEYGWKVRRLEEDQDEIRKVAQIQAEAFHQPMAFFDDFFFQFFQAEVLAALIYKLRNSPPSRRRKIASCLLKACDVLSVLWGFKFLVLRAYEDDFGARKLYSNAGYRVVSGDPPWMSYWIGRRRRVVLIKQCNFLNLI >EOY25292 pep chromosome:Theobroma_cacao_20110822:3:33844376:33846142:-1 gene:TCM_016655 transcript:EOY25292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein, putative isoform 2 MAHLLQSQSFISRSSVWTEPRQQHRHACVKLGDQHRHGSWKIDGKRRARGTFFNGRVVQCCTTSSSSLASSSAKAAVKLIDGNAEENDNGRWGDGKDQPLYLASEYGWKVRRLEEDQDEIRKVAQIQAEAFHQPMAFFDDFFFQFFQAEVLAALIYKLRNSPPSRYACLVAESSTDASESQRKLVGVVDVTAMRDESVIQHLHGAEEYLYVSGLAVSKCFRRRKIASCLLKACDVLSVLWGFKFLVLRAYEDDFGARKLYSNAGYRVVSGDPPWMSYWIGRRRRVVLIKQCNFLNLI >EOY25208 pep chromosome:Theobroma_cacao_20110822:3:33565101:33568020:-1 gene:TCM_016597 transcript:EOY25208 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MTSLRAFPAVTAPLSSLTTASFPTRPNSLPQNRLCKFGVKCRYAEAGIKDDSRSPTIDVVADVKSEKVVVLGGSGFVGSAICKAAVSKGIEVISLSRSGRPTYPGSWVNQVNWISGDVFYANWDEVLVGATAVVSTLGGFGSEEQMKRINGEANVTAVNAAKDFGIPKFILISVHDYNLPSFLLSSGYFTGKRKAESEVLSKYPNSGVVLRPAFIYGKRRVDGFELPLDLVGEPLERILSATENLTKPLSSLPASDLLLAPPVSVDDVAYAVINAVKDDDFFGIFTIEQIKEAAAKVKA >EOY25209 pep chromosome:Theobroma_cacao_20110822:3:33564580:33567662:-1 gene:TCM_016597 transcript:EOY25209 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MTSLRAFPAVTAPLSSLTTASFPTRPNSLPQNRLCKFGVKCRYAEAGIKDDSRSPTIDVVADVKSEKVVVLGGSGFVGSAICKAAVSKGIEVISLSSRSGRPTYPGSWVNQVNWISGDVFYANWDEVLVGATAVVSTLGGFGSEEQMKRINGEANVTAVNAAKDFGIPKFILISVHDYNLPSFLLSSGYFTGKRKAESEVLSKYPNSGVVLRPAFIYGKRRVDGFELPLDLVGEPLERILSATENLTKPLSSLPASDLLLAPPVSVDDVAYAVINAVKDDDFFGIFTIEQIKEAAAKVKA >EOY25210 pep chromosome:Theobroma_cacao_20110822:3:33564961:33567514:-1 gene:TCM_016597 transcript:EOY25210 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MSGRPTYPGSWVNQVNWISDVFYANWDEVLVGATAVVSTLGGFGSEEQMKRINGEANVTAVNAAKDFGIPKFILISVHDYNLPSFLLSSGYFTGKRKAESEVLSKYPNSGVVLRPAFIYGKRRVDGFELPLDLVGEPLERILSATENLTKPLSSLPASDLLLAPPVSVDDVAYAVINAVKDDDFFGIFTIEQIKEAAAKVKA >EOY22317 pep chromosome:Theobroma_cacao_20110822:3:22995310:22997585:1 gene:TCM_014530 transcript:EOY22317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MAIPSLSLLFLLTSLLIPTLISSSPNAVGGRDGKIYVVTDSGNDDPVNPKPGTLRHAVIQDEPLWIIFARDMTIQLKEELIMNSFKTIDGRGASVHIAGGPCITIQFVTNIIIHGLNIHDCKQGGNAMVRDSPRHYGWRTISDGDGVSIFGSSHVWVDHNSLSNCKDGLVDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFTAPEDRFSKEVTKHEDAPESEWKGWNWRSEGDLMVNGAFFTPSGAGASSSYAKASSLGARPSSLVATITTNAGALNCKKGSRC >EOY22318 pep chromosome:Theobroma_cacao_20110822:3:22995537:22996513:1 gene:TCM_014530 transcript:EOY22318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MAIPSLSLLFLLTSLLIPTLISSSPVQDPEAVMQDVHRAINASRRNLGYLSCGTGNPIDDCWRCDPNWETNRQRLADCAIGFGKNAVGGRDGKIYVVTDSGNDDPVNPKPGTLRHAVIQDEPLWIIFARDMTIQLKEELIMNSFKTIDGRGASVHIAGGPCITIQFVTNIIIHGLNIHDCKQGGNAMVRDSPRHYGWRTISDGDGVSIFGSSHVWVDHNSLSNCKDGLVDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPR >EOY22316 pep chromosome:Theobroma_cacao_20110822:3:22995397:22997642:1 gene:TCM_014530 transcript:EOY22316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MAIPSLSLLFLLTSLLIPTLISSSPVQDPEAVMQDVHRAINASRRNLGYLSCGTGNPIDDCWRCDPNWETNRQRLADCAIGFGKNAVGGRDGKIYVVTDSGNDDPVNPKPGTLRHAVIQDEPLWIIFARDMTIQLKEELIMNSFKTIDGRGASVHIAGGPCITIQFVTNIIIHGLNIHDCKQGGNAMVRDSPRHYGWRTISDGDGVSIFGSSHVWVDHNSLSNCKDGLVDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFTAPEDRFSKEVTKHEDAPESEWKGWNWRSEGDLMVNGAFFTPSGAGASSSYAKASSLGARPSSLVATITTNAGALNCKKGSRC >EOY22122 pep chromosome:Theobroma_cacao_20110822:3:21457554:21460630:-1 gene:TCM_014311 transcript:EOY22122 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like extracellular glycosyl-phosphatidyl inositol-anchored protein family MSFNKFAYPLALATFFATIISQAAAYDPLDPTGNITIKWDVMSWTPDGYVAVVTMTNFQMFRHITSPGWNLGWVWAKKEVIWSMVGAQANDQGDCSKFKGNIPHCCKRNPTVVDLLPGVPYNQQIANCCKGGVVASWGQDPSAAVSSFQLSVGRAGTSNKTVTLPKNFTLLDPALGYTCSQAKKVSSSVFITADGRRKTQALMTWNVTCTYSQILASRYPTCCVSMSSFYNSTVTPCPTCSCGCQSRNQCVSSDSEIRTVVAMNASTSQQTNVVRCTNHMCPIRVHWHVKLNYKEYWRVKMTITNFNYQKNYTQWTLVAQHPNLNNITQVFSFDYKPLNPYQSTNDTGMFYGLKYYNDVLMESGPDGNVQSELILQKDMNTFTFKQGWAFPRKIYFNGDECMMPPPDAYPYLPNSANSNLIASSTMASFLLLVLLVFW >EOY24305 pep chromosome:Theobroma_cacao_20110822:3:30493131:30494767:-1 gene:TCM_015946 transcript:EOY24305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast intrinsic protein 2,3 MVKLAFGSFGDSLSVGSFKAYLAEFIATLLFVFAGVGSAIAYGKLTSDAALDPPGLVAVAVAHAFALFVGVSIAANISGGHLNPAVTFGLAVGGNITILTGIFYWIAQCLGSIVACLLLLFVTNGLSIPTHGVASGMNALEGVVMEIVITFTLVYTVYATAADPKKGSLGIIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGNFSDNWIYWVGPLIGGGLAGLIYGDIFIGSYSPAPDSEDYA >EOY23648 pep chromosome:Theobroma_cacao_20110822:3:28117098:28121006:-1 gene:TCM_015472 transcript:EOY23648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MELFFFLVFGALGAVVAALELSKTSKDRINTSPAFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYSTYGFGKGDIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPQYKVLMIGRVLGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLVAILSGLFGNLLVDSLSLGPVAPFDAAACFLAIGMAIILSSWTENFGDTSESKDLLTQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMAHSSPRVESYMQIVFAISSLSLLLPIITNFLVAPSKVKGGSISFSGCIQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCIVLYNVDAFPITVMFGMCSIFLFVASILQRRLMAISDKPKVENWTAMKERDPEAEPLND >EOY23561 pep chromosome:Theobroma_cacao_20110822:3:27853924:27854464:1 gene:TCM_015417 transcript:EOY23561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLKRNVTCPYSKEPIYTHLRTPQPEQKNIKSGGGDREKGNNLLPRNYHCSLFKYFGNFLWHSEVIPNVHPFSF >EOY20549 pep chromosome:Theobroma_cacao_20110822:3:140173:149711:-1 gene:TCM_011946 transcript:EOY20549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRFRSLGLLLLWLIFVISMFYMVVSCICCLGFAAFGILLAKVSSVRFVASLVDFYVISIFYVVASCFCCWVLLHLGFYLPRQGGFGVFIIHSSVEVST >EOY22707 pep chromosome:Theobroma_cacao_20110822:3:24530903:24533296:-1 gene:TCM_014798 transcript:EOY22707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe superoxide dismutase 2 isoform 2 CRLPCPRFQKIPTNLLEEWRQLLPWLLHLRSRYFPPKRRFWRKVATNLITAKFELKPPPYTLNALEPHMSRQTLEYHWGKHHRTYVENLNKQIAGTELEGLPLEDIIIVSYNNGDILPAFNNAAQAWNHDFFWESMKPGGGGKPSGDLLDLIERDFGSFEQFIQEFKSAAAAQFGSGWAWLAYKANRLDVENAVNPWPSEKDKKLVVVKSPNAVNPLVWDYFPLLTIDVWEHAYYLDFQNRRPDYISMFMEKLISWEAVSARLEKAKALAAEREMEEERRKKEEEEKQTDGEAVEMYLDSDTDDSEAE >EOY22706 pep chromosome:Theobroma_cacao_20110822:3:24530954:24533466:-1 gene:TCM_014798 transcript:EOY22706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe superoxide dismutase 2 isoform 2 MAAAASMATSLTFPLLPSQGLRGPFTSSLPCTIPQRRFWRKVATNLITAKFELKPPPYTLNALEPHMSRQTLEYHWGKHHRTYVENLNKQIAGTELEGLPLEDIIIVSYNNGDILPAFNNAAQAWNHDFFWESMKPGGGGKPSGDLLDLIERDFGSFEQFIQEFKSAAAAQFGSGWAWLAYKANRLDVENAVNPWPSEKDKKLVVVKSPNAVNPLVWDYFPLLTIDVWEHAYYLDFQNRRPDYISMFMEKLISWEAVSARLEKAKALAAEREMEEERRKKEEEEKQTDGEAVEMYLDSDTDDSEAE >EOY24032 pep chromosome:Theobroma_cacao_20110822:3:29386476:29390140:1 gene:TCM_015737 transcript:EOY24032 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein MDLVVPCNSCTPLYRQPPLHRRLSSPKRCSFPFNSFKCRLRTTSELQTPVSITSPDGNLSPSIPTHKVTVHDRKQGVVHEFLVPEDQYILHTAESQNITLPFACRHGCCTSCAVRVKSGQIRQPEALGISTELKAKGYALLCVGFPSSDLEVETQDEDEVYWLQFGRYFARGPIDRDDYALELAMGDE >EOY21585 pep chromosome:Theobroma_cacao_20110822:3:13141706:13159910:-1 gene:TCM_013447 transcript:EOY21585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAHQLQGSTHGFTQLALSAPLIAASSDREAYGSRGRGPVTSSQSRLFVSGRQSSVDRGHARVFTLTPQEAQTSNVVVSVPFLFVIWMLEYCLILVQPIVLFHHVLHLDWVMDWLSPYHASVDCYHKLVRFDFPSEPSFSIQGDRNNAITNLIFVICTKRIKNENMPKTASQTRYEHYEFLVMSFGLTNGPAAFMDLMNRVFRPYLDKFVMVFIDDILIYSRSWAEHEQHLKIVLQTLREHQLYAKFSKCEFWLESVHSWDMWSLKVGYKLTQRKWR >EOY21810 pep chromosome:Theobroma_cacao_20110822:3:18711848:18717535:-1 gene:TCM_013941 transcript:EOY21810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MVMGRVRNWWNHSKTVAFIWFFSLALFYSLFQMALQNSTSPSSDSGISNNQRRSIMYDKMARDLDERGAAFLKHGETSQSLSLSDLFTLKDGSVRPVLKAVNPPVRANVLYMSTEYSVPISMFHASHHIAPVPASEVEIEAEVAAVRAVAEGLCPLEIVLDRVILTSTGVLLGCWQVISGTDPISIRAMLRTALPRAPEKQLYDAAILHTSFARLLGHPKASLMDPDNTSKQLELFHKLVTQLNNKIHGFKAVVSQLWYVEEYDVLALALNGRMKVRRFQLGCS >EOY21809 pep chromosome:Theobroma_cacao_20110822:3:18713625:18717473:-1 gene:TCM_013941 transcript:EOY21809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MYDKMARDLDERGAAFLKHGETSQSLSLSDLFTLKDGSVRPVLKAVNPPVRANVLYMSTEYSVPISEAVKQVFDPYFDKAIWFQNSSLYHFSMFHASHHIAPVPASEVEIEAEVAAVRAVAEGLCPLEIVLDRVILTSTGVLLGCWQVISGTDPISIRAMLRTALPRAPEKQLYDAAILHTSFARLLGHPKASLMDPDNTSKQLELFHKLVTQLNNKIHGFK >EOY21807 pep chromosome:Theobroma_cacao_20110822:3:18712167:18717091:-1 gene:TCM_013941 transcript:EOY21807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MVMGRVRNWWNHSKTVAFIWFFSLALFYSLFQMALQNSTSPSSDSGISNNQRRSIMYDKMARDLDERGAAFLKHGETSQSLSLSDLFTLKDGSVRPVLKAVNPPVRANVLYMSTEYSVPISEAVKQVFDPYFDKAIWFQNSSLYHFSMFHASHHIAPVPASEVEIEAEVAAVRAVAEGLCPLEIVLDRVILTSTGVLLGCWQVISGTDPISIRAMLRTALPRAPEKQLYDAAILHTSFARLLGHPKASLMDPDNTSKQLELFHKLVTQLNNKIHGFKAVVSQLWYVEEYDVLALALNGRMKVRRFQLGCS >EOY21811 pep chromosome:Theobroma_cacao_20110822:3:18711941:18717473:-1 gene:TCM_013941 transcript:EOY21811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MVMGRVRNWWNHSKTVAFIWFFSLALFYSLFQMALQNSTSPSSGNSGISNNQRRSIMYDKMARDLDERGAAFLKHGETSQSLSLSDLFTLKDGSVRPVLKAVNPPVRANVPLEIVLDRVILTSTGVLLGCWQVISGTDPISIRAMLRTALPRAPEKQLYDAAILHTSFARLLGHPKASLMDPDNTSKQLELFHKLVTQLNNKIHGFKAVVSQLWYVEEYDVLALALNGRMKVRRFQLGCS >EOY21808 pep chromosome:Theobroma_cacao_20110822:3:18711848:18717535:-1 gene:TCM_013941 transcript:EOY21808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MVMGRVRNWWNHSKTVAFIWFFSLALFYSLFQMALQNSTSPSSDSGISNNQRRSIMYDKMARDLDERGAAFLKHGETSQSLSLSDLFTLKDGSVRPVLKAVNPPVRANVLYMSTEYSVPISEAVKQVFDPYFDKAIWFQNSSLYHFSMFHASHHIAPVPASEVEIEAEVAAVRAVAEGLCPLEIVLDRVILTSTGVLLGCWQVISGTDPISIRAMLRTALPRAPEKQLYDAAILHTSFARLLGHPKASLMAVVSQLWYVEEYDVLALALNGRMKVRRFQLGCS >EOY25218 pep chromosome:Theobroma_cacao_20110822:3:33587562:33592035:1 gene:TCM_016601 transcript:EOY25218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MGCMVSQLAAKFAFFPPSPPTYQIKKHDNGKLTVVSSSSSTPISVADDTSLDVLLIDTKRGNKIVAFYLKNPYARLTVLYSHGNAADLGQLYDLFVQLKVNLRVNIMGYDYSGYGASTGKPSESNTYADIEAVYQCLQTEYGISQEDLILYGQSVGSGPTLHLAAKLPRLRGVVLHSGILSGLRVLCHVKFTFCFDIYQNINKIRKVKCPVLVIHGTEDDVVNWLHGNGLWKMAREPYEPLWIKGGGHCNLELYPDYIRHLCKFIYEMENMTTEIRLKRIRQSLRLPTRPNTTASSVTSNECCKIHFCQPKCPKCPKCPKPRCTNCCCWWPKCSCCWRPSCLNCCRKPKCPKCWLGSCCCIKCSQWRCCVDSHNELNGKQEG >EOY25220 pep chromosome:Theobroma_cacao_20110822:3:33587203:33591077:1 gene:TCM_016601 transcript:EOY25220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MGCMVSQLAAKFAFFPPSPPTYQIKKHDNGKLTVVSSSSSTPISVADDTSLDVLLIDTKRGNKIVAFYLKNPYARLTVLYSHGNAADLGQLYDLFVQLKVNLRVNIMGYDYSGYGASTGKPSESNTYADIEAVYQCLQTEYGISQEDLILYGQSVGSGPTLHLAAKLPRLRGVVLHSGILSGLRVLCHVKFTFCFDIYQNINKIRKVKCPVLVIHKMMLSIGCMEMDSGKWRGSHMSLCGSREVATATWSYTPITYAIFVSSFMKWRT >EOY25219 pep chromosome:Theobroma_cacao_20110822:3:33587180:33591455:1 gene:TCM_016601 transcript:EOY25219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MHALPCFILTAMLLTLASSMTSLSSSRSTSESILWGMTILAMEHLLPSESNTYADIEAVYQCLQTEYGISQEDLILYGQSVGSGPTLHLAAKLPRLRGVVLHSGILSGLRVLCHVKFTFCFDIYQNINKIRKVKCPVLVIHGTEDDVVNWLHGNGLWKMAREPYEPLWIKGGGHCNLELYPDYIRHLCKFIYEMENMTTEIRLKRIRQSLRLPTRPNTTASSVTSNECCKIHFCQPKCPKCPKCPKPRCTNCCCWWPKCSCCWRPSCLNCCRKPKCPKCWLGSCCCIKCSQWRCCVDSHNELNGKQEG >EOY21628 pep chromosome:Theobroma_cacao_20110822:3:14836512:14841740:-1 gene:TCM_013600 transcript:EOY21628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVSRCWPTSKIKRLIPRTSKSLTLPDHLWPSHIYILQVVAPTKPNLPSATQRFSSQSSLVHEGHN >EOY23369 pep chromosome:Theobroma_cacao_20110822:3:27155129:27156004:1 gene:TCM_015286 transcript:EOY23369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycofamily protein, putative MAEQNYQQKNIDMESAAELKRKKRMKLFAYAAAFVVFQTIVILVFSLTVMRIKNPKFRVRSITVEDIAYTSTPNPPSFNMKFNAEVAVKNTNFGHFKFDNTTISFDYGGVQVGEAFVAKGRAKARSTKKMNVTVDLNSNNIPANSNLASDISSGFLTLTTHTKLSGKVHLMKLIKKKKSAQMNCTMTVNLASRAIQDIKCQ >EOY23608 pep chromosome:Theobroma_cacao_20110822:3:28004792:28007761:-1 gene:TCM_015448 transcript:EOY23608 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein MVCPQCIIQHLPIPTKAMAKEFISVFSSVLVAAILFFLASTPVRGVEGTIGINYGTVANNLPPPAQVAHFLLESTVINRVRLFDANPDILKAFAHTGIAITVTVPNDQIPRLTKLNLAQQWVEDNIQPYTPATNIVRILVGNEVISTANKLLIGSLVPAVQTLHTALVEASLDRRIQVSTPHSLGILSNSSPPSTGKFRQGYDTHVLKPLLSFLRATNSPFMVNPYPFFGCSPDTLDYALFRPNAGVFDENTELHYTNMLDAQLDAVFSAMKFVGFDDLEIVIAETGWPSMGDSTQVGVDAESAAEYNGNLMRHVASGAGTPLMPNRTFETYIFALFNENLKPGPTCERNFGLFQPDMTPVYDVGILRPTARSSIPNNPTPVPTAAPVNPTPRSEGKQWCLPKTGADENALQRNIDYVCGLGLDCGPIQEDGACFLPNTVRAHAAFAMNAYYQSTDRKEYDCAFEQTGAITDVDPSYGKCQY >EOY23445 pep chromosome:Theobroma_cacao_20110822:3:27440647:27446150:-1 gene:TCM_015338 transcript:EOY23445 gene_biotype:protein_coding transcript_biotype:protein_coding description:High affinity inorganic phosphate transporter MASNNNLAVLEALDTARTQWYHIKAIVIAGMGFFTDAYDLFCITTVSKLLGRLYYYDPAHSDRPGKLPHYVNNLVTGVALFGTLSGQLVFGWLGDKLGRKKVYGITLILMVICAICSGLSFGSTADSVIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGIIFAGLVSMILSAIFRKRYPAPSFKADAIFSTQPEADFLWRIVLMFGALPALLTYYWRMKMPETGRYTALIEGNAKQAAADMGRVLDIELDAEGEKLSQFKAANQFPLLSHEFYMRHGRHLFGTMSTWFLLDIAFYSQNLTQKDVFPAMGLTRKAPDINAIEEVYETSRAMFIVALLGTFPGYWFTVLFIEKIGRFIIQLVGFLMMSIFMLLLGIKYDYLRDENKLLFVVLYVLTFFFANFGPNSTTFVLPAELFPTRLRSTCHALSAASGKAGAVIGAFVVQSYTLDESVGKIKRAIMVLAFTNLLGFCFTFLVPETKGRSLEEISGEDGGAQNETQMAARRSATIKGSGRLEVI >EOY24678 pep chromosome:Theobroma_cacao_20110822:3:31887269:31892722:-1 gene:TCM_016217 transcript:EOY24678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein, putative isoform 1 MNGLACASATTAAASSFSVNKFLLFPSASPSRAGPKRGAKRVSFRIFAAKEEPKFDKWDQMELKFGRLLGEDPKLTLAKIMGRKANPEASYIEIEKAFHKNKGKIVEVEEVPFDVEKKSPTSSSDSLNLVRPVPKKGIKFKADGNPAVSEIKRPTLSDGKTVDSAKKGRVPNVILRKPTLINEDDVENLPRFRIKPNLSLKMRNEKAKDHFSEMTLLRKPEPMSVDTSLDKKQDSEGSVGLEKEKEVEDRIGDFTILEKSEQSIHANIREMQELFEDLEIEGQRLEAEIEANMLANATENTSQESLEAGHSSIPKKPERKDDSISGMQPVELSNRVSTEESSTGPSIEAALQGKPKRLDQSVKETSNSSRAQTVPINPEDYGDLPSVSPQEDSDWTRVEHLLKTGERAEVELISSSTRGFVVSFGSLIGFLPYRNLAAKWKFLAFESWLRQKGLDLAAYKQNLGVIGSSDIMSKNSSLVSNSDMENNQQFEGKLSPDMNLEDLLKIYDQEKLKFLSSFVGQRVKVNVLMADRKFRKLIVSLRPKAKEELVEKKRNVMAKLRVGDVVKCCIKKITYFGIFIFIIEGDNARSTD >EOY24676 pep chromosome:Theobroma_cacao_20110822:3:31887165:31893185:-1 gene:TCM_016217 transcript:EOY24676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein, putative isoform 1 MNGLACASATTAAASSFSVNKFLLFPSASPSRAGPKRGAKRVSFRIFAAKEEPKFDKWDQMELKFGRLLGEDPKLTLAKIMGRKANPEASYIEIEKAFHKNKGKIVEVEEVPFDVEKKSPTSSSDSLNLVRPVPKKGIKFKADGNPAVSEIKRPTLSDGKTVDSAKKGRVPNVILRKPTLINEDDVENLPRFRIKPNLSLKMRNEKAKDHFSEMTLLRKPEPMSVDTSLDKKQDSEGSVGLEKEKEVEDRIGDFTILEKSEQSIHANIREMQELFEDLEIEGQRLEAEIEANMLANATENTSQESLEAGHSSIPKKPERKDDSISGMQPVELSNRVSTEESSTGPSIEAALQGKPKRLDQSVKETSNSSRAQTVPINPEDYGDLPSVSPQEDSDWTRVEHLLKTGERAEVELISSSTRGFVVSFGSLIGFLPYRNLAAKWKFLAFESWLRQKGLDLAAYKQNLGVIGSSDIMSKNSSLVSNSDMENNQQFEGKLSPDMNLEDLLKIYDQEKLKFLSSFVGQRVKVNVLMADRKFRKLIVSLRPKAKEELVEKKRNVMAKLRVGDVVKCCIKKITYFGIFVEVEGVPALIHQTEVSWDATLDPASYFKIGQIVEAKVHQLDFTLERVFLSLKEITPDPLIEALDSVVGDRDNLDGRLQAAEADSEWPDVESLIKELQQIEGVQSVSKGRFFLSPGLAPTFQVYMASMFENQYKLLARSGNKVQEIIVLASLDKEEMKSTILSCTNRVI >EOY24677 pep chromosome:Theobroma_cacao_20110822:3:31889722:31892698:-1 gene:TCM_016217 transcript:EOY24677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein, putative isoform 1 MNGLACASATTAAASSFSVNKFLLFPSASPSRAGPKRGAKRVSFRIFAAKEEPKFDKWDQMELKFGRLLGEDPKLTLAKIMGRKANPEASYIEIEKAFHKNKGKIVEVEEVPFDVEKKSPTSSSDSLNLVRPVPKKGIKFKADGNPAVSEIKRPTLSDGKTVDSAKKGRVPNVILRKPTLINEDDVENLPRFRIKPNLSLKMRNEKAKDHFSEMTLLRKPEPMSVDTSLDKKQDSEGSVGLEKEKEVEDRIGDFTILEKSEQSIHANIREMQELFEDLEIEGQRLEAEIEANMLANATENTSQESLEAGHSSIPKKPERKDDSISGMQPVELSNRVSTEESSTGPSIEAALQGKPKRLDQSVKETSNSSRAQTVPINPEDYGDLPSVSPQEDSDWTRVEHLLKTGERAEVELISSSTRGFVVSFGSLIGFLPYRNLAAKWKFLAFESWLRQKGLDLAAYKQNLGVIGSSDIMSKNSSLVSNSDMENNQQFEGKLSPDMNLEDLLKIYDQEKLKFLSSFVGQRVKVNVLMADRKFRKLIVSLRPKAKEELVEKKRNVMAKLRVGDVVKCCIKKITYFGIFVEVLKACLL >EOY21501 pep chromosome:Theobroma_cacao_20110822:3:9995115:9996161:-1 gene:TCM_013170 transcript:EOY21501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWEVITGKREKFPSRSYTSEIKNAQLRILHYFIATILHGRSSSFSYVSAQDLWLMEAVFNEITQNVGRYMVKRIKTTTLRDKANLSYGNIITTLVKKKRIWSGRFQYDLMKNKDQGIFLGSVLKMGHKIEKNECIKITKGTPLAPSTGSHSTPFTRVSQLLLQSDMMLNLLMRIDGKLTDQAEKMEKIQEKLQQLEALLNPAKETNVSETPISVVSQSSERTTTKQFESAISSHDKKTKKETPKNPDVI >EOY22006 pep chromosome:Theobroma_cacao_20110822:3:20336774:20342521:-1 gene:TCM_014164 transcript:EOY22006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein isoform 1 MGCCESKGADSKANRIARWRSTGIVALRDAKLKILSTNLIERLPINLGKLQSLKVMILDGNQITSLPDEVGQLVRLERLSIYGNMLTSLPETIGSLRNLSLLNVSNNKLKYLPESVGSCFSLEELQANDNLIEELPASVCNLIHLKSLCLNNNNVSEIPSNLLKDCKTLQNISLHGNPISMDQFQQMDGFQEFEARRKKKFDKQIDSNVMISSKGLDEGVDL >EOY22005 pep chromosome:Theobroma_cacao_20110822:3:20336778:20342492:-1 gene:TCM_014164 transcript:EOY22005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein isoform 1 MGCCESKGADSKANRIARWRSTGIVALRDAKLKTFPDEVLDLDRSVRTLDLTHNKLVEIPMEISKLVNMHRLILSTNLIERLPINLGKLQSLKVMILDGNQITSLPDEVGQLVRLERLSIYGNMLTSLPETIGSLRNLSLLNVSNNKLKYLPESVGSCFSLEELQANDNLIEELPASVCNLIHLKSLCLNNNNVSEIPSNLLKDCKTLQNISLHGNPISMDQFQQMDGFQEFEARRKKKFDKQIDSNVMISSKGLDEGVDL >EOY22215 pep chromosome:Theobroma_cacao_20110822:3:22462069:22463359:-1 gene:TCM_014440 transcript:EOY22215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRSDQIWHAPLGKRNLAALSWGVPISCSSGNAQGVCLTLFLRSAISAPLGSADLALLKECQKNTATSHPR >EOY22457 pep chromosome:Theobroma_cacao_20110822:3:23538046:23541107:1 gene:TCM_014621 transcript:EOY22457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1B/ribosomal protein S6 family protein isoform 1 MAVAFYDLGSAAGLKKLDESLLTRSYITGYQASKDDITVYVALSGAPSSCYVNISRWYNHIDALLRISGVSGEGCGVTVEGCAPAEAVATPPAEDSKAAAAEEDDDDDDVDLFGEETEEEKKAAEERAAALKASSKKKESGKSSVLLDVKPWDDETDMKKLEEAVRNIKMEGLLWGASKLVPVGYGIKKLQIMLTIVDDLVSVDDLIEEHLTTEPTNEYVQSCDIVAFNKI >EOY22458 pep chromosome:Theobroma_cacao_20110822:3:23537946:23541109:1 gene:TCM_014621 transcript:EOY22458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1B/ribosomal protein S6 family protein isoform 1 MAVAFYDLGSAAGLKKLDESLLTRSYITGYQASKDDITVYVALSGAPSSCYVNISRWYNHIDALLRISGVSGEGCGVTVEGCAPAEAVATPPAEDSKAAAAEEDDDDDDVDLFGEETEEEKKAAEERAAALKASSKKKESGKSSVLLDVKPWDDETDMKKLEEAVRNIKMEGLLWGASKLVPVGYGIKKLQIMLTIVDDLVSVDDLIEEHLTTEPTNEYVQSCDIVAFNKI >EOY25255 pep chromosome:Theobroma_cacao_20110822:3:33712819:33716342:-1 gene:TCM_016628 transcript:EOY25255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein, putative MAEIAVSFLLENLTLFLQNEVELSQGIPEDVEYIKDELRSMKAVLRVADSMGESNEELRVWVQQVREIAYDIEDILDEYKLDLVNDRRHGIDAFLYNVCCFTKKLKAQHRIAPKLRGIRSRIDTISARRPNYDGKGTIELGPSSTTTDNPWLDGRGDALLLDSVDLVGIDGSKVQLINWLVERNSGRKVISVVGMGGSGKTTLVKQVYDNANVKKHFDVHVWVTISRPFKIEELLRNMVRQLFDAIRKPVPKRVNDMNSVHDLKKVVKDFLQRRRYLIVLDDVWHMNEWDVVNYALANNDRGSRVLLTTRNSGVASTSCIESEDKVFNLEPLPPDESWILFCKKCFRQEPCPPELEKHSRRILEKCEGLPLAIVAIGGVLATKRRTIAEWETVYLSLGAEIEDNSRLMNFKEVLLLSFNDLPYHLKSCFLYLSIFPENHLIESMRLIRLWIAEGFVEVKEGKTQEEVAEDYLNELLNRSMIQIAGTTNDGRVKTCRIHDLLREIIISNARDQNFVAVSKEHNATWPDKVRRLAIHNAFPNAQENRNVSHLRSLLMFGMGDPLSCSPTKTLFPDGCRLLKVLDLRAAPLQTFPQEVINLKLLSYLSLRDTNIKTIPSSIVKLQALETLDLKHSQVSNLPVEILQLRRLRHLLVYRYEFTAYSRFHSKYGFQALSGIGALQSLQKLSFMEVNHDDALIIELGKLVQLRRLGITNLRKEDGKLLCSSIQKLTKLRALSIVSSVKEEFVDLQHLSSPPQLLQRLYLYGRLEKIPDWIPSLHSLVVLYLKWSRLPDDALESLQNLPNLIHLELLQATQGDTLRFKAGGFTKLKILGIDKFEGLKCIEMEKGAMPCLENLSIQRCKLLERVPLGIEYLAKLKVLEFFDMPEELIMTLLPDAQRGDYSKVANIPEVHYTYWRNGEWEVYFIESSGEREHNTVISSDTLQTRFK >EOY25425 pep chromosome:Theobroma_cacao_20110822:3:34232808:34239507:-1 gene:TCM_016737 transcript:EOY25425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MGICLMKRQWPWLLHVLVFFILINRIRAISPDGEALLSFRIAIVSSDGFLSQWRPEDPDPCKWKGVTCDSKTKRVTTLSLTNHKLSGPISPDIGKLEHLRLLMLHNNNFYGAIPSELGNCTELQGIYLQGNYLSGLIPSELGNLTSLQNLDISSNSLSGSIPPSLGKLDKLVTFNVSNNFLVGPIPSQGVFSNYTLSSFVGNRDLCGKQINVACKDDSGGATTNSQSPTSAQNPAGKKKYSGRLLISASATVGALLLVALMCFWGCFLYKKFGKNEGRTLAMDVSGGASIVMFHGDLPYTSKDIIKKLETLNEEHVIGTGGFGTVYKLAMDDGNVFALKRIVKMNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLAGGSLDEALHERSEQLDWEARLNIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDSNLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMASGRATEKTDVYSFGVLVLEVLSGKRPTDASFIEKGLNIVGWLNFLITENRQREIVDPNCEGVQVESLDALLSVAIQCVSSSPEDRPTMHRVVQLLESEVMTPCPSDFYDSNSD >EOY25426 pep chromosome:Theobroma_cacao_20110822:3:34234145:34240018:-1 gene:TCM_016737 transcript:EOY25426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MGICLMKRQWPWLLHVLVFFILINRIRAISPDGEALLSFRIAIVSSDGFLSQWRPEDPDPCKWKGVTCDSKTKRVTTLSLTNHKLSGPISPDIGKLEHLRLLMLHNNNFYGAIPSELGNCTELQGIYLQGNYLSGLIPSELGNLTSLQNLDISSNSLSGSIPPSLGKLDKLVTFNVSNNFLVGPIPSQGVFSNYTLSSFVGNRDLCGKQINVACKDDSGGATTNSQSPTSAQNPAGKKKYSGRLLISASATVGALLLVALMCFWGCFLYKKFGKNEGRTLAMDVSGGASIVMFHGDLPYTSKDIIKKLETLNEEHVIGTGGFGTVYKLAMDDGNVFALKRIVKMNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLAGGSLDEALHERSEQLDWEARLNIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDSNLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMASGRAT >EOY24634 pep chromosome:Theobroma_cacao_20110822:3:31732804:31737437:-1 gene:TCM_016187 transcript:EOY24634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative isoform 1 MEKLEEHGGDLNAAVNAHFSEGDRNTMQQTSPVVPVDDAMDIDDPSDVVPNRPVLPSLSASRPISPFSHLDPNFQRSLLDGTSDFIIREPMITHPREVREVPIEVKDSNEPSGHSGDAPVIEDISETAQAHGPNTDEIAIINEMDEGSTVLPRQENDNSYDQHVTPSAPAFDNLPDYGNDIEEEMIRAAIEASKRDVEELSDPGPLRNQSHLEDAELAKAVSLSLRTAEQEKALREQGWPVGASDVEASKAAEVHPENMAASNGRLGEGSSSIQDEADDVEEQPLVRHGSRQTSSSLGDSAKEVGVVEASPPSSPGQEGIGNHPPNNGNAFPSDEWGGISSEEHDEAVMLEAAMFGGIPESGYRYAYAPHQFMRPEGSYPWRTPRPPSPSLAAQRLIREQQDDEYNASLQADREKELKAIQEAEARLLEEEAARKAALEEEQRREEEFHRKIEEEQECERQLAAKEASLPQEPAADEENAVTLLVRMPDGSRRGRRFVKSDRLQSLYDFIDIGRGVKPGTYRLVRPYPRRAFGDGESSLTFNELGLTSKQEALFLELI >EOY24633 pep chromosome:Theobroma_cacao_20110822:3:31732740:31737586:-1 gene:TCM_016187 transcript:EOY24633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative isoform 1 MSNLTSCWEHGGDLNAAVNAHFSEGDRNTMQQTSPVVPVDDAMDIDDPSDVVPNRPVLPSLSASRPISPFSHLDPNFQRSLLDGTSDFIIREPMITHPREVREVPIEVKDSNEPSGHSGDAPVIEDISETAQAHGPNTDEIAIINEMDEGSTVLPRQENDNSYDQHVTPSAPAFDNLPDYGNDIEEEMIRAAIEASKRDVEELSDPGPLRNQSHLEDAELAKAVSLSLRTAEQEKALREQGWPVGASDVEASKAAEVHPENMAASNGRLGEGSSSIQDEADDVEEQPLVRHGSRQTSSSLGDSAKEVGVVEASPPSSPGQEGIGNHPPNNGNAFPSDEWGGISSEEHDEAVMLEAAMFGGIPESGYRYAYAPHQFMRPEGSYPWRTPRPPSPSLAAQRLIREQQDDEYNASLQADREKELKAIQEAEARLLEEEAARKAALEEEQRREEEFHRKIEEEQECERQLAAKEASLPQEPAADEENAVTLLVRMPDGSRRGRRFVKSDRLQSLYDFIDIGRGVKPGTYRLVRPYPRRAFGDGESSLTFNELGLTSKQEALFLELI >EOY24635 pep chromosome:Theobroma_cacao_20110822:3:31733297:31737303:-1 gene:TCM_016187 transcript:EOY24635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative isoform 1 MARPNQEAIETFMSITGAPEAVAMEKLEEHGGDLNAAVNAHFSEGDRNTMQQTSPVVPVDDAMDIDDPSDVVPNRPVLPSLSASRPISPFSHLDPNFQRSLLDGTSDFIIREPMITHPREVREVPIEVKDSNEPSGHSGDAPVIEDISETAQAHGPNTDEIAIINEMDEGSTVLPRQENDNSYDQHVTPSAPAFDNLPDYGNDIEEEMIRAAIEASKRDVEELSDPGPLRNQSHLEDAELAKAVSLSLRTAEQEKALREQGWPVGASDVEASKAAEVHPENMAASNGRLGEGSSSIQDEADDVEEQPLVRHGSRQTSSSLGDSAKEVGVVEASPPSSPGQEGIGNHPPNNGNAFPSDEWGGISSEEHDEAVMLEAAMFGGIPESGYRYAYAPHQFMRPEGSYPWRTPRPPSPSLAAQRLIREQQDDEYNASLQADREKELKAIQEAEARLLEEEAARKAALEEEQRREEEFHRKIEEEQECERQLAAKEASLPQEPAADEENAVTLLVRMPDGSRRGRRFVKSDRLQCSLCMTSLILVEESSQALIDW >EOY21573 pep chromosome:Theobroma_cacao_20110822:3:13751677:13771212:1 gene:TCM_013401 transcript:EOY21573 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MQSMELQNTVKEALNALYHHPDDAVRMQADRWLQDFQRTIDAWQVADNLLHDATSNLETLIFCSQTLRSKVQRDFEELPSEAFRQLRDSLNNLLKKFHKGPPIVRTQISIAVAALAVHVPAEDWGDGGIVNSLRDEMNSHPEYIPGFLELLTVLPEEAFNYKIAARPERRRHFEKELTSQMEIALNILTACLNISELKEQVLEAFASWLRLKHGIPGSVLATHPLVLTALSSLNSDILSEASVNVVSELIHYTASGSSGGVSIQMPLIQVIVPQVMSLQAQLRDSSKDEEDVKAIARLFADMGDSYVELIATGSNEAMMIVNALLEVASLPEYDIASMTFNFWHSLQVILTKRNSNISFGDEASIEAERNRRLQVFHQSYESLVSLVSSRVQYPQDYQDLSYEDLKEFKQTRYAVADVLSDAASVLGGDATLQILYMKLVEAISCCGNEHNEWRPAEAALFCIRAISNYVSVVEANVMPQVMDLLSKLPHQAQLLQTVCLIIGAYSKWLDAALSGFSKLPLVIDILMSGMRTSEDSAAAAALAFRHICDDCRKKLCAYCKQLFHIYYTAVNGEGSFKGSAEDSLHLVEALSMVITELPPESAKDALEELCSSIVTPLQEVINQGPEVLEKKHARELTVHIDRFAYIFRYVNHPGAVADAIHRLWPIFKAIFDLRAWDMRTMESLCRACKYAVRTSGRFMGITIGAMLEEIQGLYQQHHQPCFLYLSSEVIKIFGSEPSCASYLKNMIEALFKHTTCLLTNIKEFTTRPDIADDCFLLASRCIRYCPQLFIPSAVFPALVECSMIGITVQHREASNSVLTFLSDIFDLAKSSKGEQFLSIRDSVIIPRGASITRILVAALAGALPSSRLETVAYALLALTRAYGMQALEWAKESVSLIPLTAVKEVERSRFLKALSDAASGADVNALMVPVEELSDVCRRNRTVQEIVQGALKPLELNMLPVS >EOY21572 pep chromosome:Theobroma_cacao_20110822:3:12613308:13771723:1 gene:TCM_013401 transcript:EOY21572 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MHHCALFRIMSQLRLVAACSFLIVSTCLLDFSSPLFDMVVLRYGTSSLGVFFGLHVACPNTLNVADNLLHDATSNLETLIFCSQTLRSKVQRDFEELPSEAFRQLRDSLNNLLKKFHKGPPIVRTQISIAVAALAVHVPAEDWGDGGIVNSLRDEMNSHPEYIPGFLELLTVLPEEAFNYKIAARPERRRHFEKELTSQMEIALNILTACLNISELKEQVLEAFASWLRLKHGIPGSVLATHPLVLTALSSLNSDILSEASVNVVSELIHYTASGSSGGVSIQMPLIQVIVPQVMSLQAQLRDSSKDEEDVKAIARLFADMGDSYVELIATGSNEAMMIVNALLEVASLPEYDIASMTFNFWHSLQVILTKRNSNISFGDEASIEAERNRRLQVFHQSYESLVSLLAVLRDLHESSSLLQCPVFVNKYFVKISVRYETLECHGFSSQVSSRVQYPQDYQDLSYEDLKEFKQTRYAVADVLSDAASVLGGDATLQILYMKLVEAISCCGNEHNEWRPAEAALFCIRAISNYVSVVEANVMPQVMDLLSKLPHQAQLLQTVCLIIGAYSKWLDAALSGFSKLPLVIDILMSGMRTSEDSAAAAALAFRHICDDCRKKLCAYCKQLFHIYYTAVNGEGSFKGSAEDSLHLVEALSMVITELPPESAKDALEELCSSIVTPLQEVINQGPEVLEKKHARELTVHIDRFAYIFRYVNHPGAVADAIHRLWPIFKAIFDLRAWDMRTMESLCRACKYAVRTSGRFMGITIGAMLEEIQGLYQQHHQPCFLYLSSEVIKIFGSEPSCASYLKNMIEALFKHTTCLLTNIKEFTTRPDIADDCFLLASRCIRYCPQLFIPSAVFPALVECSMIGITVQHREASNSVLTFLSDIFDLAKSSKGEQFLSIRDSVIIPRGASITRILVAALAGALPSSRLETVAYALLALTRAYGMQALEWAKESVSLIPLTAVKEVERSRFLKALSDAASGADVNALMVPVEELSDVCRRNRTVQEIVQGALKPLELNMLPVS >EOY21281 pep chromosome:Theobroma_cacao_20110822:3:5526483:5534091:1 gene:TCM_012724 transcript:EOY21281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWRLKEGGYDEFVELGAWLVSYIYTSICRDGELDKLAKDRVLREIELLHVLRCCCKSKEFIEVNTWSLLEVGSSNSCVDTPF >EOY20610 pep chromosome:Theobroma_cacao_20110822:3:324277:326631:1 gene:TCM_011985 transcript:EOY20610 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein isoform 3 MKMQKSRKRKQLGIDGHSKTPKITTEEPYPSHHRPTPDECRSVRDELLALHGFPAEFLKYRHQRLIKTEPTIDAKSEPLNNNYDDGEESVLDGLVKTVLSQNTTELNSQKAFASLKSAFPTWEDVLAAESKNLENAIRCGGLAPRKASCIKNVLRCLHERKGKLCFEYLRDLSIDEIKAELSNFKGVGPKTVACVLMFNLQQDDFPVDTHVFEIARAIGWVPATADRKKTYLHLNRRIPNKLKFDLNCLLYTHGKLCRKCTMKGSSQQKSARNDDSCPLCTYCKNSSVNKIQ >EOY20611 pep chromosome:Theobroma_cacao_20110822:3:323721:327915:1 gene:TCM_011985 transcript:EOY20611 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein isoform 3 MKMQKSRKRKQLGIDGHSKTPKITTEEPYPSHHRPTPDECRSVRDELLALHGFPAEFLKYRHQRLIKTEPTIDAKSEPLNNNYDDGEESVLDGLVKTVLSQNTTELNSQKAFASLKSAFPTWEDVLAAESKNLENAIRCGGLAPRKASCIKNVLRCLHERKGKLCFEYLRDLSIDEIKAELSNFKGVGPKTVACVLMFNLQQDDFPVDTHVFEIARAIGWVPATADRKKTYLHLNRRIPNKLKFDLNCLLYTHDGQGTVEVIWV >EOY20609 pep chromosome:Theobroma_cacao_20110822:3:323788:328782:1 gene:TCM_011985 transcript:EOY20609 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein isoform 3 MKMQKSRKRKQLGIDGHSKTPKITTEEPYPSHHRPTPDECRSVRDELLALHGFPAEFLKYRHQRLIKTEPTIDAKSEPLNNNYDDGEESVLDGLVKTVLSQNTTELNSQKAFASLKSAFPTWEDVLAAESKNLENAIRCGGLAPRKASCIKNVLRCLHERKGKLCFEYLRDLSIDEIKAELSNFKGVGPKTVACVLMFNLQQDDFPVDTHVFEIARAIGWVPATADRKKTYLHLNRRIPNKLKFDLNCLLYTHDGQGTVEAGKTVKEKSVTRKLEKRKYECQFCLKKFTNSQALGGHQNAHKSERLKKRRMQLQPKSTNLSFVDEPPHDYSSVTQHCSLPSSNSRPPCVPEYTLFKEFLINFKTTLDQNQNLYCSLADFCHSIPLPSHHDHFEEGTCGRHIVIKPSPSYISKDCESLYTRLGLAPPAIHSSSRNGNMVELGKCKEG >EOY21940 pep chromosome:Theobroma_cacao_20110822:3:19954313:19955909:1 gene:TCM_014112 transcript:EOY21940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFSLSAMEDSPRIQMEKASKIIRRSIYTFLQNYHYLTATAALLAFPYSASILLSQLFVPSSPLLPTIHNRLRILFQAAGFPPSSEFFTVLSFKISQTISSSIFALPFTLSFLLIAKASIIQLLNHHKPNLPPSFSSVISLYKPLLATYICNFLLLLSANATAFSLLFFAFNFLEGFGFTSPSWLLFLSAAGAVLYSIILANALIICNLALVSSGMERSGGYMAILKACVLIRGRTSTALTLAVPVNLVLAAIEALFHYRVVRAFHTGDVTSFPMASEGILIAFLYSTFVVLDTVVSCMFFKSCKTGSLVEQEGRHSYRIEIAEEDGNAYVKLKNIEQLP >EOY20916 pep chromosome:Theobroma_cacao_20110822:3:1734913:1736898:1 gene:TCM_012242 transcript:EOY20916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein, putative isoform 1 MDVRKIVVVVEDEDVARTALQWALHNLLRYGDLLTLLHVFSPTTSRSKKKARRLRLQGYQLALSFKETCNSNFFNTNIEIIVTEGDQEGRKILAIVREIGASVLVVGLHDQSFLYKLAMAHDSIASSFNCRVLAIKQPELVPPRNKTRAMLSGLDSSTNMDFSQIEISGLQVPDIPTPKIPYRICPSPSAIIWRSSRKSRKKRSYTNGA >EOY20918 pep chromosome:Theobroma_cacao_20110822:3:1734613:1736901:1 gene:TCM_012242 transcript:EOY20918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein, putative isoform 1 MDVRKIVVVVEDEDVARTALQWALHNLLRYGDLLTLLHVFSPTTSRSKKKARRLRLQGYQLALSFKETCNSNFFNRIVLQTNIEIIVTEGDQEGRKILAIVREIGASVLVVGLHDQSFLYKLAMAHDSIASSFNCRVLAIKQPELVPPRNKTRAMLSGLDSSTNMDFSQIEISGLQVPDIPTPKIPYRICPSPSAIIWRSSRKSRKKRSYTNGA >EOY20917 pep chromosome:Theobroma_cacao_20110822:3:1734911:1736976:1 gene:TCM_012242 transcript:EOY20917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein, putative isoform 1 MDVRKIVVVVEDEDVARTALQWALHNLLRYGDLLTLLHVFSPTTSRSKKKARRLRLQGYQLALSFKETCNSNFFNRIVLQTNIEIIVTEGDQEGRKILAIVREIGASVLVVGLHDQSFLYKLAMAHDSIASSFNCRVLAIKQPELVPPRNKTRAMLSGLDSSTNMDFSQIEISGLQVPDIPTPKIPYRICPSPSAIIWRSSRKSRKKRSYTNGA >EOY24256 pep chromosome:Theobroma_cacao_20110822:3:30303776:30306599:-1 gene:TCM_015916 transcript:EOY24256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine phosphatase mitochondrial 1-like protein isoform 4 MMEKAGEVEEANKSCCGNSGVLGIERNVVEWDAKRVLIGAGARALFYPTLLYNVVRNKIQAEFRWWDRVDEFILLGAVPFPTDVPRLKEIGVRGVITLNEPYETLVPTSLYRNHGIDHLVIPTRDYCFAPSLTSICQAIDFIHRNASCGQTTYVHCKAGRGRSTTIVLCYLMTPVAAYDYVRSIRPRVLLASSQWQAVQEFYYLQVKKVGLHSHVADLILRTSDTAPSQDLVAFDDGSVVVVTKADLDGYEQSLESGAVWADLSVVYRVRVAGQAALARISCLWLRCQAHQKISAQELGRESSCLRGISVDIHVY >EOY24257 pep chromosome:Theobroma_cacao_20110822:3:30303878:30306738:-1 gene:TCM_015916 transcript:EOY24257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine phosphatase mitochondrial 1-like protein isoform 4 MHIEELKGGEMMEKAGEVEEANKSCCGNSGVLGIERNVVEWDAKRVLIGAGARALFYPTLLYNVVRNKIQAEFRWWDRVDEFILLGAVPFPTDVPRLKEIGVRGVITLNEPYETLVPTSLYRNHGIDHLVIPTRDYCFAPSLTSICQAIDFIHRNASCGQTTYVHCKAGRGRSTTIVLCYLVHYKQMTPVAAYDYVRSIRPRVLLASSQWQAVQEFYYLQVKKVGLHSHVADLILRTSDTAPSQDLVAFDDGSVVVVTKADLDGYEQSLESGAVWADLSVVYRVRVAGQAALARISCLWLRCQAHQKISAQELGRESSCLRGISVDIHVY >EOY24255 pep chromosome:Theobroma_cacao_20110822:3:30304110:30306387:-1 gene:TCM_015916 transcript:EOY24255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine phosphatase mitochondrial 1-like protein isoform 4 MMEKAGEVEEANKSCCGNSGVLGIERNVVEWDAKRVLIGAGARALFYPTLLYNVVRNKIQAEFRWWDRVDEFILLGAVPFPTDVPRLKEIGVRGVITLNEPYETLVPTSLYRNHGIDHLVIPTRDYCFAPSLTSICQAIDFIHRNASCGQTTYVHCKAGRGRSTTIVLCYLVHYKQMTPVAAYDYVRSIRPRVLLASSQWQAVQEFYYLQVKKVGLHSHVADLILRTSDTAPSQDLVAFDDGSVVVVTKADLDGYEQSLESGAVWADLSVVYRVRVAGQAALARISCLWLRCQAHQKISAQELGRESSCLRGISVDIHVY >EOY24254 pep chromosome:Theobroma_cacao_20110822:3:30303838:30306682:-1 gene:TCM_015916 transcript:EOY24254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine phosphatase mitochondrial 1-like protein isoform 4 MMEKAGEVEEANKSCCGNSGVLGIERNVVEWDAKRVLIGAGARALFYPTLLYNVVRNKIQAEFRWWDRVDEFILLGAVPFPTDVPRLKEIGVRGVITLNEPYETLVPTSLYRNHGIDHLVIPTRDYCFAPSLTSICQAIDFIHRNASCGQTTYVHCKAGRGRSTTIVLCYLVHYKQMTPVAAYDYVRSIRPRVLLASSQWQAVQEFYYLQVKKVGLHSHVADLILRTSDTAPSQDLVAFDDGSVVVVTKADLDGYEQSLESGAVWADLSVVYRVRVAGQAALARISCLWLRCQAHQKISAQELGRESSCLRGISVDIHVY >EOY22089 pep chromosome:Theobroma_cacao_20110822:3:21306820:21310506:1 gene:TCM_014282 transcript:EOY22089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGYRAKKNLEREDRIQAPPDKTRVGCKAIIYIEDEKTSRTNVLTNKNGHEDVASKDHESHFLSQNITLLNPSHVTTKGCPQSLRMKGALK >EOY25104 pep chromosome:Theobroma_cacao_20110822:3:33255319:33257281:-1 gene:TCM_016519 transcript:EOY25104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fiber protein Fb17 MVQSRALSPAQRSAKNERDRQRRRGLKLEFERLQNVAAKYQEMAPLLDELRVEVTTVRNVISQLAEESRRLNDVEPHLTRKIHQLDNIVRQNQTEQIERRQLLSQWQFKTQAMVLPTIGKEASNEPIDLGVESSRSDIWYTDEFLQDFIEKLDDKEKSRVDFLDFKGLPEELEKCGRFSLPPSLVPIHEILDKAYGDITAESNQSNPVIRDSYILFCSVIKEMNEVQLEQVDLDKMILWRDAINSGLSIGFRGNFAIEHLKKIARAYFGSKAWNDQELKSLEERMSELKAELYGLEQQHYSIIERQSSEMCRECLQDQQYFQGKPLSTGLFLS >EOY24228 pep chromosome:Theobroma_cacao_20110822:3:30169308:30176118:1 gene:TCM_015894 transcript:EOY24228 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic protease/crotonase family protein MKEKKPLLIRLCFFSRKGEGKRVLMEDFKSEISGSSCSIPSEPPDIRNWYSSYKYESFVLDTCENFGGTFSEERESDKDELVIGEINREKEENFDGSGEIRKADEHGSLNSNEVQDTLHSPSILSEPPDIRNWYSSYVYESPLLDTSDGFRSYVSRESECEKDELAIGESIKDEAANLGQETKSSCKPDASEKICSTKLVKCSSSLVDRKNESHSLFSGPPDLGFWFADYVYESPVLDTSDEFRDTLSEEREPNEDEFAVEERKREKQEKVNTTTKTRHRNEVGVVKKMCANEFRKCNSSLRNDEQENMSISKDLHCAGGKENLTWKGDLCFEKILDPILEVKQVRGSTINSNKGVENSGFNGGDFLSKLEKADSQSTDISRSAGKTDRKSSKKLINTRDSIERSPETKVDLASHDQSQDFDQVSGGYWRKPTHGSNDKENEGKDIAKNGFVTTSKNKFTRRNGENSLGGRREVVLQCSRNKSSNITGGQRGAVVKRKVLAETTNVQRCEAMEITGKWRCPQKSKPHRGPPLKQLRLEQWIRRPELPYVQKLQITLYGHIPNQTKGIPISNYKLQFQTLRTLILEPSLSESVKLRRLPGSDSGIVEVNLERPGARNAIGNDMLRGLRHAFEAIDGDSSAHVVLISSSVPNVFCAGADLKERKKMTASEVHSYVNYLRSTFSLIEELQIPTIAVIEGAALGGGLEMALSCDLRICGENAMLGLPETGLAIIPGAGGTQRLPRLVGQSIAKELIFTARRIGGRDAMSMGLVNYCVPAGEAHPKGLEIAREINQKGPIAIRMAKRAINEGLERDMTSALDLEEECYEQLLNTKDRLEGLAAFAEKRKPRYNGE >EOY25365 pep chromosome:Theobroma_cacao_20110822:3:34037561:34041327:-1 gene:TCM_016699 transcript:EOY25365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MGSCWAVRSFRHTSKAIFPRDFIGIRRSFFCSALLPQHQNFSIRIVVSCKLKNPILFIESYRLFPNAHPLFTVNSLHFSTVLQPSAKMPQREPHQQYTQFYNVLDLLKYSVGRPNLVTATTAHCLAIKIGALAHLPACTSLLTAYSRAKDFNSSLALFDEFDDKDIIFRNAIISAAVENKSYGVAMQFFIEMVEVGCGFDSITLLLAVSALSHMKYSIHGKSLHCLSIKVGMLCDCSLCNALLDMYAKCGDLTSSESMFARMESRDAVSWNSMINGFLYNGHPAKALWCFKEMIYLGVRVDTVSLSSAISASAALEELTSGQVIHGWGIKLGYKSDISCSNSLISLYSKYGDTEASESVFNEMVYKDVISWNAMIGGFASNGKTLETFDLLYKMQLTGYAQPDMVTLVTLMSFCAERMLLREGRSIHGYAIRKQMILDASVINSLLDMYSKCNSVVKAEFLFDMIPKRDLVSWNTMISCYSQNRQSKEAQSLFKKLIHQYSQWSLSTLLAVLPSCISPNSLQFGKSIHCWQLKAGFSSNILAVNSLMHMYINCGDLTAAFMLLEKISAKEDIACWNTIIVGCTQNGHFREALATFNMMRQETNVRHDAITLVNVISSCGNLALIYEGKSLHGLAIKTFSGSETRVQNALTTMYGRCGHTKSARSVFGFCSSRNLCSWNCMISAFSQNKDGRRALELFHFLEFEPNEITIVALLSACNQLGFLRQGKQIHGHVFRFGLSGNCYVSAALLDMYSNCGRLDVACQIFTRSKEKSVADWNSMISAYGYHSNGKKAVQLFHEMCESGLPSKSTFINLLSACSHSGLVNEGLWYYSLMLSEYGVEPVTEHQVCIVDMLGRAGKLQEAYEFIKQIAREPEPGVWGAMLSACNYHGNIEIGRKVAEHLFGLEPENVGYYISLANMYVSAGGWKDAMELRQTIQERKLKKLPAYSLIDVGSM >EOY24535 pep chromosome:Theobroma_cacao_20110822:3:31359439:31360470:-1 gene:TCM_016112 transcript:EOY24535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMETSENKYGEGAFAGKENSWTVGIFSCLHVPPLKASATLLRSCHVSPWASAKSLLFSLIFNSLTLMGFYSLIN >EOY23770 pep chromosome:Theobroma_cacao_20110822:3:28532843:28537544:1 gene:TCM_015563 transcript:EOY23770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein, putative isoform 1 MQQGGGEGGGGHQSQYGEMGAPTTAVATTTGAVSSSHMVSEQSEQLVEAASPISSRPPATGNLDEFMRLASGGGDDGGDEGDRAGGGGGGAGVASGNRWPRQETLALLKIRSDMDAAFRDATVKGPLWEDVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYKFFSQLEALHTTSSATAAANLSTPVTPVTAASTASLDVAPVSVGVHMPISSVRIPPATIPMSSSMLAMPGSAPASVPVPPVGAAPASGHPITTTAAPFGISFSSNSSSSSQGFEDDDDDDDEEDEPGGEPSNMAGTSRKRKRQSSRGGGSTTRRMMEFFEGLMKQVMQKQESMQQRFLEAIEKREQDRMIREEAWKRQEMARLTRDHELMAHERAIAASRDAAIISFLQKITGQTVQLPTTVTVSAAPPPPTQPAVPVVPPPASIPTAASPLHHPPSLPQQQRSSQQQQQSVQPHHQHQQQPQAVHQQQHQAQPQNTEVVRHHQQPISSDVVMAIPEQQVPPQEIGRSGNLEPASSRWPKAEVLALINLRSGLESRYQEAGPKGPLWEEISAGMSRMGYKRSAKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALHRKKILGGGTSGGFSSFSDQNRPQEETSQQHLDTSEAPPITAAPQSTQPTDQSENKTGATADVLTRKEGLPGSFCGEGNGEAAKKKTL >EOY23769 pep chromosome:Theobroma_cacao_20110822:3:28532879:28537473:1 gene:TCM_015563 transcript:EOY23769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein, putative isoform 1 MQQGGGEGGGGHQSQYGEMGAPTTAVATTTGAVSSSHMVSEQSEQLVEAASPISSRPPATGNLDEFMRLASGGGDDGGDEGDRAGGGGGGAGVASGNRWPRQETLALLKIRSDMDAAFRDATVKGPLWEDVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYKFFSQLEALHTTSSATAAANLSTPVTPVTAASTASLDVAPVSVGVHMPISSVRIPPATIPMSSSMLAMPGSAPASVPVPPVGAAPASGHPITTTAAPFGISFSSNSSSSSQGFEDDDDDDDEEDEPGGEPSNMAGTSRKRKRQSSRGGGSTTRRMMEFFEGLMKQVMQKQESMQQRFLEAIEKREQDRMIREEAWKRQEMARLTRDHELMAHERAIAASRDAAIISFLQKITGQTVQLPTTVTVSAAPPPPTQPAVPVVPPPASIPTAASPLHHPPSLPQQQRSSQQQQQSVQPHHQHQQQPQAVHQQQHQAQPQNTEVVRHHQQPISSDVVMAIPEQQVPPQEIGRSGNLEPASSRWPKAEVLALINLRSGLESRYQEAGPKGPLWEEISAGMSRMGYKRSAKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALHRKKILGGGTSGGFSSFSDQNRPQEETSQQHLDTSEAPPITAAPQSTQPTDQSENKTGATADVLTRKEGLPGSFCGEGNGEAAKKPEDIVMELMEDQGMHHQGQSLLVDGYGKIDEPDSDNMVHEEDEDEDEDDEDDDELEEERKMAYKIEYQRQNASTPNGGGNGAPSFLAMVQ >EOY23955 pep chromosome:Theobroma_cacao_20110822:3:29115317:29119153:-1 gene:TCM_015686 transcript:EOY23955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferases,copper ion binding isoform 2 MASLISETTQKIFTPESLRAAAKQSERCLDVPVRLRRAIKKYLREQEDPYMRRKVLRLSESFSEIKDVNLHLTAETSKELVEDPLKSVEQSKRWKIKSAYGDIGLTYRDDETVAYVASRMPAVYSACYRVLGEVRRRLPGFSPTKVLDFGAGTGSAFWAIREVWPKTVEKINIVEPSQSMQRAGRSLIQGLKNLPLIHSYASLQTLTKDISKSEREHDLVIASYVLGEVPSLKDRITIVRQLWNLTRDVLVLVEPGTPHGSNIISQMRSHILWMEKKCRKAKANKEGSKDLIDLRSGAFIIAPCPHDGRESWPLDGIKFETLKEQRAKRNPEDLEIDYEDLVNIKETADVIPYEEVHSSAYDSDVMETDLVSDNDEDHQVEEQDNDEEDQEEETALADLGGGWGRIIFPPVRRGRQVHMNICRPTNGDASEGSFDHEVITQSKNPTLHHQARRSFWGDLWPLGRNVTGSVS >EOY23954 pep chromosome:Theobroma_cacao_20110822:3:29115516:29174297:-1 gene:TCM_015686 transcript:EOY23954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferases,copper ion binding isoform 2 MQIVIFSTAINEVRLRRAIKKYLREQEDPYMRRKVLRLSESFSEIKDVNLHLTAETSKELVEDPLKSVEQSKRWKIKSAYGDIGLTYRDDETVAYVASRMPAVYSACYRVLGEVRRRLPGFSPTKVLDFGAGTGSAFWAIREVWPKTVEKINIVEPSQSMQRAGRSLIQGLKNLPLIHSYASLQTLTKDISKSEREHDLVIASYVLGEVPSLKDRITIVRQLWNLTRDVLVLVEPGTPHGSNIISQMRSHILWMEKRKCRKAKANKEGSKDLIDLRSGAFIIAPCPHDGCCPLEKSGKYCHFVQRLQRTSSQRAYKRSKGDPLRGFEDEKFCFVAFRRGQRPRRESWPLDGIKFETLKEQRAKRNPEDLEIDYEDLVNIKETADVIPYEEVHSSAYDSDVMETDLVSDNDEDHQVEEQDNDEEDQEEETALADLGGGWGRIIFPPVRRGRQ >EOY23953 pep chromosome:Theobroma_cacao_20110822:3:29114978:29120603:-1 gene:TCM_015686 transcript:EOY23953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferases,copper ion binding isoform 2 MASLISETTQKIFTPESLRAAAKQSERCLDVPVRLRRAIKKYLREQEDPYMRRKVLRLSESFSEIKDVNLHLTAETSKELVEDPLKSVEQSKRWKIKSAYGDIGLTYRDDETVAYVASRMPAVYSACYRVLGEVRRRLPGFSPTKVLDFGAGTGSAFWAIREVWPKTVEKINIVEPSQSMQRAGRSLIQGLKNLPLIHSYASLQTLTKDISKSEREHDLVIASYVLGEVPSLKDRITIVRQLWNLTRDVLVLVEPGTPHGSNIISQMRSHILWMEKRKCRKAKANKEGSKDLIDLRSGAFIIAPCPHDGCCPLEKSGKYCHFVQRLQRTSSQRAYKRSKGDPLRGFEDEKFCFVAFRRGQRPRRESWPLDGIKFETLKEQRAKRNPEDLEIDYEDLVNIKETADVIPYEEVHSSAYDSDVMETDLVSDNDEDHQVEEQDNDEEDQEEETALADLGGGWGRIIFPPVRRGRQVHMNICRPTNGDASEGSFDHEVITQSKNPTLHHQARRSFWGDLWPLGRNVTGSVS >EOY21814 pep chromosome:Theobroma_cacao_20110822:3:18734137:18736721:1 gene:TCM_013945 transcript:EOY21814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRKLEIEDRAQVYDNLKLWVMWWANVKRPRLNISSMDLERMLNIGVVPIKIKASKTIEAWQPFPRRFVKFNVDEASKDKSGEVGIFEES >EOY23470 pep chromosome:Theobroma_cacao_20110822:3:27528613:27537010:1 gene:TCM_015358 transcript:EOY23470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protease ftsH isoform 3 MTVSLQASLLCNPSPSPFLPKRRFHRCYFLSFNPSSLLKLSRPSGTFLNSRFYSRPFLTPCALHPENVNSESKLDTHVEDSKALVSDFERPTIDGLENESEGNEVNNNGGETENVAESEGQNDKLVENEGAKSKIPAMVFLMGVWAMMRNGLERLAALDWFSWWPFWRQEKRLDRLIAEADANPKDAAKESALLAELNKHSPESVIKRFEQRDHAVDSKGVAEYLRALVVTNAIAEYLPDEQTGKPSSLPTLLQELKQRASGNMDEPFLSPGISEKQPLHVVMVDPKVSNKSRFAQELISTILFTVAVGLVWLMGAAALQKYIGSLGGIGTSGVGSSSSYAPKELNKEVMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPMSDDVDVKAIARGTPGFNGAVEGADKLTAAQLEYAKDRILMGTERKTMFLSEESKKVLKFFIKHFRVESKLWFAWNVLNH >EOY23469 pep chromosome:Theobroma_cacao_20110822:3:27528590:27540147:1 gene:TCM_015358 transcript:EOY23469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protease ftsH isoform 3 MTVSLQASLLCNPSPSPFLPKRRFHRCYFLSFNPSSLLKLSRPSGTFLNSRFYSRPFLTPCALHPENVNSESKLDTHVEDSKALVSDFERPTIDGLENESEGNEVNNNGGETENVAESEGQNDKLVENEGAKSKIPAMVFLMGVWAMMRNGLERLAALDWFSWWPFWRQEKRLDRLIAEADANPKDAAKESALLAELNKHSPESVIKRFEQRDHAVDSKGVAEYLRALVVTNAIAEYLPDEQTGKPSSLPTLLQELKQRASGNMDEPFLSPGISEKQPLHVVMVDPKVSNKSRFAQELISTILFTVAVGLVWLMGAAALQKYIGSLGGIGTSGVGSSSSYAPKELNKEVMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPMSDDVDVKAIARGTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEYAKDRILMGTERKTMFLSEESKKLTAYHESGHAIVAFNTEGADPIHKATIMPRGSALGMVTQLPSSDETSISKKQLLARLDVCMGGRVAEELIFGRDHITTGASSDLNTATELAQYMVSSCGMSDAIGPVHIKERPSSEMQSRIDAEVVKLLREAYDRVKALLKKQENALHALANVLLEYETLSAEEIKRILLPHREGGLPEQQEQQEEGELVLA >EOY23468 pep chromosome:Theobroma_cacao_20110822:3:27524516:27538550:1 gene:TCM_015358 transcript:EOY23468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protease ftsH isoform 3 MTVSLQASLLCNPSPSPFLPKRRFHRCYFLSFNPSSLLKLSRPSGTFLNSRFYSRPFLTPCALHPENVNSESKLDTHVEDSKALVSDFERPTIDGLENESEGNEVNNNGGETENVAESEGQNDKLVENEGAKSKIPAMVFLMGVWAMMRNGLERLAALDWFSWWPFWRQEKRLDRLIAEADANPKDAAKESALLAELNKHSPESVIKRFEQRDHAVDSKGVAEYLRALVVTNAIAEYLPDEQTGKPSSLPTLLQELKQRASGNMDEPFLSPGISEKQPLHVVMVDPKVSNKSRFAQELISTILFTVAVGLVWLMGAAALQKYIGSLGGIGTSGVGSSSSYAPKELNKEVMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPMSDDVDVKAIARGTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEYAKDRILMGTERKTMFLSEESKKLTAYHESGHAIVAFNTEGADPIHKATIMPRGSALGMVTQLPSSDETSISKKQLLARLDVCMGGRVAEELIFGRDHITTGASSDLNTATELAQYMVSSCGMSDAIGPVHIKERPSSEMQSRIDAEVVKLLREAYDRVKALLKKQENALHALANVLLEYETLSAEEIKRILLPHREGGLPEQQEQQEEGELVLA >EOY20882 pep chromosome:Theobroma_cacao_20110822:3:1639511:1647672:1 gene:TCM_012222 transcript:EOY20882 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding and GRAM domain containing protein, putative MRLYVYVLQAKDLGVEDIYVKLQVGKFKSKTRTLRNTLNPIWNEEFVFRVHDVGEQVVVSVFHHDDDSGFFNASKDLVGRVRIPVWLIADEDNQTLPPTWLSLEKPKTGRFINKDCGKILLTISLHGKGHDNSASHLLYAQSDIHHEDYKELESTCKLSHDIFSFKAPCLKITEGKHLVKAIAGRLEKLFNKNEETSRTDDSSSKLSTTLSDHEDSLEGLPSSCSLEEAIKLMQSKHNQHEMPENLEGGILLDRMYALSSYDLNKFFFAPDSQFRKDLAELQGTTEVQEGSWTWKSGYDFCLTRVVTYTKAATKLVKAVKATEEQIYIKANGQEFAILISASTPESTMMGSMIEGGVKQGLKESFDQFASLLAQKLKILDSMELSDRDHMLSTLQTEQQSDWELATEYFWNFTVVFTIFMFSFFIVHLILCDSSKVQGLEIDGLDLPDSFGELITGGILIILLQRAYNMVSRFMQARLQRGSDHGVKAQGDGWVLTVTLIEGVNLASLDSTGFSDPYVVFTCNGKTRTSSVKLQTRDPQWNEILEFDAMEEPPSVLDIEVFDFDGPFDQATSLGHAEINFLKHKSTELADLWVSLEGKLAQSSQSKLHLRIFLDNNKGVEAIKEYLTKMEKEVGKQLNLRSPHKNSTFQKLFGLPPEEFLISDFTCYLKRKLPVQGRLFLSARIVGFYANLFGHKTKFFFLWEDIEYIQVLPPSLASLGSPSLVIILRKDRGLDARHGAKSQDEEGRLRFYFQSFVSFNVASRTIMALWRARTLTLDQKAQIAEEQQDQEERRITLEEVGSIRDVEITKMSKIYSAELPISVTSLMEIFDGGNLERKIMEKSACINYVMTIWEPVKPGVSERRISYKFNRHVSIFGGEVTCTQQKSPIANDEGWILNEVMVLHDVPFGDHFHVHFMYQIEKSGLAHNACKCDAYIGITWLKSTKFQLRITRNITEKFIHRLKEIFELVEREILFATQQET >EOY22178 pep chromosome:Theobroma_cacao_20110822:3:22144458:22148537:-1 gene:TCM_014395 transcript:EOY22178 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box protein, putative isoform 2 MAGDSTSDSPSPSPNKRLKITTPNSKSIIQDESSGHHLQQSRDDDDSDSDKCGICLSDGGRRAIRGKIDSCDHFFCFVCIMEWAKVESRCPMCKRRFTAIRRPPKEAVFTSERVVNIPQRDQVYHLCGNATSGPFDPYAEVKCSVCHGIADESLLLLCDLCDSAAHTYCVGLGATVPDGDWFCHDCALSRSEHEKTEVDTDTDNKMISGNSHVKLLAEANVSIFKIVRGSNIPVFSGHNASVSSLPTYLPPPLVPKRERNAVDKVSGPIERSGNTMGNSTEPGARTLGLCRNVHSRIQALRENWHSLQSRSLSFSSSMVESGGGSRRKGTLALTSVSNYSSSEPQSSSSTSQQSTSQNGFVEPKVDLYDTDKAWKMMNIAKSMQKNCKRNSSLNQTSTKPPCLGSALKEAISSSGLHISQIQQIETRNEERTGKQMHYRYYYHETEKEKHKSPEMDKQKRMVMSIQSSERVVTSHSPRFSPSSSSTKFQIQNDDCHVNGVRPIVKNAQNKCQESSSNANKDGGYSCSTSSAGSVLRGSTDSLDSNLEFGVSILDILERRTRLEKSCSKSKDRRDDNVKCEIQSLVKLNLKLLNQDKRLGVDAFKEIARLATHTILAACGFQHSTSSIHSFPSSVCSHTDDIKLRHKSTLMSNSCRECFYVFVKNVVCSIMIEKGHSAPL >EOY22179 pep chromosome:Theobroma_cacao_20110822:3:22145024:22147626:-1 gene:TCM_014395 transcript:EOY22179 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box protein, putative isoform 2 MISGNSHVKLLAEANVSIFKIVRGSNIPVFSGHNASVSSLPTYLPPPLVPKRERNAVDKVSGPIERSGNTMGNSTEPGARTLGLCRNVHSRIQALRENWHSLQSRSLSFSSSMVESGGGSRRKGTLALTSVSNYSSSEPQSSSSTSQQSTSQNGFVEPKVDLYDTDKAWKMMNIAKSMQKNCKRNSSLNQTSTKPPCLGSALKEAISSSGLHISQIQQIETRNEERTGKQMHYRYYYHETEKEKHKSPEMDKQKRMVMSIQSSERVVTSHSPRFSPSSSSTKFQIQNDDCHVNGVRPIVKNAQNKCQESSSNANKDGGYSCSTSSAGSVLRGSTDSLDSNLEFGVSILDILERRTRLEKSCSKSKDRRDDNVKWVDAFKEIARLATHTILAACGFQHSTSSIHSFPSSVCSHTDDIKLRHKSTLMSNSCRECFYVFVKNVVCSIMIEKGHSAPL >EOY23906 pep chromosome:Theobroma_cacao_20110822:3:28970052:28974279:-1 gene:TCM_015656 transcript:EOY23906 gene_biotype:protein_coding transcript_biotype:protein_coding description:YELLOW STRIPE like 1 isoform 3 MSMEEVKEKKENQRDNLEERQQETEGSRIIQPWTKQITVRGFIVSILIGTIYSVIAMKLNLTTGMVPNLNVSAALLAFVFIRTWTKVVQKAGFMSKPFTRQENTMIQTCAVACYSIAVGGGFASYLLGLNRKTYEMSGVDTVGNSANAVKEPGFGWMTGFLFVVCFVGLFVLIPLRKVMIVDLKLTYPSGLATAVLINGFHSQGDKAAKKQVHGFLKYFSASFLWGFFQWFFSGKEGCGFKQFPTFGLQAWKQTFFFDFSLTYVLRETIPMWIGIVGYVLLSIMSIIVIPIMFPQLKWYYVLVAYMLAPSLAFCNAYGAGLTDINMAYNYGKVALFILAALTGKENGVVAGLAGCGLIKSVVSVACILMQDFKTAHYTLTSPRAMFLSQAIGTAIGCITAPLSFFVFYKAFDVGNPYGEFKAPYALIYRNMAILGVQGFSALPHHCLQLCYGFFALAVAVNLVRDFSPHKIGKWMPLPMVMAVPFLVGAYFAVDMCLGTLIVFVWQKLKAKEAELMVPAVASGLICGEGLWILPASILALAKINPPICMKFLPS >EOY23905 pep chromosome:Theobroma_cacao_20110822:3:28970417:28973334:-1 gene:TCM_015656 transcript:EOY23905 gene_biotype:protein_coding transcript_biotype:protein_coding description:YELLOW STRIPE like 1 isoform 3 MSMEEVKEKKENQRDNLEERQQETEGSRIIQPWTKQITVRGFIVSILIGTIYSVIAMKLNLTTGMVPNLNVSAALLAFVFIRTWTKVVQKAGFMSKPFTRQENTMIQTCAVACYSIAVGGGFASYLLGLNRKTYEMSGVDTVGNSANAVKEPGFGWMTGFLFVVCFVGLFVLIPLRKVMIVDLKLTYPSGLATAVLINGFHSQGDKAAKKQVHGFLKYFSASFLWGFFQWFFSGKEGCGFKQFPTFGLQAWKQTFFFDFSLTYVGAGMICSHLVNLSLLFGAVLSYGLMWPLINRLKGDWFPEDLQESSMKSLYGYKVFLSVALILGDGLYNFLKILCFTFINIHGRLKNKNQNTADEDDQKETVEGLKQNEVFLRETIPMWIGIVGYVLLSIMSIIVIPIMFPQLKWYYVLVAYMLAPSLAFCNAYGAGLTDINMAYNYGKVALFILAALTGKENGVVAGLAGCGLIKSVVSVACILMQDFKTAHYTLTSPRAMFLSQAIGTAIGCITAPLSFFVFYKAFDVGNPYGEFKAPYALIYRNMAILGVQGFSALPHHCLQLCYGFFALAVAVNLVRDFSPHKIGKWMPLPMVMAVPFLVGAYFAVDMCLGTLIVFVWQKLKAKEAELMVPAVASGLICGEGLWILPASILALAKINPPICMKFLPS >EOY23904 pep chromosome:Theobroma_cacao_20110822:3:28970072:28974279:-1 gene:TCM_015656 transcript:EOY23904 gene_biotype:protein_coding transcript_biotype:protein_coding description:YELLOW STRIPE like 1 isoform 3 MSMEEVKEKKENQRDNLEERQQETEGSRIIQPWTKQITVRGFIVSILIGTIYSVIAMKLNLTTGMVPNLNVSAALLAFVFIRTWTKVVQKAGFMSKPFTRQENTMIQTCAVACYSIAVGGGFASYLLGLNRKTYEMSGVDTVGNSANAVKEPGFGWMTGFLFVVCFVGLFVLIPLRKVMIVDLKLTYPSGLATAVLINGFHSQGDKAAKKQVHGFLKYFSASFLWGFFQWFFSGKEGCGFKQFPTFGLQAWKQTFFFDFSLTYVGAGMICSHLVNLSLLFGAVLSYGLMWPLINRLKGDWFPEDLQESSMKSLYGYKVFLSVALILGDGLYNFLKILCFTFINIHGRLKNKNQNTADEDDQKETVEGLKQNEVFLRETIPMWIGIVGYVLLSIMSIIVIPIMFPQLKWYYVLVAYMLAPSLAFCNAYGAGLTDINMAYNYGKVALFILAALTGKENGVVAGLAGCGLIKSVVSVACILMQDFKTAHYTLTSPRAMFLSQAIGTAIGCITAPLSFFVFYKAFDVGNPYGEFKAPYALIYRNMAILGVQGFSALPHHCLQLCYGFFALAVAVNLVRDFSPHKIGKWMPLPMVMAVPFLVGAYFAVDMCLGTLIVFVWQKLKAKEAELMVPAVASGLICGEGLWILPASILALAKINPPICMKFLPS >EOY23907 pep chromosome:Theobroma_cacao_20110822:3:28970417:28973334:-1 gene:TCM_015656 transcript:EOY23907 gene_biotype:protein_coding transcript_biotype:protein_coding description:YELLOW STRIPE like 1 isoform 3 MSMEEVKEKKENQRDNLEERQQETEGSRIIQPWTKQITVRGFIVSILIGTIYSVIAMKLNLTTGMVPNLNVSAALLAFVFIRTWTKVVQKAGFMSKPFTRQENTMIQTCAVACYSIAVGGGFASYLLGLNRKTYEMSGVDTVGNSANAVKEPGFGWMTGFLFVVCFVGLFVLIPLRKVMIVDLKLTYPSGLATAVLINGFHSQGDKAAKKQVHGFLKYFSASFLWGFFQWFFSGKEGCGFKQFPTFGLQAWKQTFFFDFSLTYVLRETIPMWIGIVGYVLLSIMSIIVIPIMFPQLKWYYVLVAYMLAPSLAFCNAYGAGLTDINMAYNYGKVALFILAALTGKENGVVAGLAGCGLIKSVVSVACILMQDFKTAHYTLTSPRAMFLSQAIGTAIGCITAPLSFFVFYKAFDVGNPYGEFKAPYALIYRNMAILGVQGFSALPHHCLQLCYGFFALAVAVNLVRDFSPHKIGKWMPLPMVMAVPFLVGAYFAVDMCLGTLIVFVWQKLKAKEAELMVPAVASGLICGEGLWILPASILALAKINPPICMKFLPS >EOY25069 pep chromosome:Theobroma_cacao_20110822:3:33116311:33118325:-1 gene:TCM_016494 transcript:EOY25069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein, putative MADRVGVQKRNLMMLSGSFYIAKDRDSRPQGDDSHFICEEKQTIGLADGVGGWSSHGVDAGLYARELMNNSLLAILTQPDRQVDPMEVLNEAFRKTKAEGSSTVCIITLQEDNILHAVNMGDSGFMLIRQGAAVYKSPIQQHSFNYPYQLGNSANSDKPWQAQVIKLAVEPGDVIIAGTDGLFDNLSESLILEAAAAGIELGLDPEEVAWPVAQQAYNISLDREAVTPYVQASMMAGQRHTGGKKDDITVIVSRILDT >EOY21645 pep chromosome:Theobroma_cacao_20110822:3:15204515:15208499:-1 gene:TCM_013635 transcript:EOY21645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein isoform 1 MLNEGKLFQSSYPKSFRDLVGKNGVITVQGEQQRNLHAIASNMMRLDKLKSHFLEDIQKVVLQTLSHIQDNRTILLQDVCRKLAINLMVNQLLGVSSEAQINEMAQLFSDFVDGCLSVPINWPGFAYHTAMKAREKIISEIFITIEKRKQEGASEVGNGVLGRLLIEENLADDAVADFIINLLFAGNETTAKTMLFAVYFLTQCPRALTQLRDEQNSVRPNSGEEMLTWQDYKAMSFTQCVIDETLRLGGIAIWLMREAKQDITYQDFVIPKGCFVVPFLSAVHLDENLYKGALSFDPWRWMDPKNEEKRNWRSSPFYSPFGGGARFCPGAELARLQIALFLHHFVNSYRWIQLKQDRMSFFPSARLVNGFQIRPIKRHKSKQKEKG >EOY21644 pep chromosome:Theobroma_cacao_20110822:3:15204532:15216910:-1 gene:TCM_013635 transcript:EOY21644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein isoform 1 MREIYSDAWPLFMITTALAVVFLLANLVLTKKARKRICKLPPGRRGWPLLGDSISWYNAVASSHPPLYVEEQVKRFGKIFSCSLFGKWAVVSADPSFNRFVMLNEGKLFQSSYPKSFRDLVGKNGVITVQGEQQRNLHAIASNMMRLDKLKSHFLEDIQKVVLQTLSHIQDNRTILLQDVCRKLAINLMVNQLLGVSSEAQINEMAQLFSDFVDGCLSVPINWPGFAYHTAMKAREKIISEIFITIEKRKQEGASEVGNGVLGRLLIEENLADDAVADFIINLLFAGNETTAKTMLFAVYFLTQCPRALTQLRDEQNSVRPNSGEEMLTWQDYKAMSFTQCVIDETLRLGGIAIWLMREAKQDITYQDFVIPKGCFVVPFLSAVHLDENLYKGALSFDPWRWMDPKNEEKRNWRSSPFYSPFGGGARFCPGAELARLQIALFLHHFVNSYRWIQLKQDRMSFFPSARLVNGFQIRPIKRHKSKQKEKG >EOY24134 pep chromosome:Theobroma_cacao_20110822:3:29788962:29793091:1 gene:TCM_015812 transcript:EOY24134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast beta-amylase isoform 3 MNANHFTSSHILRSLPSSFPKPANRNMTLTLRSSTSFFNLKETKSLTKTPDDFLGTICFAQTKPSCRLRAKNSMSMQDAQLSSHGKVSIGEGRNSENREKLHGLTISHNENDSRVPVFVMLPLDTITLGGNLNKQRAMNASLMALKSAGVEGVMVDAWWGLVEKDGPLKYNWEGYAELVQMVEKHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEISKNPDLVYTERSGRRNPEYISLGCDSIPVLRGRTPIQAYTDYMRSFRERFRDYLGRVIVEIQVGMGPCGELRYPAYPESNGTWKFPGIGEFQCYDKYMRASLEAAAEAIGKKDWGKGGPHDSGHYKQFPEETGFFRRDGTWNTEYGQFFLEWYSGKLLEHGDRILAAAKGIFHGTGAILSGKIAGIHWHYRTRSHAAELTAGYYNTRHRDGYLPIAQMLSKHGVVFNFTCMEMRDGEQPDQANCSPEGLVRQVKMATRTAGGELAGENALERYDAGAYSQVLATSRSDSGNGLSAFTYLRMNKRLFEGGNWRHLVEFVKNMSEGGRKISECDSRGTNLYIGFIREKNVEKMKEAAPV >EOY24130 pep chromosome:Theobroma_cacao_20110822:3:29787664:29792283:1 gene:TCM_015812 transcript:EOY24130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast beta-amylase isoform 3 MNANHFTSSHILRSLPSSFPKPANRNMTLTLRSSTSFFNLKETKSLTKTPDDFLGTICFAQTKPSCRLRAKNSMSMQDAQLSSHGKVSIGEGRNSENREKLHGLTISHNENDSRVPVFVMLPLDTITLGGNLNKQRAMNASLMALKSAGVEGVMVDAWWGLVEKDGPLKYNWEGYAELVQMVEKHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEISKNPDLVYTERSGRRNPEYISLGCDSIPVLRGRTPIQAYTDYMRSFRERFRDYLGRVIVEIQVGMGPCGELRYPAYPESNGTWKFPGIGEFQCYDKYMRASLEAAAEAIGKKDWGKGGPHDSGHYKQFPEETGFFRRDGTWNTEYGQFFLEWYSGKLLEHGDRILAAAKGIFHGTGAILSGKIAGIHWHYRTRSHAAELTAGYYNTRHRDGYLPIAQMLSKHGVVFNFTCMEMRDGEQPDQANCSPEGLVRQVKMATRTAGGELAGENALERYDAGAYSQVLATSRSDSGNGLSAFTYLRMNKRLFEGGNWRHLVEFVKNMSEGGRKISECDSRGTNLYIGFIREKNVEKMKEAAPV >EOY24131 pep chromosome:Theobroma_cacao_20110822:3:29788962:29795160:1 gene:TCM_015812 transcript:EOY24131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast beta-amylase isoform 3 MNANHFTSSHILRSLPSSFPKPANRNMTLTLRSSTSFFNLKETKSLTKTPDDFLGTICFAQTKPSCRLRAKNSMSMQDAQLSSHGKVSIGEGRNSENREKLHGLTISHNENDSRVPVFVMLPLDTITLGGNLNKQRAMNASLMALKSAGVEGVMVDAWWGLVEKDGPLKYNWEGYAELVQMVEKHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEISKNPDLVYTERSGRRNPEYISLGCDSIPVLRGRTPIQAYTDYMRSFRERFRDYLGRVIVEIQVGMGPCGELRYPAYPESNGTWKFPGIGEFQCYDKYMRASLEAAAEAIGKKDWGKGGPHDSGHYKQFPEETGFFRRDGTWNTEYGQFFLEWYSGKLLEHGDRILAAAKGIFHGTGAILSGKIAGIHWHYRTRSHAAELTAGYYNTRHRDGYLPIAQMLSKHGVVFNFTCMEMRDGEQPDQANCSPEGLVRQVKMATRTAGGELAGENALERYDAGAYSQVLATSRSDSGNGLSAFTYLRMNKRLFEGGNWRHLVEFVKNMSEGGRKISECDSRGTNLYIGFIREKNVEKMKEAAPV >EOY24132 pep chromosome:Theobroma_cacao_20110822:3:29788962:29792295:1 gene:TCM_015812 transcript:EOY24132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast beta-amylase isoform 3 MNANHFTSSHILRSLPSSFPKPANRNMTLTLRSSTSFFNLKETKSLTKTPDDFLGTICFAQTKPSCRLRAKNSMSMQDAQLSSHGKVSIGEGRNSENREKLHGLTISHNENDSRVPVFVMLPLDTITLGGNLNKQRAMNASLMALKSAGVEGVMVDAWWGLVEKDGPLKYNWEGYAELVQMVEKHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEISKNPDLVYTERSGRRNPEYISLGCDSIPVLRGRTPIQAYTDYMRSFRERFRDYLGRVIVEIQVGMGPCGELRYPAYPESNGTWKFPGIGEFQCYDKYMRASLEAAAEAIGKKDWGKGGPHDSGHYKQFPEETGFFRRDGTWNTEYGQFFLEWYSGKLLEHGDRILAAAKGIFHGTGAILSGKIAGIHWHYRTRSHAAELTAGYYNTEGLVRQVKMATRTAGGELAGENALERYDAGAYSQVLATSRSDSGNGLSAFTYLRMNKRLFEGGNWRHLVEFVKNMSEGGRKISECDSRGTNLYIGFIREKNVEKMKEAAPV >EOY24133 pep chromosome:Theobroma_cacao_20110822:3:29788962:29791652:1 gene:TCM_015812 transcript:EOY24133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast beta-amylase isoform 3 MNANHFTSSHILRSLPSSFPKPANRNMTLTLRSSTSFFNLKETKSLTKTPDDFLGTICFAQTKPSCRLRAKNSMSMQDAQLSSHGKVSIGEGRNSENREKLHGLTISHNENDSRVPVFVMLPLDTITLGGNLNKQRAMNASLMALKSAGVEGVMVDAWWGLVEKDGPLKYNWEGYAELVQMVEKHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEISKNPDLVYTERSGRRNPEYISLGCDSIPVLRGRTPIQAYTDYMRSFRERFRDYLGRVIVEIQVGMGPCGELRYPAYPESNGTWKFPGIGEFQCYDKYMRASLEAAAEAIGKKDWGKGGPHDSGHYKQFPEETGFFRRDGTWNTEYGQFFLEWYSGKLLEHGDRILAAAKGIFHGTGAILSGKIAGIHWHYRTRSHAAELTAGYYNTDQANCSPEG >EOY20848 pep chromosome:Theobroma_cacao_20110822:3:1484176:1485126:1 gene:TCM_012187 transcript:EOY20848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQGLALNGMAYQAFKMFDEMLMVGLKPDDLTFSALLTARCYAGPLDNGWEIFRRMKYEFSIQPRIEHGKASWDGWRVGRCIQFYLGLAKSSGLWHLGVLLSCFDGHGNSELAEVVSQQLLENEPKKVLIELCFHIYMLLMVGGMMCRS >EOY24698 pep chromosome:Theobroma_cacao_20110822:3:31961354:31963710:-1 gene:TCM_016234 transcript:EOY24698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine racemase isoform 2 MEVNKGKYAADLSSIREAQARINSFIHKTPVMTSESLDAISGRRLFFKCECFQKGGAFKFRGACNAVFSLDDHQAAKGVVTHSSGNHAAALALAAKLRGIPAHIVIPKNAPQCKVQNVVRYGGQVIWSEATVPSREKTATKVLQETGAVLIHPYNDGRIISGQGTISLELLEQAPEIDTIIVPISGGGLISGVALAAKSINPAIRVLAAEPKGANDAAQSKAAGRIVTLPETNTVADGLRAFLGELTWPIVRDFVDDIITVDDTEIIEAMRLCYEILKVAVEPSGAIGLAAVLSDSFRNNPAWKDCNQIGIILSGGNVDLEKLWSSFRK >EOY24697 pep chromosome:Theobroma_cacao_20110822:3:31961112:31963710:-1 gene:TCM_016234 transcript:EOY24697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine racemase isoform 2 MEVNKGKYAADLSSIREAQARINSFIHKTPVMTSESLDAISGRRLFFKCECFQKGGAFKFRGACNAVFSLDDHQAAKGVVTHSSGNHAAALALAAKLRGIPAHIVIPKNAPQCKVQNVVRYGGQVIWSEATVPSREKTATKVLQETGAVLIHPYNDGRIISGQGTISLELLEQAPEIDTIIVPISGGGLISGVALAAKSINPAIRVLAAEPKGANDAAQSKAAGRIVTLPETNTVADGLRAFLGELTWPIVRDFVDDIITVDDTEIIEAMRLCYEILKVAVEPSGAIGLAAVLSDSFRNNPAWKDCNQIGIILSGGIGNHRT >EOY24337 pep chromosome:Theobroma_cacao_20110822:3:30594794:30595161:1 gene:TCM_015965 transcript:EOY24337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVIRRLLKPLLLLFISLMNLKVLVWNCQGAGDRGFPHFANDLQRIHNISIMILLEPRISGTNADKVIRSIKFDRSHKVEAIDFSGGF >EOY20774 pep chromosome:Theobroma_cacao_20110822:3:1042249:1048007:-1 gene:TCM_012110 transcript:EOY20774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative isoform 1 MEANSVCSSAIVAQVLTGKDDYENWRACIKNYLWTRDLWDVVEQTSEDGDWSWRMRNVSALHAIQISCDPTMLSKIRDKTTAKDAWSTLAKECGFQNENDAEEDGNVQRKSTLEFLEAIKRCDLERTKILSRADRLSANTEVRAMGNLSVFDFAIFEGQLEVIDEFVSEMAEENLGTKNHHGNTVLHYVALCSANTEIAQRLITKDKELLPIQNCEGDIPLNFACLAGHKDMTQYLYSMTTPRFLIEEENKRQAVLVVRHCIRNKLFDVALDLLLECPQLAFAEVDGMNAVSVLSSQPSAFPSGNRLSFWQRWIYSCLKLMKKLESQIVIFPYFLSSNLQRNNYSLKLLKVYILYIGLSKSENGLNCAVTRHTLGLWSNLLKFFGMKHIYDLKQAHVHAHVLLLLMSEEIAKLDFAKVHENSVHQAIMNAAQRGMTEFIVEIIKRNLDLLVTKDVDDRDMFKIAVAHRQEKVFNLIYGLDTKKQLFLPFVDKLDNSMFHVAGKLSSESQVKLEQISGSALQMQRELQWFKEVESIIPPMYKEYRNKRGETPYEAFDQSHAKLVKEGEKWMKDRAQSSSVVGTLIITIMFAALFTVPGGPNQETGVPILLRKKHFRVFVISDAISLSASTTSMLIFVGILTSRYTAHDFLISLPNKLIIRLSFLFISIAAMMVAFSSTVFIMLKGQLEIIIPIVVLVGFPIGLFVWLQFPLLAKIFISTYGPGIFDKKMKKWL >EOY20775 pep chromosome:Theobroma_cacao_20110822:3:1042315:1047713:-1 gene:TCM_012110 transcript:EOY20775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative isoform 1 MRNVSALHAIQISCDPTMLSKIRDKTTAKDAWSTLAKECGFQNENDAEEDGNVQRKSTLEFLEAIKRCDLERTKILSRADRLSANTEVRAMGNLSVFDFAIFEGQLEVIDEFVSEMAEENLGTKNHHGNTVLHYVALCSANTEIAQRLITKDKELLPIQNCEGDIPLNFACLAGHKDMTQYLYSMTTPRFLIEEENKRQAVLVVRHCIRNKLFDVALDLLLECPQLAFAEVDGMNAVSVLSSQPSAFPSGNRLSFWQRWIYSFTRHTLGLWSNLLKFFGMKHIYDLKQAHVHAHVLLLLMSEEIAKLDFAKVHENSVHQAIMNAAQRGMTEFIVEIIKRNLDLLVTKDVDDRDMFKIAVAHRQEKVFNLIYGLDTKKQLFLPFVDKLDNSMFHVAGKLSSESQVKLEQISGSALQMQRELQWFKEVESIIPPMYKEYRNKRGETPYEAFDQSHAKLVKEGEKWMKDRAQSSSVVGTLIITIMFAALFTVPGGPNQETGVPILLRKKHFRVFVISDAISLSASTTSMLIFVGILTSRYTAHDFLISLPNKLIIRLSFLFISIAAMMVAFSSTVFIMLKGQLEIIIPIVVLVGFPIGLFVWLQFPLLAKIFISTYGPGIFDKKMKKWL >EOY22852 pep chromosome:Theobroma_cacao_20110822:3:25038909:25042238:1 gene:TCM_014904 transcript:EOY22852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDQWVHHLHSQHIDNGIRKVNLTLIDIVRVHEKEFSDRAKNAGQRARLQRRVKNAATNDDSDGSGNSNGFGGWDGSGGFDLVLL >EOY23045 pep chromosome:Theobroma_cacao_20110822:3:25945418:25948282:1 gene:TCM_015052 transcript:EOY23045 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 1 MEEAGTKKRKMGERRAADNKKAATTAKWPVVKPKLNLQIRRLKDTDLFTVQNFFSSVESRAFIKAAESIGFEHQGSLGPTRGEAYRDNDRISVNDPALADAIWQSGLSKLFSDIKIRGKVAVGLNPNIRFYRYKVGQRFGRHIDESVDLGAGKCTHYTLLIYLSGGSKTNGKSDSSNQKDSVSEPLVGGETVFYGSRNGVVAEVAPAEGMALLHIHGDKCMLHEARNVSKGIKYVFRSDVVFA >EOY23043 pep chromosome:Theobroma_cacao_20110822:3:25945416:25949038:1 gene:TCM_015052 transcript:EOY23043 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 1 MEEAGTKKRKMGERRAADNKKAATTAKWPVVKPKLNLQIRRLKDTDLFTVQNFFSSVESRAFIKAAESIGFEHQGSLGPTRGEAYRDNDRISVNDPALADAIWQSGLSKLFSDIKIRGKVAVGLNPNIRFYRYKVGQRFGRHIDESVDLGAGKCTHYTLLIYLSGGSKTNGKSDSSNQKDSVSEPLVGGETVFYGSRNGVVAEVAPAEGMALLHIHGDKCMLHEARNVSKGIKYVFRSDVVFA >EOY23046 pep chromosome:Theobroma_cacao_20110822:3:25945581:25947882:1 gene:TCM_015052 transcript:EOY23046 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 1 MEEAGTKKRKMGERRAADNKKAATTAKWPVVKPKLNLQIRRLKDTDLFTVQNFFSSVESRAFIKAAESIGFEHQGSLGPTRGEAYRDNDRISVNDPALADAIWQSGLSKLFSDIKIRGKVAVGLNPNIRFYRYKVGQRFGRHIDESVDLGAGKCTHYTLLIYLSGGSKTNGKSDSSNQKDSVSEPLVGGETVFYGSRNGVVAEVAPAEGMALLHIHGDKCMLHEARNVSKGIKYVFRSDVVFA >EOY23044 pep chromosome:Theobroma_cacao_20110822:3:25945585:25948816:1 gene:TCM_015052 transcript:EOY23044 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 1 MEEAGTKKRKMGERRAADNKKAATTAKWPVVKPKLNLQIRRLKDTDLFTVQNFFSSVESRAFIKAAESIGFEHQGSLGPTRGEAYRDNDRISVNDPALADAIWQSGLSKLFSDIKIRGKVAVGLNPNIRFYRYKVGQRFGRHIDESVDLGAGKCTHYTLLIYLSGGSKTNGKSDSSNQKDSVSEPLVGGETVFYGSRNGVVAEVAPAEGMALLHIHGDKCMLHEARNVSKGIKYVFRSDVVFA >EOY20822 pep chromosome:Theobroma_cacao_20110822:3:1381889:1385247:-1 gene:TCM_012164 transcript:EOY20822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferric reduction oxidase 8 isoform 2 MGKASILTVLKVLMVLISTGWISLWLLKPTNFWTRKWKGAEASAQDTVFGYYGLDFAVYTFPVIALAMIGLVYLNLQPWEHRSRQVRSSAAAFSNPLVISKLIGILSSVEILAVFLFTLFLAWTFYKRISQDFKKLTPVESLKLDLWQLKYLRVATRFGLLAEACLTLLLLPILRGLAVFRILGIRFEASVRYHIWLGTAMICFATFHGASTLFIWGISHNILDEITKWQRTGRIYLAGEITLVTGLIMWITSLPQIRRKRFEIFYYIHHLYIIFIVFFLLHAGDRHFYMIFPGIFLFGIDKLLRIIQSRPETYILSARLYPCKAVELILPKDSGLRYTPTSVIFLKIPSISNFQWHSFSITSSSTIDNHRMSVIVKCDGRWTSSLYDMIQAGLDTNADQMKCIPVAIEGPYGPSSMIFLRYDSLLLVAGGIGITPFLSILHEIAAAQSSCRGRIPSRMHLIYVVKKSQDIGLLNSVSSLLQNQPSQKWHLKLEVFVTQEKQSGATVGEILSETSQVQTVHLGTKGPIYAIHGPESLLRITALAGIASIVFFVFVICFNNIFVPSEKKSVHSLKVALSSKNKAPKEKSPSWVADILIISSFIISLACTTLVAIILRWRKVKKEIPLVSQREEKVKELGSTETKGAVEEHEVHFGGRPNLEDVFSKFLNETDGSNIGVLVCGPESLKRAVASLCQQKSQWFNIRDQKKKPYLSFHALNFTL >EOY20823 pep chromosome:Theobroma_cacao_20110822:3:1382287:1384480:-1 gene:TCM_012164 transcript:EOY20823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferric reduction oxidase 8 isoform 2 MTDAEKSRISPLLTCYLCFRWQLKYLRVATRFGLLAEACLTLLLLPILRGLAVFRILGIRFEASVRYHIWLGTAMICFATFHGASTLFIWGISHNILDEITKWQRTGRIYLAGEITLVTGLIMWITSLPQIRRKRFEIFYYIHHLYIIFIVFFLLHAGDRHFYMIFPGIFLFGIDKLLRIIQSRPETYILSARLYPCKAVELILPKDSGLRYTPTSVIFLKIPSISNFQWHSFSITSSSTIDNHRMSVIVKCDGRWTSSLYDMIQAGLDTNADQMKCIPVAIEGPYGPSSMIFLRYDSLLLVAGGIGITPFLSILHEIAAAQSSCRGRIPSRMHLIYVVKKSQDIGLLNSVSSLLQNQPSQKWHLKLEVFVTQEKQSGATVGEILSETSQVQTVHLGTKGPIYAIHGPESLLRITALAGIASIVFFVFVICFNNIFVPSEKKSVHSLKVALSSKNKAPKEKSPSWVADILIISSFIISLACTTLVAIILRWRKVKKEIPLVSQREEKVKELGSTETKGAVEEHEVHFGGRPNLEDVFSKFLNETDGSNIGVLVCGPESLKRAVASLCQQKSQWFNIRDQKKKPYLSFHALNFTL >EOY20824 pep chromosome:Theobroma_cacao_20110822:3:1382014:1384530:-1 gene:TCM_012164 transcript:EOY20824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferric reduction oxidase 8 isoform 2 MICFATFHGASTLFIWGISHNILDEITKWQRTGRIYLAGEITLVTGLIMWITSLPQIRRKRFEIFYYIHHLYIIFIVFFLLHAGDRHFYMIFPGIFLFGIDKLLRIIQSRPETYILSARLYPCKAVELILPKDSGLRYTPTSVIFLKIPSISNFQWHSFSITSSSTIDNHRMSVIVKCDGRWTSSLYDMIQAGLDTNADQMKCIPVAIEGPYGPSSMIFLSRYDSLLLVAGGIGITPFLSILHEIAAAQSSCRGRIPSRMHLIYVVKKSQDIGLLNSVSSLLQNQPSQKWHLKLEVFVTQEKQSGATVGEILSETSQVQTVHLGTKGPIYAIHGPESLLRITALAGIASIVFFVFVICFNNIFVPSEKKSVHSLKVALSSKNKAPKEKSPSWVADILIISSFIISLACTTLVAIILRWRKVKKEIPLVSQREEKVKELGSTETKGAVEEHEVHFGGRPNLEDVFSKFLNETDGSNIGVLVCGPESLKRAVASLCQQKSQWFNIRDQKKKPYLSFHALNFTL >EOY21678 pep chromosome:Theobroma_cacao_20110822:3:16933414:16941380:-1 gene:TCM_013764 transcript:EOY21678 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MFTKGTDGVLRYGTRLYVPDGDGLRREILEEAHMAAYVVHPGATKMYQDLKEVYWWEGLKRDVAEFVSKCLVCQQVKAEHQKPAGLLQPLPVPEWKWEHIAMDFVTGLPRTSGGYDSIWIVVDRLTKSAHFLPVKTTYGAAQYARVYVDEIVRLHGIPISIVSDRGAQFTSRFWGKLQEALGTKLDFSTAFHPQTDGQSERTIQTLEDMLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWLEVGERKLLGPELVQDATEKIHMIRQRMLTAQSRQKSYADNRRRYLEFQVGDHVFLKVSPTKGIMRFGKKGKLSPRYIGPFEILEKVGAVAYRLALPPDLSNIHPVFHVSMLRKYNPDPSHVIRYETIQLQDDLTYEEQPVAILDRQVKKLRSKDVASVKVLWRNHTSEEVTWEAEDEMRTKHPHLFDM >EOY20994 pep chromosome:Theobroma_cacao_20110822:3:2183824:2185183:1 gene:TCM_012312 transcript:EOY20994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin fusion degradation UFD1 family protein MERSDLEAVAAAAAAAMELDSSFQLTYRCSASRVEFGKTSHCGVLEFTSDEGFVLLPAPMMESMELEEGELVTLKSASLDKGTFLKLQPHTKNFMQLSDPKAVLEKAFRDFCCLTTGDTIMIMHNDIKFYIDIVEAKPSLAVNIIDTDCEVDFALPLDYEPPQKKQKKAKVLQKQEQPIEVETVKFKAFNGIARRLDGEPVTEQVAVDDDHDWMMNAERKPCGSKKVVLGSNVIQSQEDSTDEPSRKGRQEETNMKTEEEKFQPFTGRSYRLT >EOY21785 pep chromosome:Theobroma_cacao_20110822:3:18342951:18345361:-1 gene:TCM_013906 transcript:EOY21785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVCLPMVSSHGKPHTSSCTLGNQPIYFGCRQSPISSARALQAELCILGWRAFGVFLKLILATKIIGLLGPSLRSEWFLLAW >EOY24706 pep chromosome:Theobroma_cacao_20110822:3:31999180:32001471:1 gene:TCM_016242 transcript:EOY24706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase 8 MASQSTKNPESIYDFTVKDAKGNVVDLSAYKGKVLLIVNVASKCGMTNPNYTELNQLYEKYKDQGLEILAFPCNQFGEEEPGSNDQIAVFVCTRFRSEFPIFDKIEVNGDNASPLYKYLKLGKWGIFGDDIQWNFAKFLVSKDGQVVHRYYPTTSPLSLEYDIKKLLGLGQE >EOY23134 pep chromosome:Theobroma_cacao_20110822:3:26325490:26328711:-1 gene:TCM_015120 transcript:EOY23134 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOCATED IN: endomembrane system; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Transmembrane protein 161AB, predicted (InterPro:IPR019395); Has 82 Blast hits to 82 proteins in 35 species: Archae - /.../cteria - 0; Metazoa - 47; Fungi - 0; Plants - 33; Viruses - 0; Other Eukaryotes - 2 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G52180) TAIR;Acc:AT5G52180] MLPFFQVYKNLILQTLLSLSLTLILTFLKIPIFFLQGLHTYIQPENVGHGNNASSGVRAAIRRPSSSDSGPGLEGYQSLSSRTNAELKKRNKSKDKLEFDENNAQIFRLKLDEGHLQTRLFFNEYHNSFVFSFVGISCLLLYKYLGQSEGSGVLANGNLIPVIFGFIGLTKVLLALAKISFEKSASKRSEKQFSAIFGVVGFLIGIMICSGIGRSVSDFRFDSVEGPWRIFVAVLMGFLSGFLYMPAGKNARSFWLGTDQLRCNLSIISCGWFARMILYANYLFTVFTALLWINPLAEILVNKNGYNGRGAVEKLVGNVGFSKLDFTRLRVLCLLLSGILQIMALRSNLQMFLNEAVLSWYQRLHASKVPDLDFSRAKIDSNSFDKYSLVCGLLPCSAFVKEVALFMAWWIIFVWAIVTSASLVFYRHGVLYVF >EOY22628 pep chromosome:Theobroma_cacao_20110822:3:24272471:24276289:1 gene:TCM_014747 transcript:EOY22628 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein, putative MKHTIKVGTSKEMNQKVLEALQEKGVAEKMMTQKGYASAREHLLRAKELSPGIDNIESMLTVCDILSAASVKFPAYGIDYYWILQLTPPSTQSVIGKRHQKLVSMLQPIVRTFPGAELALKHIQDAFLTLSNNETRSKFDLKRGTGCEDYRSFKFQAPCSQSISDRETISTTQTSSMDSHRNYIDGSKKTAETILTAVGNSVEKQSSSTTFENQHGKIGMSSDGISSSTNSLPTILEGIVTCQDYYNFEDDRKLYYLEPGQIWASHYRAKLQHNYRYAQITINSRSAFCVTWLKPIPTSPSERRWCDKGLPVACGSFELVPETKEDVSWQIISSYKCSWPQCVIGDQFEIYPRKGEIWALYKDWDLDDWSYDPDAVKGCRFELVEVLSEFSKYSGADAMCLVKVNGFKYVFKRQIMGGNPITFHISPSNMYMFSHNVPAYRFRGGEIDNIADGMFELDQMALPDYMIQDMDSQQSPNEKRSLLPRPTSLSNQNLENKNLKPGLTQKHLATGQVWAVYCGKDLMPRQYIRINGIISESQVCGTELEPLPILDHEIHWQKENLPMACGVFKVSGTSVNLDMSKFSYLVQCQQSTCESFYWIYPQKGEIWAMYKNWNAKWNRSNYENYQCQIVQVLSGFEEDGVKVARLGEVKGYLTFFRRIQYDGFDLTHTVRKEEKLSFSHRVPAFRVPGIGKYGIPEDTWHLEPDGLPPKRGM >EOY22341 pep chromosome:Theobroma_cacao_20110822:3:23055127:23061651:1 gene:TCM_014541 transcript:EOY22341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C78, ubiquitin fold modifier-specific peptidase 1/ 2 isoform 3 MRRRSELLPIQIPGTFVSLYRRLRVRRASNAENIFLRAVEAVIAKFKDPNVVYMVEASSKASSDVVQPVILRGEELDFDTALPNIELLDKAVHNSDQKLLPCAHFCLKNKSTPELFSVENADIIQISVLLNRSEKSPKCKAPAVEYFPAMEETRLLIVDFNLEVLCYAVQGIPLMHAVSKLVIPGLVDQLNLMKKMTLPNLLTQHPQLHPYHFSLPGIAHPITVAYELNYGETEMKQVDIRRSLHLRLGLPFDRPLIRIANALDLSTKDRSSNSSTRRGSSLLKDVHIGIPSSGVSGGSVSLVQGSYEYYHYLQDGFDDSGWGCAYRSLQTIISWFRLQHYSSIDVPSHREIQQSLVDIGDKDPSFIGSREWIGAIELSFVLDKLLGVSCKVINVRSGSELPEKCRELAMHFENQGTPIMIGGGVLAYTLLGVDYNEATGDCAFLILDPHYTGTDDPKKIVNGGWCGWKKSIDSRGKSFFLHDKFYNLLLPQRPNMV >EOY22340 pep chromosome:Theobroma_cacao_20110822:3:23055127:23061651:1 gene:TCM_014541 transcript:EOY22340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C78, ubiquitin fold modifier-specific peptidase 1/ 2 isoform 3 MEKDNRKIRVECRKLLITRNELDLQWLIGSPFFPPLTILSTFRCIHSDPSGPDFHRESEEIRALLLKGFDVIGALIVGKSDTEKTAVRAIEAARKLRKVLSGSTNSVNEETIGAVADPDTGDIRFFVSETEGSTSLELVNSVSYDENTEKFVWETGCLLRCEIPIKLPFCFPVNKPADAENIFLRAVEAVIAKFKDPNVVYMVEASSKASSDVVQPVILRGEELDFDTALPNIELLDKAVHNSDQKLLPCAHFCLKNKSTPELFSVENADIIQISVLLNRSEKSPKCKAPAVEYFPAMEETRLLIVDFNLEVLCYAVQGIPLMHAVSKLVIPGLVDQLNLMKKMTLPNLLTQHPQLHPYHFSLPGIAHPITVAYELNYGETEMKQVDIRRSLHLRLGLPFDRPLIRIANALDLSTKDRSSNSSTRRGSSLLKDVHIGIPSSGVSGGSVSLVQGSYEYYHYLQDGFDDSGWGCAYRSLQTIISWFRLQHYSSIDVPSHREIQQSLVDIGDKDPSFIGSREWIGAIELSFVLDKLLGVSCKVINVRSGSELPEKCRELAMHFENQGTPIMIGGGVLAYTLLGVDYNEATGDCAFLILDPHYTGTDDPKKIVNGGWCGWKKSIDSRGKSFFLHDKFYNLLLPQRPNMV >EOY22342 pep chromosome:Theobroma_cacao_20110822:3:23055447:23061298:1 gene:TCM_014541 transcript:EOY22342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C78, ubiquitin fold modifier-specific peptidase 1/ 2 isoform 3 SGPDFHRESEEIRALLLKGFDVIGALIVGKSDTEKTAVRAIEAARKLRKVLSGSTNSVNEETIGAVADPDTGDIRFFVSETEGSTSLELVNSVSYDENTEKFVWETGCLLRCEIPIKLPFCFPVNKPADAENIFLRAVEAVIAKFKDPNVVYMVEASSKASSDVVQPVILRGEELDFDTALPNIELLDKAVHNSDQKLLPCAHFCLKNKSTPELFSVENADIIQISVLLNRSEKSPKCKAPAVEYFPAMEETRLLIVDFNLEVLCYAVQGIPLMHAVSKLVIPGLVDQLNLMKKMTLPNLLTQHPQVSGGSVSLVQGSYEYYHYLQDGFDDSGWGCAYRSLQTIISWFRLQHYSSIDVPSHREIQQSLVDIGDKDPSFIGSREWIGAIELSFVLDKLLGVSCKVINVRSGSELPEKCRELAMHFENQGTPIMIGGGVLAYTLLGVDYNEATGDCAFLILDPHYTGTDDPKKIVNGGWCGWKKSIDSRGKSFFLHDKFYNLLLPQRPNMV >EOY22846 pep chromosome:Theobroma_cacao_20110822:3:25001413:25009230:1 gene:TCM_014899 transcript:EOY22846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid transporters isoform 3 MSEASDRTNLSHTFKYLLATQFLSRGIPFIFNSWIVRHLTEEDYAVYAVQFHLFVTCVLFLSREGFRRACMRADIRFEGASTKDNAATLLKVAWMTFPLGVVITILACVFVFWWQGLHLSDPYAQAILINGYACIAELLAEPLYILSQTLFLLKLRLIVETAATLLRCITMYILIVNLTKMEKGIVFALSQAAYGSCLFLGYWGYFLCAFRSFDLFPFRLGHILDFDKQLRKMCMLFTLQSLQKLVLQEGEKMVLVWLDTPYNQAVYGLVDKLVGSLVVRLVFLPFEESSYATFARSASGQSPHKSKKIGESLTEALKLVLLIGLIFLAFGPSYSYSLVRLLYGQKWSDGEASAALRYYCLYIIVLAMNGTSEAFLHAVATENQLKRSNDSLLVFSVIYVASNYLLIRSAGAVGLILANSLNMILRIIYSAVFIKHFFQESFSFSFYSCLPSGWPMLLLSGVTTLISEKVFLDRKNFWPSFLIHFSIGFTFFCISAFVIYRRERPFISKVIRFRDHLD >EOY22845 pep chromosome:Theobroma_cacao_20110822:3:25001255:25008796:1 gene:TCM_014899 transcript:EOY22845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid transporters isoform 3 MSEASDRTNLSHTFKYLLATQFLSRGIPFIFNSWIVRHLTEEDYAVYAVQFHLFVTCVLFLSREGFRRACMRADIRFEGASTKDNAATLLKVAWMTFPLGVVITILACVFVFWWQGLHLSDPYAQAILINGYACIAELLAEPLYILSQTLFLLKLRLIVETAATLLRCITMYILIVNLTKMEKGIVFALSQAAYGSCLFLGYWGYFLCAFRSFDLFPFRLGHILDFDKQLRKMCMLFTLQSLQKLVLQEGEKMVLVWLDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSASGQSPHKSKKIGESLTEALKLVLLIGLIFLAFGPSYSYSLVRLLYGQKWSDGEASAALRYYCLYIIVLAMNGTSEAFLHAVATENQLKRSNDSLLVFSVIYVASNYLLIRSAGAVGLILANSLNMILRIIYSAVFIKHFFQESFSFSFYSCLPSGWPMLLLSGVTTLISEKVFLDRKNFWPSFLIHFSIGFTFFCISAFVIYRRERPFISKVIRFRDHLD >EOY22844 pep chromosome:Theobroma_cacao_20110822:3:25001123:25009288:1 gene:TCM_014899 transcript:EOY22844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid transporters isoform 3 MSEASDRTNLSHTFKYLLATQFLSRGIPFIFNSWIVRHLTEEDYAVYAVQFHLFVTCVLFLSREGFRRACMRADIRFEGASTKDNAATLLKVAWMTFPLGVVITILACVFVFWWQGLHLSDPYAQAILINGYACIAELLAEPLYILSQTLFLLKLRLIVETAATLLRCITMYILIVNLTKMEKGIVFALSQAAYGSCLFLGYWGYFLCAFRSFDLFPFRLGHILDFDKQLRKMCMLFTLQSLQKLVLQEGEKMVLVWLDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSASGQSPHKSKKIGESLTEALKLVLLIGLIFLAFGPSYSYSLVRLLYGQKWSDGEASAALRYYCLYIIVLAMNGTSEAFLHAVATENQLKRSNDSLLVFSVIYVASNYLLIRSAGAVGLILANSLNMILRIIYSAVFIKHFFQESFSFSFYSCLPSGWPMLLLSGVTTLISEKVFLDRKNFWPSFLIHFSIGFTFFCISAFVIYRRERPFISKVIRFRDHLD >EOY23651 pep chromosome:Theobroma_cacao_20110822:3:28125170:28127790:-1 gene:TCM_015474 transcript:EOY23651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent protein hydrolase isoform 1 MYAVRGMRHKLLIFQSQCSRQALSARNDGILLKVTAKSHSYIYRACGFSTTTARPKRVGTHNGSFHCDEALACFMIRLTNKFSNAQIVRTRDSQVLENLDAVVDVGGVYDPTRDRYDHHQRGFEQVFGHGFTTKLSSAGLVYKHYGAEIIAKVLQLDVQHPNVSRLFLAVYKNFVEAVDAIGNGISQYDTDQPPRYVNSTGLSFRVGRLNLDWVDPDQSSEKENEAFQHAMTLTGSEFLENIHFLAKSCLPARSIVMECLSARNDIDSSREIMVLTRPCPWKLHIFELEEELKVETSIKYVIYQDDRSENWRVQAIAVSPGKFESRKPLPLPWRGLEGNKLSEVAGIPGCVFVHMSGFIAANKSFGGALAMARASLKV >EOY23652 pep chromosome:Theobroma_cacao_20110822:3:28124502:28127866:-1 gene:TCM_015474 transcript:EOY23652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent protein hydrolase isoform 1 MYAVRGMRHKLLIFQSQCSRQALSARNDGILLKVTAKSHSYIYRACGFSTTTARPKRVGTHNGSFHCDEALACFMIRLTNKFSNAQIVRTRDSQVLENLDAVVDVGGVYDPTRDRYDHHQRGFEQVFGHGFTTKLSSAGLVYKHYGAEIIAKVLQLDVQHPNVSRLFLAVYKNFVEAVDAIGNGISQYDTDQPPRYVNSTGLSFRVGRLNLDWVDPDQSSEKENEAFQHAMTLTGSEFLENIHFLAKSCLPARSIVMECLSARNDIDSSREIMVLTRPCPWKLHIFELEEELKDDRSENWRVQAIAVSPGKFESRKPLPLPWRGLEGNKLSEVAGIPGCVFVHMSGFIAANKSFGGALAMARASLKV >EOY24402 pep chromosome:Theobroma_cacao_20110822:3:30821249:30822475:1 gene:TCM_016010 transcript:EOY24402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASRWVKSLQCKSRAFDDVYHPNPKHLIPSSSCRRSSQSIKDVIETTKNKPKKSNPKLLPKSSSKSEPDLNNPPPRPRRSSSLPARPVRNPDPVLPSLTELPEGHPSRNVVEIIFHTSWSPKAFTGRIEMIFKVQNGPRTVTRFEEYRETVKTRSGSGGPASADEENARCIADGNEVMRFHCLGPTSGNCGMNDTWLFSGGKGAAICTYSGSGGAHESAGGGTGRRAMLVCRVIAGRVSKRVGFGYESLLDGRVGYDSVSGENGELLVFDPRAVLPCFLIIYKL >EOY23729 pep chromosome:Theobroma_cacao_20110822:3:28373140:28374474:-1 gene:TCM_015528 transcript:EOY23729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein MANMQIVVASKNVEPQYVEMMVPLYSYGCERKVKKTLSHLKGIYSVTVDYNQQKVTVWGICNKFDVLSTMRSKRKEARFWKPEDNIEMEEAQPSSPPPSLRPKGSSKPSLALMKARSLSWKAWKKVFTRSYSF >EOY25121 pep chromosome:Theobroma_cacao_20110822:3:33315228:33320408:-1 gene:TCM_016534 transcript:EOY25121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVDPSTAAEAAETKATKERKPEKTRAQKDAHNASCRTYRLKTKRRREDLEAMVPELQAENKRMRAEIKRLKAKQGIEIESQELRRALEIGGAIVELLEQIPSAWKVDGKNFTSFDEAQTWFLSQTYDQGPNDTNNNTTASAVAGPSCVANHGLPNNPDNNPSSHDPAAALDNPSTSDLFTDHYLAAGHESPVMFDAPNYHDTINNLSTHDPAADNESGFSTPSAAGPSSGTNGTNISAFAASGPSFPNHGLPNNAPDNNSFSHDPAPAAGSPTASALGSLIAAFPGLNYMFDDRDDHGITYLFTDHALAAGHESPVMFDAPNNHDTINNRSTYDPAAGFPSASAAGSSSYDSGGPGLSTAFAAGSSSAANHNLHARKSYDISGLFTHDPAADNKADFRSEKIPSSKAV >EOY25120 pep chromosome:Theobroma_cacao_20110822:3:33315500:33320067:-1 gene:TCM_016534 transcript:EOY25120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVDPSTAAEAAETKATKERKPEKTRAQKDAHNASCRTYRLKTKRRREDLEAMVPELQAENKRMRAEIKRLKAKQGIEIESQELRRALEIGGAIVELLEQIPSAWKVDGKNFTSFDEAQTWFLSQTYDQGPNDTNNNTTASAVAGPSCVANHGLPNNPDNNPSSHDPAAALDNPSTSDLFTDHYLAAGHESPVMFDAPNYHDTINNLSTHDPAADNESGFSTPSAAGPSSGTNGTNISAFAASGPSFPNHGLPNNAPDNNSFSHDPAPAAGSPTASALGSLIAAFPGLNYMFDDRDDHGITYLFTDHALAAAGSSFIAGHESPVMFDAPNNHDTINNRSTYDPAAGNESGFPSASAAGSSSYDSGGPGLSTAFAAGSSSAANHNLHARKSYDISGLFTHDPAADNKADFRSEKIPSSKAV >EOY25119 pep chromosome:Theobroma_cacao_20110822:3:33315223:33320495:-1 gene:TCM_016534 transcript:EOY25119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVDPSTAAEAAETKATKERKPEKTRAQKDAHNASCRTYRLKTKRRREDLEAMVPELQAENKRMRAEIKRLKAKQGIEIESQELRRALEIGGAIVELLEQIPSAWKVDGKNFTSFDEAQTWFLSQTYDQGPNDTNNNTTASAVAGPSCVANHGLPNNPDNNPSSHDPAAGFPTAFVVASIVAYPELASAFAALDNPSTSDLFTDHYLAAEFPTSSAAGSSLIAGHESPVMFDAPNYHDTINNLSTHDPAADNESGFSTPSAAGPSSGAYHFDFDNYNLFTDYYLAGTNGTNISAFAASGPSFPNHGLPNNAPDNNSFSHDPAPAAGSPTASALGSLIAAFPGLNYMFDDRDDHGITYLFTDHALAAEFPTSSAAGSSFIAGHESPVMFDAPNNHDTINNRSTYDPAAGFPSASAAGSSSYDSGGPGLSTAFAAGSSSAANHNLHARKSYDISGLFTHDPAADNKADFRSEKIPSSKAV >EOY25122 pep chromosome:Theobroma_cacao_20110822:3:33315227:33320472:-1 gene:TCM_016534 transcript:EOY25122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVDPSTAAEAAETKATKERKPEKTRAQKDAHNASCRTYRLKTKRRREDLEAMVPELQAENKRMRAEIKRLKAKQGIEIESQELRRALEIGGAIVELLEQIPSAWKVDGKNFTSFDEAQTWFLSQTYDQGPNDTNNNTTASAVAGPSCVANHGLPNNPDNNPSSHDPAAGHESPVMFDAPNYHDTINNLSTHDPAADNESGFSTPSAAGPSSGTNGTNISAFAASGPSFPNHGLPNNAPDNNSFSHDPAPAAGSPTASALGSLIAAFPGLNYMFDDRDDHGITYLFTDHALAAAGSSFIAGHESPVMFDAPNNHDTINNRSTYDPAAGFPSASAAGSSSYDSGGPGLSTAFAAGSSSAANHNLHARKSYDISGLFTHDPAADNKADFRSEKIPSSKAV >EOY21995 pep chromosome:Theobroma_cacao_20110822:3:20308817:20314072:-1 gene:TCM_014159 transcript:EOY21995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related, putative isoform 3 MSSSSKSEGDNSINVEELLEIETRCRELRKEKEMLKESQSQGFELIRSLEVHVKSLSEARVQDKKHIKKLEGELKNCSQEIDYLQDQLSARNEEVNFLTEHVHDLEIKLADKGNLQEKVDRLIGELNSSNSDRLSLMQEIENKEEELQQSALCIEKLEESVSSMALESQCEIESMKLDITALEQMCLEANKTEEEKSRMNILIEELEVQLQNALKIIEGLDDENKELRGKLITSEKNAKIFCQKIKEWLKSKDRSQLNMHSVLGEQESMMTISKDISGCKELFSALLSEVALLLESDADSKEQYESMSHQINEYELLVKQLKDELREQKLKAKEEAEDLAQEMAELRYRMMGLLEEECKRRACIEQASLQRIAELEAQIQKEPQKSDAVVRHLHES >EOY21996 pep chromosome:Theobroma_cacao_20110822:3:20308487:20314092:-1 gene:TCM_014159 transcript:EOY21996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related, putative isoform 3 MSSSSKSEGDNSINVEELLEIETRCRELRKEKEMLKESQSQGFELIRSLEVHVKSLSEARVQDKKHIKKLEGELKNCSQEIDYLQDQLSARNEEVNFLTEHVHDLEIKLADKGNLQEKVDRLIGELNSSNSDRLSLMQEIENKEEELQQSALCIEKLEESVSSMALESQCEIESMKLDITALEQMCLEANKTEEEKSRMNILIEELEVQLQNALKIIEGLDDENKELRGKLITSEKNAKIFCQKIKEWLKSKDRSQLNMHSVLGEQESMMTISKDISGCKELFSALLSEVALLLESDADSKEQYESMSHQINEYELLVKQLKDELREQKLKAKEEAEDLAQEMAELRYRMMGLLEEECKRRACIEQASLQRIAELEAQSLKNQMLLLGISMNHKDWLQLGFLMSIS >EOY21997 pep chromosome:Theobroma_cacao_20110822:3:20309920:20313746:-1 gene:TCM_014159 transcript:EOY21997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related, putative isoform 3 MSSSSKSEGDNSINVEELLEIETRCRELRKEKEMLKESQSQGFELIRSLEVHVKSLSEARVQDKKHIKKLEGELKNCSQEIDYLQDQLSARNEEVNFLTEHVHDLEIKLADKGNLQEKVDRLIGELNSSNSDRLSLMQEIENKEEELQQSALCIEKLEESVSSMALESQCEIESMKLDITALEQMCLEANKTEEEKSRMNILIEELEVQLQNALKIIEGLDDENKELRGKLITSEKNAKIFCQKIKEWLKSKDRSQLNMHSVLGEQESMMTISKDISGCKELFSALLSEVALLLESDADSKEQYESMSHQINEYELLVKQLKLI >EOY21998 pep chromosome:Theobroma_cacao_20110822:3:20309354:20314177:-1 gene:TCM_014159 transcript:EOY21998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related, putative isoform 3 MSSSSKSEGDNSINVEELLEIETRCRELRKEKEMLKESQSQGFELIRSLEVHVKSLSEARVQDKKHIKKLEGELKNCSQEIDYLQDQLSARNEEVNFLTEHVHDLEIKLADKGNLQEKVDRLIGELNSSNSDRLSLMQEIENKEEELQQSALCIEKLEESVSSMALESQCEIESMKLDITALEQMCLEANKTEEEKSRMNILIEELEVQLQNALKIIEGLDDENKELRGKLITSEKNAKIFCQKIKEWLKSKDRSQLNMHSVLGEQESMMTISKDISGCKELFSALLSEVALLLESDADSKEQYESMSHQINEYELLVKQLKDELREQKLKAKEEAEDLAQEMAELRYRMMGLLEEECKRRACIEQASLQRIAELEAQVTLLC >EOY21854 pep chromosome:Theobroma_cacao_20110822:3:19135623:19140824:-1 gene:TCM_013997 transcript:EOY21854 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 3 MGDHLVLCVDCLITPESLQSMQEAKAAGSSGESSSQVAQPHACAVDVEEVHEHGSSEEEPLIQTVECRICQEEDCIKNLETPCACSGSLKFAHRKCVQRWCNEKGDITCEICHQPYQPGYTAPPPPPQSEDATIDISEGWTIPGAPLDLRDPRILAMAAAERHLLEADYDEYADNNASGAAFCRSAALILMALLLLRHALYLTNGDAENDASTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATEVAFMIQAGQRRGVQFTIAPGPAATPHQEPLQ >EOY21851 pep chromosome:Theobroma_cacao_20110822:3:19132053:19140831:-1 gene:TCM_013997 transcript:EOY21851 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 3 MGDHLVLCVDCLITPESLQSMQEAKAAGSSGESSSQVAQPHACAVDVEEVHEHGSSEEEPLIQTVECRICQEEDCIKNLETPCACSGSLKFAHRKCVQRWCNEKGDITCEICHQPYQPGYTAPPPPPQSEDATIDIRQVSTFSVCEDLSMSEAVCEGWTIPGAPLDLRDPRILAMAAAERHLLEADYDEYADNNASGAAFCRSAALILMALLLLRHALYLTNGDAENDASTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATEVAFMIQAGQRRGVQFTIAPGPAATPHQEPLQ >EOY21852 pep chromosome:Theobroma_cacao_20110822:3:19136081:19140048:-1 gene:TCM_013997 transcript:EOY21852 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 3 MGDHLVLCVDCLITPESLQSMQEAKAAGSSGESSSQVAQPHACAVDVEEVHEHGSSEEEPLIQTVECRICQEEDCIKNLETPCACSGSLKFAHRKCVQRWCNEKGDITCEICHQPYQPGYTAPPPPPQSEDATIDISEGWTIPGAPLDLRDPRILAMAAAERHLLEADYDEYADNNASGAAFCRSAALILMALLLLRHALYLTNGDAENDASTFFSVRMLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATEVAFMIQAGQRRGVQFTIAPGPAATPHQEPLQ >EOY21853 pep chromosome:Theobroma_cacao_20110822:3:19135873:19140873:-1 gene:TCM_013997 transcript:EOY21853 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein isoform 3 MGDHLVLCVDCLITPESLQSMQEAKAAGSSGESSSQVAQPHACAVDVEEVHEHGSSEEEPLIQTVECRICQEEDCIKNLETPCACSGSLKFAHRKCVQRWCNEKGDITCEICHQPYQPGYTAPPPPPQSEDATIDISEGWTIPGAPLDLRDPRILAMAAAERHLLEADYDEYADNNASGAAFCRSAALILMALLLLRHALYLTNGDAENDASTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATEVAFMIQAGQRRGVQFTIAPGPAATPHQEPLQ >EOY23286 pep chromosome:Theobroma_cacao_20110822:3:26921595:26929137:1 gene:TCM_015231 transcript:EOY23286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dentin sialophosphoprotein-related, putative isoform 1 MMSTVGLELTSFINPDLTWKTVSKGNRSGTRRTRKLGAKNLTMGMGLADKNARTAEDVTVSESEKLGVDVLGRRFSDKVEQVPIKKRRFMFRSTSPPPPLTPLLHLEASGQDVDFQSASGKNSGSNSAQRRRLKKTDILTKSTVAVDDGKFSEVINDVEDFSGIEILAAAACSDSMGDDVTENEGNTLLEASTQERIESSASAIPLEETTASLETPCCSPKDSVNEGKTEGSSSQDNSSAALQTACCSPKVSVMEGKTEGSSSQDNSSAALQTACCSPKVSVMEGKTEGSSSQDNSSAALHESLGDRDNPTAGRSIPLPDDRLLWDLNLSMDAWPCDGGNIDSQKDAVDNTSVRSEELQTKEPQDIENDTMNRVVSSDVDGNDECNKMTSDLKIMPVGTDDLSGEKQESEGCSGSIENKTEHVPVPVVDAENSLICAAAETNAPTEAGNMDQCLSHSPLPGLDKSTPGSEGNRETSFSTHNIELNTVGCISEAEVGKTVRGENAQVEESDVASPYVPVLETVANDVQKTSVNEDDKDHGIDSGLHDVKSFAQDLDNPRPLEPPEDEHANGTEEMDTCHPSPKSEDMSISDDYIVEAMDRTDGASSTYTAQTDSDTHVRSEALLQKSSRNFVATSGAGEFSAHEACRNYVNGPTSCLDKANLNDLSNESHDSAVSQDKVLTVGIGTHSEVQAGYDSQFEDGELRESDVHCWEEAEQVDYDTEFEEERSFGLEAESGEKKLQAERGSSPDVTGNFKYCETGDALRENSVSLKMRTVEVSDGETKKTDCLDGSNVRDYDFKVTKRELLSRVEGSLSSDAVHRSRSDNFDGSFPRAEREAGSDKFMGRDRSASHMRGRSPVGGHYFNPSASYWDSKRQNSPIYHGPYNFGRPRPKSVVESRGYPMATDQASSEATGVARPDNRINRQYVGSSNGLYRPLFRRRSPVERDDSYGMHTRMATVRDTSPDRTRFRRYPQGFSRGIRDEYLRHIPDDGTEYFSRMPHRLGRRERSISPHGRPHYTLPYKKTRSRSRSRSPIGWLLPRDRNEGSRRRSRSPDFRSDARVDRVRLPFPKRFAADYGEEFISPPRSRISPQRNSRMFEDRNAGLDHFRGRKSPMRMFRQGQRLDQGHPIRRSNSDDYFRHMIRPRRFPDMAGGGKGCKYEGSDDDKHGSRYEMIHRVRRYDTDGAVRRFRYNAEDSYVANNSLTVTNAIGVSSRRPDDAPRTASEDRSFKMQQP >EOY23288 pep chromosome:Theobroma_cacao_20110822:3:26924562:26936370:1 gene:TCM_015231 transcript:EOY23288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dentin sialophosphoprotein-related, putative isoform 1 MEGKTEGSSSQDNSSAALHESLGDRDNPTAGRSIPLPDDRLLWDLNLSMDAWPCDGGNIDSQKDAVDNTSVRSEELQTKEPQDIENDTMNRVVSSDVDGNDECNKMTSDLKIMPVGTDDLSGEKQESEGCSGSIENKTEHVPVPVVDAENSLICAAAETNAPTEAGNMDQCLSHSPLPGLDKSTPGSEGNRETSFSTHNIELNTVGCISEAEVGKTVRGENAQVEESDVASPYVPVLETVANDVQKTSVNEDDKDHGIDSGLHDVKSFAQDLDNPRPLEPPEDEHANGTEEMDTCHPSPKSEDMSISDDYIVEAMDRTDGASSTYTAQTDSDTHVRSEALLQKSSRNFVATSGAGEFSAHEACRNYVNGPTSCLDKANLNDLSNESHDSAVSQDKVLTVGIGTHSEVQAGYDSQFEDGELRESDVHCWEEAEQVDYDTEFEEERSFGLEAESGEKKLQAERGSSPDVTGNFKYCETGDALRENSVSLKMRTVEVSDGETKKTDCLDGSNVRDYDFKVTKRELLSRVEGSLSSDAVHRSRSDNFDGSFPRAEREAGSDKFMGRDRSASHMRGRSPVGGHYFNPSASYWDSKRQNSPIYHGPYNFGRPRPKSVVESRGYPMATDQASSEATGVARPDNRINRQYVGSSNGLYRPLFRRRSPVERDDSYGMHTRMATVRDTSPDRTRFRRYPQGFSRGIRDEYLRHIPDDGTEYFSRMPHRLGRRERSISPHGRPHYTLPYKKTRSRSRSRSPIGWLLPRDRNEGSRRRSRSPDFRSDARVDRVRLPFPKRFAADYGEEFISPPRSRISPQRNSRMFEDRNAGLDHFRGRKSPMRMFRQGQRLDQGHPIRRSNSDDYFRHMIRPRRFPDMAGGGKGCKYEGSDDDKHGSRYEMIHRVRRYDTDGAVRRFRYNAEDSYVANNSLTVTNAIGVSSRRPDDAPRTASEDRSFKMQQP >EOY23287 pep chromosome:Theobroma_cacao_20110822:3:26921595:26929137:1 gene:TCM_015231 transcript:EOY23287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dentin sialophosphoprotein-related, putative isoform 1 MMSTVGLELTSFINPDLTWKTVSKGNRSGTRRTRKLGAKNLTMGMGLADKNARTAEDVTVSESEKLGVDVLGRRFSDKVEQVPIKKRRFMFRSTSPPPPLTPLLHLEASGQDVDFQSASGKNSGSNSAQRRRLKKTDILTKSTVAVDDGKFSEVINDVEDFSGIEILAAAACSDSMGDDVTENEGNTLLEASTQERIESSASAIPLEETTASLETPCCSPKDSVNEGKTEGSSSQDNSSAALQTACCSPKVSVMEGKTEGSSSQDNSSAALQTACCSPKVSVMEGKTEGSSSQDNSSAALHESLGDRDNPTAGRSIPLPDDRLLWDLNLSMDAWPCDGGNIDSQKDAVDNTSVRSEELQTKEPQDIENDTMNRVVSSDVDGNDECNKMTSDLKIMPVGTDDLSGEKQESEGCSGSIENKTEHVPVPVVDAENSLICAAAETNAPTEAGNMDQCLSHSPLPGLDKSTPGSEGNRETSFSTHNIELNTVGCISEAEVGKTVRGENAQVEESDVASPYVPVLETVANDVQKTSVNEDDKDHGIDSGLHDVKSFAQDLDNPRPLEPPEDEHANGTEEMDTCHPSPKSEDMSISDDYIVEAMDRTDGASSTYTAQTDSDTHVRSEALLQKSSRNFVATSGAGEFSAHEACRNYVNGPTSCLDKANLNDLSNESHDSAVSQDKVLTVGIGTHSEVQAGYDSQFEDGELRESDVHCWEEAEQVDYDTEFEEERSFGLEAESGEKKLQAERGSSPDVTGNFKYCETGDALRENSVSLKMRTVEVSDGETKKTDCLDGSNVRDYDFKVTKRELLSRVEGSLSSDAVHRSRSDNFDGSFPRAEREAGSDKFMGRDRSASHMRGRSPVGGHYFNPSASYWDSKRQNSPIYHGPYNFGRPRPKSVVESRGYPMATDQASSEATGVARPDNRINRQYVGSSNGLYRPLFRRRSPVERDDSYGMHTRMATVRDTSPDRTRFRRYPQGFSRGIRDEYLRHIPDDGTEYFSRMPHRLGRRERSISPHGRPHYTLPYKKTRSRSRSRSPIGWLLPRDRNEGSRRRSRSPDFRSDARVDRVRLPFPKRFAADYGEEFISPPRSRISPQRNSRMFEDRNAGLDHFRGRKSPMRMFRQGQRLDQGHPIRRSNSDDYFRHMIRPRRFPDMAGGGKGCKYEGSDDDKHGSRYEMIHRVRRYDTDGAVRRFRYNAEDSYVANNSLTVTNAIGVSSRRPDDAPRTASEDRSFKMQQP >EOY24074 pep chromosome:Theobroma_cacao_20110822:3:29545972:29550121:-1 gene:TCM_015776 transcript:EOY24074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase, putative isoform 1 MMCDNYLETANAYDFEGQDLNKVADIGQNLQLKRIYGVDFDTRLDWPERSLDATPQVVSSNSKLRRVLSSSARIGFNLTENSIFCSPHDVVLKGSRLKRSHHSSGNLESMWVKAQQKLEVVDSFSEMSDEFSEEEDDTFSEAPMERHDNNRTRSRPTYVRIVSKQMVGIYISVWVRKRLRRHINNLKVSPVGVGLMGYMGNKGSVSVSMTLFQSRLCFVCSHLTSGQKDGAEQRRNTDVYEIIRRTRFSSVLDSDQPQTIPSHDQMFWFGDLNYRLNMSGAEVRKLVALKRWDELIDNDQLHKELCSGHVFDGWKEGLIDFPPTYKYEMNSDRYVGEIPKEGEKKRSPAWCDRILWSGKGIKQLCYQRAEIRLSDHRPVSSMFSVEVEVLDHRKLQRALNVSTAAVHPDIFFDENGELEL >EOY24072 pep chromosome:Theobroma_cacao_20110822:3:29545461:29551677:-1 gene:TCM_015776 transcript:EOY24072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase, putative isoform 1 MKTRRGKRSEAFWPSIVMKKWLNIKPKVYDFSEDEVDTETESEDDACSLKDSRLHGGEDHTHRTPGNQSDCRSQISDAPSKGYQLRHRRGKSETLRAQYINTKDVRVTIGTWNVAGRLPCEDLEIDDWLCTNEQADIYIIGFQEVVPLNAGNVLGAEDSRPIPKWEAIIRRTLNKSFEPESKHKCYSAPPSPVLRTSSVADVLVDEIDALPLEMMCDNYLETANAYDFEGQDLNKVADIGQNLQLKRIYGVDFDTRLDWPERSLDATPQVVSSNSKLRRVLSSSARIGFNLTENSIFCSPHDVVLKGSRLKRSHHSSGNLESMWVKAQQKLEVVDSFSEMSDEFSEEEDDTFSEAPMERHDNNRTRSRPTYVRIVSKQMVGIYISVWVRKRLRRHINNLKVSPVGVGLMGYMGNKGSVSVSMTLFQSRLCFVCSHLTSGQKDGAEQRRNTDVYEIIRRTRFSSVLDSDQPQTIPSHDQMFWFGDLNYRLNMSGAEVRKLVALKRWDELIDNDQLHKELCSGHVFDGWKEGLIDFPPTYKYEMNSDRYVGEIPKEGEKKRSPAWCDRILWSGKGIKQLCYQRAEIRLSDHRPVSSMFSVEVEVLDHRKLQRALNVSTAAVHPDIFFDENGELEL >EOY24073 pep chromosome:Theobroma_cacao_20110822:3:29545952:29552381:-1 gene:TCM_015776 transcript:EOY24073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase, putative isoform 1 MKTRRGKRSEAFWPSIVMKKWLNIKPKVYDFSEDEVDTETESEDDACSLKDSRLHGGEDHTHRTPGNQSDCRSQISDAPSKGYQLRHRRGKSETLRAQYINTKDVRVTIGTWNVAGRLPCEDLEIDDWLCTNEQADIYIIGFQEVVPLNAGNVLGAEDSRPIPKWEAIIRRTLNKSFEPESKHKCYSAPPSPVLRTSSVADVLVDEIDALPLEMMCDNYLETANAYDFEGQDLNKVADIGQNLQLKRIYGVDFDTRLDWPERSLDATPQVVSSNSKLRRVLSSSARIGFNLTENSIFCSPHDVVLKGSRLKRSHHSSGNLESMWVKAQQKLEVVDSFSEMSDEFSEEEDDTFSEAPMERHDNNRTRSRPTYVRIVSKQMVGIYISVWVRKRLRRHINNLKVSPVGVGLMGYMGNKGSVSVSMTLFQSRLCFVCSHLTSGQKDGAEQRRNTDVYEIIRRTRFSSVLDSDQPQTIPSHDQMFWFGDLNYRLNMSGAEVRKLVALKRWDELIDNDQLHKELCSGHVFDGWKEGLIDFPPTYKYEMNSDRYVGEIPKEGEKKRSPAWCDRILWSGKGIKQLCYQRAEIRLSDHRPVSSMFSVEVEVLDHRKLQRALNVSTAAVHPDIFFDENGELEL >EOY23578 pep chromosome:Theobroma_cacao_20110822:3:27926339:27929562:1 gene:TCM_015428 transcript:EOY23578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P protein subunit P38-related isoform 1 MDEKGISGSYLIISEEKSDSLYPMYFGVSCAFFALRLLTGPEKEDEKWSELRDKMLQGSAQLLGLLVWRIQREEANLAKCELHQKLETAEKEIEELKKRRHEDAKANEKVVGIFASQEQGWLIERKKLRQQIGALINELRVLEKKKNEEIAGLSKKFNEMELLVESKDKVIEEMEQKGKELEEKVMKFESIAEELRETAQREAQEHCTELWKHKTAFIEIVSNQRQLEAEIGRAFRQVEATKLELDSVLEQKEESVLLAQKLSIEITKIRKDLEQKDKILSAMLRKSKLDTAEKQMLLKEVKVSKAKKKQAELETERWKAVSESRHERHSLKGMFAKQASAKLDVSSGVKEVSNSGKTRSQPIDLVFEYDYSDLRTDPEVFSPLPDCHSLEANEELVVTADVKRLEGWVRAEAEKYATVIEKRHHLELDAFAEQMRLKDEKLEAFRWRLLSMELESKRLQSHVEGLNQDVSQLRQENMKLEALLLEREEELDSLKEQFASQLKPLSCQKTSLLNLSLHEPALTHDSFWPKVKFIKKKSIEREQETKTSLLDRPQERHAEKEEVNPSYNDSKNIRLIVQSPDKEFEEGRDISNLGPTQKETNGSVEVDSADKSALPGQSLGKTKNTPWRMDLQALGVSYKIKRLKQQLLMVERLTGKQESGEDTEGDDNGMKGFLSLISLLNKQVSRYLSLQGKTDDLS >EOY23577 pep chromosome:Theobroma_cacao_20110822:3:27925977:27929554:1 gene:TCM_015428 transcript:EOY23577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P protein subunit P38-related isoform 1 MDEKGISGSYLIISEEKSDSLYPMYFGVSCAFFALRLLTGPEKEDEKWSELRDKMLQGSAQLLGLLVWRIQREEANLAKCELHQKLETAEKEIEELKKRRHEDAKANEKVVGIFASQEQGWLIERKKLRQQIGALINELRVLEKKKNEEIAGLSKKFNEMELLVESKDKVIEEMEQKGKELEEKVMKFESIAEELRETAQREAQEHCTELWKHKTAFIEIVSNQRQLEAEIGRAFRQVEATKLELDSVLEQKEESVLLAQKLSIEITKIRKDLEQKDKILSAMLRKSKLDTAEKQMLLKEVKVSKAKKKQAELETERWKAVSESRHERHSLKGMFAKQASAKLDVSSGVKEVSNSGKTRSQPIDLVFEYDYSDLRTDPEVFSPLPDCHSLEANEELVTADVKRLEGWVRAEAEKYATVIEKRHHLELDAFAEQMRLKDEKLEAFRWRLLSMELESKRLQSHVEGLNQDVSQLRQENMKLEALLLEREEELDSLKEQFASQLKPLSCQKTSLLNLSLHEPALTHDSFWPKVKFIKKKSIEREQETKTSLLDRPQERHAEKEEVNPSYNDSKNIRLIVQSPDKEFEEGRDISNLGPTQKETNGSVEVDSADKSALPGQSLGKTKNTPWRMDLQALGVSYKIKRLKQQLLMVERLTGKQESGEDTEGDDNGMKGFLSLISLLNKQVSRYLSLQGKTDDLCKRMHDNDIDTSQGDCSTRKKNGDTKTLEHFLEETFQLQRYMVATGQKLMEVQSKIASGFIGVELDKSATFDMKRFADNVRSLFQEVQRGLEVRIARIIGDLEGTLACEGMTHFRR >EOY23576 pep chromosome:Theobroma_cacao_20110822:3:27925526:27930148:1 gene:TCM_015428 transcript:EOY23576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P protein subunit P38-related isoform 1 MDEKGISGSYLIISEEKSDSLYPMYFGVSCAFFALRLLTGPEKEDEKWSELRDKMLQGSAQLLGLLVWRIQREEANLAKCELHQKLETAEKEIEELKKRRHEDAKANEKVVGIFASQEQGWLIERKKLRQQIGALINELRVLEKKKNEEIAGLSKKFNEMELLVESKDKVIEEMEQKGKELEEKVMKFESIAEELRETAQREAQEHCTELWKHKTAFIEIVSNQRQLEAEIGRAFRQVEATKLELDSVLEQKEESVLLAQKLSIEITKIRKDLEQKDKILSAMLRKSKLDTAEKQMLLKEVKVSKAKKKQAELETERWKAVSESRHERHSLKGMFAKQASAKLDVSSGVKEVSNSGKTRSQPIDLVFEYDYSDLRTDPEVFSPLPDCHSLEANEELVVTADVKRLEGWVRAEAEKYATVIEKRHHLELDAFAEQMRLKDEKLEAFRWRLLSMELESKRLQSHVEGLNQDVSQLRQENMKLEALLLEREEELDSLKEQFASQLKPLSCQKTSLLNLSLHEPALTHDSFWPKVKFIKKKSIEREQETKTSLLDRPQERHAEKEEVNPSYNDSKNIRLIVQSPDKEFEEGRDISNLGPTQKETNGSVEVDSADKSALPGQSLGKTKNTPWRMDLQALGVSYKIKRLKQQLLMVERLTGKQESGEDTEGDDNGMKGFLSLISLLNKQVSRYLSLQGKTDDLCKRMHDNDIDTSQGDCSTRKKNGDTKTLEHFLEETFQLQRYMVATGQKLMEVQSKIASGFIGVELDKSATFDMKRFADNVRSLFQEVQRGLEVRIARIIGDLEGTLACEGMTHFRR >EOY21751 pep chromosome:Theobroma_cacao_20110822:3:17897891:17903868:-1 gene:TCM_013857 transcript:EOY21751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 12A1 isoform 3 QGKWTGSAAWNTLLDPLNGEPFIKVAEVDETGVQPFVESLSKCPKHGLHNPFKSPERYLLYGDISAKAAHMLSLPKVSDFFTRLIQRVSPKSYQQALGEVYVTRKFLENFSGDQVRFLARCFAVPGNHIGQQSHGYRWPYGPVAIITPFNFPLEIPVLQLMGALYMGNKPILKVDSKVSIVMEQMMRLLHYCGLPVEDVDFINSDGKTMNKLLMEANPRMTLFTGSSRVAEKLAVDLKGCIKLEDAGFDWKILGPDVEEVDYVAWVCDQDAYACSGQKCSAQSILFMHENWSASSLIPKMKYLAERRKLADLTIGPVLTVTTEAMLEHKKKLLQISGSKLLFGGEPLENHSIPPIYGALKPTAIYIPLEEILKDDNYELVTKEIFGPFQIITDYKKDQLPMVLDALERMHAHLTAAVVSNDPIFLQGVIGNTVNGTTYAGLRARTTGAPQNHW >EOY21749 pep chromosome:Theobroma_cacao_20110822:3:17897110:17904674:-1 gene:TCM_013857 transcript:EOY21749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 12A1 isoform 3 MHRTVLQRELRSRASHKALAWVNSFNISRSVHSLPFTTVEAEGISGSQPAEVQNLVQGKWTGSAAWNTLLDPLNGEPFIKVAEVDETGVQPFVESLSKCPKHGLHNPFKSPERYLLYGDISAKAAHMLSLPKVSDFFTRLIQRVSPKSYQQALGEVYVTRKFLENFSGDQVRFLARCFAVPGNHIGQQSHGYRWPYGPVAIITPFNFPLEIPVLQLMGALYMGNKPILKVDSKVSIVMEQMMRLLHYCGLPVEDVDFINSDGKTMNKLLMEANPRMTLFTGSSRVAEKLAVDLKGCIKLEDAGFDWKILGPDVEEVDYVAWVCDQDAYACSGQKCSAQSILFMHENWSASSLIPKMKYLAERRKLADLTIGPVLTVTTEAMLEHKKKLLQISGSKLLFGGEPLENHSIPPIYGALKPTAIYIPLEEILKDDNYELVTKEIFGPFQIITDYKKDQLPMVLDALERMHAHLTAAVVSNDPIFLQGVIGNTVNGTTYAGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDFGPLPRDWEIPPST >EOY21750 pep chromosome:Theobroma_cacao_20110822:3:17897115:17904004:-1 gene:TCM_013857 transcript:EOY21750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 12A1 isoform 3 MFLFLVQGKWTGSAAWNTLLDPLNGEPFIKVAEVDETGVQPFVESLSKCPKHGLHNPFKSPERYLLYGDISAKAAHMLSLPKVSDFFTRLIQRVSPKSYQQALGEVYVTRKFLENFSGDQVRFLARCFAVPGNHIGQQSHGYRWPYGPVAIITPFNFPLEIPVLQLMGALYMGNKPILKVDSKVSIVMEQMMRLLHYCGLPVEDVDFINSDGKTMNKLLMEANPRMTLFTGSSRVAEKLAVDLKGCIKLEDAGFDWKILGPDVEEVDYVAWVCDQDAYACSGQKCSAQSILFMHENWSASSLIPKMKYLAERRKLADLTIGPVLTVTTEAMLEHKKKLLQISGSKLLFGGEPLENHSIPPIYGALKPTAIYIPLEEILKDDNYELVTKEIFGPFQIITDYKKDQLPMVLDALERMHAHLTAAVVSNDPIFLQGVIGNTVNGTTYAGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDFGPLPRDWEIPPST >EOY21748 pep chromosome:Theobroma_cacao_20110822:3:17897359:17904705:-1 gene:TCM_013857 transcript:EOY21748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 12A1 isoform 3 MHRTVLQRELRSRASHKALAWVNSFNISRSVHSLPFTTVEAEGISGSQPAEVQNLVQGKWTGSAAWNTLLDPLNGEPFIKVAEVDETGVQPFVESLSKCPKHGLHNPFKSPERYLLYGDISAKAAHMLSLPKVSDFFTRLIQRVSPKSYQQALGEVYVTRKFLENFSGDQVRFLARCFAVPGNHIGQQSHGYRWPYGPVAIITPFNFPLEIPVLQLMGALYMGNKPILKVDSKVSIVMEQMMRLLHYCGLPVEDVDFINSDGKTMNKLLMEANPRMTLFTGSSRVAEKLAVDLKGCIKLEDAGFDWKILGPDVEEVDYVAWVCDQDAYACSGQKCSAQSILFMHENWSASSLIPKMKYLAERRKLADLTIGPVLTVTTEAMLEHKKKLLQISGSKLLFGGEPLENHSIPPIYGALKPTAIYIPLEEILKDDNYELVTKEIFGPFQIITDYKKDQLPMVLDALERMHAHLTAAVVSNDPIFLQGVIGNTVNGTTYAGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDFGPLPRDWEIPPST >EOY24003 pep chromosome:Theobroma_cacao_20110822:3:29286519:29290080:-1 gene:TCM_015721 transcript:EOY24003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 1 MGDIAKDLTSGTVGGAAQLIVGHPFDTIKVKLQSQPAPLPGQPPKYAGAMDAVKQTLAAEGPRGLYKGMGAPLATVAAFNAVLFTARGQMEALLRSEPGAPLTVSQQVVCGAGAGVAVSFLACPTELIKCRLQAQSALADSSSAGVAVKYGGPMDVARHVLRSEGGIRGLFKGLVPTLAREVPGNAAMFGVYEALKQYMAGGPDTSKLGRGSLIVAGGLAGASFWFSVYPTDVVKSVIQVDDYKNPKYTGSINAIRRILASEGAKGLYKGFGPAMARSVPANAACFLAYEVTRASLG >EOY24004 pep chromosome:Theobroma_cacao_20110822:3:29286603:29290213:-1 gene:TCM_015721 transcript:EOY24004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 1 MGDIAKDLTSGTVGGAAQLIVGHPFDTIKVKLQSQPAPLPGQPPKYAGAMDAVKQTLAAEGPRGLYKGMGAPLATVAAFNAVLFTARGQMEALLRSEPGAPLTVSQQVVCGAGAGVAVSFLACPTELIKCRLQAQSALADSSSAGVAVKYGGPMDVARHVLRSEGGIRGLFKGLVPTLAREVPGNAAMFGVYEALKQYMAGGPDTSKLGRGSLIVAGGLAGASFWFSVYPTDVVKSVIQVDDYKNPKYTGSINAIRRILASEGAKGLYKGFGPAMARSVPANAACFLAYEVTRASLG >EOY22499 pep chromosome:Theobroma_cacao_20110822:3:23711431:23712372:1 gene:TCM_014655 transcript:EOY22499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 30 [Source:Projected from Arabidopsis thaliana (AT5G63480) UniProtKB/Swiss-Prot;Acc:Q9FMV4] MEENPLNATTPTSSNTKTTQELAAEGLKHLEETIEAAFQILSSMNDELCNPALWSTIPSSSNSTTASSTTTANTAAPNGPSSLSNGDSASDGGHHLEMGGIGGSGNGALDEARLRQRHLKRVQLQAVLQMKQKLTSWKTKPLI >EOY22498 pep chromosome:Theobroma_cacao_20110822:3:23711431:23712732:1 gene:TCM_014655 transcript:EOY22498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 30 [Source:Projected from Arabidopsis thaliana (AT5G63480) UniProtKB/Swiss-Prot;Acc:Q9FMV4] MEENPLNATTPTSSNTKTTQELAAEGLKHLEETIEAAFQILSSMNDELCNPALWSTIPSSSNSTTASSTTTANTAAPNGPSSLSNGDSASDGGHHLEMGGIGGSGNGALDEARLRYKNSVASLRAVLAAIPNSQKELANKNE >EOY22497 pep chromosome:Theobroma_cacao_20110822:3:23711388:23713041:1 gene:TCM_014655 transcript:EOY22497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 30 [Source:Projected from Arabidopsis thaliana (AT5G63480) UniProtKB/Swiss-Prot;Acc:Q9FMV4] MEENPLNATTPTSSNTKTTQELAAEGLKHLEETIEAAFQILSSMNDELCNPALWSTIPSSSNSTTASSTTTANTAAPNGPSSLSNGDSASDGGHHLEMGGIGGSGNGALDEARLRYKNSVASLRAVLAAIPNSQKAKAFETGSTASSPADEAEIDKLEDQASNLRKELANKNEYLKLLIDQLRDLITDISTWQSPCSI >EOY24967 pep chromosome:Theobroma_cacao_20110822:3:32799732:32801984:1 gene:TCM_016416 transcript:EOY24967 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group family isoform 1 MADAAVVDVPKKSRNNGRKALKQKNPSTNEANILAQKLSQASPTPVASPMEADPSKENQEGLSQPLTSPKKGKVAARGKQGKQQQQSFEKDLQEMQEMLQKLRIEKEKTEVLLKEKDEMLKMKEEELETKGKEQEKLQMEFKKLQKMKEFKPTMTFPIAQSLKDKEQDKKEKKKGGPEKKRPSPPYILWCKDQWNEVKKENPEADFKEVSNILGAKWKTITAEEKKPYEEKYHTEKEAYLQVIAKEKRECEAMKLLEDEHKQKTAMELLEQYLQFRHEAEKETKKTKKERDPLKPKQPMSAFFLFSNERRAALLAENKNVLEVAKITGEEWKNMTEEQRGPYEEMAKKMKEKYMQEMEVYKHKKEEEALSLRKEEEEMMKLQKQEALQLLKKKEKTENIIKKTKEKRQKKKQQSSDPNRPKKPASSFLLFSKEARKTLMQERPGINNATLNALISVKWKEISEEEKNVWNAKAAEAMEAYKKDLEEYNKSVAEAAETKQQQQ >EOY24968 pep chromosome:Theobroma_cacao_20110822:3:32799857:32801319:1 gene:TCM_016416 transcript:EOY24968 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group family isoform 1 MADAAVVDVPKKSRNNGRKALKQKNPSTNEANILAQKLSQASPTPVASPMEADPSKENQEGLSQPLTSPKKGKVAARGKQGKQQQQSFEKDLQEMQEMLQKLRIEKEKTEVLLKEKDEMLKMKEEELETKGKEQEKLQMEFKKLQKMKEFKPTMTFPIAQSLKDKEQDKKEKKKGGPEKKRPSPPYILWCKDQWNEVKKENPEADFKEVSNILGAKWKTITAEEKKPYEEKYHTEKEAYLQVIAKEKRECEAMKLLEDEHKQKTAMELLEQYLQFRHEAEKETKKTKKERDPLKPKQPMSAFFLFSNERRAALLAENKNVLEVAKITGEEWKNMTEEQRGPYEEVLDLWKKTRISIKFLLAWFDLSIFFL >EOY23642 pep chromosome:Theobroma_cacao_20110822:3:28093023:28096260:-1 gene:TCM_015469 transcript:EOY23642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast outer envelope protein 37, putative isoform 2 MLEPSAQNPNYLIPPLSPLPPSPPPPPPLEPSPPSSTPTKHNHLFSLLSKRPSLRVTSEFDSDSRIFFHKVSCKLFDNLAKLKLSFVNNSKREISDPQLALTSKYLSIHYDPEEQNALIKSSFDVGPMLHFNAAHDVKAQQGEVAMVANLADPSYAVEVSSPVPYVGLPKATIRFPMGEVTLEEREEEEVAKTLSINGILKGPILNGVCAAHYKDEELKLRYSYKDGAIPSDKLSYWYNLDSDYWSAVYKHTYDKDFKFKAGYDSEVRLGWASLWVGDENGKAKTAPMKMKVQFMLQVPQDDIKSSVLMFRVKKRWDIL >EOY23643 pep chromosome:Theobroma_cacao_20110822:3:28093454:28096298:-1 gene:TCM_015469 transcript:EOY23643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast outer envelope protein 37, putative isoform 2 MLEPSAQNPNYLIPPLSPLPPSPPPPPPLEPSPPSSTPTKHNHLFSLLSKRPSLRVTSEFDSDSRIFFHKVSCKLFDNLAKLKLSFVNNSKREISDPQLALTSKYLSIHYDPEEQNALIKSSFDVGPMLHFNAAHDVKAQQGEVAMVANLADPSYAVEVSSPVPYVGLPKATIRFPMGEVTLEEREEEEVAKTLSINGILKGPILNGVCAAHYKDEELKLRYSYKDGAMSLS >EOY21669 pep chromosome:Theobroma_cacao_20110822:3:16350489:16354765:1 gene:TCM_013720 transcript:EOY21669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich family protein isoform 2 MYKSSIGPRIPLSAASSSAAASSSNTESEDVPVAVPPPPPPPPPPLPETGISNSVDGSVVPASLPLPPPPPMPPKPATANLGIPLPPPPGPPPPPGPPPKEQVTVRPPLPPPPPLLQTSQPPPPGTSGNEKEKISVLSDDSTSKELAQVPSVLPPPPPGLPPKLAISQAEGAPSEADANNHPATKEAPKMVPPPPPPPRQQPPVPGPAMVPALRPDVLPPGISRFPPPPHPDMRAPLSATGLPGQAAPSGMMVPLIPRPPFGPPPGPPPMMRPPLPPGPPPTALDDDNSANRPLLPQKPSYVKSAASTVVKRPLAQHQPELTAMVPASVRVRRETAAPRAKPKPLLSTTTVNAKPVAPTIVKPESTSSSAPKGQSIDDSYMAFLEDMKALGALDST >EOY21668 pep chromosome:Theobroma_cacao_20110822:3:16348601:16355911:1 gene:TCM_013720 transcript:EOY21668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich family protein isoform 2 MKTTKGGKVMNPTDAYRKELRKKELKRNKKERKKVREVGILKKDPQAIMEQIEKLEMMKAEGALDKARKHKKRQLEDTLNLVLKKRKEYEDKMKEKGETPVMFSHLGPPRRRTTAEEEERSKHPKPEDSVYYHPTLNPTGAPPPGKPPMYKSSIGPRIPLSAASSSAAASSSNTESEDVPVAVPPPPPPPPPPLPETGISNSVDGSVVPASLPLPPPPPMPPKPATANLGIPLPPPPGPPPPPGPPPKEQVTVRPPLPPPPPLLQTSQPPPPGTSGNEKEKISVLSDDSTSKELAQVPSVLPPPPPGLPPKLAISQAEGAPSEADANNHPATKEAPKMVPPPPPPPRQQPPVPGPAMVPALRPDVLPPGISRFPPPPHPDMRAPLSATGLPGQAAPSGMMVPLIPRPPFGPPPGPPPMMRPPLPPGPPPTALDDDNSANRPLLPQKPSYVKSAASTVVKRPLAQHQPELTAMVPASVRVRRETAAPRAKPKPLLSTTTVNAKPVAPTIVKPESTSSSAPKGQSIDDSYMAFLEDMKALGALDST >EOY21670 pep chromosome:Theobroma_cacao_20110822:3:16351606:16354761:1 gene:TCM_013720 transcript:EOY21670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich family protein isoform 2 MYKSSIGPRIPLSAASSSAAASSSNTESEDVPVAVPPPPPPPPPPLPETGISNSVDGSVVPASLPLPPPPPMPPKPATANLGIPLPPPPGPPPPPGPPPKEQVTVRPPLPPPPPLLQTSQPPPPGTSGNEKEKISVLSDDSTSKELAQVPSVLPPPPPGLPPKLAISQAEGAPSEADANNHPATKEAPKMVPPPPPPPRQQPPVPGPAMVPALRPDVLPPGISRFPPPPHPDMRAPLSATGLPGQAAPSGMMVPLIPRPPFGPPPGPPPMMRPPLPPGPPPTALDDDNSANRPLLPQKPSYVKSAASTVVKRPLAQHQPELTAMVPASVRVRRETAAPRAKPKPLLSTTTVNAKPVAPTIVKPESTSSSAPKGQSIDDSYMAFLEDMKALGALDST >EOY25150 pep chromosome:Theobroma_cacao_20110822:3:33428375:33434752:1 gene:TCM_016559 transcript:EOY25150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cam interacting protein 111 isoform 3 MPSKSKKQSKMPSRQSNSDPSASPRTPSVSSLDSEVSEEVLRCSLEEVSRRYPSLIGKSAFIGRVSDVGLETRGCKIWLSESSMVASYLAPGSLVSVSLAALKNEHSNGFPLSLVTDECAKTFVVDLANETAKEVGNYFALATVFPSCKVLKNGVRLSSNLSYTLGCPASGSTVFVYPIQSEFKTGLVSGRENAHNPNANYLSLHSCKQLHLELTSFKNTVNTSNDILPKMEFATEKTHGQYENGITSSPKTPLYQPKLSSPHSSQLASPLCEGSASNFSKPNGLYVDSFDVKEILRDESSKKLLETCAASWLYSRNLLCGNIVAFPILSELCIFRVRGAGITNQDLKNGSHHSLPTQNLESMEHVDNAFVVDYETKVYLCFSSDLSSETLAERPSPCLQLDLEEVETIMEHDISELGGLSQEYAVLKEIISSSVKNALSSFGLQTTKGVLLHGPPGTGKTSLARLCVRDAGVNLFYVNGPEIVSEYYGESEQELLKVFESATQAAPSVVFIDELDAIAPARKEGGEQLSQRMVATLLNLMDGISRTDGVLVIAATNRPDSIEPALRRPGRLGRELEIGVPSPKQRLDILHTLLSKMDHCISDMQVQQLAMATHGFVGADLASLCNEAALVCLRRYAKFKVSCQGLDSCGMPITYIGHSGHKMEGMECGSDLRDISISCSDSASSCKTDLPDSAETVSQITASIQTGISDISEGMSLVKEKCLLRLAFEDFEKARVKVRPSAMREVILEVPKVNWEDVGGQREVKNQLMEAVEWPQKHQDAFKRIGTRPPTGVLMFGPPGCSKTLMARAVASKAGLNFLAVKGPELFSKWVGESEKAVRSLFAKARANAPSIIFFDEIDSLAVIRGKESDGVSVSDRVMSQLLVELDGLHQRVDVTVIAATNRPDKIDSALLRPGRFDRLLYVGPPNKNDREDIFRIHLRKIPCNSDVSLKELAHLTEGCTGADISLICREAAVAALEESLDAEEVTMCHLKAAIRQARPSEIQLYQELSAKFERLVHSSTIEKTLGSQQCSIRSTGLPFWKSTD >EOY25152 pep chromosome:Theobroma_cacao_20110822:3:33427373:33435417:1 gene:TCM_016559 transcript:EOY25152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cam interacting protein 111 isoform 3 MPSKSKKQSKMPSRQSNSDPSASPRTPSVSSLDSEVSEEVLRCSLEEVSRRYPSLIGKSAFIGRVSDVGLETRGCKIWLSESSMVASYLAPGSLVSVSLAALKNEHSNGFPLSLVTDECAKTFVVDLANETAKEVGNYFALATVFPSCKVLKNGVRLSSNLSYTLGCPASGSTVFVYPIQSEFKTGLVSGRENAHNPNANYLSLHSCKQLHLELTSFKNTVNTSNDILPKMEFATEKTHGQYENGITSSPKTPLYQPKLSSPHSSQLASPLCEGSASNFSKPNGLYVDSFDVKEILRDESSKKLLETCAASWLYSRNLLCGNIVAFPILSELCIFRVRGAGITNQDLKNGSHHSLPTQNLESMEHVDNAFVVDYETKVYLCFSSDLSSETLAERPSPCLQLDLEEVETIMEHDISELGGLSQEYAVLKEIISSSVKNALSSFGLQTTKGVLLHGPPGTGKTSLARLCVRDAGVNLFYVNGPEIVSEYYGESEQELLKVFESATQAAPSVVFIDELDAIAPARKEGGEQLSQRMVATLLNLMDGISRTDGVLVIAATNRPDSIEPALRRPGRLGRELEIGVPSPKQRLDILHTLLSKMDHCISDMQVQQLAMATHGFVGADLASLCNEAALVCLRRYAKFKVSCQGLDSCGMPITYIGHSGHKMEGMECGSDLRDISISCSDSASSCKTDLPDSAETVSQITASIQTGISDISEGMSLVKEKCLLRLAFEDFEKARVKVRPSAMREVILEVPKVNWEDVGGQREVKNQLMEAVEWPQKHQDAFKRIGTRPPTGVLMFGPPGCSKTLMARAVASKAGLNFLAVKGPELFSKWVGESEKAVRSLFAKVCIKELMLL >EOY25153 pep chromosome:Theobroma_cacao_20110822:3:33427597:33433261:1 gene:TCM_016559 transcript:EOY25153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cam interacting protein 111 isoform 3 MPSKSKKQSKMPSRQSNSDPSASPRTPSVSSLDSEVSEEVLRCSLEEVSRRYPSLIGKSAFIGRVSDVGLETRGCKIWLSESSMVASYLAPGSLVSVSLAALKNEHSNGFPLSLVTDECAKTFVVDLANETAKEVGNYFALATVFPSCKVLKNGVRLSSNLSYTLGCPASGSTVFVYPIQSEFKTGLVSGRENAHNPNANYLSLHSCKQLHLELTSFKNTVNTSNDILPKMEFATEKTHGQYENGITSSPKTPLYQPKLSSPHSSQLASPLCEGSASNFSKPNGLYVDSFDVKEILRDESSKKLLETCAASWLYSRNLLCGNIVAFPILSELCIFRVRGAGITNQDLKNGSHHSLPTQNLESMEHVDNAFVVDYETKVYLCFSSDLSSETLAERPSPCLQLDLEEVETIMEHDISELGGLSQEYAVLKEIISSSVKNALSSFGLQTTKGVLLHGPPGTGKTSLARLCVRDAGVNLFYVNGPEIVSEYYGESEQELLKVFESATQAAPSVVFIDELDAIAPARKEGGEQLSQRMVATLLNLMDGISRTDGVLVIAATNRPDSIEPALRRPGRLGRELEIGVPSPKQRLDILHTLLSKMDHCISDMQVQQLAMATHGFVGADLASLCNEAALVCLRRYAKFKVSCQGLDSCGMPITYIGHSGHKMEGMECGSDLRDISISCSDSASSCKTDLPDSAETVSQITASIQTGISDISEGMSLVKEKCLLRLAFEDFEKARVKVRPSAMREVILEVPKVNWEDVGGQREVKNQLMEAVEWPQKHQDAFKRIGTRPPTGVLMFGPPGCSKTLMARAVASKAGLNFLAVKGPELFSKWVGESENQLLVELDG >EOY25151 pep chromosome:Theobroma_cacao_20110822:3:33427373:33435417:1 gene:TCM_016559 transcript:EOY25151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cam interacting protein 111 isoform 3 MPSKSKKQSKMPSRQSNSDPSASPRTPSVSSLDSEVSEEVLRCSLEEVSRRYPSLIGKSAFIGRVSDVGLETRGCKIWLSESSMVASYLAPGSLVSVSLAALKNEHSNGFPLSLVTDECAKTFVVDLANETAKEVGNYFALATVFPSCKVLKNGVRLSSNLSYTLGCPASGSTVFVYPIQSEFKTGLVSGRENAHNPNANYLSLHSCKQLHLELTSFKNTVNTSNDILPKMEFATEKTHGQYENGITSSPKTPLYQPKLSSPHSSQLASPLCEGSASNFSKPNGLYVDSFDVKEILRDESSKKLLETCAASWLYSRNLLCGNIVAFPILSELCIFRVRGAGITNQDLKNGSHHSLPTQNLESMEHVDNAFVVDYETKVYLCFSSDLSSETLAERPSPCLQLDLEEVETIMEHDISELGGLSQEYAVLKEIISSSVKNALSSFGLQTTKGVLLHGPPGTGKTSLARLCVRDAGVNLFYVNGPEIVSEYYGESEQELLKVFESATQAAPSVVFIDELDAIAPARKEGGEQLSQRMVATLLNLMDGISRTDGVLVIAATNRPDSIEPALRRPGRLGRELEIGVPSPKQRLDILHTLLSKMDHCISDMQVQQLAMATHGFVGADLASLCNEAALVCLRRYAKFKVSCQGLDSCGMPITYIGHSGHKMEGMECGSDLRDISISCSDSASSCKTDLPDSAETVSQITASIQTGISDISEGMSLVKEKCLLRLAFEDFEKARVKVRPSAMREVILEVPKVNWEDVGGQREVKNQLMEAVEWPQKHQDAFKRIGTRPPTGVLMFGPPGCSKTLMARAVASKAGLNFLAVKGPELFSKWVGESEKAVRSLFAKARANAPSIIFFDEIDSLAVIRGKESDGVSVSDRVMSQLLVELDGLHQRVDVTVIAATNRPDKIDSALLRPGRFDRLLYVGPPNKNDREDIFRIHLRKIPCNSDVSLKELAHLTEGCTGADISLICREAAVAALEESLDAEEVTMCHLKAAIRQARPSEIQLYQELSAKFERLVHSSTIEKTLGSQQCSIRSTGLPFCRTLIKSMSQFFARFPAALLHSKSATNG >EOY23993 pep chromosome:Theobroma_cacao_20110822:3:29248259:29249555:1 gene:TCM_015713 transcript:EOY23993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLNPAPEIKQIFSYCGAPTPWKISSNGDNQLRRPTPAIQRRFKRMGVPPRWKKMGEALSNSTKMTDTAA >EOY24475 pep chromosome:Theobroma_cacao_20110822:3:31123212:31128469:1 gene:TCM_016070 transcript:EOY24475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MKGVFSAPGDYIHFKSQVPLHKIPIGTKQWRYYDFGPKVVPPIICLPGTTGTADVYYKQIMSLSMKGYRVISVDIPRVWNHQEWIQSFEKFLDAIDVHHIHLYGTSLGGFLAQLFAQHRPRRVKSLILSNAFLETRSFAAAMPWAPIVGWTPSFLLKRYILTGIRDGPHEPFIADSVDFVVSQVETLSREDLASRLTLTVDSASVGPLLLSDSFITIMDTNDFSAIPQQLKDQLSERYPGARRAYLKTGGDFPFLSRPDEVNLHLQLHLRRVGVEAQPDLVRSIPKDGTGGSPSKENDEKKDSDDPPKDNGGNPESTSEERQLPPAPESSESHGLDDQLLSNAKICSLGHEGAISLSHSALLKNQHTVASKILLQSTWEFFIFSLLPFYVESLYITSSYGWKFRQLV >EOY24476 pep chromosome:Theobroma_cacao_20110822:3:31124126:31128231:1 gene:TCM_016070 transcript:EOY24476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 METQRGTLLLLFINCYGSHFRSCLFLPQIGTKQWRYYDFGPKVVPPIICLPGTTGTADVYYKQIMSLSMKGYRVISVDIPRVWNHQEWIQSFEKFLDAIDVHHIHLYGTSLGGFLAQLFAQHRPRRVKSLILSNAFLETRSFAAAMPWAPIVGWTPSFLLKRYILTGIRDGPHEPFIADSVDFVVSQVETLSREDLASRLTLTVDSASVGPLLLSDSFITIMDTNDFSAIPQQLKDQLSERYPGARRAYLKTGGDFPFLSRPDEVNLHLQLHLRRVGVEAQPDLVRSIPKDGTGGSPSKENDEKKDSDDPPKDNGGNPESTSEERQLPPAPESSESHGLDDQLLSNAKICSLGHEGAISLSHSALLKNQHTVASKILLQSTWEFFIFSLLPFYVESLYITSSYGWKFRQLV >EOY22286 pep chromosome:Theobroma_cacao_20110822:3:22836315:22842179:-1 gene:TCM_014505 transcript:EOY22286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMKTMCSRRWGVVEAVTSLLNTISVHNIDTVNRLARDVLAYHFSLVAITYVRGNYILLLCNFDDPLLWGFKGFLPLDAKLACWVIAAKDICFKNSLLAYVFLHLGVFRAAEF >EOY20937 pep chromosome:Theobroma_cacao_20110822:3:1873005:1878266:1 gene:TCM_012260 transcript:EOY20937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSRSPSFSVKPELSVKPDPDSLQRWVVAFCIIRFDLEQGQLIEECYPPGCLTQEEELEVAFSSFPDSVSQHQNRSSIHDCIFFFRFRRHKNTKQGNVTSSEITEIDDKDTTSTPKEEKVIRRLRSSGKGSRHLYGYVFNRQRHDERLKRGGEQKSVVILSHGPYSSVFRPLLQIMGPLYFDIGKKAIEHIAGYVSLWPTPVPGKLMELPIGNAMLKVNLPPAHSLPLESGIAYEESASSMAPFLPNNQSVPQGLFHDSDLFGTFKGLLLQLWLLWELLLIGEPILVIAPTPPQCCEAVASLVSLVAPLLYSVDFRPYFTIHDPEFAQLNSLQEGDKYPPMVLGVTNLFFLKALRNIPHIVSVGSPAPNSSRVALASRSSGRIPGKPEGFGLQQLSLKKFSPSNLLNAVKLRRDGPLCLMTEHKEAIWSTYAATTKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRATTPPEGSSPFADPPPLPPFNADEFLMSLSARGVGKFLSKRMRSNWLDLYRRFLKGPNFLPWFQRRHAVAENEQHRLWRQARMKTDIHHLISKMPELEVVDCFNAIERHLLGEIQLQQSGRAVADSAA >EOY20935 pep chromosome:Theobroma_cacao_20110822:3:1873098:1879188:1 gene:TCM_012260 transcript:EOY20935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSRSPSFSVKPELSVKPDPDSLQRWVVAFCIIRFDLEQGQLIEECYPPGCLTQEEELEVAFSSFPDSVSQHQNRSSIHDCIFFFRFRRHKNTKQGNVTSSEITEIDDKDTTSTPKEEKVIRRLRSSGKGSRHLYGYVFNRQRHDERLKRGGEQKSVVILSHGPYSSVFRPLLQIMGPLYFDIGKKAIEHIAGYVSLWPTPVPGKLMELPIGNAMLKVNLPPAHSLPLESGIAYEESASSMAPFLPNNQSVPQGLFHDSDLFGTFKGLLLQLWLLWELLLIGEPILVIAPTPPQCCEAVASLVSLVAPLLYSVDFRPYFTIHDPEFAQLNSLQEGDKYPPMVLGVTNLFFLKALRNIPHIVSVGSPAPNSSRVALASRSSGRIPGKPEGFGLQQLSLKKFSPSNLLNAVKLRRDGPLCLMTEHKEAIWSTYAATTKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRATTPPEGSSPFADPPPLPPFNADEFLMSLSARGVGKFLSKRMRSNWLDLYRRFLKGPNFLPWFQRRHAVAENEQHRLWRQARMKTDIHHLISKMPELEVVDCFNAIERHLLGEIQLQQSGRAVADSAAMCQKLKGDLQTVFNLLPKDMQQLLLLNPQRAALLQGNSSPELTKLPGRPSIQVGVISSNSPR >EOY20936 pep chromosome:Theobroma_cacao_20110822:3:1864521:1881481:1 gene:TCM_012260 transcript:EOY20936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSRSPSFSVKPELSVKPDPDSLQRWVVAFCIIRFDLEQGQLIEECYPPGCLTQEEELEVAFSSFPDSVSQHQNRSSIHDCIFFFRFRRHKNTKQGNVTSSEITEIDDKDTTSTPKEEKVIRRLRSSGKGSRHLYGYVFNRQRHDERLKRGGEQKSVVILSHGPYSSVFRPLLQIMGPLYFDIGKKAIEHIAGYVSLWPTPVPGKLMELPIGNAMLKVNLPPAHSLPLESGIAYEESASSMAPFLPNNQSVPQGLFHDSDLFGTFKGLLLQLWLLWELLLIGEPILVIAPTPPQCCEAVASLVSLVAPLLYSVDFRPYFTIHDPEFAQLNSLQEGDKYPPMVLGVTNLFFLKALRNIPHIVSVGSPAPNSSRVALASRSSGRIPGKPEGFGLQQLSLKKFSPSNLLNAVKLRRDGPLCLMTEHKEAIWSTYAATTKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRATTPPEGSSPFADPPPLPPFNADEFLMSLSARGVGKFLSKRMRSNWLDLYRRFLKGPNFLPWFQRRHAVAENEQHRLWRQARMKTDIHHLISKMPELEVVDCFNAIERHLLGEIQLQQSGRAVADSAAMCQKLKGDLQTVFNLLPKDMQQLLLLNPQRAALLQGNSSPELTKLPGRPSIQVGVISSNSPR >EOY24399 pep chromosome:Theobroma_cacao_20110822:3:30808910:30809485:-1 gene:TCM_016008 transcript:EOY24399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase (PAP2) family protein, putative isoform 2 QPFLGPLITLDTTVSHFLHTLFKPILPTFLLLLLEYSADFRFSFPVSLSLFLASPSLSSRSTPFILGLLLDLAVIGLIKLIFRRTRPPYNPNMSPVVHADNFSFPSGHASRVLFSATLFHLILQNDDGFVSDFIKQWTKLEADFVLLGVWVWAIMTATSRVLLGRHFLFDVLAGVIIGVLEGIVAFLVFWF >EOY24400 pep chromosome:Theobroma_cacao_20110822:3:30805197:30809486:-1 gene:TCM_016008 transcript:EOY24400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase (PAP2) family protein, putative isoform 2 QPFLGPLITLDTTVSHFLHTLFKPILPTFLLLLLEYSADFRFSFPVSLSLFLASPSLSSRSTPFILGLLLDLAVIGLIKLIFRRTRPPYNPNMSPVVHADNFSFPSGHASRVLFSATLFHLILQNDDGFVSDFIKQWTKLEADFVLLGVWVWAIMTATSRVLLGRHFLFDVLAGVIIGVLEGIVAFLVFWF >EOY24398 pep chromosome:Theobroma_cacao_20110822:3:30805405:30811116:-1 gene:TCM_016008 transcript:EOY24398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase (PAP2) family protein, putative isoform 2 MHYQVDPKDPNYQTPYPPSPPPPPPPSMGSPKTTTQPFLGPLITLDTTVSHFLHTLFKPILPTFLLLLLEYSADFRFSFPVSLSLFLASPSLSSRSTPFILGLLLDLAVIGLIKLIFRRTRPPYNPNMSPVVHADNFSFPSGHASRVLFSATLFHLILQNDDGFVSDFIKQWTKLEADFVLLGVWVWAIMTATSRVLLGRHFLFDVLAGVIIGVLEGIVAFLVFWF >EOY22197 pep chromosome:Theobroma_cacao_20110822:3:22277161:22277917:1 gene:TCM_014417 transcript:EOY22197 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTPase IMAP family member 1, putative MAKVFQAYILSKYVTKVFPLLTRCAPQLPPPSPPSAAAVDLKLATEPAALTVKDSQNYLAWEKLIVAFCLGSSVEITTLFAQIGPARLPVTFTFLSLAILLASTCLCVGKYFSNSKFLMMARMSEQLGGFFVVTAFFIAIAIPFPVWLQC >EOY24129 pep chromosome:Theobroma_cacao_20110822:3:29781152:29784712:1 gene:TCM_015811 transcript:EOY24129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Placental protein 11 precursor MEGLIRGLIDVAIGGGGGGGGGGRDEENETRDERSRSSWAQVVSGEQDNDDCPQGHNPTQWRRQEESQREDERWEVSGSRPSRKPHKVEYGGYEKDEAGERHGYDQNEWNRKEQEKENNDGWETVGRKPQRQPHKVQMDQWQGYKRPPSEQVYSDEFETGTSIEPSEEELADLSQACNRLWQLDLNRLEPGKDYQIDCGEGKKVYQKADMAEGSLFYWLSEDIFRRPTFSRFCSLLDNYNPNAGCKEVVTSEEKQEQSAFMEEISRTAPIKYLHKYLSLKGIVSENYQDFKRMITKLWFDLYGRGGASGSSSAFEHVFVGEIKQHGEQEVTGFHNWLQFYLEEAKGRVDYQGYILPRRRGETPDSETQLLTIQFEWNGILKSVSSTLVGVSPEFEIALYTLCFFMGGEDNYVQLGPYSVNIKCYRFGDKMGSVFPIAEC >EOY21726 pep chromosome:Theobroma_cacao_20110822:3:17650663:17652082:-1 gene:TCM_013831 transcript:EOY21726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDVYGTRASEEFVPHWNWTEDSMGHYLSVHLPGFRKEEMMVGLAYPGYVTISGERTADDDKCIYFGQAMRLPENLDMNKIGQKFGGEMLCLTFPKRAEEKDNGIANPAAQELSNDENQRKHDEGHGHDANEEKSKQTDHHDRSFQREMRKKGSILERAIDLFKNNISLTIVLAFSLGLFVSRRFESNGE >EOY21075 pep chromosome:Theobroma_cacao_20110822:3:2677419:2681724:1 gene:TCM_012391 transcript:EOY21075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFNGKSWDGLQLMDIIKTRIAYWLKAQWDNSCLSFLDFFRNLELGVVCSKKKSVKKNLDWIKLAPGKLKFNVDGAAKGCLGETGIGGVLRDYEGRIKLQFSKSTGWGDSNLAELLAIKEAFLLFAASPWLNFCLLIIESDSSNVVKWILKPEESLWSFNNIIR >EOY21482 pep chromosome:Theobroma_cacao_20110822:3:8995037:8999533:1 gene:TCM_013067 transcript:EOY21482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNVNRDIATVVTGSMGVPGRDKSSQSYILLVNWSPRVIADQNLYFDLITVAHRGDAKVDAKPCGISIDIRGEECLSRPRGGCHGLYGEFRVVT >EOY21212 pep chromosome:Theobroma_cacao_20110822:3:4127589:4130527:1 gene:TCM_012595 transcript:EOY21212 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtGCP3 interacting protein 1 MDPEAARTARESLDLAFHMSKILDTGLDRHTLSVLIALCDLGLNPEALAAVVKELQREPSPSTPLPTSSSQIP >EOY20550 pep chromosome:Theobroma_cacao_20110822:3:140964:143371:-1 gene:TCM_011947 transcript:EOY20550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVVESLVELKKHNNKSSNRTKAREKGKSIRDRDRYSKGKSSDYKKPIVAKAAFGDQKEPPKPCFLYGRPHWVHECP >EOY22471 pep chromosome:Theobroma_cacao_20110822:3:23592240:23596420:-1 gene:TCM_014632 transcript:EOY22471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit exo70 family protein F1 isoform 2 MATTTTSLGAGGEDRVMATAQQIVKSLNTPKEVREDMLLIFSSFDNRLSNISDLINDDSNKTSVRFDAAEKVILRWDSSSSNPDASRHSLPWEDSPDEAAEYLSAVDEILQLVVDMSIRSNNEIMDRAETAIQMAMSRLEDEFRLILIRNTVPLDLDRLYGSIRRVSLSFAANEGEIDEEFESFGEVDSERGCFHERGASLGDDLCVDLINADAVVELKEIADRMIRSGYEKECVQGYSNVRRDALDECLVILGVEKLSIEEVQKIEWRALDEKMKKWIQAVKISARVLLNGEKRLCDQIFNGSDSIKEICFNETAKGCMMQLMNFGEAVAIGKRSSEKLFRILDMYDVLADALPDFEMMVIDEFVCSEAKGVLAGLGDAAKGTFVEFENAVKNEASKKPMQKGEIHPLTRYVMNYVKLLVDYSETLNSLLECDEDEADGLQNEDSELDTMTPFAKRLLLLISSLESNLEEKSKLYEDGALHCTFLMNNILYIVQKVKDSELGKLLGDNWVRKRRGQIRQYATSYLRACWTKALNCLKDEGIGGSSNNASKVALKERFKSFNACFEEIYRIQTAWKVPDSQLREELRISISEKVIPAYRSFMGRFGSQLESGRHAGKYIKYTPEDLENYLLDLFEGSPLVLHHMRRKGKEKSLGPFQVWPCSLEKNCFLQEAFIKCDRMSNQAGKNV >EOY22472 pep chromosome:Theobroma_cacao_20110822:3:23593942:23595891:-1 gene:TCM_014632 transcript:EOY22472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit exo70 family protein F1 isoform 2 MATTTTSLGAGGEDRVMATAQQIVKSLNTPKEVREDMLLIFSSFDNRLSNISDLINDDSNKTSVRFDAAEKVILRWDSSSSNPDASRHSLPWEDSPDEAAEYLSAVDEILQLVVDMSIRSNNEIMDRAETAIQMAMSRLEDEFRLILIRNTVPLDLDRLYGSIRRVSLSFAANEGEIDEEFESFGEVDSERGCFHERGASLGDDLCVDLINADAVVELKEIADRMIRSGYEKECVQGYSNVRRDALDECLVILGVEKLSIEEVQKIEWRALDEKMKKWIQAVKISARVLLNGEKRLCDQIFNGSDSIKEICFNETAKGCMMQLMNFGEAVAIGKRSSEKLFRILDMYDVLADALPDFEMMVIDEFVCSEAKGVLAGLGDAAKGTFVEFENAVKNEASKKPMQKGEIHPLTRYVMNYVKLLVDYSETLNSLLECDEDEADGLQNEDSELDTMTPFAKRLLLLISSLESNLEEKSKLYEDGALHCTFLMNNILYIVQKVKDSELGKLLGDNWVRKRRGQIRQYATSYLRACWTKALNCLKDEGIGGSSNNASKVALKERFKSFNACFEEIYRIQTAWKVPDSQLREELRISISEKVIPAYRSFMGRFGSQLESGRHAGKYIKYTPEDLENYLLDLFEGSPLVLHHMRRKGT >EOY20544 pep chromosome:Theobroma_cacao_20110822:3:60698:75518:-1 gene:TCM_011935 transcript:EOY20544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec10 isoform 6 MKERSKSSSASNLPLILDIDDFKGDFSFDALFGNLVNELLPAFQEEEADTADGHGIGGTDVLPNGHIRAPSGATKFPQGLSAPLFPEVDALLSLFEDSCRELVDLRKQIDGKLYNLKKEVSTQDAKHRKTLTELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSLGDLMELSPLFSDDSRVAEAASIAQKLRSFAGEDIARAVPSVVGSVTASRVLEVAVANLQEYCNELENRLLARFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDTRLVLGSQGSQASPSNVARGLSSLYKEITDTIRKEAATIMAVFPSPNDVMSILVQRVLEQRVTTLLDKLLLKPSLVNPPPIEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTECLFSVHKDEYPEHEQASLRQLYQAKLEELRAESQKVSESSGTIGRSKGASVASSHQPISIAVVTEFVRWNEEAISRCTSFSSQPATLAANVKSVFTCLLDQVSQYITDGLEWARDSLIEAAALRERFVLGTIVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAYAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKATDYCSPDDGMAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGKPLAQRTTCSLAEVHF >EOY20540 pep chromosome:Theobroma_cacao_20110822:3:60698:75518:-1 gene:TCM_011935 transcript:EOY20540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec10 isoform 6 MKERSKSSSASNLPLILDIDDFKGDFSFDALFGNLVNELLPAFQEEEADTADGHGIGGTDVLPNGHIRAPSGATKFPQGLSAPLFPEVDALLSLFEDSCRELVDLRKQIDGKLYNLKKEVSTQDAKHRKTLTELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSLGDLMELSPLFSDDSRVAEAASIAQKLRSFAGEDIARAVPSVVGSVTASRVLEVAVANLQEYCNELENRLLARFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDTRLVLGSQGSQASPSNVARGLSSLYKEITDTIRKEAATIMAVFPSPNDVMSILVQRVLEQRVTTLLDKLLLKPSLVNPPPIEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTECLFSVHKDEYPEHEQASLRQLYQAKLEELRAESQKVSESSGTIGRSKGASVASSHQPISIAVVTEFVRWNEEAISRCTSFSSQPATLAANVKSVFTCLLDQVSQYITDGLEWARDSLIEAAALRERFVLGTIVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAYAASCEEMATAMSSAEGAAYKGLQQCIETVMAELRYRLNGYYQLNKRQQITAHLMMEWLPIIVQQMLAQESCCLSFSGPRGCIHCTRGS >EOY20545 pep chromosome:Theobroma_cacao_20110822:3:61170:75567:-1 gene:TCM_011935 transcript:EOY20545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec10 isoform 6 MKERSKSSSASNLPLILDIDDFKGDFSFDALFGNLVNELLPAFQEEEADTADGHGIGGTDVLPNGHIRAPSGATKFPQGLSAPLFPEVDALLSLFEDSCRELVDLRKQIDGKLYNLKKEVSTQDAKHRKTLTELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSLGDLMELSPLFSDDSRVAEAASIAQKLRSFAGEDIARAVPSVVGSVTASRVLEVAVANLQEYCNELENRLLARFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDTRLVLGSQGSQASPSNVARGLSSLYKEITDTIRKEAATIMAVFPSPNDVMSILVQRVLEQRVTTLLDKLLLKPSLVNPPPIEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTECLFSVHKDEYPEHEQASLRQLYQAKLEELRAESQKVSESSGTIGRSKGASVASSHQPISIAVVTEFVRWNEEAISRCTSFSSQPATLAANVKSVFTCLLDQVSQYITDGLEWARDSLIEAAALRERFVLGTIVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQKWPQQCLARRVLLIKGFSNALKP >EOY20543 pep chromosome:Theobroma_cacao_20110822:3:63955:71237:-1 gene:TCM_011935 transcript:EOY20543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec10 isoform 6 MKERSKSSSASNLPLILDIDDFKGDFSFDALFGNLVNELLPAFQEEEADTADGHGIGGTDVLPNGHIRAPSGATKFPQGLSAPLFPEVDALLSLFEDSCRELVDLRKQIDGKLYNLKKEVSTQDAKHRKTLTELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSLGDLMELSPLFSDDSRVAEAASIAQKLRSFAGEDIARAVPSVVGSVTASRVLEVAVANLQEYCNELENRLLARFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDTRLVLGSQGSQASPSNVARGLSSLYKEITDTIRKEAATIMAVFPSPNDVMSILVQRVLEQRVTTLLDKLLLKPSLVNPPPIEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTECLFSVHKDEYPEHEQASLRQLYQAKLEELRAESQKVSESSGTIGRSKGASVASSHQPISIAVVTEFVRWNEEAISRCTSFSSQPATLAANVKSVFTCLLDQVSQYITDGLEWARDSLIEAAALRERFVLGTIVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAYAASCEEMATAMSSAEGAAYKGLQQCIETVMAELRYRLNGYYQLNKRQQITAHLMMEWLPIIVQQMLAQELLLIFLGSSRLHSLH >EOY20539 pep chromosome:Theobroma_cacao_20110822:3:63224:71237:-1 gene:TCM_011935 transcript:EOY20539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec10 isoform 6 MKERSKSSSASNLPLILDIDDFKGDFSFDALFGNLVNELLPAFQEEEADTADGHGIGGTDVLPNGHIRAPSGATKFPQGLSAPLFPEVDALLSLFEDSCRELVDLRKQIDGKLYNLKKEVSTQDAKHRKTLTELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSLGDLMELSPLFSDDSRVAEAASIAQKLRSFAGEDIARAVPSVVGSVTASRVLEVAVANLQEYCNELENRLLARFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDTRLVLGSQGSQASPSNVARGLSSLYKEITDTIRKEAATIMAVFPSPNDVMSILVQRVLEQRVTTLLDKLLLKPSLVNPPPIEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTECLFSVHKDEYPEHEQASLRQLYQAKLEELRAESQKVSESSGTIGRSKGASVASSHQPISIAVVTEFVRWNEEAISRCTSFSSQPATLAANVKSVFTCLLDQVSQYITDGLEWARDSLIEAAALRERFVLGTIVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAYAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKATDYCSPDDGMAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLVHWQKFTFNPRGIRTLFSRQGIMHQLMSQMLECRPLI >EOY20541 pep chromosome:Theobroma_cacao_20110822:3:60192:75518:-1 gene:TCM_011935 transcript:EOY20541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec10 isoform 6 MKERSKSSSASNLPLILDIDDFKGDFSFDALFGNLVNELLPAFQEEEADTADGHGIGGTDVLPNGHIRAPSGATKFPQGLSAPLFPEVDALLSLFEDSCRELVDLRKQIDGKLYNLKKEVSTQDAKHRKTLTELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSLGDLMELSPLFSDDSRVAEAASIAQKLRSFAGEDIARAVPSVVGSVTASRVLEVAVANLQEYCNELENRLLARFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDTRLVLGSQGSQASPSNVARGLSSLYKEITDTIRKEAATIMAVFPSPNDVMSILVQRVLEQRVTTLLDKLLLKPSLVNPPPIEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTECLFSVHKDEYPEHEQASLRQLYQAKLEELRAESQKVSESSGTIGRSKGASVASSHQPISIAVVTEFVRWNEEAISRCTSFSSQPATLAANVKSVFTCLLDQVSQYITDGLEWARDSLIEAAALRERFVLGTIVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAYAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKATDYCSPDDGMAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLVHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGILANVFIVAPESLSTLFEGTPSIRKDAQRFIQLREDYKSAKLASRLSSLWSSSS >EOY20537 pep chromosome:Theobroma_cacao_20110822:3:60192:75171:-1 gene:TCM_011935 transcript:EOY20537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec10 isoform 6 MKERSKSSSASNLPLILDIDDFKGDFSFDALFGNLVNELLPAFQEEEADTADGHGIGGTDVLPNGHIRAPSGATKFPQGLSAPLFPEVDALLSLFEDSCRELVDLRKQIDGKLYNLKKEVSTQDAKHRKTLTELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSLGDLMELSPLFSDDSRVAEAASIAQKLRSFAGEDIARAVPSVVGSVTASRVLEVAVANLQEYCNELENRLLARFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDTRLVLGSQGSQASPSNVARGLSSLYKEITDTIRKEAATIMAVFPSPNDVMSILVQRVLEQRVTTLLDKLLLKPSLVNPPPIEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTECLFSVHKDEYPEHEQASLRQLYQAKLEELRAESQKVSESSGTIGRSKGASVASSHQPISIAVVTEFVRWNEEAISRCTSFSSQPATLAANVKSVFTCLLDQVSQYITDGLEWARDSLIEAAALRERFVLGTIVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAYAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKATDYCSPDDGMAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLVHWQKFTFNPRGIIHQTMSQILSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGILANVFIVAPESLSTLFEGTPSIRKDAQRFIQLREDYKSAKLASRLSSLWSSSS >EOY20538 pep chromosome:Theobroma_cacao_20110822:3:60698:75518:-1 gene:TCM_011935 transcript:EOY20538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec10 isoform 6 MKERSKSSSASNLPLILDIDDFKGDFSFDALFGNLVNELLPAFQEEEADTADGHGIGGTDVLPNGHIRAPSGATKFPQGLSAPLFPEVDALLSLFEDSCRELVDLRKQIDGKLYNLKKEVSTQDAKHRKTLTELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSLGDLMELSPLFSDDSRVAEAASIAQKLRSFAGEDIARAVPSVVGSVTASRVLEVAVANLQEYCNELENRLLARFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDTRLVLGSQGSQASPSNVARGLSSLYKEITDTIRKEAATIMAVFPSPNDVMSILVQRVLEQRVTTLLDKLLLKPSLVNPPPIEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTECLFSVHKDEYPEHEQASLRQLYQAKLEELRAESQKVSESSGTIGRSKGASVASSHQPISIAVVTEFVRWNEEAISRCTSFSSQPATLAANVKSVFTCLLDQVSQYITDGLEWARDSLIEAAALRERFVLGTIVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAYAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKATDYCSPDDGMAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLVHWQKFTFNPRGIRTLFSRQGIMHQLMSQMLECRPLI >EOY20542 pep chromosome:Theobroma_cacao_20110822:3:60698:75518:-1 gene:TCM_011935 transcript:EOY20542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component sec10 isoform 6 MKERSKSSSASNLPLILDIDDFKGDFSFDALFGNLVNELLPAFQEEEADTADGHGIGGTDVLPNGHIRAPSGATKFPQGLSAPLFPEVDALLSLFEDSCRELVDLRKQIDGKLYNLKKEVSTQDAKHRKTLTELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSLGDLMELSPLFSDDSRVAEAASIAQKLRSFAGEDIARAVPSVVGSVTASRVLEVAVANLQEYCNELENRLLARFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDTRLVLGSQGSQASPSNVARGLSSLYKEITDTIRKEAATIMAVFPSPNDVMSILVQRVLEQRVTTLLDKLLLKPSLVNPPPIEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTECLFSVHKDEYPEHEQASLRQLYQAKLEELRAESQKVSESSGTIGRSKGASVASSHQPISIAVVTEFVRWNEEAISRCTSFSSQPATLAANVKSVFTCLLDQVSQYITDGLEWARDSLIEAAALRERFVLGTIVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAYAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKATDYCSPDDGMAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLVHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGILANVFIVAPESLSTLFEGTPSIRKDAQRFIQLREDYKSAK >EOY24487 pep chromosome:Theobroma_cacao_20110822:3:31174408:31186212:1 gene:TCM_016082 transcript:EOY24487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily isoform 2 MSYSDSDSSSGAGDYKNFRQISRERLLYEMLRSAKTRDSKSTWKVLIMDKVTVKIMSYSCKMADITSEGVSLVEDIYRRRQPLPSMDAIYFIQPTKENVVMFLSDMSGRTPLYKKAFVYFSSPISRELVAYVKKDSSVLPRIGALSEMNLEYFAIDGQGFITDNGKALEDLFGDEENTRKGDACLNVMATRVATVFASLREFPLVRYRAAKSLDPMTMTTFRDLIPTKLAAGIWNCLMKYKSIPNFPQKETCELLILDRSIDQIAPVIHEWTYDAMCHDLLNMEGNKYVHEVPSKTGGPPEKKEVLLEEHDPIWVELRHAHIADASERLHDKMTNFVSKNKAAQLQHGSRDGGELSTRELQKMVQALPQYSEQIDKLSLHVEIAGKINRMIRDQGLRELGQLQQDLVFGDAGMKDVIKFLTTNEEASRENKLRLLMILAAIYPEKFEGEKGLNLMKLAKLPPDDMNAVNNMRLLAPSSDAKKSSAGAFSLKFDIHKKKRAARKDRSGEQETWQLSRFYPIIEELVEKLSKGELSKDDYPCMNDPSPTFHGTSQAASIHEAPVAHSMRSRRTPTWARPRGSDDGYSSDSVLKHASSDFKKMGKRIFVFIVGGATRSELRVCHKLTGKLNREVVLGSTSLDDPPQFITKLKLLTAHELSLDDLQI >EOY24488 pep chromosome:Theobroma_cacao_20110822:3:31176835:31187298:1 gene:TCM_016082 transcript:EOY24488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily isoform 2 MNLEYFAIDGQGFITDNGKALEDLFGDEENTRKGDACLNVMATRVATVFASLREFPLVRYRAAKSLDPMTMTTFRDLIPTKLAAGIWNCLMKYKSIPNFPQKETCELLILDRSIDQIAPVIHEWTYDAMCHDLLNMEGNKYVHEVPSKTGGPPEKKEVLLEEHDPIWVELRHAHIADASERLHDKMTNFVSKNKAAQLQHGSRDGGELSTRELQKMVQALPQYSEQIDKLSLHVEIAGKINRMIRDQGLRELGQLQQDLVFGDAGMKDVIKFLTTNEEASRENKLRLLMILAAIYPEKFEGEKGLNLMKLAKLPPDDMNAVNNMRLLAPSSDAKKSSAGAFSLKFDIHKKKRAARKDRSGEQETWQLSRFYPIIEELVEKLSKGELSKDDYPCMNDPSPTFHGTSQAASIHEAPVAHSMRSRRTPTWARPRGSDDGYSSDSVLKHASSDFKKMGKRIFVFIVGGATRSELRVCHKLTGKLNREVVLGSTSLDDPPQFITKLKLLTAHELSLDDLQI >EOY24705 pep chromosome:Theobroma_cacao_20110822:3:31996753:31999007:1 gene:TCM_016241 transcript:EOY24705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase 6 MLCSPTNLFRRNLSAVAVSASLLLSKRLSPSSKQTLLSFPQISPVSLVSPSIETGFSRSFLGSLRFDHIMAGQSSKGSIHDFNVKDARGNDVDLSIYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGLEILAFPCNQFGGQEPGNNEQILEFACTRFKAEYPIFDKVDVNGEKTAPIYKFLKSSKGGLFGDSIKWNFSKFLVDKEGNVVDRYAPTTSPLSIEKDIKKLLA >EOY20748 pep chromosome:Theobroma_cacao_20110822:3:911095:920986:1 gene:TCM_012094 transcript:EOY20748 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine--N-acetylmuramyl-pyrophosphoryl-undecaprenol N-acetylglucosamine transferase isoform 1 MSSLTGGGDSWQPAMTVNTTTTIYWFNWRVLLCSIWVLITATFSFILIWKYEGFRKSSHSNGGTQQDTAGSLHEDETWRPCLKGIHPAWLLAFRLVAFFVLLILLIVTSFVDGGSIFYYYTQWTFTLITIYFGLGSVLSMRGCYQYHKRVSGDKVDNVELDAEQGSYEDTIGGETSNNAAKNPECPEGHSVRQPAGTSGYVFQIIFQMNAGAVFLTDCVFWFIIVPFLAIKDYNLSVLAINMHSINAVLLLADTAFNCLRFPCFRIAYFFLWTVIYVIFQWLVHAWVNVWWPYPFLDLSSPYAPLWYFSVALMHFPCYGLFALMVKLKHYAFSRWFPDSYQCMR >EOY20747 pep chromosome:Theobroma_cacao_20110822:3:915339:921369:1 gene:TCM_012094 transcript:EOY20747 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine--N-acetylmuramyl-pyrophosphoryl-undecaprenol N-acetylglucosamine transferase isoform 1 MSSLTGGGDSWQPAMTVNTTTTIYWFNWRVLLCSIWVLITATFSFILIWKYEGFRKSSHSNGGTQQDTAGSLHEDETWRPCLKGIHPAWLLAFRLVAFFVLLILLIVTSFVDGGSIFYYYTQWTFTLITIYFGLGSVLSMRGCYQYHKRVSGDKVDNVELDAEQGSYEDTIGGETSNNAAKNPECPEGHSVRQPAGTSGYVFQIIFQMNAGAVFLTDCVFWFIIVPFLAIKDYNLSVLAINMHSINAVLLLADTAFNCLRFPCFRIAYFFLWTVIYVIFQWLVHAWVNVWWPYPFLDLSSPYAPLWYFSVALMHFPCYGLFALMVKLKHYAFSRWFPDSYQCMR >EOY20749 pep chromosome:Theobroma_cacao_20110822:3:914710:918325:1 gene:TCM_012094 transcript:EOY20749 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine--N-acetylmuramyl-pyrophosphoryl-undecaprenol N-acetylglucosamine transferase isoform 1 MTVNTTTTIYWFNWRVLLCSIWVLITATFSFILIWKYEGFRKSSHSNGGTQQDTAGSLHEDETWRPCLKGIHPAWLLAFRLVAFFVLLILLIVTSFVDGGSIFYYYTQWTFTLITIYFGLGSVLSMRGCYQYHKRVSGDKVDNVELDAEQGSYEDTIGGETSNNAAKNPECPEGHSVRQPAGTSGYVFQIIFQMNAGAVFLTDCVFWFIIVPFLAIKDYNLSVLAINMHSINAVLLLADTAFNCLRFPCFRIAYFFLWTVIYVIFQWLVHAWVNVWWPYPFLDLSSPYAPLWYFSVALMHFPCYGLFALMVKLKHYAFSRWFPDSYQCMR >EOY22997 pep chromosome:Theobroma_cacao_20110822:3:25714306:25718058:1 gene:TCM_015016 transcript:EOY22997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase family M48 family protein, putative isoform 1 MACCRRAKFALNTLRNSFSSRPILKSPIQDSSSLISQSLGSSISSANRAKFSAFSSHSSISQRPGISSKYQYNPFLNGAKRFYYVDRYHVQHFKRRGPLRWFQNPRNVLIFVLVGSGVLITVYSGNLETVPYTKRKHFILLSKETEKRMGEAQFKQLMANFKGKILPPLHPESVRVRLIAKNIVDALKKGLNHDQIWSDLVYESPGSSFGHESGHETMAPLNEKEGESGVNWSREDEILDDKWVQQSRKENQQRGSQPTMSHLEGLAWELMVINEPVVNAFCLPGGKIVVFTGLLEHFKTDAELATIIAHEVAHAVARHVAEQITKNLWFTILQLILYQFFMPDLVNTMSALLLRLPFSRKMEIEADYIGLLLMASAGYDPRLAPKVFEKLGKIGGSSALEDYLSTHPSSKKRAQLLAQAQVMEEAITIYREAIAGRGVEGFL >EOY22998 pep chromosome:Theobroma_cacao_20110822:3:25714062:25717913:1 gene:TCM_015016 transcript:EOY22998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase family M48 family protein, putative isoform 1 MACCRRAKFALNTLRNSFSSRPILKSPIQDSSSLISQSLGSSISSANRAKFSAFSSHSSISQRPGISSKYQYNPFLNGAKRFYYVDRYHVQHFKRRGPLRWFQNPRNVLIFVLVGSGVLITVYSGNLETVPYTKRKHFILLSKETEKRMGEAQFKQLMANFKGKILPPLHPESVRVRLIAKNIVDALKKGLNHDQIWSDLVYESPGSSFGHESGHETMAPLNEKEGESGVNWSREDEILDDKWVQQSRKENQQRGSQPTMSHLEGLAWELMVINEPVVNAFCLPGGKIVVFTGLLEHFKTDAELATIIAHEVAHAVARHVAEQITKNLWFTILQLILYQFFMPDLVNTMSALLLRLPFSRKMEIEADYIGLLLMASAGYDPRLAPKVFEKLGKIGGSSALEDYLSTHPSSKKRAQLLAQAQVMEEAITIYREAIAGRGVEGFL >EOY23579 pep chromosome:Theobroma_cacao_20110822:3:27936650:27938694:1 gene:TCM_015429 transcript:EOY23579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSEAECETTSTPKLSLYSFPSKAKEPSGMKTPPIHTSVSIPFQWEEAPGKPRPCPRTDTTSTQSKPKSARCLELPPRLLAEAKVANMPSPTTVLDGPNAGRFVSYTLSFRKGGSFRIPDNNKRLNKEKVIFGSSRWGSFRKAGRIVQGSFDFSSTPVVDGGGGGSSGGGTQVNITRVRRKGSLLSLAQARSHVLASIYESFKQVVPWRRGAGENKEKGVVNALPPSDV >EOY22159 pep chromosome:Theobroma_cacao_20110822:3:21958431:21960045:1 gene:TCM_014373 transcript:EOY22159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILPLAIKANMMHGQTRSLIGQMWPKLTFASRDHSWRLRPFHGRPFPLVFNRQTSKIFGRELTKISPTVLHPILVNSKRNI >EOY22228 pep chromosome:Theobroma_cacao_20110822:3:22492089:22501165:1 gene:TCM_014447 transcript:EOY22228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase / Uridine kinase family isoform 1 MAQDTSSAAESPRRRSGLLRDQVQLVKKKDSDRYEIVPIEDPLSFDKGFFIVIRACQLLAQKNDGLILIGVAGPSGAGKTVFTEKVLNFLPSIAVITMDNYNDASRIIDGNFDDPRLTDYDALLENIHGLKAGKPVQVPIYDFKSSSRTGYRTVEVPSSRIVIIEGIYALSEKLRPLLDLRVSITGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQNPTYILKSTRMVTVDQIKEVVSDEHKEHMEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIATILKRSSHVFSDDKVCVKIDWLEQLNRKYVQVQGRDRLFVKYVAEQLNLEGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDVVSSPKEALSRASADRRMKYLSRISQSYTNQRDKNLPKLTRLAINSTRFDGRAPELPSPLVNPGVVTQLSEQISTLNERMDEFTARIEELNSKFSSRKVSASQQNLAVQAEASNGSGPTSLFVTGLGNGSLTGSLMPHSSSSSQLARESPLVEEVLLIARGQRQIMHQLDNLSNLLHEYWGGRSQRERTQRTNRTIDVGTISVPLILTLAIGGLGVFLFRSPTSQK >EOY22227 pep chromosome:Theobroma_cacao_20110822:3:22492181:22500790:1 gene:TCM_014447 transcript:EOY22227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase / Uridine kinase family isoform 1 MAQDTSSAAESPRRRSGLLRDQVQLVKKKDSDRYEIVPIEDPLSFDKGFFIVIRACQLLAQKNDGLILIGVAGPSGAGKTVFTEKVLNFLPSIAVITMDNYNDASRIIDGNFDDPRLTDYDALLENIHGLKAGKPVQVPIYDFKSSSRTGYRTVEVPSSRIVIIEGIYALSEKLRPLLDLRVSITGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQNPTYILKSTRMVTVDQIKEVVSDEHKEHMEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIATILKRSSHVFSDDKVCVKIDWLEQLNRKYVQVQGRDRLFVKYVAEQLNLEGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDVVSSPKEALSRASADRRMKYLSRISQSYTNQRDKNLPKLTRLAINSTRFDGRAPELPSPLVNPGVVTQLSEQISTLNERMDEFTARIEELNSKFSSRKVSASQQNLAVQAEASNGSGPTSLFVTGLGNGSLTGSLMPHSSSSSQLARESPLVEEVLLIARGQRQIMHQLDNLSNLLHEYWGGRSQRERTQRTNRTIDVGTISVPLILTLAIGGLGVFLFRSPTSQK >EOY24090 pep chromosome:Theobroma_cacao_20110822:3:29603566:29604758:-1 gene:TCM_015788 transcript:EOY24090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein MQECNNRFDGFLILALFRGGLHKLTWRGFFGLFQIKIQSITPNLGVRNKKITVLSFFWVKIFSLFSYKKRARTSTDYLKEMASRIRTPALAAVCLLLLLAAAPASDAAISCSDVIKDLRPCVNYLVNGTGKPPSACCAGASALASAASSSSDKKAACECIKSAAKNMKPNAQLAQALPANCGINLPVTIAPNVDCSKVG >EOY21898 pep chromosome:Theobroma_cacao_20110822:3:19548273:19549625:-1 gene:TCM_014059 transcript:EOY21898 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH dependent flow 6 isoform 2 MHLQLRRRSSTRLFNYGKQQTEGSNSRRGVASVKANAFPDWPLMAVLVHNVQQLDAQREIITNKSIWHLSEEAIKNVYTFYIMFTCWGCLFFGSTKQEDIEESARAELWREELIEEIEQKVGGLRELEEAGRK >EOY21897 pep chromosome:Theobroma_cacao_20110822:3:19548090:19549877:-1 gene:TCM_014059 transcript:EOY21897 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH dependent flow 6 isoform 2 MAEAIVSFTLTKPCINSYLHAAKSDSCPSSRLLRRRSSTRLFNYGKQQTEGSNSRRGVASVKANAFPDWPLMAVLVHNVQQLDAQREIITNKSIWHLSEEAIKNVYTFYIMFTCWGCLFFGSTKDPYYDSEVYRKDGGDGTGHWVYEKQEDIEESARAELWREELIEEIEQKVGGLRELEEAGRK >EOY22083 pep chromosome:Theobroma_cacao_20110822:3:21276537:21293445:-1 gene:TCM_014277 transcript:EOY22083 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding-like protein MNNYRAWAWHDQLSKGNVLEVMDVTKKFNPKPYVQPINGFSGPEIYYDCHLLQSGRMNSQDDESIDVVEAEVEGVATYFKIPNVLTDPFDVSIDLDALFPSHIKSSNINQATQDKAIPDTKVILNTDVILAIEVVPDVTVDAGAILDVVIDNVGATPMLPIWLSKKTITLLFLLNLISSLCLSLSLQSTLPYSVAFNSLQYIAVAAFSSLTFPIRWNPRVLISSSVLQLFLMGEAKVIRDKVDQTNFSFQDKQQPRNFLQRFSPDQISRKQTQSNPNKRPPELDLNLRLSLGGIYSGNTKEKPLTRSSSMAGVVTVNKSSSEFQKSLPKSFLSLARSCSLPAQVERSKRPVNVKELRIMRRVEAKKRVVEKQRNAKKASEKDKLVTEGPPSSPSKIPAWAAASAAKSPALNRAIDKIKEGFRKLEGLEGSGTSNGPSEYKSTSKPIKAEPAITFETTSDEKSVKLKPAETKSENPTKKAELSNGYIQDYGMNVMKKMPSVTTTGDGPNGRKIEGFLYKYMKGQVSIVCVCHGNFLSPEEFVKHAGGKDVTNPMKHINVCSTSFSF >EOY21233 pep chromosome:Theobroma_cacao_20110822:3:4372519:4380301:-1 gene:TCM_012627 transcript:EOY21233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MPELAETYACVPSTERGRGILISGDPKSNRVLYTNGRSVIILDLNNPLNVAIYGEHAYPATVARFSPNGEWIASADVSGTVRIWGTHNDHVLKKEFKVLSGRIDDLQWSPDGMRIVASGDGKGKSLVRAFMWDSGTNVGEFDGHSRRVLSCSFKPTRPFRIVTCGEDFLVNFYEGPPFRFKLSHRDHSNFVNCVRFSPDGTKFITVSSDKKGIIFDGKSGEKIGELSSEDAHKGSIYAVSWSPDGTQVLTVSADKTAKVWEISEDGNGKLKKKLTCSGSGGVDDMLVGCLWQNDHLVTVSLGGTISIFSASNLEKAPLQLSGHMKNVTSLAVLKSDPKCILSSSYDGLIVKWIQGVGYSGKLQRKENSQIKCFAAADEEIVTSGFDNKSFFSSRVTMNMFILILVEFEIWRISLRGDQCGDADSVDIGSQPKDLSLALLSPELALITTDSGVVMLRGTKVVSTLNLGFAVTASAVAPDGSEAIIGGQDGKLRIYCITGDTLKEEAVLEKHRGALTVIRYSPDFSLFASGDANREAIVWDRVSREVKVKNMLYHTARINCLAWSPNSSMVATGSLDTCVIIYEVDKPASSRMTIKGAHLGGVYGLAFTDEYSVVSSGEDACVRVWKLTPQ >EOY21234 pep chromosome:Theobroma_cacao_20110822:3:4372512:4380511:-1 gene:TCM_012627 transcript:EOY21234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MPELAETYACVPSTERGRGILISGDPKSNRVLYTNGRSVIILDLNNPLNVAIYGEHAYPATVARFSPNGEWIASADVSGTVRIWGTHNDHVLKKEFKVLSGRIDDLQWSPDGMRIVASGDGKGKSLVRAFMWDSGTNVGEFDGHSRRVLSCSFKPTRPFRIVTCGEDFLVNFYEGPPFRFKLSHRDHSNFVNCVRFSPDGTKFITVSSDKKGIIFDGKSGEKIGELSSEDAHKGSIYAVSWSPDGTQVLTVSADKTAKVWEISEDGNGKLKKKLTCSGSGGVDDMLVGCLWQNDHLVTVSLGGTISIFSASNLEKAPLQLSGHMKNVTSLAVLKSDPKCILSSSYDGLIVKWIQGVGYSGKLQRKENSQIKCFAAADEEIVTSGFDNKIWRISLRGDQCGDADSVDIGSQPKDLSLALLSPELALITTDSGVVMLRGDTLKEEAVLEKHRGALTVIRYSPDFSLFASGDANREAIVWDRVSREVKVKNMLYHTARINCLAWSPNSSMVATGSLDTCVIIYEVDKPASSRMTIKGAHLGGVYGLAFTDEYSVVSSGEDACVRVWKLTPQ >EOY23499 pep chromosome:Theobroma_cacao_20110822:3:27613791:27618621:-1 gene:TCM_015370 transcript:EOY23499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase, putative isoform 1 MPLISRKLVSVFKFAISLNLVHHGTLFRGRLLFVAHISLLFLPLVHLTVATDNNKCAPSSCGKIHNISYPFRLRGDPSNCGNKSYELGCEQNNLTALYLYSGKYYVRAINYNNYTIRLVDAGIQKENCSSLPRYSLTVSNFSDKDAFIWYKYQWDESDYLPKLAESMVFLNCANPLNSSSFYVDAAPCINGGNHSNSSFSRSRRYYYVVFGPTAASEVEDLCRVEVMALTSLPVKKGMNISYMEIYSRLVCGTDHLQCLPFATTVKEGFFHKMFYTVISYWVLMVLIIGAIITLKCCCGAPCVTVFLIYKWRKRHMSMYDRIENFLQSQNNFMPIRYSYSQIKKMTEGFKDKLGEGGYGSVFKGKLRSGHLVAVKMLGKSKANGQEFINEVATIGRIHHVNVVQLIGFCAEGTKRALVYEFMPNGSLDKYTFGREGNTTIGWEKIYEISLGVARGIEYLHRGCDMQILHFDIKPHNILLDENFTAKVSDFGLAKLYPTNDTTVTLTAARGTIGYIALELFYKNIGGVSYKADVYSFGMLLMEMAAKRRNSTTVTEQSSEAYFPCNVYDQIVGGRDFEEIQEASEEEKKIVKKMIMVALWCIQMKPSDRPSMTKVVEMLEGEVESLQVPPKPVLYPQQTLVRSSGSHSTQQESSSASTDYTESISLINSGR >EOY23500 pep chromosome:Theobroma_cacao_20110822:3:27613872:27618221:-1 gene:TCM_015370 transcript:EOY23500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase, putative isoform 1 MPLISRKLVSVFKFAISLNLVHHGTLFRGRLLFVAHISLLFLPLVHLTVATDNNKCAPSSCGKIHNISYPFRLRGDPSNCGNKSYELGCEQNNLTALYLYSGKYYVRAINYNNYTIRLVDAGIQKENCSSLPRYSLTVSNFSDKDAFIWYKYQWDESDYLPKLAESFFHKMFYTVISYWVLMVLIIGAIITLKCCCGAPCVTVFLIYKWRKRHMSMYDRIENFLQSQNNFMPIRYSYSQIKKMTEGFKDKLGEGGYGSVFKGKLRSGHLVAVKMLGKSKANGQEFINEVATIGRIHHVNVVQLIGFCAEGTKRALVYEFMPNGSLDKYTFGREGNTTIGWEKIYEISLGVARGIEYLHRGCDMQILHFDIKPHNILLDENFTAKVSDFGLAKLYPTNDTTVTLTAARGTIGYIALELFYKNIGGVSYKADVYSFGMLLMEMAAKRRNSTTVTEQSSEAYFPCNVYDQIVGGRDFEEIQEASEEEKKIVKKMIMVALWCIQMKPSDRPSMTKVVEMLEGEVESLQVPPKPVLYPQQTLVRSSGSHSTQQESSSASTDYTESISLINSGR >EOY23052 pep chromosome:Theobroma_cacao_20110822:3:25955111:25958626:-1 gene:TCM_015055 transcript:EOY23052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA synthase / HMG-CoA synthase / 3-hydroxy-3-methylglutaryl coenzyme A synthase isoform 4 MQIFEECGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLVGPNAPIAFESKFRGSHMSHAYDFYKPNLASEYPVVDGKLSQTCYLMALDLCYKHFYEKFEKLQGRPFSISDADYFVFHSPYNKLVQKSFARLFFNDFLRNASYIDEGAKEKLTPFSGLSNDESYQSRDLEKASQQVAKPLYDSMVQPSTLLPKQVGNMYTASLYAAFASLLHNKHTSLAGKRVVMFSYGSGLTATIFSLKLQDGRHPFNLLNIAKLMNVSEKLKLRHEFPPEKFVDT >EOY23053 pep chromosome:Theobroma_cacao_20110822:3:25954604:25959514:-1 gene:TCM_015055 transcript:EOY23053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA synthase / HMG-CoA synthase / 3-hydroxy-3-methylglutaryl coenzyme A synthase isoform 4 MASHPENVGILAIDIYFPPTCIQQEVLEAHDGASKGKYTIGLGQDCMAFCTEVEDVISMSLTVVTSLLEKYEIDPTKIGRLEVGSETVIDKSKSIKTFLMQIFEECGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLVGPNAPIAFESKFRGSHMSHAYDFYKPNLASEYPVVDGKLSQTCYLMALDLCYKHFYEKFEKLQGRPFSISDADYFVFHSPYNKLVQKSFARLFFNDFLRNASYIDEGAKEKLTPFSGLSNDESYQSRDLEKASQQVAKPLYDSMVQPSTLLPKQVGNMYTASLYAAFASLLHNKHTSLAGKRVVMFSYGSGLTATIFSLKLQDGRHPFNLLNIAKLMNVSEKLKLRHQNGFAN >EOY23050 pep chromosome:Theobroma_cacao_20110822:3:25955110:25959235:-1 gene:TCM_015055 transcript:EOY23050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA synthase / HMG-CoA synthase / 3-hydroxy-3-methylglutaryl coenzyme A synthase isoform 4 MAFCTEVEDVISMSLTVVTSLLEKYEIDPTKIGRLEVGSETVIDKSKSIKTFLMQIFEECGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLVGPNAPIAFESKFRGSHMSHAYDFYKPNLASEYPVVDGKLSQTCYLMALDLCYKHFYEKFEKLQGRPFSISDADYFVFHSPYNKLVQKSFARLFFNDFLRNASYIDEGAKEKLTPFSGLSNDESYQSRDLEKASQQVAKPLYDSMVQPSTLLPKQVGNMYTASLYAAFASLLHNKHTSLAGKRVVMFSYGSGLTATIFSLKLQDGRHPFNLLNIAKLMNVSEKLKLRHEFPPEKFVDT >EOY23049 pep chromosome:Theobroma_cacao_20110822:3:25954943:25959277:-1 gene:TCM_015055 transcript:EOY23049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA synthase / HMG-CoA synthase / 3-hydroxy-3-methylglutaryl coenzyme A synthase isoform 4 MASHPENVGILAIDIYFPPTCIQQEVLEAHDGASKGKYTIGLGQDCMAFCTEVEDVISMSLTVVTSLLEKYEIDPTKIGRLEVGSETVIDKSKSIKTFLMQIFEECGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLVGPNAPIAFESKFRGSHMSHAYDFYKPNLASEYPVVDGKLSQTCYLMALDLCYKHFYEKFEKLQGRPFSISDADYFVFHSPYNKLVQKSFARLFFNDFLRNASYIDEGAKEKLTPFSGLSNDESYQSRDLEKASQQVAKPLYDSMVQPSTLLPKQVGNMYTASLYAAFASLLHNKHTSLAGKRVVMFSYGSGLTATIFSLKLQDGRHPFNLLNIAKLMNVSEKLKLRHEFPPEKFVDTMKLMEQRYGAKDFVTSKESSLLLPDTYYLTKVDSMYRRFYSRKANAAAATPERFC >EOY23051 pep chromosome:Theobroma_cacao_20110822:3:25954689:25959533:-1 gene:TCM_015055 transcript:EOY23051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA synthase / HMG-CoA synthase / 3-hydroxy-3-methylglutaryl coenzyme A synthase isoform 4 MAFCTEVEDVISMSLTVVTSLLEKYEIDPTKIGRLEVGSETVIDKSKSIKTFLMQIFEECGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLVGPNAPIAFESKFRGSHMSHAYDFYKPNLASEYPVVDGKLSQTCYLMALDLCYKHFYEKFEKLQGRPFSISDADYFVFHSPYNKLVQKSFARLFFNDFLRNASYIDEGAKEKLTPFSGLSNDESYQSRDLEKASQQVAKPLYDSMVQPSTLLPKQVGNMYTASLYAAFASLLHNKHTSLANG >EOY21777 pep chromosome:Theobroma_cacao_20110822:3:18135481:18137844:-1 gene:TCM_013885 transcript:EOY21777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MVLVLALGDLHIPHRAADLLPKFKSMLVPGKIQHIICTGNLCIKEVQDYLKSLCPDLHITRGEYDEETRCLETKTLTIGQFKLGLCHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTTATTHSAH >EOY21774 pep chromosome:Theobroma_cacao_20110822:3:18133757:18137900:-1 gene:TCM_013885 transcript:EOY21774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MVLVLALGDLHIPHRAADLLPKFKSMLVPGKIQHIICTGNLCIKEVQDYLKSLCPDLHITRGEYDEETRCLETKTLTIGQFKLGLCHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTTATTHSAH >EOY21775 pep chromosome:Theobroma_cacao_20110822:3:18133757:18148432:-1 gene:TCM_013885 transcript:EOY21775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MVLVLALGDLHIPHRAADLPPKFKSMLVPGKIQHIICTGNLCIKEVQDYLKSLCPDLHITRGEYDEETRCLETKTLTIGQFKLGLCHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTTATTHSAH >EOY21776 pep chromosome:Theobroma_cacao_20110822:3:18135481:18148329:-1 gene:TCM_013885 transcript:EOY21776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MVLVLALGDLHIPHRAADLPPKFKSMLVPGKIQHIICTGNLCIKEVQDYLKSLCPDLHITRGEYDEETRCLETKTLTIGQFKLGLCHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTTATTHSAH >EOY21612 pep chromosome:Theobroma_cacao_20110822:3:14090108:14102631:1 gene:TCM_013541 transcript:EOY21612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-15, putative isoform 2 MHSAESGMVVKNRLVEFLIWQSIPSSLIFLVFTSMIVSGRSPAAIFISFLSFHLSQLLFSVSLSAVSSPERKFGRSLPVMLGAAAVSGYVSAVSLCGVNGRVGFKGFASGLFYAFFYIYKRRWVLHFPIIQRSPFFSFKMGIPSAITRALKLSAAAYLFSALLLVFLPHHFNTELELGNLFAQHVISYSVSFSLFLCWELAHHLQQVLHTKRFIFAPPKGSAAAETNPSEPLLAALEESSPTSLLKYLAYLDLCMVCENNVDYWRRAAFFEETGETYRRVAAVCLRPLEQLASKLGEGLEGSSDGKAYRVSDQLQSSTDPRMNSKCYELMNNFQLYTWSARTIASLTAHSHKEDRFGVAQLSGSNAAVISTLIACLLAVETFMGKKSSLQPSPHLMGPAGIKWATSSIGRRDVRTGKRRDGPLYSKAYAMADVLRTSIYCIVSAFHNEMLTNAKAGLLEKDWISSGTPPFGTRELLLQKLLLFLDFQAS >EOY21613 pep chromosome:Theobroma_cacao_20110822:3:14090141:14102553:1 gene:TCM_013541 transcript:EOY21613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-15, putative isoform 2 MHSAESGMVVKNRLVEFLIWQSIPSSLIFLVFTSMIVSGRSPAAIFISFLSFHLSQLLFSVSLSAVSSPERKFGRSLPVMLGAAAVSGYVSAVSLCGVNGRVGFKGFASGLFYAFFYIYKRRWVLHFPIIQRSPFFSFKMGIPSAITRALKLSAAAYLFSALLLVFLPHHFNTELELGNLFAQHVLHTKRFIFAPPKGSAAAETNPSEPLLAALEESSPTSLLKYLAYLDLCMVCENNVDYWRRAAFFEETGETYRRVAAVCLRPLEQLASKLGEGLEGSSDGKAYRVSDQLQSSTDPRMNSKCYELMNNFQLYTWSARTIASLTAHSHKEDRFGVAQLSGSNAAVISTLIACLLAVETFMGKKSSLQPSPHLMGPAGIKWATSSIGRRDVRTGKRRDGPLYSKAYAMADVLRTSIYCIVSAFHNEMLTNAKAGLLEKDWISSGTPPFGTRELLLQKLLLFLDFQAS >EOY24956 pep chromosome:Theobroma_cacao_20110822:3:32767623:32774546:1 gene:TCM_016408 transcript:EOY24956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing-like protein MEQVEGTKTDVEKRVERILKLIKRRNRVKKEPELVGLVEDFHKQYQALYAQYDQLRRESSKKADDGKGNESCSYCASSSDSEYYSSEDIEINTNLELNNNRSSHRRMADKTKEEIERANVEVADLKNQLASKTEEKEALTSDHLAALSKIQEIETINRDLRKEVDEKEKRLAALGKVHQGRVTELEERLTGLKTELESLHHQKRDLEDQLDGKTAESKQQEKTNKALYSEFELIPKEEGDAVTKLMKQIKDNENNLMSKIEDSMAQVSNLKKEVDYLRAQKYAAEGSIAGKSSESFDQENVMRQELDSLRSQKTESEILLETKSKEISQYLIQVKSLKEELARKSAVEQITIEQKEGLQVQLMDLESEVDTLRRQKNISEDEVWSNTREINQLREEKGNLQAKILELETLFRERGLELSAVQEDSKSIKIKVNAQIRTLNAEVELLQQKLDTLKMEKSQLELQIADQQRITKEREEAINKSTEESNSKVVRRWSSGSTLNSQVLERKMEELAEEFRKKVEDNIRLLYQRIKVAEKIHFENKETHKKIKERLEQENGALEEKLANYEAEFRKLRDTMEPGKTALSDLTSAVNELEDEGNSLTRISNVTDELVSANDCEQLKGNVDLAVAELNKEKEESLEAKLREQGEEKLNLIKAVSGLENRVGELEKIMKDKDETLLGLQEEKREAIRQLCLLIDYHRCRCDYLKEFISKFPTTAAVPNGTNLGSRKFPSSYYVQIPAVSYSNGNRIRISK >EOY21082 pep chromosome:Theobroma_cacao_20110822:3:2761890:2766011:1 gene:TCM_012403 transcript:EOY21082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MGFLKKFTKSDVDIEAFLKNNGTLALKRYTYSDVKKMTNSFEETLGKGGYGSVYKGKLLDGHLVAVKLLNTSKGNGQEFINEVASISRTSHVHVVTLRGFCLEGNKRALIYEFMPNGSLERFIYKENANFKDHRPLTSEELYRIAIGVAQGLEYLHRGCNTRILHFDIKPHNILLDENFCPKISDFGLAKLSNRKESIVSMLEARGTIGYIAPEVFCRNVGGVSHKSDVYSYGMMILEMVGGRRNIDVKVSQTSEIYFPHWIYQHLEQGNIKPELLGLMTREETEIARKMILVGMWCIQTNPIDRPSMTKVIDMLEGSIEALRIPPKPYLSSPSRSTAVDSTSLSLP >EOY22206 pep chromosome:Theobroma_cacao_20110822:3:22391960:22405203:-1 gene:TCM_014433 transcript:EOY22206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase isoform 1 MSKLLLFHTAHSTPRIHPRTFTSILSRPLSVPSLSKCHSPSSLFPSISSPALHPHPHLQRQNFSFSYSFSTRAFDDSSSSAETQNEKEEKVGSQSGEKFETEEYPSGEVEYEKMSGWRSFVVKFKMLIAFPWERVRKGSVLTMKLRGQISDQLKSRFSSGLSLPQICENFVKAAYDPRISGVYLHMEPLNCGWGKVEEIRRHILNFKKSGKFIIAYIPACGEKEYYLACACEEIYAPPSAYFSLYGLTVQASFLGGVFEKIGIEPQVQRIGKYKSAGDQLTRKTMSEENCEMLTSLLDNIYGNWLDVVSSSKGKKREDVENFINEGIYKVEKLKEEGLITNIHYDDQVISMLKERLGVPKDKNLLMVDYRKYSKVRKWTLGLAGGRDQIAVIRASGSISRVRSPLSAPSSGIIAEQINEKIRSVRESKRYKAAIIRIDSPGGDALASDLMWREIRLLAESKPVIASMSDVAASGGYYMAMAAGTIVAENLTLTGSIGVVTGKFNLGKLYEKIGFNKEVISRGRYAELFAAEQRPLRLDEAELFAKSAQNAYKQFRDKAAFSRSMPVEKMEEVAQGRVWAGRDAASRGLVDAIGGLSRAIAIAKHRANIPQDRKVTLVELSRPSPTLPEILSGIGNSIVGVDGTLKEVLQELTFSDGVQARMDGIVFQRLEGLSNATPLFSLIKDYLSSL >EOY22208 pep chromosome:Theobroma_cacao_20110822:3:22393964:22405066:-1 gene:TCM_014433 transcript:EOY22208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase isoform 1 KLLLFHTAHSTPRIHPRTFTSILSRPLSVPSLSKCHSPSSLFPSISSPALHPHPHLQRQNFSFSYSFSTRAFDDSSSSAETQNEKEEKVGSQSGEKFETEEYPSGEVEYEKMSGWRSFVVKFKMLIAFPWERVRKGSVLTMKLRGQISDQLKSRFSSGLSLPQICENFVKAAYDPRISGVYLHMEPLNCGWGKVEEIRRHILNFKKSGKFIIAYIPACGEKEYYLACACEEIYAPPSAYFSLYGLTVQASFLGGVFEKIGIEPQVQRIGKYKSAGDQLTRKTMSEENCEMLTSLLDNIYGNWLDVVSSSKGKKREDVENFINEGIYKVEKLKEEGLITNIHYDDQVISMLKERLGVPKDKNLLMVDYRKYSKVRKWTLGLAGGRDQIAVIRASGSISRVRSPLSAPSSGIIAEQINEKIRSVRESKRYKAAIIRIDSPGGDALASDLMWREIRLLAESKPVIASMSDVAASGGYYMAMAAGTIVAENLTLTGSIGVVTGEPTL >EOY22207 pep chromosome:Theobroma_cacao_20110822:3:22393692:22405141:-1 gene:TCM_014433 transcript:EOY22207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase isoform 1 MSKLLLFHTAHSTPRIHPRTFTSILSRPLSVPSLSKCHSPSSLFPSISSPALHPHPHLQRQNFSFSYSFSTRAFDDSSSSAETQNEKEEKVGSQSGEKFETEEYPSGEVEYEKMSGWRSFVVKFKMLIAFPWERVRKGSVLTMKLRGQISDQLKSRFSSGLSLPQICENFVKAAYDPRISGVYLHMEPLNCGWGKVEEIRRHILNFKKSGKFIIAYIPACGEKEYYLACACEEIYAPPSAYFSLYGLTVQASFLGGVFEKIGIEPQVQRIGKYKSAGDQLTRKTMSEENCEMLTSLLDNIYGNWLDVVSSSKGKKREDVENFINEGIYKVEKLKEEGLITNIHYDDQVISMLKERLGVPKDKNLLMVDYRKYSKVRKWTLGLAGGRDQIAVIRASGSISRVRSPLSAPSSGIIAEQINEKIRSVRESKRYKAAIIRIDSPGGDALASDLMWREIRLLAESKPVIASMSDVAASGGYYMAMAAGTIVAENLTLTGSIGVVTAGKFNLGKLYEKIGFNKEVISRGRYAELFAAEQRPLRLDEAELFAKSAQNAYKQFRDKAAFSRSMPVEKMEEVAQGRVWAGRDAASRGLVDAIGGLSRAIAIAKHRANIPQDRKVSSLLF >EOY24788 pep chromosome:Theobroma_cacao_20110822:3:32227789:32231357:-1 gene:TCM_016290 transcript:EOY24788 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MGGCCCCSSKGVEISSAPGYYYYPRASDERVPLSSHSGAASALSTGLLVDTNLEVSVPDAYRPPPAPMPFDAVLGPSQNPSVAQGIHNSKSDEAVQTTHVDSGQETVGVDTRETSIKSEDTKESDCKAQFNTEIESPKGLEVELSKPVESIAPTKEEEDVCPTCLEEYDAENPKIITKCEHHFHLACILEWMERSDTCPVCDKEMIFDLPT >EOY24833 pep chromosome:Theobroma_cacao_20110822:3:32367827:32369842:1 gene:TCM_016322 transcript:EOY24833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein, putative MAVAVNFSAQALRQRTCHRAMAGLPFDPLPFQRSCQLECQKRMKEQPHRIAIKRQEKNKKTNNRGVSSIMSSNNDSDLIFGPPSASDTIKHFYMCINEKNLKKLGDYISEDCYIEDCSFFNPFNGKKEVMHFFNLLTRGMGQNVKFVIEHICEGDGFTAGVNWHLEWKQRQVPFTRGCSFYECSEEGEKLVIKKAMIVIESPVKPGGMVLVLLKNVTTIFDEFPRAAEWFLKRPHVILQSVLKIYAIFFAPFVNPLVASYVKVWEFMARFNGFTNTSQLSSPSRRDHVSKRERATNGPRRGGCGGGLLKATMGLVWSLLTISPFSESLFSDFLGVDAATVPGDDYMLICSQVTDCLQEYSPK >EOY20631 pep chromosome:Theobroma_cacao_20110822:3:387209:390053:-1 gene:TCM_011999 transcript:EOY20631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase-like protein MEKRKAILSTTGNPEPEIEETDTKVDRITSLPKEVMADILSRLTLEEAERMMLKGLTLGLGFAIDKRAQSLELDLLRARYVRRAGHSLGGLLRAGHFLGDGVLLRGGDFPGAGDLGRREHCLGAILPYRNDGSYSSPLHLLSADASANLKCLELRNCHSLKKVEIYAVNLVSLFYNGSDGVPVTLNLPHLAELLVGGNYLHQIYHLSQLQIILSQLESLSFDVSGAKAWPFLKNIPTLSNLKHLELESGITGVSHSFLPFTSLLTAAPLLHTFTLKGSSCDGVGFPLALLEKLMIDPCPPYYLDNRDESRFRESWDYKSAKLQAMELQAKIPPGIEFIVA >EOY23280 pep chromosome:Theobroma_cacao_20110822:3:26905048:26907904:1 gene:TCM_015228 transcript:EOY23280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 8, putative MASGFSGGGPDFYGGIAGRSMANTGGMSNNQPTAPYRTQIPGIFMDPTSQIVNRAAPNFIGKRTLADFQTQQHQNNHPGLNSLYLRSVKPRTYQHTSPISPLSPIDFSANLSPDVSSNFSSSSSCMSQRYGVPLLQQLRPQQLPLGTTSGTTIQVVNPGLSGVPYMNPAQTRVVQQQDPEKKMMNQLQELEKQLLDDDNDEEGDAVSVITNTNSEWSETIQNLISSSSPNNPIAPSPTSSTTSSSSSTSSVASPASTCSKQTIMEAASAISEGKTDVVNEILTRLAQVANSKGNSEQRLMECMLLALKSRVNSAENPPPVAELFSKEHAAATQLLYDLSPCFKLGFLAANLAILDATLDQPNSNKLHVIDFDIGQGGQYINLLHALSERGSGQPVMVKITAIADNAGDERLKMVGDKLSQIAERFGVCLKFNVVASLKLSDLSREALGCEPDEPLAVNFAFKLYQMPDESVSVENPRDELLRRVKGLAPRVVALVEQEMNTNTAPFTSRVGEACGYYGALIDSIESTVSRDKAERVKLEEGLLRKIANSVACEGRDRVERCEVFGKWRARMSMAGFEFKPLSQSVAESMRARLSSGNRVNPGFTVKEENGGVSFGWMGRTLTVASAWR >EOY21349 pep chromosome:Theobroma_cacao_20110822:3:6723585:6726152:-1 gene:TCM_012857 transcript:EOY21349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKARSQFPITENEQEDEGVYQEDEVYFNSPFDHTSIILDNNTFLVRNVTEVKSIWQKIYKDCLKDLLVDEHDKVKRETGSLDIDFILTKEWQAHSCKAKQNSLTKKDGSVVKHTSNSLPFALHQKKKEEEKNDKLHSPSSSNAFTSGKKESYASTIMEKYGTEPLSQLNTQVLASRLLAATVTFESACGPNDAPHPMPTLAPKPEGYRQLLNDVKTLMMGFTDIKGFFMDSSYAISRITDRMGHCQRIWLAAFKLVPPIIHRIGNGSGWFFEDFVRIEKRKV >EOY22511 pep chromosome:Theobroma_cacao_20110822:3:23757797:23763277:-1 gene:TCM_014665 transcript:EOY22511 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MENKKRSSASPSTTNKKESKGFCLMNHDLLQNILSRLPAASFASAACVSKAWNAACNRVLSRPKLSSAISLNPSPRVALQEVFGKVMSEPIRPHFAMASVGPGFHFKDVLQFMVEKLGSRTPIILSSVSGIFGRDALTHEFREVKWTNGNVDDEVSTYTGIVLNVGYVPGLKVEAIPLLQQKKFGFSKRKLTWRTLLICQTPQGSMIDKFVMDIKSYTSSMSGCTSPLAIIMIGDADVDQKPIIEKLDYAMSMETIIVGDERGQFVYKSGDVSRNVSSSLKCSPDAVALVFARDRDKAHGVGDIEFHFALSNGVTAIGPRFKAASVKVRNSESVTWLTAKREGQQEVLDGQHILDHINNEPSPFRTEVLIHYTDIMENHTEYVDLYIGVTRLRNCSVGSDKPRLMTSLALHGVVGGDEEYLYVDGVGIRTGDYFQFYLSDPKTALPSCRNVSSTLRNLKLEWDTKSAHSTSAGINATDKKEIFGGFIFSCCGRGDSFFRHLNVDRSPFLNVDSSPFLENFPGVPLAGIFCGGEIGRGYTSLTAHGGQEEGSVRCHLHVYSTVYLVMSYTTPEVH >EOY22515 pep chromosome:Theobroma_cacao_20110822:3:23758383:23763332:-1 gene:TCM_014665 transcript:EOY22515 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MENKKRSSASPSTTNKKESKGFCLMNHDLLQNILSRLPAASFASAACVSKAWNAACNRVLSRPKLSSAISLNPSPRVALQEVFGKVMSEPIRPHFAMASVGPGFHFKDVLQFMVEKLGSRTPIILSSVSGIFGRDALTHEFREVKWTNGNVDDEVSTYTGIVLNVGYVPGLKVEAIPLLQQKKTPQGSMIDKFVMDIKSYTSSMSGCTSPLAIIMIGDADVDQKPIIEKLDYAMSMETIIVGDERGQFVYKSGDVSRNVSSSLKCSPDAVALVFARDRDKAHGVGDIEFHFALSNGVTAIGPRFKAASVKVRNSESVTWLTAKREGQQEVLDGQHILDHINNEMENHTEYVDLYIGVTRLRNCSVGSDKPRLMTSLALHGVVGSQNCITIMQECLLNP >EOY22513 pep chromosome:Theobroma_cacao_20110822:3:23758269:23763332:-1 gene:TCM_014665 transcript:EOY22513 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MENKKRSSASPSTTNKKESKGFCLMNHDLLQNILSRLPAASFASAACVSKAWNAACNRVLSRPKLSSAISLNPSPRVALQEVFGKVMSEPIRPHFAMASVGPGFHFKDVLQFMVEKLGSRTPIILSSVSGIFGRDALTHEFREVKWTNGNVDDEVSTYTGIVLNVGYVPGLKVEAIPLLQQKKTPQGSMIDKFVMDIKSYTSSMSGCTSPLAIIMIGDADVDQKPIIEKLDYAMSMETIIVGDERGQFVYKSGDVSRNVSSSLKCSPDAVALVFARDRDKAHGVGDIEFHFALSNGVTAIGPRFKAASVKVRNSESVTWLTAKREGQQEVLDGQHILDHINNEMENHTEYVDLYIGVTRLRNCSVGSDKPRLMTSLALHGVVGSQNCITIMQECLLNP >EOY22514 pep chromosome:Theobroma_cacao_20110822:3:23758384:23763330:-1 gene:TCM_014665 transcript:EOY22514 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 TNFQVPTYIGRNGVKPKAGETFGYFFRVSLHLTPSMENKKRSSASPSTTNKKESKGFCLMNHDLLQNILSRLPAASFASAACVSKAWNAACNRVLSRPKLSSAISLNPSPRVALQEVFGKVMSEPIRPHFAMASVGPGFHFKDVLQFMVEKLGSRTPIILSSVSGIFGRDALTHEFREVKWTNGNVDDEVSTYTGIVLNVGYVPGLKVEAIPLLQQKKTPQGSMIDKFVMDIKSYTSSMSGCTSPLAIIMIGDADVDQKPIIEKLDYAMSMETIIVGDERGQFVYKSGDVSRNVSSSLKCSPDAVALVFARDRDKAHGVGDIEFHFALSNGVTAIGPRFKAASVKVRNSESVTWLTAKREGQQEVLDGQHILDHINNEMENHTEYVDLYIGVTRLRNCSVGSDKPRLMTSLALHGVVGGDEEYLYVDGVGIRTGDYFQFYLSDPKTALPSCRNVSSTLRNLKLEWDTKSAHSTSAGINATDKKEIFG >EOY22512 pep chromosome:Theobroma_cacao_20110822:3:23757794:23763231:-1 gene:TCM_014665 transcript:EOY22512 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MENKKRSSASPSTTNKKESKGFCLMNHDLLQNILSRLPAASFASAACVSKAWNAACNRVLSRPKLSSAISLNPSPRVALQEVFGKVMSEPIRPHFAMASVGPGFHFKDVLQFMVEKLGSRTPIILSSVSGIFGRDALTHEFREVKWTNGNVDDEVSTYTGIVLNVGYVPGLKVEAIPLLQQKKTPQGSMIDKFVMDIKSYTSSMSGCTSPLAIIMIGDADVDQKPIIEKLDYAMSMETIIVGDERGQFVYKSGDVSRNVSSSLKCSPDAVALVFARDRDKAHGVGDIEFHFALSNGVTAIGPRFKAASVKVRNSESVTWLTAKREGQQEVLDGQHILDHINNEMENHTEYVDLYIGVTRLRNCSVGSDKPRLMTSLALHGVVGGDEEYLYVDGVGIRTGDYFQFYLSDPKTALPSCRNVSSTLRNLKLEWDTKSAHSTSAAPPSWRTFLGFPWQEYFAVGKSDVAIQA >EOY22695 pep chromosome:Theobroma_cacao_20110822:3:24482525:24488790:1 gene:TCM_014790 transcript:EOY22695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLVCFLLDLCSLSSPLLRDLKQSLLQLANLYTVSWNWRNKSDSLGDRIGLCYVIKNRISSSDELKVAYSPRVDFSLRDFHHAVNSLPTDSFFPEINDSGSLSCHAMKLASVLSDQVLYSWGGKDIMRKVIVLSSFLPENIDSALKETLMDAADKCVSVEFVLLEQRSNHLSDIRENINSFARCISDLDNCSFQSYLPEVKVFHGLVKQWLQDLRDDTEEPLQARFIFNSNLAGSLKQISCNLSASVNHIIDGFNPCKTCRCHGVPLCNAEKSRIEGPSCAVTFHDLGAIDVIDNSVKVGENTILFMPSFQSSMKLQQVSSPVDFNIIERTNLGSLSEGVIFGNPYFVTPSASPEIEAASDEMDQLELNFQLFKGLCSALQSLDQGLVCSSNCNIESMREATFHCYYILQPSDNGPMLLRRLAGSEEVLPFSDVNQFIDHSVPKEIEISIQSSLFKLDSRDYNPVLYERGFHQKLNLLVKESLQFGSVPPNTNEATSELYTTKPDPTKLIAQSTSSDDVVVVNEEMSQLDQLAGEEKTTASIDEEWERLVVHEVPVKNSPTCTAKPNSGQSVLLLSPPDSSRQLDITTTRILERLEVPRQLKSKAVSPSINSSRTADVNMPMKKPLIPFQPNHAADQGLTSSQLIRPSFQRQKRKHK >EOY21877 pep chromosome:Theobroma_cacao_20110822:3:19328054:19367233:-1 gene:TCM_014028 transcript:EOY21877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALSSAGPLNIYRNDYEIELQMGHIQQEKGDCLTQGHVPILLERVHLDLQQNDFTDMVGIWEQWRRAHRDNFQNKYGHIAWLLYVPVDDQMLRAIVQFWDPSYRCFVFNKVDMTPTIEEYSSLLRIDHMHPDKIYWRAQKTGHRRKLAKLLGMTTGEQGLLAFAMAIYGLVVFPKVLGHVEVLVIDFFDQGRVTDEVTTGYHTWHDQRVKNVIRPPKNLSKHPINPEPQDVLLESELTRKRLEKEMMNMKRRHEDELGEVKKETARKVRVALKERDEWQSKFEEVSVANSSLLARIQELQSANNALQHEVRRQGQTIQELKNDCDLLETAMEGYKAQYEAVRQEYFQMKERNDSCTQSLQRKEAEMQWILRQMREVAFRARVMADKTEELKREILPKDELSERLIDHLKMVRDQYDKIKERERQGVLVHQKTFNRQKPIQTL >EOY25301 pep chromosome:Theobroma_cacao_20110822:3:33870895:33872501:1 gene:TCM_016662 transcript:EOY25301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFTDLLGTDLSCSSLISWVEAPVLLISHTLTIPASLRQRNQHKNDDKIGKLEFLETGEIKSQSTNGCKFPSSRKDEEQFTVLAWFCLLLPFGDQIFLFISEAGESDCPHQPTEGVT >EOY24659 pep chromosome:Theobroma_cacao_20110822:3:31834233:31838427:-1 gene:TCM_016203 transcript:EOY24659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 3r-5, putative isoform 2 MVEVKKEKDEYYEDLAEEDISASRSSFPDSSCDTAMRSASLQGMVTGPTRYSRKGGWSEEEDNLLTEAVKKCKARNWKKIAEFLPGRTDIQCLHRWQKVLNPGIFKGPWTKEEDDCITKLVEKYGCRKWSVIAKFLRGRIGKQCRERWYNHLDPTIRKDSWTEEEEAILAYYHQIYGNKWTTLAKLLPGRTDNAIKNHWNCTLKKKLGFYSPHRYAVDICNDGSSDFSDQETTPKCLKVKEERQGLDETVSVYPNIDVDYSVDRCYLDLVLGIANQTETKPEADSGKFEKCWSAGVPNEQITPLKRVHFDDKVNSTTEDSLIRSVRGNAKHAKIHEPQSASCRVASEDTQALLPSTSVGSPLSSLTFKFGEDNGQVDKESTNQRMHTAYASGCLLHNEPSQPKDSTSAIIPIVDNQDMHIKSSFCYSAPPKLVGSRSLNSGSPESILRISAMTFKNPSIIRKRSYKKAWNDNFSDAACSPARTFTCFHWEEVNGTY >EOY24660 pep chromosome:Theobroma_cacao_20110822:3:31834406:31838278:-1 gene:TCM_016203 transcript:EOY24660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 3r-5, putative isoform 2 MIMVGQDNLLTEAVKKCKARNWKKIAEFLPGRTDIQCLHRWQKVLNPGIFKGPWTKEEDDCITKLVEKYGCRKWSVIAKFLRGRIGKQCRERWYNHLDPTIRKDSWTEEEEAILAYYHQIYGNKWTTLAKLLPGRTDNAIKNHWNCTLKKKLGFYSPHRYAVDICNDGSSDFSDQETTPKCLKVKEERQGLDETVSVYPNIDVDYSVDRCYLDLVLGIANQTETKPEADSGKFEKCWSAGVPNEQITPLKRVHFDDKVNSTTEDSLIRSVRGNAKHAKIHEPQSASCRVASEDTQALLPSTSVGSPLSSLTFKFGEDNGQVDKESTNQRMHTAYASGCLLHNEPSQPKDSTSAIIPIVDNQDMHIKSSFCYSAPPKLVGSRSLNSGSPESILRISAMTFKNPSIIRKRSYKKAWNDNFSDAACSPARTFTCFHWEEVNGTY >EOY22880 pep chromosome:Theobroma_cacao_20110822:3:25161484:25162897:-1 gene:TCM_014921 transcript:EOY22880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11B MNDTVDKLVIFLAKRDGIDKLVKTFQYVSKLVHWQVETTHPDIANRFKQWEVASGLSRKAFRSGRFLTGFNALRRNPGSSPTFKFLAVLANAGEMVYFFFDHFLWLSRIGTLDAKLARRMSFISAFGESFGYIFFIVSDFIIMKEGLEAERKLIALAEEDSKDAKVKIRSIRADRVMRLMAVAANIADLIIALADIEPNPFCNHAVTLGISGLVSAWAGWYRNWPS >EOY22884 pep chromosome:Theobroma_cacao_20110822:3:25170033:25175287:-1 gene:TCM_014923 transcript:EOY22884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligomeric Golgi complex component-related / COG complex component-related MMLDLGPFSDEKFDPKKWINSACKSRHPQDSLDKHMVDLEMKLQMVSEEIAASLEEQSAAALLRVPRASRDVLRLREDAVSLRISVAGILDKLKKAEGSSAESIAALAKVDTVKQRMEAAYETLQDAAGLTQLSATVEDVFASGDLPRAAETLANMRHCLSAVGEVAEFANIRKQLEVLEDRLDTMVQPRLTDALSNRKIDVAQDLRGILIRIGRFKSLEMHYTKVHLKPIKQLWDDFDSKQRASKLANEKSEVERLSISNDLRSSSPTVFFSSWLPSFYDELLLYLEQEWKWCTVAFPDDYKTLVPKLLMETMAAVGSSFVSRINLATGEVVPETKALAKGILDILSGDLPKGSKIQTKHLEALIELHNMTGIYARNIQHLFSESDLRVLMDTLKAVYFPYESFKQRYGQMERAILSSEISGVDLRGAVTRGVGAQGIELSETVRRMEESIPQVIVLLEAAVERCISFTGGSEADELILALDDIMLQYISTLQETLKSLRAVCGVDHNNMGFDKKEGAQNSRKVDLISNEEEWSIVQGALQILTVADCLTSRSSVFEASLRATLARLSTSLSVSVFGSSLDQNQLHITNDDGNGEPSLGGRAALDVAAVRLVDVPDKARKLFNLLDQSKDPRFHALPLASQRVAAFAETVNELVYDVLISKVRQRLSDVSRLPIWSAVEEQSAFPLPTFSAYPQSYVTSVGEYLLTLPQQLEPLAEGISNSDASNEEAQFFATEWMFKVAEGATALYMEQLRGIQYITDRGAQQLSVDIEYLSNVLSALSMPIPPVLATFQTCFGTPRDQLKDLLKSDSGNQLDLPTANLVCKIRRVNLDQ >EOY24961 pep chromosome:Theobroma_cacao_20110822:3:32785638:32787590:1 gene:TCM_016412 transcript:EOY24961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKDKKQSMASSEFQFQQLRYGAGGYLGGWLYFFPELKSELLYAPGPCLAICPSFASPLYLQTLLKPSICSFLSSFYFFPTLAIQTKNQKRKLVLIWTMTKNVEKFKKREMGGYPETQSSVFVLIMEKKRSRSETKESDSIWDCGSPLYDSYELASFGHVLERHTMALPYPCRPSMQLRIISNKPKAEARVAGEMGNLDGVGLFRKVVKWTLWKTRIRRDRK >EOY23521 pep chromosome:Theobroma_cacao_20110822:3:27703236:27705703:-1 gene:TCM_015387 transcript:EOY23521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKGVHKRDTNQLKRKCPEFPKNIQSMCLCVLLNIIFRIHMKTLLFTNIWTTPSTGWFKLNTDGAARDCPGMLGIGGVLRDNSGAVKIIFSEARGRGMPVLLRFLTIREALVGVTGWGLLLKAILKTQSIGS >EOY22922 pep chromosome:Theobroma_cacao_20110822:3:25315866:25317015:1 gene:TCM_014949 transcript:EOY22922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEAAKSLRSCSLHFFHIFIFSFAILFLMSSIPTISAKTSNSSCIKTYKSYIKTACKSATYQKDCYKSLSPYASTIKTDPEKLYKVTLYITIRAARETSSSISSLWRLKDLTPTERGIIRDCAETFDGQTVSNAVNKNIKKTVLNLARMTSNCLALVDAVNY >EOY22662 pep chromosome:Theobroma_cacao_20110822:3:24394583:24397646:-1 gene:TCM_014768 transcript:EOY22662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floricaula/leafy MDPEAFTTGGFFKWDPRGVVAPTPARLMEAVAPPQPQTAAAVAAAYMGRAPRELGGIEELFQAYGIRYYTAAKIAELGFTVSTLLGMKEEELDEMMNSVSQIFRWELLVGERYGIKAAVRAERRRLEEEDSRRRHLVSGDTTNALDALSQEGLSEEPVQQEKEAAGSGGGGTWEVVVAGGRKKQRRRKGPKKVVEVDNEDELEGAEDDENGDIGGYERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCREFLIQVQNIAKERGEKCPTKVTNQVFRYAKKAGASYINKPKMRHYVHCYALHCLDEEASNALRRAFKERGENVGAWRQACYKPLVAIAARQGWDIDAIFNAHRRLAIWYVPTKLRQLCHAERNNAAASSSVSGGPDHMAF >EOY24561 pep chromosome:Theobroma_cacao_20110822:3:31436112:31439651:-1 gene:TCM_016131 transcript:EOY24561 gene_biotype:protein_coding transcript_biotype:protein_coding description:YTH family protein, putative isoform 3 MSSDTARENASVVDSSATEWKNDVGNSDDPENEDGYPFRAQEPQHSHMVDKRGRLYNTRYFIIKSLNHQNIQLSIDKGIWATQLMNEPILEEAFHNSGIVILVFSVNMSGFFQGYAQMMSSVGWRRDNVWSKGSGKGNPWGRSFKVKWLCLNHLPFQKTLHLKNPLNDYKPVKISRDCQELPQDIGEALCELLDGSNDVDGSLKRDELPSKRPCIEPPYSLGDEDYNVPPLHMSWAGTPMPYHPFPYRHQADLSRFHLTHPHTLATEYFPTTTGASKVAKSPHASTLTEDDFLEMVWNPI >EOY24560 pep chromosome:Theobroma_cacao_20110822:3:31434495:31439651:-1 gene:TCM_016131 transcript:EOY24560 gene_biotype:protein_coding transcript_biotype:protein_coding description:YTH family protein, putative isoform 3 MSSDTARENASVVDSSATEWKNDVGNSDDPENEDGYPFRAQEPQHSHMVDKRGRLYNTRYFIIKSLNHQNIQLSIDKGIWATQLMNEPILEEAFHNSGIVILVFSVNMSGFFQGYAQMMSSVGWRRDNVWSKGSGKGNPWGRSFKVKWLCLNHLPFQKTLHLKNPLNDYKPVKISRDCQELPQDIGEALCELLDGSNDVDGSLKRDELPSKRPCIEPPYSLGDEDYNVPPLHMSWAGTPMPYHPFPYRHQADLSRFHLTHPHTLATEYFPTTTGASKVAKSPHASTLTEDDFLEMTYEEYLEAHGRTSKQLCRSVTAPSWTMQESTSRKHADDSSSSLVTDRHHSRKRTHNSS >EOY24559 pep chromosome:Theobroma_cacao_20110822:3:31434969:31438468:-1 gene:TCM_016131 transcript:EOY24559 gene_biotype:protein_coding transcript_biotype:protein_coding description:YTH family protein, putative isoform 3 MSSDTARENASVVDSSATEWKNDVGNSDDPENEDGYPFRAQEPQHSHMVDKRGRLYNTRYFIIKSLNHQNIQLSIDKGIWATQLMNEPILEEAFHNSGIVILVFSVNMSGFFQGYAQMMSSVGWRRDNVWSKGSGKGNPWGRSFKVKWLCLNHLPFQKTLHLKNPLNDYKPVKISRDCQELPQDIGEALCELLDGSNDVDGSLKRDELPSKRPCIEPPYSLGDEDYNVPPLHMSWAGTPMPYHPFPYRHQADLSRFHLTHPHTLATEYFPTTTGASKVAKSPHASTLTEDDFLEMRILSLWSYKCDSSEKLDLSETYEEYLEAHGRTSKQLCRSVTAPSWTMQESTSRKHADDSSSSLVTDRHHSRKRTHNSS >EOY21345 pep chromosome:Theobroma_cacao_20110822:3:6565628:6569280:1 gene:TCM_012850 transcript:EOY21345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein MTGSPITPQNERSTSLMDNLLGLLRIRIKRGVNLAVRDVRSSDPYVVVKMGRQKLKTRVIKKDVNPEWNEDLTLSITDPSLPIKLTVYDHDTFSKDDKMGDAEFDIRTYIEALKMNLGEIPSGTIISKVQPGRNNCLAEESTIDWKDGKVVQDICLRLRNVECGEVEIQLQWIDLPGSKGL >EOY21262 pep chromosome:Theobroma_cacao_20110822:3:5283074:5300028:-1 gene:TCM_012703 transcript:EOY21262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 1 MDGFVQHLGFVLVIALLIIAGMLPSSSSETTRRFEFNVEWKKVTRLCHTKQLLTVNGEYPGPTIAVREGDNVEVKVSNGIAKNTTIHWHGIRQFRTGWADGPAYITQCPIRGGQSYTYKFTVEDQRGTLLWHAHHSWQRASVYGAFIIYPRMPYPFSAPIQTEIPVIFGEWWNADVDAVENEMLMFGKGANSSDGYTINGLPGPLYPCSVKDTFMETVERGKNYLLRIINAALNDELFFAIAKHTLTVVEVDAVYTKPFTTTAIMIAPGQTTTVLLNTNQLPDSTGIFAMAARPYLSSVVPFDNSTTVGFLKYKGASGNFMPPTRLDRLQLYNLPELQDTAFATRFDKKLRSLASSQYPCNVPKKIDKRVITTISLNLQDCPANQTCKGYKGGRFYASMNNFSFVRPQLSMLEAYYHNKTAGVFTSDFPENPPKVFDYAGVDRLTENMNTEFGTKLFRVPHGTYLEIVLQGTNFLHPENHPIHVHGHNFFIVGSGFGNFNVSKDPDKYNLIDPPERNTVGVPMGGWAAIRLKADNPGVWFIHCHLEEHTSWGLAMAFVVENGPLPSQSLLPPPEDLPQC >EOY22639 pep chromosome:Theobroma_cacao_20110822:3:24296630:24303407:1 gene:TCM_014750 transcript:EOY22639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-protoporphyrin IX methyltransferase isoform 2 CAFPSKLPNKHKTTPFRTTITTTPIPSLFTAASVTDLSAVEGTTIALIGGGSVAALAAVLSLADPERRRRLQAEEVGGGDKEVVKNYFNNSGFQRWKKIYGETDDVNKVQLDIRLGHSKTVENVIKILTDEGSLKGVTVCDAGCGTGCLAIPLAKEGAVVSASDISAAMVAEAEKQAKEQLVVGNGGIAPVMPKFEVKDLESLDGKYDTMVCLDVLIHYPQSKADGMIAHLASLADKRLILSFAPKTFYYDLLKRIGELFPGPSKATRAYLHAEADVERALNKVGWKIRKRGLITTQFYFARLIEAVPA >EOY22638 pep chromosome:Theobroma_cacao_20110822:3:24296356:24298217:1 gene:TCM_014750 transcript:EOY22638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-protoporphyrin IX methyltransferase isoform 2 MAYSASLSSHIPFHTNSRFCAFPSKLPNKHKTTPFRTTITTTPIPSLFTAASVTDLSAVEGTTIALIGGGSVAALAAVLSLADPERRRRLQAEEVGGGDKEVVKNYFNNSGFQRWKKIYGETDDVNKVQLDIRLGHSKTVENVIKILTDEGSLKGVTVCDAGCGTGCLAIPLAKEGAVVSASDISAAMVAEAEKQAKEQLVVGNGGIAPVMPKFEVKDLESLDGKYDTMVCLDVLIHYPQSKADGMIAHLASLADKRLILSFAPKTFYYDLLKRIGELFPGPSKATRAYLHAEADVERALNKVGWKIRKRGLITTQFYFARLIEAVPA >EOY23204 pep chromosome:Theobroma_cacao_20110822:3:26610116:26611307:-1 gene:TCM_015178 transcript:EOY23204 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAR1 protein MASNFSLMRTLAVLALAFALCLQATIGEITCEHLDQDTCTYAVSSTGKRCVLEKHVRRSGEEEYTCRTSEIEADKIKNWIETDQCVKACGLDRKSFGISSDSLLDSRFTEMLCSPQCYNSCPNVVDLYFNLAAGEGVFLPKLCEAQGANARRGMAEIRSSGMVAPGPASGAKFFGVAPAMAPF >EOY25245 pep chromosome:Theobroma_cacao_20110822:3:33666836:33668973:-1 gene:TCM_016617 transcript:EOY25245 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase Dbp73D isoform 1 MVGIFSRFSVGRAGHRRSQSALEEREVMPPNPDVAASATAATATTHGIEVAVEFKPVEHPIEPLDNDQPIQCPLPEPSILNDGRIWKERVSASVIRRGDLPVMKDGDSLESEATGTKPRPTHSNRLILPSLSAPEHNFLNLLEECNASGI >EOY25246 pep chromosome:Theobroma_cacao_20110822:3:33666699:33669020:-1 gene:TCM_016617 transcript:EOY25246 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase Dbp73D isoform 1 MVGIFSRFSVGRAGHRRSQSALEEREVMPPNPDVAASATAATATTHGIEVAVEFKPVEHPIEPLDNDQPIQCPLPEPSILNDGRIWKERVSASVIRRGDLPVMKDGDSLESEATGTKPRPTHSNRLILPSLSAPEHNFLNLLEECNASGI >EOY24356 pep chromosome:Theobroma_cacao_20110822:3:30649934:30654749:1 gene:TCM_015979 transcript:EOY24356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MHKSNEKYREIVIDKGGGESEDSPSRETSQFQKGMDKHIHPPIENHFLLPPQREKPSSSTTSSGLKSMLSYPLKVRDSLKRIGKSKSMELILEGTHDPKDEQIVQSFRELLFLEGQLPAKHNDYHTLLRFLRMRDFDLSKAKEMFLKYLQWRKDYGVDAIQREFKFVELTEVKKCYPHGFHGVDRSGRPVYIERIGLVDLNALLQVTNIDRFVKYHVSEQEKTLNLRFPASSIAAKRHIASTTSILDVKGVGMSNFSKPARYLFMEIQKIDSNYYPETLNRLFIINAGSGFRMLWKVIKAFMDARTLAKINVLGYNYLSNLIEVIDPSNLPTFLGGNCTCADYGGCLLSDKGPWNNPEITEMLQAVCATEEANYEGNGDLASEDALLHDVDDGENNENLRNGERAKSDRLAPQKIMALEAALADTNKKIDALEVALEDTKMVLKGLGQHIKDLRV >EOY24357 pep chromosome:Theobroma_cacao_20110822:3:30650774:30654902:1 gene:TCM_015979 transcript:EOY24357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 1 MELILEGTHDPKDEQIVQSFRELLFLEGQLPAKHNDYHTLLRFLRMRDFDLSKAKEMFLKYLQWRKDYGVDAIQREFKFVELTEVKKCYPHGFHGVDRSGRPVYIERIGLVDLNALLQVTNIDRFVKYHVSEQEKTLNLRFPASSIAAKRHIASTTSILDVKGVGMSNFSKPARYLFMEIQKIDSNYYPETLNRLFIINAGSGFRMLWKVIKAFMDARTLAKINVLGYNYLSNLIEVIDPSNLPTFLGGNCTCADYGGCLLSDKGPWNNPEITEMLQAVCATEEANYEGNGDLASEDALLHDVDDGENNENLRNGERAKSDRLAPQKIMALEAALADTNKKIDALEVALEDTKMVLKGLGQHIKDLRV >EOY24389 pep chromosome:Theobroma_cacao_20110822:3:30776071:30780469:1 gene:TCM_016005 transcript:EOY24389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter 1.1 isoform 1 MSFPVTQGKTLPDAWDYKGSPAERSKSGGWTSAAMILGVEACERLTTLGIAVNLVTYLTGTMHLGNATSATTVTNFLGTSFMLCLLGGFIADTFLGRYLTIGIFATVQATGVTILTISTVIPSLRPPKCSMDNPTTCTQASGIQLIILYLALYLTALGTGGLKSSVSGFGSDQFDDSDPEERYQMTNFFNWFFFFINIGSLGSVTILVYIQDNLGREWGYGICACAIVIGLVVFLSGTRRYRFKKLVGSPLTQIAAVFVAAWKKRHLELPSDPSLLFNIDDAAEGLKKKQKLPHSKQFRFLDRAAIQDSSAPEANKWNLATLTDVEEVKLVIRMLPIWATTIIFWTVYAQMTTFSVSQATTMDRHIGIFQIPPASLTVFFVASILVTVPIYDRLIAPIARKVLKNPQGLTPLQRIGVGLVLSIIAMIAAAMTEIKRLRVARSHGLTNDRAVEIPLSVFWLVPQFLFVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSLLVTIVHKVTGNKKPWLPDNLNQGRLYDFYWLLAILSSLNLAIYLVFAKWYVYKDKRLADEGIELEEAEPTFH >EOY24390 pep chromosome:Theobroma_cacao_20110822:3:30776067:30780214:1 gene:TCM_016005 transcript:EOY24390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter 1.1 isoform 1 MSFPVTQGKTLPDAWDYKGSPAERSKSGGWTSAAMILGVEACERLTTLGIAVNLVTYLTGTMHLGNATSATTVTNFLGTSFMLCLLGGFIADTFLGSRYLTIGIFATVQATGVTILTISTVIPSLRPPKCSMDNPTTCTQASGIQLIILYLALYLTALGTGGLKSSVSGFGSDQFDDSDPEERYQMTNFFNWFFFFINIGSLGSVTILVYIQDNLGREWGYGICACAIVIGLVVFLSGTRRYRFKKLVGSPLTQIAAVFVAAWKKRHLELPSDPSLLFNIDDAAEGLKKKQKLPHSKQFRFLDRAAIQDSSAPEANKWNLATLTDVEEVKLVIRMLPIWATTIIFWTVYAQMTTFSVSQATTMDRHIGIFQIPPASLTVFFVASILVTVPIYDRLIAPIARKVLKNPQGLTPLQRIGVGLVLSIIAMIAAAMTEIKRLRVARSHGLTNDRAVEIPLSVFWLVPQFLFVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSLLVTIVHKVTGNKKPWLPDNLNQGRLYDFYWLLAILSSLNLAIYLVFAKWYVYKDKRLADEGIELEEAEPTFH >EOY21427 pep chromosome:Theobroma_cacao_20110822:3:7842604:7843215:-1 gene:TCM_012954 transcript:EOY21427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNRMLHYDNKQRIRERRRENKWERVVLHLVTRHRFGKEQADLVLDVIKNQRWSDFEKREKNREKVPRERQGEEEERSSREGMHQI >EOY21105 pep chromosome:Theobroma_cacao_20110822:3:3030159:3033699:-1 gene:TCM_012439 transcript:EOY21105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIRKMESKFSLRDKEKVKNLDRKHNHPKASSGAYCSSCGSSKEHNSRCHESLIEFACSACFFCIFCPLSIVWCCAQMPCKIGWRTARYAINWACCGSDKRVFVEYSSFSDIDLDDLPSKSPNNSSYPFNSARSRTSHRTNDSRQIPPKGQYLTWTNDKDGLDLVWKILDRAFANPFMDQEAVALLERPFEAKEVKAGAFQIRPMKAPGIEAKPSHMRKDLNKTLITLNP >EOY23020 pep chromosome:Theobroma_cacao_20110822:3:25791056:25792956:1 gene:TCM_015033 transcript:EOY23020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MFPLQQGDDLVYQIFFNSNQHKIPQDLITDHASLEGTPIPIIGSSMGKSQRRKVCHMESTSTQAFTCDKYKKQKLHREIERQRRQDMASLYASLRSLLPGEYIKGKRSMSDHMNEAVNYIKHLEKKVKDLDVKRDELKRVSNLAALGSGTESSNHCFIIRPCLIGIEIMFRCGFEEQGLPLSRVLAALVDEGLPVVSCISTKSEEYLLHTIQTEVNDPTSFNLSGLQQKLAKNCVASSCSPNETARVGCRQITWTVAICISTSTLGNDGQSCKNS >EOY24099 pep chromosome:Theobroma_cacao_20110822:3:29626474:29628772:-1 gene:TCM_015795 transcript:EOY24099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter superfamily protein MIYIFFLLAGQSTATLLGRLYYDKGGNSKWMATFVQSAGFPILLPLLFFFSPPTKSITSSNPIASSITRQPKTSTLVFLYVALGLLLTGDNMMYSYGLLYLPVSTYSLLCATQLAFNAVFSFFLNSQKFTPLILNSLILLTISAALLAVNADSENTSTVSKGKYAIGFLCTVGASATYSLYLSLVQLSFQKVIKRETFSTVLDMQIYPSFVATCGCIVGLFASGEWKSLSKEMKEYQEGQVPYLMTLIWTAVTWQISSIGLLGLVFEVSSLFSNVISTVALPVVPILAVIFFHDKMDGVKVVAMLLAIWGFLSYIYQHYLDDSETKVKKANSNEVSVALTEV >EOY24930 pep chromosome:Theobroma_cacao_20110822:3:32714099:32716127:-1 gene:TCM_016394 transcript:EOY24930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLEPGFCKILNTKAKTLAGEMVQSSGRTDRTKKKPKREGEAQVGFCGHRFSSQRGWPRIVNSTQVFMAKLFVMLCRKEEKHQDKNKKSKFERCAFIHMGDFSP >EOY24933 pep chromosome:Theobroma_cacao_20110822:3:32728567:32729257:-1 gene:TCM_016397 transcript:EOY24933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMWISENEPRLSKMEGPYQADEMAKISGTTRITAVPLGENNQTVMAELEIKGWGPKGGGTGGGGLRGAGTGF >EOY22580 pep chromosome:Theobroma_cacao_20110822:3:24031776:24035786:1 gene:TCM_014711 transcript:EOY22580 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein isoform 2 MNGLQPPPPDLANEANHGDGFIQTYKAWKGSNVFVLGGRLIFGPDVRSLFFTIFLIAAPVAVFCVFVARKLMDDFPHHLGISIMAVAVALTLCDIVLLLLTSGRDPGIIPRNSHPPEPEGYEGISEFRPGQTPPLRLPRTKDVVVNGISVKIKYCDTCMLYRPPRCSHCSICNNCVDRFDHHCPWVGQCIGLRNYRFFFMFVFSATLLCLYVHGFCWVYIRRIMDGEETTIWKAMTKTPASIVLLVYTFISVWFVGGLTVFHLYLISTNQQSFFSVLWQSTYENFRYRYDCRENPYNKGVIENFTEIFCTSIPPSKTNFRAKIPKEPAISPRTVSGGFISPNKGKAVGDIEMGRKPVWDEALGEAGDHEGQLSGDGMDKDSGLPDVSPDLSRILPPESIEGRGVMNHPRRSSWGRNSEKWEISPEILPLSRVGESKRMNGRSNAESTNGNQQFETKS >EOY22581 pep chromosome:Theobroma_cacao_20110822:3:24032932:24035398:1 gene:TCM_014711 transcript:EOY22581 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein isoform 2 MCEFLSLLKPLFLSGMLLVTDVLHLPILSVLFKDIVLLLLTSGRDPGIIPRNSHPPEPEGYEGISEFRPGQTPPLRLPRTKDVVVNGISVKIKYCDTCMLYRPPRCSHCSICNNCVDRFDHHCPWVGQCIGLRNYRFFFMFVFSATLLCLYVHGFCWVYIRRIMDGEETTIWKAMTKTPASIVLLVYTFISVWFVGGLTVFHLYLISTNQSTYENFRYRYDCRENPYNKGVIENFTEIFCTSIPPSKTNFRAKIPKEPAISPRTVSGGFISPNKGKAVGDIEMGRKPVWDEALGEAGDHEGQLSGDGMDKDSGLPDVSPDLSRILPPESIEGRGVMNHPRRSSWGRNSEKWEISPEILPLSRVGESKRMNGRSNAESTNGNQQFETKS >EOY24458 pep chromosome:Theobroma_cacao_20110822:3:31035687:31040084:1 gene:TCM_016053 transcript:EOY24458 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP70-interacting protein 1 isoform 1 MDAAKLNELNKFIDQCKSNPSILSDPSLSFFRDYLESLGAKLPSSAYSHGASDSKSKSFVVEGSDDEMADEGEKLNVEEEEEEDEIVESDIELEGDTVEPDNDPPQKMGDPSVEVTDENRDAAQSAKAKAMDAISEGKLEEAIDSLTEAILLNPTSAIMYATRASVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGIARAMLGQWEDSAKDLHVASKLDYDEEINNVLKQVEPNAQRIEEHRRKYDRLHKEREDRKVERERQHRRAEAQAAYEKAKKQEQSSSSRRPGGMPGGFPGGMPGGFPGGMPGGMPGEMPGSMPGGMPGNVDFSKILNDPELMSAFSDPEVMAALQDVMKNPANLAKHQANPKVAPVIAKMMGKFAGPK >EOY24457 pep chromosome:Theobroma_cacao_20110822:3:31035821:31040091:1 gene:TCM_016053 transcript:EOY24457 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP70-interacting protein 1 isoform 1 MDAAKLNELNKFIDQCKSNPSILSDPSLSFFRDYLESLGAKLPSSAYSHGASDSKSKSFVVEGSDDEMADEGEKLNVEEEEEEDEIVESDIELEGDTVEPDNDPPQKMGDPSVEVTDENRDAAQSAKAKAMDAISEGKLEEAIDSLTEAILLNPTSAIMYATRASVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGIARAMLGQWEDSAKDLHVASKLDYDEEINNVLKQVEPNAQRIEEHRRKYDRLHKEREDRKVERERQHRRAEAQAAYEKAKKQEQSSSSRRPGGMPGGFPGGMPGGFPGGMPGGMPGEMPGSMPGGMPGNVDFSKILNDPELMSAFSDPEVMAALQDVMKNPANLAKHQANPKVAPVIAKMMGKFAGPK >EOY24881 pep chromosome:Theobroma_cacao_20110822:3:32555488:32562630:-1 gene:TCM_016363 transcript:EOY24881 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MEQKHILLSALSVGVGVGVGLGLASGQSKWTGSGSGASTGITLERMEKELLRQIVDGRESKVTFDQFPYYLSEQTQALLTSAAYVHLKHADVSKYTRNLSPASRAILLSGPAELYQQMLAKALAHYFESKLLLLDVTDFSLKIQSKYGSGKESSFKRSTSETTLERLSGIFGSFSLLSQEEHKGTLRRQSSGVDIGSRGVEDPPKLRRNASASANINSLASQCTSANPAPLRWTSSFSFDDKLLIQSLYKVLVYVSKATPLVLYLRDVDKLLFRSQRIYNLFQRMLNKLSGNVLILGSRVVDLGNDDREVDERLTAIFPYNIEIRPPEDEKHLVSWKSQLEKDMKMIQAQDNRNHIMEVLSANDLDCDDLDSICVADTMALSKYIEEVVVSAISYHLMNNKDPEYRNGKLMISSKSLSHGLSIFQEGKSTGKDTLKFEAETKTSKEAGEVSVGAKTESKSGSTNPEKKSEMETTATATKTEGENPAPAPKVTEVPPDNEFEKRIRPEVIPANEIDVTFADIGALDETKESLQELVMLPLRRPDLFQGGLLKPCRGILLFGPPGTGKTMLAKAIAREAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTKPSERILVLAATNRPFDLDEAIIRRFERRIMVGLPSADNREKIFRTLLSKEKVVDGLNFSELVSMTEGYTGSDCVVNR >EOY24880 pep chromosome:Theobroma_cacao_20110822:3:32555141:32562345:-1 gene:TCM_016363 transcript:EOY24880 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MEQKHILLSALSVGVGVGVGLGLASGQSKWTGSGSGASTGITLERMEKELLRQIVDGRESKVTFDQFPYYLSEQTQALLTSAAYVHLKHADVSKYTRNLSPASRAILLSGPAELYQQMLAKALAHYFESKLLLLDVTDFSLKIQSKYGSGKESSFKRSTSETTLERLSGIFGSFSLLSQEEHKGTLRRQSSGVDIGSRGVEDPPKLRRNASASANINSLASQCTSANPAPLRWTSSFSFDDKLLIQSLYKVLVYVSKATPLVLYLRDVDKLLFRSQRIYNLFQRMLNKLSGNVLILGSRVVDLGNDDREVDERLTAIFPYNIEIRPPEDEKHLVSWKSQLEKDMKMIQAQDNRNHIMEVLSANDLDCDDLDSICVADTMALSKYIEEVVVSAISYHLMNNKDPEYRNGKLMISSKSLSHGLSIFQEGKSTGKDTLKFEAETKTSKEAGEVSVGAKTESKSGSTNPEKKSEMETTATATKTEGENPAPAPKVTEVPPDNEFEKRIRPEVIPANEIDVTFADIGALDETKESLQELVMLPLRRPDLFQGGLLKPCRGILLFGPPGTGKTMLAKAIAREAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTKPSERILVLAATNRPFDLDEAIIRRFERRIMVGLPSADNREKIFRTLLSKEKVVDGLNFSELVSMTEGYTGSDLKNLCTTAAYRPVRELIQQERLKDLERKQKAAERQNTEDASTTKDGAEERIITLRPLNLEDFRQAKNQVAASFSSEGAGMNELKQWNDLYGEGGSRKKEQLTYFL >EOY24468 pep chromosome:Theobroma_cacao_20110822:3:31094191:31094665:1 gene:TCM_016063 transcript:EOY24468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILLSFLLLLVCIQKRRHQGRLEYRTFIKFSISALQCTMPIKRVTISLNSSKCPVPMYEGKMIAKSCHIWMIPKLQNFQTLSDSLHAATIDPTSDICPVNCVVSFRAPLSTYCFHSVSFICSLMINQSNNSK >EOY21625 pep chromosome:Theobroma_cacao_20110822:3:14784080:14789003:1 gene:TCM_013596 transcript:EOY21625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGPKRGRKKRRSCLFDFQTLISSISSPQGLKPETMEGLYLLLLNLGCSNSESNLNFSETEFNLNEFKIKFKDIHELSNVLFDKLGAKFNELFSGLNDVSAHPVQGQSIFHVNVKAAIKELTSLLRCCIVVFKLLVLDQKLVIEKGRILLGILRRCVSVKLKGGNGKSCPNFEKEVSHECMYVDDDATTSLFEHLVTSISFLEPCNPFHAILCTVLEVFADELLTHESVRQYLLVVDSLSCVNEFLFIRHFGPGNIGSVLEVFSAHFILSIPDDQAFKDFLNRLFWLPDNNFRVPEMTLTTALSLLLNPIMLSAPKMFQAYLILLVSEVIGSGILFEHMIISSELRSYLAAFERSVALYTRHMSNLNMKGYPIVDDDSFVKSHFLASNSQMDFESCLLPATREKIHNLITKCENVWNSCLSNTLLKTRSDLVAASVAYTKESLHVIEESSRDEILSILSCIILRGSSDDVDDTLLHKKEDTSPQDICLLASILKLMSSSMLQAVRILTKGRNSGSLKTLENVALSKEYDFLVATFNCFQQFSVRLPVQKFLHDMVEIQPTRHKKFKWMLVHFSGLLSLSYASGLDFLVKNCIFTLMILLNFFVFEEGDLHALGSLLGSGVETSSHKSRSKVRKSESCHKAREVLAGRKSSRAVALKFQKIRTLYLGLDSSTSLEHSPIVNHVESALSIEENTGRTCNGEIFLRCISEGSRNSSDIDDLADFIECQPGKDYSDWLRSREKFRRWKAEKMANLRWKKRRTCLKRA >EOY21624 pep chromosome:Theobroma_cacao_20110822:3:14783186:14788995:1 gene:TCM_013596 transcript:EOY21624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGPKRGRKKRRSCLFDFQTLISSISSPQGLKPETMEGLYLLLLNLGCSNSESNLNFSETEFNLNEFKIKFKDIHELSNVLFDKLGAKFNELFSGLNDVSAHPVQGQSIFHVNVKAAIKELTSLLRCCIVVFKLLVLDQKLVIEKGRILLGILRRCVSVKLKGGNGKSCPNFEKEVSHECMYVDDDATTSLFEHLVTSISFLEPCNPFHAILCTVLEVFADELLTHESVRQYLLVVDSLSCVNEFLFIRHFGPGNIGSVLEVFSAHFILSIPDDQAFKDFLNRLFWLPDNNFRVPEMTLTTALSLLLNPIMLSAPKMFQAYLILLVSEVIGSGILFEHMIISSELRSYLAAFERSVALYTRHMSNLNMKGYPIVDDDSFVKSHFLASNSQMDFESCLLPATREKIHNLITKCENVWNSCLSNTLLKTRSDLVAASVAYTKESLHVIEESSRDEILSILSCIILRGSSDDVDDTLLHKKEDTSPQDICLLASILKLMSSSMLQAVRILTKGRNSGSLKTLENVALSKEYDFLVATFNCFQQFSVRLPVQKFLHDMVEIQPTRHKKFKWMLVHFSGLLSLSYASGLDFLVKNCIFTLMILLNFFVFEEGDLHALGSLLGSGVETSSHKSRSKVRKSESCHKAREVLAGRKSSRAVALKFQKIRTLYLGLDSSTSLEHSPIVNHVESALSIEENTGRTCNGEIFLRCISEGSRNSSDIDDLADFIECQPGKDYSDWLRSREKFRRWKAEKMANLRWKKRRTCLKRA >EOY21626 pep chromosome:Theobroma_cacao_20110822:3:14784123:14789018:1 gene:TCM_013596 transcript:EOY21626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGPKRGRKKRRSCLFDFQTLISSISSPQGLKPETMEGLYLLLLNLGCSNSESNLNFSETEFNLNEFKIKFKDIHELSNVLFDKLGAKFNELFSGLNDVSAHPVQGQSIFHVNVKAAIKELTSLLRCCIVVFKLLVLDQKLVIEKGRILLGILRRCVSVKLKGGNGKSCPNFEKEVSHECMYVDDDATTSLFEHLVTSISFLEPCNPFHAILCTVLEVFADELLTHESVRQYLLVVDSLSCVNEFLFIRHFGPGNIGSVLEVFSAHFILSIPDDQAFKDFLNRLFWLPDNNFRVPEMTLTTALSLLLNPIMLSAPKMFQAYLILLVSEVIGSGILFEHMIISSELRSYLAAFERSVALYTRHMSNLNMKGYPIVDDDSFVKSHFLASNSQMDFESCLLPATREKIHNLITKCENVWNSCLSNTLLKTRSDLVAASVAYTKESLHVIEESSRDEILSILSCIILRGSSDDVDDTLLHKKEDTSPQDICLLASILKLMSSSMLQAVRILTKGRNSGSLKTLENVALSKEYDFLVATFNCFQQFSVRLPVQKFLHDMVEIQPTRHKKFKWMLVHFSGLLSLSYASGLDFLVKNCIFTLMILLNFFVFEEGDLHALGSLLGSGVETSSHKSRSKVRKSESCHKAREVLAGRKSSRAVALKFQKIRTLYLGLDSSTSLEHSPIVNHVESALSIEENTGRTCNDFIECQPGKDYSDWLRSREKFRRWKAEKMANLRWKKRRTCLKRA >EOY22691 pep chromosome:Theobroma_cacao_20110822:3:24471324:24477376:-1 gene:TCM_014787 transcript:EOY22691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit A isoform 1 MKGPRPTPPGNMAASFLDMCPDMSFPLPRFRSSLVLTLLSSLAVCSAFLSEFQPRRDCTNNTSPVLPESHRLLANTCPKMDSKNSSECSKKVVEVSQIRCALALLDADFFNDTKVLEIAKAAKEFNIPIVRANRKLVASVNGGLHNPSPLVFNPEWSKEQSQDKAGRFNHPLTLGTRRPKNEEDIAFMSVLELGELIKTKQITSRELTRIFLKRLKRYNPVLEAVITYTEDLAYKQAQEADKLLSKGVYLGPLHGIPYGLKDIISVPHYNTTWGSQTFKNQVLNMEAWVYKRLKSAGAILVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAACTSAGMVPFAIGSETAGSITFPAARCGVTALRPTFGTVGRTGVMSLSESLDKLGPFCRYAADCAVILDAIRGKDPDDHSSRDIPFGDPFSVDITKLTVGYLEDADKEVVHVLESKGVKLVPFKLNYSVNSVQGILNFTMDVDMLAHFDEWQRSGKDDEYEAQDQWPLELRRARVVSAVDYVQAQRARGKLIQEVKESFTVDAFIGNATDWERVCMGNLVGLPVIVLPTGFTSISNPPSNGTRRRTTVNTGIYAPPNHDHIALALAMAYQSVTSHHKQRPPIDDLGPNDTIPNPPTVTIPPRR >EOY22689 pep chromosome:Theobroma_cacao_20110822:3:24471276:24477440:-1 gene:TCM_014787 transcript:EOY22689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit A isoform 1 MKGPRPTPPGNMAASFLDMCPDMSFPLPRFRSSLVLTLLSSLAVCSAFLSEFQPRRDCTNNTSPVLPESHRLLANTCPKMDSKNSSECSKKVVEVSQIRCALALLDADFFNDTKVLEIAKAAKEFNIPIVRANRKLVASVNGGLHNPSPLVFNPEWSKEQSQDKAGRFNHPLTLGTRRPKNEEDIAFMSVLELGELIKTKQITSRELTRIFLKRLKRYNPVLEAVITYTEDLAYKQAQEADKLLSKGVYLGPLHGIPYGLKDIISVPHYNTTWGSQTFKNQVLNMEAWVYKRLKSAGAILVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAACTSAGMVPFAIGSETAGSITFPAARCGVTALRPTFGTVGRTGVMSLSESLDKLGPFCRYAADCAVILDAIRGKDPDDHSSRDIPFGDPFSVDITKLTVGYLEDADKEVVHVLESKGVKLVPFKLNYSVNSVQGILNFTMDVDMLAHFDEWQRSGKDDEYEAQDQWPLELRRARVVSAVDYVQAQRARGKLIQEVKESFTVDAFIGNATDWERVCMGNLVGLPVIVLPTGFTSISNPPSNGTRRRTTVNTGIYAPPNHDHIALALAMAYQSVTSHHKQRPPIDDLGPNDTIPNPPTVTIPPRR >EOY22692 pep chromosome:Theobroma_cacao_20110822:3:24472767:24477376:-1 gene:TCM_014787 transcript:EOY22692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit A isoform 1 MKGPRPTPPGNMAASFLDMCPDMSFPLPRFRSSLVLTLLSSLAVCSAFLSEFQPRRDCTNNTSPVLPESHRLLANTCPKMDSKNSSECSKKVVEVSQIRCALALLDADFFNDTKVLEIAKAAKEFNIPIVRANRKLVASVNGGLHNPSPLVFNPEWSKEQSQDKAGRFNHPLTLGTRRPKNEEDIAFMSVLELGELIKTKQITSRELTRIFLKRLKRYNPVLEAVITYTEDLAYKQAQEADKLLSKGVYLGPLHGIPYGLKDIISVPHYNTTWGSQTFKNQVLNMEAWVYKRLKSAGAILVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAACTSAGMVPFAIGSETAGSITFPAARCGVTALRPTFGTVGRTGVMSLSESLDKLGPFCRYAADCAVILDAIRGKDPDDHSSRDIPFGDPFSVDITKLTVGYLEDADKEVS >EOY22690 pep chromosome:Theobroma_cacao_20110822:3:24471645:24475510:-1 gene:TCM_014787 transcript:EOY22690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit A isoform 1 MCFRPFDTLMKAHQTWILDSVLNYLLHRRYFPSYTTSIKQDSKNSSECSKKVVEVSQIRCALALLDADFFNDTKVLEIAKAAKEFNIPIVRANRKLVASVNGGLHNPSPLVFNPEWSKEQSQDKAGRFNHPLTLGTRRPKNEEDIAFMSVLELGELIKTKQITSRELTRIFLKRLKRYNPVLEAVITYTEDLAYKQAQEADKLLSKGVYLGPLHGIPYGLKDIISVPHYNTTWGSQTFKNQVLNMEAWVYKRLKSAGAILVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAACTSAGMVPFAIGSETAGSITFPAARCGVTALRPTFGTVGRTGVMSLSESLDKLGPFCRYAADCAVILDAIRGKDPDDHSSRDIPFGDPFSVDITKLTVGYLEDADKEVVHVLESKGVKLVPFKLNYSVNSVQGILNFTMDVDMLAHFDEWQRSGKDDEYEAQDQWPLELRRARVVSAVDYVQAQRARGKLIQEVKESFTVDAFIGNATDWERVCMGNLVGLPVIVLPTGFTSISNPPSNGTRRRTTVNTGIYAPPNHDHIALALAMAYQSVTSHHKQRPPIDDLGPNDTIPNPPTVTIPPRR >EOY22579 pep chromosome:Theobroma_cacao_20110822:3:24013756:24022724:-1 gene:TCM_014710 transcript:EOY22579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Medicago truncatula MTN3, putative MAYHPWIIVSGILGNILSFMVYLAPLPTFLRVYRKKSTEGFQSIPYVVALVSAALWIYYATLKSNAFLLMTINSFGCFVETIYTVVFIIYAPKKARILTMKLLLLFNFGGLLLILLLTHFFSKGPSRIHIVGWFCVVSSAGVFAAPLSIMRLVVRTKSVEFMPFALSFFLTLSAIMWLIYGVLLKDFYISLPNIIGVVLGMIQMVLFVVYKKYKNIENEQKQLPVQVANGKNLAPIKASNNTDSSLQVSGDVGVGRDENPHDHPLQHSHKSMESTNQAEPGECAV >EOY22202 pep chromosome:Theobroma_cacao_20110822:3:22340784:22346162:1 gene:TCM_014426 transcript:EOY22202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MQQSVLKASGSGLTHLGKEEEWAGKGVLFMNDNKESELPPSPNCPSLIALYLQGNYELTAIPPLFFQRMALLQVLDLSHTSIKCLPKSLPKLVALKKLLLRRCQLFMELSPQVAKLSNLEELDLDETQIMDLPIETGKLFKLRHLRVSFYQICGKKKSKYSNIVIHPKTISNLSQLTELSIDVNPTDKRWDDSVEAVVKEVCNSETLTTLSLYLPKFELLDSISSLYPSLSGFRFIVGHHKRRIISRVPHEVEAEFRNWDKCLKFVNGENIPIEIKGVLKYSTSFFLDHHATATNLSEFGIENMKRLKFCLLVDCNKMETIIDGERHYDGNEDDPAESDPSPVENVLESLEYLSIYYMENLESIWRGPYRYGCMSKLKFLALHTCPQLINIFSHTLLGNFVNLEEFILEDCPLVTCLVSHASVKPIMSDKFLPSLKRLLLLYLPELVSISNGLQIAPKLETIGFYNCPKLKSISKMELSSKTLKIIKGELQWWEDMKWNEAEWGIRPDYLVRIFSPIDKEKDVMTQLAEDRDIFEVTTQNKSQQQGYGDVLEASTQDKGQHPANYLNFKGLMDLTGQTIADMFEGRNPEEMRNIFNIENDFTLEEEEDWPGKYSVGL >EOY22203 pep chromosome:Theobroma_cacao_20110822:3:22342328:22346114:1 gene:TCM_014426 transcript:EOY22203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 DKRWDDSVEAVVKEVCNSETLTTLSLYLPKFELLDSISSLYPSLSGFRFIVGHHKRRIISRVPHEVEAEFRNWDKCLKFVNGENIPIEIKGVLKYSTSFFLDHHATATNLSEFGIENMKRLKFCLLVDCNKMETIIDGERHYDGNEDDPAESDPSPVENVLESLEYLSIYYMENLESIWRGPYRYGCMSKLKFLALHTCPQLINIFSHTLLGNFVNLEEFILEDCPLVTCLVSHASVKPIMSDKFLPSLKRLLLLYLPELVSISNGLQIAPKLETIGFYNCPKLKSISKMELSSKTLKIIKGELQWWEDMKWNEAEWGIRPDYLVRIFSPIDKEKDVMTQLAEDRDIFEVTTQNKSQQQGYGDVLEASTQDKGQHPVIYHNFVVNVDKPTVVDLILAANYLNFKGLMDLTGQTIADMFEGRNPEEMRNIFNIENDFTLEEEEDWPGKYSVGL >EOY23588 pep chromosome:Theobroma_cacao_20110822:3:27961759:27967030:1 gene:TCM_015436 transcript:EOY23588 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein MERSRSKRNYYYDQDYDGENMGRTKPRYNNHHYLPNSHRHRGNNPNNNNGNNGRPSNKGGSGGGGGQDSSLMVTTSYRILCHDMKAGGVIGKSGSIIKSIRQHTGAWINVHELIPGDEERIIEISDTRRRDPEGRMPSFSPAQEALFLIHERILESDSQFGFGSGGGEEEEDYGGVVRGGGNRVATRLVVSRMHVGCLLGKGGKIIEQMRIETKTQIRILPRDHTLPRCVSMSEEIVQVVGDVNAVKNAIAIISSRLRESQHRDRSHFHGRMHSPERFFPDDDYISHVNNASRRSSMDGSTFGSRMPAANYRGNNYSSRPSGFIEAGTAPVSDSGQPLYGDDLVFRILCPIEKVDSVFGEPDGIVDLLQNEIGVDVKVADPVTGSDEQIITISSEEGPDDELFPAQEALLHIQTQIADLVPDKDNIITTRLIVPSSEIGCLEGRDGSLSEMKRLTGANILILSGEELPSCVSGPDEIVQIVGEIKAARDALVEVTSRLRSYLYREFSQKDTPPAISATASLGNVSALEIASPNLTPVRDSQTVSDPPTGTYQNVLTVAMPPLSKEVVNSGAETMKQTESERREDIPSTISRIPVPLVTRSTLEVVIPEHAVPKLITKSKNKLAQISELSGANVTLVHDQPDDTQKIIQISGTPEQAERAQSLLQGFILSTQEDGP >EOY24234 pep chromosome:Theobroma_cacao_20110822:3:30192646:30193070:1 gene:TCM_015899 transcript:EOY24234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVGRKLVNYVDHAYLFESSKGDLFSIKKEIDIEDYHCFAHFSKSFKVFKLVLDDQSGELVEEKEVKDIDEDVVFVGDNQTLTVSALDFLEG >EOY24799 pep chromosome:Theobroma_cacao_20110822:3:32270274:32277950:-1 gene:TCM_016300 transcript:EOY24799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin family protein isoform 1 MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLESLKDLISDNNPMVVANAVAALAEIQENSTRPIFEITSHTLSKLLTALNECTEWGQVFILDALSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPPQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDPSLLDELLANIATLSSVYHKPPDTFVTRVKPATQRTEDDEYPDGNETGYAESPANAADGGASPPTSSSSVPYGAARQPAPAPAAPAPVAPVPDLLGDLIGLDNNAIVPADQHATSSGPPLPILLPASTGQGLQISAQLARQDGQIFYSLQFENNSQITLDGFMIQFNKNSFGLAAAGSLQVPPLAPGASRRTLLPMVLFQNMSAGPPSSLLQVAVKNNQQPVWYFNDKILLHVFFTDDGRMERTSFLETWRSLPDSNEVLKEFPGIMVSSAEATLDRLAATNMFFIAKRKHANQDVFYFSAKIPRGIPFLIELTTVIGNPGVKCAIKTPNPEMAPLFFEAIETLLKA >EOY24800 pep chromosome:Theobroma_cacao_20110822:3:32270382:32277595:-1 gene:TCM_016300 transcript:EOY24800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin family protein isoform 1 MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLESLKDLISDNNPMVVANAVAALAEIQENSTRPIFEITSHTLSKLLTALNECTEWGQVFILDALSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPPQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDPSLLDELLANIATLSSVYHKPPDTFVTRVKPATQRTEDDEYPDGNETGYAESPANAADGGASPPTSSSSVPYGAARQPAPAPAAPAPVAPVPDLLGDLIGLDNNAIVPADQHATSSGPPLPILLPASTGQGLQISAQLARQDGQIFYSLQFENNSQITLDGFMIQFNKNSFGLAAAGSLQVIMTCVVFS >EOY24801 pep chromosome:Theobroma_cacao_20110822:3:32270382:32277595:-1 gene:TCM_016300 transcript:EOY24801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin family protein isoform 1 MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLESLKDLISDNNPMVVANAVAALAEIQENSTRPIFEITSHTLSKLLTALNECTEWGQVFILDALSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPPQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDPSLLDELLANIATLSSVYHKPPDTFVTRVKPATQRTEDDEYPDGNETGYAESPANAADGGASPPTSSSSVPYGAARQPAPAPAAPAPVAPVPDLLGDLIGLDNNAIVPADQHATSSGPPLPILLPASTGQGLQISAQLARQDGQIFYSLQFENNSQITLDGFMIQFNKNSFGLAAAGSLQVERTSFLEVRSLFHILLVSCGTKLSKPYSMKDYHC >EOY23963 pep chromosome:Theobroma_cacao_20110822:3:29133308:29136856:1 gene:TCM_015689 transcript:EOY23963 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MAPASFHHEKVCVMDASGRLGSTLVERLLQRGYTVHAAVQGHGDLKFNGVAAGENKKLKVFRADPFDYQSIIDALKGCSGLFYAFEPPEDHLAYDVSNCVHSYVDLLHQISLEVPCSEFMAEVEVRAAHNVIEACAQTDTIDKVVFTSSATAVVWRDTPQSVASDLDERHWSDENFCRKFKLWEALSKTLTEKTAWALAMDRGINMVSVNGGLLTGPHLSITNPYLKGAAEMYEAGTFVTVDLNFLVDAHICVFEDVSSYGRYLCFNHVINRHEDAVQLARLLTPSVPSPAQSYEEANMIIQQKLSNKKLNKLLVDFESEALET >EOY20763 pep chromosome:Theobroma_cacao_20110822:3:966765:976537:-1 gene:TCM_012102 transcript:EOY20763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLLKVSCVLLLSLVFSALSFKGNCDGSEFSVKFLRAPHALSHLSSAKFVFEVLGGGNGTCSDCSITCKLDYGSASDCGARKILYSGLPDGNHTFGVCINGSQGAGCSSYNWTVDTVPPTAYVTSSAPFTNALNVSVNISFTESCPGGGGFRCSSVNDCNLLVYGAGQVVPSSLIILEPNLKYSLLVAISSAAQYGRLVLVMDRSFCTDSAGNTFTRSANSSFQVHFDRRSVFVDLRTHVPEKLLQVNSKIRTVRATNNHNNLKVYLYFSAPILNSSAEILSSLNIRQGRLLPISGEHHGNRRFGFLVANISDIAIVTISLTPNSTISRQGTPVSPVAPVTFLYDSQRTAVRLSTTSHMRTREHNVPISIKFMKPVFGFNSSLISISGGRLQSFHEISRSIYAAEIQADDDVVSVNIPENVTGDVAGNKNLASNVLQVRHYSIPIISSVISIFATAAFLLTCFAAGLLTMSTASLQSVGAFSRPSLSLSSDPARILFRSACHIQVFALSRWLAVTLPVEYYELARSLEWSIPYFSLPWETGHIQPVMMGSSPSDGSTSFFSRAYDWEISNSFQPKQEEFKVAANVYGLPLSAMEYRSFFENQSMKPEADYILDKLHSNGWRDFDRSLFWLAVIGGSLVLLHVFLYFILKCKRRDFEKQGSYGALIFPRFEIFLVILALPCICQASAALVAGGTPSGVVVGILLLGVVAFVLLSLLLFLSVGITLGKLLQYKEVHREGQQFHWYQDIIRVTLGPGKRGQWTWKKKANSVHLTMFGPLFEDLRGPPKYMVSQISGDNPSRQGDRIIASDDETEDAEAPFIQKLFGILRIYYTLLESVKRVSLGVLAGAYLNNWLSKTPVVILLCITSFQLFFLVLKKPFIKKKVQLVEIISLSCEVGMFATCFVLLEKEFSAGGETKIGIFMLMLFLFGFLAQMITEWYALYEQTKQIDTARNSFLTGLKIASIGFLLYFIPQKLMKSFESNFPAFQRGGEVTADTGVPSDRMRSTSGSRSSGTPEKPWPKQLREMAKASFSKDGSKVPTDPSSSGTKWSGFWGTKRSGSSSLSSSSDMKSKSKGLYKDLEAIFASK >EOY25374 pep chromosome:Theobroma_cacao_20110822:3:34073935:34081925:-1 gene:TCM_016704 transcript:EOY25374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin family protein MGSQGGFYQSKEFLDLVKSIGEARSKAEEDRIVLNEIETLKRRISEPDIPKRKMKEYIIRLVYVEMLGHDASFGYIHAVKMTHDDSLLVKRTGYLAVTLFLNEDHDLIILIVNTIQKDLKSDNYLVVCAALNAVCKLINEETIPAVLPQVVELLGHPKEAVRKKAIMALHRFYQKSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLFDLITIDVNSYKDLVVSFVSILKQVAERRLPKAYDYHQMPAPFIQIKLLKILALLGSGDKQASENMYTVVGDLFRKCDSSSNIGNAVLYECICCVSSIYPNAKLLESAADVISRFLKSDSHNLKYMGIDALGRLIKISPDIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIDYMISINDNHYKTEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNIKVAHNLMRLIAEGFGEDDDSADSQLRSSAVESYLRILGEPKLPSVFLQVICWVLGEYGTADGKFSASYITGKLCDVAEAYSNDETVKAYAVTALMKIYAFEIAARRKVDLLPECQSLMEELLASHSTDLQQRAYELQAVIGLDAHAVECIMPSDASCEDIEVDKGLSFLNGYVEESIEKGAQPYIPESERSGMLNISNFRNQDHHEASSHGLRFEAYELPKPTVQSRIPPASLASTELVPVPEPTYLRESYQTPSVTSVSSDAGSSELKLRLDGVQKKWGKPTYAPATSTSNSTAQKTVNGVTQVEGASSTNSRTRETYDSRKPQVEISPEKQKLAASLFGGSSKTEKRPATGHKTSKASTHMVEKSHVPKSSMEVASEKTAPVQPPPDLLDLGEPTVTSIAPFVDPFKQLEGLLDPTQVGSAAATKSPDIMALYVDTPAGIHNKDDGDLLSGLSNPSVTNMPGGTTTTQQEQRSKGPNPKDSLEKDALVRQMGVNPSSQNPNLFRDLLG >EOY23917 pep chromosome:Theobroma_cacao_20110822:3:29000917:29003398:-1 gene:TCM_015662 transcript:EOY23917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 TLLLSSNLRSLSKPSFCIAPSTCPKSFHSLTSPLLKPLILKCSSNSEEKETSNDLKDALSGIVGKQVEELLNREENKGLLDGLEKASQRVEMAKRQLVEIEKQELEAQLLGNYINQLEARASEIAECQQEISQARAMVEEAELSLSLNADNVEDRDAFRSKDGEGIDNDKERLESIKAALISAVVGTLAGLPISLTQVSSRTQLLLPLSATFISCALFGVTFRYAVRRDLDNFQLKTGTSAAFGFVKGLGTLVGGSPLELDPGSFLSYAFDGAVV >EOY23916 pep chromosome:Theobroma_cacao_20110822:3:29000908:29003472:-1 gene:TCM_015662 transcript:EOY23916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MPSAVTSTLLLSSNLRSLSKPSFCIAPSTCPKSFHSLTSPLLKPLILKCSSNSEEKETSNDLKDALSGIVGKQVEELLNREENKGLLDGLEKASQRVEMAKRQLVEIEKQELEAQLLGNYINQLEARASEIAECQQEISQARAMVEEAELSLSLNADNVEDRDAFRSKDGEGIDNDKERLESIKAALISAVVGTLAGLPISLTQVSSRTQLLLPLSATFISCALFGVTFRYAVRRDLDNFQLKTGTSAAFGFVKGLGTLVGGSPLELDPGSFLSYAFDGAVYVSQNLIIFLFAAVGLDLCFKMRILSPFPMKRSAPNTNTRV >EOY21135 pep chromosome:Theobroma_cacao_20110822:3:3305472:3306980:-1 gene:TCM_012492 transcript:EOY21135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFLGESDYDINHVVDPVTFSAAINSPQSTIWMDAMKDETSSMAHNAYFDLKLHQMDVKTTFLNGNLEEEVRTRSDIAFAMGILGRYLTNPGLHHRVAAKKVMKYLQKTKDYMLV >EOY20684 pep chromosome:Theobroma_cacao_20110822:3:580073:585211:-1 gene:TCM_012042 transcript:EOY20684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MKESSDGFVRADQIDLKSLDEQLERHLNRVWATDKSKNKRDDDGDGRVDDDDGKLKLTPSSTNKAATIVNNERQEWEIDPSKLIIKAVIARGTFGTVHRGIYDSQDVAVKLLDWGEEGHRTDAEIASLRAAFSQEVSVWHKLEHPNVTKFIGATMGSSGLQIQTENGQIGMPTSVCCVVVEYLPGGALKSYLIKNRRRKLAFKVVVQLALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEMPRCCPSSLANVMKRCWDANPDKRPEMDEVVSMLEAIDTSKGGGMIPVDQQQGCLCFRRYRGP >EOY20685 pep chromosome:Theobroma_cacao_20110822:3:580073:585344:-1 gene:TCM_012042 transcript:EOY20685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MKESSDGFVRADQIDLKSLDEQLERHLNRVWATDKSKNKRDDDGDGRVDDDDGKLKLTPSSTNKAATIVNNERQEWEIDPSKLIIKAVIARGTFGTVHRGIYDSQDVAVKLLDWGEEGHRTDAEIASLRAAFSQEVSVWHKLEHPNVTKFIGATMGSSGLQIQTENGQIGMPTSVCCVVVEYLPGGALKSYLIKNRRRKLAFKVVVQLALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEEMRCVQFWHLFMGDILLRHAVS >EOY20972 pep chromosome:Theobroma_cacao_20110822:3:1998497:2000501:-1 gene:TCM_012286 transcript:EOY20972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIIKKKRIITIKTHKLATATATRRSVTSCCLCQRKILKRINRKVVAEVKRVTLQLPAAMAPHIQELAVAVFHKQLAVLVAVMMVGFHMGLVVAVMVGEMNGNELVVVVRNMLQPVVVMAVVESTPQMGVEVEVVLYMAEVKAVVENGLEEVVVAAISMDKFVVEVNVAAVVVALNNGMVVGSYSSNELAVVEVVSLVAEEVGTSRNMKVLALVKAVGVTDK >EOY21112 pep chromosome:Theobroma_cacao_20110822:3:3085961:3087405:-1 gene:TCM_012449 transcript:EOY21112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MQKPFLFELNPTKPKEAAMKQALLRSVPFFACKFLLSSTKCNAFSSIPKRPLFAALTRSQLRFYSSGSKEDDDVSNEELKMRI >EOY21111 pep chromosome:Theobroma_cacao_20110822:3:3085723:3087324:-1 gene:TCM_012449 transcript:EOY21111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MQKPFLFELNPTKPKEAAMKQALLRSVPFFACKFLLSSTKCNAFSSIPKRPLFAALTRSQLRFYSSGSKEDDDVSNEELKMRI >EOY24316 pep chromosome:Theobroma_cacao_20110822:3:30526269:30531902:-1 gene:TCM_015951 transcript:EOY24316 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 2 MGVAKSWRFSVISANLALLQHPNGSLSNKHVCWRWRSSAAQQRKPISWSLVCGLMLFCLGMISLFTGHVASDLEWYSQRLVKRSLYFKLDGSNKGPIDIWKSQYAKYFYGCSKKSHAVRGRSSNGYLLIAASGGLNQQRTGISDAVVVARILNATLVVPELDHNSYWKDGSDFVNIFDVNWFISYLAKDVTIVKRVPDKVMRSMEKPPYTMRVPRKSPPEYYIDQVLPILSRRRVLQLTKFDYRLANNIDEELQKLRCRANFHALRFTKPIQELGQKLVMRMRDMAKSFIAVHLRFEPDMLAFSGCYYGGGDKERYELGEIRKRWATLPDLSADGERRRGKCPLTPHEVGLMLRALGFSNDTHLYVASGEIYGGEETLRPLRELFPNFYTKEMLANEELKPFLPFSSRLAAIDFIVSDESDVFVTNNNGNMAKILAGRRRYMGHKRTIRPNAKRLSALFMERDQMDWDTFARKVKAAQRGFMGEPDEMRPGRGEFHEYPYSCICEKPLNDVVDSRSGDPQSEQVRGYQGLRKEEPVSLGYKDEDAAG >EOY24315 pep chromosome:Theobroma_cacao_20110822:3:30526729:30531467:-1 gene:TCM_015951 transcript:EOY24315 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 2 MGVAKSWRFSVISANLALLQHPNGSLSNKHVCWRWRSSAAQQRKPISWSLVCGLMLFCLGMISLFTGHVASDLEWYSQRLVKRSLYFKLDGSNKGPIDIWKSQYAKYFYGCSKKSRNFPHAVRGRSSNGYLLIAASGGLNQQRTGISDAVVVARILNATLVVPELDHNSYWKDGSDFVNIFDVNWFISYLAKDVTIVKRVPDKVMRSMEKPPYTMRVPRKSPPEYYIDQVLPILSRRRVLQLTKFDYRLANNIDEELQKLRCRANFHALRFTKPIQELGQKLVMRMRDMAKSFIAVHLRFEPDMLAFSGCYYGGGDKERYELGEIRKRWATLPDLSADGERRRGKCPLTPHEVGLMLRALGFSNDTHLYVASGEIYGGEETLRPLRELFPNFYTKEMLANEELKPFLPFSSRLAAIDFIVSDESDVFVTNNNGNMAKILAGRRRYMGHKRTIRPNAKRLSALFMERDQMDWDTFARKVKAAQRGFMGEPDEMRPGRGEFHEYPYSCICEKPLNDVVDSRSGDPQSEQVRGYQGLRKEEPVSLGYKDEDAAG >EOY22989 pep chromosome:Theobroma_cacao_20110822:3:25667171:25675002:-1 gene:TCM_015008 transcript:EOY22989 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERECTA-like 1 MEEEVLLERRKRRKELYGGLVMVMVFLVLCPIASSLNDEGKALMSIKESFSNVANVLLDWDDVHNADFCSWRGVFCDNISLSVVSLNLSNLILGGEISPAIGDLKNLQSIDLEGNKLTGQIPDEIGNCGSLVHLDLSDNLLYGDIPFSISKLKQLEFLNLKNNQLTGPIPSTLTQIPYLKILDLARNQLTGEIPRLIYWNEVLQYLGLRGNKLTGTLSSDMCQLTGLWYFDVRGNDLTGRIPDNIGNCTSFEILDISYNQITGEIPYNIGFLQVATLSLQGNKLTGKIPEVIGLMQALAVLDLSENELVGPIPSILGNLSYTGKLYLHGNKLTGPIPPELGNMSKLSYLQLNDNQLVGTIPAELGKLVQLFELNLANNYLEGPIPHNISSCTALNQFNVHGNRLNGSIPLGFRTLESLTYLNLSMNDFKGQIPIELGHIINLDTLDLTGNKFSGAIPASIGDLEHLLTLNLSSNELNGPLPAECGNLRSIQIMDLSFNSLCGNIPAELGQLQNIVSLILNNNKLQGEIPEQLTNCFSLANLNVSYNNLSGIIPPIRNFSRFSSDSFIGNPLLCGNWLGSICGPSLPKSRVFSRAAVVCMTLGFITLVAMIIVAIYKSNQQKQMMKGPMKTVEGPPKLVVLHMDMAIHTFDDIMRFTENLSDKYIIGYGASSTVYKCMLKNSRPIAIKRLYNQCPHNLREFETELETIGSIRHRNIVSLHGYSLSPYGNLLFYDYMENGSLWDLLHGPSKKVKLDWETRLKVAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDENFEAHLSDFGIAKCIPTTKTHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNESNLHQLILSKADDNTVMEAVDPEVSITCMDLSHVRKTFQLALLCTKRHPSERPTMHEVARVLVSLLPAPPTKLSSAPPKSIDYSQFVIGKGQKRLQLEQQQPQVEQETNSSDAQWFVRFREVISKNTL >EOY24404 pep chromosome:Theobroma_cacao_20110822:3:30839940:30843967:-1 gene:TCM_016012 transcript:EOY24404 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 21, putative MTEASGVCVSMTPRWDSKVHEVAQSSFRRANHLFSCISDQSQKRSIQEVSLMAQDAVNGFRKLLTLLDGSRGSDCKRIRKGPLPKSHKVKPIEFMDSPNFAPQSSGYKSSQPHIPRQSVSPQTNQSNTGLIPRNVVVLPNSIMGLHQSSILPSISMFRLDARSNMDKKTIPQSSSEILVSQDESCLFPSKRKVGTESEEASTKCAASTGGCHCSKGRKLRIKKTVRVPAVSNKLSDIPPDDYSWRKYGQKPIKGSPYPRSYYKCSSMRGCPARKHVERCLEDPTMLVVTYEGDHKHSRITFQPSNVMV >EOY24339 pep chromosome:Theobroma_cacao_20110822:3:30596057:30599049:1 gene:TCM_015967 transcript:EOY24339 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Polynucleotidyl transferase, Ribonuclease H fold-like protein MGYTPCFSKISGEKVNVHKSSFYYSANVSKECIENLRNISGLSYSTNLGNYLGVPLFHGRKRITSFKFLEDKVRSKLSGWKAFSLSFAGILTLVKSVLSTIPYYVMQIVSIPLDSCKRMERYCQNFLWGGDADHKRIHLIRCNQICRPKEERSLGVKRLHVMNNAFLMKLLWQLVTRPKSLWVSIIRGKYNFNMDRRSSSIYCHGASHTWNALSKLWNVFNNNLRWVLGDGLSIRFWKDIWLEDTPLLEQGHTLNIVTSENCCVREFLLDTGEWNHEKLATCLHSDLVNKILMFLPPLLSFKPDTPYWASSASGVCTVASTYEVLREDYPNYIGQQSRKWAIAWKWDGPQRIRTFLMQCLHGKLLTNLECRRRNMSSSATCALCSVSDESVLHLLRDCPHSKEVWLKLGSRMGYGNFFDLLLSDWLLTNLKNYNVCVDGIPWVILFGFTCWYIWKWRNVKVFEGKLIPMDRKLSMIKGLVAASYHAVQIPCTHSRLNGYKREMLVGWQNPPQGWVAVNTDGALRRNTNMAAAGGVFRDCNEYWLGGFAAKLGKCYSYRAELWGVLHSLRIVKEKGFSKIWLQVDNKIVVKAIISSALHPCANSDLLNAIHGLLQLD >EOY25354 pep chromosome:Theobroma_cacao_20110822:3:34012772:34016532:1 gene:TCM_016691 transcript:EOY25354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein isoform 2 MDGIGEEGGGIRLSKRFSDNKPGSSGEVDYKTKAGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQTHSHRMRRAEEVAREYAQEQEFFRQTALISKKEKEKVEMMKAVSFMYVRPPGYNAESAKAAEIADERKRIEPNNVSDDQSTDVVSTAMPTESLPGKDPNGAEKKKPRPKDVFGRPLPTEEEFEILKNAPRLETGVLGRVKPFGVEVRNVKCLRCGNYGHQSGDRECPLKDAIMPNEESRLKRDDPLTAIMAQMDPTEPLKWELKQKPGMSPPRGGFQPDDPNQQIVAEDIFDEYGGFLSGGNIPDLLTNISCKPKKRKSSSKSKHKRQSSPSSRELEVPDQDGLPSPAHSDDDERRSKRKKKTKKKKKKKKRQNYSESSSSDGLDFDRHQRKRRNKYSYSSEDSDSDRQYKTKHKCSYSSEDSDSDRQYKTKESREKLSYTSEDLDSDQECWGKRSRSKHSYSSEDFDRHHRKIKHKCSYSSEDSDSGRHDLKKKSTQKPYTSDRMDVDRHWSKRSGQKHSYSYEDDDGHDRKSKNKYSYYSDHGRQEHRKKTRQKPYISEDLGTDTRNRSERSRHKHPHSSEDDADRHVKSEKSRHKHSSSRQYEDSDFDADSRSRHHPRRH >EOY25355 pep chromosome:Theobroma_cacao_20110822:3:34012772:34015449:1 gene:TCM_016691 transcript:EOY25355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle family protein isoform 2 MDGIGEEGGGIRLSKRFSDNKPGSSGEVDYKTKAGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQTHSHRMRRAEEVAREYAQEQEFFRQTALISKKEKEVEMMKAVSFMYVRPPGYNAESAKAAEIADERKRIEPNNVSDDQSTDVVSTAMPTESLPGKDPNGAEKKKPRPKDVFGRPLPTEEEFEILKNAPRLETGVLGRVKPFGVEVRNVKCLRCGNYGHQSGDRECPLKDAIMPNEESRLKRDDPLTAIMAQMDPTEPLKWELKQKPGMSPPRGGFQPDDPNQQIVAEDIFDEYGGFLSGGNIPDLLTNISCKPKKRKSSSKSKHKRQSSPSSRELEVPDQDGLPSPAHSDDDERRSKRKKKTKKKKKKKKRQNYSESSSSDGLDFDRHQRKRRNKYSYSSEDSDSDRQYKTKHKCSYSSEDSD >EOY21700 pep chromosome:Theobroma_cacao_20110822:3:17340153:17342265:-1 gene:TCM_013796 transcript:EOY21700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 4 MAFLLTGLGLIIVGAGGVRPCNLAFGADQFNPKTESGKRGINSFFNWYFFTFTFAQMVSLTLIVYIQSNVSRAIGLGIPAILMLIACAVYFVGSKIYVKVKATGSPLTSVAQVILVAIKKRQLKLLEQPWLSLFKYTPPKSINSKLPYTDQFKFLDKAAIMTPQDQINPDGSPADPWRLCSMQQVEEVKCLLRVLPIWASQIIYFVAIVQQQTYAVFQAVQSDRRLGNSNFKIPAATYVVFLMLSLTCFIPIYDRVIVPFLRRVRGKEGGITILQRIGIGMFLSVITMLVSAVVEEHRRTIALTQPTLGVAPRKGAISSMSASWLIPQFTLGGLTEAFASIGLVEFYYKQFPENMRSIAGSLFYCGLAGSSYFSSLLILIVHSATKGASTGNWLPEDLNKGRLDYFYYTIACLGVLNLGYFLLCARWYKYKGNEDSLELELEVNGEKSQSDKSFV >EOY21699 pep chromosome:Theobroma_cacao_20110822:3:17340153:17342566:-1 gene:TCM_013796 transcript:EOY21699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 4 MNASNPLVFFPILLIMKGLLAIQLTAAIPELHPHRCAAQGNTDCRGPTAGQMAFLLTGLGLIIVGAGGVRPCNLAFGADQFNPKTESGKRGINSFFNWYFFTFTFAQMVSLTLIVYIQSNVSRAIGLGIPAILMLIACAVYFVGSKIYVKVKATGSPLTSVAQVILVAIKKRQLKLLEQPWLSLFKYTPPKSINSKLPYTDQFKFLDKAAIMTPQDQINPDGSPADPWRLCSMQQVEEVKCLLRVLPIWASQIIYFVAIVQQQTYAVFQAVQSDRRLGNSNFKIPAATYVVFLMLSLTCFIPIYDRVIVPFLRRVRGKEGGITILQRIGIGMFLSVITMLVSAVVEEHRRTIALTQPTLGVAPRKGAISSMSASWLIPQFTLGGLTEAFASIGLVEFYYKQFPENMRSIAGSLFYCGLAGSSYFSSLLILIVHSATKGASTGNWLPEDLNKGRLDYFYYTIACLGVLNLGYFLLCARWYKYKGNEDSLELELEVNGEKSQSDKSFV >EOY21698 pep chromosome:Theobroma_cacao_20110822:3:17340153:17342693:-1 gene:TCM_013796 transcript:EOY21698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 4 MEKNDKEAMGTNQKTVTTDHEPEINYRGWKAMPFIIGNETFEKLGAIGTLSNLLIYLTTVFHLKSITAATMINVFNGTTNFGTLLGAFLCDTYFGRYKTLGFATVASFLGLLAIQLTAAIPELHPHRCAAQGNTDCRGPTAGQMAFLLTGLGLIIVGAGGVRPCNLAFGADQFNPKTESGKRGINSFFNWYFFTFTFAQMVSLTLIVYIQSNVSRAIGLGIPAILMLIACAVYFVGSKIYVKVKATGSPLTSVAQVILVAIKKRQLKLLEQPWLSLFKYTPPKSINSKLPYTDQFKFLDKAAIMTPQDQINPDGSPADPWRLCSMQQVEEVKCLLRVLPIWASQIIYFVAIVQQQTYAVFQAVQSDRRLGNSNFKIPAATYVVFLMLSLTCFIPIYDRVIVPFLRRVRGKEGGITILQRIGIGMFLSVITMLVSAVVEEHRRTIALTQPTLGVAPRKGAISSMSASWLIPQFTLGGLTEAFASIGLVEFYYKQFPENMRSIAGSLFYCGLAGSSYFSSLLILIVHSATKGASTGNWLPEDLNKGRLDYFYYTIACLGVLNLGYFLLCARWYKYKGNEDSLELELEVNGEKSQSDKSFV >EOY21697 pep chromosome:Theobroma_cacao_20110822:3:17337727:17342774:-1 gene:TCM_013796 transcript:EOY21697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 4 MEKNDKEAMGTNQKTVTTDHEPEINYRGWKAMPFIIGNETFEKLGAIGTLSNLLIYLTTVFHLKSITAATMINVFNGTTNFGTLLGAFLCDTYFGRYKTLGFATGLLAIQLTAAIPELHPHRCAAQGNTDCRGPTAGQMAFLLTGLGLIIVGAGGVRPCNLAFGADQFNPKTESGKRGINSFFNWYFFTFTFAQMVSLTLIVYIQSNVSRAIGLGIPAILMLIACAVYFVGSKIYVKVKATGSPLTSVAQVILVAIKKRQLKLLEQPWLSLFKYTPPKSINSKLPYTDQFKFLDKAAIMTPQDQINPDGSPADPWRLCSMQQVEEVKCLLRVLPIWASQIIYFVAIVQQQTYAVFQAVQSDRRLGNSNFKIPAATYVVFLMLSLTCFIPIYDRVIVPFLRRVRGKEGGITILQRIGIGMFLSVITMLVSAVVEEHRRTIALTQPTLGVAPRKGAISSMSASWLIPQFTLGGLTEAFASIGLVEFYYKQFPENMRSIAGSLFYCGLAGSSYFSSLLILIVHSATKGASTGNWLPEDLNKGRLDYFYYTIACLGVLNLGYFLLCARWYKYKGNEDSLELELEVNGEKSQSDKSFV >EOY20619 pep chromosome:Theobroma_cacao_20110822:3:350250:350601:1 gene:TCM_011991 transcript:EOY20619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPNPKAESRCNITKIKSPKIKEGNKRGKPPATIKDILTLTLLSLCLNITSNLVIRLNPLLQRSIPCKLVCYLNTL >EOY23533 pep chromosome:Theobroma_cacao_20110822:3:27762612:27766119:-1 gene:TCM_015401 transcript:EOY23533 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein isoform 2 MAIISDYQEEEAKPSTSSSQPRKPVSFNASLDPSNPVGFLEKVFDFLGKETDLLRKENVDKEIAAMVRVAKEKSEKKVEEVAPKVELKKKEVKEENEIKKEVNKEAMDLDKKDDKKEEETSSGLRVPNKGNGLDLEKYSWTQTLQEVTVNVPVPSGTRSRFVVCEIKKNHLKVGLKGQPPVIDGELLQSVKPDDCYWSIEDNCSLSILLTKHNQMEWWKSLVKGDPEIDTQKVEPENSKLSDLDPETRQTVEKMMVII >EOY23532 pep chromosome:Theobroma_cacao_20110822:3:27761868:27766055:-1 gene:TCM_015401 transcript:EOY23532 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein isoform 2 MAIISDYQEEEAKPSTSSSQPRKPVSFNASLDPSNPVGFLEKVFDFLGKETDLLRKENVDKEIAAMVRVAKEKSEKKVEEVAPKVELKKKEVKEENEIKKEVNKEAMDLDKKDDKKEEETSSGLRVPNKGNGLDLEKYSWTQTLQEVTVNVPVPSGTRSRFVVCEIKKNHLKVGLKGQPPVIDGELLQSVKPDDCYWSIEDNCSLSILLTKHNQMEWWKSLVKGDPEIDTQKVEPENSKLSDLDPETRQTVEKMMFDQRQKAMGLPTSDELQKQEILKKFMSEHPEMDFSRAKLM >EOY22310 pep chromosome:Theobroma_cacao_20110822:3:22951844:22956189:1 gene:TCM_014523 transcript:EOY22310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MATSTLLYSHGNAADVGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYKCLEESYGAKQENIILYGQSVGSGPTVDLAARLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKGTNDDVVDCSHGKQLWELCQEKYEPLWVKGGNHCDLELYPDYIRHLKKFISTVEKSPSQRNGSRRSTDGIDQSRHSTDYFEAPRRSIDHREKPRKSTDSRDKPRKSTDSRDKSRKSTGRPEKLKVHEYNKFNNIHKLEKLRISLDQMDRMERMERSRRSVEYHDKSRRSIDHQLEKARKSVDWLDRIEAV >EOY22309 pep chromosome:Theobroma_cacao_20110822:3:22951489:22956053:1 gene:TCM_014523 transcript:EOY22309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MGGVTSSMAAKFAFFPPNPPSYKLIKDKATGLLLLAPFPHRENVDVLRLPTRRGTEIVAVYVRYPMATSTLLYSHGNAADVGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYKCLEESYGAKQENIILYGQSVGSGPTVDLAARLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKISLVKCPVLIIHGTNDDVVDCSHGKQLWELCQEKYEPLWVKGGNHCDLELYPDYIRHLKKFISTVEKSPSQRNGSRRSTDGIDQSRHSTDYFEAPRRSIDHREKPRKSTDSRDKPRKSTDSRDKSRKSTGRPEKLKVHEYNKFNNIHKLEKLRISLDQMDRMERMERSRRSVEYHDKSRRSIDHQLEKARKSVDWLDRIEAV >EOY21691 pep chromosome:Theobroma_cacao_20110822:3:17154351:17159182:1 gene:TCM_013784 transcript:EOY21691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 1 isoform 2 MGNCNACVRPDDSPESKPNRSEHSQRGKKKTRERKPNPYAEKVPSPAPIRVLKDVIPLSHRTRIGDKYILGRELGRGEFGITYLCTDRETREALACKSISKRKLRTAVDIEDVRREVAIMSTLPEHPNIVKLKATYEDNENVHLVMELCEGGELFDRIVARGHYTERAAANVAKTIAEVVRMCHENGVMHRDLKPENFLFANKKEHAPLKAIDFGLSVFFKPGERFSEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVALAILRGVIDFKREPWPQVSESAKSLVRQMLEPDPKKRLTAQQVLEHSWLQNAKKAPNVPLGDIVRTRLKQFSVMNRFKKKALRVIAEHLSVEEVEVIRDMFTLMDTDNDGKVSYEELKAGLRKVGSQLAEPEIKMLMEVVWVNSCLHRI >EOY21690 pep chromosome:Theobroma_cacao_20110822:3:17154186:17160341:1 gene:TCM_013784 transcript:EOY21690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 1 isoform 2 MGNCNACVRPDDSPESKPNRSEHSQRGKKKTRERKPNPYAEKVPSPAPIRVLKDVIPLSHRTRIGDKYILGRELGRGEFGITYLCTDRETREALACKSISKRKLRTAVDIEDVRREVAIMSTLPEHPNIVKLKATYEDNENVHLVMELCEGGELFDRIVARGHYTERAAANVAKTIAEVVRMCHENGVMHRDLKPENFLFANKKEHAPLKAIDFGLSVFFKPGERFSEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVALAILRGVIDFKREPWPQVSESAKSLVRQMLEPDPKKRLTAQQVLEHSWLQNAKKAPNVPLGDIVRTRLKQFSVMNRFKKKALRVIAEHLSVEEVEVIRDMFTLMDTDNDGKVSYEELKAGLRKVGSQLAEPEIKMLMEVADVDGNGVLDYGEFVAVTIHLQKMENDEHFRRAFMFFDKDGSGYIELDELQEALADESGEADVDVLNDIMREVDTDKDGCISYDEFVAMMKAGTDWRKASRQYSRERFKSLSLNLMKDGSLQLHDAVTGQAVAV >EOY23571 pep chromosome:Theobroma_cacao_20110822:3:27905026:27913028:1 gene:TCM_015424 transcript:EOY23571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycogen/starch synthases isoform 2 MESLTLGRVLSCKLSTNISRKVCSFMPSKQLGFGHFLRRSFKNVKLTVVKSEESGNGGEFGGLADGSNSNAVEEEEEEEEEKKEDEKEKRGLMLGIDRDDSGSVIGLHLIPPSGDYEVIDSHEDVTTDTKEKDGEIEEEKPQTRITYNIVFVTAEAAPYSKSGGLGDVCGSLPVALAGRGHRVMVVSPRYLHGTPADKNIAGALDIDRRIKIYCFGGEQEVAFFHEYREGVDWVFVDHPSYHRPGNPYGDSHGAFGDNQFRFTLLCHAACEAPLVLPLGGYTYGEKCLFLVNDWHAGLVPVLLASKYHPFGVYKDARSILIIHNLAHQGVEPAATFKNLGLPSDWYGALEWVFPTWARTHALDTGEAVNILKGAIVTADRILTVSKGYAWEITTVEGGYGLHELLSSRRSVLNGIANGIDIAEWDPSSDEHIGFHYSADDLSGKVQCKTALQKELALPIRPECPLIGFIGRLDYQKGIDLIRWATPELMEDDVQFVMLGSGDPLYEDWMRAAETTYRDKFRGWVGFNIPISHRITAGCDILLMPSRFEPCGLNQLYAMRYATVPVVHATGGLRDTVENFNPYAGGGNGDGTGWTFSPLTKESMLEALRMAILTYREHKLTWEGLMRRGLQRDCTWESAAVQYEQVFEWASIDPPYIM >EOY23572 pep chromosome:Theobroma_cacao_20110822:3:27905060:27910371:1 gene:TCM_015424 transcript:EOY23572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycogen/starch synthases isoform 2 MESLTLGRVLSCKLSTNISRKVCSFMPSKQLGFGHFLRRSFKNVKLTVVKSEESGNGGEFGGLADGSNSNAVEEEEEEEEEKKEDEKEKRGLMLGIDRDDSGSVIGLHLIPPSGDYEVIDSHEDVTTDTKEKDGEIEEEKPQTRITYNIVFVTAEAAPYSKSGGLGDVCGSLPVALAGRGHRVMVVSPRYLHGTPADKNIAGALDIDRRIKIYCFGGEQEVAFFHEYREGVDWVFVDHPSYHRPGNPYGDSHGAFGDNQLCHAACEAPLVLPLGGYTYGEKCLFLVNDWHAGLVPVLLASKYHPFGVYKDARSILIIHNLAHQGVEPAATFKNLGLPSDWYGALEWVFPTWARTHALDTGEAVNILKGAIVTADRILTVSKGYAWEITTVEGGYGLHELLSSRRSVLNGIANGIDIAEWDPSSDEHIGFHYSADDLSGKVQCKTALQKELALPIRPECPLVRFYFLLE >EOY22861 pep chromosome:Theobroma_cacao_20110822:3:25066957:25076378:1 gene:TCM_014908 transcript:EOY22861 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 4 MLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEANLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLASTPVWARDFSSSEELITEFCRECRIVRKGLNGDLKKAMKDGKPIIIEGIHLDPSIYLMEDEHKIPISVPEGHQAAPLSKASNDNSAVQMENNSTSTFGSHTEDSQNCPVHLSLDECVSADQVNKVSEYLESIALSTASDDKGETVKVPEVNGTTARKEKSGSEPIIIPIILNMAEFDHKALLEEWILTRTFSGNCPVKDTDSLIANLKTIQDYLCSFKSQRPHFHRHWIGCMDIFFSALSKAFHQCPMKMVGGQRKNRLHAVFSLSNHRAGMRWMLKATPRILGC >EOY22862 pep chromosome:Theobroma_cacao_20110822:3:25066957:25071990:1 gene:TCM_014908 transcript:EOY22862 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 4 MGEYEKMMMMNNNKGKGIAVRTRANQNYGGEDEDDGKITSPSVPSAKSSPRNASSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEANLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLASTPVWARDFSSSEELITEFCRECRIVRKGLNGDLKKAMKDGKPIIIEGIHLDPSIYLMEDEHKIPISVPEGHQAAPLSKASNDNSAVQMENNSTSTFGSHTEDSQNCPVHLSLDECVSADQVNKVSEYLESIALSTASDDKGETVKVPEVNGTTARKEKSGSEPIIIPIILNMAEFDHKALLEEWILTRTFSGNCPVKLSGDISWNYQGFLIGSVLLRCAPRR >EOY22863 pep chromosome:Theobroma_cacao_20110822:3:25066957:25071809:1 gene:TCM_014908 transcript:EOY22863 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 4 MGEYEKMMMMNNNKGKGIAVRTRANQNYGGEDEDDGKITSPSVPSAKSSPRNASSKYDFVKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEANLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLASTPVWARDFSSSEELITEFCRECRIVRKGLNGDLKKAMKDGKPIIIEGIHLDPSIYLMEDEHKIPISVPEGHQAAPLSKASNDNSAVQMENNSTSTFGSHTEDSQNCPVHLSLDECVSADQVNKVSEYLESIALSTASDDKGETVKVPEVNGTTARKEKSGSEPIIIPIILNMAEFDHKALLEEWILTRTFSGNCPVK >EOY22860 pep chromosome:Theobroma_cacao_20110822:3:25066886:25076495:1 gene:TCM_014908 transcript:EOY22860 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 4 MGEYEKMMMMNNNKGKGIAVRTRANQNYGGEDEDDGKITSPSVPSAKSSPRNASSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEANLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLASTPVWARDFSSSEELITEFCRECRIVRKGLNGDLKKAMKDGKPIIIEGIHLDPSIYLMEDEHKIPISVPEGHQAAPLSKASNDNSAVQMENNSTSTFGSHTEDSQNCPVHLSLDECVSADQVNKVSEYLESIALSTASDDKGETVKVPEVNGTTARKEKSGSEPIIIPIILNMAEFDHKALLEEWILTRTFSGNCPVKDTDSLIANLKTIQDYLCSFKSQSMAIQNHIEGLTVVNVSATTFPQTLDWLHGYLLQRLSLLFFLFLIPDKVVLLVRNISYWEFVVFNNNALLVRCALSKAFHQCPMKMVGGQRKNRLHAVFSLSNHRAGMRWMLKATPRILGC >EOY23054 pep chromosome:Theobroma_cacao_20110822:3:25964967:25969882:-1 gene:TCM_015057 transcript:EOY23054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYALAVKKSGKVAEFGIWEDGRWAWNVQFRRQLFDWEVEQWEQFHDNFKEFHFCKDFKDEHVWKRETSGNYTTKSFCRYVHVSNENVDRVWNYVWANLAPFRIEVFMWQLLQGKIGVKDELAKRGVNLKNMLQCALCNVIRETCDHLFITCRKSWKVWMGWCRMWGMGWVLPSNVKDLFAMWNEWQLGGKEWNTDQVLELARIRVATWVNAKWPREYPSALNVYRQPTIQCQLPKKGQERKGIQWDKPRYGQMKFNVDGAARGCPRPTGIGGILRDHRGEVKIIFSKPISETDSNFAEMMAIKEAFLIFSVSRWKNNHKLLIESDSSNAVKWIKHPDGAPWRMRKLILQTKKLKREVEGWEIQHVRREAN >EOY21907 pep chromosome:Theobroma_cacao_20110822:3:19696712:19703278:-1 gene:TCM_014078 transcript:EOY21907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKLSSMKLTSVRGTDSSYTIHILNTLQGFLNQKKLVGRIVLSRIGTSLEPMTTAAKSRKTMPFIMQCLFMIMLVLKQCVMNENSSILWHRRLRHISIERIKRLVNDGVLNTLDFTNFDACVDCIKGKQTNKTKKESRNAKFLEINLISRSNQSHNLISEKNYYDIQTPTSSDKLIVIHNNPQIQTCVIQPIIEVPQAADDNLVDLEADYNVGAKNDPETFSQTMSCKESELCYLMVQKPLNVNGSLTIECKWVFKTKKDSLGNIERYKTRLVAKGFTQNEGIDYSKTFSLYLRKIPFVPL >EOY20864 pep chromosome:Theobroma_cacao_20110822:3:1570928:1571594:-1 gene:TCM_012209 transcript:EOY20864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHESLHLLHIHTKLGQEMIQSLTKRGMSLLSKSDSHGILWGDFETLKVPHGCGGLLFIFILYKGNASLCFNHSNFPESQPVLGHSGFHDGKEPLERAIWLI >EOY23295 pep chromosome:Theobroma_cacao_20110822:3:26946225:26948769:-1 gene:TCM_015236 transcript:EOY23295 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif and CCHC-type zinc finger domains containing protein MDPRSFIDEKSESRLYIGNLDLRITEGALIKMFSPYGKIISEDFLWHTRGPKRGEPRGFAFIQYSMKEEAKLAKEKMHGRLACGRPLVVRLASEKYLEEAAHNSSKAGGEAIKSGTAGSTSGQMSRSAKIAAIKNKLKALDEERGSAKKQKAS >EOY23551 pep chromosome:Theobroma_cacao_20110822:3:27834041:27836976:-1 gene:TCM_015414 transcript:EOY23551 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box and TRAF-like domains MELDSIECVSSTDGMDEDEIHHHNLHHPHPHQGHHQFSSSKPHNGTNNTANANSINNIVGPTAIAPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYNLGCPEIFPYYSKLKHEAICNYRPYSCPYAGSECSVVGDIPFLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDEIEARNYSYSLEVGANGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDAGVCIPNLCS >EOY25288 pep chromosome:Theobroma_cacao_20110822:3:33829344:33830807:1 gene:TCM_016651 transcript:EOY25288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin-O-glucosyltransferase 1, putative MAAEILVATGPGQGHLRPCMELCNHLSSPGYKATLIIPSSLSSFIPLSFPHKTASIASPARIIPRCDRIQQPAGQDLEAHLENRSQVPNLPRPQCAIIDFQMGWTKAHFWKFNIPIIGLFTFGACAAAMEWGTWMAKAGDIRPGDTLLIPGLPEGMGLTYSDLKRKPFGSPHGCQPPGGGPPKPGYQPSWIPAIEGSIALMFNTCDDIERPFLNYMADHIRMPVFGVGPLLPANYWNSPSGSLSSDGHGATVKEHNRQSKASEDEVIQWLDKKPRGSVLFVALAANGRSRFDNMRMGTTNTDTEPRVNGWNSTMEAIGRGIRILAWPIRGDQHYNAKLVVSQLKVGIRVADDSSEMVKKDDIIKGIERLMGDEEMGNRVFALRENLIHGFPASSEAALDAFKDFISQKAD >EOY20990 pep chromosome:Theobroma_cacao_20110822:3:2145621:2146775:1 gene:TCM_012307 transcript:EOY20990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferulic acid 5-hydroxylase 1, putative MEYFKIIDEHEKLNKGQQKQHRDFVDVMLSYLNQPMNPNDEEQTYIIDQTNIKAIILDMIATALKTSTIVIEWVLAEIIRHPRVKSRLQKELETVVGMNRIVEEANLANLTYLDMVIKESLRLHPVAPLLIPHESMEDVTIHGYYISKKSQILINISTIRRDPNLIPFGSGRKGCPGLQLGLTTVRLVLAQLVHCFEWELPHGMLPNDLSISERSRLTAPRAQQLLAKPVYRLPDKRL >EOY23574 pep chromosome:Theobroma_cacao_20110822:3:27915696:27916576:1 gene:TCM_015426 transcript:EOY23574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRFLFQVHSEKEKVTLGVEFTRAGFSNQHASLQSLPQFGGPIVVKQCCTKGIGISNQIMKHPHALRGLVLRDKGQLNFT >EOY24352 pep chromosome:Theobroma_cacao_20110822:3:30637894:30640324:1 gene:TCM_015976 transcript:EOY24352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine triad nucleotide-binding 4 isoform 1 MAGATSPSQPCIFCQIARGSTSTPLLHADDKVVAFQDIKPSAFRHYLVIPVEHIPTVRDLQRRNEDYALVSHMLNVGQTLLRRDAPQSNQYRFGFHQPPLNSVHHLHLHCLALPFIPRWKHVKYLSLGPLGGFIEAEKLLEKIKPLSPIPS >EOY24353 pep chromosome:Theobroma_cacao_20110822:3:30637894:30639828:1 gene:TCM_015976 transcript:EOY24353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine triad nucleotide-binding 4 isoform 1 MAGATSPSQPCIFCQIARGSTSTPLLHADDKVVAFQDIKPSAFRHYLVIPVEHIPTVRDLQRRNEDYALVSHMLNVGQTLLRRDAPQSNQYRFGFHQPPLNSVHHLHLHCLALPFIPR >EOY20681 pep chromosome:Theobroma_cacao_20110822:3:571867:574489:1 gene:TCM_012039 transcript:EOY20681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deletion of SUV3 suppressor 1(I) isoform 1 MATEQPKSATEDVKMDLFEDDDEFEEFEINEDWEDKEEGKEVSQQWEDDWDDDDVNDDFSLQLRKELENNTEKN >EOY20680 pep chromosome:Theobroma_cacao_20110822:3:571867:574447:1 gene:TCM_012039 transcript:EOY20680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deletion of SUV3 suppressor 1(I) isoform 1 MATEQPKSATEDVKMDLFEDDDEFEEFEINEDWEDKEEGKEVSQQWEDDWDDDDVNDDFSLQLRKELENNTEKN >EOY23429 pep chromosome:Theobroma_cacao_20110822:3:27381581:27384991:1 gene:TCM_015326 transcript:EOY23429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 6 MQSKGSSSMVSSMVFRTRISSLLISMFATFASFYVAGRLWQDAENRVYLIKELDRITGLGQSAISVDDTLKIIACREQHKKLSALEMDLAAARQEGFTSKTSSDTDGAVSKRRPLVVIGVLTRFGRKNNRDAIRKAWMGSGATLKKLENEKGIVSRFVIGRSANRGDSLDRSIDDEYRQTNDLMILDQVEAPGELPKKAEMFFALAADRWDAEFYAKVNDDVYVNIDALGATLTSHIDKRRVYIGCMKSGEVFSEPSHKWYEPDWWKFGDKKSYFRHASGEMYVISGALAKFISINRSLLRTYAHD >EOY23426 pep chromosome:Theobroma_cacao_20110822:3:27381737:27385580:1 gene:TCM_015326 transcript:EOY23426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 6 MQSKGSSSMVSSMVFRTRISSLLISMFATFASFYVAGRLWQDAENRVYLIKELDRITGLGQSAISVDDTLKIIACREQHKKLSALEMDLAAARQEGFTSKTSSDTDGAVSKRRPLVVIGVLTRFGRKNNRDAIRKAWMGSGATLKKLENEKGIVSRFVIGRSANRGDSLDRSIDDEYRQTNDLMILDQVEAPGELPKKAEMFFALAADRWDAEFYAKVNDDVYVNIDALGATLTSHIDKRRVYIGCMKSGEVFSEPSHKWYEPDWWKFGDKKSYFRHASGEMYVISGALAKFISINRSLLRTYAHDDVSAGSWFLGLDVNYVDEGKFCCSSWSTGAICSGV >EOY23427 pep chromosome:Theobroma_cacao_20110822:3:27381581:27385074:1 gene:TCM_015326 transcript:EOY23427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 6 MQSKGSSSMVSSMVFRTRISSLLISMFATFASFYVAGRLWQDAENRVYLIKELDRITGLGQSAISVDDTLKIIACREQHKKLSALEMDLAAARQEGFTSKTSSDTDGAVSKRRPLVVIGVLTRFGRKNNRDAIRKAWMGSGATLKKLENEKGIVSRFVIGRSANRGDSLDRSIDDEYRQTNDLMILDQVEAPGELPKKAEMFFALAADRWDAEFYAKVNDDVYVNIDALGATLTSHIDKRRVYIGCMKSGEVFSEPSHKWYEPDWWKFGDKKSMNSSFGASNRYFRHASGEISLLRTYAHDDVSAGSWFLGLDVNYVDEGKFCCSSWST >EOY23425 pep chromosome:Theobroma_cacao_20110822:3:27381356:27385620:1 gene:TCM_015326 transcript:EOY23425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 6 SRQNPLWLYKRRQMQSKGSSSMVSSMVFRTRISSLLISMFATFASFYVAGRLWQDAENRVYLIKELDRITGLGQSAISVDDTLKIIACREQHKKLSALEMDLAAARQEGFTSKTSSDTDGAVSKRRPLVVIGVLTRFGRKNNRDAIRKAWMGSGATLKKLENEKGIVSRFVIGRSANRGDSLDRSIDDEYRQTNDLMILDQVEAPGELPKKAEMFFALAADRWDAEFYAKVNDDVYVNIDALGATLTSHIDKRRVYIGCMKSGEVFSEPSHKWYEPDWWKFGDKKSMNSSFGASNRYFRHASGEMYVISGALAKFISINRSLLRTYAH >EOY23428 pep chromosome:Theobroma_cacao_20110822:3:27381737:27385580:1 gene:TCM_015326 transcript:EOY23428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 6 MQSKGSSSMVSSMVFRTRISSLLISMFATFASFYVAGRLWQDAENRVYLIKELDRITGLGQSAISVDDTLKIIACREQHKKLSALEMDLAAARQEGFTSKTSSDTDGAVSKRRPLVVIGVLTRFGRKNNRDAIRKAWMGSGATLKKLENEKGIVSRFVIGRSANRGDSLDRSIDDEYRQTNDLMILDQVEAPGELPKKAEMFFALAADRWDAEFYAKVNDDVYVNIDALGATLTSHIDKRRVYIGCMKSGEVFSEPSHKWYEPDWWKFGDKKSYFRHASGEISLLRTYAHDDVSAGSWFLGLDVNYVDEGKFCCSSWSTGAICSGV >EOY23424 pep chromosome:Theobroma_cacao_20110822:3:27381851:27385439:1 gene:TCM_015326 transcript:EOY23424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 6 MQSKGSSSMVSSMVFRTRISSLLISMFATFASFYVAGRLWQDAENRVYLIKELDRITGLGQSAISVDDTLKIIACREQHKKLSALEMDLAAARQEGFTSKTSSDTDGAVSKRRPLVVIGVLTRFGRKNNRDAIRKAWMGSGATLKKLENEKGIVSRFVIGRSANRGDSLDRSIDDEYRQTNDLMILDQVEAPGELPKKAEMFFALAADRWDAEFYAKVNDDVYVNIDALGATLTSHIDKRRVYIGCMKSGEVFSEPSHKWYEPDWWKFGDKKSMNSSFGASNRYFRHASGEMYVISGALAKFISINRSLLRTYAHDDVSAGSWFLGLDVNYVDEGKFCCSSWSTGAICSGV >EOY23331 pep chromosome:Theobroma_cacao_20110822:3:27037660:27038489:-1 gene:TCM_015258 transcript:EOY23331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLDSWRQASRQVYSIFCLPVTLIDRISFFFLNEFVICLEKRLAWFVIFLS >EOY24704 pep chromosome:Theobroma_cacao_20110822:3:31993390:31996272:1 gene:TCM_016240 transcript:EOY24704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair specific 8 MAISMSKKKSKASKKVETKQYDFADTILFKILYRIPAAILLLILIFLWSSSTTIISGKFVHVCISSRKLNNLYCLSAGTQPNFEIPIPAHNNSLISPNISASIREVVNIARDDPDSIVSNRVHNNDSGGEVAIAVKAVEAQLQVQRSWISNKNHAESCDGRGIYVYDLPSKFNKDLVGQCGDMIPWTNFCKYFNNEAMGEPITKLGKGWYHTHQYSLELIFHTRVLKHPCRVYNENDAKLFYVPFYAGLDILRWHFKNVSNDVKDTLALELVKWLENRKSWLQHSGKDHVFVLGKISWDFRRKSDASWGTRLLELDPMQNSVKLLIERQPWHINDIGIPHPTYFHPHSDDDIITWQLKIIRSNRKSLVSFAGAARPDSPENIRSILINQCNSDERKCRFLNCSSGGCDQPESVIELFMESEFCLQPPGDSPTRKSLFDSLVSGCIPVLFDPFTAYYQYPWHLPEDHSRYSVFIDQEEVRQMKVNVVERLTKVPVRERDDVRRYIVYELLPGLVYGDSNSQLEKFQDAFSMTMNNLLERVNRIE >EOY24359 pep chromosome:Theobroma_cacao_20110822:3:30660317:30661800:1 gene:TCM_015982 transcript:EOY24359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small heat shock protein, putative MASSIALRKVPVSALFSKLVNFSPVRTVSAATPSVSRSFNTNTQVTNFNDEDRSVYVEHRSDRSVSRRRDYSPGFFSDVFDPFSPTRSLSQVLNLMDQFIDHPFLSSPSVSSRKGWDVREDNNALYLRIEMPGLSKENVNISVDQNTLIIREEGGKQSEDDQESGRRYSSWLDLPPSTYKVDEIKAEMKHGVLKVVVPKVKGEERQDVYQVTVE >EOY21887 pep chromosome:Theobroma_cacao_20110822:3:19426484:19428489:-1 gene:TCM_014044 transcript:EOY21887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRLLRSCPGKMCLTTNTWISIQRINYICLITHFIDNDWKLQKRILNFCPITSHKGKAISKAITLKFQRAFTSFELCDNSYIPELIRLGDGVPDDRDWVNVMRISSFLREFYDLTLSVLGTSGGCSDSVASTLIGKDTKRTKKRLDRFKTHQLNTRSKELKTELEKYLSELVDDGGFDDDKFDVLMWWKLNQFRFPVVVAIAHDVLAVPVSTIASEYAFSTGERVLDAYRSSLTPKVVQALICTQDWLHGLARGDPDLIEDDLDELDKLDFDNYFIYNLIYLICQKLIYHICYFIF >EOY23172 pep chromosome:Theobroma_cacao_20110822:3:26491878:26494386:-1 gene:TCM_015156 transcript:EOY23172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat superfamily protein, putative MADISNLLPHCTFNQLKQIHALIITTALCQNFHIFSTFLRRSTEFGSMVYSDLIFSKMGTPFYSRIMPWNIMVRGYAFNGPLEDCMSVFDELPQRGLRPDNYTYPYVLNSCSQLGLFRKSQNVHCQILKSGFESSFEVSNSLFNMYLKMQASLEVKKGERRDARKVFDDMSVKPVQVWNQMIYQCVCNGNVSSAIDLFVSMPVKDVISWNIMILGYTRVGELSKARHLFERIPEKNVVSWTSMIGAYADAGDLDTARKIFEKMPCRNVVSWNSMISSYTKHERFQEALDLFEQMQIEGVVSDEYTFVSVLSACSHLGALEIGKWIHFLIQDWPRLGVIVRTALIDMYAKCGDISRAFTLFIKIKKSDVFCWNVMIKSLAIHGRTEDAIRIFFLMQKDGLKPNDFTFTSVLFACSHGGLVEEGRKIFYSMERDFGVSPKLEHFGCFVDLHSRNGQLEEAQLLVKDMPYKPDIAIWGALLGGCRVRSDLKLAEKVVERATELESKESGVHVLSSNIHASVGQWPQALNARQKMEERKILKKVGGSTIV >EOY24087 pep chromosome:Theobroma_cacao_20110822:3:29594316:29596481:1 gene:TCM_015785 transcript:EOY24087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 55 (VPS55) family protein isoform 1 MMADLPGYLRNCLHTGKLALLAILVSGGIVLQILACALYNNWWPMLTVIMYVLLPMPVLFFASSDRSSLLSESDNGWVNATKFLTGASAVGSIAIPAILKHAGVIGWGALAMELSSYFIFVLAIMCYIRMDDNDDYSFL >EOY24086 pep chromosome:Theobroma_cacao_20110822:3:29593890:29596394:1 gene:TCM_015785 transcript:EOY24086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 55 (VPS55) family protein isoform 1 MMADLPGYLRNCLHTGKLALLAILVSGGIVLQILACALYNNWWPMLTVIMYVLLPMPVLFFASSDRSSLLSESDNGWVNATKFLTGASAVGSIAIPAILKHAGVIGWGALAMELSSYFIFVLAIMCYIRMDDNDDYSFL >EOY23056 pep chromosome:Theobroma_cacao_20110822:3:25973904:25976691:-1 gene:TCM_015061 transcript:EOY23056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein MKADLKEANNEEKGGERETNEKISRSMMEENRKTQSACLKEESQVCLHGCCPFPFLSAHEPQSRASKPTSTSAACRRDFASKTTSSIFPNTQFTNHESLPSVQESLAEFTKAYPQYSDTYQVDQIRAQEYYHLSLSNRTCLDYLGIGLFSYSQPQKHESPTCRIASSSYPVPPQSPPPILDIPFFGVSYKTGNLKTQLLHGGPASELESAIRKRIMTFLNVSENDYCMVFTANKTSAFKLLAESYPFQSNRKLLTVYDYESEAIEAMNNSSEKKGARVMSAEFSWPRLRIQSSKLRKMVESKEKKKKKRGLFVFPLHSRMTGARYPYLWMSIAQENGWHVLIDACALGPKDMDSFGLSLFRPDFLICSFYKVFGENPSGFACLFAKKSTVSVLETSTSSGMVGLIPAEKLFRSVTESSGTDSEVEQTSKPNLLVDKPATPSSISGPIPVQASQSGRFEVGKTYEIQHADIAEKLKGLETTEISESEKAVDIIQEDYLKQEGEVECRGLDQVDSLGLVTISNRARCLINWLVNALLKLQHPNTKGIPLVRIYGPKIKFDRGPAIAFNVFDWKGEKVEPVLVQKLADRSNISLSYGFLHHICFTDKYQEEKDKVIERRSNEAKGLDGNKGKDKNDMGISVVTAALGFLANFEDIYKLWAFIAQFLDADFVEKERWRYTALNQKTFEV >EOY21532 pep chromosome:Theobroma_cacao_20110822:3:10895569:10896868:-1 gene:TCM_013255 transcript:EOY21532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKSRQFQEVLEKKKGKWPLEESSQFEIQKKKKKILHTSEIEKSRKKMTKKGHKTEKKKEKGKSVKKGNISFSNFRNKAHEDRYRKLENAPISCGKFIGWDSFNEILEIQTSLSNYFEELKLKEFSTFKNRFYSASLVKEFYASIALDKDELEDSDDCIEDSLNVF >EOY22116 pep chromosome:Theobroma_cacao_20110822:3:21427014:21432959:-1 gene:TCM_014303 transcript:EOY22116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MLRGVSSSALFDLGGASAYGSIPAPRVSSVDFSAVQDTKGSSKSFPKHETRHLSEHKNIARCARFSPDGRFVATGSADTSIKLFEISKIKQMMLPDSKDGPVRPVIRTFYDHVQPINDLDFHPQSTVLISGAKDHTIKFFDFSKATAKRAFRVIQDTHNVRSVSFHPSGDFLLAGTDHPIAHLYDVNTFQCYLSANPPEIGVNGAINQVRYSSTGGMYVTASKDGAIRLWDGISASCVRSIVGAHGTAEATSACFTKDQRFVLSCGKDSTVKLWEIGTGRLVKQYLGSTHTQSRCQAVFNDTEEFVLSIDEPSNEIVIWDSLTAEKVAKWPSNHIGAPRWIEHSPTEAAFISCGTDRSVRFWKEIL >EOY22115 pep chromosome:Theobroma_cacao_20110822:3:21427028:21432810:-1 gene:TCM_014303 transcript:EOY22115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MEGNNLEQTLQEGKLYRQLNSLIVAHLLHHNLTQAARAVAAATMTPLDVEAPPNKLLELVAKGLAVEKDEMLRGVSSSALFDLGGASAYGSIPAPRVSSVDFSAVQDTKGSSKSFPKHETRHLSEHKNIARCARFSPDGRFVATGSADTSIKLFEISKIKQMMLPDSKDGPVRPVIRTFYDHVQPINDLDFHPQSTVLISGAKDHTIKFFDFSKATAKRAFRVIQDTHNVRSVSFHPSGDFLLAGTDHPIAHLYDVNTFQCYLSANPPEIGVNGAINQVRYSSTGGMYVTASKDGAIRLWDGISASCVRSIVGAHGTAEATSACFTKDQRFVLSCGKDSTVKLWEIGTGRLVKQYLGSTHTQSRCQAVFNDTEEFVLSIDEPSNEIVIWDSLTAEKVAKWPSNHIGAPRWIEHSPTEAAFISCGTDRSVRFWKEIL >EOY22182 pep chromosome:Theobroma_cacao_20110822:3:22164307:22167171:1 gene:TCM_014398 transcript:EOY22182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MALVRKGLVSVAKRGLSSQIMLIPFKSQRSFWNEPSSADPLLKKLLQVPSSLIKTTLDSYDRFALRNSEFSWNALVAGLPSLSSEKAQLVLEWKLEKMLKDSERDYDQYLNLMSLCAKTQNVPLAMHVFTSMEAHGIKPTASVFNSLLHACLCSNDVITAFSLFEIMESSEGYKPDAETYETFIIGFSSLGNAVAMKSWYSAKKAAGYCATLQTYESLISGCVKSGDFDSADKFYEEITSIGIMPNERILENLLEGFCRQRRFSQAKELLKSFLDVGRGISVKMAEKIVRLYSEYGKVEEMEDLLSTVVESGQVVEVMLQVHSGIIRMYAALNRLDDVEYSVGRMLKQGLSFRCTNDVEKVICCYFRKEAYDRLDIFLEHIKGSHKLSKSTYDLLIAGYRRAGLSQRLDLVIKDMELSGVL >EOY24640 pep chromosome:Theobroma_cacao_20110822:3:31768089:31772933:1 gene:TCM_016191 transcript:EOY24640 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 22, putative MGKASKWFRSILGLKKTDSLHQLSSSSSSKPPQQQQPPHKDKRRWSFVKSFREKDSIISTTKHTAAANSSSTSIAKSSPYGRQQQQKEYVSVGANGECEAVDPNKHAIAVAAATAAVAEAAVAAAQAAAAVVRLTSSSGRSARDPASHVSSSCGAREEFAAVKIQSAFRGYLARRALRALKGLVRLQALVRGHIERKRTAEWVRRMQALLRAQARARAGRAQISESSQSSSKSSHFHHPGPPTPEKFEHAIRSKSTKHEQSSMLKRSGSKSRGRTLDNHEKIPLGWNRSDRRIDEQSWDQQVHSTRVGPMDDEKDDKILEVDTGKPQFSSKRRNLFHSTHLALNSDLYSCSFTNSRDSHQTAPSPSSGEVQSISPLKCSHEVEESAFCTADNSPQFYSASSKGGSSRGRSPFTPAKSDGSRSFLSGYSDHPNYMAYTESSRAKVRSLSAPKQRPQYERSSSTKRYSIHGFAELKSNTQRPSLHANFASKAYPGSGRLDRLGMPLGYRY >EOY25370 pep chromosome:Theobroma_cacao_20110822:3:34049922:34052133:1 gene:TCM_016701 transcript:EOY25370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MWFLGFSTRWRHLWIMGAILLVILVTMILKKFALYLEAQASKCADQEKLHREGKSNNDHQQPSRKSCSLCCNIGVVTRTYALEELKMATSDFRIRIGVGATSFVYLAELGDGKFGAVKRVMEQRGGSKKVFLDEVSVLLRISHPNLVGLLGFCLEKGEQLLLLEYVPNKSLFDRMHTYHGQSSGILSWSNRLSIALDIARALDYLHSQADPPIIHRDVKSSNILLIDNNQAKLADFGLCKLGYDKPGCQNPTIIKGSFGYVDTNYLKTGLVSPKSDVYSFGVLLLELITGLKSTQGSVTLADWTEECRKHHDVEVLAKMLDPKLNGDANLEQLKVLTDLANAALLENSEGRPDMSQIVDRISSCMEPQPHPSLPV >EOY25369 pep chromosome:Theobroma_cacao_20110822:3:34049922:34052133:1 gene:TCM_016701 transcript:EOY25369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MWFLGFSTRWRHLWIMGAILLVILVTMILKKFALYLEAQASKCADQEKLHREGKSNNDHQQPSRKSCSLCCNIGVVTRTYALEELKMATSDFRIRIGVGATSFVYLAELGDGKFGAVKRVMEQRGGSKKVFLDEVSVLLRISHPNLVGLLGFCLEKAGEQLLLLEYVPNKSLFDRMHTYHGQSSGILSWSNRLSIALDIARALDYLHSQADPPIIHRDVKSSNILLIDNNQAKLADFGLCKLGYDKPGCQNPTIIKGSFGYVDTNYLKTGLVSPKSDVYSFGVLLLELITGLKSTQGSVTLADWTEECRKHHDVEVLAKMLDPKLNGDANLEQLKVLTDLANAALLENSEGRPDMSQIVDRISSCMEPQPHPSLPV >EOY20770 pep chromosome:Theobroma_cacao_20110822:3:1011903:1013729:-1 gene:TCM_012107 transcript:EOY20770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H protein MQVMPMPLSICQKIERLCRNFLWGSDESHRKIHLIKWEQLCRPKDEGGMGIRKLKLMNEAFLLKLLWQLRERQKVLWARILCKRYNINTTRRLESIQRSGASNLWTAVSRLWPVVASATRCVIGDGMSVNFSKDKWLGDRTLAKITCRVAHPALDKVVVKDFLSPNGHWDHDKLCHCLPQEVFTIASAYDYWRQSSSSTDVKPSGIWQGAWKWQGPQRVRTFLFQCLHGKLMTNRERLCRKLTTEALCPLCKMEYETTIHVLWDYMMTTSLWVRIIPQREQDKFFTSPLREWLVSLNTDGAYRKSSDEAAVGGVIHNEAGEWRIDFVAKLSKCSAYRAELWGMLFGLRLAWESRTNERYNWCTSTVRVTW >EOY22834 pep chromosome:Theobroma_cacao_20110822:3:24937369:24939980:1 gene:TCM_014889 transcript:EOY22834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRYLIGNDTYINFWDDKWIEGITLRIAFPRIFAFAINKSGKVCEFGLKVNGWDLLKILDIIRTRVAWWAKYKWPNENPTIPDIFSSPLTNLAADRRKVPKTLVKWELPASKMVQVQHGWSSTRGCMGYLGIGGY >EOY21386 pep chromosome:Theobroma_cacao_20110822:3:7378642:7379483:-1 gene:TCM_012910 transcript:EOY21386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFLEEKSIGGWIDPFVGVGPVKSCWLKVVLGWGGKREIGKGLESLGKRRKRDKGEMGEKTRLGILI >EOY23540 pep chromosome:Theobroma_cacao_20110822:3:27795489:27799374:1 gene:TCM_015407 transcript:EOY23540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily isoform 1 MGYDRLRPSEPGGSGLPQLQQLPHEEPRAKNHKKKLLIVSVVALAMIIISAVCAGLVIGLRKGGADPVGTQIRRKPTQAMSRTCSKTRFPNLCVNSLLDFPGSLTANEEDLVHISFNMTLQHFSKALYTTTSISYVQMDPRVRSAYDDCLELLEDSIDALSRSLFSVVPSQDGNANNNNVKAGTNQDVMTWLSAALTNQDTCSEGFEGVSGAVKDQVAEKMKDLSELVSNCLSIFAASGGDDFAGVPIQNRRLLATDDDISGEIIDDENFPKWLGRKERELLDTPVSTIQADIIVSKDGNGTVKTITEAIKKAPEYSARRIIIYVRAGRYEESNLKVGRKKINLMFIGDGKGKTVITGGNSVFDNMTTFHTAAFAATGAGFIARDMTFENWAGPAKHQAVALRIGADHAVVYRCNVIGYQDTLYTHSNRQFYRECDIYGTVDFIFGNAAVVLQNCSIYARKPMPLQKNTITAQNRKDPNQNTGISIHACRILATSDLVAAKGSFQTYLGRPWKLYSRTVFMLSYMSDHIHPRGWLEWNATFALDTLYYGEYMNSGPGAAVGQRVRWPGYRVITSEIEAGKFTVGQFIYGSSWLPSTGVAFLAGLQV >EOY23539 pep chromosome:Theobroma_cacao_20110822:3:27795661:27799678:1 gene:TCM_015407 transcript:EOY23539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily isoform 1 MGYDRLRPSEPGGSGLPQLQQLPHEEPRAKNHKKKLLIVSVVALAMIIISAVCAGLVIGLRKGGADPVGTQIRRKPTQAMSRTCSKTRFPNLCVNSLLDFPGSLTANEEDLVHISFNMTLQHFSKALYTTTSISYVQMDPRVRSAYDDCLELLEDSIDALSRSLFSVVPSQDGNANNNNVKAGTNQDVMTWLSAALTNQDTCSEGFEGVSGAVKDQVAEKMKDLSELVSNCLSIFAASGGDDFAGVPIQNRRLLATDDDISGEIIDDENFPKWLGRKERELLDTPVSTIQADIIVSKDGNGTVKTITEAIKKAPEYSARRIIIYVRAGRYEESNLKVGRKKINLMFIGDGKGKTVITGGNSVFDNMTTFHTAAFAATGAGFIARDMTFENWAGPAKHQAVALRIGADHAVVYRCNVIGYQDTLYTHSNRQFYRECDIYGTVDFIFGNAAVVLQNCSIYARKPMPLQKNTITAQNRKDPNQNTGISIHACRILATSDLVAAKGSFQTYLGRPWKLYSRTVFMLSYMSDHIHPRGWLEWNATFALDTLYYGEYMNSGPGAAVGQRVRWPGYRVITSEIEAGKFTVGQFIYGSSWLPSTGVAFLAGLQV >EOY22931 pep chromosome:Theobroma_cacao_20110822:3:25376995:25378720:1 gene:TCM_014960 transcript:EOY22931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low psii accumulation2, putative MALQIHTPSSFTNGLYHRNFIFPKPIFSIKSQKPTDDAEPSPEPSSTPKKPAAPGQGFGSSSPSSSSSLPSGKSSISSGKKKQKGKRVRASIIRRSPVEKPAFVSKEEEAKAEEQRKNESAFLLAWLGLGGVILVQGIVLAASGFLPEEWDKFFVKYLYPSFTPTVLLFLAGTVAYGVLKYLQNENLKDQN >EOY24164 pep chromosome:Theobroma_cacao_20110822:3:29929380:29930338:-1 gene:TCM_015840 transcript:EOY24164 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-deoxy-d-arabino-heptulosonate 7-phosphate synthase isoform 1 MHGNTFTALCGRKTRGFDAIRAELRAFFDVHDQEGSYPGEVHLEMTGQNVTECVGGSMTVAFDDLSSRYHTHCDPRLNASQSLELAFAISERLRRRLESANKFRGAYRCN >EOY24165 pep chromosome:Theobroma_cacao_20110822:3:29929402:29932634:-1 gene:TCM_015840 transcript:EOY24165 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-deoxy-d-arabino-heptulosonate 7-phosphate synthase isoform 1 LIASNPRAELRAFFDVHDQEGSYPGEVHLEMTGQNVTECVGGSMTVAFDDLSSRYHTHCDPRLNASQSLELAFAISERLRRRLESANKFRGAYRCN >EOY23041 pep chromosome:Theobroma_cacao_20110822:3:25927524:25934098:-1 gene:TCM_015049 transcript:EOY23041 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 20 MARGKIQMKRIENATSRQVTFSKRRNGLLKKAYELSVLCDAEVAVIIFSPKGRVYEFSSSDLQKTIDRYHKYIKEVQNDKPEMERYSQQLRLEAANMVKKIELLEVSQRKLLGQGLGLCSVEELQEIENQLERSLRNIRARKSLVFKEQIEQLKAKERLLREENAKLSEKSLVGSKGGHQLNGKKPQYLAAKAAGAQRWRQNCSLDCQKTAAPSKLVFGHRNVIRPISSHQLVATVE >EOY23350 pep chromosome:Theobroma_cacao_20110822:3:27083897:27085538:1 gene:TCM_015271 transcript:EOY23350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2, putative MHRHFLVAAVLATVSWLVAGESNFATYKLSLRWPPAHCDAPSFECKPHVLNTFTIHGLWPQFADGKVVPPYDPETNRCTDVTPVNPDQILGQMTHLIEALRKYWPNYRDYQNETLNENFWKHAWKLHGMCSDYPDNPFSYFRNAVSLSVKYIDPFKGTRITPRIVPYIAKDISDAIKEKLGVYPQIACNEVGGTVQLTEVRLCFKRDRENSPSILQDCPIRYAYKCSDDTDEISFVPHLIG >EOY25097 pep chromosome:Theobroma_cacao_20110822:3:33237606:33241739:1 gene:TCM_016516 transcript:EOY25097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 (Hsp 70) family protein MAGSWRASGSLVVLAIVLSGCLFAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDNERLIGEAAKNQAAVNAERTIFDVKRLIGRKFEDKEVQKDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDHRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGIDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKRQIDEIVLVGGSTRIPKVQQLLKDYFEGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGKFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNDKGRLSQEEIDRMVREAEEFAEEDKKVKEKIDARNSLETYVYNMKNQINDKDKLADKLESDEKEKVETAVKEALEWLDDNQSAEKEDYEEKLKEVEAVCNPIITAVYQRSGGAPGGESTEEDDSHDEL >EOY21353 pep chromosome:Theobroma_cacao_20110822:3:7065009:7097783:-1 gene:TCM_012876 transcript:EOY21353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketose-bisphosphate aldolase class-II family protein isoform 5 MAGVVGFVGLDRLSLDMAALLLRAGYRVQAFEVQKLLMGEFLKLGGTECISLMETGKGVAALIVLISHADQINDVIFGHDDALKGLQKDKVIILHSTILPSYIQNLEKKLREDGLATSVVDAYVYKATSDNLNGKVVVMSSGRSDAISKARPFLSAMCEKLYIFEGETGAGSKIKLVTELLEGIHLMAAVEAISLGVHAGIHPWIIYDIISNAAGNSWVFKNYIPQLLRGSVKCHFLNPFILNLGIVLDMAKSLTFPLPLLATAHQQLVLGSSHGNGDDNTPLVQIWDQVYGVNTADAANTELYSPEQLASQIIAKSKTVNRVGFIGLGAMGFGMATHLVKSNFCVLGYDVYRPTLIRFESAGGLIGTSPADVSKDVDVLVVMVTNEAQAESVLYGDLGAVSALPSGASIILSSTVSPAFVSQLERRLQNEGKDLKLVDAPVSGGVKRASMGELTIMAAGSDDALKSSGLVLSALSEKLYVIKGGCGAGSGVKMVNQLLAGVHIAASAEAMAFGARLGLNTRVLFDIITNSGATSWMFENRVPHMLDNDYTPYSALDIFVKDLGIVARECSTRKVPLHISTMAHQLFLAGSAAGWGRQDDAGVVKVYETLTGVKVEGKLPALKKEVVLQSIPPEWPVDPINDIHRLNQKNSKTLVVLDDDPTGTQTVHDVEVLTEWSVESLVEQFRKKPICFFILTNSRSLSSEKATALIKDICSSLLTAAKSVGNIDYTVVLRGDSTLRGHFPEEPDAAVSVIGQVDAWILCPFFLQGGRYTIEDIHYVADSDWLVPAGDTEFAKDAAFGYKSSNLREWVEEKTAGRIPASSVASISIQLLRQGGPDAVCEHLCSLEKGSTCIVNAVSERDMAVFAAGMIQAELKGKSFLCRSAASFVSARIGIIPKARILPKDLGKKKERSGGLIVVGSYVPKTTKQQVEELQSQYGHMLKSIEVSVHKVAMKSLEEREEEINRTAEMASVFLAAHKDTLIMSSRELITGKTASESLEINFKVSSALVEVVRRITTRPCYILAKGGITSSDLATKALEAKRAKVVGQALAGIPLWELGSESRHPGVPYIVFPGNVGDSKALAEVVRSWAHPLRLSSTKEILLNAESGGYAVGAFNVYNMEGVEAVVAAAEQERSPAILQVHPGAFKQGGITLVACCISAAEQASVPITVHFDHGTSKKELLDSLELGFDSIMADGSHLPFKDNISYTKHISNLAHSKDMLVEAELGRLSGTEDDLTVEDYEARLTDVNQAQEFIDETGIDALAVCIGNVHGKYPASGPNLKLDLLEDLYALSSKKGVFLVLHGASGLSKELVKGCIERGVRKFNVNTEVRKAYMDSLRNPKGDLVHVMASAKEAMKAVIAEKMHLFGSAGKA >EOY21354 pep chromosome:Theobroma_cacao_20110822:3:7065985:7097751:-1 gene:TCM_012876 transcript:EOY21354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketose-bisphosphate aldolase class-II family protein isoform 5 MAGVVGFVGLDRLSLDMAALLLRAGYRVQAFEVQKLLMGEFLKLGGTECISLMETGKGVAALIVLISHADQINDVIFGHDDALKGLQKDKVIILHSTILPSYIQNLEKKLREDGLATSVVDAYVYKATSDNLNGKVVVMSSGRSDAISKARPFLSAMCEKLYIFEGETGAGSKIKLVTELLEGIHLMAAVEAISLGVHAGIHPWIIYDIISNAAGNSWVFKNYIPQLLRGSVKCHFLNPFILNLGIVLDMAKSLTFPLPLLATAHQQLVLGSSHGNGDDNTPLVQIWDQVYGVNTADAANTELYSPEQLASQIIAKSKTVNRVGFIGLGAMGFGMATHLVKSNFCVLGYDVYRPTLIRFESAGGLIGTSPADVSKDVDVLVVMVTNEAQAESVLYGDLGAVSALPSGASIILSSTVSPAFVSQLERRLQNEGKDLKLVDAPVSGGVKRASMGELTIMAAGSDDALKSSGLVLSVPHMLDNDYTPYSALDIFVKDLGIVARECSTRKVPLHISTMAHQLFLAG >EOY21352 pep chromosome:Theobroma_cacao_20110822:3:7015782:7121325:-1 gene:TCM_012876 transcript:EOY21352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketose-bisphosphate aldolase class-II family protein isoform 5 MAGVVGFVGLDRLSLDMAALLLRAGYRVQAFEVQKLLMGEFLKLGGTECISLMETGKGVAALIVLISHADQINDVIFGHDDALKGLQKDKVIILHSTILPSYIQNLEKKLREDGLATSVVDAYVYKATSDNLNGKVVVMSSGRSDAISKARPFLSAMCEKLYIFEGETGAGSKIKLVTELLEGIHLMAAVEAISLGVHAGIHPWIIYDIISNAAGNSWVFKNYIPQLLRGSVKCHFLNPFILNLGIVLDMAKSLTFPLPLLATAHQQLVLGSSHGNGDDNTPLVQIWDQVYGVNTADAANTELYSPEQLASQIIAKSKTVNRVGFIGLGAMGFGMATHLVKSNFCVLGYDVYRPTLIRFESAGGLIGTSPADVSKDVDVLVVMVTNEAQAESVLYGDLGAVSALPSGASIILSSTVSPAFVSQLERRLQNEGKDLKLVDAPVSGGVKRASMGELTIMAAGSDDALKSSGLVLSALSEKLYVIKGGCGAGSGVKMVNQLLAGVHIAASAEAMAFGARLGLNTRVLFDIITNSGATSWMFENRVPHMLDNDYTPYSALDIFVKDLGIVARECSTRKVPLHISTMAHQLFLAGSAAGWGRQDDAGVVKVYETLTGVKVEGKLPALKKEVVLQSIPPEWPVDPINDIHRLNQKNSKTLVVLDDDPTGTQTVHDVEVLTEWSVESLVEQFRKKPICFFILTNSRSLSSEKATALIKDICSSLLTAAKSVGNIDYTVVLRGDSTLRGHFPEEPDAAVSVIGQVDAWILCPFFLQGGRYTIEDIHYVADSDWLVPAGDTEFAKDAAFGYKSSNLREWVEEKTAGRIPASSVASISIQLLRQGGPDAVCEHLCSLEKGSTCIVNAVSERDMAVFAAGMIQAELKGKSFLCRSAASFVSARIGIIPKARILPKDLGKKKERSGGLIVVGSYVPKTTKQVEELQSQYGHMLKSIEVSVHKVAMKSLEEREEEINRTAEMASVFLAAHKDTLIMSSRELITGKTASESLEINFKVSSALVEVVRRITTRPCYILAKGGITSSDLATKALEAKRAKVVGQALAGIPLWELGSESRHPGVPYIVFPGNVGDSKALAEVVRSWAHPLRLSSTKEILLNAESGGYAVGAFNVYNMEGVEAVVAAAEQERSPAILQVHPGAFKQGGITLVACCISAAEQASVPITVHFDHGTSKKELLDSLELGFDSIMADGSHLPFKDNISYTKHISNLAHSKDMLVEAELGRLSGTEDDLTVEDYEARLTDVNQAQEFIDETGIDALAVCIGNVHGKYPASGPNLKLDLLEDLYALSSKKGVFLVLHGASGLSKELVKGCIERGVRKFNVNTEVRKAYMDSLRNPKGDLVHVMASAKEAMKAVIAEKMHLFGSAGKA >EOY21355 pep chromosome:Theobroma_cacao_20110822:3:7065985:7097751:-1 gene:TCM_012876 transcript:EOY21355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketose-bisphosphate aldolase class-II family protein isoform 5 MAGVVGFVGLDRLSLDMAALLLRAGYRVQAFEVQKLLMGEFLKLGGTECISLMETGKGVAALIVLISHADQINDVIFGHDDALKGLQKDKVIILHSTILPSYIQNLEKKLREDGLATSVVDAYVYKATSDNLNGKVVVMSSGRSDAISKARPFLSAMCEKLYIFEGETGAGSKIKLVTELLEGIHLMAAVEAISLGVHAGIHPWIIYDIISNAAGNSWVFKNYIPQLLRGSVKCHFLNPFILNLGIVLDMAKSLTFPLPLLATAHQQLVLGSSHGNGDDNTPLVQIWDQVYGVNTADAANTELYSPEQLASQIIAKSKTVNRVGFIGLGAMGFGMATHLVKSNFCVLGYDVYRPTLIRFESAGGLIGTSPADVSKDVDVLVVMVTNEAQAESVLYGDLGAVSALPSGASIILSSTVSPAFVSQLERRLQNEGKDLKLVDAPVSGGVKRASMGELTIMAAGSDDALKSSGLVLSVPHMLDNDYTPYSALDIFVKDLGIVARECSTRKVPLHISTMAHQLFLAGSAAGWGRQDDAGVVKVYETLTGVKVEGKLPALKKEVVLQSIPPEWPVDPINDIHRLNQKNSKTLVVLDDDPTGTQTVHDVEVLTEWSVESLVEQFRKKPICFFILTNSRSLSSEKATALIKDICSSLLTAAKSVGNIDYTVVLRGDSTLRGHFPEEPDAAVSVIGQVDAWILCPFFLQGGRYTIEDIHYVADSDWLVPAGDTEFAKDAAFGYKSSNLREWVEEKTAGRIPASSVASISIQLLRQGGPDAVCEHLCSLEKGSTCIVNAVSERDMAVFAAGMIQAELKGKSFLCRSAASFVSARIGIIPKARILPKDLGKKKERSGGLIVVGSYVPKTTKQVEELQSQYGHMLKSIEVSVHKVAMKSLEEREEEINRTAEMASVFLAAHKDTLIMSSRELITGKTASESLEINFKVSSALVEVVRRITTRPCYILAKGGITSSDLATKALEAKRAKVVGQALAGIPLWELGSESRHPGVPYIVFPGKFLVLFHLFQQILLKAKL >EOY21356 pep chromosome:Theobroma_cacao_20110822:3:7065007:7071701:-1 gene:TCM_012876 transcript:EOY21356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketose-bisphosphate aldolase class-II family protein isoform 5 MAVFAAGMIQAELKGKSFLCRSAASFVSARIGIIPKARILPKDLGKKKERSGGLIVVGSYVPKTTKQVEELQSQYGHMLKSIEVSVHKVAMKSLEEREEEINRTAEMASVFLAAHKDTLIMSSRELITGKTASESLEINFKVSSALVEVVRRITTRPCYILAKGGITSSDLATKALEAKRAKVVGQALAGIPLWELGSESRHPGVPYIVFPGNVGDSKALAEVVRSWAHPLRLSSTKEILLNAESGGYAVGAFNVYNMEGVEAVVAAAEQERSPAILQVHPGAFKQGGITLVACCISAAEQASVPITVHFDHGTSKKELLDSLELGFDSIMADGSHLPFKDNISYTKHISNLAHSKDMLVEAELGRLSGTEDDLTVEDYEARLTDVNQVTVFQFPVFRRNNILINPALDKPSVAEVLSLTFLFVQDLYALSSKKGVFLVLHGASGLSKELVKGCIERGVRKFNVNTEVRKAYMDSLRNPKGDLVHVMASAKEAMKAVIAEKMHLFGSAGKA >EOY24119 pep chromosome:Theobroma_cacao_20110822:3:29686826:29688882:1 gene:TCM_015804 transcript:EOY24119 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, delta/epsilon subunit MFRQASRLLARTTTATTWRRSRAFSTDLPATPTEDSTFIESWKKVIPNMDPPKTPSSFMTPRPATPSSIPSKITVNFVLPYASELSTKEVDMVIVPATTGQMGVLPGHVPTIAELKPGILSVHEGNDVTKYFLSSGFAFIHANSVADIIAVEAVPLDCVDPALVQKGLAEFTQRLGTATSDLEKAEAQIGVDVHSALNSALTG >EOY23827 pep chromosome:Theobroma_cacao_20110822:3:28703104:28704874:1 gene:TCM_015600 transcript:EOY23827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brassinosteroid-responsive RING-H2, putative MKMKKCIAPTIFLLNLVNYFKFLFKEAFTHLGLLKPQPELEEDYYSATYGNAYVLLMDGRSGSLVPVPIQVVTAMIKKNLPVLEYGTFIGRFGDDEQVENKTVCTVCLDSMEKSDEIRVLCKCCHVFHKECLDTWVNEGQVTCPLCRSTLYPDRIDWTGKLGS >EOY24501 pep chromosome:Theobroma_cacao_20110822:3:31224423:31226866:1 gene:TCM_016087 transcript:EOY24501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSSAGPLNIYKNDYEIELQMRQIQQEKGDCLTQGHISILPERVHLDLQQNDFTEMVGIWEQWRLAHRDNFQNKYGHIAWLLYVPVDDQMLRAIVQFWDPSYRCFVFNKVDMTPTIEEYSSLLRIDHMQPDKIYWRAQKTVHRRKLAKLLGMTTVEVDQHLKKKGDTECLPWSFLNGYIKKHMEDEQGLLAFAMAIYGLVVFPKVLGHVEVSVIDFFDQLYLSASCPIRELCESEWPDYKRKEEWVARLRRLMSIEVTWRAPWMPRMQVMYKCGDKSWVPLMGPWGAISYAPIMVRRQFGSEQFVPMTHQLDQLEFTYGEPETLKKIEEIAQDWKKTCRVDQGRVTDEVTTGYHTWHDQRVKNVIHPPKNPSKHPVNPEPQDVLLESELTRKRLEKEMMNMKRRHEDELEEVKKETARKVRVALKEQDEWQSKFEEVSVANSSLLARIQELQSANNALQHEDELSERLINHLKMVRDQYDKVGFSF >EOY24203 pep chromosome:Theobroma_cacao_20110822:3:30077506:30079660:-1 gene:TCM_015873 transcript:EOY24203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin subfamily A member 6-like protein 4, putative MDKLREFGRKALFYVRVLSGYEERRIRNYRLQLERRLLQAQERKAAIRKIPEQAILAEVRRMVDEMQTLNRKLEETEAAIEEYFKPIDKEAEMLMKMQLEGEEKTMKEMVAAMQQQALLEKAEAEKIANTQQQALLEKAEAEKIANTHQPDTNKSNQDATSSSSQHAQMR >EOY22236 pep chromosome:Theobroma_cacao_20110822:3:22552954:22557141:-1 gene:TCM_014458 transcript:EOY22236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase 1 isoform 1 MALPTQTNLLQKPFLSPPSLSQPSAFSAPGPIPFRKFRPASIQCSVKAAPSVTVTASKECKVKSVKARQIIDSRGNPTIEVDLITDDRYRSAVPSGASTGIYEALELRDGDKSVYGGKGVLFAVKNINEVLGPKLIGVDVRNQADVDAVMLEIDGTPNKSKLGANAILGVSLSVCRAGAGAKGMPLYKHIQELSGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGATSFAEALRMGSEVYHTLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIQKAGYTGKIKIGMDVAASEFFTKDGKYDLNFKKQPNDGAYVRSAQSLGQLYKEFVKDFPIVSIEDPFDQDDWSSWSSLQSSVDIQLVGDDLLVTNPKRIAEAIQKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRSP >EOY22237 pep chromosome:Theobroma_cacao_20110822:3:22552614:22557281:-1 gene:TCM_014458 transcript:EOY22237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase 1 isoform 1 MALPTQTNLLQKPFLSPPSLSQPSAFSAPGPIPFRKFRPASIQCSVKAAPSVTVTASKECKVKSVKARQIIDSRGNPTIEVDLITDDRYRSAVPSGASTGIYEALELRDGDKSVYGGKGVLFAVKNINEVLGPKLIGVDVRNQADVDAVMLEIDGTPNKSKLGANAILGVSLSVCRAGAGAKGMPLYKHIQELSGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGATSFAEALRMGSEVYHTLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIQKAGYTGKIKIGMDVAASEFFTKDGKYDLNFKKQPNDGAYVRSAQSLGQLYKEFVKDFPIVSIEDPFDQDDWSSWSSLQSSVDIQLVGDDLLVTNPKRIAEAIQKKACNALLLKVNIYASTIQSFLGIFS >EOY23535 pep chromosome:Theobroma_cacao_20110822:3:27774917:27778256:1 gene:TCM_015403 transcript:EOY23535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3-related protein, putative MEELKVKTQDKPASTREIFCLSGPLHLTAVDWKNFHHRRSVAASLVQGVYVLERDRQQNRQGPQAHALPWWDFFDFQLIRLLVDDVDSSIFGAVYQFKPFAFNNKHSAQNAPNYVIAFRGTINKSNSRSRDLKLDLLCIRNRLHESSRFQLAMQAVESVIAVAGTSSIWLAGHSLGSAVSLLAGKNMTKMGYSVETYLFNPPFFSVPVERIKNEKLKHGIRLTSSIVKAGLTVAVKGRHQRRQQDNTFLLLSAWKPYLFVNPADHICSGYIGYFEHRKKMEEIGAGKIERIATQNSIGSLLSVSTKSDSGPLHLLPSAYLTINLSQSPDFKRAHGIHQWWDPSFDCRSELHEYR >EOY24200 pep chromosome:Theobroma_cacao_20110822:3:30059282:30063940:-1 gene:TCM_015870 transcript:EOY24200 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor, putative isoform 2 MGKQGPCYHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLANYTPLHARVEPDDYEDHRASRVKSISINKNKEIKLLKRKPNHDTAVVAPDYNQGFRKFVDEDTSNRSSSGSAISNSESCAQFGSGDASDLTGPAQSNVWDSMVPSKKRTCVNRPKPSPVEKLTKDLYTILHEQSSYFSGSSEEDLLLESETPMVSVEIGHGSVLIRHPSSIAREEESEASSLSVENKQYSMNEAYSHSSSFPTHNDSEGIKFSGHGFEKAKNPAGQGMQHEQLKRDKVQHEKSLILESHKSPLCNIDLNDILNFEEFVKHITNEDQQLLLKYLPPLDIVKLPDSLKSMFDSPQFKENLCYFQQLLEEGVFNISVPGVKAEDCKTLKRLALFNLTKSHWVERHNVLKV >EOY24199 pep chromosome:Theobroma_cacao_20110822:3:30058494:30063779:-1 gene:TCM_015870 transcript:EOY24199 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor, putative isoform 2 MGKQGPCYHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLANYTPLHARVEPDDYEDHRASRVKSISINKNKEIKLLKRKPNHDTAVVAPDYNQGFRKFVDEDTSNRSSSGSAISNSESCAQFGSGDASDLTGPAQSNVWDSMVPSKKRTCVNRPKPSPVEKLTKDLYTILHEQSSYFSGSSEEDLLLESETPMVSVEIGHGSVLIRHPSSIAREEESEASSLSVENKQYSMNEAYSHSSSFPTHNDSEGIKFSGHGFEKAKNPAGQGMQHEQLKRDKVQHEKSLILESHKSPLCNIDLNDILNFEEFVKHITNEDQQLLLKYLPPLDIVKLPDSLKSMFDSPQFKENLCYFQQLLEEGVFNISVPGVKAEDCKTLKRLALFNLTKSHWVERHNVLKKYRSGTGGSAIARGPNAITSNNMIIMKRSRDSQSQNFPEARTLKSPKRVIMKTTYENKELIDNDGSCFSPRSLFALPPDGSSLMLDSLHFVDESSDHDLLLDVPSNGSFPQAELLHPTLSFGQQASTSSSSAHPHLVHP >EOY22029 pep chromosome:Theobroma_cacao_20110822:3:20539032:20544681:-1 gene:TCM_014189 transcript:EOY22029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWAIKMKAYLKAFDLWEVVELGGDLPTRQANPTIAQMKQYNEEVAKRFKALSCIHSAITDAIFVRIMACESAKEAWDKIKEEFHEKDTIWLIDTACSTHITSKIKNFLDLSKAYKSTVEIGDGNLLKIEGRGNVGITTKKAMLVVRGFSQVHGVDFFETFAPVARHDTIRLLPEGFVEKGKEDKVYKLIKALYGLKQAPRAWYEKIDGYLRSNKFFRNESEPTLYVKNSLEYVAAASTTNQALWLRKVLLDLKFEQIKPTVLWLDNQSAIALAKNSINHSRTKHIKVKFHVIREAVKNNEVIVNYYGTDDQITDIFTKGFCREKFELLKSKLGMSNVDFKEGKAPEVATVIPDEVTVNVAIAPEEASEPLPVWDNDGKDGMVSNHGMSGFDDATQVQLMVMELSTVEAKLDCKRI >EOY22671 pep chromosome:Theobroma_cacao_20110822:3:24419820:24422536:-1 gene:TCM_014774 transcript:EOY22671 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL07K02.6 protein MGRGSESESESDSNGWSRARGLVVKTLVLIGGAILLKRLTKSTTRWDHARIVARSLSGEKFSREQASRDPDNYFNIRALTCPAAEMVDGSKVLYFEQAFWRTPQKPFRQRFYMVKPCPKDLKCDVEVSSYAIRDVEEYKNFCDRPRDQRPLPEEVIGDIAEHLTTIYLKRCERGKRCLYEGSTPPGGFPNSWNGATYCTSELSILKNNEIHTWDRGYDDDGNQVWGVKEGPYEFKPAPASSFNGMFSPLNFPPSEPMEKRIEGSFVLQE >EOY22069 pep chromosome:Theobroma_cacao_20110822:3:21200910:21201692:1 gene:TCM_014261 transcript:EOY22069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIKESCYCNFHNEINLLCWNQNVCLPAHCRYPNPSSWLQSLQALPSQYNQLSQSPPRPPWRTLAPSHPHPFVRPLPLQTLPSLEPRTCMHCHQTLSSTASSQHTTRFLPGKQRSSGWRRSCNAQGW >EOY23969 pep chromosome:Theobroma_cacao_20110822:3:29147516:29152589:1 gene:TCM_015693 transcript:EOY23969 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI-anchored wall transfer protein isoform 4 MDSLPRSFNANKHLKEQFVSNLTGSSMLEISALLTTVPILVLLRQSICFQALTDGDTKETSLKKNDTAIVAFKNLKAYLATLVMDFVFIVLPTLLLFTVLAEWIYVWMILLSLLLIFVIAGKRSPHSPYLEGPKSFRMSISSYRVAMMFVTCLCILAVDFRIFPREFAKTETYGTSLMDLGVGSFVLVNSIVSRQARNVSSSMDWWKAALKSTSPLLLLGFARLVSTMSVDYQVHVGEYGVHWNFFFTLAGVSILTSTVNVPSKYSGILGSVILVGYQSWLSSGLNVYLLSNKRGMDIISRNKEGIFSIFGYWGMYLIGVQVGYYLFFGNHSSVMLRSNNGTRIRVWLLSILFWILTVLLDRHVERISRRMCNLPYVTWVLAQNLQKW >EOY23968 pep chromosome:Theobroma_cacao_20110822:3:29147652:29151533:1 gene:TCM_015693 transcript:EOY23968 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI-anchored wall transfer protein isoform 4 MDSLPRSFNANKHLKEQFVSNLTGSSMLEISALLTTVPILVLLRQSICFQALTDGDTKETSLKKNDTAIVAFKNLKAYLATLVMDFVFIVLPTLLLFTVLAEWIYVWMILLSLLLIFVIAGKRSPHSPYLEGPKSFRMSISSYRVAMMFVTCLCILAVDFRIFPREFAKTETYGTSLMDLGVGSFVLVNSIVSRQARNVSSSMDWWKAALKSTSPLLLLGFARLVSTMSVDYQVHVGEYGVHWNFFFTLAGVSILTSTVNVPSKYSGILGSVILVGYQSWLSSGLNVYLLSNKRGMDIISRNKEGIFSIFGYWGMYLIGVQVGYYLFFGNHSSVMLRSNNGTRIRVWLLSILFWILTVLLDRHVERISRRMCNLPYVTWVLAQNLQKW >EOY23967 pep chromosome:Theobroma_cacao_20110822:3:29147438:29153176:1 gene:TCM_015693 transcript:EOY23967 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI-anchored wall transfer protein isoform 4 MDSLPRSFNANKHLKEQFVSNLTGSSMLEISALLTTVPILVLLRQSICFQALTDGDTKETSLKKNDTAIVAFKNLKAYLATLVMDFVFIVLPTLLLFTVLAEWIYVWMILLSLLLIFVIAGKRSPHSPYLEGPKSFRMSISSYRVAMMFVTCLCILAVDFRIFPREFAKTETYGTSLMDLGVGSFVLVNSIVSRQARNVSSSMDWWKAALKSTSPLLLLGFARLVSTMSVDYQVHVGEYGVHWNFFFTLAGVSILTSTVNVPSKYSGILGSVILVGYQSWLSSGLNVYLLSNKRGMDIISRNKEGIFSIFGYWGMYLIGVQVGYYLFFGNHSSVMLRSNNGTRIRVWLLSILFWILTVLLDRHVERISRRMCNLPYVTWVLAQNLQESQNQVSTMTWIEIFQSKLSTFVLHRSLKRRTSLFRNLLPKLLAILMLSDYVPGSKMSALEKAFDRNLLASFLLANVLTGLVNLFVDTLFASSVSALLILISYALTLSVVMGIVDFYGVRLKFW >EOY23972 pep chromosome:Theobroma_cacao_20110822:3:29147564:29152284:1 gene:TCM_015693 transcript:EOY23972 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI-anchored wall transfer protein isoform 4 MILLSLLLIFVIAGKRSPHSPYLEGPKSFRMSISSYRVAMMFVTCLCILAVDFRIFPREFAKTETYGTSLMDLGVGSFVLVNSIVSRQARNVSSSMDWWKAALKSTSPLLLLGFARLVSTMSVDYQVHVGEYGVHWNFFFTLAGVSILTSTVNVPSKYSGILGSVILVGYQSWLSSGLNVYLLSNKRGMDIISRNKEGIFSIFGYWGMYLIGVQVGYYLFFGNHSSVMLRSNNGTRIRVWLLSILFWILTVLLDRHVERISRRMCNLPYVTWVLAQNLQLLAILMLSDYVPGSKMSALEKAFDRNLLASFLLANVLTGLVNLFVDTLFASSVSALLILISYALTLSVVMGIV >EOY23971 pep chromosome:Theobroma_cacao_20110822:3:29147438:29153176:1 gene:TCM_015693 transcript:EOY23971 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI-anchored wall transfer protein isoform 4 MDSLPRSFNANKHLKEQFVSNLTGSSMLEISALLTTVPILVLLRQSICFQALTDGDTKETSLKKNDTAIVAFKNLKAYLATLVMDFVFIVLPTLLLFTVLAEWIYVWMILLSLLLIFVIAGKRSPHSPYLEGPKSFRMSISSYRVAMMDLGVGSFVLVNSIVSRQARNVSSSMDWWKAALKSTSPLLLLGFARLVSTMSVDYQVHVGEYGVHWNFFFTLAGVSILTSTVNVPSKYSGILGSVILVGYQSWLSSGLNVYLLSNKRGMDIISRNKEGIFSIFGYWGMYLIGVQVGYYLFFGNHSSVMLRSNNGTRIRVWLLSILFWILTVLLDRHVERISRRMCNLPYVTWVLAQNLQLLAILMLSDYVPGSKMSALEKAFDRNLLASFLLANVLTGLVNLFVDTLFASSVSALLILISYALTLSVVMGIVDFYGVRLKFW >EOY23970 pep chromosome:Theobroma_cacao_20110822:3:29147438:29153176:1 gene:TCM_015693 transcript:EOY23970 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI-anchored wall transfer protein isoform 4 MDSLPRSFNANKHLKEQFVSNLTGSSMLEISALLTTVPILVLLRQSICFQALTDGDTKETSLKKNDTAIVAFKNLKAYLATLVMDFVFIVLPTLLLFTVLAEWIYVWMILLSLLLIFVIAGKRSPHSPYLEGPKSFRMSISSYRVAMMFVTCLCILAVDFRIFPREFAKTETYGTSLMDLGVGSFVLVNSIVSRQARNVSSSMDWWKAALKSTSPLLLLGFARLVSTMSVDYQVHVGEYGVHWNFFFTLAGVSILTSTVNVPSKYSGILGSVILVGYQSWLSSGLNVYLLSNKRGMDIISRNKEGIFSIFGYWGMYLIGVQVGYYLFFGNHSSVMLRSNNGTRIRVWLLSILFWILTVLLDRHVERISRRMCNLPYVTWVLAQNLQLLAILMLSDYVPGSKMSALEKAFDRNLLASFLLANVLTGLVNLFVDTLFASSVSALLILISYALTLSVVMGIVDFYGVRLKFW >EOY21859 pep chromosome:Theobroma_cacao_20110822:3:19183346:19186796:1 gene:TCM_014006 transcript:EOY21859 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein with retrovirus zinc finger-like domain isoform 2 MPEEVEYRCFIGNLSWSTSDRGLKDAFEKFGNLLEAKVVVDKFSGRSRGFGFVTFDDKTAMEEAIEAMNGMDLDGRNITVDRAQPHQGSGRDYDGDRNRDRVRDRDRNRSYDGGRGSNGGECFKCGKPGHFARECPSEGARGGKYGGRGDRYGGGGGGGSRYGPDRNGDRFGGRSRDAGGRGGPGGDRHNRDRSGPYERRGTGGQRSG >EOY21860 pep chromosome:Theobroma_cacao_20110822:3:19183328:19186522:1 gene:TCM_014006 transcript:EOY21860 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein with retrovirus zinc finger-like domain isoform 2 MPEEVEYRCFIGNLSWSTSDRGLKDAFEKFGNLLEAKVVVDKFSGRSRGFGFVTFDDKTAMEEAIEAMNGMDLDGRNITVDRAQPHQGSGRDYDGDRNRDRVRDRDRNRSYDGGRGSNGGECFKCGKPGHFARECPSEGARGGKYGGRGDRYGGGGGGGGGGGGGGSRYGPDRNGDRFGGRSRDAGGRGGPGGDRHNRDRSGPYERRGTGGQRSG >EOY21307 pep chromosome:Theobroma_cacao_20110822:3:6061934:6064995:1 gene:TCM_012789 transcript:EOY21307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein isoform 2 MAGQPEIFELNNGTMQVKITNYGCTITSLSVPDKNGQLADVVLGFDSLEPYVQGASPFFGCIVGRVANRIKEGKFTLNGVEYSLPINNPPNSLHGGFKGFDKKIWEVVEYKKGENPSITFKYHSADGEEGYPGDVSVTATYTLTSSTTMKLEMEAVPENKATPINLAQHTYWNLAGHNSGNILDHSIQIWASHITPVDQNTIPTGEIMPVKGTPFDFTAEKKVGTSIHEVGLGYDHNYVLGGGEEKLGLKHAAKVKDPSSARVLNLWTSAPGVQFYTANYVNRVVGKGGAVYNKHSGLCLETQGFPNAINQPNFPSVVVQPGNKYQHTMLFEFSVE >EOY21308 pep chromosome:Theobroma_cacao_20110822:3:6062257:6064962:1 gene:TCM_012789 transcript:EOY21308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein isoform 2 LGFDSLEPYVVNSGGFKGFDKKIWEVVEYKKGENPSITFKYHSADGEEGYPGDVSVTATYTLTSSTTMKLEMEAVPENKATPINLAQHTYWNLAGHNSGNILDHSIQIWASHITPVDQNTIPTGEIMPVKGTPFDFTAEKKVGTSIHEVGLGYDHNYVLGGGEEKLGLKHAAKVKDPSSARVLNLWTSAPGVQFYTANYVNRVVGKGGAVYNKHSGLCLETQGFPNAINQPNFPSVVVQPGNKYQHTMLFEFSVE >EOY21605 pep chromosome:Theobroma_cacao_20110822:3:13845111:13849869:1 gene:TCM_013517 transcript:EOY21605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase AtPK2/AtPK19 isoform 2 MISTSSSQKKNLQSLLATNLKKLTITPPPPPNNDFDFAEVFGPLTPQHHQPNPTQSPSSTSSPSAFLGDPQVIHTRSHSYVGPSPRYTLSSCLPFQIQEIQETETENEAEAEEEEAKTKFGPEDFEIMRVVGKGAFGKVFLVRRNGRNDEEGDGDGIFAMKVMRKDTILKKNHVDYMKAERNILTKVVHPFIVQLRYSFQTKSKLYLILDFVNGGHLFFHLYRQGIFCEDQARFYTAEIVSAVSHLHKCGIVHRDLKPENILVDADGHVMLTDFGLAKEIDESGRSNSMCGTTEYMAPEILQSKGHNKDADWWSIGILLYEMLTGQPPFTHANRQKLQQRIIQEKVKLPPFLSTEAHSLLKGLLQKEPSRRLGSGPSGGDEIKKHKWFRSINWKKLEAREIQPKFKPDVSGKDCTANFDQCWTTMPADDSPASTPTADF >EOY21606 pep chromosome:Theobroma_cacao_20110822:3:13845111:13849869:1 gene:TCM_013517 transcript:EOY21606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase AtPK2/AtPK19 isoform 2 MISTSSSQKKNLQSLLATNLKKLTITPPPPPNNDFDFAEVFGPLTPQHHQPNPTQSPSSTSSPSAFLGDPQVIHTRSHSYVGPSPRYTLSSCLPFQIQEIQETETENEAEAEEEEAKTKFGPEDFEIMRVVGKGAFGKVFLVRRNGRNDEEGDGDGIFAMKVMRKDTILKKNHVDYMKAERNILTKVVHPFIVQLRYSFQVMLTDFGLAKEIDESGRSNSMCGTTEYMAPEILQSKGHNKDADWWSIGILLYEMLTGQPPFTHANRQKLQQRIIQEKVKLPPFLSTEAHSLLKGLLQKEPSRRLGSGPSGGDEIKKHKWFRSINWKKLEAREIQPKFKPDVSGKDCTANFDQCWTTMPADDSPASTPTADF >EOY22985 pep chromosome:Theobroma_cacao_20110822:3:25651687:25655189:-1 gene:TCM_015005 transcript:EOY22985 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-amino-cyclopropane-1-carboxylate synthase 12 isoform 2 MRLIVPLQGVVQGRGGLIFGSLIPCALFYFLQLYLKRHRSPNSQSSPSSPSTSSSNLAEMTRTSSRSNLLSRGSIGPVRISSRASSIAKPNDSPYYVGLDKASEDPYDRIGNPDGVIQLGLSENRLCFDLIEKWMLENLRDSIMGREGGDLSISGIATYQPFDGTMELKLAMAGFMSRVMGGAVSFEPLQMVLTAGATPAIETLCFCLADHGNAFLVPTPYYPGFDRDVKWRTGVELIPVHCRSTDNFMLTISALDQAFNQTRKRGTKIRGILLSNPANPVGNLLSREMLYELLDFAREKNIHIISDEIFAGSMYGKEEFVSMAEVLDSEDSDKSRVHIVYGLSKDLSLPGFRVGMIYSFNENVLAAARKLTRFSSISAPTQRLLVSMLSDTRFIEEYIETNKKRIQNMHDLFVAGLQELGINCADSSAGLYCWADMSKLTPTYSEKGELELWDMLLNVAKINVTPGSACHCIEPGWFRCCFTALAPEDIPVVMGRIREVAEICTQSS >EOY22986 pep chromosome:Theobroma_cacao_20110822:3:25650477:25655199:-1 gene:TCM_015005 transcript:EOY22986 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-amino-cyclopropane-1-carboxylate synthase 12 isoform 2 MRLIVPLQGVVQGRGGLIFGSLIPCALFYFLQLYLKRHRSPNSQSSPSSPSTSSSNLAEMTRTSSRSNLLSRGSIGPVRISSRASSIAKPNDSPYYVGLDKASEDPYDRIGNPDGVIQLGLSENRLCFDLIEKWMLENLRDSIMGREGGDLSISGIATYQPFDGTMELKLAMAGFMSRVMGGAVSFEPLQMVLTAGATPAIETLCFCLADHGNAFLVPTPYYPGFDRDVKWRTGVELIPVHCRSTDNFMLTISALDQAFNQTRKRGTKIRGILLSNPANPVGNLLSREMLYELLDFAREKNIHIISDEIFAGSMYGKEEFVSMAEVLDSEDSDKSRVHIVYGLSKDLSLPGFRVGMIYSFNENVLAAARKLTRFSSISAPTQRLLVSMLSDTRFIEEYIETNKKRIQNMHDLFVAGLQELGINCADSSAGLYCWADMSKLTPTYSEKGELELWDMLLNVAKINVTPGSACHCIEPGWFRCCFTALAPEDIPVVMGRIREVAEICTQSS >EOY22984 pep chromosome:Theobroma_cacao_20110822:3:25651687:25655199:-1 gene:TCM_015005 transcript:EOY22984 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-amino-cyclopropane-1-carboxylate synthase 12 isoform 2 MRLIVPLQGVVQGRGGLIFGSLIPCALFYFLQLYLKRHRSPNSQSSPSSPSTSSSNLAEMTRTSSRSNLLSRGSIGPVRISSRASSIAKPNDSPYYVGLDKASEDPYDRIGNPDGVIQLGLSENRLCFDLIEKWMLENLRDSIMGREGGDLSISGIATYQPFDGTMELKLAMAGFMSRVMGGAVSFEPLQMVLTAGATPAIETLCFCLADHGNAFLVPTPYYPGFDRDVKWRTGVELIPVHCRSTDNFMLTISALDQAFNQTRKRGTKIRGILLSNPANPVGNLLSREMLYELLDFAREKNIHIISDEIFAGSMYGKEEFVSMAEVLDSEDSDKSRVHIVYGLSKDLSLPGFRVGMIYSFNENVLAAARKLTRFSSISAPTQRLLVSMLSDTRFIEEYIETNKKRIQNMHDLFVAGLQELGINCADSSAGLYCWADMSKLTPTYSEKGELELWDMLLNVAKINVTPGSACHCIEPGWFRCCFTALAPEDIPVVMGRIREVAEICTQSS >EOY22983 pep chromosome:Theobroma_cacao_20110822:3:25650538:25655292:-1 gene:TCM_015005 transcript:EOY22983 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-amino-cyclopropane-1-carboxylate synthase 12 isoform 2 MTLTRSIQHRNPKPEVQSRKPSGATAMRLIVPLQGVVQGRGGLIFGSLIPCALFYFLQLYLKRHRSPNSQSSPSSPSTSSSNLAEMTRTSSRSNLLSRGSIGPVRISSRASSIAKPNDSPYYVGLDKASEDPYDRIGNPDGVIQLGLSENRLCFDLIEKWMLENLRDSIMGREGGDLSISGIATYQPFDGTMELKLAMAGFMSRVMGGAVSFEPLQMVLTAGATPAIETLCFCLADHGNAFLVPTPYYPGFDRDVKWRTGVELIPVHCRSTDNFMLTISALDQAFNQTRKRGTKIRGILLSNPANPVGNLLSREMLYELLDFAREKNIHIISDEIFAGSMYGKEEFVSMAEVLDSEDSDKSRVHIVYGLSKDLSLPGFRVGMIYSFNENVLAAARKLTRFSSISAPTQRLLVSMLSDTRFIEEYIETNKKRIQNMHDLFVAGLQELGINCADSSAGLYCWADMSKLTPTYSEKGELELWDMLLNVAKINVTPGSACHCIEPGWFRCCFTALAPEDIPVVMGRIREVAEICTQSS >EOY24360 pep chromosome:Theobroma_cacao_20110822:3:30661867:30663381:1 gene:TCM_015983 transcript:EOY24360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAASFVVRSFSSNIPVSDPDEELCSINTCGGSMNCTVEFNIGHGASDQTVEHPWQLEGPKGTYQAKKVEEGLFVRVDMAGISKSEVQLNLEGR >EOY24253 pep chromosome:Theobroma_cacao_20110822:3:30300829:30303669:1 gene:TCM_015915 transcript:EOY24253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MVVQYLAATLKQQLDASSFSFLSKVALLVIRQSPSLGFDALCKKKDGNALAAICDGCEKPISGPCYGCCTYCEFYLHYECARLPRQIEHFFHPRPLVLKLYYYTCNACFMPSSGFSYSCVTCHFNMHVDYVSRPTIKSESEGLIQHFTHWHPLTLVDPKNKQEEDQKVCCAICEKLCSDSAYGCQQCSFFLHNSCMTTVPRKINHFFHRCPLILLTYPSYACGGCEKSLSGLTYSCGKCRFKLDVKCGLLPTIESKGADMIQHCTHPHPLALLDQNKDIGSEVRGRCRACGEDCLYPSFRCNGSCDFFLHASCAELPQEIHHPFHLWHPLNLTFFWPQQQHNRSCFARSQRHDAFLLAYCCFWCDFTLHKDCAQFTPPFNYGNYLHALTLCDKRPSPFDCNVCGKKAKKFFLRCAVCGFNIHLYCLPSVPKTIRHKCHIDSLTLTKSPLEFELNSPEVANNSDDKFYCDVCDEREIEGIQFIIVQNANLVLRLAVSSLS >EOY23646 pep chromosome:Theobroma_cacao_20110822:3:28107311:28117610:1 gene:TCM_015470 transcript:EOY23646 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Secretory pathway Sec39 (InterPro:IPR013244); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G24350) TAIR;Acc:AT5G24350] MYKILIGNSKHQAALDFADRHGLDRDEVLKSQWLCSGQGINDINTFLSNIEDKVFVLSECVDKVGPTEEAVKALLAYGLQLTNQYKFSESNNQECGEIWDFLVARLQLLQFSDRLETFLGINMGRFSMQEYKKFRVMPMNEAAVTLAENGKIGALNLLFKCHPYSLAFFMLDILASIPETIPVQTYVQLLPGRSPSASVALREEDWVECDKMVSFINKLPENHEIGTQIRTEPVVKRLLGSFWPSTDELAVWYKHRAREIDSCSGLLDNCLCLVGFACQKGIYELKQFHEDISYLHQLVYADESDGDLSTSISLVAWGQLSDYEKFRTMLHGCKEENVVESLRNKAIPFMRKRSHSVTLGTQEQVADGHSLENHTMGESFLVRWLKEISLANKLDVCLMVIEEGCKELQSSGFFKDEVEVVDCALQCVYLFTVADRWSTMAAILSKLPHKQDSEICIGNLDQRCKVAEGHIEAGRLLAFYQVPKPMNFFLEAHSDEKGVKQIIRLILSKYVRRQPGRSDNEWANMWRDMLCLQEKAFPFLDLEYMLIEFCRGLLKAGKFSLARSYLKGTSSVALATEKAENLVVQAAREYFFSASSLHSSEIWKAKECLNLCPSSRNVKAEADIIDALTVKLPNLGVTLLPMQFRQIKDPMEIIKMAITSQAGAYLHVDELIEVAKLLGLSSLEEISAVEEAIAREAAVAGDLQLAFDLCLVLAKKGHGLVWDLCAAIARGPSLENMDISSRKQLLGFALSHCDEESIGELLHAWKDLDMQGQCETLMTMTGSNSPNFSVQGSSVISLPGYSIQDIVDLKNSSELVEGFNSVDQEIHFNSIKNTLSLVAKNLPVENGANWELLLQGNGKILTFAAIQLPWLLELTRKAEHGKNFTSGLIPGKQYVSVRTQAVITILSWLARNGFAPRDDLIASLAKSIIEPPVTEEEDVIGCSFLLNLVDAFSGVEVIEEQLRTRENYQETCSIMNVGMTYSILHNTGVDCEGPSQRRELLLRKFKERNKPLNSDDINKIDEVHSSFWRDWKLKLEEKKRVADHSRLLEQIIPGVETARFLSGDVSYVESVVFSLIESLKLEKKHILKDLLKLANTYGLNRAEVILRYLTSILVSEIWTNNDITAEISEIKGEILGYAAETIKTISLIVYPAVDGCNKQRLAYIYSLLSDCYKQLEQSKEPLPMILVDQPHAFAIGLSHYYKVIEEECRRISFVKDLNFKNITGLGGLNLQSFSSEVYAHTDEFSLEALSKMVMTLVSIYSDPVAEGLISWQDVHKHYVLRLLATLKDRVRTEFSTNNPENFQNITSDLEQIYDLSRKHIKLLEPSQALDIMKQYFTEIIPPHGAYENMPDNSTWQDCLIFLLNFWIRLTEEMQEFASSEISVENTRFHPNCLVSCLKVLMRLVMEDSVSPSQGWSTIIGYVNHGLIGDLSAVIFIFCRAMIFSGCGFGAISDVFVEALQHQATTPNAPADTEFQDLPHLYLNVLEPILQDLASGPQEHQKLYLLVSSLSNLEGDLEKLKKVRCAVWERIASFSEDLQLASHVRVYALELMQFITGTTMKGLSSELQLNVHPWVGWDDSLCGSNKTQSTSNEGLPEQTDTSSRFTSTLVALKSSQLMAAISPGIEITSDDLLNVETAVSCFLKLCEVANAAPHFNVLVAILEEWEGLFVIKTEEVASAVFSDAENIWSNDDWDEGWESFQEVEPSEKEKKEDLLLVHPLHECWIEILRSLVKASQFRDVLKLIDQSTTKSGGVLLDEGGARSLNDSVLGVDCFVALKMMLLLPYKGLQLESLSALENKLKQEGTSNMIGSDHEFLMLVLSSGVLSTVINKSSYVTVFSYVCYLVGNFSRQFQEAQLSKLGKKRSNERGNNEGDTLFLFARILFPMFISELVKSEQQVLAGFLVTKFMHTNVSLGLINIAEASLRRYLARQLHVLEHDKFAPEEMGSCETLKYTVSSLRGKLGNSLQSALSLLPRNESNR >EOY23645 pep chromosome:Theobroma_cacao_20110822:3:28105118:28116342:1 gene:TCM_015470 transcript:EOY23645 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Secretory pathway Sec39 (InterPro:IPR013244); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G24350) TAIR;Acc:AT5G24350] MEESVREVLYEARHHASRSFTSNYPPLPLQQSNEADKGGFLSFLSVRGVRQLKERWTGYKNPKKMKKPVSLFISPKGDRVAVAAANQVTILRREDDYQEPCGIFTSSSLVSCTCGAWSESHDILGVIDDADVIYFIKANGEEITKITKRHLKVSSTIIGLIAQDASDVQQSFLCSFTVLTSDGAFHHIEISQEPSASISSTNNSGLALKRQFPQNVFCFDYYPDLSLLVVVGSAVGSSITATGKSGSCYLSLWRKREDLVLEPLASTQFDGLYCEQKDYAGHLAYPKVLISAHGDYIAALDMNGCLHIFELDKESCSVSNFSFGGRANSQVTDKLLNGCSEILIDIVDFTWWSDHILTLAKRRGFVTMLDILSGLTLIEDEPVYSMPVLERVQQFKGYLFLLETLSSDDRFGLSNSNRRTSHTEQTSEDGSNQSDISRLRWSLISFSERSVPEMYKILIGNSKHQAALDFADRHGLDRDEVLKSQWLCSGQGINDINTFLSNIEDKVFVLSECVDKVGPTEEAVKALLAYGLQLTNQYKFSESNNQECGEIWDFLVARLQLLQFSDRLETFLGINMGRFSMQEYKKFRVMPMNEAAVTLAENGKIGALNLLFKCHPYSLAFFMLDILASIPETIPVQTYVQLLPGRSPSASVALREEDWVECDKMVSFINKLPENHEIGTQIRTEPVVKRLLGSFWPSTDELAVWYKHRAREIDSCSGLLDNCLCLVGFACQKGIYELKQFHEDISYLHQLVYADESDGDLSTSISLVAWGQLSDYEKFRTMLHGCKEENVVESLRNKAIPFMRKRSHSVTLGTQEQVADGHSLENHTMGESFLVRWLKEISLANKLDVCLMVIEEGCKELQSSGFFKDEVEVVDCALQCVYLFTVADRWSTMAAILSKLPHKQDSEICIGNLDQRCKVAEGHIEAGRLLAFYQVPKPMNFFLEAHSDEKGVKQIIRLILSKYVRRQPGRSDNEWANMWRDMLCLQEKAFPFLDLEYMLIEFCRGLLKAGKFSLARSYLKGTSSVALATEKAENLVVQAAREYFFSASSLHSSEIWKAKECLNLCPSSRNVKAEADIIDALTVKLPNLGVTLLPMQFRQIKDPMEIIKMAITSQAGAYLHVDELIEVAKLLGLSSLEEISAVEEAIAREAAVAGDLQLAFDLCLVLAKKGHGLVWDLCAAIARGPSLENMDISSRKQLLGFALSHCDEESIGELLHAWKDLDMQGQCETLMTMTGSNSPNFSVQGSSVISLPGYSIQDIVDLKNSSELVEGFNSVDQEIHFNSIKNTLSLVAKNLPVENGANWELLLQGNGKILTFAAIQLPWLLELTRKAEHGKNFTSGLIPGKQYVSVRTQAVITILSWLARNGFAPRDDLIASLAKSIIEPPVTEEEDVIGCSFLLNLVDAFSGVEVIEEQLRTRENYQETCSIMNVGMTYSILHNTGVDCEGPSQRRELLLRKFKERNKPLNSDDINKIDEVHSSFWRDWKLKLEEKKRVADHSRLLEQIIPGVETARFLSGDVSYVESVVFSLIESLKLEKKHILKDLLKLANTYGLNRAEVILRYLTSILVSEIWTNNDITAEISEIKGEILGYAAETIKTISLIVYPAVDGCNKQRLAYIYSLLSDCYKQLEQSKEPLPMILVDQPHAFAIGLSHYYKVIEEECRRISFVKDLNFKNITGLGGLNLQSFSSEVYAHTDEFSLEALSKMVMTLVSIYSDPVAEGLISWQDVHKHYVLRLLATLKDRVRTEFSTNNPENFQNITSDLEQIYDLSRKHIKLLEPSQALDIMKQYFTEIIPPHGAYENMPDNSTWQDCLIFLLNFWIRLTEEMQEFASSEISVENTRFHPNCLVSCLKVLMRLVMEDSVSPSQGWSTIIGYVNHGLIGDLSAVIFIFCRAMIFSGCGFGAISDVFVEALQHQATTPNAPADTEFQDLPHLYLNVLEPILQDLASGPQEHQKLYLLVSSLSNLEGDLEKLKKVRCAVWERIASFSEDLQLASHVRVYALELMQFITGTTMKGLSSELQLNVHPWVGWDDSLCGSNKTQSTSNEGLPEQTDTSSRFTSTLVALKSSQLMAAISPGIEITSDDLLNVETAVSCFLKLCEVANAAPHFNVLVAILEEWEGLFVIKTEEVASAVFSDAENIWSNDDWDEGWESFQEVEPSEKEKKEDLLLVHPLHECWIEILRSLVKASQFRDVLKLIDQSTTKSGGVLLDEGGARSLNDSVLGVDCFVALKMMLLLPYKGLQLESLSALENKLKQEGTSNMIGSDHEFLMLVLSSGVLSTVINKSSYVTVFSYVCYLVGNFSRQFQEAQLSKLGKKRSNERGNNEGDTLFLFARILFPMFISELVKSEQQVLAGFLVTKFMHTNVSLGLINIAEASLRRYLARQLHVLEHDKFAPEEMGSCETLKYTVSSLRGKLGNSLQSALSLLPRNESNR >EOY23644 pep chromosome:Theobroma_cacao_20110822:3:28105118:28118768:1 gene:TCM_015470 transcript:EOY23644 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Secretory pathway Sec39 (InterPro:IPR013244); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G24350) TAIR;Acc:AT5G24350] MEESVREVLYEARHHASRSFTSNYPPLPLQQSNEADKGGFLSFLSVRGVRQLKERWTGYKNPKKMKKPVSLFISPKGDRVAVAAANQVTILRREDDYQEPCGIFTSSSLVSCTCGAWSESHDILGVIDDADVIYFIKANGEEITKITKRHLKVSSTIIGLIAQDASDVQQSFLCSFTVLTSDGAFHHIEISQEPSASISSTNNSGLALKRQFPQNVFCFDYYPDLSLLVVVGSAVGSSITATGKSGSCYLSLWRKREDLVLEPLASTQFDGLYCEQKDYAGHLAYPKVLISAHGDYIAALDMNGCLHIFELDKESCSVSNFSFGGRANSQVTDKLLNGCSEILIDIVDFTWWSDHILTLAKRRGFVTMLDILSGLTLIEDEPVYSMPVLERVQQFKGYLFLLETLSSDDRFGLSNSNRRTSHTEQTSEDGSNQSDISRLRWSLISFSERSVPEMYKILIGNSKHQAALDFADRHGLDRDEVLKSQWLCSGQGINDINTFLSNIEDKVFVLSECVDKVGPTEEAVKALLAYGLQLTNQYKFSESNNQECGEIWDFLVARLQLLQFSDRLETFLGINMGRFSMQEYKKFRVMPMNEAAVTLAENGKIGALNLLFKCHPYSLAFFMLDILASIPETIPVQTYVQLLPGRSPSASVALREEDWVECDKMVSFINKLPENHEIGTQIRTEPVVKRLLGSFWPSTDELAVWYKHRAREIDSCSGLLDNCLCLVGFACQKGIYELKQFHEDISYLHQLVYADESDGDLSTSISLVAWGQLSDYEKFRTMLHGCKEENVVESLRNKAIPFMRKRSHSVTLGTQEQVADGHSLENHTMGESFLVRWLKEISLANKLDVCLMVIEEGCKELQSSGFFKDEVEVVDCALQCVYLFTVADRWSTMAAILSKLPHKQDSEICIGNLDQRCKVAEGHIEAGRLLAFYQVPKPMNFFLEAHSDEKGVKQIIRLILSKYVRRQPGRSDNEWANMWRDMLCLQEKAFPFLDLEYMLIEFCRGLLKAGKFSLARSYLKGTSSVALATEKAENLVVQAAREYFFSASSLHSSEIWKAKECLNLCPSSRNVKAEADIIDALTVKLPNLGVTLLPMQFRQIKDPMEIIKMAITSQAGAYLHVDELIEVAKLLGLSSLEEISAVEEAIAREAAVAGDLQLAFDLCLVLAKKGHGLVWDLCAAIARGPSLENMDISSRKQLLGFALSHCDEESIGELLHAWKDLDMQGQCETLMTMTGSNSPNFSVQGSSVISLPGYSIQDIVDLKNSSELVEGFNSVDQEIHFNSIKNTLSLVAKNLPVENGANWELLLQGNGKILTFAAIQLPWLLELTRKAEHGKNFTSGLIPGKQYVSVRTQAVITILSWLARNGFAPRDDLIASLAKSIIEPPVTEEEDVIGCSFLLNLVDAFSGVEVIEEQLRTRENYQETCSIMNVGMTYSILHNTGVDCEGPSQRRELLLRKFKERNKPLNSDDINKIDEVHSSFWRDWKLKLEEKKRVADHSRLLEQIIPGVETARFLSGDVSYVESVVFSLIESLKLEKKHILKDLLKLANTYGLNRAEVILRYLTSILVSEIWTNNDITAEISEIKGEILGYAAETIKTISLIVYPAVDGCNKQRLAYIYSLLSDCYKQLEQSKEPLPMILVDQPHAFAIGLSHYYKVIEEECRRISFVKDLNFKNITGLGGLNLQSFSSEVYAHTDEFSLEALSKMVMTLVSIYSDPVAEGLISWQDVHKHYVLRLLATLKDRVRTEFSTNNPENFQNITSDLEQIYDLSRKHIKLLEPSQALDIMKQYFTEIIPPHGAYENMPDNSTWQDCLIFLLNFWIRLTEEMQEFASSEISVENTRFHPNCLVSCLKVLMRLVMEDSVSPSQGWSTIIGYVNHGLIGDLSAVIFIFCRAMIFSGCGFGAISDVFVEALQHQATTPNAPADTEFQDLPHLYLNVLEPILQDLASGPQEHQKLYLLVSSLSNLEGDLEKLKKVRCAVWERIASFSEDLQLASHVRVYALELMQFITGTTMKGLSSELQLNVHPWVGWDDSLCGSNKTQSTSNEGLPEQTDTSSRFTSTLVALKSSQLMAAISPGIEITSDDLLNVETAVSCFLKLCEVANAAPHFNVLVAILEEWEGLFVIKTEEVASAVFSDAENIWSNDDWDEGWESFQEVEPSEKEKKEDLLLVHPLHECWIEILRSLVKASQFRDVLKLIDQSTTKSGGVLLDEGGARSLNDSVLGVDCFVALKMMLLLPYKGLQLESLSALENKLKQEGTSNMIGSDHEFLMLVLSSGVLSTVINKSSYVTVFSYVCYLVGNFSRQFQEAQLSKLGKKRSNERGNNEGDTLFLFARILFPMFISELVKSEQQVLAGFLVTKFMHTNVSLGLINIAEASLRRYLARQLHVLEHDKFAPEEMGSCETLKYTVSSLRGKLGNSLQSALSLLPRNESNR >EOY21713 pep chromosome:Theobroma_cacao_20110822:3:17597726:17599754:-1 gene:TCM_013821 transcript:EOY21713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein, putative isoform 1 MAGGRVYPSNTSSAGGSNNLSVLLQSQRVPSSSEPLDPLFIPGSSPSSFLVSGRRSMVSFEDVHRANIANRSFFRTFDQEENGDEDLDEYFHQPEKKRRLSVDQVQFLEKSFEVENKLEPERKVQLAKDLGLQPRQIAIWFQNRRARWKTKQLEKDYEALQASYNSLKADYDNLLKEKDKLKEEVLQLTDKLLVKEKEKGNSELSDVNKLSQEPPQKLVVAETASEGEESKVSVVACKQEDISSAKSDIFDSDSPHYTDGVHSSLLEAADSSYPFEPDQSDLSQDEEDNLSKGLLHPPSYIFPKLEDDEYSDPPASSCNFGFPVEDHAFWSWAY >EOY21714 pep chromosome:Theobroma_cacao_20110822:3:17597874:17599883:-1 gene:TCM_013821 transcript:EOY21714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein, putative isoform 1 MAGGRVYPSNTSSAGGSNNLSVLLQSQRVPSSSEPLDPLFIPGSSPSSFLEPERKVQLAKDLGLQPRQIAIWFQNRRARWKTKQLEKDYEALQASYNSLKADYDNLLKEKDKLKEEVLQLTDKLLVKEKEKGNSELSDVNKLSQEPPQKLVVAETASEGEESKVSVVACKQEDISSAKSDIFDSDSPHYTDGVHSSLLEAADSSYPFEPDQSDLSQDEEDNLSKGLLHPPSYIFPKLEDDEYSDPPASSCNFGFPVEDHAFWSWAY >EOY21712 pep chromosome:Theobroma_cacao_20110822:3:17597969:17599943:-1 gene:TCM_013821 transcript:EOY21712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein, putative isoform 1 MAGGRVYPSNTSSAGGSNNLSVLLQSQRVPSSSEPLDPLFIPGSSPSSFLGRRSMVSFEDVHRANIANRSFFRTFDQEENGDEDLDEYFHQPEKKRRLSVDQVQFLEKSFEVENKLEPERKVQLAKDLGLQPRQIAIWFQNRRARWKTKQLEKDYEALQASYNSLKADYDNLLKEKDKLKEEVLQLTDKLLVKEKEKGNSELSDVNKLSQEPPQKLVVAETASEGEESKVSVVACKQEDISSAKSDIFDSDSPHYTDGVHSSLLEAADSSYPFEPDQSDLSQDEEDNLSKGLLHPPSYIFPKLEDDEYSDPPASSCNFGFPVEDHAFWSWAY >EOY22361 pep chromosome:Theobroma_cacao_20110822:3:23111988:23113395:-1 gene:TCM_014551 transcript:EOY22361 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1-interacting protein 4 family protein, putative MAQERGRPLPKFGEWDVNNPATAEGFTVIFNKARHEKKTRGSAGTIMSPTTDKIENVTSPSPRKTKWFCCVRV >EOY24296 pep chromosome:Theobroma_cacao_20110822:3:30460200:30462763:-1 gene:TCM_047018 transcript:EOY24296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase family protein MAITETVKYGIIGVGMMGREHLINLHHLRIQGVAVVCIADPHVPSQQLALELAQSFDWPLKVFSGHQELLDSGLCDVVVVSSPNMTHYQILMDILNHPKAHHVLVEKPLCTTVADCRKVVNAARKRLDVLVQVGLEYRYMPPVAKLIEIVKGGTLGQVKMVAIREHRFPFLVKVNNWNRFNANTGGTLVEKCCHFFDLMRLFAGANPVRVMASGAVDVNHKDEIYDGKVPDIIDNAYVIVEFDNGARGMLDLCMFAEGSKNEQEISVVGHSGKGEAFVPENIVLYGSRVGGRDGFQTLKAEDERIKYEGLHHGSSYLEHLNFLSAIREKGAKTPAVDLQDGLISVAMGVAAQVSIEKGRFVTIEEVLGARNCEPST >EOY22784 pep chromosome:Theobroma_cacao_20110822:3:24793540:24794624:-1 gene:TCM_014856 transcript:EOY22784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNVVPSGKATQKHSYAIKSELLTVGNVLACFCLVTCSHCPGCISFQLVLLRARLLQTMLWLHSYIRAPDVTLYFSGFTHRRPADI >EOY21793 pep chromosome:Theobroma_cacao_20110822:3:18606000:18613110:1 gene:TCM_013931 transcript:EOY21793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATSDIRGCNGLIFPMSTNIIETAMAVGIRWSKVPGLLLRGSGSTCDYYAYLLESLVSFSTRTLLHGGELRLLCNSPCLNKSFQCLLNDHELVYVAVHIDFLSCHTFWDRA >EOY23147 pep chromosome:Theobroma_cacao_20110822:3:26385926:26399081:1 gene:TCM_015135 transcript:EOY23147 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MMLHRFNLVQLFVNFITRLVKKNRTLVFPVRTCHSVGTGGYFNGGDYDLLFGKYDVIVDNIIDAQFIDVNGRILDRKVIREDLFWAIPGATVTVFAISKTLEQNAIKLLHRWQYIARDLPDEIFKKMKSPHFLVFSFLFAAFFSLSWATSAHTHESFLHCLSLHSEDSSFISKIIYAQNNSSYSSVLEFSMHNLRFSTPTTPKPQVIITPFYESHLQATIYCSKKHGLQVRTRSGGHDFEGISYVSKVSFVIIDLINFRSIDIDVENKVAWVQSGAILGELYYRIAKKSKTLAFPAGVCHTVGVGGYFSGGGYGLLFRKYGLAADNIIDAQFIDVNGRILDRKAMGEDLFWAIRGGGGGSFGIVIAWKLKLVPIPATVTGCIVSKTLEQNAIKLVHRWQYIAHKLPDETYSSVALRRVNSSQDGKKTVLASFRLFFLGGVDELVPLMQERFSELGLVKEDCTEMSWIESILYFGQLRNKSLDVLLDRTFQSPLISPAFKAKSDYVKEPVPEIALEGLWSKLYEEEAKSAGVLFVAYGGEMDEIPETATPFPHRAGNLYKILYAVGWQKEDNNNSQRYVSWIRRVYSYMSSFVSKSPREAYINYRDLDIGTNNKDNTSYAQASVWGHKYFKNNFDKLISVKTMIDPENFFRHEQSIPPLLSRWKKRGN >EOY23169 pep chromosome:Theobroma_cacao_20110822:3:26480790:26488389:-1 gene:TCM_015154 transcript:EOY23169 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH3 isoform 2 MGKQKQQVISRFFAPKPKTPSTPTPPANPSSSPSPPSPPIPSPNVKATVSFSPSKRKLLSTHLTSTPKKPKTTLSPHTHNPVPLQSNPSLHQKFLHKLLEPSPRRPLEPTVELSGSDHKKYTPLEQQVVDLKNKYPDVLLMVEVGYRFRFFGKDAEIAAKVLGIYAHVDRNFLTASVPTFRLNVHVRRLVSAGYKVGVVKQTETAAIKAHGSNRVGPFCRGLSALYTKATLEAAEDVGGKEEGCGGESNYLVCVVEKGLEFSGSVSGSGAVDVRVGIVGVEISTGDVVYGEFDDGVMRSGLEAVVFSLAPAELLVGEPLSKQTEKLLLAYAGPASNVRLEHASCDCFKGGGALAEVMSVYEKMVEDNLASNVNQSLEATEYSHSSIQGVMNMPDLALQALALTIRHLKQFGFERIVCLEASFRSLSSSLEMNLSANTLQQLEILRNNSDGSESGSLLQIMNHTLTIYGSRLLRHWVTHPLCDRTMISARLDAVSEIALSMGCYKVSQSIIEIDGEDSDVTIAQPEFYSVLSSVLTFLGRSPDIQRGITRIFHRTATPAEFIAVIKAILSAGKQLQRLHIDEEHEDNCSKKVRVGIVQSALLKRLILTASSSNVLGNAAKLLSFLNKEAADKGDLTNLIIISNNQFPEVARARKAVQLAKEKLDNLIFLYRKRLGKGNLEFMCVSGTTHLIELPIDANVPSNWVKVNSTKKTIRYHPPEVLTALDQLTLANEELTIICRAAWDSFLREFGEYYSEFQAAVQALAALDCLHSLATLSRNKNYVRPIFVDDNEPVQIQIHSGRHPVLETILQEGFVPNDTTLHADRECCQIVTGPNMGGKSCYIRQVALIAMMAQVGSFVPAASATLHVLDAIYTRMGASDSIQQGRSTFLEELSEASQILHNCTARSLVVIDELGRGTSTHDGVSIAYATLHHLLEQRKCMVLFVTHYPRIADIKVEFPGSVEVYHVSYLTAHNDEVTMDAKSDHEVTYLYKLVPGVSARSFGFKVAQLAQLPSSCISQAIIMATRLEAIESSRVRKKSEERQPETSSSDQELETQENILKSIGSFSSERLENLEEFASAFSDLLLNLKSARTDDDLGKSFQLLKEARSIAKELINR >EOY23170 pep chromosome:Theobroma_cacao_20110822:3:26482220:26489439:-1 gene:TCM_015154 transcript:EOY23170 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH3 isoform 2 MGKQKQQVISRFFAPKPKTPSTPTPPANPSSSPSPPSPPIPSPNVKATVSFSPSKRKLLSTHLTSTPKKPKTTLSPHTHNPVPLQSNPSLHQKFLHKLLEPSPRRPLEPTVELSGSDHKKYTPLEQQVVDLKNKYPDVLLMVEVGYRFRFFGKDAEIAAKVLGIYAHVDRNFLTASVPTFRLNVHVRRLVSAGYKVGVVKQTETAAIKAHGSNRVGPFCRGLSALYTKATLEAAEDVGGKEEGCGGESNYLVCVVEKGLEFSGSVSGSGAVDVRVGIVGVEISTGDVVYGEFDDGVMRSGLEAVVFSLAPAELLVGEPLSKQTEKLLLAYAGPASNVRLEHASCDCFKGGGALAEVMSVYEKMVEDNLASNVNQSLEATEYSHSSIQGVMNMPDLALQALALTIRHLKQFGFERIVCLEASFRSLSSSLEMNLSANTLQQLEILRNNSDGSESGSLLQIMNHTLTIYGSRLLRHWVTHPLCDRTMISARLDAVSEIALSMGCYKVSQSIIEIDGEDSDVTIAQPEFYSVLSSVLTFLGRSPDIQRGITRIFHRTATPAEFIAVIKAILSAGKQLQRLHIDEEHEDNCSKKVRVGIVQSALLKRLILTASSSNVLGNAAKLLSFLNKEAADKGDLTNLIIISNNQFPEVARARKAVQLAKEKLDNLIFLYRKRLGKGNLEFMCVSGTTHLIELPIDANVPSNWVKVNSTKKTIRYHPPEVLTALDQLTLANEELTIICRAAWDSFLREFGEYYSEFQAAVQALAALDCLHSLATLSRNKNYVRPIFVDDNEPVQIQIHSGRHPVLETILQEGFVPNDTTLHADRECCQIVTGPNMGGKSCYIRQVALIAMMAQVGSFVPAAS >EOY25170 pep chromosome:Theobroma_cacao_20110822:3:33472113:33474126:1 gene:TCM_016571 transcript:EOY25170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQDILMLSTRFWKQKICAAHQHDKTLICTLCERYKPRNERYVELGSGGWQVCHDCCSTAVNSAEKLKTLIGKVQALFRESKLVVGKDIPIFLVTADELGKFQNSHEISDAATIIPMRDIVIEAVEKWAVISIPNDASVVKPPRYEDVGEEVREGLCHMMAYKWLEFSKSDVEGCFCLTDEQSEYAKDFRTYLKQKMKEARYRVADIQRFMEAKHEADERGQAAPETIDGIDGFKNVMHAVDKYGLAYTLDFVAHKKTLPK >EOY25141 pep chromosome:Theobroma_cacao_20110822:3:33400190:33401379:-1 gene:TCM_016554 transcript:EOY25141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 93, putative MVGPPCCQDANLKKGPWTGEEDQKLVDYINKHAWTALPKLAGLNRCGKSCGLRWTNYLRPDIKRGKFSDEEESIIVGNKNVLLVPIFLRSYDNEIKNYWNSHIKKKLLNMGIDPKTHKPRTDHILNISQLICAAQLGNLMNPWDTAFKLQDDAAQLAKTQLLQNPLQANAGFLGSQYVYPLVNGRSTLSGKESTRTQKTQSSGSISQTPSN >EOY25332 pep chromosome:Theobroma_cacao_20110822:3:33961011:33965169:1 gene:TCM_016681 transcript:EOY25332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLCTPYCVPAAAMASPTFPDHCFIRYDHHHHHDHKDLRRWRIRTTTAATSASASAYPLFSNQIQLTKDSSSRHKFYQEALKTARDKFTREISIQSKDKDISLAKALLYVAAEDEAFMAFNQEMDTRSLLNERRNVSSPSDTKEWDSVEQMPLGGKTISEWLSELDAIAKEVEAELVSRDIGCHLVEVLEAVNLVLFELRGFKRSPVLVDSKHSYLHSILSSGCGSAILLSIIYIEVCRRLGLTIVGSRVGGDFLIWPQTGYPEELFKVTSGHSLFAIVNGRCVEDPRSMASDLTGTSLLGLEIATNRDIIGIALANLIRLHWKRASRSNHGLMLTSPLRHVHNADEKPNKIDKSNVPLLRPQDLRLAIMASERLLILQPHNWALRRDHGMMLYYNREYGKAVQELSICMAFAPEEEAEILEPFVEKLHLMRLELSWKSLGHAGRLAVP >EOY25333 pep chromosome:Theobroma_cacao_20110822:3:33960909:33965510:1 gene:TCM_016681 transcript:EOY25333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAFNQEMDTRSLLNERRNVSSPSDTKEWDSVEQMPLGGKTISEWLSELDAIAKEVEAELVSRDIGCHLVEVLEAVNLVLFELRGFKRSPVLVDSKHSYLHSILSSGCGSAILLSIIYIEVCRRLGLTIVGSRVGGDFLIWPQTGYPEELFKVTSGHSLFAIVNGRCVEDPRSMASDLTGTSLLGLEIATNRDIIGIALANLIRLHWKRASRSNHGLMLTSPLRHVHNADEKPNKIDKSNVPLLRPQDLRLAIMASERLLILQPHNWALRRDHGMMLYYNREYGKAVQELSICMAFAPEEEAEILEPFVEKLHLMRLELSWKSLGHAGRLAVP >EOY22782 pep chromosome:Theobroma_cacao_20110822:3:24788358:24792152:-1 gene:TCM_014855 transcript:EOY22782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MSKLTLDGVDVMGERLTKEVLDVIQEKPNLRKISFVAHSVGGLVARYAIGRLYRPPKEEDKEDMSGNGCKEEPRGTIGGLEAMNFITVATPHLGSRGNKQVPFLFGVTAFEKAASCVIHWIFRRTGRHLFLTDDDEGKPPLLKWMLEDHDEFYFMSALRIFKRRVLYSNVGYDHIVGWRTSSIRRDSELPKWEESLNETYPHIVYEEHCKACDADRYENISTEDDGSSDKLEGELVRGLSRVSWEKVDVSFHSSRQRFAAHSVIQLNGSPLSLVLSKHGYDNCDYNSSSHEEILCIH >EOY22783 pep chromosome:Theobroma_cacao_20110822:3:24788886:24792188:-1 gene:TCM_014855 transcript:EOY22783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MESADVTNGVCSSESVNGSRDVWSCKDSDSLSADHLVIMLHGILGSSSDWKFGAEQFVKRLPDKVFVHCSERNMSKLTLDGVDVMGERLTKEVLDVIQEKPNLRKISFVAHSVGGLVARYAIGRLYRPPKEEDKEDMSGNGCKEEPRGTIGGLEAMNFITVATPHLGSRGNKQVPFLFGVTAFEKAASCVIHWIFRRTGRHLFLTDDDEGKPPLLKWMLEDHDEFYFMSALRIFKRRVLYSNVGYDHIVGWRTSSIRRDSELPKNTARPVMLIGMKIFQQRMTAHLTS >EOY22781 pep chromosome:Theobroma_cacao_20110822:3:24788795:24791938:-1 gene:TCM_014855 transcript:EOY22781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MESADVTNGVCSSESVNGSRDVWSCKDSDSLSADHLVIMLHGILGSSSDWKFGAEQFVKRLPDKVFVHCSERNMSKLTLDGVDVMGERLTKEVLDVIQEKPNLRKISFVAHSVGGLVARYAIGRLYRPPKEEDKEDMSGNGCKEEPRGTIGGLEAMNFITVATPHLGSRGNKQVPFLFGVTAFEKAASCVIHWIFRRTGRHLFLTDDDEGKPPLLKWMLEDHDEFYFMSALRIFKRRVLYSNVGYDHIVGWRTSSIRRDSELPKWEESLNETYPHIVYEEHCKACDADRYENISTEDDGSSDKLEGELVRGLSRVSWEKVDVSFHSSRQRFAAHSVIQVKDEVLHIEGADVIQHMIDHFLT >EOY24180 pep chromosome:Theobroma_cacao_20110822:3:29994701:29997825:1 gene:TCM_015851 transcript:EOY24180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILLEFIEMFLHLTWELIHRENVADVKAKFIIEAGNHPTDPEADEVLWQLCLPLNFQEVIFYLEHN >EOY24731 pep chromosome:Theobroma_cacao_20110822:3:32060268:32072101:1 gene:TCM_016254 transcript:EOY24731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo-adjacent domain-containing protein MKLQEEDDEEVEERELKKKKRKKKRDEYEEEEEEEVEEEEEGVQEDAKPIGESVRFSGKGRGRRSHYEAFEFDGNRYDLEDPVLLVPEDKEQKPYVAIIKDISQTKDGSIMVTGQWFYRPEEAERKGGGSWQSRDTRELFYSFHRDGVPAESVMHKCVVHFVPIHKQLPNRKQHPGFIVQKVYDTVERKLWKLTDKDYEDNKQHEIDLLVQKTLSRLGDLPDIETDDTAAVIDQEDQLKAKRTLRKKNMSPLDVSRDDEGTTRSDQHLRAETPGSCTSNTTEYYTILSKFKVLTGETHRDKWMERLLQGVQYMCSSPDSMHIDDKGKGGSDSVEREKGTKSSGAANGSLEKTLNGGKSFLWPDAAVPAVTALEKASHDSLSSDFQKYNQKLRQLVFNLKNNPLLARRLLNGELEPSTILNMSPNELKEGLTAEETAKKEPDESERMQMTDARCSRCMEFKVGLRDIIQAGHGDRYQLECIACGNSWYASRDEASSLTIEPSSSVRGARMGASAMTKPEIPEKKLVSPWESSVMTKLEIPEKKLLKGKYHTHIQHSQQAYDELEQHCFFTRHEQKLSSSLSLPWSSCERKQILTLHSHSHFRSKHNLRHRTVNVSMGLEMDSDLEKNCTLGLSPNTVLPSPRQCPNIEKRYPKGKPGHKDDLLRAKEAFTEISFHRYRSASCKNIQSRPDGPEGNAELKRGSIYQSSKEVRKMKKTGSVEGRRKIELSRSSDSSFSFRIVDSLCNSEEESSQERNPVMSGGSNLKSASITKPCLETCSSDDFIEICLSSNKRGKQSVETVGTDSKRDISFGCEPVVSPLDDGNDLVGKDTVSNLHKSHSPKVEVPHSPSSSESDWFSKASSRARFSPIRKMFDPFMKSKSLRSPLGYVAEADNVKTIGMENMRRNRTFRKSLLHDFSHSPQNSELDSQFIKKDSIQSPVASSPVHLHGCLKVGVKQGVPFFEFSMNQPEDVFLAKTWKADNAFNWVYTFHSIGNRKKSNASIWGLSDSSKDSSIVAQMQVSCCLCSEIKDGGVLDNSMVTEFVLYDIAHARQHVSVLGSSGFDKTSTCSSPGLAVGCYESDDGFNLVKLRDHLNLASDSDEFELPSRSTPRLLADLHPNLEIAAIVIQVPFKKRESLKYRRGDKIGDVRHLNVLNVSTVEESKSNIQDSRSQEKVKVVIPTGNHGIPSSETQGPSSLLDRWRMGGGCDCGGWDMACPLVVFGNPSINCSEDQPLVDSERPFELFLQGAKEITPALTMTAIEGGYAVDFHAKLSTLQAFSICVAILHGTETSAGAGEAQSKHLSHCNSLKVLIEEEVKFLIESVTGEEKKVSKKVEAIPPSYVINPPFSPIARV >EOY24812 pep chromosome:Theobroma_cacao_20110822:3:32310038:32312509:-1 gene:TCM_016307 transcript:EOY24812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATP synthase subunit E1 isoform 2 MNDADVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYEKKKKQVEIRKKIEYSMQLNASRIKVLQAQDDVVNSMKEAASKDLLNVSRDHHVYKNLLKDLIVQSLVRLKEPAVLLRCRKDDVHLVESVLDSAKEEYASKVNVHPPEIFIDHVHLPPGPSHHNAHGPFCSGGVVLASRDGKIVCENTLDARLDVAFRKKLPEIRKWLFSQAAA >EOY24813 pep chromosome:Theobroma_cacao_20110822:3:32309999:32312664:-1 gene:TCM_016307 transcript:EOY24813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATP synthase subunit E1 isoform 2 MNDADVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYEKKKKQVEIRKKIEYSMQLNASRIKVLQAQDDVVNSMKEAASKDLLNVSRDHHVYKNLLKDLIVQSLVRLKEPAVLLRCRKDDVHLVESVLDSAKEEYASKVNVHPPEIFIDHVHLPPGPSHHNAHGPF >EOY24158 pep chromosome:Theobroma_cacao_20110822:3:29907213:29911798:1 gene:TCM_015836 transcript:EOY24158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase SAPK3 isoform 2 MGERYEPLKELGTGNFGVARLVKDKRTTELVAVKYIERGKKIDENVQREIINHRSLRHPNIIRFKEIKSKVQHISLQVLLTPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSPTPRLKICDFGYSKSAVLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIGRIMSVQYSIPDYVRVSADCRYLLSHIFVADPAKRITIPEIKQHPWFLKNLPKELVEIEKTNYAESERGQPSQRVEEIMRIIQEAKTPAEGAKVGEQAAAGSSDPDDAEADLESEIDVSGDFDAPM >EOY24159 pep chromosome:Theobroma_cacao_20110822:3:29906714:29911979:1 gene:TCM_015836 transcript:EOY24159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase SAPK3 isoform 2 CRRRGLLRYEPLKELGTGNFGVARLVKDKRTTELVAVKYIERGKKIDENVQREIINHRSLRHPNIIRFKEVLLTPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSPTPRLKICDFGYSKSAVLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIGRIMSVQYSIPDYVRVSADCRYLLSHIFVADPAKRITIPEIKQHPWFLKNLPKELVEIEKTNYAESERGQPSQRVEEIMRIIQEAKTPAEGAKVGEQAAAGSSDPDDAEADLESEIDVSGDFDAPM >EOY24619 pep chromosome:Theobroma_cacao_20110822:3:31654302:31657840:-1 gene:TCM_016171 transcript:EOY24619 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine (UAA) transporter family isoform 2 MKSEDQARSLFGISLTDRPKWQQFLICSSGFFFGYLVNGVCEEYVYNRLQFSYGWYFTFVQGFVYLILIRLQGFTIKQMVNPWQTYVKLSAVLMGSHGLTKGSLAYLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFHEYISALLLVVGLILFTLADAQTSPNFSIIGIIMISGALIMDAFLGNFQEAIFAMNPETTQMEMLFCSTVVGIPFLLVPMILTGELVTAWNSCSQQHPYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMITTARKAVTLLLSYMIFTKPLTEQHGSGLLLIAMGIILKMLPDSKPVPRIPISNVNAMHPKTSSQEAESVEFEEDEETKPLV >EOY24618 pep chromosome:Theobroma_cacao_20110822:3:31654414:31657861:-1 gene:TCM_016171 transcript:EOY24618 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine (UAA) transporter family isoform 2 MKSEDQARSLFGISLTDRPKWQQFLICSSGFFFGYLVNGVCEEYVYNRLQFSYGWYFTFVQGFVYLILIRLQGFTIKQMVNPWQTYVKLSAVLMGSHGLTKGSLAYLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFHEYISALLLVVGLILFTLADAQTSPNFSIIGIIMISGALIMDAFLGNFQEAIFAMNPETTQMEMLFCSTVVGIPFLLVPMILTGELVTAWNSCSQHPYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMITTARKAVTLLLSYMIFTKPLTEQHGSGLLLIAMGIILKMLPDSKPVPRIPISNVNAMHPKTSSQEAESVEFEEDEETKPLV >EOY24388 pep chromosome:Theobroma_cacao_20110822:3:30764823:30765463:1 gene:TCM_016004 transcript:EOY24388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein MDSKRCSSTILLLAINLLFFTLVSGCNTCVQPRPNPNPRPTPSPPTKSCPRDALKLGVCAKLLNGTIGGVVGNPPDTPCCSVLSGLVDLEAAVCLCTAIKANVLGININIPISLSLLINTCGKQLPSDFICA >EOY22247 pep chromosome:Theobroma_cacao_20110822:3:22605661:22611253:1 gene:TCM_014471 transcript:EOY22247 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 11, putative MTVSQNHSIKSPLFHNIIAVPPQFCSTLTLSPPIMDSMDSSRTIPSHQSQSPCKNHISIPLETENFHEDSEKRGKKLTKRGNNDNTGRRYLGVRQRPSGRWVAEIKDSSQKLRLWLGTFDRAEEAALAYDSAARLLRGRNAKTNFQYHGVMNTYEENCNLLGKNPRLYQLLQLTITKNHARSTFLKSIGTVPWQNQNIGNKVDPVDFDTLVEETIFCSPTSDQDEGCNNNLSQLSLGSSKVYSSVVVAPSFCASLDQGGGEGKSCQDA >EOY23213 pep chromosome:Theobroma_cacao_20110822:3:26682807:26689465:1 gene:TCM_015188 transcript:EOY23213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 1 isoform 2 MESLWKLLYLLEPAPVTLMVTAVAVTFGSAFRALNYGKEMERNRDLSEASITLDRSQALMIPVMSSCSLLLMFYLFSSVSQLLTAFTAVASVSSLFFCLYPHVAYLKSQFGLADPYVSRCCSKSFTRIQGLLLLACFVTVAAWLVSGHWILNNLLGISICIAFVSHVRLPNIKICAMLLVCLFVYDIFWVFFSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGASPGGSTADFMMLGLGDMAIPAMLLALVLCFDHRKSRETVNLLDLHSSKGHKYIWYALPGYAIGLVTALAAGILTHSPQPALLYLVPSTLGPVIFISWLRKELVELWEGTMLNLNEKARQIEV >EOY23214 pep chromosome:Theobroma_cacao_20110822:3:26682164:26689110:1 gene:TCM_015188 transcript:EOY23214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 1 isoform 2 MESLWKLLYLLEPAPVTLMVTAVAVTFGSAFRALNYGKEMERNRDLSEASITLDRSQALMIPVMSSCSLLLMFYLFSSVSQLLTAFTAVASVSSLFFCLYPHVAYLKSQFGLADPYVSRCCSKSFTRIQGLLLLACFVTVAAWLVSGHWILNNLLGISICIAFVSHVRLPNIKICAMLLVCLFVYDIFWVFFSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGASPGGSTADFMMLGLGDMAIPAMLLALVLCFDHRKSRETVNLLDLHSSKGHKYIWYALPGYAIGLVTALAAGILTHSPQPALLYLVFCYHSFIQVYLFSLYLA >EOY23216 pep chromosome:Theobroma_cacao_20110822:3:26682047:26689110:1 gene:TCM_015188 transcript:EOY23216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 1 isoform 2 MESLWKLLYLLEPAPVTLMVTAVAVTFGSAFRALNYGKEMERNRDLSEASITLDRSQALMIPVMSSCSLLLMFYLFSSVSQLLTAFTAVASVSSLFFCLYPHVAYLKSQFGLADPYVSRCCSKSFTRIQGLLLLACFVTVAAWLVSGHWILNNLLGISICIAFVSHVRLPNIKICAMLLVCLFVYDIFWVFFSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGASPGGSTADFMMLGLGDMAIPAMLLALVLCFDHRKSRETVNLLDLHSSKGHKYIWYALPGYAIGLVTALAAGILTHSPQPALLYLVFCYHSFIQVYLFSLYLA >EOY23215 pep chromosome:Theobroma_cacao_20110822:3:26682164:26689110:1 gene:TCM_015188 transcript:EOY23215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 1 isoform 2 MESLWKLLYLLEPAPVTLMVTAVAVTFGSAFRALNYGKEMERNRDLSEASITLDRSQALMIPVMSSCSLLLMFYLFSSVSQLLTAFTAVASVSSLFFCLYPHVAYLKSQFGLADPYVSRCCSKSFTRIQGLLLLACFVTVAAWLVSGHWILNNLLGISICIAFVSHVRLPNIKICAMLLVCLFVYDIFWVFFSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGASPGGSTADFMMLGLGDMAIPAMLLALVLCFDHRKSRETVNLLDLHSSKGHKYIWYALPGYAIGLVTALAAGILTHSPQPALLYLVFCYHSFIQVYLFSLYLA >EOY23217 pep chromosome:Theobroma_cacao_20110822:3:26682164:26689110:1 gene:TCM_015188 transcript:EOY23217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 1 isoform 2 MESLWKLLYLLEPAPVTLMVTAVAVTFGSAFRALNYGKEMERNRDLSEASITLDRSQALMIPVMSSCSLLLMFYLFSSVSQLLTAFTAVASVSSLFFCLYPHVAYLKSQFGLADPYVSRCCSKSFTRIQGLLLLACFVTVAAWLVSGHWILNNLLGISICIAFVSHVRLPNIKICAMLLVCLFVYDIFWVFFSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGASPGGSTADFMMLGLGDMAIPAMLLALVLCFDHRKSRETVNLLDLHSSKGHKYIWYALPGYAIGLVTALAAGILTHSPQPALLYLVFCYHSFIQVYLFSLYLA >EOY23227 pep chromosome:Theobroma_cacao_20110822:3:26710641:26713792:1 gene:TCM_015194 transcript:EOY23227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MSIICGLPLLECVYCLACARWAWKRCLHTAGHDSETWGLATAEEFEPVPRLCRYILAVYEEDLWHPLWEPPGGYGINPDWLIRRKTYEDTQGRAPSYILYLDHDHADIVLAIRGLNLAKESDYQVLLDNKLGKKKFDGGYVHNGLLKAAGWVLDAECEILKELVEKYPNYTLTFAGHSLGSGVAAMLALVVVQHQDKLGNIDRRRIRCYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCLRCMRDTCIPEEKMLKDPRRLYAPGRLYHIVERKPFRLGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIVWIEREAQRAMDVSSKLLYFHVNAGER >EOY23226 pep chromosome:Theobroma_cacao_20110822:3:26709825:26714339:1 gene:TCM_015194 transcript:EOY23226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MSIICGLPLLECVYCLACARWAWKRCLHTAGHDSETWGLATAEEFEPVPRLCRYILAVYEEDLWHPLWEPPGGYGINPDWLIRRKTYEDTQGRAPSYILYLDHDHADIVLAIRGLNLAKESDYQVLLDNKLGKKKFDGGYVHNGLLKAAGWVLDAECEILKELVEKYPNYTLTFAGHSLGSGVAAMLALVVVQHQDKLGNIDRRRIRCYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCLRCMRDTCIPEEKMLKDPRRLYAPGRLYHIVERKPFRLGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIVWIEREAQRAMDLMLEKDRIMEIPAKQRMERQETLAREHSQEYKAALQRAVTLSVPHAYSPSQYGTFEESEDGENSHKSSGESSVGSSGKSKNKESWNELIERLFDKDESGHMVLKKSHRDD >EOY20978 pep chromosome:Theobroma_cacao_20110822:3:2051469:2053297:1 gene:TCM_012293 transcript:EOY20978 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein MYQNRPNPNQPAAYPPQPVQYPLKSQPTNQMYSNVSPGVMQPQTVYAPNASPQAFPQPAYLPQQGTGMPLASPHKPGGQPAAVAGIPVAGDGWRSGLFDFMDDPMNALVTAFFPCLTFGQIAEIVDDGHTTCGTSGLLYGAIAFLIGMPCLLSCTYRTKLRNKFGLPEAPGPDWVTHFLCEWCALCQEYRELQHRGWDPSIGWQGNLARNQNMQPAPVMMAPMNQRMMA >EOY23889 pep chromosome:Theobroma_cacao_20110822:3:28911629:28912800:1 gene:TCM_015645 transcript:EOY23889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox 7, putative MLARKEQHQAAATVKVEVGLSGLDLHDHPITNIKPSSKNKRRFSDEQIRSLEFMFESGSRPESQKKQLLANELGLQPRQIAIWFQNRRARSKSKQIERDYNILKESYDALASSYESLKRENQSLRIQLQKLKGQLEMEHGNKTHEPNRTGNSGDGNSENKSVICDANEKITFLFEGYDHMISSDNNSRDAESRDEDRVVLDMMEATDGSLTSSEKWCGFESNCFLDESSCSSNWWEYW >EOY24044 pep chromosome:Theobroma_cacao_20110822:3:29426003:29428451:-1 gene:TCM_015749 transcript:EOY24044 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441 MGGASLPPGFRFHPTDEELIGYYLKRKTEGQELELEVIPVIDLYKFDPWELPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATKAGYWKATGKDRKVVCQSAVTGYRKTLVFYLGRAPLGDRTGWVMHEYRLSDEPSQGSTNQGAFALCRVVKRNEQKATDAHGEPKATRIGSNSTNVELTSTRICNESLSIGDISCQTSYPNNESRCSSPTTSPYPYEVTQMQPFEPVSVDTDPASVWVSPDLILDSSKDYPQICETASQYFPQYEFPSSGTPWQQYDQTEFSPSSSYSNFGEIEHVDDLSRMNCMSPYSGHANYMDFYGNEGYDQSGSFSYLNPF >EOY20816 pep chromosome:Theobroma_cacao_20110822:3:1365728:1369860:1 gene:TCM_012159 transcript:EOY20816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of Unknown Function (DUF239) [Source:Projected from Arabidopsis thaliana (AT5G50150) TAIR;Acc:AT5G50150] MKGDSFPGTKIWNKPCCVANTTRQLQCIQTQPFLSKQTTTTAQKHSTNMASSVSHNTFSMIPIFVAFLVVASSLGPVLSSPLVSDSGHRKLANHTLRPAKEIQKLRRINAYLKKISKPAVKTIQSPDGDVIDCVLSHLQPAFDHPELRGQKPLDPPERPKGHNSTEAVSESFQLWTDSGESCPQGTVPIRRTTEKDILRASSVRRYGRRRRVRRDSTGNGHEHAVLFVNGDQYYGAKASLNVWAPRVTNEYEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDNYPRFFTYWTTDAYQATGCYNLLCSGFVQTNNKIAIGAAISPRSSYNGRQFDIGIMVWKDPKHGHWWLEFGSGLLVGYWPAFLFSHLRNHANMIQFGGEIVNTRSSGFHTSTQMGSGHFAEEGFGKAAYFRNMQTVDWDNNLLPLTNLRLLADHSNCYDIRQGRNNVWGTYFYYGGPGRNVRCP >EOY20817 pep chromosome:Theobroma_cacao_20110822:3:1365763:1374148:1 gene:TCM_012159 transcript:EOY20817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of Unknown Function (DUF239) [Source:Projected from Arabidopsis thaliana (AT5G50150) TAIR;Acc:AT5G50150] MKGDSFPGTKIWNKPCCVANTTRQLQCIQTQPFLSKQTTTTAQKHSTNMASSVSHNTFSMIPIFVAFLVVASSLGPVLSSPLVSDSGHRKLANHTLRPAKEIQKLRRINAYLKKISKPAVKTIQSPDGDVIDCVLSHLQPAFDHPELRGQKPLDPPERPKGHNSTEAVSESFQLWTDSGESCPQGTVPIRRTTEKDILRASSVRRYGRRRRVRRDSTGNGHEHAVLFVNGDQYYGAKASLNVWAPRVTNEYEFSLSQIWVISGSFGNDLNTIEAGWQDPKHGHWWLEFGSGLLVGYWPAFLFSHLRNHANMIQFGGEIVNTRSSGFHTSTQMGSGHFAEEGFGKAAYFRNMQTVDWDNNLLPLTNLRLLADHSNCYDIRQGRNNVWGTYFYYGGPGRNVRCP >EOY20815 pep chromosome:Theobroma_cacao_20110822:3:1365986:1369903:1 gene:TCM_012159 transcript:EOY20815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of Unknown Function (DUF239) [Source:Projected from Arabidopsis thaliana (AT5G50150) TAIR;Acc:AT5G50150] MKGDSFPGTKIWNKPCCVANTTRQLQCIQTQPFLSKQTTTTAQKHSTNMASSVSHNTFSMIPIFVAFLVVASSLGPVLSSPLVSDSGHRKLANHTLRPAKEIQKLRRINAYLKKISKPAVKTIQSPDGDVIDCVLSHLQPAFDHPELRGQKPLDPPERPKGHNSTEAVSESFQLWTDSGESCPQGTVPIRRTTEKDILRASSVRRYGRRRRVRRDSTGNGHEHAVLFVNGDQYYGAKASLNVWAPRVTNEYEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDNYPRFFTYWTTDAYQATGCYNLLCSGFVQTNNKIAIGAAISPRSSYNGRQFDIGIMVWKDPKHGHWWLEFGSGLLVGYWPAFLFSHLRNHANMIQFGGEIVNTRSSGFHTSTQMGSGHFAEEGFGKAAYFRNMQTVDWDNNLLPLTNLRLLADHSNCYDIRQGRNNVWGTYFYYGGPGRNVRCP >EOY24756 pep chromosome:Theobroma_cacao_20110822:3:32115702:32121387:-1 gene:TCM_016262 transcript:EOY24756 gene_biotype:protein_coding transcript_biotype:protein_coding description:GMP synthase MDPKAVKSDLVLILDFGSQYTHLITRRIRSLSIFSLCISGTSPLSSITSLNPKVVILSGGPHSVHSDDSPSFADGFVKWALSNGVFVLGICYGLQLLVQRLGGEVRVGERQEYGRMEIEVEKSCGLFGGKRVGDRQVVWMSHGDEAARLPNGFQVVARSQQGAVAAVEDQENRFYGLQYHPEVTHSPEGMETLRNFLFDVCGVNADWKMEDVMDEEIKVINNTVGPDDHVICALSGGVDSTVAAMLVHRAIGDRLHCVFVDNGLLRYKERERVMETFDRDLHLPVTCVDATNQFLRTGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRQLGRILRVPELFLKRHPFPGPGLAVRILGDVTEGNALDILRQVDEIFIQSIKDAGIYDSIWQAFAVFLPVKSVGVQGDQRTHSHVVALRAVTSQDGMTADWYYFEHEFLDDVARKICNNVRGVNRVLQMTRKKMSLAGFLNMSTGCLSEILQQYGNNMMNLSKRIAEIILRSLGDGYEEKFYESEFGDCHGYLRIVNYTPPDDVEEREVEGLGMHTDMSCITIVYQDELGGLQMRSKEGKWMDVRPCENSLVVNIGDLMQAWSNGRLRSSEHRVVLKQFMNRFSLAFFWCFGDEKVILAPDAVVGVGNSRVYHPFVCLDYLKFRESNEVGNFDKIGYTVKDFAGLKVEVQ >EOY20745 pep chromosome:Theobroma_cacao_20110822:3:906542:912001:1 gene:TCM_012091 transcript:EOY20745 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1/ASK-interacting protein 16 isoform 1 MHSLSLKVFTDLNARTRRNCFPGRVGHGLATDLDFGRTRRVGSGCWNGGAAGVRSCRIVACAVERNGNGGNGGGESPSLNPNPTPNESSFLSRSQTYATLKQQMEVAAKSEDYKEAARIRDSLKMFEEEEPVLKLKRLIREAVADERFEDAARYRDELKEIAPHSLLKCSSDATTLGIRVQVRSVYIEGRSLPSRGQYFFAYRIRITNNSDRPVQLLRRHWIITDANGKTENVWGMGVIGEQPVILPRTGFEYSSACPLSTPSGRMEGDFEMKHIDRLGSPSFNVAIAPFSLSTLGDDSDTF >EOY20746 pep chromosome:Theobroma_cacao_20110822:3:906542:911277:1 gene:TCM_012091 transcript:EOY20746 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1/ASK-interacting protein 16 isoform 1 MHSLSLKVFTDLNARTRRNCFPGRVGHGLATDLDFGRTRRVGSGCWNGGAAGVRSCRIVACAVERNGNGGNGGGESPSLNPNPTPNESSFLSRSQTYATLKQQMEVAAKSEDYKEAARIRDSLKMFEEEEPVLKLKRLIREAVADERFEDAARYRDELKEIAPHSLLKCSSDATTLGIRVQVRSVYIEGRSLPSRGQYFFAYRIRITNNSDRPVQLLRRHWIITDANGKTETWVKWSYHIYK >EOY21913 pep chromosome:Theobroma_cacao_20110822:3:19821556:19837020:1 gene:TCM_014092 transcript:EOY21913 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein, putative MAGDFAAKIKAYIVPLILFSLAMLCQLVLLPRSFPPSHYDVLGIKTYSSMEAVKEAYENLESKWNSGLEVPTTTEFIKIRYAYELLTNPIWKRNYDVFGIDEQLHVVEKVSQQYAEEKFSNIELPLLHVDASDIGDDAFNMITSNDFQSMFQDSKPWLLQVYSSGSSHCAQFSNSWKRIAALLNGVANIGMVELSEIQIAAYLAEKKPTGQFFFRNGLPSLVTFPSGCKTSDCLIRFEGELSVDAVTDWFAMAVLNLPRIFYYSKESLGPRFLAKSSPHKVKVIFFSKTGERATPVMRQAAKDYWNYATFACVLWREEEFSVWWTTFGVESAPAIVFLKDPGLKPLVYHGSVNDSWFLDVLEQNKQQVLPQLRSLTSKELGCDARGYSRAGRDTLTWYCAILAGRQGLELDSMRETMRRVQETLSKSSESNAAIEDEQSITAAVAFKNKRLTFSWLDGEAQKNYCFFYLNTENGYETCGPRRVPTDVPQLFIIRYERNASEDAVKVEKAAKSIWEFHQHEVDPAAQLSVTYNGSAEVSQIIQWISNIIKDGDTRNLPFYRVKTPELVPEDTEPFWSRGQQSILSKSMGTKQKMQGIIIRLYDYLGDPRIGPALLLGSLMSFGSIWLMRSQQNRPVQSSQPSQADNDDKPRPRERRRRRNVSNNDMPPSVTDLEPRDSYQMPLSDSD >EOY21543 pep chromosome:Theobroma_cacao_20110822:3:11451426:11452673:1 gene:TCM_013301 transcript:EOY21543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMKLCLMLYTWMQPIFCWVDLCYLIMTWNISLSIILYHFIEVRRSTLCTLKGESTTEADGSSLGSKVNGFLSRY >EOY23125 pep chromosome:Theobroma_cacao_20110822:3:26281193:26287985:1 gene:TCM_015114 transcript:EOY23125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein isoform 4 MDAHGEMGLIGENFDPGLVGRMKEDGYESRSGSDNFEGASGDDQDAADDGRPKKKKYHRHTPHQIQELESFFKECPHPDEKQRLELSRRLALESKQIKFWFQNRRTQMKNRWAEMFPCMISRVATIDVLSSATGVTRDNTLQVMDAEFQVLSPLVPVRQVRFLRFCKQHTERVWAVVDVSIDASQDAASAQMFPNCRRLPSGCVIQDMDNKYSKVTWVEHSEYDDSAVHHLLRPLLSYGFGFGAHRWLATLQRQCDCLAVLMSPNIPGEENTGITPAGRKNMLKLAQRMTYNFCAGVCASSVHKWDKLSVGNVGEDVRVMTRKNIDDPGEPAGVVLSAATSVWMPITQQRLFDFLRDERMRSQWDILSNGGPMQGMVKIAKGPGHGNCVSLLRGSAINANENNMLILQETWSDASGALVVYAPVDISSIGVVMNGGDSAYVALLPSGFAILPGISPSYHGGQSNSNGPMVKPDIDGSISGGCLLTVGFQILVNSLPTAKLTVESVETVNNLISCTIQKIKAALTVT >EOY23123 pep chromosome:Theobroma_cacao_20110822:3:26280947:26287985:1 gene:TCM_015114 transcript:EOY23123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein isoform 4 MDAHGEMGLIGENFDPGLVGRMKEDGYESRSGSDNFEGASGDDQDAADDGRPKKKKYHRHTPHQIQELESFFKECPHPDEKQRLELSRRLALESKQIKFWFQNRRTQMKTQLERHENVILRQENDKLRAENDLLKQAMSSPTCNSCGGPAVPGEISYEQHQLRIENARLKDELNRICALTNKFLGRPLSSSASPIPSQGLNSNLELAVGRNDFGGLNNAGTTLPMGFDFVDGAMMPLMKTMANEMPYDRSALVDIALAAMDELIKMVQMDSPLWIKGLDGGMETLNHEEYRRTFSSCIGMKPSGYATEATRETGLVFLRGLALVETLMDANRWAEMFPCMISRVATIDVLSSATGVTRDNTLQVMDAEFQVLSPLVPVRQVRFLRFCKQHTERVWAVVDVSIDASQDAASAQMFPNCRRLPSGCVIQDMDNKYSKVTWVEHSEYDDSAVHHLLRPLLSYGFGFGAHRWLATLQRQCDCLAVLMSPNIPGEENTGITPAGRKNMLKLAQRMTYNFCAGVCASSVHKWDKLSVGNVGEDVRVMTRKNIDDPGEPAGVVLSAATSVWMPITQQRLFDFLRDERMRSQWDILSNGGPMQGMVKIAKGPGHGNCVSLLRGSAINANENNMLILQETWSDASGALVVYAPVDISSIGVVMNGGDSAYVALLPSGFAILPGISPSYHGGQSNSNGPMVKPDIDGSISGGCLLTVGFQILVNSLPTAKLTVESVETVNNLISCTIQKIKAALTVT >EOY23122 pep chromosome:Theobroma_cacao_20110822:3:26281193:26287985:1 gene:TCM_015114 transcript:EOY23122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein isoform 4 MGARIVVADIVPPSNMLSGAIVEPPLLTQHIPKSMQSSPSLSLSYKRMDAHGEMGLIGENFDPGLVGRMKEDGYESRSGSDNFEGASGDDQDAADDGRPKKKKYHRHTPHQIQELESFFKECPHPDEKQRLELSRRLALESKQIKFWFQNRRTQMKTQLERHENVILRQENDKLRAENDLLKQAMSSPTCNSCGGPAVPGEISYEQHQLRIENARLKDELNRICALTNKFLGRPLSSSASPIPSQGLNSNLELAVGRNDFGGLNNAGTTLPMGFDFVDGAMMPLMKTMANEMPYDRSALVDIALAAMDELIKMVQMDSPLWIKGLDGGMETLNHEEYRRTFSSCIGMKPSGYATEATRETGLVFLRGLALVETLMDANRWAEMFPCMISRVATIDVLSSATGVTRDNTLQVMDAEFQVLSPLVPVRQVRFLRFCKQHTERVWAVVDVSIDASQDAASAQMFPNCRRLPSGCVIQDMDNKYSKVTWVEHSEYDDSAVHHLLRPLLSYGFGFGAHRWLATLQRQCDCLAVLMSPNIPGEENTGITPAGRKNMLKLAQRMTYNFCAGVCASSVHKWDKLSVGNVGEDVRVMTRKNIDDPGEPAGVVLSAATSVWMPITQQRLFDFLRDERMRSQWDILSNGGPMQGMVKIAKGPGHGNCVSLLRGSAINANENNMLILQETWSDASGALVVYAPVDISSIGVVMNGGDSAYVALLPSGFAILPGISPSYHGGQSNSNGPMVKPDIDGSISGGCLLTVGFQILVNSLPTAKLTVESVETVNNLISCTIQKIKAALTVT >EOY23124 pep chromosome:Theobroma_cacao_20110822:3:26281193:26287985:1 gene:TCM_015114 transcript:EOY23124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein isoform 4 MDAHGEMGLIGENFDPGLVGRMKEDGYESRSGSDNFEGASGDDQDAADDGRPKKKKYHRHTPHQIQELESFFKECPHPDEKQRLELSRRLALESKQIKFWFQNRRTQMKTQLERHENVILRQENDKLRAENDLLKQAMSSPTCNSCGGPAVPGEISYEQHQLRIENARLKDELNRICALTNKFLGRPLSSSASPIPSQGLNSNLELAVGRNDFGGLNNAGTTLPMGFDFVDGAMMPLMKTMANEMPYDRSALVDIALAAMDELIKMVQMDSPLWIKGLDGGMETLNHEEYRRTFSSCIGMKPSGYATEATRETGLVFLRGLALVETLMDANRWAEMFPCMISRVATIDVLSSATGVTRDNTLQVMDAEFQVLSPLVPVRQVRFLRFCKQHTERVWAVVDVSIDASQDAASAQMFPNCRRLPSGCVIQDMDNKYSKVTWVEHSEYDDSAVHHLLRPLLSYGFGFGAHRWLATLQRQCDCLAVLMSPNIPGEENTGITPAGRKNMLKLAQRMTYNFCAGVCASSVHKWDKLSVGNVGEDVRVMTRKNIDDPGEPAGVVLSAATSVWMPITQQRLFDFLRDERMRSQWDILSNGGPMQGMVKIAKGPGHGNCVSLLRGSAINANENNMLILQETWSDASGALVVYAPVDISSIGVVMNGGDSAYVALLPSGFAILPGISPSYHGGQSNSNGPMVKPDIDGSISGGCLLTVGFQILVNSLPTAKLTVESVETVNNLISCTIQKIKAALTVT >EOY21021 pep chromosome:Theobroma_cacao_20110822:3:2319013:2326285:-1 gene:TCM_012334 transcript:EOY21021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex I subunit NDUFS6 isoform 1 MDKIRFRPMDNKTQDPTSKAQNRHTTKTDHSAKKSRVRKREAEWKMGRKKGVPEFDETAPDDFDPANPYKDPVAMLEMREHIVREKWIDIEKAKILRDKVRWCYRIEGVNHLQKCRHLVRQYLDATRGIGWGKEGRHPSLHGPKVEEVESD >EOY21022 pep chromosome:Theobroma_cacao_20110822:3:2320822:2326138:-1 gene:TCM_012334 transcript:EOY21022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex I subunit NDUFS6 isoform 1 PTSKAQNRHTTKTDHSAKKSRVRKREAEWKMGRKKGVPEFDETAPDDFDPANPYKDPVAMLEMREHIVREKWIDIEKAKILRDKVRWCYRIEGVNHLQKCRHLVRQYLDATRGIGWGKEGRHPSLHGPKVEEVESD >EOY20944 pep chromosome:Theobroma_cacao_20110822:3:1894370:1897027:1 gene:TCM_012266 transcript:EOY20944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MEAMIKKYQQKFRKAKDDLSKWDELQSRLISQFSNASSIIDRLQVIQNSKNYASLNSVQGIEVAVLQKQMDSLQTILLLMKNTMEEFRAVVLSLDKLQRDGKQLAQGGSNQMNRKQLQQRIGVKPSLKNCMDGLMLLHEMHLAEYLLKSSLVSALSVLALRPNSSDLHALQQLLVDQPNIVKEEVQYILDIIFAEEIC >EOY20945 pep chromosome:Theobroma_cacao_20110822:3:1894308:1899105:1 gene:TCM_012266 transcript:EOY20945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MEAMIKKYQQKFRKAKDDLSKWDELQSRLISQFSNASSIIDRLQVIQNSKNYASLNSVQGIEVAVLQKQMDSLQTILLLMKNTMEEFRAVVLSLDKLQRDGKQLAQGGSNQMNRKQLQQRIGVKPSLKNCMDGLMLLHEMHLAEYLLKSSLVSALSVLALRPNSSDLHALQQLLVDQPNIVKEEDNLGFPDFVEVLGRLKPLGFVNMLVPCC >EOY20946 pep chromosome:Theobroma_cacao_20110822:3:1894308:1897496:1 gene:TCM_012266 transcript:EOY20946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MEAMIKKYQQKFRKAKDDLSKWDELQSRLISQFSNASSIIDRLQVIQNSKNYASLNSVQGIEVAVLQKQMDSLQTILLLMKNTMEEFRAVVLSLDKLQRDGKQLAQGGSNQMNRKQLQQRIGVKPSLKNCMDGLMLLHEMHLAEYLLKSSLVSALSVLALRPNTIHP >EOY22059 pep chromosome:Theobroma_cacao_20110822:3:21140287:21141910:-1 gene:TCM_014250 transcript:EOY22059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLISPNASSNYSTITTENLWFLFNIKLNCRIDLTKFIMDDMVRTIQGDIKNLLYGMLISEIIDYFNVDTRCDPSKNHALFNLIDEHSIKKLDFEFRNNNWSRKGAVNNPIFDEEENKGESSAYRSGLFIAQPSAPISTTFDVEQAFIRLFLFMKTMDFRLTTRMNLVEVQNHEMLKRQKDLKDPFRSQVPHHHAFSLETFILLHFWIV >EOY21737 pep chromosome:Theobroma_cacao_20110822:3:17746896:17747453:1 gene:TCM_013843 transcript:EOY21737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESIINCSGVASGKGVLHTSQTLLSFYLSLNFSHVNLKSIPIKYHAIFILVGKILDKHFVKREEENMIIKIQKKAAE >EOY22433 pep chromosome:Theobroma_cacao_20110822:3:23446415:23449706:-1 gene:TCM_014604 transcript:EOY22433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 1 isoform 1 MASSSVNLEDVPSESLMTELLRRMKCASKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGVKAKEAMDTGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLTKQGVKVDKVLDFAIDDAILEERITGRWIHPSSGRTYHTKFAPSKVPGIDDVTGEPLIQRKDDTAAVLKSRLESFHKQTEPVIDYYAKKGIVAKLHAEKPPKEVTDEVRKALS >EOY22432 pep chromosome:Theobroma_cacao_20110822:3:23446875:23449947:-1 gene:TCM_014604 transcript:EOY22432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 1 isoform 1 MASSSVNLEDVPSESLMTELLRRMKCASKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGVKAKEAMDTGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLTKQGVKVDKVLDFAIDDAILEERITGRWIHPSSGRTYHTKFAPSKVPGIDDVTGEPLIQRKDDTAAVLKSRLESFHKQTEPVIDYYAKKGIVAKLHAEKPPKEVTDEVRKALS >EOY21553 pep chromosome:Theobroma_cacao_20110822:3:12070969:12083860:-1 gene:TCM_013348 transcript:EOY21553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVSTMLADIRESSGRYHAHPGVSLYRITCSLDTYTYHKSWPPPCLTAHRRPKGSLARAHSCTRVTINRSDSQPTLDKVGRFVAIDSGAYLAISTCRSLVTSPGTPPRGVTRSESLRDAITYVATNQNAGAIRLS >EOY24332 pep chromosome:Theobroma_cacao_20110822:3:30578471:30585525:1 gene:TCM_015961 transcript:EOY24332 gene_biotype:protein_coding transcript_biotype:protein_coding description:RGPR-related, putative isoform 2 MNSGVKEVGWNSFYADSDENGVNGVGSYSEFFNDLGENPTGDFPGEVDENAKPGALDQNSVSSYGQYHDGGQVYGASTVDNGNEQDLNSSQYWENMYPGWKYDANTGQWYQVDGYEGNLQGGYESSGGDGSGTTDVKAGVSYLQQAVQSVAGTMATAESGATESVTNSNQVSQVNNGYPEHMVFDPQYPGWYYDTVAQEWRTLESYDASVQSSLQSTVQGYDQQNQNGFASAGGHSQSNCSSVYGKYGLGDNYGSQGLGSSGEHGNWGDSYGNYNSQGLNMWQPGTAAKTEAVSSFAGNQQLDTSFGSNMSVNSRANHLKSSYNSLQEVQLLNKASQVHTEVNGVVGFRSFVPSENFNHQFNQANLKQSEQMHFSNDIYGSQNSVNVSQQPLQSSHQFSYASNTERSSAGRPPHALVTFGFGGKLIVMKDSSPLLNSSFSSQDSVGASITVLNLLEVVNGNSNGSGAALAASDYFRTLCQQSFPGPLVGGNAGSKELNKWIDDRIANCESPDMDYKKGEVLRLLLSLLKIACQHYGKLRSPFGADTVLKETDTPESAVAKLFASAKRNDTPYGALSHCLQQLPSEGQIRATASEVQHLLVSGRKKEALQCAQEGQLWGPALVLASQLGDQFYVDTVKLMALHQLVAGSPLRTLCLLIAGQPAEVFSTGTSVDGIDMSQQHAQLGANCMLDDWEENLAVITANRTKDDELVIIHLGDCLWKERSEITAAHICYLVAEANFESYSDSARLCLIGADHWKFPRTYASPEAIQRTEFYEYSKVLGNSQFILLPFQPYKLIYAHMLAEVGRVSDSLKYCQAVLKSLKTGRAPEVETWKQLVLSLEDRIRIHQQGGYAANLAPAKLVGKLLNFFDSTAHRVVGGLPPPAPSASNGNSQVNDQFHQQSGPRVSSSQSTMAMSSLMSSASMEPISDWAGRAVDGRMTMHNRSVSEPDFGRTPRQVDSSKEAVASTAQGKASGSGGASRFARFGFGSQLLQKTVGLVLRPRTDKQG >EOY24331 pep chromosome:Theobroma_cacao_20110822:3:30577534:30585718:1 gene:TCM_015961 transcript:EOY24331 gene_biotype:protein_coding transcript_biotype:protein_coding description:RGPR-related, putative isoform 2 MASNPPFQVEDQTDEDFFDKLVNDDDDDENMVPTVPKFTEGNESDDARAFANLAIGEDSGGEADNYDEKEKDPVDAGPAPANAQAGEDGCDSLGLDNRVIDSNNHREVRAGSEVGFDPNISKNNGSMNSGVKEVGWNSFYADSDENGVNGVGSYSEFFNDLGENPTGDFPGEVDENAKPGALDQNSVSSYGQYHDGGQVYGASTVDNGNEQDLNSSQYWENMYPGWKYDANTGQWYQVDGYEGNLQGGYESSGGDGSGTTDVKAGVSYLQQAVQSVAGTMATAESGATESVTNSNQVSQVNNGYPEHMVFDPQYPGWYYDTVAQEWRTLESYDASVQSSLQSTVQGYDQQNQNGFASAGGHSQSNCSSVYGKYGLGDNYGSQGLGSSGEHGNWGDSYGNYNSQGLNMWQPGTAAKTEAVSSFAGNQQLDTSFGSNMSVNSRANHLKSSYNSLQEVQLLNKASQVHTEVNGVVGFRSFVPSENFNHQFNQANLKQSEQMHFSNDIYGSQNSVNVSQQPLQSSHQFSYASNTERSSAGRPPHALVTFGFGGKLIVMKDSSPLLNSSFSSQDSVGASITVLNLLEVVNGNSNGSGAALAASDYFRTLCQQSFPGPLVGGNAGSKELNKWIDDRIANCESPDMDYKKGEVLRLLLSLLKIACQHYGKLRSPFGADTVLKETDTPESAVAKLFASAKRNDTPYGALSHCLQQLPSEGQIRATASEVQHLLVSGRKKEALQCAQEGQLWGPALVLASQLGDQFYVDTVKLMALHQLVAGSPLRTLCLLIAGQPAEVFSTGTSVDGIDMSQQHAQLGANCMLDDWEENLAVITANRTKDDELVIIHLGDCLWKERSEITAAHICYLVAEANFESYSDSARLCLIGADHWKFPRTYASPEAIQRTEFYEYSKVLGNSQFILLPFQPYKLIYAHMLAEVGRVSDSLKYCQAVLKSLKTGRAPEVETWKQLVLSLEDRIRIHQQGGYAANLAPAKLVGKLLNFFDSTAHRVVGGLPPPAPSASNGNSQVNDQFHQQSGPRVSSSQSTMAMSSLMSSASMEPISDWAGRAVDGRMTMHNRSVSEPDFGRTPRQVDSSKEAVASTAQGKASGSGGASRFARFGFGSQLLQKTVGLVLRPRTDKQAKLGEKNKFYYDEKLKRWVEEGAEPPAEEAALPPPPTTAAFQNGTSDYNLKSALKSEGSPPNGSPKFRNPTPIEHASGIPPIPASSNQFSARGRMGVRARYVDTFNQGGGGQANLFQSPSVPSVKPAVAANAKFFIPTPASTNEQTMEAISESAQEENTTSNNPTKSNANESFQSPTPLSSMTMQRFPSMDNLAQKGIMRNANGFPPHSRRTASWSGGNLADAFSPPGKAEIRPLGEALGMPPSSFMPSPTNGSFGDELHEVEL >EOY24333 pep chromosome:Theobroma_cacao_20110822:3:30578471:30585525:1 gene:TCM_015961 transcript:EOY24333 gene_biotype:protein_coding transcript_biotype:protein_coding description:RGPR-related, putative isoform 2 MNSGVKEVGWNSFYADSDENGVNGVGSYSEFFNDLGENPTGDFPGEVDENAKPGALDQNSVSSYGQYHDGGQVYGASTVDNGNEQDLNSSQYWENMYPGWKYDANTGQWYQVDGYEGNLQGGYESSGGDGSGTTDVKAGVSYLQQAVQSVAGTMATAESGATESVTNSNQVSQVNNGYPEHMVFDPQYPGWYYDTVAQEWRTLESYDASVQSSLQSTVQGYDQQNQNGFASAGGHSQSNCSSVYGKYGLGDNYGSQGLGSSGEHGNWGDSYGNYNSQGLNMWQPGTAAKTEAVSSFAGNQQLDTSFGSNMSVNSRANHLKSSYNSLQEVQLLNKASQVHTEVNGVVGFRSFVPSENFNHQFNQANLKQSEQMHFSNDIYGSQNSVNVSQQPLQSSHQFSYASNTERSSAGRPPHALVTFGFGGKLIVMKDSSPLLNSSFSSQDSVGASITVLNLLEVVNGNSNGSGAALAASDYFRTLCQQSFPGPLVGGNAGSKELNKWIDDRIANCESPDMDYKKGEVLRLLLSLLKIACQHYGKLRSPFGADTVLKETDTPESAVAKLFASAKRNDTPYGALSHCLQQLPSEGQIRATASEVQHLLVSGRKKEALQCAQEGQLWGPALVLASQLGDQFYVDTVKLMALHQLVAGSPLRTLCLLIAGQPAEVFSTGTSVDGIDMSQQHAQLGANCMLDDWEENLAVITANRTKDDELVIIHLGDCLWKERSEITAAHICYLVAEANFESYSDSARLCLIGADHWKFPRTYASPEAIQRTEFYEYSKVLGNSQFILLPFQPYKLIYAHMLAEVGRVSDSLKYCQAVLKSLKTGRAPEVETWKQLVLSLEDRIRIHQQGGYAANLAPAKLVGKLLNFFDSTAHRVVGGLPPPAPSASNGNSQVNDQFHQQSGPRVSSSQSTMAMSSLMSSASMEPISDWAGRAVDGRMTMHNRSVSEPDFGRTPRQVDSSKEAVASTAQGKASGSGGASRFARFGFGSQLLQKTVGLVLRPRTDKQAKLGEKNKFYYDEKLKRWVEEGAEPPAEEAALPPPPTTAAFQNGTSDYNLKSALKSEGSPPNGSPKFRNPTPIEHASGIPPIPASSNQFSARGRMGVRAR >EOY22744 pep chromosome:Theobroma_cacao_20110822:3:24641154:24644536:-1 gene:TCM_014821 transcript:EOY22744 gene_biotype:protein_coding transcript_biotype:protein_coding description:SU(VAR)3-9 MVGVILSRRHCGFPSKFKRPGVSPVRKASCHTEFPVKPNALAALRNQTPSDSDPPKLQKGRLVLRNFPVKPNAPAVLRNQMPFDSEDRHSAYGIPMADCEQLDASNATNVHACRDTKQYDFSLVRNSKPLYVPNSTNVRDIHACIEPKQGHVSMVDKDCKPMDVSIATNVFACSDVKESSPSMVVADEQYGVDNYQGVLKDDEGDEVPSSAKQASLSKVVWNEQCLNVDFSDEECEEDLMEAYNSQVIVSEDLAVTDNKELRIASEKVKEVIHLFREIYLKLSQESDGKQKQILPLLAASHLLKQQKWINMHKRLGPIPGVKVGDYFSWRAELNIIGLHRQHMCGIDYMELDGRILATSIVDSGRYDNVVESNDEQEFPNVLIYSGQGENLKFRSRKLVNDQKLEGGNLALKNSAETKTPIRVIRKFCFKGASSKIVDCKYVYDGLYFVDGYREEKASSGAEGIPIRAMNALDDEKPPLFNYVTNVTYPISYHPSMSSGGCDWSDGCFDSEDCPCVVKNDREIPCNYEDCIMMEKPFIIDCGLSCEILPDKEVEQRIGKDEYLLI >EOY24425 pep chromosome:Theobroma_cacao_20110822:3:30925912:30928656:-1 gene:TCM_016030 transcript:EOY24425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein MSSSSTLSLDHLPPSEQLCYVHCNICDTVLAVSVPCTSLFKTVTVRCGHCTNLLPVNMRGLLLPSANQLHLAHSFFSPSHNLLEEISNPSQNFLLNQTNTNDFALPTRGVADELPRPPVINRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQTPKKTNVRQQEGEDVLIKDGFFASANVGVSPY >EOY23921 pep chromosome:Theobroma_cacao_20110822:3:29012497:29016993:1 gene:TCM_015666 transcript:EOY23921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MHTDIHLRPTKLHKEIVNSLVAANSLLTPPCFFVACTVIRYFHSSLSQSLLSVSPLFLFRSFFAGKEKRKTDTMEAFYLLVFGALASLVAALELSKTSKDRINTSSAFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYSQYGFGKGEIGHLFIAGFGSSMFFGTIVGSLADKKGRKRACVTYCITYILSCITKHSPQYKVLMLGRVLGGIATSLLFSAFESWLVAEHNKRGFDQQWLSITFSKAIFLGNGLMAILSGLFGNVLVDNLQLGPVAPFDAASCFLAIGMIIILTSWTENYGDSSESKDLLTQFRGAAAAITADEKITLLGAIQSLFEGSMYTFVFLWTPALSPNDEDIPHGFIFATFMLSSMLGSSIASRLLAQQNPRVESYMQIVFMVSSVSLLLPVISSFLIAPSGVKGGSISFSGCIQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRVPLNIFVCIALYNVKALPMTVMFGMCSMFLFLASVLQRRLMVIAEMHKSRSQDWTAMQEMGSEADPLNS >EOY23171 pep chromosome:Theobroma_cacao_20110822:3:26488592:26491836:1 gene:TCM_015155 transcript:EOY23171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage/polyadenylation specificity factor, 25kDa subunit MVTSPVVNTYPLSSYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLTSKLGANSPALVPDWQIGECVAIWWRPNFETIMYPYCPPHITKPKECKKLFLVHLSEKEYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMITT >EOY25452 pep chromosome:Theobroma_cacao_20110822:3:34367937:34371547:-1 gene:TCM_016760 transcript:EOY25452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAGRPPDPPLLAAFPPLTPIGSLPSHAPPNTNQPPPHQNLQETTPTRNPQPPSPRALKKSFLTVAVGERPPVIPPSRDPSVYKDRPAAIFYEDEIQTLARPFSHSLVGKFSRMPKLQEIRHAFKGIGLSGAYEIRWMDYKHVLIHLSNEQDFNRVWVKQQWFIVNQKMRVFKWAPDFEAEKESAMVPVWISFPNLKAHLYEKSALLLIAKTVGKPLYVDEATANGSRPSVARVCVEYDCRKQPVEEIWIVIRNRETGAVTGGYSQRVEFARMPDYCGYCSHVGHKENECIVLGTKSKQLGYGNLQTRGKDTQARVLPSRTEDGEKTKSSTEGGKKTLQKEKNMDKDKTMCAEEPVKLNQRWQAVGRTGTSGVKDGQGREIMPEKDLDDARVQVSNSFQEIPREDEMTQNRMEENINRNGEAVGIDEMDGDRMLGEAALNGSKQHPETEAARSIAVEGNSQENTHVHGERSSSRKNTNKTEKGPAAWVGPKSSQVVLRREAVVEQMMNAEGQNCKGDPGDGVTGAAFLATSESVRNGGQETFHENENHAQYVISRNNKKENSQQNLIGQVPDAVLHKESMQQTTRDTALGAATAGRSDASHSPNMNVQRDPALRDKNLTTTKKGDKMIGQDSTQPSAVQGKQGQEKRASDFSIELITNAGDGTLHTRGQECDGKNSKNYYFSIELSTPAATLLKEGQREPIINGSPLAALAGGSSLATTAGGSYASQTGPLQSKMDHPSSGKGEKNLNLKATIERDGTIAQEFLQASADKDGTLGQNSVHESSKNSLITLPAKATFAPHGNGHKLKQQSPGENSKNRVFKPPARSMTLEYGDGQLVAENGHGNKNESGDVAEENEADCSDLSMTVNGSGSQHDTAGAWEKELTVAIEGQHESAHGTAGQKLKKPLTVTSVQAEEEEQLIVSSGSMYKTEGRAQLKTANLTAREHKMKKKAKPILATLAPIMNVDNAEGLTSHRKTTAACQKVENERHQLLDTQTMEVEGSSDNFSQSEPGICMFNKETESIPSNTVLHHTPQQEKGLVDHFDSPMQLQAEPESQKLDIHPCVLTRRKSDSSLGYSGNWNSMNASEPLMGKDGAATDESIPSIPSIQTYP >EOY24414 pep chromosome:Theobroma_cacao_20110822:3:30877819:30882961:-1 gene:TCM_016021 transcript:EOY24414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein INAPERTURATE POLLEN1 [Source:Projected from Arabidopsis thaliana (AT4G22600) UniProtKB/Swiss-Prot;Acc:Q9SUV9] MYDRTKTIGHGLSLGGWSSKLVIVLCNSHVLIFSAVKRLFFSSTPPLNLSQKTTYKIMEGLIHTSMHEFQTIHNGKKEDGDIEILRLRRQSYGLARGYMHRQITQKAKTHQGTNSDTCLFPSKTLCSDPFSPFCNKAKKPLTALKFLHHPPYTSSSATMPRPFSFFSRKKTTTVPFKDLYEDWFNTLTNTLLPLLRQSLSSPSPTLLPFRRDLLLQHFLSHYDFLDLAASNDVSQMLFPSWRNSLEIPFLFLGDLHPYLFTNLLRSFIDAANNEKDAEKNGFNYPAEGSLLETFEYSLDKPWPVLMAWTNPSENLMLRIEQIECGLRLMVPPLVSRVKKVQAAFVGRVAEKWAAYEGKKMALEEAVKVEMEEMLGVFVDANRLRRSVLIEIVNATDVYQGALFLEGLAQFLIGFKDRALLGEFERCKIPINGVHWGL >EOY24415 pep chromosome:Theobroma_cacao_20110822:3:30877810:30879313:-1 gene:TCM_016021 transcript:EOY24415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein INAPERTURATE POLLEN1 [Source:Projected from Arabidopsis thaliana (AT4G22600) UniProtKB/Swiss-Prot;Acc:Q9SUV9] MPRPFSFFSRKKTTTVPFKDLYEDWFNTLTNTLLPLLRQSLSSPSPTLLPFRRDLLLQHFLSHYDFLDLAASNDVSQMLFPSWRNSLEIPFLFLGDLHPYLFTNLLRSFIDAANNEKDAEKNGFNYPAEGSLLETFEYSLDKPWPVLMAWTNPSENLMLRIEQIECGLRLMVPPLVSRVKKVQAAFVGRVAEKWAAYEGKKMALEEAVKVEMEEMLGVFVDANRLRRSVLIEIVNATDVYQGALFLEGLAQFLIGFKDRALLGEFERCKIPINGVHWGL >EOY22539 pep chromosome:Theobroma_cacao_20110822:3:23837387:23839553:1 gene:TCM_014683 transcript:EOY22539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxysteroid dehydrogenase 1 MDLIHKFLNLVAPWFTFFSLCLFLPPFYLFKFFLATLGSFFSENVSGKVVIITGASSGIGEHLAYEYGRRGARLALTARREKSLQEVADRAHDLGSPDVITIRADVSNVDDCQRLVEETVNHFGRLDHLVNNAGITSVCMFEGAPPDMTNFRAVMDTNFWGSVYTTRFAVPHLRNSKGKIVVLSSANSWLPEPRTSVYNASKAALAAFFDTLRIELGQDVTITIVTPGHIESEITRDKHLSKEGKMEVEQDMRDVQVSAIPVASVSECAQAIVNSACRGDRSLTQPSWYSATCLWKLFFPEVIEWGCRLFYLTSPGVPHQEAPSKKILDLTAGEKKVLYPSTIQAPEIKTD >EOY23626 pep chromosome:Theobroma_cacao_20110822:3:28061390:28062425:1 gene:TCM_015462 transcript:EOY23626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNCKLWGITWVASGNGFSFFSARQHGNSTSCSSDIRNMIILWSLWLSCSEIVFHGKNPDCNLLHDIILVRLAYRPGSAGKGGVIRDHEGFIKGIFSHHIGIEDSNYAELLAIKEGDGLAKAGVLRQISPLPTALTPLMCLPCPTLCW >EOY23975 pep chromosome:Theobroma_cacao_20110822:3:29165852:29168427:-1 gene:TCM_015696 transcript:EOY23975 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MAAVEAVAGLSFSRCPSSSSKSLLCPKPTRLSCLISGISKSASILSHSFFISSLTNSRKKPGFLLCSTVQEVNVEAETEKTQKSNVKRKLFVLNLPWSYTVADIKDLFGQCGNVTDVEIIKQKDGRSRNFAFVTMASGEEAQAAVDKLDSHEVSARIIRVEFARRFKKPSPSPQPIVPPRETHHKLYVSNLAWKVRSSHLREFFSAFNPVAARVIFGTPSGQSAGYGFVSFATKEEADAAISTLNGKELMDRPLRLKFSERNVDESGGEKKEEKEREGQTD >EOY23976 pep chromosome:Theobroma_cacao_20110822:3:29165696:29168429:-1 gene:TCM_015696 transcript:EOY23976 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 1 MAAVEAVAGLSFSRCPSSSSKSLLCPKPTRLSCLISGISKSASILSHSFFISSLTNSRKKPGFLLCSTVQEVNVEAETEKTQKSNVKRKLFVLNLPWSYTVADIKDLFGQCGNVTDVEIIKQKDGRSRNFAFVTMASGEEAQAAVDKLDSHEVSARIIRVEFARRFKKPSPSPQPIVPPRETHHKLYVSNLAWKVRSSHLREFFSAFNPVAARVIFGTPSGQSAGYGFVSFATKEEADAAISTLNGKI >EOY25435 pep chromosome:Theobroma_cacao_20110822:3:34265481:34268863:1 gene:TCM_016745 transcript:EOY25435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alternative oxidase 1B MREFSTFKKQISIAQSLYKLKPLLKPCKNNLSCHQNKNPTNYDRYPFVFDPKLLRMMMSRGGTRLASSMLAFVGPRYFSTASARTLSNEGMSGWIRAPAVGVRCRSTLALGDKEQEEKQAVGGGPAKDEKGIVSYWGLEPTKVTKEDGSAWKWTCFRPWEAYKADLSIDLKKHHAPVTVMDKMAYWTVKALRWPTDLFFQRRYGCRAMMLETVAAVPGMVGGMLLHCKSLRGFEHSGGWIKALLEEAENERMHLMTFMEVAKPRWYDRALVLAVQGVFFNAYFLGYIISPKFAHRMVGYLEEEAIHSYTEFLKELDNGNIENVPAPAIAIDYWRLAPDSTLRDVVMVVRADEAHHRDVNHFASDIHYQGRQLREAPAPLGYH >EOY24577 pep chromosome:Theobroma_cacao_20110822:3:31483883:31486191:1 gene:TCM_016142 transcript:EOY24577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-expansin 7, putative MASSSSYSWSWCFRFFSLTLTTLAIISKPSVAAPIFRPSPWALAHATFYGDETASETMGGACGYGNLFSNGYGTDTAALSTTLFNNGFACGTCYQIKCVQSSWCYSGVPFTTVTATNLCPPNWAQDSNNGGWCNPPRAHFDMSKPAFMKIAQWKAGIVPVMYRRICPPKWSMYSNPCRVPCVRPGGLRFSFQGNGYWLLVYVMNVGGGGDIQNMWVKGSRTGWISMSHNWGASYQAFATLGGQSLSFKLTSYTTKETIIAWNVAPANWNVGLTYSTNLNFH >EOY24537 pep chromosome:Theobroma_cacao_20110822:3:31364261:31368171:-1 gene:TCM_016114 transcript:EOY24537 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACC synthase 10 isoform 2 MTQTRFSRTRTPEPEKEQARGGGGAAMRVIVPLQGVVQGRGGLILGSIIPCALFYFLQFYLKRHRNDQDDQNESNSPGQNQGTRSPSSGQLTELPGLTRNLSRALLSPRSPSGPVSVSGRVSGIVKAADSPYYAGLRRVKEDQYDELGNPNGVIQLGLAENKLSLDLVKNWLAENAREAILGNGKELSISGIATYQPFDGLMEFKVAVAGFMAQVMEKAVSFNPSQIVLTAGATPAIEILSFCLADAGNAFLVPTPYYPGFDRDVKWRTGVEIIHVPCRSADNFNLSITALDIAFNQAKKRGLKVRGIIISNPSNPVGNLLSRETLYNLLDFAREKNIHIVSNEILAGCTHGNEEFVSMAEIVDLEDVDRKRVHLVYGLSKDLSLPGFRVGVIYSFNEEVLAAAKKLTRFSSISAPTQRLLISMLSDTKFVQTFITINRERLHRMYVQFVEGLKKLGIECIKSSGGFYCWADMSGLISSYSEKGELELWDKLLNIAKVNVTPGSCCHCIEPGWFRFCFATLTEKDIPVVMERIQKVSETCKLNR >EOY24538 pep chromosome:Theobroma_cacao_20110822:3:31364428:31367857:-1 gene:TCM_016114 transcript:EOY24538 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACC synthase 10 isoform 2 MRVIVPLQGVVQGRGGLILGSIIPCALFYFLQFYLKRHRNDQDDQNESNSPGQNQGTRSPSSGQLTELPGLTRNLSRALLSPRSPSGPVSVSGRVSGIVKAADSPYYAGLRRVKEDQYDELGNPNGVIQLGLAENKLSLDLVKNWLAENAREAILGNGKELSISGIATYQPFDGFDRDVKWRTGVEIIHVPCRSADNFNLSITALDIAFNQAKKRGLKVRGIIISNPSNPVGNLLSRETLYNLLDFAREKNIHIVSNEILAGCTHGNEEFVSMAEIVDLEDVDRKRVHLVYGLSKDLSLPGFRVGVIYSFNEEVLAAAKKLTRFSSISAPTQRLLISMLSDTKFVQTFITINRERLHRMYVQFVEGLKKLGIECIKSSGGFYCWADMSGLISSYSEKGELELWDKLLNIAKVNVTPGSCCHCIEPGWFRFCFATLTEKDIPVVMERIQKVSETCKLNR >EOY21763 pep chromosome:Theobroma_cacao_20110822:3:18037085:18057312:-1 gene:TCM_013872 transcript:EOY21763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTYDFFEMRDLITVAHRGDAKVDAKPCEVSIDIRGEECLSRPRGGCHGPDGEFRVVTVCVNEDCGSLKFNVDGVANGCLGESGIRDALRDYNGNVSNKVEVAMKKILLVKSHCHDPELPIGPVTTAARPRQTFFTPNVDRNLARLSYQLSHFPVTGVPWANLIRRARFPIIELISIGEARKITPEVGFEYTSRWPPCETGTQPTPRNGCVVRGEMCLCV >EOY23799 pep chromosome:Theobroma_cacao_20110822:3:28628048:28630521:1 gene:TCM_015582 transcript:EOY23799 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MQNEGSNGTSTTAGDHSGNLPEPNLEKQENIPVLPQEDSHPGSVGDSGCVANPTLLTIVVSNGESHVTQQPIAKLSKDVDSIEEELPCVASPKKGYFSRTTSCHEQCRVCQQEKEEVLIDLGCQCKGGLAKAHRSCIDTWFHTKGSNKCEICQAVAVNVSAPESQPSASSWLWRVDPSFAPQERERGCFSPLWVAFSILIGGLLLDVLISVTLGVSALPVNIIIGVIVVLGLGTALRLALEFCHEWSIRRAVQRVETNATLGYHPAL >EOY23798 pep chromosome:Theobroma_cacao_20110822:3:28627708:28630492:1 gene:TCM_015582 transcript:EOY23798 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MQNEGSNGTSTTAGDHSGNLPEPNLEKQENIPVLPQEDSHPGSVGDSGCVANPTLLTIVVSNGESHVTQQPIAKLSKDVDSIEEELPCVASPKKGYFSRTTSCHEQCRVCQQEKEEVLIDLGCQCKGGLAKAHRSCIDTWFHTKGSNKCEICQAVAVNVSAPESQPSASSWLWRVDPSFAPQERERGCFSPLWVAFSILIGGLLLDVLISVTLGVSALPVNIIIGVIVVLGLGTALRLALEFCHEWSIRRAVQRVETNATLGYHPAL >EOY22923 pep chromosome:Theobroma_cacao_20110822:3:25321656:25322592:1 gene:TCM_014951 transcript:EOY22923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein, putative MEAKSLTMSSSSLHLLQVLLYPFSILLLMSNMQKISAAATTKANSTGTYKNFIKTACNTTRYPKECNKALSPYASTIKTDPQKLCDTALSLTLNATCNTSSSIESLSKMKGLSPSETEIIRDCSETVGDAIDELTDSLKAMARAQGSERRTEMGNVRTWVSAALTNEYTCTDEFEGQKVSKTVKNTMKKSVMYLTKMTSNCLALLNLLDY >EOY22973 pep chromosome:Theobroma_cacao_20110822:3:25587361:25593996:-1 gene:TCM_014994 transcript:EOY22973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGICETLELRVGDTSWWECVTRTGVFEKALCKRNETTTPAKMSAMNEEIQHRQYEDLDSLLIVPREKWTFNVTINTHCKWSQLHYITKTLQQKGEYDPVKRTCFGMLLDVYPQGYFCVGLLHSIMICRITERQSMDHELWFAIGKSKARLSKQEFCLITELKFGPMLDVFRQPYEVAADGIHSRYWNGQDSVKLQALLDPFLGSNFQRPGDATKMALVLIANNVLFGQDYRRWVTPWLLSLVEDIDAWNVFPLGHYIWKLTLDYLLKRFEVPDLSVTKETRLRYNIYRFAWAMEAIPALQKIVAPSDPKDNVHPRMCRWDCNKKSKDFYKTIQKLESSDQLWALETLELTADEALLEYFVDLDVPLSESNEYLPIGHMEDRSDWGLGARQKKRSLKEKRASSGTKRMRTATALVDEMMDEGDDHGQGSEQSLDHGLAAPEPPTDPPQMQSGNDPSFTEARTSPQAPIDLVQPHIANEPAFTEVTTGPEAPIGSTLPQTVNEPAGGPSDGAGLEHDDADDGQHHEPGVDVDIDDDVLGADGEHVTHVDDVVEEAVAVVVTLYNRSYRFLSWSTPNAIEIRSLSPESSVVHHGTAEILDPTEWARLKMASKYMASPFVDPLVTRRDVRDKIVEDYEAFKKEESARRNVSILGDQGADFFITLEDPNEEMTSEHIDACLNLLCKRMTGPKSKLYTTRGCMVDTIFFVNTIRMLHIEFSTEDARAKMQISDELRGYAEGKRPTYTKKWEDVDFILAPCNVGGHWVVAKIDLVRWTIKVVDSAITSDAKDNGVHASQMTPLTTLMPFICHQVGYFNNIRRKRRDLMPMPLDIHLSKAKVHLQNDSVSCGMFMIRYIEHIL >EOY22428 pep chromosome:Theobroma_cacao_20110822:3:23425831:23430651:1 gene:TCM_014600 transcript:EOY22428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 15 MLPLSSFSIASAQQSLIWISAFALLACLSVHGQITQASQEGVDATLSNSGEYLNVKRSHFPRDFVFGASTAAAQIEGSTTFGGRGPSVWDEFVRKFPDRIIDKSNLEVAIDSYKRYKEDALALKDLGVDAYRFSIPWTRILPDGSLSGGINQEGINHYNSLINELVKHGIKPFVTLFHFDSPEALEEKYGGFLNRTIVKDFKDYAEICFKTFGDRVKNWITINEPLVIAKFGYGLGMAPPGRCSDRKACPAGNAATEPYIAVHNLLLAHATVARLYKEKYQAAQGGQIGLSLVGQYYEPYSNTLFDRVAAKRAMDFELGWFMEPLVRGEYPLSMRRLVKDRLPVFSAKEKELVKGSFDFIGINYYTARYAKNIPINPQAAPISYSADQHVNATVDKDGVLIGPSAGGSMFIYVYPKGLYKILKFMTKHYNKNLTIYITENGFTEKNDDSIPIHQAINDQPRIEFVQKHLQQLRRAINIDVNVKGYFYWSLFDDFEWTEGFSVRYGLYYVDYKNNLKRIPKKSATWYHDFVKGA >EOY22454 pep chromosome:Theobroma_cacao_20110822:3:23498536:23503826:1 gene:TCM_014615 transcript:EOY22454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase/uracil phosphoribosyltransferase 1 MRCCLWYIYACRLQNHKLCFLFLHQNTKIKTKPKSGETRNPSSTMDHVMRATSGAHFSGLRPDGIVSSQLTVPQAAEYNAPKQPFVIGVSGGTASGKTTVCGKIIHQLHDHRVVLVNQDSFYRGLTDEEVKLVHKFNFDHPDAFDTEQMLECICKLKSGQSVHLPIYDFKQHRRRSDSFRQRGRTLQSVLEQYAKFVKPAFDDFILPSKKYADVIIPRGGENHVAIDLIVQHIGTKLGQHNLCKIYPNLNVIQSTFQIRGMHTLIRDRDTNKNDFAFYSDRLIRLVVEHGLGYLPFTEKQVITPTGSVYAGVDFCKKLCGVSIVRSGESMENALRACCKGIRIGKILIHREGDKGKQLIYEKLPIDISQRHVLLLDPVLATGYSAKQAIQLLLEKGVPESQIIFLNLVSAPEGIHCVCRQFPSVKIVTSEIDVALNEELRVIPGLGEFGDRYFGTDNYSLPIED >EOY23844 pep chromosome:Theobroma_cacao_20110822:3:28757671:28760786:1 gene:TCM_015614 transcript:EOY23844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase 11 isoform 3 MGFPRFFCYASIILSISAYLFPIMVNSIGINYGQIANNLPSPEDVVPLVKSIGATKVKLYDADPGVLSAFANTGVEFIVGLGNEYLDRMQDPAKAEAWVKQNVQAHLPATKVTCIFVGNEVLTFNDTTLSDNLLPAMQSVHTALVNLGLDKQVTVTTAHSLAILETSYPPSAGAFREDLIDCLSETLSFHQKTGSPFLINAYPYFAYKGNPKQVSLDFVLFQPNQGVIDQATNLHYDNMLYAQIDAVYSALASLGYKKLAVHISETGWPSKGDEDEAGATPDNAKKYNGNLIKLMSKKTGTPMRPNSDLNIYVFALFNENMKPGPTSERNYGLFKPDGTPVYPLGISTNDVVGSNTTAGGSIGNAVTQPSSPTSSSTGYLSISSATGKISIHRGNGEGDIKRIRGSFVVRERVLGC >EOY23847 pep chromosome:Theobroma_cacao_20110822:3:28757267:28760112:1 gene:TCM_015614 transcript:EOY23847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase 11 isoform 3 MGFPRFFCYASIILSISAYLFPIMVNSIGINYGQIANNLPSPEDVVPLVKSIGATKVKLYDADPGVLSAFANTGVEFIVGLGNEYLDRMQDPAKAEAWVKQNVQAHLPATKVTCIFVGNEVLTFNDTTLSDNLLPAMQSVHTALVNLGLDKQVTVTTAHSLAILETSYPPSAGAFREDLIDCLSETLSFHQKTGSPFLINAYPYFAYKGNPKQVSLDFVLFQPNQGVIDQATNLHYDNMLYAQIDAVYSALASLGYKKLAVHISETGWPSKGDEDEAGATPDNAKKYNGNLIKLMSKKTGTPMRPNSDLNIYVFALFNENMKPGPTSERNYGLFKPDGTPVYPLGISTNDVVGSNTTAGGSIGNAVTQPSSPTSSSTGYLSISSANF >EOY23849 pep chromosome:Theobroma_cacao_20110822:3:28758099:28760706:1 gene:TCM_015614 transcript:EOY23849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase 11 isoform 3 MGFPRFFCYASIILSISAYLFPIMVNSIGINYGQIANNLPSPEDVVPLVKSIGATKVKLYDADPGVLSAFANTGVEFIVGLGNEYLDRMQDPAKAEAWVKQNVQAHLPATKVTCIFVGNEVLTFNDTTLSDNLLPAMQSVHTALVNLGLDKQVTVTTAHSLAILETSYPPSAGAFREDLIDCLSETLSFHQKTGSPFLINAYPYFAYKGNPKQVSLDFVLFQPNQGVIDQATNLHYDNMLYAQIDAVYSALASLGYKKLAVHISETGWPSKGDEDEAGATPDNAKKYNGNLIKLMSKKTGTPMRPNSDLNIYVFALFNENMKPGPTSERNYGLFKPDGTPVYPLGISTNDVVGSNTTAGGSIGNAVTQPSSPTSSSTGYLSISSATGVRLLIERVW >EOY23846 pep chromosome:Theobroma_cacao_20110822:3:28757671:28760786:1 gene:TCM_015614 transcript:EOY23846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase 11 isoform 3 MGFPRFFCYASIILSISAYLFPIMVNSIGINYGQIANNLPSPEDVVPLVKSIGATKVKLYDADPGVLSAFANTGVEFIVGLGNEYLDRMQDPAKAEAWVKQNVQAHLPATKVTCIFVGNEVLTFNDTTLSDNLLPAMQSVHTALVNLGLDKQVTVTTAHSLAILETSYPPSAGAFREDLIDCLSETLSFHQKTGSPFLINAYPYFAYKGNPKQVSLDFVLFQPNQGVIDQATNLHYDNMLYAQIDAVYSALASLGYKKLAVHISETGWPSKGDEDEAGATPDNAKKYNGNLIKLMSKKTGTPMRPNSDLNIYVFALFNENMKPGPTSERNYGLFKPDGTPVYPLGISTNDVVGSNTTAGGSIGNAVTQPSSPTSSSTGYLSISSATERYQFIGHVLLPASFLLIKTLV >EOY23845 pep chromosome:Theobroma_cacao_20110822:3:28757671:28760786:1 gene:TCM_015614 transcript:EOY23845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase 11 isoform 3 MGFPRFFCYASIILSISAYLFPIMVNSIGINYGQIANNLPSPEDVVPLVKSIGATKVKLYDADPGVLSAFANTGVEFIVGLGNEYLDRMQDPAKAEAWVKQNVQAHLPATKVTCIFVGNEVLTFNDTTLSDNLLPAMQSVHTALVNLGLDKQVTVTTAHSLAILETSYPPSAGAFREDLIDCLSETLSFHQKTGSPFLINAYPYFAYKGNPKQVSLDFVLFQPNQGVIDQATNLHYDNMLYAQIDAVYSALASLGYKKLAVHISETGWPSKGDEDEAGATPDNAKKYNGNLIKLMSKKTGTPMRPNSDLNIYVFALFNENMKPGPTSERNYGLFKPDGTPVYPLGISTNDVVGSNTTAGGSIGNAVTQPSSPTSSSTGYLSISSATQERYQFIGHVLLPASFLLIKTLV >EOY23848 pep chromosome:Theobroma_cacao_20110822:3:28757671:28760786:1 gene:TCM_015614 transcript:EOY23848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase 11 isoform 3 MGFPRFFCYASIILSISAYLFPIMVNSIGINYGQIANNLPSPEDVVPLVKSIGATKVKLYDADPGVLSAFANTGVEFIVGLGNEYLDRMQDPAKAEAWVKQNVQAHLPATKVTCIFVGNEVLTFNDTTLSDNLLPAMQSVHTALVNLGLDKQVTVTTAHSLAILETSYPPSAGAFREDLIDCLSETLSFHQKTGSPFLINAYPYFAYKGNPKQVSLDFVLFQPNQGVIDQATNLHYDNMLYAQIDAVYSALASLGYKKLAVHISETGWPSKGDEDEAGATPDNAKKYNGNLIKLMSKKTGTPMRPNSDLNIYVFALFNENMKPGPTSERNYGLFKPDGTPVYPLGISTNDVVGSNTTAGGSIGNAVTQPSSPTSSSTGYLSISSATERYQFIGHVLLPASFLLIKTLV >EOY24441 pep chromosome:Theobroma_cacao_20110822:3:31003499:31004394:-1 gene:TCM_016044 transcript:EOY24441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein, putative MAAGSYFSVAALAILIQLTINLNLCLAAAPIHPSGRNTRFIRTSCRATLYPNLCFTTFSRYTTRIRGSPRLLASTALSMAFNTTRSTTKAFINLSKHRGLERSEAAALRDCVEQLGDSVDELKDSISAMSPPRRGDDFRSRMSDLQTWVSAALTNDDTCMGGFPRKAMKRDFKTVRRQVVKVAHLTSIALAFVNRYAAATH >EOY22538 pep chromosome:Theobroma_cacao_20110822:3:23834531:23835622:1 gene:TCM_014682 transcript:EOY22538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPILMQKFQAIKKSKKCKKQQLLDKFFLYTVIAFTSSVFCSSPFWFPYLCSSMKGFLFISLPKMSSIFYSPKLLFLVGNLIVVLLIGESRFFAAGPSLPGDAYYDEYVDRSRSLRNSSTLELKKEQKMEPSDQENLKRTCQVGGKVVSVTGRLVEEIKEVKREKEALGGEHELILPTEELKKRADDFIARVNRQRRLEARLLLN >EOY24870 pep chromosome:Theobroma_cacao_20110822:3:32527870:32528881:1 gene:TCM_016353 transcript:EOY24870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycofamily protein, putative MLESEKYKRMQNMKCYAYIIAGVVFQTIIILVFALTVMRIKTPSARLRSVTVQSLNYNASGVPHFNMRLIMEIAVKNKNFGHFRFDNTTANVTFGSVMVGDGEIVKSRARARKTKRMNVTVDVSSSAVSDEDELRTKLSSGTLTLTGVARLRGKVTLMKLMKKRKTAEMNCTMTVNLNSHAVQDLDCE >EOY22035 pep chromosome:Theobroma_cacao_20110822:3:20796060:20798213:-1 gene:TCM_014213 transcript:EOY22035 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0139 membrane protein MSSHNNNSASVNDPRQPSAAKPFVAQPVSPQDLPVDYSGFIAVVFGIAGVMFRYKLSSWLAIIFCAQSLANMRNVENDLKQISMAMMFAIMGLVTNYLGPARPGTQS >EOY23379 pep chromosome:Theobroma_cacao_20110822:3:27198903:27203157:1 gene:TCM_015293 transcript:EOY23379 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLAC1, putative isoform 1 MDRGEHLVSVELQESPEPVPSLLKVISSNEVAGFDSIIQESETSFSNPFNISQPTGHQRKLSISISMPSSPTTASSAGTKSVFFLDDNAKDFRDGVPDSSQSSETLGNREPKRVKFLSQPMPKGSVFGEAANIRNINHHPSIKKLKDKRFDSFKTWSGRLERQLSNLRGKPRGSESEEDSVRRNPENEALPVDRYFDALEGPELETLRASEEIVLPDDKTWPFLLRYPISSFGICLGVSSQAVMWKTLATANSTKFLHISLIVNLILWWISVALVVIVSSIYLLKVILYFEAVRREYYHPIRVNFFFAPWITLLFLALGVPPSVASSLPVALWYVLMTPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGAQLGASMGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWATIQGFFDYGSRIAYFIALFLYFSLAVRVNFFRGFKFSLAWWAYTFPMTGAAVATMRYSSAVTNIVTQTLSIILSVVATLTRQKTKTS >EOY23380 pep chromosome:Theobroma_cacao_20110822:3:27198903:27203157:1 gene:TCM_015293 transcript:EOY23380 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLAC1, putative isoform 1 MDRGEHLVSVELQESPEPVPSLLKVISSNEVAGFDSIIQESETSFSNPFNISQPTGHQRKLSISISMPSSPTTASSAGTKSVFFLDDNAKDFRDGVPDSSQSSETLGNREPKRVKFLSQPMPKGSVFGEAANIRNINHHPSIKKLKDKRFDSFKTWSGRLERQLSNLRGKPRGSESEEDSVRRNPENEALPVDRYFDALEGPELETLRASEEIVLPDDKTWPFLLRYPISSFGICLGVSSQAVMWKTLATANSTKFLHISLIVNLILWWISVALVVIVSSIYLLKVILYFEAVRREYYHPIRVNFFFAPWITLLFLALGVPPSVASSLPVALWYVLMTPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGAQLGASMGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWATIQGFFDYGSRIAYFIALFLYFSLAVRVNFFRGFKYVLIGLVGIYFPNDWCCRCYHEIFKCSDEYSNSNSFYHTLCCCYTHSNSPAHNDYIACFCPTRPLP >EOY23378 pep chromosome:Theobroma_cacao_20110822:3:27198887:27203327:1 gene:TCM_015293 transcript:EOY23378 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLAC1, putative isoform 1 MDRGEHLVSVELQESPEPVPSLLKVISSNEVAGFDSIIQESETSFSNPFNISQPTGHQRKLSISISMPSSPTTASSAGTKSVFFLDDNAKDFRDGVPDSSQSSETLGNREPKRVKFLSQPMPKGSVFGEAANIRNINHHPSIKKLKDKRFDSFKTWSGRLERQLSNLRGKPRGSESEEDSVRRNPENEALPVDRYFDALEGPELETLRASEEIVLPDDKTWPFLLRYPISSFGICLGVSSQAVMWKTLATANSTKFLHISLIVNLILWWISVALVVIVSSIYLLKVILYFEAVRREYYHPIRVNFFFAPWITLLFLALGVPPSVASSLPVALWYVLMTPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGAQLGASMGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWATIQGFFDYGSRIAYFIALFLYFSLAVRVNFFRGFKFSLAWWAYTFPMTGAAVATMRYSSAVTNIVTQTLSIILSVVATLTVTALLITTILHAFVLRDLFPNDIAIAIAISDRKPKPHKKWFNVRQGSSDHSKDIETFLKFANADSKDIEAALKIPTAEAK >EOY24849 pep chromosome:Theobroma_cacao_20110822:3:32431246:32432901:-1 gene:TCM_016337 transcript:EOY24849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIIQQHTCTIDVNTFQCYLSASPPEIGVNGAIYHVRYSSTGSMYVTASKDGAIRLWDGISHSWCTWSSRGHKCMFYEGSKNKKLYMLFVILLNGENMSCQKNIYNLLKHQFYLEKIDPVAINISPTTVPVPKIGTRSLNSTMRFL >EOY22561 pep chromosome:Theobroma_cacao_20110822:3:23915085:23917409:-1 gene:TCM_014696 transcript:EOY22561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein, putative MDVVKMKWKKNVLFRAWERCWSLGTGGKKSSGTSCDALRKSKSWHSTTTRSSSLEEDEGKKRRQVAPEGCFSVYVGPQRQRFVVKTEFANHPLFKMLLEDAELEYGFSSEGPLLLPCDVDLFYKVLAEMDGGKEISPVRGFAYSPLILCSPSRRQSSSINKGYGSYKLLTPSRLLKLNSY >EOY23662 pep chromosome:Theobroma_cacao_20110822:3:28143944:28147874:1 gene:TCM_015480 transcript:EOY23662 gene_biotype:protein_coding transcript_biotype:protein_coding description:YELLOW STRIPE like 3 isoform 2 MGTTNMEEMKEIERVEREDLEEKNTETEDLKRIAPWMRQITIRGLIASFLIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVLVRSWTKLLQKAGFVATPFTRQENTIIQTCAVACYSIAVGGGFGSYLLGLNRKTYEQAGVDSAGNNPGSIKEPGIGWMIGFLFVSSFVGLLALVPLRKIMIIDYKLTYPSGTATAVLINGFHTPKGDKIAKKQVHGFLKFFSLSFLWAFFQWFYAGGDRCGFAQFPTFGLKAWKNSFYFDFSMTYIGAGMICSHLVNLSLLLGAVLSWGVMWPLIGGLKGEWFTATLPESSMKSLNGYKVFISIALILGDGLYNFLKILFFTARSIHVRVKNNNSKTFSDSQKQHVDVLQRNELFVRESIPMWVACLGYTLFSIISIIVIPLMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDINMAYNYGKVALFVLAALSGKENGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLLSQAIGTAIGCVVAPLTFFLFYKAFDVGNPDSEYKAPYALIYRNMAILGVQGFSALPQHCLQLCYGFFSFAIAANLLRDFTPKNIGKWAPLPMAMAVPFLVGAYFAIDMCVGSLVVFAWHKLNGKKAGLMIPAVASGLICGDGLWLLPSSILALFKVRPPICMNFLATT >EOY23663 pep chromosome:Theobroma_cacao_20110822:3:28143153:28147874:1 gene:TCM_015480 transcript:EOY23663 gene_biotype:protein_coding transcript_biotype:protein_coding description:YELLOW STRIPE like 3 isoform 2 MGTTNMEEMKEIERVEREDLEEKNTETEDLKRIAPWMRQITIRGLIASFLIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVLVRSWTKLLQKAGFVATPFTRQENTIIQTCAVACYSIAVGGGFGSYLLGLNRKTYEQAGVDSAGNNPGSIKEPGIGWMIGFLFVSSFVGLLALVPLRKIMIIDYKLTYPSGTATAVLINGFHTPKGDKIAKKQVHGFLKFFSLSFLWAFFQWFYAGGDRCGFAQFPTFGLKAWKNSFYFDFSMTYIGAGMICSHLVNLSLLLGAVLSWGVMWPLIGGLKGEWFTATLPESSMKSLNGYKVFISIALILGDGLYNFLKILFFTARSIHVRVKNNNSKTFSDSQKQHVDVLQRNELFVRESIPMWVACLGYTLFSIISIIVIPLMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDINMAYNYGKVALFVLAALSGKENGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLLSQAIGTAIGCVVAPLTFFLFYKAFDVGNPDSEYKAPYALIYRNMAILGVQGFSALPQHCLQLCYGFFSFAIAANLLRDFTPKNIGKWAPLPMAMAVPFLVGAYFAIDMCVGSLVVFAWHKLNGKKAGLMIPAVASGLICGDGLWLLPSSILALFKVRPPICMNFLATT >EOY23661 pep chromosome:Theobroma_cacao_20110822:3:28143208:28148146:1 gene:TCM_015480 transcript:EOY23661 gene_biotype:protein_coding transcript_biotype:protein_coding description:YELLOW STRIPE like 3 isoform 2 MGTTNMEEMKEIERVEREDLEEKNTETEDLKRIAPWMRQITIRGLIASFLIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVLVRSWTKLLQKAGFVATPFTRQENTIIQTCAVACYSIAVGGGFGSYLLGLNRKTYEQAGVDSAGNNPGSIKEPGIGWMIGFLFVSSFVGLLALVPLRKIMIIDYKLTYPSGTATAVLINGFHTPKGDKIAKKQVHGFLKFFSLSFLWAFFQWFYAGGDRCGFAQFPTFGLKAWKNSFYFDFSMTYIGAGMICSHLVNLSLLLGAVLSWGVMWPLIGGLKGEWFTATLPESSMKSLNGYKVFISIALILGDGLYNFLKILFFTARSIHVRVKNNNSKTFSDSQKQHVDVLQRNELFVRESIPMWVACLGYTLFSIISIIVIPLMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDINMAYNYGKVALFVLAALSGKENGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLLSQAIGTAIGCVVAPLTFFLFYKAFDVGNPDSEYKAPYALIYRNMAILGVQGFSALPQHCLQLCYGFFSFAIAANLLRDFTPKNIGKWAPLPMAMAVPFLVGAYFAIDMCVGSLVVFAWHKLNGKKAGLMIPAVASGLICGDGLWLLPSSILALFKSQSVNNSYIARNC >EOY21722 pep chromosome:Theobroma_cacao_20110822:3:17628210:17631139:1 gene:TCM_013826 transcript:EOY21722 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family isoform 1 MGNLFCCIQVDQSTVAIKERFGRFDDVLEPGCHCLPWFLGSQLAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKASDAFYKLSNTRTQIQAYVFDAARLRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVATQIRDGLLQAAHQ >EOY21721 pep chromosome:Theobroma_cacao_20110822:3:17628181:17631062:1 gene:TCM_013826 transcript:EOY21721 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family isoform 1 MGNLFCCIQVDQSTVAIKERFGRFDDVLEPGCHCLPWFLGSQLAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKASDAFYKLSNTRTQIQAYVFDVIRASVPKLNLDDVFEQKTEIAKAVEEELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVATQIRDGLLQAAHQ >EOY23028 pep chromosome:Theobroma_cacao_20110822:3:25851089:25854000:-1 gene:TCM_015040 transcript:EOY23028 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 1 MGNHTGLKTFTLHAMAIDCVSNLASMPHHPKDERNDEQKQLVFDVSVLKYDSNIPKEFIWPDDEKPCVNAPELQVPLIDLGDFLSGDSAAAKEASRLVGEACQQHGFFLVVNHGVDATLVADAHKYMDNFFELPLSEKQRAHRKLGEHCGYASSFTGRFSSKLPWKETLSFRYSAEKNSSRMVEDYLVNKMGDEFRQFGRVYQDYCEAMSKLSLGIMELLAISLGVGRAHFREFFEENDSIMRLNYYPPCQKPDLTLGTGPHCDPTSLTILHQDRVGGLQVFVDNEWRSISPNFEAFVVNIGDTFMALSNGRYKSCLHRAVVNSHTPRKSLAFFLCPKGDKVVTPPTELVDAYSPRIYPDFTWPMLLEFTQKHYRADMNTLEVFSNWVQQRNS >EOY23027 pep chromosome:Theobroma_cacao_20110822:3:25841365:25853965:-1 gene:TCM_015040 transcript:EOY23027 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 1 MGNHTVQRISSHKGRHSAVSGWALPFGTEIYGSDEDSKTPLLEDSEVLAGLKTFTLHAMAIDCVSNLASMPHHPKDERNDEQKQLVFDVSVLKYDSNIPKEFIWPDDEKPCVNAPELQVPLIDLGDFLSGDSAAAKEASRLVGEACQQHGFFLVVNHGVDATLVADAHKYMDNFFELPLSEKQRAHRKLGEHCGYASSFTGRFSSKLPWKETLSFRYSAEKNSSRMVEDYLVNKMGDEFRQFGRVYQDYCEAMSKLSLGIMELLAISLGVGRAHFREFFEENDSIMRLNYYPPCQKPDLTLGTGPHCDPTSLTILHQDRVGGLQVFVDNEWRSISPNFEAFVVNIGDTFMALSNGRYKSCLHRAVVNSHTPRKSLAFFLCPKGDKVVTPPTELVDAYSPRIYPDFTWPMLLEFTQKHYRADMNTLEVFSNWVQQRNS >EOY23864 pep chromosome:Theobroma_cacao_20110822:3:28783459:28786902:-1 gene:TCM_015619 transcript:EOY23864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, putative isoform 1 MDVGYHKSFESSFESADTTGKVITCKAWGPGQPLVMEDVQVAPPQQMEVRVKILFTSICHTDLSAWKGENEAQRAYPRILGHEASGIVESVGEGVEDMKKGDHVIPIFQGQCGNCICCRNEKTNLCQRFGVNPLKSVMVNDGKTRFSTKDGNPIFHFLNTSTFSEYTVLDSACVVKIDFEAPLETMSLLSCGVSTGVGAAWNVANLQPGSTVAIFGLGAVGLAVAEGARARGASKIIGVDINPNKFAKGKAVGITEFINPKDLGVPVHERIGAMTDGGVDYSFECAGNLEVLREAFLSTHTGWGLTVLLGIHPSPMLLPLHPMELFNGRQIIGSIFGGFKGKTQLPKFAKQCMCGTLNLDEFITHELPFEKINEAFQLLIDGKSLRCLLHL >EOY23863 pep chromosome:Theobroma_cacao_20110822:3:28783420:28786781:-1 gene:TCM_015619 transcript:EOY23863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, putative isoform 1 MDVGYHKSFESSFESADTTGKVITCKAAVAWGPGQPLVMEDVQVAPPQQMEVRVKILFTSICHTDLSAWKGENEAQRAYPRILGHEASGIVESVGEGVEDMKKGDHVIPIFQGQCGNCICCRNEKTNLCQRFGVNPLKSVMVNDGKTRFSTKDGNPIFHFLNTSTFSEYTVLDSACVVKIDFEAPLETMSLLSCGVSTGVGAAWNVANLQPGSTVAIFGLGAVGLAVAEGARARGASKIIGVDINPNKFAKGKAVGITEFINPKDLGVPVHERIGAMTDGGVDYSFECAGNLEVLREAFLSTHTGWGLTVLLGIHPSPMLLPLHPMELFNGRQIIGSIFGGFKGKTQLPKFAKQCMCGTLNLDEFITHELPFEKINEAFQLLIDGKSLRCLLHL >EOY23865 pep chromosome:Theobroma_cacao_20110822:3:28784108:28786902:-1 gene:TCM_015619 transcript:EOY23865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase, putative isoform 1 MDVGYHKSFESSFESADTTGKVITCKAAVAWGPGQPLVMEDVQVAPPQQMEVRVKILFTSICHTDLSAWKGENEAQRAYPRILGHEASGIVESVGEGVEDMKKGDHVIPIFQGQCGNCICCRNEKTNLCQRFGVNPLKSVMVNDGKTRFSTKDGNPIFHFLNTSTFSEYTVLDSACVVKIDFEAPLETMSLLSCGVSTGVGAAWNVANLQPGSTVAIFGLGAVGLAVAEGARARGASKIIGVDINPNKFAKGKAVGITEFINPKDLGVPVHEVSELQPKIELRTSCTQN >EOY24438 pep chromosome:Theobroma_cacao_20110822:3:30992711:30993774:-1 gene:TCM_016041 transcript:EOY24438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein, putative MASLYASIAVLAALFQLTIHLNSCLAARTNLSKEANTQFIKTSCSSTVYPDVCFTTLSSYASEIQASPKLLASTALSVTLNATRSASTTMIKRSKSQGMKPREAEALSDCMEELRDSVYELQRSVGEMDQPGGKNFGLQMNDIETWVSAALTDEHTCMDGFSGKAMNGNVKNSVRSQIVKVAHMTSNALALVNSYAAAVQNP >EOY25139 pep chromosome:Theobroma_cacao_20110822:3:33395378:33397758:-1 gene:TCM_016552 transcript:EOY25139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 39, putative MGRSPCCSDEANLKKGPWTPEEDQKLVDYINKHGHGCWRTLPKHAGLNRCGKSCRLRWANYLRPDIKRGKFSEEEERIIINLHSVLGNKWSKIATHLPGRTDNEIKNFWNTHIRKKLLNMGLDPNTHKSRTDINHLFNLSQLLCAAQLGNLMNPWDSTAFKVQADAAELAKIQLLQNLMQILNTKKLSNVRAGLVGSQNSCPFEGVGLVNGTSSVYANKTASIPQNFQSAGSMTQTSSDYQQGVDNSWACFEGEFKYESRDMNDKCLSSSCDFQTDNSLSALVSESSEIASMNQMEHKTNTNHCSTISADTSIFQAWEKLMDDETGDSYWKDILDLTSSSSSPIEW >EOY21653 pep chromosome:Theobroma_cacao_20110822:3:15519926:15551213:1 gene:TCM_013665 transcript:EOY21653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein isoform 1 MRGWCAVSSSGSLSLNFGLYPSPKAANGACGCCFHHGFPRKVVGIKSNNNTRKVHRAISISCCASASNSNDKVCQAEIENFVVVNFYRFVFIKDPQHEVAKHLTFLKGLNIHGRIYINEQGINAQYSGPSEETFAYVKWLKEDEKFSDILVQTSPALNGHTFPKLKLRYKPSLVQFEGGVSHLPLLDPSMRATPLAPSEWRERLEAVDNNDKASNSNPSTDYILLDVRNGYEWDIGHFQGAQRPDVDCFRGTSFGLSPIEGVASDLLSNVDKQKTNIMMYCTGGIRCDVYSTILRQQGFQNLYTVEGGVSHYLKTEGPVKWVGNLFTFDSRLSLPPSTYNEETLIEASMTQQALENDKFAKCYVCGSQVSELRHRNCANLDCNLLFLCCANCLMDLRGCCCYNCTTAPRRRPVLPGFQRYKKWHMYRDLEV >EOY21652 pep chromosome:Theobroma_cacao_20110822:3:15504890:15559224:1 gene:TCM_013665 transcript:EOY21652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein isoform 1 MRGWCAVSSSGSLSLNFGLYPSPKAANGACGCCFHHGFPRKVVGIKSNNNTRKVHRAISISCCASASNSNDKVCQAEIENFVVVNFYRFVFIKDPQHEVAKHLTFLKGLNIHGRIYINEQGINAQYSGPSEETFAYVKWLKEDEKFSDILVQTSPALNGHTFPKLKLRYKPSLVQFEGGVSHLPLLDPSMRATPLAPSEWRERLEAVDNNDKASNSNPSTDYILLDVRNGYEWDIGHFQGAQRPDVDCFRGTSFGLSPIEGVASDLLSNVDKQKTNIMMYCTGGIRCDVYSTILRQQGFQNLYTVEGGVSHYLKTEGPVKWVGNLFTFDSRLSLPPSTYNEETLIEASMTQQALENDKFAKCYVCGSQVSELRHRNCANLDCNLLFLCCANCLMDLRGCCCYNCTTAPRRRPVLPGFQRYKKWHIVCARCGGMHMPVMVMMIVPVCTMWGDGTDCARCGEMHTMTPAMCMMWGDAHELDVMIVVFDERRILMEEGEQF >EOY24902 pep chromosome:Theobroma_cacao_20110822:3:32616087:32616855:-1 gene:TCM_016376 transcript:EOY24902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive (dirigent-like protein) family protein MLPCLLIPACISTLCLGYQKALNPQKPCKRFVLYYHDFLFGGDDMANATSAAATNMTKLGNFNFGMLVVFDDPMTKDQRLLSHPVARAQGFYFYDMKTDYNAWFAYTLIFNSSHHKGTLNIMGADMMMEKTRDLSVVGGRRDFFMARGIATFKTDTMQGDKYFRLKKDIKLYECH >EOY23526 pep chromosome:Theobroma_cacao_20110822:3:27729127:27739744:-1 gene:TCM_015395 transcript:EOY23526 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 16 isoform 1 MIIKRNLKSQMPSLKRCKLGDSVGEDEDNSGTTRKKQKINGYYPLTLLGEVAAGIIPVSLHRIIASGQAEKAFAASWCTEVSCSPGEVESKSKGSDSSKAKNRTVEIARPPLVRTSRGRVQVLPSRFNDSVIENWKKESKTSLRDYSFEDDDDDDDFECKKDKFSFKTPKTCKQNQKNRRNEEKNGYKGRKYATLCEEDQREAGHGRTFDIRKYSSSLSSLTSVHEQFVDEDEKYANGVGIVDLTAEEQLLRENGERKDGLYGPEDFYSGDIVWARPGKREPFWPAIVIDPMTQAPEVVLRSCIPEAACVMFFGHSGNENQRDYAWVRRGMIFPFVDFLDRFHEQRELNRCKPSDFQLAMEEAFLAEQGFTEKLIHDINIAAGNPTYDETVLRWVQEATGSNQDQDYHLPNQGLLGKHNDARPCEGCGMILPFKMGKKMKTSTPGGQFLCKTCARLTKSKHYCGICKKIWNHSDSGSWVRCDGCKVWVHAECDKISSHHFKDLGATDYYCPTCKAKFNFELSDSEKWQPKAKSNKNNGQLVLPNKVAVLCCGVEGIYYPSLHLVVCKCGSCGSEKQALSEWERHTGSRERNWRISVKVKGSMLPLEQWMLQLAEYHANATASSKPPKRPSIRERKQKLLAFLREKYEPVHAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARNVRDFTSWVCKACETPEVTRECCLCPVKGGALKPTDVETLWVHVTCAWFQPEVSFASDEKMEPALGILSIPSNSFVKICVICKQIHGSCTQCCKCSTYYHAMCASRAGYRMELHCLEKNGRQITKMVSYCAYHRAPNPDTVLIIQTPLGVFSAKSLAQNKKKTGSRLISSSRMKVEEVPTVETTNVEPFSAARCRVFKRSNNNRKRTEEEAIAHQVMRPCHHPLSTIQSLNEFRVVEEPKDFSSFRERLYHLQRTENDRVCFGRSGIHGWGLFARRNIQEGEMVLEYRGEQVRRSIADLREARYRIEGKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVGDEESRIVLIAKTNVSAGDELTYDYLFDPDEPDEFKVPCLCKAPNCRKFMN >EOY23528 pep chromosome:Theobroma_cacao_20110822:3:27729202:27739666:-1 gene:TCM_015395 transcript:EOY23528 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 16 isoform 1 MIIKRNLKSQMPSLKRCKLGDSVGEDEDNSGTTRKKQKINGYYPLTLLGEVAAGIIPVSLHRIIASGQAEKAFAASWCTEVSCSPGEVESKSKGSDSSKAKNRTVEIARPPLVRTSRGRVQVLPSRFNDSVIENWKKESKTSLRDYSFEDDDDDDDFECKKDKFSFKTPKTCKQNQKNRRNEEKNGYKGRKYATLCEEDQREAGHGRTFDIRKYSSSLSSLTSVHEQFVDEDEKYANGVGIVDLTAEEQLLRENGERKDGLYGPEDFYSGDIVWARPGKREPFWPAIVIDPMTQAPEVVLRSCIPEAACVMFFGHSGNENQRDYAWVRRGMIFPFVDFLDRFHEQRELNRCKPSDFQLAMEEAFLAEQGFTEKLIHDINIAAGNPTYDETVLRWVQEATGSNQDQDYHLPNQGLLGKHNDARPCEGCGMILPFKMGKKMKTSTPGGQFLCKTCARLTKSKHYCGICKKIWNHSDSGSWVRCDGCKVWVHAECDKISSHHFKDLGATDYYCPTCKAKFNFELSDSEKWQPKAKSNKNNGQLVLPNKVAVLCCGVEGIYYPSLHLVVCKCGSCGSEKQALSEWERHTGSRERNWRISVKVKGSMLPLEQWMLQLAEYHANATASSKPPKRPSIRERKQKLLAFLREKYEPVHAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARNVRDFTSWVCKACETPEVTRECCLCPVKGGALKPTDVETLWVHVTCAWFQPEVSFASDEKMEPALGILSIPSNSFVKICVICKQIHGSCTQCCKCSTYYHAMCASRAGYRMELHCLEKNGRQITKMVSYCAYHRAPNPDTVLIIQTPLGVFSAKSLAQNKKKTGSRLISSSRMKVEEVPTVETTNVEPFSAARCRVFKRSNNNRKTE >EOY23527 pep chromosome:Theobroma_cacao_20110822:3:27730414:27739155:-1 gene:TCM_015395 transcript:EOY23527 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 16 isoform 1 MIIKRNLKSQMPSLKRCKLGDSVGEDEDNSGTTRKKQKINGYYPLTLLGEVAAGIIPVSLHRIIASGQAEKAFAASWCTEVSCSPGEVESKSKGSDSSKAKNRTVEIARPPLVRTSRGRVQVLPSRFNDSVIENWKKESKTSLRDYSFEDDDDDDDFECKKDKFSFKTPKTCKQNQKNRRNEEKNGYKGRKYATLCEEDQREAGHGRTFDIRKYSSSLSSLTSVHEQFVDEDEKYANGVGIVDLTAEEQLLRENGERKDGLYGPEDFYSGDIVWARPGKREPFWPAIVIDPMTQAPEVVLRSCIPEAACVMFFGHSGNENQRDYAWVRRGMIFPFVDFLDRFHEQRELNRCKPSDFQLAMEEAFLAEQGFTEKLIHDINIAAGNPTYDETVLRWVQEATGSNQDQDYHLPNQGLLGKHNDARPCEGCGMILPFKMGKKMKTSTPGGQFLCKTCARLTKSKHYCGICKKIWNHSDSGSWVRCDGCKVWVHAECDKISSHHFKDLGATDYYCPTCKAKFNFELSDSEKWQPKAKSNKNNGQLVLPNKVAVLCCGVEGIYYPSLHLVVCKCGSCGSEKQALSEWERHTGSRERNWRISVKVKGSMLPLEQWMLQLAEYHANATASSKPPKRPSIRERKQKLLAFLREKYEPVHAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARNVRDFTSWVCKACETPEVTRECCLCPVKGGALKPTDVETLWVHVTCAWFQPEVSFASDEKMEPALGILSIPSNSFVKICVICKQIHGSCTQCCKCSTYYHAMCASRAGYRMELHCLEKNGRQITKMVSYCAYHRAPNPDTVLIIQTPLGVFSAKSLAQNKKKTGSRLISSSRMKVEEVPTVETTNVEPFSAARCRVFKRSNNNRKTE >EOY20926 pep chromosome:Theobroma_cacao_20110822:3:1812608:1814659:-1 gene:TCM_012252 transcript:EOY20926 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP family transcription factor, putative isoform 2 MFPSNINRYNDPIAYIDHSILHRHFPNDIISFSKPEDLPLSLFHFPSPCYNHCELELEEDYGVFLPQHHDLLLHQQTLTTDNSVSDTIIIMPDHSSKNEGSTINCTRKSHNATDQQMEKKRSSKRDRHSKINTAKGPRDRRMRLSLEVAREFFGLQDMLGYDKASRTVEWLLIQSRSEIKKLARSKLSQMNNSCSVAAAKSPSSTSEGEVVSGIDKAAVEGSISKGKPSTKEKKVRQPRKITFRPLARDLREKARARAKARTKEKTMRAQRFDESKLCDDTRNDDLNKFSYWSSVETGEESGSQHHIRNPSFQAAVAEVKELSSRDREHIRIHGDMGDESLAIMNNSTFNCLQNAGIHQEHQLTSFLSFGKSWDCYNNQSL >EOY20927 pep chromosome:Theobroma_cacao_20110822:3:1812643:1814552:-1 gene:TCM_012252 transcript:EOY20927 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP family transcription factor, putative isoform 2 MFPSNINRYNDPIAYIDHSILHRHFPNDIISFSKPEDLPLSLFHFPSPCYNHCELELEEDYGVFLPQHHDLLLHQQTLTTDNSVSDTIIIMPDHSSKNEGSTINCTRKSHNATDQQMEKKRSSKRDRHSKINTAKGPRDRRMRLSLEVAREFFGLQDMLGYDKASRTVEWLLIQSRSEIKKLARSKLSQMNNSCSVAAAKSPSSTSEGEVVSGIDKAAVEGSISKGKPSTKEKKVRQPRKITFRPLARDLREKARARAKARTKEKTMRAQRFDESKLCDDTRNDDLNKFSYWSSVETGEESGSQHHIRNPSFQAAVAEVKELSSRDREHIRIHGDMGDESLAIMNNSTFNCLQNAGIHQELTSFLSFGKSWDCYNNQSL >EOY23284 pep chromosome:Theobroma_cacao_20110822:3:26911412:26913467:1 gene:TCM_015229 transcript:EOY23284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MALRAGRLIQDQNLNVHYNGVSVGGQKKVSKAPKKGGTAGRKPLGDLSNSVNPIQKQAPKKENGHGFSIADKGTITTSKIPVDANRKNSVSNASERVLQNDSRKALSDISNSVKPCMRVTAEKNLNAKRSIVIEEECFLHNHQECIKAQKQAMHMDEFLQMVGLDKGKENLNLSGLTIQMSKSSLWFSLPKTPNFECMFFCLDFSRQSTLSKTPPISNKTKPKSSLKSLEPLEIPGLLIEDQSPLKHNLCSKLVSPSATRTPEPPNHFVHWADHDIVSFRLIETPYVPKH >EOY23281 pep chromosome:Theobroma_cacao_20110822:3:26910771:26913474:1 gene:TCM_015229 transcript:EOY23281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MLQVQFSCGPRPVREPNKERKIGFHQSPIRLVHITMFRKRKETRPIVDRGKESDLSTGNLKKNWLKKQERPAEFRPGSHTTIAVWNLESAQKIREMALRAGRLIQDQNLNVHYNGVSVGGQKKVSKAPKKGGTAGRKPLGDLSNSVNPIQKQAPKKENGHGFSIADKGTITTSKIPVDANRKNSVSNASERVLQNDSRKALSDISNSVKPCMRVTAEKNLNAKRSIVIEEECFLHNHQECIKAQKQAMHMDEFLQMVGLDKDFSRQSTLSKTPPISNKTKPKSSLKSLEPLEIPGLLIEDQSPLKHNLCSKLVSPSATRTPEPPNHFVHWADHDIVSFRLIETPYVPKH >EOY23283 pep chromosome:Theobroma_cacao_20110822:3:26911305:26913453:1 gene:TCM_015229 transcript:EOY23283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MALRAGRLIQDQNLNVHYNGVSVGGQKKVSKAPKKGGTAGRKPLGDLSNSVNPIQKQAPKKENGHGFSIADKGTITTSKIPVDANRKNSVSNASERVLQNDSRKALSDISNSVKPCMRVTAEKNLNAKRSIVIEEECFLHNHQECIKAQKQAMHMDEFLQMVGLDKDFSRQSTLSKTPPISNKTKPKSSLKSLEPLEIPGLLIEDQSPLKHNLCSKLVSPSATRTPEPPNHFVHWADHDIVSFRLIETPYVPKH >EOY23282 pep chromosome:Theobroma_cacao_20110822:3:26911308:26913453:1 gene:TCM_015229 transcript:EOY23282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 KSRTETRPIVDRGKESDLSTGNLKKNWLKKQERPAEFRPGSHTTIAVWNLESAQKIREMALRAGRLIQDQNLNVHYNGVSVGGQKKVSKAPKKGGTAGRKPLGDLSNSVNPIQKQAPKKENGHGFSIADKGTITTSKIPVDANRKNSVSNASERVLQNDSRKALSDISNSVKPCMRVTAEKNLNAKRSIVIEEECFLHNHQECIKAQKQAMHMDEFLQMVGLDKDNQHFQRHLQYQTRQSLRVP >EOY22254 pep chromosome:Theobroma_cacao_20110822:3:22637956:22650430:-1 gene:TCM_014476 transcript:EOY22254 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/surp domain-containing protein MDSDEEDCVFFGTPIEREEEITNRRKKAVAEASGNLRSLPAWKQEVRDEEGRRRFHGAFTGGFSAGYYNTVGSKEGWAPQSFTSSRKNRAEVKQQSIINFLDEDEKAELEGQYLGTSSQFDTFGFTAAEYARKQADKEQKQRPSAIPGPVPDELVLPAAESIGVKLLLRMGWRHGRAIKESNASSLYDARREARKAFLAFASDDTKASHPDHEPVEGQPESLAEQPATNDAKSSQSLPVFVLNPKQDLHGLGYDPFKHAPEFREKKRSHLSNNKQHGYRKAISIKDSPFGSKSGKAAPGFGIGALEEFDAEDEDIYAAGYDFQETYVEEDEEPSRLSIESKQKVVAKDQGILRGFKVASVSDYQLERFDPPLIPKDFVPHHKFPGSLETLKKLDVPSPPVVPPPDDNNLKLLIEGVATLVARCGKLFEDLSRKKNQSNPLFSFLCGGNGHDYYARKLWEEHQKRGNQGKLSLDGKLSPSVQKMTAESRGKLLGEKPLERSLKETPSSSVASGEFVQLQFNLSDTFTNPASFSELPEVAKPFKDDPAKQERFELFLKEKYEGGLRSTGSSAASNMSEAARARERLDFEAAAEAIEKAKRGKESMISTQPFDLLATGMQFTSGGLEQVKDAHAEDLVTKKMYPRRAEFQWRPLPILCKRFDLIDPHMGKPPPPPRMRSKMDSLLFMPDSVQGAKLEDVITNRDLPVAQTDAHKTIGDVAEKEIEIEVENVERPVDLYKAIFSDDSDDDVEDSNTNKVGDPEKKIETATTTLNRLIAGDFLESLGKELGLEVPPDAPYSTNKASIPAQIETPNGDAENAKIILVEGRTSCTSNAVSGTSLNPGQETARDSESSKNESIPGSSLRYSSKYTDGLSENISDKVNVEKFAQEDRRAKSPSRQQRNWSSSSSSEDERSRKHSRQHRHRSSDSCSDSSTDHRDRNRSRSKGRWKKSSREKSSSSRKHSKHHKHRNRDSAGRSRYGSEREHSEARKEKRKRRD >EOY21108 pep chromosome:Theobroma_cacao_20110822:3:3066519:3077084:1 gene:TCM_012445 transcript:EOY21108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITIVELAKLYERMKVLTAGIQQHISNFMVENVWEFYGAREKLVKDGLQAYSGGRYSNICKGLQQFCITISADQLKTFSVVLTSLEVLAFICVFFRPTKILYLGLVRYLPIKLEALRSFIFVVNSSQRLAMGTQSNSENEESQADHPEPKSIIQTLVSKAKDPKSRSLTNILGGGTVAGLLLWENAISSATVICIATEIWVLSTIIVENWIAQALLRIIAFVLLNLVSLALASVFTAFYLDKCVEEKINFCLNKVEGAIGSVIDQYRGELDFAGKVIGAFVETQGKSLTDTIKKSIKNGLDGALWPLYESVLQGLAAIVKPNLPAIFHSKICQEFLQMLLMISEGSQKKTFYGVVASLQVISIMCICFPPTTVLYIGYLCVATLPALCNKLKISTVLEKNFETKLDKISGNDESVPKSS >EOY22848 pep chromosome:Theobroma_cacao_20110822:3:25019178:25026379:1 gene:TCM_014901 transcript:EOY22848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MKPPFLLFLTFTFYLLKSSRLAFSASAPPLPLISLLSIKSSLKDPLSTFKDWDPTPTFSKPNFEDPVWCAWSGIKCNPKTAQVTSLDLSRRNLSGIIPAEIRYLTSLVNLNLSANYFDGPLQPAIFELTQLRTLDISHNSFNSTFPPGVSKLKFLKTFNAYSNSFRGPLPQEFIHLRFLEQLNLGGSYFEGEIPVGYGSFTRLKLLDLAGNTLVGTLPPKLGFLSQLERIEIGYNAFSGTVPVEFAQLSNLKYLDISNCTLSGPLPEELGNLTKLEALYFFKNSFTGEIPVSYTNLKALRVLDLSDNQLTGTIPEGLASLTELTWLSLIGNNLSGTIPDGVGELPNLNTLLLWNNNFSGILPQKLGSNGKLLSLDVSSNSLTGPIPPNLCYGNRLFKLILFSNMFMHELPGSLVNCTSLSRFRIQNNLLNGTIPYGFGLLTNLTFVDMSRNSFTGEIPQDLGYAPALQFLNISENSFNTALPSNIWGAPSLQIFSASSSKLTGKIPDFIGCKNVYKIELQGNFLNGSIPWDIDHCDKLLALNLSRNLLTGIIPWEISTLPSITAVDLSHNFLTGTIPSNFENCSTLETFNVAYNLLTGPIPSSGPIFPNLHPSSFSGNDGLCGRILAKPCPAEAMAAGDVEVRNKQQQPKKTAGAIVWIMAAAFGIGLFVLVAGTRCFHANYSRRFSDDREIGPWKLTAFQRLNFTADDVLECLSMTDKIIGMGSTGTVYKAEMPGGEIIAVKKLWGKHKENIRRRRGVLAEVDVLGNVRHRNIVRLLGCCSNRECTMLLYEYMPNGNLDDLLHGKNKGENLVADWVTRYKIALGVAQGICYLHHDCDPVIVHRDLKPSNILLDGEMEARVADFGVAKLIQSDESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSFGVVLMEILSGKRSVDSEFGDGNSIVDWVRSKIKSKDGIIHILDKNAGASCASVREEMMQMLTIALLCTSRNPADRPSMRDVVLMLQEAKPKRKLPESVVNGGSVVHVGADGTDDAIAQKATVEC >EOY22849 pep chromosome:Theobroma_cacao_20110822:3:25021596:25026308:1 gene:TCM_014901 transcript:EOY22849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MKPPFLLFLTFTFYLLKSSRLAFSASAPPLPLISLLSIKSSLKDPLSTFKDWDPTPTFSKPNFEDPVWCAWSGIKCNPKTAQVTSLDLSRRNLSGIIPAEIRYLTSLVNLNLSANYFDGPLQPAIFELTQLRTLDISHNSFNSTFPPGVSKLKFLKTFNAYSNSFRGPLPQEFIHLRFLEQLNLGGSYFEGEIPVGYGSFTRLKLLDLAGNTLVGTLPPKLGFLSQLERIEIGYNAFSGTVPVEFAQLSNLKYLDISNCTLSGPLPEELGNLTKLEALYFFKNSFTGEIPVSYTNLKALRVLDLSDNQLTGTIPEGLASLTELTWLSLIGNNLSGTIPDGVGELPNLNTLLLWNNNFSGILPQKLGSNGKLLSLDVSSNSLTGPIPPNLCYGNRLFKLILFSNMFMHELPGSLVNCTSLSRFRIQNNLLNGTIPYGFGLLTNLTFVDMSRNSFTGEIPQDLGYAPALQFLNISENSFNTALPSNIWGAPSLQIFSASSSKLTGKIPDFIGCKNVYKIELQGNFLNGSIPWDIDHCDKLLALNLSRNLLTGIIPWEISTLPSITAVDLSHNFLTGTIPSNFENCSTLETFNVAYNLLTGPIPSSGPIFPNLHPSSFSGNDGLCGRILAKPCPAEAMAAGDVEVRNKQQQPKKTAGAIVWIMAAAFGIGLFVLVAGTRCFHANYSRRFSDDREIGPWKLTAFQRLNFTADDVLECLSMTDKIIGMGSTGTVYKAEMPGGEIIAVKKLWGKHKENIRRRRGVLAEVDVLGNVRHRNIVRLLGCCSNRECTMLLYEYMPNGNLDDLLHGKNKGENLVADWVTRYKIALGVAQGICYLHHDCDPVIVHRDLKPSNILLDGEMEARVADFGVAKLIQSDESMSVIAGSYSLSMEFSSVLALISLMLYLNYYYYYDNDIAVYI >EOY24876 pep chromosome:Theobroma_cacao_20110822:3:32547570:32549210:1 gene:TCM_016358 transcript:EOY24876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKDGPHSSSLFLWKTNSPPPSFFRFKAQLFPISSSIIFFGVLFTVALLVPRPRLDLIPVTILATCTFFINVAGLLGRSEIVFSLSPKYSIFWFTGCNSAACEGPIARFSPICFFLEYEKEIF >EOY24478 pep chromosome:Theobroma_cacao_20110822:3:31131711:31132224:-1 gene:TCM_016073 transcript:EOY24478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MGKDLSDDQVSSMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKAIVAEEKLTAPFDFPRFLDLMAKHMKPEPFDRQLRDAFKVLDKESTGFVSVADLRHILTSIGEKLEPSEFDEWIREVDVGSDGKIRYEEFIARMVAK >EOY23596 pep chromosome:Theobroma_cacao_20110822:3:27974681:27978262:1 gene:TCM_015439 transcript:EOY23596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid-associated phosphatase 38 isoform 5 MALSSPQLQRFLSTDFNFTSNTKSANKNHLTTTTNIRARSPCRCSAIAIDAPSSLTDVAGIRWGSASVQGRREEMEDNLVIRSDGLDGFSFAAVFDGHGGVSSVEFLRDELYKECVTALQGGFLLNGGDFNAIRKALTKAFENADKKLLNWLETIGEGDDESGSTATVMLIGNEVLFISHVGDSCVVLSRAGKVEVLTDSHRPYGSNETSLQEIRRIREAGGWIVNGRICGDIAVSRAFGDTRFKTKKNEMLKKGVEERRWSEKFISRIVFNGDLVIASPDTFKVALGSGAEFILSASDGLWDYINSSDAVAFVRNQLREHGNVQQVACDALAQAALGSRL >EOY23593 pep chromosome:Theobroma_cacao_20110822:3:27974536:27978163:1 gene:TCM_015439 transcript:EOY23593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid-associated phosphatase 38 isoform 5 MALSSPQLQRFLSTDFNFTSNTKSANKNHLTTTTNIRARSPCRCSAIAIDAPSSLTDVAGIRWGSASVQGRREEMEDNLVIRSDGLDGFSFAAVFDGHGGVSSVEFLRDGSDESRDELYKECVTALQGGFLLNGGDFNAIRKALTKAFENADKKLLNWLETIGEGDDESGSTATVMLIGNEVLFISHVGDSCVVLSRAGKVEVLTDSHRPYGSNETSLQEIRRIREAGGWIVNGRICGDIAVSRAFGDTRFKTKKNELCSSPVLILKNFSMLKKGVEERRWSEKFISRIVFNGDLVIASPDTFKVALGSGAEFILSASDGLWDYINSSDAVAFVRNQLREHGNVQVACDALAQAALDQGSEDNISIIIADLGQTDWQSLPLEQQNFLYELGQALATVGIVSLGIWLSSQASF >EOY23597 pep chromosome:Theobroma_cacao_20110822:3:27974586:27977122:1 gene:TCM_015439 transcript:EOY23597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid-associated phosphatase 38 isoform 5 MALSSPQLQRFLSTDFNFTSNTKSANKNHLTTTTNIRARSPCRCSAIAIDAPSSLTDVAGIRWGSASVQGRREEMEDNLVIRSDGLDGFSFAAVFDGHGGVSSVEFLRDELYKECVTALQGGFLLNGGDFNAIRKALTKAFENADKKLLNWLETIGEGDDESGSTATVMLIGNEVLFISHVGDSCVVLSRAGKVEVLTDSHRPYGSNETSLQEIRRIREAGGWIVNGRICGDIAVSRAFGDTRFKTKKNEMLKKGVEERRWSEKFISRIVFNGDLVIASPDTFKVALGSGAEFILSASDGLWDYINSSDAVAFVRNQLREHGNVQVACDALAQAALVINSNLFLLIHITYICLYASSSNVRILS >EOY23595 pep chromosome:Theobroma_cacao_20110822:3:27974681:27978262:1 gene:TCM_015439 transcript:EOY23595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid-associated phosphatase 38 isoform 5 MALSSPQLQRFLSTDFNFTSNTKSANKNHLTTTTNIRARSPCRCSAIAIDAPSSLTDVAGIRWGSASVQGRREEMEDNLVIRSDGLDGFSFAAVFDGHGGVSSVEFLRDELYKECVTALQGGFLLNGGDFNAIRKALTKAFENADKKLLNWLETIGEGDDESGSTATVMLIGNEVLFISHVGDSCVVLSRAGKVEVLTDSHRPYGSNETSLQEIRRIREAGGWIVNGRICGDIAVSRAFGDTRFKTKKNEMLKKGVEERRWSEKFISRIVFNGDLVIASPDTFKVALGSGAEFILSASDGLWDYINSSSDAVAFVRNQLREHGNVQVACDALAQAALDQGSEDNISIIIADLGADRLAELAT >EOY23594 pep chromosome:Theobroma_cacao_20110822:3:27974676:27978086:1 gene:TCM_015439 transcript:EOY23594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid-associated phosphatase 38 isoform 5 MALSSPQLQRFLSTDFNFTSNTKSANKNHLTTTTNIRARSPCRCSAIAIDAPSSLTDVAGIRWGSASVQGRREEMEDNLVIRSDGLDGFSFAAVFDGHGGVSSVEFLRDELYKECVTALQGGFLLNGGDFNAIRKALTKAFENADKKLLNWLETIGEGDDESGSTATVMLIGNEVLFISHVGDSCVVLSRAGKVEVLTDSHRPYGSNETSLQEIRRIREAGGWIVNGRICGDIAVSRAFGDTRFKTKKNEMLKKGVEERRWSEKFISRIVFNGDLVIASPDTFKVALGSGAEFILSASDGLWDYINSSDAVAFVRNQLREHGNVQVACDALAQAALDQGSEDNISIIIADLGPTGRACHLSNKIFYMS >EOY20758 pep chromosome:Theobroma_cacao_20110822:3:939032:941497:1 gene:TCM_012098 transcript:EOY20758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MTSLFLITSFFSFLALILARPSFAAVRANSCRSYCGNLTIDYPFALDYGCGHPGFRDLLFCMNDVLMFHISSGSYRVLDIDYAYQALTLHDPHMSTCDTIVLGGRGNGFAVEQWRSTYFNPTPDNVFMLIGCSAQSPLFQGFPGKHLPCRNVSGMGCEEYYDCPAWSLVGHKKVGSVFGSGPPECCAVPFEAIKAINLSKLECEGYSSAYSLAPLRVDGAGGWSYGIRVKYSVQGNDEFCRACEATGGACGFGSDGVTQLCMCGSFNSTTTCDSEGSASSKRTWSLVVALAGFFICMSM >EOY20759 pep chromosome:Theobroma_cacao_20110822:3:939032:941497:1 gene:TCM_012098 transcript:EOY20759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MTSLFLITSFFSFLALILARPSFAAVRANSCRSYCGNLTIDYPFALDYGCGHPGFRDLLFCMNDVLMFHISSGSYRVLDIDYAYQALTLHDPHMSTCDTIVLGGRGNGFAVEQWRSTYFNPTPDNVFMLIGCSAQSPLFQGFPGKHLPCRNVSGMGCEEYYDCPAWSLVGHKKVGSVFGSGPPECCAVPFEAIKAINLSKLECEGYSSAYSLAPLRVDGAGGWSYGIRVKYSVQGNDEFCRACEATGGACGFGSDGVTQLCMCGSFNSTTTCDSGSASSKRTWSLVVALAGFFICMSM >EOY22891 pep chromosome:Theobroma_cacao_20110822:3:25196859:25201038:1 gene:TCM_014929 transcript:EOY22891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWNNIKMASNCERVWKTAMFAITWIVWIGRNKVVFHNKVWDKEVIWELIKLREKPSADAVKFNVDGAANGSPSEAGIGSLLRNEKGEVLIKFSKAIGRGDSNLAKYLGIREAFILFSNSIWAHNHSLAIESDSRNAIRWINDPSKTPWRLRKWMLHIEVLKKKVTDWKIRHTLREGNREANLLAKEGVGREVDLIEFHNPM >EOY23081 pep chromosome:Theobroma_cacao_20110822:3:26084736:26090287:-1 gene:TCM_015080 transcript:EOY23081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic ABC protein 8 isoform 2 KLKLKLSLSQNSPLHQSCTFPPISLPTTTKSRRLNVSSPVSRAYIAGPPIVSEPDPKVDEPDPDIEKAEPPNLISRRLLWGLLVRHKLRISVSVLALIGCTTCTLSMPIFSGRFFEVLIGARPEPLWKLLSKVGLLYSLEPIFTVIFVVNMNTIWEKVMSTLRAQIFRRVLIQKAEFFDRYKVGELSGLLTSDLGSLKDVVSENISRDRGFRALSEVVGTICILFALSPQLAPILGLLMLFVSVSVALYKRSTVPVFRAHGLAQASMSDCVTETFSAIRTVRSFCGEKRQMSMFGSQVLAYQKSGIKIGTFKSINESLTRVAVYISLLALYCLGGSKVKAGELSVGTVASFIGYTFTLTFAVQGLVNTFGDLRGTFAAVERINSVISGAEIDEALAYGLEKEIQKKEVDDENIKLFISNGAFEKNQQLNSHYMSALKSASNVGRLAWSGDVCLEDVHFSYPLRPDVEILNGLNLTLKCGTVTALVGPSGAGKSTIVQLLARFYEPTSGRITVAGEDVRTFDKSEWARVVSIVNQGTCSIFGVCWRKYRIWASRR >EOY23080 pep chromosome:Theobroma_cacao_20110822:3:26084194:26090489:-1 gene:TCM_015080 transcript:EOY23080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic ABC protein 8 isoform 2 MATASASITVPLLHHLNPTRFSSSTCHARKLKLKLSLSQNSPLHQSCTFPPISLPTTTKSRRLNVSSPVSRAYIAGPPIVSEPDPKVDEPDPDIEKAEPPNLISRRLLWGLLVRHKLRISVSVLALIGCTTCTLSMPIFSGRFFEVLIGARPEPLWKLLSKVGLLYSLEPIFTVIFVVNMNTIWEKVMSTLRAQIFRRVLIQKAEFFDRYKVGELSGLLTSDLGSLKDVVSENISRDRGFRALSEVVGTICILFALSPQLAPILGLLMLFVSVSVALYKRSTVPVFRAHGLAQASMSDCVTETFSAIRTVRSFCGEKRQMSMFGSQVLAYQKSGIKIGTFKSINESLTRVAVYISLLALYCLGGSKVKAGELSVGTVASFIGYTFTLTFAVQGLVNTFGDLRGTFAAVERINSVISGAEIDEALAYGLEKEIQKKEVDDENIKLFISNGAFEKNQQLNSHYMSALKSASNVGRLAWSGDVCLEDVHFSYPLRPDVEILNGLNLTLKCGTVTALVGPSGAGKSTIVQLLARFYEPTSGRITVAGEDVRTFDKSEWARVVSIVNQEPVLFSVSVGENIAYGLPDDNVSKDDIIKAAKAANAHEFIISLPQGYDTLVGERGGLLSGGQRQRIAIARALLKNAPILILDEATSALDAVSERLVQDALNHLMKGRTTLVIAHRLSTVQNAHQIALCSDGKIAELGTHFELLSRKGQYASLVGTQRLAFE >EOY23082 pep chromosome:Theobroma_cacao_20110822:3:26085904:26090414:-1 gene:TCM_015080 transcript:EOY23082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic ABC protein 8 isoform 2 MATASASITVPLLHHLNPTRFSSSTCHARKLKLKLSLSQNSPLHQSCTFPPISLPTTTKSRRLNVSSPVSRAYIAGPPIVSEPDPKVDEPDPDIEKAEPPNLISRRLLWGLLVRHKLRISVSVLALIGCTTCTLSMPIFSGRFFEVLIGARPEPLWKLLSKVGLLYSLEPIFTVIFVVNMNTIWEKVMSTLRAQIFRRVLIQKAEFFDRYKVGELSGLLTSDLGSLKDVVSENISRDRGFRALSEVVGTICILFALSPQLAPILGLLMLFVSVSVALYKRSTVPVFRAHGLAQASMSDCVTETFSAIRTVRSFCGEKRQMSMFGSQVLAYQKSGIKIGTFKSINESLTRVAVYISLLALYCLGGSKVKAGELSVGTVASFIGYTFTLTFAVQGLVNTFGDLRGTFAAVERINSVISGAEIDEALAYGLEKEIQKKEVDDENIKLFISNGAFEKNQQLNSHYMSALKSASNVGRLAWSGDVCLEDVHFSYPLRPDVEILNGLNLTLKCGTVTALVGPSGAGKSTIVQLLARFYEV >EOY22874 pep chromosome:Theobroma_cacao_20110822:3:25146599:25148569:-1 gene:TCM_014917 transcript:EOY22874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast intrinsic protein 5,1 MLLGEMAPALLTARFQESVTANALRAYLAEFISTFFYVFAVIGSAMASRKLISDAATDPSSLVLVAIANTFALSSSVYIAANVSGGHVNPAVTFGMAVGGHISVPTAMFYWVSQMLASVMACLLLKVTTVGQHVPTFTIANEMTGFGASMVEGVLAFALVYTVYAAGDPRTGPLGVIGPLAIGLMAGAMVLAAGPFSGGSINPALAFGSAVVAGRFKNQAVYWVGPLIGAAVAGLLYDNVVFPGQAASVSGRGNSEGIGL >EOY22913 pep chromosome:Theobroma_cacao_20110822:3:25282917:25283245:1 gene:TCM_014941 transcript:EOY22913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSNAYRNSLSLSFLLGVCSHEIKGESLNLIRHDSMYYVIVICLDSSGSCFCRTYWKSNMNILFKTYIA >EOY21769 pep chromosome:Theobroma_cacao_20110822:3:18108328:18119314:1 gene:TCM_013881 transcript:EOY21769 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 isoform 4 MADHHPTLGPSSFWAQANALLRKNLTFQKRNMWTNIRLISFPLFFCILFVLLQKLVDSQLNNADNRCGCACVEVSGNGQCQRRECGLQYSTMDQASSCPIPNPPGWPALLQIPGPNYRAVRTDLFMSADLPNESCRSTGSCPATTFFTGNNQSLGEILTGSMFSTSFNTNSSDLLGSLATNVLGTETYPEGYNYIDPAFASALPIYNVQSQCSSNSTSSVLINQPSITREIEIRCVQGLYLWRNSSSEVNAELYKGYRKGNLEEKINEFVAAYDFLNSDGNNFNVSVWYNSTYSNYSAGSPMSLLRIPRSVNLASNAYLQFLRGPGTKMLLEFVKEMPKPETELRIDLSSLLGTLFFTWVVLQLFPVVLTSLVYEKQQKLRVMMKMHGLGDGPYWMITYAYFLVISLLYMLCFVIFGSLIGLKFFTLNDYSIQFVFYFIYINLQISMAFLVAAMFSNVKTASVIGYIVVFGTGLLGGFLFQSFIEDESFPRGWIITMELYPGFSLYRGLYEFGQYSFRGNYMGTDGMRWGDLSDSTNGMREVLIITFIEWLVVLFVAYYVDQVSSSGAGKSPLFFLQNFRRKPPSSFRRPSLQRLGSKVFVQMDKPDVNQEREKVEQLLLEPSTSHPIICDNLKKIYPARDGNPEKFAVRGLSLALPRGECFGMLGPNGAGKTSLINMMIGLTKPTSGTAYVQGLDIRTYMDTIYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLRGSALNQAVEESLKSVNLFHGGVADKQAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNSLWSVVKRELFSPRIQWKRQRCYVID >EOY21768 pep chromosome:Theobroma_cacao_20110822:3:18108328:18119298:1 gene:TCM_013881 transcript:EOY21768 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 isoform 4 MADHHPTLGPSSFWAQANALLRKNLTFQKRNMWTNIRLISFPLFFCILFVLLQKLVDSQLNNADNRCGCACVEVSGNGQCQRRECGLQYSTMDQASSCPIPNPPGWPALLQIPGPNYRAVRTDLFMSADLPNESCRSTGSCPATTFFTGNNQSLGEILTGSMFSTSFNTNSSDLLGSLATNVLGTETYPEGYNYIDPAFASALPIYNVQSQCSSNSTSSVLINQPSITREIEIRCVQGLYLWRNSSSEVNAELYKGYRKGNLEEKINEFVAAYDFLNSDGNNFNVSVWYNSTYSNYSAGSPMSLLRIPRSVNLASNAYLQFLRGPGTKMLLEFVKEMPKPETELRIDLSSLLGTLFFTWVVLQLFPVVLTSLVYEKQQKLRVMMKMHGLGDGPYWMITYAYFLVISLLYMLCFVIFGSLIGLKFFTLNDYSIQFVFYFIYINLQISMAFLVAAMFSNVKTASVIGYIVVFGTGLLGGFLFQSFIEDESFPRGWIITMELYPGFSLYRGLYEFGQYSFRGNYMGTDGMRWGDLSDSTNGMREVLIITFIEWLVVLFVAYYVDQVSSSGAGKSPLFFLQNFRRKPPSSFRRPSLQRLGSKVFVQMDKPDVNQEREKVEQLLLEPSTSHPIICDNLKKIYPARDGNPEKFAVRGLSLALPRGECFGMLGPNGAGKTSLINMMIGLTKPTSGTAYVQGLDIRTYMDTIYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLRGSALNQAVEESLKSVNLFHGGVADKQAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNSLWSVVKRAKKDRAIILTTHSMEEAEVLCDRLGIFVDGSLQCIGNPKELKARYGGSYVFTIIPSS >EOY21767 pep chromosome:Theobroma_cacao_20110822:3:18107149:18120041:1 gene:TCM_013881 transcript:EOY21767 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 isoform 4 MAGNCYNPVKWGQFSNLVPTKEIVLPFCNNFETSISIRRTPTKGKKRKIKLQYWRESLTYCIVSMADHHPTLGPSSFWAQANALLRKNLTFQKRNMWTNIRLISFPLFFCILFVLLQKLVDSQLNNADNRCGCACVEVSGNGQCQRRECGLQYSTMDQASSCPIPNPPGWPALLQIPGPNYRAVRTDLFMSADLPNESCRSTGSCPATTFFTGNNQSLGEILTGSMFSTSFNTNSSDLLGSLATNVLGTETYPEGYNYIDPAFASALPIYNVQSQCSSNSTSSVLINQPSITREIEIRCVQGLYLWRNSSSEVNAELYKGYRKGNLEEKINEFVAAYDFLNSDGNNFNVSVWYNSTYSNYSAGSPMSLLRIPRSVNLASNAYLQFLRGPGTKMLLEFVKEMPKPETELRIDLSSLLGTLFFTWVVLQLFPVVLTSLVYEKQQKLRVMMKMHGLGDGPYWMITYAYFLVISLLYMLCFVIFGSLIGLKFFTLNDYSIQFVFYFIYINLQISMAFLVAAMFSNVKTASVIGYIVVFGTGLLGGFLFQSFIEDESFPRGWIITMELYPGFSLYRGLYEFGQYSFRGNYMGTDGMRWGDLSDSTNGMREVLIITFIEWLVVLFVAYYVDQVSSSGAGKSPLFFLQNFRRKPPSSFRRPSLQRLGSKVFVQMDKPDVNQEREKVEQLLLEPSTSHPIICDNLKKIYPARDGNPEKFAVRGLSLALPRGECFGMLGPNGAGKTSLINMMIGLTKPTSGTAYVQGLDIRTYMDTIYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLRGSALNQAVEESLKSVNLFHGGVADKQAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNSLWSVVKRAKKDRAIILTTHSMEEAEVLCDRLGIFVDGSLQCIGNPKELKARYGGSYVFTMTTSANHEEEVENMVRHLSPSANKIYQISGTQKFELPKQEVRIADVFQAVENAKSRFTVFAWGLADTTLEDVFIKVARGAQAVNILS >EOY21770 pep chromosome:Theobroma_cacao_20110822:3:18108473:18119229:1 gene:TCM_013881 transcript:EOY21770 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 isoform 4 MADHHPTLGPSSFWAQANALLRKNLTFQKRNMWTNIRLISFPLFFCILFVLLQKLVDSQLNNADNRCGCACVEVSGNGQCQRRECGLQYSTMDQASSCPIPNPPGWPALLQIPGPNYRAVRTDLFMSADLPNESCRSTGSCPATTFFTGNNQSLGEILTGSMFSTSFNTNSSDLLGSLATNVLGTETYPEGYNYIDPAFASALPIYNVQSQCSSNSTSSVLINQPSITREIEIRCVQGLYLWRNSSSEVNAELYKGYRKGNLEEKINEFVAAYDFLNSDGNNFNVSVWYNSTYSNYSAGSPMSLLRIPRSVNLASNAYLQFLRGPGTKMLLEFVKEMPKPETELRIDLSSLLGTLFFTWVVLQLFPVVLTSLVYEKQQKLRVMMKMHGLGDGPYWMITYAYFLVISLLYMLCFVIFGSLIGLKFFTLNDYSIQFVFYFIYINLQISMAFLVAAMFSNVKTASVIGYIVVFGTGLLGGFLFQSFIEDESFPRGWIITMELYPGFSLYRGLYEFGQYSFRGNYMGTDGMRWGDLSDSTNGMREVLIITFIEWLVVLFVAYYVDQVSSSGAGKSPLFFLQNFRRKPPSSFRRPSLQRLGSKVFVQMDKPDVNQEREKVEQLLLEPSTSHPIICDNLKKIYPARDGNPEKFAVRGLSLALPRGECFGMLGPNGAGKTSLINMMIGLTKPTSGTAYVQGLDIRTYMDTIYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLRGSALNQAVEESLKSVNLFHGGVADKQAGKYSGGMKRRLSVAISLIGDPKQGCLYG >EOY21064 pep chromosome:Theobroma_cacao_20110822:3:2597971:2609215:-1 gene:TCM_012376 transcript:EOY21064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTELRATVFDIDKDSVARPNGFSSYFYQQCWPIIADDLLAGVKDFFNGAKLRGGLISDNILLTHKLVGKIDYKARGGNVILKLDMMKAHDRSCYLIHSSLKSRNASQVGKIKFSPGGRITILRNVLSSLPIYLLQVLNPSVSVIEKIERLFNSFLWGGSTDSKKIHWASWAKLTFPGSEGGLDIQSLNDVFEAFSAKLWWQFQACSNLWTQYIRTKYCTGKNPHTIITKPHDSPTWKRMISGRDKSG >EOY20812 pep chromosome:Theobroma_cacao_20110822:3:1356993:1358051:1 gene:TCM_012157 transcript:EOY20812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHETMVKEAGHCVPTGGIGDKHFLTTQTPIGDRQSILFQPDRSNPLFFPKEIKFLGQEKNKMEKCR >EOY23810 pep chromosome:Theobroma_cacao_20110822:3:28647142:28652217:1 gene:TCM_015588 transcript:EOY23810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMSESPKAINVFSTPTSSSRLSPLARPFTVNNPFNRHESFDPLLDSSSSPCNHDQPFPCLSLGNQGHRGCYAYHSDATSITAFPCVDGPDFEFDSRFAYYQLEEPPLHTHFTLSTHQSSQTNFIPSSSSFGNVGNKGGLQGTAVHQQGTEILRCNRQVASAGSLSSNNPLEQGTTLEGSKLVSETSFVLRGKGSVVIGKDNQIRPEDKEKIHTESSIFPLANSEVNLLMKCVTKPFSISSDLPFPPRPQDTQSQLLYSAESIACSLFGSTIFPYESCFPHLGSCHAETLVSHAPECFSYSAQICKPSSAGSNPPIVNPVPLVNVASGGSDAVSSRDSYFDYVLPGMMDTSTVHNPVDKVACHDQVIIEKGEKGKIVEPFHDETNNPSIRAKSKLRIACPNVPQDLTLEQHGAKPGIPDDKSSTSHGDSDVDSPCWKGTQANKSPLSDSVPANSEDSKGQSPFRVSMPLKSEHSKNEKVARSSLNPQAPVFIPGNSKPKVDHHQKEGHGDSSLSSQKSAALDVTSSSSEHRSTDSVNAVKCPSERIDDIGIQSSSDVHDSKKECGIPYKSFRSSAVNSSCSFQPYLREEYVTSASQLVRGTNVAGSMEGIADAAHNGLDSVEDIAHHGPSTSFSFLETETALNSHSTGVGVFSDFTERPQEPSKSTPPKIDVKLMINTMQYLSELLLQNSSFDLGSLSEHEYDKLLTIMNNLYVLIRNKAGLMAVRLESSHPCTLYCRRQPADRHEVKKVKDKAVLHDQEMYKTSAPMLSGRMLYSFYQSNDEGFEKGGDISQVIEKDPKVIPSIEKEMPSEALFYRDLWLEAKAALNLKKYQAHALQMQSEPDRYRSK >EOY23809 pep chromosome:Theobroma_cacao_20110822:3:28647142:28652217:1 gene:TCM_015588 transcript:EOY23809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMSESPKAINVFSTPTSSSRLSPLARPFTVNNPFNRHESFDPLLDSSSSPCNHDQPFPCLSLGNQGHRGCYAYHSDATSITAFPCVDGPDFEFDSRFAYYQLEEPPLHTHFTLSTHQSSQTNFIPSSSSFGNVGNKGGLQGTAVHQQGTEILRCNRQVASAGSLSSNNPLEQGTTLEGSKLVSETSFVLRGKGSVVIGKDNQIRPEDKEKIHTESSIFPLANSEVNLLMKCVTKPFSISSDLPFPPRPQDTQSQLLYSAESIACSLFGSTIFPYESCFPHLGSCHAETLVSHAPECFSYSAQICKPSSAGSNPPIVNPVPLVNVASGGSDAVSSRDSYFDYVLPGMMDTSTVHNPVDKVACHDQVIIEKGEKGKIVEPFHDETNNPSIRAKSKLRIACPNVPQDLTLEQHGAKPGIPDDKSSTSHGDSDVDSPCWKGTQANKSPLSDSVPANSEDSKGQSPFRVSMPLKSEHSKNEKVARSSLNPQAPVFIPGNSKPKVDHHQKEGHGDSSLSSQKSAALDVTSSSSEHRSTDSVNAVKCPSERIDDIGIQSSSDVHDSKKECGIPYKSFRSSAVNSSCSFQPYLREEYVTSASQLVRGTNVAGSMEGIADAAHNGLDSVEDIAHHGPSTSFSFLETETALNSHSTGVGVFSDFTERPQEPSKSTPPKIDVKLMINTMQYLSELLLQNSSFDLGSLSEHEYDKLLTIMNNLYVLIRNKAGLMAVRLESSHPCTLYCRRQPADRHEVKKVKDKAVLHDQEMYKTSAPMLSGRMLYSFYQSNDEGFEKGGDISQVIEKDPKVIPSIEKEMPSEALFYRDLWLEAKAALNLKKYQAHALQMQSEPDRYRSK >EOY23812 pep chromosome:Theobroma_cacao_20110822:3:28647192:28652467:1 gene:TCM_015588 transcript:EOY23812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMSESPKAINVFSTPTSSSRLSPLARPFTVNNPFNRHESFDPLLDSSSSPCNHDQPFPCLSLGNQGHRGCYAYHSDATSITAFPCVDGPDFEFDSRFAYYQLEEPPLHTHFTLSTHQSSQTNFIPSSSSFGNVGNKGGLQGTAVHQQGTEILRCNRQVASAGSLSSNNPLEQGTTLEGSKLVSETSFVLRGKGSVVIGKDNQIRPEDKEKIHTESSIFPLANSEVNLLMKCVTKPFSISSDLPFPPRPQDTQSQLLYSAESIACSLFGSTIFPYESCFPHLGSCHAETLVSHAPECFSYSAQICKPSSAGSNPPIVNPVPLVNVASGGSDAVSSRDSYFDYVLPGMMDTSTVHNPVDKVACHDQVIIEKGEKGKIVEPFHDETNNPSIRAKSKLRIACPNVPQDLTLEQHGAKPGIPDDKSSTSHGDSDVDSPCWKGTQANKSPLSDSVPANSEDSKGQSPFRVSMPLKSEHSKNEKVARSSLNPQAPVFIPGNSKPKVDHHQKEGHGDSSLSSQKSAALDVTSSSSEHRSTDSVNAVKCPSERIDDIGIQSSSDVHDSKKECGIPYKSFRSSAVNSSCSFQPYLREEYVTSASQLVRGTNVAGSMEGIADAAHNGLDSVEDIAHHGPSTSFSFLETETALNSHSTGVGVFSDFTERPQEPSKSTPPKIDVKLMINTMQYLSELLLQNSSFDLGSLSEHEYDKLLTIMNNLYVLIRNKAGLMAVRLESSHPCTLYCRRQPADRHEEMYKTSAPMLSGRMLYSFYQSNDEGFEKGGDISQVIEKDPKVIPSIEKEMPSEALFYRDLWLEAKAALNLKKYQAHALQMQSEPDRYRSK >EOY23811 pep chromosome:Theobroma_cacao_20110822:3:28647142:28652217:1 gene:TCM_015588 transcript:EOY23811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMSESPKAINVFSTPTSSSRLSPLARPFTVNNPFNRHESFDPLLDSSSSPCNHDQPFPCLSLGNQGHRGCYAYHSDATSITAFPCVDGPDFEFDSRFAYYQLEEPPLHTHFTLSTHQSSQTNFIPSSSSFGNVGNKGGLQGTAVHQQGTEILRCNRQVASAGSLSSNNPLEQGTTLEGSKLVSETSFVLRGKGSVVIGKDNQIRPEDKEKIHTESSIFPLANSEVNLLMKCVTKPFSISSDLPFPPRPQDTQSQLLYSAESIACSLFGSTIFPYESCFPHLGSCHAETLVSHAPECFSYSAQICKPSSAGSNPPIVNPVPLVNVASGGSDAVSSRDSYFDYVLPGMMDTSTVHNPVDKVACHDQVIIEKGEKGKIVEPFHDETNNPSIRAKSKLRIACPNVPQDLTLEQHGAKPGIPDDKSSTSHGDSDVDSPCWKGTQANKSPLSDSVPANSEDSKGQSPFRVSMPLKSEHSKNEKVARSSLNPQAPVFIPGNSKPKVDHHQKEGHGDSSLSSQKSAALDVTSSSSEHRSTDSVNAVKCPSERIDDIGIQSSSDVHDSKKECGIPYKSFRSSAVNSSCSFQPYLREEYVTSASQLVRGTNVAGSMEGIADAAHNGLDSVEDIAHHGPSTSFSFLETETALNSHSTGVGVFSDFTERPQEPSKSTPPKIDVKLMINTMQYLSELLLQNSSFDLGSLSEHEYDKLLTIMNNLYVLIRNKAGLMAVRLESSHPCTLYCRRQPADRHEEMYKTSAPMLSGRMLYSFYQSNDEGFEKGGDISQVIEKDPKVIPSIEKEMPSEALFYRDLWLEAKAALNLKKYQAHALQMQSEPDRYRSK >EOY23807 pep chromosome:Theobroma_cacao_20110822:3:28647188:28651476:1 gene:TCM_015588 transcript:EOY23807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMSESPKAINVFSTPTSSSRLSPLARPFTVNNPFNRHESFDPLLDSSSSPCNHDQPFPCLSLGNQGHRGCYAYHSDATSITAFPCVDGPDFEFDSRFAYYQLEEPPLHTHFTLSTHQSSQTNFIPSSSSFGNVGNKGGLQGTAVHQQGTEILRCNRQVASAGSLSSNNPLEQGTTLEGSKLVSETSFVLRGKGSVVIGKDNQIRPEDKEKIHTESSIFPLANSEVNLLMKCVTKPFSISSDLPFPPRPQDTQSQLLYSAESIACSLFGSTIFPYESCFPHLGSCHAETLVSHAPECFSYSAQICKPSSAGSNPPIVNPVPLVNVASGGSDAVSSRDSYFDYVLPGMMDTSTVHNPVDKVACHDQVIIEKGEKGKIVEPFHDETNNPSIRAKSKLRIACPNVPQDLTLEQHGAKPGIPDDKSSTSHGDSDVDSPCWKGTQANKSPLSDSVPANSEDSKGQSPFRVSMPLKSEHSKNEKVARSSLNPQAPVFIPGNSKPKVDHHQKEGHGDSSLSSQKSAALDVTSSSSEHRSTDSVNAVKCPSERIDDIGIQSSSDVHDSKKECGIPYKSFRSSAVNSSCSFQPYLREEYVTSASQLVRGTNVAGSMEGIADAAHNGLDSVEDIAHHGPSTSFSFLETETALNSHSTGVGVFSDFTERPQEPSKSTPPKIDVKLMINTMQYLSELLLQNSSFDLGSLSEHEYDKLLTIMNNLYVLIRNKAGLMAVRLESSHPCTLYCRRQPADRHEVKKVKDKAVLHDQEMYKTSAPMLSGRMLYSFYQSNDEGFEKGGDISQVIEKDPKVIPSIEKEMPSEALFYRDLWLEAKAALNLKKYQAHALQMQSEPDRYRSK >EOY23808 pep chromosome:Theobroma_cacao_20110822:3:28647034:28651973:1 gene:TCM_015588 transcript:EOY23808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMSESPKAINVFSTPTSSSRLSPLARPFTVNNPFNRHESFDPLLDSSSSPCNHDQPFPCLSLGNQGHRGCYAYHSDATSITAFPCVDGPDFEFDSRFAYYQLEEPPLHTHFTLSTHQSSQTNFIPSSSSFGNVGNKGGLQGTAVHQQGTEILRCNRQVASAGSLSSNNPLEQGTTLEGSKLVSETSFVLRGKGSVVIGKDNQIRPEDKEKIHTESSIFPLANSEVNLLMKCVTKPFSISSDLPFPPRPQDTQSQLLYSAESIACSLFGSTIFPYESCFPHLGSCHAETLVSHAPECFSYSAQICKPSSAGSNPPIVNPVPLVNVASGGSDAVSSRDSYFDYVLPGMMDTSTVHNPVDKVACHDQVIIEKGEKGKIVEPFHDETNNPSIRAKSKLRIACPNVPQDLTLEQHGAKPGIPDDKSSTSHGDSDVDSPCWKGTQANKSPLSDSVPANSEDSKGQSPFRVSMPLKSEHSKNEKVARSSLNPQAPVFIPGNSKPKVDHHQKEGHGDSSLSSQKSAALDVTSSSSEHRSTDSVNAVKCPSERIDDIGIQSSSDVHDSKKECGIPYKSFRSSAVNSSCSFQPYLREEYVTSASQLVRGTNVAGSMEGIADAAHNGLDSVEDIAHHGPSTSFSFLETETALNSHSTGVGVFSDFTERPQEPSKSTPPKIDVKLMINTMQYLSELLLQNSSFDLGSLSEHEYDKLLTIMNNLYVLIRNKAGLMAVRLESSHPCTLYCRRQPADRHEVKKVKDKAVLHDQEMYKTSAPMLSGRMLYSFYQSNDEGFEKGGDISQVIEKDPKVIPSIEKEMPSEALFYRDLWLEAKAALNLKKYQAHALQMQSEPDRYRSK >EOY22681 pep chromosome:Theobroma_cacao_20110822:3:24449161:24450258:1 gene:TCM_014782 transcript:EOY22681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSKVSKKSRKEVGNASTNLNKSHKEISKVLSKISNRTDKRVFGDAAHSIRLIFWREDNGFMTLAHPPSQPSSPMAAPLCRLPLLSIGNFLPHLHIHHHNPLLRWLPPPFVKLR >EOY25127 pep chromosome:Theobroma_cacao_20110822:3:33348358:33349250:-1 gene:TCM_016540 transcript:EOY25127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSVSLLCRFMQKPSQFHFTVAKRVLRYVRGTVDYGLKFEKADGRELVVHCDSDWAGSVDDSRALEVAVFLLVVLSSPGIPRSRKLLLNLQQRLNILQQQQLQIKQFGSGKYCVIWDSNRKRELHCSLIINKQFR >EOY22745 pep chromosome:Theobroma_cacao_20110822:3:24649376:24651267:1 gene:TCM_014822 transcript:EOY22745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase family protein MEQTFIMIKPDGVQRGLVGEIISRFEKKGFYLKGMKLVNVDRTFAERHYADLSAKPFFDGLVEYIISGPVVAMIWEGKNVVLTGRKIIGATNPSESAPGTIRGDFAVEIGRNIIHGSDSVESARKEIALWFPDGAENWGSSIQSWIYE >EOY21783 pep chromosome:Theobroma_cacao_20110822:3:18281423:18282569:-1 gene:TCM_013900 transcript:EOY21783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Activation-tagged BRI1(brassinosteroid-insensitive 1)-suppressor 1 MSSRRSRSRQSGSSRITDDQIDDLVTKLQQLLPEIRNRHSDKVSAAKVLQETCNYIRSLHREVDDLSERLSELLATTDSAQAAIIRSLLMQ >EOY23933 pep chromosome:Theobroma_cacao_20110822:3:29040075:29043724:1 gene:TCM_015673 transcript:EOY23933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFECRIGDLKNPAFKMVIHICLVVTAVGITFVHKAKTLARGRITPSVATAPYERAYSRREIPTGFMVDETFPLGLTVDEKFLPGLWWMRHSHRVDGRQGIPTTEAYVPVTVQGWDVTFCKMASHGRAFLFTHVQNVVDGPEEDRQLIIGLYTVTDVYCSDCGELLGWSQCLIFSVFLSYTLVLKIDFKPPFDYPSTGTASPFGEFSVSRNCKTSMACPWDSVLTSDPAVIFAKDIVSDQESHASVVSEILVEETSTKNARSTEAADSNINGISKDFGGCISEQDLQDTYCDECNSRRNDSSAEECIPVNEFVKSSGFS >EOY22801 pep chromosome:Theobroma_cacao_20110822:3:24847417:24855114:-1 gene:TCM_014867 transcript:EOY22801 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein kinase family protein isoform 2 MEKKEIVEAEGEPVPVLPPPPTLNIGIAINGNRKSKYVVKWALEKFITEENVMFKLLHVRAKITTVPTPTAAYKKEVEWQTNEMLLPYKKMCAQRKGQVDVIVIESNDVANAIAEEVTKSTITKLVIGATSRGMFTRKLKKNNLSSRISVCTPSFCTVYAVSKGQLSSIRPSDSETNVSTKDDSSETSVSCKSSSSYTFSSETELGSVASYATFHSPSLPVQRFQALSTINQTFLHSSTSSLEINQSRSLESTQSRSPDINHSRCHSLDIVAGKDDMSTCRSSSETRQAVSRSSSCRSLPTDQQSVLSDQISTSDVLTDNSFSEHQVYVNFELEKLRTELRHVQGMYAIAQSETIDASRKLNALRKHRLEEAMKFKEISFKEEKAEELARQEKEKYEAARREVEHVKECADREASQRREAEMKAFHDAKEKEKLENVLIGSVQQYQKFTWEEIVSATSSFSEDLKVGMGAYGTVYKCMLHHTAVAVKVLRSKENSRTKQFQQELEILSKIRHPHLLLLLGACPEFPDHGCLVYEYMENGSLEERLLRKNNTPPIPWFERYRIAWEVASALNFLHNSKPEPIIHRDLKPANILLDRNFVSKIGDVGLSMMLNADPLSSSTMYRDTGPVGTLCYIDPEYQRTGLISPKSDVYAFGMVILQLLTAKPAIALTHVVETAIDDGNLPGILDSEAGPWPVEETRELAILGLSCAELRRKDRPGLKDQVLPVLERMKEVADRARISISKVQSAPPNHFICPILKDVMDDPCVAADGYTYDRKAIEKWLDGNDKSPMTNLPLLNKNLLPNYNLLSAIVEWKSRKQ >EOY22800 pep chromosome:Theobroma_cacao_20110822:3:24848327:24853858:-1 gene:TCM_014867 transcript:EOY22800 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein kinase family protein isoform 2 MEKKEIVEAEGEPVPVLPPPPTLNIGIAINGNRKSKYVVKWALEKFITEENVMFKLLHVRAKITTVPTPMGNFIPISQVRNDVAAAYKKEVEWQTNEMLLPYKKMCAQRKGQVDVIVIESNDVANAIAEEVTKSTITKLVIGATSRGMFTRKLKKNNLSSRISVCTPSFCTVYAVSKGQLSSIRPSDSETNVSTKDDSSETSVSCKSSSSYTFSSETELGSVASYATFHSPSLPVQRFQALSTINQTFLHSSTSSLEINQSRSLESTQSRSPDINHSRCHSLDIVAGKDDMSTCRSSSETRQAVSRSSSCRSLPTDQQSVLSDQISTSDVLTDNSFSEHQVYVNFELEKLRTELRHVQGMYAIAQSETIDASRKLNALRKHRLEEAMKFKEISFKEEKAEELARQEKEKYEAARREVEHVKECADREASQRREAEMKAFHDAKEKEKLENVLIGSVQQYQKFTWEEIVSATSSFSEDLKVGMGAYGTVYKCMLHHTAVAVKVLRSKENSRTKQFQQELEILSKIRHPHLLLLLGACPEFPDHGCLVYEYMENGSLEERLLRKNNTPPIPWFERYRIAWEVASALNFLHNSKPEPIIHRDLKPANILLDRNFVSKIGDVGLSMMLNADPLSSSTMYRDTGPVGTLCYIDPEYQRTGLISPKSDVYAFGMVILQLLTAKPAIALTHVVETAIDDGNLPGILDSEAGPWPVEETRELAILGLSCAELRRKDRPGLKDQVLPVLERMKEVADRARISISKVQSAPPNHFICPILKDVMDDPCVAADGYTYDRKAIEKWLDGNDKSPMTNLPLLNKNLLPNYNLLSAIVEWKSRKQ >EOY24583 pep chromosome:Theobroma_cacao_20110822:3:31506777:31514358:-1 gene:TCM_016147 transcript:EOY24583 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 14 MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFSSSSMNKTIERYQKREKDYGICSKAALEENMPNVKEDAHSMAKKIELLEDSKRKLLGNGLEPCSFNDLQLLESQLERSLSRIRARKNQLFWEQIEKLKGEERRLGEENAKLREQCGMQPRQSPTSQTDELHHVQSMEVETELFIGPPERRSAKNPSVN >EOY21327 pep chromosome:Theobroma_cacao_20110822:3:6173637:6174035:-1 gene:TCM_012805 transcript:EOY21327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVKGKHSKPRLRSTNASRSMSLPMNTSAFVDLSIQLQMQQVNNDVPFEPPLLGASAEQVENETSTHDSCKSPSIDLGASIDDTSSRSRGRGPGLGLQTPVDPSDRLCMTPIGEKCVILYYNEIVIFVINFNM >EOY21326 pep chromosome:Theobroma_cacao_20110822:3:6173637:6176711:-1 gene:TCM_012805 transcript:EOY21326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSYCMEHSKSFILDSGTMVKGKHSKPRLRSTNASRSMSLPMNTSAFVDLSIQLQMQQVNNDVPFEPPLLGASAEQVENETSTHDSCKSPSIDLGASIDDTSSRSRGRGPGLGLQTPVDPSDRLCMTPIGEKCVILYYNEIVIFVINFNM >EOY21325 pep chromosome:Theobroma_cacao_20110822:3:6173489:6179471:-1 gene:TCM_012805 transcript:EOY21325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVKGKHSKPRLRSTNASRSMSLPMNTSAFVDLSIQLQMQQVNNDVPFEPPLLGASAEQVENETSTHDSCKSPSIDLGASIDDTSSRSRGRGPGLGLQTPVDPSDRLCMTPIGEKCVILYYNEIVIFVINFNM >EOY21324 pep chromosome:Theobroma_cacao_20110822:3:6173489:6178979:-1 gene:TCM_012805 transcript:EOY21324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIYRVEYSWTIIMSYCMEHSKSFILDSGTMVKGKHSKPRLRSTNASRSMSLPMNTSAFVDLSIQLQMQQVNNDVPFEPPLLGASAEQVENETSTHDSCKSPSIDLGASIDDTSSRSRGRGPGLGLQTPVDPSDRLCMTPIGEKCVILYYNEIVIFVINFNM >EOY21918 pep chromosome:Theobroma_cacao_20110822:3:19843368:19846678:-1 gene:TCM_014094 transcript:EOY21918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactoside 2-alpha-L-fucosyltransferase isoform 2 MKRFRRNPDENDPVTNLDPDGEGLFLRDQERKFSVVLQDPPSDGVLESGQDVRSFDVLESSKEERVLEVKPQKVVGPDNDYAPSVEARKDELLSGLLAVGHHERSCVSRYQSVLYRKELLHKPSPHLISRLRSYEALHKRCGPYTESYNKTLEMLKTKHHLESTDCNYLVWISFSGLGNRILTLASAFLYALLTNRVLLVDPGADMVDLFCEPFPEVSWFLPPDFPLKSQFNSFDQKSPHCYGRILKNSTFANSSRLISSSFVYLHLVHDYDDQDKLFFCDEDQTSLQKVPWLIMKTDNYFVPSLFLMPSFEQELSNLFPRKETVFHFLGRYLFHPTNPVWGLITRYYHAYLAKADERIGIQIRVFDTGTGPFQHVLDQIIACALKEDLLPKVSREKPIINQSQKSKAVLITSLSAGYFERVRDLYWEHPTVTGEVISVYQPSHEEYQQTEKRFHNRKAWAEMYLLSLTDVLVTSSWSTFGYVAQSLGGLKPWILYKPENQSAPDPACHRAMSMEPCFHAPPFYDCKAKRGIDTGALVPHVRHCEDMSWGLKLVDNESEL >EOY21916 pep chromosome:Theobroma_cacao_20110822:3:19842032:19846631:-1 gene:TCM_014094 transcript:EOY21916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactoside 2-alpha-L-fucosyltransferase isoform 2 MKRFRRNPDENDPVTNLDPDGEGLFLRDQERKFSVVLQDPPSDGVLESGQDVRSFDVLESSKEERVLEVKPQKGPDNDYAPSVEARKDELLSGLLAVGHHERSCVSRYQSVLYRKELLHKPSPHLISRLRSYEALHKRCGPYTESYNKTLEMLKTKHHLESTDCNYLVWISFSGLGNRILTLASAFLYALLTNRVLLVDPGADMVDLFCEPFPEVSWFLPPDFPLKSQFNSFDQKSPHCYGRILKNSTFANSSRLISSSFVYLHLVHDYDDQDKLFFCDEDQTSLQKVPWLIMKTDNYFVPSLFLMPSFEQELSNLFPRKETVFHFLGRYLFHPTNPVWGLITRYYHAYLAKADERIGIQIRVFDTGTGPFQHVLDQIIACALKEDLLPKVSREKPIINQSQKSKAVLITSLSAGYFERVRDLYWEHPTVTGEVISVYQPSHEEYQQTEKRFHNRKAWAEMYLLSLTDVLVTSSWSTFGYVAQSLGGLKPWILYKPENQSAPDPACHRAMSMEPCFHAPPFYDCKAKRGIDTGALVPHVRHCEDMSWGLKLVDNESEL >EOY21917 pep chromosome:Theobroma_cacao_20110822:3:19843932:19846750:-1 gene:TCM_014094 transcript:EOY21917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactoside 2-alpha-L-fucosyltransferase isoform 2 MLKTKHHLESTDCNYLVWISFSGLGNRILTLASAFLYALLTNRVLLVDPGADMVDLFCEPFPEVSWFLPPDFPLKSQFNSFDQKSPHCYGRILKNSTFANSSRLISSSFVYLHLVHDYDDQDKLFFCDEDQTSLQKVPWLIMKTDNYFVPSLFLMPSFEQELSNLFPRKETVFHFLGRYLFHPTNPVWGLITRYYHAYLAKADERIGIQIRVFDTGTGPFQHVLDQIIACALKEDLLPKVSREKPIINQSQKSKAVLITSLSAGYFERVRDLYWEHPTV >EOY20712 pep chromosome:Theobroma_cacao_20110822:3:681905:684279:-1 gene:TCM_012059 transcript:EOY20712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase 2, CA2 isoform 2 MEMAKHSAEVVVAGLKKILISEKDGLDQEVQGKVEKLIAELQGTRPPPPPCDPDAQRIEDGFDFFKKNIYDQHPDYFRELAEGQHPRFLVFSCSDSRVSPSVVLNFKPGEAFSGRNIANMVPQFDQQLRHTEIGSVIEYAVKALEVKNILVMGHSRCGGIERLMKLPDESVTYDFIDQWVQIGLPAKLKVLAVANDLTFEEQCR >EOY20711 pep chromosome:Theobroma_cacao_20110822:3:681369:684296:-1 gene:TCM_012059 transcript:EOY20711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase 2, CA2 isoform 2 MEMAKHSAEVVVAGLKKILISEKDGLDQEVQGKVEKLIAELQGTRPPPPPCDPDAQRIEDGFDFFKKNIYDQHPDYFRELAEGQHPRFLVFSCSDSRVSPSVVLNFKPGEAFSGRNIANMVPQFDQLRHTEIGSVIEYAVKALEVKNILVMGHSRCGGIERLMKLPDESVTYDFIDQWVQIGLPAKLKVLAVANDLTFEEQCRLCEKVSVKNSMANLLTYPFVRNAVVSGTLTLRGGYYDFVNGTFEQWKMCTEPMPPMPMPF >EOY23351 pep chromosome:Theobroma_cacao_20110822:3:27102852:27104756:1 gene:TCM_015272 transcript:EOY23351 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase-related MFLRLISRPLLAKVKETTGIVGLDVVPNAREVLIGLYTKTLKEIQAVPEDEGYRKAVESFTRHRLKVCQEEGDWEMIEKRLGCGQVEELIEEARDELTLIGKMIEWDPWGVPDDYECEVIENDAPVPKHVPLHRPGPLPEEFYQTLEAVSKKDAPKVTSGEPQLKE >EOY22171 pep chromosome:Theobroma_cacao_20110822:3:22103504:22108452:1 gene:TCM_014391 transcript:EOY22171 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MCSVEGLGRKGGIRMGVMKAGGGGGGERVEKLKSSRMKLWMIRATTSVLLWTCIVQLTALGETWGPRVLKGWPSCFSHQDSSVSVIEDRVPSVPARVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDHFITSLRDEVRILKELPPRLKRRVEMGLVHSMPPVSWSDISYYHQQILPLIQKYKVVHLNRTDARLANNGQPLDIQKLRCRVNFNALRFTSQIEELGKRVIKLLRQNGPFIVLHLRYEMDMLAFSGCTQGCNNEEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALTLKALDIDTSYQIYIAAGEIYGGERRMASLSAAYPKLVRKETLLEASDLRFFQNHSSQMAALDYLVSLESDIFIPTYDGNMAKVVEGHRRFLGFKRTILLDRRLLVELIDQYNGGSLNWDEFSAAVKETHESRKGQPTKRLVTPDRPKEEDYFYANPEECLQPSYGQLSSTWDSMVSPYQRGYLSLLLDPLLRLANFGPFLKWIARLNFAFDIDNPTYEA >EOY22172 pep chromosome:Theobroma_cacao_20110822:3:22103292:22107423:1 gene:TCM_014391 transcript:EOY22172 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MCSVEGLGRKGGIRMGVMKAGGGGGGERVEKLKSSRMKLWMIRATTSVLLWTCIVQLTALGETWGPRVLKGWPSCFSHQDSSVSVIEDRVPSVPARVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDHFITSLRDEVRILKELPPRLKRRVEMGLVHSMPPVSWSDISYYHQQILPLIQKYKVVHLNRTDARLANNGQPLDIQKLRCRVNFNALRFTSQIEELGKRVIKLLRQNGPFIVLHLRYEMDMLAFSGCTQGCNNEEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALTLKALDIDTSYQIYIAAGEIYGGERRMASLSAAYPKLVRKETLLEASDLRFFQNHSSQMAALDYLVSLESDIFIPTYDGNMAKVVEGHRRFLGFKRTILLDRRLLVELIDQYNGGSLNWDEFSAAVKETHESRKGQPTKRLVTPDRPKEEDYFYANPEECLQPSYGQLSST >EOY22448 pep chromosome:Theobroma_cacao_20110822:3:23469698:23473734:-1 gene:TCM_014610 transcript:EOY22448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative MIVGTINDCMNDMCINPTPFQGGAKKTQEMALTNLVTFFTTWLSQASFNHACKWLPSLTTVYIYILSVKLFSDIGREMGKNKDALVVCSDRSTGVGITVWELETGAQLLHIPTCAAAPHGLLCLRNQFLVASQCNRHGSFGGGSIFIWPLNKPRSPLRSYTMEAIGPLSCTKDGIYIAGGAPSGNAYLWDVANGRLLRTWRAHHKSLKCMVFSDDDSLLICGSNDGIIFVWSMISLLDVEDSGSSPPLLHCLSEHRSSITGLVTISVGARSIFVSSSLDGTCKVWDLVSGRLLQTQVYSTSITAITLHPVEQLLFSGSVDGKIFVNVLDLGPVEDPLVTTEDQAFVLKGHNGSITALTFSGFGLISASEDFTFCLWDTIKWVVARRFNHRKGKYYYILFTERFSSLLIWFYPISNKKEKGKNCSMALWMCTSVTVTLFSIGAVTNMVVIKQSTLLPMSNHQRATNQFCVSLLQKYPEPANSGEGMSTFLSLSACRNQQTSTNFQGNNLLDHLIFDSEREQTPVMMQMKLERSIDDRMWVTSMTKHVMEMNKHLQSRLLDMMQCRLLCPYETDSSPKKKRQKLRTESPGLPEGQSHSAG >EOY22126 pep chromosome:Theobroma_cacao_20110822:3:21571906:21572934:1 gene:TCM_014326 transcript:EOY22126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGQLGCRWVGNGNPNQLHANGSNGQVRANTALFGHVCQQKDSCSIDLTNHKLRSPFSRQTTDSDKCCLHFTH >EOY22296 pep chromosome:Theobroma_cacao_20110822:3:22872557:22878767:1 gene:TCM_014512 transcript:EOY22296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase, hydrolyzing O-glycosyl compounds, putative MGSNEEVMVTAEPSVQDGSFMVRGRALFSDVPGKIMVTPVRDSSVFVGATATSSSSRHVFTLGVLQYMSTVLLLFFFAVVKTRMLLLEARKETNIQDTENTFYVLILPVLDGQFRTTLQGTPDNELKFCTESGDPDVQTTKLTEPVFINSGDNPFKLMRDSIKILEKHKGTFRHIENKQLPEHVDWFGWNTWNAFYTNVDPQGIIRDLKSCPPKFLTIDEGWQNLMPSYDEDATKELARLLNFEENEKFKGSSLDKLGNNLRDFIKTIKQKYGLKYVYTWHASVGYWGVVLPESEVMKKYNPKIQAVVQSPGNLTHIICPTLDKIQPVIHPKILNFYKDYHSYLASCGVNGVKVDVQNVLELPGAGYGGRVSLTRQYLGALEDSVMENFNANNLICSMSLNSDFIYSAKKVAAARATEDFMPNELTFRTLHVAAAAFNSLLLGEIVVPDWDMFYSDHFTAEFHGAARALSGSAVYVSDKPGSNNFDIIKKLVLPDGSILRARHAGRLTQDCLFNDPVTDGNSLLKIWNLNKLSGVIGVFNCQRAGVWPPVRGSIYYPVPGSGTPISGCVSALDADSLEEVAGENWRGDCAVYAFFSESLKTMLKDTKFQVALEYLKCEVFTVSPIRVFGEYLRFAPIGLLDMYNSGGALEAMNYENNQSECKIKVNMRGRGRF >EOY24030 pep chromosome:Theobroma_cacao_20110822:3:29380495:29381317:1 gene:TCM_015735 transcript:EOY24030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H protein MDRKLSMIKGLVAASYHAVQILCTHSRLNGYKREMLVGWQNPPQGWVAINTDGALRCNTNKAAAGGVLRDYNGYWLGGSAAKLGKCSSYRAELWGVLHSLRIAKDKGFHRIWLQVDNKIVVQAITFSALYPCANSDLLNAIHGLLQLD >EOY21118 pep chromosome:Theobroma_cacao_20110822:3:3172959:3181053:-1 gene:TCM_012463 transcript:EOY21118 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein MNGRTFKDAMIGEGRKVIREDGTKREVSGNLKITEMMVKTSIEDKEGVKELRGGDGDNFGELSRSLKENVANVVNNNENGRNRESMTNPLLNTTNPSIVGDLVTVTPYINAQSFVIKEELEKLFDKKNKSLNFLEFDLKLPYLAKVAVKSYPKDYTSSNSNNSTARQMMLRNMS >EOY23821 pep chromosome:Theobroma_cacao_20110822:3:28683992:28685319:1 gene:TCM_015595 transcript:EOY23821 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 3 TKLLLTDLASSVKQVPFNYIRPISDRPNLSDVQVSDVSLPLIDLQDLYGPNRSDILKQIALACEHDGFFQVKNHGISEALINNMLRLAREFFRLPKSERLKNYSDDPSKANRLSTSFNVKTEKVANWRDFLRLHCYPLKDHVHEWPSNPPSFREDAAEYCTRVRGLVLRLLEAISESLGLKRDHIDKTLSKHGQHMALNYYPPCPEPELTYGLPGHTDPNLITILLQDDVPGLQVLRNGKWVAVNPIPNTFIVNIGDQMQVNFTCVVCPKNFRPNLDRKLEEMDLPAQHVRHCQLASSTPD >EOY23820 pep chromosome:Theobroma_cacao_20110822:3:28683943:28685977:1 gene:TCM_015595 transcript:EOY23820 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 3 MAATKLLLTDLASSVKQVPFNYIRPISDRPNLSDVQVSDVSLPLIDLQDLYGPNRSDILKQIALACEHDGFFQVKNHGISEALINNMLRLAREFFRLPKSERLKNYSDDPSKANRLSTSFNVKTEKVANWRDFLRLHCYPLKDHVHEWPSNPPSFREDAAEYCTRVRGLVLRLLEAISESLGLKRDHIDKTLSKHGQHMALNYYPPCPEPELTYGLPGHTDPNLITILLQDDVPGLQVLRNGKWVAVNPIPNTFIVNIGDQMQVISNDRYKSVLHRAVVNCNKERISIPTFYCPSNDALIGPATELIDDEHPAVYRSFAYGEFYEKFWKRGLATECCLDKFKTSIT >EOY23819 pep chromosome:Theobroma_cacao_20110822:3:28683594:28685938:1 gene:TCM_015595 transcript:EOY23819 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 3 MAATKLLLTDLASSVKQVPFNYIRPISDRPNLSDVQVSDVSLPLIDLQDLYGPNRSDILKQIALACEHDGFFQVKNHGISEALINNMLRLAREFFRLPKSERLKNYSDDPSKANRLSTSFNVKTEKVANWRDFLRLHCYPLKDHVHEWPSNPPSFREDAAEYCTRVRGLVLRLLEAISESLGLKRDHIDKTLSKHGQHMALNYYPPCPEPELTYGLPGHTDPNLITILLQDDVPGLQVLRNGKWVAVNPIPNTFIVNIGDQMQVISNDRYKSVLHRAVVNCNKERISIPTFYCPSNDALIGPATELIDDEHPAVYRSFAYGEFYEKFWKRGLATECCLDKFKTSIT >EOY21197 pep chromosome:Theobroma_cacao_20110822:3:3993733:3996401:-1 gene:TCM_012580 transcript:EOY21197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MAVSEALVLRGTMRAHTDMVTAIATPIDNSDMIVTSSRDKSIILWQLTKDEKTYGVPRRRLTGHSHFVQDVVLSSDSQFALSGSWDGELRLWDLNAGTSARRFVGHTKDVLSVAFSIDNRQIVSASRDRTIKLWNTLGECKYTIQDGEAHTDWVSCVRFSPNTLQPTIVSASWDKTVKVWNLTNCKIRNTLTGHSGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKKLYSLDAGAVIHSLCFSPNRYWLCAATEQSIKIWDLESKSIVEDLKVDLKAEAEKSDVTDTANKKKVIYCTSLNWSADGSTLFSGYTDGVIRVWGIGRF >EOY24718 pep chromosome:Theobroma_cacao_20110822:3:32012210:32026918:-1 gene:TCM_016246 transcript:EOY24718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jumonji domain protein, putative isoform 2 MGKGRPRAVETGQNLSVSSNGSLNILSGPVFYPSEEEFRDPLEYIYKIRPEAEPYGICKIVPPKNWNPPFALNVDSFTFPTKTQAIHQLQARPASCDSKTFELEYNRFLEGHCGKKLKKRVVFEGEELDLCKLFNAVRRYGGYDKVVKDKKWGEVFRFVRSGKKISECAKHVLCQLYREHLYDYEGYYKRLNQERARSCKRRIHEDPKNENKVKISSSKRRRKNSDHEKVKVCKVEEEEELDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPSGNWYCFECLNSDKDSFGFVPGKRFTLEAFRRLADRAKKKWFGSGSASRVQIEKKFWEIVEGSAGEVEVLYGSDLDTSVYGSGFPRLNDQRSDSVDLKAWDEYCRSPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYVGMLFSAFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKVMRNCLPDLFDAQPDLLFQLVTMLNPSVLRENGVPVYSVLQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGSGAELYQLYHKAAVLSHEELLCVVAKSGWDSKASAYLRKELLRLYTKERTWRERLWKSGIIRSSLMSPRKSPEFVGTEEDPMCIICKQYLYLSAVVCRCRPSAFVCVEHWEHLCECKSGKLRLLYRHTLAELADLMLIVDKHASEEIPPSDSLQKKNISFSNELNVSKKKVKGAHITHAQLSEQWLLHSHRILQSPFSGDAYTNLLKEAEQFLWAGSEMDSVRNVVKNLTEAQKWAQGIRDCLSKIENWSPGGGLEKVPLKLVNKLLTVDPVPCNELGYLKLKDCAEEASLLVQNIDAALSKCSTINELELLYSRACSSPIHVKESEMLSQKISLSKVWIESARKLISDKRPAAIDIDILYKLKSEILELHVQVQEMEILFDLLSQAESCQTRCRSVLDGSVTLKDVEVLLQEMESFTVNIPELRLLKQYQIDASLWIARYDNVMKNVHQREDQQNVIEELNCILEDGASLKIQVYELPLVKIELKKACCREKALKACDTKMALDLLQQLLAEAVVLQIEREELFLGLSRELAGALQWEEKAKNLLACKAEMSEFEDLIRTSEDIVAITPSLGDVKDAISVAKSWLNNAKPFLGSDFSGLSASCSLQKLGDLKELVSQSRFLKIMLEERSVLETVLKNCMEWQREAFSVLQDVECLYGVTDIGDGRSNGLISKIESLLTLLESVTKAGLSLRVDFPEIPKLQNACSTLRWCNQVLSFCYLIPSYEHVASVMDIAGQLSITCSSGNLLSSLIFGAKWLKNVSEVISAPSKCKACKLTDAEEMLTEYQGISISFPMMVAQLTDATCKHRLWQEQVHQFFGLELAERSWSQIMQLKEHGKASFFTCAELDMVLSEVEKVEKWKQRCMDAVANFAGDENTLLGALQKIKESLDRSLYVYEKSESCEGVCLCMCCANGSEDLEFLTCSTCKDCYHLQCVGYRNHAEVYVCSYCQLLMGGSIPNKGGGILRHNGKYSDLKLLSELVSIDENFCVRIEERDKLQQIVDQGCACRTCLTQIVDFEMSYYDKHLSVVGKKLTTALKAIGVAGVYDHQSYCDLERALARYSWRVRVSRLLDALEKGLEKPSIQQIQRHLKEGEAMNILPEDYFRLKLSALKDIGLQWADRAKKVAADSGALGLDGVYELIAEGESLPVCLKRELELLRARSMLYCICRKPYDERSMIACGQCGEWYHIKCVKLLSPPKVYICAACVPGTENLVSTLRPSDQERLTYAKSVEPKTPSPRHTKPRMGLKKSERSLTQNMLAIANRDSNFGRSNGIDRLWWRNRKPFRRVAKKRAELDSLSSFFHRQSPSQR >EOY24719 pep chromosome:Theobroma_cacao_20110822:3:32013996:32026664:-1 gene:TCM_016246 transcript:EOY24719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jumonji domain protein, putative isoform 2 MGKGRPRAVETGQNLSVSSNGSLNILSGPVFYPSEEEFRDPLEYIYKIRPEAEPYGICKIVPPKNWNPPFALNVDSFTFPTKTQAIHQLQARPASCDSKTFELEYNRFLEGHCGKKLKKRVVFEGEELDLCKLFNAVRRYGGYDKVVKDKKWGEVFRFVRSGKKISECAKHVLCQLYREHLYDYEGYYKRLNQERARSCKRRIHEDPKNENKVKISSSKRRRKNSDHEKVKVCKVEEEEELDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPSGNWYCFECLNSDKDSFGFVPGKRFTLEAFRRLADRAKKKWFGSGSASRVQIEKKFWEIVEGSAGEVEVLYGSDLDTSVYGSGFPRLNDQRSDSVDLKAWDEYCRSPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYVGMLFSAFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKVMRNCLPDLFDAQPDLLFQLVTMLNPSVLRENGVPVYSVLQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGSGAELYQLYHKAAVLSHEELLCVVAKSGWDSKASAYLRKELLRLYTKERTWRERLWKSGIIRSSLMSPRKSPEFVGTEEDPMCIICKQYLYLSAVVCRCRPSAFVCVEHWEHLCECKSGKLRLLYRHTLAELADLMLIVDKHASEEIPPSDSLQKKNISFSNELNVSKKKVKGAHITHAQLSEQWLLHSHRILQSPFSGDAYTNLLKEAEQFLWAGSEMDSVRNVVKNLTEAQKWAQGIRDCLSKIENWSPGGGLEKVPLKLVNKLLTVDPVPCNELGYLKLKDCAEEASLLVQNIDAALSKCSTINELELLYSRACSSPIHVKESEMLSQKISLSKVWIESARKLISDKRPAAIDIDILYKLKSEILELHVQVQEMEILFDLLSQAESCQTRCRSVLDGSVTLKDVEVLLQEMESFTVNIPELRLLKQYQIDASLWIARYDNVMKNVHQREDQQNVIEELNCILEDGASLKIQVYELPLVKIELKKACCREKALKACDTKMALDLLQQLLAEAVVLQIEREELFLGLSRELAGALQWEEKAKNLLACKAEMSEFEDLIRTSEDIVAITPSLGDVKDAISVAKSWLNNAKPFLGSDFSGLSASCSLQKLGDLKELVSQSRFLKIMLEERSVLETVLKNCMEWQREAFSVLQDVECLYGVTDIGDGRSNGLISKIESLLTLLESVTKAGLSLRVDFPEIPKLQNACSTLRWCNQVLSFCYLIPSYEHVASVMDIAGQLSITCSSGNLLSSLIFGAKWLKNVSEVISAPSKCKACKLTDAEEMLTEYQGISISFPMMVAQLTDATCKHRLWQEQVHQFFGLELAERSWSQIMQLKEHGKASFFTCAELDMVLSEVEKVEKWKQRCMDAVANFAGDENTLLGALQKIKESLDRSLYVYEKSESCEGVCLCMCCANGSEDLEFLTCSTCKDCYHLQCVGYRNHAEVYVCSYCQLLMGGSIPNKGGGILLPLLGTEA >EOY24720 pep chromosome:Theobroma_cacao_20110822:3:32013996:32026785:-1 gene:TCM_016246 transcript:EOY24720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jumonji domain protein, putative isoform 2 MGKGRPRAVETGQNLSVSSNGSLNILSGPVFYPSEEEFRDPLEYIYKIRPEAEPYGICKIVPPKNWNPPFALNVDSFTFPTKTQAIHQLQARPASCDSKTFELEYNRFLEGHCGKKLKKRVVFEGEELDLCKLFNAVRRYGGYDKVVKDKKWGEVFRFVRSGKKISECAKHVLCQLYREHLYDYEGYYKRLNQERARSCKRRIHEDPKNENKVKISSSKRRRKNSDHEKVKVCKVEEEEELDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPSGNWYCFECLNSDKDSFGFVPGKRFTLEAFRRLADRAKKKWFGSGSASRVQIEKKFWEIVEGSAGEVEVLYGSDLDTSVYGSGFPRLNDQRSDSVDLKAWDEYCRSPWNLNNLPKLKGSMLRAVHHNITGVMVPWLYVGMLFSAFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKVMRNCLPDLFDAQPDLLFQLVTMLNPSVLRENGVPVYSVLQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGSGAELYQLYHKAAVLSHEELLCVVAKSGWDSKASAYLRKELLRLYTKERTWRERLWKSGIIRSSLMSPRKSPEFVGTEEDPMCIICKQYLYLSAVVCRCRPSAFVCVEHWEHLCECKSGKLRLLYRHTLAELADLMLIVDKHASEEIPPSDSLQKKNISFSNELNVSKKKVKGAHITHAQLSEQWLLHSHRILQSPFSGDAYTNLLKEAEQFLWAGSEMDSVRNVVKNLTEAQKWAQGIRDCLSKIENWSPGGGLEKVPLKLVNKLLTVDPVPCNELGYLKLKDCAEEASLLVQNIDAALSKCSTINELELLYSRACSSPIHVKESEMLSQKISLSKVWIESARKLISDKRPAAIDIDILYKLKSEILELHVQVQEMEILFDLLSQAESCQTRCRSVLDGSVTLKDVEVLLQEMESFTVNIPELRLLKQYQIDASLWIARYDNVMKNVHQREDQQNVIEELNCILEDGASLKIQVYELPLVKIELKKACCREKALKACDTKMALDLLQQLLAEAVVLQIEREELFLGLSRELAGALQWEEKAKNLLACKAEMSEFEDLIRTSEDIVAITPSLGDVKDAISVAKSWLNNAKPFLGSDFSGLSASCSLQKLGDLKELVSQSRFLKIMLEERSVLETVLKNCMEWQREAFSVLQDVECLYGVTDIGDGRSNGLISKIESLLTLLESVTKAGLSLRVDFPEIPKLQNACSTLRWCNQVLSFCYLIPSYEHVASVMDIAGQLSITCSSGNLLSSLIFGAKWLKNVSEVISAPSKCKACKLTDAEEMLTEYQGISISFPMMVAQLTDATCKHRLWQEQVHQFFGLELAERSWSQIMQLKEHGKASFFTCAELDMVLSEVEKVEKWKQRCMDAVANFAGDENTLLGALQKIKESLDRSLYVYEKSESCEGVCLCMCCANGSEDLEFLTCSTCKDW >EOY22032 pep chromosome:Theobroma_cacao_20110822:3:20684923:20686941:1 gene:TCM_014204 transcript:EOY22032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRKRERKNRERGRAKRMVLLHFEEEKREFLLQFWRKKKAKNFEKNWSCLCRKIGVVCCRKNWSCLCRKN >EOY24052 pep chromosome:Theobroma_cacao_20110822:3:29455277:29456508:-1 gene:TCM_015754 transcript:EOY24052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGQRAVAVHSIFFLHFLPTSGRGLNLYRVSNLPEPNSIKTLGLLKIVLMIVKMVGFDESYGNNRTRSNYKIKSAAVHGL >EOY20648 pep chromosome:Theobroma_cacao_20110822:3:421620:424427:-1 gene:TCM_012007 transcript:EOY20648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 1 MTCNSNLSLSVPSSLERERKMRVREMRVRSWLVELAPFAAMVAVECLDVGLTTLSKAAMSKGMSHFVFVVYSNALASVILIPAAFFFTRKKRPPITLPLLCKFFLLSIAGITLMQNCVFTGVSYSSPTLASALGNLVPAFTFLLAVIFRMEKLELTSSRSQIKILGTLVSISGALIMTLYKGSAVLSPPAQPQSDPSAMLTTTNNWIIGGLFLATACLSLSTNIIGQAAILKGYPSEIVLVSFYCFFGTIQCALVALVAERNTNAWKLSPDIELVSVVYSALFGSVVTFAVLAWCIRRKGPVFVAMFKPLSIAIAAFLGFIFLGETLYVGSIVGAAIIVTGFYGVIWAQSKEEEDEVANIHKLHSSSSKIPLLHGHLDA >EOY20649 pep chromosome:Theobroma_cacao_20110822:3:421127:424229:-1 gene:TCM_012007 transcript:EOY20649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 1 MRVREMRVRSWLVELAPFAAMVAVECLDVGLTTLSKAAMSKGMSHFVFVVYSNALASVILIPAAFFFTRKKRPPITLPLLCKFFLLSIAGITLMQNCVFTGVSYSSPTLASALGNLVPAFTFLLAVIFRMEKLELTSSRSQIKILGTLVSISGALIMTLYKGSAVLSPPAQPQSDPSAMLTTTNNWIIGGLFLATACLSLSTNIIGQAAILKGYPSEIVLVSFYCFFGTIQCALVALVAERNTNAWKLSPDIELVSVVYSALFGSVVTFAVLAWCIRRKGPVFVAMFKPLSIAIAAFLGFIFLGETLYVGSIVGAAIIVTGFYGVIWAQSKEEEDEVANIHKLHSSSSKIPLLHGHLDA >EOY24526 pep chromosome:Theobroma_cacao_20110822:3:31341192:31342902:-1 gene:TCM_046744 transcript:EOY24526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MATTTLLCYPKPIFEQNHSTPTLHKPKIPQKTRHLTRKVSSRRLIWNQETVVGLVGSGLVLALVDPALASELRPLLLGSSLQLSEPANALSLPTWAIHVSSVVEWITAMALVWQYGEKSGFESWKGLSWGMIKMVEDLRCPLLLLRNPSTRSVYPLWRMASCTSTRWSILCMHMAFLLQF >EOY24525 pep chromosome:Theobroma_cacao_20110822:3:31339779:31342980:-1 gene:TCM_046744 transcript:EOY24525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MATTTLLCYPKPIFEQNHSTPTLHKPKIPQKTRHLTRKVSSRRLIWNQETVVGLVGSGLVLALVDPALASELRPLLLGSSLQLSEPANALSLPTWAIHVSSVVEWITAMALVWQYGEKSGFESWKGLSWGMVPLLGGAFCACTWHFFYNSESLEVLVALQAALTVIGNATMCFAAFRICNLSDKRSQKL >EOY21724 pep chromosome:Theobroma_cacao_20110822:3:17642552:17643591:-1 gene:TCM_013829 transcript:EOY21724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGETADSKKHDDHKEKEKKHEKEEKHQGEDRDKEDKGEKEEKKKKKKDKDCKDKEDKEDGGKDEEKNPEDKKTPEKLRAKLQNIESKIQALTQKKEEVLKLLDEVEKAAVKPSG >EOY24598 pep chromosome:Theobroma_cacao_20110822:3:31570739:31575604:-1 gene:TCM_016159 transcript:EOY24598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein MAKKGLIPLLCSLLFAAFLLNSHAANASERKIHVVYMGDRLKGDLSAKRVHYSMLTSVLGSSSSAKESLVYSYGNFNAFAAKLTEEEVKTFSEMDGVVRVIPNHILQLHTTRSWDFLGFSQSSLGARIEGDVVIGLLDTGIWPEHESFTNQELGAPPSKWKGICQGANFTCNNKIVGARYYNSEEWYSDTDFKSPRDSEGHGTHTSSTAAGNRVADASYYGLANGIARGGVPGARIAMYKVCWSFGCATADILAAFDDAIADGVDIISVSLGFIFALAYDEDPIAIGAFHAMKNGILTSNSAGNSGPWPYSVVNFAPWTLTVAASTIDRKFVTRVELGNGMSYAGPSINSFDLHGKSYPLIWGGDAANYSAGSNPDLSKYCINGYLNSYRVYGNIVFCELLWDGTGILEASGVGTIMASDRLKDFAFSFPLPATVISTKDGEQILDYIRSAEYPVATILFGETWSDVMAPYVVSFSSRGPNPMNPDILKPDLTAPGVDIIAAWSPVAPPSVAYEDPRSVNYNIISGTSMSCPHASGAAAYVKAAHPDWSPAAVKSALMTTATVMDSTKHEDLEFAYGSGQINPAHAIEPGLVYDANETDYINFLCKQGYNTTTIRLITGDNSSVCTSTVIGRAWDLNYPSFSLAVEDGEPISGVFTRTVTNVGSANSTYTVRMYSPSNFSISVEPQVLSFSTVGEKKSYTVTVTGGTISQQKIMSGAIIWTDGDHQYEVRSPVVVYNVLPGYTYFPPQNSKFQEKPTFQGPSMYEKYGVLRRN >EOY22787 pep chromosome:Theobroma_cacao_20110822:3:24804997:24809462:-1 gene:TCM_014858 transcript:EOY22787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MEFTEAYKQTGPCCFSPNARYIAVAVDYRLVIRDTLSFRVVQLFSCLDKISYIEWALDSEYILCGLYKRPMIQAWSLTQPEWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHASKGVSFTKDGKFAAICTRRDCKDYINLLSCHSWEIMGVFAVDTLDLADLEWSPDDSAIVIWDSPLEYKVLIYSPDGRCLFKYQAYESGLGVKSVSWSPCGQFLAVGSYDQMLRVLNHLTWKTFAEFMHLSTVRAPCCAAVFKEVDEPLQLDMSELCLDDDFMRGSADAPEGHFKVRYEVMEVPITLPFQKPPADKPNPKQGIGLLSWSNDSQFICTRNDSMPTALWIWDIRHLELAAILVQKDPIRAAVWDPICTRLVLCTGSSHLYMWTASGAYCVSNPLPQFSITDLKWNRDGSCLLLKDKESFCCAAVPLLPESSDYSSDD >EOY22790 pep chromosome:Theobroma_cacao_20110822:3:24805407:24809429:-1 gene:TCM_014858 transcript:EOY22790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MEFTEAYKQTGPCCFSPNARYIAVAVDYRLVIRDTLSFRVVQLFSCLDKISYIEWALDSEYILCGLYKRPMIQAWSLTQPEWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHASKGVSFTKDGKFAAICTRRDCKDYINLLSCHSWEIMGVFAVDTLDLADLEWSPDDSAIVIWDSPLEYKVLIYSPDGRCLFKYQAYESGLGVKSVSWSPCGQFLAVGSYDQMLRVLNHLTWKTFAEFMHLSTVRAPCCAAVFKEVDEPLQLDMSELCLDDDFMRGSGLLSWSNDSQFICTRNDSMPTALWIWDIRHLELAAILVQKDPIRAAVWDPICTRLVLCTGSSHLYMWTASGAYCVSNPLPQFSITDLKWNRDGSCLLLKDKESFCCAAVPLLPESSDYSSDD >EOY22789 pep chromosome:Theobroma_cacao_20110822:3:24805407:24809429:-1 gene:TCM_014858 transcript:EOY22789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MEFTEAYKQTGPCCFSPNARYIAVAVDYRLVIRDTLSFRVVQLFSCLDKISYIEWALDSEYILCGLYKRPMIQAWSLTQPEWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHASKGVSFTKDGKFAAICTRRDCKDYINLLSCHSWEIMGVFAVDTLDLADLEWSPDDSAIVIWDSPLEYKVLIYSPDGRCLFKYQAYESGLGVKSVSWSPCGQFLAVGSYDQMLRVLNHLTWKTFAEFMHLSTVRAPCCAAVFKEVDEPLQLDMSELCLDDDFMRGSDAPEGHFKVRYEVMEVPITLPFQKPPADKPNPKQGIACLLLSGSGTYAIWS >EOY22788 pep chromosome:Theobroma_cacao_20110822:3:24805452:24809509:-1 gene:TCM_014858 transcript:EOY22788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MEFTEAYKQTGPCCFSPNARYIAVAVDYRLVIRDTLSFRVVQLFSCLDKISYIEWALDSEYILCGLYKRPMIQAWSLTQPEWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHASKGVSFTKDGKFAAICTRRDCKDYINLLSCHSWEIMGVFAVDTLDLADLEWSPDDSAIVIWDSPLEYKVLIYSPDGRCLFKYQAYESGLGVKSVSWSPCGQFLAVGSYDQMLRVLNHLTWKTFAEFMHLSTVRAPCCAAVFKEVDEPLQLDMSELCLDDDFMRGSDAPEGHFKVRYEVMEVPITLPFQKPPADKPNPKQGIGLLSWSNDSQFICTRNDSMPTALWIWDIRHLELAAILVQKDPIRAAVWDPICTRLVLCTGSSHLYMWTASGAYCVSNPLPQFSITDLKWNRDGSCLLLKDKESFCCAAVPLLPESSDYSSDD >EOY24898 pep chromosome:Theobroma_cacao_20110822:3:32604753:32607543:-1 gene:TCM_016372 transcript:EOY24898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein MKMEARRFLLLLFLLSLLSISTAATTRKIRARKPCKSMVLYFHDIIYNGKNAKNATSAIVGAPQWANRTLLAGQNHFGDLAVFDDPITLDNNLHSTPVGRAQGFYFYDKKEIFTAWLGFSFVFNSTEHKGSINFAGADPLMNKTRDISVIGGTGDFFMARGVATLMTDAFEGEVYFRLRTDIKFQLANPQSRHEMAATRLTSTLVLCLLLLLLSSSTATHRRKIRSRRPCKQMVFYFHDILYNGKNAKNATSAIVGAPAWGNRTILAGQNHFGNLVVFDDPITLDNNPHSTRVGRAQGFYLYDRKDYFTAWLGFSLVFNSTQHRPQRKDNICGA >EOY24485 pep chromosome:Theobroma_cacao_20110822:3:31159122:31162897:-1 gene:TCM_016079 transcript:EOY24485 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein MNMAWNVFKFCTALRALGSIMIVFVLGIVGVTYYAVVVAHYGPSLFLGGFDALSAVAVIFLFHFLLVMVIWSYFAVVLTDPGGVPPNWKPPRDEEKGEVDPLVSFSYGSPELGSNQSAVPGDSPNQDIRFCRKCNQFKPPRTHHCSVCKRCILKMDHHCVWVVNCVGALNYKYFLLFLFYTFLGATLSSLSLLRVFIEFFNDGEIAETPGTLAATFITFVLNIAFALSILGFLIMHITLVGANTTTIEAYEKKTTPKWRYDLGWKKNFEQVFGTEKKFWFIPAYSEEDLRCMPSLQGLEYPTRPDWEPPQQH >EOY20669 pep chromosome:Theobroma_cacao_20110822:3:494203:501598:-1 gene:TCM_012026 transcript:EOY20669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-phosphatase/synthase 9 isoform 2 MMVSRSCISLLDLASGDIFNLPQTPRKIQRVMTVPGIISKVDGNRSNDGDFDALSSDGCEKKIIVANFLPIHAQKDLKSGRWSFSFDEDSLLLQMKDGFSSDTDVVYVGSLKVDVDSSEQDEISRRLLEEFNCVPTFLPPELQKKFYHGFCKQYLWPLFHYMLPMCPDYCNRFDRLLWQAYVSANKIFADKVMEVINPEDDFVWVHDYHLMILPTFLRKRFYRVKLGFFLHSPFPSSEIYRTLPVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVYIKILPVGIHMGRLQSALNHPSSSTKVKEIVEQFKGKKLIVGVDDMDIFKGISLKVLAMEQLLQQHRELRGKIVLVQIVNPARSTGKDVQEAKRETYETTKRINDNFGFPGYEPVVLIDRRVPFYEKTAYYALAECCIVNAVRDGMNLVPYKYIVCRQGTSKMDEALEIASESPRMSMLVVSEFIGCSPSLSGAIRVNPWDIDAVADALNMAIRMPDVEKQLRHEKHYRYVSSHDVAYWARSFMQDLERACKEHYSKRCWGIGFGLSFRILSLSPSFRKLSIDHIVSAYKRTCRRSIFLDYDGTVVPHASIIKSPSPEVISVLNNLCSDPKNTVFIVSGRGQNSLSDWFAQCENLGIAAEHGYFIRWSRMSDWETIPIAADFDWKRIAEPVMKLYTEATDGSYIEPKKSALVWHHQDADPDFGSCQAKELLDHLENVLANEPVVVKRGQQIVEVKPQGVTKGFVAEKILSTMIEMETQMLVIMVNLLVALEINNHWTFTLSRYFDRWLLVGVSIFGCRADTSRS >EOY20668 pep chromosome:Theobroma_cacao_20110822:3:494167:501381:-1 gene:TCM_012026 transcript:EOY20668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-phosphatase/synthase 9 isoform 2 MMVSRSCISLLDLASGDIFNLPQTPRKIQRVMTVPGIISKVDGNRSNDGDFDALSSDGCEKKIIVANFLPIHAQKDLKSGRWSFSFDEDSLLLQMKDGFSSDTDVVYVGSLKVDVDSSEQDEISRRLLEEFNCVPTFLPPELQKKFYHGFCKQYLWPLFHYMLPMCPDYCNRFDRLLWQAYVSANKIFADKVMEVINPEDDFVWVHDYHLMILPTFLRKRFYRVKLGFFLHSPFPSSEIYRTLPVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVYIKILPVGIHMGRLQSALNHPSSSTKVKEIVEQFKGKKLIVGVDDMDIFKGISLKVLAMEQLLQQHRELRGKIVLVQIVNPARSTGKDVQEAKRETYETTKRINDNFGFPGYEPVVLIDRRVPFYEKTAYYALAECCIVNAVRDGMNLVPYKYIVCRQGTSKMDEALEIASESPRMSMLVVSEFIGCSPSLSGAIRVNPWDIDAVADALNMAIRMPDVEKQLRHEKHYRYVSSHDVAYWARSFMQDLERACKEHYSKRCWGIGFGLSFRILSLSPSFRKLSIDHIVSAYKRTCRRSIFLDYDGTVVPHASIIKSPSPEVISVLNNLCSDPKNTVFIVSGRGQNSLSDWFAQCENLGIAAEHGYFIRWSRMSDWETIPIAADFDWKRIAEPVMKLYTEATDGSYIEPKKSALVWHHQDADPDFGSCQAKELLDHLENVLANEPVVVKRGQQIVEVKPQGVTKGFVAEKILSTMIEMETQMLVIMVNLLVALEINNHWTFTLSRYFDRWLLVGVSIFGCRADTSRS >EOY20667 pep chromosome:Theobroma_cacao_20110822:3:494875:497830:-1 gene:TCM_012026 transcript:EOY20667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-phosphatase/synthase 9 isoform 2 MMVSRSCISLLDLASGDIFNLPQTPRKIQRVMTVPGIISKVDGNRSNDGDFDALSSDGCEKKIIVANFLPIHAQKDLKSGRWSFSFDEDSLLLQMKDGFSSDTDVVYVGSLKVDVDSSEQDEISRRLLEEFNCVPTFLPPELQKKFYHGFCKQYLWPLFHYMLPMCPDYCNRFDRLLWQAYVSANKIFADKVMEVINPEDDFVWVHDYHLMILPTFLRKRFYRVKLGFFLHSPFPSSEIYRTLPVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVYIKILPVGIHMGRLQSALNHPSSSTKVKEIVEQFKGKKLIVGVDDMDIFKGISLKVLAMEQLLQQHRELRGKIVLVQIVNPARSTGKDVQEAKRETYETTKRINDNFGFPGYEPVVLIDRRVPFYEKTAYYALAECCIVNAVRDGMNLVPYKYIVCRQGTSKMDEALEIASESPRMSMLVVSEFIGCSPSLSGAIRVNPWDIDAVADALNMAIRMPDVEKQLRHEKHYRYVSSHDVAYWARSFMQDLERACKEHYSKRCWGIGFGLSFRILSLSPSFRKLSIDHIVSAYKRTCRRSIFLDYDGTVVPHASIIKSPSPEVISVLNNLCSDPKNTVFIVSGRGQNSLSDWFAQCENLGIAAEHGYFIRWSRMSDWETIPIAADFDWKRIAEPVMKLYTEATDGSYIEPKKSALVWHHQDADPDFGSCQAKELLDHLENVLANEPVVVKRGQQIVEVKPQGVTKGFVAEKILSTMIGNGKLPDFVMCIGDDRSDEDMFESISNTAYRSSLPVPPEIFACTVGQKPSKARYYLDDTVEVLTLLQGLAASSSSKSRSNIETQVSFESSV >EOY21159 pep chromosome:Theobroma_cacao_20110822:3:3615287:3617448:-1 gene:TCM_012531 transcript:EOY21159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 61 protein, putative MGEKIMYDTIFARSFSRSDQKKLGYGAFLGCLLIALCFCLVFKPYTDPRSVRLNSVPKRMKLVCNSETRSDFCEINGDIRIDAKSSTVLFSASPQESILEENSSRVIRPYTRKEDEHAMSTVKKWSIKPAVDNNTIPQCNQNHGVPAVLFSLGGYSGNNYHDFTDIIIPLYSTARLFDGEVKFLITDRNPWWIKKFQIILHKLSNYDVVDIDNEESIHCFTSVIVGLKRSPHELSIDTTKSPYSMKNFRQFLRSAYSLNKSTTIRMEDDGKARPRLLIVSRSRTRTFTNTDEIARMARNLGYDVVVAEATNVPRFAEIVNSCDVMMGVHGAGLTNMVFLPENAILIQIIPIGGVEWPARTAFGEPSKDMNIRYLDYKIKTEESTLIQQYPPQHEVLNNPSSIWKQGWLAFKAVYLDNQNVNLDVNRFRPTLLRALELLHQ >EOY20761 pep chromosome:Theobroma_cacao_20110822:3:947383:949297:-1 gene:TCM_012100 transcript:EOY20761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MGSWFSSSKKSKEEIQMALDKVKQIVSSNQVVVFSKTYCGFCNRVKQLFTQLGASYKTIELNQESDGDDMQAALLEWTGQRTVPNVFIGGIHIGGCDSVVAKHQAGQLVNLLTNAGAVTVSA >EOY20617 pep chromosome:Theobroma_cacao_20110822:3:348281:351876:-1 gene:TCM_011990 transcript:EOY20617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiol-disulfide oxidoreductase DCC isoform 1 MKKQTDDQTAQYHQIANMALRGAAVAGACIARQRATPFLLSFPQNFRVRFHHHSPSHPLQRFVTYPNYKTVFKYQIRAVQEATADIVSSQKAKEDDDDEQQSPQNWKIKMLYDGDCPLCMREVDMLRDRNKQYGTIKFVDISSDDYSPEENQNLDYKTVMGRIHAILSDGTVVTDVEAFRKLYEQVGLGWVYAITKYEPIATIADTIYGVWAKYRLQITGRPPLDEILEVRRKNKADECTDTTTCKM >EOY20618 pep chromosome:Theobroma_cacao_20110822:3:348188:351806:-1 gene:TCM_011990 transcript:EOY20618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiol-disulfide oxidoreductase DCC isoform 1 MALRGAAVAGACIARQRATPFLLSFPQNFRVRFHHHSPSHPLQRFVTYPNYKTVFKYQIRAVQEATADIVSSQKAKEDDDDEQQSPQNWKIKMLYDGDCPLCMREVDMLRDRNKQYGTIKFVDISSDDYSPEENQNLDYKTVMGRIHAILSDGTVVTDVEAFRKLYEQVGLGWVYAITKYEPIATIADTIYGVWAKYRLQITGRPPLDEILEVRRKNKIHSFYVGLTRHELDLDYHVQGKDSTQLLKLISSC >EOY24624 pep chromosome:Theobroma_cacao_20110822:3:31683620:31686511:1 gene:TCM_016177 transcript:EOY24624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter 1 MAGGGMPSAGSVKNYPGNFTSKVLSTCIIAATGGLIFGYDLGISGGVTSMDPFLEKFFPEVYKKEISTKPSDDQYCKFDSQVLTLFTSSLYLAALFSATVAAKITRACGRKMTMMFGGLLFAGGAVLNGFAENIAMLISVPIYLSEIAPFKYRGAMNIMFQMSITIGIFVANLLNYFSAKIKAGWGWRLSLGGAVVPGLIIFFSSFFLSDTPNSLLERDKFDEARVLLKEIRGVDNVDEEFNDLCAASEASKLVKSPWRDISKRKYRPQLSFAVLIPFCQQFTGMNVFVFYAPVLFKSMGFGNNASLMSSVITSIINALATVVSIICVDKFGRRTLFLEGGLQMLLCQIVMTGLIAYKFGTSGNPGELPMWFSILVVAFMCIYIAAYAWSWGPLGWLVPSEIFPLEVRSAAQCITVSVNMFCTFVLAQIFTAMLCQLKFGFFIFFACCVVAMSIFIYMFLPETKGVPIEEMTIVWKKHPYWRKFLPTDEDQDCEMAKKGRSL >EOY22583 pep chromosome:Theobroma_cacao_20110822:3:24046091:24047534:1 gene:TCM_014713 transcript:EOY22583 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441, putative MNKLNFVREGMTKLPPGFRFQPTDEELVFQYLKCKVFSFPLPASIIPEINVCKYDPWDLPGESEQERYFFSSKEAKYRIGNRINRATASGYWKATGLDKQITSRRYQIAGMRKTLVFHMGKPPHGSRTDWIMHEYRLVTVASKDCNSPLSKNPIIQNYLNHHMEKWVVCRIFLKKRSEDETIQSFCNNNHEEKKSMAVPHEPKFFYFMREKTGITPASSSSSSSSSSSITEVSSSGADLEESSSHNIVR >EOY21676 pep chromosome:Theobroma_cacao_20110822:3:16729956:16730274:1 gene:TCM_013745 transcript:EOY21676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSSPSVSFGSKVLHSWGMWYLRRGYELIQRKLKQWKNGQGQHQLQRLEALWV >EOY23074 pep chromosome:Theobroma_cacao_20110822:3:26076863:26079109:-1 gene:TCM_015077 transcript:EOY23074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF565) [Source:Projected from Arabidopsis thaliana (AT5G43050) TAIR;Acc:AT5G43050] MALMILPSASLLKFRLSPQTRLSRRKLFISRSSPGFLNIRAVQENGSPRRLVDIIRLVPDITRNYFRSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVLCVLLTEYVTRFYYSRPKVTFPIALLNNFKMGFTYGLFIDAFKLAS >EOY25163 pep chromosome:Theobroma_cacao_20110822:3:33453366:33455758:-1 gene:TCM_016565 transcript:EOY25163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSSSPANSSISTTAILGGGASTTNAGLEDFHFPSDLISIQDRKDEAMRVLKSDLMAALNEEVKSLDEDNWKFEGPRSRIHLISRPGGLLQKQMEFTKNRSMAPPK >EOY22713 pep chromosome:Theobroma_cacao_20110822:3:24545987:24548596:-1 gene:TCM_014802 transcript:EOY22713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase 1, putative MLTIVNCSRCHAPTLQLRTPGAKSIRCTTCKAVTLVKQLLSPSPYNHAPPGPLPQVHSSKRAVLCGVSYKNSRYELDGCVHDAELMKALLISQFKFPESSIVLLTEEESDPNRRPTKYNMRMALYWLVLGCQSGDSLVFYYSGHGSQQKNYDGDEKDGYDETICPSDFETEGPIVDDEINWTIVRPLPRGVKLHAVIDACHSGTALDLPFLCRMDDRQGGYVWEDHRPRSGLWKGTSGGEVISFSSCDDGQNSIQISSSNIPSTGAMTSCFIQAILHGHGTTYWNLINAMRSMIFRMLLPQDEEEEEEEEGCCAILCFLATLLTGKSPTSGSRQEPQLTASEPFDVETRLFSL >EOY21786 pep chromosome:Theobroma_cacao_20110822:3:18371160:18374551:-1 gene:TCM_013907 transcript:EOY21786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKSRVLGLLSPFKVIQQIGCNFGPIDPIKRLLKPNLHLSSIPSYSKSLFRWHLDPNAPSQLPTRIKLPPPRRLPPLNPYYHRLHAPMIVPSSRTSPILLYSANSTRITLRATSSWVSDALSATPSTGPSVGPSCPPMSTAIDNEQAYSRLLSFMESIDARIIHRLDVLETQN >EOY25178 pep chromosome:Theobroma_cacao_20110822:3:33486411:33487370:-1 gene:TCM_016579 transcript:EOY25178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MSDKQPAKLNDEQIAELRDIFRSFDRNNDGSLTQLELGSLLRSLGLKPSPDQVEALIQKADTNSNGLVEFSEFVALVAPELLSEKSPYSEEQLKQLFKMFDRDGNGFITAAELAHSMAKLGHALTVEELTGMIKEADTDGDGRISFEEFAQAITSAAFDNSWA >EOY21350 pep chromosome:Theobroma_cacao_20110822:3:6806504:6821348:1 gene:TCM_012861 transcript:EOY21350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRGRYGLDGTIPGTSLDGTTWCHPFFLVSNISILPIAIEKAWSLPYGSYLNGYYASGVTTAPPNK >EOY21125 pep chromosome:Theobroma_cacao_20110822:3:3247687:3252152:1 gene:TCM_012483 transcript:EOY21125 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5-like receptor kinase MLIRAKLAILGLLALALSQLLDAVDAARRGTHDCKRSCGALNIRSPFRFKGDTPECGEYELVCEHNRTILDDFYYERFYVLDIFYNHTIRLVDGSLNSDECSILPKSFLCSFHSDYMYFISCKVAINSSLYVDASPCANASFSSHPYFYAVDGREIRNAIDLHESCIIEVRVPRPFQLRSSSISGLSIFDIHQMFLKGFDVPWNCAPAYFTSSNESTLGKILSQLMDIISEYLDALRMFFTHGYQSPDRYDYYYYGRIPFAFFIITGVYIIIRTLLGVSCLIAAVLIKFRRRHLSMDDNIEEFLQMQNNLMPIRYSYSKVQKMTKCFKDKLGQGGYGSVFKGTLRSGRLVAKKLLNMSKSHGQDFINEVATIGRIHHVNVVQLIGFCVEGSKQALVYDFMPNGSLDKIIFSEERDTTLCWEKIFEIAIGVARGIEYLHQGCQMQILHFDIKPHNILLDENFTPKISDFGLAKLYAVDHSIASLTAARGTLGYIAPELFYKNIGSISHKVDVYSFGMLLMEMVGKRRNLNAFAEQSSQIYFPSWIYDRFDKEEDIELGDVTENEKKTVRKMVITAFWCIQIKPTDRPSISKVLEMLEGEVELLELPPKPFLLSLDSSSKDFASNNLEEEPTTSTLDATVEGMEVPPM >EOY24303 pep chromosome:Theobroma_cacao_20110822:3:30482554:30486309:-1 gene:TCM_015945 transcript:EOY24303 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic protease/crotonase family protein isoform 2 MQSFKILSPFFNKHRRFASFTRSLCSLNSSSHYDNLILIEEKGSSRTVILNRPHVLNALTTPMGIFLHKLYKSWERDPTVDLVVIKGNGRAFCSGGDVVQLYQLINEGRVEECKECFRTFYSFMYLLGTYLKPHVAILDGITMGGGAGISVHGSYRVATDKTVFGVPEVLIGFHPDAGASYYLSRLPGYLGEYLALTGDTLTGEEMFACGLATHYSLSTKLPVIETQLSTSARKDFSVVETFLARYSHPRSPNSSSVLHRMEMLNKCFGHGTVEEIIAALEVEAARSKDKWFISTMKKLRAAPPLSLKVSLRSIREGRFQTLEQCLAREYQMTLQAITRQISNDFSEGVRARLVDKCFAPKWDPSCMEKVSEDMVDAYFSALSAYEPELEFVCELPRS >EOY24304 pep chromosome:Theobroma_cacao_20110822:3:30483385:30486287:-1 gene:TCM_015945 transcript:EOY24304 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic protease/crotonase family protein isoform 2 MQSFKILSPFFNKHRRFASFTRSLCSLNSSSHYDNLILIEEKGSSRTVILNRPHVLNALTTPMGIFLHKLYKSWERDPTVDLVVIKGNGRAFCSGGDVVQLYQLINEGRVEECKECFRTFYSFMYLLGTYLKPHVAILDGITMGGGAGISVHGSYRVATDKTVFGVPEVLIGFHPDAGASYYLSRLPGYLGEYLALTGDTLTGEEMFACGLATHYSLSTKLPVIETQLSTSARKDFSVVETFLARYSHPRSPNSSSVLHRMEMLNKCFGHGTVEEIIAALEVEAARSKDKWFISTMKKLRAAPPLSLKVSLRSIREGRFQTLEQCLAREYQMTLQAITRQISNDFSEVRNKT >EOY22152 pep chromosome:Theobroma_cacao_20110822:3:21943261:21944206:1 gene:TCM_014368 transcript:EOY22152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance response protein, putative MAYASKFLLLIALVAAAYNTDALKQKVTRIQFYMHDIVGGPEPTAVRVASRSNFTGQDPIAATFGSIVMMDNPLTVTPNINSTLVGRAQGMYAMSSQQKEFSLLMTLTYAFTSGPYNGSTFSVLGRNPVMNEVREMPVVGGTGKFRLARGYCLARSYSMKEMDAVIGYNVTLLH >EOY23264 pep chromosome:Theobroma_cacao_20110822:3:26829168:26832425:-1 gene:TCM_015217 transcript:EOY23264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion symporter isoform 1 MDMASPVDVVLGSIAFAVFWVLAVFPAVPYMPIGRTAGSLLGGLLMVLFGVMTPDQAYDAIDLPIIGLLFGTMVVSIYLERADMFKYLEKLLSWKSMGPKDLICRICLISAISSSLFTNDTTCVILTEFVLKIARQHNLPPYPFLLALATASNIGSAATPIGNPQNLVIAFQSKISFGDFLIGILPAMLAGLIVNALLLLCMYWRLLSIPIDEEDAIGKVVADENRIFPCDSPATMLHSSSLNSQEWNSILETMSPQISPKTNTNTAYVDTLKNRESFAESEMIQMLSKSAVESNAVMITVTSVGSSERSEAIPLLSEQHRVRAPVFVESSKPVGKENLATRWKRIMWKPCVYLVTLGMLISFLMDFNMSWTAITAAIALIVLDFNDAQPCLEKVSYPLLVFFCGMFATVAGFNRTGIPSTLWDLVEPYAKINDVFGIAVLAIVILVLSNLASNVPTVLLLGCPVALSASAISATHEKKAWLILAWVSTVAGNLSLLGSAANLIVCEQARHAPVGYNLTFWKHLKFGVPSTLIVTAIGLALLKNEI >EOY23265 pep chromosome:Theobroma_cacao_20110822:3:26829198:26834839:-1 gene:TCM_015217 transcript:EOY23265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion symporter isoform 1 MDMASPVDVVLGSIAFAVFWVLAVFPAVPYMPIGRTAGSLLGGLLMVLFGVMTPDQAYDAIDLPIIGLLFGTMVVSIYLERADMFKYLEKLLSWKSMGPKDLICRICLISAISSSLFTNDTTCVILTEFVLKIARQHNLPPYPFLLALATASNIGSAATPIGNPQNLVIAFQSKISFGDFLIGILPAMLAGLIVNALLLLCMYWRLLSIPIDEEDAIGKVVADENRIFPCDSPATMLHSSSLNSQEWNSILETMSPQISPKTNTNTAYVDTLKNRESFAESEMIQMLSKSAVESNAVMITVTSVGSSERSEAIPLLSEQHRVRAPVFVESSKPVGKENLATRWKRIMWKPCVYLVTLGMLISFLMDFNMSWTAITAAIALIVLDFNDAQPCLEKVSYPLLVFFCGMFATVAGFNRTGIPSTLWDLVEPYAKINDVFGIAVLAIVILVLSNLASNVPTVLLLGCPVALSASAISATHEKKAWLILAWVSTVAGNLSLLGSAANLIVCEQARHAPVGYNLTFWKHLKFGVPSTLIVTAIGLALLKNEI >EOY24940 pep chromosome:Theobroma_cacao_20110822:3:32742413:32745241:1 gene:TCM_016402 transcript:EOY24940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein, putative isoform 3 MEQFRQIGEVLGSLRALMVLQDEVQINRRQCCLLFDIFCLAFNTIAEEIRLNLKLEEKNTKWHALDHPLRELHKIFKEGELYVKQCMDKKDWRVKAIYLHQNKDCVDNHIHNLLCHFPVVIEAIETAGEIAGLDQDEMQRRRVALARKYDKEWNDPKLFQFRFGKQYLVPRDICSRFESAWREDRWNLVEALKDKKNLDTATKNEQRLAGSLIKKIIGSEAYKGKLFPSSILYGGDYLVRRRLGAQYKEIQWLGDSFVLRHFFGEVEPSCSEISTLLSLSHPNILQYLCGFYDEEKKEVMLVLELMNKDLSCYMKENCGSRRRILFSFPVVVDLMLQIARGMEYLHSQKIRHGELNPSNIFLKARNNTEGFFHLKISGYGLSPVKTRSSPNSSPKPIEPNPFIWYAPEVLLEQEQPGNITISKYTEKADVYSFGMLCFQLLTGKVPFEDGHLQGEKMSRNIRAGERPLFPYTAPKYLVNLTKRCWHTDPNQRPSFSSISRILRYIKKYLVMNPDRDQPEMQSPVADYCEIEAWFLKKFTANGTFSPLSVAQIPFQMFAYRLAEKDKSILNTQDKNGELASEAASICRDENNSTVEDPLIAASETKSVSSDVKSVCSDIKSVYSDIRSVCSEIPERRSINFDTPQKRSISTKIPEKKKMLLMKKNTNVKAKNFTGTPNAQS >EOY24938 pep chromosome:Theobroma_cacao_20110822:3:32742306:32745734:1 gene:TCM_016402 transcript:EOY24938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein, putative isoform 3 MEQFRQIGEVLGSLRALMVLQDEVQINRRQCCLLFDIFCLAFNTIAEEIRLNLKLEEKNTKWHALDHPLRELHKIFKEGELYVKQCMDKKDWRVKAIYLHQNKDCVDNHIHNLLCHFPVVIEAIETAGEIAGLDQDEMQRRRVALARKYDKEWNDPKLFQFRFGKQYLVPRDICSRFESAWREDRWNLVEALKDKKNLDTATKNEQRLAGSLIKKIIGSEAYKGKLFPSSILYGGDYLVRRRLGAQYKEIQWLGDSFVLRHFFGEVEPSCSEISTLLSLSHPNILQYLCGFYDEEKKEVMLVLELMNKDLSCYMKENCGSRRRILFSFPVVVDLMLQIARGMEYLHSQKIRHGELNPSNIFLKARNNTEGFFHLKISGYGLSPVKTRSSPNSSPKPIEPNPFIWYAPEVLLEQEQPGNITISKYTEKADVYSFGMLCFQLLTGKVPFEDGHLQGEKMSRNIRAGERPLFPYTAPKYLVNLTKRCWHTDPNQRPSFSSISRILRYIKKYLVMNPDRDQPEMQSPVADYCEIEAWFLKKFTANGTFSPLSVAQIPFQMFAYRLAEKDKSILNTQDKNGELASEAASICRDENNSTVEDPLIAASETKSVSSDVKSVCSDIKSVYSDIRSVCSEIPERRSINFDTPQKRSISTKIPEKKKMLLMKKNTNVKAKNFTGTPNAQSPRPPTLNRGHSVRINRESRSPLITSSVSRGRQRAAAGHTSD >EOY24939 pep chromosome:Theobroma_cacao_20110822:3:32742413:32745750:1 gene:TCM_016402 transcript:EOY24939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein, putative isoform 3 MEQFRQIGEVLGSLRALMVLQDEVQINRRQCCLLFDIFCLAFNTIAEEIRLNLKLEEKNTKWHALDHPLRELHKIFKEGELYVKQCMDKKDWRVKAIYLHQNKDCVDNHIHNLLCHFPVVIEAIETAGEIAGLDQDEMQRRRVALARKYDKEWNDPKLFQFRFGKQYLVPRDICSRFESAWREDRWNLVEALKDKKNLDTATKNEQRLAGSLIKKIIGSEAYKGKLFPSSILYGGDYLVRRRLGAQYKEIQWLGDSFVLRHFFGEVEPSCSEISTLLSLSHPNILQYLCGFYDEEKKEVMLVLELMNKDLSCYMKENCGSRRRILFSFPVVVDLMLQIARGMEYLHSQKIRHGELNPSNIFLKARNNTEGFFHLKISGYGLSPVKTRSSPNSSPKPIEPNPFIWYAPEVLLEQEQPGNITISKYTEKADVYSFGMLCFQLLTGKVPFEDGHLQGEKMSRNIRAGERPLFPYTAPKYLVNLTKRCWHTDPNQRPSFSSISRILRYIKKYLVMNPDRDQPEMQSPVADYCEIEAWFLKKFTANGTFSPLSVAQIPFQMFAYRLAEKDKSILNTQDKNGELASEAASICRDENNSTVEDPLIAASETKSVSSDVKSVCSDIKSVYSDIRSVCSEIPERRSINFDTPQKRSISTKIPEKKKMLLMKKNTNVKAKNFTGTPNAQSPRPPTLNRGHSVRINRESRSPLITSSVSRGRQRAAAGHTSD >EOY25062 pep chromosome:Theobroma_cacao_20110822:3:33097059:33102681:1 gene:TCM_016490 transcript:EOY25062 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsH extracellular protease family isoform 4 KPYANLIKSIPRRIKPLNLTRKFQSRTSFLHRSFTVLCELQSSQPGETSKPKGDDFVTRVLKQNPSQVEPRYLVGNKIYTLKEKEDLSKRINLSLIEILKKKLNSKAKLKNESNESERETERSSENDNVYLSDILREYRGKLYVPEQIFGEELSEEEEFEKNLEELPKMSLEDFRKAMKSDKVKLLTSKEVSGVSYVGGHRDFVVDLKDIPGDKSLQRTKWAMRLDETEAQTLLSEYAGKRYEIERHMTSWVGKVPEYPHPVASSISSRMMVELGMVTAVMAAAAVIVGGFLAAAVFAVTSFVFVTTVYVVWPIVKPFVKLFLGIIFSILERVWDNLVDVFSDGGIFSKLYEFYTFGGVSASLEMLKPITVVLLTMVLLVRFTLSRRPKNFRKWDLWQGIDFSRSKAEARVDGSTGVKFSDVAGIDEAVEELQELVRYLKNPDLFDKMGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKVNKPSVIFIDEIDALATRRQGIFKESTDHLYNAATQERETTLNQLLIELDGFDTGKGVIFLAATNRRDLLDPALLRPGRFDRKIRIRPPNAKGRLQILKIHASKVKMSESVDLSSYANNLPGWTGAKLAQLVQEAALVAVRKRHDSILQSDMDDAVDRLTVGPKRVGIELGHQGQCRRATTELGVAMTSHLLRRYENAEVECCDRISIVPRGQVLLVSLFSTIFFSSTLLFFEKVILDAWLSCMLINSPKVGQNKSTSLKGFPLPNVWRWFK >EOY25063 pep chromosome:Theobroma_cacao_20110822:3:33097059:33101884:1 gene:TCM_016490 transcript:EOY25063 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsH extracellular protease family isoform 4 KPYANLIKSIPRRIKPLNLTRKFQSRTSFLHRSFTVLCELQSSQPGETSKPKGDDFVTRVLKQNPSQVEPRYLVGNKIYTLKEKEDLSKRINLSLIEILKKKLNSKAKLKNESNESERETERSSENDNVYLSDILREYRGKLYVPEQIFGEELSEEEEFEKNLEELPKMSLEDFRKAMKSDKVKLLTSKEVSGVSYVGGHRDFVVDLKDIPGDKSLQRTKWAMRLDETEAQTLLSEYAGKRYEIERHMTSWVGKVPEYPHPVASSISSRMMVSFVFVTTVYVVWPIVKPFVKLFLGIIFSILERVWDNLVDVFSDGGIFSKLYEFYTFGGVSASLEMLKPITVVLLTMVLLVRFTLSRRPKNFRKWDLWQGIDFSRSKAEARVDGSTGVKFSDVAGIDEAVEELQELVRYLKNPDLFDKMGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKVNKPSVIFIDEIDALATRRQGIFKESTDHLYNAATQERETTLNQLLIELDGFDTGKGVIFLAATNRRDLLDPALLRPGRFDRKIRIRPPNAKGRLQILKIHASKVKMSESVDLSSYANNLPGWTGAKLAQLVQEAALVAVRKRHDSILQSDMDDAVDRLTVGPKRVGIELGHQGQCRRATTELGVAMTSHLLRRYENAEVECCDRISIVPRGQTLSQVVFHRLDDESYMFERRPQLLHRLQ >EOY25061 pep chromosome:Theobroma_cacao_20110822:3:33096711:33103062:1 gene:TCM_016490 transcript:EOY25061 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsH extracellular protease family isoform 4 MTTIDTLISARVHFPKPYANLIKSIPRRIKPLNLTRKFQSRTSFLHRSFTVLCELQSSQPGETSKPKGDDFVTRVLKQNPSQVEPRYLVGNKIYTLKEKEDLSKRINLSLIEILKKKLNSKAKLKNESNESERETERSSENDNVYLSDILREYRGKLYVPEQIFGEELSEEEEFEKNLEELPKMSLEDFRKAMKSDKVKLLTSKEVSGVSYVGGHRDFVVDLKDIPGDKSLQRTKWAMRLDETEAQTLLSEYAGKRYEIERHMTSWVGKVPEYPHPVASSISSRMMVELGMVTAVMAAAAVIVGGFLAAAVFAVTSFVFVTTVYVVWPIVKPFVKLFLGIIFSILERVWDNLVDVFSDGGIFSKLYEFYTFGGVSASLEMLKPITVVLLTMVLLVRFTLSRRPKNFRKWDLWQGIDFSRSKAEARVDGSTGVKFSDVAGIDEAVEELQELVRYLKNPDLFDKMGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKVNKPSVIFIDEIDALATRRQGIFKESTDHLYNAATQERETTLNQLLIELDGFDTGKGVIFLAATNRRDLLDPALLRPGRFDRKIRIRPPNAKGRLQILKIHASKVKMSESVDLSSYANNLPGWTGAKLAQLVQEAALVAVRKRHDSILQSDMDDAVDRLTVGPKRVGIELGHQGQCRRATTELGVAMTSHLLRRYENAEVECCDRISIVPRGQTLSQVVFHRLDDESYMFERRPQLLHRLQVFLGGRAAEEVIYGRDTSRASLNYLADASWLARKILTIWNLENPMVIHGEPPPWRKKVKFVGPRLDFEGSLYDDYDLIEPPVNFNLDDEIAQRSEELLRDMYARTVSLLRRHHAALLKAVKSSFHQTGTRT >EOY25060 pep chromosome:Theobroma_cacao_20110822:3:33096662:33103129:1 gene:TCM_016490 transcript:EOY25060 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsH extracellular protease family isoform 4 MTTIDTLISARVHFPKPYANLIKSIPRRIKPLNLTRKFQSRTSFLHRSFTVLCELQSSQPGETSKPKGDDFVTRVLKQNPSQVEPRYLVGNKIYTLKEKEDLSKRINLSLIEILKKKLNSKAKLKNESNESERETERSSENDNVYLSDILREYRGKLYVPEQIFGEELSEEEEFEKNLEELPKMSLEDFRKAMKSDKVKLLTSKEVSGVSYVGGHRDFVVDLKDIPGDKSLQRTKWAMRLDETEAQTLLSEYAGKRYEIERHMTSWVGKVPEYPHPVASSISSRMMVELGMVTAVMAAAAVIVGGFLAAAVFAVTSFVFVTTVYVVWPIVKPFVKLFLGIIFSILERVWDNLVDVFSDGGIFSKLYEFYTFGGVSASLEMLKPITVVLLTMVLLVRFTLSRRPKNFRKWDLWQGIDFSRSKAEARVDGSTGVKFSDVAGIDEAVEELQELVRYLKNPDLFDKMGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKVNKPSVIFIDEIDALATRRQGIFKESTDHLYNAATQERETTLNQLLIELDGFDTGKGVIFLAATNRRDLLDPALLRPGRFDRKIRIRPPNAKGRLQILKIHASKVKMSESVDLSSYANNLPGWTGAKLAQLVQEAALVAVRKRHDSILQSDMDDAVDRLTVGPKRVGIELGHQGQCRRATTELGVAMTSHLLRRYENAEVECCDRISIVPRGQTLSQVVFHRLDDESYMFERRPQLLHRLQVFLGGRAAEEVIYGRDTSRASLNYLADASWLARKILTIWNLENPMVIHGEPPPWRKKVKFVGPRLDFEGSLYDDYDLIEPPVNFNLDDEIAQRSEELLRDMYARTVSLLRRHHAALLKAVKVLLNQKEISGEEIDFILNKYPPQTPLSLLLGEENPGSLPFIKQEQERDLERVLLTQSTSETH >EOY24085 pep chromosome:Theobroma_cacao_20110822:3:29589927:29593562:-1 gene:TCM_015783 transcript:EOY24085 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Inner nuclear membrane protein MAN1 (InterPro:IPR018996); Has 58 Blast hits to 58 proteins in 29 species: Archae - 0; Bacteria - 4; Metazoa - 11; Fungi - 15; Plants - 20; Viruses - 0; Other Eukaryotes - 8 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G46560) TAIR;Acc:AT5G46560] MSSSTPKKRPKPKHNSPSKSSTSKSSLNSILEPPQSLFPSKGEFFRLIAVLAIASSVALSCNFFATFFTSTSKPFCDSNLDSIDSLSDSCEPCPSNGECYEGKLECIHGYRRHGKLCVEDKDINETAKKFSKWLEVRLCEAYAQSLCYGTVTVWAREHDIWNDLDGHELMQNFGPDNATYLYAKRRVMETIVKLLETRINSHGIQEVKCPDSLAEYYKPFTCRIRQLISNHALIIVPVCAGLVGFAMLFWNVHQKRCLSARVEELYHQVCDMLEEKALRSKSVNGGGESWVVASWLRDHLLFPRERKDPHLWKKVEELVQEDSRVDRYPKLVKGESKVVWEWQEPKALMF >EOY24084 pep chromosome:Theobroma_cacao_20110822:3:29589927:29593562:-1 gene:TCM_015783 transcript:EOY24084 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Inner nuclear membrane protein MAN1 (InterPro:IPR018996); Has 58 Blast hits to 58 proteins in 29 species: Archae - 0; Bacteria - 4; Metazoa - 11; Fungi - 15; Plants - 20; Viruses - 0; Other Eukaryotes - 8 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G46560) TAIR;Acc:AT5G46560] MSSSTPKKRPKPKHNSPSKSSTSKSSLNSILEPPQSLFPSKGEFFRLIAVLAIASSVALSCNFFATFFTSTSKPFCDSNLDSIDSLSDSCEPCPSNGECYEGKLECIHGYRRHGKLCVEDKDINETAKKFSKWLEVRLCEAYAQSLCYGTVTVWAREHDIWNDLDGHELMQNFGPDNATYLYAKRRVMETIVKLLETRINSHGIQEVKCPDSLAEYYKPFTCRIRQLISNHALIIVPVCAGLVGFAMLFWNVHQKRCLSARVEELYHQVCDMLEEKALRSKSVNGGGESWVVASWLRDHLLFPRERKDPHLWKKVEELVQEDSRVDRYPKLVKVEGSLSSSRMRKKGEEVTLKSVGGINTNLNQSDHKVKAEPKALMF >EOY24083 pep chromosome:Theobroma_cacao_20110822:3:29590383:29593412:-1 gene:TCM_015783 transcript:EOY24083 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Inner nuclear membrane protein MAN1 (InterPro:IPR018996); Has 58 Blast hits to 58 proteins in 29 species: Archae - 0; Bacteria - 4; Metazoa - 11; Fungi - 15; Plants - 20; Viruses - 0; Other Eukaryotes - 8 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G46560) TAIR;Acc:AT5G46560] MSSSTPKKRPKPKHNSPSKSSTSKSSLNSILEPPQSLFPSKGEFFRLIAVLAIASSVALSCNFFATFFTSTSKPFCDSNLDSIDSLSDSCEPCPSNGECYEGKLECIHGYRRHGKLCVEDKDINETAKKFSKWLEVRLCEAYAQSLCYGTVTVWAREHDIWNDLDGHELMQNFGPDNATYLYAKRRVMETIVKLLETRINSHGIQEVKCPDSLAEYYKPFTCRIRQLISNHALIIVPVCAGLVGFAMLFWNVHQKRCLSARVEELYHQVCDMLEEKALRSKSVNGGGESWVVASWLRDHLLFPRERKDPHLWKKVEELVQEDSRVDRYPKLVKGESKVVWEWQVEGSLSSSRMRKKGEEVTLKSVGGINTNLNQSDHKVKAEPKALMF >EOY23381 pep chromosome:Theobroma_cacao_20110822:3:27205709:27212053:-1 gene:TCM_015294 transcript:EOY23381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METSQPLSIESFSYSWLVNLKPSLESLDSSLRASLDACDEASFIEMDPRMPPSRRFFRNSQDFKFDFPISQTPLTLVHADELFSNGYVMPFFVNPLKMEAYEVSNSTSTLPTSSHAPNLVVPACKTSHPSLRRCRRLSKRIFLKYLDFLRPLYRRIRGRKSSGRAESVDARVQVMKKWVYSAETSPRVSVAYSADEWRKSCDSESSIYEAVLHCKRSIGK >EOY23934 pep chromosome:Theobroma_cacao_20110822:3:29044782:29046522:-1 gene:TCM_015674 transcript:EOY23934 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein isoform 2 MGRSKIEMKLIEDTTNRQVTFSKFRAGLLKKAHEVSVLCDAEIGLIIFSSTGELFDYCTQPLRFHMEQIIERYQLAKGTQTPQQSGLQNMSEKLRRESHCLELSLNHLNGSELNSLKIEDLEELEQQLEYSINKVRARKEQLLKLQMDNLERNVEKMEVENNQLCHWIEEKQASSRQQQVPIEANMLEEKQQQVLNQFPFLGEEQPISVLQLVSLPPSRPYLGQPMQSNLQEANTHHQ >EOY23935 pep chromosome:Theobroma_cacao_20110822:3:29044565:29046522:-1 gene:TCM_015674 transcript:EOY23935 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein isoform 2 MGRSKIEMKLIEDTTNRQVTFSKFRAGLLKKAHEVSVLCDAEIGLIIFSSTGELFDYCTQPLSMEQIIERYQLAKGTQTPQQSGLQNMSEKLRRESHCLELSLNHLNGSELNSLKIEDLEELEQQLEYSINKVRARKIEEKQASSRQQQVPIEANMLEEKQQQVLNQFPFLGEEQPISVLQLVSLPPSRPYLGQPMQSNLQEANTHHQ >EOY23978 pep chromosome:Theobroma_cacao_20110822:3:29177277:29178896:1 gene:TCM_015699 transcript:EOY23978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin family protein, putative MIVNIFFIIFARIFLQSKLFHFLFIIRSHSQATKPSPERSSQNPVTKMAASNTIVGTINFITLLLSIPIIGAGIWLANEPDNSCVKILQWPLIILGISISVVALLGFVGGCWRITWLLIVYLVAMFILIVLFACLVVLIYLVTNKGSGHPAPGRTYLEHDLDDFSGWLRRKVASPYKWNRIRACLNSTDMCSELNQRYRIAQDFFNARLTSIQYGCCMPPAECGYTYINPTYWLTPNNTAASMDCLQWSNDQMQLCFHCDSCKAGLLANLTKEWRSVDIILLITLVVLICVYLIGFCCALRKSKPGDGDTSPRRNKENT >EOY24125 pep chromosome:Theobroma_cacao_20110822:3:29748729:29780957:1 gene:TCM_015810 transcript:EOY24125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin (PH) domain-containing protein isoform 1 MEMKLLERTHQLKSEMNKSWLGSLISTIIGNLKLSISNIHIRYEDLESNPGHPFAAGLTLEKLSAVTVDDSGKETFVTGGALDCIQKCVELDRLALYLDSDILPWHIDKPWEDLLPSEWVQVFRFGTKYGRPADCPIKEHTYILQPVTGNAKYLKLRQNESSDSGEPLQKAAVNLDDVTLCLSKDGYRDVLKLADNFTAFNQRLKYAHYRPTVSLKSDPRSWWKYAYKAVSDQMKKASGKLSWEQVLRYTRLRKKYISLYASLLKSDVNRPVVDDNKEIEELDRGLDIELILQWRMLAHKFVEQSIESENHLKKQKAKQSWWSFGWASQSLKDESESFSFSEEDWERLNKIIGYKEGDEEQSLMINEKPDILQTSLEVHMKHNASKLLDGAHACLAELSCEGLDCSIKLYPETKVFDLRLGSYQLSSPSGLLAESATSSDSLVGIFCYKPFDAKVDWSMVAKASPCYVTYLKDSLDEVIKFFESNTAVSQTIALETAAAVQMTIDGVKRSAQQQVNRALKDHARFLLDLDIAAPKITIPTEFRPDSKHSTKLLLDLGNLVIRSQDDYARASSEELDLYLQFDLVLSDVSAFLVDGDYHWSKTSLQKSAASAIIDGICLLPVIDKCRVILKLQQIRLENPSYPSTRLAVQLPSLGFHFSPARYHRLMQVFKIFQDEDNDRPDLLRPWNQADFEGWLSVLSRKGVGHREAVWQRRYLCLVGPFLYVLESPGSKSYKQYISLRGKQAYPVPAELVGDVESVLAVCGAARSNSKVVEDVNALILLCDSDDSRKAWQTRLQGAIYLASGSAPIISLSEASSDSETEPNDKHDTTDLAKIESIFITGVLDELKISFCYNHRHERSFIKVLLAEEYPLFEFRAIGGQVELSIKGNDMFIGTVLKSLEIEDMICCNTVSRPCYLARSFIRSADAQSLLDDAEKQNLESKSPSEGDDKFYEAPESLVDPAECTTPTPRKAYEFGSLQKFLSSEKTSLTTLSFSRVSGLLPEDNLLLRREDIGLSDTLDSFVKAQIVIYDQNSPLYNNIDMQVTVTLATLSFFCRRPTILAIMEFANAVTIEDESCESFSDNSSAVGVKHDISSEDPADNQQSTSVEEPVVKGLLGKGKSRIIFNLKLNMAHAQILLMNENETKLATLSQENLLTDIKVFPSSFSINAALGNLRISDDSLPSSHMYFWICDMRDPGGTSFVELEFTSFSIDDEDYEGYEYSLVGQLSEVRIVYLNRLVQEVISYFMGLVPKDSKDVVKFKDQVTNSEKWFTTSEIEGSPALRLDLSLRKPIILMPRRTDSLDYLKLDIVHITVQSTFQWFSGSKSDLNAVHFEIMTILVEDINLNVGTESDLSESIIKDVKGVSIVIRRSLRDLMHQVPSIEAAIKIEELKAELSNKEYQIVTECAVSNISETPHIVPPLSSDFLTSSVDVVEPVIPQNVVGEPSTPNDETWTVMKVSFVVNLVELCLYVGEWDSPLATVQASGAWLLYKSNTLGEGFLSSSLKGFTVIDDRLGTEEEFRLAIGMPKNPLVSVSDTNSQLISKGNVTIEDGFKPFPTMLILDAKFSQFSTSVSVCVQRPQLLVALDFLLALVEFFVPTVGSMLSNEEDKKSLHMVDAITLDKSTYTQPSAQFSLSPVKPLIADDEKFDHFIYDGNGGILYLKDREGVDLSAPSNEAMIYVGNGKKLQFKNVLIKNGQFLDSCISLGTNSGYSASKDDLVYIEGGNEGVQVDASRENAKDMAPQNAVVDRSAEFIIEFQAIGPELTFYNASKNVVESPVLSNKLLHGQLDAYGRLVLKGDTVEMTVNALGLTMESNGIRILEPFDMSIKYSNASGKTNIHLAVSDIFMNFSFSILRLFLAVEEDILAFLRTASKEMTVVCSQFDRVGKIINSNNDQIYAFWRARAPVGFAVLGDYLTPLDKPPTKGVLAVNTNYVPVKRPVSFNRIWPPLDSGGISDVGEVKSNSLSNGMLGDGESSCSVWFPEAPEGYVALGCVVSPGKLRPSPSSTFCILASFVSPCSLRDCITITDTNLYPSSLAFWRVDNSLGTFLPAEPTTFSLLARAYELRHVMIGSSEVYPKASISSHIQASPSGHTHNQWSESSTVVNSGRRFEAVASFRLVWWNRGSSSRKQLSIWRPVVPQGMVYFGDIAVQGYEPPNTCIVLHDGGDEELFKSPLSFQLVGQIKKQRGIESISFWLPQAPPGYVALGCIAYKGPPKLQDFSALRCIRSDMVTGDQFLEESVWDTLDAKFGTEPFSIWAVANELGTFVVRGGSRKPPRRFALKLADPYLQSGSDDTVVDAEIGTFSAALFDDYGGLMVPLFNISLSGISFSLHGRPDYSNSTVSFSLAARSYNDKYESWEPIVEPVDGFLRYQYDPNAPGAASQLRFTSTRDLNLNMSVSNVNMIIQAYASWNNLSDVHQYYKRPEAFFSSYATRSIVDVHHKRSYYIIPQNKLGQDIFIQASETGGFSDIIRMPSGNMKPIKVPVSKNMLDSHLKGKICRKVRTMVAVIIADAMFPRVEGLTSPQYTVAVRLSPDNSLPSESLLHHQSARTCGCISSHFSSDIELVDWNEIFFFKVDSPISYTVELIVTDMGKGDAIGFFSAPLNQIAMYVPDDSHKYDYNNSLMWMDLSLAASMNTTQADGSDKKSSGKLRCAIILSPKPNVDERNELFIGGRKSGFIQISPSMEGPWTTVRLNYAAPTACWRLGNDVVASEVSVKDGNRYVNIRSFVSVHNNTDFILDLCLVRKASSEMMEPPTDVSMPEGLQVDGRTQTDELFETEMYDPNIGWVGSNVQLNQDQTDGGGFQQATSGVELPSGWEWIDDWHLDTSSTNTAAGWVYAPDFESLKWPESDDSLIFSNSVRQRKWIRNRKQISFDPKKEIFVGQLKPGDRVPLPLSALTQSGLFVFQLRPSNLDGSDKYSWSYVVGKPGRLEVSGKPNGISEIYVSALTESEELLCCTQLSEASSNASSHRLWFCLSIQATKISKDIRSDPIMDWSLVIKSPLSITNYLPLTAEYSILEMRASGHFIACSRGIFLPGRTVNIYNADTCNPLFFSLLPQKGWLPICEAVLISHPREIPSKTISLRSSISGRIVHLIVEQNYEKEQTMMAKTIRVYAPYWFSVSRCPPLTYRLVNIGGKKQKRKIGFPLHSKMKNEGIIDEITDEEMYSGHTIASALNFNFLGLSVSLSESSNEHFGPVKDLSPLGDMDGSVDLYAYNADGKCMRLFISAKPCPYQSVPTKVITVRPYMTFTNRLGRDIYIKLSSEDEPKVLRASDSRISFVHNENGGTDKLQVRLEDTEWSFPVQIVKEDTITLVLRRHDATRTFLKVEIRGYEEGSRFIVVFRLGSTKGPVRIENRTTIKTICIRQSGFGEDAWISLGPLSTTNFSWEDPYGQKFIDAKIDGDFNNRVLKVDLARAGQFSSGEELGMQLHVFETGNIKVVRFTDDQTWKVSSCEDAGPLTSAERPQINVTPVEIIIELGVVGVSVVDHMPKELFYLYLDRVFISYSTGYDGGTTSRFKLIMGHLQIDNQLPLTLMPVLLAPEQMSDIHHPVCKMTITMQNANTDGIQVYPYVYIRVTDKCWRLNIHEPIIWALVDFYNNLQLDHIPQSSSVTEVDPEIRVDLIDVSEVRLKVSLETAPAQRPHGVLGVWSPILSAIGNAFKIQVHLRRVMRKDRFMRRSSIASAVGNRIWRDLIHNPLHLLFSVDVLGMTSSTLASLSKGFAELSTDGQFLQLRSKQVTSRRITGVGDGIIQGTEALAQGVAFGVSGVVTKPVESARQNGLLGLAHGIGRAFVGFIVQPVSGALDFFSLTVDGIGASCSKCLEVLNSKSTFQRIRNPRAIHADGVLREYSEREATGQMVLYLAEASRHFGCTEIFREPSKFAWSDYYEEHFIVPYQKIVLVTNKRVMLLQCSSLDKMDKKPCKIMWDVPWEELMALELAKAGYQLPSYLLLHLKNFRRSETFVRVIKCSVEEVEGIEPQAVKICSVVRKMWKAHPSDMNNIVPKVPSSQRYVHFAWSETDRKPLHASKKSIIKSGEPSSSSASDETKFVKHSINFLKIWSSERELKGRCALCRKQVADDGGVCSIWRPICPDGYVSVGDIARIGSHPPNVAAVYRNIDNLFTFPVGYDLVWRNCPDDYTNLVSIWYPRAPEGYTAPGCVAVAGFAEPEADLVRCVAETLAEETTFEEQKVWSAPESYPWGCHIYQVQSDALHFVALRESKEESEWSATRVRDDLPLLQSSEAQ >EOY24127 pep chromosome:Theobroma_cacao_20110822:3:29747729:29779090:1 gene:TCM_015810 transcript:EOY24127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin (PH) domain-containing protein isoform 1 MQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVYLDRIFLLAEPATSVEGRTEDAIQEAKKSRVREMEMKLLERTHQLKSEMNKSWLGSLISTIIGNLKLSISNIHIRYEDLESNPGHPFAAGLTLEKLSAVTVDDSGKETFVTGGALDCIQKCVELDRLALYLDSDILPWHIDKPWEDLLPSEWVQVFRFGTKYGRPADCPIKEHTYILQPVTGNAKYLKLRQNESSDSGEPLQKAAVNLDDVTLCLSKDGYRDVLKLADNFTAFNQRLKYAHYRPTVSLKSDPRSWWKYAYKAVSDQMKKASGKLSWEQVLRYTRLRKKYISLYASLLKSDVNRPVVDDNKEIEELDRGLDIELILQWRMLAHKFVEQSIESENHLKKQKAKQSWWSFGWASQSLKDESESFSFSEEDWERLNKIIGYKEGDEEQSLMINEKPDILQTSLEVHMKHNASKLLDGAHACLAELSCEGLDCSIKLYPETKVFDLRLGSYQLSSPSGLLAESATSSDSLVGIFCYKPFDAKVDWSMVAKASPCYVTYLKDSLDEVIKFFESNTAVSQTIALETAAAVQMTIDGVKRSAQQQVNRALKDHARFLLDLDIAAPKITIPTEFRPDSKHSTKLLLDLGNLVIRSQDDYARASSEELDLYLQFDLVLSDVSAFLVDGDYHWSKTSLQKSAASAIIDGICLLPVIDKCRVILKLQQIRLENPSYPSTRLAVQLPSLGFHFSPARYHRLMQVFKIFQDEDNDRPDLLRPWNQADFEGWLSVLSRKGVGHREAVWQRRYLCLVGPFLYVLESPGSKSYKQYISLRGKQAYPVPAELVGDVESVLAVCGAARSNSKVVEDVNALILLCDSDDSRKAWQTRLQGAIYLASGSAPIISLSEASSDSETEPNDKHDTTDLAKIESIFITGVLDELKISFCYNHRHERSFIKVLLAEEYPLFEFRAIGGQVELSIKGNDMFIGTVLKSLEIEDMICCNTVSRPCYLARSFIRSADAQSLLDDAEKQNLESKSPSEGDDKFYEAPESLVDPAECTTPTPRKAYEFGSLQKFLSSEKTSLTTLSFSRVSGLLPEDNLLLRREDIGLSDTLDSFVKAQIVIYDQNSPLYNNIDMQVTVTLATLSFFCRRPTILAIMEFANAVTIEDESCESFSDNSSAVGVKHDISSEDPADNQQSTSVEEPVVKGLLGKGKSRIIFNLKLNMAHAQILLMNENETKLATLSQENLLTDIKVFPSSFSINAALGNLRISDDSLPSSHMYFWICDMRDPGGTSFVELEFTSFSIDDEDYEGYEYSLVGQLSEVRIVYLNRLVQEVISYFMGLVPKDSKDVVKFKDQVTNSEKWFTTSEIEGSPALRLDLSLRKPIILMPRRTDSLDYLKLDIVHITVQSTFQWFSGSKSDLNAVHFEIMTILVEDINLNVGTESDLSESIIKDVKGVSIVIRRSLRDLMHQVPSIEAAIKIEELKAELSNKEYQIVTECAVSNISETPHIVPPLSSDFLTSSVDVVEPVIPQNVVGEPSTPNDETWTVMKVSFVVNLVELCLYVGEWDSPLATVQASGAWLLYKSNTLGEGFLSSSLKGFTVIDDRLGTEEEFRLAIGMPKNPLVSVSDTNSQLISKGNVTIEDGFKPFPTMLILDAKFSQFSTSVSVCVQRPQLLVALDFLLALVEFFVPTVGSMLSNEEDKKSLHMVDAITLDKSTYTQPSAQFSLSPVKPLIADDEKFDHFIYDGNGGILYLKDREGVDLSAPSNEAMIYVGNGKKLQFKNVLIKNGQFLDSCISLGTNSGYSASKDDLVYIEGGNEGVQVDASRENAKDMAPQNAVVDRSAEFIIEFQAIGPELTFYNASKNVVESPVLSNKLLHGQLDAYGRLVLKGDTVEMTVNALGLTMESNGIRILEPFDMSIKYSNASGKTNIHLAVSDIFMNFSFSILRLFLAVEEDILAFLRTASKEMTVVCSQFDRVGKIINSNNDQIYAFWRARAPVGFAVLGDYLTPLDKPPTKGVLAVNTNYVPVKRPVSFNRIWPPLDSGGISDVGEVKSNSLSNGMLGDGESSCSVWFPEAPEGYVALGCVVSPGKLRPSPSSTFCILASFVSPCSLRDCITITDTNLYPSSLAFWRVDNSLGTFLPAEPTTFSLLARAYELRHVMIGSSEVYPKASISSHIQASPSGHTHNQWSESSTVVNSGRRFEAVASFRLVWWNRGSSSRKQLSIWRPVVPQGMVYFGDIAVQGYEPPNTCIVLHDGGDEELFKSPLSFQLVGQIKKQRGIESISFWLPQAPPGYVALGCIAYKGPPKLQDFSALRCIRSDMVTGDQFLEESVWDTLDAKFGTEPFSIWAVANELGTFVVRGGSRKPPRRFALKLADPYLQSGSDDTVVDAEIGTFSAALFDDYGGLMVPLFNISLSGISFSLHGRPDYSNSTVSFSLAARSYNDKYESWEPIVEPVDGFLRYQYDPNAPGAASQLRFTSTRDLNLNMSVSNVNMIIQAYASWNNLSDVHQYYKRPEAFFSSYATRSIVDVHHKRSYYIIPQNKLGQDIFIQASETGGFSDIIRMPSGNMKPIKVPVSKNMLDSHLKGKICRKVRTMVAVIIADAMFPRVEGLTSPQYTVAVRLSPDNSLPSESLLHHQSARTCGCISSHFSSDIELVDWNEIFFFKVDSPISYTVELIVTDMGKGDAIGFFSAPLNQIAMYVPDDSHKYDYNNSLMWMDLSLAASMNTTQADGSDKKSSGKLRCAIILSPKPNVDERNELFIGGRKSGFIQISPSMEGPWTTVRLNYAAPTACWRLGNDVVASEVSVKDGNRYVNIRSFVSVHNNTDFILDLCLVRKASSEMMEPPTDVSMPEGLQVDGRTQTDELFETEMYDPNIGWVGSNVQLNQDQTDGGGFQQATSGVELPSGWEWIDDWHLDTSSTNTAAGWVYAPDFESLKWPESDDSLIFSNSVRQRKWIRNRKQISFDPKKEIFVGQLKPGDRVPLPLSALTQSGLFVFQLRPSNLDGSDKYSWSYVVGKPGRLEVSGKPNGISEIYVSALTESEELLCCTQLSEASSNASSHRLWFCLSIQATKISKDIRSDPIMDWSLVIKSPLSITNYLPLTAEYSILEMRASGHFIACSRGIFLPGRTVNIYNADTCNPLFFSLLPQKGWLPICEAVLISHPREIPSKTISLRSSISGRIVHLIVEQNYEKEQTMMAKTIRVYAPYWFSVSRCPPLTYRLVNIGGKKQKRKIGFPLHSKMKNEGIIDEITDEEMYSGHTIASALNFNFLGLSVSLSESSNEHFGPVKDLSPLGDMDGSVDLYAYNADGKCMRLFISAKPCPYQSVPTKVITVRPYMTFTNRLGRDIYIKLSSEDEPKVLRASDSRISFVHNENGGTDKLQVRLEDTEWSFPVQIVKEDTITLVLRRHDATRTFLKVEIRGYEEGSRFIVVFRLGSTKGPVRIENRTTIKTICIRQSGFGEDAWISLGPLSTTNFSWEDPYGQKFIDAKIDGDFNNRVLKVDLARAGQFSSGEELGMQLHVFETGNIKVVRFTDDQTWKVSSCEDAGPLTSAERPQINVTPVEIIIELGVVGVSVVDHMPKELFYLYLDRVFISYSTGYDGGTTSRFKLIMGHLQIDNQLPLTLMPVLLAPEQMSDIHHPVCKMTITMQNANTDGIQVYPYVYIRVTDKCWRLNIHEPIIWALVDFYNNLQLDHIPQSSSVTEVDPEIRVDLIDVSEVRLKVSLETAPAQRPHGVLGVWSPILSAIGNAFKIQVHLRRVMRKDRFMRRSSIASAVGNRIWRDLIHNPLHLLFSVDVLGMTSSTLASLSKGFAELSTDGQFLQLRSKQVTSRRITGVGDGIIQGTEALAQGVAFGVSGVVTKPVESARQNGLLGLAHGIGRAFVGFIVQPVSGALDFFSLTVDGIGASCSKCLEVLNSKSTFQRIRNPRAIHADGVLREYSEREATGQMVLYLAEASRHFGCTEIFREPSKFAWSDYYEEHFIVPYQKIVLVTNKRVMLLQCSSLDKMDKKPCKIMWDVPWEELMALELAKAGYQLPSYLLLHLKNFRRSETFVRVIKCSVEEVEGIEPQAVKICSVVRKMWKAHPSDMNNIVPKVPSSQRYVHFAWSETDRKPLHASKKSIIKSGEPSSSSASDETKFVKHSINFLKIWSSERELKGRCALCRKQVADDGGVCSIWRPICPDG >EOY24126 pep chromosome:Theobroma_cacao_20110822:3:29747563:29780957:1 gene:TCM_015810 transcript:EOY24126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin (PH) domain-containing protein isoform 1 MLEDQVAYLLQRYLGNYVRGLNKEALKISVWQGDVELTNMQLKPEALNALKLPVKVPWSRLGQDPVLVYLDRIFLLAEPATSVEGRTEDAIQEAKKSRVREMEMKLLERTHQLKSEMNKSWLGSLISTIIGNLKLSISNIHIRYEDLESNPGHPFAAGLTLEKLSAVTVDDSGKETFVTGGALDCIQKCVELDRLALYLDSDILPWHIDKPWEDLLPSEWVQVFRFGTKYGRPADCPIKEHTYILQPVTGNAKYLKLRQNESSDSGEPLQKAAVNLDDVTLCLSKDGYRDVLKLADNFTAFNQRLKYAHYRPTVSLKSDPRSWWKYAYKAVSDQMKKASGKLSWEQVLRYTRLRKKYISLYASLLKSDVNRPVVDDNKEIEELDRGLDIELILQWRMLAHKFVEQSIESENHLKKQKAKQSWWSFGWASQSLKDESESFSFSEEDWERLNKIIGYKEGDEEQSLMINEKPDILQTSLEVHMKHNASKLLDGAHACLAELSCEGLDCSIKLYPETKVFDLRLGSYQLSSPSGLLAESATSSDSLVGIFCYKPFDAKVDWSMVAKASPCYVTYLKDSLDEVIKFFESNTAVSQTIALETAAAVQMTIDGVKRSAQQQVNRALKDHARFLLDLDIAAPKITIPTEFRPDSKHSTKLLLDLGNLVIRSQDDYARASSEELDLYLQFDLVLSDVSAFLVDGDYHWSKTSLQKSAASAIIDGICLLPVIDKCRVILKLQQIRLENPSYPSTRLAVQLPSLGFHFSPARYHRLMQVFKIFQDEDNDRPDLLRPWNQADFEGWLSVLSRKGVGHREAVWQRRYLCLVGPFLYVLESPGSKSYKQYISLRGKQAYPVPAELVGDVESVLAVCGAARSNSKVVEDVNALILLCDSDDSRKAWQTRLQGAIYLASGSAPIISLSEASSDSETEPNDKHDTTDLAKIESIFITGVLDELKISFCYNHRHERSFIKVLLAEEYPLFEFRAIGGQVELSIKGNDMFIGTVLKSLEIEDMICCNTVSRPCYLARSFIRSADAQSLLDDAEKQNLESKSPSEGDDKFYEAPESLVDPAECTTPTPRKAYEFGSLQKFLSSEKTSLTTLSFSRVSGLLPEDNLLLRREDIGLSDTLDSFVKAQIVIYDQNSPLYNNIDMQVTVTLATLSFFCRRPTILAIMEFANAVTIEDESCESFSDNSSAVGVKHDISSEDPADNQQSTSVEEPVVKGLLGKGKSRIIFNLKLNMAHAQILLMNENETKLATLSQENLLTDIKVFPSSFSINAALGNLRISDDSLPSSHMYFWICDMRDPGGTSFVELEFTSFSIDDEDYEGYEYSLVGQLSEVRIVYLNRLVQEVISYFMGLVPKDSKDVVKFKDQVTNSEKWFTTSEIEGSPALRLDLSLRKPIILMPRRTDSLDYLKLDIVHITVQSTFQWFSGSKSDLNAVHFEIMTILVEDINLNVGTESDLSESIIKDVKGVSIVIRRSLRDLMHQVPSIEAAIKIEELKAELSNKEYQIVTECAVSNISETPHIVPPLSSDFLTSSVDVVEPVIPQNVVGEPSTPNDETWTVMKVSFVVNLVELCLYVGEWDSPLATVQASGAWLLYKSNTLGEGFLSSSLKGFTVIDDRLGTEEEFRLAIGMPKNPLVSVSDTNSQLISKGNVTIEDGFKPFPTMLILDAKFSQFSTSVSVCVQRPQLLVALDFLLALVEFFVPTVGSMLSNEEDKKSLHMVDAITLDKSTYTQPSAQFSLSPVKPLIADDEKFDHFIYDGNGGILYLKDREGVDLSAPSNEAMIYVGNGKKLQFKNVLIKNGQFLDSCISLGTNSGYSASKDDLVYIEGGNEGVQVDASRENAKDMAPQNAVVDRSAEFIIEFQAIGPELTFYNASKNVVESPVLSNKLLHGQLDAYGRLVLKGDTVEMTVNALGLTMESNGIRILEPFDMSIKYSNASGKTNIHLAVSDIFMNFSFSILRLFLAVEEDILAFLRTASKEMTVVCSQFDRVGKIINSNNDQIYAFWRARAPVGFAVLGDYLTPLDKPPTKGVLAVNTNYVPVKRPVSFNRIWPPLDSGGISDVGEVKSNSLSNGMLGDGESSCSVWFPEAPEGYVALGCVVSPGKLRPSPSSTFCILASFVSPCSLRDCITITDTNLYPSSLAFWRVDNSLGTFLPAEPTTFSLLARAYELRHVMIGSSEVYPKASISSHIQASPSGHTHNQWSESSTVVNSGRRFEAVASFRLVWWNRGSSSRKQLSIWRPVVPQGMVYFGDIAVQGYEPPNTCIVLHDGGDEELFKSPLSFQLVGQIKKQRGIESISFWLPQAPPGYVALGCIAYKGPPKLQDFSALRCIRSDMVTGDQFLEESVWDTLDAKFGTEPFSIWAVANELGTFVVRGGSRKPPRRFALKLADPYLQSGSDDTVVDAEIGTFSAALFDDYGGLMVPLFNISLSGISFSLHGRPDYSNSTVSFSLAARSYNDKYESWEPIVEPVDGFLRYQYDPNAPGAASQLRFTSTRDLNLNMSVSNVNMIIQAYASWNNLSDVHQYYKRPEAFFSSYATRSIVDVHHKRSYYIIPQNKLGQDIFIQASETGGFSDIIRMPSGNMKPIKVPVSKNMLDSHLKGKICRKVRTMVAVIIADAMFPRVEGLTSPQYTVAVRLSPDNSLPSESLLHHQSARTCGCISSHFSSDIELVDWNEIFFFKVDSPISYTVELIVTDMGKGDAIGFFSAPLNQIAMYVPDDSHKYDYNNSLMWMDLSLAASMNTTQADGSDKKSSGKLRCAIILSPKPNVDERNELFIGGRKSGFIQISPSMEGPWTTVRLNYAAPTACWRLGNDVVASEVSVKDGNRYVNIRSFVSVHNNTDFILDLCLVRKASSEMMEPPTDVSMPEGLQVDGRTQTDELFETEMYDPNIGWVGSNVQLNQDQTDGGGFQQATSGVELPSGWEWIDDWHLDTSSTNTAAGWVYAPDFESLKWPESDDSLIFSNSVRQRKWIRNRKQISFDPKKEIFVGQLKPGDRVPLPLSALTQSGLFVFQLRPSNLDGSDKYSWSYVVGKPGRLEVSGKPNGISEIYVSALTESEELLCCTQLSEASSNASSHRLWFCLSIQATKISKDIRSDPIMDWSLVIKSPLSITNYLPLTAEYSILEMRASGHFIACSRGIFLPGRTVNIYNADTCNPLFFSLLPQKGWLPICEAVLISHPREIPSKTISLRSSISGRIVHLIVEQNYEKEQTMMAKTIRVYAPYWFSVSRCPPLTYRLVNIGGKKQKRKIGFPLHSKMKNEGIIDEITDEEMYSGHTIASALNFNFLGLSVSLSESSNEHFGPVKDLSPLGDMDGSVDLYAYNADGKCMRLFISAKPCPYQSVPTKVITVRPYMTFTNRLGRDIYIKLSSEDEPKVLRASDSRISFVHNENGGTDKLQVRLEDTEWSFPVQIVKEDTITLVLRRHDATRTFLKVEIRGYEEGSRFIVVFRLGSTKGPVRIENRTTIKTICIRQSGFGEDAWISLGPLSTTNFSWEDPYGQKFIDAKIDGDFNNRVLKVDLARAGQFSSGEELGMQLHVFETGNIKVVRFTDDQTWKVSSCEDAGPLTSAERPQINVTPVEIIIELGVVGVSVVDHMPKELFYLYLDRVFISYSTGYDGGTTSRFKLIMGHLQIDNQLPLTLMPVLLAPEQMSDIHHPVCKMTITMQNANTDGIQVYPYVYIRVTDKCWRLNIHEPIIWALVDFYNNLQLDHIPQSSSVTEVDPEIRVDLIDVSEVRLKVSLETAPAQRPHGVLGVWSPILSAIGNAFKIQVHLRRVMRKDRFMRRSSIASAVGNRIWRDLIHNPLHLLFSVDVLGMTSSTLASLSKGFAELSTDGQFLQLRSKQVTSRRITGVGDGIIQGTEALAQGVAFGVSGVVTKPVESARQNGLLGLAHGIGRAFVGFIVQPVSGALDFFSLTVDGIGASCSKCLEVLNSKSTFQRIRNPRAIHADGVLREYSEREATGQMVLYLAEASRHFGCTEIFREPSKFAWSDYYEEHFIVPYQKIVLVTNKRVMLLQCSSLDKMDKKPCKIMWDVPWEELMALELAKAGYQLPSYLLLHLKNFRRSETFVRVIKCSVEEVEGIEPQAVKICSVVRKMWKAHPSDMNNIVPKVPSSQRYVHFAWSETDRKPLHASKKSIIKSGEPSSSSASDETKFVKHSINFLKIWSSERELKGRCALCRKQVADDGGVCSIWRPICPDGYVSVGDIARIGSHPPNVAAVYRNIDNLFTFPVGYDLVWRNCPDDYTNLVSIWYPRAPEGYTAPGCVAVAGFAEPEADLVRCVAETLAEETTFEEQKVWSAPESYPWGCHIYQVQSDALHFVALRESKEESEWSATRVRDDLPLLQSSEAQ >EOY24128 pep chromosome:Theobroma_cacao_20110822:3:29748729:29780957:1 gene:TCM_015810 transcript:EOY24128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin (PH) domain-containing protein isoform 1 MEMKLLERTHQLKSEMNKSWLGSLISTIIGNLKLSISNIHIRYEDLESNPGHPFAAGLTLEKLSAVTVDDSGKETFVTGGALDCIQKCVELDRLALYLDSDILPWHIDKPWEDLLPSEWVQVFRFGTKYGRPADCPIKEHTYILQPVTGNAKYLKLRQNESSDSGEPLQKAAVNLDDVTLCLSKDGYRDVLKLADNFTAFNQRLKYAHYRPTVSLKSDPRSWWKYAYKAVSDQMKKASGKLSWEQVLRYTRLRKKYISLYASLLKSDVNRPVVDDNKEIEELDRGLDIELILQWRMLAHKFVEQSIESENHLKKQKAKQSWWSFGWASQSLKDESESFSFSEEDWERLNKIIGYKEGDEEQSLMINEKPDILQTSLEVHMKHNASKLLDGAHACLAELSCEGLDCSIKLYPETKVFDLRLGSYQLSSPSGLLAESATSSDSLVGIFCYKPFDAKVDWSMVAKASPCYVTYLKDSLDEVIKFFESNTAVSQTIALETAAAVQMTIDGVKRSAQQQVNRALKDHARFLLDLDIAAPKITIPTEFRPDSKHSTKLLLDLGNLVIRSQDDYARASSEELDLYLQFDLVLSDVSAFLVDGDYHWSKTSLQKSAASAIIDGICLLPVIDKCRVILKLQQIRLENPSYPSTRLAVQLPSLGFHFSPARYHRLMQVFKIFQDEDNDRPDLLRPWNQADFEGWLSVLSRKGVGHREAVWQRRYLCLVGPFLYVLESPGSKSYKQYISLRGKQAYPVPAELVGDVESVLAVCGAARSNSKVVEDVNALILLCDSDDSRKAWQTRLQGAIYLASGSAPIISLSEASSDSETEPNDKHDTTDLAKIESIFITGVLDELKISFCYNHRHERSFIKVLLAEEYPLFEFRAIGGQVELSIKGNDMFIGTVLKSLEIEDMICCNTVSRPCYLARSFIRSADAQSLLDDAEKQNLESKSPSEGDDKFYEAPESLVDPAECTTPTPRKAYEFGSLQKFLSSEKTSLTTLSFSRVSGLLPEDNLLLRREDIGLSDTLDSFVKAQIVIYDQNSPLYNNIDMQVTVTLATLSFFCRRPTILAIMEFANAVTIEDESCESFSDNSSAVGVKHDISSEDPADNQQSTSVEEPVVKGLLGKGKSRIIFNLKLNMAHAQILLMNENETKLATLSQENLLTDIKVFPSSFSINAALGNLRISDDSLPSSHMYFWICDMRDPGGTSFVELEFTSFSIDDEDYEGYEYSLVGQLSEVRIVYLNRLVQEVISYFMGLVPKDSKDVVKFKDQVTNSEKWFTTSEIEGSPALRLDLSLRKPIILMPRRTDSLDYLKLDIVHITVQSTFQWFSGSKSDLNAVHFEIMTILVEDINLNVGTESDLSESIIKDVKGVSIVIRRSLRDLMHQVPSIEAAIKIEELKAELSNKEYQIVTECAVSNISETPHIVPPLSSDFLTSSVDVVEPVIPQNVVGEPSTPNDETWTVMKVSFVVNLVELCLYVGEWDSPLATVQASGAWLLYKSNTLGEGFLSSSLKGFTVIDDRLGTEEEFRLAIGMPKNPLVSVSDTNSQLISKGNVTIEDGFKPFPTMLILDAKFSQFSTSVSVCVQRPQLLVALDFLLALVEFFVPTVGSMLSNEEDKKSLHMVDAITLDKSTYTQPSAQFSLSPVKPLIADDEKFDHFIYDGNGGILYLKDREGVDLSAPSNEAMIYVGNGKKLQFKNVLIKNGQFLDSCISLGTNSGYSASKDDLVYIEGGNEGVQVDASRENAKDMAPQNAVVDRSAEFIIEFQAIGPELTFYNASKNVVESPVLSNKLLHGQLDAYGRLVLKGDTVEMTVNALGLTMESNGIRILEPFDMSIKYSNASGKTNIHLAVSDIFMNFSFSILRLFLAVEEDILAFLRTASKEMTVVCSQFDRVGKIINSNNDQIYAFWRARAPVGFAVLGDYLTPLDKPPTKGVLAVNTNYVPVKRPVSFNRIWPPLDSGGISDVGEVKSNSLSNGMLGDGESSCSVWFPEAPEGYVALGCVVSPGKLRPSPSSTFCILASFVSPCSLRDCITITDTNLYPSSLAFWRVDNSLGTFLPAEPTTFSLLARAYELRHVMIGSSEVYPKASISSHIQASPSGHTHNQWSESSTVVNSGRRFEAVASFRLVWWNRGSSSRKQLSIWRPVVPQGMVYFGDIAVQGYEPPNTCIVLHDGGDEELFKSPLSFQLVGQIKKQRGIESISFWLPQAPPGYVALGCIAYKGPPKLQDFSALRCIRSDMVTGDQFLEESVWDTLDAKFGTEPFSIWAVANELGTFVVRGGSRKPPRRFALKLADPYLQSGSDDTVVDAEIGTFSAALFDDYGGLMVPLFNISLSGISFSLHGRPDYSNSTVSFSLAARSYNDKYESWEPIVEPVDGFLRYQYDPNAPGAASQLRFTSTRDLNLNMSVSNVNMIIQAYASWNNLSDVHQYYKRPEAFFSSYATRSIVDVHHKRSYYIIPQNKLGQDIFIQASETGGFSDIIRMPSGNMKPIKVPVSKNMLDSHLKGKICRKVRTMVAVIIADAMFPRVEGLTSPQYTVAVRLSPDNSLPSESLLHHQSARTCGCISSHFSSDIELVDWNEIFFFKVDSPISYTVELIVTDMGKGDAIGFFSAPLNQIAMYVPDDSHKYDYNNSLMWMDLSLAASMNTTQADGSDKKSSGKLRCAIILSPKPNVDERNELFIGGRKSGFIQISPSMEGPWTTVRLNYAAPTACWRLGNDVVASEVSVKDGNRYVNIRSFVSVHNNTDFILDLCLVRKASSEMMEPPTDVSMPEGLQVDGRTQTDELFETEMYDPNIGWVGSNVQLNQDQTDGGGFQQATSGVELPSGWEWIDDWHLDTSSTNTAAGWVYAPDFESLKWPESDDSLIFSNSVRQRKWIRNRKQISFDPKKEIFVGQLKPGDRVPLPLSALTQSGLFVFQLRPSNLDGSDKYSWSYVVGKPGRLEVSGKPNGISEIYVSALTESEELLCCTQLSEASSNASSHRLWFCLSIQATKISKDIRSDPIMDWSLVIKSPLSITNYLPLTAEYSILEMRASGHFIACSRGIFLPGRTVNIYNADTCNPLFFSLLPQKGWLPICEAVLISHPREIPSKTISLRSSISGRIVHLIVEQNYEKEQTMMAKTIRVYAPYWFSVSRCPPLTYRLVNIGGKKQKRKIGFPLHSKMKNEGIIDEITDEEMYSGHTIASALNFNFLGLSVSLSESSNEHFGPVKDLSPLGDMDGSVDLYAYNADGKCMRLFISAKPCPYQSVPTKVITVRPYMTFTNRLGRDIYIKLSSEDEPKVLRASDSRISFVHNENGGTDKLQVRLEDTEWSFPVQIVKEDTITLVLRRHDATRTFLKVEIRGYEEGSRFIVVFRLGSTKGPVRIENRTTIKTICIRQSGFGEDAWISLGPLSTTNFSWEDPYGQKFIDAKIDGDFNNRVLKVDLARAGQFSSGEELGMQLHVFETGNIKVVRFTDDQTWKVSSCEDAGPLTSAERPQINVTPVEIIIELGVVGVSVVDHMPKELFYLYLDRVFISYSTGYDGGTTSRFKLIMGHLQIDNQLPLTLMPVLLAPEQMSDIHHPVCKMTITMQNANTDGIQVYPYVYIRVTDKCWRLNIHEPIIWALVDFYNNLQLDHIPQSSSVTEVDPEIRVDLIDVSEVRLKVSLETAPAQRPHGVLGVWSPILSAIGNAFKIQVHLRRVMRKDRFMRRSSIASAVGNRIWRDLIHNPLHLLFSVDVLGMTSSTLASLSKGFAELSTDGQFLQLRSKQVTSRRITGVGDGIIQGTEALAQGVAFGVSGVVTKPVESARQNGLLGLAHGIGRAFVGFIVQPVSGALDFFSLTVDGIGASCSKCLEVLNSKSTFQRIRNPRAIHADGVLREYSEREATGQMVLYLAEASRHFGCTEIFREPSKFAWSDYYEEHFIVPYQKIVLVTNKRVMLLQCSSLDKMDKKPCKIMWDVPWEELMALELAKAGYQLPSYLLLHLKNFRRSETFVRVIKCSVEEVEGIEPQAVKICSVVRKMWKAHPSDMNNIVPKRYVHFAWSETDRKPLHASKKSIIKSGEPSSSSASDETKFVKHSINFLKIWSSERELKGRCALCRKQVADDGGVCSIWRPICPDGYVSVGDIARIGSHPPNVAAVYRNIDNLFTFPVGYDLVWRNCPDDYTNLVSIWYPRAPEGYTAPGCVAVAGFAEPEADLVRCVAETLAEETTFEEQKVWSAPESYPWGCHIYQVQSDALHFVALRESKEESEWSATRVRDDLPLLQSSEAQ >EOY21049 pep chromosome:Theobroma_cacao_20110822:3:2526104:2532074:-1 gene:TCM_012366 transcript:EOY21049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMKLNPNWELKNCCNHEQVVFLVTVAVCTVVILALWRTILLRPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGIYWLILPAGYLGSSFWGMVLILASTNLLTARIAAGCFVVALLVVLCVAKNWTLRGLCIGFVLFLGVVWVLQETTKIRILQYIILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEVCPCPCNGVGWGVIWGLISFLFLCGAMYLGLVILS >EOY21050 pep chromosome:Theobroma_cacao_20110822:3:2526249:2532241:-1 gene:TCM_012366 transcript:EOY21050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 FEKRQNRTLXXLSLSLKQEHSTEDPTWKLFAVVGSFSFLSNKEMMKLNPNWELKNCCNHEQVVFLVTVAVCTVVILALWRTILLRPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGIYWLILPAGYLGSSFWGMVLILASTNLLTARIAAGCFVVALLVVLCVAKNWTLRGLCIGFVLFLGVVWVLQETTKIRILQYIILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEVCPCPCNGVGWGVIWGLISFLFLCGAMYLGLVILS >EOY25179 pep chromosome:Theobroma_cacao_20110822:3:33488283:33488845:1 gene:TCM_016580 transcript:EOY25179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELSSNLSNGKEKIKSDKAAKSACDESQKGFNKKLKKVGESRDGIIDWLEPKCWRQGVAYAFFNVG >EOY24210 pep chromosome:Theobroma_cacao_20110822:3:30101752:30106597:1 gene:TCM_015879 transcript:EOY24210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MSRNSDTTRKTPPGILLIRSIRGKDWSLKSYRYMVLLITFIAYASYHASRKPSSIVKSVLYPDPIKVSEGKPWPVGNVFIKEEFVSSDRNRVKHKGWYPFNGTDGTSKLGEIDVAFLACYSFGMYVAGHLGDTLDLRLFLTTGMIGSGIFVALFGMGYFWNIHIFGFYLVMQMVAGLFQATGWPSVVAVIGNWFGKRKRGLIMGVWNAHTSVGNISGSLLAAAVLDYGWGWSFIVPGALIASAGVLVYLFLPAYPEDIGFAGANASTPKLGSVPHNEVAQIQKGNAVDIEKSSSLKQGSGSRKGVGLSEACLIPGVIPFALCLLFSKLVAYTFLYWLPFYLSQTEIGGEYVSVKSAGNLSTLFDVGGIVGGILAGYMSDKLNARATTAASFMSAAIPSMLLYRTYGNVSRTINILLMMIVGLFVNGPYALITTAVSADLGTHSSLRGDSRALATVTAIIDGTGSVGAALGPLLTGFLSTKGWDAVFVMLMVGALIAALLLSRLVIAEITEKTQKPIPLSHGRQAFEVIVTEAVGIKIYFVTSKTTSYRIPTSFKQSKVITRYGVKEVSAKPTLALLLDCRFEFNGGPLRTEGYNCLDRDVD >EOY24211 pep chromosome:Theobroma_cacao_20110822:3:30102239:30105418:1 gene:TCM_015879 transcript:EOY24211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MYVAGHLGDTLDLRLFLTTGMIGSGIFVALFGMGYFWNIHIFGFYLVMQMVAGLFQATGWPSVVAVIGNWFGKRKRGLIMGVWNAHTSVGNISGSLLAAAVLDYGWGWSFIVPGALIASAGVLVYLFLPAYPEDIGFAGANASTPKLGSVPHNEVAQIQKGNAVDIEKSSSLKQGSGSRKGVGLSEACLIPGVIPFALCLLFSKLVAYTFLYWLPFYLSQTEIGGEYVSVKSAGNLSTLFDVGGIVGGILAGYMSDKLNARATTAASFMSAAIPSMLLYRTYGNVSRTINILLMMIVGLFVNGPYALITTAVSADLGTHSSLRGDSRALATVTAIIDGTGSVGAALGPLLTGFLSTKGWDAVFVMLMVGALIAALLLSRLVIAEITEKTQKPIPLSHGRQAFEATGSQPLLSNQR >EOY22533 pep chromosome:Theobroma_cacao_20110822:3:23813886:23816220:-1 gene:TCM_014679 transcript:EOY22533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Palmitoyl-acyl carrier protein thioesterase isoform 1 MASMAKASNVTSLFLGGVCKEEKTKNVAMAKLGFYSPRNLIKPKRKGLLLIASAKNPHNLDMINGKKVNGIFVGEAPYTGKKSTVLIKEHVPYKQAHAASLVGRFVEDRHVYRQTFIIRSYETGPDKTATMETVMNLLQETALNHVRSSGLAGNGFGATREMSLRKLIWVVTRIHVQVERYSCWGDVVEIDTWVDAAGKNAMRRDWIIRDYNTQEIITRATSTWVIMNHETRRLTKIPEQVRQEVIPFYLNRIAIAEEKNDIGKIDKLTDENAERIRSGLAPRWSDMDANQHVNNVKYIGWILEAFTN >EOY22532 pep chromosome:Theobroma_cacao_20110822:3:23811089:23816220:-1 gene:TCM_014679 transcript:EOY22532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Palmitoyl-acyl carrier protein thioesterase isoform 1 MASMAKASNVTSLFLGGVCKEEKTKNVAMAKLGFYSPRNLIKPKRKGLLLIASAKNPHNLDMINGKKVNGIFVGEAPYTGKKSTVLIKEHVPYKQAHAASLVGRFVEDRHVYRQTFIIRSYETGPDKTATMETVMNLLQETALNHVRSSGLAGNGFGATREMSLRKLIWVVTRIHVQVERYSCWGDVVEIDTWVDAAGKNAMRRDWIIRDYNTQEIITRATSTWVIMNHETRRLTKIPEQVRQEVIPFYLNRIAIAEEKNDIGKIDKLTDENAERIRSGLAPRWSDMDANQHVNNVKYIGWILESVPMDVLEEYRLTSMTLEYRRECRKSNLLESLTSSTANVTEDSNNNSNNRKADLEYTHLLRMQDDVAEIVRARSEWQSKDKHSWLVEASEQIGRQEFISSIFILDIPKPHQLKK >EOY24206 pep chromosome:Theobroma_cacao_20110822:3:30084230:30089633:-1 gene:TCM_015875 transcript:EOY24206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 1 MKSDLEQLTESLILPASESQKAWHIFSLLVFIGKPTPLWELASQCTLFPASPALISSLCSISNSPITLSNKYFVAISSTGLSFFASYLGRNFTVAEAFTSTPIRFGGFQKLWLGKRKRDAVDYAEDKEERELALSLKRIRGYCTKVYVHDHLSDDINRIVELSIPLFLVNNIVVNPYFLAETSARQLSCKVEGIENVEGEVSVEDFMQRNVSIELAASEPEPESTVPTAKPDHELEVKAVKIPPVYSTECDKQSNISVVVGGKFNVDCTTTNFRIADNEVIPEDSKKEEKLDLVSQSQGALDLSPSFNIDLNEVPPERDLESLDKDNCYHKMPSLAGEHLIVPAGASVIHSVAKISVSKEQLAEPSVKAKTNQRYMVAPVMQTPGRSLGHTKVVHTYKRKPHGERDHLSVRAAQKSNQNHRDIYVKERRGNSTSISSQDQSKPKVLPDFESYIVEEEEGSGGYGTVYRARRKNDGTLVAIKCPHANAHKHYVYNELKMLERFGGRNFVIRYEGCFKSANSDCFVLEYVEHDRPEVLKKEIDVYQLQWYAYCLFKALTNLHKQGVVHRDVKPGNFLFSRKTNKGYLIDFNLAMDLHQKYRSMDKSKLGYDVSFNRNIVPTKAIHPANSSKILSTKLLEGINIEATKDSRLTLEPKNMKKTAGQRKARNDLSSWNKINSQGADGSGITSAKDLSARTPSAERLREPLPCQGRKELISLLHEAMQSPNHGVSHVPAPMRKRVAASPGKMDRQVLHLTPMPLNSNSFAIAGAGLAKNKGDGKHKRDGPCAGTKGFRAPEVLFRSQHQSPKIDIWSAGVTLLYLMIGKSPFFGDPEQ >EOY24205 pep chromosome:Theobroma_cacao_20110822:3:30083949:30090046:-1 gene:TCM_015875 transcript:EOY24205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 1 MKSDLEQLTESLILPASESQKAWHIFSLLVFIGKPTPLWELASQCTLFPASPALISSLCSISNSPITLSNKYFVAISSTGLSFFASYLGRNFTVAEAFTSTPIRFGGFQKLWLGKRKRDAVDYAEDKEERELALSLKRIRGYCTKVHDHLSDDINRIVELSIPLFLVNNIVVNPYFLAETSARQLSCKVEGIENVEGEVSVEDFMQRNVSIELAASEPEPESTVPTAKPDHELEVKAVKIPPVYSTECDKQSNISVVVGGKFNVDCTTTNFRIADNEVIPEDSKKEEKLDLVSQSQGALDLSPSFNIDLNEVPPERDLESLDKDNCYHKMPSLAGEHLIVPAGASVIHSVAKISVSKEQLAEPSVKAKTNQRYMVAPVMQTPGRSLGHTKVVHTYKRKPHGERDHLSVRAAQKSNQNHRDIYVKERRGNSTSISSQDQSKPKVLPDFESYIVEEEEGSGGYGTVYRARRKNDGTLVAIKCPHANAHKHYVYNELKMLERFGGRNFVIRYEGCFKSANSDCFVLEYVEHDRPEVLKKEIDVYQLQWYAYCLFKALTNLHKQGVVHRDVKPGNFLFSRKTNKGYLIDFNLAMDLHQKYRSMDKSKLGYDVSFNRNIVPTKAIHPANSSKILSTKLLEGINIEATKDSRLTLEPKNMKKTAGQRKARNDLSSWNKINSQGADGSGITSAKDLSARTPSAERLREPLPCQGRKELISLLHEAMQSPNHGVSHVPAPMRKRVAASPGKMDRQVLHLTPMPLNSNSFAIAGAGLAKNKGDGKHKRDGPCAGTKGFRAPEVLFRSQHQSPKIDIWSAGVTLLYLMIGKSPFFGDPEQNIKDIAKLRGSEDLWEVAKLHNREPSFPEDLYGKQSLASVNLREWCQMNTKRRDFLQEIPSSLYDLVDKCLTVNPRLRITAEDALKHEFFASIHETLRKHRAIRQGLSLESGTNKSIKVSLEKA >EOY24921 pep chromosome:Theobroma_cacao_20110822:3:32683540:32684754:1 gene:TCM_016388 transcript:EOY24921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGSAFVSPQRPDNTYHFSKRKLFSACPSPNERKAIEVLRVHLRLQSQKFAALKLDLRRSNYGDKNELKQTLSCHIYAATFVCQVPVDQINVV >EOY22810 pep chromosome:Theobroma_cacao_20110822:3:24866954:24873351:-1 gene:TCM_014870 transcript:EOY22810 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein isoform 2 MAPRNLSMSLVFLFTLFSLVIGHEGVGNSGKYPIVVSTWPFLEAVRAAWKAVDEGFNAVDAVVEGCSACEELRCDGTVGPGGSPDENGETTIDALLMNGVTMEVGAVAAMRYVKDGIRAARLVMQHTKHTMLVGDRAAAFAISMGLPGPTNLSSPESMEKWTRWKENHCQPNFWKNVLPVDSCGPYQSKDNMGLNKGVCSEAKAMGIIESRTSLVGPHNHDTISMAVIDKMGHVAVGTSTNGATFKIPGRVGDGPIAGSSAYADDEVGACGATGDGDIMMRFLPCYQVVESMRQGMEPKLAAKDAISRIARKFPDFVGAVVAINKNGVHAGACHGWTFQYSVRSPDMDDVKVFTVLP >EOY22811 pep chromosome:Theobroma_cacao_20110822:3:24867160:24869335:-1 gene:TCM_014870 transcript:EOY22811 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein isoform 2 MEVGAVAAMRYVKDGIRAARLVMQHTKHTMLVGDRAAAFAISMGLPGPTNLSSPESMEKWTRWKENHCQPNFWKNVLPVDSCGPYQSKDNMGLNKGVCSEAKAMGIIESRTSLVGPHNHDTISMAVIDKMGHVAVGTSTNGATFKIPGRVGDGPIAGSSAYADDEVGACGATGDGDIMMRFLPCYQVVESMRQGMEPKLAAKDAISRIARKFPDFVGAVVAINKNGVHAGACHGWTFQYSVRSPDMDDVKVFTVLP >EOY24440 pep chromosome:Theobroma_cacao_20110822:3:31001204:31001882:-1 gene:TCM_016043 transcript:EOY24440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVFGVYRLISFFFFGYELMRSFSYIKSSIKTHSIEKEREMASLHFSIAALFLILIQFHVSTLLNSCKAARKILSTETNTEFIRTSCGATTYPDLCCTTFSSAMHPKSKPAPRSRSRLTPHVLLQQRSYRTLQKPAAKAQIDCSHA >EOY22198 pep chromosome:Theobroma_cacao_20110822:3:22280305:22284737:-1 gene:TCM_014418 transcript:EOY22198 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative isoform 1 MADNIVASCLTGAVSQAGADITNYAKSKVSLSQSMEKNYEMLRNEVARLQALRDDYEREVKKHKMKTTTSSYDVWLRSVNKTLDNAKDLEDRFEEDRRPSSRYIHVKRRSNYSGKLVKMYEEIQKLVEGGKFLGGILVDKPIDPVLKVNAPEIKRFPSLQRPLEQVLELLRNDKLKGIGICGTLGVGKTTIMQNLNNHDEVAKMFDIVIWANVSSERSEEKLQTDIARRLKLKMEGVVHPEDVARTISEELNNKKYLLLLDDVMDSVDLEDIGIPDNKNGSKVVLTTEFRHVCSSMADRLIEVHPLSSNEAWKMFQQMVSDVVDLPDIEPVAQLVAKECARLPLLIKTVAGAFKLKDSVPEWRKGLKDLRKWPEIEIPGLTELHSFLKFCYDQLKDDQKRKCFLYGALYPAESKIYTDYLLECWTAEGLVGNTNEKRRFQDARDEGYDTLKYLTNVSLLEKGERMIYVQMNNSIRQVALYISSQDPDCKFLTGMTENSPDCLEENDWQQAKRISMIDKKLRDLPESPNCSMLLSLLLQRNSNLTGIPQSFFENMKKLLVLDLYGTGIESLPLSLAKLTGLRGLYLNNCINLTELPPEIGELHCLEVLDIRGCRISFIPFHIQKLINLRCLRVSYYRSSNPNDCQYMDIDCNVIPLLARLEELMIDVGSYDHWCNEVVEVMKQVATLENLTTLRICFPKSEVLKTFMQHSPSWKDRQQLTSFRFFVGCQNRKRPQILECFKYKINRYLGYCHGNYSDDSTICDLLAETDALELVEHKDIMSLTNFGNVASFNRIRGCLIERCNKMTTITDNNRTEGRDILPNLEQLHLVNLRSLRTIFEGSLSTKSLSKLHTVVVTNCPMLTKVFSLRVIQQLSVLCILEIRNCTMLEVLIEKPDSAGQVSPAFPNLETLMLIEMPKLRTICVDKSLAWPSLKELQVYMCPELKSLPFDKDNAAYLKSIEAEQVWWEALHWPQNEVKEQLQSMCNLR >EOY22199 pep chromosome:Theobroma_cacao_20110822:3:22280179:22285123:-1 gene:TCM_014418 transcript:EOY22199 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative isoform 1 MADNIVASCLTGAVSQAGADITNYAKSKVSLSQSMEKNYEMLRNEVARLQALRDDYEREVKKHKMKTTTSSYDVWLRSVNKTLDNAKDLEDRFEEDRRPSSRYIHVKRRSNYSGKLVKMYEEIQKLVEGGKFLGGILVDKPIDPVLKVNAPEIKRFPSLQRPLEQVLELLRNDKLKGIGICGTLGVGKTTIMQNLNNHDEVAKMFDIVIWANVSSERSEEKLQTDIARRLKLKMEGVVHPEDVARTISEELNNKKYLLLLDDVMDSVDLEDIGIPDNKNGSKVVLTTEFRHVCSSMADRLIEVHPLSSNEAWKMFQQMVSDVVDLPDIEPVAQLVAKECARLPLLIKTVAGAFKLKDSVPEWRKGLKDLRKWPEIEIPGLTELHSFLKFCYDQLKDDQKRKCFLYGALYPAESKIYTDYLLECWTAEGLVGNTNEKRRFQDARDEGYDTLKYLTNVSLLEKGERMIYVQMNNSIRQVALYISSQDPDCKFLTGMTENSPDCLEENDWQQAKRISMIDKKLRDLPESPNCSMLLSLLLQRNSNLTGIPQSFFENMKKLLVLDLYGTGIESLPLSLAKLTGLRGLYLNNCINLTELPPEIGELHCLEVLDIRGCRISFIPFHIQKLINLRCLRVSYYRSSNPNDCQYMDIDCNVIPLLARLEELMIDVGSYDHWCNEVVEVMKQVATLENLTTLRICFPKSEVLKTFMQHSPSWKDRQQLTSFRFFVGCQNRKRPQILECFKYKINRYLGYCHGNYSDDSTICDLLAETDALELVEHKDIMSLTNFGNVASFNRIRGCLIERCNKMTTITDNNRTEGRDILPNLEQLHLVNLRSLRTIFEGSLSTKSLSKLHTVVVTNCPMLTKVFSLRVIQQLSVLCILEIRNCTMLEVLIEKPDSAGQVSPAFPNLETLMLIEMPKLRTICVDKSLAWPSLKELQVYMCPELKSLPFDKDNAAYLKSIEAEQVWWEALHWPQNEVKEQLQSMCNLR >EOY22300 pep chromosome:Theobroma_cacao_20110822:3:22898718:22899589:-1 gene:TCM_014516 transcript:EOY22300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHHHLTKKKKCENERKLVAMLLCCQRLPTKRPIEALKATHPIKDLNRVCLGNIVAHLLRKLNRADEIADGDAGGHGR >EOY21370 pep chromosome:Theobroma_cacao_20110822:3:7283143:7285589:1 gene:TCM_012900 transcript:EOY21370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like superfamily protein MAKASKDISGIEICRGGSNVTHLLFADDCMLFGKVKRYIGRAGNRCLFKFSRRLGFRDTRCFNLALLAKQGWRLQMQQPTLAYKILKARYFPRVHFMDAPVGSNPSYLWRSIKESQQIIKKGLVWRVGNGNDIQVLEDSWIPYETPRVAMAYEENVSNSMMVSELIDARCGKWDEAKVKLCFPLYESNLILSIPLSVRLSVDRQVRVVSKHGQYTVKFGYRLISSLLDDSEPGCSSDSFDGFWKEVWKLSIPRKIIFFMWKALKGALPTKKALSHRKINVDNICVFCQEDEETDFHILCYCQFARATWLSSKWGFRDTGAHSTSVFDWIFQVSCNLGPKDVGEIACILWAIWKARNLRIFGNQIMNPVQVLKLGLDMNNQYHMAMEVSATENRVLERENRGFRSRGLTLHSDAAMDQVDGTTRVGAGFIIRRLNGGFFCAVGRKIQYCASVEEVELRALVWALSYCVKEQIMLADVFLDNQVVTGWIKKQQFTGALEHLIEDCTILMERINCQAIDFCSRETNRTAHSIAQIAKRMMDEMIEWKDSSHLPVLIQEAVDNDRVLFLRHEG >EOY21460 pep chromosome:Theobroma_cacao_20110822:3:8491293:8497226:-1 gene:TCM_013025 transcript:EOY21460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase isoform 1 MIAGASLVETLRTKTCSRTMPGSLDHEEQDAKTFASWGVDYLKYDNCENYGITPRERYHRMSEALLSTGRPIFFSICEWGREHPATWAPDIGNSWRTSGDVRDNWDSMIVRADRNDEWASYAGPGGWNDPDMLEVGNGGMTVEEYRSHFSIWAIAKAPLIIGCDIRTMDNDTYEILSNEDVIAVNQDKLGVQGKKVKRDGHLEVWAGPLSDNKVAVVLWNRGSRNANVTALWSDIGLQPTVAVKARDLWTHSTEYSLKGQISAQLESHACKMYVLTPH >EOY21457 pep chromosome:Theobroma_cacao_20110822:3:8491350:8497179:-1 gene:TCM_013025 transcript:EOY21457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase isoform 1 MGDSSSAYLCLAVGLALVVWSVSNGDEVAAADRMVGRSSNSFQQMRRKTFANGLGRTPPMGWNSWNHFGCDIEEKAIKETADAMEARGLAKLGYKYVNLDDCWGEPGRDAKGNLVSKASKFPSGMKALAYYVHSKGLKLGIYSDAGTKTCSRTMPGSLDHEEQDAKTFASWGVDYLKYDNCENYGITPRERYHRMSEALLSTGRPIFFSICEWGREHPATWAPDIGNSWRTSGDVRDNWDSMIVRADRNDEWASYAGPGGWNDPDMLEVGNGGMTVEEYRSHFSIWAIAKAPLIIGCDIRTMDNDTYEILSNEDVIAVNQGKLIKLFETISDGFLWLKTMSLSTDKLGVQGKKVKRDGHLEVWAGPLSDNKVAVVLWNRGSRNANVTALWSDIGLQPTVAVKARDLWTHSTEYSLKGQISAQLESHACKMYVLTPH >EOY21455 pep chromosome:Theobroma_cacao_20110822:3:8491052:8497248:-1 gene:TCM_013025 transcript:EOY21455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase isoform 1 MGDSSSAYLCLAVGLALVVWSVSNGDEVAAADRMVGRSSNSFQQMRRKTFANGLGRTPPMGWNSWNHFGCDIEEKAIKETADAMEARGLAKLGYKYVNLDDCWGEPGRDAKGNLVSKASKFPSGMKALAYYVHSKGLKLGIYSDAGTKTCSRTMPGSLDHEEQDAKTFASWGVDYLKYDNCENYGITPRERYHRMSEALLSTGRPIFFSICEWGREHPATWAPDIGNSWRTSGDVRDNWDSMIVRADRNDEWASYAGPGGWNDPDMLEVGNGGMTVEEYRSHFSIWAIAKAPLIIGCDIRTMDNDTYEILSNEDVIAVNQDKLGVQGKKVKRDGHLEVWAGPLSDNKVAVVLWNRGSRNANVTALWSDIGLQPTVAVKARDLWTHSTEYSLKGQISAQLESHACKMYVLTPH >EOY21459 pep chromosome:Theobroma_cacao_20110822:3:8491293:8497226:-1 gene:TCM_013025 transcript:EOY21459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase isoform 1 MGDSSSAYLCLAVGLALVVWSVSNGDEVAAADRMVGRSSNSFQQMRRKTFANGLGRTPPMGWNSWNHFGCDIEEKAIKETDDCWGEPGRDAKGNLVSKASKFPSGMKALAYYVHSKGLKLGIYSDAGTKTCSRTMPGSLDHEEQDAKTFASWGVDYLKYDNCENYGITPRERYHRMSEALLSTGRPIFFSICEWGREHPATWAPDIGNSWRTSGDVRDNWDSMIVRADRNDEWASYAGPGGWNDPDMLEVGNGGMTVEEYRSHFSIWAIAKAPLIIGCDIRTMDNDTYEILSNEDVIAVNQDKLGVQGKKVKRDGHLEVWAGPLSDNKVAVVLWNRGSRNANVTALWSDIGLQPTVAVKARDLWTHSTEYSLKGQISAQLESHACKMYVLTPH >EOY21461 pep chromosome:Theobroma_cacao_20110822:3:8492255:8497238:-1 gene:TCM_013025 transcript:EOY21461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase isoform 1 MGDSSSAYLCLAVGLALVVWSVSNGDEVAAADRMVGRSSNSFQQMRRKTFANGLGRTPPMGWNSWNHFGCDIEEKAIKETADAMEARGLAKLGYKYVNLDDCWGEPGRDAKGNLVSKASKFPSGMKALAYYVHSKGLKLGIYSDAGTKTCSRTMPGSLDHEEQDAKTFASWGVDYLKYDNCENYGITPRERYHRMSEALLSTGRPIFFSICEWGREHPATWAPDIGNSWRTSGDVRDNWDSMIVRADRNDEWASYAGPGGWNDPDMLEVGNGGMTVEEYRSHFSIWAIAKVGRETA >EOY21456 pep chromosome:Theobroma_cacao_20110822:3:8491293:8497226:-1 gene:TCM_013025 transcript:EOY21456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase isoform 1 MGDSSSAYLCLAVGLALVVWSVSNGDEVAAADRMVGRSSNSFQQMRRKTFANGLGRTPPMGWNSWNHFGCDIEEKAIKETADAMEARGLAKLGYKYVNLDDCWGEPGRDAKGNLVSKASKFPSGMKALAYYVHSKGLKLGIYSDAGTKTCSRTMPGSLDHEEQDAKTFASWGVDYLKYDNCENYGITPRERMSEALLSTGRPIFFSICEWGREHPATWAPDIGNSWRTSGDVRDNWDSMIVRADRNDEWASYAGPGGWNDPDMLEVGNGGMTVEEYRSHFSIWAIAKAPLIIGCDIRTMDNDTYEILSNEDVIAVNQDKLGVQGKKVKRDGHLEVWAGPLSDNKVAVVLWNRGSRNANVTALWSDIGLQPTVAVKARDLWTHSTEYSLKGQISAQLESHACKMYVLTPH >EOY21458 pep chromosome:Theobroma_cacao_20110822:3:8491407:8497242:-1 gene:TCM_013025 transcript:EOY21458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase isoform 1 MGDSSSAYLCLAVGLALVVWSVSNGDEVAAADRMVGRSSNSFQQMRRKTFANGLGRTPPMGWNSWNHFGCDIEEKAIKETADAMEARGLAKLGYKYVNLDDCWGEPGRDAKGNLVSKASKFPSGMKALAYYVHSKGLKLGIYSDAGTKTCSRTMPGSLDHEEQDAKTFASWGVDYLKYDNCENYGITPRERYHRMSEALLSTGRPIFFSICEWGREHPATWAPDIGNSWRTSGDVRDNWDSMIVRADRNDEWASYAGPGGWNDPDMLEVGNGGMTVEEYRSHFSIWAIAKAPLIIGCDIRTMDNDTYEILSNEDVIAVNQDKLGVQGKKVKRDGHLEVWAGPLSDNKVAVVLWNRGSRNANVTALWSDIGLQPTVAVKARDLWTVTFN >EOY24623 pep chromosome:Theobroma_cacao_20110822:3:31679643:31680714:-1 gene:TCM_016176 transcript:EOY24623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MADPNNPTNVPQLDQPYSHSPVPSSSLQDPNIQVPHPLPTNTHTCDSPSPTCDKLPPTAPKSNMASSSSGKHPMYRGIRCRSGKWVSEIREPRKTTRIWLGTYPTPEMAAAAYDVAALALKGGEAVVNFPGSVASYPLPASTSSADIRKAAAAAALLKKAETTSEINLGTGHQAKNEEMTTQEYVDEDALLNLPNLLVDMAEGLLVSPPRISSTPSDDSPENSDGESLWSY >EOY22678 pep chromosome:Theobroma_cacao_20110822:3:24438876:24443641:1 gene:TCM_014780 transcript:EOY22678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 2 MSGAAGPVEHVGLPKMEAKSKPGCCNPVKKPGPVSMDHVLLALRETKEERDLRIRSLFNFFDAANVGFLDYAQIEKGLSALQIPAEYKYAKDLLKVCDANRDGRVDYHEFKRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAVIPEGISKHVKRSKYFIAGGIAGAASRTATAPLDRLKVVLQVQTTRACILPAVKKIWKQDGLLGFFRGNGLNVVKVAPESAIKFYAYEMLKNVMGDSMGYKKGDIGASGRLIAGGVAGAVAQSAIYPMDLVKTRLQTCASEGGRAPKLGKLTRDIWVQEGPRAFYKGLVPSLLGIIPYAGIDLAAYETLKDFSRTYILQDGEDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQHATSAAAYKGMSDVFWRTLQNEGYRGFYKGLVPNLLKVVPAASITYLVYEAMKKSLDLD >EOY22677 pep chromosome:Theobroma_cacao_20110822:3:24438896:24443651:1 gene:TCM_014780 transcript:EOY22677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 2 MSGAAGPVEHVGLPKMEAKSKPGCCNPVKKPGPVSMDHVLLALRETKEERDLRIRSLFNFFDAANVGFLDYAQIEKGLSALQIPAEYKYAKDLLKVCDANRDGRVDYHEFKRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAVIPEGISKHVKRSKYFIAGGIAGAASRTATAPLDRLKVVLQVQTTRACILPAVKKIWKQDGLLGFFRGNGLNVVKVAPESAIKFYAYEMLKNVMGDSMGYKKGDIGASGRLIAGGVAGAVAQSAIYPMDLVKTRLQTCASEGGRAPKLGKLTRDIWVQEGPRAFYKGLVPSLLGIIPYAGIDLAAYETLKDFSRTYILQDGDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQHATSAAAYKGMSDVFWRTLQNEGYRGFYKGLVPNLLKVVPAASITYLVYEAMKKSLDLD >EOY21975 pep chromosome:Theobroma_cacao_20110822:3:20217817:20218458:1 gene:TCM_014142 transcript:EOY21975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLSLLKSVGDAFNFCSDVNVHNIIFAPKFQAETIKLVNGLISQVAFLRLLKHFMSVSFCKRMAVVSISYSAILMKDVQCHWFQ >EOY21752 pep chromosome:Theobroma_cacao_20110822:3:17906193:17929531:1 gene:TCM_013858 transcript:EOY21752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic region, Zinc finger, CCHC-type, Peptidase aspartic, catalytic, putative MEIRNKNDSNQKKEMICYECKKLGHFKSECPLLKDETPKKNKKSKKAMLAAAWSDSDTSSSKTNDEKSEKRANICLMAQKDETEVELDLKEACSRAQLKKKQPWYLDSGCSRHMTGHEMLFAQLDKRKGGTVSFRDNSKGRIHGISTVGKNFHTQISHVSLVKGLKHNLLSISQLCDKGFKVCFDSTKCEVIDVSTNKISFIGKRLKNMYVIFLEDLQVNSEVCLVANAENDSWLWHKRLGYVSLHTMSKLIRKNLVVGLSDLQFENDRICDACQLGKQVRTSFKTKKIVSTSRPLELLHIDLFSPISTTSLEGKSYSFVIVDDYSRYTWVSFLAYKNDALQAFLKAEKEKIWIMAMQKELDQFTRSRVWSLVPRPSNHPIVCTKWFLRNKVDKQGNVVRNKPRLVAKGYNQEEGIDYDETFAPVASVEAIRLLLAFACFMNFKLFQMNVKSAFLNGLIQEEVYIEQSPGFEDFEKFDHVFKLHKALYGLKQAPRAWYERLSKFLVEKRYDRGNIDTILFTKRYLNDLIVVQIYVYDIVFGATNEALCKNFTKKMQERYTHDMLKKFDMLKLKSISAPMSPSTKLDLDEKGKDVNQKLYRGMIDFLLYLIASRPDIQFSVCLCARFQSQPKETHLTAVKRIFRYLIDTQELGIWYSRDSTLNLVGYSDADFAGSRTDRKNTSGTCQFLGSMLVSWSSKKQNSVALLIAEAEYVSLGSSCAQILWIKQQLKDYGITMHNVPIYCDNTSAINISKNLVQHSRTKQMEIRHHFIRNHVVKNDIKIEFVNSLHQLDDIFIKPLNEDKFCEIRRNLGMITANIQCRSGNLSYISLQDLWLMEHAFNRKKGIWAKQYDMNLVKIRDQAIYYDSLVKMGYVLDGERFIKTPKTGSRKEHSLLAQSEEASSKYSNEVIFNLLMKIDGKLTDQGEKLQKVDEKITELENKLKEKENMPSELVVADNSSTSSTARNSLDVSPLNCELPFLFDELNQSGNLIKDSQAQFHWLLGLQEFEMISLNRYLEENLGESSEEFDLYEADKGLW >EOY25250 pep chromosome:Theobroma_cacao_20110822:3:33691542:33694481:1 gene:TCM_016623 transcript:EOY25250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein, putative MSSSKGDSVQHTDAAATISIQSIIDKLTDLRKLLDEKVIKGDVPDRGSQEGGIKLAPSEDGQAKLNTDLEKVCKELDYMIRAFDKLKKFEGDLKEPLKTLDNNVNDILKDLEVLKSSSGSLKQIQQNLKVLRSNITKVKIQIPLQHQASNLISDASRYLQATVASREEGDLPNLYEAAKILEIKGSFYEEIQDKYNGLDKKALKLCLLCFAIFPENAEIKKSLLRFWWFGERLMENPIVTGEKQNMDRVNDILGELIKKGFIEPVEKKSRLPATRYKMHPIVRSLLIKLAKEANFFDYDAKGIPTMDVSASKKSCLIKSEGHSHWFSKNPFQEGEEQKINPGDQQKTNSKGRQQKNSKKQQQTNQEKQQPTSSENQQKTYQENQRKQKELLKNLEELQTLFNISKQFPDLPEEKFSKIKGVRVLYLGRWESTAERHMEVESTEFLKGLEKMKELRFFSLQGISGISTLPKSLGKLINLRILDLRACHNLEELPKELGLLKKLTYLDMSECYLIDNMPKQLTSLSELQVLKGFVISKNRHSCTFGNLTTLSKLKKLTINVNSDEFSIDKAEGDLCRFQALRKLRIAWGAGSSKLIDGNKDEKKGNGNQETNGNKRKFHERQQNDAAKSMLSNLKMPWGACGEGTSKSVAEKKVTATTEKSTLEGKDEIRKIDYGKQESSEGKKQGSDAAKSGAKNLNDNKDAGKQKTEEAISLEKLDLQCFPRPEPPSWLVPEKLESLKSLYIRGGRLSYLGEPKGSKKWEVETLRLKYLTGVQINWKDLQTQFPKLTYLERVNCPGITFCPCDANGVWRNLEKEK >EOY24685 pep chromosome:Theobroma_cacao_20110822:3:31926264:31927495:-1 gene:TCM_016225 transcript:EOY24685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKVHPLAAAAAAAAVPSSSSSSSYVTSRRETFTVWMKSLVMQGNGCTVYNENGEIVYRVDNYDKKCSDEVCLMDLQGKVLFTILRKNQWFFKRWVGDRSNDLNLNSEKLQFEVRKNFRNILKGNLSCQVIIICCDNGQASCYTITGMAGKSAFKITQGNGKLAAEAKRKQSSSGVLLGEDVLTLEVEQHVDHSLIMALVTVYGLIHHRL >EOY23797 pep chromosome:Theobroma_cacao_20110822:3:28623746:28624990:-1 gene:TCM_015581 transcript:EOY23797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLETSKPDRQSTGEGDKNRYLDKELKDMVSAITHRISGIHKPGSSQHEDDQEHGASIITLAGNNSGATMRSELDDKSSPQGISLGEPDALSTYVNSNFQAVNNSIMLGSSYNTNDPGVHLDVSDVMEREGQKPADIARRIRGKKKEKGSLKSENSD >EOY21442 pep chromosome:Theobroma_cacao_20110822:3:8203260:8207397:-1 gene:TCM_012994 transcript:EOY21442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrimidine 1 isoform 1 MASLNFTQLGHKSSNSFAEFALTRHAPPSFTRPTRVGFKVFASDSQAEPDLSVCVNGLQMPNPFVIGSGPPGTNYTVMKRAFDEGWGAVIAKTVSLDAAKVINVTPRYARLRAGANGSAKGQIIGWENIELISDRPLETMLKEFKQLKEEYPDRILIASIMEEYDKAAWEELIDRVEQTGIDAIEINFSCPHGMPERKMGAAVGQDCALLEEVCGWINAKATVPVWAKMTPNITDITQPARVALSSGCEGVSAINTIMSVMGINLDTLRPEPCVEGYSTPGGYSCKAIHPIALAKVMSIAKMMKLEFNDKEYSLSGIGGVEKGDDAAEFILLGADTVQVMSFLLGWEHIIFHT >EOY21443 pep chromosome:Theobroma_cacao_20110822:3:8202441:8207397:-1 gene:TCM_012994 transcript:EOY21443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrimidine 1 isoform 1 MASLNFTQLGHKSSNSFAEFALTRHAPPSFTRPTRVGFKVFASDSQAEPDLSVCVNGLQMPNPFVIGSGPPGTNYTVMKRAFDEGWGAVIAKTVSLDAAKVINVTPRYARLRAGANGSAKGQIIGWENIELISDRPLETMLKEFKQLKEEYPDRILIASIMEEYDKAAWEELIDRDAIEINFSCPHGMPERKMGAAVGQDCALLEEVCGWINAKATVPVWAKMTPNITDITQPARVALSSGCEGVSAINTIMSVMGINLDTLRPEPCVEGYSTPGGYSCKAIHPIALAKVMSIAKMMKLEFNDKEYSLSGIGGVEKGDDAAEFILLGADTVQVCTGVMMHGYGLVKQLCAELKDFMKKHNFSSI >EOY21441 pep chromosome:Theobroma_cacao_20110822:3:8201677:8207295:-1 gene:TCM_012994 transcript:EOY21441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrimidine 1 isoform 1 MASLNFTQLGHKSSNSFAEFALTRHAPPSFTRPTRVGFKVFASDSQAEPDLSVCVNGLQMPNPFVIGSGPPGTNYTVMKRAFDEGWGAVIAKTVSLDAAKVINVTPRYARLRAGANGSAKGQIIGWENIELISDRPLETMLKEFKQLKEEYPDRILIASIMEEYDKAAWEELIDRVEQTGIDAIEINFSCPHGMPERKMGAAVGQDCALLEEVCGWINAKATVPVWAKMTPNITDITQPARVALSSGCEGVSAINTIMSVMGINLDTLRPEPCVEGYSTPGGYSCKAIHPIALAKVMSIAKMMKLEFNDKEYSLSGIGGVEKGDDAAEFILLGADTVQVCTGVMMHGYGLVKQLCAELKDFMKKHNFSSINDFRGASLQYFTTHTDLVRRQKEAIQKRKAVKKGLQSDKDWTGDGFVKETESMVSN >EOY23024 pep chromosome:Theobroma_cacao_20110822:3:25830683:25835392:1 gene:TCM_015037 transcript:EOY23024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MGDTKGDTTKKPTPQQTQQLSSSPKESLEESSESRQKHLQQQSAVVVTGAPFISAPLYVPIGATSSPFEQQFESVNPKRPRYNSGQWKLLPSPSSLQTQAQMAIITSESSPSPTTNPKNPQTQAHTTAASSSDTASSPPHSPLPSTTSGQETNKPEGEQFHHQFRKGKYVSPVWKPNEMLWLARAWRIQYQGGSDASGSSRIGHQETSHVAGSDVAVQSTRGKTRADKDKEVAEFLNRHGINRDAKTAGTKWDNMLGEFRKVYEWERGGEKEQVGKSYFRLSPYERKLHRLPASFDEEVFEELSQFMGPRMRTSQSRASAIASGDDGRPVISGSRALPPPPPFKEDELPPSVRTKQLVTTSGGDAFFHGTRGSLLGFDNSLDVSVGLPSSSSKEPRRIGKIRMAWEESVSLWAEEGEHHRGRVRLQGSSFLNADELTFFDDTMVACTIEAFEDGPLKGFSVDRFVNGQQVKVFGRRKSSTASASSGFIDRAQLPFAEPPIRPMPPLEFQDPTDYYVGCLRVPPTTLPSLFELSWHLQEPPPEEYRFHLRKDVYRDLPPGKEVLFTTSNELLDCRAIIYDILSPIIRTNPSLSAATAASRDSFIGLWDDCINRVVSKFCSVEMVIIRKPSSSSSTEPLQDQWPNVTGFVRNFCLWRGEETDQLRESQLDPPSSIVEKLLWTYMDLPYILGYYAVGYMVTFCALSRSQDRIIRTDLYSVDLSSPSERLKALAPCCRIAGLLPLLADRCFSNVNNIGSYKQFPFSDFERIDMGNGNIMEMTPNTVIRSFSSRKKWAAVKEIYDILDHRIPHAEFICRASEKDLTLVFKPRGCKFKPVNCDQLVEALKYVTKALVALHDLCFMHRDLSWDKVLRRSDRENEWFVCGFDEAVGAPQIYPQAVAGAEARGRHAPEMGRGLHGVKVDVWGVGHLVKTCGLTNVPKMLRELQNRCLDQNPEQRPTAADCYHHLLQVQSASSSGAPY >EOY23025 pep chromosome:Theobroma_cacao_20110822:3:25830683:25835392:1 gene:TCM_015037 transcript:EOY23025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MGDTKGDTTKKPTPQQTQQLSSSPKESLEESSESRQKHLQQQSAVVVTGAPFISAPLYVPIGATSSPFEQQFESVNPKRPRYNSGQWKLLPSPSSLQTQAQMAIITSESSPSPTTNPKNPQTQAHTTAASSSDTASSPPHSPLPSTTSGQETNKPEGEQFHHQFRKGKYVSPVWKPNEMLWLARAWRIQYQGGSDASGSSRIGHQETSHVAGSDVAVQSTRGKTRADKDKEVAEFLNRHGINRDAKTAGTKWDNMLGEFRKVYEWERGGEKEQVGKSYFRLSPYERKLHRLPASFDEEVFEELSQFMGPRMRTSQSRASAIASGDDGRPVISGSRALPPPPPFKEDELPPSVRTKQLVTTSGGDAFFHGTRGSLLGFDNSLDVSVGLPSSSSKEPRRIGKIRMAWEESVSLWAEEGEHHRGRVRLQGSSFLNADELTFFDDTMVACTIEAFEDGPLKGFSVDRFVNGQQVKVFGRRKSSTASASSAMPPLEFQDPTDYYVGCLRVPPTTLPSLFELSWHLQEPPPEEYRFHLRKDVYRDLPPGKEVLFTTSNELLDCRAIIYDILSPIIRTNPSLSAATAASRDSFIGLWDDCINRVVSKFCSVEMVIIRKPSSSSSTEPLQDQWPNVTGFVRNFCLWRGEETDQLRESQLDPPSSIVEKLLWTYMDLPYILGYYAVGYMVTFCALSRSQDRIIRTDLYSVDLSSPSERLKALAPCCRIAGLLPLLADRCFSNVNNIGSYKQFPFSDFERIDMGNGNIMEMTPNTVIRSFSSRKKWAAVKEIYDILDHRIPHAEFICRASEKDLTLVFKPRGCKFKPVNCDQLVEALKYVTKALVALHDLCFMHRDLSWDKVLRRSDRENEWFVCGFDEAVGAPQIYPQAVAGAEARGRHAPEMGRGLHGVKVDVWGVGHLVKTCGLTNVPKMLRELQNRCLDQNPEQRPTAADCYHHLLQVQSASSSGAPY >EOY23182 pep chromosome:Theobroma_cacao_20110822:3:26535313:26541217:1 gene:TCM_015163 transcript:EOY23182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related protein, putative isoform 1 MFKSWRSDKKKIKVVFKLQFQATQVPRLKKSAVTIALVPEDVGKPTLRLEKVAVQDGSCLWENPVFETVKLIRETKTGKLSEKIYHFVVSTGSSKAGFLGEASIDFADFAAETEPITVSLPLKFANSGAILHVTIHKIEGDADQRYLGETEGFAISRDGSLQSQDNNYSVHENDQNFTEDGHLNMITYQNAEQNGSIKASNGSTATVASYWDIGSEQPRRASIGQDPASFLSPLRLNSMPQRGADAVTTKKQTHRRTNTDWSVCSTSDGSLVESGNSPIDIPREWQEGSDSSVEKLRSENALLLRQVEVSELELQSLRKQILKETKRTQDLSGQIISLKEERDAVKTELKQLKSQKNTDEVEIESRLQAENEESNVLLEEIRQELNHEKDLNTNLRLQLQRTEDSNSNLILAVRDLNEMLEQKNREISCLSSEIEASMNIKEVQSNSKCHMNEAEDQKTVEELNKEQNDANEVHMMKHTVTDLNAELEFYRKHKVELEMHIEELSQENEVLKQENYDISSQLKQNQQQESIKVQNEYSESLATVNELESQVQRLEDKIKQQSEEYSESLVAINELESQVKELKKELENRTQRFEDDLNAMIHSKTEQEQSTIRAEEALRKTRWKNAVTAERLQEEFKRLSIEMATKFDENEKMALKAVAEANELHIQKGNLEEMLQKANEELELLKDRTGIERQELSHQLDIKAKQIEQMSMELNEKTMRLEHTQKQEKEKQEAFSKEIQMLRTEIKKLTEQRSQFSDQAKENGKQSDETKKVKTSSDKTEMLIQRWNKERDELEKKIASAKKEAEKAQKQLISTRSLKDKKEKMITNLKSEMENIQVEYNDLKHSLIREEMEKEKLRKQVSQLKNDLQKKEEEASSLEKELKNNGGQAAVTPRSSNSTSAPQGSKSITMLQKKLRLLKDQINLKEAASKTSANSAPEKERNLSNMIEELESSMEQLKICHCFSADHCQEETISAGIFTTNVTKSEERGKSPDNILHSKMNTAEGMSFSIRAVPVERRKETKAEKELKCSASGTSTGANLAELLCEVECLKERNKSMERELKDMEERYSEISLKFAEVEGERQQLVMTVRNLKNSKKN >EOY23183 pep chromosome:Theobroma_cacao_20110822:3:26536970:26540528:1 gene:TCM_015163 transcript:EOY23183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related protein, putative isoform 1 MITYQNAEQNGSIKASNGSTATVASYWDIGSEQPRRASIGQDPASFLSPLRLNSMPQRGADAVTTKKQTHRRTNTDWSVCSTSDGSLVESGNSPIDIPREWQEGSDSSVEKLRSENALLLRQVEVSELELQSLRKQILKETKRTQDLSGQIISLKEERDAVKTELKQLKSQKNTDEVEIESRLQAENEESNVLLEEIRQELNHEKDLNTNLRLQLQRTEDSNSNLILAVRDLNEMLEQKNREISCLSSEIEASMNIKEVQSNSKCHMNEAEDQKTVEELNKEQNDANEVHMMKHTVTDLNAELEFYRKHKVELEMHIEELSQENEVLKQENYDISSQLKQNQQQESIKVQNEYSESLATVNELESQVQRLEDKIKQQSEEYSESLVAINELESQVKELKKELENRTQRFEDDLNAMIHSKTEQEQSTIRAEEALRKTRWKNAVTAERLQEEFKRLSIEMATKFDENEKMALKAVAEANELHIQKGNLEEMLQKANEELELLKDRTGIERQELSHQLDIKAKQIEQMSMELNEKTMRLEHTQKQEKEKQEAFSKEIQMLRTEIKKLTEQRSQFSDQAKENGKQSDETKKVKTSSDKTEMLIQRWNKERDELEKKIASAKKEAEKAQKQLISTRSLKDKKEKMITNLKSEMENIQVEYNDLKHSLIREEMEKEKLRKQVSQLKNDLQKKEEEASSLEKELKNNGGQAAVTPRSSNSTSAPQGSKSITMLQKKLRLLKDQINLKEAASKTSANSAPEKERNLSNMIEELESSMEQLKICHCFSADHCQEETISAGIFTTNVTKSEERGKSPDNILHSKMNTAEGMSFSIRAVPVERRKETKAEKELKCSASGTSTGANLAELLCEVECLKERNKSMERELKDMEERYSEISLKFAEVEGERQQLVMTVRNLKNSKKN >EOY23184 pep chromosome:Theobroma_cacao_20110822:3:26536970:26540528:1 gene:TCM_015163 transcript:EOY23184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related protein, putative isoform 1 MITYQNAEQNGSIKASNGSTATVASYWDIGSEQPRRASIGQDPASFLSPLRLNSMPQRGADAVTTKKQTHRRTNTDWSVCSTSDGSLVESGNSPIDIPREWQEGSDSSVEKLRSENALLLRQVEVSELELQSLRKQILKETKRTQDLSGQIISLKEERDAVKTELKQLKSQKNTDEVEIESRLQAENEESNVLLEEIRQELNHEKDLNTNLRLQLQRTEDSNSNLILAVRDLNEMLEQKNREISCLSSEIEASMNIKEVQSNSKCHMNEAEDQKTVEELNKEQNDANEVHMMKHTVTDLNAELEFYRKHKVELEMHIEELSQENEVLKQENYDISSQLKQNQQQESIKVQNEYSESLATVNELESQVQRLEDKIKQQSEEYSESLVAINELESQVKELKKELENRTQRFEDDLNAMIHSKTEQEQSTIRAEEALRKTRWKNAVTAERLQEEFKRLSIEMATKFDENEKMALKAVAEANELHIQKGNLEEMLQKANEELELLKDRTGIERQELSHQLDIKAKQIEQMSMELNEKTMRLEHTQKQEKEKQEAFSKEIQMLRTEIKKLTEQRSQFSDQAKENGKQSDETKKVKTSSDKTEMLIQRWNKERDELEKKIASAKKEAEKAQKQLISTRSLKDKKEKMITNLKSEMENIQVEYNDLKHSLIREEMEKEKLRKQVSQLKNDLQKKEEEASSLEKELKNNGGQAAVTPRSSNSTSAPQGSKSITMLQKKLRLLKDQINLKEAASKTSANSAPEKERNLSNMIEELESSMEQLKICHCFSADHCQEETISAGIFTTNVTKSEERGKSPDNILHSKMNTAEGMSFSIRAVPVERYEHKIRRKETKAEKELKCSASGTSTGANLAELLCEVECLKERNKSMERELKDMEERYSEISLKFAEVEGERQQLVMTVRNLKNSKKN >EOY23185 pep chromosome:Theobroma_cacao_20110822:3:26536380:26540906:1 gene:TCM_015163 transcript:EOY23185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related protein, putative isoform 1 MITYQNAEQNGSIKASNGSTATVASYWDIGSEQPRRASIGQDPASFLSPLRLNSMPQRGADAVTTKKQTHRRTNTDWSVCSTSDGSLVESGNSPIDIPREWQEGSDSSVEKLRSENALLLRQVEVSELELQSLRKQILKETKRTQDLSGQIISLKEERDAVKTELKQLKSQKNTDEVEIESRLQAENEESNVLLEEIRQELNHEKDLNTNLRLQLQRTEDSNSNLILAVRDLNEMLEQKNREISCLSSEIEASMNIKEVQSNSKCHMNEAEDQKTVEELNKEQNDANEVHMMKHTVTDLNAELEFYRKHKVELEMHIEELSQENEVLKQENYDISSQLKQNQQQESIKVQNEYSESLATVNELESQVQRLEDKIKQQSEEYSESLVAINELESQVKELKKELENRTQRFEDDLNAMIHSKTEQEQSTIRAEEALRKTRWKNAVTAERLQEEFKRLSIEMATKFDENEKMALKAVAEANELHIQKGNLEEMLQKANEELELLKDRTGIERQELSHQLDIKAKQIEQMSMELNEKTMRLEHTQKQEKEKQEAFSKEIQMLRTEIKKLTEQRSQFSDQAKENGKQSDETKKVKTSSDKTEMLIQRWNKERDELEKKIASAKKEAEKAQKQLISTRSLKDKKEKMITNLKSEMENIQVEYNDLKHSLIREEMEKEKLRKQVSQLKNDLQKKEEEASSLEKELKNNGGQAAVTPRSSNSTSAPQGSKSITMLQKKLRLLKINLKEAASKTSANSAPEKERNLSNMIEELESSMEQLKICHCFSADHCQEETISAGIFTTNVTKSEERGKSPDNILHSKMNTAEGMSFSIRAVPVERRKETKAEKELKCSASGTSTGANLAELLCEVECLKERNKSMERELKDMEERYSEISLKFAEVEGERQQLVMTVRNLKNSKKN >EOY23187 pep chromosome:Theobroma_cacao_20110822:3:26536380:26540906:1 gene:TCM_015163 transcript:EOY23187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related protein, putative isoform 1 ANSGAILHVTIHKIEGDADQRYLGETEGFAISRDGSLQSQDNNYSVHENDQNFTEDGHLNMITYQNAEQNGSIKASNGSTATVASYWDIGSEQPRRASIGQDPASFLSPLRLNSMPQRGADAVTTKKQTHRRTNTDWSVCSTSDGSLVESGNSPIDIPREWQEGSDSSVEKLRSENALLLRQVEVSELELQSLRKQILKETKRTQDLSGQIISLKEERDAVKTELKQLKSQKNTDEVEIESRLQAENEESNVLLEEIRQELNHEKDLNTNLRLQLQRTEDSNSNLILAVRDLNEMLEQKNREISCLSSEIEASMNIKEVQSNSKCHMNEAEDQKTVEELNKEQNDANEVHMMKHTVTDLNAELEFYRKHKVELEMHIEELSQENEVLKQENYDISSQLKQNQQQESIKVQNEYSESLATVNELESQVQRLEDKIKQQSEEYSESLVAINELESQVKELKKELENRTQRFEDDLNAMIHSKTEQEQSTIRAEEALRKTRWKNAVTAERLQEEFKRLSIEMATKFDENEKMALKAVAEANELHIQKGNLEEMLQKANEELELLKDRTGIERQELSHQLDIKAKQIEQMSMELNEKTMRLEHTQKQEKEKQEAFSKEIQMLRTEIKKLTEQRSQFSDQAKENGKQSDETKKVKTSSDKTEMLIQRWNKERDELEKKIASAKKEAEKAQKQLISTRSLKDKKEKMITNLKSEMENIQVEYNDLKHSLIREEMEKEKLRKQVSQLKNDLQKKEEEASSLEKELKNNGGQAAVTPRSSNSTSAPQGSKSITMLQKKLRLLKINLKEAASKTSANSAPEKERNLSNMIEELESSMEQLKICHCF >EOY23186 pep chromosome:Theobroma_cacao_20110822:3:26536207:26540827:1 gene:TCM_015163 transcript:EOY23186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related protein, putative isoform 1 ANSGAILHVTIHKIEGDADQRYLGETEGFAISRDGSLQSQDNNYSVHENDQNFTEDGHLNMITYQNAEQNGSIKASNGSTATVASYWDIGSEQPRRASIGQDPASFLSPLRLNSMPQRGADAVTTKKQTHRRTNTDWSVCSTSDGSLVESGNSPIDIPREWQEGSDSSVEKLRSENALLLRQVEVSELELQSLRKQILKETKRTQDLSGQIISLKEERDAVKTELKQLKSQKNTDEVEIESRLQAENEESNVLLEEIRQELNHEKDLNTNLRLQLQRTEDSNSNLILAVRDLNEMLEQKNREISCLSSEIEASMNIKEVQSNSKCHMNEAEDQKTVEELNKEQNDANEVHMMKHTVTDLNAELEFYRKHKVELEMHIEELSQENEVLKQENYDISSQLKQNQQQESIKVQNEYSESLATVNELESQVQRLEDKIKQQSEEYSESLVAINELESQVKELKKELENRTQRFEDDLNAMIHSKTEQEQSTIRAEEALRKTRWKNAVTAERLQEEFKRLSIEMATKFDENEKMALKAVAEANELHIQKGNLEEMLQKANEELELLKDRTGIERQELSHQLDIKAKQIEQMSMELNEKTMRLEHTQKQEKEKQEAFSKEIQMLRTEIKKLTEQRSQFSDQAKENGKQSDETKKVKTSSDKTEMLIQRWNKERDELEKKIASAKKEAEKAQKQLISTRSLKDKKEKMITNLKSEMENIQVEYNDLKHSLIREEMEKEKLRKQVSQLKNDLQKKEEEASSLEKELKNNGGQAAVTPRSSNSTSAPQGSKSITMLQKKLRLLKDQINLKEAASKTSANSAPEKERNLSNMIEELESSMEQLKICHCF >EOY23431 pep chromosome:Theobroma_cacao_20110822:3:27388076:27391981:-1 gene:TCM_015328 transcript:EOY23431 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT transposon superfamily isoform 2 MCVGNMLRNLMEINKVRDDVTDRVRAILSSKEEIKETSSVKKQKIAEARSPGNISTCSKIIPLEASSPVAKVFPATSPIAPPSLNSQENVERSIALFFFENKLDFSVARSSSYQAMIDAVGKFGPGFTGPSVETLKTMWLERIKSEVCLQSKDTEKEWATTGCTIIADTWTDNKSRALINFLVSSPSRTFFHKSVDASSYFKNTKCLADLFDSVIQDFGPENVVQIIMDSSFNYTGISNHILQNYGTIFVSPCASQCLNLILEEFSKVDWVNRCILQAQTLSKFLYNNASMLDLMKKFTGEQELIRTGITKSVSSFLSLQSMLKQRSRLKHMFNSPEYSTNSSYANKPQSISCIAIVEDNDFWRAVDECVAISEPFLKVLREVSGGKPAVGSIYELMTRAKESIRTYYIMDEGKCKTFLDIVDRKWRDQLHSPLHSAGAFLNPSIQYNQEIKFLGSIKEDFFKVLEKLLPTPELRRDITNQIFTFTRAKGMFACNLAMEARDTVSPGLWWEQFGDSAPVLQRVAIRILSQVCSTFTFERHWSTFQQIHSEKRNKIDKEILNDLVYINYNLRLARQMRTKSVEADPIQFDDIDMTSEWVEESENPSPTQWLDRFGSALDGGDLNTRQFNAAIFGNDHIFGL >EOY23432 pep chromosome:Theobroma_cacao_20110822:3:27388241:27391766:-1 gene:TCM_015328 transcript:EOY23432 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT transposon superfamily isoform 2 MVREKDVCWEYAEKLDGNKVRCKFCLRVLNGGISRLKHHLSRLPSKGVNPCSKVRDDVTDRVRAILSSKEEIKETSSVKKQKIAEARSPGNISTCSKIIPLEASSPVAKVFPATSPIAPPSLNSQENVERSIALFFFENKLDFSVARSSSYQAMIDAVGKFGPGFTGPSVETLKTMWLERIKSEVCLQSKDTEKEWATTGCTIIADTWTDNKSRALINFLVSSPSRTFFHKSVDASSYFKNTKCLADLFDSVIQDFGPENVVQIIMDSSFNYTGISNHILQNYGTIFVSPCASQCLNLILEEFSKVDWVNRCILQAQTLSKFLYNNASMLDLMKKFTGEQELIRTGITKSVSSFLSLQSMLKQRSRLKHMFNSPEYSTNSSYANKPQSISCIAIVEDNDFWRAVDECVAISEPFLKVLREVSGGKPAVGSIYELMTRAKESIRTYYIMDEGKCKTFLDIVDRKWRDQLHSPLHSAGAFLNPSIQYNQEIKFLGSIKEDFFKVLEKLLPTPELRRDITNQIFTFTRAKGMFACNLAMEARDTVSPGLWWEQFGDSAPVLQRVAIRILSQVCSTFTFERHWSTFQQIHSEKRNKIDKEILNDLVYINYNLRLARQMRTKSVEADPIQFDDIDMTSEWVEESENPSPTQWLDRFGSALDGGDLNTRQFNAAIFGNDHIFGL >EOY23434 pep chromosome:Theobroma_cacao_20110822:3:27388561:27390889:-1 gene:TCM_015328 transcript:EOY23434 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT transposon superfamily isoform 2 MFMAVVREKDVCWEYAEKLDGNKVRCKFCLRVLNGGISRLKHHLSRLPSKGVNPCSKVRDDVTDRVRAILSSKEEIKETSSVKKQKIAEARSPGNISTCSKIIPLEASSPVAKVFPATSPIAPPSLNSQENVERSIALFFFENKLDFSVARSSSYQAMIDAVGKFGPGFTGPSVETLKTMWLERIKSEVCLQSKDTEKEWATTGCTIIADTWTDNKSRALINFLVSSPSRTFFHKSVDASSYFKNTKCLADLFDSVIQDFGPENVVQIIMDSSFNYTGISNHILQNYGTIFVSPCASQCLNLILEEFSKVDWVNRCILQAQTLSKFLYNNASMLDLMKKFTGEQELIRTGITKSVSSFLSLQSMLKQRSRLKHMFNSPEYSTNSSYANKPQSISCIAIVEDNDFWRAVDECVAISEPFLKVLREVSGGKPAVGSIYELMTRAKESIRTYYIMDEGKCKTFLDIVDRKWRDQLHSPLHSAGAFLNPSIQYNQEIKFLGSIKEDFFKVLEKLLPTPELRRDITNQIFTFTRAKGMFACNLAMEARDTVSPGLWWEQFGDSAPVLQRVAIRILSQVCSTFTFERHWSTFQQIHSEKRNKIDKEILNDLVYINYNLRLARQMRTKSVEADPIQFDDIDMTSEWVEESENPSPTQWLDRFGSALDGGDLNTRQFNAAIFGNDHIFGL >EOY23433 pep chromosome:Theobroma_cacao_20110822:3:27388241:27391551:-1 gene:TCM_015328 transcript:EOY23433 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT transposon superfamily isoform 2 MVREKDVCWEYAEKLDGNKVRCKFCLRVLNGGISRLKHHLSRLPSKGVNPCSKVRDDVTDRVRAILSSKEEIKETSSVKKQKIAEARSPGNISTCSKIIPLEASSPVAKVFPATSPIAPPSLNSQENVERSIALFFFENKLDFSVARSSSYQAMIDAVGKFGPGFTGPSVETLKTMWLERIKSEVCLQSKDTEKEWATTGCTIIADTWTDNKSRALINFLVSSPSRTFFHKSVDASSYFKNTKCLADLFDSVIQDFGPENVVQIIMDSSFNYTGISNHILQNYGTIFVSPCASQCLNLILEEFSKVDWVNRCILQAQTLSKFLYNNASMLDLMKKFTGEQELIRTGITKSVSSFLSLQSMLKQRSRLKHMFNSPEYSTNSSYANKPQSISCIAIVEDNDFWRAVDECVAISEPFLKVLREVSGGKPAVGSIYELMTRAKESIRTYYIMDEGKCKTFLDIVDRKWRDQLHSPLHSAGAFLNPSIQYNQEIKFLGSIKEDFFKVLEKLLPTPELRRDITNQIFTFTRAKGMFACNLAMEARDTVSPGLWWEQFGDSAPVLQRVAIRILSQVCSTFTFERHWSTFQQIHSEKRNKIDKEILNDLVYINYNLRLARQMRTKSVEADPIQFDDIDMTSEWVEESENPSPTQWLDRFGSALDGGDLNTRQFNAAIFGNDHIFGL >EOY23973 pep chromosome:Theobroma_cacao_20110822:3:29154256:29157185:1 gene:TCM_015694 transcript:EOY23973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein MALETLSSNELLNFIIYDTISATPYSSHDSLVTDFSLENGFSISQEQASSLNCFPLVTPQCRSTGVEAADRRPNLAVQGRKKRRRKPRVCKNKEEAETQRMTHIAVERNRRKQMNEHLAVLRSLMPESYVQRGDQASIVGGAIEFVKELEHLLQTLEAQKLQVLQQVRPASEGTTNSKLLPPPPPPPFAQFFMFPQYTWSQIPSKFTSKTKASIADIEVTLIETHANLRILSRKGPRHLSKLVAGFQSLCLSILHLSVTTMYPLVLYSISAKVEEGCQLSSVDDIAGAVHHMLRIIEEDETALC >EOY20793 pep chromosome:Theobroma_cacao_20110822:3:1259514:1260223:1 gene:TCM_012137 transcript:EOY20793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALVKCSAVGRSRTKISCNVIQWGLFAESISAQVRVLESMAVLVTFGDKDDMEVLLEKYLELFKVWFEALSPYSTVCKARQVRVLVWLSEVLLYTCHNNMFRLKKERWVKFIAVDKSTYRRERFDQAFTLVEANSILETPARASIEAERIVFIVCVSIVGVEDKCLLEQYLKDKKEMKVGGKKT >EOY21957 pep chromosome:Theobroma_cacao_20110822:3:20060221:20061000:1 gene:TCM_014126 transcript:EOY21957 gene_biotype:protein_coding transcript_biotype:protein_coding description:RS21-C6, EAR, NTP pyrophosphohydrolase MazG catalytic core, putative MENSYECPNKSKDVSLKELRDRLAEFAEVRGWDKYHSPRNLLLALVGEVGELSEIFQWKGEVAKGLPNWTADDKEHLEEELSDVLLYLVRLADVCGLDLGQAALTKIIKNARKYPVVNLKTKSNYN >EOY25147 pep chromosome:Theobroma_cacao_20110822:3:33422155:33427200:-1 gene:TCM_016558 transcript:EOY25147 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDR1/HIN1-like 8 isoform 3 MRRTRRGSRQGHHHHQPLQADETWSVKPLHDTPQPPQQDPHHQEEESNLNGPSSPSISQQLQSPDFTSKPSRTHRNPNWKYRRGHVAKPRFVKKSELISSKSELSSEDNIASLSVVEEVNCDQEENKGSEAFVSKIDGDGEEIEGDESQNSADDVVSRFEELRLRVDEPELSEEQLRINDQLQEDELLAMKSIYGENVFILDKEMGLRSLKIHIHLEGSGETIITTKLNSSNDVGGSETSDDFSYSFKVQYLPPIVLTCLLPRSYPSHLPPYFTISVQWLHSARISDLCSQLDLIWKEQEGQEVIYRWADWLQNFSLSYLGCDKEIMLGPYGIENNGERRAVSGSVSPDVDVPFIRSYNDEICHENFLESLHECSICFSEYAGIDFIRLPCQHFFCCKCIKTYSDMHITEGTVNKLLCPEAKCGGTVPPGLLKRLLGDEGYERWESLMLQKTLESMSDVVYCPRCETPCIEDEDQHAQCSKCFFSFCTLCRERRHVGIECMTPELKLRILQERQNSSQLNQAQKHKEREMINEILSMKEIMRDAKQCPSCKMAISKTEGCNKMVCENCGQYFCYRCNSAINGYDHFRDGACELFPQEMIREWEERLNARQVLGQVHAQLFAERGQPCPNCRQLNAKVGNNNHIFCWACQMHYCYLCKNIVRRSSQHYGPKGCKQHTEG >EOY25149 pep chromosome:Theobroma_cacao_20110822:3:33422133:33427188:-1 gene:TCM_016558 transcript:EOY25149 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDR1/HIN1-like 8 isoform 3 MRRTRRGSRQGHHHHQPLQADETWSVKPLHDTPQPPQQDPHHQEEESNLNGPSSPSISQQLQSPDFTSKPSRTHRNPNWKYRRGHVAKPRFVKKSELISSKSELSSEDNIASLSVVEEVNCDQEENKGSEAFVSKIDGDGEEIEGDESQNSADDVVSRFEELRLRVDEPELSEEQLRINDQLQEDELLAMKSIYGENVFILDKEMGLRSLKIHIHLEGSGETIITTKLNSSNDVGGSETSDDFSYSFKVQYLPPIVLTCLLPRSYPSHLPPYFTISVQWLHSARISDLCSQLDLIWKEQEGQEVIYRWADWLQNFSLSYLGCDKEIMLGPYGIENNGERRAVSGSVSPDVDVPFIRSYNDEICHENFLESLHECSICFRIDFIRLPCQHFFCCKCIKTYSDMHITEGTVNKLLCPEAKCGGTVPPGLLKRLLGDEGYERWESLMLQKTLESMSDVVYCPRCETPCIEDEDQHAQCSKCFFSFCTLCRERRHVGIECMTPELKLRILQVSAGRAKCTIVTCAKIL >EOY25148 pep chromosome:Theobroma_cacao_20110822:3:33423005:33427188:-1 gene:TCM_016558 transcript:EOY25148 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDR1/HIN1-like 8 isoform 3 MRRTRRGSRQGHHHHQPLQADETWSVKPLHDTPQPPQQDPHHQEEESNLNGPSSPSISQQLQSPDFTSKPSRTHRNPNWKYRRGHVAKPRFVKKSELISSKSELSSEDNIASLSVVEEVNCDQEENKGSEAFVSKIDGDGEEIEGDESQNSADDVVSRFEELRLRVDEPELSEEQLRINDQLQEDELLAMKSIYGENVFILDKEMGLRSLKIHIHLEGSGETIITTKLNSSNDVGGSETSDDFSYSFKVQYLPPIVLTCLLPRSYPSHLPPYFTISVQWLHSARISDLCSQLDLIWKEQEGQEVIYRWADWLQNFSLSYLGCDKEIMLGPYGIENNGERRAVSGSVSPDVDVPFIRSYNDEICHENFLESLHECSICFSEYAGIDFIRLPCQHFFCCKCIKTYSDMHITEGTVNKLLCPEAKCGGTVPPGLLKRLLGDEGYERWESLMLQKTLESMSDVVYCPRCETPCIEDEDQHAQCSKCFFSFCTLCRERRHVGIECMTPELKLRILQERQNSSQLNQAQKHKEREMINEILSMKEIMRDAKQCPSCKMAISKTEGCNKMVCENCGQYFCYRCNSAINGYDHFST >EOY20739 pep chromosome:Theobroma_cacao_20110822:3:872564:890558:1 gene:TCM_012084 transcript:EOY20739 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein isoform 1 MRPNIVLFGDSITEQSFRSGGWGASLADTYSRKADVLVRGYGGYNSRWALFLLHHLFPLGSAKPPVAATIFFGANDAALLGRNSERQHVPVEEYKENLRKIVNHLKECSPTMLIVLITPPPIDEEGRMAYARATYGEKAMTLPERTNEMAGVYARGCVELAEELGLRSVNLWSKMQETDGWQKQYLRDGLHLTPEGNAVVFQELVRVFNEAWLSAAEMPYDFPHHSEIDGNNPEKAFQQKCL >EOY20740 pep chromosome:Theobroma_cacao_20110822:3:864315:890119:1 gene:TCM_012084 transcript:EOY20740 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein isoform 1 MRPNIVLFGDSITEQSFRSGGWGASLADTYSRKADVLVRGYGGYNSRWALFLLHHLFPLGSAKPPVAATIFFGANDAALLGRNSERQHVPVEEYKENLRKIVNHLKECSPTMLIVLITPPPIDEEGRMAYARATYGEKAMTLPERTNEMAGVYARGCVELAEELGLRSVNLWSKMQETDGWQKQYLRDGLHLTPEGNAVVFQELVRVFNEAWLSAAEMPYDFPHHSEIDGNNPEKAFQQKCL >EOY23923 pep chromosome:Theobroma_cacao_20110822:3:29018123:29018969:1 gene:TCM_015667 transcript:EOY23923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stellacyanin, putative isoform 2 VQGWRFRCLGYSHISKPAVFLYPPSQDSVIQVTEQSYNSCNLKDPILYLNNGNSLFNITKPGEFFFTSGEPGHCEKKQKLYISVLSGNGSAYAPSYGPSALPDTASSPSYPTVFGTIPQPPSSSPTLGFPLFITAVIASATWAIIDGMM >EOY23922 pep chromosome:Theobroma_cacao_20110822:3:29018009:29018923:1 gene:TCM_015667 transcript:EOY23922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stellacyanin, putative isoform 2 MANLGSPRYHFFSAFQVLLLFQAKVLCYQYKVGDLDAWGIPTSANLQVYAKWSKYHTFKIGDSLLFLYPPSQDSVIQVTEQSYNSCNLKDPILYLNNGNSLFNITKPGEFFFTSGEPGHCEKKQKLYISVLSGNGSAYAPSYGPSALPDTASSPSYPTVFGTIPQPPSSSPTLGFPLFITAVIASATWAIIDGMM >EOY23501 pep chromosome:Theobroma_cacao_20110822:3:27617949:27622880:1 gene:TCM_015371 transcript:EOY23501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MVPINFSLEMYLSFFCLLLMLIYPEHGSGLQDCPELSWGSNGTAIRFPFRLKGMHPESCGYPGFDLSCTEKNQTLLELPHSVRVFVERIDYQSQEIYTSHPDGCSKGTPKLEFVYFSLPVQVDDISKYPLSSCTKLYSGSYPDGMFLDILPLKWTEPMCGFCEQNGKHCRLKNDSTVAQIERFDAPIKAKGTKGTMRKLVTAGVVLGSRLVVIAIIAVYYLYSSNKMRKENDAKIEKFLEDHRSLKPSRYSYADIKRITNQFKEKLGEGAYGTVFKGTLSSEIHVAVKILNSSIGDGEEFINEIGTMGRIHRVNVVRLVGFCADGFRRALVYEFLPNESLEKLIFSSDGRQHSLGWEKLLDIAIGIAKGIEYLHQGCDQRILHFDIKPHNILLDEKFNPKISDFGLAKLCSKDQSIVSMTAARGTMGYIAPEVYSRNFGNVSYKSDVYSFGMLILEMVGGRKNVDVTVESASQVYFPEWAYSRLNQGDELIIRIEEDGHANIAKRLTIVGLWCIQWYPVGRPSMKNVVQMLEGEGDNLSMPPNPFGSTGPIRMNPSMPVRNVHQESAVISETE >EOY22607 pep chromosome:Theobroma_cacao_20110822:3:24182932:24183954:-1 gene:TCM_014733 transcript:EOY22607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFSIDGATRGCMELAGIGGILRACSGEAKIIFSKALGEADSNLVEMMAVKKALLIFSTSRWNKNRKLLIENNSSTAVKWTKHPNSASWRMRQLIL >EOY24998 pep chromosome:Theobroma_cacao_20110822:3:32922070:32924677:-1 gene:TCM_016450 transcript:EOY24998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory components of ABA receptor 3 MVTNDYITMNGNGFSKMEEEFIKRHHKHDVKENQCTSSLVKHIKAPIHLVWSLVRRFDQPQKYKPFVSRCVVQGDLQIGSVREVNVKSGLPATTSTERLEYLDDDEHILSMKIVGGDHRLKNYSSIVTVHPEVIDGRPGTLVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLADVSERLAVQDRTEPIERM >EOY23932 pep chromosome:Theobroma_cacao_20110822:3:29034105:29037900:-1 gene:TCM_015672 transcript:EOY23932 gene_biotype:protein_coding transcript_biotype:protein_coding description:No lysine kinase 10 isoform 2 MEAADDCDLAEKDPSGRYVRYDEILGKGAFKTVYKGFDEADGIEVAWNQVNIEDVLQTPEQLERLYSEVHLLKSLKHENIMKFYNSWVDDKNKTINMITELFTSGSLRHTPEFMAPELYDEEYNELVDIYSFGLCMLEMITCEYPYNECKNPAQIYKKVTSGVKPASLGKVNNPQVKQFIEKCLLPASMRLPAVELLKDPFLLAETPKEVACGPLQLPNLMPKLVNLIQSEPLPMDIDPNSKKLQVNSSTKSIKETSRISTLELQCFTENNEFMLKGEKNDDNTISLTLRIADQCGRARNIHFSFYLDSDTAISIAAEMVEQLDLSNEDVTDIAELIDSMIMKFVPCWKPSFGSISCLQDGSCCPSKATVKTVGKQEAFTDFAVVKCQDTQESVSSDMSAECDGMVASDGSNNKPMGSSGYSYGECHKGSSTYDFGLLDIGVYNHPRHKETGNEENLGESVLINDSTKNSETSLMDSCSFASQDMSLSSICSLSLADKDQVDELKLELDAIDTQYHQCFQELMRMREEAMENAKKRWITKKRVSVV >EOY23931 pep chromosome:Theobroma_cacao_20110822:3:29034021:29037900:-1 gene:TCM_015672 transcript:EOY23931 gene_biotype:protein_coding transcript_biotype:protein_coding description:No lysine kinase 10 isoform 2 MEAADDCDLAEKDPSGRYVRYDEILGKGAFKTVYKGFDEADGIEVAWNQVNIEDVLQTPEQLERLYSEVHLLKSLKHENIMKFYNSWVDDKNKTINMITELFTSGSLRQYRKKHKNVDMKAIKNWARQILRGLHYLHSHNPPIIHRDLKCDNIFVNGNNGEVKIGDLGLATVMQKPTARSVIGTPEFMAPELYDEEYNELVDIYSFGLCMLEMITCEYPYNECKNPAQIYKKVTSGVKPASLGKVNNPQVKQFIEKCLLPASMRLPAVELLKDPFLLAETPKEVACGPLQLPNLMPKLVNLIQSEPLPMDIDPNSKKLQVNSSTKSIKETSRISTLELQCFTENNEFMLKGEKNDDNTISLTLRIADQCGRARNIHFSFYLDSDTAISIAAEMVEQLDLSNEDVTDIAELIDSMIMKFVPCWKPSFGSISCLQDGSCCPSKATVKTVGKQEAFTDFAVVKCQDTQESVSSDMSAECDGMVASDGSNNKPMGSSGYSYGECHKGSSTYDFGLLDIGVYNHPRHKETGNEENLGESVLINDSTKNSETSLMDSCSFASQDMSLSSICSLSLADKDQVDELKLELDAIDTQYHQCFQELMRMREEAMENAKKRWITKKRVSVV >EOY23709 pep chromosome:Theobroma_cacao_20110822:3:28312908:28314520:-1 gene:TCM_015516 transcript:EOY23709 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 zinc finger protein RHA2a, putative MSKPPQSINNAQNNTKSNFYLNKPTATLPDIFLTAISLLFLFSSPKANHTFLPKFLPFPSNPRRFLKIPTMFHSRVPFASPQALSDWLKPRLPSDSLATWGVKPGTKNVHNLWLELSEGETSLVDSFPPLRTVNVVTVRIIGKDNLILIESRQELSDGSVRDRFRPLSEKMKPHETPEEAVTRAVREELGSVVDSGVVRIVPGSYQKKLEERNSVSYPGLPARYILHSVDAWVEGLPEEDFCTEEKEEYEDTDGTRGLEKAVSVRKHYWKWIF >EOY23144 pep chromosome:Theobroma_cacao_20110822:3:26376478:26378821:1 gene:TCM_015132 transcript:EOY23144 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein, putative MKSPHLSMLPLLFAALFSLSGPTSAQTHENFLHCLSLRSNDSSSISKVIYTQNNSSYTSVLESTALNLRKHGLQIRTRSGGHDFEGLSYVAEVPFVIVDLVNFRSIDVDVENEVVWVQSGVILGELSYGIAEKSRTLAFPAGTCHTVSTGGYFSGGGYGLLFRKYGLAVDNIIDAQFIDVNGRILDRKAMGEDLFWAIRGGGGGSFGIVLAWKLKLVHVLATVTVFSISKTLEQNAIKLLHRWQYISHDLPNEMYSSATLRRVNSSQDGKQTTQASFSSLFLGGIDGLVPLMQERFPELGLTTEDCIEMSWIESILYFGQLQNESLDILLDRSFKTPLISPSYKGKSDYVKEPIPEIALKGLWSRLSEAEVESVVVGFFAYGGMMDEIPETATPFPHRAGNLYKILYNVGWQEEDNINSESYISWVRGLYSYMSSFVSKSPREAYINYRDLDIGTNNKGNTSYAQASIWGRKYFKNNFDRLMHVKTMIDPENFFRHEQSIPPLFSSRKKKAH >EOY23983 pep chromosome:Theobroma_cacao_20110822:3:29205127:29206428:1 gene:TCM_015703 transcript:EOY23983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFYVDEEEVWKCPKHPSRRRRSGICPVCLRDKLASLCPECAHARPCACSATTSSSSSSSSLSRFSAAAAGDMPGVGSVGRVSNLIEGEPAFRRSRSLAIPFLRSKPESLSEKNDLAGIKSKTPSFWSMFRASNKSKRHESEDHQREGEKERIVAEEERRRMMRKSRSVAVTSHSGIGDLKSSPSTKGKGWYFPSPMKVFRQTRVSKLVFQERSPLYRG >EOY23156 pep chromosome:Theobroma_cacao_20110822:3:26446019:26448916:1 gene:TCM_015146 transcript:EOY23156 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein 1 isoform 2 MFSLFYGLWKYLFSQTEFHVLILGIDKAGKTTLLEKLKSVYSNLEGLPPDRIVPTVGLNIGRIEVSNTKLVFWDLGGQPGLRSIWEKYYEEAHAVIFVIDAACPSRFEDSKSALEKVLRHEDLKGAPLLILANKQDLSEAVSAEELARYLDLKKLDERVYMFEAVSAFDGMGIKEGVEWLVEVMERSKRTETLRIRAGVTGPT >EOY23154 pep chromosome:Theobroma_cacao_20110822:3:26445953:26449004:1 gene:TCM_015146 transcript:EOY23154 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein 1 isoform 2 MLRNRGTKKELEENREKISLQNYKRQLLFFTRLNGRSSQNPAINSIKMLTHFYCQESGLQTEFHVLILGIDKAGKTTLLEKLKSVYSNLEGLPPDRIVPTVGLNIGRIEVSNTKLVFWDLGGQPGLRSIWEKYYEEAHAVIFVIDAACPSRFEDSKSALEKVLRHEDLKGAPLLILANKQDLSEAVSAEELARYLDLKKLDERVYMFEAVSAFDGMGIKEGVEWLVEVMERSKRTETLRIRAGVTGPT >EOY23155 pep chromosome:Theobroma_cacao_20110822:3:26446014:26449017:1 gene:TCM_015146 transcript:EOY23155 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein 1 isoform 2 MFSLFYGLWKYLFSQTEFHVLILGIDKAGKTTLLEKLKSVYSNLEGLPPDRIVPTVGLNIGRIEVSNTKLVFWDLGGQPGLRSIWEKYYEEAHAVIFVIDAACPSRFEDSKSALEKVLRHEDLKGAPLLILANKQDLSEAVSAEELARYLDLKKLDERVYMFEAVSAFDGMGIKEGVEWLVEVMERSKRTETLRIRAGVTGPT >EOY21574 pep chromosome:Theobroma_cacao_20110822:3:12871769:12874143:-1 gene:TCM_013418 transcript:EOY21574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNPLPCNNNPGGPLSSDSHNYGSLDDVGRHRKNQVVATTYNNLWPSHVYTVEMSLTANWDWLRLDSNHALVSFMLND >EOY24268 pep chromosome:Theobroma_cacao_20110822:3:30342209:30344038:-1 gene:TCM_015920 transcript:EOY24268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MATAPVKSQPLHNFNFPFLKWGTHGGGGSSTSSADHRRSPESDSDHDRLRPTRVGSRSTRIQRLSFLPPPKPIKQSHGEDEEQQQEEQPLKPHKNEAEEEEEEETVQRPWNLRPRKVVVETTAVVTTAMEKVSETAAPKSMRLRGLAENGGIVEKKEKRKFWIALSREEIEEDIFVMTGSRPARRPKKRPKNIQKQLDAVFPGLWLVGTTADAYRVADAPVKK >EOY24265 pep chromosome:Theobroma_cacao_20110822:3:30342310:30344038:-1 gene:TCM_015920 transcript:EOY24265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MATAPVKSQPLHNFNFPFLKWGTHGGGGSSTSSADHRRSPESDSDHDRLRPTRVGSRSTRIQRLSFLPPPKPIKQSHGEDEEQQQEEQPLKPHKNEAEEEEEEETVQRPWNLRPRKVVVETTAVVTTAMEKVSETAAPKSMRLRGLAENGGIVEKKEKRKFWIALSREEIEEDIFVMTGSRPARRPKKRPKNIQKQLDAVFPGLWLVGTTADAYRVADAPVKK >EOY24266 pep chromosome:Theobroma_cacao_20110822:3:30342310:30344038:-1 gene:TCM_015920 transcript:EOY24266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MATAPVKSQPLHNFNFPFLKWGTHGGGGSSTSSADHRRSPESDSDHDRLRPTRVGSRSTRIQRLSFLPPPKPIKQSHGEDEEQQQEEQPLKPHKNEAEEEEEEETVQRPWNLRPRKVVVETTAVVTTAMEKVSETAAPKSMRLRGLAENGGIVEKKEKRKFWIALSREEIEEDIFVMTGSRPARRPKKRPKNIQKQLDAVFPGLWLVGTTADAYRVADAPVKK >EOY24264 pep chromosome:Theobroma_cacao_20110822:3:30339565:30343866:-1 gene:TCM_015920 transcript:EOY24264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MATAPVKSQPLHNFNFPFLKWGTHGGGGSSTSSADHRRSPESDSDHDRLRPTRVGSRSTRIQRLSFLPPPKPIKQSHGEDEEQQQEEQPLKPHKNEAEEEEEEETVQRPWNLRPRKVVVETTAVVTTAMEKVSETAAPKSMRLRGLAENGGIVEKKEKRKFWIALSREEIEEDIFVMTGSRPARRPKKRPKNIQKQLDAVFPGLWLVGTTADAYRVADAPVKK >EOY24267 pep chromosome:Theobroma_cacao_20110822:3:30343049:30343826:-1 gene:TCM_015920 transcript:EOY24267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MATAPVKSQPLHNFNFPFLKWGTHGGGGSSTSSADHRRSPESDSDHDRLRPTRVGSRSTRIQRLSFLPPPKPIKQSHGEDEEQQQEEQPLKPHKNEAEEEEEEETVQRPWNLRPRKVVVETTAVVTTAMEKVSETAAPKSMRLRGLAENGGIVEKKEKRKFWIALSREEIEEDIFVMTGSRPARRPKKRPKNIQKQLDAVFPGLWLVGTTADAYRVADAPVKVCRLI >EOY25054 pep chromosome:Theobroma_cacao_20110822:3:33065221:33067373:-1 gene:TCM_016484 transcript:EOY25054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich protein family MTPSIIILAKILEHPGSVGISIIASLAISPSSTRHCHETLFSLSGIEDANPKISVNAVQLPSFSIGNNSVSFTFSQYVTVKNPNRAAFSHYDSTIQLLYSGSQVGFMFIPAGKIEAGRTQYMAVTFAVQSFPFAAPNEASAATMTIPTPTTTTGPIGLVGGFGGSNNGYRVGPTMEIESRMEMAGRVRVLHFFTHHVDAKSGCRVTISVSDGSVLGFHC >EOY23375 pep chromosome:Theobroma_cacao_20110822:3:27173129:27175183:1 gene:TCM_015290 transcript:EOY23375 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIRB2-interacting protein 2 MAEHEETLVEKVAEEIQGDASSSSSDSDDDKPFHADALKSKVYRLFGREKPIHHVLGAGKPADVFLWRDKKISAGVLGGATALWILFEMLEYHLITLLCHTLILSLAILFLWSNASTFINKSPPNIPEVVLPEKCVLEVASALRIEVNRALDVVRDIASGNDLKKFLGVIVGLWALSLVGNCCNFLTLFYIVFVLLHTVPVFYEIYEDQVDSFSEKAIIEIKKQYAAFDEKVLSKIPKGPLKEKKKD >EOY20921 pep chromosome:Theobroma_cacao_20110822:3:1748110:1753209:1 gene:TCM_012245 transcript:EOY20921 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein MDARRVTRAVPRVRQVGFFTPNAPPEQPGPVRSQSGPPNSNSPPLSGSPASNSLSPVMIPPPRHLSDNLGARTGAVPVPGRANAGDHATVGSYNPADSVLGLESPPPTRIGDGESSEETESSLGWYRRNNPAKFASSFPGGGFDLSPVKQPEIVSGVEAKNQPPEKNEVQKGQASSSKPSKAKTTKAERRALQESQRAAKAASKAEANKSGGGAASSKTVKQPSQKKDAPPVASSVATSERIGVDRPAEKERKKDVPPPRMQFDDESRVGKAKRRSVLNQPEARNSIELFRHLPPHKNGTQLPYLESKFFELHDKHPAVYKVGLQYLTGDLSGGNARCIAMLQAFQEAIRDYSTPPEKTLIRDLTSKIGGFVSFLIECRPLSMSMGNAIRFLKSRIAKLPPILSESEAKAALCSDIDRFINEKIVLADKVIVRHAATKIRDGDVLLTYGSSCVVEMILLYAHELGKQFRVVVVDSRPMLEGEKLLRRLVAKGLSCTYTHLNAISYIMHEVTRVLLGASSILSNGTVYSRVGTASVAMVAYAYRVPVLICCEAYKFHERVQLDSICFNELGDPDAIAKVPGRVDVNYLDNLSDKQKENLKLLNLKYDATPSDYISMIVTDYGMIPPTSVPVIVREYRKEYLLI >EOY21376 pep chromosome:Theobroma_cacao_20110822:3:7294025:7300601:-1 gene:TCM_012902 transcript:EOY21376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative isoform 2 MAIVTGDRYLEKLVKFVDEEAGALIEGTKVLKLNPAGLHYVQSRLEALQELERLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTTLKVVSALPPPARDPTPLSLLPFGRLKVLELRGCDLSTSAAKGLLELRHTLEKIICHNSTDALRHVFASRIAEIKGSPQWNRLSFVSCACNRLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCARLKHLDLGFNQLQTISSFSEVSCRIVKLVLRNNALTTLRGIETLKSLEGLDVSYNIISNFSELEFLASLPSLQSLWLEGNPLCGARWYRAQVFSYFSHPENLKLDDKEISTREYWKRKIIVASRQKRPSSFGFYSPAKVDAEGEGGINKKRIKVSRLACIEGERESTYICSDLDSVSCDNEIQSREENIISEDEAEIVDLMNRVEQLKKERSILWLREFKDWMDHASENFADDGGARLHLGKENYKKSGKSERQLSESSRYVSDSVQASGDESSMNFLESDNSFADTSTGVHAHKYSDHIVPSGITGGVSLPGLRTVDLKQEYQKSYLHDETSSGSMQAESSHHNFVTVQGSNRMVENASVSQLNTINDITESNSSSAYPGSPPHYQEDLLHRRHNLVEEILQLSADSYSMASSDSDTSCSEDDYCKVGLPVLGHLNRSVEGHSLSDLFEDNYHEKGNKVSDGSENGICFIDSCAEHTFSTSKTVIANQPLQLSKDLDMVSHDLDIPSFTNQEADWLEKRKSRRKTKRRVISLLEENNMVGRKQVPQESNGNDACGADIEDMQGKHFLNGIDQKDFDKNQMRKNAISTPLFDDAARYSDAKCSSQGKNDFIEDYFNKNVADLRVHETCMLYMRCNCILDQSVCKEREVALLLSSEEKLYVLLVGVAFDGSGWIMK >EOY21375 pep chromosome:Theobroma_cacao_20110822:3:7291138:7300464:-1 gene:TCM_012902 transcript:EOY21375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative isoform 2 MAIVTGDRYLEKLVKFVDEEAGALIEGTKVLKLNPAGLHYVQSRLEALQELERLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTTLKVVSALPPPARDPTPLSLLPFGRLKVLELRGCDLSTSAAKGLLELRHTLEKIICHNSTDALRHVFASRIAEIKGSPQWNRLSFVSCACNRLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCARLKHLDLGFNQLQTISSFSEVSCRIVKLVLRNNALTTLRGIETLKSLEGLDVSYNIISNFSELEFLASLPSLQSLWLEGNPLCGARWYRAQVFSYFSHPENLKLDDKEISTREYWKRKIIVASRQKRPSSFGFYSPAKVDAEGEGGINKKRIKVSRLACIEGERESTYICSDLDSVSCDNEIQSREENIISEDEAEIVDLMNRVEQLKKERSILWLREFKDWMDHASENFADDGGARLHLGKENYKKSGKSERQLSESSRYVSDSVQASGDESSMNFLESDNSFADTSTGVHAHKYSDHIVPSGITGGVSLPGLRTVDLKQEYQKSYLHDETSSGSMQAESSHHNFVTVQGSNRMVENASVSQLNTINDITESNSSSAYPGSPPHYQEDLLHRRHNLVEEILQLSADSYSMASSDSDTSCSEDDYCKVGLPVLGHLNRSVEGHSLSDLFEDNYHEKGNKVSDGSENGICFIDSCAEHTFSTSKTVIANQPLQLSKDLDMVSHDLDIPSFTNQEADWLEKRKSRRKTKRRVISLLEENNMVGRKQVPQESNGNDACGADIEDMQGKHFLNGIDQKDFDKNQMRKNAISTPLFDDAARYSDAKCSSQGKNDFIEDYFNKNVADLRVHETCMLYMRCNCILDQSVCKEREVALLLSSEEKLYVLLVGVAFDGSADTILDLLGCHKVEDIREVLVGLGLQIVRAYIEGSVAYLFITRSIEKSTQLLQTLKVFDSCAPNNKFSLRSLEKVQAQLFENEICGGSKISIFQYSMVLFQQGGNEEESWNSRSLFVIGGHVLVCVEDIIQFSSLPNDASSPPYFSLDSCCNITDISEMH >EOY21374 pep chromosome:Theobroma_cacao_20110822:3:7290150:7300176:-1 gene:TCM_012902 transcript:EOY21374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative isoform 2 MAIVTGDRYLEKLVKFVDEEAGALIEGTKVLKLNPAGLHYVQSRLEALQELERLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTTLKVVSALPPPARDPTPLSLLPFGRLKVLELRGCDLSTSAAKGLLELRHTLEKIICHNSTDALRHVFASRIAEIKGSPQWNRLSFVSCACNRLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCARLKHLDLGFNQLQTISSFSEVSCRIVKLVLRNNALTTLRGIETLKSLEGLDVSYNIISNFSELEFLASLPSLQSLWLEGNPLCGARWYRAQVFSYFSHPENLKLDDKEISTREYWKRKIIVASRQKRPSSFGFYSPAKVDAEGEGGINKKRIKVSRLACIEGERESTYICSDLDSVSCDNEIQSREENIISEDEAEIVDLMNRVEQLKKERSILWLREFKDWMDHASENFADDGGARLHLGKENYKKSGKSERQLSESSRYVSDSVQASGDESSMNFLESDNSFADTSTGVHAHKYSDHIVPSGITGGVSLPGLRTVDLKQEYQKSYLHDETSSGSMQAESSHHNFVTVQGSNRMVENASVSQLNTINDITESNSSSAYPGSPPHYQEDLLHRRHNLVEEILQLSADSYSMASSDSDTSCSEDDYCKVGLPVLGHLNRSVEGHSLSDLFEDNYHEKGNKVSDGSENGICFIDSCAEHTFSTSKTVIANQPLQLSKDLDMVSHDLDIPSFTNQEADWLEKRKSRRKTKRRVISLLEENNMVGRKQVPQESNGNDACGADIEDMQGKHFLNGIDQKDFDKNQMRKNAISTPLFDDAARYSDAKCSSQGKNDFIEDYFNKNVADLRVHETCMLYMRCNCILDQSVCKEREVALLLSSEEKLYVLLVGVAFDGSDTILDLLGCHKVEDIREVLVGLGLQIVRAYIEGSVAYLFITRSIEKSTQLLQTLKVFDSCAPNNKFSLRSLEKVQAQLFENEICGGSKISIFQYSMVLFQQGGNEEESWNSRSLFVIGGHVLVCVEDIIQFSSLPNDASSPPYFSLDSCCNITDISEMIQEKK >EOY21373 pep chromosome:Theobroma_cacao_20110822:3:7289181:7300696:-1 gene:TCM_012902 transcript:EOY21373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative isoform 2 MAIVTGDRYLEKLVKFVDEEAGALIEGTKVLKLNPAGLHYVQSRLEALQELERLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTTLKVVSALPPPARDPTPLSLLPFGRLKVLELRGCDLSTSAAKGLLELRHTLEKIICHNSTDALRHVFASRIAEIKGSPQWNRLSFVSCACNRLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCARLKHLDLGFNQLQTISSFSEVSCRIVKLVLRNNALTTLRGIETLKSLEGLDVSYNIISNFSELEFLASLPSLQSLWLEGNPLCGARWYRAQVFSYFSHPENLKLDDKEISTREYWKRKIIVASRQKRPSSFGFYSPAKVDAEGEGGINKKRIKVSRLACIEGERESTYICSDLDSVSCDNEIQSREENIISEDEAEIVDLMNRVEQLKKERSILWLREFKDWMDHASENFADDGGARLHLGKENYKKSGKSERQLSESSRYVSDSVQASGDESSMNFLESDNSFADTSTGVHAHKYSDHIVPSGITGGVSLPGLRTVDLKQEYQKSYLHDETSSGSMQAESSHHNFVTVQGSNRMVENASVSQLNTINDITESNSSSAYPGSPPHYQEDLLHRRHNLVEEILQLSADSYSMASSDSDTSCSEDDYCKVGLPVLGHLNRSVEGHSLSDLFEDNYHEKGNKVSDGSENGICFIDSCAEHTFSTSKTVIANQPLQLSKDLDMVSHDLDIPSFTNQEADWLEKRKSRRKTKRRVISLLEENNMVGRKQVPQESNGNDACGADIEDMQGKHFLNGIDQKDFDKNQMRKNAISTPLFDDAARYSDAKCSSQGKNDFIEDYFNKNVADLRVHETCMLYMRCNCILDQSVCKEREVALLLSSEEKLYVLLVGVAFDGSDTILDLLGCHKVEDIREVLVGLGLQIVRAYIEGSVAYLFITRSIEKSTQLLQTLKVFDSCAPNNKFSLRSLEKVQAQLFENEICGGSKISIFQYSMVLFQQGGNEEESWNSRSLFVIGGHVLVCVEDIIQFSSLPNDASSPPYFSLDSCCNITDISEMVIEGRESHCVTLALECTTSGACSSTKAQKEVAASKKEKNVAGARRWKLKWFSEESLFQFVALMKAIHLGMALSPLLVRCVS >EOY21431 pep chromosome:Theobroma_cacao_20110822:3:7893166:7894271:1 gene:TCM_012963 transcript:EOY21431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSPSSMTCVLKVDTHSRDCHKPLIDILKGLQELGNLSSLLLVLYSSDVSYSIDAQQGLVYISGKVEPHKILRMLGNAGKHVRLSHLQYGNQTPGRLENCCNNLSPRYSPYRNINYGYFRCERIDGYTDDYECGYFPNGRSDGNRFDSDYQHYQHGNPLSRNHHLNHRCHHYYEPMEQHAPLPIFDTSEYDLNAGKVKGSCCHIM >EOY20988 pep chromosome:Theobroma_cacao_20110822:3:2137095:2139475:1 gene:TCM_012305 transcript:EOY20988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRMVDETNLAKLTYLDLVVKETLGLHPVAPLLIPHQSIEDITIDGYHIPKKSRILVNIWQWDEIPICGQTTSKNSF >EOY22160 pep chromosome:Theobroma_cacao_20110822:3:21960097:21963771:-1 gene:TCM_014374 transcript:EOY22160 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBF binding protein 4, putative MQDIHTIGGGRIFNGGGGGGGGGGDRRLRPHHHPNHQSLKCPRCDSLNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKAKRSKTKPSSETTTAAAAAAAAVPPQPQQQHRDERKANSLSSSESSSLTAANSNVAVTNNNNNNDNDNNNNNSSAGGTAEAVSAVTSHSNLINVSESKFYGNPNSLGFEPGLLEQGSDCGIFSEIGSFTSLITSSNNETLSFGFSTVLNGQGLEQGQWQQQQKMMNIGGEEISGGLLDQTVQVELSNLHSRSEGEGSGGFGPLDWQGSGDQGLFDLPNAVDQTYWSQSQWTDQDHPNLYLPQNSIALWLENVPRHHETRKTVTIIANGGVVDFDEEKRGEGKGWGNTMMWRLRWVVVVVVTGKLGMGGSRACAEVVSPVSPPYGHTSHVSVWVLESDVILTISQGSLILSRDFLGSEFAVPHWHIMFAHIKCGFRTLDSRVRCGGWGGGGNLGEGFDVVLLGILIFAMLGHLGVEHWCGPFLAETMIEAFMGLDLKFWVQV >EOY20662 pep chromosome:Theobroma_cacao_20110822:3:483825:490283:1 gene:TCM_012022 transcript:EOY20662 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 2 isoform 1 MRRELIGAMERERPTLRVTNVPQTAIAKDLLEFLEYKLGTDTVFAIEISTDRNNWKSRGFGRVQFATLEAKSKAHLLSLRNDLVFKSHSLKLSETYDDIIPRPIRADHRLDGGVLHVGFMVQDDYLRVLERWEDVRGWLMPERRRLEFWLWNNGECYKLEVLFDDVLETVGCCFNGSSCNALLLRVKYAPRIYQKVSGPNIASKLRPDRYHICKENFDFLWVRTTDFSRTKAVGQSTAFYWEINAELLTLDLFSCFSCYREDMKGLTLKGGGEFSSAPEIVPLVKGPSDSKLAYEILFQLNSLVHTQKISIASVDTDLIDILRVLAVETAVMILQKFHKLLSTCYNPVSFVKENLPVSERNFQSRPLSSFKRLIDHNVMSCHRALVTPSKIYCLGPELETSNYVVKNFAEYASDFMRVSFVEEDWGKLSANAISTSVQLGIFSKPFRTKIYHRILSVLQHGIVIGDKRFEFLAFSASQLRSNSVWMFASNDKVTAEDVREWMGCFKKIRSVSKCAARMGQLFSSSLPTLVVPVQDVKIIPDIEVTSDGINYCFSDGIGKISLPFAREVAQKCGLNDTPSAFQIRYGGYKGVVAVDRNSFRKMSLRGSMHKFESKIRMLNVTKWSESMPCFLNREIVTLLSTLGIKDEAFETLQQEQLHLLGQMLTNREAALDVLQSLCGADSQNILVKMLLQGYEPNVEPYLSMMLLANHVSLLSDLKCRCRIYVPKGQVLVGCLDETATLNYGQVYVRLSIKKAELEHADQNFFHKVDEKTAIVIGKVVVTKNPCLHPGDVRVLEAVYEAELEDKGLVDCLVFPQKGERPHPNECSGGDLDGDQFFISWDKDLIPCQTDAPMDYTGSRPRIMDHEVTLEEIQKFFVDYMINDTLGAISTAHLVHADREPDKARSEKCLELATLHSMAVDFAKTGAPAEMPRSLKPREFPDFMQRVDKPMYASLGVLGKLYRATINSTVQERSKFVWSKKMAEALYDHDLEVNGFEAFLSTAQTHKDMYEEKMSFLMNYYEVESEDEILTGNMRNKATFLQRDNRRYGDMKDRILLSMKNLQREARERFENSCKVGEHQRLASAWYHVTYHPNYCQESMKSCLSFPWIVGDILLKIKSVNSREIQREQPVFSHVYHKSPRRPATRDESM >EOY20663 pep chromosome:Theobroma_cacao_20110822:3:483764:489559:1 gene:TCM_012022 transcript:EOY20663 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 2 isoform 1 MRRELIGAMERERPTLRVTNVPQTAIAKDLLEFLEYKLGTDTVFAIEISTDRNNWKSRGFGRVQFATLEAKSKAHLLSLRNDLVFKSHSLKLSETYDDIIPRPIRADHRLDGGVLHVGFMVQDDYLRVLERWEDVRGWLMPERRRLEFWLWNNGECYKLEVLFDDVLETVGCCFNGSSCNALLLRVKYAPRIYQKVSGPNIASKLRPDRYHICKENFDFLWVRTTDFSRTKAVGQSTAFYWEINAELLTLDLFSCFSCYREDMKGLTLKGGGEFSSAPEIVPLVKGPSDSKLAYEILFQLNSLVHTQKISIASVDTDLIDILRVLAVETAVMILQKFHKLLSTCYNPVSFVKENLPVSERNFQSRPLSSFKRLIDHNVMSCHRALVTPSKIYCLGPELETSNYVVKNFAEYASDFMRVSFVEEDWGKLSANAISTSVQLGIFSKPFRTKIYHRILSVLQHGIVIGDKRFEFLAFSASQLRSNSVWMFASNDKVTAEDVREWMGCFKKIRSVSKCAARMGQLFSSSLPTLVVPVQDVKIIPDIEVTSDGINYCFSDGIGKISLPFAREVAQKCGLNDTPSAFQIRYGGYKGVVAVDRNSFRKMSLRGSMHKFESKIRMLNVTKWSESMPCFLNREIVTLLSTLGIKDEAFETLQQEQLHLLGQMLTNREAALDVLQSLCGADSQNILVKMLLQGYEPNVEPYLSMMLLANHVSLLSDLKCRCRIYVPKGQVLVGCLDETATLNYGQVYVRLSIKKAELEHADQNFFHKVDEKTAIVIGKVVVTKNPCLHPGDVRVLEAVYEAELEDKGLVDCLVFPQKGERPHPNECSGGDLDGDQFFISWDKDLIPCQTDAPMDYTGSRPRIMDHEVTLEEIQKFFVDYMINDTLGAISTAHLVHADREPDKARSEKCLELATLHSMAVDFAKTGAPAEMPRSLKPREFPDFMQRVDKPMYASLGVLGKLYRATINSTVQERSKFVWSKKMAEALYDHDLEVNGFEAFLSTAQTHKDMYEEKMSFLMNYYEVESEDEILTGNMRNKATFLQRDNRRYGDMKDRILLSMKNLQREARERFENSCKVGEHQRLASAWYHVTYHPNYCQESMKSCLSFPWIVGDILLKIKSVNSREIQREQPVFSHVYHKSPRRPATRDESM >EOY24530 pep chromosome:Theobroma_cacao_20110822:3:31352376:31356464:1 gene:TCM_016110 transcript:EOY24530 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi family protein MTFYDDDKPDKGGEVVPELKLRIGGDDDDGVNSKMVNLRQRLLEECEAEERNMLLSEPPLPSRWRRSIWYWMKLGILIAFLGLLAAVFLKWVGPFFMDKELMPIINWEMATFSTPMLAVLVFASVALFPTVLLPSTPSMWVAGMTFGYGFGFLLIISAAAVGVSLPYFIGSLFLHRIQGWLEKYPKKAAILRAAGEGNWFHQFKAVTLIRISPFPYIIYNYCAVATNVKYGPYILGSLVGMVPEIFVAIYTGILIQTLADASHDRHALSAPQILLNVGGFLVTVVTTIVFTVYAKRQLKIWQKEEELLLQ >EOY23828 pep chromosome:Theobroma_cacao_20110822:3:28708331:28713572:-1 gene:TCM_015601 transcript:EOY23828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein, putative isoform 1 MKSSKLKVSSTWTPPPYGTLKLNINGAAKGKPGPAGIGGVLRDHQDVIKGTFSHNIGIKDSNFAEFQAKPFTRALNFSLPLHGPPTLTWKLKAIPLTPFSGRETIAKSNGFFFSPTSILVHLNAMSQVHIVYMGEKKHQDPATTKMSHHKMLSTLLGSKEAAKNSMLYSYKHGFSGFAARLTESQAEEIAAFPGVVHVIPNRIHRLHTTRSWEFMGLKYHSSKNLLTQSNMGEGTIIGVIDSGVWPESESFNDRGMGPIPSRWKGTCQEGQLFNSSNCNKKLIGARWFIKGILDQIQTPINISNGEEFLSARDNSGHGTHTASTAAGNFVQNANYEGLAAGLARGGAPRAHLAVYKACWSFESGGCTDADILKAFDKAIQDGVDILSVSVGNSIPLYSYVDQRNSIAIGSFHATAKGITVACSAGNDGPTAMTIENTAPWIINVAATTVDRAFATAITLGNNLTLWGQSVDTGIHNHGFTGITFSDRIAANSSDDSAQDCQPGSLNATLAAGKIILCFAQSSIQDISSAAISVLEAGGVGLIFAQYRSDGLGSCHHIPCIKVDYEVGTQILSYIRKARSPMAKLSIPKTVIGKWIYPRVADFSARGPSSISPAVLKPDIAAPGVDILAAYIPVGKEKSSGYKFMSGTSMSCPHVAGIAALIKSVHKNWSPAAIRSALVTTASQTRTDGSNIAEEGSTRKAADPFDIGGGLVNPNKAVNPGLIYDAGIEDYVQFLCGTGYSSKAVTGLTQTQVNCTKSRLNVLNLNLPSITIPNLKRKVTVTRAVTNVGPVDSVYKAIMQAPQGIKLKVEPQILSFNKTTQILPFKVTFFSTRKVSGDYRFGSLSWTDGKHIVRSPISVRAILFESYVDT >EOY23829 pep chromosome:Theobroma_cacao_20110822:3:28708415:28711978:-1 gene:TCM_015601 transcript:EOY23829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein, putative isoform 1 MMDTKLNLLIVVALVSIQSHLQFLPKCVEAAKSNVHIVYMGEKKHQDPATTKMSHHKMLSTLLGSKEAAKNSMLYSYKHGFSGFAARLTESQAEEIAAFPGVVHVIPNRIHRLHTTRSWEFMGLKYHSSKNLLTQSNMGEGTIIGVIDSGVWPESESFNDRGMGPIPSRWKGTCQEGQLFNSSNCNKKLIGARWFIKGILDQIQTPINISNGEEFLSARDNSGHGTHTASTAAGNFVQNANYEGLAAGLARGGAPRAHLAVYKACWSFESGGCTDADILKAFDKAIQDGVDILSVSVGNSIPLYSYVDQRNSIAIGSFHATAKGITVACSAGNDGPTAMTIENTAPWIINVAATTVDRAFATAITLGNNLTLWGQSVDTGIHNHGFTGITFSDRIAANSSDDSAQDCQPGSLNATLAAGKIILCFAQSSIQDISSAAISVLEAGGVGLIFAQYRSDGLGSCHHIPCIKVDYEVGTQILSYIRKARSPMAKLSIPKTVIGKWIYPRVADFSARGPSSISPAVLKPDIAAPGVDILAAYIPVGKEKSSGYKFMSGTSMSCPHVAGIAALIKSVHKNWSPAAIRSALVTTASQTRTDGSNIAEEGSTRKAADPFDIGGGLVNPNKAVNPGLIYDAGIEDYVQFLCGTGYSSKAVTGLTQTQVNCTKSRLNVLNLNLPSITIPNLKRKVTVTRAVTNVGPVDSVYKAIMQAPQGIKLKVEPQILSFNKTTQILPFKVTFFSTRKVSGDYRFGSLSWTDGKHIVRSPISVRAILFESYVDT >EOY23930 pep chromosome:Theobroma_cacao_20110822:3:29032873:29033712:1 gene:TCM_015671 transcript:EOY23930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRLGPAGCPWSHWGGCGDGIGILKSPGQRLGRNTTIWIKKNLIIESKLKLKTSVLGLLVRLRLKWEQQIIVCVIILSILIACFFGFITTWNQISCPAFANQAESMCSTLWKLMGARFHKKQIQ >EOY23486 pep chromosome:Theobroma_cacao_20110822:3:27585400:27590311:-1 gene:TCM_015365 transcript:EOY23486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase family protein isoform 1 MTASIVTNHKAIPRHAIHTADVSELGLCTLGGKFAYLPCRLNVRQSAQMVQLLAKFRNTLARKTTAFAIPNENNEVERSGSHACSDDQVLMPLENYNSSGHLEGEAVDSLSKSEAGFFQRVEHLGNQARVLDKLRAVYLHVLASEQWNASRLKLSHKNYMESATNLIHYLALKSLDTEALKDDLALISLLNLEMVNSSVLASLTTGIQLLENLQLNSVRANGNVSAEICMQEKLDQQNKGNFMINAMRKKAFLNRELLLGPLQDSRLTHIMTTVGEEALESETLITNLIKTGTSIIRINCAHGNPQLWSEIIRRVKQSSQMLESPCRILMDLAGPKLRTDNLKPGPCVVKISPKKNASGNVIFPAQVWLSHKGAGPPPPHLSPDAVLFIDDQEFLSEVKVGDTSRFFDARGKKRMLKISRVFHIFSGTGFMAECSRTAYVSSGTELLIKRKKGRFLVGQVVDVPARESFIRLRVGDLLIISRDGKSDQDNSYGHTSRAYRIACSSGYLFDAVKPGERIAFDDGKIWGVIKGTSSSEIVVSITHAGPRGTKLGSQKSINIPDSNIRYEGLTSKDLVDLEFVASHADMVGVSFVRDTRDVIVLRQELEKRKLQNLGIVLKIETKSGFEKLPLLLLEAMKSSNPLGVMIARGDLAVECGWERLADIQEEILSVSGAAHIPVIWATQVLESLVKSGIPTRAEITDVANGRRASCIMLNKGRHIVQAVSTLDSILRANSKEMKAERKPLVLSSHLF >EOY23487 pep chromosome:Theobroma_cacao_20110822:3:27585843:27590315:-1 gene:TCM_015365 transcript:EOY23487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase family protein isoform 1 MTASIVTNHKAIPRHAIHTADVSELGLCTLGGKFAYLPCRLNVRQSAQMVQLLAKFRNTLARKTTAFAIPNENNEVERSGSHACSDDQVLMPLENYNSSGHLEGEAVDSLSKSEAGFFQRVEHLGNQARVLDKLRAVYLHVLASEQWNASRLKLSHKNYMESATNLIHYLALKSLDTEALKDDLALISLLNLEMVNSSVLASLTTGIQLLENLQLNSVRANGNVSAEICMQEKLDQQNKGNFMINAMRKKAFLNRELLLGPLQDSRLTHIMTTVGEEALESETLITNLIKTGTSIIRINCAHGNPQLWSEIIRRVKQSSQMLESPCRILMDLAGPKLRTDNLKPGPCVVKISPKKNASGNVIFPAQVWLSHKGAGPPPPHLSPDAVLFIDDQEFLSEVKVGDTSRFFDARGKKRMLKISRVFHIFSGTGFMAECSRTAYVSSGTELLIKRKKGRFLVGQVVDVPARESFIRLRVGDLLIISRDGKSDQDNSYGHTSRAYRIACSSGYLFDAVKPGERIAFDDGKIWGVIKGTSSSEIVVSITHAGPRGTKLGSQKSINIPDSNIRYEGLTSKDLVDLEFVASHADMVGVSFVRDTRDVIVLRQELEKRKLQNLGIVLKIETKSGFEKLPLLLLEAMKSSNPLGVMIARGDLAVECGWERLADIQEEILSVSGAAHIPVIWATQVLESLVKSGIPTRAEITDVANGRRASCIMLNKGRHIVQAVSTLDSILRANSKEMKAERKPLVLSSHLF >EOY24241 pep chromosome:Theobroma_cacao_20110822:3:30235735:30243830:1 gene:TCM_015905 transcript:EOY24241 gene_biotype:protein_coding transcript_biotype:protein_coding description:H(+)-ATPase 11 isoform 1 MDMDKTAAAFEAISKEIVDLENIPVEEVFEKLKCTEGGLSSDEVQKRLDLFGYNKLEEKKENKILKFLGFMWNPLSWVMEAAALMAISLAHGGGKDIDYHDFVGILALLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWSEEDASVLVPGDIISIKLGDIIPADARLLQGDPLKIDQSALTGESLPVTKHPGHGIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVETTTHVGHFQQVLTAIGNFCICSIAIGMVIELIVIYGIHHRAYRTGIDNLLVILIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFVNNVDKDMVVLMAARASRLENQDAIDAAIVAMLGDPKEARAGITEVHFLPFNPTDKRTALTYIDEAGKLHRVSKGAPEQILNLARNKSDIEKKVHSIIDKFAERGLRSLAVARQEVPAGNKDSAGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGDNKNEALGALPIDELIENADGFAGVFPEHKFEIVKRLQAKKHIVGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTVIWKFNFPPFMVLIIAILNDGTIMTISKDRVKPSPVPDSWKLKEIFATGIVLGSYLGLMTIIFFWAAYETDFFPDKFRVRSFYKNNFNLTDKGVRDHLNAQLASAVYLQVSTISQALIFVTRSQGWSFMERPGLLLVTAFIIAQLVATAISAHASWGFAGIKAIGWGWSGVIWLYNIVTYALLDPIKFAVRYALSGRAWDLVLNKRTAFNTQKDFGKEAREAAWAADQRTRHGLQSSDPKIFSEKHTFRDISLMAEEARRRAEIARLRELHTLKGRVESFAKLRGLDIDVNPHYTV >EOY24242 pep chromosome:Theobroma_cacao_20110822:3:30235735:30243830:1 gene:TCM_015905 transcript:EOY24242 gene_biotype:protein_coding transcript_biotype:protein_coding description:H(+)-ATPase 11 isoform 1 MDMDKTAAAFEAISKEIVDLENIPVEEVFEKLKCTEGGLSSDEVQKRLDLFGYNKLEEKKENKILKFLGFMWNPLSWVMEAAALMAISLAHGGGKDIDYHDFVGILALLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWSEEDASVLVPGDIISIKLGDIIPADARLLQGDPLKIDQSALTGESLPVTKHPGHGIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVETTTHVGHFQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFVNNVDKDMVVLMAARASRLENQDAIDAAIVAMLGDPKEARAGITEVHFLPFNPTDKRTALTYIDEAGKLHRVSKGAPEQILNLARNKSDIEKKVHSIIDKFAERGLRSLAVARQEVPAGNKDSAGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGDNKNEALGALPIDELIENADGFAGVFPEHKFEIVKRLQAKKHIVGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTVIWKFNFPPFMVLIIAILNDGTIMTISKDRVKPSPVPDSWKLKEIFATGIVLGSYLGLMTIIFFWAAYETDFFPDKFRVRSFYKNNFNLTDKGVRDHLNAQLASAVYLQVSTISQALIFVTRSQGWSFMERPGLLLVTAFIIAQLVATAISAHASWGFAGIKAIGWGWSGVIWLYNIVTYALLDPIKFAVRYALSGRAWDLVLNKRTAFNTQKDFGKEAREAAWAADQRTRHGLQSSDPKIFSEKHTFRDISLMAEEARRRAEIARLRELHTLKGRVESFAKLRGLDIDVNPHYTV >EOY24022 pep chromosome:Theobroma_cacao_20110822:3:29365383:29370672:-1 gene:TCM_015732 transcript:EOY24022 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif DNA-binding family protein isoform 1 MESREVQGPAAGGLQVQQPQQMVMGPTSSSYPSNSGMISPNPTPAIPPSSTPRFPFNSLSSPPPPPHHQHHQHHQHQQQPKPLDSLNSVGFDGSPQLRYNTEPAMKKKRGRPRKYAPDGNIALLQLAPTTPIASNSANHGGGDSVGLGSSSGGGAASEPPAKRNRGRPPGSGKRQMDALGGVGGVGFTPHVITVKAGEDIAAKIMAFSQQGPRTVCILSANGAICNVTLRQPAMSGGTVTYEGRFEIISLSGSFLLSENNGSRSRSGGLSVSLAGSDGRVLGGGVAGMLQAASPVQVIVGSFIADGKKQSTDILKTGPSLLTPNMLNFGAPASTSSPPSQGGSSESSDENGGSALNRGSGFYSNSAPSIHNNNMQMYPLWTGHTPQ >EOY24023 pep chromosome:Theobroma_cacao_20110822:3:29365373:29370387:-1 gene:TCM_015732 transcript:EOY24023 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif DNA-binding family protein isoform 1 MESREVQGPAAGGLQVQQPQQMVMGPTSSSYPSNSGMISPNPTPAIPPSSTPRFPFNSLSSPPPPPHHQHHQHHQHQQQPKPLDSLNSVGFDGSPQLRYNTEPAMKKKRGRPRKYAPDGNIALLQLAPTTPIASNSANHGGGDSVGLGSSSGGGAASEPPAKRNRGRPPGSGKRQMDALGGVGGVGFTPHVITVKAGESFGLQDIAAKIMAFSQQGPRTVCILSANGAICNVTLRQPAMSGGTVTYEGRFEIISLSGSFLLSENNGSRSRSGGLSVSLAGSDGRVLGGGVAGMLQAASPVQVIVGSFIADGKKQSTDILKTGPSLLTPNMLNFGAPASTSSPPSQGGSSESSDENGGSALNRGSGFYSNSAPSIHNNNMQMYPLWTGHTPQ >EOY20698 pep chromosome:Theobroma_cacao_20110822:3:636560:638830:1 gene:TCM_012050 transcript:EOY20698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGTEAPMKRNQNLNDIMKRSSSSNSESLNHLDSPHSPLRFHSPLRSDQGDPDPPEPDNPSPPYASPAASPGKPPVDNAKALVVVVDKSTQLNANYSPFPSPPPRPTTPPQQSSHFTVNRAIKEEAPGVSTRTKAGGGGGARAVAQVLRRSKVRQTVEMAAFAFRLSEVVLCLISFSVMAADKTQGWSGDSFDRYKEYRSTCIPPLPPSASQFLHGSDASLSFDFCIVCCSHPG >EOY20697 pep chromosome:Theobroma_cacao_20110822:3:636731:638878:1 gene:TCM_012050 transcript:EOY20697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 LNHLDSPHSPLRFHSPLRSDQGDPDPPEPDNPSPPYASPAASPGKPPVDNAKALVVVVDKSTQLNANYSPFPSPPPRPTTPPQQSSHFTVNRAIKEEAPGVSTRTKAGGGGGARAVAQVLRRSKVRQTVEMAAFAFRLSEVVLCLISFSVMAADKTQGWSGDSFDRYKEYRYCLSVTVIGFVYAGFQAFDLAYYLITEAHVFHHYLRQPLSFSMDQPPGLMIGNLIGARTSSLRWLVPQLQWPS >EOY20696 pep chromosome:Theobroma_cacao_20110822:3:634631:638684:1 gene:TCM_012050 transcript:EOY20696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLCKCRVVPVSTTAKARSGPSLNSEKAMGTEAPMKRNQNLNDIMKRSSSSNSESLNHLDSPHSPLRFHSPLRSDQGDPDPPEPDNPSPPYASPAASPGKPPVDNAKALVVVVDKSTQLNANYSPFPSPPPRPTTPPQQSSHFTVNRAIKEEAPGVSTRTKAGGGGGARAVAQVLRRSKVRQTVEMAAFAFRLSEVVLCLISFSVMAADKTQGWSGDSFDRYKEYRYCLSVTVIGFVYAGFQAFDLAYYLITEAHVFHHYLRQPLSFSMDQMLAYLLISASSAAATRVDDWQSNWGKDEFTEMASASVAMAFLAFIAFAFSSLISGYELCTHESP >EOY20965 pep chromosome:Theobroma_cacao_20110822:3:1951302:1952682:-1 gene:TCM_012280 transcript:EOY20965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFYGSSTLQNACMCDTWMTKLLTVISIYVTGAGSQARFACSLNFREAGCWVGLAWFGLIFAFYGCVLCFSLLMASEVHACVRGVVKKKGNRLHWSVGPAMKVDWSSSQTGSLGFKCDVCTMEVPPPGPVGVVRWMWGPVP >EOY23079 pep chromosome:Theobroma_cacao_20110822:3:26083032:26084164:1 gene:TCM_015079 transcript:EOY23079 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit clpX MGCKLNSLEPPILCQVPARKPRFRTRLIAFSSYAPNKGEAVSTTKSIETQLPKTDVYSISFKTLGACKLGISRYPDFEYNAEGGAGTGTGTKINDRNELSVSFDLKTLYVPPLTSATTKFLGLPLPPFLKIDIVPQLFQGNINQESGKVDLEFLAKFCFSVGSIYKAPPLLVKTVLTSEESKGKIRSGRGERLDNKGNCKLVGVASVDPIDDFFMNSLLGLPTECLAKLNAVITLSNSS >EOY24381 pep chromosome:Theobroma_cacao_20110822:3:30722835:30723465:1 gene:TCM_015997 transcript:EOY24381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASKALPTIAFLLSLNLLLLSMANANINQPKCEKNGLTLNVCANVLENFLSVRVGNPRSPCCSLIKGLVDLEADVCLCTLLDANILGLIDLRAAVQLNLLLDFCGMDRRAYHC >EOY24330 pep chromosome:Theobroma_cacao_20110822:3:30568653:30570621:1 gene:TCM_015960 transcript:EOY24330 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein, putative MEKHKCKLCARTFSNGRALGGHMKGHLATHPLPPKTTQHQLGDRAESASSSSSSSGEEQELQEEKSREVVEEKSLVYGLRENPRKSFRFADPEFSFAVDSGSVVQDRESETESRNPTRRRSKRNRQVGTNITTDEIKKPKLLRKPSLVESPTEPEPVSSVSDTSPEEDVAMCLMLLSRDVWKTKNAEQKSVELLEESEEIDLNNKSELSKKIRGKYRCGNCKKVFRSYDTLDEHKRVCCETKNAGKVAAAAAVVVANNKIFQCPFCYRVFGSGQALGGHKRSHLLAAASSSNAAAANSTKFDNKLIDLNLPAPSEDDEFSVVSDA >EOY21880 pep chromosome:Theobroma_cacao_20110822:3:19362198:19364660:-1 gene:TCM_014033 transcript:EOY21880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pro-like protein MYCRRMTAYAHDDKLLIHCFQDSLTDAAAKWYVQLDRNRIHKWKDLARAFVAQYKHVIDMAPDRLSLQNMEKKTTESFKEYVQRWRNVASQVQPPLTEKETIVMFVNTLRAPYYERLVGSAIKNFADMVISGEMIETAIKQGKIEGGDTRNTRKGGTFKRKEGEAQAITSGQHQGGTYNPYQPYLPYPYYPAVNNTSQSPYLYPPMPNAFPNPYPYNPIQRTPYPINIHPPTSTPVIASTTQQTTPSNNHTTGELRGW >EOY22136 pep chromosome:Theobroma_cacao_20110822:3:21796215:21814173:1 gene:TCM_014345 transcript:EOY22136 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 8 isoform 1 LKIPRESEQEREQTLSPLSLPELTVSFLFLGCCQWSWWRPFARQKGKDIIMGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLFEYSTDSCMERILERYERYSYAERQLAGNEVDQNGSWTLEHAKLKARMEVLQRNQRHFMGEDLDNLSLRELQNLEQQLDSALKHVRSRKNQLMFESISELQKKHCKSRITCLQRR >EOY22137 pep chromosome:Theobroma_cacao_20110822:3:21796290:21814183:1 gene:TCM_014345 transcript:EOY22137 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 8 isoform 1 LKIPRESEQEREQTLSPLSLPELTVSFLFLGCCQWSWWRPFARQKGKDIIMGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLFEYSTDSCMERILERYERYSYAERQLAGNEVDQNGSWTLEHAKLKARMEVLQRNQRHFMGEDLDNLSLRELQNLEQQLDSALKHVRSRKNQLMFESISELQKKHCKSRITCLQRR >EOY22135 pep chromosome:Theobroma_cacao_20110822:3:21796441:21814019:1 gene:TCM_014345 transcript:EOY22135 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 8 isoform 1 MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLFEYSTDSCMERILERYERYSYAERQLAGNEVDQNGSWTLEHAKLKARMEVLQRNQRHFMGEDLDNLSLRELQNLEQQLDSALKHVRSRKNQLMFESISELQKKDKALQEQNNMLAKKVKEKEQAVAQQAQWEQQNNCQDTSAILLPQPMSGTYEARSSGREEEGNPAQHRGANALLPPWMIRHLE >EOY23309 pep chromosome:Theobroma_cacao_20110822:3:26988949:26993570:1 gene:TCM_015249 transcript:EOY23309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MKSQAIETLISAIILLGLLSSSVAAYCAVRYAETIEFPALNCRKHIAVLTDFGGVGDGKTSNTQAFKAAIANLSQLAADGGAQLIVPPGKWLTGSFNLTSHFTLFVHKDAVILGAQDESEWPLLPVLPSYGRGRDAPDGRFSSLIFGTNLTDVVITGNNGTIDGQGAYWWKKFKEDKLNETRPYLIEIMYSNQIQISNLTLINSPSWNVHPIYSSDVIIQHLTILAPVDSPNTDGINPDSCTNTRIEDSFVVSGDDCIAVKSGWDQYGIKFNMPTKHLVIRRFTCISPDSATIALGSEMSGGIEDVRAEDITAINTQSGVRIKTAVGRGAYVKDIYARRMTLNTMKYVFWMTGSYGSHPDPGFDPNAFPVIKGINYRDVVADNVTYSARLEGIEKDPFTDICISNVNIKLTNKPKELQWNCTNVQGVTSRVTPEPCNLLPVKEIDCPFPEDRLPIEDVMLKTCSISGKF >EOY23310 pep chromosome:Theobroma_cacao_20110822:3:26990661:26993570:1 gene:TCM_015249 transcript:EOY23310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MELSRKSQAIETLISAIILLGLLSSSVAAYCAVRYAETIEFPALNCRKHIAVLTDFGGVGDGKTSNTQAFKAAIANLSQLAADGGAQLIVPPGKWLTGSFNLTSHFTLFVHKDAVILGAQDESEWPLLPVLPSYGRGRDAPDGRFSSLIFGTNLTDVVITGNNGTIDGQGAYWWKKFKEDKLNETRPYLIEIMYSNQIQISNLTLINSPSWNVHPIYSSDVIIQHLTILAPVDSPNTDGINPDSCTNTRIEDSFVVSGDDCIAVKSGWDQYGIKFNMPTKHLVIRRFTCISPDSATIALGSEMSGGIEDVRAEDITAINTQSGVRIKTAVGRGAYVKDIYARRMTLNTMKYVFWMTGSYGSHPDPGFDPNAFPVIKGINYRDVVADNVTYSARLEGIEKDPFTDICISNVNIKLTNKPKELQWNCTNVQGVTSRVTPEPCNLLPVKEIDCPFPEDRLPIEDVMLKTCSISGKF >EOY22627 pep chromosome:Theobroma_cacao_20110822:3:24270548:24272001:1 gene:TCM_014746 transcript:EOY22627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEHRQALPTCTLKVNINCCTMCRLKVKEKLQKIKGVESIVYDSDGVVTVSGKVNPMTIVKKLEKWGKYAELLSFRRSPKQDVQGSTSCSNKGVDNSDHHGSHSKMEKDCCCRCDAVSDSDDDHDHGQDDNGEVPAAKKSNASITCQHPDPTISKQSRKGKVKKRFIGLFSKNIGGAKKNLDETKSRVSTIGKPSTMDRPSKWRFPWTPMPKYGGPMPYNRPFESYPPPVTGRPAAPPYHPFGLMRPPPSPAVPPPYGFFNSRPPPKVNPMIHYTSYADNYSYW >EOY22479 pep chromosome:Theobroma_cacao_20110822:3:23608588:23614875:-1 gene:TCM_046740 transcript:EOY22479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 27 MIWFWVFLLLAANLNLGWAHINGAGEHPLAKINILKATLALHNSATVKAQPVLLGLKGEDTQWVNVDFMNPNSSVNDWVGVFSPAKFNPSTCPPVNDPKEQLPYICSAPIKYKYANESSSGYTKTGRGSLRFQLINQRADFSFALFSGGLSDPKLVAISNFISFANPKAPLYPRLAQGKSWNEMTVTWTSGYDIDEAEPFVEWGRKGNLQLRSPAGTLTFKQNSMCGSPARTVGWRDPGFIHTSFLKNLWPNYEYTYRMGHLLSNGSIVWSKIYSFKSSPYPGQDSLQRVIIFGDMGKAERDGSNEYCNYQPGSLNTTDQLIRDLSNIDIVFHIGDLSYSNGYISQWDQFTAQVEPIASTVPYMIASGNHERDWPNTGSFYDTTDSGGECGVPAETIFYFPAENRAKFWYSTDYGLFHFCIADSEHDWREGSEQYRFIEQCLASADRHRQPWLIFAAHRVLGYSSDYWYGQEGSFEEPMGRESLQKLWQKYKVDIAFYGHVHNYERTCPIYQNQCVNEEKNHYSGVVNGTIHVVVGGGGSHLSEFSEVTPNWSLYRDYDWGFVKLTAFNQSSLLFEYKKSRDGKVHDSFTISRDYRDVLACVHDGCEATTLAS >EOY24017 pep chromosome:Theobroma_cacao_20110822:3:29330447:29337684:-1 gene:TCM_015727 transcript:EOY24017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Palmate-like pentafoliata 1 transcription factor MAAELGLLSLNQLQKLAQSQQNQIQLQPNPSLAAASWMWNPKAQPQEDDDSWEVRAFAEDTGNIMGTTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHQTQPSGAINPTSSSSTTSSSTVLIPTQEFGTNGGLCLLYQLPNPNGVFTSPPMNACSNDSPSTLLSISPYPSNNLMEAPSLNFPVTPQGLNNSSSLCYSSKAEPSTQTSADNCINNIGNSSSNNCKETSIEELDLELRLGHRPTTS >EOY24350 pep chromosome:Theobroma_cacao_20110822:3:30633005:30637729:-1 gene:TCM_015975 transcript:EOY24350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine methyltransferase 7, putative isoform 4 MNALIYKTLIPSISLRLSTRLTLILNSFLHFKAPLPRAMSSYSPQQVFQLKLDPLTGNSEWVVIQDSDELPECSKEPLLASTSYLDMLNDSHRNKAFRLAIDKTVTKPCHVLDIGAGTGLLSMMAARAMGLNGRVTACESYLPMAKLMRKVLHRNGIGKNINLINKRSDELEVGVDNPSRADVLVSEILDSELLGEGLIPTLQHAHDKLLVENPLTVPYRAVTYGQLVESPYLWKLHDLFGSEAKASDGIHLVPTGLETVIHFKLQQHPMHCDAIRKEIKLLSEPFKIFEFDFWKRPDSHGKTKVQIKMTDTGCIHAVVSWWILQLDREGTVFYSTAPSWISSAAKIGSGNWCDHWKQCVWFLQGKGMPVSEGEEVLLEATHEETSVSYNLSVLGSQTDIREHDQRIGDFQLLVSPERIATYGDSEWRLSVLAAVRKALQGRVNPLCVVADDSIFLTLLAANLSKTSHVISLFPGLRDKGAKYVETVAKANAFSMDRVEVPEKWKACLTMLDTHGKKVDLLIGEPYYYANEGMLPWQNLRFWRDRTLLDPVLSEDALIMPCKGILKACAMSLPDLWNSRCCLGEVEGFDHSVVNTTLGACGELPAPKESPCLPFCVWQCGEIKELSEIFTILEFDFLKLMTSCYGKAQVHLPPLPKMKKEAYTSSETINDVIISLQLILCLSYRFNSLNMVFVMDLFYGWIG >EOY24351 pep chromosome:Theobroma_cacao_20110822:3:30633005:30637729:-1 gene:TCM_015975 transcript:EOY24351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine methyltransferase 7, putative isoform 4 MNALIYKTLIPSISLRLSTRLTLILNSFLHFKAPLPRAMSSYSPQQVFQLKLDPLTGNSEWVVIQDSDELPECSKEPLLASTSYLDMLNDSHRNKAFRLAIDKTVTKPCHVLDIGAGTGLLSMMAARAMGLNGRVTACESYLPMAKLMRKVLHRNGIGKNINLINKRSDELEVGVDNPSRADVLVSEILDSELLGEGLIPTLQHAHDKLLVENPLTVPYRAVTYGQLVESPYLWKLHDLFGSEAKASDGIHLVPTGLETVIHFKLQQHPMHCDAIRKEIKLLSEPFKIFEFDFWKRPDSHGKTKVQIKMTDTGCIHAVVSWWILQLDREGTVFYSTAPSWISSAAKIGSGNWCDHWKQCVWFLQGKGMPVSEGEEVLLEATHEETSVSYNLSVLGSQTDIREHDQRIGDFQLLVSPERIATYGDSEWRLSVLAAVRKALQGRVNPLCVVADDSIFLTLLAANLSKTSHVISLFPGLRDKGAKYVETVAKANAFSMDRVEVPEKWKACLTMLDTHGKKVDLLIGEPYYYANEGMLPWQNLRFWRDRTLLDPVLSEDALIMPCKGILKACAMSLPDLWNSRCCLGEVEGFDHSVVNTTLGACGELPAPKESPCLPFCVWQCGEIKVQFTEHGICHGFVLWMDWVMDAENSIVVSTRPDHRYWKQGVKLLTKPVAVGIHGSKTTNEFGSTIIEAFFDPSSSELIIKHTFS >EOY24349 pep chromosome:Theobroma_cacao_20110822:3:30633294:30637640:-1 gene:TCM_015975 transcript:EOY24349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine methyltransferase 7, putative isoform 4 MNALIYKTLIPSISLRLSTRLTLILNSFLHFKAPLPRAMSSYSPQQVFQLKLDPLTGNSEWVVIQDSDELPECSKEPLLASTSYLDMLNDSHRNKAFRLAIDKTVTKPCHVLDIGAGTGLLSMMAARAMGLNGRVTACESYLPMAKLMRKVLHRNGIGKNINLINKRSDELEVGVDNPSRADVLVSEILDSELLGEGLIPTLQHAHDKLLVENPLTVPYRAVTYGQLVESPYLWKLHDLFGSEAKASDGIHLVPTGLETVIHFKLQQHPMHCDAIRKEIKLLSEPFKIFEFDFWKRPDSHGKTKVQIKMTDTGCIHAVVSWWILQLDREGTVFYSTAPSWISSAAKIGSGNWCDHWKQCVWFLQGKGMPVSEGEEVLLEATHEETSVSYNLSVLGSQTDIREHDQRIGDFQLLVSPERIATYGDSEWRLSVLAAVRKALQGRVNPLCVVADDSIFLTLLAANLSKTSHVISLFPGLRDKGAKYVETVAKANAFSMDRVEVPEKWKACLTMLDTHGKKVDLLIGEPYYYANEGMLPWQNLRFWRDRTLLDPVLSEDALIMPCKGILKACAMSLPDLWNSRCCLGEVEGFDHSVVNTTLGACGELPAPKESPCLPFCVWQCGEIKELSEIFTILEFDFLKLMTSCYGKAQVQFTEHGICHGFVLWMDWVMDAENSIVVSTRPGVLLHVGSDHRYWKQGVKLLTKPVAVGIHGSKTTNEFGSTIIEAFFDPSSSELIIKHTFS >EOY24348 pep chromosome:Theobroma_cacao_20110822:3:30632828:30637842:-1 gene:TCM_015975 transcript:EOY24348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine methyltransferase 7, putative isoform 4 MNALIYKTLIPSISLRLSTRLTLILNSFLHFKAPLPRAMSSYSPQQVFQLKLDPLTGNSEWVVIQDSDELPECSKEPLLASTSYLDMLNDSHRNKAFRLAIDKTVTKPCHVLDIGAGTGLLSMMAARAMGLNGRVTACESYLPMAKLMRKVLHRNGIGKNINLINKRSDELEVGVDNPSRADVLVSEILDSELLGEGLIPTLQHAHDKLLVENPLTVPYRAVTYGQLVESPYLWKLHDLFGSEAKASDGIHLVPTGLETVIHFKLQQHPMHCDAIRKEIKLLSEPFKIFEFDFWKRPDSHGKTKVQIKMTDTGCIHAVVSWWILQLDREGTVFYSTAPSWISSAAKIGSGNWCDHWKQCVWFLQGKGMPVSEGEEVLLEATHEETSVSYNLSVLGSQTDIREHDQRIGDFQLLVSPERIATYGDSEWRLSVLAAVRKALQGRVNPLCVVADDSIFLTLLAANLSKTSHVISLFPGLRDKGAKYVETVAKANAFSMDRVEVPEKWKACLTMLDTHGKKVDLLIGEPYYYANEGMLPWQNLRFWRDRTLLDPVLSEDALIMPCKGILKACAMSLPDLWNSRCCLGEVEGFDHSVVNTTLGACGELPAPKESPCLPFCVWQCGEIKELSEIFTILEFDFLKLMTSCYGKAQVQFTEHGICHGFVLWMDWVMDAENSIVVSTRPDHRYWKQGVKLLTKPVAVGIHGSKTTNEFGSTIIEAFFDPSSSELIIKHTFS >EOY21131 pep chromosome:Theobroma_cacao_20110822:3:3279394:3282309:-1 gene:TCM_012488 transcript:EOY21131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p family protein, putative MKQLTIKPPALVSPFVLVHPPSTSQQASTPKQETPPPMDPPPLPTGPTAAAATSVHLSYPDSVESSPRSRTENSYDDPLPAVPGARLRLMCSFGGHIIPRPHDKTLCYVGGETRLVAVDRHCSLSAFCTRLSRALLNGRQFTLKYQLPNEDLDSLVSVATDEDLENMIEEYDRLTASSASSATSSRIRYSFFNKPDTAASMGPLLNDAKSETWFVDALNGSGLIPRGHSDSAAMETLLNLDGELEAQEVAEEQTKQVKSNNAVHHEVQCSLHDSPMVEKTSSFGSPSSSPSISNLPPIRVRVDQDGVAKVQDQRVGIEEQFAEISFATTIHKQDDGYGAAVSALPPHPVAVATAMITSAGGSSDNLNRILSDDERSDQGVPIGFRKPPLPLQPVQQKACGAYNLPSPDSVASDSSIASANSLSKPMYYQDQAHIASRDSKAAVSPNTKADTSIPNSQIQIHQVQDAYALAPQLDQQQQQAQQQFMQTSMHYIPHPTASPAPVSMSSYYPVYATPSQQHQLHHPADQQYPAVYVMPVTQVTQPQPYMSMQSSTGVMTLKSNVTDASIVAPSLPLTPPAPSIVAATTAYKEATPPMYPTNTAALAKPEMAATVYRTAVPSTPQLVQVQQPYVGFSQMQHLPQSVAVTPAATTNYGYEYPNPSQDQMYYAQHQAPSLPPQYQTMTQAAAAAALADASLQQPTDGSNQQIRISQPL >EOY24993 pep chromosome:Theobroma_cacao_20110822:3:32898859:32903024:-1 gene:TCM_016444 transcript:EOY24993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 3 MASSRPSKRRRQDQTEDHHTDDTESPPESKLTLEKSSPVVVFAHGAGAPSSSDWMIRVSCMVAGREDIAASLIVCLGYPLKGMNGAVRDETLLQLTVPVMFVQGSKDGLCPLEKMEAVRKKMKAMSELHVIDGGDHSFKISKKHLQTKGSTQDEAEDAAVQATASFVSRSLTGRSFPLVAVAAAVLALLVLTLLLLGLLLMLRLFFLLFFLLLLFLYFLFLLSMASHGHGLSCMLLNVDAVGLGLGHGLRFTDTLSLSFDHLLVNFGLASAQVFMAHFVEGFLNGALALFCGHIN >EOY24991 pep chromosome:Theobroma_cacao_20110822:3:32898541:32903201:-1 gene:TCM_016444 transcript:EOY24991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 3 MASSRPSKRRRQDQTEDHHTDDTESPPESKLTLEKSSPVVVFAHGAGAPSSSDWMIRWTKMLKKALNAVEVVTFDYPYISGGKRRAPPKAEKLVDFHSDIVKNAVSKYPGHPLILAGKSMGSRVSCMVAGREDIAASLIVCLGYPLKGMNGAVRDETLLQLTVPVMFVQGSKDGLCPLEKMEAVRKKMKAMSELHVIDGGDHSFKISKKHLQTKGSTQDEAEDAAVQATASFVSRSLTGRSFPLVAVAAAVLALLVLTLLLLGLLLMLRLFFLLFFLLLLFLYFLFLLSMASHGHGLSCMLLNVDAVGLGLGHGLRFTDTLSLSFDHLLVNFGLASAQVFMAHFVEGFLNGALALFCGHIN >EOY24992 pep chromosome:Theobroma_cacao_20110822:3:32899206:32902991:-1 gene:TCM_016444 transcript:EOY24992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 3 GAKRHRNIWPPAPRSLQIIFLGFPNCLTRSPKENFRSERVYLFELGKGRGRGFQGMASSRPSKRRRQDQTEDHHTDDTESPPESKLTLEKSSPVVVFAHGAGAPSSSDWMIRWTKMLKKALNAVEVVTFDYPYISGGKRRAPPKAEKLVDFHSDIVKNAVSKYPGHPLILAGKSMGSRVSCMVAGREDIAASLIVCLGYPLKGMNGAVRDETLLQLTVPVMFVQGSKDGLCPLEKMEAVRKKMKAMSELHVIDGGDHSFKISKKHLQTKGSTQDEAEDAAVQATASFVSRSLTGSRSFPLVAVAAAVLALLVLTLLLL >EOY21949 pep chromosome:Theobroma_cacao_20110822:3:20004763:20007800:-1 gene:TCM_014118 transcript:EOY21949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic elongation factor 5A-1 isoform 1 MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTESGGTKDDLRLPTDENLLTQIKDGFAEGKDLVVSVMSAMGEEQICALKDIGPK >EOY21950 pep chromosome:Theobroma_cacao_20110822:3:20005078:20007552:-1 gene:TCM_014118 transcript:EOY21950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic elongation factor 5A-1 isoform 1 MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTESGGTKDDLRLPTDENLLTQVKPLRVSCCAMVYCYSLQAPFYWKNQTDAVFISLDVLPYNSIFYNLGVLISFWEQFTK >EOY22947 pep chromosome:Theobroma_cacao_20110822:3:25494421:25496136:-1 gene:TCM_014977 transcript:EOY22947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S13A MGRMHSRGKGISASALPYKRTPPSWLKISSQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >EOY24696 pep chromosome:Theobroma_cacao_20110822:3:31957811:31960950:1 gene:TCM_016233 transcript:EOY24696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta 8 MREILHVQGGQCGNQIGAKFWEVVCTEHGIDSTGRYHGDSELQLERINVYYNEASCGRFVPRAILMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAVFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPNGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEYEDEEEEYEEET >EOY21939 pep chromosome:Theobroma_cacao_20110822:3:19935635:19944528:-1 gene:TCM_014111 transcript:EOY21939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C3HC4-type RING finger) family protein isoform 1 MSGETASSSGIVLEGIDDVEDYVWANEGVGSLPWDRYSHVYDYMENGNRAFRDNRVEEAINNYTRANNIKPGDHVILGNRSAAYMRISQFLKSRSPTASECRPLNGLDMTTLAELALKDAERLMSLQNNAVRSYILKANALILLERYEMARDIILSGLQLDPFSDILRASFRSLERMPSSLLRIRGHELPERTDDFDCTLCLKLLYQPITTPCGHSFCRSCLFQTMDRSNKCPLCRTVLFISPRTCAISVTLNNIIQKTFPEEYAERKSEHDSLINFGNDLIPLFVMDVVIPCQKFPLHIFEPRYRLMVRRIMEGNHRMGMVIRDPATDSVAEFACEVEITECEPLPDGRFVLEIESRRRFRILRSWDQDGYRMAEVEWIQDILPIDARDREDLQESTNNAAAYARSWLSSAKEATHERRVLEALYNIEVMMPNTQDPERFSFWLATLSNRRPSERLELLRIRDTAERIRRGLIYLTAEAQGRSVQWYMSL >EOY21938 pep chromosome:Theobroma_cacao_20110822:3:19935629:19944677:-1 gene:TCM_014111 transcript:EOY21938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C3HC4-type RING finger) family protein isoform 1 MSGETASSSGIVLEGIDDVEDYVWANEGVGSLPWDRYSHVYDYMENGNRAFRDNRVEEAINNYTRANNIKPGDHVILGNRSAAYMRISQFLKSRSPTASECRPLNGLDMTTLAELALKDAERLMSLQNNAVRSYILKANALILLERYEMARDIILSGLQLDPFSDILRASFRSLERMPSSLLRIRGHELPERTDDFDCTLCLKLLYQPITTPCGHSFCRSCLFQTMDRSNKCPLCRTVLFISPRTCAISVTLNNIIQKTFPEEYAERKSEHDSLINFGNDLIPLFVMDVVIPCQKFPLHIFEPRYRLMVRRIMEGNHRMGMVIRDPATDSVAEFACEVEITECEPLPDGRFVLEIESRRRFRILRSWDQDGYRMAEVEWIQDILPIDARDREDLQESTNNAAAYARSWLSSAKEATHERRVLEALYNIEVMMPNTQDPERFSFWLATLSNRRPSERLELLRIRDTAERIRRGLIYLTAEAQGRSVQCQGGAGGFGFSPNRK >EOY25080 pep chromosome:Theobroma_cacao_20110822:3:33140648:33145642:1 gene:TCM_016500 transcript:EOY25080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 9 isoform 2 MMANRGGSFSQTNNVSSEGDGVDDLYMELWKLCAGPLVEVPRANERVYYFPQGHMEQLEASTNQELNQRIPLFNLPPKILCRVVHIQLLAEQETDEVYAQITLLPEANQPEPTSPDQSPPESQRPTVHSFCKVLTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGENGELCVGVRRVARQQSSMPSSVISSQSMHLGVLATASHAVSTQTLFVVYYKPRTSQFIIGLNRYLEALNNKFAVGMRFKMRFEGEDSPERRFSGTIVGVEDFSPHWKDSQWRSLKVQWDEPASIPRPDRVSPWEIEPFAAPIPPTLGQPLAAKNKRPRPPTEIPALEVKKFETASSCRLFGIELINHSASSTPLERTPTQLSTMTGGSTEGHGQSSLSPADSDQKSEISKDSKGKKQEQLQVSAKEIQSRQSCSSSTRSRTKVQMQGVAVGRAVDLTMLEGYDQLIDELEEMFDIKGGLRPRNKWEIVYTDDEGDMMLVGNFVTWSEEYLSVQARM >EOY25079 pep chromosome:Theobroma_cacao_20110822:3:33140648:33145642:1 gene:TCM_016500 transcript:EOY25079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 9 isoform 2 MMANRGGSFSQTNNVSSEGDGVDDLYMELWKLCAGPLVEVPRANERVYYFPQGHMEQLEASTNQELNQRIPLFNLPPKILCRVVHIQLLAEQETDEVYAQITLLPEANQPEPTSPDQSPPESQRPTVHSFCKVLTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGENGELCVGVRRVARQQSSMPSSVISSQSMHLGVLATASHAVSTQTLFVVYYKPRTSQFIIGLNRYLEALNNKFAVGMRFKMRFEGEDSPERRFSGTIVGVEDFSPHWKDSQWRSLKVQWDEPASIPRPDRVSPWEIEPFAAPIPPTLGQPLAAKNKRPRPPTEIPALEVKKFETASSCRLFGIELINHSASSTPLERTPTQLSTMTGGSTEGHGQSSLSPADSDQKSEISKDSKGKKQEQLQVSAKEIQSRQSCSSSTRSRTKVQMQGVAVGRAVDLTMLEGYDQLIDELEEMFDIKGGLRPRNKWEIVYTDDEGDMMLVGDDPWLEFCNMVRRIFICSSQDVKKMSTGSKLPMASIEVEGTVISSDSAEN >EOY25077 pep chromosome:Theobroma_cacao_20110822:3:33140437:33145518:1 gene:TCM_016500 transcript:EOY25077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 9 isoform 2 MMANRGGSFSQTNNVSSEGDGVDDLYMELWKLCAGPLVEVPRANERVYYFPQGHMEQLEASTNQELNQRIPLFNLPPKILCRVVHIQLLAEQETDEVYAQITLLPEANQPEPTSPDQSPPESQRPTVHSFCKVLTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGENGELCVGVRRVARQQSSMPSSVISSQSMHLGVLATASHAVSTQTLFVVYYKPRTSQFIIGLNRYLEALNNKFAVGMRFKMRFEGEDSPERRFSGTIVGVEDFSPHWKDSQWRSLKVQWDEPASIPRPDRVSPWEIEPFAAPIPPTLGQPLAAKNKRPRPPTEIPALDLSSTASAPWNSGVMHSHDLTRRNITAEAKRNENHVMWHHMQTDMNSNCSSISKTQNEGSWLSSPGMSVSQHLFPDGREDSKSVSGWPVLSGFSKQQLKNESTFDPIEKVKKFETASSCRLFGIELINHSASSTPLERTPTQLSTMTGGSTEGHGQSSLSPADSDQKSEISKDSKGKKQEQLQVSAKEIQSRQSCSSSTRSRTKVQMQGVAVGRAVDLTMLEGYDQLIDELEEMFDIKGGLRPRNKWEIVYTDDEGDMMLVGDDPWLEFCNMVRRIFICSSQDVKKMSTGSKLPMASIEVEGTVISSDSAEN >EOY25078 pep chromosome:Theobroma_cacao_20110822:3:33140507:33145642:1 gene:TCM_016500 transcript:EOY25078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 9 isoform 2 MMANRGGSFSQTNNVSSEGDGVDDLYMELWKLCAGPLVEVPRANERVYYFPQGHMEQLEASTNQELNQRIPLFNLPPKILCRVVHIQLLAEQETDEVYAQITLLPEANQPEPTSPDQSPPESQRPTVHSFCKVLTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGENGELCVGVRRVARQQSSMPSSVISSQSMHLGVLATASHAVSTQTLFVVYYKPRTSQFIIGLNRYLEALNNKFAVGMRFKMRFEGEDSPERRFSGTIVGVEDFSPHWKDSQWRSLKVQWDEPASIPRPDRVSPWEIEPFAAPIPPTLGQPLAAKNKRPRPPTEIPALASAPWNSGVMHSHDLTRRNITAEAKRNENHVMWHHMQTDMNSNCSSISKTQNEGSWLSSPGMSVSQHLFPDGREDSKSVSGWPVLSGFSKQQLKNESTFDPIEKVKKFETASSCRLFGIELINHSASSTPLERTPTQLSTMTGGSTEGHGQSSLSPADSDQKSEISKDSKGKKQEQLQVSAKEIQSRQSCSSSTRSRTKVQMQGVAVGRAVDLTMLEGYDQLIDELEEMFDIKGGLRPRNKWEIVYTDDEGDMMLVGDDPWLEFCNMVRRIFICSSQDVKKMSTGSKLPMASIEVEGTVISSDSAEN >EOY24033 pep chromosome:Theobroma_cacao_20110822:3:29388850:29390427:-1 gene:TCM_015739 transcript:EOY24033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLLPKRKWRVYLPCVPSESREWSLFNSTTSACIWTFWHYLTPCLSRKVSSPLLFTDAEACSEDAGQVTIRPRIISMDGDAFKCHN >EOY22780 pep chromosome:Theobroma_cacao_20110822:3:24779867:24788221:-1 gene:TCM_014852 transcript:EOY22780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphofructokinase 4 MDLSIPSPLSKASPPSTFLSSQSHKLPRSPCVHYLNFTSRNSSLKKPLVSRQTLPIRAQLSDQTVDDGFALDDVPHLTNFLPDLPSYPNPLQQSQAYAIVKQTFVRPEDVVAQKIVVHKDSPRGVHFRRAGPREKVYFKSEEVRACIVTCGGLCPGINTVIREIVCGLNYMYGVDDILGIQGGYRGFYSKNTLPLTPKVVNDIHKRGGTFLRTSRGGHDTHKIVDNIQDRGINQVYIIGGDGTQRGAARIYEEVEKRGLQVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAIDAAHVEVESVENGIGIVKLMGRYSGFIAMFATLASRDVDCCLIPESPFYLEGKGGLFEFIEERLKENRHIVIVVAEGAGQEYVAQSMHGIDEKDASGNRLLLDVGLWLTQNIKDHFTKERKMEINMKYIDPTYMIRAIPSNASDNIYCTLLAQSAVHGAMAGYTGFTVGPVNSRHAYIPISHVTETQNTVKLTDRMWARLLASTNQPSFLNCDEAIGGKVCKDTIDLINNMKITSI >EOY21117 pep chromosome:Theobroma_cacao_20110822:3:3172643:3173794:-1 gene:TCM_012462 transcript:EOY21117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFKDLSKACPKNDLPLPNIDTLVDATAGHEMFSFMDGFSRYNQIRMALGDVKKTAFRTLSLRSLLYSHLDHHLADP >EOY23927 pep chromosome:Theobroma_cacao_20110822:3:29023914:29029708:-1 gene:TCM_015669 transcript:EOY23927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 7 isoform 1 MEESKDEIIAPLIPKDEKDRDVSNPSSSSSSRAMASKSEVKEVQEEEENSPVRQVALTVPTTDDPSLPVLTFRMWVLGTISCVLLSFLNQFFWYRTEPLTITAISAQIAVVPLGQLMAAKITDRVFFKGTRWEFSLNPGPFNVKEHVLITIFANSGAGSVYAIHVVTVVKVFYKKHITFFVSLIVIITTQVLGFGWAGIFRRYLVEPAAMWWPANLVQVSLFRALHEKEERAKGGVTRTQFFLIALICSFAYYVFPGYLFQMLTSLSWICWIFPKSVLAQQLGSGLYGLGIGAIGLDWSTISSYLGSPLASPWFATANVAAGFILIMYVLTPITYWLNVYNAKTFPIFSDALFTSTGQEYNITAIIDSNFHLDIAAYEKEGPLYLSTFFAMTYGVGFAALTATIVHVALFHGREIWEQSKASFQEKKMDVHTRLMRKYKQVPEWWFVCILLVNIAATILACEYYNDQLQLPWWGVLLACGIAIVFTLPIGIITAITNQTPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAVTFLQDFKLGHYMKIPPRTMFMAQVVGTLIACFVYLGTAWWLMETIPDICDTTASNSVWTCPSDTVFYDASVIWGLVAPRRIFGDLGTYEAINWFFLAGAIAPLLVWLATKAFPHQEWIKLINMPVLIGATGMMPPATAVNYTTWIIVGFLSGFVVYRYRPDLWQRYNYVLSGSLDAGLAFMGVLIYLCLGLENISLDWWGNDLDGCPLASCPTAKGIEVEGCPVYT >EOY23928 pep chromosome:Theobroma_cacao_20110822:3:29023919:29027213:-1 gene:TCM_015669 transcript:EOY23928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 7 isoform 1 MLTSLSWICWIFPKSVLAQQLGSGLYGLGIGAIGLDWSTISSYLGSPLASPWFATANVAAGFILIMYVLTPITYWLNVYNAKTFPIFSDALFTSTGQEYNITAIIDSNFHLDIAAYEKEGPLYLSTFFAMTYGVGFAALTATIVHVALFHGREIWEQSKASFQEKKMDVHTRLMRKYKQVPEWWFVCILLVNIAATILACEYYNDQLQLPWWGVLLACGIAIVFTLPIGIITAITNQTPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAVTFLQDFKLGHYMKIPPRTMFMAQVVGTLIACFVYLGTAWWLMETIPDICDTTASNSVWTCPSDTVFYDASVIWGLVAPRRIFGDLGTYEAINWFFLAGAIAPLLVWLATKAFPHQEWIKLINMPVLIGATGMMPPATAVNYTTWIIVGFLSGFVVYRYRPDLWQRYNYVLSGSLDAGLAFMGVLIYLCLGLENISLDWWGNDLDGCPLASCPTAKGIEVEGCPVYT >EOY23840 pep chromosome:Theobroma_cacao_20110822:3:28749320:28750778:1 gene:TCM_015610 transcript:EOY23840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGSGPLVATVLAASTVALTSSSSSGLDVSFSPTSSDQRYNSTVKKGTSRNCTASEKEKFAPRFDGLRFIETGNFKFYNNNLPSVVIVSSRNPTGDGIWAGHGRIAFCIAFLVMRCRLCVSFVCQLQET >EOY22258 pep chromosome:Theobroma_cacao_20110822:3:22664385:22669985:-1 gene:TCM_014480 transcript:EOY22258 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein MPVSTRSQISSQEEQRSSRCSRSNEKEEGTQVSNINNNNVPLRNPHHGLKEKMRALTLLYEQQKKASAALRNNSSPKPDQEKRFSTHPSVDLLTSCKRQERKEEKEQKDSKFNNVMRENTMTTLPAMANSTVTRTFVLPQPPLDDAKENILIGPDKILGFSSCTRKATTTTVKTTVARKLSMGGTRNGQELEKIESISCTEKNGTMGGDDSRILVFVRLRPMAKKEKEVGSRCCVKIVNKRDVYLTEFANENDYLRLKRLRGRHFTFDASFPDSTSQHEVYTTTTADLVEAVLQGRNGSVFCYGATGAGKTYTMLGTVDNPGVMVLAIKDLFTKIRQRSCDGNHVVHLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMALLQRGNQNRTTEPTRANETSSRSHAILQVVIEYRVKDASMNVINRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACNTVMIANISPSNLSFGETQNTLHWADRAKEIRTKACEANEEVLEVPESGTDQAKLLLELQKENRELRVQLARQQQKLLTLQAQSLAANASPTPSSVTSLMTPPSTAQPNEKRRPRSTFLTGNCFTPESKKRGAEEAVKELRLTVKALELEMARMKKDYASQLKQKDDVIRELSRKSEKTSDVGVKRVATRASLRPKEPSAGDLKSPSHRFRSPVPTTKKRSFWDITTANSPSVTTLNRRKTRSHVISEPVAAPSMLLQPGFSRQRPHALK >EOY21041 pep chromosome:Theobroma_cacao_20110822:3:2462236:2471757:-1 gene:TCM_012358 transcript:EOY21041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant synaptotagmin isoform 1 MGGRRKRALMNVDEVVDFFNNIIVEKPYLFLLIPFILIFWAIEKWFFSLSNWVPLVLAVWATIQYGNYQHRMVVEDLNKKWKRVILNTSPITPLEHCEWLNKLLMEIWLNFMNPKLSLRFQSIVEKRLKHRKSRLIEKVELLEFSLGSSPPCLGLHGTRWSTSGDQRVMRLGFDWDTTDISIMLLAKVAKPFFGTAKIVINSLHIKGDLLLMPILAGKAILYSFISTPEVRITVAFGSGGSQSLPATELPGVSSWLVKLLTDTLSKTMVEPRRQCFSLPTVDLRKKAVGGIIYVTVISASKLSRSSLRGSPTRRQPSFAVDGLEDHFDDKDLQTFVEVELGELTRRTYVRPGSSPQWDSTFNMVLHDNTGTVRFHLYERTPGSVKYDYLASCEIKMKYVSDDSTIFWAVGPDSGVIARHSEVCGKEVEMVLPFEGVNAGKLAVRLVVKEWQFSDGSHSFNNFRVRSQPTLNGSSNFLSRTGRKINVTVVEGKDLVTKDKFGKCNPYVKLQYGKVLQKTRTAHSFNPIWNQKFEFDEIGGGEYLKIKCYTEEVFGDDSIGSARINLEGLVEGSVRDVWVPLEKVNSGELRIQLEAVSIDDYEGSRGSAYPGNGWIELVLVEARDLIAADLRGTSDPYVRVHYGNLKRRTKVMYRTLNPQWHQTLEFPDDGSPLELHVKDHNALLPTSNIGDCVVEYQRLPPNEMSDKWIPLQGVKRGEIHVQVTRKVPELLKRPSLDPEPSLTKAHQISSQVLLSCLDLEILPLQTNFRMGKLDFYCSIHLVNFFLYDLLIYKMKQMMIKLQ >EOY21040 pep chromosome:Theobroma_cacao_20110822:3:2462048:2471384:-1 gene:TCM_012358 transcript:EOY21040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant synaptotagmin isoform 1 MGGRRKRALMNVDEVVDFFNNIIVEKPYLFLLIPFILIFWAIEKWFFSLSNWVPLVLAVWATIQYGNYQHRMVVEDLNKKWKRVILNTSPITPLEHCEWLNKLLMEIWLNFMNPKLSLRFQSIVEKRLKHRKSRLIEKVELLEFSLGSSPPCLGLHGTRWSTSGDQRVMRLGFDWDTTDISIMLLAKVAKPFFGTAKIVINSLHIKGDLLLMPILAGKAILYSFISTPEVRITVAFGSGGSQSLPATELPGVSSWLVKLLTDTLSKTMVEPRRQCFSLPTVDLRKKAVGGIIYVTVISASKLSRSSLRGSPTRRQPSFAVDGLEDHFDDKDLQTFVEVELGELTRRTYVRPGSSPQWDSTFNMVLHDNTGTVRFHLYERTPGSVKYDYLASCEIKMKYVSDDSTIFWAVGPDSGVIARHSEVCGKEVEMVLPFEGVNAGKLAVRLVVKEWQFSDGSHSFNNFRVRSQPTLNGSSNFLSRTGRKINVTVVEGKDLVTKDKFGKCNPYVKLQYGKVLQKTRTAHSFNPIWNQKFEFDEIGGGEYLKIKCYTEEVFGDDSIGSARINLEGLVEGSVRDVWVPLEKVNSGELRIQLEAVSIDDYEGSRGSAYPGNGWIELVLVEARDLIAADLRGTSDPYVRVHYGNLKRRTKVMYRTLNPQWHQTLEFPDDGSPLELHVKDHNALLPTSNIGDCVVEYQRLPPNEMSDKWIPLQGVKRGEIHVQVTRKVPELLKRPSLDPEPSLTKAHQISSQMKQMMIKLQSSIEDSNLEGVSNPLSELEALQDLQEEYMVQLETEQMLLLNKIKELGQEILNSSPSRRSSGS >EOY21042 pep chromosome:Theobroma_cacao_20110822:3:2460875:2471760:-1 gene:TCM_012358 transcript:EOY21042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant synaptotagmin isoform 1 MGGRRKRALMNVDEVVDFFNNIIVEKPYLFLLIPFILIFWAIEKWFFSLSNWVPLVLAVWATIQYGNYQHRMVVEDLNKKWKRVILNTSPITPLEHCEWLNKLLMEIWLNFMNPKLSLRFQSIVEKRLKHRKSRLIEKVELLEFSLGSSPPCLGLHGTRWSTSGDQRVMRLGFDWDTTDISIMLLAKVAKPFFGTAKIVINSLHIKGDLLLMPILAGKAILYSFISTPEVRITVAFGSGGSQSLPATELPGVSSWLVKLLTDTLSKTMVEPRRQCFSLPTVDLRKKAVGGIIYVTVISASKLSRSSLRGSPTRRQPSFAVDGLEDHFDDKDLQTFVEVELGELTRRTYVRPGSSPQWDSTFNMVLHDNTGTVRFHLYERTPGSVKYDYLASCEIKMKYVSDDSTIFWAVGPDSGVIARHSEVCGKEVEMVLPFEGVNAGKLAVRLVVKEWQFSDGSHSFNNFRVRSQPTLNGSSNFLSRTGRKINVTVVEGKDLVTKDKFGKCNPYVKLQYGKVLQKTRTAHSFNPIWNQKFEFDEIGGGEYLKIKCYTEEVFGDDSIGSARINLEGLVEGSVRDVWVPLEKVNSGELRIQLEAVSIDDYEGSRGSAYPGNGWIELVLVEARDLIAADLRGTSDPYVRVHYGNLKRRTKVMYRTLNPQWHQTLEFPDDGSPLELHVKDHNALLPTSNIGDCVVEYQRLPPNEMSDKWIPLQGVKRGEIHVQVTRKVPELLKRPSLDPEPSLTKAHQISSQQILNRHHLLIVPFPLF >EOY25083 pep chromosome:Theobroma_cacao_20110822:3:33159867:33177018:1 gene:TCM_016503 transcript:EOY25083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like G3, putative MGGRGLIAGRTAHAPPLHKFEPLRRTALNRLFAAVYASAIIALLYRHAQTLIYSATSLLSFSLSLSLLISDLVLAFMWTGAQAFRVCPIRRKEFPENLRKIIKEEDFPGLDVFICTADPYKEPPMNVVNTALSLMAYDYPTEKISVYVSDDGGSAFTLFAFMEAAKFASHWLPFCREHNMMERSPEVYFASTYHSWSPEIEKIKMMYEIMKVKVKHIVDKGEISDEYIVDNEYREVFRKWTDGFTRMDHPAVVQVILDKSKDKDISGNFLPNLIYVSRQKSKTSPHHFKAGALNVLLRVSAVMTNAPLILTQDCDMYSNDPQTPLRVLCYLSDPALQSKLAYIQFPQRFHGLNQTDIYASEYKRLFQINPMGFNGLMGPNYVGSGCFFCRRAFFGGPSTLVPPKIPELSPDHVVDKPINSQKILSLAHNVAGCDYENQTEHWGSKNGFRYGSLVEDFYTGYRLQSEGWKSLFCHPERAAFLGDVPITFLDLLSQCKRWCIGLLEVTFSKYNTLIFGSRSMGIMMGLAYSHYAFWPIWCIPITLYSFLPQLALLNKVSIFPKVSEPWCFLYVFLFLGAYGQDFLDFVLAGGTVRRWWNAQRMWMIRGLSCFLLSSVEYLLKSLGISTHSFSLTSKVLDDEQSKRYDQSIFEFGVPSPLFVPLTMAAIINLFSFAWGLTEFWDNGSNKEGLALQMVLAGFIVLNCLPIYGAIALRSDKGKMPTQITVISTFLSVALYIFAYLILKQ >EOY25242 pep chromosome:Theobroma_cacao_20110822:3:33660584:33664462:-1 gene:TCM_016615 transcript:EOY25242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component domain protein isoform 2 MAALEYLETLRNEHPELADWYNSLADLYQKKLWHQLTLKLEQFVALTVFQAGDALIQLYHNFITDFETKINLLKLAHFAVIVSRQYAEKEAAICYLEGVIEKLQATREQRIEEPILYIKMQIAKFKLEQGDQKECKKLLEDGKSTLDSMTDIDPSVYASYYWVSSQYYKFRQEFAEFYKSALLYLAYTSVESLSESFKLDLAFDLSLSALLGDKIYNFGELLAHPIIKSLLGTKVEWLYYILQAFNSGDLVRYQELCRVHNAALRAQPALVENEKKLLEKINILCLMEIIFSRPSEDRTIPLKVIAERTKLSIEDVEHLIMKSLSVS >EOY25243 pep chromosome:Theobroma_cacao_20110822:3:33660287:33664462:-1 gene:TCM_016615 transcript:EOY25243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component domain protein isoform 2 MAALEYLETLRNEHPELADWYNSLADLYQKKLWHQLTLKLEQFVALTVFQAGDALIQLYHNFITDFETKINLLKLAHFAVIVSRQYAEKEAAICYLEGVIEKLQATREQRIEEPILYIKMQIAKFKLEQGDQKECKKLLEDGKSTLDSMTDIDPSVYASYYWVSSQYYKFRQEFAEFYKSALLYLAYTSVESLSESFKLDLAFDLSLSALLGDKIYNFGELLAHPIIKSLLGTKVEWLYYILQAFNSGDLVRYQELCRVHNAALRAQPALVENEKKLLEKINILCLMEIIFPPIRRSNYSIKSYC >EOY25241 pep chromosome:Theobroma_cacao_20110822:3:33659563:33664330:-1 gene:TCM_016615 transcript:EOY25241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component domain protein isoform 2 MAALEYLETLRNEHPELADWYNSLADLYQKKLWHQLTLKLEQFVALTVFQAGDALIQLYHNFITDFETKINLLKLAHFAVIVSRQYAEKEAAICYLEGVIEKLQATREQRIEEPILYIKMQIAKFKLEQGDQKECKKLLEDGKSTLDSMTDIDPSVYASYYWVSSQYYKFRQEFAEFYKSALLYLAYTSVESLSESFKLDLAFDLSLSALLGDKIYNFGELLAHPIIKSLLGTKVEWLYYILQAFNSGDLVRYQELCRVHNAALRAQPALVENEKKLLEKINILCLMEIIFSRPSEDRTIPLKVIAERTKLSIEDVEHLIMKSLSVHLIEGIIDQVEGTVHVSWVQPRVLGIPQIKSLRDRLDNWVGKVHTAWLSIEAETPDLVAS >EOY20949 pep chromosome:Theobroma_cacao_20110822:3:1911884:1912602:1 gene:TCM_012269 transcript:EOY20949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGFCLASAPFFLHFTCFPLPSYLPSLHFWLEKFLSKSASSLPPQHIFHSHYHPISFVGQIHGPDHLFNLIASPFYLHSLFTSNFG >EOY21186 pep chromosome:Theobroma_cacao_20110822:3:3901223:3903175:-1 gene:TCM_012567 transcript:EOY21186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGFQAAPSSSFLSPLVCHTYPNLSPSQLSSLRPFLLPHKHVNSFKPHIPCLNSAKFLKARTRATLDEKEKEPLLVQEQEASRDVEESVKVLKNAAKTRKIPAEEVLSACSVIEKAKLNPSAFLETLGGPDSPGRTCVLIFTAEKQLKNGRYFPLTAVQRFDAAISSLIS >EOY21185 pep chromosome:Theobroma_cacao_20110822:3:3901047:3905385:-1 gene:TCM_012567 transcript:EOY21185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGFQAAPSSSFLSPLVCHTYPNLSPSQLSSLRPFLLPHKHVNSFKPHIPCLNSAKFLKARTRATLDEKEKEPLLVQEQEASRDVEESVKVLKNAAKTRKIPAEEVLSACSVIEKAKLNPSAFLETLGGPDSPGRTCVLIFTAEKQLKNGRYFPLTAVQRFDAAISSLISSQCD >EOY21295 pep chromosome:Theobroma_cacao_20110822:3:5703693:5724899:-1 gene:TCM_012750 transcript:EOY21295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein MGTRPLFVTLLNPLSFTLHRTSQASAMEAMLRSLLTFTLFTLLFSHISVEVSATTITFYNKCPHPVWPGIQPSAGKPLLARGGFKLPPNKAYSMRLPPLWSGRFWGRHGCSFDASGRGRCATGDCGGSLFCNGLGGAPPATLAEITLGQEQDFYDVSLVDGYNIAMSITPFKGSGKCSYAGCVSDLNLMCPVGLQVRSRDNKRVLACKSACFAFNSPRYCCTGSFGSPQSCKPTAYSKIFKAACPKAYSYAYDDPTSIATCTRGSYLVTFCPHRR >EOY21541 pep chromosome:Theobroma_cacao_20110822:3:11449442:11462391:-1 gene:TCM_013300 transcript:EOY21541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MALHLSALSRFTATTSLSFSSSNMSWPRRSKAIRLVACSNAAVSRYGRVAYEYDPELRIVLELATDAELYELQRILFGPSYFSPLLKSVMNRDDVENVMIEENVEEREAFIAALESRFLFLAADARSTLRGWRPSYKTVLLSVRKKLNVPCSSKLSTEDLEAEIFLHLLRDYSSDESGTFPGLWENNNISNIQNSLEVGLSQWKVQVIAAAKVGAAGFQSMILKGGGVLTLAKIYQLLTKKLSGKLFLEAANYLMEKEALKKGGQVAAINLESRAALLAAKQGFAGAASRYVGLRSMMSLLGPLLWGTFLADVVIQMLGTDYARILRAIYAFAQIRITRTYRLPCEDD >EOY21542 pep chromosome:Theobroma_cacao_20110822:3:11459587:11462536:-1 gene:TCM_013300 transcript:EOY21542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MALHLSALSRFTATTSLSFSSSNMSWPRRSKAIRLVACSNAAVSRYGRVAYEYDPELRIVLELATDAELYELQRILFGPSYFSPLLKSVMNRDDVENVMIEENVEEREAFIAALESRFLFLAADARSTLRGWRPSYKTVLLSVRKKLNVPCSSKLSTEDLEAEIFLHLLRDYSSDESGTFPGLWENNNISNIQNSLEVGLSQWKVQVIAAAKVGAAGFQSMILKLFPFLCLGWWCAYFG >EOY20880 pep chromosome:Theobroma_cacao_20110822:3:1633947:1635589:1 gene:TCM_012220 transcript:EOY20880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p family protein MPLPYFSLFSDSKQIPFFSPNSLFPKSFKTELSLTFQPQNPNQRQRAKRGKPQEKERKKMVREIESGKKSSETVKFLCSYGGKILPRSSDGKLRYVGGLTRVLSVDRSISFTELMVKLVEFCGYSVTLRCQLPNGDLDTLISIKSDEDLRNIIEEYDGAAPSKIRAILSPPKSLKQISPPPSNTSSVNLSSPTSADSDSPLKAVFRRRSISPPRPMAYPVRASYYPCYLQQNPRVFFTAPHSNYYCRH >EOY24469 pep chromosome:Theobroma_cacao_20110822:3:31094662:31103147:1 gene:TCM_016064 transcript:EOY24469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MLHTAYSSCSWLWNASNQKDGLFRAAFSFLIAILAISLWHLRKSRKSIAPLPPGPRGLPLVGYLPFLGTDNLHLVFTELAGVYGPIYRLWLGNKLCVVISSPSLAKEVVRDNDITFSERDPPIAAQICTFGCNDIVFDSYSTPDWRMKRKVLVREMLSNSSIKACHGLRREQVMKTITNVYKNAGKPIDFGELSFLTSINAVMSMLWGGKQGGDQKGNSIWTDFRELITQLMVILGKPNVSDIFPVLAWFDIQGIEREMKKISQSFDQLFDSMIEARMSVATKMEKDDGKTEQKDFLQLLLELKEKDDGSSSITMNQLKALLMDVVVGGTDTTSTMMEWTMAELMQHPEIMEKVKKEIADVVGPNSTVEEVHMPNLRYLDAVVKETFRLHPPLPLLVPRCPGQSSTVGGYTIPKGTKVFLNIWSIHRDPHVWDNASEFQPERFLNDPDKFDYLGNDSRYMPFGSGRRMCAGVSLGEKMLYSTLASLLHAFEWKLPQGAEQDLSGRFGIIMKKMKPLVVIPTPRLSNLEHYLK >EOY24260 pep chromosome:Theobroma_cacao_20110822:3:30307882:30311070:-1 gene:TCM_015917 transcript:EOY24260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MPILSLSRFISISISSKPNKIFTFLFSTASSADKFFTHLQKKQSNIEKTLALVNSKLDSNCVCEVLERCCFDKSQMGLRFFIWAGLQSNYRHSSYMYSKACEFLKIKQNPFLVLDVIEAYKVEKCLVNVKMFKVVLNLCREARITDEALLVLRKMPEFNLRPDTTTYNVVIRLICEKGDMDMADKLMKDMGLIDLYPDMITYLAMIKGFCNAGRLEDACGLFQVMREHGCFPNAVAYSALLEGICRYGSVEKALELLGEMEKEGDGCSPNVITYTSVIQSFCEKGQTTKALRVLDRMGTCGCAPNRVTVSTLIKRLCAEGHVEEAYKLIDKVVPGGGVSDGDCYSSLVVSLIRIKRLDEAEKLFRKMLATGAKPDSIACSIMIREICQEGRVLDGFYLYEEIERMRYLSSIDADIYSILLVGLCRQSHSVEAAKLARSMLEKRIRLKAPYVDKIIEHLKNCGDKQLVTELGRIGRILFRMILV >EOY24258 pep chromosome:Theobroma_cacao_20110822:3:30307843:30310951:-1 gene:TCM_015917 transcript:EOY24258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MPILSLSRFISISISSKPNKIFTFLFSTASSADKFFTHLQKKQSNIEKTLALVNSKLDSNCVCEVLERCCFDKSQMGLRFFIWAGLQSNYRHSSYMYSKACEFLKIKQNPFLVLDVIEAYKVEKCLVNVKMFKVVLNLCREARITDEALLVLRKMPEFNLRPDTTTYNVVIRLICEKGDMDMADKLMKDMGLIDLYPDMITYLAMIKGFCNAGRLEDACGLFQVMREHGCFPNAVAYSALLEGICRYGSVEKALELLGEMEKEGDGCSPNVITYTSVIQSFCEKGQTTKALRVLDRMGTCGCAPNRVTVSTLIKRLCAEGHVEEAYKLIDKVVPGGGVSDGDCYSSLVVSLIRIKRLDEAEKLFRKMLATGAKPDSIACSIMIREICQEGRVLDGFYLYEEIERMRYLSSIDADIYSILLVGLCRQSHSVEAAKLARSMLEKRIRLKAPYVDKIIEHLKNCGDKQLVTELGRIGRILFRMILV >EOY24261 pep chromosome:Theobroma_cacao_20110822:3:30307843:30311070:-1 gene:TCM_015917 transcript:EOY24261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MPILSLSRFISISISSKPNKIFTFLFSTASSADKFFTHLQKKQSNIEKTLALVNSKLDSNCVCEVLERCCFDKSQMGLRFFIWAGLQSNYRHSSYMYSKACEFLKIKQNPFLVLDVIEAYKVEKCLVNVKMFKVVLNLCREARITDEALLVLRKMPEFNLRPDTTTYNVVIRLICEKGDMDMADKLMKDMGLIDLYPDMITYLAMIKGFCNAGRLEDACGLFQVMREHGCFPNAVAYSALLEGICRYGSVEKALELLGEMEKEGDGCSPNVITYTSVIQSFCEKGQTTKALRVLDRMGTCGCAPNRVTVSTLIKRLCAEGHVEEAYKLIDKVVPGGGVSDGDCYSSLVVSLIRIKRLDEAEKLFRKMLATGAKPDSIACSIMIREICQEGRVLDGFYLYEEIERMRYLSSIDADIYSILLVGLCRQSHSVEAAKLARSMLEKRIRLKAPYVDKIIEHLKNCGDKQLVTELGRIGRILFRMILV >EOY24259 pep chromosome:Theobroma_cacao_20110822:3:30308570:30311070:-1 gene:TCM_015917 transcript:EOY24259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 1 MPILSLSRFISISISSKPNKIFTFLFSTASSADKFFTHLQKKQSNIEKTLALVNSKLDSNCVCEVLERCCFDKSQMGLRFFIWAGLQSNYRHSSYMYSKACEFLKIKQNPFLVLDVIEAYKVEKCLVNVKMFKVVLNLCREARITDEALLVLRKMPEFNLRPDTTTYNVVIRLICEKGDMDMADKLMKDMGLIDLYPDMITYLAMIKGFCNAGRLEDACGLFQVMREHGCFPNAVAYSALLEGICRYGSVEKALELLGEMEKEGDGCSPNVITYTSVIQSFCEKGQTTKALRVLDRMGTCGCAPNRVTVSTLIKRLCAEGHVEEAYKLIDKVVPGGGVSDGDCYSSLVVSLIRIKRLDEAEKLFRKMLATGAKPDSIACSIMIREICQEGRVLDGFYLYEEIERMRYLSSIDADIYSILLVGLCRQSHSVEAAKLARSMLEKRIRLKAPYVDKIIEHLKNCGDKQLVTELGRIGRILFRMILV >EOY21107 pep chromosome:Theobroma_cacao_20110822:3:3035428:3037545:1 gene:TCM_012440 transcript:EOY21107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGTQSNSKNMELQAKHPEPKSIIQTLVLKAKDLKSRSLTNILGGGTVAGLLLWKNAISSATVICIATEIWILSTIIVENWIAQALLRIIAFVLLNLVSLALVSVFTAFYLDKCVEEKINFCLNKVEGAIGSVIDQYRGELDFAGKVIGAFVETQGKSLTDTIKESIKNRLDEALWPLYESVLQGLAAIVKPNLPAIFHSKICQEFLQMLVMISEGSQKKTFYGDICAWRLCQHYATS >EOY21106 pep chromosome:Theobroma_cacao_20110822:3:3035428:3037545:1 gene:TCM_012440 transcript:EOY21106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGTQSNSKNMELQAKHPEPKSIIQTLVLKAKDLKSRSLTNILGGGTVAGLLLWKNAISSATVICIATEIWILSTIIVENWIAQALLRIIAFVLLNLVSLALVSVFTAFYLDKCVEEKINFCLNKVEGAIGSVIDQYRGELDFAGKVIGAFVETQGKSLTDTIKESIKNRLDEALWPLYESVLQGLAAIVKPNLPAIFHSKICQEFLQMLVMISEGSQKKTFYGVVASLQVISIMCIFFPPTTVLYIGYLCVATLPALCNKLKISTVLEENFETKEDKISGNDESVPKRS >EOY21137 pep chromosome:Theobroma_cacao_20110822:3:3353513:3361992:-1 gene:TCM_012497 transcript:EOY21137 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMV resistance protein N MEQIESFFNMDLIESIELQIYNYLTDTKMFTTTQVFHDSGITSCFVFENEVPILFEYRSKGSEISFSLPQNLGGKVSWLNLCIVYSLLSDEIFEFLPSVHIVNETKRLKWSYLSSFIGIPEKTNNNTILWLIHWPVMDYQLENGDLVSCKFATSGFNVREFGVTCVSETKIIAYDIYGPPEDRYNYHAHPAIGNKISFVVAQSPGQHIGYLNLVAILFFENNEIFDFLYRIEIVNETKDTKWIHYKRFIGIPEIKNNICWFSSWRFMGELEDGDQIELGLGQESFID >EOY21436 pep chromosome:Theobroma_cacao_20110822:3:7996244:7997621:1 gene:TCM_012976 transcript:EOY21436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKRHQCQSLLASPCSHLFATFVGVNILLETALHIIIGLGSHFKTITSTFNIKKDN >EOY20650 pep chromosome:Theobroma_cacao_20110822:3:436368:438991:1 gene:TCM_012008 transcript:EOY20650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTKRLRNESSAFLGPKRYVRYVELLMIFAGGGQYSSMASRLNCLLFALFLCSSSIQISLEIIYILPFLITFFVVNDLI >EOY23360 pep chromosome:Theobroma_cacao_20110822:3:27130592:27132649:-1 gene:TCM_015277 transcript:EOY23360 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 88A1, putative MEAIVLYPSPGMGHLISMVEVGKLLLTHHPSFTIIILITTPPFNAGSTASYIAAVSATTPSISFHQLPIISLDPAAYDSVEALMRDLIHLNNVHADAALTAISLTSTVHSLIIDLFCYPALEIAAKLNIPAYYFFTSGASCLALYLHMPSIHRNTTENFKNLNTLFHLPCLPPIPLNHLPEPMLIRDTTVYDFLINCTTHLAKSAGIIINTFETLEPKAVKALSKGFSIPDGPNQTPPVFCIGPLIDTNKGRSKGNGGDDDDDNNDKGIECLKWLDSQPSQSVVFLCFGSMGLFSKKQLMEVAVGLEKSGQRFLWVVRNPPSINKNQGFTAGPDPALDSLLPEGFLDRTSDRGLVVKAWAPQVEVLNHDSVGGFLTHCGWNSVLEAICAGVPMVAWPLYAEQKFNKILLVEELKLAMPVNESESGFVSAEEVEKRVRELMESKEGNSLRNRTMAKRKEAVAALREGGPSRSAVAQLLKCWA >EOY23522 pep chromosome:Theobroma_cacao_20110822:3:27706160:27710156:-1 gene:TCM_015388 transcript:EOY23522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-irradiation and mitomycin c induced 1-like protein MLKLCLTFPKNNMIQENLFSVAETDAGFNPTPSKADLATINFFSIALKNFGSRHVEKEKDVDVEIYRGGGHYDEEIGSGEDQPVLVVGPLNKKALGISSDGKIIYKQFDVLRVHKILKRKGVFWESGQRIKVLKGACAGFHKKNVYATLEYFLIEEFQGDFVGKQRSSDYMQMTCLCICFLSFFHLLCLAIDDTDWDCQFEKQSQKAPTRIDLLNAKQCQELEVDGALPADATVHAGLIPPGVFVAVLRPKSFGSSSASNDLDQDILKINLEMSMEVNFRRTKNHQDVKHICSGHITPSFCKGFHGLYVFSLGCKFPRLFQEAGIYSFFSVVTFFLSTNFALGSWDRVSSCFPIIPIEGLPVDVTKMKPFLSSDNLVYKPTNMEVIFYASALPFWLFLMLQDVMIESNEMGSMWPHYAATLMIYLNDESVSISVECQDALLYICHLHVKALLEKQIFIVL >EOY23669 pep chromosome:Theobroma_cacao_20110822:3:28162420:28164688:-1 gene:TCM_015483 transcript:EOY23669 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein MASSPLQLSTPLRTLFLSPSLGRLPRIPFQASLFWQRVTPKTLVFSTGIRGVSGPGRFCSRAKASMAGTTTEKGKGKVEVFASEEDLSVSLAKYTADLADKLSKEKSTFTVVLSGGSLIKSLRKLVEPPYIDSVDWSAWQVFWVDERVVPKDHDDSNYKLAFDGFLSKVPILPGNVYSINDALSAEGAADDYETCLKHLVNSGVISVSAATGLPKFDLMLLGMGPDGHVASLFPGHPLVRENEKWVTFIKDSPKPPPERITFTFPVINSSAHIALVVCGAGKAGPVHAALGNGRNSEPLPVQMVSPEGEMVWFLDKDAASKL >EOY22798 pep chromosome:Theobroma_cacao_20110822:3:24836014:24838944:-1 gene:TCM_014863 transcript:EOY22798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MKNTHMLKLALALSSTAFLFILVLIIFFYRKRAPRNDQVGDIESKEQKHEDESQIEDLITFSGGQDLTICDILDAPGEVIGKSNYGTLYKALLQRSNMVRLLRFLRPACALRDEEFGDVVQLLGCIRHPNLVPLLGFYAGPRGEKLLVHPFYRHGNLAQLLRDGNGECHRWTIMYKISIGMARGLDHLHTGLQKPVVHGNLKSKNILLDRNYQPYLSDFGLYLLLNPTSAQEMLEASAAEGYKAPELIKMRDASEETDVYSLGVIFLELLSGKEPINEKPTPDEDFYLPNFMRNAVLDHRISDLYHPDILLQNSNDQGNPVTEESILKFFQLAMACCSPSSSLRPNSRQVLLKLEEIGK >EOY23462 pep chromosome:Theobroma_cacao_20110822:3:27485429:27486393:-1 gene:TCM_015351 transcript:EOY23462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein MDRQRRRKQPKITTREYEEVSSIEWEFIKVTEQEEDLIYRMHRLVGERWDLIAGRIPGRKAEEIERFWIMQNNEGFAQRRREHKESISKNIWPLAFNGFK >EOY24839 pep chromosome:Theobroma_cacao_20110822:3:32396253:32399517:1 gene:TCM_016330 transcript:EOY24839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 90.1 MADVHMGSESETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIRIIPDKINKTLSIVDSGIGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDVSGEPLGRGTKITLFLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTIDKEVSDDEDEDAKKEEEGDVEDVDEDKEKKSKKKKVKEVTHEWQLINKQKPIWLRKPEEITKEEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEYLSFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFFEIAENKEDYTKFYEAFSKNIKLGIHEDSQNRAKLADLLRYYSTKSGDEMTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLEKLKKRGYEVLFLVEAIDEYAVGQLKEYDGKKLVSATKEGLKLDEESEEEKKEKEEKKKSFENLCKTIKEILGDRVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDNSMSAYMSSKKTMEINPDNGIMEELRKRVEVDKNDKSVKDLVLLLFETALLTSGFSLDDPNTFAARIHRMLKLGLSIDEDETAAADDIDMPSLEEESNEESKMEEVD >EOY22517 pep chromosome:Theobroma_cacao_20110822:3:23763897:23768540:-1 gene:TCM_014667 transcript:EOY22517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Skp2-like, FIST C domain, FIST domain, N-terminal isoform 1 MGCLAAVNDDILQNILSRLPALSFASAACVSKSWNKVCDRVLSRPKLVSALSLNPSLPDAVKEVLEKVLSSPIRPQFAIASIGLQFSLEEAHQLITEKLGSRTPVITNATFGIIGRDALTNTMKEVRWNFDPEEDNSNSQGSDAASNFNRGIVLIVGFLPGLKVDTVPLLRPKREPRFTMIDKFMMDIRDYTASVSGCIAPAGIILFGDQHTDLTPVLAEIDCAMPEETVIVGDASCRFLFRSGGIGETRFHVTLSTGVMPFGPELRAVSVTAKGSECSWLTACMNGYDEILDSQRLLDDISDEMDDESTDLYIGVIQKRPSSIEPEKLELRTYLAFYEVLGGDEEYLVVDGVGIRPGDTFLFYHSDSDTASSSCLNAFENLKVLKAASSSKTRYSIRDAAAGGGGSNDEVFGGLMFSCHYRGESYFDSFPFYSNFPGAPLAGVVCNREIGRDSTGSSTSMWQQAKGESPARCSLHVCTTVFLVLSFVPPSADH >EOY22516 pep chromosome:Theobroma_cacao_20110822:3:23763857:23768976:-1 gene:TCM_014667 transcript:EOY22516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Skp2-like, FIST C domain, FIST domain, N-terminal isoform 1 MGCLAAVNDDILQNILSRLPALSFASAACVSKSWNKVCDRVLSRPKLVSALSLNPSLPDAVKEVLEKVLSSPIRPQFAIASIGLQFSLEEAHQLITEKLGSRTPVITNATFGIIGRDALTNTMKEVRWNFDPEEDNSNSQGSDAASNFNRGIVLIVGFLPGLKVDTVPLLRPKREPRFTMIDKFMMDIRDYTASVSGCIAPAGIILFGDQHTDLTPVLAEIDCAMPEETVIVGDASCRFLFRSGGNSQSSITDLYYFDAVALVFAGDKDKPHGIGETRFHVTLSTGVMPFGPELRAVSVTAKGSECSWLTACMNGYDEILDSQRLLDDISDEMDDESTDLYIGVIQKRPSSIEPEKLELRTYLAFYEVLGGDEEYLVVDGVGIRPGDTFLFYHSDSDTASSSCLNAFENLKVLKAASSSKTRYSIRDAAAGGGGSNDEVFGGLMFSCHYRGESYFDSFPFYSNFPGAPLAGVVCNREIGRDSTGSSTSMWQQAKGESPARCSLHVCTTVFLVLSFVPPSADH >EOY21346 pep chromosome:Theobroma_cacao_20110822:3:6679881:6680828:1 gene:TCM_012853 transcript:EOY21346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDGQQNSQDPRPFLFPFFPAADVAAAPVATSTIATATTTIAATTSGPQWLCNPSFTSDLFLINDVVSSLPCALNVEEKDEDDGEEGKQQQQ >EOY23347 pep chromosome:Theobroma_cacao_20110822:3:27063026:27069446:-1 gene:TCM_015268 transcript:EOY23347 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ / Sec63 Brl domains-containing protein isoform 2 MAASEENSALFPIFILTIMALPLVPYTVMKLCRAASRKTKVIHCQCADCSRSGKYRKSIFKRISNFSTCSNLTLVLLWIIMIFLVYYIKNMSGEIQVFEPYSILGLEPGASDAEIRKAYRRLSILYHPDKNPDPAAHKHFVEYIVKAYQALTDPISRENYEKYGHPDGRQGFQMGIALPQFLLDIDGASGGILLLWIVGVCILLPLVIAVIYLSRSSKYTGNYVMHQTLSTYYYLMKPSLAPSKVMDVFTKAAEYVEIPVRRTDDEPLQKLFMSVRSELNLDLKNIKQEQAKFWKQHPAIVKTELLIQAQLTRESAALSPALLGDFRRMLELAPRLLEELLKMAVVPRTAQGHGWLRPAIGVVELSQCIIQAVPLSARKTTGGSSEGIASFLQLPHFSEAVIKKIARKKVRTFQELRDMTVEDRAELLTQAAGFSSAEVQDVEMVLEMMPSLTVEVTCETEGEEGIQEADIVTVQAWITLKRGSGLIGALPHAPNFPFHKEENFWFLLADGVSNNVWFSQKVSFMDEAAAITTASKTIQEAMEVSGASVKETSEAVKRAVEKVRGGSRLVMGKFPAPTEGNYNLTCYCLCDSWIGCDKKTNLKVKILKRTRAGTRSGHVSEEGLLVEDGVEEEEENEEEYDDYESEYSEDEEDEKDTKKKGPAANGTVNQKGSSSEGSGTDEE >EOY23348 pep chromosome:Theobroma_cacao_20110822:3:27063429:27068263:-1 gene:TCM_015268 transcript:EOY23348 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ / Sec63 Brl domains-containing protein isoform 2 MGIALPQFLLDIDGASGGILLLWIVGVCILLPLVIAVIYLSRSSKYTGNYVMHQTLSTYYYLMKPSLAPSKVMDVFTKAAEYVEIPVRRTDDEPLQKLFMSVRSELNLDLKNIKQEQAKFWKQHPAIVKTELLIQAQLTRESAALSPALLGDFRRMLELAPRLLEELLKMAVVPRTAQGHGWLRPAIGVVELSQCIIQAVPLSARKTTGGSSEGIASFLQLPHFSEAVIKKIARKKVRTFQELRDMTVEDRAELLTQAAGFSSAEVQDVEMVLEMMPSLTVEVTCETEGEEGIQEADIVTVQAWITLKRGSGLIGALPHAPNFPFHKEENFWFLLADGVSNNVWFSQKVSFMDEAAAITTASKTIQEAMEVSGASVKETSEAVKRAVEKVRGGSRLVMGKFPAPTEGNYNLTCYCLCDSWIGCDKKTNLKVKILKRTRAGTRSGHVSEEGLLVEDGVEEEEENEEEYDDYESEYSEDEEDEKDTKKKGPAANGTVNQKGSSSEGSGTDEE >EOY23867 pep chromosome:Theobroma_cacao_20110822:3:28808471:28810638:1 gene:TCM_015621 transcript:EOY23867 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein, putative MSEDMNLSINGQSQVPPGFRFHPTEEELLHYYLRKKVAYEKIDLDVIREVDLNKLEPWDIQEKCKIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKIIYSGFRRIGLRKTLVFYKGRAPHGQKSDWIMHEYRLDDNTTHDSNGSNSIGDSVPEDGWVVCRVFRKKNYQKTLESPKSSSATSLDSKTQMLCSGNDGVLDQILLYMGRTCKMENDSLSNMNIPNANNSNNNLRMLVANNTGIGDGLHERFMHLPRLESPTLPSLPMGSSPFDQERSFKSCYQSIDDMLTETEPSSINQGGGACDTGSVQNNNESKNGVNDWVTLERLVASQLNGHVETSKQLSCFSDPNAVFSLCHDDDIQLSHINLHRSNQNSEVYSNENDLWSLTKSSSPSSSDPLCHLSV >EOY25286 pep chromosome:Theobroma_cacao_20110822:3:33827019:33829086:1 gene:TCM_016650 transcript:EOY25286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1995) [Source:Projected from Arabidopsis thaliana (AT5G48790) TAIR;Acc:AT5G48790] MDIWSWSALVCIMINTTKVQVIHSRSHWTEFETTRLIRGSSNLRFIIRSVSKEGNTSVESDVPFPSDYIDLLKQAKKATELALKDGKQLMEIEFPTAGLESVPGDGEGGNEMTDSMQLIREFCDILVTPEKARQTRIFFPEANEVKFARQVFEGASFKLDYLTKPSFFEDFGFSEKVKMADRVKPDDELFLVGYPYFNVNEMIVVEELYKEAVVNTTRKLIIFNGELDRIRSGYYPSFFYPKLAALTKTLLPMMETIYYIHNFKGRNGGTLFRCYPGPWRVLRKVGNKYVCVHQQETMPSLKAVALDILPAA >EOY25287 pep chromosome:Theobroma_cacao_20110822:3:33826586:33829190:1 gene:TCM_016650 transcript:EOY25287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1995) [Source:Projected from Arabidopsis thaliana (AT5G48790) TAIR;Acc:AT5G48790] MLLSAPAIAVSSIPRRKVIHSRSHWTEFETTRLIRGSSNLRFIIRSVSKEGNTSVESDVPFPSDYIDLLKQAKKATELALKDGKQLMEIEFPTAGLESVPGDGEGGNEMTDSMQLIREFCDILVTPEKARQTRIFFPEANEVKFARQVFEGASFKLDYLTKPSFFEDFGFSEKVKMADRVKPDDELFLVGYPYFNVNEMIVVEELYKEAVVNTTRKLIIFNGAIQVLGEFLERLGTNMSVCTSRKQCHP >EOY25226 pep chromosome:Theobroma_cacao_20110822:3:33613142:33619609:-1 gene:TCM_016606 transcript:EOY25226 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP domain class transcription factor isoform 1 MGSSEMDKTAKEKEPKTPPAATTQEQSSTTNSGTVNADWSGFQAYSPIPPHGFLASSPQAPPYMWGVQHIIPPYGTPPHPYVAMYPHGGIYAHPSIPPGSYPFSPFAMPSPNGILEASGNTPGTMETDGKPSDVKEKLPIKRSKGSLGSLNMITGKNNNLGKTSGASANGVYSKSAESGSEGTSEGSDANSQNDSQMKSGGRQDSGEGEASQNGSAAHDPQNGGPNAPHTMVNTAMAIVPISTAGAPTAVPGPTTNLHIGMDYWGTPASSAVPAMRGKVPSTAVAGGIVTPASRDSVQSQLWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEVLKEENANLRSEVNRIKCEYEQLLAENTSLKERLGEIPGHEDLKSGRNDQHTNNDGQTELVQGSH >EOY25225 pep chromosome:Theobroma_cacao_20110822:3:33614089:33619541:-1 gene:TCM_016606 transcript:EOY25225 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP domain class transcription factor isoform 1 MGSSEMDKTAKEKEPKTPPAATTQEQSSTTNSGTVNADWSGFQAYSPIPPHGFLASSPQAPPYMWGVQHIIPPYGTPPHPYVAMYPHGGIYAHPSIPPGSYPFSPFAMPSPNGILEASGNTPGTMETDGKPSDVKEKLPIKRSKGSLGSLNMITGKNNNLGKTSGASANGVYSKSAESGSEGTSEGSDANSQNDSQMKSGGRQDSGEGEASQNGSAAHDPQNGGPNAPHTMVNTAMAIVPISTAGAPTAVPGPTTNLHIGMDYWGTPASSAVPAMRGKVPSTAVAGGIVTPASRDSVQSQLWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEVLKEENANLRSEVNRIKCEYEQLLAENTSLKERLGEIPGHEDLKSGRNDQHTNNDGQTELVQGSH >EOY21637 pep chromosome:Theobroma_cacao_20110822:3:15101217:15105464:1 gene:TCM_013621 transcript:EOY21637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein 50 isoform 2 MAGREVREYTNLSDPKDKKWGKGKDKLDDEDVAFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKISFIFATLICFFHFVATIQHSSPALSPLPLRVEPKPKSGIRQQDLLKKVVEVKPKRPKFSSPSDRSQSNLHPSGSVLANSRESNQENKNKHTILESNKAEKQNKVENPAKSLLGLAYASSDDED >EOY21638 pep chromosome:Theobroma_cacao_20110822:3:15101272:15105503:1 gene:TCM_013621 transcript:EOY21638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein 50 isoform 2 MQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPALSPLPLRVEPKPKSGIRQQDLLKKVVEVKPKRPKFSSPSDRSQSNLHPSGSVLANSRESNQENKNKHTILESNKAEKQNKVENPAKSLLGLAYASSDDED >EOY21639 pep chromosome:Theobroma_cacao_20110822:3:15101272:15105503:1 gene:TCM_013621 transcript:EOY21639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein 50 isoform 2 MAGREVREYTNLSDPKDKKWGKGKDKLDDEDVAFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPALSPLPLRVEPKPKSGIRQQDLLKKVVEVKPKRPKFSSPSDRSQSNLHPSGSVLANSRESNQENKNKHTILESNKAEKQNKVENPAKSLLGLAYASSDDED >EOY23096 pep chromosome:Theobroma_cacao_20110822:3:26196855:26198508:-1 gene:TCM_015095 transcript:EOY23096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF2930) [Source:Projected from Arabidopsis thaliana (AT5G52110) TAIR;Acc:AT5G52110] MKMVILTTKTLHFNPILQLKTPLGFRPKFASISARLDNPQQRLNLSVLRFTLGIPGLDESYLPRWIGYGFGSLLILNHLFGSDSVTAAQLRSEALGISLAAFSVTLPYLGKFLKGATPIDQTTLPEGAEQIFVMSQNVSVAQKEDLAWATYVLLRNTNTTSVLILAGGELCVRGYWNVPDVVPKDNVLDWFKSNIEETGLSDLTDTLYFPQTGVMVL >EOY23097 pep chromosome:Theobroma_cacao_20110822:3:26196826:26198402:-1 gene:TCM_015095 transcript:EOY23097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF2930) [Source:Projected from Arabidopsis thaliana (AT5G52110) TAIR;Acc:AT5G52110] MKMVILTTKTLHFNPILQLKTPLGFRPKFASISARLDNPQQRLNLSVLRFTLGIPGLDESYLPRWIGYGFGSLLILNHLFGSDSVTAAQLRSEALGISLAAFSVTLPYLGKFLKGATPIDQTTLPEGAEQIFVMSQNVSVAQKEDLAWATYVLLRNTNTTSVLILAGGELCVRGYWNVPDVVPKDNVLDWFKSNIEETGLSDLTDTLYFPQTGGDTFCLGT >EOY23094 pep chromosome:Theobroma_cacao_20110822:3:26195017:26198381:-1 gene:TCM_015095 transcript:EOY23094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF2930) [Source:Projected from Arabidopsis thaliana (AT5G52110) TAIR;Acc:AT5G52110] MKMVILTTKTLHFNPILQLKTPLGFRPKFASISARLDNPQQRLNLSVLRFTLGIPGLDESYLPRWIGYGFGSLLILNHLFGSDSVTAAQLRSEALGISLAAFSVTLPYLGKFLKGATPIDQTTLPEGAEQIFVMSQNVSVAQKEDLAWATYVLLRNTNTTSVLILAGGELCVRGYWNVPDVVPKDNVLDWFKSNIEETGLSDLTDTLYFPQTGDAEFWKMLPQGTRSALVQPVLLDPNLSNNEMGSIEGFVLLASSMRYAYSDKDRAWIRAVSNKLRSFCMQSEI >EOY23095 pep chromosome:Theobroma_cacao_20110822:3:26194552:26198508:-1 gene:TCM_015095 transcript:EOY23095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF2930) [Source:Projected from Arabidopsis thaliana (AT5G52110) TAIR;Acc:AT5G52110] MKMVILTTKTLHFNPILQLKTPLGFRPKFASISARLDNPQQRLNLSVLRFTLGIPGLDESYLPRWIGYGFGSLLILNHLFGSDSVTAAQLRSEALGISLAAFSVTLPYLGKFLKLILAGGELCVRGYWNVPDVVPKDNVLDWFKSNIEETGLSDLTDTLYFPQTGDAEFWKMLPQGTRSALVQPVLLDPNLSNNEMGSIEGFVLLASSMRYAYSDKDRAWIRAVSNKLRSFCMQSEI >EOY23786 pep chromosome:Theobroma_cacao_20110822:3:28587282:28589586:-1 gene:TCM_015571 transcript:EOY23786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein MFGKGITMKNFGLCVAMVLLQSSYGASVILVKVAFERGLNQFVFVAYRHIIAMFVLGPFAYVREREQRPSLSCSLFAKIFLLSSLGTTIYFNVFYFGLTYTSPTVASALNNVIPSLTFLMAVLLRMETVKIRSAGRQAKVLGTIISIGGSLVFTLWKGGCQLKGFVDGPLINIHSTKGSVGELRHGKENWLKGSCLILISTIAWSGWLILHGTVSKVYPAELSLNALICFIASLQSSLVALFFARNRLLWRLEWNVQLLTIIYCGVMATALANYIQIRCISYMGPVFASTFTPLCAVIVAIFSAIAFAERLHLGSLVGACLIIVGLYIVLWGKRTDNLMTGNAEDKDDLGDGRTLEISENACTATTDKSIASELKC >EOY21344 pep chromosome:Theobroma_cacao_20110822:3:6552535:6561886:1 gene:TCM_012848 transcript:EOY21344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MMALVVHFELEFHQMDMKTTFLNGNLDKEDYMVQFEGFQESSSENLVCRLKKSLYGLKQAYRQWYLKFDEIVTSMGSEENKVDKCIYLKISDSKFIFLMLYVDDILLSSNDVGLLHETKKLLSKNFNMKDLGDASFVLGIKICRDKS >EOY21841 pep chromosome:Theobroma_cacao_20110822:3:19010084:19011494:1 gene:TCM_013983 transcript:EOY21841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 30, putative isoform 2 CNKYSGIFFFLYINSSWFLPLLSFRGLLRCSKSCRLRWTNYLRPGIKRGNFTEQEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKLQTGVDGQNQDGFSSSQSVSKGQWERRLQTDIRMAKQALSEALSLDKTNSSTDSKDFNLSHPYLKPSQSQASTYASSAENISRLLENWMKNPPKPAAHQTNSAETMTQNSFNNTTTAGSSSSEGAFSATTPEGFDSFFSFNSSNSNASHESVSVDQNANLTPENSVFQGESKPNLETHQVPLTLIEKWLLDDGSAQAHEDLINMSLEDSAGLF >EOY21840 pep chromosome:Theobroma_cacao_20110822:3:19009642:19011541:1 gene:TCM_013983 transcript:EOY21840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 30, putative isoform 2 MGRPPCCDKIGVKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTEQEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKLQTGVDGQNQDGFSSSQSVSKGQWERRLQTDIRMAKQALSEALSLDKTNSSTDSKDFNLSHPYLKPSQSQASTYASSAENISRLLENWMKNPPKPAAHQTNSAETMTQNSFNNTTTAGSSSSEGAFSATTPEGFDSFFSFNSSNSNASHESVSVDQNANLTPENSVFQGESKPNLETHQVPLTLIEKWLLDDGSAQAHEDLINMSLEDSAGLF >EOY22195 pep chromosome:Theobroma_cacao_20110822:3:22221460:22223847:-1 gene:TCM_014411 transcript:EOY22195 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative isoform 1 MQNLNNHDEVSKMFDIVIWENVSSERTEEKLQEDIARRLKLKMEGVVHPEDVARTISEEFNNKKYLLLLDDVMDSVDLEDIGIPDNKNGSKVVLTTEFRHVCSSMADRLIEVHPLSSNEAWKMFQQMVSDVVDLPDIEPVAQLVAKECARLPLLIKTVAGAFKLKDSVPEWRKGLKDLRKWPEIEIPGLTELHSFLKFCYDQLKDDQKRKCFLYGALYPAESKIYTDYLLECWTAEGLVGNTNEKRRFQDARDEGFDTLKYLTNVSLLEKGERMIYVQMNNSIRQVALYISSQDPDCKFLTGMTENSPDCLEENDWQQAKRISMIDKKLRDLPESPNCNMLLSLLLQRNSNLTGIPQSFFENMKKLLVLDLYGTGIESLPSSLAKLTGLKGLYLNNCINVTELPPEIGELNCLEVLDIRGCRISFIPFHIQKLINLRCLRISYYRSSNLNHCQDMDIDCNVIPLLARLEELMIDVGSYDHWCNEVVEVMRQVATLENLTTLRICFPRSEVLKTFMQHSPSWKDRQQLTSFRFFVGCQNRKRPQILECFKYKINRYLGYCHGNYSDDSTICDLLAETDALELVEHKDIKSLTDFGNVASFNRIRGCLIERCNKMTTITDNNRTEGRDILQNLEQLHLVNLRSLQTIFEGSLSIKSLSKLHTVVVTSCPMLTKVFSLRVIQQLSVLRKLAIQKCAKLEVLIEKPDSAGQVSPAFPNLETLILIEMPKLRTICVDKSLAWPSLKELQVYMCPELKSLPFDKDNAAYLKSIEAEQVWWEALHWPQNEVKEQLQSMCNLR >EOY22194 pep chromosome:Theobroma_cacao_20110822:3:22221147:22284749:-1 gene:TCM_014411 transcript:EOY22194 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative isoform 1 MQNLNNHDEVSKMFDIVIWENVSSERTEEKLQEDIARRLKLKMEGVVHPEDVARTISEEFNNKKYLLLLDDVMDSVDLEDIGIPDNKNGSKVVLTTEFRHVCSSMADRLIEVHPLSSNEAWKMFQQMVSDVVDLPDIEPVAQLVAKECARLPLLIKTVAGAFKLKDSVPEWRKGLKDLRKWPEIEIPGLTELHSFLKFCYDQLKDDQKRKCFLYGALYPAESKIYTDYLLECWTAEGLVGNTNEKRRFQDARDEGFDTLKYLTNVSLLEKGERMIYVQMNNSIRQVALYISSQDPDCKFLTGMTENSPDCLEENDWQQAKRISMIDKKLRDLPESPNCNMLLSLLLQRNSNLTGIPQSFFENMKKLLVLDLYGTGIESLPSSLAKLTGLKGLYLNNCINVTELPPEIGELNCLEVLDIRGCRISFIPFHIQKLINLRCLRISYYRSSNLNHCQDMDIDCNVIPLLARLEELMIDVGSYDHWCNEVVEVMRQVATLENLTTLRICFPRSEVLKTFMQHSPSWKDRQQLTSFRFFVGCQNRKRPQILECFKYKINRYLGYCHGNYSDDSTICDLLAETDALELVEHKDIKSLTDFGNVASFNRIRGCLIERCNKMTTITDNNRTEGRDILQNLEQLHLVNLRSLQTIFEGSLSIKSLSKLHTVVVTSCPMLTKVFSLRVIQQLSVLRKLAIQKCAKLEVLIEKPDSAGQVSPAFPNLETLILIEMPKLRTICVDKSLAWPSLKELQVYMCPELKSLPFDKDNAAYLKSIEAEQVWWEALHWPQNEVKEQLQSMCNLR >EOY24376 pep chromosome:Theobroma_cacao_20110822:3:30705813:30708559:-1 gene:TCM_015993 transcript:EOY24376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGGRSQVVSGQSCMAKPPLASPLEGTGRVDFPPPTPVMDHACHVAFTPCAIHIAYAATSVAGPFHPVGSLLSERLCPWSLWSNFRLIASTEHLGSLHGARNMVLNNPQRCGHIL >EOY22008 pep chromosome:Theobroma_cacao_20110822:3:20345704:20361182:1 gene:TCM_014166 transcript:EOY22008 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 2 MGSESNLKTWVSDKLMSLLDYSQPTLVQYIIGLAKQAASPTDLLGQLEECGLPSSSETRLFAQEIFSRVPRKISGENLYQKQEREAAILARKQKTYAILDADDNEDDTGTSSSVHHQSSSEPISEARKADKHKKRFRKKIGSEEDEDDEVITHVEEERRVKRRTSQDEDDGSESEEERLRDQREREDLERNIRERDAAATRKLMDPKLSRKEEEEAIRRSKAFKEDDINSLRKVSRQEYLKKREQKKLEELRDEIEDEQYLFDGVKLTEAEYHELSYKKEIYELVKKRTEEDENMGEYKMPEAYDQEGVVDQEKRFAVALQRYRDPTAGDKMNPFAEQEAWEEHQIGKATLKFGSKNKKQTADDYQFVFEDQIEFIKASVMDGDKFDNDLPTESPETSKAKSELEKLQEDRKTLPIYPYRDDLLKAVEDFQVLVIVGETGSGKTTQIPQYLHEAGYTKHGKVGCTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTVLKYMTDGMLLRELLGEPDLASYSVIMVDEAHERTVSTDILFGLVKDIARFRKDIKLLISSATLDAEKFSDFFDSAPIFKIPGRRYPVEIHYTKAPEADYLDAAIVTVLQIHVSQSPGDILVFLTGQEEIETAEEILKHRIKGFGTKIAELIICPIYANLPTELQAKIFEPTPEAARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLVTPISKASANQRAGRSGRTGPGKCFRLYTAYNYYTELDDNTPPEIQRTNLASVVLSLKSLGIHDLINFDFMDPPPAEALLKALELLFALSALNKLGELTKVGRRMAEFPLDPMLSKMIVASDKYKCSDEVISISAMLSVGNSIFYRPKDKQVHADNARMNFHTGNVGDHIALMKVYNSWRETNYSTQWCYENYIQVRSMKRARDVRDQLEGLLERVEIELTSNLNDLEAIKKAITSGFFPHSARLQKNGSYRTVKHPQTVYIHPSSGLAQVLPRWVVYHELVLTTKEYMRQVTELKPDWLVEIAPHYYQMKDVEDPGSKKMPKGQEDKRVK >EOY22009 pep chromosome:Theobroma_cacao_20110822:3:20349107:20361437:1 gene:TCM_014166 transcript:EOY22009 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 2 MKMMRHSVYILVQFLFLMVITHVEEERRVKRRTSQDEDDGSESEEERLRDQREREDLERNIRERDAAATRKLMDPKLSRKEEEEAIRRSKAFKEDDINSLRKVSRQEYLKKREQKKLEELRDEIEDEQYLFDGVKLTEAEYHELSYKKEIYELVKKRTEEDENMGEYKMPEAYDQEGVVDQEKRFAVALQRYRDPTAGDKMNPFAEQEAWEEHQIGKATLKFGSKNKKQTADDYQFVFEDQIEFIKASVMDGDKFDNDLPTESPETSKAKSELEKLQEDRKTLPIYPYRDDLLKAVEDFQVLVIVGETGSGKTTQIPQYLHEAGYTKHGKVGCTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTVLKYMTDGMLLRELLGEPDLASYSVIMVDEAHERTVSTDILFGLVKDIARFRKDIKLLISSATLDAEKFSDFFDSAPIFKIPGRRYPVEIHYTKAPEADYLDAAIVTVLQIHVSQSPGDILVFLTGQEEIETAEEILKHRIKGFGTKIAELIICPIYANLPTELQAKIFEPTPEAARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLVTPISKASANQRAGRSGRTGPGKCFRLYTAYNYYTELDDNTPPEIQRTNLASVVLSLKSLGIHDLINFDFMDPPPAEALLKALELLFALSALNKLGELTKVGRRMAEFPLDPMLSKMIVASDKYKCSDEVISISAMLSVGNSIFYRPKDKQVHADNARMNFHTGNVGDHIALMKVYNSWRETNYSTQWCYENYIQVRSMKRARDVRDQLEGLLERVEIELTSNLNDLEAIKKAITSGFFPHSARLQKNGSYRTVKHPQTVYIHPSSGLAQVLPRWVVYHELVLTTKEYMRQVTELKPDWLVEIAPHYYQMKDVEDPGSKKMPKGQEDKRVK >EOY22007 pep chromosome:Theobroma_cacao_20110822:3:20348426:20360470:1 gene:TCM_014166 transcript:EOY22007 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein isoform 2 MGSESNLKTWVSDKLMSLLDYSQPTLVQYIIGLAKQAASPTDLLGQLEECGLPSSSETRLFAQEIFSRVPRKISGENLYQKQEREAAILARKQKTYAILDADDNEDDTGTSSSVHHQSSSEPISEARKADKHKKRFRKKIGSEEDEDDEVITHVEEERRVKRRTSQDEDDGSESEEERLRDQREREDLERNIRERDAAATRKLMDPKLSRKEEEEAIRRSKAFKEDDINSLRKVSRQEYLKKREQKKLEELRDEIEDEQYLFDGVKLTEAEYHELSYKKEIYELVKKRTEEDENMGEYKMPEAYDQEGVVDQEKRFAVALQRYRDPTAGDKMNPFAEQEAWEEHQIGKATLKFGSKNKKQTADDYQFVFEDQIEFIKASVMDGDKFDNDLPTESPETSKAKSELEKLQEDRKTLPIYPYRDDLLKAVEDFQVLVIVGETGSGKTTQIPQYLHEAGYTKHGKVGCTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTVLKYMTDGMLLRELLGEPDLASYSVIMVDEAHERTVSTDILFGLVKDIARFRKDIKLLISSATLDAEKFSDFFDSAPIFKIPGRRYPVEIHYTKAPEADYLDAAIVTVLQIHVSQSPGDILVFLTGQEEIETAEEILKHRIKGFGTKIAELIICPIYANLPTELQAKIFEPTPEAARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLVTPISKASANQRAGRSGRTGPGKCFRLYTAYNYYTELDDNTPPEIQRTNLASVVLSLKSLGIHDLINFDFMDPPPAEALLKALELLFALSALNKLGELTKVGRRMAEFPLDPMLSKMIVASDKYKCSDEVISISAMLSVGNSIFYRPKDKQVHADNARMNFHTGNVGDHIALMKVYNSWRETNYSTQWCYENYIQVRSMKRARDVRDQLEGLLERVEIELTSNLNDLEAIKKAITSGFFPHSARLQKNGSYRTVKHPQTVYIHPSSGLAQVLPRWVVYHELVLTTKEYMRQVTELKPDWLVEIAPHYYQMKDVEDPGSKKMPKGQGRAAE >EOY24976 pep chromosome:Theobroma_cacao_20110822:3:32836657:32842380:1 gene:TCM_016424 transcript:EOY24976 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MDQNQTLIGQLMIPGHFRTIKLLSSHYDGCKNFWDQFASYTSMMFVMHISSAWKKPSMEGRFLCVVANPTIREIAMHFRENYRNTRYLKSEKEESDGTLPSKTSNIKVCVTGGAGYIASWLVKKLLEKGYIVHSTLRNLEDKSKVDFLKSLPGADTNLMLFQADLYNPNQFERAIQGCEYVFHVATPLVHDSTQGSMFKDTTEAAVAGVRSIADACIRSQTVKRLIYTASVMASSPLTEDRLQFKPCVDESCWTPVDMLFTYCNEVTLAYTRSKTLAEKEALSYNEKNNCNLEVVTLPCGLVGGETLLSYVPLSVEIMTLTHLRGKIFSVEGLRLMQEILGSVPLVHIDDVCDAHIFCMETPSMRGRFLCAAANPTIAEIATYFGENYPDCKIGEELMGEEKGGIAFDSSKLIKMGFHYKCDMKKILDDSMKCRRRLEKGALSYNDNPDGKLEAVTLPCGLEGGETLLSYVPLSVEVMFSQLIGNLPAFKAFEFIEELLGSIPRFMGQEKKGIACDSSKLVKMGFEYKYDMKKILDDNVKCGIQLGAVFLN >EOY24416 pep chromosome:Theobroma_cacao_20110822:3:30890058:30895222:1 gene:TCM_016022 transcript:EOY24416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 3 MELKSNHSSPVLTDPTPINKSRLGIHSSLLSYPQSGGSLSSGKYMTIPRKKPGKLDDVRSNGWLDAMKSSSPPRKKLIKDFNIEVAADDIDIAYCSWMIKYPSALKSFEQISKNAKSKKIAVFLDYDGVLSPIVDDPDRAFMSDAMRSAVRNVAKHFPTAIISGRSRDKVYELVGLTELYYAGSHGMDIMGPVSHTESDDHPNCIRSTDQQGKEVNLFQPAREFIPMIDEVFKTLVENTKDIKGAKVENHKFCASVHYRNVEEKNWPTIAQCVHDILKDYPRLRLTHGRKVLEIRPVIDWNKGKAVEFLLEALGLSGRDDVLPIYIGDDRTDEDAFKVLREGSRGYGILVSSVPKESKAFYSVRDPSEVKKFLKALVRCKKFEEA >EOY24418 pep chromosome:Theobroma_cacao_20110822:3:30890072:30895046:1 gene:TCM_016022 transcript:EOY24418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 3 MELKSNHSSPVLTDPTPINKSRLGIHSSLLSYPQSGGSLSSGKYMTIPRKKPGKLDDVRSNGWLDAMKSSSPPRKKLIKDFNIEVAADDIDIAYCSWMIKYPSALKSFEQISKNAKSKKIAVFLDYDGVLSPIVDDPDRAFMSDAMRSAVRNVAKHFPTAIISGRSRDKVYELVGLTELYYAGSHGMDIMGPVSHTESDDHPNCIRSTDQQGKEVNLFQPAREFIPMIDENWPTIAQCVHDILKDYPRLRLTHGRKVLEIRPVIDWNKGKAVEFLLEALGLSGRDDVLPIYIGDDRTDEDAFKVLREGSRGYGILVSSVPKESKAFYSVRDPSEVKKFLKALVRCKKFEEA >EOY24417 pep chromosome:Theobroma_cacao_20110822:3:30893176:30895002:1 gene:TCM_016022 transcript:EOY24417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein isoform 3 MQIKYPSALKSFEQISKNAKSKKIAVFLDYDGVLSPIVDDPDRAFMSDAMRSAVRNVAKHFPTAIISGRSRDKVYELVGLTELYYAGSHGMDIMGPVSHTESDDHPNCIRSTDQQGKEVNLFQPAREFIPMIDEVFKTLVENTKDIKGAKVENHKFCASVHYRNVEEKNWPTIAQCVHDILKDYPRLRLTHGRKVLEIRPVIDWNKGKAVEFLLEALGLSGRDDVLPIYIGDDRTDEDAFKVLREGSRGYGILVSSVPKESKAFYSVRDPSEVKKFLKALVRCKKFEEA >EOY24291 pep chromosome:Theobroma_cacao_20110822:3:30445849:30447742:1 gene:TCM_015937 transcript:EOY24291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing-like protein MSYYQRRYRRESYGHGGPRMSRPNFPQRVLDDTGSSWQPLPAWERRFCVVVGAMPWKRFVQAKNNLYRTDKVYEWNDSAGKKAFDEAKQRFWAEFHGFPCKKHLPSADLYIDANIDWNPEIDPELVSGIRSSSDNEGKEVTFNKRRAANEVVKEIDYFSIPLDQIKATGWDE >EOY20676 pep chromosome:Theobroma_cacao_20110822:3:549700:551683:-1 gene:TCM_012034 transcript:EOY20676 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein MIAAKRIMRYLKKTEYYGIHYTKIADFALCGYIDSDFAGSSEDAKSTSGYLFTLDVFNIKEITGRLEGLATRKANLQLRGNGVGRPVAIPKRLLSTSLVNEAKVRGRDKDKKAILDLLLRKDGLDNGVSVIPIVGMGGIGKTTLAQLVYNDDSIRGYFDLKAWVCVSEEFDVIKITKIILQSVRSLSCDINDLNLLQVSLKEKLSSKKFLLVLDDVWNKNYIDWMTLRFPFDARTPGSKIFVTTCNYNVSSIMRTVTDYTLQTLLDHDSLCMLAHHALDKGDFTEHPDLKEIGLEIVKKCGGLPLATKTIGGVLHTRTNHDAWKDKLESDIWNLPEGKSN >EOY22107 pep chromosome:Theobroma_cacao_20110822:3:21407613:21414718:-1 gene:TCM_014300 transcript:EOY22107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 2 MRWEKVRLCQQDVGGVGEACPGPGKRWGHTCNSIKGGRFLYVFGGYGKDNCQTNQVHVFDTAKQTWSQPVMKGTPPTPRDSHSCTTVGDNLFVFGGTDGMNPLKDLHILDTATHTWICPSVRGEGPQAREGHSAALVGKRLFIFGGCGKSSDNNDEIYYNDLYILNTETFVWKRGTTSGDPPSARDSHTCSSWKNKIIVIGGEDGNDYYLSDVHILDADTLAWKELNTSGQILPPRAGHSTVALGKNLFVFGGFTDAQNLYDDLYMLDIDTGMWTRVITVGDGPSARFSVAGDCLDPLKSGVLVFIGGCNKTLEALDDMYYLYTGLVVRDERKPENLSLRKQLKLKCQEQNLSNLVHDKALVRIEVSNDVHQPIPLSSYGQPRRENFPLNQVLLQGKKTFQAKVTESFSHGYTIETVIDGKPLRGILFANKSSSIHVANHNFSSHFRKRTTTEVGGTVLNGDCNTKSKSSRSMRQDFGDHKQADVHEKDSSLHETKAPAPVSRNPAPSDLSTHKDPANQEPLVAHLNLNDDKAGDAPNSDSEFPEGIGSTRAGCSVTLSPRSRWLLQRGKVMLQ >EOY22106 pep chromosome:Theobroma_cacao_20110822:3:21407736:21414671:-1 gene:TCM_014300 transcript:EOY22106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 2 MRWEKVRLCQQDVGGVGEACPGPGKRWGHTCNSIKGGRFLYVFGGYGKDNCQTNQVHVFDTAKQTWSQPVMKGTPPTPRDSHSCTTVGDNLFVFGGTDGMNPLKDLHILDTATHTWICPSVRGEGPQAREGHSAALVGKRLFIFGGCGKSSDNNDEIYYNDLYILNTETFVWKRGTTSGDPPSARDSHTCSSWKNKIIVIGGEDGNDYYLSDVHILDADTLAWKELNTSGQILPPRAGHSTVALGKNLFVFGGFTDAQNLYDDLYMLDIDTGMWTRVITVGDGPSARFSVAGDCLDPLKSGVLVFIGGCNKTLEALDDMYYLYTGLVVRDERKPENLSLRKQLKLKCQEQNLSNLVHDKALVRIEVSNDVHQPIPLSSYGQPRRENFPLNQVLLQGKKTFQAKVTESFSHGYTIETVIDGKPLRGILFANKSSSIHVANHNFSRKRTTTEVGGTVLNGDCNTKSKSSRSMRQDFGDHKQADVHEKDSSLHETKAPAPVSRNPAPSDLSTHKDPANQEPLVAHLNLNDDKAGDAPNSDSEFPEGIGSTRAGCSVTLSPRQDERRPNTLEHNNPEKSL >EOY22108 pep chromosome:Theobroma_cacao_20110822:3:21408303:21414500:-1 gene:TCM_014300 transcript:EOY22108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 2 MRWEKVRLCQQDVGGVGEACPGPGKRWGHTCNSIKGGRFLYVFGGYGKDNCQTNQVHVFDTAKQTWSQPVMKGTPPTPRDSHSCTTVGDNLFVFGGTDGMNPLKDLHILDTATHTWICPSVRGEGPQAREGHSAALVGKRLFIFGGCGKSSDNNDEIYYNDLYILNTETFVWKRGTTSGDPPSARDSHTCSSWKNKIIVIGGEDGNDYYLSDVHILDADTLAWKELNTSGQILPPRAGHSTVALGKNLFVFGGFTDAQNLYDDLYMLDIDTGMWTRVITVGDGPSARFSVAGDCLDPLKSGVLVFIGGCNKTLEALDDMYYLYTGLVVRDERKPENLSLRKQLKLKCQEQNLSNLVHDKALVRIEVSNDVHQPIPLSSYGQPRRENFPLNQVLLQGKKTFQAKVTESFSHGYTIETVIDGKPLRGILFANKSSSIHVANHNFSSHFRKRTTTEVGGTVLNGDCNTKSKSSRSMRQDFGDHKQADVHEKDSSLHETKAPAPVSRNPAPSDLSTHKDPANQEPLVAHLNLNDDKAGDAPNSDSEFPEGIGSTRAGCSVTLSPRQDERRPNTLEHNNPEKSL >EOY22803 pep chromosome:Theobroma_cacao_20110822:3:24857044:24859371:-1 gene:TCM_014868 transcript:EOY22803 gene_biotype:protein_coding transcript_biotype:protein_coding description:DERLIN-1 isoform 1 MSTPAQYYESLPPVSKFYGVACLMTTSALYLGLYDPWNIALSYEDVFKRFQQVWRLIINFFLLGPFSPSFAIHLIMIARYGVLLEKGPFDKRTADYVWMLIFGALSLLVMGAIPFLWTPFMAGSLVFMIVYVWSREFPNAQISIYGIVTLKGFYLPWAFLALDLIFGSRLMPDIIGMIAGHLYYFLTVLHPLAGGKYVFKTPLWVHKLVAYWGKGIQVNSPVQRDPSAGVAFQGRSFRLNGNRTRTSTPSEQERQAQANSSAASQPSSGDGVAFRGKSYRLSS >EOY22802 pep chromosome:Theobroma_cacao_20110822:3:24856926:24859687:-1 gene:TCM_014868 transcript:EOY22802 gene_biotype:protein_coding transcript_biotype:protein_coding description:DERLIN-1 isoform 1 MSTPAQYYESLPPVSKFYGVACLMTTSALYLGLYDPWNIALSYEDVFKRFQVWRLIINFFLLGPFSPSFAIHLIMIARYGVLLEKGPFDKRTADYVWMLIFGALSLLVMGAIPFLWTPFMAGSLVFMIVYVWSREFPNAQISIYGIVTLKGFYLPWAFLALDLIFGSRLMPDIIGMIAGHLYYFLTVLHPLAGGKYVFKTPLWVHKLVAYWGKGIQVNSPVQRDPSAGVAFQGRSFRLNGNRTRTSTPSEQERQAQANSSAASQPSSGDGVAFRGKSYRLSS >EOY21523 pep chromosome:Theobroma_cacao_20110822:3:10441525:10443389:-1 gene:TCM_013219 transcript:EOY21523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRIRDVSMIDESMDYDSIIAQNGPYLLQQDVSSSFLKLPTPFSCQKKKKEKRERKKKSLVLVIQAKKAKIGLIGEKLVTFKLINPWVHEGPKWKRKTVSLTLVLKPTVS >EOY21855 pep chromosome:Theobroma_cacao_20110822:3:19133315:19134645:1 gene:TCM_013998 transcript:EOY21855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFFPLISSLVLPNSSPPFKVSKTTPHLRASLPCLAFSCLPVAFLCFSRRGKRMGNKPTQIHGYWQGYAVLWSFQHVSGFVNSPREKKEEHACIVRWKDGDRSWSKPNTQQFNKI >EOY23033 pep chromosome:Theobroma_cacao_20110822:3:25883221:25892739:1 gene:TCM_015044 transcript:EOY23033 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS LIKE6-like protein, putative isoform 4 MGRGKVVLERIENKINRQVTFSKRRNGMLKKAYELSVLCDAEVALIIFSSRGKLSEFGSSTVSQTLEKYWQHRYTSNVHLMDETQTLYQEVLKLKAKYESLQRSQRHLFGEELEPLSIKELYKIEKQLDRALTQARQKKVQLLLERMEELRKKEVELEDENKRLQSQLELEQSFPAAQGLGDPNIEAGNESNIPPSQANHAQLPSLLQGYHQFIPQERVSEARVDRGANKPTAGWL >EOY23035 pep chromosome:Theobroma_cacao_20110822:3:25883221:25892739:1 gene:TCM_015044 transcript:EOY23035 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS LIKE6-like protein, putative isoform 4 MGRGKVVLERIENKINRQVTFSKRRNGMLKKAYELSVLCDAEVALIIFSSRGKLSEFGSSTVSQTLEKYWQHRYTSNVHLMDETQTLYQEVLKLKAKYESLQRSQRHLFGEELEPLSIKELYKIEKQLDRALTQARQKKVQLLLERMEELRKKEVELEDENKRLQSQLELEQSFPAAQGLGDPNIEAGYHQFIPQERVSEARVDRGANKPTAGWL >EOY23032 pep chromosome:Theobroma_cacao_20110822:3:25883221:25892739:1 gene:TCM_015044 transcript:EOY23032 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS LIKE6-like protein, putative isoform 4 MGRGKVVLERIENKINRQVTFSKRRNGMLKKAYELSVLCDAEVALIIFSSRGKLSEFGSSTSVSQTLEKYWQHRYTSNVHLMDETQTLYQEVLKLKAKYESLQRSQRHLFGEELEPLSIKELYKIEKQLDRALTQARQKKVQLLLERMEELRKKEVELEDENKRLQSQLELEQSFPAAQGLGDPNIEAGYHQFIPQERVSEARVDRGANKPTAGWL >EOY23034 pep chromosome:Theobroma_cacao_20110822:3:25883165:25892461:1 gene:TCM_015044 transcript:EOY23034 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS LIKE6-like protein, putative isoform 4 MGRGKVVLERIENKINRQVTFSKRRNGMLKKAYELSVLCDAEVALIIFSSRGKLSEFGSSTVSQTLEKYWQHRYTSNVHLMDETQTLYQEVLKLKAKYESLQRSQRHLFGEELEPLSIKELYKIEKQLDRALTQARQKKVQLLLERMEELRKKEVELEDENKRLQSQLELEQSFPAAQGLGDPNIEAAPVTGTISLFPK >EOY23497 pep chromosome:Theobroma_cacao_20110822:3:27604980:27608381:-1 gene:TCM_015368 transcript:EOY23497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Highly ABA-induced PP2C gene 3, putative MLFSPSIYINFNLFRPVVALLFMQKKMKAQKIPKLHSPSTKAPRRMTSASGELSKLTVVRTKNARRRRLEIRRLKYTCQTMMNITITESSSNGDKDKNLLHGSKPSNGLTDISLSLSSSSSKQSSSEENDIVLAGFGGKTCKKKDDIESLTYMSHGLLSIMGRRREMEDAVKVELGFMVKGGENFDFYGVYDGHGGSRVAEECGERLHNVLVEEIVEDNEEGNGIDWGRTMERCFEKMDEEVNRGRVGEEMVGSTAVVAVVGNGKVIVANCGDSRAVLSRGGVAVALSFDHKPDRPDELERVEAGGGRVINWNGYRVLGVLATSRSIGDQCLKPFVICKPEVTVRELTNRDEFLILASDGLWDVISNEVACRVVRRCLNGRIRRKSLENIVNENRAAEAAAVLVELAIARGSKDNISVIVVELRKPSTFLP >EOY25162 pep chromosome:Theobroma_cacao_20110822:3:33451263:33455679:1 gene:TCM_016564 transcript:EOY25162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 5, putative MTLVRERRHQQGLRLSLPPPLPAADFRQRTHYAALLSAIGPTSPDIESLSDLEKLSVIGHGNGGTVYKVRNRKSSSVYALKVLRFDQNAAIIRHQAACEAEILKRVDSQFVVKCHAVFDAIGGELCFVMEYMERGSLYDELSVRINFPEDVISGVAQRVLRGLHYLHGMQIVHGDIKPSNLLINGKGDVKIADFGVSKIVVGTHNACDTCMGTCAYMSPERVDPERWNGCDADGFAGDVWSLGVVVLECFVGHYPLIGLGEKPDWAALMCAICFGERLEMPETASPEFRSFVRRCLEKEWRKRGTVDELLGHPFVNRSFGGSSNEGFIDFALHH >EOY22493 pep chromosome:Theobroma_cacao_20110822:3:23679766:23682920:-1 gene:TCM_014651 transcript:EOY22493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C-1 MDSNQQAQSSYPPQPPTAAITPTPSTTTSATPPPPFHHLLQQQQQQLQMFWSYQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDEAGLGGMVGATASGVPYYYPPIGQPAGGPAGPGGMIIGRPAVDPTSGVYVQPPSQAWQSVWQTAGAEDGSYGSGGSSGQGNLDGQG >EOY25345 pep chromosome:Theobroma_cacao_20110822:3:33982926:33988077:-1 gene:TCM_016686 transcript:EOY25345 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDA1/CD39 nucleoside phosphatase family protein isoform 1 MVFSRIAETISGASNLLSATQSSAASYMSPALSLQADKNAAHGFGFVNSGHKNNLRLSSSLQDFSSYHRLDPEAADLISEIDKSMTYTRPPLQRENAGSSFSKERGLPGGTPFLRRKWVRLIIVSLCLLLFIFLTYMVCMYIYSNWSKGASKFYVVLDCGSTGTRVYVYQASIDHKNDGSLPIVMKSLTEGLSRRPSSQSGRAYDRMETEPGFHKLVHDKSGLKAAINPLISWAEKQIPEHAHKTTSLFLYATAGVRRLPSADSKWLLENAWLILKNSPFLCRREWVRIISGTEEAYFGWTALNYRTGMLGATPKRKTFGALDLGGSSLQVTFENENHQHNETNLNLRIGVVTHHLSAYSLSGYGLNDAFDKSVVHLLKRLPDGSNTNLVNGKIEIKHPCLHSGYNEQYICSQCASKDQENGSPVVGGKILDKGGKSGIPVQLIGAPNWEQCSAIAKVAVNLSEWSNLYPGIDCDLQPCALSDSLPRPNGQFYALSGFFVVYRFFNLSSDAALDDVLEKGRDFCEKTWEVAKNSVAPQPFIEQYCFRAPYIVSLLREGLHITDSQLVIGSGSITWTKGVALLAAGKSFSSRLRLRGYQILQMKIDPIILIVILFMSLILLVCALSCVSNWMPRFFRRPYLPLFRHNSAASTSVLNIPSPFRFKRWSPINSGDGRVKMPLSPTVSGSQQTPFGLGHSLGSSIQLTESSLYPSTSSVSHSYSSSSLGQMQFDSSSMGSFWSPHRSQMRLQSRRSQSREDLNSSLAETQMVKV >EOY25347 pep chromosome:Theobroma_cacao_20110822:3:33982925:33988061:-1 gene:TCM_016686 transcript:EOY25347 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDA1/CD39 nucleoside phosphatase family protein isoform 1 MVFSRIAETISGASNLLSATQSSAASYMSPALSLQADKNAAHGFGFVNSGHKNNLRLSSSLQDFSSYHRLDPEAADLISEIDKSMTYTRPPLQRENAGSSFSKERGLPGGTPFLRRKWVRLIIVSLCLLLFIFLTYMVCMYIYSNWSKGASKFYVVLDCGSTGTRVYVYQASIDHKNDGSLPIVMKSLTEGLSRRPSSQSGRAYDRMETEPGFHKLVHDKSGLKAAINPLISWAEKQIPEHAHKTTSLFLYATAGVRRLPSADSKWLLENAWLILKNSPFLCRREWVRIISGTEEAYFGWTALNYRTGMLGATPKRKTFGALDLGGSSLQVTFENENHQHNETNLNLRIGVVTHHLSAYSLSGYGLNDAFDKSVVHLLKRLPDGSNTNLVNGKIEIKHPCLHSGYNEQYICSQCASKDQENGSPVVGGKILDKGGKSGIPVQLIGAPNWEQCSAIAKVAVNLSEWSNLYPGIDCDLQPCALSDSLPRPNGQFYALSGFFVVYRFFNLSSDAALDDVLEKGRDFCEKTWEVAKNSVAPQPFIEQYCFRAPYIVSLLREGLHITDSQLVIGSGSITWTKGVALLAAGKSFSSRLRLRGYQILQMKIDPIILIVILFMSLILLVCALSCVSNWMPRFFRRPYLPLFRHNSAASTSVLNIPSPFRFKRWSPINSGDGRVKMPLSPTVSGSQQTPFGLGHSLGSSIQLTESSLYPSTSSVSHSYSSSSLGQMQFDSSSMGSFWSPHRSQMRLQSRRSQSREDLNSSLAETQMVKV >EOY25346 pep chromosome:Theobroma_cacao_20110822:3:33984221:33987258:-1 gene:TCM_016686 transcript:EOY25346 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDA1/CD39 nucleoside phosphatase family protein isoform 1 MVFSRIAETISGASNLLSATQSSAASYMSPALSLQADKNAAHGFGFVNSGHKNNLRLSSSLQDFSSYHRLDPEAADLISEIDKSMTYTRPPLQRENAGSSFSKERGLPGGTPFLRRKWVRLIIVSLCLLLFIFLTYMVCMYIYSNWSKGASKFYVVLDCGSTGTRVYVYQASIDHKNDGSLPIVMKSLTEGLSRRPSSQSGRAYDRMETEPGFHKLVHDKSGLKAAINPLISWAEKQIPEHAHKTTSLFLYATAGVRRLPSADSKWLLENAWLILKNSPFLCRREWVRIISGTEEAYFGWTALNYRTGMLGATPKRKTFGALDLGGSSLQVTFENENHQHNETNLNLRIGVVTHHLSAYSLSGYGLNDAFDKSVVHLLKRLPDGSNTNLVNGKIEIKHPCLHSGYNEQYICSQCASKDQENGSPVVGGKILDKGGKSGIPVQLIGAPNWEQCSAIAKVAVNLSEWSNLYPGIDCDLQPCALSDSLPRPNGQFYALSGFFVVYRFFNLSSDAALDDVLEKGRDFCEKTWEVAKNSVAPQPFIEQYCFRAPYIVSLLREGLHITDSQLVIGSGSITWTKGVALLAAGKSFSSRLRLRGYQILQMKIDPIILIVILFMSLILLVCALSCVSNWMPRFFRRPYLPLFRHNSAASTSVLNIPSPFRFKRWSPINSGDGRVKMPLSPTVSGSQQTPFGLGHSLGSSIQLTESSLYPSTSSVSHSYSSSSLGQMQFDSSSMGSFWSPHRSQMRLQSRRSQSREDLNSSLAETQMVKV >EOY21087 pep chromosome:Theobroma_cacao_20110822:3:2859828:2861810:1 gene:TCM_012416 transcript:EOY21087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase, putative MSPAKSPPSSNNGDRRGYLDWRVSRFIDLFIASNTYISSLDTGIGFVSGVIFAFAIIFGYKTIILVSQTINRKMKKDPNVEAYIKKYGSLAPKRYHSSDIKKMTNSFREKLGEGGFCSVYKGKLEDGRLVAVKVLKLDTIKGNGEEFINEVISISRTSHVNIVSLLGYCYTENRKALIFEFMPNGSLEKFIHKENVSTSGRLAWEKLQEIARGIARGLEYLHRGCNTRILHFDIKPHNILLDEDFCPKISDFGLAKPCPKESAISMVDARGTAGYIAPEVFCRNFGRVSHKSDVYSFGMMILEMVGGRKNIDVAADHSSEMYYPHWVYDRLQQGNNLGLLGVMTSQDNDIAKKMIIIGLWCIQTYPVHRPSMNEVLFMLEGSLDALKIPPKPFIYTPTRSPINLCTAPIL >EOY24461 pep chromosome:Theobroma_cacao_20110822:3:31053191:31054955:1 gene:TCM_016056 transcript:EOY24461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion binding, putative MGDFSIQISTNLINRLTEDDEKLKKRTKKTKTRVPREPRRPQAKIDQKQISEDSEKQQGTTGTGWPVPPPLFLPVNQPPYPASAELDAIRSVVKESESVVEKLRKQEENMVQEVTQKAKDLHEKEFKLPDPKPMPCLVENNAWMACYKQNASDLTKCAPLAQNFADCARRVRQLAKSAEK >EOY24124 pep chromosome:Theobroma_cacao_20110822:3:29744677:29745541:-1 gene:TCM_015809 transcript:EOY24124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTIFPNRPGPGSNKMFNWESWPSKVNIVVSHSNPWPLLVHIPIQTESLDCKSFPILSHTGFPSGFELWSSRCKPKPIVLLRPEDLAGYDRHLKLAQTGNKFSQYFHRIQMLCS >EOY24298 pep chromosome:Theobroma_cacao_20110822:3:30469051:30470297:-1 gene:TCM_015942 transcript:EOY24298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPFRRMIEVEPPSPLRYIIGAAIMMLGVVLPVGYMMFRNKRVPSSSSYSKQTNKVLI >EOY21694 pep chromosome:Theobroma_cacao_20110822:3:17281922:17283257:-1 gene:TCM_013790 transcript:EOY21694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDQMQVVIQLKFFYITSSVKSIRIKQNLNCVNQIKWSSPYKRPQKRKRGRKMMKYRVEFISNEWEDKEESSESD >EOY21165 pep chromosome:Theobroma_cacao_20110822:3:3711832:3712931:-1 gene:TCM_012542 transcript:EOY21165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSSQQKSLQDESHLEAGQQQWSEITPASTSAAVEGADGFHSVKVEPRASARSTPAFARS >EOY23293 pep chromosome:Theobroma_cacao_20110822:3:26938133:26942105:-1 gene:TCM_015234 transcript:EOY23293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF819) [Source:Projected from Arabidopsis thaliana (AT5G24000) TAIR;Acc:AT5G24000] MSSFALSLSLCPLTSPPTPSLRLSPLSFHQDLLTASTARRVPNLALPCQNTSSNKPLLSPLLIPKTRNVEVRSQLRYPYPIISPDDHWGTWTALFVIGAFGLRSEETKIGSMVSAALVSTLVGLAASNLGIIPFEAPAYSLFMGFLLPLAVPLLLFRADSRRVIRSTGTLLLAFLLGSVMSRNLRLCFFEIHCLKTVSTIVGTLVAFLMVPMRSLGPDNWKIASALMGSYIGGSVNYIAISEALGVSPSVLAARVAVDNVICAMYFMILFALASKVDPEASKSTNDVEMNLDSDSGGKVPVLQTATALAISFLISTLFPTQFANLAPAGDTLALALIQVFFAVVGANGSI >EOY25296 pep chromosome:Theobroma_cacao_20110822:3:33852397:33854325:1 gene:TCM_016658 transcript:EOY25296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQVPCSRRQTRSSEPSPAPPPSVIYLIYKHCRGDLYTYSMYALKIVDAENGDTKLLPEPIFRLNKNSFSVAPGFCRNGLKIFYSWVECRRLDRAKTSEKHFAIVSDTLCKYDFALEKGLAEGKGLVDLDDENLVAHVDYGVVYPGDKKLCVVRCGLDRPLDHFNDDECRNFISCDIYKARGHRQKKTNLSGLVHLASSTCSMDDNPGFYGLLKACFPMRNEAE >EOY23569 pep chromosome:Theobroma_cacao_20110822:3:27900307:27904412:1 gene:TCM_015423 transcript:EOY23569 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 21, putative isoform 1 MGKKGSGWFSTVKKVFKSSSKDLPEKKKDNNVEKWPNEAPEVVSFEHFPAESSPDLTNDESATSTPLHEDRSHAIAVAVATAAAAEAAVAAAQAAAKVVRLAGYGRHSKEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGYNVRKQAQMTMRCMQALVRVQARVRARRLRLTHENLQKTVDEDEEEIRGMEELERKPKSPLRKYDGWDGGHQSSEKVKESASKKHDAVMRRERALAYAYSYQQQQQHQQQPQQHQQLLMQPHPNGKDVDLYLNEREKAQWGWNWLERWMSSQPYHARQLGLQEGSYMTLPTTATTTATTDNMSEKTVEMDVVTQMESSPYSTQLQELQSGSNNVPSYMAPTQSAKAKVRSQGPVKQQRGSYLPQWNPSTKKASNCDSSSSGGGTTIYQAPRSPGPKNNGARVPSGRLGGCSPDAGGGEDWRLPIAGHGW >EOY23570 pep chromosome:Theobroma_cacao_20110822:3:27900307:27904412:1 gene:TCM_015423 transcript:EOY23570 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 21, putative isoform 1 MGKKGSGWFSTVKKVFKSSSKDLPEKKKDNNVEKWPNEAPEVVSFEHFPAESSPDLTNDESATSTPLHEDRSHAIAVAVATAAAAEAAVAAAQAAAKVVRLAGYGRHSKEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGYNVRKQAQMTMRCMQALVRVQARVRARRLRLTHENLQKTVDEDEEEIRGMEELERKPKSPLRKYDGWDGGHQSSEKVKESASKKHDAVMRRERALAYAYSYQQQQHQQQPQQHQQLLMQPHPNGKDVDLYLNEREKAQWGWNWLERWMSSQPYHARQLGLQEGSYMTLPTTATTTATTDNMSEKTVEMDVVTQMESSPYSTQLQELQSGSNNVPSYMAPTQSAKAKVRSQGPVKQQRGSYLPQWNPSTKKASNCDSSSSGGGTTIYQAPRSPGPKNNGARVPSGRLGGCSPDAGGGEDWRLPIAGHGW >EOY22155 pep chromosome:Theobroma_cacao_20110822:3:21946992:21950147:-1 gene:TCM_014370 transcript:EOY22155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Movement protein binding protein 2C, putative isoform 2 MFEPQHFVDLQDNSGFGDPKSWLSGDNNINDNSSPTHHRTQSSLTNSTPSNGNVDRVLYNDLVEMIPLVQSLIERKANSSFTRRGSMIYTKTPSREYLSRKKATDMKGRNAAQSIPVRKKKDQGDRNGSNNQDGDSFSIFSSRALAAEKEDEELVALREQLEDMQRKLLEKDELLKAAEISKNQINDIQAELDKLKQHAAEKDSLVKSIQLQLSDAKIKLADKQAALEKTQWEAITSKQKVEKLQNDIDSVQGEFSSFMLLLNGLTKNNSTKHAEDYDVASYHFDHLPYIDDADDNEIQKMEEARQAYVAALAAAKEEQDEESLAAAASARLYLQSFLFRSESLEESNISIS >EOY22156 pep chromosome:Theobroma_cacao_20110822:3:21946707:21948721:-1 gene:TCM_014370 transcript:EOY22156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Movement protein binding protein 2C, putative isoform 2 MKGRNAAQSIPVRKKKDQGDRNGSNNQDGDSFSIFSSRALAAEKEDEELVALREQLEDMQRKLLEKDELLKAAEISKNQINDIQAELDKLKQHAAEKDSLVKSIQLQLSDAKIKLADKQAALEKTQWEAITSKQKVEKLQNDIDSVQGEFSSFMLLLNGLTKNNSTKHAEDYDVASYHFDHLPYIDDADDNEIQKMEEARQAYVAALAAAKEEQDEESLAAAASARLYLQSFLFRSESLEESNISIS >EOY22154 pep chromosome:Theobroma_cacao_20110822:3:21946683:21950296:-1 gene:TCM_014370 transcript:EOY22154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Movement protein binding protein 2C, putative isoform 2 MFEPQHFVDLQDNSGFGDPKSWLSGDNNINDNSSPTHHRTQSSLTNSTPSNGNVDRVLYNDLVEMIPLVQSLIERKANSSFTRRGSMIYTKTPSREYLSRKATDMKGRNAAQSIPVRKKKDQGDRNGSNNQDGDSFSIFSSRALAAEKEDEELVALREQLEDMQRKLLEKDELLKAAEISKNQINDIQAELDKLKQHAAEKDSLVKSIQLQLSDAKIKLADKQAALEKTQWEAITSKQKVEKLQNDIDSVQGEFSSFMLLLNGLTKNNSTKHAEDYDVASYHFDHLPYIDDADDNEIQKMEEARQAYVAALAAAKEEQDEESLAAAASARLYLQSFLFRSESLEESNISIS >EOY21597 pep chromosome:Theobroma_cacao_20110822:3:13462713:13467410:-1 gene:TCM_013483 transcript:EOY21597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoadipate-semialdehyde dehydrogenase, putative isoform 1 MMEKGVQRWVVDISTWDPSTDDFSYALSLLPQHHHSSITRFVKKDDRKRALISWLLQYALVHEVLGIPYHEIVIKRTLEGKPFLECGRVCLDFPNFNFNVSHHGDYVAIASEPLCLVGLDIVSHVIPEKETVLEFIQNFSSCFSSLEWDQIVTAGSNDEVLTELYRYWCLKEAYVKATGSGLAYGLHKVEFYHTSWTDISVKVDGVRNAQWRFWLSELGKGHYLFLNFRYQLQEVTQYRPLRVTREH >EOY21596 pep chromosome:Theobroma_cacao_20110822:3:13462403:13467574:-1 gene:TCM_013483 transcript:EOY21596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoadipate-semialdehyde dehydrogenase, putative isoform 1 MMEKGVQRWVVDISTWDPSTDDFSYALSLLPQHHHSSITRFVKKDDRKRALISWLLQYALVHEVLGIPYHEIVIKRTLEGKPFLECGRVCLDFPNFNFNVSHHGDYVAIASEPLCLVGLDIVSHVIPEKETVLEFIQNFSSCFSSLEWDQIVTAGSNDEVLTELYRYWCLKEAYVKATGSGLAYGLHKVEFYHTSWTDISVKVDGVRNAQWRFWLSELGKGHYVSIARGHPISATESYKRTLNQTKFNEEEYNEGLLLPNVSFVWRTVEELFLVIHKAKSSC >EOY22349 pep chromosome:Theobroma_cacao_20110822:3:23079336:23081152:1 gene:TCM_014546 transcript:EOY22349 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MNLSFSKLPILLRPFLLSLILSVFFLAFLATQSPHHRLKTSLIKNTRNPPSNNSSAGGLRIRPGYSSYNAYIERQLNKTLNPKLRKIWTTRDWDRKVRVFVRFFESLKQRNLLFNDSRALSIGARVGQEVAAMRLVGVSDSVGIDLVPYPPLVVKGDFHAQPFVNRTFDFEFSNVFDHALYPWKFVGEIERTLKPGGVCVLHVALSRRADKYSANDLYSVEPLVELFKESELVEVRNVDGFGLDTEKTLGSIRTK >EOY22350 pep chromosome:Theobroma_cacao_20110822:3:23079667:23081060:1 gene:TCM_014546 transcript:EOY22350 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MNLSFSKLPILLRPFLLSLILSVFFLAFLATQSPHHRLKTSLIKNTRNPPSNNSSAGGLRIRPGYSSYNAYIERQLNKTLNPKLRKIWTTRDWDRKVRVFVRFFESLKQRNLLFNDSRALSIGARVGQEVAAMRLVGVSDSVGIDLVPYPPLVVKGDFHAQPFVNRTFDFEFSNVFDHALYPWKFVGEIERTLKPGGVCVLHVALSRRADKYSANDLYSVEPLVELFKESELVEKTLGSIRTK >EOY21361 pep chromosome:Theobroma_cacao_20110822:3:7178542:7182876:1 gene:TCM_012890 transcript:EOY21361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein isoform 1 MSATNSESDSKNEAASSSEAEPPQIKGIRMPTMEEIRAQEVWDNCAVRSVVSGVMGGGLGLFMGLFLGALDNPIMQDQMTGRQQFVYTAKQMGQRSWGSAKAFAVMGFVFSAVECVVEKARAKHDTTNTVVAGCVTGGTMSARGGPKAACAGCAGFAAFSVLIEKFFERHT >EOY21362 pep chromosome:Theobroma_cacao_20110822:3:7178807:7182575:1 gene:TCM_012890 transcript:EOY21362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein isoform 1 SCGPKTCLFIRNLPSYGGSSPHPHTCVTRFFLGILWNWSLGKMSATNSESDSKNEAASSSEAEPPQIKGIRMPTMEEIRAQEVWDNCAVRSVVSGVMGGGLGLFMGLFLGALDNPIMQDQMTGRQQFVYTAKQMGQRSWGSAKAFAVMGFVFSAVECVVEKKARAKHDTTNTVVAGCVTGGTMSARGGPKAACAGCAGFAAFSVLIEKFFERHT >EOY20730 pep chromosome:Theobroma_cacao_20110822:3:760646:809130:-1 gene:TCM_012074 transcript:EOY20730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia mutated, putative MVTSRDVQEIVSKLSSDKAKAREEGIKLLNMWLEGERSIGFCKFFGQNTAKLKPNEIPQSETWPFLIKLLTQCVSLEISTSKRRPPKLTFAKTLRIVIQRAEDTKFSGNMLPLLSVVKTLFSHIWDVLNNVPSFQSEYGIILRHLLVVRDYRFHMRKHIYSCFIICYMEKVETTLTEKSNTQCSQKEEIFRSILTLQSLLENPPGDFPDNLREDIVKGFVKIFSYIRDEGKVSRKLIECINTYLLKDGPNLSSQSLEIHNAIQQFVFHCWLITHDKGLKDALVHYARLQLHLIRGVNDGSFLVEQLLDVICKELDQSNLSIPVTSWSDGAKDEKFGTLSSSQYNLVELAALVLYRACANKSRATSNEKRVKRESTAARLKEALMKGKWLWNVAFCYLIHNYYTRISKDLLVYWFEGICSSFERILNDANMGHAYDGLLWTLRSLQELSSVALLSDAQVEISLRSSFSSKEFDCGWQLIWSHLMHALPTFSNVTPVVDAALALLGSIISNDLTNTCVVPHDIWDLQLFKGMPSLFALYFIACYFSRNGSQGDLRDILHLRKYLLKATLGSLNWNESSLLNDRMVLLLPAAVYALCAGCEHFTHCYEEILQLNSFVDTTEVADDWIKIDEYDHERQLENFECSVEVLANIDLDSNVQISPSQFHQSVCLPRQLREPLLHEMEAHILGVLADHKAEKKPPSDVFIICALLSNLIYGLYLTREREEVSPFLSKLGHCLLELLNYAVNVIEKNNNDLRSLGFLGFTSGFNQKSAVVASFRSFVLCPLFTQRKDQDALDVELYDAVKKSLARLLKAFAKLYDEYTKFVSNLQSEMLSSDSSGSDSSVQISNHMDSNKGRIMDMELDVNEDAKDVDILTSGGKIPAAGAFSAVKWKLGMVSLMSSFFSVLHRKTWDVLFNLMEKELDLKVYENILWNLCRHLHSLSSSKLADLVNLINNRIRMQVSLKLDSFNVLAAISCLLDTLLSLDIGKDKYGALALEEREAKQSLTYLAELVIKVAEFDFLDWFGRVKLIDCICNFILLSPEIGQTMIEKLLLMLQDPDYRVRFFLSRRIGVLFQTWDGHGELFHDICSNFGVELVFYSKEKLVTAREVLAAGPQPRPRVETVIITLMQLALHSEKIELEAVFMMCAVSAIDPSQRELVTAALDNLSRNLQYISRMMYLEELIGSILFCWVACGVSIAALVEIRQLFVSDAEPSYFLPYCFNWLLPALVLHEDNSNLNWVAKIAGQPLPDMVKDHFVPIFSVCMTLHCSKSSGCEKGAVVLRNSILHLAEISENERDKLIKKNMVSIVSHILSLASCASDPIIPFFSRDNVVCAIQTVVDGFLEMEDGHASVSVIDKINIFRPDRVFMFIIEMHYKISAAIHHRHRCHRLAAVEVLVNILGHRAALSSTSNYLFNLIGQFIGCHALQDQCCRIISALLKSFKSNPSKEIVGVLGEQLQFLVSKLVACYIPLEADGQPSASGSSQVLSLLLELTVDSDPLLYDYIRELEPFPEIDIFEGIRNFHQDLCRVYSPRDHLLKFVKRSCYLPPRLLSWSLQSLHKKLLAGETFQEGKTTEEFVDATYWHGDQEIVHAVWTLVRMCAADDANRIRGLVSDFISRVGIGDPHSVVFRLPGDSNHMHVCGPISHNGASEINFSMDTGISEELLIALLKVLKKYLMDDSVKIVAITSQTLRGILSTERGQKAMLSFDSYERSLIEVHSKGINLELVEKFLMDLEKKFRAEDISLEKSTTWVTHGKTFETWICPLVYLLIGYCNDVIIRLCQDVALLKTEVAELLLPSVVVNLASKKDIDVDIQKLISCQVQEHIFVASNKLIKSIQVWLNALNELRLCYVLERSSSGPLRRESSKHAKACSYSSRSHSSTLKTRDSAARLSAIAMSTSSWDKVYWLSINYLIVARSAIICGSYFTSMMYVEYWCEEHFHSLTLGSPDFSNHEMLPQHIEILMSAITQINEPDSLYGVIQSHTLTSQIITFEHEGNWNKALEYYDLQVRSEATAYVVGGNSTTLSLAETQSLSHSSLSTLEDETKRKPYKGLIRSLQQIGCRHVLDLYCQGLTSGKGQFQQDLEFKELQYEAAWRTGNWDFSLLYTVASSHSSGQHTKTHHFNENLHSCLRALQEGDSDEFYRKLKDSKEELVWSVSHASEESTEFIYSTIIKFQILYHLGIAWDIRWPTSSYEGIKLQKHKQKMFSVPVIPTMGQLSWLNKDWSSMLKKSQLHMNLLEPFIAFRRVLLQILNCDNCTMEHLLQSASTLRKGSRFSQAAAALHEFKFLCGGTGEHGLTPYWLGRLEEAKLLRAQGQHEMAISLGNYVLEAYQLNEEASDVYRLVGKWLAETRSSNSRTIFEKYLKPAVSLAESHKTADKKSAERQSQTHFHLAHYADALFRSYEERLNSNEWQAAMRLRKHKTMELEALIRRLKGSTKGDQIDYSEKIKELQKQLAMDKEEAQKLQDDRDIFLSLALEGYKRCLVIGDKYDVRVVFRLVSLWFSPSSRPDVINNMLKTIGEVQTYKFVPLVYQIASRMGSIKDGIGPNNIQFALVSLVKKMAIDHPYHTIFLLLALANGDRIKDKQGRRNSFVVDRDKKLAAENLLGELSAYHGPVIIQMKQMVEIYIKLAELDTRREDSGKKASLPRDIRSVRQLELVPVVTASFPVDHSCQYPEGSFPHFRGFADSVMVMNGINVPKMVECLGSDGRRYKQLAKSGNDDLRQDAVMEQFFGLVNTFLQNHRDTWKRRLVIRTYKVVPFTPSAGVIEWVDGTLPLGEYLTGSNRNGGAHGCYGIGDWSFLKCRAHMSNEKDKRKAFQEVCDNFRPVMHYFFLERFPQPANWFEKRLAYTRSVAASSMVGYIVGLGDRHTMNILIDQATAQVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVAGVEGIFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKENDDDLDTSLEGAQDEYEGNKDAARALLRVKQKLDGYEEGEMRSAHGQVQQLIQDAIDPERLCQMFPGWGAWM >EOY20852 pep chromosome:Theobroma_cacao_20110822:3:1508751:1509824:1 gene:TCM_012191 transcript:EOY20852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin family protein, putative MAEYQQQNLQYPQQQPQTDNYNNYFQDNHPSTSKVLAVVTLLPVAGTLLGLAGLSLVGTLIGLAFAIPLFLLFSPVLVPAALVIAGSVAGFLTSGAFGITGLSSLSWIVNYLRGTRGSMSQRLDHAKRRSFLCYLLCFQLLACKNQYKVGEGQEGYTKCMKTNQMNAGGKTKQNE >EOY22932 pep chromosome:Theobroma_cacao_20110822:3:25381333:25382916:1 gene:TCM_014961 transcript:EOY22932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MECIWSIKGWMTLQATETHNKRSMSPLSLVRRLRETSNKCCSFTPETGRRSDQVTCHSNYLNSQKVMLLVVCPTKSISRSSVFWTTKSLDQRVPVGFSCSKPIQC >EOY23472 pep chromosome:Theobroma_cacao_20110822:3:27538834:27541956:-1 gene:TCM_015359 transcript:EOY23472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory components of ABA receptor 3 isoform 1 MNGNSNGFGSGAAVVASEYVRRHHRHEIGENQCSSALVKHIKAPVPLVWSLVRRFDQPQKYKPFVSRCVVQGNLEIGSLREVDVKSGLPATTSTERLELLDDDEHILSIRIVGGDHRLKNYSSISSLHPEIIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALIKCNLKSLADVSEGLAVQDRTEPIHL >EOY23471 pep chromosome:Theobroma_cacao_20110822:3:27538770:27543391:-1 gene:TCM_015359 transcript:EOY23471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory components of ABA receptor 3 isoform 1 MIGRLRRGRSQVASLSFYGGGSQAFLLLLSLEINQLRQFLEASSENRSERSERVWIDTKRKEEKMNGNSNGFGSGAAVVASEYVRRHHRHEIGENQCSSALVKHIKAPVPLVWSLVRRFDQPQKYKPFVSRCVVQGNLEIGSLREVDVKSGLPATTSTERLELLDDDEHILSIRIVGGDHRLKNYSSISSLHPEIIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALIKCNLKSLADVSEGLAVQDRTEPIHL >EOY21602 pep chromosome:Theobroma_cacao_20110822:3:13707891:13730137:1 gene:TCM_013507 transcript:EOY21602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 6 isoform 1 MDSRQPLKRSDTIAESMPDALRQSTYHMKRCFSRLVATGKRLLKRQLLMEEVEKSIEDKVERSKILEGLIGFIVISTQEAAVVPPYIAFAVRPNPGFWEFVKVNSEDLHVDAINASEYLKFKEMIFDQNWSNDENALEIDFAAINYNSPHLTLPSSIGNGVSYISKFMFSKLWESSESSRPLLDYLLALNHQGEDLLINETLDTVAKLKTALLTANNVISALPKETPYKNFEQRLKELGFEKGWGHNAERVQETMMTLSEVLQTPEPAKLESLFSWLPTVFGIVILSPHGYFGQSDVLGLPDTGGQVVYILDQVKALEEALLLRFKQQGLAVKPQILVVTRLIPDARGTKCNQELEPIINTKHSHILRVPFQTDNGVLQQWVSRFDIYPYLEKFAQDAVAKILEHMECKPDLIIGNYSDGNLVASLMARKLGITQGTIAHALEKTKYEDSDVKWKELEAKYHFSCQFTADIIAMNATDFIITSTYQEIAGSKEKPGQYESHAAFTMPGLHRVVSGINIFDPKFNIVAPGADQSVYFPYTEKKRRLSSFHPAIEELLYSQNDNNEHIGFLADRSKPIIFSMARLDTVKNITGLTEWYGKNKRLRDLVNLVVVAGFFDPSKSNDREEQAEIKRMHQLMEQYRLRGQFRWIAAQTDRLRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNKGGPAEIIVDGASGFHIDPNNGDKSSNIIADFFDKCRTDAEHWKRVSAAGLHRIQECYTWEIYANKLLNMGSIYGFWRQLNKEQNLTKQRYIQLLYNLQFRNLARTVPIPREQAQVHLPLPISKPQQSTVLDAPAEPQQIPPLVVSDEGPQPAAETSRTRHAI >EOY21603 pep chromosome:Theobroma_cacao_20110822:3:13708969:13714009:1 gene:TCM_013507 transcript:EOY21603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 6 isoform 1 MFSKLWESSESSRPLLDYLLALNHQGEDLLINETLDTVAKLKTALLTANNVISALPKETPYKNFEQRLKELGFEKGWGHNAERVQETMMTLSEVLQTPEPAKLESLFSWLPTVFGIVILSPHGYFGQSDVLGLPDTGGQVVYILDQVKALEEALLLRFKQQGLAVKPQILVVTRLIPDARGTKCNQELEPIINTKHSHILRVPFQTDNGVLQQWVSRFDIYPYLEKFAQDAVAKILEHMECKPDLIIGNYSDGNLVASLMARKLGITQGTIAHALEKTKYEDSDVKWKELEAKYHFSCQFTADIIAMNATDFIITSTYQEIAGSKEKPGQYESHAAFTMPGLHRVVSGINIFDPKFNIVAPGADQSVYFPYTEKKRRLSSFHPAIEELLYSQNDNNEHIGFLADRSKPIIFSMARLDTVKNITGLTEWYGKNKRLRDLVNLVVVAGFFDPSKSNDREEQAEIKRMHQLMEQYRLRGQFRWIAAQTDRLRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNKGGPAEIIVDGASGFHIDPNNGDKSSNIIADFFDKCRTDAEHWKRVSAAGLHRIQECYTWEIYANKLLNMGSIYGFWRQLNKEQNLTKQRYIQLLYNLQFRNLARTVPIPREQAQVHLPLPISKPQQSTVLDAPAEPQQIPPLVVSDEGPQPAAETSRTRVKSLQRKKTSYFHRLCFIVGYLLLIYYVLRKLYYKFTW >EOY22675 pep chromosome:Theobroma_cacao_20110822:3:24432481:24437426:1 gene:TCM_014779 transcript:EOY22675 gene_biotype:protein_coding transcript_biotype:protein_coding description:MtN19-like protein, putative isoform 1 MNSANGCVVDSTLRSPIKVDREMKGWGQKVLMVGDNGDRSSRFRPQLKEMGDGHCSPHLDRCLNFERSDEWCTQTQRWLKLSQKRSGTVLYEFSEDSSFKFLLHWKMKIYNRGQLLLVGILLTLSLQAIWGKENKIKTAVFLSPKFELGPGSVENRYYYDMDFPKGHIALKSFNGEVIDEAGNPVPLHETYLHHWVVARYYARKGVDVSKFNESKKLHRSDYISGRNSGICQGGVLGQYFGLGSETRRTATHVPDPYGIEVGNPSEIPSGFEEIWLLNIHAIDTRGVEDRLGCTECRCDLYNVTEDEYGRPLRPDYKGGLSCCYDRTQCRLKQGFEGVRRTLYLRYTVKWVDIDSSIVPVKIYIFDITDSWKRSGNSTGINAEHHCKVEYDVESCSTTGLADDGCVDTKRISLDMPFGGYVIYGVAHQHSGGSGSALYRENGQLLCSSIPTYGEGEEAGNEAGYIVGMSTCYPQPGTVKISKGETLILESNYSSIGHHTGVMGLFYILVADALPKPMRTLRVVVQTQDSIILLTILWAAVALMGVVTVVAVAIRYQLKHEREDGYEAIMM >EOY22676 pep chromosome:Theobroma_cacao_20110822:3:24433305:24437255:1 gene:TCM_014779 transcript:EOY22676 gene_biotype:protein_coding transcript_biotype:protein_coding description:MtN19-like protein, putative isoform 1 MEIAVLLANECSRHISVVRKQCCHQKARGEDPCTPLTLNPIDIISTTKAWAIVQPELRAFRRVVHADAAVVEVISETFGKMKIYNRGQLLLVGILLTLSLQAIWGKENKIKTAVFLSPKFELGPGSVENRYYYDMDFPKGHIALKSFNGEVIDEAGNPVPLHETYLHHWVVARYYARKGVDVSKFNESKKLHRSDYISGRNSGICQGGVLGQYFGLGSETRRTATHVPDPYGIEVGNPSEIPSGFEEIWLLNIHAIDTRGVEDRLGCTECRCDLYNVTEDEYGRPLRPDYKGGLSCCYDRTQCRLKQGFEGVRRTLYLRYTVKWVDIDSSIVPVKIYIFDITDSWKRSGNSTGINAEHHCKVEYDVESCSTTGLADDGCVDTKRISLDMPFGGYVIYGVAHQHSGGSGSALYRENGQLLCSSIPTYGEGEEAGNEAGYIVGMSTCYPQPGTVKISKGETLILESNYSSIGHHTGVMGLFYILVADALPKPMRTLRVVVQTQDSIILLTILWAAVALMGVVTVVAVAIRYQLKHEREDGYEAIMM >EOY22020 pep chromosome:Theobroma_cacao_20110822:3:20384743:20386841:-1 gene:TCM_014171 transcript:EOY22020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19e family protein isoform 2 HEFVKAYAAHLKRSGKIELPPWTDIVKGGTLKELAPYDPDWYYIRAASMARKIYLRGGLGVNAFRRIYGGAKRNGSRPRHFCKSSGSIARHILQQLQNVNIIDLDTKGRITSNGQRD >EOY22018 pep chromosome:Theobroma_cacao_20110822:3:20384505:20386922:-1 gene:TCM_014171 transcript:EOY22018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19e family protein isoform 2 MEAARTVKDVSPHEFVKAYAAHLKRSGKIELPPWTDIVKGGTLKELAPYDPDWYYIRAASMARKIYLRGGLGVNAFRRIYGGAKRNGSRPRHFCKSSGSIARHILQQLQNVNIIDLDTKGGRRITSNGQRDLDQVAGRIAVAL >EOY22019 pep chromosome:Theobroma_cacao_20110822:3:20385520:20386839:-1 gene:TCM_014171 transcript:EOY22019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19e family protein isoform 2 HEFVKAYAAHLKRSGKIELPPWTDIVKGGTLKELAPYDPDWYYIRAASMARKIYLRGGLGVNAFRRIYGGAKRNGSRPRHFCKSSGSIARHILQQLQNVNIIDLDTKG >EOY21480 pep chromosome:Theobroma_cacao_20110822:3:8927477:8930689:-1 gene:TCM_013063 transcript:EOY21480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 17 isoform 1 MALWFMVGIICGLCFVCLSAELQRLEQPGKGDGSLSFLVVGDWGRRGSFNQSRVAFQMGKIGEKLDIDFVVSTGDNFYDNGLSSEHDTAFEESFTDVYTANSLQKQWYSGKNMTANSLSYAVLGNHDYRGDAEAQLSPLLRKIDSRWLCLRSFIVNAELAEIIFVDTTPFVNTYFLDPEDHTYDWRGVTPRKPYIANLLKDVESALRESTATWKIVVGHHAIRSVGHHGDTEELVSHLLPILKANNVDFYMNGHDHCLEHISDTDSRIQFLTSGAGSKAWRGDVKQLNREGLSFFYDGQGFMSVQLTQSDAEIVFYDVYGNILHRLNASKQFLHSSM >EOY21481 pep chromosome:Theobroma_cacao_20110822:3:8927670:8930641:-1 gene:TCM_013063 transcript:EOY21481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 17 isoform 1 MALWFMVGIICGLCFVCLSAELQRLEQPGKGDGSLSFLVVGDWGRRGSFNQSRVAFQMGKIGEKLDIDFVVSTGDNFYDNGLSSEHDTAFEESFTDVYTANSLQKQWYSVLGNHDYRGDAEAQLSPLLRKIDSRWLCLRSFIVNAELAEIIFVDTTPFVNTYFLDPEDHTYDWRGVTPRKPYIANLLKDVESALRESTATWKIVVGHHAIRSVGHHGDTEELVSHLLPILKANNVDFYMNGHDHCLEHISDTDSRIQFLTSGAGSKAWRGDVKQLNREGLSFFYDGQGFMSVQLTQSDAEIVFYDVYGNILHRLNASKQFLHSSM >EOY25382 pep chromosome:Theobroma_cacao_20110822:3:34104318:34104632:-1 gene:TCM_016708 transcript:EOY25382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAYRPFMLSFFMFLLLQQHFHPISASRSLGFRAPSIPKDFLSKPSSPSNNLGRFTINRYKMIEADAFRPTSPGHSPGVGHNDPPPAS >EOY25279 pep chromosome:Theobroma_cacao_20110822:3:33792924:33795602:1 gene:TCM_016643 transcript:EOY25279 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase subunit gamma-3, putative MADHQESSEVQSNQELQGPATSNGQEINRSTSDDHDISLTMERAREAYRTYSRLEPKPERREIWAWYAYELCSYFVHTALIPIVFSLIIGQIVDLPSEPPQGWSKSDKGLTCKISEMQLYERLTRRSISIGDSKVSPLEWTSISWAIGLILAGPALRFISIKLDYGRNQQVIAGAATAIGSFFCLPVGFFRVTWIFPLYIAPIVVAITIATASHTRHHGLMIRGFTGAILQRHQFPVRRGVSSWHSLYAAAAGCLGSAVIAAFVYYMLRIRDTFTGLWVVSIFSGLKWLAGIVHVVTLRHGATVSSTLPKDHFLTIFKYHHGLGSLIVVGLSSFTSMCIFTGGLLYLVGELCLKPVFLLYFWLIYFIFPSVSLPLLQPLQLVLKANAVKMHLLGLILSLITSGTGFQFRKDSWQKHHILIFAALQSTSTGVLHAFGRVLLMDCSPAGKEGAFAIWYSWVKMVGTCLGFAVASGAAAGNVGTSFGIAFCTAAVAILISIYGNISDVAGAVAAGLTAEEGETVSAPQTPSGLGDGNININGYDSATKEPVAAGEEAA >EOY22778 pep chromosome:Theobroma_cacao_20110822:3:24777616:24778598:1 gene:TCM_014850 transcript:EOY22778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive element binding factor, putative MATIEESTTLEFIRQHLLGDFASADAFITSLDFGLSQLQPIIKPENPIPELEHDSPISDPNNQIPDIFSCDVKPEVVDLESPRSIISVYNPEPKLSLCEERRHYRGVRRRPWGKFAAEIRDPSRKGSRVWLGTFESDVDAAKAYDCAAFKMRGHKAILNFPLEAGEAGPPASTGRKRRRVNGIKLPECDVMSPESIELAWEVKEEEGEPHYLNQLSPLTRRQVG >EOY20954 pep chromosome:Theobroma_cacao_20110822:3:1920261:1922949:-1 gene:TCM_012272 transcript:EOY20954 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent helicase/nuclease subunit A isoform 1 MSSSGCVNLKSTKTVSFSASEKIQNLLKQTGCCCCCCCIRFLDRKNWKIKKSERKMAMNSVKWGYVRIITGTILGGVLGFYVMHRVEVSYKEKMKERLRQYETELKKKEKLNELEDSI >EOY20955 pep chromosome:Theobroma_cacao_20110822:3:1920692:1922704:-1 gene:TCM_012272 transcript:EOY20955 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent helicase/nuclease subunit A isoform 1 TVSFSASEKIQNLLKQTGCCCCCCCIRFLDRKNWKIKKSERKMAMNSVKWGYVRIITGTILGGVLGFYVMHRVEVSYKEKMKERLRQYETELKKKEKLNELEDSI >EOY25418 pep chromosome:Theobroma_cacao_20110822:3:34212521:34214595:-1 gene:TCM_016730 transcript:EOY25418 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MAFYSKPKKPHLISFHSNLNLCTTLFFLLVFTVPLLFLLHTCTYSLCTTFAPNNVNSWSGDLRKAEFAWNRLPFTQIQPPPIRLKIAVFSRKWPIGTTPGGMERHAYTLHTALARRGHQVHVFTSPVDGGKAPPDTPTSSANSPRIHCHEGEAGKWRYNKAWELFNEESQRGPFDVIHSESVALPHWLARNLKNLAVSWHGIALESLQSSIYQDLTRKPNEPMSPAFNVSLYGVVPKVLNEIRFFHNYAHHVAISDSCGEMLRDVYQIPRKRVHVILNGVDENGFRNDLSLGLYFRSKIGVPRNGSLVLGVAGRLVKDKGHPLLYEAFSKLIRKHPDVYLLVAGSGPWEQRYRELGPRVLVLGSMAPSQLRAFYNAIDIFVNPTLRPQGLDLTLMEAMMSGKPVMASRFPSIQGSIVVDDELGFMFSPNVDSLLEALEGVVREGPKRLAQRGKASQEYAASMFTASKMALAYERLFLCIKNDTFCVYP >EOY24743 pep chromosome:Theobroma_cacao_20110822:3:32079580:32088540:-1 gene:TCM_016257 transcript:EOY24743 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop nucleoside triphosphate hydrolases superfamily protein with CH (Calponin ) domain, putative isoform 3 MRENGTVNGLNGTHYVDIEDMESFESVISGDWLSSLVEWLNGMLPELRLPLDATEEELRGCLTDGAIFCTILNKLRPGSIEMDGSSEGGFVNVKRFLIAMDEMGLPSFELSDLEQGQMMPVLECLKTLRACFNFNGEADNVQNPSRKRWNLSGEIESIQLKQGCYADLSDATILELMKSSSLQNASTQSLFSILYRIMDESIERKKGDVPHRVACLLRTIVQEIEWRVSTRAENLKNQNNVYRAREEKYQSRIRALETLAKGTVEENEVIISQLQHLKIEKSKLEEKGKVEEQDVLQLKKEKIQNDIEISRLREELESSKKMHEWHCLQLDAQVEDAKVELEKKLKELECLLRDSRKEVDQLQSFSESKQKIWAHKECTYQSFIDQQFVALKELREASKSIKREVLKTKKSYSEELNYLGIKLKGLVDAAENYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKQTTIEYIGENGELVVSNPSKQGKDTHRLFKFNKVFSPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNVSSKEDWGVNYRALNDLFQISQSRKSSTIYEISFILIFWITVSSCVSQNSYTLLIFTLFLLLNMFFVSFDLHTLGIWSTTQPNGLAVPEASMHSVKSTTDVLELMNIGLMNRAVGATALNERSSRSHSVLTVHVRGTDLKTNAVLRGSLHLVDLAGSERVDRSEATGDRLREAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVESYSETISTLKFAERVSGVELGAARTNREGRDIRELMEQVAFLKEAITKKDVEIERLQLLKGNGNGNKHGMSSLRYGSSSPRGHSIGTPRESRSLSRRQSLGNFEKAAFDVDNFSVNSDKHSEAGSHRTMDDSKLHNESSVQTNLAGKDLDQNFADDIELLGFGDADSEERLSDISDGGLSMGGTETDGSICSVVEFTLFPEVSKPSDKVEKVEKADKAEKPDNIEKSIAPSKLPKLPQKVVQTKPVRLSMSRSSSKASSSARKITAVATASSSTKPSKRWQ >EOY24745 pep chromosome:Theobroma_cacao_20110822:3:32079947:32086911:-1 gene:TCM_016257 transcript:EOY24745 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop nucleoside triphosphate hydrolases superfamily protein with CH (Calponin ) domain, putative isoform 3 MFFLQLQDGSSEGGFVNVKRFLIAMDEMGLPSFELSDLEQGQMMPVLECLKTLRACFNFNGEADNVQNPSRKRWNLSGEIESIQLKQGCYADLSDATILELMKSSSLQNASTQSLFSILYRIMDESIERKKGDVPHRVACLLRTIVQEIEWRVSTRAENLKNQNNVYRAREEKYQSRIRALETLAKGTVEENEVIISQLQHLKIEKSKLEEKGKVEEQDVLQLKKEKIQNDIEISRLREELESSKKMHEWHCLQLDAQVEDAKVELEKKLKELECLLRDSRKEVDQLQSFSESKQKIWAHKECTYQSFIDQQFVALKELREASKSIKREVLKTKKSYSEELNYLGIKLKGLVDAAENYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKQTTIEYIGENGELVVSNPSKQGKDTHRLFKFNKVFSPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNVSSKEDWGVNYRALNDLFQISQSRKSSTIYEVGVQMVEIYNEQVRDLLVGDSSHRRLGIWSTTQPNGLAVPEASMHSVKSTTDVLELMNIGLMNRAVGATALNERSSRSHSVLTVHVRGTDLKTNAVLRGSLHLVDLAGSERVDRSEATGDRLREAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVESYSETISTLKFAERVSGVELGAARTNREGRDIRELMEQVAFLKEAITKKDVEIERLQLLKGNGNGNKHGMSSLRYGSSSPRGHSIGTPRESRSLSRRQSLGNFEKAAFDVDNFSVNSDKHSEAGSHRTMDDSKLHNESSVQTNLAGKDLDQNFADDIELLGFGDADSEERLSDISDGGLSMGGTETDGSICSVVEFTLFPEVSKPSDKVEKVEKADKAEKPDNIEKSIAPSKLPKLPQKVVQTKPVRLSMSRSSSKASSSARKITAVATASSSTKPSKRWQ >EOY24744 pep chromosome:Theobroma_cacao_20110822:3:32079574:32088388:-1 gene:TCM_016257 transcript:EOY24744 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop nucleoside triphosphate hydrolases superfamily protein with CH (Calponin ) domain, putative isoform 3 MRENGTVNGLNGTHYVDIEDMESFESVISGDWLSSLVEWLNGMLPELRLPLDATEEELRGCLTDGAIFCTILNKLRPGSIEMDGSSEGGFVNVKRFLIAMDEMGLPSFELSDLEQGQMMPVLECLKTLRACFNFNGEADNVQNPSRKRWNLSGEIESIQLKQGCYADLSDATILELMKSSSLQNASTQSLFSILYRIMDESIERKKGDVPHRVACLLRTIVQEIEWRVSTRAENLKNQNNVYRAREEKYQSRIRALETLAKGTVEENEVIISQLQHLKIEKSKLEEKGKVEEQDVLQLKKEKIQNDIEISRLREELESSKKMHEWHCLQLDAQVEDAKVELEKKLKELECLLRDSRKEVDQLQSFSESKQKIWAHKECTYQSFIDQQFVALKELREASKSIKREVLKTKKSYSEELNYLGIKLKGLVDAAENYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKQTTIEYIGENGELVVSNPSKQGKDTHRLFKFNKVFSPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNVSSKEDWGVNYRALNDLFQISQSRKSSTIYEVGVQMVEIYNEQVRDLLVGDSSHRRLGIWSTTQPNGLAVPEASMHSVKSTTDVLELMNIGLMNRAVGATALNERSSRSHSVLTVHVRGTDLKTNAVLRGSLHLVDLAGSERVDRSEATGDRLREAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVESYSETISTLKFAERVSGVELGAARTNREGRDIRELMEQVAFLKEAITKKDVEIERLQLLKGNGNGNKHGMSSLRYGSSSPRGHSIGTPRESRSLSRRQSLGNFEKAAFDVDNFSVNSDKHSEAGSHRTMDDSKLHNESSVQTNLAGKDLDQNFADDIELLGFGDADSEERLSDISDGGLSMGGTETDGSICSVVEFTLFPEVSKPSDKVEKVEKADKAEKPDNIEKSIAPSKLPKLPQKVVQTKPVRLSMSRSSSKASSSARKITAVATASSSTKPSKRWQ >EOY25010 pep chromosome:Theobroma_cacao_20110822:3:32962806:32966617:1 gene:TCM_016458 transcript:EOY25010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein, putative isoform 2 MAYLGAFRYLRSLNLADCHRINNSALWSLVGMTSLKEVDLSRCMKVTDASIRHLLSISTLEKLWISETGITANGVALLSSLKNLSVLDLGGLPVTDTVLNSLQALTKLQHLDLWGSKISNKGALVLQRFSKLSFLNIAWTNVTILPNLSSLECLNMSNCTIDSILEDDDDKAPLVKLIFAGATFMNEAEAFLYIETRFLSLLDVSNSSLNQFCFLPDMKMLEHLDLSSSMMGDDSVELIVCIGASLKILNLSGTKVSSAGIAILAGHVPKLEFLSLSHTSIDDVALSYVSSMPSLKVIDLSNTDIKGYIHQPGTELHKDSTLAALQNLRYLENLNLEHTQVRDPDLYPLSSCKELGHLSLKCASLTDATLHHLSSLPKLTNLRVCEAVLTNSGLDTFSPPTTLRMLDLMGCWLLTEDAISTFTRKHPQIEIRHEVVQILSTEQQNISNRASPSQLRLKTSRGNNKPGKVPISQFFVDQRLKYSREELLSLQFPPLTLQSPHDSGIEIPKLQSDRLN >EOY25012 pep chromosome:Theobroma_cacao_20110822:3:32962806:32966617:1 gene:TCM_016458 transcript:EOY25012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein, putative isoform 2 MAYLGAFRYLRSLNLADCHRINNSALWSLVGMTSLKEVDLSRCMKVTDASIRHLLSISTLEKLWISETGITANGVALLSSLKNLSVLDLGGLPVTDTVLNSLQALTKLQHLDLWGSKISNKGALVLQRFSKLSFLNIAWTNVTILPNLSSLECLNMSNCTIDSILEDDDDKAPLVKLIFAGATFMNEAEAFLYIETRFLSLLDVSNSSLNQFCFLPDMKMLEHLDLSSSMMGDDSVELIVCIGASLKILNLSGTKVSSAGIAILAGHVPKLEFLSLSHTSIDDVALSYVSSMPSLKVIDLSNTDIKGYIHQPGTELHKDSTLAALQNLRYLENLNLEHTQVRDPDLYPLSSCKELGHLSLKCASLTDATLHHLSSLPKLTNLRVCEAVLTNSGLDTFSPPTTLRMLDLMGCWLLTEDAISTFTRKHPQIEIRHEVVQILSTEQQNISNRASPSQLRLKTSRGNNKPGKVPISQFFVDQRLKYSREELLSLQFPPLTLQSPHDSGIEIPKLQSDRLN >EOY25011 pep chromosome:Theobroma_cacao_20110822:3:32962743:32966230:1 gene:TCM_016458 transcript:EOY25011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein, putative isoform 2 MAYLGAFRYLRSLNLADCHRINNSALWSLVGMTSLKEVDLSRCMKVTDASIRHLLSISTLEKLWISETGITANGVALLSSLKNLSVLDLGGLPVTDTVLNSLQALTKLQHLDLWGSKISNKGALVLQRFSKLSFLNIAWTNVTILPNLSSLECLNMSNCTIDSILEDDDDKAPLVKLIFAGATFMNEAEAFLYIETRFLSLLDVSNSSLNQFCFLPDMKMLEHLDLSSSMMGDDSVELIVCIGASLKILNLSGTKVSSAGIAILAGHVPKLEFLSLSHTSIDDVALSYVSSMPSLKVIDLSNTDIKGYIHQPGTELHKDSTLAALQNLRYLENLNLEHTQVRDPDLYPLSSCKELGHLSLKCASLTDATLHHLSSLPKLTNLRVCEAVLTNSGLDTFSPPTTLRMLDLMGCWLLTEDAISTFTRKHPQIEIRHEVVQILSTEQQNISNRASPSQLRLKTSRGNNKPGKVPISQFFVDQRLKYSREELLSLQFPPLTLQSPHDSGIEIPKLQSDRLN >EOY25009 pep chromosome:Theobroma_cacao_20110822:3:32962590:32967500:1 gene:TCM_016458 transcript:EOY25009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein, putative isoform 2 MEMEKESELVRRCIEAACRSRESVEKWRRQRRTLERLPSHLSQSLLQNLLRSRILFPSLLEVFKYSVEEIDLRGENCVDAEWMAYLGAFRYLRSLNLADCHRINNSALWSLVGMTSLKEVDLSRCMKVTDASIRHLLSISTLEKLWISETGITANGVALLSSLKNLSVLDLGGLPVTDTVLNSLQALTKLQHLDLWGSKISNKGALVLQRFSKLSFLNIAWTNVTILPNLSSLECLNMSNCTIDSILEDDDDKAPLVKLIFAGATFMNEAEAFLYIETRFLSLLDVSNSSLNQFCFLPDMKMLEHLDLSSSMMGDDSVELIVCIGASLKILNLSGTKVSSAGIAILAGHVPKLEFLSLSHTSIDDVALSYVSSMPSLKVIDLSNTDIKGYIHQPGTELHKDSTLAALQNLRYLENLNLEHTQVRDPDLYPLSSCKELGHLSLKCASLTDATLHHLSSLPKLTNLRVCEAVLTNSGLDTFSPPTTLRMLDLMGCWLLTEDAISTFTRKHPQIEIRHEVVQILSTEQQNISNRASPSQLRLKTSRGNNKPGKVPISQFFVDQRLKYSREELLSLQFPPLTLQSPHDSGIEIPKLQSDRLN >EOY25013 pep chromosome:Theobroma_cacao_20110822:3:32962590:32967500:1 gene:TCM_016458 transcript:EOY25013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein, putative isoform 2 MEMEKESELVRRCIEAACRSRESVEKWRRQRRTLERLPSHLSQSLLQNLLRSRILFPSLLEVFKYSVEEIDLRGENCVDAEWMAYLGAFRYLRSLNLADCHRINNSALWSLVGMTSLKEVDLSRCMKVTDASIRHLLSISTLEKLWISETGITANGVALLSSLKNLSVLDLGGLPVTDTVLNSLQALTKLQHLDLWGSKISNKGALVLQRFSKLSFLNIAWTNVTILPNLSSLECLNMSNCTIDSILEDDDDKAPLVKLIFAGATFMNEAEAFLYIETRFLSLLDVSNSSLNQFCFLPDMKMLEHLDLSSSMMGDDSVELIVCIGASLKILNLSGTKVSSAGIAILAGHVPKLEFLSLSHTSIDDVALSYVSSMPSLKVIDLSNTDIKGYIHQPGTELHKDSTLAALQNLRYLENLNLEHTQVRDPDLYPLSSCKELGHLSLKCASLTDATLHHLSSLPKLTNLRVCEAVLTNSGLDTFSPPTTLRMLDLMGCWLLTEDAISTFTRKHPQIEIRHEVVQILSTEQQNISNRASPSQLRLKTSRGNNKPGKVPISQFFVVDQRLKYSREELLSLQFPPLTLQSPHDSGIEIPKLQSDRLN >EOY20858 pep chromosome:Theobroma_cacao_20110822:3:1535100:1536109:1 gene:TCM_012197 transcript:EOY20858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDDPEPDTELHFFQNFHCYLKMDGIGKAKAKLALQSCNNIIGAVLSSCHWRAIDRGCVAEEIRANHVVGCPCLYVSSFSWHNTVSRQVHQDCLDESKLSVMIHQKSNMKRSSVTASQQETMHDQAEGFDHEFLHLELIWQDELSGLLREYADNAFKES >EOY23910 pep chromosome:Theobroma_cacao_20110822:3:28984532:28992747:1 gene:TCM_015658 transcript:EOY23910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase fray2 isoform 1 MRRMGGSQRSYSANPSDYRLLEEVGYGASATVYRAIYLPFNDVVAVKCLDLDRCSSNLDDIRREAQTMSLIDHPNVIRALCSFVVDRNLWVVMPFMSEGSCLHLMKIAYPDGFEEPAIGSILKETLKALDYLHRQGHIHRDVKAGNILLDNNGTVKLADFGVSACMFDAGDRQRSRNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKHAKPPELSVKKLFADLPPLWNRVKALQLKDAAQLALKKMPSAEQEAISQSEYQRGVSAWNFDIGDLKAQASLVRDDDDIHECKDDDASMKSSLGHKAAAYCESSLGKLNLNREVSQAETGGPRNVDLSQSDCLNGKGKNLECDIVEAGCQETVGLRKNGSSIDVMASTSERDVVLTRAKTVKPRQTQSGPLTPGAVLNHSSSERVRNSERFENEILPANEKVCQVRKAPSFSGPLMLPNRASANSLSAPIKSSGGFRDSLDDKSKANLVQIKGRFSVTSENLDLVKDIPLSTVSRRSSQQTSPLRKSASVGDWIFESKQVPTNHSSKDLTNGNMPTSIFMNHLQNLFQQTSLQQDLIVNLLNIFQPAEFVDATQNGKLPPLPRCSESNGNVETAASERERLLLCKISELQSRMMNLTDELTAEKLKYEQLQQQLRSMSGAEENGIRLEGDA >EOY23909 pep chromosome:Theobroma_cacao_20110822:3:28984331:28992883:1 gene:TCM_015658 transcript:EOY23909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase fray2 isoform 1 MRRMGGSQRSYSANPSDYRLLEEVGYGASATVYRAIYLPFNDVVAVKCLDLDRCSSNLDDIRREAQTMSLIDHPNVIRALCSFVVDRNLWVVMPFMSEGSCLHLMKIAYPDGFEEPAIGSILKETLKALDYLHRQGHIHRDVKAGNILLDNNGTVKLADFGVSACMFDAGDRQRSRNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKHAKPPELSVKKLFADLPPLWNRVKALQLKDAAQLALKKMPSAEQEAISQSEYQRGVSAWNFDIGDLKAQASLVRDDDDIHECKDDDASMKSSLGHKAAAYCESSLGKLNLNREVSQAETGGPRNVDLSQSDCLNGKGKNLECDIVEAGCQETVGLRKNGSSIDVMASTSERDVVLTRAKTVKPRQTQSGPLTPGAVLNHSSSERVRNSERFENEILPANEKVCQVRKAPSFSGPLMLPNRASANSLSAPIKSSGGFRDSLDDKSKANLVQIKGRFSVTSENLDLVKDIPLSTVSRRSSQTSPLRKSASVGDWIFESKQVPTNHSSKDLTNGNMPTSIFMNHLQNLFQQTSLQQDLIVNLLNIFQPAEFVDATQNGKLPPLPRCSESNGNVETAASERERLLLCKISELQSRMMNLTDELTAEKLKYEQLQQQLRSMSGAEENGIRLEGDA >EOY23911 pep chromosome:Theobroma_cacao_20110822:3:28984423:28991845:1 gene:TCM_015658 transcript:EOY23911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase fray2 isoform 1 MRRMGGSQRSYSANPSDYRLLEEVGYGASATVYRAIYLPFNDVVAVKCLDLDRCSSNLDDIRREAQTMSLIDHPNVIRALCSFVVDRNLWVVMPFMSEGSCLHLMKIAYPDGFEEPAIGSILKETLKALDYLHRQGHIHRDVKAGNILLDNNGTVKLADFGVSACMFDAGDRQRSRNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKHAKPPELSVKKLFADLPPLWNRVKALQLKDAAQLALKKMPSAEQEAISQSEYQRGVSAWNFDIGDLKAQASLVRDDDDIHECKDDDASMKSSLGHKAAAYCESSLGKLNLNREVSQAETGGPRNVDLSQSDCLNGKGKNLECDIVEAGCQETVGLRKNGSSIDVMASTSERDVVLTRAKTVKPRQTQSGPLTPGAVLNHSSSERV >EOY24420 pep chromosome:Theobroma_cacao_20110822:3:30895987:30900281:1 gene:TCM_016023 transcript:EOY24420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transaldolase isoform 1 MILKGFHQLPQLLALHCCWNAVDMALADSGCSGLDNPELRLSCFCNKALVNVGGDLAKLVPGRVSTEVDARLAYDTHGIIRRVHDLLKLYNEIDVPPERLLFKIPSTWQGIEASRLLESEGIQTHLTFVYSFAQAAAAAQAGTSVIQIFVGRVRDWARNHSGDPEIEAAIQRGEDPGLVLVTKAYNYIHKYGHKSKLMAAAVRNKQDLFSLLGVDYIIAPLKVLQSLKESVTVPDEKYSYVRRLSPQSAATYNFTAEELTNWDQLSLASSMGPASVELLAAGLDGYVNQGKRVEE >EOY24419 pep chromosome:Theobroma_cacao_20110822:3:30895958:30900751:1 gene:TCM_016023 transcript:EOY24419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transaldolase isoform 1 MSLSLQSPPTTFLSSSSSSLAKSRLRFANVSSSSALLFKFNRSFPVIRASSSSSFSSSLDTGLSTELDAVSTFSEIVPDTVIFDDFERFPPTAATVSSSLLLGILSLPDTIFRNAVDMALADSGCSGLDNPELRLSCFCNKALVNVGGDLAKLVPGRVSTEVDARLAYDTHGIIRRVHDLLKLYNEIDVPPERLLFKIPSTWQGIEASRLLESEGIQTHLTFVYSFAQAAAAAQAGTSVIQIFVGRVRDWARNHSGDPEIEAAIQRGEDPGLVLVTKAYNYIHKYGHKSKLMAAAVRNKQDLFSLLGVDYIIAPLKVLQSLKESVTVPDEKYSYVRRLSPQSAATYNFTAEELTNWDQLSLASSMGPASVELLAAGLDGYVNQGKRVEELLVKIWPPPNV >EOY23657 pep chromosome:Theobroma_cacao_20110822:3:28132378:28134483:-1 gene:TCM_015476 transcript:EOY23657 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative MVNMILILFAILVLFLILRFLSKTSLLHILLKLLRSLGDWFHVYQSYRVPEFNDLFQDNELYHKVSTYLNSLPSLEDSDFTNLFTGSKSNDIVLHLDTNQTIGDTFLGARVTWTVEKSENNRSRVFVLRLRKNDKRRILRPYLQHILSAADDIDQRKKEIKLHMNVENSSGQNGRWRSVPFHHPASFDTLVMDVDLKNRVKADLEMFLKSKQYYHRLGRVWKRSYLLYGASGTGKSSFVAAMARFLSFDVYDVDLSKVSDDSDLKMLLLQTTSRSMIVVEDLDRFLMEKSRNVSLSGILNFMDGIVSCCGEERVLVFTMNSKDQVDQAVLRPGRIDVHIQFPLCDFSAFKSLANSYLGVKEHKLFPHVEEIFQGGASLSPAEIGEIMISNRSSPTRALKSVITALQTTASNAKKVSKRLSDSESVRNSDDTGDQGNLLSRDNSVREFRKLYGLLKMGSRRKEEPLDLGSVDKEGSRHEA >EOY22526 pep chromosome:Theobroma_cacao_20110822:3:23782957:23784598:-1 gene:TCM_014672 transcript:EOY22526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVERSLSMREVRGSIPRISKDTFNLMRKSRGLFPVNERVSRKSQGNGTPLFLGEPLPVLPVPGALASPSASELASRLIWMKERSKILLALSMELEMSFMPPRIFFVVLGPEYYVRRVTLNFQYMGGCPWRVKKDLNSPLNGLKFSKVGVLYSNGMREDTLDDAGPGLPWAAPSVLSLREP >EOY22763 pep chromosome:Theobroma_cacao_20110822:3:24708868:24710452:-1 gene:TCM_014838 transcript:EOY22763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like transcription factor family protein, putative isoform 2 MVKETGRKCSHCGHNGHNSRTCHGKGCVKLFGVNISAVEKQESFMKKSFSMESLRSHHAEYNNNAPSVDDGYLSDGQIHSRKSNAARERKRGKPWTEEEHRIFLAGLRKLGKGDWRGISKKFVTTRTPTQVASHAQKYFLRQAGNDKKKRRPSLFDMAFQELESNASPPGSPAEQTTRDSSDQVKAPSPIANRFPHLCLDDRPVTSLTASHSFPTYYHRIQPLQAGGAPNGQVFPEAKMMPSLPFLHAMNYAGLHYGYMAKALGCAPAAHPSGIPSPWSVQHSMFRAGPGASPAEKDLLELKIGPPQSSKNTSMLSQASSISVI >EOY22762 pep chromosome:Theobroma_cacao_20110822:3:24708766:24710519:-1 gene:TCM_014838 transcript:EOY22762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like transcription factor family protein, putative isoform 2 MCVCYKPNKVPAPKRGIEGVERMVKETGRKCSHCGHNGHNSRTCHGKGCVKLFGVNISAVEKQESFMKKSFSMESLRSHHAEYNNNAPSVDDGYLSDGQIHSRKSNAARERKRGKPWTEEEHRIFLAGLRKLGKGDWRGISKKFVTTRTPTQVASHAQKYFLRQAGNDKKKRRPSLFDMAFQELESNASPPGSPAEQTTRDSSDQVKAPSPIANRFPHLCLDDRPVTSLTASHSFPTYYHRIQPLAGGAPNGQVFPEAKMMPSLPFLHAMNYAGLHYGYMAKALGCAPAAHPSGIPSPWSVQHSMFRAGPGASPAEKDLLELKIGPPQSSKNTSMLSQASSISVI >EOY22375 pep chromosome:Theobroma_cacao_20110822:3:23207040:23210280:1 gene:TCM_014564 transcript:EOY22375 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein isoform 2 MGRGAKAITSILLIEFLLLYNTVSQQGLEESAAARTLQQEPHHTNEVHCSRERSRAAWKIIEDYLMPFLEEEGFQISTKCRLHPDNDLFRDQEQHKIYLDVNEWRCGYCKKSFRAENFLDQHFDDRHYNLLNVNQSKCLADLCGALHCDFVMNSKSLKAKCNPAAVARNRHLCESLADSCFPITQGPSASRLHELFLHQFCDAHTCSRKKKPFPKGGKKQTSVLYMATSILILMLLPIFYLLYYLYQREMNRGTQVLRRVSQVGRKTKPS >EOY22374 pep chromosome:Theobroma_cacao_20110822:3:23207072:23210733:1 gene:TCM_014564 transcript:EOY22374 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein isoform 2 MGRGAKAITSILLIEFLLLYNTVSQGLEESAAARTLQQEPHHTNEVHCSRERSRAAWKIIEDYLMPFLEEEGFQISTKCRLHPDNDLFRDQEQHKIYLDVNEWRCGYCKKSFRAENFLDQHFDDRHYNLLNVNQSKCLADLCGALHCDFVMNSKSLKAKCNPAAVARNRHLCESLADSCFPITQGPSASRLHELFLHQFCDAHTCSRKKKPFPKGGKKQTSVLYMATSILILMLLPIFYLLYYLYQREMNRGTQVLRRVSQVGRKTKPS >EOY24137 pep chromosome:Theobroma_cacao_20110822:3:29796017:29800255:-1 gene:TCM_015814 transcript:EOY24137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase isoform 2 MKCFSIFKDKSKSKKRKSSSTPELRNQSKSDNSALTRTSRSLPSPRSIPELYKENEHNLRVFSFQELRDATNGFNRMLKIGEGGFGSVYKGTIKPLDGRGEPIVVAIKKLNAHSLQVIYRDFKSSNVLLDENFKPKLSDFGLAREGPTGDRTHVSTAVVGTYGYAAPEYVETGHLTIQSDIWTFGVVLYEVLTGRRTVERNRPTLEQKLLDWVKQFPPDSKRFSMIIDPRLRNNYSPSAARKVGKLADSCLNKNAKERPTMSQVVESLKQAIQDSDEGSSSVSKSPLTSSSRNGGRRSEKV >EOY24136 pep chromosome:Theobroma_cacao_20110822:3:29796406:29799860:-1 gene:TCM_015814 transcript:EOY24136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase isoform 2 MKCFSIFKDKSKSKKRKSSSTPELRNQSKSDNSALTRTSRSLPSPRSIPELYKENEHNLRVFSFQELRDATNGFNRMLKIGEGGFGSVYKGTIKPLDGRGEPIVVAIKKLNAHSLQGHKEWLAEVQFLGVVNHPNLVKLIGYCSVDGERGIQRLLVYEFMPNRSLEHHLFSTTSTLPWKTRLEIMLGAAEGLTYLHEGLEVKVIYRDFKSSNVLLDENFKPKLSDFGLAREGPTGDRTHVSTAVVGTYGYAAPEYVETGHLTIQSDIWTFGVVLYEVLTGRRTVERNRPTLEQKLLDWVKQFPPDSKRFSMIIDPRLRNNYSPSAARKVGKLADSCLNKNAKERPTMSQVVESLKQAIQDSDEGSSSVSKSPLTSSSRNGGRRSEKV >EOY21560 pep chromosome:Theobroma_cacao_20110822:3:12298067:12305337:-1 gene:TCM_013372 transcript:EOY21560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGICPSFSRSFQQTKGLDAATTRRQHHGTQKENLKKIIPTSRHPRERVVALTCQKRVLLHSNFYFDTNSSSI >EOY22885 pep chromosome:Theobroma_cacao_20110822:3:25176004:25177110:1 gene:TCM_014924 transcript:EOY22885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrion-localized small heat shock protein 23.6, putative isoform 2 MASSPALRRLVSSNILPSSMRVIRPIATSPYTSRLFNTNAMREFDDHGDERDLNDDRRQVRSLSRRGDGFFSDVFDPFSPTRSLSQVLNMMDQFMENPFLSASRGMGGGHRRSWDAKETEDALNLRIDMPGVGKEDVKVSVEQNTLIIKGEAAKESEEEESGGKYTSRIHLPEKIYKTDQIKAEMKNGVLKVVVPKVQEEERTDVIQVQIE >EOY22886 pep chromosome:Theobroma_cacao_20110822:3:25176159:25177074:1 gene:TCM_014924 transcript:EOY22886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrion-localized small heat shock protein 23.6, putative isoform 2 MVTSVTSTMIDAKFVHSLAAAMVSSQVVVHRSVFSFQIPLFSDVFDPFSPTRSLSQVLNMMDQFMENPFLSASRGMGGGHRRSWDAKETEDALNLRIDMPGVGKEDVKVSVEQNTLIIKGEAAKESEEEESGGKYTSRIHLPEKIYKTDQIKAEMKNGVLKVVVPKVQEEERTDVIQVQIE >EOY21784 pep chromosome:Theobroma_cacao_20110822:3:18313725:18319196:1 gene:TCM_013904 transcript:EOY21784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVVEAWDDRYRDILFRHHLFAWKETILKDWLSKLEDMIITQDRIDRLIWIKGGLSIKRFVSTMDSSLNEGSRKLSLTLTWWPEREVHSNLTSGDTSSNISPRRSHKRGHGLIEKSLMRLIGDYEFRHTLSEANSFADELDIFGVERQEMFFA >EOY22470 pep chromosome:Theobroma_cacao_20110822:3:23590313:23591460:-1 gene:TCM_014631 transcript:EOY22470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMAAADGADVNQFYPLGNSPRSPKCNLACGKIDSLCNLVWFHWNFVDKADIAAAVSRRLLVYKLRNSRTIVAYSCSIRNTTFPATMRKIVYNENGCSGKVGSLLAMNVPGGLLYNLLLNLYPEPPRASIQFHF >EOY21010 pep chromosome:Theobroma_cacao_20110822:3:2249448:2250456:-1 gene:TCM_012326 transcript:EOY21010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMEDNKANKKRAREESEGNQLEANSVSNLKLPRVDSADTGFDSPESTIVEPHLDDGNVQSPEVKRIQEDLLNLLDDSDPVIGPDPAIQGLESVIKSFEEEILVPTQAPAPAMTSDSGEFRPVLGFLLEASDDELGLPPSFSSVEEELNLGTVALEESVGSGAVGIGEMMGYEFPIPSFEPYEFGIGGVSDTNNNNYSNSSDFVALGSLFEPATDISELTWRPESLSAL >EOY22720 pep chromosome:Theobroma_cacao_20110822:3:24562839:24563620:1 gene:TCM_014806 transcript:EOY22720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein MAGSWAGTVILVVVVVACVSEVPMGRGDMSPSQCKEEQRLLVNACRAVIFGRSPSPSCCERVRVTHVECVCPVITPQLAALIGVERTIKQIEGCGRAVPHNFKCGSITTP >EOY22365 pep chromosome:Theobroma_cacao_20110822:3:23144187:23144800:1 gene:TCM_014553 transcript:EOY22365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHHQNFPLSSQTCLSFPFPSSGKYILCIHRVTGQSWACPSCVCRSILPARKVLEVGMWWRIGVSSKVRMLVIGFDDSFVEAPCQTLHVTHCHC >EOY21599 pep chromosome:Theobroma_cacao_20110822:3:13560589:13577688:-1 gene:TCM_013493 transcript:EOY21599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVHYDVAQNFNYEIVFATSGIRLHVMDLYRLCEHLDPMMLRSRYVCRGRAQNCEKCFSLMFHFGMSNYQGRVLDKGKQRLNCASDA >EOY24437 pep chromosome:Theobroma_cacao_20110822:3:30983459:30992693:-1 gene:TCM_016040 transcript:EOY24437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EFG/EF2 protein MAAETALRITGSSSTVCNLNGSQRRPTPLSSPTRFLGLPPRASSSSVSSSLSHFLGSVRIGSRLPISRHQQGKRRNFSVFAMAAEETKRAVPLKDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWKNHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPLVIQLPVGAEDNFQGVVDLVKMQAVLWSGEELGAKFVYDDIPANLQELAEEYRSQMIETLVELDDQAMENYLEGVEPDEETIKKLIRKGTIGSSFVPVLCGSAFKNKGVQPLLDAVMDYLPSPLDLPAMKGTDPENPEVTIERKASDDVPFSGLAFKIMTDPFVGSLTFVRVYAGKLSAGSYALNANKGKKERIGRLLEMHANSREDVKVAMAGDIVALAGLKDTITGETLCDPDHPIVLERMDFPDPVIKVAIEPKTKADVDKMATGLIKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISKVSEVKYVHKKQSGGQGQFADITVRFEPMEAGSGYEFKSEIKGGAVPKEYIPGVMKGLEECMNNGVLAGFPVVDVRAVLVDGSYHDVDSSVLAFQLAARGAFREGIRKAGPRMLEPIMKVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDALVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNELASKGQEVAA >EOY23918 pep chromosome:Theobroma_cacao_20110822:3:29005745:29009057:1 gene:TCM_015663 transcript:EOY23918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent protein hydrolase MWAIIRGGFNQKLFNLHKTLSRPLMATSNLIRVSSPAYSTGSPNQAPPRRVGTHNGSFHCDEALGCFMIRLTDKFSNSEIVRTRDPKVLEGLDAVLDVGGVYDPSHDRYDHHQKGFEEVFGHGFNTKLSSAGLVYKHFGKEIIAKELQLGEDHPDVHRLFLAIYKSFMEAIDAIDNGINQFDTDKPPRYVNNTHLSSRVGRLNLDWTDPDQSPEKENEAFQQAMALSGSEFLDILRNFNRTFFNFQSVCFHAKSWLPARSIVMECIAERYDIDPSGEIMVLRRFCPWKLHLFELEEEMKVEPPIKYVLYEDERGKQWRVQAVGVSPDRFESRKPLPAQWRGLRDDELSKEAGIPGCVFVHMSGFIGGNKTYEGALAMARTALKI >EOY21838 pep chromosome:Theobroma_cacao_20110822:3:18933694:18935503:-1 gene:TCM_013978 transcript:EOY21838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVHNFLASTLQGRISHLRHVSVEDLWMMETIESQFYVNIVEYMIMRMRQVAMREETTLPYGNIISTLVKKKGIWSNRYLADWTSRRPRTLSFRWLVKRGYDLSLDEPLNSVESSSVHPAHDTPSRQPSRVPVSNDVMYNMLLRIDEKLRFRVFNLIFCYDGAWG >EOY25165 pep chromosome:Theobroma_cacao_20110822:3:33458246:33461658:-1 gene:TCM_016567 transcript:EOY25165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin/t-SNARE family protein MSFQDLEAGRPFASRQSLINGKQDATQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASETDHRAEVSASKKITDAKLAKDFQAVLKEFQKAQRLAAERETAYTPFVPQTVLPSSYTAGEIDVGSDKSAEQRALLVESRRQEVLLLDNEIAFNEAIIEEREQGIQEIQQQIGEVNEIFKDLAVLVHEQGTIIDDIGTHIENSQAATGQAKSQLVKAAKTQRSNSSLTCLLLVIFGIVLLIVIIVLAA >EOY24818 pep chromosome:Theobroma_cacao_20110822:3:32323812:32328179:1 gene:TCM_016311 transcript:EOY24818 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein isoform 3 MATATTTTTTKSISTKTSSAQLKVQVQPIKPKRRKCKETTISSSASTAAPTTATTAATNSYSESGFSGVLTRKLDPPTIVSPDNTWCCPASKPLPTPPPPPPSPPLPPQARRGPDPCFADSISGFRIRYSPGSLSPVMDFTGGTTLSNGHSPSSFTKFNSALTAGLLNPMSPPPPPDKTRSSPTLFEMMASEPDIHPRNQSQNQAQIQVPISAPRQNQPPPVIDKQALTMQRISDLLSNRSPGNQFNDPGSSDIKLTLSSKDGINVSMNVHRQILVAHSRFFAVKLSDRWAKQQRNGSGGPYIVEIADCDDVEVYIETLRLMYCKDLRKKLMREDVSKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLAELRLENVGAGEVLKRVSVEVTNGTDEGGDNEEVLLKLLHVVLEGKDEKARREMKGLVSKMLRENSSQNDLRKESLYSACDGCLELLRHHFLRAASSDLQDVSQIARQADNLHWILDILIDRQIAEDFLKSWASQSELSDAHSKVPAVHRYEVSRVTARLFVGIGKGQLLASKEMRCLLLQTWKFCLLGLIDS >EOY24817 pep chromosome:Theobroma_cacao_20110822:3:32323812:32328179:1 gene:TCM_016311 transcript:EOY24817 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein isoform 3 MATATTTTTTKSISTKTSSAQLKVQVQPIKPKRRKCKETTISSSASTAAPTTATTAATNSYSESGFSGVLTRKLDPPTIVSPDNTWCCPASKPLPTPPPPPPSPPLPPQARRGPDPCFADSISGFRIRYSPGSLSPVMDFTGGTTLSNGHSPSSFTKFNSALTAGLLNPMSPPPPPDKTRSSPTLFEMMASEPDIHPRNQSQNQAQIQVPISAPRQNQPPPVIDKQALTMQRISDLLSNRSPGNQFNDPGSSDIKLTLSSKDGINVSMNVHRQILVAHSRFFAVKLSDRWAKQQRNGSGGPYIVEIADCDDVEVYIETLRLMYCKDLRKKLMREDVSKVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLAELRLENVGAGEVLKRVSVEVTNGTDEGGDNEEVLLKLLHVVLEGKDEKARREMKGLVSKMLRENSSQNDLRKESLYSACDGCLELLRHHFLRAASSDLQDVSQIARQADNLHWILDILIDRQIAEDFLKSWASQSELSDAHSKVPAVHRYEVSRVTARLFVGIGKGQLLASKEMRCLLLQTWLVPFYDDFGWMRRASKGLDRHLVEDGLSNTILTLPLAWQQEILLAWFDRFLNSGEDCPNIQRGFEVWWRRAFWRRSGEQEPPRQLQVTTATIENS >EOY24820 pep chromosome:Theobroma_cacao_20110822:3:32323812:32328179:1 gene:TCM_016311 transcript:EOY24820 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein isoform 3 MATATTTTTTKSISTKTSSAQLKVQVQPIKPKRRKCKETTISSSASTAAPTTATTAATNSYSESGFSGVLTRKLDPPTIVSPDNTWCCPASKPLPTPPPPPPSPPLPPQARRGPDPCFADSISGFRIRYSPGSLSPVMDFTGGTTLSNGHSPSSFTKFNSALTAGLLNPMSPPPPPDKTRSSPTLFEMMASEPDIHPRNQSQNQAQIQVPISAPRQNQPPPVIDKQALTMQRISDLLSNRSPGNQFNDPGSSDIKLTLSSKDGINVSMNVHRQILVAHSRFFAVKLSDRWAKQQRNGSGGPYIVEIADCDDVEVYIETLRLMYCKDLRKKLMREDVSKVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLAELRLENVGAGEVLKRVSVEVTNGTDEGGDNEEVLLKLLHVVLEGKDEKARREMKGLVSKMLRENSSQNDLRKESLYSACDGCLELLRHHFLRAASSDLQDVSQIARQADNLHWILDILIDRQIAEDFLKSWASQSELSDAHSKVPAVHRYEVSRVTARLFVGIGKGQLLASKEMRCLLLQTWLVPFYDDFGWMRRASKGLDRHLVEDGLSNTILTLPLAWQQEILLAWFDRFLNSGEDCPNIQRGFEVWWRRAFWRRSGEQEPPRQLQVTTATIENS >EOY24816 pep chromosome:Theobroma_cacao_20110822:3:32323558:32327913:1 gene:TCM_016311 transcript:EOY24816 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein isoform 3 MATATTTTTTKSISTKTSSAQLKVQVQPIKPKRRKCKETTISSSASTAAPTTATTAATNSYSESGFSGVLTRKLDPPTIVSPDNTWCCPASKPLPTPPPPPPSPPLPPQARRGPDPCFADSISGFRIRYSPGSLSPVMDFTGGTTLSNGHSPSSFTKFNSALTAGLLNPMSPPPPPDKTRSSPTLFEMMASEPDIHPRNQSQNQAQIQVPISAPRQNQPPPVIDKQALTMQRISDLLSNRSPGNQFNDPGSSDIKLTLSSKDGINVSMNVHRQILVAHSRFFAVKLSDRWAKQQRNGSGGPYIVEIADCDDVEVYIETLRLMYCKDLRKKLMREDVSKVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLAELRLENVGAGEVLKRVSVEVTNGTDEGGDNEEVLLKLLHVVLEGKDEKARREMKGLVSKMLRENSSQNDLRKESLYSACDGCLELLRHHFLRAASSDLQDVSQIARQADNLHWILDILIDRQIAEDFLKSWASQSELSDAHSKVPAVHRYEVSRVTARLFVGIGKGQLLASKEMRCLLLQTWLVPFYDDFGWMRRASKGLDRHLVEDGLSNTILTLPLAWQQEILLAWFDRFLNSGEDCPNIQRGFEVWWRRAFWRRSGEQEPPRQLQVTTATIENS >EOY24819 pep chromosome:Theobroma_cacao_20110822:3:32323077:32327317:1 gene:TCM_016311 transcript:EOY24819 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein isoform 3 MATATTTTTTKSISTKTSSAQLKVQVQPIKPKRRKCKETTISSSASTAAPTTATTAATNSYSESGFSGVLTRKLDPPTIVSPDNTWCCPASKPLPTPPPPPPSPPLPPQARRGPDPCFADSISGFRIRYSPGSLSPVMDFTGGTTLSNGHSPSSFTKFNSALTAGLLNPMSPPPPPDKTRSSPTLFEMMASEPDIHPRNQSQNQAQIQVPISAPRQNQPPPVIDKQALTMQRISDLLSNRSPGNQFNDPGSSDIKLTLSSKDGINVSMNVHRQILVAHSRFFAVKLSDRWAKQQRNGSGGPYIVEIADCDDVEVYIETLRLMYCKDLRKKLMREDVSKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLAELRLENVGAGEVLKRVSVEVTNGTDEGGDNEEVLLKLLHVVLEGKDEKARREMKGLVSKMLRENSSQNDLRKESLYSACDGCLELLRHHFLRAASSDLQDVSQIARQADNLHWILDILIDRQIAEDFLKSWASQSELSDAHSKVPAVHRYEVSRVTARLFVGIGKGQLLASKEMRCLLLQTWKFCLLGLIDS >EOY21565 pep chromosome:Theobroma_cacao_20110822:3:12432873:12442914:-1 gene:TCM_013384 transcript:EOY21565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase 5 isoform 1 MLGSFIIISSSTCTRLLLFAPTPLLLSFTQNKNSKRRKEEEETMVISTTDPASDQQVHSSTFASRYVRQPLPRFKMPNSSMPREAARQVITDELMLDGNPRLNLASFVTTWMEPECDQLMLAAINKNYVDMDEYPVTTELQNRCVNMIANLYHAPIGDGETAVGVGTVGSSEAIMLAGLAFKRKWQQKRKAQGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLKEGYYVMDPVKAVDMVDENTICVAAILGSTLTGEFENVKLLHELLTNKNKETGWDTPIHVDAASGGFIAPFVYPDLEWDFRLPLVKSINVSGHKYGLVYAGVGWVVWRTKEDLPEELVFHINYLGSDQPTFTLNFSKGSSQIIAQYYQFIRLGFEGYKNIIENCIENARILKEGIEKIGRFEIVSKDVGVPLVAFALKDSSKHTVFEISENLRRFGWIVPAYTMPADAQHVAVLRVVVREDFGRSLAERLVSHIELVVKELELLPSRTAIGSAHFDAVQEENKDPKSGKKTQREIIEDATRTWRRFVNSKKTGVC >EOY21566 pep chromosome:Theobroma_cacao_20110822:3:12433242:12442108:-1 gene:TCM_013384 transcript:EOY21566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase 5 isoform 1 MIANLYHAPIGDGETAVGVGTVGSSEAIMLAGLAFKRKWQQKRKAQGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLKEGYYVMDPVKAVDMVDENTICVAAILGSTLTGEFENVKLLHELLTNKNKETGWDTPIHVDAASGGFIAPFVYPDLEWDFRLPLVKSINVSGHKYGLVYAGVGWVVWRTKEDLPEELVFHINYLGSDQPTFTLNFSKGSSQIIAQYYQFIRLGFEGYKNIIENCIENARILKEGIEKIGRFEIVSKDVGVPLVAFALKDSSKHTVFEISENLRRFGWIVPAYTMPADAQHVAVLRVVVREDFGRSLAERLVSHIELVVKELELLPSRTAIGSAHFDAVQEENKDPKSGKKTQREIIEDATRTWRRFVNSKKTGVC >EOY24252 pep chromosome:Theobroma_cacao_20110822:3:30295605:30301446:1 gene:TCM_015914 transcript:EOY24252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MAFPSKSIAFISFLILTITEASHSIPFIVLHGIGDQCSNRGVKQFTELLTNFSGSKGYCLEIGDGTWDSWFMPLEEQTAVVCEKVKHLKELSKGYNIVGLSQGNLIGRGVVEFCEGGPPVKNFISLGGPHAGTASVPLCGSGFLCLIADKLIKSEIYTDYIQAHLAPSGYLKLPNDIVHYLEKCRFLPKLNNELPGERNSTYKERFTRLQNLVLIMFEQDNVLIPRETSWFGYYPNGGFKPVIRPQETKLYTEDWIGLKTLDDAGRVHYISVSGGHLGISRKDMKKHVVPYLKDQASMVGSIQSSYAKVQGSHHQIRQSRKRKQEVPWLQDKASSELILNGSSYYQWPSSVQSFFRELLGLAKD >EOY24251 pep chromosome:Theobroma_cacao_20110822:3:30295278:30300692:1 gene:TCM_015914 transcript:EOY24251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MPPCIWPHFHQSQASRHRPSSNFQQTTPFKSFWKLNLSQAEIFKKEKIKSSRKTKIFMAFPSKSIAFISFLILTITEASHSIPFIVLHGIGDQCSNRGVKQFTELLTNFSGSKGYCLEIGDGTWDSWFMPLEEQTAVVCEKVKHLKELSKGYNIVGLSQGNLIGRGVVEFCEGGPPVKNFISLGGPHAGTASVPLCGSGFLCLIADKLIKSEIYTDYIQAHLAPSGYLKLPNDIVHYLEKCRFLPKLNNELPGERNSTYKERFTRLQNLVLIMFEQDNVLIPRETSWFGYYPNGGFKPVIRPQETKLYTEDWIGLKTLDDAGRVHYISVSGGHLGISRKDMKKHVVPYLKDQASMVGSIQSSYAKVQGSHHQIRQSRKRKQEVPWLQDKASSELILNGSSYYQWPSSVQSFFRELLGLAKD >EOY20786 pep chromosome:Theobroma_cacao_20110822:3:1188357:1189567:1 gene:TCM_012125 transcript:EOY20786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSDGCSYTKVDHPKEKEGYKLCFHQVSGGMRNANHNKMEESEKDQWDFKVVVISESSRRNINEEGIEGKKISKKVSKQANGVKLGISNWKSAREQEPDQAKAHKRHGKTITIKTTVPEEEMALVKCSAVGRSRTKISCNVIQSGLFAEGRLAQIKALESMAVLVTFVDKDDMEVLLEKYLELFKVIAVDKSTYKRERFDQAFMLVEANSILEIPTRVSIEVEGIVSNVCVSIVGVEDMCSLEQYLKDKKEMKVGGKKT >EOY23040 pep chromosome:Theobroma_cacao_20110822:3:25919708:25923881:1 gene:TCM_015048 transcript:EOY23040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRDWYWGTGAKTSSNSNSSKRASDRDSGGQTTPSGCISAVFHLFDFHHFQFPLNHQTSSSSCSCFKPDSFLSPGHTTALKGAEAPRNSLESEEEGSTSASASASFTSTSKEEEILNIPMGIQIKTSGDTRSKVGAPNNDTSSEISCSPGTKTPTLVARLMGLDLLPESRSPSFSSAPHFQAKSHLNHHIRSPKPLQSKRTSHRNSLDGEIRGTRSLPETPRISSARRSDVDHHHRLSLQINKENMSASEELVISRLSSLKRKELKHEDENRSPGHYARQIVKQVKESVSRKVGMDITNSVRNREQAREELVSQFKYKKISRALTKVGDDSSPGKHSTPSCSPRLRFLESKSKPVINSTTKDHNLQPPESSFSSPPEINILPQPIRVLPKPKLQTVEEERDEHHKQQQQLLPRAVSKCKKGSSEKFGSRLKKPQQTSDIIRNKQEEPFVRPSAANRVNIPDKKCRKTPLSNDLLSMTVPTLLPVKKDPSPPATKIPQKQVLDAQRPKRSSQLSSCSSQMYNKQEATQVHVSRNNNGDKCNDATTPTSTTGNDAEYEYIARILGRTGLNKDTPVSFTSWFSPSHPLDPSIFYYLEHFTTCSTAILSNNNNNNNNRTKSSQLSHRCNRKLLFHLVDEILIEILKPYFNMKPWVTTVGHDVSHMDGSQLKDILCSKIRSFPRADCRVLEDIDALIDKDLPEMKVQSVTAYEEEGEGIVTEIEKDILEALVHETAADFGVRV >EOY24455 pep chromosome:Theobroma_cacao_20110822:3:31032322:31035067:1 gene:TCM_016051 transcript:EOY24455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative MAKLLIMTVLVILGTVATVTDAQAACASKLTPCFPFLNNATAQPTADCCNPIKDTVANDLECLCNLYNDPNLLSSLNITVAAALRISRECGVTTDLSACNATSPTSAPSPPGQSGGDNGGADRIALTGITTLLLSLVSIALY >EOY25066 pep chromosome:Theobroma_cacao_20110822:3:33107744:33110749:1 gene:TCM_047028 transcript:EOY25066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 family protein MEIAYSLSIALQSHQQLIIATIITLLSLLLAVRSFFKRPHKSNALGPVTSTGKKEPEEATSSPPCNCSCSCNGTSHSAVFLNGGSAGTVQEGMVTADVSKVAAERQSGASMMEQLVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDFTLEQDSVTPVNGWKAYYAATRAIMNVNAEFFNIIRDRSLQAMSRFWLNADYVKCVHASGELFSGYNAVIQSWQLAFNWEQGVDFQVIDVRARVLTDMAWVTMKTFVDMDNGAFNMTNVFEFHNGRWYLVHHHSSVMLADGDVEQQIVHG >EOY22420 pep chromosome:Theobroma_cacao_20110822:3:23380364:23384192:1 gene:TCM_014592 transcript:EOY22420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 2 MAAGRVDFPRRSGVGKLNRGLAYAAPDKSGYNYPSNGKNLVAQNKNGYNLGPRRCGLEEVVRVKNGGTRFVDDGVRQPPQKKRKFSPIVWDIEEKEVRISSRNRVVSTPTTLSSPPSPCTSSIVSDEGVTKHSVSANLIEEVQHLPPKSSVFGFAAVSGQQCPDDEPEQGLVRKEIVQAPNIFTSRWSSDSDDEDVSRSEEKSRSSSLESGECERDDLEGDLIVLNQSNERSSCVLSACEDGDMECQSVSYGVMDIDDKREEDVAVDQPELDVEEPTGRGVNMLLGCRSVFEYAKLNKISEGTYGIVYRARDKKTGEIVALKKVKILDRRDFEEYGFPLTSLREINILASFDHPSIVKVKEVVVDDHENVYMVMEYMQHDFKGLMESMKHPFSTSDVKCLMLQLLEGVKYLHDNWVLHRDMKTSNLLLNNQGELKICDFGMARQYGSPLKPYTTKVVTQWYRAPELLLGAKKYSTAVDMWSVGCIMAELLAKEPLFKGTSEIDQLRKIFDTLGTPSEKIWAGFSQLPGAKANYSKQLYNLLRRKFPAASFTGSPVLSDAGFDLLNRLLTYDPEKRITADDALNHDWFHELPLPKSKEFLPTFPPKM >EOY22419 pep chromosome:Theobroma_cacao_20110822:3:23379494:23384586:1 gene:TCM_014592 transcript:EOY22419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative isoform 2 MAAQDRWIVLSCVPSSGCAIFNYLSFIEVRSDQPKGAQQISGRVDFPRRSGVGKLNRGLAYAAPDKSGYNYPSNGKNLVAQNKNGYNLGPRRCGLEEVVRVKNGGTRFVDDGVRQPPQKKRKFSPIVWDIEEKEVRISSRNRVVSTPTTLSSPPSPCTSSIVSDEGVTKHSVSANLIEEVQHLPPKSSVFGFAAVSGQQCPDDEPEQGLVRKEIVQAPNIFTSRWSSDSDDEDVSRSEEKSRSSSLESGECERDDLEGDLIVLNQSNERSSCVLSACEDGDMECQSVSYGVMDIDDKREEDVAVDQPELDVEEPTGRGVNMLLGCRSVFEYAKLNKISEGTYGIVYRARDKKTGEIVALKKVKILDRRDFEEYGFPLTSLREINILASFDHPSIVKVKEVVVDDHENVYMVMEYMQHDFKGLMESMKHPFSTSDVKCLMLQLLEGVKYLHDNWVLHRDMKTSNLLLNNQGELKICDFGMARQYGSPLKPYTTKVVTQWYRAPELLLGAKKYSTAVDMWSVGCIMAELLAKEPLFKGTSEIDQLRKIFDTLGTPSEKIWAGFSQLPGAKANYSKQLYNLLRRKFPAASFTGSPVLSDAGFDLLNRLLTYDPEKRITADDALNHDWFHELPLPKSKEFLPTFPPKM >EOY25391 pep chromosome:Theobroma_cacao_20110822:3:34130660:34134701:1 gene:TCM_016715 transcript:EOY25391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSYNRGMLIALMTDAKEGPGTRILGWWLTFEMMPFYFNLENNKVPFMLAPGGFFPIELLYTIGY >EOY25278 pep chromosome:Theobroma_cacao_20110822:3:33782530:33789773:-1 gene:TCM_016641 transcript:EOY25278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration stress protein isoform 1 MATLGDIGVSALINILTAFAFLLAFALLRIQPINDRVYFPKWYINGGRTSPRRGGNFVAKFVNLNCMTYLTFLNWMPQALKMSETEIINHAGLDSAVFLRIYTLGVKIFVPITVVALLILIPVNVSSGTLFFLRKELVVSDIDKLSISNVRTESIRFFVHIGLEYLFTIWICYMLYKEYDNVARMRLHFLASQRRRAEQFTVVVRNMPQMSGHSISDSVDHFFKTNHPDHYLCHQAVYNANKFAKLVRKRDRLQNWLDYNQLKFERHPEKRPTKKAGFLGLWGERVDSIDFYKHQVKEFDKKMALERQKVLKDPKSILPVAFVSFKSRWGAAVCAQTQQSKNPTLWLTNWAPEPRDVYWRNLAIPFVSLTIRKLIISLSVFALVFFYMIPIAFVQSLANLEGLERVAPFLRPVIELKFIKSFLQGFLPGLALKIFLYILPTILMIMSKIEGHIALSTLERRASAKYYYFMLVNVFLGSIVTGTAFEQLHSFLHQSPTQIPRTIGVSIPMKATFFITYIMVDGWAGIASEILRLKPLVIFHLKNMFLVKTERDREKAMDPGSVDYPETLPSLQLYFLLGIVYAVVTPILLPFILVFFAFAYLVYRHQIINVYNPQYESGAAFWPHVHSRIIASLLISQLLLMGLLSTKKAANSTPLLVILPILTLAFHKYCKNRFEPAFRKYPLEEAMAKDIMDRTTEPDLNLKAFLADAYLHPIFRTFEEEELVEVRVDKVRVDRQQSYADNAPTSDLSSPSPPHEVYHSTSPPHHSYQPTSPPQDVYYHGSTPQYAYNFSSPPHYGYHYEAEP >EOY25277 pep chromosome:Theobroma_cacao_20110822:3:33781938:33790872:-1 gene:TCM_016641 transcript:EOY25277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration stress protein isoform 1 MATLGDIGVSALINILTAFAFLLAFALLRIQPINDRVYFPKWYINGGRTSPRRGGNFVAKFVNLNCMTYLTFLNWMPQALKMSETEIINHAGLDSAVFLRIYTLGVKIFVPITVVALLILIPVNVSSGTLFFLRKELVVSDIDKLSISNVRTESIRFFVHIGLEYLFTIWICYMLYKEYDNVARMRLHFLASQRRRAEQFTVVVRNMPQMSGHSISDSVDHFFKTNHPDHYLCHQAVYNANKFAKLVRKRDRLQNWLDYNQLKFERHPEKRPTKKAGFLGLWGERVDSIDFYKHQVKEFDKKMALERQKVLKDPKSILPVAFVSFKSRWGAAVCAQTQQSKNPTLWLTNWAPEPRDVYWRNLAIPFVSLTIRKLIISLSVFALVFFYMIPIAFVQSLANLEGLERVAPFLRPVIELKFIKSFLQGFLPGLALKIFLYILPTILMIMSKIEGHIALSTLERRASAKYYYFMLVNVFLGSIVTGTAFEQLHSFLHQSPTQIPRTIGVSIPMKATFFITYIMVDGWAGIASEILRLKPLVIFHLKNMFLVKTERDREKAMDPGSVDYPETLPSLQLYFLLGIVYAVVTPILLPFILVFFAFAYLVYRHQIINVYNPQYESGAAFWPHVHSRIIASLLISQLLLMGLLSTKKAANSTPLLVILPILTLAFHKYCKNRFEPAFRKYPLEEAMAKDIMDRTTEPDLNLKAFLADAYLHPIFRTFEEEELVEVRVDKVRVDRQQSYADNAPTSDLSSPSPPHEQSHDLQGTNMLRRSPNQTLSDSFNLPPEFNGLLSL >EOY21636 pep chromosome:Theobroma_cacao_20110822:3:15048667:15098970:1 gene:TCM_013618 transcript:EOY21636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPWPRHLTETTKVVKFLYIRNSSHALALAESHSHTVVHVKCTQILTSRETLHTALQSRIGFGSMDWDEFVNCEIRDVMGYFDYLILLGFRCTGDIHTMSRRGGSPDTPHSASEGSLDSTARSQWRPDSEYHSESTRKAIARGDIRIRKGVTVVQHFPLDCGRNAALISDEERRRIQQAWIEEQRRKSQEEENPEEDPKKDLE >EOY24273 pep chromosome:Theobroma_cacao_20110822:3:30359539:30362576:1 gene:TCM_015923 transcript:EOY24273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIVKSLFILKEKILFLGGVFKPQGTEPATAILAFLLVISFLTLPTFSLLPNAILLNAPPPWSRRRRRFSGTTSSTVNTSSIQQHSSTNANDESQKAFDLTFR >EOY23007 pep chromosome:Theobroma_cacao_20110822:3:25732875:25735683:-1 gene:TCM_015021 transcript:EOY23007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 MAKFWCSLCIIIILQLSKLAISRREEVPTPPLPVLPLPTFSQLKWQQRELIMFLHFGVNTFTDSEWGTGKENPAIFNPAGLDAKQWVTTAAEAGISLMILTAKHHDGFCLWPSRYTDHSVVSSPWKNGHGDVVQEFVSAVKAHGGIDAGLYLSPWDRHDRRYGQDLQYNEYYLAQLQELLIEYGSVKEIWFDGAKGKNAKNMSYYFTDWFAMVRELQSSINIFSDAGPDVRWVGNERGFAGNTSWSTINSTSLSIGNASIIDYLNTGDPKGTNWLPAECDVSIRKGWFWHKSESPKKLSELLEIYYNSVGRNCVMLLNVPPNSTGLISETDVQRLKQFRSAIDTIFSTNLAEKCFIKASSQRGGKGGGFGPENVLDSDHLWTYWAPRDDGHKKEHWIEIRVQNEGLRFNVVRIQEAIGLGQRIVRHEIFVDGKKLVEGTTVGHKRLHRLEEVHGRVVRIVVKESRGLPLISSIGLHFDPYWNPNEQLLF >EOY21760 pep chromosome:Theobroma_cacao_20110822:3:18006586:18009318:1 gene:TCM_013867 transcript:EOY21760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKSKEEKPLAGDLSNSSSSRRGRATPSVSKSVGVLDLSKEKSAKPPRRLSIPTKSTVTPSPKFVGTVTPISEARAKKSTNVQGKSDTPLSDASRSATRRRFSVLSSASYWLSQIKLSESASKHSVSLGFFKLALEAGCEPLQRMRDELRSYLGRHNLGENDEAIMELLKSYNVSENSDQPQVSETCSQLPEEGTRSSDDEVHSVSSVVVSRKLKPKSLNTDAAQASSVAESAKKAIPKNNPVTRNRALNKNSSNSRSVSDTGSRTVQKKTQKTTRQEPVKGRDRAKRPGIKSANEEGKSS >EOY21759 pep chromosome:Theobroma_cacao_20110822:3:18006133:18009028:1 gene:TCM_013867 transcript:EOY21759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MEEPVKEQPPSAPFAGSAGKSKLRYPLRSSMKSKEEKPLAGDLSNSSSSRRGRATPSVSKSVGVLDLSKEKSAKPPRRLSIPTKSTVTPSPKFVGTVTPISEARAKKSTNVQGKSDTPLSDASRSATRRRFSVLSSASYWLSQIKLSESASKHSVSLGFFKLALEAGCEPLQRMRDELRSYLGRHNLGENDEAIMELLKSYNVSENSDQPQVSETCSQLPEEGTRSSDDEVHSVSSVVVSRKLKPKSLNTDAAQASSVAESAKKAIPKNNPVTRNRALNKNSSNSRSVSDTGSRTVQKKTQKTTRQEPVKGRDRAKRPGIKSANEEDQVSPTAAAETVEEDKENMDAPLTEEISATEEM >EOY21527 pep chromosome:Theobroma_cacao_20110822:3:10599863:10608573:-1 gene:TCM_013235 transcript:EOY21527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLLAKADNACRRGRAWQRGHDTTGHANFEGTLLQKSPHGDTWENSKNSFIKSTLRAAPFSLVDVRLVDESGLGGHFGAEDAREKEPAFPATNGTSRQARPAAVLSREPAAEQRTGANGKDDKGDLSAGEKFVTISAKPQARLSDVQENFHTNGLQITMGEKEMMALIAASRSDAVQGKRGKKNKKKKNNNVRNERDMELIALAEEWMGVPKLMQEDASEHSKIHFYMPPNQTATGPQGDNQQMAESKEGGQTGPMDTLEGSGEHSPIIEKSQTTGNNKLVSIVACSRDRIEAYAENPPNMEPVSDKCMYNKEISDVPSVSFVFETNFADIEVHPRIRRRRHSNTEVSIDEILSLASDKAVDMGENDEASDEDAISVNFAAHWERERYF >EOY21488 pep chromosome:Theobroma_cacao_20110822:3:9310739:9313885:1 gene:TCM_013099 transcript:EOY21488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPTAEQSAANQERRETRAVAMRDKLDEIETNMEQELILAMRQEIVELRDEITSLRVVTLGDECINKYHLKGDDAMLSLGKLASRLGKSFRRNYESNFILSMRTMKPVESLEDCHNTVRSGRPKRRGRALEIRIGILKKAKLVVIAFEEDKQDLEARKLGLIVFGAIQQKRFTQRWGLMFTDIKINGQKIEALENQATEYEKALIKVLEMLKTSGDMMLASLQNRLPPQREIDHHIDVVLETHPPAHTSYRMSPPEFVKLKKQLGELLDAGFIQPSKSPYGSQFYSSENMTEPYFYDIVIYSKTLEEHVQHIRLAMGTLREHELYVKNEKCSFDPNKVKAILEWEPPTKVMELRSFLGLANYYRRFVKGYFVVTAPLTEMLKKGITWKWSTRSQQAFNALKQAICAKSVLALPDHTKSYEVHTDALDFAIKGVLIQEGHLVAYESRKLNDIEKRYIVQEKEMTVIVHYFRT >EOY23405 pep chromosome:Theobroma_cacao_20110822:3:27307314:27308216:-1 gene:TCM_015312 transcript:EOY23405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acidic endochitinase isoform 1 GSTNYWADLARYLAAYSKPGRKVYLSAAPQCPIPDRFLGAALSTGLFDYVWVQFYNNAPCQYSPGNTSKLLASWKRWAAIGAIKKLFLGLPAAKAGAGSGYIPPGVLTSKILPEIKKSPKYGGVMLWNRYLDKVTGYSAAIKSKV >EOY23406 pep chromosome:Theobroma_cacao_20110822:3:27308373:27308737:-1 gene:TCM_015312 transcript:EOY23406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acidic endochitinase isoform 1 MATKTQAIPIFLSLLVLALIEVSHAGGIGIYWGQSGSETTLNTTCNSGLYKYVSIAFLNKFGSGRTPGLNLAGHCNPANGGCRVASSAIRNCQSKGIKVML >EOY24671 pep chromosome:Theobroma_cacao_20110822:3:31876966:31882845:-1 gene:TCM_016214 transcript:EOY24671 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 1 MFSTVLLQRSKTLSDLLRSRILTRPMGGGPRTFPGGLNKWQWKRLHEKKAKEKERRLLDQEKQLYQARIRFQVRAKLAGKPDSSSNTTKYNPMSPNDHIKALADRFMKEGAVDLWNENDGPLKSEEQERPRIIETTRNQRSGSIYSPLDVKKLISDKRMQNAKFNVVNSNHFGKSRSYSVQSKGKFRVNESSFGRIPLDLDSKDDSLKHSGRNIEQRRFKKNESSASQRESDFVSNDNSMKRVDQGGLGGNRENESPRNFRKFRKSGNGLERRRFRRNESSSSDDEWDSDIDDEVEGVGGGRDVRKLGSSASLGKYDVKITKRVPLKELEKDIDFSEQVELLRKELDKKKLAENDEKKGEGETIYSQKRFDECGISSLTIKALSAAGYFKMTRVQEATLSVCLEGKDALVKAKTGTGKTAAFLLPAIETVLKAASSNTIQRAPPIYVLILCPTRELASQLAAEANALLKYHDGIGVQTLVGGTRFKVDQKRLESDPCQIIVATPGRLLDHVENKSTLSVRLMGLKMLILDEADHLLDLGFRKDVEKIVDCLPRQRQSLLFSATIPKEVRRISQLVLKREHAFIDTVGLGCVETHDKVKQSLLVAPHELHFQIVHHLLKKHISQAPDYKVIVFCTTGMVTSLVYLLLREMNMNVREIHSRKPQLYRTRISDEFRESGRLILVTSDVSARGMDYPDVTLVIQVGIPPDREQYIHRLGRTGREGKGGEGILLIAPWEEYFLDEIKDLPLEKLSLPHMDPDVKQQLEFAMAKIDGSIKEAAYHAWLGYYNSIREIGRDKTTLVELANQFSMSIGLQRPPPLFRKTAIKMGLKDIPGIRIRK >EOY24674 pep chromosome:Theobroma_cacao_20110822:3:31879205:31882643:-1 gene:TCM_016214 transcript:EOY24674 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 1 MFSTVLLQRSKTLSDLLRSRILTRPMGGGPRTFPGGLNKWQWKRLHEKKAKEKERRLLDQEKQLYQARIRFQVRAKLAGKPDSSSNTTKYNPMSPNDHIKALADRFMKEGAVDLWNENDGPLKSEEQERPRIIETTRNQRSGSIYSPLDVKKLISDKRMQNAKFNVVNSNHFGKSRSYSVQSKGKFRVNESSFGRIPLDLDSKDDSLKHSGRNIEQRRFKKNESSASQRESDFVSNDNSMKRVDQGGLGGNRENESPRNFRKFRKSGNGLERRRFRRNESSSSDDEWDSDIDDEVEGVGGGRDVRKLGSSASLGKYDVKITKRVPLKELEKDIDFSEQVELLRKELDKKKLAENDEKKGEGETIYSQKRFDECGISSLTIKALSAAGYFKMTRVQEATLSVCLEGKDALVKAKTGTGKTAAFLLPAIETVLKAASSNTIQRAPPIYVLILCPTRELASQLAAEANALLKYHDGIGVQTLVGGTRFKVDQKRLESDPCQIIVATPGRLLDHVENKSTLSVRLMGLKMLILDEADHLLDLGFRKDVEKIVDCLPRQRQSLLFSATIPKEVRRISQLVLKREHAFIDTVGLGCVETHDKIVHHLLKKHISQAPDYKVIVFCTTGMVTSLVYLLLREMNMNVREIHSRKPQLYRTRISDEFRESGRLILVTSDVSARGMDYPDVTLVIQVLFL >EOY24673 pep chromosome:Theobroma_cacao_20110822:3:31879632:31882604:-1 gene:TCM_016214 transcript:EOY24673 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 1 MFSTVLLQRSKTLSDLLRSRILTRPMGGGPRTFPGGLNKWQWKRLHEKKAKEKERRLLDQEKQLYQARIRFQVRAKLAGKPDSSSNTTKYNPMSPNDHIKALADRFMKEGAVDLWNENDGPLKSEEQERPRIIETTRNQRSGSIYSPLDVKKLISDKRMQNAKFNVVNSNHFGKSRSYSVQSKGKFRVNESSFGRIPLDLDSKDDSLKHSGRNIEQRRFKKNESSASQRESDFVSNDNSMKRVDQGGLGGNRENESPRNFRKFRKSGNGLERRRFRRNESSSSDDEWDSDIDDEVEGVGGGRDVRKLGSSASLGKYDVKITKRVPLKELEKDIDFSEQVELLRKELDKKKLAENDEKKGEGETIYSQKRFDECGISSLTIKALSAAGYFKMTRVQEATLSVCLEGKDALVKAKTGTGKTAAFLLPAIETVLKAASSNTIQRAPPIYVLILCPTRELASQLAAEANALLKYHDGIGVQTLVGGTRFKVDQKRLESDPCQIIVATPGRLLDHVENKSTLSVRLMGLKMLILDEADHLLDLGFRKDVEKIVDCLPRQRQSLLFSATIPKEVRRISQLVLKREHAFIDTVGLGCVETHDKLQ >EOY24672 pep chromosome:Theobroma_cacao_20110822:3:31877812:31882643:-1 gene:TCM_016214 transcript:EOY24672 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 1 MFSTVLLQRSKTLSDLLRSRILTRPMGGGPRTFPGGLNKWQWKRLHEKKAKEKERRLLDQEKQLYQARIRFQVRAKLAGKPDSSSNTTKYNPMSPNDHIKALADRFMKEGAVDLWNENDGPLKSEEQERPRIIETTRNQRSGSIYSPLDVKKLISDKRMQNAKFNVVNSNHFGKSRSYSVQSKGKFRVNESSFGRIPLDLDSKDDSLKHSGRNIEQRRFKKNESSASQRESDFVSNDNSMKRVDQGGLGGNRENESPRNFRKFRKSGNGLERRRFRRNESSSSDDEWDSDIDDEVEGVGGGRDVRKLGSSASLGKYDVKITKRVPLKELEKDIDFSEQVELLRKELDKKKLAENDEKKGEGETIYSQKRFDECGISSLTIKALSAAGYFKMTRVQEATLSVCLEGKDALVKAKTGTGKTAAFLLPAIETVLKAASSNTIQRAPPIYVLILCPTRELASQLAAEANALLKYHDGIGVQTLVGGTRFKVDQKRLESDPCQIIVATPGRLLDHVENKSTLSVRLMGLKMLILDEADHLLDLGFRKDVEKIVDCLPRQRQSLLFSATIPKEVRRISQLVLKREHAFIDTVGLGCVETHDKVKQSLLVAPHELHFQIVHHLLKKHISQAPDYKVIVFCTTGMVTSLVYLLLREMNMNVREIHSRKPQLYRTRISDEFRESGRLILVTSDVSARGMDYPDVTLVIQYGKREKESCDAFMMLLLGF >EOY20546 pep chromosome:Theobroma_cacao_20110822:3:93536:94278:1 gene:TCM_011941 transcript:EOY20546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVKLALVLSIATTIIERAFSFMNLIKSILRNRMGDVWLNDCLVTHIERDVFETIDNEAIMKRFPNMKNRRILYLDYMRLFLLKW >EOY22272 pep chromosome:Theobroma_cacao_20110822:3:22723533:22727173:-1 gene:TCM_014490 transcript:EOY22272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein MGSANRLTNGQGRHPLFSFGVISDVQYADIPDGCSFIGVPRYYRHSILVLQRAVKSWNNLKNLNFAINFGDIVDGRCPKDQSVNAVNKVVGEFEKFNGPLYHIIGNHCLYNLPRDKLLPLLKIPNPRGGGHGYYDFSPTPEYRFVVLDGYDISAIGWPHDHPNTLEALEFLRRKNPNSNKNSPEGLEGLDRRFLMFNGAVGKEQMEWLDGVLQDATNLKQKVIVCCHLPLDPSASGQEALLWNYDQVMDVIHRYKCVKVCLAGHDHEGGHSIDSHGIHHRVLEAALECPPGTFAYGYIDVYDNMLSLVGTDRMKSTNISFHP >EOY23714 pep chromosome:Theobroma_cacao_20110822:3:28325022:28328147:-1 gene:TCM_015520 transcript:EOY23714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MENSTQESHLRSDNSITYESPCPVYAMAFSSAPSRTSLNHQRIALGSFIEDYANRVDIISFDPETLSFKTHPTLSFDHPYPPTKLMFQPNRKSPSSSSSDLLASSGDYLRLWEVRESSIEPFSVLNNSKTSEFCAPLTSFDWNDVDPKRIGTSSIDTTCTIWDIEKGVVETQLIAHDKEVYDIAWGEARVFASVSADGSVRIFDLRDKEHSTIIYESPQPDSPLLRLAWNKQDLRYMATILMDSNKVVILDIRSPTMPVAELERHRASVNAIAWAPQSGRHICSAGDDTQALIWELPTVAGPNGIDPLSMYSAGSEINQLQWSAAQPDWIAIAFSNKMQLLKV >EOY23712 pep chromosome:Theobroma_cacao_20110822:3:28325016:28328147:-1 gene:TCM_015520 transcript:EOY23712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MENSTQESHLRSDNSITYESPCPVYAMAFSSAPSRTSLNHQRIALGSFIEDYANRVDIISFDPETLSFKTHPTLSFDHPYPPTKLMFQPNRKSPSSSSSDLLASSGDYLRLWEVRESSIEPFSVLNNSKTSEFCAPLTSFDWNDVDPKRIGTSSIDTTCTIWDIEKGVVETQLIAHDKEVYDIAWGEARVFASVSADGSVRIFDLRDKEHSTIIYESPQPDSPLLRLAWNKQDLRYMATILMDSNKVVILDIRSPTMPVAELERHRASVNAIAWAPQSGRHICSAGDDTQALIWELPTVAGPNGIDPLSMYSAGSEINQLQWSAAQPDWIAIAFSNKMQLLKV >EOY23713 pep chromosome:Theobroma_cacao_20110822:3:28325639:28328147:-1 gene:TCM_015520 transcript:EOY23713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MENSTQESHLRSDNSITYESPCPVYAMAFSSAPSRTSLNHQRIALGSFIEDYANRVDIISFDPETLSFKTHPTLSFDHPYPPTKLMFQPNRKSPSSSSSDLLASSGDYLRLWEVRESSIEPFSVLNNSKTSEFCAPLTSFDWNDVDPKRIGTSSIDTTCTIWDIEKGVVETQLIAHDKEVYDIAWGEARVFASVSADGSVRIFDLRDKEHSTIIYESPQPDSPLLRLAWNKQDLRYMATILMDSNKVVILDIRSPTMPVAELERHRASVNAIAWAPQSGRHICSAGDDTQALIWELPTVAGPNGIDPLSMYSAGSEINQLQWSAAQPDWIAIAFSNKMQLLKV >EOY23965 pep chromosome:Theobroma_cacao_20110822:3:29141563:29142908:1 gene:TCM_015691 transcript:EOY23965 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MSTYSPYAQSPSSNYNPPVPIIIAIIFVLLFFFGFFAIYFFRCFVHNLVVLWNRRRNPSGALVGTAGANMSNGLDPELIQAFPTFSYSSVKEFRREKYGLECTICLGEFSDEDMLRLLTICCHVFHKECVDLWLESHKTCPVCRGELDVPRKSLEKSPILVQTNSMHEIGANQSSVQDAVCIDIKEDNNEEVDGGEAEAQASSNTGEQHHKERDQMERFSRSHSTGHSIVRTTEEEDRYTPKLLDHVKTKIVRGHKSAGSCIAFGDFSSPLDYKNRSFGEASETGRADMDKV >EOY21900 pep chromosome:Theobroma_cacao_20110822:3:19566605:19569257:1 gene:TCM_014060 transcript:EOY21900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGKPGLFDLENHFAFYGAYHSNPINILIHTLFVWPIFFTSLVLFYFTPTVYDLSQSGILPSGLNPVLILNYGFVFALIYGLFYVILDKKAGSLAALLCLACWVGASFLAAKLGYSLAWKLFCWTGQFLGHGVFEKRAPALLDNLVQAFLMAPFFVLLEVLQSFFGYEPSPGFHARVKAKIEAEIKEWQDKKQKKVS >EOY21899 pep chromosome:Theobroma_cacao_20110822:3:19566620:19569011:1 gene:TCM_014060 transcript:EOY21899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGKPGLFDLENHFAFYGAYHSNPINILIHTLFVWPIFFTSLVLFYFTPTVYDLSQSGILPSGLNPVLILNYGFVFALIYGLFYVILDKKAGSLAALLCLACWVGASFLAAKLGYSLAWKVVLAAQLFCWTGQFLGHGVFEKRAPALLDNLVQAFLMAPFFVLLEVLQSFFGYEPSPGFHARVKAKIEAEIKEWQDKKQKKVS >EOY23441 pep chromosome:Theobroma_cacao_20110822:3:27410086:27413910:1 gene:TCM_015334 transcript:EOY23441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 10 MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >EOY22450 pep chromosome:Theobroma_cacao_20110822:3:23476963:23481639:1 gene:TCM_014611 transcript:EOY22450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycloeucalenol cycloisomerase, putative isoform 2 SLWLAPNPSKRWGELFFLCYTPFWLTLCLGIVVPYKLYESFTELEYLLLGLVSAVPSFLIPMLFVGKADSSLHWKDRYWVKASLWIMIFSYVGNYFWTHYFFTVLGASYTFPSWKMNDVPHTTFLLTHVCFLFYHVASNMTLRRLRHSITGLPKKIQLATEAAWILALSYFIAYLETLAISNFPYYDFVDRASMYKVGSLFYAIYFFVSFPMFLRLTPFHRIDEKPGDLWDLPRVAVDSLGAAMLVTIILDLWRIFLGPIVPLTDTRQCIQPGLPWFAGNENVASQNQCAK >EOY22449 pep chromosome:Theobroma_cacao_20110822:3:23476575:23481644:1 gene:TCM_014611 transcript:EOY22449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycloeucalenol cycloisomerase, putative isoform 2 MGGEESGNASSSLWLAPNPSKRWGELFFLCYTPFWLTLCLGIVVPYKLYESFTELEYLLLGLVSAVPSFLIPMLFVGKQSYATPMMDLHCFAEIWSIYPYNIQVKLTAAYIGRTDIGSRQVFSQNIILHMTSASLWIMIFSYVGNYFWTHYFFTVLGASYTFPSWKMNDVPHTTFLLTHVCFLFYHVASNMTLRRLRHSITGLPKKIQLATEAAWILALSYFIAYLETLAISNFPYYDFVDRASMYKVGSLFYAIYFFVSFPMFLRIDEKPGDLWDLPRVAVDSLGAAMLVTIILDLWRIFLGPIVPLTDTRQCIQPGLPWFAGNENVASQNQCAK >EOY24223 pep chromosome:Theobroma_cacao_20110822:3:30136894:30140460:-1 gene:TCM_015888 transcript:EOY24223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyaluronan / mRNA binding family MATMNPFDLLGDDIEDPSLLIAAAQQQKLEKPKKAPASAPAQAQPAKPAKLPTKPTPPAQAVREAKSEPGRGGGRGGGRGGGRGGGRGGSGFNRDSNVGDNSNGFSGGYRPSEEGEGKLSERRGYGGPRGSFRGGRRGGFSNEESGEGERPRRQYDRRSGTGRGNEFKRDGAGRGNWGTSTDEIAPETEVNVPENEKNVGAEKQSGEEDVVDASKENAANEPEEKEPENKEMTLEEYEKILDEKRKALLALKTEERKVDVKEFESMQQLSNKKSNDDIFIKLGSEKDKRKDADKEERVKKSVSINEFLKPAEGERYYGPGRGRGRGRGPRGGYGGIAGSNVPAPAIEDRGQFPTLGGK >EOY20795 pep chromosome:Theobroma_cacao_20110822:3:1285632:2120772:1 gene:TCM_012142 transcript:EOY20795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKQRDTEPTINRVDPIAYMESSWSSSSYDGIYQVTQHMASTQQSEGDCLSKDHFSSLPDRVHLDLKQNDFTDLLNIWDKWGATTRANFDRKYGHIARLLKVQVDEQLLKAIVQFWDPSYRCFVFNKVDMVPTIEEYSALLQIDLDNPDKIY >EOY23159 pep chromosome:Theobroma_cacao_20110822:3:26451098:26457027:-1 gene:TCM_015148 transcript:EOY23159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CPG-binding domain protein 13, putative isoform 1 MEDQTTDDWLPPGWKVEVRQRRNGKKDKLRVMCYYAPCGELRFISRAEVSRYLDKCGCKTEEKENGSGKQSSKNVTVEKAAAEGLPPGWIKEIRITKRAHRVRKDPFYTDPVSGYVFRSMKDALRYVETGELGKLAFKPKDKGSNDEDLEEDNICEPAHVERQKIDVNGITDETERQSAEQVSNLSGITKEEEMLASASTGEQTSLSKHATNQHKAGVGAELSSLKLSEAKGSEQIGGKDSEEGVHASGNVVGVLLDKQSSENGMIKDETEKTQQGRGKTKLKKAFNIPRRASKRLAGVALDPTPELKTARARRSSFKQLSEVIPDAAESSSPGRCIHGASKQPDQPESALETSCDLDSPKSKELILAPNNMLSSGEMLTMNGHVGNLETEADADNGVLPLGNAAIPGVHSGKVESDVKASEVPGSLVDMPLADLWTDPCIAFAIQTLTGIPCDNPKISELNSSKGPGILATPEVHAERKVNGNGSVERQGCGMDLPLADPAIPKEHAGKVEMGHKTDDKPGSSLDTPLADIWADPCIEFAIKTLTGAIPVEYDLENQDYFRRQPSSSLTQSSNHLTLPDVGIDNFSQTDFICQQYDVTEKAMSKEHAFINPIFNYSHHRSGERP >EOY23160 pep chromosome:Theobroma_cacao_20110822:3:26451133:26456947:-1 gene:TCM_015148 transcript:EOY23160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CPG-binding domain protein 13, putative isoform 1 MEDQTTDDWLPPGWKVEVRQRRNGKKDKCYYAPCGELRFISRAEVSRYLDKCGCKTEEKENGSGKQSSKNVTVEKAAAEGLPPGWIKEIRITKRAHRVRKDPFYTDPVSGYVFRSMKDALRYVETGELGKLAFKPKDKGSNDEDLEEDNICEPAHVERQKIDVNGITDETERQSAEQVSNLSGITKEEEMLASASTGEQTSLSKHATNQHKAGVGAELSSLKLSEAKGSEQIGGKDSEEGVHASGNVVGVLLDKQSSENGMIKDETEKTQQGRGKTKLKKAFNIPRRASKRLAGVALDPTPELKTARARRSSFKQLSEVIPDAAESSSPGRCIHGASKQPDQPESALETSCDLDSPKSKELILAPNNMLSSGEMLTMNGHVGNLETEADADNGVLPLGNAAIPGVHSGKVESDVKASEVPGSLVDMPLADLWTDPCIAFAIQTLTGIPCDNPKISELNSSKGPGILATPEVHAERKVNGNGSVERQGCGMDLPLADPAIPKEHAGKVEMGHKTDDKPGSSLDTPLADIWADPCIEFAIKTLTGAIPVEYDLENQDYFRRQPSSSLTQSSNHLTLPDVGIDNFSQTDFICQQYDVTEKAMSKEHAFINPIFNYSHHRSGERP >EOY23161 pep chromosome:Theobroma_cacao_20110822:3:26451090:26455185:-1 gene:TCM_015148 transcript:EOY23161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CPG-binding domain protein 13, putative isoform 1 CYYAPCGELRFISRAEVSRYLDKCGCKTEEKENGSGKQSSKNVTVEKAAAEGLPPGWIKEIRITKRAHRVRKDPEPAHVERQKIDVNGITDETERQSAEQVSNLSGITKEEEMLASASTGEQTSLSKHATNQHKAGVGAELSSLKLSEAKGSEQIGGKDSEEGVHASGNVVGVLLDKQSSENGMIKDETEKTQQGRGKTKLKKAFNIPRRASKRLAGVALDPTPELKTARARRSSFKQLSEVIPDAAESSSPGRCIHGASKQPDQPESALETSCDLDSPKSKELILAPNNMLSSGEMLTMNGHVGNLETEADADNGVLPLGNAAIPGVHSGKVESDVKASEVPGSLVDMPLADLWTDPCIAFAIQTLTGIPCDNPKISELNSSKGPGILATPEVHAERKVNGNGSVERQGCGMDLPLADPAIPKEHAGKVEMGHKTDDKPGSSLDTPLADIWADPCIEFAIKTLTGAIPVEYDLENQDYFRRQPSSSLTQSSNHLTLPDVGIDNFSQTDFICQQYDVTEKAMSKEHAFINPIFNYSHHRSGERP >EOY22525 pep chromosome:Theobroma_cacao_20110822:3:23781635:23783993:1 gene:TCM_014671 transcript:EOY22525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIESKKERDPTMERPSVEEEVTDDQKLHHLFKNLEQEWDFIKQRPERTLHTRSTGSSKMIQTLRLLNNSPRKLMSSLQHRSSPSERVAWKVRTNDLAVEEILTERRAAIESGKLKGRRLFEGAEGVTEMGFGRDEVTCSGWEIGLDQESEVRSVFSYESDNDVDEIWGRKEVSSASCPRCCSCSPSSSSSSLCAEKEQRGGTEVATLAEKRIVRDVGSGRGRRWMVIIVWLTITLAVCVVGIISRRNFCLYEDEEDMLFDYELLTSLHAINHVALRVRKHGTVPSMYFLAPLFKCSCNKQREELNFWNVKLPFISFSFVMLVGKFGPLAAGNGISIGFATSFFKAWMLYPFPADTRKAWKILFFAAL >EOY20785 pep chromosome:Theobroma_cacao_20110822:3:1186681:1187578:-1 gene:TCM_012124 transcript:EOY20785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIDEFLSTMSEDDLKMQDCYGRTVLHHAAMSTENTKIAQSLIRKNRELLTIPENRGDIPLNVASWVGHKDMTHYLYNMTSPEFLLSLENERQAALFLGDCIRNKWFGKSEIYRCCFGSALSSSRVSIC >EOY20765 pep chromosome:Theobroma_cacao_20110822:3:977158:981569:-1 gene:TCM_012103 transcript:EOY20765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MDVAHCYLEGNADAVEFCPHEGYQHVLAASTYTLQEGDQPSRAGSISLFDVNAEKGNLELFHRVDTAGIFDIKWSPVGGNVGPLLAQADADGYLKIYSLEGRSDGEEGGLLNAVSGEKISSSMCLFLDWNPSATSISVGLSDGSVSIISLVESGIETLQEWKAHDFELWTTCFDFQQPQLVYTGSDDCKFSCWDMRDSPAQMVFQNSKVHKMGVCCIAKSRCDPNIILTGSYDEYLRVWDLRSISRPVNETSVCLGGGVWRIKHHPFVSGLVLAACMHNGFAIVKIGGEKPEVVETYNQHGSLAYGADWQKDKLLPVGKTKSTIVATCSFYDRLLRIWMPKSDICCI >EOY20764 pep chromosome:Theobroma_cacao_20110822:3:977256:981569:-1 gene:TCM_012103 transcript:EOY20764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MDVAHCYLEGNADAVEFCPHEGYQHVLAASTYTLQEGDQPSRAGSISLFDVNAEKGNLELFHRVDTAGIFDIKWSPVGGNVGPLLAQADADGYLKIYSLEGRSDGEEGSRGGLLNAVSGEKISSSMCLFLDWNPSATSISVGLSDGSVSIISLVESGIETLQEWKAHDFELWTTCFDFQQPQLVYTGSDDCKFSCWDMRDSPAQMVFQNSKVHKMGVCCIAKSRCDPNIILTGSYDEYLRVWDLRSISRPVNETSVCLGGGVWRIKHHPFVSGLVLAACMHNGFAIVKIGGEKPEVVETYNQHGSLAYGADWQKDKLLPVGKTKSTIVATCSFYDRLLRIWMPKSDICCI >EOY24336 pep chromosome:Theobroma_cacao_20110822:3:30592518:30594548:1 gene:TCM_015964 transcript:EOY24336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSLQKTPKPTMLDDRSRKKVRFRNSSGDGFTQDDSVVVYNNPSFKATVLGSDSEEMLSGGDDDLVEGAKDESEFEMECDSAESDEEDFEYGSIEEMQDLIAVAAWVRFPGIPLHMYHKSILKRITSLIGRMLKIDHNTGAEKRGKFARVTVELDLSEPLTPKFFLNGKEQKIVYEGLPQVCFTCGVFGHTK >EOY23813 pep chromosome:Theobroma_cacao_20110822:3:28653238:28654134:1 gene:TCM_015589 transcript:EOY23813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSCYCHLPLHFTIIAVVLWDRLPPFALSLLMLCHDKQYYLRMLVYEMEEEAALLSVASLVAPTIRHQLYFVIFFLLFLIFSSTFVAASTSFLLYTFYY >EOY23868 pep chromosome:Theobroma_cacao_20110822:3:28814546:28816521:-1 gene:TCM_015622 transcript:EOY23868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMEHQLLYGSTGTFPIIVLYFQPKVFLLTPSFAVMVSHHHLGTPCKISSFAVTTIICSFALKSSIRLPYTTPPILSGVVVGLPGGYRLQSEPTHLGPQLPEKV >EOY23131 pep chromosome:Theobroma_cacao_20110822:3:26299934:26301135:-1 gene:TCM_015117 transcript:EOY23131 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEBP family protein MSRVPEPLTVGRVIGEVVDNFTPSVKMTVTYNSNKQVANGHELMPAVIVARPRVEIGGEDMRAAYTLILTDPDAPSPSDPYLREHLHCPQSKLQTNISSRMVTDIPGTTDASFGREVVSYETPKPTVGIHRYVFILFKQRGRQTVRPPTSRDYFNTRRFSQENGLGLPVAAVYFNAQRETAARRR >EOY23805 pep chromosome:Theobroma_cacao_20110822:3:28637695:28638168:1 gene:TCM_015586 transcript:EOY23805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILVAIVAELMEEYMVLLARVLEHLLHEAPFPRRIRFLILRSLPFVSSTPPLLRAPA >EOY20575 pep chromosome:Theobroma_cacao_20110822:3:209804:213798:-1 gene:TCM_011961 transcript:EOY20575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin 8, putative MMVQPWLLPCAIFFFLFSSSYVVALSSRHQLFPHFTKVQFISESSPPPTSMVQSLAMPPALPSSSSSSKGKVVKIIVATAATTLFIALVVFFFLKKFTRCCRKGKYESSFRREAVVIPDEFKKYGGKVKGLIVDENGTDVLCMTKLDRKLKSNFPKVMFNPSYEEDEEEKRIVTTPERSNKSKPQEDFLFLGVPSDVINDPNEEKPILQTPTLLPPVSTTLPPTRDLHPAPPAAMISTKQTTAPPPPPPSIKKAPPPPPPPPPHPLLTPPAPPKLAKKNPAAPPPPPKAGVSASILKPPTAPRGTASNRNGASTSPENWKGAGFGQLKLRPLHWDKVIANSDHSMVWDQIKDGSLRFDDELIETLFGYTTSNRKSPDNNNISSNTSGSSSNTPAAQVFILEPRKSQNTAIVLKSLAISRKEVIDALREGQGLSSETLEKLTKIAPTREEEAKILQFNGNLVRLADAESFLYHVLKAVPSAFIRINAMLFRSNYDSEILNLKEYLQTLELACKELRRRGLLLKLLEAILKAGNRMNAGTARGNALGFNLSALQKLSDVKSTDGKTTLLHFVVEQVARAEGRRHVINRNHSLGRSNSQRNNGDVNPDNLMPEEKDREYMMLGLPALGALGSEFSHVKTAATIEYESFINICSNLTGRVAESRQLVTCCSNKEQTGFVMEMKGFLEDCEEELEVVREEQIRVMEIVKRTTEYYQAGASKERGANPLQLFVIVKDFLDMVDGVRADITRKLQRTNVIHSAGSSPPPSPPRRTPLKLINFRSQFMSDMSRTSSSSESDDDF >EOY22259 pep chromosome:Theobroma_cacao_20110822:3:22669926:22679298:1 gene:TCM_014481 transcript:EOY22259 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MGALQRSKILSGAQRLGMGVAEEKSFISSSLEESKKKRKRKRSRTKKSEHQNPDNNTDREEGGEEEEFEQEKQEEDETENREVQEKKNRKKNKKVKSDDDEKQELVNNEEEEEEEEEEGEKGEIKEKVKNGGSGIMSTESFESLGLSEPTFKAIKEMGFQYMTQIQARAIPPLMIGKDVLGAARTGSGKTLAFLVPAVELLYNVHFTPRNGTGVIVICPTRELAIQTHAVAKDLLKYHSQTLGLVIGGAARRGEAERIAKGVNLLVATPGRLLDHLQHTKGFIYKNLKCLMIDEADRILEANFEEEMKQIIKFLPKQNRQTALFSATQTKKVEDLARLSFQTTPIYIDVDDGRKKVTNEGLQQGYCVVHSSKRFILLYSFLKRNLSKKVMVFFSSCNSVKFHAELLRYIHVDCFDIHGKQKQQKRTTTFFDFCKAEKGILLCTDVAARGLDIPAVDWIVQYDPPDEPKEYIHRVGRTARGEGARGNALLFLIPEELQFLRYLKAAKVPVKEYEFDEKKLANVQSHLEKLVANNYYLNKSAKDAYRSYILAYNSHSMKDIFNVHRLDMQAIAASFCFSCPPKVNLNIDSNASKFRKTTRKVEGVRNSFSASNPYGRQRGEDDNRQFVRY >EOY22260 pep chromosome:Theobroma_cacao_20110822:3:22671539:22679190:1 gene:TCM_014481 transcript:EOY22260 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MGVAEEKSFISSSLEESKKKRKRKRSRTKKSEHQNPDNNTDREEGGEEEEFEQEKQEEDETENREVQEKKNRKKNKKVKSDDDEKQELVNNEEEEEEEEEEGEKGEIKEKVKNGGSGIMSTESFESLGLSEPTFKAIKEMGFQYMTQQIQARAIPPLMIGKDVLGAARTGSGKTLAFLVPAVELLYNVHFTPRNGTGVIVICPTRELAIQTHAVAKDLLKYHSQTLGLVIGGAARRGEAERIAKGVNLLVATPGRLLDHLQHTKGFIYKNLKCLMIDEADRILEANFEEEMKQIIKFLPKQNRQTALFSATQTKKVEDLARLSFQTTPIYIDVDDGRKKVTNEGLQQGYCVVHSSKRFILLYSFLKRNLSKKVMVFFSSCNSVKFHAELLRYIHVDCFDIHGKQKQQKRTTTFFDFCKAEKGILLCTDVAARGLDIPAVDWIVQYDPPDEPKEYIHRVGRTARGEGARGNALLFLIPEELQFLRYLKRQQKFLLRNMSLMRRSWRMCSLIWRS >EOY20772 pep chromosome:Theobroma_cacao_20110822:3:1030267:1040903:1 gene:TCM_012109 transcript:EOY20772 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORC family CW-type zinc finger protein 3 isoform 1 MNCVEIVDLSSDDELGEVDVKPVKLEPGTVVGSTTKQKNNHRFQPVELVKYKTQSGKQASEENKSSNNALSTGQSSTSFLEQGQSPGDDTGVSSTSAVSPAPVCRQFWKAGSYEGGLGSKVTLQNSKNYLHVHPLFLHSNATSHKWAFGAIAELLDNAIDEIQNGATFVIVDKTSNPRDGSPALLIQDDGGGMDPEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSSMRLGGDVIVFSRHLDNRSLTQSIGLLSYTFLTRTGHDRIVVPMVDYELNVSTGMLDVLHGREHFVSNLSILLQWSPYSTEAELLKQFDDIGSHGTKIIIYNLWLNNDRDSELDFDSDPKDIRISGDTKKVNTIPAWKAVNEQHIASRYHISLRVYLSILYLRIPDTFRIILRGEIVEHHNIADDLKFIEYILYRPQSFGSVEGTVVCTIGFLKEAPQVNIHGFCVYHKNRLILPFWQVVSYSDSRGRGVVGVLEANFVEPTHNKQDFERTSLFQKLEGRLKEMTWEYWDYHCGLIGYQVKKKSRPAASHVSSHFTGQSSAEQPVLLNQTSLDADRAKTGCTDVGVKQSITNSHGRSMQGLPTKRKEPGDLANLEKVKRQAGTSANTVVQPVDTTANHSKDQETIYLMQENKKLRAKCSEYEKRREELNIKVEKLRSEVGEVQREYYRLLAELNSLDIVKDENDVYM >EOY20773 pep chromosome:Theobroma_cacao_20110822:3:1030643:1039719:1 gene:TCM_012109 transcript:EOY20773 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORC family CW-type zinc finger protein 3 isoform 1 MNCVEIVDLSSDDELGEVDVKPVKLEPGTVVGSTTKQKNNHRFQPVELVKYKTQSGKQASEENKSSNNALSTGQSSTSFLEQGQSPGDDTGVSSTSAVSPAPVCRQFWKAGSYEGGLGSKVTLQNSKNYLHVHPLFLHSNATSHKWAFGAIAELLDNAIDEIQNGATFVIVDKTSNPRDGSPALLIQDDGGGMDPEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSSMRLGGDVIVFSRHLDNRSLTQSIGLLSYTFLTRTGHDRIVVPMVDYELNVSTGMLDVLHGREHFVSNLSILLQWSPYSTEAELLKQFDDIGSHGTKIIIYNLWLNNDRDSELDFDSDPKDIRISGDTKKVNTIPAWKAVNEQHIASRYHISLRVYLSILYLRIPDTFRIILRGEIVEHHNIADDLKFIEYILYRPQSFGSVEGTVVCTIGFLKEAPQVNIHGFCVYHKNRLILPFWQVVSYSDSRGRGVVGVLEANFVEPTHNKQDFERTSLFQKLEGRLKEMTWEYWTEQCRTTCSVESNFLGC >EOY23246 pep chromosome:Theobroma_cacao_20110822:3:26764226:26767472:1 gene:TCM_015206 transcript:EOY23246 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MWLFSRKGASGFSATTTAEEATQGIDGTGLTASSQVLLFTLFSPKENNKKEGNPFHSIFSAFWVSSLEYEQEGKERGNTALTYVFGFTSLSLLMFTKIKPYSCFSGGSSGIGTETARVLALRGVHVVVGVRNMTAGRGVKETIVQQNPNAKIDAMELDLSSMASVRKFAADFKSSGLPLNILINNAGIMATSFMLSKDGIELQFATNHMGHFLLTNLLLETMKKTADGSKTEGRIVNVSSRRHKFSYPEGIRFDKINDQSGYNSVSAYGQSKLANVLHANELARRLKEDQVAITANSIHPGVIATNLFRHLSFLSAFILINEQKETIILFSGSVAGLVGLFGKYVIKNVEQGAATTCYVALHPQVKGRTGLYFADCNVAETSVQANDSELAWKLWDFSLSLVNKRPHT >EOY24143 pep chromosome:Theobroma_cacao_20110822:3:29820503:29823700:-1 gene:TCM_015820 transcript:EOY24143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II beta chain 1 isoform 3 MYRGERAAGGSKGEVGSVDRKRINEALDKQLERSSPSTSRAINGKDKSAHSLLMGKHPPDHHRDSRSVSLPKAKASDDESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHSRYILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPRCEDIYYPRSKYQDIDGAYFGTTFPHLFLMTYGHLKPLKASQSYVPRVFGFKIHKP >EOY24142 pep chromosome:Theobroma_cacao_20110822:3:29820568:29823689:-1 gene:TCM_015820 transcript:EOY24142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II beta chain 1 isoform 3 MYRGERAAGGSKGEVGSVDRKRINEALDKQLERSSPSTSRAINGKDKSAHSLLMGKHPPDHHRDSRSVSLPKAKASDDESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHSRYILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPLKASQSYVPRVFGFKIHKP >EOY24144 pep chromosome:Theobroma_cacao_20110822:3:29820495:29824135:-1 gene:TCM_015820 transcript:EOY24144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II beta chain 1 isoform 3 MYRGERAAGGSKGEVGSVDRKRINEALDKQLERSSPSTSRAINGKDKSAHSLLMGKHPPDHHRDSRSVSLPKAKASDDESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHEEQNELVESAAEMLYGLIHSRYILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPLKASQSYVPRVFGFKIHKP >EOY22996 pep chromosome:Theobroma_cacao_20110822:3:25701048:25714008:1 gene:TCM_015015 transcript:EOY22996 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 7 MPAMRNITRLLIEKNPWDYCDIGVPYPTGFHPRSVSDILQWQNYVRRQNREKLFCFAGGTRWKIKNDFRGILLSHCQSESGSCQVIDCAGSRCAGGKGNTAVLKAFLGSDFCLQPRGDSYTRRSVFDCMVAGSIPVFFWKRTAYDQYEWFLPSEPESFSVYIDHEKVKMGTSTQGMLEKYSREEARRMREKDKTEHFPSCFSEVGDKGVEFGLRPFALECFSLGRRAGLDHPSESISQKPQAFESFNVSYIQNLGSCSYSVVISTSCSSTSYTRDQISIAFGDAYGNQIYVPRLDDPSTRTFEQCSSDTFEIYGPCAYQICYVYLYRSGPDGWKPESVKIYGYNSRAVTFYYDTFIPGDIWYGFNYCNTASSSHRQIALSDLKAKKEEKKMKKKIALETPETEKKMQKLKEPRLKEEDNDSELKKSRKKRKASELQLEEEERSETSSELVEPVNGKENKKRKKPKVEEEEEEEEDEGKSEDPNAISRFRISEAVREKLKSKGIESLFPIQAMTFDIVLDGTDLVGRARTGQGKTLAFVLPILESLTNGPAKTSRKTGYGRAPSVLVLLPTRELAKQVFDDFEVYGEVLGLTSCCLYGGAPYHSQEMKLKRGVDIVVGTPGRVKDHIERRNIDLGSLQFRILDEADEMLRMGFVDDVELILGKVEDASKVQTLLFSATLPDWVKGIAARFLKASKKTVDLVGNEKMKASTNVRHIVLPCSKSARSQLIPDIIRCYSSGGRTIIFTETKDSASELAGLLPGSRALHGDIQQAQREVTLNGFRSGKFLTLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYDPKRSNISKIERESGVKFEHISAPQPVDIAKFAGVEAAKIITQVSDSVIPAFKSVAQELLETSGLSAEDLLAKALAKAAGYSEIKSRSLLTSMENHVTLLLEAGKPIYTLSFVFGVLKRFLPEEKVQSVQGMTLTADGMGAVFDVAEEDVETFLAGAENAANVSLEVLKKELPRLQERDQSRGRSGGGRGGFGDRNGGGGRYSGGGGRFSGGKGGRGGFSDRRFSNGSSGGRGRYNSKKW >EOY22567 pep chromosome:Theobroma_cacao_20110822:3:23938305:23939278:-1 gene:TCM_014699 transcript:EOY22567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGHTVSSTAYKYIAVKVGGVVLELAWTTHKDTLVSSKHPTERACGLPLANTLVAAAAAASSPFNQTALGREDHGCDLRAVN >EOY23896 pep chromosome:Theobroma_cacao_20110822:3:28940427:28945805:1 gene:TCM_015650 transcript:EOY23896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATSPKGMVKFNVDGALRENPGQEGIGGILREEGGKVLIQFSLSVGIIDANTAEILAIKKALQMVATSRWANTDCAGVERKDEFLWVFAEDGDDSMIYHEGCFDVFVICSSGWGRLVDEAKMSLKFEKCKQVMACGIHVAVYLRLRDSRAL >EOY22085 pep chromosome:Theobroma_cacao_20110822:3:21299088:21300549:1 gene:TCM_014279 transcript:EOY22085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wiskott-Aldrich syndrome protein family member 2 MEATAVYSSKGSPFVCSSRIAKPKPQNSATSSPFRIQSMATQKPLPSVAKTVGSRKSSNSTVFPLGEPGPRNSPVAAPPVKLLTNVEKLKLLTKAEKAGLLSAAEKFGLSLSSIEKLGLLSKAEEFGVLSAATDPGTPGALLTLSLGLLLLGPSCVYLVPEDNLGEVVLQVVVALICVAGGSAAFAAWNLVSNLQKSN >EOY23591 pep chromosome:Theobroma_cacao_20110822:3:27971377:27974287:1 gene:TCM_015438 transcript:EOY23591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active7 isoform 2 MAFAISNPTCISSSISPLLKTARAGTNSWRLNPNLKCQCRVVSRFESEGGGRRVWRRRKLTKKDEMLQYKLERIPFLEEQVRKIREGGKLLTMDIERLLLSEDNRFDFVNDVAAEAKDYIESNRDEYGGTKKAILHVLSNRVNDSGFYRPDAYAESDPFKPGPTYLKEEFT >EOY23592 pep chromosome:Theobroma_cacao_20110822:3:27971794:27974377:1 gene:TCM_015438 transcript:EOY23592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active7 isoform 2 MAFAISNPTCISSSISPLLKTARAGTNSWRLNPNLKCQTKKDEMLQYKLERIPFLEEQVRKIREGGKLLTMDIERLLLSEDNRFDFVNDVAAEAKDYIESNRDEYGGTKKAILHVLSNRVNDSGFYRPDAYAESDPFKPGPTYLKEEFT >EOY23627 pep chromosome:Theobroma_cacao_20110822:3:28064072:28065048:-1 gene:TCM_015463 transcript:EOY23627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative isoform 1 MESGGLAFYSCRNCRNPLALGDDLVSKNYIAKSGKAYMFLHAMNIVLGPKQDRQLITGLYTTADIYCSKCGEELGWKYVQAYDLKNRFKEGKFILEKLKMVEEH >EOY23628 pep chromosome:Theobroma_cacao_20110822:3:28064072:28065052:-1 gene:TCM_015463 transcript:EOY23628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative isoform 1 MESGGLAFYSCRNCRNPLALGDDLVSKNYIAKSGKAYMFLHAMNIVLGPKQDRQLITGLYTTADIYCSKCGEELGWKYVQAYDLKNRFKEGKFILEKLKMVEEH >EOY23630 pep chromosome:Theobroma_cacao_20110822:3:28063953:28065263:-1 gene:TCM_015463 transcript:EOY23630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative isoform 1 MESGGLAFYSCRNCRNPLALGDDLVSKNYIAKSGKAYMFLHAMNIVLGPKQDRQLITGLYTTADIYCSKCGEELGWKYVQAYDLKNRFKEGKFILEKLKMVEEH >EOY23629 pep chromosome:Theobroma_cacao_20110822:3:28064242:28065208:-1 gene:TCM_015463 transcript:EOY23629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative isoform 1 MESGGLAFYSCRNCRNPLALGDDLVSKNYIAKSGKAYMFLHAMNIVLGPKQDRQLITGLYTTADIYCSKCGEELGWKYVQAYDLKNRFKEGKFILEKLKMVEEH >EOY22656 pep chromosome:Theobroma_cacao_20110822:3:24362620:24365256:1 gene:TCM_014762 transcript:EOY22656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MLDRGFQHSKAYIKGENPKTYKVDPRQGKRPLSPDESEEKEEDHIFPVYSARSQQDMNAMVQALAQVIGNNNSNNNNNSNPFQPHDQHPTYQSDTAGQQNQSQDQGNVRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFETAEAAALAYDEAALRFKGSKAKLNFPERVQGRSELGYLTTRRDVERAVALPPPFPPPSQTTYPNLSQYAHLLSGGSGNALNYALPGAHGASPFTSHATLSSSSSSSSTTLTSQQQQQQQDYFGGFLLQFGGSSAPGSDPPTNRRDYDYYYSRE >EOY22079 pep chromosome:Theobroma_cacao_20110822:3:21241280:21241693:1 gene:TCM_014271 transcript:EOY22079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGLFAMSATHWFCFLLKTLILASLVVCNSMPRRHCLISGHLSCQKCSTFNSWDSICRYLSLFFLLRCYGVCFPQTRQWVNTKYLRPHGAEGVI >EOY24702 pep chromosome:Theobroma_cacao_20110822:3:31980141:31986861:-1 gene:TCM_016238 transcript:EOY24702 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein MSNLKLGVDVVSAHNLLPKDGQGSASSFVELYFDGQKFRTTIKEKDLNPVWNESFYFNISDPSNLHYLSLDAYVYNNIKGSNTRSFLGKVCLTGTSFVPYSDAVVLHYPLEKRGIFSRVRGELGLKVYITDDPSIKSSIPAPAVESSPSHEPHVTHMHAQTVQSPAMKDKVESRHTFHHLPNPNLHQHDQHHSSDPAVHHHHHVPKYIADEMKPEPPPPKLVRMYSAASAQPVDFALKETSPFLGGGRVVGGRVIHGDKTASTYDLVERMHFLYVRVVKARELPAMDVTGSIDPFVEVKVGNYKGITKHFEKKQNPEWNQVFAFSRDRMQASVLEVVIKDKDLVKDDFVGIIRFDISEVPLRVPPDSPLAPEWYRLKDKKGEKIKGELMLAVWIGTQADEAFSDAWHSDAATPVDSTPATFTVLRSKVYHSPRLWYVRVNVVEAQDLVPTEKNRFPDVYVKAQIGNQVLKTKPCQARTLNAIWNEDLLFVAAEPFEDHLVLSVEDRVAPGKDEIIGRAIIPLNSIEKRADDRIIHSRWFNLEKPVAVDVDQLKKEKFSSRIHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWRPPIGVLELGILNAVGLHPMKTRDGRGTSDTYCVAKYGHKWIRTRTLVDNLSPKYNEQYTWEVFDPATVLTVGVFDNSQLGEKGSNGNKDLKIGKVRIRISTLEAGRVYTHSYPLLVLHPTGVKKMGELHLAIRFTCTSFVNMLCQYSRPLLPKMHYVRPFSVMQLDMLRHQAVNIVAARLGRAEPPLRKEVVEYMSDVDSHLWSMRKSKANFFRLMTVFSGLFAVGKWFGDICMWKNPITTVLVHVLFLMLACLPELILPTVFLYMFLIGVWNFRHRPRYPPHMNTKISQAEAVHPDELDEEFDTFPTSKSPELVRMRYDRLRSVAGRIQTVIGDVATQGERFQALLSWRDPRATAIFITFCLVAAIVLFVTPFQVIAALTGFYVMRHPRFRYRLPPVPINFFRRLPARTDGML >EOY21142 pep chromosome:Theobroma_cacao_20110822:3:3406556:3407426:1 gene:TCM_012504 transcript:EOY21142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRISSFVPRFIVFMLIILVLAMQSAPVSADLKLRKLGNKPLPSPPPTPAWARTKSHIPMIQPPPPF >EOY22067 pep chromosome:Theobroma_cacao_20110822:3:21188401:21193655:-1 gene:TCM_014259 transcript:EOY22067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein E MPAKRKEKETELCNFNFNLQMTNNRSTSSNLEAPLSHITHKATHDQNHFFVWREFLWGAIAGAFGEGMMHPIDTIKTRIQSQALLSGSLSQKSIVQMVRTVWVADGLRGFYRGIAPGITGSLATGATYFGFIESTKKWIEESHPSLEGHWAHFIAGAVGDTLGSFVYVPCEVMKQRMQVQGSRTSWNSAIMKDSMQMKSGAQMYGYYTGMFQAGRSIWKKQGLKGLYAGYWSTLARDVPFAGLMVMFYEALKDLTERGRHNLAPNLYVDSSIEGLVLGGLAGGFSAYLTTPLDVIKTRLQVQGSSASYNGWLDAMGKIWKTEGVKGMFRGSIPRITWYIPASALTFMAVEFLRDHFNEKLNNGNLQDVTSLSIEKPESSFRKVA >EOY23582 pep chromosome:Theobroma_cacao_20110822:3:27944055:27948177:1 gene:TCM_015431 transcript:EOY23582 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABL interactor-like protein 2 isoform 1 MATAAAMPMSREASNYDEVSMHQSLLFADSLKDLKNLRTQLYSAAEYFELSYTNDDQKHIVVETLKDYAIKALVNTVDHLGSVTYKVNDLLDEKVDEVCGTELRVSCIEQRLRTCQEYIDHEGISQQSLVINTPKYHKRYILPVGKTMHGANRTKSKYLGCSLDDEDDWHQLRNAVRATIRETPTSSVRETPTSSVRKGRSPSPSPQPPGPPQRSATFSFTATMPKKELEKRTVSPHRFPLLRSGSVSRPTTPNKSRPTTPNSAGARRRYPSEPRKSASMRLQSEKDSPKDIEQYPSKSKRLLKALLSRRKSKKDEMLYTYLDEY >EOY23581 pep chromosome:Theobroma_cacao_20110822:3:27943991:27948177:1 gene:TCM_015431 transcript:EOY23581 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABL interactor-like protein 2 isoform 1 MATAAAMPMSREASNYDEVSMHQSLLFADSLKDLKNLRTQLYSAAEYFELSYTNDDQKHIVVETLKDYAIKALVNTVDHLGSVTYKVNDLLDEKVDEVCGTELRVSCIEQRLRTCQEYIDHEGISQQSLVINTPKYHKRYILPVGKTMHGANRTKSKYLGCSLDDEDDWHQLRNAVRATIRETPTSSVRETPTSSVRKGRSPSPSPQPPGPPQRSATFSFTATMPKKELEKRTVSPHRFPLLRSGSVSRPTTPNKSRPTTPNSAGARRRYPSEPRKSASMRLQSEKDSPKDIEQYPSKSKRLLKALLSRRKSKKDEMLYTYLDEY >EOY21675 pep chromosome:Theobroma_cacao_20110822:3:16692273:16692783:1 gene:TCM_013741 transcript:EOY21675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGVIRFASRGKLNPRYIGPFRIIERIGPVAYRLELPSELGRIHNVFHVSMLKKYVPDPSHILETPPIELQEDLKFEVQPVRILDRKDRVLRNKNIPMVKVLWKNARMEEMTWEV >EOY21339 pep chromosome:Theobroma_cacao_20110822:3:6406391:6410485:1 gene:TCM_012834 transcript:EOY21339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSGMFFASVNVRPDAVTGVSAFDVNVNVGVGTSKGKIEEVSSSDLNATTSSIGRGRVKGKGRGRGKGKGPSVPIDVDASDDNSASSKDIKEGKQNSSDFDREGITINRSLRGILECPDGNRKVVLAENMVFTSVHHFREVMVDYMV >EOY22569 pep chromosome:Theobroma_cacao_20110822:3:23945034:23948163:1 gene:TCM_014701 transcript:EOY22569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate-1-semialdehyde 2,1-aminomutase 2 MAASISGVGVGLGLSCSTKLSKTLPSSRSSGFRVKMTVSVEDKKKNYTLQKSEEAFDAAKNLMPGGVNSPVRAFKSVGGQPIVMDSVKGSHMWDIDGNEYIDYVGSWGPAIIGHADDEVLAALAETMKKGTSFGAPCLLENVLAEMVISAVPSIEMVRFVNSGTEACMGVLRLARAFTGREKLIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPRAATFETLTAPFNDISAVENLFNSNKGELAAIILEPVVGNSGFIPPKPDFLEAIHRLTKENGALLIFDEVMTGFRLSYGGAQEYFGITPDLTTLGKIIGGGLPVGAYGGRKEIMEMVAPAGPMYQAGTLSGNPLAMTAGIQTLKRLKEPGTYEYLDKITGELVQGIIDAGKKTGHAICGGYISGMFGFFFTEGPVYNFDDAKKSDTAKFARFYRGMLEEGVYFAPSQFEAGFTSLAHSSEDIQKTIAAAEKVLSMI >EOY21866 pep chromosome:Theobroma_cacao_20110822:3:19278794:19279318:-1 gene:TCM_014016 transcript:EOY21866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTGSGKSLGGFDRCRNCGNYHSGLCRGPTRCFQCGQTGHIRSNCPQLGRATVAASSPLARTDIQRRDSSGLPPRQGVAIRR >EOY21663 pep chromosome:Theobroma_cacao_20110822:3:16191040:16199854:-1 gene:TCM_013705 transcript:EOY21663 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDT domain-containing protein MSLDSSPSLPIPPDPPQNDTLPAQNDTQTTQTPETTAANATPTPTPPLTRSNRPSRACTIRAAQRLYAQQQQATIERRQKPAKKEQQHQHKDENDGSSSPQQQCSGSSKIVTPLVGPPEPSQLPRWSIRSMWELASVLNFLHVFRPLLNINAEFSAEEFETALITPNDTLGDIHIPLLKAIPPITRMALTRDTWVTVLCRKLRDWWHWVADGDLPIVASHGVEVEVYKSLDPGVRVVILKALCDIRVEQEDIRNYIDNSLKHGVQLSAFRKERVGGDSQGISYWYEDDPAVGHRLYREIRKVEFKKAKMKGSHVPNSTTYLWETVATNLEEFQDASEKLFASKNRTEASVGKKLKNDMLPEIEKEHKRKERLLKKQHRQALLLDNFLAVDGLAPGRSLRDRKPVTYTFDDYDRSINEAIKITKRKPPSPDPLNRRDVAKPEPSANGKLSGPSYAAEQDNYNLLSPKSPEYDDFDDNKSGDLDRSNRRRQRPQRYSAKEFVEAVSDNEADFDSDDDIVGEAIYDEEYLRKRKQRRRQSSSSEGDEEYRWEEENAEDEEEEEEEEDSLSISEDSDEAPKFKKLPGRTRRETKLRSVDELQSGLRRSKRATRNRINYRQYELSESETESKPEKSNPSDEHSDASENEEYSAGSQDSNGTDDDQEMKVDPPVAGNSETVEKEQSQPPEQSNGLGQDEADGVRKRRFLDLNELAPGSGFDDGPNTIMKDDGRNDF >EOY24835 pep chromosome:Theobroma_cacao_20110822:3:32380571:32381085:1 gene:TCM_016326 transcript:EOY24835 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPIRAL1-like5 [Source:Projected from Arabidopsis thaliana (AT4G23496) TAIR;Acc:AT4G23496] MSRGGSYGGGQSSLGYLFGADEQPSAPAVTPPIQPPYGIDTTPETPPAPNKLRSEKRTEKNVNNNYHRAQGQNSGNFITDRPSTKVKSVPGGDSSLGYLFGDK >EOY22869 pep chromosome:Theobroma_cacao_20110822:3:25127981:25139178:-1 gene:TCM_014915 transcript:EOY22869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes (SMC) family protein isoform 1 MYIKEICLEGFKSYATRTVVPGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRAANLQELVYKQGQAGITKATVSIIFDNSDRSRSPLGYEDHSEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKEFALKTLEKKQSKVDEINKLLDQEILPALEKLRKERMQYMQWANGNAELDRLKRFCVAFEYVQAERIRDSAVGEVERVKAKITEIDNGAERTKVEIQDMETNISKLTADKEATMGGEVKTLSDEVDLLSKNLVQEVSVLNSKEDTLKGEKENAEKLIQNIEDLRQSIEEKAIAVQKCEEGAADLKKRVEDLSKSLEEHEKEYQAVLAGKSSGNEDKCLEDQLGDAKVAVGAAETELKQLKTKISHCEKELGEKTCQLMSKREEAVDVENELNSRRKDVGKIKIELESLPYKEGQMEALQKDRASELELIQKLKDGVRDLSAQLANVQFTYHDPVKNFDRSKVKGVVAKLIKVKDSSTMTALEVTAGGKLFNVVVDTENTGKQLLQNGDLRRRVTIIPLNKIQPNTVPPRVQQAAIGLVGKENAKLALSLVGYDKELESAMEYVFGATFVCKTTDAAKEVAFNREIRTPSVTLEGDIFQPSGLLTGGSRRGGGDLLRQLHDLAESESKLSVHQKRLSEIEAKMADLLPLQKKFMDLKAQLELKVHDLSLFQNRAEKNEHHKLAEMVKSIEQELQEAKSAVQEKEILYEKHVSTVLELEKSIREHDNNREGRLKDLERKIKATKARMQSASKDLKGHENERERIVMEREAVIQEQASLESQLASLRTQINNVNLEVEEQMAKVGSVKKNRDQLQSELDSIRLKMKECDSQISSILKEQQKLQQKLSEIKLERKKLENEVKQMEMEQKDCSTKVDKLIEKHAWIATERQLFGRGGTDYDFASRDPHKAREELDKLQAEQSGLEKRVNKKVMAMFEKAEDEYNDLMSKKNTVENDKSKIKKTIEELDEKKKETLKVTWVKVNNDFGSIFSTLLPGTMAKLEPPEGSSVLDGLEVCVAFGGVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVASKPSR >EOY22870 pep chromosome:Theobroma_cacao_20110822:3:25128341:25139003:-1 gene:TCM_014915 transcript:EOY22870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes (SMC) family protein isoform 1 MYIKEICLEGFKSYATRTVVPGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRAANLQELVYKQGQAGITKATVSIIFDNSDRSRSPLGYEDHSEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKEFALKTLEKKQSKVDEINKLLDQEILPALEKLRKERMQYMQWANGNAELDRLKRFCVAFEYVQAERIRDSAVGEVERVKAKITEIDNGAERTKVEIQDMETNISKLTADKEATMGGEVKTLSDEVDLLSKNLVQEVSVLNSKEDTLKGEKENAEKLIQNIEDLRQSIEEKAIAVQKCEEGAADLKKRVEDLSKSLEEHEKEYQAVLAGKSSGNEDKCLEDQLGDAKVAVGAAETELKQLKTKISHCEKELGEKTCQLMSKREEAVDVENELNSRRKDVGKIKIELESLPYKEGQMEALQKDRASELELIQKLKDGVRDLSAQLANVQFTYHDPVKNFDRSKVKGVVAKLIKVKDSSTMTALEVTAGGKLFNVVVDTENTGKQLLQNGDLRRRVTIIPLNKIQPNTVPPRVQQAAIGLVGKENAKLALSLVGYDKELESAMEYVFGATFVCKTTDAAKEVAFNREIRTPSVTLEGDIFQPSGLLTGGSRRGGGDLLRQLHDLAESESKLSVHQKRLSEIEAKMADLLPLQKKFMDLKAQLELKVHDLSLFQNRAEKNEHHKLAEMVKSIEQELQEAKSAVQEKEILYEKHVSTVLELEKSIREHDNNREGRLKDLERKIKATKARMQSASKDLKGHENERERIVMEREAVIQEQASLESQLASLRTQINNVNLEVEEQMAKMKECDSQISSILKEQQKLQQKLSEIKLERKKLENEVKQMEMEQKDCSTKVDKLIEKHAWIATERQLFGRGGTDYDFASRDPHKAREELDKLQAEQSGLEKRVNKKVMAMFEKAEDEYNDLMSKKNTVENDKSKIKKTIEELDEKKKETLKVTWVKVNNDFGSIFSTLLPGTMAKLEPPEGSSVLDGLEVCVAFGGVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVASKPSR >EOY21120 pep chromosome:Theobroma_cacao_20110822:3:3187621:3188224:-1 gene:TCM_012466 transcript:EOY21120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYFISCKVAINSSLYVDASPCANASFSPHPYFYAVDGREIRNAIDLHESCIIEVQVPRPFQLRSSSISGLSIFDIHQMFLKGYDVPWKCAPASFTSSNEITLGKM >EOY23992 pep chromosome:Theobroma_cacao_20110822:3:29234500:29239077:-1 gene:TCM_015712 transcript:EOY23992 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD8 MASSDSLSDKNAVFRKLKAKSENKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSLEQLRMMVFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAADLYRQILSKEVAKSMAEEAGLPSSPVASQSSQTSNGLLDSKTDEAPKENSLGRQETPEVSATPKASHSVVTSTVKKPLGAKKTGKTGGLGARKLTTKPSENLYDQKPEEPVVPVASSTNNTAPVGSSFPSRFEYVENVQSTELNSGGPQVLSHVAPPKSSNFFADFGMDSGFQKKSSSNSSKVQIQETDEARRKFSNAKSISSAQFFGDPARSADADAQVSLQKFSGSTAISSADLFGHGADNSLDLAASDLINRLSFQAQQDISNLKNIAGETGKKLSSLASTLITDLQDRIL >EOY21502 pep chromosome:Theobroma_cacao_20110822:3:10056030:10058437:1 gene:TCM_013175 transcript:EOY21502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRCCSIVKGVILLNSSKILLYMIALKDRKDLALVMTTKSSPDIFETLQMSGNVALHCTLGNAWSTVWSFRGVYQGLCLA >EOY23607 pep chromosome:Theobroma_cacao_20110822:3:28004548:28005357:1 gene:TCM_015447 transcript:EOY23607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRLTTTGIYLKILKQNHLMLSMNIVMLCVSVGMQFATKIGGGERGHVLGCMVGEEVAVWNLYEKIISADVFWFCKQLAVGRGKGEKSE >EOY21440 pep chromosome:Theobroma_cacao_20110822:3:8173032:8202082:1 gene:TCM_012992 transcript:EOY21440 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOX meinox, putative METKRNTSSGEKEEEEEDDDELLKTRISAHPLYGRLVENHLNCLKVGGIADSGRNRQTNQRKAGYSPCSSMANQSELDLFMEAYCSALGKLKEAMEEPHQETVAFINGMHSQLRELARTNPQSPDVFLATEMNNSNWSRDQQKRESNAVE >EOY24019 pep chromosome:Theobroma_cacao_20110822:3:29346832:29348581:-1 gene:TCM_015729 transcript:EOY24019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRDFVITMRSNRACNSISRGGQWSVVSGQRPRKLPSNRKRGEAKESELGCFGKKEKGIARTLSFRILEKCTLSSPFLFSRPPNSRSPEQSRAELQFLISLHIKLLLHPKICSLRGWASACSMTF >EOY21100 pep chromosome:Theobroma_cacao_20110822:3:3010858:3012084:1 gene:TCM_012435 transcript:EOY21100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein MDMGIISKADQIAFVCEETEKFRERERERVKRRCCDNDPTLIFIKHSASATTCSIYDRSHMNKVNKLVTCDHDPNIIPITANLTAFTADIKTRYTTPELQYLINFSLSSSLKTLLFLD >EOY20672 pep chromosome:Theobroma_cacao_20110822:3:520615:525175:1 gene:TCM_012030 transcript:EOY20672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADQGGDFNIVKSIEERKRCSQSGTDISYFNDFIDSSELTDLPLVGKKFTRYGVEAKRNRIDRGHDHSSFLTVGYQQGTFMKRLLKIGIQQFLMGLPFKKLSREDATSLESPVSMEEVKATVWECNDSKALELDGFNPNFLNAFGILPISLVNSLHKILARLLANQLKKVIFTVIRDTQSTFNNGHQIMDSILFANKINHNMKKMHITKEVSLSNLTLRRHLIVLIGILFYVSWRPWVSALNGEALKGVIRKLEQLRRAFLLGRGVWIPSSASPNKMSASLKIDGYMMRNPFVKNIFTYSHWPMIKKLRSLMFGTMEDKLVWVHDPAGNFSVKKFYSIMHSDLDDRKVWFHSIWNLSIPSKVQCFLWLAIYDSIPTKSFLASRGVFSIGRLSFYGREAQMFDGGIWLNPLVIMACA >EOY23460 pep chromosome:Theobroma_cacao_20110822:3:27479818:27483865:1 gene:TCM_015349 transcript:EOY23460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sigma factor E isoform 1 MGVVSVSSSAARTPLGLSTKFSTQRCTSRRPLTVAFKADKSDNTALVTPQEHILLPIETAKEHPKRRGKAKKDPKIVNHVFTDDGSPCTLEVDYNEAAAKLENIYKLSPATRSSDVEEVEGKIKGRRQRRKRSKESDEKGVNSNDQIVVRNQTNRIKRLSLDNRIALKKNREEKPVVSVRRKKSSENESEKIDRLVRDYSASTDLVSLDWRKMKIPPVLPSIEHTWLFKLMQPMKALLQAKENLQKDLGRDPTEDELAEATNMSAAQVRKHLEVGQAARNKLIKHNLRLVLFSINKYFQDFANGPRFQDLCQAGVKGLITAIDRFEPRRRFRLSTYSLFWIRHAIIRSMTLSSFTRVSFGLESVRVEIQRAKLELLFELHREPTEEEIIKKVGISPERYQEVMRASKPVASLHSRHSVTQEEFINGITDIDGVGGDHRRQPALLRLALDDVLDSLKPKESLVIRQRYGLDGKGDRTLGEIAGNLNISREMVRKHEVKALMKLKHPARVDYLRRYVV >EOY23461 pep chromosome:Theobroma_cacao_20110822:3:27479806:27484244:1 gene:TCM_015349 transcript:EOY23461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sigma factor E isoform 1 MGVVSVSSSAARTPLGLSTKFSTQRCTSRRPLTVAFKADKSDNTALVTPQEHILLPIETAKEHPKRRGKAKKDPKIVNHVFTDDGSPCTLEVDYNEAAAKLENIYKLSPATRSSDVEEVEGKIKGRRQRRKRSKESDEKGVNSNDQIVVRNQTNRIKRLSLDNRIALKKNREEKPVVSVRRKKSSENESEKIDRLVRDYSASTDLVSLDWRKMKIPPVLPSIEHTWLFKLMQPMKALLQAKENLQKDLGRDPTEDELAEATNMSAAQVRKHLEVGQAARNKLIKHNLRLVLFSINKYFQDFANGPRFQDLCQAGVKGLITAIDRFEPRRRFRLSTYSLFWIRHAIIRSMTLSSFTRVSFGLESVRVEIQRAKLELLFELHREPTEEEIIKKVGISPERYQEVMRASKPVASLHSRHSVTQEEFINGITDIDGVGGDHRRQPALLRLALDDVLDSLKPKESLVIRQRYGLDGKGDRTLGEIAGNLNISREMVRKHEVKALMKLKHPARVDYLRRYVV >EOY21191 pep chromosome:Theobroma_cacao_20110822:3:3937006:3948699:1 gene:TCM_012571 transcript:EOY21191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 4r1, putative isoform 1 MTDPPLRTPPSRRLLWRIPTARMIWKFSGVYGVVLRFQWTFVSLCLFSLPALFLQFLLMTMLKMISRLFALSRGGFRLILAMLLQVNDKNVSADYGPPENSSVTNYRMALTKFPLALQRKKWSREERENLVKGIRQQFQESALQVSVDWFSSADGSSGDGSNLDDIIATVKDLEITPERIREFLPKVNWDQLASMYVKGRSGAECETRWLNHEDPLINCNPWTAEEDKNLLFIVQEKGISNWFDIVVSLGSNRTPFQCLARYQRSLNACILKREWTEEEDDQLRIAVEVFGECDWQSVASTLKGRTGTQCSNRWIKSLHPTRQRVGRWTHDEDKRLKVAVMLFGPKNWRKIAEVIPGRTQVQCRERWVNSLDPALNLGRWTKEEDLRLEAAIEEHGYYWSKVAACMPSRTDNQCWRRWKTLHPKAVPLLQEARRIRKATLVSNFVDRESERPALGPNDFYIPLQLTNSTSEPENTNLPSEGKRKERRRIISAEDFENLPSSKKVEKRGNSSLRQHSRSRKRNELSGAKDDATLASFLQDKLKKNIPSYADGDEMKLAGFLRNKSKKRRHQIAENAHLSIMKGPEQRDKTNQIQFGLQRCEAKTNCDGVIPENSMFRSSLKQTIMSSDMNIVGDDIVNDTVAPHEVVREPDRIDQEGNCEADGITLVQLRKRLKKRGPASSCMRRESELPSKELDEPRHND >EOY21190 pep chromosome:Theobroma_cacao_20110822:3:3937006:3948699:1 gene:TCM_012571 transcript:EOY21190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 4r1, putative isoform 1 MSLHDQYDTGKEKDREAEEVDEEEEELSISDNDDGFDEDMEALKQACLRTGADLNDLQITASDNDRPSTSDAAVSSAALAYTDSEDDMEIFRSIRSRFALSVDVCEPLSIQPPCTLPPISSDDDAEDDFETLRVIQRRFSAYSSNDTQGISTEDHIEKTEPIYMTSTPLQDATCNDICERFQDYEKAGNISHLLSGNAEMQPVGLVQWDHSDANELSTLADNSSRFPKSAQQLIDAIKKNRSYQKFLRSKLTQIESKIEENKKLKERVKILKDFQVSCKKITGRSLSINKDPRIQLISARKSRTSKDPELLQVNDKNVSADYGPPENSSVTNYRMALTKFPLALQRKKWSREERENLVKGIRQQFQESALQVSVDWFSSADGSSGDGSNLDDIIATVKDLEITPERIREFLPKVNWDQLASMYVKGRSGAECETRWLNHEDPLINCNPWTAEEDKNLLFIVQEKGISNWFDIVVSLGSNRTPFQCLARYQRSLNACILKREWTEEEDDQLRIAVEVFGECDWQSVASTLKGRTGTQCSNRWIKSLHPTRQRVGRWTHDEDKRLKVAVMLFGPKNWRKIAEVIPGRTQVQCRERWVNSLDPALNLGRWTKEEDLRLEAAIEEHGYYWSKVAACMPSRTDNQCWRRWKTLHPKAVPLLQEARRIRKATLVSNFVDRESERPALGPNDFYIPLQLTNSTSEPENTNLPSEGKRKERRRIISAEDFENLPSSKKVEKRGNSSLRQHSRSRKRNELSGAKDDATLASFLQDKLKKNIPSYADGDEMKLAGFLRNKSKKRRHQIAENAHLSIMKGPEQRDKTNQIQFGLQRCEAKTNCDGVIPENSMFRSSLKQTIMSSDMNIVGDDIVNDTVAPHEVVREPDRIDQEGNCEADGITLVQLRKRLKKRGPASSCMRRESELPSKELDEPRHND >EOY21654 pep chromosome:Theobroma_cacao_20110822:3:15513361:15514851:1 gene:TCM_013666 transcript:EOY21654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVHLMFHVRNLKPFHVDLVNASKRRATRAAISTKPLSQRKVEEILAERMTIIKRQLTQECLVRWEGLRPDKIT >EOY20641 pep chromosome:Theobroma_cacao_20110822:3:409637:414007:-1 gene:TCM_012004 transcript:EOY20641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rac-like GTP-binding protein 3 isoform 2 MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHYAPGVPVVLVGTKLDLREDKHYLADHPGLLPVSTAQGEELRKQIGAAYYIECSSKTQQNVKAVFDAAIKVVIKPPQKQKEKKKPSRGCLINVFCGRNLVHLK >EOY20640 pep chromosome:Theobroma_cacao_20110822:3:409625:414129:-1 gene:TCM_012004 transcript:EOY20640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rac-like GTP-binding protein 3 isoform 2 MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGINSTSSFILHFLKTVLKGVSFYRQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHYAPGVPVVLVGTKLGALLNWDSNDPCPSKDLREDKHYLADHPGLLPVSTAQGEELRKQIGAAYYIECSSKTQQNVKAVFDAAIKVVIKPPQKQKEKKKPSRGCLINVFCGRNLVHLK >EOY24095 pep chromosome:Theobroma_cacao_20110822:3:29618515:29620984:1 gene:TCM_015793 transcript:EOY24095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein MTTGENSVQSKKSIPDLAPLEAVLFDVDGTLCDSDPLHHYAFREMLQEIGFNNGVPIDEEFFVENIAGKHNHDIAMRLFPDDIPRGAKFLEDKEAMFRRLASENLPPIKGLYKLTKWIEERGLKRAAVTNAPKQNAEMIISKLGLKDFFDVVILGSDCERAKPYPDPYLKALEVLKVSKDHTFVCEDSVSGIKAGVAAGMPVVGLATRNPENLLMEADPTFLVKDYEDPKLWAALEELDKKGGSLKTAA >EOY20752 pep chromosome:Theobroma_cacao_20110822:3:921868:926829:-1 gene:TCM_012095 transcript:EOY20752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox domain-containing protein, putative isoform 3 MINGEGTRKENLAEVASPDPFDPSRSKSDGGGGGGGASTASSPHYSSCGESELERYCSANSALGTPSSIATFNDCFGESEFGSVRSVSGFGLGDDFENFSLEGSQKVPSNRRIEFPKDRIEDGRVVNVKSVEEGSSSCLVSELREEDGNSSRYEHSEGEDSMYNYGMDDDECRNNSYYRKKDNDEYDTKNVNENPLGINSSVAFGSNDWDDFEQEAGTTDLASFMLDATAEREKVQGGNELQKNVNSFGEFPIGLLSSVETELVEEVKDIPVASFQEQVADDLVEEAKSSLVNVISSQRGHEAEKYVKDIPVTRNQLQDADDDMKYLETCSVTDVFEMEQDPPIEKAPVEIGLDVLDSDRVRKHQSAHAKEVIAVDESLLSERQEIGNYKAELDPLADCAHPVYSSQKVNAELFDDCKPDSPTSTCENIVSSSTFKNIPVPADVVEEHPGPVKMEKLELNEFYDEVVHDMEEILLESVDSPGAMFSQGNRMFQPQLSLPLRDGGSTASTSGVDDAYSHSAHLLRIDGVEVVGAKQQKGDVSLSERLVGVKEYTVYKIRVWCGDDQWEVERRYRDFCTLHRRLKSLFSDQGWSLPSPWSSVERESRKIFGNAAPDVIAERSVLIQECLHSIIHSRSFSSPPSALIWFLSPQDSFPSTPPSNTLSSQSTDFSRGAHTEKISPLGKTISLIVEVRPPKPMKQILEAQHYTCAGCHKHFDDGMTLMQDLVQSLGWGKPRLCEYTGQLFCSSCHTNEMAVLPARVLHNWDFTRYPVSQLAKSYLDSIHDQPMLCVSAVNPFLFSKVPTLHHVMGIRKKIRNMLPYVRCPFRMSINKGLGSRRYLLESNDFFALRDLIDLSKGAFAALPVMVETVSRKIQEHIVEQCLICCDVGIPCSARQSCNDPSSLIFPFQVRVYPIAYCCLLPRKVRLKSVC >EOY20750 pep chromosome:Theobroma_cacao_20110822:3:921586:926534:-1 gene:TCM_012095 transcript:EOY20750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox domain-containing protein, putative isoform 3 MINGEGTRKENLAEVASPDPFDPSRSKSDGGGGGGGASTASSPHYSSCGESELERYCSANSALGTPSSIATFNDCFGESEFGSVRSVSGFGLGDDFENFSLEGSQKVPSNRRIEFPKDRIEDGRVVNVKSVEEGSSSCLVSELREEDGNSSRYEHSEGEDSMYNYGMDDDECRNNSYYRKKDNDEYDTKNVNENPLGINSSVAFGSNDWDDFEQEAGTTDLASFMLDATAEREKVQGGNELQKNVNSFGEFPIGLLSSVETELVEEVKDIPVASFQEQVADDLVEEAKSSLVNVISSQRGHEAEKYVKDIPVTRNQLQDADDDMKYLETCSVTDVFEMEQDPPIEKAPVEIGLDVLDSDRVRKHQSAHAKEVIAVDESLLSERQEIGNYKAELDPLADCAHPVYSSQKVNAELFDDCKPDSPTSTCENIVSSSTFKNIPVPADVVEEHPGPVKMEKLELNEFYDEVVHDMEEILLESVDSPGAMFSQGNRMFQPQLSLPLRDGGSTASTSGVDDAYSHSAHLLRIDGVEVVGAKQQKGDVSLSERLVGVKEYTVYKIRVWCGDDQWEVERRYRDFCTLHRRLKSLFSDQGWSLPSPWSSVERESRKIFGNAAPDVIAERSVLIQECLHSIIHSRSFSSPPSALIWFLSPQDSFPSTPPSNTLSSQSTDFSRGAHTEKISPLGKTISLIVEVRPPKPMKQILEAQHYTCAGCHKHFDDGMTLMQDLVQSLGWGKPRLCEYTGQLFCSSCHTNEMAVLPARVLHNWDFTRYPVSQLAKSYLDSIHDQPMLCVSAVNPFLFSKVPTLHHVMGIRKKIRNMLPYVRCPFRMSINKGLGSRRYLLESNDFFALRDLIDLSKGAFAALPVMVETVSRKIQEHIVEQCLICCDVGIPCSARQSCNDPSSLIFPFQEGEIEKCMSCGSVFHKHCFKKLVDCPCGALLRADEATRHANSLIRGVSFGASGALDLLGKRSSSGLPVGFLSGLFSKTKPEGMEHKDNENIILMGSMPSNYL >EOY20751 pep chromosome:Theobroma_cacao_20110822:3:920990:927129:-1 gene:TCM_012095 transcript:EOY20751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox domain-containing protein, putative isoform 3 MINGEGTRKENLAEVASPDPFDPSRSKSDGGGGGGGASTASSPHYSSCGESELERYCSANSALGTPSSIATFNDCFGESEFGSVRSVSGFGLGDDFENFSLEGSQKVPSNRRIEFPKDRIEDGRVVNVKSVEEGSSSCLVSELREEDGNSSRYEHSEGEDSMYNYGMDDDECRNNSYYRKKDNDEYDTKNVNENPLGINSSVAFGSNDWDDFEQEAGTTDLASFMLDATAEREKVQGGNELQKNVNSFGEFPIGLLSSVETELVEEVKDIPVASFQEQVADDLVEEAKSSLVNVISSQRGHEAEKYVKDIPVTRNQLQDADDDMKYLETCSVTDVFEMEQDPPIEKAPVEIGLDVLDSDRVRKHQSAHAKEVIAVDESLLSERQEIGNYKAELDPLADCAHPVYSSQKVNAELFDDCKPDSPTSTCENIVSSSTFKNIPVPADVVEEHPGPVKMEKLELNEFYDEVVHDMEEILLESVDSPGAMFSQGNRMFQPQLSLPLRDGGSTASTSGVDDAYSHSAHLLRIDGVEVVGAKQQKGDVSLSERLVGVKEYTVYKIRVWCGDDQWEVERRYRDFCTLHRRLKSLFSDQGWSLPSPWSSVERESRKIFGNAAPDVIAERSVLIQECLHSIIHSRSFSSPPSALIWFLSPQDSFPSTPPSNTLSSQSTDFSRGAHTEKISPLGKTISLIVEVRPPKPMKQILEAQHYTCAGCHKHFDDGMTLMQDLVQSLGWGKPRLCEYTGQLFCSSCHTNEMAVLPARVLHNWDFTRYPVSQLAKSYLDSIHDQPMLCVSAVNPFLFSKVPTLHHVMGIRKKIRNMLPYVRCPFRMSINKGLGSRRYLLESNDFFALRDLIDLSKGAFAALPVMVETVSRKIQEHIVEQCLICCDVGIPCSARQSCNDPSSLIFPFQEGEIEKCMSCGSVFHKHCFKKLVDCPCGALLRADEATRHANSLIRGVSFGASGALDLLGKRSSSGLPVGFLSGLFSKTKPEGMEHKDNENIILMGSMPSNYL >EOY22376 pep chromosome:Theobroma_cacao_20110822:3:23210853:23212959:-1 gene:TCM_014565 transcript:EOY22376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein MLKSTLTPIFSTFPAKPSYPKLLTRAISCTLTPTPPTAQQNASPNIFTTPQLPPTSAYIHLPFCRKRCHYCDFPIVALGSSSTQTYGDGDDDDPRMSNYVQLLCREINATKVNFKSHPPLETVFFGGGTPSLVPPRLVSSVLDLLREKFGVSSDAEISMEMDPGTFDAKKMEELKALGVNRVSLGVQAFQDELLKACGRAHGVNEVYEAIEIVNSCGVENWSLDLISSLPHQSPQMWERSLRLTVEAQPKHVSVYDLQVEQGTKFGTLYKPGEFPLPSDVQSADFYSMASATLSDAGYNHYEISSYCQDGFECKHNFTYWKNKPFYGFGLGAASYVCGMRFSRPKKMRGYIDFVQNLENGTMDYTGNSKIDTRDLATDVVMLSLRTATGLDLKSFQGTFGSSVVCSLCEAYKPFVESGHVLCLNEQRRVLAADEYNTLLLNEGVIGKGLAYIRLSDPDGFLLSNELISVAFGVVAP >EOY22618 pep chromosome:Theobroma_cacao_20110822:3:24233085:24234202:1 gene:TCM_014742 transcript:EOY22618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIIPTQSHYSGGQHWTGIPLFIVKNQLPYHSRTYQAAGYNGSSDSGVKKSIWSSSWQTLMFRAVLPMQS >EOY21019 pep chromosome:Theobroma_cacao_20110822:3:2302285:2306783:1 gene:TCM_012332 transcript:EOY21019 gene_biotype:protein_coding transcript_biotype:protein_coding description:LysM domain GPI-anchored protein 1, putative MEVTVGVPLPILLLISLIPVTHSKSTIEPCNSSDSCVSLLYYILPYDSKLSEIAYRFGVNPFDILGANSISPKTLGDEIFKAESVVKVPIPCSCVDGIRRCMPPTYTVRPADTAASISEGFGGFVSAEQIRSRNGINATNPLTSGQSLVIPLPCTCFGNVSNGIPAVYMSYVVKSGESLSSIASEFGTTAMELEAVNGLGQAVVNSGDVLFIPISACSSANLKWYNESLIVAKGSFALTASNCIKCVCGTEDLNLRCWPSGIAPSCSQLQCKDSDLFIGDSVVNNTPFGCKVTTCIYRGHNGGKIYRSLAYSWQAPCPGNQCYNMASPSPAPSPFILTISPSPSSSPPSNPTAGTIAPNSNTTTKQNFNLSSQGTLLLLTHGAFFYTLLPLGLGLELSFFL >EOY20612 pep chromosome:Theobroma_cacao_20110822:3:328975:330626:-1 gene:TCM_011986 transcript:EOY20612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MAFPSFLFLIPLFLLLSIPFSESKLSTDYYKNSCPNLESIIRETVTNKQISNPTTAAATLRLFFHDCMVGGCDASVLISSNSFNKAERDADINLSLPGDAFDVIVRAKTAIELSCPGIVSCADILALATRNVINMVGGPFYAVRLGRKDSLLSTISSVEGNLPRANTTMDEIIRMFESKKFTVQEMVALNGAHTIGFSHCKEFAYRLYNYKKNTPTDPGYHPKYAAALKKVCENYTKDTAMSAFNDAMTPSKFDNMYYQNLLRGLGLLESDNALLKDPRTRPFVQLYATNQTAFFNDFARAMEKLSRYGIKTGRKGEVRRKCDAFNSIQT >EOY20981 pep chromosome:Theobroma_cacao_20110822:3:2064336:2067666:1 gene:TCM_012297 transcript:EOY20981 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein MLSLTPGIHSSLQKLILLPFPTRNLILSLRKKNCDELTMGQIKAGPSVSEPHHQVQAEPEPQCPAITLLHEPTQNQASATIYQTQQSTRVNQALPQQQLQQQRYQSQPNQQVYGVVQPTAPPVPAQFPPQTAQNTGPNQHPVEYPPLSPQQTIPIQPNQDVGVIYQQQQGVPQAIYQQPPPSYPQNYIAPPFAASSYVSHAQIFHDVTYQVPPQYVVMPPYIHGTVSQIDAASSGFPLPVKVWNTGLFDCMDDPMNALITVCFPCLTFGRVAEIVDEGHTSCGTSGLLYGLIAFFIGVPCILSCAYRTKLRNKLGLVESPAPDWVTHCFCDWCALCQEYRELQQRGWDPSIGWHGNLAKRQSIQQQQHLAMMPPINQTMFA >EOY21552 pep chromosome:Theobroma_cacao_20110822:3:11872058:11874022:-1 gene:TCM_013334 transcript:EOY21552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPIHLETFNSSKGVNFDEEQSCRYGAVWKWQHAHLFSFHVNSIGRFGALFSNCGRYLRLLLTLFHPLFKYGLVVLSWIHTCEGIDFIDNLGWWTKPSILSTERLPLHSHLGVSWQPSTDGDLKFNVDSFTKDSNYIELMAILYTLRLFALSPFVWSNLIIEFDLKNALSWVANESQRPWDSW >EOY20782 pep chromosome:Theobroma_cacao_20110822:3:1109745:1168928:-1 gene:TCM_012116 transcript:EOY20782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MDANNVCSSAIVAQVLNGASNYENWKACVQNYLWVRDLWDVVEQTPEPPQQEDKAEFKGWSKRNVAALHAIQISCDPIMLSSISNMTTAKDAWNTLAQMCQLPIPEEAPVAEFIDRTHMLELLKAIKECALESTKGVLTSHTHLANTVIGDSSFAAFHFAIFKGQLDMIDEFLSTMSEEHLKMQDRYGRTVLHHAAMSENTKIAQSLIRKNRELLTFPDSGGNIPLNSACWVGHKDMTHYLYNMTSREFLLSPGNECQAALFVCDCINNKWFDVALNLLCRHPHLAFVEGEDGISAITALSCQPSAFPSGSGFSFWQRWIYSFCPYFEARLSKYLDNPEVEGVVPPMLKKHKNEEGETPYEAFDQSHARLLKEGEKWMKDIAQSSMIVGTLIITIMFATLFTIPGGLSQDTGVPFLLTKKLVKVFIISDAISLFASTTSVLMFVGILTSRYTTHDFLKSLPNKLMIGLSSLFISIATMMVAFSSTAIIMLKGQLEIVIPIVLLASIPIGLFVWLQFPLLVKIFISTYGLGIFDRKMKKWL >EOY24637 pep chromosome:Theobroma_cacao_20110822:3:31749968:31757727:-1 gene:TCM_016189 transcript:EOY24637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Generative cell specific-1 MAVLKLPLNPSVPAFWILQISTGKKRLFLKMGRSKRFLFCIFSFLCLQYEVVSVQILSKSKLEKCEKSTDSNDKLNCTTKIVINMAVPSGSSGGEASMVAEIVEVEENSTNKMQTLRIPPVITINKSAAYALYELTYIRDVPFKPQEFYVKTRKCEPDAGANVVKIFLFVFRLLPFLLKPICCACGSQRRVPSSCGNIFDKLLKGKANTAHCLRFPGDWFHVFGIGQRSIGFSVRIEMKTGSKVSEVVVGPENRTATSNDNFLRVNLIGDFVGYSNIPTFEDFYLVIPRQGGPGQPNDLGRNFSMWLLLERVRFTLDGVECNKIGVNYEAFNGQPNFCLSPFWSCLHNQLWNFWEADQNRINRRQLPLYGVQGRFERINEHPNAGSHSFSIGVTEVLNTNLLVELRADDIDYVFQRSPGKIISVTVPTFEALTQFGVATITARNTGEVEASYSLTFDCSKGVALMEEQFFILKPKEISARSFKLYPATDQAAKYVCTAILKDSEFNEVDRAECQFSTTATVLDNGSQITPFQPPKTGINGFFESIERIWKNIWEILVDFITGETCRRKCSAFFDFSCHIQYICMSWIVLFGLLLAIFPTVLVLLWLLHQKGIFDPLYDWWEDHFGFDDQRIRDSHRHSIGIGHSHVHANKHNKARQHKHDSRYKRISIHQEHRHNHSEGDTDFYYYLHHVHKDKHKHRRVKSSSIKQQVHLDRRKNDDVGHHKHRRAIETIERPLKLK >EOY22173 pep chromosome:Theobroma_cacao_20110822:3:22109005:22117251:-1 gene:TCM_014392 transcript:EOY22173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor BIM1, putative isoform 3 MELPQSRPFGAEGRKSTHDFLSLYSHPSVQQDPRPPAQGGYLKTHDFLQLERLGKTSAKEETPVEVATAEKPPPPAPPPSVEHILPGGIGTYSISHISYFNPRVPKAEGAIYNVAQGSNTERNDENSNCSSYAGSGFTLWEESAGKKGKTGKENAGETPVFGTAGIINPHAISTVKFVFHLICIRMQRSIAMISEAAGKVGQWATSSLERASQSSTNNHRNSFSSLSSSQPSSKQKSQSFMEMIKSAKGSAQDDDFEEDEDFVLKKESSTTTHSKGELRVKVDGKSAPDQKANTPRSKHSATEQRRRSKINDRPVKLKSSLDAKIYPFFCRFQMLRDLIPHSDQKRDKASFLLEVIEYIQFLQEKVHKYEGTYQGWSHEPSKLMPWRNNHRPTENYADQSQAINGVSAPALVFSAKFDEKNITVAPTIPGSAHNPIESDMSTATTFRAIDLSPGMMNKTMPFPVSLQPNFFASAQSTGAAAQLVPRLPSDVANCASQPQSIACHSGSFTTDGALPSEKLKEQELTIEGGTISISSVYSQGLLNTLTQALQTSGVDLSHASISVQIELGKRSSSRPTASASTLKDREAPTANQGTTRSRVGCGEDSDQPLKKLKT >EOY22175 pep chromosome:Theobroma_cacao_20110822:3:22108970:22117319:-1 gene:TCM_014392 transcript:EOY22175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor BIM1, putative isoform 3 MELPQSRPFGAEGRKSTHDFLSLYSHPSVQQDPRPPAQGGYLKTHDFLQLERLGKTSAKEETPVEVATAEKPPPPAPPPSVEHILPGGIGTYSISHISYFNPRVPKAEGAIYNVAQGSNTERNDENSNCSSYAGSGFTLWEESAGKKGKTGKENAGETPVVRAAGKVGQWATSSLERASQSSTNNHRNSFSSLSSSQPSSKQKSQSFMEMIKSAKGSAQDDDFEEDEDFVLKKESSTTTHSKGELRVKVDGKSAPDQKANTPRSKHSATEQRRRSKINDSRFQMLRDLIPHSDQKRDKASFLLEVIEYIQFLQEKVHKYEGTYQGWSHEPSKLMPWRNNHRPTENYADQSQAINGVSAPALVFSAKFDEKNITVAPTIPGSAHNPIESDMSTATTFRAIDLSPGMMNKTMPFPVSLQPNFFASAQSTGAAAQLVPRLPSDVANCASQPQSIACHSGSFTTDGALPSEKLKEQELTIEGGTISISSVYSQGLLNTLTQALQTSGVDLSHASISVQIELGKRSSSRPTASASTLKDREAPTANQGTTRSRVGCGEDSDQPLKKLKT >EOY22174 pep chromosome:Theobroma_cacao_20110822:3:22108970:22117319:-1 gene:TCM_014392 transcript:EOY22174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor BIM1, putative isoform 3 MELPQSRPFGAEGRKSTHDFLSLYSHPSVQQDPRPPAQGGYLKTHDFLQLERLGKTSAKEETPVEVATAEKPPPPAPPPSVEHILPGGIGTYSISHISYFNPRVPKAEGAIYNVAQGSNTERNDENSNCSSYAGSGFTLWEESAGKKGKTGKENAGETPVVREAAGKVGQWATSSLERASQSSTNNHRNSFSSLSSSQPSSKQKSQSFMEMIKSAKGSAQDDDFEEDEDFVLKKESSTTTHSKGELRVKVDGKSAPDQKANTPRSKHSATEQRRRSKINDRFQMLRDLIPHSDQKRDKASFLLEVIEYIQFLQEKVHKYEGTYQGWSHEPSKLMPWRNNHRPTENYADQSQAINGVSAPALVFSAKFDEKNITVAPTIPGSAHNPIESDMSTATTFRAIDLSPGMMNKTMPFPVSLQPNFFASAQSTGAAAQLVPRLPSDVANCASQPQSIACHSGSFTTDGALPSEKLKEQELTIEGGTISISSVYSQGLLNTLTQALQTSGVDLSHASISVQIELGKRSSSRPTASASTLKDREAPTANQGTTRSRVGCGEDSDQPLKKLKT >EOY22732 pep chromosome:Theobroma_cacao_20110822:3:24598906:24602044:-1 gene:TCM_014814 transcript:EOY22732 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0406 protein C16orf57 MEALRATYGEASSDSDSEASSPPTLSTKSKTQTEQTLSSPLPPPPLSLLDPPNALGSLDYLQTGQPSRVRSFPHVEGNYALHVYIPVYIPSTSKKEIGKFLKRVATFVPGLHVVDIDVPLNILCKEEDKLEQVALGREFHISLGRTVPIRVHQIDSIVTILRQKLQFQKRYWIDFNKWEVFVNDDHTRSFLSLEVIRGGLAEITKQIEGVNEVYKLHNLPEFYKDPRPHISLAWALGDLSVPLKQVVKEETRTSSFGGSLQNCICTSKFGGIECKIDKEPAVRSLSTTVHFSLHVANMCVPCDHTTCIFPKCLPLGLITLVIVLYCFLVEKALPPISVTWPPLTF >EOY23058 pep chromosome:Theobroma_cacao_20110822:3:26001816:26005163:-1 gene:TCM_015066 transcript:EOY23058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline (GMC) oxidoreductase family protein, putative MGLGSSMFLAVLVFVAHFHFCYAVKEFSFAAPYYSFVQESTSAPQVSFYDYIIIGGGTAGCPLAATLSASANILVLERGGSPYANPGKSDKENFFPNLLDHSPGSYSQTFISEDGVYNSRARVLGGGSVINAGFYSHAEADFLKQAGLNEALVNHSYQWVEKKVAFKPPLLQWQSALRNGLLEAGVLPNNGFTYDHVYGTKVGGTIFDTDGHRHTAADLLEYANPLNIKVYLYATVQKIIFSTKVFSRPRADGVIFEDAMGVRHSAFLTRDSKSEVILAAGALGSPQILMLSGVGPAHQLEALGIKVVMDQPLVGQGMVDNPLNVLFIPSPLPVELSLVSIVGITRFDSYIEACSGVSFAPTWTQRVAKELASILNQTEESSMSIFQEAIANSRSFLNTRIKGGIVFEKVKNPTSRGHLELRSTNPHDNPKVTFNYFQAPEDLRKCVQAMKTVINAINSEAFSNFRYKILSTEALLNLIARLPLNLRPKHLTSAFSLEQFCIDTVMTIWHYHGGCLVGSVVDKDYKVHGVDALRIIDGSTFTRSPGTNPQATVMMLGRYMGLRILEERHFLKK >EOY20828 pep chromosome:Theobroma_cacao_20110822:3:1393125:1395791:1 gene:TCM_012168 transcript:EOY20828 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MNPYDRRYGDPESYRQRRSDFMGQPPPVGLPAMGPEMVPPAGATSYPRGGNVPYGGPPTAQPPTFQGRVSGAVPGTGNFDTYPSFQPVAGRLEMGRGGGMGNGHVGDRRSDGARGRGGGFRGGGGGRDGRGGGGRGYGGRHGGSSRGDLDNVSLPRQNFGNLVPFEKNFYVESPAVRGMTEQEAMVYRKTRDITIQGHDVPKPTRMFHEANFPDYCLEVIARLGFVEPTPIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPALVHVNAQPRLAHGEGPIVLILAPTRELAVQIQEEAAKFATHANIRSTCIYGGAPKGPQIRDLKRGVEIVIATPGRLIDMLEAQHTSLQRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPREVESLARQFLRNPYKVIIGSPDLKANQSINQVVEVVTELEKYNRLIKLLKEVMDGNRILIFMETKKGCDQVTRQLRMDGWPALSIHGDKNQSERDWVLAEFKSGRSPIMTATDVAARGLGRITVCSES >EOY20827 pep chromosome:Theobroma_cacao_20110822:3:1392676:1397853:1 gene:TCM_012168 transcript:EOY20827 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MNPYDRRYGDPESYRQRRSDFMGQPPPVGLPAMGPEMVPPAGATSYPRGGNVPYGGPPTAQPPTFQGRVSGAVPGTGNFDTYPSFQPVAGRLEMGRGGGMGNGHVGDRRSDGARGRGGGFRGGGGGRDGRGGGGRGYGGRHGGSSRGDLDNVSLPRQNFGNLVPFEKNFYVESPAVRGMTEQEAMVYRKTRDITIQGHDVPKPTRMFHEANFPDYCLEVIARLGFVEPTPIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPALVHVNAQPRLAHGEGPIVLILAPTRELAVQIQEEAAKFATHANIRSTCIYGGAPKGPQIRDLKRGVEIVIATPGRLIDMLEAQHTSLQRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPREVESLARQFLRNPYKVIIGSPDLKANQSINQVVEVVTELEKYNRLIKLLKEVMDGNRILIFMETKKGCDQVTRQLRMDGWPALSIHGDKNQSERDWVLAEFKSGRSPIMTATDVAARGLDVKDIKCVINYDFPSSLEDYVHRIGRTGRAGAKGTAFTFFTQANAKYARDLIKLLQDAGQVVSPALSTLARSAASSFGGSRGNFRSRGRGGYGNRSSISGSNTIPLGARRPW >EOY20580 pep chromosome:Theobroma_cacao_20110822:3:227338:231348:-1 gene:TCM_011966 transcript:EOY20580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 8 MSSKESCRNELRTAIRQLSDRCLYSASKWAAEQLVGIEQDPAKFTPSNTRFQRGSSSIRRRFRTNEITSTPPTGVAYVSTPVMEEDEVIHGDFYLLAKSYFDCREYRRAAHVLRDQTGKKSVFLRCYALYLAGEKRKEEEMIELEGPLGKSDTVNRELVSLERELSTLCKNNMIDPFGLYLYGLVLKEKGNENLARKVLVESVNSYPWNWSAWSELQSLCTTVDLLNSLNLSNHWMKEFFLASVYQELRMHNESLVKYENLQGNFTFSNYIQAQIAKARYSLREFEQVEVIFEDLLRNDPYRVEDMDTYSNVLYTKECFSALSYLAHRVIMTDKYRPESCCIIGNYYSLKGQHEKSVMYFRRALKLNKNYLSAWTLMGHEYVEMKNTPAAVDAYRRAVDINPRDYRAWYGLGQAYEMMGMPHYALHYFRKSVFFQPIDSRLWIAMAQCYESEQLHMLEEAIKCYKRAANCNDTEAIALHRLAKLHRELGHPEEAAFYYKKDLERMEAEDREGPNIVEALMFLATHYKAQKRFEEAEVYCTRLLDYTGPERETAKNLLRGMRIAQSSFPSMDVEHFHP >EOY21099 pep chromosome:Theobroma_cacao_20110822:3:3004741:3010290:1 gene:TCM_012433 transcript:EOY21099 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein isoform 2 MTVRTPGTPASKTDRTPVTTPGGPKSKEEKIVVTVRLRPLSKREQLAKDQVAWDCVDEHTIVSKHPAQERTAQPTSFTFDKVFGPSSLTETVYEDGVKNVALSSLMGINATIFAYGQTSSGKTYTMRGITEKAVNDIYQHILNTPERDFTIKISGLEIYNENVRDLLNSESDRNLKLLDDPEKGTVVEKLVEETASNDQHLRHLISICEAQRQVGETALNDTSSRSHQIIRLTIQSTLRENSDCVRSFVASLNFVDLAGSERASQTHADGARLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPALSHFEQSRNTLFFATRAKEVTNNAQVNMVVSDKQLVKHLQKEVARLEAELRTPDPSREKDLKIQQMEMEIEELKRQRDLAQSQVDELRRKLQEDQQQISNPLESSRPSVKKCLSYSGVLSPKLDGKELGRNDRTRKTMLRQSMRQSSTAPFTLMHEIRKLEHLQEQLGEEANRALEVLQKEVACHRLGNQDAAETIAKLQAEIREMRSVRSIPKEVEVGTVIAPNKSVSANLKEEITRLHSQGSTIADLEEQLENVQKSIDKLVMSLPSNNQESNREATPKTKSQSKKKKLLPLASSNAANRQNFIRSPCSPLSTSRQILEPENEENRPPEDDDIVSKETLPESEKETPVKSEEGGDVSSKEGTPGYRRSSSVNMRKMQKMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQRCLSWKQMKQLDTI >EOY21098 pep chromosome:Theobroma_cacao_20110822:3:2985910:3010849:1 gene:TCM_012433 transcript:EOY21098 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein isoform 2 MTVRTPGTPASKTDRTPVTTPGGPKSKEEKIVVTVRLRPLSKREQLAKDQVAWDCVDEHTIVSKHPAQERTAQPTSFTFDKVFGPSSLTETVYEDGVKNVALSSLMGINATIFAYGQTSSGKTYTMRGITEKAVNDIYQHILNTPERDFTIKISGLEIYNENVRDLLNSESDRNLKLLDDPEKGTVVEKLVEETASNDQHLRHLISICEAQRQVGETALNDTSSRSHQIIRLTIQSTLRENSDCVRSFVASLNFVDLAGSERASQTHADGARLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPALSHFEQSRNTLFFATRAKEVTNNAQVNMVVSDKQLVKHLQKEVARLEAELRTPDPSREKDLKIQQMEMEIEELKRQRDLAQSQVDELRRKLQEDQQISNPLESSRPSVKKCLSYSGVLSPKLDGKELGRNDRTRKTMLRQSMRQSSTAPFTLMHEIRKLEHLQEQLGEEANRALEVLQKEVACHRLGNQDAAETIAKLQAEIREMRSVRSIPKEVEVGTVIAPNKSVSANLKEEITRLHSQGSTIADLEEQLENVQKSIDKLVMSLPSNNQESNREATPKTKSQSKKKKLLPLASSNAANRQNFIRSPCSPLSTSRQILEPENEENRPPEDDDIVSKETLPESEKETPVKSEEGGDVSSKEGTPGYRRSSSVNMRKMQKMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYNIEDDESAIEPEEPQVAWHVTFREQRQQIIELWDVCYVSIIHRTQFYLLFKGDPADQIYMEVELRRLNWLQQHFAELGNASPALVGDESSVSLSSSIRALKREREFLAKRLTSRLSVEERDALYIKWDVPLDGKQRKLQFINKLWTDPHDAKHIEESAQIVAKLVGFCEGGNMSKEMFELNFALPADKRPWVVGWNQISNLLNL >EOY23338 pep chromosome:Theobroma_cacao_20110822:3:27054288:27055769:1 gene:TCM_015264 transcript:EOY23338 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MPSWSSSPSLPYIGVKLVTYFPQNSTLNLPCVHANYVLFGSMTGQPLASMDGTVLTLYRTACVSGLASKILARNDSKVLVMIGAGALAPHLIKAHLAANPSLQKVIIWNRTIKKATDLAENLQGNNEYNGVCFESNESLERIVELGDIVSCATNAEVALVKGEKLKAGAHLDLVGSFMHTMKECDDEAIRRGRVYVDNAATLVEAGELVGAFERGVIGKEDIGGNLVELIKGEKVGRTNSEEITVFKSVGSAVVDILAAQLVYETYTKNKC >EOY23339 pep chromosome:Theobroma_cacao_20110822:3:27053727:27055602:1 gene:TCM_015264 transcript:EOY23339 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MDGTVLTLYRTACVSGLASKILARNDSKVLVMIGAGALAPHLIKAHLAANPSLQKVIIWNRTIKKATDLAENLQGNNEYNGVCFESNESLERIVELGDIVSCATNAEVALVKGEKLKAGAHLDLVGSFMHTMKECDDEAIRRGRVYVDNAATLVEAGELVGAFERGVIGKEDIGGNLVELIKGEKVGRTNSEEITVFKSVGSAVVDILAAQLVYETYTKNKC >EOY20862 pep chromosome:Theobroma_cacao_20110822:3:1561991:1565374:-1 gene:TCM_012206 transcript:EOY20862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apoptosis-stimulating of p53 protein 1, putative MEKRITQLPKSRNVFFDDDDDEYDVEPQLLHSSNFRPIPTKHTALDRDTGDRGVGASSYGAALIAEIDRKIKEHTENVVCVVGGLSARVSQLESRTRQLENAVGDLKGSVEFNHGRVEGKIRELGNVLGEVRGGIHDLRDKHEIAEAKLQLAKLTLSKVYQSSATRVGSAQELSSSVPQQSQLQVSVTCPQQLSPLPSGAAQNLLQQNTQSSHEAATPPQLPTQLPPGAFPCIPHSQSNYPLPMLTPDTTHQQYLAPLDQQMQQPIPALTQPYQPPPQLSPIPQLSQLQQQHPLINTVNTHANLPLGYQPKDVPCLPSQSIHKSSVPPAYEYFVSSKQQIQDQSANNPYKELPSGYSQPQRIHNLNNQYPYGASSSGYNGSPTKPSQLSPSSVVGAGNSYSRLPTAKILPYALPTASSVDSGSNSSESGNRIRVDDVIDKVVAMGFRRDLVRATVRKLTANGQSVDLNVVLDKLMNSQDV >EOY23332 pep chromosome:Theobroma_cacao_20110822:3:27038750:27039772:1 gene:TCM_015260 transcript:EOY23332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKVVLKLDLHDGKYRSKAMKTASGLSGVDSVSLDMKDQKLTVVGDVDPVVVVRKLRKLCHTDIVSVGPAKEPEKKKEEPKKEEPKKPAETKKDPPYPYAYLYPAPSYYLHDNYPYGTKIVEESQPGCIIC >EOY24040 pep chromosome:Theobroma_cacao_20110822:3:29413676:29417745:1 gene:TCM_015744 transcript:EOY24040 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MGCTVREKHIRSTRRSRSVKPEFDPCCLLDKASISKSILESGLKPLSYPLGLNDSTQSPNPNPNNNSNTNFDDHGWGYCTEEQLEEILLKNLEFLYNEAISKLVALGYDEDVALKAILRNGHCYGGMDVLTNILHNSLAYLNGSCGSSNGSNSEESEPGFPDLRQLEEYSLAGMVCLLQQVRPHLSKGDAMWCLLMSDLHVGRASTMEIPTLPSPTSGCSPVSNNVESVGNNGVGVMSPALCRFHGGWGFGNRGAEFAVNGFFSYCTDMTLQRDIECPKRFNLSPSMKSLLKKNVAMFAASFRANSKQMQTQNQACVGTLSSGDAPPAVAGGEVPTEKSEESQNLKSQDGVNSVLSKFRDLNIDDNYEHVGEDQKGEMIISLLHQIKDLEKQVKERKEWAHQKAMQAARKLSSDLTELKMLRMEREETQRLKKGKHTIDDSTMKRLSDMENALRKASGQVDRANAAVRRLENENAEIRAEMEASKLSASESVKTCLEVAKREKKCLKKLLAWEKQKTKLQEEIAIEKEKINELQRCLARVEQDQKETESKWRQELKAKELALAQVEEERRSKEAAEVNNKRKLEALRLKIEIDFQRHKDDHQRLEQELSRLKVSAQSTELNHQSNNLLTGKSEGAKPQGETIARLLHELDKLEDSSEKEVNCDRECIICSKDEVSIVFLPCAHQVLCANCNDSYGKKGKATCPCCRMPIEQRIRVFGAAS >EOY24041 pep chromosome:Theobroma_cacao_20110822:3:29413735:29416664:1 gene:TCM_015744 transcript:EOY24041 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MGCTVREKHIRSTRRSRSVKPEFDPCCLLDKASISKSILESGLKPLSYPLGLNDSTQSPNPNPNNNSNTNFDDHGWGYCTEEQLEEILLKNLEFLYNEAISKLVALGYDEDVALKAILRNGHCYGGMDVLTNILHNSLAYLNGSCGSSNGSNSEESEPGFPDLRQLEEYSLAGMVCLLQQVRPHLSKGDAMWCLLMSDLHVGRASTMEIPTLPSPTSGCSPVSNNVESVGNNGVGVMSPALCRFHGGWGFGNRGAEFAVNGFFSYCTDMTLQRDIECPKRFNLSPSMKSLLKKNVAMFAASFRANSKQMQTQNQACVGTLSSGDAPPAVAGGEVPTEKSEESQNLKSQDGVNSVLSKFRDLNIDDNYEHVGEDQKGEMIISLLHQIKDLEKQVKERKEWAHQKAMQAARKLSSDLTELKMLRMEREETQRLKKGKHTIDDSTMKRLSDMENALRKASGQVDRANAAVRRLENENAEIRAEMEASKLSASESVKTCLEVAKREKKCLKKLLAWEKQKTKLQEEIAIEKEKINELQRCLARVEQDQKETEQLCCAIFFYSQSGGRS >EOY24522 pep chromosome:Theobroma_cacao_20110822:3:31324576:31327247:1 gene:TCM_016104 transcript:EOY24522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYCEYVIFPESQNTNKSNMQPEWLHLSSVIHCNDYRWPSWVELKAKGNKEIWHLEKRRANPNKGESFERKGWFGNYEKKEMQTQREGKASA >EOY20797 pep chromosome:Theobroma_cacao_20110822:3:1295415:1303584:-1 gene:TCM_012145 transcript:EOY20797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MLELQNISPNKSFRQEVEAIVPPLLKEHINKERETPYEAFDRSHAGLVKEGEKWMKGIAQSSTIVGTLIITIMFAAFFTVPGGLSQDTGIPLLLTKKLFKVFIISDAISLFASTTSVLMFVGILTSRYAAHDFFKSLPNKLIIGLSFLFISIATMMVAFSSTAIIMLKGQLEIVILIVLLAGIPISLFVWLQFPLLVKAFISTYGPKIFDRKMKK >EOY21284 pep chromosome:Theobroma_cacao_20110822:3:5537835:5545688:1 gene:TCM_012726 transcript:EOY21284 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-type peptidyl-prolyl cis-trans isomerase 5 isoform 2 MGTVIDSHFLALTAIVTVGYQLFFFIITALLKFDKVTDFAGSTNFIIIAVLTLVTKGSWHFRQVVLTLLVVIWGLRLGLFLLMRILQWGEDRRFDEMRSNLGKLAFFWIFQAVWVWTVSLPVTVVNASDRDPSIQAEDIIGWILWSVGISVEATADQQKLSFKNSPENRGKWCNIGLWKYTRHPNYFGEILLWWGIFVASAPVLEGAEWLVILGPIFLTLLLLFVSGIPLLEESADKKFGNVNAYRIYKKTTSPLIPLPPFVYGNLPLWFKATFLFEFPFYSRNFPQEGPN >EOY21283 pep chromosome:Theobroma_cacao_20110822:3:5537565:5545534:1 gene:TCM_012726 transcript:EOY21283 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-type peptidyl-prolyl cis-trans isomerase 5 isoform 2 MGTVIDSHFLALTAIVTVGYQLFFFIITALLKFDKVTDFAGSTNFIIIAVLTLVTKGSWHFRQVVLTLLVVIWGLRLGLFLLMRILQWGEDRRFDEMRSNLGKLAFFWIFQAVWVWTVSLPVTVVNASDRDPSIQAEDIIGWILWSVGISVEATADQQKLSFKNSPENRGKWCNIGLWKYTRHPNYFGEILLWWGIFVASAPVLEGAEWLVILGPIFLTLLLLFVSGIPLLEESADKKFGNVNAYRIYKKTTSPLIPLPPFVYGNLPLWFKATFLFEFPFYSRNFPQEGPNWCRTSQEEIKDELKMG >EOY23705 pep chromosome:Theobroma_cacao_20110822:3:28295674:28298140:-1 gene:TCM_015512 transcript:EOY23705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-specific 30S ribosomal protein 1 MATALLASSQTSFHHPISVSVSSSSCHSSSASSVSLFTPTKPRIPSLPSYKSSFLNPIGNSFKDIETEPRKSRVCSLTVRMSWDGPLSSVKLIIQGKNLELTDTVKQHVEEKVGKAVQKHSHLVREVDVRLSVRGGEFGKGPRIRRCEVTLFTKKHGVVRAEEDAETVYGSIDLVSSILQRKLRKIKEKESDHGRHMKGFNRLKVREPVAVLVEDEVEAVPEQEDDVEAVPEQEDDGLIDEIVRTKYFDMPPLTVSEAIEQLENVDHDFYGFRNEETGEINILYKRKAGGYGLIIPKGNGKAEKLEPVVVDSAKQHSFVE >EOY24045 pep chromosome:Theobroma_cacao_20110822:3:29429663:29437377:1 gene:TCM_015750 transcript:EOY24045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic ABC protein 6 isoform 1 MTTAEKSRKKHYKENTARERMISFCGELERWEPRPYPCRCFVLCFRPLCPLANSEFASPSPLRKSTAQPWCCLLRRAAGLSRSSMAALAFTHQNFLQFTPKLTPSKPKLKPKRTKTKLSIQTIPTSPQASFTDQFVLQLADSLEDSLPSSSSLPLQKLRDNSSETLVSTQWPSRKDESFRFTDTSFIKNSDIKPISRPPESLAFLDVSSDAQFKSFDFVDGFLVDSSFDSSNFPDGVYVGSLLKLSSEGILKRVCEFLGDFEWGDLFWSVNGLGAPDVTVVYVPEGCRVENPIYLKYISVEGGDGGSKKMPVSNPRVFVLVEKGGEVGIVEEFVGKEGSECYWTNSVLEVVVGEGGKVRHSYVQRQSLSAAHIKWTSVRQESTSTYELVEVSTGGKLSRHNVHVQQVGSDTVTELTTFHLSVGDQTQDLHSRIILDHPRGYSQQLHKCIVAHSSGQAVFDGNVKVNRYAQQTDAGQLTRSLLLEPRATVNVKPNLQIIADDVKCSHGAAISDLEDSQLFYFQARGIDLETARKALVFSFGAEVIDRLPYAFVQKQAKDHVKELLESTGKGSS >EOY24046 pep chromosome:Theobroma_cacao_20110822:3:29433797:29437157:1 gene:TCM_015750 transcript:EOY24046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic ABC protein 6 isoform 1 MAALAFTHQNFLQFTPKLTPSKPKLKPKRTKTKLSIQTIPTSPQASFTDQFVLQLADSLEDSLPSSSSLPLQKLRDNSSETLVSTQWPSRKDESFRFTDTSFIKNSDIKPISRPPESLAFLDVSSDAQFKSFDFVDGFLVDSSFDSSNFPDGVYVGSLLKLSSEGILKRVCEFLGDFEWGDLFWSVNGLGAPDVTVVYVPEGCRVENPIYLKYISVEGGDGGSKKMPVSNPRVFVLVEKGGEVGIVEEFVGKEGSECYWTNSVLEVVVGEGGKVRHSYVQRQSLSAAHIKWTSVRQESTSTYELVEVSTGGKLSRHNVHVQQVGSDTVTELTTFHLSVGDQTQDLHSRIILDHPRGYSQQLHKCIVAHSSGQAVFDGNVKVNRQMQGN >EOY22660 pep chromosome:Theobroma_cacao_20110822:3:24386930:24390851:-1 gene:TCM_014766 transcript:EOY22660 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL07K02.14 protein, putative MEKRRRLQISASKSTAEIGVKLKRLVSQQEQVKIAYHQLKLQIKIGLAEAEEVFSSLAIPLMKLVGLKTEEMAEEGRFTTIIVDADFSPGFCRSRLITESPVVSPTAAGGEWDNQIHREEESWATNATTAGKEFFEKQQTQLVHLLRQIENRVSSHGDDILESLATWRGFLQKLFQKASNFMSLQSQNHDTILITRKLLQVIYDKTDAVLSSVEDGIQSLMQDLTKQMCNPMVDYVKGLKADLKFGTCARLLVIVDEMERSMRNGRIELEEARRRIKIAEEGRIKALCKLKESEEKMMRLNEYLEFLAGIEKEHIEHLVSQKFFGREEAEANDYKLVWELQRKKRKFETPGSPMGPKELLYFESNKKRHQSRRERPAFSHSPVIGSHLQALSPQTPCLDTRIPLGLSPSSAIQHVVWRKRISPFPLNS >EOY23658 pep chromosome:Theobroma_cacao_20110822:3:28135855:28140206:-1 gene:TCM_015477 transcript:EOY23658 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MGSSSSETKFLQELILYAASAALSCLVLFAGLRHLDPNREASKKALEQKKEIAKRLGRPLIHTNPYEDVIACDVINPDHIDVEFESIGGLESIKQALYELVILPLRRPELFAHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRNTDHEAMTNMKTEFMALWDGFTTDQNARVMVLAATNRPSELDEAILRRLPQAFEIGIPDRRERAEILKVILKGEKVEESINFDYIASLCEGYTGSDLLELCKKAAYFPIRDLLDDEKKGKPSGAPRPLSQTDLEKVLSTSRKTGSAANEYSRLSSQLSGWSRQKESDDYQVQAAISELSKLVVSQIVNLQSDSQDA >EOY23659 pep chromosome:Theobroma_cacao_20110822:3:28135838:28140159:-1 gene:TCM_015477 transcript:EOY23659 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MGSSSSETKFLQELILYAASAALSCLVLFAGLRHLDPNREASKKALEQKKEIAKRLGRPLIHTNPYEDVIACDVINPDHIDVEFESIGGLESIKQALYELVILPLRRPELFAHKAIAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRNTDHEAMTNMKTEFMALWDGFTTDQNARVMVLAATNRPSELDEAILRRLPQAFEIGIPDRRERAEILKVILKGEKVEESINFDYIASLCEGYTGSDLLELCKKAAYFPIRDLLDDEKKGKPSGAPRPLSQTDLEKVLSTSRKTGSAANEYSRLSSQLSGWSRQKESDDYQVQAAISELSKLVVSQIVNLQSDSQDA >EOY21658 pep chromosome:Theobroma_cacao_20110822:3:15981478:16026398:1 gene:TCM_013690 transcript:EOY21658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLCFDHAFFYRTPNKWRRLRQRPNMVEKHRSIHFRQHSTKIQPDLALNGQIWWREAQDLVLPDQIPWLDLALYGQIQPRGSTDLVS >EOY23075 pep chromosome:Theobroma_cacao_20110822:3:26079193:26082691:1 gene:TCM_015078 transcript:EOY23075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase-related kinase 1 isoform 4 MAVAKSNSISETSKQPCNCFKVASLSETILETEQTANLKDRYILGEQLGWGQFGVIRLCSDKLTREVLACKSISKDRLVTSDDARSVKLEIEIMTRLSGHPNVVDLKAVYEDEDYVHLVMELCAGGELFHRLEKYGRFSEAEARVLFRHLMQVVLYCHEIGVVHRDLKPENILLATKASSSPIKLADFGLATYIEPGQCLHGTVGSPFYIAPEVLVGGYNQAADVWSAGVILYILLSGTPPFWGKTKSRIFDAVRAADLQFPSDPWDRISDSAKNLVRGMLNTDPFQRLTALHVLDHLWMKNDESCHEESSELIYQSCGEREIGSGTFSLSRDQDISFGAGSPTICGVQSPALTCRTSFSSFLVEPSTPCFPLGGFSFCNGNSDTLELSSPVPSMPSFAFFSPCSVVEQENCKLDFSTTVTRMETTYGDAGLDKVLVLPDSSPCCGPEAREMENKAAEFRRTGGSSGSRMLAFHSKRNRTIGHGEREQLDFMVSESVIRWASCTNLPTATSLRSSLVC >EOY23076 pep chromosome:Theobroma_cacao_20110822:3:26079165:26082730:1 gene:TCM_015078 transcript:EOY23076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase-related kinase 1 isoform 4 MAVAKSNSISETSKQPCNCFKVASLSETILETEQTANLKDRYILGEQLGWGQFGVIRLCSDKLTREVLACKSISKDRLVTSDDARSVKLEIEIMTRLSGHPNVVDLKAVYEDEDYVHLVMELCAGGELFHRLEKYGRFSEAEARVLFRHLMQVVLYCHEIGVVHRDLKPENILLATKASSSPIKLADFGLATYIEPGQCLHGTVGSPFYIAPEVLVGGYNQAADVWSAGVILYILLSGTPPFWGKTKSRIFDAVRAADLQFPSDPWDRISDSAKNLVRGMLNTDPFQRLTALHVLDHLWMKNDESCHEESSELIYQSCGEREIGSGTFSLSRDQDISFGAGSPTICGVQSPALTCRTSFSSFLVEPSTPCFPLGGFSFCNGNSDTLELSSPVPSMPSFAFFSPCSVVEQENCKLDFSTTVTRMETTYGGLDKVLVLPDSSPCCGPEAREMENKAAEFRRTGGSSGSRMLAFHSKRNRTIGHGEREQLDFMVSESVIRWASCTNLPTATSLRSSLVC >EOY23077 pep chromosome:Theobroma_cacao_20110822:3:26079104:26082709:1 gene:TCM_015078 transcript:EOY23077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase-related kinase 1 isoform 4 MAVAKSNSISETSKQPCNCFKVASLSETILETEQTANLKDRYILGEQLGWGQFGVIRLCSDKLTREVLACKSISKDRLVTSDDARSVKLEIEIMTRLSGHPNVVDLKAVYEDEDYVHLVMELCAGGELFHRLEKYGRFSEAEARVLFRHLMQVVLYCHEIGVVHRDLKPENILLATKASSSPIKLADFGLATYIEPGQCLHGTVGSPFYIAPEVLVGGYNQAADVWSAGVILYILLSGTPPFWDHLWMKNDESCHEESSELIYQSCGEREIGSGTFSLSRDQDISFGAGSPTICGVQSPALTCRTSFSSFLVEPSTPCFPLGGFSFCNGNSDTLELSSPVPSMPSFAFFSPCSVVEQENCKLDFSTTVTRMETTYGDAGLDKVLVLPDSSPCCGPEAREMENKAAEFRRTGGSSGSRMLAFHSKRNRTIGHGEREQLDFMVSESVIRWASCTNLPTATSLRSSLVC >EOY23078 pep chromosome:Theobroma_cacao_20110822:3:26079165:26082730:1 gene:TCM_015078 transcript:EOY23078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase-related kinase 1 isoform 4 MAVAKSNSISETSKQPCNCFKVASLSETILETEQTANLKDRYILGEQLGWGQFGVIRLCSDKLTREVLACKSISKDRLVTSDDARSVKLEIEIMTRLSGHPNVVDLKAVYEDEDYVHLVMELCAGGELFHRLEKYGRFSEAEARVLFRHLMQVVLYCHEIGVVHRDLKPENILLATKASSSPIKLADFGLATYIEPDHLWMKNDESCHEESSELIYQSCGEREIGSGTFSLSRDQDISFGAGSPTICGVQSPALTCRTSFSSFLVEPSTPCFPLGGFSFCNGNSDTLELSSPVPSMPSFAFFSPCSVVEQENCKLDFSTTVTRMETTYGDAGLDKVLVLPDSSPCCGPEAREMENKAAEFRRTGGSSGSRMLAFHSKRNRTIGHGEREQLDFMVSESVIRWASCTNLPTATSLRSSLVC >EOY21703 pep chromosome:Theobroma_cacao_20110822:3:17449892:17454546:-1 gene:TCM_013805 transcript:EOY21703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTSTVTQQTAPIFYGSNYPMWAIRMKAFLRGVKQYEEDIAKKYRALSFIHSAVTESVFSHIMSCETAKQAWDKLEEEFLGSGRNKQIRLQNLRRQYELLRMKDSQNVQKFIDAVMKVVNQIRLLGENLSDAKVVEKILISLLERFDATVSSFEQVRDISQLSISDLVNILEVDEQKRTARKNDKSDLALTVRMKGKAHAKASTKKNLSEVKEKGSTQGQTQGVMLANSLVMSKRSKVKIGNGVYLDAVGRGTVGIYTSSGLRYVHDVLLIPGIVQNLLSVGQMLENNYELNLVDNLPKFTQSASMCGVCKLGKQSRKPFPTDTPQRARNKLELVHSDVAGPISDNGGEYTSQQFEGFLMVEGINHQLTVPYSPQQNGVSKRKNRSILDMARCLLFEKSLPKKFWVEACNTAVYLLNRLPTKVVTRLTSYEAWNNKRSSVSYLRIFGSLCYHQILENFRGKLDCRSEPAVFIGYSEQSKGYKLYLVNSDKVIVSRNVIFDENAKWSWDSSESKTLKAPFRIELFEPNDDRIDSSEFTANVDDDNKHYAVRGTRSLVNVYYRSLLAISEPDSYQAAAESKEWKATMQEEINAINHNNTWTIVDRPQKQHVIEVKWIFRRKFNSDGTLNKCKARLVTKGYSQLPSIDFMHTFAPVARYETIQLLLAISAALGWKVYNFDVKSTFLNGTLKEEVYVEQPEGFKDESNPDKVYSFNEATLYVLKHKNQAQVIVSLYVDDLMITGCNTTAIDRFRSEMEKNFEMFDLGLMNYFLGMEICQTDKGIFLSQGNYIQTILNRFHLSSYNPVSTPLVVNQKLTVGDGSKLEDATSYRSLIRSLLYICSTRPELMYSTSLQSRFMKEPTNVHLAAARLTGQEVLKIPEALQDIFSH >EOY21412 pep chromosome:Theobroma_cacao_20110822:3:7515405:7516848:-1 gene:TCM_012922 transcript:EOY21412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQQWLSFGVKAGNSKVWFFPIVWKSYERRSFLFVQCIQFYQLEVEMGGSCIEQKQQLSKSFTCSSKELRKRLAR >EOY24867 pep chromosome:Theobroma_cacao_20110822:3:32510568:32519619:1 gene:TCM_016350 transcript:EOY24867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Respiratory burst oxidase protein A MRGGSLPTHERRWASDTVPAKTTLSSTTSPGTDSNSAEEFVEVTLDLQDDDTIILRSVEPATVINVDDGAETPVSAPASASRSPIIKRSSSNKLRQFSQELKAEAVAKAKQFSQELKAELRKFSWGHGHATQTVTGFDSALAARALRKQRAQLDRTRSGAQKALRGLRFISNNKTNAWEEVQNNFDKLAKDGFLFRSDFAQCIGMKDSKEFALEMFDALSRRRRLKVEKISRDELYEYWSQITDQSFDSRLQIFFDMVDKNEDGRIAEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLSYSQALSYTSQALSQNLQGLRKKSRIRRMSTKLLYYLEENWKRIWVVSLWIMIMIGLFTWKFFQYKQKSSFQVMGYCLLTAKGAAETLKFNMALILLPVCRNTITWLRSTKLGLFVPFDDNINFHKTIAAAIVIGVILHAGNHLACDFPKLINSSAKDYEVFLIHDFGSHKPTYIDLIKGAEGVTGILMVICMTIAFTLATTWFRRNLIKLPKPFDRITGFNAFWYSHHLFVIVYVLLVIHGVFLYLVHIWYRKTTWMYLAVPVLLYAGERILRFFRSGSYTVRILKVAIYPGGVLTLQMSKPPQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELRRLFSEVCEPPVAGKSGLLRADETTKKSLPKLLIDGPYGAPAQDYHKYDVLLLVGLGIGATPFISILKDLLNNIVKMEEQADSVSDTSRTSDISIGSNDSTTPNRVSPKRKKTLKTTNAYFYWVTREQGSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTRVRTHFARPKWKNVLSKLSSKHCNARIGVFYCGAPVLAKELSKLCYEFNQKGSTKFEFHKEHF >EOY21792 pep chromosome:Theobroma_cacao_20110822:3:18600809:18602065:-1 gene:TCM_013930 transcript:EOY21792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIYERVKLRAILNLPEDDTHLWIFITLRMALALENFYLMASEIYIFIGDQLFLLFIFESFHFSLVIEFNDDWMSGLKDFLVP >EOY22812 pep chromosome:Theobroma_cacao_20110822:3:24869225:24871887:-1 gene:TCM_014871 transcript:EOY22812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MALKECKPDMVTYNVMINGFRKVKDFEAAYRVLEDRAEKWCKPDVISYNMLIGGLCKEGRWSEANDLFEDMPRQGCKPDVVSYRLLFDGLCGGLQFKEAAFVLDEMIFKGYVPHSASIHKFVSRLCQDGNMKLLLMVLNSLAKGNAIDQDTWLMVISKVYQEDKLSIVSEILDALML >EOY21149 pep chromosome:Theobroma_cacao_20110822:3:3545885:3552683:-1 gene:TCM_012521 transcript:EOY21149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) polymerase 1 isoform 3 MGSPGLGNRNNGQRLGITEPISLGGPTDYDVIKTRELEKYLQNVGLYESQEEAVGREEVLGRLDQTVKNWVKAISRAKGLNEQLVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREEDFFGELYKMLSEMPEVSELHPVPDAHVPVMKFKFKGVSIDLLYAKLSLWVIPEDLDISQDSILQNTDEQTVRSLNGCRVTDQILRLVPNIQNFRTTLRCMRFWAKRRGVYSNVAGFLGGINWALLVARICQLYPNALPNMLVSRFFRVYTQWRWPNPVMLCAIEEGSLGLQVWDPRKNPKDRYHLMPIITPAYPCMNSSYNVSSSTLRIMTDEFQRGSEICEAMEANKADWDILFESYAFFEAYKNYLQIDISAENADDLRKWKGWVESRLRQLTLKIERHTYNMLQCHPHPGDFQDKSRPFHGSYFMGLQRKQGVPVNEGEQFDIRLTVEEFKHSVNMYTLWKPGMEIRVTHVKRRNIPSFVFPGGVRPSRPSKVTWDSMRVSDAKVSGHAGPDKSGEVKGVADGQDDGKKRKRVDDNGDAQLRSSKYITAVPSSSLEGRVGSPVSTVSSCSTKGDYSDATGLIETTREKAESNMTNGLINSRSLEELSSHNGEVDGSVGCNPPIKVSADASSCTEAENLAIEKIMSGPYGAHQAFPQELEELEDDLEFRNQVRSVENTKSGPVESSMSDLAGAAPVTSSNGAGPSTSLHASGGIEELEPAELTAMISNRIPSAPVAQRKPLIRLNFTSLGKASEKSG >EOY21148 pep chromosome:Theobroma_cacao_20110822:3:3545620:3553574:-1 gene:TCM_012521 transcript:EOY21148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) polymerase 1 isoform 3 MGSPGLGNRNNGQRLGITEPISLGGPTDYDVIKTRELEKYLQNVGLYESQEEAVGREEVLGRLDQTVKNWVKAISRAKGLNEQLVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREEDFFGELYKMLSEMPEVSELHPVPDAHVPVMKFKFKGVSIDLLYAKLSLWVIPEDLDISQDSILQNTDEQTVRSLNGCRVTDQILRLVPNIQNFRTTLRCMRFWAKRRGVYSNVAGFLGGINWALLVARICQLYPNALPNMLVSRFFRVYTQWRWPNPVMLCAIEEGSLGLQVWDPRKNPKDRYHLMPIITPAYPCMNSSYNVSSSTLRIMTDEFQRGSEICEAMEANKADWDILFESYAFFEAYKNYLQIDISAENADDLRKWKGWVESRLRQLTLKIERHTYNMLQCHPHPGDFQDKSRPFHGSYFMGLQRKQGVPVNEGEQFDIRLTVEEFKHSVNMYTLWKPGMEIRVTHVKRRNIPSFVFPGGVRPSRPSKVTWDSMRVSDAKVSGHAGPDKSGEVKGVADGQDDGKKRKRVDDNGDAQLRSSKYITAVPSSSLEGRVGSPVSTVSSCSTKGDYSDATGLIETTREKAESNMTNGLINSRSLEELSSHNGEVDGSVGCNPPIKVSADASSCTEAENLAIEKIMSGPYGAHQAFPQELEELEDDLEFRNQVRSVENTKSGPVESSMSDLAGAAPVTSSNGAGPSTSLHASGGIEELEPAELTAMISNRIPSAPVAQRKPLIRLNFTSLGKASEKSG >EOY21150 pep chromosome:Theobroma_cacao_20110822:3:3545620:3552807:-1 gene:TCM_012521 transcript:EOY21150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) polymerase 1 isoform 3 MLSEMPEVSELHPVPDAHVPVMKFKFKGVSIDLLYAKLSLWVIPEDLDISQDSILQNTDEQTVRSLNGCRVTDQILRLVPNIQNFRTTLRCMRFWAKRRGVYSNVAGFLGGINWALLVARICQLYPNALPNMLVSRFFRVYTQWRWPNPVMLCAIEEGSLGLQVWDPRKNPKDRYHLMPIITPAYPCMNSSYNVSSSTLRIMTDEFQRGSEICEAMEANKADWDILFESYAFFEAYKNYLQIDISAENADDLRKWKGWVESRLRQLTLKIERHTYNMLQCHPHPGDFQDKSRPFHGSYFMGLQRKQGVPVNEGEQFDIRLTVEEFKHSVNMYTLWKPGMEIRVTHVKRRNIPSFVFPGGVRPSRPSKVTWDSMRVSDAKVSGHAGPDKSGEVKGVADGQDDGKKRKRVDDNGDAQLRSSKYITAVPSSSLEGRVGSPVSTVSSCSTKGDYSDATGLIETTREKAESNMTNGLINSRSLEELSSHNGEVDGSVGCNPPIKVSADASSCTEAENLAIEKIMSGPYGAHQAFPQELEELEDDLEFRNQVRSVENTKSGPVESSMSDLAGAAPVTSSNGAGPSTSLHASGGIEELEPAELTAMISNRIPSAPVAQRKPLIRLNFTSLGKASEKSG >EOY22786 pep chromosome:Theobroma_cacao_20110822:3:24794703:24803328:-1 gene:TCM_014857 transcript:EOY22786 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARABIDILLO-1 isoform 2 MLESVHLGPEACERISSDAIKALAYCCPRLRSLWMSGVREVSGDAMNALAKHCRQLKDVGFVESDNIDEVALGNMNSVKLLSVAGTRNLKWGSAVQVWSRLPHLVGLDVSRTDVNQSAVTRLLSSSQNLKVLVALNCPVFEGELDSNTMHNQKGGILLTFLGDILKGVASLFADNAKNVRDVFKYWRRLKNRDKNLDEIVVWIEWVFSHSLLRIAENNLKEFDDFWLTQGAAVLLSLLESSQEEVRERAATAVATFVVIDDENATVDCQRAEAILRGDGIRLLLNLARSCQEGLQSEAAKAIANLSIDSKVAKAVAESGGINILANLAKSMNRLVAEEAAGGLWNLSVGDEHKGAIAEAGGIKALVDLIFKWPSNTDGLLERATGALANLGADEKCSMEVAAAGGVHALVMLARTCKFEGVQEQAARALANLAAHGDSNSNNAAIGQEAGALEALVQLTYSLNEGVRQEAAGALWNLSFDDKNREAIAATGGVEALVALAQSSSSASQGLQERAAGALWGLSVSETNSIAIGRQGGIAPLIALARSDIVDVHETAAGALWNLAFYRDNALRIVQDGGVQPLVHLCSSSISKMARFMAALALVYMFDGRIDGAVPMGHSSQGSSKTLNMDGVGRMALKHVEEFVISFYDPQTFYTAAASLVPTALAQIAEAIRIPEAGHLRCSSGAEIDRFVRMLRDPSSILKSCSAFALSQFTMPGGRHAMHHSGLLQNAGAPRVLRAAAAASTAPIQAKIFAKIVLRNLEHHHEVSS >EOY22785 pep chromosome:Theobroma_cacao_20110822:3:24794553:24804614:-1 gene:TCM_014857 transcript:EOY22785 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARABIDILLO-1 isoform 2 MTRRVRQKCSHSKDKDKTDISLDTLDSGCLNSATACGVDWTTLPDDTVIQLFSYLNYRDRASLSSTCQTFRLLGSSLCLWDSLDLRPHNFDTAAAVSLSSRCKNLQRLKFHAAGSADAIVSLQARALREISGDFCQDITDAALSVIAARHEMLESVHLGPEACERISSDAIKALAYCCPRLRSLWMSGVREVSGDAMNALAKHCRQLKDVGFVESDNIDEVALGNMNSVKLLSVAGTRNLKWGSAVQVWSRLPHLVGLDVSRTDVNQSAVTRLLSSSQNLKVLVALNCPVFEGELDSNTMHNQKGGILLTFLGDILKGVASLFADNAKNVRDVFKYWRRLKNRDKNLDEIVVWIEWVFSHSLLRIAENNLKEFDDFWLTQGAAVLLSLLESSQEEVRERAATAVATFVVIDDENATVDCQRAEAILRGDGIRLLLNLARSCQEGLQSEAAKAIANLSIDSKVAKAVAESGGINILANLAKSMNRLVAEEAAGGLWNLSVGDEHKGAIAEAGGIKALVDLIFKWPSNTDGLLERATGALANLGADEKCSMEVAAAGGVHALVMLARTCKFEGVQEQAARALANLAAHGDSNSNNAAIGQEAGALEALVQLTYSLNEGVRQEAAGALWNLSFDDKNREAIAATGGVEALVALAQSSSSASQGLQERAAGALWGLSVSETNSIAIGRQGGIAPLIALARSDIVDVHETAAGALWNLAFYRDNALRIVQDGGVQPLVHLCSSSISKMARFMAALALVYMFDGRIDGAVPMGHSSQGSSKTLNMDGVGRMALKHVEEFVISFYDPQTFYTAAASLVPTALAQIAEAIRIPEAGHLRCSGAEIDRFVRMLRDPSSILKSCSAFALSQFTMPGGRHAMHHSGLLQNAGAPRVLRAAAAASTAPIQAKIFAKIVLRNLEHHHEVSS >EOY23222 pep chromosome:Theobroma_cacao_20110822:3:26698762:26704674:1 gene:TCM_015192 transcript:EOY23222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast thylakoid membrane, putative isoform 2 MASTTATWSPSSPQLRLALRCRNCKESGVVFVRARTGKLDCSSVRLLSVSRSRRKGLERRRNGALWIVSDSTAGSDTFSGWSDSDTLEDSVDSKSNGWFGGIMGAGSAGLVLVAGLSFAAMSLSNRSTSRPKQQLQPLTTQQEVSLASDNESDKIEENESETALQVHPGKVLVPAVVDQVQGQALAALQVLKVIEADVQPSDLCTRREYARWLVSASSALSRNTASKVYPAMYIENVTELAFDDITPDDPDFSSIQGLAEAGLISSKFSNQDLLNDDLGPFYFFPESPLSRQDLVSWKMALEKRQLPEADRKILYQLSGFIDINKINPDAWPALMADLSSGEQGIIALAFGCVRLFQPDKPVTKAQAAVALATGEASDLVSEEFARIEAESMAENAVSAHTALVAQVEKDVNASFEKELLMEREKIDAVEKMAEEAKRELERLRSQREEENIALMKDRAAIDSEMEVLSRLRREVEEQLESLMRNKVEIQYEKERISKLLKETENESQEIVRLQHELEVERKALSMARAWAEDEARRASEQAKALEEARDRWERHGIKVVVDNDLREESVARSTWVNVGKQVAVEGTISRGEILVGKLKVLASQVKGKSREFINKIVERVQHLIAVLKEWTSTAGAKAEELTDKAILKASGSVQELQQSTAGFSSALKEGAKRVAGDCREGVEKLTQRFRT >EOY23223 pep chromosome:Theobroma_cacao_20110822:3:26699541:26704674:1 gene:TCM_015192 transcript:EOY23223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast thylakoid membrane, putative isoform 2 MSVGQDTSPISPKLPESDVVGGFVVASSLRESNSNFDINSPEATSEIEDKLINVRETIDTNLSDPINLDNDLNEVKLGSEGKENYDISVDSTSSSNSSNEPVIISISDSSELEPILEPQAVPRDNLDTVESSSTEENLEISKMSQVSAEIKNSSLEVNNLNESESSETTSVSAPAHPLTNEQSKIDYNEINDSKPVFESPTPRSSFSPAGIPAPSVVSAALQVHPGKVLVPAVVDQVQGQALAALQVLKVIEADVQPSDLCTRREYARWLVSASSALSRNTASKVYPAMYIENVTELAFDDITPDDPDFSSIQGLAEAGLISSKFSNQDLLNDDLGPFYFFPESPLSRQDLVSWKMALEKRQLPEADRKILYQLSGFIDINKINPDAWPALMADLSSGEQGIIALAFGCVRLFQPDKPVTKAQAAVALATGEASDLVSEEFARIEAESMAENAVSAHTALVAQVEKDVNASFEKELLMEREKIDAVEKMAEEAKRELERLRSQREEENIALMKDRAAIDSEMEVLSRLRREVEEQLESLMRNKVEIQYEKERISKLLKETENESQEIVRLQHELEVERKALSMARAWAEDEARRASEQAKALEEARDRWERHGIKVVVDNDLREESVARSTWVNVGKQVAVEGTISRGEILVGKLKVLASQVKGKSREFINKIVERVQHLIAVLKEWTSTAGAKAEELTDKAILKASGSVQELQQSTAGFSSALKEGAKRVAGDCREGVEKLTQRFRT >EOY23221 pep chromosome:Theobroma_cacao_20110822:3:26699170:26705128:1 gene:TCM_015192 transcript:EOY23221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast thylakoid membrane, putative isoform 2 MASTTATWSPSSPQLRLALRCRNCKESGVVFVRARTGKLDCSSVRLLSVSRSRRKGLERRRNGALWIVSDSTAGSDTFSGWSDSDTLEDSVDSKSNGWFGGIMGAGSAGLVLVAGLSFAAMSLSNRSTSRPKQQLQPLTTQQEVSLASDNESDKIEENESETGIHKDLSSPSEFNDTSTDNKLDNDNGTYLVDSYTSNGNSATNTVPNQEDLQTVSALDGMSVGQDTSPISPKLPESDVVGGFVVASSLRESNSNFDINSPEATSEIEDKLINVRETIDTNLSDPINLDNDLNEVKLGSEGKENYDISVDSTSSSNSSNEPVIISISDSSELEPILEPQAVPRDNLDTVESSSTEENLEISKMSQVSAEIKNSSLEVNNLNESESSETTSVSAPAHPLTNEQSKIDYNEINDSKPVFESPTPRSSFSPAGIPAPSVVSAALQVHPGKVLVPAVVDQVQGQALAALQVLKVIEADVQPSDLCTRREYARWLVSASSALSRNTASKVYPAMYIENVTELAFDDITPDDPDFSSIQGLAEAGLISSKFSNQDLLNDDLGPFYFFPESPLSRQDLVSWKMALEKRQLPEADRKILYQLSGFIDINKINPDAWPALMADLSSGEQGIIALAFGCVRLFQPDKPVTKAQAAVALATGEASDLVSEEFARIEAESMAENAVSAHTALVAQVEKDVNASFEKELLMEREKIDAVEKMAEEAKRELERLRSQREEENIALMKDRAAIDSEMEVLSRLRREVEEQLESLMRNKVEIQYEKERISKLLKETENESQEIVRLQHELEVERKALSMARAWAEDEARRASEQAKALEEARDRWERHGIKVVVDNDLREESVARSTWVNVGKQVAVEGTISRGEILVGKLKVLASQVKGKSREFINKIVERVQHLIAVLKEWTSTAGAKAEELTDKAILKASGSVQELQQSTAGFSSALKEGAKRVAGDCREGVEKLTQRFRT >EOY23224 pep chromosome:Theobroma_cacao_20110822:3:26698989:26704674:1 gene:TCM_015192 transcript:EOY23224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast thylakoid membrane, putative isoform 2 MASTTATWSPSSPQLRLALRCRNCKESGVVFVRARTGKLDCSSVRLLSVSRSRRKGLERRRNGALWIVSDSTAGSDTFSGWSDSDTLEDSVDSKSNGWFGGIMGAGSAGLVLVAGLSFAAMSLSNRSTSRPKQQLQPLTTQQEVSLASDNESDKIEENEISAALQVHPGKVLVPAVVDQVQGQALAALQVLKVIEADVQPSDLCTRREYARWLVSASSALSRNTASKVYPAMYIENVTELAFDDITPDDPDFSSIQGLAEAGLISSKFSNQDLLNDDLGPFYFFPESPLSRQDLVSWKMALEKRQLPEADRKILYQLSGFIDINKINPDAWPALMADLSSGEQGIIALAFGCVRLFQPDKPVTKAQAAVALATGEASDLVSEEFARIEAESMAENAVSAHTALVAQVEKDVNASFEKELLMEREKIDAVEKMAEEAKRELERLRSQREEENIALMKDRAAIDSEMEVLSRLRREVEEQLESLMRNKVEIQYEKERISKLLKETENESQEIVRLQHELEVERKALSMARAWAEDEARRASEQAKALEEARDRWERHGIKVVVDNDLREESVARSTWVNVGKQVAVEGTISRGEILVGKLKVLASQVKGKSREFINKIVERVQHLIAVLKEWTSTAGAKAEELTDKAILKASGSVQELQQSTAGFSSALKEGAKRVAGDCREGVEKLTQRFRT >EOY20842 pep chromosome:Theobroma_cacao_20110822:3:1460869:1463866:1 gene:TCM_012181 transcript:EOY20842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQQSSNSVMSGSLVKLATALVLLLLLVGELAATSALAPSGREKSPSDVLFGGSSLRKMSPGKDGYSRRESLVISSYRKFRKLGKVEPFQPAPTVNGPTFMTAPPTPIPY >EOY21876 pep chromosome:Theobroma_cacao_20110822:3:19325047:19328052:1 gene:TCM_014027 transcript:EOY21876 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein MSPILDCSWSKHLFGYTVVTRKRVVIVREIHVGLKPQLHHFSEHNFLKVLEDTGANMKFNVALTLLLVSLVGLAIQGCHCKVVQFIFGDSLSDVGNNKNLPRSLAQASLPYYGIDFGNGLPNGRFTNGRTVADIIGDNTGLPRPPAFLDPSLTEDVILENGVNYASGGGGILNETGGFFIQRFSLWRQIELFQGTTELIKSKLGKQAAKFFQDARFVVALGSNDFINNYLMPVYTDSWTYNDETFIEYLMETLQKQLLALHNLGARKLMVFGLGPMGCIPLQRVLSTSGQCQERANKLALSFNKAASNLLVNLASKLPNASFKFGDAYDVVNDVIRNPYKYGFNNSDSPCCSLGNIRPALTCLPTSTLCEDRSKYVFWDEYHPSDSANELIANELIKKFGFLDVNSHAPAPAPESAIAPSPDE >EOY23464 pep chromosome:Theobroma_cacao_20110822:3:27499716:27501308:1 gene:TCM_015353 transcript:EOY23464 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIF subunit 1 MVKLASARESRTYGPRLSRSRAECVNAGLYLFATVVLICGFAAEFSWEPRSGLVLMLIALALIIFVNLHDLLAHLAGIDYRFPLMGFDTQLALVEFAVPVVQVLGSLLFFVGILFFFIQAEKGYGYFKLEKHALGLLIAGPVFWVLGSIHNSCQIYERADGHVQILQQSVLIPFLIGSVLLMVGSILNSHEQAGISHHGLELLGRTWVRLGICGSLMFFIGGLTNVVKVFKMQQINGLRLEKLRGGAQDRLIQGRERHVPLIIEEPRRKMLVEEVNATAAASTPYKDVLLGR >EOY24295 pep chromosome:Theobroma_cacao_20110822:3:30450076:30459907:-1 gene:TCM_047017 transcript:EOY24295 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-like protein 4 MEDDGGERSSFVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSSSYQNTKTLLHFYDPMMIIVPPNKLAPEGMVGVSELVDRFYASVKKIVMARGCFDDTKGAMLIKNLAAREPSALGLDSYYKQYYLCLASASATIKWIEAEKGVIVTNHSLSVTFNGSFDHMNIDATSVQNLEIIEPFHSALWGTNNKKRSLFHMLKTTKTVGGTRLLRANLLQPLKDIETINTRLDCLDELMSNEQLFFGLSQVLRKFPKETDRVLCHFCFKPKKVTNEVLVVENTRKSQMLISSIILLKTALDALPLLSKVLKDAKSFLLANVYKSICENEKYADIRKRIGVVIDEDVLHARVPFVARTQQCFAVKAGIDGLLDIARRSFCDTSEAIHNLANKYREEFKMPNLKLPFNSRQGFYFSIPQKDIQGQLPSKFIQVVKHGNNVHCSTLELASLNVRNKSAAGECYIRTEVCLEALVDTIREDISVLTLLAEVLCLLDMIVNSFSHTISTKPVDRYIRPEFTDDGPLAIDAGRHPILESIHCDFVPNNIFISEASNMVIAMGPNMSGKSTYLQQVCLIVILAQIGCYVPARFATIRVVDRIFTRMGTMDNLESNSSTFMTEMKETAFVMQNVSQRSLIVMDELGRATSSSDGLAIAWSCCEHLLSLTAYTIFATHMENLSELATIYPNVKILHFDVDIRNSRLDFKFQLKDGPRHVAHYGLLLAEVAGLPSSVIETARSITSRITDKEVKRMDVNCLHYNQIQLVYHVSQRLICLKYSNHDEDSIRQALQSLKESYIDGRL >EOY23018 pep chromosome:Theobroma_cacao_20110822:3:25778858:25781012:1 gene:TCM_015031 transcript:EOY23018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLQIINMEDGGQFGGWVVIAWFFQKQLSITKSSHIEIVKTLQCPCWSPMPLLLLQIWVKGKVYEIGSSGIKQSKRASC >EOY24632 pep chromosome:Theobroma_cacao_20110822:3:31728146:31731616:-1 gene:TCM_016186 transcript:EOY24632 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor MLAGCSSSTLLSPRHRLRSEASAQFQACHFQTSMSTQRLDLPCSFSRKDTSRSQPIRPVGLSVEKPIESKTTGCSLKQNIRLPPLTTTAQNPFEGRREIKDEFWEKGKSLKRFAEQGLVDESVINRAKRKKGSSDNEDSGDIHEGGGDNLSLGQLGAGNFWFQPSFTGQNAPQVPFSLTCSGDEERVCFVPSEVISPPLPLSNNPWIESVITEITDVGEKDVETIHRPANETSGSSTSSESHSLGLRLNEQATEQEVGNGSGNPYPHDGARLGANAEENNHGEHQGFELVHLLTACVEAIGSKNIAAINHFMAKLGDLASPRGSAISRLTAYYTEALALRVTRLWPHIFHITTPRELDRVDDDNGTALRLLNQVSPIPKFVHFTSNEILLRAFEGKDRVHIIDFDIKQGLQWPSLFQSLASRTNPPSHVRVTGIGESKQELNETGDRLAGFAEALNLPFEFHPVVDRLEDVRLWMLHVKEKESVAVNCVFQLHKTLYDGNGGALRDFLGLLRSTNPAVVIVAEQEAEHNVLSIDARVTNSLRYYSAIFDSMDSSLPLESPIRIKIEEMFAREIRNIIACEGSDRFERHESFEKWRKLMEQGGFRCMGVSERELLQSQMLLKMYSCENYSVKKQGQDGAALTLSWLDQPLYSVSAWTPVDVAGSSSSFSQPS >EOY23202 pep chromosome:Theobroma_cacao_20110822:3:26597242:26600517:-1 gene:TCM_015176 transcript:EOY23202 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein, putative MEVTFFEKESRNGCQRLPGPSTVRKPQALEKGNQSWPHQLCKMTSLLTSSRLNEFHYAVIKGKCAGWTKQPGREKHGHSYTIRITEARRQRIPSPASLSISGKFDITHSRLQELVEHAASRLVTAGFGRGDVVALAFLNTIEFVIMFLAITRARATAAPLNPAYTTEEFEFYVSDSESKLLLTPQNGNTSAQAAASNAQPRSAYSSMTRLTWHSSSTLLAPQGVPLTQLNIVSSVKNTISVYKFTESDSSLLVPPLFHVHGLLTGLLSPLGAGGAVAIPAADRFSASTFWQDVKQDTATWYTAVPTIHQILLERHVANPEFVYPKLRFIRSCSASLALAILERLEEAFGAPVLEAYAMPEATRLTATNPLVEDGRHKSGSVGKPVGQEVVILDENGVPQEANVRGEMCIGGPNVTKGYKNNPEANKAGFEYGWFHTGDLGYFDSDGYLYLVDRIKEFINRGVDAVLLFHPDIARAVASGVPDDKYGEEINCTIVPREGWSIGEAELQEFCRKNLAAFKVPKKVFITDSLPKTASGKIHRRFIAQHFLARISTSESQNFLA >EOY24454 pep chromosome:Theobroma_cacao_20110822:3:31026142:31029270:-1 gene:TCM_016050 transcript:EOY24454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATLSIGIATFAATGFRATTVKRTDFRLKISCVQWDPDGIFGPPQTGHLARLEFKRRLERDAGARDAFEQHLREEKERRLALRQSREIPDTPAELIEYFLDTEAQEIEFEIARLRQRLDEEFFSHLKFEIGQIRFAVSKTEDMEDKLIELEALQKALQEGIEAYDKMQADLITAKKSLTKILTSKDIKATLLEMVEGNELNRSLLTLLDENIANAHRGNQKQAAAFMEKVRAAVLKYLTV >EOY24727 pep chromosome:Theobroma_cacao_20110822:3:32042232:32048768:-1 gene:TCM_016250 transcript:EOY24727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate-cysteine ligase isoform 1 MALSKAGSSYCIPSDITWGRTGQNVAFGVVNNLEAPIMKEKSVSFSSLLCNKAKIARIFPLETVGFGRKRRNSMIVAASPPTEDAVIATEPLTKEDLVAYLASGCKPKEKWRIGTEHEKFGFEFKTLRPMKYEQIAELLNGISERFDWDKVMEGDNIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPRWGIKDIPVMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFSEGKPNGYLSMRSQIWTDTDKNRTGMLPFVFDDSFGFEQYVEYALDVPMYFVYRKKKYIDCTGMTFRDFLAGKLPCIPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEVSLQNILDMIADWTPEEREMLRNKVPKTGLKTPFRDGFLQHVAEDVLTLAKDGLERRGFKESGFLNAVADVVRTGVTPAEKLLELYHGKWGQSVDPVFEELLY >EOY24726 pep chromosome:Theobroma_cacao_20110822:3:32041777:32048672:-1 gene:TCM_016250 transcript:EOY24726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate-cysteine ligase isoform 1 MALSKAGSSYCIPSDITWGRTGQNVAFGVVNNLEAPIMKEKSVSFSSLLCNKAKIARIFPLETVGFGRKRRNSMIVAASPPTEDAVIATEPLTKEDLVAYLASGCKPKEKWRIGTEHEKFGFEFKTLRPMKYEQIAELLNGISERFDWDKVMEGDNIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPRWGIKDIPVMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFSEGKPNGYLSMRSQIWTDTDKNRTGMLPFVFDDSFGFEQYVEYALDVPMYFVYRKKKYIDCTGMTFRDFLAGKLPCIPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEVSLQNILDMIADWTPEEREMLRNKVPKTGLKTPFRDGFLQHVAEDVLTLAKDGLERRGFKESGFLNAVADVVRTGVTPAEKLLELYHGKWGQSVDPVFEELLY >EOY21157 pep chromosome:Theobroma_cacao_20110822:3:3581327:3595892:1 gene:TCM_012528 transcript:EOY21157 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 1 MPCYAAIFQGYLRTTAMSLRPNSLQLNNTPKTLLKPCFFSFLSRKPPASFRRLHLRHGLVTCSGYRAATASSRTPGLDWRNIALPSLQQQQSSNYGRYAYQDVSSDDSDHEFGSTQSQMAASTLDNIDEWRWKLTMLLRNKDEQEVVSRERKDRRDFEQLSALATRMGLHSCQYAKVVVFSKLPLPNYRSDLDDKRPQREVILPFGLQRDVDLHLKAYLTRKAINSANFSDKPLSRSSCGGRIAADEVPIEQEEPFTKISVVMERILLRRSLQLRNRQQEWQESPEGLKMFEFRRSLPAYKERDALLSVISQNQVVVVSGETGCGKTTQLPQYILESEIEAARGASCSIICTQPRRISAMAVSERVAAERGEKLGESVGYKVRLEGMKGRDTRLLFCTTGILLRRLLVDRDLRGVSHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLNAELFSSYFGGAPTIHIPGFTYPVREHFLENILEVTGYRLTPYNQIDDYGQEKMWKMQKQAQSLRKRKSQLTSAVEDALERADFRGYSLRTRESLSCWNPDSIGFNLIEHVLCHIIKKERPGAVLVFMTGWDDINSLKDQLQVHPLLGDPGKVLLLACHGSMPSSEQRLIFEKPKDGVRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPSWISKAAARQRRGRAGRVQPGECYHLYPKCVYDTFADYQLPELLRTPLQSLCLQIKSLELGSITEFLSRALQPPELLSVQNAVEYLKIIGALDENENLTVLGRNLSMLPVEPKLGKMLILGAIFNCLDPIMTVVAGLSVRDPFLMPFDKKDLAESAKAQFSGQEYSDHIALVRAYEGWKEAEREQSGYEYCWKNFLSAQTLKAIDSLRKQFFYLLKDTGLVDQNIENCNKWSYDEHLIRAVICAGLFPGICSVVVKQREVNFTENNGGWTGSSVLEFCKCWGTQNSIPMASFQ >EOY21156 pep chromosome:Theobroma_cacao_20110822:3:3581327:3597061:1 gene:TCM_012528 transcript:EOY21156 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein isoform 1 MPCYAAIFQGYLRTTAMSLRPNSLQLNNTPKTLLKPCFFSFLSRKPPASFRRLHLRHGLVTCSGYRAATASSRTPGLDWRNIALPSLQQQQSSNYGRYAYQDVSSDDSDHEFGSTQSQMAASTLDNIDEWRWKLTMLLRNKDEQEVVSRERKDRRDFEQLSALATRMGLHSCQYAKVVVFSKLPLPNYRSDLDDKRPQREVILPFGLQRDVDLHLKAYLTRKAINSANFSDKPLSRSSCGGRIAADEVPIEQEEPFTKISVVMERILLRRSLQLRNRQQEWQESPEGLKMFEFRRSLPAYKERDALLSVISQNQVVVVSGETGCGKTTQLPQYILESEIEAARGASCSIICTQPRRISAMAVSERVAAERGEKLGESVGYKVRLEGMKGRDTRLLFCTTGILLRRLLVDRDLRGVSHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLNAELFSSYFGGAPTIHIPGFTYPVREHFLENILEVTGYRLTPYNQIDDYGQEKMWKMQKQAQSLRKRKSQLTSAVEDALERADFRGYSLRTRESLSCWNPDSIGFNLIEHVLCHIIKKERPGAVLVFMTGWDDINSLKDQLQVHPLLGDPGKVLLLACHGSMPSSEQRLIFEKPKDGVRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPSWISKAAARQRRGRAGRVQPGECYHLYPKCVYDTFADYQLPELLRTPLQSLCLQIKSLELGSITEFLSRALQPPELLSVQNAVEYLKIIGALDENENLTVLGRNLSMLPVEPKLGKMLILGAIFNCLDPIMTVVAGLSVRDPFLMPFDKKDLAESAKAQFSGQEYSDHIALVRAYEGWKEAEREQSGYEYCWKNFLSAQTLKAIDSLRKQFFYLLKDTGLVDQNIENCNKWSYDEHLIRAVICAGLFPGICSVVNKEKSISLKTMEDGQVLLYSNSVNAGVPKIPYPWLVFNEKVKVNSVFLRDSTGVSDSVLLLFGGNISRGGLDGHLKMLGGYLEFFMKPALADTYLSLKRELEELIQKKLLNPTLDMPSSSELLSAVRLLVSEDQCEGRFVFGRQLPVSSKKTVKEKIPGIGGGDNSKSQLQTVLARAGHGAPIYKTKQLKNNQFRSTVIFNGLDFMGQPCSNKKLAEKDAAAEALLWLRGEDHFSSRDVEHASVLLKKSKKRTSVHATKWS >EOY21211 pep chromosome:Theobroma_cacao_20110822:3:4126807:4127821:-1 gene:TCM_012594 transcript:EOY21211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Steroid binding protein, putative MGIYSTVMDAVTEVTGLSPTAFFTILAMMVVVYKIVCGMFLGPEDFCQKPPKEPVQLGDVTEEELRAYDGSDPRKPLLIAIKGQIYDVSSSKMFYGRGGPYSMFTGRDASRALAMLSFKPEDLTGNIEGLSAEELAVLEDWEYKFMDKYPIVGRVVPVPNTTANGDKVHLQDKDVNGLQQQQQQI >EOY24269 pep chromosome:Theobroma_cacao_20110822:3:30348165:30354886:1 gene:TCM_015921 transcript:EOY24269 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOS1 MEKTEINGPIFPSSSTSNVRAVRSPAPPLQPNFSSRAVQEALERLASIDLTELFNEAKVEYCRATRDLRSCGRYVQYVLYSCGHASLCAECSQRCDLCPICRIPLMKSGNTRIRLRLYDECIDAGLILRRGDERFQDKEDRDNQLTADVQRLYSFLDVALENNLVSLVCHYVTDICMDETAVSSDAVTALLLDEKVVKDWVKRTFKNIAIELQGIYYLEVEEMKSRLGSLLKFSVHLAGLSCVLEVLESSFKGRLLAQLHDLHHLQESILKTKQHLDIAIWCIRHQFLEHVRSRHTNFTSWRNLVRERKSAAIKRAWPDVVDHSADPTGQAGSLFIEDALANLEIEQAYDQEIGEESDFPFLQKNGALPFFRSKIEGMTGCYPFENLRAAVDILFLRGSSDLVVAKQAILLYYLFDRHWSMPEEEWRHIVDDFAASFGISRHSLLESFTFCLLDDHSDEALLECHQLLPEIYGPATHPKIARVLLERQNPEAAQMVLRWSGRDGGSQLVLLSEAVTIVRVKVECGLLTEAFTYQRMLSTKVREKKFNYGPSGEAFDDLKGQCRSWMDWIEVLVTEFCCLCIRTNLVDRMIELPWNSDEEKYIHKCLLDCAADDPSTTIGSLLVVFYLQRYRYVEAYQVNLKLWSLEQDFIANDSVNEEVLSRMESQRQKRKELVDKGIELLPEVLQQQVKTGTLSDIVVASGQEDEMPARSSLPELQEPKSACLLVPSTSDSIFLRTDHMATPLRPPVFEIPKIFGGYVNNSHIQAGNQGSSSILRGRLFADAERVSNVEVAKNIKFDDISSPGLCRASLTYATPLKGISQSPSRELPNRHLQEKQSDKIISEGEQNGFVNQIRNTSPPYSRRVTANPVSTPSNSYGLFKGSANNLRSNISSKRGQSDRDDGHWKVPPTEDLMDVSWSHGERSSEDRNANVGLRWRSDETSDEEEQSPERTVEVGATPMRGYRTRRFARR >EOY23299 pep chromosome:Theobroma_cacao_20110822:3:26958542:26962847:1 gene:TCM_015240 transcript:EOY23299 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPPDE thiol peptidase family protein, putative isoform 1 MTEVVLHIYDVTNSGSDKTNSTIVQINKIFKDGIGLGGIFHSAVQVYGDEEWSFGFCEQGSGVFSCPSSKNPMYTYREFMVLGRTNFSIFKVNQILRELSREWPGSSYDLLSKNCNHFCDEFCKRLGVQKLPGWVNRFANAGDAAIEIAENTAVRLRQAKTEIVSASKVAYRFLVGVTSGSSGSSDSPGNSNRGTPRFQAAWFKNLITTGAKPSSSSEIETQDGNILQQHQQQNSARTVRQNSQDSERPLRQSFQDSERPLQQNSQDSEQPLQQNSRHEI >EOY23298 pep chromosome:Theobroma_cacao_20110822:3:26958542:26962847:1 gene:TCM_015240 transcript:EOY23298 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPPDE thiol peptidase family protein, putative isoform 1 MTEVVLHIYDVTNSGSDKTNSTIVQINKIFKDGIGLGGIFHSAVQVYGDEEWSFGFCEQGSGVFSCPSSKNPMYTYREFMVLGRTNFSIFKVNQILRELSREWPGSSYDLLSKNCNHFCDEFCKRLGVQKLPGWVNRFANAGDAAIEIAENTAVRLRQAKTEIVSASKVAYRFLVGVTSGSSGSSDSPGNSNRGTPRFQAAWFKNLITTGAKPSSSSEIETQDGNILQQHQQQNSARTVRQNSQDSERPLRQSFQDSERPLQQNSQDSEQPLQQNSRHEI >EOY23300 pep chromosome:Theobroma_cacao_20110822:3:26958387:26962771:1 gene:TCM_015240 transcript:EOY23300 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPPDE thiol peptidase family protein, putative isoform 1 MTEVVLHIYDVTNSGSDKTNSTIVQINKIFKDGIGLGGIFHSAVQVYGDEEWSFGFCEQGSGVFSCPSSKNPMYTYREFMVLGRTNFSIFKVNQILRELSREWPGSSYDLLSKNCNHFCDEFCKRLGVQKLPGWVNRFANAGDAAIEIAENTAVRLRQAKTEIVSASKVAYRFLVGVTSGSSGSSDSPGNSNRGTPRFQAAWFKNLITTGAKPSSSSEIETQDGNILQQHQQQNSARTVRQNSQDSERPLRQSFQDSERPLQQNSQDSEQPLQQNSRHEI >EOY20809 pep chromosome:Theobroma_cacao_20110822:3:1353174:1356047:-1 gene:TCM_046734 transcript:EOY20809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 2 MAIHTCEALRACSPPLFTSIKLAPNCIRNCKFSVRNCLKNPNNSRKLVLKVKEKLEKEHYSLPVGKNGRDDEDMILWYLKDRRFSVEEAVAKLTKAIRWRQEFGVSDLSEDAVKSMAETGKAYVHDFLDVNDRPVLIVVASKHFPAVHDQHEDEKLCVFLIEKALSKLPAGKEQILGIFDLRGFGTKNADLSFLTFLFNVFYYYYPKRLGEVLFVEAPFVFKPIWQLVKPLLKSYASMVKFCSMETVRKEYFTEATIPVNFRD >EOY20811 pep chromosome:Theobroma_cacao_20110822:3:1352912:1356177:-1 gene:TCM_046734 transcript:EOY20811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 2 MAIHTCEALRACSPPLFTSIKLAPNCIRNCKFSVRNCLKNPNNSRKLVLKVKEKLEKEHYSLPVGKNGRDDEDMILWYLKDRRFSVEEAVAKLTKAIRWRQEFGVSDLSEDAVKSMAETGKAYVHDFLDVNDRPVLIVVASKHFPAVHDQHEDEKLCVFLIEKALSKLPAGKEQILGIFDLRGFGTKNADLSFLTFLFNVFYYYYPKRLGEVLFVEAPFVFKPIWQLVKPLLKSYASMVKFCSMETVRKEYFTEATIPVNFRD >EOY20810 pep chromosome:Theobroma_cacao_20110822:3:1352393:1356353:-1 gene:TCM_046734 transcript:EOY20810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein isoform 2 MAIHTCEALRACSPPLFTSIKLAPNCIRNCKFSVRNCLKNPNNSRKLVLKVKEKLEKEHYSLPVGKNGRDDEDMILWYLKDRRFSVEEAVAKLTKAIRWRQEFGVSDLSEDAVKSMAETGKAYVHDFLDVNDRPVLIVVASKHFPAVHDQHEDEKLCVFLIEKALSKLPAGKEQILGIFDLRGFGTKNADLSFLTFLVKFCSMETVRKEYFTEATIPVNFRD >EOY21704 pep chromosome:Theobroma_cacao_20110822:3:17454901:17456248:1 gene:TCM_013806 transcript:EOY21704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYVTCLLSRFMQSPIMLHFTATKRILKYVKGTADFGLMHVKHDKRELVIVAMIGQEAEYIAIVSVVNQLKWLRQVLANLGFKQENGTVIFVDNQSAIAIAKNPVYHSTEVQPVDILTKGLSKERFETLRTRLGVC >EOY23790 pep chromosome:Theobroma_cacao_20110822:3:28591752:28593832:-1 gene:TCM_015573 transcript:EOY23790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 1 MKNCGFIVAMVVVQFAYGGSNILIKIALERGLNQFVLIVYRHIIAMLLLAPPAYVLERKERPSLSVSVFGKIFLLSSLGTTIHLNVYYAGLAYTSPTVATALGNVIPSLTFVMAVLLRMEKVKIKSARGGAKVLGTVICIGGSLVFTFWRGGFQSKGFVDRPLINIHNTNASHGEFRHGNESWIKGSALFLVSYIAWSGWLILQATASKVYPAQLSLNVLICFIASLQSSVLALFFARNPLLWKLQWNVQLLTIIYSGVVLSALVYYLQTWCISNKGPVFAAMFTPLLLVFVALFSAIVFAERLHLGSLVGAFLIILGLYLVLWAKRRDSFVSGNTKDGDDFPDDKKLVISTINCTLASDKSVKVEAIN >EOY23789 pep chromosome:Theobroma_cacao_20110822:3:28592209:28593804:-1 gene:TCM_015573 transcript:EOY23789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 1 MKNCGFIVAMVVVQFAYGGSNILIKIALERGLNQFVLIVYRHIIAMLLLAPPAYVLERKERPSLSVSVFGKIFLLSSLGTTIHLNVYYAGLAYTSPTVATALGNVIPSLTFVMAVLLRMEKVKIKSARGGAKVLGTVICIGGSLVFTFWRGGFQSKGFVDRPLINIHNTNASHGEFRHGNESWIKGSALFLVSYIAWSGWLILQATASKVYPAQLSLNVLICFIASLQSSVLALFFARNPLLWKLQWNVQLLTIIYSGVVLSALVYYLQTWCISNKGPVFAAMFTPLLLVFVALFSAIVFAERLHLGRQFTASSYFLTSVKKISPTK >EOY23788 pep chromosome:Theobroma_cacao_20110822:3:28591655:28594209:-1 gene:TCM_015573 transcript:EOY23788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 1 MKNCGFIVAMVVVQFAYGGSNILIKIALERGLNQFVLIVYRHIIAMLLLAPPAYVLERKERPSLSVSVFGKIFLLSSLGTTIHLNVYYAGLAYTSPTVATALGNVIPSLTFVMAVLLRMEKVKIKSARGGAKVLGTVICIGGSLVFTFWRGGFQSKGFVDRPLINIHNTNASHGEFRHGNESWIKGSALFLVSYIAWSGWLILQATASKVYPAQLSLNVLICFIASLQSSVLALFFARNPLLWKLQWNVQLLTIIYSGVVLSALVYYLQTWCISNKGPVFAAMFTPLLLVFVALFSAIVFAERLHLGRQFTASSLVGAFLIILGLYLVLWAKRRDSFVSGNTKDGDDFPDDKKLVISTINCTLASDKSVKVEAIN >EOY20934 pep chromosome:Theobroma_cacao_20110822:3:1864500:1865127:-1 gene:TCM_012259 transcript:EOY20934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGIPPAPTNWNSPFPSSLPSPPENLGFGLTLLYQLSKLHWKNEEHRHSFANIQIQSQYPPPPSPQKKNNYTHSFCFKLKHYNSENPSLQHTPHAENPTQKKKIPAATEHQNID >EOY25075 pep chromosome:Theobroma_cacao_20110822:3:33129950:33133821:1 gene:TCM_016498 transcript:EOY25075 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-acyl carrier protein synthase I MAGIATAACPSGLLFRNREVGSNNGASLAQYNGLRAVESVQLALTCTKPNRFISSSAPKGRTIRAVASPTVSAPKREKDPKKRIVITGMGLVSVFGSDIDNFYNKLLEGESGISEIDRFDASTYSVRFGGQIRDFSSKGYIDGKNDRRLDDCWRYCLVAGKRALEDANLGSQVLEKMDRTRVGVLVGTGMGGLTVFSNGVEALIQKGYKKITPFFIPYSITNMGSALLAIDTGLMGPNYSISTACATANYCFYAAANHIRRGEADIMVAGGTEAAIIPTGIGGFIACRALSQRNNEPKKASRPWDKDRDGFVMGEGSGVLIMESLEHAMKRGANIIAEYLGGAVTCDAHHMTDPRSDGLGVSSCITKSLDDAGVSPEEVNYVNAHATSTLAGDLAEVNAIKKVFKDTSEIKMNGTKSMIGHGLGAAGGLEAIATIKAITTGWLHPTINQDNLEPDVTIDTVPNVKKQHEVNVGISNSFGFGGHNSVVVFAPFKP >EOY23400 pep chromosome:Theobroma_cacao_20110822:3:27272019:27274307:1 gene:TCM_015304 transcript:EOY23400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic pyruvate kinase beta subunit 1 MMSLMVKSKTDDSVQCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAQVVHELKNYLQSCDADIQVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRTCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMQTVALRTEATISVGEMPPYLGQAFKVT >EOY24047 pep chromosome:Theobroma_cacao_20110822:3:29436896:29439918:1 gene:TCM_015751 transcript:EOY24047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide (PPR) repeat-containing protein MAAALSTALDVHFPIAQSDTKRPVFFTKTKTQNPRRKFTISCNSSKSSSKPPKNPPVSPKKTPSLSEQLQPLSTTTLPKKDQACLLSKPKSTWVNPTKPKRSVLSLQRQTRSPYAYNPKVRELKLFAKKLNDCENSEDAFLSVLEEIPQQPTRENVLLILNSLKPWQKAHLFFNWIKTKNLFPMETIFYNVTMKSLRFGRQFELIEELANEMVSNEIPLDNITYSTIITCAKRCYLFDKAVEWFERMYKTGLMPDEVTYSAILDVYAKLGKVEEVLNLYERGVASGWKPDPIAFSVLAKMFGEAGDYDGIRYVLQEMKSFGVQPNLVVYNTLLEAMGKAGKPGLARNLFEELLESGLTPNEKTLTALAKIYGKARWAKDALELWEEMKSKKWPMDFILYNTLLNMCADVGLVEEAEKLFADMKQSEHCGPDSWSYTAMLNIYGSGGNVGKAMELFEEMSKVGVELNVMGSTCLIQCLGKARRMDELVRVFSVSVEQGIKPDDRLCGCLLSVVSLCEKREDMDKVLACLQQANPRLVAFVKLIEEEKSSLDTVKEEFKGIISDTTDDARRPFCNCLIDICRSKNLHERAHDLLYLGTVYGLYPGLHNKTVNEWSLDVRSLSVGAAQTALEEWMGTLAKIVKREEALPELFSAQTGTGTHRFSQGLSNAFASHLKKLAVPFRQSEEKAGCFVATREDLVLWLQSRIPSPAVTA >EOY24406 pep chromosome:Theobroma_cacao_20110822:3:30852191:30855730:1 gene:TCM_016015 transcript:EOY24406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-binding monooxygenase family protein MLKIQLSGCKFDSLRTQQQPVKSRHVAVVGAGAAGLVAARELHREGHSVVVFERGNEVGGTWVYTSRVEPDPLGIDPTRPIVHSSLYSSLRTNLPREVMGFMDFPFVTLAGENRDPRMFPGHREVLMYLKDFAREFGVEEMVRFETEVVEVGILEDEKWKVRSKKTSFFNNENNDHIDIINSKSEVEFVDEIYDAVVVCNGHYTQPRIAEIPGINLWPGKQMHSHNYRVPEPFRDQVVIVIGSSSSAVDICRDIAGVAKEVHVASRSVADETYTKQPGYDHLWLHSMIDSAHEDGTVVFQNGKVVLADVIIHCTGFKYHFPFLDTNGIVTVDDNRLGPLYKHVFPAALAPWLSFIGIPWKIFPFPFFELQSKWVAGVLSGRITLPSQKEMMEDIKAFYSALEAAGIPKRYTHNMSESGFEYCDWLAAQCGCQVIENWRKQMFHAAFANWLVRPDTHRDEWEDHDLVLEAHEYFVKYASKS >EOY22427 pep chromosome:Theobroma_cacao_20110822:3:23419721:23421975:1 gene:TCM_014598 transcript:EOY22427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMSYLIEELSMFDRFNEWECIMTAKIVLDVDLMVVIDFNLIGFPYDWSTLVKIKREVCIFFDAKRIVRPLLIVENLTKIKSIKGKNYTFSALLDKGIIELVGTEEEEDCRIAWVSGIL >EOY21545 pep chromosome:Theobroma_cacao_20110822:3:11527615:11531789:-1 gene:TCM_013309 transcript:EOY21545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGVIRFARRGKLNLRYIGPFCIIERIRLVAYRLELPPELDRIHNVFQVSMLKKYVPDPSHILETPLIELQEDLKFEVQPVRILDGKDRVLRNKNIPMVKVLWKNARIEEMTWEVEHQMRNQYPHLFSESSK >EOY24467 pep chromosome:Theobroma_cacao_20110822:3:31080492:31094615:1 gene:TCM_016062 transcript:EOY24467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MSHATYTLYSWLWDGNNQKVGLLGAVFSFLIATLVISLWQLWTLRKSRKPIAPLPPGPRGLPLVGYLPFLGTDLHMVFTELAGIYGPIFKLWLGNKLFVVISSPLLAKEVVRVQDKTFSERDPPIAAQVITYGCNDIAFDSYSSPSWKMKRRVLASEMLSNASLNACYDLRREQVMNTIGDVYKNVGKPIDIGELSFLTANNAVMGMLWGGKLRGEKRAIIEGRFKGISTELMVLMGKPNLSDFFPVLARFDIQGIERRMKKISHLLDQVLESVIELRMNMATKKDKNDGNSEQKDFLQFLLELKENEDSASSITMNQLKGMLLNILGGGTDTTSTMMEWTMAQLIQHPEVMAKVKKELADVVGLSSTVEEDHLPNLLYLHAVIKETFRLHPPLPLLVPRCPGVSSTVGGYSIPKGSRVFLNIWSIHRDPNIWDNPSEFQPERFLNDPNKFDYNGNDFRYMPFGSGRRRCPGLPLGEKMLYFMLASLLHSFEWKLPQGTEHDLSSIFGIVMKKKKPLLLIPTPSLPNLELYINLNLHRKLRCFALPFNLTFHFRYTLQTKCVVSLRMSHTTYTLYSWLWDGSNQKDRQLGAVFSFLIATLVISLWQLWTVRKSRKPLAPLPPGPRGLPLVGYLPFLGTDLHIVFTELAGIYGPIFKLWLGNKLCVVISSPLLAKEVVRVQDKTFSERDPPIAAQVITYGCNDIVFDSYSSPSWKRKRTVLASEMLTNASIKACYGLRREQVMKTIGDVYKNVGKPIDIGELSFLTAINAIMGMLWGGKLRGEKTATIEGRFKEISTELMVLLGKPNLSDFLPVLARFDIQGIERGMKKISHLFDQVLESVIELRMNYMATEKEKDDAKSEPKDFLQFLLELKDNEDRASSITMNQLKGMLMDIVVGGTDTTSTMTEWTMTELIQHPEIMAKVKKELADAVGLNSTVEEDHLPNLGYLHAVIKETFRLHPPLPLLVARCPSVSSNVGGYTIPKGSTVFLNIWSIHRDPHIWDNPSEFQPERFLNNPDKFDYYGNDFRYMPFGSGRRRCPGLPLGEKMLYLMLASLLHSFEWKLPKGTEHDLSSKFGIVMKKKNPLLLIPTPSLPNLEPYIK >EOY22161 pep chromosome:Theobroma_cacao_20110822:3:21984349:21985917:-1 gene:TCM_014376 transcript:EOY22161 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H MYGMEAVLPIEVEIPSLRVFKEVQLEEAEWVNARYEQLNLIEEKRLTALCHGQLYQKRMMRAYDKKAHSRQFREGELVLKRILPNQHDPRRKWTPNWEGPFVVKKAFSGGALILAEMDGREFSNPVNADAVKKYFA >EOY22958 pep chromosome:Theobroma_cacao_20110822:3:25549690:25552733:1 gene:TCM_014984 transcript:EOY22958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase isoform 2 MVTIKSVKARQIFDSRGNPTVEADVTLSDGTVARAAVPSGASTGTYEALELRDGGSDYLGKGVLKAVENVNTIIGPALVGKDPKEQGKIDNFMVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQVIFTLFVSSNLQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYDNKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVTDYPIVSIEDPFDQDDWEHYAKLTCEIGEQVQIVGDDLLVTNPKRVEKAIKEKACNALLLKVNQIGSVTESIEAVKMSKLAGWGVMASHR >EOY22957 pep chromosome:Theobroma_cacao_20110822:3:25549571:25553553:1 gene:TCM_014984 transcript:EOY22957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase isoform 2 MVTIKSVKARQIFDSRGNPTVEADVTLSDGTVARAAVPSGASTGTYEALELRDGGSDYLGKGVLKAVENVNTIIGPALVGKDPKEQGKIDNFMVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYDNKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVTDYPIVSIEDPFDQDDWEHYAKLTCEIGEQVQIVGDDLLVTNPKRVEKAIKEKACNALLLKVNQIGSVTESIEAVKMSKLAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGAKFRAPVEPY >EOY22959 pep chromosome:Theobroma_cacao_20110822:3:25549690:25552733:1 gene:TCM_014984 transcript:EOY22959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase isoform 2 MVTIKSVKARQIFDSRGNPTVEADVTLSDGTVARAAVPSGASTGTYEALELRDGGSDYLGKGVLKAVENVNTIIGPALVGKDPKEQGKIDNFMVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQVIFTLFVSSNLQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYDNKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVTDYPIVSIEDPFDQDDWEHYAKLTCEIGEQVQIVGDDLLVTNPKRVEKAIKEKACNALLLKVAFDHFFSSSSNLVHDVELAVYLFVAIGLLRLINSSTVFTVCYLSGESNRFCN >EOY21047 pep chromosome:Theobroma_cacao_20110822:3:2520681:2522009:1 gene:TCM_012364 transcript:EOY21047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIPFRGLCLHQSLNTYMTLESNRIRRSRKGHDKVATAFFMLLGDLRSMNLASSGSKQEGHLRK >EOY22727 pep chromosome:Theobroma_cacao_20110822:3:24588643:24593430:1 gene:TCM_014811 transcript:EOY22727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein isoform 2 MPALPKNPNSSSRLLADSAEAERRLLEAEERLREAIEELQRRQRTAASGQHPPCDHADDSCVANAIGNLCQSFLLSYGVRVGIGILLRAFKLARRQSYSSLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCLLRKLRKKETPVNAILAGSIAGLSVLALDDSNRRRTLALYLLARVAQCAYNSAKSKNKFHLWGSHWRHGDSLLFALACAQVMYAFVMRPESLPKSYQDFIQKTGPVAAPVYKAVRENCRGSPVDVASISAYLYRRGKSNNVKLEEFPSIIPCSVIHPDKNSCLAHNANAASATFRKTFPLYFSLTFVPFVVLHLQKFMDTPARTFWLAVKGAVRSTTFLSAFVGIFQGVICMHRKIASKDHKLVYWVAGAIAALSVLLEKKTRRSELALYVLPRAGESLWYILVNRHLLPDIKNAEVALFCACMGGIMYYLEYEPDTMAPFLRGLIRRFLASRISNPGSSSNRTASYTYLQTLDAMNKPQLQDNREVETSTPKQYNLESIPGL >EOY22728 pep chromosome:Theobroma_cacao_20110822:3:24588809:24593446:1 gene:TCM_014811 transcript:EOY22728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein isoform 2 MPALPKNPNSSSRLLADSAEAERRLLEAEERLREAIEELQRRQRTAASGQHPPCDHADDSCVANAIGNLCQSFLLSYGVRVGIGILLRAFKLARRQSYSSLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCLLRKLRKKETPVNAILAGSIAGLSVLALDDSNRRRTLALYLLARVAQCAYNSAKSKNKFHLWGSHWRHGDSLLFALACAQVMYAFVMRPESLPKSYQDFIQKTGPVAAPVYKAVRENCRGSPVDVASISAYLYRRGKSNNVKLEEFPSIIPCSVIHPDKNSCLAHNANAASATFRKTFPLYFSLTFVPFVVLHLQKFMDTPARTFWLAVKGAVRSTTFLSAFVGIFQGVICMHRKIASKDHKLVYWVAGAIAALSVLLEKKTRRSELALYVLPRAGESLWYILVNRHLLPDIKNAEVALFCACMGGIMYYLEYEPDTMAPFLRGLIRRFLASRISNPGSSSNRTASYTYLQTLDAMNKPQLQDNREEESLLLKRL >EOY22729 pep chromosome:Theobroma_cacao_20110822:3:24588809:24593446:1 gene:TCM_014811 transcript:EOY22729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein isoform 2 MPALPKNPNSSSRLLADSAEAERRLLEAEERLREAIEELQRRQRTAASGQHPPCDHADDSCVANAIGNLCQSFLLSYGVRVGIGILLRAFKLARRQSYSSLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCLLRKLRKKETPVNAILAGSIAGLSVLALDDSNRRRTLALYLLARVAQCAYNSAKSKNKFHLWGSHWRHGDSLLFALACAQVMYAFVMRPESLPKSYQDFIQKTGPVAAPVYKAVRENCRGSPVDVASISAYLYRRGKSNNVKLEEFPSIIPCSVIHPDKNSCLAHNANAASATFRKTFPLYFSLTFVPFVVLHLQKFMDTPARTFWLAVKGAVRSTTFLSAFVGIFQGVICMHRKIASKDHKLVYWVAGAIAALSVLLEKKTRRSELALYVLPRAGESLWWPYFVHAWEESCTT >EOY23966 pep chromosome:Theobroma_cacao_20110822:3:29142969:29147212:-1 gene:TCM_015692 transcript:EOY23966 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) MLVPKSFPDDLRCCQGRDSQKQRKFTWAKKYQPKALKDFICHREIAEKVETLVTVGDAKHVIIDGFPGIGKRTMALALLRENFGLDILETREQVQALDLESVLKRGLTSSIQITVQASAKHIEVDLSESDIRGYATEVALLIIKETHNALTKQPPLQHNLENAKAIVFHQAEKLSKNAQPQIRRFLENSKGQYKVIFCCSDICKLQILTPLCRVIHLPPPPNKEIVGVLNFIAKQEDIELPHTLAQTIAENSNHCLRQAIRSLEATWLADYPFNEGQSIMTGWEDELAIMAKSIIEEQSLNMLFLVRKKFIRLMEHHICREFVLGTLVAELKKHVLDSQTQLDLESLYQEISKPNEDFSAELSYKDEVVGNRIRKLSRFSFATIEVDPHGTKHYLFPLTEFVAKFMSYYKIKRVQSVSSLTGANATHQKQCSQKGPS >EOY23311 pep chromosome:Theobroma_cacao_20110822:3:26993319:26995936:-1 gene:TCM_015250 transcript:EOY23311 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative MSSEVSYLKEKLLKHTSFFGLRLWMLIVAFLILFILLVFFIISLCVIYCRRRRSYKQAPCCLPNPIAPRHNYHSPYSTSSLNRRLLPLNVSKTETNMTKPELEVMLSDQLSTRASMTTQESLPDLEHFAKCSPAVSENWTGNHFSLREAEIVTNGFAYENLIGHGDYGVVYRGVLLDHTRVAVKRLLSNSCQTEHFLTEAEAIGNVRHKNLVKLLGYCVEEGYRMLVYEYVNNSNLHQWLHGSLGQTRPLTWTIRLKIIHGIAKGLAYLHEDIEPQIVHQNVKASNILLDHQWNPKISDISLSRLLGPEHSHVTSHSMIKLGYAAQDHDSIRLWDKKNDIYSFGILIMEIVTGRTPVDHNQPQVYLIDWLKSMVASKKIAHVLDPKMPEIPSIKELKRVTLVALRCADPDLDHRPRMGQVVHMLEPRDLLLNDERRARREASLRNHRQDSQTSEQDGVASDMCAGKRSYNHYEKRISIQHA >EOY24969 pep chromosome:Theobroma_cacao_20110822:3:32802036:32807608:1 gene:TCM_016417 transcript:EOY24969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein MAKPTQPDVFPDSRLESDAGATFVLESKGKWWHAGYHLTTAIVGPTILTLPYAFRGLGWGLGLFCLTALGCVTFYSYYLMSRVLEHCEQAGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGVGIGAILLAGQCLKIMYENFSPNGSLRLYEFIAMVTVVMIVLSQLPSFHSLRHINLISLLLCLGYSFLVVGACVHAGFSKNAPPRDYSLESSSSARVFSAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYTVAFFTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTMLLGLAVLFVLLQLLAIGLVYSQVAYEIMEKQSADVKLGMFSKRNLIPRLILRSLYVIFCGFMAAMLPFFGDISGVVGAIGFIPLDFILPMLLYNMTHKPSRLSFTYWINLFIIIAFTGVGIMGAFSSIRKLVLDANKFKLFSSDVVD >EOY25330 pep chromosome:Theobroma_cacao_20110822:3:33952315:33957844:-1 gene:TCM_016678 transcript:EOY25330 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities, putative isoform 2 MPSPATILRRSSPNPDLIITTQKSETLIPRSSRSSTCSSRPTKFSYWTSWSNINKLGDYINPRRLGNDSSKHSDLTEESLDAHNKLHGILSHRATISNYIFNHVDEKNHKCSPYYKGLIDLTLSINREKNISGAESPGRVSHTTTVFTTTSSTLSSFFVKVQEFSSSCFTCRKTGNQDPSPAAAPPTSVKTTFPKGMKSEKKEATDPPDPQLVDEVKPLRERVSEPSAPPTLTILPTKTVPSNDEKKEADTHKGNQKFIWADKYRPKALKDFICNKSEATRLQALVKYDLCDHVIFEGPPGVGKRTMIWAMLREAFGPDGLQQTRDERKAFDLKGESIGRIEVNVKESSQHVEVNLSDLKGYEKDVIVELMKETQTKTSKSNKAMSSYSDNCRVIILCEADKLSTDALLYIKWLLERYEGDNKVFFCCSDVSRLQPIRSICKLIRLLPPSKEEIVEVLEFIAKQEDIYLPTKLAEKMAESSKNNLRQAIRSFEACWHSSYPFKEDQVILTGWEDDIANIAKNIVEERSPKQLYIIRGKLQILIEHDVSPDFIFKSLWLKK >EOY25329 pep chromosome:Theobroma_cacao_20110822:3:33952099:33957931:-1 gene:TCM_016678 transcript:EOY25329 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities, putative isoform 2 MPSPATILRRSSPNPDLIITTQKSETLIPRSSRSSTCSSRPTKFSYWTSWSNINKLGDYINPRRLGNDSSKHSDLTEESLDAHNKLHGILSHRATISNYIFNHVDEKNHKCSPYYKGLIDLTLSINREKNISGAESPGRVSHTTTVFTTTSSTLSSFFVKVQEFSSSCFTCRKTGNQDPSPAAAPPTSVKTTFPKGMKSEKKEATDPPDPQLVDEVKPLRERVSEPSAPPTLTILPTKTVPSNDEKKEADTHKGNQKFIWADKYRPKALKDFICNKSEATRLQALVKYDLCDHVIFEGPPGVGKRTMIWAMLREAFGPDGLQTRDERKAFDLKGESIGRIEVNVKESSQHVEVNLSDLKGYEKDVIVELMKETQTKTSKSNKAMSSYSDNCRVIILCEADKLSTDALLYIKWLLERYEGDNKVFFCCSDVSRLQPIRSICKLIRLLPPSKEEIVEVLEFIAKQEDIYLPTKLAEKMAESSKNNLRQAIRSFEACWHSSYPFKEDQVILTGWEDDIANIAKNIVEERSPKQLYIIRGKLQILIEHDVSPDFIFKSLVEEVKKHMHENLHTQVDGLYDEYNRDDESMIESEDEMSIKVIHPVRKNMRIFLRIEEFIARFMSWYNNQLRMANAGNTPLAGEGTSCDC >EOY24283 pep chromosome:Theobroma_cacao_20110822:3:30416285:30420376:1 gene:TCM_015931 transcript:EOY24283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLPHSYTFDSLSQTQDLTSAILASNTPTTISATCASIDSFLHSHSPDQSRHFFSITFPTLICKLFGFDDATSPSPPPPPRKLQHPQSNGWIELASQSNHPDFSANIFSLLSPNGTLMNSISAVDRHSLVKYVFPIERLPVWVRFMLSNEKACRVLSDLCRLFKGKVKEDSIKGSLCQIQLNVIEYYMFWFAYYPVCKGNSENLDSNSVKRSKKFRLENWTHSIRGFSGLNKREMEQKFEGNLYIQLLYAYLHAFVPIFDLGAHQPYRSSILNYSLKCDGSVIFRAEFLVNVFVHYWLVDNDFSPLPVNVCKSFGVSFPFRSVLGETPPTSGLGEAVKLFVKYLNLSSVISTDGFGKIEHSESPSWRVSGGFDSGKSRDVVSLCSVGSWNSWIQRPLYRFILRTFLFCPVGSSIKNASQVFSVWVTYMEPWTVSLDDFAELDAIVNGSSKDVRKQELQSEASGYLPLWQGYVLSNYLYYSSLVMHFIGFAHKFLHTDPEVIVDMVISLLTSSKELVDLIKNVDTVFHSKQAVSSKSTLNSTYRVVPSIQEQLQDWEDGLCESDADGSFLHENWNKDLRLFSDGEDGGQQLLQLFIMRAEAELQGISGDNLSHGLKLIDSLKAKVGYLFGGSMVKPIPISPELRQPQHLRDEIFKPRRVGNQTLANITYKGDWMKRPISDDEVAWLAKLLIWLSSWLNESLGLNCPENTDVGSKWSYVDVPGDAASASGPGEAMKTLVCLMGSWLLMMGAMTVRLMRKHGLRVNLRVLASKKVVMVLLLSVVFSVFKKAFGLFHR >EOY24282 pep chromosome:Theobroma_cacao_20110822:3:30412871:30423650:1 gene:TCM_015931 transcript:EOY24282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLPHSYTFDSLSQTQDLTSAILASNTPTTISATCASIDSFLHSHSPDQSRHFFSITFPTLICKLFGFDDATSPSPPPPPRKLQHPQSNGWIELASQSNHPDFSANIFSLLSPNGTLMNSISAVDRHSLVKYVFPIERLPVWVRFMLSNEKACRVLSDLCRLFKGKVKEDSIKGSLCQIQLNVIEYYMFWFAYYPVCKGNSENLDSNSVKRSKKFRLENWTHSIRGFSGLNKREMEQKFEGNLYIQLLYAYLHAFVPIFDLGAHQPYRSSILNYSLKCDGSVIFRAEFLVNVFVHYWLVDNDFSPLPVNVCKSFGVSFPFRSVLGETPPTSGLGEAVKLFVKYLNLSSVISTDGFGKIEHSESPSWRVSGGFDSGKSRDVVSLCSVGSWNSWIQRPLYRFILRTFLFCPVGSSIKNASQVFSVWVTYMEPWTVSLDDFAELDAIVNGSSKDVRKQELQSEASGYLPLWQGYVLSNYLYYSSLVMHFIGFAHKFLHTDPEVIVDMVLKVISLLTSSKELVDLIKNVDTVFHSKQAVSSKSTLNSTYRVVPSIQEQLQDWEDGLCESDADGSFLHENWNKDLRLFSDGEDGGQQLLQLFIMRAEAELQGISGDNLSHGLKLIDSLKAKVGYLFGGSMVKPIPISPELRQPQHLRDEIFKPRRVGNQTLANITYKGDWMKRPISDDEVAWLAKLLIWLSSWLNESLGLNCPENTDVGSKWSYVDVPGDAASASGPGEAMKTLVCLMGSWLLMMGAMTVRLMRKHGLRVNLRVLASKKVVMVLLLSVVFSVFKKAFGLFHR >EOY24860 pep chromosome:Theobroma_cacao_20110822:3:32467740:32475909:-1 gene:TCM_047023 transcript:EOY24860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MDKESQNRKPSKCTVVIVDTYTLTIIQTVFHGNLSNGPLKFMNVFSSFDDGEKHFSLMADSLGRLHLVPLSKDSHQGGEQESGSQRNSFPQEIETCEDGLVEAGQVVSIATCRNIVATVLKDRSIFRQLGNAIKIGVIFFMNNVLCIEGDLGPSHVVGAMFLESNNSENAQSTGEAYEYENFLVWSNKGSAVVYTISYLNNTFNSQPLCEIPAASYPLDARMSFSFVHLSQILLRIESVCCVVEDPFQWRPRVTIWSLHQKHDDHGNLCQECTMLGDSISSLGWISGASLDHKNETLGGCKTKLTSIQSSVSCSETVNSIRADDSCYYSVPKGRIVSSSMVISENLYAPSAIVYGFFSGEIEVVWFSLFRGLDSPTVSSCLEVDSHISRQSFSGHMGAILCLAAHRMVGAAKGWSFSQVLVSGSMDCTIRIWDLDSGNLITVMHQHVGPVRQIILPPARTERPWSDCFLSVGEDSCVALTSLETLRVERMFPGHPNYPAKVVWDGARGYIACLCRDHSRISDATDVLYIWDVKTGARERVLRGTASHSMFENFCKEISMTSISGSLLSGNTSVSSLLLPIHEDGNLSQYNLNNSESGASLSKMTGPSTSQANISKVNHGKAIPITPFVFQTRKQPFKCFCPYPGIATLSFDLAALINPYQKHESVAKDGNKQENGYTKEQGSETLSPHQMNSDDGFVNDQSSTDTVEQHDWVKSLEEYLVRFSLSFLHLWDVDCGLDELLITEMKLKRPNEFIVSTGLQGDKGSLTLTFPGFTASLELWKSSSEFCAIRSLTMVSLAQHMISLSHSSSGASSALAAFYTRNFADKFPDIKPPSLQLLVSFWQDESEHVRMAARSLFHCAASRAIPSPLCGQQATQHAKHVRSLTGIEEIEHEVSRNGGTPMVGLSSECLLETQGTSQVEEAKLLAWLESYEMQDWISCVGGTSQDAMTSHIIVAAALVIWYPSLVKPSLATLVVQPLVKLVMAMNEKYSSTAAELLAEGMESTWKACIGSEIPRLISDIFFQIECVSGPSANLAGENPAVSVSIRETLVGTLLPSLAMADILGFLTVIESQIWSTASDSPVHLVSLTTLIRVVRGSPRNLVQYLDKVVKFILQTMDPGNSVMRKTCLQCSMTALREVIRVFPMVAMNESSTKLAFGDPIGEINSATIRVYDMQSVTKIKVLDASGPPGLPSLLLGGPETSVTTVISALSFSSDGEGLVAFSEHGLMIRWWSLGSVWWERLSRNLVPVQCTKVIFVPPGEGFSPNTSRSSIMGSILGHDREANAQETVRSYTDKLKLLSHNLDLSYRLEWIGQRKVLLTRHGLEIASFPL >EOY24859 pep chromosome:Theobroma_cacao_20110822:3:32467512:32476008:-1 gene:TCM_047023 transcript:EOY24859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MKCRSVACIWSGTPPVHRVTATAALNHPPTLYTGGSDGSILWWNLSNSDSHSEIKPIAMLCGHAAPIADLGICCPIVVSGEQNTDYSKVVATAGVEESCLQSAEGGEISMDKESQNRKPSKCTVVIVDTYTLTIIQTVFHGNLSNGPLKFMNVFSSFDDGEKHFSLMADSLGRLHLVPLSKDSHQGGEQESGSQRNSFPQEIETCEDGLVEAGQVVSIATCRNIVATVLKDRSIFRQLGNAIKIGVIFFMNNVLCIEGDLGPSHVVGAMFLESNNSENAQSTGEAYEYENFLVWSNKGSAVVYTISYLNNTFNSQPLCEIPAASYPLDARMSFSFVHLSQILLRIESVCCVVEDPFQWRPRVTIWSLHQKHDDHGNLCQECTMLGDSISSLGWISGASLDHKNETLGGCKTKLTSIQSSVSCSETVNSIRADDSCYYSVPKGRIVSSSMVISENLYAPSAIVYGFFSGEIEVVWFSLFRGLDSPTVSSCLEVDSHISRQSFSGHMGAILCLAAHRMVGAAKGWSFSQVLVSGSMDCTIRIWDLDSGNLITVMHQHVGPVRQIILPPARTERPWSDCFLSVGEDSCVALTSLETLRVERMFPGHPNYPAKVVWDGARGYIACLCRDHSRISDATDVLYIWDVKTGARERVLRGTASHSMFENFCKEISMTSISGSLLSGNTSVSSLLLPIHEDGNLSQYNLNNSESGASLSKMTGPSTSQANISKVNHGKAIPITPFVFQTRKQPFKCFCPYPGIATLSFDLAALINPYQKHESVAKDGNKQENGYTKEQGSETLSPHQMNSDDGFVNDQSSTDTVEQHDWVKSLEEYLVRFSLSFLHLWDVDCGLDELLITEMKLKRPNEFIVSTGLQGDKGSLTLTFPGFTASLELWKSSSEFCAIRSLTMVSLAQHMISLSHSSSGASSALAAFYTRNFADKFPDIKPPSLQLLVSFWQDESEHVRMAARSLFHCAASRAIPSPLCGQQATQHAKHVRSLTGIEEIEHEVSRNGGTPMVGLSSECLLETQGTSQVEEAKLLAWLESYEMQDWISCVGGTSQDAMTSHIIVAAALVIWYPSLVKPSLATLVVQPLVKLVMAMNEKYSSTAAELLAEGMESTWKACIGSEIPRLISDIFFQIECVSGPSANLAGENPAVSVSIRETLVGTLLPSLAMADILGFLTVIESQIWSTASDSPVHLVSLTTLIRVVRGSPRNLVQYLDKVVKFILQTMDPGNSVMRKTCLQCSMTALREVIRVFPMVAMNESSTKLAFGDPIGEINSATIRVYDMQSVTKIKVLDASGPPGLPSLLLGGPETSVTTVISALSFSSDGEGLVAFSEHGLMIRWWSLGSVWWERLSRNLVPVQCTKVIFVPPGEGFSPNTSRSSIMGSILGHDREANAQETVRSYTDKLKLLSHNLDLSYRLEWIGQRKVLLTRHGLEIASFPL >EOY22453 pep chromosome:Theobroma_cacao_20110822:3:23495806:23497564:1 gene:TCM_014614 transcript:EOY22453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQSLKHQIQGFVLKVYWRTLAATSLGEGTHDQCRLCRGQHDQFQVSAFLCGTCDEFPEHRDNPGASGSPSQGSSFIESPPFIKPISISNQEAPPTEDRKKLVELFQYPFWSDLLVQHQNFLSIYREKERVQLFQDWLWIEQSMSLCLSKTPCIVNRKSLEPFVLGLPWEFTDLAP >EOY22643 pep chromosome:Theobroma_cacao_20110822:3:24318504:24327675:1 gene:TCM_014753 transcript:EOY22643 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2-like 4, putative isoform 1 MPFEIMDQRNASASSHFFEDLRFPAERQIGFWKPNTMSDNQDKLVGSSPSEKLSADRMELPPSNLVRDQEEKLGIGWKGVINLSEPSWNSVNHHPKSLSNLYTQPAVNFNGNSANLNVIQHESSLFSSSLSEIFSRKLRLLGNDLSCQHASEAASNHEEEPFKSMEEIEAQTIGNLLPDEDDLFSGVIDDLGLNANASKGDELEDFDLFSSGGGLELEGDDRLSMPRNSDLGGVFNGQGGSNGSIVGEHPYGEHPSRTLFVRNINSNVEDSELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMRALQNKPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSTDELQQIFGAFGEIKEVRETPHKHSHKFIEFYDVRAAEAALHALNRSDIAGKQIKLEPSRPGGVRRFMQQSEQEQDEPSLCESPFDELSSGHIGVIVSGCMDNGSSQVLHSVIQSPVSSFVEPNRSSSVPINLASPARVAPIGKQLSLREPNHSLDDMKFANQGVPSFHPHSFPEYHDSLANGTPFNSSSTITDMASSVGPMMTGGLDNRHIRAASSNGHLMEPNAGFFGSSGNGSLSLNGNHYMWNNSNSHQQHPSSAMVWPNSPSFVNGIHANRLPHMPAFPRAPPVMLNVGSPVHHIGSAPPVNSAFWDRRHPYAGESPETSGFHLGSLGSVGFPGSSPSHPVEIASHNIFSHVGGNCMDLTKNGGVHSPQQMCHLFPGRNPMISMPASLDSPNERVRNFSHRRNESNSSNADKKQYELDIDRIIRGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQEPFPMGTNIRSRPGRLRTGNEENHRLGCSSTSANGEEFSNGADFLLGSSKDSD >EOY22642 pep chromosome:Theobroma_cacao_20110822:3:24318257:24327732:1 gene:TCM_014753 transcript:EOY22642 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2-like 4, putative isoform 1 MPFEIMDQRNASASSHFFEDLRFPAERQIGFWKPNTMSDNQDKLVGSSPSEKLSADRMELPPSNLVRDQEEKLGIGWKGVINLSEPSWNSVNHHPKSLSNLYTQPAVNFNGNSANLNVIQHESSLFSSSLSEIFSRKLRLLGNDLSCQHASEAASNHEEEPFKSMEEIEAQTIGNLLPDEDDLFSGVIDDLGLNANASKGDELEDFDLFSSGGGLELEGDDRLSMPRNSDLGGVFNGQGGSNGSIVGEHPYGEHPSRTLFVRNINSNVEDSELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMRALQNKPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSTDELQQIFGAFGEIKEVRETPHKHSHKFIEFYDVRAAEAALHALNRSDIAGKQIKLEPSRPGGVRRFMQQSEQEQDEPSLCESPFDELSSGHIGVIVSGCMDNGSSQVLHSVIQSPVSSFVEPNRSSSVPINLASPARVAPIGKQLSLREPNHSLDDMKFANQGVPSFHPHSFPEYHDSLANGTPFNSSSTITDMASSVGPMMTGGLDNRHIRAASSNGHLMEPNAGFFGSSGNGSLSLNGNHYMWNNSNSHQQHPSSAMVWPNSPSFVNGIHANRLPHMPAFPRAPPVMLNVGSPVHHIGSAPPVNSAFWDRRHPYAGESPETSGFHLGSLGSVGFPGSSPSHPVEIASHNIFSHVGGNCMDLTKNGGVHSPQQMCHLFPGRNPMISMPASLDSPNERVRNFSHRRNESNSSNADKKQYELDIDRIIRGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQEPFPMGTNIRSRPGRLRTGNEENHRLGCSSTSANGEEFSNGADFLLGSSKDSD >EOY21035 pep chromosome:Theobroma_cacao_20110822:3:2442773:2444750:-1 gene:TCM_012353 transcript:EOY21035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMNNPDLFDNATDTEKEVANILLELSNLSIEPAFPRWGIRARRSAIKESLSHRSVASQLGFHTENSKHGTDDQHVPYVEENSSEIKVKTPSLELPDREPNTSFNPQHKANEGVEEGLGKLAVPKGHFVRGSGKIKAEPSTVQEANNIFVPSKKRAKRKSKEEMEKEVAFWEQQKRDLLESIKKVKDYLEKRKALNLQLKALKLQMEEKVSTRKP >EOY21882 pep chromosome:Theobroma_cacao_20110822:3:19384009:19387324:1 gene:TCM_014038 transcript:EOY21882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSYQTVQDREGIIIYSCHQSFGQVKILDKLWHMASQTQHSQGISLATDTILSKPKKKKENMSVRTPGPFQV >EOY22090 pep chromosome:Theobroma_cacao_20110822:3:21311405:21313375:-1 gene:TCM_014283 transcript:EOY22090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIPKTERHGLIGKCSYVQFNIPSLIWSLLLRSIYPNSTARLKRCIKDGRFGRLCISSNFGQNFG >EOY21434 pep chromosome:Theobroma_cacao_20110822:3:7985217:7988631:-1 gene:TCM_012973 transcript:EOY21434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthase clone R1, 1,ATGLN1,1 isoform 1 MSLLSDLVNLNLSETTEKVIAEYIWIGGSGMDLRSKARTLPAPVSDPQKLPTWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRYNAAKIFSHPKVVAEEPWYGIEQEYTLLQKEVKWPIGWPTGGYPGPQGPYYCGVGADKAFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAGDELWVARYLLERITEIAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMRNDGGYEIIKKAIENLKLRHKEHIAAYGEGNERRLTGRHETADINTFSWGVANRGASVRVGRDTEKCGKGYFEDRRPASNMDPYVVTSMIAETTILWKP >EOY21435 pep chromosome:Theobroma_cacao_20110822:3:7985228:7988292:-1 gene:TCM_012973 transcript:EOY21435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthase clone R1, 1,ATGLN1,1 isoform 1 MSLLSDLVNLNLSETTEKVIAEYIWIGGSGMDLRSKARTLPAPVSDPQKLPTWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRYNAAKIFSHPKVVAEEPWYGIEQEYTLLQKEVKWPIGWPTGGYPGPQGPYYCGVGADKAFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAGDELWVARYLLERGLLRLLEWFSPLTLNPSRVTGMGLAHTQTIAPSP >EOY22928 pep chromosome:Theobroma_cacao_20110822:3:25362185:25371754:1 gene:TCM_014958 transcript:EOY22928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zincin-like metalloproteases family protein MWNLIGRRDLNIHLQCLSKPFINHRHFRTRAVDRQNGSPTGLYGFDHLKSPKGFQRFVDEAIERSGELVDYISGMPSSAEIIRAMDEISDTVCSVVDSAEFCRQTHPAREYVEEATKASMRINEYLHFLNTNHTLYNAVKKAEQDGHLLTEEACRAAHYFRIDFEKGGIHLPSGKLDRVNQLNLHALQLCREYGENIIIDPGHVDIFPASRIPRPIQHLLKPIYRMKSGVSKEALGSLDDEQEKGFRIITEPHTLSSVLQWTADDQVRKLAYLKGNSVPHANHEVLDKLIASRHELAQIMGCKSYAEFVMKLNMTSSPEVVVSFLLEMSNIVKPSADTEFKTIRNLKGDICGQRCVDLEPWDEAYYTAMMRSSAYRLNSSVVASYFSLPQCIEGLKLLVESLFGANFDSVPMAPGESWHPDVLKMCLHHPGEGDLGYLYLDLYSRKGKYPGCATFAIKGGRKISDTEYQLPVMALVFNFSKSHHSSTVRLNHSELETLFHEFGHALHALLSRTDYQHFSGTRVALDFAETPSHLFEYYARDYRVLRKFARHYSTGEVIPEKLVKSLNRARDMFAATELQRQIFFALVDQTLFGEQLPVPSNTSSIVAHLKRQHCSGKHVDATHLQIRFSHFITYGAGYYSYLYAKCFAATIWKKLCEEDPLSLATGTALRAKLLQHGGAKEPTDLLTDLVGDGIIRCRNGGIVPDISSYLEEVKLLDRRKAGLFL >EOY23422 pep chromosome:Theobroma_cacao_20110822:3:27356416:27359860:1 gene:TCM_015323 transcript:EOY23422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKKKAKKGFLYPKEKEQNGVVLSKVHRHHTVHFELCFMDQGSGLGTPRAAQSTWATILAQARYLKSGPGNLERDVERRFSYALSREDIENAILGGP >EOY21640 pep chromosome:Theobroma_cacao_20110822:3:15106507:15107493:1 gene:TCM_013623 transcript:EOY21640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFFVQTKDYDVWSTIIDGPYKPTKKEKEWDRNDINMVQLNVKAMHILLCALRDREYNRVSKCDSAKGIWVKLEELYGEAKKEEEFEEKPYKGQCSTCGKAIRDEESSEIQSSI >EOY21849 pep chromosome:Theobroma_cacao_20110822:3:19126929:19131698:1 gene:TCM_013996 transcript:EOY21849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 1 MLEKGVFPSRFLFYIITISMFLLILSSVFLLQFGNTSLIPRSVFKLILLNGTSVYLPNLKSEQIKLPFLSSESSQVDAQIPLRNGQSSCQISSSGKKMCASWQQNLKSCDTSEALLRVYMYDLPSEFHFGLLGWKGKANQMWPDVNDPSIIPLYPGGLNLQHSMEYWLTLDLLSSNTPNVVRPCSAIRVKNSSQADIIFVPFFASLSYNRHSKLRGKEKVSVNKMLQNKLVQFLITQDEWKRFGGKDHLIVAHHPNSMLDARGELGSAMFVLADFGRYPTEIANLEKDIIAPYRHVVRSIPSTDSAPFDKRPILVYFQGAIYRKDGGAIRQELYYLLKDEKDVHFAFGTIQGNGVNQAGQGMTSSKFCLNIADVIDYTEFCIFVRASDAVKNGYVLKLLRGIKREQWTKKWEKLKEIVRHFQYQYPSLPCDAVDMIWEAVARKVSSVQFRIHRENRYRRSGR >EOY21850 pep chromosome:Theobroma_cacao_20110822:3:19127894:19131567:1 gene:TCM_013996 transcript:EOY21850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 1 FLLQFGNTSLIPRSVFKLILLNGTSVYLPNLKSEQIKLPFLSSESSQVDAQIPLRNGQSSCQISSSGKKMCASWQQNLKSCDTSEALLRVYMYDLPSEFHFGLLGWKGKANQMWPDVNDPSIIPLYPGGLNLQHSMEYWLTLDLLSSNTPNVVRPCSAIRVKNSSQADIIFVPFFASLSYNRHSKLRGKEKVSVNKMLQNKLVQFLITQDEWKRFGGKDHLIVAHHPNSMLDARGELGSAMFVLADFGRYPTEIANLEKDIIAPYRHVVRSIPSTDSAPFDKRPILVYFQGAIYRKDGGAIRQELYYLLKDEKDVHFAFGTIQGNGVNQAGQGMTSSKFCLNIADVIDYTEFCIFVRASDAVKNGYVLKLLRGIKREQWTKKWEKLKEIVRHFQYQYPSLPCDAVDMIWEAVARKVSSVQFRIHRENRYRRSGR >EOY22239 pep chromosome:Theobroma_cacao_20110822:3:22558460:22559712:1 gene:TCM_014460 transcript:EOY22239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin11, putative MVRISNAVFTFINIFPFFLGVVAVVASIHFRLHGGSVCQNALQNPLLIIGLVLFAVSLLAFIGSCCSNANSVLTVYSILMVLVILALTGFTLFAVVVTNKGVARRISGLGFSEYRLGDYSGWLRDNFVNHNNWDHTRSCLIDSHVCTTLHSGATFSDFIKQKLSSIQSGCCKPPMYCKFVYKNATFWIMQKSGPDVEDNDCRAWSNDQRKLCYNCKSCKGGVLANIRKEWRLLAILNICILILVLITFNIGCSIRKNRKADEKNYTAA >EOY25268 pep chromosome:Theobroma_cacao_20110822:3:33761067:33765383:1 gene:TCM_016636 transcript:EOY25268 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAMP family protein isoform 2 MNRHHDPNPFDEEEVNPFSNGGAVAPARPLASEPLGFGQKHDATVDIPLDTMNEPKKKEKELAAWEADLKRREKDIKRREDAISQAGVTVDNKNWPPIFPIIHHDIANEIPVHAQRLQYLAFASWLGIVLCLVYNVIAVIVCWIQGGGVKIFFLAIIYMLLGCPLSYVLWYRPLYRAMRTDSALNFGWFFMFYLLHLGFCIFAAIAPPVVFHGKSLTGVLAAFDVISNHLVAGIFYFVGFGLFCLESLLSLWVLQKIYLYFRGHK >EOY25271 pep chromosome:Theobroma_cacao_20110822:3:33761120:33764966:1 gene:TCM_016636 transcript:EOY25271 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAMP family protein isoform 2 MNRHHDPNPFDEEEVNPFSNGGAVAPARPLASEPLGFGQKHDATVDIPLDTMNEPKKKEKELAAWEADLKRREKDIKRREDAISQAGVTVDNKNWPPIFPIIHHDIANEIPVHAQRLQYLAFASWLGIVLCLVYNVIAVIVCWIQGGGVKIFFLAIIYMLLGCPLSYVLWYRPLYRCSAVYFVSPCRTDSALNFGWFFMFYLLHLGFCIFAAIAPPVVFHGKSLTGVLAAFDVISNHLVAGIFYFVGFGLFCLESLLSLWVLQVRDDSISLDS >EOY25270 pep chromosome:Theobroma_cacao_20110822:3:33761062:33765436:1 gene:TCM_016636 transcript:EOY25270 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAMP family protein isoform 2 MNRHHDPNPFDEEEVNPFSNGGAVAPARPLASEPLGFGQKHDATVDIPLDTMNEPKKKEKELAAWEADLKRREKDIKRREDAISQAGVTVDNKNWPPIFPIIHHDIANEIPVHAQRLQYLAFASWLGIVLCLVYNVIAVIVCWIQGGGVKIFFLAIIYMLLGCPLSYVLWYRPLYRAMRTDSALNFAPPWVLHICCNSSSCCLSWEVIDRCPGSL >EOY25269 pep chromosome:Theobroma_cacao_20110822:3:33761120:33765497:1 gene:TCM_016636 transcript:EOY25269 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAMP family protein isoform 2 MNRHHDPNPFDEEEVNPFSNGGAVAPARPLASEPLGFGQKHDATVDIPLDTMNEPKKKEKELAAWEADLKRREKDIKRREDAISQAGVTVDNKNWPPIFPIIHHDIANEIPVHAQRLQYLAFASWLGIVLCLVYNVIAVIVCWIQGGGVKIFFLAIIYMLLGCPLSYVLWYRPLYRCSAVYFVSPCRTDSALNFGWFFMFYLLHLGFCIFAAIAPPVVFHGKSLTGVLAAFDVISNHLVAGIFYFVGFGLFCLESLLSLWVLQKIYLYFRGHK >EOY21839 pep chromosome:Theobroma_cacao_20110822:3:18948729:18950253:1 gene:TCM_013981 transcript:EOY21839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIHVRGNIYEGDAELEDKVKKGSIIKRPRGKKGCNKNKLSNSKGGRVCGSSKRENEVIDVFVQYLDQYLTSNANLMEAEITWDVGSVVGLALKNCRDDFIQMVAIMEREERGGSDI >EOY22301 pep chromosome:Theobroma_cacao_20110822:3:22900089:22904073:1 gene:TCM_014517 transcript:EOY22301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MAFSPCKPHHHLLPLFTLLSLFFISSARLTLDRSDLKALSTIVKDLGIDGQRFPATSPCSAAGVFCERRLTDNNTYVLKVTRLVFKSQGLDGFLSPALGKLSELKELSVSHNNIFDQVPSEIVDCKKLEILDLQNNQFSGEIPSNLSSLIRLRVLDLSSNRFTGNLSFLKYFPNMESLSLANNLFSGKIPPSIRSFRNLRFFDFSGNSFLEGSAPLMSKVDESALSRYPKRYIFAERNSTNKNKSSAAAPSPSGGKSATAAGPSSSTSTPHKHKNKKRKVMGWILGFLAGAVAGTISGFIFSVMFKLVLAAIRGGGRDTGPSIFSPLIKKAEDLAFLEKEDGLASLEIIGKGGCGEVYKAELPGSGGKMIAIKKIIQPPKDAAELTDEDSKLLNKKMRQIRSEITTVGQIRHRNLLPLLAHVSRPDCHYLVYEFMKNGSLQDILHQVSLGARELDWPARQRIAIGVAAGLEYLHTHHSPRIIHRDLKPGNILLDDDMEARIADFGLAKAMPDANTHVTTSNLAGTVGYIAPEYHQTLKFTDRCDIYSFGVILGVLVMGKLPSDEFFQHTDEMSLVKWMRNIMTSDNPSQAIDPKLLGQGHEEQMLLVLKIAYFCTLDDPKERPSSKDVRCMLSQIKN >EOY23392 pep chromosome:Theobroma_cacao_20110822:3:27241814:27244530:1 gene:TCM_015299 transcript:EOY23392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein MELSLSRYLPIPFLLFILTVPYVKITSAVCHGDDEKGLLGFKSGITQDPSGMLSTWKPGTDCCTWAGINCLFNDRVTSISLFGQLDKPNSFLSGTISPSLLKVQNLDGIYLQNLRNISGKFPDLIFGLPKLKFVYIENNKLSGQIPMNIGRLTQLDALSLAGNQFTGTIPSSISELTQLTQLNLGNNLLTGRFPAGIRQLKNLTFLSLERNKLSGIIPDIFKSFTNLRSLTLSHNAFSGKIPDSVSSLAPRLLYLELGHNALSGQLPSFLGNFKALDTLDLSSNLFTGVVPKSFANLTKIFNLDLSHNVLTDPFPEMNVKGIESLDLSYNKFHLKEIPKWVTSSPIIYSLKLAKCGIKMSLDSWKPAETYFYDYIDLSDNEISGSPVGLLNRTDYLVEFRASGNKLRFDLEKLRIVKTLKQLDISHNLVYGKVPSAITGLEKLNVSYNHLCGQLPKTKFPASSFVGNDCLCGSPLPPCKL >EOY20860 pep chromosome:Theobroma_cacao_20110822:3:1553109:1554493:1 gene:TCM_012201 transcript:EOY20860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDNLDPDIESDCLSSSSSLKEIVCQWRQQMLRNSLGHSPFLVSRHLSQYRKRLISKMASRCSLTW >EOY20907 pep chromosome:Theobroma_cacao_20110822:3:1713665:1716587:-1 gene:TCM_012238 transcript:EOY20907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin peptidyl-prolyl cis-trans isomerase family protein isoform 1 MLQNPKFLQSSPPLLHPPTAPAPLPQLPTIPASPSSPILRQFKLSRRELAISGNSSLLLLLSSQALEQLYPSKAEAEETPTEDNQQEQNVNTPSLDCSKKVATKRAFLDIAIDGEPVGRIVIGLYGDDVPAGAGWFSSIVSGAAGISYRRKEFIKIMPNYVQHGGLRSFGVDAERAKRTGSSLGIENLREEWERVYDKCPGAKNLAGSVSIIVRDPSKPPPKLKLVARKGKLEIDQEEIGTEPNGTEFVIAIKDSPELDASALVVGEVLEGMEVAKRIGQVKTVQENTGSPYFRVAKLIGDKRAVVAERGFNRPYSKVLITNCGLMD >EOY20908 pep chromosome:Theobroma_cacao_20110822:3:1713284:1715297:-1 gene:TCM_012238 transcript:EOY20908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin peptidyl-prolyl cis-trans isomerase family protein isoform 1 MLQNPKFLQSSPPLLHPPTAPAPLPQLPTIPASPSSPILRQFKLSRRELAISGNSSLLLLLSSQALEQLYPSKAEAEETPTEDNQQEQNVNTPSLDCSKKVATKRAFLDIAIDGEPVGRIVIGLYGDDVPAGAGWFSSIVSGAAGISYRRKEFIKIMPNYVQHGGLRSFGVDAERAKRTGSSLGIENLREEWERVYDKCPGAKNLAGSVSIIVRDPSKPPPKLKLVARKGKLEIDQEEIGTEPNGTEFVIAIKDSPELDASALVVGEVLEGMEVAKRIGQVKTVQENTGSPYFRVAKLIGDKRAVVAERGFNRPYSKVLITNCGLMD >EOY23111 pep chromosome:Theobroma_cacao_20110822:3:26243649:26247502:1 gene:TCM_015106 transcript:EOY23111 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MAGCILPYHATFKPLKATTTSFASRKGSLFSLALVLCLCTLSFLFGLWQHSGSAPTFITTNKSLPCIPNPNTTITTRTTSETLDFSTHHVADADEESLLPDVKTYPSCSVKYSEYTPCEDHIRSLKFKRDRLIYRERHCPEKGELLKCRVPAPYDYKNPFPWPKSRDLAWFANVPHKELTVEKAGQNWIRYEGKRFRFPGGGTMFPHGADAYIDDIGKLINLKDGSIRTAIDTGCGVASWGAYLLSRNILTMSFAPRDTHEAQVQFALERGVPAILGVLASKRLPYPSRAFDMAHCSRCLIPWDQYDGVYLIEVDRVLRPGGYWILSGPPIRWKKYWQGWQRTREDLNDEQTRIERVARRLCWKKLVEKDDIAIWQKPINHLNCKVNRKFNRNPPFCLAQDPDKAWYTNLETCLTRLPEVSHDQEIAGGELAKWPQRLNAIPPRIRNGTVNGITAEIFMQDSDVWKRRLSYYKTLNHQLGQNGRYRNILDMNAYLGGFATALIDDPVWVMNVIPAEAKVNTLGVIYERGLIGTYQNWCEAMSTYPRTYDFIHADSVFSLYKDRCEMEDILLEMDRILRPEGSVVFRDDVDILVKIKKITDGLNWDSQIVDHEDGPLNREKLLFGVKVYWTAPATVETASTTS >EOY23537 pep chromosome:Theobroma_cacao_20110822:3:27781387:27793075:1 gene:TCM_015405 transcript:EOY23537 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MNSCSGRRPRIMASSLSLPQNTITDILSRLPVKSLTRFKLVSKNWAHLTSTPAFIAAHLRRSSSDPSLLIRRYRIHNGSEFGFWLITNPTRKFRSQLLDFPSDESLLRLPKIVGSVDGLVCLDVSPCYASDFVLWNPGTKQFKHLPFPLITSSKSNPIWLVFLGFGFDSFNNDYKLVRIVSFKRNDASPFLRVEVYSWREGVWKEIEESFDSTLLCGVPEGVVVDGSLNWLAIGLQDFADRKFVISFDMGREVFKRIALPAVTRFGNVKVMSYMGLLAIAVYPLVFAANGINMNRFEFWVQSDGEDGSKHWTRMVAIENFSKTLVPMGTWRDREVVIKHIGVNDRENYPSLLLYDPVDEGTKRLPVDGVDFCVEGYSYVESLVSVNEEAKMVVEQKHSRN >EOY23372 pep chromosome:Theobroma_cacao_20110822:3:27163845:27165780:-1 gene:TCM_015288 transcript:EOY23372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDALNFLRFWKPSYGAHKENRNQPCCGNSGTAEVPKSSVVSDHELDEGEDSFIDLELPLHEFDNKGDLHSNNSEENGVRAKKRYDSREDRVLQESAKNVGDKDLDLPQQTRSLSPNDHFSKRKIMPIEPSSKPQSPIALLKSAPKFRVFTLKKSKSMANANTYRAEKTEFIGISMETPKRENQGSSKHLKVTFKIEESPNLPIFTRENSLRKTKGKTEDSLSDDSSKRLSKDLIQKYLNIIKPLYVKVSKRNSQSDKTKALGDLSISSPAASPATVYSLKEKQGNHSTGVRGVCKHLGKSRSASAAASPISRRDDSLVLQHDGIQSAILHCKRSFNSSGGIFMVVEMYK >EOY23371 pep chromosome:Theobroma_cacao_20110822:3:27163669:27165947:-1 gene:TCM_015288 transcript:EOY23371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDALNFLRFWKPSYGAHKENRNQPCCGNSGTAEVPKSSVVSDHELDEGEDSFIDLELPLHEFDNKGDLHSNNSEENGVRAKKRYDSREDRVLQESAKNVGDKDLDLPQQTRSLSPNDHFSKRKIMPIEPSSKPQSPIALLKSAPKFRVFTLKKSKSMANANTYRAEKTEFIGISMETPKRENQGSSKHLKVTFKIEESPNLPIFTRENSLRKTKGKTEDSLSDDSSKRLSKDLIQKYLNIIKPLYVKVSKRNSQSDKTKALGDLSISSPAASPATVYSLKEKQGNHSTGVRGVCKHLGKSRSASAAASPISRRDDSLVLQHDGIQSAILHCKRSFNSSGAESSWLSRCTSDSSQEKLSNASSTDSSLLSRVTSNSSYDKLMDSSRIYSEEGNVFST >EOY23373 pep chromosome:Theobroma_cacao_20110822:3:27163688:27165871:-1 gene:TCM_015288 transcript:EOY23373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDALNFLRFWKPSYGAHKENRNQPCCGNSGTAEVPKSSVVSDHELDEGEDSFIDLELPLHEFDNKGDLHSNNSEENGVRAKKRYDSREDRVLQESAKNVGDKDLDLPQQTRSLSPNDHFSKRKIMPIEPSSKPQSPIALLKSAPKFRVFTLKKSKSMANANTYRAEKTEFIGISMETPKRENQGSSKHLKVTFKIEESPNLPIFTRENSLRKTKGKTEDSLSDDSSKRLSKDLIQKYLNIIKPLYVKVSKRNSQSDKTKALGDLSISSPAASPATVYSLKEKQGNHSTGVRGVCKHLGKSRSASAAASPISRRDDSLVLQHDGIQSAILHCKRSFNSSGESSWLSRCTSDSSQEKLSNASSTDSSLLSRVTSNSSYDKLMDSSRIYSEEGNVFST >EOY23995 pep chromosome:Theobroma_cacao_20110822:3:29259066:29259990:-1 gene:TCM_015715 transcript:EOY23995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNKNVKRLDIRRALFTKNGSKHVNAQGNARNITSKNGKSMWRKEQRTPKNEVNLSNKSSECPLVYTNLKKH >EOY23061 pep chromosome:Theobroma_cacao_20110822:3:26042662:26045065:-1 gene:TCM_015069 transcript:EOY23061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline (GMC) oxidoreductase family protein, putative MTSLAAKATQNMVSKSLIFLALVFVAYFHFNFCYAEKAPYYSFLQESTSAPQVSFYDYIIIGGGTSGCPLAATLSASANVLVLERGGSPYVNPGNRARVLGGGSVINAGFYSHAEADFLKQAGLNEALVNHSYQWVETKVAFKPPMLQWQSALRNGLLEAGVLPDNGFTHDHVHGTKVGGTIFDTGGHKHTAADLLEYAKSTFHKWSAAAVGWRLGVIFEDATGRKQSAFLTKDSKSEVISSAGTVTDVERCWSRPPTRGGDGPSRGGPRDGC >EOY22311 pep chromosome:Theobroma_cacao_20110822:3:22959864:22967024:1 gene:TCM_014525 transcript:EOY22311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MVEVTLSLIPSFLSQSVAQPIPIPLFPFQHTNFSSIQANIIMSQEEVAREAIKHALKALKKRHLVEEGAHAPAYIALSRPIISQGSEWKEKAENLELELQQCYKAQSRLSEQLVVEVAESRALKASLQEKETTIADLENEFTQTRDECSQLKTDLEENIRALELVMSERQELKAQLEQLTIKAKNAEAENKMLVDRWMLQKMQDAERLNEANALYEDMIERLKASGLEKLAQEQVDGIVRRSEEGAEFFAESTIASVCKHRINAHEGGCASIMFEYNSGKLISGGQDRSIKMWDTSTGSLSHSLFGCLGSVLDLAITHDNRFIIAASSSNNLFVWDVNSGRVRHTLTGHTDKVCAVDVSKVSSRHVVSAAYDRTIKVWDLQKGYCTTTIIFHSNCNALCFSTDGQIICSGHVDGNLRLWDIQTGKLLSEVAAHSLAITSISLSRNGNVILTSGRDNLHNLFDIRSLEVCGTFRANGNRVASNWSRSCMSPDDNHIAAGSADGSIYIWSISKADIVSTLKEHTAPVLCCTWSGLGKPLASADKNGIVCTWT >EOY20673 pep chromosome:Theobroma_cacao_20110822:3:533301:537259:-1 gene:TCM_012031 transcript:EOY20673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine synthase 1 MADISNIVSGANVHINTPRKIVTTMSNSNGETDESACLPVSVEMQDNVKEAGDLLQFPSINGWFAENCPMWPGQAHFLKVEKVLFEGKSKYQSMMVFQSSGYGKVFVLDGALQLTEKDECSYQEMMTHLPLCSIPDPSKVLLIGGGDGGILREISRHMSVEQIDICEIDTMLIDVYKEFFPDIAIGYDDPRVTLHIQDGTAFLKSAPNATYDAIIVDAFDPIRPEHELFDSPFFELAARSLRPGGVMCIQAESLWYQPFNIEELISSFRNIFRGSISYAWTVVPTYPSGVIGFLLCSTEGPYVDFKKPVNPIDPDQISGVARGPLQFYNSEVHSAAFCLPTFAKKATGFDG >EOY23915 pep chromosome:Theobroma_cacao_20110822:3:28999042:29000861:-1 gene:TCM_015661 transcript:EOY23915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVAKREKSCKANAPSPSGYSASDNLDESLLLEIFCRLPCKSAHRFKCVSKRWFSIISDSYFIQRLLLAHPQPPPFTLLFKYESNDQQKMKVLITSKEAVFRSQGFSLSFLPCFKANEKDPVNIIASCNGLLLCSSAATKACPTVYYICNPLTKQWFALPPVYHCNQEAYSGLICEKEGGAKGGNGTFSINDQYKYRVVCIVRHSKTDLAVKIFSSETGIWKEIFRRYRDYYPMVYLHHQAIAYEGVLHWWSPLNRSFVTCDPYNKDGNLRFSFALNYAAMDLAFEECPGVCKGRLRLCQQLLSLTEGFSIIRVWNLEEDHDGGKWCLKHEFFLHELESEVTQLVEYARCCSVPRFRLLSVDPYDENIIYMSCLGSIVSCNMEGKTLEIVSEYPAADGNSQQSRAYPFHLPWWPTPVPSYIN >EOY20655 pep chromosome:Theobroma_cacao_20110822:3:452858:454717:1 gene:TCM_012014 transcript:EOY20655 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing-like protein METRKQIPFDVIFDIFTDLPVKSLVRFRCVSRICSSIITCQDFAKMHSSPYSRIKSRSLLTPTIIISCSTELQSAQMFFSAELHEGSVSVSAVHLRTIPPRFSRYTTPSVNGIICMDFGLCATIFNPSTRQAITLPFVCPPNSPAAASTSFCVNSFGFDPITECYKVLNTWAVSGKATEYRVYTLGTNNSWRLLGGGPSHSPKRESVCIDGIIYFKCHHSSVLIAFHLHMEKFHEIRLPERVFNWRSDLIQFAGRLALVDTQFDSQSNSINIWLLEDHLRNEWIGHSITFPTFWKEIDGYKNFLVVGTIHTGEILLAPCTLLKHFCVYIYDLHRRMRRIELSGLPEQRPLDFSSNAVKVTNYEQNILCLA >EOY25111 pep chromosome:Theobroma_cacao_20110822:3:33283186:33284867:1 gene:TCM_016524 transcript:EOY25111 gene_biotype:protein_coding transcript_biotype:protein_coding description:General control non-repressible 3 MLDWRRLTLLYQQVRIAVFSQHHVDGLYLPSNPLFYMMRCYPGVPEQKLRAHLVSFGVAGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLVCSKEGS >EOY24328 pep chromosome:Theobroma_cacao_20110822:3:30558937:30559961:1 gene:TCM_015958 transcript:EOY24328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGINLSLEEYNQRSYVFLGRQGHMVASLRDRLGLLRVIDMERLLISRQSHPTGGCVTPYMWQPTFKFQTSNILPHFYQCSIHVYFTCSSVLCIP >EOY25303 pep chromosome:Theobroma_cacao_20110822:3:33880722:33884158:1 gene:TCM_016664 transcript:EOY25303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein, putative MSSTNVGSELKGSTESPLTIQEIIERISKLRDSLPSEDEEEGDSPQQTTGGTITAADKQDGSESGDVRQENDDETNKQEEDVSQQENNDESKKKGEDVSQQENNEESNKMDEDASKKKTDESNKKDKDAKKKKSDESNKRKDVLHELVKFRKELQYMISSFEKLKKFETNLREPLQTLDDNVQDILKDLPCVTVSELPKQVPLNLRVLRNNITRVKIQIPLQHQTANTNSEANRPWQTTVATSEADLPHLYDEAKFESSYYFKEIEEKYNELDDRQKLCLLCFVIFPENAEMKKRLLRFWWVGEKLLSVKEEKEEMELVSQTIQTFVEKGLIEPVQKKNKLQPRSYKMNPIVRSCLIKFAKQAGFFDYDSEGKPTMDFSSCKKACMVKSGAPADWFSAYLTGTVTETAQNEGTVTETEQKKGTATDRLSADLVKLQMLFNFPKRKTLLEASQRFHELQTLFNISKQFPALPKEWFSKMTGIKVLYLGRWESAAGRQRHIEVEDTDFFKGLKYMKKLRLLSLQGISGIPKLPSSLCKLANLRILDLRACHSLEKLPERIGSLKKLTYLDSSECYLLDDMPKQLNQLEQLQVLKGFVIGNDRNSCTLADLAELKKLRKLSVNVNTTEFNIEDAGLALSKFQKLQKLKIAWGSGGLTGNNSTQNSSGQQSDPSNPNGGESKKQDKDAVKPKTQNQVSGAAKSPSGKQDKGEDNGNRESSAGDQEGAYKKLKSGEANSKSMNQEDDKKGRNIGAAKSVAFNVSEKHGSKKQETVLQKRTPLMKLTSLRRERKPTNFEGLESLVKLDLQCFPHIEPPTWLTPKMLNSLQNLSVRGGRLRHLNQEGKEKWKVETLRLKFLIDFKMNWKEMLEQFPKLKYLEKVRCPRITFCPCDARGVWQESPKSS >EOY22265 pep chromosome:Theobroma_cacao_20110822:3:22691810:22700561:-1 gene:TCM_014485 transcript:EOY22265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 family protein isoform 2 MQSFIGGSIRLGQRHGFYSWSGAHFKANRAYLATNNSSSLIHGSNNSINSSRNHLLQSLGQTRLGSQTKELMLPGCSGGCSMPGLSQLAAMMSTCSSRSMTTAASPTPDSSQSVTDVPPRIKFKRLDKTAKHIMQESIFFLILDKEAVEEVKAQREIPDIKPGNIVQLRVEVPENKRRVSTIKGIVIARRNAGLNTTFRLRRMVAGVGVESLFPLYSPNIKEIKVLDKKTVRRAKLYYLRDKMNALR >EOY22266 pep chromosome:Theobroma_cacao_20110822:3:22691483:22695173:-1 gene:TCM_014485 transcript:EOY22266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 family protein isoform 2 MQSFIGGSIRLGQRHGFYSWSGAHFKANRAYLATNNSSSLIHGSNNSINSSRNHLLQSLGTRLGSQTKELMLPGCSGGCSMPGLSQLAAMMSTCSSRSMTTAASPTPDSSQSVTDVPPRIKFKRLDKTAKHILQILDKEAVEEVKAQREIPDIKPGNIVQLRVEVPENKRRVSTIKGIVIARRNAGLNTTFRLRRMVAGVGVESLFPLYSPNIKEIKVLDKKTVRRAKLYYLRDKMNALR >EOY22267 pep chromosome:Theobroma_cacao_20110822:3:22692200:22695173:-1 gene:TCM_014485 transcript:EOY22267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 family protein isoform 2 MQSFIGGSIRLGQRHGFYSWSGAHFKANRAYLATNNSSSLIHGSNNSINSSRNHLLQSLGTRLGSQTKELMLPGCSGGCSMPGLSQLAAMMSTCSSRSMTTAASPTPDSSQSVTDVPPRIKFKRLDKTAKHILQILDKEAVEEVKAQREIPDIKPGNIVQLRVEVPENKRRVSTIKGIVIARRNAGLNTTFRLRRMVAGVGVESLFPLYYLTYCNHHD >EOY21584 pep chromosome:Theobroma_cacao_20110822:3:13089243:13090292:1 gene:TCM_013441 transcript:EOY21584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWSIKRVKRLFDLLDGGKRAMWNKMEKERKMGGGGAIREERRANFAVNLKVRGAALGADFEGWKMKFWLLILKAERQEVVVGGEREREEEIVDGSCM >EOY20723 pep chromosome:Theobroma_cacao_20110822:3:728154:729331:-1 gene:TCM_012068 transcript:EOY20723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTVTGKILSSTPISVSKATKIISNFAATDNGASQAVSAYLRRASASFNELKQLHRELRKQSKSGCKHKKSKSETTVESAGESSLEPSVFNLTRGAVELSQQASHGCGDSEGKKHKNKKKREKGEVGNVGDGEGRSLIYDGESKRKKEKNEIGNYEEDEEKMVIEEPSEKKKHKKEKSGRKIEKFQANGVKIEKGEMRHEAEGQWENKKKRKSREIEEGIENDSFSEPRKKKKKKKIKNEVDN >EOY23091 pep chromosome:Theobroma_cacao_20110822:3:26174276:26176565:-1 gene:TCM_015092 transcript:EOY23091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQNKHPFFNSVDRKDESDNGGGGPNAKISSEACGCLLEACDLYSKWTVTFTRGETP >EOY21204 pep chromosome:Theobroma_cacao_20110822:3:4091487:4092542:-1 gene:TCM_012589 transcript:EOY21204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGHPAEVEVLSKALSGIGVDEKSLVSILTQSHHEHKRTIKRGCSQFFVEDERHFERWNDDAIKTLKAEFKRFKALHFMK >EOY24263 pep chromosome:Theobroma_cacao_20110822:3:30320294:30322615:-1 gene:TCM_015919 transcript:EOY24263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein 4 / HD-ZIP protein MMVGKEDLGLSLSLSFPQNHHSLQLNLRPSLVPSSANSCSSPSGLTLQKPSWNDASTPSDPISESCRAETRSFLRGIDVNRLPSAVDCEEEAGVSSPNSTISSVSGKRSEREGNGDEHEIERACSRGISDEEDGDTSRKKLRLSKDQSAILEESFKEHNTLNPKQKLALAKQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKEVQELRALKLSPQFYMQMTPPTTLTMCPSCERVAVPPNAASTVDPRSHQLGQTHHRPIPINPWAPAAPIPHGPLDALRPRS >EOY22133 pep chromosome:Theobroma_cacao_20110822:3:21740373:21744157:1 gene:TCM_014341 transcript:EOY22133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSFITCLVQEMLGLNGRPKRLPCWDYLSFFSLLILDIKGLVRRKRAQERLLTLLRLGFALDRCRVIDG >EOY23404 pep chromosome:Theobroma_cacao_20110822:3:27302986:27307234:-1 gene:TCM_015311 transcript:EOY23404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acidic endochitinase MKSSQLVPPGLYIRDFLLGIVESHTADNTTTATMATKTPAIPVLVSLLVLALIEVSQAGGIAVYWGQNGFETTLNQTCETGLYKYVNIAFLNKFGSGRIPELNLAGHCNPKYGGCKVASIAIRNCQKQGIKVMLSIGGRRGQYSLASKADAKKVADYLYNNFLGGTSPSRPLGNAVLDGIDFDIELGSTKYWDDLARYLAAYSKPGRKVYLSAAPQCPFPDRYLGPALNTGLFDYVWVQFYNNAACQYAPGNTRNLLNSWYRWTTSTKAGKIFLGLPATKAAGTGYIPPWELKSKILPLIKKSPKYGGVMLWNRYFDKIGGYSTAIKNSV >EOY24179 pep chromosome:Theobroma_cacao_20110822:3:29992636:29994185:-1 gene:TCM_015850 transcript:EOY24179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSSDDFSNSNSIPVDTMATKMATRCKDMAVLVTVYAEKPRRSVSSNHQHHRQHHYLHHTIKQELIKHGGAGKGYNRRAELLHYSQRLRESARSAASTALQSKPVSSNDQQASNKIVSVQRKPKCSRTPACFDNWGILIPRFLRSLTTLQAKKTGKKKQHCGSTARNSSMMRAAMKSLQMQKTWRFFSKPISMLHKHR >EOY22038 pep chromosome:Theobroma_cacao_20110822:3:20803692:20815411:-1 gene:TCM_014215 transcript:EOY22038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dentin sialophosphoprotein-related, putative MPGNEVGDRIHNFLGQESLSQGQHHSQVIDGTWPGLSNNLWVGSQRQVGGPLVSSLKNFSVNQLAESDRGHGGQSSSLQHGLSFTQSAFRPEIARSQSQNQPPFVNGYMQGHQSFQARQGETNFLGVDTASRGLSALDSQIGNSPDLHKKNSLRLESNESPVNYDFFGGQQQISGQHPGMIQPLPRQQSGMTDVQVLQQNAMLKQMQEFQRQQLQKPQFQLPEARQLSSANQVSSVVKQGSGSLSPAPINGVPVHDATNYSWQPEHMTPNANWLQHGASPAMLGSSSGFMFSPEQGQVRLMGLVPQQVDPSFFGISSSGARGNPYQYSSVQMDKSIMQQVPASSNSSPGNQYAMFPDQVGLQDGASVSRQGDPGKNMFGAAAGQGLNSGFHSENLQQMAIQPKNALMQESRGRQEHLGPSETSLEKSVIQAAPSANVATLDPTEEKILFGSDDSVWDIFGKSASMGSVLDGTDSLGPFPSVQSGSWSALMQSAVAETSSNDIGVQEEWSGLGVQNSEPPSGSMQSSIVNDGSKQQSAWADNNLQNASMLNSKPFPMPTDANINLDFCSVPGVQQLGVQTANEQAGRMQNDLSQRFVQQLTEERSKWLDRSPLQKPVAESAQLFGNVAQSPDMQVSPKNISGHQQGIAVYNPRGLPHNKPNGWNFIESASHSGGAISKNQDIESSLQPSQNSDQKGAMYEERGHGSGLGHPVPDANIESGNVNSGLGSPQVNREGSDLNNFAAITDSGMTRVTKESCRQLPNSNNLNLWKSVDSKGNSGLSRVPSKYQQNQDKGPQTFDSTGNSCLDKGASVTKILDNPNVKETSNDSFRSNISHHNSTGGIRDNVWLDANDPRGGKQKSSVHVSRKPSGNRRFQYHPMGDLDMEVEPSYGTKSVTHSQAISQHVSQGMKGHDQVYFGQSKFTGHAVGESTEAEKGRFPGIQVDGVPSKSSNPDSAPDRSFGGFVPNRTAPMSQNMLELLQKVDQPSERGTATHLSSSERNQSSEMPDAETSDGSVGQFQHNRPSASQGFGLQLGPPSQRFPIPDRANSSQSSPQGVNSLNSVHVSSEVGRKGQTWLGPTASVRSSTHGPLHGEIRDNVSNVSGQTSNKASQCNIQGNVSADFTSDYPYLKSHLQNQHVTGVASQVTPNESVNAPFGGLASQSKQANDFCERAQTSQLGRKSAPHIPKIAPDNDLASSSETSRPSSSNQNHARDPGQQFPVLEAMPAYQPSAPSESLQQGAFTKMLPNVWTNVSAPQHLLGAQSSRSSQNFFKSHPQSNINSETTLPGIKKLDDQIARAGVSGQSGFPAGSAKPQSFVGEEQPAKAQQVLPENDASQNPAITQRDIEAFGRSLSPNSAVHQNYSLLHQVQAMKNTETDPSSRSVKRFKGPDSVLDAQQQESSQGAEQLSYGSDTMMRDTPINRPLVPSGDPKMLRFSSSTGDNREAHLSSNDILAFARNDSQHFHNGNNSAANLRGEHSQISPQMAPSWFDRYGTFKNGQMLPIYDARKIAMLKATEKPFIVGRPSSDSLHAFHSSEQVNAAADTSQLDNAQQSSNLMLIPSEHISPHSLPPDIANQNLVVVRAKKRKSMTFELLPWHREMTQGSQRPQNISVAEVGWAHAANRLIEKVEDEPEMIEDWPPVLRSKRRLILTTHLMQQLLCAPSRVVLSADASKNYETVAYFVARSALGDACSTAYIPESDTAVPADCESIISEKFKMSERNGNQSILKAAEEFISRAKKLENDLQSLDKRASILDLRVECQDLEKFSVINRFAKFHGRGQADGAEASSSSDAIVSAHKFFPRRYVTALPMPRNLPDRVQCLSL >EOY22907 pep chromosome:Theobroma_cacao_20110822:3:25255972:25260298:1 gene:TCM_014937 transcript:EOY22907 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 2 isoform 2 MAQLRVSLFLSIHIALLASLCFADDPYVFYDFKLSYITVSPLGVPQQVIAVNGAFPGPVVNATTNYNVAINVHNQLDENLLITWPGIQMRRNSWQDGVLGTNCPIHPKRNFTYQFQVKDQIGSFFYFPSLNFQRASGGFGPIIINNRNIIAIPFGQPDGDVVIVIGDWYTRNHTALRTTLDSGEDLGMPDGVLVNGRGPYRYNTTLVPDGIEYETINVDPGKTYRFRVHNVGISTSLNFRIQGHNLLLVETEGYYTTQQNFSSFDIHVGQSYSFLVTMDQNATTDYYIVASARFVNESVWERVTGVAILHYSNSKGPATGPLPVPPSDIYNQWSAMSQPRAIRQNTTASGARPNPQGSFHYGSINVTDTYVLQSFPPVTIEGKLRATLNGISFVNPDTPIRLADLHNVKGAYKLDFPNKPLNRTPRVDRSVINATYKGFIEVILQNNDTRMQSFHMDGYAFFVVGMDFGVWTENSRNNYNKWDAISRCTTEVYPGAWTAVLISLDNVGVWNLRVENLDRWYLGQETYMRITNPEENGDTEMAPPANVLYCGALQSLQKESQSSSAKTLLSGNSKLFTILVVTILASIFTFS >EOY22908 pep chromosome:Theobroma_cacao_20110822:3:25255954:25260019:1 gene:TCM_014937 transcript:EOY22908 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 2 isoform 2 MAQLRVSLFLSIHIALLASLCFADDPYVFYDFKLSYITVSPLGVPQQVIAVNGAFPGPVVNATTNYNVAINVHNQLDENLLITWPGIQMRRNSWQDGVLGTNCPIHPKRNFTYQFQVKDQIGSFFYFPSLNFQRASGGFGPIIINNRNIIAIPFGQPDGDVVIVIGDWYTRNHTALRTTLDSGEDLGMPDGVLVNGRGPYRYNTTLVPDGIEYETINVDPGKTYRFRVHNVGISTSLNFRIQGHNLLLVETEGYYTTQQNFSSFDIHVGQSYSFLVTMDQNATTDYYIVASARFVNESVWERVTGVAILHYSNSKGPATGPLPVPPSDIYNQWSAMSQPRAIRQNTTASGARPNPQGSFHYGSINVTDTYVLQSFPPVTIEGKLRATLNGISFVNPDTPIRLADLHNVKGAYKLDFPNKPLNRTPRVDRSVINATYKGFIEVILQNNDTRMQSFHMDGYAFFVVGMDFGVWTENSRNNYNKWDAISRCTTEVYPGAWTAVLISLDNVGVWNLRVENLDRWYLGQETYMRITNPEENGDTEMAPPANGITKLFCKDIAQWELQVVYHFGGHNFSFNFHF >EOY20849 pep chromosome:Theobroma_cacao_20110822:3:1485128:1488163:-1 gene:TCM_012188 transcript:EOY20849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein family, putative MAEALVKFFVQRLNSLLVEETETFSGLEDQMDRLVNTLREVGQFTEEMSCEEGDAATYSWVNELRELISEMDDHIDEFIIQMDKQKKSDCLVLTDCFRSELLKIESRLALAVHRMTELKNPTTVEEMEYSMENPHELEGREEDDRKKSQEGASQIASPKNSATIGGENEDDKDDSQDIGDSQTSALKISTTTGGDEEDKMETSHGVEEGETSDQTFSQFQLVYNKLPYYLQSCFLYCCTFPKDYRIHKGRLIQLLVAEGLVQGKTGEIMEDIAEENINELIIQNMLQEEDDNPNRLSVPYLYRDFCIHKMEKKIFTATCTSPIFIFPRSARRVSIDLDKITITPDLTDLQPRSLFLFGYQDLPEHDRNWLTLPWAKFLRVLDLEGRKIKSLPDEVEYLIHLRYLGLRKTNINQLPAGLGNLRALQTLDLRWCGYFKALPAKIINLAKLRHLKLGPNNSWGTTPSAGIGKLKNLLTLTGIHADYCITRELGNLVQLRKVGIIDVTGENVGEVFASIGNMQGLLCLSLVGKYVNPQQVLVLPDSISPPPTIRKLRLDGLLQKLPQWLGSMKMLTKLRLGFSYLSENPMLVLHLLPNLTRLTLWQAYVSKQLGKEFCRVGWFPKLQFLQIASDVLEEWTEIEKGALPSLNCLHFHCCSKLRMLPEGLQFVTTLKHLYLFPLLDDHMERLKPDGGEENYKIKHISQISFIPTSCCGPSAGWNAGDICVDK >EOY23731 pep chromosome:Theobroma_cacao_20110822:3:28380342:28381635:1 gene:TCM_015531 transcript:EOY23731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYTVLPNLPTRRIDLVYFLLFHPIQKPNHKRLLEGDSKAYPAPYIGLVSRVDREKNIEELGPKGWIHFSPM >EOY22141 pep chromosome:Theobroma_cacao_20110822:3:21848630:21854528:-1 gene:TCM_014353 transcript:EOY22141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter 1 MEMGKNKSEKFSMDFVMAGMAAIVSKSTAAPIERVKLLLQNQGEMIKRGQLHQPYNGVGDCFKRVLRDEGLFSFWRGNQANVIRYFPTQFAFAFPSIELSLSNIQRTSKPVKRTSFCICLAFSHLSVVFHLSLHSHHFPPKGEDLIQGLLEKWSWRLGFTMEPEHQKEKENDGKKVWSCDTQEKVGAAMVKIVRGEKQKEDGVVSSGWCMLAFNFAFKGYFKSIFGHSKEKDGYMMWFAGNVASGSAAGATTSLFLYHLDYARTRLGTDAKGCSINGQHKFNGLFDVYRKTLSSDGIVGLYRGFGASIMGITLYRGMYFGIYDTIKPIILVGPLEGNFLASFFLGWSITTVSGVCAYPFDTVRRRMMLTSGQSIKYRSSMHAFREIVHLEGFTALFRGVTANMLLGVAGAGVLAGYDQLHRLASRQGYSFESYQGALK >EOY21718 pep chromosome:Theobroma_cacao_20110822:3:17611256:17613422:1 gene:TCM_013823 transcript:EOY21718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTQEVTAGRNSNPLSTLFGVAKVRPASHMGTNRSHEVNPTAFLIEAPNRGCSSDGREVRDRYPASPLVASSLVCFYLISCTIMELGSFFYYFCYHLIFKMLSWLTSMCI >EOY22456 pep chromosome:Theobroma_cacao_20110822:3:23506027:23518626:-1 gene:TCM_014616 transcript:EOY22456 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family AAA domain-containing protein 1-A isoform 1 GNQRVMVSTRRSGSLSGSKSKRSCSSEDKPPSPKRQKVENAENPMPAAESSKEMCTSPAVDPGDCGNGDAPIAGDGLNLGKGETSSAVVPVTAPIADGSAPIVLDKGRSSFSTWSICQKQNPNFETSTPWCRLLSQFAQNPNVPICTSNFTIGSSKHCNFQLKDQAISAMLCKIKHTQQEGSAVAMLESTGSKGSVQVNGTVVKKNTSCALNSGDEVVFGSMGNHAYIFQQLMTEVAVKGAEVQNTVGKFLQLERRSGDTSAVTGATILASLSSLRPDLSRWKSPSQASSKIHQVAEVPTHSVVHDAADVDLDGLEGNSTANIGSDKAAEVGALNKNLPLDCNHDSSIEGLSSKEAELLKDGVNAEKSCTCTKQSPGPTDLAKSLTPTVEAETSSPVAAPSCGPESQPKTEADTMPSSSGSSKNQMFKIGDRVKFMNSTSGGLYSAVSSPRGPPNGVRGKVVLLFEDNPFSKIGVRFDKPVPDGVDLGNICEGGHGFFCNVSDLRLENSSTEDLDRLLINTLFEAVYSESRTSPFILFMKDAEKSLAGNTDSYTTFKCRLEKLPDNVIVIGSHTHTDNRKEKSHPGGLLFTKFGGSQTALLDLAFPDSFGRLHDRGKEVPKATKLLTKLFPNKVTIHMPQDEALLASWKHQLDCDAETLKMKGNLNLLQTILSRSGMECEGLETLCIKDQSLSNESAEKVVGWALSHHLMQNPEADADSRLVLSCESIQYGIGILQAIQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRR >EOY22455 pep chromosome:Theobroma_cacao_20110822:3:23503516:23518786:-1 gene:TCM_014616 transcript:EOY22455 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family AAA domain-containing protein 1-A isoform 1 MVSTRRSGSLSGSKSKRSCSSEDKPPSPKRQKVENAENPMPAAESSKEMCTSPAVDPGDCGNGDAPIAGDGLNLGKGETSSAVVPVTAPIADGSAPIVLDKGRSSFSTWSICQKQNPNFETSTPWCRLLSQFAQNPNVPICTSNFTIGSSKHCNFQLKDQAISAMLCKIKHTQQEGSAVAMLESTGSKGSVQVNGTVVKKNTSCALNSGDEVVFGSMGNHAYIFQQLMTEVAVKGAEVQNTVGKFLQLERRSGDTSAVTGATILASLSSLRPDLSRWKSPSQASSKIHQVAEVPTHSVVHDAADVDLDGLEGNSTANIGSDKAAEVGALNKNLPLDCNHDSSIEAGNVLDERNEWARDSQPASTSSMSLRCAVFKEDIHAGILDGRNLEVSFDNFPYYLSENTKNVLIAASFIHLKHKEHAKYTSELTTVNPRILLSGPAGSEIYQEMLTKALANYFGTKLLIFDSHSFLGGLSSKEAELLKDGVNAEKSCTCTKQSPGPTDLAKSLTPTVEAETSSPVAAPSCGPESQPKTEADTMPSSSGSSKNQMFKIGDRVKFMNSTSGGLYSAVSSPRGPPNGVRGKVVLLFEDNPFSKIGVRFDKPVPDGVDLGNICEGGHGFFCNVSDLRLENSSTEDLDRLLINTLFEAVYSESRTSPFILFMKDAEKSLAGNTDSYTTFKCRLEKLPDNVIVIGSHTHTDNRKEKSHPGGLLFTKFGGSQTALLDLAFPDSFGRLHDRGKEVPKATKLLTKLFPNKVTIHMPQDEALLASWKHQLDCDAETLKMKGNLNLLQTILSRSGMECEGLETLCIKDQSLSNESAEKVVGWALSHHLMQNPEADADSRLVLSCESIQYGIGILQAIQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAANRAKILKVILAKEDLSPEVDFDAVASMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKERAAALAEGKPPPPLSGSADIRPLNMEDFKYAHERVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >EOY20837 pep chromosome:Theobroma_cacao_20110822:3:1446908:1456307:-1 gene:TCM_012177 transcript:EOY20837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGRVLRDNYGTILLQFSKQLVGVMQVWLNCLLLKKPCFSLLLPFGSELMNLSSKVTVLMLWNKPQILNLPFACNSPIPLLKKALADLKS >EOY21094 pep chromosome:Theobroma_cacao_20110822:3:2928950:2956540:1 gene:TCM_012429 transcript:EOY21094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVLKGQLVTVSRHTSLLAARTILLSSPVTTWKIDAGFCFWEFQNPVFAGFGMTKFNEPTFMSRCIGLLFQLGTLGEGKLILLVKGERFQNCSNEPDPFCRQEAVFQKKA >EOY22725 pep chromosome:Theobroma_cacao_20110822:3:24579486:24583372:1 gene:TCM_014809 transcript:EOY22725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase 2 MNALAATNRNFRLASRLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCSPRDLSKSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVYATEALLAEYGKSIKGLTFVIQGFGNVGSWAAKLIHERGGKVIAVSDITGAVKNPNGIDIPELLKHKEDTGSLKGFSGGDSMDPNELLVHECDVLIPCALGGVLNRENAADVKAKFIIEAANHPTDPEADEILSKKGVIILPDIYANAGGVTVSYFEWVQNIQGFMWDEEKVNKELRRYMTQAFHNIKNMCGTHNCNLRMGAFTLGVNRVARSTLLRGWEA >EOY22360 pep chromosome:Theobroma_cacao_20110822:3:23106612:23111946:1 gene:TCM_014550 transcript:EOY22360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative MRHYYFFQVEIYSCNKWVLKCGLTMSQSEETPISDSTPTDSALHLGLHSLDPDPSPNPDPSPSDLNHSAQETDHDQSNLEQQLQNLDLKEEEGEEEEGDEEAEKKDDRDRESDNEADVDAAGDDEDEVEEKNEYEDDDENVTNEGRRSHYPVRPEAEDCAYYMKTGLCKFGSNCKFNHPVRRKNQAVKEKVKEKDESTEKPSQTECKYYLRTGGCKFGKACRYNHSRAKTAVAPILELNFLGLPIRPGEKECPYYMRNGSCKYGANCRFNHPDPTTAGACDPPSGYGNGGPVSSQAASQVNIASWSSPRTLNETPYMPMMFSPTQGVPPPNPEWNGYQTTVYAPERNLHPTPAYVMNNPSTETAVYTHHQPQMLVDEFPVRPGQPECSYFMKTGDCKFKSNCKYHHPKNRVAKPSPCTLSDKGLPLRPDQSICSHYSRYGICKFGPACKFDHSVQAAPSVVSGLDQPLPFSNSAATEESGIAGSNGTDTAVQQSV >EOY25445 pep chromosome:Theobroma_cacao_20110822:3:34315810:34327305:1 gene:TCM_016752 transcript:EOY25445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heteroglycan glucosidase 1 isoform 1 MSKMASSEVKEAASDSTAGKMIFEPILEDGVFRFDCSANDRDAAYPSLSFMNSNDRDVPIMSNKVPLYIPSFEFLLGQQLVKLELPVGTSFYGTGEVSGQLERTGKKVFTWNTDAWGYGPGTTSLYQSHPWVLAVLPNGEALGILADTTRRCEIDLRIKCRIQFNAPASFPVITFGPFPSPSAVLISLSHAIGTVFMPPKWSLGYHQCRWSYDSEERVLEVARKFREKGIPCDVIWMDIDYMDGFRCFTFDKERFPDPKSLVKDLHHIGFKAIWMLDPGIKHEKGYFVYDSGTEHDAWIQEANGMYFVGDVWPGPCVFPDFTQSKIRSWWANLVRDFISNGVDGIWNDMNEPAIFKAVTKTMPESNIHRGDNELGGHQSHAHYHNAYGMLMARSTYEGMELADKRKRPFVLTRAGFIGSQRYAAMWTGDNLSNWEHLHMSISMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGFGAMFPFCRGHSETDTINHEPWSFGEECEDVCRLALRRRYRLIPHIYTLFYMAHTRGTPVATPAFFADPKDPNLRTLESCFLLGPLLVYASTMPDLGSDKLQLLLPKGIWLSFDFDDSHPDLPALYLQGGSIIPVGPPLQHIGESNPSDDLTLILALDNYGKAEGVLFEDDGDGYGFTKGEYLLTHYVAELKSSVITVRISETKGVWKRPNRRLHVQLLIGEGAMLDAWGIDGEVLQIEMPSETEVSKLISTRKVHNKMHLESVKLIPNVEDVSGHKGGELSRTPIELENGDWSLQIVPWIGGRIISMVHVPSGRQWLHSRVEINGYEEYGGTEYRSAGCSEEYHVVQRDVEHAVEEESVLLEGDIGGGLILQRQITIPKDNPKVFRVESSILARKVGSGSGGFSRLVCLRVHPTFSLLHPTESFVAFTSIDGSKQEVWPESGEQLYEGNLLPNGEWMLVDKCLGLGLINRFNVRDVYKCLIHWGTGTVNLELWSEDRPVSKQSPLRVFHEYEVMEIP >EOY25446 pep chromosome:Theobroma_cacao_20110822:3:34315692:34327357:1 gene:TCM_016752 transcript:EOY25446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heteroglycan glucosidase 1 isoform 1 MSKMASSEVKEAASDSTAGKMIFEPILEDGVFRFDCSANDRDAAYPSLSFMNSNDRDVPIMSNKVPLYIPSFEFLLGQQLVKLELPVGTSFYGTGEVSGQLERTGKKVFTWNTDAWGYGPGTTSLYQSHPWVLAVLPNGEALGILADTTRRCEIDLRIKCRIQFNAPASFPVITFGPFPSPSAVLISLSHAIGTVFMPPKWSLGYHQCRWSYDSEERVLEVARKFREKGIPCDVIWMDIDYMDGFRCFTFDKERFPDPKSLVKDLHHIGFKAIWMLDPGIKHEKGYFVYDSGTEHDAWIQEANGMYFVGDVWPGPCVFPDFTQSKIRSWWANLVRDFISNGVDGIWNDMNEPAIFKAVTKTMPESNIHRGDNELGGHQSHAHYHNAYGMLMARSTYEGMELADKRKRPFVLTRAGFIGSQRYAAMWTGDNLSNWEHLHMSISMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGFGAMFPFCRGHSETDTINHEPWSFGEECEDVCRLALRRRYRLIPHIYTLFYMAHTRGTPVATPAFFADPKDPNLRTLESCFLLGPLLVYASTMPDLGSDKLQLLLPKGIWLSFDFDDSHPDLPALYLQGGSIIPVGPPLQHIGESNPSDDLTLILALDNYGKAEGVLFEDDGDGYGFTKGEYLLTHYVAELKSSVITVRISETKGVWKRPNRRLHVQLLIGEGAMLDAWGIDGEVLQIEMPSETEVSKLISTRKVHNKMHLESVKLIPNVEDVSGHKGGELSRTPIELENGDWSLQIVPWIGGRIISMVHVPSGRQWLHSRVEINGYEEYGGTEYRSAGCSEEYHVVQRDVEHAVEEESVLLEGDIGGGLILQRQITIPKDNPKVFRVESSILARKVGSGSGGFSRLVCLRVHPTFSLLHPTESFVAFTSIDGSKQEVWPESGEQLYEGNLLPNGEWMLVDKCLGLGLINRFNVRDVYKCLIHWGTGTVNLELWSEDRPVSKQSPLRVFHEYEVMEIP >EOY24604 pep chromosome:Theobroma_cacao_20110822:3:31599597:31603457:1 gene:TCM_016165 transcript:EOY24604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIDKSSSTAEVWPQHVRDHRQFSIFEPKKDSSPKPRYNKCEAVPKSLLTRSSPCPQGHVLAPMQLQIALSGDGLSQVLPYQNQG >EOY23164 pep chromosome:Theobroma_cacao_20110822:3:26463246:26465594:-1 gene:TCM_015151 transcript:EOY23164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Steroid binding protein, putative MALQLWETLKEAITAYTGLSPATFFTVLALLWAIYYVVTGLFGSSGDQHLRNRAFEEQMEPLPPPVQLGEITEEELKQYDGSDSKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTGDISGLGPFELEALQDWEYKFMSKYVKVGTIKKTVPITEEAGSGEGSAATESDAKPAEDGPSDSAVPGTGEKSSGADAKEE >EOY21369 pep chromosome:Theobroma_cacao_20110822:3:7239705:7242388:-1 gene:TCM_012898 transcript:EOY21369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHMSKCLMIKNNVPKKFWAKDANTVVYLLNLLPTQAVNDMTPFEAWFGYKSSLNHLKVFGGTRSTDDIYHRSFVVAKSQLLLQKQQNVLNEELLYIKYAFLIGVMEEEIYVEQPDGFIQNTNEDKIFVKSCNEATLYIYSSSAKPSMIVSLYVVDLLVIEEDNITLQRFKEKMHNEFDMTDVSLSRYSDSDWAGNVDDLRNLSGCVFTLAISKNLVFHGKSKHIQVKFHAIRDAEKNGDIHVQYFSSELQLTDIMTKALLGPGLEYLRSKLNIYHAGIKEEC >EOY24617 pep chromosome:Theobroma_cacao_20110822:3:31649127:31653605:-1 gene:TCM_016170 transcript:EOY24617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin domain superfamily protein isoform 3 MKGAKRFALSGSVADTNDSAFRNKRIMAGSLFDAQRAEPSQQQSTATPPLDPQQAELSRQHVKALNTQFASWVQSQLKNHPDELWEDGVQDYLTHASNIMEKFSDVVDWLKAYAVNSDSLSVPESQKSGSKVVPETKNTENKFFQVKTGFTPSSTTTGFSLGTTTPSFSLGTTTMSFSPGTTTVSFAPGTTTTSPTPADMNKIFSPVYTSASFTSASSTTSFTPAGMTTSFMAGGSNSSFTFGSTATSSTSASPATIFTSSGMTTSFTAPRSSGVFSNSQTPVLFGSQSSVAVNNNASDDADDGGKMKLYPLILIYYIFFLF >EOY24616 pep chromosome:Theobroma_cacao_20110822:3:31648923:31653719:-1 gene:TCM_016170 transcript:EOY24616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin domain superfamily protein isoform 3 MKGAKRFALSGSVADTNDSAFRNKRIMAGSLFDAQRAEPSQQQSTATPPLDPQQAELSRQHVKALNTQFASWVQSQLKNHPDELWEDGVQDYLTHASNIMEKFSDVVDWLKAYAVNSDSLSVPESQKSGSKVVPETKNTENKFFQVKTGFTPSSTTTGFSLGTTTPSFSLGTTTMSFSPGTTTVSFAPGTTTTSPTPADMNKIFSPVYTSASFTSASSTTSFTPAGMTTSFMAGGSNSSFTFGSTATSSTSASPATIFTSSGMTTSFTAPRSSGVFSNSQTPVLFGSQSSVAVNNNASDDADDENELPQPSSPSVKKSEEKGIVVVHEVKCKLYVKSTDPAEKDSWKDKGTGQLSIKCKEGISKGSADSKPTIVVRNDVGKVLLNALLYPGIKTSAQKNSLVAIFHTSVIYLEAKYLG >EOY24615 pep chromosome:Theobroma_cacao_20110822:3:31648715:31653710:-1 gene:TCM_016170 transcript:EOY24615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin domain superfamily protein isoform 3 MKGAKRFALSGSVADTNDSAFRNKRIMAGSLFDAQRAEPSQQQSTATPPLDPQQAELSRQHVKALNTQFASWVQSQLKNHPDELWEDGVQDYLTHASNIMEKFSDVVDWLKAYAVNSDSLSVPESQKSGSKVVPETKNTENKFFQVKTGFTPSSTTTGFSLGTTTPSFSLGTTTMSFSPGTTTVSFAPGTTTTSPTPADMNKIFSPVYTSASFTSASSTTSFTPAGMTTSFMAGGSNSSFTFGSTATSSTSASPATIFTSSGMTTSFTAPRSSGVFSNSQTPVLFGSQSSVAVNNNASDDADDENELPQPSSPSVKKSEEKGIVVVHEVKCKLYVKSTDPAEKDSWKDKGTGQLSIKCKEGISKGSADSKPTIVVRNDVGKVLLNALLYPGIKTSAQKNSLVAIFHTSDDGGNNDKVVARTFLIRTKSEEDRNKLATAIQEYAPAS >EOY21003 pep chromosome:Theobroma_cacao_20110822:3:2219352:2227766:-1 gene:TCM_012319 transcript:EOY21003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A subunit A2, 65,PP2AA2 isoform 1 MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGLEYANVLLPPLETLCTVEETCVRDKAVESLCRIGAQMREQDLVESFIPLVKRLAAGEWFTARVSSCGLFHIAYPSAPDALKTELRAIYSQLCQDDMPMVRRSAATNLGKFAATVEAPHLKVDIMSMFDDLTQDDQDSVRLLAVEGCAALGKLLESQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRSDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPELAIQHILPCVKELSTDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPQWAMQHIVPQVLDMINNPHYLYRMTILHAISLLAPVMGPEIICSNLLPLVINASKDRVPNIKFNVAKVLQSLIPIVDQSVVEKTIRPCLVELSEDPDVDVRFFAGQALESSDQVMMSS >EOY21004 pep chromosome:Theobroma_cacao_20110822:3:2219949:2227707:-1 gene:TCM_012319 transcript:EOY21004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A subunit A2, 65,PP2AA2 isoform 1 MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGLEYANVLLPPLETLCTVEETCVRDKAVESLCRIGAQMREQDLVESFIPLVKRLAAGEWFTARVSSCGLFHIAYPSAPDALKTELRAIYSQLCQDDMPMVRRSAATNLGKFAATVEAPHLKVDIMSMFDDLTQDDQDSVRLLAVEGCAALGKLLESQDCVAHILPVIVNFSQDKSWRVRYMVANIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPQWAMQHIVPQVLDMINNPHYLYRMTILHAISLLAPVMGPEIICSNLLPLVINASKDRVPNIKFNVAKVLQSLIPIVDQSVVEKTIRPC >EOY21180 pep chromosome:Theobroma_cacao_20110822:3:3905196:3908786:1 gene:TCM_012568 transcript:EOY21180 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-S glucosidase 44 isoform 1 MRVQMSSLVFWVGLVLLARYVAGNEGSQVQPETVKLDTGGLSRESFPKGFVFGTATSAYQVEGMASGDGRGPSIWDVFVKIPGIVAKNATGEVSVDQYHRYKEDVDLMANLNFDAYRFSISWSRIFPDGTGKVNWKGVAYYNRLIDSLLQRGITPYANLYHYDLPEALEKRYNGLLSYQVVKDFADFADFCFKTFGDRVKNWMTFNEPRVVAALGYDNGFFAPGRCSKAYGNCTAGNSGTEPYIVAHHLILAHGAAVQRYRLKYQPKQKGRIGILLDFVWYEPLTRSKADNYAAQRARDFHVGWFIHPIVYGEYPRTMQEIVGNRLPKFTKEEVKMVKGSIDFVGINQYTAYYMYDPHQSKPKVLGYQQDWNAGFAYAKRGVPIGPRANSNWLYNVPWGLYKALMYIKERYGNPTVILSENGMDDPGNVTLSQGLHDTTRINYYKAYLTQLRKAVDGGANVVGYFAWSLLDNFEWRLGYTSRFGIVYVDFSNLKRYPKMSAYWFKQLLTRKKH >EOY21181 pep chromosome:Theobroma_cacao_20110822:3:3889328:3912503:1 gene:TCM_012568 transcript:EOY21181 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-S glucosidase 44 isoform 1 MAHCDGRGPSICDVFVKIPGIVAKNATGEVSVDQYHRYKEDVDLMANLNFDAYRFSISWSRIFPDGTGKVNWKGVAYYNRLIDSLLQRGITPYANLYHYDLPEALEKRYNGLLSYQVVKDFADFADFCFKTFGDRVKNWMTFNEPRVVAALGYDNGFFAPGRCSKAYGNCTAGNSGTEPYIVAHHLILAHGAAVQRYRLKYQPKQKGRIGILLDFVWYEPLTRSKADNYAAQRARDFHVGWFIHPIVYGEYPRTMQEIVGNRLPKFTKEEVKMVKGSIDFVGINQYTAYYMYDPHQSKPKVLGYQQDWNAGFAYAKRGVPIGPRANSNWLYNVPWGLYKALMYIKERYGNPTVILSENGMDDPGNVTLSQGLHDTTRINYYKAYLTQLRKAVDGGANVVGYFAWSLLDNFEWRLGYTSRFGIVYVDFSNLKSALYLVRGHFNFSSRKCQLGVIRKHTQDFRPLNLILVI >EOY21182 pep chromosome:Theobroma_cacao_20110822:3:3905679:3909556:1 gene:TCM_012568 transcript:EOY21182 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-S glucosidase 44 isoform 1 MRVQMSSLVFWVGLVLLARYVAGNEGSQVQPETVKLDTGGLSRESFPKGFVFGTATSAYQVEGMASGDGRGPSIWDVFVKIPGIVAKNATGEVSVDQYHRYKEDVDLMANLNFDAYRFSISWSRIFPDGTGKVNWKGVAYYNRLIDSLLQRGITPYANLYHYDLPEALEKRYNGLLSYQVVKDFADFADFCFKTFGDRVKNWMTFNEPRVVAALGYDNGFFAPGRCSKAYGNCTAGNSGTEPYIVAHHLILAHGAAVQRYRLKYQPKQKGRIGILLDFVWYEPLTRSKADNYAAQRARDFHVGWFIHPIVYGEYPRTMQEIVGNRLPKFTKEEVKMVKGSIDFVGINQYTAYYMYDPHQSKPKVLGYQQDWNAGFAYAKRGVPIGPRANSNWLYNVPWGLYKALMYIKERYGNPTVILSENGMDDPGNVTLSQGLHDTTRINYYKAYLTQLRKAVDGGANVVGYFAWSLLDNFEWRLGYTSRFGIVYVDFSNLKSALYLVRGHFNFSSRKCQLGVIRKHTQDFRPLNLILVI >EOY21782 pep chromosome:Theobroma_cacao_20110822:3:18273084:18280455:-1 gene:TCM_013899 transcript:EOY21782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWLPMLNRVKTKLVGWKSKLLTCQTLLCKIIMMLFTCSIECLYIEEAQEIDKRRQFLWGELDGKKNESICKLIVCGLGITNLMLKNKALLNKWVWRYGSKIENLWKQVMAEINGKNLFELMSRFLRWSWPDLPNPHTNSGHTTTLNMNSVSLHFALTRT >EOY24471 pep chromosome:Theobroma_cacao_20110822:3:31108983:31112357:-1 gene:TCM_016066 transcript:EOY24471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase family protein, putative MDINIYALGKLNCQYSKPISSLSSSLLGREIMEKTPWLHLCLISCLILFFLVRSWYPPRKPELPDCSLHAPWCTSKNRIFSNSKAGSALHTQVQESTDHSAESPRHPLDPLTIQEINRVRTILSSYEPFSSTFPTINTLLLDEPDKLQVIGWRKGDPLPPRKAAVLALLNGQSHVLSVDLDSSRVTSHAINPTSGYPMLSMNDILGASKVPFSSAEFNKSMTARAISLSNLVCLTPSAGWFGPKEDGKRVIRVQCYSGEGTSNFYMRPIEGLTVTVDLDKMEVVKIYDAGRRIPVPKSTDTDYRSDQRAGLVISRAMVRDSETGELRNVMYKGFSSELFVPYMDLDESWYFKSYMDAGEFGLGTTALSLVPLNDCPRYSYYMDGVFAASDGMQFVQPSMICLFESYAGDISWRHSETQVFGFQIREARPKVTLVARMAASVGNYDYIFDWEFQTDGLIRIKVGLSGMLMVKEVLIRMYIRCLIKEESLPGESPRKSYLKVERKTAKTEKDAQIKLKLYDPSEFHMINPSRRSRLGNPTGYKLVPGGTAASLLDGDDPPQLRSAFTNNQIWVTPFNKSEQWAGGLLVYQSRGEDTLAVWSERNRPIESKDIVLWYTMGFHHIPCQEDFPVMPTVTSGFELKPVNFFESNPILRAAPAFEKDLIVCRPGAFS >EOY20578 pep chromosome:Theobroma_cacao_20110822:3:220970:224816:1 gene:TCM_011964 transcript:EOY20578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine/serine-rich coiled coil protein MEEEKAVAYYEELTRKGEGAARFKRGLGFSSNDDQHDAVPQRSSAFVSSSSSSSFLSSFVRASSPATASKLEKESQLQSIQNKLKKKPEREARVSERSSGERERERDRGKDRHSRRRSRSRSRSRERYRERDRERRRRRSRSRSPRRDRRRSRSRSPRRDRSSGRRRSRSLSPRERRRSERDGERVGNSKKERNGAVDYSQLIEGYDKMSPAERVKAKMKLQLAETAEKDPAKGPGWERFEFDRDAPLDDEEIEVAEDDAALVKHIGQSFRFSAIKARREEQIKAAHDEAIFGASTVSLSITADSEPEEENHKKNSNDNGLAISLLSEKVLAKQPGSWRDRVRKA >EOY24924 pep chromosome:Theobroma_cacao_20110822:3:32698078:32700787:1 gene:TCM_016391 transcript:EOY24924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein MNHSGAAFDQKAAVEVTKDRSGIDQVVLRNPRGASARVSLHGGQVLSWRTDRGEELLFTSSKAIFKPPYAVRGGIPICFPQFGQRGSLEQHGFARNRTWIIDDNPPPLHPNDSSGKAYTDLLLKPSEDDSKIWPHSFEFRLRVSLTADGNLSFISRIRNINSKPFSFSIAYHTYFSISDISEVRVEGLETLDYLDNLRQRERFTEQGDALTFESEVDRVYLSSRDIIAIFDHERKRTFMIQKEGLPDAGVWNPWEKKSRTLVDFGDDEYKQMLCVYGAAVEKPITLKPGEEWTGRLELSVVPSS >EOY24728 pep chromosome:Theobroma_cacao_20110822:3:32049078:32052981:-1 gene:TCM_016251 transcript:EOY24728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein MAVMETIATTSLLGRHPLRGRISVRDFSCKQKSSNYQFPVTEFIGRRIVLSHPLPRLKGDRLVNSSVKARAIELTKEVHAYREEERTQRNWNYGIDTGKDRKPKLWPPENRADRPSLHNPLFRQERMGCGWFAAIFEWEGVIIKDNPELEKQAWLALAEEEGKSPPPAFTLRRIEGMKNKQAISEILCWSRDPAEVKRMAARKEDMYQALQGGVYRFCAGSIEFVDVLMQYKIPMALVSTRPRETLESAIRKIGIEGCFSAIVAAEDVYRGKPDPEMFLYAAQLLKFISERCIVFGNSNQTVEAAHDARMKCVAVANKHRVYELGAADLVVRRLDELSVVDLKNLADMESAEFGSGEPKLEMETEEEEDSPSTSTAVDDSIF >EOY23317 pep chromosome:Theobroma_cacao_20110822:3:27009368:27011422:-1 gene:TCM_015253 transcript:EOY23317 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding Plectin/S10 domain-containing protein MIIPEKNRREICKYLFQEGVCYAKKDFNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKSTKPPGRLGGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGGDFGGDKGGAPADFQPSFRGSGGRPAFGRGGGGYGAAPSGSGFA >EOY22217 pep chromosome:Theobroma_cacao_20110822:3:22466941:22469298:-1 gene:TCM_014441 transcript:EOY22217 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL10I11.10 protein, putative isoform 1 MVTYQANWARTCDTCQAAACTLYCHTDSSYLCNDCDKRIHAANPMASSHQRVWICAVCENAAAAVTCRADAASLCIKCDIEIHSVNPLARRHIRVPIPPLSGLACSSSSTHQVELPDPMFDTENEIAAGTINEEIDENETDCWLLLEPDSTDNQTMSGFTYGEQVDEYMDVRDTCTEYRCQEQCSDQQQLLCVNNPEDSGSDIDVPVQTFESKKQSQQQEKQLQRQTHQQLQGIYFNTEHRGSKAAFMYTPSSTLSVPLPLITAGILPNATSNIPSTYTGFPNGATDLFPYPLPLMPLQFTPMNREAKVLRYREKRKARKFEKKIRYASRKAYAETRPRVKGRFARKTDMEIEDDQLFSKEDYGYCIVPSL >EOY22216 pep chromosome:Theobroma_cacao_20110822:3:22466622:22469213:-1 gene:TCM_014441 transcript:EOY22216 gene_biotype:protein_coding transcript_biotype:protein_coding description:JHL10I11.10 protein, putative isoform 1 MVTYQANWARTCDTCQAAACTLYCHTDSSYLCNDCDKRIHAANPMASSHQRVWICAVCENAAAAVTCRADAASLCIKCDIEIHSVNPLARRHIRVPIPPLSGLACSSSSTHQVELPDPMFDTENEIAAGTINEEIDENETDCWLLLEPDSTDNQTMSGFTYGEQVDEYMDVRDTCTEYRCQEQCSDQQQLLCVNNPEDSGSDIDVPVQTFESKKQSQQQEKQLQRQTHQQLQGIYFNTEHRGSKAAFMYTPSSTLSARGAQPLHQCSRDFPKSVNEEQQTNGIYVPLPLITAGILPNATSNIPSTYTGFPNGATDLFPYPLPLMPLQFTPMNREAKVLRYREKRKARKFEKKIRYASRKAYAETRPRVKGRFARKTDMEIEDDQLFSKEDYGYCIVPSL >EOY20738 pep chromosome:Theobroma_cacao_20110822:3:864314:870146:1 gene:TCM_012083 transcript:EOY20738 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCO3-transporter family MEETFVPLRGIKNDLKGRLLCYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLERNTDGSLTAVQTLASTALCGIIHSVVGGQPLLILGVAEPTVLMYTFMYNFVKDRKDLGHKLFLAWAGWVCVWTALLLFLLAILGACSIINRFTRVAGELFGLLIAMLFMQQAIRGVVEEFGIPARENPDQTALRPSWRFGNGMFALVLSFGLLLTALRSRKARSWRYGTGWVRGFIADYGVPLMVLVWTAASYIPVNHIPRGIPRRLFSPNPWSPGAYSNWTVIKEMLNVPPLYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPPSYHYDLLLLGFLVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTARKSISKNSNLSQVYRSMQEAYNEMQTPLVYQLPSTLGLKELKESTIQLASSTGYIDAPVDEAIFDVDKEIDELLPVEVKEQRLSNLLQSLMVAGCIAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHATFVETVPFKTIATFTFFQTVYLLVCFGITWIPIAGVLFPLLIMLLVPVRQYVLPKFFKGAHLQDLDAAEYEEAPAIAYNRTFEDQELQARTNNIDGAEILDEIITRSRGEIRRSQSPKISSATPTSLGDIKPAYSPRISQRARSPRISQLRGEISPSLTEKGLEFKQTPSPGPSTLGQSSNASLSS >EOY22875 pep chromosome:Theobroma_cacao_20110822:3:25152243:25153138:-1 gene:TCM_014918 transcript:EOY22875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARGIPTYDGDGSSYDCGGGSGRQYITTQCYPSASSSFPRVDSFYYSSLNRGTVGDVFFGTDDIRDTRDSMYKEDVMESYCRETAIKSLRRQSKKRSISTFGCVEFLKLLRCCCRCII >EOY20832 pep chromosome:Theobroma_cacao_20110822:3:1417896:1421159:1 gene:TCM_012171 transcript:EOY20832 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain MMSEDTGESTESQEQFFWGAKVRVVHFTFDQEASRKELARAIIMHEYPLSIVDDVGFRKYSASLQPLFEVGSRKTLEGDILKIYDFEKAKLRNVIEGLNTRFAITTEMWTSKEKKGYMSVIAHYIDDSWVLQSRMLRFIYVPIPRTMDVIAQNLMDALMGWNIETKLSTITVENCTSGDGMLSIIVDKLSSSLLLDGKIVHVRCFAHVVDLVVKDGLSLVENAIERMRDSVAFWSATPSRVDNFEAVARQAKISSLNKLGLDCKTCWKSTYLMLKTAIWYKDLFPKLLLRDEHYTCVPTDDDWKMGKNIAEKLAYDDVVISSMAIKMFEKFGKYWNVIHVGLAVAAVLDPRYKLKAVEFYFHRIYGDNALLEIEKVKRTCYELLHEYQRKSHVSPTSSQASSLQVSNGPTFDSEDIPSDLIAFLSESSTNVDVGCELDHYLDEPILPWTRDFDILSWWKTMGIRFTTLQKIAKDFLAIPVSTVSSDSTFGTSGRRVVSLHRSRLHPNTLEALMCSHDWLWKSSEVEDSNCLCIADEDEEG >EOY21166 pep chromosome:Theobroma_cacao_20110822:3:3751951:3753305:-1 gene:TCM_012547 transcript:EOY21166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 61 protein, putative MHGILEDFHSVPAILFSLGGFSGNHFHDFSDLVIPLYTTSKHFDGEVQFLVTDNRPWWITKFKGILRKLSRYDIVSLDREQKSHCYPSIIIGLKYHKELGIDQSKSQDQLSMKDFRQFLRSTYSLKRRNAITIGDDMGKKPRLLIITRRKSRTFTNMGKITRMAASLGYNVVTAEPNISTSLWSVAQTVNSCDVLIGIHGAGLTNMLFLPDNAILIQIVPLGSIDGLARGYFGEPAVEMNLRYLEYKIKTKESSLSSKYHLDHVIITDPLSVHKQGWDAVRSTYLDKQNVKLDVRRFRTALLKALELLHQLSMYT >EOY24889 pep chromosome:Theobroma_cacao_20110822:3:32575810:32580942:-1 gene:TCM_016367 transcript:EOY24889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 6 isoform 2 MGNCNGHPSADNQFRPDSDSGGGPHNGINIKAGPSPPRPQQHSTTHHSSSAASNHRLATPTTPPIGRVLGRPMEDVRSNYVFGRELGRGQFGITYLVTHKETKQQFACKSIATRKLINRDDIEDVRREVQIMHHLTGHRNIVELKGAYEDRHSVNLIMELCAGGELFDRILAKGHYSEREAANLCRQIVMVVHNCHSMGVMHRDLKPENFLFLSKDEDSPLKATDFGLSVFFKRGDVFKDLVGSAYYVAPEVLRRRYGPEADIWSAGVILYILLSGVPPFYGETEQSIFDSILRGNIDFSSDPWPSVSSSAKDLVRKMLLDDPKERLSASEVLNHPWMREDGDASDKPLDIAVLTRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSMDTDNSGTITYEELKAGLPKLGTKLSESEVRQLMEAADVDGNGTIDYIEFITATMHMNRMEREEHLYTAFQYFTTWDREIVLAF >EOY24888 pep chromosome:Theobroma_cacao_20110822:3:32576510:32580934:-1 gene:TCM_016367 transcript:EOY24888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 6 isoform 2 MGNCNGHPSADNQFRPDSDSGGGPHNGINIKAGPSPPRPQQHSTTHHSSSAASNHRLATPTTPPIGRVLGRPMEDVRSNYVFGRELGRGQFGITYLVTHKETKQQFACKSIATRKLINRDDIEDVRREVQIMHHLTGHRNIVELKGAYEDRHSVNLIMELCAGGELFDRILAKGHYSEREAANLCRQIVMVVHNCHSMGVMHRDLKPENFLFLSKDEDSPLKATDFGLSVFFKRGDVFKDLVGSAYYVAPEVLRRRYGPEADIWSAGVILYILLSGVPPFYGETEQSIFDSILRGNIDFSSDPWPSVSSSAKDLVRKMLLDDPKERLSASEVLNHPWMREDGDASDKPLDIAVLTRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSMDTDNSGTITYEELKAGLPKLGTKLSESEVRQLMEAADVDGNGTIDYIEFITATMHMNRMEREEHLYTAFQYFDKDNSGYITMEELEQALRKYNMGDEKTIKEIIAEVDTDRDGRINYDEFVAMMRKGNPDLVGNRRRK >EOY23105 pep chromosome:Theobroma_cacao_20110822:3:26228257:26230441:-1 gene:TCM_015102 transcript:EOY23105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-A13 MGAGVSGLAADAQRGFPLRPGLDDVPESCISSIFMYLDPPEICKLASLNRAFRGASLADFVWETKLPSNYRYLVKKVLGQSPETLSQKETYARLCRPNRFDGGTKEVWLDKNSGKLCLAVSAKALKITGIDDRRYWNHIPTEESRFQTVAYLQQIWWFEVVGELDFEFPPGAYSLFFRLQLGKSSKRFGRRVCNLDQVHGWNIKPVRFQLSTSNGQQASSECYLYEPGNWVHYHVGDFVIENSNSPAKIKFSMMQIDCTHTKGGLCVDSVLIYPSEFRQRLK >EOY22185 pep chromosome:Theobroma_cacao_20110822:3:22187174:22193725:1 gene:TCM_014403 transcript:EOY22185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MKIKSLKLREAHKGSANASFCSILWDLNAHHLVTASSSEPSISIHDPLLPSNPPRVLRHHRDGVTALALSPNSTCLSSGSIDHSVKLYKFPGGEFETNITRFTLPIRALAFNKSGSMLAAAGDDEGIKLINTIDGSIARVLKGHRGPVTGLAFDPNGEYLASIDSIGTVIYWELQSGRTLYTLKGVAPDTGSDTSILNVLSWSPDGETLAVPGLKNDIVMYDRDTAEKLFTLRGDHTQPICFMSWSPNGKYMATSSLDKQILIWDVNRKQDIDRQKFDNRICCMAWKPIGNALAVIDVMGKYGVWESVVPSSMKSPTEDIPSSRSKNSNGLLFFDEEDEEDQELSMSGSLSDLGEDSHGESEPPSRKRLRKQSVIDDDQHEDVYNELNLCTKTEYRKKVHRINKESSDKERDGSRSMMTFRPKMQEAFQPGSTPPQPGKRNFLCYNMLGSITTIKHDEYSHIEIDFHDTSTGPRVPPMTDYYGFTMASLNENGSVFANPCKGEKNMSTLMYRPFSSWANNSEWYMRFEGEEVKAVALGGTWVAAVTSLNFLRIYTDGGLQKHILSLNGPVVTAAGFKDELAIVTHISDCLPSNEQMLEFRIFNISKGTQPFKGHLPLTPGSYLTWFGFTEEGQLSSYDSKGVLRVFTSQYGGNWLPLFSASKERKSGENYWVVGLNASKLFCIVCKNPDSFPQVLPKPVLTLLNLSFPLASSDLGEEALENEFMLNNLHLSQIQKRIEVMADEGLDTTPLDDEAFDIEAAQDRCIFRLIASCCNGDKLVRATELVKLLSVEKSVRGAIQLATALKLPILAERFNNILEERLQSKTEVLKTSLPRSKHDRNVKADVAASMALTATERSEILEPTFSSSSAKLSAPLLIKKVKSSDRVKFGKPKTDGDQTACLEDSREVNDAGKNAGPSQVKNLQTQRPSNPFLKLPNNQEEKKVEEVMSESQSQGPSNPFFKSSNKVGEATGIQQSHRPSNPFLKSTAK >EOY22186 pep chromosome:Theobroma_cacao_20110822:3:22187673:22193650:1 gene:TCM_014403 transcript:EOY22186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MKIKSLKLREAHKGSANASFCSILWDLNAHHLVTASSSEPSISIHDPLLPSNPPRVLRHHRDGVTALALSPNSTCLSSGSIDHSVKLYKFPGGEFETNITRFTLPIRALAFNKSGSMLAAAGDDEGIKLINTIDGSIARVLKGHRGPVTGLAFDPNGEYLASIDSIGTVIYWELQSGRTLYTLKGVAPDTGSDTSILNVLSWSPDGETLAVPGLKNDIVMYDRDTAEKLFTLRGDHTQPICFMSWSPNGKYMATSSLDKQILIWDVNRKQDIDRQKFDNRICCMAWKPIGNALAVIDVMGKYGVWESVVPSSMKSPTEDIPSSRSKNSNGLLFFDEEDEEDQELSMSGSLSDLGEDSHGESEPPSRKRLRKQSVIDDDQHEDVYNELNLCTKTEYRKKVHRINKESSDKERDGSRSMMTFRPKMQEAFQPGSTPPQPGKRNFLCYNMLGSITTIKHDEYSHIEIDFHDTSTGPRVPPMTDYYGFTMASLNENGSVFANPCKGEKNMSTLMYRPFSSWANNSEWYMRFEGEEVKAVALGGTWVAAVTSLNFLRIYTDGGLQKHILSLNGPVVTAAGFKDELAIVTHISDCLPSNEQMLEFRIFNISKGTQPFKGHLPLTPGSYLTWFGFTEEGQLSSYDSKGVLRVFTSQYGGNWLPLFSASKERKSGENYWVVGLNASKLFCIVCKNPDSFPQVLPKPVLTLLNLSFPLASSDLGEEALENEFMLNNLHLSQIQKRIEVMADEGLDTTPLDDEAFDIEAAQDRCIFRLIASCCNGDKLVRATELVKLLSVEKSVRGAIQLATALKLPILAERFNNILEERLQSKTEVLKTSLPRSKHDRNVKADVAASMALTATERNGDQTACLEDSREVNDAGKNAGPSQVKNLQTQRPSNPFLKLPNNQEEKKVEEVMSESQSQGPSNPFFKSSNKVGEATGIQQSHRPSNPFLKSTAK >EOY23998 pep chromosome:Theobroma_cacao_20110822:3:29270870:29273425:1 gene:TCM_015718 transcript:EOY23998 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein isoform 1 MDNSKNRHNDHLGVNKIGKNIKKSPLHQPNFANNAARQQPQPQVYNISKNDFRNIVQQLTGSPSQDPLPRPPQNPPKPQSMRLQRIRPPPLTPINRPHIPPPVPVPVPAPAHVPALVPPPAPYNNSLVRPGHYGPPSPAMLHPMMPGDVIWGNTAESPISAYMRYLQTSLIDPSPVGNQVQPQLYPPVPGQPQALPPSSGLLPNPPMPVLPSPRGVNGPVPPMPNIPSPRMKGPVPSMPNLPSPRMNGPPLLPSPTSQFLLPSPTGYMNLLSPRSPYPLLSPGVQFPPMTPNFAFSPMGQSGILGPGPQPPPSPGLVFPLSPSGFFPFPSPRWRDQ >EOY23999 pep chromosome:Theobroma_cacao_20110822:3:29270857:29273318:1 gene:TCM_015718 transcript:EOY23999 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein isoform 1 MDNSKNRHNDHLGVNKIGKNIKKSPLHQPNFANNAARQQPQPQVYNISKNDFRNIVQQLTGSPSQDPLPRPPQNPPKPQSMRLQRIRPPPLTPINRPHIPPPVPVPVPAPAHVPALVPPPAPYNNSLVRPGHYGPPSPAMLHPMMPGDVIWGNTAESPISAYMRYLQTSLIDPSPVGNQVQPQLYPPVPGQPQALPPSSGLLPNPPMPVLPSPRGVNGPVPPMPNIPSPRMKGPVPSMPNLPSPRMNGPPLLPSPTSQFLLPSPTGYMNLLSPRSPYPLLSPGVQFPPMTPNFAFSPMGQSGILGPGPQPPPSPGLVFPLSPSGFFPFPSPRWRDQ >EOY24000 pep chromosome:Theobroma_cacao_20110822:3:29270881:29273305:1 gene:TCM_015718 transcript:EOY24000 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein isoform 1 MDNSKNRHNDHLGVNKIGKNIKKSPLHQPNFANNAARQQPQPQVYNISKNDFRNIVQQLTGSPSQDPLPRPPQNPPKPQSMRLQRIRPPPLTPINRPHIPPPVPVPVPAPAHVPALVPPPAPYNNSLVRPGHYGPPSPAMLHPMMPGDVIWGNTAESPISAYMRYLQTSLIDPSPVGNQVQPQLYPPVPGQPQALPPSSGLLPNPPMPVLPSPRGVNGPVPPMPNIPSPRMKGPVPSMPNLPSPRMNGPPLLPSPTSQFLLPSPTGYMNLLSPRSPYPLLSPGVQFPPMTPNFAFSPMGQSGILGPGPQPPPSPGLVFPLSPSGFFPFPSPRWRDQ >EOY21305 pep chromosome:Theobroma_cacao_20110822:3:5899784:5914258:-1 gene:TCM_012777 transcript:EOY21305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLCFHYGKFKHGSEFCLVRQKEQKGLLEEQVTKLAQKKKNLERDYESLHHGSWMVAKKIYRKNNGNKAEGGTKSKPSMSPQERNSKDAVKQSSRVYIIIDEGNTPDAKDFVPNTLQKGAMEKVIMTSVSNRNTTASKAKVEINLANHTRTETTPISTHRPLPQKRANVENIGVGINGVARERESLAVDESSHVRIEEYTTSIEMELEIEEKDIMQY >EOY24181 pep chromosome:Theobroma_cacao_20110822:3:29997991:30000345:-1 gene:TCM_015852 transcript:EOY24181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light harvesting-like protein 3 MSISMASFSPPTTSLSVKPHFTHKSTFFLPLRRPIRFLSTPKSLPDNGAGVSASVAAVDDAKPKQKDPVLEEAVAESAGTNGAATSPGIDVLSKFEDPRWIGGTWDLKLFQTNGSTDWDAVIDAEVKRRKWLQDNPETTSNDDPVVFDTSTIPWWAWMKRFHLPEAELLNGRAAMIGFFMAYLVDSLTGVGLVDQMSNFFCKTLLFVAVVGVLLIRKNEDLDNIKKLIEETTFYDKQWQATWQDDTSSENN >EOY22400 pep chromosome:Theobroma_cacao_20110822:3:23289971:23291681:1 gene:TCM_014578 transcript:EOY22400 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-N-debenzoyl-2'-deoxytaxol N-benzoyltransferase, putative MQCPPEAIDWFHLSKLEMEIQIISRETIKPSSPTPHHLRTHKLSLLDQLVPPVNIPVLLFYSATAENPRKRSLQLKESLSKTLVHFYTFAGRLSESFSIDCNDNGATYIEAQVAIDMSVVLKEPEIDLLLQLLPCDPHENLAEPSAQVVLSIQVNYFACGGMAICVCVHHAVADASAVATFLQSWAAVACGANLTDTETFDCTSLFPRQDLSGFWEVLEENKDLIIREDVVTTRFLFDRSKIDVLRDEIGNGSSLYRPTRVEAVSALIWNAMVASIAEYDKTIPIYVATSVNLRKRMNFPFPQKCVANVSQFIMTELPIEKTKNLSNLAGNLHDSITKVDDEYVRKLHAGGAYLNIMKGAIKGFGKNWIFSFSSWCRFPFYETDFGWGKPIWFGTTLRLNRVAFFLDTNDGGGIEAWITLTQEEMVKLEQDTGILDYATFKPST >EOY25087 pep chromosome:Theobroma_cacao_20110822:3:33189957:33193729:1 gene:TCM_016507 transcript:EOY25087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like G3, putative MAYDYPTEKISIYVSDDEGSALTLFAFMEATKFASYWLPFTRENNIMDRNPDVYFASGHSCISEAKNIKEIYENMKVRVENVVNRGEVSEIYISNDEEREAFNKWTGGFTPQDHPTVIQVLLDSSKDKDITAHFLPNLIFVPRQKSKTSPHHFKAGALNVLLRVSTVMTNAPIILTQDCDMYSNDPQTPLRVLCYLCDPAIEPNLGFIQFPQRFPGDHIIVGLEPSSVGEHPSAPVKTEILELSPDHVVNKPIKYLDILPLAHHVASCNYENQTKWGSKMRFGYGSLVEDFYTGYRLQCDGWRSICCNPERAAFLGNIPINLVDVLGQCNVGPDHLCLPQLALPNGVSVFPEISEPWFFLYLLLLLGAYGQDFLEYVLEGATFRKWWNAQRMWMIRKLWSFSLGAAEYFLKSIGLSTYGFIVINKAVDDEQNKRYCQGMFEFGVPSPLFVPPTMAAIINLFSFIWGTILVISGSTEKGLLLQMLLAGCVVNCFPIYEAMALRSDKGKMPAKITIIATFLAGALYTATSIILK >EOY25082 pep chromosome:Theobroma_cacao_20110822:3:33152222:33168455:1 gene:TCM_016502 transcript:EOY25082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein G3 MDGRGLRARRTTHAPPLHKFEPLRRTALNRLFAAVYACAIIALLYRHAQTLLNPATSLLSFSLSLSLLISDLVLAFMWTGAQAFRMCPIRRKEFPENLRKIIKEEDFPGLDVFICTADPYKEPPMNVVNTALSLMAYDYPTEKISVYVSDDGGSAFTLFAFMEAAKFASHWLPFCREHNMMERSPEVYFASTYHSLSPEIEKIKMMYKTIKVKVEHIVDKGEISDEYIVDNEYREAFSKWTDGFTRMDHPAVVQVILDKSKDRDVLGNFLPNLIYVSRQKSKTSPHHFKAGALNVLLRVSAVMTNAPLILTQDCDMYSNDPQTPHRVLCYLLDPALQSKLAYIQFPQRFHGLNQTDIYASEYKREFQINTMGLDGLIGPGYMGSGCFFRRRAFFGGPSTLVQPEIPELSPDHVVDKPINSREILSLAHNVAGCDYENQTEHWGFKNGFRYGSLVEDFYTGYRLQCEGWKSLFCHPERAAFLGDVPITLLDLLSQCKRWCIGLLEVAFSKFNTLIFGSRSMGLLMGLAYSHYAFWPIWCIPVTLYSFVPQLALLNKVSIFPKVSEPWCFLYVFLFLGAYGQDLLDFVLARGTVQRWWNAQRMWMIRALSCYLFSSVEYLLKSLRISTHAFSLTSKVLDDEQSKRYGQGIFEFGVPSPLFVPLTMAAIINLLSFAWGLTEFWDNGNKEGLALQMVLAGFIVLNCLPIYGAIALRSDKGKMPTQIIVISTFLSMMYEIMKVKVEYIIDKGEISDEYIIDNAYREACSKWTDGFTRMDHPTVAQKSKTSPHHFKAGALNVLLRVSAVMTNAPLILTQDCDMYSNDPQTPIRVLCYLLNPALQSKLAYIQFPQRFHGLNQTDIYVFEYKHLFQINPMGFDGIMGSNYVGSDCFFCRRAFFGGPSTLVPTEIPELSPDHVVNKPINSQKNFSLAHNVASCDYENQTEHWGSKDIDFSVKDGRAYSVILRGQHF >EOY23291 pep chromosome:Theobroma_cacao_20110822:3:26933790:26938173:-1 gene:TCM_015233 transcript:EOY23291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferric reduction oxidase 4 isoform 1 MSSHAMMRMIFLVVFLGWLTIWVMLPTKLYKYTWRPKLDSKLNSTYYAAQGTNLLLFSFPMMFIAAFGCAYLHFQKKSRASNSKSSGAVAFLKRPVLVMAPLGIVSALDLIFSAMFVALMIWSLANYLYVSFGHLHMDGVGEKIWQAKFRSVSLRLGYIGNICWAFLFFPVTRASSLLPLVGLTSESSIKYHIWLGHVSNILFVAHTIGFIIYWAMTDQMAEMIEWSRTYVSNVAGVIAIVIAIPMWVTSMPRFRRKMFEVFFYTHHLYLVYIVFYVLHVGDTYFCMILPGIFLFLIDRFLRFLQSRHRARLLSARVLPCGVVELNFCKAPGLYYNPTSVLFVNVPRISKLQWHPFTIASNCNTEQDKLSVIIKSEGSWSQKLYQEISSSNLDHLEISTEGPYGPLSDHFLRHESLVLVCGGSGITPFISIIQEIIFQSQKPDFKVPRVVMICAFKHSADLAMLDLLLPISGTPAQICQLQLQIEAYITSEKDQPNADTHEPLQTIWFKPSPLDSPISATLGPNNWLWLGAVISSSFIMFLLLLSIVTGYYIYPIDHNTEKIYHFSYRALWDMFLVCACIFVVSSLVFLWRKKQNAWEINQIQNTEVPTPTTSPGSWFCGADRELESLPHQSLVQATKKYCSTLKNQT >EOY23290 pep chromosome:Theobroma_cacao_20110822:3:26933946:26937568:-1 gene:TCM_015233 transcript:EOY23290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferric reduction oxidase 4 isoform 1 MSSHAMMRMIFLVVFLGWLTIWVMLPTKLYKYTWRPKLDSKLNSTYYAAQGTNLLLFSFPMMFIAAFGCAYLHFQKKSRASNSKSSGAVAFLKRPVLVMAPLGIVSALDLIFSAMFVALMIWSLANYLYVSFGHLHMDGVGEKIWQAKFRSVSLRLGYIGNICWAFLFFPVTRASSLLPLVGLTSESSIKYHIWLGHVSNILFVAHTIGFIIYWAMTDQMAEMIEWSRTYVSNVAGVIAIVIAIPMWVTSMPRFRRKMFEVFFYTHHLYLVYIVFYVLHVGDTYFCMILPGIFLFLIDRFLRFLQSRHRARLLSARVLPCGVVELNFCKAPGLYYNPTSVLFVNVPRISKLQWHPFTIASNCNTEQDKLSVIIKSEGSWSQKLYQEISSSNLDHLEISTEGPYGPLSDHFLRHESLVLVCGGSGITPFISIIQEIIFQSQKPDFKVPRVVMICAFKHSADLAMLDLLLPISGTPAQICQLQLQIEAYITSEKDQPNADTHEPLQTIWFKPSPLDSPISATLGPNNWLWLGAVISSSFIMFLLLLSIVTGYYIYPIDHNTEKIYHFSYRALWDMFLVCACIFVVSSLVFLWRKKQNAWEINQIQNTEVPTPTTSPGSWFCGADRELESLPHQSLVQATKVHFGSRPDLKKILFDIKESDVGVLACGPRKMRHEVAKICASGLADNLQFEYISFTL >EOY23292 pep chromosome:Theobroma_cacao_20110822:3:26934058:26937443:-1 gene:TCM_015233 transcript:EOY23292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferric reduction oxidase 4 isoform 1 MMFIAAFGCAYLHFQKKSRASNSKSSGAVAFLKRPVLVMAPLGIVSALDLIFSAMFVALMIWSLANYLYVSFGHLHMDGVGEKIVSLRLGYIGNICWAFLFFPVTRASSLLPLVGLTSESSIKYHIWLGHVSNILFVAHTIGFIIYWAMTDQMAEMIEWSRTYVSNVAGVIAIVIAIPMWVTSMPRFRRKMFEVFFYTHHLYLVYIVFYVLHVGDTYFCMILPGIFLFLIDRFLRFLQSRHRARLLSARVLPCGVVELNFCKAPGLYYNPTSVLFVNVPRISKLQWHPFTIASNCNTEQDKLSVIIKSEGSWSQKLYQEISSSNLDHLEISTEGPYGPLSDHFLRHESLVLVCGGSGITPFISIIQEIIFQSQKPDFKVPRVVMICAFKHSADLAMLDLLLPISGTPAQICQLQLQIEAYITSEKDQPNADTHEPLQTIWFKPSPLDSPISATLGPNNWLWLGAVISSSFIMFLLLLSIVTGYYIYPIDHNTEKIYHFSYRALWDMFLVCACIFVVSSLVFLWRKKQNAWEINQIQNTEVPTPTTSPGSWFCGADRELESLPHQSLVQATKVHFGSRPDLKSKLILLLRLSH >EOY22323 pep chromosome:Theobroma_cacao_20110822:3:23008884:23011612:1 gene:TCM_014533 transcript:EOY22323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLLTSQSKKSMIIKDLKPRKSTSCPDTHSYQAEKQPEIRRDVQFEMKHILQWKYSILVHFDPDEWNQSRNRVGAAVKASGGLRAQATAGRTQPLASRLLTNFTRCPINRLRR >EOY22114 pep chromosome:Theobroma_cacao_20110822:3:21423728:21426429:1 gene:TCM_014302 transcript:EOY22114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MIGALERFGGVTRSTDDNSKTRYNGAQMATTSRSLAFLLSKPFSTQSPDPIAQSLSTEILKNPDLDPLPITQRLQLSFSHIKPTSLLISQTLNLSPEAGRTVLGFNDWLLSDPNFNHTDETLSFFIDYFGRRKDFKAAHDLLVNNKSVAGPKTFESSVDRLVRAGRPAQVLGFFEGMGKDYGFKRDKESLRLIVEKLCENGYASYAEKMVKNSANEIFPDEMICDLLIKGWCVDGKLEEAKRLAGEMYRGGFEIGTMAYNAMLDCVCKLCRKKDPFRLHSEAEKVLLDMDIYGVPRNVETFNVLMNNLCKIRKTEDAMKLFYRMGEWGCYPDAESYLILIRSLYQAARVAEGDEMIDRMKSAGFGDKLGEKEYYSFLKILCGVERVEHAIIVFKKMKADGCKPGIKTYDLLMGKWCGHNRLDRANALYNEAVENGVPVEPKPYRVDPRYMKKTKAVKEEKKRETLPEKMARKRRRLKQIRLSFVKKPKRTMRHV >EOY22961 pep chromosome:Theobroma_cacao_20110822:3:25557617:25558354:1 gene:TCM_014986 transcript:EOY22961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF-2, putative MLDSTLDLISQAVSNNLYVFCLFNLIIVMILMGSKHGSSFDQDYEIPLSTPAYHKQDAEVKQSPDLSERAMNDGSVPGAYETATEDNKGNKNDINGNNIIVTDEDDEGDDELRRRVEEFIAKVNREWKAEKLGMSISA >EOY22241 pep chromosome:Theobroma_cacao_20110822:3:22569532:22576241:-1 gene:TCM_014463 transcript:EOY22241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3353) [Source:Projected from Arabidopsis thaliana (AT5G23040) TAIR;Acc:AT5G23040] MSSISNPTLSTLLLGHKLRQNQNTKNGITYFPTFRRHKIARCAVDMPYGGNAPTFPRIRVWDPYKRLGISPYASEEEIWSARNFLLEQYAGHERSEESIEAAFEKLLMASFQHRKKTKINLKSRLKKKVEESPPWIKNLLNFVELPPVEVIFRRLFLFAFMGGWSIMNSAEGGPAFQVAVSLAACIYFLNEKTKSLARAFIIGLGALATGWICGSIFVPMIPTVLIHPTWTLELLTSLGAYVFLFLACTFLK >EOY24834 pep chromosome:Theobroma_cacao_20110822:3:32372248:32374377:-1 gene:TCM_016325 transcript:EOY24834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hydroxylase 1, 1,B1,chy1,BCH1 MATGSLLAASSTVIYQFGRSTALTTKPNSLAVGLSVLARDTMLQRKGSHILRKKNCLNIFMVMQQTRNENENEAIEKSDTEKISDSIKGYNHVTLSRVEERLARKKLERTTYLLAATLSSLGISAMAIMAVYYRFSWQMEGGEVPLLELAGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAIINAAPAIALLLYGFLNRGLFPGLCFGAGLGITVFGMAYMFVHDGLVHRRFPVGPIAHVPYLRKVAAAHQLHHADKFQGVPYGLFLGPQELEEVGGMEELEKEIKRRTKLSKNIP >EOY24806 pep chromosome:Theobroma_cacao_20110822:3:32290727:32292169:-1 gene:TCM_016302 transcript:EOY24806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein CONSTANS-LIKE 1, putative isoform 2 MSCNLSVSNDSSVNRYIPEMVSTDTIDYPLLFDHPFSPFQDSSSDYNFQDLLNNSQNQQNPFDESSCPDQFVSGLLPSSPPTDQLENLSLYQTTQYPSLSFSPNLEYGYGDFNGLNYLEVKNEECQVDFDPAYRGVDNVDKYLQRSFSSNSFEGKPGFSFQLPFDSLMESQNFQGQSFSMPENSFFAGQMRKVCSTGDLENMRNAYTNPRSISTPSAIENSYMEEAPFKVGRYSAEERQERISKYKAKRNQRNFNKTIKYACRKTLADNRPRIRGRFARNDDTVEVPKAACSTRDEDEDGLWVLHSIPHGSMQALQEVEDETMARGSFMSSFSQTQYQHHHGCF >EOY24807 pep chromosome:Theobroma_cacao_20110822:3:32287882:32292336:-1 gene:TCM_016302 transcript:EOY24807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein CONSTANS-LIKE 1, putative isoform 2 MSCNLSVSNDSSVNRYIPEMVSTDTIDYPLLFDHPFSPFQDSSSDYNFQDLLNNSQNQQNPFDESSCPDQFVSGLLPSSPPTDQLENLSLYQTTQYPSLSFSPNLEYGYGDFNGLNYLEVKNEECQVDFDPAYRGVDNVDKYLQRSFSSNSFEGKPGFSFQLPFDSLMESQNFQGQSFSMPENSFFAGQMRKNMRNAYTNPRSISTPSAIENSYMEEAPFKVGRYSAEERQERISKYKAKRNQRNFNKTIKYACRKTLADNRPRIRGRFARNDDTVEVPKAACSTRDEDEDGLWVLHSIPHGSMQALQEVEDETMARGSFMSSFSQTQYQHHHGCF >EOY23201 pep chromosome:Theobroma_cacao_20110822:3:26594422:26597232:1 gene:TCM_015175 transcript:EOY23201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta 8 MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYQGDNELQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSMGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQIINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGYEYEEEEDELQDDA >EOY23525 pep chromosome:Theobroma_cacao_20110822:3:27727158:27728910:1 gene:TCM_015394 transcript:EOY23525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSLSPEKSEVPNADFGDRSPELPKPVLNGNEAQEVESQRVARVGRKKLKKKNLKIKQGEEKKRQGLVFKLKYFSNNAEEAINFKLGKKLIKNGGLKRKLKSNKEEEGGLKLKRSKIGIPSCSNPPPDLPENFKEHIVGKMGGSDWLLVIQKPIFFSDVNPRASRFSIPFSQIQSHEFLYKKEAEDLNDEKSMEVSLLEPSLEETTLSFRRWNMVKSSMYVLTTMWNSVVKNNQLEIDDVVQLWSFRVESCLCFALVKVDDVQKGSEEWVRHSKSNENGASSSHQEEGHGGCRRISC >EOY22343 pep chromosome:Theobroma_cacao_20110822:3:23061931:23066066:1 gene:TCM_014542 transcript:EOY22343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MSLTQQTLSSSNPFIFHTHFLNNHPSKPPLFLSTSKSFPSFSISATPPPPTPHSSSPIFLPFLQEPQQQELETENPKSQELGKEEDDVKDPIIRFFKSRPSTPDPPRQGKFSLQKNRRSSWHLAPDIRSLPDPESDSEPEPDGENIFSEAKQHLDSTPEDYTELPVGIVGDIVRIAKNLPENSTLGELLGGYQGKVSQKECLEVLVLMGKEGLVLGCLYFFEWMGLQEPLLVTPRACSVLFPVLGRAGMGDKLMVLFRNLPQSRVFRDVHVYNATISGLLCSKRYDDAWKVYEAMEANNVQPDHVTCSIVITIMRKTGRSAKDAWEFFERMNRKGVKWSPEVLGAIIKSFCDEGLKHEALIIQSEMEKKGVPSNAIVYNTLMDAYSKSNQIEEVEGLFAEMKAKGLVPTSATFNILMDAYSRRMQPEIVENLLLEMQDMGLKPDAKSYTCLISAYGRQKKMSDKAADAFLRMKKVGVKPTSHSYTSLIHAYSISGWHEKAYTAFENMLREGLKLSIETYTTLLDAFRRAGDTQILMKIWKLMISEKVEGTRVTFNILLDGFAKQGQYIEARDVISEFGKIGLQPTLMTYNMLMNAYARGGQHQKLPQLLKEMAALNLKPDSVTYSTMIYAFVRVRDFKRAFYYHKQMVKSGQVPDVKSYEKLKAILDVKAAKKNKKDRSAILGIINSKMGMVKAKRKTKKDELWKNKKRHHKTPDVAHGGRQ >EOY22344 pep chromosome:Theobroma_cacao_20110822:3:23061931:23066066:1 gene:TCM_014542 transcript:EOY22344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MSLTQQTLSSSNPFIFHTHFLNNHPSKPPLFLSTSKSFPSFSISATPPPPTPHSSSPIFLPFLQEPQQQELETENPKSQELGKEEDDVKDPIIRFFKSRPSTPDPPRQGKFSLQKNRRSSWHLAPDIRSLPDPESDSEPEPDGENIFSEAKQHLDSTPEDYTELPVGIVGDIVRIAKNLPENSTLGELLGGYQGKVSQKECLEVLVLMGKEGLVLGCLYFFEWMGLQEPLLVTPRACSVLFPVLGRAGMGDKLMVLFRNLPQSRVFRDVHVYNATISGLLCSKRYDDAWKVYEAMEANNVQPDHVTCSIVITIMRKTGRSAKDAWEFFERMNRKGVKWSPEVLGAIIKSFCDEGLKHEALIIQSEMEKKGVPSNAIVYNTLMDAYSKSNQIEEVEGLFAEMKAKGLVPTSATFNILMDAYSRRMQPEIVENLLLEMQDMGLKPDAKSYTCLISAYGRQKKMSDKAADAFLRMKKVGVKPTSHSYTSLIHAYSISGWHEKAYTAFENMLREGLKLSIETYTTLLDAFRRAGDTQILMKIWKLMISEKVEGTRVTFNILLDGFAKQGQYIEARDVISEFGKIGLQPTLMTYNMLMNAYARGGQHQKLPQLLKEMAALNLKPDSVTYSTMIYAFVRVRDFKRAFYYHKQMVKSGQVPDVKSYEKLKAILDVKAAKKNKKDRSAILGIINSKMGMVKAKRKTKKDELWKNKKRHHKTPDVAHGGRQ >EOY20553 pep chromosome:Theobroma_cacao_20110822:3:157860:162011:-1 gene:TCM_011950 transcript:EOY20553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transthyretin-like protein isoform 2 MEEMKVDEKEALACCGSTQFAKQMALASPFPSLDHAVSAATDIWFNKVDVNGWLEAFAAHPQIGESPSPSSHTTSAQWSKGEQSTALATATDLGLQELSDWNARYRQKFGHVFLICAAGRSATEILAELTNRYWNRPIVELEIAAKEQMKITELRLRKLLSAKAEAVSTGSQYSKVVVSKAEERVSIIGQHLSASLEAFPGKAPQVQARSRPPITTHVLDVSRGSPAAGIEVRLEMWKGGEPCPLFGEMDRGGWVLQGCSTTDRDGRSGHLISMVDAVSPGVYRISFNTGKYCPVGFFPYVSIVFEIKESQKLEHFHVPLLLSPFSFTTYRGS >EOY20552 pep chromosome:Theobroma_cacao_20110822:3:157909:161848:-1 gene:TCM_011950 transcript:EOY20552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transthyretin-like protein isoform 2 MEEMKVDEKEALACCGSTQFAKQMALASPFPSLDHAVSAATDIWFNKVDVNGWLEAFAAHPQIGESPSPSSHTTSAQWSKGEQSTALATATDLGLQELSDWNARYRQKFGHVFLICAAGRSATEILAELTNRYWNRPIVELEIAAKEQMKITELRLRKLLSAKAEAVSTGSQYSKVVVSKAEEERVSIIGQHLSASLEAFPGKAPQVQARSRPPITTHVLDVSRGSPAAGIEVRLEMWKGGEPCPLFGEMDRGGWVLQGCSTTDRDGRSGHLISMVDAVSPGVYRISFNTGKYCPVGFFPYVSIVFEIKESQKLEHFHVPLLLSPFSFTTYRGS >EOY20554 pep chromosome:Theobroma_cacao_20110822:3:158197:161689:-1 gene:TCM_011950 transcript:EOY20554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transthyretin-like protein isoform 2 MEEMKVDEKEALACCGSTQFAKQMALASPFPSLDHAVSAATDIWFNKKVDVNGWLEAFAAHPQIGESPSPSSHTTSAQWSKGEQSTALATATDLGLQELSDWNARYRQKFGHVFLICAAGRSATEILAELTNRYWNRPIVELEIAAKEQMKITELRLRKLLSAKAEAVSTGSQYSKVVVSKAEEERVSIIGQHLSASLEAFPGKAPQVQARSRPPITTHVLDVSRGSPAAGIEVRLEMWKGGEPCPLFGEMDRGGWVLQGCSTTDRDGRSGHLISMVDAVSPGVYRISFNTGKYCPVGFFPYVSIVFEIKESQKLEHFHVPLLLSPFSFTTYRGS >EOY24836 pep chromosome:Theobroma_cacao_20110822:3:32385640:32389456:1 gene:TCM_016327 transcript:EOY24836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MWTTPRRNLQVLDTLVLLGLVLVLINVNGVESRTVRILDSFGYPVTGCSRKYSASLADFGGVGDGVSSNTKAFQAAIDNLSQYASDGGSLLFVPPGKWLTGSFNLTSHFTLYLHKDATLLASQDESEWAVIDPLPSYGRGRDAVGGRYISLIFGTNLTDVVVTGDNGTIHGQGTTWWDKFHKGELKYTRPYLIEIMYSDQVQISSLTLMDSPSWNVHPIYSSNVVVQGLTIVAPVTSPNTDGINPDSCTNTRIEDCYIVSGDDCVAVKSGWDEYGIKFAMPTKQLVIRRLTCISPFSAVIALGSEMSGGIEDVRAEDITGINSESAVRIKTAVGRGNYVKDIYVRGMTMKTMKMVFWMAGNYGSHPDNDYDPNAIPVIQNINFRDVVAENVTMAARLEGIPGHPFTGICISNATIGLTKKPKKIQWNCTEIAGVSSDVTPPPCNLLTDQGPANACDFPEDSFPSVKL >EOY24837 pep chromosome:Theobroma_cacao_20110822:3:32381553:32389006:1 gene:TCM_016327 transcript:EOY24837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MWTTPRRNLQVLDTLVLLGLVLVLINVNGVESRTVRILDSFGYPVTGCSRKYSASLADFGGVGDGVSSNTKAFQAAIDNLSQYASDGGSLLFVPPGKWLTGSFNLTSHFTLYLHKDATLLASQDESEWAVIDPLPSYGRGRDAVGGRYISLIFGTNLTDVVVTGDNGTIHGQGTTWWDKFHKGELKYTRPYLIEIMYSDQVQISSLTLMDSPSWNVHPIYSSNVVVQGLTIVAPVTSPNTDGINPDSCTNTRIEDCYIVSGDDCVAVKSGWDEYGIKFAMPTKQLVIRRLTCISPFSAVIALGSEMSGGIEDVRAEDITGINSESAVRIKTAVGRGNYVKDIYVRGMTMKTMKMVFWMAGNYGSHPDNDYDPNAIPVIQNINFRDVVAENVTMAARLEGIPGHPFTGICISNATIGLTKKPKKIQWNCTEIAGVSSDVTPPPCNLLTDQGPANACDFPEDSFPSVKL >EOY20856 pep chromosome:Theobroma_cacao_20110822:3:1529028:1530357:1 gene:TCM_012195 transcript:EOY20856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDDPEPDIALHFFQNFQCFLKMDGIGKTKAEQELQSCYSIIGAVISSGHWSNLSQCRKRHWSKKPPYFMLL >EOY25272 pep chromosome:Theobroma_cacao_20110822:3:33766475:33769991:1 gene:TCM_016637 transcript:EOY25272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase MAVCTVYTTQSLNSTCSISTPTKTHLGFHQKQVVFYSTGKRTSKRGSSSSGGPCVITCSAVDSQTVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPRGGNPDSNTLISDMTTVICLDDYHSLDRTGRKEKGVTALDPRANNFDLMYEQVKALKSGVAVDKPIYNHVTGLLDPPELIKPPKILVIEGLHPMFDERVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAFIDPQKQYADAVIEVLPTQLIPGDNEGKVLRVRLIMKEGVKHFSPVYLFDEGSTLSWIPCGRKLTCSYPGIKFHYGPDTYFGNEVSILEMDGQFDRLDELIYVESHLSNISTKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQITTSKTATPLEATKA >EOY24795 pep chromosome:Theobroma_cacao_20110822:3:32247112:32248526:-1 gene:TCM_016296 transcript:EOY24795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEYFLWTLLVLNINFGSVTNPFAKRSSVMGFLNFKCVFIFFSWLLVLASSSSSSSFSYYVVSNVFTFGSFNVSPTTLPPCNWRYIRVKLPPFYSSTSIVINTDVSLVESIVAHLTL >EOY23014 pep chromosome:Theobroma_cacao_20110822:3:25762858:25764555:-1 gene:TCM_015027 transcript:EOY23014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLAFLFIWEERVEGTQPLKGEGQESSFILGFTSNTPQRNSLKVWKFDPAHQRWLPVADMAASSRVGFAW >EOY25348 pep chromosome:Theobroma_cacao_20110822:3:33988467:33992669:-1 gene:TCM_016687 transcript:EOY25348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein MAGMNGGSEREAWRAHASMALVQLINGGYHVITKVALNVGVNQLVFCVFRDLLALSILAPVAYVREKRIRPPMTKRLLLSFFFLGLTGIFGNQLLFLIGLSYTNPTYAAAIQPSIPVFTFLLAVMMGTERVNLLKTEGQAKVGGTLICVSGAILMVLFRGPALLGHKDADFSAHNDISARGQPEPAGWLMSSFLEYGLEHWHIGVLCLIGNCMCMAAFLAIQAPVLAKYPANISVTAFSYFFGAVLMVATAFLMTNESTDWSLTQSELFAVLYAGIVASALNYGMLTWSNKILGPALVALYNPLQPAASAFLSRIFLGSPIYLGSVIGGFLIIAGLYMVTWASYREKHTAVGIVPQVVRSSEPLIHKDASVNKNPYQRGHIFSGSSILLQKPSD >EOY25289 pep chromosome:Theobroma_cacao_20110822:3:33831372:33833434:1 gene:TCM_016652 transcript:EOY25289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonol-3-O-glycoside-7-O-glucosyltransferase 1, putative MSSESQIWVVPFFGQGHLFPCMELCKHIASRNFKTTFIISSNLFSSIPSSFQEHPLIEVAQIPSSPLSPPEPSSNPPNHPHNHHSDLAPGIEKLLENASRPACAVIDIMMDWTGDTFKKFKIPTIGFFTSGACSAALEYAMWKARVVDIKPGETRLLPGLPEEMALTDSDLKHGPPPGPPPPGHGGFGFSLGPPGPPPPGLGGFGFPRGPPRPPPQGHGFFPGPPGGPGPQKMGPPQPGGQPPWMEAANDSVALMLNSCNDLEGPFLDYLGNQIGKPVWGVGPLLPQQFWKSSDSLLHDREIRANKQSDVTEDEVMEWLDSKPRGSVLYVSFGSEVAPTKQEFEQLADALEASNRPFIWVIQHGSGRPGPPPGPPQFLGNQPGSSDSHFEEESYFPHGLDQRVGKRGLIIHGWAPQLLILSHPSTAGFLSHCGWNSTVEAIGRGVPLLAWPIRGDQHYNAKLVVNHLKVGCPIFDHLSQQMIKKDDITKGIEKLIGNEEIKKQAVTLSSKFHHGFPASSAASLDAFKDFIL >EOY25380 pep chromosome:Theobroma_cacao_20110822:3:34089949:34091935:-1 gene:TCM_016706 transcript:EOY25380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKHRVGFVLIFSAIASLGLVSFASCLVAEAKRPKKGDLKLDGKLCFLPTSRAFGFGVAALICLSIAQIIGNVAIWANCWWRGKARKAKKPFLTAILLAISWISFGVAVILLSAAMSMSRTQPYGEGWLDGECYLVRDGVYISSGVLSLAALFALLGAAAMMITVDQVDQGQKVYAQNVDNKSSDRIAMFGESEAA >EOY25223 pep chromosome:Theobroma_cacao_20110822:3:33609028:33612661:-1 gene:TCM_016604 transcript:EOY25223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF760) [Source:Projected from Arabidopsis thaliana (AT1G32160) TAIR;Acc:AT1G32160] MQIAGVTSEVLVAVPSAGTLTFRHFHSNNFFLSSPFLKRCSSFCIPKLGMAPDKYRARYLTMRASGESDDSLSPIAPVQFESPVGQLLAQILRTHPHLLPAAIDQQLENLQSDKDDQKEETTPSQDLLYKRIAEVKEKERRRTLEEIIYCLIVQKFVDNEISMIPKIMATSDPTGRVDFWPNQEQKLESVHSPEAFEMIQGHLSLVLGDRVVGPLSTIVEISKIKLGKLYAASIMYGYFLRRVDQRFQLERTMRTLPEDFNKDQARFEDPNPGKQMWDPDSWIRIPPNDDNDGDGGGYMDTLEGKSYRLRSYVMYLDSETLQRYATIRSREAISLIEKQTQALFGRPDIRILDDGSLDTSNDEVVSITFTGLTMLVLEAVAFGSFLWDAESYVESKYHFLKS >EOY24651 pep chromosome:Theobroma_cacao_20110822:3:31809559:31810730:-1 gene:TCM_016197 transcript:EOY24651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDNTSHQQFTLEMTVVSAQGLKNTSSSLFSHRLRPFVTITTFPPTPFNGDNGHHMYQTRVDDQGSTGLVPDSRSRHRSPTGGFSQATQLPAASWRRHEDSGDCQCSCEVGRSSSPGCHSCPAFRQHVSDCDRYTGEGYARCGGDGCSLERGRDCDKCGRCGAGGGDGPNRMERRDMIIGGVQ >EOY21861 pep chromosome:Theobroma_cacao_20110822:3:19188152:19189557:-1 gene:TCM_014007 transcript:EOY21861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAATPNQRPSPNDLQNLFRKVNDSKKNHSQEPLDEEFANTERRQWRVQVSMGLEANDGRRPFSISSLFKSCETKIRGDLKAMMGICIAGLVTDEGYSMATKFIYSDMDAEMKRGGGVQDEDKTEKKHLQNELGSNLEFWFFYACI >EOY21695 pep chromosome:Theobroma_cacao_20110822:3:17287936:17291486:1 gene:TCM_013791 transcript:EOY21695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSTLMLSVSTIEPNAKSKPFIITPNLRSILARLLGPTCQVLSHRHPWTELVDHTAFAKPAPFSNATSQVCSQNFHLLPTHLPHHTRGCADILTHLPSFITPNPVVITFGMPFLLHAVSFGSTGGRMRPNVLRQKNVANIGGINNSSDILDEQMDLFLNEQELSDFGLFSYIHGAYRRLLERAESGGVLCSNAAEDWLGGFAMRLDHCTADRVELWGGFLRSEASMGLVF >EOY20781 pep chromosome:Theobroma_cacao_20110822:3:1091408:1096345:1 gene:TCM_012115 transcript:EOY20781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTTSFSTYAFLMFNEDNYAFWVVKIKSYLKAFNLWDTVETKTEPVLRNVNPTIAQLNQHEEDIAKRSCNQLGHVVKVCKNKSAAFDEKATIDEKVEAFDEVLFMNARWNWEKLTIEHCANIRLVNEEAVNEEDQGSDMSRAVRSTRSLQDIYVSCNVAVCEPTTYSKATKDDRWLKTMGEEMQMILKNGTWILTFAPVARHDTIRLLTALAAKEGLQNWHLDVKSAFLNGFLTKDIYALRARYERIDTYLTSQGSREVQMSTHYMSQMEECKPVDIPLAINYKLSEGDGSLSAQPSQTHYLATKRVLRYIKGIFDYGLKFEKKASNELVGFCDSDWAGSVDDSKSTTSSFKSYDLVKESHIKVKVYAIREAIKDEEVDAQH >EOY20814 pep chromosome:Theobroma_cacao_20110822:3:1358507:1361453:-1 gene:TCM_012158 transcript:EOY20814 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein 8-B, putative isoform 2 MSLTIRGSVRARGTTPSCNGIFCRIVCLPRNIIGGFSRVLGRRNQYQPPNLQLQHSQPQQPLVVPEEWAFLASFEQQYGITHPFFYACSFMEALKIAEDEHKFMFMYLHSHEHPFTPSFCSGTLCSELVVQFLDANFVCWGAIANKGEGLQMAATLQPASFPFCAVIAPAAGNSIAVLQQGPVDPAELVEILQRTMEEQGSAFSSTRGREEEQMRARIKEEERIRARAKEEEKLKADRQLREEQEAAYFAALNIDQEKERLRNARAQKPVEASNKANYEKPRQMPTEKQLGKTRQASSIREAQYKETATQGKDTPQATQILIRFPSGERREHSFSCTDKILSIYRYIDSLGLPGLGNYRLISSFPRRVYGVDQMGMTLKDAGLHPRASLFLELL >EOY20813 pep chromosome:Theobroma_cacao_20110822:3:1358815:1361453:-1 gene:TCM_012158 transcript:EOY20813 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein 8-B, putative isoform 2 MSLTIRGSVRARGTTPSCNGIFCRIVCLPRNIIGGFSRVLGRRNQYQPPNLQLQHSQPQQPLVVPEEWAFLASFEQQYGITHPFFYACSFMEALKIAEDEHKFMFMYLHSHEHPFTPSFCSGTLCSELVVQFLDANFVCWGAIANKGEGLQMAATLQPASFPFCAVIAPAAGNSIAVLQQMEGPVDPAELVEILQRTMEEQGSAFSSTRGREEEQMRARIKEEERIRARAKEEEKLKADRQLREEQEAAYFAALNIDQEKERLRNARAQKPVEASNKANYEKPRQMPTEKQLGKTRQASSIREAQYKETATQGKDTPQATQILIRFPSGERREHSFSCTDKILSIYRYIDSLGLPGLGNYRLISSFPRRVYGVDQMGMTLKDAGLHPRASLFLELL >EOY25135 pep chromosome:Theobroma_cacao_20110822:3:33370699:33374115:1 gene:TCM_016548 transcript:EOY25135 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein, putative MGKKKSQEPETTGWDGTVTAQSDIFKTIFGDVSEQNAAFFSLFSDGNPFKRKPQESAENPDRVGLLDSDTVELKQRKRKEKEKAQNSSLGSIEEATGTRKSKKEKPSEDPNLGSLSRNVESSGFDAKSLVNKEKKETSDSPKGQDVKRKKRKRDELETEYEERKYGTVAAAAAAEEEVVVGQKRKKAEDDGVVALVPKEGFDDESKLLRTVFVGNLPIKVKKKVLIKEFSKFGEIESVRIRSIPLSDTKKPRKGAIMLKQFNEKADTVHAYIVFKTEESAEASLAHNMAVVAGNHIRVDRSCPPRKKLKGESDPLYDNKRTVFVGNLPFDVKDEEIYQLFCGINNLESSIEAVRVVRDPQFGVGKGIAYVLFKTREAANVVVRKRTLKLRDRELRLSHARPDVTPSKRKNPSSSGTNNNPAKKFAVDPSTPSTNGSRSNAKASLSYQGLRASKSGVQKKAHSKGISPVKTKFKAQKSEKPKERKAKRPSVAARKAKAKAHKDAGVSKQAGVKRKLDSSSSGSAHQKKKAKRFR >EOY24590 pep chromosome:Theobroma_cacao_20110822:3:31535035:31540477:1 gene:TCM_016150 transcript:EOY24590 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOTTED-like homeobox of 7 MQEPGLGMMSSGGSGAIGGLSSGEVSVSGDQNRQLRAEIATHPLYEQLLAAHVSCLRVATPIDQLPLIDAQLAQSHNLLRSYASQHHQHGHSLSPHERQELDNFLAQYLIVLCTFKEQLQQHVRVHAVEAVMACREIENNLQALTGVSLGEGTGATMSDDEDELQMDFSLDQSGADGHDLMGFGPLLPTESERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTTVLKNWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHSNSQSVTSLKSKRKR >EOY24274 pep chromosome:Theobroma_cacao_20110822:3:30362628:30371486:1 gene:TCM_015924 transcript:EOY24274 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein MLQRMNGYTNVHPMQLPSRTAIPLNAPPLLSCRCFSRTTASAFNTSSIQQHSRTNASDEPQKASDLTFQQAIQSLQVGAKTMNPLTYLSSLSRTMECCKNASESSFFTILDHRCLMEQRVKFWDSSCCSKSCPPSLRQPIRSKTLLAHSINQRKMSQALQLPIIDLSSDDRLSTANLIRQACVDHGFFYIVNHGLEEELLKKVLEQSKMFFSLPVEEKMKLAVKNHRGYTGMYQEKLDPSLSAKGDSKESFYIGPQTENHLNQWPSEEDLPSWRSTMEAYHKKVLSAGTKVISLIALALNLDEDFFEKLGALNDPLAFIRLLHYPEDMDSTEEETYGASAHSDYGMITLLLTDGVPGLQVCREKSKQPQLWEDVPSISGALIVNIGDMMERWTNCLFRSTLHRVVPAGEERYSVAFFLDADKDCIVECLESCCSESCPPRFPPIRSSDYLQERFRVTATASIEDYLL >EOY24957 pep chromosome:Theobroma_cacao_20110822:3:32772586:32780080:1 gene:TCM_016409 transcript:EOY24957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTKHRFRESIKSFFGHHVDPEKDEQLKGSKIEIDDKVKKILKLIKDEEAEENGGISIANSNKEPLVQLIEDFHKHYQNLYAQYDHLTGELRKKVHGKREKDASSSSSSDSDSDYSSKDGGSKNGQLESEFQKIAEGIKQELEMAKMEIAELKRKLTATNEEKDALHSENLASLSKLQEAEEIVRNLKLESERSESEKSKLVVETEELRLKLDTAGKIEAEVNQRLEDLNREKDNLILEKETAVKRIEDGEKFTEDLRREVDQLKEENITLKQEVESVRGEVSNVQQQLESAEQQVSELSHSLNATVEDNKSLNLELSKVSNEIQQAQGTIQQLMAEMSQSKDEIGEKERELLTLKELHEVHGNQSSAQIKELEAQVTSLELELASLRATNRDLEVQIENKAAEVKQMGEQKIGLQSQISELEMMSKKREDELLILTKKFADNEKESLSRVENLTVQINNLLVDMESVRTQKSQLEEHIVFKSDEASNQVKSLMDQINRLQQELEFLHSQKAELEMQLERKTQAISDYAIEIEKAKEEIVSKTEDQQRVLQEKEGLVAQTKDLEFEVNSLKNQKGELEQELRTKIEENGQLREEKVGLQGQIFELEKTLAETGLEFTALQEKHASAENELREEKVGLQGQIFELEKKLAERGLEFTALQEKHATAENEASSQLIALEDQVNNLQQELDSLRTQRNELELQLEREKQESSERISEMENQKLENGQLREEKVGLQGQIFEFEKTLAERGLEFTALQEKHVSVENEASSQLTALDVQVKNLKQELDSLQTQRNELELQLEREKQESAERLSEIENQKLENGQLREKKVGLEDQIFELEKTLAERGLEFTALQEKHVSAENEASSQLTALEVQVKNLKQELDSLQTQRNELELQLEREKQESSERHSEMENQKLENGRLREEKVGLEDQIFELEKKLAERGLEFTALQEKHVSAENEASSQLTALEVQVKNLKQELDSLQTQRNELELQLEREKQESSERLSEMENQKLENGQLREEKVGLQGQILELEKTLAERGLEFTALQEKHASTENETSSQLTALVVQVNNLQQELDSLQTQRNELELQLEKEKQESSERLTEMENQKSELEGQINNQQRMLEEQGEAHKKLAEEYKQVETLYQECRANLEAVERKIDEMSEEFHRTIESKSQMAADLKQMVEDLQRDLEAKGVEKNDFINQIIDHQRMLKEKEDARNKLSEEYKQLETSFQDCKVIIEVTERKMQEMAGEHNMNVQSKDQIVADLEQIIDDLKSDLEMKVDELNTLVENVRTIEVKLRLSNQKLRVTEQLLTEKEESFRKAEAKFLEEQRILEDRITTLSGTIAANQEAHCRMITDIAENVNSTLTGFEAVIQNLEDGYGNYEHCVEETSKELRIAKHWVAETKSEKKRLINEVTSLIAQLKDQKERESMLRERVEKLQTKADKEEGEMENLIKAVKHLEKKVEFLETVMKEKDRGILGLGEEKREAIRQLCVWIDYHRSRCDDLREILSKTTRVQRAT >EOY24958 pep chromosome:Theobroma_cacao_20110822:3:32773256:32780134:1 gene:TCM_016409 transcript:EOY24958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTKHRFRESIKSFFGHHVDPEKDEQLKGSKIEIDDKVKKILKLIKDEEAEENGGISIANSNKEPLVQLIEDFHKHYQNLYAQYDHLTGELRKKVHGKREKDASSSSSSDSDSDYSSKDGGSKNGQLESEFQKIAEGIKQELEMAKMEIAELKRKLTATNEEKDALHSENLASLSKLQEAEEIVRNLKLESERSESEKSKLVVETEELRLKLDTAGKIEAEVNQRLEDLNREKDNLILEKETAVKRIEDGEKFTEDLRREVDQLKEENITLKQEVESVRGEVSNVQQQLESAEQQVSELSHSLNATVEDNKSLNLELSKVSNEIQQAQGTIQQLMAEMSQSKDEIGEKERELLTLKELHEVHGNQSSAQIKELEAQVTSLELELASLRATNRDLEVQIENKAAEVKQMGEQKIGLQSQISELEMMSKKREDELLILTKKFADNEKESLSRVENLTVQINNLLVDMESVRTQKSQLEEHIVFKSDEASNQVKSLMDQINRLQQELEFLHSQKAELEMQLERKTQAISDYAIEIEKAKEEIVSKTEDQQRVLQEKEGLVAQTKDLEFEVNSLKNQKGELEQELRTKIEENGQLREEKVGLQGQIFELEKTLAETGLEFTALQEKHASAENELREEKVGLQGQIFELEKKLAERGLEFTALQEKHATAENEASSQLIALEDQVNNLQQELDSLRTQRNELELQLEREKQESSERISEMENQKLENGQLREEKVGLQGQIFEFEKTLAERGLEFTALQEKHVSVENEASSQLTALDVQVKNLKQELDSLQTQRNELELQLEREKQESAERLSEIENQKLENGQLREKKVGLEDQIFELEKTLAERGLEFTALQEKHVSAENEASSQLTALEVQVKNLKQELDSLQTQRNELELQLEREKQESSERHSEMENQKLENGRLREEKVGLEDQIFELEKKLAERGLEFTALQEKHVSAENEASSQLTALEVQVKNLKQELDSLQTQRNELELQLEREKQESSERLSEMENQKLENGQLREEKVGLQGQILELEKTLAERGLEFTALQEKHASTENETSSQLTALVVQVNNLQQELDSLQTQRNELELQLEKEKQESSERLTEMENQKSELEGQINNQQRMLEEQGEAHKKLAEEYKQVETLYQECRANLEAVERKIDEMSEEFHRTIESKSQMAADLKQMVEDLQRDLEAKGVEKNDFINQIIDHQRMLKEKEDARNKLSEEYKQLETSFQDCKVIIEVTERKMQEMAGEHNMNVQSKDQIVADLEQIIDDLKSDLEMKVDELNTLVENVRTIEVKLRLSNQKLRVTEQLLTEKEESFRKAEAKFLEEQRILEDRITTLSGTIAANQEAHCRMITDIAENVNSTLTGFEAVIQNLEDGYGNYEHCVEETSKELRIAKHWVAETKSEKKRLINEVTSLIAQLKDQKERESMLRERVEKLQTKADKEEGEMENLIKAVKHLEKKVEFLETVMKEKDRGILGLGEEKREAIRQLCVWIDYHRSRCDDLREILSKTTRVQRAT >EOY22582 pep chromosome:Theobroma_cacao_20110822:3:24036260:24039752:-1 gene:TCM_014712 transcript:EOY22582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase inner membrane subunit 8 MFLLLFPRNVDSEDQELSPLPPYFTLKIAIETHYCSTRPQQQKLHDFYDLLSSRKTFNKSGQGCLGFLFGGGGGGGTSIISFWGLNEGFRLFVFAAICEAVLRFNLLQMDPSLNSAELQRFLNQEKEKAMVNEMVAKLTSVCWDKCITSTPGSKFSSSESACLSHCAQRYMDMSLIIMKRFQSMQ >EOY22536 pep chromosome:Theobroma_cacao_20110822:3:23826869:23827920:1 gene:TCM_014680 transcript:EOY22536 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22 E isoform 2 MGRFWTLLTNLHSLAGYASVIAIESPAKDDDEQWLAYWILYSLLTLTEMVLQSVLEWIPIWYSVKLLFMAWLVLPQFRGAAFIYEKFVREQIKKYGILREHQGHHSGSPNGKGKKKFVQFIVPKGVS >EOY22535 pep chromosome:Theobroma_cacao_20110822:3:23827082:23828116:1 gene:TCM_014680 transcript:EOY22535 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22 E isoform 2 MLLYPLYASVIAIESPAKDDDEQWLAYWILYSLLTLTEMVLQSVLEWIPIWYSVKLLFMAWLVLPQFRGAAFIYEKFVREQIKKYGILREHQGHHSGSPNGKGKKKFVQFIVPKGGEQEAY >EOY22534 pep chromosome:Theobroma_cacao_20110822:3:23826871:23828153:1 gene:TCM_014680 transcript:EOY22534 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22 E isoform 2 MGRFWTLLTNLHSLAGPVVMLLYPLYASVIAIESPAKDDDEQWLAYWILYSLLTLTEMVLQSVLEWIPIWYSVKLLFMAWLVLPQFRGAAFIYEKFVREQIKKYGILREHQGHHSGSPNGKGKKKFVQFIVPKGGEQEAY >EOY24580 pep chromosome:Theobroma_cacao_20110822:3:31491114:31495909:1 gene:TCM_016144 transcript:EOY24580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fizzy-related 2 isoform 2 MDDPPISNQRSQSASSSTPRLNLPLRMSDSSLHLENLPSRIMHINRMINSNYNRSPSRTIYSDRFIPSRSGSNFALFDISNSPTSAEGKEDGSGTYNSLLRAALFGPETPDKKDSSGLPACRNIFRYKTETKRSLHSLSPFGLEESIPGISHSPVKAPRKVPRSPYKVLDAPALQDDFYLNLVDWSSNNVLAVGLGNCVYLWNACSSKVTKLCDLGIDDSVCSVGWAQRGTHLAVGTSNGKVQIWDASRCRTVRTMEGHRLRVGALAWSSSLLSSGSRDKSILQRDIRAQDDFVSKLSGHKSEVCGLKWSYDNRELASGGNDNKLFVWNQHSTQPVLKYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNTHLSCMDTGSQVIFPFWYTKFKNSV >EOY24579 pep chromosome:Theobroma_cacao_20110822:3:31491113:31496095:1 gene:TCM_016144 transcript:EOY24579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fizzy-related 2 isoform 2 MDDPPISNQRSQSASSSTPRLNLPLRMSDSSLHLENLPSRIMHINRMINSNYNRSPSRTIYSDRFIPSRSGSNFALFDISNSPTSAEGKEDGSGTYNSLLRAALFGPETPDKKDSSGLPACRNIFRYKTETKRSLHSLSPFGLEESIPGISHSPVKAPRKVPRSPYKVLDAPALQDDFYLNLVDWSSNNVLAVGLGNCVYLWNACSSKVTKLCDLGIDDSVCSVGWAQRGTHLAVGTSNGKVQIWDASRCRTVRTMEGHRLRVGALAWSSSLLSSGSRDKSILQRDIRAQDDFVSKLSGHKSEVCGLKWSYDNRELASGGNDNKLFVWNQHSTQPVLKYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNTHLSCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQNTDSEIGASSFGRTTIR >EOY22285 pep chromosome:Theobroma_cacao_20110822:3:22834491:22837004:1 gene:TCM_014504 transcript:EOY22285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 71A9, putative MNFRLILTLLMIAMAPLVLLINQEKKNVRARRLPPGPWKIPVIADLYVARKFSYNLNSISFAPYGAFWREIRKIAILELLSSKRVQSFRAVRDEEAAFMLTHIACSSGPVNLNKQSLALTNNVVCRVAFAKRFGGNDGTSRFDALMHDTQVRLGEFPLSDFFSWMRWLNKFNGLEERVERNFRELDKFYDEVIEEHLDPTRPKLDNHEDIVDVLIRIQKHPSQGITLSNQHIKGILTDMFFAGTDTTASTLVWTLTELIRNPSLMERAQAEVREVAKGRGKVEESDLRKLVYLELIIKEALRLHPPAPLLVPRETTEDCTVGDYTIPVKTRVLVDVRSIGADPKYWENPNEFRPDKFLNSSIDFNGQHFEFLPFGVGRRGCPGSSFAILLVQLALANLLHCFDWELPDGMSIEDVDMEEEFGLTMFKKTPLCLVARTVGE >EOY24408 pep chromosome:Theobroma_cacao_20110822:3:30852352:30859489:1 gene:TCM_016016 transcript:EOY24408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-binding monooxygenase family protein isoform 1 MLKIQLSGCKFDSLRTQQQPVKSRHVAVVGAGAAGLVAARELHREGHSVVVFERGNEVGGTWVYTSRVEPDPLGIDPTRPIVHSSLYSSLRTNLPREVMGFMDFPFVTLAGENRDPRMFPGHREVLMYLKDFAREFEVKEMVRFETEVVKVWILENGKWKVRSKKTIFFNNENNDHYNADIDNINKSEVELDDEIYDAVVVCNGHHTEPRIAEIPGINLWPGKQMHSHNYRVPESFTDQVVILIGSSSSAVDICRDVAGVAKEVHVASRSVAHETYTKQPGYDNLWIHSMIDAAHEDGTVVFQNGKVVLADVIMHCTGYKYQFPFLDTNGIVTVDDNRLGPLYKHVFPPALAPWLSFIGIPWKIAPFPLFEFQSKWIAGVLSGRITLPSQKEMMEDIKAFYSTLEASGVPKRYTHKMGESQFEYNNWLAAQCGCQGIEKWRKQIYLAASANKHLRPDTYRDEWEDHDLVLEAHEDFVKYTSKSDS >EOY24407 pep chromosome:Theobroma_cacao_20110822:3:30856325:30859758:1 gene:TCM_016016 transcript:EOY24407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-binding monooxygenase family protein isoform 1 MNLAMPPAFPSVKSRHVAVVGAGAAGLVAARELRREGHSVVVFERGNEVGGTWVYTPRVEPDPLGIDPTRPIVHTSLYSSLRTNLPREVMGFTDFPFITLAGENRDPRRFPGHREVLMYLKDFAREFEVKEMVRFETEVVKVWILENGKWKVRSKKTIFFNNENNDHYNADIDNINKSEVELDDEIYDAVVVCNGHHTEPRIAEIPGINLWPGKQMHSHNYRVPESFTDQVVILIGSSSSAVDICRDVAGVAKEVHVASRSVAHETYTKQPGYDNLWIHSMIDAAHEDGTVVFQNGKVVLADVIMHCTGYKYQFPFLDTNGIVTVDDNRLGPLYKHVFPPALAPWLSFIGIPWKIAPFPLFEFQSKWIAGVLSGRITLPSQKEMMEDIKAFYSTLEASGVPKRYTHKMGESQFEYNNWLAAQCGCQGIEKWRKQIYLAASANKHLRPDTYRDEWEDHDLVLEAHEDFVKYTSKSDS >EOY24209 pep chromosome:Theobroma_cacao_20110822:3:30098543:30101130:-1 gene:TCM_015878 transcript:EOY24209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 family protein MASQVLPQALHMIPINQVQSFNPVKNFGFSAFLSRGPSPLTTSKVSVSGFHLKIPVGSSSSCFARREFVVRAESNPEGVGEVEAEASENDVEEAETKAEAEEEVEAGEDGEDKVEEKPKERRKPLVKLGDIMGILNKRAIEESEKQRPVPDLRTGDIVEIKLEVPENRRRLSVYKGIVMSKQNAGIHTTIRIRRIIAGIGVEIVFPVYSPNIKEIKVVKHRKVRRARLYYLREKLPRLSTFK >EOY21451 pep chromosome:Theobroma_cacao_20110822:3:8375586:8380180:1 gene:TCM_013012 transcript:EOY21451 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-cell receptor-associated 31-like MALQWMILTYVVAAEAAVALLLTLPYPKLLKNRLVSLISLILQPALFIVPFAGFQLLDIYWKNEHRLMCTSEICTAAERDRYEKSVFKAQRNVILCATACLLYWCIYRICKYNKEIQSLEEVEKRYKNK >EOY24907 pep chromosome:Theobroma_cacao_20110822:3:32620028:32629389:-1 gene:TCM_016378 transcript:EOY24907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger 18 isoform 1 MVSNATVGQKCPSPMKATSNGLFQGDNPLDYALPLAILQICLVVVLTRGLAFLLRPIRQPRVIAEIIGGILLGPSVLGRSKSYLQAIFPPKSLTVLDTLANIGLIFFLFLAGLEIDLKALRRTGKTALGIAVAGIGLPFALGIGSSFLLKATISKGVNASAFLVFMGVALSITAFPVLARILAELKLLTTDVGRIAMSAAAVNDVAAWILLALAVALSGSNSSPAASLWVFLSGCVFVICLSFIVPPIFKWMAYRCHEGEPVEEMYICATLAAVLAAGFVTDAIGIHAMFGAFVVGVVFPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNIATIQGLQSWGLLALVIFTACFGKIVGTVVVSLSCKVPAREAFALGFLMNTKGLVELIVLNIGRDRKVLNDQTFAIMVLMALFTTFITTPVVMAVYKPARSRKVDYKHRTIERKNPDTQLRILTCFHSSRNIPSMINLLEASRGVGKREGFSVYALHLMELSERSSAILMVHKARKNGLPFWNKGRHSDSDHIVVAFEAFQQLSQVTVRSMTSISSMADMHEDICTTAERKRAAIIILPFHKHQRMDGSFETTRTDFRWVNQRVLEHAPCSIGILVDRGLGGTTHVSASNVSLSMTVLFFGGCDDREALAYGARMAEHPGISLNVIRFVVEPETIGEIARIDMQENSGLKSMSLDEEFLSKFKQKISNDDSVRYEEKAVRNATETFAAIREASRCNLVLVGRMPDGELALALMRRSECPELGPVGCLLISPDFSATASVLVVQQYHGRVSLNLASDMEEESPDKDSESS >EOY24906 pep chromosome:Theobroma_cacao_20110822:3:32620025:32624903:-1 gene:TCM_016378 transcript:EOY24906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger 18 isoform 1 MVSNATVGQKCPSPMKATSNGLFQGDNPLDYALPLAILQICLVVVLTRGLAFLLRPIRQPRVIAEIIGGILLGPSVLGRSKSYLQAIFPPKSLTVLDTLANIGLIFFLFLAGLEIDLKALRRTGKTALGIAVAGIGLPFALGIGSSFLLKATISKGVNASAFLVFMGVALSITAFPVLARILAELKLLTTDVGRIAMSAAAVNDVAAWILLALAVALSGSNSSPAASLWVFLSGCVFVICLSFIVPPIFKWMAYRCHEGEPVEEMYICATLAAVLAAGFVTDAIGIHAMFGAFVVGVVFPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNIATIQGLQSWGLLALVIFTACFGKIVGTVVVSLSCKVPAREAFALGFLMNTKGLVELIVLNIGRDRKVLNDQTFAIMVLMALFTTFITTPVVMAVYKPARSRKVDYKHRTIERKNPDTQLRILTCFHSSRNIPSMINLLEASRGVGKREGFSVYALHLMELSERSSAILMVHKARKNGLPFWNKGRHSDSDHIVVAFEAFQQLSQVTVRSMTSISSMADMHEDICTTAERKRAAIIILPFHKHQRMDGSFETTRTDFRWVNQRVLEHAPCSIGILVDRGLGGTTHVSASNVSLSMTVLFFGGCDDREALAYGARMAEHPGISLNVIRFVVEPETIGEIARIDMQENSGLKSMSLDEEFLSKFKQKISNDDSVRYEEKAVRNATETFAAIREASRCNLVLVGRMPDGELALALMRRSECPELGPVGCLLISPDFSATASVLVVQQYHGRVSLNLASDMEEESPDKDSESS >EOY24747 pep chromosome:Theobroma_cacao_20110822:3:32095382:32098104:1 gene:TCM_016259 transcript:EOY24747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEESSSAVAGDQRDESTGQEVTMRERDMDQKSTSPEAEVEARGLDGSESRPLLNKSDILKAVEVVERDSLAIADSFSSLFASLRMALSEVTSGSVDHMRCFGDAAGRLQESALDAATKGNRYINSCLRLNEEMKGTDALASQLKILRRNVDALDTAVNKLLRPS >EOY23503 pep chromosome:Theobroma_cacao_20110822:3:27628246:27631468:1 gene:TCM_015373 transcript:EOY23503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MGRYRIRFNDNFVIMMVNYLFCFVLVVSMAEAVPIQDECKVTNCKHHEPPVKFPFRLQDRQPPHCGYPHPGFQLSCSENNQTVLNFPRSMKLLVKHIDYKEQQIRLYDPGHCLWKQLSDLNISAFPFRYEWLSYSRLQAFQPKPVDFYTLFNCSSKDEFLYRRYRIPCLSNTGSQIIAFGYDEQAYDENLLNCSKTGNISAAIYPYLTGPFQLLWDKPNCRNCEAQGRGCKLKSNSRQDQTECFDIPRHHRGARKRLIIAGVILGSFILATIVIGVGWAHRLKKREQESQLKIEKFLEDYRAVKPSRYSYADIKRITNHFKDKLGQGGYGTVFKGRLSNDVLVAVKVLNNFKGNGEEFINEVGSMGRIHHVNVTRLVGFCADGYERALVYEYLPNESLEKFIFSATGENRFLSWEKLQDIALGVAKGIEYLHQGCEQRILHFDIKPHNILLDQNFNPKISDFGLAKLCSKEQSAISMTTARGTMGYIAPEVLSRNFGNVSYKSDVYSFGMLLLEMVGGRKNIDVTAENTSQVYFPEWAYNRLDKGEELGIRIEDEEHTGIVKKLTIVGLWCIQWYPVDRPPMKVVVQMLEGEGSLLTVPPNPFASTDQSKMGANMPRKPVNRELPAIAELE >EOY24452 pep chromosome:Theobroma_cacao_20110822:3:31019173:31020297:-1 gene:TCM_016048 transcript:EOY24452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein, putative MEGSSSRHGLAILLIILQFTTYTALSSADTPFHSQRNIEYIKSSCSTTTYPRLCYRSLSIYASKINTSPRLLVHTALNVTLKASKSTSRLMIKISRIHGLRPRVAAAMADCIEVMGDSVDELQQSIGELGHIRSSNFSLTMSDIQTWVSAALTDEDTCMDGFAGKAMNGYAKTMVRKRIVKIAHLTSNALALINNYASTESNLP >EOY22944 pep chromosome:Theobroma_cacao_20110822:3:25482877:25485451:-1 gene:TCM_014975 transcript:EOY22944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLVQMASAEALFPLCGLVVYCLNGSRGGDKAAVNYDAEFFQPLFCASSGELWSVYAHQVFDDSPNWMTSTAQGVMLALAEGDNVLANVVRFQRKLTTLQVVLDVGLKLKTLIIFIADVGCLRRYGSNVLRISIQNEEGFDMV >EOY21160 pep chromosome:Theobroma_cacao_20110822:3:3621171:3624136:1 gene:TCM_012532 transcript:EOY21160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMKLIGFGSIWCGWVMSCASIATTAILVNGSPTREVSMERGLRQGCPFYPILFNIMVEVFSAFMFKAADWILIKGVDVGSSDICVSHLQYVDDTVITWVVSGCISIWQPIIQKIEGKLASWKVRTFVHGKASDVVEIGYEQYAFIFHILFSTPNEVNGLLMIRLGMSSYVEVCLDGKVSIGKVSKVLLKVKWLIGVFMINSYGKGLLQAIWIAWNDMVFKGKEWDGCQIFELTQPIEVAHVSKAELNAVKEVGLLFAVSRWNITYPLLSECDPNNVVKWIKKSNEVPWKLRPLVIQTFKLLNTVLRWDIRHILRSVNDAVDSLAKEKVLRTQSLQWVIGVDNGESDAAYLNPAS >EOY23940 pep chromosome:Theobroma_cacao_20110822:3:29059523:29064719:-1 gene:TCM_015678 transcript:EOY23940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase WNK-related, putative isoform 1 MGEAEMGSVLKQTLKNLCCSNGWSYGVFWRFDQRNSMLLTMEDAYYEEQMGPLVDSMLLKFHILGQGIIGQAAFTGKHQWIFPDSHGKAWDSTGNQNIFQDESEFQNQFSSGIKTIAIISVETRGVVQFGSTQKILEGLEFLDETKKLFYAMESCHGLIPLENETCNLDGFFASLAASGNFYNENLITEQGGCSKEPKGRPCSLTNLSKSSSSMREIQDQRINSVQQNLSHLRTQLQTISAEAQIISSGKTGNCLAADTPCASTWSSEGSILTSFETSLPSERGIWDSPNALPRKANGHLLCGKTEQHFQGASTFTSFYSTGELVDAELPIVDSFGKTAENLHSLGCTGGLLDSVVNLQRIPEEFNPVDFATGLSNSFTLDDLSQWFAPSPHHNINGAGATMTSDLSCSKEVTSVSSTLVGGDTIIDIAVRQTANSITDTFISNIEKSTIVHDDGYDLFDDVGLNFGFGKTGECLEDIIMPLLHGDNAAVSSGMSESISELDVHSMNGKRKGLFSELGLEELLDGVSHSSYATKSSVEDQFSTTKRRKSESSSSNFHQGQFVGLSCSGGSMNLVQHSHNWDKSNNTIFNKEVHQKSQVGVWIDDSYSVNSGHAVVATSKKPTRKRAKPGESTRPRPKDRQLIQDRIKELRGIIPHSGKQLSIDLLLERTIKHLLFLQGVTKYADKIKQTDEPKLIGQENGLLPKHNKMSGGATWAYEVGAQTIPIVVKDLNPPGQMLIETLCEDRGLFLEIADVIRGFGLNILKGVMELQEDKIWARFMVEATEQVERTDIIWSLLLLLQQTGTSGIDSANQESRAMDGGISLSNNFQQPLLLPPVSMAETLQ >EOY23942 pep chromosome:Theobroma_cacao_20110822:3:29059969:29064409:-1 gene:TCM_015678 transcript:EOY23942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase WNK-related, putative isoform 1 MGEAEMGSVLKQTLKNLCCSNGWSYGVFWRFDQRNSMLLTMEDAYYEEQMGPLVDSMLLKFHILGQGIIGQAAFTGKHQWIFPDSHGKAWDSTGNQNIFQDESEFQNQFSSGIKTIAIISVETRGVVQFGSTQKILEGLEFLDETKKLFYAMESCHGLIPLENETCNLDGFFASLAASGNFYNENLITEQGGCSKEPKGRPCSLTNLSKSSSSMREIQDQRINSVQQNLSHLRTQLQTISAEAQIISSGKTGNCLAADTPCASTWSSEGSILTSFETSLPSERGIWDSPNALPRKANGHLLCGKTEQHFQGASTFTSFYSTGELVDAELPIVDSFGKTAENLHSLGCTGGLLDSVVNLQRIPEEFNPVDFATGLSNSFTLDDLSQWFAPSPHHNINGAGATMTSDLSCSKEVTSVSSTLVGGDTIIDIAVRQTANSITDTFISNIEKSTIVHDDGYDLFDDVGLNFGFGKTGECLEDIIMPLLHGDNAAVSSGMSESISELDVHSMNGKRKGLFSELGLEELLDGVSHSSYATKSSVEDQFSTTKRRKSESSSSNFHQGQFVGLSCSGGSMNLVQHSHNWDKSNNTIFNKEVHQKSQVGVWIDDSYSVNSGHAVVATSKKPTRKRAKPGESTRPRPKDRQLIQDRIKELRGIIPHSGKQLSIDLLLERTIKHLLFLQGVTKYADKIKQTDEPKLIGQENGLLPKHNKMSGGATWAYEVGAQTIPIVVKDLNPPGQMLIETLCEDRGLFLEIADVIRGFGLNILKGVMELQEDKIWARFMVEVNTEVKNSSNVTNFYMISRK >EOY23941 pep chromosome:Theobroma_cacao_20110822:3:29059167:29064719:-1 gene:TCM_015678 transcript:EOY23941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase WNK-related, putative isoform 1 MGEAEMGSVLKQTLKNLCCSNGWSYGVFWRFDQRNSMLLTMEDAYYEEQMGPLVDSMLLKFHILGQGIIGQAAFTGKHQWIFPDSHGKAWDSTGNQNIFQDESEFQNQFSSGIKTIAIISVETRGVVQFGSTQKILEGLEFLDETKKLFYAMESCHGLIPLENETCNLDGFFASLAASGNFYNENLITEQGGCSKEPKGRPCSLTNLSKSSSSMREIQDQRINSVQQNLSHLRTQLQTISAEAQIISSGKTGNCLAADTPCASTWSSEGSILTSFETSLPSERGIWDSPNALPRKANGHLLCGKTEQHFQGASTFTSFYSTGELVDAELPIVDSFGKTAENLHSLGCTGGLLDSVVNLQRIPEEFNPVDFATGLSNSFTLDDLSQWFAPSPHHNINGAGATMTSDLSCSKEVTSVSSTLVGGDTIIDIAVRQTANSITDTFISNIEKSTIVHDDGYDLFDDVGLNFGFGKTGECLEDIIMPLLHGDNAAVSSGMSESISELDVHSMNGKRKGLFSELGLEELLDGVSHSSYATKSSVEDQFSTTKRRKSESSSSNFHQGQFVGLSCSGGSMNLVQHSHNWDKSNNTIFNKEVHQKSQVGVWIDDSYSVNSGHAVVATSKKPTRKRAKPGESTRPRPKDRQLIQDRIKELRGIIPHSGKLSIDLLLERTIKHLLFLQGVTKYADKIKQTDEPKLIGQENGLLPKHNKMSGGATWAYEVGAQTIPIVVKDLNPPGQMLIETLCEDRGLFLEIADVIRGFGLNILKGVMELQEDKIWARFMVEFLEREARPWDMEPASLRSKA >EOY23939 pep chromosome:Theobroma_cacao_20110822:3:29059183:29064721:-1 gene:TCM_015678 transcript:EOY23939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase WNK-related, putative isoform 1 MGEAEMGSVLKQTLKNLCCSNGWSYGVFWRFDQRNSMLLTMEDAYYEEQMGPLVDSMLLKFHILGQGIIGQAAFTGKHQWIFPDSHGKAWDSTGNQNIFQDESEFQNQFSSGIKTIAIISVETRGVVQFGSTQKILEGLEFLDETKKLFYAMESCHGLIPLENETCNLDGFFASLAASGNFYNENLITEQGGCSKEPKGRPCSLTNLSKSSSSMREIQDQRINSVQQNLSHLRTQLQTISAEAQIISSGKTGNCLAADTPCASTWSSEGSILTSFETSLPSERGIWDSPNALPRKANGHLLCGKTEQHFQGASTFTSFYSTGELVDAELPIVDSFGKTAENLHSLGCTGGLLDSVVNLQRIPEEFNPVDFATGLSNSFTLDDLSQWFAPSPHHNINGAGATMTSDLSCSKEVTSVSSTLVGGDTIIDIAVRQTANSITDTFISNIEKSTIVHDDGYDLFDDVGLNFGFGKTGECLEDIIMPLLHGDNAAVSSGMSESISELDVHSMNGKRKGLFSELGLEELLDGVSHSSYATKSSVEDQFSTTKRRKSESSSSNFHQGQFVGLSCSGGSMNLVQHSHNWDKSNNTIFNKEVHQKSQVGVWIDDSYSVNSGHAVVATSKKPTRKRAKPGESTRPRPKDRQLIQDRIKELRGIIPHSGKQLSIDLLLERTIKHLLFLQGVTKYADKIKQTDEPKLIGQENGLLPKHNKMSGGATWAYEVGAQTIPIVVKDLNPPGQMLIETLCEDRGLFLEIADVIRGFGLNILKGVMELQEDKIWARFMVEATEQVERTDIIWSLLLLLQQTGTSGIDSANQESRAMDGGISLSNNFQQPLLLPPVSMAETLQ >EOY23008 pep chromosome:Theobroma_cacao_20110822:3:25738009:25740585:1 gene:TCM_015022 transcript:EOY23008 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 6 MDPLPLPELKQDSVSNTIGIFLSTVLSKHLYSIPLELLPFSTLILAFMSLEGLFVFHKWEPIFHVLLLFSFLLLFLLGVGPFAFKPSSVYLVDFSCFKPPNCCKVPFSHFTEHASMIESFDSESVEFMAKILASSGLSEDTYLPPALHCIPPKTHQREYVKEAEMVLFPLMDDLLSKAKLSPRDIDILIINCSGFCPSPSLSSIIVNKYSMRSDVKSYSLSGMGCSAGAIGIDLAQNLLKTNRNSIAIVLSTEILSAGWYSGRERSMLLLNCLFRMGSAAVLLTNKKEASKSSKYKLLRTLRTQTAFEDKAYLTVIREEDTGGKLGVTINKDLLQAAGEILRSHITILGSQILPFKAKLRHAISIIRMKFIKKSGKIYMPSFKTAIQHFCLPTSGRAVIREIAKALKLDERDIEAALMTLHRFGNQSSSSMWYELAYMEAKERVKEGDKVWLLGMGTGPKCNSFGLECVRPIVGESKKNPWSDCINLYPIEAVPSKSPT >EOY21286 pep chromosome:Theobroma_cacao_20110822:3:5545633:5556080:-1 gene:TCM_012727 transcript:EOY21286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 2, putative isoform 1 MANWISSKLKVAETLLQQIDQQAAESLGKNEKAPSDEIKFDTPTKTSGVVSLKDQLKKKSQENNDYQGKLFSDPNANLSYNNNNNSVHVSNRDKEVSTTKAPSKPKSTLTDSDWTELLSTPSQATSSTGNNRSNGVSGIRGLRKDARKKGNLGSNLSVLEGKRNQKSNVNVIKSVRKRDIVLGSKLNGKPSDGEESSSSGRPSSVDIQIDGKNLEGLELDHKDTTASFKVKLKDEINGENGWQLDSKDLSSNVEGFSRSLNKNHSFQKMMELGKADGLPDVKIGMADAHDQLRSTVSGKSKSIGASRSSVSDDVKRVSQSTSDGSSDSDSDSGSTSDSESEHEREERRRRKERILAEKAAAKAVEAIKERENMVARLEGEKQSLEKILEERAKQQAQEASELQTTMMEMMEAVELEKQKHNITRMEALQRLAKLETTNADLARSLATAQKKLEVEINQVADLRQQIDLKEAAHEELKRRISNSHESGTYLNQLVASKGIELEREILEAEYSLVTDKIGQLQDKVRQLEASIELTRKEMEDPSEVEVELKRRLGQLTDHLIQKQAQVEALSSEKATLLFRIEAVSRMLDESKSMNTSDASSSDLESGAWDLSDSKLKPLLEDKIRFGRRQLGSLLMQLDAIFVAGAIFLRRNATAKLCSLVYLVCLHFWVIYILMSHSQPSDEGRSGAVMSLENMNKTAGV >EOY21287 pep chromosome:Theobroma_cacao_20110822:3:5545433:5555739:-1 gene:TCM_012727 transcript:EOY21287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 2, putative isoform 1 MANWISSKLKVAETLLQQIDQQAAESLGKNEKAPSDEIKFDTPTKTSGVVSLKDQLKKKSQENNDYQGKLFSDPNANLSYNNNNNSVHVSNRDKEVSTTKAPSKPKSTLTDSDWTELLSTPSQATSSTGNNRSNGVSGIRGLRKDARKKGNLGSNLSVLEGKRNQKSNVNVIKSVRKRDIVLGSKLNGKPSDGEESSSSGRPSSVDIQIDGKNLEGLELDHKDTTASFKVKLKDEINGENGWQLDSKDLSSNVEGFSRSLNKNHSFQKMMELGKADGLPDVKIGMADAHDQLRSTVSGKSKSIGASRSSVSDDVKRVSQSTSDGSSDSDSDSGSTSDSESEHEREERRRRKERILAEKAAAKAVEAIKERENMVARLEGEKQSLEKILEERAKQQAQEASELQTTMMEMMEAVELEKQKHNITRMEALQRLAKLETTNADLARSLATAQKKLEVEINQVADLRQQIDLKEAAHEELKRRISNSHESGTYLNQLVASKGIELEREILEAEYSLVTDKIGQLQDKVRQLEASIELTRKEMEDPSEVEVELKRRLGQLTDHLIQKQAQVEALSSEKATLLFRIEAVSRMLDESKSMNTSDASSSDLESGAWDLSDSKLKPLLEDKIRFGRRQLGSLLMQLDAIFVAGAIFLRRNATAKLCSLVYLVCLHFWVIYILMSHSQPSDEGRSGAVMSLENMNKTAGV >EOY21285 pep chromosome:Theobroma_cacao_20110822:3:5545427:5555739:-1 gene:TCM_012727 transcript:EOY21285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 2, putative isoform 1 MANWISSKLKVAETLLQQIDQQAAESLGKNEKAPSDEIKFDTPTKTSGVVSLKDQLKKKSQENNDYQGKLFSDPNANLSYNNNNNSVHVSNRDKEVSTTKAPSKPKSTLTDSDWTELLSTPSQATSSTGNNRSNGVSGIRGLRKDARKKGNLGSNLSVLEGKRNQKSNVNVIKSVRKRDIVLGSKLNGKPSDGEESSSSGRPSSVDIQIDGKNLEGLELDHKDTTASFKVKLKDEINGENGWQLDSKDLSSNVEGFSRSLNKNHSFQKMMELGKADGLPDVKIGMADAHDQLRSTVSGKSKSIGASRSSVSDDVKRVSQSTSDGSSDSDSDSGSTSDSESEHEREERRRRKERILAEKAAAKAVEAIKERENMVARLEGEKQSLEKILEERAKQQAQEASELQTTMMEMMEAVELEKQKHNITRMEALQRLAKLETTNADLARSLATAQKKLEVEINQVADLRQQIDLKEAAHEELKRRISNSHESGTYLNQLVASKGIELEREILEAEYSLVTDKIGQLQDKVRQLEASIELTRKEMEDPSEVEVELKRRLGQLTDHLIQKQAQVEALSSEKATLLFRIEAVSRMLDESKSMNTSDASSSDLESGAWDLSDSKLKPLLEDKIRFGRRQLGSLLMQLDAIFVAGAIFLRRNATAKLCSLVYLVCLHFWVIYILMSHSQPSDEGRSGAVMSLENMNKTAGV >EOY25385 pep chromosome:Theobroma_cacao_20110822:3:34114300:34116775:1 gene:TCM_016711 transcript:EOY25385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MEAVAENHSTTLPTKPPNQPHSNSIPHNLQPQRFRTHLDAPDISPTARILCDLLSRASPHDVETALSCTGITPTAEVIQEVLSFSYNQPSSAIKFFRWAGRYIKPSAYAWNLMVDLLGKNQIFEPMWDAIRSMKQESLLSVATFVSVFGSYCTVHRFSEATMSFDVMDKYGVQQDVVAVNSLLSAICRQDNQMSVAIEFFDGIKKKIPPDGDTFAILLEGWEKEGNVAKAKNTFGEMVNRVGWSPMATSAYDAFLTTLVHGAQADEAVKFLQVMKGHNCLPGLRFFSNALDILVKQNDSTHIIPLWDTMVGGGLVPNLIMYNAVIGLVCNNNDMHNAFRFLDEMVFHGAFPDSLTYNMIFQCLVRNKRVHEVGKFFVEMIKNEWPPTSSNCVMAIKMLLENDDPEMAIDIWNYMVENCVSPLVESANELLIGLSNLGRLSWVERFAEEMLDKRINLFESTMEKLKNAFFKEGRTLRDKYDSLSRRWKVAQM >EOY25386 pep chromosome:Theobroma_cacao_20110822:3:34114296:34116442:1 gene:TCM_016711 transcript:EOY25386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MEAVAENHSTTLPTKPPNQPHSNSIPHNLQPQRFRTHLDAPDISPTARILCDLLSRASPHDVETALSCTGITPTAEVIQEVLSFSYNQPSSAIKFFRWAGRYIKPSAYAWNLMVDLLGKNQIFEPMWDAIRSMKQESLLSVATFVSVFGSYCTVHRFSEATMSFDVMDKYGVQQDVVAVNSLLSAICRQDNQMSVAIEFFDGIKKKIPPDGDTFAILLEGWEKEGNVAKAKNTFGEMVNRVGWSPMATSAYDAFLTTLVHGAQADEAVKFLQVMKGHNCLPGLRFFSNALDILVKQNDSTHIIPLWDTMVGGGLVPNLIMYNAVIGLVCNNNDMHNAFRFLDEMVFHGAFPDSLTYNMIFQCLVRNKRVHEVGKFFVEMIKNEWPPTSSNCVMAIKMLLENDDPEMAIDIWNYMVENCVSPLVESANELLIGLSNLGRLSWVERFAEEMLDKRINLFESTMEKLKNAFFKEGRTLRDKYDSLSRRWKVAQM >EOY21954 pep chromosome:Theobroma_cacao_20110822:3:20026781:20031563:1 gene:TCM_014122 transcript:EOY21954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MKKKKIMPGDQAVTWRFTLFKFLVLITATIVAGDSLDTDKEVLLNLKTFLEEKNPVNRGKYSEWNRENSMPCQWHGISCSVDGKRVIRIDLSGNNISGEIFNNFSALTELRELDLSVNTIGGAIPDDLNRCSSLVYLNLSHNILEGELNLTGLNGLEKLDLSTNRIHGDIEVNFPAICKRLIVANLSTNNFTGRIDNGFDECWNLQHLDLSSNNFSGSIWSGFARLVAYSISENFVSGQLSKSMFTNNCSLQVLDLSENNFQGELPGEISNCKNLAILNVWGNNFTGPIPSEMGMISTLEGLFLGNNSFSRVIPESLLNLTNLVFLDLSKNNFGGEIQVIFGKLTQVKFLVLHGNSYTGGINSSGILQLPNISRLDLSSNNFSGPLPVEISQMPSLNFLMLAYNEFTGAIPSEYGNLPQLQALDLSFNRLSGSIPPALGKLSSLLWLMLANNSLSGKIPPEIGNCSSLLWLNLANNQLSGSIPPELAKIGKNATSTFESNRLHSNRIIAGSGECLAMKRWIPADYPPFLFVYTILTRKSCRSIWDRLLKGYGLFPMCTAGSMVRTSQISGYIQLSGNQFSGEIPSDIGMMQNFSMLHLGFNDFHGKLPAQIGQLPLVVLNITQNKFSGEIPAEIGNIKCLQNLDLSHNNFSGIFPTSFSNLTELNKFNVSYNPLISGVIPSTGQLATFEKDSYLGDPLLDVPDFIDNTTDHQPNRNRRQKKSTKLAVVLVLLALTLAFLVFGILSLLVCIMVKSPAEPQGYLLQDTKYRHDLASSSGGSSPWLSDTVKVIRLDKTAFTHADILKATGNFSEDRILGQGGFGTVYRGVLPDGREVAVKKLQRDGIEGEKEFRAEMEVLSGNGFGWPHPNLVTLYGWCLDGLEKILVYEYMGGGSLEDLISDRVRLTWRRRIDVAVDIARALVFLHHECYPAIVHRDVKASNVLLDKDGRARVTDFGLARVVDAGDTHVSTTVAGTIGYVAPEYGQTWQATTKGDVYSYGVLAMELATGRRAVDGGEECLVEWARRVMGNGRNGLGRAAIPVVLLGSGLAEGAEEMRELLQIGVRCTAESPQARPNMKEVLAMLIRLTSCGGEFNYCIS >EOY25362 pep chromosome:Theobroma_cacao_20110822:3:34026510:34032784:1 gene:TCM_016697 transcript:EOY25362 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MTLSDDEDKFLANFLESELSNEEERELEEPEAKRLRGGKDDEEEEPKRKGEGASCSNPNPDSGPGQVKNNSSISVPRRIESGTFSKIPPELFPHILKFLSSEDLVSCSLVCSFLNYAAADESLWRRLYCMRWGLLPPTKIRECAWKKLYIQRDEEDMIELVRNCPSEFKEYYIQMQAAKRSQAPLPSQVKDDLIILDKTVADQVSMWKSSRGLADKVVADHACSGETCSYYQIGDVFVCEKTGQVHVCDDTCREVILDPTNELLVCTISGHCFDRLLSPSEMELDPEQQQGGGTDEAEPFMGSGRFARAYLLGYNCDDEKELEAALSCSYTSLSLALALTHSWLKNMGKDKDKHKHDDKGLFSHHHGHGGYPPGAYPPPPGGYTPATGYPPHAGYPPQGYPPQGYPPAGYPPGGYPPSGHPGGYPPSGYPGASHSGHGGLGAMIAGGAAAAAAAMGAHHVAHGVHGGHHGGYAHHGKFKHHGGKFKHGKHGKFKHGKHGKFKHGKHGLFGGKFKKWK >EOY20796 pep chromosome:Theobroma_cacao_20110822:3:1287828:1294896:1 gene:TCM_012144 transcript:EOY20796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDATELCLVPDVLIPAKFKVPEFEKYDGTKCPMAHITMYCRKMAAQSHDDKSLNNEHEDNLDNDLNIDFEIIPNIDELKNEEEVDSRGSPGTELEFTWISSDSKLVRDGFSPKRRDKSEL >EOY25342 pep chromosome:Theobroma_cacao_20110822:3:33970457:33974518:1 gene:TCM_016683 transcript:EOY25342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subunit B MGCWWEGVDESRLLIAPDPGSNGDGSARLISLRHPKSGVTTSYLLSNRLLQELHWFKQSYGSWFLGDYVSEDGSLYTATPIDPVFIMLPIFEEARMKKVDDPGKFRQLDEILFINDYPGYRHLFSIAENCMQVVCEIKEIGSSKFFRLDDTKVLAWLNYKVCQLKQTLPALDQNYAARDEKDTSADAISIIGEYLKHEPWLKLLSNHFKLNLLEATRIASDFEACSNAIESPMGASNPSQGKTRGEKKTARNQKQAKKAKIETESRNIKEMFSRASRRRN >EOY24840 pep chromosome:Theobroma_cacao_20110822:3:32399578:32402359:1 gene:TCM_016331 transcript:EOY24840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat superfamily protein, putative MLTLQLFPLLPTYSAPFQLPKQKITTKEPRNNNPVQFRKSLSSQNLSSDIAAPDSVSTVHALKSCTASRSLELGSCIHGTVLKSGLCTNVFVNNSLLDMYMKCGSTEEARKLFDHMPERNVASWTSMISGYCHNGLADEGISTFVQMLENEDPNEFTLAAALQAVAQKGNFRFLSVLHSYIVKSRFSVDSFLQNSLIGAFAKSGGLVDAIKLLERFSSRDVVSWTSVISAYVLHGLMQEALLVFFRMQDDGVVPNEVTILSILHACSFIGGQRILQWVHGLVSKLGWCRHELVLNSVAEMYLTNGYFRQGIQLFSEFCFDGEGQYFSPETMATLLQGCGHIHYLKFGKQLHGYLIKHGFSSCIVENSLIDMYAENQKSDSAFQVFARMNVRDIISWNTLITCLVKNGEFREALMLLKDVHNNHGGEMVRPDVITMLASIQACSNLSSFIPGQLIHGYITKAGLICDIFIRNALIDMYGRSGRLDLAEKVFEEMPTKDLGSWNSLIAAYGINGNGRPALHAFTELSKSSPHNPNAITFTNILSACSHAGLVEEGYEIFNRMRREYGVEPSMEHFVCVVDLLGRSGKLEEAEDFIKEMPVTPANDVWCALLGACGFHGNITIAERVAKKLCVLDPEGKVWRVALSNIYASRGQWDNAAKVRAQLRQVEGVKKERGWSTVEVEGEMFRFMVNDTRHPESKLIYAILSGMMKHVRENAIDRL >EOY21723 pep chromosome:Theobroma_cacao_20110822:3:17631228:17633701:-1 gene:TCM_013827 transcript:EOY21723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porin/voltage-dependent anion-selective channel protein, putative MNPGLYFAIGKKARDLLYKDYAQRQPLQLRYQSFDWSFDFSCQIEEILPGVNTVFRVIIPDSGKAELQYLRDYVGFSAGVGLKANPATGFDPIANISGVIGSTLVSLGADLGFDITAGTLNKFSASLSLNTAFLIASLTLSDSFDSAKASFYRALNPPTRTAVAAELKHRFSEDATTLTVGAQHALFPLTLVKARMDTDGKVSAVLRQQVWQRFYVSIAGEMNLRDSNYIPRIGLSMALKP >EOY22452 pep chromosome:Theobroma_cacao_20110822:3:23494069:23494838:1 gene:TCM_014613 transcript:EOY22452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin like 37 MMKGEEYERVLRYFDEDGDGKISASELSRRLGQMGGELLLNEAEAAIEALDSNGDGLLDLEDLIGLMEEVGEEDKLKDLREAFGMYDADGCGFITPKGLKKMLNRLGESKSIDECKVMIKQFDLNGDGVLSFEEFRVMMQ >EOY21116 pep chromosome:Theobroma_cacao_20110822:3:3153749:3159098:1 gene:TCM_012458 transcript:EOY21116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIETSRRLSRTRREYQVVTVCIPSHRAQSMSSCTSPHIVHMGNISIHLPHHHHHRQVHTHPSHARLHLSHNDANPSKILQPTRSSLPKVLLGAQRNNYFHFYFYLFIFFFSSFSLSRLFLSFFFSSFPSVDNTFLLSLLYFLFLSFPSFHSQTDNLSLCLLLHKPNSTSPCFLSQICSKTLCFSS >EOY23463 pep chromosome:Theobroma_cacao_20110822:3:27496096:27498099:1 gene:TCM_015352 transcript:EOY23463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein MGERLRLGVGIMGNASSLLLYAAPILTFSRVIRKRSTEDFSCIPYIGALLNCLLYTWYGLPVVSYKWENFPLVTINGLGIILELSFIFIYFWFASTRGKIKVGVITTPVILVSCIIAIISAFVFHDHHHRKAFVGTVGLVASVAMYCAPLVAVKQVILTKSVEFMPFYLSLASFLASVLWLAYGLLSHDLLLASPNLVGCPIGVLQLVLHCKYRKRGIMEEPSKWDLEQNSQEKPKQMQFVMNENINEKELKNTA >EOY21080 pep chromosome:Theobroma_cacao_20110822:3:2752186:2752865:1 gene:TCM_012400 transcript:EOY21080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTMGSSWNAMKHSVVESYKACSTLSGAVYGQDTAVMEGSSSFVKKISSLS >EOY22303 pep chromosome:Theobroma_cacao_20110822:3:22904359:22905953:-1 gene:TCM_014518 transcript:EOY22303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere protein X isoform 3 QFKYREEAKRQFEIRITSAMADNNTPTLDPDLIGAIFKHIWARKAHERERNGIQNTDALDSEVGAGTSKKNRPTSNSLKLSSELLRIFITEAVQRAATIAEAEGGTEIEATHVERILPQLLLDF >EOY22302 pep chromosome:Theobroma_cacao_20110822:3:22905102:22905895:-1 gene:TCM_014518 transcript:EOY22302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere protein X isoform 3 MADNNTPTLDPDLIGAIFKHIWARKAHERERNGIQNTDALDSEVGAGTSKKNRPTSTNADSLKLSSELLRIFITEAVQRAATIAEAEGGTEIEATHVERILPQLLLDF >EOY22305 pep chromosome:Theobroma_cacao_20110822:3:22905100:22905953:-1 gene:TCM_014518 transcript:EOY22305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere protein X isoform 3 QFKYREEAKRQFEIRITSAMADNNTPTLDPDLIGAIFKHIWARKAHERERNGIQNTDALDSEVGAGTSKKNRPTSKAVQRAATIAEAEGGTEIEATHVERILPQLLLDF >EOY22304 pep chromosome:Theobroma_cacao_20110822:3:22905102:22905895:-1 gene:TCM_014518 transcript:EOY22304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere protein X isoform 3 MADNNTPTLDPDLIGAIFKHIWARKAHERERNGIQNTDALDSEVGAGTSKKNRPTSSNFSSHFFPWMNFINFTLLLYLIAANADSLKLSSELLRIFITEAVQRAATIAEAEGGTEIEATHVERILPQLLLDF >EOY24146 pep chromosome:Theobroma_cacao_20110822:3:29825767:29827991:-1 gene:TCM_015821 transcript:EOY24146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 97 isoform 1 MERKVRIEAIESITERLSCLENLYFPRALQSSASDPSHRKSILHDLLSRDVPVFLERYGSELTSDELLEFDALNDDYEVNWHLKHLRSKMSPSSEELKSRSVTVKNRRRAYLNKLICDGQYFSEDAMREREPYLHHEYLGKFQDLSGRSMAKPGERWSETLMRRCEEARLVAKIREEQQRLGVAQKDWVGNETNQQEEEEEEEEEEEEEEEEEEEEEEEEEEEDENEMKKENGGEHGNEMLLDHPGNDRGATSATMGEDEEAPSAEEMQDRMDQFTYIMQQKFLSGEDREHLDYTKIDDDETLDDHWLREANHDAEEKYFAED >EOY24145 pep chromosome:Theobroma_cacao_20110822:3:29825989:29828169:-1 gene:TCM_015821 transcript:EOY24145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 97 isoform 1 MERKVRIEAIESITERLSCLENLYFPRALQSSASDPSHRKSILHDLLSRDVPVFLERYGSELTSDELLEFDALNDDYEVNWHLKHLRSKMSPSSEELKSRSVTVKNRRRAYLNKLICDGQYFSEDAMREREPYLHHEYLGKFQDLSGRSMAKPGERWSETLMRRCEEARLVAKIREEQQRLGVAQKDWVGNETNQQEEEEEEEEEEEEEEEEEEEEEEEEEEEDENEMKKENGGEHGNEMLLDHPGNDRGATSATMGEDEEAPSAEEMQDRMDQFTYIMQQKFLSGEDREHLDYTKIDDDETLDDHWLREANHDAEEKYFAED >EOY22838 pep chromosome:Theobroma_cacao_20110822:3:24976409:24977428:1 gene:TCM_014894 transcript:EOY22838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase MKDHWLSLILLVLLLSMAAGDSSNDCVYTLYVKTGSVIKAGTDSKISVTLGDSLGRSVRVSDLKSWGLMTPSHDYYERGNLDIFSGRGRCIGSSICRLNLTSDGSGSHHGWYCDYVEVTSTGPHKACSQTVFYVDQWLAGDAPPFQLTAILDGCSMRDGPAKRGINGPLVVGNPQRST >EOY21619 pep chromosome:Theobroma_cacao_20110822:3:14636535:14640084:-1 gene:TCM_013584 transcript:EOY21619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHVMQDALFNALPWMVVSKDDGISLACDSRLHCFGAIHRVSSDDDFEEDHFTIMNRIMSDMFQPRVGQRLVQIRCDTLATKNIKKSHPKSYKKKAVMATWSDSGKSQNNEEEEVGNLCHMALDDPMDESIEIKCTTKRPTMP >EOY20596 pep chromosome:Theobroma_cacao_20110822:3:267373:268112:-1 gene:TCM_011974 transcript:EOY20596 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--glycerol-3-phosphate 3-phosphatidyltransferase, putative PNLGIRPTVSYLSYFPSNTQSPSLSSSLNVILHLLLQAIFLICSKLKTPSHAFSIKQQEEGNAMEGNNSSYGTSWADQWDYSDPTPVSTTQNKNTKSSTAKYKQKVGEGLGKTKAVASTGVKKVKEGTSLGIHWIKEKYHKTTQKH >EOY24168 pep chromosome:Theobroma_cacao_20110822:3:29930376:29951504:1 gene:TCM_015842 transcript:EOY24168 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-deoxy-d-arabino-heptulosonate 7-phosphate synthase isoform 3 MALALSASVNLTAPRRSLLGTTTRFPLSPPIPTLHITETPNPITIPSVSASSPPPSTDYNQWSLDSWKSKRALQLPEYPDVDELELVLQTIGSFPPIVFAGEARKLEEKLANAAVGKAFLLQGGDCAESFKEFNANNIRDTFRVLLQMGIVLTFGAQIPVIAVGRMAGQFAKPRSEPFEIKDGVQLPSYRGDNINGDAFDEKSRVPDPQRYMELAQRVDEALGFMAAAGLTVDDPVMNTFDFWTSHECLHLPYEQALTREDSTTGLYYDCSAHLLWVGERTRQLDGAHVEFLRGISNPLGIKVSDKMDPKELVKLCEILNPRNKPGRLIIITRMGAENMRIKLPHLIRAVRQAGLIVTWVSDPMHGNTIKAPCGLKTRGFDAIRAELRAFFDVHDQEGSYPGGVHLEMTGQNVTECIGGSKTVTFDDLNSRYHTHCDPRLNASQSLELAFAISERLRRKRLKSANEFRSAYRFN >EOY24169 pep chromosome:Theobroma_cacao_20110822:3:29930376:29951504:1 gene:TCM_015842 transcript:EOY24169 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-deoxy-d-arabino-heptulosonate 7-phosphate synthase isoform 3 MALALSASVNLTAPRRSLLGTTTRFPLSPPIPTLHITETPNPITIPSVSASSPPPSTDYNQWSLDSWKSKRALQLPEYPDVDELELVLQTIGSFPPIVFAGEARKLEEKLANAAVGKAFLLQGGDCAESFKEFNANNIRDTFRVLLQMGIVLTFGAQIPVIAVGRMAGQFAKPRSEPFEIKDGVQLPSYRGDNINGDAFDEKSRVPDPQRYMELAQRVDEALGFMAAAGLTVDDPVMNTFDFWTSHECLHLPYEQALTREDSTTGLYYDCSAHLLWVGERTRQLDGAHVEFLRGISNPLGIKVSDKMDPKELVKLCEILNPRNKPGRLIIITRMGAENMRIKLPHLIRAVRQAGLIVTWVSDPMHGNTIKAPCGLKTRGFDAIRGAILEEFIWR >EOY24167 pep chromosome:Theobroma_cacao_20110822:3:29930471:29933921:1 gene:TCM_015842 transcript:EOY24167 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-deoxy-d-arabino-heptulosonate 7-phosphate synthase isoform 3 MALALSASVNLTAPRRSLLGTTTRFPLSPPIPTLHITETPNPITIPSVSASSPPPSTDYNQWSLDSWKSKRALQLPEYPDVDELELVLQTIGSFPPIVFAGEARKLEEKLANAAVGKAFLLQGGDCAESFKEFNANNIRDTFRVLLQMGIVLTFGAQIPVIAVGRMAGQFAKPRSEPFEIKDGVQLPSYRGDNINGDAFDEKSRVPDPQRLIRAYLQSVGTLNLLRAFATGGYAAMQRVSEWNLDFVKHSEQGDRYMELAQRVDEALGFMAAAGLTVDDPVMNTFDFWTSHECLHLPYEQALTREDSTTGLYYDCSAHLLWVGERTRQLDGAHVEFLRGISNPLGIKVSDKMDPKELVKLCEILNPRNKPGRLIIITRMGAENMRIKLPHLIRAVRQAGLIVTWVSDPMHGNTIKAPCGLKTRGFDAIRAELRAFFDVHDQEGSYPGGVHLEMTGQNVTECIGGSKTVTFDDLNSRYHTHCDPRLNASQSLELAFAISERLRRKRLKSANEFRSAYRFN >EOY22661 pep chromosome:Theobroma_cacao_20110822:3:24390441:24395256:1 gene:TCM_014767 transcript:EOY22661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycyl-tRNA synthetase / glycine--tRNA ligase MASYGDSDVVNRDAFRQAVVNTLERRLFYVPSFKIYGGVAGLYDYGPPGCAVKSNVLAFWRQHFVLEENMLEVDCPCVTPEIVLKASGHVDKFTDLMVKDEKTGTCFRADHLLKDFCKDQLEKDINVTADKAAELKHVLAVLDDLSAEELGEKIREYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGFMRPETAQGIFVNFKDLFYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDSDDKSHPKFCEVSNLEFLMFPREKQVSGQPAKRLRLGEAVSKGIVNNETLGYFIGRVYLFLTCLGIDKDRLRFRQHLANEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHTDKSGVALVAHEKFSEPKEVEKLVIAPVKKELGLTFKGDQRMVCEALEVMSEKEAMEMRANLESKGEAEFHVCTLAKDVTIKKNMVTISKEKKKEHQRVFVPSVIEPSFGIGRIIYCLYEHSFYTRPSKTGDEQLNVFRFPPLVAPIKCTVFPLVQNQQYEEVAKHIAQILTAAGISYKIDMTGTSIGKRYARTDELGVPFAITVDATSSVTIRERDSKVQIRVNVEEVASVVKEVTEGHSTWNDMLSKYHNPSALDDE >EOY25140 pep chromosome:Theobroma_cacao_20110822:3:33398859:33400248:-1 gene:TCM_016553 transcript:EOY25140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNDMSLSYNSQTENTLPALVSGSPETSTNNQIKINNNRNHVSTVSTDSSACEAWEKLSDSENSGFDWKDTLQ >EOY21871 pep chromosome:Theobroma_cacao_20110822:3:19291465:19295079:-1 gene:TCM_014019 transcript:EOY21871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase family protein isoform 3 MSSIAFKPFTGLRRSSAVPTTTITTNSTGLSKAVKFHASAAKTSPKLSNRNLRVAVIGGGPAGGSAAETLAKGGIETFLIERKLDNCKPCGGAIPLCMVGEFDLPLDIIDRRVTKMKMISPSNIAVDIGQTLKPHEYIGMVRREVLDAYLRDRAKENGANVINGLFLKMDMPQRWDEPYVLHYTEYDGKKGAMGEKVSLEVDAVIGADGANSRVAKAINAGDYDYAIAFQRIKIPDEKMVYYENLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKSDIKKFQLATRNRAKDKILGGKIIRVEAHPIPEHPRPRRLSGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSQHGKKMVDEGDLRKYLEKWDKTYWPTYKVLDVLQKVFYRSNPAREAFVEMCADEYVQKMTFDSYLYKTVAPGNPLEDLKLAVNTIGSLVRANALRKEMEKLNV >EOY21870 pep chromosome:Theobroma_cacao_20110822:3:19292105:19295439:-1 gene:TCM_014019 transcript:EOY21870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase family protein isoform 3 MSSIAFKPFTGLRRSSAVPTTTITTNSTGLSKAVKFHASAAKTSPKLSNRNLRVAVIGGGPAGGSAAETLAKGGIETFLIERKLDNCKPCGGAIPLCMVGEFDLPLDIIDRRVTKMKMISPSNIAVDIGQTLKPHEYIGMVRREVLDAYLRDRAKENGANVINGLFLKMDMPQRWDEPYVLHYTEYDGKKGAMGEKVSLEVDAVIGADGANSRVAKAINAGDYDYAIAFQERIKIPDEKMVYYENLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKSDIKKFQLATRNRAKDKILGGKIIRVEAHPIPEHPRPRRLSGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSQHGKKMVDEGDLRKYLEKWDKTYWPTYKVLDVLQKVFYRSNPAREAFVEMCADEYVQKMTFDSYLYKTVAPGNPLEDLKLAVNTIGSLVRANALRKEMEKLNV >EOY21872 pep chromosome:Theobroma_cacao_20110822:3:19292732:19294859:-1 gene:TCM_014019 transcript:EOY21872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase family protein isoform 3 MVGEFDLPLDIIDRRVTKMKMISPSNIAVDIGQTLKPHEYIGMVRREVLDAYLRDRAKENGANVINGLFLKMDMPQRWDEPYVLHYTEYDGKKGAMGEKVSLEVDAVIGADGANSRVAKAINAGDYDYAIAFQRIKIPDEKMVYYENLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKSDIKKFQLATRNRAKDKILGGKIIRVEAHPIPEHPRPRRLSGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSQHGKKMVDEGDLRKYLEKWDKTYWPTYKVLDVLQKVFYRSNPAREAFVEMCADEYVQKMTFDSYLYKTVAPGNPLEDLKLAVNTIGSLVRANALRKEMEKLNV >EOY21911 pep chromosome:Theobroma_cacao_20110822:3:19791467:19792559:1 gene:TCM_014089 transcript:EOY21911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLHFVPSVFLMLKLLNICLSNVIMFGKFGLLGLMLGPFRGLPPGNMRDFLISWNTFFSECEHNPVWRMAFMAILWTTWIHRNNLVFNGKIWDSNLFFYVVKLRVGWWAKANWPLSNSSILEMVKDLIFIKAFPSNKKTKKSCDWNKPPFD >EOY23872 pep chromosome:Theobroma_cacao_20110822:3:28823329:28826056:-1 gene:TCM_015625 transcript:EOY23872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 8, putative isoform 1 MGTRNNAFMGTEKGFGRDHCDVGLALRMQQVEESCPNKSFMPMPHHSSHHQLSSSSSSCCGDGFDGGACGGGPIVCNTSNQVPCTGDIYDVVGAASASASAAAAGVFKSLHHHPFSADPSFPNNCSGEMAAPVNARAPFTAAQWQELERQTMIYKYMTASEPVPPDLPIPLTRNPSNVIKGSLELGFSGNSSDPEPWRCRRTDGKKWRCSRDVAPDQKYCERHSHKSRPRSRKPVELPNHSVNNNDHKPHTHNMASDKQPHQNPHFITDAHLLTSSFDQPRCLEWFIKGETTVPFAGNSNPEWQQFQTQGTKYGGNVSEYQQQYYKDHRLNLQAQHLNEQFSPDFASLGGASNLNQTHSQETRSFIDAWSTAEREVAELDGFGSKRPLCSNEKLPLSSLTLSMSGGSGNNQEEDDENSQMGAFDIMGLAVENVRALRPQMANPVSWMGSPPGGPFYPLCALVLQVVKAQVRVTAARAHEKTGEAQLILTYG >EOY23874 pep chromosome:Theobroma_cacao_20110822:3:28823500:28829618:-1 gene:TCM_015625 transcript:EOY23874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 8, putative isoform 1 MGTRNNAFMGTEKGFGRDHCDVGLALRMQQVEESCPNKSFMPMPHHSSHHQLSSSSSSCCGDGFDGGACGGGPIVCNTSNQVPCTGDIYDVVGAASASASAAAAGVFKSLHHHPFSADPSFPNNCSGEMAAPVNARAPFTAAQWQELERQTMIYKYMTASEPVPPDLPIPLTRNPSNVIKGSLELGFSGNSSDPEPWRCRRTDGKKWRCSRDVAPDQKYCERHSHKSRPRSRKPVELPNHSVNNNDHKPHTHNMASDKQPHQNPHFITDAHLLTSSFDQPRCLEWFIKGETTVPFAGNSNPEWQQFQTQGTKYGGNVSEYQQQYYKDHRLNLQAQHLNEQFSPDFASLGGASNLNQTHSQETRSFIDAWSTAEREVAELDGFGSKRPLCSNEKLPLSSLTLSMSGGSGNNQEEDDENSQMGAFDIMGLAVENVRALRPQMANPVSWMGSPPGGPFYPLCALVLQVVKAQVRVTAARAHEKTGEAQLILTYG >EOY23873 pep chromosome:Theobroma_cacao_20110822:3:28823404:28825960:-1 gene:TCM_015625 transcript:EOY23873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 8, putative isoform 1 MGTRNNAFMGTEKGFGRDHCDVGLALRMQQVEESCPNKSFMPMPHHSSHHQLSSSSSSCCGDGFDGGACGGGPIVCNTSNQVPCTGDIYDVVGAASASASAAAAGVFKSLHHHPFSADPSFPNNCSAPVNARAPFTAAQWQELERQTMIYKYMTASEPVPPDLPIPLTRNPSNVIKGSLELGFSGNSSDPEPWRCRRTDGKKWRCSRDVAPDQKYCERHSHKSRPRSRKPVELPNHSVNNNDHKPHTHNMASDKQPHQNPHFITDAHLLTSSFDQPRCLEWFIKGETTVPFAGNSNPEWQQFQTQGTKYGGNVSEYQQQYYKDHRLNLQAQHLNEQFSPDFASLGGASNLNQTHSQETRSFIDAWSTAEREVAELDGFGSKRPLCSNEKLPLSSLTLSMSGGSGNNQEEDDENSQMGAFDIMGLAVENVRALRPQMANPVSWMGSPPGGPFYPLCALVLQVVKAQVRVTAARAHEKTGEAQLILTYG >EOY23871 pep chromosome:Theobroma_cacao_20110822:3:28823493:28825834:-1 gene:TCM_015625 transcript:EOY23871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 8, putative isoform 1 MGTRNNAFMGTEKGFGRDHCDVGLALRMQQVEESCPNKSFMPMPHHSSHHQLSSSSSSCCGDGFDGGACGGGPIVCNTSNQVPCTGDIYDVVGAASASASAAAAGVFKSLHHHPFSADPSFPNNCSGEMAAPVNARAPFTAAQWQELERQTMIYKYMTASEPVPPDLPIPLTRNPSNVIKGSLELGFSGNSSDPEPWRCRRTDGKKWRCSRDVAPDQKYCERHSHKSRPRSRKPVELPNHSVNNNDHKPHTHNMASDKQPHQNPHFITDAHLLTSSFDQPRCLEWFIKGETTVPFAGNSNPEWQQFQTQGTKYGGNVSEYQQQYYKDHRLNLQAQHLNEQFSPDFASLGGASNLNQTHSQETRSFIDAWSTAEREVAELDGFGSKRPLCSNEKLPLSSLTLSMSGGSGNNQEEDDENSQMGAFDIMGLAVENVRALRPQMANPVSWMGSPPGGPFYPLCALVLQVVKAQVRVTAARAHEKTGEAQLILTYG >EOY21815 pep chromosome:Theobroma_cacao_20110822:3:18749702:18757100:-1 gene:TCM_013947 transcript:EOY21815 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein, putative MADPRIPTVDLSPFFTEDDEDGKKKAMEVISKACSEHGFFQIVNHGVPMESLQRALELSRIFLEYPAEEKLKSSSASDAPLPAGYNTRPQHSPDKNEYLLMLPPGSSFNVFPDNPSEFKEVLEDVFSNLTKTGLLVESIVNQCLGLPLNFLKEFNNDRSWDLMDEAGGLQVCQDGEWIPVIPTEGTLVVNISDVIQVLSNNKFKSATHRVVRPKGRSRYSLAFFYNLQGDKWVEPLPQFTKDIGEAPKYRGFHYKEYLQLRVRNKTHPPARPGDEIRITHYKINT >EOY23366 pep chromosome:Theobroma_cacao_20110822:3:27146049:27149487:-1 gene:TCM_015283 transcript:EOY23366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQTNSQARKDEESALVHSRELPDLEQSKDFRETESEEPTLGQSFELNRKNLAILAASVIVIVLIIVFSILIFSTKNPKIRVRSAVVQNLNHSTSSNPSFSMRFVTEMTVKNPNFGYFRYGSTNVTFAYRGVQLVQVLVPGARIRGFGTGKITATMDLNSNNVRNDTNLGSDIRSGFLTLTGQSKMNATAYDKEFALMHSKKTELEQSNEFTERQSEESTGKPSYELNRKNLAIIAASVVIIILIVVFSILVLNKKNPKFRVRSVMVENLNYGSSPNPSVNMTFTTEMKVKNPNFGYFRYGITNLKFAYNCKQLGLVLFPRARGV >EOY21946 pep chromosome:Theobroma_cacao_20110822:3:19984529:19992610:-1 gene:TCM_014116 transcript:EOY21946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MGCVSSKQAVSVTPAFDHSGALRENAGAGSVGTNSGRSRVGFSELEKKRSSGGGGSKKKKKNSTSGGVSDLGSGCGGLGLSGTELGESGRASSRSDSLSLRLGNLQKYIEGEHVAAGWPAWLSAVAGEAIHGWVPLKADSFEKLEKIGQGTYSTVFRARDLETGKIVALKKVRFDNFEPESVRFMAREILILRRLDHPNIIKLEGIITSRMSCSIYLVFEYMEHDITGLLSCPDIKFSESQIKCYVKQLLSGLDHCHSRGIMHRDIKGSNLLVNNEGILKMADFGLANFCASGHRQPLTSRVVTLWYRPPELLLGSTDYTAAVDLWSVGCVFAELLLGKPILQGRTEVEQLHKIFKLCGSPPDDYWKKSRLPHATLFKPQQPYDSCLRETFKDLPATAVNLIETLLSVEPYKRGTASSALASEYFTTKPYACDPSSLPVYPPSKEIDAKHREEAKRKKISGRVRGSETRKPIRKPHGISKLAPVEDAAAAQTRGSQKINGNHVHNSKQRNATISDGVPNPSTDGLEEAAHVKHASQGDIPYSGPLQVSTSSGFAWAKRQKDDASIRSHSRSISRGHIYNSLEPSAQLNARNNFDSKRHENGDVIYGGRTDSRGHDSYEAAKRAMQKQWSQFERPDSFDASDGGYHSQELSLALYQREEMAAKRNNLDYQDEGDKVEFSGPLLSQSHRVDELLERHERQIRQAIRKSWFQRGKKHGK >EOY23036 pep chromosome:Theobroma_cacao_20110822:3:25901137:25904890:1 gene:TCM_015045 transcript:EOY23036 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-like carbohydrate kinase family protein isoform 1 MAGNLTTGRAEDLSANTNGKSADNNSIVVCFGELLIDFVPTVGGVSLAEAPAFKKAPGGAPANVAVGVARLGGSSAFIGKVGDDEFGHMLADILRQNNVDISGMRFDHSARTALAFVTLRADGEREFLFFRHPSADMRLHESELDINLIKQAKIFHYGSISLIEEPCKSAHLAAMNIAKRSGSILSYDPNLRLPLWPSSEAARTGIMSIWDQADLIKVSEDEIKFLTGGDDPYDDNVVMKKLYHPNLKLLVVTEGSEGCRYYTKAFKGRVPGIKVKPVDTTGAGDAFVSGLLSSLASDLKLFEDEERLREALNFANACGALTVTERGAIPAMPMKKAVIDALTKFAAS >EOY23037 pep chromosome:Theobroma_cacao_20110822:3:25902249:25904129:1 gene:TCM_015045 transcript:EOY23037 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-like carbohydrate kinase family protein isoform 1 AEDLSANTNGKSADNNSIVVCFGELLIDFVPTVGGVSLAEAPAFKKAPGGAPANVAVGVARLGGSSAFIGKVGDDEFGHMLADILRQNNVDISGMRFDHSARTALAFVTLRADGEREFLFFRHPSADMRLHESELDINLIKQAKIFHYGSISLIEEPCKSAHLAAMNIAKRSGSILSYDPNLRLPLWPSSEAARTGIMSIWDQADLIKVSEDEIKFLTGGDDPYDDNVVMKKLYHPNLKLLVVTEGSEGCRYYTKAFKGRVPGI >EOY24232 pep chromosome:Theobroma_cacao_20110822:3:30181729:30182895:-1 gene:TCM_015897 transcript:EOY24232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDEEETPVSEGYLSKYGRKLIQVIGRRKGGRSAHKELEEAMYQLKEEHGWDSFGIVYNWASWLKKGKWNFELNDLPIIHCDVKPRNRLLDEYFTVRISQSGIRTMISGTRGYVAPEWFKNVPITAKVDVYSFGVRVDVEARDDEATTADKDRRCKWVP >EOY24636 pep chromosome:Theobroma_cacao_20110822:3:31737949:31750001:1 gene:TCM_016188 transcript:EOY24636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 87, subfamily A, polypeptide 2 MLALFIGALLVICITHWFYNWRNPRCNGKLPPGSMGFPLLGETLQFFGPSTTSDIHPFVKERMKRHGPIFKTSLVGRSVIVSTDPDLNHFVFLQEGQLFQSWYPDTFTEIFGRQNVGSLHGFMYKYLKNMVLNLFGPESLKKMLPEVERTACRRLQRWSSQETVELKEATASMIFDLTAKKLISYDQDNSSENLRENFVAFIQGLISFPLDIPGTAYHKCLQGRRNAMKMLKNLLNERRAMPGKNRSDFFDYVLEELQKEGTILSEAIALDLMFVLLFASFETTSLALTLAVKFLSDDPSVLKKLTEEHETILRNREDPDSGLTWKEYKSMTYTFQFINETVRLANIVPGIFRKALREIQFNGYTIPAGWAVMVCPPAVHLNAAKYRDPHAFNPSRWEGVETNGASKNFMAFGGGMRFCVGTDFTKVQMAVFLHCLVTKYRWQPIKGGNILRTPGLQFPDGFHIQLMEKTRLMSSHVSLHG >EOY24473 pep chromosome:Theobroma_cacao_20110822:3:31118417:31120717:-1 gene:TCM_016068 transcript:EOY24473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein MLHKFPNIFNSIQSTRTKALNPWNSLYKYCHFKKKVGFDSLNSTSTSTSTLASKTAQNRVAIFWDLDNKPPNSFPPFEAAVKLKTAASSFGVVRSMVAYANHHAFSYVPKVVREQRKERKLLNQLENKGVIKSVEPYFCRVCGRRFYTNEKLINHFKQIHEREHQKRLNQIEYARGSRRVKLVAKYSMKMEKYRNAARDVLTPKVGYGLADELKRAGFWIGTVSNKPQAADVALRDHIVDVMDKRKAECLVLVSDDSDFVGVLKEAKLRCLKTVVVGDISDGALKRLADAGFSWTEILMGKAKKEAVSVVGKWKDRDILKRLEWKYNPEVERKLYSYGDESEDQDFDSTDDGNDADCMHKEDAGAWWDLDSDSDITSSQRRH >EOY23100 pep chromosome:Theobroma_cacao_20110822:3:26207106:26212789:-1 gene:TCM_015098 transcript:EOY23100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYTYLFFHDHVCRQLFPSSSMPRTRVPPKINYGEPGRVATRKKTTAHKRKNSDSKLVAPKKIRVGKSGEVPPVPENWKDEIIRERGEDRFWEEVRARQVDEHPSESVGYDWNRLCSETPPHRRSGIPGPSILKFRFERGEFPLSATKLGSNSQFVHGWDEWVTKVLKNPSYVKLLSSAGILDAIRITSKLNIRREKRIDVWRAILARWSTFSHTMITAWGEFTFTLEDVCVLLELPCIGKDDFHSIKLSEEEVCTRDFFFDLLKSLSKTSKVARFSNWIGVFYKKFNAKGIEIDSPEYPDHKYELVALIIFWLARHVLPGCPDDGISSAVVPLAIKIVKGIRFPLAPLYLGSLYKRLDLYQLKIIESAGRYKVLTYVDVSFIQMCLWERFGTCAPMPNAYPFASFSVNNLLSRNNYRAWAWHDRLQRSGRMSSRGINFCIWVHSSHLPSMIESSSSGGDRNFRSVEVYSPYRVARQFGFDQPAPPDSSSPISFSSCVSSFLMTRLSLHSDKLKSCTIPAFDRVGIHTSGWFAYWGECLGEWRSFTVPLTNPTARLYTPHVSNNDVSLRLIPLRKKKCMTEEEDTAVPAPIRQTKDKHAYRKGESTTRVELNSVEEEETSEVETEEESCDSERSDESDDESIDVDKAEIEGGPTPFDDFIDFDVLFPNHVHSSTMNQIVLTPQAIRDEVVSDTETIPAGEVVPEVTPNIEVIEDVRIDTDNVRAIPMTPRAYSSPVPEHRDTSSVSGTQIAHTEQSSKKVDFHSFQVSLEYVTYLEQVFNIEGEFWSTSFVKNVDVICLMMDVLGRALVISHAPLMSTSPEELQQMLQDFDDACNFGFKLECLNDCRSKAKIFLNKSSLEDELEDIAAKITSLKKREAEVREQLDVFANNNSSLWNM >EOY23720 pep chromosome:Theobroma_cacao_20110822:3:28361776:28363762:-1 gene:TCM_015526 transcript:EOY23720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 5 MIKMSPRKLTRVFTILAVVLFCQSVHSQLQIGFYRDTCSLVEFIVKEEVTKAFIKENGVVAGLMRMHFHDCFVRGCDGSVLLDSTPSSTAEKDSFANNPSLRGYEVIDNAKARLEAVCKGVVSCADIVAFAARDSIEITGGLGYDVPAGRRDGRTSLASEIIGNLPPPTFNVSQLTQMFAKKGFTQEEMVTLSGGHTIGRSHCTSFSNRLYNFSGTGMQDPSLDPRYAAMLKQQCPQGNKDTNLVVPMNPSSPSITDAGYYVDILANRGLFTSDHTLLTNPATANQVTQNARNPMQWRAKFGAAMVKMGQLEVLTGTAGEIRANCRVING >EOY20533 pep chromosome:Theobroma_cacao_20110822:3:10406:12435:1 gene:TCM_011927 transcript:EOY20533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MLSLQAIRRFCHAASIASTTDTFAVVSKQLPSKIPLQESALSKLKAERDPDKLFILFKANAHNKVVIENRFAFEDTVSRLAGARHFDYIEHLLEHQKTLPQGRREGFIMRIIMLYGKAGMIKHALNTFYDMHLYGCERTVKSFNAALKVLIQTHDIRAIEAFLSDVPQKFNVELDTYSVNIVVKAFCEMDFLERAYLVMVEMEKLGIRPDVITYTTLISTFYQKNRWEIGNGLWNLMVYKGCKPNLTTFNVRIQYLVNRRRAWQANDLMRLMRKIGIVPDEVTYNLVIKGFCLAGYLEMAKRVYSSLEFCREYRPNIKIYQTMIHYLCKGGDYNLAYTMCKDCMRKNWFLNVDTIRSLLEGLIKNGQLGKAKMIMKLVRSRVPPFSSTQLDTLQSVLSRT >EOY21848 pep chromosome:Theobroma_cacao_20110822:3:19113134:19115685:-1 gene:TCM_013995 transcript:EOY21848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLETKKPKKLQSLKLRKLIAESSREGRKLKRRKGKEKEKAKLVEERGLIRGLYYEDGTDLRTILDDKSVIDMCDLLKEKGEDELALVREKLKEFKESRDMLMVAGLKELDREGPFVSKAIVGSPKGNESVAVGLSKVIGGPFEATGNVDGLVAIPSKVERHSDYIEYSDLRSSISTSSRSKVDMAQMVRLSGRFCTSDLQISDFFVGQSFKDSRHFKVV >EOY22719 pep chromosome:Theobroma_cacao_20110822:3:24560434:24561185:1 gene:TCM_014805 transcript:EOY22719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHAGRSYSAAAPQPPAANVSGSPTSSVCALLLPLSWLHSSEWNAPLSKSKAAEGLFLTTSSVEVSLLHEKGNKDHLRMTIYIYIYSLIVVFNKTFYLFNLYLCRCMYVKERDVYMMIFLLL >EOY24043 pep chromosome:Theobroma_cacao_20110822:3:29422276:29423624:1 gene:TCM_015748 transcript:EOY24043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MACCISNTVFQQGWRRSPYSLFGWHIGKKKNAELKPQPKYHDVDLPFSPSLVDKTFLRGRELKCCYKAIIDGFRATNFHDCCDFKGPCVIIGYTDKSFKFGAFNPEGYRSTDDYYDTFDAFLFYWTGNEGNDPIVLPKIGGSGAALFDYARGGPQFGADGLLIGPPLAPVMGGFAGPDTNSGIGDLRQAKSRLGLSYAKRKDGKESIFGDESRATLEEVQVFCSPQIASLY >EOY20914 pep chromosome:Theobroma_cacao_20110822:3:1724006:1727826:1 gene:TCM_012240 transcript:EOY20914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding-like protein isoform 5 MATTEASQSADSYVGSFISLISKSEIRYEGVLFRINPHESSIGLKNVRSFGTEGRKKDGPQVLPSDKVYDYIYFRGSDIKDLQVLSSLSVQSVAAIPDDPAIIQSHYQHPAASSSLPHSSTSSMTSFSSNSQLALPSSNYQGSVPQYHPVGHMVSWGSSTPPTVNSNEHTVPMYWQGLNGPSGGYSYLHPSLLRPPPGLLASPGTQQMEHSTVDASIPSGATHLPEIPHLLLPTSSASSLNSTLLPSSSASSQNSILFPPSSASPQNSTMQPPSSASSQNPTVLPPSSASTLNSTFVSISSSALHSKLPIVHAASLVSNEASNYTAYFDPNAPGLTLSSNLSLASSLTSSVDTNNIALQFGEKSKSTLGSTSSYPNMPTPTPPIVGTSGSNQLEVPTPSLVTPGQLLQSAPTSPPRILSSSLPLQTAQKDIEVVQTSTLEPLSTDADEAQAPMLPTASVSSGKMNEASLDSQHHDKGLVRGRRNGPHVATSHTHRIIRGYVEERENNVMRKYSLYSLYAMNIGIYLLSIIYIFTYLFGIVLYIFQSNRVTLQSHHTNKVHVRGRRN >EOY20910 pep chromosome:Theobroma_cacao_20110822:3:1723948:1730644:1 gene:TCM_012240 transcript:EOY20910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding-like protein isoform 5 MATTEASQSADSYVGSFISLISKSEIRYEGVLFRINPHESSIGLKNVRSFGTEGRKKDGPQVLPSDKVYDYIYFRGSDIKDLQVLSSLSVQSVAAIPDDPAIIQSHYQHPAASSSLPHSSTSSMTSFSSNSQLALPSSNYQGSVPQYHPVGHMVSWGSSTPPTVNSNEHTVPMYWQGLNGPSGGYSYLHPSLLRPPPGLLASPGTQQMEHSTVDASIPSGATHLPEIPHLLLPTSSASSLNSTLLPSSSASSQNSILFPPSSASPQNSTMQPPSSASSQNPTVLPPSSASTLNSTFVSISSSALHSKLPIVHAASLVSNEASNYTAYFDPNAPGLTLSSNLSLASSLTSSVDTNNIALQFGEKSKSTLGSTSSYPNMPTPTPPIVGTSGSNQLEVPTPSLVTPGQLLQSAPTSPPRILSSSLPLQTAQKDIEVVQTSTLEPLSTDADEAQAPMLPTASVSSGKMNEASLDSQHHDKGLVRGRRNGPHVATSHTHRIIRGYVEERENNSNRVTLQSHHTNKVHVRGRRNGLYGTASHFQPSNRSHTGGMEKMNGTAPRPYYGSRGRAQGRTNGISRSVTEYPTDFDFEAMNEKFNKEEVWNQLGKSSKGISEDNGDADDSQEDDRQHEDAEGLLKADIKPVYVKDEFFDSLSSNTFDHKPKKGRSKFSEQKKLDAETFGGFHINRGGHGGRRAGHGGWSRGSRYGRGYEHGGRGQGRAVWSRVT >EOY20911 pep chromosome:Theobroma_cacao_20110822:3:1724006:1730149:1 gene:TCM_012240 transcript:EOY20911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding-like protein isoform 5 MATTEASQSADSYVGSFISLISKSEIRYEGVLFRINPHESSIGLKNVRSFGTEGRKKDGPQVLPSDKVYDYIYFRGSDIKDLQVLSSLSVQSVAAIPDDPAIIQLALPSSNYQGSVPQYHPVGHMVSWGSSTPPTVNSNEHTVPMYWQGLNGPSGGYSYLHPSLLRPPPGLLASPGTQQMEHSTVDASIPSGATHLPEIPHLLLPTSSASSLNSTLLPSSSASSQNSILFPPSSASPQNSTMQPPSSASSQNPTVLPPSSASTLNSTFVSISSSALHSKLPIVHAASLVSNEASNYTAYFDPNAPGLTLSSNLSLASSLTSSVDTNNIALQFGEKSKSTLGSTSSYPNMPTPTPPIVGTSGSNQLEVPTPSLVTPGQLLQSAPTSPPRILSSSLPLQTAQKDIEVVQTSTLEPLSTDADEAQAPMLPTASVSSGKMNEASLDSQHHDKGLVRGRRNGPHVATSHTHRIIRGYVEERENNSNRVTLQSHHTNKVHVRGRRNGLYGTASHFQPSNRSHTGGMEKMNGTAPRPYYGSRGRAQGRTNGISRSVTEYPTDFDFEAMNEKFNKEEVWNQLGKSSKGISEDNGDADDSQEDDRQHEDAEGLLKADIKPVYVKDEFFDSLSSNTFDHKPKKGRSKFSEQKKLDAETFGGFHINRGGHGGRRAGHGGWSRGSRYGRGYEHGGRGQGRAVWSRVT >EOY20912 pep chromosome:Theobroma_cacao_20110822:3:1724002:1729563:1 gene:TCM_012240 transcript:EOY20912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding-like protein isoform 5 MATTEASQSADSYVGSFISLISKSEIRYEGVLFRINPHESSIGLKNVRSFGTEGRKKDGPQVLPSDKVYDYIYFRGSDIKDLQVLSSLSVQSVAAIPDDPAIIQSHYQHPAASSSLPHSSTSSMTSFSSNSQLALPSSNYQGSVPQYHPVGHMVSWGSSTPPTVNSNEHTVPMYWQGLNGPSGGYSYLHPSLLRPPPGLLASPGTQQMEHSTVDASIPSGATHLPEIPHLLLPTSSASSLNSTLLPSSSASSQNSILFPPSSASPQNSTMQPPSSASSQNPTVLPPSSASTLNSTFVSISSSALHSKLPIVHAASLVSNEASNYTAYFDPNAPGLTLSSNLSLASSLTSSVDTNNIALQFGEKSKSTLGSTSSYPNMPTPTPPIVGTSGSNQLEVPTPSLVTPGQLLQSAPTSPPRILSSSLPLQTAQKDIEVVQTSTLEPLSTDADEAQAPMLPTASVSSGKMNEASLDSQHHDKGLVRGRRNGPHVATSHTHRIIRGYVEERENNSNRVTLQSHHTNKVHVRGRRNGLYGTASHFQPSNRSHTGGMEKMNGTAPRPYYGSRGRAQGRTNGISRSVTEYPTDFDFEAMNEKFNKEEVWNQLGKSSKGISEDNGDADDSQEDDRQHEDAEGLLKADIKPVYVKDEFFDSLSSNTFDHKPKKGRSKFSEQKKLDAEVVCSQGLFLC >EOY20913 pep chromosome:Theobroma_cacao_20110822:3:1724002:1727966:1 gene:TCM_012240 transcript:EOY20913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding-like protein isoform 5 MATTEASQSADSYVGSFISLISKSEIRYEGVLFRINPHESSIGLKNVRSFGTEGRKKDGPQVLPSDKVYDYIYFRGSDIKDLQVLSSLSVQSVAAIPDDPAIIQSHYQHPAASSSLPHSSTSSMTSFSSNSQLALPSSNYQGSVPQYHPVGHMVSWGSSTPPTVNSNEHTVPMYWQGLNGPSGGYSYLHPSLLRPPPGLLASPGTQQMEHSTVDASIPSGATHLPEIPHLLLPTSSASSLNSTLLPSSSASSQNSILFPPSSASPQNSTMQPPSSASSQNPTVLPPSSASTLNSTFVSISSSALHSKLPIVHAASLVSNEASNYTAYFDPNAPGLTLSSNLSLASSLTSSVDTNNIALQFGEKSKSTLGSTSSYPNMPTPTPPIVGTSGSNQLEVPTPSLVTPGQLLQSAPTSPPRILSSSLPLQTAQKDIEVVQTSTLEPLSTDADEAQAPMLPTASVSSGKMNEASLDSQHHDKGLVRGRRNGPHVATSHTHRIIRGYVEERENNSNRVTLQSHHTNKVHVRGRRNGVILLASLSYRTSLYL >EOY20853 pep chromosome:Theobroma_cacao_20110822:3:1509892:1514265:1 gene:TCM_012192 transcript:EOY20853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein / peptidoglycan-binding LysM domain-containing protein, putative MSPSNLHCFLSVMTIIFAGFLFDFPIESTLVYPLGCASNIPSCNSYLYHISKGLSIEEMANFYSVNTSQIQSITHDAKLDYLVSVPCTCKDVNGTLGYFYDTLYKVKPGDTYAGVSGEFYSGQAWMIAAEEQLLVAGDMITIHLVCGCLGVENQEAATYTVQDRDTLLQIAELLSANLSEIENLNRNLIRNPNFIDIGWVLFVPIGKRKIQAPKAGKRHNLPIIIGTILVVTLLSMSMLVRFLIRRNRNHRKNRDLEAVNKSPSAKKSSSQNQLLQDKYMEGVASIESERPVTYSLEEINEATNNFDESRKIGQGGYGTVYIGLLKEQEVAIKKMKFSQSKEFFAELKVLCKIHHINVVELLGYARGDNHLYLIYEYIQNGSLNDHLHDPVLKGHLPLSWTARANVALDAARGIEYIHDHTKTRYVHRDIKTSNILLDQRLEAKVADFGLARLVERSNEEDVVATCLVGTPGYIAPECARELQMTSKTDVFAFGVVLAELVTGQRALIRNNQEPNKMKSLVSVIYTIFQVTDKEGALEANIDANLRGSYPMEEVYKMAELSRQCLNEDAMNQPAMREVVQKLSQILTSSIEWEAALRGNNCVFSRMLKGR >EOY22505 pep chromosome:Theobroma_cacao_20110822:3:23732459:23733192:1 gene:TCM_014659 transcript:EOY22505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVDWAPIVIGLLLFILLSPGLLFQLPGNTRTVEFGNFKTNGKAIVIHTILFFGLFTILILAVGIRIYTG >EOY22308 pep chromosome:Theobroma_cacao_20110822:3:22940803:22941989:1 gene:TCM_014522 transcript:EOY22308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKDLSLFLLKNSVGAKMKKGIRNFCNDDGSTSTLNQHQTDHSATASSDLVTPPSVVASNANSTARSPPTTLEEMILRLELEEEIARKAKLNEYSDSRAGRMSCANNSDILRSARNALNQYPRFSLDGKDAMYRSSFRNSEIVGTGGRKSVCCDHGLRERYCKIGFESRLEKSLCLPSTLGGESVIWCKPGVVAKLMGLESMPVPISGRSSSCKDGKQQLSSLIKRQNLRRRAERHEMERRLAMDMSNYDDFRRASVGSCSGAGYCVMKPVVVEPANGDGGWPTRRFL >EOY23466 pep chromosome:Theobroma_cacao_20110822:3:27502743:27508489:1 gene:TCM_015354 transcript:EOY23466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding protein isoform 1 MASVSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKVVNTKCNVGANRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGQDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETATSAKNALDGRIIPRYLLSENIAPCTLRITYSAHTDLSVKFQSHRSRDYTNPYLPVAPSAIDGSGQFSLGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSAFGPVQKIAMFDKNGGVQALIQYPDVQTAVVAKEALEGHSIYDGGFCKLHISYSRHTDLSIKVNNDRSRDYTIPNPAMVNPQPSILGQQPVQTVGAPGAHQYNGTQYAPPHLQPSAGWASGVPAVPQSAPGQMTSYPYMPPASMPQMTPAGMMQMPAHSGVPPAGAVPPYRPNPM >EOY23465 pep chromosome:Theobroma_cacao_20110822:3:27502484:27508499:1 gene:TCM_015354 transcript:EOY23465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding protein isoform 1 MASVSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKVVNTKCNVGANRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGQDARLVSIDVLHLDHVKGNISNGSLVHREKEHTCVFSAFGFVHKITTFEKTAGFQALVQFSDAETATSAKNALDGRIIPRYLLSENIAPCTLRITYSAHTDLSVKFQSHRSRDYTNPYLPVAPSAIDGSGQFSLGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSAFGPVQKIAMFDKNGGVQALIQYPDVQTAVVAKEALEGHSIYDGGFCKLHISYSRHTDLSIKVNNDRSRDYTIPNPAMVNPQPSILGQQPVQTVGAPGAHQYNGTQYAPPHLQPSAGWASGVPAVPQSAPGQMTSYPYMPPASMPQMTPAGMMQMPAHSGVPPAGAVPPYRPNPM >EOY22594 pep chromosome:Theobroma_cacao_20110822:3:24109056:24110708:-1 gene:TCM_014724 transcript:EOY22594 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MKVPENDPISLSNHLLASLSEQIPNINNFKGKWALIKSKLSGLQAQLADFSDFPASSSNPLAVDLLYSITQTLNDAVSLSQKCQLADLTEGKLKTQSDIDAVLAKLDRHIKDSEILIRSGVLQDGAVSTSSSKKEAVRVESRNLITRLQIGTTESKNSAMDSLLGLLQEDDKNVMIAVAQGVVPVLVRLLDSSSLEMKEKTVAAISRVSTVESSKHVLIAEGLLLLNHLLRVLESGSGFAKEKACIALQALSFSKENARAIGSRGGISSLLEICQAGTPGSQAFAAGVLKNLASVDEIKENFIEENAVFVLIGLAASGTALAQENSIGCLCNLVSDDENLRLLIVKEGGIECLKNFWDSSPNPKSLEVAVELVRRLASCSPIAEALVADGFVARLVAVLNCGVLGVRIAAARAVYELGFNSKTRKEMGECGCTVALIKMMDGKAVEEKEAAAMALSTLMLYAGNRKVFQKDERGIVNAVQLLDPLIQNLDKKYPVLILSELVHSKKCRKQMVAAGACVYLQKLVEMNVEGAKKLLESLGRGKIWGVFARP >EOY22595 pep chromosome:Theobroma_cacao_20110822:3:24107422:24110909:-1 gene:TCM_014724 transcript:EOY22595 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MKVPENDPISLSNHLLASLSEQIPNINNFKGKWALIKSKLSGLQAQLADFSDFPASSSNPLAVDLLYSITQTLNDAVSLSQKCQLADLTEGKLKTQSDIDAVLAKLDRHIKDSEILIRSGVLQDGAVSTSSSKKEAVRVESRNLITRLQIGTTESKNSAMDSLLGLLQEDDKNVMIAVAQGVVPVLVRLLDSSSLEMKEKTVAAISRVSTVESSKHVLIAEGLLLLNHLLRVLESGSGFAKEKACIALQALSFSKENARAIGSRGGISSLLEICQAGTPGSQAFAAGVLKNLASVDEIKENFIEENAVFVLIGLAASGTALAQENSIGCLCNLVSDDENLRLLIVKEGGIECLKNFWDSSPNPKSLEVAVELVRRLASCSPIAEALVADGFVARLVAVLNCGVLGVRIAAARAVYELGFNSKTRKEMGECGCTVALIKMMDGKAVEEKEAAAMALSTLMLYAGNRKVFQKDERGIVNAVQLLDPLIQNLDKKYPVLILSELVHSKKCRKQMVAAGACVYLQKLVEMNVEGAKKLLESLGRGKIWGVFARP >EOY24610 pep chromosome:Theobroma_cacao_20110822:3:31609212:31616646:-1 gene:TCM_016167 transcript:EOY24610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major Facilitator Superfamily with SPX (SYG1/Pho81/XPR1) domain-containing protein isoform 3 MVAFGKKLKERQIQEWQGYYINYKLMKKRVKQYAHQIEVGTLDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRLTKLREQHDALEEQPEFSQIAELREAYRAVGQDLLKLLYFVEMNAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAVSRNLHELQDRQGSYLSIYDPPALPLQDPVVDLIKAAVDRLSYSTNFLNFLAQHALIMQEELPAPTEEHIDEERYHFMSLLLNLANTFLYMVNTYIIVPTADDYSMSLGAAATVCGVVIGAMAVAQVFSSVYFSAWSNRSYFRPLVFSSIVLFVGNTMYALAYDMNSLVVLLLGRLFCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGILQTNFKIYKLTFNQDTLPGWVMAAAWLVYLIWLWISFKEPSHDVEERPSPHASNSEPAENDALEKGLKQPLLITSEDKQEDDEDQEGDGSEEASEESRQPATSIASAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFSWSTGTVAIFLACLGLTVLPVNIVVGSYISNMFEDSRQILLASEIMVCVGILLSFHVILPYTVPQYVCSGLITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGYLGESKLLNITLLPSLIICLSSIVATCFTYNSLY >EOY24611 pep chromosome:Theobroma_cacao_20110822:3:31609658:31616661:-1 gene:TCM_016167 transcript:EOY24611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major Facilitator Superfamily with SPX (SYG1/Pho81/XPR1) domain-containing protein isoform 3 MVAFGKKLKERQIQEWQGYYINYKLMKKRVKQYAHQIEVGTLDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRLTKLREQHDALEEQPEFSQIAELREAYRAVGQDLLKLLYFVEMNAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAVSRNLHELQDRQGSYLSIYDPPALPLQDPVVDLIKAAVDRLSYSTNFLNFLAQHALIMQEELPAPTEEHIDEERYHFMSLLLNLANTFLYMVNTYIIVPTADDYSMSLGAAATVCGVVIGAMAVAQVFSSVYFSAWSNRSYFRPLVFSSIVLFVGNTMYALAYDMNSLVVLLLGRLFCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGILQTNFKIYKLTFNQDTLPGWVMAAAWLVYLIWLWISFKEPSHDVEERPSPHASNSEPAENDALEKGLKQPLLITSEDKQEDDEDQEGDGSEEASEESRQPATSIASAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFSWSTGTVAIFLACLGLTVLPVNIVVGSYISNMFEDRSSLKSPHTFNF >EOY24609 pep chromosome:Theobroma_cacao_20110822:3:31609168:31616772:-1 gene:TCM_016167 transcript:EOY24609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major Facilitator Superfamily with SPX (SYG1/Pho81/XPR1) domain-containing protein isoform 3 MVAFGKKLKERQIQEWQGYYINYKLMKKRVKQYAHQIEVGTLDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRLTKLREQHDALEEQPEFSQIAELREAYRAVGQDLLKLLYFVEMNAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAVSRNLHELQDRQGSYLSIYDPPALPLQDPVVDLIKAAVDRLSYSTNFLNFLAQHALIMQEELPAPTEEHIDEERYHFMSLLLNLANTFLYMVNTYIIVPTADDYSMSLGAAATVCGVVIGAMAVAQVFSSVYFSAWSNRSYFRPLVFSSIVLFVGNTMYALAYDMNSLVVLLLGRLFCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGILQTNFKIYKLTFNQDTLPGWVMAAAWLVYLIWLWISFKEPSHDVEERPSPHASNSEPAENDALEKGLKQPLLITSEDKQEDDEDQEGDGSEEASEESRQPATSIASAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFSWSTGTVAIFLACLGLTVLPVNIVVGSYISNMFEDRQILLASEIMVCVGILLSFHVILPYTVPQYVCSGLITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGYLGESKLLNITLLPSLIICLSSIVATCFTYNSLY >EOY21964 pep chromosome:Theobroma_cacao_20110822:3:20114948:20118097:-1 gene:TCM_014130 transcript:EOY21964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-binding monooxygenase family protein MVSDRGQSKNVCVIGAGPSGLVAARELRKEGHTAVVLEQNHDVGGQWLYEPHVDIEDPLGRNKFLSVHSSIYDSLRIASPREIMGYTDFPFLMKKGRDMRRFPGHNELWLYLKDFCEWFGLKEMIRFNTRVEYVGMLDYGEFGKDLKWVVKSKEKNAEKVVEEVFDAVVVATGHYSQPRLPCIKGMDAWKRKQMHSHIYRVPEPFRDEVVVIVGNSQSGQDISMEIVEVAKEVYLSARSLDITEGLSKVISKHQNLHLHPQMESLHEDGRVQFEDGSWVIADTIIYCTGYSYTFPFLDTKGIVVVDDNRVGPLFEHTFPPSLAPSLSFVGIPRKLIGFPFFESQAKWIAQVLSGKRSLPSWDDMMQSIKEFYHSRELAGIPKRNTHDLADFEYCDKYADYTGSPHLEEWRKQLCISALVNSFANLETYRDSYDDDELLQEALQSPHFTQLGDDALAL >EOY21794 pep chromosome:Theobroma_cacao_20110822:3:18656861:18658395:-1 gene:TCM_013932 transcript:EOY21794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSDGSSSSSPELAGSGGIIRDAFGEMITGYHMRAHSHFFIEEMRSSSQNRKPHKKHPSTPNLAAQVYNPSGGIIVMGYWLSLLSWILSGLISDLSVPSEELSHEI >EOY22589 pep chromosome:Theobroma_cacao_20110822:3:24082869:24084407:-1 gene:TCM_014719 transcript:EOY22589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVLCSLHHRQPDPLCHSYFCTKPQQFFAQNSRTFVTGREEQVRGGMGEENTSPGKIKGECAALTKIYSAITIRFQQVKLHSLAPPCFKFDCQTLAMLNHLEATLSVAAILARVPMSLYYLELHRQLVSLPQNYVAFHSQFVDLHSGYCMIRC >EOY24551 pep chromosome:Theobroma_cacao_20110822:3:31404389:31406945:-1 gene:TCM_016123 transcript:EOY24551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRGAVLVTSVSVAPYRMKMRSTLPSSLSLLELLMLFMLNLSQLKRPLIMKFFKIFNATGSWDDNHISHSANDKADHLTKEGVSRSQPKLWIYVND >EOY21328 pep chromosome:Theobroma_cacao_20110822:3:6175373:6176175:-1 gene:TCM_012806 transcript:EOY21328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase tnp2 MVPHLIWDAITGIYHFFRDLCTIEILVDHMKVLEGKICETICKLKKSFALGFFDFMEHLSIHLPYEAKVDGPDQYRWMYPFERFLQHLKKVKNRALVEGSICEAYIIEEISSFCS >EOY21164 pep chromosome:Theobroma_cacao_20110822:3:3704321:3709609:-1 gene:TCM_012541 transcript:EOY21164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASLARCCNSIFNHSPVEENCSGMSLRPHLEYNQAAQGLRRSFSTDLKRIENPSRSLLLTLMKNIYSGDLASVNKFLNNYAFPLTTQPLTSGTALHAATNAGQSKIVQLLVNFASEKDLEVKNK >EOY23979 pep chromosome:Theobroma_cacao_20110822:3:29181242:29182574:1 gene:TCM_015700 transcript:EOY23979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKHPKFTKQVGVPKLIKVFLTSELTNILTKPLVGQIMPPEPYPIMPRVTQQKLKANPYPNNETRWTVVQNHMQFQRSLL >EOY21146 pep chromosome:Theobroma_cacao_20110822:3:3535386:3541306:-1 gene:TCM_012520 transcript:EOY21146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF599 [Source:Projected from Arabidopsis thaliana (AT3G18215) TAIR;Acc:AT3G18215] MEEDKLDYLLVPLGLLLLAAYHVWLLFTVLKHPTRTVIGLNAESRHQWVFAMMTVKVAIGGLGWVTLGEVIWIVFGFRSSSVWVISNSGGSFQIQVILGETFKLFGFGSIWVQDPLKNGVLAVQTIRNNIMASTLLATIAITLSSLISVFVSSSSDSGNATLQIVYGNKSPLLSSIKYFSILLCFLVAFLCNVQSIRYYAHVSFLATLPSSRDNMETVEYVARNLNRGSYFWSLGLRAFYLSFPLLLWIFGPIPMFLCCCMMSFLLYFLDTTSNFTRDLHRRSFKEDMLKGVDLESTGPLSCTSLCEVRLNLELEGSKGACLPLRQGLIGY >EOY21147 pep chromosome:Theobroma_cacao_20110822:3:3535035:3541320:-1 gene:TCM_012520 transcript:EOY21147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF599 [Source:Projected from Arabidopsis thaliana (AT3G18215) TAIR;Acc:AT3G18215] MEEDKLDYLLVPLGLLLLAAYHVWLLFTVLKHPTRTVIGLNAESRHQWVFAMMTDPLKNGVLAVQTIRNNIMASTLLATIAITLSSLISVFVSSSSDSGNATLQIVYGNKSPLLSSIKYFSILLCFLVAFLCNVQSIRYYAHVSFLATLPSSRDNMETVEYVARNLNRGSYFWSLGLRAFYLSFPLLLWIFGPIPMFLCCCMMSFLLYFLDTTSNFTRDLHRRSFKEDMLKGVDLESTGPLSEKIPFGVSNLYCPLLHADTNFS >EOY22748 pep chromosome:Theobroma_cacao_20110822:3:24655247:24659059:-1 gene:TCM_047010 transcript:EOY22748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein family, putative isoform 1 MAEAAVSFVVERLAGILEEIDFQTGVRQEVERLQEELLRMRCFLKDADAKQDDDERVSNWVSEIRNVAYDAEDLIDTFVLRIDSLKKKSSIKRYASIFKEWKHRSKVAKELLSIQRRILNISASRETYGIRNIGEGISTARERLRKLRRSSPRGEEKDIVGLDDDIAKLVTQLVRTEDQWHAISIAGMGGIGKTTLAKKVYNHGDIQARFPSRAWVYVSQDFSTKDILQAIIKQVASTRRKLEKLEEEELEAILYEHLRKKRYLVVLDDVWSIGAWISLAKAFPDRSNGSRVMITTRNKGIALKADAQSVPYHLHFLSEEDGWMLFCKKAFIHGVDSYRSPQLEEIGKEIVAKCAGLPLAIIVVGGLLSSKRNLGEWKRVLSNMNSLFARDPDGVSSILALSYNDLPYYLKSCFLYLGQFPEDRLIPTHKMFRLWIAEGLIPLQEERMEHVAEDYLNELIERNMVQAAKWSVNQRVKQCRLHDLLRDLSISKAKAESFHEIQGSQSLHPSARSRRHAIYSTFHWPQCKYINPHLRSLLFFRVDRNQSQVNYYINDPYKMEGSDLDYVSRNFKLLRVLELEGIPCTTIPSIIGALIHLKYLGLKETNLQALSPAIGSLRNLQTLDVAANLHLETIPNVIWKITKLRYLYMCGHKYGGPLRIDTLQHLQALSEINVQKWMQNNPANLTSLQKLGIRGNFSLRATEIFNSIVALVQLQSLYLRTEDAEFPSLTQLSALQNLVKLHMRGTIRQLPSSQEFPPNLSQLTLEHAHLKQDSVGILENLPRLLILRLKARSYDGVKMAISVSGFPQLEFLEFHSLESLEELNLEEGAALRLRSFRIINCGNLKMLPEGMRSLAALCELDIEEMPKSFVNRIRGEDFYKQILQQGSYERSKVS >EOY22747 pep chromosome:Theobroma_cacao_20110822:3:24655084:24659316:-1 gene:TCM_047010 transcript:EOY22747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein family, putative isoform 1 MAEAAVSFVVERLAGILEEIDFQTGVRQEVERLQEELLRMRCFLKDADAKQDDDERVSNWVSEIRNVAYDAEDLIDTFVLRIDSLKKKSSIKRYASIFKEWKHRSKVAKELLSIQRRILNISASRETYGIRNIGEGISTARERLRKLRRSSPRGEEKDIVGLDDDIAKLVTQLVRTEDQWHAISIAGMGGIGKTTLAKKVYNHGDIQARFPSRAWVYVSQDFSTKDILQAIIKQVASTRRKLEKLEEEELEAILYEHLRKKRYLVVLDDVWSIGAWISLAKAFPDRSNGSRVMITTRNKGIALKADAQSVPYHLHFLSEEDGWMLFCKKAFIHGVDSYRSPQLEEIGKEIVAKCAGLPLAIIVVGGLLSSKRNLGEWKRVLSNMNSLFARDPDGVSSILALSYNDLPYYLKSCFLYLGQFPEDRLIPTHKMFRLWIAEGLIPLQEERMEHVAEDYLNELIERNMVQAAKWSVNQRVKQCRLHDLLRDLSISKAKAESFHEIQGSQSLHPSARSRRHAIYSTFHWPQCKYINPHLRSLLFFRVDRNQSQVNYYINDPYKMEGSDLDYVSRNFKLLRVLELEGIPCTTIPSIIGALIHLKYLGLKETNLQALSPAIGSLRNLQTLDVAANLHLETIPNVIWKITKLRYLYMCGHKYGGPLRIDTLQHLQALSEINVQKWMQNNPANLTSLQKLGIRGNFSLRATEIFNSIVALVQLQSLYLRTEDAEFPSLTQLSALQNLVKLHMRGTIRQLPSSQEFPPNLSQLTLEHAHLKQDSVGILENLPRLLILRLKARSYDGVKMAISVSGFPQLEFLEFHSLESLEELNLEEGAALRLRSFRIINCGNLKMLPEGMRSLAALCELDIEEMPKSFVNRIRGEDFYKLIKQSDRSSSSDVERFNTGELRKVQSVMNA >EOY23026 pep chromosome:Theobroma_cacao_20110822:3:25837930:25840951:1 gene:TCM_015038 transcript:EOY23026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyesterase 20, putative MSSQTASPSNPIIVDDPYKRLEIILNSDGSLTRNPDRYPNTSATPDPKQPIPVLSKDITINQSNNIWARIFLPRQALHTSSKLPLIVYYHAGGFIHCSAASTIFHVFCSNMALELQAIIVSVDYRLAPENRLPAAYDDAMEALHWVKTTPEDWLKRCADLANCFLMGSSSGANIAYRAGLRSAEEADDREALKIRGLILHQPFFGGSEKVESELRLVNDPILRPGVSDLMWELALPIGADRDHAYCNPAAGKTSKVLDKLVSVGWMVLVTGCDGDPLIDRQVGLVKLMEEKGMKVVSEFRAGDHHGVDFMQPAKARALFLVLKEFILSASATVPGDGETLRPPITDPFEYLQIVLNPDGTLTRNLMIPRVVAEPNDPEGQAPVLSKDVPINPSNRTWARIFLPRQALDCTSSSANKLKLPLVVYYHGGGFVLLSADMGICHDFCSNMSKAIPAIVVSVDYRLAPENRLPAAYDDGLEALHWIKTTGDEWLREYADLSNCFLMGSSAGGNIAYHVGLRAAEVVHELEPLKIKGLVLHQPFFGGTQRIASELRLMNDPVLPPIVSDVMWDLSLPIGVDRDHEYCNPTADGGSKELEKIKSLGWKVLVYGSDGDPLIDRQIELLKLVEGKGVQVVRNVRVGGFHGEEEMDVSKAHAMHMVVKDFIMSSKQVA >EOY25001 pep chromosome:Theobroma_cacao_20110822:3:32925126:32928623:1 gene:TCM_016451 transcript:EOY25001 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primase isoform 3 MTKDEVENGRDDMLIDGPEQANAVPDGFNANYLRIYYGKLFPHADIFKWMSYGNDGKHPACDKSYIGRREFSFTLENDIYIRFQSFNSASELENSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGDNVFTPVERELVFDIDITDYDDVRYCCTGADVCLECWPLMTIAIKVIDTALRDDFGFNHILWVYSGRRGVHCWVCDGKARRLTNEQRAAIADYFRVYQGNENSNKKISLPGHVLHPFLARSYTEVLKGFFEAKLLSNQNLLSSEERYEKILEMIPDAVAAELRGRWQEKKRSSMLKNDINVVRWEQLKHALQSGKQRHKDYEDVLKRLSFLSRTLGLIWRFRST >EOY24999 pep chromosome:Theobroma_cacao_20110822:3:32925103:32929773:1 gene:TCM_016451 transcript:EOY24999 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primase isoform 3 MTKDEVENGRDDMLIDGPEQANAVPDGFNANYLRIYYGKLFPHADIFKWMSYGNDGKHPACDKSYIGRREFSFTLENDIYIRFQSFNSASELENSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGDNVFTPVERELVFDIDITDYDDVRYCCTGADVCLECWPLMTIAIKVIDTALRDDFGFNHILWVYSGRRGVHCWVCDGKARRLTNEQRAAIADYFRVYQGNENSNKKISLPGHVLHPFLARSYTEVLKGFFEAKLLSNQNLLSSEERYEKILEMIPDGSVAAELRGRWQEKKRSSMLKNDINVVRWEQLKHALQSGKQKAQGLRRCVEEIVFSFTYPRLDMEVSKHMNHLLKAPFCVHPKTGRVCVPIDPNNCDEFDPTKVPTLSQLLEELNRGGLRQDAEDEWDRTSLGESVTFFRSSFLHPLLKSCKEEIESSYNTKLQQAKNTLSW >EOY25000 pep chromosome:Theobroma_cacao_20110822:3:32925126:32929993:1 gene:TCM_016451 transcript:EOY25000 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primase isoform 3 MTKDEVENGRDDMLIDGPEQANAVPDGFNANYLRIYYGKLFPHADIFKWMSYGNDGKHPACDKSYIGRREFSFTLENDIYIRFQSFNSASELENSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGDNVFTPVERELVFDIDITDYDDVRYCCTGADVCLECWPLMTIAIKVIDTALRDDFGFNHILWVYSGRRGVHCWVCDGKARRLTNEQRAAIADYFRVYQGNENSNKKISLPGHVLHPFLARSYTEVLKGFFEAKLLSNQNLLSSEERYEKILEMIPDAVAAELRGRWQEKKRSSMLKNDINVVRWEQLKHALQSGKQKAQGLRRCVEEIVFSFTYPRLDMEVSKHMNHLLKAPFCVHPKTGRVCVPIDPNNCDEFDPTKVPTLSQLLEELNRGGLRQDAEDEWDRTSLGESVTFFRSSFLHPLLKSCKEEIESSYNTKLQQAKNTLSW >EOY24212 pep chromosome:Theobroma_cacao_20110822:3:30106750:30107524:1 gene:TCM_015880 transcript:EOY24212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSLRLTRRHLPSFQLFVLFKKFLISHHVHMFDLRYSQPEMSQQIGGPLHGSTSGFGSRINLGLARWKKWNQGPGHRGGPPGISCANILIIHVMGSWLVWFGTRGRRKSCQ >EOY21036 pep chromosome:Theobroma_cacao_20110822:3:2447355:2448950:1 gene:TCM_012354 transcript:EOY21036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRLIQAKDRPWLALPYHPKRSDKRCSSMSFQSVSSNKIYHRKLPGVGGSKTICGSSQAVLSSPPDCNPYDYVLMVIYGEKRELAYYEAKSRTWTKLQEAGRYYDDVIFHKGEFYAVDEYGKLVVCQPCSLPIVSEIAMPWLLRGSKVYLVGMEDSLCVVIRFLKDNPSVGYETYKFEVRLLEPNEQWTHLGSIEDWAFFLGQNESAALPVEDFKGLKDDRIYFTDDNSDACKYGVIGGHDSGVFDMGEESFQPLEYCQCPRPVWLTPENRPSA >EOY25058 pep chromosome:Theobroma_cacao_20110822:3:33081831:33086215:-1 gene:TCM_016488 transcript:EOY25058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 8 MRAFKACFCLKKVRQKPGYEDPIILASETPFTVNEVEALHDLFKKLSSTIIDDGLIHKEEFQLALFQNSSKRNLFADRVFDLFDVKCNGVITFGEFVRSLSIFHPNAPESDKIAFLFRLYDLRQTGYIESEELKEMVFALLSESNLDLSDDVVESIVEKTMMEADMKGDGKIDEEEWREFVKKNPSIIRNMTLPYLKELTLAFPSFVLNSEVPD >EOY23619 pep chromosome:Theobroma_cacao_20110822:3:28044177:28045822:1 gene:TCM_015456 transcript:EOY23619 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MDTAQWPQQGIGVVKPMEASRPMVERRARPQNDQALNCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSSSSSSPSIASSKKVGDLTPPGPASQNPKIHEGQDLNLAYPPPTEDYNSFSKFVEVPYGTGSKSNHHNSSSSPTSTHLNATELLKTGRGLSSFMSIPVSDSNTVYSSGFPIQDLKATLINRTEAGVLFIAFLLAWW >EOY23618 pep chromosome:Theobroma_cacao_20110822:3:28044260:28046054:1 gene:TCM_015456 transcript:EOY23618 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative isoform 1 MDTAQWPQGIGVVKPMEASRPMVERRARPQNDQALNCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSSSSSSPSIASSKKVGDLTPPGPASQNPKIHEGQDLNLAYPPPTEDYNSFSKFVEVPYGTGSKSNHHNSSSSPTSTHLNATELLKTGRGLSSFMSIPVSDSNTVYSSGFPIQDLKATLNFSLDGFETSYGSLQGVQESGARLLFPMEELKQVPSTNELEQSGGQGESTGYWSGMLGGGGHW >EOY24832 pep chromosome:Theobroma_cacao_20110822:3:32362286:32365800:1 gene:TCM_016321 transcript:EOY24832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MKRLTLILSRHEPSIKALITQNLHPQALRNSLSYHFPLLTDQIYSHFIKSGHSLNPFLCSTLVSHFSKHADFSRALSFFLDTPKPDTVSFNSLISGFARSGRTGPVFELFNGLRQLGLKPDVFTLSGLVKGCERLEENEIVHGVCLTLGFGNGAFVVSGLIENYAKSENLVSAEKCFRECLDVDNVVFTAMICGCFWNGEFDKGRDFFVEMRDLGFELNEFSLTGVISGLFDEKEGQQVHGIGLKLGFLFGGSLHFNNAVMGMYSRCGSKTEAVKMFDEITDPDIVSWTERIGAAFDGLEAFGLFTCLQRNGLGVNEYTIINVLSAVAGEEMLSLGKQIQAVCQKEGLLKVVCVGNAFISLYGKCGEMDDARRIFDDMVSPDSVSWNSLIAGYLDNGFFSLALEMFSNMRDFNVEVNCYTLASILEAVSDSNSLHLGMQIHSYMVKCGFMFDNYIMSCLITTYGRCGTTDESRRVFSEINNISVMHLNAMLSTLVNADCHVDSLDFFRNTVGSILEVDSKTFSIILKACSAMTDLEQGRGIHSLALKSGFHHDCFVETAVIDLYCKCGSIGDAEKAFRYASMDNLAAWNAMITGYAQHGCYSEAFELYDKMTECGIKPDEITYLGVLTSCCHTGLVLEAQYYMNSMVECHGLIPHLEHYACMIDLLGRVGLLEDAKRTIDQMPIGPDARIWQILLSACSIHGNVDMGRIAASKLLELQPNNESAYVLLSNLCASAGMWNAVRKLRREMKEKLLCKEPGSSWIQVKGCMHHFFADNLLHPEHKEIFLELTKLYEHMQASQIVEHDGTFLWDL >EOY20889 pep chromosome:Theobroma_cacao_20110822:3:1670130:1673716:1 gene:TCM_012228 transcript:EOY20889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MESGSRFYSADEFKLDAKWLIDPKHLFVGPRIGEGAHAKVYEGKYRNQTVAIKILHKGETPEEITKREGRFAREVAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLLNMRPRCLDMRIAIGFALDIARAMECLHSHGIIHRDLKPENLLLTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVLWELLHNKLPFEGMSNLQAAYAAAFKNVRPSAENLPEELAIILTSCWKEDPNTRPNFSQIIQMLLNYLSSICPPEPAIPPRIFASENAILPPESPGTSSLMGVRDDSGENPKANMVKKRRSFFFCFDQCY >EOY24612 pep chromosome:Theobroma_cacao_20110822:3:31623992:31639705:-1 gene:TCM_016168 transcript:EOY24612 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit RPB1-B, putative MTSMENDLYEAEQLPAAFVTGIRFNVSNDRDNEKMSVMEIAAPSEVSDPKLGFPNFSNHCTTCGAADMKHCEGHFGVINFPYAILHPYFLSEVVQILNKICPGCKSVRKDLRIKGANSVSKVNQRKGCKYCVGNSIDWYPPMNFKISSKDLFRKSAIIVEVSEKSSMKVRKRGKQALPSDYWDFIPKDEQQEESLIRPNRRVLSHSQVRYLLKDVDPEFIKKFVLSMDSIFLNCFPVTPNSHRVTEIMHASSNGQRLIFDQRTRVYKKLADFRGIANELSSHVLECLKISKLHLEKPSNEESALVLAQKRNKDSASNMSGLRYMKDVILGKRNDHCFRMVLTGNPNLKLSEISIPCHVAERLQIAEQLNNWNEERLKACCDLRLLEKGEIHVRREGRLVRIRHNEKLQVGDTIFRPLNNGDIILINRPPSIHQHSLIALSVKVLPVSSVVSINPLICSPFRGDFDGDCLHGYVPQSIKARVELIELVSLNRQLINGQSGRNLLSLSHDSLTAAYLVKEDGVLLNLFQMQQLEMFCPNHSPFPAIVKAPLLRSSVWTGKQLLSMLFPLEFDYDFTPNDVVIRNGELISSSEGSTWLRDADGNLFQSLIKHYQGKVLDFLYAAQEVLCEWLSMRGLSVSLSDLYLSSDSNSQKNMMDEIFCGLQEIEQTCNFKQLMVDSNHDFLVGHDEEVDSFMALDVEQMCYEKQRSAALSQASVDSFKQVFRDIQNLLYKYANKDNSLLTMFKAGSKGNLLKLVQHSLCLGLQHSLVPLSFRFPHQLSCAAWNNQKSHGLTQKVDDTAESAKNYIPYAVVESSFMTGLNPLESFVHSVTSRDSSFSDNADLPGTLSRRLMFFMRDLYTAYDGTVRNSYGDLVVQFCYDIDKDASSPTSCAHGLISESSTIPEGIGGQPVGSLSACAISEAAYSALDQPVSLLETSPLLNLKRVVECGSKRSNADQTMTLFLSNKLGRKRHGSEYAALEVKNHLERLTFSDIVTTVSIIFSPQMYRENHFTPWVCHFHVCKDTMKRRQLKVQSIIDSLHMHCTTAKTMWKISLPDMQITSNGRACSHIDMPNEDDTFCITVTIVEYSKSSHMELDVIRDMVIPYLLEAVIKGFPEIKKVDILWKDRLKVSKPHKSSCGELYLRVFVSGDFGITKLWGVLMNDCLQIMDMIDWTRSHPDNINQLCLAYGIDAGWKFFLNNLKSAISDTGKTILNEHLLVVANCLSATGEFVGLNSKGLRQQREHAYVSSPFMQACFSNPSASFVKAAKTGASDDLQGTIDALAWGRIPHIGTGGQFDIIYSVKDQRLAEPVDVYKLLGSSISSQKQDVEFEVPKALNFKSEKYGSLLIDALGDSASEELKKIETKRRSIWRELLTLDDIQRLSRALRNILHKYPIDHRLSEADWNTLMMALYFHPRRDEKIGSGAQEIKVGYHPEHANARCFSLVRTDGTIVDFSYHKCVLGALEIIAPHRAKSYKSKWLQSGSL >EOY22558 pep chromosome:Theobroma_cacao_20110822:3:23898423:23911716:1 gene:TCM_014694 transcript:EOY22558 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative isoform 1 MLITNKSNANLTRNGKSGVIFSSRQLVTKDWSNRFSYKKDLMFAVAYQEIFIIWERLFIKETCIIDDFGRAAWVKDGGLVSEEHIQMADVSNGTFEFSVKQGEPSRVLPAEETEKGLYYLSNLDQNIAVIIRTIYFFRSESKGNEDAVEVVKNGLSKILVYHYPLAGRLTISSEGKLIVDCTEEGAVFVEAEANCGLEEIGDITKPDPATLGKLVYEIPGAQNLLEIPLLVIQVTKFKCGGFAIGMSMNHCMLDGISAMEFMNGWGEVARGLPLKIPPFLDRGILKARIPPKMEFSHHEFDEIEDISNTSKLYEGEEMLYRSFLFDPDKLRQLKEKATEDGVVSQCTTFEVLAGFVWRARCQALNLLPEQLTKLLFAVDGRKRFIPPLPQGYAGNGIVLTNSIATAGELIEKPLSFAVGLIQQAVGLATDSYMRSAIDYFEVTRARPSLAGTVLLTTWAKLSFYSIDFGWGETISSGPVALPEKPVILFLPSQKDKKSINLLLGLPASAMNIFEELMQI >EOY22559 pep chromosome:Theobroma_cacao_20110822:3:23900165:23902981:1 gene:TCM_014694 transcript:EOY22559 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative isoform 1 MADVSNGTFEFSVKQGEPSRVLPAEETEKGLYYLSNLDQNIAVIIRTIYFFRSESKGNEDAVEVVKNGLSKILVYHYPLAGRLTISSEGKLIVDCTEEGAVFVEAEANCGLEEIGDITKPDPATLGKLVYEIPGAQNLLEIPLLVIQVTKFKCGGFAIGMSMNHCMLDGISAMEFMNGWGEVARGLPLKIPPFLDRGILKARIPPKMEFSHHEFDEIEDISNTSKLYEGEEMLYRSFLFDPDKLRQLKEKATEDGVVSQCTTFEVLAGFVWRARCQALNLLPEQLTKLLFAVDGRKRFIPPLPQGYAGNGIVLTNSIATAGELIEKPLSFAVGLIQQAVGLATDSYMRSAIDYFEVTRARPSLAGTVLLTTWAKLSFYSIDFGWGETISSGPVALPEKPVILFLPSQKDKKSINLLLGLPASAMNIFEELMQI >EOY25440 pep chromosome:Theobroma_cacao_20110822:3:34276883:34295937:-1 gene:TCM_016748 transcript:EOY25440 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 isoform 2 MEAEEIAEELQDVEVEIQDVEDQISALLERQEKLYERQSELTALLETCPVAVKHDSARTTVQVEDWSGPFDWDSRADDIRFNVFGISSYRPNQREIINAVMSGRDVLVIMAAGGGKSLCYQLPAILRQGIALVVSPLLSLIQDQVMGLTASGIPAFMLTSTTNKEDEKFIYKALEKGEGDIKLLYVTPEKISKSKRFMSKLEKCYHAARLSLISIDEAHCCSQWGHDFRPDYKNLGILKTQFPNVPVVALTATATLKVQNDLMEMLRVPKCLKFVSTVNRPNLFYMVREKSSVGKVVIDEITEYIKESYPNNESGIVYCFSRKECEQVASELCERGISAGYYHADMDVNAREKVHMKWSKNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECLIFFRPADVPRQSSMVFYEKSGLQNLYDIVRYCQSKRQCHRGAFFQHFAEPLQECNGMCDSCAFSSEVKEVDASCQAKLMISLVHDMQENNQRVTMLQLVDRVKNKQKQLDLKLKREELEQLVVQLILDRVLKEDFQHTAYATNAYITVGTSANQILQGKKIVKMEVAGRSKVMDSYRKSIKHGVTSGLEFKLDELRKELASSHNGEIFPHSVLSGQQISIISTKKPSSTEELEMIIGKLKTEKYGDRILGEVKKYGIAEQLNDNVLEEEQGTEKRPRKKLKTKEEVVVLTESSNDE >EOY25441 pep chromosome:Theobroma_cacao_20110822:3:34277532:34295412:-1 gene:TCM_016748 transcript:EOY25441 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 isoform 2 MEAEEIAEELQDVEVEIQDVEDQISALLERQEKLYERQSELTALLETCPVAVKHDSARTTVQVEDWSGPFDWDSRADDIRFNVFGISSYRPNQREIINAVMSGRDVLVIMAAGGGKSLCYQLPAILRQGIALVVSPLLSLIQDQVMGLTASGIPAFMLTSTTNKEDEKFIYKALEKGEGDIKLLYVTPEKISKSKRFMSKLEKCYHAARLSLISIDEAHCCSQWGHDFRPDYKNLGILKTQFPNVPVVALTATATLKVQNDLMEMLRVPKCLKFVSTVNRPNLFYMVREKSSVGKVVIDEITEYIKESYPNNESGIVYCFSRKECEQVASELCERGISAGYYHADMDVNAREKVHMKWSKNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECLIFFRPADVPRQSSMVFYEKSGLQNLYDIVRYCQSKRQCHRGAFFQHFAEPLQECNGMCDSCAFSSEVKEVDASCQAKLMISLVHDMQENNQRVTMLQLVDRVKNKQKQLDLKLKREELEQLVVQLILDRVLKEDFQHTAYATNAYITVGTSANQILQGKKIVKMEVAGRSKVMDSYRKSIKHGVTSGLEFKLDELRKELASSHNGEIFPHSVLSGQQISIISTKKPSSTEEACLKVSNSSLVCLAYHMLDNQLLE >EOY25442 pep chromosome:Theobroma_cacao_20110822:3:34278200:34295412:-1 gene:TCM_016748 transcript:EOY25442 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 isoform 2 MEAEEIAEELQDVEVEIQDVEDQISALLERQEKLYERQSELTALLETCPVAVKHDSARTTVQVEDWSGPFDWDSRADDIRFNVFGISSYRPNQREIINAVMSGRDVLVIMAAGGGKSLCYQLPAILRQGIALVVSPLLSLIQDQVMGLTASGIPAFMLTSTTNKEDEKFIYKALEKGEGDIKLLYVTPEKISKSKRFMSKLEKCYHAARLSLISIDEAHCCSQWGHDFRPDYKNLGILKTQFPNVPVVALTATATLKVQNDLMEMLRVPKCLKFVSTVNRPNLFYMVREKSSVGKVVIDEITEYIKESYPNNESGIVYCFSRKECEQVASELCERGISAGYYHADMDVNAREKVHMKWSKNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECLIFFRPADVPRQSSMVFYEKSGLQNLYDIVRYCQSKRQCHRGAFFQHFAEPLQECNGMCDSCAFSSEVKEVDASCQAKLMISLVHDMQENNQRVTMLQLVDRVKNKQKQLDLKLKREELEQLVVQLILDRVLKEDFQHTAYATNAYITVGTSANQILQ >EOY21600 pep chromosome:Theobroma_cacao_20110822:3:13596586:13600618:-1 gene:TCM_013496 transcript:EOY21600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon-like protein MYHHLTSDGFIRNEFENGVNEFIHFARSKSTFMWENKIRCPCSRCSNNKFLNFDKVTEHILKKDFTGAYTIWSLHRKHDVGQSSRSRDRVEPYATNGEDGEYGEPTYEEEIENLYTRMVRDAMGPEVVFNYNHDNESRLHKAHNAFNCVTTLNVKSEFNLSESCFDRLLEIRKNMLPSDETLPTDFYRMKKKVAKLGLGYIKIHACKNNCMLFYKETANFEHCMICGHPRYKLRKSSVKRQKKIPYKILRYLPLIPRLQRLYIDLSATKIPVDHMEALEGKICETICKLEKIFPPNFFDSVEHLPIHLPYEAKVGGPTQYRWMYPFERFLQHLKKKVKNRAFVEGSICKAYIIEEISLFCSWILDEMVKRDSVHISEDELEKVRDARFMKWFKNYVVNRKDEIDPRIFEISHGLGCMIRCYKSYFVNGFKFHTLDYRQNRTIMNSGVCKKWSFYNDYEHDFYGILVDIMELEYFRIRNRVVLFKCHWFNTEKGIKVDPLHGLIEIKHNSILATNEPLVLAAQAHQVFYSSYPSTKRDR >EOY21608 pep chromosome:Theobroma_cacao_20110822:3:13873875:13881877:1 gene:TCM_013521 transcript:EOY21608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPKRARARASSNGSFDQTRFVFANAMARYASSLINKITIPNDITKDRAIFIHSIMMGLTITFGYVIFKAMTHITKIKQDGLQFLSLIIAMCKMAGVHYDANEELLHLELTIDINLILIQL >EOY23353 pep chromosome:Theobroma_cacao_20110822:3:27113427:27117166:1 gene:TCM_015274 transcript:EOY23353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase-related MGLVSHKSPLCSPAVPKIAIPLALFYSSRDFSLLFLSCSLFSCFPPAMASDLETKAKEAFFDDHFQLALDLYSQAIELNPKNAELYADRAQANIKINNLTEAVADANKAIELDPSMSKAYLRKATACMKLEEYQTAKAALETGAALAPGESRFSQLIKECQERIAEETGDLPKQTLEEVTTNVEPATKVEPEKNVPNLVTVAAPSKLTYRHEFYQKPEEVVVTIFAKGIPRECVYVEYGEQILSVAIDAPGKDAFHFQPRLFGKIIPDKCRYDVLSTKIEIRLAKAEPIQWTSLEFSKEVTVPQRVNVSSVTGNQKPVYPSSKPKRVDWDKLEAQVKKEEKDEKLDGDAALNKFFRDIYQDADEDTRRAMQKSFVESNGTVLSTNWNEVGAKKVEGSPPDGMEMRKWEY >EOY21300 pep chromosome:Theobroma_cacao_20110822:3:5756360:5758759:1 gene:TCM_012758 transcript:EOY21300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-6 tubulin MREILHIQGGQCGNQIGSKFWEVVCGEHGIDPTGGYTGNSELQLERISVYYNEASGGRYVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASALFRGKMSTKEVDEQMMNVQNKNSSYFVEWIPNNVKSSVCDIPPQGLSMASTFIGNSTSIQEMFRRVNEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMSDLVAEYQQYQDATADEDDVYEEDDGDGEN >EOY24171 pep chromosome:Theobroma_cacao_20110822:3:29938567:29942994:1 gene:TCM_015844 transcript:EOY24171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase transcription factor Myb/SANT family protein, putative MSTPTSPPQSSSATATRRVPPPCWSKEETLALIEAYKEKWFALRRGNLKAPDWDAVSTAVTSAADPGTTKSSVQCRHKIEKLRKRYRAEKQRSLKNPGKFSSSWDLFPLLDSMSFASTLVAGSDDQDHTVDSKVSVFDGFGLKLKNREWIDGNSGSNIGFDHDLRGGYGSNFDFDHKFEGRYGVKLQGNRDFVAKGIKFKSNGRLGDGYGSMVDFDHNFVEGVDNVGEFPLKTLGDRNLVNPEFKPKNFGSPNLNYDYYNDLEEYGIDEGMGFLGKVSSAWDSVPQGFHPKKCGRVDRTTEVDCRGLNGFASSSRPGLGRKNGGVRVKKGVDPVEEMVSSIKLLAEGFVRMEKMKMEMVKEIEKMRMEMEMKHNEMILESQQKIVDAFAKALLEKKKRKKKPSALSPNVNGNAVEEWQSDDMIKKKKDDGNSKLGYFLSSNFNLRVVSKTPSVTVTQLWQPYIYFYANFLMIKD >EOY24627 pep chromosome:Theobroma_cacao_20110822:3:31697088:31699615:1 gene:TCM_016180 transcript:EOY24627 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MLTPLNPKNPTAQKGDQDSEKTCLSLVVKEAKCIASIAFPMVLTGILLYSRSMISMLFLGRLGELALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAKRYKLLGLTMQRMILLLLLTSIFIASLWFNMKNILLFCGQDENIANEAQSYILYSLPDLLAQSILHPLRTYLRTQSITLPLTYCSALAILLHIPVNYLLVSVLNLGIKGVALGSIWTNFNLVGSLIVYVKISGVYKKTWGGISSECLKGWKYLLNLSIPSCISVCLEWWWYEIMILLCGLLLNPQATVASMGILIQTTSLIYIFPSSLSFGVSTRVGNELGANNPNKAKLAAIVGLSSSSVIGLSALAFAILVRKKWATMFTEDPEIIALTSMVLPILGLCELGNCPQTTGCGVLRGTARPKSGANINLGCFYLVGMPIAVWLSFLAGFDFKGLWLGLLAAQASCVVTMLFVVACTDWDLQARRAQELTRAVPVDDDDQTNRDGALKVDSDSKESSGLLYDAHPNNLPV >EOY21685 pep chromosome:Theobroma_cacao_20110822:3:17034422:17042585:-1 gene:TCM_013775 transcript:EOY21685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase-related protein isoform 4 MGHKKKNAAPRSKRPSSQPPPVAATTVVDVINDSAERELTGNNAKIEVAAVAVESDASSSSSYAAVKIECERALTALRRGNHTKALRLMKESCTCHENSAHAALIHRVQGTVCVKVASIIDDPNAKHRHLKNAIDSAKKAVELSPNSIEFSHFYANLLYEAANDGKEFEEVVQECERALAIENPVDPAKESLQEESQQKISTAEARILHVQSELRSLIQKSNIASISTWMKNLGNGEEKFRLIPIRRVTEDPMEVRLVQTRRPNEIKKATKTPEERRKEIEVRVAAARLLQQQKSEAASSALLQSDGERNGLDLTSGSGQRGGVERRRKIGSTAERKDWVRSFWNSMSVDSKKDLLRIRVSDLKEYFGLLKDGLASEVLSEALAFAELNKTWKFWVCCRCSEKFAVSESHMQHVVQEHMGNLMPKMQTVLPQSVDSEWIEMLLNCSWNPLDISAAVKMIGNESKCRDSEFSKDFYSDNHNEECDDCFKDAWSSSPEKEHLGDQYNCTSVEGKNCDKVSSIECKECDGNQGSVAYPHVDCWPTVDDAERAKLLERIHATFELLIRHKYLAASHLNKVIQFTMDELQSLVSGSQLLNHGVDQTPMCICFLGAMQLRKILKFLQDLSHSCGLTRYSEKTAPVDDVNRASQILEVKEKIVLNGDASCLLLDERLLPDVAIQEAALANANGSNNYGFVQDADALLSWIFAGPSSGDQLASWIRKKEEKTQQGLEILQMLEKEFYHLQSLCEKKCDHISYEEALQAVEDLCLEEGKKRETATEFVYRSYESVLRKRREELIESENDVMFLSSRFELDAISNVLKEAEALNVNQFGYEDTYAGVTSQLCDLESGEGDDWRTKDYLHQVDTCIEVAIQRQKEQLSLELSKIDARIMQNVTGMQQLELKLEPASAHDYRLIMLPLVKSYLRAHLEDLAEKDATEKSDAAREAFLAELACDSKKGSRGGSDNSRHAQEKSKDKKKNKELRKSKDSKASGANEQHMLNDETAEQVSSAVASDGDHLDSEVVSVNSDDLKQQEEEFRRKIELEAEERKLEETLEYQRRIENEAKQKHLAEQHKKTNQVFEEIAANGLRDAYWEASDLDIQEHLAISNRVTDNLDSIPLSTANGSAVAVTSNTSGTYAKFKQGLSNGAVPEDALFPGDRRAGRRGRRHKSSNKFLDGKYQVIPSEKESIQVGSSHGNVEEQVRYVDGFPMDSVAPISVEGGTKTLRQLQAEEDDEERFQADLKQAVRQSLDTYQAQQKMPLGSSLRTAPRVPLQVNNHGVSPNEVSSENLNETDVLGTGLQNEVGEYNCFLNVIIQSLWHLRRFRDEFLRRSTSDHVHVGDPCVVCALYEIFSALNISSTDARREPVAPTSLRVALSNLYPDSNFFQEAQMNDASEVLAVIFDCLHRSFTSGSSVSNADSADSNCTGSWDCANSACMVHSLFGMDIFERMNCYKCGVESRRLKYTSFFHNINASALRTMKVLCAESSFDELLNLVERNHQLACDPEAGGCRRLNCIHHILSNPPHVFTTVLGWQNTSECADDIAATLAALNNEIDISVLYRGLDPKNKHHLVSVVCYYGQHYHCFAYSHDHERWIKYDDKTVKVIGGWADVVKMCEQGRLQPQVLFFEAVN >EOY21687 pep chromosome:Theobroma_cacao_20110822:3:17035051:17042585:-1 gene:TCM_013775 transcript:EOY21687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase-related protein isoform 4 MGHKKKNAAPRSKRPSSQPPPVAATTVVDVINDSAERELTGNNAKIEVAAVAVESDASSSSSYAAVKIECERALTALRRGNHTKALRLMKESCTCHENSAHAALIHRVQGTVCVKVASIIDDPNAKHRHLKNAIDSAKKAVELSPNSIEFSHFYANLLYEAANDGKEFEEVVQECERALAIENPVDPAKESLQEESQQKISTAEARILHVQSELRSLIQKSNIASISTWMKNLGNGEEKFRLIPIRRVTEDPMEVRLVQTRRPNEIKKATKTPEERRKEIEVRVAAARLLQQQKSEAASSALLQSDGERNGLDLTSGSGQRGGVERRRKIGSTAERKDWVRSFWNSMSVDSKKDLLRIRVSDLKEYFGLLKDGLASEVLSEALAFAELNKTWKFWVCCRCSEKFAVSESHMQHVVQEHMGNLMPKMQTVLPQSVDSEWIEMLLNCSWNPLDISAAVKMIGNESKCRDSEFSKDFYSDNHNEECDDCFKDAWSSSPEKEHLGDQYNCTSVEGKNCDKVSSIECKECDGNQGSVAYPHVDCWPTVDDAERAKLLERIHATFELLIRHKYLAASHLNKVIQFTMDELQSLVSGSQLLNHGVDQTPMCICFLGAMQLRKILKFLQDLSHSCGLTRYSEKTAPVDDVNRASQILEVKEKIVLNGDASCLLLDERLLPDVAIQEAALANANGSNNYGFVQDADALLSWIFAGPSSGDQLASWIRKKEEKTQQGLEILQMLEKEFYHLQSLCEKKCDHISYEEALQAVEDLCLEEGKKRETATEFVYRSYESVLRKRREELIESENDVMFLSSRFELDAISNVLKEAEALNVNQFGYEDTYAGVTSQLCDLESGEGDDWRTKDYLHQVDTCIEVAIQRQKEQLSLELSKIDARIMQNVTGMQQLELKLEPASAHDYRLIMLPLVKSYLRAHLEDLAEKDATEKSDAAREAFLAELACDSKKGSRGGSDNSRHAQEKSKDKKKNKELRKSKDSKASGANEQHMLNDETAEQVSSAVASDGDHLDSEVVSVNSDDLKQQEEEFRRKIELEAEERKLEETLEYQRRIENEAKQKHLAEQHKKTNQVFEEIAANGLRDAYWEASDLDIQEHLAISNRVTDNLDSIPLSTANGSAVAVTSNTSGTYAKFKQGLSNGAVPEDALFPGDRRAGRRGRRHKSSNKFLDGKYQVIPSEKESIQVGSSHGNVEEQVRYVDGFPMDSVAPISVEGGTKTLRQLQAEEDDEERFQADLKQAVRQSLDTYQAQQKMPLGSSLRTAPRVPLQVNNHGVSPNEVSSENLNETDVLGTGLQNEVGEYNCFLNVIIQSLWHLRRFRDEFLRRSTSDHVHVGDPCVVCALYEIFSALNISSTDARREPVAPTSLRVALSNLYPDSNFFQEAQMNDASEVLAVIFDCLHRSFTSGSSVSNADSADSNCTGSWDCANSACMVHSLFGMDIFERMNCYKCGVESRRLKYTSFFHNINASALRTMKVLCAESSFDELLNLVERNHQLACDPEAGGCRRLNCIHHILSNPPHVFTTGCP >EOY21686 pep chromosome:Theobroma_cacao_20110822:3:17033130:17042923:-1 gene:TCM_013775 transcript:EOY21686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase-related protein isoform 4 MGHKKKNAAPRSKRPSSQPPPVAATTVVDVINDSAERELTGNNAKIEVAAVAVESDASSSSSYAAVKIECERALTALRRGNHTKALRLMKESCTCHENSAHAALIHRVQGTVCVKVASIIDDPNAKHRHLKNAIDSAKKAVELSPNSIEFSHFYANLLYEAANDGKEFEEVVQECERALAIENPVDPAKESLQEESQQKISTAEARILHVQSELRSLIQKSNIASISTWMKNLGNGEEKFRLIPIRRVTEDPMEVRLVQTRRPNEIKKATKTPEERRKEIEVRVAAARLLQQQKSEAASSALLQSDGERNGLDLTSGSGQRGGVERRRKIGSTAERKDWVRSFWNSMSVDSKKDLLRIRVSDLKEYFGLLKDGLASEVLSEALAFAELNKTWKFWVCCRCSEKFAVSESHMQHVVQEHMGNLMPKMQTVLPQSVDSEWIEMLLNCSWNPLDISAAVKMIGNESKCRDSEFSKDFYSDNHNEECDDCFKDAWSSSPEKEHLGDQYNCTSVEGKNCDKVSSIECKECDGNQGSVAYPHVDCWPTVDDAERAKLLERIHATFELLIRHKYLAASHLNKVIQFTMDELQSLVSGSQLLNHGVDQTPMCICFLGAMQLRKILKFLQDLSHSCGLTRYSEKTAPVDDVNRASQILEVKEKIVLNGDASCLLLDERLLPDVAIQEAALANANGSNNYGFVQDADALLSWIFAGPSSGDQLASWIRKKEEKTQQGLEILQMLEKEFYHLQSLCEKKCDHISYEEALQAVEDLCLEEGKKRETATEFVYRSYESVLRKRREELIESENDVMFLSSRFELDAISNVLKEAEALNVNQFGYEDTYAGVTSQLCDLESGEGDDWRTKDYLHQVDTCIEVAIQRQKEQLSLELSKIDARIMQNVTGMQQLELKLEPASAHDYRLIMLPLVKSYLRAHLEDLAEKDATEKSDAAREAFLAELACDSKKGSRGGSDNSRHAQEKSKDKKKNKELRKSKDSKASGANEQHMLNDETAEQVSSAVASDGDHLDSEVVSVNSDDLKQQEEEFRRKIELEAEERKLEETLEYQRRIENEAKQKHLAEQHKKTNQVFEEIAANGLRDAYWEASDLDIQEHLAISNRVTDNLDSIPLSTANGSAVAVTSNTSGTYAKFKQGLSNGAVPEDALFPGDRRAGRRGRRHKSSNKFLDGKYQVIPSEKESIQVGSSHGNVEEQVRYVDGFPMDSVAPISVEGGTKTLRQLQAEEDDEERFQADLKQAVRQSLDTYQAQQKMPLGSSLRTAPRVPLQVNNHGVSPNEVSSENLNETDVLGTGLQNEVGEYNCFLNVIIQSLWHLRRFRDEFLRRSTSDHVHVGDPCVVCALYEIFSALNISSTDARREPVAPTSLRVALSNLYPDSNFFQEAQMNDASEVLAVIFDCLHRSFTSGSSVSNADSADSNCTGSWDCANSACMVHSLFGMDIFERMNCYKCGVESRRLKYTSFFHNINASALRTMKKAPLMSF >EOY21684 pep chromosome:Theobroma_cacao_20110822:3:17032187:17042950:-1 gene:TCM_013775 transcript:EOY21684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase-related protein isoform 4 MGHKKKNAAPRSKRPSSQPPPVAATTVVDVINDSAERELTGNNAKIEVAAVAVESDASSSSSYAAVKIECERALTALRRGNHTKALRLMKESCTCHENSAHAALIHRVQGTVCVKVASIIDDPNAKHRHLKNAIDSAKKAVELSPNSIEFSHFYANLLYEAANDGKEFEEVVQECERALAIENPVDPAKESLQEESQQKISTAEARILHVQSELRSLIQKSNIASISTWMKNLGNGEEKFRLIPIRRVTEDPMEVRLVQTRRPNEIKKATKTPEERRKEIEVRVAAARLLQQQKSEAASSALLQSDGERNGLDLTSGSGQRGGVERRRKIGSTAERKDWVRSFWNSMSVDSKKDLLRIRVSDLKEYFGLLKDGLASEVLSEALAFAELNKTWKFWVCCRCSEKFAVSESHMQHVVQEHMGNLMPKMQTVLPQSVDSEWIEMLLNCSWNPLDISAAVKMIGNESKCRDSEFSKDFYSDNHNEECDDCFKDAWSSSPEKEHLGDQYNCTSVEGKNCDKVSSIECKECDGNQGSVAYPHVDCWPTVDDAERAKLLERIHATFELLIRHKYLAASHLNKVIQFTMDELQSLVSGSQLLNHGVDQTPMCICFLGAMQLRKILKFLQDLSHSCGLTRYSEKTAPVDDVNRASQILEVKEKIVLNGDASCLLLDERLLPDVAIQEAALANANGSNNYGFVQDADALLSWIFAGPSSGDQLASWIRKKEEKTQQGLEILQMLEKEFYHLQSLCEKKCDHISYEEALQAVEDLCLEEGKKRETATEFVYRSYESVLRKRREELIESENDVMFLSSRFELDAISNVLKEAEALNVNQFGYEDTYAGVTSQLCDLESGEGDDWRTKDYLHQVDTCIEVAIQRQKEQLSLELSKIDARIMQNVTGMQQLELKLEPASAHDYRLIMLPLVKSYLRAHLEDLAEKDATEKSDAAREAFLAELACDSKKGSRGGSDNSRHAQEKSKDKKKNKELRKSKDSKASGANEQHMLNDETAEQVSSAVASDGDHLDSEVVSVNSDDLKQQEEEFRRKIELEAEERKLEETLEYQRRIENEAKQKHLAEQHKKTNQVFEEIAANGLRDAYWEASDLDIQEHLAISNRVTDNLDSIPLSTANGSAVAVTSNTSGTYAKFKQGLSNGAVPEDALFPGDRRAGRRGRRHKSSNKFLDGKYQVIPSEKESIQVGSSHGNVEEQVRYVDGFPMDSVAPISVEGGTKTLRQLQAEEDDEERFQADLKQAVRQSLDTYQAQQKMPLGSSLRTAPRVPLQVNNHGVSPNEVSSENLNETDVLGTGLQNEVGEYNCFLNVIIQSLWHLRRFRDEFLRRSTSDHVHVGDPCVVCALYEIFSALNISSTDARREPVAPTSLRVALSNLYPDSNFFQEAQMNDASEVLAVIFDCLHRSFTSGSSVSNADSADSNCTGSWDCANSACMVHSLFGMDIFERMNCYKCGVESRRLKYTSFFHNINASALRTMKVLCAESSFDELLNLVERNHQLACDPEAGGCRRLNCIHHILSNPPHVFTTVLGWQNTSECADDIAATLAALNNEIDISVLYRGLDPKNKHHLVSVVCYYGQHYHCFAYSHDHERWIKYDDKTVKVIGGWADVVKMCEQGRLQPQVLFFEAVN >EOY21683 pep chromosome:Theobroma_cacao_20110822:3:17034422:17042585:-1 gene:TCM_013775 transcript:EOY21683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase-related protein isoform 4 MGHKKKNAAPRSKRPSSQPPPVAATTVVDVINDSAERELTGNNAKIEVAAVAVESDASSSSSYAAVKIECERALTALRRGNHTKALRLMKESCTCHENSAHAALIHRVQGTVCVKVASIIDDPNAKHRHLKNAIDSAKKAVELSPNSIEFSHFYANLLYEAANDGKEFEEVVQECERALAIENPVDPAKESLQEESQQKISTAEARILHVQSELRSLIQKSNIASISTWMKNLGNGEEKFRLIPIRRVTEDPMEVRLVQTRRPNEIKKATKTPEERRKEIEVRVAAARLLQQQKSEAASSALLQSDGERNGLDLTSGSGQRGGVERRRKIGSTAERKDWVRSFWNSMSVDSKKDLLRIRVSDLKEYFGLLKDGLASEVLSEALAFAELNKTWKFWVCCRCSEKFAVSESHMQHVVQEHMGNLMPKMQTVLPQSVDSEWIEMLLNCSWNPLDISAAVKMIGNESKCRDSEFSKDFYSDNHNEECDDCFKDAWSSSPEKEHLGDQYNCTSVEGKNCDKVSSIECKECDGNQGSVAYPHVDCWPTVDDAERAKLLERIHATFELLIRHKYLAASHLNKVIQFTMDELQSLVSGSQLLNHGVDQTPMCICFLGAMQLRKILKFLQDLSHSCGLTRYSEKTAPVDDVNRASQILEVKEKIVLNGDASCLLLDERLLPDVAIQEAALANANGSNNYGFVQDADALLSWIFAGPSSGDQLASWIRKKEEKTQQGLEILQMLEKEFYHLQSLCEKKCDHISYEEALQAVEDLCLEEGKKRETATEFVYRSYESVLRKRREELIESENDVMFLSSRFELDAISNVLKEAEALNVNQFGYEDTYAGVTSQLCDLESGEGDDWRTKDYLHQVDTCIEVAIQRQKEQLSLELSKIDARIMQNVTGMQQLELKLEPASAHDYRLIMLPLVKSYLRAHLEDLAEKDATEKSDAAREAFLAELACDSKKGSRGGSDNSRHAQEKSKDKKKNKELRKSKDSKASGANEQHMLNDETAEQVSSAVASDGDHLDSEVVSVNSDDLKQQEEEFRRKIELEAEERKLEETLEYQRRIENEAKQKHLAEQHKKTNQVFEEIAANGLRDAYWEASDLDIQEHLAISNRVTDNLDSIPLSTANGSAVAVTSNTSGTYAKFKQGLSNGAVPEDALFPGDRRAGRRGRRHKSSNKFLDGKYQVIPSEKESIQVGSSHGNVEEQVRYVDGFPMDSVAPISVEGGTKTLRQLQAEEDDEERFQADLKQAVRQSLDTYQAQQKMPLGSSLRTAPRVPLQVNNHGVSPNEVSSENLNETDVLGTGLQNEVGEYNCFLNVIIQSLWHLRRFRDEFLRRSTSDHVHVGDPCVVCALYEIFSALNISSTDARREPVAPTSLRVALSNLYPDSNFFQEAQMNDASEVLAVIFDCLHRSFTSGSSVSNADSADSNCTGSWDCANSACMVHSLFGMDIFERMNCYKCGVESRRLKYTSFFHNINASALRTMKVLCAESSFDELLNLVERNHQLACDPEAGGCRRLNCIHHILSNPPHVFTTVLGWQNTSECADDIAATLAALNNEIDISVLYRGLDPKNKHHLVSVVCYYGQHYHCFAYSHDHERWIKYDDKTVKVIGGWADVVKMCEQGRLQPQVLFFEAVN >EOY22896 pep chromosome:Theobroma_cacao_20110822:3:25211231:25255781:1 gene:TCM_014931 transcript:EOY22896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 32 protein isoform 2 MEASTSHDPERNSAFYAPLPEHPSSGGAPATRSRPLKGFVVILASVVFLLSLVALIINQSQEPLPMPNRVPSASPTSKPASFSEAEPRGVAEGVSAKSNPSLLNEASFNWTNAMFSWQRSAYHFQPQKNWMNDPDGPLYHKGWYHLFYQYNPDSAIWGNITWGHAVSRDLIHWLYLPLAMVPDCWYDINGVWTGSATLLPDGQIVMLYTGSTNESAQVQNLAYPANLSDPLLLDWLKYPGNPVIVPPAGIETDEFRDPTTAWLGPDGTWRITIGSRFNETIGISLVYQTTNFTDYELLDGVLHAVPGTGMWECVDFYPVAINGSVGLDTSAFGPGIKHVLKASLDDTKVDHYALGTYDPVTDKWTPDNPEEDVGIGLKVDYGRYYASKTFFDQDKQRRILWGWINETDTETADLKKGWASLQTIPRSVLYDNKTGTHLLQWPVKEVESLRLNSTVFEEVLVEAGSIVPLDIGPATQLDILAEFEIESLESNTTDEVSDCGDGAIDRSTFGPFGILVIADDSLSELTPVFFRPVNTSDGSLKTYFCADETRSSKANDVFKQVYGGKVPVLDDENYNMRVLVDHSIVESFAQGGRTVISSRIYPTEAIYGAARLFLFNNATGVNVKATLKIWEMNSAFIRPFPFEEPL >EOY22897 pep chromosome:Theobroma_cacao_20110822:3:25246309:25251083:1 gene:TCM_014931 transcript:EOY22897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 32 protein isoform 2 MPNRVPSASPTSKPASFSEAEPRGVAEGVSAKSNPSLLNEASFNWTNAMFSWQRSAYHFQPQKNWMNDPDGPLYHKGWYHLFYQYNPDSAIWGNITWGHAVSRDLIHWLYLPLAMVPDCWYDINGVWTGSATLLPDGQIVMLYTGSTNESAQVQNLAYPANLSDPLLLDWLKYPGNPVIVPPAGIETDEFRDPTTAWLGPDGTWRITIGSRFNETIGISLVYQTTNFTDYELLDGVLHAVPGTGMWECVDFYPVAINGSVGLDTSAFGPGIKHVLKASLDDTKVDHYALGTYDPVTDKWTPDNPEEDVGIGLKVDYGRYYASKTFFDQDKQRRILWGWINETDTETADLKKGWASLQTIPRSVLYDNKTGTHLLQWPVKEVESLRLNSTVFEEVLVEAGSIVPLDIGPATQVLSSRLALCLPKLTMSSNKCMEARFQCLTMKTTI >EOY25253 pep chromosome:Theobroma_cacao_20110822:3:33701797:33705481:-1 gene:TCM_016626 transcript:EOY25253 gene_biotype:protein_coding transcript_biotype:protein_coding description:NBS resistance-like protein MMSSVRHGSNTPRKKALYDQFLDELPADDETPSKWRIPGLGHSRSPSTGSTDDSNKGEEGVSEGESIASPRPMPDTKEFLEKIYEPVGRSKVHGFDYDIMSLKMLLLDERSQYSFKLVGVVGMLGVGKTTLCRLILDEEEVKQRFVPRFWITMPSDEEPNSLEKVVERMLERLGVEEEIITSISKNHELPGLLYALHLHLKGKRYLILLDGVRAKDDYYEHLISCLRDGHGFPKAYGGAVMVTSRDEEAVKTMVGEQNLHRLLPLSNPDSCWPIYQNLDSVAQVSGSEASKEVKEELLKKCGGLPLAARILRELKDLEKKQKDGSIQAPAASKEGPTQASTASNEVSSQASTASEEGPIQASAASKEGPTQAPAASKEGSNEASAASNEGPIQASAASNEGPIQASAASNEGPIQASAASKEGSNEASAASKEGPIQASAASKEVSSQASAASKEVSSQASIASKEDSNEGSAASKEDPNQASAASKEVSSQASAASKEASAASKEDLNQASAASKEVSSQASAASKEDSNQASTASNESDLKPATTD >EOY21558 pep chromosome:Theobroma_cacao_20110822:3:12246082:12260362:1 gene:TCM_013364 transcript:EOY21558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVNEMQMKCKWSEMYMKRSAIMTSHLGCTWYIVGNVICWECDYWKYNFAAFGIIRESDDCRECWFVSILLGMKYYENLVGIFYFNATQKYAKSKTGQLVNHDDTFVTHVMGLRILVTCDLIERVLHLTSFREDHRYVFDNGDLQSVFIHLPPNDRGNTNCTRLCFFDQVLHLIITHTIRLHESNYSVVTTEDLWFLINIKLNYHIDLAKFIMDDMLRTILVKVQVQPCVQPLEAKHLKI >EOY20806 pep chromosome:Theobroma_cacao_20110822:3:1334749:1344566:-1 gene:TCM_012153 transcript:EOY20806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Modifier of snc1, putative isoform 1 MTLQQNLNHDIKYALWRTEMGFCKTKWHDCFGKSCCSKTNKLTQPKVLISISHLLFFVKVEDIEVLVFFSLNPSAFLSVVSRLENHGLDPNVEIVPKGTLSWGSKSSSSSNAWGSSTLSPNADGGSSSPGHLSACPSSGGSGTRPSTAGSDRAHEPANAWGSNSRPSSASGALASNQTSLTSLRPRSAETRPGSSQLSRFAEPVPENSGAWGAAGTAEKLGMTSSKNDGFSLTSGDFPTLGSEKDTSGKNAELQEHGSQSRPGSSSGVAPLKERPGTSIVVDISVNANVKTGNTNSWRRDNPPYTEDGVRPSMEKWHADPQGSHPYPNTGIPPQHYDAWRGPPINNHPGGVWYRGPPGGPPYGPPVAPGGFPMEPFPYYRPQIPGAALANPQPVPPPGAGPMGPHPKNGDMYRGPMPDAFVRPGMPIRPPFYPGPVAYEGYYGPPMGYCNSNERDIPFMGIPAGPAAHNRYPSQNAPDPGGSHARPSVYGPPGKTLAAEHAESGHPHETRGPYKVLLKQHDGWEGKDEEHRWEDNATAGLEKSDQRRTAAWENDGKANQKKEEVSIRTVVEEASFQITDHHGGDSILGKLKSSEGMENAKAYDDISVKEVAHPEVPAATKDASLIQKIEGLNAKARASDGRHESISGSNREEQKNKSQVVNAKAKHFANEVASGSCAVFPDKMPASGMTEPTCNEVAVSDGDKSLDLPAVGGAGINRRSTHSIHGRTDHRGRGRFNPQDADGWRKKPLFTDSSNVKPTKDSENPSNVNIQDSMSLEASEKSGLYSQVRDEGESMPPVYDPSDSQAQRAMMRELAKQRVKQRQKEEEERARDQKAKALAKLEELNRRTQTAEGFTQKLESVPDSVVQSKQEDSQTLAEETILASRSEATSLASVSNPTVVALVSQSNTGGVEKPTVFSNQQPPVSTKNVHKTTADMHNQSLPLQQRVSNADAALHNLSQVSDSSTSKQKRVGYRKRDNSSLDKSSSEKSISTSTTELPKVHSDAAVDVGPSAEAVANEFTSGSETISTQNVVNEPPVHQRRKNNRSGKNKHKMEETSSVVLLPSGISKESNLTGTFVESLKPKSSECELDPSLVQSLTDSKDGNRSSEQDSALLNEEVYGRVNNQWKSQHSRRMPRNPQAHRSAVHSSDAVVWAPVRSHNKAEAFEEVSHKLVVESVSPQVKNDAQVQNNPRNKRAEMERYIPKPVAKEMAQQVISQQPVAPSDNQTASDETVVRADTGSLGVECSQPMGSAMGKVGNSTELRNDGRQSRQGRGHGSWRQRASAEATLQGQDGQYSNSSKNTLKSTEHNQHQKLDSSPVKEQPKYDECNTSDGWNIPENPDSAAPPVVPVVRDQGLTGRGKRHAFKGNKGGGNNYDFDHKKINNGEAEKFNRQSSILEMGQSDLPATSKETRAVGERSTSHWQPKSSAINQRGSRPDSDQNVGAEIGWANKKDSTPQGRVSIPPQPDKETSEGMTQPLKDLYISEKGNVEEAHNGGYHDSKRERKVASLKGRPHSPNQGPGLPVEAPQSNVDARTEQRTTSGFRKNGNQNTRYGRGHESRGEWGSSGQEIKQHNPPANRDRQRHNSHYEYQPVGPQNNSRPSNPEGAKDGSHGAGARFRERGQSHSRRGGGNFHGRQSGSVRVDGGYE >EOY20805 pep chromosome:Theobroma_cacao_20110822:3:1334814:1345800:-1 gene:TCM_012153 transcript:EOY20805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Modifier of snc1, putative isoform 1 MTSSMLSGERRWASARRSGMTVLGKVAVPKPINLPSQRLENHGLDPNVEIVPKGTLSWGSKSSSSSNAWGSSTLSPNADGGSSSPGHLSACPSSGGSGTRPSTAGSDRAHEPANAWGSNSRPSSASGALASNQTSLTSLRPRSAETRPGSSQLSRFAEPVPENSGAWGAAGTAEKLGMTSSKNDGFSLTSGDFPTLGSEKDTSGKNAELQEHGSQSRPGSSSGVAPLKERPGTSIVVDISVNANVKTGNTNSWRRDNPPYTEDGVRPSMEKWHADPQGSHPYPNTGIPPQHYDAWRGPPINNHPGGVWYRGPPGGPPYGPPVAPGGFPMEPFPYYRPQIPGAALANPQPVPPPGAGPMGPHPKNGDMYRGPMPDAFVRPGMPIRPPFYPGPVAYEGYYGPPMGYCNSNERDIPFMGIPAGPAAHNRYPSQNAPDPGGSHARPSVYGPPGKTLAAEHAESGHPHETRGPYKVLLKQHDGWEGKDEEHRWEDNATAGLEKSDQRRTAAWENDGKANQKKEEVSIRTVVEEASFQITDHHGGDSILGKLKSSEGMENAKAYDDISVKEVAHPEVPAATKDASLIQKIEGLNAKARASDGRHESISGSNREEQKNKSQVVNAKAKHFANEVASGSCAVFPDKMPASGMTEPTCNEVAVSDGDKSLDLPAVGGAGINRRSTHSIHGRTDHRGRGRFNPQDADGWRKKPLFTDSSNVKPTKDSENPSNVNIQDSMSLEASEKSGLYSQVRDEGESMPPVYDPSDSQAQRAMMRELAKQRVKQRQKEEEERARDQKAKALAKLEELNRRTQTAEGFTQKLESVPDSVVQSKQEDSQTLAEETILASRSEATSLASVSNPTVVALVSQSNTGGVEKPTVFSNQQPPVSTKNVHKTTADMHNQSLPLQQRVSNADAALHNLSQVSDSSTSKQKRVGYRKRDNSSLDKSSSEKSISTSTTELPKVHSDAAVDVGPSAEAVANEFTSGSETISTQNVVNEPPVHQRRKNNRSGKNKHKMEETSSVVLLPSGISKESNLTGTFVESLKPKSSECELDPSLVQSLTDSKDGNRSSEQDSALLNEEVYGRVNNQWKSQHSRRMPRNPQAHRSAVHSSDAVVWAPVRSHNKAEAFEEVSHKLVVESVSPQVKNDAQVQNNPRNKRAEMERYIPKPVAKEMAQQVISQQPVAPSDNQTASDETVVRADTGSLGVECSQPMGSAMGKVGNSTELRNDGRQSRQGRGHGSWRQRASAEATLQGQDGQYSNSSKNTLKSTEHNQHQKLDSSPVKEQPKYDECNTSDGWNIPENPDSAAPPVVPVVRDQGLTGRGKRHAFKGNKGGGNNYDFDHKKINNGEAEKFNRQSSILEMGQSDLPATSKETRAVGERSTSHWQPKSSAINQRGSRPDSDQNVGAEIGWANKKDSTPQGRVSIPPQPDKETSEGMTQPLKDLYISEKGNVEEAHNGGYHDSKRERKVASLKGRPHSPNQGPGLPVEAPQSNVDARTEQRTTSGFRKNGNQNTRYGRGHESRGEWGSSGQEIKQHNPPANRDRQRHNSHYEYQPVGPQNNSRPSNPEGAKDGSHGAGARFRERGQSHSRRGGGNFHGRQSGSVRVDGGYE >EOY24934 pep chromosome:Theobroma_cacao_20110822:3:32730605:32732509:1 gene:TCM_016398 transcript:EOY24934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19-like CHCH family protein MEEAGAQPVCAQEALALLNCVTQSPFDQEKCIRLLHSLRQCVLDKKVKKFSLDNQDQQDTDSVSKKN >EOY23557 pep chromosome:Theobroma_cacao_20110822:3:27845741:27857085:-1 gene:TCM_015416 transcript:EOY23557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 5 MDDNQHQYQRCNRRGTPNWRCSGRALTGKSLCHKHYLYSLQSCGKKVDMENIGESGSEGGTQMCTQRKKRKRQVIGQGSINPVVVDEVREHDGNEQVKVTAGNDGDQGTQSWFVEGSGGVESVLEWFGEDGGVNGGETLKLWGVEAGFGLAIGGSGEATPGVQGGFGGETGGHNGEVVDCKGCNPWFGVDGIFGSLGGGEIQMGGSGGVPFGNGGMGLADGLIQGLFSGPNEGLNVGVEGTQCFSGEAEYDNVEGKGIQGLFDEIACGNGCETHLAGEGNRGQGGYFENAGMHRGSKDGGGQVKVKRGRPKGSKNKKKILAAEQSTEGLSDSKVKKQGPLKGSKKIQKSLSGECHNGGDDIGRRKENLDQEMVSKEEKEDVAVEANETVQLKTKRGRPKGSKNKKKIVAAEQRIEGLSEVGGDGKLGNEIVCAIEKQGPPKGSKKKEISLPGENQDMSCHIVGGNNDGGDQTLRPMFWEKEKTTFLRAGDGVMPCEVAGNDAGRNNAGKRIEKQGQQQGPKKEKKNLAGEVSENAQLKGRHGGPKSAKNQKKIIVEENRDKSGKAEGGEGAVGIQNGNNNVLPKKNRGRPKGSKNKQKRRSDEKIGLSSVQQTLQSKDKQCFLEISKDNKENNEGSETQGVPVEIVGVYYGDKGPVLVRTALVREEDKVMPGEAITGGCEMNSLVDKEGRGLPIERSGANEGKNEIIGPKVKDWRVEDLKNKEPTITAKEESHQSGEAIGKNDSGNEGLKRKRGRPKGSKNKRTLFLGMVSVRKKYKINKSCAQIEQGEGNNLKMSHNVSGKHLQGSLNMKKKTLAAGIRGSLTADFGNAQKKSRGRKKKSSSQSETSVSSDDTSQKHVRRGLMCHQCWRTDRSVVNCSKCKRKRYCYECLAKWYPEKTREEVEAACPFCRGNCNCRLCLREKLVVMDEHEEADTSIKLQKLLYLLHKILPLLRHVQQEQHAELELETSIRGVQLTEQDIMVSVLDDDDRVYCDNCNTSIVNFHRSCPNPDCSYDLCITCCHEIRKGSQPGGNEAKFSHQQSVERVNSQGADSDDQIPTVTVRCDWKSLVSTECTSGMSCNSLDWRAEADGRIPCPPKGRGGCGSETLSLRRFFGANLVDQLIQNAEELTVNFQLPDIEFSEGCSMCHTSSSAGNEADNFEVRQAAYRENSHDNFVYCPNVIQLEDNNIQHFQMHWMRGEPVIVRNVLEKSYGLSWEPMVMWRAFIGAKKILKEEAKRVKAIDCLDWCEVEINIRRFFKGYLEGRRYRNGWPEMLKLKDWPASNSFEECLPSRIWGPKLTLLMDL >EOY23556 pep chromosome:Theobroma_cacao_20110822:3:27847076:27856992:-1 gene:TCM_015416 transcript:EOY23556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 5 MDDNQHQYQRCNRRGTPNWRCSGRALTGKSLCHKHYLYSLQSCGKKVDMENIGESGSEGGTQMCTQRKKRKRQVIGQGSINPVVVDEVREHDGNEQVKVTAGNDGDQGTQSWFVEGSGGVESVLEWFGEDGGVNGGETLKLWGVEAGFGLAIGGSGEATPGVQGGFGGETGGHNGEVVDCKGCNPWFGVDGIFGSLGGGEIQMGGSGGVPFGNGGMGLADGLIQGLFSGPNEGLNVGVEGTQCFSGEAEYDNVEGKGIQGLFDEIACGNGCETHLAGEGNRGQGGYFENAGMHRGSKDGGGQVKVKRGRPKGSKNKKKILAAEQSTEGLSDSKVKKQGPLKGSKKIQKSLSGECHNGGDDIGRRKENLDQEMVSKEEKEDVAVEANETVQLKTKRGRPKGSKNKKKIVAAEQRIEGLSEVGGDGKLGNEIVCAIEKQGPPKGSKKKEISLPGENQDMSCHIVGGNNDGGDQTLRPMFWEKEKTTFLRAGDGVMPCEVAGNDAGRNNAGKRIEKQGQQQGPKKEKKNLAGEVSENAQLKGRHGGPKSAKNQKKIIVEENRDKSGKAEGGEGAVGIQNGNNNVLPKKNRGRPKGSKNKQKRRSDEKIGLSSVQQTLQSKDKQCFLEISKDNKENNEGSETQGVPVEIVGVYYGDKGPVLVRTALVREEDKVMPGEAITGGCEMNSLVDKEGRGLPIERSGANEGKNEIIGPKVKDWRVEDLKNKEPTITAKEESHQSGEAIGKNDSGNEGLKRKRGRPKGSKNKRTLFLGMVSVRKKYKINKSCAQIEQGEGNNLKMSHNVSGKHLQGSLNMKKKTLAAGIRGSLTADFGNAQKKSRGRKKKSSSQSETSVSSDDTSQKHVRRGLMCHQCWRTDRSVVNCSKCKRKRYCYECLAKWYPEKTREEVEAACPFCRGNCNCRLCLREKLVVMDEHEEADTSIKLQKLLYLLHKILPLLRHVQQEQHAELELETSIRGVQLTEQDIMVSVLDDDDRVYCDNCNTSIVNFHRSCPNPDCSYDLCITCCHEIRKGSQPGGNEAKFSHQQSVERVNSQGADSDDQIPTVTVRCDWKSLVSTECTSGMSCNSLDWRAEADGRIPCPPKGRGGCGSETLSLRRFFGANLVDQLIQNAEELTVNFQLPDIEFSEGCSMCHTSSSAGNEADNFEVRQAAYRENSHDNFVYCPNVIQLEDNNIQHFQMHWMRGEPVIVRNVLEKSYGLSWEPMVMWRAFIGAKKILKEEAKRVKAIDCLDWCEVEINIRRFFKGYLEGRRYRNGWPEMLKLKDWPASNSFEECLPRHGAEFIAMLPFKDYTHPNSGILNLATKLPAVLKPDLGPKTYIAYGSLKELGRGDSVTKLHCDISDAVNVLTHATDVKIPPWQTKIIDKLQKKYEAENMHPRCCGQTRKVSQILGRKRRKRPHKGGSKNPEYSAKLDNLAGKIEDVAECSFSLPGVDTCSNSAAIGELQSTHQLDSKHGMIEEMMCNQKHNHNIEGQTHNTVEGGSLNQNEDLGSVRPDTNTTRESVTENPSSDNAHGGAVWDIFRREDVPKLIEYLRKHQKEFRHISNLPVNSVIHPIHDQTLYLSEKHKKQLKEEFNVEPWTFEQHVGEAVFIPAGCPHQVRNRQSCIKVALDFVSPDNVQECIRLTEEFRLLPKTHRAKEDKLEVKKMAIYAATLAVSEAKKLSANLK >EOY23559 pep chromosome:Theobroma_cacao_20110822:3:27846195:27856065:-1 gene:TCM_015416 transcript:EOY23559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 5 MVSKEEKEDVAVEANETVQLKTKRGRPKGSKNKKKIVAAEQRIEGLSEVGGDGKLGNEIVCAIEKQGPPKGSKKKEISLPGENQDMSCHIVGGNNDGGDQTLRPMFWEKEKTTFLRAGDGVMPCEVAGNDAGRNNAGKRIEKQGQQQGPKKEKKNLAGEVSENAQLKGRHGGPKSAKNQKKIIVEENRDKSGKAEGGEGAVGIQNGNNNVLPKKNRGRPKGSKNKQKRRSDEKIGLSSVQQTLQSKDKQCFLEISKDNKENNEGSETQGVPVEIVGVYYGDKGPVLVRTALVREEDKVMPGEAITGGCEMNSLVDKEGRGLPIERSGANEGKNEIIGPKVKDWRVEDLKNKEPTITAKEESHQSGEAIGKNDSGNEGLKRKRGRPKGSKNKRTLFLGMVSVRKKYKINKSCAQIEQGEGNNLKMSHNVSGKHLQGSLNMKKKTLAAGIRGSLTADFGNAQKKSRGRKKKSSSQSETSVSSDDTSQKHVRRGLMCHQCWRTDRSVVNCSKCKRKRYCYECLAKWYPEKTREEVEAACPFCRGNCNCRLCLREKLVVMDEHEEADTSIKLQKLLYLLHKILPLLRHVQQEQHAELELETSIRGVQLTEQDIMVSVLDDDDRVYCDNCNTSIVNFHRSCPNPDCSYDLCITCCHEIRKGSQPGGNEAKFSHQQSVERVNSQGADSDDQIPTVTVRCDWKSLVSTECTSGMSCNSLDWRAEADGRIPCPPKGRGGCGSETLSLRRFFGANLVDQLIQNAEELTVNFQLPDIEFSEGCSMCHTSSSAGNEADNFEVRQAAYRENSHDNFVYCPNVIQLEDNNIQHFQMHWMRGEPVIVRNVLEKSYGLSWEPMVMWRAFIGAKKILKEEAKRVKAIDCLDWCEVEINIRRFFKGYLEGRRYRNGWPEMLKLKDWPASNSFEECLPRHGAEFIAMLPFKDYTHPNSGILNLATKLPAVLKPDLGPKTYIAYGSLKELGRGDSVTKLHCDISDAVNVLTHATDVKIPPWQTKIIDKLQKKYEAENMHPRCCGQTRKVSQILGRKRRKRPHKGGSKNPEYSAKLDNLAGKIEDVAECSFSLPGVDTCSNSAAIGELQSTHQLDSKHGMIEEMMCNQKHNHNIEGQTHNTVEGGSLNQNEDLGSVRPDTNTTRESVTENPSSDNAHGGAVWDIFRREDVPKLIEYLRKHQKEFRHISNLPVNSVIHPIHDQTLYLSEKHKKQLKEEFNVEPWTFEQHVGEAVFIPAGCPHQVRNRQVRILQHSVGLFLISLLHGNAR >EOY23558 pep chromosome:Theobroma_cacao_20110822:3:27845741:27857085:-1 gene:TCM_015416 transcript:EOY23558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 5 MDDNQHQYQRCNRRGTPNWRCSGRALTGKSLCHKHYLYSLQSCGKKVDMENIGESGSEGGTQMCTQRKKRKRQVIGQGSINPVVVDEVREHDGNEQVKVTAGNDGDQGTQSWFVEGSGGVESVLEWFGEDGGVNGGETLKLWGVEAGFGLAIGGSGEATPGVQGGFGGETGGHNGEVVDCKGCNPWFGVDGIFGSLGGGEIQMGGSGGVPFGNGGMGLADGLIQGLFSGPNEGLNVGVEGTQCFSGEAEYDNVEGKGIQGLFDEIACGNGCETHLAGEGNRGQGGYFENAGMHRGSKDGGGQVKVKRGRPKGSKNKKKILAAEQSTEGLSDSKVKKQGPLKGSKKIQKSLSGECHNGGDDIGRRKENLDQEMVSKEEKEDVAVEANETVQLKTKRGRPKGSKNKKKIVAAEQRIEGLSEVGGDGKLGNEIVCAIEKQGPPKGSKKKEISLPGENQDMSCHIVGGNNDGGDQTLRPMFWEKEKTTFLRAGDGVMPCEVAGNDAGRNNAGKRIEKQGQQQGPKKEKKNLAGEVSENAQLKGRHGGPKSAKNQKKIIVEENRDKSGKAEGGEGAVGIQNGNNNVLPKKNRGRPKGSKNKQKRRSDEKIGLSSVQQTLQSKDKQCFLEISKDNKENNEGSETQGVPVEIVGVYYGDKGPVLVRTALVREEDKVMPGEAITGGCEMNSLVDKEGRGLPIERSGANEGKNEIIGPKVKDWRVEDLKNKEPTITAKEESHQSGEAIGKNDSGNEGLKRKRGRPKGSKNKRTLFLGMVSVRKKYKINKSCAQIEQGEGNNLKMSHNVSGKHLQGSLNMKKKTLAAGIRGSLTADFGNAQKKSRGRKKKSSSQSETSVSSDDTSQKHVRRGLMCHQCWRTDRSVVNCSKCKRKRYCYECLAKWYPEKTREEVEAACPFCRGNCNCRLCLREKLVVMDEHEEADTSIKLQKLLYLLHKILPLLRHVQQEQHAELELETSIRGVQLTEQDIMVSVLDDDDRVYCDNCNTSIVNFHRSCPNPDCSYDLCITCCHEIRKGSQPGGNEAKFSHQQSVERVNSQGADSDDQIPTVTVRCDWKSLVSTECTSGMSCNSLDWRAEADGRIPCPPKGRGGCGSETLSLRRFFGANLVDQLIQNAEELTVNFQLPDIEFSEGCSMCHTSSSAGNEADNFEVRQAAYRENSHDNFVYCPNVIQLEDNNIQHFQMHWMRGEPVIVRNVLEKSYGLSWEPMVMWRAFIGAKKILKEEAKRVKAIDCLDWCEVEINIRRFFKGYLEGRRYRNGWPEMLKLKDWPASNSFEECLPRHGAEFIAMLPFKDYTHPNSGILNLATKLPAVLKPDLGPKTYIAYGSLKELGRGDSVTKLHCDISDAVNVLTHATDVKIPPWQTKIIDKLQKKYEAENMHPRCCGQTRKVSQILGRKRRKRPHKGVDTCSNSAAIGELQSTHQLDSKHGMIEEMMCNQKHNHNIEGQTHNTVEGGSLNQNEDLGSVRPDTNTTRESVTENPSSDNAHGGAVWDIFRREDVPKLIEYLRKHQKEFRHISNLPVNSVLFILFMIRPFISVRNIKSS >EOY23560 pep chromosome:Theobroma_cacao_20110822:3:27847123:27856065:-1 gene:TCM_015416 transcript:EOY23560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor jumonji domain-containing protein, putative isoform 5 MVSKEEKEDVAVEANETVQLKTKRGRPKGSKNKKKIVAAEQRIEGLSEVGGDGKLGNEIVCAIEKQGPPKGSKKKEISLPGENQDMSCHIVGGNNDGGDQTLRPMFWEKEKTTFLRAGDGVMPCEVAGNDAGRNNAGKRIEKQGQQQGPKKEKKNLAGEVSENAQLKGRHGGPKSAKNQKKIIVEENRDKSGKAEGGEGAVGIQNGNNNVLPKKNRGRPKGSKNKQKRRSDEKIGLSSVQQTLQSKDKQCFLEISKDNKENNEGSETQGVPVEIVGVYYGDKGPVLVRTALVREEDKVMPGEAITGGCEMNSLVDKEGRGLPIERSGANEGKNEIIGPKVKDWRVEDLKNKEPTITAKEESHQSGEAIGKNDSGNEGLKRKRGRPKGSKNKRTLFLGMVSVRKKYKINKSCAQIEQGEGNNLKMSHNVSGKHLQGSLNMKKKTLAAGIRGSLTADFGNAQKKSRGRKKKSSSQSETSVSSDDTSQKHVRRGLMCHQCWRTDRSVVNCSKCKRKRYCYECLAKWYPEKTREEVEAACPFCRGNCNCRLCLREKLVVMDEHEEADTSIKLQKLLYLLHKILPLLRHVQQEQHAELELETSIRGVQLTEQDIMVSVLDDDDRVYCDNCNTSIVNFHRSCPNPDCSYDLCITCCHEIRKGSQPGGNEAKFSHQQSVERVNSQGADSDDQIPTVTVRCDWKSLVSTECTSGMSCNSLDWRAEADGRIPCPPKGRGGCGSETLSLRRFFGANLVDQLIQNAEELTVNFQLPDIEFSEGCSMCHTSSSAGNEADNFEVRQAAYRENSHDNFVYCPNVIQLEDNNIQHFQMHWMRGEPVIVRNVLEKSYGLSWEPMVMWRAFIGAKKILKEEAKRVKAIDCLDWCEVEINIRRFFKGYLEGRRYRNGWPEMLKLKDWPASNSFEECLPRHGAEFIAMLPFKDYTHPNSGILNLATKLPAVLKPDLGPKTYIAYGSLKELGRGDSVTKLHCDISDAVNVLTHATDVKIPPWQTKIIDKLQKKYEAENMHPRCCGQTRKVSQILGRKRRKRPHKGGSKNPEYSAKLDNLAGKIEDVAECSFSLPGVDTCSNSAAIGELQSTHQLDSKHGMIEEMMCNQKHNHNIEGQTHNTVEGGSLNQNEDLGSVRPDTNTTRESVTENPSSDNAHGGAVWDIFRREDVPKLIEYLRKHQKEFRHISNLPVNSVIHPIHDQTLYLSEKHKKQLKEEFNVEPWTFEQHVGEAVFIPAGCPHQVRNRQSCIKVALDFVSPDNVQECIRLTEEFRLLPKTHRAKEDKLEVIFV >EOY25310 pep chromosome:Theobroma_cacao_20110822:3:33899456:33902316:1 gene:TCM_016668 transcript:EOY25310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVHHASLIISSSQNCDDEIPAIECPRWNHTQPLPATYPTSGTRKRSRPFLASAKTRGPPMSSPTWTGFFPLKDPPSPLPSPSPNGSSLLKNNRKIFVLAPFSVT >EOY23530 pep chromosome:Theobroma_cacao_20110822:3:27743500:27744404:-1 gene:TCM_015398 transcript:EOY23530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIAKTFNNFVKEYLLGTPESQDQKRIFKSLDCFGETVKVQKYPEFLLMVAELNGHGTSNGRIYCKAISSSSSELTRTVLKATRRLESVAKFPCNYFCTWRGAH >EOY21912 pep chromosome:Theobroma_cacao_20110822:3:19800876:19817422:1 gene:TCM_014091 transcript:EOY21912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain 16-like protein MGRTPFCTAEGLKKGPWTAEEDQKLIAYIQKHGEGGWRSLPEKAGLQRCGKSCRLRWANYLRPGIKRGDFTSEDDQTIIELHAALGNRWAAIARHLPKRTDNEIKNYWNAHLKKRLATMSIDPVTQKPVGTTPGSSSRNSSTMGNAEPPMVHTESATKQESEPTLQQPTSRSTSASALLLNKLASRVTTLQCVDPLRACQIMQSMSSKGTGDGGATANNESAICRPTSNCQGNNISTALDTSAWLDIAETLTTPLNCLDLLETLPPELAECNASDDGGVSVDNYRIEDPVSDALSILDKNASAPASSFSSTSDRVLNNMASKLASLPCVDEIHDWQHNLPGPIQGDSDTTTTGDVAILDDISIDDTTYDMVGSPIFYNLNYLENEPEPSDPFYIP >EOY24866 pep chromosome:Theobroma_cacao_20110822:3:32501270:32501987:1 gene:TCM_016349 transcript:EOY24866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQKEAKLFILGFDFTVACRFSKPKTLEQSTLLLVQPAWLESSLLVVRAHITSLGDGCAQWAHSRFMAQPSSGSPSPS >EOY24462 pep chromosome:Theobroma_cacao_20110822:3:31055062:31059442:1 gene:TCM_016057 transcript:EOY24462 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT transposon superfamily MVRGRDACWEHCVLVDATRQKVRCNYCHREFSGGVYRMKFHLAQIKNKDIVPCAEVPDDVRDHIQTILNSPKKQKTPKKPKVDKAVANDQQNSSSASGGLHLNHGSSGQHGSTCPSLLFPRPSPSEQPAVDDGQKQKQEDADKKIAVFFFHNSIPFSAAKSMYYQEMVDAIAKCGVGYKAPSYENLRSTLLEKVKGDIHDCYKKYRDEWKETGCTILCDSWSDGRTKSFVIFSVTCPKGTLFLKSVDVSGHEDDASYLFELLESVVLEVGLENVIQVITDTAASYVYAGRLLMAKYSSLFWSPCASYCINKMLEDISKQEWVGIVLEEAKSIVQYIYSHAWIVNMMRKFTGGRELMRPRITRFVANYLTLRSIIIQEDNLKHMFSHSEWLSSIYSRRSDAQAIKSLLYLERFWKSAHEAVSVSEPLVKILRIVDGDMPAMGYIYEGIERAKVAIKAYYKGLEEKYMPIWDIIDRRWNMQLHSPLHAAAAFLNPSIFYNPNFKIDLRMRNGFQEAMLKLATTDKDKIEITKEHPMYINAQGALGTDFAIMGRTLNAPGDWWASYGYEIPTLQRVAIRILSQPCSSHWCRWNWSTFESIHTKKRNKVELEKFNDLVFVHCNLCLQAICHSRDGKCKPVIFDEIDVSSVWSSELEPSAPLLDDSWLDTLPLECRGSP >EOY20976 pep chromosome:Theobroma_cacao_20110822:3:2027296:2029620:1 gene:TCM_012291 transcript:EOY20976 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein MGNPDADHSAYESQQIQTEYELQPQEYEPNQEAAPIPDQYLAATYQNNQQGQQYQAQQMGTVGNDPQTQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXNNPMYQNRPNPNQPAAYPPQPVQYPPKSQPTNQMYSNVSPAVMQPQTVYAPNASPQAFPQPAYLPQQGTGMPLASPHKPGGQPAAVAGIPVAGDGWRSGLFDFMDDPMNALVTAFFPCLTFGQIAEIVDDGHTTCGTSGLLYGAIAFLIGMPCLLSCTYRTKLRNKFGLPEAPGPDWVTHFLCEWCALCQEYRELQHRGWDPSIGWQGNLARNQNMQPAPAMMAPMNQRMMA >EOY25280 pep chromosome:Theobroma_cacao_20110822:3:33796064:33809908:1 gene:TCM_016644 transcript:EOY25280 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-methyltransferase CMT2 MQRLCSKLRSLALRSTQTLPSLSASRRFLHHSSPPIPLISTKWSFNSLLNNNAASPSLAVNSFRYSPLPLSVVQVRHVSSRERAKRRKPMTPRTSKIKKIKMKSYSSYKSRFRVMSDGSIRRWREGKNHNAHLKSKKSRRRLRQPAIVPAAYAKLVVEGIASWCNTPRMLQVLVLLELLSQPILKIGIPAQRDQTTEALNLVKARLHASRCVMKPCRKSKHESGSWSLSLVKSEDEKEERPVPLAIHLPEGMAADNKLRPRRFPSLFPVPPAHDVRSSCKFYEETVRRSCLRRSSRLSAFVGQESATVGPIGSVETESDDKIENKDSNCRINKVRTFVDDKSLRRSPRFPSTSAEAQSGRTLSLSIKRKSSESQSFELNESTEAKIMNVESMKVQGLRRSPRLTSASPETNGSSSEPMFKSSEKVSYSKTRSSGKVIDKLLWPKDVDKGSVPSKHNGPNFSGEKQRRKSPGLVAETDNCGGNESLRKFEVHNSSFSIGKRLRRSPRISQATKNSCSNVSIKRLDTDEVGFSGLGMSPSSMQAIENGDGDVHFTEHRREMRSEKQLKIPFSHSTSLAEVCCAEVNSSSIRLSNSCNEHPSKKFKVSAADSDMGKPDEKFSKKINDSSLSKNNKRKHVSDPIFIGNPIPDDEAQERWRWRYEMKHIKSKRKSVSLDDDDEDKIVWNVECHYAQAEIDGCAINLGDCVYIKGEEAKEHIGRILEFFKTTDGENYFRVQWFYRAEDTVLKQETAFHDKRRLFYSTVMNDNPIDCIVSKVSVTQRSPKLGLKLNSIPQSDYYFDMEYCVEYSTFRTLPSDDSFKSYNSSNCCKGIFPTTPTAFSANIPSCGTHKAELALLDLYSGCGGMSMGLCLGAKASCIDLVTKWAVDSDKSACKSLKLNHPETHVRNEAADDFLQLLKEWEKLCKRYAVENAGRRYSSRSTTSQAAGNDANSPNDGELEVSSLVDICYGDPCKTGKHSLYFKVQWRGYSASEDTWEPIEGLSNCQECIQQFVRKGFKSKILPLRGNVDVICGGPPCQGISGYNRYRNVDSPLDDERNRQIVVFMDIVEYLKPKFVLMENVVDILRFDKASLGRYALSRLVHMKYQARLGIIAAGCYGLPQFRLRVFVWGAQPSEKLPQFPLPTHDVIVRYWPPPEFERNTVAYDEDQPREELEEALVLHDAISDLPAVANNEAHEEMTYRKPPETEFQRYIRSSKHEITGSALNGATRISNPLYDHRPFPLFEDDYARVCLIPKRKGANFRDLPGVIVGNDNVARRDSTQEKKLLPSGKPLVPDYVFTFEQGKSRRPFARLWWDETVPTVVTFPQCHSQAALHPEQDRILTIREYARLQGFPDYYRFCGTIKERYCQVGNAVAVPVARALGYAMGMAFQKQSGNEPLMTLPPKFSLRTNTQPCLYPGGEQLATGKLAI >EOY22730 pep chromosome:Theobroma_cacao_20110822:3:24593573:24595737:1 gene:TCM_014812 transcript:EOY22730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MDIRLFDAAQTGNIDSLHQLLRENALLFDQIALFSSENPLHIAYTAGHFDFVKKVINLKPDFAKEVNKDGFSLMHMAAFSGHLEIVSELMKVDPSLCRLQGTRDMKTPFHFAAMKGRVNVINEMLSGCAECIEDVTLQRETAFHLAVKCSKVEAVSVLVHWISELKKEDVLNVKDKQGNTVLHLATWRKQRKVIELLLDNERLTSGLLDVNAVNQSNLSALDMLLLFPSEAGDREIMDILSGAGALRARDVNLSPWASLDSRTLSETNHLQPQELVEYFKFKKGRDSPSEARGTLLIIATLVAAATFQVALNPPGGIWQDNYFPNQNDSHSATISGKHLAGWSVLGTSSGVSFSLFAIFNSIGLSVSLYMIKVLTRKFPLEFELGMCMLAMFFSYNTAMINISPDDVRLVVIISTVILTSIAPLLSKRTQRLIGWIIEFTVDKIHRII >EOY21236 pep chromosome:Theobroma_cacao_20110822:3:4496406:4516441:1 gene:TCM_012637 transcript:EOY21236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKLMLSLVGFRSAFGVMSAYRDVAAVVTGPMGVPGRDKIIMPPRRGLPPFSRLVGRGRGRPPHNQPDPVEGKSTASTFRAAPAAEPTETPPHPPHPPPLTNIPVPPPLPPVPPPVPDVSIFKKLEEARQLGCISFTGDLDATAAKDWIIQVLKTLNDMRLEDDMKLMVATRLLEKSPEAHVLIDSGSDRSYATTTFASFSDRNLSPLEEEIVVHTPLGEQLIRNTCYRDCGIRVGEEEFKANLIPLELKKHEMNYPTQDLELAAVVLALKIWRHYLYGERCQIFTDHKSLKYLLTQKELNLRQRRWLELIKDYDLVIDYHPGKANVVADALSHKSSSSLATLRSSYFSMLLEMKSLGIQLNNDEDGTLLDNQLRQVILEEAHSSAYVLHLRSTKMYRTIKESYWWSGIKRDIAEFVAKCLTCQQIKAEHQKPSSTLQPLPIPEWKWEHVTMDFVLGLSRTQSGKDAIWVIVYRLTKSAHFLAIHSTYSIEKLARLYIDEIVRLHGILVSIVLDRDPRFTSRFWPKFQEVLGTKLRFSTACHPQTDGQSERTIQTLEDMLRACVIDFTGSWDRHLPLVEFAYNNSFQSSIGMAPYKALYGRKCRTPLCWDEVDERKLVNVELIDLTNDKIKVIR >EOY23393 pep chromosome:Theobroma_cacao_20110822:3:27245532:27295057:1 gene:TCM_015300 transcript:EOY23393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic pyruvate kinase beta subunit 1 isoform 2 MAQVVATRSIHGSFLSQGSGSVQERVEKLKPLSFASKVLARDENKSKRIVLRRNSRITAKRAARAEPEVIPVSPEDVPKREEQYEQLRGIQQGGDASVGMWSKPIVRRKTKIVCTIGPSTNTREMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQSKDNTIAIMLDTKGPEVRSGDLPQPITLTTGQEFTFTIRRGVGTADCVSVNYDDFVTDVEVGDMLLVDGGMMSLMVKSKTDDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAQVVHELKNYLQSCEADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRTCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATISGGEMPPNLGQAFKNHMSEMFAYHATMMSNTLGISTVVFTRTGFMAILLSHHRPSGTIFAFTNEKRIQQRLALYQGVCPIYMESSDDAEETFKNALALLQKQGMVKEGEEVALVQSGRQPIWRFQSTHNIQVRKA >EOY23394 pep chromosome:Theobroma_cacao_20110822:3:27245288:27294928:1 gene:TCM_015300 transcript:EOY23394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic pyruvate kinase beta subunit 1 isoform 2 MAQVVATRSIHGSFLSQGSGSVQERVEKLKPLSFASKVLARDENKSKRIVLRRNSRITAKRAARAEPEVIPVSPEDVPKREEQYEQLRGIQQGGDASVGMWSKPIVRRKTKIVCTIGPSTNTREMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQSKDNTIAIMLDTKGPEVRSGDLPQPITLTTGQEFTFTIRRGVGTADCVSVNYDDFVTDVEVGDMLLVDGGMMSLMVKSKTDDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAQVVHELKNYLQSCEADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRTCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATISGGEMPPNLGQAFKNHMSEMFAYHATMMSNTLGISTVVFTRTGFMAILLSHHRPSGTIFAFTNEKRIQQRLALYQGVCPIYMESSDDAEETFKNALACAEARNGKGGRRGGTCSEWQTAHLAIPVHSQYSGPQSIVAEERWKAHLPI >EOY23395 pep chromosome:Theobroma_cacao_20110822:3:27245503:27295128:1 gene:TCM_015300 transcript:EOY23395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic pyruvate kinase beta subunit 1 isoform 2 MAQVVATRSIHGSFLSQGSGSVQERVEKLKPLSFASKVLARDENKSKRIVLRRNSRITAKRAARAEPEVIPVSPEDVPKREEQYEQLRGIQQGGDASVGMWSKPIVRRKTKIVCTIGPSTNTREMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQSKDNTIAIMLDTKGPEVRSGDLPQPITLTTGQEFTFTIRRGVGTADCVSVNYDDFVTDVEVGDMLLVDGGMMSLMVKSKTDDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAQVVHELKNYLQSCEADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRTCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATISGGEMPPNLGQAFKEKDTTEIGFVPRSMSHIHGVFR >EOY20993 pep chromosome:Theobroma_cacao_20110822:3:2181721:2183944:1 gene:TCM_012311 transcript:EOY20993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein MCPSTYALLLAFLATLISVLYILRSTSQPKHSKNDRKLPPGPWALPIIGNLHMLGKLPHRTLHHLAQKYGSIMSIRLGYVPTIVVSSPQAAELFLKTHDTIFDSRPKVQGSEYLSYGTKGLAFAQYGSYWRTVRKWCTLQLLSASKVEFFAPKRRTELQSLVKLLKNAAAASEVVDLSAKVGELIEDIMYRMILGRCKDDKFDLKPLIHEGIRLLGTFNLADFVPFLAPLDLQGLRQRFESVRKACDKFLEEIIDEHEKLNKGQQKQHRDFVDFMLSYLNQPMNPNDEEQTYIIDRTNIKAIILDMIAAALETSAVVIEWALAEIIRHPRVKSRLQKELEAVVGMNRMVEEADLANLTYLDMVIKESLRLHPVAPLLIPHESMEDVTINGYHIPKKSRILINIWAIGRDPNVWSDNVEDFLPERFVGSNVDLRGHDFQLIPFGSGRRGCPGLQLGLTTVRLALAQLVHCFEWELPNGMLPNDLSMSEKFGLSAPRAEHLLARPVYRLPDKRL >EOY23875 pep chromosome:Theobroma_cacao_20110822:3:28829719:28831250:-1 gene:TCM_015626 transcript:EOY23875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branchless trichome MKGEDMEEAMMMISSPDNPCNVTIPQEHITTTTCPSWKLYENPFYYSHHHHHHHHHHQQQQLCQSSKHLRQVNLPLSARKIAASFWDLTFFKPVMESELDIARAQIIELKAEVEYERKARKKVESLNKRLAKELAEERRGREALERVCEELAREISMHKAEIDRMKKEVEEERKMLRMAEVLREERVQMKLAEAKILFEEKLLELEETKRAQPHTSISRIEQKNKEFKTPALSANLSGKFARLVFSEKSCDYSNIGVDSRESTRFALSEKSSSCYDNISSAVSSSMAIQRKASPEPENPHIKRGIKGFVEFPRVVRAIGSKSRHWGTKLECQKAQLRILLKQKSPIGSNSLIMS >EOY20725 pep chromosome:Theobroma_cacao_20110822:3:739113:747250:1 gene:TCM_012070 transcript:EOY20725 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate reductoisomerase isoform 2 MALNLISSPEIKSISFLDSTKSKSNFLPKLFPGGFVLKRKDCGTTFGRRVQCSAQAPQPPPAWPGRAFPEPGRKTWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVGLAAGSNVTLLTDQVKTFKPQIVAVRNESLVSELKEALADMEQKPEIIPGEQGVIEVARHPDAVSVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQCIQGLPESALRRIILTASGGAFRDLPVEKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDNIEIVIHPQSIIHSMVETQDSSVLAQLGWPDMRLPILYTMSWPERIYCSEISWPRLDLCKLGSLTFKDPDNVKYPSMSLSYAAGRAGGTMTGVLSAANEKAVELFINEKISYLDIFKLVELTCEKHRDEFRSKSCSCMIVGLLIVARITMTLFGRLGSFEFMPKAGVLAKIYGGI >EOY20724 pep chromosome:Theobroma_cacao_20110822:3:741808:746396:1 gene:TCM_012070 transcript:EOY20724 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate reductoisomerase isoform 2 MALNLISSPEIKSISFLDSTKSKSNFLPKLFPGGFVLKRKDCGTTFGRRVQCSAQAPQPPPAWPGRAFPEPGRKTWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVGLAAGSNVTLLTDQVKTFKPQIVAVRNESLVSELKEALADMEQKPEIIPGEQGVIEVARHPDAVSVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQCIQGLPESALRRIILTASGGAFRDLPVEKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDNIEIVIHPQSIIHSMVETQDSSVLAQLGWPDMRLPILYTMSWPERIYCSEISWPRLDLCKLGSLTFKDPDNVKYPSMSLSYAAGRAGGTMTGVLSAANEKAVELFINEKISYLDIFKLVELTCEKHRDELVATPSLEEIIHYDLWARDYAASLQRPSGLSPVPA >EOY22740 pep chromosome:Theobroma_cacao_20110822:3:24623348:24624621:1 gene:TCM_014817 transcript:EOY22740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHQILTQARFHTQSQLGLQKAYNSKYIMEKKMQLNFLHFTVYLIFLLSAFNITGARGDRKKLTASEMSTEPNAPSGDINGDSGSGHGPNWDYSWGWGSSPGGGWGYGSGSGRSPNGFGRGYGFGFGSGTGSGSGYGYGSGGDGAHGGGYGAGSGQGNSGGSGYGGGSGGSSGSGNSNTWPSSNRNHHG >EOY21411 pep chromosome:Theobroma_cacao_20110822:3:7478732:7482327:-1 gene:TCM_012921 transcript:EOY21411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like kinase 1 MQTQFFVIAILFFVLVQVTKPDLATDRAALLALRSSVGGRTLFWNISNQSPCLWAGVRCERNRVTVLRLPGVALSGQLPLGIFGNLTELRTLSLRLNSLTGQLPSDLSLCENLRNLYLQGNRFSGEIPEFLFGLHDLVRLNLGVNNFSGEISVGFNNLTRLRTLLLDSNSLSGSVPDLSSLQNLDQFNVSNNLLNGSIPKELQKYGSSAFLGNLLCGQPLDKACPATAAVGNASEPANPTDENQQEKKKKSKLSGGAIAGIVIGSVLGFLLIVMILMILCRKKSSKKTRSIDIASIKNQELEIPGEKSGGEMENGGYGNGFSVAAAAAAAMVGGGGVKGGETNGAGAKKLVFFGNAGRVFDLEDLLRASAEVLGKGTFGTAYKAVLEGGNAVAVKRLKDVTISEREFKDRIEGVGAMDHQNLVPLRAYYFSRDEKLLVYDYMPMGSLSALLHGNKGAGRTPLNWDIRSGIALGAARGIEYLHSQGPNVSHGNIKSSNILLTKSYDARVSDFGLAHLVGPSSTPNRVAGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKAPTHSVLNEEGIDLPRWVQSVVREEWTSEVFDLELLRYQNVEEEMVQLLQLAVDCAAQYPDRRPSMSQVTMRIEELRRSSLPEQLDAQPDKVSDAADSR >EOY23139 pep chromosome:Theobroma_cacao_20110822:3:26338789:26345443:1 gene:TCM_015125 transcript:EOY23139 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MKSLRYSTFAFLFAILFSFSWETSALSHERFLHCLSLHSNDPSSISKVIYSQNNHSYSSILESSIQNLRFSTIDTPKPLVIITPLHASHIQATIQCSRKHGLQIRSRSGGHDLEGLSYVSEVPFVLIDLVNLRSIDVNAENSVAWVEAGATLGELYFRIAEKSKTLAFPAGNCHTIGVGGHFSGGGYGGLLRKYGLAADNIIDAHLIDANGRILDRKSMGEDLFWAIRGGGGGSYGIVLDWKLKLVPVPATVTVFSVTKTLEQNATELIHRWQYVAHKLPNDIFLAVTIRRVNSGQEGKDTIQAVFAALFLGGVDNLVSLMEKRFPELGVVKQDCIEMSCIESFLYFGQFPLERLEILLDRTAVNKTLFKVKSDYVKEPIPKIVFEGMWQRFYEEEGKYGVIILIPYGGKMDEIPETETPFAHRAGNMYKIIYNVGRAEEENLEFQKYINWIRRFYRHMTPYVSKSPRETYVNYRDLDIGVNNKGNTSYAQASIWGFKYFKNNFKKLVHVKTLVDPENFFKHEQSIPPLLSW >EOY21316 pep chromosome:Theobroma_cacao_20110822:3:6115912:6121162:-1 gene:TCM_012794 transcript:EOY21316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein, putative isoform 1 MSALRSLLFGTSVFRAQKPLFLPFPSQLLSSKPFPTLALRFHRHTSSAAALDTTLNANSTELLDSASEPHPWPEWVTFVDRLKSKGYLVEATAATADAGTDYKDMNLLKDACLSFARDRYDLFKLLSTNEIETVVGSGCPNLFRKAVNSAKRLRAYVRLDEGDVCSTCNLRGSCDRAYVILKESEGASRTVDIVRILLSYALDPIVISGGEKPPGREHIDVSARNLLSDLTKLSETSPAPELPRPAAKASPRKEKTISGNDDEVFQNVEMKRGDWMCPKTSCSFNNRPVLLVDCRCNFLNFSKNLQCLKCKEDGPKNVGGDETEMKKGDWICPECNFMNFSRNIRCLKCKAEGPKKVVTDDIQMKKGDWNCPECGFMNFASNRKCLRCQEARPKRQLNPGEWECPSCDFLNYRRNKVCLKCNHDRPKEQTKEYNEHTWRRPLQYN >EOY21317 pep chromosome:Theobroma_cacao_20110822:3:6115832:6121159:-1 gene:TCM_012794 transcript:EOY21317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein, putative isoform 1 MSALRSLLFGTSVFRAQKPLFLPFPSQLLSSKPFPTLALRFHRHTSSAAALDTTLNANSTELLDSASEPHPWPEWVTFVDRLKSKGYLVEATAATADAGTDYKDMNLLKDACLSFARDRYDLFKLLSTNEIETVVGSGCPNLFRKAVNSAKRLRAYVRLDEGDVCSTCNLRGSCDRAYVILKESEGASRTVDIVRILLSYALDPIVISGGEKPPGREHIDVSARNLLSDLTKLSETSPAPELPRPAAKASPRKEKTISGNDDEVFQNVEMKRGDWMCPKCNFMNFSRNIRCLKCKAEGPKKVVTDDIQMKKGDWNCPECGFMNFASNRKCLRCQEARPKRQLNPGEWECPSCDFLNYRRNKVCLKCNHDRPKEQTKEYNEHTWRRPLQYN >EOY23457 pep chromosome:Theobroma_cacao_20110822:3:27474680:27479693:-1 gene:TCM_015346 transcript:EOY23457 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 30, putative MENMGEWEQRSLINELTQGRELARQLQVHLNVPSSHESRESLVQKIQASYEKALSMLNYNTSLAADQPQPSGLAIRMSESPPSRSGSPRSEDSDRDFKEQELKDASKKRKTLPRWTQLVRVTPGTALEGPLDDGFSWRKYGQKDILGAKYPRGYYRCTHRNVQGCLATKQVQRSDDDPTIFEITYRGRHTCTVASHVMPPSGPSESQEQGTCMEPQQPEQNQNQSQDLLLNFQRGLKVITEDLDIREQTYPSFPYPSSTTSNIKLENNVFSPSVIDNNVVGNLSPSFISPATSGTNYFSMSPSPSGMNNTVQGNISFPSTSEPQLSTEIIQAATSATNSPFPFGNPEFDPNFTFDNHGFFS >EOY21192 pep chromosome:Theobroma_cacao_20110822:3:3979947:3982409:-1 gene:TCM_012575 transcript:EOY21192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIERGKSSAVLRLVIVNGKVYMEKSYEPYQTRDLFTLWGILQLLRAEVNIMPWEKMSAIKNCSKRIKWEDRVTYAYWKGNPIVSLERKGLLKCNLLDKYDWNACFYFQREVKKREIVICIEE >EOY21124 pep chromosome:Theobroma_cacao_20110822:3:3219449:3222663:1 gene:TCM_012475 transcript:EOY21124 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5-like receptor kinase MFFTHGSLSRDRYDYYYYYYYYGRIPFALFIITGVLITIRTLLGVSCLIAAVLIKFRRRHLSMDDTIEEFLQMQNNLMPIRYSYSEVKKMTKCFKDKLGQGGYGSVFKGTLRSGRLVAIKLLNMSKSHGQDFINEVATIGRIHHVNVVQLIGFCVEGSKQALVYDFMPNGSLDKIIFSGERDTTLCWEKIFEIAIGVARGIEYLHQGCQMQILHFDIKPHNILLDENFTPKISDFGLAKLYAVDHSIVSLTAARGTLGYIAPELFYKNIGSISHKVDVYSFGMLLMEMVGKRRNLNAFAEQSSQIYFPSWIYDRFDKEEDIELGDVTENEKKTVRKMVITAFWCIQIKPTDRPSISKVLEMLEGEVEPLELPAKPFLLSLDSSSKDFASKNLEKEPTTSTLDATVKGMEVPQM >EOY22478 pep chromosome:Theobroma_cacao_20110822:3:23604061:23608753:1 gene:TCM_014636 transcript:EOY22478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAPHDLRRPFKRAAISDQQKRRELSLLRQEQNRRDAQQQARCLASSILSLQSPLPESEPEQSDIELETAPEIEKESGTLSKDLDVPRASKLRGPEVRKWFARQLMLPEWMIDVPDRLSQDWYVYARPAGKRCFVVSSNGTTVSRQRNGSILHHFPSALPAGAKTRDSSGSGQSYCILDCIFHELDQTYYVIDMVCWNGYSLYDCTSEFRFFWLNSKIEESAYTGVVPYVKDGLLFYNKHAHYQTGNTPLVLVWKDENCSQYVIDTDSKGEIPSQQQVVLELQDNGKLVTSDDPPVLFGYLDGDIIQKSGLHSGNLLRFAVSDGGLSFVDGKLDKADLNYLGKANRGRAFADSYSKVVFQFTVRHSPLKIDDLLASINSPDDQEKKPCDVEMVG >EOY22477 pep chromosome:Theobroma_cacao_20110822:3:23603866:23608773:1 gene:TCM_014636 transcript:EOY22477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAPHDLRRPFKRAAISDQQKRRELSLLRQEQNRRDAQQQARCLASSILSLQSPLPESEPEQSDIELETAPEIEKESGTLSKDLDVPRASKLRGPEVRKWFARQLMLPEWMIDVPDRLSQDWYVYARPAGKRCFVVSSNGTTVSRQRNGSILHHFPSALPAGAKTRDSSGSGQSYCILDCIFHELDQTYYVIDMVCWNGYSLYDCTSEFRFFWLNSKIEESGACNPSSYYHKFRFSTVPVYTCDQNGLYAAYTGVVPYVKDGLLFYNKHAHYQTGNTPLVLVWKDENCSQYVIDTDSKGEIPSQQQVVLELQDNGKLVTSDDPPVLFGYLDGDIIQKSGLHSGNLLRFAVSDGGLSFVDGKLDKADLNYLGKANRGRAFADSYSKVVFQFTVRHSPLKIDDLLASINSPDDQEKKPCDVEMVG >EOY21175 pep chromosome:Theobroma_cacao_20110822:3:3862459:3863855:1 gene:TCM_012559 transcript:EOY21175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMPILAISSFVGRVQSALSGVARRCGWQKIGAYINLGTFYLVGVLVSIILAFIFHLKTNINWAMVGDCSHPSSTNGIVSSHYSRDRLGERGKSLPILEGRGLLNFLFILHFSLNSSLWGNSTHFSFPI >EOY22568 pep chromosome:Theobroma_cacao_20110822:3:23941006:23943369:1 gene:TCM_014700 transcript:EOY22568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tapetum determinant 1 MNRRFLLVLSSFSFAVLFLFLVALFSGQGKNISSSFGLKLLRLRIKQWDNTLSTPHRKLLRGMAVEEPNRIWGEKCTKADIVINQGPTAPLPSGIPTYTVEIVNVCVTGCDISGIHLNCGWFSSARLINPKIFKRIRYNDCLVNDGKPLVNGGTLSFQYANTFLYPLSVSRVVCS >EOY23745 pep chromosome:Theobroma_cacao_20110822:3:28430339:28431976:-1 gene:TCM_015543 transcript:EOY23745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-type endopeptidase inhibitor MSFPIPFRKPALSLLLLLLVVPFSSSSTGGSQQQLSATRLLSEADLCASTPQPSSCPINCFRADPVCGDDGVTYWCGCADAHCAGAKVVKIGFCEVGNSGGNGSFPGQALLLVHILWLIVLGFSVLFGLI >EOY22529 pep chromosome:Theobroma_cacao_20110822:3:23791485:23813949:1 gene:TCM_014675 transcript:EOY22529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative MGEEEKKPAEEKQMEEKKPEDGKKGEEEKKEEAKPAEKPAEEKKGEESKDEKESKEKSPAPPQEIILKVYMHCEGCARKVRRCLRGFEGVDDVMTDCKSNKVVVKGEKADPLKVLERVQRKSHRQVELLSPIPKPPAPEEKKAEEKEKPKPEEKKEEVLPSFSQLFSFALPRKIVFFFVSLVVQPQVITVVLKVHMHCEACAQEIKKRIQRMKGVESAEPDLKSSEVTVKGVFDPPKLVEYVYKRTGKHAVIVKQEPENKKEEEKAKDANKEEKKGKEGGDKEKKEGGGEENKNKKEGGDSAEAKAGAAGAAAALAAEGGAEETMFVELRRNEYYSYPPRYATEFYAYPQIFSDENPNACSVM >EOY22192 pep chromosome:Theobroma_cacao_20110822:3:22201101:22221262:-1 gene:TCM_014408 transcript:EOY22192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASANLPSSPPPPPSPPSISASHSESSATIPTAVVPPQPQVDAAAENGTLNDDDQKPQIADHFAVLDDPEQIEKYKKYEADYTRRLMAKYFSKKNFYGGNIFDEKTTIDSETILSSRWPCTRSFADPVHAFEDQTNGGSNSDAETPTNISNGKFPLKKNG >EOY22191 pep chromosome:Theobroma_cacao_20110822:3:22201751:22221262:-1 gene:TCM_014408 transcript:EOY22191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASANLPSSPPPPPSPPSISASHSESSATIPTAVVPPQPQVDAAAENGTLNDDDQKPQIADHFAVLDDPEQIEKYKKYEADYTRRLMAKYFSKKNFYGGNIFDEKTTIDSETILSSRWPCTRSFADPVHAFEDQTNGGSNSDAETPTNISNGKFPLKKNG >EOY22566 pep chromosome:Theobroma_cacao_20110822:3:23928474:23938244:1 gene:TCM_014698 transcript:EOY22566 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORC family CW-type zinc finger protein 4, putative isoform 4 MDVRVKEEVMETLSAQSRKTNGVVREIPASVIELSSSSSESDSSDDSDDPDENDNANGAVAGEEALEGIALKKRKVNDVDFVLPLGFLAPLPPDDPAPVPLASDMAVVEVPETEGPPEPAASKSLSSSSSVLCKQFWKAGDYDGTPPADWDLSSGGMDHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVCSGATYVNIDMLKSKKDGNNMLLIEDNGGGMDPDKMRQCMSLGYSAKSKVANTIGQYGNGFKTSTMRLGADVIVFSRCCGKDGKHPTQSIGLLSYTFLTSTGKEDIVVPMLDYEWQQREWKKIIRSTVSDWDRNVETVVQWSPFSSATDLLRQFNLMKDHGTRIIIYNLWEDDQGLSELDFHADPHDIQLRGVNRDEKNIQMAKECPNSRHFLTYRHSLRSYASILYLRLHPNFRIILRGKDVEHHNIVNDMMLTEMVTYRPNPSAEGAPKDFWRVWNAAGSDGRGVIGVLEANFVEPAHDKQGFERTTVLARLEARLVQMQKTYWSTNCHKIGYAPRRNKKNIDQSLGRDSSPDHDSQRPTRSNKKSTTSSSKRLSSDSDKLCSPSNWNKRGKECQKFPETEDGGHVLRKGDKRKKTPIDNSTKDLTKSGKSLRSIEPSSPSTENVSDDVCEVLPERLANGSSQKFVTRTKSKVVLGVWS >EOY22565 pep chromosome:Theobroma_cacao_20110822:3:23928474:23938244:1 gene:TCM_014698 transcript:EOY22565 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORC family CW-type zinc finger protein 4, putative isoform 4 MDVRVKEEVMETLSAQSRKTNGVVREIPASVIELSSSSSESDSSDDSDDPDENDNANGAVAGEEALEGIALKKRKVNDVDFVLPLGFLAPLPPDDPAPVPLASDMAVVEVPETEGPPEPAASKSLSSSSSVLCKQFWKAGDYDGTPPADWDLSSGGMDHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVCSGATYVNIDMLKSKKDGNNMLLIEDNGGGMDPDKMRQCMSLGYSAKSKVANTIGQYGNGFKTSTMRLGADVIVFSRCCGKDGKHPTQSIGLLSYTFLTSTGKEDIVVPMLDYEWQQREWKKIIRSTVSDWDRNVETVVQWSPFSSATDLLRQFNLMKDHGTRIIIYNLWEDDQGLSELDFHADPHDIQLRGVNRDEKNIQMAKECPNSRHFLTYRHSLRSYASILYLRLHPNFRIILRGKDVEHHNIVNDMMLTEMVTYRPNPSAEGAPKDLNLAAVVTIGFVKDAKHHVDVQGFNVYHKNRLIKPFWRVWNAAGSDGRGVIGVLEANFVEPAHDKQGFERTTVLARLEARLVQMQKTYWSTNCHKIGYAPRRNKKNIDQSLGRDSSPDHDSQRPTRSNKKSTTSSSKRLSSDSDKLCSPSNWNKRGKECQKFPETEDGGHVLRKGDKRKKTPIDNSTKDLTKSGKSLRSIEPSSPSTENVSDDVCEVLPERLANGSSQKFVTRTKSKQECGLNDTELPHSETNLHALELLKQENCELKKRLEKYEGKRQCELLNDLQQERNCRESLEIELKGAQEKIEQLNFEQESLINIFSEERDRRDKEEENLRKKLKDASNTIQELVDKVKLLEMMKSSNF >EOY22563 pep chromosome:Theobroma_cacao_20110822:3:23928073:23938204:1 gene:TCM_014698 transcript:EOY22563 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORC family CW-type zinc finger protein 4, putative isoform 4 MDVRVKEEVMETLSAQSRKTNGVVREIPASVIELSSSSSESDSSDDSDDPDENDNANGAVAGEEALEGIALKKRKVNDVDFVLPLGFLAPLPPDDPAPVPLASDMAVVEVPETEGPPEPAASKSLSSSSSVLCKQFWKAGDYDGTPPADWDLSSGGMDHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVCSGATYVNIDMLKSKKDGNNMLLIEDNGGGMDPDKMRQCMSLGYSAKSKVANTIGQYGNGFKTSTMRLGADVIVFSRCCGKDGKHPTQSIGLLSYTFLTSTGKEDIVVPMLDYEWQQREWKKIIRSTVSDWDRNVETVVQWSPFSSATDLLRQFNLMKDHGTRIIIYNLWEDDQGLSELDFHADPHDIQLRGVNRDEKNIQMAKECPNSRHFLTYRHSLRSYASILYLRLHPNFRIILRGKDVEHHNIVNDMMLTEMVTYRPNPSAEGAPKDLNLAAVVTIGFVKDAKHHVDVQGFNVYHKNRLIKPFWRVWNAAGSDGRGVIGVLEANFVEPAHDKQGFERTTVLARLEARLVQMQKTYWSTNCHKIGYAPRRNKKNIDQSLGRDSSPDHDSQRPTRSNKKSTTSSSKRLSSDSDKLCSPSNWNKRGKECQKFPETEDGGHVLRKGDKRKKTPIDNSTKDLTKSGKSLRSIEPSSPSTENVSDDVCEVLPERLANGSSQKFVTRTKSKECGLNDTELPHSETNLHALELLKQENCELKKRLEKYEGKRQCELLNDLQQERNCRESLEIELKGAQEKIEQLNFEQESLINIFSEERDRRDKEEENLRKKLKDASNTIQELVDKVKLLEMMKSSNF >EOY22564 pep chromosome:Theobroma_cacao_20110822:3:23928474:23938244:1 gene:TCM_014698 transcript:EOY22564 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORC family CW-type zinc finger protein 4, putative isoform 4 MDVRVKEEVMETLSAQSRKTNGVVREIPASVIELSSSSSESDSSDDSDDPDENDNANGAVAGEEALEGIALKKRKVNDVDFVLPLGFLAPLPPDDPAPVPLASDMAVVEVPETEGPPEPAASKSLSSSSSVLCKQFWKAGDYDGTPPADWDLSSGGMDHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVCSGATYVNIDMLKSKKDGNNMLLIEDNGGGMDPDKMRQCMSLGYSAKSKVANTIGQYGNGFKTSTMRLGADVIVFSRCCGKDGKHPTQSIGLLSYTFLTSTGKEDIVVPMLDYEWQQREWKKIIRSTVSDWDRNVETVVQWSPFSSATDLLRQFNLMKDHGTRIIIYNLWEDDQGLSELDFHADPHDIQLRGVNRDEKNIQMAKECPNSRHFLTYRHSLRSYASILYLRLHPNFRIILRGKDVEHHNIVNDMMLTEMVTYRPNPSAEGAPKDLNLAAVVTIGFVKDAKHHVDVQGFNVYHKNRLIKPFWRVWNAAGSDGRGVIGVLEANFVEPAHDKQGFERTTVLARLEARLVQMQKTYWSTNCHKIGYAPRRNKKNIDQSLGRDSSPDHDSQRPTRSNKKSTTSSSKRLSSDSDKLCSPSNWNKRGKECQKFPETEDGGHVLRKGDKRKKTPIDNSTKDLTKSGKSLRSIEPSSPSTENVSDDVCEVLPERLANGSSQKFVTRTKSKVVLGVWS >EOY22129 pep chromosome:Theobroma_cacao_20110822:3:21584951:21592736:-1 gene:TCM_014330 transcript:EOY22129 gene_biotype:protein_coding transcript_biotype:protein_coding description:LIGULELESS1 protein, putative MESWSYVSGEKGSVSDAAISPSDSYARNRSALMNWELKGPYSFSNNMLVSGQQAIENHAFGELGYGEFIGKQLPNDSIGDVLSSKVSRGRTVNPIMATLNAFSGEDESTSKFSSSVVDSNSRESSLIDLKLGRFPDHRDGRNSSFSIGAPMLSSSESSTPPKRVRAAGVNSHTTYCQVYGCNKDLTSAKDYHKRHKVCEVHSKTAKVIVNGIEQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQIGIHSGRSGRLLQQYNGFAGGRFHGTMLTTASFICQDILPSGLLHPDKYVTNEWGKRIKVEDGTDYSPLPAIPIMDGHFHLKSPYHPSSIEKLFPSFHGNEDNAATGGILSVNNARYPHGFGGPNSVSRPLFQDTSLGSEDINVFDAASTIQGLSGISDSGCALSLLSSQSQNSSSHSSGIPMSRSLVIPGSHTHYNMSQVSGKLIGVSSQTSTTGVSNKFSSSGMNSTEGSHLGPLLISDGSEAANFDISGGIYQDSEFMNTKDRLSCEDGPTIDLLQLSSQLQRVEHQRQSMQVKQENEAFCCLRIT >EOY24091 pep chromosome:Theobroma_cacao_20110822:3:29606005:29609150:1 gene:TCM_015789 transcript:EOY24091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide (PPR) repeat-containing protein, putative MRSRSLLPLLPYYPLYVRSFSQTIFSNTHYSKLTFNDSQLFYFLSNHRFQEVRNLLDKMPQRSNHARVVHLTSLLSKFSRDGFINEAKALFDIMPERNIVSYNAMLSGFVQCGRISEARRFFGEMPERNVVSWTSMLCGLLESGRVCEGKKFFYDMPEKNVVSWNSLIGGLIRNGDLEEARLVFDAMPVRNIISWNTMIAGYAENCRMKEARILFEEMEDRNVVTWTSMIAGYCRAGEVNEGYCLFCRMPERNIVSWTAMIGGFTWNGFYGEALLLFLEMKGNYEIRPNSETFVSLAYSCAGMGFPFLGKQLHAQVIVDGWEYDDYDGRLSRGLIHMYSVFGIMNFAFYIFKKNLNNSAVQSCNSMINGYIHIGQLEEAQYVFDISPIRDKISWTSMIDGYLSIGQVSKACYLFNNMPERDAVAWTAMISGYVQNELFVESISLFLGMRAQGVFPLNATYSILFGAAGATANLDQGRQFHCMLIKTQYEFDLILENSLISMYAKCGVIDDAYNIFSTMVSRDLVSWNSMIMGFSHHGLANEALKIFEAILESGIHPNSVTFLGILSACCHVGLISKALELFNSMRHIYGIQPGLEHYVSVINLLGRAGRIREAEEFVLRLPSEPDRAIWGALLGVCGFSETGVEIAKHAAQKLLELDPLNAPAHVVLCNIYAARGLYLAEQKLRKEMGLRGVRKVPGCSWIQLNGRVYMFKSGDKLHPQADEVLSLLFGISDKS >EOY22415 pep chromosome:Theobroma_cacao_20110822:3:23349626:23354509:-1 gene:TCM_014587 transcript:EOY22415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear protein X1 isoform 2 MAPAIPIEYTGQKEYKKCSFSQLMGKSRKYSKGGNSSGFVPDYRHVVETMGGSEGFGSFGQVDTEMTASEDSGAPKRKCISLNADSYGNFGVPTQVLSLSKMSRPERKDLELRLKMELEQVRVLQKKVASLDMSVVGLSLSTNNKSCNDGKKRPPVESFRQSAGVLSLQGRKRPFGGRNGACIKKSMSKRFETVKPTVTVSNSNAHLMKQCETLLNRLMQHNFGWVFNNPVDVVKLNIPDYLTVIKQPMDLGTVKKRLASGQYLSPLDFAADVRLTFSNALTYNPPGNDVHYMAETLSKYFEVRWKAIEKKLPVTMDIDAVPSTATAPIKVEKNSGSLPFKKKTINPKDTMIKPDPVRQIMTDQERHNLSAELEALLGELPENIVDFLKEQSSSEGLMEDEIEIDIDALSGETLFKLRKLLDDYLLEKQKNQEKAEPCEMELHNESGFSNSSMQPCRGNDQVDEDVDVVGGNDHPTSSCPPVEIEKDLTRRNSRCSSSSSSSSESGSSSSESDSGSSSGSESDAVKASVPVSSVKENLDSGTNVDSKNGSIAVPKDGNREFPILDYASCMPPENLSWVNVL >EOY22416 pep chromosome:Theobroma_cacao_20110822:3:23350035:23354509:-1 gene:TCM_014587 transcript:EOY22416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear protein X1 isoform 2 MAPAIPIEYTGQKEYKKCSFSQLMGKSRKYSKGGNSSGFVPDYRHVVETMGGSEGFGSFGQVDTEMTASEDSGAPKRKCISLNADSYGNFGVPTQVLSLSKMSRPERKDLELRLKMELEQVRVLQKKVASLDMSVVGLSLSTNNKSCNDGKKRPPVESFRQSAGVLSLQGRKRPFGGRNGACIKKSMSKRFETVKPTVTVSNSNAHLMKQCETLLNRLMQHNFGWVFNNPVDVVKLNIPDYLTVIKQPMDLGTVKKRLASGQYLSPLDFAADVRLTFSNALTYNPPGNDVHYMAETLSKYFEVRWKAIEKKLPVTMDIDAVPSTATAPIKVEKNSGSLPFKKKTINPKDTMIKPDPVRQIMTDQERHNLSAELEALLGELPENIVDFLKEQSSSEGLMEDEIEIDIDALSGETLFKLRKLLDDYLLEKQKNQEKAEPCEMELHNESGFSNSSMQPCRGNDQVDEDVDVVGGNDHPTSSCPPVEIEKDLTRRNSRCSSSSSSSSESGSSSSESDSGSSSGSESDAVKASVPVSSVKENLDSGTNVDSKNGSIAVPKDGNQSLNELGQVELKFHDKPSAIEAEGHQDGKHN >EOY22411 pep chromosome:Theobroma_cacao_20110822:3:23348596:23354389:-1 gene:TCM_014587 transcript:EOY22411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear protein X1 isoform 2 MAPAIPIEYTGQKEYKKCSFSQLMGKSRKYSKGGNSSGFVPDYRHVVETMGGSEGFGSFGQVDTEMTASEDSGAPKRKCISLNADSYGNFGVPTQVLSLSKMSRPERKDLELRLKMELEQVRVLQKKVASLDMSVVGLSLSTNNKSCNDGKKRPPVESFRQSAGVLSLQGRKRPFGGRNGACIKKSMSKRFETVKPTVTVSNSNAHLMKQCETLLNRLMQHNFGWVFNNPVDVVKLNIPDYLTVIKQPMDLGTVKKRLASGQYLSPLDFAADVRLTFSNALTYNPPGNDVHYMAETLSKYFEVRWKAIEKKLPVTMDIDAVPSTATAPIKVEKNSGSLPFKKKTINPKDTMIKPDPVRQIMTDQERHNLSAELEALLGELPENIVDFLKEQSSSEGLMEDEIEIDIDALSGETLFKLRKLLDDYLLEKQKNQEKAEPCEMELHNESGFSNSSMQPCRGNDQVDEDVDVVGGNDHPTSSCPPVEIEKDLTRRNSRCSSSSSSSSESGSSSSESDSGSSSGSESDAVKASVPVSSVKENLDSGTNVDSKNGSIAVPKDGNQSLNELGQVELKFHDKPSAIEAEGHQDEESAPAERQVSPEKLYRAAVLRKRFADTILKAREKALEKGEKGDPEKLRMEREELERWQREEKARLQVEAKAAEEARIKAEAEAAAEAKRKRELEREAARQALLKMEKTVDINGNSQFMEDFEMLRTSNDEHLPNFMEETSPSHSQNGLGSFKLQGRSNPLEQLGLYMKADDEDEEDEPPQSAPEPVNDVEEGEID >EOY22412 pep chromosome:Theobroma_cacao_20110822:3:23349162:23353658:-1 gene:TCM_014587 transcript:EOY22412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear protein X1 isoform 2 MAPAIPIEYTGQKEYKKCSFSQLMGKSRKYSKGGNSSGFVPDYRHVVETMGGSEGFGSFGQVDTEMTASEDSGAPKRKCISLNADSYGNFGVPTQVLSLSKMSRPERKDLELRLKMELEQVRVLQKKVASLDMSVVGLSLSTNNKSCNDGKKRPPVESFRQSAGVLSLQGRKRPFGGRNGACIKKSMSKRFETVKPTVTVSNSNAHLMKQCETLLNRLMQHNFGWVFNNPVDVVKLNIPDYLTVIKQPMDLGTVKKRLASGQYLSPLDFAADVRLTFSNALTYNPPGNDVHYMAETLSKYFEVRWKAIEKKLPVTMDIDAVPSTATAPIKVEKNSGSLPFKKKTINPKDTMIKPDPVRQIMTDQERHNLSAELEALLGELPENIVDFLKEQSSSEGLMEDEIEIDIDALSGETLFKLRKLLDDYLLEKQKNQEKAEPCEMELHNESGFSNSSMQPCRGNDQVDEDVDVVGGNDHPTSSCPPVEIEKDLTRRNSRCSSSSSSSSESGSSSSESDSGSSSGSESDAVKASVPENLDSGTNVDSKNGSIAVPKDGNQSLNELGQVELKFHDKPSAIEAEGHQDEESAPAERQVSPEKLYRAAVLRKRFADTILKAREKALEKGEKGDPEKLRMEREELERWQREEKARLQVEAKAAEEARIKAEAEAAAEAKRKRELEREAARQALLKMEKTVDINGNSQFMEDFEMLRTSNDEHLPNFMEETSPSHSQNGLGSFKLQGRSNPLEQLGLYMKADDEDEEDEPPQSAPEPVNDVEEGEID >EOY22413 pep chromosome:Theobroma_cacao_20110822:3:23349519:23354509:-1 gene:TCM_014587 transcript:EOY22413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear protein X1 isoform 2 MAPAIPIEYTGQKEYKKCSFSQLMGKSRKYSKGGNSSGFVPDYRHVVETMGGSEGFGSFGQVDTEMTASEDSGAPKRKCISLNADSYGNFGVPTQVLSLSKMSRPERKDLELRLKMELEQVRVLQKKVASLDMSVVGLSLSTNNKSCNDGKKRPPVESFRQSAGVLSLQGRKRPFGGRNGACIKKSMSKRFETVKPTVTVSNSNAHLMKQCETLLNRLMQHNFGWVFNNPVDVVKLNIPDYLTVIKQPMDLGTVKKRLASGQYLSPLDFAADVRLTFSNALTYNPPGNDVHYMAETLSKYFEVRWKAIEKKLPVTMDIDAVPSTATAPIKVEKNSGSLPFKKKTINPKDTMIKPDPVRQIMTDQERHNLSAELEALLGELPENIVDFLKEQSSSEGLMEDEIEIDIDALSGETLFKLRKLLDDYLLEKQKNQEKAEPCEMELHNESGFSNSSMQPCRGNDQVDEDVDVVGGNDHPTSSCPPVEIEKDLTRRNSRCSSSSSSSSESGSSSSESDSGSSSGSESDAVKASVPVSSVKENLDSGTNVDSKNGSIAVPKDGNQSLNELGQVELKFHDKPSAIEAEGHQDEESAPAERQVSPEKLYRAAVLRKRFADTILKAREKALEKGEKGDPEKLRMEREELERWQREGLEFVSLLKCFPALMFL >EOY22414 pep chromosome:Theobroma_cacao_20110822:3:23347806:23354000:-1 gene:TCM_014587 transcript:EOY22414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear protein X1 isoform 2 MAPAIPIEYTGQKEYKKCSFSQLMGKSRKYSKGGNSSGFVPDYRHVVETMGGSEGFGSFGQVDTEMTASEDSGAPKRKCISLNADSYGNFGVPTQVLSLSKMSRPERKDLELRLKMELEQVRVLQKKVASLDMSVVGLSLSTNNKSCNDGKKRPPVESFRQSAGVLSLQGRKRPFGGRNGACIKKSMSKRFETVKPTVTVSNSNAHLMKQCETLLNRLMQHNFGWVFNNPVDVVKLNIPDYLTVIKQPMDLGTVKKRLASGQYLSPLDFAADVRLTFSNALTYNPPGNDVHYMAETLSKYFEVRWKAIEKKLPVTMDIDAVPSTATAPIKVEKNSGSLPFKKKTINPKDTMIKPDPVRQIMTDQERHNLSAELEALLGELPENIVDFLKEQSSSEGLMEDEIEIDIDALSGETLFKLRKLLDDYLLEKQKNQEKAEPCEMELHNESGFSNSSMQPCRGNDQVDEDVDVVGGNDHPTSSCPPVEIEKDLTRRNSRCSSSSSSSSESGSSSSESDSGSSSGSESDAVKASVPENLDSGTNVDSKNGSIAVPKDGNQESAPAERQVSPEKLYRAAVLRKRFADTILKAREKALEKGEKGDPEKLRMEREELERWQREEKARLQVEAKAAEEARIKAEAEAAAEAKRKRELEREAARQALLKMEKTVDINGNSQFMEDFEMLRTSNDEHLPNFMEETSPSHSQNGLGSFKLQGRSNPLEQLGLYMKADDEDEEDEPPQSAPEPVNDVEEGEID >EOY21709 pep chromosome:Theobroma_cacao_20110822:3:17573318:17576231:1 gene:TCM_013817 transcript:EOY21709 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MPDREPCNKKLEGKVAIITGGASGIGEATAHLFADHGARAIVIADIQDEKGEKLAASIGQNRCACTFVHCDVTKEQDVESLVQSTIQLYGRLDIMFSNAGVMSKSQQTILDLDLSSYEKLFAINVGGVAASIKHAGRAMVEGNTKGSIICTASVAARIGSDRHTDYVMSKNAVLALMRCASTQLGAHGIRVNCVSPGPVATPLLCEALRMGVEEVETSFARSYCLKNNGVLKVKHVADAVLFLACEESQFITGHNLAVDGGFRLS >EOY23335 pep chromosome:Theobroma_cacao_20110822:3:27047722:27054100:1 gene:TCM_015263 transcript:EOY23335 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primases isoform 2 MAKKGNASTMDDVDRLFECFKCGISPPQSAVRERKRRKSKLNQENSARKVSASPCTPSLGSAEQGKETPNNAQLSVGKRVSTMVKVGKFSRGKQISPVIFYGSPHGIPPKRPLSLLRLLHEIRIDLSEQEKSNLRTEVWATFPRQDEAVKFAKGHANARVFSYQDHYSGQRRYLASTYEEFWKRYKIMDSKLRHHYEVIQEGFPCHLYFDLEFNKRDNVGRDGDEMVDLLISVILEALLEKYSINGNQDWVVELDSSTEEKFSRHLIMRIPKTAFKDNSHVGAFVAEICSRIASARERDKRFETLYVKNASTSAESPGQLFVDTAVYSRNRCFRLALSSKAGKASFLLPTGRFKCKEMGEVDMFMASLICNMEVDCEKFLVCKMELDCVKTLHFETEVTSNFGRYCGAPQENTYISDVSTTYLTGKSPFPSLDEFIESIASTRNASGKIRSWYWFSEYGLIIYSMSRNRYCERIGREHKSNHVMYVVDMRRAAYYQKCYDPDCKGYRSPMRPIPMDCIPDSSFFFDSRQIVDDNGLTSNDLEYQFVNNNNGRVLLYSNESDIDDCTKDSWWLEAIKVADNIDGKPERLMFNDMENMSDEDDDWWVAVERTASQAELKHLS >EOY23337 pep chromosome:Theobroma_cacao_20110822:3:27047722:27054100:1 gene:TCM_015263 transcript:EOY23337 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primases isoform 2 MAKKGNASTMDDVDRLFECFKCGISPPQSAVRERKRRKSKLNQENSARKVSASPCTPSLGSAEQGKETPNNAQLSVGKRVSTMVKVGKFSRGKQISPVIFYGSPHGIPPKRPLSLLRLLHEIRIDLSEQEKSNLRTEVWATFPRQDEAVKFAKGHANARVFSYQDHYSGQRRYLASTYEEFWKRYKIMDSKLRHHYEVIQEGFPCHLYFDLEFNKRDNVGRDGDEMVDLLISVILEALLEKYSINGNQDWVVELDSSTEEKFSRHLIMRIPKTAFKDNSHVGAFVAEICSRIASARERDKRFETLYVKNASTSAESPGQLFVDTAVYSRNRCFRLALSSKAGKASFLLPTGRFKCKEMGEVDMFMASLICNMEVDCEKFLVCKMELDCVKTLHFETEVTSNFGRYCGAPQENTYISDVSTTYLTGKSPFPSLDEFIESIASTRNASGKIRSWYWFSEYGLIIYSMSRNRYCERIGREHKSNHVMYVVDMRRAAYYQKCYDPDCKDR >EOY23336 pep chromosome:Theobroma_cacao_20110822:3:27048302:27054802:1 gene:TCM_015263 transcript:EOY23336 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primases isoform 2 MVKVGKFSRGKQISPVIFYGSPHGIPPKRPLSLLRLLHEIRIDLSEQEKSNLRTEVWATFPRQDEAVKFAKGHANARVFSYQDHYSGQRRYLASTYEEFWKRYKIMDSKLRHHYEVIQEGFPCHLYFDLEFNKRDNVGRDGDEMVDLLISVILEALLEKYSINGNQDWVVELDSSTEEKFSRHLIMRIPKTAFKDNSHVGAFVAEICSRIASARERDKRFETLYVKNASTSAESPGQLFVDTAVYSRNRCFRLALSSKAGKASFLLPTGRFKCKEMGEVDMFMASLICNMEVDCEKFLVCKMELDCVKTLHFETEVTSNFGRYCGAPQENTYISDVSTTYLTGKSPFPSLDEFIESIASTRNASGKIRSWYWFSEYGLIIYSMSRNRYCERIGREHKSNHVMYVVDMRRAAYYQKCYDPDCKGYRSPMRPIPMDCIPDSSFFFDSRQIVDDNGLTSNDLEYQFVNNNNGRVLLYSNESDIDDCTKDSWWLEAIKVADNIDGKPERLMFNDMENMSDEDDDWWVAVERTASQAELKHLS >EOY22201 pep chromosome:Theobroma_cacao_20110822:3:22323970:22327782:-1 gene:TCM_014425 transcript:EOY22201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRCSQIQMKAAAWCLQTEDSRRPSMSMAVKFHHLRYLGRGDGQGNLHEDGIKLQSQFFFWIIEFLFQLPRESGILLQCLKVGETPKNQQLTSN >EOY22705 pep chromosome:Theobroma_cacao_20110822:3:24521993:24530927:1 gene:TCM_014797 transcript:EOY22705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MVSSFPFLSDERGMPPYYIVETLDTKMKVKAAVVTLGLGLILADLEGFCHGGLISGVGRYEVQTGRRDGLVSSTKNAVSPPPSASVSDTVLLDLGQFFRDNSVISQQTGIRASRSWVNLNLAMATSSTKYEIEKFNGRNDFSLWRVKMRALLVQQGLLKALKGKEHLPSNLSDGEKDDLMKKAHSVILLALSDEVLREVTDEESAAAVWFKLESIYMTKSLTNRLYMKQRLYTLKMSEGTSVNTHIDEFNRVILDLKNIDVKIEDEDLALILLCYLPPSYENFVDTMLYGRDTLTFEDVRASLNFKELKKKVGGIRNENQAEGLVVNRGRGKEKGLDRKGKSRAKGKTCWNCGQKGHFRQDCTKFKDDEKFNKSENTANVVGDDFDTFEETDNVLAITNYQEVGKQVELEINALVTVRDDSEIQKELQEVQELVSQRSITCIDGDFDSYVLFVEVEIEEPYFYHEKIIYVESSKKIESLHWDQTWELVKTPKVTIEVGLVCEGGANISRNVVGFSKSDFAGDLDSRRSQTGLDLGQFFRDNSAISQHIETTPYGYFILQAEGKDSRVFWNLKMDQSAMWKLLESDWYSPRRLHDSPTEYDFPGDRFLPNRSLMNLDKAHSLLTNRTKEFNTSKCDQVYRQKLIEHLSLHSEERPSRIMVFRGSPKSSRKSIRFVDEMRQEEAAMLDTDGKKTHYRCIPKGAKRILDAPGIRNDYYVNVMSWGKNNILAVALGPELYLWNSKDQSVHKLLHVRGNDCPTSVNWSEDAKTLAVGYMCSNLQLWDAESFKLIRSLQGHSGRIASTAWNGHILTSGSRDKSIINHDVRAVNNLASCIRKHTDEVCGLKWSTEGNMLATGGNENLLYIWEASKMSSSKYLHRLSDHCAAVKALAWCPYQHNVLASGGGLSDGCIKIWNTQKGTCINSVETKAQICGLEWNRHHKEILSGHGYSTSENQNKLCLWRYPSMTKVGELGNHSSRIINLCQSPDGVTVVSAEADETLRFWDVFGPPTAGSLSFTDLQGLLSLRTTLIR >EOY21387 pep chromosome:Theobroma_cacao_20110822:3:7382938:7386289:1 gene:TCM_012911 transcript:EOY21387 gene_biotype:protein_coding transcript_biotype:protein_coding description:STR MGKPGRNAVNRSLESLLDMDKSVAAAGKNNRVPPVPVRKTIPGHGLEFSNLSYSVMKKQKKDGVWIKKEAYLLNDISGQAMRGEIMAIMGPSGAGKSTFLDALAGRIAQGSLEGSVRIDGKPVTASYMKMISSYVLQDDQLFPMLTVHETFMFAAEVRLPPSISRAEKKKRVTELLDQLGLESAAHTYIGDEGRRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAYSVVEKVKEIARGGSIVLMTIHQPSYRIQLLLDRITVLARGRLVYMGSLASLPAHLSGFGRPVPDGENSLEYLLDVIKEYDESTVGLDPIVLYQRDGIKPDQAARTPVPKTPRTPYMKTPGSRHAISLHSHAFSIGHGTSRSESGQFDYADDDEEDEDEQDYSLERKAAQRSQTPMSMQSGVYNPRLASHFYKDFSVWVYRGVTGTPRRAPSWTPARTPGQTPAKTPSSGARSYVSGRYPTPQQPPSRHKAPVVLSPSLDLYALSFEEFDMEEEVLDEPEHGPKFANPWLREIAVLSWRTALNVVRTPELFLSREIVLTVMAIILSSLFRNLSHYDFQTVNRLLNFYIFAICLVFFSSNDAVPTFIQERFIFIRETSHNAYRASSYVISSLIVYLPFFAIQAFTFAAITKYWLDLKSSLLNFWLILYASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKGSKIPIGWRWLHYISAIKYPFEALLVNEFKDKDICYSGNPSDLSPGPLGELKLSDLHESNLGLKNCIMIGEDVLSSMGIKLENLWYDILILLAWGVLYRLFFYLVLRFYSKNERK >EOY22495 pep chromosome:Theobroma_cacao_20110822:3:23690927:23695205:-1 gene:TCM_014653 transcript:EOY22495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator protein MEQIREKLKAFVNNRWLVFVCAMWVQSCAGVGYLFGSISPVIKSAMGYNQRQIAILGVAKDLGDSIGFVAGSCCEILPMWVILLIGVVQNFVGYGLVWLVIANILPDLPLWVLCVAIFVGTNGETYYNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQVYTMINFPNEAALIFMVAVGPSIVVIALMFIVRPVGGHKQVRPSDGSSFLFTYSVCLILAAYLLGVLVLEDIVGINQTLVTLLGVLLIILILLPVIIPILLVFASEPSPPVEENLLPKPEKQESGRFEQNENEVILSEVEDEKPPEVDSLPLLERQKRIAHLQAKLFQAAAEGAVRVKRKRGPRRGEDFTLLQALIKADFWLMFFSLVLAAGSGLTVIDNLGQICQSLGYSDTSIFVSMVSIFNFLGRVGGGYFSETIIRKYAFPRPVAMAVVQVIMAFGLLYYALGWPGQIYVTTVLTGLGYGAHWAIVPASASELFGLKSFGALYNFLALASPAGSLIFSGAIASGIYDYYAEKQASLRQPVSGSLLTIPLRDDDSLTCEGSICYSLTCALMSGVCMAAMVLSLIVVYRTRSVYAQLYGKSCN >EOY22769 pep chromosome:Theobroma_cacao_20110822:3:24727718:24728911:1 gene:TCM_014841 transcript:EOY22769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative MLKGVNPNFINFAEQQLISGLNLTQRAQYNNAIDEAIYGSDEFRMYAYKIKRCTRMRSHDWTECPYAHRGEKAQRRDPRKVPYTAIACPAFRNGRCQKGDACEFAHGVFEYWLHPARYRTRACNAGTFCQRKVCFFAHTPDQLRAESKCKCPFAYKGRMNGGDELLMGIGSGGGEGSTSIQAHDFSVPFASTVNRDCCSFEGFSDFLRRLRAFKIREEEERAMRNGGGFEVSDSDLPHLDWISELVK >EOY20744 pep chromosome:Theobroma_cacao_20110822:3:898485:902973:-1 gene:TCM_012090 transcript:EOY20744 gene_biotype:protein_coding transcript_biotype:protein_coding description:SBP family protein MFGGHNNNPVLPVFLEENRFQYENNALPRLQLFGDCPFNNMGNENTTSVNRPIKRGREAEPTLRQQSHHISVNNNLCLDEAGQPRSVLNPNPVSTGLRLSYEEDERNSSVTSVSGNVTTSLPVMLSLGDNLKAEIDRQKEEFDYYVRLQEDHILKGVRELKQRQTISFLNAIEKGVGRKLQEKELEIENMNRKNKELVEKIKQVSMEVQSWHYRAKYNESIVNALKSNLKQVMEQGAVHSKEGCGESEVDDAASYTNQNHLDVLDGSGNSFSLKKQINCRACKIMEVSVLLLPCRHLCLCKDCEGFIDVCPVCQVMKTAKLPRASLPWIQNS >EOY21426 pep chromosome:Theobroma_cacao_20110822:3:7828999:7834736:-1 gene:TCM_012953 transcript:EOY21426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRRKIKACLLPYENLLILSFPLSIRRLDDLQIQFNSKHDDYTVRLRYRILCCTLWGLNEASSSIHAVLT >EOY24059 pep chromosome:Theobroma_cacao_20110822:3:29491948:29494778:-1 gene:TCM_015761 transcript:EOY24059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate N-benzoyltransferase protein, putative MIEIKSEIVSRQTIKPSTPTPSDLKTFKLSLLDQLSPAVHGNMTFFYPSSNTMDSIGDDFSEKSQLLQESISKTLSLFYPLGGRLQDAATIDCNDEGAFFAEAKVNIQLSEFLNQPEFNLMDHFLPTTDTKTMELSNGAMFIVQLTSFTCGGVAISLSLTHKLADVSALLTLLQSWTAVCRGLSDPVTPDLIGEKFLAPRDELSAMSASLNIAAEKFVLRRFVFSASKIAELKAKVDQEFQNEMQSHPSRVEVVLALLWKCAVACKKQKTGSFGPTALFQAVNLRKRMSPPLPETAIGNFIWPFMVLAHEEKDLKLPELVIQMRKSFIEFNNTKANMFRGEAAPLAIMGALKERGEFFRNNREMTVYKCSSWCKFPLYDTDFGWGKPLWHVSINKLVSNTIALADTRSRDGIEALLTLDEEEMALFEQNEELLKYATPNPSIYA >EOY21743 pep chromosome:Theobroma_cacao_20110822:3:17876777:17889892:1 gene:TCM_013851 transcript:EOY21743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLPQGFDIKEQCPHGYQLVCKLQKSVYDLKQASRHWNTKFTETLLQFGIVQSKSNYSSFTIRTNHGFVFFPFFFTSCFGQPYGGIAYIFIYESIKVKVSHLELFNILSEGAKIANLKLGVYLHSLRWINLVRSPPHLGYH >EOY22755 pep chromosome:Theobroma_cacao_20110822:3:24689241:24689898:1 gene:TCM_014832 transcript:EOY22755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVAHVSDFSIAKRLGERIAATKTRTMATVGYMAPEYESTGTVSEKTDVYSFGILLMETFTRKKPTDEMFNGEMNLRGWICSSLPHALDRIVDATLLRSDNEHSASDKTRCILSIMEVASCCTAESSDERMTMTNVETKLIRIKKQFLRS >EOY25114 pep chromosome:Theobroma_cacao_20110822:3:33297486:33307412:1 gene:TCM_016527 transcript:EOY25114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalase 1 MDNSGNNSPSLISREKLEDVASWVSATVVSAFFSSLERCSCVNLATTDPDDDDNPEEAKDRPLTYSSRSGLLLWRHFHRLYSGFAVAATPYAVLSDYIIRSWFLALTQLTIGLSSGLPVSAPLSCSKDSGPVVPTIPLLGFLQRVQETALKTYGKSSFDPKDYVDLSLKFNLSTTVEAFDKLPKTANGSVSVKYLDAFIGEYFKGAGEDLVYADPLDFVPEPHGFLPKVENPEVRAWALEVHALWKNLSRKVSNSVHQHPELHTMLPLPGPVVIPGSRFREVYYWDSYWVIRGLMASKMYATAKAIVTNLISLLDTYGHVLSGARAYYTNRSQPPLLSAMVYEIYNRTGDVELVRRSLPPLLKEYQFWNSEIHKVIIHDAEQGNHSLNQYYATWNKPRPESSTMDKKIASKLLNDPEKQQFYRELASTTESGWDFSTRWMRNPSEFTTLATTKILPVDLNIFILRMELDIAFFAKVVGENTVAEDFLRASQTRQKAFNSVFWNEKMGQWLDYWLNNNAACEESQTWEAQNQNQNVFASNFVPLWIDLFNSDTPLVKKVTRSLQSSGLLRAAGIATSLTNSGNQSLMLRRENKTCAHRDFPNGWAPLQHMIVEGLSRSGSTEARSIAKDIAERWIRTNYVAYKKTGAMHEKYDVEKCGEYRGGGEYIPQTGFGWSNGVVLAFLEEFGWPEDQKMDCN >EOY24056 pep chromosome:Theobroma_cacao_20110822:3:29465920:29473518:-1 gene:TCM_015758 transcript:EOY24056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 88D6, putative MPSLILGGKPLCFISSSGHKRFRRLTSGHINGHDTLSKYIETIEEVVFTTLEEWTRTDQPIEFYRGMNLFSKIEEPDLKPIAWSFQPFEEGSMNCPGADLAKLEISIFLYYFPLNYNVQYLVNGELLVLTRKVLPKWLELNDEKLGKKQNPLPPGDMGWPFIGNMWSFLRAFKSQDPDSFINNLIKRHGRTSIYKTHLFGSPSVIVCSPELCRKVLTDDEHFLFGYPSSATQLGGKKSLYGISNSEHRRLRRLTTDPINGHEALALYIGHIEDIVITSLEDLGGMNRPIKFFNEMKRIGFKVIAQIFLGSTQESVLSSMVKYYTELFPGVLSIPINLPGFAFHKALKARKKLVKTIQAELYVRRAQKTMVPNRKKGMMDLLMEVKNENGEKLEDEHIIDLLLLILFAGHETTAHTAMWAIIHLHDHPEMLQKAKEEQEAIIKRRSSSQKGLTLTEIKQMEYLPKVIDESLRRSNFAFSIFRKVEADVNINGYTIPNGWKVLVWHRAIHMDPNIYSNPKEFLPSRWENHRFKARSFIPFGAGSRTCPGADLGKLEISIFLHYFLLNYKLEEVNPRGPINYLPLPRPADNCLARIIKLP >EOY24120 pep chromosome:Theobroma_cacao_20110822:3:29688796:29698100:-1 gene:TCM_015805 transcript:EOY24120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease 2 MAQSVELPGRLAILPFRNKVLLPGAFIRIRCTSHSSVKLVEQELWQREEKGLIGILPVRDAADMTSMDSVLSQGVGSESGERSSKVKASTSDAHKVDGKNHPEVIHWHNRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNVEELSTRGPYCTAKISSLEMTKAEMEQVEQDPDFVMLSRQFKATAMELISVLEQKQKTGGRIKVLLETLPLHKLADIFVASFEMSFEEQLSMLDSVDPKIRLSKANELVDRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDLAALERKMQSAGLPSNIWKHAQRELRRLKKMQPQQPGYNSSRVYLELLADLPWEKASEEQELDLKAAKDRLDSDHYGLVKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFVRISLGGVRDEADIRGHRRTYIGSMPGRLIDGLKRVGVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKSFNDHYLNVPFDLSKVIFVATANRVQPIPPPLLDRMEVIELPGYTAEEKLRIAIQHLIPRVLDQHGLSSEFLQIPEAMVKLVIQRYTREAGVRNLERNLAALARAAAVRVAEQEQAVSVSKDVHKLTSPLLDNRLAEGAEMEMEVIPMVVNNHEISNAYRIASPLVVDEAMLEKILGPPRFDDREAADRVATPGVSVGLVWTTFGGEVQFVEATAMIGNGELHLTGQLGDVIKESAQIALTWVRARAADLKFAAAEETNLLRGRDIHIHFPAGAVPKDGPSAGVTLVTALVSLFSKKSVRADTAMTGEMTLRGLVLPVGGVKDKILAAHRYGIKRVILPERNLKDLVEVPAAVLSSLEILLAKRMEDVLEFAFDGGSPWRQNSKL >EOY23898 pep chromosome:Theobroma_cacao_20110822:3:28953346:28954258:-1 gene:TCM_015652 transcript:EOY23898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT4G24130) TAIR;Acc:AT4G24130] MASNKSREDDERAGAEIVYGAEECYRHSIELLEELGFPKGVLPLQDLEECGRVRETGFVWMKQKAPYEHFFAGTNTRVSYATEVTSYVEKFKMKKMTGVKSKQVFLWVPITEMSIEDPASNKIYFKTPMGIGKSFPITAFMTDEEKHKYLEEKAKK >EOY25176 pep chromosome:Theobroma_cacao_20110822:3:33482348:33484556:1 gene:TCM_016576 transcript:EOY25176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLWEITLGTAYFLGLKRTYRLALRIQRRIISPEHPRIRQFVQRNLRLLAALQKEIRRVRVCCLRQVSSYALMLPLGPVTWGLFALPTESRMDMGIRIQGMFATFLMGEMHLRTRAAFDVALKVHQNIQQRDLEVGRNLGNWILRWLDKMKPSAQIRVPPQQKPHHHAGNAKMNISKQVINSHPLKTHGSIQTPRNHEADRHLFSTSTYMWSKSLPTIAMMMRLPTAAGNMIQYRHLSINGPDTLRLNYTRGEGVIRKDIMQWMLHK >EOY21581 pep chromosome:Theobroma_cacao_20110822:3:13037705:13046063:-1 gene:TCM_013436 transcript:EOY21581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVSCLSLSTNSLSEKIAFKKYRRGIKRGCIKRPQILPTALRDGFGLRVFVLSDLHTDYPENMAWVRSFPTKRHNKDVLLVAGDVAEMYDNFVLTMSLLRDRFEYVFYVPGNHDLWCRWERDDLDSLQKLNKLLDACRQLGVETNPVVIDGLGIVPLFSWYHESFDREEDITGIRIPSLDMACKDFRACKWPGNLSNRDSSLALYFDAMNENNQDTVKQIQSTCSQIITFSHFVPRQELCPEKRMLFYPNLPKIIGSDWLEDRIRSIHGIEGSSFACHVFGHTHFCWDAIVDGIRYVQAPLAYPRERRRRMNGGETWLPFCIYSDGDFSGRISPCYWSDYYAANPRTPHNTQLAPWVARFYNLV >EOY21582 pep chromosome:Theobroma_cacao_20110822:3:13037663:13045817:-1 gene:TCM_013436 transcript:EOY21582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVSCLSLSTNSLSEKIAFKKYRRGIKRGCIKRPQILPTALRDGFGLRVFVLSDLHTDYPENMAWVRSFPTKRHNKDVLLVAGDVAEMYDNFVLTMSLLRDRFEYVFYVPGNHDLWCRWERDDLDSLQKLNKLLDACRQLGVETNPVVIDGLGIVPLFSWYHESFDREEDITGIRIPSLDMACKDFRACKWPGNLSNRDSSLALYFDAMNENNQDTVKQIQSTCSQIITFSHFVPRYVQAPLAYPRERRRRISPCYWSDYYAANPRTPHNTQLAPWVARFYNLV >EOY21145 pep chromosome:Theobroma_cacao_20110822:3:3445419:3446068:1 gene:TCM_012510 transcript:EOY21145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLSSFFRPLIVFILIISVLAMQMTPISCADLKLRRLGSKPMPNPPPAPARNIPPL >EOY22366 pep chromosome:Theobroma_cacao_20110822:3:23169382:23174291:-1 gene:TCM_014557 transcript:EOY22366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASAQQSEGDCLSKDHFSSLPDRVHIDFKQNDFTDLLDIWDRWRVTTRASFDKKYGHIARLLKVQIDEQLLKAIVQFWDSSYRRKLAKMMGITPTEVDQNLRKKGDNECIPWSFLRSYIMKHQDTEQGQLVMALGIYGLVIFPKVLGHIEVEIIDFFEQVINKANPSPSILAETLRSLNYCRRKGKDELFQKLMSVEVTWRAPWMPHHPILYKCKNEPWVPLMGPWGAISYASIMVRRQFGLEQFVPMTHRLNTLEFAYGESGFLKRIEEIARAWKKIS >EOY23203 pep chromosome:Theobroma_cacao_20110822:3:26600558:26610074:-1 gene:TCM_015177 transcript:EOY23203 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein MESLTLTELLKQVAGEFPHRLAVSVPGKFDITHYKLHEVIELAASRLLANGIGAGDVVALTFPNTIEFVIMFLAVIRARATAAPLNPAYTTEEFEFYLSDSESKLLLTSIEGNSSAQAAASKLNIPHATATLHDADSELALSLSQQDSDLNSVSKLTNEPSDVALFLHTSGTTSRPKGVPLTQFNLASSVKNVKSVYKLTESDATVLVLPLFHVHGLIAGLLSSLGAGAAVTLPSAGRFSASTFWQDMNKYKATWYTAVPTIHQIILDRHLGTPEPVYPKLRFIRSCSASLAPAILARLEEAFGAPVLEAYAMTEATHLMASNPLPENGPHKAGSVGRPVGQEMVILDENGVPQVANVNGEVCIRGPNVTKGYRNNPEANKSAFRFGWFHTGDLGYFDRDGYLHLVGRIKELINRGGEKISPIEVDAVLLSHPDVAQEVAFGVPDDKYGEEVNCAIVPREGSNVDEAEVQRFCKKSLAAFKVPKRVFFTDALPKTASGKIQRRIVAEHFLAQISTAKVPKFGA >EOY22047 pep chromosome:Theobroma_cacao_20110822:3:21035215:21052248:-1 gene:TCM_014239 transcript:EOY22047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLISEIIDYFKVGTRCDMPKNHALFNPIEEYIIKKLGFKFRNNSWVRKGVVDNPVFDKEGNEGKQSAYHSGLSTAQPSAPISTTFDVEKAFTRLFSFMETMDSRLTACMDLFEVQNQEMLLCQKYLEDQFCSQFSPPS >EOY20651 pep chromosome:Theobroma_cacao_20110822:3:436945:438955:-1 gene:TCM_012009 transcript:EOY20651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLLNLALNHLPSPCPPPPQIVEHRRPFNCYCLSYSTSNISQATILKGYSSKITLLSFYCLFGFVQCTMVTLIPERNNLDAWKLSLEIEFISIICFEGRAFVSVSRVACQSQEEHQSKTSIESSCCNWKYGIEWRIGMVHTYIIGAVIIFIGFYGAIWARSKEEKEVTSIDKPQVSSSETPLLNAHAHP >EOY25257 pep chromosome:Theobroma_cacao_20110822:3:33721385:33727546:1 gene:TCM_016630 transcript:EOY25257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein MAESAVHFVLKKLAPFFEKGMQLLVGGREGVAYVRGELERMRAFLRVADMLEETDEEVKVWVRQIRDVAHDIEDILDEYMLLLTHNHGEGLYGFLHKMSCCVRNMKAQYRIASEIQGINSRIRNICEGHRRLRLKFCADERSSANGVDNTWQDRRGDALLLDKADVVGIDEPKMKLVGWLVDGGSDYKVISLSGMGGLGKTTLAKQVYDDPEVKKHFKVHAWITVSQSFKLEGLLKDMVQQLSRVITKPVPEGVDSMSSYQLKTIIKNLLRKRRYLIILDDIWRINEWDAIKFALPANDCGSRVMLTTRNADLAFSSRIESEGEVYNLEPLPPEVSWTLFCKKTFRGNYCPPYLEEICKQILKKCEGLPLAIVAISGVLATKSKRRIDEWEMVGRSLGAEIDGNDKLMNLKKVLSLSFNDLPYYLKSCFLYLSIFPEDRPIELMRLIRLWTAEGFVEVKQGKTQEEVAEDFFNELLNRSLIQVAGTTSDGRVKSCRIHDLLREIIILKSREQNFAAITKEQNAMWPDKVRRLSIHNTLQNVLQNRFVSQLRSLFMFGVEENPSLHGLIPGGFRLLAVLDLQATSIMKFPVEVVNLYYLKYLNLRETKVTVVPRFIGKLQNLETLDLKHAYVTELPVEILQLQRLRHLLVYRYEFESYDHFHSKYGFKALERIGDLQSLQKLCYIEVDQGSVILAELGKLTQLRRLGITKLRKEDGKKLCSSIQNLSSLRALSIISIEEDEIIDVQHLISPPPLLQRLYLRGRLETLPHWIPYLHSLVKVYLKWSRLAEDPLASLQNLPNLVHLELVQVYDGETLCFKAGGFKMLKHLGLDKFDELKWVQVQVGAMPCVQKLSIQRCKSMEKVPLGIEYLTKLNVLEFFDMPHELIRTLRLDEHGEDYWRVAHIPEVYSTYWRDGAWEVYSLESFSEGESSPRPNTVICSQDLHARWK >EOY21647 pep chromosome:Theobroma_cacao_20110822:3:15283925:15284271:1 gene:TCM_013642 transcript:EOY21647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASKRSRVESGKGVATEEEDILDNVATYLVKLMDQIENMEKDIRGLMDKLLVRTEVLETEILGNNKILAKIYEIADEIRKK >EOY23683 pep chromosome:Theobroma_cacao_20110822:3:28226202:28229159:1 gene:TCM_015497 transcript:EOY23683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 7, putative isoform 1 MNMAGYSVSDKATNESSSIEAVDLGVKLQSSKLLLPGKMMMVHHDNHHRPFPPYAICYGDVDADGPTGNNNKPKTSNIYDVFQSSDSGAAAVAVAAIASGGAVGVRTLQRFDIAPTTPFAAHTAFKSPGGMAASLEFPFTNAQWKELERQAMIFKYMKASVPVPPDLLIPITGSASVPAASNSALGGGALNLRFSSRGDLEPGRCRRTDGKKWRCSRDVAPDQKYCERHMHRGRPRSRKPVELPNKKTRYTHTQALPSTASTILTKNASPSQFLGTLAQPFRQNQTTFFLDKPSEKAATFWPVASVSSYKEPRNSDWIVSEELIPLASSDQRWHYLMQTVPTSARSFSNADNSSVLNQNYNKEPLNLNSYANFNATEDQQSNRCPLFLNSEIVPLEKSPEIAARGFIDAWSTGVSENRNANSGTETSVSFNGKFSLSSLSLSMGVTDIRDDEMAPIHMGLGVTESDQNHEYASKSHLSSWLGPASWAASTPGGPLAEVLRPSKIASAVATTEGSSNSSSPVTGNGNSCSPPVTAVSSPSGVLQRTLASFSDSSGSSSPTLASSGAKPEIGSMWLKGN >EOY23684 pep chromosome:Theobroma_cacao_20110822:3:28226008:28228846:1 gene:TCM_015497 transcript:EOY23684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 7, putative isoform 1 MNMAGYSVSDKATNESSSIEAVDLGVKLQSSKLLLPGKMMMVHHDNHHRPFPPYAICYGDVDADGPTGNNNKPKTSNIYDVFQSSDSGAAAVAVAAIASGGAVGVRTLQRFDIAPTTPFAAHTAFKSPGGMAASLEFPFTNAQWKELERQAMIFKYMKASVPVPPDLLIPITGSASVPELPNKKTRYTHTQALPSTASTILTKNASPSQFLGTLAQPFRQNQTTFFLDKPSEKAATFWPVASVSSYKEPRNSDWIVSEELIPLASSDQRWHYLMQTVPTSARSFSNADNSSVLNQNYNKEPLNLNSYANFNATEDQQSNRCPLFLNSEIVPLEKSPEIAARGFIDAWSTGVSENRNANSGTETSVSFNGKFSLSSLSLSMGVTDIRDDEMAPIHMGLGVTESDQNHEYASKSHLSSWLGPASWAASTPGGPLAEVLRPSKIASAVATTEGSSNSSSPVTGNGNSCSPPVTAVSSPSGVLQRTLASFSDSSGSSSPTLASSGAKPEIGSMWLKGN >EOY25022 pep chromosome:Theobroma_cacao_20110822:3:32988416:32990533:-1 gene:TCM_016464 transcript:EOY25022 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MAPFKLFFSLIFFFFLQPSRSDDERCQTGCGPVPIRSPFQIITILPENRCGYPGLTVQCKNETQKILTFPFSGEFRVKSIDYLSQLVLISDPSDCTAERLLQGFNYSHTPFQPLPSRNFKFFNCTPDSPIFRTGATPISCLSGESYSVVALPTDISYVSNMSGCMERATFLYPSREPDASSDSLGDFITLTWKEPDCQLCESMGGICQFTNNVGLDVGCFKPLYPGFPESAKYAALFVVASGVNIFGLIIYVRRKIKHHGEVPNADMSNPDTPQRTVVAKGLDMPTIEMYPTTLLDESLQLPKSTDNVCPMCLLEYQAKETLRTIPSCLHYFHANCIDEWLQRNATCPLCRS >EOY21754 pep chromosome:Theobroma_cacao_20110822:3:17944872:17949398:1 gene:TCM_013860 transcript:EOY21754 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 72, putative MEEDLIKRSDHGGAVKEEKRADSSGDEEAATGSREEVVAKVGNKRVYREDDDRKPSSPAQKDLSSSKQVSVKADTQRFSTEPDSMASSSSRKEQDSQLESAKAEMGEVREENQRLKMYLNRIMKDYQSLQMQFYDIVRQDAKRSTATTNNDHQEVEEPELVSLTLGRFSSDSKTDDKNKTSSQGKEDERGKQGLSLGLDYKFEASKSDVDEPNPSPTNSSQEPKEEETWPPSKVLKTMRSGDDEILQQNPVKKARVCVRARCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPSCPVRKQVQRCAEDMSILITTYEGTHNHPLPMSATAMASTTSAAVSMLLSGSSSSSQPGSSIPSTANTIPANLQGLNFYLSDNSNSKFYLPNSSLSASSSHPTITLDLTSTLSSSSFPFNKFSSAYSTTARYPSTSLSFGSSESNTVSWGNGLLSYGSTQPYMKNQIGALNIGRPKTMENIIYQSFMQKNNLNPPHQPLPDTIAAATKAITADPNFQSALAAALTSIIGTGNNGGATPPTGDKLGQELNWGEKTPPVTSSCSQTVKGNGCATSFLNKSPSTTSQPGTLMFLPPSLPFSTPKSASASHGDTRNHSN >EOY22771 pep chromosome:Theobroma_cacao_20110822:3:24735634:24740343:-1 gene:TCM_014843 transcript:EOY22771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein, putative MFHGSSTTDPLSLYILSPCCMIMVGSLRVVASVFFVLLILASTVQNFCAANTNVTCHEIERQALLEFKQDLSDPSGRLASWGNNLNCCDWTGVVCDNSNGHVLELRLRNPLDPYKGFYIPSEAYAKVWFGGKVNPSLLDLKHLRYLDLSGSNFGGIIPKFLSSMQSLRYLNLSAAGFGGLIPPQLGNLTNLRFLDLHDLSSLLYVENLQWLSNLVKLQHLDLSRVDLSRASDWFQVTNALPSLVELHLSGCQLDHLPPQTNFNFSSLFILDLSSNSFSNPLIPSWIFRLKSLVSLDLSHNNFEGPLPDGLRNFSSLRYLNLYWNKFNSSIPTWLYGFRSLEFLNLGSNNLHGPISNDFGNLTSVATLYLSDNELEGVVPRSMGSLCSLKKIDLSGLKLSHDLSEVLEALSSGCLSDRLESLYLDRCELSGHLTDQLLEFKILADLSLSRNSISGPIPVSLGFLASLRTLDLSRNRVNGTFPESIGQLWKMEKLWLSRNLLEGAVTEIHFANLTRLRLFHASGNPLVLKVSPQWVPPFHLGLMGFSSWYLGPKFPSWLRYQKDFVYLDISVTGIIDTIPNWFWNLSTMFFSLNLSHNQIYGKVPEFIASSPLLGVPVYIDLNSNYFDGPLPCLSSKVNTLDLSNNSFSGPVSPLLCCKMDEPKWLEILHMADNHLSGKIPDCWMNWPNLVSIDLKNNNLSGNIPSSIGSLSLLQSLHLGKNNLSGVLPSSLQNCTKLLAIDLGENNFVGNIPAWMGERLSDIIIVSLRSNSFEGQIPDKLCALSYLAILDLAHNNLSGSIPKCFKNFSAMAATQNSSDPISYAFGHFGTSLETMLLMIKGILLEYGSILQLVTSIDLSDNNLSGEIPAEIANLLGLRFMNLSNNHLTGMIPKDIGNMRLLESIDLSWNQISGEIPPGMSALTFLSYLNLSHNNLTGKIPSSTQLQSFNISSYEGNNLCGPPLLDICSPDATTPADKTRGRKADGFEVEWFWFYVSMPLGFVVGFWIVMGPLLFNKSWRFAYFRILDRMEHKIRAVATNGR >EOY20960 pep chromosome:Theobroma_cacao_20110822:3:1935020:1936303:-1 gene:TCM_012276 transcript:EOY20960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGAEFSAGSENAEFAMSIQAKATYPYKGASVMKTLIGNTDTPSKVCPFPRVNVAVKRRSSVPVRTVVIHGLAPCVSICSSHHSQQKQTTNTPRLIAEREAMAMASLK >EOY25098 pep chromosome:Theobroma_cacao_20110822:3:33241797:33247981:-1 gene:TCM_016517 transcript:EOY25098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase family protein isoform 2 MRLLKVATCNLNQWAMDFDCNLKHIKESISRAKEAGAVIRLGPELEITGYGCEDHFLELDTVNHAWECLKEILLGDWTDGILCSIGMPIIKGSERYNCQVFCFNRKIVMIRPKMWLANDGNYRELRWFTTWKQEDQLVEFQIPPEISEALSQKSVPFGYGYIQFLDTAVAAEICEELFTPIPPHAELALNGVEVFLNASGSHHQLRKLDLRLRAFIGATHTRGGVYMYSNHQGCDGGRLYYDGCCCVVVNGEVVAQGSQFSLKDIEVVVAQVDLDAVASLRGSISSFQEQASCKNRVSSVTVPYNICRPFDLKMLLSSPQKIMYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIANGDEQVKADAIRIGNYTDGQFPTDSKEFAKRIFYTVYMGSENSSEATKMRAKVLADEIGSWHLDVCIDGVVSSLLSLFQTLTGKRPHYKVDGGSNVENLGLQNIQARIRMVLAFMLASLLPWVHNKKGFYLVLGSSNVDEALRGYLTKYDCSAADINPIGSISKQDLRIFLRWAANHLGYSSLAEIEAAPPTAELEPIRSNYSQLDEVDMGMTYEELSVYGRLRKIFRCGPVSMFKNLCYKWGASLTPSEVADKVKHFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNARWPYQFRKIDELVQELDGDKVAFKESGEHGKLGDTSGVGGMGVVAAASGDPKAGL >EOY25101 pep chromosome:Theobroma_cacao_20110822:3:33243531:33247746:-1 gene:TCM_016517 transcript:EOY25101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase family protein isoform 2 MRLLKVATCNLNQWAMDFDCNLKHIKESISRAKEAGAVIRLGPELEITGYGCEDHFLELDTVNHAWECLKEILLGDWTDGILCSIGMPIIKGSERYNCQVFCFNRKIVMIRPKMWLANDGNYRELRWFTTWKQEDQLVEFQIPPEISEALSQKSVPFGYGYIQFLDTAVAAEICEELFTPIPPHAELALNGVEVFLNASGSHHQLRKLDLRLRAFIGATHTRGGVYMYSNHQGCDGGRLYYDGCCCVVVNGEVVAQGSQFSLKDIEVVVAQVDLDAVASLRGSISSFQEQASCKNRVSSVTVPYNICRPFDLKMLLSSPQKIMYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIANGDEQVKADAIRIGNYTDGQFPTDSKEFAKRIFYTVYMGSENSSEATKMRAKVLADEIGSWHLDVCIDGVVSSLLSLFQTLTGKRPHYKVDGGSNVENLGLQNIQARIRMVLAFMLASLLPWVHNKKGFYLVLGSSNVDEALRGYLTKYDCSAADIN >EOY25100 pep chromosome:Theobroma_cacao_20110822:3:33242175:33247735:-1 gene:TCM_016517 transcript:EOY25100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase family protein isoform 2 MRLLKVATCNLNQWAMDFDCNLKHIKESISRAKEAGAVIRLGPELEITGYGCEDHFLELDTVNHAWECLKEILLGDWTDGILCSIGMPIIKGSERYNCQVFCFNRKIVMIRPKMWLANDGNYRELRWFTTWKQEDQLVEFQIPPEISEALSQKSVPFGYGYIQFLDTAVAAEICEELFTPIPPHAELALNGVEVFLNASGSHHQLRKLDLRLRAFIGATHTRGGVYMYSNHQGCDGGRLYYDGCCCVVVNGEVVAQGSQFSLKDIEVVVAQVDLDAVASLRGSISSFQEQASCKNRVSSVTVPYNICRPFDLKMLLSSPQKIMYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIANGDEQVKADAIRIGNYTDGQFPTDSKEFAKRIFYTVYMGSENSSEATKMRAKVLADEIGSWHLDVCIDGVVSSLLSLFQTLTGKRPHYKYDCSAADINPIGSISKQDLRIFLRWAANHLGYSSLAEIEAAPPTAELEPIRSNYSQLDEVDMGMTYEELSVYGRLRKIFRCGPVSMFKNLCYKWGASLTPSEVADKVKHFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNARWPYQFRKIDELVQELDGDKVAFKESGEHGKLGDTSGVGGMGVVAAASGDPKAGL >EOY25099 pep chromosome:Theobroma_cacao_20110822:3:33242175:33247855:-1 gene:TCM_016517 transcript:EOY25099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase family protein isoform 2 MRLLKVATCNLNQWAMDFDCNLKHIKESISRAKEAGAVIRLGPELEITGYGCEDHFLELDTVNHAWECLKEILLGDWTDGILCSIGMPIIKGSERYNCQVFCFNRKIVMIRPKMWLANDGNYRELRWFTTWKQEDQLVEFQIPPEISEALSQKSVPFGYGYIQFLDTAVAAEICEELFTPIPPHAELALNGVEVFLNASGSHHQLRKLDLRLRAFIGATHTRGGVYMYSNHQGCDGGRLYYDGCCCVVVNGEVVAQGSQFSLKDIEVVVAQVDLDAVASLRGSISSFQEQASCKNRVSSVTVPYNICRPFDLKMLLSSPQKIMYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIANGDEQVKADAIRIGNYTDGQFPTDSKEFAKRIFYTVYMGSENSSEATKMRAKVLADEIGSWHLDVCIDGVVSSLLSLFQTLTGKRPHYKYDCSAADINPIGSISKQDLRIFLRWAANHLGYSSLAEIEAAPPTAELEPIRSNYSQLDEVDMGMTYEELSVYGRLRKIFRCGPVSMFKNLCYKWGASLTPSEVADKVKHFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNARWPYQFRKIDELVQELDGDKVAFKESGEHGKLGDTSGVGGMGVVAAASGDPKAGL >EOY25045 pep chromosome:Theobroma_cacao_20110822:3:33041742:33045813:1 gene:TCM_016477 transcript:EOY25045 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-glucose/UDP-D-galactose 4-epimerase 5 isoform 2 MDLRDRPALEKLFAKTKFDAVIHFAGLKAVGESVQKPLLYYDNNLIGTITLLELMAAHGCKNLVFSSSATVYGWPKEVPCTEEFPLAAVNPYGRTKLFIEEMCRDIRSSDSEWKIILLRYFNPVGAHPSGHIGEDPRGIPNNLMPFVQQVAVGRRPALTVFGNDYSTKDGTGVRDYIHVVDLADGHIAALRKLSDPNIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVMAGRRPGDAEIVYASTEKAERQNTVLRRCAGISGTGPAKTHMDMNLLSQLTECQDFVTPRFLSKTLRLDFLNFNFTDL >EOY25044 pep chromosome:Theobroma_cacao_20110822:3:33040234:33046007:1 gene:TCM_016477 transcript:EOY25044 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-glucose/UDP-D-galactose 4-epimerase 5 isoform 2 MSKNILVTGGAGYIGSHTVLQLLLGGYRVVVVDNLDNSSEVAIKRVEELAGEFGKNLSFLQMDLRDRPALEKLFAKTKFDAVIHFAGLKAVGESVQKPLLYYDNNLIGTITLLELMAAHGCKNLVFSSSATVYGWPKEVPCTEEFPLAAVNPYGRTKLFIEEMCRDIRSSDSEWKIILLRYFNPVGAHPSGHIGEDPRGIPNNLMPFVQQVAVGRRPALTVFGNDYSTKDGTGVRDYIHVVDLADGHIAALRKLSDPNIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVMAGRRPGDAEIVYASTEKAERELNWTAKYGIEEMCRDQWNWASKNPYGYESSESTN >EOY21168 pep chromosome:Theobroma_cacao_20110822:3:3754677:3756357:-1 gene:TCM_012548 transcript:EOY21168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial isoform 1 ILNPTGQRSPHKTLRKKLIGEKVADWYPYDIKNDDPLVMARQEQERLSKLEMLKRRGKGPPKKGQGRRAVKRNK >EOY21169 pep chromosome:Theobroma_cacao_20110822:3:3754822:3756929:-1 gene:TCM_012548 transcript:EOY21169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial isoform 1 MSAGGLKSMLASAVVAGVTEARARIFGHILNPTGQRSPHKTLRKKLIGEKVADWYPYDIKNDDPLVMARQEQERLSKLEMLKRRGKGPPKKGQGRRAVKRNK >EOY21167 pep chromosome:Theobroma_cacao_20110822:3:3754475:3756939:-1 gene:TCM_012548 transcript:EOY21167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial isoform 1 MSAGGLKSMLASAVVAGVTEARARIFGHILNPTGQRSPHKTLRKKLIGEKVADWYPYDIKNDDPLVMARQEQERLSKLEMLKRRGKGPPKKGQGRRAVKRNK >EOY22934 pep chromosome:Theobroma_cacao_20110822:3:25393017:25394920:-1 gene:TCM_014963 transcript:EOY22934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQLGKGVKLSLPDPTKLVQSGAPNDVVRLRGDGLPFLIFLLLAFQLSFFSLCRRYLLFFFKDAVFLFSSQIITHRRLTLQLLLLSPAANLQAVAPPTGGKPAQISLLLPAAKLQAVAPPTGGKTVQISLLLPAANTPPFFLYFFFCPALLAGSRTTLPPSLFFCSLVALHCLEKLFLCCRFSPSHLYPISGLWRGCSTTALSLGTQGQVPLTCQTRIFRVWQVREVPGRVRPHPASRTSLPFFPSFFVHCIFSLVSTGTNTWDRFELRIHKRLIDLYSNYSGARCGSWKSLLQIFDYCTCQDFQISEFLYIFELNNAVFYGGK >EOY24042 pep chromosome:Theobroma_cacao_20110822:3:29419036:29422101:1 gene:TCM_015747 transcript:EOY24042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter 12 MATKVHVGMETEMNGNGVPEKVFNNWKKRIHDFSGKMKRFPGWLCRTIWKVGRDDPRRMIHAFKVGLSLTLVSLLYLMEPLFKGIGQNAIWAVMTVVVVLEFTAGATLCKGLNRGLGTVLAGSLAFFIEFIATKSGKVFRAVFIGAAVFLIGTAATYMRFFPYIKKNYDYGVVIFLLTFNLITVSSYRVENVLKLAHDRFYTIAIGCAICLFMSLLVFPIWSGEDLHNSTVAKLEGLAKSIEACVNEYFDDSDTKEKQERSSEDPIYKGYKAVLDSKSTDETLALYASWEPRHSRHCYRFPWQQYVKLGAVLRQFGYTVVALHGCLQTEIQTPRSVRALFKDPSIRLAGEVSKALMELANSIRNRRHCSPEILSDHLHEALQDLNTAIKSQPRLFLGSNSNQATNMLALAAAHAARQKPERDLGVSLSSVKTDSSALMEWKTKRVSEQTKENERKVLRPQLSKIAITSLEFSEALPFAAFASLLVEIVARLDNVIEEVEELGRIACYKEFNPDNEIIVTCEKPPVDVTKSQLPSHAASD >EOY20594 pep chromosome:Theobroma_cacao_20110822:3:260105:261816:-1 gene:TCM_011972 transcript:EOY20594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAELLESFASWSNIIGDILRCIVDKIHSIQDRARMGVVCRSWHALLKDEKIDFPICLMLAAKENSDRRCFNIDAKKIILELDLPEIRERRCWGTPFGWLVTLGLDGEIRLFNPLSRASLSLPSMHTFNHIACYTPEYSRLYLIDKVLLSSSPTSPDAIIMIIWGFGMYADKTLAFAKPDDQEWTQIFCDYALADVICFNGNFFAACVEGRLFICEDLNRPSPKIVEFAPPPTIHRGHKKYIVDLSGDLCMITRDVHRYELSYDNGRVEDANLTEGFEVFKLDLHTKNWEKILSLNGHSVFLGNCCTFSVLPTDHPSCKSNCIYFTDDDNRFYPEASASDIGIYNCNNKAVEYIEDDDDEVPDLRSPFSPPLWIKLCLH >EOY21875 pep chromosome:Theobroma_cacao_20110822:3:19318021:19323129:1 gene:TCM_014026 transcript:EOY21875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRAGSINHGKRIVERNTADQLRDTVQSTKDNAGQANGGIESRAMIQEDNGLFGRASEGEEVVRESLTMDVLTGSILDPNKHTVATMEVKKVEEGLHTFKLMTRNARTGGVAIHEKDFKSTDKKILTHLQGMSIKKRVRAKPIIATMHSNAMSSLLEDSGQNLAFEDIEVEAIQQSVATKDLKKPSTILGDDLKENLWPIQGPKFTWRRGTMFERIDKAVCNMQWRLAFSDAVVHHLPRVGSDHRPLLISTRDARVENRTQSFRFQAVWLIHEGFNEFVKQAWDNSSNIHVAMWISFKVYIHSKEGNVLPPYPIRNKFSILPLKAHEFIRRPVDMEEVRETLFEMKPLKAPEASEDQMEVIKEVWTTSVPVQEQRYKIHVKFKSTGRELHIEGQLSIIKSMVVNTHSTWTFVPLINGGMKRQEEILVGWTPPPKEWITVNSDGAYNSVARIASAGRVLRDTHGTWIVGYACKLSQVQPIVQSCEGFTKASSWLGSEASAKLNYKLITRQWFR >EOY22553 pep chromosome:Theobroma_cacao_20110822:3:23881263:23884077:-1 gene:TCM_014692 transcript:EOY22553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 family protein MGPKRGGKVAAPAKKKQEKVANPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRKKRILKQRLKVPPALNQFTKTLDKNLATSLFKLLLKYRPEDKAAKKERLLKKAQAEAEGKAPESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGSIVHKKTAAVLCLTTVKNEDKLEFSKILEAIKANFNDKYDEYRKKWGGGIMGSKSQARTKAKEKLLAKEAAQRMT >EOY24564 pep chromosome:Theobroma_cacao_20110822:3:31459085:31462596:1 gene:TCM_016135 transcript:EOY24564 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein, putative MGYHQKPQYLSKTVEQDLLLPRVLVLRSPPVPSFFFSKSHLQAHFHLVDQLDSPEPTHSFLSRHAASVLALLCVASTRVSSETLSLLPSLKLVVASSAGLDHIDLGECRRRGITVTSAGQVFTEDVADFAVGLLIDVLRRVSASDGCVRARLWAEKRPFPLGVKLGGNRVGIVGLGRIGSEVAKRLIDFGCSIAYCSRKEKPSVPYPYYSTVSELATNSDVLILCCSLTKETHHIINKGVMEALGKEGVIINIGRGALIDEKELVKFLEQGQIRGAGLDVYENEPHVSQELFAMENVVLAPHAAVYTLESFAALQELVVGNLKAFFSNKPLLTPAQLE >EOY24161 pep chromosome:Theobroma_cacao_20110822:3:29919281:29922785:1 gene:TCM_015838 transcript:EOY24161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerases isoform 1 MGRRPNDPENSRFASLVLLLVALFSCVFVYAVVSILLNPNVNSQNSSFQYLEAMASGDLGERKGECCRGIENLELWGPAVKWGSEFKFNSSVECCQACKTMCSGNDGPCLCDTWVFCGNKEACGSRFGECWLKKQKDTLAPDRQEAGETVSWTSGLIFGKGEGIVEMETKYGTLHIKLFPDCAPYSVAYILELLTLRHCAGCQIDRAESRGKYWDSEGNHIKDAPFGPPFALIQGTLEAQGTPFKKIPTEARPTIRRGSVAWIGSGPEFFISLANHEEWKNSYTVFGSVLPEDMEIAEKITQLPTKSDVWSNINVSVLQKPVPLVMRRMKRSLGDLNTNVKSD >EOY24162 pep chromosome:Theobroma_cacao_20110822:3:29919253:29922532:1 gene:TCM_015838 transcript:EOY24162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerases isoform 1 MGRRPNDPENSRFASLVLLLVALFSCVFVYAVVSILLNPNVNSQNSSFQYLEAMASGDLGERKGECCRGIENLELWGPAVKWGSEFKFNSSVECCQACKTMCSGNDGPCLCDTWVFCGNKEACGSRFGECWLKKQKDTLAPDRQEAGETVSWTSGLIFGKGELFPDCAPYSVAYILELLTLRHCAGCQIDRAESRGKYWDSEGNHIKDAPFGPPFALIQGTLEAQGTPFKKIPTEARPTIRRGSVAWIGSGPEFFISLANHEEWKNSYTVFGSVLPEDMEIAEKITQLPTKSDVWSNINVSVLQKPVPLVMRRMKRSLGDLNTNVKSD >EOY20762 pep chromosome:Theobroma_cacao_20110822:3:965722:966725:-1 gene:TCM_012101 transcript:EOY20762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLKLMIFMCKALPFSPFFFSFLAEAGQVVQPFSATNGACKWTLRHLVSALALHSYTFKTPGNKINSQKGDGREMQQALAEWNRQGTVPKVFVAGKHIGGSDAVVAKYEAGELLHLLVAAGSMPNIQL >EOY23870 pep chromosome:Theobroma_cacao_20110822:3:28819916:28823234:1 gene:TCM_015624 transcript:EOY23870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iq-domain 1 MGKKTATSWLSIVKKALSSPAKDNEKKSSRRRDECGQEEEEKKREKRRWLFRRPSNINHVQQCEAKTTTEATRSTTVPVNPALDIADQRHAIAVAAAAEAAVATAQAAVEIVRLSSKPSNYTNVTEHYAAIVIQTAFRRYLARRALRALKGLVKLQALVRGHNVRRQAKLALKCMQSLVQVQDRVLDQQRARLSHEGYRNSMFAETNALWESRYLQDIRSRKSMSRDMSCTTDEWDDRPQTSEEIEAILQNKKQAALKREKALAYAFSNQVWRSGRNPSAGDEKELEERTKWLDRWMATKRWESNSRVSTDIRDSIKTVEIDTYKPQSYSSPTIRKSQYQNHKQFPTSHSVASPLDREHHNFSLNQFPATPSPCKTKPLQVRSASPRCLKEEKCYYSAANTPSLASTYCTHNGISRYGNAAATVPNYMATTESAKAKARSQSTPKQRPSTPERERGGSLAKKRLSYPAPESHVGIGRSSFSQNLRSPSFKSAFEGHYGMEKESFFSSYHTESFGGEISPCSTTELS >EOY23756 pep chromosome:Theobroma_cacao_20110822:3:28485543:28489336:1 gene:TCM_015554 transcript:EOY23756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13 isoform 1 MEMDRESGKLEQIVYQFRSKILHVILDSRVPSLHHRHQRQLQQQESLSLSRVRKTDKWFNLVLGDRPAVLENLNFSLRNLLDPMIIDVILVHNGSCSSSVDNLYTPSAAASGPSTETVIERWVVQYDCPRVIATPSGADTSVSYKKTYKKSIVLLRSLYAQMRLLPAYRIFRQLSSLSQTYNFDLIYKVSSFSHPFSREEEDVMKEYSFVPVEALPGHLCVSVTYRPTLSEFNLEPLVSLPPKIITDYVGSPTTDPMRSFPSSEKGVRATSFPLRGVRPPSSAPFQRPHSWSSGFHRGFPFTQNQSLGGSPPAYRTSPVPYDIASPPSDMYGNRIQNHRLSTLQQVTAYDEYQLSPPFSPSASPSPPTYLSSANPLLTHHRSETAPVTIPLPLTGRSSRYLSPNSSDPSRHSLPPLSPRSSKHDASSQESPSGIRSYRKIEALRAGESPSGLANHYSVLKVVKDSKDDSGRFSGLLSSSGSPRIGFSRSSSRLSFQDDTDDCEFSCPFDVDDVDASDSLASQNIEGKKASEFTAQSAGKKSQDAAVGILVHMLRTALPLRQDSSCYSAYSLKTECEGGFGTASEFYMPRKTADALEELKSYREMKDLLLSKSGARVLRKEEM >EOY23755 pep chromosome:Theobroma_cacao_20110822:3:28485829:28489252:1 gene:TCM_015554 transcript:EOY23755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13 isoform 1 MEMDRESGKLEQIVYQFRSKILHVILDSRVPSLHHRHQRQLQQQESLSLSRVRKTDKWFNLVLGDRPAVLENLNFSLRNLLDPMIIDVILVHNGSCSSSVDNLYTPSAAASGPSTETVIERWVVQYDCPRVIATPSGADTSVSYKKTYKKSIVLLRSLYAQMRLLPAYRIFRQLSSLSQTYNFDLIYKVSSFSHPFSREEEDVMKEYSFVPVEALPGHLCVSVTYRPTLSEFNLEPLVSLPPKIITDYVGSPTTDPMRSFPSSEKGVRATSFPLRGVRPPSSAPFQRPHSWSSGFHRGFPFTQNQSLGGSPPAYRTSPVPYDIASPPSDMYGNRIQNHRLSTLQQVTAYDEYQLSPPFSPSASPSPPTYLSSANPLLTHHRSETAPVTIPLPLTGRSSRYLSPNSSDPSRHSLPPLSPRSSKHDASSQESPSGIRSYRKIEALRAGESPSGLANHYSVLKVVKDSKDDSGRFSGLLSSSGSPRIGFSRSSSRLSFQDDTDDCEFSCPFDVDDVDASDSLASQNIEGKKASEFTAQSAGKKSQDAAVGILVHMLRTALPLRQDSSCYSAYSLKTECEGGFGTASEFYMPRKTADALEELKSYREMKDLLLSKSGARVLRKEEM >EOY24882 pep chromosome:Theobroma_cacao_20110822:3:32562714:32564757:-1 gene:TCM_016364 transcript:EOY24882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVQLQWGTDIDRSLLSTFTKFMVRGIVYGQLDWIKLGKVSSSGRQSPSQFRPAGLRKSRFYSWVADAAEPSCKHTQPNGGCGVPVKSISFVSLTCLSSFIGRKGAAAVSCVRSCHVQLQLWISGSSALNSDA >EOY22403 pep chromosome:Theobroma_cacao_20110822:3:23310986:23311834:-1 gene:TCM_014581 transcript:EOY22403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSKVEEAMKRQQQSQQHSHKGRDHCHQQQLQKQIQCNKGKTPKFKRSSSNLEEDGASSAILLLACIACTPSY >EOY24595 pep chromosome:Theobroma_cacao_20110822:3:31560274:31561169:-1 gene:TCM_016156 transcript:EOY24595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLSSDLSHHHNFIKKITSYEKVVNSPNSMMVLDELFPISIEMVKFYQPQSALSQ >EOY22768 pep chromosome:Theobroma_cacao_20110822:3:24723836:24724385:1 gene:TCM_014840 transcript:EOY22768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNYDSMESVLTSKALRMLYYCRTKLMSETKLFKVHFDELVYSDEQAIDGKSNNRQCRCLQFCNVKYNEMLVGKNIHGSKVITLHINIKGMVDSFT >EOY24779 pep chromosome:Theobroma_cacao_20110822:3:32185622:32186802:-1 gene:TCM_016278 transcript:EOY24779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSISSLCWAHLLGEEIESNILAFRVFSGLHQDPGLILIPFLVLFFLSFSIITNGSEGGKSFEDLDLDSQLEVLNKPLVKSIRLKGVILSTVLISIDNQPLIILCLRTIKFIIFPLVNDNNVVDETSSSLEGLMSANSPSKSLEIPMLKNGGCPSGTVPIRRANKEELIRTKLFKKRHMKDIHPTASNSPGFHNAIRILPNDQSR >EOY21531 pep chromosome:Theobroma_cacao_20110822:3:10689812:10730273:-1 gene:TCM_013246 transcript:EOY21531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVLKQQEENRSCTKLKQLVEKQIINQSKVPTSALSEAISTDGIKALQVKITGGISATVPTFHHPCKHTNSHKRTEIKSTS >EOY21492 pep chromosome:Theobroma_cacao_20110822:3:9418997:9420249:-1 gene:TCM_013114 transcript:EOY21492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPIVREFYANANEHHNGSGFAHGKFVPFTVDDINDYFEIPNDVIDEYFTLKPDYKEIINYLCKGNREWKIFKGLPVSIKSNKLHGAYKCWFYFIIARLFLVKHRVGVEWGSREELFYLKGPIDDGIMEKYVQQESSTAGGNSSIVRPKHHVLRFCPSRKEWSDLNLHGPSCVVL >EOY22658 pep chromosome:Theobroma_cacao_20110822:3:24374150:24378949:-1 gene:TCM_014765 transcript:EOY22658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C3HC4-type RING finger) family protein, putative isoform 1 MGNADQKPTPGKKRSCDPTGRCMLCKTWPDEEDIIDCIICKTPWHLTCLIAVRESLALEDIGMGCPDCDDNNNPTKEELAVVVDEATVTGGASSSSGDTIVAQIMAIQADGSLTEEEKARKRQELLSGRVAGPEKENGGKNKGKGKEKMVEGKCDALEVSDESFNCSICLKMLDRPVSTPCGHNFCLKCFQSSTRRQRNSTCPLCRNPIPAAMASQPRINLMLVFAIRKAKMLSSNASDMASRVYEYVRNQDRPDKAYTTERAKKSGKANAASGRIFVTVPTDHFGPILAENDPERNRGVLVGDTWADRLECRQWGAHFPPIKGIAGQQDHGAQSVILSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKDQSFDQEFKSGNESLRLSCKNGYPIRVIRSFKDKHSSYAPEKGLRYDGIYRVEKCWLNVGVQGFKVCRYLFVRCDNDPAPWTSDVHGDCPRPLPVIEELKKASNVTERKESPSWDYDEADGCWKWIKPPPISKQKENTGNSVGRKRSRIIVKQAQSAAAKKRLQKQYGCPICKEVLEMPVTTPCGHNFCKSCLEGVFAGHSLVRERNAGGRPLRSKRNVLKCPSCPSDLSEYLNNLKVNIELKNLIAKQIGENENSAEEPRENGTACAQEDLSGSSDIHEENSEEADVDGG >EOY22659 pep chromosome:Theobroma_cacao_20110822:3:24373484:24379031:-1 gene:TCM_014765 transcript:EOY22659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C3HC4-type RING finger) family protein, putative isoform 1 MGNADQKPTPGKKRSCDPTGRCMLCKTWPDEEDIIDCIICKTPWHLTCLIAVRESLALEDIGMGCPDCDDNNNPTKEELAVVVDEATVTGGASSSSGDTIVAQIMAIQADGSLTEEEKARKRQELLSGRVAGPEKENGGKNKGKGKEKMVEGKCDALEVSDESFNCSICLKMLDRPVSTPCGHNFCLKCFQSSTRRQRNSTCPLCRNPIPAAMASQPRINLMLVFAIRKAKMLSSNASDMASRVYEYVRNQDRPDKAYTTERAKKSGKANAASGRIFVTVPTDHFGPILAENDPERNRGVLVGDTWADRLECRQWGAHFPPIKGIAGQQDHGAQSVILSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKDQSFDQEFKSGNESLRLSCKNGYPIRVIRSFKDKHSSYAPEKGLRYDGIYRVEKCWLNVGVQGFKVCRYLFVRCDNDPAPWTSDVHGDCPRPLPVIEELKKASNVTERKESPSWDYDADGCWKWIKPPPISKQKENTGNSVGRKRSRIIVKQAQSAAAKKRLQKQYGCPICKEVLEMPVTTPCGHNFCKSCLEGVFAGHSLVRERNAGGRPLRSKRNVLKCPSCPSDLSEYLNNLKVNIELKNLIAKQIGENENSAEEPRENGTACAQEDLSGSSDIHEENSEEADVDGG >EOY24186 pep chromosome:Theobroma_cacao_20110822:3:30012695:30014923:1 gene:TCM_015857 transcript:EOY24186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat protein, putative MGDRLTAASKAGNVTALYELIEEDADVLRRVEEIMFVHTPLHIAASDGQTCFAMEMINLMPSFSRKLNKSGFSPMHLALLNGHSKLVLLFLRADCDLVRVKGRGGMTSLHYACTKHGNDDVLANFLVACPKSIEDLTAQGETALHIAVKSNNFGALEVLVGWLRRVCHEEAFKWEINIPNWKDEHGHTILDIAVSNNMQLEASSLLYVLKLLAEINAKTSDSLTVSDILRRRQSNLGRNRVLRIQCGITDPEQNASTLASYLRSKLSIDERLAVYITRHKMKISDDVRNILLVVAGFIIASTLQIVLNDPGGFQRDVGDSGNNNSEGTPVPSLSRLSDRAAMTVFLLSSLYNNTAFCIVNGVIGLLLPDGLFGMILLRLLPVSILCYVLWIKRSLPNGLVIYNLLVFLLAYVFAFLAFVTYSRGQNKLRQLQSNTNNFAGSYRRRKTEAAEGQANSATSADPDP >EOY24286 pep chromosome:Theobroma_cacao_20110822:3:30426574:30429212:-1 gene:TCM_015934 transcript:EOY24286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 2 MSIAGVVLPTLLFTYRRNIWFLPSLVNDSSHQSLNGSVHLSNNLRETRSERFQLLSTAPSVSFNSSTEAKGRHRVSRRRRKRRRRSKIDDGPQVITPPPRRTVSPRLQRYLRSLSPDESLLYAKKEIEHAPAVDNDDDSYLYAPVFRNVSIFERSCELMEMILKVYIYPDGEKPIFHEPHLLGIYASEGWFMKLLEADREFVTQDPEKAHLFYLPYSSRQLELALYVPNSHNLRPLSIFIRDYVNMIAAKYPFWNRTHGSDHFLVACHDWGPYTTSAHKELRNNTIKAVCNADLSENFIAGKDVSLPETAIRNPGRPLRYIGRGNRVSQRPILAFFAGNMHGRVRPKLLKYWHNKEEDMKIYGPLPIRVSRNMTYIQHMKSSKYCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFNEVLDWNAFSVVVAEKDIPKLKEILLAIPLRRYLKMQINVKMVQKHFLWNPRPMRYDLFHMILHSIWFNRLNQIQISQD >EOY24285 pep chromosome:Theobroma_cacao_20110822:3:30426762:30430544:-1 gene:TCM_015934 transcript:EOY24285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein isoform 2 MRKLLKHVAVALIRELIRHCRWRKIDWRKLFFAGAVMSIAGVVLPTLLFTYRRNIWFLPSLVNDSSHQSLNGSVHLSNNLRETRSERFQLLSTAPSVSFNSSTEAKGRHRVSRRRRKRRRRSKIDDGPQVITPPPRRTVSPRLQVEILESFIPLLLFLFHFTCERYLRSLSPDESLLYAKKEIEHAPAVDNDDDSYLYAPVFRNVSIFERSCELMEMILKVYIYPDGEKPIFHEPHLLGIYASEGWFMKLLEADREFVTQDPEKAHLFYLPYSSRQLELALYVPNSHNLRPLSIFIRDYVNMIAAKYPFWNRTHGSDHFLVACHDWGPYTTSAHKELRNNTIKAVCNADLSENFIAGKDVSLPETAIRNPGRPLRYIGRGNRVSQRPILAFFAGNMHGRVRPKLLKYWHNKEEDMKIYGPLPIRVSRNMTYIQHMKSSKYCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFNEVLDWNAFSVVVAEKDIPKLKEILLAIPLRRYLKMQINVKMVQKHFLWNPRPMRYDLFHMILHSIWFNRLNQIQISQD >EOY24104 pep chromosome:Theobroma_cacao_20110822:3:29636246:29638713:-1 gene:TCM_015797 transcript:EOY24104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein, putative MQHVSFSSFCFFFFFFLFFFIFLLTPNDEVSATSTLSVDIINKTCKTCSDRSSVVNYTFCSASLQEIPVSHVTNLQGLAIVAMELALQNATNTLSIIKELVNNETLGPSSLACLSDCSLLYSDGVVTLVDTVGAFLTGQYGNADAWVSAVMQGTATCEEGFQDMELVSPLTKENYSLFQLCDVLLCIMNLLDSDVKS >EOY23504 pep chromosome:Theobroma_cacao_20110822:3:27631099:27635266:-1 gene:TCM_015374 transcript:EOY23504 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MDTLKIFSHLLFFIFFFFCSYLHTTTSTDTCIRAACRSTKPEIRFPFRIEGRQSKSCGYPGFHLSCDSSNQTILELPYSGKFSVQGIDYAAQEIWINDPNNCLPQRILWLNLSGSPFNGVNYQKFTFFNCSLDYLKYGFNPIACLSGGNYTVFATSSTKVVSSLSSSCQRVTTVAVPVEWASHDQILKSDLSNNLRLTWDKPKCRKCESRGGECRLKANSTRQTICSNAPGRGITRSVRYAITVGAGVPTLLCILGLLCFVCGKVQSCTVRRNSIPELNATVAPQPTIEDGLDGPTIESYPKIVIGESRRLPKPDDNTCPICLCEYWPKETLRSIPQCQHCFHADCIDEWLRLNATCPICRNSPERPSSPVQDS >EOY23716 pep chromosome:Theobroma_cacao_20110822:3:28343982:28348322:-1 gene:TCM_015522 transcript:EOY23716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeic acid 3-O-methyltransferase 1 MGSTGETQMTPNQVSDEELNLFAMQLTSASVLPMVLTSAIELDLFEIMAKAGPGAFLSPNELASQLPTKNPDAPVMLDRILRLLASYSVLNCSLRTLPDGKVERLYSLGPVCKFLTKNEDGVTLSSLSLMNQDKVLMESWYYLKDAVLEGGIPFNKAYGMTAFEYHGTDPRFNKVFNRGMNDHSTTTMRKILETYDGFEGVKTLVDVGGGIGASLSMIISKHPSIKGINFDLPHVIEDAPAYPGVEHVGGDMFVSVPKGDAIFMKWICHDWSDEHCSKFLKNCYQALPDNGKVIVAECNLPDYPDPSLATKLVVHIDCIMLAHNPGGKERTAKEFEALAKGAGFQGFQVKCCAFGTYIMEFLKTV >EOY20888 pep chromosome:Theobroma_cacao_20110822:3:1664520:1669519:1 gene:TCM_012227 transcript:EOY20888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated/TS-N domain-containing protein / octicosapeptide/Phox/Bemp1 domain-containing protein, putative MESNLVIKVKYGDTLRRFNARIDDAEQLDLNMAGLRAKIIGLFNFPADAELTLTYIDEDGDVVTLVDDDDLRDVMRQRLKFLRIDVKLNNDKFGKLYTGSSGSSTPLRSPSVQPPLASFNTGAADVLKSVPEPLHDALSEVFSKLSFDVTSKAASASPVLGDLVECLSKMGQSYLSPVSQSGAGVNTNIPVGTSESPSAPSAPTDPNPSKGAGLRAVLPKCTADDSSCEASKEANNGNATRGVNVPAAVDLNVDPPADVNLTGCATMTSGSSASNVFACNDKKNTKESNSHNKGKSVSLDTSTPLVDTRKYYPISGMGPSNECPFSGVPVANDPVVPPFLYHPFSPSKRSSTHENPMFGTFHKGIQCDGCGVLPITGPRFKSKVTDDYDLCSICFSKMGNEADYIRMDRPMHYRHPRCFRASNDHISRAGGPALLHILRNRAMKSARPKVDSRFILDVNVLDGTVMAPFTPFTKIWRMRNNGTLPWCGRMQLVWIGGDKFSDATSVEIEIPADGIPVDGELDIAVDFTAPQLPGRYVSYWRMASQTGMKFGQRVWVLIHVDASIKESISDNFQGLNLNLPPESSGLRDSEIVDMNVDLVTELCNSNAGTEPLEPMVNDQPAKEHTVNNSLLLDSDVPMPVPKSSSVTYPIIDQGVFVPVHRPHTLSSPAAYPNIDQGVSSPAFPHIPSPSVSYPIIDLLEAAPAGPFQAPPPAICVQAPSPARPSQAPAPAICVQAPSPAGPSQARAPAICVQARSPAGSSQAPAPAICVQAPSPAISVQAPSPAISVQAQSQEDIENESVEQTLLKELEEMGFKQVDLNKEILRMNEYDLEKSVDDLCGVAEWDPILEELQEMGFCDAEMNKKLLKKNNGSIKGVVMDLLTGERA >EOY21242 pep chromosome:Theobroma_cacao_20110822:3:4640927:4641851:1 gene:TCM_012652 transcript:EOY21242 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease 3 MKRCNPIQSRFRLPGFNPNTHHCLYGLDADLIMLASATHEVHFSMLREVVLTPGRDKCFLCGQMGHVAADCEGKAKRTAGEFNEKGDGKAAAKKPYQYLNIWTLREYLEYKMRIPNLHFQIDLERVVDDFIFMCFFIGNDFLPHMPTLEIREGAINLLMAVYKKELMGLVMDDMLKIRSGKKIKNFITK >EOY23142 pep chromosome:Theobroma_cacao_20110822:3:26367363:26369604:1 gene:TCM_015129 transcript:EOY23142 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MKLPPYSTFPFLFAILLQFSWAITARSHEKFLYCLSLHSNDSSSISRVVYSENNHSYSSILESSFQNLRFFTIATPKPLVIVAPLHASHIQTTIYCSRKHGLQIRIRSGGHDMEGLSYVSEVPFVLIDLINLRSIDIDVDNSVAWVEAGATIGELYYRIAEKSRTLAFPAGTCHTVGVGGQFSGGGYGTLFRKYGLAADNIIDAHLIDSNGRILDRKSMGEDLFWAIRGGGGGSYGVVLAWKLKLVPVPANVTVFTVTRTLEQNATELIHRSQYVAHKLPNDLFIFVSISRVNSGQEGKETIQAVFRSLFLGGADKLFSLMEKRFPELGLVKQECIEMSWIQSHLYFSDFPLERSEILLDRTAFTKGFIKVKSDYVKEPIPKIAFEGLWRRFYEEEGRYAAMNLIPYGGKMDEILETETPFPHRAGNLYKIMYTVAREEEENLEFQKYIRWIRRLYSYMTLYVSKSPREAYINYRDLDIGVNNKDNTSYARASIWGFKYFKNNFNKLVHVKTLVDPENFFKHEQSIPPLSSW >EOY21340 pep chromosome:Theobroma_cacao_20110822:3:6442071:6446590:-1 gene:TCM_012838 transcript:EOY21340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKLMLSLAGFRSAFGVMSAYRDVAAVVMGPMGVPGRDSLRGVPGHDNEGFDDDEFDILMWQKLNHFRFLVLATIARDVLVASKYAFSTGGWVLDGYRSSLTPKVVQALICAQDRLHGLAHGDPDLIKDDLDEPNKLDFYLANIALETIVEFESD >EOY22750 pep chromosome:Theobroma_cacao_20110822:3:24668307:24671791:-1 gene:TCM_014826 transcript:EOY22750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFPMACNPRFYTNMGIFVTVSWSLRGCKSHIMVELQSPTSKRNSQRGVKIDIMILEKGVMNLTLTISRGPGGCDVAR >EOY25173 pep chromosome:Theobroma_cacao_20110822:3:33476642:33477528:-1 gene:TCM_016574 transcript:EOY25173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGGIATILNFFIHLFQRSLLWQIMLLGNGASGLRHHEWTLKKPSRENFTGCLVFFFVGWNLAATKTLYPTIT >EOY22169 pep chromosome:Theobroma_cacao_20110822:3:22091456:22094740:1 gene:TCM_014389 transcript:EOY22169 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD domain-containing protein, putative isoform 2 MDIWNKARSFAEEAAKRSSELTKEAARRSSELSIGSAKLGDIVTEASKRSKGIAAEASKRADQIRVEAAKRADLIKSSLAEGIAPTQNTETQVEQEKELERFGIDEELRDFVKGITLSTFQDFPLPDDSPMSDVPTVSNIRQDLTEWQEKHANLVLSTVKEISKLRYELCPRVMRETKFWRIYFILVSSHIAPYEKRYMEDVKQSSAEQVTDEKVKDSSNVEMTSKPQAKETKEHGKTSTSSAEQDLDIFLLGDDSDEGPGTKFLS >EOY22168 pep chromosome:Theobroma_cacao_20110822:3:22091456:22095438:1 gene:TCM_014389 transcript:EOY22168 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD domain-containing protein, putative isoform 2 MDIWNKARSFAEEAAKRSSELTKEAARRSSELSIGSAKLGDIVTEASKRSKGIAAEASKRADQIRVEAAKRADLIKSSLAEGIAPTQNTETQVEQEKELERFGIDEELRDFVKGITLSTFQDFPLPDDSPMSDVPTVSNIRQDLTEWQEKHANLVLSTVKEISKLRYELCPRVMRETKFWRIYFILVSSHIAPYEKRYMEDVKQSSAEQVTDEKVKDSSNVEMTSKPQAKETKEHGKTSTSSAEQDLDIFLLGDDSDEGPDDGDDGFDDDFDKMVDSSDEEKDKS >EOY22351 pep chromosome:Theobroma_cacao_20110822:3:23083307:23087202:-1 gene:TCM_014547 transcript:EOY22351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein MGSSTISLSLPTFSSSSTNSFTQTKPSICSHHQLSRKSLPSSFFTSTTFLYNKTSARTKQVCTSFKIKCSAASSSSSSTLPAALLFDCDGVLVDTEKDGHRISFNDTFKEKELGVTWDVDLYGELLKIGGGKERMTAYFNKTGWPDKAPKSEEERKEFIASLHKRKTELFMALIENRLLPLRPGVAKLVDQALEKGVKVAVCSTSNEKAVSAVVSCLLGPERAEKIKIFAGDVVPRKKPDPAIYTLAANTLGVDPSSCVVVEDSAIGLAAAKAAGMTCVVTKSSYTADEDFLNADAVFDCIGDPPEERFDLAFCGSLLEKQYVG >EOY21385 pep chromosome:Theobroma_cacao_20110822:3:7373313:7375067:-1 gene:TCM_012909 transcript:EOY21385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative MDSSKFFSIILFFFLSWALQGFSNDTQDLTRGLDSLAKGLTGISPQGPQTRVAAAADADAAPCLQKLIPCQPFMHSPSPPATCCSPLTDVFTHDSQCLCKVFNNPEILKGFNLTLDEAMKLPKACGIDVDVSVCKKATAPSASTTNPSPPSNSGSSPGSPSDSSKSSSNGAVRITHTAAFWFIASVAALMFSAV >EOY20931 pep chromosome:Theobroma_cacao_20110822:3:1835638:1846487:1 gene:TCM_012254 transcript:EOY20931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane Fragile-X-F-associated protein isoform 1 MFHGVVVRGRFSLPAPSVPHNRQWAPCHTIVATPLLIAFELLLCIYLESVYVHGFPAVNLKIIFLPLLAFEIIILIDNFRMCRALMPGDDESISDEAIWETLPHFWVAISMVFFVAATIFTLLKLCGDVGALGWWDLFINFGIAESFAFLVCTKWSNPLIHRNSPAREAGPSNTTIRYLDWNGGLVVTPEEDQQQDTICGVQDIGGHIMKIPIIGFQVLLCMRLEGTPAGARHIPLPILFSPLFLLHGAAVLFSASRLIEKIVLLLQSGAGTGLYFRFSSRAHDCLGFLHHGSRLLGWWSIDEGSREEQARLYHDGVSGYNTFSGYPPEIVKKMPKKDLAEEVWRLQAALGEQTEITKFSQQEYERLQNEKVLCRVCFEREISVVLLPCRHRILCRYNMAILLMPLCFQHVSKWSFILFAP >EOY20930 pep chromosome:Theobroma_cacao_20110822:3:1835387:1846624:1 gene:TCM_012254 transcript:EOY20930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane Fragile-X-F-associated protein isoform 1 MSWRRVLKSVQALVAHSLLFCFTLLLLLKLDHVVSYSWWIIFFPLWMFHGVVVRGRFSLPAPSVPHNRQWAPCHTIVATPLLIAFELLLCIYLESVYVHGFPAVNLKIIFLPLLAFEIIILIDNFRMCRALMPGDDESISDEAIWETLPHFWVAISMVFFVAATIFTLLKLCGDVGALGWWDLFINFGIAESFAFLVCTKWSNPLIHRNSPAREAGPSNTTIRYLDWNGGLVVTPEEDQQQDTICGVQDIGGHIMKIPIIGFQVLLCMRLEGTPAGARHIPLPILFSPLFLLHGAAVLFSASRLIEKIVLLLQSGAGTGLYFRFSSRAHDCLGFLHHGSRLLGWWSIDEGSREEQARLYHDGVSGYNTFSGYPPEIVKKMPKKDLAEEVWRLQAALGEQTEITKFSQQEYERLQNEKVLCRVCFEREISVVLLPCRHRILCSTCCEKCRKCPICRVCIEERLPVYDV >EOY21302 pep chromosome:Theobroma_cacao_20110822:3:5805550:5808929:1 gene:TCM_012767 transcript:EOY21302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVCPLTRTWKLTAATSGYCSNSTVFFLSTTSHPQNRRQSLAVLSFDPTSSSSLSSSCSNSPVTAYSTNKKYVPIARSDASCLADVHSDDKVEKETSTTKNVEIEEKLVVRKQPLWQKLLFASKKIRSIVLLNFITIVYASDIPVIKTVETIMDPAPFSAVRFVMSAIPFLPFVLRARDDVHIRKAGIELGLWVSLGYFVEALGLLTADAGRASFISLFTVIVVPMLDSMLGAIVPARTWFGILMSALGVAMLECSGSPPNFGDLLSFLSAIFFGIHMLRTEHLSRSTKEENFLALLGYEVGVVALFSTLWVVIGGWFDGTQDFDKSSWTWELLWDWMVAFPWVPALYTGIFSTGLCLWIEIAAMREVSATETAIIYGMEPVWGAAFAWFLLGERWGTTGWIGAALVLA >EOY21301 pep chromosome:Theobroma_cacao_20110822:3:5805232:5811516:1 gene:TCM_012767 transcript:EOY21301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVCPLTRTWKLTAATSGYCSNSTVFFLSTTSHPQNRRQSLAVLSFDPTSSSSLSSSCSNSPVTAYSTNKKYVPIARSDASCLADVHSDDKVEKETSTTKNVEIEEKLVVRKQPLWQKLLFASKKIRSIVLLNFITIVYASDIPVIKTVETIMDPAPFSAVRFVMSAIPFLPFVLRARDDVHIRKAGIELGLWVSLGYFVEALGLLTADAGRASFISLFTVIVVPMLDSMLGAIVPARTWFGILMSALGVAMLECSGSPPNFGDLLSFLSAIFFGIHMLRTEHLSRSTKEENFLALLGYEVGVVALFSTLWVVIGGWFDGTQDFDKSSWTWELLWDWMVAFPWVPALYTGIFSTGLCLWIEIAAMREVSATETAIIYGMEPVWGAAFAWFLLGERWGTTGWIGAALVLGGSLIVQIFGSSATKGSVEVERGNRKGNLLLVSEVDKGKLQKKLSTSPIVVRSRADMMDMF >EOY24549 pep chromosome:Theobroma_cacao_20110822:3:31398278:31400024:1 gene:TCM_016121 transcript:EOY24549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSVTTTGPIHLLPLFEVEGFGIDFAFSRENEQIGRDWNYGICLKMGHLITSESLSCFVDLAIGMHSYPLLEKSQQVLPFGNHIAS >EOY22024 pep chromosome:Theobroma_cacao_20110822:3:20445105:20448886:1 gene:TCM_014180 transcript:EOY22024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative MYVYTLFSLPSISMMEGHEEYFDDQFPIGYRFMPTDEELVTHYLTNKVCRNPVPPSAFQEIRSTELYRKPPKSSVQYSSGEREWFFFIHQDGNFEEQNKAIRIVEDGRGFWRTNGEKPLFDTKGNVLAFKTHLMYFSGCLSNAKKTHWRMDEYRLPIQFYAQHNSKEQWAVGRLRRGREYNLGF >EOY21821 pep chromosome:Theobroma_cacao_20110822:3:18784399:18787349:-1 gene:TCM_013953 transcript:EOY21821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription regulators,zinc ion binding isoform 1 MSNHLQENRALNAAIDSRNNHKGNQGNSKKKKAGKVVSLAEAAKGSIVFQQGKPCLCQARRHRLISNCLSCGKIVCEQEGEGPCNFCGALVLREGSTYAGLEGSFTPLSDAEAAAEAYAKRLVEYDRNSAARTTVIDDQSDYYEIDSNSWLSKEEKELLKKKQEEIEEAERLKRSKVVVTFDLVGRKVLLNEDEVSELESENRILLRPPDEREMNRIKPNPNLRVQPVFLNPAPSKKPSKSKQPSKSPANGLCLEITGRVQHDSNELKYFMTEKQIEAA >EOY21822 pep chromosome:Theobroma_cacao_20110822:3:18785539:18787157:-1 gene:TCM_013953 transcript:EOY21822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription regulators,zinc ion binding isoform 1 METPGDWLEKALVELCNKIETGLGLDLDGEIISGLVSYCEMAQPLDAKEYLDNFIGQEAGKSVTEEYLRRRGHTDPCSGSSAIPTSKLQAYVKPPSGESSVSGTKKQFKTPKEATGSSHQAEPKKNAISGNQENRALNAAIDSRNNHKGNQGNSKKKKAGKVVSLAEAAKGSIVFQQGKPCLCQARRHRLISNCLSCGKIVCEQEGEGPCNFCGALVLREGSTYAGLEGSFTPLSDAEAAAEAYAKRLVEYDRNSAARTTVIDDQSDYYEIDSNSWLSKEVANLFSNTNSLKLRVIAV >EOY21820 pep chromosome:Theobroma_cacao_20110822:3:18784399:18787354:-1 gene:TCM_013953 transcript:EOY21820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription regulators,zinc ion binding isoform 1 METPGDWLEKALVELCNKIETGLGLDLDGEIISGLVSYCEMAQPLDAKEYLDNFIGQEAGKSVTEEYLRRRGHTDPCSGSSAIPTSKLQAYVKPPSGESSVSGTKKQFKTPKEATGSSHQAEPKKNAISGNQENRALNAAIDSRNNHKGNQGNSKKKKAGKVVSLAEAAKGSIVFQQGKPCLCQARRHRLISNCLSCGKIVCEQEGEGPCNFCGALVLREGSTYAGLEGSFTPLSDAEAAAEAYAKRLVEYDRNSAARTTVIDDQSDYYEIDSNSWLSKEEKELLKKKQEEIEEAERLKRSKVVVTFDLVGRKVLLNEDEVSELESENRILLRPPDEREMNRIKPNPNLRVQPVFLNPAPSKKPSKSKQPSKSPANGLCLEITGRVQHDSNELKYFMTEKQIEAA >EOY20720 pep chromosome:Theobroma_cacao_20110822:3:705716:708904:1 gene:TCM_012065 transcript:EOY20720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSSKNSTIVNNAVIFLDATSAIKSISKIDFLREVDYIPEEGKTQPLQLSLTNPYSVYAKPTFSPVKSIKTLIKHSPKAPKEYIQSTRFDEHFIQGDQAEQFVTLQIPLEFPQQWIHHGYSHIHFGAVRLALNYHGREGQSVVAHMALLDSRYIKYEHACIGTVEDTLNTKTLIVTLFPNYTMALCDPNLLSALKVQVQIIRAPQIPFAIIATLHYQMVYKVQDHAFNLSKIQTNLNDALVLAINISQALICSFVPKRIPREDLAKLLPEKWIINYEKLQ >EOY24994 pep chromosome:Theobroma_cacao_20110822:3:32906304:32907428:-1 gene:TCM_016446 transcript:EOY24994 gene_biotype:protein_coding transcript_biotype:protein_coding description:PA-domain containing subtilase family protein MGSTKTELYFVFMNYDPEYQRLRADRTKKGAYELDLYLSRKHDELLAGTLQAGSYKKTLSLVIVDGFAVEITEAQANVLRSANGVRVVEKNQELA >EOY24852 pep chromosome:Theobroma_cacao_20110822:3:32437187:32447460:-1 gene:TCM_016340 transcript:EOY24852 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 2,4-cyclodiphosphate synthase MGSMLGDLPSFDPHNFSQLRPSDPSNPSKMTPATYRPTHSRTLPPPDQGIELIFFSLFLLVKQYSRGNIRYYWPYKLSAMVPSRMLNVDFCLRAASAGNYVEMSELELDMMSFFCKNGIALTNGLQIIAKPTPTTISNKSILLPNPRIITARHHNNILQSASSSSSSSAFTLTASISPGATSVAVDGPTTSTKPSKSLPFRVGHGFDLHRLEPGYPLIIGGIDIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPKWKGAPSSVFIKEAVRLMHEVGYEIGNLDATLILQRPKLSPHKEAIKANLSELLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMRK >EOY21423 pep chromosome:Theobroma_cacao_20110822:3:7731831:7733577:-1 gene:TCM_012943 transcript:EOY21423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase, putative MGGISGFLTTFPPFIYFFWSLLERLPVKLPLPIDKLISRVSYPRTGRQLQRYDKRGFRLVVGCIPYRYRKTEEATSIDEVIEVLVINAQNGQGMLFPKGGWEKDETMEAAAIRETREEAGVIGNIECKLGKWLYKSKRQSKFHEGHMFPLLVEQELDRWPEENIRNRKWVTVSEAREQCPHLWMREALEELVRRHMQQQQRHQGQVNKTACN >EOY21609 pep chromosome:Theobroma_cacao_20110822:3:13933224:13935394:-1 gene:TCM_013524 transcript:EOY21609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative MPASTCASASSSTANSTANGGSGGGGEIMLFGVRVVVDSMRKSVSMNNLSQYEQPHASNSNNKINEKSNNNNNNKGDDNVTAGYASADDAVPQSTGNRERKRGVPWTEEEHKLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRNNLNRRRRRSSLFDITTDTVAAVPMEEEQVHPLGNTTQPSEINGFPMMPTAFPMTVNPPVPMENLTLGQGNRSDNNATAKLIRPVPISPAPLASDLNLNLNSVVGPSPLSLKLSLQPEQKDSSSRHSAFQVMPSFSNGDSNSIISVA >EOY21319 pep chromosome:Theobroma_cacao_20110822:3:6122244:6132940:1 gene:TCM_012795 transcript:EOY21319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein isoform 2 MRGASSFTSSVLSRTLATVHDRGAAAALQPRSLRVALFCSSRTNNSYTRLRWFSPVLTSFSRSSARAVSLGLAGAVASVATAASVYAKEPPPAEIMPKDVVLYQYEACPFCNKVKAFLDYYDIPYKVVEVNPISKKEIKWSDYKKVPILMVDGEQLVDSSAIIDKLSEKVLPKKMINSGANEDEETKWRRWVDNHLVHVLSPNIYRNTSEALESFDYITSNGNFSFTEKITVKYAGAAAMYFVSKNLKKKYNITDERAALYEAAETWVDALNGRNFLGGSKPNLADLAVFGVLRPIRYLRSGRDMVEHTRIGEWYSRMEEVVGESSRIKA >EOY21318 pep chromosome:Theobroma_cacao_20110822:3:6122084:6133110:1 gene:TCM_012795 transcript:EOY21318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein isoform 2 MRGASSFTSSVLSRTLATVHDRGAAAALQPRSLRVALFCSSRTNNSYTRLRWFSPVLTSFSRSSARAVSLGLAGAVASVATAASVYAKEPPPAEIMPKDVVLYQYEACPFCNKVKAFLDYYDIPYKVVEVNPISKKEIKWSDYKKVPILMVDGEQLVDSSAIIDKLSEKVLPKKMINSGANEDEETKWRRWVDNHLVHVLSPNIYRNTSEALESFDYITSNGNFSFTEKITVKYAGAAAMYFVSKNLKKKYNITDERAALYEAAETWVDALNGRNFLGGSKPNLADLAVFGVLRPIRYLRSGRDMVEHTRIGECFGSQNNFCDQVMNDHKVFWRVMETEGERDFVGWPLKTVWRSNKLKLENVKTKLYELFEQYASNTHASSTYSHSTSNLPKQAGGGTKPKGSKIFNSWRHHYCPNKTQMF >EOY23436 pep chromosome:Theobroma_cacao_20110822:3:27401266:27405699:1 gene:TCM_015331 transcript:EOY23436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vicianin hydrolase, putative MATPGRCILLYHMANQGPFFFICLLALGATTNGANPNHYSKPFNRSSFPAGFTFGAGSAAYQIEGAALEDGKGPSVWDTFARKYPERIADRSTGDVAVDFYHRYKDDIKLMKKVGLDSFRFSISWSRILPKGKLCGGVNPLGVQFYNNLIDDLLANGLKPFVTLLHFDHPQALEDDYGGFLSPKIVDDYVDYADFCFKTFGDRVKHWVTMNEPNGWSLGGANGSAASSPTESYILARHLLLSHAAAVKLYRKKYQASQKGKIGITIITNWFIPKSDATADRKAASRALDFLFGWFVDPLIFGEYPKSMRSLVGRRLPKFTEAESKMLKGSIDFLGVNYYTANYAENAPPSNTAITANDSRVNLTTEKDGVPIGTPTAVSWLFIYPKGLRGLMLYIKEKYNNPPIYITENGVAEANNASLTVKEALKDSTRIRYLDGHLKSLLKAIREGVNIKGYYVWAFLDDFEWTSGYTLRFGFTYIDFKNNLRRYLKYSAYWFKMFLLH >EOY21976 pep chromosome:Theobroma_cacao_20110822:3:20218999:20220632:-1 gene:TCM_014143 transcript:EOY21976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENQIKESRKQNWFQRQFSSQNSQSNHPIEGAHEAAVAAAAFAIQSLQEAKATKLKTKSRKDNGRNAMLHSKGTTSLFSYKKTKIAVDNSKKKPMEEKTKEFEGVYSAAKPSSKSAVIPIAPGDNAEWYDKLKSVVACGSVKMLRAKD >EOY23837 pep chromosome:Theobroma_cacao_20110822:3:28739266:28742400:1 gene:TCM_015607 transcript:EOY23837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein MGVVSVFLEILRKPTIGDVLSELMMFIAPLWVAVIVGVLVGWAWKPKWASLGREMLMDCSGSKDSTPAASESSSSGFGSISSLNLIKFQLPSCIPWITDDGAQKDSFSLPPTIDSNCSSSQIGKEKTGFVTDEDLEHLCKLVEEKDGGPAWIQMMDRSTPTMGYQAWRRDPQTGPPQYRSRTVFDDATPEMVRDFFWDDEFRAKWDDMLVSSATMEECPTTGTMVVHWVRKFPFFCSDREYVIGRRIWESGRFYYCVTKGVPYASVPRKNKPRRVDLYYSSWCIRAVESRKGDGQLTACEVLLFHHEDMGIPWEIAKLGVRQGMWGAVKKIDPGLRAYQKERASGAPLSHCAFMAQINAKVSADYLRSLESNSSDSSELETHNKSEKPLGRNIPKLLVIGGAIALACSLDRGLLTKAVIFGVARRFAKIGRRL >EOY22850 pep chromosome:Theobroma_cacao_20110822:3:25027262:25029523:-1 gene:TCM_014902 transcript:EOY22850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of unknown function (DUF566) [Source:Projected from Arabidopsis thaliana (AT4G25190) TAIR;Acc:AT4G25190] METLNFTRRHHSEARPPSPRLLRSRSGTPALTLPENRASSVNSSQSFANRSKSTTRSSRIQRNEENVNPATVISSMQKKPNHQESRSDGFVRFLQRGSPRNSGTATKGSKPITSSPSAWALSPGRSSTLMVAREPQGSCGTGVKAKSSKGGGVGGVLKYFRQKKVSPMQEEEYHRFRVLYNRMLQWRFVNARAEVAMTAAKIDAQDKIFGVWLRILKIRNSTLEKRILLQKLMHEIKLHRILGPQLCLLNEWVKLEGKNYEAASRVIRKLSAILVRVPLVQDAEGDVESIYDAMSSAVAVMHGIEATIIEIFSQPLEKLLYLVTELISMLEQQKDCLEELEKVITLVPPLVVSEQSVRVHFIQAGMELERCQID >EOY22672 pep chromosome:Theobroma_cacao_20110822:3:24423697:24424713:-1 gene:TCM_014775 transcript:EOY22672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferring glycosyl groups, putative MASAVKPIFIFLVFISFHLSLMASKSKDPTPAAWPLQFHSILFMNNSGTLQKVDLWYDWPNGRNFNIIQNQLGKLVYDLEWDNGTSYIYSPDSDKECRVLHFPVGILRPNWLDGANYLGQQEKDGFLCNVWEKVDFIRYYEDVITKRPVYWAFYTGYTAHVMTFEVGAVLGDSHWQAPVYCFEEAEENRKPVIESVGWSGQQWKLMKGIPRASMTL >EOY21977 pep chromosome:Theobroma_cacao_20110822:3:20235960:20243716:-1 gene:TCM_014147 transcript:EOY21977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKNMRTENEANMIKNSCDVEFVLALSLDHINDGWIFVSGATFRISTSNEFVTIYEECSNLLMGMTIHVKVVGISIVYFNIFDVVVRVLFEVRHTLKIRRNLISLGYCLGKEFEIPWKMLLWELLFGHGCALGEIETLKRCHLLQGYTKNDYGLAYDEGGTNERGFMAKSKGGRGAQRSTRGRTAESLKGVAAAAYAINSLEEDEVRRRIKTERLRNDTTTRVRNSDRVTRRYSSKEVRTVGETSSRKSKEQDYRRQESALTTRKPGHSSSARPMTMEAGDQRWKGNSSQLNVVETKADAWEKAQMEKVNRRYEDKKASILAWANEKKLRAKIKMERRKKELEQKIKRNQQFYQAKIARIDHVAGGARAQADEQRRNEELKVKEKARKTRASGQDPVTCFCF >EOY24693 pep chromosome:Theobroma_cacao_20110822:3:31950952:31954468:-1 gene:TCM_016231 transcript:EOY24693 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein nob1, putative isoform 1 MEDSGAPAPNPNPASCWSNVLKSQPPKPQTQKQTAATTQLFVESCKSTKGIAVAVVDANAVIEGGEKLNNSADRFVTVPEVLAEIRDPVSRHRLAFIPFSIDSMEPSSDALNKVIKFARATGDLQTLSDVDLKLIALTYTLEAQIHGTNHIRDAPPPVHVVNVKRLPERDLPGWGSNVPNLDEWEALEREAEGGTNSNSRILPLKDLNMNTLPSDNGSEDGSVEIKSETHSENQEDVEHGFRRPRRYLPQKKEVKIEGKKMVADGIDASQGQIDDNGDNWQPAVSRSTHRRYLRRKARREYYEALVEKDCQEDMEKSMDKNNVEDAHSGNGILEETERAEEKKGDEDLSSILKQMRLEEDSLEALQEAEEVEITVEANVNLSVEGNKMDLVNEELDQLEMSSQTNETVDASYTDDVSCEQSWMLRSLSESSVACVTGDFAMQNVILQMGLRLLAPGGMQIRQLHRWILKCHACYNVTAEIGRIFCPKCGNGGTLRKVAVTVGENGIVLASHRPRISLRGTKFSLPLPQGGRDAITKNLILREDQLPQKFLYPKTKKKVNKQGDDDLFMGVDTFTHHTDKRAPLQPPVRKALAVFTGKRNPNDNHYSRSKHNN >EOY24692 pep chromosome:Theobroma_cacao_20110822:3:31951433:31953996:-1 gene:TCM_016231 transcript:EOY24692 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein nob1, putative isoform 1 MEDSGAPAPNPNPASCWSNVLKSQPPKPQTQKQTAATTQLFVESCKSTKGIAVAVVDANAVIEGGEKLNNSADRFVTVPEVLAEIRDPVSRHRLAFIPFSIDSMEPSSDALNKVIKFARATGDLQTLSDVDLKLIALTYTLEAQIHGTNHIRDAPPPVHVVNVKRLPERDLPGWGSNVPNLDEWEALEREAEGGTNSNSRILPLKDLNMNTLPSDNGSEDGSVEIKSETHSENQEDVEHGFRRPRRYLPQKKEVKIEGKKMVADGIDASQGQIDDNGDNWQPAVSRSTHRRYLRRKARREYYEALVEKDCQEDMEKSMDKNNVEDAHSGNGILEETERAEEKKGDEDLSSILKQMRLEEDSLEALQEAEEVEITVEANVNLSVEGNKMDLVNEELDQLEMSSQTNETVDASYTDDVSCEQSWMLRSLSESSVACVTGDFAMQNVILQMGLRLLAPGGMQIRQLHRWILKCHACYNVTAEIGRIFCPKCGNGGTLRKVAVTVGENGIVLASHRPRISLRGTKFSLPLPQGGRDAITKNLILREDQLPQKFLYPKTKKKVNKQGDDDLFMGVDTFTHHTDKRAPLQPPVRKALAVFTGKRNPNDNHYSRSKHK >EOY24694 pep chromosome:Theobroma_cacao_20110822:3:31951083:31954004:-1 gene:TCM_016231 transcript:EOY24694 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein nob1, putative isoform 1 MEDSGAPAPNPNPASCWSNVLKSQPPKPQTQKQTAATTQLFVESCKSTKGIAVAVVDANAVIEGGEKLNNSADRFVTVPEVLAEIRDPVSRHRLAFIPFSIDSMEPSSDALNKVIKFARATGDLQTLSDVDLKLIALTYTLEAQIHGTNHIRDAPPPVHVVNVKRLPERDLPGWGSNVPNLDEWEALEREAEGGTNSNSRILPLKDLNMNTLPSDNGSEDGSVEIKSETHSENQEDVEHGFRRPRRYLPQKKEVKIEGKKMVADGIDASQGQIDDNGDNWQPAVSRSTHRRYLRRKARREYYEALVEKDCQEDMEKSMDKNNVEDAHSGNGILEETERAEEKKGDEDLSSILKQMRLEEDSLEALQEAEEVEITVEANVNLSVEGNKMDLVNEELDQLEMSSQTNETVDASYTDDVSCEQSWMLRSLSESSVACVTGDFAMQNVILQMGLRLLAPGGMQIRQRGMMIFSWVWTLSLTILIKGHLSSLP >EOY24703 pep chromosome:Theobroma_cacao_20110822:3:31989358:31992999:1 gene:TCM_016239 transcript:EOY24703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase THETA 1 MKLKVYAERMSQPSRAVIIFCKVNGIDYEEIKVDISKRQHLTPEFADINPMKKVPAIVDGRFKLFESHAILIYLACAFPGVADHWYPADLFKRSKIHSVLDWHHSNLRHGAVTYVLNTTLAPALGLPLNPRAAAEAEKLLTSSLSKIESIWLKGSGRFLLGGNQP >EOY24796 pep chromosome:Theobroma_cacao_20110822:3:32248908:32256095:-1 gene:TCM_016297 transcript:EOY24796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGNNVICEDIIAAISSDITNPGTEGRKLTDLWISRLVISNKSINCCFLNYSVSLLPLMVLLVMLLVRFCRPWTTSWCLLPFEGVTSFFVQLFANGCSVPIRL >EOY20939 pep chromosome:Theobroma_cacao_20110822:3:1881338:1882834:1 gene:TCM_012262 transcript:EOY20939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein MAGTGTWSREVEKAFENAIAMHWTEEGSEEQWEKIASMVPSKSLEELKQHYQLLVEDVSAIEAGQVPLPSYTGEEATSSVAKDFHGSSGAAAAAAPDKRSSSGYGNGFSGLSHDSCGHGGKGSSRSDQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVNNGDTSHQAPITGQQANTNSPAAAAAMGPSVKHRAQPHMPGLGMYGAPVGRPVAAPGHMASAVGTPVMLPPGHHHHPPPYIVPVAYPMAPPPMHQ >EOY21246 pep chromosome:Theobroma_cacao_20110822:3:4817431:4826902:1 gene:TCM_012661 transcript:EOY21246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPPRRGRPPLYRSVGRGRGRARLSQPDPVERESAAPTFRAAPAVEPTEIPPPPPPPTATPGVHAMSLEAVQALAAFLNVIMGQAQASRVPHTVPPAVSPVPPPPPLVPPPVPDVSISKKLKEARQLGCTSFVGDLDATAAKDWITQVTETFVDMKLDDDMKLMVATRLLEKRARTWWSSVKSRSITSLTWIDFLQEFDGQYYTYFHQKEKKREFLSLQQGNLTIEEYEARFNELMSYVPDLVKSEQDQASYFEEGLRNEIRERMTVTGREPHKEVVQMALRAEKLTNENRRMRAEFAKKRNPNVSSSQLPKRGKDTFASESTVSVPVISPRPPLSQLQQRPPRFSRSGMSSTSEKSFGGRATVVAPSPLTHTDMQRRDSSGVHPRQGVAVRSEMGSNTPAQPPLRPLTRSSTRVFAVTEDEARVRSGEKLKNT >EOY25415 pep chromosome:Theobroma_cacao_20110822:3:34204535:34208869:1 gene:TCM_016728 transcript:EOY25415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase C,1 isoform 1 MAIAGAGQLNLNESPCWGSRSVDCFEKLEQIGEGTYGQVYMAKEIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPGPEKDDQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGATKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVSKIPWYTTFKPTRPMKRRLREVFRHFDRHALELLERMLMLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQHEENAKRQKLQHPQPHTRLPPIQQSGQAHAQMRPGPNPTMHGSQPAVATGPSHHYGKPRGPAGGPGRYPPSGTSGGYNHPNRGGQGGGGGYSSGPYPPQGRAPPYGSSSMPGAGPRGGGGSGYGVGAPNYSQGGPYGSSGAGRGSNMMGGNRNQQYSWQQ >EOY25416 pep chromosome:Theobroma_cacao_20110822:3:34204734:34208571:1 gene:TCM_016728 transcript:EOY25416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase C,1 isoform 1 MAIAGAGQLNLNESPCWGSRSVDCFEKLEQIGEGTYGQVYMAKEIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPEKDDQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNANLTNRVITLWYRISAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQHEENAKRQKLQHPQPHTRLPPIQQSGQAHAQMRPGPNPTMHGSQPAVATGPSHHYGKPRGPAGGPGRYPPSGTSGGYNHPNRGGQGGGGGYSSGPYPPQGRAPPYGSSSMPGAGPRGGGGSGYGVGAPNYS >EOY25306 pep chromosome:Theobroma_cacao_20110822:3:33895655:33897644:1 gene:TCM_016667 transcript:EOY25306 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAM68, chloroplastic, putative isoform 3 MAGVALSSLSCSYKIDHGNEKSTLVCKLCRHVQINDGRKVEKTMESSSFTGTTALKPSSLVSPIITICQFPVTKHPRNQVRSLYLVPLYATLNSPRGFGPPPKKNKKTKKSKSGDVKEEEDDDDEEEEEFEPEAGVIPEIVTNRMISRMGFSVGVPLFIGLLFFPFFYYLKVVLKIDVPTWVPFIVSFFFFGTALLGVSYGIVSSSWDPLREGSLLGWNEAQKNWPVFWQSIWGGSQIYIFLEWS >EOY25309 pep chromosome:Theobroma_cacao_20110822:3:33895863:33897204:1 gene:TCM_016667 transcript:EOY25309 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAM68, chloroplastic, putative isoform 3 MAGVALSSLSCSYKIDHGNEKSTLVCKLCRHVQINDGRKVEKTMESSSFTGTTALKPSSLVSPIITICQFPVTKHPRNQVRSLYLVPLYATLNSPRGFGPPPKKNKKTKKSKSGDVKEEEDDDDEEEEEFEPEAGVIPEIVTNRMISRMGFSVGVPLFIGLLFFPFFYYLKVVLKIDVPTWVPFIVSFFFFGTALLGVSYGIVSSSWDPLREGSLLGWNEAQKNWPVFWQSIWGGSRKK >EOY25308 pep chromosome:Theobroma_cacao_20110822:3:33895763:33897224:1 gene:TCM_016667 transcript:EOY25308 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAM68, chloroplastic, putative isoform 3 MAGVALSSLSCSYKIDHGNEKSTLVCKLCRHVQINDGRKVEKTMESSSFTGTTALKPSSLVSPIITICQFPVTKHPRNQVRSLYLVPLYATLNSPRGFGPPPKKNKKTKKSKSGDVKEEEDDDDEEEEEFEPEAGVIPEIVTNRMISRMGFSVGVPLFIGLLFFPFFYYLKVVLKIDVPTWVPFIVSFFFFGTALLGVSYGIVSSSWDPLREGSLLGWNEAQKNWPVFWQSIWGGSRKK >EOY25307 pep chromosome:Theobroma_cacao_20110822:3:33895649:33897656:1 gene:TCM_016667 transcript:EOY25307 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAM68, chloroplastic, putative isoform 3 MAGVALSSLSCSYKIDHGNEKSTLVCKLCRHVQINDGRKVEKTMESSSFTGTTALKPSSLVSPIITICQFPVTKHPRNQVRSLYLVPLYATLNSPRGFGPPPKKNKKTKKSKSGDVKEEEDDDDEEEEEFEPEAGVIPEIVTNRMISRMGFSVGVPLFIGLLFFPFFYYLKVVLKIDVPTWVPFIVSFFFFGTALLGVSYGIVSSSWDPLREGSLLGWNEAQKNWPVFWQSIWGGSQIYIFLEWS >EOY21309 pep chromosome:Theobroma_cacao_20110822:3:6065173:6067265:1 gene:TCM_012790 transcript:EOY21309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKIKAATASRYTNETERQTDRQALPAIKARMPVDPYRVISAWNNSLHFCNWRRRVVNLNAIELGSITPIELGNGFKTLVFEVMPYTSLECSLHPISSEQQESRNLNLVQGLNPHSQFDRPVVHRDLKPSNFLLGSDLTAHVSDFLLTSLYIESLKCKNLTHLSISDHLGAKQFKEELWDTFHQAIPFSISS >EOY22718 pep chromosome:Theobroma_cacao_20110822:3:24557104:24557868:1 gene:TCM_014804 transcript:EOY22718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein MASSRCGVGLFILVLVVAGVFEVPVARGAMSPSQCKEEQRLLVSACRSVLSGRSPSPSCCQRIRVTHVECVCPVVTPKLAALIGVERTIKQIEGCGRVVPHKFKCGSITTP >EOY22832 pep chromosome:Theobroma_cacao_20110822:3:24927034:24930806:-1 gene:TCM_014886 transcript:EOY22832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin F, putative MGKSSWDLWIEEALAKLESRKMFMPLRPMHLPTLKQQQQEAQTDEYETFHGIQPWYRLSIQISLPDSFFQRLLDGTELNCKNGRDDGKLSPNQQQQWKKLILFAGNDFLGLCRHPSIAKATAKKFQVETDKGGAFGRMLQACLLCPTGFSANLAVMVAIGSLAPLLRAGRGPTKEEKIAIFSDSLNHASIVDGIKLAERYGCVKFITNCNMKRKVVVTDSLFSMDGDFAPMIELVQLRRKHGFLLVVDDAHGTFFWGQNGGGVPEEFNCENDIDICIGTLSKAAASLGGFIACSQIWKQFIQSRGRSFMFSTAPPVPLTAASYASIVVARNEQWRRREIRKRM >EOY23715 pep chromosome:Theobroma_cacao_20110822:3:28337257:28343849:1 gene:TCM_015521 transcript:EOY23715 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein METKVLSTGIRYTNLPESYVRPESERPRLSEVLECENVPVIDLGCKDRSQITQQIALACTFYGFFQVINHGVSKEATEGMFQVAHDFFGLPVEEKLKLYSDDPSKTMRLSTSFNVNKEKVHNWRDYLRLHCYPLHKYVPEWPSNPSSFKEIVSHYCVEVRELGYRLQELISESLGLDKDYIKNVLGEQGQHMAVNYYPPCPQPELTYGLPGHTDPNALTILLQDLQVAGLQVLKDGKWVAVNPHPNAFVINIGDQLQALSNGKYKSVWHRAIVNADKPRMSVASFLCPYDHALISPAKPLTEDGSGAVYRDFTYAEYYSKFWSRNLDQEHCLELFKN >EOY21544 pep chromosome:Theobroma_cacao_20110822:3:11495591:11505073:1 gene:TCM_013306 transcript:EOY21544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGPWRVMFQSLPTFVNAVGACVIVAEAARVVSPRIRAKVLHSRIKTRSVVNVRQRKVENRCKSLARFRLTYRVMNDYRDFAVVVTGSKGVPGRDTFEATNILLKISKLGDGMLIDLCVHSMLFRWATPSVDAHGVNDGTNSHAIKTIVVEESIHVLFDDSNPFLKKENYDDDVGIFQEQVEKLDLNIKRSKVTEEAPQKKQQIKQIIEESEPSYPMVRKEVDERKIISDPNQGVRTRAKVENDYEYFAFISQIEPKNIDEAVDDDYWILAMQEELNQHERCQVWELVLRPSNHPIVGTKWVFRNKMDENGAITRKKS >EOY21445 pep chromosome:Theobroma_cacao_20110822:3:8212085:8215538:1 gene:TCM_012998 transcript:EOY21445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQVPNDQHIIAAKRILRYLKKTEFYGIHYTKSTKFALCGYTDSDFAGSNEDAKNTSGYLFTLGNGHFSWNSHKQSVVAQSSVESKYVVAAEAANQAFWLRKLLMDIKFEQKFPTNLFIDNKSAIAIVKNPIWHGKTKHINVKYHAITDTMEKNKINVQY >EOY24908 pep chromosome:Theobroma_cacao_20110822:3:32628941:32637835:-1 gene:TCM_016379 transcript:EOY24908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger 18 MATNATTASHCPSPMKATSNGLFQGDNPLDYALPLAILQICLVVALTRILAFLLRPLRQPRVIAEIVGGILLGPSALGRNEKYLNAIFPSRSLTVLDTLANLGLLFFLFLVGLELDPKSLRRTGKKALCIALAGISVPFALGIGTSFALHATISKGVDEAPFLVFMGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDVAAWILLALAIALSGTGHSPLVSLWVFLCGSGFVLCCIFIVPPIFKWMAQRCPEGEPVEELYICATLAAVLAAGFVTDSIGIHALFGAFVIGVLVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIRGAQSWGLLVLVIITACLGKIVGTVSVSLMCKVPFQEAAALGFLMNTKGLVELIVLNIGKDRKVLNDQTFAIMVLMAIFTTFITTPLVMAVYKPAKRMSKRDHKYRTIERKDTNTQLRILACFHSTRNIPSMINLIEASRGTEKKEGLCVYAMHLMELSERPSAILMVHKARKNGLPFWNKGKQSNSDQVVVAFETFRQLSRVSVRPMTAISAMSGMHEDICTSAERKRAAVIILPFHRHQRLDGSLETTRTEFHSVNKQVLAEAPCSVGILVDRGLGGTTHISASNVSSITTVLFFGGHDDREALTYGARMAEHPGISLTVIRFLPGPEISGDEIVRTDINTISNASEGSTDERALIEFKKKISNDSTISYEERVVQNSTETIEVIREFSRCNLFLVGRMPESQVAATLNAKSDCPELGPVGTLLTSPEFSTSASVLVVQQFTKHSPPPSVTSTKVAETPDPDIESA >EOY22073 pep chromosome:Theobroma_cacao_20110822:3:21210977:21214996:1 gene:TCM_014263 transcript:EOY22073 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoserine phosphatase isoform 1 MEGLATSRIISIQASRRQHYSAFLPTFSLQLRKISNRGQVWMMKRPKSFQSIAASVQPLEASTTGHFDNKQPSKEVLELWRSADAVCFDVDSTVCIDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEEALAARLSLFKPSLAQVQDFLEKRPPRISPGMEELVKKLKATNTNVYLISGGFCQMINPVASILGIPQENIFANNLLFGSSGEFLGFDANEPTSRSGGKAAAVQQIRKGSGI >EOY22072 pep chromosome:Theobroma_cacao_20110822:3:21210830:21215083:1 gene:TCM_014263 transcript:EOY22072 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoserine phosphatase isoform 1 MEGLATSRIISIQASRRQHYSAFLPTFSLQLRKISNRGQVWMMKRPKSFQSIAASVQPLEASTTGHFDNKQPSKEVLELWRSADAVCFDVDSTVCIDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEEALAARLSLFKPSLAQVQDFLEKRPPRISPGMEELVKKLKATNTNVYLISGGFCQMINPVASILGIPQENIFANNLLFGSSGEFLGFDANEPTSRSGGKAAAVQQIRKAQGYKVLVMIGDGATDLEARKPGGADLFICYAGVQLREAVAAKANWLIFNFRDLINALE >EOY23937 pep chromosome:Theobroma_cacao_20110822:3:29052142:29054171:-1 gene:TCM_015676 transcript:EOY23937 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein MAETIHTSENLSNPSPLNPPSRVICHVCQKQFSQYTCPRCNSRYCSLHCYKSHSLRCTESFMRENVVEELRQLQPDDEIKRKMLEILKRFHSEEETHTLDEDDDDSTLSDETIQKILSGREVSFDDLSLEEKKRFQKAVASGELSKMIEPWDPWWLNPAAGTICLSRDGTRLVQPIANLEASVPPEDDLESNESSGIPVGPETPLPSLRKLISTEPSPLLAVHLVDIVYSYCFTLRVYNGEWQSDAIGSAMVVLSISCVLGQAGQPETVREAVSYCLEQTCSPAYRHIGGLQFGLALVDDVATLLSLGGPALICMLFDLQRMIQAGEKELKSEKPRMLRKAEIKSKLKLAERKVHFIMCWVHEQPGEAWSSLGAMVKAEKSSFMDYGGSKSFSKRENKAENKGKVLIEEM >EOY20798 pep chromosome:Theobroma_cacao_20110822:3:1304051:1305162:-1 gene:TCM_012146 transcript:EOY20798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFVRVQLLVKFLNGPYNYENWKACVQNYLSRNLLSGEIPSSLGNLLKLERLNLSFNRLQGEVPSSLGKLTSLDMLNLSNNHLQGELPSTFSGFPLSSFSGNDKLCGPPLSSCMDSAGHEKNKLSNTAVICIILAIVFTSTVICLVLIYIMLRIWCNWRKVPIFNSEGAGIE >EOY22955 pep chromosome:Theobroma_cacao_20110822:3:25544008:25549279:1 gene:TCM_014983 transcript:EOY22955 gene_biotype:protein_coding transcript_biotype:protein_coding description:FK506-binding protein, putative isoform 1 MGFWGIEVKPGKPHPYHSDNVRGKLHITQATLGLGSSTERAVLQCSVGHKSPIILCSLLPNQNETCSLDLKFDEDDDLVAFSVIGPRSIHLSGYFVAADGDHLRDEYESDSYGEDIAETESEDESSDYDSDDAYEHDFIDDDDDLEFFPPSPVPNSGVVIEEIVDEQKPTNGNVESKRLKKKNKSMDSEARSNSQQQLVVKGGAGVKVLESEDEDGFPVSASHKSEDAIQEPQPETEKQREKITAEEDKKPTKDGSEKKRKVKSTDEDGQQERKKRKKKKKQQKEKGTDNINGLLDEVQPLKVESQDPEQVLPIGNKHDQPSNDMALDSDADNVPGENLAEKKKKKKKKKKSAQENQVDANAAVSQSGDKDTSTLKSEEKQTAGKCSQVRTFPNGLVIEELAMGKPDGKRASRGKQVSVHYIGKLKKNGKIFDSNVGRAPFKFRLGIGEVIKGWDVGVEGMRIGDKRKLIIPPSMGYGSKGAGSRIPPNSWLEFDVELVGVR >EOY22956 pep chromosome:Theobroma_cacao_20110822:3:25544211:25548223:1 gene:TCM_014983 transcript:EOY22956 gene_biotype:protein_coding transcript_biotype:protein_coding description:FK506-binding protein, putative isoform 1 MGFWGIEVKPGKPHPYHSDNVRGKLHITQATLGLGSSTERAVLQCSVGHKSPIILCSLLPNQNETCSLDLKFDEDDDLVAFSVIGPRSIHLSGYFVAADGDHLRDEYESDSYGEDIAETESEDESSDYDSDDAYEHDFIDDDDDLEFFPPSPVPNSGVVIEEIVDEQKPTNGNVESKRLKKKNKSMDSEARSNSQQQLVVKGGAGVKVLESEDEDGFPVSASHKSEDAIQEPQPETEKQREKITAEEDKKPTKDGSEKKRKVKSTDEDGQQERKKRKKKKKQQKEKGTDNINGLLDEVQPLKVESQDPEQVLPIGNKHDQPSNDMALDSDADNVPGENLAEKKKKKKKKKKSAQENQVDANAAVSQSGDKDTSTLKSEEKQTAGKCSQVRTFPNGLVIEELAMGKPDGKRASRGKQVIPSALSFQLVNFPLLTKNTSVLYFSLQS >EOY23397 pep chromosome:Theobroma_cacao_20110822:3:27261974:27270447:-1 gene:TCM_015302 transcript:EOY23397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MIAVFVNNSLSTEAVDLYSQMEACGIEPDSFTIASVLPACGDLSAIFLGRRIHEYVERKKLLPNLALENALIDMYAKCGCLQEAKAVFDQMKFRDIVSWTSLISAYGMSGQGQYKIIPRVEHFACMVDLLGRSGQVEEAYNFIRQMPIEPTERVWGTLLGACWMHSNMYIGLLAADHLFQFAPEPSGYYVLLSNIYAKAGRWEDVTTVRSIMKSKGIKKMAGGSNTEINNQVYTFLAGDRSHPPSKSIYEELDVLVGEMKEAGYVPEIHSALHDVEEEDKECHLAVHSEKLAIVFAILNTEPGTPIRITKNLRICGDCHIAAKLISQIAEREIIVRDTYRFHHFQNGVCSCGDSWHGRFSILSEAFMIEMGADMPRGLPAAPAAAGSGYIPPNVLTSQILPVIKSSAKYGGVMLWSKFFDDRNGYSASILNSVSVKEIRTMGAPPPAFTIRHPDYAISDNVTPFRDYDYFGALWDPVPFFSSKQTSEEWTTNELITFEQLEEYGAYYVGERSDMYAVSHFVYYVDGEYMPVFRKITGYDMFTYCRRRIMRRENRRGYATPRAFPKPVCLNPPANSQEQPKRQDTVIK >EOY21764 pep chromosome:Theobroma_cacao_20110822:3:18095810:18102131:-1 gene:TCM_013879 transcript:EOY21764 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-binding cassette A2 isoform 1 MNLQRGFALLYQQFKALLKKNLLLSWRNKRATFLQLFSSLFFVFLIFCIQKSTDARNANSTAYEVLRDPKPLVAPAIPPCEDKFFVKLPCFDFVWSGNESQTFDRIVRAIRENNPGRPIPESKVKWFRTRGEVDDWLFNNRMHVPGALHFTQVNASVISYGLQTNSTPLAKRGQFEDPTLKFQVPLQVAAEREIARSLIGDPNFRWIVELKEFPHPAMETLAALALVGPTFFLAIAMFSFVFQIGSLVSEKELKLRQAMTMMGLLDSAYWLSWLTWEGIMTLLSSLFIILFGMIFQFDFFLNNNFAVIFLVFFLFQLNMVGFAFLLSPFISKSSSATTIGFSIFIVGFFTQIITSNGFPYDKSFSQGLQNVWSLFPPNLLAQALKLLSDATSTPEDIGVSWSRRTKCAPNDEQCVITINDIYIWLVATFLVWVVLAIYFDNIIPNASGVRKSIFYFLRPGYWTGKGGKEREGGICSCIGSAPPVEHITPDDGDVLEEENLVKTQTGEGAVDLNVAVQIRGLAKTYPGSRKIGWCCKCKKTSPYHAVKGLWVNFAKNQLFCLLGPNGAGKTTAINCLTGITPVTSGDALIYGYSIRSSVGMSNIRRIIGVCPQFDILWNALSGKEHLELFASIRGLPPATIKSVVQKSLAEVRLTEAAKVRAGSYSGGMRRRLSVAAALLGDPKLVILDEPTTGMDPITRRHVWDIIESAKKGRAIILTTHSMEEADVLSDRIGIMAKGRLRCIGTSIRLKSRFGTGFIANVSFTGSNNGLSPPNGDAVAPTYHQESVKQFFKDHLDVVPKEENRAFLTFVIPHDREKLLTKFFMELQEREQEFGIADIQLGLTTLEEVFLNIARQAELESAAAEGRLVTLTITSGASVQIPVGARFVGIPGTESAENPRGIMVEVYWEQDDSGALCISGHSAEIPLPPNSQPLASLAATNRNSLGRRGPIHGIVISPDETFTPSS >EOY21765 pep chromosome:Theobroma_cacao_20110822:3:18096829:18102108:-1 gene:TCM_013879 transcript:EOY21765 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-binding cassette A2 isoform 1 MNLQRGFALLYQQFKALLKKNLLLSWRNKRATFLQLFSSLFFVFLIFCIQKSTDARNANSTAYEVLRDPKPLVAPAIPPCEDKFFVKLPCFDFVWSGNESQTFDRIVRAIRENNPGRPIPESKVKWFRTRGEVDDWLFNNRMHVPGALHFTQVNASVISYGLQTNSTPLAKRGQFEDPTLKFQVPLQVAAEREIARSLIGDPNFRWIVELKEFPHPAMETLAALALVGPTFFLAIAMFSFVFQIGSLVSEKELKLRQAMTMMGLLDSAYWLSWLTWEGIMTLLSSLFIILFGMIFQFDFFLNNNFAVIFLVFFLFQLNMVGFAFLLSPFISKSSSATTIGFSIFIVGFFTQIITSNGFPYDKSFSQGLQNVWSLFPPNLLAQALKLLSDATSTPEDIGVSWSRRTKCAPNDEQCVITINDIYIWLVATFLVWVVLAIYFDNIIPNASGVRKSIFYFLRPGYWTGKGGKEREGGICSCIGSAPPVEHITPDDGDVLEEENLVKTQTGEGAVDLNVAVQIRGLAKTYPGSRKIGWCCKCKKTSPYHAVKGLWVNFAKNQLFCLLGPNGAGKTTAINCLTGITPVTSGDAKLVILDEPTTGMDPITRRHVWDIIESAKKGRAIILTTHSMEEADVLSDRIGIMAKGRLRCIGTSIRLKSRFGTGFIANVSFTGSNNGLSPPNGDAVAPTYHQESVKQFFKDHLDVVPKEENRAFLTFVIPHDREKLLTKFFMELQEREQEFGIADIQLGLTTLEEVFLNIARQAELESAAAEGRLVTLTITSGASVQIPVGARFVGIPGTESAENPRGIMVEVYWEQDDSGALCISGHSAEIPLPPNSQPLASLAATNRNSLGRRGPIHGIVISPDE >EOY23191 pep chromosome:Theobroma_cacao_20110822:3:26565601:26566791:-1 gene:TCM_015168 transcript:EOY23191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transport protein family MIHLKDVTNHTQKAPIIRLTTSSKFSAFIKATCAKFFFSFSLFAQAFSFFLITKMAQKVVLKVLTMTDVKTKQKAIEAAADIYGVDSIAADLKDQKLTVVGQMDAVAVVKKLKKVGKVDIISVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >EOY25451 pep chromosome:Theobroma_cacao_20110822:3:34363700:34367847:-1 gene:TCM_016759 transcript:EOY25451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQAPWLVGGDFNSIVSCDERLHGAIPHDGSMEDLSSTLLDCGLLDAGFEGNSFTWTNNRMFQRLDRVVYNHEWAEFFSSTRVQHLNRDGSDHCPLLISCSNTNTRGPSTFRFLHAWTKHHDFLPFVEKSWNAPTQASGMTTLWYKQQRLKRDLKWWNKHIFGDIFKTLRLAEAEAEQRELIFQQNPSAINRDLMHKAYAKLNRQLTLQKIMVFLQEYEHVSGQKVNHQKSCFITSDGCPLSRRQIITRTTGFQHKTLPVIYLGAPLHKGPKKVFLFDSLITKIRDRISGWENKILSPGGRITLLRSVLSSLPMYLLQVLKPPAIVIEKIERLFNSFLWGDSNEGKRMHWAAWNKITFPCSEGGLDIRNLNDVFEAFTLKLWWRFQTCDSLWTHFLKTKYCLGRIPHYVHPKLHDSLVWKRMIRGREVAFRNIRWKIGKGDLFFWHDCWMGNQPLVMSFPSLRNDMSLVHNFYNGDTWDVDKLKAYLPMNLIDEILLIPFNRTQQDVAYWTLTSNGEFATWSAWETIRQRKSSNALCSFIWHRSIPLSISFFLWRALNNWIPVELRMKEKGIQLASKCVCCNSEESLMHVLWGNSVAKQVWAFFGKFFQIYVLNPQHVSQILWAWFFSGDYVKKGHIRSLLPIFICWFLWLERNDAKHRHTRLNPDRVVWRIMKLLRQLLDGSLLHQWQWKGDTDIASMWGHTFQSKHRAPPQIIYWRKPFTGEYKLNVDGSSRNGHLAASGGILRDHTGKLIFGFSENIGLCNSLQAELRALLRGLLLCKERHIENLWIEMDALAVIQLIQHSQKGSHDIRYLLESIRKCLSCISYRISHIFREGNQAADYLANEGHSHQNLCVITEAQGELHGMLKLDRLNLPYVRFK >EOY23153 pep chromosome:Theobroma_cacao_20110822:3:26442103:26445856:-1 gene:TCM_015144 transcript:EOY23153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein phosphatase inhibitors MNRRVRWDEANLGEIEANKPIRQKITEPKTPYHPMIEDDGSLSPVRRSFNDCIGDAMDAEKLRSALNDVASSSRKTTGRSGGWTSSEDEADPMDQEEDSETDRSGMSFKEHRKAHYDEFLKVKELRRKGSFLDDEDDDVEGDSSSSLSSGVKDIDIEEGTSTLPQKSSARPANGV >EOY24463 pep chromosome:Theobroma_cacao_20110822:3:31061716:31065944:1 gene:TCM_046742 transcript:EOY24463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 706, subfamily A, polypeptide 4, putative MTLISTSLRFDYSYSKIWSWLSKNTNGIDDFSRLFFTIVGIFAVFWYTWMYIRSKNKGKPPSPPGPRGLPLVGSLPFLQPDLHSYFAELARTYGPVVKLQLGSKLGILVTSPSAAREVLKDQDIVFANRDVPVAGMLLTGGRDIVWNPYGPEWRMLRKVCVLKMLSNATLDKVYSLRRREVRETVGYMHSTAGSPVNVGEQMFLTILNVVTSMLWGGTVEGDARASLGAEFRHVISEVTEILGLPNISDFFPVLAPLDLQGLIKRIGKPVERLNGIVDKIIDQRLKAEREIGSTAGNFKDFLQFLLQLKDEEDSKTPMTMNHIKALLLDMVVGGSETSSNSIEFTLAEVINKPEVMRKAQQELEEVVGKDKIVEETHIHKLPYLLAIMKESLRLHPALPLLVPHSPSEDCSVGGYCIPKGCRVFVNAWAIHRDPSVWENPLEFNPDRFLNSKWDFSGSDFNYFPFGSGRRICAGMAMAERMVLYSIATLLHSFDWKVPEGMKLDLTEKFGIVLKLKNPLVAVPTPRLSNTALYE >EOY20616 pep chromosome:Theobroma_cacao_20110822:3:345083:348333:1 gene:TCM_011989 transcript:EOY20616 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 74B1, putative MQNQRVHVLVVAYPAQGHLNPLIQFAKRLASKGVKATVAATPYTINSIHPNSITVEPISDGFDEGGFKQAPSVEAYLESFKTVGSRTLAELIAKFNNSGEPVSCVVYDSLLFWAVDVAKEFGIRAAMMLTNSASVCSLYWQINQGRLALPLDQETLAASVPGLPSLSFSDMPSFLAHPTSQSAYLALIMDKIRTLGRNDWVFCNSFEELESELVKALKELWPVITIGPLVPSFYLDHQIEGDTSYGASLWKQNNDQCLRWLDSKPLRSVVYVSFGSMASISTRQFEEIAWGLKASNMPFLWVTKEFEDKLLLESIDSVGERGLFVTWCNQLEVLAHQAVGCFVTHCGWNSTLEGLSLGVPMVCVPQWSDQPTNAKFVEDVWMVGVRAKKDEEGIVRREELGKCLREVMVGEKTREIKSSASKWREHAKTAVSVGGSSDRNIDEFIRKLLTAKEKKA >EOY23772 pep chromosome:Theobroma_cacao_20110822:3:28546699:28551303:-1 gene:TCM_015565 transcript:EOY23772 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MAKEYSGSPKHHQLEAKRKRLTWILGVSGLCILFYVLGAWQNSTTPTTGSDVYSRVGCDGNATTSGDGNSPVNPSSTNLDFASHHQVEVDSSKTVSQFPPCDMSFSEYTPCQDKVRGRKFDRDMLKYRERHCPTKEELLLCLIPAPPKYKTPFKWPQSRDYAWYDNIPHRELSIEKAIQNWIQVEGDRFRFPGGGTMFPRGADAYIDDIGQLIPLTDGTIRTAVDTGCGVASFGAYLLKRNVLTMSIAPRDTHEAQVQFALERGVPAMIGIMGSQRLPYPARAFDLAHCSRCLIPWQKYDGLYLTEVDRILRPGGYWVLSGPPIHWKKYWRGWERTQEDLKQEQDAIEDVAKRLCWKKVIENNDLSVWQKPINHIECIKSKKVIKTPHICKSDNPDTAWYRDLEACITPLPEVSSSDDVAGGAVEKWPERAFAVPPRISSGSIPGITAEKFREDNELWNDRVEHYKRIISLLPTGRYRNIMDMNAYLGGFAAALLKYPVWVMNVVPANSDHNTLGAIYERGLIGTYQDWCEAFSTYPRTYDLIHASGLFSIYQDRCDITYILLEMDRILRPEGTVIFRDSVELLVKIKSITDGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEATEKQS >EOY22641 pep chromosome:Theobroma_cacao_20110822:3:24301381:24303466:1 gene:TCM_014752 transcript:EOY22641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MEIGEDRSDLEKEGEEEEEDSVFDLLRDRFRLSAISIAEAEAKRNGMEILEPIVACIADLAFKYTGQLAKDLELFAQHAGRKSVKMEDVIVSAHRNEHLAAVLMSFRNKLKAKEPQSERKRKKGSRKEDKATDSVVHVPDA >EOY23396 pep chromosome:Theobroma_cacao_20110822:3:27251393:27261927:-1 gene:TCM_015301 transcript:EOY23396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acidic endochitinase MATKTQAITIFLSLLVLALIEVSHAGGIAIYWGQSGSETTLNTTCNSGLYKYVNIAFLNKFGSGRTPGLNLAGHCNPANGGCRVASSAIRNCQSKGIKVMLSIGGGIGQYSLASKADAQRVAAYLYNNFLGGRSPSRPLGSAVLDGIDFDIELGSTNYWADLARYLAAYSKPGRKVYLSAAPQCPIPDRFLGAALSTGLFDYVWVQFYNNPPCQYSPGNTSKLLASWKRWAAIGAIKKLFLGLPAAKAAAGSGYIPPGVLTSKILPEIKKSPKVLKSRVVQFFGQPGEGGSGTPGVGGQNPHHA >EOY23952 pep chromosome:Theobroma_cacao_20110822:3:29112078:29113416:1 gene:TCM_015685 transcript:EOY23952 gene_biotype:protein_coding transcript_biotype:protein_coding description:R2r3-myb transcription factor, putative MGRAPCCTKVGLKRGPWTATEDTLLTNYIKSHGEGHWRSLPIKAGLLRCGKSCRLRWMNYIRPDIKRGNITPDEDDLIIRLHSLLGNRWSLIAKRLPGRTDNEIKNYWNSHLSKRVKKSETNSSNSKEASRSSRKANKTSEKKQKSKEKQEGANPTATTTVHQPKASRVSPFSLLTGSSGFDSKISGPSSCGEGSRSGANSDTDVFDFPPYWPDGNAGAATNSGKAMVYDENQQEFPYGFDTGVGDGNMLDDIFQEYQKLLVVDHDPGERNSFADSLLI >EOY21705 pep chromosome:Theobroma_cacao_20110822:3:17456068:17478627:-1 gene:TCM_013807 transcript:EOY21705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative MKRLNTFVDVQHNEEDDEDDEAEGEFDETKEESDNKDENDIDDAEDEKKENEHLTETTKVVTCLYIRNSSHALALAESHSHAMVHVKCTQILTSRDTLHTTLQSSAKSSETEAESKLCMEDSSHSSSVVEDPLSSPARRGGWRAIMFIIGNETFEKVASMSLISNISVYLKTEYNMGGVSVVNVVNIWSGFSNITGVAGAYIADACLGKFLTLLFGSIASFLGMAMMTLASAVPRFRPSACKGESNCPQPQGWQLAILFAGLGMLSIGAGGIRPCNISFGADQFDTSTKKGRQQLATFFNWWYFFFTVALVVALTAVVYVQTNISWAVGFAIPAACLALSTIIFLIGYNTYNYVKPQGSIFVDMVKVIAAASKKRHFTITPGWDYPLYNPPVAGSDPSAMELPHSEWFKFLDKASIITDPSELDNHGMAKNSWRLCSLQTVEQLKCLLAVLPVWGSAIVYSIVLEQCSTFGILQAIQMSNSIGSHFKIPAGWMNLVPMLVVAVWIFIYECIYIPLARKITKQDKRLTIQQRLGTGFVMSILSMLASGIVEKKRRDSALNHGLFASPYSLALLLPQFVLAGLAQAFASVALMEFLTTQMPESMRTVAGALFFLALSTAGYLGSLLVTIIQNVTEKFGKTPWLGGSDLNKNKLDLYYYVIATLGVVNLLYFLFFASRYVINNSDENRTEVRLERPGARGSRSTSQCGLADEEKVVGIA >EOY22212 pep chromosome:Theobroma_cacao_20110822:3:22440353:22441105:-1 gene:TCM_014438 transcript:EOY22212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTSGSSTPGRGLLPWNSPIPYVFGGLFIIFGIIAVALLVLACSPQRPSPEFPSGKEDKYKERIQASATLQPKIVVIMAGDHLPTYIAKPCPRIPPI >EOY23178 pep chromosome:Theobroma_cacao_20110822:3:26521318:26524482:-1 gene:TCM_015161 transcript:EOY23178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane protein family isoform 3 MAIRALHLTIVPHALAVAAAVMVLVWCIYFRGGLAWASSNKNLIFNLHPVLMLIGFIILGGEAIMSYKSLPLSKDVKKLIHLVLHGIALILGIIGIYTAFKYHNESSIVNLYSLHSWLGMGIIVLYGIQWIYGFLVFFYPGGSAGLRSESLPWHVLLGLFVYILAIGNASIGFLEKLTFLENSGLAKYGAEAYLVNFTAVVTILYGAFVIFTVFAKAPSEDDNSYSAI >EOY23179 pep chromosome:Theobroma_cacao_20110822:3:26521307:26526796:-1 gene:TCM_015161 transcript:EOY23179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane protein family isoform 3 MCLTILLFLQLHPVLMLIGFIILGGEAIMSYKSLPLSKDVKKLIHLVLHGIALILGIIGIYTAFKYHNESSIVNLYSLHSWLGMGIIVLYGIQWIYGFLVFFYPGGSAGLRSESLPWHVLLGLFVYILAIGNASIGFLEKLTFLENSGLAKYGAEAYLVNFTAVVTILYGAFVIFTVFAKAPSEDDNSYSAI >EOY23177 pep chromosome:Theobroma_cacao_20110822:3:26521307:26529610:-1 gene:TCM_015161 transcript:EOY23177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane protein family isoform 3 MAITALYLTIVPHALAVAAAVMVLVWCIHFRGGLAWASSNKNLIFNLHPVLMLIGFIILGGEAIMSYKSLPLSKDVKKLIHLVLHGIALILGIIGIYTAFKYHNESSIVNLYSLHSWLGMGIIVLYGIQWIYGFLVFFYPGGSAGLRSESLPWHVLLGLFVYILAIGNASIGFLEKLTFLENSGLAKYGAEAYLVNFTAVVTILYGAFVIFTVFAKAPSEDDNSYSAI >EOY25331 pep chromosome:Theobroma_cacao_20110822:3:33958032:33959053:1 gene:TCM_016679 transcript:EOY25331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFAGPAGTIQASSDFLSEADFDAKSVAKAKTCSKFNTQTRLGNMEYEPYQPAQQFLARLLFSVIFLEKLHVKHN >EOY21258 pep chromosome:Theobroma_cacao_20110822:3:5232702:5234832:-1 gene:TCM_012698 transcript:EOY21258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSLNSATFFPLFFYLFFTILLPASFSISNPDPANRQPLPITASPPATIPAFPEQSNVVGCPLDLPEELFHGIKSACGTKNENGITGQLHRSRCCPVLATWLYAAYSATALSGAGRVVPAVAGRTPSYDMPLLPDDSETCVDDLGKALRQKGIELAKPNETCDVVYCYCGIRLHPLSCPEAFSVDQSGNLVGDENVERLEKNCLSSSSNVNGFPGLGGCNKCLKSLHLKNTLNSSKSEDRTTKMRNKDCQLMGLTWLLAKNRTAYIRTVSA >EOY21257 pep chromosome:Theobroma_cacao_20110822:3:5232383:5234831:-1 gene:TCM_012698 transcript:EOY21257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSLNSATFFPLFFYLFFTILLPASFSISNPDPANRQPLPITASPPATIPAFPEQSNVVGCPLDLPEELFHGIKSACGTKNENGITGQLHRSRCCPVLATWLYAAYSATALSGAGRVVPAVAGRTPSYDMPLLPDDSETCVDDLGKALRQKGIELAKPNETCDVVYCYCGIRLHPLSCPEAFSVDQSGNLVGDENVERLEKNCLSSSSNVNGFPGLGGCNKCLKSLHLLNKKNTLNSSKSEDRTTKMRNKDCQLMGLTWLLAKNRTAYIRTVSAVLRAIMMSKAGSDPSSCTLNSDGMPLAVDSSEIYDQSSSVTLYLSQHLSLLALCLLLIHLIVLSIIQV >EOY21259 pep chromosome:Theobroma_cacao_20110822:3:5233544:5234832:-1 gene:TCM_012698 transcript:EOY21259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSLNSATFFPLFFYLFFTILLPASFSISNPDPANRQPLPITASPPATIPAFPEQSNVVGCPLDLPEELFHGIKSACGTKNENGITGQLHRSRCCPVLATWLYAAYSATALSGAGRVVPAVAGRTPSYDMPLLPDDSETCVDDLGKALRQKGIELAKPNETCDVVYCYCGIRLHPLSCPEAFSVDQSGNLVGDENVERLEKNCLSSSSNVNGFPGLGGCNKCLKSLHLVGDFNFSLF >EOY23601 pep chromosome:Theobroma_cacao_20110822:3:27994662:27996633:-1 gene:TCM_015444 transcript:EOY23601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein, putative isoform 2 MAKAVVYTFLATAFIILFVFFQNKRHGHHTRSGLSRRLGYKAPHFDPLVARLERSAEEKGLSYHVDPEHISYVPEVADADEFFGDDGTLNTTLRLMILFPLLDSAPKDGLISAKELGAWIGQQAMDRLSFRTNKVMSWHDKNGDGAISFSEYLPHFNENDIAKNRKEHGEAGWWMEQFNNADLNSNGTLDFNEFKDFLHPEDSDNEEIQKWLLREKMKRMDDDHDGKLNFKEFLDYAYNIYKSYAEFETAAALAPTAEEKFVELDINEDKYLELDELRPILRYLYPGELFYAKYYTSYLIYEADDNKDGNLTMEEILNHESIFYNSLYDDSIDDDDYDDDHDEL >EOY23602 pep chromosome:Theobroma_cacao_20110822:3:27994694:27996597:-1 gene:TCM_015444 transcript:EOY23602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein, putative isoform 2 MAKAVVYTFLATAFIILFVFFQNKRHGHHTRSGLSRRLGYKAPHFDPLVARLERSAEEKGLSYHVDPEHISYVPEVADADEFFGDDGTLNTTLRLMILFPLLDSAPKDGLISAKELGAWIGQQAMDRLSFRTNKVMSWHDKNGDGAISFSEYLPHFNENDIAKNRKEHGEAGWWMEQFNNADLNSNGTLDFNEFKERMDDDHDGKLNFKEFLDYAYNIYKSYAEFETAAALAPTAEEKFVELDINEDKYLELDELRPILRYLYPGELFYAKYYTSYLIYEADDNKDGNLTMEEILNHESIFYNSLYDDSIDDDDYDDDHDEL >EOY24021 pep chromosome:Theobroma_cacao_20110822:3:29361817:29363851:-1 gene:TCM_015731 transcript:EOY24021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDCLVLPVSLLRRRCTTSGLRYRPLRQDGYGDLDRQVTVVVGKEKREFLVDPFVLEESPFRALIDTMKKEYGSQVDARREKRRVIFVDVDAILFEHMLWLMNNDCSSLFQLNLEEIIDFYAQDN >EOY23256 pep chromosome:Theobroma_cacao_20110822:3:26813571:26816491:-1 gene:TCM_015214 transcript:EOY23256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion symporter isoform 3 MAMASSVKVVLGSIAFAIFWVLAVFPAVPFLPVGRTAGSLLGAMLMVLFRVITPDQAYDAIDLPILGLLFGTMVVSVYLERADMFKYLGKLLAWKSKGAKDLICRICLISAISSALFTNDTSCVVLTEFVLKIARQHNLPPHPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGDFLIGILPAMLVGVLVNALLLLCMYWRLLSVQKDEEDPSGAAVAEEDVSSHRFSPATMSHFSSLNPQEWNSTLDSKNVMRSPNANGSTAHVETLRNRGNSADQNEIQRVPSGSIDSARNSNASKEATTDDHSHGKEEPVALKMIALTDSLQDVCSVQSSEEKENLPRRWKRRFWKFSVFFVTMGMLISLLMGLNMSWTAITAALALIVLDFQDARPCLEKVSYSLLIFFCGMFITVDGFNKSGIPSTLWDLMEPYAKINHVSGIAILAIVILGLSNLASNVPTVLLLGGRVAASAAAISASDEKKAWLMLAWVSTVAGNLSLLGSAANLIVCEQARRAPQLGYTLSFWKHLKFGVPSTLIVTAIGLTLFR >EOY23258 pep chromosome:Theobroma_cacao_20110822:3:26813848:26815791:-1 gene:TCM_015214 transcript:EOY23258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion symporter isoform 3 MLMVLFRVITPDQAYDAIDLPILGLLFGTMVVSVYLERADMFKYLGKLLAWKSKGAKDLICRICLISAISSALFTNDTSCVVLTEFVLKIARQHNLPPHPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGDFLIGILPAMLVGVLVNALLLLCMYWRLLSVQKDEEDPSGAAVAEEDVSSHRFSPATMSHFSSLNPQEWNSTLDSKNVMRSPNANGSTAHVETLRNRGNSADQNEIQRVPSGSIDSARNSNASKEATTDDHSHGKEEPVALKMIALTDSLQDVCSVQSSEEKENLPRRWKRRFWKFSVFFVTMGMLISLLMGLNMSWTAITAALALIVLDFQDARPCLEKVSYSLLIFFCGMFITVDGFNKSGIPSTLWDLMEPYAKINHVSGIAILAIVILGLSNLASNVPTVLLLGGRVAASAAAISASDEKKAWLMLAWVSTVAGNLSLLGSAANLIVCEQARRAPQLGYTLSFWKHLKFGVPSTLIVTAIGLTLFR >EOY23257 pep chromosome:Theobroma_cacao_20110822:3:26813622:26816510:-1 gene:TCM_015214 transcript:EOY23257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion symporter isoform 3 MAMASSVKVVLGSIAFAIFWVLAVFPAVPFLPVGRTAGSLLGAMLMVLFRVITPDQAYDAIDLPILGLLFGTMVVSVYLERADMFKYLGKLLAWKSKGAKDLICRICLISAISSALFTNDTSCVVLTEFVLKIARQHNLPPHPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGDFLIGILPAMLVGVLVNALLLLCMYWRLLSVQKDEEDPSGAAVAEEDVSSHRFSPATMSHFSSLNPQEWNSTLDSKNVMRSPNANGSTAHVETLRNRGNSADQNEIQRVPSGSIDSARNSNASKEATTDDHSHGKEEPVALKMIALTDSLQDVCSVQSSEEKENLPRRWKRRFWKFSVFFVTMGMLISLLMGLNMSWTAITAALALIVLDFQDARPCLEKVSYSLLIFFCGMFITVDGFNKSGIPSTLWDLMEPYAKINHVSGIAILAIVILGLSNLASNVPTVLLLGGRVAASAAAISASDEKKAWLMLAWVSTVAGNLSLLGSAANLIVCEQARRAPQLGYTLSFWKHLKFGVPSTLIVTAIGLTLFR >EOY23259 pep chromosome:Theobroma_cacao_20110822:3:26785723:26816516:-1 gene:TCM_015214 transcript:EOY23259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion symporter isoform 3 MAMASSVKVVLGSIAFAIFWVLAVFPAVPFLPVGRTAGSLLGAMLMVLFRVITPDQAYDAIDLPILGLLFGTMVVSVYLERADMFKYLGKLLAWKSKGAKDLICRICLISAISSALFTNDTSCVVLTEFVLKIARQHNLPPHPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGDFLIGILPAMLVGVLVNALLLLCMYWRLLSVQKDEEDPSGAAVAEEDVSSHRFSPATMSHFSSLNPQEWNSTLDSKNVMRSPNANGSTAHVETLRNRGNSADQNEIQRVPSGSIDSARNSNASKEATTDDHSHGKEEPVALKMIALTDSLQDVCSVQSSEEKENLPRRWKRRFWKFSVFFVTMGMLISLLMGLNMSWTAITAALALIVVDFQDAQPCLEKVSYSLLIFFCGMFITVDGFNKSGIPSTLWNL >EOY21999 pep chromosome:Theobroma_cacao_20110822:3:20314508:20317425:-1 gene:TCM_014160 transcript:EOY21999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease or glycosyl hydrolase with C2H2-type zinc finger domain, putative MSQSQQLPQSSFTYLPHSPNSLPNTLLPFPQPPNLLSQPLLYPPGTEPYAHSGHLTLTHVEFEAHAQFHDPNVGQSWITRQADPIKYDTTLSVETLNSSNNNSWMNQSLANDVIGSTPNETQLLQPVWCEVCNVDCNTKDVYEKHLMGKKHQKNLQAKTNLTTVAFPETSNTINNVSIVGQAGNVGGQMIVGASGVANGQELEKKKQKLLNAGAAVDSVRICTICNVACNSHEVFSKHLSGRRHAAQAGLIAVNGIGPYLAAIRANDHFWIKGKKPNKVNQSAWCEVCQINCNSNDAYAKHLSGRKHLKNLEKLEKSKNGTGDPSSTGATNLVIGPVENPVAKNSSSDNVQKSEKRAEQPEAPKEDLEMKKRKVMEGGAAAAAVRVCTICNVVCNSQKVFNYHLTGQKHAAMVKKQADARISTATPQTIPS >EOY23083 pep chromosome:Theobroma_cacao_20110822:3:26090687:26093917:1 gene:TCM_015081 transcript:EOY23083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Per1-like family protein MNRMAPCGLILLFFALSFLVPSLDASEGDADPIYKACLEDCEKSGCVGETCFQHCKFSSDGKPIDGPWYLQEPLYQKWKQWDCRTDCRYHCMLAREEQRGNLGDKPVKYHGKWPFRRVYGIQEPVAVAFSALSLAIQFHGWISFFILLYYKLPLRPNKRTYYEFTGLWHIYGVLAMNFWFWSAVFHSRDVELTEKLDYSSAVALVGFSLILSILRAFDVRDEASRVMVAAPLIAFVTTHILYLNFYKLDYGLNMKVCMAMGVAQLLIWGVWAGVTSHPSRWKVWLFVVGGSLAVLLEIYEFPPYRGFVDAHALWHAASIPLTYICWSFVRDDAEFRTTTLLKKIK >EOY22243 pep chromosome:Theobroma_cacao_20110822:3:22590271:22594425:-1 gene:TCM_014468 transcript:EOY22243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium sensing receptor MAVQMTVRVSATARLSLPPLSSSPSSPSPSGASSTSKVSLKPQFKPISLSLPTSTAISLLALFSPPHEAKAVSLSKEQIVSSLTEVEKTLNQVQEAGSTAFDTVQQFLDIVGKALKPAIDAGMPIAQKAGEEALKAASPAISEASKKAQEVIQSTGLDTEPVLSAAKTVADAAKQTTKVIDAAKPLASSTFETITSSDPITIVGTAGALFVAYLLFPPIWSAISYGLRGYKGDLTPAQALDLISMQNYIMIDIRSDKDKDRAGVPRLPSSAKKRMFAIPLEELPSKLRGLVRNAKKVEAEIAALKIAHLKKVNKGSNIVILDSYCDSAKITARTLKSLGFNNCCVVADGFSGSRGWLQSSLGTDTYSFSLVEVVSPSRVIPSAVKRIGTASTKFLPGAE >EOY23467 pep chromosome:Theobroma_cacao_20110822:3:27524221:27524932:1 gene:TCM_015357 transcript:EOY23467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVLATNSRGARTPPSPGCPKNWTTRLFKSTCNPQRERRRRKNQKTPAVSHRKRTKKQKKQAKKREKKKRERGERSAKEKERKKKPTGRRRRRRKKGRKKKKKKEKGDPRGERREREGERKKERRSQREKKEKEKERKEEEKEKREGGPAGREKKRKRKRKKEKERKERKKERKGK >EOY21183 pep chromosome:Theobroma_cacao_20110822:3:3889673:3891364:1 gene:TCM_012563 transcript:EOY21183 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-S glucosidase 44, putative MAHCDGRGPSICDVFVKIPVSLQLLKMRVQMSFLVFWVRLVLLALESFPKGFVFGTATSAYQFEGMAHCDGRGPSICDVFVKIPGIVAKNGTGEVSVDQYHRYKEDVDLMANLNFDAYRFSISWSRIFPDGTGKVNWKGVAYYNRLIDSLLQRVASHVFNGRAAP >EOY21140 pep chromosome:Theobroma_cacao_20110822:3:3368376:3369358:-1 gene:TCM_012501 transcript:EOY21140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat-containing protein, putative MPIQQANVISFLIIILILQSTLSSQRVQVQRKAVSKVPHQPIQILLYSFLMSVSMVNHQESSSSISRYIYDVFLSFRGVDTRKNFTDHLYIALMQAGIHTYRDDNEIERGEKIRDEIERAIYESKASIIVFSKNYASSTWCLNELVKIMEHRKFSKHIVLPIFYDVNPSQVKKQTGSFAEAFARHEESFKSEMDMVQRWRAALREVADLGGMLLEDR >EOY20879 pep chromosome:Theobroma_cacao_20110822:3:1629500:1630812:-1 gene:TCM_012219 transcript:EOY20879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGPRKKQKPACTQQDPENIYVGDILVADDRIPVHPQEHSGRTRGGRRGMERDTWKTAVGLCGAMMEIPRK >EOY22593 pep chromosome:Theobroma_cacao_20110822:3:24105196:24106314:1 gene:TCM_014723 transcript:EOY22593 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein GSVIVT01008618001 MESIEAKFPENPSLKTHKLVLGAQICLRIVAIATALAATWVMLTSKQTVMVFGIPFDARYSYSSAFKFFAFANAFACGFTVLSLLFVFFFSRRGLTPANYFLLFLHDLLMMSLALSGVAAGTAIGYVGRFGNIHAGWLQICDRLGKFCHKVTASLIFSYLSVLCLLVLTIISASKSRQIKV >EOY24810 pep chromosome:Theobroma_cacao_20110822:3:32304026:32305603:1 gene:TCM_016305 transcript:EOY24810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRIWQNICGSQHEWRGSDATPCSSSALLPILSDKYALYKPRQPLAHPYVGGNPTHPTEPRSISPVTTAFVSCLVVVSMPWSPNGNHKNLQYTYAYCSFICHNWPPCLCLYLAQLLERLEECVNTKGDKSRVLVDNWKANFRGETENPKAKQAWECNFRKAFWHLASLGTY >EOY25228 pep chromosome:Theobroma_cacao_20110822:3:33626127:33630840:1 gene:TCM_016608 transcript:EOY25228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor (TFIIS) family protein MDSYRDEEGEPIMDYDDIQSDPGSPEPRQDLLDDVEDDMEDWGRRERSQTPVYDTDKVGKPRKRLVKKGGSMGKESMDAPELLDEDEDANFGREGSESDARKRKKKEKSLKEKKYGGGGEKGTVAKLGKSEEVNEMWEWVNPENDQEGVRTMDDDDFIDDSGVDPADRYGSDNEARSPGDAPQAEEDGEDPEIKDLFKMGKKRKKNEKSPAEIALLVENVMAELEVTAEEDAELNRQGKPAINKLKKLPLLTEVLSKKQLQPEFLDHGVLTLLKNWLEPLPDGSLPNINIRAAILRILTDFPIDLEQHDRREQLKRSGLGKVIMFLSKSDEETTSNRKLAKDLVDKWSRPIFNKSTRFEDMRNIDDDRVPLRRPSVKRPANRAAAMESRDGDFDLDISRDHKSGRSSSGQHASRTESSSRLHASRPEATPMDFVVRPQSKIDPDEIRARAKQVVQDQRRQKMSKKLLQLKAPKKKQLQATKLSVEGRGMLKYL >EOY21906 pep chromosome:Theobroma_cacao_20110822:3:19690290:19694815:1 gene:TCM_014076 transcript:EOY21906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKQFLDDGKDKRISYNFYIYHFTQFSLEDKIRKIEQQRQIRIGIYKSLVEKNKILVNEWIWRYKKERESLWRRVIIEKNGGEPTRLIPNTLCNRTTFTMWKNIVSPFTSSDPILDMVKVGIRMVAKTGEHILFWHNKQIGYLVLL >EOY21651 pep chromosome:Theobroma_cacao_20110822:3:15466002:15472655:1 gene:TCM_013664 transcript:EOY21651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFVASKDGGFALLVIFICCAMMMYDAYKVVTLSFALAMRCDMMLLFAAAMRHDMILYVVCRLATVRDYVMSREDHSMICIMV >EOY24661 pep chromosome:Theobroma_cacao_20110822:3:31839269:31840236:1 gene:TCM_016204 transcript:EOY24661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Osmotin 34 MMSFKNISIYSFVCAALYITLAHAATFNIRNNCPYTVWAAAAPGGGRQLDHGQVWDLDVSSGTGGARIWARTNCQFDEAGQGKCQTGDCGGLLQCQGYGLPPNTLAEYALNQFSGMDFFDISLVDGFNVPMEFSPTSSGCTRGIKCSSEIVGQCPTELQAPGGCNNPCTVFKTDQYCCNSGSCGPTNFSSFFKERCPDAYSYPKDDETSTFTCPAGTDYKVVFCP >EOY24036 pep chromosome:Theobroma_cacao_20110822:3:29396656:29401995:1 gene:TCM_015741 transcript:EOY24036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEMEGSPASHITQQKAIRTVCQISLILAKPGTLLLVVLFPLHYDGRALETDCDCDRTVGFGANRNFCERQQGVTYTTNNFNEVVQFLSSKNHRGTFDLLVTTGQERSRSRGGFVINNQTPFLGLSKWVLASKSKLFRIKDKSQFTALISATDLPDG >EOY21428 pep chromosome:Theobroma_cacao_20110822:3:7850119:7851131:1 gene:TCM_012957 transcript:EOY21428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELEQKMGNNNNNGNFKAKEDMGVAVHSQVRKIKHELEQSIDWSPGQPEMRPVLREISRHQLSRSRLGLSGRPISVGHL >EOY24927 pep chromosome:Theobroma_cacao_20110822:3:32705241:32709896:1 gene:TCM_016392 transcript:EOY24927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MEALHVSSWICLLGLVLLQGNADLIEDKQALLDFVNNLRHSRSLNWNETSPVCNNWTGVTCNADGSRITAVRLPGIGLHGPIPANTISRLSALQILSLRSNGISGHFPSDFSNLRNLSFLYLQYNNFSGPLPVDFSVWKNLSIINLSNNRFNGSIPRSLSNLTHLEALNLANNSLCGEIPDLNLPSLQHINLSNNNLTGGVPKSLLRFPSSSFGGNNISSESVPPQTSPYVAPSSEPYPASKKSGRLGETALLGIIIAACVLGIVGFAFLLVVCCSRRKSDDVYSRKLQKGEMSPEKVVSRSQDANNRLFFFEGCNYTFDLEDLLRASAEVLGKGTFGISYKAVLEDATTVVVKRLKEVSVGKRDFEQQMEVVGSIRHANVVELKAYYYSKDERLMVYDYYNQGSVSSILHGKRGEDRIPLGWDARMKTAIGAARGIARIHMENGGKFVHGNIKSSNIFLNSEQYGCVSDLGLSTIMSPLAPPISRAAGYRAPEVTDTRKAMQPSDVYSFGVVLLELLTGKSPIHTTGGDEIVHLVRWVHSVVREEWTAEVFDIELMRYPNIEEEMVEMLQIAMTCVVRMPDQRPKMPELVKMLENVRHIESENRPSSGNRSESSTPPAAVIGRES >EOY24926 pep chromosome:Theobroma_cacao_20110822:3:32705241:32709896:1 gene:TCM_016392 transcript:EOY24926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MEALHVSSWICLLGLVLLQGNADLIEDKQALLDFVNNLRHSRSLNWNETSPVCNNWTGVTCNADGSRITAVRLPGIGLHGPIPANTISRLSALQILSLRSNGISGHFPSDFSNLRNLSFLYLQYNNFSGPLPVDFSVWKNLSIINLSNNRFNGSIPRSLSNLTHLEALNLANNSLCGEIPDLNLPSLQHINLSNNNLTGGVPKSLLRFPSSSFGGNNISSESVPPQTSPYVAPSSEPYPASKKSGRLGETALLGIIIAACVLGIVGFAFLLVVCCSRRKSDDVYSRKLQKGEMSPEKVVSRSQDANNRLFFFEGCNYTFDLEDLLRASAEVLGKGTFGISYKAVLEDATTVVVKRLKEVSVGKRDFEQQMEVVGSIRHANVVELKAYYYSKDERLMVYDYYNQGSVSSILHGKRGEDRIPLGWDARMKTAIGAARGIARIHMENGGKFVHGNIKSSNIFLNSEQYGCVSDLGLSTIMSPLAPPISRAAGYRAPEVTDTRKAMQPSDVYSFGVVLLELLTGKSPIHTTGGDEIVHLVRWVHSVVREEWTAEVFDIELMRYPNIEEEMVEMLQIAMTCVVRMPDQRPKMPELVKMLENVRHIESENRPSSGNRSESSTPPAAVIGRES >EOY24925 pep chromosome:Theobroma_cacao_20110822:3:32705991:32709577:1 gene:TCM_016392 transcript:EOY24925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MEALHVSSWICLLGLVLLQGNADLIEDKQALLDFVNNLRHSRSLNWNETSPVCNNWTGVTCNADGSRITAVRLPGIGLHGPIPANTISRLSALQILSLRSNGISGHFPSDFSNLRNLSFLYLQYNNFSGPLPVDFSVWKNLSIINLSNNRFNGSIPRSLSNLTHLEALNLANNSLCGEIPDLNLPSLQHINLSNNNLTGGVPKSLLRFPSSSFGGNNISSESVPPQTSPYVAPSSEPYPASKKSGRLGETALLGIIIAACVLGIVGFAFLLVVCCSRRKSDDVYSRKLQKGEMSPEKVVSRSQDANNRLFFFEGCNYTFDLEDLLRASAEVLGKGTFGISYKAVLEDATTVVVKRLKEVSVGKRDFEQQMEVVGSIRHANVVELKAYYYSKDERLMVYDYYNQGSVSSILHGKRGEDRIPLGWDARMKTAIGAARGIARIHMENGGKFVHGNIKSSNIFLNSEQYGCVSDLGLSTIMSPLAPPISRAAGYRAPEVTDTRKAMQPSDVYSFGVVLLELLTGKSPIHTTGGDEIVHLVRWVHSVVREEWTAEVFDIELMRYPNIEEEMVEMLQIAMTCVVRMPDQRPKMPELVKMLENVRHIESENRPSSGNRSESSTPPAAVIGRES >EOY24821 pep chromosome:Theobroma_cacao_20110822:3:32328351:32331258:-1 gene:TCM_016313 transcript:EOY24821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein MATLTFTPSPASYLPLSQRKLAGLSPIQCLGTPKEAIRIPSSNGKAMFPEKGLLARAETSSPPTPRRIILVRHGQSEGNVDESAYTRVADPKISLTEKGKAEAEECGWRIREMIEKDGADDWKVYFYVSPYKRTLETLQHLGRAFERSRIAGMREEPRIREQDFGNFQDREKMRVDKALRLRYGRFFYRFPEGESAADVYDRITGFRETLRADIDIGRFQPPGERSPNMNLIIVSHGLALRVFLMRWYKWTVEQFERLNNMGNGNIIVMEKGYGGRYSLLMHHTEEELREFGLTDEMLINQEWQNTARPGELNYDCPVVNSFFTHFEDEGCRTLY >EOY22935 pep chromosome:Theobroma_cacao_20110822:3:25397614:25399987:1 gene:TCM_014964 transcript:EOY22935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSSAGPLNIYRNDYEIKLQMRQIQQEKGDCLTQGHISNLPERVHLDLQQNDLTEMVGIWEQWRRAHRDNFQNKYGHIAWLLYVPVDDQMLRAIVQFWDPSYRCFVFNKVDMTPTIEEYSSLLRIDHMQPDKIYWRAQKTGHRRKLAKLLGMTTVEVDQHLKKKGDTECLPWSFLNGYIKKHMEDEQGLLAFAMAIYGLVVFPKVLGHVEVSVIDFFDQGRVTDEVTTGYHTWHDQRVKNVIHPPKNPSKHPVNPEPQDVLLESELTRKRLEKEMMNMKRRHEDELEEVKKETARKVRVALKERDEWQSKFEEVSVANSSLLARIQELQSANNALQHEVQRKEQTIQELKNDCDMLETAMEGYKAQYEAVRQEYFQMRERNNSCAQSLQRKEAEMQWILRQMREVAFRARVMADKTEELRREILPKDELSERLISHLKMVRDQYDKVGFSF >EOY24094 pep chromosome:Theobroma_cacao_20110822:3:29614502:29616900:1 gene:TCM_015792 transcript:EOY24094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMYCCPQNHLLCFTFTFLFFLYFPLTIGCCKLIARKQNFLASWKEKYPVGFSDRYFHLTGRNVTCFVQRRDQRWLRLIQKKLIPTRY >EOY25113 pep chromosome:Theobroma_cacao_20110822:3:33290472:33296811:-1 gene:TCM_016526 transcript:EOY25113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fiber protein Fb17 MNGSSSNEAAAEADQVPLHQTKPKGRKRKEEDPDERKKKKNKRDRDRRAKEKVELQRLKGVEAQFKQMQDEYRELKRKNEELKSMRLNLENQEAQTNQLSSDRQLIKIGELKRLQNVESQWTKLCTEFKQMEEYVKTLRNTTDSLANDNELLKFRVPLLENEIEELTSNLHQKTDELKRLQNAESQRMQQSTQFWKLQEDFTMLRNSNDSLVKENEFLNSRVPLLENEIEQLKSSLRQKESHFMCTSIEELESFLLASPTHSEEDAANNVHITQDFSGLMEEVEKSGAVTLPPSLALIDKKIEKKYGEIAAESKQSSCTAMPSRILLCAAIKEMNELQLESIDEKKMLLWRDAINSALNINFKVDFAIEHLKKIGRAYFGLKARNDQELRSIEEKISTLQIELSNWEEKHAKKVEEQNSEVRKECLRDAEYFQGKSLSVGLLH >EOY22062 pep chromosome:Theobroma_cacao_20110822:3:21172543:21178086:1 gene:TCM_014256 transcript:EOY22062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 5 isoform 3 MEVESAASNSNAKNQRRVGLIYDQRMCKHRTPDDDYHPENPNRITVIWNRLKLAGIPERCVLLNAKEAEDKYICAVHSKKHVNLIRKISSKRYDSKRNLIASKLNSIYFNEGSSEAAYLAAGSVIEVAEKVAKGELDSAFALVRPPGHHAEFDEAMGFCLFNNVAIAATFLLDERPELDINKILIVDWDVHHGNGTQKTFWKDPRVLFFSVHRHEFGSFYPANDDGFYTMVGEGPGAGYNINVPWENGQCGDADYLAVWDHILLPVAKEFKPDVIIISAGFDAAVGDPLGGCRVTPYGYSVMLKELMDFAQGRIVLALEGGYNLDSIANSALACMEVLLEDKPISGFSEAYPFESTWRVIQAVRRKLSAFWPTLAHELPTKLTNQKAPPHILPLSSESDDEDDEASKIVSADFVAAVEDVVEPLLKLKVEDNHDKVTTASTLWRSELSKSDIWYATFGSNMWKSRFLCYIEGGQVEGMKKSCSGSMDRNPPKDILWKTCPHRLFFGRDFTQTWGPGGVAFLHPQSNSHNKTYMCLYRITLEQFNDVLLQENGPDHDLSSPLFDLNALNSIPNEGSFSVEAVKRGWYHSVVYLGKENDIPILTMTCPLSTIEKFKSGEIPLRAPCKEYADTLVRGLVEGNQLSEEEARTYIHEASAKTII >EOY22064 pep chromosome:Theobroma_cacao_20110822:3:21172543:21178086:1 gene:TCM_014256 transcript:EOY22064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 5 isoform 3 MEVESAASNSNAKNQRRVGLIYDQRMCKHRTPDDDYHPENPNRITVIWNRLKLAGIPERCVLLNAKEAEDKYICAVHSKKHVNLIRKISSKRYDSKRNLIASKLNSIYFNEGSSEAAYLAAGSVIEVAEKVAKGELDSAFALVRPPGHHAEFDEAMGFCLFNNVAIAATFLLDERPELDINKILIVDWDVHHGNGTQKTFWKDPRVLFFSVHRHEFGSFYPANDDGFYTMVGEGPGAGYNINVPWENGQCGDADYLAVWDHILLPVAKEFKPDVIIISAGFDAAVGDPLGGCRVTPYGYSVMLKELMDFAQGRIVLALEGGYNLDSIANSALACMEVLLEDKPISGFSEAYPFESTWRVIQAVRRKLSAFWPTLAHELPTKLTNQKAPPHILPLSSESDDEDDEASKIVSADFVAAVEDVVEPLLKLKVEDNHDKVTTASTLWRSELSKSDIWYATFGSNMWKSRFLCYIEGGQVEGMKKSCSGSMDRNPPKDILWKTCPHRLFFGRDFTQTWGPGGVAFLHPQSNSHNKTYMCLYRITLEQFNDVLLQENGPDHDLSSPLFDLNALNSIPNEGSFSVEAVKVSPLND >EOY22063 pep chromosome:Theobroma_cacao_20110822:3:21172569:21176886:1 gene:TCM_014256 transcript:EOY22063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 5 isoform 3 MEVESAASNSNAKNQRRVGLIYDQRMCKHRTPDDDYHPENPNRITVIWNRLKLAGIPERCVLLNAKEAEDKYICAVHSKKHVNLIRKISSKRYDSKRNLIASKLNSIYFNEGSSEAAYLAAGSVIEVAEKVAKGELDSAFALVRPPGHHAEFDEAMGFCLFNNVAIAATFLLDERPELDINKILIVDWDVHHGNGTQKTFWKDPRVLFFSVHRHEFGSFYPANDDGFYTMVGEGPGAGYNINVPWENGQCGDADYLAVWDHILLPVAKEFKPDVIIISAGFDAAVGDPLGGCRVTPYGYSVMLKELMDFAQGRIVLALEGGYNLDSIANSALACMEVLLEDKPISGFSEAYPFESTWRVIQAVRRKLSAFWPTLAHELPTKLTNQKAPPHILPLSSESDDEDDEASKIVSADFVAAVEDVVEPLLKLKVEDNHDKVTTASTLWRSELSKSDIWYATFGSNMWKSRFLCYIEGGQVEGMKKSCSGSMDRNPPKDILWKTCPHRLFFGRDFTQTWGPGGVAFLHPQSNSHNKTYMCLYRITLEQFNDVLLQENGPDHDLSSPLFDLNALNSIPNEGSFSVEAVKRGWYHSVVYLGKENDIPILTMTYALMHSWQLNFFFIQNRIGHLHNLHLVSLPYLCI >EOY23988 pep chromosome:Theobroma_cacao_20110822:3:29222662:29224797:1 gene:TCM_015708 transcript:EOY23988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVCLAGVPVLLHCGVSTYSFTCASATFYANRTLFLPINTHLLSHSEPRSLWDSKIIPMGTHKVLGAVFFLLLGVGICSAARALLTFDEIVGHIPRGGYGASHGGGSGYGAGGAEGYGGRGGSGGGSGGGYGAVGEHGGGGGYGSGTGSGEGGGGGYGGIGGHGGGGGGGSGGGAGYAGEGEHGIGYRSGGGEGGGSGYGGAGGFGGAGGHGGGGGGGNGGGSAYGARGEHGAGYGSGSGSGSGYGEGSGAGYGAAGGHGGGGGIGGGTGYGIGGEHGAGYGTGGGEGGGSGYGAGGEHGAGYGGGHGGGSGGGYGGGEEHGAIGGGGYGPGGEHAGGYGGGHGSGAGRGAGYGAGGAHGGGYGGGGGAGGGFAGGGGGGGTGYGAGGAHGGGYGSGGGSGGGYGSGAGYGGGGGSGGGGGYAGGGEHGGGYGGGGGSGGGAGGGHGGYAP >EOY21008 pep chromosome:Theobroma_cacao_20110822:3:2244696:2245806:1 gene:TCM_012324 transcript:EOY21008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative MAALNSLSHIPFTSIVMLLLFVATHPTALSQDPITPGPTIADCSPRLVALMPCAPFVQGTAQMPAQSCCDNLNQLYTLQPGCLCLLLNDTTLSDFPINRTRALQLPVLCKLQANASACSGVPSPPGSEVSLGAQHNSSVAASPMVHPTVPVAPRPSIMGLGFSRSNAGRLKTKGLSTMVTFAAILLSKLALLY >EOY23850 pep chromosome:Theobroma_cacao_20110822:3:28761052:28767618:-1 gene:TCM_015615 transcript:EOY23850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 9 isoform 1 MTIPDSGFMMENGASCLPCTPEEEKKIVNDLRNESERNLKEGNLYFVISSRWFRRWERYVGMDADENVIGNQSSDSRHLNGASSVVAERPGPIDNSDIVQNGSDCDCKENEIQLRRMLMEGQDYVLVPQGVWEKLHEWYKGGPALPRKMILQGVYHRKFDVEVYPLRLKLIDSRDESQSIIWISRKASVAVLFQKVCALRGIEQDKARIWDYFNKQKHGQLFVSNKSVEESNLQMDQDILLEQVDGHHSSRFGMDSTGNELALVSLEPSRSSLTIAGGPTMSNGHSSGYRSNLYPGSSLSSGLNDIDDGFDAYNSVRKGEKGGLAGLQNLGNTCFMNSALQCLVHTPPLVEYFLKDYSDEINTENPLGMHGELALAFGELLRKLWSSGRIAIAPRAFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDSDGRPDEEVAAECWRNHKARNDSVIVDVCQGQYKSTLVCPVCSKISITFDPFMYLSLPLPSTITRAMTVTVFSGDGNGLPLPYTVSVLKNGFCKDLLLALGTACCLKSDENLLLAEVYENKIYRYLDTPLEPLISIKDDEHIVAFRIQKKGMGKTKLVIFHRWQEKSTSDYLKSGAEIFGTPLVTYLGEGQPSGADIETAVSKVLSPFKRMYSSAKAHIGKENGFLSDGLDEQCSSSDVQPVENGEREGTSSMDLSILLLLTDDRVMNFKAFKKDTLFESGQIIRVVLDWTEKEQELYDASYLKDIPEVHKAGFTAKKTRQEAISLSSCLDAFLMEEPLGPDDMWYCPRCKEHRQAIKKLDLWMLPEIIVFHLKRFTYGRYLKNKIDTFVNFPIHNLDLSKYVMNKDGQTYVYELYAISNHYGGLGGGHYTAYAKLIDENRWYHFDDSHVSPVNESDIKTSAAYLLFYKRVRSEPKVEAGEASHSHSIS >EOY23853 pep chromosome:Theobroma_cacao_20110822:3:28760769:28768019:-1 gene:TCM_015615 transcript:EOY23853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 9 isoform 1 MTIPDSGFMMENGASCLPCTPEEEKKIVNDLRNESERNLKEGNLYFVISSRWFRRWERYVGMDADENVIGNQSSDSRHLNGASSVVAERPGPIDNSDIVQNGSDCDCKENEIQLRRMLMEGQDYVLVPQGVWEKLHEWYKGGPALPRKMILQGVYHRKFDVEVYPLRLKLIDSRDESQSIIWISRKASVAVLFQKVCALRGIEQDKARIWDYFNKQKHGQLFVSNKSVEESNLQMDQDILLEQVDGHHSSRFGMDSTGNELALVSLEPSRSSLTIAGGPTMSNGHSSGYRSNLYPGSSLSSGLNDIDDGFDAYNSVRKGEKGGLAGLQNLGNTCFMNSALQCLVHTPPLVEYFLKDYSDEINTENPLGMHGELALAFGELLRKLWSSGRIAIAPRAFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDSDGRPDEEVAAECWRNHKARNDSVIVDVCQGQYKSTLVCPVCSKISITFDPFMYLSLPLPSTITRAMTVTVFSGDGNGLPLPYTVSVLKNGFCKDLLLALGTACCLKSDENLLLAEVYENKIYRYLDTPLEPLISIKDDEHIVAFRIQKKGMGKTKLVIFHRWQEKSTSDYLKSGAEIFGTPLVTYLGEGQPSGADIETAVSKVLSPFKRMYSSAKAHIGKENGFLSDGLDEQCSSSDVQPVENGEREGTSSMDLSILLLLTDDRVMNFKAFKKDTLFESGQIIRVVLDWTEKEQELYDASYLKDIPEVHKAGFTAKKTRQEAISLSSCLDAFLMEEPLGPDDMWYCPRCKEHRQAIKKLDLWMLPEIIVFHLKRFTYGRYLKNKIDTFVNFPIHNLDLSKYVMNKDGQTYVYELYAISNHYGGLGGGHYTAYAKLIDENRWYHFDDSHVSPVNESDIKTSAAYLLFYKRVRSEPKVEAGEASHSHSIS >EOY23852 pep chromosome:Theobroma_cacao_20110822:3:28760099:28767618:-1 gene:TCM_015615 transcript:EOY23852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 9 isoform 1 MTIPDSGFMMENGASCLPCTPEEEKKIVNDLRNESERNLKEGNLYFVISSRWFRRWERYVGMDADENVIGNQSSDSRHLNGASSVVAERPGPIDNSDIVQNGSDCDCKENEIQLRRMLMEGQDYVLVPQGVWEKLHEWYKGGPALPRKMILQGVYHRKFDVEVYPLRLKLIDSRDESQSIIWISRKASVAVLFQKVCALRGIEQDKARIWDYFNKQKHGQLFVSNKSVEESNLQMDQDILLEQVDGHHSSRFGMDSTGNELALVSLEPSRSSLTIAGGPTMSNGHSSGYRSNLYPGSSLSSGLNDIDDGFDAYNSVRKGEKGGLAGLQNLGNTCFMNSALQCLVHTPPLVEYFLKDYSDEINTENPLGMHGELALAFGELLRKLWSSGRIAIAPRAFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDSDGRPDEEVAAECWRNHKARNDSVIVDVCQGQYKSTLVCPVCSKISITFDPFMYLSLPLPSTITRAMTVTVFSGDGNGLPLPYTVSVLKNGFCKDLLLALGTACCLKSDENLLLAEVYENKIYRYLDTPLEPLISIKDDEHIVAFRIQKKGMGKTKLVIFHRWQEKSTSDYLKSGAEIFGTPLVTYLGEGQPSGADIETAVSKVLSPFKRMYSSAKAHIGKENGFLSDGLDEQCSSSDVQPVENGEREGTSSMDLSILLLLTDDRVMNFKAFKKDTLFESGQIIRVVLDWTEKEQELYDASYLKDIPEVHKAGFTAKKTRQEAISLSSCLDAFLMEEPLGPDDMWYCPRCKEHRQAIKKLDLWMLPEIIVFHLKRFTYGRYLKNKIDTFVNFPIHNLDLSKYVMNKDGQTYVYELYAISNHYGGLGGGHYTAYAKLIDENRWYHFDDSHVSPVNESDIKTSAAYLLFYKRVRSEPKVEAGEASHSHSIS >EOY23851 pep chromosome:Theobroma_cacao_20110822:3:28760503:28767618:-1 gene:TCM_015615 transcript:EOY23851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 9 isoform 1 MTIPDSGFMMENGASCLPCTPEEEKKIVNDLRNESERNLKEGNLYFVISSRWFRRWERYVGMDADENVIGNQSSDSRHLNGASSVVAERPGPIDNSDIVQNGSDCDCKENEIQLRRMLMEGQDYVLVPQGVWEKLHEWYKGGPALPRKMILQGVYHRKFDVEVYPLRLKLIDSRDESQSIIWISRKASVAVLFQKVCALRGIEQDKARIWDYFNKQKHGQLFVSNKSVEESNLQMDQDILLEQVDGHHSSRFGMDSTGNELALVSLEPSRSSLTIAGGPTMSNGHSSGYRSNLYPGSSLSSGLNDIDDGFDAYNSVRKGEKGGLAGLQNLGNTCFMNSALQCLVHTPPLVEYFLKDYSDEINTENPLGMHGELALAFGELLRKLWSSGRIAIAPRAFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDSDGRPDEEVAAECWRNHKARNDSVIVDVCQGQYKSTLVCPVCSKISITFDPFMYLSLPLPSTITRAMTVTVFSGDGNGLPLPYTVSVLKNGFCKDLLLALGTACCLKSDENLLLAEVYENKIYRYLDTPLEPLISIKDDEHIVAFRIQKKGMGKTKLVIFHRWQEKSTSDYLKSGAEIFGTPLVTYLGEGQPSGADIETAVSKVLSPFKRMYSSAKAHIGKENGFLSDGLDEQCSSSDVQPVENGEREGTSSMDLSILLLLTDDRVMNFKAFKKDTLFESGQIIRVVLDWTEKEQELYDASYLKDIPEVHKAGFTAKKTRQEAISLSSCLDAFLMEEPLGPDDMWYCPRCKEHRQAIKKLDLWMLPEIIVFHLKRFTYGRYLKNKIDTFVNFPIHNLDLSKYVMNKDGQTYVYELYAISNHYGGLGGGHYTAYAKLIDENRWYHFDDSHVSPVNESDIKTSAAYLLFYKRVRSEPKVEAGEASHSHSIS >EOY24470 pep chromosome:Theobroma_cacao_20110822:3:31104419:31108117:-1 gene:TCM_016065 transcript:EOY24470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase family protein MESKPFFRFLFFFFAIAFLLLFTWTHLPYPPPPDVTTLLDCASNSPWCTSKNRFQSKQPSITKIPRATATTKRLHESAVPRHPLDPLTIQEFNKVRTILSSHPLFKSSKNYALHSVVLEEPDKDLVLRWTKGQPLFPRIASVIARANGESHVLTVNLETNEVNVLNTAPPTGYPMMTMEDMTSATWAPLSNPKFNRTIIEQGVNLDDVACLPISLGWFGENEENRRLIKVQCYSMKDTANFYMRPIEGLTVLLDLDTKEVVEISDTGRAIPVPKATNTDYRYSEQKMQQELNLINPISIEQPKGPSFVIEDEHLVKWANWEFHLKADPRAGVVVSGAKVWDPDSGVMRDVMYKGFTSELFVPYMDPTDAWYFKTYMDAGEYGFGLQAMPLDPLNDCPRNAYYMDGVFAAGDGVPYVRSNMICVFESYTGDIGWRHSESPITGMEIKEVRPKVTLVVRMAASVANYDYILDWEFQTDGLIRIKVGLSGILMVKGTTYENMKQVEGQEHLFGTLLSENVIGVIHDHYITFYLDMDIDGSDNSFVKVNIKKQDTSPGESPRKSYLKAVRNVAKTEKDAQVQLKLYDPSEFHVINPTKKTRVGNPVGYKVVPGGTAASLLDHEDPPQRRGAFTNNQIWVTPYNKSEQWAGGLFVYQSQGEDTLAVWSDRDRSIENKDIVLWYTLGFHHIPCQEDFPIMPTVSSSFDLKPVNFFESNPILRIPPNVEKDLPVCKPAGSA >EOY23444 pep chromosome:Theobroma_cacao_20110822:3:27438108:27440601:-1 gene:TCM_015337 transcript:EOY23444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein PDV1 MKWDMEIEEIEAVLEKIWDLHDKLSDAIHSISRAHFLNSIKALRKSDKKKLYNDAVGDDNRAGFVFVKDFRIDDSESAIQEAKSLNAIRTALENLEDQLEIFHTVHTQQQAERDAAIARLEQSRIILALRLAEHHGKKYKVIDEALAFVGDVHDASHFVSPENLYSTPVSPSGENLASHEGKRSNILIKVLVSSLNFAKKSLKFDHMGGILSNAALFAVSMIAMLHLHQIAYTEHSEKQEDDINRRRTVRKNSQLGGPSSYDCLNHLDVFSARG >EOY21509 pep chromosome:Theobroma_cacao_20110822:3:10105525:10111878:1 gene:TCM_013181 transcript:EOY21509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MICSTTGTIVIPRETKFQKFPFKHSHFQVKTYVCSKTNYKPKSRFKDFNPLISTTTKTMAVEPFTSGASGRINALFSLRALRSLMMLVNAIVLLLLLPFRGQKRAVAINSGEKVGKDEKQESGRKGSAVVRVPAAMVPWRSGAVTVDQEAAARRALAIRRVVQDDDDDTVREFSLFVNARGETLFTQSWTPISVIVRGLVVLLHGLNEHSGRYNDFAKQLNANGLKVYGMDWIGHGGSDGLHVLAPVISFLLPRYQVSIANKKGMPVSRDAEALLAKYSDPLVYTGSIRVRTGYEILRITSYLQQNMNRLSVPFLVLHGTDDTVTDPQASQKLYEEASSTDKTIKLFEGLLHDLLFEPERETIMNDIIQWLNCRV >EOY21508 pep chromosome:Theobroma_cacao_20110822:3:10105524:10111878:1 gene:TCM_013181 transcript:EOY21508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MICSTTGTIVIPRETKFQKFPFKHSHFQVKTYVCSKTNYKPKSRFKDFNPLISTTTKTMAVEPFTSGASGRINALFSLRALRSLMMLVNAIVLLLLLPFRGQKRAVAINSGEKVGKDEKQESGRKGSAVVRVPAAMVPWRSGAVTVDQEAAARRALAIRRVVQDDDDDTVREFSLFVNARGETLFTQSWTPISVIVRGLVVLLHGLNEHSGRYNDFAKQLNANGLKVYGMDWIGHGGSDGLHAYVHCLDDAVTDMKMFLEKVLAENPGLPCFCFGHSTGAAIILKAVLDPKVEAQVAGIVLTSPAVGVQPSHPIFVVRISVLAPVISFLLPRYQVSIANKKGMPVSRDAEALLAKYSDPLVYTGSIRVRTGYEILRITSYLQQNMNRLSVPFLVLHGTDDTVTDPQASQKLYEEASSTDKTIKLFEGLLHDLLFEPERETIMNDIIQWLNCRV >EOY21507 pep chromosome:Theobroma_cacao_20110822:3:10105514:10111926:1 gene:TCM_013181 transcript:EOY21507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MICSTTGTIVIPRETKFQKFPFKHSHFQVKTYVCSKTNYKPKSRFKDFNPLISTTTKTMAVEPFTSGASGRINALFSLRALRSLMMLVNAIVLLLLLPFRGQKRAVAINSGEKVGKDEKQESGRKGSAVVRVPAAMVPWRSGAVTVDQEAAARRALAIRRVVQDDDDDTVREFSLFVNARGETLFTQSWTPISVIVRGLVVLLHGLNEHSGRYNDFAKQLNANGLKVYGMDWIGHGGSDGLHAYVHCLDDAVTDMKMFLEKVLAENPGLPCFCFGHSTGAAIILKAVLDPKVEAQVAGIVLTSPAVGVQPSHPIFVVLAPVISFLLPRYQVSIANKKGMPVSRDAEALLAKYSDPLVYTGSIRVRTGYEILRITSYLQQNMNRLSVPFLVLHGTDDTVTDPQASQKLYEEASSTDKTIKLFEGLLHDLLFEPERETIMNDIIQWLNCRV >EOY25059 pep chromosome:Theobroma_cacao_20110822:3:33088216:33096780:1 gene:TCM_016489 transcript:EOY25059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVDLVTSGILDEDTIPVLDHYNDLSNFEGEKCGICMDIIIDRGVLDCCQHWFCFACIDNWATITNLCPLCQSEFQLITCVPVYDTIGSNKVEDESFSRDDDWSIEGKSNTLSFPSYYIDENAVICLDGDGCKIRSRSTTSEGDPNLDTSIACDSCDIWYHAFCVGFDPEGTSEDTWLCPRCVANQAPQESDAIPQKTNIQYGPEIANSEYVTETAFAGKLSVSLADTGETAVVVSMVGGNQWIEEPSENFLSTLEVNNDRKIELSNINGNSCNTEKPSCDKSTIQPTLEGQELELSLSRNTFSTSLSNSSVHGELKTSKAAATIKEPSSLDGVGNSLGKSLNESYTRNQLSESESSMGLHLGLSIGTFLSVDDDMKSGGSKDQVNAEFEHQIHMEELLLLDEKTEPDNKENDDTITGIKRKHADFRSDVVISSVHEETKCKSETEAVEKKIRVEELVQMAPESQGNASVSDDTPKCPILKTVSKNHPEKEDSFPNIMSIVQGTGRRTSSKSIGCRNPADESSKGENLAGLRVKKIMRRASEDKESSIVVQKLRKEIREAVRNKSSKEIGENLFDPKLLAAFRAAISGPKTETVKKLSPSAVKMKKSLLQKGKVRENLTKKIYGDSNGRRRRAWDRDCEVEFWKYRCTRASKPEKIETLKSVLDLLRKNPEGTERGPISECQASNPILSRLYLADTSVFPRKDNIKPLSALKTTGSSDQSKEEHIAVEKTPVPSPDIHTVKITEANKVASKVGVLLTDLKGTKTSVLNSKVTATSSKVNFSRGSEGSSTPASSNSKVKSQKEVVVKSEDVKVDKRKLALAVLARKKASESQNGIQDRQEDNAVLKGNYPLLAQLPVDMRPTLAPSRHNKIPVSVRQAQLYRLTEHFLRKANLPIIRRTAETELAVADAINIEREVADRSNSKVVYLNLCSQELLHRSDDSKCVRAKESDTSSPSEISIDRQDQGTDECSTDLMVVEALRNAGLLSDSPPSSPHHKTEVPSEVDDSSAKVREEEPDNVFEMDSHLEADIYGDFEYDLEDEDYIGVSAEKAPKLQPEEGVSKMKVVFSTLNTEMSKSNNLAESEGHEKLGNFVVPNYSSCLLKNNTDAVIKCSTVDDGTDKSCAALDSLPDEEGEELSIAECEELYGPDKEPLISKISEASPKIYGVVDAEAPAENRASEDNEKHILHHIVNASDPGSQSKKGHKVVDALGHGTSGGESSADQIGTSENVKKKDKNSNTETDKQSDGANPVSKKVEAYVKEHIRPLCKSGVITTEQYRWAVAKTTDKVMKYHLNSKNANFLIKEGEKVKKLAEQYVEAAQQKEKDDPL >EOY21891 pep chromosome:Theobroma_cacao_20110822:3:19465001:19472481:-1 gene:TCM_014051 transcript:EOY21891 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 65, putative isoform 3 MGRVKLKIKRLESTSNRQVTYSKRRTGILKKAKELSILCDIDIILLMFSPTGRPTLFHGEHSNIEEVIAKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIQDFIGATYEMNNEVSMLQAQLTEVHKRLSYWSNPDKIDNIEHLRQMEDILRESIERIRMHKENFGKHHLMPLECTSQFQNGIPLPMMIGGVQEAQPVTWLPNNDNQHMLLHNEPSFLSHRDAECSADGSFAGYSGFFGSGKQIEIGSSGQVDNVVQESSALNELGSNACLSLQLGEQYLYPPYSASNLQDDEKLKPEMEVNLPGNPAVYQVVSNFEIPRPMYNGGPQARISSSGPCGIMMFDGNSYHQQTKSTFMNQTPPSGELHNL >EOY21890 pep chromosome:Theobroma_cacao_20110822:3:19466004:19472332:-1 gene:TCM_014051 transcript:EOY21890 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 65, putative isoform 3 MGRVKLKIKRLESTSNRQVTYSKRRTGILKKAKELSILCDIDIILLMFSPTGRPTLFHGEHSNIEEVIAKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIQDFIGATSQSVEEMNNEVSMLQAQLTEVHKRLSYWSNPDKIDNIEHLRQMEDILRESIERIRMHKENFGKHHLMPLECTSQFQNGIPLPMMIGGVQEAQPVTWLPNNDNQHMLLHNEPSFLPHRDAECSADGSFAGYSGFFGSGKQIEIGSSGQVDNVVQESSALNELGSNACLSLQLGEQYLYPPYSASNLQDDEKLKPEMEVNLPGNPAVYQVVSNFEIPRPMYNGGPQARISSSGPCGIMMFDGNSYHQQTKSTFMNQTPPSGELHNL >EOY21892 pep chromosome:Theobroma_cacao_20110822:3:19466297:19470233:-1 gene:TCM_014051 transcript:EOY21892 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 65, putative isoform 3 HDVNIQDFIGATSQSVEEMNNEVSMLQAQLTEVHKRLSYWSNPDKIDNIEHLRQMEDILRESIERIRMHKENFGKHHLMPLECTSQFQNGIPLPMMIGGVQEAQPVTWLPNNDNQHMLLHNEPSFLSHRDAECSADGSFAGYSGFFGSGKQIEIGSSGQVDNVVQESSALNELGSNACLSLQLGEQYLYPPYSASNLQDDEKLKPEMEVNLPGNPAVYQVVSNFEIPRPMYNGGPQARISSSGPCGIMMFDGNSYHQQTKSTFMNQT >EOY21044 pep chromosome:Theobroma_cacao_20110822:3:2487279:2495822:-1 gene:TCM_012361 transcript:EOY21044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAD20392.1 MAVASKTRNMLEALVKEGSFKWLLSKRSSFGEEFEELERSPSAGRNWIPELSPVANIVVRRCSKILETSSSELQESFNAEASDSIKHKSRYARNFLEYCCFRALALSTQVMGHLADKKFRRLTFDMMVAWEAPSAASQSLINLDDDLSVGVEAFSRIAPAVPIIANVIISENLFNVLTTSTGGRLHFSVYDKYLNGLGRVIKKMKSQSESSLLSSVRSSREEKILEVDGTVTTQPVLEHVGISTWPGRLILTDHALYFEALLVVSYDKPKRYDLSDDLKQIVKPELTGPWGTRLFDKAVLYKSISLSEPVVIEFPELKGHTRRDYWLAIIREILYVHRFINKFNIAGIEKDDALSKAVLGILRAQAIQEISSSNSIQFESLLMFNLCDQLPGGDLILETLANMSSSRELDQDNNSVAGGGMYSISALAMVSNLGFVFGSSSSNLSEAGLVVGEVAVGEMSLLERTVKESRDNYKKVVRAQETVDGVKVDGIDTNLAVMKELLLPVMEVGKWLLSLVYWDDPLKSLVFCLLFTFIIFRGWLGYAFALMLLFFAIFMVLTRFCNQGRPAEEIKIIAPPPMNTMEQLLAVQNAISQVEQLIQDGNIVLLKFRALLLSIFPQASEKFAVTLLFTALILALMPSKYMVLLIFLETFTRYSPPRKASTERWMRRLREWWFSIPAAPVVLEREKEDKKRK >EOY22268 pep chromosome:Theobroma_cacao_20110822:3:22700842:22702337:1 gene:TCM_014486 transcript:EOY22268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQDPIGIPACFSSGEKPSDDPAAVTRSGQSVFMSVYRTKIADQCRLITVTWCKNLLLHGLSVSVEGHEGESQYTCKVELKPWYFWRKQGSKRFIVDGKAVDIFWDLKTAKFNGETEPSSEYYVVVVCDEEVVLLLGDLKKEAYRKTGCRPALIDPILISRKEHRFGKKKFSTRVKFHEKSRFHEISIECRNRSATNGSMSNVNSFGGVEPEMEIRIDGHLVLHVKHLQWKFRGNESIHVNKTRVEVYWDVHDWLFSPGLRHALFIFKPILSSTSLSSLSTSSSPPLSSSLTSTPLSSQTGSSGSLEGLNPGRSSEFSLFLYAWKVE >EOY24034 pep chromosome:Theobroma_cacao_20110822:3:29393517:29396201:-1 gene:TCM_015740 transcript:EOY24034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lsd one like 1 isoform 1 MPVPLAPYPTPPAPYTPPANGAQSQLVCSGCRNLLLYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASASATEQKFNS >EOY24035 pep chromosome:Theobroma_cacao_20110822:3:29393322:29396116:-1 gene:TCM_015740 transcript:EOY24035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lsd one like 1 isoform 1 MPVPLAPYPTPPAPYTPPANGAQSQLVCSGCRNLLLYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASASATEQKFNS >EOY24567 pep chromosome:Theobroma_cacao_20110822:3:31471912:31473077:1 gene:TCM_016138 transcript:EOY24567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFYQGTQHHFHSWVVIMQNLLKLLMIENPIAVVRHQWSCSSNLKLPTISPQIQECIRDFFHREKQKLEK >EOY20654 pep chromosome:Theobroma_cacao_20110822:3:451255:451726:1 gene:TCM_012013 transcript:EOY20654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVNACLAMCSCCLNGRKKLQLGVNSRRHRRQWGSGSVKTKVLKLQRVVPRSHGLHLDQLLVHTADYISQLRLQVSVLEDLVKFHEP >EOY25284 pep chromosome:Theobroma_cacao_20110822:3:33817905:33820053:-1 gene:TCM_016648 transcript:EOY25284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pinoresinol reductase 1 isoform 2 MAKSKVLVVGGTGYIGRRIVKASLAQGHETYVLQRAEIGLDIDKLQMLLSFKKQGAHLVEGSFNDHKSLVEAVKQADVVICTMSGVHFRSHNISLQLKLVAFMDEDDIATYTINAIDDPRTLNKTLYIRPPGNILTQAHLIQKWEELSGKKLEKISISAQDFLASMKGLDFAGQVGVGHFYHIFYEGCLTNFEIGEGVEEASRLYPEVEYTRMDDYLKIYI >EOY25283 pep chromosome:Theobroma_cacao_20110822:3:33817831:33820011:-1 gene:TCM_016648 transcript:EOY25283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pinoresinol reductase 1 isoform 2 MAKSKVLVVGGTGYIGRRIVKASLAQGHETYVLQRAEIGLDIDKLQMLLSFKKQGAHLVEGSFNDHKSLVEAVKQADVVICTMSGVHFRSHNISLQLKLVEAIKEAGNVKRFLPSEFGMDPARMGRALEPGRVTFDEKMVVRKAIEDANIPFTYVVANCFAGYFVGNLSQLERLTPPKDKVYLYGDGNVKVAFMDEDDIATYTINAIDDPRTLNKTLYIRPPGNILTQAHLIQKWEELSGKKLEKISISAQDFLASMKGLDFAGQVGVGHFYHIFYEGCLTNFEIGEGVEEASRLYPEVEYTRMDDYLKIYI >EOY23110 pep chromosome:Theobroma_cacao_20110822:3:26235425:26238079:-1 gene:TCM_015103 transcript:EOY23110 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MWRGRSGNSDSYWDNIEKNLYDMFFYEADNIQQIYHDEGLAVPIYQRHDNNYSDGDNYGSSSSWGEYSGHTSDEALARHLQEMEDGFQNFSFDEHFRTVSAGAGGVSQEGPSFSGGDQDNVDPDNMTYEQISELGQSIGSAKKGLSVEQMSRLPTHKYKGSSKKKGKSGDEDSESLSAKYRISFSDIIMLYVTSEPRCVICKMQYRRGNALMTLPCAHKYHEECIKNWLGEDNSCCVCKEEVAV >EOY21837 pep chromosome:Theobroma_cacao_20110822:3:18851188:18854455:1 gene:TCM_013969 transcript:EOY21837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MASFAISIFSSSSFALSCKNYRPFLSPTAFSAKPISIKASSASLDYSTPSSVIEQKPLKPTKTNCWEWKFKDNVINIYYEEHENESTDHAKNILMIPTISDVSTVQEWRAVAKDILGRVGKVNWRATVVDWPGLGYSSRPKMDYDADVMEKFLVDFIKEISSPENDFVIFGGGHAATIAIRAAKKGLVKPKAIAAVAPTWAGPLPIVFGRDPSMQTRYGLLRGTLRAPAVGWMMYNMLVSNEGAIQSQYKSHVYANPKNVTPAIVQSRYKLTTKKGSRFVPAAFLTGLLDPVTSREEFLELYAELEGEMPILVVSTEGSPKRSKAEMEALREAKGVSKFVEVPGALLPQEEYPRMVAEELYQFLQENFEVNA >EOY22933 pep chromosome:Theobroma_cacao_20110822:3:25384772:25386821:-1 gene:TCM_014962 transcript:EOY22933 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXORDIUM like 3 MHRVPVLLSLIAAATLLIVTPVIGYRPWPHLKPNSSDLMFGGSKKFEGSSEFVHMRYHMGPVLTANITIHTIWYGRWQKSQKKIIREFINSISAVNAKHPSVTGWWKTVQLYTDQTGANISRTVHLGKEKNDRFYSHGKSLTRLSIQSVIKSAVTARTKPLPINPKSGLYLLLTSDDVNVQDFCGQVCGFHYFTFPSIVGYTLPYAWVGNSAKLCPGICAYPFAVPEYMPGLKPLKSPNNDVGVDGMISVIGHEVAELATNPLVNAWYAGQDPVAPVEIADLCEGIYGTGGGGSYTGQLLNDKDGATYNMNGIRRRYLVQWVWNHIVSYCTGPNALDQ >EOY20845 pep chromosome:Theobroma_cacao_20110822:3:1478222:1480095:1 gene:TCM_012184 transcript:EOY20845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLRSSTSARSGSLVKLATTLAVLLLIIGHLPTNSALVPSGGEKRPTKSNVLFCGSSWRKMFLASDHGCSGSESLSIYSTRKLPSRSLKAGKVPPSPPSPTQNQRAGMATPPPFSLKL >EOY22306 pep chromosome:Theobroma_cacao_20110822:3:22924347:22932754:1 gene:TCM_014520 transcript:EOY22306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVMVAKLKALEENDTWSIVLLPINYRTIGCKWVYKTKLNAGGNVKRYKARMVAKVYSQVASFDYQETFSLVAKQSTNKSSQRIVVSLQNPQQQQLPKLSPSLIPEQTHHYSLTKPEFLNYSNLFLNTKSSENCKSAEPLKFCPNFPFGYILNPINSVWFDPLEAVDVEEGAAETEDDDAGKVWADSVKKKRKKKMNKHKYKKLRKRLRRKT >EOY22617 pep chromosome:Theobroma_cacao_20110822:3:24227954:24232978:1 gene:TCM_014741 transcript:EOY22617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inducer of CBF expression 1 MLSRVNGGVVWMEDKEDEESASWNRTNNSNNNNNNSVIMENKEEMGSLSTFKSMLEEEWYVANNSISSHQDIRDLSFSPNLADHQDNILLHHHHHHQQQHHPVDSSSSCSPSSSVFNNLDPSQVHYFLQPKPTLSSLLNVVSNNPLEHGFDLSEIGFLDNQATNATTLLNRGNAGVLGSFADLSHGNQIDTANLCPETQFSSSRMVQLPENGAGLAGFQGFDENPGNALFLNRSKVLRPLESFPSVGAQPTLFQKRAALRKNLADSGGNFGLFGGGKVNALNGIEGDKGKKEMDEENEKRKIIYRDDLEDVSIDGSALNYDSDEFTENNKVEETLKNGGNTSNANSTVTGGDQKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNELESNPPSSSLTPTTSFHPLTPTPVTLPSRIKDELCPSSLPSPNGQPARVEVRLREGKAVNIHMFCGRRPGLLLSTMRALDNLGLDIQQAVISCFNGFAMDIFRAEQCKEGQDIHPEQIKAVLLDSAGFHNVI >EOY21696 pep chromosome:Theobroma_cacao_20110822:3:17309209:17334228:1 gene:TCM_013793 transcript:EOY21696 gene_biotype:protein_coding transcript_biotype:protein_coding description:H(+)-transporting atpase plant/fungi plasma membrane type MGDKNEVLDAVLKETVDLENIPIEEVFENLRCSREGLTTEAAEERLVIFGHNKLEEKKESKFLKYLGFMWNPLSWVMESAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEQDAAILVPGDVISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMIIEIIVMYPIQDRQYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFAKGVDADTVVLMAARASRTENQDAIDSAIVGMLADPKEARAGIREVHFLPFNPTDKRTALTYIDNDGKMHRVSKGAPEQILNLAHNKSDIERRVHVVIDKFAERGLRSLAVAYQEVPEGRKESSGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGSYLAVMTVIFFWAAYKTDFFPRIFGVPTLEKTAHDDLRKLASAVYLQVSIISQALIFVTRSRSWSYVERPGLLLVVAFVVAQLIATLIAVYANWSFAAIEGIGWGWAGVIWLYNIIFYIPLDFIKFFIRYALSGRAWDLVIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLQAPDTKMFTERTHFTELNQMAEEAKRRAEIARLRELHTLKGHVESVLSKAIGVYISPSNSPMPSRSITPSNFLKIPKCRNDIKALRDLFVVDTGKGIASKEDMPEMLPLRDSLLNVKLMITYGGDWVDDTYKGGETRVRGVGSDLSFSSLVKLVEEVVEVNSHNNEIELHASLSHAAGVSQAVIRDDEDVEKCMGPLSFANDTVMVVSDNDASDHIEDDVEEDDTADWNDELHDDCGSTSHPTTVVLEEVEFDDHATTVELEDVEGANPIYENAIALKNDIRSPDDSDQERVNTGVSRQWIILEVDIISFQTVTSKESRSMDDHLYHEKAFPSKVELKRALSMLALKEHFEVRVKKSCQACFEVGCKEKACKFALRATKLPEGEYWQLRTFEKVHKCTVDVSANVQPLNSARTIIRLGLGWRDMRFPFARLGIPVSGTSPMSFNKLLFCHQLGKVKREDVGGKGFHQLGKAVDDVNVHNATAMGRFPLVSSAGELRSINHQTSDSGGSQENDPRLDMDQVTNHYELFRANKISRDAFVKELRFLVRDDLLRSTITFLQSMVASSSRPNVSDYDDQIMGDDEYSTLRSKKKWKLALELSTASIGFSIKPGNALSSSSQFRNNAYAARYLANWTSGKPRNLSIGWLAKRGYDLSVYEPFNSVEGNPVHPTHDTPSSGPSRVVLDPVSNDVMYNMLVRIDKKLSNQTARMQTLELRI >EOY21812 pep chromosome:Theobroma_cacao_20110822:3:18723218:18725109:-1 gene:TCM_013943 transcript:EOY21812 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP domain-like protein I MEGGGSDDHHLHHHHHLHHHHRPNFPFQLLEKKEEDNQPCSSSSSPPFPSLPASSSNDQPNTTRPISSLQISPEPSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSSGFNSNFSMQQRRSLFPGIGLETTPAFLNFQSSSNLNSMFQAKQELRDNSTSLEISETEEGTLGRKRRPEPDLSSQHQMGSYLLQSSTGAIPASHGQIPANFWMVTNSNNQVMSGDPIWTFPSVNNSALYRGTMSSGLHFMNFPAPMALLPGQQLGSSGVTSGGGSGGSSGISEGHLNMLAGLNPYRQVSGIGVSESQASGSHSHHGGGGGGGGCGDDRHDTTSHHS >EOY21068 pep chromosome:Theobroma_cacao_20110822:3:2624399:2627915:1 gene:TCM_012380 transcript:EOY21068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein, putative MNMNVMEQQRLHAQVQYNDVVRYVLLFGFGLGLGITLSFYLKESSLDSQLNRLSTSPFLVPCAVLNPPPPSTNSSSISNQNKNLVETRILPLLIEPSPPQDDTVSTSPPQDNIVSNQTKKYTIEEFFQPPEITMHDMKDKELFWRASMAPKIQEYPIERVPKLAFLFLTRGKVLLAPIWEKFFQGHQGLYSIYVHSSPSFNETVPQGSVFYGRQIPSKNVSWGEMNMVAAERRLLANALLDISNERFVLVSESCIPLFNFTTIYKYLIHSEKSHVESFDVPGPVGRGRYSSEMEPVVTIEQWRKGSQWFEMDRFLAIEVISDQTYFPVFNLFCKDACYGDEHYLPTFVGINFLERNLNRTLTYVDWSKGGPHPYTFESSDVTKEFLEKLRNSSCYYNGEKADICYLFARKFAANTLDRLLSFAPEVMYF >EOY24060 pep chromosome:Theobroma_cacao_20110822:3:29496021:29498305:-1 gene:TCM_015762 transcript:EOY24060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDSKVSSDKVSQELARELLIAISYSVPDTDDHASKNVDSANGVAVANADGAEKYRSELISISYAQSPDAQVPPVVGNHVD >EOY24061 pep chromosome:Theobroma_cacao_20110822:3:29496083:29498317:-1 gene:TCM_015762 transcript:EOY24061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDSKVSSDKVSQELARELLIAISYSVPDTDDHASKNVDSANGVAVANADGAEKYRSELISISYAQSPDAQVPPVVGNHVD >EOY21092 pep chromosome:Theobroma_cacao_20110822:3:2926930:2928553:-1 gene:TCM_012428 transcript:EOY21092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMARIPVRFNRIAAAFNEAAMAQPVRLCESSGSDHSPEDLTDLSDLVNSFIESNCGVENDEGKIEQEKENENDGTEAIWSDSETKDMLRRLMVNNICDGNEDDEVKQKILLQTKLACGSIGDMSSVGFKRQLMSRLRDKGFDAGLCKSRWEKLERHPAGSYEYVDVNVTGTRYIIEVNLAAEFEIARPTTNYTSLIDVFPRIFVGKPEELKQIVGLMCRAMRESMKSKGMKVPPWRQNRYVQAKWFAQYKRTTNEISAKKASQKNDAVTTTRSVGFETLPTVSYYCRDNIATKGGLKVGYLHAAFNSSASVGLQS >EOY21093 pep chromosome:Theobroma_cacao_20110822:3:2926862:2928563:-1 gene:TCM_012428 transcript:EOY21093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMARIPVRFNRIAAAFNEAAMAQPVRLCESSGSDHSPEDLTDLSDLVNSFIESNCGVENDEGKIEQEKENENDGTEAIWSDSETKDMLRRLMVNNICDGNEDDEVKQKILLQTKLACGSIGDMSSVGFKRQLMSRLRDKGFDAEFEIARPTTNYTSLIDVFPRIFVGKPEELKQIVGLMCRAMRESMKSKGMKVPPWRQNRYVQAKWFAQYKRTTNEISAKKASQKNDAVTTTRSVGFETLPTVSYYCRDNIATKGGLKVGYLHAAFNSSASVGLQS >EOY20595 pep chromosome:Theobroma_cacao_20110822:3:264263:266205:-1 gene:TCM_011973 transcript:EOY20595 gene_biotype:protein_coding transcript_biotype:protein_coding description:DP-E2F-like 1 MPVSSSPSLPESSSRHYTYSRKQKSLGLLCSNFLSLYNREDVELIGLDEAAAKLGVERHRIYDIVNVLESVGLAWLLETQDHFLSNLMGRKKNKPEQIFFCNICEKMIKDLSGFTAHIKDRHKKSRSCRKCNMIFTSDVDLLIHSDAKECYDYLKRIELLSQDEIKTEDLESSLEEVGEK >EOY24614 pep chromosome:Theobroma_cacao_20110822:3:31644422:31648188:1 gene:TCM_016169 transcript:EOY24614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase-related kinase 1, putative isoform 2 MEIVTSNNISLPSIQFCNCYKVASLAKTIMDTTQISNLRDQYVLGGQLGWGQFGVIRACSDKLTGEVLACKSIAKDRLVTPDDFCSIKLEIEIMTRLSGHPNVVDLKAVYEDEDFVHLVMELCAGGELFHRLEKYGSFSEFEARVLFKHLMEVVKYCHDNGIVHRDLKPENILLATKSSSSPIKLADFGLATYIKQGQSLHGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGMPPFGGKTKSKIFDAVRAAELRFPTDPWDHISVSARDLITRMLCVDPSKRLTAAKVLAHPWVEDCREVAEEALKHDNLDCRELEVGGGSFAMPFVDRNQDYSFSDGSPVSANGQLGTPAFTCKSSFSSFLVENASPCSESVGFSFSSCCQSSAAEFSSTIPSMPSFTFFSPTAAVEPLKISLEAKAETSKMGKEYGESNLVKLFMLPDTSVAVKHKTGEVEQKTEIRRGGSNGSRLAGIHSKRNHTIGLGEFDHFDLVVTESVIRWASCTHIPTAPSLRLSLVC >EOY24613 pep chromosome:Theobroma_cacao_20110822:3:31644347:31648224:1 gene:TCM_016169 transcript:EOY24613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase-related kinase 1, putative isoform 2 MKGVNKAISDKSLTVYHEKLMLEVDSLYGFVALIGMEIVTSNNISLPSIQFCNCYKVASLAKTIMDTTQISNLRDQYVLGGQLGWGQFGVIRACSDKLTGEVLACKSIAKDRLVTPDDFCSIKLEIEIMTRLSGHPNVVDLKAVYEDEDFVHLVMELCAGGELFHRLEKYGSFSEFEARVLFKHLMEVVKYCHDNGIVHRDLKPENILLATKSSSSPIKLADFGLATYIKQGQSLHGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGMPPFGGKTKSKIFDAVRAAELRFPTDPWDHISVSARDLITRMLCVDPSKRLTAAKVLAHPWVEDCREVAEEALKHDNLDCRELEVGGGSFAMPFVDRNQDYSFSDGSPVSANGQLGTPAFTCKSSFSSFLVENASPCSESVGFSFSSCCQSSAAEFSSTIPSMPSFTFFSPTAAVEPLKISLEAKAETSKMGKEYGESNLVKLFMLPDTSVAVKHKTGEVEQKTEIRRGGSNGSRLAGIHSKRNHTIGLGEFDHFDLVVTESVIRWASCTHIPTAPSLRLSLVC >EOY25424 pep chromosome:Theobroma_cacao_20110822:3:34228490:34233028:1 gene:TCM_016736 transcript:EOY25424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putrescine-binding periplasmic protein-related MALAASVSCSCRCFDAHLSNSNFNPNKYKKGSNFPPLIHAKPSRQLPLTPDKKSLLLGFSASTLVVVGLGLCICSSASALRPLPLPCHSLQLHLEKDERVDNKSAEEDEKLEAAFETWKSKSFALSVPLSIVALQGSMPPSWPKDFISSQSRRLKLQTKFRPSLEDIFSHLCVPFTKAKGNIRPASTVAADIVTLGDSWLPHAIKKAIIEPITAAEHQDWFQELGHQWKVYLRRNHNGDIDPQGQIWAAPYRWGTMVIAYKKTKFQKHKLPPIQDWADLWRPELAGRISMVNSPREVIGAVLKYMGASYNTTDIDLQVAGGRDAVQRNLALLARQVQLFDSENYLRAFSVGDVWVAVGWSSDVLPVVKRMSNVAVIVPKSGASLWADLWVIPAASRLETDRIGGRVRGPSPLIHQWAEFCLQTARALPLKQGVIAGASPSALESVPVKLIEEITKGKPKLDTNLVAGVPPPEILERCEFLEPFSDATLWDYQRLIDSMPKSGPGFMHMMEYISSIIGTVRLKLSSIKVL >EOY20802 pep chromosome:Theobroma_cacao_20110822:3:1323045:1329658:-1 gene:TCM_012151 transcript:EOY20802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFRCGSGSASASFTYVASSLSSPFHFGLSLLRRPYNDQRDRTEQLRNVKVLKLKGFQRRQNLPFAIFREQSQYIEIKSDDSEQLSDHPGPEDISVAGLSSISFEGTEGKPGFISFYNRPYKRDDEVIPTVQSNESSLLWFIGPAVLVASFIFPSLYLRRILSTIFEDSLLTDFLILFFTEALFYCGVAIFLLLIDHQRRPIGPDSAAETWAPHLGQRISSVATLVLSLIIPMVTMGLVWPWTGPAASATLAPYLVGIVVQFAFEQYARYLKSPSWPVIPIIFQVYRLHQLNRAAQLVTALSFTVRGAEMTTHNLAINNSLGTLLNVLQCLGVICIWSLSSFLMRFYPSTTRIT >EOY23536 pep chromosome:Theobroma_cacao_20110822:3:27778433:27780817:1 gene:TCM_015404 transcript:EOY23536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase class 3-related protein, putative MKFSNSECITLGKPFFIGLKVMAPDSAIFSHSGPSHLTAIDWTNEHHRRSIAASLVQGVYVLERDRQKNRQGPQACSPPWWESFNFQLNHLLIDDVDQSIFGAIYEFINFSSRNYSTPQNAPHHVIAFRGTLNAPASISRDLKLDLQCICNRLHVSSRFQLAMKCVEDIAATATADRSNIWLAGHSLGSAVALLAGKNLTKMGCLVETYLFNPPFLSAPVEILKPQVLKNGIRFTNSVVNAALAIAIKGRQPKPERDDQFTALSSWTPYLFVNPTDIICSEYKGYFEHRKIMEEIGAGKIERLSTQNSIVCLFSTVLRKNSEPLHLLPSAYLTINQSPLPGFKRAHGIEQWWDPNFNGQVELHQFK >EOY23920 pep chromosome:Theobroma_cacao_20110822:3:29010839:29011943:1 gene:TCM_015665 transcript:EOY23920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase family protein, putative MPCQLYHHSKLAWNKTDTSLSDYPSNFSFFLEPNFPAMASLPPATKTLKARLYDGDTLYGLFLLSFSPTLAEISGLAGYDFVVVDVEHGHGGISQALPCLQALSATHTPTILRLPENSPSWAKKALDLGPDGLMFPMIDEPESAKNAVSYCRYPPAGIRGAAHPIVRASKYGLDDDYLEMCEHCVMVGPTDLSASMGYLRDPGNEKVKKMMNVAEATVLGGGAAYLAGFSMPHDPPNEMRKRGFRMVCGGVDLALFRNAALEDVKKFKTV >EOY20721 pep chromosome:Theobroma_cacao_20110822:3:706193:727105:1 gene:TCM_012066 transcript:EOY20721 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase MQQKFTKRPYIEDVGPRKIKSIQFSMLSDSEIAKAAEVQVYQALYYDPKSRPIEGGLLDPRMGPANKSGKCATCHGNFADCPGHYGYLSLALPVYNVGYLSTILDILKCICKSCSRIILDEKLCKDYLKRMRSPKIDALKKGDIMKSIVKKCSAMASSKAVKCWRCGYVNGTVKKAVAMLGIIHDRSKINDNSLEEFRSAISHTKESKASFNVATYVLNPVKVLSLFKRMTDLDCELLYLSDRPEKLIITNIAVPPIPIRPSVIMDGSQSNENDITERLKRIIQANASLRQELVETNAAFKCLGGWEMLQVEVAQYINSDVRGVPFSMQVSKPLSGFVQRIKGKHGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPIHMARILTYPERVSNHNIEKLRQCVRNGPSKYPGARMVRYPDGSARLLIGDYRKRLADELKFGCVVDRHLEDGDIVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDIFYDRAAFSLICSYMGDGMDLIDLPTPALLKPIELWTGKQLFSVLLRPHASVRVYLNLIVKERNYSKKIIKRIGNKEIEVETMCPDDGFVYIRNSELICGQLGKATLGNGNKDGLYSVLLRDYNAHAAAACMNRLAKLSARWIGNHGFSIGIDDVQPGKRLNDEKALTISGDYKKCDEEIQTFNEGKLKPKPGYDAAQTLEANVTAILNNIRDKTGKVCMKELHWRNSPLIMSQCGSKGSAINISQMIACVGQQSVGGRRAPNGFIDRSLPHFHRGSKTPAAKGFVANSFYSGLTATEFFFHTMAGREGLVDTAVKTAETGYMSRRLIKALEDLSIHYDNTVRNASGCIVQFIYGDDGMDPACMEGKSGFPLNFDRLLMKVKATCPPIEQKCLHVGSIMQMLEEQLAKHDPAGVCSEAFKKSLKGFLKSQTNELDRVMKLVNNCAQKSEILEKVGHKISGISDRQLEVFVSTCISRYRSKVIEAGTAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNITQGVPRIKEIINAAKRISTPVITAELEFDDNPNIAQIVKGRIEKTVLGQVAKSIKIVITSRSASVVITLDMEIILDAELYIDANIVKESILQTPKIKLKEQHVKVLDGRKLEVVPPADRSQIHFELHSLKNLLPLVVVKGIKTVERTVVYDKNKEKKNQKEEETTKHFQLLVEGTGLQAVMGIEGIDGRRTWSNHVMEMEQILGIEAARKCIIDEIAQTMEHHGMTIDRRHMMLLADVMTFRGEVLGITRFGIQKMDKSILMLASFERTADHLFNAAVNGRDDKIEGVTECIIMGIPMQIGTGILKVIQRVDPPPMLRYGPDPVLS >EOY25213 pep chromosome:Theobroma_cacao_20110822:3:33571752:33573674:1 gene:TCM_016599 transcript:EOY25213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defender against death (DAD family) protein MGRSSSTKDAQALFHSLRSAYAATPVNLKIIDLYVGFAVFTALIQVVYMAIVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKENKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >EOY24224 pep chromosome:Theobroma_cacao_20110822:3:30144220:30146356:-1 gene:TCM_015889 transcript:EOY24224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MQIGISSTLPAQNLHVYQARRANFKCQKSPLNPLTKPHPCSQKPCSSASSTELARQHLSNLDKLLQKTNQADPEQVIKAPTNGSIETKGKGLLEGLNLSRLWPEMKAAEEMSPRHLNRLQRLLSKTMEYSPRNNLGSRWREYHGCNDWSGLLDPLDENLRREVVRYGEFVQAAYHGFHSNPAMSTDEAPLPRHVALPDRSYKVTKSLYATSSVGLPKWVDDMAPNLGWMTQRSSWIGFVAVCDDKREIQRMGRRDIVIALRGTATCLEWAENFRAQLVQIPESRDPTQKVECGFLSLHKTSGAHVPSLAESVVEEVRRLIEMYQGETLSITITGHSLGAALSLLVADELSSCAPQVPPIAVFSFGGPRVGNKGFVDRLNDKNVKVLRIVNNQDVITRVPGVFIGEGSQQQQRNESFSRVFNMLDNNNPWAYSHVGTELRVDTKMSPYLKPNADVACCHDLEAYLHLVDGFLSSNCPFRANAKRSLARLVHDQRSNVKQLYTHKALSLNLERDGLSFPVPSCLPSPSG >EOY22120 pep chromosome:Theobroma_cacao_20110822:3:21443416:21444684:-1 gene:TCM_014308 transcript:EOY22120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVCGSTIWSLWLARESMFNGKRWDSVEIFNLIHTRSLFWTKACDGMGSVAEYGWWSEPGNLNIAHAPLHTSVNITWQPPQLEGYVKGLFCSTLGVQDSNYAEIMAIKHALCMYASSPNAGNDQLVVESDSIVPLTWVEKVNHRPWNIWHIFNEIDTIRATLGRVISQHIMRDGNSYADMLANHGFDCESMFTAWW >EOY21028 pep chromosome:Theobroma_cacao_20110822:3:2410427:2413771:1 gene:TCM_012347 transcript:EOY21028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer gamma-2 subunit / gamma-2 coat protein / gamma-2 COP, putative MAQPLVKKDDDRDDEADYSPFLGIEKGSVLQEARVFNDPQLDPRRCSQVITKLLYLLNQGETFTKVEATEVFFAGTKLFQSRDIGLSRMVYPKCRYFRELGGRLHLILTNGSHSTRQFDVYEMETGLSAPPTGPPSTVDAYERLLSSIPEFANFGKLFKSSAPVELTGAETEYAVNVVKHIFDGHVVFQYNCTNTIPEQLLENATVIVDASEAEEFAEVATKPLRSLPYDSPGQTFVAFEKPEGVPAVGKFSNMLRFIAKEVDPSTGEAEEDGVEEEYQLEDLEVVAADYMLKVGVSNFRNAWESMGADCERVDEYGLGPRDSLAEAVNAVINLLGMQPCEGMEVVPSNSRSTHLSTMWCIHWQCEGAREVAIWH >EOY21296 pep chromosome:Theobroma_cacao_20110822:3:5706736:5707442:1 gene:TCM_012751 transcript:EOY21296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLGIETMKEKSRLQMHSERVRNLGNVIAETRSSTLSSRGCQRSQWPFVGDNLSSSQIKGQLKTRPLPFTCCCLPASPYHSFTREQRMRSVLFTRNRSPYSQFVVIRFPLHPLQLLLARVKWSSVVCTWDDCERH >EOY24401 pep chromosome:Theobroma_cacao_20110822:3:30812557:30815366:-1 gene:TCM_016009 transcript:EOY24401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVGVRGRLLMQSLLREYGITCIPMDDLAFDAVHHNYIHLAKVQCLDFNFYTFSRLVSYAVKRGEQFFFAFMLVLSVMKLHSSPHALVATTIDCLNRGPDRKTWLNYEDPEYLFLTDAEKAIEREREVISDDFFNPDAGCLVYRSFCCHF >EOY23073 pep chromosome:Theobroma_cacao_20110822:3:26070018:26074928:-1 gene:TCM_015076 transcript:EOY23073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger WD40 repeat protein 1 isoform 1 MDLDGGNRRVFNRLGGPSTAPTDSSKHQKVCYHWRAGKCNRFPCPFLHRELPAPGPAATANGSGAPKRFADDSGFSGPAARRGPNFNNNHHNSWGRMGANKVVRKTEKVCNYWVQGNCNYGDKCRFLHSWSLGEGFTMLSHLDGHQKVVSGIALPAGLDKLYTGSKDETVRAWDTNSGQCTCVINLGGEVGCMISEGPWLFVGIPNVVKAWNTQTNYELSLTGPVGQVYAMVVGNDLLFAGTQGLLNLRGMHDSESKPVLLCTCNDNSVRLYDLPSFSERGKIFAKQEIRAIEVGPGGLFFTGDGTGYRVWKWAQPIATS >EOY23072 pep chromosome:Theobroma_cacao_20110822:3:26069800:26074841:-1 gene:TCM_015076 transcript:EOY23072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger WD40 repeat protein 1 isoform 1 MDLDGGNRRVFNRLGGPSTAPTDSSKHQKVCYHWRAGKCNRFPCPFLHRELPAPGPAATANGSGAPKRFADDSGFSGPAARRGPNFNNNHHNSWGRMGANKVVRKTEKVCNYWVQGNCNYGDKCRFLHSWSLGEGFTMLSHLDGHQKVVSGIALPAGLDKLYTGSKDETVRAWDTNSGQCTCVINLGGEVGCMISEGPWLFVGIPNVVKAWNTQTNYELSLTGPVGQVYAMVVGNDLLFAGTQDGTILAWKFNAITNSFEAAASLKSHTLAVVSLVVGANRLYSGSMDHSIRVWSLETLQCLQTLTEHHNVVMSLLCWEQFLLSCSLDQTIKVWVATENGNLEVTYTHNEEHFMLVIRGGSDWRMEGEAVGLLNLRGMHDSESKPVLLCTCNDNSVRLYDLPSFSERGKIFAKQEIRAIEVGPGGLFFTGDGTGYRVWKWAQPIATS >EOY25112 pep chromosome:Theobroma_cacao_20110822:3:33285108:33288921:-1 gene:TCM_016525 transcript:EOY25112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMAIGEVQYCLLSKANKSRHLIARPSHFNPYKSAFIVLLILSSLHIISNFPYIFLYFVLAIDNPSSNSQLSGVIPPPFSKDYRFCVLIPFWPSRNRYRFGGSRPNNTKFRPSRDLKRRPRRRKSEKQRGGGKEILLKVGVHEHMVDSSTAAEAAETEATNEHKQTGRKPEKTRAQKDAHNASCRKSRLKRKMEFEELAAKNPKMKKKLDEMQVELQSMYTKLEERNSEITLLKTALQKLGTNFTSFKELEEWIISQKYEQVGQGSGPNDTNVTATAAAGPSFVATHDHSPNNAAGFSTPSAAGPFSAAYHFDFDNDYLFTDYDDLVGRLLF >EOY23801 pep chromosome:Theobroma_cacao_20110822:3:28631075:28636557:1 gene:TCM_015584 transcript:EOY23801 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 78, putative isoform 4 MITSCLPSDVSSPLGFRFRPTDEELINHYLKLKINGRHSEVEVIPEIDVCKWEPWDLPGLSVIKSDDPEWFFFCPRDRKYPNGHRSNRATDKGYWKATGKDRTIKSKKSLIGMKKTLVFYKGRAPKGQRTNWIMHEYRATAKDLDGTGPGQGAFVLCRLFHKLEERNDTVKYEEVEQTGYSPTMTKSSPDDTSSDLLQDTVSSDTQAQKPDNVMQNGQVTGDSSCNSHMTSDAEDHATEETAVEKYPLLEGNSNLYEPNFGEIDYKVFSPMNLHFFEDLPVCMDSPYASDFGHDQNGFYFQDGTGEQDVSFSMLDEVLNNHEGSCAASNSQKNLVAGTEMPLSGNGFISKTIPPETSYIEESGIYSETDTEMAQLQSESEVGAPRWFGGHVDNKYSVQMQTSFDTGDTQRALYDQAFRIGNNGSLGNCSVGQATSYTDSAMGNINNLQQLTSLKNNMNNGGNLGGGTGMNTRTFEPPQQPNSDNFATLGIGTGIKIRTRAPQQRANSDNFVNQGTAPRRIRLQMKTSNGPMKVSAGCVDDGKMRSTGLGEEEEVQSALTEITEAEAAGQIPSSDESEKENQLLKFDGSGDIAEESCTKLRQRVKQDGEPCSSQIGPSVHSKVAPAHHRSRSLSIVVFTVFLITILLALFIGIWRCLRF >EOY23800 pep chromosome:Theobroma_cacao_20110822:3:28631887:28636038:1 gene:TCM_015584 transcript:EOY23800 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 78, putative isoform 4 MAVLTMETLPLGFRFRPTDEELINHYLKLKINGRHSEVEVIPEIDVCKWEPWDLPGLSVIKSDDPEWFFFCPRDRKYPNGHRSNRATDKGYWKATGKDRTIKSKKSLIGMKKTLVFYKGRAPKGQRTNWIMHEYRATAKDLDGTGPGQGAFVLCRLFHKLEERNDTVKYEEVEQTGYSPTMTKSSPDDTSSDLLQDTVSSDTQAQKPDNVMQNGQVTGDSSCNSHMTSDAEDHATEETAVEKYPLLEGNSNLYEPNFGEIDYKVFSPMNLHFFEDLPVCMDSPYASDFGHDQNGFYFQDGTGEQDVSFSMLDEVLNNHEGSCAASNSQKNLVAGTEMPLSGNGFISKTIPPETSYIEESGIYSETDTEMAQLQSESEVGAPRWFGGHVDNKYSVQMQTSFDTGDTQRALYDQAFRIGNNGSLGNCSVGQATSYTDSAMGNINNLQQLTSLKNNMNNGGNLGGGTGMNTRTFEPPQQPNSDNFATLGIGTGIKIRTRAPQQRANSDNFVNQGTAPRRIRLQMKTSNGPMKVSAGCVDDGKMRSTGLGEEEEVQSALTEITEAEAAGQIPSSDESEKENQLLKFDGSGDIAEESCTKLRQRVKQDGEPCSSQIGPSVHSKVAPAHHRSRSLSIVVFTVFLITILLALFIGIWRCLRF >EOY23803 pep chromosome:Theobroma_cacao_20110822:3:28631887:28636038:1 gene:TCM_015584 transcript:EOY23803 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 78, putative isoform 4 MSANGSPGICLGAFVLCRLFHKLEERNDTVKYEEVEQTGYSPTMTKSSPDDTSSDLLQDTVSSDTQAQKPDNVMQNGQVTGDSSCNSHMTSDAEDHATEETAVEKYPLLEGNSNLYEPNFGEIDYKVFSPMNLHFFEDLPVCMDSPYASDFGHDQNGFYFQDGTGEQDVSFSMLDEVLNNHEGSCAASNSQKNLVAGTEMPLSGNGFISKTIPPETSYIEESGIYSETDTEMAQLQSESEVGAPRWFGGHVDNKYSVQMQTSFDTGDTQRALYDQAFRIGNNGSLGNCSVGQATSYTDSAMGNINNLQQLTSLKNNMNNGGNLGGGTGMNTRTFEPPQQPNSDNFATLGIGTGIKIRTRAPQQRANSDNFVNQGTAPRRIRLQMKTSNGPMKVSAGCVDDGKMRSTGLGEEEEVQSALTEITEAEAAGQIPSSDESEKENQLLKFDGSGDIAEESCTKLRQRVKQDGEPCSSQIGPSVHSKVAPAHHRSRSLSIVVFTVFLITILLALFIGIWRCLRF >EOY23802 pep chromosome:Theobroma_cacao_20110822:3:28632321:28636277:1 gene:TCM_015584 transcript:EOY23802 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 78, putative isoform 4 MTKSSPDDTSSDLLQDTVSSDTQAQKPDNVMQNGQVTGDSSCNSHMTSDAEDHATEETAVEKYPLLEGNSNLYEPNFGEIDYKVFSPMNLHFFEDLPVCMDSPYASDFGHDQNGFYFQDGTGEQDVSFSMLDEVLNNHEGSCAASNSQKNLVAGTEMPLSGNGFISKTIPPETSYIEESGIYSETDTEMAQLQSESEVGAPRWFGGHVDNKYSVQMQTSFDTGDTQRALYDQAFRIGNNGSLGNCSVGQATSYTDSAMGNINNLQQLTSLKNNMNNGGNLGGGTGMNTRTFEPPQQPNSDNFATLGIGTGIKIRTRAPQQRANSDNFVNQGTAPRRIRLQMKTSNGPMKVSAGCVDDGKMRSTGLGEEEEVQSALTEITEAEAAGQIPSSDESEKENQLLKFDGSGDIAEESCTKLRQRVKQDGEPCSSQIGPSVHSKVAPAHHRSRSLSIVVFTVFLITILLALFIGIWRCLRF >EOY23674 pep chromosome:Theobroma_cacao_20110822:3:28181194:28182548:1 gene:TCM_015488 transcript:EOY23674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILKTIIMLVLSLPKPEQMSSHILETTFNLLINECLDSFMARLIVDLWLEWWTRMRQVWKCSHCLVSIRPALRSPSLIRAKAPSLENP >EOY21005 pep chromosome:Theobroma_cacao_20110822:3:2232327:2236806:-1 gene:TCM_012321 transcript:EOY21005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter 9 MAAKLGSFRYSLLEKRERTKGYPVLGFTYEEGEEEQGQGWRCFSYRFISDKITGFWKDVQDVAGEAWQMGKSDPRKIIFSAKMGLALMLISFLIFLKEPFKELSQYSVWAILTVVVVFEFSIGATLSKGFNRGLGTLSAGGLALGMAELSELAGEWEEVVIVISIFIIGFFATYAKLYPTMKPYEYGFRVFLLTYCFITVSGYRTGDFLHTAVTRFLLIALGASVCLVVNICIYPIWAGEDLHNVVAKNFMSVATSLEGCVKGYLNCVEYERVPSKILTYQASDDPVYNGYRSAVQSSSQEEALMGFAIWEPPHGRYRSFGYPWKNYAKVSGALRHCAFMVMALHGCILSEIQAPPERRQVFRLELQRVGAEGARVLRELGNKVKMMEKLGLIDILYEVHDAAEELQNKVDRKSYLLVNAESWEIGNRPESPAEPQDLLNLDSEEHKVLGYKSLSEAVLDLRSVTIPNNWDGQKTHVGVNPTVPPGASSSEDLFKKQISMPARTSFIADTVPLEESKTYENASALSLATFTSLLIEFVARLQNVVDAFEELSEKANFKEPDELPAAARQPIGLCSRLFRCLKF >EOY20690 pep chromosome:Theobroma_cacao_20110822:3:619392:621809:1 gene:TCM_012047 transcript:EOY20690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein isoform 1 MQTITTASVSPPLPPPTSTPQTPNLHVSCQPINRRNLLLTSLTLSLSPSVSVPVASARGLLQMPPPRLSNRYFLVRAGESEFESFGIINTNPVAKTSVDSGLSEKGKKQTVRAALELRAMGACENNCWIWPSITQRAYQAAEIIAAVNGVSRSYIVPEYSFLDARGLGAYEGKKLEAVSEVYESDSISSTIKPPPIDDGTPNESVADVFVRVTQLMSILETQYSEDTVIIVSPDSDNLTILQAGLVGLDLRRHRDLSFAPGEVRYVDPSSIPTYKQPASAVYKCLNPPNCN >EOY20689 pep chromosome:Theobroma_cacao_20110822:3:619346:622110:1 gene:TCM_012047 transcript:EOY20689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein isoform 1 MQTITTASVSPPLPPPTSTPQTPNLHVSCQPINRRNLLLTSLTLSLSPSVSVPVASARGLLQMPPPRLSNRYFLVRAGESEFESFGIINTNPVAKTSVDSGLSEKGKKQTVRAALELRAMGACENNCWIWPSITQRAYQAAEIIAAVNGVSRSYIVPEYSFLDARGLGAYEGKKLEAVSEVYESDSISSTIKPPPIDDGTPNESVADVFVRVTQLMSILETQYSEDTVIIVSPDSDNLTILQAGLVGLDLRRHRDLSFAPGEVRYVDPSSIPTYKQPASAVYKCLNPPNCN >EOY25297 pep chromosome:Theobroma_cacao_20110822:3:33854408:33859421:-1 gene:TCM_016659 transcript:EOY25297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mob1/phocein family protein isoform 1 MSLFGLGRNQRTFRPKKSAPSGSKGAQLKKHIDATLGSGNLREAVRLPPGEDLNEWLAVNTVDFFNQVNLLYGTLTEFCTPENCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEVQLDDESIFPQKLGAPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTCEFGLIDKKELAPLQELIESIIVPY >EOY25298 pep chromosome:Theobroma_cacao_20110822:3:33854637:33859421:-1 gene:TCM_016659 transcript:EOY25298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mob1/phocein family protein isoform 1 MSLFGLGRNQRTFRPKKSAPSGSKGAQLKKHIDATLGSGNLREAVRLPPGEDLNEWLAVNTVDFFNQVNLLYGTLTEFCTPENCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEVQLDDESIFPQKLGAPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTCEFGLIDKKELAPLQELIESIIVPY >EOY21908 pep chromosome:Theobroma_cacao_20110822:3:19710651:19711625:-1 gene:TCM_014079 transcript:EOY21908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADALATLAAMFKVGTNVKIQPIMINLRECPAHCSSVEEEIDGKPWYHDIVHYLKFQQYPDQSSENDKKTIRRLAMNFFLDGNILYKRSRDQTLLRCVDSTEARRIVEEVHEGVCGAHASGHKLARQVMRAGYYWLTLEKDCIDFARKCHKCQIYADRIHTPANSLHVLTSPWPFSMWGMDVIGLITPKASNGHRFILVAIDYFTKKDHHG >EOY22092 pep chromosome:Theobroma_cacao_20110822:3:21324723:21325373:-1 gene:TCM_014286 transcript:EOY22092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARILFTNWIHQPLGRPIALRLRRERTKKGQKPMKISSTPHETEENIQTQHSPVDREMIPFNCNAMVKDQFNHVT >EOY22157 pep chromosome:Theobroma_cacao_20110822:3:21954693:21957804:1 gene:TCM_014371 transcript:EOY22157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MRAFKVKTNIEVNGQRGDGGVKDHGVNDFMAWKNPTQGASYPASLGEAKRSKEANQLLNTSDTFLASDAPERVVFIQESDYQSVKDIFIDREVTSRSRLNYKDISSKFHTDVNGDGEEPRKTLRLTSSISNEIEQDFQNYVRKQHALNTLMKDGEEESDGRDDRLLCKSTEKMIPEMQHVKEVQIEDVVSLNPVEPSATESIEDNRYLNEVSSNSGAESGSTINLSDSSLTTMSSLEEFLEGPECQQPYNTKRLSRTEDRTSRSLTGPSQSFVNQLAHGDCGSFALGPLSGPITYSGPIPCSGSISLRSTSSTASSNSFAFPILPSEWSGSPVRMVEADQRQPRKQQSWRTCFLC >EOY22158 pep chromosome:Theobroma_cacao_20110822:3:21952759:21957913:1 gene:TCM_014371 transcript:EOY22158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MRAFKVKTNIEVNGQRGDGGVKDHGVNDFMAWKNPTQGASYPASLGEAKRSKEANQLLNTSDTFLASDAPERVVFIQESDYQSVKDIFIDREVTSRSRLNYKDISSKFHTDVNGDGEEPRKTLRLTSSISNEIEQDFQNYVRKQHALNTLMKDGEEESDGRDDRLLCKSTEKMIPEMQHVKEVQIEDVVSLNPVEPSATESIEDNRYLNEVSSNSGAESGSTINLSDSSLTTMSSLEEFLEGPECQQPYNTKRLSRTEDRTSRSLTGPSQSFVNQLAHGDCGSFALGPLSGPITYSGPIPCSGSISLRSTSSTASSNSFAFPILPSEWSGSPVRMVEADQRQPRKQQSWRTCFLC >EOY24960 pep chromosome:Theobroma_cacao_20110822:3:32780205:32784363:-1 gene:TCM_016410 transcript:EOY24960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide transporter 1 isoform 1 MFKGNGTNCARIIPNSAVKFFSYEEASKQILYLYRQHSGNEDAQLTPVLRLGAGACAGIIAMSATYPMDMVRGRLTVQTEKSPRQYRGIFHALSTVLREEGPRALYKGWLPSVIGVVPYVGLNFAVYESLKDWLIKSKPFGLVEDSELGVMTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVTGDGKSKAPLEYTGMVDAFRKTVRYEGIGALYKGLVPNSVKVVPSIAIAFVTYELVKDVLGVELRISD >EOY24959 pep chromosome:Theobroma_cacao_20110822:3:32780092:32784571:-1 gene:TCM_016410 transcript:EOY24959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide transporter 1 isoform 1 MASEDVKRSESAVTTIVNIAEEAKLASEGVKAPSRAFLSICKSLVAGGVAGGVSRTAVAPLERLKILLQVVYLSLSFGPYSLRSHFICMLLGYIPILGLKYIWKTEGFRGMFKGNGTNCARIIPNSAVKFFSYEEASKQILYLYRQHSGNEDAQLTPVLRLGAGACAGIIAMSATYPMDMVRGRLTVQTEKSPRQYRGIFHALSTVLREEGPRALYKGWLPSVIGVVPYVGLNFAVYESLKDWLIKSKPFGLVEDSELGVMTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVTGDGKSKAPLEYTGMVDAFRKTVRYEGIGALYKGLVPNSVKVVPSIAIAFVTYELVKDVLGVELRISD >EOY23888 pep chromosome:Theobroma_cacao_20110822:3:28903824:28905530:-1 gene:TCM_015644 transcript:EOY23888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFYLFSTLKMLTSRCFFASFIFFPFFFLNLLTLSEKLYISSACFLCKKWWVSYWTIWSSVISALFCFYACFYL >EOY20961 pep chromosome:Theobroma_cacao_20110822:3:1935994:1936364:1 gene:TCM_012277 transcript:EOY20961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAPHAAGVAALLKAVHPEWIRPAAIRSALMMKVTTAYRIDNNGTNIMDQSTGLGATPLHGGSQVTLIQIRLQTL >EOY24675 pep chromosome:Theobroma_cacao_20110822:3:31882946:31886286:-1 gene:TCM_016216 transcript:EOY24675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin10 MGMGTSNFVIRWINFLTMLLAIAVVIFGVWMSTHHDGCRKSLTLPVLGLGAFIFIISIIGFLGAVKKNTILLWIYLIMLCIILVAILVFTVLAFIITNNGSGHNVSGLRYKEYQLKDYSSWFLKQLNNTDNWKRLKSCLVKSQDCNNLAKQYKTLKQYKMAKLTPIEAGCCRPPSECGYPVVNASHYDLSFHPISSNNDCKLYKNSRAVKCYNCDSCKAGVAQYMKTEWRVVAIFNSALFVVLSIIYFVGCCARRNAAQSQSKV >EOY22917 pep chromosome:Theobroma_cacao_20110822:3:25292975:25296317:1 gene:TCM_014944 transcript:EOY22917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase 9B1 isoform 2 MSLLQRLLVFGVVAMAVGLVARVASHDYGDALTKSILFFEGQRSGKLPLTQRMTWRKDSALRDGFEIGVDLVGGYYDAGDNVKFNFPMAFSITMLAWSVLEFGQSMGSDLQHALEAIQWGTDYFLKATSIPGFVFAQVGDPNGDHNCWERPEDMDTPRTPYAVSKKFPGSEVSAEIAAALAASSMVFRSINREYSARLLKRARMVFEFADTYRGSYNDSLGPWVCPFYCDYSGYEDELLWGATWLFRATKAPYYWNYVLDNINNLEKSSSYAEFGWDAKHAGINILVSKLIKSQTPSVINADKFVCSLLPNSPTASVSYTPVAL >EOY22916 pep chromosome:Theobroma_cacao_20110822:3:25292773:25297614:1 gene:TCM_014944 transcript:EOY22916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase 9B1 isoform 2 MSLLQRLLVFGVVAMAVGLVARVASHDYGDALTKSILFFEGQRSGKLPLTQRMTWRKDSALRDGFEIGVDLVGGYYDAGDNVKFNFPMAFSITMLAWSVLEFGQSMGSDLQHALEAIQWGTDYFLKATSIPGFVFAQVGDPNGDHNCWERPEDMDTPRTPYAVSKKFPGSEVSAEIAAALAASSMVFRSINREYSARLLKRARMVFEFADTYRGSYNDSLGPWVCPFYCDYSGYEDELLWGATWLFRATKAPYYWNYVLDNINNLEKSSSYAEFGWDAKHAGINILVSKLIKSQTPSVINADKFVCSLLPNSPTASVSYTPGGLIIKPGGSNMQRVTALSFLLLVYSRPLSKANRVIYCGDDIVATPARLVQVARSQVDYILGSNPLNMSYMVGYGKKFPEMIHHRGSSLPSISQHPQHIDCGGGGTYFSSDNSNPNLLTGAVVGGPDVKDSYDDSRADFKHSEPTTYINAPLVGLLAYFKSH >EOY24220 pep chromosome:Theobroma_cacao_20110822:3:30127222:30129116:-1 gene:TCM_015885 transcript:EOY24220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLFCHFAAPPKLAKNRALSWGVSPSVGTRNEDRRSHFYWNLSLSLSLKQKRNGPKDASIIERFPSRRRVLHQLNTIRITEFEATELRDGFPDPATTAHIQSPLVRR >EOY21646 pep chromosome:Theobroma_cacao_20110822:3:15279771:15281740:-1 gene:TCM_013641 transcript:EOY21646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Topoisomerase 6 subunit B-like protein MWIDVLIVNIVAHILFPYLRKTKKVSKKKEKCLISEQEKFFAYKIAYLEESLKKNKQLVLLIKKMSFCLYFQSKILHYMRHMVVITIYAQFLFKFVSNALDKNVTTKFAWRTDVIPLVPVATKHHLSSIDILLIKCLIAETLKQNLIEFFQHEFVNIEKSHAERLIGKKFVNISWKLFSLFLFLLEFFLLPHFHYSIKNIMVEFLISSC >EOY22543 pep chromosome:Theobroma_cacao_20110822:3:23856942:23862126:-1 gene:TCM_014687 transcript:EOY22543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1767) [Source:Projected from Arabidopsis thaliana (AT5G63540) TAIR;Acc:AT5G63540] MPRRRLRLHCSSDEEEEEEQLDNEPPLESSAVTHQPITLSLPNPNPAEPLPISDDEFVDVYDSFTPPSSPPPEASETAAPVTSVESSDSPIGDLLLRMGLKLRREWLDSCVQGLQSSVPRFSTLDVSAKAKLCFQQFLFSDMNYSGGGILPENVDSMHLVDLKGPFVLQVDEIVNISCPLKGRYQDAPPGIKRCLKLSMTDGVQRVFGMEYRPIKDLQVLAPAGLKVAICNIHIRRGLLVLVPESLEVLGGVVEDLEAARQRLVNEVNKPPRGKRSKTGVVPPLATRATLAAWPLNGVNAVEPTNNLTSQSAAPFQADERGATLDLSSTVTTQRTVEKPTAHIGGVNAVPNSSSDVVLDVERMQIDNVPISRENEVSNLNPDTAPDVEHIHMADVVEHPLILSGDREVPFTYLASLSAKWAAIKDKATYVQGKIKCFLTGVKSFQYKQRTTYELLCYVDDGSLISEILIDHNVVQKGIGHSPQEVTAALSSSDKQIVSGMKEIMRQFQTFLAHFEGMMLIEINKKSSLPIAIEMTQGCPASDARLLLRRLEPSASSQTPEHLPLDPIDISP >EOY20808 pep chromosome:Theobroma_cacao_20110822:3:1350856:1351921:-1 gene:TCM_046732 transcript:EOY20808 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding family protein MARAPRLIIAVVTLLWLLVLCRSTESPPYEVLHVESDFEIRHYRNATWMSATVNDLSFQKATLFGFHRLFQFIEGANLNWSRVAMTSPVVTSLVPGAGPLHSSAYAVRFYLPAKFQDCPPTPLPELNLEPYAWESHYVAVRKFSGFATDDSVLKEAARLATSLSLSPWANSTIDSEYSYSIAQYDPPFRFIGRVNELWVDVDASVFVGPGKATA >EOY24786 pep chromosome:Theobroma_cacao_20110822:3:32217885:32220919:-1 gene:TCM_016288 transcript:EOY24786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 5 MSSPSKRREMDLMKLMMSDYKVEMINDGMQEFYVEFNGPKESPYHGGVWKIRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRTAYEQRVKEYCEKYAKPEDVGAAPEEKSSDEELSEDEYAASDDEEIAGKPDP >EOY22299 pep chromosome:Theobroma_cacao_20110822:3:22888804:22896728:1 gene:TCM_014515 transcript:EOY22299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sieve element occlusion e, putative MATPNVHPSKSQQLLRRDRRIFSVSDDNSMMKQIQSTHAPDDRVVDVKPILQIIENVLRHVTPNIDRALNAADQGHIDGFDDRASLAAIDGMLEALAFIVHKISCEISCKCSGGGDAHSTTMALLNMLSSYSWDAKVVLTLAAFSVNIGEFWLIVQLCTTNSLAKSVALLKQLPDILEHSHNLKPQFDALNNLIKAMMDVTKCIVEFTELPSQYILSDVPPMSIAMAHIPTAAYWTMRSVVACASQIASLVGLKHEHITATSEAWELSSLAHKVSSIHDHLQKLLRQCYQHIDEKKQAEAYEGLAHSFGTPQLDNLKILIKLFSLGKEDPQNALLGPDKTKVHMDVLRRKHVLLLISDLDISPDEIQVFEVLYKYERVSSELNYEIVWLPIVDMSTWNDGHQQKFLNLQSIMPWYTVHHPSIIEPAVIKYTKEVWRFVKKPIVVTLDPQGKVTCPNALNMLWIWGNTAFPFNTETEESLWKTQAWTIELLVDGLEANLHTWMKQQKVICLYGGEELDWIESFTSETKKVAQALGIGLEMVYVGKNNARERVRKITGFINEKQLSHAWQDGTIWFFWNRLESMLFSKTRHGKTNETDVIKQEVMTLLGYDGSEHGWAVFFLGSIEMVRAKGDKALSSMQSFETWEYLAREMGFMPALRKYLEGIAEDHHCTRLILPGISGGIAERVVCAECGRPMEMYFMYRCCVD >EOY24684 pep chromosome:Theobroma_cacao_20110822:3:31919871:31926305:1 gene:TCM_016224 transcript:EOY24684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related protein, putative MFKSARWRSEKNRIKSVFKLQFHATQVTQLNVQALMISVVPGDGGKPTTKLDKATVQDGNCRWENPVYETVKFVREPKTGKINEKIYHFILSTGLGKGGLVGEASVNFAVYAEAIKTSTVSLPLKNSNSKAILHVSIQRLQENADQREVAEIEDASIKSQDRSLKAQLSNGDADESTKNDPVEDAPFSKTTHNVELRGNHRGSNGSDITISSSDSSGLNTPRELGMRNDNNNQDPPTYLSSMNHTSVTPKPTPIASTTIYEEWSAGSDHGMSTDDSNSSQDTFPRENSQHASDNEIEKLKNELIALSRHADVSDLELQTLRKQIVKESKRGQDLSREVVTLKEERDELKLECEKLKAFQKRMDDGKTESRVQFESGDPWVLVEEIRQELNYEKHLNSNLRLQLQKTQESNAELILAVQDLEEMLDAKNMEISNPPNKSGSYDNAEVFRGTIGRSDTDEDEEQRALEQLVKEHRDTKETSVLEQKIMDLYSEIEIYRRDKDELEAQMEQLALDYEILKQENHDISYKLEQSQLQEQLKLQYECPSSFANINELETQIECLESELNKKSKEFSDSLATINELETHIKSLEEDLEKQAQLFEMDLESITRAKVEQEQRAIQAEEALRTTRLKNANTAERLQEEFKRLSMQMASTFDANEKVATKALTEASDLRLLKNQLEELLKKAKEELQSVREDYEAKLCNLSNQVNLKSNQIEQMLKQIDDKSKQLEHQKKHEEEASGAFSQEMCSLKAEIDKLTTENKFLCEQAEQAENLRLELERTQSFAKETDVQMQRGNLERNELANTIALLKKEAAKSLEELQRMSHLKDEKEAAVESLQSELDNVKTLCNKLKHSLFEDEVEKEKLRKQVVQLKGDLKKKEEAFTGMEKKLKESNGRAAGSDGTRTTLRNNKPSMVPRGPKEVASLREKIKLLEGQIKLKETALETSTNVFLEKERDLQKKINELEFRVEELNEQSTTLCQYQFKQVFKDAKEVGVTSDGKACISKQNGNTEPSVKSNDNLSTKEQKPSIVNKDCNQDELIAELASLKERNQSMENELKDMQERYSEISLKFAEVEGERQQLVMTVRNLKNAKKS >EOY20535 pep chromosome:Theobroma_cacao_20110822:3:22984:24681:-1 gene:TCM_011930 transcript:EOY20535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSHIKPTLFSLSFSFLRLPQHFQPPFSRKASPLSADLPLSSSTLSTDLPLSFVLSQSIFFLSLSHYLNCGSSLFSYPSSPLSQQVCNRPPPQPLSSFAVVAPLARSMLQRLDIARANAKLALQRLKRKRKDEKKNKK >EOY25031 pep chromosome:Theobroma_cacao_20110822:3:33015510:33016899:1 gene:TCM_016471 transcript:EOY25031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGAAQAMRRIPRIKFPQRHPNPSGSGLQPQARTKPGDGDLTFFSSSKAPTTVGGKASLQPKRTPVSNEEIEAILLGGCF >EOY25266 pep chromosome:Theobroma_cacao_20110822:3:33751945:33752523:1 gene:TCM_016634 transcript:EOY25266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin/monellin superfamily protein, putative MQQKLLVVVFSVSLVFLPFVFSDARRENLAGGWEPIKDLNDPHVKEVAEFAVSEYNKQSKSSLELKSVMKGEMQVVSGINYKLDVETTAAEAKEYEAVVWEKAGLNSKSLTSFKPIQG >EOY22751 pep chromosome:Theobroma_cacao_20110822:3:24678612:24683661:-1 gene:TCM_014828 transcript:EOY22751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein MTTHTFTPRRVKAFSMAEAAVSFVVERLADILEEIDFQTNVRNEVERLKDELMRMRCFLRDADAKQDDDARVSNWVSDIRYVAYDAEDLIDTFILRIDSLKKKNSIKRFASLFKDWKHRSKIAKELVAIQGRILDISQSRETYGIKNIGEGISTAREKLRKQRRSSPRGEEKDIVGLDDDIAKLVTQLVQTEDHWHAISIVGMGGIGKTTLAKKVYKHGDIQARFPTRAWVYVSQEFSTRDILQAIIKQVATTGRNLEKLREEELEEILYEHLRKKRYLVVLDDVWSIEAWNSLSEAFPDGSNGSRVVLTTRNRSIALKADARSVPYDLHFLSEENGWLLFCKKAFIHSADSHRSPQLEEIGKEIVEKCAGLPLAIIVMGGLLSRKRNLGEWKRVLSNMSSFFAEDPNGVSAILALSYNDLPYYLKSCFLHLGQFPEDHPIPTHKLFRLWIAESLIPQQGERMEDIAEDYLNELIERNMVQVAKLSMNERVKQCRLHDLLRDLSISKAKAEGFHEIQGSQNIHPSARSRRHSMYSTFNWRQYKHPNPHLRSLLFFRVDHHQSQVNCYRDDPYKMKGSDLDYICKNFKLLRVLELEGLPCTTIPSIIGSLIHLKYLGLKETNLQELSSAIGSLQNLQTLDVAANLHLQTIPNVIWKIAKLRYLYMCGHKYGGPLRIDTLKHLQALSEINVQKWMQNNHANLISLRKLGIRGNFSLKATEIFNSIVALVQLQSLYLRTEDAEFPSLTQLSALQNLVKLHMRGTIRQLPSSQEFPPNLSQLTLEHTHLKQDSVGILENLPRLLILRLKARSYDGAKMAIAVSGFPQLEFLEFHSLESLEELNLEEGAALRLRSFRIINCGNLKMLPEGMRSLTALRELDIEEMPKSFVDRIRGEDFYKVQHVSSILFV >EOY25400 pep chromosome:Theobroma_cacao_20110822:3:34163989:34167432:-1 gene:TCM_046748 transcript:EOY25400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin family protein MDWAFVQKSWDKWASSNIGSSGEPLKAALLINYDPLRPSRLLSTIAEQEGIKINPIELSQFVSFIKRNKLQTETFNIGHNQYMVTSIQENWFCARCLNTSKSTGEGAIVMQTSTFLLVALYDGSIGSASRAMVSVDQLVWLLSRRNL >EOY21650 pep chromosome:Theobroma_cacao_20110822:3:15459674:15465967:-1 gene:TCM_013663 transcript:EOY21650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMETWSDSDDSQDEENEEDANLCLLALNDYKVYPSPHDIDSYAHNENDYSFDELQDAYDDLMFEFEEKTLKYKGIISNLKVENEKLVKTKIELENMMNGMQNGIELL >EOY23206 pep chromosome:Theobroma_cacao_20110822:3:26624223:26630067:1 gene:TCM_015180 transcript:EOY23206 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase III subunit RPC82 family protein, putative isoform 2 MVTQHGIKYAVLLITTHFGDLVAKVCECLLRKGPLTLQAIVRFTELTSSQVKNSLLVLIQHNCVQAFILEQPGSHGDGAKLNSQYIALFNNIIHRWRFPKFLTIVSQKLDKQCVELIEALLLHGRLTLKQMSDRAKSAQNEGDDVILDAVREAFIKLLNAHFVERCPAAEPVLTKPTEEETSARKRVPKSAKILEGKETLEQRVLEAATPSEALRFLLVTRTEPAADGGKDENNSSSMTVGKKRKLDALESETEGEATDEQVVLWRANFEEFIRRLRHKAFIENVRACLDDGAVIVISAMLEATRTAEKKVKTENSEVESIVLKRYGRDAYRMFRLLSSTARLLETDKIADTAFVEKKDTTKILYKLWKDDYLHMEKLQLTGARQSQFLLWKVNKNTLWEHVLDEMFHAALNLSLRVAHELEQEKELLNLPQDKRVNRLRKVRLLLESSQMKLDDAIMLFHDF >EOY23205 pep chromosome:Theobroma_cacao_20110822:3:26624128:26630075:1 gene:TCM_015180 transcript:EOY23205 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase III subunit RPC82 family protein, putative isoform 2 MVTQHGIKYAVLLITTHFGDLVAKVCECLLRKGPLTLQAIVRFTELTSSQVKNSLLVLIQHNCVQAFILEQPGSHGDGAKLNSQYIALFNNIIHRWRFPKFLTIVSQKLDKQCVELIEALLLHGRLTLKQMSDRAKSAQNEGDDVILDAVREAFIKLLNAHFVERCPAAEPVLTKPTEEETSARKRVPKSAKILEGKETLEQRVLEAATPSEALRFLLVTRTEPAADGGKDENNSSSMTVGKKRKLDALESETEGEATDEQVVLWRANFEEFIRRLRHKAFIENVRACLDDGAVIVISAMLEATRTAEKKVKTENSVPLSLNSIYEEVIKSEEGRNITFDRVRASLVQLSCPPFVKAVNESYSIDFKKIIELAQNDEVESIVLKRYGRDAYRMFRLLSSTARLLETDKIADTAFVEKKDTTKILYKLWKDDYLHMEKLQLTGARQSQFLLWKVNKNTLWEHVLDEMFHAALNLSLRVAHELEQEKELLNLPQDKRVNRLRKVRLLLESSQMKLDDAIMLFHDF >EOY21332 pep chromosome:Theobroma_cacao_20110822:3:6262983:6274869:1 gene:TCM_012821 transcript:EOY21332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSHMPTDQGKTSETIGLPKSAHFLFIHSTYSIGKLAKLYIDKIVRLHGVLVSIVSNRDPRFTSRFWPKFQEALKTNLRFSTTFHPQTDGQSEKTIQTLEDMLWACVIDFTGSWDRHLPLVEFAYNNSFQSSIGMAPYEVLYGRKCRTLLYWMK >EOY24343 pep chromosome:Theobroma_cacao_20110822:3:30610972:30617667:-1 gene:TCM_015971 transcript:EOY24343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein MGWSGERRKEVVTILRVLLVLLAVVQTTAFHGVKLKKQEHRNAYATMMYMGTPRDYEFYVAIRVLIRSLVRLQVDADLVVIASLDVPLRWVRALEQEDGAKVMRVENINNPYKNQKYFDERFKLTLNKLYAWKLVDYERVVMLDADNLFLQKTDELFQCGQFCAVFINPCIFHTGLFVLQPSLEVFKDMIHQLETGKENPDGADQGFIGVYFPDLLNQPMFHPPLNGTKLDGQYRLPLGYQMDASYYYLRLCWRVPCGPNSVITFPGALWLKPWYWWSWPVLPLGIQWHENRRQTLGYAAEMPIIIIQSVVFIGIIVMTRLARPSISKLCYRPSDKGTTLIRTGLKVIAIWSILAAYIVPFAIVPRTIHPLVGWTLYFLGSIALSSIAINSFMLPVIPVFAPLLGIFGSLLVMACPWYPNGVIRALAVFGYAFCYAPIAWGSVVRVVSRLQVSLEREQFFPRLGESSPPPGSNKLY >EOY25249 pep chromosome:Theobroma_cacao_20110822:3:33687407:33690911:1 gene:TCM_016622 transcript:EOY25249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVHISLTIPFGVKKLWDKWNIRGSILFSLWLQVVLIFVAPIRKSARKTWVILLIWFAYLLADAAANFAVGLISNSQRNQSNNRNQPNPTESTDLLAFWAPFLLLHLGGPDTITAFALEDNQLWLRHLLSLGFQAGAVLYVFVQSLPNENLWIPTALMFVAGIIKYVERTRALFLASLDQFRDSMLKDPDPGPNYAKLMEEYASKKDAKLPTRINMTPEPDKESKASDVPPKEGDLNHLEVVHYAYDYFQIFKGLVVDLIFSFRERDESRDFFTRRTAEDALRIIEVELNFLYGTLYTKMEVVHSTIGYIFRFIAFAANLATLGIFYFQTKKDEFHGVDIGITYTLLLGAVALDVVAFLMLIFSDRTFASIFKYLESPYCRPIAAFFGGFLALKKPRWHRCKKCGIQNSDHRHHALVTPLGFRRWSGSISAHNLIRYCLKSRRTTIHEFPSLWVIMFEKIHHLLGIDKVIKKVGDGMTKIKGKISPCNTSLPNKVVSSNSSGLPGSIIISKVIRFLKCIEEKVNQFFSWAFKKITKPVKDLVDEMMYVSSEPFTLELWKFIFEELKTKSEFADTPETAKRISSARGDWVLTDTDSANDRSKLLKYVSDVPYDESLLLWHIATDLCYNTDTEEEAEKEFSKILSDYMLYLLIFQPSMMSAVAGIGKIRYRDTCAEAQRFFERRSLRPNADNKACEEILSVNTDVEPVTVKGDRSKSVLFDASMLAQELKRLERKDRWKLICRVWVELVSYAASHCRASTHAQRVSKGGELITFFWLLMAHFGLGEQFQINEGHARAKLLVGK >EOY21154 pep chromosome:Theobroma_cacao_20110822:3:3574759:3577473:1 gene:TCM_012526 transcript:EOY21154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein, putative MAEESIVCDKMKLLLALLALHSCFCRIPHCLQGCTKHWCQQSSLPSIQEHHCLAFVESFCLFLGKVSPYSLLEEKTVKKKDRPPLTFPLLVQFFLLALLGVTANQGFYLLGLYYASPTFASAMQNSVPAITFVMASALRLEQINIAKRDGLAKVLGAIASVGGATIITLYKGPLLLHQSNTTQGHSMEEDMSSKNMQNWTWGCIYLLGHCLSWAGWLVFQAPVLKKYPAKLTLTSFTCFFGLTQFLVIAAFVETDFHHWKIQSKEELFTILYAVIPSVSLLKDFHFFGSCGIWYCVFSSDMVHLQRRSSHCSHLPALAIMAFMILGDQLYSGSVIGAVFIMVGLYLVLWGKTEEKNVAKDEDADTLKKHLLNDPESRDVEEGAAESNTA >EOY22204 pep chromosome:Theobroma_cacao_20110822:3:22360304:22364674:1 gene:TCM_014427 transcript:EOY22204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELSSQTLKIIKGERQWWEDMKWNDAEWGNRPDYLMHILSLLDKEKDAMTQLAEDRDLFEVTMQNEGQQLGNSISFAYESTISMFSSYFTIPPAPELRPAKLSDPPPLMNAFNVLQSPFSGELAAVSSNQQSNTGNNQQSTIGREENNSSDVSCLSIAPPKPLFYFINDISTFSKHNSNLLNNLLDLFKFRARLQARSFEEVAKQSQSKGNLQANYGNYYKQYSHLVKSRKSDDAYSWRCIGIKGLIGNRRRSFYKCARPGFPATKSVDRSLDGQITEIIHKARHNHPES >EOY24570 pep chromosome:Theobroma_cacao_20110822:3:31476848:31477698:-1 gene:TCM_016140 transcript:EOY24570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTFKRGEFVGTMKLNAFPNNTVLSLQFPKDYRSNYETLTKTFHNKKKKEKETNCYEKPIYDVININFILYISLLSWYLNSTDIIPSTYLGWNSWGPQNYRIE >EOY23066 pep chromosome:Theobroma_cacao_20110822:3:26062890:26065855:-1 gene:TCM_015074 transcript:EOY23066 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein isoform 1 MVPNESLLQRTIWGFPICIILFMIRAFEELNYNTRVDVDHLETVWKDQDATGCFEEDQNVDSAYLKRIRQCEVLTEREREREREAETKISEMAHVAQAKAGQDDGEKNMAAWLLGIKTLKIQPYLLPPLGPQDVKVRIKALGICGSDVHHFETMRCANFIVKKPMVIGHECAGIIEEVGSEVKSLAVGDRVALEPGISCQRCGICRDGRYNLCPEMKFFGSPPTNGSLANKVVHPANLCFKLPDTVSLEEGAMCEPLSVGVHACRRAGICPDTNVLIMGAGPIGLVTLLAARAFGAPRIVIGDVDDCRLSIAKNLGADEIVQVSTNIQDVGEEVVKIQNAMSSRIDVSFDCVGFNKTMSTALRATGAGGKVCLIGLAQSEMTIPLTPAAVREIDVFGIFRYRNTWPLCIEFLRTGKIDVKPLITHRFGFSQKGIEDAFRTSAAGGNAIKVMFNL >EOY23067 pep chromosome:Theobroma_cacao_20110822:3:26063782:26065568:-1 gene:TCM_015074 transcript:EOY23067 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein isoform 1 MVPNESLLQRTIWGFPICIILFMIRAFEELNYNTRVDVDHLETVWKDQDATGCFEEDQNVDSAYLKRIRQCEVLTEREREREREAETKISEMAHVAQAKAGQDDGEKNMAAWLLGIKTLKIQPYLLPPLGPQDVKVRIKALGICGSDVHHFETMRCANFIVKKPMVIGHECAGIIEEVGSEVKSLAVGDRVALEPGISCQRCGICRDGRYNLCPEMKFFGSPPTNGSLANKVVHPANLCFKLPDTVSLEEGAMCEPLSVGVHACRRAGICPDTNVLIMGAGPIGLVTLLAARAFGAPRIVIGDVDDCRLSIAKNLGADEIVQVSTNIQVNFIIIWSRSLFRLHVV >EOY23951 pep chromosome:Theobroma_cacao_20110822:3:29097817:29103266:1 gene:TCM_015684 transcript:EOY23951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative isoform 1 MGSYFEEEEDQFFDTREEISSVSDSSSVCSEECGSGPGFGLVNGFWDSFDQYKFWSMFPESVDKRRHRFRKWMGLSLDWNSITKEDPGGSYSDEIELGIDRISQDSGAVLRTSGLEDGVSSNRSFVSFRSNDAQEPAENCSKGDCFAHQAKNLDGHVELLAVDQVQNGTNSCLQGRGSSKSVSSEDFGRTPMSSPFVELHLHREVEGRPSIDVRRKVKKSWLRKLGAMAHIVERHVEVASKHGNHDSASGEKMKRVRVHPCSKSSKELSSLYCGQEFTAHEGSILTMKFSLDGQYLATAGEDGIVRVWKVVEDESLDKLDIQDLDSSCLYFRMNHLSRLTPLNVDKENIDKIKRLRRSSDSTCVIFPPKVFRILEKPVHEFQGHSGEVLALSWSKKIVTAVCYRPDGQGGIVGSMTGNCRFYEIIGNRLQLDAPICLQGKKKLPGKRITGFEFSPSDPSKVIITSADSLVRVLSGRDVACKLKASGFRIATSQISATFSQDGKQIISASEDSNVYIWNYTNQEKNSSKAKTILSRESFLSHNASVAIPWCGIETIPGTLRSPELGGDVQRNGLANVQKHHNPKVELEQIMPHSSPDCFSLTRVLLESLTRGSATWPEETLPNASPATIASDMCKFELKVLKSAYQSMLSSHKWGLVIVTASWDGRIRTYLNYGLPIRL >EOY23950 pep chromosome:Theobroma_cacao_20110822:3:29098197:29102952:1 gene:TCM_015684 transcript:EOY23950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative isoform 1 MGSYFEEEEDQFFDTREEISSVSDSSSVCSEECGSGPGFGLVNGFWDSFDQYKFWSMFPESVDKRRHRFRKWMGLSLDWNSITKEDPGGSYSDEIELGIDRISQDSGAVLRTSGLEDGVSSNRSFVSFRSNDAQEPAENCSKGDCFAHQAKNLDGHVELLAVDQVQNGTNSCLQGRGSSKSVSSEDFGRTPMSSPFVELHLHREVEGRPSIDVRRKVKKSWLRKLGAMAHIVERHVEVASKHGNHDSASGEKMKRVRVHPCSKSSKELSSLYCGQEFTAHEGSILTMKFSLDGQYLATAGEDGIVRVWKVVEDESLDKLDIQDLDSSCLYFRMNHLSRLTPLNVDKENIDKIKRLRRSSDSTCVIFPPKVFRILEKPVHEFQGHSGEVLALSWSKKGSLLSSSVDKTVRLWQVGYDRCLRVFSHNNYVTSVAFNPVDDNYFISGSIDGKVRIWEVLRCRVVDYTDIRDIVTAVCYRPDGQGGIVGSMTGNCRFYEIIGSNRLQLDAPICLQGKKKLPGKRITGFEFSPSDPSKVIITSADSLVRVLSGRDVACKLKASGFRIATSQISATFSQDGKQIISASEDSNVYIWNYTNQEKNSSKAKTILSRESFLSHNASVAIPWCGIETIPGTLRSPELGGDVQRNGLANVQKHHNPKVELEQIMPHSSPDCFSLTRVLLESLTRGSATWPEETLPNASPATIASDMCKFELKVLKSAYQSMLSSHKWGLVIVTASWDGRIRTYLNYGLPIRL >EOY23949 pep chromosome:Theobroma_cacao_20110822:3:29098263:29103417:1 gene:TCM_015684 transcript:EOY23949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative isoform 1 MGSYFEEEEDQFFDTREEISSVSDSSSVCSEECGSGPGFGLVNGFWDSFDQYKFWSMFPESVDKRRHRFRKWMGLSLDWNSITKEDPGGSYSDEIELGIDRISQDSGAVLRTSGLEDGVSSNRSFVSFRSNDAQEPAENCSKGDCFAHQAKNLDGHVELLAVDQVQNGTNSCLQGRGSSKSVSSEDFGRTPMSSPFVELHLHREVEGRPSIDVRRKVKKSWLRKLGAMAHIVERHVEVASKHGNHDSASGEKMKRVRVHPCSKSSKELSSLYCGQEFTAHEGSILTMKFSLDGQYLATAGEDGIVRVWKVVEDESLDKLDIQDLDSSCLYFRMNHLSRLTPLNVDKENIDKIKRLRRSSDSTCVIFPPKVFRILEKPVHEFQGHSGEVLALSWSKKGSLLSSSVDKTVRLWQVGYDRCLRVFSHNNYVTSVAFNPVDDNYFISGSIDGKVRIWEVLRCRVVDYTDIRDIVTAVCYRPDGQGGIVGSMTGNCRFYEIIGNRLQLDAPICLQGKKKLPGKRITGFEFSPSDPSKVIITSADSLVRVLSGRDVACKLKASGFRIATSQISATFSQDGKQIISASEDSNVYIWNYTNQEKNSSKAKTILSRESFLSHNASVAIPWCGIETIPGTLRSPELGGDVQRNGLANVQKHHNPKVELEQIMPHSSPDCFSLTRVLLESLTRGSATWPEETLPNASPATIASDMCKFELKVLKSAYQSMLSSHKWGLVIVTASWDGRIRTYLNYGLPIRL >EOY25328 pep chromosome:Theobroma_cacao_20110822:3:33946346:33950257:-1 gene:TCM_016677 transcript:EOY25328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MVNGGNEGNLAGDSFLKPGTIKQSFDSCQLLPSHTSSGEKSAMETEGRQFSDFYRNSSEELFLKSLMESSIGMPVPTMEMLGFKNLSQNFRADSEELFRSWLTNGEASNSNQGPYNLKLFYFVISSSRTFILNQGHNSSSIAHRTRQASRRLSTEMASLSCQQPGTSLQKKRSSDDLLLQNNSIGEETSGDVNQNSMRTAVEKGLQASNLYLAKAWFHSSQPMTRSRSSELRRRYAAMQNAQTSLGMEDALNSYGNGVNKMKVELSDPNGFNDIPMCEIPNQLGTFMSPSNSSSSTFNAPQTGNVDKVSSVVSMLKGTLERKKLSNQIEKEAGEDSSIMPNSTFNQGQGNLFHEIPGAFAEVSLGQVTNTGVVQVVQGPMDLDLEGFVNPTNTIQMSTVSREASQSESSAAAPVVSSGFDACDGPSNSSQTLSICESSKKQVGNGRSSENGCKSKADFRERIIDNLKDDRKQRGGLVRYGSVTSAGSVDRADPTKKRRVERSRKMAEAKERNSTPAIPSDMQSVLKRCETLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEELTDEKERLLEEIERIIADSGNM >EOY20766 pep chromosome:Theobroma_cacao_20110822:3:987232:996475:1 gene:TCM_012104 transcript:EOY20766 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOTTED1 homeobox gene 6 MEELYGFHSSATTAVYSMDTQPAADNMLSPPGNYPAGFPSHTAAATAFAEHMFGSGQLLSGSSGISDADSMVAEIQRGGCEEEVSSAIRAKIASHPLYPKLLQAYIDCQKVGAPPEIAKMLDEISGESDVCKRTALVPTCLGADPELDHFMETYCDLLLKYKSDLSKPFDDATTFLNNIKTQLSHLCNDEAAGSSEDDFSGGEMEALEDYQINEDRELKEKLLRKYSGYISTLKHEFSKKKKKGKLPKEARQILLDWWNVHYKWPYPTEADKVGLAEATGLDQKQINNWFINQRKRHWKPSENMQIAVMDSLCGPFFHE >EOY21720 pep chromosome:Theobroma_cacao_20110822:3:17620177:17621171:-1 gene:TCM_013825 transcript:EOY21720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1118) [Source:Projected from Arabidopsis thaliana (AT1G74730) TAIR;Acc:AT1G74730] MAVSSPSPVAYLGRIQHANFLSATQPRFNPLFPAKKPLTIVSMAPKKKVNKFDPDWEKQWFGAGIFYEGSEDVEVDVFKNLEKKKVLSNVEKAGILSKAEELGFTLSSIEKLGVFSKAEELGLLSLLEKTASVSPSTLASAALPALVAAVAAIVIIPDDSVGLVAAQAVLAGALVVGAAGLFVGSVVLGGLQEAD >EOY21842 pep chromosome:Theobroma_cacao_20110822:3:19045866:19050416:1 gene:TCM_013984 transcript:EOY21842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSRDPRVEKGKKAAFKEEEEIQVLIDNLMQRTFDLEAAILSNEKIIAEIEFKVNDTYKGGETRVRGVGRDLSFSGLVKLVEEVVGVNSHNEIELHTLLSHAVGVSRTVIKDDEDVASILRDERAVVVFMTVKAGNANNILHEHANDTMMVVSDDDASDQIEDDVEKDDTVDRNHELRYDCEDDYVGGHEDRLEDDRVEQTDIPDCNHADGGRGHTTTIVLEEVELDDHCRTVELEDVEGDPIYENAIALENDIRSLDDSDEERVGCKDKACKFALRATKLLEGEYWQVRMLHKGARKLCNLIETKGNNGRDESQVGIAMPVCAVMWPVVAIDATHLKGRFKGILFVAICKDANEQIYPLAIGIGHVEDEESWSWFLNQLRFEKVYKDAHHDLCNYHLGKNVKNRFKREDVATIFTMVANCYRVIDFDRHMNQLKQLCKPAYDILIRLGPERWALAPSPVRRYKLMTSNTTECINSCLRHTRKRPIMVLIERLNEASHFFVQAIDRVEFQVIGGSKDRVMNLSTKECSCGEFQFNLLLCTHAMAAIRLDLGLRDMRFPFTRLGIPVSGTSPMTCNKLSFCHQVGEVKREELGEKGFHQLGKATDDVYVHNARAMVIIDKIAGVCLHLYRQMGKHHLLSRRLEDVDPRHVQFADNPGTVEIAIQCGL >EOY22951 pep chromosome:Theobroma_cacao_20110822:3:25519473:25522246:1 gene:TCM_014980 transcript:EOY22951 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family MGNTSCVFCTCIEQASIGVVERWGRFEKLADPGLHFFNPFAGQWLAGILSTRISSLDVRIETKTKDNVFVQLLCSIQYRVVKVHADDAFYELQNPKEQIQAYVFDVVRALVPRMNLDDLFEQKGEVAKAVLEELEKVMGEYGYSIEHILLVDIIPDAAVRKAMNEINAAQRLQLASVYKGEAEKVFQVKKAEAEAESKYLGGVGVARQRQAITDGLRENILNFSHKVEGTSAKEVMDLIMITQYFDTIKDLGNSSKNTTVFIPHGPGHVRDIGEQIRNGLMEAASAHVNIE >EOY21967 pep chromosome:Theobroma_cacao_20110822:3:20155832:20156318:1 gene:TCM_014134 transcript:EOY21967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPYLPVQPFWPCIFLSLLCLPSLLFLFCTLPSQAWIGWLGKSSIKLPLTFPSCAIKSCSIELLHVKTPCNLTTSPC >EOY21115 pep chromosome:Theobroma_cacao_20110822:3:3129999:3149683:1 gene:TCM_012456 transcript:EOY21115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MLSTSLCKHCNATISFLFAFLLVLNVADAEAAHDICKVTKCNRHGPPVRFPFWLKDKQSPHCGFPHPGFELACTKNGETVLHLPWSVEMFVEDIDYKTQSIYLSDPEGCLNRQLPHLDLNFSASPFYGSFGQPDNYTLFNCPKDPREDYLYYGNCIGYINGFQIRAASPSSMGNEYSLIKCQKVVDISAVPMELIDTPNLNLNWSKPICFSCEEEGKGCRLKNNTQDQTECYDIPKNGTIELMFEKHNMLIHFQHFTGHPYTKLKRIVAGVIPTSFLLALIVFALYKLYRIHKTKEESQLKIEEFLEDYRSLKPSRYAYADIKRITNQFKEKLGEGGYGTVFKGRLSNDVLVAVKVLNNFKGNGEEFINEVGSMSRIHHVNVARLVGFCADGYKRALVYEYLPNESLEKFIFSAKGESHFLGWDELQDIALGIAKGIEYLHQGCEQRILHFDIKPHNILLDHNFTPKISDFGLAKLCSKEQSAVFMTAARGTMGYIAPEVLSRNFGNVSYKSDVYSFGMLLLEMVGGRKNIDVTVENTSQVYFPEWVYNHLDKGEELGIRIEDERQSLVARKLSIVGLWCIQWFPVDRPSMKAVIQMLEGEANSLTMPPNPFASTEHMKLGGSKYRKPVGAELAIIAE >EOY21979 pep chromosome:Theobroma_cacao_20110822:3:20256813:20271349:1 gene:TCM_014152 transcript:EOY21979 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein / HNH endonuclease domain-containing protein, putative isoform 2 MELTEEQRKQAEANRLAAIAKRKALIESSTSIVNIHYRQNHDHYWRLTKCPKLANDNAHIPKRPQDSNLAPVSNTKLCKKFQVRLEICSPDSFSVTPGTVQGCPYQGVEECLRRLRDILSDVTPSHYTQNSGGEKACVYNLRDYGSVLSCLRKSKDIEIKEIPHLTLRVIETFSHCFVTGQWIPCRPEHLSEEDVDELIGKLPRTLLDRLLPFQLDGIRFGLQRGGRCLIADEMGLGKTLQAIAIAGCFMNEGCILVVCPAVLRYSWAEELERWLPCCLPSDIHLVFGHRDNPAYLKKCPRVVVISYTMLKHLRKSMLEQEWALLIVDESHHLRCSQKASESGEIQTVLDLAERVRRIVLLSGTPSLSRPYDIFHQINMLWPGLLGENKYKFAETYCAVNLGQSSQGFKDFSKGIRLEELNVLLSQTVMIRRLKEHVLGQLPPKRRQLIRLQLKRSDIASAKAAISFANGDDFEKNASKDTASENLEENHDGERFCNSRELSYQELGVAKLRGFREWFSIHPLIAKSDAIEELDPNSSSHKMIIFAHHHKVLDGIQEFICEKGIGFVRIDGTTLPKDRQSAVLSFQSSNGVKIAIIGITAGGVGLDFSSAQNVVFLELPQSPSLMLQAEDRAHRRGQTSAVNIYIFCAKDTMDESYWQSLNKSLHRVSSTTNGKYDAMQEIEVEGISYLVTPDGSCKRKILRKAAPGKLSLDLEKMQDSECFLDMQLSEACADATVQMNDLSVGINQNYGSYLHNDGGMVPDLLINKDLVCMEDKNELHPSAADIGICEVAPPLEMNKINKDRDPLPKGQTITADYGVPIQLLDDECCSNQVNSLRFEVSQYTGRIHLYSCIPGTDLRPRPLFQNFRPEEIESEDALTSDNKETVSKYFKDNPAYRHALWVFVNDWNKLRPIEQRKLHGKPLQLPLSVELWYLKESINHDRGGLLKGGSKRRTTPLCEISISLPPNAVWKKVHLRGSCRKKEREYTQGWTLTDEPLCKLCQKPCKGRSAKTPEYFEDLFCNLGCYEEYRLRTSNRSIRQELFQIEHGICSNCQLDCHQLVKHLKPLSSERRREYIAKVAPKIASQKSLYVNRDKLLVLFPNYCRILCHRKLCNYGFEFFMQRSLLDIQY >EOY21978 pep chromosome:Theobroma_cacao_20110822:3:20256813:20272407:1 gene:TCM_014152 transcript:EOY21978 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein / HNH endonuclease domain-containing protein, putative isoform 2 MELTEEQRKQAEANRLAAIAKRKALIESSTSIVNIHYRQNHDHYWRLTKCPKLANDNAHIPKRPQDSNLAPVSNTKLCKKFQVRLEICSPDSFSVTPGTVQGCPYQGVEECLRRLRDILSDVTPSHYTQNSGGEKACVYNLRDYGSVLSCLRKSKDIEIKEIPHLTLRVIETFSHCFVTGQWIPCRPEHLSEEDVDELIGKLPRTLLDRLLPFQLDGIRFGLQRGGRCLIADEMGLGKTLQAIAIAGCFMNEGCILVVCPAVLRYSWAEELERWLPCCLPSDIHLVFGHRDNPAYLKKCPRVVVISYTMLKHLRKSMLEQEWALLIVDESHHLRCSQKASESGEIQTVLDLAERVRRIVLLSGTPSLSRPYDIFHQINMLWPGLLGENKYKFAETYCAVNLGQSSQGFKDFSKGIRLEELNVLLSQTVMIRRLKEHVLGQLPPKRRQLIRLQLKRSDIASAKAAISFANGDDFEKNASKDTASENLEENHDGERFCNSRELSYQELGVAKLRGFREWFSIHPLIAKSDAIEELDPNSSSHKMIIFAHHHKVLDGIQEFICEKGIGFVRIDGTTLPKDRQSAVLSFQSSNGVKIAIIGITAGGVGLDFSSAQNVVFLELPQSPSLMLQAEDRAHRRGQTSAVNIYIFCAKDTMDESYWQSLNKSLHRVSSTTNGKYDAMQEIEVEGISYLVTPDGSCKRKILRKAAPGKLSLDLEKMQDSECFLDMQLSEACADATVQMNDLSVGINQNYGSYLHNDGGMVPDLLINKDLVCMEDKNELHPSAADIGICEVAPPLEMNKINKDRDPLPKGQTITADYGVPIQLLDDECCSNQVNSLRFEVSQYTGRIHLYSCIPGTDLRPRPLFQNFRPEEIESEDALTSDNKETVSKYFKDNPAYRHALWVFVNDWNKLRPIEQRKLHGKPLQLPLSVELWYLKESINHDRGGLLKGGSKRRTTPLCEISISLPPNAVWKKVHLRGSCRKKEREYTQGWTLTDEPLCKLCQKPCKGRSAKTPEYFEDLFCNLGCYEEYRLRTSNRSIRQELFQIEHGICSNCQLDCHQLVKHLKPLSSERRREYIAKVAPKIASQKSLVDKLVSDPSEGNVWHADHIVPVYRGGGECRLENMRTLCVACHADVTAVQCAERSSMRIKAKKNLKAIMSDLRNAGNIEKNASCRKDQGPSEMIKDITDDELLVNVPGSAYTGGKSSSPQTKDLKDCPTP >EOY23364 pep chromosome:Theobroma_cacao_20110822:3:27143021:27143778:1 gene:TCM_015281 transcript:EOY23364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycofamily protein, putative MMSEDRTTSRRKRNIKCLAYIVAGVIAQTIIILLFVMLVMRIRNPKVRLGGVTVENLNLNSSSSSPSFSMNLNAQVTVKNTNFGHFKFQNSTLTISYRGTPVGEATIVKARARARSTTKLNVTVSVSSDKMSRNSALSSDVGSGTINLSSHAKLDGKIHLFKVFKKKKSAEMNCTMEVTTSSKQIQNLMCQ >EOY25088 pep chromosome:Theobroma_cacao_20110822:3:33194020:33196122:-1 gene:TCM_016508 transcript:EOY25088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1B/ribosomal protein S6 family protein MPMNRFNISYLISEVSIVLISHGNVSWFALDGGAIKLSFGTPTVSLSWLGKKQERGGKTGHQRVEQMLPLTCSRRREAMGCWKIHYPTGRSQSTTPCPNVCDEPTLLIRALFILSLITETPAELTSDREPMASPTSSLTKSPFCPQHLTQFPPLPFLSFTHSLKPIPKKSKSLTSLKRGNFTTVTVKARTLDFSGSFFEGGFGSDDDPPSQPGSGITALEDKEEPQCPPGLRQYETMMVLRPDMSEDERLALTQKYEELLVAGGGMYVEVFNRGVVPLAYSIKKKNKAGETNTYLDGIYLLFTYFMKPESMATLEVALNTDDDVIRSSSFKVRKRKF >EOY21688 pep chromosome:Theobroma_cacao_20110822:3:17043113:17049876:-1 gene:TCM_013776 transcript:EOY21688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MLRAKQIGNLSSSARSFLFSGSRCSASDGNSCTCPEDESCVSRKRSIRNEVLSKSSGRGTLALGTASKAVGSHEAERAPQLVSSPIPLHRSGNVNYDVNIDAAQLDGQASAPISDQFVKAGIAAVSFLSDMMNYKLPLSDGGVMLSSPKNCVVESSRQLPNIKSPAVKPIKKENFAKVYPKPSSEIAAGPKSTVSYHGTKDRGNKPNFVRGYKQVSNAASVGSSETHRTSANTCDKGKPMPQRVKAHSHRFMSNFNSNVLPSDAKFSDSGTEGFKKSFRDMKMPTGVVPMTRPLAGTRHVTESVSHILQQLNWGPAAEQALENLNFSMDAYQANQVLKQIQDHTVALGFFYWLKQRAGFKHDGHTYTTMVGILGRARQFGAINRLLDQMVKDGCQPNVVTYNRLIHSYGRANYLKEAINVFNQMQEAGCEPDRVTYCTLIDIHAKAGFLDVAMDLYQRMQAVGLSPDTFTYSVIINCLGKAGHLPAAHRLFCEMVGQGCVPNLVTYNIMIALQAKARNYESALKLYRDMQNAGFDPDKVTYSIVMEVLGHYGYLDEAESIFAEMKKKNWVPDEPVYGLLVDLWGKAGNVEKAWQWYQAMLHAGLRPNVPTCNSLLSAFLRVHRLSDAYNLLQNMVALGLNPSLQTYTLLLSCCTEARSPYDMGFCCQLMAVTGHPAHMFLLSMPSAGPDGQNVRDHVGKFLDMMHSEDRESKRGLVDSVVDFLHKSGLKEEAGSVWEVAAQKNVYPDAVREKSSCYWLINLHVMSDGTAVTALSRTLAWFRQQMLVSGISPSRIDIVTGWGRRSRVTGSSLVRQAVQDLLSIFSFPFFTENGNSGCFVGCGEPLNRWLLQSYVERMHLL >EOY20874 pep chromosome:Theobroma_cacao_20110822:3:1611822:1618115:1 gene:TCM_012215 transcript:EOY20874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase, putative MPVNPWTLFPSLFLSFSFLIPFLCTAFAVNQQGEALLSWKRSFNGSPEALSNWDAKDETPCKWFGIVCNFNNVVVELELRYVDLIGEVPSNFTSLSTLNKLVLSGTNLTGSIPKEISTLTQLSHLDMSENVLTGEIPSELCSLLTLEQLYLNSNQLKGSIPIQIGNLTSLKWLILYDNQLSGEIPSTIGNLKNLEVIRAGGNKNLEGPLPQAIGNCTSLVMLGLAETSISGFLPPTLGLLKKLQTIAIYTAYLSGQIPPELGDCTELQNIYLYENSLAGSIPRSLGNLRNLQSLLLWQNNLVGIIPPELGNCNKLLVIDASMNSLTGSIPQSFGNLKSLQELQLSVNQISGEIPSTLGNCRQMTHIELDNNQITGTIPSELGNLTNLTLLFLWQNKLEGNIPVSISNCQNLEAVDLSQNSLTGPIPNEIFQLKKLNKLLLLSNNLSGDIPPEIGNCSSLIRFRASDNKITGSIPIQIGNLQNLNFLDLGSNRLTGFIPEEISGCQNLTFLDLHSNSVGGNMPVSLSKLVSLQFVDFSDNLIEGTLSPSLGSLSSLTKLVLGNNRFSGSIPSQLGSCSKLQLLDLSSNQFMGNIPASLGKIPALEIALNLSWNQLTGKIPEEFTALDKLGILDISHNQLVGDLQNLAGLQNLVVLNVSHNNFTGRVPDTPFFSKLPLSVLSGNPSLCVSGNQCSAAEYGGSSSKRTAARVAMVVLLCTACGLLLAALYIIISSKKRSSGPHHDCDIDGDADLEMGPPWELTLYQKLDLSIADVARSLMAGNIIGRGRTGVVYKVTIPSGLTIAVKRFRSSDKASAGSFSSEIATLARIRHRNIVRLLGWGANRKTKLLFYDYMANGTLGALLHEGCGRELLDWDIRFKIALGLAEGLAYLHHDCVPAILHRDVKAHNILLGDRYEPCLADFGLARLVEDENGGSFSANPEFAGSYGYMAPEYACMLKITEKSDVYSYGVVLLEIITGKKPVDPSFPDGQHVIQWVRDHLKNKKDPVEILDPKLQGHPDTQIQEMLQALGISLLCTSNRAEDRPIMKDVAALLKEIRQEPMVGTEAHKPTSNSSKKIETTPSYSSSSVTPAQLLLQGSSQSSLAYSSSSANYIPRSQ >EOY23542 pep chromosome:Theobroma_cacao_20110822:3:27801650:27805594:-1 gene:TCM_015409 transcript:EOY23542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-and 4-kinase,Ubiquitin family protein MRKQKLVFQGRELARNNSLVRDYGVADRNVMHLVLRLSDLQAITVRTVCGKEFEFHIARGRNMGYVKQQIAKKGKGFLNLKDQELVCNGEELEDQRLITDICKNNDAVILLLVRKSVKLRAVPFEKDIEVSIEELNLNEGKPDAIGQYQGVTLSQEHHVMERKLMQRDFILEPLVVNSKIELPLVIKELIDLTFNGLERGNKPIRSSEGSGGAYFMQDSSGQKYISVFKPIDEEPMAVNNPQGLPLSLDGEGLKKGTRVGEGALREVAAYLLDHRMAGPHSFDGGGKGFAGVPPTVMVKCLHKGFNYPEGYEYDPKNIKIGSLQMFVNNVGSCEDMGPCAFPVDEVHKISVLDIRLANADRHAGNILVTRNCEEGRVSLVPIDHGYCLPENFEDCTFDWLYWPQAHEPYTPGVINYIKSLDAEKDIELLKFHGWDMPPKCARTLRISTMLLKKGAERGLTPYSIGRIMCRETVKEESVIEQIVREAEEAVLPGMSDDAFFEAVASIMDRHLDELTS >EOY25258 pep chromosome:Theobroma_cacao_20110822:3:33741036:33745540:1 gene:TCM_016632 transcript:EOY25258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein MAESAVHFVLEKLAPFFEKGMQLLVGGREGVAYVRGELERMRAFLRVADALEETDEEVKVWVKQIRDVAHDIEDILDEYMLLLTHNHGEGLYGFLHKMSCCVRNMKAQYRIASEIQGINSRIRNICEGHRRLRQKFCADERSSSNGAGNTWQDRRGDALLLDKTDVVGIDEPKKKLVGWLVNGASDFKVISLSGMGGLGKTTLAKQVYDDPEVKKHFKVHAWITVSQSFKLEGLLKDMVQQLSRVITKPVPEGVDSMSSYQLKTIIKNLLRKRRYLIILDDVWRINEWDAIKFALPANDCGSRVMLTTRNADLAFSSRIESEGEVYNLEPLPPEVSWTLFCRKTFGGNYCPPYLEEICKQILKKCEGLPLAIVAISGVLATKSKRRIDEWEMVGRSLGAEIDGNDKLMNLKKVLSLSFNDLPYYLKSCFLYLSIFPEDRPIELMRLIRLWTAEGFVEVKQGKTQEEVAEDFFNELLNRSLIQVAGTTSDGRVKSCRIHDLLREIIILKSREQNFAAIAKEQNAMWPDKVRRLSIHNTLQNVLQNRFVSRLRSLFMFGVEENPSLRRLIPGGFRLLAVLDLQATPITKFPVEVVNLYYLKYLSLRETKITVVPRFIGKLQNLETLDLKHAYATELPVEILQLQRLRHLLVYRYEFESYDHFHSKYGFKAPERIGSLQSLQKLCHIEVDQGSVTLAELGKLTQLRRLGITKLRKEDGKKLCSSIQNLSSLRSLSIISIEEDEIIDVQHLISPPPLLQRLYLRGRLETLPHWIPSLHSLVKVYLKWSRLAEDPLASLQSLPNLVHLELVQVYDGETLCFKAGGFKMLKHLGLDKFDELKWVQVQVGAMPRVQKLSIQRCKSMEKVPLGIEYLTKLNVLEFFDMPHEFIRTLRLDEHGEDYWRVAHIPEVYSTYWRDGAWEVYSLESFSEGESSPRADTVICCQDLHARWK >EOY23403 pep chromosome:Theobroma_cacao_20110822:3:27296548:27300338:-1 gene:TCM_015310 transcript:EOY23403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acidic endochitinase MATKTQAIPIFLSLLVLALIEVSHAGGIGIYWGQSGSETTLNTTCNSGLYKYVSIAFLNKFGSGRTPGLNLAGHCNPANGGCRVASSAIRNCQSKGIKVMLSIGGGIGQYSLASKADAQRVAAYLYKNFLGGSSPSRPLGSAVLDGIDFDIELGSTNYWADLARYLAAYSKPGRKVYLSAAPQCPIPDRFLGAALSTGLFDYVWVQFYNNAPCQYSPGNTSKLLASWKRWAAIGAIKKLFLGLPAAKAGAGSGYIPPGVLTSKILPEIKKSPKYGGVMLWNRYLDKVTGYSAAIKSKV >EOY23146 pep chromosome:Theobroma_cacao_20110822:3:26385873:26390760:-1 gene:TCM_015134 transcript:EOY23146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANTVTVAVSFVFLSNKLLLEINQWNSGGKGKTEKKGVFLLRLTATAPRNVGNEFQFLILFQGKRNFLEEKEISKKIDG >EOY22234 pep chromosome:Theobroma_cacao_20110822:3:22547980:22555406:1 gene:TCM_014457 transcript:EOY22234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1637) [Source:Projected from Arabidopsis thaliana (AT1G18490) TAIR;Acc:AT1G18490] MFSKFQKATDKAMVFLQNRALSTLYIPKQKLHMAMNTTSPKVQLLFDLCKTTFTPSGLPSASPQPIRKLCSLLDTFGPADIGLKEESPDDDRGHGFFGLNRVARWAQPITFLDIYECDSFTMCVFCFPTSSVIPLHDHPGMTVFSKVLYGSMHVKAYDWVEPVCIKESREPGYPQVRLARLAVDKVSTAPCGTSVLYPKTGGNLHCFTAVTPCAVLDVLAPPYREDIGRKCTYYIDYPYSTFGNGTEISNGKEEDYAWLAEIETPDDLYMREGVYVGPAIQWARIAELDKEELC >EOY22233 pep chromosome:Theobroma_cacao_20110822:3:22547980:22552811:1 gene:TCM_014457 transcript:EOY22233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1637) [Source:Projected from Arabidopsis thaliana (AT1G18490) TAIR;Acc:AT1G18490] MFSKFQKATDKAMVFLQNRALSTLYIPKQKLHMAMNTTSPKVQLLFDLCKTTFTPSGLPSASPQPIRKLCSLLDTFGPADIGLKEESPDDDRGHGFFGLNRVARWAQPITFLDIYECDSFTMCVFCFPTSSVIPLHDHPGMTVFSKVLYGSMHVKAYDWVEPVCIKESREPGYPQVRLARLAVDKVSTAPCGTSVLYPKTGGNLHCFTAVTPCAVLDVLAPPYREDIGRKCTYYIDYPYSTFGNGTEISNGKEEDYAWLAEIETPDDLYMREGVYVGPAIQV >EOY22235 pep chromosome:Theobroma_cacao_20110822:3:22548026:22552723:1 gene:TCM_014457 transcript:EOY22235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1637) [Source:Projected from Arabidopsis thaliana (AT1G18490) TAIR;Acc:AT1G18490] MFSKFQKATDKAMVFLQNRALSTLYIPKQKLHMAMNTTSPKVQLLFDLCKTTFTPSGLPSASPQPIRKLCSLLDTFGPADIGLKEESPDDDRGHGFFGLNRVARWAQPITFLDIYECDSFTMCVFCFPTSSVIPLHDHPGMTVFSKVLYGSMHVKAYDWVEPVCIKESREPVRLARLAVDKVSTAPCGTSVLYPKTGGNLHCFTAVTPCAVLDVLAPPYREDIGRKCTYYIDYPYSTFGNGTEISNGKEEDYAWLAEIETPDDLYMREGVYVGPAIQV >EOY23754 pep chromosome:Theobroma_cacao_20110822:3:28477117:28482695:-1 gene:TCM_015553 transcript:EOY23754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Response to low sulfur 3, putative MAPTIAAAGPNSIAKKEEEEELRKRNQELERELKESREREEQMRKDFVYKKRALHIGSSITTIFLRQSKKKQSKKMAPTKAVADPKSIAKKEEEEDLRKRNKELERELKQSREREEGMRKQLTEAWERLKVVEEAEERLCSQLGELEAESVNEARAYNARILSLMDQLSKANLVVNNHPSPTPLSIL >EOY20974 pep chromosome:Theobroma_cacao_20110822:3:2015906:2016690:1 gene:TCM_012289 transcript:EOY20974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNPDADRSAYESQQIQTEYELQPQEYEPNQEAAPIPDQYLAATYQNNQQGQQYQAQQMGTVGNNPQTQEHQFQPQQQPPPAHQQPMQYPPPQQPAPAQQRPTQYPPQQQPTQYPPPQPNQAYPPPQPAQYPPQNLQNNPMYQNRPNPNQPAAYPPQPVQ >EOY25299 pep chromosome:Theobroma_cacao_20110822:3:33860408:33864669:-1 gene:TCM_016660 transcript:EOY25299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance-like protein MSNTGASTHEIGEEHEQVSAQETSGEHEMGIPQNLSEQHNEVMKLTATEVHAEKIFHLLETSSVSKIILTGEAGTGKTWMARRICKLFQEKRRICKLAVENNHDEPLWISLEQKHDESSLYDTIARQFSLPTSTDAREDTGKNEKKEGSVKSVKEEMEEKLKAAQQKMEEKLKAAQEFILIVLDGQVGTMTENDQKEEMVNKILGLEVCGQNHKDKFKILITRRDNNGGWSEGMKKVVVEPLSGNEALKLLNKEIVVDEVLQLQGFKELSEAIQKKSKVLPANILMLAGTLNYIAKDNSGNLDLALEAAVNDLRQLLRYTYDKEPGNCMIDCFWHSWHFLGKHGGVHYNELITNWIMERDLNPTDPIEMAYEEGHHVLMKLIDYHLLKMQEDNVVVLEGATLDMNEYCRRGYTETADPGLASVLKDYDWKVLEGITPADGMMKTLCSDKKEEMISSLLIDGSRLSREVHETFFGAKPNLSMLAIFYPRLKSFEELSISKMEKLLVLLLRGSYLLEDIKHISKLKALTVLEISGSTYLKEIPDEFFNQVSGLRSLNLSAVGIESLPSSFPELTELRRLILRQCSSLRELPKLVNFSKLEVIDLSESINLEKIQEKSFKSFEKLQLINFSGTKIEKLPIVKSLQNLKILLLRGCRQLVGMRSLKQVSSLKILDLSGAVKIREIMYDSFEGADDLRELDLSETQIQFLPSDICNLQKLRLKGCSSLIDLPELKGHSNLEELDLSGCKSLVKLPDLTALQNLKILVLNNCSKLESLPDLKSLSKLETLDICGTKLWSKDVEDSLTRMTRLQILK >EOY22124 pep chromosome:Theobroma_cacao_20110822:3:21476846:21478360:-1 gene:TCM_014318 transcript:EOY22124 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like extracellular glycosyl-phosphatidyl inositol-anchored protein family, putative MVGAQATNQGDCFKFMGKIPHISERSLAIVDLLLGVPKNQQLSNYCKGGLLGSWQQDQATPISSFQVSVGHSGTSRKIVKVPKDFYFLGPGVGYACNAAMAVPSSIFFSSDGQQKTRAMSLVLAIL >EOY21865 pep chromosome:Theobroma_cacao_20110822:3:19276364:19276798:-1 gene:TCM_014015 transcript:EOY21865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin71 MYRLEAIDFGRRMAVEKEMEKIETAPQPNAVFDESSNFLIYATLLEIKMVNLHTNKVARILGKVESNDRFLRIALYQEIEAARK >EOY20757 pep chromosome:Theobroma_cacao_20110822:3:934438:936797:-1 gene:TCM_012097 transcript:EOY20757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein MEAPEETTSNKTQRLAGTVNWGTATVIGVFAGMLYGGSKEAAASVSKDAEVMLKLGSTPDKREQYRLMRDAMEKRFIRVTRGSIVGGVRLGMFTAAFCGIQNLLAEKRCVHDVFNVVGAGSATAASFGLIMPGSLQWRARNVVLGSVLGAALCFPLGWIQLKLVEKANEGNMVAKDSVQRGEAKSGLGAAIDRLEENLNK >EOY22924 pep chromosome:Theobroma_cacao_20110822:3:25326655:25330770:-1 gene:TCM_014952 transcript:EOY22924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein MARGEPCQNFFIQAALTHKFSSFHVFSSAKEKKKMARTSLVFLLLSLLYMACQATSPAAASSRASNFIKASCSATKYPALCVQSLAAFAPSIQQSPRQLAQTALNVSLARAQSTEAFVSKMKKFKGLEKREYEAIKDCIEEMSDSVDRLSKSVQELKHMGQAKGQDFLWHVSNVETWVSAALTDESTCVDGFASQGLDGKVKASIRARVMNVAQVTSNALSLVNQFASKQ >EOY23352 pep chromosome:Theobroma_cacao_20110822:3:27104811:27109608:-1 gene:TCM_015273 transcript:EOY23352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MLCKTGSRIIKGNEVTRLEETCSRVLSFCNSNSLKEGISVHCPIVKLGLQDHLYLNNNLLSLYGKCLGVDKARHFFDEMPFKDVVSWSGILSAYVKRGNHDCALEFFDSMLISGQRPNEFTLSSVLRSCSALGEFQYGTCIQAYMIKQGFEQNPILVSGLLDFYSKFNFTGEAYKLFIYVGNHDTVSWTTMISSFVQAQRWSKALLLYVDMVEAGVPPNEFTFVKLLGVCSVLGLKYGKLVHAHMLLRGVKLNVVVKTALVDMYARCQRMEDAIKVSNLTSEYDTLLCTAVISGFAQNFMFRKAIAAFREMRISGILPNNFTFSSILNVSSLMLSLELGEQIHSRVVVAGLEHDVNVGNALITMYVKCSDKIKDALRVFRGISLPNVISWTSLIAGFAEHGFQQDSFHLFMEMRAAGVQPNSFTISSIIGSFNAAKLLPQTLMLHGHVIKTNLYKDIAVQNALTDVYAGLGMLDDAWQVVHMMSHRDAITYTSLASRINQMGHHELALHIITDMYNDDIKIDAFSMASFLSASADLGTLVTGKQLHCHSMKSGLGRWVSVANGLVDLYGKCGCICDAQRAFGEITVPDIFSWNGLISGLASIGSISSALSAFDDMRLAGVRPDSVTFLLLLSACNNGKLVDLGLEYFQSMREVHDIVPQLDHYVHLVDILGRGGRLEEAMEVVQTMPFRADASIYKTLLRACKAHRNIPLAEDMARRGLELDPSDPAFYILLANLYDDSGRHDFGEKTRKLMREKQLRKNPSQSWVQIRNKVHLFVAGERSHPQINEIYEKIESIDAEIKSHRYLYQGMGIGDSYYHSEKLAVAFGLLNTPSKIPIYIIKNNSICRNCHNFINFVTHLVDKEIIVREGNRLHSFRKGECSCRGC >EOY21065 pep chromosome:Theobroma_cacao_20110822:3:2606492:2607514:-1 gene:TCM_012377 transcript:EOY21065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAGRLSDPPLTIPPVALSLQRHPRPSHNPTALENPQLPLPHGLPQAIQNMNQPPISPRTTKIHFCL >EOY21561 pep chromosome:Theobroma_cacao_20110822:3:12329602:12335514:-1 gene:TCM_013376 transcript:EOY21561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGSIDFDEVLGSISILGTEWKVHRGVPILFKANAMDSDYKVWYHFLTTNMRLVKHLSDVTKDRTVLLYSIATKKSIDIGQLIFNNIIMLAQSPHDGLWYPSLIIAFYCQARVVWSTNEELLHPKIPLDGGIINRFYM >EOY22890 pep chromosome:Theobroma_cacao_20110822:3:25195643:25196780:-1 gene:TCM_014928 transcript:EOY22890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTIMPYECILVFRQSSDLPDASRSQFIFRKHPNPKIQPKPPRSLTLNPRNPIPLLPNFSSSATISLLHGNNKKQFFVHRRHGLRNLHCPELQRSQHQKAG >EOY23255 pep chromosome:Theobroma_cacao_20110822:3:26785016:26787793:-1 gene:TCM_015210 transcript:EOY23255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion symporter MAMASSVKVVLGSIAFAIFWVLAVFPAVPFLPVGRTAGSLLGAMLMVLFGVITPDQAYDAIDLPILGLLFGTMVVSVYLERADMFKYLGKLLAWKSKGAKDLLFRICLISAISSALFTNDTSCVILTEFVLKIARQHNLPPHPFLLALASSANIGSSATPIGNPQNLVIAIQSGISFGDFLIGILPAMLVGVLVNALLLLCMYWRVLSVQKDEEDPSRAAVAEEDVISHRFSPATMSHISPLNPQEWNSTFDSMNVIRSPNANGSTAHVETLRNRVKSADQNEIQRVPSGAIDSARNSNASKEATTDDHSHGKEEPVASKMIALTDSLQDVCSVQPSEEKENLPRRWKRRFWKFSVYFVTMGMLISLLMGLNMSWTAVTAALALIVVDFQDAQPCLEKVSYSLLIFFCGMFITVDGFNKSGIPSTLWNLMEPYAKINHVSGIAILAIVILGLSNLASNVPTVLLLGGRVAASAAAISASDEKKAWLMLAWVSTVAGNLSLLGSAANLIVCEQARRAPQLGYTLSFWKHLKFGVPSTLIVTAIGLTLFR >EOY22679 pep chromosome:Theobroma_cacao_20110822:3:24444847:24448277:1 gene:TCM_014781 transcript:EOY22679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MWAQPATVRILPVTAFVVPAQISSRTPAHYLVLINKPSPEHITEGPVPVITLNNLRDVTEVLCRDTWLGYLKQLANRLGGDATYATSTAPKMKSYAPTADFGYGQDVKPQKQVRGDFVPVYVAIGMIALSVTLGLKTALHQLKNSPQVRVNKKRRETLPEVEEPDRVLDEADKFLKQSFFRKVTHFQENDSAYAFHDSTRRDIFTHGPTPRAETLKSVGVDPKFRVLEG >EOY22680 pep chromosome:Theobroma_cacao_20110822:3:24447238:24448293:1 gene:TCM_014781 transcript:EOY22680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAFRSAGYLKQLANRLGGDATYATSTAPKMKSYAPTADFGYGQDVKPQKQVRGDFVPVYVAIGMIALSVTLGLKTALHQLKNSPQVRVNKKRRETLPEVEEPDRVLDEADKFLKQSFFRKVTHFQENDSAYAFHDSTRRDIFTHGPTPRAETLKSVGVDPKFRVLEG >EOY21524 pep chromosome:Theobroma_cacao_20110822:3:10482220:10499965:1 gene:TCM_013222 transcript:EOY21524 gene_biotype:protein_coding transcript_biotype:protein_coding description:3,4-dihydroxy-2-butanone kinase, putative MAFQGKKLINNPNDVVTEFIEGLVETYPGLQYLDGFPEVKVVIRADVSGATYDKVAVISGGGSGHEPAHAGFVGEGMLTASICGDVFASPPVDSILAGIRAVTGPMGCLLIVTNYTGDRLNFGLAAEQARSEGYKIETVIVGDDCALPPPRGIAGRRGLAGTILVNKVAGAAAAAGLSLADVASEAKCASEMVGTMGVALSVCTLPGQVTSDRLGPGKMELGLGIHGEPGAAVADLQPVDVVVSHALKQILSPETNYVPITRGNRVVLMINGLGATPVMELMIAAGKTVPKLQLEFGLAVERVYTGSFMTSLDMAGFSISIMKADQTLLQRLDAPTKAPNWPVGSAGNRPPAKIPVPLPPSRSMKSEESLSRPLQLSEQGRILEVAIEAAANAVIDMRDSLNDWDSKVGDGDCGSTMYRGATAILDDMKKYYPLNDAAETVNEIGSSVRRAMGGTSGVLYTIFCKAAYARLKANLDSTVTAKQWAEGLEAAIDAVSKYGGASAGYRTLLDALIPALAVLKERLSAGADPSTAFVVSSEAALEGAESTKDMQAQAGRSSYVSVGILSTVPDPGAMAAAVWYRAAALAVKDKYRAS >EOY25244 pep chromosome:Theobroma_cacao_20110822:3:33665543:33666015:1 gene:TCM_016616 transcript:EOY25244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSIKALLLFLLVFASLTTIHPAAAAAAAAATGVVGAGESHQQRKQRMNHGSFRGPRKHLLNPAVEHPLRLPKLPV >EOY21051 pep chromosome:Theobroma_cacao_20110822:3:2533579:2538724:-1 gene:TCM_012367 transcript:EOY21051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 29 isoform 1 MGIFAKLFSCIENHLLAVRSGSAGEDDADDGPDTHGLFFELSALQIATNFFSELNLLGHGGFGPVYKGLMPNGQEVAVKKLSLDSRQGLKQFINEVKLLLKTQHKNLVILLGCCAEGPEKMLVYEYLPNKSLDYFLFGKYKSSSLDWQTRFQIVAGIARGLLYLHEEAPERIIHRDIKTSNILLDQHLNPKISDFGLARLFPGDDTHVNTFKISGTHGYMAPEYAMHGYLSVKTDVFSYGVVVLEIVSGRKNHDSRLGTEKADLLSYAWILFQGGQSLDLVDPTLDKYNRDEAAMCIQLGLLCCQQTVSERPDMNSVHLMLSSDSFTLPRPGKPAIQGRAGRWTTTSTSAFTNTNASSMNTGVTRASGGSSFVEDYSRNSMSYSSIDEGR >EOY21052 pep chromosome:Theobroma_cacao_20110822:3:2533749:2537914:-1 gene:TCM_012367 transcript:EOY21052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 29 isoform 1 MPNGQEVAVKKLSLDSRQGLKQFINEVKLLLKTQHKNLVILLGCCAEGPEKMLVYEYLPNKSLDYFLFGKYKSSSLDWQTRFQIVAGIARGLLYLHEEAPERIIHRDIKTSNILLDQHLNPKISDFGLARLFPGDDTHVNTFKISGTHGYMAPEYAMHGYLSVKTDVFSYGVVVLEIVSGRKNHDSRLGTEKADLLSYAWILFQGGQSLDLVDPTLDKYNRDEAAMCIQLGLLCCQQTVSERPDMNSVHLMLSSDSFTLPRPGKPAIQGRAGRWTTTSTSAFTNTNASSMNTGVTRASGGSSFVEDYSRNSMSYSSIDEGR >EOY22091 pep chromosome:Theobroma_cacao_20110822:3:21321310:21324283:1 gene:TCM_014284 transcript:EOY22091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPKASSSLFQSLKRYVKKPWEITGPCADPEYKNALPKATEYRVRCPATTLQKPIVPTSNPETVFDIKYYSRDQRRNRPPIRRTILKKADVEKMLKEKTFDVNDFPRVYLTAKVEEDENAIGGGYQ >EOY21530 pep chromosome:Theobroma_cacao_20110822:3:10673138:10676365:-1 gene:TCM_013244 transcript:EOY21530 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein, putative isoform 1 MPPRTRAMARDTLEQDALNDASARPLVDCSKDHGKGGRPTKSTKAEPPRTRPADVQSQVGGDHPVGGATLDDVVAELKGVNRVLEVLANEIIDQRQRDDGHLTVQAPSSSHGQDEQQHFELAQGSVVVTLSEFLELKPPLFSGFDSSKDPQQFLDDMEKVCGALGSSGTRSVELVSFKLKDVAQIWFESFRRGRSLRSTPLTWEEFSVAFMDRSLPASVRYGEAREFEVLVQTRTMSVSEYDIRFTQLSRYAPYLVPTEEMKVKRFVDGLVWPLFRAIGPQRFDSYSSAVDCARRIEMRSVESCVAHVKTKKARMEGHQVCSDSSTSPVHDQRTFSPLRQQFSEQGSQFIAPCPTCGKRYRGQCLLATSTCYSCGQVGHVRKNCPRSQHSEGPFHGFR >EOY21529 pep chromosome:Theobroma_cacao_20110822:3:10672778:10678245:-1 gene:TCM_013244 transcript:EOY21529 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein, putative isoform 1 MGFKFVAGLLKENPNCKRRTMVRLFYIKTKLVVEGRGREETRKGKERGSHCWKGLGQQGRRRRGLRPIPSSVANLNSLTGQITSALFNEFSYRLVPFPAKRVKLFRLCKSSYHTDIFDCVKMPPRTRAMARDTLEQDALNDASARPLVDCSKDHGKGGRPTKSTKAEPPRTRPADVQSQVGGDHPVGGATLDDVVAELKGVNRVLEVLANEIIDQRQRDDGHLTVQAPSSSHGQDEQQHFELAQGSVVVTLSEFLELKPPLFSGFDSSKDPQQFLDDMEKVCGALGSSGTRSVELVSFKLKDVAQIWFESFRRGRSLRSTPLTWEEFSVAFMDRSLPASVRYGEAREFEVLVQTRTMSVSEYDIRFTQLSRYAPYLVPTEEMKVKRFVDGLVWPLFRAIGPQRFDSYSSAVDCARRIEMRSVESCVAHVKTKKARMEGHQVCSDSSTSPVHDQRTFSPLRQQFSEQGSQFIAPCPTCGKRYRGQCLLATSTCYSCGQVGHVRKNCPRSQHSEGPFHGFR >EOY25185 pep chromosome:Theobroma_cacao_20110822:3:33494923:33500703:1 gene:TCM_016582 transcript:EOY25185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein HDG5 isoform 2 MYGDCQVISSMGGNVVSSETLFSSPIQNPNFNFLPFQPLPPMIPKEENGLLLRGKEEMDSGSGSEQVEEKSGNEQESTEQPPKKKRYHRHTARQIQEMEAVFKECPHPDDKQRMKLSQELGLKPRQVKFWFQNRRTQMKAQQDRSDNVILRAENESLKNEFYRLQAELSKLVCPNCGGPAVPGGISFEELRIENARLREELERVCAIASRYIGRPIQTMGAAPALMPPSLDLDMNMYPRHFTEPMASCTEMMPVPMLPETASFPENNLVLVEEEKTVAMELAMSSMDELVKMCRTNEPLWIRNNENGRELLNLEEHARMFPWAPSNLKQRSTEFRTEAGRDSAVVIMNSVTLVDAFLDANKWTELFPSIVARAKTVQVVSAGVSGTNGSLQLMYAELQVLSPLVPTREAYFLRYCQQQNLDDETYWAIVDFPIDGFHNNLQASFPLYRRRPSGCLIQDMPNGYSRVTWVEHAEIEEKPVHQIFSHFVYNGMAFGAHRWLAVLERQCERVASLMARNITDLGVIPSPEARKNLMRLAQRMIRTFCVNISTSSGQLWTALPDSADDTVRITTRKVTEAGQPNGLILCAVSTTWLPYPHDQVFDLLRDERSRSQLEVLSNGNALHEVAHIANGAHPGNCISLLRINVASNSSQHVELMLQESCTDRSGSLVVYSTVDVDSVQLAMSGEDPSCIPLLPLGFFITPVELIRDASDDQGKSVPPSEEANGHISGSLLTVGLQVLASTVPSAKINLSSIAAINNHLCTTVHQITAALSSSTAPSCPDNGIGVLGSCTEPASAPEK >EOY25186 pep chromosome:Theobroma_cacao_20110822:3:33495097:33500295:1 gene:TCM_016582 transcript:EOY25186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein HDG5 isoform 2 MKLSQELGLKPRQVKFWFQNRRTQMKAQQDRSDNVILRAENESLKNEFYRLQAELSKLVCPNCGGPAVPGGISFEELRIENARLREELERVCAIASRYIGRPIQTMGAAPALMPPSLDLDMNMYPRHFTEPMASCTEMMPVPMLPETASFPENNLVLVEEEKTVAMELAMSSMDELVKMCRTNEPLWIRNNENGRELLNLEEHARMFPWAPSNLKQRSTEFRTEAGRDSAVVIMNSVTLVDAFLDANKWTELFPSIVARAKTVQVVSAGVSGTNGSLQLMYAELQVLSPLVPTREAYFLRYCQQQNLDDETYWAIVDFPIDGFHNNLQASFPLYRRRPSGCLIQDMPNGYSRVTWVEHAEIEEKPVHQIFSHFVYNGMAFGAHRWLAVLERQCERVASLMARNITDLGVIPSPEARKNLMRLAQRMIRTFCVNISTSSGQLWTALPDSADDTVRITTRKVTEAGQPNGLILCAVSTTWLPYPHDQVFDLLRDERSRSQLEVLSNGNALHEVAHIANGAHPGNCISLLRINVASNSSQHVELMLQESCTDRSGSLVVYSTVDVDSVQLAMSGEDPSCIPLLPLGFFITPVELIRDASDDQGKSVPPSEEANGHISGSLLTVGLQVLASTVPSAKINLSSIAAINNHLCTTVHQITAALSSSTAPSCPDNGIGVLGSCTEPASAPEK >EOY21031 pep chromosome:Theobroma_cacao_20110822:3:2426909:2429186:1 gene:TCM_012350 transcript:EOY21031 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441 MEENLPPGFRFHPTDEELITYYLSHKVSDVSFTSKAIVDVDLNKCEPWDLPAKASMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKTTGKDKEIFRAGILVGMKKTLVFYKGRAPKGEKSNWVMHEYRLENKHPFRTTKEEWVVCRVFQKSAAVKKPQPTSSSQPSMGSPCDANSIVNEFGDVELPNLNINANPSSTGFSNIATPGYNNSTVNHGHLNMNLNVDFAAASVPSSLTWPSSILSPNLSMNSLLLKALQLRNYQPRDVTTMDYSLLSSASQGIPQFGTGLSPNFQAATASSSKVLDPTPQQQQQQQQQQQQQEQPFNMDSMW >EOY22273 pep chromosome:Theobroma_cacao_20110822:3:22728132:22734433:-1 gene:TCM_014491 transcript:EOY22273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinolinate synthase MEFSATIAMKASSSSSSFFSISQTQISNPKSLLFNFHKTPRTNKKSLYKSLKSIQSPPPGSPPSKPISFACSAVTLSPSQTAHLPPRKLSSLLSEFQSLLEPLDRVKRLLHYASLLPTLPASSRTDSNRVMGCTARVWLEVQMDSEGKMRFWADSDSEITKGFCACLVSVLDGAAPEEVLGLKTEDLAALNVGLPGGARSRVNTWHNVLVSMQKRTRSLVSEKEGKAPFEPFPSLVITAEGVQPKGSYAEAQARYLFPDELKVKELVNVLKEKKIGVVAHFYMDPEVQGILTAAQKDWPYIHISDSLVMADTAVKMAKAGCKFITVLGVDFMSENVRAILDQAGFGEVGVYRMSNERIGCSLADAAATPDYMNYLKAASNSLPSLHVIYINTSLETKAYAHELVPTITCTSSNVVQTILQAFTQIPDLNIWYGPDSYMGANIKELFEQMTLMSDEEIAELYPEHNRDSIKSLLPHLHYYEDGTCIVHHLFGREVVEKINEMYCDAFLTAHFEVPGEMFSLAMEAKRRGMGVVGSTQNILDFIKQRVQEALDRNVDDHLQFVLGTESGMVTAIVAAVRSLLDSSKSTSTAKINVEIVFPVSSDSMTKTSTSSSPVLESVKMGDVILPVVPGVASGEGCSIHGGCASCPYMKMNSLTSLLKICHQLPDERDILEAYEAERFKLQTPQGKNIADVGCEPILHMRHFQAKKELPEKLVYQVLGPHGNGKSLSIS >EOY25028 pep chromosome:Theobroma_cacao_20110822:3:33012192:33013065:-1 gene:TCM_016469 transcript:EOY25028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITTKSVTKQNPSFFSSPKKETMFTFFPSWLQRVLSGEIFELVEPNSASSFIYRDGLSKKQSPSKLKTCYGDSFSSSKPLLKVKDDKDMKKKSSGATGFDAKATIRVKVRMTKQEAARLLSKCKDGGVLEFRDVARELVALPKDRVIVAFPCPGSNAALDSIPEEY >EOY22731 pep chromosome:Theobroma_cacao_20110822:3:24596273:24598635:1 gene:TCM_014813 transcript:EOY22731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MDIRLFESARTGNVMDLHKLLRENPLILHTISLYSCENPLHVASAAGHVGFVREILGLRPEYANEVNKDGFSPLHIAAANGHIEVVRELLSVDRKLCCLEGREKKTPFHLAAMIGRIDVITEMLLSCAECIEGVTVQRETALHLATKNSQFEAVKVMVDWIIEMKKEDVLNLKDEQGNTVLHLATWKNQRQANEVSIYISKTVRRCWEERFRIVSPVKAVIELVLGTGTTVTSGSLEVNAVNQSGLTALDVLQIFPSEAGDREIADILQHAGAVRARDVMISPTSSCESHNQVINQPRAPQRSRWQTDNLVEYFKFKKGRDPPSEARSALLVIAVLVATATFQVGLSPPGGTWQDNSSPNQTNGTSITSAYSAGTSIMGTSNGIAFALFVLFNSIGFSMSLFMINILTSKFPLQFELQICMIAMFFTYNTAMASIAPSSVKLFTIIITTVFSSITPLLTRLVKQLLKLFKNLVVDIIHKVT >EOY23218 pep chromosome:Theobroma_cacao_20110822:3:26683474:26686073:-1 gene:TCM_015190 transcript:EOY23218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor 100-like protein MPINHSLEKKIDYGWFCKVALRIHAVFSHPNTLHLGSPYAMKQFGPSNSIYSMELVYRLGLLTMYEMPPRTQTTSRETRGFMPQMSLRLDHMLPLLEAVGEVGLEVKLPSRPTVKVPMRGEPIPELVEFMRQWMQYPEEIMGFNSEDLDYQPYEEMDWRKVMVTLSDFMKLRPPAFNGGVDIVYLEDVTAQPLRSLRLNVTYLMYCFVSITIFIRKGEGTVNAPHLAGNLLDGTVYKITQAGEDVIYAVDFN >EOY22724 pep chromosome:Theobroma_cacao_20110822:3:24569758:24571795:1 gene:TCM_014808 transcript:EOY22724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 31 isoform 1 SAWPILLTFTVFLRTWDLAQNRYFEDEAFIGYLKYLQYWQRPEYIKFIMYPHCLYFLELLQNASFRNGMAHPVNKELAHRQQFFFWKNYRNNRLKFILPKPPPEPVAAPAPLPPTAVPPQAAMPPVPATTIAMTSASPAPSSALSPMPYGLPPGSVLAKNDMRNSGIDRRKRKYVRKKFNLTNHLH >EOY22723 pep chromosome:Theobroma_cacao_20110822:3:24569180:24571772:1 gene:TCM_014808 transcript:EOY22723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 31 isoform 1 MASTKESDNASNSPSSPKTVYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLQYWQRPEYIKFIMYPHCLYFLELLQNASFRNGMAHPVNKELAHRQQFFFWKNYRNNRLKFILPKPPPEPVAAPAPLPPTAVPPQAAMPPVPATTIAMTSASPAPSSALSPMPYGLPPGSVLAKNDMRNSGIDRRKRKYERSLT >EOY22722 pep chromosome:Theobroma_cacao_20110822:3:24569195:24571942:1 gene:TCM_014808 transcript:EOY22722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 31 isoform 1 MASTKESDNASNSPSSPKTVYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLQYWQRPEYIKFIMYPHCLYFLELLQNASFRNGMAHPVNKELAHRQQFFFWKNYRNNRLKFILPKPPPEPVAAPAPLPPTAVPPQAAMPPVPATTIAMTSASPAPSSALSPMPYGLPPGSVLAKNDMRNSGIDRRKRKKEV >EOY21692 pep chromosome:Theobroma_cacao_20110822:3:17276494:17280773:1 gene:TCM_013789 transcript:EOY21692 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein isoform 2 MILLLIILLFSFRFSNRQILPHVSLSFLLPLFSVTFPPRFPTMGVDYYNILKVNRHASDDDLKKAYKRLAMIWHPDKNPSYKRPEAEAKFKLISEAYDVLSDPQKRQIYDLYGEEALKSGQFPPSSSSASHSFSSTAPSPSASNPRGGGHYYNSNNNNNQRQQQPNTGSFRFNPRDAEDIYEELFGAEANGGGVGGRGNRGFREGYFRNNNGYGSSSSSSSNVEMRKAAAVENVLPCSLEELYKGSKRKMRLSRKVFDPSGKLGSLEEILTIEIKPGWKRGTKITFPEKGNEEPGIIPADVIFVIEEKPHALYKRDGNDLVVNQEITLLEALTGKTLDLITLDGRNLMIPLTDITKPGADVVVPNEGMPISKEPGRKGNLRIKLDVKYPSRLTAEQKAELRRVLGGVD >EOY21693 pep chromosome:Theobroma_cacao_20110822:3:17276494:17280773:1 gene:TCM_013789 transcript:EOY21693 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein isoform 2 MILLLIILLFSFRFSNRQILPHVSLSFLLPLFSVTFPPRFPTMGVDYYNILKVNRHASDDDLKKAYKRLAMIWHPDKNPSYKRPEAEAKFKLISEAYDVLSDPQKRQIYDLYGEEALKSGQFPPSSSSASHSFSSTAPSPSASNPRGGGHYYNSNNNNNQRQQQPNTGSFRFNPRDAEDIYEELFGAEANGGGVGGRGNRGFREGYFRNNNGYGSSSSSSSNVEMRKAAAVENVLPCSLEELYKGSKRKMRLSRKVFDPSGKLGSLEEILTIEIKPGWKRGTKITFPEKGNEEPGDYTTGGPHW >EOY21896 pep chromosome:Theobroma_cacao_20110822:3:19553793:19563141:-1 gene:TCM_014058 transcript:EOY21896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPCGKFKLLYYLTMKIIPHHHFSLIRVGFGKKTKGKRRTNPRWKEIQEKSVDFQENQVEKGELS >EOY21948 pep chromosome:Theobroma_cacao_20110822:3:19999835:20004230:-1 gene:TCM_014117 transcript:EOY21948 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease domain-containing protein isoform 2 RHPERWRKDAAGNIVCKRFCNCKGCLCFEYDHIVPFSRGGESTAENCQILQTRVNRLKSNKEVDTTRLQGYSCAVQFTAHGASGITGIFLSAASSTTCLLICSTTFLSLPQKPPLYKSEKRKCGLGVDKELDIIEMAVYGDVSRPGNQCRCRTLAEMLGKYKSKDDLAACKLPYDKESLQQTKATY >EOY21947 pep chromosome:Theobroma_cacao_20110822:3:19992935:20004877:-1 gene:TCM_014117 transcript:EOY21947 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease domain-containing protein isoform 2 MSSSSSSSSPRRSRISNGEDERPRFFDSKAKSKCWANAETVPGRHPERWRKDAAGNIVCKRFCNCKGCLCFEYDHIVPFSRGGESTAENCQILQTRVNRLKSNKEVDTTRLQGYSCAVQFTDKELDIIEMAVYGDVSRPGNQCRCRTLAEMLGKYKSKDDLAACKLPYDKESLQQTKATY >EOY25134 pep chromosome:Theobroma_cacao_20110822:3:33369224:33370858:-1 gene:TCM_016547 transcript:EOY25134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADLEQQRTSSMGQKSMYMVFCFDEGPIRYTLYSFTNINLTHTPRKQSPRSIAMLQMSSDKFPPGMGFVALGSKLYCIGGQLQKGEQKFSSKKVFVLDLNTIETCHKEKRSPLVEEVADMHEGKCYPYVFEMQGKIYVLDGYRNIDAAEGLAIGSFEVFDPDVGQWGVLPKYYQGDLSEYIRSFVFGHAAVGDRVFFRSDCIDCRCRLSSFDTKNRQWFYDNRCCWVSDEEKKEMPGYISAWNDAFKEHAVVGSSFIVNDTLYALQNGCIGAYHISNNEDDRYIPCDVVRGIETKLPSKLVKDTYSGPFHSSIFIPEAGLVHLGDEKFCLVTGATYRNDYAIVKKEIVFLTFQTIKQKSSKADQVFWWADIYDSRAVEGIDALLGMVLYTFVA >EOY24841 pep chromosome:Theobroma_cacao_20110822:3:32401897:32409081:-1 gene:TCM_016332 transcript:EOY24841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 103 MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIISLHGVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPSAPPTNTAPSTEHSQINYASNQLDQLVNQDLTARAPATQETLFSSPAPLFMFDTSPLDGMQDGNVRGELFHASANLNTETWNLNQHQVQAFPPSTSFTAGMDTNYLPPLVENMENMVPIEVQSCSMDEEGEMTLECLQRQQQEVSLFHAIRESRGKQPPFFADFLRCRLLSHRNHDHLRKKPARDLQVPIPRPAFGRGGGGYGGAGPAGGAGLP >EOY22077 pep chromosome:Theobroma_cacao_20110822:3:21232987:21236231:-1 gene:TCM_014267 transcript:EOY22077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin-2 MAQNQGPKTLLDSLGEEIIRILTPVSICMFLVVLLVSTLNSNSSTSVASIATIAYAETSSDSSWDKFVGALLNSLAFVAVVTVVTFILVLLFYLRCTKFLKIYMGFSSFVVLGFMGGEIALIFIEKFSVPIDCITFSVVLFNFAAVGVLAVFMSKMAIFVTQGYLVLIGMFVAYWFTLLPEWTTWVLLVAMALYDLAAVLLPVGPLRLLVELAMSRDEDIPALVYEARPVTHHDSGSGENTVQRRVWRERGNVRLDLVDNLNLNGNVVSDANTSVGTVQSSHNDTRIARVEEGQVSERDAELSAPLIDHRMNSQDGMSAESLVLEGMGLGSSGAIKLGLGDFIFYSVLVGRAAMYDFMAVYACYLAIVAGLGITLMLLAFYQKALPALPVSIALGVLFYFLTRFLLEVFIVQCSLNLVMF >EOY21924 pep chromosome:Theobroma_cacao_20110822:3:19872344:19875381:-1 gene:TCM_014098 transcript:EOY21924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MLKLVPRNRTPFCYTKYPSSHSKIASHTSPSHHLNLTKAILNSQTPHQALNLFNSNIKLINPSKNLEPYSAIIHVLTGAKLYTDARCLIKYLIKTLQSSLKPRRACHLIFNALSKLQTSKFTPNVFGSLIIAFSEMGLIEEALWVYRKIRTFPPMQACNSLLDGLVKMGRFDSMWDVYYDLLSRGFLPNVVTYGVLINGCCCQGDASKARELFHELLMKGIQPNVVIFTTVIKILCSEGQMLEAECMFRLIKDLYFLPNLYTFNVLMNGYCKMDNVERAFEIYWMMIGDGLRPNVVTFGILIDGLCKMGALVVARNYFVCMVKYGVFPNVFVYNCLIDGYCKAGNVSEAVELSSEMEKLKILPDVFTYSILIKGLCSVGRVEEGSFLLQKMIKDGVLANSVTYNSLIDGYCRVGNMEKALEICSQMTEKGVEPNVITFSTLIDGYCKAGNMQAAMGFYSEMVIKSIVPDVVAYTALINGCCKNGNVKEALRLHKVMLGSGLTPNAFTLSCLVDGLCKDGIVFEAFSVFLEKTRAGISENGINEMDGLFCLPNHVMYMIYTTLIQALCKDGQIFKANKIFSDIRCIDLIADVPSYIVMLEGHFQAKNMIDVMMLHADMIKIGIMPSITVNMIMARGYQEIGDLRLALMCSEDLAVQSLGVFNQGDHKELQHAVNEDK >EOY23791 pep chromosome:Theobroma_cacao_20110822:3:28594281:28594933:-1 gene:TCM_015574 transcript:EOY23791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMSCAGQRKPRNVLFLCLRRIPALPGLKMLNYILLQGCLFLKQNGFSTKEETINEKIVSELSENQLRTSAGIIFVS >EOY24287 pep chromosome:Theobroma_cacao_20110822:3:30432589:30436504:1 gene:TCM_015935 transcript:EOY24287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan RNA-binding attenuator protein-like MAAPFFSTPFQPYVYQSPQDAVIPFQILGGEAQIVQIMLKPQEKVIAKPGSMCFMSGSIEMENTYVPENEVGMWQWLFGKSITSISLRNPGPNDGFVGIAAPSLARILPIDLAMFGGELLCQPDAFLCSINDVKVNNTVDQRPRNVVTGVEGFLRQKLSGQGLAFIVAGGSVVQKNLEVGEVLAVDVSCIVALTASIDVQIKFNGPMRRAVFGGDNLVTAVLTGPGIVFIQSLPFHRFSQRIARAVTSPNMRENPKFFVQIAIFFFLAYVVIVSSLILTDV >EOY21479 pep chromosome:Theobroma_cacao_20110822:3:8877139:8880770:-1 gene:TCM_013059 transcript:EOY21479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLTNLNACLYTLHEESTTIVELYLVEEEETSEIETEEESCDFKHSDESDDEFVDVDKVEVEVIRDEFVLDIEVILAIKAVLDIGVDVGVIPDVVIDNVRETLNIKVVHDVGVVTDDAKVTPINSCASSSLVPAHKDANSVSGTQMLWDFDDACNIGFKLECLNDCRSRAKAFLNKSSLKKELENIATKTVSLRKHEVELQEQLDIFVNSISSLWNM >EOY21280 pep chromosome:Theobroma_cacao_20110822:3:5458589:5460306:1 gene:TCM_012717 transcript:EOY21280 gene_biotype:protein_coding transcript_biotype:protein_coding description:FK506 binding protein, putative MAAFFGSPPFLSHPLTRTPHFSSSSQTPPPTPPSPPNPSSQSQPQPSTPSPQLSTTSSEQQPPATVRVQQQGPPKPATNVETTDWIASSLTRRFGLGAGLAWAAFLAVGVISEQIKTRLEISQQEANTRDAEEQEEVVLPNGIRYYEVRVGGGASPRNGDLVVIDLKGKIEGSGEVFVDTFDGGKKPLALVMGSRPYSKGTCEGVESVLRSMKAGGKRRVIVPPNLGFGENGADLGSGVQIPPSATLEYILEVDKVSIAPA >EOY22605 pep chromosome:Theobroma_cacao_20110822:3:24174464:24179088:1 gene:TCM_014731 transcript:EOY22605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide adenylyltransferase family protein MAVPGLSFVCKPHFSLRPPLFHCFRKIRFSSVAAIETLDEPEVFIKDKSSQGSDSSHRNNVSEEDSKLPQWKKLNSQDLGISTTNISKPTRKVLNGLKRKGYEVYLVGGCVRDLILKRTPKDFDIITTAELREVVRAFSRCEIVGRRFPICHVHIGDTIVEVSSFSTSGQKFGRSLNYKLGRPAGCDEKDFIRWRNCLQRDFTINGLMFDPYARIIYDYMGGIEDIRKAKVRTVIPAGTSFQEDCARILRAIRIAARLGFSFSRETAHFIKNLSCSILRLDKSRILMEMNYMLAYGSAEASLRLLWKFGLLEILLPIQAAYFVSNGLRRCDKRSNMLLSLFSNLDRLLAPDRPCHGSLWVGILAFHKALFDKPRDPLVVAAYSLVVHNGGDILEAVNIATRINKSHDTSFRELSEPRNLENQTLINEVMDLAASVKSTLCKMTDEHFVSQAMSAYPQAPFSDLVFIPLALYLKVCKVFECVREGAEKGFVAKQGSRIDYELLALGSLSELRHTFARVVFDTVYPLNRT >EOY24456 pep chromosome:Theobroma_cacao_20110822:3:31034161:31034510:1 gene:TCM_016052 transcript:EOY24456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translationally-controlled tumor protein MTPKLEGEKGETFKKHIEGATKFLLSKLKDLQFFVGESMHDDGCLMFAYSKDGAVDPTFLYFAYALKEV >EOY23088 pep chromosome:Theobroma_cacao_20110822:3:26142161:26144693:1 gene:TCM_015088 transcript:EOY23088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVASDELETGRGANQVRTLQRVGDTQWSSHFHSICSLMRMFHATCTIVKGIIDKGASYSQRGDAFATSKILASFEFIFILHLIEYLFSGFHKTRKAYFEVSIEALRDRYTHHQSFEDIKTLSELCQRLTETEKSKNYHLIDRLIHLILTLSVSTTTTERAFSAMKIVKTRLRNKMNEEFLADNLVVYIEKDIASLFNTELIIDEFESRKYRRTQLS >EOY21298 pep chromosome:Theobroma_cacao_20110822:3:5744219:5747214:-1 gene:TCM_012755 transcript:EOY21298 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL, putative isoform 1 MWMMGYNDSAEFNMPDSFNGRKLRPLIPRPIPSANNPPCLSRINGTDFFALNHHLTTGDQNKRDFNTQPVVVSSRWNPTPEQLRTLEELYRRGTRTPTADQIQHITAQLRRYGKIEGKNVFYWFQNHKARERQKRRRQMESAPDEHSRVDIDIFERKDSAGANKTGYEVEQTKTWTVPTNCSTLAEDSVSIQTAAKAAGAECRADGWIQFDEGELQHRRNIVERNGAWQKMQLSYSCPPSTHQLINNSTAAAATNTATPTAPIPTAIRRMDPKQLIKTHDLNIFISPCRENGNVLDHLNSACRNEEDGPGEFQTLQLFPLRSGDGIDNVKEKENEISVAAVTASLTPYPFFEFLPLKN >EOY21297 pep chromosome:Theobroma_cacao_20110822:3:5744465:5747309:-1 gene:TCM_012755 transcript:EOY21297 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL, putative isoform 1 MWMMGYNDSAEFNMPDSFNGRKLRPLIPRPIPSANNPPCLSRINGTDFFALNHHLTTGDQNKRDFNTQPVVVSSRWNPTPEQLRTLEELYRRGTRTPTADQIQHITAQLRRYGKIEGKNVFYWFQNHKARERQKRRRQMESAPDEHSRVDIDIFERKDSGANKTGYEVEQTKTWTVPTNCSTLAEDSVSIQTAAKAAGAECRADGWIQFDEGELQHRRNIVERNGAWQKMQLSYSCPPSTHQLINNSTAAAATNTATPTAPIPTAIRRMDPKQLIKTHDLNIFISPCRENGNVLDHLNSACRNEEDGPGEFQTLQLFPLRSGDGIDNVKEKENEISVAAVTASLTPYPFFEFLPLKN >EOY23450 pep chromosome:Theobroma_cacao_20110822:3:27453593:27454701:-1 gene:TCM_015341 transcript:EOY23450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGQRARRGEKKVVGVISKSHCTTPGAVNQIRKACQNGSLSYFCLNMDSLLWGTWRKRGSLAWELSYGPPNRIGYYPPKPFEAVGRYQHS >EOY23749 pep chromosome:Theobroma_cacao_20110822:3:28461320:28463763:1 gene:TCM_015548 transcript:EOY23749 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP31 MEVYGKTMAAAPANVIYLSTILGRDEPMPVHKCDWKCQNEHVCGNMYRCKLTGITHICDKNCNQRILYDNHSSLCRASGQVFPLTTAEEQAVRGVRRKFDADNSPSSDSCGFKRRRDAQFHPSPFERSFSAVIMSLLMLDVKTMPVTADEIGSMLCFLPISSLAFGGIAPMECKLWSVEETNGVFCLAAHEHVLLLLAF >EOY23697 pep chromosome:Theobroma_cacao_20110822:3:28273464:28274208:1 gene:TCM_015505 transcript:EOY23697 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein family, putative MATSELACSYAALILHDDRIPITAEKIVTLVKTAKVPVESYWPSLFTKLLAKRNIDDIIMNVGSGGDGAPVAAAAASVGTGAGAAAASTPAVEEKKEEDKEETDDDIGFGLFDD >EOY21627 pep chromosome:Theobroma_cacao_20110822:3:14788632:14877996:1 gene:TCM_013597 transcript:EOY21627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYVMTCIYRVGFYKLGNVVWNKARLVAKSYDQEDGIDYNKTFTPLVRLEAIRLLIAYARFMNFNVFQMDFKSTFLNGFIKEEVYVEQPPGFEVFDKIDHSIGTPMSPSTKLDKDDKGKNVDQKLYRGMIGSLLYLIASRLDILFNVCLCATFQSCSK >EOY23685 pep chromosome:Theobroma_cacao_20110822:3:28230081:28232999:-1 gene:TCM_015498 transcript:EOY23685 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 17, putative MGKIGGNSWLTAVKKAFRSPTKENEKRSCRRREDNEQEEEENKRGKRRWIFKKPSYQETVIQHSEARTIATTANNAKAITNSESSALNTIPEAAKAEQRHAIAVAIATTAAAQAAVATAQAAVEVVRLTRPSIFVREHFAAIVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKRANITLRCMEAMVRVQARVRDQRKRLAAHEGSTDSVFSDPNSLWSSHLVDRKSISREESGNVDDWIRWDEHPKTLEEIQAILQATKEAALKREKALAHAFSHQIWRIDRGAVESEEELDGNTRWVDRWTTRKQWESPGRMSCDHIDPIKTVEIDTFRPYSYSAPHSQKSNRQYHHQQRRPSSYFVTSPLHKANNSLPIRSITPSPSKAKPLQMYSASPRYLKEEKSHPSPHTPNSGSYTHRMSGNGGAAAPMPNYMAATASAMARFRSQSAPKQRPSTPEREKVGSAKKRLSFPVPDQCGVDGSKDQVYDYNLGSPSYKSGHGGHFGMEQKSNLSSCYADSLGEEIFPPSTNDLRKWLR >EOY21152 pep chromosome:Theobroma_cacao_20110822:3:3557883:3565173:1 gene:TCM_012523 transcript:EOY21152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MLKIICTLMSFLLAYHLDVKAAKKAILDGFQKTDEALLQESSSGGWQDGATAVCLWVIEKTVFVANIGDAKAVVARSADGSDKASPLKAIVLTREHKAIYPQERARIQKAGGTVSSNGRLQGRLEVSRAFGDRQFKKVGVVATPDIHSFDLTDREHFIILGCDGLWGVFGASDAVEFVQKLLKEGLPVTAVSRRLVREAVRERRCKDNCTAIIIFFRHK >EOY21151 pep chromosome:Theobroma_cacao_20110822:3:3557451:3565425:1 gene:TCM_012523 transcript:EOY21151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MSETANSIISNSEPNLKRQPEVDDETSDSAAKKPKSETPVQEETEKEEVKEKSLEIEAAVAEDKGSRHTMEDAWVIMLDATLNSPGKLRCGHFAIYDGHGGRLAAEYAQNHLHANVVSSGLPRELLDVKAAKKAILDGFQKTDEALLQESSSGGWQDGATAVCLWVIEKTVFVANIGDAKAVVARSADGSDKASPLKAIVLTREHKAIYPQERARIQKAGGTVSSNGRLQGRLEVSRAFGDRQFKKVGVVATPDIHSFDLTDREHFIILGCDGLWGVFGASDAVEFVQKLLKEGLPVTAVSRRLVREAVRERRCKDNCTAIIIFFRHK >EOY21742 pep chromosome:Theobroma_cacao_20110822:3:17847498:17853264:-1 gene:TCM_013850 transcript:EOY21742 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase 1 MGVRAQTQLQEDKENGVSTIPLLTSFKMGRFNLSHRIVMPPLTRQRSYNNIPQPHAALYYSQRTTKGGLLITEATVVSESARGYKDTPGIWSKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRASTYEYQPNGQAPVSSTSKQLMPQVLANATEPAKFSPPRRLRTDEIPLVINDFRVAARNAIEAGFDGVEIHGAHGYLLDQFMKDHINDRTDHYGGSLENRCRFALELVEAVANEIGADRVGIRLSPFATYLDSGDSNPTALGVYMAESLNKYGIVYCHMVEPRMKTAEESLECAETLLPMRKAFKGAFIVAGGYDKEDGNKAISENRTDLVAFGRLFLANPDLPRRFELDAPLTKHNRSTYCLSDPVVGYTDYSFLENTA >EOY21844 pep chromosome:Theobroma_cacao_20110822:3:19087509:19088040:1 gene:TCM_013990 transcript:EOY21844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini zinc finger MKKRQVVLKSGRSSSTSSSVIRNVRYGECQKNHAANIGGYAVDGCREFMASGEEGTTGALTCAACGCHRNFHRREVETEVVCEYTPPNS >EOY22598 pep chromosome:Theobroma_cacao_20110822:3:24124331:24129049:-1 gene:TCM_014727 transcript:EOY22598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein, putative MADINPSDGQLNGVVGPLVVASSETVGSKRQRRPSVRLGDIGGDQPYDSHIRRPSSSSAAAAGIKQWKHQPHHSLNPSVAAASTKSSKTRALTNLSTDFNTNNETLDDERDANNNNSNLDSVAIGSWRVKDFKKRGSATKRVRSNWVSKIDDSGGGGGGNISINGSKNNANNNNVETEDKYSAGEDNDDFDMENSESPMKERSPIHSLDNLGVDGSEREVLYHGSSQRRPIRTRVSDGVELSGPSDTDIRQCGEDGVRIWLNSLGLGRYAPVFEIHEVDDEVLPLLTLEDLKDMGINAVGSRRKMFCAIQKLGKGFS >EOY24207 pep chromosome:Theobroma_cacao_20110822:3:30090274:30091941:1 gene:TCM_015876 transcript:EOY24207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-LTR retroelement reverse transcriptase MGFMSNLRAWVRDYIMPNGDWDKERLTFILPIEVVNQILYIIPPTLSASLDMPYWALSPSRYFTISSTYEHLWSLADSTREDNNKMWRLVWDWRGPHRVCLFLFFCLHKRILTNAEGVRHKMSSDASCPHYYGAKETCLHVLRDCPASKTLWRNILPQSGINQFFQTPLIDWLSSNLNLKNLYVFDVPWNIVFGIACWYTWKWRNLFIFEGRELSVEGRLSIIKSMAVNSHNTWSTPSIISGGMRHQEEILVGWSPPPKDWIAVNSDGVFKSAARTAAAGGVLRDAHGTWIVGYACKLETSSGLRVELWGFYKGLQLAWERGFRKVKLQSDNKAMVQAISFSSVHPCSNLDLIRAIKGMLGRHWEVNISHIYREANTTADFMSNLGFDLNS >EOY24151 pep chromosome:Theobroma_cacao_20110822:3:29847327:29852200:-1 gene:TCM_015825 transcript:EOY24151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich-like protein MDFSEQDVDVFGEDYNNTNSNTHDDSHESSSSHSSSSSSSASSSSASSSPNGSDGGETSSANEAQAAEEKKKLEKKERGFGHGSWQNDRGSPRQGYGYGSKFANGRHDERFVSELKLSKSEGTLSRKCIAFQEPCELACHSRVEGGDVYFDDRSLRLFKRLITEDIGADLNQDLCSEGLGDLLACIRDKNIPLQNIHFVNLISFLSLTFQNKPAFLLAAFLTGQPISIFKLAFLLVAIFLTLLFLFFSVELHLTPHPISLRARGFSIALFISIVAVGFLPPPLFWVVFFFIMITAPSHDKLDDLFLCFLRCFALSLHSFPTFMINIMLNNENPDPSSPQVVDLEVGTVAIEGERQPLRSQQSSEPDCVE >EOY24797 pep chromosome:Theobroma_cacao_20110822:3:32257804:32262967:1 gene:TCM_016298 transcript:EOY24797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myrcene synthase, chloroplastic, putative MICYSSINRFKYYLNKKIEASIMSFPLLASVPSCNFITNRSNDRRSTNYHPSIWNDNYIQSLESEYLGKSCYERANKLVGEVRMMLDKEINLLEQLELIDSLQRLGLSYHFENEIKTILDSISADHINVAWKKDNLHAIAIEFRLLRQHGYKVTQEVFSSFTDEMGNFKASLCEDCKGLLNLYEASYHLVEGEGMLEKARDFAAKRLKEYLKQNKDPYLSLLVEHALELPLHWRMPRFEARFFIDVYERREDRNPILLELANLDFNIVQAVHQDDLKYASKWWTDLDIRKKLTFARDRLMENFLFAVGEASDPQFGYFRRTQTKINTFITTIDDVYDVYGTLDELELFTEAVERWDTNAMQLLPEYMQICFLALYNFINEIAFDVLKGKGFNTIPFLKKAWADLCKSYLLEAKWYYSGYTPTLQEYIDNAWISISGPVVLSHAFVLTNLKTKECLESFKEYSNIIYYSCMICRLVNDLGTSLIQEARRHIWKLIDATWRRMNEERIADSLFPRPFIQIAVNLARTAQFMYQHHDGYGVEDGETKERVLSLFVNPIPLR >EOY23135 pep chromosome:Theobroma_cacao_20110822:3:26331855:26332401:-1 gene:TCM_015121 transcript:EOY23135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFSILGEEGESIHATRRVYRRDWHGRQTTKHMGGCPFEAVLQQYGKNADLRSTYVLVSEAKPIRFSVGNVSMRS >EOY21073 pep chromosome:Theobroma_cacao_20110822:3:2672230:2673168:1 gene:TCM_012388 transcript:EOY21073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDALFFFSPSFFSFISIFFLLNFFRVSYTEDDVHFTLCDPFECGNFRNLSYPFWTDDLHRPAYCAYDHEAYKLKCKQNQPPVVTIGSQEFQVVHLYQPRGLMTIQRLELGENTCPQEIFTYYIINYSDTAANITLFYDC >EOY21366 pep chromosome:Theobroma_cacao_20110822:3:7195799:7200075:-1 gene:TCM_012894 transcript:EOY21366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARTRFTSKVHYGDPGRAVLGKKKNWDDSIIKEREEDCFRETVREKSIPSTEMEGQGTLESESEEESCDHTNNHESSDESIEERFPRHEHQSLGREVDGNAIQGGLIDMTDFLPSTIQSVGGDKVNDITGLNDNNMDAATRVGDDDVNAAGGVGDDDVDDATAVGGDDVNVINQNDQSALGFGFGTFQHNNANIIAGRTFGVTRSIQNNFGIDGVLNTTCNGSSSRGVLDSTRNSSSSLRGIMDSLGTTLEIASSPWADISLEELQKMVGLMKDVLLCGCHIKCLDTCIAKAKEYVMTKVDKPNIEAKIKELDYFILCNHMSVSQVSLCVAKRDSQLYIKESNPQLNKKENDILQVLLVREKGHVVMSESRCAEVAKRKTGAVLKAFLGASMLGTPLSASAFGTPLGASMFGAPLGTFVLGKLLGASALRTPLDISVLGTPLGAFALGMPSGAFILGMPLGASTLGIPLGTFALKTP >EOY21009 pep chromosome:Theobroma_cacao_20110822:3:2246304:2248456:-1 gene:TCM_012325 transcript:EOY21009 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 5A, putative MALTMTISHGSSTGGGNGVRILCGNPTKQSYTRLPPRRRVLFPTSPRILHLTTFAAKKFSPRTGRFDSKNRRSALTTKEQDEEQEQKQRTAEIEEQNVVVGVGFDNVGGSSSEVSADGKPFPELPGLQPALWEGPQWDVLGFLVQYLWAFGIVFALIACGIAVSTYNEGATDFKETPAYKESIQSQELLEEPEASNSDVFELNPTEVAPSLE >EOY22363 pep chromosome:Theobroma_cacao_20110822:3:23113881:23144010:-1 gene:TCM_014552 transcript:EOY22363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 8 isoform 1 MARVFRNWERLVRATLDREQLRNVGQGHERTPSGIAGAVPLPPSLGRATNIDAILQAADEIQVEDPNIARILCEQAYGMAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKRDGGRIDRNRDIEHLWEFYQLYKRRHRVDDIQREEQRWRESGTFSTSVGVYGALGMKKVFATLRALVEVMEALSKDAEPDGVGRLIKEELRRIRNADATISGELMPYNIVPLEAPSFTNAIGIFPEVRGAISAIRYTEHFPRLPSNFEISVQRDPDMFDLLEYVFGFQKDNVRNQRENVVLTIANAQSRLGIPVQADPKIDEKAINEVFLKVLDNYIKWCKYLRIRLAWNSLEAINRDRKLFLVSLYFLIWGEAANVRFLPECICYIFHHMAKELDAILDHGEANPASSCTAEGGYVSFLEQIICPIYDTMAAEAVRNGNGKAAHSSWRNYDDFNEYFWSPACFELNWPMRRDSPFLMKPKKWKRTGKSTFVEHRTFLHLYRSFHRLWIFLVLMFQALTIIAFRRGHINLDTFKILLSVGPTFAIMNFIESCLDVLLMFGAYTTARGMAISRLVIRFFWCGLASVFVTYVYVKVLEERNDRNSNSFYFRIYILVLGVYAALRVVLGLLLKFPACHALSEMSDQSFFQFFKWIYQERYYVGRGLYERMSDYFRYVLFWLVIFLCKFTFAYFLQIRPLVSPTNAILDLPDLPYSWHDLVSKNNNNALTLASLWGPVIAIYIMDIHIWYTLLSAIIGGVMGARARLGEIRSTEMMHKRFESFPEEFAKNLVSPQTKRMPFERQAPEVSQETNKTYAALFSPFWNEIIKSLREEDYISNREMDLLLVPSNRGSLKLVQWPLFLLSSKILLAIDLAIDCKDTQADLWNRICKDEYMAYAVQECYYSIEKILHSLVDGEGRLWVERIYREINNSISEGSLVITLVLKKLPLVLQKLTALLGLLRNEKPVEKGAANAVYQLYDSVTHYLLSDDLREQLDTWNILARARNEGRLFSRIEWPKDPEIREQVKRLYLLLTVKESAANIPKNLEARRRLEFFSNSLFMDMPSARPVCEMIPFCVFTPYYSETVLYSSKDLREENEDGISTLFYLQKIFPDEWENYLERVNEGKSTGNVEAQESTSELELRFWASYRGQTLARTVRGMMYYRRALMLQSYLERRSLGVDDYSQADSLTIEGFELSPEARAQADIKFTYVVSCQIYGQQKQNKKAEAVDIALLLQRNEALRVAFIHAEENVGAEGKREFYSKLVKADINGKDQEVYSIKLPGDPKLGEGKPENQNHAIIFTRGEAIQTIDMNQDNYLEEAMKMRNLLEEFRGNHGLRPPTILGVREHVFTGSVSSLAWFMSNQETSFVTLGQRVLASPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLSFFFTTVGYYVCTMMTVLTVYIFLYGRVYLALSGLDEAIAKQARMSGNTALDAALNAQFLVQIGVFTAVPMIMGFILEMGLLKAVLSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGYTEGGAVSFVLLTLSSWFLVISWLFAPYVFNPSGFEWQKTVEDFDDWTSWLLYKGGVGVKGDDSWESWWDEEQIHIQTLRGRILETILSLRFLVFQYGIVYKLHLTGSNTSLAIYGFSWVVLVGFVFLFKIFTYSPKKSTDFQLVMRFMQGVISIGLVAALCLVVAFTDLSIADLFASILAFIPTGWTILCLAITWKKVVRSLGMWDSVREFARFYDAGMGAFIFAPLAVLSWFPFISTFQSRLLFNQAFSRGLEISLILAGNKANAET >EOY22362 pep chromosome:Theobroma_cacao_20110822:3:23113335:23143845:-1 gene:TCM_014552 transcript:EOY22362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 8 isoform 1 MARVFRNWERLVRATLDREQLRNVGQGHERTPSGIAGAVPLPPSLGRATNIDAILQAADEIQVEDPNIARILCEQAYGMAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKRDGGRIDRNRDIEHLWEFYQLYKRRHRVDDIQREEQRWRESGTFSTSVGVYGALGMKKVFATLRALVEVMEALSKDAEPDGVGRLIKEELRRIRNADATISGELMPYNIVPLEAPSFTNAIGIFPEVRGAISAIRYTEHFPRLPSNFEISVQRDPDMFDLLEYVFGFQKDNVRNQRENVVLTIANAQSRLGIPVQADPKIDEKAINEVFLKVLDNYIKWCKYLRIRLAWNSLEAINRDRKLFLVSLYFLIWGEAANVRFLPECICYIFHHMAKELDAILDHGEANPASSCTAEGGYVSFLEQIICPIYDTMAAEAVRNGNGKAAHSSWRNYDDFNEYFWSPACFELNWPMRRDSPFLMKPKKWKRTGKSTFVEHRTFLHLYRSFHRLWIFLVLMFQALTIIAFRRGHINLDTFKILLSVGPTFAIMNFIESCLDVLLMFGAYTTARGMAISRLVIRFFWCGLASVFVTYVYVKVLEERNDRNSNSFYFRIYILVLGVYAALRVVLGLLLKFPACHALSEMSDQSFFQFFKWIYQERYYVGRGLYERMSDYFRYVLFWLVIFLCKFTFAYFLQIRPLVSPTNAILDLPDLPYSWHDLVSKNNNNALTLASLWGPVIAIYIMDIHIWYTLLSAIIGGVMGARARLGEIRSTEMMHKRFESFPEEFAKNLVSPQTKRMPFERQAPEVSQETNKTYAALFSPFWNEIIKSLREEDYISNREMDLLLVPSNRGSLKLVQWPLFLLSSKILLAIDLAIDCKDTQADLWNRICKDEYMAYAVQECYYSIEKILHSLVDGEGRLWVERIYREINNSISEGSLVITLVLKKLPLVLQKLTALLGLLRNEKPVEKGAANAVYQLYDSVTHYLLSDDLREQLDTWNILARARNEGRLFSRIEWPKDPEIREQVKRLYLLLTVKESAANIPKNLEARRRLEFFSNSLFMDMPSARPVCEMIPFCVFTPYYSETVLYSSKDLREENEDGISTLFYLQKIFPDEWENYLERVNEGKSTGNVEAQESTSELELRFWASYRGQTLARTVRGMMYYRRALMLQSYLERRSLGVDDYSQADSLTIEGFELSPEARAQADIKFTYVVSCQIYGQQKQNKKAEAVDIALLLQRNEALRVAFIHAEENVGAEGKREFYSKLVKADINGKDQEVYSIKLPGDPKLGEGKPENQNHAIIFTRGEAIQTIDMNQDNYLEEAMKMRNLLEEFRGNHGLRPPTILGVREHVFTGSVSSLAWFMSNQETSFVTLGQRVLASPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLSFFFTTVGYYVCTMMTVLTVYIFLYGRVYLALSGLDEAIAKQARMSGNTALDAALNAQFLVQIGVFTAVPMIMGFILEMGLLKAVLSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGYTEGGAVSFVLLTLSSWFLVISWLFAPYVFNPSGFEWQKTVEDFDDWTSWLLYKGGVGVKGDDSWESWWDEEQIHIQTLRGRILETILSLRFLVFQYGIVYKLHLTGSNTSLAIYGFSWVVLVGFVFLFKIFTYSPKKSTDFQLVMRFMQGVISIGLVAALCLVVAFTDLSIADLFASILAFIPTGWTILCLAITWKKVVRSLGMWDSVREFARFYDAGMGAFIFAPLAVLSWFPFISTFQSRLLFNQAFSRGLEISLILAGNKANAET >EOY22364 pep chromosome:Theobroma_cacao_20110822:3:23113335:23144010:-1 gene:TCM_014552 transcript:EOY22364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 8 isoform 1 MARVFRNWERLVRATLDREQLRNVGQGHERTPSGIAGAVPLPPSLGRATNIDAILQAADEIQVEDPNIARILCEQAYGMAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKRDGGRIDRNRDIEHLWEFYQLYKRRHRVDDIQREEQRWRESGTFSTSVGVYGALGMKKVFATLRALVEVMEALSKDAEPDGVGRLIKEELRRIRNADATISGELMPYNIVPLEAPSFTNAIGIFPEVRGAISAIRYTEHFPRLPSNFEISVQRDPDMFDLLEYVFGFQKDNVRNQRENVVLTIANAQSRLGIPVQADPKIDEKAINEVFLKVLDNYIKWCKYLRIRLAWNSLEAINRDRKLFLVSLYFLIWGEAANVRFLPECICYIFHHMAKELDAILDHGEANPASSCTAEGGYVSFLEQIICPIYDTMAAEAVRNGNGKAAHSSWRNYDDFNEYFWSPACFELNWPMRRDSPFLMKPKKWKRTGKSTFVEHRTFLHLYRSFHRLWIFLVLMFQALTIIAFRRGHINLDTFKILLSVGPTFAIMNFIESCLDVLLMFGAYTTARGMAISRLVIRFFWCGLASVFVTYVYVKVLEERNDRNSNSFYFRIYILVLGVYAALRVVLGLLLKFPACHALSEMSDQSFFQFFKWIYQERYYVGRGLYERMSDYFRYVLFWLVIFLCKFTFAYFLQIRPLVSPTNAILDLPDLPYSWHDLVSKNNNNALTLASLWGPVIAIYIMDIHIWYTLLSAIIGGVMGARARLGEIRSTEMMHKRFESFPEEFAKNLVSPQTKRMPFERQAPEVSQETNKTYAALFSPFWNEIIKSLREEDYISNREMDLLLVPSNRGSLKLVQWPLFLLSSKILLAIDLAIDCKDTQADLWNRICKDEYMAYAVQECYYSIEKILHSLVDGEGRLWVERIYREINNSISEGSLVITLVLKKLPLVLQKLTALLGLLRNEKPVEKGAANAVYQLYDSVTHYLLSDDLREQLDTWNILARARNEGRLFSRIEWPKDPEIREQVKRLYLLLTVKESAANIPKNLEARRRLEFFSNSLFMDMPSARPVCEMIPFCVFTPYYSETVLYSSKDLREENEDGISTLFYLQKIFPDEWENYLERVNEGKSTGNVEAQESTSELELRFWASYRGQTLARTVRGMMYYRRALMLQSYLERRSLGVDDYSQADSLTIEGFELSPEARAQADIKFTYVVSCQIYGQQKQNKKAEAVDIALLLQRNEALRVAFIHAEENVGAEGKREFYSKLVKADINGKDQEVYSIKLPGDPKLGEGKPENQNHAIIFTRGEAIQTIDMNQDNYLEEAMKMRNLLEEFRGNHGLRPPTILGVREHVFTGSVSSLAWFMSNQETSFVTLGQRVLASPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLSFFFTTVGYYVCTMMTVLTVYIFLYGRVYLALSGLDEAIAKQARMSGNTALDAALNAQFLVQIGVFTAVPMIMGFILEMGLLKAVLSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGYTEGGAVSFVLLTLSSWFLVISWLFAPYVFNPSGFEWQKTVEDFDDWTSWLLYKGGVGVKGDDSWESWWDEEQIYGFSWVVLVGFVFLFKIFTYSPKKSTDFQLVMRFMQGVISIGLVAALCLVVAFTDLSIADLFASILAFIPTGWTILCLAITWKKVVRSLGMWDSVREFARFYDAGMGAFIFAPLAVLSWFPFISTFQSRLLFNQAFSRGLEISLILAGNKANAET >EOY25419 pep chromosome:Theobroma_cacao_20110822:3:34214789:34217245:-1 gene:TCM_016731 transcript:EOY25419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MTDSSKPWRPFAANCCSADDQTIFGNFSRCRPSRSDFSKNIAPLPSFRRLSYSDLSRSSSTRITEDLAQSFGPDLYDFQLSELRAITQNFSSNYLLGEGGFGTVHKGYVDDNFRQGLKAQAVAVKLLDIEGLQGHREWLAEVIFLGQLRHPHLVKLIGYCCEDEQRLLVYEFMPRGSLENHLFKRISTSLPWGTRLKIAIGAAKGLAFLHGAENPVIYRDFKTSNILVDSDFTAKLSDFGLAKMGPEGSNTHVTTRVMGTYGYAAPEYVSTGHLTTKSDVYSFGVVLLELLTGRRSVEKSRSKNEQILVDWAKPYLSSSRRLRYIMDPRLSGQYSVKGAKEMALLALQCISLNPKDRPRMPAIVQTLEALQQYKDMAVSCGQWPVSVSPKSTKTNGPKFRGAQGRGKLTATTANRKAA >EOY25038 pep chromosome:Theobroma_cacao_20110822:3:33025683:33029493:1 gene:TCM_016473 transcript:EOY25038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase family protein MSSQILRSASRAARSLLSASKSSRFYSEGRAVAAAAAVSLGGKVPLLASAYGRTGPTNASRGWLSGVFALPVAAYMLQEQEAHAAEMERTFIAIKPDGVQRGLISEIISRFERKGFKLVAIKILVPSKEFAQQHYDDLKERPFFSGLCEFLSSGPVVAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKNEINLWFKPQELVSYTSNAEKWIYGVN >EOY20577 pep chromosome:Theobroma_cacao_20110822:3:218056:219286:-1 gene:TCM_011963 transcript:EOY20577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEAKGRLRKEKKIFQLFFFSLALLRWEMSHRMINAIEKKIMLSVYKTVRIGLAEMTRKYVTEGAGRQAAFFLLLTTPSVWAWEN >EOY22610 pep chromosome:Theobroma_cacao_20110822:3:24189873:24197218:1 gene:TCM_014735 transcript:EOY22610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MRSTAPTLMPPSRTSVRTPVTIPPIDPPNRSRDKRFTADVGQLKAKDTGDQREASALRDELDMLQEENENLLDKLRSAEERREEGEARARELEKQVASLGEGVSLEAKLLRRKQLCVKERAALKAAKQTKDGREEEIAALRSELENLKDGAATAVEQLHEAKSETKALRSMTQRMILTQEEMEEVVLKRCWLARYWGLAVQHGICADIAVSKHEYWSALAPLPFEVVVSAGQKAKEEAWDRGGGDPDRSKLVRDLNDLTGEGNIESMLSVEMGLRELASLKVEDAVVHALGKHRRLSLLHQSVADSKSPGDPKLIDAFELSEEEGEDVLFKEAWLTYLWRRAKVHGVEEDIAEERLQFWIRRSGQSPTSHDAVDVNRGLFELRKLGIEQQLWEASRKEIDQPSAALLSNHKYLEDSTRYLV >EOY22608 pep chromosome:Theobroma_cacao_20110822:3:24189209:24197218:1 gene:TCM_014735 transcript:EOY22608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDRRRTASPVYGRQWSGGSSSSGSSSPAHPQSRLHPGAAGGMSTIKRTQNVAAKAAAQRLAQVMASQTPDDDEEDDDLGFRFGGPPVPTSFSNSSLNHSTLPAISVTRPNRSPSPALGRNFVEHAPSVRSTSAGRPAISMRSTAPTLMPPSRTSVRTPVTIPPIDPPNRSRDKRFTADVGQLKAKDTGDQREASALRDELDMLQEENENLLDKLRSAEERREEGEARARELEKQVASLGEGVSLEAKLLSRKEAALRQREAALKAAKQTKDGREEEIAALRSELENLKDGAATAVEQLHEAKSETKALRSMTQRMILTQEEMEEVVLKRCWLARYWGLAVQHGICADIAVSKHEYWSALAPLPFEVVVSAGQKAKEEAWDRGGGDPDRSKLVRDLNDLTGEGNIESMLSVEMGLRELASLKVEDAVVHALGKHRRLSLLHQSVADSKSPGDPKLIDAFELSEEEGEDVLFKEAWLTYLWRRAKVHGVEEDIAEERLQFWIRRSGQSPTSHDAVDVNRGLFELRKLGIEQQLWEASRKEIDQPSAALLSNHKYLEDSTRYLV >EOY22609 pep chromosome:Theobroma_cacao_20110822:3:24189412:24196636:1 gene:TCM_014735 transcript:EOY22609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSTIKRTQNVAAKAAAQRLAQVMASQTPDDDEEDDDLGFRFGGPPVPTSFSNSSLNHSTLPAISVTRPNRSPSPALGRNFVEHAPSVRSTSAGRPAISMRSTAPTLMPPSRTSVRTPVTIPPIDPPNRSRDKRFTADVGQLKAKDTGDQREASALRDELDMLQEENENLLDKLRSAEERREEGEARARELEKQVASLGEGVSLEAKLLSRKEAALRQREAALKAAKQTKDGREEEIAALRSELENLKDGAATAVEQLHEAKSETKALRSMTQRMILTQEEMEEVVLKRCWLARYWGLAVQHGICADIAVSKHEYWSALAPLPFEVVVSAGQKAKEEAWDRGGGDPDRSKLVRDLNDLTGEGNIESMLSVEMGLRELASLKVEDAVVHALGKHRRLSLLHQSVADSKSPGDPKLIDAFELSEEEGEDVLFKEAWLTYLWRRAKVHGVEEDIAEERLQFWIRRSGQSPTSHDAVDVNRGLFELRKLGIEQQLWEASRKEIDQPSAALLSNHKYLEDS >EOY24550 pep chromosome:Theobroma_cacao_20110822:3:31401925:31404059:1 gene:TCM_016122 transcript:EOY24550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MDFWTSSFLCLLSTWVLVQAFHSIRRGSKASLSKLPPGPRRIPIFGNLFDLGDKPHKSLSELAKIHGPLMSLKIGSLITVVISSENMAKQVLQKHDLTFSNRTIVDAVRASQHDEVGLPWIPVSPLWRTLRKVCNTHLFASQKLDANQYLRRKKIQELIAIVQKSCHAGEVINIGQAAFNTTINLLSNTIFSMDLVDPNSPTAQEFKKTVWGIMEEAGKPNLADYFPILRKIDPQGVRRRMTIHFEKLLDLFGKMFDERLQSRKAQGSTASNDVLDTVLDIIEGNIEELNKTHVTHLLLVLFVAGADTTSSTLEWAMAELLRNPQVLVKAKKELEQVIGKGNPVEESDINRLPYLQAIIKETFRMHATVPLLLPRKAGADAEICGFTVPKGAQVLVNVWAIGRDPSIWEKPSAFMPERFMGSDIDVKGRDFGLIPFGAGRRICPGLPLANRMLHLMLGSLIHSFDWKLEGGISPEDMNMEEKFGLTLQLAEPLRAIPTPV >EOY20736 pep chromosome:Theobroma_cacao_20110822:3:836572:848403:-1 gene:TCM_012079 transcript:EOY20736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantothenate kinase 2 isoform 1 MAGLSGDQVLEIDDKTEDIQNNINKDSNCDSNLTNREVTDKAGLVVRGEENSNNNNQGGERDMAPPASNSIHRSGSRPQLDLSKAAIQGSSEERDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEDRSIDDKRKKTVKERLGISNGNRRSYPILGGRLHFVKFETSKLNECLDFISSKQLHRGGIDSHHWNSEAPANENAVIKATGGGAYKFADLFKERLGVSIDKEDEMDCLVAGANFLLKAIRHEAFTHMEGHKEFVQLDHNDLFPYLLVNIGSGVSMIKVDGDGKFQRVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNRTIDMLVGDIYGGMDYSKIGLSASTIASSFGKAISDKKELEDYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSQGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVLKGTEITAPVPMAPPGTTGLGGFEVPSSRGGTLRSDASALNVGVLHLVPTLEVFPLLANPKMYEPNTIDLSDHSELEYWFTVLSEHLPDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFHDAYRSIKQRENEASLAVLPDLLMELDCMDEEARLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPWRVDDFDLFKERMLGSEGKKPQPHNRALLFVDNSGADVVLGMLPLARELIRRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDILRRAAEAGGLLVDAMNNTLDGSKENASSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLIILEGMGRALHTNFNAQFKCEALKLAMVKNQRLAEKLIKGK >EOY20735 pep chromosome:Theobroma_cacao_20110822:3:835669:848526:-1 gene:TCM_012079 transcript:EOY20735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantothenate kinase 2 isoform 1 MAGLSGDQVLEIDDKTEDIQNNINKDSNCDSNLTNREVTDKAGLVVRGEENSNNNNQGGERDMAPPASNSIHRSGSRPQLDLSKAAIQGSSEERDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEDRSIDDKRKKTVKERLGISNGNRRSYPILGGRLHFVKFETSKLNECLDFISSKQLHRGGIDSHHWNSEAPANENAVIKKATGGGAYKFADLFKERLGVSIDKEDEMDCLVAGANFLLKAIRHEAFTHMEGHKEFVQLDHNDLFPYLLVNIGSGVSMIKVDGDGKFQRVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNRTIDMLVGDIYGGMDYSKLPPSILKSSQIGLSASTIASSFGKAISDKKELEDYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSQGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGDLNEKASIVGFCDIWPESINPLEINGPGLGLSCSKRISWMEKFVLKGTEITAPVPMAPPGTTGLGGFEVPSSRGGTLRSDASALNVGVLHLVPTLEVFPLLANPKMYEPNTIDLSDHSELEYWFTVLSEHLPDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFHDAYRSIKQRENEASLAVLPDLLMELDCMDEEARLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPWRVDDFDLFKERMLGSEGKKPQPHNRALLFVDNSGADVVLGMLPLARELIRRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDILRRAAEAGGLLVDAMNNTLDGSKENASSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLIILEGMGRALHTNFNAQFKCEALKLAMVKNQRLAEKLIKGKIYDCVCRYEPAN >EOY23415 pep chromosome:Theobroma_cacao_20110822:3:27334949:27337047:1 gene:TCM_015318 transcript:EOY23415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSAYSVSKLIITDYLSSATQASANPPKFPRFSLLQKSLSFPRCVSHSQRKIVTFVSSKSSEAEELPTAEDEWLNRLPDKNKPLYSHSLPCIEAWLRSLGFCQSREDRAVWLIEKPDWHAQLSLDVTDLYIRYLKSGPGNLERDVERRFSYALSREDIENAILGGP >EOY24516 pep chromosome:Theobroma_cacao_20110822:3:31284784:31288301:-1 gene:TCM_016098 transcript:EOY24516 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 8 MEWHACLDEYEKLVRRMSTPRVVIDNTVCPTATLVKVDSARRHGILLDAVQVLTDLNLSIKKAYISSDGQWFMDVFHVTDLNGNKLTDESVISYIEQSLETTQPDRGQGFNGLTALELTGTDRVGLLSEVFAVLADLQCSVVEAKVWTHNGRIASLIYVKDCNSGSPIEDSQQIDRIEARLRNVLKGDNDIRSAKTSVSMAVTHTERRLHQMMFADRDYERKPILQHRADSPVVTVQNWVERGYSVVNVQSKDRTNLLFDVVCTLTDMQYVVFHATINTAGDNAYLEFYIRHTDGTPISSEPERHRVIQCLQAAVERRASEGIRLELCTDDRQGLLADVTRTFRENGLNVTRAEISTTRDMAKNVFYVTDAIGNLADPKIIEAVRQKIGLGKLKIKELPLIYHQKAEREEQAVGVGGTVLLSLGSLVRRNLYNLGLIKSYS >EOY23188 pep chromosome:Theobroma_cacao_20110822:3:26540661:26551235:-1 gene:TCM_015164 transcript:EOY23188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shortage in chiasmata 1, putative MRTRFLNTDYFTSSQSPTETLSFLNLPLPQLPPWHLSTFKDELLRFDSFLNVPLETDRLPIDAALSKFLSDTIPQFIDVDFRDLEDTRSPIGNVEARFSEKEAMVCNERNEAQRQTTFGSEIVEKDNVTSADDKDVQRFDVILFETPELDTFLDNARFSEKEIETFSGIPEIDNNQDETEPIVQYSNKIQESVYSVEYVTSKYNMEKNDYMLEEDSSFEDLLLLQHNTFPFLEVDEVSLGIISHSLDDVHPSVFESIDTQLWTQENNVLIASKELLGSIGYDIFKFLSDHCLLKQFPEPELAFPEMFLDMNLICVVETPQVDENFEFYMAKHDADCFLPMSLVIFEEFQIFDVDSSQNFEVFLNRQMTREPEACDYMFREDMNLKSFNELVVSHELVLVDETFKSLPIPVLSDYRRMRSTCTVVEELLSELKLLPLSASDGIYLDWYILEEDKCNSKVYSLFQNMVEEIDSYNIDFDQETFENGKLVSEFIFSDDALSESATEQYEEALNVSYDSTAMLNGHLMAVVSGNLEDNGCPKPGNSEQLAEKDAKRASLLFESMSQFNDLDFFLNPQKGSAGESAGPTAVAFDSNAMLPNVSSGPSVQACASTGVKLQQWDIMVYNIKLSDDIVALIEKFEKSYLAILQNETELISFLPADNFELLSLPKQKLMECIKKKMVRRTTSHKDEDIMAFVTLCAIKQMAWYMCFYGIHAARLYVDKLCQSLGCLKSRLSFLHSLIEDTCGKVDTEITRSHPSLCVIQGILQSNISPSSSKVLILAEQVFWWSLKSLLMSIGLSWNELSSFCTSANASDVYKMDSQLISDCWLVSQEHVSASFPFNKFNLIVEYGGSCGSSRVSSFSTELVGLNHLHFVKIELDGSSASKALCQGVNVPQTTKKLMEGEFHSILALDDNVNYQKLEDLLNFVPIEAKYNKKSVEGVDKIEAHFMPLPVAVENKQTQQRKMDIVIVVNTQNFDKEMIVSRRSTYQKILAMEKEGAQVVERDLNLPVDVIISSAICLVWYDFRNIGGKAATLDAASSCLPLWIENIATNILTLLSFTFSGCFLVFEGEVPFLSTVMESSAGLYAAAASLGIDFQLFCSYSSELTDEIILNCIDYAAKMTRGLYPKMPDSETLAESFLTKFPSINPLTAHAILSSGGVLIEFLEWSHERRIHAVQNYCVPDESVALFSALCKYGEREDSKSIMTDCSSSVSSGPDSDKCDLNVGSEGKQGRKHINNPDKTSISMDELLHVEPLNQCTDDFLNPSGLSKPYNSWMSKGSKDFQDYKKHSSSLNYIFDQKQQFALPAYLSLEVPKQYDSHIFEGPQILNEAKKPKLSVPLKDNIWGHSQGENMAMLNSLDGQNINKFENRHEDPLDEVIDLIDSPMSGEDFSSFDNSIPFSMVPKIENDSTRKSKIARKLSFRKGSRTVFPYVSEISTDSDILSSVKYPRDSFQGTNDNPGTGYNDKLPFSNQENLIWDVLAQGSAACKHSLLKNDVSNHRATPLSKAILSAHPPPGSPWTIEFLNRIREKSRLHHQNLPSDTSASPFEISRNVAKVPKRRSPSMLEFFKYQGSSTPRKILEKRKQKRYLQSSNSSKNEKASSSLSQTRTPIDKRARQTLSFAMNESGSQTKLVWSDGGAHGLSKKLRNQ >EOY21580 pep chromosome:Theobroma_cacao_20110822:3:13036957:13041882:-1 gene:TCM_013435 transcript:EOY21580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSSQLGEKIKLLQLENSPNGQSFSVEASELKARMRYLEGIQSEIKCKIIELQQENKKLWQEKEEAKSQVHILELENNWLQHILSSRWSKKEKQNLEIKLTLLRKENQQLQYLKEYQDKLVFS >EOY20846 pep chromosome:Theobroma_cacao_20110822:3:1481932:1482549:1 gene:TCM_012185 transcript:EOY20846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYYFYVLKGEDKSLLHVPRCGSRKTFPGEDGSLDCQSLVFSSRRKLSFRSLRSTSTLSPPPSPTIGKAKAMAVPAPPPPAL >EOY23804 pep chromosome:Theobroma_cacao_20110822:3:28636091:28636814:1 gene:TCM_015585 transcript:EOY23804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLTASKGEHCRLIHAYWSCGGLHMEIRNDHPDFHVKLRRFEAPHVDPFVDSVASLDECHLYHRNGGLSLP >EOY22674 pep chromosome:Theobroma_cacao_20110822:3:24428119:24431073:-1 gene:TCM_014778 transcript:EOY22674 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MTGKKEKAKEKREKRLQEISLLRTIPYSDHQRWWSQETIAVVTGANRGIGFEIARQLAGHGLTVILTSRDTSVGIEAAKVLQEGGFNVDVHQLDVLDGESISEFTEWIKEKYGGIDILVNNAGVNYNLGSDNSVQFAQQVVDTNYYGTKNMIKAMIPLMRPSAAGARIVNVSSRLGKLNGRRNRIQDANLREELFNLDTLSEELIDRTVSTFLQQVEDDTWQSGGWPQTFTDYSISKLAVNAYTRLVAKELSDRPEGEKIYINCYCPGWVKTAMTGWAGNISAEDAADTGVWLALLPDVAITGKFFAERREVNF >EOY22948 pep chromosome:Theobroma_cacao_20110822:3:25503162:25507048:1 gene:TCM_014978 transcript:EOY22948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MVSTVPLFFFFILFTTQCCFSANTELRALLDMKAALDPDDKYLSSWTINGDPCDRSFEGVGCNQKGQIANISLQGKGLSGKVSSAITGLKHLTGLYLHYNSLYGDIPREIANLTLLSDLYLNMNNLSGEIPPEIGNMGSLQVLQLCYNQLTGSIPTQLGSLKKLNVLALQSNQLTGAIPASLGDVGTLMRLDLSFNRLFGSIPMKIADAPLLEVLDIRNNSLSGNVPLALKRLNDGFLFQNNLGLCGSGFSSLEACNTSDHINPNRPEAYGPGTTGRPREIPETANLQLPCEPTQCSKPSKSQRGPILVGLIVVTVALSAIGILTFTQYRRRKQKLGSSFEISDRRLSTDQAKGVYRKNGSPLISLEYANGWDPLADSRNFTGFAQDVFQSFRFNLEEVETATQYFSEVNLLGKSNFSATYKGILRDGSAVAIKSISKTSCKSDDAEFLKGLNILASLKHENLVRLRGFCCSKARGECFLIYDFVPNGNLLRCLDVKDGDGQVLEWSTRVSIVKGIAKGIAYLHGYKANKPALVHQNISAEKVLIDQRFNPLLSDSGLHNLLTNDIVFAALKASAAMGYLAPEYTSTGRFTEKSDVYAYGVLVFQVLSGKRKVTSLVRLGAESCRFQDFIDPNLHGRFFEYEAAKLARIAWLCTHDSPIERPSMDAVVQELGNCSSCL >EOY22949 pep chromosome:Theobroma_cacao_20110822:3:25503352:25506991:1 gene:TCM_014978 transcript:EOY22949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MVSTVPLFFFFILFTTQCCFSANTELRALLDMKAALDPDDKYLSSWTINGDPCDRSFEGVGCNQKGQIANISLQGKGLSGKVSSAITGLKHLTGLYLHYNSLYGDIPREIANLTLLSDLYLNMNNLSGEIPPEIGNMGSLQVLQLCYNQLTGSIPTQLGSLKKLNVLALQSNQLTGAIPASLGDVGTLMRLDLSFNRLFGSIPMKIADAPLLEVLDIRNNSLSGNVPLALKRLNDGFLFQNNLGLCGSGFSSLEACNTSDHINPNRPEAYGPGTTGRPREIPETANLQLPCEPTQCSKPSKSQRGPILVGLIVVTVALSAIGILTFTQYRRRKQKLGSSFEISDRRLSTDQAKGVYRKNGSPLISLEYANGWDPLADSRNFTGFAQDVFQSFRFNLEEVETATQYFSEGLNILASLKHENLVRLRGFCCSKARGECFLIYDFVPNGNLLRCLDVKDGDGQVLEWSTRVSIVKGIAKGIAYLHGYKANKPALVHQNISAEKVLIDQRFNPLLSDSGLHNLLTNDIVFAALKASAAMGYLAPEYTSTGRFTEKSDVYAYGVLVFQVLSGKRKVTSLVRLGAESCRFQDFIDPNLHGRFFEYEAAKLARIAWLCTHDSPIERPSMDAVVQELGNCSSCL >EOY23209 pep chromosome:Theobroma_cacao_20110822:3:26651865:26667684:-1 gene:TCM_015183 transcript:EOY23209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tripeptidyl peptidase ii MQNPLFKRLSWANSTLTLLSPTFDTLPSLLIIGPKRKLRKNNFRKRSKKEWSHHLNGSGTRSGTYRAMPCSLIETSNSSCGGGGGGEQNGRLRNFKLNESTFLASLMPKKEIAADRFVEAHPHYDGRGALIAIFDSGVDPAAAGLQLTSDGKPKILDVIDCTGSGDVDTSKVVKADGEGRIRGASGASLVVNSSWKNPSGEWHVGYKLIYELFTDTLTSRLKEERKKIWDEKNQEEIAKAVMHLDEFDQKHTKVEDPKLKRAREDLQNRIDILRKQAEGYDDKGPVIDAVVWHDGEVWRVALDTQSLEDGPNCGKLADFVPLTNYRIERKYGVFSKLDACTFVVNVYYEGNILSIVTDSSPHGTHVAGIATAFHPQEPLLNGVAPGAQLISCKIGDSRLGSMETGTGLTRALIAAVEHKCDLINMSYGEATLLPDYGRFVDLVNEVVNKHRLIFVSSAGNSGPALSTVGAPGGTSSSIIGVGAYVSPAMAAGAHSVVEPPAEGLEYTWSSRGPTADGDLGVCISAPGGAVAPVPTWTLQGRMLMNGTSMASPSACGGIALLISAMKAEGISVSPYSVRKALENTSVPLGVLPEDKLTTGQGLMQVDNAYEYIRNSRDFSCVWYQITINQSGKSTPASRGIYLREATASQQSTEWAVQVEPKFHEDASKLEELVPFEECIELHSSDNTVVRAPEYLLLTHNGRSFNIVVDPTKLNDGLHYYEVYGIDCKAPSRGPLFRIPITITKPKVVMNRPPLISFSRMSFLPGHIERRYIEVPLGASWVEATMRTSGFDTSRRFFVDTVQICPLRRPIKWESVVTFSSPTAKSFAFPVVGGQTMELAIAQFWSSGMGSNEATIVDFEIVFHGIGVNKTEVVLDGSEAPIRIEAEALLASEKLAPTAVLNKIRVPYRPTEAKLCTLPTNRDKLPSGKQILALTLTYKFKLEDGAEVKPHIPLLNNRIYDTKFESQFYMISDTNKRVYAMGDCYPKSSKLPKGEYILQLYLRHDNVQYLEKMKQLVLFIERNLEEKDIARLNFFSEPDGPVMGNGTFKSSVLVPGKKEAFYLSPPNKDKLPKNSSQGSVLLGAISHGKLSYASQEERKNPKKNPVSYQISYVIPPNKTDEDKGKSSSSTCTKTVAERLEEEVRDAKIKVFGSLKQDTDEDRLEWKILAQSLKSEYPKYTPLLVKILESLLSQSNIGDKIHHYEEVIDAANEVVDSIDRDELAKFFSLMSDPEDEEAEKNKKKMETTRDQLAEALYQKGLALAEIESVKGEKASALVTEGTKDVDQAGDEGIDIQSDLFEENFKELNKWVDLKSSKYGTLSVLRERRSGRLGTALKVLNDMIQDDGEPPKKKFYELKLTLLDDIGWSHLSTYEGQWMHVRFPTSLPLF >EOY21955 pep chromosome:Theobroma_cacao_20110822:3:20031738:20034712:1 gene:TCM_014123 transcript:EOY21955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDSDFPFKCLSHSQQDEFFYLQSPKNLQSENVAENNTMGKEMAEKRTSTMSVELAIQRELAFRRKIEELQLQPYVDSGDEIMPVQVQFPHPNPSRPNLNSSPRLSGREQLASLSSLECLPSPIPSQIPSSRPHISFGPRISERKQLASSSKSPPPQQLQAFNGGSLNHQSANVFCIVCQVPCSGSVNYKQHLNGKKHKLKLKELNFGRTDGGDICAMANQKLWCELCKIWCTDDNLLKLHLAGQKHKKMQAKLERATAAEVDIVEEKNWCGLCGIGCSSKELLQLHFNGKKHQAELRKLECAQKGREEAQNQQKRCKFGNIWCEGKNLLQMHLIEKKKFLYKVEVKKRQWQDLIRDEGNS >EOY21956 pep chromosome:Theobroma_cacao_20110822:3:20031875:20034903:1 gene:TCM_014123 transcript:EOY21956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDSDFPFKCLSHSQQENNTMGKEMAEKRTSTMSVELAIQRELAFRRKIEELQLQPYVDSGDEIMPVQQVQFPHPNPSRPNLNSSPRLSGREQLASLSSLECLPSPIPSQIPSSRPHISFGPRISERKQLASSSKSPPPQQLQAFNGGSLNHQSANVFCIVCQVPCSGSVNYKQHLNGKKHKLKLKELNFGRTDGGDICAMANQKLWCELCKIWCTDDNLLKLHLAGQKHKKMQAKLERATAAEVDIVEEKNWCGLCGIGCSSKELLQLHFNGKKHQAELRKLECAQKGREEAQNQQKRCKFGNIWCEGKNLLQMHLIEKKKFLYKVEVKKRQWQDLIRDEGNS >EOY21223 pep chromosome:Theobroma_cacao_20110822:3:4247101:4248315:-1 gene:TCM_012603 transcript:EOY21223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCGVGVHMPVMTGCESVTDDIACARCEGAHNGISCARCGGAYNDSSYVQCGSAYDLGDAVLDWIFIAVKIPPISFGQKFCYSENAFSLQRETLGWMALTFALDFRCSEMHSRCSEKPLVFKFKDSWILGRGASSCSSYDRLLEHSMIVSTLESLY >EOY24518 pep chromosome:Theobroma_cacao_20110822:3:31298289:31302651:-1 gene:TCM_016100 transcript:EOY24518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug and toxin extrusion protein 1 MMSATSRLEENPTEKTSQSPSVSNPTQRWPANLMQIMLSELKTQRRIAVPLVAMNLTWFSKIAVTTAFLGRLGELQLAGGTLGFTFANVTGFSVLNGLCGAMEPVCGQAFGAKNFRLLHRTLLMAIALLVFATLPISFLWLNVDKILVHFGQKEDISAVAKTYLFYLLPDLVVTSLLCPLKAYLSSQSITIPIMFSSALALAFHIPINIFLAKAKGLEGVSMAIWISDLIAAILLVLYVLVAENRKGGKWKEGGWWDQGVQDWLRLLKLSGPCCLTTCLEWWCYEILVLLTGRLPNAKQAVGVMAIVLNFDYLLYSVMLSLATCASTRVSNELGANQPVSACQSAYVSLAVSTLSGCIGAMVMVGARGVWGSLFSHDKGILRGVKNMMLLMALVEVVNFPLAVCGGIVRGTARPWLAMYANLGGFYLLALPMAVVLAFKTALGLNGLLLGFLVGMVTCLALLLVMVVRIKWHEEAGKAQILASNVAVVEDGNLKTVDTVNPTEV >EOY22451 pep chromosome:Theobroma_cacao_20110822:3:23481346:23490591:1 gene:TCM_014612 transcript:EOY22451 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-metabolising metallo-beta-lactamase family protein MKKVKKKRRKNLYTCGKNTRGRNLSCFKNDKQDISPPYKNLHTFTHSLNFPLPEAQSSPLLLVSKLLHKNAKCSGFNLHCCFFFFVNLKEQMQLGFLGGLSFSYSLYFTSFKPIKAPTKMAASTAHSLCPYGLYCRPNPRHRYISCSVGSPTPLGTRRTKVPRKKSGRLDGARKSMEDSVQRKMEQFYEGTAGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDELGVQKIIPDTTFIKKWSHKIEAVVITHGHEDHIGALPWVIPALDSHTPIYASSFTMELIKKRLKENGIFVPSRLKIFKTRKRFMAGPFEIEPLRVTHSIPDCCGLVLRCADGTILHTGDWKIDESPLDGKIFDRQFLEDLSKEGVTLMMSDSTNVLSPGRTISESSVADALLRHISAAKGRIITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLIIVTTGSQAEPRAALNLASYGSSHSFKLNKEDVILYSAKVIPGNESRVMKMLNRISEIGSTIVMGKNEGLHTSGHGYRGELEEVLKIVKPQHFLPIHGELLFLKEHELLGKSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFSSLGKENLQLMYSDGDKAYGTSTELCIDERLRIASDGIIVVSMEILRPQKIDGIMENSLKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLGHMERTVSEVLRKMVRKYSGKRPEVIAIALENPAGVFSDELNERLSGNYNVGFEIPTLRKVVDGHPKRSQPNKIKAEDDSNLHLENTSEQSLEVSDGEVEKLLPEEDTTTSSPDSLERHTPNSEGSDEFWKSFITSSSPVNNLVNDNNGLVPKKEYKSQLKSDGTASSGDDSEMPSSQPKSSKPAKRNKWKPEEVKKLIKMRGKLHSRFQVVKGRMALWEEISTSLMAEGISRSPGQCKSLWTSLVQKYEESKGEKKSHKEWPYFEDMSKVFSDFEATATK >EOY24872 pep chromosome:Theobroma_cacao_20110822:3:32533470:32534519:1 gene:TCM_016355 transcript:EOY24872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycofamily protein, putative MQEDPQAKPLAPVEYYPRSDMEFGGIKPTASQRKEKSSKCLVYVLVGMVIQGAVLLIFASIVLRARTPDVEIVSVTVRNLKYGNSSAPSFNLTLVTEVTVENSNFGDFKFENTTGTVWCGSVVVGKMKIPTGRAQARATERLNVSVDVSSLPLPDTKNVSCNISSGLLELNSHVKLSGKVSIMNFMKRRRHPEMNCFMTLNLTGQTKQDFPCE >EOY24581 pep chromosome:Theobroma_cacao_20110822:3:31496539:31498369:-1 gene:TCM_016145 transcript:EOY24581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-yellowing 1 isoform 1 MGTLTFAPVLPTTKPRPSVFEQNRSFFVSKRRSKKKNQAIVPVARLFGPAIFEASKLKVLFLGVDDGKHPGKLPRTYTLTHSDITSKLTLAISQTINNSQLQGWSNKLYRDEVVAEWKKVKGKMSLHVHCHISGGHFLLDLCARLRYFIFCKELPVVLKAFVHGDGNLLKNYPELQEALVWVYFHSNIPEFNRVECWGPLVGAGGPWSGDDGTEQVRKQEISLCNWVLPEPCQENCSCCFPPLSSIPWSQKFPRENEQHGTQQGMPLTNLNTIE >EOY24582 pep chromosome:Theobroma_cacao_20110822:3:31496254:31497710:-1 gene:TCM_016145 transcript:EOY24582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-yellowing 1 isoform 1 SDITSKLTLAISQTINNSQLQGWSNKLYRDEVVAEWKKVKGKMSLHVHCHISGGHFLLDLCARLRYFIFCKELPVVLKAFVHGDGNLLKNYPELQEALVWVYFHSNIPEFNRVECWGPLVGAGGPWSGDDGTEQVRKQEISLCNWVLPEPCQENCSCCFPPLSSIPWSQKFPRENEQHGTQQGMPLTNLNTIE >EOY22577 pep chromosome:Theobroma_cacao_20110822:3:23986617:23988488:-1 gene:TCM_014707 transcript:EOY22577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein, putative isoform 1 MALHLSWAFVFGVLVGNVVSFLVSLAPLPTFYQIYKKRTSEGFQSIPYVVSLFSAMLWIYYALLKKDAMLLITINTFCCFIQTFYIVAYFYYAPKKEKVVTVKLILLFNIFGFGVIFLSTFFLQNPLTRLHVLGYICMAFALSVFAAPLCILRKVIKTKSVEYMPFTLSVFLTLGAVMWFFYGLLLKDMNIAVPNVVGFIFGILQMILYAIYKNCPKKMVEDPKLHQLSEHIVDVVKLGTMVCSEVNAVAPQPNETNNNGGVVQAQNIKGNTTDHDASNKV >EOY22576 pep chromosome:Theobroma_cacao_20110822:3:23986530:23988552:-1 gene:TCM_014707 transcript:EOY22576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein, putative isoform 1 MALHLSWAFVFGVLGNVVSFLVSLAPLPTFYQIYKKRTSEGFQSIPYVVSLFSAMLWIYYALLKKDAMLLITINTFCCFIQTFYIVAYFYYAPKKEKVVTVKLILLFNIFGFGVIFLSTFFLQNPLTRLHVLGYICMAFALSVFAAPLCILRKVIKTKSVEYMPFTLSVFLTLGAVMWFFYGLLLKDMNIAVPNVVGFIFGILQMILYAIYKNCPKKMVEDPKLHQLSEHIVDVVKLGTMVCSEVNAVAPQPNETNNNGGVVQAQNIKGNTTDHDASNKV >EOY23141 pep chromosome:Theobroma_cacao_20110822:3:26345415:26348290:-1 gene:TCM_015127 transcript:EOY23141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic region, putative MDSAYKMWNTLKQNFAQPDDTRVCNLQYILGNITEGTRSVDAYFIELKGFWEEMRNYSPLLHCECGSCNPVCFKKYSNQYHKDMVFRFLNGLNESLVAIRSQIILMDPIPALDKVYSLKLREKSQRNVMIQP >EOY21762 pep chromosome:Theobroma_cacao_20110822:3:18010061:18016781:-1 gene:TCM_013869 transcript:EOY21762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquiting-conjugating enzyme 2 MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >EOY25192 pep chromosome:Theobroma_cacao_20110822:3:33518074:33518816:-1 gene:TCM_016587 transcript:EOY25192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWQPWHCRNSKQDSGPEEDPGTAAIASLQMQQKKAHITTPGKRNNTSASPWAPTPSNPPFAFPSKFQTNYIRKSPLMAYARKNTPPHPHHHPTRAKYSNIAGPSDIASCSLLLPHNPTTNRQDAGLFVAANE >EOY20980 pep chromosome:Theobroma_cacao_20110822:3:2056938:2058791:-1 gene:TCM_012295 transcript:EOY20980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MLTKAMEEEITTLEQNNTWELVPKPRNVEPVSCKWVYKIKRRTDGSIERYEAQLVARGFTQQHGLDYDETFSLVAKLTTIQVLLALAANKDWNLWQMDVKNAFLHGELDREIYKIQPMTFQNPGHPEYVCKLRKALHGLKHAPRAWYGKIAEFLTQNGYLVTLVVSSLFVKVNGGRLAIVLVYVDDLIKTGDSEEEILQIKKNLSVHFQMKELGQLKQFLGLEVDLGVMSQYMQNPKKPHMEAVRRMLRYVKGKIDYGILYKKDKECKLVGYCDADYTGDYDTRRSTTGYVFKLGSGVISWCSKRQPTVSLSTTEAEY >EOY24830 pep chromosome:Theobroma_cacao_20110822:3:32353081:32356960:-1 gene:TCM_016318 transcript:EOY24830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,3-glucosyltransferase MKDSKKDSEKVVWDQIQMRSASGNPLVPGPSARPIPKLMVWLILFVSVTYVMYTLKLLATSAQQTCDDSPFTATLHRSSHFPNKTSLTSPHLILNQTAVTTTRHPHRDVREKPVVVQMQRTPPKPNLTEIHDVVFGIAASSKLWQQRKEYIKIWYKPNQMRGVVWLDDRVKYSPEDKGTLPPVRVSSDTSNFAYTNRQGHRSAIRISRIVTETLRLKMDYVRWFVMGDDDTVFITDNLVRILRKYDHTQYYYIGSLSESHIQNIFFSYGMAYGGGGFAISYPLAKALAKMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLFGLLAAHPVTPLASLHHLDVVEPIFPNVTRVQALQRLMLPSKLDSAGIMQQSICYDKTKSWTISVSWGFAVQIFRGIFSPREMEMPSRTFLNWYRRADYTAYAFNTRPVSRNPCQKPFVFYMSRVRMDSELNQTVSEYERHRVPHPPCRWKMADPAELDMVIVNKKPDPHLWDRSPRRNCCRVMESKEQGTMVVNVGVCKDGEVSEI >EOY23059 pep chromosome:Theobroma_cacao_20110822:3:26014764:26016482:-1 gene:TCM_015067 transcript:EOY23059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDATIFEIAGEKWLGAGFVVRNAAREVELAGTGRMLTGQTVEEAELAALVWSLSCCQKENIMIKEIEMDCKVVVDWIKGRHLSGILGHIVEDCLNLMVSIDYDAILHCPRKVNEMAHLLAKRAKNMSEEVVAWCDLSHMPDDIQLVIVREARSSFEDGDEDPDLCNKFKVQS >EOY23996 pep chromosome:Theobroma_cacao_20110822:3:29262697:29264161:-1 gene:TCM_015716 transcript:EOY23996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLNCLTCQVLQRTDSNKDRDYGKEKDSRKFCCIRVDRSWSGNLSPAAYEQIRNEPMPVPTRKGHRRLNTIDTTFGAVAFEADGEPRLVRSCGMRRDWSFEDLRGTRDEKMRKEMRVR >EOY24204 pep chromosome:Theobroma_cacao_20110822:3:30081349:30083370:-1 gene:TCM_015874 transcript:EOY24204 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAX inhibitor 1 MDAFSSFFDPRSRSNWSYDTLKNFRQISPVVQTHLKRVYLTLCCALIASAVGAYLHLLWNIGGYLTTFAGFGTIIWLLSSPPYQEQKRVSLLMTSAVFEGASIGPLIDLAIQIDPSVLIAAFVGTALAFACFSGAAMLARRREYLYLGGLLSSGVSILLWLHFAASIFGGSTAFFMIEIYFGLLVFVGYMVVDTQDIIEKAHLGDLDYVKHALTLFTDFVAVFVRILIIMLKNSAEKSEKKKKRRSD >EOY24281 pep chromosome:Theobroma_cacao_20110822:3:30404383:30405529:1 gene:TCM_015930 transcript:EOY24281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MEVPLFAEFETQSSASSSSSPLASESSTRFKSKKVEKVKNANESKRKVKNDEVEGKHPTYRGVRMRQWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALTIKGKSAYLNFPEIAHELPRPVSASPKDIQAAAAKAATLNYPKSHEVEAELSQVDQMFPRSPASTVTSHDTQDSSSSPLINNDDAFIDLPDLLLDMNHQIDEFWGSLSWQLPATDDPVENGFGYEEPCLWEYC >EOY22938 pep chromosome:Theobroma_cacao_20110822:3:25412816:25415081:1 gene:TCM_014967 transcript:EOY22938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S10p/S20e family protein isoform 1 MAYAAMKPTKPGLEEPQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >EOY22937 pep chromosome:Theobroma_cacao_20110822:3:25412816:25414820:1 gene:TCM_014967 transcript:EOY22937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S10p/S20e family protein isoform 1 MAYAAMKPTKPGLEEPQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >EOY22684 pep chromosome:Theobroma_cacao_20110822:3:24455436:24459100:1 gene:TCM_014785 transcript:EOY22684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calnexin 1 MMMGRFALLLLLSFASLQLLCFAADDDAVFYDSFDESFDGRWIVSDKDDYKGVWKHSKSEGHDDYGLLVSEKARKYAIVNELDEPVSLTDGTTVLQFETRLQNGLECGGAYLKYLRPQEAGWKPKEFDNDSPYSIMFGPDKCGATNKVHFILKHKNPKSGDYVEHHLKYPPSVPSDKLTHVYTAILKPDNEVRILVDGEEKKKANLLSAEDFEPPLIPAKTIPDPDDKKPEDWDEREKIPDPNAVKPDDWDEDAPMEIEDEDAVKPEGWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIDNPKCDAAPGCGEWKKPMKRNPAYKGKWSPPLIDNPNYKGRWKPQEIPNPNYFELDKPDFEPIAAIGIEIWTMQDGILFDNILIAKNEKAAESYRETAWKPKFEVEKEKQKAEDEVAGSDGLAGFQKKVFDVLYKVADIPFLSKYKLQILDLIEKAEKQPNLTIGVLVSIVVIILTAFFKLIFGGKKQPRVEKKTEVAETSNDQGSSGEKAEEEEKEETTAAPRRRRRDT >EOY23511 pep chromosome:Theobroma_cacao_20110822:3:27637059:27650746:-1 gene:TCM_015379 transcript:EOY23511 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-dependent glutamate synthase 1 isoform 3 MQIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLLKCKELGLSKNEMKKLLPIVDASSSDSGAFDGVLELLVRAGRSLPEAVMMMIPEAWQNDKNMDPHRKALYEYFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDVLRKGRLNPGMMLLVDFEKHTVVDDDALKQQYSLARPYGEWLESQKIELNNIVDSVQESERVTPAIAGAIPASNDDDNMEHMGIHGLLAPLKAFGYTVEALEMLLLPMAKDGTEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEEQCHRLSLKGPLLSVEETEAIKKMNYRGWRSKVLDITYSKDRGRKGLEETLDRICAEARDAIKEGYTLLVLSDRAFSSKRVAVSSLLAVGAVHHHLVKKLERTRVGLIVESAEPREVHHFCTLVGFGADAICPYLAIEAIWRLQVDGKIPPKSSGEFYSKHELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIEKCFAGTPSRVEGATFEMLARDALHLHELAFPSRALAPGSAEAVALPNPGDYHWRKGGEVHLNDPLAIAQLQEAARSNSVAAYKEYAKRIHELNKSCNLRGMLKFKEAGVKIPLDEVEPASEIVKRFCTGAMSYGSISLEAHSTLAIAMNRIGGKSNTGEGGEQPSRMEPLPDGLMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPSARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEEVREIMSQLGFRTLNEMVGRSDMLEVDKEVLRNNEKLQNIDLSLLLRPAADIRPEAAQYCIQKQDHGLDMALDQKLIKLSKAALEKGLPVYIETPICNVNRAVGTMLSHEVTKRYHLAGLPAGTIHIKLSGSAGQSLGSFMCPGIMLELEGDSNDYVGKGLSGGKIVVYPPKGSRFDPKENIVIGNVALYGATSGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVLDVDGKFQSRCNPELVDLDKVEEEEDIMTLKMMIQQHQRHTNSQLAREVLADFENLLPKFIKVFPRDYKRVLAKVKEEEASKEALERAAKEAEERDEAELVEKDAFEELKKLAANLMNEESSQEGEAKPVKRPSRVSDAVKHRGFVAYEREGVQYRNPNVRMNDWKEVMEESKPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFEEGWMVPRPPLKRTGKSIAIVGSGPSGLAAADQLNRMGHSVTVYERADRIGGLMMYGVPNMKADKVDVVQRRVNLMAEEGVKFVVNANVGIDPSYSLDQLREENDAIVLAVGATKPRDLPVPGRNLSGVHFAMEFLHANSKSLLDSNLQDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCSSIVNLELLPQPPRTRAPGNPWPQWPRIFRVDYGHQEAAAKFGRDPRSYEVLTKRFVGDENGTLKGLEVVRVRWEKDASGKFQFKEVEGSVEIIEADLVLLAMGFLGPESTVADKLGLEQDNRSNFKAEYGRFATNVNGVFAAGDCRRGQSLVVWAISEGRQAAAQVDKYLTRKDEDVSVDGESQKDLVKRHEDLAQRQQTVMT >EOY23508 pep chromosome:Theobroma_cacao_20110822:3:27637059:27652277:-1 gene:TCM_015379 transcript:EOY23508 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-dependent glutamate synthase 1 isoform 3 MLIRMSHRGACGCETNTGDGAGILVALPHDFYKEVARDVGFEMPPSGEYGVGMFFLPTSESRREESKNVFTKVAESLGHRVLGWRSVPTDNSGLGNAALQTEPVIEQVFLTPTPRSKADLEQQMYILRRVSMVAIRAALNLQHGGVRDFYICSLSSRTVVYKGQLKPDQLQNYYYADLGNERFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLLKCKELGLSKNEMKKLLPIVDASSSDSGAFDGVLELLVRAGRSLPEAVMMMIPEAWQNDKNMDPHRKALYEYFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDVLRKGRLNPGMMLLVDFEKHTVVDDDALKQQYSLARPYGEWLESQKIELNNIVDSVQESERVTPAIAGAIPASNDDDNMEHMGIHGLLAPLKAFGYTVEALEMLLLPMAKDGTEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEEQCHRLSLKGPLLSVEETEAIKKMNYRGWRSKVLDITYSKDRGRKGLEETLDRICAEARDAIKEGYTLLVLSDRAFSSKRVAVSSLLAVGAVHHHLVKKLERTRVGLIVESAEPREVHHFCTLVGFGADAICPYLAIEAIWRLQVDGKIPPKSSGEFYSKHELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIEKCFAGTPSRVEGATFEMLARDALHLHELAFPSRALAPGSAEAVALPNPGDYHWRKGGEVHLNDPLAIAQLQEAARSNSVAAYKEYAKRIHELNKSCNLRGMLKFKEAGVKIPLDEVEPASEIVKRFCTGAMSYGSISLEAHSTLAIAMNRIGGKSNTGEGGEQPSRMEPLPDGLMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPSARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEEVREIMSQLGFRTLNEMVGRSDMLEVDKEVLRNNEKLQNIDLSLLLRPAADIRPEAAQYCIQKQDHGLDMALDQKLIKLSKAALEKGLPVYIETPICNVNRAVGTMLSHEVTKRYHLAGLPAGTIHIKLSGSAGQSLGSFMCPGIMLELEGDSNDYVGKGLSGGKIVVYPPKGSRFDPKENIVIGNVALYGATSGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVLDVDGKFQSRCNPELVDLDKVEEEEDIMTLKMMIQQHQRHTNSQLAREVLADFENLLPKFIKVFPRDYKRVLAKVKEEEASKEALERAAKEAEERDEAELVEKDAFEELKKLAANLMNEESSQEGEAKPVKRPSRVSDAVKHRGFVAYEREGVQYRNPNVRMNDWKEVMEESKPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFEEGWMVPRPPLKRTGKSIAIVGSGPSGLAAADQLNRMGHSVTVYERADRIGGLMMYGVPNMKADKVDVVQRRVNLMAEEGVKFVVNANVGIDPSYSLDQLREENDAIVLAVGATKPRDLPVPGRNLSGVHFAMEFLHANSKSLLDSNLQDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCSSIVNLELLPQPPRTRAPGNPWPQWPRIFRVDYGHQEAAAKFGRDPRSYEVLTKRFVGDENGTLKGLEVVRVRWEKDASGKFQFKEVEGSVEIIEADLVLLAMGFLGPESTVADKLGLEQDNRSNFKAEYGRFATNVNGVFAAGDCRRGQSLVVWAISEGRQAAAQVDKYLTRKDEDVSVDGESQKDLVKRHEDLAQRQQTVMT >EOY23510 pep chromosome:Theobroma_cacao_20110822:3:27641461:27653321:-1 gene:TCM_015379 transcript:EOY23510 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-dependent glutamate synthase 1 isoform 3 MSSASAFNSLLQLRNGSYSLPSLNKSSITPQLNVAPSSRRKTRTARCSVTKKCSAALEKKFLGTRVLGSGSERLHLWQSDGQGKAPKLRVVVRSSLSGVPQKPLGLYDPSFDKDSCGVGFVAELSGGSSRKTITDALEMLIRMSHRGACGCETNTGDGAGILVALPHDFYKEVARDVGFEMPPSGEYGVGMFFLPTSESRREESKNVFTKVAESLGHRVLGWRSVPTDNSGLGNAALQTEPVIEQVFLTPTPRSKADLEQQMYILRRVSMVAIRAALNLQHGGVRDFYICSLSSRTVVYKGQLKPDQLQNYYYADLGNERFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLLKCKELGLSKNEMKKLLPIVDASSSDSGAFDGVLELLVRAGRSLPEAVMMMIPEAWQNDKNMDPHRKALYEYFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDVLRKGRLNPGMMLLVDFEKHTVVDDDALKQQYSLARPYGEWLESQKIELNNIVDSVQESERVTPAIAGAIPASNDDDNMEHMGIHGLLAPLKAFGYTVEALEMLLLPMAKDGTEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEEQCHRLSLKGPLLSVEETEAIKKMNYRGWRSKVLDITYSKDRGRKGLEETLDRICAEARDAIKEGYTLLVLSDRAFSSKRVAVSSLLAVGAVHHHLVKKLERTRVGLIVESAEPREVHHFCTLVGFGADAICPYLAIEAIWRLQVDGKIPPKSSGEFYSKHELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIEKCFAGTPSRVEGATFEMLARDALHLHELAFPSRALAPGSAEAVALPNPGDYHWRKGGEVHLNDPLAIAQLQEAARSNSVAAYKEYAKRIHELNKSCNLRGMLKFKEAGVKIPLDEVEPASEIVKRFCTGAMSYGSISLEAHSTLAIAMNRIGGKSNTGEGGEQPSRMEPLPDGLMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPSARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEEVREIMSQLGFRTLNEMVGRSDMLEVDKEVLRNNEKLQNIDLSLLLRPAADIRPEAAQYCIQKQDHGLDMALDQKLIKLSKAALEKGLPVYIETPICNVNRAVGTMLSHEVTKRYHLAGLPAGTIHIKLSGSAGQSLGSFMCPGIMLELEGDSNDYVGKGLSGGKIVVYPPKGSRFDPKENIVIGNVALYGATSGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVLDVDGKFQSRCNPELVDLDKVEEEEDIMTLKMMIQQHQRHTNSQLAREVLADFENLLPKFIKVFPRDYKRVLAKVKEEEASKEALERAAKEAEERDEAELVEKDAFEELKKLAANLMNEESSQEGEAKPVKRPSRVSDAVKHRGFVAYEREGVQYRNPNVRMNDWKEVMEESKPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFEEGWMVPRPPLKRTGKSIAIVGSGPSGLAAADQLNRMGHSVTVYERADRIGGLMMYGVPNMKADKVDVVQRRVNLMAEEGVKFVVNANVGIDPSYSLDQLREENDAIVLAVGATKPRDLPVPGRNLSGVHFAMEFLHANSKSLLDSNLQDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCSSIVNLELLPQPPRTRAPGNPWPQWPRIFRVDYGHQEAAAKFGRDPRSYEVLTKRFVGDENGTLKGLEVVRVRWEKDASGKFQFKEVEGSVEIIEADLVLLAMGFL >EOY23509 pep chromosome:Theobroma_cacao_20110822:3:27641421:27652259:-1 gene:TCM_015379 transcript:EOY23509 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-dependent glutamate synthase 1 isoform 3 MLIRMSHRGACGCETNTGDGAGILVALPHDFYKEVARDVGFEMPPSGEYGVGMFFLPTSESRREESKNVFTKVAESLGHRVLGWRSVPTDNSGLGNAALQTEPVIEQVFLTPTPRSKADLEQQMYILRRVSMVAIRAALNLQHGGVRDFYICSLSSRTVVYKGQLKPDQLQNYYYADLGNERFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLLKCKELGLSKNEMKKLLPIVDASSSDSGAFDGVLELLVRAGRSLPEAVMMMIPEAWQNDKNMDPHRKALYEYFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDVLRKGRLNPGMMLLVDFEKHTVVDDDALKQQYSLARPYGEWLESQKIELNNIVDSVQESERVTPAIAGAIPASNDDDNMEHMGIHGLLAPLKAFGYTVEALEMLLLPMAKDGTEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEEQCHRLSLKGPLLSVEETEAIKKMNYRGWRSKVLDITYSKDRGRKGLEETLDRICAEARDAIKEGYTLLVLSDRAFSSKRVAVSSLLAVGAVHHHLVKKLERTRVGLIVESAEPREVHHFCTLVGFGADAICPYLAIEAIWRLQVDGKIPPKSSGEFYSKHELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIEKCFAGTPSRVEGATFEMLARDALHLHELAFPSRALAPGSAEAVALPNPGDYHWRKGGEVHLNDPLAIAQLQEAARSNSVAAYKEYAKRIHELNKSCNLRGMLKFKEAGVKIPLDEVEPASEIVKRFCTGAMSYGSISLEAHSTLAIAMNRIGGKSNTGEGGEQPSRMEPLPDGLMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPSARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEEVREIMSQLGFRTLNEMVGRSDMLEVDKEVLRNNEKLQNIDLSLLLRPAADIRPEAAQYCIQKQDHGLDMALDQKLIKLSKAALEKGLPVYIETPICNVNRAVGTMLSHEVTKRYHLAGLPAGTIHIKLSGSAGQSLGSFMCPGIMLELEGDSNDYVGKGLSGGKIVVYPPKGSRFDPKENIVIGNVALYGATSGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVLDVDGKFQSRCNPELVDLDKVEEEEDIMTLKMMIQQHQRHTNSQLAREVLADFENLLPKFIKVFPRDYKRVLAKVKEEEASKEALERAAKEAEERDEAELVEKDAFEELKKLAANLMNEESSQEGEAKPVKRPSRVSDAVKHRGFVAYEREGVQYRNPNVRMNDWKEVMEESKPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFEEGWMVPRPPLKRTGKSIAIVGSGPSGLAAADQLNRMGHSVTVYERADRIGGLMMYGVPNMKADKVDVVQRRVNLMAEEGVKFVVNANVGIDPSYSLDQLREENDAIVLAVGATKPRDLPVPGRNLSGVHFAMEFLHANSKSLLDSNLQDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCSSIVNLELLPQPPRTRAPGNPWPQWPRIFRVDYGHQEAAAKFGRDPRSYEVLTKRFVGDENGTLKGLEVVRVRWEKDASGKFQFKEVEGSVEIIEADLVLLAMGFLGPESVSIVITPFL >EOY24868 pep chromosome:Theobroma_cacao_20110822:3:32521342:32522097:1 gene:TCM_016351 transcript:EOY24868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycofamily protein MKGEGKRSNAKCLAYVAVFVVFQTAIILIFALTVMRIKNPKVRFGAVTVENFSTGNSSSPFFDMRLMAQVTVKNTNFGHFKYENSSIRILYGGMPVGEATIVKARARARQTKKFDVTIDISSSKLSTNSNLGNDIASGVLPLSSEAKLSGKVHLMKVIKKKKSSEMSCTMGINIGTRTVQDLKCK >EOY21429 pep chromosome:Theobroma_cacao_20110822:3:7855086:7856939:1 gene:TCM_012958 transcript:EOY21429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEEQQLQAARVSRHKDVWEERELNLARQNGNGMMVSGICLVAKMAFEEVKPIFEEKLPKTVQTPRSSNFQGSFKDALIGEKNEIKEADASIVIGDS >EOY23838 pep chromosome:Theobroma_cacao_20110822:3:28743310:28744043:-1 gene:TCM_015608 transcript:EOY23838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSIFSSFDAFCAEFLGQAIRPSFASTTNKDGNSFLGSRGVTNKVTENMKKKQEGNVEKKQQVKAPRFAPELDGLNCFETLVSY >EOY20599 pep chromosome:Theobroma_cacao_20110822:3:289325:289629:-1 gene:TCM_011977 transcript:EOY20599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRSVGLQRPNPCQHHSEQKHEEQHRKVQAEGRRRAWEDQSGGFDWGEEGQRRNLFGNSLDQRKVPQDHPETLGIGFTPAPHIPCYA >EOY20923 pep chromosome:Theobroma_cacao_20110822:3:1758690:1759434:-1 gene:TCM_012247 transcript:EOY20923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSSEHGSTSPKQRLTLSVLVSFMALCAKHAGRVSKKLKPKPKENGLASDSPRFSMPKTPLRSPRPKQLLTTISNKAITLVHRKKLGEENGKGTRHAPKEEEEEFGDGGVWQKAILMGDKCQPLDFSGVIYYDSKGNQLDELPIRSPRASPLPGYLAQRGL >EOY23703 pep chromosome:Theobroma_cacao_20110822:3:28287530:28289381:1 gene:TCM_047015 transcript:EOY23703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 15 MVAAITVLTDSFCLPSSVFLNSHALTYSPPKLQSPHPLYASPTARPRECFSLINVNTTYRTELRPLTCPQPAKRFLLQCSYLDPPTAFKNETGMLFSLFREIGLNENETDLLLDKNPTLRSTSLDKIRARLLALQSVGINGFALYRLVTKCPSVLIAEEIDSVIGFVHDDLEGKVEPAQLERLFSTTGAGFVLGFDQKVNLLLHHGVPQEKIAHVLNNVNLIKAICSKSVEELERTITFLKPYHGIEIIVRRPAILNYDLDSQLIPKIQFLEELSGGDEDATGTVLRKLPAILSYSLEHMEGHVEFLRSYVGLSDPEIFKIILVFPNMVSASKERKLRPRIEFLKQCELNSNDIFKFLTRAPLFLALSFEDNLAHKLGFLVKIGYEYRTKELAVALGAVTRTSCENMQKVIGLFLSYGLSCEDIYAMSKKHPQILQYNPSSLEEKMVYLVEEMGREVRELLTFPAFLGYKLDDRIKHRYEVKKKTVGKRMSLNKLLSVSANRFSTKKKAKSLVND >EOY23271 pep chromosome:Theobroma_cacao_20110822:3:26857213:26860966:-1 gene:TCM_015221 transcript:EOY23271 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein MQPQLHQRSVSEVGKKEEMAKVKITSKNHVKPCKIIGRKECQLVTFDLPYLAFYYNQKLLFYKGGEFEDKVEKLKDGLRVVLEEFYQLGGKLGKDEEGVFRVDYDDDMDGVEVLEATAEGISVDELAADEGTSSLKDLIPYNGVLNLEGQNRPLLSVQLTKLKDGLAMGCAFNHAILDGTSTWHFMSSWAQICSGSNSISVQPFLERTKARNTRVKLDLSLPPNPVESTNGDANQGPQLREKLFRFSEAAIDKIKSKVNSNPPSDGSKPFSTFQSLSVHIWHHVTQARNLKPEDYTVFTVFADCRKRVDPPMPESYFGNLIQAIFTVTAAGLLLANPPEFGASIVQKAIEAHNSKAIDERNKEWEAAPKIFQFKDAGVNCVAVGSSPRFKVYDVDFGWGKPEGVRSGSNNRFDGMVYLYQGKAGGRSIDVEITLEAGAMEKLEKDKEFLMEV >EOY24862 pep chromosome:Theobroma_cacao_20110822:3:32485496:32489758:-1 gene:TCM_016346 transcript:EOY24862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein MDENLLDDIIRRLVEAKNGRTTKQVQLTEAEIKQLCVASKEIFLSQPNLLELEAPIKICGDVHGQFSDLLRLFEYGGYPPAANYLFLGDYVDRGKQSIETICLLLAYKIKYKENFFLLRGNHECASINRIYGFYDECKRRFSVRVWKIFTDCFNCLPVAALIDEKILCMHGGLSPDLKNLDQIRSIARPVDVPDQGLLCDLLWADPDKDVEGWGENDRGVSYTFGADKVSEFLQKHDLDLVCRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSVDDTLTCSFQILKSSEKKGKFGFTNNALRPGTPPHKGWEGLIKIACVGVHKVQSA >EOY23740 pep chromosome:Theobroma_cacao_20110822:3:28420380:28423377:1 gene:TCM_015541 transcript:EOY23740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein MAIRISSSSVFPVACFWHWFFLWSWSLYLLALVQYPTLVDSSGTASAPVINVIKHISFTNFSLDDPRVTSDVKLLGSAKLSMEKGAVQIPDESDAFDLRHQAGRAIYSSPVRLFDPHTSTPASFQSTFAFQFTNSSNASRDMLNHGGSGLTFIMVPDEFTVGRPGPWLGMLNDACQEDYKAVAIEFDTHQNPQFGDPNDNHVGLNLGSIVSTTTIDASDFGVILNDASVHRAWITYDGPRRWMDIHLGSDGGDYPSKPIFSGSLDLSPHLNEYMFVGFSASTGNMTQIHNVLSWNFTATSQAFLRIPSEETCESEIILQKSDAAQRTQKRSNREPPSSFLIFIAVAVLALAIMIGFFCSRRGKNDNSKLNALPEKTQRPRPPNKPRRFTFSEVSTATRSFSEAEILGSDARGVYYRGKLPNGCQVAIKRLSAQFLNSQQGLDRRRLLKEIGAMSRVRHPNLVPIRGWCHDNREMMIVYDFFTNGSLDKWLFGVGVLPWTRRFKAITDVAEALSFLHSKQLAHKNMKTSSVFLDVSFRAVLGDFGMVLSATESKKFEAAVSQTADVFEFGIFMLEVVSGRGKLDPEVNQEERDLVDFAWRMHMKDEKVKVVDGRMGSLVNLEQAIRVLDIGLLCTLNESKGRPTVEEVVEFLSMERQIPELPASRPVALFPYNSATGLCTGYSCGPFK >EOY22760 pep chromosome:Theobroma_cacao_20110822:3:24704905:24708053:1 gene:TCM_014837 transcript:EOY22760 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPaseC isoform 1 MTPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLTASKVVSYETAKAFADELGIPFMETSAKTATNVEQAFMAMAADIKNRMASQPASNNARPQMVQIRGQPVNQKSGCCSS >EOY22761 pep chromosome:Theobroma_cacao_20110822:3:24705006:24708037:1 gene:TCM_014837 transcript:EOY22761 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPaseC isoform 1 MTPEYSDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLTASKVVSYETAKAFADELGIPFMETSAKTATNVEQAFMAMAADIKNRMASQPASNNARPQMVQIRGQPVNQKSGCCSS >EOY24373 pep chromosome:Theobroma_cacao_20110822:3:30684334:30685784:1 gene:TCM_015990 transcript:EOY24373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQNQIITLFVFFCFSHQHIHILMLPPSTIRTRGMILSRISDEKDLRNLKSFSSYCAPYMNAAVTITSSQNFCFFFFSSLKENQDFLMGLSCGLPRYLWDLLLANYDYNLSYW >EOY25276 pep chromosome:Theobroma_cacao_20110822:3:33776726:33780341:-1 gene:TCM_016640 transcript:EOY25276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl:coa ligase MATSLNSHFSFQRSESKTTRFPDWYSPETGIYSSKHSSISLPTDHFLDIVSFIFSHQHDGVTALIDSSSGYSTSYAKLLPLIQSMASGLHHLGVSQGDVVLLLLPNSVHFPIIFLSVLYLGAIVTTMNPLTSMLEIKKQIADSSVRFAFTLLDKVDKLKNLGVHAIGVPENLNLDSEKTDFLLFHKLIGGQFDKAPRPVIRQQDTAAIMYSSGTTGVSKGVVLTHGNFIAMVELFVRFEASQYEYSSSKNVYLAVLPMFHIYGLSLFVVGLLSLGTSIVVMRRFNATEMVRVIDKYGVTHFPVVPPILRTLAMRAKGVCENSLKSLKQVSCGAAPLSRKAIEDFVQALPHVDFIQGYGMTESTAVGNRGFNNEKHHKYSSVGLLAPNMQAKVVDWNSGSSLPPDSHGELWLRGPGLMQGYLNNVEATRMTIDKDGWLRTGDIVCFDEDGYMYVSDRLKEIIKYKGYQIAPADLEAILISHPEILDAAVIPAIDEEAGEIPVAFVVRRQGSTLTQGAVMDFVAKQVAPYKKVRKVVFTKSIPKSAAGKTLRRELRNFLSSRL >EOY22977 pep chromosome:Theobroma_cacao_20110822:3:25611485:25616965:1 gene:TCM_014996 transcript:EOY22977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDNHNLSSDEFHQTYTVDEFEVRILEPGGPWETGNYTNADLKDAISALASLQGHLLIASGRKIILHNWTGSELNGIAFYDAPPLYVVKNFILLADDHKSRCFLSWKEQGAQLSLLAKDLGFFDCLATEFLIDRNTLSLMVSDKQKNIQVELTGCRGIWTVYHKSTCSRNADLSKVTDDDEYHAYLIITLEARTMVLETADLLTEVTESVDYYVQGRTIATARKFV >EOY22021 pep chromosome:Theobroma_cacao_20110822:3:20399835:20400966:1 gene:TCM_014174 transcript:EOY22021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVAFRVGNVIKTTKKELPQMNSQSQLGLRKRLVVEKDHEATRALPESSGENIIVCESVTSSSRWGAQINNTLMCCTSHRGSNQP >EOY22669 pep chromosome:Theobroma_cacao_20110822:3:24413677:24417755:1 gene:TCM_014772 transcript:EOY22669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MKIWRWVFVGILILAACAFKIEAFNLGRSQPTERISGSAGDVLEDDPVGRLKVFVYELPSKYNKKILQKDPRCLNHMFAAEIYMHRFLLSSPVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFLVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQRNHVCLKEGSITIPPYAPPQKMQTHLIPEKTPRSIFVYFRGLFYDVGNDPEGGYYARCYGMEMKILELRASFHVAEKGNTGARAAVWENFKDNPLFDISTEHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEEIGVFVDEKDVPNLDTILTSIPPEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQVLNGLARKLPHDKSVYLKPGEKVLNWTAGPVGDLKPW >EOY21089 pep chromosome:Theobroma_cacao_20110822:3:2890273:2890947:1 gene:TCM_012421 transcript:EOY21089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEEDQNTISILKRRLPCLSNELLQPLTYEEEDWRSPIIRDLFNPRSTVISRLKHFTLIHGVLYHKGSNGVLARCISSNEAKERLKAVHEQWYGEEGPSLHRLLQRAGYFWPTMSKDALQFQQSCSKCSKPSVVHECHFVESAGDWRRPYIDFLQNGILPTNL >EOY22153 pep chromosome:Theobroma_cacao_20110822:3:21944819:21946123:1 gene:TCM_014369 transcript:EOY22153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVTTQAGHLNYAEDAERDKQSSDEGRSNEDGKEEEIIPAIEGDGEGSSICLEVELASGSIRRRHYQVEETLNRGMVSVESKQGPLCAFPMFQILMVLVSCLNL >EOY21377 pep chromosome:Theobroma_cacao_20110822:3:7302252:7309412:1 gene:TCM_012903 transcript:EOY21377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ac-like transposase THELMA13 MGTTEKNKDVCLFDSVMTNTILCGKHFFLSVTLREANVRSISSLVEIIDNFMNATIVLPNGTTLHIEDHYKVVDQRGIYLASKMYIVMSTNLRHFMSKIRNVSTSPLIRNVSTIFRNFRLGLGSVERVSSAGFSLFTETEIDQLGATNEKKPCQPKKRKLTSKLWTFFERLPEKNSSDGKSKVKCKLCGYILNYESKYGTSNLKRHNDNCVRKYTRDIGQMIFSKEHNSMLMRSSKFDPKKFCELVVAAIVMHNLPLSFVEYTGIKSMLSYLREDVVLISRNTVKAHIIKMHKREKCKIQSLLQESPGRICLTFDLWTSIVIDGYMCLTAHFVDKN >EOY23702 pep chromosome:Theobroma_cacao_20110822:3:28282701:28287145:1 gene:TCM_015509 transcript:EOY23702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLCSISTGKSGSNWLDRLRSSKGFPTGDNLDLDHFLTNPNPSDSPITDASNSPNSNSESTHSNDKELQNRKAPPPEVVSSEPAGDKEWFGIMSNVLSELFNMGDQAQTSRFSRKKTSRKQTNPKICIIKTSNVNTSEEQKSSSDSVRKDENIPASTTSLNSKEEAKREWKEEGDDYNVEEEEQEEENGKGERELLGYSRSEVTVIDTSCEVWKVDKLIFRRKNIWKVKDKKGKSRIVGRKKRKAPPPPPPPSYDDNNGGVWNKKRKISSSELRSLKDTSGKESGSPTNHGQNAPGEKGELVCNETPDDLTQVLRKRLPRKSGKGSTSVILIKSIPTGKKNGAKLAKNRLKDTQRL >EOY23701 pep chromosome:Theobroma_cacao_20110822:3:28282701:28287123:1 gene:TCM_015509 transcript:EOY23701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLCSISTGKSGSNWLDRLRSSKGFPTGDNLDLDHFLTNPNPSDSPITDASNSPNSNSESTHSNDKELQNRKAPPPEVVSSEPAGDKEWFGIMSNVLSELFNMGDQAQTSRFSRKKTSRKQTNPKICIIKTSNVNTSEEQKSSSDSVRKDENIPASTTSLNSKEEAKREWKEEGDDYNVEEEEQEEENGKGERELLGYSRSEVTVIDTSCEVWKVDKLIFRRKNIWKVKDKKGKSRIVGRKKRKAPPPPPPPSYDDNNGGVWNKKRKISSSELRSLKDTSGKESGSPTNHNAPGEKGELVCNETPDDLTQVLRKRLPRKSGKGSTSVILIKSIPTGKKNGAKLAKNRLKDTQRL >EOY22461 pep chromosome:Theobroma_cacao_20110822:3:23547017:23549151:-1 gene:TCM_014624 transcript:EOY22461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22p/L17e family protein MVKYSREPDNPTKSCKARGSDLRVHFKNTRETAFAIRKLPLIKAKRYLEDVMAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDSLFISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKQESVKKEPETQLAPRKSKGQSLRSGASS >EOY23673 pep chromosome:Theobroma_cacao_20110822:3:28180340:28193608:1 gene:TCM_015487 transcript:EOY23673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVQWFYSWISSITSSMISLTVSYRSLGEIKRKNNTNVCAMFFIPTLPFLDSSIDFATISFIAALLLLSLFSIYFIFHLRFKSKSSHHLQNFNSLWTVRFLFVFFITFWALTELLRLPLFRRRYVYPLVPQLTVPQQANLCKVHLVLSLGFFEPGFLVTLLFLLDVSVKKTSPRSLFSVFFVLASCLPIFILQVDFLFFQGPEIRFPEPFHRSWFVSHENTTVLCAYPLLSTILFGVFGAIFSLSFLLSFWRVVSHVINKALRVRIFALAFTVIITLALQILLMGLSVFWTPDKTAFDGVALLVFLSTFTCAVVVEGILVIKPIADSLAAGAGGGESCQGSRHGERSPGLRPVENGDSV >EOY25171 pep chromosome:Theobroma_cacao_20110822:3:33474943:33475721:1 gene:TCM_016572 transcript:EOY25171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFRQCLRVLQNLGHPDPTLLVGVTFLFHTMEVPLAALLMLMGFILFSMASDMNMQHLEDLRRFGNLLVWFARQCFSAVEGVDIRVWLLALLLPRCGLGAELTIMAMLVGCIVFLLILIVKLDKDLVEALFHLHQASSQ >EOY20709 pep chromosome:Theobroma_cacao_20110822:3:669130:670644:1 gene:TCM_012057 transcript:EOY20709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 0 MSDMEEKSSEYKKGLWTVEEDRILMDYVRVHGKGKWNRIPKITGLKRCGKSCRLRWINYLSPGVKRGDFSEEENDLIIRLHNLLGNRWSLIAGRVPGRTDNQVKNHWNTHLSKKLGIKKEKNKVSTSSRITIKKSRQDFSTSSSPNSKLPHSCDGGGHVNPKVIMTSDGHSQNAVDCEGAQGLVAITDDYESSSWFFHDELKSHTPNVMEFLDESLDFVWLGL >EOY24631 pep chromosome:Theobroma_cacao_20110822:3:31717533:31720626:-1 gene:TCM_016185 transcript:EOY24631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-A12, putative MGANFSALFIDQDGLASASSSSVSLGDLPESCVASIIGYLDPPEICKLAKLNRAFRGASWADVVWESKLPPNYQILVDKILGFVPEKLGKRDIYTRLCRANTFDDGTKKVWLDKSTGGVCLLISSRGLRITGIDDRRYWNHIPTEESRAPFLMYDDDDDDDDDDDDAMLWQRFGSIAYLQQIWWFEVDGEVEFPFPAGTYSVFFRLQLGRASRRFGRQIRNSENVHGWDIKPVRFQLWTSDGQHATSQCTLSDPGEWFHYHVGDFNVDNSNSSMKIKLSMMQIDCTHTKGGLCLDSVVIYPSKFRERLKHKGFLKCA >EOY20999 pep chromosome:Theobroma_cacao_20110822:3:2203981:2206580:1 gene:TCM_012316 transcript:EOY20999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MLPFLGELGSTGLARKLKRLSKILDKFLEKIIDEHEQALGSNREEQKPHRNFVLVIVSLLNKPMNPHDKEQEFIIGRTNIKAIMLDMIVAALDTSTTTIEWALSELLRHPRVMFGLQQELETVVGRSKMVEESDLPKLTYLDMVVKESLRLHPVAPFLVPHESMEDIMIDGYFIPKKSQIIVNTWSIGRDPDVWSNNAEEFFPERFIDNNIDLRGHEFQLTPFGSSRRGCPGMQLGPITVHLILAQLVHCFDWELPVELDMSEKFGHSMPRANPLLVKATYRLLDKRM >EOY22814 pep chromosome:Theobroma_cacao_20110822:3:24875989:24879932:-1 gene:TCM_014873 transcript:EOY22814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small G protein family protein / RhoGAP family protein isoform 1 MPSAVSQQWQEKATGFFSSSGVKLKEASQTAGTFVGEVAMDAKGNVADVAERVGSMVKSRWAVLRQPATRHAVQESLISAAATTGTFLRKGITGTKDKVAVGKTKVEEVAKKTAQKSKIILTDIERWQKGVASTDVFGVPIEDTVQRQQSNRPIPLILVKCADYLILSGLNSQYLFKAEGDKKVIQQLVSTYNQDFNASIPEGVSAIDVAALAKYYIASLPEPLTTFELYNEIKGARSSIHAMRNVLKKLPSVNYMTLEFVTALLLCVSQKSVLNNMDARSLAMEMAPVIMWEKGQKPESYRKYWSRPPKSPSKGSMDSTPAYTAWDMLADDGEDMDASSHIPLDDGMPVDFGAIEVIQCLIEQHNPIFTDANETVW >EOY22815 pep chromosome:Theobroma_cacao_20110822:3:24875611:24880309:-1 gene:TCM_014873 transcript:EOY22815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small G protein family protein / RhoGAP family protein isoform 1 MPSAVSQQWQEKATGFFSSSGVKLKEASQTAGTFVGEVAMDAKGNVADVAERVGSMVKSRWAVLRQPATRHAVQESLISAAATTGTFLRKGITGTKDKVAVGKTKVEEVAKKTAQKSKIILTDIERWQKGVASTDVFGVPIEDTVQRQQSNRPIPLILVKCADYLILSGLNSQYLFKAEGDKKVIQQLVSTYNQDFNASIPEGVSAIDVAALAKYYIASLPEPLTTFELYNEIKGARSSIHAMRNVLKKLPSVNYMTLEFVTALLLCVSQKSVLNNMDARSLAMEMAPVIMWEKGQKPESYRKYWSRPPKSPSKGSMDSTPAYTAWDMLADDGEDMDASSHIPLDDGMPVDFGAIEVIQCLIEQHNPIFTDANETVW >EOY22816 pep chromosome:Theobroma_cacao_20110822:3:24875521:24880354:-1 gene:TCM_014873 transcript:EOY22816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small G protein family protein / RhoGAP family protein isoform 1 MDAKGNVADVAERVGSMVKSRWAVLRQPATRHAVQESLISAAATTGTFLRKGITGTKDKVAVGKTKVEEVAKKTAQKSKIILTDIERWQKGVASTDVFGVPIEDTVQRQQSNRPIPLILVKCADYLILSGLNSQYLFKAEGDKKVIQQLVSTYNQDFNASIPEGVSAIDVAALAKYYIASLPEPLTTFELYNEIKGARSSIHAMRNVLKKLPSVNYMTLEFVTALLLCVSQKSVLNNMDARSLAMEMAPVIMWEKGQKPESYRKYWSRPPKSPSKGSMDSTPAYTAWDMLADDGEDMDASSHIPLDDGMPVDFGAIEVIQCLIEQHNPIFTDANETVW >EOY24971 pep chromosome:Theobroma_cacao_20110822:3:32814957:32816019:1 gene:TCM_016419 transcript:EOY24971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKSQAQKQKQTQDAKKEEEKPQEEKQRQTQKAKQKTQKTQEGKHLVWDCGSTLYDSFELNSFKRQLDSAIHSRTMSMPHLVDTRAPPPPPQSTIPPPVVSKKQPSKFSRSIQKLFKSMFKFKQSSSSSVFWLKQRSHEEYYVVYDKTGALTTIPEVPEIDFGGLSPEINSLVVKRTASERFTAASTVGISCA >EOY22866 pep chromosome:Theobroma_cacao_20110822:3:25114035:25119299:-1 gene:TCM_014912 transcript:EOY22866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein MANYQEKYLSLTLFIALLALPCNAISVPNREAEALLKWKESLGNQSILQSWVTPASANASTQSPCRWRGITCNNAGNVIAINLAYTGLKGTVENLDFFSFPNLLRLDLKVNQLSGKIPSNIGLLSKLQFLDLSTNSLNSELPVSLANLTQVYELDISRNNITGELDPRLFPDGTSRSKTGLISLKRFLLQDTLLSGRIPDEIGNLQHLSLLALDGSHFYGPIPPSLGNLSSLTVLRLSSLQLSGNIPVSFGTLSKLTVLYLHINHLSGFVPEELGNISSLVVLHLAENNFSGHLPPEVCGGGKLVNFSASFNNFSGPIPKSLKNCKTLYRVRLEYNQLTGNIAQDFGVYPNLTYIDLSYNKLSGELSPNWGECLNLTLLNAAGNMIRGKIPDEITQLNQLMELDLSSNQISGIIPAQVGKLSKLLSLSLKDNKLSGPIPAGIGGLPNLQSLDLSTNMLRGPIPYQLGDCFKLQNLRLNENHLNGTIPYQIGNLVALQDILDFSYNSLSGEIPSQLGKLTTLENLSLSHNNLTGKIPSSLSNLRSLVAVNLSYNNLEGPLPNSNIFRSAQPEAFSKNKDLCGEREGLKPCSPTSTEKKSGNDKQKVVVIVVASLASISIFLIVCIWILAFRHRRSVNQSQIEGREKRENLFSLGHFNGKFMYKDILEATKNFDETCCIGVGGFGKVYKAEMPDGQVFAVKKLSSHDEMEIGEVKSFKNEVAALTEIRHRNIVKLYGFCSEKRQFFLVYEFMERGSLAKILSNDVGAKELDWTKRIRVIKGVAHALSYMHHDCVPPIIHRDISSKNILLSSEFEACVSDFGTARLLTHDSSNWTAVAGTYGYVAPELAYSMAVTEKCDAYSFGVLALEVLMGKHPGELISYLHSFSDPRIGLADVLDPRLSPPIGRKLEDELSFMLNLAILCSHANPQSRPTMRSVSQQLEAGCF >EOY23274 pep chromosome:Theobroma_cacao_20110822:3:26869720:26870952:-1 gene:TCM_015225 transcript:EOY23274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein MASPLEIEVTLSSAEALKNVNWRHGPIRPYVVVWVDPKNKCSSKVDEEGDTCPIWDETLVIPLPGPIYDDSTLFVDVVHAGSEEDTKPLIGSAKLKLRDVLDDAGFGERHKKTLHLKRPSGRPQGKVDVKVLIQEPRYYARDPYHAPPYGVPPPASRDYPAPPAYGYSYAQAPSPQNPYYNVAPPAGYPNSAYNYNAQPAYGQAPYGEGSGGYYGHEEKKKSKFGGMGTGLAVGAVAGALGGLALAEGVDALEDHFEDKVAEKVEEDLGYDDGGDDF >EOY20783 pep chromosome:Theobroma_cacao_20110822:3:1117062:1119487:1 gene:TCM_012118 transcript:EOY20783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFDSMVRTLKVRHAPNIKKNLISLSLLDKKGYKLGGQDGVFKIRPYEQDMDDKTRGCPRLAEIGGALRDNSGCVKIIFSKSLGVADLNLAEITSIKEAFLLFAAFPWALSHELTVESNSFKVVKWCNDSPSAPWRMKSLLNFTNSMKRKILK >EOY21130 pep chromosome:Theobroma_cacao_20110822:3:3271985:3277762:-1 gene:TCM_012487 transcript:EOY21130 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine--N-acetylmuramyl-(pentapeptide) pyrophosphoryl-undecaprenol N-acetylglucosamine transferase, putative MATKTHFLLSTPPKPPYLPLYPSSSSLPSKPRSFSISFCLSINQSRNDQTASSSSTASKAPERVHVAFAAGGTGGHIYPAVAIADELKLVNPTCKVLFLGCPDSMESTAIPSAHFEFKSIPAVQLARPFSSPQNLFLPYRLIKSIIKSYTLLSKFEPHIVIGTGGYVSFPVCLAALLQRTKLVIQEQNSVPGIANWVLSFFADLVFVAFNSTVECFPRKEKCVVCGNPVRLSLKGLASKAVSRLHFFPELAKMEGSSEEVKVILVLGGSLGANAVNIALLNVYSQLLLEHKNWFIIWQTGVESFNEMESLVRNHRQLLLTPKIGYKDDVEKTMRRNMCYFMSHKDMFLHSMELAYAAADLIISRAGAMTCSEILATGKPSILIPSPNVAEGHQFRNASLMADVAGSMVITEDELDSTTLGTAISEILGDENLLTEMSERALKAAKLDASAEIAKHILSLVNLSAVKEKQ >EOY20743 pep chromosome:Theobroma_cacao_20110822:3:898302:899256:1 gene:TCM_012089 transcript:EOY20743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLILHIHGSHGQMAGTGSELFSPMMSPTWFIPTIGKGKPCSGKACYIGNSFGFAGGGAGLQSSVKCTVMSDGPDHLFLRLMIVFVCQHHTNAKYEYLTGITVVSGFQLFWIQGREALGSSVTKSQQNQNPDESSRGLFMREMNGKDHCNSIHSSSAISLGTIH >EOY20726 pep chromosome:Theobroma_cacao_20110822:3:748015:750183:1 gene:TCM_012071 transcript:EOY20726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLANMSLLLPIEMAFFFCFHERNQEATWQLCKDMNGKNLVCHFEQSGSKAAVLISMASYTLRNPPPTLYHMNPIKINKEVKRKDVKYAPQSKTQDALFCYFLVTFSCFLYKNV >EOY22214 pep chromosome:Theobroma_cacao_20110822:3:22454740:22466945:1 gene:TCM_014439 transcript:EOY22214 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAG1 longevity assurance, putative isoform 1 MGHLTCALFHRKKEHGFNSEIVKKKHGCLSQSQILLISPLQILSILFSLSMYSKFFRYKQMGLFGLSNSINWESESYPELNDFLLLPFFALFFPTVRFFLNKLVFENLARRLVLGKAHTLQDVHTHDSRKKLNKFKESAWKCVYFLSSECLTIYVSYGEPWFTNTKYFWEGPGEQVWPDQKIKLKLKGLYMYVGGFYTYSVFALLFWETRRSDFVVSMVHHIASIILIVLSYICRFARVGSVAFALHDGSDVFLEIGKMSKYSGFEWPASVSFILFALSWTILRLILFPFWVIWSTSYEALLTLDMEKHMVDGSIYYYLFNTLLFSLLVLHIYWWVLIIRVIIRQIHSGGQVDDVRSDSEDDEHDD >EOY22213 pep chromosome:Theobroma_cacao_20110822:3:22454768:22460592:1 gene:TCM_014439 transcript:EOY22213 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAG1 longevity assurance, putative isoform 1 MGHLTCALFHRKKEHGFNSEIVKKKHGCLSQSQILLISPLQILSILFSLSMYSKFFRYKQMGLFGLSNSINWESESYPELNDFLLLPFFALFFPTVRFFLNKLVFENLARRLVLGKAHTLQDVHTHDSRKKLNKFKESAWKCVYFLSSECLTIYVSYGEPWFTNTKYFWEGPGEQVWPDQKIKLKLKGLYMYVGGFYTYSVFALLFWETRRSDFVVSMVHHIASIILIVLSYICRFARVGSVAFALHDGSDVFLEIGKMSKYSGFEWPASVSFILFALSWTILRLILFPFWVIWSTSYEALLTLDMEKHMVDGSIYYYLFNTLLFSLLVLHIYWWVLIIRVIIRQIHSGGQVDDVRSDSEDDEHDD >EOY21163 pep chromosome:Theobroma_cacao_20110822:3:3685117:3686885:1 gene:TCM_012540 transcript:EOY21163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRWVSVTQKVEGRKTYAQALMGESETERSKNLQKEKIAGCSRAECESVVDEENYTAWNSVLSKLALSKAMKESRGAWLEEWFDYVKEWLEEFTYTSRQTWIASYGVPLHAWNVFMNAMQIVTKEKMKIKGVIKLRVGNRFYDIQVLEVTQSINGGLKVREKLVLEEGTWQLIKDVTAPVILLKKAVKGCRKGGLHS >EOY22151 pep chromosome:Theobroma_cacao_20110822:3:21934961:21942930:1 gene:TCM_014367 transcript:EOY22151 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacyl-tRNA deacylases, putative MVVFVAKNEVFCSMHILIKIVQTSFVYTLFLVNNNHTRRSLHGWSLDRRTDGDTGGGKQRGPGLHRPSLFSLSHARLASWPLPLASYVNKEVRLIKLDKRLVVENHLDKRWEEATGETVDDVVFLSKHVASSNRPALTIHPIGTPHVREGEALVCRQKARMGCTTEPADRAMVTLEATHHGPEINSPTMFVEIGSAEEYWKRQDAAQAIALLVWEGLGLGGRMAVGDWSRKDGVWAGHLLPGYSLLMEDPGEAKVPMNSVGVGGTRRETIKVAFETTELAFPGGEALAYLDQKSFKSWQRNAVEGFLAEQNIKNGKPGDVCPC >EOY21240 pep chromosome:Theobroma_cacao_20110822:3:4630384:4632107:1 gene:TCM_012649 transcript:EOY21240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSMCKKFMLFCFCAMLVGLVLIREVNANEPIGYGAMAGGRQPPCKGDKCLPPPSNPGSRGCESIEKCRHP >EOY22777 pep chromosome:Theobroma_cacao_20110822:3:24771422:24777501:-1 gene:TCM_014848 transcript:EOY22777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILSFDINKAKLGTLQREVPSWYWALMKKIVYVLSYVLGPSNLCKCIHSCYPLRAKGKLLCYLKGPCPNHRTTFMHLLASFRMTYNKQWISKGKIHCNSVIKLFQFT >EOY25084 pep chromosome:Theobroma_cacao_20110822:3:33162639:33163515:1 gene:TCM_016504 transcript:EOY25084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase protein G1 MEALGRRGHYTSALAPTDAPHPFHTVEHLRHMVVNRVFAAVYTCAILALLYRHVRTLFLHSTTLISFLSLLFSDLVLAFMWGASQAFRLDVFICTADPYKEPPMSVVNMALSLTAYDYPMEKISIYVSDDGGSPLTLFAFMEAAKFASHWLPFCRENDIMDRNPDVYFASGHSGSSKEENIKQHFWHQLFMQ >EOY24597 pep chromosome:Theobroma_cacao_20110822:3:31565837:31569764:-1 gene:TCM_016158 transcript:EOY24597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein MAKKGLMISRLYSMLFAAFCLINCHAAADANERKVHIVYMGDRPKGEFSAATTHHSMLKGVLGSASSAKKSLIYSYGSFNGFAAKLTDEEVRRFSEMDGVVRVIPNHILKLHTTRSWDFIGFTQDAVGAKPEGDVVIGLLDTGKLMNHTQTAMVLTKIKSSHKIIGGRYYNSENWYDETDFKSPRDSEGHGTHTSSTAAGDKVPGASYYGLANGTARGGVPGARIAMYKVCWSFGCATADILAAFDDAIADGVDVISVSLGADWPLAYDEDPIAIGAFHAMKNGILTSNSAGNSGPWPYSVSNYAPWTLTVAASTIDRKFVAKAVLGNGQVFTGLSINSFELHGKSFPLIWGGHAANYSAGASPDISRYCITGFMNSYKVEGKIVFCETLWDGTGVLQAGGVGTIMADDEITDFAFNYPLPATQISASDGEKVLDYIKTTENPTATILLGETWTDYMAPYVVSFSSRGPNPITPDILKPDLTAPGVDIIAAWSPVAPPSVDWEDPRSVDYNIISGTSMSCPHASGAAAYVKAAHPDWSPAAIKSALMTTATLVDPKKHEDLEFAYGSGQINPTRALSPGLVYDANETDYINFLCKQGYNTTTIRLITGDNSSVCTSTTPGPAWDLNYPSFSLAVLDGQPIYGVFTRTVTNVGPPNSNYTVWMTTQRGFTISVEPQFLSFSTVGEKKSFTVRVTGPKISQQPITSGSIVWVSSDYKYMARSPVVVYNVLPTPYSPYDSKSQRKPTFRRPSMYHKNGILGRN >EOY24057 pep chromosome:Theobroma_cacao_20110822:3:29478127:29481561:1 gene:TCM_015759 transcript:EOY24057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWQVKLKRQLSNWEIAQWEQLQELLCEFQLNKELKDALIWKQERHGKSGWDGVEIGMLFGSLLGMLKEFLKLGMDVSWEIWIKELGKRVFFLWYGLFGKTKMKRSSEEKSGIHQCKGNSLTKDKKGNLLGKASEWTAKIQNQRGDERMPRLNWNWRYLRDSNGEVKILFSKHIDTTDSNEAEILAVREAFLIFMASQWKDNHRLLIESDSANVVKWINNANTAPWRKRKWVLQIGSMKKELTGWEIRHVLREANQRAGDLAKEGVLLQTGILRVF >EOY20996 pep chromosome:Theobroma_cacao_20110822:3:2195662:2203298:1 gene:TCM_046736 transcript:EOY20996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein, putative isoform 2 MLFKLLGTALSQPHIDESPAHMSPSALALLLILLGTLCSFIYVSRPKRLKNGRKLPPGPRPLPVIGNLHLLGKLPHLNLHHLAKRYGPIMLLRLGYVPTIVVSSPQAAELFLKTHDVVFASRPKVQACEYLSYGGKGMGFTEYGSYWRTVRKWCTLHLLSGSKVEYFAPLRKAELGSMVETVKKAAAAGETVDISGKVGEVIEDIMYKMIFGRPSNSEDDNINLKLLIKEISRLAGAFNLSDFVPCLAPLDLQGLAQKQKRISKILDKFLEKIIDEHEQAVGSNPEEQKPHRNFVHVMVSLLNKPMNPHDEEQEYIIDRTNIKAIMLEMIAAGLESSAAAIEWALSELLKHPRVMFSLQQELETVVGRSRMVEESDLPQLTYLDVVVKESLRLHPVGPLLIPHESMEDITIDGYFIPKKSRIIVNAWSMGRDPDVWSNNAEEFFPERFIDSNIDLRGQDFQLIPFGSGRRGCPGMQLGLITIRLVLAQFVHCFDWELPDGMLPVQLDMSENFGLSMSRANPLLVKATYRLLDNRL >EOY20997 pep chromosome:Theobroma_cacao_20110822:3:2195730:2203298:1 gene:TCM_046736 transcript:EOY20997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein, putative isoform 2 MSPSTLALLLILLGTLCSFIYISRPKQLKNGRKHPPGPRPLPVIGNLHLLGKLPHLNLHHLAKRYGHIMLLRLGYVPTIVVSSPQAAELFLKTHDVVFASRPKVQACEYLSYGGKGMGFTEYGSYWRTVRKWCTLHLLSGSKVEYFAPLRKAELGSMVETVKKAAAAGETVDISGKVGEVIEDIMYKMIFGRPSNSEDDNINLKLLIKEISRLAGAFNLSDFVPCLAPLDLQGLAQKQKRISKILDKFLEKIIDEHEQAVGSNPEEQKPHRNFVHVMVSLLNKPMNPHDEEQEYIIDRTNIKAIMLEMIAAGLESSAAAIEWALSELLKHPRVMFSLQQELETVVGRSRMVEESDLPQLTYLDVVVKESLRLHPVGPLLIPHESMEDITIDGYFIPKKSRIIVNAWSMGRDPDVWSNNAEEFFPERFIDSNIDLRGQDFQLIPFGSGRRGCPGMQLGLITIRLVLAQFVHCFDWELPDGMLPVQLDMSENFGLSMSRANPLLVKATYRLLDNRL >EOY25230 pep chromosome:Theobroma_cacao_20110822:3:33635725:33638784:-1 gene:TCM_016610 transcript:EOY25230 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F/DP family winged-helix DNA-binding domain isoform 1 MQKLGDFKLPHFFNYPPYFTLQPVRETREKQVQLWKDLILDYCRTQKIFIIGLEEEFPLFSNPVIERTLSHEAREAFFSALVSEGRAEWLDKGHRKCLILWHRIQDWAGIILNFVKDNGFEDSVMTVEEIRSGIESRGTELHGIDRTILMRALKILEQKGKLAIFKGTSADDEGVKFSV >EOY25232 pep chromosome:Theobroma_cacao_20110822:3:33635728:33637345:-1 gene:TCM_016610 transcript:EOY25232 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F/DP family winged-helix DNA-binding domain isoform 1 PLFSNPVIERTLSHEAREAFFSALVSEEWLDKGHRKCLILWHRIQDWAGIILNFVKDNGFEDSVMTVEEIRSGIESRGTELHGIDRTILMRALKILEQKGKLAIFKGTSADDEGVKFSV >EOY25231 pep chromosome:Theobroma_cacao_20110822:3:33635768:33638763:-1 gene:TCM_016610 transcript:EOY25231 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F/DP family winged-helix DNA-binding domain isoform 1 MQKLGDFKLPHFFNYPPYFTLQPVRETREKQVQLWKDLILDYCRTQKIFIIGLEEEFPLFSNPVIERTLSHEAREAFFSALVSEGRAEWLDKGHRKCLILWHRIQDWAGIILNFVKDNGFEDSVMTVEEIRSGIESRGTELHGIDRTILMRALKILEQKGKLAIFKGTSADDEGVKFSV >EOY20601 pep chromosome:Theobroma_cacao_20110822:3:303440:305309:1 gene:TCM_011979 transcript:EOY20601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MSGQQFCYSNYDSQSQSSRFQSDSTSLWSQPSLPSVPSLTSQFHPQQYHLSNIRHHCLATLKGHTSYVSSLTFAGKFLYTGSSDKEIRLWKRNTTVDSEPDYDNLTNNNIIAVGKGAVKSLVVLADKLFSAHQDHKIRVWKISNEDPDNQKYTRLATLPTLSDRATKLLLPKNHVQVRRHKTCTWVHHVDTVSALALSRDETLLYSVSWDRTLKIWRTSDFKCLESVSNAHDDAINAVALSDDGDVYTGSTDKKIKVWRRSSGEKTHSLVATLEKHNSGINSLAISPDGSTLYSGASDRSIVVWEKDGGDGNGMTVVGALRGHTKPILCLAVVSDLVCSGSADKTIRIWRRGVDTSYSCWAILEGHQGPVKCLAGAVDRCNPSDTSYVIYSGSLDCDVKVWQIVVPFL >EOY21953 pep chromosome:Theobroma_cacao_20110822:3:20021384:20025278:-1 gene:TCM_014121 transcript:EOY21953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSYLRPPFGHFSTIWNPANAPNLIKKKTVESVTSLQHVWEVLSFDHLNEEKCCSLYLPNEASSRVSNTFGFRLSQNS >EOY20836 pep chromosome:Theobroma_cacao_20110822:3:1445701:1446934:-1 gene:TCM_012176 transcript:EOY20836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRYIPLEMTPGGKYHGIMNLLKFCMHCKIARVSIPNFLEANRGHLERPVAPNSHALVVKSEVTLLGLAGDKKYSYLFYGDKQKFRSQAKDLIIWGEG >EOY25093 pep chromosome:Theobroma_cacao_20110822:3:33216772:33219171:-1 gene:TCM_016513 transcript:EOY25093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein isoform 1 MVSHLVNTIRNVVGITGNVISLFLFLSPVPTFVRIWKKGSVEQFSPTPYLATLINCMVWVIYGLPMVHPNSTLVMTINGTGTAIEFAYISLFLIFCPDKKKGLKVLLIVLVELIFIALVTTLVLTLVHTTERRSMVVGIIAVLFNITMYASPLSVMRLVISTKSVEYMPFFLSLASFGNGVIWTTYAFLPFDPFIAVPNGLGTLFSLAQLLLYAIYYKSTKRIIATRKETKMELNLSEVVVVSGDIDHKKTGGVP >EOY25094 pep chromosome:Theobroma_cacao_20110822:3:33216765:33219202:-1 gene:TCM_016513 transcript:EOY25094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein isoform 1 MVSHLVNTIRNVVGITAGNVISLFLFLSPVPTFVRIWKKGSVEQFSPTPYLATLINCMVWVIYGLPMVHPNSTLVMTINGTGTAIEFAYISLFLIFCPDKKKGLKVLLIVLVELIFIALVTTLVLTLVHTTERRSMVVGIIAVLFNITMYASPLSVMRLVISTKSVEYMPFFLSLASFGNGVIWTTYAFLPFDPFIAVPNGLGTLFSLAQLLLYAIYYKSTKRIIATRKETKMELNLSEVVVVSGDIDHKKTGGVP >EOY23267 pep chromosome:Theobroma_cacao_20110822:3:26838813:26842203:-1 gene:TCM_015219 transcript:EOY23267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein isoform 2 MRSVNDSVETVNAAATAIVSADSRVQPTTVQVHVYKKRWGSCWGLYWCFGSQKNSKRIGHAVLVPEPVVPGASVSTAENVSNPTGIILPFIAPPSSPASFLQSDPPSATQSPAGLLSLTSLSVNAYSPRGPASIFAIGPYAHETQLVTPPVFSALTTEPSTAPFTPPPESVQLTTPSSPEVPFAQLLTSSLERARRNSGINQKFGLSHYEFQSYQIYPGSPGGNLISPGSAISNSGTSSPFPDRRPILEFRMGEAPKLLGFENFTTRKWGSRLGSGSLTPDGLGQGSRLGSGSVTPDGMGLGSRLGSGSLTPDGLGPASRDGFLVGSQISEVALLANPANGPKNDETIVDHRVSFELSGEDVAPCLESKSLLPSRAVSEYPKDLVAEGRKERDGIKKDLESSCELFIRETSNETVEKASGEAEEEHSYQKHRSVTLGSIKEFNFDNTKGEASDKPTIRSEWWANEKVAGKEARPGNSWTFFPMLQPEVS >EOY23266 pep chromosome:Theobroma_cacao_20110822:3:26838559:26842572:-1 gene:TCM_015219 transcript:EOY23266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein isoform 2 MRSVNDSVETVNAAATAIVSADSRVQPTTVQKKRWGSCWGLYWCFGSQKNSKRIGHAVLVPEPVVPGASVSTAENVSNPTGIILPFIAPPSSPASFLQSDPPSATQSPAGLLSLTSLSVNAYSPRGPASIFAIGPYAHETQLVTPPVFSALTTEPSTAPFTPPPESVQLTTPSSPEVPFAQLLTSSLERARRNSGINQKFGLSHYEFQSYQIYPGSPGGNLISPGSAISNSGTSSPFPDRRPILEFRMGEAPKLLGFENFTTRKWGSRLGSGSLTPDGLGQGSRLGSGSVTPDGMGLGSRLGSGSLTPDGLGPASRDGFLVGSQISEVALLANPANGPKNDETIVDHRVSFELSGEDVAPCLESKSLLPSRAVSEYPKDLVAEGRKERDGIKKDLESSCELFIRETSNETVEKASGEAEEEHSYQKHRSVTLGSIKEFNFDNTKGEASDKPTIRSEWWANEKVAGKEARPGNSWTFFPMLQPEVS >EOY21617 pep chromosome:Theobroma_cacao_20110822:3:14474972:14483096:1 gene:TCM_013568 transcript:EOY21617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCFLKAWLLCRKSNDWSNLKLEAQHQIKKLFGLKIRMGVLLLGNSCSCSLWAWKKRSPFSLNCNGNNSKRVLVKAFFFDPSEVPILKQAVKEPVAFMGGMFAGILRLDLNEDPLKEWVARTVEASASDVEGISGKGFREEEDTPQQIEIE >EOY23086 pep chromosome:Theobroma_cacao_20110822:3:26110360:26114045:1 gene:TCM_015084 transcript:EOY23086 gene_biotype:protein_coding transcript_biotype:protein_coding description:TraB family protein MDPLLKSSFPIVTANPKFLTPKPVKLKPFKVSIKPPPPDFDFRADILAESSARIGKTHPQLADLAENGTLVLVEKRQFGPVPAWRTEFVEPEAIWLVGTSHISRESAADVERVVRAVRPDNVVVELCRSRAGIMYTSNDVDNGQQLRSNMFSLSGTGFFGAVGRSINLGGQTALALRLLLALFSSKISSHVNRPFGDEFRAARKASEEIGAQIVLGDRPIEITLERAWNSLKWSEKFSLVLSVVHGITSPSLDISRNDFKEQNSENDTFQLYEQLSCSYPSLLQPLIHERDTYIAWSLKRSKAVNNCKTVVGVIGKGHMNGVIYALVSDQGNLRFRDLAGKTPSEAESNGWVEGHLRSLARDTLIGIVLWALYEQIKAAL >EOY24904 pep chromosome:Theobroma_cacao_20110822:3:32618842:32619687:-1 gene:TCM_016377 transcript:EOY24904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding isoform 1 MASLCSNLHPPFLSLVLPRQNTVSSWFFINGRVKFNLKKVSTTRRITCKKASSRGPDNDRFVRSKIEKTVAKQGDKKFVHEGLITESLPNGMFRVLLDNQDLILGYLSGKIRKNFVRVLPGDRVRVELSPYDSTKGRIVYRLRNKDASG >EOY24903 pep chromosome:Theobroma_cacao_20110822:3:32617613:32619711:-1 gene:TCM_016377 transcript:EOY24903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding isoform 1 MASLCSNLHPPFLSLVLPRQNTVSSWFFINGRVKFNLKKVSTTRRITCKKASSRGPDNDRFVRSKIEKTVAKQGDKKFVHEGLITESLPNGMFRVLLDNQDLILGYLSGKIRKNFVRVLPGDRVRVELSPYDSTKGRIVYRLRNKDASG >EOY24905 pep chromosome:Theobroma_cacao_20110822:3:32618188:32619864:-1 gene:TCM_016377 transcript:EOY24905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding isoform 1 MASLCSNLHPPFLSLVLPRQNTVSSWFFINGRVKFNLKKVSTTRRITCKKASSRGPDNDRFVRSKIEKTVAKQGDKKFVHEGLITESLPNGMFRVLLDNQDLILGYLSGKIRKNFVRVLPGDRVRVELSPYDSTKGRIVYRLRNKDASG >EOY23084 pep chromosome:Theobroma_cacao_20110822:3:26094323:26095685:1 gene:TCM_015082 transcript:EOY23084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSRSKCLRRLCRRLLNILRIPRSRNWKPPSGVRKVQTSRPSPQGYRRHVVCTRRHLRSGKSPQPNPRFLVWLPHFTNPLPGVPPANSTVHRVPSLPELLSPCSLLRITRS >EOY24183 pep chromosome:Theobroma_cacao_20110822:3:30002331:30003475:1 gene:TCM_015854 transcript:EOY24183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MDKRLKKAAEEGDIDMLYALLAQDPYLLDRIDQIPIVETPLHVAACFGRTHFALEIATLKPSLALKLNHIGLSPMHLALRNGYIHTARGLITIDPALIRVKGRERITPLHYVAETEQVDLLAEFLSVCPGSIEDLTVRCETAVHVAVKNHKSRAVKVLVGWLQRVNKEEILNWKDEDGNSLAYCNIYKST >EOY24172 pep chromosome:Theobroma_cacao_20110822:3:29944154:29947864:-1 gene:TCM_015845 transcript:EOY24172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter, putative MSFSLLNAYLNPHLRLLIESHKTLISFTNMATIQPTHNKVFFFNYLQSLSQTPRRLRKRMLATWTPDQELNHVRLRSGADMKRKLRWYELVALGVGGMLGAGVFVTTGHVARNNSGPSVFISYIIAGISALLSSLCYTEFSVQIPVAGGAFSYLRVTFGEFVGYFAGANILLEYVLSNAAVARSFTEYLAAALGVSDPDSWRVGVPGLLEGWNKLDFTAVALVLLLTLCLCHSTKESSILNLIMTIFHVVFFGFIIIVGFCNGSVDNLVKPGGLAPNGVRGILDGAAIVYFSYIGYDSVSTLAEEIQNPPVSLPVGIVGSVLIVSALYCLMALALCVMVPYNQIDDKASYSIAFQKIGWKWAGNVVGAGASLGIVASLLVAMLGQARYLCVIGRARLVPSWLSKVHPSTGTPLNATLFLGLCTASIALFTDLKIVLEMINIGTLLVFYLVANALIYRKYVIRSKNPPFRTLSFLFLLTASAIAFSISWKLKQQRWGLPLFGGIMITITAFFQYMVPCLRQPSEWSVPFMPWPAAISIFLNVFLMTTLKMLAFQRFAIWACLITVFYVLYGVHSTYEAEEMEMTDMVVNDVPNPSFQLAKLDVI >EOY23894 pep chromosome:Theobroma_cacao_20110822:3:28917387:28925019:1 gene:TCM_015648 transcript:EOY23894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 78A3 MAKHSDCFWVLFLASKCQTFSSLDSILLLPFLCMAWLAMALCFWKCPGGPAWGKYWLRKRAASTKPNNIIPGPRGFPVLGSMDLMVNLAHRKLFAAAKSFGAKRLMAFSLGDTRVIITCNPDVAKEILNSSVFADRPVKESAYSLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQISSTEAQRLDIASQMVSVIAYRGGEFSVRDVLKKASLNNMMCSVFGIKYQLGSSNSETEELSQLVEEGYDLLGKLNWSDHLPWLAGLDLQKIRLRCSELVPKVNKFVNRIIQEHKHQTGKRNHDFVDVLLSLNGPDRLSDHDMIAVLWEMIFRGTDTVAVLIEWILARMVLHPEIQSKVQAELDQVVGRSRPLMESDIRSMVFLPAVVKEVLRLHPPGPLLSWARLAITDTAIDGYHVPAGTTAMVNMWAITRDPDVWADPLKFMPERFVSKDRADVEFSVLGSDLRLAPFGSGRRSCPGKTLGLATVSFWVASLLHEFEWVQSDDNPVDLTETLRLSCEMANPLKVKVHPRRR >EOY23529 pep chromosome:Theobroma_cacao_20110822:3:27739869:27741705:1 gene:TCM_015397 transcript:EOY23529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGCSWHFMNCFGLIGNDDGIRFAIFLNFGTKFLQFRKQIWWRLPVGYMGLEMINVNDGLLMVYESVFCVLKRNFGPMRLCDQYRQPVPITWLIFTDQIPAQRGQPGPS >EOY20825 pep chromosome:Theobroma_cacao_20110822:3:1385337:1390650:1 gene:TCM_012165 transcript:EOY20825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein, putative MEEENYVPVDSMQYYTARMMSPEIVEIGEESKSFAGSKDGSCSDVYVAVGRDDLDVLKWALDHAVSPGARVFLVHVFAPITFVRTPVGKLSKNQLNEEQLRVYVNEENNRRKNLLAKYIRLCIDSKVTVDTMLIESNSLSKAILELIPVLNITCLVIGSKHPPSSRQRRRKQRIGELIKNNAPDYCEVTVVHDGKKVQDCQQEPEQVHSSQESSPQRPGLIPERNFFQCVCFTGKFN >EOY24409 pep chromosome:Theobroma_cacao_20110822:3:30859621:30862035:1 gene:TCM_016017 transcript:EOY24409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQSLQKNHEFFELPFMARCGESSKPREFPSHNQGIEEMVIVDRALSKEKEFITLKKDKKRRQLGRSSPGDVDITNLHSTVYCLMEKKKLLHQPGIEPGEKAIDAGVKELYIDGGKISRKQKKEWQFAQTAKKLKIVSRIVMFNA >EOY25275 pep chromosome:Theobroma_cacao_20110822:3:33772821:33776467:-1 gene:TCM_016639 transcript:EOY25275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inner membrane protein yohK isoform 2 MAAPLVATPTNLSLSPTHHHHSKSPSLTCLTFKPNLHRIRRNLTHVAFNGNPQAYKHEHNHSGPPHKSLVSVRVLGPNSSFLQMDPQETSSSRTVSVKSSASDDSNISTSTISQKVFGVLHLVVSLGIVLAMDKFLKKAFVAAAIKFPSALFGMFCIFSVLVMLDTAIPAAATSLMNFFEPALMFIQRWLPLFYVPALVVLPLSVRDIPASSGIISSLFSLYSTALVGRLVGLEPSLTVSILPRCITVALALTIVSFFEGANSSLTAAAVVVTGLIGANFVQAMLDKLRFRDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLFCSVPAIRQSLLAVIG >EOY25274 pep chromosome:Theobroma_cacao_20110822:3:33772783:33776500:-1 gene:TCM_016639 transcript:EOY25274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inner membrane protein yohK isoform 2 MAAPLVATPTNLSLSPTHHHHSKSPSLTCLTFKPNLHRIRRNLTHVAFNGNPQAYKHEHNHSGPPHKSLVSVRVLGPNSSFLQMDPQETSSSRTVSVKSSASDDSNISTSTISQKVFGVLHLVVSLGIVLAMDKFLKKAFVAAAIKFPSALFGMFCIFSVLVMLDTAIPAAATSLMNFFEPALMFIQRWLPLFYVPALVVLPLSVRDIPASSGLKICFIIAGGWLASLCVAGFTAIAVRKIVKTEMTDAEPMAKPSPFSPLEFWTWSGIFLVSFVSAIFYPTALGTSARTCLPFLLASTVLGYMVGSGLPSGVKKVFHPIICCALSADLAALAFGYISPLGVDAVLGCYLTKVSSNPGAGDILMGFLGSVILSFAFSMFKQRKLIKRHAAEIFISIIISSLFSLYSTALVGRLVGLEPSLTVSILPRCITVALALTIVSFFEGANSSLTAAAVVVTGLIGANFVQAMLDKLRFRDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLFCSVPAIRQSLLAVIG >EOY24519 pep chromosome:Theobroma_cacao_20110822:3:31303665:31304402:1 gene:TCM_016101 transcript:EOY24519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSCLIFLSKQARKFLLKLKVLSIEKGYKKRDPKVLLAGFDCSSSSFASMEVSSQLKQVFKLIDTNGDEKISSLELSEVLSSLGRKRSAAKKEADAMVRELDRNGDGYIDFKEFMDAVGVNTVQFSDKR >EOY22446 pep chromosome:Theobroma_cacao_20110822:3:23464811:23469421:-1 gene:TCM_014608 transcript:EOY22446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginosuccinate synthase family isoform 2 MAQLKALSPNSSINLACYGPRRNTLLFSDSLSCSRKLSSFLEVSGRASALHGRAILSNNGSVTLAASNRGIRAVLSSDREMEVSTATKAGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGIKELDGLEEKAKASGACQLVVKDLKEEFVRDYIFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAREVGADAVSHGCTGKGNDQVRFELTFFALNPELNVVAPWREWDITGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEEPANEPKKDMYMMSVDPEDAPDQPEYLEIGIVSGIPVSVNGKNLSPASLLAELNEIGGRHGVGRIDMVENRLVGMKSRGVYETPGGTILFNAVRELESLTLDRETIQVKDSLALKYAELVYAGRWFDPLRESMDAFMEKITETTTGSVTLKLYKGSVSVTGRTSPHSLYRQDISSFESGQIYDQADAAGFIRLYGLPIRVRAMLEKGI >EOY22447 pep chromosome:Theobroma_cacao_20110822:3:23465328:23469171:-1 gene:TCM_014608 transcript:EOY22447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginosuccinate synthase family isoform 2 MEVSTATKAGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGIKELDGLEEKAKASGACQLVVKDLKEEFVRDYIFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAREVGADAVSHGCTGKGNDQVRFELTFFALNPELNVVAPWREWDITGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEEPANEPKKDMYMMSVDPEDAPDQPEYLEIGIVSGIPVSVNGKNLSPASLLAELNEIGGRHGVGRIDMVENRLVGMKSRGVYETPGGTILFNAVRELESLTLDRETIQVKDSLALKYAELVYAGRWFDPLRESMDAFMEKITETTTGSVTLKLYKGSVSVTGRTSPHSLYRQDISSFESGQIYDQADAAGFIRLYGLPIRVRAMLEKGI >EOY21551 pep chromosome:Theobroma_cacao_20110822:3:11861236:11862500:1 gene:TCM_013332 transcript:EOY21551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGCLEFPYISLVSGNDHASVVAAVLVKSASRKRDSKGMMGLGSSGGAAATPRAKLPRATLPHSKSVPDTEGGLFVPPQLKGRSNVVIEDISKLFVSKQAIAQPRGPKNQL >EOY23330 pep chromosome:Theobroma_cacao_20110822:3:27022861:27033619:1 gene:TCM_015256 transcript:EOY23330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MECAKFYSNCPAFVCHRDVFLYSVVGFDGELKTVSQKSVDVFIPSDFYNLRFLNPNTNGYYTSLASTSQIQNSVAFRNSKRKLGYNTVESSSYIQNVEQDCRNSQRRNIVSFEIDHRDDDNSFEQELSLASDASQMLPILNDEDHISAFDQQTAHIGTSFVGDNSTSTHFDSNQYNIPLNLGGPRYEIMSYQPLSELQIGKEGGTIQICVARIWHSINYKQANDIISLDFLATDDKGNAIQAIIHKIHMKEFESILKEGHVYCISEFKVSKPKKSYNVISAPSAITITSKTKIVKASSSALSFQRHYFQFLEFEHLPHRYKINETLIALSSQRCNIVSLHQTKLKALQHQVLNAAALFSTIPTTQGTIINATLWGDLAYCVDDDIIGLKSKPIIILAAMTVGEYQGQPSVASCSASKIYVDLNIPIVADMKARFDEKNAPVLLLDVRQRPQIPPDQQENHNRVTIKQLLQIDHSKTQIETYTCIAKIKEFDCTEGWYYIGCKICMKTLQQISDTFWCPDAKHGEQLPHLCYKLIITVEDNTGNATFVVFGDDGEKVVGASIPKLALLNHLDKYILPEPITKLIDQEKLFSISLVTKSLDTGNLTFRINSCKAVNEAHKPTMMLGQSSTCESTLHLNKKKSNLEVQECPPSSPENQIQQDLFPEESPIKKVKLSAEQKQDKHG >EOY21237 pep chromosome:Theobroma_cacao_20110822:3:4523065:4531259:-1 gene:TCM_012639 transcript:EOY21237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKQPYIFLNMVIPGSRSPKQNIDVFLQPRIDELKVLWDKSVVTCQYLEEDEFYAIKLYVLMNCKEILPYIKMFNNMVAQHANQIDSCLGDMSHGPSHMAMCYKGNSAMQPPIPPPSSTPPLVKPSMPPQSSRPLMSTLTSTLVSYQEMKSDVKELNTNVYDTKIIMKVKLVRLPDSVGSIEENFWLQKSGLIWVVEGERNKKFFHMMVWKMRIRNHIFKINDSSTLQEVRNAVFDIDKDRIAVPDAFLLEFMVEDIADIPIEKSCDDVVYWVLHQMNFSLETNINENFRQKICR >EOY24244 pep chromosome:Theobroma_cacao_20110822:3:30255497:30258451:1 gene:TCM_015907 transcript:EOY24244 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPPDE thiol peptidase family protein, putative MRLFPSNSSVTKGQSNGGSNRALLYLNVYDLTPINDYLYWFGLGIFHSGIEVHDLEYGFGAHEYPTSGVFEVEPRSCPGFVFRRSVLLGSTNMSRSEFRAFMEQLSQKYHGDTYHLIAKNCNHFTDEVCLQLTGKPIPGWVNRLARLGSFCNCLLPESIQVTAVRHLPDHPTYSDDEILDSAATSLTAESEEEDSDHHLLTTPNSDVAFLKEKPVRLAKELL >EOY24626 pep chromosome:Theobroma_cacao_20110822:3:31696004:31696477:-1 gene:TCM_016179 transcript:EOY24626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVGLTTLALRFCLEAGGRLDVDDAMSQWHETFKPKSPMAGPSNQMHRSTHSTWTVARARGLPMGNLRARLFFVLTVIVVFVFGGQHLPL >EOY21771 pep chromosome:Theobroma_cacao_20110822:3:18120006:18123948:1 gene:TCM_013882 transcript:EOY21771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein MAFRTTSACFASKHSSYGSCGFILIAPVQSKIQFTGPLQAMVIFLSSLLMRYNLMLLRPMLVIRKRYGDLNRLAGLKHEKLISWDKPKSSFVKLNVDGSAKGQPGVTAPRGVISDEDGNDTTYFGAIVGRVANRIKGAEFTINGVSYKLVANEGKNTLHGGSKGFADVIWHVRNYKQDSHVTFTYYSFDGEQGFPGNLQVSVTYMIIGTNKLGVKMEAKPLNKATPVNLALHTYWNLGGHSSGDILSHTLQLFGSSITPVDDELIPTGKIDPVQGTPYDFLQPHEIGSMLDQLPHGYDVNYVLDKSSPQHLRKVAVVHESKSGRKMELWTNKPGVQVYTSNMLKSEKGKDGFVYSTYAGLCLETQGFPDSVNHPNFPSQIVNPGETCKHFMVFRFTTN >EOY22049 pep chromosome:Theobroma_cacao_20110822:3:21083179:21086065:1 gene:TCM_014241 transcript:EOY22049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonoid 3'-hydroxylase isoform 2 MASFLLYSILSAVFLYFIFATLRKRHRLPLPPGPKPWPIIGNLPHMGPVPHHSLAALAKVYGPLMHLRLGFVDVVVAASASVAAQFLKVHDANFSSRPPNSGAKYVAYNYQDLVFAPYGPRWRMLRKISSVHLFSGKALDDFRHVRQDEVGVLVRALADAKTKVNLGQLLNVCTVNALGRVMLGKRVFGDGSGKADPEADEFKSMVVELMVLAGVVNIGDFIPALEWLDLQGVQAKMKKLHKRFDRFLSAILEEHKIKARDGSGQHKDLLSTFISLEDADGEGGKLTDTEIKALLLNMFTAGTDTSSSTVEWAIAELIRHPKILAQVRKELDSVVGRDRLVSDLDLPNLTYFQAVIKETFRLHPSTPLSLPRMASESCEINGYHIPKGATLLVNVWAIARDPDEWKDPLEFRPERFLPGGERPNADVRGNDFEVIPFGAGRRICAGMSLGLRMVQLLAATLVHAFDWELADGLMPEKLNMEEAFGLTLQRAAPLMVHPRPRLSPRAY >EOY22048 pep chromosome:Theobroma_cacao_20110822:3:21083022:21086058:1 gene:TCM_014241 transcript:EOY22048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonoid 3'-hydroxylase isoform 2 MASFLLYSILSAVFLYFIFATLRKRHRLPLPPGPKPWPIIGNLPHMGPVPHHSLAALAKVYGPLMHLRLGFVDVVVAASASVAAQFLKVHDANFSSRPPNSGAKYVAYNYQDLVFAPYGPRWRMLRKISSVHLFSGKALDDFRHVRQDEVGVLVRALADAKTKVNLGQLLNVCTVNALGRVMLGKRVFGDGSGKADPEADEFKSMVVELMVLAGVVNIGDFIPALEWLDLQGVQAKMKKLHKRFDRFLSAILEEHKIKARDGSGQHKDLLSTFISLEDADGEGGKLTDTEIKALLLNMFTAGTDTSSSTVEWAIAELIRHPKILAQVRKELDSVVGRDRLVSDLDLPNLTYFQAVIKETFRLHPSTPLSLPRMASESCEINGYHIPKGATLLVNVWAIARDPDEWKDPLEFRPERFLPGGERPNADVRGNDFEVIPFGAGRRICAGMSLGLRMVQLLAATLVHAFDWELADGLMPEKLNMEEAFGLTLQRAAPLMVHPRPR >EOY23456 pep chromosome:Theobroma_cacao_20110822:3:27469537:27472431:1 gene:TCM_015345 transcript:EOY23456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein MDDTLCDFLEEPEFGETNLAGDDLFALFEGLDGLPEFPLFTPLEEMGAATQKDGEEATRLVSQKSTSSSAQQESETEPETPPKSKRQKLASSEETNPDGQQRMSHITVERNRRKQMNEHLSVLRSLMPCFYVKRGDQASIIGGVVDYINELQQVLQSLEAKKQRKVYSEVLSPRIVSSPRPSPLSPRKPPLSPRINLPISPRTPQPSSPYKPRLQQGYLSPTMTSSIEPSPTSSASSVDNVNELVANSKSPIADVEVKFSGPNLLLKTVSPRIPGQAEKIISALEDLSLEILHVNINTVDETMLNAFTIKIGIECQLSAEELAHQIQQTFC >EOY23208 pep chromosome:Theobroma_cacao_20110822:3:26644902:26650165:1 gene:TCM_015182 transcript:EOY23208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 715, subfamily A, polypeptide 1 MGFFKLSQTFLSLAMLTFLLYFFLRLLFSCWILPIRAYRKIKKNGFGGPAPSFPLGNIREMKSNKNINDSSLGSSGISHDIHSTVFPYFARWQKSHGKVFIYWLGTEPFLYIAEPEFLKKMSSGVLGKSWGKPNVFKHDREPMFGSGLVMVEGDDWVRHRHVITPAFSPANLKAMASLMVAPATKMLDRWATLINSGKPEIDVEREIIKTAGEIIARTSFGLSYESGSKVLEKLRATQITLFNSNRYVGVPFSKFMCPKKNLEAKKLGKEIDQLLLSIIDARQKSWDGSPQKDLLSLLMQGSHVDGRVGKSLTATELVDECKTFFFGGHETTALALTWTLLLLAMHPDWQNQLREEIREVIGDDEEIDFTKLAGLKKMGWVMNEVLRLYSPAPNAQRQSREDIKVDDLVIPNGTNMWIDVVAMHHDPAMWGDDVNEFRPERFKDDHLYGGCKHKMGFLPFGFGGRMCVGRNLTMMEYKVVLTLILTRFSFSPSPSYRHSPSILLSLRPRHGLPLIVQPL >EOY21248 pep chromosome:Theobroma_cacao_20110822:3:4884477:4885776:-1 gene:TCM_012666 transcript:EOY21248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRDLITVAHRGDAKVDAKPCGVSISIRGNECLSGRRGGCHEPDGSSGNSPKILGTEEGGEDPIGFRNVQGKAVSARGRCEQDGPQLDRDRCFIGTRLQTICGSMVIVASREHRRVECIPIGHICVKSNHGLLVEGFQTSFCGRSREKSYHLKNKR >EOY22746 pep chromosome:Theobroma_cacao_20110822:3:24652429:24654632:-1 gene:TCM_047009 transcript:EOY22746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase reductase MLRSLTLATSSSSSLLISKPFLSLSPKLPLSFSPLSIPFPQTKRSVSLHRAPMNILKNLGFGAKPAPSMENSAIAQGPDDDVPAPGQQFAQFGAGCFWGVELAFQRVPGVTKTEVGYSQGFMHNPSYEDVCSGTTNHNEVVRVQYDPKECSYDALLDAFWARHDPTTLNRQGNDVGTQYRSGIYYYNPEQEKAARESMEKQMKLLNRNIVTEILPATKFYRAEEYHQQYLAKGGRFGFKQSAEKGCNDPIRCYG >EOY24900 pep chromosome:Theobroma_cacao_20110822:3:32613844:32614723:-1 gene:TCM_016374 transcript:EOY24900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive (dirigent-like protein) family protein, putative MGAPPQKSYLCILFFTLFLVSQSVLATRKTLKQRQPCTRFSLYYHDNRFSGDDVANATSIAVLNATAFGNYNYGMLVIFDDPMTKDNSFFSPPAARAQGFYFYDGKTQYNAWFAFTLVFNSTEYKRLLA >EOY22483 pep chromosome:Theobroma_cacao_20110822:3:23635373:23637095:-1 gene:TCM_014641 transcript:EOY22483 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCP-like superfamily protein with MYND-type zinc finger MLQKKKQRTTRKITDKSDLFDGVPDDLVVSILCKLSSSVSCPTDFVNILLTCKRLNRLGLHPLVLSKAGSKALAVKAKNWSDSAHRFLKHCVSAGNIEACYTLGMIRFYCLQNRGSGASLMAKAAMKSHAPALYSLAVIQFNGSGGSKNNKDLRAGVALCARAAFLGHVDALRELGHCLQDGYGVRQNITEGRRLLIQANARELASSLNTVVKRQLKQQHQRRLNYQHYAYMTGSGCPLLSDFGCNVPVPEGHPVHVFLKEWFESGLGELGQGLRLCSHKGCGRPETRAHEFRRCSVCGTVNYCSRGCQALDWKLRHKVECGPMERWQEEGGNGNGGDGGAGGMEEVGEAEDLAIG >EOY21119 pep chromosome:Theobroma_cacao_20110822:3:3183518:3186564:-1 gene:TCM_012465 transcript:EOY21119 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5-like receptor kinase MKRRRKASELWRLKFIISNYLESFRIFFTYGIPSRDTTAVYYTSRTQVYFLIITVFKGTLQSGYLVAIKLLEMSKSHGQDFINEVATIGRIHHVNMVQLIGFCVEGSKHALIYDFMPNGSLDKIIFSREKNITLCWEKIFEIAIGVARGIEYLHQGCEMQILHFDIKPHNILLDENFIPKISDFGLAKLYAVDHGNVSLTAARGTLGYIAPELFYKNIGSISHKADVYSFGMLLLEMVGKRRNLNALAEQSSQVYFPSWIYDRFDKGEDVNLEDATESERKIVRKMVMVAFGCIQIKPIDRPSISKVLEMLEGEVELLEVPPKPFLLSLEGSSKDFASSNWEEESTTSTLDATAKSIEVPQM >EOY22423 pep chromosome:Theobroma_cacao_20110822:3:23389297:23398019:-1 gene:TCM_014594 transcript:EOY22423 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein MDPNTGFCYKTGTYSSLKPPIPLPPTDRPLSVAEYCLSLFHSTSTSGATTFVVNATTGQTLAYSQFVSQIHSLAYSVQKRYSLSQNDVAFILSPPSLHTPVLYFALMSLGVIVSPANPLSSNSEIAHQVQLSKPVIAFATSQASHKIPSLKHGTVLLDSPEFLSFLTQCNIDNDIIKRVKVNQSDSAAILYSSGTTGRVKGAMLTHRNLIAIMTAIHHYNTTEGGDNDNPQRSVTFFTVPLFHVFGFFMLLGAVLSADTVVLTERFEFEEMLRAVEKYKITGMPVSPPLVLAFVKSDLTKKYDLSSLQGLGCGGAPLGKEIAQRFKEKFPNVVLVQMKKGDIKLAMIIKIIFCSDLLNLESDNPHRSIDPNTGFCPQTRTFHSLRPFVPLPPPSQPLSLPQYVLSLIRSSSTPTTGDTTFAVDATNAGTLSYSQFISQIHSLAHSLRKNYSLSQNDVAFILSPPSLHVPLLYFALMSLGIVVSPANPLSSELEIAHQVQLCKPVIAFATLKTSSKLPSLKLGTVLLDSPEFLTFLTQHDVDNDFINRVHVSQHDTAAVLYSSGTTGRVKGVMLSHRNLIALIAGFYHIRHFPQERREPHPVSFFTVPLFHVFGFFMLARAFSMGQSVVFTERFEFEGMLRAIEKYRITYMPVSPPLVVALSKSDLTKKYDLSSLLLLGCGGAPLGKEVVERFKEKFPAVELVQGYGLTETGGGATRVIGPEEAARYGTVGRLAENMEAKIVDPVTGEALPPGQRGELWLRGPTVMKGYVGDENATAETLDSEGWLKTGDICYFDSEGFLYVVDRLKELIKYKAYQVPPAELEHLLHSHPEIADAAVIPYPDEEAGQIPMAYVVRNPGSSITKSQVMDFIAKQVAPYKKIRRVAFVNSIPKSPAGKILRRELVNHSLSGGLSKL >EOY21539 pep chromosome:Theobroma_cacao_20110822:3:11232846:11235539:1 gene:TCM_013286 transcript:EOY21539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIKTKTFKRRKFARLALTSTQQSFKFANPQPIPLDSPSRSSPKPLNVYFATEESSPSSDSDYNLLDDAKKREKNRKTRE >EOY22128 pep chromosome:Theobroma_cacao_20110822:3:21579287:21582341:1 gene:TCM_014329 transcript:EOY22128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reversibly glycosylated polypeptide 3 MAEPATISPATLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKNIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPTGKDINALEQHIKNLLSPSTPFFFNTLYDPYRAGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAIMTIPKGTLFPMCGMNLAFNRELIGAAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELIPFFQSVTLPKDCTTVQKCYIEISKQVKAKLGKVDEYFIKLADAMVTWIEAWDELNPSGAKSAELPNGAAK >EOY22603 pep chromosome:Theobroma_cacao_20110822:3:24150927:24153896:-1 gene:TCM_014729 transcript:EOY22603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 3 FSSLLSPDVYINLGIFDRHRPTFLDCYFWLPSSIISSLSLCLSHHFLETLHFPLFELQKPLPHMAAFSFQPHPFLLDSIFLPNTPTKVSGFMEEGNINSNCFSQFYPPEPIQESPLDPKFHESSCLDHSSKLAHSDNEPSVTKKQSTDDSTVVDKLESGEQVTQNVTLTDRKRKTRSRTTLNSAQSKDAKEGKSKKQRKRNDVLKNEKKESKADKKDQKKATEEPPTGYIHVRARRGQATDSHSLAERVRREKISERMKILQRLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASVNPMFYDFGVDLEALMVRPERVNGSIASPLPSLQQCNPTQPTAFADTTTTTFVPANNYPLLDASAALLLQQGQRPNVFSQDNGSLLWDVEDQRQKFLNSSGLNDNLCCFH >EOY22604 pep chromosome:Theobroma_cacao_20110822:3:24151717:24153994:-1 gene:TCM_014729 transcript:EOY22604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 3 MAAFSFQPHPFLLDSIFLPNTPTKVSGFMEEGNINSNCFSQFYPPEPIQESPLDPKFHESSCLDHSSKLAHSDNEPSVTKKQSTDDSTVVDKLESGEQVTQNVTLTDRKRKTRSRTTLNSAQSKDAKEGKSKKQRKRNDVLKNEKKESKADKKDQKKATEEPPTGYIHVRARRGQATDSHSLAERVRREKISERMKILQRLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASVNPMFYDFGVDLEALMVRPELITILFWMLQLHFYFSRGRGPMSSLRIMVVYCGM >EOY22601 pep chromosome:Theobroma_cacao_20110822:3:24151075:24153994:-1 gene:TCM_014729 transcript:EOY22601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 3 MAAFSFQPHPFLLDSIFLPNTPTKVSGFMEEGNINSNCFSQFYPPEPIQESPLDPKFHESSCLDHSSKLAHSDNEPSVTKKQSTDDSTVVDKLESGEQVTQNVTLTDRKRKTRSRTTLNSAQSKDAKEGKSKKQRKRNDVLKNEKKESKADKKDQKKATEEPPTGYIHVRARRGQATDSHSLAERVRREKISERMKILQRLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASVNPMFYDFGVDLEALMVRPERVNGSIASPLPSLQQCNPTQPTAFADTTTTTFVPANNYPLLDASAALLLQQGQRPNVFSQDNGSLLWDVEDQRQKFLNSSGLNDNLCCFH >EOY22602 pep chromosome:Theobroma_cacao_20110822:3:24151194:24153944:-1 gene:TCM_014729 transcript:EOY22602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative isoform 3 MAAFSFQPHPFLLDSIFLPNTPTKVSGFMEEGNINSNCFSQFYPPEPIQESPLDPKFHESSCLDHSSKLAHSDNEPSVTKKQSTDDSTVVDKLESGEQVTQNVTLTDRKRKTRSRTTLNSAQSKDAKEGKSKKQRKRNDVLKNEKKESKADKKDQKKATEEPPTGYIHVRARRGQATDSHSLAERVRREKISERMKILQRLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASVNPMFYDFGVDLEALMVRPERVNGSIASPLPSLQQCNPTQPTAFADTTTTTFVPANNYPLLDASAALLLQQGQRPNVFSQDNGSLLWDVEDQRQKFLNSSGLNDNLCCFH >EOY21634 pep chromosome:Theobroma_cacao_20110822:3:14936885:14959831:1 gene:TCM_013607 transcript:EOY21634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFEEKMKEAMARGSHPSKVSGVRDFPPSCGRGATPVSRKECVRIQQAWIKDKIGKSQEVEEDREEDSSMCSDQGDDDPKDT >EOY22245 pep chromosome:Theobroma_cacao_20110822:3:22598730:22605380:-1 gene:TCM_014470 transcript:EOY22245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MFKNTFQSGFLSILYSLGSKPLQIWDKEVVNGHVKRPHDEDIQSNVLEIIGSNVQSTYITCPVDPAATLGIKLPFLVMIVKNLKKYFTFEIQILDDKNVRRRFRASNFQAVTRVKPYICTMPLRLDEGWNQIQLNLADYTRRAYGTNYVETLRVQVHANCRVRRIYFSDRLYSEEELPPEFKLYLPMQKA >EOY22246 pep chromosome:Theobroma_cacao_20110822:3:22599290:22605322:-1 gene:TCM_014470 transcript:EOY22246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 LISEKKPLLPSLNALFPTAKPWKMFKNTFQSGFLSILYSLGSKPLQIWDKEVVNGHVKRPHDEDIQSNVLEIIGSNVQSTYITCPVDPAATLGIKLPFLVMIVKNLKKYFTFEIQILDDKNVRRRFRASNFQVCCHSSKAIHLYYAAEIG >EOY25021 pep chromosome:Theobroma_cacao_20110822:3:32985846:32987417:-1 gene:TCM_016463 transcript:EOY25021 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MVFKLFFFFFFLQLSISSESCITDCGNQEIHFPFQLTNQPDKERCGYRGFGLSCKNQSQTIITFPSSGDFSVEMIDYYQQNIRISDPAGCLPKRLLEGFDPSGPPFEQIYRMNFTLFNCSDDAPALQLELRPIPCLSGENNSVVAVPVDRLDLFASLSSTCPNRTTVSLSVWESFKLWEKLGDRIILTWKEPDCKLCLKYQGTCQFKKHAGQEVGCSVVIDQGSSRIAKYFMFLSMISVFCILVLVICIPSMIMRCIRSRRLANTEINDSTILQSTAVKRGLDKRAIEMYPTTLLGESPQLPKPNDNTCSIKPRTLLRVYQVACIIFMLTA >EOY20938 pep chromosome:Theobroma_cacao_20110822:3:1870123:1870799:-1 gene:TCM_012261 transcript:EOY20938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVDVFTSWSVVQKKVVYAFVRYKEEGKQMVTIPDGDGMLIPGRRVMVRNANSFEKKVGRLVTTQSMFQ >EOY21109 pep chromosome:Theobroma_cacao_20110822:3:3078474:3080554:1 gene:TCM_012446 transcript:EOY21109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANDAENEKSIPDSQGHSDGPVTLLRRNILLYTMMMFTAIEILVFFTLITNSRIAKALLCIAAFMLLHRFNMILLTIFGSFQLDEFLQQFLSSHIDKRSISKCTEMITIVELAKLFERVKVLTAGIQQHISNFMVENVWEFYGAREKLVKDGLQAYSSGRYSNICKGLQQFCITISADQLKTFSVVLTSLEVLAFICVFFRPTKILYIGYIFLPTLLIWHEATSSYRKWRALAASK >EOY24277 pep chromosome:Theobroma_cacao_20110822:3:30378608:30381821:1 gene:TCM_015927 transcript:EOY24277 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MFNLNRTIATPKLWNKKKSLQQQQPRRSPFFFLSLSLLSLLVFFFLTYISIPKSLFSTSSKTVNAALSPQYPHCTTQIPGEKFLWYAPHSGFSNQLSEFKNAILMAGILNRTLIVPPILDHHAVVLGSCPKFRVQSAKEIRLSVWDHINELIRSERLLCFNRLCSTLWRQHVVKFDWRSQRYITRDIQESSITNQLQYWLTPFGVPSFCFVYIFTSLCRYVSMADIIDISSLLSSSLVRAIDFRVFVSLWCGLNMDLVCSNELNAQQSMVGSLRQCGSLLSGIDGNIDRCLFAVDEDCRTTVWTYQNDEVDGVLDSFQPDEQLKNKKKISYVRRRRNVYKTLGPGSEAESATVLAFGSLFTAPYKGSDLYIDIQKAPGDLKIKSLIKKIEFLPFVPEIISSGKQFAMQSIKAPFLCAQLRLLDGQFKNHWKATFLGLKQKLDSLRQAGSRPIHIFVMTDLPQGNWTGSYLGDLARDSANFKLYFLREDLFVMKTAKKLALAGHGLRFESVPASLDAVAKLEKHCSPDIVPDVLLYIEETVCSCASLGFVGTAGSTIAETIEVMRKYGSCSIPTPTTS >EOY24278 pep chromosome:Theobroma_cacao_20110822:3:30378365:30381777:1 gene:TCM_015927 transcript:EOY24278 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MFNLNRTIATPKLWNKKKSLQQQQPRRSPFFFLSLSLLSLLVFFFLTYISIPKSLFSTSSKTVNAALSPQYPHCTTQIPGEKFLWYAPHSGFSNQLSEFKNAILMAGILNRTLIVPPILDHHAVVLGSCPKFRVQSAKEIRLSVWDHINELIRSERYVSMADIIDISSLLSSSLVRAIDFRVFVSLWCGLNMDLVCSNELNAQQSMVGSLRQCGSLLSGIDGNIDRCLFAVDEDCRTTVWTYQNDEVDGVLDSFQPDEQLKNKKKISYVRRRRNVYKTLGPGSEAESATVLAFGSLFTAPYKGSDLYIDIQKAPGDLKIKSLIKKIEFLPFVPEIISSGKQFAMQSIKAPFLCAQLRLLDGQFKNHWKATFLGLKQKLDSLRQAGSRPIHIFVMTDLPQGNWTGSYLGDLARDSANFKLYFLREDLFVMKTAKKLALAGHGLRFESVPASLDAVAKLEKHCSPDIVPDVLLYIEETVCSCASLGFVGTAGSTIAETIEVMRKYGSCSIPTPTTS >EOY21174 pep chromosome:Theobroma_cacao_20110822:3:3853960:3856717:1 gene:TCM_012558 transcript:EOY21174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein MKESQTNPNPRMESKKSIPPYMKAISGSLGGIVEACCLQPIDVIKTRLQLDRMGNYKGIIHCGTTVSRTEGVRALWKGLTPFATHLTLKYALRMGSNAMLQSAFKDSETGKLSNRGRVLAGFGAGVLEALVIVTPFEVVKIRLQQQKGLSPELLKYKGPVHCASTIIREEGLFGLWAGAAPTVMRNGTNQAAMFTAKNAFDVILWKKHEGDGKVLQPWQSMISGFLAGTAGPVCTGPFDVVKTRLMAQSRDGGELKYKGMIHAIRTIYAEEGLRALWKGLLPRLMRIPPGQAIMWAVADQITGLYERRYLHSAAL >EOY22797 pep chromosome:Theobroma_cacao_20110822:3:24833688:24835691:1 gene:TCM_014862 transcript:EOY22797 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MISMNRQEVQNSRTKPAEMIGNEDDILINGSSKFPSALFLRVPPSSNKPKYHFLSLDGNPVRDPIRSLTFADDSAGISILQSCNGLLLCRSNRRTGEYNRNYYIYNPTTNKYTILPQPANRIPNTIFCVILAFDPSRSSYYKVVFVRSSRSSPYLYQLEIYSSETQLWSYSSEIPKVNYSRWAYCCGAIYWMSYSRNFLCFEVDQERFREIPMPEIPDDWGQQPICRYFRELGGRLHLILTNGRHSTRQFDVYEMEKDLSGWFVKYRVDLNALISQHPEMTRSYAHPSDWDYHAFSVLAVVCKESEGSFMVLHIPGKAISYSFKDRTCTLLHDFAPGCTDIEGCTTFEGKDVYPYIGTFARVGSWPLANVG >EOY22872 pep chromosome:Theobroma_cacao_20110822:3:25140543:25146327:-1 gene:TCM_014916 transcript:EOY22872 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MALKTISTFLSPLSLPNHHNFSNFNTKSRNIYRKPTLVCCKSTQSQTQNRFPLSETQLLSSEPDGTGAAAPTRGDRFLERQHADEAAKLVIKEIKKSKKKKKKVLKVNTAVASCYGCGAPLQTSELDAPGYVDTDTYELKKKHHQLRTVLCGRCRLLSHGQMITAVGGNGGYPGGKQFVSADELREKLSSLRHEKALIVKLREMILVIMISQEVQLTNRDYLQVDIVDFNGSFVSRVRDLTGANPIILVVTKVDLLPKGTDFNCVGDWVVEATTKKKLNVLSVHLTSSKSLVGIAGVASEIQKEKKGRDVYILGSANVGKSAFISALLKMMAQRDPAAAAAQKYKPIQSAVPGTTLGPIQIDAFLGGGKLFDTPGVHLHHRQAAVVHSEDLPVLAPQSRLRGGLQKWDGREIQLHWIKRVLNILGWACQNRCLEGSARNVFNILWTKEVADSCCTH >EOY22871 pep chromosome:Theobroma_cacao_20110822:3:25140810:25146296:-1 gene:TCM_014916 transcript:EOY22871 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MALKTISTFLSPLSLPNHHNFSNFNTKSRNIYRKPTLVCCKSTQSQTQNRFPLSETQLLSSEPDGTGAAAPTRGDRFLERQHADEAAKLVIKEIKKSKKKKKKVLKVNTAVASCYGCGAPLQTSELDAPGYVDTDTYELKKKHHQLRTVLCGRCRLLSHGQMITAVGGNGGYPGGKQFVSADELREKLSSLRHEKALIVKLREMILVIMISQEVQLTNRDYLQVDIVDFNGSFVSRVRDLTGANPIILVVTKVDLLPKGTDFNCVGDWVVEATTKKKLNVLSVHLTSSKSLVGIAGVASEIQKEKKGRDVYILGSANVGKSAFISALLKMMAQRDPAAAAAQKYKPIQSAVPGTTLGPIQIDAFLGGGKLFDTPGVHLHHRQAAVVHSEDLPVLAPQSRLRGQSFPVASKNGMAEKFNSIGLKGFSIFWGGLVRIDVLKVLPETCLTFYGPKRLQIHVVPTDEADEFYRKELGVKLTPPTGKDKADEWRGLETMQQLQIKFEDPERPASDVAISGLGWIAIEPKRKSLGISDNNFAETIKELHLAVGVPRPVEIFVRPPIPVGKAGAEWYQYRELTEKEEEVRPKWYF >EOY22873 pep chromosome:Theobroma_cacao_20110822:3:25140409:25146414:-1 gene:TCM_014916 transcript:EOY22873 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MALKTISTFLSPLSLPNHHNFSNFNTKSRNIYRKPTLVCCKSTQSQTQNRFPLSETQLLSSEPDGTGAAAPTRGDRFLERQHADEAAKLVIKEIKKSKKKKKKVLKVNTAVASCYGCGAPLQTSELDAPGYVDTDTYELKKKHHQLRTVLCGRCRLLSHGQMITAVGGNGGYPGGKQFVSADELREKLSSLRHEKALIVKLVDIVDFNGSFVSRVRDLTGANPIILVVTKVDLLPKGTDFNCVGDWVVEATTKKKLNVLSVHLTSSKSLVGIAGVASEIQKEKKGRDVYILGSANVGKSAFISALLKMMAQRDPAAAAAQKYKPIQSAVPGTTLGPIQIDAFLGGGKLFDTPGVHLHHRQAAVVHSEDLPVLAPQSRLRGQSFPVASKNGMAEKFNSIGLKGFSIFWGGLVRIDVLKVLPETCLTFYGPKRLQIHVVPTDEADEFYRKELGVKLTPPTGKDKADEWRGLETMQQLQIKFEDPERPASDVAISGLGWIAIEPKRKSLGISDNNFAETIKELHLAVGVPRPVEIFVRPPIPVGKAGAEWYQYRELTEKEEEVRPKWYF >EOY23140 pep chromosome:Theobroma_cacao_20110822:3:26345296:26347163:-1 gene:TCM_015126 transcript:EOY23140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVVLSFAWPELVGLTKAEMVLPQGTKLNDSKYGFCFSGVYVVVPPWAMPLSFSADLPLCLLCWWHPYDVQQAFSMTSLFADLGSPLCCGYLCLSMGVYGLLRPLCSCRFRVVQGFVPPRDVFTSSFQGRCVSFA >EOY24188 pep chromosome:Theobroma_cacao_20110822:3:30020245:30022434:1 gene:TCM_015859 transcript:EOY24188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat protein, putative MDNVLIEASEEEKINTLYQLFKNDAGFLKRIDETMFEDTPLHLAASDGETCFAVEVMNLMPSFARKLNKDGLSPMHLALLKGHSELVLLLLRADRDLVRVKGRGGMTPLHYATQNGSIDLLADFLAACPKSIEDLTVQGETVLHIAVKNNMLEALEVLVGWLKRVCHEDAFSWRTDILNWRDKQGDTVLDIAAIQLLYEINARNSKGKTALGTLQHQTKMDTRVVRQMLRKTRVSKPSSPKNTKTLANYLRSKTTFDERVAVYITRQRMKIAEDLRNALLVVAGVIVAATFHAVFSPPGSFRQENGNPTIMIDGTYSPVTDASASGESPSNDAGKSVMDNGEFIIFSTFNTFVLCTVIGILGLLLSDGLFGVNMMLMLIYLLVCYAVSIFVISPKMNIAVTDLVLLSLFIVFLYTVLLIYSRGKRKLQQLNYNANKCPKEALADEVQESGARTASDESSAQNITSA >EOY22244 pep chromosome:Theobroma_cacao_20110822:3:22594217:22598525:-1 gene:TCM_014469 transcript:EOY22244 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MAEHIVSLVIENIASQMVDEAVSLARVRDQVEWIQGELRRMLCFLKDADAKQDGDERVRNWVADIREVAYDAADVIDSYILKKMRQKEKAPIRLFNRYPFFLNELVARYKLNKQISRIKLKIHDISNGRSTYGIENIGKRVEGTSFAVNSLRERRRSYPHSSEEETVGTDEDIKILEDQLINGELRLSIISIIGMAGLGKTTLAKKIYGSSNINKYFDCCAWIYVSQEYKAGDILRDLCRRVMGLGKAELERMHREEMEEELSSFLEQRRFIVVFDDIWNKEAWDDLKPVFPDTKNGSRIIFTTRFRDVALHADPRSRPHELCLLSDEDSWKLLSKKICLEWNAMTSLPAWTEELGKQIVKKCRGLPLAIVVLGGQLSRREATYEEWLKVLQSAHWQLLQDPTHCIDILALSYHDLPYYLKPCFLYFGLFPEDFEISVRSLNLLWVAEGFVQPRGQEPLEDVAEDYLEELVGRSMVQIAAKKFNGRIKAIRIHDLLRELAIKKAKEDRFFDIIHGDVKDCFLTRPRRLSTSSGITPKARDSSRIRSLLVFDQNEPILKDLKKFKLLRVLGLESVHIGLLDSDVGNLIHLRYLGLEGTWLKRLPSSICRLLNLQTLDLRSTLVDPIPVSIWKLPELRHLFFNKIREMVVKPPKDVCLFSLQTLQGLCIGQTSSVELGLDKLTTLRHLSLVGHFTLQEEAFRRWIFNSKGLQSLKLDARTRREDVTMVTIPGFMDFSSHIRLNKLYLGGLMHKLFDVQDFPPNLTELTLHGSFLMEDPMVTLEKLPSLRVLKLKHSAYVGKRMVCSSGGFPQLQFLKLSFLYSVGAWRIEEGAMANLKELHIVECKLLRIVPRGLWPVTTLSNLKLGYLPHDFEMRARDRKGENWYRIEHVLPV >EOY21889 pep chromosome:Theobroma_cacao_20110822:3:19464658:19465927:1 gene:TCM_014050 transcript:EOY21889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-harvesting chlorophyll-protein complex I subunit A4 MATVSTQASAAVFRPRASKTRFLTGSSSKLNRKVCFKPTASTTPSSFKVEAKKGEWLPGLPSPAYLNGSLPGDNGFDPLGLAEDPENLKWYVQAELVNSRWAMLGVAGMLLPEVFTKIGIINAPQWYDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKQYSLPPHECGYPGSIFNPLNLAPTPEAKEKELANGRLAMLAFLGFVVQHSVTGKGPFDNLLQHLSDPWHNTIINTIRGY >EOY21272 pep chromosome:Theobroma_cacao_20110822:3:5421735:5424162:1 gene:TCM_012713 transcript:EOY21272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Winged-helix DNA-binding transcription factor family protein, putative isoform 1 MDPSLSSIPIDPLPPIAPPPIIPAVSTAVANPTTTAGLPPNLSHPPYSEMISEAIEALKERNGSSKRAIAKYIESAYKDLPPTHSALLTHHLKRLKNNGILVMVKKSYKLATAARSDASLPDSAPPHPPDASPGPKRGRGRPPKPKPTIPTVDPNSQQPVPLVDGPKKSPGRPRKDGPAGPLGPRKGRGRPPKSGPKKSPGRPRKPKTVRSVVGANAVKRGRGRPPKALTQLPPSAVLPIQVQPMAVPYADAPAAVAPILPRPRGRPKGAAGAAGAVVPGKRRGRPPKIGGVSTNPIKPKKTTGKPVGRPKKTTEGADTKALAAAYGEAKRKLEFFVSLMISFMASSLL >EOY21271 pep chromosome:Theobroma_cacao_20110822:3:5421735:5424248:1 gene:TCM_012713 transcript:EOY21271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Winged-helix DNA-binding transcription factor family protein, putative isoform 1 MDPSLSSIPIDPLPPIAPPPIIPAVSTAVANPTTTAGLPPNLSHPPYSEMISEAIEALKERNGSSKRAIAKYIESAYKDLPPTHSALLTHHLKRLKNNGILVMVKKSYKLATAARSDASLPDSAPPHPPDASPGPKRGRGRPPKPKPTIPTVDPNSQQPVPLVDGPKKSPGRPRKDGPAGPLGPRKGRGRPPKSGPKKSPGRPRKPKTVRSVVGANAVKRGRGRPPKALTQLPPSAVLPIQVQPMAVPYADAPAAVAPILPRPRGRPKGAAGAAGAVVPGKRRGRPPKIGGVSTNPIKPKKTTGKPVGRPKKTTEGADTKALAAAYGEAKRKLEFFQLKVKQAVGALKPQFSSESNISVIGAIQELEGLAAMDIGTPSTEEAQAPPPPPPTQPPVTQNEGQVH >EOY22082 pep chromosome:Theobroma_cacao_20110822:3:21262139:21267388:-1 gene:TCM_014275 transcript:EOY22082 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein isoform 2 MDCLRVNATTSKCFVPFLFLSLIAGCAARPFYPLPSKTSNENRQPIQTSRPYNIAHRGSNGEIPEETAAAYMRAIEEGADFIETDILSSKDGVLICFHDVTLDETTDVTKHKDFANRKRTYEVQGVNTTGFFTVDFTLEELKKLRVKQRYIFRDQRYNGKFPIITFEEFISIALDAPRVVGIYPEIKNPVFINQRVKWPDGKRFEDKFVETLKKYGYRGSYMSKDWFKQPLFIQSFAPTSLVYISNLTDSPKIFLIDDVTIPTQDTNQQSYWEITSDDYLDFIKEYVVGIGPWKDTVVPVKDNYLQTPTDLVARAHSHNLQVHPYTYRDENMFLHFNFHQDAYQEYDYWLTKIGVDGLFTDFTGSLHKFQEWTSPLSQNDGSDKGSASKLLDKIELLITSYKNG >EOY22081 pep chromosome:Theobroma_cacao_20110822:3:21261923:21267716:-1 gene:TCM_014275 transcript:EOY22081 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein isoform 2 MDCLRVNATTSKCFVPFLFLSLIAGCAARPFYPLPSKTSNENRQPIQTSRPYNIAHRGSNGEIPEETAAAYMRAIEEGADFIETDILSSKDGVLICFHDVTLDETTDVTKHKDFANRKRTYEVQGVNTTGFFTVDFTLEELKKLRVKQRYIFRDQRYNGKFPIITFEEFISIALDAPRVVGIYPEIKNPVFINQRVKWPDGKRFEDKFVETLKKYGYRGSYMSKDWFKQPLFIQSFAPTSLVYISNLTDSPKIFLIDDVTIPTQDTNQSYWEITSDDYLDFIKEYVVGIGPWKDTVVPVKDNYLQTPTDLVARAHSHNLQVHPYTYRDENMFLHFNFHQDAYQEYDYWLTKIGVDGLFTDFTGSLHKFQEWTSPLSQNDGSDKGSASKLLDKIELLITSYKNG >EOY23787 pep chromosome:Theobroma_cacao_20110822:3:28590385:28591646:1 gene:TCM_015572 transcript:EOY23787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl-alcohol dehydrogenase, putative MLRHNMNQPGKYIEVIGLGGLGHMAVKFGKAFGLHVAVFSTSIAKKEEALNLLGADKIVVSSDQEQMMGLEKSLVFRVGTATGDHPFNPYMSLLKSFKRSQVKSNSVLQVFMQACNWFIAIKL >EOY21315 pep chromosome:Theobroma_cacao_20110822:3:6096897:6114821:-1 gene:TCM_012793 transcript:EOY21315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protection of telomeres 1 protein isoform 1 MDDYKFLKIEDAIACINQKVNLIGAILDFSVPQKTKGTDYFCKLKIIDESHPKCGIPVHLFAQHMDALPQVASIGDIIHLSRVMMKTHEGDVYAIFNKRFSSFALYEGKNGEGFHPYQVSLRFHAREQDEKLIADLRKWLADSKVIDVPINFILLREINEVDTINIACKVLHICEIAKDEWMVFLWDGTDAPPLSIHRKLEDEMHNQLPLHLEPLPLSRDVLCTFPTVGTILRVTIDENCRKYILQLLKIGQWVKLFNVPCKVREGLWYGVLTPSTKIQDMPNEDTLISERQSNYDHRLSCKLERMPYWSFPWPSRITEVSCDDVPFATLMDVLTCRKVVRKKFRCVVRFVAAIPWQVEDFCSPRGTYRVRFTVEDPTARIHAFAYAEDGEKFFNGYLSADVLSSKLNKLLGVAISADGKEIKDAARNPPWVQCCLISHYLKCCKICDTKLVGQQV >EOY21314 pep chromosome:Theobroma_cacao_20110822:3:6097395:6115014:-1 gene:TCM_012793 transcript:EOY21314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protection of telomeres 1 protein isoform 1 MDDYKFLKIEDAIACINQKVNLIGAILDFSVPQKTKGTDYFCKLKIIDESHPKCGIPVHLFAQHMDALPQVASIGDIIHLSRVMMKTHEGDVYAIFNKRFSSFALYEGKNGEGFHPYQVSLRFHAREQDEKLIADLRKWLADSKVIDVPINFILLREINEVDTINIACKVLHICEIAKDEWMVFLWDGTDAPPLSIHRKLEDEMHNQLPLHLEPLPLSRDVLCTFPTVGTILRVTIDENCRKYILQLLKIGQWVKLFNVPCKVREGLWYGVLTPSTKIQDMPNEDTLISERQSNYDHRLSCKLERMPYWSFPWPSRITEVSCDDVPFATLMDVLTCRKVRKKFRCVVRFVAAIPWQVEDFCSPRGTYRVRFTVEDPTARIHAFAYAEDGEKFFNGYLSADVLSSKLNKLLGVAISADGKEIKDAARNPPWVQCCLISHYLKCCKICDTKLVGQQV >EOY21313 pep chromosome:Theobroma_cacao_20110822:3:6097013:6114922:-1 gene:TCM_012793 transcript:EOY21313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protection of telomeres 1 protein isoform 1 MDDYKFLKIEDAIACINQKVNLIGAILDFSVPQKTKGTDYFCKLKIIDESHPKCGIPVHLFAQHMDALPQVASIGDIIHLSRVMMKTHEGDVYAIFNKRFSSFALYEGKNGEGFHPYQVSLRFHAREQDEKLIADLRKWLADSKVIDVPINFILLREINEVDTINIACKVLHICEIAKDEWMVFLWDGTDAPPLSIHRKLEDEMHNQLPLHLEPLPLSRDVLCTFPTVGTILRVTIDENCRKYILQLLKIGQWVKLFNVPCKVREGLWYGVLTPSTKIQDMPNEDTLISERQSNYDHRLSCKLERMPYWSFPWPSRITEVSCDDVPFATLMDVLTCRKVRKKFRCVVRFVAAIPWQVEDFCSPRGTYRVRFTVEDPTARIHAFAYAEDGEKFFNGYLSADVLSSKLNKLLGVAISADGKEIKDAARNPPWVQCCLISHYLKCCKICDTKLVGQQV >EOY23816 pep chromosome:Theobroma_cacao_20110822:3:28670983:28672537:1 gene:TCM_015592 transcript:EOY23816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of the inner mitochondrial membrane 13 MDSFSTGSSGTGSPQISTEEFMDQMKLQLAQAYAQEFLETVREKCFDKCITKPGSSLSGSESSCISRCVDRYIEATGIISKALFNAPR >EOY25161 pep chromosome:Theobroma_cacao_20110822:3:33444977:33451170:1 gene:TCM_016563 transcript:EOY25161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock transcription factor A1D isoform 1 MDGMSSSSNGQNDASTTGGGAQTAAQPQPTPQPVAVQSANAPPPFLSKTYDMVDDPATDAIVSWSPTNNSFVVWNPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLRNISRRKPAHGHGHQQTQQSHGQSSSVGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQATDNQLQTVVQRLQGMEQRQQQMMSFLAKAVQSPGFLAQFVQQQNESNRRITEANKKRRLKQDGIIDNEHSASSDGQIVKYQPMMNEAKALLRQFMKGDASPRMDSFNNNNENFLIGDGLSSSSGIDGGNSSIRVSGVTLQEVPPTSGQSTYIPATSEISGHCPSTAITEVQSSPSETTSEKITTAQFPDVSALAGVQKPPSISVSQTDIIMPELSQIPDMVPESIVDIPTENYMGSETGNGGFIDPATFVVDGSVPIELDDIAPDPDIDALLDNSTFWADLLVHSPLPDDIESPSMDGKSKGNEGQPIDNGWDKSQHMDKLTEQMGLLRSDNKGV >EOY25160 pep chromosome:Theobroma_cacao_20110822:3:33444823:33451872:1 gene:TCM_016563 transcript:EOY25160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock transcription factor A1D isoform 1 MDGMSSSSNGQNDASTTGGGAQTAAQPQPTPQPVAVQSANAPPPFLSKTYDMVDDPATDAIVSWSPTNNSFVVWNPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLRNISRRKPAHGHGHQQTQQSHGQSSSVGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQATDNQLQTVVQRLQGMEQRQQQMMSFLAKAVQSPGFLAQFVQQQNESNRRITEANKKRRLKQDGIIDNEHSASSDGQIVKYQPMMNEAKALLRQFMKGDASPRMDSFNNNNENFLIGDGLSSSSGIDGGNSSIRVSGVTLQEVPPTSGQSTYIPATSEISGHCPSTAITEVQSSPSETTSEKITTAQFPDVSALAGVQKPPSISVSQTDIIMPELSQIPDMVPESIVDIPTENYMGSETGNGGFIDPATFVVDGSVPIELDDIAPDPDIDALLDNSTFWADLLVHSPLPDDIESPSMDGKSKGNEGQPIDNGWDKSQHMDKLTEQMGLLRSDNKGV >EOY20620 pep chromosome:Theobroma_cacao_20110822:3:352111:354192:1 gene:TCM_011992 transcript:EOY20620 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDH7B [Source:Projected from Arabidopsis thaliana (AT5G62575) UniProtKB/TrEMBL;Acc:A0A178UBI4] MAFFLKKSSLANHFRSSYQKTEGSLAAPSRALHVEPGARERALLAEDPSLRRFKSYKKSVWRVKRIGDVLTVVVVAGCCYEIYVKAVMREEARNQAKAAGGSK >EOY24527 pep chromosome:Theobroma_cacao_20110822:3:31343938:31347109:-1 gene:TCM_046747 transcript:EOY24527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family isoform 1 MSSTIGQLSIQRPRFNHVYLQNISFHLPKQVRFPVLPLNSHVPVPVSSISPFGSSRLLVCKCASEKFSGSFERDPGQEYGLDSNQTVKQKKASIVEILKQSNSILPHVVLASTVLALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFIEAFKRPYAIFAGYVGQFVVKPLLGYIFGMIAVTVFCLPTPLGAGIMLVSCVSGAQLSNYATFLTDPPLAPLSIVMTSLSTATAVFVTPMLSLLLIGKRLPVDVMGMVSSILQIVIAPIAAGLLLNRLFPRLCEAIRPFLPPLSVLDTAFCVGAPLAININSVLSPFGLTVSLLIVAFHLSAFIAGFFLSGFVFHKAPDVKALQRTLSFETGMQSSLLALALANRFFQDPLVGVPPAISTVIMSLMGFSLVMLWAKKKE >EOY24528 pep chromosome:Theobroma_cacao_20110822:3:31343827:31347081:-1 gene:TCM_046747 transcript:EOY24528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family isoform 1 MFLSLSVPFLRLGALDFWCVNVHQRSFQVLLKGIRVRNMDLTQIRYYAPALGFLMFAVGVNSSEKDFIEAFKRPYAIFAGYVGQFVVKPLLGYIFGMIAVTVFCLPTPLGAGIMLVSCVSGAQLSNYATFLTDPPLAPLSIVMTSLSTATAVFVTPMLSLLLIGKRLPVDVMGMVSSILQIVIAPIAAGLLLNRLFPRLCEAIRPFLPPLSVLDTAFCVGAPLAININSVLSPFGLTVSLLIVAFHLSAFIAGFFLSGFVFHKAPDVKALQRTLSFETGENSFSFPCCC >EOY25154 pep chromosome:Theobroma_cacao_20110822:3:33435893:33440125:1 gene:TCM_016561 transcript:EOY25154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MGIWSYSKICLVQSGLLRDVVVHYKKCLLRVYYSASSALLLEDHVFDCSPEVVSVNNEVEELQVPRKTFEFCRNPRLTPFVVRVFKSLNWDIAREIRFNMAAKMYGFDHSMYAFRIIIHIFAMAGMQMEAHALLRDIVCYYKEVKTDMFELLLYLLDSPEHVHRSADVFNVLIKVFASNSMLENGIDVFVQAKKIGLEPNIMSCNFLLKCLVEANRGEFVRSLFEDMKNSGPSPNVYTYTIMMNFYCNGYCGRDVDVGQANNLLEDMERGGKNPSVVTYSTYIGGLCRVGCVELALDFIRKLCFGNQPINSFCYNAIIYGFCQKGEPYEGLKVLEEMKHCGISPDVHSYSILIDGFCKKGDCEKGINLIDEMIVNGMKPSLVTYTSLFHGLCKSGLADVSLNLFRNLANDGYEYDLAAYSVLLKGFCLQGDVDSAMELFEGMFSNSLIPTTNSFNRLIHGFCKMGLLDKALELFNIMLQSGVSPTIFTCNVIADGYCKAGHLEEALKLINEMHEFGIFPNSYTYNGIIKRLCMQSYSGKAWELLPQMIKKNILHNVHCNILMNGFAEQSKPKKALMLYARMLKLGFTRTTITHTILINIFSQRCKMYEAYSLFKDMIAKGLIPDTISYTSVIAGFCRVRDMKKAWALYTEMLRRGYSPNVVTYTCLIDGFCHIHRMDMANLLIDEMKRREINPDVVTYTALISGYRRLGDIDRAHELFAEMKSKGIVPDDAAYSALGEDNGMTTYN >EOY21951 pep chromosome:Theobroma_cacao_20110822:3:20008822:20010186:-1 gene:TCM_014119 transcript:EOY21951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKALTKAGSFWISKKAKAELSNITEDLSSLSNTVEEKAKWIFNKLKGKPVKALPDLLREHNLPPGLFPRNITCYEFDESKSKLIVYFPSPCEVRFKDASVVRYATRVKATLSRGKLSGIEGMKTKVLVWVKVTSVNVESSKSDKVWFMAGVKKSRAKDAYQMPGDAVKVEEF >EOY23732 pep chromosome:Theobroma_cacao_20110822:3:28382996:28387179:-1 gene:TCM_015532 transcript:EOY23732 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-leucine-resistant-like 3 MAAITTWIAHVFLSVLFSLAYLSVIRGRLDQDYRDQLLSSAQKDKDWLVSIRRQIHENPELCFQEHNTSALIRQQLDQIGIPYSYPIAGTGIVAQIGSGSKPVVALRADMDALPLQELVEWEHKSKIDGKMHACGHDAHTTMLLGAAKLLNQRKDRLKGTARLLFQPAEEGGAGASHMIREGALGDAEAIFGMHIDNGSPTGSITLVPGPVLAATCFFEAKIEGVGGHAAGPHSTVDPIVAASFAILALQQLTSREADPLHSQVLSVTLIRGGSAFNTIPPYVEFGGTLRSLTTDGLHKLQQRLKEVIKGQAAVHRCNASIDMKEEEFPPYPAVFNDDSLHQHVQKVGRLLLGPENVKVGKKVMAGEDFSFYQELIPGFMLSIGIRNEGVGSIHPPHSPYFFIDEDALPIGAALYTALAELYLNENEHSILHQIF >EOY21863 pep chromosome:Theobroma_cacao_20110822:3:19196244:19197919:1 gene:TCM_014009 transcript:EOY21863 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWP-RK domain-containing protein, putative MGSHYSFNGWSKSELVAKEEETSPFATQLPPDSSASVYYNSVDWQNEFPIQESFFDALPLMSSFYTDPLYDSLDFEKSSSLIQDHLTIVAENNLLGCEKGNGFWNELGALFKPNGKNEDFGEELKEERRAKRCREEKCSSSTMLSRKVISQYFYLPITQAAKELNVGLTLLKKRCRELGIRRWPHRKLMSLQTLIWNVQELQKEEGEESERKLREAVEVLERERKLLEEMPDLQLEDKTKRLRQACFKANYKKRKLMMMESQSSSGGSRESLDLTRGYDMENEEDEEEMKLLLSDSFSSTNIMAN >EOY23133 pep chromosome:Theobroma_cacao_20110822:3:26320623:26322633:-1 gene:TCM_015119 transcript:EOY23133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock transcription factor B2A, putative MAPPPVEQNGEETTAAAAGESQRSVPTPFLTKTYQLVDDHTIDDVISWNDDGSTFIVWNPTVFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFSNDCFRRGEKRLLCEIQRRKIMMPTTAAITVSTMTAIPIAKPIISPSNSGDEQSPVISSTSSPSRPGQTGSVTAELREENEKLRKENVQLNKQLAEMKSLCNNIFNLMSNYASSQSESSFAATKPLDLLPVKRLSEEGEDEETSPRLFGVPIGGVKRAREVGESLAAEDETQLQLQQPGGSGTASEMIKSEPLDRQNAGCDDERGNQDTPWLRQFHRANQRVCN >EOY21846 pep chromosome:Theobroma_cacao_20110822:3:19096766:19097510:-1 gene:TCM_013993 transcript:EOY21846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVFFIIKMQSLVWLHGCEGTKSIDEVGWCCRPWDASLKRAPLHTGVNILQQPPLSGEYKFNVDGSDRRKLRSTVCDGVHRDSKSYVLVSSLAHLVLRTSTTKRLW >EOY22943 pep chromosome:Theobroma_cacao_20110822:3:25480638:25483311:-1 gene:TCM_014974 transcript:EOY22943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLGLRQFMARAGNGGREPVLDSDNGEEQVVWLSDVDSAKWLCHWFHVSVAATVSGDPEACPSPCIYTQIETEADEDESGDSDSEFNEVLGLSKVTEMRLIPSDASGYSVSDILLVC >EOY20971 pep chromosome:Theobroma_cacao_20110822:3:1996105:1997415:-1 gene:TCM_012285 transcript:EOY20971 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein, putative MCPNNSGNSRSTPSKAPPAAAELPPYPPQPIPNFAPTAPVVSKPSDWSTGLCSCCEDISSCITTCCCPCITFGQNAEIIDRGTTSCVGAGLVYYLLAHACCSCLYTCTYRKKLRSLFGLKEEPCADFFVHCCCHFCAICQEYRELQSQGFDPSVGWLANVDRWNRGGAMAPPTFATGMAR >EOY23019 pep chromosome:Theobroma_cacao_20110822:3:25783420:25787625:1 gene:TCM_015032 transcript:EOY23019 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase RFK1, putative MPRTIPPLPPPQQQPPLIYHERPLPTRLIFPPVAAVTAAFSIFLVLSVCLRKIRRERTAPADSKPPHRFSYSILRRATCSFSASRRLGQGGFGSVYRACLPNNKENNKNNNNQTVAVKVMDAGSLQGEREFQNELFFASKLDSSLVVSVLGFSYDRKRRRMLLVYELMPNGNLQDGLLHRKCPELMDWKQRFLIAVDIAKGLEYLHGLDPPVIHGDIKPSNILLDQYFSAKIADFGLARVKSEESKVEIGEDCGSVAETESVATAIEEFNLVADQSPGSVMESPENAEVVTVAVSPETVGVAAAMSPEMMEKGSVSEVNFDRASVESGKELGNGGKRSGKGLRSGSGRDWWWKQDNGAVAESGKVKDYVMEWIGTEIKKEKPSSDWIASVASSSSELNAKLEKNKKKKSRKRLEWWVSMEDDKERNVKKEKRRPAREWWKEEYCEELAKKNKKKKKRQLGMYHSDDNGGGENWWPVDDELFTEKKKKKSKRSRSRGSVGSVDWLWDGLSGELWRARRNSYDSFSGEITKSGGMSSTPSMRGTVCYIAPEYGGGGDLSEKVDVYSFGVLLLVLIAGRRPLQVTGSPMSEFQRANLTSWARHLARTGKLLDLVDQSIQSLNREQALLCITVALLCLQKSPASRPSMKEVVEMLTGEAEPPQLPTEFSPSPPSRFPFKPRKKVR >EOY25316 pep chromosome:Theobroma_cacao_20110822:3:33915294:33922694:-1 gene:TCM_016671 transcript:EOY25316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive channel of small conductance-like 10 MEDRAKSDQVVVIMDQGNPVGPSMETELKEGQAAAETKPQIPARAKTLRRLSVSKPKARFLEYNYPQKRFPESNGLEPLLDDIHQSSTDEDDDDDDDEEEWNDEFDEDGGEKGQKRHQKRRTKRLNWRLLTEWVLFFVITTCLISSLTIESLKTKRSWGLEIWKWCLMVLVTFCGRLVSGWLIALAVFFIERNFMLREKVLYFVYGLRKSIQNCIWLGLVLLSYTFMFDTKVHSKENKVLRKVFQALVAVLLGAIIWLIKIVLVKMLASSFHVTTYFDRMKESVFHHYILDTLSGPPMDEQIIMHEQHHSLSESKSLPSNWKQKKWKEARNIYKSKKFGSRKIDMEKLRELSMERPASAWSVKRLVSYVMSSGLSTISTTVDDFGKAESEITSEWEARNTAQRIFKNVAKPGYKYIEEEDLMRFLKGVEVYTILPLFEGALETGRISKSSFRNWVVRAYFERKFLAHSLNDTKTAVQQLHKLASAVVIVIIVVVSLLVMEVATYRIVFLVLTQLVVAGVMFQNTCKMVFESIVFIFIMHPFDIGDRCVIDGVQMIVEEMNILTTVFLRYDMEKIYYPNWVLLSKPISNFYRSPEMGDTVDFQIDISTSLETIMALKKAIQAYIESKPKYWNPKHSVIVKQIENLNKLKMSLCVQHTINHQNYGERSNRITELILELKKIFENLDIKYHLLPQEVRLSQVNLDNWRMMQ >EOY21213 pep chromosome:Theobroma_cacao_20110822:3:4134677:4148319:-1 gene:TCM_012596 transcript:EOY21213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEDNNNNGNNAINLVLEANRALQNYVVPLLQVQTFYNELIGSIKTTIDVAAGGALISKNAADVYNLLEEIALKNYQWPSERLGPRKVVGAYEIDALGTLTAQVAAEVVALFKKFDTLGVHTVQNSFTICKMCGNSHSSDQCSYNFASVHFVGNFNRQQNNPYSNTYNSGWRNHPNFSWNNNAGPSNPNPNMPPDFQQQAKPPIPEKKSQTEEFLLQYISKNDAIIQSYSASLRNLKTQVGQLANSINNRPQCALPSDTQVNPKGKEHCNAVTLRSGKEVKGVNEKSIESSKEHIDDDKAIVKKEVEVEKTDNGQAKNQGNSQANYPPLLFPQRLKKQKLNKQFEKFLNVFKKLHINIPFAEALENMLSYVKFLKDILTKKRKLEDFETMALTEECGIIIQNKLPLILKDLGSFFIPCIIGRFKFTKALCDLGQVFQSCLCQLLRNLDLMRYNLPQFVCKLADRTIKYSIWIIEDVLVKVGHLYILVDFIVLEMKEDL >EOY20863 pep chromosome:Theobroma_cacao_20110822:3:1566534:1570288:-1 gene:TCM_012207 transcript:EOY20863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein, putative MAVSLTRKDLDAMRFLNGEVPTSITLAGTDFLANGHPILTEVPSNIVATPSPYSFPDNTKNNSTADHGCFVGFDVGEPSSCHVVPIGKLSGVRFMSIFRFKLWWSTHWVGSSGREVQYETQMMVLDKSDLGRPYVILLPIIEGSFSSSLQPGIDDNVDICVERGHESGQGTSGDIQASSGEKPTRHSGQIRLVHLGCLFSLRTSERCVGRGQGPGCPPGMLIIDDGWQSICLDDDPIDNEGIDRTANGEEKPYRLVKFQENYRFKDFESTKTPSNKGMGAFVKELKEEFKTVKDVYVWQALCGYWGGIRPKFSGMPESRIVKAHASPSMLKTMKDFAGLHSHLESVGIDGVKIDVIEVLELLSEDYGGHVELSKAYFKALTASLRKHFNGNGVISSMQQASDFFFLGTETIALGRAGDDFWCIDPYGDPTGIFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSHHPCAKFHAASLAISGGPVYVSDFVGEYNFDLLKKLALPDGSILRCQHYALPTRDCLFEDPLHDGITALKIWNLNKYSGVIGLFNCQGGGRCPHSRRNKSAPEFANPVYQSKKLKLMKSSEQMEVALEPLNYELLTVSPVAILPKKQIQFAPIGLVNMLNSGGAIQSLAFDGDENLVRIGVKGRGELRVFASEKPWACKIDGVPVEFGYDEQMVRIEVPGLNSPLPPMVEYFF >EOY23485 pep chromosome:Theobroma_cacao_20110822:3:27578757:27583895:1 gene:TCM_015364 transcript:EOY23485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide gated channel 1 isoform 1 MRGLRSLNTKNLLKFVVFFQYVPRFFRIYPLYKEVTRTSGILTETAWAGAAFNLFLYMLASHVLGAFWYLFSIEREYTCWKDACRNSSTGCPPDSLSCPGDSSVSAFLNQSCPIQTPNTTLFNFGIFLDALQSGVVESKDFPEKFFYCFWWGLQNLSSLGQNLETSTYVWEICFAVFMSISGLVLFSFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPENLRERIRRYEQYRWQETRGVDEENLLRNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPVLYTEESYIVREGDPVDEMLFIMRGKLLTMTTNGGRTGFFNSEYLGAGDFCGEELLTWALDPHSSSNLPISTRTVRALTEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKKKLEESLREEENRLQDALANAGGSSPSLGATIYASRFAANALRALRRNRTRKARLPERVPPMLLQKPAEPDFTAEEH >EOY23484 pep chromosome:Theobroma_cacao_20110822:3:27576610:27583893:1 gene:TCM_015364 transcript:EOY23484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide gated channel 1 isoform 1 MSYPPEKFVRFQDWNSEKSTEAQYSDNKGINSGRVRFAINSFSEKFQRGVESGSERIKGIRKSLRSCSFNRVVAKGFGSSKKVLDPQGSFLQRWNKIFVLSCIIAVSIDPLFFYIPVINDDKKCLALDEKMEATASVLRSFTDIFYVIHIVFQFRTGFIAPSSRVFGRGVLVEDSWAIAKRYLKSYFVIDILAVLPLPQVVILIIIPHMRGLRSLNTKNLLKFVVFFQYVPRFFRIYPLYKEVTRTSGILTETAWAGAAFNLFLYMLASHVLGAFWYLFSIEREYTCWKDACRNSSTGCPPDSLSCPGDSSVSAFLNQSCPIQTPNTTLFNFGIFLDALQSGVVESKDFPEKFFYCFWWGLQNLSSLGQNLETSTYVWEICFAVFMSISGLVLFSFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPENLRERIRRYEQYRWQETRGVDEENLLRNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPVLYTEESYIVREGDPVDEMLFIMRGKLLTMTTNGGRTGFFNSEYLGAGDFCGEELLTWALDPHSSSNLPISTRTVRALTEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKKKLEESLREEENRLQDALANAGGSSPSLGATIYASRFAANALRALRRNRTRKARLPERVPPMLLQKPAEPDFTAEEH >EOY23483 pep chromosome:Theobroma_cacao_20110822:3:27576496:27585043:1 gene:TCM_015364 transcript:EOY23483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide gated channel 1 isoform 1 MSYPPEKFVRFQDWNSEKSTEAQYSDNKGINSGRVRFAINSFSEKFQRGVESGSERIKGIRKSLRSCSFNRVVAKGFGSSKKVLDPQGSFLQRWNKIFVLSCIIAVSIDPLFFYIPVINDDKKCLALDEKMEATASVLRSFTDIFYVIHIVFQFRTGFIAPSSRVFGRGVLVEDSWAIAKRYLKSYFVIDILAVLPLPQVVILIIIPHMRGLRSLNTKNLLKFVVFFQYVPRFFRIYPLYKEVTRTSGILTETAWAGAAFNLFLYMLASHVLGAFWYLFSIEREYTCWKDACRNSSTGCPPDSLSCPGDSSVSAFLNQSCPIQTPNTTLFNFGIFLDALQSGVVESKDFPEKFFYCFWWGLQNLSSLGQNLETSTYVWEICFAVFMSISGLVLFSFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPENLRERIRRYEQYRWQETRGVDEENLLRNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPVLYTEESYIVREGDPVDEMLFIMRGKLLTMTTNGGRTGFFNSEYLGAGDFCGEELLTWALDPHSSSNLPISTRTVRALTEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKKKLEESLREEENRLQDALANAGGSSPSLGATIYASRFAANALRALRRNRTRKARLPERVPPMLLQKPAEPDFTAEEH >EOY24568 pep chromosome:Theobroma_cacao_20110822:3:31473075:31476408:-1 gene:TCM_016139 transcript:EOY24568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucoanthocyanidin dioxygenase isoform 2 MTTALTQTLVELITYHYKKATKDQHYGIFLRVRVESLASSGIQSIPKEYIRPQEELTSIGNVFEEEKKEEGPQVPTIDLKEIDSEDREVRERCRQELKKAATEWGVMHLVNHGISDELMERVKKAGQKFFELSVEEKEKYANDQALGKIQGYGSKLANNASGQLEWEDYFFHLVYPEDKRDLSIWPQTPSDYTEVTSEYARQLRVLASKILSALSLCLGLEEGRLEKEVGGLEELLLQMKINYYPKCPQPELALGVEAHTDVSALTFILHNMVPGLQLFYEGKWITAKCVPNSIIMHIGDTVEILSNGKYKSILHRGLVNKEKVRISWAVFCEPPKEKIILKPLPETVSETEPPLFPPRTFAQHIHHKLFRKTQDGLSN >EOY24569 pep chromosome:Theobroma_cacao_20110822:3:31472928:31474921:-1 gene:TCM_016139 transcript:EOY24569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucoanthocyanidin dioxygenase isoform 2 MVTSMAPRVESLASSGIQSIPKEYIRPQEELTSIGNVFEEEKKEEGPQVPTIDLKEIDSEDREVRERCRQELKKAATEWGVMHLVNHGISDELMERVKKAGQKFFELSVEEKEKYANDQALGKIQGYGSKLANNASGQLEWEDYFFHLVYPEDKRDLSIWPQTPSDYTEVTSEYARQLRVLASKILSALSLCLGLEEGRLEKEVGGLEELLLQMKINYYPKCPQPELALGVEAHTDVSALTFILHNMVPGLQLFYEGKWITAKCVPNSIIMHIGDTVEILSNGKYKSILHRGLVNKEKVRISWAVFCEPPKEKIILKPLPETVSETEPPLFPPRTFAQHIHHKLFRKTQDGLSN >EOY21528 pep chromosome:Theobroma_cacao_20110822:3:10608536:10609506:-1 gene:TCM_013236 transcript:EOY21528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCMYEKSALLLIAKTIGKPLYIDEATATRSRPSVARVCVEYDCRKDPVEQVWIVVKDRVSGTVTGCYMQRVEFSKIPEYCGHCCHVGHAVSTCLVLGNRPANLEKAQVSKTLREDKRKTTKGEADPRQKQKKGKEQMLPVQTKQSEQWQPIGKPEGSETKEATGQKSEGKNLGQG >EOY25047 pep chromosome:Theobroma_cacao_20110822:3:33047158:33051875:1 gene:TCM_016478 transcript:EOY25047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-like protein isoform 2 MENLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKSEEGSREYAEFLHLPRKRFTDFSAVRKEIQDETDRETGRTKQISSVPIHLSIYSPGVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTLGVLTKIDLMDKGTDAVDILEGKSYRLKFPWVGVVNRSQADINKNVDMIAARRREREYFANTPEYKHLAHRMGSEHLAKVLSKHLETVIKSRIPGIQSLINKTIAELETELSRLGKPIAADAGGKLYTIMEICRLFDQNYREHLDGVRPGGDKVYNVFDNQLPAALKRLQFDKQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESTLITIRGPAEAAVDAVHSILKDLIHKAMSETPELKQYPALRVEVGNAAVESLDRMREQSKKATLQLVDMECSYLTVDFFRKLPQDVEKGGNPTQSIFDRYNDSYLRRIGDNRFVIC >EOY25046 pep chromosome:Theobroma_cacao_20110822:3:33046840:33051972:1 gene:TCM_016478 transcript:EOY25046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-like protein isoform 2 MENLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKSEEGSREYAEFLHLPRKRFTDFSAVRKEIQDETDRETGRTKQISSVPIHLSIYSPGVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTLGVLTKIDLMDKGTDAVDILEGKSYRLKFPWVGVVNRSQADINKNVDMIAARRREREYFANTPEYKHLAHRMGSEHLAKVLSKHLETVIKSRIPGIQSLINKTIAELETELSRLGKPIAADAGGKLYTIMEICRLFDQNYREHLDGVRPGGDKVYNVFDNQLPAALKRLQFDKQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESTLITIRGPAEAAVDAVHSILKDLIHKAMSETPELKQYPALRVEVGNAAVESLDRMREQSKKATLQLVDMECSYLTVDFFRKLPQDVEKGGNPTQSIFDRYNDSYLRRIGTTVLSLLDFFYTELGKLEQKRLSALLNEDPAIMERRSALAKRLELYRSAQAEIDTVAWSK >EOY24121 pep chromosome:Theobroma_cacao_20110822:3:29723941:29725717:1 gene:TCM_015806 transcript:EOY24121 gene_biotype:protein_coding transcript_biotype:protein_coding description:SBP family protein MAVQAQYPSNVLLLNRSGQEGHEFSLQQQAGVFLDQSHMIFNNGSNHSNINNQNNNPRKRGREVAGAITAPINSFSMQTQPPQLIDLSQLHQPNVVSTGLRLSFGDQQHNLQQNQNQSFQHQQQNLVSNSSAFLSIISEDLATQIKRQREELDQFLQVQGEELRRMLAEKRNRHYRALLGAAEESVARRLREKEAEVEKATRRNSELEARATQLSVEAQVWQAKARAQEATAASLQAQLQQAIMSGGAAAAQDIRRGEEGLKCGGGVEGQAEDAESAYVDPERVAASGPVCKACRTRVASVVLLPCRHLCLCTECDRVAQACPLCLTVRNSSVEVFLS >EOY23017 pep chromosome:Theobroma_cacao_20110822:3:25778263:25778804:1 gene:TCM_015030 transcript:EOY23017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSQLLGPTKIELFILFCSLCVVVSLAFKLNSFMGWNPHLPSSVSSPFRGGYTVLINTWKRKSLLKNSVAHCSSCGGSDAIRVLWSETHPPSEAEFQVRDKRGR >EOY21611 pep chromosome:Theobroma_cacao_20110822:3:14051120:14053514:1 gene:TCM_013537 transcript:EOY21611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGFNVCRHNVPFELIAEHTLDFVLDFDIRQGDWRYAKEVLFNEILSTVNIYPPTSDKNTTSVKCLSCYDYILHLIMSQILQPHNTNYSTIESEDFWFLYHIKSNPHVKLAKFILDNMLKIINKSRTTLIYGMAISVIIDSVGIITRCDPPKHHAMHMKIIEHAINKLGFVYVNHFCVRKEIVNELDIVEDEVRDDTFAELSVAPNTAPNAAARVHPSVGPNFPPMSTTFDFEEVIPSSLSFTILSPS >EOY23190 pep chromosome:Theobroma_cacao_20110822:3:26559881:26562602:1 gene:TCM_015166 transcript:EOY23190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MNSIALFGTRNVYKSCYIIIVSRKLCDGGFDRDKIDSEFYYSEEPLKRMYKGSSFDSVFDGNHDNFGAYASGSVGRLPTRHGFFKSGRDDARRILEVLQQDGPGFDAKAALSEMQMRVSGFLVREVLVGILKNINYANKTRCAKLGYKFFVWSGQQETYRHTTNSYHLIMKIFAECEEYKAMWRLVDEMIENGYPTTARTFNILICTCGEAGLARKVVERFIKSKTFNYRPFKHSYNAILHTLLVVNQYKLIEWVYQQMLAEGLAPDTLTYNILMCAEYRLGKLDQFHRLLDEMGRSGFSPDFHTYNILLHVLGKGDKPLAAVNLLNHMKEVGLNPGVLHFTTLIDGLSRAGNLDACKYFFDEMIKIGCMPDVVCYTVIITGFIVAGELDKAQEMFDEMIANGQLPNVFTYNSMIRGYCMAGKFEEACSILKEMEARGCNPNFVVYSTLVSHLRNAGRLSEAREVIKNMVEKGQYVHLLSKIKRFRRC >EOY24221 pep chromosome:Theobroma_cacao_20110822:3:30131266:30133554:1 gene:TCM_015886 transcript:EOY24221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras 5 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLTANKVVSYETAKAFADEIGIPFMETSAKNATNVEEAFMAMAASIKNRMASQPAMNNARPPTVQIRGQPVNQKSGCCSS >EOY22324 pep chromosome:Theobroma_cacao_20110822:3:23011389:23012639:-1 gene:TCM_014534 transcript:EOY22324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQQRQLQQGVDDGYCGPQLVIPSIRQSCNAVRFRSLNVSAKICWGVPCLYFVFKTSLEIATCLHLFRRKRLLILSCGQNLIQENISIILLQV >EOY23016 pep chromosome:Theobroma_cacao_20110822:3:25771411:25778011:1 gene:TCM_015029 transcript:EOY23016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein MSIAPCIAFPWRFANHPLLPRVTKPTFFTHANQLCQPFFSSFFLFFLSFLNFLSIILSSFSLSMAPPSELLLKIFSDDLENETPEKCRERRRRRIQLRRSRYTFAPIQQLQSPPPRKEMTNYVPTTDQYFALLNRTTLGDKTTAMALPVVVDSSWTSLTSASCGAKTDVNPMPVNGWISIQGFSDSMDDRLFVKEEFCRSDLFGGKPLHFFAVYDGHGGPHVSTLCKNMMHTIMAEELMRLSTEKAPATSDGGGSSSGNGTGSSSPAERIKNAEVGGVEEWENLVRAALEKSFLRMDEVALSTCACGKSAYLGGCQAVDVGFLGSTAVVAIVAPHHVVVANCGDSRAVLCRAGRAIPLSLDHKPERPDELERIRAAGGKLVYQNGVRVYGILNMSRALGDNFLKKVITSQPETSITERDPEDECLILATDGLWDVMSDALACEVASTCLRDGSCATSPRSHYSGQSVKIDGEVLFPSKSAFAAAILCRLALGRGSCDNISVIVVDLKKHLAGKAK >EOY23670 pep chromosome:Theobroma_cacao_20110822:3:28165075:28166577:1 gene:TCM_015484 transcript:EOY23670 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance-like protein MLNSALLHIKAVLNHAEEMQFNDPNVRAWLEEVKDVTYDAEDITDAIDTDVLESRSSTSKVNIHLDSGNASDSVREGIDFKMKGIAAAINPFKQRLESRMNTTIIGRTPTTPMLDESCVFGRDSDKEKTMKLPKSVLGTGDKVHVIPIVGLEGIGKTTLARIVYGEKMMKDRFDLKAWACAADEFDAKRITRTLVESITRKNCKEFGFTSREADKFVKGKEFLVVLDDVWNEGYENWDELKILFAKGAAGTTIMVTTRSEKVASIVGTLPIHCLEELSKEDCMSLFEQIVFPNGNSDAEKRVSKCRGLPLAVKALGGLLRS >EOY24815 pep chromosome:Theobroma_cacao_20110822:3:32320792:32321781:-1 gene:TCM_016309 transcript:EOY24815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAPKQGYIKFNVDGSAKGCFGPMRIGEILRNEFKELKINFSKSIVIILLLKVMQLTSLIELKNGLWRRGGCVNG >EOY21520 pep chromosome:Theobroma_cacao_20110822:3:10311864:10333011:-1 gene:TCM_013205 transcript:EOY21520 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCHC-type integrase, putative MTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVEPLFRAVASRDFTTYSAAVDRAQRIEMRTNESRAARDRAKRGKTEGYQGRRDFSSGGSSSSRQGPQRDSRLPQQGSDAPGANIRVGQRTFNSRRQQDSRQSSQVIRSCDTCGRRHSGRCFLTTKTCYGCGQPGHIRRDCPMAHQSPDSARGSTQPASSAPSVAVSSGREVSGSRGRGAGTSSQGRPSGSGHQSSIGRGQARVFALTQQEAQTSNAVVSGILSVCNMNAREGIRVNTKKIEAVEKWPRPTSVTEIRSFVGLAGYYRRFVKDFSKIVAPLTKLTRKDTKFEWSDACENSFEKLKACLTTAPVLSLPQGTRGYTVFCDASGVGLGCVLMQHGKVIAYASRQLKRHEQNYPIHDLEMAAIVFALKIWRHYLYGETCEIYTDHKSLKYIFQQRDLNLRQRRWMELLKDYDCTILYHPGKANVVADALSRKSMGSLAHISIGRRSLVREIHSLGDIGQVYGENLEING >EOY21601 pep chromosome:Theobroma_cacao_20110822:3:13655708:13656612:-1 gene:TCM_013502 transcript:EOY21601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIDKRPWQNFCASLAATNIPLVREFYANAVEATYDFVFGRSKLVPFSSHAINEFYETTDIKSNGYGQYLGEHEDWDDIIHILYEESAQCRFFNNTPVSFKKNVMKPTYKIWLYFVASKLLPTTHTSNVMKDRAIPIHSIMIGCTIDIGHIFYKP >EOY23273 pep chromosome:Theobroma_cacao_20110822:3:26868125:26868668:1 gene:TCM_015223 transcript:EOY23273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTMATEKTREWAWLPKNVLDQVLDKFLPLSYYIGFAAVCKPWRDVALDQKRWRIQTCCKQLPLLTIPTKDNSQLSRARFIQHHGQETPQLPTKRSIQSKVLRVFTRLGHVCGGRYSYTCL >EOY23704 pep chromosome:Theobroma_cacao_20110822:3:28291446:28296197:1 gene:TCM_047016 transcript:EOY23704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein, putative MSLLLHVKNTIIMSFVLVPLLLILNVHYMLPALADSNSNVYIVYMGKRQHPDVERLTRTHHEMLSTVLVSEETSKESMVYSYKHGFSGFAAKMTEAQAQKLSKLPGVVHVTRNGFYKLQTTRSWDYLGLSTNSPSNLLNKSKMGNGVIIGLLDTGIWPESEVFSDEGLGPIPSRWKGVCESGELFDGAKACNRKLIGARYFIRGLQAEYGQPYNTSANNDYLSPRDPSGHGTHTSSIAGGSFVANVSYYGLGFGTVRGGAPGARLAMYKVCWQLYGGVCSDADVLKGFDEAIHDGVDVLSVSLVADIPLYSDVDQRGSIPIGSFHAVAKGITVVCAAGNAGPRAETVQNTAPWILTVAASTVDRSFPTPIMLGNNQTIMGQAMFTGEDTVFATLVYPEVSDLMVPRNCESLSSNDDWMAGKVVLCFVSEYNMSLLDDGIWSVKEAGGLGVIVARTPSDYLYSYATRFPCVQVTYETGTQILYYIRSTSNPQVRLSPSRTHVGKPLSTSVAYFSSRGPSSNAPAILKPDIAAPGVKILAASPPDRPTNGAFAFRSGTSMATPHVSGIVALLKSLYPDWSPAAIKSAIVTTALSADQSGGPIFAEGEPSKLADPFDFGGGIVNPNGAADPGLVYDMNTEDYGQYLCAMGYNDSAIFQLTQHPIVCPSKQPSVLDVNLPSITIPSLRKPTILTRTVTNVGPVNSKYKANVEFASGINIAVRPEILIFSSKTKTITFTVMISSAHNVNAGYYFGSLTWTDGGHVVRSPISVRTEVGESFF >EOY20932 pep chromosome:Theobroma_cacao_20110822:3:1849061:1853159:-1 gene:TCM_012256 transcript:EOY20932 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MKSTNMNGSSPNVSEETGRTLVVGSGGFMGRFVTEASLDSGRPTYILARSSSNSPSKASTIKFLQDRGATVIYGSITDKEFMEKVLKEHKIEVVISAVGGGSILDQFNLIEAIRNVDTVKRFLPSEFGHDTDRADPVEPGLTMYEQKRQIRRQIEKSGIPYTYICCNSIAAWPYHDNTHPADVLPPLDRFKIYGDGTVKAYFVAGTDIGKFTIMSIEDDRTLNKTVHFQPPSNLLNINEMASLWEEKIGRTLPRVTITEEDLLQMAKEMRIPQSVVAALTHDIFINGCQINFSLDKPTDVEVCSLYPDTPFRTINECFEDFAKKIIDNAKAVSKPAASNNAIFVPTAKPGALPITAICT >EOY20933 pep chromosome:Theobroma_cacao_20110822:3:1848755:1864426:-1 gene:TCM_012256 transcript:EOY20933 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MKSTNMNGSSPNVSEETGRTLVVGSGGFMGRFVTEASLDSGRPTYILARSSSNSPSKASTIKFLQDRGATVIYGSITDKEFMEKVLKEHKIEVVISAVGGGSILDQFNLIEAIRNVDTVKRFLPSEFGHDTDRADPVEPGLTMYEQKRQIRRQIEKSGIPYTYICCNSIAAWPYHDNTHPADVLPPLDRFKIYGDGTVKAYFVAGTDIGKFTIMSIEDDRTLNKTVHFQPPSNLLNINEMASLWEEKIGRTLPRVTITEEDLLQMAKEMRIPQSVVAALTHDIFINGCQINFSLDKPTDVEVSTMQYLCQLLSQEHCLSLRYAHEKYLTLSIF >EOY24198 pep chromosome:Theobroma_cacao_20110822:3:30057332:30058024:-1 gene:TCM_015869 transcript:EOY24198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILTSPLVPDNGHRLSKSCHIANKPSLLRSKSETRTVSIRQHRMSTSCLFGYKDTKGLNLKPYSISFPILGKQAQLFFVYGFKNKLNNAPKSDQTCDQTTIPFFVEGGKGIRLKVWFGNF >EOY21677 pep chromosome:Theobroma_cacao_20110822:3:16870079:16871680:-1 gene:TCM_013758 transcript:EOY21677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRRGGSLDTSHSDSEGSLDSTVRSRWQSESSSPKSTDSDNISVSMRGCGINVRGQAEKDKEKVTVASKPLRKVSGVRHFPPGCGRNAAPVSDEEYRRIQQAWIEEQRKKKSQEEENPKEDLQEDSE >EOY20872 pep chromosome:Theobroma_cacao_20110822:3:1593886:1597480:1 gene:TCM_012213 transcript:EOY20872 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MCTIYFLLLAILLPCCFEILSLSCCTLMKETLRYLAGIAGPSGYGSKSTAEQVADNCSCWVDPHHLTAIITGATSGIGAETARVLVRRGVRVVIPARDLKKAVELKEGILKENPNAEIILSEIDLSSLASVKRFCAEFLALGQPLNILINNAGIFSQNLEFSEDKIEMTFATNYLGHYLLTELLLEKMIETAEQTGIQGRIINLSSVIHSWVKRDSFCFSQMLNPKKYNGTRAYAQSKLANILHAKEIARQLKAKNSKVTINAVHPGIVKTGIIRAHKGFITDSLFFIASKLLKSTTQGASTSCYVALSPQAEGISGQYFADCNESNCSALASDESVAQRLCRQTRALIHRRLQHQTPS >EOY23516 pep chromosome:Theobroma_cacao_20110822:3:27677961:27683199:-1 gene:TCM_015384 transcript:EOY23516 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive gene 1 isoform 1 MALCGFSINPSLESVEVWHFNGNLSKTLIRPSCLRLNRKSPCSARRQFQEVRCSSLRKAVSPMESEENAPTATPISGEDEPGHVARFKMSDFKVLDHVSVGLAGRADEVVFEAVVKDSGSPLYNSRVVLRRLTSARAQRRGRRAIEVLKKLVHRRILYHSYSMQVYGCILSHTSGAQSSFTLVHGYHGSFSLRHWLQQSDWLPTLEATLALDEESVRRVGDDSVGGPAVTRQLRLIRILMRDLLIGVNYLHSHGIAHTELRLENVHISPVDRHIKVGILGNAADFYDNGPNGSALDGNMDRRQMMIAFDMRCVGFMMAKMVLRELMDPLIFAKLKSFLMKGNDPSCLREFLLPILTRNSPNGNVGLQMLDRNWGAGWNLLSLLLAVRPSKRISCLDALRHPFLCGPRWRVVPSMDIIRWGLGSTAVRITEEYIYRQSQTGRLAHFIELMEMLNPHSRPKNWLELLPGKWRLLYSTGRHIGLTFRQPPVRILIGDAHLTIVKASKLNTSLSILSEIGFTVMMGHDWPHDKSGISGKLQVNSLTSLKAGRRLYLKEKATEGFSLRQSNSEDSLFGKLSSRKWRKAIPLKEFPSSLPVAKLLPDDIEVSMTLNDPLTQNVDVARNIVKEIRTQVPPEMFELSNLVCGTYVDSRMLVLRSVNGSALIFTRSCADESCR >EOY23515 pep chromosome:Theobroma_cacao_20110822:3:27677853:27692084:-1 gene:TCM_015384 transcript:EOY23515 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive gene 1 isoform 1 MALCGFSINPSLESVEVWHFNGNLSKTLIRPSCLRLNRKSPCSARRQFQEVRCSSLRKAVSPMESEENAPTATPISGEDEPGHVARFKMSDFKVLDHVSVGLAGRADEVVFEAVVKDSGSCSPLYNSRVVLRRLTSARAQRRGRRAIEVLKKLVHRRILYHSYSMQVYGCILSHTSGAQSSFTLVHGYHGSFSLRHWLQQSDWLPTLEATLALDEESVRRVGDDSVGGPAVTRQLRLIRILMRDLLIGVNYLHSHGIAHTELRLENVHISPVDRHIKVGILGNAADFYDNGPNGSALDGNMDRRQMMIAFDMRCVGFMMAKMVLRELMDPLIFAKLKSFLMKGNDPSCLREFLLPILTRNSPNGNVGLQMLDRNWGAGWNLLSLLLAVRPSKRISCLDALRHPFLCGPRWRVVPSMDIIRWGLGSTAVRITEEYIYRQSQTGRLAHFIELMEMLNPHSRPKNWLELLPGKWRLLYSTGRHIGLTFRQPPVRILIGDAHLTIVKASKLNTSLSILSEIGFTVMMGHDWPHDKSGISGKLQVNSLTSLKAGRRLYLKEKATEGFSLRQSNSEDSLFGKLSSRKWRKAIPLKEFPSSLPVAKLLPDDIEVSMTLNDPLTQNVDVARNIVKEIRTQVPPEMFELSNLVCGTYVDSRMLVLRSVNGSALIFTRSCADESCR >EOY25024 pep chromosome:Theobroma_cacao_20110822:3:32995127:32997665:-1 gene:TCM_016466 transcript:EOY25024 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MPHLTFLWLLCFSLFLQKPTSAQTCPSSCPGGESGVKFPFGLNPDGNRNGRCSYPGFGLSCSNKTGALVLKLPKSGEFTVRFINYETQQIWINDPDFCLPKRFMESFDISGTPFVSEVWHTLTFFNCSTGAVAEAGLRRIRCLSNDNYSVVPYEIESFMDSDSGLPSTCHSIKTVTVPFAWYGWAEGVRLEWYKPDCRSCVERRGDCGFKNRASQEIGCFNLPSQAGLPRSAKYGITIGVGIPGLLCLIGLVSFVGSRVRAYARQRNLPSTEFSTSISPLPAAIITGLDGPTIESYPKTKLGDSGRLPKPNDNTCPICLSEYQPKETLRTIPECNHYFHANCIDAWLKMNASCPLCRNSPGGSAPITPSISSSSSSSSSSLLSA >EOY24240 pep chromosome:Theobroma_cacao_20110822:3:30229625:30233517:1 gene:TCM_015904 transcript:EOY24240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSSEKLMLTKGIDLLLSNGGAQVLNMYACNIVSPQSILSKYNIWPTQSLHSKIFWISAMLCILSGHVLHEDYSKQLLRPSKMIGFTCKEIRTKMIGFNCKEIRIGFNCKEIRIGFNCKELRTKMIGFNCKEIRTDPQFPN >EOY23236 pep chromosome:Theobroma_cacao_20110822:3:26745089:26748580:1 gene:TCM_015201 transcript:EOY23236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGGVGPTCNDISLPNEEVQGHESSRSDPLKPVPSPAHLSRTRFLTLRQLNCLAVMIITAASAMVSPGDIAFVFFSVIYMYLLLKVAFPRKIPPKDSLVFDPSNKILRLYVTVGAIVGLYLPVAYIFEGVLEGDKAGIKAAAPHVFLLASQVFMEGVAFSARFSIPVRVFVPVFYNSRRIFTLVDWLRTEFSKMDNEYAGSARRLYVGRVLAVANMAFWCFNLFGFLLPVYLPKAFKMYYTQSKEHFRNLNLEHLVKLTQEGTCIKHCFIVGGQPLPTNAGFRVGLANSRNEFMPRRFIWKGSFVDAFLLRMKKRDLPLNRKILMDKLLFIVRSLKEKLVNNFQSSVLHGNEDF >EOY23886 pep chromosome:Theobroma_cacao_20110822:3:28890605:28891911:1 gene:TCM_015641 transcript:EOY23886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKGIHTKPKEKSKVVLRSLHLLAEAAISADQSTQPRPSNNLSAEAPFFIDPSPTALPSVTNPLTGSGDGSSTGQRTRASSIGLSMAIDSDQRLRLTTLTEIC >EOY21607 pep chromosome:Theobroma_cacao_20110822:3:13850481:13851396:1 gene:TCM_013518 transcript:EOY21607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIEDRNDKSLCEKSMKMVVNIIKLSTFSIAKMSLGATGHPTATENFIPATDSDMVTDDLLQPQFSGSLRSKKLQNSKPISFVMQPGGGNESLMVHEDKRDIDGRFAAYIKKVHEKNRSNLHEASKLSPYILPPPPTPLNREK >EOY20871 pep chromosome:Theobroma_cacao_20110822:3:1583010:1589714:-1 gene:TCM_012212 transcript:EOY20871 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP12-interacting protein of 37 kDa MASHAHLDDDDEFGGDFPGSHSTRHSGNKRSFGDLEDDEDDIFGSKKGNSKVEETAPGVATGMILSLRESLQNCKDALATCQMELEAAKSEIQKWHFAFRNEPFIPAGTTPEPKLVLNYLQTLKSSEEALKEQLEKAKKKEAAFIVTFAKREQEIAELKSAVRDLKVQLKPPSMQARRLLLDPAIHEEFTRLKNLVEEKDKKVKELQENIAAVSFTPQSKMGKMLMAKCRTLQEENEEIGTQAEEGKMHELGMKLALQKTQNAELRSQFEALYKQMEGLTNDAERSNETVYILQEKLEEKDNEIKRLKLELQQKTLMEEENKTDIAIDTSKVKDEMVTDETGNREQVD >EOY24847 pep chromosome:Theobroma_cacao_20110822:3:32429050:32430007:1 gene:TCM_016336 transcript:EOY24847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative isoform 2 MKKVVLKLDLHDDKGKQKAMKAVSGLSGIDSIAMDMKDKKLTVIGDVDPVDVVSKLRKQWYTEIVTVGPAKEEKKDGGKKDEGKKDDKKKQSDQIDELVKAYKAYNPYMTTHYRVVSAEENPNACVIC >EOY24848 pep chromosome:Theobroma_cacao_20110822:3:32428403:32430227:1 gene:TCM_016336 transcript:EOY24848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative isoform 2 FVKPGGYLKVVLKLDLHDDKGKQKAMKAVSGLSGIDSIAMDMKDKKLTVIGDVDPVDVVSKLRKQWYTEIVTVGPAKEEKKDGGKKDEGKKDDKKKQSDQIDELVKAYKAYNPYMTTHYRVVSAEENPNACVIC >EOY24474 pep chromosome:Theobroma_cacao_20110822:3:31121084:31122677:-1 gene:TCM_016069 transcript:EOY24474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like protein MQKCLLTNKECVMRHLSSNSCNMQCRQEDETIPHTLRDYPLASALSLRLVPQKYQALFFSASLTDWLSHNLSHCKLTVREIQWVTIFGTSCWNGIEALKSRKEEILVDWTASPHGWFTLNSDGAFRRSLGKAVTSGVLRDSCGNWFGGFSATFGTCTAYRAELWGTYKGLNLAW >EOY21367 pep chromosome:Theobroma_cacao_20110822:3:7207322:7210428:1 gene:TCM_012896 transcript:EOY21367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase, putative MAAPQASGWPVLPALWQSAWAVCGLLLCPSLPAILGANFLRTCAPRLRAGQRTLPVRWLARPVCLWVPMPPSLLKPSRGSSPRCSSIFELAHPLPSLKPLPSGCLALLNKRGGNAEPEEIDTTVDHITSWPKEVIAHILSRLTLEKAVRTSVFSSKWRLLWTHFRGFLNFDASDVAYVMSDPPLRGEPFHTGESFHTERLNFRTWVNKILQSLRAPTIKGLRICFDVYSNLDIDNWISFAIDKEAQSLELDLTDVDLSDQALDYFLTQCPSLEVLRLIKIASLTSFIASESLVNLKCLELRYCNFLKEVEISAINLTSLSYTGIKVAPVALKNLLHLVEVLFGGACLSRIYHTSQLQINLSQLETLGFDVFGTNLALPFLKTILRMSNVKHLELEVQIKHVSCSFLSITSLLKAAPLLHTFTLKIMCWADWSSRELSKISLENPYPCLKVVKLYGFVGVPAEVEMIFHLRENAPLLEKLIIDPCQPFFLGSKAASMFRKSWDYKSAKLLAMELQAKIPPGIEFIVA >EOY24160 pep chromosome:Theobroma_cacao_20110822:3:29915468:29916258:1 gene:TCM_015837 transcript:EOY24160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein MEARPLAFMVLVVVAGVAATWEVKMAGAELSDAQCKEERNLGINACKPVVYGKLPSPECCQRVRVTHLECVCPVISPQLAALIDINRAVRLIEGCGRRVPRHYKCGSITTP >EOY24018 pep chromosome:Theobroma_cacao_20110822:3:29336072:29340707:1 gene:TCM_015728 transcript:EOY24018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLVFGVHNKFEGVGTGHPPTVPAILRDGESMRSEEKSASHGSSLSTHSWHHVAQLAFAYAFRSSKGGLLFGLFWLH >EOY24622 pep chromosome:Theobroma_cacao_20110822:3:31671045:31672529:-1 gene:TCM_016175 transcript:EOY24622 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor, putative MDISSQFSDLFSCRSYSMPADRVEPSSSSEAGTVRRAVHSDEEVLVLATSRPKKRAGRRIFKETRHPIFRGVRRRNKDKWVCELREPNKKTRIWLGTYPTPEMAARAHDVAALAFRGKAACLNFADSAWRLPVPASNDAVDIRRAAAEAAEAFGPQELDVLSSSDAKQESVKASDGEVSSSTGNLGNSKIVSEKVHFLDEEAMFDMPKLLVSMAEGLLLSPPRNWDDSDSDIDVSLWSYSI >EOY23149 pep chromosome:Theobroma_cacao_20110822:3:26419557:26440170:1 gene:TCM_015141 transcript:EOY23149 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MGAPRISMLPVLLVVLFSLSGATLADSHDDFLHCLSLHSADSASISKVIFTQSNSSYLPILDVSIQNLRVSTSATPKPFVIVTPLQTSHIQTTIYCSKTHKLEIRIRSGGHDFEGLSYVSEVPFIILDLVNFRSIEVDTKNKVAWVESGATIGELYYRIVEKSKTLAFPAGVCHTIGVGGHFSGGGYGILMRKYGLAADHVIDAHLIDVNGRILDRKSMGEDLFWAIRGGGGNTFGVVLAWKVKLVPVPQVVTVFTVNKTLEQNATKILHRWQHIGHKLPDDLFTDVTITKVNSSQVGKKTIQAAFKALYLGGIDELIPLIQDRFPELGLVKQDCIEMSWVESVLYFGGVSRERLDILLDRNALPKQTFKAKSDYVKEPIPESGLEGIWEKFFEKEAEIAFMMMVAFGGKMDEIPEAALPYPHRAGNLYQASYLVAWSKEENAESQKYISWIRRLYSYMTTYVSKSPREAYFNYRDLDIGTNNVGYTSYAQASIWGLKYFKNNFNRLVHVKTMVDPENFFKNEQSIPPLSSSPKNKGP >EOY24238 pep chromosome:Theobroma_cacao_20110822:3:30209025:30211840:-1 gene:TCM_015901 transcript:EOY24238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin F-box MENVEANWENLPTLCFFLVLNKLDAYSNQVRFGAVCKHWHSAFNNFVDLKRQSSPNLVPMLLIATRMSNRIRQLCSLQVKTKFCNIELPKSHVMRFCGSSYGWLAAVNNNMVITLVNPFKYGITIDLPEIELLSDRTSAGYQFDVHKVILSADPLSHPDNYVVVVIYSNRARLAFYKPTQKSWIYLDKNLTAFTDVIFYKNLAYAIGTRSLVISFAINDSLDDSLKSPKVKIVRSAYQRIEDYVNRAYLVESSKGDLFSIKKKIEFEDSRDYSYFTKSFKVFKLVLDDQSGKLLEEKEVKNIDGDVVFVGDNQTCVVSALDFPEGQPNSIYFTDDYFIFTGYWPLGPRDNGVFNMKDGKVEKYYRRKAQQTDLPPPHIWVQPSVEFKFR >EOY23507 pep chromosome:Theobroma_cacao_20110822:3:27636986:27640300:1 gene:TCM_015378 transcript:EOY23507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGAEQALKRIPRIKFPQRHPKSSASGCVSETQARPKYGNIIDSFITRSRSDVPAPPINTAVGGKASLLPKRTPVSEREIEAILLGGCF >EOY23757 pep chromosome:Theobroma_cacao_20110822:3:28489387:28492825:1 gene:TCM_015555 transcript:EOY23757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger with UFM1-specific peptidase domain protein, putative MESSACPFCHLTFPSLELQRHANSHFEDEDEEAKDLKLANQIASSSGSVDVDSVSPLIASQTRSNFYHVKDGLISLLKNCLELETQHNSSVTILSGYVDHFQCLPSVDVGWGCGWRNIQMLSSHLLAHRQEARQVLFGGSGFVPDIAFLQRWLEIAWERGFDPPGAQHFKCKIYGSNHKIGTTECAALFRSFGLRARVVDFGPKESQPFYLSVPGSTLGQTVMKRSIIQVSGPMDRYVHHQQGSMKPRHSFDSLGYGRSGNFTGKSGGPQILVDWVWNYFSDKGLTISGSNRVVVSDRAPLYFQHDGHSRTIVGIQVKHQQNGIHQFNLLIMDPSDGTIALERSLKKNVGWQKLIKRGVHTLKMPQYQLCYIDPGIASGVELQQLKTINSIFIEL >EOY23089 pep chromosome:Theobroma_cacao_20110822:3:26150200:26154482:1 gene:TCM_015090 transcript:EOY23089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine/serine-rich splicing factor 35 MRPIFCGNFEYDARQSDLERLFRKYGRVERVDMKSGFAFIYMEDERDAEDAIRALDRTEFGRKGRRLRVEWTKQERGIRRPGGGSRRSSANTRPSKTLFVINFDPYHTRTRDLERHFESYGKIVSVRIRRNFAFVQYDSQDDATRALEATNMSKLTDRVISVEYAVRDDDERRNGYSPDRSRDRSPERGRDKRRSPSPYRRERGSPDYGRGSSRSPYRKERGSPDYGRGRSPSPYKRDRVSPEYGRGTSRSPYRRERAGADHARGSSRSPYRKERASPENGRGPSNSPYRREKHSAENDRSPSHSPYRRERPSSDNGRGPSHSPYERDRASPENGRGPSPSSMPEGRDSPYGGGAESPVNERYRSQSPPAEE >EOY24979 pep chromosome:Theobroma_cacao_20110822:3:32846398:32848357:-1 gene:TCM_016426 transcript:EOY24979 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY family transcription factor, putative isoform 2 MEHGWHWEQETLIGELIQGMELAKQLRVHLGTASSVESRDLLVQKILSSYEKALLILKMNRPVGQPQQNVGATSGVPESPLSTNGSPRSDDLEKDNQDIRDVSKKRKMLPRWTDQVRVSSESLLEGPHDDGYSWRKYGQKDILGAKYPRSYYRCTYRNTQNCWATKQVQRSDEDPAIFEITYRGTHTCALGNQAAVPPPASPEKQEHKSNNLNYNNNQQWQSQDILLNFRKGLRVNTEGLDNKGVAPSFSFPPSSFGCLTSENHTSSPSGVLDNNNILGSFSSPFMSPATPELNYFSVSHSQMNNLGGILDARHAESVLTELISANTSATNSPIMDLDFSLDHVELDPNFPFDTPGFFS >EOY24980 pep chromosome:Theobroma_cacao_20110822:3:32846651:32848471:-1 gene:TCM_016426 transcript:EOY24980 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY family transcription factor, putative isoform 2 MEHGWHWEQETLIGELIQGMELAKQLRVHLGTASSVESRDLLVQKILSSYEKALLILKMNRPVGQPQQNVGATSGVPESPLSTNGSPRSDDLEKDNQDIRDVSKKRKMLPRWTDQVRVSSESLLEGPHDDGYSWRKYGQKDILGAKYPRSYYRCTYRNTQNCWATKQVQRSDEDPAIFEITYRGTHTCALGNQAAVPPPASPEKQEHKSNNLNYNNNQQWQSQDILLNFRKGLRVNTEGLDNKGVAPSFSFPPSSFGCLTSENHTSSPSGVLDNNNILGSFSSPFMSPATPELNYFSVSHSQMNNLGGILDARHAESVLTELISANTSAT >EOY21144 pep chromosome:Theobroma_cacao_20110822:3:3432812:3439594:-1 gene:TCM_012509 transcript:EOY21144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALHIFCRYLVLVMLITSVSTMRQVPINDAESNLRIVSHKEDPIRPPSPRPNKAPRQVAPGKPPQR >EOY23711 pep chromosome:Theobroma_cacao_20110822:3:28314865:28315929:-1 gene:TCM_015518 transcript:EOY23711 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL2L, putative MAVLSQFLLLGGAFFSFGCRHRDYQVESRPSPSLVPVPVFSMVQSLKNQLPVMQYSCFVKRKSGACVVDDPTCCIVCMNFVDRSHEVRELGSCYHVFHRDCLDAWIDHGNITCPLCKSKLLPAQGNSSRFGSDPWRKERMIYLFGDDEMMAQKLGNIVMLGMAHDRSLGRTCHSLLPTEQMDGSKV >EOY23173 pep chromosome:Theobroma_cacao_20110822:3:26501841:26504502:1 gene:TCM_015157 transcript:EOY23173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 18, putative MGCKPSDKPKAKHRKGLWSPEEDLKLRNYVLKHGHGCWSSVPINAGLQRNGKSCRLRWINYLRPGLKRGMFTPQEEETILTLHHMLGNKWSQIAQHLPGRTDNEIKNYWHSYLKKKVAKVEESEAKTRTHNTTSSSENTESTLSPQTVIGQMPSYDSLQHIEKSSIDIDQAFPQHFDFPREPQRSFLPKLLFAEWLSLDQEGGSFANSGKPVTSSDGFNQGSSSNFQDPFINGYSLNEGVFGSDLHDGLSNSSINEIFGSQFKFEAQISGNEFVGSVSGEDICSDFNINNDVMYI >EOY22125 pep chromosome:Theobroma_cacao_20110822:3:21514931:21515771:-1 gene:TCM_014321 transcript:EOY22125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFGKTIPIKGKRRSREFGDEKFGRIPTLVNSVEDEPVPDFGLKEREEIFQREEAWRFFTANAKLSRFKVKKKRSVFRANPEDLTQAHS >EOY24122 pep chromosome:Theobroma_cacao_20110822:3:29730235:29732437:1 gene:TCM_015807 transcript:EOY24122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIDCQCMANFMVALLPIPSFLVGSPLLRASQENRALKGGIRFIACSSQDHNSFPSGIGRRNYFLLPSAIKSCKLKFNNQHQRLKVGLGWLRCQSSSPGILKAQGGRGASPVVKRLGGGDPPKYTQNNFISSKKLVFSLSHFSP >EOY22541 pep chromosome:Theobroma_cacao_20110822:3:23848645:23854453:1 gene:TCM_014685 transcript:EOY22541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxysteroid dehydrogenase 2, putative MEINKLLNIVFPPLLIIALVFILPPFLVFKLLCSIQRFVYKENVAGKVVLITGASSGIGEHLAYEYARRGARLALVARRTDRLQKVAERARQMGSPDVIVITADVSKVEDCKRFVDKTVNHFGQLDHLVNNAGVGAVQSFKVTNNISDFVPVMDINFWGSVYGTHFAVPHLRKRRGKMIVMSSASAWLGLPKTSFYAASKAAQIGFYESLRIEVGPEIGITIVTPGFVESEMTRSNQQRKGKLQFLGNEPADVCAKAIVESTCRGDMYLTEPFWVRPLYLLKFLNFLKRTINIENLSGKVVLITGASSGIGEVLLWAMKHLAHEYANRGARLALAARREHRPRAVAEKASQLGSPDAIVVRVDVSKAEDCKQFVNKAVVKISRGIRGKIEKSVQSEISLKYHLHLQLSITVDHFGNVSDAASHFSQQLRPKFSE >EOY22262 pep chromosome:Theobroma_cacao_20110822:3:22680332:22682477:-1 gene:TCM_014483 transcript:EOY22262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Piriformospora indica-insensitive protein 2 MKNPIVTIKNFLLLFSLVGLLVLCKCQEDHFFSMAPMEKREQEALYSAIQGFVGKWWNGSDLYPDPCGWSPIQGVYCDLFDGFWHVTVLNIGLVFDNSLQCSQDAKFTHHLFELTHLRSLSFFNCFFSPRHNPIRIPSSNWERLSNSLESLGFRSNRGLIGTIPTSIVSLKQLKSLVLLENGLTGELPIELGSLVNLRQLVLAGNRFTGQIPESFGGLTQLLIMDLSRNTLSGSLPLTFGGNFTSLLKLDLSSNKLEGKLPTEIGSLKNATLLDLGRNNFSGGLIESLEEMVSLKEVVLSNNPLGGDLMGVQWGNLQDLEILDLSNLGLTGKIPESMTEMKRLRYLGLNDNNLSGNLTPKIATLPSLGALYINGNNLTGKLEFSGGFYKQMGRRFRAWNNSNLCYQAEMISSLSHVPNGVKSC >EOY22281 pep chromosome:Theobroma_cacao_20110822:3:22807418:22813640:1 gene:TCM_014499 transcript:EOY22281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 71, subfamily A, polypeptide 24 MNHNLVANMFHYFCINVLPFLLFVIFLVKWLLASPSTANKSLPPSPPKLPILGNLHQLGRHPHRSLRSIAQRYGPDFMLLYFSSIPALIVSSADAARTIMKTHDVIFSDRPQIGAAKKLLNQDMSTAKKLLNQDTKYWRQLRSICRLQLLKTRGVAFGRKYSGDGVGKNVPVDAWGVDEVVGHFQNWGFYPAACLDKPCQWAKLRFYMISFKIRFLYDLFSGGTDTTDRVLEWAMTELLRHPRVMKILQNEVREIGSGKPNITEDDINRMDYLKAVIKETLRLHPPLLLLVPRGSTQDVNIKGYDIEERKLVIVNAWAIGRDPVHWNEPEEFRPERFLNNPIGFKGNDFQYTPFGDGRRGCPGMAFAMAMNEIILVNQVHKFDWSLPGGTTGEDLDMTETTGIAIHRKDTFAAGTDTTNTVLEWAMTELLRHPKIVKELQKQAVSKETLRLHPPVALLVPRISTKNVKIKGSDIEGGTQVIINAWAIGRDTKSWDKREEYLPDRFLEHSIDFKGHHLQLIPFGSGRTSCPVILFAMKINELSPNLVHKFDWSLPGGANEKDLDMSETFSITTHRKLISSRLSYLLSTIKDENHKTLISQNIVTRFWTKDYEIKTTNNIGGSKALK >EOY23021 pep chromosome:Theobroma_cacao_20110822:3:25803879:25805283:1 gene:TCM_015034 transcript:EOY23021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MDQMFFQVSSNSDPQNTTPQDKNLDYVLPVDGTSNLHSNMRSRGQQRKLLASDKSENSNDDNKKKLMHRDIERQRRQEMATLYASLRTLLPLEYIKKLRSGFAIIMSLGLLFMGFQGKRAISDHMNGAVCYIKDLQKRIDELSAKRNELKKLSNSSCFDQGMSSNDSFPSSAVVRQSLNGVEVVISTGLGAQALTLSRVLELLLEEGLDVVSCISTRIDGGLIHTIQSEVSDLTYVTVPGLQQKLNEEISSLSQIS >EOY22469 pep chromosome:Theobroma_cacao_20110822:3:23585628:23589646:1 gene:TCM_014630 transcript:EOY22469 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase regulatory subunit gamma 1 MASSVQLRRENSMQESPRSPEARVGMQVEDLWDVQEPQLSPTEKLNACFESIPVSAFPPAPQGIEIKSDASLAEAVQILAQNKILSAPVVDVDAPEDATWIDRYIGIVEFAGIAVWILQQSEPPSPRSPSSPSGADFAVAANGITSAAGLGTLSPEDAAITSGNFFEALTSSEFYKKTKVRDISGSFRWAPFLALQKSNSFLTMLLLLSKYKMKSVPVLDLGDGQINNIITQSAVIHMLAECTGLHWFESWGTKKLSEIGLPSMSLKEIITVHEDEPVLQAFKLMRKMRIGGIPVVERGGKKAVGNISLRDIQFLLTAPEIYHDYRYITAKNFLIAVKKYLEKHDKRSPMLSGMITCKRDKTIKELIQTLDLEKIHRVYVVDDEGNLEGVITLRDIISRLVHEPRGYFGDFFDGVLPLPENSRV >EOY23318 pep chromosome:Theobroma_cacao_20110822:3:27011676:27016598:1 gene:TCM_015254 transcript:EOY23318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSENEDRCLVPQSKPEETTPEYQKTLKFAYTRDFLFSLAEFDTCKKLPTGFDSSILRELGNQSTSVLHSYHDSDAGRFQSSPSLCAWRNAEQGLLGSGVFARVHRGVAETSDPVLKGSGFHILNRSSEPYRPPHLCKAKNYSVRESEDHYNDETFGSSDNLSQERAEEEQRRRDSFELMRNEQEMATQEKQKIICDEHKETLNLDIAIIVEDSGVDKKVANKYSKSRGAKPYDVKEGHPENPVFCSSVLVHQFREGEKKTAADQKVNDHESTKFSFGTNGIAHNLMKSASTPVSKESSMQLYGCCNPGAHSSHCLEESILSEINGRSSYDIEDSQSSCSNYGEAHQHFLLLLQKGAGLTDLAESSKLNMWSLYKPYVSETGNDNNQLNKSGRVFINNFEKNLREPISGTFVKDSQSTALSGTQKDLTSMYKGDDAVESQVRLPVGENCIIPLTVNGTGPIGDAEGITLSGFAKQTGVNKRNGNLLVSNIQAKVGLNLQGGPELKNSSLSSFDELDICLPDEDSLITADDYILQQDSMFMAAGDTSSTPVNIFGRLADCGVAVGEKRFDLAGFLRPTSFHSSHDLVSLDTPFKSLRMQQSYPQLQHSQLKQGKEQGSCSKSQESIKGLRIKPKNLKACPCNLQPLQHFPTNVHLTSYQHLRAAETGFDHSGNNQLPQELPIPGYFPPHQLYGLPRVAFPQHTSNEMVCYAQDQNAMLNSSLSFKQQCYRDLESASSRHFEMGIESKADASSYYAWP >EOY22820 pep chromosome:Theobroma_cacao_20110822:3:24892853:24894914:-1 gene:TCM_014878 transcript:EOY22820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIAPTCQEASGAVEQNDATTNDHKEDKGIPKEAGSTKQEERADKPKNVDTIVVEPDDLGTEKVVNFEYHDKSKDLPGLSLEHLSIDGTASLQNKKETDHNKDSKDCPGASHEDLPIYAAVPFQNKKEIGIGLSLESTEPSENLYVYEKEVETMSDHKKDSRDAPGSLHEDLSNDGAVYLQNHKETIF >EOY20688 pep chromosome:Theobroma_cacao_20110822:3:614432:617366:-1 gene:TCM_012046 transcript:EOY20688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase 2, putative MKIFFLFLFLSLSSSNVVQVYSTGTGKKSKESPFTARASLIRYWNKQISNNLPKPPFLISKASPLNAIDLAKLTKLASQKSLSSHIESFCSLANLFCLLEDSSEPDAVGKRDQDANFAVYSSKSFTGYGTSRLGGVDSFKNYSDELNTPNESFKKYSRGSNGHREEFTSYAKDANVATDNFTNYGTSATGGSGGFNNYQERVNVPNLRFTTYDSDGNNHKLSFTSYSGETNSGSEAFVSYGKNGNAVPAEFTSYSDNANTIGSSFTGYGELGNAPNNSFKAYGDSGNNPHNNFKSYDLAANAGTNSFTSYRDSANVGDDSFQSYARNSNSGKVNFANYGKSFNVGNDTFKEYGKGSTGSTSIGFKIYGLDSSFKDYAKKGVTFAGYTNSSSREIASSRSSGVSVNRWVEPGKFFRESMLKQGNVMVMPDIRDKMPKRSFLPRGVLSKLPFSTSELPSLREIFGAPDNSTMELVLINALAECERPPSQGETKRCVGSVEDMIDFATLVLGHNVAVRTTKNVEGSKQEIMLGKIKGINGGKVTKSVSCHQSLYPYLLYYCHSVPEVRVYEAEILDVKSKDKINHGVAICHLDTSTWSPSHGAFVALGSSPGRIEVCHWIFQNDMTWTIAN >EOY25025 pep chromosome:Theobroma_cacao_20110822:3:33000823:33005628:1 gene:TCM_016467 transcript:EOY25025 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-related isoform 1 MSAEFDDDGEQTMSINEYLESIEEEELEADLVLGGDEGDECTYSKGYMKRQAIFSCLTCTPNGNAGICTACCLSCHDGHEVLELWTKRNFRCDCGNSKFGEFSCKLFPNKDVENIENSYNHNFKGLYCTCNRPYPDPDLQEQVEMIQCCMCEDWFHEEHISLNSPNEIPRDEEGEPLYEDFICKACSAVCSFLTLYPKTIRAAGGQPVAPVTTSKDKSVVEDIPPKDGGAGKLENNVCSDSSIKDNLVADANCESVSDGKKFVLGESSQNDDSSIASSHATCILGADSVVSESKPLFLSKNWRDTLCRCDKCLDMHKQKHISYLLDKEDSIAEYEKTAKQKREEKLQQREGAAVNFFNNLGHVEKMEILNGIADFTDEFRSFLGSVDPSKAITAADVNQIFENLKNKRRRVYAMSASTRMVRTCRHISIVSIPISCRKELLSFLVNER >EOY25026 pep chromosome:Theobroma_cacao_20110822:3:33002646:33005628:1 gene:TCM_016467 transcript:EOY25026 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-related isoform 1 MLCWKFYICPTTQECVYFSFHFCNICKVLELWTKRNFRCDCGNSKFGEFSCKLFPNKDVENIENSYNHNFKGLYCTCNRPYPDPDLQEQVEMIQCCMCEDWFHEEHISLNSPNEIPRDEEGEPLYEDFICKACSAVCSFLTLYPKTIRAAGGQPVAPVTTSKDKSVVEDIPPKDGGAGKLENNVCSDSSIKDNLVADANCESVSDGKKFVLGESSQNDDSSIASSHATCILGADSVVSESKPLFLSKNWRDTLCRCDKCLDMHKQKHISYLLDKEDSIAEYEKTAKQKREEKLQQREGAAVNFFNNLGHVEKMEILNGIADFTDEFRSFLGSVDPSKAITAADVNQIFENLKNKRRRV >EOY21253 pep chromosome:Theobroma_cacao_20110822:3:5084370:5094250:1 gene:TCM_012681 transcript:EOY21253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVQPQLTNKPACRQRVLGAHSITRLFARHLVHQYHSGLTGWSSILDQKTCAPPISPSQDRLWRVYSRS >EOY24621 pep chromosome:Theobroma_cacao_20110822:3:31668590:31670500:1 gene:TCM_016174 transcript:EOY24621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKNAGNIFVWLLILVVYEASLCAAKCKKPEELPLHEIYITNSMPHEAPVRCFRYDLTDNYLGNKWLKPREETKFQFRYELLPETTYYCTTTNGSFAAYRQSYDCAQNKVNLCEWRVHETYAELYSLIQQRWVHFDYEPVKNPTCYKDLCLRFPRGYPSHKAPCHKESSKASVPEQNALYDLSPK >EOY21348 pep chromosome:Theobroma_cacao_20110822:3:6681331:6683718:1 gene:TCM_012854 transcript:EOY21348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux facilitator isoform 2 MITLTDFYHVMTAMVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFISSNDPYSMNFRFIAADTLQKIIVLVVLAVWTKVSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGAKMLISEQFPDTAGSIVSIHVDSDIMSLDGRQPLETEAEIKEDGKLHVTVRKSNASRSDIFSRRSQGLSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMAGGRNSNFGAADVYGLSASRGPTPRPSNYEEDGAATGKPRFHYHAPGGAAHYPAPNPDGGRDLHMFVWSSSASPVSDVFAGHEYGASDQKEVRLAVSPGKVEGHRENHEAYMERDDFSFGNRGLDREMNNHEGDKVGDGKPKTMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFRWNVQMPAIIAKSISILSDAGLGMAMFSLG >EOY21347 pep chromosome:Theobroma_cacao_20110822:3:6681260:6685448:1 gene:TCM_012854 transcript:EOY21347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux facilitator isoform 2 MITLTDFYHVMTAMVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFISSNDPYSMNFRFIAADTLQKIIVLVVLAVWTKVSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGAKMLISEQFPDTAGSIVSIHVDSDIMSLDGRQPLETEAEIKEDGKLHVTVRKSNASRSDIFSRRSQGLSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMAGGRNSNFGAADVYGLSASRGPTPRPSNYEEDGAATGKPRFHYHAPGGAAHYPAPNPGMFSPTGSKGVGANANANAKKPNGQAQLKSEDGGRDLHMFVWSSSASPVSDVFAGHEYGASDQKEVRLAVSPGKVEGHRENHEAYMERDDFSFGNRGLDREMNNHEGDKVGDGKPKTMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFRWNVQMPAIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSVAAFAMAVRFLTGPAVMAAASIAVGLRGVLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILLGV >EOY20675 pep chromosome:Theobroma_cacao_20110822:3:546060:549653:-1 gene:TCM_012033 transcript:EOY20675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMIFGQLKKIILSNILKFACVTREMMMVELIIVKNLRINGCEELTSLWQSKWGWLAPLRSLHNLEFQNYPQVVCIGAAKEEAKEELLQLEIPCNIKHVRLEGFQGLERLSKSSHNLTCLTELEIVKCPNLVSLSVDNLPPNLRTLNIHNCENLQYLLDDIENIDFSSTSLLESLQVSACEALKSLSSSGQLPVGLKLLNIYLYPELAVLAQKIGDNTCLESLSLWDCRNIKYLLKGLDKLSCLQQIKFQDCPNLISFPKSGLPSANLKTLWLCGCEKLEALPNLHSLQQLFILACPRVQYSIREWGFLTNLTSLLMCEPKICVRQSWSGDCIDSPLLQPSTLMDRNESEIPVIDESTKPGHKGGMDLHHHAIELDIESWPVEHSMEQQDEDRPVKCQMPAYSSINVRYRVHLLEQWFRCDNS >EOY25431 pep chromosome:Theobroma_cacao_20110822:3:34249272:34251791:-1 gene:TCM_016741 transcript:EOY25431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MPQEQPLRRNLSKRRSFRCGVDRDDRGWTMLHIGARKGDLNQVKQLLNEGMDVNVAAWGPKSKGVTPLHLAAEGGHLEVMDELLERGANIDARTKGACGWTPLHTAAKERKKEAVKFLIENGAFLPDDINDSRFNPPLHYCPGLEWAYEEMKRLQRDNLSAGETSYSSESL >EOY20859 pep chromosome:Theobroma_cacao_20110822:3:1549600:1551128:1 gene:TCM_012200 transcript:EOY20859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHHQTLYVIVNFPKGETAQYGSSGLIKEISGREFNNKSNSTVGNNLVDQLKSHYPFDSTNFLTQLLSTKSIPIELATSLKGRSPSQTSCQNLTTHLSPTDQCTWVLLCKSSVDDIKFGFQASADTFCFFGGLVIASVQYIGED >EOY23116 pep chromosome:Theobroma_cacao_20110822:3:26253923:26257179:1 gene:TCM_015108 transcript:EOY23116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 87, subfamily A, polypeptide 2, putative MPCSLLCIAVIFLGIALWIYNWRNPRCNGKLPPGSMGLPIVGESFQFFSPYTTSDVSPFIKKRMDRYGSLFRTSLVGRKIVVSTDPEVNRFIFQQEGRLVQTWYMDSFDDIVGKENILSSHGFLHKYLRNLILNLFGSKSLKERLLSETEELTVKNLQLWSCEPGVELKQAMATMIYNFTVKKLFSCNDPRSAKKLRACYSAFLDGLISFPLNIPGTAYWKCLQGRNKAMKVIKSMLEERRASPKRQQKDFLDIVVEEMKKAETILSEQTALDLLFALPFAAFESASSAVVLALQYLRNNPLALAELTQEHEAILTERETKDSGITWKEYKSMTFTHMVINETIRLGNIVPAIFRKVVKDVEVKGYTIPAGWIILACTPAVHLNSEIYEDPLSFNPWRWKGRELNAGSKFFMGFGSGVRLCAGAEFVKLQMSIFLHHLVTKYRWTVIKEGDAARQPGLVFPEGFHVQISKKNSCQNVAEL >EOY21671 pep chromosome:Theobroma_cacao_20110822:3:16354910:16360792:1 gene:TCM_013721 transcript:EOY21671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytics, putative MYYRLTVALLTDKVYLIKSLGDWSLLHLTFQARLFVPLKFITSVLNFDAGAPLKLEKSLNFCSYTGIACCDSAKDLQVHKSFQALNVSDAACASVLKSILCSVIFCSQFQACSQFSAQLYEVKSGLREVPVLCNSTGTHKSPHFSTGASIGFCPKVWDICGNISILNPPFVQSKQGRFGINLSSSPSKLMDQWQSRKDFCEAFGGPSDEGEVCFDGESVLFVHEEAPQHPEGLCLEKIENGAYLNLIPHSDGSNRVFLSNQKGKIWLANVPDVGSSEVLGTVESQPFLDITDQVLFDTEFGLMGMAFHPNFANNGRFFLSFNCDKMQHQGCFARCLCNIDVNCDASKIGADNGIQPCQYHSIIAEFTFNGTAPKPSMSKRANPLEVRRIVTMGLPYRGGHAGQLLLDLKMGEKEINDLVLWGNYSIPRDNPYVEDRELGPEIWAFGFRNPWRCSFDSERTSYFLCGDTGQDQYEEVDIVTKEGNYGWRVYEGPLPFHPQLSPGGSTPPSPINPISPVMGYSHSEIDKNLGLASIIGGFFYRSLADPCINGRYIYMDLYGEAEPLSTEAIWAGSEYPLNSGGFTTSRIPFRCARDTPIPCVITTGSSSPSLGFVFSLTEDNRIDFYILTSTGVYRVARPSRCNLKCSKEIVANVTPTSHSSSSVAINGLRGCRFIGLLVFFVLVLSL >EOY21728 pep chromosome:Theobroma_cacao_20110822:3:17707855:17716861:-1 gene:TCM_013837 transcript:EOY21728 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein, putative MAFKDRSRRWPSHIAEKFVPPSFWTEDARGNYLLYIVLPGFKHEEVRIELPSAGHIRIEGEKIVNENKCIYIDQTFPLPENSDQDNILGKFEGERLYVTVPKILAAEQSEDEDSRENDENDNSTAEESNSNDENQRKHEQSHGAIQRHDSNKKGKRNETCRVASFPKEIVKKWNKEDNPLEMAIKCLKRNKGLVLSVVISFSLGVWVSKLFINNGEI >EOY23228 pep chromosome:Theobroma_cacao_20110822:3:26715301:26718265:1 gene:TCM_015195 transcript:EOY23228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent oxygenase, putative MALLHSIFLLLLFACSFSNISAERIDELHGVLRLGNVQSLQPLEVRLLYDNFFVYNLFLLVLFCMSSRKELRDEEVHEESVIQSRLSAQSNTIDPSRVMQLLWQPRVFLYNGFLSDEECDHLISLGHGAKEGILGINDDRVNVGTNRQLTSSEPLLNTEDKVLAMIEERISTWTFLPRDNGEPLQVRRHGLEGTEQNLDYFGNISTLALSEPLMATLILYLSNVTRGGEILFPHAEPRSKIWSDCAKSSNIVKPVKGNAILFFTTHLNASPDGSSSHARCPVLEGEMWFATKFFCLRAVKGDKVSFDSDGNECVDEDANCPQWAALGECQRNPVFMVGSPDYYGTCRKTCNAC >EOY21129 pep chromosome:Theobroma_cacao_20110822:3:3265129:3271762:-1 gene:TCM_012486 transcript:EOY21129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic, putative MVLVQFQSDFRFAATLLSFNPSRSDPTPRFPCSFRRPTRIRGWSPVTKAAFKRNVEKKNEKKEPNICTADELHYVEVEKSEWRVALWRYLPSPEAPSRNHPLMLLSGVGTNAIAYDLSPESSFARFMSGQGYDTWILELRGAGLSAQGVDFGQVKDPLNAMSQRKDFYVKDGKYGTLANTAYGSFSMKRSFSGFSETGKSFAFADQIGDFIQKLVNIMEEGERSPAARIFYMQDRVSTALKDVQKQLDLIVKYDWDFDHYLEEDVPAAMEYIKTKSKPKDGKLFAVGHSMGGFEGRDSGLASVTALASSLDYMSSKSSLKLLLPLADPLQVLNIPVIPIGPLIAAAYPFATRPPYILSWLNSQISASDMMHPKLFEKLVMENFETVPAKLLLQLATAIQEGGLRDRSGTFFYKNHLGKSNVPILAIAGDQDLICPPEAVYETVKLIPEPLVTYKVFGEPGGPHYAHYDIVGGRLAIDLVYPCIIEFLNRHDEA >EOY21153 pep chromosome:Theobroma_cacao_20110822:3:3565551:3567055:1 gene:TCM_012524 transcript:EOY21153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLNPVVKDYEISGNLWCRCPILIIQKNYIRDIRRAEEPKGVPSTEMPIGVPSVEVFKGVPSTEASKGVSNMEAPRGVPSTKAPRGVLSVKAPKSVLSTEASKGISSVEVLKGVPSVKAPNGVPSPRTFQAQKRPRSITSTEAPNNKHGIAICTGK >EOY24374 pep chromosome:Theobroma_cacao_20110822:3:30688582:30699892:-1 gene:TCM_015991 transcript:EOY24374 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor MHFQLQAKGAVELAGFASICQQDKWIKQQEANSFSFANSFYYNNEQEPTSVLHMRRSQSPPTSASTLSSSFNGGAAGAGGGGNSTDNTTTTAATIAPPETSLPNNNKEEWATELQPIPSELDLVPGPGGGQRCNLGLEDWETMLSESAVSPSQDHSFLGWITGDVNDPSFGLKQLLQSGSTGPNPGLDFEGNAGLGVVDQGPGFDPIGSLNSSGPGNVISSAAPNLGGFPGSGFLPNTSNNGNGKIGSVMPSSSSVGVVNNHKVLGASVGLNTNIQNPVFTSPANNIGLPVSLPMLYQQQQQGQYVESQEEKPQILNAQVLMVQQQHPQNPNFFLPLPQEHHLLQPLPKRLNPGNLELSSQIPKLQFSDAGHELFMRKQQQQHMGFPHGVQFVPQQKPLMVAKQKVLGPGEEMAQQQQQHQYQLHQQQQTTLFDLLYQAAELVGTGNFLHAQGILARLNHQLSPVGKPLQRAAFYFKEALQLLLIMNNPVSPPPLRSPTPFDVIFKMGAYKVFSEVSPLIQFVNFTCNQALLEALDDADRIHIVDFDIGFGAQWASFMQELPMRSRGAPSLRITAFASPSTHHPIELGLMRENLMQFANEIGVNFELEVLNFDCLDQTPYSLPMFRSNENEAVAVNFPVWSSSNQPSALPNLLRFVKQLSPKIMVSLDRGGDRNDLPFPQHIIHAFQSYINLFESLDAVNVTSDAVNKIEKFLFVPRIESTVLGRLHAPEKMPLWRTLFSSAGFSPVTFSNFTETQAECVVKRAQVRGFRVEKRQASLVLCWLQGDLISVSAWRC >EOY24149 pep chromosome:Theobroma_cacao_20110822:3:29833656:29847435:1 gene:TCM_015823 transcript:EOY24149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQDCHILELNYWGRNLIGVFFIAGDHDDEITGNRSKSACKPVEKACKESKYLGVPRIYCTWSRDFRIINLFENNYERNDDTYPSSGAMSSSCDVGSGKFPSSFGQRIGKPRHMIKTQPPLLRFSSSPPLLALPLALLVSPPSLPLGEEEAEDDDEAEDDDEDQ >EOY23736 pep chromosome:Theobroma_cacao_20110822:3:28404683:28409311:-1 gene:TCM_015537 transcript:EOY23736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding endonuclease/exonuclease/phosphatase family MRKDRRTKTKGRVSRIGSYAIASSMRDHPHQPCITCTTFNILAPIYKRLSHKDQNCRESDYRAYWLARNQRILDSLLYERSSIICLQEFWVGNEELVNMYEKRLGDAGYLNFKLGRTNNRGDGLLTAVHKDYFRVINYRELLFNDCGDRVAQLLHVELATPASQYRNNDTCQEILIVNTHLLFPHDSSLCIVRLHQVYKILQHVESYQKEYKLNPLPIILCGDWNGSKRGHVYKFLRSQGFESSYDTAHQYTDADAHKWVSHLNHRGNVCGVDFIWLLNPNRYRKLLKTSWSEAVFGMFKNQLRKASLTEDDAFAFLKADNDGDYVTYPGFCEALRQLNITGHRHGLSVEETKDLWVQADIDGNGVVDYKEFQQRIWKPTWSEHRDSNESVDGKEQAIGFSVKNAVLFPPEVEKGRWPDNYSLSDHARLTVVFSPIRMPCSQLTS >EOY22257 pep chromosome:Theobroma_cacao_20110822:3:22658475:22659660:1 gene:TCM_014479 transcript:EOY22257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dr1 MARISQDSSKRHFHWTKKVGTEDDDEAPTFKSSSNSNEEDKKENLKSHVAMPTPRKKLPAVAVARLRSVLTAFGKNRSSLPFGLGPRVVGTLFGYRRGHVHFAFQKDPNSPPAFLVELATPISGLVREMASGLVRIALECDKEKEEEKKAVRLLEEPVWRTYCNGKKCGFATRRECGAKEWKILKAVEPISMGAGVLPGSGAEAGADGELMYMRAKFERIVGSRDSEAFYMMNPDSNGTPELSIYLLRV >EOY25383 pep chromosome:Theobroma_cacao_20110822:3:34106230:34109410:-1 gene:TCM_016709 transcript:EOY25383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILVEILSRQPYDVPVNCEYIYYIYRSSCFPNCGCPPMWAGSPLSSCVNPLGLNLEQQPKISQSMMDKRADLGISADKALFVSTITWYLAYKGSCIWLVGLRKSKGGEAPFQPCG >EOY23778 pep chromosome:Theobroma_cacao_20110822:3:28579995:28581708:1 gene:TCM_015569 transcript:EOY23778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tumor necrosis factor receptor superfamily member 21 MWLAGVVKNSIKGGHGSIGSLLVRYMSRSRAVNVRKINPKVPIPEARSIATSLYDIIKDHGPLTVSNTWIHAKEAGIGGLNSKTHMKIMLKWMRGRRMLKLFCNQVGSSKKFLHCTLPEEPQTNQLESPSELKLQTKKPSQKRKKKSK >EOY21067 pep chromosome:Theobroma_cacao_20110822:3:2613669:2618192:1 gene:TCM_012379 transcript:EOY21067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein MASKVERHSISLGWTQPHEFHLNVRFDVFAIDSIKKIAYLSSFFSHTIQAKAMGNETEKTPTSAKLFSSHFQLVHLFHLVSFVIGLSLGIIVSSNSHLHIDHLFSFSSLQPLLSPPPPPPPSLLPLSPPPLPPPPSLPPPPPPPPSVPHHSSFDSNIWPPLMHNMSDEELFWRASFVPRVKEFPFENVPKIAFMFLTKGALPLAPLWEMFFKGHEGLYNIYVHPHPLYKDSVPEDSVFYGRRIPSKPVEWGKKTMIDAERRLLANALLDFTNDRFVLLSETCIPLFNFTTIYNYLLNSNQSYIGSYDDPRKVGRGRYNPKMFPAISIAQWRKGSQWFEVTRSLAIEIVSDKKYYESFRKYCSPPCYMDEHYIPTLVYIAWPEQNSNRSVTWVDWSKAGPHPGKFGKGAISEDFLNKVRFAGNCCYNGNITSMCFLFARKFLPNTLQPLLDIATMLLSSNQFLT >EOY24912 pep chromosome:Theobroma_cacao_20110822:3:32653692:32655647:-1 gene:TCM_016383 transcript:EOY24912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSANIGITKTSPSSCLKKSLDQDLQECEALIFVLILCLWVILLFSSLDAKVKRSTFSVCPLSSSFPNNGSELNVERERER >EOY23162 pep chromosome:Theobroma_cacao_20110822:3:26457755:26458998:-1 gene:TCM_015149 transcript:EOY23162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clavata3/esr-related 14, putative MRTQNSLFLFLTFFIILGLIRVTSCRYISQATSKETDQRLRDKYSPMFYSSFSAIHILGKPAGTKKFSSVHAVSHRLVPDGPNPLHN >EOY24037 pep chromosome:Theobroma_cacao_20110822:3:29402174:29409241:-1 gene:TCM_015742 transcript:EOY24037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eyes absent MFLWTTSRGVAKSGPLAQPILSSWARNDAIINLFFPFSVLFWENLFEPGIFFHSSSQHTLSASSLPLNSLTQADMNQITNVYVWDMDETLILLKSLLNGTYAEAFSGVKDSQKGVEIGKMWEKHILQISDDFFFYEQIENCNKPFLEALSKYDDGQDLSDYDFKQDGFGPPHDDLNKKKLAYRHRVIANKYKQGLHNIFDQEMMDLWDALYNMTDEYTDRWLSSAREILEQCISGNEDATFCLPASGGIVNSNETGSRHINVLVTSGSLIPSLVKCLLFRLDSLISYENVYSSWDVGKLQCFEWIKERFNNPNFRFCAIGDGWEECEAAQAMKWPFIKIDLRPSSSHRFPGLTLKTVGFYFSVVYGNPDSENDEE >EOY22591 pep chromosome:Theobroma_cacao_20110822:3:24095863:24101087:1 gene:TCM_014721 transcript:EOY22591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLPQVSSSGIAEEVAASLSTFVQTPHRIVGVSSCDLIGVQGGILGNRIQVDLPRSSFGDLQRKTTGEHPKDPETLNVNKDGRSNIYRLKIGTMEQNGWLAHKSGHNIQKPVPRILGFETRALHSPVDVFNGVQTSSTVVSITSNAAESNGSLARKRLLSPLSGMLCPDEFNGDALDIGGGINQSGFGSGTENCSIYVSQEHKKAHIGNSSSLDAAVWSTSCFPEWKNSPDDNCGANSMFLSDGPLLKNKELKSDNHFVPTTPGLNYSGETNKKRYQTLAIAIPVKKAASPPLSLSPLGPKFTERIKHAKGCRDVAEKLDDDYMTFKDMEQSLNGMVSVQTDKDFRLSSKSFRHFEDLQNRFDPCSPELTSAVGPKTGKLVRSLSGHSVRRSLVGSFEESLLTGRLLSGKVSQKIDGFLAVLSITGGNFSPQSQKLPFTVTSVDGDNYLLYYSSIDLARHISTNKCRGSKMKRSLSMDDSQAENRRLRVPMKGRIQLVLSNPEKTPIHTFLCNYDLTDMPAGTKTFLRQRITLAPAAATNIPGKERHQSSEVKYDVKASPIQNINNSSPFSGKFHTTRYPDQNMKDIERETAGRVGNLDNDSSGLNISELIQTDKDNSPLNAGNVNLNKFVHSSSRVNENTIGTGVLRYALHVRFLCPSPKKCSKSVRRCKSDPLSEPAGTMNIDRERRFYLYSDMRVVFPQRHSDADEGQLHVEYDFPSNPKYFDISS >EOY24776 pep chromosome:Theobroma_cacao_20110822:3:32169702:32171065:1 gene:TCM_016275 transcript:EOY24776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGKYTFFLKTTVLAGSTPHSLAAYRLGLPLAAESWCRHKHNQSAQSKLQGLWAMAYWTLGWALPIPSCCLRKPSDCV >EOY24375 pep chromosome:Theobroma_cacao_20110822:3:30702999:30704923:1 gene:TCM_015992 transcript:EOY24375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein MAKSYFKQEHDLEKRRAEAARIREKYPDRIPVIVERAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSAIYEEKKDEDGFLYVTYSGENTFGHLMSQ >EOY23989 pep chromosome:Theobroma_cacao_20110822:3:29225698:29226957:-1 gene:TCM_015709 transcript:EOY23989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLFVRSRGKRNYPSLPTFMGKKKGSKLANELSSPGSANVPKHAVIVMDGLKEFTTELLEWVLENIIASGHIVTLLGFMPWLNIPLFSKTWQDVWMLEFEHLSLIKEKNEWKNDAKYLKLQAVLDLCRKHGVVPQKEIVMGYPLPLLVVERIISLRATWVVFDSNRELRKNREFFAEKIPCNMVMMSEEGDMDMIKGRPMIDSGEHTPSDQSPGSLAPTPLVIYSEPLKRILEEKELDIDDDD >EOY23138 pep chromosome:Theobroma_cacao_20110822:3:26338699:26339982:1 gene:TCM_015124 transcript:EOY23138 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein, putative MEEDLFWAIRGSYGIVLAWKLNLVPVPATVTVFSITKTLEQNATELIHRWQYAAHKFPDDIYLIVTITRVNSGQEGKDTIQAVFTVLGGVDNLISLMEKRFPELGVVKQDCIAMCWIQSLLYLSQFPIEHLEILLDRSTAIPYGGKMDEIPETETPFPHRAGNMYKIIYNVRRAEEENLEFQKYINWIRRFYSHMTPNISKSPREAYVNYRDLEIGVNNKSNTSYAQASIWGFKYFKNDFNKLVPAKTLIDFENFFKHEQSIPPLSSL >EOY23612 pep chromosome:Theobroma_cacao_20110822:3:28016831:28017502:1 gene:TCM_015451 transcript:EOY23612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENQGSCLSYMAAWLGTSVAQAFFASLERCSCINLSTSDDADDSEAHDRPLMFTNCSSICSSVTSRTDNLPPNDVVNLPV >EOY23452 pep chromosome:Theobroma_cacao_20110822:3:27455085:27459083:-1 gene:TCM_015342 transcript:EOY23452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMETNLEEVEGKVRTSEIVCNGEVGNCRCCELEERSRKAEARCVELELEAQKRKSEFEALETKFRTLEAVKLALENEIKVLKSQNHEFCPLIGHSDNENLVGHGGKAVMEGVVDLTEENDEEDKVFKLMTENSVLECEKSEAEVWKQKFKELESLTLLLRKSLVLKSAEQPFDGKKSDGNCDIVACGNKTSEAIESKDGSLVENSLNDMTAIVKAVGFMDSGSTLISPGKGAGNLQPAGTPFSDTPCKHFTSDEGDYSRLQNGKQVKRHLAFQEERSPSKQMAPSTPNGAKTASVSIIDIHDSDDEPDLAPSTNKQEYSKGNISNNNELDGTVGSENQNMTIGGQKLEEQVGSCEDNVPFISVSKRKRALNIVTSDTENDDDDNVPIGRLRRMRCEEVVSDQTSIKAKGCAVADIPPGIDNVGSTVTPRKRRLVSLRQSERKTGVKNYSSKKTSENECSKGITKTEDIEDDSSEAIGSDGESDSLNGFIVDDSAIADGDNGCSESQGGSDCNNAHSGSEDVSSGSSACSGSKDVSDDEVDFDVILSQLKRNKDRKSDWKFEGELLAAFGKDLELCMKAVCALYRQQTSGEKLWKATLLQNQRGFSKFDAHRGCTLAEFLTDGDPQGDLKKSVRELQAYDSNAVELCRDLATRYSKQLFQIYNSKEDPYFRPSDCPATN >EOY23451 pep chromosome:Theobroma_cacao_20110822:3:27454889:27459161:-1 gene:TCM_015342 transcript:EOY23451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMETNLEEVEGKVRTSEIVCNGEVGNCRCCELEERSRKAEARCVELELEAQKRKSEFEALETKFRTLEAVKLALENEIKVLKSQNHEFCPLIGHSDNENLVGHGGKAVMEGVVDLTEENDEEDKVFKLMTENSVLECEKSEAEVWKQKFKELESLTLLLRKSLVLKSAEQPFDGKKSDGNCDIVACGNKTSEAIESKDGSLVENSLNDMTAIVKAVGFMDSGSTLISPGKGAGNLQPAGTPFSDTPCKHFTSDEGDYSRLQNGKQVKRHLAFQEERSPSKQMAPSTPNGAKTASVSIIDIHDSDDEPDLAPSTNKQEYSKGNISNNNELDGTVGSENQNMTIGGQKLEEQVGSCEDNVPFISVSKRKRALNIVTSDTENDDDDNVPIGRLRRMRCEEVVSDQTSIKAKGCAVADIPPGIDNVGSTVTPRKRRLVSLRQSERKTGVKNYSSKKTSENECSKGITKTEDIEDDSSEAIGSDGESDSLNGFIVDDSAIADGDNGCSESQGGSDCNNAHSGSEDVSSGSSACSGSKDVSDDEVDFDVILSQLKRNKDRKSDWKFEGELLAAFGKDLELCMKAVCALYRQQTSGEKLWKATLLQNQRGFSKFDAHRGCTLAEFLTDGDPQGDLKKSVRELQAYDSNAVELCRDLATRYSKQLFQIYNSKEDPYFRPSDCPATN >EOY23737 pep chromosome:Theobroma_cacao_20110822:3:28412438:28413137:1 gene:TCM_015538 transcript:EOY23737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate family protein 5 MIYIIFGELAMHGFAGGMDGFEELNKMERKKRRLKRHDRISFSATLPGDGSGVFAGSLCVVKLSKDPFSDIRESILDMIDDAGVRDWNTMEELVYCYIALNSPEVHEIIVNAFLSLCYS >EOY21674 pep chromosome:Theobroma_cacao_20110822:3:16627507:16633356:-1 gene:TCM_013735 transcript:EOY21674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like / Major Facilitator Superfamily protein MVMENSFLDTKWMATAGSIWIQCTSGASYTFGIYSSILKSSQSYDQSTLDTVSVFKDIGANAGVLSGLLYATVTTLRSRFLSGPWVVHVAGAIQSFAGYFLMWASVVGLLRRPPVPLMCLFMLLAAHAQTFFNTANVVSGVENFQLFGGTIVGIMKGFLGLSGAILIQVYETLCEGDPTTFILILAITPTVVSLLLMPWVKIYRSSTVDDKKHLNGFSSIALSVAAYLMVIIILENIFTLPLWTRIITFMILLLLLAAPVGIAIKAHRDSVRLLQAPPPETSPLMNAPEPMPSTKYSQPQDPEEYHEIPGEPGQAKEASSSSDNSLEDEEDINLLQAMLSVNFWLLFIAMICGMGSGLATINNISQIGESLGYTTTERSSLVSLWSIWNFLGRFGAGFVSDIFLHKGWARPLFMVITLATLTFGHLIIASGFPGNLYVGSIVVGICYGSQWSLMPTITSEIFGVRHMGTIFNTIAIASPVGSYIFSVRVIGYLYDKVAIGEDNSCYGTRCFMLSFFIMASVAFFGFLVAFALFLQTRRFYMQVVLRRLRRSS >EOY23908 pep chromosome:Theobroma_cacao_20110822:3:28981556:28982775:1 gene:TCM_015657 transcript:EOY23908 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-specific glutamate dehydrogenase, putative MCRSTDYNDFRSGHQNLLKIKAFFVRFSGLDSCTEPLSDSLTLLYPPRINEKTLEVSGSKVRPDLPAFVTLHRMVNVKTKIGEAIYGSRERVRAEDGVCFEVYSRKEKVLKGIFRKDDRQKWKLECKCAIERWDGETVGVDRAVADVCVAVEGDVPMGERVEMVVRKRGNNRRVGFDQLEEIPEEREGESESDGGCCCSCGESDGEGLEGRCDGDCGGGQEMDMEGVSWAVDVGLWVMCFGVGYLVSKASAKSLRRMRLL >EOY24066 pep chromosome:Theobroma_cacao_20110822:3:29524587:29526676:1 gene:TCM_015770 transcript:EOY24066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLSLKLQDDQNPLLKAKIPISIFSQPFISSLTTTTPTTSSNKSSQDTSFSLSTNFPSGPSLKLSYAPSTSPTTTIPFSISLKSGLGLLGSPKDSPLVFTAQFSLSSAKPGTVIPTFSLHFKPQFGNFALHKATSSNPSLEPDSGSHSIPGTQFQSGSSSNSEFGNGFALDGSSVWQEVKLEPRSGVDDGLETSKLGYGKGLYSNDGFGTERSLVREDGKKAGIFGGIAVRARTLFPVTKRAVVNLRWAVNLPSDVGSKMPYLTVNKIGIERVEEVKEVTEVKSKSIESNVDDLELLKGMYSWMKRDLETLENENREMKQCLHDMRLGVSAKNVRRESEGIGRRVSTPSVENSNEFERWRGKKIVADDNGGREVKKSVNKMNDVESELQKAIKAASS >EOY20585 pep chromosome:Theobroma_cacao_20110822:3:234223:248345:1 gene:TCM_011968 transcript:EOY20585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Betaine aldehyde dehydrogenase 1 MAVQIPSRQLLIDGEWREPLLKKRIPVINPATEQIIGDIPAATAEDVDLAVAAARRALSRNKGKDWALASGAVRAKYLRAIAAKITERKSELAKLEAIDCGKPLDEAAWDMDDVAGCFEYYADLAEGLDAKQKAPVSLPMGTFKSYVLKEPIGVVGLITPWNYPLLMAVWKVAPALAAGCAAILKPSELASLTCLELAEVCRDVGLPPGVLNILTGLGPEAGAPLASHPNVDKIAFTGSTATGSKIMAAAAQMVKPVSLELGGKSPIVVFEDVDLDKAAEWTAFGVFWTNGQICSATSRLIVHESIAKEFLDRLVKWTKNIKISDPLEEGCRLGPVVSGGQYEKVLNFISTATSEGATILCGGVRPEHLKKGFFVEPTIITDVTTSMQIWREEVFGPVLCVKTFSTEEEALDLANDTHYGLGAAVISNDLERCDRVSKALQAGIVWINCSQPCFCQAPWGGNKRSGYGRELGEWGLENYLSVKQVTQYVSDEPWGWYRSPSKLTLLTLLKEKSEQKMAIIPIPSRQLFIDGEWREPLLKKRIPIINPTTEQIIGDIPAATAEDVEVAVEAARRALSRNEGKDWALASAAVRAKYLRAIASKIKERKSELAKLETIDCGKPLGEAEGDMEDVAGCFEYYADLAESLDAKQKAPVSLPMKTFKSYVIKEPIGVVGLIIPWNHPLLMAAWKVAPALAAGCAAILKPSELASVTCLELAEVCRDVDLPAGVLNILNGLGPETGAPLASHPHVDKIAFTGSTATGVKIMTAASQMVKPVSLELGGKSPVVVFEDVDIDKAEFLDRLVKWSENIKISDPLEEGCKLGPIVSRGQYEKVLKFISTAKSEGATIFYGGARPEHLKKGFFIEPTIITDVKTSMQIWREEVFGPVLSVKTFSTEDEAIELANDTHYGLGAAVISKDLGRCDRLSKVVQAGTVWINCSQPCFFQTAFGGIKWSGFGRELGEWGLENYLNVKQVTQYVCDEPWGWYQSPKQRSCPWKYKY >EOY23815 pep chromosome:Theobroma_cacao_20110822:3:28669005:28670188:1 gene:TCM_015591 transcript:EOY23815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIILTLKAFSQILDMTRPQEMHYFLRAIQSLVFYFLYLSLNESHNLFRQNFYSAENWFLNHDAMLISTSRDRGVKRMPHQTVVYISNNLQQPAQALLVCIQQAFIIGLS >EOY24829 pep chromosome:Theobroma_cacao_20110822:3:32351090:32352910:-1 gene:TCM_016317 transcript:EOY24829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAFYFGSIANQIKFSGMIRPIGLKKSVGAIPSCNGNERAQSLMTSFNDKVTRRQNHGTDRREDCGEVPPTCRLLDSMDRGGYRKGNVVDRAGSTVGPPQIKSSNGS >EOY21662 pep chromosome:Theobroma_cacao_20110822:3:16188469:16191037:1 gene:TCM_013704 transcript:EOY21662 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-ATPase 1 MVPSTISEMWTTMGSTIASFMFLWAIVRQYCPYEVRRYFEKYTHRIIGVFYPYIKISIHEFTGDRLKRSEAYVAVEAYLSANSSKSAKRLKAEMGKDSTNLVLSMDEYERVTDEYRGAKVWWVASKVVSPTRPMSYYPEQEKRYYRLTFHKRYREMITGAYLEHVVKEGKEIRVRNRQRKLYTNSPGYKWPSYKQTMWSHIVFEHPATFETMALDPDRKKEIIEDLVTFSKSKEFYARIGKAWKRGYLLYGPPGTGKSTMIAAMANLLNYDVYDLELTAVKDNTELRKLLIETTSKSIIVIEDIDCSLDLTGQRKKKAEKPLHVDEKEKMDKEKKESKEEGSSKVTLSGLLNFIDGLWSACGGERLIVFTTNYVEKLDPALIRRGRMDKHIELSYCSFEGFKVLAKNYLNLETHPMFDTIHGLMKETNITPADVAENLMPKSPLDNAEKCLSSLIQALEEAKEEAANRNAEKEAAARKIAEQEEATSKQTEDPIPAREDVGRSQENN >EOY24781 pep chromosome:Theobroma_cacao_20110822:3:32188497:32189377:-1 gene:TCM_016280 transcript:EOY24781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPGFISGFHQGSGLMLATFLLLFSLSFSIVINGLERQKSFQDLDLEQLRILNKPFLKSIKTDECCVNIDKQPAFDHPLLKNHQIQVFPLPLYLYYRRTSVKPEWLLKHVWLSNPTIIIIHQCHHLYIIYCYPL >EOY21289 pep chromosome:Theobroma_cacao_20110822:3:5564776:5566386:-1 gene:TCM_012731 transcript:EOY21289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTSSARASQSSKGTKRKWNHHEDVALVTALIDLHNIGKYNADTGFRGGYLIELENMLATKLPDANLKAKPHIESRIKTLKKEWAIIYDMVQGTHTSGFGWDDQRNMVVADDPVWEAYIQSHKEAAPFRRKSFPFFNELSIIYARDRATGKDAQTAADILEEMQDCNDTINEEIEGENLAGYNFEDEDFSNIQPQTSAPRSDTTSTRKRKMLNETGDPITSESIIAAATILGENIKEAGIEFSRSVGAEVNIQQKAQELDGILSQVEGLTAMERVLASIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >EOY23792 pep chromosome:Theobroma_cacao_20110822:3:28596269:28598303:-1 gene:TCM_015575 transcript:EOY23792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L6 family isoform 2 MKTILSSETMDIPDGVSIKVKAKLIEVEGPRGKLTRNFKHLNLDFHLIKDEETGKRKLRIEAWFGSRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNGNKSIEIRNFLGEKKVLSRYMSAYIYIGPESFRYRCFFSCFSFRFKECICFFILIWICLLVLHQVRKVDMLEGVSIVRSEKVKDEIVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGRIVEEE >EOY23793 pep chromosome:Theobroma_cacao_20110822:3:28596479:28598307:-1 gene:TCM_015575 transcript:EOY23793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L6 family isoform 2 MKTILSSETMDIPDGVSIKVKAKLIEVEGPRGKLTRNFKHLNLDFHLIKDEETGKRKLRIEAWFGSRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNGNKSIEIRNFLGEKKVRKVDMLEGVSIVRSEKVKDEIVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGRIVEEE >EOY23520 pep chromosome:Theobroma_cacao_20110822:3:27702390:27703063:1 gene:TCM_015386 transcript:EOY23520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQVRLLEPSLEETTLNFRRWNMVKSSMYVLTTMWNSVVRNNQLEIDDVVQLWSFRVESRLCFALVKVDDVQKGSEEWVRHSKSNENGASSSHQEEGHGGCRRISC >EOY22396 pep chromosome:Theobroma_cacao_20110822:3:23246103:23248517:-1 gene:TCM_014573 transcript:EOY22396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase 2, IA IA,NDPK IA,ATNDPK2 MEAVALSLSSTTSYLGIPATAATAKRGSSTCLPYAPSNNFNVRHLAAFHKQSHLFTKSPARPFLYTKSYARQSTHGIFLPHLVASLEQVEQTYIMVKPDGVQRGLVGEIISRFETKGFKLTGLKLFQCPKELAEEHYKDLKAKPFYPSLIDYITSGPVVCMAWEGVGVVASARKLIGSTDPLQAEPGTIRGDLAVQTGRNVIHGSDSPENGKRETALWFKEGELCEWTPVQTPWLRE >EOY20576 pep chromosome:Theobroma_cacao_20110822:3:214952:217920:-1 gene:TCM_011962 transcript:EOY20576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator ARR5 MATAAAVLPSGSQELHVLAVDDSHVDRKVIEKLLKISSCKVTAVESGTRALQYLGLDGGKGSLGFNDLKVNLIMTDYSMPGMTGYELLKKIKQSSAFREIPVVIMSSENILTRIDRCLEEGAEEFLVKPVKLSDVKRLKDFIMRGETEENGGSRVNKRKLEDDGHPPLSSSSLSSSSSSASAPSSPSSPLSPPPSTSTVAPFACDLGTTELPTSLLHSSKRSKFCNSD >EOY25402 pep chromosome:Theobroma_cacao_20110822:3:34172761:34173787:1 gene:TCM_016722 transcript:EOY25402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANTESATGTTSPPFVLQILEKHLWYERNKIKGHGSKSLHLIHYFVLRVKNNNIEPFVPNITMLFIQKPIRFSIGPGPEQQHGTRQTFRSPELKQRDGKLFHSKDHTIVLHLHRFSLASLSACLCHPHPAPMARKDDSKLVLEGGERRNKTYTVQLETLTTS >EOY24975 pep chromosome:Theobroma_cacao_20110822:3:32831158:32832157:-1 gene:TCM_016423 transcript:EOY24975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIEGFIKGVFSSPIGIGDSNYAQFLTIKKGLSLFPSSPWTSNFPIVENDSKNAISWVLNLSFASWRMKNIYSNL >EOY22058 pep chromosome:Theobroma_cacao_20110822:3:21123733:21123911:1 gene:TCM_014246 transcript:EOY22058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein VDYTETFAPVAKLNTIRVLLSLAANLDWALHQMDVKNAFLNGELDEEVYMDLPPGFEGA >EOY22193 pep chromosome:Theobroma_cacao_20110822:3:22207353:22208052:1 gene:TCM_014409 transcript:EOY22193 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTPase IMAP family member 1, putative MAKVFQEYILSKYVTKVFPLLARCAPQLPPPSPPSAAAVDLELAAEPAALTVKDSQNYLAWEKLIVAFCLGSSLEIATLFAQIGPARLPVTFTFLSLAILLAFTCLCVGKYFSNSKFLTMARMLEQLGGFFVVTAFFIAIAIPFPLWLQCCTGAVYSISFLSILIYNLF >EOY21932 pep chromosome:Theobroma_cacao_20110822:3:19905774:19906465:-1 gene:TCM_014105 transcript:EOY21932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEVFTKGYCPRKVSVVRDFPPYRERGVTPLNLERDVGKQQGENDEDMENPRVIEDYSDYDLSMCSDQGNDDFSEA >EOY21894 pep chromosome:Theobroma_cacao_20110822:3:19496391:19501819:1 gene:TCM_014053 transcript:EOY21894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIPLSSGWIVGTWDIDFLLQSLPYQVLLRVVAMQIDTLSKDDDVAYWRLTSNGLFLVRATYESSIYGIGEINNFLMQLSLGLLMVGTGYGPKPSMLGIILAERLD >EOY25057 pep chromosome:Theobroma_cacao_20110822:3:33079096:33081102:-1 gene:TCM_016487 transcript:EOY25057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MGCACVKRLVGYEDTAILAAQTCFKETEVKALYKLFRKLSSSLVDDGYISKEEFQLGLFRNSNEQSLFADRIFQLFDTNHDGFLDFGEFIRSLNVFHPDAPHSEKVAFAFQLYDIGQSGFIEPEEVKDMIWALLDESDLILSDDDVEVIIDKTFEDADSKRDGKIDLEEWKEFVARNPTMLKNMTVPYLKDMTTAFPSFVLRSDIEDDPSFENTGLKHE >EOY21360 pep chromosome:Theobroma_cacao_20110822:3:7173981:7178365:1 gene:TCM_012889 transcript:EOY21360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSADDQFLSAEDSFLMESSDDEKDGVYGNYMPKELGHSLASNGTKFVDEVLNGQSERCLENFRMDKPVFYKLCDILQGKGLLRHTNRIKIEEQLAIFLFIIGHNLRTRAVQELFRYSGETISRHFNNVLNAIMAISLEFFQPPGSDVPPQISQDPRFYPYFKDCVGAVDGIHIPVMVGVDEQGPFRNKNGLLSQNVLAACSFDLKFHYVLAGWEGSASDLRVLNSALTRRNKLQVPEGRYYLVDNKYANMPGFIAPYFGVPYNSNEFSSGYHLQDAKELFNQRHFLLRNATDRTFGALKERFPILMSAPPYPLQTQVKLVVAACALHNYIRREKPDDLLFKMYEPETVQQIEESLPPLEVEQAMMHIDNHDLEVGFEAEQLELSSQLRDSIATEMWDDYIRDLEAM >EOY21835 pep chromosome:Theobroma_cacao_20110822:3:18841199:18843156:-1 gene:TCM_013967 transcript:EOY21835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34e superfamily protein MVQRLTYRTRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLPRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKTKEKQASKS >EOY23062 pep chromosome:Theobroma_cacao_20110822:3:26046749:26048525:-1 gene:TCM_015070 transcript:EOY23062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline (GMC) oxidoreductase family protein MESTIAEHAFLVADQSLMQGFTHMLKADFLKQAGLSEALVNHSYQWVEKKVAFKPPMLQWQSALRNGLLEAGVLPDNGFTYDHVYGTKVYFYATVQKIIFSTKVFSRPRADGVIFEDAMGVRLWAFLTRDSKSEVILAAGMVDNPLNILFIPSRLPVELSLVSIVGITRFDSYIVACSGVSFAPSWTQRVARELASILNQVVFPSFEALWCLSFTGKIFSTKSDGEHPLVPRSPGKHLIGVLKGSTCRGIDAPLPWEALVVMVD >EOY21816 pep chromosome:Theobroma_cacao_20110822:3:18757272:18762695:-1 gene:TCM_013948 transcript:EOY21816 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAP1-related protein 2 MVADKGKKMKLAEKGEEENSEQIDGDLVLSIEKLQEIQDELEKVVMFLCPIVEKINEEASEKVLEVEQKYNEIRKPVYDKRNDIIKSIPDFWLTAFLSHPALGELLTEEDQKIFKHISSLEVEDFKDLKSGYSITFNFNPNPYFEDTKLTKTFTFLDEGTKITATQIKWKEGMGLPNGVNHEKKGNKRQFAEESFFTWFTDAQQKDDMDEIHDEVAEIIKEDLWPNPLTYFNNEADEEDFDGEEGDEEGKDDDDSDDDDDPDDEDDDDGDDDN >EOY22921 pep chromosome:Theobroma_cacao_20110822:3:25312736:25313384:1 gene:TCM_014948 transcript:EOY22921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYPEICYKTLLAYASTIQTSPRELANAALSVSLNDELHQSNAAMKDLQGPDFEMKMRNIQTWVSAALINEDTCMDGIGGKAMNDKIKDTIWSNIARVAQLTSNALAFINELSY >EOY24378 pep chromosome:Theobroma_cacao_20110822:3:30710971:30715009:-1 gene:TCM_015994 transcript:EOY24378 gene_biotype:protein_coding transcript_biotype:protein_coding description:YbaK/aminoacyl-tRNA synthetase-associated domain isoform 2 MEAALAALAELERVQTQILERISKLELSHLPQNAEPLPSSSPLTNDDVEARLSNILRSNGVNDFFFKRVSSDYYDWSLESRRDVLGAASVHHLCKSIVLVNTQAPSNVIDCSDRNNSKYYVVVVQYTARFNAETVKNFLYALNSGKISKKKFNLRLTPEETSIKLTGYEHNAVTCIGMQTDIPVSNFG >EOY24377 pep chromosome:Theobroma_cacao_20110822:3:30710782:30714958:-1 gene:TCM_015994 transcript:EOY24377 gene_biotype:protein_coding transcript_biotype:protein_coding description:YbaK/aminoacyl-tRNA synthetase-associated domain isoform 2 MEAALAALAELERVQTQILERISKLELSHLPQNAEPLPSSSPLTNDDVEARLSNILRSNGVNDFFFKRVSSDYYDWSLESRRDVLGAASVHHLCKSIVLVNTQAPSNVIDCSDRNNSKYYVVVVQYTARFNAETVKNFLYALNSGKISKKKFNLRLTPEETSIKLTGYEHNAVTCIGMQTDIPVILDEAIVKLSPDFFWLGGGEVDLKLGIRTSEFISFVKPFIVNCSGT >EOY24451 pep chromosome:Theobroma_cacao_20110822:3:31017013:31017912:-1 gene:TCM_016047 transcript:EOY24451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein, putative MARTFSIHALIILLIALQFSSYFNTCSASRKSHSNEYLTNQEYIKNSCRGTTYPKLCLKSLARHASKIKADPKLLAHAALNTTFFAAKATSKLLAHTSKVHGLRPNEAAAMVDCIADVSDSVQKLQKSIKEMDRAEGSDLQVQMNDIQMWVNTALDEEETCMNALANKAIRSKVKRGVRRRVVKVALLTSNALNLVKSFALAQKN >EOY22900 pep chromosome:Theobroma_cacao_20110822:3:25220980:25225636:1 gene:TCM_014934 transcript:EOY22900 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 7, putative MSRFRRIDILEPYSSPLFVKKTSIFAPKPLAFPSFFEEEDDLTFALDVLNPFPCFSPIEIYDSVTDLVQIEKTPSFCSYKRIQRRVEPEFSIQTLCDRVTALESKFDRLVNARKSGGERKYTWTTEIKGPVEKKYKWIAEIKDGKKKEAEKEKKYKWTAEIEGKGIDGPISRKYTFTASTGDAGEFSKLEKKQKNEKKAHKKDKKGENDTRIVEIEEPSDHGAVVLRQAFARRAGVIRNNRGKKKELSPQDAALMIQVTFRAYLIRRSQALRALRELAVAKSKLKEIRAYFNNFSYRRRVAQDAEERQRFSEKIIVLLLTVDAIEGADLMVRAAKKSMVDELEAMLDVVDPQPPGKSLSMRRRTFDMPDSVIQKEIAEGVAQVVQMFESEENAAAV >EOY21025 pep chromosome:Theobroma_cacao_20110822:3:2349916:2358799:1 gene:TCM_012339 transcript:EOY21025 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop nucleoside triphosphate hydrolases superfamily protein with CH domain, putative MANSNSETESKQRAVLVEWLNSILPNLSLPIKASDEELRANLVDGAVLCKILNRVRPGSVNEEGYPENSSVLRSENVTRFLTAVDELGIPRFEVSDLEKGSMKAVVDCLLRLKAQFMPSGCNLSTTSTITKPGNIHGDASSRGPLTPLSGEKRLKASSESKLQRALHTPLMSEPSAAGLHHVGHKFHEVFQLKQGLYADLPATKISEMMKSNSLDNAPTQSLLSVVNGILDESVERKIGEIPHRVACLLRKVVQEIERRISTQAEHLRTQNNLFKAREEKYQSRIRVLEALASGAGEETKYVTNQLQQIKTENSKVQEKRKVEEQEIKQLMKENDKHNLEISALKQELEIAKKMHEQHCFEVEAGVKGAKAGLQMRIKELECLLADSNNRVKELEVISESKCQRWNMKENIYQSFMDFQFGAMKELRVTSHSIKQEILKTQKSYSEEFNYLEVKLKALADAAENYHAVLAENRKLFNELQDLKGNIRVYCRIRPFLPGQTGKQTIIENIGENGQLVIANPSKPGKDGQRSFKFNKVFGPAATQGEVFQDIQPFVQSVVDGYNVCIFAYGQTGSGKTYTMTGPNGATEEEWGVNYRALNCLFKISQNRRSTILYEVGVQMVEIYNEQVRDLLSSGGSQKKYPFLNEIDLGITTISQPNGLAVPDATMLPVISTSDVLDLMNIGLKNRAVGATALNERSSRSHSIVTIHVRGKDLKVGTTLYGNLHLVDLAGSERVDRSEVTGDRLKEAQHINKSLSSLGDVIFALAQKSPHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDATSFSESMSTLKFAERVSGVELGAARSSKEGKDVRELMEQMACLKDTIAKKDEEIERLQLLKDLKNGYPSMNGEQHGTSSLSDKHFEADYQQSTDDEKFTEISDGSLSMGTETDDSAEYSLSPEGMNLGKTKVATRTRLQQKLAQGLTALRTDSSRVSTSIKKSVSSTSLKPSPRRWQ >EOY24481 pep chromosome:Theobroma_cacao_20110822:3:31151353:31152466:1 gene:TCM_016076 transcript:EOY24481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat and KH domain-containing protein 1 MKLVWSPETASKAYIDTVKSCELYHESGVAELVSAMAAGWNARFIVETWSQGGATITSIGLAVASSHTNGRHVCIVPDERSRLEYVQALEEAGMSPEVIVGEPEEVMNGLNGIDFMVVDSQRKDFSRVLRLAKLSNRGAVLVCKNANSRSASSFRWRSVIDDGSRRLVRSVFLPVGKGLDIAHVATSGGNSGSGKGESRWIKHVDRQSGEVHVIRK >EOY23002 pep chromosome:Theobroma_cacao_20110822:3:25720265:25729731:1 gene:TCM_015018 transcript:EOY23002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative isoform 1 MLHQLVHFNGLFDYQTSLAKKHSTYRLIMPLRSEIYTADPVNIEHILKTNFPNYGRGAIAEILRDLFGDGIFAVDGEKWRHQRKLASHEFSTKVLREYSTAVFQDNAAKLVSKVSIIGAARHAMDLQDMFMKSTLDSIFKVGFGVELNALSGSDEFGNQFTKAFDDSNVIVYWRFVDPFWKVKRLLNIGLEAALKRNVKIIDDFIFELVRCKREQMKNEKLVRDKEDILSRFLMESEKDSENMTDQYLRDIILNFMIAGKDTSANTLTWFFYMLCKYPLVQKKVVQEVIESTQAEDKICADEFSRLMTEQALDRMQYLHAALTETL >EOY23003 pep chromosome:Theobroma_cacao_20110822:3:25720264:25723334:1 gene:TCM_015018 transcript:EOY23003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative isoform 1 MLHQLVHFNGLFDYQTSLAKKHSTYRLIMPLRSEIYTADPVNIEHILKTNFPNYGRGAIAEILRDLFGDGIFAVDGEKWRHQRKLASHEFSTKVLREYSTAVFQDNAAKLVSKVSIIGAARHAMDLQDMFMKSTLDSIFKVGFGVELNALSGSDEFGNQFTKAFDDSNVIVYWRFVDPFWKVKRLLNIGLEAALKRNVKIIDDFIFELVRCKREQMKNEKLVRDKEDILSRFLMESEKDSENMTDQYLRDIILNFMIAGKDTSANTLTWFFYMLCKYPLVQKKVVQEVIESTQAEDKICADEFSRLMTEQALDRMQYLHAALTETL >EOY23878 pep chromosome:Theobroma_cacao_20110822:3:28843515:28844843:1 gene:TCM_015632 transcript:EOY23878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYVTRAFYCQKDKLIRWSSPNSSRLHGKCDSQDCMNVNRDFVILGLSLSRGRYPSQEAIREKPKANYGLFSNFQWLKAISFPAFFACTTLGFCDIGRS >EOY21232 pep chromosome:Theobroma_cacao_20110822:3:4329089:4329715:1 gene:TCM_012621 transcript:EOY21232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGRTPSHPFAVPSPTHYAGWGFWPPTPGVPEPPSPGCPIFWTTRLFKSTCNLQRKGGDEKTKNHSS >EOY21250 pep chromosome:Theobroma_cacao_20110822:3:4997392:5008806:1 gene:TCM_012672 transcript:EOY21250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQRVRSDLSFAGLMKLVEDVVGVNSEIDEIELHALISTPGELSWPIIKDDEDVALILLEQRNVPAVGSGKLMQEPCEVSVDISGVERFSFQPITTEESTCADDHLYKGRMFSSKAELKRALNMLVIKEKFAIRVKRSCKGCYEVGCKDKACKFSLRATKLLERGEYWQVRTFHKVHTCTVDGLQGRFPTTSAKIIGELISHKLRANGVALRPKNIICEMRVQWGLECLNGKAWQAKEYVERLVFDLSEESFQLLPSYFYMLEQENPNTLTAMATNEAERFKYCFWSYGTCIRGFRDVMRPTVAIDATHLKSRFKGVLFVATCKDENQCVYPVAFGIGIFLKCTSTFISTSTSAFCKDANMYFYIFLKCKLEAFDLFIKYVAFDLFNSSCVA >EOY25395 pep chromosome:Theobroma_cacao_20110822:3:34144899:34147967:1 gene:TCM_016717 transcript:EOY25395 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 1 MVLPRFVRPKEGDGESSPNLFVANCGPAVGLSYDTIASVFSSFGEVIGVYAADESGARVIISFLESTSAHSAFKALNGQPCPPLGGRSLHIRHSILQPPSSRVNDSVPVSLIASDLNIPGLYLFHDFISAKEEEELLQAVDNRPWKSLSKRRVQHYGYEFCYDTRNVDPKQHLGALPSFVSFILKRVSSFPNINDPADLDLDQLTVNEYPPGVGLSPHIDTHSAFKGLIFSLSLAGPCVMEFRRYTAGSWALKTEVKVENPDSCTELSRKAIYLPPRSMLFLSGEARYAWHHYIPHHKIDKVKETAIRRGSRRVSFTFRKVRTGPCQCEFPQYCDSQG >EOY25396 pep chromosome:Theobroma_cacao_20110822:3:34144220:34147671:1 gene:TCM_016717 transcript:EOY25396 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 1 MVLPRFVRPKEGDGESSPNLFVANCGPAVGLSYDTIASVFSSFGEVIGVYAADESGARVIISFLESTSAHSAFKALNGQPCPPLGGRSLHIRHSILQPPSSRVNDSVPVSLIASDLNIPGLYLFHDFISAKEEEELLQAVDNRPWKSLSKRRVQHYGYEFCYDTRNVDPKQHLGALPSFVSFILKRVSSFPNINDPADLDLDQLTVNEYPPGVGLSPHIDTHSAFKGLIFSLSLAGPCVMEFRRYTAGSWALKTEVKVENPDSCTELSRKAIYLPPRSMLFLSGEARYAWHHYIPHHKIDKVKETAIRRGSRRVSFTFRKVRTGPCQCEFPQYCDSQG >EOY21133 pep chromosome:Theobroma_cacao_20110822:3:3296227:3299598:1 gene:TCM_012490 transcript:EOY21133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase 2 MSEILLGAHTLTSHGVKVARIHLYDWLILVFLVVIDGLLNFIEPFHRFVGEEMMTDLKFPFHKDTIPFWAVPFLAVLLPLVIFSIYYYFRKDVYDFHHAVLGLLFSVLVTGVITDSVKDAVGRPRPNFFWRCFPDGKAVFDRIIGDVVCHGNEKYIKEGYKSFPSGHTSWSFAGLSFLSWYMSGKIRVFDRRGHVAKLCVVFLPVLVAALVGVSRVDDYWHHWTDVFAGALIGSTMAAFCYLQFFPSPHNDEGFGFKSNIGWAPHAYFQMLADRHAAQSSGTRAISPHGRKHQTHIEILPMVSGSGSVDSSPDIEAMTPWKKTLI >EOY22981 pep chromosome:Theobroma_cacao_20110822:3:25643572:25645358:1 gene:TCM_015003 transcript:EOY22981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT5G51670) TAIR;Acc:AT5G51670] MALETWLIKVKKTISNSLDTARSSIPNSKASKHKANVGVLSFEIAGLMSKLLHLWNSLSDKSIIRLRDESISLEGVRKIVSNDESFLLGLACAEMAENVRLVAKSISRISKRCQDSNLQCFDRWFDEFANSGHDSHGWVLSSKDMEAKNKKMDRYVTITATLYKEIEELSTIENSLRKSFQCKEYESSIKEQKIIDLQQKLFWQRQEVKCLKERSLWNRSFDMVVSMLVRSIFTILGRINLVFGIGHGYPPSLPRCLSASATVHPTENPNAYNFVSGPLKSSKPEEKKDLAVRFFESNSKLLKPPPSTLGAAALALHYANLIIIMEKMIKSPQLVGVDARDDLYSMLPSSIRSSLRARLKGVGFSASDPVLAGEWRTALGRILAWLSPLAHNMIKWQSERSFEQQNLLPKTNVLLLQTLFFANKEKTEAAITELLVGLNYIWRFEREMTAKALFECSNFNGLLNLQSSS >EOY21086 pep chromosome:Theobroma_cacao_20110822:3:2849233:2853342:1 gene:TCM_012415 transcript:EOY21086 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5-like receptor kinase, putative MGLLITCIIVMCFKRKSLYITTMGFLKKFTKSDLDIEAFLKTNETLAPKRYSCSDVRKMINSFKETLGKGGYGSVYKGKLLDGHLVAVKLLNTSKGNGREFINEVASISRTSHVNVVTLRGFCLEGNKRALIYEFMANGSLERFIYKDNTNVKDHRHLTSDELYRIAIGIA >EOY21072 pep chromosome:Theobroma_cacao_20110822:3:2665799:2667924:1 gene:TCM_012387 transcript:EOY21072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNLKEKRTVGPIKWRCIVASGDEVPFGLELMEEGDDHGNLTNAMTAPQRLTGLIQMHSVIACQLRWAMRKHTRDMLELKASIQSLKDAMQTFKDCIVGKMMNLVLSENIDHDIDGAEGDNVNHVDDVVDDVVAGDFRLKKTMFLKLMQLLRQLWERMGNLNQFRLKENMFLKPIQLLRRLREGMKTLNQFRLKETMILNALLKVAPHGCCGSN >EOY21615 pep chromosome:Theobroma_cacao_20110822:3:14169378:14196008:1 gene:TCM_013549 transcript:EOY21615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQEPCEVSIDISVSLSRDGPHEVHSNLYLQRHPPHGSPIEVRLGVYASYDMQLIRLPLKCGADLIRPIT >EOY22741 pep chromosome:Theobroma_cacao_20110822:3:24624625:24630716:-1 gene:TCM_014818 transcript:EOY22741 gene_biotype:protein_coding transcript_biotype:protein_coding description:SU(VAR)3-9, putative MFDVISSRRHNGYSSKFKRPGVSAVRHYPRDCGLLLPELDPRKGQEEITKVHPLEIAAASRPVLRKYPPPKIRKGHLVIRNFPFKSNAPAALRNQMPSDSEDSHSSFGIPMADCEQSDASKATDVHTCRDAKQYDFSVVRNSKPWYVPNSTNVHDVHVCVEPKQNNVSMVDKDCKPMDVSFATNVFACRDVKDFSPSMGVGDEQYEVDSYRVVVKDEEEGDEVPSIPKQASLSKGVGDEQCSNVHFSDEECEKALLEVYSSQVVISEDLVVTDNKELGISSNKVKEVLHLFQEVYLKLSQESGRKRKESLPLLAASHLLKQQKWINMGKRLGPIPGIEIGDYFDWRAELNVIGLHRQYVCGIDYMELDGRILATSIVDSGRYDNIVESNDEQEFPDVLIYSGQGENPKVQSRKFVYDQKLKGGNLALKNSSETKTPIRVIRKVSFKGASSKIVERKFVYDGLYFVDSYREERASSGKLVFKFVLKRFPGQPKLKWAKLIWKEHVCMNDISHGKEKIPIRAMNALDDEKPPLFNYVTNVTYPESYHPSMSSGGCDCIDGCSDSEDCPCVIKNGGEIPYNYEECIMMEKPIIIECGPSCKCFTSCSNRVSQRGIRFPLEVFKTKTKGWGVRSRSFIPCGSFICEYIGEILPDKEVEQRIGKDEYLFDIGHNYGVLSHSSEVNECFTIDAAQFGNVGRFINHSCSPNLFAQNVLFDHNDSSIPHIMLFAMEDIPPLKELTYDYNYRIGGVCDVNGDIKMKPCFCGSKECTGRMY >EOY21331 pep chromosome:Theobroma_cacao_20110822:3:6231021:6238728:-1 gene:TCM_012815 transcript:EOY21331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MGAFHWTRGPKTASASISISNEWAMKNLSSRLHLPDPVPGRERRDYAKESIAKDKPEGEEDQERARCEWDFSLSTVVSTSAEAAVSDTLGVIEFDPSNTVVATGGIARKIRIYTLNSLLPQDQSTQGEQNITFLDHVNACEYYICTPAKLSSLRWKPGSGGRLLGSGDYDGVVMEYDLERKLPIFERDEHGGRRVWSVDYSHWDPFVGASGSEDGTMQMWDPRCGEGGGCVAKVQPALARSSVCCVEFDPFGEALIAAGCADRKAYTYDVRKMVEPLHVYDGHTKTVTYVRFLDAQTMVSAGTDGYLKLWNISDSRLIRTYKGHVNSRSFVGLSVWRHGGLLGSGSENNQVFVYDKRWGEPIWVHGFEPLGRAGGRDHGFVSSVSWRQVHEEQCTLVAGGSNGVLQVFVGRRKT >EOY22620 pep chromosome:Theobroma_cacao_20110822:3:24234610:24239705:-1 gene:TCM_014743 transcript:EOY22620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor-like protein isoform 1 MTTSEVSIKGNCVNGRGESFSSGYSEPNDARSTMEGQNGHSTRPAAVRDPETALYTELWHACAGPLVTVPREGERVFYFAQGHIEQVEASTNQVADQQMPVYDLPSKILCRVINVQLKAEPDTDEVFAQVTLLPEPNQDENTVDKEPPIPPPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGDLRVGVRRAMRQQSNVPSSVISSHSMHLGVLATAWHAYTTRTIFTVYYKPRTSPAEFIVPFDQYVESVKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDCDPKRWQDSKWRCLKVRWDETSTIPRPERVSPWKIEPALAPPALNPLPMPRPKRPRSNAVPSSPDSSVLTREGSSKVTVDPSPGSGFSRVLQGQEFSTLRGNFAESNESDTAEKSVIWPPSVDDEKIDVVSASRRFGSENWMSSGRHEPTYTDLLSGFGLNADSSHGYCPPLADQTLAAGNPIRKQLLDKEGKLGSWSLMPSGLSLKLVDNNAKPTLQGSDMPYQARGNGRFSGFGEYPILQGHRIEPSHGNWLMPPPTSSHFESPAHSRDLISKTSSVQEHEAGKSREGNCKLFGIPLISNSVSSESAVSHINVLNKPVNHMQPSSHQVRAFESDQKFEKSKVSQLPEDLSAFNEQDKTFQLGQPHTREIQSKPPSVSTRSCTKVLSLSS >EOY22619 pep chromosome:Theobroma_cacao_20110822:3:24233173:24239339:-1 gene:TCM_014743 transcript:EOY22619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor-like protein isoform 1 MTTSEVSIKGNCVNGRGESFSSGYSEPNDARSTMEGQNGHSTRPAAVRDPETALYTELWHACAGPLVTVPREGERVFYFAQGHIEQVEASTNQVADQQMPVYDLPSKILCRVINVQLKAEPDTDEVFAQVTLLPEPNQDENTVDKEPPIPPPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGDLRVGVRRAMRQQSNVPSSVISSHSMHLGVLATAWHAYTTRTIFTVYYKPRTSPAEFIVPFDQYVESVKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDCDPKRWQDSKWRCLKVRWDETSTIPRPERVSPWKIEPALAPPALNPLPMPRPKRPRSNAVPSSPDSSVLTREGSSKVTVDPSPGSGFSRVLQGQEFSTLRGNFAESNESDTAEKSVIWPPSVDDEKIDVVSASRRFGSENWMSSGRHEPTYTDLLSGFGLNADSSHGYCPPLADQTLAAGNPIRKQLLDKEGKLGSWSLMPSGLSLKLVDNNAKPTLQGSDMPYQARGNGRFSGFGEYPILQGHRIEPSHGNWLMPPPTSSHFESPAHSRDLISKTSSVQEHEAGKSREGNCKLFGIPLISNSVSSESAVSHINVLNKPVNHMQPSSHQVRAFESDQKFEKSKVSQLPEDLSAFNEQDKTFQLGQPHTREIQSKPPSVSTRSCTKVHKQGIALGRSVDLTKFNNYEALIAELDQLFDFGGELMAPRRGWLVVYTDDEGDMMLVGDDPWQEFCAMVRKIGIYTREEVQKMKPGSLSSKGEDNPVSAEELDAKEVKCPGFSAENC >EOY23263 pep chromosome:Theobroma_cacao_20110822:3:26822862:26823937:-1 gene:TCM_015216 transcript:EOY23263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRYIRLPTTGDIWKAIFKAFHDGADELQVFALNYKAFFVKQNGRALSVYYGKVTEIFSQLDHCDKEPIPELEECYALVRHESVRRTTMNGDFEKFEASAMVARIDLLKTSKIKQSLAIIRPLMVPTNPPTNVPIVIKLVILKVDALKSWGILNGGIIAVIDEKGIPKRSLLLQWLKQRQRMRLMKKPRHWQHSQVMVVRS >EOY22230 pep chromosome:Theobroma_cacao_20110822:3:22533198:22535248:1 gene:TCM_014453 transcript:EOY22230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phosphotriesterase superfamily protein, putative MATSIMRVHTLAAPLFSTFIFLLCFSSTVLSVSFSKIQLPPNATGPEALAFVSGTGQFCTGIADGRILKYQGPTTGFVDFGFAAPNRSKSVCDGTDTANPKPVCGRPLGLALHHATNRLYVCDAFFGFGVLGPKGGQVTILSTGADGEPFRFCNAVDVHQRTGDVYFTDSSAVYDIRQIETAISTNDSTGRLMKYDFKTEQVTVLFRNLSGAVGVAVDEEAKFVLVSEFIINRTIKIWLQGTKANKFEIINLQPMPDNIKRTASENFWLAAAMIKQGTQSLVPIGQRINASGTVLQTVNFERWYGNKSISEVQEFNGLLHVASRLVNFIGVYKH >EOY21422 pep chromosome:Theobroma_cacao_20110822:3:7680419:7683144:1 gene:TCM_012937 transcript:EOY21422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEFLCFMNEAAYNQLIENWDAVHWCQALFSDFSKYDVIDNNMFETFNGVIIEARCKSIISMLEDIELYVIRRLVQNKEYGMKWKTEHDLRILTKLEKKNKGLARKWEMDWNGDHLYEILVEVQASMPSVLSIMKERTLQNIWQTLLKRVNTSRLMNSLSILSKGQYSGLSGMWRLFYLRMSRDQLNSLRNREEENQLKAQRRKECRGSKDNEIHCFHQEGHNSAPKEAANVAPSEAVGAAPSEATEAAGAVPSEPAASVPSVVGSITSNAVKRKKVTTIKRTQFATTTMEGFNSQYVAALRLKLKHSNPKGKGTIAYRHQTQSASILTTPSR >EOY23836 pep chromosome:Theobroma_cacao_20110822:3:28730427:28735418:1 gene:TCM_015605 transcript:EOY23836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 1 MGLSRPQKPGNGVSTRWVSLFCITSFFLGVLVVNRFLAISDPAKMDEEASSVKKHQRNELHPIVNCDKDASFQAGDILFQVSQTHDVIMTLDKTISSLEMQLAAARAAKADSDEGSPMVTKSGIENVKERQKVFFVMGVITAFSSRKRRDSIRETWMPQGLIQYSLDILSSIFWNLVIYTLRLWFLGKELMRLEKEKGIIMRFVIGHSATPGGVLDRAIDAEEKQHKDFLRLNHIEGYHELSSKTQIYFSTAVSKWDADFYIKVDDDVHVNLGMVGSTLARHRSKPRVYIGCMKSGPVLAQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISVNRHILHRYANEDVSLGSWFIGLDVEHIDDRSLCCGTPPDCEWKAQAGNPCAASFDWSCSGICKSVERMEEVHQRCGEGDEAIWHTSF >EOY23834 pep chromosome:Theobroma_cacao_20110822:3:28730137:28735308:1 gene:TCM_015605 transcript:EOY23834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 1 MGLSRPQKPGNGVSTRWVSLFCITSFFLGVLVVNRFLAISDPAKMDEEASSVKKHQRNELHPIVNCDKDASFQAGDILFQVSQTHDVIMTLDKTISSLEMQLAAARAAKADSDEGSPMVTKSGIENVKERQKVFFVMGVITAFSSRKRRDSIRETWMPQGKELMRLEKEKGIIMRFVIGHSATPGGVLDRAIDAEEKQHKDFLRLNHIEGYHELSSKTQIYFSTAVSKWDADFYIKVDDDVHVNLGMVGSTLARHRSKPRVYIGCMKSGPVLAQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISVNRHILHRYANEDVSLGSWFIGLDVEHIDDRSLCCGTPPDCEWKAQAGNPCAASFDWSCSGICKSVERMEEVHQRCGEGDEAIWHTSF >EOY23833 pep chromosome:Theobroma_cacao_20110822:3:28730263:28735116:1 gene:TCM_015605 transcript:EOY23833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 1 MGLSRPQKPGNGVSTRWVSLFCITSFFLGVLVVNRFLAISDPAKMDEEASSVKKHQRNELHPIVNCDKAGDILFQVSQTHDVIMTLDKTISSLEMQLAAARAAKADSDEGSPMVTKSGIENVKERQKVFFVMGVITAFSSRKRRDSIRETWMPQGKELMRLEKEKGIIMRFVIGHSATPGGVLDRAIDAEEKQHKDFLRLNHIEGYHELSSKTQIYFSTAVSKWDADFYIKVDDDVHVNLGMVGSTLARHRSKPRVYIGCMKSGPVLAQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISVNRHILHRYANEDVSLGSWFIGLDVEHIDDRSLCCGTPPDCEWKAQAGNPCAASFDWSCSGICKSVERMEEVHQRCGEGDEAIWHTSF >EOY23835 pep chromosome:Theobroma_cacao_20110822:3:28730427:28735418:1 gene:TCM_015605 transcript:EOY23835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein isoform 1 MGLSRPQKPGNGVSTRWVSLFCITSFFLGVLVVNRFLAISDPAKMDEEASSVKKHQRNELHPIVNCDKDASFQAGDILFQVSQTHDVIMTLDKTISSLEMQLAAARAAKADSDEGSPMVTKSGIENVKERQKVFFVMGVITAFSSRKRRDSIRETWMPQGKELMRLEKEKGIIMRFVIGHSATPGGVLDRAIDAEEKQHKDFLRLNHIEGYHELSSKTQIYFSTAVSKWDADFYIKVDDDVHVNLGMVGSTLARHRSKPRVYIGCMKSGPVLAQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISVNRHILHRYANEDVSLGSWFIGLDVEHIDDRSLCCDCEWKAQAGNPCAASFDWSCSGICKSVERMEEVHQRCGEGDEAIWHTSF >EOY24981 pep chromosome:Theobroma_cacao_20110822:3:32848443:32850227:-1 gene:TCM_016427 transcript:EOY24981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTKFIPNTILCLRGDTCNPIHHGDVHLMALIEGKAQQTQQYKDKQRTQNDRTLIELIGLIITVKPVV >EOY22940 pep chromosome:Theobroma_cacao_20110822:3:25429389:25434231:-1 gene:TCM_014970 transcript:EOY22940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MPNLSSMVAVLACGGLSWCSNDNAEHTIIRATATLLAAALAIACHAWWVQKFTKSVPPLPPGPRGLPVLGNLPFLQPDLHRYFSKLSQIYGPIIKLQFGSKICIVVSSASVAKEVLKDHDATFANRDPPTVGIIGTYGGCDMVWRPNGPEWRKLRRLVVREIMSKTSLDDCYALRRRQVREMVKDIYGKVGSPLNIGDQMFLTSLNVILSMLWGGSLHGEERSRLGIEIRQVVAESVELIGAPNISDLFPFLTRFDLQGYQSRMKKALKWMDEILESVIAHRRKVDQPDIGEGKNKEQSKDFLQLLLELNQQGDYKSSLSMNEVKALLLDMIVAGTDTTSTTVEWAMTELLRHPDKLRSAVEELDRVVGAGNIVEESHLPKLVYLDAAIKETFRFHPPSPLLVPRSPSTTCTVAGYTIPKDSRVLFNAWAIQRDPEFWEYPLRFEPERFLKDAEKGNYLGNNFHFIPFASGRRICVGIPLAEKMVTHVLATLLHSFEWKLPEGTKPDIQEKFGIVLKKMEPLVAIPAARLSSSEQYQ >EOY24725 pep chromosome:Theobroma_cacao_20110822:3:32038045:32040572:-1 gene:TCM_016249 transcript:EOY24725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-6 tubulin MREILHIQAGQCGNQIGGKFWEVVCDEHGIDPRGNYVGDCRVQLERVNVYYNEASGGRYVPRAVLMDLEPGTMDSLRTGPYGQLFRPDNFVFGQNGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQICHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTIPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLTMSSTFMGNSTSIQEMFRRVSEQFTVMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAVADQDGEEYEEEAMEN >EOY25019 pep chromosome:Theobroma_cacao_20110822:3:32982119:32984294:-1 gene:TCM_016461 transcript:EOY25019 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MALLEIVFIFSSFLLLFLQPSTSVEICGASCGIELIRFPFRLNNQPDRCGYPRFNLSCKNEDQTVLTLPFSGDFTVVYIDYTYQNIWINDPDHCAPKRLLDGLNLSGTPFDLLYPRSYTFFNCSSAASTDVPEARLISCLSGGNFSVVAIPVDLLDSSTSLSTSCLEIATVLVPLSWTGWSEPGYGIRLTWNEPDCLLCERRSGICMFKRDTGLDVGCFGGISNGLPKSAKYGIIFGVGIPLLFVLGLVIYLRRKVDEHSHHQQPNPETFSNSVSPGLDSVAKGLDGPTIEAYPITLLGESRRLPRINDNTCPICLSEYQAKETLRTIPDCKHYFHAICIDEWLKLNATCPLCRNTPESSALTVHALHRLCFLIHELGIGSTEPTRKTLKSP >EOY20875 pep chromosome:Theobroma_cacao_20110822:3:1618886:1620801:-1 gene:TCM_012216 transcript:EOY20875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRTAEFKVIDTDPGEYCVVVSDTIVNREGEPITAVTNMLQRKYCSLVNIMLLHQILL >EOY23599 pep chromosome:Theobroma_cacao_20110822:3:27983093:27988916:-1 gene:TCM_015442 transcript:EOY23599 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MEVTQVLLNAQSIDGAVRKTAEESLKQFQEQNLPGFLLSLSVELANEEKPVETRKLAGLILKNALDAKEQHRKFELVQRWLSLDTNAKSQIKACLLKTLSSPVSDARSTASQVIAKVAGIELPQKQWPELISLLLSNVHQLPAHAKQATLETLGYMCEEVSPDVIDQDQVNKILTAVVQGMSASEGNTDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCEATLSPEVRIRQAAFECLVSISSTYYEKLAPYIQDIFNITAKAVREDEEPVSLQAIEFWSSICDEEIDILEEYGSDFTGDSDIPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVPLVVPFIEENITKPDWRQREAATYAFGSILEGPSPEKLLSLVNVALTFMLSALTKDPNSHVKDTTAWALGRIFEFLHGSAVDSPIITQANCQQIVTVLLQSMKDTPNVAEKACGALYFLAQGYEEVGPSSPLTPFFQEIVQSLLTVTHREDAGESRLRTAAYETLNEVVRCSTDETASLVLQLVPVIMMELHNTLEGQKLSSDEREKQSELQGLLCGCLQVIIQKLGSSEPTKYVFMQYADQIMGLFLRVFACRSATVHEEAMLAIGALAYATGPDFAKYMPEFYRYLEMGLQNFEEYQVCAVTVGVVGDISRAIEEKIVPYCDGIMTQLLKNLSSNQLHRSVKPPIFSCFGDIALAVGEYFEKYLMWAMSALQSAAELSTHTAGDDELTEYTNSLRNGILEAYSGVFQGFKNSPKTQLLIPYASHILQFLDSIYIEKDMDDVVMKTAIGVLGDLADTLGSHAGSLIQQSPSSKDFLNECLSSEDLMIKESAEWAKLAISRAISV >EOY25423 pep chromosome:Theobroma_cacao_20110822:3:34222400:34228442:1 gene:TCM_016735 transcript:EOY25423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intersectin-2 MEAIKKQATKLREQVAKQQQAVLRHLGHFSNEDITVDEAELQCHQKLQDLYISTKAAKHLQRNIVRGIEGFIATSSKLLEIARKLADDCCKYGAESQSSDSFLARAALQFGKSHKSMEDERETLLGILGEQVSEPLRALITGAPLEDARHLTHRYDRLRQEVEAQAADVLRRRSKTRESDISAESYMKLKQAEARLTELKASMMVLGREATAAMLSVEDQQQKITFQRLLAMVDAEKSYHRRILAILEKLYAEMILEEQSNESLNSVTLQREVNVALADDNTCSNGSKAQINNQSDVYFIAKVVHPFDAQADGELSLAVGDYVVVRQVGPTGWSEGECKGKAGWFPSAYVERQEKAPASKISEANSAA >EOY21511 pep chromosome:Theobroma_cacao_20110822:3:10250252:10266490:-1 gene:TCM_013198 transcript:EOY21511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter1, putative MEEEDVRELVHGKENSVEAKLELTVDEVVEGYVGSFGFSQLLHVLLVSFAWIFDSQNTLITIFTSNKRQTGPVSGLAAGTWEWIGGNTTSTIAEWGLVCDRKFLAALPASLFFVGSILGCAFYGRLADACFGRKKTLLLAFILTFTTTFITSLSPNVWIYALLRFANGFARSGIGICCLVL >EOY24105 pep chromosome:Theobroma_cacao_20110822:3:29638760:29642793:-1 gene:TCM_015798 transcript:EOY24105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein, putative MDLAGDFLVCYFVRRWWGGGLRARSIRLFKLLCLAFFYFSNFPLISNESLSINKIPCNFFLYKSHFKLSFSSKMENSFPSVCTFIAFFFLLLVSASSDVIQDSCDKAAKGDRNINFDFCVASLEGNPKSKTATSTESLVPISIEMAISNATSISSIISKLLKNKNLDNPTRSCLEDCSELYSDAQPNLEIGGQAVESKDYGTAKTVISAALDAPVTCEDGFKEREGLVSPLTKENDEFFQLTVVPLAFINLLN >EOY24695 pep chromosome:Theobroma_cacao_20110822:3:31954719:31956106:-1 gene:TCM_016232 transcript:EOY24695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin depolymerizing factor 8 MANSASGMAVNDECKRKFLELKAKRSYRFIVFKIEEKIQQVVVEKLGGPSDSYDDLSASLPANECRYAVYDFDFTTDENCQKSKIFFIAWSPDTSRVRSKMLYASSKDRFRRELDGVQVELQATDPSEMSFDIVKGRAL >EOY24302 pep chromosome:Theobroma_cacao_20110822:3:30477086:30479670:-1 gene:TCM_015944 transcript:EOY24302 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-phosphatidylinositol-4,5-bisphosphate phosphodiesterase delta-1 MESGFYSASLFGLENLEEDGELKAASSLPSIPQPQTPKEPMEFLSRSWSLSASEISKALAQKQKQFEFDSNPSSFPDTFVAPHIAGKVINSINARRTGSIGKWFHHHRDSGTNTVKKKDKMRAENARLHSAVSVAGLAAGLAAVAAAGNSNGTGSQMSMALASATELLASHCIELAELAGADHHRVASVVKSAVDIHSAGDLMTLTAAAATALRGEAALKARLPKEAKKNAAISPYERSMAEAHWSAAFHTQIKEQNPPCEGELLQHTRKGVLRWKGVSVYISKKSQVMIKLKSKHVGGAFSKKNKCIVYGVCDETSAWPYRKERETSEELYFGLKTGQGLLEFKCKSKIHKQRWVDGIQNLLRQVSYAEAAELSLESLSIGDSI >EOY22163 pep chromosome:Theobroma_cacao_20110822:3:21996654:21998997:1 gene:TCM_014380 transcript:EOY22163 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S15 MIFLPHLELDGSPGGRITTHCTLLPCAPWVAEEMTLSSLERCRLEPLFALRLTLPSLASAAAPLSIPPLNSSFPCDSSFPCANSRSLASICRLYLPHSRGLGIFVLFTASSLARADAIADVAAPGIPKKRTFKKFSFRGVDLDALLDMSTDELVKLFPARARRRFQRGLKRKPMALIKKWRKAKREAPPGGLLFLLAGCFVALACRISDFSPPFPSSKCYSAPSLLYPVPGLWRGHAPLLCPWAPGGKFHYPARREFFASGR >EOY22528 pep chromosome:Theobroma_cacao_20110822:3:23789305:23791390:-1 gene:TCM_014674 transcript:EOY22528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVKKKGGVYPPLSSPSSPVCYRDPNSVLKVLPVAILTLALALPPQDQEVLAYMITRSIISTTNPSTFNHQSKSKCKKGKAPLFQCGCFDCYTRFWHRWDSSPNRDLIHQVIEAFEDHLLQDEVSKKHCKAARKKDKEILSIYESNVVSVNALHREQSQDSEISMVENEALAEAENGGGPGGREHEGEGNVGDEVTGNLEMEVVTVATGVSHKGLARKVLPDVVGLFNSRLWSLWGPSI >EOY22982 pep chromosome:Theobroma_cacao_20110822:3:25647823:25650261:-1 gene:TCM_015004 transcript:EOY22982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESVESMDRKLCAKACSFNGSAQRNNLCSQCYKDFLVGEFQNHNPIGEPLIPTTDQPLNSCFTVPPYSVSHVNNSNGSVGFTFGWTNNSGGASLASTKNRCNSRNKRVGLTGFTCRCGNLFCGKHRYPEEHECCVDLKAIGREALVKENPDCKGVGPDNLIEVYGALTIAKNQMENSVFSNATFLHDQNLLGDYGFSSGIMFCQIRSDMAGESHSLKGAPELPSKGVVALPSKGAVVISFGGDLVLLDVEECQI >EOY22033 pep chromosome:Theobroma_cacao_20110822:3:20754524:20758407:-1 gene:TCM_014209 transcript:EOY22033 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MDRHPHALVIPFPAQGHVAPLMKLSLQIAAHGVKVTFVNTEFIHEKIMVSVPEKAEGRSLISLASLPDGLELEDDRTDYAKLIESVRRTMPGYLEDFILKINQSNINEKISCVIADTSVGWALEVAKKVGIEAVAVWPAGGPCLALALHVPQLLEAEIIDHDGTVMKDEPISVSKDVPAWSRSEIGWGSSDPVMQKVLLEFYSIVPEYAKFYDWILCNSVYELDSAALKLIPNILPVGPLLASNYLGTFAGNFWPEDSTCIEWLDKQTSSSVIYVAFGSTTMANMEQLEELALGLELTGHPFLWVVRSDFMDGSLAKFPDGFINRVAKRAKFVEWAPQEKVLAHPSVACFMSHCGWNSTMEGLSMGIPFLCWPYFADQFCNKNYICDVWKIGLGLAKGASGIVTRHEISTKIKTLLSSDAIKANALHIKELARKSFNEGGSSFKNFNNFIEHIKSL >EOY25086 pep chromosome:Theobroma_cacao_20110822:3:33179572:33188130:1 gene:TCM_016506 transcript:EOY25086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase like G3, putative MGGRGLIAGRTAHAPPLHKFEPLRRTALNRLFAVVYASAIIALLYRHAQTLIYSATSLLSFSLSLSLLISDLVLAFMWTGAQAFRVCPIRRKEFPENLRKIIKEEDFPGLDVFICTADPYKEPPMNVVNTALSLMAYDYPTEKISVYVSDDGGSAFTLFAFMEAAKFASHWLPFCREHNMMERSPEVYFASTYHSWSPEIEKIKVMYKTMKVKVERIVDKGEISDEYIVDNEYREAFSKWTDGFTHMDHPAVVQVILDKSKDRDVSGNFLPNLIYVSRQKSKTSPHHFKAGALNVLLRVSAVMTNAPLILTQDCDMYSNDPQTPLRVLCYLSDPAFQSKLAYIQFPQRFRGLNQTDIYASEYKRMFQINPMGFDGLMGPDNFGSGCFFRRRAFFGGPSTLVPPEIPELSPNHVVDKPINSQEIFSLAHNVAGCDYENQTEHWGSKNGFRYGSLVEDFYTGYRLQCEGWKSLFCHPERAAFLGDVPITLLNLLSQCKRWCIGLLEVTFSKYNTLTFGSRSMGLLMGLAYSHYGFWPILCIPITLYSFLPQLTLLNKVSIFPKVSEPWCFLYVFLFLGAYGQDFLDFVLAGGTIRMWWNAQRMWMIRGLSCFLFGSVEYLLKSLGISTYGFSLTSKVLDDEQSKRYSQGIFEFGVPSPLFVPLTMAAIINLFSFAWGLTEFWDNGSNKEGLVLQMVLAGFIVLNCLPIYGAIALRSDKGKMPTQITIISTFLSVALYIFVYLILKQ >EOY21444 pep chromosome:Theobroma_cacao_20110822:3:8207397:8208082:1 gene:TCM_012996 transcript:EOY21444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNRTGQAKGNLTFCFLAEDSSANKSAGKLLQTSGGGGAGSCSVTFFFQINFLC >EOY21123 pep chromosome:Theobroma_cacao_20110822:3:3218550:3219648:1 gene:TCM_012474 transcript:EOY21123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIRAKLAILGLLALAHLSHFLDAVNAARRVTTPCKPSSCGAFTNISSPFILEGDPLECGDYELICENNRTILHNVDYGNGSFYVQEIFYNDGPTIRLVDGSLKSDECSILPKSFPCGSDYMYFISCKVAINSSLYVDASPCANASFSPHPYFYAVDGREISNAIDLHESCIIEVQVPRPFQLQSSSITGLSIFDIHQMFLMGYDVPDYCAPRSSLGTVTVNGTPPLYKIILGKM >EOY24563 pep chromosome:Theobroma_cacao_20110822:3:31445406:31446646:1 gene:TCM_016134 transcript:EOY24563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MDRSQSKRYRGDLGLRNMDCAASEFPSEESTVELFQFFSIPCQQDSSNPKQDEQNLILVSKKSDCSRGKRKAATAFGVEDGNAPDSKGKKIIHRDIERQRRQEMTTLYSTLRLLLPLEYLKGKRSISDHMHEAVKYIKHLQNRITELSDKREELKRSSNLHTPSSMPESSPDCSEDSVVVRGCMVGVEIAINTGLRPGLPLSNVLDVIVAEGLSVVNCISTKVNERLLHTIVLEVNDGRSIELSELQQKLTKLIIRPPG >EOY24654 pep chromosome:Theobroma_cacao_20110822:3:31822774:31824747:-1 gene:TCM_016199 transcript:EOY24654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRWKGRVRWNEANLSEIEANKVVRKKIDEPKTPYHPMIEEDGFPSATPGTAKTIDYAAHAEALRNALSKVALSQKHFSPLGGWASSGEEGETGEKDQGFAKQRDDASFTESRRAHYDEFKKVKELQQELCFLNDEHNKGIHNSGSCSSLSIKAREIHIEDSDEILRIIDIEEY >EOY24464 pep chromosome:Theobroma_cacao_20110822:3:31069864:31072499:1 gene:TCM_046743 transcript:EOY24464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 706, subfamily A, polypeptide 6, putative MTLISSSLRFDYAYSKIWSWLSKNTDGIDDFSRLFFTLVGVFAIFSYTWMYIKSKNKGKPPSPPGPRGLPLVGNLPFLQPDLHFYFAELARTYGPVVKLQLGCKIGILVTSPSTAREVLRDQDIVFANRDVPAAALLVTGGRDIVWNPYGPEWRMLRKICVLKMLSNATLDKVYSLRRREVRETVGYIHSKTGSPVNVGEQMFLTILNVVTSMLWGGTVGGDARASLGAEFRHIISEVTEILGLPNISDFFPVLAPLDLQRLIKRLGKSVEKLNGIVDKIIDQRLKVERESGSTTGEFKDFLQFLLQLKDEEDSKTPITMDHIKVLLLDMVVGGSDTSSNSIEFTLAEVVNKPEVMRKAQQELDEVVGKENIVEETHVHKLPYLLAIMKESLRLHPVLPLLVPHSPSEDCTVGGYAIPKGCRVFVNAWAIHRDPSVWENPLEFNPDRFLNSKWDFSGSDFNYFPFGSGRRICAGIAMAERMVLYSIATLLHSFDWKVPEGKKLDTIEKFGIVLKLKNPLVAIPTPRLSNPALYE >EOY20821 pep chromosome:Theobroma_cacao_20110822:3:1378956:1381884:1 gene:TCM_012163 transcript:EOY20821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate binding protein, putative MSHSPPPPSQPLRLGRPWRHLPMPSLLVPFLLLLMFLCPTFALDFLFNSFTTATNLTLINDARIDTSTIRLTNDSNQFSFGRAFHPTTLSMKSTSNSSSLSSFSTAFVFSVLPELSNSPGFGLCFVLSNSTSPPGALASQYFGIFTNATDSPVAPLLAIEFDTGLNPEFNDPDENHIGIDLNNVISAQTAPAEYFNSSTGSFVPVNMRTGQNVHAWIDFDGVNFEINVTVAPVGVPKPSRPTLNYKGPVIANYVSSEMFVGFSASKTQWVEAQRILAWSFSDTGVLREINTTGLPIFFIESSSSSLSSGAIAGIVIGCVAFVIICGSGCYLIWRKKFREESEDEIEDWELEYWPHRFSYEELKQATDGFSNENLLGSGGFGRVFKATLPNNTEVAVKCVNHDSKQGLREFMAEIESMGRLQHKNLVQMRGWCRKGNELMLIYDYMPNGSLNRWIFDKPQKLLGWRQRLLVLADVAEGLNYLHHGWDQVVVHRDIKSSNILLDSEMRGRLGDFGLAKLYEHGQVPKTTRVVGTLGYLAPELATVAVPTAASDVYSFGVVVLEVVGGRRPLEMATEKEEEQVLIDWVRYLYAEGRLREAADARIREEYEGEEVEMVLKLGLACCHPDTSRRPTMKEVAAVLLGEEVAAAPAELLNDLTRGGSTAADDGHGGGAA >EOY20608 pep chromosome:Theobroma_cacao_20110822:3:320741:322633:1 gene:TCM_011984 transcript:EOY20608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant U-box 38 isoform 2 MGGNGKHRWKISFYHRHNSSSSPKHSETQTPPEFLCPISGSLMFDPVVVPSGQSFDRISIQVCRDLGFTPTLPDGSIPDFSTTIPNLAIKTTILTWCRDHHTQDPTPLDYTSVEKIVRSKIQHQSPSTLTSAPDIRVSERELLKAVAENPPVLFSHAATEMGPRVNNNLYCSTSSSSDESVIITANSPHTPLPLATRPACFSTSGSSSSSIEITESEINPNFQSSSSSSSSSPEEQELFLQLKSSDIYEQETGLISLRKITRTKEEARVSLCSPRLLSTLRSLIISRYNVVQTNAIASLVNLSLEKSNKVLIVRSGFVPLLIDVLKAGSSEAQEHAAGALFSLALEDENKMAIGVLGALQPLLHALRSDSERTRHDSALALYHLSLIQSNRVKLVKLGAVSTLLSMVKSGDSASRVLLILCNIAASTEGKSAMLDANAVAILVGMLRESELVPEATRENCVAALFALSHGSMRFKGLANKEKAIR >EOY20607 pep chromosome:Theobroma_cacao_20110822:3:320951:323665:1 gene:TCM_011984 transcript:EOY20607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant U-box 38 isoform 2 MGGNGKHRWKISFYHRHNSSSSPKHSETQTPPEFLCPISGSLMFDPVVVPSGQSFDRISIQVCRDLGFTPTLPDGSIPDFSTTIPNLAIKTTILTWCRDHHTQDPTPLDYTSVEKIVRSKIQHQSPSTLTSAPDIRVSERELLKAVAENPPVLFSHAATEMGPRVNNNLYCSTSSSSDESVIITANSPHTPLPLATRPACFSTSGSSSSSIEITESEINPNFQSSSSSSSSSPEEQELFLQLKSSDIYEQETGLISLRKITRTKEEARVSLCSPRLLSTLRSLIISRYNVVQTNAIASLVNLSLEKSNKVLIVRSGFVPLLIDVLKAGSSEAQEHAAGALFSLALEDENKMAIGVLGALQPLLHALRSDSERTRHDSALALYHLSLIQSNRVKLVKLGAVSTLLSMVKSGDSASRVLLILCNIAASTEGKSAMLDANAVAILVGMLRESELVPEATRENCVAALFALSHGSMRFKGLAKEARAMEVLREVEERGSQRAKEKAIRILQMMRGREEEEDEEIDWEGALDAGGLSRSRYRAGRDVFGANSTNF >EOY21227 pep chromosome:Theobroma_cacao_20110822:3:4318561:4319956:1 gene:TCM_012615 transcript:EOY21227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVEVTWRAPWMPHHPVLYKCGNEPWVPLMGPWGAISYAPIMVRRQFGSEQFVPMTHRLNTLEFAYGEPGFLKRIEEIAQAWKKTSRVDQGRYTDEVTTRYQIWHDQRVKDMVYPKEDALRGPVDPEPRDALLESELARKKSEVENASWKQRYEDLQKECEKMKREVSEQRKKVRKMEGKYESLNDKFSATTSELQREIQVRENRGNELQTHNDGLRRQVRFQQESIELLRQEYEELEGVMTTYQQEYERLKQQSTRIQEWGESYRQAYTEKYNQMDYLVWQMREVAYKARSMA >EOY22347 pep chromosome:Theobroma_cacao_20110822:3:23067722:23073505:1 gene:TCM_014544 transcript:EOY22347 gene_biotype:protein_coding transcript_biotype:protein_coding description:MA3 domain-containing protein isoform 1 MASSEGFLTDEQREMLKIASQNVETALPSPRLSSSPKSPPTLLSDHQLKVPACGKAPTGGIAVRHVRRSHSGKFVRVKKDGGGGKGTWGKLLDTDGESHIDRNDPNYDSGEEPYQLVGSTISDPLDEYKKAVVSIIEEYFSTSDVELAASDLKDLGSSEYHPYFIKRLVSMAMDRHDKEKEMASVLLSALYADVISPAQIRDGFVMLLESADDLAVDILDAVDILALFIARAVVDEILPPAFLTRAKKTLPESSKGYQVLQTAEKSYLSAPHHAELLERRWGGSTHVTVEEVKKKIADLLREYVESGDTFEACRCIRELGVSFFHHEVVKRALVLAMEIQAAEPLMLKLLKEAAEEGLISSSQMVKGFARLAESLDDLALDIPSAKTLFQSIVPKALSEGWLDASFMKSSYEDGEAQNEDKKLRQYKEEVVTIIHEYFLSDDIPELIRSLEDLGLPEFNPIFLKKLITLAMDRKNREKEMASVLLSALHIEIFSTEDIVNGFVMLLESAEDTALDILDASNELALFLARAVIDDVLVPLNLEDIASKLPSNCSGSETVRMARSLIAARHAGERLLRCWGGGTGWAVEDAKDKIMKLLEEYESGGVVAEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLDLLQECFNEGLITINQMTKGFTRVKDGLDDLALDIPNAKDKFSFYIEYAQKKAWLLPSFGSCAVEALLTAAAS >EOY22346 pep chromosome:Theobroma_cacao_20110822:3:23067722:23073505:1 gene:TCM_014544 transcript:EOY22346 gene_biotype:protein_coding transcript_biotype:protein_coding description:MA3 domain-containing protein isoform 1 MASSEGFLTDEQREMLKIASQNVETALPSPRLSSSPKSPPTLLSDHQLKVPACGKAPTGGIAVRHVRRSHSGKFVRVKKDGGGGKGTWGKLLDTDGESHIDRNDPNYDSGEEPYQLVGSTISDPLDEYKKAVVSIIEEYFSTSDVELAASDLKDLGSSEYHPYFIKRLVSMAMDRHDKEKEMASVLLSALYADVISPAQIRDGFVMLLESADDLAVDILDAVDILALFIARAVVDEILPPAFLTRAKKTLPESSKGYQVLQTAEKSYLSAPHHAELLERRWGGSTHVTVEEVKKKIADLLREYVESGDTFEACRCIRELGVSFFHHEVVKRALVLAMEIQAAEPLMLKLLKEAAEEGLISSSQMVKGFARLAESLDDLALDIPSAKTLFQSIVPKALSEGWLDASFMKSSYEDGEAQNEDKKLRQYKEEVVTIIHEYFLSDDIPELIRSLEDLGLPEFNPIFLKKLITLAMDRKNREKEMASVLLSALHIEIFSTEDIVNGFVMLLESAEDTALDILDASNELALFLARAVIDDVLVPLNLEDIASKLPSNCSGSETVRMARSLIAARHAGERLLRCWGGGTGWAVEDAKDKIMKLLEEYESGGVVAEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLDLLQECFNEGLITINQMTKGFTRVKDGLDDLALDIPNAKDKFSFYIEYAQKKAWLLPSFGSCAVEALLTAAAS >EOY20741 pep chromosome:Theobroma_cacao_20110822:3:891502:893719:1 gene:TCM_012087 transcript:EOY20741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb119, putative MELDAKLRENMTPPGQQPMFMKDELFPFETTPSSSSKGCYHWQDFHHLEHHHQVLDVNGSSPNPVFGIQTACYDPFDAFPYGCSANDVDFYECKPFADNVGAAGHGQVMDNFQGGGGYLNLSQRNSDDVVMGSDSIGYMPFDFQGVKPVNFVVPDEVSCVSTAENAYYKKVGMNKNRVLASTKRTWKGRKKSNVVKGQWTIEEDRLLIQLVEQYGVRKWSLIAQMLPGRIGKQCRERWHNHLRPDIKKDTWSEEEDKVLIQAHIEIGNKWAEIAKRLPGRTENSIKNHWNATKRRQFSKRKCRSKYPRGSILQEYIKSLNLESSSSTRYQEKPSTATNAAIADMNTSTKAPNHQPKALDFCPTDRLVPEYDFNEVPDFCFDEKLLQESCSIDSLLDEIPSAPPNVAGAADPVDMALVMKNEVKKELDLVEMISQQANM >EOY21879 pep chromosome:Theobroma_cacao_20110822:3:19340858:19363959:-1 gene:TCM_014031 transcript:EOY21879 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H, putative MADALATLAVMFKVGTNVKIQSIMINLRECPAHYSSVKEEIDGKPWYHDIVHYLKFQQYPDQSSESDNKTIRRFAMNFFLDGNILYKRSRDQMLLRCVDSTEARRIVEEVHEGVCGAHVSGHKLARQVMRVGYYWLTLETDCIDFARKCHKCQIYADRIHTLANSLHVLTSPWPFSMWGMDVIGLITPKASNEHRFILVAIDYFSKWVEAASYANVTQKVVCKFIQKEIICRYGLPKRIITDNASNLNGSMMKEVCAKFKIKHHNSTPYRPKMNGAVEAANENIKRIIEKMTDIYKDWHEKLPFLLIEVEIPSLRVLKEVHLEEAEWVNARYEQLNLIEEKRLTALCHGQLYQKRMMRAYGKKAHSRQFREGELVLKRILPNQHDPCGKWTPNWEGPFVVKKAFSGGALILTEMDGREFSNPVNADAVKKYFA >EOY25433 pep chromosome:Theobroma_cacao_20110822:3:34258272:34259791:1 gene:TCM_016743 transcript:EOY25433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein MFGGGDSEGVGGRISSMLGGGGGQWGPEETRELILIRGELERDFTAAKRNKTLWEIVSARMRDRGYIRTPDQCKCKWKNLLNRYKGKETSDPENGRQFPFFEELHAVFTERAKNMQRLLLESEAGSTQAKKRMRRISADRSSDEFSEEEDDDEDESEEERHARSISSRKRKADRVVLDKSPRPNSGTSSTSSTGLQEMLREFFQQQQRMEMQWREMMERRARERQLFEQEWRQSMEKLERERLMVEQAWREREEQRRLREESRAERRDALLTTLLNKLINDNNL >EOY22915 pep chromosome:Theobroma_cacao_20110822:3:25288147:25290384:-1 gene:TCM_014943 transcript:EOY22915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily MEVKILLIFLISFSSIFSTALARGSRSNITWWCSKTPHPEPCKYFMRHGSHRFAPEHMTEFRKMIVRVALDRAVVAEKNVLEFGQSCESRHQKAAWRDCLKLFDNTILQLNLTLQGLETGRRISCSDFDAQTWLSTALTNIQTCEAGFMDFNVSDFSTPITSNNISQLISNSLAVNGVFLHMKNHTEVSPGWLSRHDRWLLASASTKVKAHLVVAKDGSGNFKTVQAALDAAAKRRRTSRLIIYVKKGVYRENIEVVNTNSNIMLIGDGMKRTIITSSRSVKTGYTTYSSATAGIDGPGFIARDITFSNTAGPTKGQAVALRSASDLSVFYRCAIQGYQDTLMVHSQRQFYRECYIYGTIDIIFGNAAVVLQNCSIFARKPLKGQANIITAQGRGDPYQNTGIAIHNSRILAANDLKPVVRSFKTYLGRPWQKYSRTVVLKTYLGSLVSPAGWSKWGDSNFALDTLYYGEYKNNGPASSTRYRVKWRGFHVITSPNVASRFTVNSLIAGGSWLPATGVPFTAGL >EOY22431 pep chromosome:Theobroma_cacao_20110822:3:23439760:23445417:1 gene:TCM_014603 transcript:EOY22431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein MGYLGHGPNMYLDSYELVLRDPIYAMGEMLKNKNTKTKPSEAKDRRAMAESEVSSVVSDLINFLNASPTAFHAVAEARKRLEEVGYEQVFEREDWKLEVGKRYFFTRNHSTIVAFAIGKKYVAGNGFHIVGAHTDSPCLKLKPISKVTKGGYLEVGVQTYGSGLWHTWFDRDLTVAGRVITREEKGDSASYSHQLVRIEEPIMRVPTLAIHLDRGLNDGFKVNTQSHLLPVLATSIKAELNKEVAENGPAEKMTKNSRHHSLLLQMIANKLGCQPDQICDFELQACDTQPSIVAGATKEFVFSGRLDNLCMSFCSLQALIDATSSESELVDESGVRMVALFDHEEVGSDSAQGAGSPVMLDALSRVTNCFTSDSKLLMKAIQRSFLVSADMAHAVHPNYMDKHEDNHQPKLHGGLVIKHNANQRYATNAVTSFIFREIAMQHNLPVQDFVVRNDMPCGSTIGPILASGVGIRTVDVGAPQLSMHSIREMCAVDDVKHSYEHFKAFFQEFSHLDTKITVDM >EOY22918 pep chromosome:Theobroma_cacao_20110822:3:25297663:25304030:1 gene:TCM_014945 transcript:EOY22918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase 9B1 MASGESTSMITLLTLLLLLGMAMLDRVASQNYGDALSKCILFFEGQRSGKLPPNQRMNWRKDSALRDGFDIGMNLVGGYYDAGDNVKFNFPMAFSTTMLAWSVIEFGQFMGSDLQYALDSIRWGTDYLLEATKFPGKVTAAVGDPNADHNCWQRPEDMDTPRPSYVVNQTHPGSEVSAETAAALAASSLVFESADKKYSIVLVNRAKQVFDFADMFRGNYKDSVGQGVCPFYCDYDGYVDELIWGAAWLFKVTNEQKYWDYLQRNIPRLPKKVTTLVDGQLQASASFAEFGWDAKYAGINVLVSGLVMNSNNSNPYVLQAGKFVCTLLPESPSKSVSYSPGGLLFKPGGSNMQHATSLSFLLVVYAQYLKKHNGAVPCGNVNAEPSRLEQIAKGQVDYILGKNPLSMSYMVGFGQKFPQRIHHRAASLPSIGNHPEHIKCKDGTPYFQTKNPNPNLLTGAIVGGPDEKDQFPDNRMNAPQSEPTTYINAPFVGVLAYFKAFPNPQAI >EOY20760 pep chromosome:Theobroma_cacao_20110822:3:942122:947448:1 gene:TCM_012099 transcript:EOY20760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan biosynthesis 1 MARTVFLLQSSSVSFSAFTQRRPSIKILDPRNRFLAARLDRCSYQRIVKVVSDPASGSASVSALSSEREKPSSSIGSINELIESLINRVDLTESEAEASLDFLLAEANEALISAFLVLLRAKGETFEEVVGLARAMIKHAWKLEGLNDVVDIVGTGGDGANTVNISTGASILAAACGAKVAKQGNRSSSSACGSADVLEALGVVIDLDPEGVTSCVNEAGIGFMMSPKYHPAMKIVSPVRKKLKIKTVFNILGPMLNPARVPYAVVGVYNEDLVLKMANALQRFGMKRALVVHSEGLDEMSPLGPGQVLDVTPEKIEKFSFDPLEFGIYRCTLDDLRGGSPEYNADVLKRILAGERGHIADALILNAAAALLVSGCVKSLAEGVSLARETQLSGKALNTLSSWIDISNNMQAKALVQENSGSFS >EOY23977 pep chromosome:Theobroma_cacao_20110822:3:29175014:29176670:1 gene:TCM_015698 transcript:EOY23977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin family protein MALSNNVIGAINFVAMLLSIPIIGAGIWLANQPDNSCVKILQWPVIVLGVLILVVAIAGFIGGFWRIPWLLIAYLVGMLILIILLACLVVFIYMVTIRGSGHLAPSRAYLEYHLEDFSGWLQRRVRSSYKWERIKVCLSSTEMCAQLNQSYTMAIDFFNAHLTPIESGCCKPPTECGYTFVNPTNWISPINNIADPDCIQWNNDQTQLCYNCNSCKAGLLANLKEEWRKADIILLITLIALICVYLIGCCAFRNAKTEDIFRRYKQGYT >EOY22704 pep chromosome:Theobroma_cacao_20110822:3:24517613:24521902:1 gene:TCM_014796 transcript:EOY22704 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein, putative MAAKPSVPFIKLNSPATILASIKQEPLQSPPSIPPREPIPEPLAVVKVEPEQNEQQQQPQEEEEEQQQQKEPQFLQSINDLATLSSVIHAFKCRFEELKEHLEFINQAIDSKFNEPQKEQRPKIETQPPAKSTETDNKTEKTGPETTPSKSSRSEIQNLCEMMCSKGLRKYIAAHLSNVSKLREEVPAALTLAPKPAKLVLDCIGRFFLQGIKAYTKDSPMIPARQASVLVLEFFLLMMGGFRGKGEVQIAADIKLEAEKGALAWRKRLISEGGLARASEVDARGLLLYVACFGIPKAFRSEDLGNLLRLCNLRAIADALKGSHVLPVKMPDIIEGMAKNGIYVEAVDVASIFGLEDKFSLKTILTLFLQESTKAFKKARQEAQNSPVALKKANENQLDALKTIVQYLEDRSSDVTKLLGAWQIEEKIVKLEEENAELQKRINDKKIMPKRKLDETGSSSKAKSQEMKRPRFTAKGSPLPKSSHVNGLHEQRTATLADGMRSYDGLVAKTMDNAISGHVSNYPAASSVPHGSTVGSYPENGVGQMAGIGGVGSSSMVTGIGGLLASSYSGAHLDMGVDKAGSSSDLPYGWRQGSVGQSASMRFGGLFGTSPSIEGFVGLPDFPSSGPTDRTTADLYRFVDSVVESETYSGSSHRTGTLQNVVPVRHSSYMF >EOY21045 pep chromosome:Theobroma_cacao_20110822:3:2501796:2504054:-1 gene:TCM_012362 transcript:EOY21045 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR enhanced expression 1 MADFTSDFQSFKQSFSFLDIDPAMESLNQFAELSPGVIDNSALNFQSFLPFSNDSFFSNQAPEIPGNNWGENLPGFIHHSNQSSVVSVAQPTVTSKTEFHESKKRKALDVSESSSGNSSSPQVSESGIKRRNNPGRGKRARSNEKGEEKPKEVVHVRARRGQATDSHSLAERVRRGKINERLRCLQDIVPGCYKTMGMAVMLDEIINYVQSLQNQVEFLSMKLTAASTYYDFNSESDAMEKMQRAKAQEAKELDRLMRSEGYVGGLACFHSAAWSSLT >EOY23632 pep chromosome:Theobroma_cacao_20110822:3:28066984:28074914:1 gene:TCM_015464 transcript:EOY23632 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MADSYWRYSDSRQPPPSSIPSLVGKRPRSDYDVSGGHELSSYYSRGDDRGAVRAIRDSDSIGASYDRYLRSTQMSSYSGSQSARPMSGGMPGRSVDDPRIMGIGGVDPGQTVKDRTLGFGGGRPEAPLPPDASSTLFVEGLPSDCTRREVSHIFRPFVGYKEVRLVSKESRHPGGDPLILCFVDFVSPAHAATAMDALQGIEGNGQPNMPYGYCSGGVT >EOY23631 pep chromosome:Theobroma_cacao_20110822:3:28066921:28075105:1 gene:TCM_015464 transcript:EOY23631 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MADSYWRYSDSRQPPPSSIPSLVGKRPRSDYDVSGGHELSSYYSRGDDRGAVRAIRDSDSIGASYDRYLRSTQMSSYSGSQSARPMSGGMPGRSVDDPRIMGIGGVDPGQTVKDRTLGFGGGRPEAPLPPDASSTLFVEGLPSDCTRREVSHIFRPFVGYKEVRLVSKESRHPGGDPLILCFVDFVSPAHAATAMDALQGYKFDEHDRDSVKLRLQFARYPGARSGGGHRGKR >EOY20977 pep chromosome:Theobroma_cacao_20110822:3:2030236:2043367:1 gene:TCM_012292 transcript:EOY20977 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein MGQIKTGPSVSEPHHQVQAEPEPQCHAIASLHEPTQNQASATIYQTQQSTRANETLPQQQLQQQHYQPQPNQRTYGVVQPAAPPMPAQFSPQTAQNTGPNEHPLEYPPLSRQQTIPIQPNQDVGFPVPVDGWKTGLFDCMDDPMNALITVCFPCVTFGQVAEIVDEGHTSCGTSGLLYGLIAFFIGVPCILSCAYRTKLRNKLGLVESPAPDWVTHCFCDWCALCQEYRELQQRGWDPSIACCSGRIMWISLLEGHRIRIYICTSVGEARRVSLELETPSCNVENKNEDSKLGTDQRVLYIEEKYSSVIKVEPPNLEPTTPCNPHHKVIKINFSPSSGIRYAQKHIQIEDKPPRLESDIHPEDVTIMKKTSNKRDKEEFEEGLRNRAVPKG >EOY24547 pep chromosome:Theobroma_cacao_20110822:3:31390065:31392452:-1 gene:TCM_016119 transcript:EOY24547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein MVEAQTWTTRRMSNPRLDSTATADHQVLDIPATPTGDDRNSFYSVGSHLSPNLLTALIIASWFMSNIGVLLLNKYLLSFYGYRYPIFLTMLHMISCACYSYVAINFLEIVPRQHILSRKQFFKIFALSCIFCFSVVCGNTSLRYIPVSFNQAIGATTPFFTAIFAFLITCKKESAEVYFALLPVVFGIVLASNSEPLFNLFGFLVCIGSTAGRALKSVVQGILLTSEAEKLHSMNLLLYMAPMAAMILLPFTLYIEGNVARVTLEKARSDSFIIFLLLGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAFVSVMIFRNPVTVMGMTGFAVTVMGVVLYSEAKKRSKVTTH >EOY25110 pep chromosome:Theobroma_cacao_20110822:3:33272813:33282901:-1 gene:TCM_016523 transcript:EOY25110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQNDNDDDDDFDFVNAKNRGGGKEILLKVGVHEHMVDSSTAAEAAETEATNEHKQTDRKPDKTRAQKDAHNAACRMSRLKRKMDVEKLEADYLQTKKKFHKMQVEHQSMYTVMRADLQSMYTKLEERNSEITLLKTALRILGINFTSVKELQEWILSLKYEKVGQGSGPNDTNVTASAAAGPSFVATHDHSPNNAAVILIYFLVTLGILNASAAGRSFDANYALLRAFDAPNINHDPAAAGFSTPSAAGPSSGTNGANITAFAASGPSFPNHGLPNNAPDNNPFSNDPTLAVGSPTASVIGSFFAANPELASAFDAPYNPSTSDRFTDHDLAAAGSSLIAGHDLPVMSDAPHNHDIISNLSTHHDPAAGFSTSSAAGFSSVANHNLHARKAYDISRLFTHDPCPR >EOY24890 pep chromosome:Theobroma_cacao_20110822:3:32584998:32588870:1 gene:TCM_016368 transcript:EOY24890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter 9 MNGKKGSVEINIPPAAKAKQPEVIRKSSFQGLSCKAWIWNVWEFCKEDSNRVTFSFKVGLAVLLVSLLILVQGPYEIFGTNIIWSILTVAIMFEYTVGATFNRGFNRALGSLLAGILAIAVAQLALRTGRVAEPIIIGISIFFIGAITSFMKLWPSFVPYEYGFRVILFTYCLIIVSGYRMGNPIRTAMDRLYSIAIGGFVAVFVNVLVFPIWAGEQLHKEIVNSFNSLADALEECVKKYLEDDGLDHPEFSKTVMDEFPDEPAYRKCRSTLNSSAKLESLANAAKWEPPHGRFQHFFYPWSEYVNVGAVLRHCAYEVMALHGVLHSEIQAPYNLRKTFQSEIQDATNQAAELVRSLGKDICNMRRSLKSSLLKRVYSSTERLQRAIEVHAYLLTVQCDPPDNSSKPLPKLSHAVSSTLCDLPDQLDNLDCTSPEKSSNQLTQNMQSGTPPPAQTESYHEMMRKQSRRLHSWPSREVDAFEEEGGFSTDLLPRMRALESTAALSLATFTSLLIEFVVRLDHLVEAVDELSKMAKFKHEGL >EOY24055 pep chromosome:Theobroma_cacao_20110822:3:29463626:29465009:-1 gene:TCM_015757 transcript:EOY24055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-kaurenoic acid oxidase, putative MGLDFRPVNIAVVVGLGTYVFLLVFLGKLMSGTMSAGWERGTFSSSSPSIIVCIPETCKKILTDERFAPGYPISVRKLTGSKSFHSVSNSEHRRLRKLTTAPINGHEALAMYIGYIENIVITDLEEWTSMNRPIEFLKEMERVTFKVLTHIFMGSGAESIRESTEKYHNDFHHGLLSAAYNIPAFPFHKALKARNMFVKIFHDVLDERRSKSDHPYETRGMIDLLMKIEDENGEKVQ >EOY20833 pep chromosome:Theobroma_cacao_20110822:3:1421442:1423272:1 gene:TCM_012172 transcript:EOY20833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L24e family protein MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRSIAENTLKAIKKIAKIRSDRSSDHIKNRLKTGKIQRQKEARKQLEQDIHLVKAPLALAQDSSLRLPKIKVNVSQTQTEENQQMEE >EOY21247 pep chromosome:Theobroma_cacao_20110822:3:4825890:4851619:-1 gene:TCM_012662 transcript:EOY21247 gene_biotype:protein_coding transcript_biotype:protein_coding description:21 kDa seed protein MKTATAVVLLLFAFTSKSYFFGVARADESPVLDSDGDELRTGVEYYVVSAIWGAGGGGLALGRVTGQSCPEIVVQRGSDDDGLPVIFSNADGKDGVVRLSTDVNIEFVPIRDRLCLTSTVWKLDDYDPSTGKWWVTTDGVKGDPGHNTLTSWFKIEDAGALGYKFRFCPSVCDSCIHLCNDFGRHGHDGQVRLALSENGWPWIFKKARNSIKQVVNAKH >EOY22248 pep chromosome:Theobroma_cacao_20110822:3:22612455:22615857:-1 gene:TCM_014472 transcript:EOY22248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKVTTNRVKTVNLRKTLPLLTEKNTNKRKKKFPKGKKREKVTVRLSLRREKENQIKKKREIKESEREACGNGDTAFRFFPGSILFFFLFHP >EOY23817 pep chromosome:Theobroma_cacao_20110822:3:28672428:28674062:-1 gene:TCM_015593 transcript:EOY23817 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MELLQCHLKCLSRDLLTDIMVRLDGSTLASAACTCSDLQGIAREQSLWKQLCHSTWPSTALKEAQHLISSSAIDGFDRFYADSYPLILHDKVAKNIPAQTHISPSNFASFVDVYYSDQCILSRVLDGIPSSGDFCEGDETSDLMRWLLNCPFKLVLLDVGHDEGTGDGNELHRANNQYGYHRILAPPFMSFVQTDHCKELREGLRLSWVLLDKMRGRAVNLSSWKPLLVKKIWATDGDYEIHFGCIVPVEESVLPHKLAKCLILARCNLAENGHLGWKEISMHFEDTRGAYVCGNKSLTIMNQALYCLRSNSRLIVEKGYQQFEELKQEMIRKKKLKETIADWLCLSFEVAIFITFGYYILPI >EOY23306 pep chromosome:Theobroma_cacao_20110822:3:26977145:26979609:-1 gene:TCM_015247 transcript:EOY23306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hydroxylase 1, 1,B1,chy1,BCH1 isoform 1 MAAGLSAAITPKPFRSFLSSHLEPKPTPLFHPLLRLQKTLYGARRKRSFAVCFVLEEQKQSAPQIVNLAEEGSEDARDSQILIQARLAEKLARKRSERFTYLVAAVMSSFGITSMAVMAVYYRFSWQMEGGEVPLSEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAIINAVPAIALLSYGFFNKGLVPGLCFGAGLGITVFGMAYMFVHDGLVHKRFPVGPIANVPYFRKVAAAHQLHHSDKFNGVPYGLFLGPKEVEEVGGLEELEKEINRRIKSSMGERHGLVFLFEENMGQRSRIRDNDLGTESPQSQFTNIV >EOY23307 pep chromosome:Theobroma_cacao_20110822:3:26978261:26979853:-1 gene:TCM_015247 transcript:EOY23307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hydroxylase 1, 1,B1,chy1,BCH1 isoform 1 MAAGLSAAITPKPFRSFLSSHLEPKPTPLFHPLLRLQKTLYGARRKRSFAVCFVLEEQKQSAPQIVNLAEEGSEDARDSQILIQARLAEKLARKRSERFTYLVAAVMSSFGITSMAVMAVYYRFSWQMEGGEVPLSEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAIINAVPAIALLSYGFFNKGLVPGLCFGAVSLLLSVFGAWNYGVRNG >EOY22491 pep chromosome:Theobroma_cacao_20110822:3:23675528:23677580:-1 gene:TCM_014649 transcript:EOY22491 gene_biotype:protein_coding transcript_biotype:protein_coding description:SecE/sec61-gamma protein transport protein MDALDSVVDPLRDFAKDSVRLVKRCHKPDRKEFTKVAFRTAIGFVVMGFVGFFVKLIFIPINNIIVGSA >EOY23009 pep chromosome:Theobroma_cacao_20110822:3:25743501:25744479:1 gene:TCM_015023 transcript:EOY23009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIDDNMKSRRNGHVVMTTIGLIGFLSIEKPSPPRPDPLTFEPYIHSTTPNFCALIDSKRPQQEFNERRWE >EOY24910 pep chromosome:Theobroma_cacao_20110822:3:32648169:32649023:1 gene:TCM_016381 transcript:EOY24910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein MAAASFRWILQLHKDVPKAAKFYSQGLDFSVNICTLRWAELQSGPLKLALMQSPSDNVKQNGYSSLLSFTVNDINGTVTKLMALGAELDGSIKYEIHGKVAAMRCIDGHMIGLYEPA >EOY20679 pep chromosome:Theobroma_cacao_20110822:3:565613:570751:1 gene:TCM_012038 transcript:EOY20679 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 19, putative MPTLSLPSQLSTDGSSSSATCPPRFFRCPLPIFGLTETLSVQTSSKEGRALCKKGKQNFQKKKKKQIKKTESSVLFFTVFVSIPSMIQKFSQVERRIFSFPAVHPCEAVSPATLLGSLISLSQDISNHQSKFFATQRRNARETIRQIGILLVFFEEVRDRGSIVPESALLCFSELHLTFQKIRFLLEDCSREGARLWILMKSEMVATQFRTLIKTVSTALDVLPLNLIDICGEVKELVELVAKQARKAKIDIDPDDERAMRRVRSVLNRFEKGIEPEFQILKWVLDHLEIKSWCDCNKEIQFLEEEISSQCVDGKEREVPFLSSLLGFMSYCRGVVFDTLDNRNTDQTDVRCNIDILSCLNPEDFRCPISLELMIDPVTVSTGQTYDRSSIQKWFKAGNMICPNTGEKLANTGLVPNTNLRKLIHQFCADNGVSLAKTGKKSRDITRTIMPGSPAAAEAMKFLSKFLASKLLFGPSEQKNKSAYEIRLLAKANVFNRSYLIEAGTIPPLLKLLYSFNKSIQENAIAALLKLSKHPSGKKVIVESGGLRSILSVLKRGTSLEARQIAAATIFYLSSVKGYRKLIGETPEAIAALVDLIKEGTACGKKNALVALFGLLLYPGNHQTVLDAGSVPLLVDILTSSDKHELVTDSLAVLATLAESFDGTFAILKTSSLPLIARILRSSTSQAGKEHCVSILLSLCSNGGDEAIAALAKDPSVMSSLYSVVTDGTSQASKKSRSLIKILHKFHETSSSRQGCQAFEHEQSVDVW >EOY20886 pep chromosome:Theobroma_cacao_20110822:3:1656313:1659043:-1 gene:TCM_012225 transcript:EOY20886 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein, putative MEHEDSIGILESPLIPVSGKVPGEGVSLSKGSCNKAEITSELKKQMRLAGSLVVVSFLQYSLLMISVMFVGHLGELSLASASMATSFAGVTGFSFMLGMGSALETFCGQAYGARQYHMLGVHMQRAILVLTLVSVPISLIWAFTGQVFTILKQDTEISMRAGVYARWMIPSILPYGLLQCQLRFLQTQNNVLPLMISAALSSAISYWINVFILAIYIKFSPTCKKTWTGFSKDGRRNLVSFLKLGIPSALMVCLEFWSYEFLVLMSGLLPNPKLETSMMSVSLNISSVVYRIPFGFGSAVSVRVSNELGAGKPRAARLAVKIVTLLAVTEGLSLSIIAVALRGTLGYLYTNEEEVVRYLASVMPVLALSNFMDGIQGVLSGTARGCGWQKVGAYVNLGSYYLLGLPSAIILTFVFHFGGKGLWIGITCGSGLLAFLLLVIAMRTNWELEAGKARERVYDSSIPMDLPS >EOY20982 pep chromosome:Theobroma_cacao_20110822:3:2068096:2073771:1 gene:TCM_012298 transcript:EOY20982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMNNPGLSNNIDAADAEIEVANILLELSKVWVEPVVIRWRFRPRRSALKESPSLSHQSIPPPKAHYIVSSRLETPSCNGETKNEDLKLDTDQHVPYIEEKYSSENKVEPPDLEPTTPCNPQHKVVKIKFNPSSEIRYAQKHIQIEDKPPRLEADIHPEDVTIMKKTSNKRDKEEFEEGLSSRAVPKGCLVPGSGNIKAEPTTLPKAYNSFIPHAKPAKRKDKEEFEEGLSNLAVPKGHLVRGSGNIKAVPTTVPEANNSFMPHTKPAKRKQKEEMENEVAFWEQHKRQLLESIEKVKDCLEKRKALNLRLKALKLQCFSLCLLSFLLVKAKPDSSERWLEGDDFERIFRTDS >EOY24403 pep chromosome:Theobroma_cacao_20110822:3:30824530:30829574:-1 gene:TCM_016011 transcript:EOY24403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyl transferase 4 MSAYRDKDPRIHGIQSKIRVVPDFPKPGIMFQDITTLLLDPKAFKDTVDLFVERYKGKNISVVAGIEARGFIFGPPIALEIGAKFVPLRKPKKLPGKVISEEYVLEYGRDCLQMHVGAVEPGERALVVDDLIATGGTLCAAMNLLERVGAEVVECACVIELPDLKGRERLNGKPLYVLVESH >EOY21593 pep chromosome:Theobroma_cacao_20110822:3:13394080:13401498:-1 gene:TCM_013473 transcript:EOY21593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRHFIIANYYQTWKQIENGPYKIEKDMANSNSHDLDLIALNANTMHTILSALCERQCDQVQDNESAKEIWDKLDELYGQPLEKELEKDDLLDESSPSVTKRISNFRFQDQTMR >EOY20536 pep chromosome:Theobroma_cacao_20110822:3:56576:58196:1 gene:TCM_011934 transcript:EOY20536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MMACNVRDGSSLDALILLIKMLQMKKATNYVTFMSALATCSNTEFVDEEKIVHALVILIGLHENLVVRNAPVTMYAKSGLMIDARKVFQMLPKRNEVTWNALIGR >EOY21594 pep chromosome:Theobroma_cacao_20110822:3:13419859:13423947:1 gene:TCM_013479 transcript:EOY21594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRACVIDFIGSWDRHLPLVEFAYNNSFQSSIGMAPYEALYGRKCRTPLCWDEVGERKLVRVELIELTNDKIKVIRERLKVAQDRQKSYADKRRKDLEFEIDDKVFLKVSPWKGVIRFAKRGKLNPRKGKKLICKPCGVPVGIPDNECQSGRRGDCHGPEGGSGS >EOY25403 pep chromosome:Theobroma_cacao_20110822:3:34173989:34178735:-1 gene:TCM_016723 transcript:EOY25403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein MVDTRSCQWLHLLVLGLLWFKTQGVYVPITYVQSAVAKGAVCLDGSPPAYHWDRGYGTGINSWLIQLEGGGWCNNVTSCLVRKNTHLGSSKRMVKQIPFSGILNNKHQFNPDFYNWNRVKVRYCDGSSFTGDVAAVNPVTNLHFRGARVWLAVMEDLLAKGMRNAENAVLSGCSAGGLASILHCDSFPALLPMGTKVKCLSDAGYFINAKDVSGGHYIEAFFNQLVATHGSAKNLLPSCTSRMRPGLCFFPEYMAQQIQTPLFFINAAYDSWQIRNILAPGIADPRGYWESCKLDIKNCLPSQIKTMQDFRLQFLVALLRLGKSSSRGMFIDSCYAHCQTEMQGLWLMPDSPLLNKTSIAKAVGDWFYDRNPFQKIDCAYPCNPTCHNRIYEQPAHHYPNL >EOY23730 pep chromosome:Theobroma_cacao_20110822:3:28377703:28380095:-1 gene:TCM_015529 transcript:EOY23730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification domain-containing protein MGEEAKQEQAAAEAQPKEKKEEKAGEKPADEKKEEPPPPPPPFVLFVDLHCVGCAKKIEKSIMKIRGVEGVAIDMAQNQVTIKGIVEPQAICDKIMKKTKRRAKVLSPLPAAEGEPLPEVVTSQVSGLTTVELNVDMHCQACAEQLKKKILKMRGVQSAATEHSTGKVTVTGTMDANKLVDYVYRRTKKQARIVPQPEPEPQPEPEKKEEKKEGEEKPAEEAKPEVNAEKKEEEPPEEAKKEEAENKGGKEVEKKEGAEENNIIINEEESMKRMIYYYQPLYVIERMPPPPQLFSDENPNACCIS >EOY24851 pep chromosome:Theobroma_cacao_20110822:3:32432893:32436345:-1 gene:TCM_016338 transcript:EOY24851 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate synthase isoform 1 MVAMSKIATGNGHGENSPYFDGWKAYETNPFHPTERPNGVIQMGLAENQLCFDFFQKWLMKHPEASLCSPEGVRKFKETALFQDYHGMPEFRKVDRDLRWRTRVELVPVDCESSNNFKITRTALEAAYEKAQAANLRVKGLLITNPSNPLGTILDRETLKSIVSFINEKNIHLIGDEIYSATVFMEPEFVSISEIIEEDIECNRDLIHIVYSLSKDMGLPGFRVGIVYSYNDAVVSCARKMSSFGLVSSQTQHLIASMLSDDDFVESFIVESKERLFKRHKYFTWSLSQVGIGSLQSNAGLFIWMDLRKLLKEKTFKAEMDLWQVIIKEVKLNVSPGSSFHCQEPGWFRVCFANMDDNTVEVALMRIRTFMLKNNEAMVPRSKLCRRSSLKLSLSRRKDDFITSPGIMSPHSPLPTSPLVRART >EOY24850 pep chromosome:Theobroma_cacao_20110822:3:32432948:32436248:-1 gene:TCM_016338 transcript:EOY24850 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate synthase isoform 1 MVAMSKIATGNGHGENSPYFDGWKAYETNPFHPTERPNGVIQMGLAENQLCFDFFQKWLMKHPEASLCSPEGVRKFKETALFQDYHGMPEFRKAVAKFMGKVRGDRVKFDPDRIVMSGGATGAHEMVTFCLADPGEAFLVPTPYYPGFDRDLRWRTRVELVPVDCESSNNFKITRTALEAAYEKAQAANLRVKGLLITNPSNPLGTILDRETLKSIVSFINEKNIHLIGDEIYSATVFMEPEFVSISEIIEEDIECNRDLIHIVYSLSKDMGLPGFRVGIVYSYNDAVVSCARKMSSFGLVSSQTQHLIASMLSDDDFVESFIVESKERLFKRHKYFTWSLSQVGIGSLQSNAGLFIWMDLRKLLKEKTFKAEMDLWQVIIKEVKLNVSPGSSFHCQEPGWFRVCFANMDDNTVEVALMRIRTFMLKNNEAMVPRSKLCRRSSLKLSLSRRKDDFITSPGIMSPHSPLPTSPLVRART >EOY24380 pep chromosome:Theobroma_cacao_20110822:3:30720293:30721775:-1 gene:TCM_015996 transcript:EOY24380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESYMGIGRPPDEIDQGNYGQEITRYNEPLKLENSEKQPMKPNHHLRKPRLTFKCLVIGCYSWLILYKLYIDKLENKLITDDVIKISSTVSVGFLSFMWELSQTYVIAGIGFTNNCSSSHPQPALFVNGQRSTQMPKGYLTLNLWANVLGDFLKLGVGKPHSPCCSLIKGLVDVELDACLCTVVKANILGLVNVEAPIQLGLPIDFCGMNHRDYNCQ >EOY22743 pep chromosome:Theobroma_cacao_20110822:3:24634166:24636690:-1 gene:TCM_014820 transcript:EOY22743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin p4,1 MAEAETTNNVERETVPKVITFLSSLLQRVAESNDHIRRLQSQNISVFHGLTRPTISIRSYLERIFKYANCSPSCFVVAYVYLDRFVQMQPSLPINSFNVHRLLITSVLVSAKFMDDIYYNNAYYAKVGGISTVELNLLELDFLFGLGFQLNVTPSDFHTYCSFLQREMWMQSPLQHLAEPSLNIGRPLKIHCCFNEDESTHQQQLAV >EOY23198 pep chromosome:Theobroma_cacao_20110822:3:26585151:26586624:-1 gene:TCM_015173 transcript:EOY23198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin depolymerizing factor 7 MANSASGMAVHDECKLKFLELKAKRNYRFIVFKIQDQQVVVDKVGSPQETYDDFQASLPADECRYAVFDFDFITSENCQKSKIFFIAWSPDTSKVRSKMVYASSKDRFKRELDGIQVELQATDPSEMSFDIIKGRAL >EOY21414 pep chromosome:Theobroma_cacao_20110822:3:7532561:7547955:-1 gene:TCM_046739 transcript:EOY21414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molecular chaperone Hsp40/DnaJ family protein MPIISFPFYANVNLPIQSCSIRRHNWFVYHGIGSGSRSFDLGLGCSESQFFCNTPNLGVGVIKRERRRSGLIRAAGSDYYTTLNVSPGATLQEIKASYRKLARKYHPDMNKSPGSEEKFKEISAAYEVLSDNEKRSLYDRLGEAGLQGGYDGSGGASPEVDPFEVYNAFFGGSDGFFGGMGEPGGFSFDLRNKGNNSLDIRYDLYLNFEESVYGGEHEIKISCLETCDDCGGTGAKSSSCIKSCSGCGGRGVVMKSQRTPFGMVSQVSTCSKCGGNGKIITDKCRRCGGSCKVKVKRSMRVIIPPGVSDGSTMRIQGEGNFDKKRGLAGDLFIVLHIREKQGIWRDGLNLYSKINVDYTEAILGTVVKVETVEGMKDLQIPCGIQPGDKVKLSRLGIPDVNKPSVRGDHHFIVNVLIPKDISNKERALVEELASLKASSKSYASSDGMNEVSASKVCASSKRVNGVSSLWNSIKAFLGQRQPGEGFASITAVTSASLWSRSKPDLVLTVSYFAIFLYTCIFTCTHRIKNDLRLRRREHSS >EOY24970 pep chromosome:Theobroma_cacao_20110822:3:32807322:32812795:1 gene:TCM_016418 transcript:EOY24970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein MAQSPQSDPFQESRLDSDAGAVFVLESKGKWWHAGFHLTTAIVGPTILTLPYAFRGLGWILGIFCLTVMGCVTFYSYYLMSKVLEHCEKAGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGVGIGAILLAGQCLQIMYSNLSPSGSLKLYEFIAMVTVVMIILSQLPTFHSLRHLNFASLLLSLGYTFLVVGACIHAGLSKNAPHRDYSLEPSNSSRVFSAFTSISILAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVIFVTFYTAAVSGYWAFGNKSNSNILKSLMPDNGPSLAPTVVLGLAVVFILLQLFAIGLVYSQVAYEIMEKQSADIKRGVFSKRNLIPRLILRTLYVIFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPPRSSLTYWINLFIIVAFTGTGIMGSFSSIRKLVLDANKFKLFSSDVVD >EOY25188 pep chromosome:Theobroma_cacao_20110822:3:33509156:33510686:-1 gene:TCM_016585 transcript:EOY25188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKFYVSLRVFLSIHLDGVIEDFWSLFRESVTKRDSTKKRELDTWPNQGDRQNTWQKEGAADLGAFCVTVGGARTEIAGGYSRVSRDHMTGPGFTLSRGILCPS >EOY21085 pep chromosome:Theobroma_cacao_20110822:3:2847373:2848707:1 gene:TCM_012414 transcript:EOY21085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSQLLLSTPSIYIFTFFLIGLFSLPISFCQDDENFEQCFSRFDCGDIKNLTCAYWTDDRPQLCKQEGFRLTKCDDGKPVILIGRYEFRMIYLNYFTYAMTIARNDLWEKICPENPTNVTLDNPFLRYSPTNRYLTFFYNCSRSIPSSPNPNPFRCTEDLYSFYADDLVERARYGDLSDSCDTAIQVQVNQSAFAELQNQTPQRLEAWEKGFDVEYNLAEIFCSACNSRRGKCEILSSPEHPICIYPGMHNLLTPLFFRLICNICILPLVLIAGPNSQ >EOY24387 pep chromosome:Theobroma_cacao_20110822:3:30758762:30759876:-1 gene:TCM_016003 transcript:EOY24387 gene_biotype:protein_coding transcript_biotype:protein_coding description:14 kDa proline-rich protein DC2.15, putative MASKRSASLALFFALNILFFSLVSACGSCPSPKPKPKPTPCSSPSTPCPTPSGGGKCPRDALKLGICADLLGGLLNVTVGKPPVQPCCSLIQGLADLEAAVCLCTAIKANILGINLNIPVSLSLLLNVCSKNVPSGFQCS >EOY21758 pep chromosome:Theobroma_cacao_20110822:3:17990275:17994531:-1 gene:TCM_013866 transcript:EOY21758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRYRDLKYKSEYIGNRMLEEHFVMKVSIALVKVSRLEESSSLDSPLEGGVVQRVLELFMLNTEKGDLHMYNLRK >EOY24089 pep chromosome:Theobroma_cacao_20110822:3:29600411:29601835:-1 gene:TCM_015787 transcript:EOY24089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein, putative MEFLDEDTRPRFLFQSRPQASSSFHENTPQKPSKTLLFISLSISSLLLSLSLISLHTEPFKSLLFWLSLSLFLGPFAPASLTGGDVRVGHGPIIPDPIDQEPQPEPESKKKFSQKRSKPDKIDELGGNRGSSVENAIGFSNLEVKSKNSNGFSSLGAKKEDLGSGFDGEEMEWSEADVEILKKQMVKNPVGKPGRWESIAEAFKGKHRMESVIKKAKELGEKKAGDSDSYAQFLKNRKPLDTRIHGGNDGVTSESQESSGGGDNNAGAAGWSSGEDIALLNALKAFPKDAPMRWEKIAAAVPGKSKAACMKRVAELKRDYRSSKASDEGN >EOY20632 pep chromosome:Theobroma_cacao_20110822:3:390797:398091:1 gene:TCM_012001 transcript:EOY20632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L29 family protein MFLSRFVGRTALLAAAKSERSAAAAAAATGRNPLEQFFEADRSPDDEKPVYGRSWKASELRLKSWDDLHKLWYVLLKEKNMLMTQRQMLHAQNLRFPNPERIPKVRKSMCRIKQVLTERAIEDPDPRRSAEMRRMINAL >EOY21828 pep chromosome:Theobroma_cacao_20110822:3:18827586:18829025:-1 gene:TCM_013962 transcript:EOY21828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEERIRRRRTENINSIFAFANFIQDTAFVDFPMVRDCFTWHRRRAEATFSRLDRILIANEWLNCYSDIFQKCLSSSLSDHHLVVLGDNWKKAVAETSRGLAVWRGLRNLLLVIQDWHKNKFREMAQKIKTLEGELHKMDVE >EOY20713 pep chromosome:Theobroma_cacao_20110822:3:690615:702336:1 gene:TCM_012060 transcript:EOY20713 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase MSLIIFLMPCVQARIMPCRTLRFNESVCNPYNADFDGDEMNMHVPQTEEAQTEAFMLVGVQNNLCAPKNGEILVASIQDFLTSSFLITRKDTFYDRAAFSLICSYMGDGMDLINLPTLALLKPIELCTGSNCLVFYYAHMQV >EOY21499 pep chromosome:Theobroma_cacao_20110822:3:9687850:9696624:-1 gene:TCM_013143 transcript:EOY21499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYAWLGHQMITQLRPLDALMSANIVEIAMACEIRWSEAPGFYYVVAGPQVLVDLLLETKNLFGFSNSYILHGENEIIRFLAASLCFPVM >EOY23900 pep chromosome:Theobroma_cacao_20110822:3:28958459:28961931:1 gene:TCM_015653 transcript:EOY23900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 2 MLTEQKPLMRALRRSHTSSSPSSSSSPSNTSSSSSSSSSSWIHLRSVLLVVASSSSSSSPLPTDRGSLKSPWSRRRRKHALLPKQWKNLFTPDGKLIDGGVKFLKKIRSGGVDPSIRAEVWPFLLGVYDINSSKEERDSIQSQNRKEYESLRKQCRQILKRTEKSCKLKETSGNSCNEDSEDFSQVLDSPGLEDVVSGRRSHSTEGGSTVVDDSDGPVYDQSRQTLLSSDSFLEGDGDKSVVTCEDASTGETESSDSDSFEEHENTPLLASEITEGNNIDEDDNDTSSPSKIEGRSKSHTDEDFATWQRIIRLDAVRANDEWIIYSPSQAAVLEIKAQRLAESVGLKDYDHLEPCRIFHAARLVAILEAYALHDPEIGYCQGMSDLLSPIISMVEDDSEAFWCFVGFMKRARHNFRLDEVGIRRQLNIVSKIIKCKDIHLYRHLEKLQAEDCFFVYRMVVVLFRRELNFEQTLCLWEVMWADQAAIRAGIAKSAWGRLRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEIMRECNSMAGHLDVWKLLDDAHDLVVNLHDKI >EOY23899 pep chromosome:Theobroma_cacao_20110822:3:28957880:28962603:1 gene:TCM_015653 transcript:EOY23899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 2 MLSAFFRVTYQKNKQKQKSNRDLGLGITVISLDLVFLMLFFSSDDAAFGKWILFAEASTGTGGGGGGGGGGGGGFWSSYSAVSLSGIAIAVTAMAGIALAATVVYSRRGSLKSPWSRRRRKHALLPKQWKNLFTPDGKLIDGGVKFLKKIRSGGVDPSIRAEVWPFLLGVYDINSSKEERDSIQSQNRKEYESLRKQCRQILKRTEKSCKLKETSGNSCNEDSEDFSQVLDSPGLEDVVSGRRSHSTEGGSTVVDDSDGPVYDQSRQTLLSSDSFLEGDGDKSVVTCEDASTGETESSDSDSFEEHENTPLLASEITEGNNIDEDDNDTSSPSKIEGRSKSHTDEDFATWQRIIRLDAVRANDEWIIYSPSQAAVLEIKAQRLAESVGLKDYDHLEPCRIFHAARLVAILEAYALHDPEIGYCQGMSDLLSPIISMVEDDSEAFWCFVGFMKRARHNFRLDEVGIRRQLNIVSKIIKCKDIHLYRHLEKLQAEDCFFVYRMVVVLFRRELNFEQTLCLWEVMWADQAAIRAGIAKSAWGRLRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEIMRECNSMAGHLDVWKLLDDAHDLVVNLHDKI >EOY21817 pep chromosome:Theobroma_cacao_20110822:3:18763624:18773108:1 gene:TCM_013950 transcript:EOY21817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like protein MRVAKKEGKSPDKLGSVRPQIISLKKKARAKGAIISTPTTMLNNGTLPSYPIKGTFPILNDEDYLRLMHPVESKEVYDALFEIKPLKAPRWAIRNGMSVNFWKDKWLGDKMLANITYRVANLALDKVLQIMPPTLAISQDVPYWGVSVSSKFTIASAYDYLRQLSSPTDVNSSGMWQEEVLIGWRAPQVGWVCINMDGAYKKSIDEAFAGGVIRNSEGDWRTGFVAKLGKCLAYRAELWGVLHDLRLAWDSRFKKVMVQVDNKMVVQAILMDKLLPCANTDLIRAIKDILQKEWEVHFVHIYREDNNSCSGILNTTMLWFQQSSEA >EOY23717 pep chromosome:Theobroma_cacao_20110822:3:28355641:28356727:1 gene:TCM_015523 transcript:EOY23717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARRRKPIRRKPMGEKEVIEISPSPAVKDKWLQNLKRKQEKEEEDGSPLRPIFCLKKNMDMKRIEEMEDCFILDFNPLDSVDIAMLSATNDGDDVDLSVVAEKGQLACRDYPHSRHLCLQFHFDTTPHERHCHQCYCYVCDSAAPCGNWMTHCHASEHVDDWKFQRKSRLKVRPSKL >EOY24354 pep chromosome:Theobroma_cacao_20110822:3:30640621:30642309:-1 gene:TCM_015977 transcript:EOY24354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISSSQPCLPSKSWLPCFEEEKVGAVNVLLHSFFRSLTLVEKPIGGFEFVKRDRLMNVLPNGWYTYLNDSMRFGHILSDLRALPSQDSHFDRLMYGNTRITSD >EOY21101 pep chromosome:Theobroma_cacao_20110822:3:3019706:3024915:-1 gene:TCM_012436 transcript:EOY21101 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-Ala-D/L-Glu epimerase MKNLIAFVKIHWVFDYVIRGQTNPYWNKNHRQWLWWLLFTSLSHSREPIKVLSQSKIRQQEMFSMGSLSFFQKAPLKPLKPHKPLNLQIPISCLCVKNSMEVPATASVTNFEFKDLMETFTVEVQKAENRPLNVPLIAPFTIASSRLDKVENVAIRIELKDGCVGWGEAPILPFVTAEDQPTAMAKAKEACEVLKSSSAMTLGAVLGQIAGVLPGHQFASVRAGVEMALVDAVAKSIGIPLWRLFGGASNTITTDITIPIVSPAEAHALATKYQKQGFKTLKLKVGKNLKADIKVLQAIRAAHPDCSFILDANEGYRPEEAIEVLARLHEMGVTPVLFEQPVHRDDWEGLGRVSHYAKSKYGVSVAADESCRSLVDVKKIVEEELADVVNIKLAKVGVLGALEIIELARASGLYLMIGGMVETRLAMGFAGHLAAGLGCFKFVDLDTPLLLSEDPVLEGYEVLGAVYKFTKSTGHGGFLYWENIA >EOY24459 pep chromosome:Theobroma_cacao_20110822:3:31047199:31049326:1 gene:TCM_016054 transcript:EOY24459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 42 MGRQPCCDKLGVKKGPWTAEEDKKLINFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLSEAEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLLKMGIDPVTHESLNKEAKAEESSSHADHSADNQNTTENDGIVNSSEDNSSTPTENCSSTTDDSNLLDSICNDESLLTSLLWMDEPPLVDASWNSVPAGETACKGTSLPPWEDDCAWLPDCQDFGIHDFGFDCLNDIELNTMNALEMGDKTVLSVDAIFLYLGILLEGRR >EOY21579 pep chromosome:Theobroma_cacao_20110822:3:13035637:13037417:-1 gene:TCM_013434 transcript:EOY21579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATDEKYRSQFCLEAAGSGQTRMDGLLSPNNEAIEFARDDVDGREKQSYDLSNDVTKSSSDDSGDTSSSTMAENFEAAMIQRAIEKSMSITVNLQCLHPRGHEGICNPLEFCVKIGVFYLKLYDSTCYVKLHETVLLNVLYLLMDQEQVSV >EOY21001 pep chromosome:Theobroma_cacao_20110822:3:2212477:2219191:1 gene:TCM_012318 transcript:EOY21001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative isoform 1 MDSDERPQQVTGVVIITLPPSDNPSLGKTITAFTLTNDVFPQSHQTQQRQQQEEEQTLPTTQILTPAPPSAQNPQRGFSFLGLFSDNPRKLLGFLGISLFALLLYSSAFSNTFVELRNSNNDDDEKPQSFIFPLYHKLGADLELKLGRFVDVDKENLVASVEGGATGTQKINKLVASNAAVIDSSGTILPVRGNVYPDGLYFTYMLVGNPQRRYFLDIDTGSDLTWIQCDAPCSSCAKGANPLYKPTRVNIVASKDLMCTEVQKNQKPQNCETCQQCDYEIEYADRSSSLGVLARDELHLVTANGSTTNLDVVFGCAYDQQGILLNTLSKTDGILGLSRAKVSLPSQLASKGIINNVVGHCLATDVGASGYMFLGDDFVPNWGMSWVPMLGSPSTEFYHTQIVKINYGSSSLSLGRQHSSIGRVVFDSGSSYTYFMKQAYAELVASLSEVSEVGFIQDVADTTLPMCWQAPFPIRFIKDVKQFFKTLTLQFGSKWWIISKRFHIPPEGYLIISKKGNVCLGILDGSKVHDGSTIILGDISLRGQLVVYDNEKLKIGWTQSDCAHPRRFKSLPFVEG >EOY21002 pep chromosome:Theobroma_cacao_20110822:3:2212837:2218993:1 gene:TCM_012318 transcript:EOY21002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative isoform 1 MDSDERPQQVTGVVIITLPPSDNPSLGKTITAFTLTNDVFPQSHQTQQRQQQEEEQTLPTTQILTPAPPSAQNPQRGFSFLGLFSDNPRKLLGFLGISLFALLLYSSAFSNTFVELRNSNNDDDEKPQSFIFPLYHKLGADLELKLGRFVDVDKENLVASVEGGATGTQKINKLVASNAAVIDSSGTILPVRGNVYPDGLYFTYMLVGNPQRRYFLDIDTGSDLTWIQCDAPCSSCAKGANPLYKPTRVNIVASKDLMCTEVQKNQKPQNCETCQQCDYEIEYADRSSSLGVLARDELHLVTANGSTTNLDVVFGCAYDQQGILLNTLSKTDGILGLSRAKVSLPSQLASKGIINNVVGHCLATDVGASGYMFLGDDFVPNWGMSWVPMLGSPSTEFYHTQIVKINYGSSSLSLGRQHSSIGRVVFDSGSSYTYFMKQAYAELVASLSEVSEVGFIQDVADTTLPMCWQAPFPIRFIKDVKQFFKTLTLQFGSKWWIISKRFHIPPEGYLIISVRTSPTT >EOY22693 pep chromosome:Theobroma_cacao_20110822:3:24477244:24480390:1 gene:TCM_014788 transcript:EOY22693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate kinase 2 beta MLKVPEHQVAGHRAINGNLGPVIDNSGRFYKPLLDDERGSTELAFYKSFCSDTRVPDHIRRFFPVFYGTQDLEASDGSGFRSHLVLQDLASNHRNPSIMDVKIGSRTWYPEASEDYIQKCLEKDRKTTTVSLGFRISGLQIYESKESGFWKPEKKQVQSFTADNVRLVLRKFVSSNSSIGSNENPDCSLASIVYGGSAGILEQLLELKAWFEDQTIYHFHSCSVLILFDKESVLKGRTPVAEVKLIDFAHVVEGRDVIDHNFLGGLCSLIKFVSEILSISKESSIKACFTELEKKCYCTNNGNY >EOY21203 pep chromosome:Theobroma_cacao_20110822:3:4061916:4070533:1 gene:TCM_012585 transcript:EOY21203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARISDVKIFNRLGGLGQMPRPRHLRISGNKCLSGRLGVVMGSMGVPGRDSLWYIVSFIDAFTTIAHPREEKLNAEDYLNWEQLEPVYDEYDEEMEEIDVHHAQVGYASIILY >EOY24988 pep chromosome:Theobroma_cacao_20110822:3:32880908:32885940:-1 gene:TCM_016439 transcript:EOY24988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRFGESLYPIRNLIVDSCKWAISSLRPKSFGLPSISLGLNFGSQQIVLGMDGDIVILLPLIRCDEVNYVVRMDRRFFWVPFLCYEFYISCFILELWKIFQYTVSACVVVAGFPNP >EOY24135 pep chromosome:Theobroma_cacao_20110822:3:29792826:29795529:-1 gene:TCM_015813 transcript:EOY24135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYCLKVKMFKPLIKTQNHSVSVIGKSTKKWEKRSLSFKRLPPPLPSPPFLTGMDSCLAESLLVKNWAT >EOY20722 pep chromosome:Theobroma_cacao_20110822:3:727107:728071:-1 gene:TCM_012067 transcript:EOY20722 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein MTFVKLWADQRELVGLHSKIPILYRHEISRITAQLCTAIGRGNILVPKDSRFPLLSTWLEALYEDFGWMRRASRSVDKKLVEDGLSKTILTPSLRQQQVILLSWFDRFLSKGDDCPNIQTAFEVWWRRAFIGQYTDVQDSSQLQITVGSYPT >EOY22987 pep chromosome:Theobroma_cacao_20110822:3:25650622:25652135:1 gene:TCM_015006 transcript:EOY22987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative MAEKEPTEHSNKRKTRLPPSVPFLWEVRPGIAKKDWKPGVSSVTPTLPPRTPIKLIASVPFNWEEKPGTPLPRFSQPPVEPAAVPLSANLMTLPPRPVYTPAYFNGYDNNDDRGDGSDEQDVVPEMDLETFGFETDDSFSSAPSLLANCLVASTAICTAVPVQKTYHADNSSDHPETPSSPASETESSTSSYATGTSSLVGASFLECLFPLLPPNSGFLEKARYPNHQGSQTQNDFDRESNNTVVIRRPATLGELIMMSRRMSYQRKAVLMPEQHLSMEFLKERAPGCCIFGTGIKLIEGLQWKKFQPRLKFL >EOY20800 pep chromosome:Theobroma_cacao_20110822:3:1310427:1314221:-1 gene:TCM_012148 transcript:EOY20800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MEVNNICSSAIVAQVLSGKDNYENWRACIKYYFLVRDLWDVVEQAPEPLKQGKGYGADFKAWRKRNLTALHAIQISCDPSMLSYIRNMTTAKDAWKTLAQRCAVAVARDADRTHILELLKGIKEHDLESTKSVLTSHTHLANAVIGDSSFTAFHFAIFKGQLDMIDEFLSTMSEEHLKKQDRYGRTVLHHAAKSENTKIAQSLIRKNRELLTFPDNGGDIPLNYAYFALDLLRHRPHLAFVERGDGINAVTVLSCQPSAFLAAVGIKQIYDLKVTHVYAHELLLLMSKTIAVFDAAQCYRSSVHQAMLNAAQWGMTEFIVEVIKPNLDLLMVLDEERRDIFQIAVAHRQEKVFGLIYGLDATKYLFLPYTDRNSNNMLHLVGQLSPSLRLSFNKSQVQLCKCKENYNGSRSASLSLL >EOY22211 pep chromosome:Theobroma_cacao_20110822:3:22422959:22423983:-1 gene:TCM_014436 transcript:EOY22211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPSKILGGTEECHSSESGWTMYIGSPIQGGDDDDDDGHSDADAYAANYGGHADETEINHEADSDDSMASDASSGPSHQGHRYGNMEEGHGTSHLNDEVEGEGNYYLDKEAKKSLEKQKLGMKKKEDKEDQKERMTLKAKGAATPRSGSKVRKSIWLGKRK >EOY24974 pep chromosome:Theobroma_cacao_20110822:3:32829283:32836588:1 gene:TCM_016422 transcript:EOY24974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroquinone glucosyltransferase, putative METTQESPLHVVIVPTPGMGHLIPLIEFAKRLVDLHKFAVTFFVPNDGSPMKLQRQLLLTQPEPISSIFLPPVSFDDLPEDAKIETRIILSLNRSLHFLRDSFQVLAQSTRVVAFVVDVFGIDAFDVAKEFGLEPYIFVTTAVMLLSLIFELPKLDQMFSCEYRDLPEPIKLPGCVPFHGSDVPDPLQHRTNFAYQETLQQCKRYPLAAGIVINSFMDLEKGTLDALMESGRGLPAVYPVGPLIRTSSTSEVEGSNNCLRWLDEQPSGSVLYVCFGSGGTLSHEQLNELALGLEMSGQRFLWVVKEPNEIVANATYFGIENVKNPFAFLPDGFLERTKEVGLVVPSWAPQIQVLSHGSTGGFLTHCGWNSVLESIVHGVPLIAWPLYAEQKMNAVLLKDGLKVAFRVRVNEDGLVGREDIAKYVKELIEGDEGQLLRTRVRKLKDAAKVDCTDMEKPLAILERGTTCSAWNYCGERLAAGSVDGSLSILDSRDSSSSSFICSSKFKANEAGIVKVAWIPPEYGDAVACICEDGTLSIWEELVEGTQPLQWKLCKSFKTSSKVLDVQFGVNQTSLKMVAAYSDGFVKIFELLDPLELKNWQLQVLNSVHLRFPLFLLHFLDIQPFPIAEYQNVIDSVSTFGKASCLTACISWNPQRGEGQESSFILGFNSNTPQLNSPKVWEFDPAHQRWLPVAELALPGDKGDQVYSVAWAPNIGRPYEVIAVASQKGIAIWHVGSTPDLDGRLSVEKAALLSEHNSEKEAIALLACAPILLKVWQMEWDMSGMTLATTESNGPVRLWQSNLNGAWHEQAAFEPTS >EOY25353 pep chromosome:Theobroma_cacao_20110822:3:34007760:34011633:1 gene:TCM_016689 transcript:EOY25353 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-binding cassette 14 MPLNCIAPKPEHGSSGPVEALSETSSESHNRAVLAFPIQHNSQPPVRVTLYPITLKFEKVVYKVKLEQKGSCWGAWITREKTILNGITGVVCPGEILAMLGPSGSGKTTLLTALGGRLTGKLSGNITYNGQPFSGGVKRRTGFVAQDDVLYPHLTVTETLLFTALLRLPKSLSRDEKVQHVERVIAELGLTRCRNSIIGGPLLRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAQRILTTIKRLASGGRTVVTTIHQPSSRLYHMFDKVVLLSEGCPIYYGSASAALEYFSSIGFSTSMTVNPADLLLDLANGIGPDFKHSVEQVENTEQEQKSVKDALISAYEKNISPRLKAELCNSDVNNHVNTKEAPARNGKSEEWCTSWWHQFKVLLQRGVRERRHEAFNGLRIFQVISVAVLGGLLWWHTPASHISDRIALLFFFSVFWGFYPLYNAVFTFPQERTMLIKERSSGMYRLSSYFLARTFGDLPLELALPTAFVFIIYWMGGLKPDPVTFILSLLVVLYNVLVSQSLGLAIGAILMDIKQATTLASVTTLVFLIAGGYYVQQIPAFIVWLKYLSYSYYCYKLLLGVQYNEDDYYECSKGVLCRVGDLPAIKSMGLNHLWVDVCIMALMLVGYRLIAYMALHRVRLR >EOY21756 pep chromosome:Theobroma_cacao_20110822:3:17978373:17984545:-1 gene:TCM_013864 transcript:EOY21756 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 28, putative isoform 2 MGKSPGKWIKTVLFGKKSSKSSYPKGREKVANEKEVLVADRASETDDAVAPPFTSQLNPYATERDEGKLELENKEAANISHNDGISLPVSEGRDSQKSTLQDSPYDPERMKREQAATMAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAIGTLCCMMGIVKLQARVRGVMVRHSDSGLEVQKKCNQINLLQESKLVVSLGVNMPARIEKLSTNAFVRKLVAASPTVMPLRLRYNAGESNSVWNWLECWSASCFWKPVPQPKKASDAKLQRKPVNCQVVDTETGRPKRSVRRIPPANLDGAVQATSEFDKPKRNLRKISNHQAELAQENPQNELEKVKRNLRKVHNPVVENSLQSEVEFEKPKQSLEKVSNTTNLNIVEQSLNSSAEKTNKEMDVTIDSSAEKMKKETVMTVNSSAEKMRKETATVNSSAEKMKKETALTVNSSAETMKKETALTVNSSAEKMKKEMALKLNISAEKMKKEMPLTVNGSSEKTKKEMALAVSISPDIKTMPGSFGVNETSDLLHADSLGVVSKPLIDGAVKDENTPITNGELNRKDDSTNNENQKSGRKASYPAKQNRSENGAQNSPALPSYMAATESAKAKLRLQGSPSSGQDAGDKNNLTRRQSLPSSANKISSQSPRTQKLVHAGGRAGNKTDRSTLSSKDGNAKLLVRIGVLPHCLSQRIRYSFLTFLHISKSSVLLLSCLVCVT >EOY21757 pep chromosome:Theobroma_cacao_20110822:3:17978373:17984998:-1 gene:TCM_013864 transcript:EOY21757 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 28, putative isoform 2 MGKSPGKWIKTVLFGKKSSKSSYPKGREKVANEKEVLVADRASETDDAVAPPFTSQLNPYATERDEGKLELENKEAANISHNDGISLPVSEGRDSQKSTLQDSPYDPERMKREQAATMAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAIGTLCCMMGIVKLQARVRGVMVRHSDSGLEVQKKCNQINLLESKLVVSLGVNMPARIEKLSTNAFVRKLVAASPTVMPLRLRYNAGESNSVWNWLECWSASCFWKPVPQPKKASDAKLQRKPVNCQVVDTETGRPKRSVRRIPPANLDGAVQATSEFDKPKRNLRKISNHQAELAQENPQNELEKVKRNLRKVHNPVVENSLQSEVEFEKPKQSLEKVSNTTNLNIVEQSLNSSAEKTNKEMDVTIDSSAEKMKKETVMTVNSSAEKMRKETATVNSSAEKMKKETALTVNSSAETMKKETALTVNSSAEKMKKEMALKLNISAEKMKKEMPLTVNGSSEKTKKEMALAVSISPDIKTMPGSFGVNETSDLLHADSLGVVSKPLIDGAVKDENTPITNGELNRKDDSTNNENQKSGRKASYPAKQNRSENGAQNSPALPSYMAATESAKAKLRLQGSPSSGQDAGDKNNLTRRQSLPSSANKISSQSPRTQKLVHAGGRAGNKTDRSTLSSKDGNAVGAYWSSSALFESTHKVLVLDIFAHQQIFGPTIKLSGLCYITSFWHDII >EOY21755 pep chromosome:Theobroma_cacao_20110822:3:17978623:17983899:-1 gene:TCM_013864 transcript:EOY21755 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 28, putative isoform 2 MGKSPGKWIKTVLFGKKSSKSSYPKGREKVANEKEVLVADRASETDDAVAPPFTSQLNPYATERDEGKLELENKEAANISHNDGISLPVSEGRDSQKSTLQDSPYDPERMKREQAATMAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAIGTLCCMMGIVKLQARVRGVMVRHSDSGLEVQKKCNQINLLESKLVVSLGVNMPARIEKLSTNAFVRKLVAASPTVMPLRLRYNAGESNSVWNWLECWSASCFWKPVPQPKKASDAKLQRKPVNCQVVDTETGRPKRSVRRIPPANLDGAVQATSEFDKPKRNLRKISNHQAELAQENPQNELEKVKRNLRKVHNPVVENSLQSEVEFEKPKQSLEKVSNTTNLNIVEQSLNSSAEKTNKEMDVTIDSSAEKMKKETVMTVNSSAEKMRKETATVNSSAEKMKKETALTVNSSAETMKKETALTVNSSAEKMKKEMALKLNISAEKMKKEMPLTVNGSSEKTKKEMALAVSISPDIKTMPGSFGVNETSDLLHADSLGVVSKPLIDGAVKDENTPITNGELNRKDDSTNNENQKSGRKASYPAKQNRSENGAQNSPALPSYMAATESAKAKLRLQGSPSSGQDAGDKNNLTRRQSLPSSANKISSQSPRTQKLVHAGGRAGNKTDRSTLSSKDGNAKLLVRIGVLPHCLSQRIRYSFLTFLHISKSSVLLLSCLVCVT >EOY21038 pep chromosome:Theobroma_cacao_20110822:3:2457406:2459141:-1 gene:TCM_012356 transcript:EOY21038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMNSSDLFDNATNTEKEVANILLELPRLFIEPIVPKRRFRVRRSVLKESPSLPIEDHSVASQLETPTCNGENENESSNRDTDDQRIPYIEENSSEIKVQSASLEPTTSQHKVIKIKFNPPSEIHYSQKQIQIEDKPPKLKADIHPKRLMIINKTCNERAKDEIEEGLGKSVVPKGHLLRGSGKIKAETSTVPEASKVFVPSKKRDKRKSIEELKKELALLEQRKRGLLKVLESKENVKEHLEKRKALKLQQKALKLPEAKP >EOY22942 pep chromosome:Theobroma_cacao_20110822:3:25471264:25480591:-1 gene:TCM_014973 transcript:EOY22942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MALPSGSNKSSTSHQSSSMTKSCFKPSSIAIGNVAVPACEGLSWCCHGLAAYTVIRVAAIMLAAAQATFCYAWWAKKFTTSKPPLPPGPPGLPILGNFPFIQPDFHRYVVKFSQIYGPIIKLQLGSKICIVISSPSVAKKVLKDHDAIFANREAPAGAIVGISLDACYTLRRREVRQMVKYIYGKVCSAVKIGEQMFLTSLNVMLSMLWGGSLNGEERSRFGIEFRKRLVEFVELVGAPNVSDVFPVLTPFDLQGIQSKAKKNLSWLYEIFESVIVHRTKVEQADGEGKKKEESKDFLQHLLELNQRGDDKTSLSMNEAKALLLVAVLACGGLSWSSNDNAEHTIIREAATLLAAALAIACYAWWVQKFTKSVPPLPPGPPGLPILGNLPLLQPDLHRYISKLSQIHGPIIKLQLGSKICIVVSSASVAKEVLKDHDAIFANRDPPTVAIIGTYGGCDMAWRSNGPEWRKLRRLVVREIMSNTSLDNCYALRRREVREMVKDIYGKVGSPVNIGDQMFLTNLNVIVSMLWGGSLNGEERSRLGIEFRQVVVEFVELLGAPNISDLFPFLTRFDLQGYQSRTKKALKWMDEILESVIAHRRKVDQPDIGEGKNKEQSKDFLQLLLELNQQGDYKSSLSMNEVKALLLDMIVAGTDTTSTTVEWAMTELLRHPDKQRRAVEELDRVVGAGNIVEESHLPQLVYLDAAIKETFRFHPPIPLLVPRSPSTTCTVAGYTIPKDSRVLFNAWAIQRDPEFWEYPLRFEPERFLKDAEKGNYLGNNFHFIPFGSGRRICVGIPLAEKMVTHVLATLLHSFEWKLPEGTKPDIQEKFGIVLKKMEPLVAIPAARLSSSEQYQ >EOY20666 pep chromosome:Theobroma_cacao_20110822:3:492187:493488:-1 gene:TCM_012025 transcript:EOY20666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease III family protein, putative MQLRGSTLFSVITILLFTLFSSVPQAYAAGNYEDQSLKPLPPFSQALETLQNQIGYTFKSIGLLRRAMTHSSFSEENNKALSILGTHVIETSVSLHSLVKDIDMSPKELNNLILEITKVDSSCAVDGTRLGLQKVVRVSRKTAPSSPTIVCGAFRAIFGAIALDSMNTDEAGNIFWSIHSGKAQRAVSL >EOY24982 pep chromosome:Theobroma_cacao_20110822:3:32851544:32853302:-1 gene:TCM_016428 transcript:EOY24982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein MDERPQKQAKILKSTSEEVSSIEWEFIKMTEQEEDLVYRIYRLVGDRWDLIAGRIPGRTAEEIERFWIMRHGEMFADKRDEEKAKVS >EOY23180 pep chromosome:Theobroma_cacao_20110822:3:26525396:26526796:-1 gene:TCM_015162 transcript:EOY23180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane protein family isoform 1 MCLTILLFLQLHPVLMLIGFIILGGEAIMSYKSLPLSKDVKKLMHLVLHAIALILGIIGIYTAFKYHNESSIVNLYSLHSWLGMGIIVLYGIQWIYGFLVFFYPEGSAGLRSESLPWHVLLGLFVYILAVGNASLGFLEKLTFLENSGLARHGAEAYLVNFTAVVTILYGAFVIFTVLNQNFYRVRSWN >EOY23181 pep chromosome:Theobroma_cacao_20110822:3:26525396:26529385:-1 gene:TCM_015162 transcript:EOY23181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane protein family isoform 1 MAITALYLTIVPHALAVAAAVMVLVWCIHFRGGLAWDSSNKNHIFNLHPVLMLIGFIILGGEAIMSYKSLPLSKDVKKLMHLVLHAIALILGIIGIYTAFKYHNESSIVNLYSLHSWLGMGIIVLYGIQWIYGFLVFFYPEGSAGLRSESLPWHVLLGLFVYILAVGNASLGFLEKLTFLENSGLARHGAEAYLVNFTAVVTILYGAFVIFTVLNQNFYRVRSWN >EOY21648 pep chromosome:Theobroma_cacao_20110822:3:15399393:15400861:1 gene:TCM_013656 transcript:EOY21648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLVKHISDVPKDSAVLLYAIVSGKSINIVGVQWDSNEELLSPKVPLDAGIISRFYAHEYSVTRGSSSSAPPPPPQPTQPQNLTLPQRMECLKLQST >EOY22859 pep chromosome:Theobroma_cacao_20110822:3:25062683:25065017:1 gene:TCM_014907 transcript:EOY22859 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 2 MSVLEENPDSPLKKRPPSWSDIWLKNTKPLKHVVFAMQLQSLASKDPKSQTLIPKFANIDRTLLLPDELLLKILSRLPISQRNPNSLVCKRWLNLQGRLVRSLKILDWDFLESGRLITRFPNLTHVDLLNGCLFSPRNSWILLTHRMVKMQTSSDFCSNWKLLEASLLPVEIVDRGLQALANGCPNLRRLVLINASELGLLTVAEECLTLQELELHKCNDNVLRGIAACENLQILKLVGNVDGLYSSLVSDIGLTILAQGCKRLVKLELSGCEGSFDGIKAIGQCCLMLEELTISDHRMDDGWLAALSFCENLKTLKLLSCKRIDLSPGPDEYLGFCPALERLHLQKCQLRSKKSVRALFRVCEAVREVVVQDCWGFDNDMFSYASVCSPFVRLMWPERKKKVTFCCNDESLWPLIFKC >EOY22858 pep chromosome:Theobroma_cacao_20110822:3:25062757:25065680:1 gene:TCM_014907 transcript:EOY22858 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 2 MSVLEENPDSPLKKRPPSWSDIWLKNTKPLKHVVFAMQLQSLASKDPKSQTLIPKFANIDRTLLLPDELLLKILSRLPISQRNPNSLVCKRWLNLQGRLVRSLKILDWDFLESGRLITRFPNLTHVDLLNGCLFSPRNSWILLTHRMVKMQTSSDFCSNWKLLEASLLPVEIVDRGLQALANGCPNLRRLVLINASELGLLTVAEECLTLQELELHKCNDNVLRGIAACENLQILKLVGNVDGLYSSLVSDIGLTILAQGCKRLVKLELSGCEGSFDGIKAIGQCCLMLEELTISDHRMDDGWLAALSFCENLKTLKLLSCKRIDLSPGPDEYLGFCPALERLHLQKCQLRSKKSVRALFRVCEAVREVVVQDCWGFDNDMFSYASVCRRVKFLSLEGCSLLTTGGLEAVILSWHELENLIVVSCKNIKESDISPALATLFSILKELRWRPDAKSLLASTLVGTGMGKRGGKFFKRT >EOY24118 pep chromosome:Theobroma_cacao_20110822:3:29672313:29686269:1 gene:TCM_015803 transcript:EOY24118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVEWEAKICVQYYLHATLSNGQLQWMVMHPLLMHGYLCWCILFGHLYTSVLCLSLKQSENLVQKPRLLLSDVSVSGTSLHVEKSILLPKNGSLSCEDLGGVGSFNTTCLLNSNLYLSSDLYIYGTGNLEILPHVSIKCPTEGCMVTFNMSGNVNVGQHVAIVAGSVVIYASNLTVGPNSAINTTSLAGSPPPQTSGTPVGIDGAGGGHGGRGASCLKNNKTSFWGGDVYAWSTLSEPWSYGSQGGSTSIEHRFGGKGGGRVKLILKDMLYLNGSVTAEGGDGGLRGGGGSGGSIYIRAVKLKGYGTISAAGGMGWGGGGGGRISLDCYSIQEDVKVSVHGGFSFGCPGNSGAAGTYFNADLLSLRVGNDNVTTETETPLLDFPTSPLWSNVFVENNAKVLVPLLWTRVQVRGQISLYRGGAIVFGLSAYPVSEFELVAEELLMSDSIIKVFGAFRVSVKILLMWNSKIQIDGGGNTVVTASVLEARNLVVLRENSVISSNTNLGVYGQGLLMLTGHGDAIKGQRLSLSLFYNITVGTGSLLQAPLDDDDSRSVVTNSLCESQTCPMDLITPPDDCHVNYTLSFSLQICRVEDLLVNGIVKGSIIHIHRARTVTIDADGLITASELGCSKGIGKGNYFNGAGSGAGHGGRGGAGYFNGRVSNGGHEYGNADLPCELGSGTEGPNKSFGDVFGGGMIVMGSTQWPLLRLSIYGSLRADGQSFGKATINGNRSLIGGLGGGSGGTVLLFLQELMLAENSSLSTVGGDGGPLGGGGGGGGRVHFHWSNIGIGDEYVPVATIDGFINSSGGAGDNGGLFGDEGTVTGKKCPKGLYGTFCRECPIGTYKDVDGSDEDLCTPCPLELLPNRANFIYVRGGVCQPFCPYKCISDKYRMPNCYTPLEELMYTFGGPWPFALLLSGVLVLLAVLLSTLRIKLVESSSYGANIEHQSSHHTPYLLSLSEVRGTRAEETQSHVYRMYFMGPNTFREPWHLPYSPSDAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCAWSWKQWRRRKKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMVAYIDFFLGGDEKRVDMVSIIQKRFPMCIIFGGNGSYMSPYNLHSDTLLTNLLGQHIPPTVWNRLVAGVNAQLRTVRHGSIRSALVPVMDWIASHGNPQLEFHGVKIELGWFQATASGYYQLGILVVAGDYTFHNLHQPDMLDRSNDGYPRKDAASAGQSLKQLQQNWPYPTHALSRKKITGGINGGLINDATLRSLEFKRDFLFPFSLLLHNTRPVGRQELMQC >EOY24117 pep chromosome:Theobroma_cacao_20110822:3:29672285:29686419:1 gene:TCM_015803 transcript:EOY24117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVEWEAKICVQYYLHATLSNGQLQWMVMHPLLMHGYLCWCILFGHLYTSVLCLSLKQSENLVQKPRLLLSDVSVSGTSLHVEKSILLPKNGSLSCEDLGGVGSFNTTCLLNSNLYLSSDLYIYGTGNLEILPHVSIKCPTEGCMVTFNMSGNVNVGQHVAIVAGSVVIYASNLTVGPNSAINTTSLAGSPPPQTSGTPVGIDGAGGGHGGRGASCLKNNKTSFWGGDVYAWSTLSEPWSYGSQGGSTSIEHRFGGKGGGRVKLILKDMLYLNGSVTAEGGDGGLRGGGGSGGSIYIRAVKLKGYGTISAAGGMGWGGGGGGRISLDCYSIQEDVKVSVHGGFSFGCPGNSGAAGTYFNADLLSLRVGNDNVTTETETPLLDFPTSPLWSNVFVENNAKVLVPLLWTRVQVRGQISLYRGGAIVFGLSAYPVSEFELVAEELLMSDSIIKVFGAFRVSVKILLMWNSKIQIDGGGNTVVTASVLEARNLVVLRENSVISSNTNLGVYGQGLLMLTGHGDAIKGQRLSLSLFYNITVGTGSLLQAPLDDDDSRSVVTNSLCESQTCPMDLITPPDDCHVNYTLSFSLQICRVEDLLVNGIVKGSIIHIHRARTVTIDADGLITASELGCSKGIGKGNYFNGAGSGAGHGGRGGAGYFNGRVSNGGHEYGNADLPCELGSGTEGPNKSFGDVFGGGMIVMGSTQWPLLRLSIYGSLRADGQSFGKATINGNRSLIGGLGGGSGGTVLLFLQELMLAENSSLSTVGGDGGPLGGGGGGGGRVHFHWSNIGIGDEYVPVATIDGFINSSGGAGDNGGLFGDEGTVTGKKCPKGLYGTFCRECPIGTYKDVDGSDEDLCTPCPLELLPNRANFIYVRGGVCQPFCPYKCISDKYRMPNCYTPLEELMYTFGGPWPFALLLSGVLVLLAVLLSTLRIKLVESSSYGANIEHQSSHHTPYLLSLSEVRGTRAEETQSHVYRMYFMGPNTFREPWHLPYSPSDAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCAWSWKQWRRRKKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMVAYIDFFLGGDEKRVDMVSIIQKRFPMCIIFGGNGSYMSPYNLHSDTLLTNLLGQHIPPTVWNRLVAGVNAQLRTVRHGSIRSALVPVMDWIASHGNPQLEFHGVKIELGWFQATASGYYQLGILVVAGDYTFHNLHQPDMLDRSNDGYPRKDAASAGQSLKQLQQNWPYPTHALSRKKITGGINGGLINDATLRSLEFKRDFLFPFSLLLHNTRPVGRQDSLQLLITSMLLADLSVTLLTLLQFYWISLGVFLAVLLILPLSLLSPFPAGLNALFSKEPRRASLARIYSLWNATSLSNIAVACICGIIHYGVSSFQPPDKENTWNSRREDDKWWLLPTILLLFKSIQARFVDWHIANLEIQDFSLFCPDPDAFWAHEPTS >EOY21238 pep chromosome:Theobroma_cacao_20110822:3:4595787:4596467:1 gene:TCM_012643 transcript:EOY21238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSICNKFIFFCFCAMLVGSVLIGDTNANKVIGKGAMAANRELPCKGGNCLPQPSNPENRGCETIERCRHS >EOY22946 pep chromosome:Theobroma_cacao_20110822:3:25489000:25491747:-1 gene:TCM_047012 transcript:EOY22946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sensitive chloride conductance regulator (ICln) family protein MVIGLRQFMARVGNGAGEPVLDTDNVEELVHVQPSVSIALGNRAPESPGTLYITTKQVIWLSDVDSAKGYAVDFLSLSLHAVSRDPEAYHSPCIYTQIETEADEDVSEDSDSECNEVLDLSKVTEMRLIPSDASQLDTLFQMFCECAELNPEPIEGEEEGHNWVFSADQLEDEAAEGDDSEWHFPQNPTNSIGHSNGDHDLARTVLELQINDQRFEDAEEFEQVSDSSHHHHHQ >EOY25363 pep chromosome:Theobroma_cacao_20110822:3:34032450:34037511:-1 gene:TCM_016698 transcript:EOY25363 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAse l inhibitor protein 2 isoform 2 MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKIAFISEELCIGCGICVKKCPFEAIQIINLPRDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDEREMKSELCVDLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIETYARYKYPTMTKTQGNFKLKVIEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKSDSIEGSDVEIPEFNVSYKPQKISPKFQSTVRHLLHQKIRDSYMHPQFVSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGKPSVDCIANSPQSLLTGMNLFLSHLDITFRRDPTNYRPRINKLDSTKDREQKSAGSYYYLDD >EOY25364 pep chromosome:Theobroma_cacao_20110822:3:34032969:34037181:-1 gene:TCM_016698 transcript:EOY25364 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAse l inhibitor protein 2 isoform 2 MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKIAFISEELCIGCGICVKKCPFEAIQIINLPRDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDEREMKSELCVDLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIETYARYKYPTMTKTQGNFKLKVIEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKSDSIEGSDVEIPEFNVSYKPQKISPKFQSTVRHLLHQKIRDSYMHPQFVSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKVNILKSPTVKDIYKLKNRCSKFSVSPDKFEM >EOY24262 pep chromosome:Theobroma_cacao_20110822:3:30313948:30316711:1 gene:TCM_015918 transcript:EOY24262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative MLTSPTIPPLFHSLSLPFLILHFSLTPKSSFHLLLHLHILWHKQSLPRKQEKTPLSSSFFFFLFLDLSSSMADTDSYTKRQQLVKAQNQENPSKYYKHFLNKALVVIIFLVIIPVFPSQAPEFINQTLLNRSWELLHLLFVGIAVSYGLFSRRNDEIEKENNNNQSKFDNVQSFVSRFLQVSSVFDDEAENLPGSDESKVQTWSNQYYRNEPPVVVAKEHAVLDEQRSSSSRISEKPLLLPVRSLKSRVLDANNLETSRENSSNSSSLSRSDSSFSSKRFSNKGTNGALGGLDQDALEKKLNENNVVLPSPIPWRSRSGRMEVKDDIESEFNRLESRSFRSQTNRLSRSSSLSSSPKLSPSPPLSSPKKLSPSPPLSMEAQAKSAEDVVRKKSIYRSPPPPPPPPPPPIIHKSSSLKPSSTLIDDEVSFDKDLPWNYASEDSDGDTLMGTQRDYVDGLSKGKSLKMIRPSDSLRGTRKDGEIENGINGKTVRFDQTSFRTEKLNRESVSFMPKPTFMEFPQEQKHEFVEKLVMETTDDESESENEEVGDTSFLSSFERSPNIEEASPSSGIDGGSDVDKKADEFIAKVREQIRLQRIDSIKRSSGQMKRNSPR >EOY23303 pep chromosome:Theobroma_cacao_20110822:3:26966417:26968123:1 gene:TCM_015245 transcript:EOY23303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Septum site-determining protein MLSLQLCSANPKPALLNPSFKPFLNPRTLKPPKPYEKRKLIAISSVLQWNRKPELAGETPRVVVITSGKGGVGKTTTTANVGLSLARLGFSVVAIDADVGLRNLDLLLGLENRVNYTVVEVLNGDCRLDQALVRDKRWSNFELLCISKPRSKLPIGFGGKALVWLVDALKAREEGSPDFILIDCPAGIDAGFITAITPANEAVLVTTPDITSLRDADRVTGLLECDGIRDIKMIVNRVRTDMIKGEDMMSVLDVQEMLGLALLGVIPEDSEVIRSTNRGYPLVLNKPPTLAGLAFEQAAWRLVEQDSMKAVMVEEEPKRRGFFSFFGG >EOY24075 pep chromosome:Theobroma_cacao_20110822:3:29551989:29552919:1 gene:TCM_015777 transcript:EOY24075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNCNQRDHMIGITALYVKVKSSGLSTEEPHLLSLTLLFFFFQLFVRFFLFFFFFYFHGF >EOY20664 pep chromosome:Theobroma_cacao_20110822:3:489565:490453:-1 gene:TCM_012023 transcript:EOY20664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine repetitive matrix protein 2, putative MAAHRDLEPPIFEETTSNSLLRNTRCCFCFPCFSSRRTPTVGLAFWERIRTAQVQSSTSLWARSVRAFRKVREWSEIVAGPRWKTFIRRFNRSKSGSGSVGDGRHGQFHYDPLSYALNFDEGPGQNGNFVDDIDYGGFRAFSTRYASVSGSEKPLSAPTSSSSLEVGKDAAVFA >EOY23883 pep chromosome:Theobroma_cacao_20110822:3:28870029:28879351:-1 gene:TCM_015636 transcript:EOY23883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESVLQGFKEPGCPIFWTTRRGGSGTPGVGGQNPPSRVVGGGWDGEGMAGHGQSIFALRCSSLKASLLSDAVAYVKELKSKVDELEAKLRVKSQKTKLNVINVIDNQSKTTTFKSTRPSPNHEPKTMEVDVKNVGSETMIRVQCPDVNYPAARSMDALRHLELHVHHASISNVKELGRQDAVVRVPTGLISEEVLKTAILQRCRLN >EOY20948 pep chromosome:Theobroma_cacao_20110822:3:1909176:1910879:-1 gene:TCM_012268 transcript:EOY20948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDSGAILYQISCLKDMLDQVNEEIEANIQITRELESEIVKLTEIEAALAIRESQLVKSLYISHFEVDGLLSVTADSRNSLKLLEEELTCLRAKRDEMLKRMENKREGFIKLCLEFQREIGNGENNEVVTFLLEKELLENEIHLLDKKNNALRNSMSAFEEEILEELDTSNAGKAILFFSVLVSNS >EOY23042 pep chromosome:Theobroma_cacao_20110822:3:25934637:25944066:1 gene:TCM_015050 transcript:EOY23042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIIRQQLQKLMALINVDNFDIDQGIDNHLSCTETPQQNRVVELQNRTKFDKRASKCIFIGYPNEIKAYKAYQRSFNISSNNCTSVQALNDVKTYLSSQYKLKDLGNVKYFLELEVARSKEVQKILFEIF >EOY21730 pep chromosome:Theobroma_cacao_20110822:3:17740144:17742541:1 gene:TCM_013841 transcript:EOY21730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase family protein MKVVKTVLLLGLVLVAVSPIVKANIGEFDEVWQKRAEEAKKAALRAYEPNPEKVTDNLNKETGKALKGSNSTRRNLGRYRGPCLATNPIDRCWRCDPHWAENRKKLATCVLGFGRRTTGGKDGRFYVVTDPSDNDMINPKPGTIRHAVIQKEPLWIIFAHDMVIRLNEELIMTSDKTIDGRGANVHFFRGAQITLQYIQNVIIHGIHIRLSVEANGGMIRDSVDHFGFRTKSDGDGISIFGSHNIWIDHVSMSRCSDGLIDVIQASTAITISNCHFTDHNDVMLFGASDSFSDDKIMQVTVAFNHFGQGLVQRMPRCRWGFIHVVNNDYTHWLMYAIGGSNQPTILSQGNRFIAPPNIACKEVTKREYAPESEWKSWNWRSENDLMMNGAFFVQSGSPIGRGNRQDVIKAKPGTFVTRLTRFSGALHCFKNKPC >EOY20780 pep chromosome:Theobroma_cacao_20110822:3:1059439:1069307:-1 gene:TCM_012113 transcript:EOY20780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGTLWPKCANCLCPQQAPQDAPQIPVIDGSERWRIMSVVRDIKQHSLNEDNLYLANAEICWSGHRVLHFAVFEGQLKMIDKFLSRMSTKDLKMQDTYGRTALHHAAMSSKNTKIAQSLIRKNRELLMQVRCCFGSAQSSSTINIR >EOY23401 pep chromosome:Theobroma_cacao_20110822:3:27289982:27519160:1 gene:TCM_015306 transcript:EOY23401 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase MLEQEEKEILPHQELTEMINLENGKEKKEVKIGTSLSSDERQKLEELLREYVDVFAWSYQDMPGLNTDMVVHKLPLEPDCKPIKQKLRRMKPEMLLKIKEEVKRQFDAGFLEVAKYPEWVANIVPVPKKDGKV >EOY24814 pep chromosome:Theobroma_cacao_20110822:3:32315817:32320117:1 gene:TCM_016308 transcript:EOY24814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein MRSRGSSNRLSNSGGSAFHSRFSAMVLAMFATMATIYVAGRMWQDAESRVYLIQELDERTGQGHSAISVDDTLKIIACREQQKKLSAVEMELAAARQEGFVSKQHLENDGTHSKKRLLAVIGIVTTFGRKKNRDAIRKAWMQSGAALKKLEGEKGIVVRFVIGKSANRGDSLDREIDNENSQTNDFIILNHVEAPEERSKKIKFFFVHAVESWDAEFYVKVNDDVYVNIDALGATLSAHMDKPRVYLGCMKSGEVFSEPTHKWYELDWWKFGDKKSYFRHASGEIYAISRVLAQFISINRSILRTYAHDDVSAGSWFIGLDVKHVDEGKFCCSSWSTGSICAAV >EOY25359 pep chromosome:Theobroma_cacao_20110822:3:34019723:34023211:-1 gene:TCM_016694 transcript:EOY25359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nine-cis-epoxycarotenoid dioxygenase 4 MDAFSSSSFSKLASPTVTLPNSKTISTHPGPSHAPHLNISSVRMENKPQTSTTTTSKTKPPTSNIQIPSLTVSSSIGAEKKAETTVTTRMFDTLNDFINNSIDPPLRPAFDPRFVLSGNFASVDELPPTDCEVIQGSLPSCLDGAYIRNGPNPQYQPRGPYHLFDGDGMLHSLRISQGKATLCSRFVKTYKYTTEQSIGSPVVPNFFSSFSSMPACLARGALYAARVIIGHYNPAKGIGPANTSLALFGNRLYALGESDLPYAVRLTPSGDIETLDRHDFDGKLLASMTAHPKIDPDSGEAFAFRYGLLRPFLTYFNFDADGNKHSDVPILSMARPSFVHDFAITKNYALFPDIQMEIKPMKMILEGGSPMILNPAKVPRIGVIPRYAKNDSEMRWFDVPGFNPVHVVNAWEVDDGNAIFMLAPNIISVEHALERSDLIHGMMEKVRVDLKTGLVTRQPISSSNLDFAVINPAYLAKKNRYVYSGVGEPLPKISGVVKLDVSKGEFQECTVGSRMYGPGCYGGEPFFVARAPENPEAEEDDGYLLTYVHNENTGESRFLVMDAKSPNLDIVATVKLPQRIPYGFHGLFVKESELNKL >EOY20532 pep chromosome:Theobroma_cacao_20110822:3:8876:10021:1 gene:TCM_011926 transcript:EOY20532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRTRILAFKNKPPLSDRDMIHREMGSQLAKKREYISQILLCSTLSVIFEALLVHTCGNLKRVNRYNYRLFVIFFENVKLKNVSK >EOY25053 pep chromosome:Theobroma_cacao_20110822:3:33063922:33065128:-1 gene:TCM_016483 transcript:EOY25053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLKPEIIFLGVWSNNEAKSQPCRVQRLGSSPVNLVVPDFGENRKRSGKRRNGSTGKEENWRGFLTLVGPKTAADTEIIIQCPLTSKLEFRAFLTVFSFGLEDSRVIAEEEGLKVELN >EOY21773 pep chromosome:Theobroma_cacao_20110822:3:18133111:18135715:-1 gene:TCM_013884 transcript:EOY21773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative MRTATLYMGISANKSHDKPMPKDNSEIEAEDGEHDHLMQLEDSSPFPVFSIEIFANIVSHEPTIMQQLLVPQGQLMQSRTSWSAISSKLSQMHVPFSLHATVTQKIIECARSAANEVHNKNRKNIPIVVTLCPVRLMEEEALLSEHEASAESFDVQVFRLRGASKGAIEALEKVKVEGFTGQCVICLEEILDGMKACGMPCSHVYHHDCIVSWLEKSNVCPLCRFRCLLDL >EOY21477 pep chromosome:Theobroma_cacao_20110822:3:8689917:8690613:-1 gene:TCM_013044 transcript:EOY21477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKMFFFTIRKEPQVTPKAYILQKHDIKCDSGNSRQVSYGEGLGLRRVRFKASSVLGERCTGIGAFFSLAFSTRFLSILN >EOY23143 pep chromosome:Theobroma_cacao_20110822:3:26369603:26372574:-1 gene:TCM_015130 transcript:EOY23143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATSYLTLKYEIKTIRKEGGQLPGLRLASLDEPVKACNPQLGRAWAGQLNTFLFIFSQFSPPPKPKNPNYKSNLFPSHNSHFSLCISPALLSSERRHPTKFRRVTVAALTTGDRYSPLAEASKYQNN >EOY24424 pep chromosome:Theobroma_cacao_20110822:3:30922299:30924024:-1 gene:TCM_016029 transcript:EOY24424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCVKMAIQHHTAMYIVVLLSVYAGQLRRGQVRPGQPLYNLVQLSGLRRQAPMVECGVSFPTKFWCIPFGPHRIHHYSIKADSNHN >EOY23885 pep chromosome:Theobroma_cacao_20110822:3:28886149:28889668:-1 gene:TCM_015640 transcript:EOY23885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding family protein, putative MSSSSSSSLITFGQDASPTLQQRLQFIVQSRPEWWVYSIFWQASRDAHGHLVLSWGDGYFRGTRNFSGESCNKLISQPKLVSNLERKRSNKEMQALFSEEMDLDRMVDVDVTDYEWYYTVSITRSFAIGDGILGRAFGSGSYIWLSGDEEFQLYECERVRDARMRGFQTLVCLSTSFGVVELGSSEMIKEDWGMVQLAKSIFDSEINCLGSKQPSNESQFQISTKSVPFLDFGMVSGDQKEWILEEKQQGEAKKETTGLGRSSSDSGPDSDGNFASADKEFNVRSKRGRKPGSGKDSPLNHVEAERQRRERLNHRFYALRSVVPNVSKMDKASLLSDAVAYIKELRSKVEELEAKLRVQSQKSKLNAINVFDNQITTSTFENTRPSPSYGPKTIEVDVKIVGSEAMIRVQCPDVNYPAARLMDALRDLELHVHHASISNVKELVLQDVVVRVPTGFISDEVLRTAILQRCRLN >EOY25125 pep chromosome:Theobroma_cacao_20110822:3:33334407:33349708:-1 gene:TCM_016538 transcript:EOY25125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fiber protein Fb17 MVASSGAAKSAKTNNRKQTGSIAKITYFKKRANEAPAKRRAKQVVGERSRANEEMMFEELENMEVELNETGPMFPQMQAGNQMMGDEATRMRNEIHELRGALQILAADVERMKQIPREWNVDGRNFTSFDEAQTWILSQTYGQGSGTNDTNMTASAAAGPLFASHVLPNNAPDNNPFSHDPAPAAGFPTASAVGSFFAAYPELDSVFDVLENLDFNDPFTDHDLAAINQSSHDLPVMFDALNKHDTVSNLSTYLTREMQAMVLSTFGKEANNELMDLGIQSSRSDIQYADEFRQDFIAKLDDKEKSRVDFLDFKGLPEELEKCGRFRLPPSLVPIDESLNKAYGDITAESNQSKPVIRESYILFCFVIKEMNELQLEQVNLDKIILWRNAINSGLSIGFKGNFAIEHLKKIARAYFGYRELKSLEERISELKAKLYDLEKKRNSIIERQSSEMHQECLRDQEYFQGKPLSTVPEEIDEEIDIEDESLAVRGSRTLQEIYARLPKHDTIRLITALIAKEGWKIWHLDVKSAFLNGYLTEDIYVHQPEGFIEPGNEEKMMLEELSRKRAINQVTEVENQRRKDQMMRMENGIQELRGAVQELGKRMTFVYEMVAEHALKTCGQGSGDDPMDVDTESSRPDIQYTEQELKSLEERMSELKSELYDLEKKRSEMCREFHRD >EOY24778 pep chromosome:Theobroma_cacao_20110822:3:32184784:32185461:-1 gene:TCM_016277 transcript:EOY24778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEESKMILLVIFSRTQNQGIGGFHLVTMIKLGTGHHPYLAVYQAQRQRLHGEVQFSPLTMKLALPWKWSVCRTRHD >EOY23148 pep chromosome:Theobroma_cacao_20110822:3:26417200:26419502:1 gene:TCM_015140 transcript:EOY23148 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding Berberine family protein MHKISFRKMKSPHLLVYLFLFAALFSLSWATSAHTHERFLHCLSLRSEDSSFISKIIYTQHNSSYSSILEFSMHNLRFSTPSTAKPQVIITPFHTSHIQATIYCSKKHELQIRTRSGGHDFEGLSYVSEVPFVIIDLINFRSVDIDVENKIAWVQSGAVLGELYYRIAEKSKTLAFPAGICHTVGVGGYFSGGGYGLLFRKYGLAVDNIIDAQFIDVNGRILDRKAMGEDLFWAIRGGGGGSFGIVIAWKLKLVPVPATVTVFTISRTSEQNAIKLVHRWQYVAHKLPDGTFSLVGLSSVNSSQDGKKTILASFRLFFLGGVDELVPLMQERFPELGLVKEDCTEMSWIESILYFGRIQNKSLDILLDRTFQSPLISPAFKAKSDYVKEPVPEIALEGLWSKLFEEEAKSAGIVFVAYGGIMDEIPETATPFPHRAGNLYKILYTVGWQKEDNKNSQKYISWIRRVYSYASSFVSQSPREAYINYRDLDIGINSKGNTSYAQASIWGYKYFRNNFDKLLRVKTMIDPENFFRHEQSIPPLLSVRKKRGN >EOY20922 pep chromosome:Theobroma_cacao_20110822:3:1753510:1754441:1 gene:TCM_012246 transcript:EOY20922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin family protein MAERNPSQQRAAPRPNPTASAASTFLQKFQAHAPNSAQLLGFLTLFVSASILITLTGLTVTAAILGLVIFMPLIVISSPIWIPVGTVLFVTVAGFLSACGFGLVVMAALSWMYRYFRGMHPPGSDRVDYARSRIYDTASHVKDYAMEYGGYLQSKVKDAAPGA >EOY22132 pep chromosome:Theobroma_cacao_20110822:3:21685808:21703039:1 gene:TCM_014337 transcript:EOY22132 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIVFSNRGKLYEFCSSSSMMKTLEKYQKCSYSTVDTSRSASETQSSYQEYLKLKARVEVLQRSQRNLLGEDLGPLNTKELEQLENQLETSLKQIRSTKSQAMLDQLGDLQNREQMLVETNKSLRRKLEELSAQPHLRLAWETGGQNIPYNRLPAQSEGFFQLLGGSSSLQIGYNPVVSDEMNVAAQNQNSSYQECLKLKARVEVLQRSQRNLLGEDLGPLNTKELEQLENQLETSLKQIRSTKSQAMLDQLGDLQNREQMLVETNKSLRRKYSASLLSTVLILVQILFHLLEELSAQPPFRLAWETGGQNIPYNRLPAQSEGFFQLLGGSSSFRIGYNPVVSDEMNVAAQNQNVCGYFPGWML >EOY21000 pep chromosome:Theobroma_cacao_20110822:3:2206597:2211628:1 gene:TCM_012317 transcript:EOY21000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MSPSAAALVQVLGFLCSFFYILSYISSRLDGRGKRRLPPAPRGLPIIGNLHMLGKLPHQALYHLAKVYGPMMSIRLGTVPAVVISSPRFAELFLKTHDTIFAGRPRIQVVQVFSYGFKGMAFAGYGSYWRSVRKLYNVQLLSASKIESLAPMRREMVSLLVESLKKDAAAQKVVNLSEKLGALIEDMTLRMVIGHMKYDQFNLKELVQEVTSLAGAFNLADYVPFLGALDLQGLRPRIKAASGALDKALENIIDEHELKNIHEQQKQQRDFVDLMLTMLNQPMNPHDDPMYIVDRTTIKAIIVDLITGGLDTTTTTIEWAVTELIRNPRAMQHLQRELQSFVGIYRTVEEIDLPKLTYLDMVVKETLRLHPVAPLLVPHESMEDTTIDGYYIPKKSRILVNVWAIGRDPDVWSNNVEEFFPERFIDSNIDLRGHDFELIPFGAGRRMCPGMKLGLTTVKFVLAQLVHCFDWELPDGMLPEELDTSEKFGLSLPRSSHLYAKPIYRLLEKSM >EOY23272 pep chromosome:Theobroma_cacao_20110822:3:26861122:26868355:-1 gene:TCM_015222 transcript:EOY23272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVCRRQRIRSLLLNLRPQYCLAQKISQSLWTLPGDPAIHPCFQTASQRLHRRPTSKKPPCSGGGGGWGGAEAAMKINLGSRKVEIRAKRAGDKLLQTLIMGYLQMASTDHGFIQPILGPKYLTTFEANKFTEILFSRSQMKKRIGARFRKFLSCTGASGANSSVIPRSIDVREEYANAFRTESYNDFWTRVLAISHIDSATCISPIDSTTAARLSSYRLFAEHLLDPDQPTVSRILTLIQNRPTTHSLLLDYFSQTANASLLCGLLLKDIDHTRVKYRSFRTSFQALEIAQFSNGNQFLGIVTRLIEFSNSPNPFLSTAPSSSRVRVIQAGCCDLLERLESSRDKARAKLHLINSLQHGSGVFLVALTASLTIIVASHALALVVAAPGLIAASLELASMRRLARESAQLDAAAKGTYILNRDLDTISRLVARLNDELEDMSAMVKFWLDRGEDRLQASGEVARQLKKNDANFTQQLDELEEHLYLCFMTINRARNLVVREILDPGPRTTRTPDLGTDFVVIVGFLVSVVKRGKGYTGDLAKFSTQRPDLAAESIGSGASRSDPTVGSGTPQPDPVAGKRRSAAVVARSGHMVR >EOY22826 pep chromosome:Theobroma_cacao_20110822:3:24914049:24918197:1 gene:TCM_014884 transcript:EOY22826 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWITCH1, putative MEKRWHKLDAEAVAATNLTEMMYLKRQAGQTSEDAAMRLCLGRQLLPPSSPDSNLDAAAHIKVGSYYEIDHSKLPHKTPDQLKLVRVIMVSKKSKCSVSLRYPSVCSLRAYFSERNRRKLEAKMLPSLDEQYAMGSELAGDVLYRRIPPHEIATQRNQWSFWVVVFPREQETGKNPSSIPSPSPSIITSYVNVVSKKGLCWSVLKSTGMVRWGRRRQVRFLGRHVEERRELNLSGRVKGEEEEKRNEVDEEKEEEEEDDDDEGEEEEKEIRVSDEENSETDKRKSCKRKRHGASHRTQMPKRAKHEKQNQQITLYKPGKRREVKNSIERWSVQRYNLAEENMLKIMKEKGAIFGNPILRPALRAEARKLIGDTGLLDHLLKHMAGKVAPGGEERFMRRHNSDGAMEYWLESADLMDIRKAAGVQDPYWTPPPGWKLGDNPTLDPVCARELKELREEIARLKKDMLENKKPEEDLVLVTTPNYSVASQNLVHDTTMLFPMKEKYVDLMNRKAKLEEQLAEIAQSLCGLEGEMGKLKSIVEDLNKPESAETTLLIMRSTTPPLSTGRETKEMEKSNKAVLVISDEEEKDSSAAGKTSAVPRTTRNTVTTEDKAAKIERLKSGFRICKPQGTFLWPNMALSGQVVVPLDDQLAIPTPPSVCSSTTTASRLVPSPQEHRPQPTSTVKPLAERRSTVAAVNFSPTAVSRHPPPLPLEATTAQYANSSITPDTTSITTKTTFINLNEVPGNPHDHGFCGSHSQSQTSPSPLTYQRRHLHLTTSTSMPRLMPGKRENEMSQWDGGNHQQQKGCSSPSYHCVSVGAGTWLALSTPSSSMDNNSTRV >EOY21936 pep chromosome:Theobroma_cacao_20110822:3:19930631:19936323:-1 gene:TCM_014109 transcript:EOY21936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MGGDHHRPARPLHVSSLSFLSIFLLLNHANFILAKHANQKPSAPAPSLIVSSSPAAYRPPDNYLIDCGSSSETKLDDGRTFKSDSQTSSYLSTSEDVQASIDSIPSSAFSNSTPSSMQDLCKTARIFPAHSTYTFFISKPGKHWVRLYFYPLPHPQYDLKTAVFTVHTDKFVLLHDFSVSDDNRVVFKEYLVNATERFSLIFKPKKNSYAFINAIEIVAIPDELLSDSASSVPQGKTVNGLLNYALEVSYRLNMGGPTLTPKNDTLSRTWLPDAPFNVFPQGAEAVTGSNIKYQPDKRMTPLVAPDLVYATAQRMTTEAYAQSMEPNFNLTWVMDIDASFSYLIRMHFCDIVSKSRNDLYFNVYINGLIGLSGLDLTTKAGGLATAYYTDFLLNASAITNGSIMVQVGPASNGGLPNAILNGLEVMKMSNIADSLDGFFAVDGSYKGGSSKLKVVAISGLAMAFFAMLLLGIVCVRWKRRPHDWQKRNSFSAWLLPIHGSHTSFLSSKSSSRKSSIFGSRKSKSTGHSSFYSNQGLGRFFSFNELQNATQNFDEKTVIGVGGFGKVFLGVLEDGTKIAIKRGNPGSEQGINEFQTEIQMLSKLRHRHLVSLIGFCDEESEMILVYEYMANGPLRDHLYGSNKPTLSWKQRLDICIGAARGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVSDFGLSKAAPMEQGHVSTAVKGSFGYLDPEYFRSQQLTEKSDVYSFGVVLFEVLCARAVICPALPREQVSLAEWAMQWHRKGMIEKIVDPKIAESISEESLKKFVEAAEKCLAEYGVDRPSMGDVLWNLEYSLQLQDASSQIDVPEDRSNLIALEKPSDDDDPKANPAAASDDSDMTVSSQLHFPHTGNIKGR >EOY21077 pep chromosome:Theobroma_cacao_20110822:3:2691619:2692855:1 gene:TCM_012394 transcript:EOY21077 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5-like receptor kinase, putative MGFLRKFKNIKTMGFLKKFTKSDLGIEGFLKNNGTLAPKRYSYSNVREMTNSFKETLRKGGYGSVYKGKLLDGHLIAMKLLNTSKGNGQELINEVASISRTSHVNIVTLRVFCLEGNKRALIYEFMANGSLERFIYKENTNLKDHQYLTSEELYQIAIGIAPGKESIVSMVEARGTIEMVGGRRNIDVTVSQSSEIYFPHWIYQRLEQGNVKPELLGLMTREETEIARKMILVGLWCIQTNPLDRPSMTEVIDMWEGSIDTLQIPPKPYLSSPPRSTIMDFTSLSLL >EOY23048 pep chromosome:Theobroma_cacao_20110822:3:25952209:25953869:-1 gene:TCM_015054 transcript:EOY23048 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP family transcription factor, putative MECNQNQTIEEIDESINNNTTIIIIEEQQQQSNNTTPSFSPSEATVMDPNQPMKEEDLTDTEPREFTNSTLVHGLVPVMPAAQTNRSFVPKRPSKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLEHAEPAIIEATGTGTVPAIAVSVNGTLKIPTSSGKQDGDLPRKRRKRPSNSEFIDVNEHQSSVSSGLAPIAPVTYSSINVNSQGLVPLWPMGTFGVLPAAAGGAPGSNQAQLWAIPATATPFFNVARRPISSFVSAMQPEVQGSSDGTMGSSGVPSSNSGTTSVAGVSSSSNGNSNSTTQMLRDFSLEILDKRELQFLGRPANHQTPCSKP >EOY20973 pep chromosome:Theobroma_cacao_20110822:3:2004829:2005771:-1 gene:TCM_012288 transcript:EOY20973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLYSTLNLISQASSSHLFVFCFFNLIVVVILMGPKNHSNSDQGCESHLAPPANDEQDVQGLPLLDSSEQDMNANPGVLCASETVTEETKLESEDDHGDNNDELTKRIEEFIAKVKRDQMAEMLIDIDSLAQRHN >EOY23231 pep chromosome:Theobroma_cacao_20110822:3:26719196:26723371:1 gene:TCM_015197 transcript:EOY23231 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein, putative isoform 2 MGPNQGRKARVIAKIKGFTDLKTESTDEASGKWISVHKPKGDDSESVTISFGDQSTSRKESYELDYCYDRNEGNALVFSREVKPLIEDVFKGHNATVIAYGARSSGKTYVIQGLEEEPGLAVLSMTEILSMAEKTGKLINISCYEISKDHAYDLLDPERHEVLVWEDVARGKIQLKGLSQVPVKSIQEFQKLYLSGHNSQKQPQKILAEPHHRSHKGLIIHVFHSNKSDALPLGKMNFVDLAGYEDARRKSTDGVNLLENNKINKSIYALQNVVYALNANESHVPYRESKLTRMLRDSLGGTNKILMVTCLNSSFCQDSLYMASLASRSCKGINRVIPDSTKKTKSMVRPTVLSSYKSRIPGSNSATVTKQIGTRVRFPENKANVKASAIKGRKLFHEACHSTKSKKASQEENLSLEIVSAIEHNIQEEEKYCSGILEAIGPPVEEPSPLGALSAEETDVPVKASNTQETKLPDERLLWLTSIITTKLFQILTAMQKHFLLLEQIKPWIRRTTFYLSMRMNHHQLVHDYRSCLII >EOY23230 pep chromosome:Theobroma_cacao_20110822:3:26718989:26724033:1 gene:TCM_015197 transcript:EOY23230 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein, putative isoform 2 MGPNQGRKARVIAKIKGFTDLKTESTDEASGKWISVHKPKGDDSESVTISFGDQSTSRKESYELDYCYDRNEGNALVFSREVKPLIEDVFKGHNATVIAYGARSSGKTYVIQGLEEEPGLAVLSMTEILSMAEKTGKLINISCYEISKDHAYDLLDPERHEVLVWEDVARGKIQLKGLSQVPVKSIQEFQKLYLSGHNSQKQPQKILAEPHHRSHKGLIIHVFHSNKSDALPLGKMNFVDLAGYEDARRKSTDGVNLLENNKINKSIYALQNVVYALNANESHVPYRESKLTRMLRDSLGGTNKILMVTCLNSSFCQDSLYMASLASRSCKGINRVIPDSTKKTKSMVRPTVLSSYKSRIPGSNSATVTKQIGTRVRFPENKANVKASAIKGRKLFHEACHSTKSKKASQEENLSLEIVSAIEHNIQEEEKYCSGILEAIGPPVEEPSPLGALSAEETDVPVKASNTQETKLPDEVVVANEHNHDEAIPNIDSNAKALSFVGADQAMDKENNFLLVNENESPPISARLQELSNNLKLLFSSTPSCVEIPPKTDVSLYGQVSTEILEPKTPEPSLPVNDKWEIANTNCSPWKALSAHSSRMKNSLVDEYIRFLNTASKEDLKRLKGIGEKRATYILELREESPEPFKNLDDLKEIGLSAKQIKGMMRKEIGGLFN >EOY24784 pep chromosome:Theobroma_cacao_20110822:3:32201808:32205271:-1 gene:TCM_016286 transcript:EOY24784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein MRGANGESIAMNNTLETIHAAANAIASAENRVPQATVQKRRWGGCWSIYWCFGSYKQKKRIGPAVLTSETSFSGANVPAAENPTQAPAIALPFVAPPSSPASFLPSEPPSATQSPAGLVSLTSISASMYSPGPASIFAIGPYAHETQLVSPPVFSTFTTEPSTAPFTPPPESVHLTTPSSPEVPFAQLLGPNLQYGEGVQRFPISHYEFQSYQLHPGSPVGQLISPSSGISGSGTSSPFRDGEFAASLHFPEFRMGDPPKLLNLDKHSSCEWGSHHGSGTLTPDATRSTPRNGFLLDHQISEITSHPHLKNKEVQNDQVAHNHRVSFELTTEEVVRSLEMETATPSEAVSGSLQIEATRESEEHDTKVVDDYECRVGETSNERPEKALADREGKPQHHKHQSITLGSAKEFNFDNVDGGDAHKPILTSDWWANDKVAGKGGGVPRNWSFFPMMQPGVR >EOY20834 pep chromosome:Theobroma_cacao_20110822:3:1425073:1429485:1 gene:TCM_012173 transcript:EOY20834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat only 4 MAAAAAAKATAAAEEEKTKTLQQELSLPIMLADRVIKSAQEAESSKFECAELAKQVDRLSQMLRSFVRLSATANATVYDRPVRRIASDITKTLERALSLTRKCRHSGPLRHLFSITTTADFRKVSNLLESSIGDMRWLLSLFDSDGTNLTLPPVASNDPILAWVWSYISTIHMGPLKDRVDAANELASLAKDNDRNKKMIVVEGGVSPLLKLLKEGASPEAQIAAANALYNLATDEERVRLIVDVMGIPIIVGVLGEAQMKVQIMVASLVARMAEMDLVASEEFVRENVTRKLVSLLSMDMVLEVVKPQTAKASIHSIIQMNKEMTDNSLRHPKLLSVHTSSFSDGSSRNRKEREAESPEVKLKLQVSCAEALWKLSKGSLLTSRKITETRGLLCLAKIVEKEKGDLQFNCLMTIMEITAVAEFNADLRRAAFKTNSPAAKAVLDQLLKVIQEESSPTLQIPAIRSIGCLARTFPARETRIIGPLVDKLSNRNVEVAMEAACALGKFASPDNFNGSEHSKAIIEFGGVPSLMRLLQSNDQAQVHGLVLLCYLALNAGNSNALEEARALNTLEGAARSVIAQYPDLKDLFAKAIHHLTLYQAGGHPHRCEKIYFS >EOY23822 pep chromosome:Theobroma_cacao_20110822:3:28686258:28688253:1 gene:TCM_015596 transcript:EOY23822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeic acid 3-O-methyltransferase 1 MNSKESQARISTDEEDKRAQQYAMQLVSASVMPMVLKAAIQLGVFEIIQRSGPGALLSLSQIASQLPTQNNPDAPLILDRILRLLASYSILTFSLVADHQDGQVVRLYGLAPVAKYFIRSQGGGSLSSMLDLFQDRTSIDIWYHLKDAVVEGGCPFNREHGMSSIEYMGKDARFGEIFKGSMIDFNGMFVEEMLKTYKGFEGLNSLVDVGGGNGSILHRIVSKYPAIKAINFDLPQIIENSPSYPGIEHVAGDMFESVPKGDAIFMKWVIHCLDDKKCLELLKNCYEALPAKGRVIIVDLVIPESPDSSRVVKSVYQFELFMMNFTGTLKERTEKEFESLAKGAGFSRVQVACCACSFSVVELYKNT >EOY20876 pep chromosome:Theobroma_cacao_20110822:3:1621028:1626009:1 gene:TCM_012217 transcript:EOY20876 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 2 MGIKIGGQIEKVNGRELSYSEFAERYLAKNQPVVLTGLMDDWGACKDWVSSNGQPNLHFFSTHFGKSKVQVADCGAREFTDQKRVEMSVLEFVNHWLQGSVEDLDGNGNGKSVLYLKDWHFVKEYPEYLAYKTPVFFTDDWLNFYLDNYRMHDDPDTNQENNDICCSDYRFVYMGAKGSWTPLHADVFRSYSWSANVCGKKKWLFLPPLQCHLLFDRHAQLLALCIIRQNYNNCFFGIYCYRRWNPREGQDAVEKPEKKKKEKARGGLGGAGVCPALTLQRNMKSSVYNIFDDISETKFSGFKKAIWMECIQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNAYNLSWVWDLLLRDYKEAKEYIEDIKDICDNFEGLCQRNLAANTGMNFNDFFIFISRFSLANVVELYYIHNESKSKSSNRHWSDMVQHFAQNLASMRKIALKMKSEGGVQGNLGILDLRESLSDPKFLKLCSGLGRIYAVIHEQENWSCTMRKVLMAEFENYGSRVCSPEDLVKFIDYAVSKPSGNGTEQNTLLSVLYGAQPRQQN >EOY20877 pep chromosome:Theobroma_cacao_20110822:3:1621021:1625064:1 gene:TCM_012217 transcript:EOY20877 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 2 MGIKIGGQIEKVNGRELSYSEFAERYLAKNQPVVLTGLMDDWGACKDWVSSNGQPNLHFFSTHFGKSKVQVADCGAREFTDQKRVEMSVLEFVNHWLQGSVEDLDGNGNGKSVLYLKDWHFVKEYPEYLAYKTPVFFTDDWLNFYLDNYRMHDDPDTNQENNDICCSDYRFVYMGAKGSWTPLHADVFRSYSWSANVCGKKKWLFLPPLQCHLLFDRNMKSSVYNIFDDISETKFSGFKKAIWMECIQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNAYNLSWVWDLLLRDYKEAKEYIEDIKDICDNFEGLCQRNLAANTGMNFNDFFIFISRFSLANVVELYYIHNESKSKSSNRHWSDMVQH >EOY25007 pep chromosome:Theobroma_cacao_20110822:3:32953130:32957933:1 gene:TCM_016456 transcript:EOY25007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase 9C2 MEKLMRLISMAPLLLLLCLPFAFAGHDYNQALSKSILFFEAQRSGYLPHSQRVTWRASSGLNDGKASGVDLVGGYYDAGDNVKFGLPMAFTITMMSWSIIEYGKQMAASGELGNALEAVKWGTDYLIKAHPEPYVLYGEVGDGNSDHYCWQRPEDMTTDRHAYKIDPSNPGSDLAGETAAAMAAASIVFRRSNPAYSSELLRHAYQLFEFADKYRGKYDSSITVAQKYYRSVSGYNDELLWAAAWLYQASNNQYYLNYLGKNGDSMGGTGWAMTEFSWDVKYAGVQTLVAKFLMQGKAGLHAPVFERYHQRAEYFMCSLIGKGSRNIQKTPGGLIFRQRWNNMQFVTSASFLATVYSDYLTSSRGSLNCAAGNVAPSELLSFAKSQVDYLLGDNPRATSYMVGYGNNYPRQVHHRGSSIVSIKVDPTFVACRQGYANWYTRKASDPNVLTGALVGGPDAYDNFADERDNYEQTEPATYNNAPLLGILARLGGGHGGYNQLLPVVVPAPNPVVAKPKPAPKPKLTPTPASSSSPITIKQKMTTSWNHKGKTYYRYSTVVTNNSYKTVKDLKLSISKLYGPLWGLTKSGNSYGFPSWLNSLPAAKSLEFVYIHSASPADVSVSTYNLA >EOY23414 pep chromosome:Theobroma_cacao_20110822:3:27332324:27334448:1 gene:TCM_015317 transcript:EOY23414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MATSWCFTLAIFVVILLASPNASLATMNVIDKCWRGNPRWRNQRQQLATCSVGFSGKMTNNIGKGVIRYKVTDPSDDPLNPKPGTLRHGATMIKGKVWITFKRNMNITLQRPLLISSFTAIDGRGVNVHVTGAGCLLVYQATDVIIHGLHIHHCKSQPPSSVMGPASKVIPLGQMDGDAIRLVTAKKVWIDHNTLYDCQDGLLDVTRGSNDVTISNNWFRNQDKVMLLGHDDGYLRDRNMKVTVIFNHFGPNCNQRMPRVRHGYAHVANNFYQGWEQYAIGGSMRPSIKSEANYFIAPKLGNKEVTWRQGNRQDKASWKFYSVGDIFENGASFSSQTGVGGAKPFYNQEQNFKVADARSVSELTRSSGVLKCSRTLRC >EOY21452 pep chromosome:Theobroma_cacao_20110822:3:8396772:8398863:-1 gene:TCM_013015 transcript:EOY21452 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MFNWRRRKTTGRHGEKRRQQQQQQHKELEIPRHFLCPITLDLMKDPVTLSSGITYDRESIETWLEDGNFTCPVTNQLLRSFDQIPNHSLRKMIQDWCVENGKYGVERIPTPRIPVTSEEVSEILFAIMDSNRRLDQCGCLDSVQKIKKWCMESERNRRCITANETAGVLAAAFDAFACDSFERNANVLEEILCVLNWMFPLDGEALEHLGSQSSLRCMVWFLKWRDLSVKQNSMAALKELLSCDQQYGEGLAAIDGVNEMLFNFIKDPISPSITKASLMVIFHMVSSCSSSEKIKSEFLDMGLVSLLLETIVESERSLCERALGVLDKLCDSKQGREVAYNNALAMPVLVKKILRVSELATEYSVSTIWKLSKDEERVLIEALQVGAFQKLLLLIQVGCGDETKEKATELLKLLNPYRAGLECIDSVDFKSLKRSF >EOY22084 pep chromosome:Theobroma_cacao_20110822:3:21296416:21298705:-1 gene:TCM_014278 transcript:EOY22084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import receptor subunit TOM5 MADPVISIDKVKAFWHSQVHDEEKWALNMKLLRAAGLFAGSIFLMRNYGDLMAI >EOY23023 pep chromosome:Theobroma_cacao_20110822:3:25817710:25826859:1 gene:TCM_015036 transcript:EOY23023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MLHYAMVNQMDAPDVLIAVDRVNHLDVETMNWRCFLCCISQEKEEIRVKKSRDYPWEIYSIKELLHATNNFHNDNKIGEGGFGSVYRGHTSKGVEAIISNMVLQIAVKRLKAMSAKAEMEFAVEVEILGRVRHKNLLGLRGFYAGDDERLIVYDFMPNHSLITHLHGQLAADCLLDWPRRMSIAIGSAEGLAYLHHEVHPHIIHRDIKASNVLLDANFEAKVADFGFAKLIPDGVTHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIISARKPLEKLPSGVKRDIVQWVTPYVQKGTFDHIADPRLKGKFDRAQLKSAVTIAIKCTDSNPENRPSMLEVVEWLKGGVGRIRKEVTNIEYMLEEEEDNDDTDTHFEGFRMAQSDTRRKWARSKRI >EOY25444 pep chromosome:Theobroma_cacao_20110822:3:34299742:34312315:1 gene:TCM_016751 transcript:EOY25444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin MSLLSRFFYRRPPDGLLEFVERVYVFDSCFSTEVLPDGMYQVYLHEIVTELQEEFPESSFLAFNFREGEKPSHFAEILCQYDVTVLDYPRQYEGCPLLPLSLIQHFLRVCESWLSLGNHQNVILLHCERGAWPLLAFLLASFLIFKKLHSGERKTLEIVHREAPKGFLQLLSPLNPFPSQLRYLQYVSRRNIAPEWPPPERALSLDCLILRAIPSFDAQNGCRPIIRIFGRNIRGRDGLSTQMLFSMSKKRKMLRHYHQADCDVIKIDIQCLVQGDVVLECVHLDLDPEREVMMFRVMFNTAFIRSNILMLNSENLDILWDSKERYPKGFRAEVLFGEVENSSPPKAPITILNGEEKGGLPIEAFSRVQELFSGVEWVDNSDDAALWLLKHLSVLSDVKELSILQNKGNLYECPADSEEENNAYGTADSSDEAFDVNNKPSVDPAKSLPPDIVDTVLIHLESDGLQDLNHASGTLNQASVETVQIVSSPGTRSCSSSPQPASPPSLSSIPTTCPPPPPPPPLISGKSLSVRYAPPPPPPPPPLPLVSPSHLPSSINIIKPLSPPPPPPPLPSFSDGNSRTSLLATAKRAEMAHHPFSAFVKSFHQPQPYLLVPLHRNHHPRPAPPPPLPPPLVSSSLGSKSNKLEPYPPPPPPMAISSTSSSHEGVPHVHTKVPRPPPPPPPIGPAWSAPIPPPPPPVPKPPAAPPPPPGYNAMVGPRPPGAKVSNVPPPPPPSIGRGKAMGQTGHARGRVIGVSNAPKRTSLKPLHWVKVTRAMQGSLWADSQKQDNQSRAPEIDMSELESLFSAASVSDGHGTNKLGGRRGSNINKPEKVQLVDLRRAYNCEIMLTKIKIPLPDMLNAVLALDSSALDIDQVENLIKFCPTKEEMELLKNYMGDKAMLGKCEQFFLELMKVPRVESKLRVFAFRITFSSQVDDLRSNLNTINNVAREVKESMKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPELLDFDKDLSHLEAASKIQLKTLAEEMQAVSKGLEKVEQELTASDNDGAISMGFQKVLKNFLDTAEAEVRSLISLYSEVGRNADSLSQYFGEDPARCPFEQVTQILVVFVKMFNKSREENERLADAEKKKLEKEGMKERAAANLTAKKDGADEPNLSSQIQKHAP >EOY23698 pep chromosome:Theobroma_cacao_20110822:3:28276462:28277109:1 gene:TCM_015506 transcript:EOY23698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMMSLFSSFEALWADSYGQKMKFSGSTEQAKPKKVSCLEGSKKETDKNSSSPANIKKPQQLLRPRFAAELDGVHCFETIIPY >EOY24759 pep chromosome:Theobroma_cacao_20110822:3:32136000:32141852:-1 gene:TCM_016267 transcript:EOY24759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRRLPRSPSLKSPVLSPKSPAIYEKYKSGCALGLIHFFDFRQGHSHGKLISDKKRVNRQDKGDGYTRNRSDFLTNEEKDQCRDDALDSKNLGVNSRKPEVKRIIKEETPVKLQVKKNTAIAEMQNLQFNPKLVGHSKDHRKASKNSKKSSRFPARGCKDRATEGCRQPSNQNMVDKFNNNSASLTEASGDDEDTNNGGTYSCKSNVGGKHYRHTEINLRVHMNEAVEAFVNQKLTDGENLDRNELANRSKNFIDALEILNSNKELFTKLLQDPNSLLVKHIQGLRDSQAKNQQHQSSSKAKTSHCQPKEAGECEPSNTIVVLKPGMQNCPDRISNWPSPQSHYSLRKKERSARPAFLSFEHMKRKLRHAMRVNKKEQCQMSLDGIHKSQRDFEQFDEGGKEMSRQANEKISTGKSYLDVRKMSESSPEVNRRDGMGQTENFGSGPSGIGGKAASSTESCNGTSNLLTIRHLKGKFHSTKHLSEILNSGNEDLSRKQTLRTMDRLMSLPEYDLLPLLTPGRNKEHRFASPQMRFSPYNNFPTANGYKWRVQNEKKSSCLSSSPIKNLGADPMSDNEKPDDRLQGEKKSIPGNLSPATKVLPTVYSPSEDLSHKVNQTSVCPGNIMERNHADRWGESNALEPNGVQNTNTNPRTEAVNTFGDSELLECLKLDSPLGDQTSSSSVDVYSSSPFHIQRAEDSDSMTDRAEQPSPISVLEQFFVEDNTSSPSTISLAAEPPVGPFCIEELYASLLVESHLDLKSNAGTSTDKQGSLSEYIKAVLQKSGLNWGELSRKCHLSDQMLNSSLFDSVEVWPDKSCADRRLIFGYISEVLLEIYQCYFRCSPWVSLVNPRPRPVLLSKNVVHEVLRHVDWLLFSELPQQTLQQLVEKDLAKSRVWMDTGIDTEEVVTELVDRILEDLVVDIGDWLQT >EOY23738 pep chromosome:Theobroma_cacao_20110822:3:28413539:28417198:-1 gene:TCM_015539 transcript:EOY23738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein, putative MAVMGTVRKWLGWSQMVASMLAVQVFATGQQLLSKVILSQGTFIFALMAYRHLVAALCVAPFAFFLERGNSKKLTWSTWFWLFINALTGITAAMGLFYYGLRDTTATYSTNFLNIIPIVTFVFSIVFRIEKLGLGTRAGKIKIVGAILCVGGALTTCLYKGKAFYLVHDHNFHRPAAMNVSKSHWTRGTFMLIGSCLCYATWYILQVKLLKVFPSKYRATLITCIMASIQSAALGLCLDRRKAAWRLEWNLQLVTIVYSGALSTAATFCLLALSIAKRGPTYAPMFNPLALIFVAISESLVLGEKMRLGIVLGTVMIIVGLYSFLWGRRKETKCLPQPDAGAEELAKTGPEFGEMQLKTIVLQTNTSPVKSVYAMEEGALKDTVEL >EOY20925 pep chromosome:Theobroma_cacao_20110822:3:1773722:1795016:1 gene:TCM_012249 transcript:EOY20925 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 2 MAKPRKPKPDAEDSKPANSGAVVRHQKLCLSIDTNLRRIYGYTELEIEVPDIGIVGLHAENLGIESVLVEGEPTEFEYYPHNQAADSEKPWASAASSLSSAADAAAAAYVTALEMELMPNFLINCCNKMHIEQINTENNGVQSSAEVKQNVKSVRVNYWVEKMETGIHFEDNVIHTDNQIRRARCWFPCIDDNNQRCCYDLEFTVAHNLVAVSNGSLLYQVLSKDDPPRKTYVYRLDVPVAAQWISLAVGPFEILPDQHNGLISHMCLPPNLPKLRNTVEFFHSAFSDYEQYLDAKFPFGSYKQVFLAPEMAISSSTFGASLSILSSQVLFDEKVIDQTIDTCIKLAFALARQWFGVYITPEAPTDEWLLDGLAGFLTDLFIKKFLGNNEAQYRRYKANCAVCKADDSGATALSSSFACKDLYGTHSIGLNGKIRSWKSVAILQVLEKQMGPDFFKKILQAIISRAQGTTCPVRSLSTKEFRHFANKIGNLERPFLKEFFPRWVGSHGCPVLRMGFSYNKRKNIIELAVLRECTATLDSSVSVPNANPDSENRDGDIGWPGVMTVRVYELDGMSDHPDLPMSGDAWQLLEIACHSKLAARRYQKPKKGSKPDGSDDNGDMPSLDVRSSVDSPLLWIRADPEMEYLAEIHFNQPVQMWINQLEKDEDVVAQAQAIAALESLPEFSPSVVNALNNFLTDSKAFWRVRIEAAFALASTSSEETDLAGLQHLVRFYKSRRFDADIGLPKPNDFRDFPEYFVLEAIPRAIAMVRAADKKSPREAVEFVLQLLKYNDNNGNPYSDVFWLAALVQSVGELEFGQQSIFLLSSLLKRIDRLLQFDRLMPSYNGILTISCIRTLAQIALKLSGFIHLDHVCELIKPFRDFKTIWQVRIEASRALLDLEFNCNGINAALLLFIKYIEEEPSLRGQVKLGVHAMRLCQIRGGSVSNEDIKSTTLVALLQLLESRIAFNNVSLRHYMFSILQVLAGRTPTLYGVPKDKVRRMADVEICNEQKNHFAALVAEIKPAEPPAANPNLLHDNLAIPEASKGVDTVSNSHERKTSVVKIRVKQSGTTSKAEEGDDATVERSQGRHPDADRGATSSVSVDAPQRNSAEAVSISNQNIEEVNSFHDHGSRITASIGSAKIASEGDNFGKELQCTADSSNVAACPRPDNPSSPSIIQDNYIDAEGQKFASLQTLSVSRQDGGSLGTVDSPNRGKEKKKKKKDKEKKKDKEKKRKREDLKGHRDDLEYLEKKRLKKERKHKEKEMAKLLSEAKTPSTTELRGKKEETTSLTKELPGKKEELVAKSATVPLKPSAPPKVVITKSETRTEPTEGTSAPKFRIKIKNKSLNKS >EOY22847 pep chromosome:Theobroma_cacao_20110822:3:25017656:25019025:-1 gene:TCM_014900 transcript:EOY22847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTLLSPFLFSITNFYPFSRKINTNNGVLLIEYYLMELRPAIERERGSSMARRGGQAREWRVLGGVQNSFPLCLPHTLHLQKGGHGWETLALSRGITCLACAGGGRGRGLGFGVKGMTLPAKSAIYLWHEHDDEDWACPASCAHANA >EOY22772 pep chromosome:Theobroma_cacao_20110822:3:24744382:24745722:-1 gene:TCM_014844 transcript:EOY22772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin 1 MAEHLQLQQQYHHPYSQPRSHQMVKAATAATAGGSLLILSGLILAGTVIALTIATPLFVIFSPVLVPALIAAALLVTGFMASGGFGVAAITVLSWIYRYVTGGHPPGADQLDQARMTLARKAREMNEGPEQLTSAAAS >EOY22950 pep chromosome:Theobroma_cacao_20110822:3:25510333:25511507:-1 gene:TCM_014979 transcript:EOY22950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1442) [Source:Projected from Arabidopsis thaliana (AT5G62280) TAIR;Acc:AT5G62280] MAFWSAENATKAYLKTLKMGQKAKEPNVAEFVSALAAGNNAQLMVVAYATAANSTAFALVAAASQTGGHVVCIVPGIEELQLSKKILGYDASHVEFVVGEAQNLLLSHYREADFVLIDCNLENHEGILRAVEAGTKRNGAVVVGYNAFSKGSWRSSGSRTQLLPIGEGLLVTRIAAKAKIDCGFEKRSHWVVKVDKCTGEEHVFRVRFSQGKGIQA >EOY22269 pep chromosome:Theobroma_cacao_20110822:3:22703760:22707675:-1 gene:TCM_014487 transcript:EOY22269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRKLSTESSLQKKALENRRRAEHVPDLTDFMNDMFFGTVNDDKKAYYNLTGTGKLMDDDEDFESSTRSNSSKLTQEWLEEARRMVASSPSRCDSPTRPVGSPRFAAQPGRFSVSSSLERRDPLSRSARRLRPMEGFSGEILSKSAKHSRNKSETLDSLNSPVDMSPAEAVHKWFSNILKPTNHTPLSSGPPSPTTDHTAAPALPPRQSSLRKSRFQVDAPAPHAQGIPVPSRRTFKPQAPSQDTQLLSPPKNLIESAQRRSISYSTCSYPENKPLSPPRNLVESAQRRSISKSTCSLENIAPRSNSNGWSKEEDGTREVGLNEFLKEQRTKIEMILNGEVDFRAKIVLSGPSNSTSSMVAAICYACLQENRARKNKGEGDEDGCIVVPVMNVRRERMWKQRQAAWLFHHVGLDATSLLFSDEVDLESLMMAGQLSILVVGQDILRTNGEVGSPCTILTDNYCEDAYDLLQTPALKKLLLAGILLDTQNLNAYTKLSMARDAEAVQLLLVGSAPNYRNAVFDQLMQDQRDNSFFEALRHNYGKPPHDGGYDNGVQMELTVPERKSTSISSHEASVRNSDKNSNDARNAKINKAPPKSATLPAKAPPAAPEVSRGKNKFFLAKWFGFGSK >EOY21225 pep chromosome:Theobroma_cacao_20110822:3:4311332:4314895:1 gene:TCM_012612 transcript:EOY21225 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative MLFKSLPPGRQYFVSGVPGSFHSRLFPKASLHFVYSAYALQWLSRVPQELSDINSPAYNRGRIFYSNSPNEVGKAYTAQYAMDMERFLAARAKEMVPGGLMALLIPGRPDGTLPAESSIGPIFQPLESCLVDMANEWS >EOY21177 pep chromosome:Theobroma_cacao_20110822:3:3878674:3884029:-1 gene:TCM_012560 transcript:EOY21177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase D-1 isoform 1 MTEMDPSKKVADRYLKREVLGEGTYGVVYKAIDTKTGQTVAIKKIRLGKQKEGINFTALREIKLLKELKDPNIIELIDAFPHKGNLHLVFEFMETDLEAVIRDRNIFLSPADIKSYIQMTLKGLAFCHKKWVLHRDMKPNNLLIGPNGQLKLADFGLARIFGSPDRKFTHQVFARWYRAPELLFGTKQYGSGVDVWAAACIFAELLLRRPFLQGTSDIDQLGKIFAAFGTPTSSQWPDMVYLPDYVEYQYVPAPPLRSLFPMASDDALDLLSKMFTYDPKVRISVQQALEHRYFSSAPPPTDPPKLPRPNPKSRVSDYNPQEGPTVLSPPRKSRRVMPDRDRFEGNSDQAEKVDDRVGERQAVGDIAGKNEQVPMSIDFSIFGSKPLSRPTINSFRMSLVLACRNRLSMDQASKGLSHHLGLSSRSIVQTFFLNK >EOY21176 pep chromosome:Theobroma_cacao_20110822:3:3873772:3883786:-1 gene:TCM_012560 transcript:EOY21176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase D-1 isoform 1 MTEMDPSKKVADRYLKREVLGEGTYGVVYKAIDTKTGQTVAIKKIRLGKQKEGINFTALREIKLLKELKDPNIIELIDAFPHKGNLHLVFEFMETDLEAVIRDRNIFLSPADIKSYIQMTLKGLAFCHKKWVLHRDMKPNNLLIGPNGQLKLADFGLARIFGSPDRKFTHQVFARWYRAPELLFGTKQYGSGVDVWAAACIFAELLLRRPFLQGTSDIDQLGKIFAAFGTPTSSQWPDMVYLPDYVEYQYVPAPPLRSLFPMASDDALDLLSKMFTYDPKVRISVQQALEHRYFSSAPPPTDPPKLPRPNPKSRVSDYNPQEGPTVLSPPRKSRRVMPDRDRFEGNSDQAEKVDDRVGERQAVGDIAGKNEQVPMSIDFSIFGSKPLSRPTINSADRSHLKRKLDLEFQHNE >EOY21261 pep chromosome:Theobroma_cacao_20110822:3:5261216:5261912:1 gene:TCM_012701 transcript:EOY21261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLKQEIDLEEPKKEDTKKKGIAFKSLVEEEERSTRSESENEEDMVMLVRKFNGFMKKNFRARKPIRRDVPNEEHIREHLICYDCKKQSHTMYECYNNKNISTKLKKKTIKFIWSNSNDS >EOY24911 pep chromosome:Theobroma_cacao_20110822:3:32648960:32651964:-1 gene:TCM_016382 transcript:EOY24911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A:6-aminopenicillanic-acid-acyltransferase 29 kDa subunit MPTNEASPKKEKSNNVIFKVYSIGKSTGFGNSSMEGKVLEMLEVGPCEDDYRLGFLIGQRFCSQIRSRLAGDLILQNQLLPFARTTQAQPLIKALSETNQKKFPRYWAELLGTAEGSGVPVLDIILINFRKEILPLISNTAMNSNGDTTDDCSDILVVSDLMALAAHNEDANVALVGHTYLIKRKLSNGLSFIAYTYAGELPSCAFGFNSHGMAFTLNSVPPTEDEIVPAGIGRNFVSRDLLEATSIADALAKIRSSEVSVGHSYNLIDIQTRMILNVETASRSRVSVHEVGATPFFHANMYLHLQVQQVHDENSMSRQRRAALLPQESKTNFLSILGDTEDTKYPIYMTGPTLYTLCTAVIDLDEKSLTIVEGNPKKGKVSHVFSMYSKDLNPELVNCAEGTRL >EOY21114 pep chromosome:Theobroma_cacao_20110822:3:3116837:3129635:-1 gene:TCM_012454 transcript:EOY21114 gene_biotype:protein_coding transcript_biotype:protein_coding description:PR5-like receptor kinase MLRAKLAILGLLALALSQLLDVVDAARRGTHDCKRSCGALNIRSPFRFKGDTPECGEYELVCEHNRTILDDVDYGRFYVLDIFYNHTIRLVDGSLNSDECSILPKSFPCGYSFIYFLSCQVLINSPLYIDASPCANASFSPHLYALFSRKTPNATGFLEPCTIEVQAPRPFWFRSPNVTGLSIFDVHQKFLMGYDVPLRCVHFPDYRNKITLSYIFLWAKGISLDCLYKLRMFFTLGVPPVHFTQEFYTRIEDALFLITGVYIIIRTLLGVSCLIVVVLIKFRRRHLSTDDTIEEFLQMQNNLKPIKYSYSEIKKMTKCFKDKLGQGGYGSVFKGTLRSGRPIAVKLLEMSKSHGQDFINEVATIGRIHHVNVVQLIGFCVDGSKQALVYDFMPNGSLDKIIFSREKDTTLCWEKIFEIAIGVVRGIEYLHQGCEMQILHFDIKPHNILLDKNFIPKISDFGLAKLYAVDQSIVSLTAARGTLGYIAPELFYKNIGSISHKADVYSFGMLLMEMVGRRKNLNAFAEQSSQIYFPTWIYDRFEKGEDAELGDATESEKKIVRKMVIVAFWCIQMRPTDRPSISKVLEMLEGEVEVLELPPQPFEFSFGRSSKDFASCNREDEPTTSTLDATTMSMEVPQM >EOY24680 pep chromosome:Theobroma_cacao_20110822:3:31895802:31900052:-1 gene:TCM_016219 transcript:EOY24680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein MGEEEANKMEASEPKEQQPVKEEKDAAAKTDVAEEKSLIPVPEIKNCSEKEKGVLKIRFGFHISTFESAFTFMESWVQKIIVIAGLHLPKHANLMPDELGHNVPWVACSGKLLVANNIFHFQDTESIMFIEMLIDLTKAAAVATEKSPNNRDSVLARVETEKRLALLKAWEENEKAKVENKAHKKISAIGSWENTKKAGVEAQLKKIEEQLEKKKAEYAEKMKNRVAEIHREAEEKRAMIEAKRGEDFLKIEETAAKFRATGYTPKKFLGCFSS >EOY21446 pep chromosome:Theobroma_cacao_20110822:3:8262057:8263341:-1 gene:TCM_013003 transcript:EOY21446 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase kinase 9 MAVVRERRQVNLRLPLPDLSDRCSRFPLPLPPSASTTAAAASASVTISQTELEKLQVLGHGNGGTVYKVQHKRTSKIYALKVVNGDSDVTVHRQVSREMEILRRTDSPHIVRCYGTYVKPSGDVAILMEYMDAGTLDTLLRTRGTFSEPELAHITRQILKGLTYLHAHKIIHRDLKPSNLLVNKNMEVKIADFGVSKIMSRRLDPCNSYVGTCAYMSPERFDPDAHGGNYDGYLGDIWSLGLTLMELYVGHFPFLSPDQRPDWATLMCAICFGDPPTLPQGASDEFRSFIECCLQKESSKRWTASQLLDHPFLRSHPTSD >EOY21074 pep chromosome:Theobroma_cacao_20110822:3:2672239:2676968:1 gene:TCM_012389 transcript:EOY21074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFKNDENPRGKYCGEEVEVPVEKKARDGLMSGTRALDKTLPEPFKTKYFADDNYRRQCKDSGGRCGFNTTSTKVFVCYCRDRPHLIKCEPGGKASRGNGAAVGRDSSKKIWNPRVAWSIGLWRASLYLVLVYNISPRVPWSALKSIFYYCGVVMDVFLPSSNKARATRFAFIRYKEKEEAFRTAKLGNGRLVDGRRIFVKYAAPGVTHGDQPSNHDVLGCSLQNPVKGKEPSCSQDKVPIVSAEAVSSVNEGSPHILPVYNVPNSRMEDVLLPSEEAPIMFNVPLCNMEWVDLSAVGVLKQDFLLSSVQSGLALNGISATVHRVKNFQVLRVPIHFWHHDFFIALAAHWGSFVAMNKSTATRSSFDHAVILIKPLNISSIPKEVQVRCNGDCFVTNLEPLFFSKAVDVSFEVGDNDMPMCSKASIHDADGCNSPVFILEEVENPDSPRTSGWNSPLHGHSPLHGHSPLTSQQVDDLNYNEVDLATAGIESFFRSHVGLKLEGNSLGHESLLEPGLLLAHIDSATHLFSKPSNVYRGKNNIVSSASRAFFADKLVDLNLRLVFLWHLKVAESGRNPEEKDLVASSKGSARISSPLANLKRQLGSTQPGESCNSLTMVIFKTSR >EOY21083 pep chromosome:Theobroma_cacao_20110822:3:2776853:2830032:1 gene:TCM_012406 transcript:EOY21083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MDLSHSFFSIISIFFLLIFFQVSYSKDDVHFTSCPHPFDCGNLGNLSYPFSTDDRPAYCGYDHEVYKLKCIPNQPPYITISSQEFQVVHLNQTHGLMTIQRVESEENTCPEEIFTYDVFNYSDTAANITLLYGCPSRGFADNSFTCKKDQSETFAVFGNNDEYHCRGKVVEVPVEKKARDELIRGTRALDKTLFEPFEMRYFAFDDYCRQCKNSGGRCGSNKTLTAVFLCYCRDHPYPIKCERGGKGFSLGVKLPIVIAGIYLLITGVITIYFKYIKTMGFLKKFTKSDLDIEAFLKNNETLAPKRYTYSDVKKMTNSFKETLGKGGYGSVYKGKLLDGHLVAVKLLNTTEGNGQEFINEVASISRTSHVNVVTVRGFCLEGNKRALIYEFMANGSLERFIYKENTNLKEHRRLTSEELYRIAIGIARGLEYLHRGCNTRILHFDIKPHNILLDENFCPKISDFGLAKLSNMKESIVSMLEARGTIGYIAPEVFCKNVGGVSHKSDVYSYGMMILEMVGGRRNIDVKVSQTSEIYFPHWIYQHLDQGNIKPELLGLMTREETEIVRKMIFVGLWCIQTNPLNRLSMTEVIDMLEGSIEALRIPPKPYLSSPPRSTVMDSTSLSLP >EOY24156 pep chromosome:Theobroma_cacao_20110822:3:29895701:29899471:-1 gene:TCM_015835 transcript:EOY24156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 1 isoform 1 MGCFQSKVTRQYPGHEDPITLASQTAFSVSEVEALFELYKSISSSVIDDGLISKEEFQLALFKNRKKENIFANRIFDLFDAKKKGVIDFGDFVRALNVFHPNVSQEDKITFAFKLYDMDGTGFIERNEVKQMLIALLCESEMKLADETIEAILDKTFLDADINQDGKIDISEWKNFVSRNPSLLKIMTLPYLRDITTTFPSFVFNSEVDEVAT >EOY24157 pep chromosome:Theobroma_cacao_20110822:3:29895672:29899386:-1 gene:TCM_015835 transcript:EOY24157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 1 isoform 1 MGCFQSKVTRQYPGHEDPITLASQTAFSVSEVEALFELYKSISSSVIDDGLISKEEFQLALFKNRKKENIFANRIFDLFDAKKKGVIDFGDFVRALNVFHPNVSQEDKITFAFKLYDMDGTGFIERNEVKQMLIALLCESEMKLADETIEAILDKTFLDADINQDGKIDISEWKNFVSRNPSLLKIMTLPYLRDITTTFPSFVFNSEVDEVAT >EOY21486 pep chromosome:Theobroma_cacao_20110822:3:9151909:9153152:-1 gene:TCM_013083 transcript:EOY21486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKRQNIFTKLGHNRRPTGQHHKSIQSAALPINFKPFEGKLPGLNNSLILSTPTPSIKHCNLFFYLQLKPLATHQLTGNSIFNAINKFQSLFFLRYHKDG >EOY23881 pep chromosome:Theobroma_cacao_20110822:3:28848887:28853260:-1 gene:TCM_015634 transcript:EOY23881 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein MYLKEKMKTLKTILNPVFFVILILLSSHVVPSFSFTSFVFGDSLVDAGNNDYLFTLSKADSPPYGIDFKPSGGQPTGRFTNGRTIADIVDQALGAKSFPPPYLAPNTQTEAILRGINYASGASGILDETGFFFIGRIPLGEQVKYFGQNRNYMVNAMGENRTREFLKKAIFSLTIGSNDILNYVQPSIPFLGHDKLFPTTIFLDSMISNLTIQLKRLHELGARKLLVVGVGPLGCIPFVRAFNLLPSGKCSAQVNALIQGYNEKLKELLNRLNQEMGPEAIFVFANSYDIFMKIIVNYHQYGFENAHEPCCGGYFPPFICFKSRNTKASTSAVCNDRSKYVFWDAYHPTEAANLIIAKELLDGDESSSSPINIRKLYNYNS >EOY21155 pep chromosome:Theobroma_cacao_20110822:3:3576919:3580136:-1 gene:TCM_012527 transcript:EOY21155 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein MAAITAAQPRSSKTESYVDNKRKEDIRQANINAARAVADAVRTSLGPKGMDKMISTANGEVIITNDGATILNKMEVLQPAAKMLVDLSKSQDAAAGDGTTTVVVIAGALLKQCLSLLSHGIHPTVISDSLHKASIKAVDVLTAMAVPLELSDRESLNKSASTSLNSKVVSQYSTLLAPLAVDSVLSVVDPEKPDLVDLRDIKIVKKLGGTVDDTELVKGLVFDKKASHAAGGPTRMENAKIAVIQFQISPPKTDIEQSIVVSDYTQMDRILKEERNYILGMIKKIKATGCNVLLIQKSILRDAVTDLSLHYLAKAKIMVVKDVERDEIEFITKTLNCLPISNIEHFRAEKLGHADLVEEVSLGDGKIVKVTEIKNMGRTTTVLVRGSNQLVIDEAERSLHDALCVVRCLVNKRFLIAGGGAPEIELSRQLGAWSKVLHGMEGYCVRSFAEALEVIPYTLAENAGLNPIAIVTELRNRHAQGEINAGINVRKGQITNILEENVVQPLLVSTSAITLATECVRMILKIDDIVNVRHTPRKRTNRDLAIVSAVIAL >EOY21744 pep chromosome:Theobroma_cacao_20110822:3:17878319:17885204:-1 gene:TCM_013852 transcript:EOY21744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFVHFLSVLQAQLRKMEKEPQRGLMYVEVLLNKKSTKVMLDTRASNTFITLGEAKRYVFKVENDFRQMKAVNLSALVIMGNTKDVKIKIGYWEGKVNLTIAIIENFDFVLGLDFMMKAQAIPFLVGFLNWGI >EOY25143 pep chromosome:Theobroma_cacao_20110822:3:33403223:33409164:-1 gene:TCM_016555 transcript:EOY25143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug/pheromone exporter, MDR family, ABC transporter family isoform 1 MTPPCSLSGNQDSEGTKKKKEGMKQHKVPLLKLFSFADFYDYVLMALGSLGACVHGASVPVFFIFFGKLINIIGMAYLFPKEASHKVAKYSLDFVYLSVAILFSSWIEVACWMHTGERQAAKIRMAYLKSMLNQDISLFDTEASTGEVISAITSDIIVVQDALSEKVGNFMHYISRFIAGFSIGFARVWQISLVTLSIVPLIALAGGIYAYVATGLIARVRNSYVKAGEIAEEVIGNVRTVQAFAGEEKAVKSYKEALMKTYEYGRKAGLTKGLGLGSLHCVLFVSWALLVWFTSIVVHKHIANGGDSFTTMLNVVISGLSLGQAAPDISAFIRARAAAYPIFEMIERNTVSKTSSKTGYKLSKVEGHIEFKDVSFSYPSRPDVVIFNKFCLNIPAGKIVALVGGSGSGKSTVISLIERFYEPLTGDILLDGNNIKDLDLKWLRQQIGLVNQEPALFATTIRENILYGKDEATLDEIMRAAKLSEAIAFINNLPDRFETQVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVVAHRLSTIRNADVIAVVQNGTIVETGSHEELISNPYSAYSSLVQLQETAPLQRYPSQGPTLSRPLSLSYSRELSRTRTSFGASFRSEKDSVLSRAGADAIDTGKAAYVSPGRLYSMVGPDWYYGVFGTIAALIAGAQMPLFALGVSQALVAYYMDWDTTCREVKKIAILFSCAAVITVIVHAIEHLCFGIMGERLTLRVREGMFSAILKNEIGWFDDLNNASSMLASHLETDATFLKGVVVDRSAILIQNVGLLVASFIIAFILNWRITLVVLATYPLIISGHISEKLFMQGYGGNLSKAYLKANMLAAEAVSNIRTVAAFCAEEKILDLYARELVEPSKRSFNRGQIAGIFYGISQFFIFSSYGLALWYGSVLMGKELASFKSVMKSFMVLIVTALAMGETLALVPDLLKGNQMVASVFEIMDRKTQVAGDVGEELTNVEGTIELRGVHFSYPSRPDVVIFKDFDLKVRSGKSMALVGQSGSGKSSVLALILRFYDPTVGRVMIDGRDIRKLQ >EOY25142 pep chromosome:Theobroma_cacao_20110822:3:33402030:33409438:-1 gene:TCM_016555 transcript:EOY25142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug/pheromone exporter, MDR family, ABC transporter family isoform 1 MTPPCSLSGNQDSEGTKKKKEGMKQHKVPLLKLFSFADFYDYVLMALGSLGACVHGASVPVFFIFFGKLINIIGMAYLFPKEASHKVAKYSLDFVYLSVAILFSSWIEVACWMHTGERQAAKIRMAYLKSMLNQDISLFDTEASTGEVISAITSDIIVVQDALSEKVGNFMHYISRFIAGFSIGFARVWQISLVTLSIVPLIALAGGIYAYVATGLIARVRNSYVKAGEIAEEVIGNVRTVQAFAGEEKAVKSYKEALMKTYEYGRKAGLTKGLGLGSLHCVLFVSWALLVWFTSIVVHKHIANGGDSFTTMLNVVISGLSLGQAAPDISAFIRARAAAYPIFEMIERNTVSKTSSKTGYKLSKVEGHIEFKDVSFSYPSRPDVVIFNKFCLNIPAGKIVALVGGSGSGKSTVISLIERFYEPLTGDILLDGNNIKDLDLKWLRQQIGLVNQEPALFATTIRENILYGKDEATLDEIMRAAKLSEAIAFINNLPDRFETQVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVVAHRLSTIRNADVIAVVQNGTIVETGSHEELISNPYSAYSSLVQLQETAPLQRYPSQGPTLSRPLSLSYSRELSRTRTSFGASFRSEKDSVLSRAGADAIDTGKAAYVSPGRLYSMVGPDWYYGVFGTIAALIAGAQMPLFALGVSQALVAYYMDWDTTCREVKKIAILFSCAAVITVIVHAIEHLCFGIMGERLTLRVREGMFSAILKNEIGWFDDLNNASSMLASHLETDATFLKGVVVDRSAILIQNVGLLVASFIIAFILNWRITLVVLATYPLIISGHISEKLFMQGYGGNLSKAYLKANMLAAEAVSNIRTVAAFCAEEKILDLYARELVEPSKRSFNRGQIAGIFYGISQFFIFSSYGLALWYGSVLMGKELASFKSVMKSFMVLIVTALAMGETLALVPDLLKGNQMVASVFEIMDRKTQVAGDVGEELTNVEGTIELRGVHFSYPSRPDVVIFKDFDLKVRSGKSMALVGQSGSGKSSVLALILRFYDPTVGRVMIDGRDIRKLQLKSLRKHIGLVQQEPALFATSIYENILYGREGASESEVIEAAKLANAHGFISSLPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMRNRTTVMVAHRLSTIKNADQISVIQEGKIIEQGTHSTLIENKDGPYFKLINLQQQQQQLEQ >EOY22864 pep chromosome:Theobroma_cacao_20110822:3:25076097:25080349:-1 gene:TCM_014909 transcript:EOY22864 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein MRSNEVRLNCGITVPLIGLGTYSFNNDRETTEQAIHVALKMGYRHLDTAKIYGSEPAVGNALRLAIEDESIRREDVFVTSKLWSADHHDPVSALKQTLRNLGMEYVDMYLVHWPVKLKPWACYAVPKEEDFEQLDLESTWAGMEKCLDMGLCRCIGVSNFSSKKVERLLEFASVPPAVNQVEMHPMWRQRKLREICADHKIHVSAYSPLGGPGNAWGSTAVVENPLIKSIALKHQATPAQVALNWGLSKGASVIVKSFNPDRLKENVASFDLKLDDEDLLEIDKLEERKMMRGEFLVNDTTSPYKTLEDLWDYEI >EOY25305 pep chromosome:Theobroma_cacao_20110822:3:33893465:33895114:1 gene:TCM_016666 transcript:EOY25305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQKRRNQGSPLPLASPAPEENDSPQELTIFKNRKRNIANASYSNSASTALFNLQSTPPDRKTLTTISDLKQLASSRLEDIKRSLIDRSHSEILKDLEASHSRLQKRFKIQTQACQQAMDEAEGECKKMSEKINGSREAMKATYAEFMADAQATASRVCKTSISELSKSFEKGIDNLRAQFGIPS >EOY24173 pep chromosome:Theobroma_cacao_20110822:3:29950909:29960074:-1 gene:TCM_015846 transcript:EOY24173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGFDLESTLHRMNMFRQEQRKSMANTLAAQQFHGEYRRSGSRTSTWLLTDNHGSESIVAPHIKSTITSTTSTTGPFPSPLLSPISRNSLVGCFKSGFTK >EOY21013 pep chromosome:Theobroma_cacao_20110822:3:2276441:2280245:-1 gene:TCM_012329 transcript:EOY21013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQLKERRFKETGWLLGSIVGVQISNGLKTISNVFYALEVSQNPLNVGQLVDDGYKLTFRDRACTMKDSVGIELLTVGMRNKCFPLDWMKVNHATYKCTLTNAALWHNRFGYVSYGSLMQMASNDMVVSLPRITKSNKVYDICQFGRQKREPFPKTGS >EOY25089 pep chromosome:Theobroma_cacao_20110822:3:33195515:33199459:1 gene:TCM_016509 transcript:EOY25089 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABL interactor-like protein 2, putative MRRFHGRSRLCLPSTTSKICINELHRKRGDLEREDLEFAVPFFLRTRENQVSTLEENMTSTTSVSVPQESSHRDELLMQQSLLFSDTLKDLKSLRKQLYSAAEHFELAYSKEEQKQIVEETLKDYAIKALVNTVDHLGSVAYKVNNFWDEKMGGICGMQLRLSCLEQRLRTCQEFVSLGGISQQSLVLEASEHHKRYIFPVEDTLNDFAQTSLKSHPTSMCARLDLHQFKKMGIQAIAAETPSECIGDEFFVLRSPQPLSRQRPLLFTSKSMNQRAENPSTSPRYFPLPRSGSLMQRSTSPNHRNDKRRYPSEPRRTISSSTLGERERAKDMEQYSSKSKRLFKAMLSLRKPKKDATLYKFLDEN >EOY23168 pep chromosome:Theobroma_cacao_20110822:3:26473014:26480836:1 gene:TCM_015153 transcript:EOY23168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA endonuclease family protein MGVKNLWDILESCKKTLPLHYLQNKRVCVDLSCWMVQLQNVNKSHCPMNDKLYLKGLFHRLRALIALNCSLIFVTDGSIPAIKLATYRRRLNSGSEVENLATQEGKNSQKLSSLRRNMGSEFSCMIKEAKVLGLALGITCLDGIEEAEAQCALLNIESLCDGCFSSDSDIFLFGARTVYRDICLGEGGHVVCYEMADIEQKLGFGRNSLISLALLLGSDYSQGVHGLGPGSACQLVKSVGDHDILQKVASEGLSFARNTKSSRKQGQDKCNDKTTTLHHEVSMNGSNHNLQRDNQYLQVVEAYMKPKCHSADSDVVNRVLVQHPFQRELLQQLCAQYFEWPPEKTDEYILPKIAERDLRRFAKLRSASSQLGVNIPLKEIPVKCPVSVIIKHRKVHGEECFEVSWEELDGIKTSVISADLIKSACPEKITEFVDRRALEKKHHRKSRPKKSEQKCSVAEIDLKLQDLLLDIELGSKSIPIASREVISGKMTMATEGNFVNLDPEVILESEGNADCKAVTLCPQTGMTAPKHEVIDLSSPSPQVQSQNVPRCTDVSVIDLSDSESERSPEHVKKARELRLFLASIRDDIH >EOY21510 pep chromosome:Theobroma_cacao_20110822:3:10246254:10251302:-1 gene:TCM_013197 transcript:EOY21510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter1, putative MASEGERDVTANDKNENLWTVKWAAKRMIMIMIPVVLNALMEIPAVFVGCVLLNFITNGQSKGDGSDGCWPQLTIKAIGFMVASVVFDVLYIYCVKLFPTNAFSILNGILSLWLPETRNSPLYETLEQQEKE >EOY22868 pep chromosome:Theobroma_cacao_20110822:3:25123571:25126987:-1 gene:TCM_014914 transcript:EOY22868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSIIWCKHSLSESRKMASKTCLSQWEVLFIVMLLLLSSAMASRDIVTRSSNLLSSYAKDGRLMSESDTAGGPWGHNYCTPPKIRPIADAIVDVTLTWHCLLTWNVR >EOY25302 pep chromosome:Theobroma_cacao_20110822:3:33877416:33880906:1 gene:TCM_016663 transcript:EOY25302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVDPIPSKVKSTWEKWNIRGTILFSLSMQTYLILFAPYRKCTRNKLLIIVIWSAYLLADAAANFTVGLISNRQGGDTKSGSKENNALVAFWAPFLLLHLGGPDTITAFALEDNELWLRHLLGLGFQAVATLYVFVQSIPNKLWISTTLMFVAGTIKYVERTRALYLASLDRFRDSMLKEADPGPNYAKLMEEYAFKRENKLPAQIIMVSEPDKEARATDVPVKKGWLNDLEVVHYAYKYFLIFKGLVVDLIFSFRERNESREFFKEREADDALRVIEVELNFIYEVLYTKVEVVHSIWGYIFRGIAFGSVLAALGLFHFKTDRHEFNEFDVGITYTLLLGAIALDVIALLMLIFSDWTSATIKDPDSNSGIIAMIFKGFLWLKRPRWRRHPCKTANPKLETTHYVLATPIVVRRWSGSLSSYNLVSYCLKSHSSRIHKFTRWPLVIAEKITRFLHIDGCYRFVVDLIKAVIKKVIEFLSCVICILGFIKDKVGKLFTFVVGKFKQIPSLLRIISKISVFLSYAHKIIMGSMGLNDLIDQILYVSREPFTKELWRFIFNELKTKADYADDPEAAKRISAARGEWVLTDSDTKVDRSTLMQYVTDVQYDESLLLWHIATDICYNTPDEKLVDKESYDYREFKAERFFERRGLLSKRKGNKKKENRVAEACEAIISVNTDVKPVTVKGDRSKSVLFDASMLAKELNVLEKKGLQKWKLLSRVWVELISYAASHCRANTHAQQLSKGGELLTFIWLLMAHFGLGEQFQINEGHARAKLIVGK >EOY24512 pep chromosome:Theobroma_cacao_20110822:3:31260037:31261011:-1 gene:TCM_016096 transcript:EOY24512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLVHSPIPARIILCVVALLVLKSLSTSISERCNPEFSYNPLSLFILFNAITVAVIVGSHKPSIDEIDGFFPYSCSLYGGPFINSTEKYSDVDDFEDDTASSDGYHADEDDDDGYHEDEAEDDSCNDEGEYDDLQKRIEAFIAKVNNGWREERLRESL >EOY22560 pep chromosome:Theobroma_cacao_20110822:3:23906095:23911485:1 gene:TCM_014695 transcript:EOY22560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRLQGPNALKRKRPGAASDSLTEHERIVHDVATLEGVTDAIERSTLSQIELSKQQIEEIVKALVSDYEVMETEKSWNSLLRFRLGKFVINALVKEVVEGNKRQELLLPFLVEFVHR >EOY20737 pep chromosome:Theobroma_cacao_20110822:3:849707:851804:-1 gene:TCM_012081 transcript:EOY20737 gene_biotype:protein_coding transcript_biotype:protein_coding description:SufE/NifU family protein MLRLASKRLLELASRDAAPSRTVQILPRLYHENVIDHYNNPRNVGSFDKNDPNVGTGLVGAPACGDVMKLQIKIDENTGKITDACFKTFGCGSAIASSSVATEWVKGKSMEEVLTIKNTAIAKHLALPPVKLHCSMLAEDAIKAAVKDVEAKRAKLNGSSDAAPVEKAADA >EOY21023 pep chromosome:Theobroma_cacao_20110822:3:2327093:2339750:-1 gene:TCM_012335 transcript:EOY21023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRRLEFFLLLHMERKDETVDSDLILDLNLLVTTRFEKSLKDDIAPNGIIKSIKPRTSSNRNFKVKLRVSQFKNDSKRSGGILNGHCSGGGFRGLPMKGAQ >EOY21818 pep chromosome:Theobroma_cacao_20110822:3:18773626:18775865:-1 gene:TCM_013951 transcript:EOY21818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome kinase substrate 1, putative MSLTMDGEKRNTSLGEVSFSSYLSTAEEIFVHKLAEPAIQQPHPAIAPNIGIHAPARLERNKTEEGEISVFRAEKYFNMKLDDYSPKLDDKYANKRCLQMENQVDLHQMTPRRWPGTPSVSSDASWNSQTALLRSFMRNRSENKQKKVDGRSFFSNLSCTGSCSDGKSVYVNQNLDHGRVHGKEKRKEPIQINHRYTNMARRKLPQAKFQVKDEFDSQSFDKMSVGSNREVYFGPPVLKPGLHNVTVKTQFDDPRKSLEVFGSSAVKKGDIAKNLERKLSMLTWDAIPNAPTISSISRSSQACDDIESDASSDLFEIDNISGSGQALFTRQESDGMSSCMTPYAPSETSIEWSVVTASAADSSFVSDYHEKKPAENSRAPGSKTASRVANAKVLPDKEAQKSRTGGMLGCKSHKAVMVAETAYRSDEKSKPSHLHHLPNSATPMSARLLQADIKVKDLDFP >EOY23120 pep chromosome:Theobroma_cacao_20110822:3:26270343:26271638:-1 gene:TCM_015112 transcript:EOY23120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNYDCHRSRAESGLQVTRSTTVSTLSSPSQQNLPTTGKKKLQLVLDKNHESTGVHVHQQHTYKLHHAVAINLVSSTSFDVVVVDCHFIPDSLHESFDTANPTS >EOY23876 pep chromosome:Theobroma_cacao_20110822:3:28837173:28839168:-1 gene:TCM_015628 transcript:EOY23876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor family protein MAISRCLLSFLLISLFASFGFAEIRVTEIRSDGRPIIPFDEFGFTHTGRLELNVSQIALSNPNPDLDLSKVGFFLCTRDAWMHVLQQLEDGEVACALNSDLVKVVHDFKSLNRISSFDTVYQENDADQYTLVFANCLSQIKVSMNVRSAMYNLDGKKNRRDYLSAGKTILPRVYFLLSLVYFTLAGIWIYVLYKKRLTVFRIHFFMLAVVILKAFNLVCEAEDKSYIKRTGSAHGWDVLFYIFSFLKGITLFTLIVLIGTGWSFLKPYLQDKEKKVLMIVIPLQVVANIAQVVIDETGPFGQDWITWKQVFLLVDVVCCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYIVVICYIYFTRVVVYALETITSYKYLWTSVVAGELATLAFYVFTGYKFKPEAHNPYFVIDDEEEEAAAEQLKLEDEFEL >EOY21578 pep chromosome:Theobroma_cacao_20110822:3:12995030:13000076:-1 gene:TCM_013430 transcript:EOY21578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKSVLEENSVVVADVIPVMTKVTKHKLNGSNYLDWSKIVWIYLRSIDKDDHITNDPPTDNKRQTWMREDEGLFLQIRNSINSGIISLINHCHSFVSSVDFISIPKTIDEALSHLGWRAAMVKEMVALDGNGKLGAKSCNAPMTPNLQLTKEYGNLFEDPEKYRRLVGKLNYLTVTHLDIAYFVSIMQIGQVPRLVGDPLQDSVFIEENLVSWKSKKRIVVSRSSAKSEYRAMAQTKFLVKVNVRGLGKEAHLTESYPDKEHATKLLANKDVSSLFDVVTTVVSATKESVLTSSDVADQSTIVSQGTSGFISEYRGGSCGEEAILEVMVEKD >EOY20851 pep chromosome:Theobroma_cacao_20110822:3:1498789:1502069:1 gene:TCM_012190 transcript:EOY20851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral organ boundaries domain family protein MASSSSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVQRLQKELDAANADLIRYACNDIPTALPAPPGASSVQPLTPRHRPADFNRRIGNEGAGGFYHPTGAFPLPYSFPWNDNSSGDINDGGGEGGM >EOY24249 pep chromosome:Theobroma_cacao_20110822:3:30262998:30268316:1 gene:TCM_015910 transcript:EOY24249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein MFLYTFYQVMRSIQGLEKKKTKPNNSNLISYCKFIMNLRCRFAPYPIGDELWRLEFILCLLLCLVLLLLCLGICGQKDSAWSHPVRERKDLDSLLLQKRSKREMDIFKKHLHKHPLWFIEKGNQKLFCTLCGERLSGSAYGCSMCKIFIHDHCSELPPSIENFLHPCPLVLNILSHEYLPCDCTFCMQPIGASRYHCEECYFDMHVQCALKPTMKSEGEELIQHFTHWHPLKLIQNKEEDQVCCFICQKLCSGSATAYGCEKCKFFLHDSCMTSIPRKINHFFHPCPLILCMSGIFYTCGGCEDRGSFLTFSCRRCRFELDVKCALLPTVKSGGATQIQHPSHQHPLALSGNIKGRGVRCKECEKICSGGRNTFGCRRCKVFFHKSCAVELSKELDHPFHPHPLTPSSYPHNDSCAACGLIVSSLTFYRCNECKFSLHKDCAKLKPSYNYGPHPHLLTLIDKTDGIYCDICYEKANNFCLRCVVCRFSIHLYCHPSVPKTIEHKCHMHPLTLTESPFEFELNPLEDEDDEFYCDACEKKRDKENPVYYCVSCKFIVETGCVTSALLPFLTNGSIDKDTSRGEAGLAELDKKIAELKEKAEPLKLELEKLRSSLQEVEEQLEPITWQLNKLENDRFLYSYELGRNKKRAPETPRVVVLRDKWPPETPRIPSEAALRGVPPEEWPPETLHVALLGEWPPKTPRVAAPHKVRHGGRPSETPFVRRLGVERVLYPSPDPHLDPDYYIRKKSNMLSFDS >EOY24347 pep chromosome:Theobroma_cacao_20110822:3:30625114:30632821:1 gene:TCM_015974 transcript:EOY24347 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein MKEDGASGPPSKELYALLHLSPDASDEEIRRAYRQWAQVYHPDKYQAPHMKEIATENFQRICEAYEILTDESKRQIYDIYGMEGLTSGLELGPKLNKVEEIKEELEKLKRRKEQEKISTHFRPSGTILANLSLPQFLDGDGIIGGMAMASEVQSQLSKRNALAIGGNLEVKQNSGGGVASAVFRHQISSVSSIEFMGSAGLRGLVGVQMTRHTSLHSTATISIAKSLQDGSINLSNTWTRQLSDTASGNIELLLGPEPSIGVGWVKKDQNMSASGEVKFGTNSFGASAHYTRRFSSKSHGRIAGRIGSTAFEVEVGGGRKVSDFSTVRMLYTIGIRGIFWKFELHRGGQKLIIPILLSRHLNPVLATGAFVVPTSIYFILKKFVFKPYYLKREKQKALENMEKTATQVREAREAAAKAQQLLENVARRKRNKQQETDGLVITKAVYGNRKALKKGDELRETNDELASQVLDVTLPLNFLVTDPGQLKLHDGVKKSGIMGFCDPCPGEPKQLHVEYTYHGQRYEVVVDDYEELQIPQVAHRI >EOY20710 pep chromosome:Theobroma_cacao_20110822:3:673651:676506:-1 gene:TCM_012058 transcript:EOY20710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase 2, CA2 MAKQSAEVVVEGLKRILISCREKEGLDREVHGKVERLIAELQGKRPSFDPDAQRIEDGFAFFKENIYDKYPECFSELAEGQHPRFLVFACSDSRVSPSVVLNFKPGEAFSGRNIANMVPPFDQLRHTEIGSVIEYAVKALEVQNILVVGHSRCGGIERLMKLPDESHTYDFIDQWVQIGLPAKIKVLEEANDLPFEEQCRLCEKESVKNSMANLLTYPFVRNAVVSGTLTLRGGYYDFVNGTFEQWKMCTEPMPPKPMPF >EOY24937 pep chromosome:Theobroma_cacao_20110822:3:32738251:32741681:-1 gene:TCM_016401 transcript:EOY24937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILKILSDSWAAAIELDESQYLERWVENILSICGKCPLLEPEPLYNILILLQHQQLTSESTLTIELSSQSFSKGKEHLIDNIHEQKLICRLAMLLLYLLNVKFIYDFSSE >EOY23435 pep chromosome:Theobroma_cacao_20110822:3:27395756:27399685:1 gene:TCM_015329 transcript:EOY23435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MGKKMDLLFIFLLGAIFSSILADPVEDKQALLDFLEHVHHSRSFNWSKETSVCNSWTGVTCDNDHSRVIALRLPGMGLRGPIPPKTLSRLSAIQILCLRSNGISGSFPSDFSELKNLTMLYLQFNKFSGPLPDFSVWNNLTIVNLSNNGFNGSVPPSASKLTHLTAFNLSNNSLSGDIPDLNIPSLQQLDLANNNLTGIVPKSLERFPSWAFFGNNLSSENALPPALPGQPANAQPSKKAKKLSEPALLAIVIGGCVMLFVLIALLMICCYSKRQKEQEFPAKSQIKEVSLKKKASENHDKNNRLVFFEGCNLAFDLEDLLRASAEVLGKGTFGVTYKAALEDATTVAVKRLKEVTSAKREFEQQMEVIGRISHENVSALRAYYYSKDEKLVVHDYYDQGSVSALLHGKRGEGRTSLDWETRLKIAVGAARGIAHIHSQNNGKLVHGNIKASNIFLNSEGYGCVSDIGLAAVMSPMPPPVMRAAGYRAPEVADTRKATQASDVYSFGVLLLEILTGKSPIHATGGEEIVHLVRWVHSVVREEWTAEVFDVELLRYPNIEEEMVEMLQIGMSCVVRMPEQRPKMSDLVRMVEEIRRANAGSQPSSETKADTTASTPIPQAAEIGPSSSVPQ >EOY21226 pep chromosome:Theobroma_cacao_20110822:3:4314767:4316111:-1 gene:TCM_012613 transcript:EOY21226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MINAFKLKWARPKQNKTKRVIPCWAAIGPTRRPVGAQIQHPGLFLEARKVKSKTHRFDACEAAQNDAVLPGPSSFCLPL >EOY22078 pep chromosome:Theobroma_cacao_20110822:3:21236318:21245241:1 gene:TCM_014268 transcript:EOY22078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESGHDTGSDLKTHQVASPSENSHVTRAKKSRRPSHSSSSSSSGEAIRLGSTGTSTSNAGVHTPLGDEQAEEDIPVSSMPDSGQSSDGSAALIPPPLPTERPAGGSPSEASKRIPSYVFARTKSSGPMEWSVASNESLFSIHMGNMSFTRDQLSWMSKSGELGCINDPTISGPLLDVPSNQTPPRKSTEIAKKSGTLDDGYGVTEAAAAETMREVLREKESQPDENNAKESPRSRSMSQHSDTSVKSFAFPILTGDGGKSGSFRPNTKNKKQLSRPSTPKTTPQTPPETPKPQTPPETPKPQTPKPETPKETRSAGPRRWFSCFSCCPSCS >EOY21616 pep chromosome:Theobroma_cacao_20110822:3:14395268:14398031:1 gene:TCM_013563 transcript:EOY21616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFVLGLPRTQSGNDAIWVIVDRLTKSTHFLAIHSTNSIEKLAKLYIDEEALGTNLRFSNAFHPQTDGQSERTIQTLEDMLRACVIDFIGSWDRHLPLVEFACNNSFQSSIGMAPYEALYGRKCRTPLYWDKVDQDKQESYSDRRRKDLEFEVDDRVFLKVSPWKGNDLNTLRRGKLNPRYIGTFRITERIGLVEYRLKLPPELDWIHNVFHVSMLKKYVLDPSHILETPPIELQEDLKFEVQPVHILDRKDRVLRNKNISMVKVLWKNARMEEMTWEVEHQMRNQYSHLFSESGK >EOY22571 pep chromosome:Theobroma_cacao_20110822:3:23951092:23953808:1 gene:TCM_014703 transcript:EOY22571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein MKRTRGKEGDEEEKEEEKTKKKMGIVWQTTAHPAHKDDYIFHNGCATYDRATSSSYLMLINDGREKQSWICSLKNLEADQMITLLVLSNVDVYKLMERIYLFHTKLNDECPVMAWDVPILQNEPDVLTVCKPASVPVLLGILQAEHGLAPLYPIHRLDRLVSVLLIVAKNPAKADIFRQHIEAGLVQKQYVAKVIGVFPECECIDDQMQVHS >EOY22408 pep chromosome:Theobroma_cacao_20110822:3:23332671:23342317:1 gene:TCM_014585 transcript:EOY22408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine histidine transporter 1 MENGLEATQGFKQVYSSPHQIPIIEGVVGEQGGMETQPETQDSSSKAETLNDWLPITKSRNAKWWYSAFHNVTAMVGAGVLGLPYAMSELGWGAGTVIMVLSWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWVVVPQQLMVEVGVNIVYMVTGGKSLKKFHDTVCPNCTDIRTTYFIMIFASVHFVLSHLPSFNSITAVSFAAAIMSFSYSTIAWVASIHKGVQPSVEYIERASTSAGQVFGFFSALGDVAFAFAGHNVVLEIQATIPSTPEKPSKKPMWKGVIVAYIVVALCYFPVSFIGYWVFGNSVEDNILISLEKPAWLVATANLFVVIHVIGSYQVFAMPVFDMAESYLVKRMHFTPSLMLRIITRTSYVALTMFLGMTFPFFGGLLSFFGGFAFAPTSYYLPCIIWLAIYKPKRFSLSWITNWTCIIVGVLLMILAPIGALRQLILQSKNFKFYS >EOY23244 pep chromosome:Theobroma_cacao_20110822:3:26754248:26759781:1 gene:TCM_015204 transcript:EOY23244 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MWLFSRKGPSGLSSSSTAEEVTQGIDGTGLTAIVTGASSGIGTETTRVLALRGVHVIMGVRNMAAGKDVKEAIVKEIPTAKVDAMELDLSSMASVKKFASDFSSSGRPLNLLINNAGIMATPFMLSRDNIELQFATNHIAHLHSDCEIRTSGDQDGFCHLNNAVKTLNLHSLSATTPIAICFSCCVEFGYGKRGHFLLTNLLLDTMKKTARASKREGRIINVSSVAHHYTYKEGIRFDKINDKSGYSSFAAYGQSKLANVLHANELARRLKVCDLLFHGIGWEVLSLVKLLVLLEDGLDITANSLHPGAIVTNLFRHKGIVDVCSMHLTIFLGLDAGIVNIFGRVALKKVQQGAATTCYVALHPQVKGKTGEYFEDSNIGQASAHGRNVELAKKLWDFSMKMVK >EOY23285 pep chromosome:Theobroma_cacao_20110822:3:26913597:26920091:1 gene:TCM_015230 transcript:EOY23285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aaRS and biotin synthetases superfamily protein MRVSTLGTFPLQKPHLPEESSKTVTKQPLPEMVSSLRLLTLTSLFSPSFTRRTTVSLRRRLHLRPPLAAGFSVQSAASSPPETEDRLDHKKSSRAQDPANTDRVITPRSQDFNAWYLDVIANAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTQWIHSYRDLPLLINQWANVTRWEMRTKPFVRTLEFLWQEGHTAHATPEEAEKEALQMIDVYTEFAYEQAAIPVIAGRKSKVETFAGADKTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQVMDTLALSESKSKIRKFTDENGQRQHVWQTSWAISTRFVGGIIMTHGDDSGLMLPPRIAPIQVVIVPIWKKADEKTGVLNAASSVAGTLKTAGLKVKLDDADQRTPGWKFNFWEMKGVPLRIEIGPRDVSSGSVVISRRDVPGKQGKVFGISMEPSILEAYVKDRLDEIQSSLLQRAISFRDSNIVDVSSYEELKEVISLGKWARGPWSASDADELRVKEETGATIRCFPFEQPQGTKTCLMTGNSAKEVAIFAKSY >EOY22240 pep chromosome:Theobroma_cacao_20110822:3:22562908:22565594:1 gene:TCM_014462 transcript:EOY22240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin11, putative MVRVSNFIVGFVNVLLLFLGLVSLSFGVYFVVHGSSHCEKVLTDPLLILGGFLVVVSLLGLIGSLCKNNFCMFIYLAVMFLLILGLIAFTVFVFLVTNPGAGKAFSDRGYKEYKTGDFSNWLQNHFVNDKNWNQIRSCLIEAKVCNSAGNDFNYNALLFFKNTLPRIQAGCCKPPTPCAFSLKNATFWDVPKSGPATKDPDCLTWSNDQQKLCFDCNSCKGGVLANLRKEWRSLAIINVILLVVLILVYSIGCCARRSNQRSNKKYMRGFA >EOY20790 pep chromosome:Theobroma_cacao_20110822:3:1204062:1253782:-1 gene:TCM_012130 transcript:EOY20790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MLKLHTNGKEETPYETFDRSHANLVKEGEKWMKDIAQTSTVVGTLIITIMFAALFTVPGGPDQDTGVPLLLRKKLFKIFIISDSISLFASTTSVLMFVGILTSRYTADDFLKSLPNKLIIGLSSLFISIAAMMVAFSSTVIIMVKGQLEIVIPIVLLAGIPIGLFVWLQFPLLVKIFISTYGPGIFDRKMKWL >EOY24869 pep chromosome:Theobroma_cacao_20110822:3:32523680:32526592:1 gene:TCM_016352 transcript:EOY24869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycofamily protein MKVRREGSNAKCLAYVAAFVVFQTAIILLFALTVMRIRSPKVRFGAVTVESFSTVNSSSPSFDMKLMAQVAVKNTNFGHFKYENSTVTILYGGMPVGEAAIFKGRARARQTKKFNINVDISSSRLSSNSNLGNDINAGVLPLSSQAKLKGKVHLMKVIKKKKSGEMSCTMGINLATRANKSHKFRSSTSSLYRFDGTADNCLAFSDNEAPWKELNSVQVSVNLSGK >EOY23534 pep chromosome:Theobroma_cacao_20110822:3:27766836:27774183:-1 gene:TCM_015402 transcript:EOY23534 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase (WSD1-like) family protein, putative MNRKSEAKWVPKLFHQSLISMNSTEIQEIDQVEAKIGTEEASPVSKDEVPLSTGSLIFHEPGINCCIIAIMGYKSKLDPAVIKEGLKQTLIKHPCFSSKLLSAIDQMMNSGKKKWIRTQVNVDNHVILPEVDTVTESPSQFIEDYVTYLTGIPIDLSKPLWELHLVNLKTPEAEAVGIFRIHHSIGDGMSLISLLLACCRKSSDPKALPTLPKQKQADSRYPHPHGFFRLFLAIWSVLRLILNTLVDLLLFVATVVFLKDTKTPLKGSSGVEQNAKKIVHRTVSLDDIKLVKDAMGMTVNDVILGVTEAGLSRYLNRKYGEVDRGKEAEQKSNHLPRNIRLRATALVNIRQTAGIQVSFLSPFSRASEKVEYASQSFLVFKSKVKWGNQIGYICIPFTIALRNDPLDYLRGAKAAGDRKKLSLEAICTHLTNKCVVKLFGSKLSAALVYRVIFNTTMTLSNVVGPVEEISLYGHPIAFIAPSVYGHPQALTCHFQSYMNKMSIVLAVDPNVIPDPRLLCDDLEESLKIFKDAVVLAKDAD >EOY25065 pep chromosome:Theobroma_cacao_20110822:3:33103237:33107553:1 gene:TCM_047027 transcript:EOY25065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding protein isoform 1 MKKPRNGPSIVYVTCRSNCNSSRSEETKTNGDNKNSSNISSNNGKSFYEVSLSVIISLWCLVFLFYSRLGLSHENGGVANRGDNCSDGVLLELNKSVHYNSSAVYEEFVKYEYSLRKTNRLEEVILRVLGYMPFLCDVHEQEGQNRSKKMEQPNAKSPSAYLNLDEFRNITRQARSEDPPDKLINITHRLETDGSEYNYASAMKGAKVVAHNKEAKGANNILGKDHDKYLRNPCSAEGKFVVIELAEETLVDAVKIANFEHYSSNFKEFELSGSLNYPTEIWSPLGKFVAANVKQIQTFKLSEPKWLRYLKLNLLSHYGSEFYCTLSAVEVYGVDAIERMLEDLFEPSEQHVLTKLGDSNSTGPSPKPDVCSFDGKKNDEAQNGAQTAVLGIENAEDVQKLNETVTKNPVTTSRVPDPLTDIKQLPVGRIPGDTVLKILMQKINKELSRFSLLLEKSRTEIKEIMQWKEMTERGFAELELWKAAVSSSVDALFRENSMLRLDVEKIVRDQASLESKELAVLAVSLFFACIAILKLVSTRVATLLGANQPDKVCRTSRGWVLILVSSSMTIFINLLSS >EOY25064 pep chromosome:Theobroma_cacao_20110822:3:33103404:33107275:1 gene:TCM_047027 transcript:EOY25064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding protein isoform 1 MKKPRNGPSIVYVTCRSNCNSSRSEETKTNGDNKNSSNISSNNGKSFYEVSLSVIISLWCLVFLFYSRLGLSHENGGVANRGDNCSDGVLLELNKSVHYNSSAVYEEFVKYEYSLRKTNRLEEVILRVLGYMPFLCDVHEQEGQNRSKKMEQPNAKSPSAYLNLDEFRNITRQARSEDPPDKLINITHRLETDGSEYNYASAMKGAKVVAHNKEAKGANNILGKDHDKYLRNPCSAEGKFVVIELAEETLVDAVKIANFEHYSSNFKEFELSGSLNYPTEIWSPLGKFVAANVKQIQTFKLSEPKWLRYLKLNLLSHYGSEFYCTLSAVEVYGVDAIERMLEDLFEPSEQHVLTKLGDSNSTGPSPKPDVCSFDGKKNDEAQNGAQTAVLGIENAEDVQKLNETVTKNPVTTSRVPDPLTDIKQLPVGRIPGDTVLKILMQKRSIRSYQDFLCFWRKAEQK >EOY24931 pep chromosome:Theobroma_cacao_20110822:3:32722218:32722836:-1 gene:TCM_016395 transcript:EOY24931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTYNVDTWQLVPTKQEDPMGRANRDADSAKRFLLEIRDSGHVTPETRGISVTFLKPEIELQEAVIQVGKFTILPYPFVILYLGLTWQATLILAQFFFLLFFFFICYCFNDPAGCRL >EOY22995 pep chromosome:Theobroma_cacao_20110822:3:25697798:25700399:1 gene:TCM_015014 transcript:EOY22995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase 18 MLPTSTPKSPCFPNSSTPIPSPKKPQTPELSSIERKNSSNSLHLQPYIFLPSHHRLWLLFFIISLQIILLLMARALPFSHRRTHFPSPLSADHHLLQNSANISSTLSSSSHNLQAADISISSTVSQPDQCSSGRIFVYNLPAVFNKELLDNCAKLDPWHSRCEALSNDGFGRKATGLSGIIPKDLLPAWYWTDQFAMEIIYHNRVLNHKCRTMEPDSATAFYIPFYAGLAVGKHLWFNYSSKDRDRYCEMILSWVQDQPYWNSSNGWDHFMTMGRITWDFRRSKNEEWGSRCIYMPGMRNITRLLIERNPWDYFDVGVPYPTGFHPRTDSDVLQWQDFVRNRDRKTLFSFAGAPRGAVKNDFRGLLLSHCKNASGLCQAINCASTRCSNGSSAILETFLDSDFCLQPRGDSFTRRSIFDCMVAGSIPVFFWHRTAYLQYQWFLPSDPKSYSVFIHRNEVKNGTSIKSVLESYSREEVKIMREKVIEYIPKLVYAEPRKGLESIKDAFDVAIDGVLNRIEEQEQPGYKWK >EOY20727 pep chromosome:Theobroma_cacao_20110822:3:751453:753407:-1 gene:TCM_012072 transcript:EOY20727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1645) [Source:Projected from Arabidopsis thaliana (AT5G62770) TAIR;Acc:AT5G62770] MQMQASPLLSLSPSSPSFNTYSSGRLAEIAARVVEEFRQESGDSCQDDIYETWPPQQKQNPQLQQQVIEEEDNEEEEEDDDFEFAFVCREPETSPISADEIFHNGQIRPTYPLFNTNLLLSDDQTPDGKTVDSTHPFVSKPGPRRLPLRKLMSEERETTSCSSSEADELEGVTPGSYCVWKPKGGSSGNDQESPGRCKKSNSTGSSKRWKLRDLLYRSNSDGKDTFVFLAPSKREKTSNTNGNKAMEIPGKFQAAEEHCGGTRNLKPGDKRRSFLPYRQDLVGLFSNVHGLSKNLHPF >EOY24432 pep chromosome:Theobroma_cacao_20110822:3:30970834:30976823:-1 gene:TCM_016037 transcript:EOY24432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta vacuolar processing enzyme METATLSLTRNSLPDSILHPCFPFKHQFPLPFVSFSSYLFTAFLNWIHYLLVISMAKQDSVFIKYLSLLLVLLLLFEAGRAARLNQWESGIRLSTDIDEPQDVDDQQLGTRWAVLVAGSSGYANYRHQADVCHAYQLLRKGGLKEENIVVFMYDDIAMHKLNPRPEVIINHPKGDDVYAGVPKDYTGVHVTAANLYAVLLGNNSALSGGSGKVVDSKPNDRIFLFYSDHGGPGVLGMPNLPFLYAMDFLDVLKKKHAAGSYKEMVIYVEACESGSVFEGIMPKDLNIYVTTASNAQESSWGTYCPGMEPSPPPEYTTCLGDLYSVAWMEDSETHNLKRETVKQQYETVRERTSNFNSYTLGGSHVMEYGNASIKAEKLCSYQGFDPSSENFPPNELTHMEAVNQRDADILFLWHMYKNSEDGSKKTEILRQITETIRHRIHLDGSIDLIGTLLYGPAKGSGILNSVREPGLPLVDDWQCLKSMVRLFETHCGLLTQYGMKHMRAFANLCNSGVSQSLMEQACVAACSGHDTRQWHPSNQGYSA >EOY21433 pep chromosome:Theobroma_cacao_20110822:3:7972258:7973381:-1 gene:TCM_012972 transcript:EOY21433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEEPQIKKIYQQQATLATIEKDPSTKKNKNTQTTKTQRLTQKKPQSNIKKNRAKREKRRRGREKRRRVRRR >EOY21719 pep chromosome:Theobroma_cacao_20110822:3:17612802:17616163:-1 gene:TCM_013824 transcript:EOY21719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLHGWLNENCLIRLNMPLSAIFNSVQPGGYFACEFFTSTALREIISLAL >EOY21843 pep chromosome:Theobroma_cacao_20110822:3:19074956:19077793:1 gene:TCM_013988 transcript:EOY21843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVHHGLFPFDIPRILGNHYLNEILSFLALVIISVCKRDRLIWTYDDKGNFFIKTSTSIIDKGPIGQKVWCHSLWKLPIPPKVQGFIWLAILDSILSKTFLASQVSSNHGLMLHFLVLSTIVGSLFA >EOY20891 pep chromosome:Theobroma_cacao_20110822:3:1676855:1677791:-1 gene:TCM_012230 transcript:EOY20891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 13, putative MKKKLEVEDDGVKDLEIIKAVAQAWHSQSGCTRPTNEFDAYRRNFRGQPSRFKLEAMSRPSSVKDNVATPCWDFGQSLWDSYEIVTVAKKLETGLVFDDPLTGLEEDMSRAQRKHKEGKNSLRNLLKRVTSRRFNEADIPRENRNGF >EOY23994 pep chromosome:Theobroma_cacao_20110822:3:29256636:29261094:1 gene:TCM_015714 transcript:EOY23994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding family protein MTDYRLATAINLWTDDNASVMEAFMSSDLSALWPPPQSSGSTSAPAAAAGPDPSKSSLAQSQPSVSLLNQETLQQRLQALIEGARENWTYAIFWQSSYDYSGTAVLGWGDGYYKGEEDKGKGKLKASSSTAAEQEHRKKVLRELNSLISGSTSPTDDAVDEEVTDTEWFFLVSMTQSFVNGGGLPGQAFFNSSPVWVAGSDRLATSICERARQGQVFGLQTMVCIPSANGVVELGSTELITQSSDLMNKVRVLFNFNNGIEAGSWSMSNNTADQGENDPSSLWINDPNNGIELKESNNNSNNNNTSHQNQQIQKSIQFCDNPSSSSLTENPSSIHVGNHQQQQNHQQGHSFCLNFSDYGFDGSSSVRNGNSSSHLLKPESGEILNFGESKRSGNGNLFSGNSQIGVEENKKKRSPTSRGSNEEGMLSFTSGVILPSSGVVKSSGGAGDSDHSDLEASVVKEADSSRVVEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELRTKLQNADSEKEELQKELEAMKKELSSKDSRSAPPAPDQDLKMSNHLGNKLVELEIDVKIIGWDAMIRIQCNKKNHPAARLMAALKELDLDVHHASVSVVNDLMIQQATVKMGSRFYTQEQLRIALTSKFGDAR >EOY22818 pep chromosome:Theobroma_cacao_20110822:3:24885394:24888366:-1 gene:TCM_014876 transcript:EOY22818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative MAVASAKTGEGGQYNGRITLLVVLSCVMAASGGLIFGYDLGVSGGVTSMDPFLKKFFPNVYRRMKANTKISNYCKFDSQLLTLFTSSLYIAGLIASFFASPVTRAFGRKASMLVAGVAVLAGSALGGAASNVYMLVFGRVFLGIGLGFANQSIPLYISEMALPKQRGAMNIIFECGIGFGVLIANIINYGTEKIEGGWGWRISLSLAAVPASILTLGALILPDTPNSLIQTTNDHEKAKRVLQRIRGTINVQAELDDLIQASSISKTINNPFKKIIQRKYRPQLVMAAAIPFFAQMTGINVITFYAPILFRTIGLHESSSLLSAVVIRLVSTSCIFISMFAVDKHGRRVLFMIGGILMLATQITIGGLMTALLGDHGGLSKASGYLVLVLVCIYVSGFGLSWGPLGWLVPSEIYPLEIRSAGQSITVAVSFFFCFLVGQTFLAMLCHMKSGIFFFFGGWVAVMTTFVYLLLPETKNVPIEKMEKVWREHWLWKRIVGDQVDEKAFIS >EOY22726 pep chromosome:Theobroma_cacao_20110822:3:24583332:24585370:-1 gene:TCM_014810 transcript:EOY22726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNVKSQWIPVEPDLQQSHHPNPSYHFSQTFKSHVPFFQRSSLNFGTEDSVRKYCLSIHHQTSLLVQGQEATQPHPSFSLPFQPSTISINIRKCKRKVTSKWRRPPPGSFKLNIDGSALGKPGPAGIRGAIRDHEGFIKGVFSTPIGTEDSNYAEFLAIKEGLSLFFSSPWASNTLHVDCDSKNAITWASHHNSVPWRVKLLSNSIGAFKTSFKDLSFTHINREANTLADGLAKVGTIRLNRFRLCSSTLSCFGKQGC >EOY21026 pep chromosome:Theobroma_cacao_20110822:3:2374924:2376698:1 gene:TCM_012342 transcript:EOY21026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase (small chain) family protein MASSMVSTASVGSINRATQAQASMVAPFTRLRSTSTFPVTSKTNADITSLASTKGRALPPLGKKKFETLSYLPDLSREQLLKEVEYLLRSKWIPCLEFELEHGFVYRENHRSTGYYDGRYWTMWELPMFGCTDASQVLKELDEAIKAYSKAFIRIIGFDNKRQVQCISFITYKPPVF >EOY25129 pep chromosome:Theobroma_cacao_20110822:3:33356443:33359653:1 gene:TCM_016542 transcript:EOY25129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQKRHQLLFSRGKLGTDHIHIRNFAFEIRNLQLWRGEYDVIQRSPEHGARELEQVLQKAESSRLSFSLLYIN >EOY22200 pep chromosome:Theobroma_cacao_20110822:3:22285422:22297067:1 gene:TCM_014419 transcript:EOY22200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHTSTRMQQSVLNESGSGLTQLQKEEVWAGKRVHFMNDNKVSELPPSPNCPSLIELYLQWNYELTAIPPLFFQRIALLQVLDLSHTSIKCLPKSLPKLVALKKLLLRCCQLFMELSPLVGKLSNLEELDLDETQIMDMPREIGKLLKLRHLRVSFYQICGKKKSKLNIVIHPETISNLSQLTLLSIDVNPTDKRWDDSVEAVVKEVCNSKTLMTLSLYLPKFQLLDCISSLYPSLSGFRFIVGHHKRRIISRVPREVEAEFRNWDKCLKFVNGENIPIEIKGVLKYSTSFFLDQHATALNLSEFGIENMKRLKFCLLVDCNKMETIIDGERHYDGNEDDPSESDPSPVENVLESLEYLSIYYMENLGSIWRGTSHYGCMSKLKFLALHTCPRLINIFSHTLLGNFVNLEEFILEDCPLVTSLVSHASVKPMMADKFLPSLKRLLLLYLPELVSISYGLLIAPKLETIGFYNCPKLKSISKMELSSKTLKIIKGELQWWEDMKWNEAEWGNRPDYLVHIFSPIDKEKDVMTQLAEDGDLFEATMQNEGQQLGNCGSLLSDYMEETVTGTDVTESISSAPKQAWSFSSEKNKRLEDDYFDLAPETGDVDDDEDGPKDKRWNCTENENKGVIGFASKIVSGDRTEFRKKTNMEILEDGYRWRKYRTKIIKGNPHSRNYYKCLTRGCPVKKMVARDSQDTSFLVLTYEGIHNHEQPFFKVLNNVEDAAEAAKTISPTKGYRDVLEASIQDKGLHSGIPEASVRDESQQSASRNSRMVSELSRMRLQDGYVSYPWERRMRDVLSVPNSSCFLSILLLPKASDRVASQYNDLEDTFTRANAWLNASQASGVPIVFMNIQTESLLTKFSGETASSAVNAGSLSDLSNLANASLYGFEDYHGVDIGVVRAVRLWYAPLAGEIPIEIKLKEDDTKLGFAISRTEEGFIYISSVMDDDENVPSTRSGLSNLYKESVSASRLLVVSRLSNQKVLPWMVSSTGAVRCFDTVSLSQKLSLLRHVHMPILMHVFLWDQSVVSRGFGSARLRIPSPSVLPLPPKVRLAHQPNDDNQILPLPPEEPNESIVTGE >EOY22322 pep chromosome:Theobroma_cacao_20110822:3:22998039:22999608:1 gene:TCM_014532 transcript:EOY22322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDWNALSLLPSPQAAPCMPLGLLLVAVQSTKENYERRPRSFFVLNIDNMLHIDRSFLTKTRTIRCYGPDHVGDNYCVGSLSLTGRRLRRIKDDDFELWTINMLPLASGKKMVDL >EOY20883 pep chromosome:Theobroma_cacao_20110822:3:1648107:1652673:1 gene:TCM_012223 transcript:EOY20883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maintenance of killer 16 protein isoform 2 MQHDEVIWQVIRHKHCSFMSKISTGIFCRNPYNVTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHKPNDLWERVKLPRNYEKALEVIGKHLMYWPKLLVHKIKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEKKREARREEKAEKAAVLDKTIEKELLARLENGIYDGIYNLPFNKFIETLDTDELQAEDENEEESEVEYVEGNFELEEEDDIEDMGMKNYHISNDEVADDDDEEEEVNAVKVRRRSKSEKDEPGKKLKKKPRIHVEVEHENGAERQKATF >EOY20884 pep chromosome:Theobroma_cacao_20110822:3:1648117:1652071:1 gene:TCM_012223 transcript:EOY20884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maintenance of killer 16 protein isoform 2 MQHDEVIWQVIRHKHCSFMSKISTGIFCRNPYNVTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHKPNDLWERVKLPRNYEKALEVIGKHLMYWPKLLVHKIKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEKKREARREEKAEKAAVLDKTIEKELLARLENGIYDGIYNLPFNKFIETLDTDELQAEDENEEVCLMEHVVLCIIQAFCIFLFPTDNYFGNKQESEVEYVEGNFELEEEDDIEDMGMKNYHISNDEVADDDDEEEEVNAVKVRRRSKSEKDEPGKKLKKKPRIHVEVEHENGAER >EOY24669 pep chromosome:Theobroma_cacao_20110822:3:31868093:31871891:1 gene:TCM_016212 transcript:EOY24669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIE subunit beta MALQEKLDRFNKQQEKCQTMLSNIAAKSASSRAPTPKPTPVAASPFPSGRSPAPVKFSNDTERLQHINSIRKAPVGAQMKRVIDILFQTRQAFTPEQINEACYVDVNGNKDVFEGLRKNPKVNYDGKRFSYKSKHDVKNKNELLVLIRKYIEGIAVIDLKDAYPNVMEDLQALKAAGQIWLLSNFDSQEDIAFPNDPRVPIKVDDDLKELFRSIELPRDMLDIEKDLQKNGMKPATNTAKRRAAAQVQGISSKPKPRQKNKEFSKKTKLTNSHLPELFQTLKNS >EOY23174 pep chromosome:Theobroma_cacao_20110822:3:26504892:26506717:-1 gene:TCM_015158 transcript:EOY23174 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE superfamily protein MVKLTIVGRVNDGLPLAQGARYVNTENDNFSYYKQQGEFIIKEISRGALPPSKMTIRVDHHSFNYLVVNGICFITLCDSSYPRKLAFHYLQDLQKEFDKFDHSLIGKITRPYSFIRFDGIIANVRKQYIDTRTQANLSKLNANRRQELDIITENVSDIIERRRNSGIFETPATPRTSSQVWGSPRLEAITLIWTPIAIIAVVASVLLWVSLILTDDFLTSTL >EOY21249 pep chromosome:Theobroma_cacao_20110822:3:4976845:4993230:1 gene:TCM_012671 transcript:EOY21249 gene_biotype:protein_coding transcript_biotype:protein_coding description:21 kDa seed protein, putative MKTTIAAALLLSLFAIQSDFFVVANATNKPVLDTDGEELRTGVEYYVVSALWAAGGGGLALGRSRNQSCPDIVVQRRSELDYGIPVIFSPVKPNDVFIRVSTDLIIEFVPLRDSLCLTTAVWKLDDYDQSTGKWWVIAGRVAGDAGPHTFPNWFKIEKNGVFGYKFTYCPSVCDSCTTLCSDIGRYEDNGQIRLGLSDQGWPFVFTKATRAIKQVVGKQ >EOY22865 pep chromosome:Theobroma_cacao_20110822:3:25081963:25102589:-1 gene:TCM_014910 transcript:EOY22865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ccr4-not transcription complex, putative MQKKQYMLDLFSAVFSCLLDQPNFSTVFCEAFRNLIISKKFLRDFCNELQLSVFEKVALGLALADSENAEVRTTGQDFSIGLIEELCGNPASVDSVEEIQNVCLFLYQSEGLIKYVDSFMQMVALKYPKERTPLILVPWLKDDVKEDRLPRNLDMFQSCNENDFDFLLVEMESETSMADIMREVGYRCTTDSTHCKEVLSNFLPLNEVTLSRILSTVARTYTGLKDSQLSHSIFCSAIGSSAAFDKSCPGSWNVDVLVDSIKQLAPGTNWNSVMEHLDHEGFYIPNSQAFSIFMSIYACACQDPFPLHAVCGSVWKNVDGHLSFLRYAVSAPLEIFTFEHSVRKLAYVEACDHHKLQAIQGNHAWLSLDLFDVLCQLAERGCANSVQAIIEYPLKHCPEVLLLGIAQINTAYNLLQHDVSSTIFPIVVEKSMGSLILHLWHSNSKFVARGFMDMIKADESSIIGMLAICHEQKILSTFLEQIPFSFSIRLAAFASQKEYLCLEKWLNDNLNANKDIFVEECLKFWKEISLDAAEDMSPDLFHYPSTVENIYSKTSSTFLKVFQANIEQITSDHLAKELKRLNRASMHFKPQLQNVGTSDSSISCGYANDIEAEAGSYLYQMFSGQMPITVMIQMLSQFKKSSKKREQLIFEHVIQNLLDEYRFFPRYPEKQLNIVAILFGSLIKHRLVTKLRLVVALNSVLDALCKPTDSKIFLFGTKALEQFLDRLVELPQYCSHILQISHLHGTHPELVDFVERALARISSSSLGKDEENTYVPHDGFTAATSESSEPFNENMSLLTNSIGKMQQRYEEVLGDRIKTSMTSSICMKPDLPQSVHPSSGFVNDVASCQNTVSSQKAMAVTPNASDFLHSSRRVTSTRFGSALNIETLIAADEKRNTPLKTPASNVQDKIFFMINNISSSNVDAKVKKFTEILNEQYYPWFAQYMVMRRASIEPNFHDLYLEFLKKVNSKILNEEIVKAAYDNCKVILRSEHIRSSSEERSLLKNLGSWLGKFTVGRNQVLRAREIDPKALIIEAYERGLMIAVIPFTSKILEPCRSSRAYKPPNPWTMAILGLLAEIYAFPNLKMNLKFDIEVLFKNLGVDMKKANPTSLLKNRLRKVEGNPDFSKKDVGASQPPVVTGINSEIKSTLNKVYLQHEIVNTSHSDDQLELASRIADIGSQIIFNEELSTLGLHHLLRVVPIAMERAIKELLSLVVQRIVLIAIQTTKEVVLKDYAMESDETCIYEAAHSMVASLAGSLAHVTCKETLRTSISRQLRDSFQGLNAPNEHLEQAVALVINDNLDLGCAVIEHAAVQKALQTIDCEITQQLSVRRKPREGIDPSYYDANINAQGPMTVTTESPLLRPGHISHSQQQVYEEFVHVFRKNQAGQNSNVVHASSAAPGQLNRGSCLSGMDGVNYPLDLISEEIDANRPVANQSSLSSLSPTAAASEVHSEEELRATAESLPTTPIDQLGSGILEPSLSMSDVLEKYQLISQKLEILLTKESVEEEIDRVVFQIPKIILKCVSRDEAALAIAQKVFKSLYEKASSSSHVGLHISILAAIRDVCKLVVKEVTSWVIYSDEDRKFNTNIIVGLMHKGLLNLAEYNKHMAKLIDAGKNKTATEFAISLLETLLIQESSLTLSELPNLINSLAKLAARPGSPESLKKLLEIAKNPPESASSPSGNTVGKEDRTKHARGKKNSDYSMTSRDGNVNTESVSADLATFYDQVSVLFTEWYQTYILPGANDAACAYFVSKLQQNGFLNGGDVSDRFFRFLMGHSVAHCLSSERIGSSLSLQSPQTAQDLSFLAVDAYARLVVVILKYHATEHLLSKIFQLTVNVIKRNAEEKKASFNPRPYFRLFVNWLFDLVSPYAVLDAANFQVLIAFAETFHAFQPLKVPAFSFAWLELISHKHFMPKLLTVNAPKGWVYGQLLLVDLLKFMEPYLRNAKLEKAIKHLYEETLKVLFVLLRDFPEFLVSYHFSFCDVIPSSCIQMRSIILSAYPCNMQLPDTSTPNLKIDLLQEMHIPPCVFSEVDAALGAKQMKNDVDEYLKTGWQASPFLSELKEKLLLPQDEAAQAGTRYDVPVINSLVLYVGMQTIQQSQKKTTPSLAQQMTCNDRMQLYAMGPVLALFQTLIMDLDTEGRYLVLNAIANQLRYPNSHTHLYSFVMLYLFNEAKQEIIQEQITRVLLERCVVNPHPWGLLTTIIELIKNPQYNFWNRAFIKCNPDVNILLKSISIEARVDWIWRLLLRRDI >EOY25071 pep chromosome:Theobroma_cacao_20110822:3:33121386:33123142:1 gene:TCM_016496 transcript:EOY25071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transporter, putative MGCSASKRNQAIVDIYRPPPTSFAVFDINAIDEPWVKVEQAQQENQEKKPTHVPAVILEKLNTFETDAPYSWDEVSKALENLKPTLNNNNKKLPAPTSPPKPAKQVNKQQPRKCLTFHTLEELDAKLSSKPAANELRKTESMGKETGTKTKTKTTELNNPEPSKPAANELRKTESMRKETGTKTKTTELNNPEPPVPDSTGFKSVKENIFILRDRQEREKEERMGNYDKLMSKRDPLSQFPEMCPPGGADSVVIYTTSLRGVRRTFEDCTKVREIFEIQRVVFDERDVSLHGEFLNELRSILGKEASVPRVFVKGRYVGGVEEVSELDESGKLGKILNKARVEKGVGRQACGGCGGARFVPCLECGGSCKVVVSGDKRERCGKCNENGLVHCPACV >EOY23235 pep chromosome:Theobroma_cacao_20110822:3:26737825:26744097:1 gene:TCM_015200 transcript:EOY23235 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein, putative MSVGKLKAASAADLMKSEEPNDSLDTFIRQAIGKEPFLSLSKPGDTPVQWIQLLHALDQQDLPGWPLLTPLKVQMQKCDKCSREFCSPINYRRHIRVHHRLKKLDKDSAKNRGLLAAFWDKLSEDEAKEVISFKDVSLEEVPGPSVIKSLTTLVKRPGFSALPQVCLRAGSALLDIVQTRPSRFPISSQELFSILDDASEKTFLCGAAVSMQKYIFDGEAGKIGLETKNLVSSTSFLVEQKLVKAWLADKDAEALRCQKLLVEEEEAAQKRQVELLERRKQKKLRQKEQKAKDQRHGEMEVVKQDMDDSLGVNIPAETSSSLAACDFDRQNPVTLTDQVLLSMERIYFSNPQEDVDYKMQMGFSNGYCDPGTSQNIERRMEQAGGHRHIVVARWKTPPKSQRGVPTGLHASQNSIGFKFGGINKHGTNRERVAAMGNGNKMWSRKPKAVNDGESLKSRAEKQAANQLDQNQLDQNKNHEVLIGSISVTLGNCSHHEGNNLTEAHDRCLADYQIPKKNNVHEKSSKLDPVQGVTNRSTIKFWRPVSRHESKSSLQVQNGIREFEVEVIAEKDGDQTSSNESCLRSWVTDSSNGVVSMNTSTLEESLQPGSLQFDSHAAKVFLAGRWKEAFAGEHLTLVLSPNLELPGCSVVEIDSSEKWMVRAGPFEASTGGAAKGKFRTKPEKGAKIRYIPKQRSAI >EOY25174 pep chromosome:Theobroma_cacao_20110822:3:33478514:33479397:-1 gene:TCM_016575 transcript:EOY25174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MQRQSLGSPSSKLHIHGGEESSQAEDPKRRVIIDDDDADRKDSKPRRLSFSPTSSPSSSLTSPPKPEKFIHLIPVLTLLCFLILYLSSHSPSQSDLAHFNGFKHSSKHLDSREISDVGRFIELRRGDVLAIRSLRNLQELDKYVPKSRPHRKIADF >EOY25175 pep chromosome:Theobroma_cacao_20110822:3:33478489:33479321:-1 gene:TCM_016575 transcript:EOY25175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 SLGSPSSKLHIHGGEESSQAEDPKRRVIIDDDDADRKDSKPRRLSFSPTSSPSSSLTSPPKPEKFIHLIPVLTLLCFLILYLSSHSPSQSDLAHFNGFKHSSKLSLFVDSREISDVGRFIELRRGDVLAIRSLRNLQELDKYVPKSRPHRKIADF >EOY24185 pep chromosome:Theobroma_cacao_20110822:3:30009131:30012094:1 gene:TCM_015856 transcript:EOY24185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MDDRLIEASQQGDIDVLYELIRVDAHVLRRIDEIMFVDTPLHIAASSGHASFVMEMMTLMPSFAQKLNKSGLSPMHLAMLNGNLEVCHEDALKWKVKIPNRKDDQGKTVLDIAASHNQLEFIKLLSEINAQNSKGRRLSASSTIQHRVKLFLRTIVKKMQYCGRNRDLSKASSPASRKTLTNYLRSKTSFDEKFAVFITRHKLKISDNARSALLVVVGLLVAATFPAIFSLPGSVRQGDNENPSTVAVTSSTASGRPPLAGTVELEWFGLSEYSWEVIKEECARDLGLFWRTIKRSPPCCNLFNWSSPPRWLSWGDFDDPTSRLVILLRCMNIISPDDGVVRMVDSLLLTSMYMAVVFVRAMHEGGKRNLRRLCYSTD >EOY25256 pep chromosome:Theobroma_cacao_20110822:3:33716585:33720342:-1 gene:TCM_016629 transcript:EOY25256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein, putative MAEIAVEFILEKIASFLQNEIASFLQNEIQILQGVPEELEYIRDDLQRMKASLRAADLVEDSDHQLKEWVRQVRDIAYDIEDVIDGFNFHHADQHGHRIHGFLYHFCCFVKNLEGYHQTADKLRKIRSRIRNVSAWQLNNAINLSTTDQGSSSMTTSDALILDSADLVGIDESKELLVRWLVERNPGRKVLSVVGMGGLGKTTLVKQVYDDERVKKHFDVHVWIALSHPFKMEDFLRNIVQQLFSAIRKPVPEGIDDMNSDWLKVVIKPFLRQWRYLIVLDNVWHINQWHAVNHAFAKNDSNRVMITTRNTDVAIASCLESDDMVFNIEPLSSDYSWDLFCRRSFRGNTCPPYLVEVSRRILEKCEGLPLAIVAISGLLSTKTGTPAEWETIYRSLGAIIKDNDKLMNLTEVLSLSFKYLPYHLKSCFLYLSIFPDNYLIERMRLIRLWIAEGFVEVKEGKTQEEVAEDYLNELLSRSLVQVFGRTSDGRVKTCRIHDLLREIIISKSRDQNFAAIAKDKNGAWPDKVRRLSLHNSLQNVQHNRNVSHLRSFFMFEVEDPLLSAPLHSLYPDGFRLLKVLDLRAARLQTFPGEIINLFLLRYLSLRETKVNSIPSSIGKLQNLQTLDLKHTNVTELPVEILKLQQLRHLLVYRYEFKYYSRFHSKYGFKALAGIGALQSLQKLCFIEADHRNASILEEVGKLTQLRRLGIMNLRKEDGMALCSSIQKLTNLQALSVVSSVKDEVIDLHHLASPPQLLERLYLTGRLEQLPDWIPRLQSLVIVYLKWSRLEDDPLLSLQHLSNLVHLELLQVSIGDTLSFKAGGFQKLKVLGIDKFDELRCIEMEEGAMPVIEKLSILRCKSLETVPFGIEHLTTLKVLEFFDMPEELIKTLSPNAKGGDYRKVARIPEVYYTYCRDGEWEVYSLESSGKRVLSVETVESHLESIVKK >EOY21657 pep chromosome:Theobroma_cacao_20110822:3:15705796:15803809:1 gene:TCM_013681 transcript:EOY21657 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MTKLPLLDEKLIFYLFKVGNSLKSFRTRYLTMITMPPRRGRQPLARSVRRGKGRSQRRQLDAVGEESAASTIRAAPTAEQADSLPHPPSPQPPMGIPAMPTEEAQALAAFFAAMAGQAQTGQVPPVVPPTTPLVPPPVQDVSISKKLKEARQLGCVSFTGELDATVAKDWINQVSETLSDMGLDDDMKLMVAMRLLEKRARTWWNSVKSRSATPQTWYDFLREFDGQYFTYFHQKEKKREFLSLKQGNLTVEEYETRFNELMLYVPDLVKSEQDQASYFEEGLRNEIRERMTVTGREPHKEVQVKRGKDSAISGSTTSVSVTSPRPPFPPSQQRPSRFSRSSMTGSGKSFRGRATVAASSPPARTDIQRRDSSGLPPRQGIAIRSDSGSDRSYVSTTFASITNRNLSPLEGEIIVHTHLGEQLIRNTCYRDCGVRVGEEEFRGDLIPLEILDFDLILGMDWLTAHWANMDCFRKEVVLRNSEGAEIVFVGERRVLPSCVISAIKASKLVQKGYPAYLAYVIDTSKGEPKLEDVPIVSEFPDVFSDDLPGLPPDRELEFPIDLLPSTAPISIPPYRMAPAELKELKVQLQDLVDKGFIRPSISPWGAPVLFVKKKDGTLRLCIYYRQLNRVTIKNKYPLPRIDDLFDQLRGAMVFSKIDLRSGYYQLRIKEQDVHKTAFRTRYGHYEFLVMPFGLTNAPTVFMDLMNRVFHPYLDKFVIVFIDDILVYSKNDDEHAAHLRIVLQTLRERQLYVKFSKCEFWLKEVVFLGHVVSGAGIYVDPKKIEAILQWEQPRTDEKVIAYASRQLKKHETNYPTHDLELAAVVFALKIWRHYLYGIQLNNGEDGTLLASFVVRPSLLNQIRELQKSDNWLKQEVQKLQDGEASEFRLSDDGTLMLRDRICVPKDDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRKLILPPTLYIPEAPRCIGLLKKVIGGRPLPIPEWKWEHVTMDFVLGLPRTQSGKDAIWVIVDRLTKSAHFLAIHSTYSIERLARLYIDEIVRLHGVPISIVSDRDPRFTSRLWLKFQEALGTKLRFSTAFHPQTDGQSERTIQTLEDMLRACVIDFIGSWDRHLPLVEFAYNNSFQSSIGMAPYEALYGRKCRTPLCWDEVGERKLVNVELIDLTNDKYQLGETLDFVLCQGGESSDKGKEIASEDQ >EOY22606 pep chromosome:Theobroma_cacao_20110822:3:24179177:24182551:-1 gene:TCM_014732 transcript:EOY22606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 3,1 MAISDNSRQSLLPSFLYSSPSSTKRVFGLDTALSNANHHHMLPRLPSSNVVGDAGAPSSRFVIPAPKEKIEMFSPAFYAACTAGGILSCGLTHTAVTPLDLVKCNMQINPTKYKGISSGFGVLLKEQGAKGLFKGWVPTLLGYSAQGACKMGFYEFFKKYYSDIAGPEFATKYKTLIYLAGSASAELIADVALCPMEAVKVRVQTQPGFARGLSDGFPKIVKAEGAGGLYKGLVPLWGRQIPYTMMKFACFENIVELLYKYSIPTPKDQCSKSLQLGVSFAGGYIAGVFCAVVSHPADNLVSFLNNAKGATVGDAVKKIGLWGLFTRGLPLRIVMIGTLTGAQWGIYDSFKVFVGLPTTGGGAPIPAVAKA >EOY20702 pep chromosome:Theobroma_cacao_20110822:3:654881:658675:1 gene:TCM_012053 transcript:EOY20702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MPGLIMDEIKEEAVMNEVNGTSAHIKENSVSNKSPKSTPSPRSPHGADHPINGMVQVDTSIEQLYENVCDMQSSDQSPSRQSCGSYGEESRIDSELRHLVGGEMREVEIMQAEDMDKPEDDTRSNSSSKRGSSSNAKKSGQLDKTQSASVKSTSSGHVQKASQSQLDSEASTKPSPKGKSPPEKPPIDKRNRSLKKPSTGSMKKGKGSKLQNGSEDASESGLGNPDLGPYLLKQARDLVSSGDNPQKALELALRAAKAYELCANGKPSLELVMCLHVTAAIYCSLGQYSEAIPLLEQSIEIPLIEEGQEHALAKFAGHMQLGDTHAMLGQLENSITCYSTGFEVQKQVLGEADPRVGETCRYLAEAYVQALQFDEAQRLCQMAIDIHRENGSPASLEEAADRRLMGLICETKGDHEAALEHLVLASMAMVANGQEAEVASVDCSIGDTYLSLARYDEAVFAYQKALTAFKTTKGENHPAIGSVFVRLADLYNRTGKLRESKSYCENALRIYEKPMPGIPPEEIASGLTDVSAIYESMNDLDQAIKLLQRALKIYDDAPGQQTTTAGIEAQMGVMYYMLGNYSESYNSFKSAISKLRGCGERKSAFFGIALNQMGLACVQRYSINEAVELFEEAKSILEQACGPYHPDTLGVCSNLAGTYDAIGRLDDAIEILEYVVEMREEKLGTANPEVYDEKKRLAELLKEAGKVRSRKARSLETLLDDNPPNVNGEGIKV >EOY20701 pep chromosome:Theobroma_cacao_20110822:3:654698:658811:1 gene:TCM_012053 transcript:EOY20701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MPGLIMDEIKEEAVMNEVNGTSAHIKENSVSNKSPKSTPSPRSPHGADHPINGMVQVDTSIEQLYENVCDMQSSDQSPSRQSCGSYGEESRIDSELRHLVGGEMREVEIMQAEDMDKPEDDTRSNSSSKRGSSSNAKKSGQLDKTQSASVKSTSSGHVQKASQSQLDSEASTKPSPKGKSPPEKPPIDKRNRSLKKPSTGSMKKGKGSKLQNGSEDASESGLGNPDLGPYLLKQARDLVSSGDNPQKALELALRAAKAYELCANGKPSLELVMCLHVTAAIYCSLGQYSEAIPLLEQSIEIPLIEEGQEHALAKFAGHMQLGDTHAMLGQLENSITCYSTGFEVQKQVLGEADPRVGETCRYLAEAYVQALQFDEAQRLCQMAIDIHRENGSPASLEEAADRRLMGLICETKGDHEAALEHLVLASMAMVANGQEAEVASVDCSIGDTYLSLARYDEAVFAYQKALTAFKTTKGENHPAIGSVFVRLADLYNRTGKLRESKSYCENALRIYEKPMPGIPPEEIASGLTDVSAIYESMNDLDQAIKLLQRALKIYDDAPGQQTTTAGIEAQMGVMYYMLGNYSESYNSFKSAISKLRGCGERKSAFFGIALNQMGLACVQRYSINEAVELFEEAKSILEQACGPYHPDTLGVCSNLAGTYDAIGRLDDAIEILEYVVEMREEKLGTANPEVYDEKKRLAELLKEAGKVRSRKARSLETLLDDNPPNVNGEGIKV >EOY23064 pep chromosome:Theobroma_cacao_20110822:3:26055277:26056411:-1 gene:TCM_015072 transcript:EOY23064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding LysM domain-containing protein MLSSSSSSKTMKKSTALVDAASWYCAVALLALILIASIRANSASDEPVRGSQLLNRPCDEIYVVGEGETLHTISDKCGDPFIVERNPHIHDPDDVFPGLVLKIIPSTGRKL >EOY23197 pep chromosome:Theobroma_cacao_20110822:3:26580493:26584510:-1 gene:TCM_015172 transcript:EOY23197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein, putative MGKLFNLVLIVLTLCIEIAPFCSCQNSSFISCIEGERQTLLTLKQSFEDPFHRLSSWKGKNCCTWKGVSCDENNGHVVKLNLRATSRFSGETVLGKFSEESSLVAREVNSCLLELRYLEHLDLSGNDFQCSAIPHFFSLMRQLRYLNISNARFNGSVPNNLGNLTGLRVLDVSHDQEPWALRVDDVQWISNLSSLRHLGMAGIYLGEAPDFSPVLNMLPSLLSLHLSLCGLNNSHLSRHPINSTFHRIRHLDLGNNHFTCPVPLMLQNMTSLRILDLSLNLFSCSIQSSFDNLKSLVHLNLAGNDFSSIENGLISILGNMCYLKSLDLSFNQFQGEKIGKYRNLSGCAGHDLETLDLGSGRTGGQIPDWLGIGGHIPDWLGMLKNLKYLDLSGNQLNGTIPESLGQLSNLETIDLSHNSLEGAISEVHLAALSKLKVLSISSNSLTITIKSNWVPPFQLEYIEMESCKFGTEFPQWLQTQLKAITLVLSNTSISGTLPNWIMDMNLYELDLSHNQINGTLPNFPSNLKRVDLSSNWISGSLPEIIGDMLPQLRYLILSDNLMNGPIPNSLCRIKTLVVLELSKNSLSGNIPNCWRDHHVIEVLDLSSNKLSGVIPSSIGHLDSLRWLDLSNNNLNGELPLDLKSCTSLRLLDVGGNALSGNVPKWIGESFRFLTILRLRENKLNGSIPSQLCQLSADLHILDLAENNIKGRIPHCFGNFTGMVLHGEGDIIPLEPSKYLMYWEDEHLTEVMKGRFLEYTSTLSLLVYLDLSRNKLKGQIPQELTFLTGLIGLNLSHNQLSGTIPKKIGELGMLESLDLSVNELSGVIPSSMSTLTKLSHLNVSYNNFSGQIPNGNQLQTLDDPSIYAGNPLLCGPPLLKKCLDDEPHQGNNDNGRDNPAEKMWFFIVIMSGFATGFWGVVGVLIFKKSWRHAYFLLVDRSKDWVLVYVTLKIASVKTMIKGNRTDE >EOY24239 pep chromosome:Theobroma_cacao_20110822:3:30219405:30222610:1 gene:TCM_015902 transcript:EOY24239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response factor 5, putative METSSEVSCALQYIKQYLLDEFSPVGLGSTFSCKYQWITEAKPEVSTSQSESLYSQTSSSDSSLTVSNFNCLDDDDFFRFSPHFPGFKPNGSDVFKFESKPQIVDLTTPKSLSSNASAFEFEEKPQIVALSATNPQASLNSSSQNRKPSLKISLPNKVEWIQFGKPDLAQVEPKNSNSEDRKHYRGVRQRPWGKFAAEIRDPTRRGSRIWLGTFDTAIEAAKAYDQAAFRLRGSKAILNFPLEAGRLNARDVDGERKRCRDDGEGAERQVKAVKRENDDVTKARDNGDVPLTPSNWASLLDWDTDVKGIFNVPPLSPLSPYPSLGFPQVMVI >EOY24664 pep chromosome:Theobroma_cacao_20110822:3:31847013:31849966:1 gene:TCM_016207 transcript:EOY24664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin-like protein MVWGVEDAKPVTVGGSSSAKPMVHPPNTLVEYTLSQFNSLDFFDISLVDGFNVPMDFSPTSGGCSRGIRCTADIIGQCPHQLKARGGCNDPCTVFKTDQYCCNNCGPTDFSRFFKTRCPDA >EOY25177 pep chromosome:Theobroma_cacao_20110822:3:33484647:33486131:1 gene:TCM_016577 transcript:EOY25177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol phosphate-mannose biosynthesis regulatory protein-related MELADRVVGFLLSFISLSIFTYYTFWVIILPFVDSDNFIHKYFLPQEYAILIPVCAGVVLLCLLCMFVGFVMLKSKKKKA >EOY22418 pep chromosome:Theobroma_cacao_20110822:3:23376829:23379822:-1 gene:TCM_014591 transcript:EOY22418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate synthase, glyoxysomal MIGLGNYGFTAPAARKIDAYDVPEGVDIRGRFDEEFAKILTKDALQFVADLQREFRNHINYAMGCRKEAKRRYNEGALPGFDPATRYIREGQWTCAPVPPAVADRRVEITGPVERKMIINALNSGAKVFMADFEDALSPSWENLMRGQINLKDAVDGTITFHDRARNRVYKLNDQIAKLFVRPRGWHLPEAHILIDGEPATGSLVDFGLYFYHNYAIFRKTQGEGFGPFFYLPKMEHSREAKIWNCVFERAEKMAGIEKGSIRATVLIETLPAVFQMDEILYELRDHSVGLNCGRWDYIFSYVKTFQGHPDRLLPDRVQVGMTQHFMKSYSDLLIRTCHRRGVHAMGGMAAQIPIRDDPAANEAAFDLVRKDKLREVKAGHDGTWAAHPGLIQACMEVFTNNMGNAPNQIQTVKREDAANLTEEDLLQRPRGVRTMEGLRLNTRVGIQYLAAWLTGAGSVPLYNLMEDAATAEISRVQNWQWLKYGVELDGDGLGVRVNGDLFGRVVEEEMARIEREVGKDKFRKGMYKEAGKIFTRQCTAPTLDDFLTLDAYNHIVVHHPKGLSKL >EOY23176 pep chromosome:Theobroma_cacao_20110822:3:26512405:26520988:-1 gene:TCM_015160 transcript:EOY23176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein MESSKGSTMDKELQRINTQQLESKINAWREREKAKVDHKADRKMAETQSWQEEMKACNEAKSKMIEVSAEKLKKLKIEQLKNKEAQVQKTVAEKKASIEAQREKGKIAIDDKAEKYRATNTLPKTCFVKNPTTKYVILISLFLAKILTFQSNEQRKPETYEFFFLSAYIFLANFGCLEKISESLSHSQPTHNRPLVPTIQLSSVIGEATQKHMAEEEPKKVETETPSEPPPPTPEPAEAPQDVAEEKTVIPPPPTEEKPAESKEVAAAVEKAAESTEEKSTEGSVNRDAVLARVETQKRVSLIKAWEESEKSKAENKAYKKLSSIAAWENSRKAALEAELKKIEEKLEKQKAEYVEKMKNKVALIHKEAEEKKAIVEAKRGEDLLKAEETAAKFRAKGTTPKKVLGCF >EOY21563 pep chromosome:Theobroma_cacao_20110822:3:12388984:12400524:-1 gene:TCM_013381 transcript:EOY21563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPKTRAASRRAGEQDVPIEMADRPRASTQRGRGRRGRVTRPVGLDTPVSRQEEGSPQMEKICKALGCSSVRSVELAAFQLEDVAQEWYSSLCRGRSTNATPLAWSEFSVAFLDRFLPLSVRNARAREFETLVQTSSMTVSEYDIKFTQLARYAPYLVSTEEMKIQRFVDGLVEPLFRAVASRDFTTYSAAVDRAQRIEMRTNESRAARDRAKRGKTEGYQGRRDFSSGGSSSSRQGPQRDSRLPQQGSDAPGANIRVGQRTFNSRRQQDSRQSSQVIRSCDTCGRRHSGRCFLTTKTCYGLTWVKKVTPIDTRVLVMALGFGL >EOY22753 pep chromosome:Theobroma_cacao_20110822:3:24687068:24687782:1 gene:TCM_014830 transcript:EOY22753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein, putative MELFLGGNELSGLVPECLGNLTALRNISLGSNRLTSMIPSSLWSLKDILAINMSSNCLQGSLPLEIKNLKVVTEIDLAKNQLSGYIPNSIGELKNLVYLSLAENNLQGSIPESFGGSISLEFLDLSRNNLSGVIPKEKFPVEDPLLISQPNHTR >EOY24433 pep chromosome:Theobroma_cacao_20110822:3:30976950:30979302:-1 gene:TCM_016038 transcript:EOY24433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthases superfamily protein MNGVSSSLRAAFSYCVQQVRCYDYHHYLCLLELPPNMRKAAFALRAFNVETARAMDVASDPKIGLMRLVWWQEAIDKIYANKLIEHPTAQALSSVISESKISKAWLKRLVEARINDASREATDLPESIEDLEKYAENTASTLLYMTLQAGGIRSTAVDHAASHVGKASGLLLLLKSLPYHASRNRHFSYIPAKVAAKHGLLVKEGGRSEIHLDSREGLCDAVFEIASAANAHLLKARELADAVPSEARKALLPAVPAQVLLDSLSRVQFDVFDSRLARGILGKPPLSFQLKLKWYSWRGKY >EOY24002 pep chromosome:Theobroma_cacao_20110822:3:29283902:29286461:-1 gene:TCM_015720 transcript:EOY24002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microspore-specific promoter 2, putative MENGRRSYSSMPLASRLDHLDFIMKYLEGKRSLQKGMEKECMPLDLAMREAYFKGSLLDRVTSLEHRLFQLCLELESSSTSSTSTSTSGYASSSLGSRGQPISRSLPTFINSNQAHKQAPHQVLFTRSEIQEESEAMLQKKKDSNPLKQQVGNKRGSKKEKVSKSGKKVSPKWSHWKILGC >EOY25070 pep chromosome:Theobroma_cacao_20110822:3:33119237:33120406:-1 gene:TCM_016495 transcript:EOY25070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNCSLKGVTGECSNSIRVLTDAGEIIDIKGPKLAREIANSFPGYAVCRRGQASLPLPEDECLVSGGFYYLLPMEKVHKSGDTGVCEQVNKEAVKKLEVDWMNRIEPPKMSSADFVENLSSGSALEVLPSQKNGVWKVKLVISSQQLEDILSEQVNTEALIEKMRMAAASSATLTPKRSKSSWVVGWKPALSNVFKVPIDNKVA >EOY22297 pep chromosome:Theobroma_cacao_20110822:3:22878852:22882074:1 gene:TCM_014513 transcript:EOY22297 gene_biotype:protein_coding transcript_biotype:protein_coding description:31-kDa RNA binding protein MSAVTKPISLADSSCLASLASLFSTSSKAPSLLSLPPKSIKLFLSSSRSPSLSALRTKTHFPSLVALVAQTSDWAQQEEENDTTITIDGQEQQVQEETESEEGESTWENEESDGAEANLSDWDPEESEDAVFEGQGGDSEEEDSIQPPEEAKLFVGNLPYDVDSQSLAMLFEKAGTVEIAEVIYNRETEQSRGFGFVTMSSVEEAEKAAELFNRYDLNGRLLTVNKASPRGSRFDRPPRVYEPAFRIYVGNIPWDVDNARLEQVFSEHGKVVEARVVYDRETGRSRGFGFVTMSSETELNDAIAALDGQILEGRAIRVNVAEERPRRGF >EOY21034 pep chromosome:Theobroma_cacao_20110822:3:2434836:2442160:-1 gene:TCM_012352 transcript:EOY21034 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY domain-containing protein MGQNQPIPIPQNIQNIQKKKKGKSYLSLQLKTACFQVFQCFHTLQPKQWRRFLNDETNLKIQIKRMAFATTKFTEMPLRTSLPFASYSYSYSSSSLNLFFSAPKPSFRFFRPFSSLRTGNSPSSKFNRYSYPWDQEASVPPNSSASSSSLQAWSSPSQKVIQSDGDDKTDVETRYFDRDKSQSAIERIVLRLRNLGLGSDDEDEGEDETDQYNSTPVTGEERLGDLLKREWVRPDTMLIEREKEEAVLPWERDEAEVEVVKEGVLGVKKRRVRAPTLAELTIEDEELRRLRRMGMYLRERINVPKAGITQAVLEKIHDKWRKEELVRLKFHEVLATDMKTAHEIVERRTGGLVLWRSGSVMVVYRGSNYEGPSRSQSIDREGEALFIPDVSSASNAVRGSETGKTSTPEKCEPVVVKPERSESMTEEEAEYNSLLDGVGPRFVEWWGTGVLPVDADLLPQKIPGYKTPFRLLPAGMRPRLTNAEMTNLRKLAKSLPCHFALGRNRNHQGLAAAIIKLWEKSLVVKIAVKRGIQNTNNKLMAEELKNLTGGVLLLRNKYFIVIYRGKDFLPTSVAAALAERQELTKQIQDVEEKVRIRAVEPAQSGEDKGEAPAGTLAEFYEAQACWGREISAEEREKMIEEASKAKHARLVKRVEHKLAVAQAKKLRAERLLAKIESSMIPAAPDYDQETITDEERVMFRRVGLRMKPYLPLGIRGVFDGVIENMHLHWKHRELVKLISKQKTLAFVEDTARLLEFESGGILVAIERVPKGYALIYYRGKNYHRPISLRPRNLLTKAKALKRSVAMQRHEALSQHISELERTIEEMKKEIGASQDVEDEDSQVSGEHGQFDPVSELTQSEDEASYMASDGDDEYDDEFDDDEEDSEFDDDEDEDINIDLEADEDSLQSNSEKINSRRRF >EOY22165 pep chromosome:Theobroma_cacao_20110822:3:22022514:22023183:1 gene:TCM_014382 transcript:EOY22165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRGDSDSDISQSVSEGPTDFTAESRWCPELNNLEGDPFRVPTNWAPSDLGTKVKIRESPSDSETNESVDSNDINEGMRNFLLKGIEKYAKGRKKMRIFGDTYRGRYKV >EOY24748 pep chromosome:Theobroma_cacao_20110822:3:32096849:32097699:1 gene:TCM_016260 transcript:EOY24748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNIFADHISMLPLVGKSLTNKSQFKYNWNCYLNLGITIGPCFFDNFFSFYFCWSMQHATILYLLFVHRSFHSCRLMSSAKTLVINILLQL >EOY23700 pep chromosome:Theobroma_cacao_20110822:3:28279505:28282533:-1 gene:TCM_015508 transcript:EOY23700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein MCTEMMVYMGPLWIAFLVGIIVGWAWKPKWASQGTQKLSCAVSKSLELSLPPSPSKSPMSPLKSIGSAPCLNSLKTQSQASKYWVIKNGAQGKTPSVSLSEYDNCSTCCSSQLIEGRSSVVTEEDLKHLWQLVEMRDGGPSWIHMMDRSTPNMSYQAWRRDPKTGPPQYRSKTVFEDVTTKMVRDFFWDDEFRPKWDDMLAYSATIEECPTTGTMVVQWIRKFPFFCSDREYIIGRRIWELDGSYYCVTKGVPWPSIPRQKKPRRVDLYYSSWYIRAVESERGHGQRNACEVLLFHHEDMGIPWEIVKLGVRQGMWGTVKKIEPGLRAYQKERASVAPLSRSASMAQINTKINTEYLRSLETNEDLSKSEIAATSEKPMAKNISRFLFLGGVIVLACSLDHGLLSRAFIFGVGRRLANRGKRLCSSAGRANYIGSSF >EOY23866 pep chromosome:Theobroma_cacao_20110822:3:28787064:28794628:1 gene:TCM_015620 transcript:EOY23866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNCLPSRDVSEGEVCSEEKAMIKPGKVSSPPETDSQSTTTDCKRHMGPAITSAYPQDSTNGGSKKIRLLVTKQELRELLSKRMSVEEMLAGVHREGRIEEALKKNPTDDILSFPPELSLLLILLHISITRRRRKRRNTTLRMGNCLFGGLGEADGVIKVITSSGGVMEFSAPITAGSITDEFPGHAIFRSHDLFWKPLFHHEELLPGKSYYLLPLNGKDTSGNSGQIVREGHVRSNSIPASLVAPYRMSCDYQGTLKRSHTDVFSRYNKPAGFWKVKLVISPEQLLEILSQEARTQELIESVRTVAKCGSGVSTSVGFSDQWSLSSSRNASSNKDGLLLDI >EOY21241 pep chromosome:Theobroma_cacao_20110822:3:4633061:4639736:1 gene:TCM_012650 transcript:EOY21241 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein MKKCKACEKTVYPVELLSADGLPYHKSCFKCSHCKGTLKLGNYSSMEGVLYCKPHFEQLFKETGNFNKNFQSPVESAEKLTSELHFAFANASSLIIPNGGS >EOY23365 pep chromosome:Theobroma_cacao_20110822:3:27143986:27144367:-1 gene:TCM_015282 transcript:EOY23365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNNEDNKYPNQKRWSTKETLPQIKKAAACSQQSNQEQSRSRETHPASRTKKGSKKKPASPQPPQQQTATTNTAHRQTHQSNICQGEPLDHEA >EOY23884 pep chromosome:Theobroma_cacao_20110822:3:28881077:28882419:1 gene:TCM_015638 transcript:EOY23884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromodomain-containing protein, putative MARFAATLGPVAWKVASHRIEQALPTGCKFGRGWVGEYEPLPTPVLMLENRAPKESALFIKLQRAADARKDDATYETPVPSTGVRKDDVTYNTAVPAKPHPLNVPASEGKSSSFRPVSGQHQKEGHLCLQLLGQSLQVELNLPPTANKNNADLITEKKNSNKSETAASKSREMVSRNMSLARVVSSKQIENNVAVDGGLPNGKISSNCFNNRAMNPSSDGIPTQMAKAAAYYSHGQEQGLNDPVQFMRILAEKAQKQQNSSNQFPQLIFHLLCHLFHLYEEMIRAVLPLLVHGCL >EOY25427 pep chromosome:Theobroma_cacao_20110822:3:34239979:34243089:1 gene:TCM_016738 transcript:EOY25427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-1, putative isoform 1 MIALNQLRHERLMELRVSGLCCGVYAKFMRPGSHFCPNSKSGSSISSSSVEVKPKIPLYLRPPSFSASLSELKKWHRWAKNLASSVGSSFVRLDNGPDSTLLRRELNWLLQDLLELQHHPVILSLLESDSNENVDTSVALKAPLEELYCAWRQRIEERRPFQYVVGCQHWRDLVLSVQEGVLIPRPETEMIIDIVERVALKTTPKLVHGLWADLGTGSGAIAIATARALATHAHAHAHAHGRVIATDLSPVAVAVAAFNVQRYGLQDMVEVRKGSWFEPLKDIQGKLAGVVSNPPYIASGDIPGLQAEVGQHEPKLALDGGITGTNDLLHLIDGAASMLKPGGFFAFETNGDKQCEFLLNYIENDKSGSFCNVHPVSDFDGIQRFVLGFRQRMV >EOY25428 pep chromosome:Theobroma_cacao_20110822:3:34239989:34243342:1 gene:TCM_016738 transcript:EOY25428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-1, putative isoform 1 MIALNQLRHERLMELRVSGLCCGVYAKFMRPGSHFCPNSKSGSSISSSSVEVKPKIPLYLRPPSFSASLSELKKWHRWAKNLASSVGSSFVRLDNGPDSTLLRRELNWLLQDLLELQHHPVILSLLESDSNENVDTSVALKAPLEELYCAWRQRIEERRPFQYVVGCQHWRDLVLSVQEGVLIPRPETEMIIDIVERVALKTTPKLVHGLWADLGTGSGAIAIATARALATHAHAHAHAHGRVIATDLSPVAVAVAAFNVQRYGLQDMVEVRKGSWFEPLKDIQGKLAGVVSNPPYIASGDIPGLQAEVGQHEPKLALDGGITGTNDLLHLIDGAASMLKPGGFFAFETNGDKQCEFLLNYIENDKSGSFCNVHPVSDFDGIQRFVLGFRQRMV >EOY24666 pep chromosome:Theobroma_cacao_20110822:3:31854759:31856092:1 gene:TCM_016209 transcript:EOY24666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Osmotin 34 MSCLTNFQFSSILFTILFISTHAALFEIRNECSYTVWAAASPGGGRRLDPWQSWTINVPAGTAMARIWGRTNCNFDGSGRGQCKTGDCGGLLECRGWGAPPNTLAEYALNQFGNMDFYDISLVDGFNIPMVFGPTSGGCHNIWCTADINGQCPNELRAPGGCNNPCTVFKTNEFCCTQGYGTCGPTDFSRFFKSRCPDSYSYPQDDPSSTFTCAGGANYRVVFCPRGSPHLEMVGSKSQE >EOY22484 pep chromosome:Theobroma_cacao_20110822:3:23646218:23652643:1 gene:TCM_014642 transcript:EOY22484 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MEVELKEMLDDLQSLRQSLSDPTLHASIDKLQSCVDHLTHLAKSVPVRRSKVKDMSAEVVDSNPYSRLMALQRMGIVENYERIREFSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPEQVGMTKTDAAVQTLSDINPDVVLESYTLNITTVQGFETFMSSLRNKTFCPSKEGSGVDLVLSCVDNYEARMVVNQACNELNQTWMESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNTLKFLLKFGHVSPYLGYSSLKDYFPTMAMKPNPQCSNAACLERQKEYILAKPARDAEAKAKMEAEASTAAADLPLHADNEWNISVVDDSGLESTGGTSSDALPEGLIHELPSADEFQKPPASEALDTGIVDLEDLRRQLEALNAD >EOY24088 pep chromosome:Theobroma_cacao_20110822:3:29597898:29598591:1 gene:TCM_015786 transcript:EOY24088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYFSLPKITIISEQRVYVKRSISLQSIFFTDLQSNFRCQKTMRNWGASDPLAGRISQRQGSSSSDTGQNPANVTLSAVAATDPNSNTVTLSGRGTTTAPCIPGTGFGVPLGFGMVWVSVFGLPLNQERRPMVGCASFPVIVEFFFLEEESWGFWKMEIGLLFGEWDWATM >EOY20771 pep chromosome:Theobroma_cacao_20110822:3:1018542:1019623:-1 gene:TCM_012108 transcript:EOY20771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIECKYCHKIGHHVGKCLLLKSNGRTFKPKRDSSFGFSPIDAVTFKGNIILTTRDIKALLKQVIFSISSSYTTLFINLGPIVLFSS >EOY24170 pep chromosome:Theobroma_cacao_20110822:3:29934468:29937927:-1 gene:TCM_015843 transcript:EOY24170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MRQLPDCPCTLFEEQISSLIRFFTELSAKLFPLVGSLVFEHHYTHNISPFESKSLMDQRLLKAARSGDLNVIKQLADAEGNILGGTTPQGNTALHMVARFGHENLVQELMKRQPNLVLKSNLKGETPVHVAARGGHWRIVLLFRDSASGSNDVYIARIRDNYGNTPLHCAVRNDHYLVVWKLADKDRESLELVNDAGESPLSIAIDLKLAVTAEAIIGLNRSTLDYRGPNGQTPLHCAVIRRDLHIMRKMHIRKPKLIKMQDEKQRTPLHYAAALGEYEIVKLLLEWDTSAAYQGDDNEQIPLHLAAENGQLNLLKILLDPCPDTIELLDNEQQNILHFAAKNGNIDAVSFILKLPEMEDLVNAADMNGNTPLHLAAKNFHSSIVYILTRNAKVDIRAINKSHETPLAIVQSTDDRGMELQKHLTLKALKSSYAKRAINPKDVVDNAQFSYVEVDKVERGGKKSREMAQIISVMATLIATFTFTAAFTIPGGFINDGPDEGMATLIRKSAFKAFVITDTIAMTSSMTAAVMVFWSSSRRNSESFMDTLPFAIGLTWIALVAMALAFVTGLFVVLSKNLWLGIVVCVIGCAAPAILYIFAPLFLLVFDRLSSTRARRRNIVEDNPFLFVFRLAKMIS >EOY21772 pep chromosome:Theobroma_cacao_20110822:3:18124899:18131536:-1 gene:TCM_013883 transcript:EOY21772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESLTELYWDSFRPWFKSLKLFKEERTSINTATWVVVKNIPWYLWHEDFFRMLGNKWGNFIKVDGSTSSKKRFDRALLLVEEMMKLKGQLMIPVIDEPSVDPSSEEKNSSILVQPLSRFGSFQIKVAQGQTHGSVQFLHQRNDSGLKKYGPEVGHMGPNPTKTLKTFLHDDPVDSMDNPKEFEQEVRHVTHHAKEFMNGIKVHTWHMSSLKRCWNFGGISKTRPSPKRSLSLIGVITKWGEPCLEDTKSAVGNLAHEVLQILKTEEEELTGDYGDSVGDLPLAKWISKGGQGRKSSKVKVGRACNDRIKKRRFIGRSLERWKFNNKGGIITLWQEDFFSFDNSYVGRNYILMTSKINDKDWACGFSNIYAPNNDKLKEDVWIELLGIMREIALPWCLGGDFNAVLWDHERVRGVSNKREMEVTFSRLDRFLMAADMLERFLTLRQLNKESCKEVFVATWEESGKKHGKGGELWQRLRATKPAIKRWQTSLGGNFQHKIKVLEAEIQRKLQKWQASSVKGSHRAEIAKLKADLWKRRNQIDKLKVNSIEITDPSDLTEYRPISLVGSMYKVVAKVLANRLRVVIENVISPNQFAFNKGRKITDYVLIANELVDYLQKNREGRVFYKVDFEKAFNSVDWFFLEFVMAKVLSALVYKATATRLCKEVEIDNSGLIISYLQYADDTMIFSKSDLESVLKVKRVLRCFQNGVNIYFCDDEWIEGIILKVAFPHIFTLATNKIGKAKFKWPDHNTSIIDIIHVLSFALALTSRKQIKSKVSWECPPNSWFKFNTDELLRVVQVILALEGERSHSLVAASSWVNSSNIIIESDSKNVVSWVSNPSKAPWRLKQLILQNPLTLKQSCRWLAN >EOY22390 pep chromosome:Theobroma_cacao_20110822:3:23231376:23235101:1 gene:TCM_014570 transcript:EOY22390 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome, regulatory subunit Rpn7,Proteasome component (PCI) domain isoform 1 MDVQEGTQQSQQLILAHNVFLLKHPDVPDIEKVSLKDEVLNSVKPNDMTPYYETLVADKVVELDQSVLDLMRSKNVDEIKKIDEKIADAEENLGESEVREAHLAKSLYYIQIGEKEKALEQLKVTESKTVAVGQKMDLVFCTLQIGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAANLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKIPYLSEFLNSLYDCQYKSFFLAFAGLTEQIKLDRYLHPHFRFYMREVRTVVYSQFLESYKSVTIEAMAKAFGVTVEFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >EOY22391 pep chromosome:Theobroma_cacao_20110822:3:23231291:23234077:1 gene:TCM_014570 transcript:EOY22391 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome, regulatory subunit Rpn7,Proteasome component (PCI) domain isoform 1 MDVQEGTQQSQQLILAHNVFLLKHPDVPDIEKVSLKDEVLNSVKPNDMTPYYETLVADKVVELDQSVLDLMRSKNVDEIKKIDEKIADAEENLGESEVREAHLAKSLYYIQIGEKEKALEQLKVTESKTVAVGQKMDLVFCTLQIGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAANLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKIPYLSEFLNSLYDCQYKSFFLAFAGLTEQIKLDRYLHPHFRFYMREVRTVVYSQFLESYKSVTIEAMAKAFGVTVEFIDL >EOY22392 pep chromosome:Theobroma_cacao_20110822:3:23231291:23234577:1 gene:TCM_014570 transcript:EOY22392 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome, regulatory subunit Rpn7,Proteasome component (PCI) domain isoform 1 MDVQEGTQQSQQLILAHNVFLLKHPDVPDIEKVSLKDEVLNSVKPNDMTPYYETLVADKVVELDQSVLDLMRSKNVDEIKKIDEKIADAEENLGESEVREAHLAKSLYYIQIGEKEKALEQLKVTESKTVAVGQKMDLVFCTLQIGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAANLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKIPYLSEFLNSLYDCQYKSFFLAFAGLTEQIKLDRYLHPHFRFYMREVRTVVYSQFLESYKSVTIEAMAKAFGVTVEFIDL >EOY25399 pep chromosome:Theobroma_cacao_20110822:3:34157420:34163847:1 gene:TCM_016720 transcript:EOY25399 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MGSGGSKGSCRGGGAASASASSSSSSSSGGRRGRSKGKSRVFQSSCLGAPSGSADPDFDHQVLDQRNKGYASNFTNLNRNLRESEPESDEVKRECYRKVKGEAASDDEMPMPCISSSDVDIGASRSGSSSGRAAAAASSAHHSPSRCLSGFSFLPGNVSFRLSRANSLGSSRAYPVSPTSIAMLNHDDNSGTVEPQQLPHNSSSARNANLHSPIIFNDADRDRDRDRRVGVGSREPVERNVRFSRTLSVGRLRDRVLRRASLSDLTFCPLQQDRQLTNQTQALGGDRDTRHSATPSSTTTNTSAHTPSIISHNSIFNIQDHEVETSRSREARYHDLLEHRSNFLERRRRIRSQVRALQRLGSRFENLSGHERSCILSGQHRTGRCTCRVNNRDSNSNDDTSARASISRIVMLAEALFEVLDEIHQQSVVLSSRPSVSSIGSVPAPNEVVESLPVKVYTKSHKLQNDEAAQCYICLVEYEEGDSMRILPCNHEFHRACIDKWLKEIHRVCPLCRGDICRPDSLPAEN >EOY21245 pep chromosome:Theobroma_cacao_20110822:3:4801832:4807711:1 gene:TCM_012659 transcript:EOY21245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVTPEPCHLFSVTFTVVQVKQLFYSRQLFPSSSMPRTRVPPKINYGEPGRVATRKKTTAHKRKNSDSKLVAPKKIRVGKSGEVPPVPENWEDEIIRERGEDRFWEEVRARQVDEHPSESVGYDWNRLCSETPPHRRSGIPGPSILKFRFERGEFPLSATKLGSNSQFVHGWDEWVTKVARFSNWIGIFYKKFNAKGIEIDSPEYPDHKYELVALIIFWLARHVLPGCPDDGISSAVVPLAIKIVKGIRFPLASLYLGSLYKRLDLYQLKIIESAGRYKVLTYVDVSFIQMCLWERFGTCAPMPNAYPFASFSVNNLLSRNNYRAWAWHDRLQRGNVLEVMDVTKEFNPRPYVQPINGFGDPAIYYDLHPLQSGRMRSRARLYTPHVSNNDVSLRLIPLRKKKCMTEEEDTAVPAPIRQTKDKHAYRKGESTTRVELNSVEEEETSEVETEEESCDSERSDESDDESIDIVLTPQAIRDEVVSDTETIPAGEVVPEVTPNIEVIEDVRIDTDNVRAIPMTPRAYSSPVPEHRDTSSVSGTQIAHTEQSSKKVDFHGFQVSLEYVTYLEQVFNIEGEFWSTSFVKNVDVICLMMDVLGRALVISHAPLMSTSPEELQQMLQDFDDACNFGFKLECLNDCRSKAKIFLNKSSLEDELEDIAAKITSLKKREAEVREQLDVFANNNSSLWNM >EOY25344 pep chromosome:Theobroma_cacao_20110822:3:33980392:33982849:1 gene:TCM_016685 transcript:EOY25344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nine-cis-epoxycarotenoid dioxygenase 4 MDALSSTFIPKSLAPSNATAISTPIVSQPPQLYIFSVGIQGKQLNSSATTSKLSNTASPATPLSPLKNIMAPSTAITLIFNAVRDTLENFIYPDLSPSLDPRLVLAGNFSPVDELPPTECEVIQGTLPPCLDGAYIRNGPNPRYLPRGPYHLFDGDGMVHCVRVSKGRATLCSRYVKTYKYNAEREAGFPIVPSFLSSFNGLTSSATHFTLYAARILTGQYNPAKGIGLANTSLALFGNRLYALGESDLPYALRLTSNGDIETLGRHDFDGKLFRSMTAHPKLDPDTGETFAFRYDPIFRPFLTYFYFDPNGIKQPDVPIFSMVTPSFVHDFAITKNYAIFADIQIGINPMKIILGSGSPVSSDLTKVPRIGIIRRYSKDESEMKWFDAPGFNPIHVINAWDEDGGNAIVMVAPNILSVEHTLERMDLVHALVEKVRLDLKTRLVTRQPISTRNLDFAVINPAYVANKTKYVYAAVGDPMPKISGVVKLDVSKGELEECTVGSRMYGAGCYGGEPFFVAKEPGNPEAAEDDGYVLPFVHNENTEESSLLVMDA >EOY25248 pep chromosome:Theobroma_cacao_20110822:3:33675894:33687312:-1 gene:TCM_016621 transcript:EOY25248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance-like protein MAQVIGKDEQSMKGKQSTAGEKQPKETPGKDGQSMKGQQSNVSEPQAKEIQEMEITTAKANKDDAEKLFKLLESGHSEEIVVLAGNAGSGKTWLAREITKFATGAKGSFYMSLWISLNEKFDGLESPLHSIARQLRIPTSANVNVWEDVEYADDADNTDDKKKNVDDSKDPLTLKVKNKLYEENEEMRKAKSEAKHTCLLLVLDSEGVVMTNDYDNVVKELFHSDHNVKTELQASFHFKALITTKESKEAGSITKDTRSRVIEVQPFSGDEAVGFLKERVGKEVSDFPNFEIFCDAIKERSKVLPAQIIMLTEALNQIAEDGPEALERAFDIAALNSLRRADKDDKALVHFAYEKLSGDCLIDCFWHSCYFLEKHGAVNYNELITHWILEGHLDLVVGLKKAYEKGYNVMMELIDRGMLKMREPNLIVLEGGTLRLEDHSCRGLFGKSKLGLASVLEGDNKKVFERMAPADGMIKTVRTDKEGKTVSSLLIEGSYLCREVPDTFFQEKEHLKVLAIFNPRLTFLPKPISKMENLLVLVLRDCYLLNDNMECIENLKALIVLEISGAPVLKELSEALFTNMNQLRSLNLSALRIKSLPASLSNLTELRRLILRQCSCLETLPKLAKLKNLEVIDLSGCSSLIRIQEKSFKSFEKLRVIDFSETKIEKLPIVQTLKHLTLLLAKGCDHLSGLRLMKHLPDLKVLDVSGATRIKEIQYDSFEGTDNLRILDLSKTDIRFLPDSLGKHLCDLKLKGCSKLEKLPSTKALTDLESLDLSDDSSLQKFPDRFFENLSSLQSLNLSHTKVKSLPALSNLHNLQRLFLKGCLFENLPELKELTSLVELDLSGCESLVNLPSLADLKYLEIINLSSCKSLSGIDKSFQHMSWLQVLNVSETQIPSFPSLPNPSKLRSLILRNCTKLEESPDFQILVELEQLDLRGMQSSLSALTELQVLDLSGEAVESLPSLGGLSKLRQLLLRECSSLKELPSLNSGLEVLDLSGTKVKNLGEKISKLTNLKRLHLPEKVIEEFKGENVKFLPLEVNLDRCCISEPSEIPKGGEKPSMVVHGTELLQSLKKDPTLLESISSISSVHSVKTHGRDEDNYDDSRRQIFSDIYSMIRKLPLEAKDGQFLEIRGFDDYPIDIEFVLEHAKYIMLVENQFLKNLSDLKPDSLKNMKGCWLERCSGMESIFAKADLEMEKPLEILWISNLPNLKSLYQEEVQSLSFGNLKILYIDCCPTLETVFSSGQLPENLETLQIMFCDNLKNLFGAKDSAKSEPQTTSSADKEVDTTSSTKKEVQTTSAADKLPAKKEVQTTSAADKLPAKKEVQTKSSADKVSAKREVQSTISTDKEVQTTSSADKEAQTTISSDKEVQPTSSADKEVQTTASAKKDVQTMSSTDKQVQTMISAEKEVQTTSRSNLKHLHISHCPMLETVFSSAQLPKRLEILRIKCCGKLKSFSGQELINLELPNLHTLHLLELPAWTASSISLKSNKSIPNVEVSPNIPVEKSLRSGNASKEITGADKN >EOY24777 pep chromosome:Theobroma_cacao_20110822:3:32175870:32183808:-1 gene:TCM_016276 transcript:EOY24777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MPTWWGRSSSKEDKRKASKESFIDAINRKLKITSDDRSTSRSGGSRGRRTDTVSERGSLSQVPSRSPSPSTQVSRCQSFAERPPAQPLPLPGVHYASVVRTNSGINASTRPGFDRGSRPSLFLPLPKPGQVSNKLDPVDAEGDLATASVSTDSSIDSNDPSESRLLSPLTSDYENGQRTAANSPSGIKLTDQLPDINQKNSKEILKPANISFNNQYLSTSPKRGPLSNHVQNLQIPQRGAFCSAPDSSMSSPSRSPMRAFGLEQLWNAGPCTGKPFSDIAFLGSGHCSSPGSGHNSGHNSVGGDMSGQLFWPQSRCSPECSPMPSPRMTSPGPSSRIHSGAVTPLHPRATGAATESPTSRPDDGKQLSHRLPLPPITIPFSSAYSAATSPSLPRSPGRPENPTSPGSCWKKGRLLGRGTFGHVYQGFNSESGEMCAMKEVTLFSDDAKSKESAQQLGQEIMLLSRLRHPNIVQYYGSETVGDKLYIYLEYVSGGSIYKLLQEYGQFGESAIRNYTQQILSGLAYLHAKNTVHRDIKGANILVDPYGRVKLADFGMAKHITGSSCPLSFKGSPYWMAPEVFKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPAIPDSLSEEGKDFVRQCLQRNPINRPTAARLLEHPFIKNAAPLERPIFSADTSDPSPAVTNAMRTLGIGNTRNLSCIDSEGTASLPCRGLKVGSGSSDAHTPRNVSCPVSPIGSPLLHPRSPQHMSGRMSPSPISSPHTVSGSSTPLSGGSGAIPFHHPKLPITYLHDGTGIIPRSQNSYYGNASNPYQEPKPDLFRGISQASNVFQEMISSDTGAFGKQYGRPGHGDHRELYDGQPVLADHVSQQLLRDHGGRPGILDALGCSSLVSVIDIKVTGSNDQHASGCWRLDYSAQCEDRNSKPSSPLEIELKQFPAMNVSASVEGQWWGLKKFCTEGGESKPNLGLSRWLAKFAETGSGKIFFHQL >EOY21904 pep chromosome:Theobroma_cacao_20110822:3:19670579:19672048:1 gene:TCM_014072 transcript:EOY21904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTIAPEAHSSSSQNAPFSALLQLPCCAPKRHRLEGLAKFVYESQNDIVLNLPNPNYKFFFFSPLPIFSLLPLSLAA >EOY22978 pep chromosome:Theobroma_cacao_20110822:3:25620603:25622903:-1 gene:TCM_014999 transcript:EOY22978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCLWERFGTCTPMPNAYPFASFSVNNPLSRNNYRAWAWHDRQRGNVLEVMDVTKEFNPRPYVHPINGFGDPVIYYDLHPLQSERMSSRGINFCIWVHSFHLPSMIESFSSGGDRNFCSVEVYSLYRVARQFGFDQLAPPDSSSPISFSSCVSSFLMTELSLHSDKLKSCTVPAFDRVGTHTTG >EOY23818 pep chromosome:Theobroma_cacao_20110822:3:28676702:28679782:1 gene:TCM_015594 transcript:EOY23818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLEFYDQVVLTSIGNAIGRMEKIDRTKADIFRGKFARLCVEVDLRKPLVPKVFISGLWQKVEDEGIRMVFFQCGRFGHNIEVCTAKNLDQATKNKDKDLNREEQDNNWNKKYENQKFGPWMIARKNYRKPLSSRVRIVEKETTTQKTSNIGSRFTVLEEDPSYVDNKVVVPESLEHNTSGNNVANKKQQMVGGKNKEELKKSLQSVVNRNLASSSTKGKGKVAGAVRVVYSDQLSKQNDGTGIGQTVEKRNLAIKNHTVGLEDKSEMNNQPPQDDLLNGNTICNQEASKDQAGVGVSGVAKEKETNSMEEGSSSKMDIVLANADSDKGAKAEGFSEGIWVIWELAAVEIDILAYSSQLVRMTVRSDNDEWLLTAVYGSPNAEERKWLWHSLKLSSEIHDLAWMIIGDFNQVLLLDEKTGKNGVNVAHCKQMIDCLCSCNLADLGASGAKYTWSRKCDGFNFTRERLDKAVANDRWSTMFPKAKVFNLPKTHSDHHPVLQFLQAHWDYDGVINSTKLGKLAEALNKWKFETFGDLVKKKKCLLARLKGIQIRLAQGPNDYLQHLEVALVEEFNLILYQEEVMWQQKAKLDWLKYGDCNSKYYHAIVKRRQHKKQISTFKRDDNSWCLDKGELTKMVVEFYSNLYSDDGT >EOY23563 pep chromosome:Theobroma_cacao_20110822:3:27859001:27863563:-1 gene:TCM_015419 transcript:EOY23563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in meristem silencing 3 MHRKPGTSSKFNSHCPLFPEEKNQETEGEKLRGEPKRHSAMFSSNHQFPNQPKPLAVMDPTTPMQVDQNEASSVARDEMRIGGFSHAKSIIQSSEKLQDDLRMLGLKIKHHEDNIKLLKIQKNKLDDSILDMQVMRGKYHSSSAPKIGNENCSHLQSEEETTEQILRHGKSAAGILCQLKIHNATQASYLTLTRDVLGAVATLGKVDDENLSWLFSEYLGVQTMMAIVCKTYESVRALETYNQDGCIDKTSGLHRLGASIGRAIDGRFHVICLESLRPYAGDFVADDPQRRLDLLKPRLPNGECPPGFLGFAVNMIQVDSSNLFCVTASGDGLRETLFYNLFSRLQVYRTRAEMVLALPCISEGAVSLDGGMIRSSGVFSFGNREEVDVRFPKPSAKSDVPQNYIETEKQMKEMTWRKEKLEEDMKREQALLDNAKFNFERKKQDFVKFLAQSSSFATQFQATQDRLTPR >EOY24656 pep chromosome:Theobroma_cacao_20110822:3:31828400:31830072:-1 gene:TCM_016201 transcript:EOY24656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family (UPF0497) [Source:Projected from Arabidopsis thaliana (AT4G11655) TAIR;Acc:AT4G11655] MDQVNEPVKEGREHVLAIACRIMAGVSPVAAANSQTQHPFPTPSPFSFSVTSSRWSSRPSIDHTTLFLRFLLLLFSFISALSLAAPSTKKKDQRSPSFTEYPELIYCFIVAILAFLYAAFQLFKGICDIAHRGILISDKTSDYLSFVLDQLVGYLLISSSSVAIPIIRQVEKSTPVRKGTITSTSMSFATFLVIAICALFSGYKLCKRTVW >EOY25430 pep chromosome:Theobroma_cacao_20110822:3:34247180:34248809:1 gene:TCM_016740 transcript:EOY25430 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP F-box 1, putative MGKSHHPRKRHKLVAHHQDRQEQPLIPGLPDHVAELCLSRLHPSLLYSICRSWRRFIYSPLFLPFPSLYTLFSSSNNEIQLLSFDPMTSKWEPVPPPPSPLRLLVHHPSFISRNLPVQSISVSGNLVLLAATAPNFNPALSRPLIFSPLSRSWRLGPPMATPRRWCAVGASGPTIYVASGIGFHFSTDVARSLERWDLEDDEMKGARWKKMRQLKDGRFSRDAIDAVGWRRKLCMVNVAKQGSVYDVENDRWEDMPEGMVAGWRGPVAAMDEEVLYAVDEVKGVLTRYDQDTTDWEEIMESERLIGARQMAAAAGRLCVVCGNGEILVVDVVVVPPRFWVVESPPALEPLAVHVLPRMSRADFS >EOY20551 pep chromosome:Theobroma_cacao_20110822:3:156405:157798:-1 gene:TCM_011949 transcript:EOY20551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal ion binding protein, putative MGDEKKKDKATTDGVITAVYKVNLHCRQCARKIKKPLIRTQGVHSVDVEIEKGEIKVKGVIDVIKIHKLIEKLSQKKVELVSPQIKEKAAATQTKVKETQEPILSTTLVKVHLHCDKCEQDLRKKLLKHKGIYSVKTDMKAQTLTVQGTIKSDDLLSYIRKNVHKHAEIVSSKTEAKEEKKEAKKDDVKDGAKSSEKTQVTEFKQQEKVEVKSKEGNTPYFVHYVYAPQLFSDENPNACSIL >EOY21224 pep chromosome:Theobroma_cacao_20110822:3:4252263:4255267:1 gene:TCM_012604 transcript:EOY21224 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MEESFPMTGGDGPYSYAKNSKLQKEAAVKAKSVIVEAIIEKLEVEDTPSIASTFRIADLGCSTGPNTFFSVNTIIEAVTHKYKTKGHSSLPDFQVHFNDHVSNDFNMLFNSLPPGRQYFVSGVPGSFHSRLFPKASLHFVCSAYALQWLSRVPQELSDINSPAYNRGRIFYSNAPNEVGKAYTAQYAMDMERFLAARSKEIVPGGLMALLIPGRPDGTLPAESSIGPIFQTLESCLVDMANEGIINKAKIDLFNLPMYCPSPEELRQLIQKNGSFSIARLESNTGAGRKQLCGAGECRSGLENIIVGHFGSDIVEELFERYTKKIAELPPLDTGETSGIGLCIILKRNH >EOY23830 pep chromosome:Theobroma_cacao_20110822:3:28714480:28717151:-1 gene:TCM_015602 transcript:EOY23830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein MYKVCWNVPQGQCSSADILKAFDEAIHDGVDVLSLSLGTQIPLFAEVDERDGIAIGSFHAVANGIPVVCAASNFGPAAQTVHNTAPWIITVAATTLDRSFPTPIMLGNNVTILGQAWYAGKEIGLTGLVYPENPGLRPTLASLSFNHTSVDGKVVLCFTTVTGRSAVSSAVSAVRAAGGVGLIVAKNPGHVMGPCGDDFPCVVVDYELGTEILFYIRSARSPTVKISPSKTLVGKPASIKVATFSSRGPSSITPGILKPDIAAPGVSLLAASSPLDPFMNGGFALHSGTSMAAPVISGIIALLKSKHPNWSPAAIRSAIVTTAWKNDPLGEPIFAEGSPRKLADPFDFGGGLVNPNRASKPGLVYDMDTDDYVHYLCAVGYNNSAISKLVGQGIACPSAKPSVLDVNVPSITIPNLRNSATLTRRVTNVGPPNSTYKALVEPPFGITVTVTPNILVFHSTAQEISYQVRVSTSHQVNTGYYFGSLTWTDGVHNVAIPISARTQILQNYADEN >EOY22481 pep chromosome:Theobroma_cacao_20110822:3:23622162:23625260:-1 gene:TCM_014639 transcript:EOY22481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNSAAQTLDDESRPSDQGPHNQLREDQQAQEWETLARAWVSAFPEAKAVNVSQVEVWIDSNFGSLPADLQSMPRSELIDRLLSIQNYLRFPSQSQEKEPSQPDLPPARFQRTDQWIPVYSWLESLDTDEVVKSKDISDWLNENPQVKDQLCSRHSRYHLMHYIKKCHLKIIKRREKKVGLQPSNKETALKVRKDVVAKQPAPVLSNPLNNLPKDSDLYLAKRNEALRKYEILLELEKKLSPMFSSKK >EOY25056 pep chromosome:Theobroma_cacao_20110822:3:33076133:33079079:1 gene:TCM_016486 transcript:EOY25056 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein MVTNILVAILFLISTILSHSKALRFPQELFNFSSNQISIVDNPSRPPSSSASPTPLLSPASPTVSSPLVPALFIIGDSTVDCGNNNFLGTFARADRPPYGRDFDTHQPTGRFSNGRIPVDYLALHLGLPFVPSYLGQAGELEDMLHGVNYASAAAGVIFSSGSELGQHISFTHQIQQFTDTYQQFVLSLGEDVANDLISSSVLYISIGINDYIHYYLRNVSNVQNLYLPWGFNQFLASTMRQEIKNLYNINVRRLVIMGLPPIGCAPYYLWRYKSNKGECIEEINDMIMEFNFVMRYMTEELLHELPDAHIIFCDVFQGSMDIIQNHKRYGFKATADACCGLGRYKGWIMCMSPEMACRDASNHIWWDQFHPTDAVNAILADNVWSSRHTEMCYPMNLEKMVVSKPE >EOY24871 pep chromosome:Theobroma_cacao_20110822:3:32530379:32531292:1 gene:TCM_016354 transcript:EOY24871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycofamily protein, putative MAEKDQQVHPLAPANGHPRSDEESASLQSKELKRKKRIKYAVYIAAFAVFQTVVILIFALTVMRVKNPKVRIGKVTVETMETSNTEAAASFNLRFITQVTVKNTNFGHYKFDNATMSFLYDGVMVGEAIIPKARARARSTKKLDVTVEVNSSALTSTTTGLGSELSSSVLTLNSQAKLKGKVELMKVMKKKKSPEMNCTLIFNVSTRSLQDLKCK >EOY23841 pep chromosome:Theobroma_cacao_20110822:3:28751044:28752126:1 gene:TCM_015611 transcript:EOY23841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKHEGYADMTVGIYSFGAASLSGWHTLVHNFKLSVTFSFIQRHKTFGHTSFVANISHRLVDLWLDGK >EOY22370 pep chromosome:Theobroma_cacao_20110822:3:23184800:23189056:-1 gene:TCM_014561 transcript:EOY22370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein MGGELCGRMGIGLCARLARSWRKMEIALNDTVSKSVVGKYFKLEARKSCFTKELRAGTATFLTMAYIITVNATIIADSGGTCSAADCSAPVNQTASPDCMFKPNAGYENCLSKTKSDLVVATVLSAMIGSFAMGILANLPLGLAPGMGPNAYLAYNLVGFHGSGSLSYQTALAVVLVEGCAFLAIAALGLRAKLARLIPQPVRLACAAGIGLFIAFVGLQIHQGLGLVGPDPSTLVTVTACASTDPVTGNCLGGKMRSPTFWLGFAGFLITCYGLMKEIKGSMIYGILFVTLISWIRGTAVTYFPDTTLGDANYNYFKKVVDFHKIQSTAGAISFSNFNRSEVWVALATLLYVDVLATTGTLYTMAEIGGFVDDKGSFEGEYLAYIVDSSSTVMASALGVSPVATYVESSAGIKEGGRTGLTAVIVGVYFFLSLFFTPLLTSVPPWAIGPSLVMVGVMMMKVVKDINWGNMKEAAPAFVTMLLMPLTYSIANGIIGGIGLYAALSLYDLVLELIRWLNKMRKMVVREQNQVSAATTGAESTVEII >EOY21485 pep chromosome:Theobroma_cacao_20110822:3:9132888:9140999:1 gene:TCM_013082 transcript:EOY21485 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein isoform 1 MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVIFIGELMKQSERYIDEGMHPRVLVDGFEIAKRAILQFLEKFKTPVVMGTEPDKEILKMVARTTLRTKLYEALADQLTDIVVNAVVLCIQKPDEPFDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSNAEQREAMVAAERHAVDERVKKIIELKDKVCAGTDNNFVVINQKGIDPPSLHLLQQAGIIALRRAKRRNMERLVLSCGGEAVNSVDDLTPDCLGWAGLVYEHVLGEDKYTFVENVKNPHSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTIEDEAVVLGAGAFEVAARQYLINEVKKTVQGRAQLGVEAFADALLVVPKTLAENAGLDTQDVIIGLTGEHDRGNIVGLNHQTGEPMDPQMEGIFDNYSVKRQIINSGPVIASQLLLVDEVIRAGRNMRKPT >EOY21484 pep chromosome:Theobroma_cacao_20110822:3:9132246:9142881:1 gene:TCM_013082 transcript:EOY21484 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein isoform 1 MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVIFIGELMKQSERYIDEGMHPRVLVDGFEIAKRAILQFLEKFKTPVVMGTEPDKEILKMVARTTLRTKLYEALADQLTDIVVNAVLCIQKPDEPFDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSNAEQREAMVAAERHAVDERVKKIIELKDKVCAGTDNNFVVINQKGIDPPSLHLLQQAGIIALRRAKRRNMERLVLSCGGEAVNSVDDLTPDCLGWAGLVYEHVLGEDKYTFVENVKNPHSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTIEDEAVVLGAGAFEVAARQYLINEVKKTVQGRAQLGVEAFADALLVVPKTLAENAGLDTQDVIIGLTGEHDRGNIVGLNHQTGEPMDPQMEGIFDNYSVKRQIINSGPVIASQLLLVDEVIRAGRNMRKPT >EOY23929 pep chromosome:Theobroma_cacao_20110822:3:29029947:29032076:1 gene:TCM_015670 transcript:EOY23929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTAVIAERCWVGNMSGLMRKLRSTRKGNSFLRSQKLSKKTGSTHVNFLDDSPDLASVWMPSRVFFFPIENIHVLFFPVHSSCVPCVDIVLDVIHFVNKIVNNSPYVACISACN >EOY20820 pep chromosome:Theobroma_cacao_20110822:3:1376335:1378787:-1 gene:TCM_012162 transcript:EOY20820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein, putative MYQQCTYQIRGLCRVVIMCLFCNPGHPISSGVTLAKLAAVTMDEQGNETFDTSGALDKLRKVSSVFFLPYSLCCCPLEVVEKVHVQSLQLELLAMYHDLDSLPWNMDKKWEDPSPKEQIEIFEDRINEPAAYCEVVSKWAMNRNYLVSPIMEYLI >EOY22000 pep chromosome:Theobroma_cacao_20110822:3:20321052:20323595:-1 gene:TCM_014161 transcript:EOY22000 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein, putative MEEDQKFKHVCKFCSKSFSCGRSLGGHMRSHMTTNNNSAETNDKLSKKKLVSVNNVGCGANTEAGLDAGINGGYVLRGNPKKTWRVADSSEDTLVHDKVCKECGKGFQSWKALFGHMKCHSEKERASNNSLEEQDSWTSANQRLVMDSQSDNETAAPSKGRRSKRRTRYMGTANSSTFSLTNHASSSMSEIEQEQEEVALSLMMLSRDVGHWGGLNSVAESSDNNSVFLEAKFEIKTSSCNGNEVRLLKKQKEKELESGTVDSETFQAGAGQAEFCASGLSKTGIRVNKSKNEVSVHESVKDERNSKSQLDGQFGAENSEVELSRNLVKQTGVNRAQLGSSKLNSSKRKLQYSYDPELKSGSVNKLTTDVLDSEFCKDPHKRSKFECTTCNKIFHSYQALGGHRASHKKIKGCFASRIDGSENSIETEHSPDPTADSKLVKSIGNEFPIAQLTADSDDKAEISYGVKKSKGHECPICLKVFPSGQALGGHKRSHLVAEAKANQAIVIQKPIPEIRDFLDLNLPAPVEEETSAHVGFKPWWVGSSHKHEALVGLISN >EOY23814 pep chromosome:Theobroma_cacao_20110822:3:28660780:28663169:-1 gene:TCM_015590 transcript:EOY23814 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein, putative MGVYCPSLVFVFGSFWSKEESTDFLIVLRDPRGKVTIGAKKFMDFGSSGREGFSEEEQMGKGKLPISYSSSSSPSSSSSQHKTQLVPPLSNCRWEKQPHPIYDSHHQMSPNWLGNRYEPEEDNEATATATASEADSKRVDSDSTLELKSSASANIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSTNEKGLLLNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKDKKLDAGDIVSFQRGVGEFGKDRLFIDWRRRPDAPDPASFHPHQHHFSFHRSIPWSPLLMRPPPTGRDHFHLSQIHPLNRNSYYGGYPTGSNVMNPAGGTMEPVFYWRSAVAAAAPQMGMGMGLGMMEWQQQTGGVVEPIVFDSVPVVQGKAAAKRLRLFGVNMECPTSASSDECEMLSPTTIANATMASQPPQLSSSSQHPLQLRLYNGTPLPPTDFLNANKGKASMSLDLDM >EOY21179 pep chromosome:Theobroma_cacao_20110822:3:3887409:3889539:-1 gene:TCM_012562 transcript:EOY21179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFQAAPSAFFLSPLICHTYPNSPCSQLSSLRPFLLPRKHVNSFEPHIPCINSGKFLKARTRATLDEKEKEPLIVQEQEPSREVEESVKVLKNAAKTRKIPAEEILSAFSVIEKAKLNPSAFLETLGGPESPGRTWMLIFTAEKQLKDGRYFPLTAVQRFDAVAKRIENGVFLGPIGFLTFEGKFSWKNRILSFIFEKIRVKIGPFNPLEISLGQKEDREPSTKDPFFIWFYIDEEIAVARGRSGGTAFWCRCHRVTT >EOY22337 pep chromosome:Theobroma_cacao_20110822:3:23020969:23024504:-1 gene:TCM_014537 transcript:EOY22337 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-homocysteine hydrolase MALTISRTPTGREYKVKDISQADFGRLEIELAEVEMPGLMACRAEFGPTQPLKDARITGSLHMTIQTAVLIETLTALGAQVRWCSCNIFSTQDHAAAAIARDSASVFAWKGETLEEYWWCTEKALEWGPGGGPDLIVDDGGDATLLIHEGVKAEEVYERSGKLPNPNETENAEFRIVLSIIKEGLLVDPKKYRRMRERLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKIAVVCGYGDVGKGCAAALKAAGARVIVTEIDPICALQALMEGIPVLTLEDVVAEADIFVTTTGNKDIIMVCHMKQMKNNAIVCNIGHFDNEIDMLGLETYPGIKRITIKPQTDRWVFPETNRGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKERGTGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTPEQAAYISVPVEGPYKPPRYRY >EOY21813 pep chromosome:Theobroma_cacao_20110822:3:18728589:18729635:-1 gene:TCM_013944 transcript:EOY21813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSIKSESGPYEKISPHHPFQIASRLMSVGPAPQQMTTLLSSESQPVGAWRLNCLLQRHTYISGKACGLRKGNIGQSHLIL >EOY20625 pep chromosome:Theobroma_cacao_20110822:3:368557:370227:-1 gene:TCM_011995 transcript:EOY20625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGNCQAVDAATLVIQHPSGKVDKFYWPMSASEIMRMNPGHYVALLISTTLYHSSNNDKCPDNSANNVNPVRLTRIKLLRPTDTLVLGQVYRLITTLVRKALFLSLGFYLILSYVLPGFWFLEVMKGLCAKKHAKMKKNQQESAEKPFEKAGLRPETVGRRSEPDKENKAPAEINTISQLCCQIKDMAAFITKHLRGCKLRMIRILPHMLHKTQRGATLGSCRTEIQATFLTCKKQIQFLTLGMQVANQEKNTPTFFKVQI >EOY20624 pep chromosome:Theobroma_cacao_20110822:3:368591:369950:-1 gene:TCM_011995 transcript:EOY20624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGNCQAVDAATLVIQHPSGKVDKFYWPMSASEIMRMNPGHYVALLISTTLYHSSNNDKCPDNSANNVNPVRLTRIKLLRPTDTLVLGQVYRLITTQEVMKGLCAKKHAKMKKNQQESAEKPFEKAGLRPETVGRRSEPDKENKVSKHERHRPRSTPSANSAARSKTWQPSLQSISEAAS >EOY23869 pep chromosome:Theobroma_cacao_20110822:3:28817015:28818516:-1 gene:TCM_015623 transcript:EOY23869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein, putative MGCVSSNLLNQEDEFTQLGSSALGHHIVSLTSTTYGLLTLDPPPQSTTTPPTPPPPFTLGSTFNESKSLWSEPRPVPIRPEVINSWELMSGLDADSFRFPTIPNKENSNPNFSKNESVSKPPFSRSSLLDKFQADSFAFPNTALPEKENANPNLNRNGTISKPPLSRSSLLEKFYSGNDAVLKPSALDKFEQICPPNGENRVVIYTTTLRGIRKTFEDCNAIRSAIESYGIVVCERDISMDRGFKEELRELMKGKERESTNQTTPPRVFIKGRYIGGAEEVMRIVDEGWFEDLLKGLPKKRAGEVCDGCGDVKFLPCFRCNGSCKVAVVVKEEGSRTVVVRCTECNENGLVHCPICS >EOY25236 pep chromosome:Theobroma_cacao_20110822:3:33642331:33647590:1 gene:TCM_016612 transcript:EOY25236 gene_biotype:protein_coding transcript_biotype:protein_coding description:GHMP kinase family protein MEVVASAPGKVLMTGGYLILERPNAGIVLSTNARFYAIVKPIHEDVKPESWAWAWTDVKLTSPQLSRESTYKLSMKHLTLQCVSSSESRNPFVENAIQYAVAAGRATLDKNKKDALDKLLLQGLDITILGCNDFYSYRNQIEARGLPLTPDALAALPPFTSITFNAEESNGGNCKPEVAKTGLGSSAAMTTAVVAALLHYLGVVNLSSLSEDPHQQNSMDLDVVHMIAQSAHCIAQGKVGSGFDVSSAVYGSQRYVRFSPKVLSAAQAAVQGMPLEEVIGNILNGEWDHKRTKFSLPPLMTLLLGEPGTGGSSTPSMVGAVKKWQKADPKKSQETWRKLAEANSELEKQLDMLSKLAKEHWDAYKCVIDSCSRLKPEKWMEGVTEPIKEAVVKALLQARDAMLGIRNHMRQMGEAAGIPIEPKSQTQLLDATMNMEGVLLAGVPGAGGFDAIFAVTLGDSSSNVTNAWSSHNVLGLLVREDPQGVSLESGDPRSWEITSGVSSVHIK >EOY21588 pep chromosome:Theobroma_cacao_20110822:3:13333606:13336570:-1 gene:TCM_013466 transcript:EOY21588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inhibitor-3 MARPTATSTTGRPSSSTSSVTTTVTIDDSGASSQPQETLVLELRPRKKKVTWKKGTVDNEFMNKKSSKICCVFHKEKPFDEDDSDDEGHDHHHHQPSNGRDCSKDSCTAIST >EOY24340 pep chromosome:Theobroma_cacao_20110822:3:30600991:30602983:1 gene:TCM_015968 transcript:EOY24340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARGIKRTVVTVEETPRIDCSDSQRHRMKRKMRGGQGGKCTKTLEASRPKIGPRKRKAVIPLICDLCNVKCDTEEAFDGHLSGKKHTAKLKRFGPVGIEVLYPPNPIGQSMLLPQGNQETVHSPQGSHRAAGAYMPPQIRQAAPAASGLSLQYQQNHDQIAPKNAVILAPEA >EOY24201 pep chromosome:Theobroma_cacao_20110822:3:30071515:30073803:1 gene:TCM_015871 transcript:EOY24201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPHQLPADRKSHHPFDSGFVVASWFMVHGIPTPTLEAITNAHQKLSLQNSFFPFKCNGRQIGPKNPPQGHARSSK >EOY21935 pep chromosome:Theobroma_cacao_20110822:3:19930099:19930587:-1 gene:TCM_014108 transcript:EOY21935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRVQNQIPQDTKRYTNSHLHCSQVAFSSQVLRHGQLIGVTSTFCSCESRNGCKLCYVRARGTQNYCLTYEIF >EOY24628 pep chromosome:Theobroma_cacao_20110822:3:31703922:31706345:1 gene:TCM_016181 transcript:EOY24628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLSLEPAAMAADMETKKHELRVANQLKAPSRWSPCTFTLLALAAQRINVSVIMIFTVAVSQVFNALPFEFQLLMFG >EOY21546 pep chromosome:Theobroma_cacao_20110822:3:11565986:11568228:1 gene:TCM_013313 transcript:EOY21546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLEEQQEAIISTAVASWALVGEKADDNTEAGHHLDEGADNYKNLSNQKIEPVGKQIKGDTNVTLHGKEIMPLEESTRSREKVKTSPTRCEEPAVGGSAPHSSNHVLGERATGMREQNISMHDGTPQQKSGKARAGQRLKNNLFVLEGTPRQNAGHASVGQPLKSDFIEQLAQIKAMRELSLTVHDGMPQQKNGKAGAGQNLKNDLFVQEGMPQQKAGHASTGNGNNSPTLESTSGKYMYNKEISVVLSFSGTHSLEMEVHPLVRRKRHSDNAASIGKIISLASEEAVEMGENYGISDEDSISINHIQCLHVKLNMPWLEVPLLASFIYAKCTKSERLILWDCLRNLAINIHTPWIVGGDFNAIIHNGERLNGVVPHAGSMEDFATALLDCGLIDGGYEGNP >EOY22500 pep chromosome:Theobroma_cacao_20110822:3:23713989:23717330:-1 gene:TCM_014656 transcript:EOY22500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C6 MGGSQTKAPTSFSFIFLLFLTVSCLFTPLGAQQQSPIKTIVVLVMENRSFDHMVGWMKKSINPAVNGVTGDECNPVSTKNPNPESICFTDDAEFVDPDPGHSFEAVEQQVFGSASIPSMSGFVEQALSMSQNLSETVMKGFRPQAVPVYAALVKEFALFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVKKQLAHGYPQKTIFESLYENGKDFGIYFQNIPTTLFYRNLRKLKYVFKFHQFDLKFKKDARKGKLPSLTVIEPRYFDLKGLPANDDHPSHDVANGQKLVKEVYETLRASPQWNETLLVITYDEHGGFYDHVKTPYVNVPNPDGNTGPAPSFFKFDRLGVRVPTIMVSPWIKKGTVISGPKGPTPNSEFEHSSIPATIKKMFNLSSNFLTHRDAWAGTFEEVVAGLTSPRTDCPVTLPDVAPLRTTEAKEDAGLSEFQSEVVQLAAVLNGDHFLSSFPDEMGKKMSVKEAHEYVKGAVSRFIRASKEAIKLGADESAIVDMRSSLTTRSSIHN >EOY23260 pep chromosome:Theobroma_cacao_20110822:3:26792599:26802242:-1 gene:TCM_015211 transcript:EOY23260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion symporter MDMAFPVDVVLGSIAFAVFWVLAVFPTVPFMPIRRTAGSLLGGLLMVLFGVMTPDQAYSTIDLPILGLLFGTMVVSVYLERADMCKYLAKLLAWTSKGAKDLIFRICLISAISSALFTNNTSCVILTEFVLTIARQHNLPPHPFLLALATSANIGSAATPIGSPQNLVIAFNSKISFGDFLIGILPAMLVGLIVNFLLLLCMYWSLLSIPIDEEDAIGKVVADENRIFPCDSPATMLHSSSLNSQEWNSILETMNPQISPKTNTNTAYVDTLKNRESFAESEMIQVVSKSAVESNAVMITVTSVGSSERSEAIPLLSKQHRVRAPVFVESSKPAGKENLATRWKRTMWKPCVYLVTLGMLISFLMDFNMSWTAITAAIALIVLDFNDAHPWLEKVSYPLLVFFCGMFATVAGFNKTGIPSTLWDLVEPYAKINDIFGIAVLAIVILVLSNLASNVPTVLLLRKPVALSASAISATHEKKAWLILAWVSTVAGNLSLLGSAANLMVCEQARHALVGYNLSFWKHLKFGVPSTLIVTAIGLALLKNKI >EOY20819 pep chromosome:Theobroma_cacao_20110822:3:1370932:1372301:-1 gene:TCM_012161 transcript:EOY20819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERKNFGKYGIRLVGVIFNLAMISLCWHWYVDGRRFRQANNIFSIVSSTAPRIQEKVVI >EOY25300 pep chromosome:Theobroma_cacao_20110822:3:33866417:33868771:-1 gene:TCM_016661 transcript:EOY25300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSVKSTIRLVPKRSVKNIGCGEHDDYMQEQDMERKLLASQFWVVRKSVSFSADGNCELNFPGAKDEEESLPSLPRALKFPHLRYVIR >EOY25126 pep chromosome:Theobroma_cacao_20110822:3:33340576:33343210:1 gene:TCM_016539 transcript:EOY25126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalase 1, putative MGQWLDYWLNNNAACEVESQTWEAQNQNQNVFASNFVPLWIDLFNSDTPLVEKVTRSLQSSGLLCAAGIATSLTNSEINVLMLQRENKTCAHRDFPNGWASLQRMIVEGLSRSGSAEARSIAKDIAERWIRTMLPTRRQAQCMRNMMWKSVIGFGWSNGVVLAFLEEFGWPKDQKIDCN >EOY21968 pep chromosome:Theobroma_cacao_20110822:3:20157494:20188272:-1 gene:TCM_014135 transcript:EOY21968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTASDGKKRLKKDLTCSHYGKKGHLKEKCYRLVGFLKDFKFTKSKTNSKKGKFVANNVTSMYEDESTMVQPKQEENSAGNGTMSQISVIKQQVSKLIELLNENGITCSDGPSIMDMIRVARASSGLYLMQDSQVKQDLSQFSFKNLVKNSFVSFVDSFKSKYKDFDLWHFSLPTADSTSPTEANYDIDPNQPIRKSTRTRNLPKYLEAYQVDLPSHASAVTSHPIAKFVSHHNLSPAHKAFTISLTSIQEHKTYHQVVTHSHWRHAMAAELQALEDNDTWSMYRYQLVVILLVLDVNNTFLHEDLDEEVYIDLPEGYVVQGEYLEDYSLFTMNTNDGEFIALLTYVDDILIGSTSAQTAAARKYTLDLLEEYGLLGAKPTSTLIDYNTKLTKASQEEEITDPTRYRQLVGKLLYLTFTRPDISFAMQTLAQFMDKPAHDHYMAAYRVLKYLKGALGQGILMGADSTVKISAYCDSD >EOY23423 pep chromosome:Theobroma_cacao_20110822:3:27379953:27380981:-1 gene:TCM_015325 transcript:EOY23423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFGMAKCAFELQLLSLYAAIFIRLVQLSISQFSVRHSRALYHLHPQTDKCDQAFLDLGDLGAAATTHHAPLNLVIIMRALPNESIITEEPKNIYISGICWPNSRNMFPV >EOY24858 pep chromosome:Theobroma_cacao_20110822:3:32463907:32467358:-1 gene:TCM_016344 transcript:EOY24858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase 9B14 MRSGEIVWGLVLGSILAMASRHNGLAVEDSGLSATGYDYTDALHKAVLFFEGQRSGKLPARQRVKWRGDSALTDGEPDKVNLVGGYYDAGDNVKFGWPMAFTVTLLSWAAVEFRQEISSANQLNRLRSAIRWGSDFILRAHTSPTTLYTQVGNGDADHQCWERPEDMDTPRTLYKITSDSPGTEAAAEAAAALSAASLVFKGVKSNYSRRLLTKSKSLFEFADNYRGSYKDSCPFYCSFSGYQDELLWSAAWLYKASGDNKYFSYVLSNQGWSQQVSEFSWDNKFAGAQALLAKEFHGGKKDLSKYKTDVESFICALMPGSSSLQIKTTSGGLLYIRDSANLQYVTSSCMLLSIYVKTLKASQIDGIQCGSAHFSASQIREFVKLQVNYILGNNPMNMSYMVGIGSKYPMQLHHRGASIPSIHIRPSKVGCNDGYSSYYSSDSPNPNQHVGAIVGGPDSNDHYNDRRSDYSHAEPTTYINAAFVGAVAAFLDESKQQPLQLLQINGTISTIDSM >EOY25404 pep chromosome:Theobroma_cacao_20110822:3:34182091:34185883:1 gene:TCM_046750 transcript:EOY25404 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein MLVRFRTLTLTGRQRPPVPSITRCVSSSNMSVNMTTGGSSSKSAPIIREFVDVEGGDIRSRGQSDGIRFRLVSYNILAQAYVKSSLFPHSPSPSLRWKARSQAILTLLKNLGADFFCLQEVDEYDSFYKRNMADHGYSSIYVQRSGQKRDGCGIFYKNNCAELLAKETIEYNDLVDLLLDETSLSADKQNETLADGNNGAHAMNDSPVKSSPECRGDPNDPHVRLKRDCVGIMAAFRLKDPFHHVVILANTHLYWDPEWADVKLAQAKYLLSRLAQFKTLVTDKFECTPSLILSGDFNSTPGDKVYQYLISGNSSSASLMTCLEELPFPLCSVHAFTRGEPPFTNCTPDFTNTLDYIFFSPSDCLKRVSILELPELDSPDVVGGLPNYSHPSDHLPIGAEFEITKE >EOY21425 pep chromosome:Theobroma_cacao_20110822:3:7756338:7757775:-1 gene:TCM_012947 transcript:EOY21425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGPFIFSFNNCYILLAIYYVSKSVETMALPANDAKVMLKFLRKNIFTRFGIPLVIVSGEGSHFCNNYFAALLAKYGMTHKVAATYHPQTSGQAEISN >EOY21097 pep chromosome:Theobroma_cacao_20110822:3:2973075:2976903:-1 gene:TCM_012431 transcript:EOY21097 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MELAVASIFEMMKCFGPPICKYLDNHRKLDEKVEHLRRKLNDLNIRKQDIESRKEAELRSKKLVKKEVEKWFVDVETMNAGMQALEEKLRIVSYFSRARLGKLVCRKIEEVNEIYERGRFPEGVAIDGPPTIGMTLQTTNLEGEIDIKELIWEYLMGNVVGMIGVCGMGGVGKTTIMKHINNQLLRESRFDKVIWVTVSKEFNVVKVQEDIGSALNHYLSNDELERATMLMDILKRKRFVLILDDVWNRISLRDVGIPEPTLQNGSKLVITSRSIDVCLSMGCEILKVQPLSKQESFNLFVTHVGDDVLQLPALEEIVKLTVDQCGGLPLAIVTIAGSMKGVDDVREWRNALNELRECVKSVRGLDNEIFGRLMFSYDRLGDPKIQECFLYCSLYPEDYIIERNELVEKWIDEKLIDEYGSRQAMRDRGHSILNKLENNCLVEKVKDFFEKGVKMHDVLRDMALSIRSVGPRFMVKAGMLLRELPSENEWTQDLDKVSLMENSILGIPPHISPKCGFLSTLLLQQNHGFERIPEVFFEHMHGLRVLDLSYTSIQDLPNSISKLENLTTLVLRRCYRLRYVPSVAKLRALRKLDLFNTAIEEVPHGMEKLVNLTYLALHSGSLKELPRGILPMLSHLQYLATTLNINGEEMTKLGKLETLTGSFPEVQDFQNYAKFIWGQWPTSYQLVVGSPWSAEHDDLTELFENPEEFHNGINLINCEIGREDLVLLPNDLHALAIKKCPNLLSLNTISLFHEANDLKICYISECEGIECALDLSLLSCNSIQNIAVLNLKGLCNLRQLVSGLAIESTSPATPAALAPPAIFSSLVTFELSNCSGMKKLFSREILRGFQNLEFLKVESCGKMEKIIAVEEDEGNGKGGGRSANITAFILPKLRRLVLWELPELKSISSAGVMIHADSFQYIWIVDCLKLKRISLSLALLENGQPSPPPFLEGIYVEPREWWKSVEWDDPNVMDVLSPFVACKED >EOY22275 pep chromosome:Theobroma_cacao_20110822:3:22744694:22746977:-1 gene:TCM_014494 transcript:EOY22275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MFLTFVHVRCRTEEIMSPAIMLKQYNATVLLNPVLFLPLLFSILYLIKLLRSSKLKLPPSPPKLPIIGNIHQVGKLPHRSFRTLSEKYGPLMLLHMGQTPTLVVSSAEIAREIMMAHDAFTERPRIRVADTLFCGCTDIAFCPYGDYWRQAKKICVLELLTQRRVRMFQLVREQEVSRMVENIRQSCHSGSSIGLCEMFETIANNIISRSVLGRVYEREDGNKSFGELSRRAMDLLGSFCFRDFFPSLGWMDVLTGLTAKLERISSELHTFLDQVIEEHLVLMNDDDKSDNKDFVDILLHLQQDGMLDIGLTQENLKAIVLDMFMGGTDNIAATMVWAMAELVKNPSIMKKAQEEVRRVVGRNSSVTETDINETDYLKCVVKETLRLHAPVMVSRQNPTGTKLQGYDIPPKTIVLVNTWAIQRDPELWDKPEEFIPERFLNSSVDFKGQYSQFTPFGAGRRGCPGISFAVAGAEYVLANLLYWFDWKLPDSQSCEDLDMDDYYALVIRKKVPLHLVPMLPSFS >EOY23982 pep chromosome:Theobroma_cacao_20110822:3:29198820:29199898:-1 gene:TCM_015702 transcript:EOY23982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAWKQRPTCRFCKAIDAHDPGIRCQCEENFQSSDLYVFLTFTLIVVSYSAFVLTGIHVDENYGARNRPHFVLHLDSCSVIDFRVMENQLVANWTAAFVLINKKNDIEISIEPFDLLVFYKRTSLVSCASMAEPLVLKTKYQAVSP >EOY22040 pep chromosome:Theobroma_cacao_20110822:3:20928392:20929490:1 gene:TCM_014225 transcript:EOY22040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRKKERKNRERGRAKRMVLLHFEEGKREFLLQFWRKKKAENFELEILKVLLPLKGSKVLPICAEKLELFAANLCRKIGAVCAKKLELFVAEKLELFVQKNWSYLLPICAEKLDSIVLPI >EOY21230 pep chromosome:Theobroma_cacao_20110822:3:4325034:4325940:1 gene:TCM_012619 transcript:EOY21230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPTVGKPLILYLTVNKDSMGCVLGQHDETGKKERAVYYLSKKFMEYESKYSALEKMCCALSWTAQRLRQYMLYYTTWLVAKLDPIKYIFEKPYLSGRIARWQVLLSEYDIVYVSQKSIKGSAIADFLADRANEDYESVSFDWHPMLWGTELGQC >EOY24554 pep chromosome:Theobroma_cacao_20110822:3:31415613:31418772:-1 gene:TCM_016126 transcript:EOY24554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper chaperone for SOD1 MAFLRSLTTATAIAAASALPTAFAFSSSSSFKSSNFSNTQSLSFLSSPTNRLGLTRTFASSPTALHMDSPTSDYNPSQDGSLPDLLTEYVVDMKCEGCANAVKNKLQTVNGVKSVEVDLSNQVVRILGSSTVKTMTEALEQTGRKARLIGQGVLEDFLVSAAVAEFKGPEIFGVVRLAQVSMELARIEANFSGLSPGKHGWSINEFGDLTRGAASTGKVFNPSNEGTDKEPLGDLGTLDVDKNGEAFYTGVKQQLRVADLIGRSIAVYETEDKSDQGRTAAVIARSAGVGENYKKICACDGTTIWEASDKDFVTSKV >EOY23098 pep chromosome:Theobroma_cacao_20110822:3:26200542:26207385:1 gene:TCM_015096 transcript:EOY23098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon, unclassified-like protein MESSIGNQDAPVPSYIQDLMRMIQTSQERMQILEDNNKRMMDTISQFASSTVTTFQAQSVHPNESAPAGVTHLVTNIEENGGNGEGAVDVVVAANPNPTNTTIAVTPTTTSTAVIPPIPTQGFVTLEELQKLLDQKNKSLNFSEFDLKLPYPASVAAKPYPKDYTSPKFKQFNGKTGDAREHVMKFVETLGVAGLDDDLKLKEFSKSLTEKAYTWYVNLTPGSVQSWNQMCRMFGEKFFSTQEKVTLVDLGREFQKSREDLMEYIQRFRERVLDIQESHDEKELVKVCIQGMFDEYRLHLENLPLPTFATLVEAARRTNNTVFRQKGLTRFGRRNNPTVNAIQGGGRERRGPIRANLRPRRDVPRRGLDEENDSSPPFSVPLDRVRALLQEWVRDGQINLPYTPRPPTTEEKANPRYCDYHRTVGHPLAECRNLRRMFHRRVQAGEVLIGNNRVQNNPLPIHPNPRGQVSAIIHAHHDDPSSSNTQFNDANEATRVTSSIANSLMKTPSFRHFFDQLGFSEEARKEAAISLVQIAGEQYGECNLIGRPMGKMVGAYKNAIVFTEADMCTPHPYHNKPLYVESTINGYPIRRTFIDDGSSVNLIPLSTLKAVNMDLKSLRRPMAITSFDNKEIITLGQVTVNFKMGPIQDQTCFHVIDANVAYHALIGRKFLHMHNIIPSSRHQCIKGYWKGKEVFIPATKAPFELHEVSYIEASFFEDLATDGETAIVRPIGVPFPRWEKYENKTESNGKKKERGGKRIRENTSTYKEVNEEKMSNGPPDTSPSCFQVEAEKEKVQEVLSTEEQLSDQPKPQGDELEEINMAEEGETSKPLFISKSLSGEQKVVLIELLRKFQDVFAWTYEQMPGLDENLIIHELHISPQSKPVKQHARVFHHEIEGQIKEEINKLLKVGFIKPIHYPTWLANVVPVKKKNGTIRVCVDFRDLNKACPKDDFPLPNIDTLVDATAGHEMFSFMDGFSGYNQIKMAREDAEKTAFRTPFGNFYYTVMPFGLKNAGATYQRAMTAIFHDMMHDFMEDYVDDIVVKSKKAFNHFEDLKKVFERCRKYNLRMNPLKCAFGVTAGRFLGFMVHRKGIDVDPTKIKAIQSMPSPMNQKQLKSLLGKVSYIRRFIPALGEIIVPFQALLKKGVPFIWGEPQQQAFEKIKKILTSPATMIMPIKGKPMMLYLTSTPYSIGALLVQEMDGEEKPVYYISRCLHGSELNYPPMEKHCLALVYTTQKLRHYFLAHKLIIVTKSDPIKFLLSKPVLSGRVAKWLLLLGEFDVSVVQPKAIKSQALSDLLAYFPSPFEEIISDAIPAEFHEEVCAVNIEEGEWSLYFDGSSNSFGGGAGIVLIPPNKEDNSAVQSSELVASHLSRGHISLKRKGLRVLEAPSEDENFLISCLELNSFESSREPISELLLPPIYDEEDWRKSIIKELLNPSSTAIPRLKHYILIHGTLYHKGSNGVLARCVSEEEAKERLRIAHEQWCGEEGPPLHRLIQRAGYFWPSMSKDALQLQKACIRCSEPPDVQECNFVGSAGDWRRPYIDFFQNGILPANFQDARQLKRRAQRFFLKGNDLFRLSFAGTSLKCVSPADVNPFRNFTAFILAVFYYL >EOY24227 pep chromosome:Theobroma_cacao_20110822:3:30161450:30165996:-1 gene:TCM_015893 transcript:EOY24227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase with RING/FYVE/PHD-type zinc finger protein, putative MDLERSMNYNLRKRRVLNKKIANLGSTSGSDNESNDSTHSDPDYGKPMPARNNGRPSGATQRGGGIRIGDTALASSSKNAATAQKRRPGRPPKAKANGAEDRPGNIEERSTRRKRKDISQSPVECIYKRTVLAWLISLGIVGQHEKVWYVDEDSGRILAEGKIRAEGVVCDCCSRVITVGDFEIHAGRDTKKPYQHILLASSRISLLECQIEAWLDRMEEGNRAYNNVQPAPEATDKSDDACMVCADGGNLVCCERCPSTCHSSCIFLGNNISGDEWLCPYCVCKYCAGGDGTLKKCTHCEKQYHCKCGGEKLDLNSPTSLFCGDSCKQIYEGLQSMLGVRNDLVGGLSWTLLQRTEPIFTAHAGVDYNRVVVNSKIAVAWMVMNECFVSSIDRHSGANVVQSIVYNIGSNLTRLNFSGFYTAVLEKNDEIICAASVRVHGKRLAEMPFIGTRTEFRRRGMARTLENCVESALCDLKVEKLVIPSLQQLAGMWMEKYLFSHIEEDRLKMELSQYNTVTFPSSVVRLQKTLSTLPDLNGGPSPSETNEGSLNAI >EOY22821 pep chromosome:Theobroma_cacao_20110822:3:24892992:24894369:1 gene:TCM_014879 transcript:EOY22821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTALAILPLRQLQCHFLSQFQLLLPELYPPLKSEQSSFPHKHLFCFASESLVPSDAFLKASSTTGMISLIMLSSLWG >EOY24405 pep chromosome:Theobroma_cacao_20110822:3:30844353:30849666:-1 gene:TCM_016013 transcript:EOY24405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMLGDFDLNSMQGYTDSLKDIFKQTMLNQEIVFRKQVHELHRLYIVQKTLMKDLAPLEHEKYNSWKAKAQSLPQETRLPSNSVPMVGSTVSLSQELLEGWKGKYHKFPQRSFDLQLLPDQYISRNDNNLPHKGKDGEHLKETVDLNSLHGGDFSVPLELRLSLSLGVANRTKEDKNRSWYDKKTNTFPEIVIDLEESTEKPSESGGKHDSQVTITSDPITSRSMKKDPSHKIAESSSFDGDGKCVQDWNYSGQGLKRHENLPYENIFIRKRKFISYGVGHVDLNEVQLDDLSCHSDDAIVTHPSTTSSSADFSGLVSRSEDPLCPTTFWRKEIKEFPNDAFEMLQQDDGVNLALMNSNNKDRRTEFQVRNSELNGRNECEKSLISPAYVSRPQINLSEDFGSLSENTKLGKDELMLELQNCPGHGPKPAYLVARQVGCEKTEAGDTLLLCSDQIQFTIEDEHPDKSPASGKSSCISDNDSRTVRTVQSGIEPHNSNLPASDQFSGTHGGSHTAEILSGEQDQRSSDSNEIKHDCYNKIEESAEVDDLIQIAAESLIHISLENSSCYQESSTQTGSNELDNEDKEQPQCSSDSFELMTLKLTESSADDFSVSSKPFEVSDSERKDFGIKLRRGRRLKDFQRDILPGLASLSRHEIREDINILEGVLRSREYRKMRAKMANGESWCTPVRSRRSRLNYVGRKTFR >EOY23985 pep chromosome:Theobroma_cacao_20110822:3:29213577:29214260:1 gene:TCM_015705 transcript:EOY23985 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG2-like (avirulence induced gene) family protein, putative MAEAKQALIFTYGTLKHGHGNHYLIEDLIRQKDSVFLGAHVTHQPHPLVIGPYGIPYLINLPGHGHRVKGELYSVSTRGLARLDELEGTSIGHYERLPIQVYEEEKGGVSVAAEAYFAHRDFGERLWERRGKVGLNEFGEKEGKGYVSRDIRPQESSIIHDITSFVTINE >EOY24279 pep chromosome:Theobroma_cacao_20110822:3:30384938:30391896:1 gene:TCM_015928 transcript:EOY24279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA oxidase 1 MEALDYHAGERSKAEFDVEEMKIVWAGSRHAYEISDRIARLVASDPVFRKDNRTMLSRKDLFKDTLKKAAHAWKRIIELRLSEEEASRMRFFVDQPAFTDLHWGMFIPAIKGQGTEEQQQKWLPVAYKMQIIGCYAQTELGHGSNVQGLETTATFDPQTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLITDGQDHGVHGFIVQLRSLDDHLPLPGITVGDIGMKFGSGAYNSMDNGVLRFDHVRIPRDQMLMRVSQVTREGKYMHSDVPRQLVYGTMVYVRQQIVLDASCALSRAVCIATRYSAVRRQFGSQNGGPETQVINYKTQQSRLFPLLASAYAFRFVGEWLNWLYTDVTQRLQANDFSTLSEAHACTAGLKSLTTTATADAIEECRKLCGGHGYLSSSGLPELFAVYVPACTYEGDNIVLLLQVARFLMKTVAQLGSEKKPVGTTAYMGRAEYLMQCHCDVQRAEDWLKPSVIVEAFEARAARMSVACAKSLSKFSNPEEGFAELSADLVEAAVAHCQLIVVSKFIEKLQRDIPGKGVKRQMEILCNVYALSILHKHLGDFVSTGCITPKQGALANEQLRLLYTQVRPNAIALVDAFNYTDHYLSSVLGRYDGNVYAKLYEEAWKDPLNDTVVPDGYHEYIRPILKQQLRTARL >EOY24793 pep chromosome:Theobroma_cacao_20110822:3:32239503:32242365:-1 gene:TCM_016294 transcript:EOY24793 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein MSYSRETRSVSPARGRRSRSLSRSRRSRSRSRSRSQDASNPGNNLYVTGLSTRVTTADLEKYFGNEGKVVECHLVTDPRTRESRGFAFVTMETVEGAERCIKYLNRSVLEGRLITVEKAKRSRGRTPTPGRYHGLRDRRGQGRRRSRSYSPRRYDRDYHSRGRQGRSRSRSPYGRKRDDYDSYRRRRERSLSGDGSGYRR >EOY24558 pep chromosome:Theobroma_cacao_20110822:3:31431759:31434068:-1 gene:TCM_016130 transcript:EOY24558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGEENKKINKEEVIAKLKDDGDFDKLRLKIIRKLKDNDELRNNIIAAVKQSAALNRPGAENMKPRQLSDAIHEEVGNKVMGQISDSLWEVIRSEGGMRTEITETVQSVYNKLVNPRGKEEGESSIHDKMPVKKEAENNGFIKGSAGRVDDTLSDGDPKEPPGFSLSNIHHSNHHQQQNEAEQQLPRPYENGPIENWKEEPGHPQNGQEQDDVDVGVPPGFSEDIDQKQSCDVSDEDPDVPPGFG >EOY21320 pep chromosome:Theobroma_cacao_20110822:3:6133894:6143029:-1 gene:TCM_012797 transcript:EOY21320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQNDGSNRFQALVVETAADENENAEQGKTKWVNSTQQPANVLVLQTLDQARIQADNGVVSLMQAELPCGERLDLNSSKDEADKISCEVVVDHIQCLHIQLSFPWLPDPVFASVIYAKCTRLERNASWNCLRNLSTNITNAWLVGGDFNVILNREESLYGAASHDGSMEDFATTLLDCGLVDGGYEGTQFTWTNNHMFQRLDRVVYNYHCSEIFASTRIQHFNRDGSNHNPLLVSCSTSSNKGPSFRFLHAWTKHHAFLSSVESNWKQPIQGSGLHAFWGKQLRLKNSLKKYLKWWNRDIFGDIFSNLKAAENHAVVCETTFQKDPSSVNREAMHWSYANLSH >EOY21832 pep chromosome:Theobroma_cacao_20110822:3:18834513:18835699:1 gene:TCM_013964 transcript:EOY21832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 9, putative MEEVNIVKLHGIGANPHSKRVELALRIKGIPYEFIEEDLSNKSQLLLKSNPVYKKIPVLVHNEKPVVDSLIILEYIDETWKNAPQLLPDDPLERAKVRFRTSFIQQQLFEAMTRVVTCDGEIQAKAIDEVQGKMKVLEEGMKEVFPGSGSPSIDGDNLGLLDIMICSTFSPYKAYEEALGVKILDPERNPLVFCWVKALNELPLVKELYPPHDKLVALLKVVRENALKVSSST >EOY24565 pep chromosome:Theobroma_cacao_20110822:3:31464599:31468372:1 gene:TCM_016136 transcript:EOY24565 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein, putative MATKETDNQQQRSSQELPVVLVNRLPSFRLPSKPPFEDDLLSHFHLLDPHDSPEPYDYFLSRHASSIRALITVGPTPVTAEFLNLLPCLGLVVGTSAGLDHIDLPACRSRGIAVTNASAAFSEDVADCAVGLLIDVLRRISSADRFVRGRMWPAKKEYPLGFKLRGKRVGIVGLGSIGSEVAKRLSAFDCSIAYSSRKKKPSVPFPFYANVGDLASNSDVLILCCALTEETTHIVNKDVMIALGKQGVIINVGRGYLIDEKDLVQCLVRGEIGGAGLDVFENEPNVPKELLGLDNVVLSPHRAVMTPESFEALLQLTVANLKAFFSNKPLLSVVSNE >EOY24093 pep chromosome:Theobroma_cacao_20110822:3:29612860:29616909:-1 gene:TCM_015791 transcript:EOY24093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-like B1 MPLSSLISLSLLIESSMALSLQYLLILFAALLLTQSLAEAATCSNYFTHSRAAYYPNSDEHGTDVGACGFGSFGATINGGDVSTASELYRNGVGCGACYQVRCTNSNYCSDDGVTVVITDQGSGPNTDFILSRRAFGRMAQTTDAAASLLAQGVVDIEYRRVSCSYPNKNITIKIDENSNYPHYLAFVLWYQQGDKDITAVQLCETKNFVCKLLDRSYGAVWTTTSPPTGPLSLRMLLSGEDGDESWFVPINNIPENWKGGETYDTGVQINI >EOY20718 pep chromosome:Theobroma_cacao_20110822:3:691687:692966:-1 gene:TCM_012062 transcript:EOY20718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLPDESYTYDFTDQWVQIGLPAKIKVLEEANHLPFEEQCRLCEKSGTLTMRGGYYDFVNGTFEQWKMWTEPMPPMPMPF >EOY22887 pep chromosome:Theobroma_cacao_20110822:3:25178057:25179595:-1 gene:TCM_014925 transcript:EOY22887 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MHARFTKTFKVSPPLHFFLFSLSILISGSPKTKRRNQIKNFQNFSKLKKTRKGNKRSSCQGSPKVKKRRKSKTNLFPNIFSLPNELMTEVLARVAAYSFHDFFDVKLSCKVFHQIADDKYILQHVSLEKFPVTPWCSTKQSFFLEKCKRSGHPEALYREGVVEHFSFARVEEGLNCLNSAAKVGHLGASYVLGVILLCTEEPDQEQDGRRLLKLEKSKKGVRESRKKLNDTIRNIWLNNLLEGKPNCCPMRDQHRRRRGWPSDNEDDVDCEACGCDLEVIFVCNLLRGILTY >EOY24342 pep chromosome:Theobroma_cacao_20110822:3:30607470:30610393:-1 gene:TCM_015970 transcript:EOY24342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MESFSSFLFFYFCFFFVSASFFCHAPPLSLATSSVVNATASTTNSTGHHHKWIGPIGHQRITVDVNGSGHFRSVQDAVDAVSENNRKNVLIQISPGYYIEKVVVPATKPYITFQGSGRDVTFIEWHDRACDRGANGQQLRTYQTASVTVYANYFSARNISFKNTAPAPMPGMQGWQAVAFRISGDKAYFSGCGFYGAQDTLCDDAGRHYFKECYIEGSIDFIFGNGRSMYKDCELHSIATRFGSIAAHDRSSPDERTGFAFVRCKVTGTGPLYVGRAMGQYSRIVYAYTYFDDLVAHGGWDDWDHVSNKNKTVFFGVYKCWGPGAAAVRGVSWARELDFDAAHPFLVKSFVNGRHWIAQWDA >EOY21909 pep chromosome:Theobroma_cacao_20110822:3:19712540:19713004:1 gene:TCM_014080 transcript:EOY21909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKPSSFPEVTPKVHFAGFNLSWNFRNLLNNLSRLVAWSSVLRAFTIISSTYTSTSLCIISWKRVTIAR >EOY24138 pep chromosome:Theobroma_cacao_20110822:3:29804761:29809567:-1 gene:TCM_015815 transcript:EOY24138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant snare 11 MDPLAAVSEELAEIDGQIADIFRALSNGFQKLEKVKDVNRQSRQLEELTDKMRECKRLIKEFDREVKEMESRIDPNTNRMLNEKKQSMIKELNSYVALKKQHQSNLENNKRVDLFDGPNEGFGEENVLLASSMTNQQLMDRGNQMMDETDQAIDRAKKVVQDTVDVGTETAAALKAQTEQMGRIVNELDSIHFSIKKASKLVKEIGRQVATDKCIMALLFLIVAGVIAIIIVKLVNPNNKDIRDIPGLAPPAMNRRLLWTPNRRL >EOY24650 pep chromosome:Theobroma_cacao_20110822:3:31805445:31806293:-1 gene:TCM_016196 transcript:EOY24650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANNYPQFVAEITFLSAENLKKPSFALLSRRLRPIISISISKFNGNNPRADYKGDVLTLDDKFLVPIEANFFANRCSRIHLQLHTTWLLGRQAQLGWCWIGADDIGAPPVGSVRYLSYRLRSKDGTKGNGIVNLKLKLDSYGCPANSRQEVSPRSNYAHRINWPEES >EOY23345 pep chromosome:Theobroma_cacao_20110822:3:27060554:27063100:1 gene:TCM_015267 transcript:EOY23345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 1 MLCCRYALPLITKTTGSPRNTVVCAAKGPRPRYPRVWKSRRRIGTVSKSAKLVSCVKELSNVKEEVYGALDSFIAWELEFPLITVKKALKILQNEQEWKRIIQVVKWMLSKGQGRTMGTYFTLLNALAEDGRLDEAEELWAKLFSDNLESTPRIFFDKMISIYYHKGMHDKMFEVFADMEELGVKPSVSVVSMVGNVFQQLGMLDKYDKLNKKYPPPKWEYRYIKGKRVKIKVKQLEEFDKIAKGVTEDKETDENYDI >EOY23346 pep chromosome:Theobroma_cacao_20110822:3:27060978:27062675:1 gene:TCM_015267 transcript:EOY23346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein isoform 1 MKVCAAKGPRPRYPRVWKSRRRIGTVSKSAKLVSCVKELSNVKEEVYGALDSFIAWELEFPLITVKKALKILQNEQEWKRIIQVVKWMLSKGQGRTMGTYFTLLNALAEDGRLDEAEELWAKLFSDNLESTPRIFFDKMISIYYHKGMHDKMFEVFADMEELGVKPSVSVVSMVGNVFQQLGMLDKYDKLNKKYPPPKWEYRYIKGKRVKIKVKQLEEFDKIAKGVTEDKETDENYDI >EOY20677 pep chromosome:Theobroma_cacao_20110822:3:551852:553083:-1 gene:TCM_012035 transcript:EOY20677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MIQKNGTWSLVDRLADRNIIGVKWIFKKKLNPDGSLNRCKARLVAKGYSQLAGVDYGETFAPVARYDTIRLLLALAVALKWNVYHLDIKYAFFNGILEEEIYIDQPKGFELLSGENKVYKLHKALCGLKQAPRRWYSRINDHFIHRGFVKSQNEATLYTLELGNKLLLIVSLYVDDLLVTGNCEQALQNFKSQMQTEFEMSDLGLMRYFLGLEVHHLRTGIWLSQ >EOY23580 pep chromosome:Theobroma_cacao_20110822:3:27938406:27940434:-1 gene:TCM_015430 transcript:EOY23580 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWP-RK domain-containing protein, putative MDSTNLNFPKLVNAPDFDWFNFEENPFGTALELLPFVVDGFYDFNDYNFNSLAPPGRINYVEFKDFEDISGDFALFENTSTLPSLHEDILVDAKPLKAMVGPLGQYCGNITNIGGSSETLTVIQSDYGASSGHGEQRRISGRKRTAPLELDEIQKYFDFPISKAAKEMNVGLTVLKKRCRELNIMRWPHRKIKSLTALINNVKELGLTNEIMMLEDHKRLLEKLPDMELTERTKKLRQACFKANYKKRRSLAACY >EOY24641 pep chromosome:Theobroma_cacao_20110822:3:31773541:31777387:-1 gene:TCM_016192 transcript:EOY24641 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like 2 MASGDLERGGGSNGKNRANNYYQSASTGPYYMESAEKQWTSWLVPMFVVANVAVFVVVMYINNCPKNNLGIEGSCVAKFLGRLSFQPLKENPLFGPSSNTLEKLGALEWNKVVHGDQGWRLITCIWLHAGVIHLLANMLSLVFIGIRLEQQFGFVRVGLIYLLSGFGGSILSSLFIQRNISVGASGALFGLLGAMLSELLTNWTIYTNKAAALITLVIIIAINLAVGILPHVDNFAHIGGFFAGFLLGFVLLLRPQFGWVERQHLPAGARVKSKHKAYQYVFWVIAVVLLIVGFTVGLVMLFRGENGNDHCSWCHYLSCVPTSKWRCGN >EOY24413 pep chromosome:Theobroma_cacao_20110822:3:30875230:30877501:-1 gene:TCM_016020 transcript:EOY24413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MACKTQITTIFFSIKFHLFLLSIINSASSHQILNTESTSNRTTTEDCNNRWIYIRNLPSRFNLDLLTNCSEYPLFDDFCPYLANHGLGQKTHAKSRSWYRTDPLLLELVFHRRILEYPCLTDDPNVANAIFLPYYAAIDSLRYLYGPDVNSSFQHGMELFDFLQSDEPGIWKRHMGHDHFLVMARPAWDFSQPLNNDPPIWGTSFLELPEFYNVTALVPEGRAWPWQEHSVPYPTSFHPQNLAFFEAWIQRVKRSKRTTLMLFAGGGGIGATPNIRRSIRNECENSSINNNSSRFNVLENNVMYSKICDIVDCSNGICEHDPIRYMKPMLQSTFCLQPPGDTPTRRSTFDAILAGCIPVFFEELSAKMQYVWHLPEEKYAEFSVFIPKEEVVFKGLRILDVLMGIPRSEVRRMRESVQDLIPRVMYRRHGSSLGLRTKKDAFDIAIDGTLQRMRERLANILAR >EOY21858 pep chromosome:Theobroma_cacao_20110822:3:19174062:19174552:1 gene:TCM_014005 transcript:EOY21858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWKSRTKWVGKRDRNIRYFHAMASSRMRVNRMDKIKGMDGMKETLEAIKGEVEKHFQKLYGEKEVLELIKLDYNFEKLKSDSASFLERSFKEEKVWGTIQGCNGNKAPRSDNIT >EOY23443 pep chromosome:Theobroma_cacao_20110822:3:27422648:27426191:1 gene:TCM_015336 transcript:EOY23443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor, putative MDQSILCPIKYTEHKNVTKIFRKPSLKPKKTSSDHDRTHNNNTPDVPRIVRVSVTDPDATDSSSDEEADFFGRQRVKRYVNEINIEATCKNTATTTISNTNRKRTAAAADVTTACRRPLKLSSSNGNGRKFRGVRQRPWGKWAAEIRDPARRVRLWLGTYDTAEEAAMVYDNAAIKLRGPDALTNFVTPPAKENKEKPEIINVASVSGYESGDESSHNLSSPTSVLNFRTQSSEETAVEPEKPVQELQEEESKPPPVQEQVVPSECQGETGTNLPDDSGDYLPLDFPFLDEFFNIPVPGLSLFDDTATILPESSVFSEDFSNMLLDTPQDFGCSSSSSISQVDDYFEDIGDLFFSDPLVAL >EOY21126 pep chromosome:Theobroma_cacao_20110822:3:3252782:3257604:-1 gene:TCM_012484 transcript:EOY21126 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X-like 1 MSFQGRNRSRNPSQSTRQEWVAGGYSSTATTVVSNSAATFNSTPNVSHTSTQNDNRYRKIGRPTNHRRDREKERNENHVAVKKEMDPNLPQLVQEIQDKLIKSTVECMICYDTVRRSAPIWSCSSCYSIFHLNCIKKWARAPTSVDLVVEKNQGFNWRCPGCQSVQLTSSKEIRYVCFCGKRTDPPSDLYLTPHSCGEPCGKPLEKVLGLGAGVMKDELCPHVCVLQCHPGPCPPCKAFSPPRLCPCGKKVITTRCSDRKPVLTCGQRCDKLLECGRHRCELICHVGPCDPCQILINAPCFCRKKVEFVICGDMAVKGEVKAEDGIFSCSSTCGEKLRCGNHNCAEICHPGPCGDCELMPSKIKSCYCGKRSLQEQRQSCLDPIPTCSEVCAKFLPCRVHQCDQVCHSGDCPPCSVLVTQKCRCGSTSRRVECYKTTLENERFTCDKPCGHKKNCGRHRCSERCCPLSNSNNLPSGDWDPHFCHMACGKKLRCGHHSCESLCHSGHCPPCLETIFTDLTCACGRTSIPPPLPCGTPPPSCQLPCSVPQPCGHSSSHSCHFGDCPPCSVPVAKECIGGHVVLRNIPCGSKDIRCNKLCGKTRQCGLHACGRTCHLAPCDISSGSEPGFRTSCGQTCGAPRRDCRHTCTAPCHPSAPCPDVRCDSRVTITCSCGRITASVPCDAGGSTSSFNADTVYEASIIQKLPVPLQPVDSTGKKIPLGQRKLMCDDECAKLDRKRVLADAFDITSPNLDALHFGENSVTSELLSDLYRRDAKWVLAIEERCKFLVLGKSRGTATGLKIHVFCPMLKDKRDAVRIIAERWKLAVSAAGWEPKRFIVVHVTPKSKPPPRIIGVKGATGVGGLHPPVFDPLVDMDPRLVVSFLDLPREADISALVLRFGGECELVWLNDKNALAVFSDPARASTAMRRLDHGSVYYGAVIFVQSAGTSVASTANNAWGGAGASSALKGNPWKKAVVQELGWREDSWGSEESYGGTSDPGSVWKAKETPIASSINRWSVLDSERGLSSFSRTVQTEDPSKLAGVLSNSGMDSNTANSNSAGLPGGGFNEPEPSEVVDDWEKAYE >EOY22881 pep chromosome:Theobroma_cacao_20110822:3:25164595:25170010:1 gene:TCM_014922 transcript:EOY22881 gene_biotype:protein_coding transcript_biotype:protein_coding description:LUC7 N_terminus domain-containing protein isoform 3 MDAQRALLDELMGTARNLTEEEKKGYREIRWDDKEVCGFYMVRFCPHDLFVNTRSDLGPCPRVHDQKLKESFEKSPRHDAYVPKFEAELAQFCEKLVMDLDRRVRRGRERLAQEVEPAPPAPVSAEKSEQLSVLEEKIKNLLEQVENLGESGKVDEAEALMRKVEVLNAEKTALTQQPQNDKVLMLAQEKKMALCEVCGSFLVANDAAERTQSHVTGKQHIGYGMVRDFISEFKAAKEKAREEERLAREKEVEERRKQREKEYESRRRRSDSDDRDKYRDRDKDRDRYRERDLERERSREWNGRGSRDGERDWRYKNGRDGGRDRHKDRSRSRSPGRHSHRRSSRSPGRRY >EOY22882 pep chromosome:Theobroma_cacao_20110822:3:25164595:25169790:1 gene:TCM_014922 transcript:EOY22882 gene_biotype:protein_coding transcript_biotype:protein_coding description:LUC7 N_terminus domain-containing protein isoform 3 MDAQRALLDELMGTARNLTEEEKKGYREIRWDDKEVCGFYMVRFCPHDLFVNTRSDLGPCPRVHDQKLKESFEKSPRHDAYVPKFEAELAQFCEKLVMDLDRRVRRGRERLAQEVEPAPPAPVSAEKSEQLSVLEEKIKNLLEQVENLGESGKVDEAEALMRKVEVLNAEKTALTQQPQNDKVLMLAQEKKMALCEVCGSFLVANDAAERTQSHVTGKQHIGYGMVRDFISEFKAAKEKAREEERLAREKEVEERRKQREKEYESRRRRSDSDDRDKYRDRDKDRDRYRERDLERERSREWNGRGSRDGERDWRYKNGRDGGRDRHKDRSRSRSPGRHSHRRSSRSPGRRY >EOY22883 pep chromosome:Theobroma_cacao_20110822:3:25165786:25169528:1 gene:TCM_014922 transcript:EOY22883 gene_biotype:protein_coding transcript_biotype:protein_coding description:LUC7 N_terminus domain-containing protein isoform 3 MLRCCWFFFLSFEKSPRHDAYVPKFEAELAQFCEKLVMDLDRRVRRGRERLAQEVEPAPPAPVSAEKSEQLSVLEEKIKNLLEQVENLGESGKVDEAEALMRKVEVLNAEKTALTQQPQNDKVLMLAQEKKMALCEVCGSFLVANDAAERTQSHVTGKQHIGYGMVRDFISEFKAAKEKAREEERLAREKEVEERRKQREKEYESRRRRSDSDDRDKYRDRDKDRDRYRERDLERERSREWNGRGSRDGERDWRYKNGRDGGRDRHKDRSRSRSPGRHSHRRSSRSPGRRY >EOY21006 pep chromosome:Theobroma_cacao_20110822:3:2237576:2242036:-1 gene:TCM_012322 transcript:EOY21006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transport protein family MASWVKKLSRPRVTNCRFRLRFSSPASISCPPKPLEVVLAANLGCTRCQKRVADAISRIDGEGRDRFCCMVMKINIDCNGCYRKVRRALLDIQELDTHLIEKKQCRVSVCGRFIPQDIAIKIRKKTNRRVEILEIQEFNIDNEQISHEEKALISSWNPESNQNHVATCVTCT >EOY24297 pep chromosome:Theobroma_cacao_20110822:3:30464291:30468864:1 gene:TCM_015941 transcript:EOY24297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase 1 MKFRLSQHVPMFPPYSHAGHVHIHRRFFSWYAKQFPTTSQARASVSGGNSDSQGNAFLLPGATVATLLMLGALHARRLYDDKKVEDAREKGVEFEFQPDVKAAFLRMLPLRSISRVWGFLTNVEIPVWLRPHVYRAWARAFHSNLEEAALPLDEYASLRDFFVRTLREGCRPIDPDPYSLVSPVDGTILRFGELKGAGAMIEQVKGFSYSVSSLLGASSLLPIIAEGHTQDDSSTIEQESSQREKSKKSWWRISLASPKVRETVSACCVYSSNSLDCWTIQDILFPMKGLYYCVIYLKPGDYHRIHSPVDWNVLVRRHFAGHLFPLNERATRTIRNLYVENERVVLEGLWQQGYMAVAAIGATNIGSIELFIEPELRTNRPRKKLLHSGPPEECVYKPEGVGVMLKKGEEVAAFNMGSTVVLVFQAPTLKSPKNSNASEFRFSIRRGDRIRVGEAMGRWHDS >EOY22990 pep chromosome:Theobroma_cacao_20110822:3:25675253:25677481:1 gene:TCM_015009 transcript:EOY22990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSLIIEELELSQCSQVGLYWDWDPPNLCSKSKIYPQPSDPVLLVSKAQTCIGRLSLELDKTEDRACVFVIWWILQENPQIQLN >EOY21489 pep chromosome:Theobroma_cacao_20110822:3:9313954:9326513:-1 gene:TCM_013100 transcript:EOY21489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLEKNCGSKEVAPWGRWAHRLASRLGAQASERTGHTGHQKKNAQHSRQTNVKLGHVDGQGNLFDAWEPLASLGLPVTGLEH >EOY21878 pep chromosome:Theobroma_cacao_20110822:3:19337466:19340184:-1 gene:TCM_014030 transcript:EOY21878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQSSTKVEYMAAALAANHTLWPRKMLKDRGFKQNEGNVLWINNRSVIAIAQNPMYQGRTKKSLLNFEG >EOY21796 pep chromosome:Theobroma_cacao_20110822:3:18660179:18662067:-1 gene:TCM_013934 transcript:EOY21796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S4 MVHVSFYRNYGKTFKKPRRPYEKERLDAELRLVGEYGLRCKRELWRVQYALSRIRNAARDLLTLDEKNPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKAGMAKSIHHARVLIRQRHVRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRRNQRAAAKKAAGGDGDEEDEE >EOY22799 pep chromosome:Theobroma_cacao_20110822:3:24843391:24846974:-1 gene:TCM_014866 transcript:EOY22799 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD superfamily, subfamily IIIB acid phosphatase MLFFKLFLLFSLLSSTFCHETFNAHLLPRPLIIQYPEGIETQFENFDEELQLQCTSWRFTVETNNLSPWKTIPEKCGGYVKDYMTGRGYTMDLERVANEAGVYAKSVELSGDGKDVWVFDIDETLLSNLPYYAEHGYGLEIFYPVEFDKWVQRGMAPAIDPSLKLYEMVLDLGFKVFLLTGRSEEQRSVTIENLTKAGFQSWDKLILRDSEDHGKLATVFKSEKRSKMVEEGFRILGNSGDQWSDLLGSSPSSRSFKLPNPMYYIP >EOY22941 pep chromosome:Theobroma_cacao_20110822:3:25434281:25462101:-1 gene:TCM_014971 transcript:EOY22941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 706, subfamily A, polypeptide 6 MPNLSSMVAVLACGGLSWCSNDNAEHTIIRATATLLAAALAIACHAWWVQKFTKSVPPLPPGPRGLPVLGNLPFLQPDLHRYFSKLSQIYGPIIKLQFGSKICIVVSSASVAKEVLQDHDAIFANRDPPTVAIIGTYGGCDMNPEQSSLPTVSIPKAIKPTTTSDEPNAVI >EOY21787 pep chromosome:Theobroma_cacao_20110822:3:18380472:18383107:1 gene:TCM_013908 transcript:EOY21787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein MLEGPSYLVSRDLPSSCEHESKWIYNTFCVIELSRSKHRMEDGEDQMARKVLKPLEGDEDEGIEQDMYLAQTDEPGTQRHAGDHSESSLLIHQLGRDISINCLLRCSRSDYGAIASLNKGFCSLIRSGELYRLRREMEIVEHWVYFSCNLLEWEAFDPICHRWMHLPRMTSNECFMCSDKESLAVGTELLVFGKEITSHVIYRYSILTNTWSSGMKMNTPRCLFGSASLGEIAILAGGSDPCGNILSSAELYNSETGKWVTIPSMNKARKMCSGVFMDGNFYVIGGIGVGNSKTLTCGEVYDLKTKTWREIPNMFPARNGGAGATEAPSAAEAPPLVAVVNNELYAADYALKEVRKYDKEKNLWVTLGQLPERAASMNGWGVAFRACGDRLIVIGGPRALGEGMIELNSWVPNEGSPQWNLLASKPSGSFVYNCAVMGC >EOY21522 pep chromosome:Theobroma_cacao_20110822:3:10394997:10395735:-1 gene:TCM_013214 transcript:EOY21522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVFDRDAYVLIDSGSDRPYVSTTFASFSDRNLSPLEEEIVVNTPLGEKLVRNTCYRDCGVMVGEEEFKGDLIPLEIRDFDLILGEHRVLPSCVISAIKALKLVQKGYPTYLAHMIDTSKGEPKLEDVSIVNEFSDIFLDELPGLPPD >EOY21372 pep chromosome:Theobroma_cacao_20110822:3:7285642:7289409:1 gene:TCM_012901 transcript:EOY21372 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAR-binding filament-like protein 1-1 isoform 2 MSSPLHGHPTVSPTSFLGVSAFQFLQPPTRSAAVSFLRGTAIAQILYKKGTSLYLQNRLNNSRSKKLISVAPRCSRSSNSNESKDQSKFAGVDPLQAGNVVQLVLVLGLTVGWISTYIFRVSNKEMTYAQQLRDYEDKVMQKRLEGLTEAELEALLEQVDEEKRSQASGEQVN >EOY21371 pep chromosome:Theobroma_cacao_20110822:3:7285642:7289136:1 gene:TCM_012901 transcript:EOY21371 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAR-binding filament-like protein 1-1 isoform 2 MSSPLHGHPTVSPTSFLGVSAFQFLQPPTRSAAVSFLRGTAIAQILYKKGTSLYLQNRLNNSRSKKLISVAPRCSRSSNSNESKDQSKTPFGYTRKDVLLIGVGVTVAGVGLKSGLEFAGVDPLQAGNVVQLVLVLGLTVGWISTYIFRVSNKEMTYAQQLRDYEDKVMQKRLEGLTEAELEALLEQVDEEKRSQASGEQVN >EOY23615 pep chromosome:Theobroma_cacao_20110822:3:28033861:28036476:-1 gene:TCM_015453 transcript:EOY23615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR6 MAVASLTTMKKTAMVLRHRTQAPRRYSDTIFGDEDGLDFEDVSCQRCGSGDFGSKLLLCDKCDKGYHIFCLRPILASVPKGAWFCPSCSNIKKPQLFPLVQTKIVDFFRIRRLPESTERLSQTNIKKRKRASGLAMSKKRRKLLAFNPTEDPQRRLEQMASLATALESSGTEYSNELTYRPGMAPRSANSAALEKGGMQVLPKEDIETLNLCKRMMEEGEYPPLLVVFDPVEGFTVQADRCIKDLTIITEYVGDVDYLNNREHDDGDSMMTLLHASNPSKSLVICPDKRGNIARFVNGINNFSPDGKKKQNVKCVRYDVNGECRVLLIANRDIRKGEKLYYDYNGYEHEYPTEHFV >EOY21741 pep chromosome:Theobroma_cacao_20110822:3:17808027:17811580:-1 gene:TCM_013849 transcript:EOY21741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRCRSPIGWLEVGERKLLGPELVQDATEKIHMIRQRMLTAQSRQKSYADNRRRDLEFQVGDHVFLKVSPTKGIMRFGKKGKLSPRYIGPFEILEKVGAVAYRLALPPDLSNIHPVFHVSMLRKYNPDPSHVIRYETIQLQDDLTYEEQPVAILDRQVKKLRSKDVASVKVLWRNHTSEEMNLNVNFKRVMPVRVGNVYHYFDSKS >EOY21834 pep chromosome:Theobroma_cacao_20110822:3:18839820:18841194:1 gene:TCM_013966 transcript:EOY21834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TAU 10, putative MSHGIAITGDGEGNSYYLLLTYLTEFLFLRNYYSVMQFEEDLSNKSQVLLRHNPVHKKVPVLVHKGNPIAESCVILEYIDETWKVAPRLLPDDPYQRAQVRFWASFIQQQLFEAIGWIITSNAEAQEKNTVELLQQMDVFEETMKEFYAEGIQGIRNDNLGLLDILVCATFGPYKALEEAAGVKILDPERHPFIYSWVTNSNEVPVMKEATPPHEKLLALLQIVRQNALKSSTSQS >EOY20890 pep chromosome:Theobroma_cacao_20110822:3:1675435:1675996:1 gene:TCM_012229 transcript:EOY20890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRSNGYTPRQNSGWDTTSQDYRAHLARMERQPTMIPGASQYPTVHKAFNNKYSSEEEVVEFKEEHHQKQRSPKSEKKVQIVEHVETIEETTDGNYEVHEETTVDVEAGGYIQQKHKAFELCKWKTFKVH >EOY20699 pep chromosome:Theobroma_cacao_20110822:3:643759:644881:-1 gene:TCM_012051 transcript:EOY20699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNGKWGPLAQVVIRGCVGHWPYWNHLFEGPPAVGKRVGHAPPGLWGEASWVGVGIPAAQPPPQPARLGQSSYCCCSFAGSNLLSVHVHFLFLSLFRL >EOY21493 pep chromosome:Theobroma_cacao_20110822:3:9427251:9428723:-1 gene:TCM_013116 transcript:EOY21493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPPKRYKLIAQRGQSSSSSTTRFQALNFPNVLVYECYKNLFASRVVYHSWVIDLKFLEAFSFPYNENFRNLDWHDYLSLNIPMFEKLVRVFYSNASVNYKDENDALRTYDNAFTTYIMGRLFKITLELIRNAFGLHSAPDAMTHISDNLVEQDLERALCEPFSQSKIWNLDRAIISRMGYTEYVETSICVHKRARQKNAGNYNDDEDIPSAALTKPSSSAMPSSSIANMGTDARLDLCLCNLVLATKTNTS >EOY24865 pep chromosome:Theobroma_cacao_20110822:3:32498362:32499555:-1 gene:TCM_016348 transcript:EOY24865 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0706 protein, putative MFLFLKSLSRSVHEEHQEQVEYLSKSTQGPCTSLTVWRKSLIVNCNGFTVIDSDGNLVYRVDNYMGRPKELILMDGSGKSILTMRRGKNLGLVDTWLVYRGEVDDYCTSSTPSEKPIFYVRKRINILHANPNVLAYVYRRSSDKRYAYMIEGSYSQRSCKVLDETKRVVAEIRRKDAIIGGISLGLDVFLLRVQAGLDPGFAMALVLLLDRMFS >EOY21874 pep chromosome:Theobroma_cacao_20110822:3:19297661:19313982:1 gene:TCM_014021 transcript:EOY21874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKWVSCFACVAMPSLRLSISSYHPASYVLGYKKFLYVFLRSWFCLHLSLHSFSLFISLIRVFSSGNDFRICDCLLFFFFTFLFLCCVLSCFILLFELLILLFGLLNFCSLWDEIFILFYIVLRIAMNLFDLSCCSSCYYESILNFPFAIPCWEKMRYFVLNFT >EOY21857 pep chromosome:Theobroma_cacao_20110822:3:19172183:19172754:-1 gene:TCM_014004 transcript:EOY21857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCESLVKAEQLDSLSGKLGSGDVWCGRSYAREDSCSEVQFQGADRRVDGYGYYFWSNQVDRHYWIVMCLANAASLRFLLHPAS >EOY22825 pep chromosome:Theobroma_cacao_20110822:3:24910311:24911713:1 gene:TCM_014883 transcript:EOY22825 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM domain-containing protein / ABA-responsive protein-related, putative MDFKMANTKNLRKESSNLASRLRDHVKMGSKLSETVKGKLRLGTKIIQEGGRENIFKQMFGMTEGEEMLKASQCYLSTTAGPIAGLLFISTEKVAFISDSLITVSCATGQSIRIPYKVMIPIRKIKKANRSENVNKPAEKYLEVVTKDCFEFWFMGFLRYEQALDSLQKALWLVDTQLTPKCRRRLFLKSA >EOY22833 pep chromosome:Theobroma_cacao_20110822:3:24930723:24936084:-1 gene:TCM_014887 transcript:EOY22833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin F MEQSSWDFWVEEALLKLESLKLLRPIRPIQLSKKQATEKKDDEEYEVFNELQPWDRSTVEVSISESFFQQWLRGDNEVLRRDDLPVDKDNANQQKLKKLVLFCGNDFLGLSSHPTIAKATAKAATEHGMGPRGSALICGYTNYHRSLESSLADLLKKEDCLLCPTGYAANTALMVAIGSIAPLLAAGKKPSKEEKIAIFSDALNHLSIIEGIYLAGRRGGVELFVYRHCDMSHLNELLTSCKMKKKVVVTDSLFSMDGDFAPMLELAELRKKHGFLLVIDDAHATFVCGENGGGVAEKFNCETDVDICTGSLSKAAATLGGFIACSKKWKQFIQSRGRAFIFSTTAPVPFTSALHAAVIVASKETWRRKAIQERMREFHALTGIPITSHIISIVVRTEEKAVQASRYMVKSGFYVTPIRPPGVSPDSCRLRVTLTAAHTTDDIKRLAAALSNCINFQDISSRHSNLLAKL >EOY23430 pep chromosome:Theobroma_cacao_20110822:3:27386059:27388067:-1 gene:TCM_015327 transcript:EOY23430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated/translation elongation factor EF1B protein MDYDFRNRTGPPYEAQIPMYRQQPTSSSSSSSMPSSHPMYGPSLYPRIGQPAHTVVPPAPRIPSFHHTSSPSSSSGLGVRVALKPEYRITPPPQLLPQVGDIPRSNFQFDFGFERKILAEAENESMNFSRLGLESLSKSTESTSSSGANADPVVSKYIASGLNREAVTVAVANYGDNPTKVREFVHSYNLLREMGFSSNNVAEALLMYENDTDKALAHFLNNSS >EOY22270 pep chromosome:Theobroma_cacao_20110822:3:22710111:22712059:1 gene:TCM_014488 transcript:EOY22270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transmembrane transporters MAARGLLLASFLLFSCFAETYGMISFSSLPRTLVVTASHRQGLLKADEDKITVIWGLNQSFPAGTDSAYTTIKVKLCYAPISQVDRAWRKTVDHLSKDKTCQFKIVSRPYSNTNQTFQWTIERDVPTATYFVRAYAFNAEDHEVAYGQNTDAKKTTNLFEIQAITGRHVSLDIASVCFSAFSIVALMGFFFAEKRKGRKAQQ >EOY22939 pep chromosome:Theobroma_cacao_20110822:3:25415077:25420681:-1 gene:TCM_014968 transcript:EOY22939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 706, subfamily A, polypeptide 6, putative MSRSSNSFGSRLAIACYAWWVKKFTKNVPPLPPGPPGLPILGNLPFLQPDLHRYFTKLSQIYGPIIKLQLGSKTCIVVSSASVAKEVLKDHDAIFANRDPPTVAIIGTYGACDMVWRPNGPEWRKLRRLVVSEIMSNRSLDDCYALRRREVRAMVKDIYGKVGSPVNIGDQMFLTSLDVILSVLWGGSLHGEERSRLGIEFRQFVVEFVELLGAPNISDLFPFLTRFDLQGYQTRMEKASTWFDEIFESVIAHRIKFDQPDVGEGKKKEQKKDFLQLLMELNQQGDYKSSLSKSETKALLLDVIIAGTDATSISLEWAMTELLRHPDKMRRAVEELDSVVGDQNIVEEFHIPQLVYLNATVKETFRFHPPIPLLVSHRPSATCTVAGHTIPKDSRVLLNAWMIQRDPEFWEHPLRFEPERFLQDAEKGNYQGNNFHFIPFGSGRRICAGIPLADKMVTNVLATLLHSFEWKTPDGTKPDIHEKFGFALKKTEPLVAIPAARLSNSEQYQ >EOY20928 pep chromosome:Theobroma_cacao_20110822:3:1821602:1830618:1 gene:TCM_012253 transcript:EOY20928 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS isoform 1 MDENFDERNKLPELKLDAKQAQGFLSFFKTLPNDARAVRFFDRRDYYTAHGENATFIAKTYYRTTTALRQLGSGSDGLSSVTVSKSMFETIARDLLLERTDHTLELYEGSGSHLRLMKSGSPGNLGSFEDVLFANNEMQDTPVVVALLPNFRENGCTIGFSYVDLTKRVLGLAEFLDDSHFTNTESALVALGCKECLLPIESGKASECRTLNDALTRCGVMVTERKKTEFKARDLVQDLGRLIKGSIEPVRDLVSGFEFAPAALGALLSYAELLADEGNYGNYSIRRYNLGSYMRLDSAAMRALNVLESRTDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLLDVSEINSRLDLVQAFVEDTELRQALRQHLKRISDIERLMRNIEKTRAGLQHVVKLYQSSIRIPYIKSALEKYDGQFSSLIRERYLDPFELFTDDDHLNKFISLVETSVDLDQLENGEYMISPSYDDALAALKNEQESLELQIHNLHKQTAIDLDLPVDKALKLDKGTQFGHVFRITKKEEPKVRKKLSTQFIILETRKDGVKFTSTKLKKLGDQYQKVLEEYKNCQKELVNRVVQTTATFSEVFEPLAGLLSELDVLLSFADLASSCPTPYTRPEITPADVGDIVLEGSRHPCVEAQDWVNFIPNDCRLVRGKSWFQIITGPNMGGKSTFIRQVGVNILMAQVGSFVPCEKASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATDKSLIIIDELGRGTSTYDGFGLAWAICEHIVEVIKAPTLFATHFHELTALTHENVNDEPQAKQIVGVANYHVSAHIDSSSRKLTMLYKVEPGACDQSFGIHVAEFANFPESVICLAREKAAELEDFSPTSIISNDARQEEGSKRKRECDPIDMSRGAAKAHKFLKDFADLPLESMDLKQALQQLPPTQETLTMAPEAAAEALLSSFRGGISDLSLSTGVSVIYLSSLPFL >EOY20929 pep chromosome:Theobroma_cacao_20110822:3:1821841:1829981:1 gene:TCM_012253 transcript:EOY20929 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS isoform 1 MDENFDERNKLPELKLDAKQAQGFLSFFKTLPNDARAVRFFDRRDYYTAHGENATFIAKTYYRTTTALRQLGSGSDGLSSVTVSKSMFETIARDLLLERTDHTLELYEGSGSHLRLMKSGSPGNLGSFEDVLFANNEMQDTPVVVALLPNFRENGCTIGFSYVDLTKRVLGLAEFLDDSHFTNTESALVALGCKECLLPIESGKASECRTLNDALTRCGVMVTERKKTEFKARDLVQDLGRLIKGSIEPVRDLVSGFEFAPAALGALLSYAELLADEGNYGNYSIRRYNLGSYMRLDSAAMRALNVLESRTDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLLDVSEINSRLDLVQAFVEDTELRQALRQHLKRISDIERLMRNIEKTRAGLQHVVKLYQSSIRIPYIKSALEKYDGQFSSLIRERYLDPFELFTDDDHLNKFISLVETSVDLDQLENGEYMISPSYDDALAALKNEQESLELQIHNLHKQTAIDLDLPVDKALKLDKGTQFGHVFRITKKEEPKVRKKLSTQFIILETRKDGVKFTSTKLKKLGDQYQKVLEEYKNCQKELVNRVVQTTATFSEVFEPLAGLLSELDVLLSFADLASSCPTPYTRPEITPADVGDIVLEGSRHPCVEAQDWVNFIPNDCRLVRGKSWFQIITGPNMGGKSTFIRQVGVNILMAQVGSFVPCEKASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATDKSLIIIDELGRGTSTYDGFGLAWAICEHIVEVIKAPTLFATHFHELTALTHENVNDEPQAKQIVGVANYHVSAHIDSSSRKLTMLYKVEPGACDQSFGIHVAEFANFPESVICLAREKAAELEDFSPTSIISNDARQEEGSKRKRECDPIDMSRGAAKAHKFLKDFADLPLESMDLKQALQQVNKLRGDLEKDAVNCNWLRQFL >EOY23914 pep chromosome:Theobroma_cacao_20110822:3:28996396:28999040:1 gene:TCM_015660 transcript:EOY23914 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MGRDRRTETTFLQDLLLYAASASLSCLVLFAGLKHLDLNRESSKKSIENKKAISKRLGRAFIHTNPYEDVIAGDVVNPDDIKVEFQSVGGFDRIKEALYELAILPLRRPELFAYGKLLGPPKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRVSNLMSKWFGDAQKLVTAVFSLAYKLQPAIIFIDEVESFLGQRRATDQEAMSIMKTEFMALWDGFTTDQNARVMVLGATNRPTELDEAILRRFSQVFEIGRPDCNDREKILKVILKNERVDDNIDFDHIARLCEGYTGSDLLELCKQAAYIPLRDFLSDEKAGKKRQVPRPLSQLDLEKTLATSNKTSIGIDEHNGYSSCSSA >EOY22836 pep chromosome:Theobroma_cacao_20110822:3:24944339:24945841:1 gene:TCM_014891 transcript:EOY22836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKQRSKQLKKTKGVNKTSFDELADDLKEDVFRRLDIKDRGKFKCVSKEWRRLITAACSPICSGFLYKNANMNRPLAEVKHLSYQPWSGDPLSGKLRFHASFAFEESLPFKLSSGLQESCNGLLLLCHCHKSEVGSIVKESEVGKYRGRLRMYSYYVINPLTRQYFVICKPKPNSALYNYAALAYHPAESSHFKIEAREPWVVQEIEIPGTAKINEAACIGLSNDHIKFAISDGSSLKIWELNHQFVDSRDYNRWSLKFSCRSQDINRSFKYPTPLTFHPNLDAIVLCLVDYGKFASFGCLHYSEEMAKAMPCLMQLRWRNNHKFVFPLFQCEAPFAGDTAK >EOY24701 pep chromosome:Theobroma_cacao_20110822:3:31975160:31981819:1 gene:TCM_016237 transcript:EOY24701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal atpase 5 MAAKLLALACIRNDSYGDLSPRPHYPSMPKYPKGVSAQETSLEGSEAKAMFSVIGMTCSACAGSVEKAVKRLPGIREAVVDVLNNRAQVMFYPSFVNEETIREAIEDVGFQASLIKDETNEKSIQVCRIHINGMTCTSCSSTVEQALQAIRGVQKAQVALATEEAEIHYDPKAVSHNQLMKAIEDAGFEAILVSTGEDISKIDLQVDGVKTGNSMRMLENSLQALPGVQAVDVSTEIKKISVSYKPDITGPRNFIRVIESTGSSRRFKATIFPEGEGGGRETHKKEEIKQYFRSFLWSLIFTIPVFLTSMVFMYIPGIKHGLDTKVVNMLTVGEIMRWVLSTPVQFIIGRRFYTGSYKALRHGSANMDVLIALGTNAAYFYSVYTVLRAATSPDFEGTDFFETSAMLVSFILLGKYLEVLAKGKTSEAIAKLMNLAPETAILLTLDGEGNVICEEEIDSRLIQKNDVIKIIPGAKVASDGFVLWGQSHINESMVTGEARPVAKRKGDTVIGGTVNENGVLHIKATKVGSESALAQIVRLVESAQMAKAPVQKFADRISKYFVPLVIILSFSTWLAWFLAGKFHGYPESWIPSSMDRFELALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTVGKPVLVNTRLLKNMVLREFYELLAATEVNSEHPLAKAIVEYAKKFREDEENPAWPEARDFVSVTGHGVKAFVRNREIIVGNKSLMLDHNIAIPADAQDMLTETEGMAQTGIFVSIDGEVTGVLAISDPVKPGAQEVISILKSMNVRSIMVTGDNMGTASSIARQIGIETVVAEAKPEQKAEKVKDLQAAGYAVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIHLSQKTFSRIRLNYIWALGYNILGIPVAAGALFPSTGFRLPPWIAGAAMAASSVSVVCCSLLLKNYKRPKKLENLEIRGIKIE >EOY24107 pep chromosome:Theobroma_cacao_20110822:3:29655386:29656660:-1 gene:TCM_015801 transcript:EOY24107 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative MGVREIQVAAHNLNHSLIVHELDDVCDSVTGRPLTGSWLWDSALVLSHWMPTHLNFHGKAVIELGAGAGLPGLTAALLGATRVLLTDVQPLLAGLLKNVEANGFEGRVDVRELVWGSDESISGLTESSTFDVVLMSDVFYDPEEMVGLSRTLKRICEEGTEIWAASELRPWTGECLNELRDQGFQVVEIVTSQLGVQEGVEDCNEFAIFQIVPPLAENCNVSTTPVREF >EOY23011 pep chromosome:Theobroma_cacao_20110822:3:25746880:25750071:1 gene:TCM_015025 transcript:EOY23011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase 1.3 MTENPVKWLFLILTSCLSFALVLSASNPLIKKTFIVQMDKSAMPASFSSHLEWYSSKVKSVIMSNTQSEGDGDGERIIYSYQNAFHGVAAQLTEDEAERLEEEDGVVAILPEMKYQLHTTRSPMFLGLEPEESTSIWSQKLTDHDVIVGVLDTGIWPESESFNDTGLAPVPAHWKGACETGRGFEKHHCNRKIVGARVFYRGYEAATGKINEKNEYKSPRDQDGHGTHTAATVAGSPVRGANLLGYAYGTARGMAPGARIAAYKVCWTGGCFSSDILSAVDRAVADGVSVLSISLGGGVSSYYRDSLAIATFGAMEMGVFVSCSAGNGGPDPVSLTNVSPWITTVGASTMDRDFPADVKLGTGRTLTGVSLYKGQRFLSPNKQYPIVYMGSNSSSPDPSSLCLEGTLDPHIVSGKIVICDRGISPRVQKGQVVKDAGGIGMILTNTAANGEELVADCHLLPALAVGEMEGKAIKHYALTSRKATATLAFLGTRLGIRPSPVVAAFSSRGPNFLTFEILKPDMVAPGVNILAAWTGELGPSSLQTDHRRVKFNILSGTSMSCPHVSGIAALLKARHPEWSPAAIKSALMTTAYVHDNTHNPLKDAAEAAISTPYDHGAGHINPLKALDPGLVYDIEAQDYFEFLCTQKLTTMQLKVFGKYSNRFCHHTLASAGDLNYPAISVVFPEDTTAISVLTLHRTVTNVGPPISNYHVVVSQFKGATVKVDPKSLNFTRKNQKLSYKITFTTKSPQTVPEFGGLVWKDGVHKVRSPIVITWIPPM >EOY25118 pep chromosome:Theobroma_cacao_20110822:3:33307945:33314839:-1 gene:TCM_016530 transcript:EOY25118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fiber protein Fb17 MEDSSAFAAFPADDSERTPDHRGCKRPLTEAQRKARNERDRKRQQEHRDLLLQGNQLRPMVLIGGEINQMVWTRAHLPLMPDLCISAAVQTQVIAARPAEGVIDKKTITNQFTMNVPFLSVASKTEEVTCIGRGAIAQRVPRGVWSSTFSSGSLTRPTRNLRRIWDGLQDSGYLWSEDCDDLINRFRPAVLVIAETKDVSMWLLWRSDVVEVEVLFFTEQEIHARINGSHFKSIEEAQSWLLASPTHSEDAANNAHITQDKSQDDFSDFRGLMEEVVKSGTVTLPPSLALIDKKIEKKYGEIAAESKQSSFTAMPSRVLLCAEIKEKDELQLENESTSMNCKEKLKKEFEMSDLGEMHYFLGLQFIQCPDYIYMHQGKYASELLKKFHMENCKVVETSLATNYKLSKDDEAPDATGNAFHHIVSSCLHLILPSNLPFVLVNLTLNCNVFLCHDLPVMFDALNKHDTMQAMVLSTFGKEANNELMDLGIQSSRSDIQYADEFRQDFIAKLDDKEKSRVDFLDFKGLPEELEKCGRFRLPPSLVPIDESLNKAYGDITAESNQSKPVIRESYILFCFVIKEMNELQLEQVNLDKIILWRNAINSGLSIGFKGNFAIEHLKKIARAYFGYRELKSLEERISELKAKLYDLEKKRNSIIERQSSEMHQECLRDQEYFQGKPLSTGLFLS >EOY24051 pep chromosome:Theobroma_cacao_20110822:3:29446783:29447845:1 gene:TCM_015753 transcript:EOY24051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPDGKIGGPHRLPGGKVRSTGGLFHFLVFSFGGLPVSGAGAGAGVKIKMTRESLRGNGFKESGRVKCGKPRWDVGVKGLSFRGCFCPFRCLVK >EOY24054 pep chromosome:Theobroma_cacao_20110822:3:29461180:29462989:1 gene:TCM_015756 transcript:EOY24054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single hybrid motif superfamily protein MALRMWASSTANALKISCASKAHLSPAFSLSRCFSTVLDGLKYANSHEWVKHEGSVATIGITDHAQDHLGEVVFVDLPEPGGSVSQGKGFGAVESVKATSDVNSPISGEVVEVNSKLTEAPGLINSSPYEDGWIIKVKPSSPSELESLMGPKEYTKFCEEEDASH >EOY24594 pep chromosome:Theobroma_cacao_20110822:3:31553716:31556590:-1 gene:TCM_016155 transcript:EOY24594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-rhamnose synthase/epimerase-reductase, putative MEFRADGNAKPLGLRFLIYGRTGCIGGLLGRICEAQGIPFAYGSGRLEDRESLRADIVAVQPSHVFNAAGATGRPNVDWCEFNKIETIRSNAIGALSIADILLQSTEQPSPNPNSSSFTPLPELILPGSSTRLLLYSPRPRGHTHNAIPSRFSFPGDLLALVALWP >EOY20857 pep chromosome:Theobroma_cacao_20110822:3:1532698:1534024:1 gene:TCM_012196 transcript:EOY20857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDDPEPDIAFHIFQNFQCFLKMDGTGKKKAEQAFQSCYSIIGAVISSSHWSNLSQCRKRHWSKKPPYYTLL >EOY20909 pep chromosome:Theobroma_cacao_20110822:3:1717309:1720438:1 gene:TCM_012239 transcript:EOY20909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein, putative MAKSMAVLSRRVYRSLLSNPKTPQLSMPFCTTTPSSSTESCDSDSDSVPYSTPSSPSTLSESSKDSNTQRRLYDSPLENGLDVGVYKAILVGQVGQTPVQKKLRSGLAVTMFSVATGGIRNNRRPHENEEPQEYANRCAVQWHRICVYQDKLGELLMKHVLPGMTLYLEGNLETKIFTDPITGLVRRIREVSIRRNGRVLVLGKGDNTQQATPRELKGAGIY >EOY22030 pep chromosome:Theobroma_cacao_20110822:3:20615027:20647619:-1 gene:TCM_014196 transcript:EOY22030 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MKWSNLEPNRTQLSFNRKTTCSSFTLLMKLSLQIAAHGVKVTFVNFEPIHDKIIASTPGIHGKQGLISCASIFDGLELESDRNDAVEFTESTGRVMPGQLMNLIEKINQCNTTNKEQITCVIVDVSIGWALEVAKNMGIEGVAVHTAGPAGLALALCVPQLIKDEILGDDGTLLKGKSISLSSEIPAWRKFEIPWITSSDIIMQKAIFKFVRTGLQNFKFANLILSNSFDDLAPSALKLTPNILLVGLLLASNHLGSFTRSFWPEDSTCLSRHDKQDAGSVIYNQPYRRKFIYKFPKGFTDRIADRGKIIEWVPQEKVLAHPSVACFLSHCGWNSTMEGLSMRVPFLCWPYFADQFHNRIYICNVWNIGLPLTPNENGIITKHEISARKKTLISSDGIKANVLDLKQVARKSIDEARSSFKNLKSFIERIKAI >EOY21288 pep chromosome:Theobroma_cacao_20110822:3:5556127:5577069:-1 gene:TCM_012729 transcript:EOY21288 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase A6A MADSYDEECDYLFKAVLIGDSAVGKSNLLSRFATDEFRLDSKPTIGVEFAYRNVKIGDKIIKAQIWDTAGQERFRAITSSYYRGALGALLVYDISRRTTFTNVKKWMHELREFGNLDMVVVLVGNKSDLADQRREVSEEEGRKLAETEGLFFMETSALENVNVEEAFLRMITKIHEITSQKCLEAKTNETTLHGGKQIITINDEVTATKQSNNCCYK >EOY23961 pep chromosome:Theobroma_cacao_20110822:3:29122384:29123871:-1 gene:TCM_015687 transcript:EOY23961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MKAALLRAGSVPVPSPALCSSPKVSLSRQSSIGGIYSCERAGCSPCSPIISLHFPMNKRKPKETHTHMRRALSDTDIIRSESRIPGGSRCFRAGIPEEEYLSDGEVGREFRTLVTGKGSDRASFESIWPEFGISMEEIGFSGDGFGNGGKSGGDHGDDSFGDESKIGDYYREMLKLNPSDSLLLRNYGRFLHEVEKDMERAEEYYGRAILASPGDGEVLSLYGKFIWERHRDETRAKSYFDRAVAASPDDCMVLGSYAHFMWESEEDEEQEQEEKEMGAQVGVSPAMVAAF >EOY22190 pep chromosome:Theobroma_cacao_20110822:3:22199844:22200759:1 gene:TCM_014407 transcript:EOY22190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine-zipper 70, putative MEAPDFEAHRNQPHATPNQSFFAFCPSQKTYTSAAHLNMQSLEIAGNRSVQHGNSSQHPDSSTNFSSRLSPNSQVHFPFHLFSPNSSSLSTEDEGREYQPSIVDDKRLRRMISNRESARRSRMRKKQQIEELQSQVDQLQTINRQLSQKLINLLESNHETLQENAQLKERVSTLHMVLADVFTPPRNLEDTSLEHKSAS >EOY24864 pep chromosome:Theobroma_cacao_20110822:3:32491690:32498036:1 gene:TCM_016347 transcript:EOY24864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDAVELNYPVDVPKLMGSEGFGRGARVTEDCKPLLNSSIDCCTTSLRHKDETGVVNALESVSWSKIPDAELCKHASQLPSCQAEELYEQHDYRGTSRHLLNPAPDVVNAQRKAGKMPRSSSGCSKRARVAQLEDSVSSAGVDDVKDITDKLGSYSTKCNVPDKTQMSRQKNSFSGKRGDRRSFKVPMKPKFDSFSMKAGLASFSVASGGSNFLGLCGLKSDIHDVTKLVEDLSLNELLDGTYECPSLGREKGKKASNTTENFLQSVKKACSLLPLRRVQSQSFTDIDNSSHKKMPMYPPSSVSLAASCINGDKEDTCSTDPSACNKDSCDKPEMPASPPDFQLCQAKDILERLALPPPKDLDSLLLDATKPSSSTRNNSDTRSGKQVPRRASLPPFPWSHTFNGHCRTNSDAVKLLSNKSTCQGRWVKIPNTSSLPGIATGCFTDLESLAYDPSLIPSGSKFGNSGGGIASSTGNLHFCEQGSSSLATFTEAFNVPQESGLGLADQWNVGHCPRVLAAAQTLYDIATKSSRQNPDRITRWPKKPSQKAMKARKTKSIEKPEEIYATPSVLGSDKLLRSDVDQIITSKRPKLSVVENKKGLSLINGVKKGPITWSTPRSSRSSPGKSLRDSVMEIRHSTANVVKPPCMMHPPATVFDKPCNSQHKLRKLMPMDWKRER >EOY24863 pep chromosome:Theobroma_cacao_20110822:3:32491696:32498457:1 gene:TCM_016347 transcript:EOY24863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDAVELNYPVDVPKLMGSEGFGRGARVTEDCKPLLNSSIDCCTTSLRHKDFADETGVVNALESVSWSKIPDAELCKHASQLPSCQAEELYEQHDYRGTSRHLLNPAPDVVNAQRKAGKMPRSSSGCSKRARVAQLEDSVSSAGVDDVKDITDKLGSYSTKCNVPVLASILFPPYIGVCNLDYHCDLEIILIVYFLLFVTYIPDKTQMSRQKNSFSGKRGDRRSFKVPMKPKFDSFSMKAGLASFSVASGGSNFLGLCGLKSDIHDVTKLVEDLSLNELLDGTYECPSLGREKGKKASNTTENFLQSVKKACSLLPLRRVQSQSFTDIDNSSHKKMPMYPPSSVSLAASCINGDKEDTCSTDPSACNKMLDKLVINCVLYLVSKTQDSCDKPEMPASPPDFQLCQAKDILERLALPPPKDLDSLLLDATKPSSSTRNNSDTRSGKQVPRRASLPPFPWSHTFNGHCRTNSDAVKLLSNKSTCQGRWVKIPNTSSLPGIATGCFTDLESLAYDPSLIPSGSKFGNSGGGIASSTGNLHFCEQGSSSLATFTEAFNVPQESGLGLADQWNVGHCPRVLAAAQTLYDIATKSSRQNPDRITRWPKKPSQKAMKARKTKSIEKPEEIYATPSVLGSDKLLRSDVDQIITSKRPKLSVVENKKGLSLINGVKKGPITWSTPRSSRSSPGKSLRDSVMEIRHSTANVVKPPCMMHPPATVFDKPCNSQHKLRKLMPMDWKRER >EOY20652 pep chromosome:Theobroma_cacao_20110822:3:446851:447316:1 gene:TCM_012011 transcript:EOY20652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKRKNLQSKDKVIGGLLFLHEKNQKSKTEISNDDNDHNQDVSDIYDAKEDLVRKKAEGRKMTDAKAFE >EOY21323 pep chromosome:Theobroma_cacao_20110822:3:6170125:6171459:-1 gene:TCM_012804 transcript:EOY21323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFERKFVIQLKINHVSTDEQLADTLIKPLQKARFHLLLYKICASNGYTILQGHNKMLYIIQSFTLGDMRGNSFDPRESSCDPRKSFICRLK >EOY24923 pep chromosome:Theobroma_cacao_20110822:3:32692513:32694434:-1 gene:TCM_016390 transcript:EOY24923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNAGNHDSPHSLPMMVDKAPRVAMEAKVLDIFELKGKEQDKGRGCKDCNCLVIDGRVFESSTMMLLRSGEVVFEGSCTSLKQEKHDVGKSSYALCLESEQLRDQILLIQGSAPHPGLKTPFSHTIAKLESLTSKMNYFRLMKNPLDFIWIWVFEKKEKRFRDGGPPEMGDIRCYRGLKLPKARSPEKAPHHMA >EOY23261 pep chromosome:Theobroma_cacao_20110822:3:26804955:26813596:-1 gene:TCM_015213 transcript:EOY23261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein MAMASYVKLILGSIAFAIFWVLAVFPAVPCLPIGRTAGSLLGDAFKYLGKLLTWKSKGAKDLICRICLISAISSAFFTNDTSCMVLTEFVLKIARQKNLPPQPFSLALASSANIGSTATPIGNPQNLWNLLSVKIDEEDSAAQFLTGDSALISNRASVSTAENVSNPTGIILPFIAPPSSPASFLQSDPPSATQSLAGLLSLTSLSVNAYSPRGPASIFAIGPYAHETQLVTPPVFSALTPEPSTAPFTPPPESIQLTTPSSPEVPFAQLLASSLESARRKAISNSGTSSPFPDRRPILEFHMGEAPKLLGFENLTTRKWCSRLGSGSLTPDGLGRGSRLGSGSVTPDGMGLGSRLGSGSLTPDGLGPPSRDGFLLGSQISEVALLTNQANGPKNDETIVDHRVSFELSGEDVARCLESKSLLPSRTVSEYPKDLVAEGRIERDGIKKDLESSCELFIRETSNETVEKASGKAEEEHSYQKHRSVTLGSIKEFNFDNTKGEASDKPTIRSEWWANEKFARKEARPGNSWTFFPMFRPGVR >EOY23882 pep chromosome:Theobroma_cacao_20110822:3:28857265:28865590:1 gene:TCM_015635 transcript:EOY23882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MDVMCKIRSVLTLIGGVLNKAVSFIVFCILDLVDFILCFVYKVIDFCIEAEWKPCYCSSAKEAITGSGKILVSEQGESKIVCLTPSKLQLEDISDTLYSRPSLVTEVSKLTVNELKKLKLDGTIIQSCEKIKKGTVRSTFTINSTIVEMLQGKMVGQQLHPIPRWSDCDCKLCNSWTSSSKDTLFVKAQGPKDKAREDVLFIHGFISSSAFWTETLFPNFSSTAKSTYRLLAVDLLGFGRSPKPTDSLYTLREHVDMIEKSVLEAYKVKSFHIVAHSLGCILALAIAVKHPGSVKSLTLLAPPYYPVPKGEPPTQYVMRKVAPRRVWPVMAFCASIGCWYEHITRTVCLVICKNHRLWEFLTKLITRNRIRTFLLEGFFCHTHNAAWHTLHNIICGTASKLDRYLDAVRDRVKCDVTIFHGKDDEVIPLECSYDVQRRIPRARVKAVENKDHITIVVGRQEAFARELEEIWKRSSSD >EOY22044 pep chromosome:Theobroma_cacao_20110822:3:20960220:20963394:-1 gene:TCM_014229 transcript:EOY22044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein, putative MWRRAVTLTQSKASQSWRAIATSSSKYGSTSASAAAAVDSLLLRSLKDHYLEVSRMTPPPKVSPPSPFTIIKGALDSNGPVLKRTYGKEEISIYVMRLANIIHGEGDDPEEEDGINQLFLHVDVSKPGQEDSLHFLCGLYPDALGIHSVSMRPKLETSEDVVQSTYNGPVFEDLDQRVRDVLHSFIEERGVNEGLFPFLQAWLYVKDHRNLMRWFKSVGTFINEKKSTDA >EOY22927 pep chromosome:Theobroma_cacao_20110822:3:25352001:25361191:-1 gene:TCM_014957 transcript:EOY22927 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC (cAMP-dependent, cGMP-dependent and protein kinase C) kinase family protein MQSPRFQAIMRVTSGRKMKAPDVKSFSHELNSKGVRPFPLWQSRALGHIEEVQVAIRVKFDRLKEEVNSDLAVFAGDLVGMLEKTSESHPEWRESVEDLLLVARRCAKMPACEFWVKCEGIVQNLDDRRQELPMGILKQAHTRLLFILTRCTRLVQFYKESGYVEDHILGLHKLSDLGVYPEQMCEFAQQNISGQLSGGKEVSEKQRKKSQRQEKSSLMMRQDHVDQHVSNANESAEVDTATSGDSAASSYRMSSWKKLPCAAARNRKGHDTTDTNSKDRSEQSQAKDETPRTETLKTPSVYHPSSRTKKTSWPFWGDHHSVAYENSMICRICEVEIPVVHVEEHSRICTIADRCDLKGLTVNERLERVAETLDKILVSWTPKSTPKSTDTPRESFEATRVSTSSTHEDIDDLSPNRSSHSRRCSEDMLDCVPDVDNAFVMDDLNVLHHVSSEAHLNLATPDLGTSSGGSLTPRSPLLTPRTSQIELLLSGQRRITELENHQQIHKLLDTARSVANANDCDYSTLEYMLERLDELKYAIQDRKADALVVETFGRRIEKLLQEKYVHLCGQIEDEKIDSSNHMADEDSPVDDDTVRSLRASPINPCGKDRTSIEDFEIMKPVSRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNAVESILAERNILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLFSLLRNLGCLDEDMGRVYIAEVVLALEYLHSLNVIHRDLKPDNLLIGQDGHVKLTDFGLSKVGLINSTDDLSGPSLGSSGFLEDDEPEEQPSLKREQRQKHSVVGTPDYLAPEILLGMGHAADWWSVGVILFELLVGLPPFNAETPQLIFDNIMNRYIPWPKVPEEMSYEAYDLIDKLLTENPSERLGSAGAKEVKQHSFFKDINWDTLARQKAMFIPSTEAHDTSYFMSRYIWSPEDEQVQGSSDFDDMTDTCSSGSLSNMQDEDGDECGSLADFSAPTLAVKYSFSNFSFKNLSQLASINYDLVVKSAKESAEAPKPSVP >EOY24772 pep chromosome:Theobroma_cacao_20110822:3:32169122:32172232:-1 gene:TCM_016273 transcript:EOY24772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILIFLLQMPRRYEAKVSGKAEFGFPACNILASYDFPSASLSLDLAGKGVTRGGLLTSATTEALSYHVLHLENCQWGRRRW >EOY21438 pep chromosome:Theobroma_cacao_20110822:3:8151753:8158547:-1 gene:TCM_012988 transcript:EOY21438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPKRERSSASGFSYRSKFVSMDVVTRDNNSLVNKVPIQEKGFDKALICYLGIQARKQVSFHSQAINDFYETLNIEDDGYGQYLREH >EOY20985 pep chromosome:Theobroma_cacao_20110822:3:2097053:2102920:-1 gene:TCM_012300 transcript:EOY20985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MLSPFTSTKTLLKSPFFAERCIKGENKRLKAKGFEGKELYIPIHTKDEEETEDAIEAKVKETKIAGSNTTLRLVIQTIPKKKEEEEVEEVIDQSWLTNLTLSAINAIDLPSNPKTIGVKWVYKTKLKKNGEVDKYKARLVAKGYKQKYDIDYKEVFAVVSRLDTIRLVFINQPPGYVKSVIEHKLYKLKKTLYGLKQAPRAWNSHIDAYFHKEGFQRYLYEHTLYIKIEDGGKMLMVCVNVDDLIYTGNDSVMIHVFKRNMMIEFDMSNLGLMHYFLGIEVMQSPISIFISQNKYVLEILDRFKMKDYNLVCTQIEYGLKLMKDDGGKKINATFYKQIVGSLMYLTSTRPDIIKDQVADIMTKLLKQDVFVKLQRMLGVFFSKDVVQGKA >EOY24082 pep chromosome:Theobroma_cacao_20110822:3:29580077:29589360:-1 gene:TCM_015782 transcript:EOY24082 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MASKHNTSGNRTRSPLSIFAVIGLCCFFYILGAWQRSGFGKGDSIALEVTKQTDCNIFTNLNFETHHNDVEIVEHSKPKAVVFKPCDLKYTDYTPCQEQDHAMKFPRDNMIYRERHCPAEEEKLHCLIPAPKGYMTPFEWPKSRDYVHYANVPHKSLTVEKAVQNWVQFKGNVFKFPGGGTMFPQGADAYIDELASVIPIADGSVRTALDTGCGVASWGAYMMKRNVLAMSFAPRDNHEAQVQFALERGVPAVIGVLGSIKLPYPSRAFDMAQCSRCLIPWTANDGMYLMEVDRVLRPGGYWVLSGPPINWKTYYKTWKRSKEDLKAEQRKIEELAERLCWEKKYEKGDIAIFQKKVNDKSCLRKSANICESRDADDVWYKKMETCVNPFPKVSSSSEVAGGELKKYPARLFAVPPRIAKGLVDGVSAESYQEDNKLWKKHVNAYKRINKLIGTARYRNMMDMNAGLGGFAASLESPKSWVMNVVPTVAKNTLGVIYERGLIGIYHDWCEGFSTYPRTYDFIHANGVFSLYQNKCNFEDILLEMDRILRPEGAVIFRDDVDVLNKVRKIAGGMRWNTKMMDHEDGPLVPEKILVAVKQYWVGSSGNSTSNDQ >EOY25224 pep chromosome:Theobroma_cacao_20110822:3:33612762:33613866:1 gene:TCM_016605 transcript:EOY25224 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S23-1 MALRRLLGWSDGELMRSDAKPCSRLMRQTAGIFTVGGALGFWVLCRLQHGPRITVPRSLRWAACGAVSVSSTSALLVRLFSPECEPQNVAAYDMGK >EOY21229 pep chromosome:Theobroma_cacao_20110822:3:4324271:4325066:1 gene:TCM_012618 transcript:EOY21229 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H MCVDYRDLNRASPKDSFPLPHIDTLVDNTAKHALFSFMDGFSGYNQIKMAPEDMEKTTFVTMWGTFCYKVIPFGLKNAGATYQRAMVALFHDMMHKEIEVYVDDMIAKSHTERDHTVNLKKLFERLWKFQLKLNPAKCTFGVTSGKLLGFIVSEKGIEVDPDKIRAIQELPPPKTQKEVR >EOY22936 pep chromosome:Theobroma_cacao_20110822:3:25399996:25403694:1 gene:TCM_014965 transcript:EOY22936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pro-like protein MGDEHSERMDKIEKKQEEIMEKEAAEFLKFIKHSEYNVIEQLNRMPARISLLSLLLSSEPHRNSLMKILNQAYVDHDISVENLDYIVGNISVGNIISFSDEEIPSGGRGNYKALHITTKCKGCTVAKVLLDNGSSLNVMPMRTLARLPINMSYMRKSQMIVRAFDGTRREVVGDIEIPVEIGPCTFTIEFQVMDIAPSYNYLLGRPWIHMAGAIPSSLHQKVKFIVEGKIVCVNGEEDLLISKPADTPYVEAAEEVPECSFRSFEFVNTTYVGEGTTPPIPRLSKTTKMIVNQILGKGYRAGAGLGKELQGIRSPIRTTKNEERFGLGYKPTKKEREEMIAERRKERLARFKGHELEIRGMTYPHLYKTFRSGGCIFPESLTVENQESVSALGGTFSDLSICATEEGEEQPRNVDEIPTTYLGPPNLKLSNWTTMSLPVTCDSISK >EOY20959 pep chromosome:Theobroma_cacao_20110822:3:1931534:1932527:1 gene:TCM_012275 transcript:EOY20959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESLKSSHISTDFILKNHFTEKNKIKYSQLNQDSKKTLIKIPIKHSNKQTEKKRKKYKRKVSKKSVLCFFSVLNFFIFRSRNFREVLEKRVRLNDRLA >EOY21659 pep chromosome:Theobroma_cacao_20110822:3:16020806:16021863:-1 gene:TCM_013691 transcript:EOY21659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIATWSDSDESQIEEEKVAANLCYMERYVNTEVKERNMIDEHNYNDKIEEFEAITFMDIDFALPIYNKEKS >EOY22920 pep chromosome:Theobroma_cacao_20110822:3:25308459:25309332:1 gene:TCM_014947 transcript:EOY22920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHLCGALKTNERGFKASAAGFTRTHSAIWSDESPIVILVRHLQVGYMQRELEKLLPIVIKTIIMAV >EOY22123 pep chromosome:Theobroma_cacao_20110822:3:21476036:21480290:-1 gene:TCM_014317 transcript:EOY22123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPFTRFECSILVFSFIMSPLIFALAYDLLDLNASINIKWDIMSWTPEGYVDINNAQRLAHVMFLTCEVSNHSQVTWTITCTYSSILVSRNPNCCVSLSSFYNPVITPYPTCSYG >EOY20903 pep chromosome:Theobroma_cacao_20110822:3:1702875:1705954:-1 gene:TCM_012236 transcript:EOY20903 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase E1B MAISSTAAAAATPSKLRYPYASPLSTHSATSTASFSAKSTKLTPTHLSSSFLPPFLATTATSTVIRRRGGALTVKAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALAAMGNSAPKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLQLVELEVRELLSSYEFPGDDVPIIAGSALLALEALMANPSVKRGENPWVDKIYELMDSVDSYIPIPQRQTDLPFLLAVEDVFSITGRGTVATGRVERGTIKTAETVDIVGLRETRNVTVTGVEMFQKTLDEAMAGDNVGLLLRGVQKADIQRGMVLAKPGTITPHTKFSAIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVASIMNDKDEESKMVMPGDRVKMVVELIMPVACEQGMRFAIREGGKTVGAGVIQSIIE >EOY23991 pep chromosome:Theobroma_cacao_20110822:3:29231849:29234493:1 gene:TCM_015711 transcript:EOY23991 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase I subunit rpa49, putative MEEQEPPSTKSRSKKSKKRNREGKLQQPIEPMSQNLGAKKENFQVKIQLFQDQPNKIPPLVAYFPSGYNPCKTDPQTRQGEEEEEEEEEGPDNKSNQPRVKVYRNMAQRKTNRLQVVVSPSGSNVDFVGSNYSGEAAAAQVCRYSLGVLDRETRTLKIIPIASNKIFRLEPRVRSSETANKDVSSSVKSELTTEDKEDKMGELTALYGTKKDRKKRKDLNNLKKEVDPESQKSLDEKIEQVAFNKEALGNTSALVARNIPPHDSSATTPVEAYPLDKIILKGDWEFLGDIYGLLEVGAEVASNAYPTFVCNRINKLEGIQDETEKRKLSCVFSFITHLVKFNDQFSMDRVASAKGHKIPSIIRQRFFTMFTDPGLRKPSRDQINLLISYVLVLTLHADGFRTDPSDIAKDLRMSSIGLRPHFENLGCKLVRENNSIFTTLPVPLKFPSETLKRQRKR >EOY20686 pep chromosome:Theobroma_cacao_20110822:3:590157:592971:-1 gene:TCM_012043 transcript:EOY20686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin, putative MAILEESVVNWKISPPNSYGAVVLGGTFDRLHDGHRLFLRSSAELAMDRIVVGVCDGPMLTNKQFSDLIQPIEERMRNVESYIKSIKPELVVQVEPITDPYGPSIVDEKLDAIVVSKETVPGGISVNKKRADRGLSQLKIEVVDLVSEESSQGKLSSTTLRKLEAEKAKNQQSG >EOY25067 pep chromosome:Theobroma_cacao_20110822:3:33111306:33113446:1 gene:TCM_016492 transcript:EOY25067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMALLSAPPLSHQTSFFQRPYSPSFSSITFFKYPSKPTIPLSTTSSSSTSTAEDKPSASPDVIPVQSEPATVAVDQPPFRGCKACGREELERGCNGEGRIQGGIATVPGFGWWPIKAYRPCPGFVASGGRYRRRGQSMDEVASGRIGRASPVGTNDEAESPRKSKVKEVQEITNA >EOY24838 pep chromosome:Theobroma_cacao_20110822:3:32389009:32393572:-1 gene:TCM_016328 transcript:EOY24838 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase 4 MASTKESSSEGSNIKGVPTHGGKYVQYNVYGNLFEVSSKYVPPIRPIGRGAYGIVCAAVNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAMKDIVRPPKKETFNDVYIVYELMDTDLHQIIRSEQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTREPLFPGKDYVHQLRLITELIGSPDDASLGFLRSDNARRYVRQLPQCRKQQFSARFPNMSAVAVDLLEKMLVFDPNKRITVDEALCHPYLVSLHDTNDEPVCPRPFSFDFEQSSCTEDHSKELIWRETVKFNPDPVN >EOY20986 pep chromosome:Theobroma_cacao_20110822:3:2120710:2122120:1 gene:TCM_012303 transcript:EOY20986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVEVTWRAPWMPHHPVLYKCGNEPWVLLMGPWGAISYAPIMVRRQFGSEQFVPMTHRLNTLEFAYGEPGFLKRIEEIAQAWKKTSRVDQGRYTDEVTTGYQIWHDQRVKDVVYPKEDALRGPVDPEPRDALLESELARKKSEAENASWKQRYEDLQKECEKMKREVSEQRKKVRKMEGKYENLNDKFSATTSELQREIQVRENQGNELQTHNDGLRRQVRFQQESIQLLRQEYEELEGVMTTYQQEYERLKQQSTRIQEWGESYRQAYTEKYNQMDYLVWQMREVAYKARSMAWKTDILRSQIFPDGKQEQQLIKYLDKVYSHYNKIGEYF >EOY23695 pep chromosome:Theobroma_cacao_20110822:3:28253814:28262515:-1 gene:TCM_015503 transcript:EOY23695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative MAWRFQAATLVASPSYPNSIAWSDENLIAVASGHIVTILNPALPFGPRGLVTVPKSEPYPIGVVKKEDLLSGCLLPTTLSREPRPCVRSISWSNLGMAPNSGCLLAVCTTEGHVKLYCPPFRDFSAEWIEVLDMTDRLYDYLASISFKEPNIPPTEMSNEQVSDHPPDSVSGKECKRRRVNTSGIRNFGLETSCDQTPCYKNSKDVNAGSSPSSEAKGQGPCKVLNAKSGRLIGKSSHQTVPSFKSKGKSAKKMHEICSLPLITADQYASHGAMLSSLVVAWSPMLKLSSEMCLVPENDSSNWFSLLAVGAKSGKISFWRIHAPEYYSIEQSGPPTAVELIGILQVHNSWVTAISWALLASDSSNPQVLLATGSSDGSVRIWIGHGEELLKSLEVNNAPFYLLKEIININAVPVSVLSLMPSQSLHKILLAVGKGSGALEVWIGDISVKKFNRAGSYDAHDQVVTGLAWAFDGCFLYSCSQDNFVRSWSLRGSSLTEVAIPSSSPGLRSVSDLPDVFISCLGLVVSPSNLAVAMVRSFDVNQLDHMYEARLQKAAVEFFWIGGQQKDILSNTSLGFDIEGFPGFSEKELVYWESNILWSLKQYEYWDKPLVVWDIIAALLAFKQSASHYVDHVLVKWLSLSLVDSHVEHSIEMILPHVCKSFSKAASRQLHLLNIICRRVLLSEMKADEINSNLLNLGGLEEADFTQDKQHNLWMELLASSERELRERLVGFSFSAYKSIASNAASCSPEPGQWYPHGIPQMEQWVAHHNCHVHEQLKVLASEIRTCKRTECIELEAEEQCSYCSAPVPFDSPEFAFCKGLESTDGIGQKHKLARCAVSMQVCPTTPLWLCKCCNRWTSNLAPETLFMMSQYSIDFRLSPQSSPVKEVSKPLCPFCGILLQRFQPEFLLSALPV >EOY21873 pep chromosome:Theobroma_cacao_20110822:3:19295480:19317844:1 gene:TCM_014020 transcript:EOY21873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFRSAKVGYYASRYKKFGNLCYVIGALRAFIGHHNLDLRIMVNIFRDLKSNNSTSESLVFSDSLGYAIAHTISFHMIAL >EOY21862 pep chromosome:Theobroma_cacao_20110822:3:19189863:19191232:1 gene:TCM_014008 transcript:EOY21862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKRGWWDEPWKICVQDQQINERLGVTWSPPGILKLKLNVDGPTYCKSGSAGCAGVLSDYNGHVRGVFYGPLGVKSSNYAKLMAIFYALKFFASTPWAGSHKLVVELDSQGALSYSWVEKTTPRPWAMWQLCSSWNEIAWSGMQADVLAGVISGILQSGFVWAIHLLST >EOY20878 pep chromosome:Theobroma_cacao_20110822:3:1626150:1627936:1 gene:TCM_012218 transcript:EOY20878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQPVLDISLIFGTSKPDIVTVIQSSVVCVLKKSNQTFIFFARFDLSILQCTNTIIIGINLIESSCTTLVAINLRWRKLKKEIPLICLKAEKVKELSSIESKGAVEDHEVHFGGRSNFEDVFSKFLNETDGSNIGVLVCGPESLKRAVASLCQQKSQWFNIRDQKKKPYLSFHALNFTL >EOY22148 pep chromosome:Theobroma_cacao_20110822:3:21921836:21924483:1 gene:TCM_014363 transcript:EOY22148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRFRDGITEGTKKNVKSLLCVPRDQWGFNVGINIHCHKLTTFQPNINVYFGAIAYSQDVGANYRRDVNRILVDIDVNISEQQQYVSLWKLEGWVEFGPQQRKKIMNCKQKSIARQGVKRNHTTNEEVHAQFAIVEAPSAAKVPDSPLVAPTVAPHTAPSTQARKPVAAFSTNYRL >EOY22496 pep chromosome:Theobroma_cacao_20110822:3:23708753:23710260:-1 gene:TCM_014654 transcript:EOY22496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumenal 17.9 kDa protein MTITLIRQLLPLLSSPSKQPTPSATFSQLQISKNPSPKPILFSNLLSLALTLTLNSPLPSLAFPSVNSLSSPKLPPTTPFTEAKFLQLGLEDGKIRPCPSTNPGCVSTNAKSSSFAFPWIIPENSTENAVQELQEAILKTQKNAKIEVVEDTPSGKYLLAEVDGAFGRDVLEFLVKGDVVTYRSMAEKVTYIYPFTTAIGDSKGQEERLKKIIDQLGWYVPSFDSME >EOY23192 pep chromosome:Theobroma_cacao_20110822:3:26567534:26569795:-1 gene:TCM_015169 transcript:EOY23192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein MSAMLEVRVPNLDCEGCVSKLKKALFKLKGVEEVEVEMEIQKITVRGYALEEKKVLKAIKRAGKAAEPWPFPGYSHYASFYKYPTYIVNHYYDSYKNEASNGVHTFFQTPAVYSVAVASDEAVASLFSDDNPHACSIM >EOY21727 pep chromosome:Theobroma_cacao_20110822:3:17652147:17653781:-1 gene:TCM_013832 transcript:EOY21727 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein, putative MANLGGLRRSANRIAEKFVPTSDWTQDAKGNYLFVDLPGFKKEELRLELASTGHIKISGERVVNENKSIYFEETFALPENSDMDNISGKFDGDFLHVTVPRRPVVEEKKPEDDEITRNENGNRTGEKTSVQEEPNNSGENDQSKHEGGRRDNEEKRDISKGTNYHRLPLEMAMKFLKKNLGVVLSVVIAFSIGMLVCRTFESSAAE >EOY23442 pep chromosome:Theobroma_cacao_20110822:3:27413958:27416991:-1 gene:TCM_015335 transcript:EOY23442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSLSRDLTTAGDRPYPPLGPTDTALLKNRTAEFMKVVFRSGIMHHALSRGSSRNRKGKRLLRASIDRPHQCCR >EOY21665 pep chromosome:Theobroma_cacao_20110822:3:16213139:16218750:1 gene:TCM_013707 transcript:EOY21665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSASPGLISMDGIKTLQAGITGGISATISTYHHPYRYINSHMGTEIHSTANQINIRNSFLGRKNQSTNKAIMPLSFTISLSNLNSHLLSFNCLKLN >EOY23586 pep chromosome:Theobroma_cacao_20110822:3:27952380:27958014:-1 gene:TCM_015434 transcript:EOY23586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MPFCEVGKTQSSVDAALNNGIQIFYRTYGHGPTKVLLIIGLAGTHDSWGPQIKGLTGTDRPNGDEMMAVDQEPGDGHNEVGGIEVCAFDNRGMGRSSVPTKKSDYTTRIMAKDAIALLDHLGWKKAHVFGHSMGAMIACKMAAMVPDRILSLALLNVTGGGFECFPKFDRKTLSIAIRFIKAKTPEQRAAVDLDTHYSKEYLEEYVGSNTRRAILYQEYVKGITATGMQSNCGFDGQINACWTHKMTRSEIELIHSCEFLVSVIHGRQDVIAQISHARRLAEKLQPVARMVELHGGHLVSHERTEEVNKALLDLINASEMKMSPHDWNNFPKKTSEASSGTFMEGATNIILGKIHFYLLYIFSLFMIAIKHGRSALQRLKPARVGASLT >EOY22276 pep chromosome:Theobroma_cacao_20110822:3:22764550:22766930:-1 gene:TCM_014496 transcript:EOY22276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 71A1 MGFKGPTASFYDYICKMELLPLVALNVAKLYNPLFLCVILLFSLVIWLKLAKGKKLNLPPSPPKLPIIGNIHQLGKLPHRSFRDLSRKYGSLLLLQLGHNPTLVVSSAEMAREIVKNHDIVFSNRPRTTAANILLYGCGDIGFAPYGEYWRQVRKTSVLELFSLRRVHSFQFVRDEEVELLINKIHRASLKGQSINLTEMLMTVSNNIVSRCVLSRRAEEEDGSNKFGQLARRIMVLLTSFCVGDMFPYLRWLDVLTGFIPSLKAAFGEIDAFLGQVIEEHRGLKSHDHMTDKMDFVSIILQLQTDGMLEMDLTQDNIKAILLDMFVGGTDTTSTTIEWLMAELLQHPNVMKKVQEEVRSVVGKKSKVDVEDINKMVYLKCVIKETLRLHPPVPLLVPRETSASVKLGGYDIPSNTTVFINAWAIQRDPNWWDKPEEFIPERFENVAIDFKGQDFQFIPFGCGRRGCPGMPFGVASVEYVIANLLYLFDWKLPDGEIAENLDMSELYGLTVNKKVPLQALPISRLSM >EOY21633 pep chromosome:Theobroma_cacao_20110822:3:14935277:14935687:1 gene:TCM_013605 transcript:EOY21633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKFLRSYNDKVHKLVLKNAPRVAKYTSNYVQKEILHILANMVRNSIREEVGNAKWCIIIDEA >EOY22994 pep chromosome:Theobroma_cacao_20110822:3:25691333:25692825:1 gene:TCM_015013 transcript:EOY22994 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDGSH iron sulfur domain-containing protein 2 MASTMSTYVAGFCHNLLSTAGPKRSRGVGVGVGVVTINARPKRSAAVVVRAEGQTINPEIRKTEEKVVDSVVVTELSKPLTAYCRCWRSGTFPLCDGSHVKHNKATGDNSITNYT >EOY23449 pep chromosome:Theobroma_cacao_20110822:3:27451333:27452309:-1 gene:TCM_015340 transcript:EOY23449 gene_biotype:protein_coding transcript_biotype:protein_coding description:arabinogalactan protein 41 [Source:Projected from Arabidopsis thaliana (AT5G24105) TAIR;Acc:AT5G24105] MAVPRISLGFFAIIAIICTIISPVAQAQSTAPAPAPTSDGAAVDQGIAYILMLVALVLTYLIH >EOY24861 pep chromosome:Theobroma_cacao_20110822:3:32476291:32483816:-1 gene:TCM_047025 transcript:EOY24861 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MVPPAAATVSELGPGQPLSASVVNSFRVAAVAERLATHTQPGGQPQSTEFFSLCLSLARGIDFAIANNEVPAKVQELPTLFKQICQRRNDLFLQAAIMVLMISVKNACKMSWFSDKESQELFTLANEVGSCFCSSGDIKNGLNDSISTVLAIMSRFYPLMKMGQILASLEAKPGYGALVIDFHISKNAKHSPLEKIRLFVAQKDNIETSACIISPQQVNFLLNGKGVDRRTNVLMDTGPQMPTNVTAMLKYGTNLLQAVGQFWGHYIIVVAFMSMESSPDTSVLSDYVQSGDVAPDSEDSDIIEGPSRISLKCPISRIRIKTPVKGHACKHLQCFDFNNYVDINSRRPSWRCPHCNQHVCYTDIRIDQNMVKVLKEVAEDVSDVIISSDGSWKAVLENDDNVDELHDKILLCQKDGSEQPESAKAVPMVLDLTEDDNEVDAMETIEIEDMKPSVANLLSQSATQNLTTTPELTNTVGVNQNVASHMEDDFWSAFYLSQGSGASSARTDAQVGGISESTPNFTVSPVFSDAISPAPNRAEARGNANLTTLGIQNQFSAASNLQLQQSHLINSTSNHEYGRLQHIPRHINRTPVAIQALPATSQTPTQQQRPRNSLSTLSSNGSPLPQVNLSMAPSLNGLSTVSGDVERPPQFSRSPANPHQSWNQQERLFVPGPSVQQVAGVAASSQLPGSYRASSGHLGEQQNLQQQQQLNMRLSQPRGPSPGLIRSPSPLLRTPTQQVAAQVGLGHTASNVNNNPTRFGTPTQRATQMTRQPPMVPVQTQTSRASSSYSGIVDGSRASAGEQRLNMVGLAPAALRADTSSDLASEQNWRPTGRMRGSLSGRAYSAALSQLMIQPTQSAQAARPQTNLTSPPSVSPHLQALLVNSRNASVPQMQNNAMTEIGGMNGSSNFLPDRSSGMH >EOY22972 pep chromosome:Theobroma_cacao_20110822:3:25578702:25581858:1 gene:TCM_014991 transcript:EOY22972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double Clp-N motif protein MATYRLSFLPISISASQSLPSKRHDFRLSLPLSSLYGNKLLLKTSDLSLFVTKHHSSTTATVSFSLPTAKPERAPSEKSPKWSARSIKSFAMAELEARKLKYPNTGTEALLMGILVEGTSQAAKFLRDNGITLFKVREETVNLLGKSDMYFFSPEHPPLTEQAQRALDWAVDEKLKSGESGEITTTYLLLGIWSEKESAGYKILATLGFNDEKAKELTKYINEDIVLNYK >EOY24951 pep chromosome:Theobroma_cacao_20110822:3:32754354:32754873:1 gene:TCM_016405 transcript:EOY24951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSPKRYPVENEQSTSVQRGVLQGAKEQANMVASRGQTWDQSFYHLLNLWLLLKPRHAPWRPRHIPSCGNSSVKPRQACRSMAIPISIS >EOY20787 pep chromosome:Theobroma_cacao_20110822:3:1190713:1195078:-1 gene:TCM_012126 transcript:EOY20787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEAHNICSGAIVAQVLTGKDNYENWRACIKNYLFVKDLWDVVEQTSEPPQQEEGDGADFKAWRKRNVSALHAIQISCDPIMLSHIRNMTTAKDAWNTLAQVCQLPMPQDAPQITEDASQIIEEAPQITEDAPQIVEDAPQIPGGWWR >EOY25358 pep chromosome:Theobroma_cacao_20110822:3:34018072:34019276:1 gene:TCM_016693 transcript:EOY25358 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB/MDM2 domain superfamily protein MMATSRVLGTGRGLIAVAKASSTSFASSSASNTSGAGLMRMMPVSPQLGEFLGASESSRTEAVKKIWGYIKLHKLQNPANKREILCDEKLKRIFAGKDSVGMFEITKLLSPHFVKSH >EOY25052 pep chromosome:Theobroma_cacao_20110822:3:33058197:33059712:1 gene:TCM_016481 transcript:EOY25052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MPATNPLDEKVKHGLRFAGSVITAGPALAPKGNSLRSRSEDPFAILSAWTPCLLVNPADHLCSEYVGYFEHRKKMEEIGAGAIERLANQHSLGLFMSVVGRGAEAAEPLHLFPSANLTVNLTPSQDFKQAHGIHQWWRPELHLKCNLYKYK >EOY22663 pep chromosome:Theobroma_cacao_20110822:3:24400891:24404925:1 gene:TCM_014769 transcript:EOY22663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MQRNGVKKLIQQTSAAIRCTHSHTSLSFSPDFLHHSRDHRTVCEILEACKLSSDYKTASAIHAIIFKLGYGTYPSLVTTLISTYLQCGWLVLAHQLIDQVFRSDCNLVILNLVIEHLMKLGEYGSAKKVFHKMPVRDLVTWNIMIGGYVRNARFEEALTFFREMLGSNVKPDKFTFASVITGCARLGALNHALWVHSLITEKEIELNAILNAALIDMYSKCGRIHTAKEVFNSAEHNDVSIWNAMINGLAIHGLAFEAIAVFSKMRVENILPDSITFIVLLTACSHSGLVEEGQKYFDLMSGHYSIQPQIEHYGAMVDLYGRAGQLEEAYAIIKAMPMEPDIVIWRALLSACQTYRKPELGEVAIANISRLESGDYVLLSNIYCSVKKWESAERLREMMKKKGIRKIRGRSWIELGGIIHRFKAGDRSHPETEGLYKVLEGLIQRTKLKGFLPETELVLMDISEEEKEGNLNHHSEKLALAYGILKTSPGTEIMISKNLRICHDCHNWIKMVSKLLIRVIIVRDRIRFHRFEGGLCSCADYWIEGSSGKNSYSDIFDTSALLRDDLYC >EOY22664 pep chromosome:Theobroma_cacao_20110822:3:24401285:24404043:1 gene:TCM_014769 transcript:EOY22664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MQRNGVKKLIQQTSAAIRCTHSHTSLSFSPDFLHHSRDHRTVCEILEACKLSSDYKTASAIHAIIFKLGYGTYPSLVTTLISTYLQCGWLVLAHQLIDQVFRSDCNLVILNLVIEHLMKLGEYGSAKKVFHKMPVRDLVTWNIMIGGYVRNARFEEALTFFREMLGSNVKPDKFTFASVITGCARLGALNHALWVHSLITEKEIELNAILNAALIDMYSKCGRIHTAKEVFNSAEHNDVSIWNAMINGLAIHGLAFEAIAVFSKMRVENILPDSITFIVLLTACSHSGLVEEGQKYFDLMSGHYSIQPQIEHYGAMVDLYGRAGQLEEAYAIIKAMPMEPDIVIWRALLSACQTYRKPELGEVAIANISRLESGDYVLLSNIYCSVKKWESAERLREMMKKKGIRKIRGRSWIELGGIIHRFKAGDRSHPETEGLYKVLEGLIQRTKLKGFLPETELVLMDISEEEKEGNLNHHSEKLALAYGILKTSPGTEIMISKNLRICHDCHNWIKMVSKLLIRVIIVRDRIRFHRFEGGLCSCADYW >EOY23038 pep chromosome:Theobroma_cacao_20110822:3:25905542:25910852:1 gene:TCM_015046 transcript:EOY23038 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-like carbohydrate kinase family protein, putative MLNRLSSYAWPCCPTSPPANGSVQLGCAKDIGANTNGKLPNNSLLVCFGEILMDFVPTVGGFSLAEVPAFRMSPGGAPANVAVGISRLGCSSAFIGKVENWSLFKKKLKEKLSSFPVDVLPVPNALQFHTIWLVMKNFATSQLTFRDKTMSTMLECVLTIVQELHCHLLHSELIVKGNFFYRHLSADMHLRESELDINPIKQAGIFHYGSVCLIEERCRSAHLAAMNIARQSGSIPSYDPNLTLPLWASAEAARQGIISIWDQADVIKLHIVQTFKGRAPGIKDKPVDTTGAGDAFVSGMLTSLASDIKLIEVPLSVSFLWEFIHEVILVTGREALLFANACGALTVTRRGAIPALRTKETVLQVLNVVAAS >EOY23513 pep chromosome:Theobroma_cacao_20110822:3:27669368:27671502:-1 gene:TCM_015381 transcript:EOY23513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family MWKVKEYMSGGDEEERAESFLVEESEGFCSLSPTQRMYAFAACLLSGLVLMFLSLIVFVKPIKFALLFTFGNVLAVGSTAFLMGPEQQLRMMFDSVRLYATAIYIGFVVLALICALWIQSKILTLLAIICEICALIWYCLSYIPFARRIVSDLMIRFCDTEL >EOY25051 pep chromosome:Theobroma_cacao_20110822:3:33054989:33059843:-1 gene:TCM_016480 transcript:EOY25051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRDGGRRDANLWFDFQDMRNFIPRANSTPSSPRTCGSTLRDYQIKQGATMSKRRELNPDQRRAKNEGDRRRRRDHKMEFQRLQMAEAQLEPLRNENRHLREEIERLNAMLSNLMNTIHQLRDEIRQQRETEEMVDSWIQSPNEDGASNEPITRGH >EOY23459 pep chromosome:Theobroma_cacao_20110822:3:27477301:27478931:-1 gene:TCM_015348 transcript:EOY23459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTRYQVFQLSRGPGAQKKKNQVSGSWSHVVATRRRPGGGTGPGGPRVGTETEDESKKCGSQPTRFYRSRKKMSKSFVI >EOY21559 pep chromosome:Theobroma_cacao_20110822:3:12277647:12280134:1 gene:TCM_013367 transcript:EOY21559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTAAWIMDRRLHELPNLSTEMPPKTRAALRGMRGFNALDDTTEGPRASFSRSSGRGGPKGRIAGPQSSQSSSERRIGTSFRDTRGDYLEGVYQPNETMGSSFEDSDYQPYEKIDRGNVMVTLVKLIGFRLTEVVQIWFTTLKHYRSLGFAPFTREEFTQAFMDRFLPESCQIMTSSLLSCRVMLRIWFRLKGRGSRVDAIRKIEVGRKEVGMEKKRSKRNRGEGSSRYRDPSKGKDVNIAGQPGRRDGNLLRGSAFFSPPNQRRNFQFHSPPRSSDFSGINYKRAMSSGMTNSNLRQSGQHNQEMARGSMRPNFATASTRNVKRDKGKRVAFSSQGRSVRPTQQGASRRGQARVFALTPQDAHVSNVVVTGNLFICGYEASILFDPRSTHSFVSSNFIPKLGKHYEYMDEPLVVTTLLEESYVMEYVFRSCVVQIKDRDT >EOY20885 pep chromosome:Theobroma_cacao_20110822:3:1653067:1656311:1 gene:TCM_012224 transcript:EOY20885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant intracellular Ras-group-related LRR protein 9 MGGNVIITPKQRITSKTPLHSERSVILQKGFSICQIPTPFFALEMDPNPKSYPLLSYVMSRLPSIGPSKLASAPDSDPGFDLEQPPPVPNPSSPSSSTNPPQIVDQMPYLSHPNVLASMAHSVSDVAQTRSVLQTLGPRPDHEVVDMARSKLGEIEAGLSKSLEELVLSPRPVDVDRLEWRSHLADKEQQIRQQAEKEKSIYKSILQLDEMHEAYGKLLREAEDRLVKIYEKAGKVAENTEVVEEVNAEVVGVLEEAQGRGLERVDLSGKKLRFLPEAFGKISGLVLLNLSSNQLEVIPDSIAGLEKLEELNLSSNLLESLPDSIGLLQNLKILDVSGNKLNALPDTICHCRSLIELDVSFNSLSYLPTNLGNELGNLQRLSFHLNKIRSLPTSVGEMRSLRFLDAHFNELCGLPDEIGRLTNLEILNLSSNFTDLRELPDTIGELTNLKELDLSNNQIQALPDTFGRLDKLTKLNLEQNPIVIPPLEIVNQGVEAVKTFMAKRWLDILVEEERKCMQEVNEQAQTGWLTRSTSWLKNYASVVSERVSSYLGAAEGSPRDPYLDEPR >EOY24625 pep chromosome:Theobroma_cacao_20110822:3:31686649:31694012:1 gene:TCM_016178 transcript:EOY24625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter 1 MAGGVIAPAGSGKEYPGSFTSKVFFTCVIAASGGLIFGYDLGISGGVTSMNPFLEKFFPDVYNKEISIKPSDDQYCKFDSQKLTFFTSSLYLAALLSSLVASTVTRLFGRKMTMMTGGLLFTIGALLNGFAQNIEMLYIGRILLGFGVGAANQSVPIYLSEMAPFRYRGALNIMFQITITFGIFVANLLNYFFAKIEGGWGWRLSLGGAVVPGLIIFLGSFCLSDTPNSLIERGELDEARVLLKKIRGIDNVDEELNDLVEASEASRLVKHPWRDIFRRKYRPQLTFSIFIPSFQQLTGVNVFVFYAPVLFKSMGFGDNASLMSSMITGLCICIAAVISSFTADKFGRRTLFLQGGIQMFICQAVMTVAIAAKFGTSGNPGDLPMWYSILVVLAMCIYIAGFGWSWGPLGWLVPSEIFPLEIRSAAQSINVSVNMIFTFAVAQAFTAMLCHLKFGLFIFFACCVVVMSVFIYKLFPETKGVPIEEMTIIWKNHPYWRKFVNDEDPNYEMAKRGGSV >EOY23359 pep chromosome:Theobroma_cacao_20110822:3:27126813:27130107:1 gene:TCM_015276 transcript:EOY23359 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 88A1, putative MSAMEEAVVLYPTPPIGHLRATVELGKALLSHQPSLSIHVLIATPPYQADATAPYIAAVSSTMPSITFHKLPKVTLPPSSNVANHEDLTFEILRLNNSNVLQALVSISKKYKVQALIMDFFISTAFKVATDLNIRPYYFYTSCAGSLASFIYLPTLHRNTTKSFKDLNVLLDIPGVPPIPAKDMAKPILDRTEKAYEFFFDVSKNMPKSAGIINNTFEALEPRVIKAICDGLCVPDGPTAPLYCIGPLISSVDERRSRGNPGDSVAECLTWLDSQPSKSVVYLCFGSLGLFPREQLREIAVGLERSGQRFLWVVRNPPSGSLGVAIKEQAEPDLNALLPKGFLERTEERGLVLKSWAPQVAILNHDSVGGFVTHCGWNSVLESVTAGVPMVAWPLYAEQRYNRVLLVEEIKIALPIVESEYGFVNATEVEKRVTDLMESKEGNLVRERTIAMKHAAKTALGEGGSSRVALAKLVESWKQD >EOY25406 pep chromosome:Theobroma_cacao_20110822:3:34189136:34190462:-1 gene:TCM_016725 transcript:EOY25406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKWLVRGCYDLLASWKKMLGITTSVKTFSELFLQEFKFQVSQSSCSLCKMGVRGILFGGLPCVDGEIMNHLPVNQ >EOY21614 pep chromosome:Theobroma_cacao_20110822:3:14117792:14122367:1 gene:TCM_013543 transcript:EOY21614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLSLLTTIPAGTQSHKAWNFTPPQVKSMFEIHPLNGTHIHKSSHFACDIPYVHIKSHSNSSSFSHL >EOY22345 pep chromosome:Theobroma_cacao_20110822:3:23067037:23067780:1 gene:TCM_014543 transcript:EOY22345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTQTLKKWLIKDMDGFNTFTEYLSMQENKSLKKGVVKAIQVGFRPNPNPKPVINAGSNAGCKRTGNRHFSPCRAAATPRGLQKTIASPCPLVTCIHNRSLPIYIPFSLGPSF >EOY22149 pep chromosome:Theobroma_cacao_20110822:3:21927648:21928451:-1 gene:TCM_014364 transcript:EOY22149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQKLINYLFGLLGVLQLKSQIHNGDLVNRVEKGMGLGWITLSVNGREVNYFTYQHTIKEVFYAPTDDTQHIIHYELREINLMVEFDVEDELKILFGDHGVVGSIRANGMADDKVEYTINETEPHAIRATLVVRRRLNKNELEFAIINNTSLIIDMGVNLKDVINDCMGDKNAYAHDMSDKPIIMGY >EOY24202 pep chromosome:Theobroma_cacao_20110822:3:30075169:30076748:-1 gene:TCM_015872 transcript:EOY24202 gene_biotype:protein_coding transcript_biotype:protein_coding description:G10 family protein MPKVKTNRVKYPEGWELIEPTLRELQAKMREAENDPHDGKRKCETLWPIFKIAHQKSRYIFDLYHRRKEISKELYEFCLDQAYADRNLIAKWKKPGYERLCCLRCMQPRDHNFATTCVCRVPKHLREEKVIECVHCGCKGCASGD >EOY23759 pep chromosome:Theobroma_cacao_20110822:3:28495091:28502885:1 gene:TCM_015557 transcript:EOY23759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar iron transporter (VIT) family protein MEQLEPQLAKKHLWPDQDEEDEEEEAGVGLLARHSRHPNNGVGTTPTSTATTISGEPQPAPATAHTNVTTSELPEQEPEGEEQEAADNSQRDEELGDENGEDKVVKEEGDGVTNSFYFHKLQGTESVNGVSEMQSEISKGLSTEINHQQFKNVEDRNFFRLSPALVEQSHEETNTSFKHFDNATKVDANVDLIGEIEEDLMELDVERVLEKQNTHDLYCPNCNSCITRRVILHRRKPKISNIRHKPKHVKKLTPIPISVVDGRGGNSPKIYSNDSPTLAPGVQNNSTEQEAFSCLSCFSLFIPIGNGCFKIFQFFGRGKQNENTQNPQEINQSENTQISQEMNPSKGTQSPQEISQKDIANSPKGISQNGKTESPQKLSHIEDTQNTRNPPMISQSENTQSPQKINGNEITHSPQNINQNERKQSPQNIPKARTSWIFSVFAFHKGKATVDKAGHASSVQGAAVPTLSVSVTSGKHVDDAIIKPKVPGKSNIFSSTKSPLFGKVKAKSREKKLDAGMLNRNSGDNEIEDIEAGPLEPTCSSRTEVGNSSQTGNQNGCGDDDAGEAHEWEILKSIVYGGLIESITSLGVVSSAAGAGADTLNVLALGLANLIGGLIIISHSLRELKNDKPPGASTEVNVEDRYQVVLGRRQNFVLHVTVAILSFLIFGLVPPIVYGFSFRKSDDKDLKLAAVAGASLVCIILLALGKGHVRKPHRAYFRTVSYYVALGFMASGISYVAGELIKKLLEKLGLFESTSAVSAPFLETIPLEVGRASY >EOY25137 pep chromosome:Theobroma_cacao_20110822:3:33384862:33386460:1 gene:TCM_016550 transcript:EOY25137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase family protein MAREERRFEIGYALVPKKENSFIRESLVNQAKSRGIDLVKIDRGRPLVEQGRFHCVLHKLYGEDWRSQLEDFRSRNPNAVIVDSPDAIERLHNRISMLQVVSELKIENQSETFGIPKQIVIYDQETLFDNQAWELLKFPVIAKPLVADGSAKSHKMSLVFNHDGLNKLKPPIVLQEFVNHGGVIFKVYVVGEYVKCVKRKSLPDVSEEKLKSLEGSLSFSQVSNLATHEKSDDKYYKKMHLEDTELPPQSFMTDIARGLRRAMKLNLFNFDVIRDTRFGNRYLIIDINYFPGYAKMPGYETVLTDFFCDIVNRKERDVVVERGLAVECSQEKVAGTDGRSVLSCEEEVRKTVTNSCCSDGEDKENSIQV >EOY21178 pep chromosome:Theobroma_cacao_20110822:3:3885924:3887129:1 gene:TCM_012561 transcript:EOY21178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tau class glutathione transferase GSTU26 MAELKLIASSLSVFCARIEWALKLKGVGYEYLEEDLRNKSPLLLTHNPVHKKVPVLLQNGKPIAESLIILEYIEETWKDSPLLPEDPYEKAMARFWAKFADEKCLHGAFDACRAVGDEKEKAIESAAKSFAFLEKQLEGKKYFGGEQIGYLDLALGWIPHWLNVMEEVGCMKLVDTQRTPLLHQWGQEFMEIPLIKECLPPRDKLLGRFTASINYLRSLAASQQ >EOY23376 pep chromosome:Theobroma_cacao_20110822:3:27176880:27179406:-1 gene:TCM_015291 transcript:EOY23376 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MSNSTERYQKLGLRESLTRIYQYPIACKELSSILRGAYGKLPKNLQALIFQDTLTAFRLLPEMQTRSANAAAQLLLQSAESALPKQKKSLVVTEFKQAKVAYIRRSRAHREETVSAQLPQDVLVHIFSLLDLQSLISVTLVCRSWSLAANDSHLWELQYTTVFGRSKTCSRTKMQQSSRLVKEDYMFLKENGDTKTHIHWKESF >EOY24601 pep chromosome:Theobroma_cacao_20110822:3:31581530:31582767:-1 gene:TCM_016162 transcript:EOY24601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNKFGQIFAVIRGSKRHMGSWVLPYTNGPSQSQPSPSRATALPIRTMAHDIPLALIPLSLPLFPILTATPF >EOY24123 pep chromosome:Theobroma_cacao_20110822:3:29742716:29743914:1 gene:TCM_015808 transcript:EOY24123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDASGPSRRPCFIEEDDGLASLADMEAGYSGSHYHSPKQNGFFSRPLCYSRRSSLRNLSSSVSSPRSARFYDARFEDHQPHFLDACFLCKKPLGGNRDIFMYRGDTPFCSEDCRQEQIDVDEAKEKNWNLSSSMKALRKKDQRKSTSPTEAQDYPFRAGTVAAA >EOY21804 pep chromosome:Theobroma_cacao_20110822:3:18702710:18705102:-1 gene:TCM_013939 transcript:EOY21804 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22 A MGSGAASFLKVLLKNFDVLAGPVISLLYPLYASVRAIESESRADDRQWLTYWVLYSMITLLELTFAKVIEWIPIWSYTKLIFTCWLVIPYFSGAAYVYEHYLRPFFINPQQTINIWYIPRKKDFSKPDDILTAAERYIEENGTEAFEKLIHRADKSRSSGYIYDDDGYRH >EOY22779 pep chromosome:Theobroma_cacao_20110822:3:24778834:24779591:-1 gene:TCM_014851 transcript:EOY22779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHQHEEDGGQALSPHQRWLFISAKCFGLTWFCLHPRLSKRALGLREPTITGWSSF >EOY22474 pep chromosome:Theobroma_cacao_20110822:3:23599182:23600804:-1 gene:TCM_014633 transcript:EOY22474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 3 isoform 3 MERTLWGHLPLLVRANSKESVEYILQSLWKTRKTGLDADDRRLICEMLQLQNDSDLDPLLVCLRMLIRRCVYENISKDDIQKLFPHEVLPELQRLLTLLLQKFQKEWRAEIHKDEVSLPRLKAMTWNMGNQDSEVTEPVAVINLKLQNDTQAPQEELDLKFQLAKETLDTMLKSMYCIRDQLSNLG >EOY22475 pep chromosome:Theobroma_cacao_20110822:3:23597838:23600959:-1 gene:TCM_014633 transcript:EOY22475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 3 isoform 3 MERTLWGHLPLLVRANSKESVEYILQSLWKTRKTGLDADDRRLICEMLQLQNDSDLDPLLVCLRMLIRRCVYENISKDDIQKLFPHEVLPELQRLLTLLLQKFQKEWRAEIHKDEVSLPRLKAMTWNMGNQDSEVTEPVAVINLKFLLIATVPCGRRKSALLWFYLPMLLTVVES >EOY22473 pep chromosome:Theobroma_cacao_20110822:3:23597873:23601009:-1 gene:TCM_014633 transcript:EOY22473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 3 isoform 3 MERTLWGHLPLLVRANSKESVEYILQSLWKTRKTGLDADDRRLICEMLQLQNDSDLDPLLVCLRMLIRRCVYENISKDDIQKLFPHEVLPELQRLLTLLLQKFQKEWRAEIHKDEVSLPRLKAMTWNMGNQDSEVTEPVAVINLKLQNDTQAPQEELDLKFQLAKETLDTMLKSMYCIRDQLSNLG >EOY22759 pep chromosome:Theobroma_cacao_20110822:3:24702228:24703422:1 gene:TCM_014836 transcript:EOY22759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFGTKQKKMYRSASWSRVSDDYYSSPKAGTGLRMSSSVEGNNEVPMYDPIIEMAKKEKSRARFAENAVHIIPLVLLVCALILWFFSNADVEVVTKADSVAARIEGLTIDGDIDHDSDGTQAGFLPVGDSVDADTSKQPKDKKASRKLQNYNLK >EOY24208 pep chromosome:Theobroma_cacao_20110822:3:30092007:30095665:-1 gene:TCM_015877 transcript:EOY24208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant VAMP (vesicle-associated membrane protein) family protein MTAGDGNQLISVHPNDLKFIFELEKQSFCDLKVVNNTEHHVAFKVKTTSPKKYFVRPNTGVVQPWDSCVIRVTLQAQQEYPPDMQCKDKFLLQSTIVPPHSDVDDLPADTFNKESGKEIEECKLKVFYMSPSSAQGNSEDEGLKSSSQQSPDSNSAVQHLKDEKDAAVRQTLQLQQELDFLKRRRQRRNDSGFSITFAAFVALIGVMVGFLLNLSLSSPPPME >EOY21244 pep chromosome:Theobroma_cacao_20110822:3:4799857:4801037:1 gene:TCM_012658 transcript:EOY21244 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ASP description:21 kDa seed protein [Source:UniProtKB/Swiss-Prot;Acc:P32765] MKTATAVVLLLFAFTSKSYFFGVANAANSPVLDTDGDELQTGVQYYVLSSISGAGGGGLALGRATGQSCPEIVVQRRSDLDNGTPVIFSNADSKDDVVRVSTDVNIEFVPIRDRLCSTSTVWRLDNYDNSAGKWWVTTDGVKGEPGPNTLCSWFKIEKAGVLGYKFRFCPSVCDSCTTLCSDIGRHSDDDGQIRLALSDNEWAWMFKKASKTIKQVVNAKH >EOY21901 pep chromosome:Theobroma_cacao_20110822:3:19569332:19575551:-1 gene:TCM_014062 transcript:EOY21901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILDSIRFVWLYLDIKPAYEASKQKPSCILFKYKAALDLYLRMLRKAGKAGQPTLIVLGLHSRAMRMRPWGTRWYSFLGSLCACSHGRMIDERSRQENLDTMSKREHSEPAIKHCGITVDMMG >EOY24996 pep chromosome:Theobroma_cacao_20110822:3:32912610:32914204:1 gene:TCM_016448 transcript:EOY24996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIQKVRLPKVFNNDSWTALSSPVSFSHVLGHPVARFRHHRHSWSKPHSLYKSPFLNVNQNPLILFFAFTKKKKEMARLAVAFTVIFLFTFSSHARFLTAEQEHDVTLEETETKLPESNPKTTNAIFLPSEKPGFESAEVVDFKPDDALETVSEVDLAPLTKISFRPVNRHFPRRPMIPFRHKHNCRFHKRFRPLNPRLQQKRYISYGDDMILSDEKSQFDPESRGVVRQIQPRWARFRDDGTESEDLDFIKPHHHDHDHEHEHDHDDHHHHHHHHRRHHHGEEDEKDEREEHEGGFMRRFRKFFIHF >EOY24383 pep chromosome:Theobroma_cacao_20110822:3:30737671:30739956:1 gene:TCM_015999 transcript:EOY24383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDEAHLKKELLTKRPRLMRRQVAGGGGGGAPIDGGAAIGERKGGGGPTLVTIVKKRRLRERRKRNGAEAFEAISRRRIPKFAGVLVVYMALVTKALFLSLNLLFFALVSCQHYVSKKNPFAEDGDFNSHNSRDPIPIRQESGKHSAEDGERNSHESSDSNNSSNLRESMEDGVYLNVLNCLVDAKLGKPPKEPCCSLIEGLVDLEAALCLLHCHQSQCLGHCRPGRSGFFELDSQLLWKGGSFDFQCPA >EOY22588 pep chromosome:Theobroma_cacao_20110822:3:24066374:24072118:-1 gene:TCM_014717 transcript:EOY22588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MGCVFGKQSQSSSSGRRRINTAAPPLPPRTRRRRSSDESPTIVSVTDAVDGVVDVKEPEIPRQQQQKARHTGDLAVRIPAPERRRPPPDLNQQGWPSWLMAVAGDAIRDWTPRRANTFEKLDKIGQGTYSNVYKARDLLTGKIVALKKVRFDNLEPESVKFMAREILVLRKLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAACQGIKFTEPQVKCYMKQLLSGLEHCHKQGVLHRDIKGSNLLIDNEGILKIADFGLATCYDPEQKKPLTSRVVTLWYRPPELLLGATYYGVGVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPNATLFKPQQPYKCCIAETFKDFPSSSLPLIETLLTIDPEERSTATAALNSDFFTTEPYACESSSLPKYPPSKEMDVKLRDEEARRQRGLASKVNAVDGTRRIKVRERASRAIPAPEANAEIQANLDKWRVMTQTNVKSKSEKFPPPHQDGAVGHPVDTSQKGPISFNASDTSFSSSIFTSKSSGSVKSSEPGSGPSRRRKAKRDTQRAPSRKFIRGLKPSSIGLSVDMLFRGKSEVFRSQN >EOY24857 pep chromosome:Theobroma_cacao_20110822:3:32461030:32462557:1 gene:TCM_016343 transcript:EOY24857 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY family transcription factor, putative MSEFYMENWDLRAVVGGSSNGACIPDMENPPFSFDPWSFQQEDLIMSFPDVFETNPKVLDELEELYKPFYPEFNPFSTQTIITSSLPVPQDEEKPESQKKQQPVSQSAVSGTNKDSTQTAKPKRSSRKNQQNRVVKHVTADGLSSDIWAWRKYGQKPIKGSPYPRSYYRCSSSKGCLARKQVERSCSDPRIFIITYTAEHSHGHPTRRSSLAGSTRSKSSSTSKSLVNNNEPREAAETVLSPTTMKDESVQQDWSMKVEEQMLEDGHGDKILTPDIMLSDELDQRLDNFEGLFLDQFPDWSHELWSMNESATLTGGCWT >EOY24341 pep chromosome:Theobroma_cacao_20110822:3:30604094:30607307:1 gene:TCM_015969 transcript:EOY24341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 19-2 MADHSDDLDQLLDSALDDFQNLNLTPPSQRGGGGDGEEKKPESGSLPSGVQGLGMGLPDLKSKKKGKQKVLRESHVTEALDKLREQTRETVKGLESMSKPGGDDLGKDAMMEDWVKQFEELAGSQDMESIVETMMQQLLSKEILHEPMKEIGERYPQWLEEHEASLSKEEYERYSHQHELIKELNGVYENEPNNFTRIVDLMQKMQECGQPPNDIVQELAPEFDLSNLGQLSPEMLESQQNCCIM >EOY25109 pep chromosome:Theobroma_cacao_20110822:3:33268062:33271221:-1 gene:TCM_016522 transcript:EOY25109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fiber protein Fb17 MEDSSAFAAFQADDSERTPEHRGCKRPLTEPQRKAKNERDRKRRQEHRVEFERLQNVGSQCDDLKTQLGMQTNTISELEEENQRLKNRESHLNKRIEELENALLERAAPNLLLQGNQLQPMVLIGGEINQMVWTRAHLPLMPDLCISAAVQTQVIAAIPAEGVIDKETITNQFTMNVPFLFVASKTEEVTCIRLRGKCSASPEGGLVQYLFEWIPHQTNQKSWENLGWSPVNVGSIQDLAPSGHTGSSCGAQAKFPIKFLLWNSVGTLDTYGQRVVMDLINRFRPAVLVIAETKLPRDRAEEIKDTFHFDAHRVPPNLEFVGGMWLLWLSDVVEVEILSFTEQEIHARINEPQTNQIKGKLLGYAKIHVIGSHFKSIEEFESWLLASPTHSEDAANNAHITQGPRSSQGVRMYTDELVHDFTRKLDAKDKSQVDFSDFSGLMEEVVKSGTVTLPLSLALIDKKIEKKYGEIAAESKQSSCTAMPSRILLCAAIKEMDELQLESIDEKKMLLWRDAINSALNINFKVNFAIEHLKKIGRAYFGLKARNDQELRSIEEKILTLQIELSDWEEKRAKKVEEQNSEVRKECLRYAEYFQGKSLSAGLLH >EOY22162 pep chromosome:Theobroma_cacao_20110822:3:21990414:21992639:-1 gene:TCM_014378 transcript:EOY22162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDEHSERMDKIEKKQEEIMGQLSKILELISTDKGKKAVGSSGTPEDVQQIETNTDPVYPLGFTPPPARNASTPMPSVGQYPFFGMPIGPPLTYAQQRPIGGASPSDPISVPDLDDPKEQEKLKFANHLVGPLYIEPIKPPFPRWYDTSAHCDYHYGIEGHSIENCTAFKHKVQGLIKAGILNFEKKSEQNVNNNPLPNHAGAGVNAIEREVYVKRNIREVETSMEKVFEALVKADMLEVWPECPNVNDSRDIQRLCCLYHKGCMGHSIQDCSSFRKEVQRMMDESKIEFYTEASESAVNMISKESTHPMKIKPLTIFYEPKGEFVEDKTHAKIIIEVPKPFPYKDNKAVP >EOY22405 pep chromosome:Theobroma_cacao_20110822:3:23320069:23325005:1 gene:TCM_014583 transcript:EOY22405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase C subunit 1 MAKVKIGINGFGRIGRLVARVALQRDDVELVAVNDPFISTDYMTYMFRYDSVHGQWKHNELKVKDSKTLLFGEKPVTVFGIRNPEEIPWGETGAEYIVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPEYDIISNASCTTNCLAPLAKVIHDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVNVSVVDLTVRLEKAASYEEIKAAIKEASEGELKGILGYTEEDLVSTDLVGDSRSSIFDAKAGIALNEKFAKLVSWYDNEWGYSSRIIDLIVHVSKTK >EOY23746 pep chromosome:Theobroma_cacao_20110822:3:28434721:28435455:1 gene:TCM_015544 transcript:EOY23746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGCASRPKEFDTPVDAPASPEKAQLETAAQENTDGGEDQNKQPLVDLSEPEKEAQNSSSDSNAATAAELVSADTAKPTEDDVKAVANNAEDKVEATQADKSKAAPSKEEDKNDAPLVTL >EOY22960 pep chromosome:Theobroma_cacao_20110822:3:25554982:25556638:1 gene:TCM_014985 transcript:EOY22960 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRNA processing protein-related MGLPSKKSTLLTENSEEDDNVMDDLREEESESGSESEEEDVKLAEPSKNSIYNRDGLIEKLQDISWPENVDWMHKLSFDIDQEKEVDVNDDLARELAFYTQALEGTRLAFEKFQSMGLPFLRPPDYYAEMVKTDAHMHKVKGRLLAQKRQIEEAEERRKAREAKRIAKEVQAEKLKERAKQKKQEIESVKKWRKHRQQSGFPAGGKDSELDLGFEDGKAFERSGKKRPGVFPGDRSGGKAKQGGGKGKNGKNREFRNSKFGFGGRKGLKKQNTAETTNDLRGFSKGSVAGNKKRRR >EOY24662 pep chromosome:Theobroma_cacao_20110822:3:31840363:31841707:-1 gene:TCM_016205 transcript:EOY24662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar essential protein-related, putative MVKRLLPGHLRFSRRPLYGFFPHLQRVSGRVEKKKSDRAILKLCSRQRPELEATGKGINAVEAKDKTLELVNKGRNQLVRNETISEVAAFELPGIPLAHSEPDRKSGVTFVLEQASLVCAKVGRRYPILNSNEHAAFLRKKNKNPYKYRPDIIHEVLSRIIDSRLCKSGRIQAIYVKTDEGVLIKVEPNTHIPRTPRRFRNMMAELLQKLSVKAANKHGKLLRLVQNPVTQHLPANSRKIGLSYSSNQLVRLKDYVGGISDNENLVFVVGAMAHGKIDADYVDDLISIYGGRMSARMCLEEIFEAVESKWKIL >EOY22426 pep chromosome:Theobroma_cacao_20110822:3:23415092:23419674:1 gene:TCM_014597 transcript:EOY22426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 15 MLPISSARNPQQSPIWISAFALFACLSVHGRITQASQEWVGAPLSDSREYLNVRSHFPSDFVFGASTAAAQIEGSTTSEGKGPSVWDQFLREFPDIVKDNSSFDVAIDSYNRYKEDVLALKDLGIDAYRFSIPWTRILPNGSLSGGINQEGINHYNSLIDELIKHGIKPFVTLLHFDSPQALEEKYGGFLNRNIVKDFKKYAEICFKAFGDRVKNWITINEPLIIANFGYSTGVAPPGRCSDRKRCPAGNSATEPYIVAHNLLLAHATVARLYKDKYQAAQGGKIGLSLVGEYYEPYSSTLLDRAAAKRALDFVLGWFMEPLVRGRYPLSMRRLVKDRLPVFSAKEEKLVKGSFDFIGINYYTSRYAKHIPINPQAAPVSYLADQHVNVSVTKNGVLIGPKAEGSWYLYIYPKGLYRILKFMEENYDENLEIYITENGVTEEKDDSIPIHQALNDQHRIDFIQKHLRQIRRAINNGVNVKGYFYWSSFDDFEWSEGYGVRFGLYYVDYKNNLRRIPKKSAKWYHDFVKGGRKQAS >EOY23512 pep chromosome:Theobroma_cacao_20110822:3:27667654:27669173:-1 gene:TCM_015380 transcript:EOY23512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRHLFLLHCDKPNTYTELADDINFTPKARGVHVLANMTSKPLAVTVKISVEGRKEETKVNESNCTRISRK >EOY22284 pep chromosome:Theobroma_cacao_20110822:3:22822556:22827544:-1 gene:TCM_014502 transcript:EOY22284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein MASLTLPSLNASTISRLNEQKATSLNSSSSRISSFRRRPTSTNQRFQCGVIRMQAGEEDFELKQMRDMAAAKKRWDAMIREGKVKVLTPREAGYAIQLSNKPLLDVRPSSEREKAWAKGSIWVPIFDIDNKFEVGTLSRKVTNFVMGGWWSGTPTVSYDRQFLSKVEEKFPKDAELIVACQKGLRSLAACEVLCNAGYRNLFWVQGGLEAAEEEDLAREGTQPLKFAGIGGLSEFLGWTDQQRVQAAREGWGYRLLYSARLIGLFVAADAFFIGAQQVARYLQDLRSH >EOY22065 pep chromosome:Theobroma_cacao_20110822:3:21177212:21180738:-1 gene:TCM_014257 transcript:EOY22065 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein, putative MASEKRCLYEVLGLSRDCTQDEIRSAYKKLALQRHPDKLVQSGLSQADATAQFQELVHAYEVLSDPKERSWYDSHRSQILFADRNSTANSPIPDLFSFFSNTVFSGYSDSGRGFYKVYSDLFSKIYANELNFAKKLGLGLELVKEAPLMGNLESSYGQVTAFYNYWLGFSTVMDFVWVDEYDVMAGPNRKSRRVMEEENKKLRRKAKREYNETVRGLAEFVKKRDKRVIDMSMKRKEEMERRKEEERERKRKMEKERLERVRAYAEPEWAKVEEEEEDDWEEMEEKAVEKEELYCVACGKKFKSEKQWKNHEQSKKHKEKVAELRESFVDEEEEEEELELEEDVDERFREGLRIDEEVKDEGEKRVGELSEDDGFFDVEEGGEEEVEIDSNNDDGEEIIVLEAMVSGHKHKKDAPLKSEDVVSQTGFHVKDESDEEEFMEYDNRKNRRRNRRGKKERSKKNGSEGMKSDGNRTESKNEEASLSDTLHAEEKQPAEDEDSDGEKDDKLGNNDKISKRPVDRKWNMKKETNAKSNNSSEGKKAKATTKHSGNVCETCGEDFQSKNKLHKHLGDTGHATLKFR >EOY20995 pep chromosome:Theobroma_cacao_20110822:3:2190291:2193012:1 gene:TCM_012313 transcript:EOY20995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MVETVKKAAAAGETLDISGKVGEVIEDIMYKMIFGRPSNSEDDNLNLKLLIKEISRLAGAFNLSDFVPCLAPLDLQLKKVSKILDKFMEKIIDEHEQAAGSNPEEQKPHRIFVHVMVSLLDKPMNPHEKEQDFIIDKTNIKAIMLDMISGALETSAIAIEWALSELLRHPRVMFGLQQELKIVVGRSRMVEESDLPPLTYLAMVVKESLRLHPIGPLLIPHKSMEDIMIDGYFIPKKSRIIVNTWSIGRDPDVWSNNAEEFFPERFIDSNIDLRGQDFQLIPFGSGRRGCPGMQLGLITIRLVSAQLVHCFDWELPVELDMSEKFGLSMPRANPFLVKATYRYLIIECKINRKKRI >EOY25281 pep chromosome:Theobroma_cacao_20110822:3:33810116:33814034:1 gene:TCM_016646 transcript:EOY25281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 17 MAARFLISRSSSKNLTQLLRPCLKHSHFLTTATLHSLAPLPRNSRGFSSEPPAFASDTRVPATVITGFLGSGKTTLLNHILTAQHGKRIAVIENEFGEVDIDGSLVASHSSASEEDIVMVNNGCLCCTVRGDLVKMLLELVKKKKDKFDHIVIETTGLAKPGPVIETFCADELVSRYVKLDGVVTLVDSKHAMKHLNEAKPRFVVNEAVEQVAYADRIILNKIDLVTEGDLETLTDKIKHINSMAQIKRAKFGAVDMDFVLGVGGYDLERIDSEVHANNSHCGNHEHDVHGQHKEHHHNHLHDSAVSSVSFVSEGTLDLDEVDDWLQRLIEEKGEDLYRMKGVLSVNGFDQRYVFQGVHSTLDGCPGKMWEPDEKRTNKLVFIGRNLDETALRKGFKGCLV >EOY22757 pep chromosome:Theobroma_cacao_20110822:3:24693502:24698553:-1 gene:TCM_014834 transcript:EOY22757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTSTSTITQQSPLFFYGSNYAVWAIKMKAFLRGVNLWNAIEFETELPVLKENASQAQVRLQNLRRKYELLRMKENQPVGEFVEDLMKLVNQSKLMGDSLIDLKVVEKIMLSLPERFEPTITYLEQVKDIIELSISDLVSALEADEQRKAARRDERVDHALAARAKDKAPVDPSFKKNSNENREKDKAGTAAGRSQNKKGKFPVCPYCKKRNHSEAYCWFRPGVKCNACKQLGHVEKVCKNKVEAADKKPQVTKQVEKAEAAVKIENGLILDAVGKGIVAIQTTSSTSSCQYGKLTRRSFPKASLNRAKHRLELVHSDVARPMSEPSLNGSKYFVIFIDDMSIMTWIYFIQHKSEVFSIFQKFKAKVENESGCRIKKLRTDNGGEYTSSEFTSYLENEGIHHQLTAPYCPEQNGVSERKNRTIIEMSRCLLFENKLPKSFWAKAANTAVYLRNILITQAVNNETPYEAWYNTRPSVDHLRIFGSICYLHVPEELRDKLQPKAKLGVFIGYSQQSKAYRIYQIESGKVFGSRHVTFNEGAYWNWENNQVQHTKFLDEDVNLQPASSDEILDVEQIVDEPPVREWKEAMKKEMKMINLNKTWSLVDRPKHHHVLGVKWVFRMKLNSDGSLNKHKAWLVVKGFAQLLRVDYHKTFAPVARMDTIRLLLALSAKFKWKIFHLDIKSAFLNGDLQEEIFIEQPYGFESEPNRDKVYKLHKALYGLKFRMTNLGQMSYFLGLQILQGNSGIFICQSNYIGEVLDKFKMTDCKTVATPLIPHEKLSVDKGSALENPSAFRSLIGSLLYICASRPDLMFAASYLSRFMQVPTTEHFSAAKRVLRYLKGTANIGLQFTYADESSVEFVGFSDSDWAGCVDDCKSTSGYVFTLGNGVFCWNSKKQETTAQSSAEAKYIAAATVANQAIWVKKILTDLGFLHVPPTKLDQLADIRTKPLHLSRFEELRSKLNIQQARRSVEIKTSLNVILSNYVQDKCPLCFVVLVW >EOY24140 pep chromosome:Theobroma_cacao_20110822:3:29811998:29815612:-1 gene:TCM_015818 transcript:EOY24140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 K4-specific methyltransferase SET7/9 family protein MHQKKSGKQQEIGKVSSSCISSDFNQTIYPHLFHSQFNQNYLESTPQKGPDFSIPNKPHLTIQIPPTSPNPSPPPTATTHHQKNPNFHHHEPSFSSNLSPTHALLSTPHKRPIMTQTSLSHSPTLSSFKNHHNDRKRVEKLASNSSLFQSFSCSAYFAIPFDTQQLGRKLVHHLNRGRLLCFHLRFLVLLSLPSLYFLVSNPRRFFVLNLLALIAFSLTLVVSLNLALPRLPSIRLLLARSLPAKFSQLGSSSKSSKAVVWSIGSKPKSEKKANSGTWVQAYSNGDVYEGEFHKGKCSGSGVYYYYMKGRFEGDWIDGKYDGYGVETWAKGSRFRGQYRQGLRHGIGVYRFYTGDIYAGEWSNGQCHGCGVHTCEDGSKYVGEFKWGVKHGLGHYYFRNGDIYSGEYFADKMHGFGVYQFGNGHRYEGAWHEGRRQGFGTYTFRNGETQSGHWQNGVLDVHSAQNTHLGSPYAVTQSRVLNAVQEARRAAEKAYDIAKIDERVNRAVSAANKAANAARVVAVKAVQKRMHHSNGDDPPTAIV >EOY22382 pep chromosome:Theobroma_cacao_20110822:3:23224279:23226995:1 gene:TCM_014568 transcript:EOY22382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 family protein, putative MGPNNQHPSPQNSRIKTPTPRCQSSMAFSLSSLSKSLSSLLPSRSKPNSPSLLSPTTLQLPKRASGCALLVPTWDPKPTSTSTCLSSSLTTADDITAITCPSLAYANALFFKSGCYNVQVVVAENEPEEKLLGRFRRAVFKAGVIQECKRRRFFESSQDKKKRKAREASKRNRKRRPQRKASAQAKEETPKKKDDDEDDNWELPGGDLPY >EOY24071 pep chromosome:Theobroma_cacao_20110822:3:29543107:29545374:-1 gene:TCM_015775 transcript:EOY24071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MEIMRAFLISLVLVLNAVRVLSDDQLLVLDYYKETCPLAEEIVSRNVEIAVLKDPRMAASLLRLHFHDCFVMGCDASVLLDNYGDIVSEKQAVPNQNSLRGFKVIDEIKYILEEACPLTVSCADILAMVARDAVALRGGPRWKVWLGRKDSLKASFDGANQFIPAPNSSLETLIANFNEQGLDIEDLVALSGSHTLGKARCLSFRQRIYDINIEEKYDKYKRYRAFRRALRSICPSSGRDNAIAPLDFSTPAKFDNHYFINILGGKGLLGSDNVLVTEDHEGEIIKLVWAYASNQELFFSSFANSVVKMGNINVLTGNEGEIRRLQVC >EOY23587 pep chromosome:Theobroma_cacao_20110822:3:27957689:27959533:-1 gene:TCM_015435 transcript:EOY23587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAAEARAAREHTANPCLAASGPGQATPLSMPDNQNLAPLPDSQCPQISKDHCSDESSHCIRDGVREVPQKVYAECVESDQAVKKEESNALVQAEKTEPSWHIIDKDELASMVTQKSVEHVENCDLPQPLTKDFRKGQLPSLELPGYCETLPSSLDWPIQEVFSDLSNHHWGRSTSVKCR >EOY22531 pep chromosome:Theobroma_cacao_20110822:3:23809602:23810336:-1 gene:TCM_014678 transcript:EOY22531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSHGHRPVNTMDPSRGTRPPTQLVPDLDNINKIGLEATRPVAGRAEVFGDGKGIFRGCHKKCRAKDGMEDDEM >EOY21641 pep chromosome:Theobroma_cacao_20110822:3:15120719:15124695:-1 gene:TCM_013625 transcript:EOY21641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFRGAPVAHSMSRSDGSPNTPHCTSEGSLDSTARSQCKESLDSSESLKSKDSSNIQEAIRNFLLQRSEERCKEKAKEAIAKGDIRPRKISVVRHFPPGCGIGTAPVSKEEYIRIQQA >EOY22644 pep chromosome:Theobroma_cacao_20110822:3:24327749:24329796:1 gene:TCM_014754 transcript:EOY22644 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO protein 3, mitochondrial MQRKSLLSQISQLNKLHFRFNRYSFSSEKTQNLPLFPDKNDDPLYSDVPKPRTDKSERKPYPTPMKELIKRAKQEKELRKAQPCRLLEHPPANGLLVPELVDVAHRVHQAREFLLSGLSKLVRVIPVQRCKFCSEVHIGSVGHEIRTCTGPESGSRSAAHVWRKGGVVDVVTFPKCFHLYDRVGKPRVVHDERHSVKRIPAVLELCIQAGIDIEKYPSKRRTKPVYSIEGRIVDFESVAGMDEIESNFFWENLDGSGTGELTRDSNSELNDEEKNLTELSIRAMDSWFEMITGLKKIMEKYSVWTCGYCPEVQVGPKGHKVRMCKASKHQSRNGLHAWQEATIDDLVRPNYVWHVRNLNGPPPHNDLKRYYGKAPAAVELCVQAGAPVPDQYRSMMRLDVVPPERDEVDLVA >EOY24972 pep chromosome:Theobroma_cacao_20110822:3:32819983:32822488:1 gene:TCM_016420 transcript:EOY24972 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyltransferase, putative MGNSQEPSFHVAMVPTPGMGYLIPLVEFAKRLVHQYHNFEVTIIVPDDGSPMKYQRQLLQALPKSISSIFLPLMSFDDLPKDVRMETRILLSLVRSLPALKGSLKLDEMFSCEYRDLPEPIKLPGCVSFHRSDIPDPAQDKKNVAYQRTIQLFRRYPLAAGIIVNSFMDLEQNAFRALMEDEIGLPKVYPVGPLIQTSSIKEVNGSNNCLRWLDVEPHGSVVYVCFGSGGTLFYEQMNELPLGLKMSGQRFLWVMKSLIEKATNATYLLELMLACVCRDERMQTTNKVRLLDENILGKIVMKKVFENALSAKFKGKVMFETTPRKEPGESREKEKRSHQHNKSQLRKNKFQICSHKQGTVAQTTVAAEYMVAVIAANQATWIRKVPLHFGMPQTKPTELFVVNKSTITVAKNTVFYGRTKHISVKYYLSSDAKKCGEMLIPHFSFEEQFTNMLTKSLKSVKDPFHFLPNGFLDRTKGIGVVVQSWAPQIEILRHGSTGGFLTHCGWNSTLEAIVHGVPLIAWPLHAE >EOY23245 pep chromosome:Theobroma_cacao_20110822:3:26760321:26762805:1 gene:TCM_015205 transcript:EOY23245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein MDAGSLNSFSNLKGQSRCPLQEQLLQRRNSKENMDRFIPNRSAMDFDYAHYMLTEGRKVKENQTVCSPAREAYRKQLAETLNMNRTRILAFKNKPPTPVELFPSEHSTSSVHPTKSAKPRRHIPQSSERTLDAPDLVDDFYLNLLDWGSSNVLAIALGNTVYLWDASDSSTSELVTVDDENGPVTSVSWAPDGRHIAIGLNNSEVQLWDSASNRQLRTLRGCHRSRVGSLAWNNHILTTGGIDGQIVNNDVRIRSHVVETYRGHQQEVCGLKWSASGQQLASGGNDNLVHIWDRSMASSNSPTQWLHRLEDHTSAVKALAWCPFQSNLLATGGGGGDRTIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLVLWKYPSMVKMAELTGHTSRVLYMAQSPDGCTVASAAGDETLRFWNVFGVPEVAKPAPKANPEPFSQLNRIR >EOY21902 pep chromosome:Theobroma_cacao_20110822:3:19573826:19580383:1 gene:TCM_014064 transcript:EOY21902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDNLAEVKAIWKAVQMFVASRWAPIKVILESDSTNTIKWVLVLSFAWNLRFIIIQIEYFKATINSWEIVKIPRLANDVADSLAKAEV >EOY20947 pep chromosome:Theobroma_cacao_20110822:3:1904500:1906382:-1 gene:TCM_012267 transcript:EOY20947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCCKSFHICSVLAVPSRRRWAGSDPFRKFLTVHVSLAPKRDAINEAKGVGKGSGNCFAPPSLIYQRMENDRKLHHSKERSKAPQQK >EOY20998 pep chromosome:Theobroma_cacao_20110822:3:2197717:2198842:1 gene:TCM_012315 transcript:EOY20998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKGKKEGVVAENAQFGKGDGIRGQVREKGFRETGWLLGSVGEEEEEESKNEEKGKGLPATFRENQNHVVLWIQKEEKEEVGWIHSSPNRCNFRFSQFILFI >EOY23099 pep chromosome:Theobroma_cacao_20110822:3:26206019:26207602:1 gene:TCM_015097 transcript:EOY23099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEADAVNYARKCYPCQIYGNAIHAPAVQLHSIITPWPFHTWAFDLIGPINPPFKGYTWILVATECFTKWVEAISLKNATGPAVANFIKENIICRFGIPRRILSDNGTPFINANVRELLALYDVDHVKSTPYYPKGNGQAEATNKTLLKVLSRMVHEEPKMWYDALPVALWAYRTSKREPTKATPFSLVYGTEAMLPAEILVPSARLALDAELDNDNLRMLELEALEERRDRAKKNLSVYQRRLSRAYDKLVKRRNFEEGDLVLRAAEHIRRGTPSSKFSPKWEGPYIVHEVNESGYCKLINPKNNAITAPINFQYIKKYHV >EOY23775 pep chromosome:Theobroma_cacao_20110822:3:28573537:28576700:1 gene:TCM_015567 transcript:EOY23775 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL79, putative MRPPPAETAQHLIHMSPPLSSGEPQLSSTSTSTCNPHTCGWRPYSSSNDFEANAAMVLIILFCALICSLALNAAIRCFLRGGGGRPRQFRHNNIPQTQQELEQRKPVPEVAAASMVAAPTLVYTTGLKLAGAEAECAICLSEFVEGEGIQVLAKCKHGFHVQCIQQWLSSHFSCPTCRSSCLSPSPSSEETTHQCVDSSSQTVMPEREREP >EOY21604 pep chromosome:Theobroma_cacao_20110822:3:13839280:13840740:-1 gene:TCM_013516 transcript:EOY21604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASWDSLDLDLIEINAKAIYIILSALGERQENQVHNCGNAKKICDKLDELYGQPLEKKLEKDDLLDESHQVMMKRISNFELQEQTMR >EOY24184 pep chromosome:Theobroma_cacao_20110822:3:30006475:30008449:1 gene:TCM_015855 transcript:EOY24184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MDKRLKKAAEDGDVDTLYALLAQDPYLLDRIDQIPIVETPLHVAASFGRTHFALEIATLKPSLALKLNHIGLSPMHLALGNGYIHTARGLITVDPELIRVKGRERITPLHYVAETEHVDLLAEFLWVCPGSVEDLTVRCETAVHVAVKNHKSRAVKVLVGWLQRVNKEEILNWKDEDGNTVLHIATSTNQPKVMKSIIRHVNVNAKNLQELTAMDICLLEELQQNEVGDILRHAKAKRASSLANFTITLADYLSQELSLLEKRDKHLGLKGQNQRKSRSNIGNVILVVAILIATATYQSGPSPPGGYWQDDYDPPANNTNNLSATYLGKEGRPHRAGDMIMDPVHIFFFFTLNSIAFYASVSTILIIIMGLPYSITLYVSACFFLLAYSTSLANNYPYTPQESLTNKVAGIIYVHVTYLFTGMIILVTLVAFLRHKRLKWRLDFLRRNLGDAL >EOY23733 pep chromosome:Theobroma_cacao_20110822:3:28394366:28399846:-1 gene:TCM_015534 transcript:EOY23733 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-amino acid hydrolase ILR1-like 5, putative MKTPNSAPKNSTPVLLSANNSINLVSLPVARTGIVAHIGSGSKPVVALQADMDALPIQELVEWEHKGKIDGKMHACGYDAYTTMLLGAAKLLNQRKNSLKGTVRLLFQPAEETSADASQMIRDGVEAIFGMHIDCTIPTRSIALVSGPLLVVVSFFEAKIGVGGRAAKPHYSIDPILAASFVILALQQLISREVDPLHSLVLFVTCIRGGFTFNVIPSYVEFGDTMRSLTIEGLHKLQQRFKEVYNNTQPF >EOY21702 pep chromosome:Theobroma_cacao_20110822:3:17437344:17450711:1 gene:TCM_013804 transcript:EOY21702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLSRQFELIKMKEDDNTQEYTNKLLKLVNQLRMFSQEVTDQKIVNKILVSISNKFKLKVTSLKDLKDLTKITMKELISTFLAFEQRRAFKHENLAENALVAKTKGLRVKSDGSNKNNSNGSKSSVAKQRKNQDKFPSCTHCKKTNHTPKYCWFRPNVKCRACNQLGHVEKVCKAKKQEAEGKAIVTKETDLFTDLDKSIRAHVEIGNGLYMKILGVGKIVVLIVAVYVDDLLITGPNDDVLQEFKEQRSKAIIDKMTKSMHASALTLLLEVCIDHFQLCLQVCKSRYRQSLRENIWKLIY >EOY21189 pep chromosome:Theobroma_cacao_20110822:3:3925037:3933588:-1 gene:TCM_012570 transcript:EOY21189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha dioxygenase, DOX2 MVYIPASGHIVDKQTLEQKQHQVIHLEDKFKVWHRLPVFMWLVYLGMKGHLHQRYNLLHVGGIGTIGGKYDTRDFCYNTTDRKCNHPSGDVIGNQGTFFGRNMLPSTSNWMRINWYGFLGKKFKDMFGHVCGLILSGLVGFRKPKDHGVPYSLTKQFFSIYKMHSLLPNKFILRNIKSTTPKYECPLVSENKWEDLTNDNEVIEALREVYEENVDKLDLLVGLHAKKKIKGFAINGTAFFILLLITSRYASMLEADHFFTINFNSQTYAEKGLEWVNKTEALKDVIDRHFLDMTRKWMRCSSAFSVWDAALNKRNYIPLYLRLTP >EOY25387 pep chromosome:Theobroma_cacao_20110822:3:34117171:34118470:-1 gene:TCM_016712 transcript:EOY25387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPIHLISGYSPLRDTKKCSENSKPVPTGPKNQLNPHSLPIDEISGQSEPSISLALAINSPHIEIVKALAE >EOY21084 pep chromosome:Theobroma_cacao_20110822:3:2819184:2819920:1 gene:TCM_012409 transcript:EOY21084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHFPYILCTLFIAILPLSFFFLPSPPFACISLTYANFSTPPLLRRVNLYHFLIPIPNLRSWLDRLWLLISGLISSSSGVGLC >EOY24192 pep chromosome:Theobroma_cacao_20110822:3:30030469:30032203:1 gene:TCM_015863 transcript:EOY24192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-like B1, BETA 3.1,EXLB1 MGFSLKFRYCLVSVMVLLPALCYSQDYFVRSRATYYGSPDCLGTPSGACGFGEYGRTVNDANVAGVSRLYKNGTGCGACYQVRCTNPQLCDDNGVNIVVTDYGEGDHTDFILSPRAYTRMARSNTAAQLFAYGVVDVEYQRIPCGYGGKKLQFKVHEHSRYPSYLAIVILYPAGRNEIQAIEIYREDCKQWIGMRRAYGAVYDMANPPQGSISLRFQVSGSAGLTWVQAPNAIPSNWEAGVAYESDIQLE >EOY22131 pep chromosome:Theobroma_cacao_20110822:3:21625030:21627515:-1 gene:TCM_014333 transcript:EOY22131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLIAFEMLSRLKINFGKTSLFLVNGTRERWEELARNLNCKAGSLPSNYLEISLGANSGNKPSETFYPRLFTLSRSKEAMVRCIRKVVEKVKFRRLNLEEWVKALERGMTVTETTWWESPRVDMETMQDKRAMMIRKNENGQPSTKDFLKFNTDGSAKEKFEYGKCGKSVER >EOY25008 pep chromosome:Theobroma_cacao_20110822:3:32958830:32961057:-1 gene:TCM_016457 transcript:EOY25008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Highly ABA-induced PP2C gene 3, putative MKGYKSKRRIGSEVVMDVDVTKKKRGIKKFTQRSEKEQEKREENKVESVTCVSHGSISVIGRRRAMEDAVKVSVGEIQGYDFFAVYDGHGGAQVANACRDRMHQLVVKEVEERTRGGKGPEWEKVMAACFAKMDEEVSGEDYQGGAGDVDMKTMGSTAVVVLVGRDVVVVANCGDSRAVLCRGGTAVALSSDHKPDRPDERERVEAAGGTVINWNGSRVLGVLATSRSIGDQHLKPYVISKPEVSVTERTKSDNFLVLASDGLWDVVSSEIACEVVKRHLDGRIKMRFSDDPQGCTGDRAAEAAAMLAELAVARGSTDNISVIVVELKR >EOY23825 pep chromosome:Theobroma_cacao_20110822:3:28692280:28695886:-1 gene:TCM_015598 transcript:EOY23825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid ribosomal protein l11 MASSLSTLHHLSSSLCPRNIDNAKLSSTLFHSPINLSSNPNISLQFLNKKQSPLLSSTPRFLTVIAMAPPKPGGKAKKVVGSIKLALEAGKATPAPPVGPALGAKGVNIMAFCKDYNARTADKAGYIIPVEITVYDDRSFTFVLKTPPASVLLLKAAGVEKGSKDPKQEKVGKVTIDQVRAIATEKLPDLNCTSIESAMRIIAGTAANMGIDVDPPILEPKKKELV >EOY24480 pep chromosome:Theobroma_cacao_20110822:3:31140581:31143378:-1 gene:TCM_016075 transcript:EOY24480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage T-protein family MRRFVPSLLIRHRSLHHLQNAGPLCSHLKSRSVIRFSGPETIKFLQGLLTNDVRRFGEPPREDNSPVPTTNVASVVVPPMYAALLTPQGRFLYDLFLYRPPRAEEKLDRTGSGPGRASGGSVEILADVDGSILDELLATFKKYRLRSKVEIENVAEDFSCWQRYGQNLFGKTPTVEEPEAASVGWGIGVDGSGTTASHGNDVGWQWFKDPRLGCLGFRGIFPSGTTPPLVESDKETDEENYLLWRLEKGVAEGSTEIPKGEAIPLEYNFTGLNAISFDKGCYVGQELIARTHHRGVIRKRLLPLKFLDENGKEVEGKVAPGSEMINTASGKKAGTVITALGFCGMGVLRLDEAFKGFGSLTLQGQEDVKVEAIRPDWWPTEWFQEHQQHSAVA >EOY24243 pep chromosome:Theobroma_cacao_20110822:3:30247165:30251989:1 gene:TCM_015906 transcript:EOY24243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase MECSFFSSKCMPMSEIAYMERTYSSQFLSFRPLVDFKSTPQFCFSRGISPKASYSSTPNNTYLPKKALSSVEQEKLGAGNLLYQINGSNSSLFTRNMRILDAFDDEYGGVIVDTERLPPNPNVFASMLRFSLSHWKAKEKKGIWLKLPAEKSDLVPVAVKEGFEYHHAERGYVMLTYWLPEGPSMLPANASHQVGVGGFVINDKNEVLVVQEKYCAPAFVELWKIPTGFIAESEEIFTGAVREVKEETGIDTEFVEVVAFRHVHNVAFEKSDLFFICMLKPLSTQIMVDDHEIHAAKWMPLVQFVEQPLIREDCMFKKVIDICIDRLGKRYCGLSVHQLASRFDDKISSLYYNVVDSQEINCIGN >EOY24371 pep chromosome:Theobroma_cacao_20110822:3:30676448:30679592:1 gene:TCM_015988 transcript:EOY24371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKLGCSIDGVLNEAKFSEPLPWIGIYIAAASLACAIAMAADAIHGFRHWKLWFPCKCFTINATSLTIITVAIKLSVDLNTAMPRGEDQLAKLSSTALICTVMGNSMPSLGTMENKEIFTNIIALGILVITVLVNICIQLGTGVIYVFVMEHAIIMFLMLVLLVVLSFSALTVPTIKHYLELKYKKKYEMALKECSKSNETDKTIVEKLKEDLMRYWMMAHTCSPQFVVGRSVTCTASGALCLLSAATLAEAMLRFYLMPGSFRFCKGESDYKWSIKLILLTQAVAVGVGTIAPAMRWFLAINFRCPTRGGKWACRKSYKLEEYWIKRLVEMKECPLNIPISNPHSRRIVHDAKIKFLNLCIGIQAGIVFMSKTIRLISICSMSVILLCYDHCRDWLMKFTPTNSITNDSGSESLFCSKLDLSRFVLHLEDEDSLVGVMMKDNRDATDYWRQRAKTRQPKHLIELLQQSRPSEGFKGLTEFDSFKVPSLNAEEAPNCWSLSVVTLTSIAVALPNINRSSIKLLMAGVNEGLVYVRHIEDDLDMQGNLVRIRKAADVVWLGVELYHRWRDVDLRKPSLQGKSPMEILKVLSDSAKNIFMEFKKSKVKCLMDSPSKWPIKVLAANSMYRISQSILLNYESRNYQMGERLFEAITVMISDILAACLTNLERFISIKCSTSSIEEREESVRHAVYVLGKTENILKLLHQKALPGLNPDQMAFMDEWRSLHKLNSSLPDTPFSQESDSASRAGSEVYLAID >EOY22046 pep chromosome:Theobroma_cacao_20110822:3:21026635:21029147:1 gene:TCM_014237 transcript:EOY22046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWRLAFPSFITSPKSNFNVSKLDLTYAVKKGIHQIFSYPSAWPLTETNFSQIHHALARNFIPVNQELRISETHPFISRGAFGRFQTHRGEIKVCHSLYKMTWKTSLTYSYTIKYPLNDNTYFLINSIGSRAYTNKTFHLYPSTLFSLPWIAAESCEINGYHIPKGSTLLVNTWAIGRDPNQ >EOY25076 pep chromosome:Theobroma_cacao_20110822:3:33139728:33140757:-1 gene:TCM_016499 transcript:EOY25076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVVGVNGEALGRLEVRDLPWEGGDNYGLLPLRCGVHVNTWEVLDLDPSNLLSFPDNLRAVRWPSSTHVTQVAKLEFTPGWISVGSPVQVEYYQKLESKAM >EOY23229 pep chromosome:Theobroma_cacao_20110822:3:26718191:26719090:-1 gene:TCM_015196 transcript:EOY23229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA small subunit methyltransferase E MLFWYFFRFFSFRLHSFLHVQTRAFQKMDEKREINGVKKIQSPCDVEALKKCLEENKGDYVKCQYQIEAFKSSCSLKKPDPSVDSANSTRRI >EOY25443 pep chromosome:Theobroma_cacao_20110822:3:34287379:34289730:1 gene:TCM_016750 transcript:EOY25443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MFVSLDVSFLEDQHFYPNPTLQGKILGEEKLSDCLIPLPVIADIPETPPGNKDLPIINKKPQEVQAFTHQPCCQESNPQVNAETETEIIGASDTSNLPQLETQPSELDIPMALRKGVRTCTQHSISNYLYYSQQSKAFRALIEKIERDEIPKNVQEAFEKPEWRAAVLEEMKALKRNGTWDVVELLEETFASVAKLNTIRVLLSLASTLDWALHQMDVKNAFLNGELDEEVYTDLPPGFEGHSQDGKKTILIVYMDDIILTGDDTEEMERLKKTLRSEFEIKGLGQLRSFLEMEVARSKKAETPIVKNMKLGRTRSGIPVDRGRYQRLVGRLIYLSHTRPDIAFAVSVVSQYMHSPSEEQLEGNLVSWRSKKQPVVARSSAKAEFRALAQGTCELIWLKRLMEELKVSSIGPMKLYCDNKTAISIAHNPVHHDRTKHVEIDRHFIKEKIDGVICMTYVPTKQQIADVLTKGLPRPSFEVLVDKLGMTNIYSPA >EOY23762 pep chromosome:Theobroma_cacao_20110822:3:28515329:28519802:-1 gene:TCM_015561 transcript:EOY23762 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase, putative MCVPMATALTTDKSKVRSAWIFDVSKFVCWYWRCWPIPSIFLLVPMLILCSPLTFCVASHPMPSTVFHTSSDPPTPSLKTTQPHAHPQIAIVITVSLVLLLALLFAMGFLFRFLRLRKLRRGKPTSTVLPDQEKGKDSGVEGEEFVRKFRWEEIKDVTKNFSRVIGQGGFSNVYLANLSGSSQGAVKVQVGSDRLNQVFKQELDILLRLRHDNIVKLLGYCDDLEEGAMVFEYVPNGNLQEKLHEMEREVLPWKTRTAIAFQLAQAIEYLHEKCTLQIVHGDIKASNILLDEHFNCKLCDFGSAKMGFSSTVIPPSCSRTKQVMIGSPGYTDPHYLRTGFASKKNDVYSLGVIILELVTGMEAFCPERGQLLTSIVAPNLRDIAECGAEEKVAELVDPRLAGEFDLEEARAMLSIAALCLHQSPTVRPSASQIMQTIKDKITSIDFVFSPGKGCH >EOY25371 pep chromosome:Theobroma_cacao_20110822:3:34063095:34067534:1 gene:TCM_016702 transcript:EOY25371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid 8'-hydroxylase 1 MWKKIEFGLGDNGSEQNQIYHPNFGEFGVMRLVICTIVIEPVHDGFVPLFNPIYTRCLACFLHTTSFSTQLAATTTTTTPTLSTPTIQLLLIEFMEFNFMFYSFASIFLIFLFCCLFKSFKSNSRQLPLPPGTLGWPYIGETFQLYSQNPNVFFASKQKRYGSVFKTHILGCPCVMISSPEAAKFVLVTRAHLFKPTFPASKERMLGKQAIFFHQGEYHAKLRKLVLRAFMPEAIKSIVSDIESIAKDSLQSLEGREITTFLEMKTYTFNVALLSIFGKDEVLYREDLKRCYYILEKGYNSMPINLPGTLFNKSMKARKELAQILAKILSTRRQMKLDCNDLLGSFMGDKEGLTDEQIADNIIGVIFAARDTTASVLTWIIKYLGENLSVLQAVTNEQEAIIRSKEKCGEEQTLTWADTRKMPITSRVIQETLRVASILSFTFREAVEDVEYEGYLIPKGWKVLPLFRNIHHNPEIFPDPEKFDPSRFEATPKPNTFLPFGNGTHSCPGNELAKLEIMVLLHHLTTKYRWSMAGANSGIQYGPFALPQNGLPIRLTRKP >EOY21586 pep chromosome:Theobroma_cacao_20110822:3:13253602:13263456:1 gene:TCM_013457 transcript:EOY21586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYPNIHEIIHGRQWSTFCKHPQAASMRIVREFYANAKEHTNRSTFVQGKFVPFNTDAIDPYFDIPKAVIDEYNEYIIMEPNFNKVINYFFKSNKQWKMSKRLPLSFKNSQLYGAYKCCLYFIATRLLLMKHVTNITKDIALLLYYILTGKAINIGKLIYITILFFANTPHEDIWFPSLITDL >EOY20992 pep chromosome:Theobroma_cacao_20110822:3:2165906:2181626:-1 gene:TCM_012310 transcript:EOY20992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPAVEREKDKEPINDFFFLGKILSFGGYRLRESEKTSEREALGLVAGGHREGRSFEIAGERREIRLGGGH >EOY23505 pep chromosome:Theobroma_cacao_20110822:3:27633848:27634320:1 gene:TCM_015375 transcript:EOY23505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAANLFITTPPAHGCWYSGKALSAIPAQEHFKPFTGQYYTIVQSTLIVVLETENVN >EOY22979 pep chromosome:Theobroma_cacao_20110822:3:25626008:25634752:1 gene:TCM_015000 transcript:EOY22979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVMFSRPISWIMSLNGDAVVPFMSTRIMSGNLSYVLHNTSVATIMVDYAAFETNEERALWNTP >EOY22640 pep chromosome:Theobroma_cacao_20110822:3:24298389:24300233:-1 gene:TCM_014751 transcript:EOY22640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative MTGPDSINMQENASSQLDEAKERPFHATDCRTNTRHARWTKQETIVLIQAKLAVENRARARNTPFSIFLSDQNEPKWDSVSSYCKQHGVSREPAQCQKRWSNLLGDFRKIKTWESQKKKEAESFWTMRSNSRRERKLPGLFDREVYDILDGRGFPMAATPLAHVTVMTEIDSGSGDQVAKAAATAEEEQKNENEEADEEIGQENEKETIAMRSPAKTVNTLSPISGEAKEKYPGSTARTGSMIQEGLKRRRLSIDGSEDINWAKVLERNSNMLSSQLESQNINYQLDRDQRKEQADSLVAALNKLTDVLLRIVNKL >EOY24486 pep chromosome:Theobroma_cacao_20110822:3:31168807:31171729:1 gene:TCM_016081 transcript:EOY24486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPSSSSSCSPSSSKSPPPPLPHQSPFFTPIQECEREEQEDATPCVSTDKGMTPKHLATPLHNKNIGKANARKRQESGGNGGEDGSVSCNKCRPHSREKISVVPLDNNGVNKHSFSMASPNGIFKSIFHSLTRKSPKSTDMSTAREEQWKIAVAELSNKLIQSTRKRDEALLEASRLKYSMAELEKKLNKLEVYCHNLKSGLDECNSNSPYRIGKGHNIHQVKDRDGVIGANEQVIQQFLVSVSEARSSIRLLSRSLTMQLRHMGSKVCERISVLLQPYDMKISYSKNPKTLLLYLEALLNKAFFEDFESIGFHKNAVNQILNPIDRCEANYGSFNDLQGLTWEEVLNKGTRHFSEEFSKFCDRKMSEIVAMLGWNRAWPEPLLQAFFSASKSVWLLHLLANSVHPGLPIFRVDKGVRFDSVYMEDMGGERARKLIPSVARLMITPGFYVYGNVVKCKVICRYYNNTDNSLIDKGLTPSP >EOY24936 pep chromosome:Theobroma_cacao_20110822:3:32736116:32737503:-1 gene:TCM_016400 transcript:EOY24936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGTSCPNASYAMAGLRPNGNHHQAKRTDKCCYFQMPLHYPRYKKSDYENMPERQLDCLLNEYGLPVIGDVNQKRKFAMGAFLWPSQNE >EOY21076 pep chromosome:Theobroma_cacao_20110822:3:2686670:2690204:-1 gene:TCM_012392 transcript:EOY21076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEKTVGEEEKRNKNSALFLARFCCTLREEKRAFCCNFWRKKKAKNFEKNWSYLLIVCAGKVDSFVLPKLRSFDAGNLSWKSADFRAENGSKKGEKMRLLVTGFERKGREEATMVKGMTAGKKKKRKEEETKVCRGGVPNEVCDRQIRIIIYAYESIVK >EOY22699 pep chromosome:Theobroma_cacao_20110822:3:24506384:24508945:1 gene:TCM_014794 transcript:EOY22699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MSNSRCQLTQLHVLLPSLTKGSKKHDPGSRNKPKVMHRLGSWHRYHFHVSCKPLVNPSSLASLNTNYAFNSFHDLTENLCNRLLNSCNGSALLKQIHSSLTASGIIKRNSHLGAQIIIKYAKFGDNNSARSVFDTILGDKSNSFLWNTMIRAYANGGCHVEALELYSFMRKTDIAPNNYTFPFVLKACASKSLIIEGKVVHGDAIRTGFDFDLYVEAALVDMYAKCGQTDEGFKIFDKMLMRDLVCWTAMITAYEQAEQPHKALLLFQKMQLDGVLADAVAIVSVASAVGQLGDTMKAKIVHAYAICNGFLADVSVENAIIAMYAKCGNVSKARLVFDLMEERDGISWNSMLSCYTQNGQASEALLLFEEMLDSGCKPNPVTALIMVSACAYLGSQHLGRKLHNLVIDEKIKIDATLRNALMDMYAKCGDLDTATRIFNDIHLSERNATSWNVVISGYGMHGYGKEALNLFSQMLQAGVEPDHITFTSLLSACGHAGLIDEGRKCFADMKKHSVTPQAKHYACMVDMLGRAGLLNEAFDMIQQMPIPQSDGVWGALLLACRIHGDTELGEIAARSLFQLEPEHAGYYVLMSNIYAASNRWQEVGKLRQDMKDRGLRKPSAFSVIEFGKEVHGFLTADRVNPYWQEVYRKVESMAVEMKMVGYVPDLSCVLHDVEEEDKEYILSYHSEKLAVAFGIMKIDPEIAIHITKNLRICSDCHSAFKFVSYVYGRKIVVRDANRFHHFQDGTCSCNDYW >EOY21231 pep chromosome:Theobroma_cacao_20110822:3:4326405:4327468:1 gene:TCM_012620 transcript:EOY21231 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase MLARQIMRAGYYWLTLESDCINFARKCHKCQVYADRIYAPPAPLHVFTAPWPFSMWGMDVIGLITPKASNGHRFILVAIDYFTKWVEAASYANVTQKVVCKFIQKEIICRYGLPERIITDNASNLNGAMVKDVCTKFKIKHHNSTTYRPKMNGAVEAANKNIKKIVEKMTEVYKDWHEKLPFALHAYRTSVRTSIGATPYSLVYGAEAVLPVEVEIPSLRVLMETELEDAEWVRSRYEQLNLIEEKRLAALCHGQMYQRRMMRAYEKKVHPRQFREGELVLKRILPNQTDFRGKWMPNWEGPYVVKKAFSGGALILADMDGGDLPNPINADAVKKYYA >EOY25003 pep chromosome:Theobroma_cacao_20110822:3:32931876:32935109:-1 gene:TCM_016453 transcript:EOY25003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKRHVLVEKKPQRLTCRVQETGPLGKPCARIVVDKQFLPENKYESSVDSLDIELVSFRNLFPRLLSWKGSQIKVLHLSNIRFDFITVWKKRKKRTESIRDVFARCEITVYTLSALLHR >EOY25207 pep chromosome:Theobroma_cacao_20110822:3:33562412:33564997:1 gene:TCM_016596 transcript:EOY25207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKEGDLWDDSALVDAFDSAMSKYKRMHGKKTSEENVSVVDQCGDEAMKHRDAGENSNATSDTGTESAEAKDLQPDEENDNINSQTPERYIDSSNNLPMQDKQDGHKGYSDVQGTEDYNQLLSQYYEVEEKRQKLLQQMQQFGSWNYQYPAEGSSAAAQWGTSCTSQEYPIHTSQASHSTVVCSCCPYVSQSLVTPCTSYPCCSLGGTCVGKTSTNTNNAVAHGTLSTAIDCDIVKTAMGAAERAISSMTNKASVNPNINEDNKEKKDGEGETNQSTTFETDLAVLLNAWYSAGFYTGKYLMEQNIARKRQ >EOY24578 pep chromosome:Theobroma_cacao_20110822:3:31487269:31488832:1 gene:TCM_016143 transcript:EOY24578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSLFSLTLIILSWASLLLMKAEAEGIRSARLLDLLIRDYTVKSFDRHLRTGIVHTVHLPANFSGIKVDIARFRCGSLHRYGAQVKEFHLGSGTTVQPCAERVMVVRQNLGHNWSSIYYANYDLSGYQLVSPVLGLLAYNAGSDVSFGSPFQLGILAREKPIKIDFSNFTKASNMTGIRLLCASFEGDGKVTMKNQVSPNVCFATRHGHFGLVIESPPSLPVRKKISRWKVVVGSSIGAALGAFLLGLLFVAMFVKVKKKARMEELERRAYEEEALQVSMVGHIRAPTASITRTTPTIEHEYIPYPS >EOY25449 pep chromosome:Theobroma_cacao_20110822:3:34340569:34354422:-1 gene:TCM_016755 transcript:EOY25449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPDYCLHCCHVGHKDVHCIVLGNKPPGSGKPLPKANASSTRPADGEKLTTKHTNDEGKALANKQKDDPMSSKGMAQKNSEERPTATGGEAVVVFEKRKKNLSREVPTKQNTQWQAVGHLDRSAVTVSVGAEKVLDDEGTEQCKGSNRFAILNSVEEDKNEGPIWMGKQRQTEYVNSTPAKKNSLPGLQVETGGRRDNSVIGFSGLHEGRWLGNDDPKTKQQQQCLMETGEKRHVENNAANAEATPSLFPTHEERAEKDLIHVRIEGSLQNEGVPYEAEKCEDRREVIASVAIGDEIAAAERTTCMNRGISAAVQKQKMAERRSAKEGGDDRQKGISTAKREQQQQQIKKKGDEAEQVICRRSERQIGESCAAQQAEGGRTTSTAELVAGKAKSHAERAQKNSVIVSHRSSAQGRTSSEHVSAFAEKNTAPGVLLSKNVNQKNDNSLYDGTVQQQKIEITAPKKSQNKPVAIEEETDETEAVKCTSGSFTPLQFMQGERKDSGYTSIHANEDKTIEGKGHDVDDFSEGNELPITRRHHKLQNKAKPILAKLIPSLNVDFDVGPATLLFDKTSHIDGSQQRKAKAAIDDDNRAEYLKNLPSETGKCLLNTETDSVPSIADTCSSSEQVSKLPNPVQYQTNLEDQMSIGHPRVHRRRKSDSSLLTSIVWNSAHATDPLECLHVKISLPWLPHPFAATFVYAKCTRQERLELWNCLRSLSLDMQGPWMVGGDFNTIVSCAERLNGAPPHGGSMEDFATTLLDCGLIDAAPSLKEIKDVVFNIDKDSVAGPDGFSSLFYQHCWDIIKQDLLEAVLDFFKGTPMPRGVTSTTLVLLPKKPNSCQWSDFRPISLCTVLNKIVTKLLANRLSKFLPSIISENQSGFVNGRLISDNILLAQELVGKLDAKARGGNVVLKLDMAKAYDRLSWDFLYLMMEQFGFNDRWISMIKACISNCWFSLLINGSLVGYFKSERGLRQGDSISPLLFILAAEYLSRGINQLFSDHKSLHYLSGCFMPISHLAFADDIVIFTNGCRPALQKILIFLQEYEAVSGQQVNHQKSCFITSNGCPMTRRQIIAHTTGFQHKTLPVIYLGAPLHKGPKKVALFDSLITKIRDRISGWENKTLSPGGRITLLRSVLSSMPMYLLQVLKPPVVVIEKIERLFNSFLWGDSTTDKRMHWVAWHKLTFPCSEGGIDIRRLNDVSDAFTMKLWWRFQTCDGLWTNFLKTKYCMGQIPHYVQSKLHDSQVWKRM >EOY24809 pep chromosome:Theobroma_cacao_20110822:3:32300124:32301148:-1 gene:TCM_016304 transcript:EOY24809 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 zinc finger protein RHA1a, putative MFLPISCLSLPLSHSLSLFLLHNTEPEQTKPKKQTQQTEKTHKRNTFFTHPSLSFKRKMGFPVGYSALLLPRLLLHTLSLLGYLRKFISTLFLYLGLPDFLEPDIIPWRDTVNTDVSNSASSSPTPRRIPVSALLIRELLPVVKFSDLVDPPDSCAVCLYDFEGQDEIRRLTNCRHIFHRSCLDRWMGYDQKTCPLCRTCFVPDDMQETFNERLWAASGIPEFFGDYSQITAL >EOY23708 pep chromosome:Theobroma_cacao_20110822:3:28309740:28312295:1 gene:TCM_015515 transcript:EOY23708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycoprotein family MSQVLSKSPKHCAKQGLSIDKSYKKIFFAFSSFFSTVLAFIFLIWLILHPAKPQFSLREADIYQLNLSGPHLLNSSIQLTLLSKNPNKKVGIYYDKLQAYAAYKGQQITVDTSLPPFYQGHEESNLLTASLEGKGLPVAPSFGYEVGRDQTAGRIVLNLKTNRQKASLMNNYNAVKLFNPEQIRAKHPTLDKRREDASRHLWHKINKEKNEVEGERKCQPFICKMLLL >EOY24029 pep chromosome:Theobroma_cacao_20110822:3:29378539:29385041:1 gene:TCM_015734 transcript:EOY24029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRFFRRIAGLLGFVRDDGHEVKEENDHHNSNNNNNNQPPSNRPVFQETGLPRRGFSVPVQVAVDRPQPGPLLLPCTSGDGGVQGLKWYAKRLRIDEDGDVADEFLDEVLPETSSAASAENEQKPFPKFKVKYTTRPAKVKTQVLSHDGKIQQCVEYQGSLQWV >EOY23760 pep chromosome:Theobroma_cacao_20110822:3:28502948:28506432:-1 gene:TCM_015559 transcript:EOY23760 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOD26-like intrinsic protein 5,1 MPDEETATPTASAPATPGTPGGPLFTSMRVDSLSYDRKSMPRCKCFPVTAPTWGQPHTCFTDFPAPDVSLTRKLGAEFVGTFILIFAATAGPIVNQKYSGAETLIGNAACAGLAVMIIILSTGHISGAHLNPSLTIAFAACRHFPWAHVPAYVAAQVSASICASFALKGVFHPFMSGGVTVPSVGYGQAFALEFLITFNLLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGSSSGGSMNPVRTLGPAVAAGNYKALWIYLIAPTLGALVGSGTYTLVKLHEDEAEAPRQVRSFRR >EOY25123 pep chromosome:Theobroma_cacao_20110822:3:33319982:33320568:-1 gene:TCM_016535 transcript:EOY25123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNRAPWSTSGLYNDLVIHLFSYHHQFNCHNTGERRDQENEEWSSFTDKPLQDSSFFDFRPSTVFEGLIPNDTKFGPSRDAAKVSKARRRLRKSEEQREVEKEITIAEGRCPRKYGGSFYCC >EOY20966 pep chromosome:Theobroma_cacao_20110822:3:1952769:1954829:-1 gene:TCM_012281 transcript:EOY20966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein MASSSKFPVSFLFFFSLSFLFTSTLSRHSPQATTTLDVSSSLEQAQHILSFDPQSLTSFVQAQSAAPFFNSSSSSVFSIPLHSRGSLRKTHHTDYKSLVLSRLDRDSSRVDSLTTKLQLALNGVKKSELRPLPTEIRPEALSTPVISGTSQGSGEYFSRIGVGNPSKQFYMVLDTGSDITWIQCEPCSDCYQQSDPIFNPSGSSTYSPVSCGSRQCSSLEVSACRSGKCLYQVSYGDGSYTVGEFVTETVSFGNSGNINGVALGCGHDNEGLFVGAAGLLGLGGGPLSLTSQIKATSFSYCLVNRDSASSSTLDFNSGLTSDSVTAPLLRNQKIDTFYYVGLTGFSVGGQPVQLPTGLFDMDASGKGGVIVDCGTAVTRLQTQAYNALRDAFVKLTHDLPTASGVALFDTCYDLSSRTSVRVPTVAFHFGGQSLDLPAKNYLIPVDSSGVFCFAFAPTSSSLSIIGNVQQQGTRVSFDLANNKVGFSPRKC >EOY24505 pep chromosome:Theobroma_cacao_20110822:3:31246771:31249242:1 gene:TCM_016092 transcript:EOY24505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPGVRLMRSIDYQCKSYSNIQLIELMHLLINNYSVTIHLCTVSLQCSSQGLVIDLKSHGHRIFQTGRVQNSLNSRDSPRTEQFPGTFALPLLYATKSKTFQNNHISQSHILSFAITMVPRSSGNRRLCSIRVLSASERSIQTSVPEHHSCLVNWRGGLNAVPSTTRLTTSPSARFPVDDGSQRRLSKLLLKVNIDRSLGPVHVVMPAENTVNDLIKAAVEIYVKEKRRPLLEETNPKFFQLHYSQFSLESLEPEEKLINLGSRNFFLYLKPSSSVTSCGSEEAKIACQSMSPLTKFMEFLL >EOY22425 pep chromosome:Theobroma_cacao_20110822:3:23409605:23413229:-1 gene:TCM_014596 transcript:EOY22425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family (UPF0041) [Source:Projected from Arabidopsis thaliana (AT5G20090) TAIR;Acc:AT5G20090] MASSFRAFLNSPVGPKTTHFWGPVANWGFVLAGLADMKKPPEMISGNMTTAMCVYSALFMRFAWMVQPRNYLLLVCHASNETVQLYQLSRWARAQGYLSEKKEEASSQ >EOY24189 pep chromosome:Theobroma_cacao_20110822:3:30023066:30024500:-1 gene:TCM_015860 transcript:EOY24189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHEISPPALPILIIRRMDEQLQIAAENGDVDALYTRLAQDPYLPDRIDRIPIVDIPLPFCHGMHSDSERINHYRQSTYPSQSKGDDYTFTLLAQIDDADLLAELLSACPSSVEDITIHCETAVHIAVKNCSIRDFEVVKLLIKNDSVNVKNFNGLTAMDIFHLQGTMQNVEIVLVVAILIATATYQARLSLLAGTGKMTTIHQQTMEPTTATRALGKGEDITVQGR >EOY22494 pep chromosome:Theobroma_cacao_20110822:3:23684259:23688593:-1 gene:TCM_014652 transcript:EOY22494 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein, putative MDSNKDEAIRAKELAEEKLREMDAAGAKRFALKAQNMYPELDGLPQLLATIDVYISADMKISGEVDCYSVLGVQPSADEDTIRKHFRKLALILHPDKNKSVGADGAFHILSEAWNLLSDKAKRIAYDQKRNLWCSHTSVLCGKSSSLAPTSHEGFHNFNNTSDQNNATYSRPAPPHSARNDTFWTTCNTCRMNFEYHRVYVNLNLTCPNCSTPFRAVMKPAAPVNGSWPYTPSTDCVQRQTYPQVHNTGPGIFSGLHSFTNISLNRSGSNINVAPPAYFTAQVANFTLSASETLKSGHKGSQSQTVTMGEGSLPMKFHPSQKLDEGLGTGSLDYISSFAAKSHRSKNRRPFDQSEMGNQPMGKELSKQDIHNMLTKIAKKEICKKLNSWHPASLSNASNKPKAFHKGMDEKDEGNGKDALNMKSDAQKSMEFVDIKSSIQPKKSYPVDADVEPATKEPDPMSMNVPDPDFHDFDKDRAERSFGQKQVWAAYDDDDGMPRLYAMIHDVISLKPFKMRMSWLNSKRNVELAPLNWIGSGFYKTSGDFRIGKHKVNRTLNSFSHKVKWSKGRKGAIQIYPRKGDVWALYRNWSSDWNELTPNEVIHKYDMVEVLEDYNEQNGVAVAPLVKVPGFKTVFQKHSMPSKTWMIPREELFRFSHQVPSYLLTGQEGHNAPNGCLELDPAATPLELLQVLSEAQVIEMEELTERGREETVLGDLKRSKEKELVENDQEMKPNIGVEGVGQAVMEEEENKKPGMLVYGRRQQRKLECQRNLGD >EOY24783 pep chromosome:Theobroma_cacao_20110822:3:32199259:32201247:-1 gene:TCM_016284 transcript:EOY24783 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain with WD40/YVTN repeat-like protein MSSFGMQCLETEPELNSNVVTINVGGQIFQTTKQTLTQGGPKSLLSQLAETTSKRFVDRDPDYFSLLLSLLRSGTLPSKVKDFDLHDLIEESRFYGLESLLNNSLTNPSHFDAFALQKSLILPLNGRDSPSTIATTPSGTVHVAHGSKITSFDWSLTRKSTILTQFTAVDSLLAISPDIAAAGATDFSGLQILDLQNGSVKQVLNWENVTRSGSTVQAIGSSSEFLFTSFESGRRNSNSIMVYDLNTLNPVTEIGHNEIFGADLDSAIPATKLRWVTGYGLVMASGSHSGLSGVSGNIKFWDIRSGNVVFELKEKDDCFSDVCVSDNLNAIFKVGVNSGEVFYTDLRSLGSVSDDANDNSNPWICLGNSRKVVNGKKEGYGCKIESHGNQVFCSKGGDIELWSEVVMGSAKISEGPLKERRVFRKNLMGRVKDLGGLRITNLAFGGNRMFVIRKDQQAVEIWQGSTRGI >EOY20579 pep chromosome:Theobroma_cacao_20110822:3:225258:227252:1 gene:TCM_011965 transcript:EOY20579 gene_biotype:protein_coding transcript_biotype:protein_coding description:B12D protein MANRWLRPEVYPLFAAVSVAVGICGLQLVRNICINPEVRVNKENRAAGVLDNFAEGEKYAEHFVRKYVRNRAPEIMPSINSFFTDPK >EOY23550 pep chromosome:Theobroma_cacao_20110822:3:27825369:27831927:1 gene:TCM_015413 transcript:EOY23550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MALSKPTFLTHLQNLAKRHHRSPPSFITFRHLSFNTPEEAAAERRRRKRRLRVEPPLSSAHRSKQQAQQVAPSKPIQNPNAPKIPEPVTVLTGNRLNLHNKILKLIRENDLEEAALYTRHSVYSNCRPTVYTVNAVLNAQLRQSKYADLLSLHRFITLAGIAPNVITHNLIFQTYLDCKKPDTALEHYKQFSNESPVNPSPTTYRILVKGLVDNGKLEKALEMKEEMVEKGLAPDPVVYSYLILGCAKSGDSDGIFKLFEELKEKKDGVLEDGVIYGGLMKGYFMRGMEKEAMECYEEACGENSKVKMSAVAYNYVLDALSKNGKFDEALRLFDRMKNEHSPPRRLAVNLGSFNVIADGYCAEGKFKEAMEAFRLMGDYRCSPDTLSFNNLIDQLCQNGLLGEAEDLYGEMGDKGVNPDEYTYVLLMDACFKVDRIDDGASYFRKMVESGLRPNLAVYNRLVDELVKVGKVDEAKSFYDTMVKKLKMDDASYKFMIKALSDVGKLDVVLKMVDEMLDDESVDFNEELQEFVKEELRNEGREEDLTKLMEEKERLKAEAKAREIEAAEAAKRSAKAAVSSLLPSKLFGKKEDESQSTAANESTIEAASEGEVQAQDVNAGPSEEATVIESVAADSNSVGEATVADSGAVDTKSF >EOY24231 pep chromosome:Theobroma_cacao_20110822:3:30178258:30181565:1 gene:TCM_015896 transcript:EOY24231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin C-terminal hydrolase 3 MATPDESPSAKRWLPLEANPDVMNQFLWGLGLPEDEAECCDVYGLEDELLAMVPQPVLAVLFLFPITSQTEEERLEQENEKRDASNKVYFMKQTVGNACGTIGLLHSVGNITSEIKLQEGSFLDRFFKSTASMDALERAAFIEKDREMEVAHSVAATAGETEASDNVDTHFICFTCVDGELYELDGRKSGPISHGASSPSSLLQDAAKVIRGMIQKNPESLNFNVIALSKKVGGGF >EOY24326 pep chromosome:Theobroma_cacao_20110822:3:30554087:30556094:1 gene:TCM_015956 transcript:EOY24326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDPNSSVPNNNPNDMYPDGIFNFLDFENLVEDLTTQDISVLSTFSIHDPSSEEIANDTIDPLDDPVIRDFYNERNAGTSQAGFFGLSGVMNNPTEKNMGTESLNFENSMPLSVWPIEPVPFGCSCCQVLREIVHTNGINVTKLEIHGRLGIICHAILTDRSGSTNAVAPDHQYQMFDFCKKSIQYVKQFLSQYCFHRSQTGYVIVQDPLSGFYEALCVGFLWDENFNNGDFMQPSSSHAGGFRMDQAGNSNNQGKHPKPSLSIQRERTRNLTLKDIEKYFHLPIEEAARRLDLSVTVLKKICRKYGVLRWPHRKIQSMEKQIVFWTGKLNSNDPEERDRAAKIILKLQRGIAKIREGAR >EOY21413 pep chromosome:Theobroma_cacao_20110822:3:7516193:7531367:-1 gene:TCM_046738 transcript:EOY21413 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA synthetase beta subunit family protein MPTVSVGRDRLFAALGRSYTQEEFEDLCFNFGIELDDVTTEKAIILKEKNLKDEKPSLDDEVIYKIEVPANRYDLLCLEGLALALRIFNGQEGIPRYTVANVSKESMLKMHVKRETSLIRPFVVCAVLRGITFDEAIYNSFIDLQDKLHQNICRKRALVAIGTHDLDTLQGPFTYEALPPPKINFVPLKQVKNFRADELMEFYKSDLKLKKFLHIIEKSKVFPVIYDHSRTVLSLPPIINSAHSAITLKTKNVFIECTATDLTKAKIVLNTMVTAFSAYCERKFEVEPVEVISFDGNSSVYPDLSEYNMEVPLSYITGSIGVPLEVDEVTSLLNRMQLHAEQSGLGDNNINVSVPPTRSDILHPCDVMEDVAIAYGYNNIPRRKLSSLKPLPLNQLSDLVRYEIAMNGFSEVLTWILCSKKENFEMLNRKDDKSTAAIIGNPRSSDFEVVRTSLMPGMLKTVGHNKDHPKPIKIFEVGDVVLLDEKKDVGASNRRLLAALYCGANSGFELIHSLVDRIMEVIGTPFVQVGDNTGYYIELSDEPEFLSGRQAKIIYKGRCIGIFGIVQPEVLNNFDIPDPCSFVELDMESFL >EOY21969 pep chromosome:Theobroma_cacao_20110822:3:20183721:20188166:-1 gene:TCM_014138 transcript:EOY21969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic region, putative MSESTESSFQGSQTMSQTSPIGDPQFPYFLHHTNHPGSVIINPKLTTTNYVTWSRSFLLALSIRNKKGFINGTISKPQPTDPLYPSWIRCNNLIVAWLLDSITPPIASTIFYMDSVVDIWNTLKQSFAQPDDSRVCNLQYTLGNVTQGTRSVDSYFIELKGIWEELRNYRPLPHCVCGKYSPECFRRYSDQYQKDMVFRFLNGLNDFFSAVRSQIILMDPIPSLDKVYNLVLREEAQRNLLF >EOY22584 pep chromosome:Theobroma_cacao_20110822:3:24049093:24050537:1 gene:TCM_014714 transcript:EOY22584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPKLPPILGRKLEEIRRRRAGATLSKKQLLKDGDEDECASIHHNERRSLSSLSSPEPDEDSIGSAKVAPEPTEPKEIFSDQEVIDQEKSTEQNNNKDNNNAEEEDKEKVEELALEETEDEEYGRFSNVEGSLICPGSPSFRVYYIESLQNKEDNGKDDYMNKGSLSDTDVDAVETVESGKSTEESVAKIKKRGRKGMKFRRVGRPVKNFLNVKSCYYPSCGGLEISRLIAAKPATT >EOY23936 pep chromosome:Theobroma_cacao_20110822:3:29048018:29052051:-1 gene:TCM_015675 transcript:EOY23936 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein MVDVADKLAYFQAITGLEDPDLCTEILQAHGWDLELAISSFTSANQNSASTANSDSEPRESLNRTESASGSGLVAAPSPGLAWKLITLPISVISGSIGLISGAVGLGLWAAGGVLSYSLGMIGLGSGRGGESSARLVSVSAAASEAMDFVAAFEREYGTRRPNFVGEGFMDALQRSRNAFKLLFVYLHSPEHPDSPVFCEQTLCSEAVGSFVNENFVAWGGSIRASEGFKMSNSFKASRFPFCAVVMPATNQRIALLQQVEGPKSPEEMLMMLQRVLEESAPVLVAARLDAEERRNNMRLREEQDAAYQAALEADQARERQRREEQERLEREAAEAELKRKEEEEARERAAREAAEKEAARARMQQEKALSIGDEPEKGPNVTQVLVRFPTGERKERRFHCTVTIQSLYDYVDSLGCLEVEDYSLVSNFPRVAYGPEKRSLSLKEAGLHPQASLFVELN >EOY25420 pep chromosome:Theobroma_cacao_20110822:3:34218194:34219258:1 gene:TCM_016732 transcript:EOY25420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STE20, putative MADFGFLSDTDESAVEEVISQAQDLCVLEQLSAINCSTLAHSVLPSDLDSRFRRLKSFPVPITRTTHQSPDKDCHSDSHDSPQRKYSFKPKQTPSSPPSISDSSPQNALFSPSVPKNRLPSNSRSFASPLPPDSSPPQKAGCFWCSPKKISKKNKENRVLGTALDSDEFLSNFTTFSVKEQQSMLNNVIKEQDKISREAEKIVNWAKQASARMTFPGIEDELSHSDDEHAK >EOY25006 pep chromosome:Theobroma_cacao_20110822:3:32948140:32952827:1 gene:TCM_016455 transcript:EOY25006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHELGLESQPYGMNEPSGQAPCSDSTWSSYVEVDQAKEFNISISSHSTSNVSLMMKISNKIKLNYSIEKNFYCNETIS >EOY23879 pep chromosome:Theobroma_cacao_20110822:3:28845205:28847604:-1 gene:TCM_015633 transcript:EOY23879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVALGLQVAHFTAPQQFSNRRHGNFSNFIRCGIAEPSGEPAPLGQKTKYNDGLFEKAFITLFARKMEKFATAPKAGEEAKKGWFVYDYESFVEVSQRVMQGRSRLQQQQVVREVLLSMLPPGAPAQFRKLFPPTKWAAEFNAALTVPFFNWLVGPSEVVEVEVNGVKQKSGVHIKKCRYLENSGCAGMCVNMCKIPTQDFFTNEFGLPLTMTPNFEDMSCEMIYGQVPPAFEEDPASKQPCFADICSMANPSSSVCPKLQA >EOY23880 pep chromosome:Theobroma_cacao_20110822:3:28845340:28847393:-1 gene:TCM_015633 transcript:EOY23880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVALGLQVAHFTAPQQFSNRRHGNFSNFIRCGIAEPSGEPAPLGQKTKYNDGLFEKAFITLFARKMEKFATAPKAGEEAKKGWFVYDYESFVEVSQRVMQGRSRLQQQQVVREVLLSMLPPGAPAQFRKLFPPTKWAAEFNAALTVPFFNWLVGPSEVVEVEVNGVKQKSGVHIKKCRYLENSGCAGMCVNMCKIPTQDFFTNEFGLPLTMTPSMFLRCCSCFTVYAPKRWFFWVILIWFINETLHPQILKT >EOY23055 pep chromosome:Theobroma_cacao_20110822:3:25970056:25973052:-1 gene:TCM_015060 transcript:EOY23055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDQQLRARERERELRAREGVGARQSGLGRDVWHAQRIANPNQAKGDRDQEHRNTVGGRKRDPWGVERWIRTLFTAFVENLQQNVEWRQLKGSLMNLEWWLISFFLALREARQPGMLLCGTRRNGNWQEQSSWAWSKDKWEADKGTEAEKPKDLKANRDGDVLENRILKGKGENSRTFKETLVANTPMGNKGDQEEQSGNEIVNRKITSVVIKEEDLEWLGRSALGQLRSPINCGSVECSLFREGILAQVRPVGGLFVLVTFRDRQKMEDYLDRCMDLFEPWFASLSPYCVGQDERSFNVWVKLEEIPLHVWHESSFKAIGDTWGKFIKADKDTKESWRLDQALICMEVKSLKQITMYTHLKVNGRDYFIIATIVNVIKSELKVRASSYGEKTSDSKPESRWSEEGQHVDWVESGGCTPIEENCIRTVARMRVKESLQSLLARPMKNGHFSEERSDERESKIERRSMFEMNWAKKEHISNSNREWIGKEEDTSPSEKEAEEKDGAKKVTQEGVKASETGQQLGSNKSSKRKKAIKEKKCPGQRGYSPANSRGSENGLNGFEKRAQNAHEKCKGIDGNTSAKELFVSGENLGSCDEATQMERKRTKGESILAEGNRGSVNIMGEDLLKEK >EOY21312 pep chromosome:Theobroma_cacao_20110822:3:6084484:6097498:1 gene:TCM_012792 transcript:EOY21312 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCP-like superfamily protein MDLRCHLSLLRLLLSLLLFSLYPLSLHARQFVLVLSQDDLKDAQNDDASSPDSDASWDDDDFGGTHVRPDDELDPGSWRRLFEPSSTPTSTFDDGTLGSYYAAVEKMISASTNGDARMMEEAAAEIETAANTEGDPHARSVLGFLHGMGMMLERNKAKAFLNHYFAAEGGNAQSMMALAYTYSRQDMYEKAVKLYAELAEIAVNSFLISKDSPVIEPVRIHNGAEENKEALKKSRGEDDEDFQILEYQAQKGNAGAMYKMGLFYYFGLRGLRRDHAKALMWFLKAVDKGEPRSMELLGEIYARGAGVERNYTKALEWLSLASKQGLFSAYNGMGYLYVKGYGVEKKNYTKAKEYFERAADNEDAGGHYNLGVMYLKGIGVKKDVKIACKCFIVAANAGQPKAFYQLAKMFHTGVGLKKNLPMATALYKLVAERGPWSSLSRWALESYLKGDVGKAFLLYSRMAELGYEIAQSNAAWILDKYGERSMCMGESGLCTDAERHQRAHSLWWQASEQGNEHAALLIGDAYYYGRGTVRDYERAAEAYIHAKSQSNAQAMFNLGYMHEHGQGLPFDLHLAKRYYDQALEIDPAAKLPVTLALTSLWIRKNYADSLLVNIVDSLPEVYPKVEEWVENVIMEEGNATILTLFVCLLTVLYLRERQRRHAAGEVALPNEHDLPAPH >EOY24141 pep chromosome:Theobroma_cacao_20110822:3:29819134:29820011:-1 gene:TCM_015819 transcript:EOY24141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLCKGQTKAHMSFVSTYGWIWSPTLPKPMSRNELGFVVICDDSLMQPMIATHCLRARTKRVEPLYKGM >EOY21228 pep chromosome:Theobroma_cacao_20110822:3:4319995:4320934:1 gene:TCM_012616 transcript:EOY21228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pro-like protein MEEEQRERMDRMERAQEEMREQLAKMMELMMSLSKGKRAIEEPAPSENPPAQDSGNQREDPPYPPGFTPPHAQTSQRVHPQVIPPVYYNAPPPLGHQPIHGQFGPYSGINPAEPINVPDLDDPKEQEKLRKDSSQTGENEKDQKKYDLLEERLRAIEGVDRFGTMDATELCLVPDVLIPAKFKVPKFEKYDGTKCPMAHITMYCRKMAAQSHDDKLLIHFFQDSLTGSAARWYVQLDRNRIKT >EOY24729 pep chromosome:Theobroma_cacao_20110822:3:32053223:32056471:-1 gene:TCM_016252 transcript:EOY24729 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein MSSHLDVGAAYCRKRRRGGERVFKFRSFGENGCPVEFDGSFRDNVNALLEYGHLESNLCNGMLSWSFQLEVHRHPPLHTLLFVVEEPIEASISLYCKHCQYVGWGHHMICNKKYHFLLPSKDAVAACFSCGESNLDDPNPEKGKFNLVELQGHIMHGVFHSNGFGHLLCVNGVEMGSDLAGYQIMDFWDRLCTGLQARKVSLNDTSRKRGMELRLLHGVAYGEPWFGRWGYKFGRGCYGVNEPIYQKAIEAIQGMPLCLLNHHLSISYNDISVIFSRYQALADHSMVTLGDLFDFMLGLRSRLPKENSIDSCNPAILVETSCRWSHKRVEAATRVIVEALKRAEFRWVSRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGNYLVRRCLNPVTKVLEYCLEDISTVFPNPETLLLNNSKVKPRCKITKAQLMKDISFLFKHILKEQKPTLSTGIFSSIPMAARIILDTKFLAKEYSEELSMKVKSSLNGKFKLFCTVILRNDEEIDEGLKKPMLPCECVTLQETATINDLKLEVEKNFRQVYWRLRSFVVETIVNLNAKGSDLVLGSVEMGQTIVVVGSNDDQTGMIMNEVMMCEWGLNSRIVECPCGAREDDGERLISCDICEVWQHTRCVRIPNNEEIPHVFLCKQCEEKIMFLPSLP >EOY21661 pep chromosome:Theobroma_cacao_20110822:3:16173374:16188374:-1 gene:TCM_013702 transcript:EOY21661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRLAIHKGEWSKKVRESTPPYEQPIQAGVKHINNNTHAGHHALDFDYCLSKIDKFLDRLWVLRLAVLK >EOY21533 pep chromosome:Theobroma_cacao_20110822:3:11138024:11144230:1 gene:TCM_013274 transcript:EOY21533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRKEMTQKLSKRKERKKERKTMGEEKKRNKKFYSFLGTVLLHFEEGKESFLLQFLEEEKAKNFEVVSPLIRVKISYLRTKNKSTPNSSYSYCSGRVFVDQPIKGARFDTSNSLKLNTTLLLAMKNLFHPYKLIKFQ >EOY23408 pep chromosome:Theobroma_cacao_20110822:3:27316543:27317507:-1 gene:TCM_015314 transcript:EOY23408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acidic endochitinase MATRSEASPKLFFLLSVLAVIKTSHAAGGIAIYWGQNGNEGTLTATCATGRYAYVNIAFLNKFGNGRTPEINLAGHCNPASNGCTAVSSGIRSCQARGIKVMLSIGGGVGSYSLASQADAKNVADYLWNNFLGGTSSSRPLGDAVLDGIDFDIELGSTQYWDDLSRYLSAYSNQGRKVYLTAAPQCPFPDRLLGTALNTGLFDYVWIQFYNNRPCQWHRHLLGPERQRGNPDCNLCHRQICHEGYRQHRQRLEVGIFRRMC >EOY24997 pep chromosome:Theobroma_cacao_20110822:3:32914228:32919301:-1 gene:TCM_016449 transcript:EOY24997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MFRALGSIWLCCFFLQVSLFFYVSYGNLITCSGIVPMRYRNDKISITDFGGVGDGRTLNTKAFRAAIYRIQHLRRRGGTLLYVPPGVYLTESFNLTSHMTLYLARGAVIKATQDTWNWPLIAPLPSYGRGREHPGGRYMSFIHGDGLRDVVITGENGTIDGEGAIWWNMWRQRTLQFTRPNLVEFVNSRGIIISNVIFRNSPFWNIHPVYCSNVVIRYVTILAPPDSPNTDGIDPDSSSNVCIEDSFISTGDDLVAVKSGWDEYGIAYGRPSSCITIRRVTGTSPFSGIAVGSETSGGVENVLAENIVLYNTGIGVNVKTNIGRGGFIKNITVSEVFMENVRTGIKIAGDTGDHPDNNFNPNALPYVKGVTFKNIWGVKVQRAGSIQGLKNAPFTGICLSKVNLHGTTGPGSPPWKCSDVSGAAIEVSPWPCAELTSPQQSGSCASPF >EOY21416 pep chromosome:Theobroma_cacao_20110822:3:7622979:7623883:1 gene:TCM_012930 transcript:EOY21416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPCVIPLLFYCFFIKRHKISPFSSYGRPSKEEREREEEQREKQENLGEKFKEKVKKSRKQARW >EOY25167 pep chromosome:Theobroma_cacao_20110822:3:33463696:33466905:1 gene:TCM_016568 transcript:EOY25167 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 2 MSSIKTVKVSNVSLGATQRDVKEFFSFSGDIEYVEMQSDNEQSQVAYVTFKDSQGAETAVLLTGAIIVDLSVSITLAPDYKLPPAAFLPPSAIQNKTPGGGDSAIRKAEDVVSGMLAKGFILGKDAVNKAKTFDEKHQLTSTASAKVASFDKKIGFTEKITAGTTVVSGKVREVDQKFQVSEKTKSAFAAAEQKVSSAGSAIMKNRYVFTGASWVTGAFNKVAKAAGDVGQKTKEKVGEAEEERKRKVVDDFAQIHLSESPKASAPSEQPLSKPAPAQGLI >EOY25166 pep chromosome:Theobroma_cacao_20110822:3:33463579:33466954:1 gene:TCM_016568 transcript:EOY25166 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein isoform 2 MSSVSSFPFVLIASSWASFGFDSGRTLFLFSLSVLSLQSEVGFLFAVCLNLSLFLYLFFAWLLSRQDSRSSRFMFSLQIKTVKVSNVSLGATQRDVKEFFSFSGDIEYVEMQSDNEQSQVAYVTFKDSQGAETAVLLTGAIIVDLSVSITLAPDYKLPPAAFLPPSAIQNKTPGGGDSAIRKAEDVVSGMLAKGFILGKDAVNKAKTFDEKHQLTSTASAKVASFDKKIGFTEKITAGTTVVSGKVREVDQKFQVSEKTKSAFAAAEQKVSSAGSAIMKNRYVFTGASWVTGAFNKVAKAAGDVGQKTKEKVGEAEEERKRKVVDDFAQIHLSESPKASAPSEQPLSKPAPAQGLI >EOY21903 pep chromosome:Theobroma_cacao_20110822:3:19666617:19668304:-1 gene:TCM_014071 transcript:EOY21903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIINGAVHNVNSGCKKKNKEDDSVPGHSRVKDAGCKFTTKTLDEILIDESKVMTESRNENMGSVGGFNGGGYVVGWDSYMRRQCASKIRFEGGKSSGGKKMQVDLQDMNNVDVGMLHVGEQMDSGLFQGKNFENKMLQTGWNQLNRKRKVMKSKLKVKKVEKHSKFGYDYCFTMDAVDRVKGLAVLWKKETLLTVKSFSRNQINIEIMLRGDFNEIFYDSEKLGGVDKSESQIKAFREGCKDCGLRDLGYRGPRYTWWNNREEEVHIRCHLDRALANDE >EOY20547 pep chromosome:Theobroma_cacao_20110822:3:120334:138422:1 gene:TCM_011942 transcript:EOY20547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 4 regulatory subunit 2 MEESALKENSSVATDEEHDLNHGSMDSNLEIAQEEEQVRGILQVVSSTGNFWHNWDKLKSMLSFQLKLVLSEYPEAKMTTDQQNASLGETYSDLVKRLDEELHSFNEGPPFTLQRICEILLTARSIYPNLSKLAVALEKNLLVTSTLTVCTNPYPQMMPNPEPEKASEEAQPQSNPIQNGVEPVVGDRDEIMTEVEEADIEDMTIDMDAFQEMVGSSEANSTVAGNS >EOY20731 pep chromosome:Theobroma_cacao_20110822:3:812579:814980:-1 gene:TCM_012075 transcript:EOY20731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTSTLTAKSTFTSTLIASKVFLESGCLTHATSTLAVSLNSIINWRAQPLQCLQLQLQNRRVLVSVIVIIYEDIKNFIAMVRWALEDSLSYFSWHYLNINEGRPAMFFTLADHLVPRSSSDSTGFLKEHHIWCSQDHQDRGLLRSIRFVLPV >EOY24213 pep chromosome:Theobroma_cacao_20110822:3:30108342:30109588:1 gene:TCM_015881 transcript:EOY24213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILQNFQSPCLYISSSVPLLLPIPHTTHLRPQLPAILTFSTFLCLLLRDAHTYVYVSMARSRAMLALTLVLALISCSVAQSPSAAPTMPPSSSTAPPTGGTPPTASTPPPTSMTPPPTASTPPPTSTTPPPAMTPTSAPPPATSSPPSMTPTSPMAPSPSSTPMAPSPSSTPMAPSPSISSPPAPSIASPPGPAGADNPAASGPSPSTASPPSVTASPSFAASTREYSMSLLALLGGVALFV >EOY21110 pep chromosome:Theobroma_cacao_20110822:3:3081765:3084538:1 gene:TCM_012447 transcript:EOY21110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNHESPNPIARAGQLIKALLSTLMMFTATEILVFSILATDSRVARSLLCVMALMLLHVFSMALLAILCFFEFGKPPTGIVSFRDLWGVLVIIALEHHLKIFCVVVASLEILAFLCVIFGTNLVLYLGYLCLATLPICIDVMNFYRKWRALMASN >EOY24717 pep chromosome:Theobroma_cacao_20110822:3:32012191:32012864:1 gene:TCM_016245 transcript:EOY24717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVQEKIEIELWNATRQIGPLRERIQEKTLLEELELELKSKEICINELKQKWADVGASYAYLIAEKEKMAQEAEESRRVLADVYLRRDEAEAEIEMAKDGLFSCLG >EOY20873 pep chromosome:Theobroma_cacao_20110822:3:1598099:1601892:-1 gene:TCM_012214 transcript:EOY20873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein spc25, putative MESETEQSPRTKMEFLRTICEREVPIQQQKIDSFTASFPTSLHSIKALAQDTAQNHVELAKMKANLRESEDELVKVLAVKTRREAKQMATRDSISALKARIEELKRTIQVQRAGRDEYAAIISQQSLALATTEEEAKHDIEENGEIQEAISWYNQVLGFQIEGGHGVKFTFNDINIKNPKQEYSFTIRHANDTYSLLDCDPQLDGIKELINELNRTNGLFKFVRIMREKFQEAVALGLQPQYRSLHQDSSTISMSGPALSVSTDISESSAKKNEHHIPLREVNRQFKKVNHGSKSPAKINKNQIHDGEVNRHPMKVAKSDILSPVVRRSPRLKAKK >EOY21417 pep chromosome:Theobroma_cacao_20110822:3:7647931:7662389:1 gene:TCM_012933 transcript:EOY21417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cofactor assembly MGLGIMAFVLLFIVTLPSLSLWTFSVCISHVTQTHSRQDNVPSLILLSPMAASSHLLSHILVKEWRPLIVKKENSAIFEGFKYPTRRSSYQGHRVSRSSTYCCQGANISTIELMPSKLIISGEPPYIFGIARNVNLHMQVSPEVSSDLTRRLLLADLDPATAKLAISILGPFLSAFAFLFILRIVMSWYPKLPLEKFPYVIAYAPTEPFLMATRKLIPPLGGVDVTPVVWFGLVSFLNEILLGPQGLLVLVSQQVS >EOY22506 pep chromosome:Theobroma_cacao_20110822:3:23735290:23736150:1 gene:TCM_014660 transcript:EOY22506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRSEIRKDPTQDNRSRWNHNTSSGESSHVRSLVSRHPRSIQCERNPSRFVCILCPISFTLPSLFSSFLFPKPFSHSRCTLLFLLFFIFILSGKNQKPQGRGQMADWGPVLVATVLFVLLSPGLLFQIPGRNKVVEFGNMQTSGASILVHAIIYFGLITIFCIAIGVHIYASQ >EOY21753 pep chromosome:Theobroma_cacao_20110822:3:17934390:17939944:-1 gene:TCM_013859 transcript:EOY21753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSARLESSTSMDFESTPFMESEQSHFLYLMDQIMPIRALECLFILEILIMKVECGYRRILYSHRT >EOY20572 pep chromosome:Theobroma_cacao_20110822:3:203430:204070:1 gene:TCM_011958 transcript:EOY20572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILADVTRSSSGREEYCLCHSKDFTAHSSTRTNVYPSSQGDGMKGASQVL >EOY21299 pep chromosome:Theobroma_cacao_20110822:3:5753354:5755011:-1 gene:TCM_012757 transcript:EOY21299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHLHSMAFILVNGSTTALFRTKLRFWQSDPHSFFSSFIMAVTTLHLLLTRAKQLNYLHGITLKGLGLTISQLHFADDTIIFVEPRVSAMMNIKRLLCCVELISRLKIYFGKSCVYLVGVDQTVCNDMANILSCRVGACFSLILACRWELI >EOY23990 pep chromosome:Theobroma_cacao_20110822:3:29227196:29231481:-1 gene:TCM_015710 transcript:EOY23990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 20, putative METRPFCSKTLRSDAPSPSESFLSQENLDGLSPVLPSMADSLSGSSPVSQMALDSLDIQTLIEDVIDEGTVANPRLSESLSTNDVFSLTSPPPSPSSTDSEPSQPLALVPYDPQKPVNYQEKEEDPCRWDFSNCSSSDKGRNMASDQFYSRRENEFRDPGYGYSAWAPWSSRPLSPPKEASRNGREPTGVGAGLENLGNTCFINAVLQCFTHTVPFVLGLRSLNHEKPCARSVESFCLLCALHDHIELSLNSSGGIVSPSKIFDNLNYISSFLYRYEQEDAHEFLQCLLDRLGSCCSDSKPKNNCLSSSDDCLVKKVFGGRLVSQLCCCNCGYISYSYEPLNDLSLEIENVDTLPSALESFTKVEKIEDQGAKFRCENCKEEVAVEKQLMLDQAPSVATFHLKRFKTESGYVEKIDKHVFFPLELDLQPYTIVNQSSNEELKYQLYAVVKHSGFTPTSGHYVSYIRSSPNTWHKLNDSRVTRVEEEVVLSQEAYILFYARQGIPWFSTAIEVQKPCADPGISDSSPKSVLDNMEMECPSDPHVKSRADCGANESKDIPARTSTQFSGETHHEVEADNLHVAVEGISGSPPNESEFHVSKSIDFIDDNPMTSASMPPGPSSCSDGFDKNISNVSHHGENNCNQGVDEVPNNNSLPLMPSRSLRLDKCQRDSSECVPRSHLKDENRGIHRRAVNRTAVDQGRTEAMRYAKRMPTARGAKFMALLAPQTVGKMKKKAGSSSCKRVSPRCRNNHSLMHPVPVSR >EOY21104 pep chromosome:Theobroma_cacao_20110822:3:3029083:3029560:1 gene:TCM_012438 transcript:EOY21104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRELKIITENGDGIGGIGGACLVFWAALLTLSLISAIIFSCADGVSKDKTSSGDTNFYGGGCAADCGGAGCGAACGG >EOY22552 pep chromosome:Theobroma_cacao_20110822:3:23878987:23881086:-1 gene:TCM_014691 transcript:EOY22552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMSMLFLKIFFTPKVSCMAMASPNESPKRGCPCPGDNLAGPDRLRSPRFAFNVPPSSPTFHYQDRPLTPPPMFRNAVLGEHRRRHFQNNNYTANSSTTTTSSHPKVQICLIGAVMFFSILTVIGIPIMLFYVFGPHSPSFSVEQVFFYYPIFYSSPTRFSSLFNITMKVNNPARHIGLFYEHDNSIVASYYGIRLCSGEIPPFFQPPKDEMLVQSPLTGLGVVLPDDVNQKLENDHKKERVPLTLSMMGMVRFKMGSVTSRALLKVSCEMVLDNLMTYTPNIISSSCDSAAGFWFPTIRN >EOY25381 pep chromosome:Theobroma_cacao_20110822:3:34092136:34104435:-1 gene:TCM_016707 transcript:EOY25381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain fatty alcohol dehydrogenase family protein MGRLINMHHLDTRHTKLVRMPLGMGKLKGLRVLTDFVFGEQNGSSISELGKLKNLRGRLAISNLQNVVCHRDAEDANLKEKINLKELQLIWRFAEVVTVGDEFYGHGAALSKPFGSLEILSFEDMPEWEEWFCLKDGAFCLSQELYMEDCPKITKSLPKHLPSLMKLKIERCGKLGGLLPMAPSMSKLDLNQCDALRLEPLPCGLRQLQIYKLNIKDSILEQMERHYTHLEKLVIWNCYGLRSFLEGRIGVWKHPLHFQPSDGIYDAEEIEFFPDEHMLPSTTTGLDLYRPSSESYVFGLQGPSTPHLSSHIGYVGLSEAPIYASKDALQIVRCWKKLRKEGSMEENGVGRREEQTTMIELSTGIDIEKLPLWEEERQYRNCYVNSISSREMESLTAICDAFLPSLHVSAADADAVATFYQSSASMAATPERVGGLLSERMEHPKKWMIRLALWLLSTWLGTFVLCGPRSLSTNFPFFHTFSQLSQQKREEILLSWSLSFFYHLRMLFKSTKLLTLLVFFSQVDEKNQNLSWKAIGYCGPDPEFQPQASRLKTSKQNGYGNFICKEQECKEDGEKEERYGPLCRGLINLKHPRDVVADNLLRFGLPVSVRPKKINPPNLSHPSLTIKCDAVIVGSGSGGGVVAGVLAKAGYKVLVLEKGNYFARSNLSLLEGPSMDQMYMSGGMLATDDMGVVILAGSTVGGGSTINWSASIKTPQHVINEWCDRCKLELFDSKLYKEAMDVVCEKMGVQSEIVEEGFNNAVLRKGCEELGYPVNDIPRNSPPDHYCGWCCMGCKDGRKKGTSETWLVDLVNSGNGVILPGCEAIKVLHKRRKGRGRNRATGIAFQLEYVGAKDICIVESKVTIVACGALSTPPLLKRSGLKNANIGKNLHLHPVAMAWGYFPDAPLSSGWPEKEKKSYEGGIMTAMSTVVANMDKSGYGAVLQTPALHPGMFSALMPWLSGADMKRRMLRFSRTAHIFALARDKGSGDVYSPDSIGYKMEATDEENLKKGLDKILRILAAAGAEEIGTHHCKGKSINVKQASSHEFERFVKEESSRPLRDLNTQICSAHQMGSCRMGIDPKQSVVNQMGETWEVEGLFVADSSVFPTALGVNPMVTIQAIAYCTAQSVLDVLKRKKSIY >EOY22027 pep chromosome:Theobroma_cacao_20110822:3:20528942:20530797:-1 gene:TCM_014186 transcript:EOY22027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFRDIRNFNQVMLTKQGWQLQMRIPTIALKLLKARYFPYGSFLDASMGSNPSYLWRSIRESQSLINQVSLQLLVDRLVWQHSRDGNYMAALNRRNITVDAYCPMCNADLEADFHILCECSFAKVVCLASKWGIRDIDHRFSSPKEWFLMLLQKLDRITVEEVCCVMRAIWKGRNSLVFKKESMNLLQVIQLGLGMCFQFATVYMTERPHQENIDLQIVDVSDPVVPNSTVMLIFFNVMGKHLQVQALSCEILMSKVFAGDMEHIVEDCCMVLNLLHSPTVSHCKRDATYVAHELAHSVKTTRSIPFGDKVIIYQKWPNMHYNGTV >EOY22292 pep chromosome:Theobroma_cacao_20110822:3:22864977:22867887:-1 gene:TCM_014510 transcript:EOY22292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALATPAPMEKKRTEGSSRKVNTEDVLLWYWLLFSYSCQMPLDHLYTEVDVVGDPSPNSGGGFPLFVDHVGSKKIRSGPKTEDPRSQPVDTLPQNQNVLLGAFPRLSYRHVLFNENQEHDTNGEAFDDEEEEVEVPKSDEEDTDRKIGGPYIQFTREEKLRIWKPWKNTLIVKLLGRNISYTYLCTRVKQMWALLGDFQAVDLENGLYCFRFDNKSDYLQVLTDGPWIIADHYLTVRRWCLGFRSDEASVESVATWVCLPGMPLEYYDREILDKIGDRIGKSVKIDRTTSSQSKGKFARLCVEIDLKKPLIPKIFIGGRWQKIEYEGLKMLCFHCEKFGHSEEGCVMKQQEKKGLSEEQALKLSESHKVVQKDYETAKYGPWMVVKQNNRKPKMQKAKAAIQMEKPQPISNLGSQFVVLDNEDMEQNEDVVILETTKNATIMPEMSRTKKSMTATYTKEQLKENLQSSASVSNRDLTSLSKVKGKKQVPEEPKITFSSGTIKLTRQSNPEMVKNVVQGAPSSPPLQIQHSKIAMYLESGREKNEQSVGVNGAAHEKLTEPMEDDNPITRGTNDILNSMELESNEVVAMQVSLT >EOY22183 pep chromosome:Theobroma_cacao_20110822:3:22167392:22172984:1 gene:TCM_014399 transcript:EOY22183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKQQTGSCHCPSQGETGIVHNQHRRKYHTNLRQRERISQTKIKNTHTISMQIQKTFNTKEKKNEKHPDDQQVEAFSLNYYRSLTDKNNNYYKSFKKNVYNLCFPTPQKEHWPVLSSKGSTHQCSPWGA >EOY24150 pep chromosome:Theobroma_cacao_20110822:3:29838876:29841130:-1 gene:TCM_015824 transcript:EOY24150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLHVDLRYVLPPFMNLLFFLLQTNPDKPWSPMAIAELVLDSPYLVLNLILSLFALFLLLFFFYVSFQLPVTVTFGPLLLRAQDFSIALLLSVVAAFLLQPSLFWLFFLLVIITYL >EOY21222 pep chromosome:Theobroma_cacao_20110822:3:4242103:4252236:1 gene:TCM_012602 transcript:EOY21222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1A/initiation factor IF2gamma family protein MISGAAQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGAAKLLVVVNKMDDHTVNWSKERYDEIESKMTPFLRSSGYNVKKGGEQSTLVKVQKLCLETEIRSTSTPRTFLPISGLVGTNMKTRVDKSTCPWWNGPCLFEVLDSIEVPLRDPKGPFRMPIIDKFKDMGTVVMEKVESGSVLEGDSLLVMPNKAQVNVLSVYFDENKVRCAGPGENLRVRLSGIEEDDILSGFVLSSVVKPIAAVTEFTAQLQILELLENAIFTARYKAVLHIHSVVEECEIVELLQEIDPKTKKPMKKKVLFVKNGAVVVCRIQVNNLICIEKFSDFS >EOY23163 pep chromosome:Theobroma_cacao_20110822:3:26460546:26461256:-1 gene:TCM_015150 transcript:EOY23163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein NSEGPVFLRPLFQSFQTMRTLSPFLPLLIFAIMLATVHLSSCRQVTWASYQETQQKLRTKFPFSFPEHFSASSPPVSGNQKYGAIRGVSHQLVPGGPNPLHN >EOY23502 pep chromosome:Theobroma_cacao_20110822:3:27622093:27625651:-1 gene:TCM_015372 transcript:EOY23502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase, putative MLAARLLFAVTAVVFLALPRETCNAKGNHHCSPSSCGSIQNISYPFRLNSDPHNCGVPRYNSSCQNNLTVLNLKSGKYYVQAINYNNYTIRLVDAGVQKGNCSSIPQYSLSYYNFTSGDIAPYSLSSWTYNRSKNILFLNCSKPVNAHLYLHSSPCLDDAFSSNFWVPESKGYLYVKIGRTKASDLSDSCRIELMAMTSLLGKDEKNITFLDIHDELAYGFELSWSQVYCGDCRWWPRNLCIIDEANHVYCGWTPLVGTLVGMVIGTIAYLHLVAIICGTPCVTLFVVHKWRRRHLSMYDTVEEFLQSHNNLMPVRYSYSDVKKMTDGFRVKLGEGGYGYVYKGKLRSGRIAAIKMLSKSKADGQDFINEVATIGRIHHVNVVQLIGFCAKGSKRALVYDFMPNGSLDKYIFSPERCTTLSWKKTYEIAVGVAHGIEYLHRGCDMQILHFDIKPHNILLDENFIPKISDFGLAKLYAVDDSIVSLTAARGTMGYIAPELFYKNIGGVSYKADVYSFGMLLLEMIGRRKNLDPLAEHSSEIYFPFYVYGQIIEGNNVNEVGDATEEDKEMIKKMSIVALWCIQMKPSDRPSMSKVVEMLEGGLESLQVPPKPLLYPEERVGDQTNETESSSALSLVG >EOY22076 pep chromosome:Theobroma_cacao_20110822:3:21229179:21232808:1 gene:TCM_014266 transcript:EOY22076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative MDEHQSAIPTYEGDLHVVAAAHHIVKALGATKNLSHDLRKILIDLDTHLSMITSNIDSKGGRGFFDVEERLKQAERKIVSWESDPVMIWDSGPRKASEYLEAVDEIQTLIDGLRGLSVNENRKQKELLHHAAGVLQMAMSRLEEELVHILVQHRQQFKPKQMSSHSNRKDVVYDESFVSVEDEPAEETSGRNCSSDDSSEYIVDLVHADAIPDIKSIAKVMFTSDYGQEFCEAFIGVQKEALEQYFATLEVGKLTIEDVLKMEWTSMSSEMNKWTWSMKIIFRVYLASEKWLCDLVLGDFGSVNPFCFLEISKSIILCLLNFGEAIAMGPHQPEKLLRLLDMYETLADLLLDIDTLFSEEVGSFVRIAFHELLERLGDSAAAAFKAFGIAISSNRSLYPLPGGGVHPLNKYVMNYIRMFPEYCDTLNLLLKDQDAGAANPVTEPEYGQNVSLSTSCPIACHLRSITSSLESNLQKKSKLYKNEALQHIFLMNNVHYVVQKVKGSELRLFFGDEWIRKHNAKFQQYATNYERATWSSVVSLLKDDNPGSSSMSKSTFKERCKGFSVAFEEVYKSQTSWCIPDPQLREDLRISTSLKVVHAYRTFLGRNPAHIDDKCVKHTAEDVEKLLLDLFEGSPRSLRSSRRW >EOY23085 pep chromosome:Theobroma_cacao_20110822:3:26105881:26108915:-1 gene:TCM_015083 transcript:EOY23085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MFGFVKSSANSPRLCPLTNMANPSTNVPPKDQPPSTPTVQIPDPPASLVQPQDQSPKPSSASPPDNPLVRLVHSPGRTTLGQPQDQSPKSPKPSTVSTPRPVQSPGRSSSSALPGSGRHPTYRGIRSRSGKWVSEIREPRKTTRIWLGTYPTPEMAATAYDVAAIALKGPDTDLNFPDMILSYPKAASTSASDIRAAAASAAASRLPKPDTGSSKDSKQDQGEPENEGTTSGSCMESGSGQEFIDEEALLNFPNLLVNMAEGMLISPPRINSPPSDDSPEDSDVESLWTYT >EOY21701 pep chromosome:Theobroma_cacao_20110822:3:17369744:17376810:-1 gene:TCM_013800 transcript:EOY21701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative MEKTENGSTATTTDEPKINYRGIKAMPFVIGNETFEKLGTTGTATNLLVYLTAVFNMKSITATNLVNVFNGTCSFATLIGAFLSDTYFGRYKTLGFASVASLLGMLLVTLTAAVSKLHPPACDSSGSAACPGPTPWQMAFLLSGLGLLVVGAAGIRPCNLAFGADQFNPETESGKRGISSFFNWYYFTFTFAMMVSLTVIVFVQTDVSWAWGLGIPAFMMFLSCVMFFIGTRIYVKVRPEGSPITSVIQVMVAAVRKRQLKQPEQPWVSLFNHIPKSSINSKLSYSDQLRFLNKAAILTPEDEINSDGSAANPWRLCSLQKVEEIKCVIRVVPVWAAGIIYYVAMVQQQTYVVFQALQSNRHLGNTGFNIPAASYGIFIMIGLTIWIPIYDRIIVPWLQRYTKKEGGITLLQKMGIGMVLAIVTMLLSAIVEDRRRAFALSKPIGIDSRRRAISSLSAMWYIPQLTLIGLSEAFTIIALMEFYYKQFPENMRSIAGSFTHIGFALANYLNSILISVVHKITKVAPTGDWLPEDLNKGKLDYIYYLVAALEVLNLGYFIMCAKWCKYKESVITSPDVGMEDLQSEKPVV >EOY21172 pep chromosome:Theobroma_cacao_20110822:3:3828514:3832125:1 gene:TCM_012555 transcript:EOY21172 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 2 MERENEQYSLISSAREDRLGFNGENSREKGVGREEIIEEVKKQLRLAGPLICESLLLYCLQLISVMFVGHLGALALSSASLATSFASVLGFNLLIGMSTALETLCGQSYGAKQYRMLGIHTQRAMFVLSLISIPLAIVLANTGSILAAIGQDPEISQAAGVYARFMIPSLFAYAILQCLLRFLRTQNNVFPMVLSSGITTLIHIFVCWILVFKFGLGNKGAALAGSISYWINVLALAFYIKLSPSCAGTWTSFSRESMHEIFAFLRLAVPSAVMVCLENWSFQLLVFLSGLLPNPELETSVITICINTTAVIWMIPFGLSSAARLVIHGTIPLLSTILTWLALLLCSIRVSNELGAEDPKAAHLAVRVVLFLAISQGILVGLVLILMRNIWGYAYSNDVQVIRYVTAMIPILATSNFIDGVQCVLSGIARGCGWQKIGAYINLGSYYLVGTPLSIVLAFVFRIGGKGLWLGITGALITQMLSLLIITARTNWEQEVKHYFPFFLHLATSSPWLSALIANLLFSFLGSNLGKKCYGKSSCLESTCGDGQCFIMKQNSCSPTMEQRGS >EOY21173 pep chromosome:Theobroma_cacao_20110822:3:3828895:3832172:1 gene:TCM_012555 transcript:EOY21173 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 2 MERENEQYSLISSAREDRLGFNGENSREKGVGREEIIEEVKKQLRLAGPLICESLLLYCLQLISVMFVGHLGALALSSASLATSFASVLGFNLLIGMSTALETLCGQSYGAKQYRMLGIHTQRAMFVLSLISIPLAIVLANTGSILAAIGQDPEISQAAGVYARFMIPSLFAYAILQCLLRFLRTQNNVFPMVLSSGITTLIHIFVCWILVFKFGLGNKGAALAGSISYWINVLALAFYIKLSPSCAGTWTSFSRESMHEIFAFLRLAVPSAVMVCLENWSFQLLVFLSGLLPNPELETSVITICINTTAVIWMIPFGLSSAASIRVSNELGAEDPKAAHLAVRVVLFLAISQGILVGLVLILMRNIWGYAYSNDVQVIRYVTAMIPILATSNFIDGVQCVLSGIARGCGWQKIGAYINLGSYYLVGTPLSIVLAFVFRIGGKGLWLGITGALITQMLSLLIITARTNWEQEAKNAMERVRASKVPAVMDNALS >EOY25020 pep chromosome:Theobroma_cacao_20110822:3:32984619:32985387:-1 gene:TCM_016462 transcript:EOY25020 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MAAAVRRIEAYPITLLRESCRLSRPNDDTCTICLSDYKAKEIIRTIPDCDHYFHADCIDKWLRLNASCPVCRNTPDQETALINHSTLSPSSSPPP >EOY23294 pep chromosome:Theobroma_cacao_20110822:3:26942148:26945022:-1 gene:TCM_015235 transcript:EOY23294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Keratin-associated protein 5-4 MASQLPFLHITRAAPHSPELQSSNSRLFRQNLHPISRVINSYPQHSSLSSSSSSSSSLSLYRSQTFLSSHWLHQNPTANRPVTVKSQLNFPLISPNDQWGTWTALFAIGAFGIWSEKTKIGSALSGALVSTLIGLAASNLGIISCEAKAYSTVLEFLLPLAVPLLLFRADLRRVIKSTGKLLLAFLLGSVATTVGTALAYLIVPMRALGQDSWKIAAALMGRHIGGAVNYVAISNALGVSPSVLAAGLAADNVICAVYFTTLFALASKVPPETSTSPEDVAMVEGSESGSKLPVLQIATALAVSFSICKLGAYLTKYFGIPGGSLPAVTAIVVILATVFPTQFGRLAPAGEAMALILMQVFFTVVGASGNIWNVINTAPSIFMFALVQIAIHLALILGLGKLFRFDLKLLLIASNANVGGPTTACGMATAKGWSSMVVPGILAGIFGIAIATFLGIVFGVTVLKYM >EOY23895 pep chromosome:Theobroma_cacao_20110822:3:28928847:28932226:-1 gene:TCM_015649 transcript:EOY23895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine gamma-lyase MAETQSRGFVFSGKKRAAGADDFDGDDLFMSKKSMLPTLAPAWENDPAAALASARHEFGEHGGVNMSIEASATFTVMEPETMRRMFAGELGPDRDFFIYSRHFNPTVLNLGRQMAALEGTEAAYCTASGMSAISSVLLQLCSSGGHVVASRTLYGGTHALLTHFLPRACGITTTFVDVCDHEAVRNAIVGGRTKVLYFESMSNPTLTVANIPELSRIAHEKGTTVVVDNTFAPMVLSPARLGADVVVHSISKFISGGADVIAGAVCGPASLVNSMMDLHQGALMLLGPTMNAKVAFELSERIPHLGLRMKEHCRRALAFATRMKKLGLKVIYPGLEDHPQHKLLKSMANKEYGFGGLLCVDMESEERANRLMHHLQNYTQFGLMAVSLGYYETLMSCSGSSTSSELNAEEKALAGISPGLVRMSIGYIGTLEQRWSQLEKALSRMQESTLFNKN >EOY23562 pep chromosome:Theobroma_cacao_20110822:3:27857200:27859532:-1 gene:TCM_015418 transcript:EOY23562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRRITQDHGEPGIDRRHPSVLNMDTSIFSLAFKCCTFSGSGASAIKRFPPVFLEHLPCRFSRRKHFLCFIPSPDPKGGGKKQLFLMAGKAAQSVVKAMGEYQYPWREKLAKYKVELSKGVWGYWELGAWKPLGISARRRARLRKEVLSAGQDWPYDPQRKEMRTKMKGHKCDRISAEKRENTANLMQKMPEMLLAYKKRRWEKKMKEEEKLKEK >EOY23575 pep chromosome:Theobroma_cacao_20110822:3:27916622:27921250:-1 gene:TCM_015427 transcript:EOY23575 gene_biotype:protein_coding transcript_biotype:protein_coding description:2A phosphatase associated protein of 46 kD MGEGKMEDMPLPALFEQARKIHITATESGADQDLVKKGSEVLGKCEDMISKLGLFSSNETKDDISTTNLKYLLVPFYLAELMEKLVQDDRIQILKTSQSKLKEFISFCEAMELVPQEELEASAQGASNSFADRRALKIARFRRQRAAEAKLTEIKERKERRGRSTKAAALSTPVEAGEEDEQDDDGEEEREAWLTTILLAICKAFDLLEMLKKEEEMLSAIKEKQLKEGEKGFSQAILDDRTKKAEAWHRDAAARARYTKPAPPITCATFAQDVIEGRANVSQAHDHKHQPMIFGPQSLIGGSLTNERQRMAAQVFQPGHRMPTMSIEEAGLREMEMMNKWQERNAKMFEEANSAWYKDSPKLGPSEEDEDDDAAQEKARAWDDWKDDNPRGAGNKKLTPCG >EOY21254 pep chromosome:Theobroma_cacao_20110822:3:5103823:5128158:-1 gene:TCM_012684 transcript:EOY21254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFGCWLGIFEVDPWSLCGVFFLFGGLQGDGRRCLVLFPRSCSSPKEEFLSHTSAGLIQERQRRKRSSLKHFRLIRS >EOY22524 pep chromosome:Theobroma_cacao_20110822:3:23780761:23781525:1 gene:TCM_014670 transcript:EOY22524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPNQSLKAIILTGGMKNCTKKKKAIENYRETKNGNHEENDVSRSCAGNPGLSFTLSKVIITPLVGLDDDLV >EOY23402 pep chromosome:Theobroma_cacao_20110822:3:27295158:27296498:-1 gene:TCM_015309 transcript:EOY23402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acidic endochitinase MATKTPAIPVLVSLLVLALIEVSQAGGIAVYWGQNDFETTLNQTCETGLYKYVNIAFLNKFGSGRIPELNLAGHCNPKNGGCKVASIAIRNCQKQGIKVMLSIGGRRGQYSLASKADAKKVADYLYNNFLGGTSPSRPLGNAVLDGIDFDIELGSTKYWDDLARYLAAYSKPGRKVYLSAAPQCPFPDRYLGPALNTGLFDYVWVQFYNNAACQYAPGNTRNLLNSWHRWTTSIKAGKIFLGLPATKAAGTGYIPPWELKSKILPLIKKSPKYGGVMLWNRYFDKIGGYSTVIKNSV >EOY23523 pep chromosome:Theobroma_cacao_20110822:3:27710299:27723422:-1 gene:TCM_015389 transcript:EOY23523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-irradiation and mitomycin c induced 1-like protein MFKGFYEALFCDNAALFGHVWIWRTYGIYASRETDGGIRDASEDEIRNLLMRALQSCNMELLDGRSQSCHRWHDAGGRAAITNLLSCSGPEFTILLHFSLKRENVATKGSKASEGTNARLKCIYFPIHQGKENIERILEKLDAEGCGVGDNYENFSCIPISCLGRLLPDARWNMIQENSFLVAETDAGFNPTPLKTDLVHHNPFSIALKNFGCRHVEKEKDVDVEICRGGKQLTFLQLEREYQDWLLQMQDSYEKEIRSGADQPVLVVGPLNKKALGISYDGNIIYKQFDVIRVRKILKRKGVLWESGQRINILKGACAGFHKNNEKLVLYAADLLVQLLSKFLDANASFDIRNSLSLPVSVINSGKCLAIDDTDWDCQLEKQCQKAPSRIDLLNVKLCQELKALPADATLQAGLVPPKEIVAVLHPRSFGSSSASNDLDQKEILKINLEMSMEVNFRRTKNHQDVKHIYSGRITPSSCKGFNGLYVFPLGASSHTCFKKQAYIPFYSLLVGSCFPFISIACYDIYDNWMPFSSIPDFKIKLIMNEGLLVDVTKMKPFLSSDKLVLNIEHVMIESNELDSIGPHYAATLMIYSKDESVSISVECQVTPGALRNAKACPEMFDAYGNHVAEGVEVQFHLDGFVIQEHLGSKYKVDDQGCIDRGCLLKVTAGYGKPEHCIAGFILEDLAFEVVDSQNSGLSFNSLDKFCIG >EOY22657 pep chromosome:Theobroma_cacao_20110822:3:24370566:24372528:-1 gene:TCM_014764 transcript:EOY22657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter, Pam16 MAARILANLIVMGSGILGRAVFQAYRQALANASKSGIAQETVQNIRRASKSMAEPEARQILGVTEHSSWEEILQKYDNLFEQNAKNGSFYLQSKVHRAKECLEAVYQKKAQGTMDA >EOY23065 pep chromosome:Theobroma_cacao_20110822:3:26057829:26062857:1 gene:TCM_015073 transcript:EOY23065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MKLARHISRRFTCFSTPLKPERVTKNPLSINAAKELHAHLIRTQRHEDPFSISPVIRAYSLAPSHLHKAHHVFYQTKEPTMFNFNHMIRGFSQSNVPNEAIKMYNQMYYLQGFPGDIMTFIFVFKACARVSDVANGQKFHVHAVKLGFDSYLFVANALIHMYSCCGDLGFARKVFDTMEERDIVSWNSLICGYSQCKKFKELLGSFRAMQEANVKADAVTMVKVILACCYTDNMNLADSMFKYIEENCVHIDVYLGNTLIDMYGKRGLVNLARELFDRMLERNVVSWNALIKGYMKVGDFIEARKVFDNMKQRDVISWTCMITGYSQANQFTGALNLFQDMMAAKVVPDEITVASVLSACANLGALDVGLAIHDYICKHGIRADVYVGNSLIDMYCKCGVVDKALEVFHDMKEKDSVSWTSVISGLAVNGFADSALEFFSQMLKEGVRPTHGCFLGILLACTHAGLVDKGLQYFETMEKVHGLTPEMKHYGCVVDLLSRYGYLERAYQFIRTMPIHPDVVVWRILLNACKLHGNVVLAEIVTNKLLELDPSNSGNYVLLSNTYASSDRWDDATKMRELMEGNNVQKPSGWSSIEAKRMTSSISGELHFPNFKGVIP >EOY23386 pep chromosome:Theobroma_cacao_20110822:3:27225628:27230147:-1 gene:TCM_015297 transcript:EOY23386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein, putative MRMSLVWSLLLSTLFIGFLLEEHLTLGSSLLLGEVDVTRCRENERQALLIFKRSLVDDSGILSSWGNGDDRKDCCIWRGVVCSNRTGHVLMLNLQPTYGYLGGTISPSLLDLSHLNYLDLSFNTFNGSNIPEFIGSLRNLRYLGLSHAAFSGPIPYQLGNLSRLLSLDLSGNDLYSGRNLNWLSHLSSLKNLDLSFSNLSKANDWVQVVNKLPFLESLSLQSCNLPNIISPSLSLVNSSTALTSLELFGYNLTSPVIYPWLFNVSSNLVYLDLSLNQLKGSIPEAFGNMSALKQLSLFSNQLEGGIPKSFRNMCSLESLLLHHNSLSGDFTEYTQNLSGCTEHSLKILVLDNNQITGSIPDQMARFSLLTDLSLGNNRLHGTISEGIGHLSELEILDLHGNSLKGVILETHFSNLSNLQTLDLSYNSFSLEFSNNWVPPFRLSFIRLGSCKSGPRFPKWIQSQNNFAELDISAAEISDTIPLWFWDLSPSLRYLNLSYNQISGILPDLSLKFVSFPGLDLRSNLLDGPLPLFPSKSTSLNLSKNRFSGSISSLCRITGEALQFLDLSENLLSGTVPNCFQQWPYLQVLNLANNNFSGRLPSSIGSLVSLVMFNLHNNSFSGELPSSLNNCTEVKFMDLSDNRLSGEILAWMGQSLTSLVFLSLQANKFNGSTPYHLCQLAHIQILDLSRNKLSGSIPECINSLTSMARKGNLSTTIQQNYVYGDPQFGDIGPYIDKALLVWKGREYEYTKNLGLLIVIDLSSNELSGEIPGEIARLSGLVALNLSWNILTGVIPQKIGQLRQLEVLDLSRNRLSGEIPTSLAELTFLSHLDLSYNNLSGKIPLSTQLQNFDASAFANTVDLQFRQVAQRLKRNNFNQETLIKKMKMS >EOY20659 pep chromosome:Theobroma_cacao_20110822:3:474474:477385:1 gene:TCM_012019 transcript:EOY20659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MNPVSIRVLPIFIFLLFHVSNSEDEEVKQSLVEFLDKLAAGNVERGQSWGWNMTSDPCKDNWKGVSCDLKLQSVKKVVLDELNLTGVLDIGSVCRASSLSVLSLNKNNVVGLISEEIGNCKRLTHLYLSGNQLSGDLPEPLKQLSNLKRFDISFNNFSGEVPDLSRISGLVTFLAQNNQLSGEIPNLDFSNLRRFNVSNNNFSGPIPDVKSRFSADSFSGNPELCGELVSKACPPSAAPPSTRKSKDSSSKDFLIYFGYAALGLIIVLLVAYKLVRKKKPKEEKSEAVKKGVEAKTSSTSNESKTTEHKSEYSISSAESGVALSSLVVLSSPTAQGLRFEDLLRAPAELLGKGKHGSLYKVMLDNGVTTLAVKRIKDWSVTSEDFKSRMQRLDQARHPNVLPSVAFYCSKQEKLLVYEYQPNGSLFRLLHGSQNGQAFNWGSRLNVAASVAKALAFMHEELREDGIAHGNLKSTNILIDKNMDPCISEYGLMVYDSQDQTFHSPSNSFIINNDSDHGQTYGSFQADIYGFGVILLELLTGKLVQNNGFDLARWVHSVVREEWTVEVFDKDLILEGASEERMLNLLQIALKCINPDPHERPSINQVAVMINTLKDEEDRSSSEP >EOY21710 pep chromosome:Theobroma_cacao_20110822:3:17588518:17591842:1 gene:TCM_013819 transcript:EOY21710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane HPP family protein MVTQLRAHYYYHQSNAMAATSLTSSPSISLNSFSPLLFKGCSFIGNKKIASERSLGTTSIRRKQGREYGVVVASSSNVAAPLWDSWKPEKTSSAASLSDILWPSAGAFAAMAILGKMDQILAPKGISMTIAPLGAVCAVLFATPSSPAARKYNMFMAQIGCAAIGVLAFSIFGPGWLARSAALAASVAFMIYTRSTHAPAASLPILFIDGVKLHHLNFWYAFFPGAAGCIILSLIQEVVCYLKDNFKF >EOY21823 pep chromosome:Theobroma_cacao_20110822:3:18805511:18806136:-1 gene:TCM_013956 transcript:EOY21823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARDVDSIKANNCETKMTMLHCVIEVFASIFKTGIVYDNCCHELIELGQLCHNALVKKTLQNPLFKNNDTSVILSKTAQIWNKCTLVGENVSLTPSP >EOY22424 pep chromosome:Theobroma_cacao_20110822:3:23404423:23409478:1 gene:TCM_014595 transcript:EOY22424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MPRTTAVECPGCPPFRALTFDALGLIKGIEARGKEGGVPKVVERWGDPDASKCVLAASIDDRKTDPLLAVARKSGRVSNLHFSSPVLVVPGQIEVEVLNPLNGELSVTVSIVNSGSARPEDDAIVGLHLFRRQRLELTSRSCTLLTCTTKGNASIRSVEMTDSPADSGALKTWSVCASGNISCSQVDGSESYAIFGGKGVEVNMWDLDKCTKIWTGKPPPKNSLGIFTPTWFTSATFLSKDDHRKVVAGTNSHQVRLYDVSAQRRPVISFDFRETSIKAVTEDLDGHTIYIGNGSGDLASVDIRTGKLLGCFLGKCSGSIRSIARHPELPVIASCGCVCMHILHFGKLFFAGMALQLASQLVLFLGLDSYLRIWDIGTRQLLSSVFLKQHLTKVVFDTNFTEGISRRVRECGVGGANNVMVLEVKHSAADSTLHEAQSIGEVQSADETEKLPTKRKKSSKEDRGSRKKASKVKDGSKKLKSKKRSKSLENEFADDA >EOY21910 pep chromosome:Theobroma_cacao_20110822:3:19743991:19745475:1 gene:TCM_014083 transcript:EOY21910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMGIDPATHKPVVAVLGSGNGEPKKLSNLTHTAQWESARLEAEARLVRESSKLRQNYQHPAAGLPGPPPTQRVNKIASRLGSPRCLDILKAWQRVQLKSIVIENNGGDHGSNLHSPTSTLNLQNIPASSTNCNIASFHSNDVNEGFATFQDMEFEAGQAWSMGACYAGNDDLIKGFSNFLSNNWESDHLVRYPNTDKDGNSGSSDGVSENDDENKNYLDDVFNLVDCGLRYTDSTLLHNNICMEHLELFIRPIC >EOY24787 pep chromosome:Theobroma_cacao_20110822:3:32223354:32226402:-1 gene:TCM_016289 transcript:EOY24787 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain,ELM2 domain protein, putative MAGWSILTNGSALDCVGTVNNCQSNGCHLDDDPVTKNSVEEFGDHRNRLRCLFDLVLSGYLKEVACKGFVRRMPAMLGNDGHSLDLLKLFLVVREIGGYEFVSKKGLWAFVVKELGLDLEVSASVKLIYAKYLNELEKWLRNSLVDRNGEGAGGGKFRFLSLEQEEEFRGLFTNGVDQKVVVNRVALSEYIKNDKCIAKDSKKNGLKISDANSRYRLHSGVEEVFSDNDEKVCRNDLGVLDPPVARKEFSTRKRKRESLAGMLNWVTQVAKCHDDPSVWAIAEPSKWKDHGGNEFWIQAIRAREAIRQKRDDHSVTEQSLLQNNKKMHPSMYEDGILSHHLTERSRCSEKLPTTQSRSCSCCSSDSALQKNSMCRHKTESECGLKEQSPVTIDSSSLDMTVEPSGDDSLRRQVSVGLRFQAEVPEWTGMVSDTDSKWLGTQEWPLKAVEHDPLAVKDPIGRGRDDSCGCPIPGTVECIRLHIAEKRMKLKLELGSVFYRWRFGGMGEEVSLRWTAEEENRFTYMVQLEPPSLNAFWPDASKFFPRKTRQELVSYYFNVFLIRRRSYQNRVTPNSIDSDDDESEFGCISDSFGSGALKVPGSNMLTCSQNNQCIDWE >EOY22226 pep chromosome:Theobroma_cacao_20110822:3:22486133:22489146:1 gene:TCM_014445 transcript:EOY22226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H protein MDGFAAKLGKCSSYRAELWGVLHSLRIAREKGFRRIWLQVDNKIVVQAITSSESHPCANSDLLNAIHGLLQLDWEIVALFCLMYHLQKSVQGCSMMYWEFVSQEWRSLLSSLKWLKILLLVLNHQGNILDFYEARCQLLAQKNDGSILVGVAGPSGAGKTLFTEKVLNFMPTIAVITMDHHYKDSNHFIDGYFDDVTCTQVRLENIIGWSIGLEGRFDDSWFKKD >EOY25447 pep chromosome:Theobroma_cacao_20110822:3:34331212:34340451:-1 gene:TCM_016753 transcript:EOY25447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSAYPFPDMQEPWLAGGDFNTILSREERLFGAEPNAGLMEEFATTLFDCGLLDAGFEENKFTWTNTHMFQRLDQVVCNMEWASFFSYTRIHHLNRDGSDHCPLLISCCNFSLQRPSSFRFLHAWVKHHEFFNFVANSWKQPIHGNGLMAFWNKQQQLKKSLKGWNKDVFGDIFSNLRAVEKTAEENELAFQHDPSVINRTQLQRASAKLNNQLSMEKMFWQQKSRVKWLVEGERNTRFFHMRMQKKRVKISIFKIQDSEELRLQEVKDAVFAIDKDSVAGLDGFSSFFYQQCWPIIVEDLLAAVRDFFKGAAFPRGLDMMKAYDRLNWDFLSLVLERFGFNGWENKILSPGSRITLLRSVLSSMPIYLLQVLKPPTAWHNITFPSSEGGLDICSLKDFFDAFSTKLWWRFDTCQSLWARYMRLKYCTGQIHHNIAPKPHDSATWKRLIDGRVTASQQIRWRIGKGDIFFWHDAWMGDEPLVNSFPSFSQSMMKVNYFFNDDAWDVDKLKTVIPNAIVDEILKIPISRENEDIAYWALTPNGDFSTKSAWELLRQRKQVNLVGQLIWHNPNPDPISPSLFILAAEYLSRGLNQLFSRYNSLHYLSGCSMPVSHLAFADDIVIFTNGCHSALQKILAFLQEYEQRLFNSFLWGDSNEGKRMHWATWNKITFPSSEGGLDIRNLKDVFDAFTLKLWWRFYTCDSLWTHFLKTKYCLGRIPQYMQPKLHNSSIWKRMTGGQDVVIQNIRWKIGKGELFSWHDCWMGDQPLVISFPSFRNDMSSVHKFYKGDSWDVDKLRLFLPVNLINEILPIPFDRTQQDVAYWTLTSNGEFSTWSAWETIRQWQSHNTLALSFGIEEKGIHLVSKCVCCNSEESLMHVLWGNSVAKQGRIRTLLPIFICWFLWLERNDAKHRHSGLYTDRVVWRIMTLLRQLQDDSLLQQWQWKGDTDIAAMWRYNFQLKQRAPPQIVYWRKPFTGEYKLNVDGSSRNGQHAASGGVLRDHTSKLIFCFSENIGTYNSLQAELRALHRGLLLCKERHIEKLWIEMDALAVIQLIPHSQKGSHDIRYLLESIKKCLNSISYRISHIFREGNQAADFLSNEGHNHQNLRVFTKAQGPPNSEPSTQVNILLHGEDRQTSENAMGVQHVSMANIEGSGEYSPPIGQGTSKTVSSILGQPNEQHNKSRERMEGQADIPPTQESALGKCMHNKELSDVPSFPSLSETKFTEIEVHPRIRHRRHSDIEVSIDKILSFASDKAVDMRENDEDSDEDAISMNFTAS >EOY24517 pep chromosome:Theobroma_cacao_20110822:3:31294946:31298138:1 gene:TCM_016099 transcript:EOY24517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double Clp-N motif protein MAATHCLSKPATVTPVITQSENKSKPSSSSSFLKPHGLQSPWLGIKLSLRSSKPRPHLPNHRPITATVSFSLPTAKPDRVASTEKVPKWSRRAIKSFVMAELEARKLKYPTTGTEAFLMGILIEGTSLAAKFLRANGITLFKVREETVKVLGKADMYYFSPEHPPLTEAAQRALDWAVDQKLKSGDDGEVTTTHLLLGIWSEVESPGHKIMTALGFIDVKAKELASLSSEPES >EOY24453 pep chromosome:Theobroma_cacao_20110822:3:31024266:31025192:-1 gene:TCM_016049 transcript:EOY24453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein MARLAFSILVLFFALYMTGIAASASTRNSSPAAYIVASCKATRYPALCVQCLSGYASEIRQDEQHLARTALSVSLSKAQSAAAFVAKMTQVRGIKPRERQAVKDCIENMGDGVDRLSKSVKELSHVGRAVGQDFMWHMSNVQTWVSAALTDENTCLDGFAGRVMDGNIKTAIRRRVVNVAQVTSNALALVNRFAARHRAAAPTEER >EOY23137 pep chromosome:Theobroma_cacao_20110822:3:26333631:26335432:-1 gene:TCM_015122 transcript:EOY23137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDSHVPSDRNDPWTNEKHVHFLNSMEAWFVRTMLENDGRHNLRLDRHLPDSSESTLDCKPNQTRKKHATSDFIGTTGSKRKGRPDKRARRPPSKPHDSSQDQVVPQIENRTGDKDEEDLPNVPVASMAPAN >EOY23136 pep chromosome:Theobroma_cacao_20110822:3:26333705:26335257:-1 gene:TCM_015122 transcript:EOY23136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDSHVPSDRNDPWTNEKHVHFLNSMEAWFVRTMLENDGRHNLRLDRHLPDSSESTLDCKPNQTRKKHATSVDFIGTTGSKRKGRPDKRARRPPSKPHDSSQDQVVPQIENRTGDKDEEDLPNVPVASMAPAN >EOY24139 pep chromosome:Theobroma_cacao_20110822:3:29810132:29812255:1 gene:TCM_015816 transcript:EOY24139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding ribosomal protein family protein MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >EOY25311 pep chromosome:Theobroma_cacao_20110822:3:33902420:33908444:1 gene:TCM_016669 transcript:EOY25311 gene_biotype:protein_coding transcript_biotype:protein_coding description:DZC (Disease resistance/zinc finger/chromosome condensation-like region) domain containing protein MFTCIACTKQMADGGEEVEGARGSGTPSTKEAVKSLTAQIKDMALKFSGAYKQCKPCTGSSSYKKGHRPYPDFDAASEGVPYPYMGGSSSSTPAWDFTSASHHPGRSDSRFTGVFSGDRTPGGMDSMFAQDLVLEDEDEPKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWQAQRWWGENYDRIMELYNVQRFNRQALHTPPRSEDEMQRDSSYSRMGSAMESPMPHWTPRYNYKPSGSKGYFPSENMDQGGTQHYSAGPSAYGAGGPRGEASFDASRTTTSSRDEPSVSVSNASEMEAEWVEQDEPGVYITIRQLADGTRELRRVRFSRERFGEVNAKQWWEENRERIQTQYL >EOY23913 pep chromosome:Theobroma_cacao_20110822:3:28992945:28996238:1 gene:TCM_015659 transcript:EOY23913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger matrin-type protein 1, putative isoform 1 MISRYLTQPFSCSSFCFKPPLTPSSISKLKPFNHLTKIHKKKEIKKEICRAEFSTDAPLAAAIGACMLSSLLLPVADSGEEDGSDSVIDAGDTRFAAMGIISFIPYFNWLSWVFAWLDTGKRRYAVYSIVYLAPYLSRSNLSLSPEDSWLPISSIIFCIIHVQLEASIKNGDLQGFQIFSEAAKHLSSRSREDDTHFKGHKKPEVTKREYRNLPDAEEQSRNEIRQWGIPGRPSENHEQSNGDWDDDGRSER >EOY23912 pep chromosome:Theobroma_cacao_20110822:3:28992937:28996123:1 gene:TCM_015659 transcript:EOY23912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger matrin-type protein 1, putative isoform 1 MISRYLTQPFSCSSFCFKPPLTPSSISKLKPFNHLTKIHKKKEIKKEICRAEFSTDAPLAAAIGACMLSSLLLPVADSGEEDGSDSVIDAGDTRFAAMGIISFIPYFNWLSWVFAWLDTGKRRYAVYSIVYLAPYLRSNLSLSPEDSWLPISSIIFCIIHVQLEASIKNGDLQGFQIFSEAAKHLSSRSREDDTHFKGHKKPEVTKREYRNLPDAEEQSRNEIRQWGIPGRPSENHEQSNGDWDDDGRSER >EOY22429 pep chromosome:Theobroma_cacao_20110822:3:23433387:23434541:1 gene:TCM_014601 transcript:EOY22429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTSATFPYSLKAPLIANKDIFCVCPFLGIAQEIRKFTLYIPEFYSVFVYKGWFSVWNKFVHEIFAGSCTGVDPCVILLWHASMSFIRGKPVEANTCMQPAVAV >EOY22909 pep chromosome:Theobroma_cacao_20110822:3:25265524:25267808:-1 gene:TCM_014939 transcript:EOY22909 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441 MNTFTQVPPGFRFHPTDEELVDYYLRKKITSRRIDLDVIKDVDLYKIEPWDLQELCRIGTEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKHDLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGTPQEEGWAVCRVFKKRIATIRKVSEHESPCWYDDQVSFIPDLDSPKQTSQSNMAYHIPYSCKKELDLQYHVPHEHYLQLPLLESPKLLQTPAGAVTCNSMAAYGLDMNNASTLQSSTLTQEDHIQQTHDQQHLHALYGNSSNAQAVDQLTDWRVLDKFVASQLSQEDVAKENNYLSASAANNVFHSSEQTNLLSRHLNKQEMVPENASTSTSSCQIDLWK >EOY22164 pep chromosome:Theobroma_cacao_20110822:3:22002869:22008387:1 gene:TCM_014381 transcript:EOY22164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVKWSPSVLDSKRPMSGCSDRSDRALLEFNNLGPNLHIAPIIQIALLLSQLLVPICNSFASSSNSGEFMHTHSRPCKFLSINRYFSHHFLFSQSSTRGLRYIFPPLIKATELRQGSPERREDNDVPCAIPSLHEVQEAIFDIAKNSVVGPDGLSSFFYKHCWSIISKDLLEVVTGFFQGATLPKGMTSTTPVLLPKNSNPLNWSDIRPSSLCTVFNKISTKILANRLSKLLLALISDNQNGFVSQKAHW >EOY23093 pep chromosome:Theobroma_cacao_20110822:3:26194211:26195861:1 gene:TCM_047013 transcript:EOY23093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLMSKKKSTEAHKRKTFNPVLEFKNDKVAHFSFLSLFVRQGANYWVKMGCVFIAWSFLGFHLVQQFTSQAQERFTPSNMISQMCSASCQACSWLLERLFDSSIWCMAWRNFCRIPLFLVYKSDLGKSSGESFSSCRPIFSKRIDVILPAETSTEEDCIKMEEKQKYLCIYFSLRQNRCNLIKYARDFKIEA >EOY24327 pep chromosome:Theobroma_cacao_20110822:3:30555978:30560128:-1 gene:TCM_015957 transcript:EOY24327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MQRSLLRRVQNYQPKQWHSYNLQSNHLIGTNLPSQNNNASNNVPKQNFETWLTLISTLAEGGADMDLTLHKAAKLLRSGIKPYDYSLVHLVRVSTDLDYDSYCQQLHCYILKSGFVSNVFVSNALMRFYRRIDLPCQAGKLFVEIPQPSVISWNTLISGYVQFGQFRKALGLFLKLQRSDICSNEYSFTVALAACGQLGFLHLGKSIHSNILKFGLECGIIVRNCLIDTYGKCGAVDDAVLVFHSMIDKDVISWNSVLAACARNGKLEEAFSFWRQMPLRDTISYNELISGIAQFGNINDAIDILFNMPNPNSSSWTSIMTGYVNRNRAREALQFFSKMHSNEVELDEFSFSIILSGIAGLSALTWGMLIHCCTIKCGLDTSVVVGSALIDMYSKCGRVKNTESMFQSLPKKNLVTWNAMISGYAHNGDSTKVIQLFELLKRERDLKPDAITFLNVLAACSHSGTPLQEVYQYFESMINDYGIKPTVEHCCSMIRLMGQGGEIWRAERMIYDLEFGSCDVVWRALLGACGVCKDLKVAKIAAAKVIELDGSNDYAYVMMSNIFAHFHKWAEMSVMRKLMRDKRVIKEAGCSWIEMENVELNSST >EOY21888 pep chromosome:Theobroma_cacao_20110822:3:19459550:19463977:1 gene:TCM_014047 transcript:EOY21888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoate A/B protein ligase family MPIPQTRNIGLPMMNLLRFKGLPILQQLHIEEQLLRTSSENWCIINDGTNDPTIVMGVSGKPAELLEIESVLRDQVPVIRRFTGGGTVIVDCGTIFATFICNKEAVPDLQPYPRPIMSWSSLLYGKVFQGIGDFHLRENDYVFGNHKFGGNAQSITKSRWIHHTSFLWDFDVRNMTYLKLPKRAPEYRSARGHLDFICCMKDYMPRSTFINKTVEATGTQFSLRSYPLEAIETGSETEFYPSTRFLTDEELEAAAAAGQ >EOY25164 pep chromosome:Theobroma_cacao_20110822:3:33455953:33458154:1 gene:TCM_016566 transcript:EOY25164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-glycan biosynthesis class X protein, putative MATRQYNRLCFYQKLAILWMFFSGAVSCMHVFSSSSEVEMNGPPDSQNSDARNYIMKSYFDKYENLHDSSFEDFMAHELSSGLCEVFPDKLNINVRLSVLELSLLGEGSHRHLSSSIRLQIEAESIAKLPDHFCEVIIIQRLPLGVFADPFELQHLHERKVFTNIAVFGDTDLELPSFRSNRSVVEVHMDAGSNNLSGWNNGLEINVQFPLHARYQPLDESGYSIVEIGEPDVLMSCSMEGKHDKQSCLYMSPHDSAKSRTGTVAWRIPSGKKAHAGFVSVVTFGTAFLSTLSIVLTSICCSGVNVSKNLKQS >EOY22402 pep chromosome:Theobroma_cacao_20110822:3:23300843:23302317:-1 gene:TCM_014580 transcript:EOY22402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate N-benzoyltransferase protein, putative MTIIDIQIVSKETIKPAIPTPHHLRNLKLSFLDQLAPPIYIPIVLFYPAKQNVDLLERSLLLKKSLSKTLTQFYPLAGTMREDFTFECNDEGVEYFETKVPCKLVDVTENPDVNVLNLFLPFEPQQNCIESKKQVPLAIQYNIFKCGGVAIGIRLSHLIADGTSVITFVNAWAAMSREPGEVIIPIFEAATHFPPRDISMFRPSIGITKEKIVTKRFVFDKPSITVLREKASSRDGSQVKTPTRVEAISSFIWSRQMAIAKTKPERAKLYAAVHAVNLRERMVPSLPKHSFGNFWRMAIATFPAEMEQDYHVLVSHMRNAISKIDNNYVKMLQDGDRYLKTMKMVSEQFSKSEVEFCNFTSWCRFPVYEVDFGWGKPAWACSPSRPYKNLVILMSDKGGEGVEAWVNLLEEDMAIFERDPELLSFASSKTGK >EOY22742 pep chromosome:Theobroma_cacao_20110822:3:24630846:24633766:-1 gene:TCM_014819 transcript:EOY22742 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetyl-l-glutamate kinase, putative MRELVVVKYSRARPSRSNTAPPPSASSPSSSTAAVLLNQLNIPPQFREGLRVTDAGTMEVSIVLVCKVNKDLVSRINFAGATAVGLSGNDGRLLTALPSPNAAQLGFVGEVARVDPTVLQPLGDIGHILVIASVAADEFGQPSNIADTVAGDVARRWGRRS >EOY22312 pep chromosome:Theobroma_cacao_20110822:3:22968540:22969446:1 gene:TCM_014526 transcript:EOY22312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase MATAADSNNNNPALDPDLDRPDNSAHEFAQFGAGCFWGVQLAYQRVQGVVKTEVGYSQGHVPDPNYNLVRTGTTNHVEVVRIQFDPQDCPYNDLLSVFWGRHDPTTLNRQGEDVGAQYRSGIYYYNENQARLARESLEAKQLELKDKKIVTEILPAKRFYRAEEYHQRYLEKGGGSGSKQSAEKGCTDPIRCYG >EOY21664 pep chromosome:Theobroma_cacao_20110822:3:16203269:16205663:1 gene:TCM_013706 transcript:EOY21664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARNLKSIKEERQGRIGHQTRLESCSMTFSSFSNEMDIFFSRNLDHRMIVQSLLS >EOY24899 pep chromosome:Theobroma_cacao_20110822:3:32609842:32610809:-1 gene:TCM_016373 transcript:EOY24899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive family protein MASKSCVLLSFFTVLAVSSAYPFKNKQYKPCKHLVLYFHDIIYNGQNRENATSAIVAAPQGANLTILAGQFHFGNIVVFDDPITLDNNLHSKPVGRAQGMYIYDTKNTFTAWLGFSFVLNSTNYQGTVNFIGADPLMQKTRDISVVGGTGDFFMHRGVATLTTDAFEGEVYFRLKVDIKFYECW >EOY21368 pep chromosome:Theobroma_cacao_20110822:3:7237034:7237958:-1 gene:TCM_012897 transcript:EOY21368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNAASCAPSIISSGVVKVLFPDGNMQMYTKPVKAADLMLENPGQFVCDSSSLKVGYRIHGLTADEVLERRQVYFLLPMELLYSVLTHEEMSCLTCKATKALKHATFNNFGKIFPVFTEFCIFPSEAKTPENPVSKTADPVERYSKQRSWRPALETIVETPCRQ >EOY22075 pep chromosome:Theobroma_cacao_20110822:3:21223208:21227187:-1 gene:TCM_014265 transcript:EOY22075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich RNA-binding protein 3 MKKCDIFQLLPTPETQPNYKVPRHTQFQHKYLVSGLRTVYINPPEGFTLGKKAAKKYKAESHSLTAINSRVVTMAFLSKIGNILRQTTNTQINAQLSASRPGLFQVFRCMSTSPSSKLFVGGISYSTDDQSLKEAFGKYGEVIEARVIVDRETGRSRGFGFVTYTSTEDASSALQALDGQVLHGRQVRVNYAAERPPRNFGGGGYNSGYGAPGGGFNSGYGGGSYGGGGYGGGGYGGGNYGGGNYGGGGGYDGNAGSSSGNYGGNVGYGSNSGGTGNYGGQGSGDNYGTGGVGYGNSFGQSGANSYDSGSFNVAGGGGGSDSFATGGSGGCEGDNMGSGGGDQLDSAEDGHTEEAARGFDQNDPLNENFRDDEDDGGDFAKRA >EOY23739 pep chromosome:Theobroma_cacao_20110822:3:28417452:28419152:-1 gene:TCM_015540 transcript:EOY23739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKSSWHSSGRLTRTKPGFDLRLVLASGLVENEAKIQVEMLEVTNSLPRGPSTSITLAWTFIFTHSGFHDAIYDPFEYLLYLFKERKWKVTDGLSSFLYVTRKYSSHFYNSRRPGTIKKGVGLPLLAGVASRPEFISKTRINPDGFGINKSKTLVKRKKKNHWKTTQSICGKLCQSKWTSIRKADGLPFAF >EOY23363 pep chromosome:Theobroma_cacao_20110822:3:27137047:27139889:-1 gene:TCM_015280 transcript:EOY23363 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein MEDQSASPSPPRPSPLLKTYKLTASSVSYAKLTTTITGYFLFKPCTSTPPTYILRDVSFTANPSQILAIVGPSGAGKSTLLDILAARTSPTNGALLLNSTPINPSSFRKLSAYVPQHDACLPLLRRVSIGLSLLHDPAVLLLDEPTSGLDSTSAFNVMQILKSIAASRNRTVVLSIHQPSFKILSTIDRILMLSKGTVVHHGTLCSLQAFLLSNGFSVPPQLNALEYAMELLNQLHDSKPITPPSLPPSPESSKSPTNEASDIRYRSSRLHEICSLYNRFWKIIYRTRQLLLTNAFEALIVGLVLGTIYINIGYDKEGIEKRFGLFAFTLTFLLSSTTETLPIFINERPILLRETSSGVYRLSSYLIANTLVFLPYLLAIAIIYSTSVYFLVGLCASWQAFAYFVLVIWIIVLMANSFVLFLSSLAPNYIAGTSLVTILLGAFFLFSGYFISKDSLPKYWLFMYFFSMYKYALDALLINEYSCLASRCFLWYNETKTCMLTGGDVLQKKGLHEGQRWINIYILVGFFVFYRVLCLLVLIRRVSRSMK >EOY21564 pep chromosome:Theobroma_cacao_20110822:3:12417916:12418894:-1 gene:TCM_013382 transcript:EOY21564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADQRTGSNGKDDKANLNAGEKVAAKPQAKPSDVQEKHAFLFLNVQGKRSKKNIKKIKIKSERDTERTTPAEEWTGVLKSTQEGACENSKILFYRPPNWAATVPQGDDQQMAESEEGDQIRPVDKLEGSGEHNPHTEKSATQTIYNNKSVSIVACPSDRMEAYAENPPNLESASGKCMYNKELNDVPSIPFASETNFAEIEVHPRVRRRRHSDTEVSIDKILSLA >EOY21012 pep chromosome:Theobroma_cacao_20110822:3:2269088:2270042:1 gene:TCM_012328 transcript:EOY21012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELGFQGQLIGFYSSSSSSSGAAFKSKTPLSSTASFVLLSLSSAMPSLASASSSASTTALSASTAALHPSPLPFSKYLTTTLILLNIAKNSSTSSSLASSSFLTLFFFSITTPSMLSFSLLFLFSFSILLKLSHTNFFCFFFFFFFLLFYFFFLMLNKTQKKKKTPRIFSDFESDFLY >EOY23718 pep chromosome:Theobroma_cacao_20110822:3:28357549:28359510:1 gene:TCM_015524 transcript:EOY23718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit alpha-like protein 2 MSPGPVVEAAQPETEQDPSTEEPQKLPMSEEEAVVEDVKEDEKEDDEDDDEDEDDEDDDKEDGAPVAMLTILWISDLVVAMHANIPLFACVLFGYNAICANGGSKQSRSEKKSRKAMLKLGMKPVTGVSRVTIKRTKNILFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQTQAAQQFRMPDMGSVMAKSDTSTAAAGAPADEEEEEIDETGVEPRDIDLVMTQAGVSRSKAVKALKTHNGDIVSAIMELTT >EOY24536 pep chromosome:Theobroma_cacao_20110822:3:31359538:31363959:-1 gene:TCM_016113 transcript:EOY24536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLETQKIESTRLALLNQIQRRSAPLLPIAKLVVCTRKNLEYQRQNITIMAEQQHFSLSFSHKHSTSSQILHTFAHKKQQSYPLLTHSLLNEPKTRKSEPKISPFSSFWV >EOY22465 pep chromosome:Theobroma_cacao_20110822:3:23552819:23562261:-1 gene:TCM_014626 transcript:EOY22465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 94A2 MVICFFLFVTLGFFLLSLAFSFMQELHSFYAKKSVFAHGPSSYPFLGCLISFYKNRRRLLDWYTDLLSESPSQTIVVRRLGARRTVVTANPANVEYMLKTNFNNFPKGKPFTEILGDLLGCGIFNVDGELWSTQRKLASHEFSTKSLREFVVKTLQEEVENRLLPLLQEAMESEKVLDLQDVLRRFAFDTICKVSLGHDPCCLDLSKPVPPLVKAFDIASEISAMRGMAPMFVVWKIKRALNIGSEKKLKEAIKLVQDCVLEIIRNKKRALEAETKCCDDAEDLLSRLLSAGHDEEVVRDMVISFIMAGRDTTSSAMSWLFWLLSKHQNAQNMIVKEVESMLCNGEKELNFEVLKEMNCVKACLCESMRLYPPVAWDSKHALNDDVLPDGTFVEKGDRVTYFPYGMGRMEELWGKDRFEFKPDRWFQESDAENGLLKSVSPFKFPVFQAGPRVCLGKEMAFIQMKYVVASILRRFEIRPVCQEQPVFVPLLTAHMAGGLNVLVRRRVS >EOY22492 pep chromosome:Theobroma_cacao_20110822:3:23678103:23679557:1 gene:TCM_014650 transcript:EOY22492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase 2, putative MESWILGLFVFLFLASAKTSKARNSASFYSNYYVTWGFDHALIINNETEIQLTLDQTSGSGFETKWRYGSGFFHIRMKIPDKNSTGVLTAFYLTSRSSDHDELDFELLGNDTAPYTLQTNVFANGQGGREQRIHFWFDPTKDFHSYKILWNHYQIVFYVDNVPIRVFKNNRKVGVNYPNQPMQIEGSVWNAEGWASGGKRTDWNQAPFKAYLQEFNADGCVLHDYAVEKCYSSHFWWNREEFWKLKPRDQKANDHVRTKYMYYDYCSDKTRYSKPPPECKSNQ >EOY21198 pep chromosome:Theobroma_cacao_20110822:3:4017990:4041922:-1 gene:TCM_012582 transcript:EOY21198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVENHAFPPLPNTHSLAAGRPSNPPQGQSPTPYLTGSTHSVEGRENLQSPSILDQLQGFHGFQQAISDKNQPPLSPRALKKSFLSIAARNKPFAILSSRDPITYKDRPAAAFFEDEIQKLAQPFVHSIIGKFSCMPKLQEIRQAFKGIGLTGAYAIRWLDYKHILIHLSNEQDFNWIWTKQQWFIVNQKMRVFKWSLDFEVERESSIVLVWISFPNLKVHLYEKSTLLLIAKTVGKPLFIAEATTNGSRPSVAQVCVEYDCRNAPVEEVWIVIKNRVTRAITGGYAQRVEFSKMPDYYLLQKEAKRANSMMTIQSKQSKKWQPVGKAKTIGVKDVKGSTIGVQDQRKESVPLSNMFGALELCEGNDQEEHVKQGQPEHLNIAIPAQNTVAATNQAATNLAAAVSQSPDEGREINGSGGNKVIQTKDLDNTPLVTENAQQRRLEIVFDCVATSGTHEQRDEDSKKKKIQKTSLVRAVDATLHGTEEQKLMAIREVVETSPIGGEGTLVVRWSASRPSHYVHGEWDPMNKVTGVREKELSETVEGDGMSIKNSEHAEIMLEGSGKHNSMNRQGDSQTRGSDGHNRVDSTVACPRDRTKGYDDNPPNLESALVKGNNHAELQVHPRERHKRHSDSAVPFLKTVSSTTKDAIVMGGNEGDSDEDSISISFAAKNHPAAIKASTIEFFFSLMKKEQCDLSRLNSSIIPALVSAADNNFLCAAPTLQEDILADDLFAAVLDFFQGMCLPRGITSTTLILLPKKSNASAWSDFRPISLCNVLNKIITKLLANWLAKLLPSIITENQSGFWWGFSWTAYERGTVTQLYNYLQGEMLDEVSPEKPLTGEFKLNVDGSSKYDCQRAVGGGLLRDHTGTLIFGFVENFGPYNSLQAELMALYRGLLLCIEHNVRRLWIEMDAKVVISHIHREGNQAVDLLSNQGYMHQNLHVFSQAEG >EOY24363 pep chromosome:Theobroma_cacao_20110822:3:30665721:30667669:-1 gene:TCM_015985 transcript:EOY24363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative MVASNKHSLLHYEDLTLPSFQVIVMTANMGCAQCRKKVSQVISKMTGRNFDGLREYTVDVSNKQVIVKADFGFRWNVKDHLSKSEKRKDWRSLQLFKSCFGPICYSSKQVVAD >EOY22843 pep chromosome:Theobroma_cacao_20110822:3:24998549:25000963:1 gene:TCM_014898 transcript:EOY22843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23/L15e family protein MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVNHPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWINEDSTYKYFEVILVDPAHNAIRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGRGHLHHKARPSRRANWKRNNTLSLRRYR >EOY21465 pep chromosome:Theobroma_cacao_20110822:3:8505077:8507551:-1 gene:TCM_013027 transcript:EOY21465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 3 MDGSCKHFISLSLAWLAFFCLYFTFVSAELQRFQQPVKADGSLSFLVIGDWGRKGAYNQSEVALQMGKVGEKLSIDFVVSTGDNFYDKGLASPDDPDFKDSFTEIYTANSLQKHWYSVLGNHDYRGNVRAQLSPILRRIDSRWLCLRSFIVNTEMAELFFIDTTPFVDEYFRNPKHQKFDWRGVIPRKKYLRRVLKDVESALRESVANWKIVIGHHPIRSMGHHGDTKELIMQLLPKLEANNVDMYINGHDHCLEHISSITSPLQFLTSGGGSKAWKGDFHYLDRDEMKFYYDGQGFMSVELTQTNGRIAFHDVLGKVLHSLDLSKQLYTAM >EOY24679 pep chromosome:Theobroma_cacao_20110822:3:31893511:31895223:-1 gene:TCM_016218 transcript:EOY24679 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein, putative MAFSGTTEKCKACDKTVHFIDLLSADGVSYHKTCFKCSHCNGLLVMSSYCSMEGVLYCKPHFEQLFKETGSYTKKFQSGKSDKPNGLARAPSRLASFFSGTQDRCGVCNKTAYPLEKVTVEGENYHKSCFRCCHGGCFLNPSSYAALDGILYCKHHFAQLFKEKGSYSHLTKTASLKKNSAGASSEQNSEAESESESKPGDEPKPEAEENPAEAAAQDQ >EOY23771 pep chromosome:Theobroma_cacao_20110822:3:28540229:28545239:-1 gene:TCM_015564 transcript:EOY23771 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMPIT-like protein MEAVDESAKKLEEEVGRVVEQARELQESGASLISRISNEEQSLRQKANSLESSIRRLRSLINSLLSQKLLDPKLADKLEEDLLRARCIITDGDAAAFLPAKAQGRFLRMFLGPINVRASRKDVQLKVKEEYNSYRDRTAFLFLLFPLTLLILRSWIWEGCLPAFPVQLYQAWLLFLYTGLALRENILRANGSDIRPWWIYHHYCAMVMALVSLTWEIKGQPNCAQKQRGVELFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKANRMDVVWGETAGVDGQLWVLCPILFLMQGFEAYVGLLLLNTAFVGVVSEWQVIFCGILLVLMAVGNFMNTVQTLMAKSRFKAKMKRTKSKQELDYVVRNS >EOY23832 pep chromosome:Theobroma_cacao_20110822:3:28726219:28727651:-1 gene:TCM_015604 transcript:EOY23832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIREKGKEVKNQRPRFLTPLGHAHLKLAHYKDIFQALFMNLFYLKFIPVQDPESVAVSRSLFSCGYSTYVGFKVELATSFEPDSGAGLSSLGYHETIIIGPFATHFNRGSGCLTPPIVKLSVKRQLVILYAKADVTCHFIMWFKFNSLMHRTSVGNFLECDPFKSKRLWIEAYPFINFIPSADMYMMLKENWCNNIVPLWTRSELNKLFVALERRGPFLPDVEGYPASIIF >EOY23334 pep chromosome:Theobroma_cacao_20110822:3:27042555:27046282:-1 gene:TCM_015262 transcript:EOY23334 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein with a domain of unknown function (DUF21) [Source:Projected from Arabidopsis thaliana (AT5G52790) TAIR;Acc:AT5G52790] MAANDVPCCESMFWVYLVICVALVSFAGLMSGLTLGLMSLSLVDLEVVIKAGQPQDRRNAEKILPIVKNQHLLLCTLLIGNAMAMEALPIFLDSLLSEWCAILISVTLILAFGEIIPQAVCSRYGLSVGAKLSVLVRFIVIILFPVAYPISKLLDLLLGKRHSALLRRAELKTLVDMHGNEAGKGGELTHDETTIISGALDMTQKTAKDAMTPLSNIFSLDINSKLDEKTMGIIISKGHSRVPIYSGSPANIIGLILVKNLIKCRPEDETPIRDLTIRSIPRVHDCFPLYDILNQFQEGHSHMAVVVKCKSDYINEVAESAKAKSSIPERKIHSKSKKRKTEAKGIEDYFHRSGETEFFVNLHTRNGIGQHQYCRNEQLSIPSSSPSANSNIIDIQSPTLSMELGSKLRPQMKKREQATLSNRDLESFPINLDEEVLGIITLEDVMEELLQEEIFDETDDYVDVHTKITINMQPSRRSPLRSPRAATASHLHWRSPTASPISSCHGSPLSSKPHSPAPVAPYVQSPLSKPTLCASPRKPIPNSPSKVSGAAQYSPSPHQVSRKSYERLRPGS >EOY21642 pep chromosome:Theobroma_cacao_20110822:3:15145117:15149942:-1 gene:TCM_013626 transcript:EOY21642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLQFLDDASPTVVLDDINYLQSILSLKWVERRVPFFGVSRVHHHEVVQRDVNSNHTSEDTLRASSIEVHI >EOY25002 pep chromosome:Theobroma_cacao_20110822:3:32930056:32931825:-1 gene:TCM_016452 transcript:EOY25002 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor, putative MQDNNLPFGFNNSADIGSSLSQIILSGGSNTLDSIFSHCAQSTTTSTAMNTNSVYEPLGSSVYLRQRDLLSKFCQESKGNALVSRNSLTKLQSYRNPASSSNYTSPQKKKKLYRGVRQRHWGKWVAEIRLPQNRTRVWLGTYETAEAAAYAYDRAAYKLRGEYARLNFPNLKDPSKLGFRDSARLNALKNMVDAKIQAICQKVKRERANAKKKSNSDRPAASSEAEKEVNVTESCSSSSSLSRAVFSDNLSNELLSPTVSKDGFWRCENSPSSVSNDYPMMMAEEPGFEDCSLARMPSFDAELIWEILAN >EOY20801 pep chromosome:Theobroma_cacao_20110822:3:1319483:1325111:-1 gene:TCM_012150 transcript:EOY20801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase MDCIRMSHFVLFFTVLSAVLAVTFGDSSTDSYWLHRIKSKLVDPAGVLESWSPTAHVCSWNGLRCSDDEAYVIGLNLSASRLSGSLPPEFWNLSSLQTLDLSLNFLTGSIPPEIGQLQNLRTLLLYSNSLSGKIPPEIGLLKKLQVLRIGDNMLAGQIPPNIGNLTELRVLGLAYCQLNGSIPAEIGNLKNLISLDLQENSLSGLIPTEIHGCDELQNFAASNNKLDGEIPASIGKLQSLQILNLANNSISGLIPTELSHLSNLQYLNLLGNRLNGEIPSELNHLVQLQKLDLSSNNLSGSINLFNIQLQNLEVLVLADNAFTGSIPSNFCLKNSNLQQLFLAQNKLSGGFPLELLNCSLLQQLDLSNNNFEGELPPSFDKLQNLTDLLLNNNSFSGSLPPGIGNMSNLENLYLFDNMITGSIPVEIGKLQRLSTIYLYDNQMSGSIPMQLTNCTSLAAIDFFGNHFSGSIPETIGKLKNLVLLQLRQNDLSGPIPPSLGYCKRLQQLALADNKLSGVLPPTFRFLSELSTVTLYNNSFEGPLPESLSYLKNLKIINFSHNKFSGTIFPLTGSNSLTALDLTNNSFSGSIPSTLAISKTLTRLRLATNLLTGNIPSELGQLTKLAFLDLSFNHLTGEVPSQLSSCQKLQHLLLNNNQFTGKMPNWLGSLQELGELHLSSNNFHGPVPAELGNCSRLLKLSLDTNNLSGEIPQEIGELTSLNVLNLQRNNLSGSIPPTIQQCKKLYELRLSENFFTGSIPSELGRLTELQVILDLSRNLLCGEIPSSLGNLLKLERLNLSFNRLQGEVPSSLGKLTSLDMLNLSNNHLQGELPSTFSGFPLSSFSGNDKLCGPPLSSCMDSAGHEKNKLSNTAVICIIVAIVFTSTVICLVLIYIMLRIWCNWRKVSISNSEGAGIEQKREEEKWVYGDEKKRKGEYWRVNSMALVPSQKEHISSSCIFHVKMDTQNHGK >EOY21453 pep chromosome:Theobroma_cacao_20110822:3:8400585:8403311:1 gene:TCM_013016 transcript:EOY21453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTPPSIQYAALMQSVKETQLMLVLFPIPSSNFQKKLKNLINIMTSSHRVLFNIMTSFSRCCFIWSPSPSLRCHICQFLLFPCHLSLLFNLSRFVLFLCKLSLSLTLIR >EOY21970 pep chromosome:Theobroma_cacao_20110822:3:20189055:20193699:1 gene:TCM_014139 transcript:EOY21970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVEAIIDIPATPKINEIESVSTRRHSTGKVIMPSGGVTAISRYLRTSQGSCHDICKYGTRCNLETESKSRRSSMPKIITAKRGAGQTMERTESKLGERRKKSEVSLKLSPDFNIQEPEYPVVIKSAQRQGGHHVENTETNLTDRRKSEINLKPSDDTNQKRGYSVVSLKPSPDDLVDIKTRAEEGEVPDEENAETKLSKGDKKPDVSQKPSPDSKSQKPVDPDRIEREVSSWSNKEIVSQKQVPLLLRETDFAVAHARDSKLKPQSKPYSPLKQACSSGKQNLEGSKSKETNVMSMTSLGVSGGRNKGEMTISEGMRNFMIGEKKNVVPSSVSLSPKKSSTSVSSMNARKKKSRGVCRSKKQENSKKIKPEKSCGKDGIGSKNLTGVSHLTDQENVQKSYSKQTSISDIPEKTLYIIESNPENKPAKSLQSSFHVSDLSTMPSSFSKDKSVKHTRNGIPTGQSPQSYEKKNMIYRPKGIHACGLPPSLSLLPGKKGLTSIPYGLNVTQPSLTSSLSLASSKSIHSDDSSEHDEVAAKKQKSSSKMMCKGRPKRALVTTLNDRHLQGEKLNFQRGKVIEVPLEDCTSRRLAFKRRMLADNRNDDNQIGEVEDCTPRRLKFRQRVLIDNRNGDNQNGRCEEFTPRRLKFRRRALVDNGNSDIQNGRGEDYTPRKLKFRPRVLGDNKNGNILNSECYTSKNKSVGKEADIGQEVQSDKISLRHNDVKEKKNSGILYNNMIEETASRLVRTKSSKVKALVSAFESVISLLDTSFSETNNICGVTEGL >EOY24152 pep chromosome:Theobroma_cacao_20110822:3:29864628:29865069:-1 gene:TCM_015828 transcript:EOY24152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWMSSEKTITTTTAITTMIPMKPLPLIHRRHRPPLHHISSSAPSSSGSDDDETSNASGSESSGGEVANVNTNSYDYDNNNSEKGIYGL >EOY22710 pep chromosome:Theobroma_cacao_20110822:3:24536669:24538107:1 gene:TCM_014800 transcript:EOY22710 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group protein TDP-1, putative MAADFHSQEIPQKTVTPPSTPMPSMPLQLSGFLSGDTIQVEGDTGNLHFSVKFNVHNKCLSKNDSNFHFPSLNSLENDVSCQKSSFSSYVTPKSTQEIENRIVDSEPSMVENHGIETERTAKHDTTKRMAIMTRLRSGVISQVKYFPRISRKECRDLRSCMSMTMKRKRKKRETEDIVLEKLLQRRSCPVRPCSSYIFFVMASWGSVKCSSFGETSKRLSQMWCKLPHKDKKIYEDIALKDSARYRRQCMLLNCQVPDPSPRQNSSIDAHSAN >EOY22421 pep chromosome:Theobroma_cacao_20110822:3:23384276:23386897:-1 gene:TCM_014593 transcript:EOY22421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAAPPAQAQETATAQTNIPLLEPSTQLEEDQDDTQLSRSLHRLETSLRLFGFCQYSVFSFSISWFLFLLLGITLPLLIILLSYCSGCYKYEIKSFELEILVSQFLVSAISLFCISRNLRKYGIRKFLFVDRYHGHVEQFREEYAKKIDDFFRLLAAWILPCFIVKAAREVTRVVYAYHDSWWRSVVMLTVLLVSWSYSTVIYLSGSGLFNLVCNLQVIHFENYGKLLERDSDLSVYMEEHIRLTHYLSKISHRFRIFLLLEFLVVTASQFVALLETTENRGIINFINGGDFAVISIVELVGIIICLHAAAKISHRAQGLASVASRWHALVTCNSNDTSQSVSISNDDGGLEAAHLPVPMPINYSETDLEAVDYVPVPTNTQMASYMSLYHKRQAFVTYLQFNPGGATVYGWKIDRALISTIFFIELSLVLFVLGKTVTFTTN >EOY22422 pep chromosome:Theobroma_cacao_20110822:3:23385135:23386916:-1 gene:TCM_014593 transcript:EOY22422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAAPPAQAQETATAQTNIPLLEPSTQLEEDQDDTQLSRSLHRLETSLRLFGFCQYSVFSFSISWFLFLLLGITLPLLIILLSYCSGCYKYEIKSFELEILVSQFLVSAISLFCISRNLRKYGIRKFLFVDRYHGHVEQFREEYAKKIDDFFRLLAAWILPCFIVKAAREVTRVVYAYHDSWWRSVVMLTVLLVSWSYSTVIYLSGSGLFNLVCNLQVIHFENYGKLLERDSDLSVYMEEHIRLTHYLSKISHRFRIFLLLEFLVVTASQFVALLETTENRGIINFINGGDFAVISIVELVGIIICLHAAAKISHRAQGLASVASRWHALVTCNSNDTSQSVSISNDDGGLEAAHLPVPMPINYSETDLEAVDYVPVPTNTQMASYMSLYHKRQAFVFIEEKKEKSKGWITIPVPTE >EOY21655 pep chromosome:Theobroma_cacao_20110822:3:15524095:15524998:1 gene:TCM_013668 transcript:EOY21655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYGMKCAIIEEPFSHKKDGIVLFIRSREFQDNCVCLVTNKAHGAKQDIEVSILFLNFSLLTCIIMKDHSSYSTL >EOY20854 pep chromosome:Theobroma_cacao_20110822:3:1514486:1518770:1 gene:TCM_012193 transcript:EOY20854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein MAGAASALFLLDIKGRVLIWRDYRGDVPAAEAERFFTKLIEKQGGDPQSQDPVVYDNGVTYLFIQHNNVYLLTASRQNCNAASLLLFLHRIVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGFPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVEKHSRSRIEIMVKARSQFKERSTATNVEIELPVPADATNLNTRTSMGSARYAPENDALVWKIKSFPGNKEYMLRAEFRLPSITAEEAAPERKAPVRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLM >EOY22876 pep chromosome:Theobroma_cacao_20110822:3:25154133:25155020:-1 gene:TCM_014919 transcript:EOY22876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein MERQRSDNGRRNRQPKAKDSSQCRIQRASSLPPIARAPPPPANELKLAAIAINLNVRLRSADMALTMQERAIRRARALVDANQDKNKRPNPTQLAMCLKKEFDALYGPAWHCVVGKSFGSFVTHASGGFLYFSVDKLCFLLFKTEVQPVVKPPTLPRLKINNA >EOY23984 pep chromosome:Theobroma_cacao_20110822:3:29207009:29210540:1 gene:TCM_015704 transcript:EOY23984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKHKEGWKVGRVKRILILGFLTRGDLRSWILFNPKGQKTGKGCSTVSYIQIAMVAAIAFDKLPNRAGQMLKRLWRQAASRPPSQVELVLRILTREARLTHSLYSQDHLPQFSNVDNIIKKTSSTCYDC >EOY21952 pep chromosome:Theobroma_cacao_20110822:3:20016925:20020372:1 gene:TCM_014120 transcript:EOY21952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFPGNSVPGNSRSSSVFSGLQFFAWKGNMGEIDTKPIEPVQVALSLFGENDQSKRRSSSSASGVEKEKDIEDLEKELANYRLQLEAKDFAYMQTLLQLEHYKKTTEEFSVLLKNSELERDRYIEECNEVKNQIDELESKMKGMVDQLSETAKVREPLSHVLNELKVTQAALLNMETELAAAKDSELKAMTQAELMETSANMEKEKSEELLDHILELHDSVLISKLAATEAEEEKCRIASEKDAEIESLKATAFQAQEQVEDLRKQLETIEELENQLLSKSAYIDSLQAELKQVTNILGSMENATSDGGIDLNQIKQDLEFKERKISDQAFYIEALETELNRLKLELKNANEEVRSLNCNVEALKSDLEKLEIEMDEVGEKDNDSQVELAMLKAELHKGRSKIAAAEAAEARDLSVKSGLHLAVQQLAVEAEEAKKEYHKLKQEVEAEGCDNSTLNHEAEKSPQGALVSHIDDQRDKSVNHITISIEEYNILIQKADKTDQLSNSKSLAEDSKQLSTESENKNEVEFLKKELEVAMVKIGLFRNRAEQAATRAEAAEKAKATLEDQLRMWQEQKQRRKAALAALREESAPKQFSPPTIEKLPTKNQPLGKVLNIKF >EOY21790 pep chromosome:Theobroma_cacao_20110822:3:18499752:18501139:1 gene:TCM_013922 transcript:EOY21790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPPRRGRPPLYRSVGRGRGRARLSQLDPVERESAAPTFRAAPAVEPTEIPPPPPPPTATPGVHAMSLEAVQALAAFLNVIMGQAQAGRVPHTVPPAVSPVPPPPPLVPPPVPDVSISKKLKEARQLGCTSFVGDLDATAAKDWITQVTETFVDMKLDDDMKLMVATRLLEKRARTWWSSVKSRSITSLTWIDFLQQFDGQYYTYFHQKEKKREFLSLQQGNLTIEEYEARFNELMSYVPDLVKSEQDQASYFEEGLRNEIRERMTVTXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFWRFK >EOY24217 pep chromosome:Theobroma_cacao_20110822:3:30116573:30118310:-1 gene:TCM_015883 transcript:EOY24217 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MAKQTDSFVSKLSIEGIMTVTPIRITDPRQTRQVLAGEVVDPGVFQRCLNVVQYYRKENEEDSGWLVAGWIKETLGRALLEQPMICGRLRKGEQNDGDLEIVSNDCGIRLIEARIQMNLSQFLDLKRREEAEAQLVFWKDIDEESPQFSPLFYVQVTNFQCGGYSIGISCSILLADLMLGTEFLKTWADIHNNIVNKNNERKLPLFYLPGLKNTTTSSPNIISSSSSKNSGKTMSFKINAESGSLESDWCRKAALACLEEAEHNLGSEMGAEFSLFVNESFEAIKVESCSKHGTPKPQLNLNKDFTYAKWDDFGANEVTFRQGNKPAHVSYWFTSILGGVVVVIPSLQEDANRVNIFVTIPNEKF >EOY24599 pep chromosome:Theobroma_cacao_20110822:3:31577112:31578300:1 gene:TCM_016160 transcript:EOY24599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVETMGPSEKQLRETGLRGTGKGRAEICVVIGCGTLRYEGGPTTSSRTTPPTNRTEIGPSPDPYLHV >EOY24620 pep chromosome:Theobroma_cacao_20110822:3:31661596:31665427:-1 gene:TCM_016173 transcript:EOY24620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMINIPTDHIKLRKTLLIEKKPLMLKDYLRDDLSSCSSSGFKSFPRRQCCTTVRFLLEADLKKTKDCSSIAKRHLKRSCSKPASTNISTLQRASEAVLNAVKLLPFASFKSSSPSLQSNSSRKGLLPRSFSRKLFKRRFWRKAEKEDGEIRRWRLFREFLEEKNQPADQNNIANVNTTDTFSSIITTSRVSTSTSSNRNSWPESEFTADILQSSSRNSESLSENDVVDGKTNLPEIKRVSNVTGVTVGEDSINYTKEQDWRNEDGKEQFSPVSVLDCPFDDEEDNGSPFKDQLARVEGTKQKLMQRIIGFENLAQLAPVDLEKRIAFSELEDESLESPKEPCSVSVDNNGNISDEVKQEKDKEGNGKLLMLLKAKIPSDSSKFKADNLLLDFFRERMVEDNNAEKHAKMGLEEFVLDLLKVAEDWVNGNPQELLLGWEVQDGRKAYVKEMERNENWRNFYVEKEKVGSEVELEIFSLLVDELLTDLF >EOY24382 pep chromosome:Theobroma_cacao_20110822:3:30728972:30731349:1 gene:TCM_015998 transcript:EOY24382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGLASPVGFWGSRFLFCLQLCVVLVCGLEFYSLGLFVVLLPVFLSAGLSLSNCTGSSPPKLEFYVTKVFDHQRPRLWFGILALLLIFNS >EOY24062 pep chromosome:Theobroma_cacao_20110822:3:29508623:29513946:1 gene:TCM_015765 transcript:EOY24062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter 1.5 MACLEVCKEGKVKEDQGACTQDGTIDWHGRPAIRAKSGQWTAGIIILLNQGLATLAFFGVGVNLVLFLTRVLGQNNADAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQVIFVIGLVSLSLSSYLFLVRPRGCGNQETPCGSHSGLEITLFYLSIYLVALGNGGYQPNIATFGADQFDEEDPEEGHSKVAFFSYFYLALNLGSLFSNTILGYFEDEGMWALGFWVSAGSALAALGLFLAGTTRYRHFKPSGNPLPRFCQVIVAATKKCSIDLPPDADDLYDVDGNDSSMNGNRKILHTNEFKFLDRAAYISTRDVDDQKKGIYSPWRLCPVTQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMTTTISNFRIPPASMSSFDILSVALFIFLYRRVLDPLVGRIRKKDSRGLTELQRMGIGLVIAILAMVSAGIVECYRLKYASRDCTHCEGSSSLSIFWQVPQYAFIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLLVTMVMKISTEDHMPGWIPGNLNKGHLDRFYFLLAGLTTIDLVVYIACATWYKCIKLEGKTAENDKQGSFKV >EOY22482 pep chromosome:Theobroma_cacao_20110822:3:23625467:23630070:-1 gene:TCM_014640 transcript:EOY22482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Rio1 MGQPAHLRKQNFLPPFLGFKRTAKAEAVTGQRSFFFFSSRSLSKCKSYIGHEKRKKKKSGMSKVEEKPEDAPNEAAEYEEEEEDLSWSSDSEIGEALDYLDSKDDDESVDGAFSLNSRRPNAHGGLHSRPNSSALQPLSNRNQKFSNHIRASPLEEWEGRLNVGMSNSVTTAIRESVREMAIGKIKNTEKADRATVEQAIDPRTRMVLFKMLNRGVFHDINGCISTGKEANVYHATKSDGQELAIKVYKTSVLVFKDRDRYVQGDYRFRYGYCKHNPRKMVKTWAEKEMRNLMRLKAAGIRCPTPFLLRLHVLVMEFIGKAGWAAPRLKDASLSLDKLRECYVEMILAMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQAVDLDHPHALDFLREDCVHVSDFFKKHGVAVMTIRELFDFIVDPTITDDSVDSYLEEVQQKILARGDMSVEDEIADSVFVQSYIPKALDHVKNAEEDVIRITSGKDTADLYYKTITGLKEALPKVHSSPAEQQQQDANTRPLERSSIDPAGGSNYQESESEAESASDSETGGDEENLSGSEEEGPSPDNVAQAPVDKRAARKENKKKVKEEKREARKTKVPKAVKKRKKKLAKAHKTR >EOY21266 pep chromosome:Theobroma_cacao_20110822:3:5388005:5396596:-1 gene:TCM_012710 transcript:EOY21266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 8 MGGSGTLVDGVRRWFQRRHLITSSNNNTNNNPNNQDNVVKLNQHDRSASSLTQKQQQHQEGGGDLVVHDFDFSSLKLIKVPKRNYFLISSMESQKKGALETEFFTEYGEASRYQIQEVIGKGSYGVVGSAIDTHTGEKVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDTLGTPPAESISRIRNEKARRYLSSMRKKLPVPFSQKFPNVDPLALRLLEHLLAFDPKDRPTAEEALADPYFHGLANVDREPSTQPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYLRGGDQTSFMYPRPCSIYISGLESPSVPVQLVQLAGPGRGVDRFKRQFAHLEEHYGKGERSTPLQRQHASLPRERVPAPKDENVVQNNDFERRTAASVATSLNSPPSSLDGSENTNAEGQNGPSKPNYSTRSLLKSASISASKCVVVKEKKDSEEEPIAEVNDEIVDALSKKVAALNA >EOY24397 pep chromosome:Theobroma_cacao_20110822:3:30803284:30806160:-1 gene:TCM_016007 transcript:EOY24397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGRPLPLVFPRLELVAGLQMCCGPEVEGCCWLIVWLFFVLKLSLLELVELRDICIWELGLVHSTPRLLELIPFISLKDNHMVHTCLRQTSDPVKCTQNEASGLGHLMFHLLNLEMAQNLRGSENVKTSQGLMIVTQHWTRSELRRLMLSSSSYPSLAA >EOY21171 pep chromosome:Theobroma_cacao_20110822:3:3809416:3828729:1 gene:TCM_012553 transcript:EOY21171 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein MEREDQKFSLTSSLIRISWKNDDSTLSNRQRSSNENNRRKKIFEESKKQLWLAGPLVAVTLLQYSIQMISLMFVGHLGELALSGASMATSFAAVTGFSLLLGMATALDTLCGQSYGAKQYPMLGIHMQRAMFILVIVSIPLAIIWVNTRPILVLLGQDHDISKKAGEYACLMVPSLFAYGLLQCLVKFLQTQNIFFPMMICSGITTLLHILVCWIMVFKSGLGFGGAALANSISNWINVFLLVFYVKFSPSCAKTWAGFSKEAFHNIFTFLRLAIPSAIMVCLELWSFEMVVLLSGLLPNPELQTSVLSICLNTAYTVWMIPVGLSSAVSTRVSNELGAGNPEAARFAVCFVIVMTIFEGLLVGSILILIRNIWGYAYSNEVEVVKYVAAMMPILATSCFLDGLQSVLSGIARGCGWQKIGAYINLGSYYLVGVPCAILLAFVLDVGGKGLWLGIICALAVQVTSLSIITIQTNWQEEARKAMERVYEYVIPVEVVS >EOY24553 pep chromosome:Theobroma_cacao_20110822:3:31412234:31414787:1 gene:TCM_047022 transcript:EOY24553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase MAHLPQSLLPMSALTLPKRLVVSYSLTFLLDRCTRSKRPFCSRMSATSSTPLTHSISLQSQLGQPVQIVAAPGLSDSGFRSAIESSLFKQWLKNLESESGILANGDMTLTQVLIQGVDMFGKRIGFLKFKADIIDKGTGKKVPGIVFARGPAVAVLILLESDGETYAVLTKQARVPTGRLVLELPAGMLDDDKGDFVGTAVREVEEEIGIQLNLEDMVDLTAFLEPSTGLKVFPSPGGCDEEIGLFLYRGRVDKNIITQLQGKETGLLEHGELIKVCVIPYEKLWRMTPDAKTLMAIAIYEMAKKEGLLPHKS >EOY24465 pep chromosome:Theobroma_cacao_20110822:3:31073102:31077631:1 gene:TCM_016059 transcript:EOY24465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLLTNDVVSDFLDENGRWKPDKLNDLPQGLRDQLSLVHLLSNKWSPSPNGYQWPFGANFTIIAIIRGFIKFEVGLYSALSRQLCFIAWQPPLESFVEMNVDAAVISNLRELSMGDLCQDNGGYWIFGFMGRLGMGTILKAELHVVYKGLTLVWDHGCQ >EOY24746 pep chromosome:Theobroma_cacao_20110822:3:32088588:32093013:-1 gene:TCM_016258 transcript:EOY24746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNEIPIAAANDSTTRNEGDFVFSLALNSLGQETTLVISVSLGFELCIEAELTEHVSDDNSVEDSNSTLDNDIDELLVDVDSRIRPASKTAIEGLEKVKIREGLGDERFCSVCLEEMSTEMEARRLPCSHIYHAACIIEWLKNNNKCPLCRYNMPVVDFDLNISEDGSVDGSFNDAAMQMRPASKSAIEGLEEVRIDEKIGTIGHCAKKEYFEEFNCPKCISK >EOY20799 pep chromosome:Theobroma_cacao_20110822:3:1307507:1308128:1 gene:TCM_012147 transcript:EOY20799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-1-phosphate uridylyltransferase, putative MLASKTIGITIFNWPFTMIMTVEEKATIIDAIDMKREESPMINLLGKDLRKSCVVYRDVRMPTNINTEVVEAKREIESDIMNILKSFFVKSKGTPVS >EOY21039 pep chromosome:Theobroma_cacao_20110822:3:2459425:2461165:1 gene:TCM_012357 transcript:EOY21039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSTFRTLFWCCAFAFDFKVLDECFLCSEGVFAADFVAIIVAGCSWSLTFMFGCVFQALLVLSIPPVLSLFKSNFSIVMRKCLSYVGLFKAYIIAVAWVVPSFHAPVLGVCTLFFYSWLWCRQFLILVFELGGHLFVVAIRVVHKS >EOY23609 pep chromosome:Theobroma_cacao_20110822:3:28012238:28013288:1 gene:TCM_015449 transcript:EOY23609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLPFALCVMLNLRPLLTFSSLAQLLGTFGCTIVAFGGLVGFTRVMPLASLFLGKTINHLMVLLKYDTCSSSLPYGQYGFVETKPFSKVNNLM >EOY22919 pep chromosome:Theobroma_cacao_20110822:3:25307196:25308223:-1 gene:TCM_014946 transcript:EOY22919 gene_biotype:protein_coding transcript_biotype:protein_coding description:DC1.2-like, putative MEGTFCSHSFKFLLILLAINSYINFSSAGRQIAPQSSAEFIRTSCSSTTYPKLCFETLSTHASLIQTSPQLLAHAALNVTLSTTESTSAMMVTLSKSHGLKPREAEAMQDCVEELSDSIDELRKSISEMGQVKGSNFGLMINDVQTWVSAALTDESTCSDGFQGNNMNGNVKTAVRTKILRIAHLTSNALALINNYASLHG >EOY23943 pep chromosome:Theobroma_cacao_20110822:3:29075040:29076122:-1 gene:TCM_015680 transcript:EOY23943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATSKHLVAALLVGFLLLSHAVARLHVHETGPCKFDSNGDCLTQEKERNAEGCHFAGTCSTAAECRQPCAALGRNPDAVKYLNVSNGTVFGLYAQFLNAMGLGLETRTRISFGRKPLVDWAKPKEGGKKEIGKGLRDSSDTITYDIISIGTDTVIIPPVNIVGDVAK >EOY20600 pep chromosome:Theobroma_cacao_20110822:3:296813:299396:-1 gene:TCM_011978 transcript:EOY20600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYRMINHLLDTYMRVVWSRITCVTRMEVLVGLHCDTFDVNTPLMDDLEYISSLGATIFSGMQSGDVYAMWLMQVKRFYLINFSIQTDEGSFFQDAYIFTNT >EOY23688 pep chromosome:Theobroma_cacao_20110822:3:28236593:28239894:-1 gene:TCM_015500 transcript:EOY23688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNCWALRRPSEGSCLGAVNAKPEKVLQVVKIDGKILEFRAPILVKDVLVKFSGSGIGLSKTVTQHLPLDFELKMGKVYYILPSEDPVGAPTPERISSVGGTQQTGGVRRIKVVIRKQELQQLLTKQISVEEVLAGLEKSNGNFVGSPRNWKPKLESISEEKE >EOY21618 pep chromosome:Theobroma_cacao_20110822:3:14575279:14603853:1 gene:TCM_013579 transcript:EOY21618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYVSKQLVEAQITHCTKVIDGGSSHPYAEVTGEVNAVNSVTSEVFIENHPKYPLKASLVANFKRDDDELLEYVNMLDASSWILRA >EOY24987 pep chromosome:Theobroma_cacao_20110822:3:32869959:32871504:1 gene:TCM_016435 transcript:EOY24987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKIERYPNPRRINIKTRSPYTPRTIYLRNTNSEVQPICITISLNLIRKMRNRVKCSIIITTDRRQQNHQITVNNLPTKHKIPDSADFNHDRFN >EOY23620 pep chromosome:Theobroma_cacao_20110822:3:28049297:28052228:1 gene:TCM_015457 transcript:EOY23620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MMSQMWESITIPPSSPPLNQNPPKPRYSHMPNTLSLLDKPISSTAYASILEACNDPSLGKQVHAHTLKTGFFAHEFVDTKLLQMYAKFGSLEDADLLFDKMALRNLYSWTAMLRLYVDYGLFEEAFRLFEKLQLEELLLDFFVFPVVLKICSGLGNVEVGRQLHGILIKYQFVLNVYVGNALIDMYGKCGSLDDAKKVLETIPEKDRVSWNAVVTACATNGKVYEALGFFERMSSFENLRPNLVSWSAVIGGFSQNCYDEEAIEMLFRMVGEGIEPNAQTLASVLPACARLQNLSLGKEFHGYITRHRFMSNAIVVNGLIDLYRRCGDMKSAFHLFSKFSVKNVVSCNTVIVGSCENGNVCKAKELFDRMEIMAIKKDIISWNSMISGYVNNSLFDEALDLFKHVLMEDGIEPDSFTLGSVLTACADTGSLRLGKGIHSQAIVRGLQSNTFVGGALVEMYCKCQDLRAAQIAFNEVTERDTATWNALISGYARCNQIEDIQHLLRKMNEDGFKPNVYTWNGIIAGHVENDLHDKAMQLIFEMQTSNVRPDIYTIGIILPACSRSATIARGKQVHAYSIRCGYDADVYIGAALVDMYAKCGSIHHAPLAYNRISDPNLVSHNAMLTAYAMHGHGEDGIALIRGMLANGFRPDQVTFLSALSSCVHVGSVEMGQELFDLMQHYDVKPTIKHYTCMIDLLSRAGQLNEAYELIQRVPMEADSVMWGALFGGCVIHNNLELGEIAAKRLIALEPNNTGNYVPLANLYAYAGKWSDLASTRKKIKDIGMFKTPGCSWIEDRGDSHVFLAHDKSHKRTEDIYATLDKLTLHMKTVTL >EOY22066 pep chromosome:Theobroma_cacao_20110822:3:21185590:21187500:-1 gene:TCM_014258 transcript:EOY22066 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing-like protein MWLFPPRNPYIKPTPPPNYEVLSDRKERSWYDSHRSQREYNVAVRGLAKFVKKRDKRVIDMSMKRKEEMERRKEEERERKRKMEKERLERVRAYAEPEWAKVEEQERDDWDEKEEKAVEKEELYCVACGEKFKSEKQWENHEQSKKHREKQVRSKKNGSKGTKSDVTKTKNGSEGTKSDVTKTKNEE >EOY22368 pep chromosome:Theobroma_cacao_20110822:3:23179473:23180387:-1 gene:TCM_014559 transcript:EOY22368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATLDKLPNLHMLSRASQPDRKHEKQGDDAEKIFSCILGSVRSKLSDLSEEDAARNASLCKN >EOY21540 pep chromosome:Theobroma_cacao_20110822:3:11340036:11342060:-1 gene:TCM_013291 transcript:EOY21540 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0497 membrane protein, putative MASKAMPIATVLLRIFTILFAAGCVVVLILDKTTDVDGSKVTFKNVIAYRYVLATAVVGAAYSILQLPFAIYYACTEKRLIRGGFLPAFDFYGDKVMAFLLATGVGAGFLVTVELKEFLGDLFKEFGADLKDSPFESFFNKGYLAVSLLAGAFLCMAVLSVFSSLQRTTISGRGFFR >EOY24828 pep chromosome:Theobroma_cacao_20110822:3:32347985:32351087:1 gene:TCM_016316 transcript:EOY24828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHHFLNYLAIYVYIFIYFEPMITIDKGVNLCKSNRSFSHYVPSSFNLSANLVYIIFLLKVLQQEILCELCSSHCACAICHVGLNNLLILLFIKLFLFPPFCPIIVDKLLPKHCTTGESALIYKRDKFTIIHIYFYFDILNKITRRKIFIYKLKIYSLIKENK >EOY25405 pep chromosome:Theobroma_cacao_20110822:3:34187325:34188956:1 gene:TCM_046751 transcript:EOY25405 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein MLIASSALPTDQMEVNFTKKSIVKAFNLLPERHHKLITLSNLDLLSGRFPVTYLYFYHRPSINNLSSTVESLKSSLAETLSYYYPFAGRITEDPDTSEPIIICDNTGALLVEAQANIPLRKLDLYNINDSLQGKLVSTDPNFPLQVQVTHYTCGGVSMTFTFDHALGDASAFGNFLSSWSQVARKMPLSCIPDHGRNLRPRLPPTYRPCLDQTFVKCTLEEIRNIPKTNILLKRLYYVDASSINKLQSLACADGNKRTKIEAFSAYIWKVMVTTIDKSHAKCKMGWLVDGRGRLNGSMSNYIGNVLSVAIEEASIVEIKQGSISEIANNVHEAISKVANEEHFLDLIDWIECHKPGLMLPKVVLGRGGPALVLSSGRRFPVAELDFGFGSPVLGTVSSIIEKSGVGYMNQRPSARSDGSWIVSAIIWPELAAALESDSVFQPLCASHLQI >EOY21239 pep chromosome:Theobroma_cacao_20110822:3:4606165:4611645:-1 gene:TCM_012646 transcript:EOY21239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTFISSFNNRYILVAVDYVSKWVEALALPTNDVKVVLKFLKKNIFIRFGTPTVIVNDECSHFCNKHFTALLTKFGVSHKIAIAYHPQTNG >EOY23573 pep chromosome:Theobroma_cacao_20110822:3:27913455:27914767:1 gene:TCM_015425 transcript:EOY23573 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein, putative MISNPNLIFYACIAKGPTILAEFSSKEEGIKSLAQKCIEKTPPFHSMFSHTVSKRTYTFLIDGPFAYFIIFHEDLEKSESFWFLNRLKCAFEDFLETGLIVGTDNMTPTCLQSYFDPIFSEIMALDMELVTSPSKESQDPSLDSNKGKGTAVAPLLGSPSKGLKKKKRLSGLEVNGGEAKDAGGGIGMENKVDVADDFRDFPVSMQKSVGCYYMGGGDRQKAKQLWRKHVWVVLILDLVVCVTLFGIWLMVCRGFQCIDG >EOY24831 pep chromosome:Theobroma_cacao_20110822:3:32357123:32357908:-1 gene:TCM_016320 transcript:EOY24831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQKIQGKERKEKELPKLGSDLFLLSLFGISIIFFPSYQQAGGIPITQKHKTKQRKFQKTKPLNAYCWLPLQINPIFVFVLHLALRFLWLEIAAKSLFWNSSSPFLSDQQFSITKNIGQER >EOY20683 pep chromosome:Theobroma_cacao_20110822:3:578271:580029:1 gene:TCM_012041 transcript:EOY20683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein MVATGLIRTIVGIIGNVISFFLFLSPTPTFVKIWKAKSVQEFKPDPYIATVLNCMMWVFYGLPFVHPDSLLVITINGIGLVIELTYVAIFFIYSPWSKRRKIVLALVIEVIFMAVVIFITLFFFHTTKIRSGIVGILAIIFNVIMYSSPLTVMKLVIRTKSVKYMPFYLSVFNFLNGIVWVVYASLKLDPYILVPNGMGSLLGLLQLILYAAYYRTTNWDDEPPTEVQLPDV >EOY24873 pep chromosome:Theobroma_cacao_20110822:3:32537084:32538312:1 gene:TCM_016356 transcript:EOY24873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycoprotein family, putative MADREQVKPLAPAAFQTRSDDEEALSKQLKLKRRRYIQCCGCVAALLLIQAVVILVLFFTVFRIQDPMIRMNSVTIQRLEFFQNGSLRTDVNVTLLADVSVKNPNVAAFKFNNSTTLIYYGGRVVGEGHHLQGKAKARRTLRRNVTVDIIPEKILAVPSLMSDFASQALNISSYTRISGRVRILNFIKKKVVVKFNCTMTYRLSGQEFHGESCRPELDY >EOY21162 pep chromosome:Theobroma_cacao_20110822:3:3678500:3693498:-1 gene:TCM_012538 transcript:EOY21162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MLTQLNIIIIFHCYVFREFTFRLALLCCWHNSLDMATNTSLSRSSHQKSLQDESNLVAGQQQPPEITPASNSTAVEGAEFQTVEVEPRASGIEIPSRNLLLTLLQYISSGDLVSVNDFLNNYSFPLNAEFFHYRSVLHAAIILGHLKIFKLLVSFASDEDLQLTDYNSNTAMSFAAMHGNTEIAECLFRRNKNLVTTVNLQGNSPVLLACRGGHKDTLGYLYSVTPIDFLLSENKIHGSRLLNACILSKQFDVAFDLIRQCPAISLTIDINYIPLVGLATEKSLYLSGSRLAFWQRWIYYCLKENKPPASTYAFTYISERRQSEGNKSVITQVAKKLLGSGSNLLNMFGIKQIYDLKSDHANAKELLCRIAKYISTLDKAQILQGVVHSAMIGAAKLGVTEFIIEMSKANPDIMSFTDDHGRNIFMIAAIYRQEKVFSLICGIPALTGQLLPYVDIYGNALLHLAAELGPNSEAKLTQISGAALQMQRELQWFTETKNILPQVHARYTNNKMQTAKQTFDETHKSLRKQGEEWMKQLSSSSTVVGTLIMTIMFAAAFTVPGGNDQNNGFPIFLTSGHKHEVAFMIFIISDAISLFASSTSVLMFLGILTTRYAMEDFLTSLPNKLIIGLSTLFISIATMMVAFCASLVIMLQGRLWIIIPIILLASIPITLFAWLQFPLLVEVIVSSYGAGIFDRKMKPWI >EOY25267 pep chromosome:Theobroma_cacao_20110822:3:33753427:33759687:1 gene:TCM_016635 transcript:EOY25267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MIILYKGNYSQCSKCCISRKLGPRSKTKMDLYTLLLCISLLFIFLRRSSARSLPPGPINLPIIGSLHRLGSHPNQSLFELAKIYGPLMTLRLGFVTTVIASSAETAKQILQTHEQTFSDRTVPDVVASQPNPESTLAWALGDSRWRNRRRLCNTQLFTVQRLNSLQHLRHQKVEQLIEHINKQGVSVSQVNIGQVAFATALNLISTTIFSKDIADPDFSTAQEFKDLVWRIMEDSAKPNLSDYFPMLKRFDLQGLRKHIRPSYMRLHEIFDELIDKRMEARASGSSTRSGDLLDVLLDQCEENASYFSRQNIKPLILDLFIAGSDTSAITTEWAMAELLRKPEALQKTRRELIEVIGSERAVTESDVDQLPYLQAVVKETMRLHPAAPLLLPYKAKNDVKICGYTVPKNAQVLVNAWAIGRDPKFWSDPFLFCPERFLDSGLDYRGRDFEFIPFGTGRRICPGLPLAVRMVLSSLLSVYTLRQHPQQSNQKMELYIILLCISIVFLLFKPLFHRSKTLNLPPGPTGLPIIGSIHRLGAHPNQSLSELAEIHGPIMSLRLGSVTVVVLSSPDMAKKILQTHAQSFSDRPIPDAIASMPNLEASLVWGPSDDNRWRKLRGMCSTQLFSAQKLNSLQHLRYQKVEQLIEHIRKHCLSGSQVNIGQVVFATTLNLIFSTMFSMDIVDPEFSTAEEFKELVWKIVESAGKPNLSDYFPVLKRFDLQGLRKNARLPYDRMHEIFDEMIGKRMEARASDPATRNGDFLDVLLDQWEENGSVINRETIKPLIQNLFIAGSETSATTTEWAMAELLRNPEVMQKAREELMNVIGSERTVKESDMDELPYLQAVVKETLRLHPAAPLLLPYKARNDVEICGYTIPKGTHALVNIWAINRDPKYWDHPLTFCPDRFIGSKIDYKDGSYEFIPFGAGRRLCLGLPLATRMVHLMLASMILSFDWKLPQGINPQELDMQEKFGMTLKKAVPLYAIPVMR >EOY21795 pep chromosome:Theobroma_cacao_20110822:3:18658676:18660139:-1 gene:TCM_013933 transcript:EOY21795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein, putative MAGWLVWNLSLHTAFVDLQLPAGLKLRVNTKWKTLVKSYLPTSSFPSINRSIAIQPPRHAAAFMSRPYHPNKARPKKLTMDPVNFFRGYDKVSHLENQNPSQKPVSTALAIFAILIFTLVVGLTLAAVMLEPIKEPADTASPSLSSNSDESIRTICNVTRFPESCFTTLSSLSASTKPDPESILQLSLQVAINHLSNLSSSLKSLNDLHSQPALKDCVTLFDDALSRLNDSVSAMQVGSGKELVLTKEKISDIQTWISAAMSDQETCNDGLQEMGSTVADKVKSQVRSSKESISNSLAIVSNMHNLLQKFGLTMH >EOY22647 pep chromosome:Theobroma_cacao_20110822:3:24339231:24340262:1 gene:TCM_014756 transcript:EOY22647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLAYDGRSYPFIPLVQNFNMPSAIRLRNYLLAQHRAPPRNYALRRRTASQSRVQAPRNDVLDVVPLSARVRIGPSSALGPQRWLHIPLQVQLGENEIPTLLVQQRRYHSQQRRNLNERERKINEGKIETLLMASRWLLLLW >EOY22060 pep chromosome:Theobroma_cacao_20110822:3:21155746:21160639:1 gene:TCM_014254 transcript:EOY22060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmodesmata callose-binding protein 3 MAALVLAVLILAMTGRSSATWCVCKDNVGDASLQKTLDYACGAGADCNAIHSNGPCFNPNSVKAHCNYAVNSYFQKKGQAQGSCDFAGTATVTASDPSSTGCAYPSSVSSQPVNGAPLVVRTINRAFGTVCQNAFVLHFHIPLGCNGHLAFHYWRGAKKSAASSTTPTTPVTTTTPSTTTPSTMNPSTNTPTSTTPYGTTTPTGVLGGVGTGLGPSGAGINTDYSDGGYRLQGLSFFTTLLFSGLMLLLG >EOY25432 pep chromosome:Theobroma_cacao_20110822:3:34253530:34258139:1 gene:TCM_016742 transcript:EOY25432 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit 4 MDLLSLSSPLTPSLSSLQLKLKHKTSFTSPNPKPSFLCLTPTPVSSSVKVKTTPKCLQLSAPQSPATAMRGAETDAMGLLLRERIVFLGNNIDDFVADAIISQLLLLDAQDPNKDIRLFINSPGGSLSATMAIYDVVQLVRADVSTVALGIAASTASIILGGGTKGKRLAMPNTRIMIHQPLGGASGQAIDVEIQAQEIMHNKNNVTRIISGFTGRSFEQVQKDIDRDRYMSPIEAVEYGIIDGVIDRDSIIPLAPVPERVKASLNYEEISKDPRKFLTPDIPDDEIY >EOY25085 pep chromosome:Theobroma_cacao_20110822:3:33177630:33183303:1 gene:TCM_016505 transcript:EOY25085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase protein G1 MEALGRRGHYTSALAPTDAPHPFHTVEHLRHMVVNRVFVAVYTCAILALLYRHVRTLFLHSTTLISFFITLSLLFSDLVLAFMWGASQAFRMYPIRHKQIPENLKKSVKEQDFSGLDVFICTADPHKEPLMSVVNMALLLMAYDYPMEKISIYVSDDGGSPLTLFAFMEAAKFASHWLPFCRENNIMDRNPDVYFASGHSGSSKAENIKDQEGIQVFLLPVCIVMVKTDYQSVLYSPLPPKCAAYGRKGKVCSFGNHATSFKNPHSNYISYLSFLSSLFSFLFSLYKPVTSSFPLKASIFPFKLSTLHRRTPKNRPLSLRRPNCTRSPHRPLPKSALPLR >EOY24665 pep chromosome:Theobroma_cacao_20110822:3:31851312:31852270:1 gene:TCM_016208 transcript:EOY24665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Osmotin 34 MSSFKTLPTLSFLFVTLFSLAHAATFDIRNNCPYTVWAAAVPGGGRPMNRGETWQISAAPGTTQARIWARTNCQFDASGRGKCQTGDCGGVLECKGYGSPPNTLAEYAIGQFANQDFIDISNIDGFNVPMEFSSNSPGCTRVIKCTADIVGQCPNELKVPGGCNGPCPVFKTEEHCCNSGNCGPTNFSKFFKDRCPDAYSYPKDDPTSLFTCPTGTNYKVIFCP >EOY20728 pep chromosome:Theobroma_cacao_20110822:3:757025:759889:-1 gene:TCM_012073 transcript:EOY20728 gene_biotype:protein_coding transcript_biotype:protein_coding description:RCD one 5, putative isoform 1 MAFNQNEYNDNNDNFLSFETRDSEKTHTASSNSTTLDTVNDQESVLTDCESGVSGPSFDQSPLFNNGLVRLFPGDKAHDVIMKRFLSNLGALAAHTKDLTIHKNSFLGVTWQARLQSFQIFIKAMEKKCGGDANIKYAWCSASRDEICKIVEHGFGHFGLPENSGLYGCGLYLSPDDSPMESVKNAMVDKNGMRHLMLCRVILGKAEAVQPGSKQCHPSSDEFDSGVDNLSSPKKYILWSTHMNTHILPEFILSFRAPSSLKGFLGMQDRLKIPTSPWISFPALISALSEFLPPPSINLISKYHKDLRDKKISRHELIQFVRQIAGDKLLVAVIKSSRTKKVISLQGNLFMFCFCSSLLHLAAFNEQLSMDPGAGFDILRRLAI >EOY20729 pep chromosome:Theobroma_cacao_20110822:3:756916:759889:-1 gene:TCM_012073 transcript:EOY20729 gene_biotype:protein_coding transcript_biotype:protein_coding description:RCD one 5, putative isoform 1 MAFNQNEYNDNNDNFLSFETRDSEKTHTASSNSTTLDTVNDQESVLTDCESGVSGPSFDQSPLFNNGLVRLFPGDKAHDVIMKRFLSNLGALAAHTKDLTIHKNSFLGVTWQARLQSFQIFIKAMEKKCGGDANIKYAWCSASRDEICKIVEHGFGHFGLPENSGLYGCGLYLSPDDSPMESVKNAMVDKNGMRHLMLCRVILGKAEAVQPGSKQCHPSSDEFDSGVDNLSSPKKYILWSTHMNTHILPEFILSFRAPSSLKGFLGMQDRLKIPTSPWISFPALISALSEFLPPPSINLISKYHKDLRDKKISRHELIQFVRQIAGDKLLVAVIKSSRTKPIAFSSIQRTTEHGSRSRFRHP >EOY23514 pep chromosome:Theobroma_cacao_20110822:3:27671729:27677850:1 gene:TCM_015383 transcript:EOY23514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor-related MSSTLLEVTRAAHEDVERLERLIVKDLQNEPPSGKDRLYQSHRVRNNIETIIATSEKLIEIYEDKDNARKDEIAALGGQTATGTNVFSAFYDRLKEIREYHRKHPAARVVDANEEYEALLKEEPAVEFSGEEALGRYLDLHELFNQYINSKFGAKIEYSAYLDVFSQPHNISWKLKSTRQYREYMQNLLEYLIYFFQRTEPLQDLDRIFSKVEAEFEEQWADGQVQGWEKQGQENGDDPAQHTMIDLDYYSTVEELMEVGPEKLKEALAALGLKTGGTVQQRAERLFLTKHTPFEKLDKKHFARGSRKPEQNGSTAVPQDINSLKDVALMEAKMKKLCDLLSKTIEQTKENVVKKQALTYEEMEQEREEEETQVDTESDEEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITNIAEAQELWGKIQERQGLNKWRPDLEEEYEDKEGNIYNKKTYTDLQRQGLI >EOY22022 pep chromosome:Theobroma_cacao_20110822:3:20404676:20407492:1 gene:TCM_014175 transcript:EOY22022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein of acetyl-CoA carboxylase 2, putative MASFSVPCAKSSVLLRSSPLIPSHNLKQNHFFGFQWFSQNQFRTLKVHAQVNEVAVEKSLNSAPIIEITSEEKGKQGIPDLQSIAAFMNQVADLIKLIDSRDIVELQLKQPACEVTIRKKEALPRPGIAPPVITMQATPPTILPSPLPPAEQVTPPTSSSPAAMPLAPEAHAPPQPSKSSHPPLKCPMAGTFYRSPAPGAPPFVKVNAYTEERSPEVGDKVQKGQVVCIIEAMKLMNEIEVDQSGTIVEILAEDGKPVSVDTPLFVIEQ >EOY22119 pep chromosome:Theobroma_cacao_20110822:3:21439403:21443127:-1 gene:TCM_014307 transcript:EOY22119 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like extracellular glycosyl-phosphatidyl inositol-anchored protein family MEFDQSTTTSHKFLSISPSYCQCRKCCSFLQLKFICGLVVLFLIMLPNTAAYDPLDPTGNITIKWDIVSWTADGYVAAVTMSNFQMYRSIMSPGWTLGWQWAKKEVIWSMVGAQTTEQGDCSKFKGNVPHCCKKNPIVVDLLPGVPYNQQFSNCCKGGVVSAWGQDPIGSVSAFQVSVGVAGTSNKTVKLPTNFSLLGPGPGYTCGPAKVVPSTVYFTPDHRRKTQALMTWNVTCTYSQFLAARNPSCCVSFSSFYNETITPCPSCACGCQNKNNCIRSNSKEAHRAGINTRRKDNTPLLQCTHHMCPIRVHWHVKLNYKDYWRVKIAITNFNYRMNYTQWTLVAQHPNLNAVTQVFSFDYKPLVPYEAINDTGMFYGMKYYNDLLMEAGPQGNVQSEVLLRKNKDTFTFKQGWAFPRKVYFNGDECLLPPPDTYPFLPNSAHVNPIAILTMAFSALLFMFNM >EOY23938 pep chromosome:Theobroma_cacao_20110822:3:29054556:29058962:-1 gene:TCM_015677 transcript:EOY23938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum activated malate transporter family protein, putative MIHGKEVFEGVEWRIRVADGSSEVLVQETGLARKAWLRIKGLISGLAFKVWMFLKRAWDMGVNDPKKLIHCIKVGLALAIVSLFYFMRPLYDGVGGNAMWAVMTVVVVFEQTVGATLYKCLNRVCGTCLAGFLAVGLHWVANRSGESFEPFVVGASVFLLASVATFSRLIPSAKSLFDYGAMIFILTFSFVAVSGYRVDKLFDKAHQRISTIIIGTSLCIIVIMIVCPIWSGQELHSLIVRNMDKLADSLDEPILPIMVSGCVTQYFNHRGVCTNSNEEADKKLQGYKCVLSSKASEESMANFARWEPSHGRFNFRHPWKQYLKIGASMRSCAYRIEALSSCINSEKQAAEFIKKHLSISCLKVSSSSSSTIRELAETVKTMKKSSTIDLLVGEMNTAVQELQNDLKSLSYLLNPSTIPENKKMKTSMEATATVPLMEIIPVVTLASILIEIAVRIEALVGAVKELAKLADFATHDDKSKQTKTKDKFVPDEKQSEGTMKAFQRV >EOY21945 pep chromosome:Theobroma_cacao_20110822:3:19975611:19977408:-1 gene:TCM_014115 transcript:EOY21945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKELEEVVRHFQDLYKENEVLEIVNLGCHFNKLFEESVDFLERPFEEDEIWETIQGHDGNKAPGPNGYNLNFFKKQWPIIKRNVMRFMDEFFSNGKLGHSVNTSFIALIPKVPNPTSLSDSRPISLVNSLYKILANRLKTVIGMVLKLDFEKAFDSISWNFLDHVMGFMGFGVKWRCWIKECISTAKISILVNGSPSRQFGMERGFRQGCPLSPLLFNIAGEAFSAMLCKVESIEICKGVMIGRNGLSLTHLQYADDTIIFCDADLESLLIMKRILKCYQSAEKIMCKVGYLPTTYLRLPLGAKHNSTRFWDPILEKVKRKLAGWKTKMLSFEGRITLLKSVLTSMPVFFMSLFQVPHKVKNELEKLQRRFLWGGDDQKRKIHLVKWDKVCNYKDCRGIDITDIEVKNHALLNKWIWRYGMETDNL >EOY23621 pep chromosome:Theobroma_cacao_20110822:3:28051895:28054909:-1 gene:TCM_015458 transcript:EOY23621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding MNLKEALWSKPTDSNASPSPSSSSPRDPESEAASAAATSAVGELVNSLNKQRIYREVTLALRTGLRDARAEFSFLRVRGLRFLLKSLRSIAQSDSSIILFSHTQSIPDLRVVPVLFEHSLKETEDDRVGSLDHIFSVEPMKINSPSTDAEVALALRVLEGCCLLHPESTRLAHQHKAIPVLMNVLSTRGVLEQGACLDALISIMLDSSANQMDFEACNGIEEVAELIRDKQVDENLRLKCGEFLLLLIGHVNGRERSPMATIHEDIRRLLGEKSASLIWAASQFGSTLDPDQRLTALHIQARRVLESVDLY >EOY25388 pep chromosome:Theobroma_cacao_20110822:3:34121204:34121990:1 gene:TCM_016713 transcript:EOY25388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root, flower, group 2, putative MPKSNVVTQRSIQKERRTHPLVWCAAIICTILIVAVIIAGIVTFIGYLVIHPRVPYISVANAHLDRIQIDYAGVLEIQVTIVVRAQNGNKKAHASFSDSSYILSLNGEDLARLVAGPFEVVKNSSVDFHYVVQSSPIPLDPEQADDVDAALKKDLITFDLKGKTKARWRVGLLGSIKFECHLSCQLHFHVFNGTFIPSRCTSKAK >EOY24523 pep chromosome:Theobroma_cacao_20110822:3:31330107:31331678:-1 gene:TCM_016105 transcript:EOY24523 gene_biotype:protein_coding transcript_biotype:protein_coding description:A20/AN1-like zinc finger family protein, putative MGSEQNEGTSFPPSEPKLCANGCGFFGTAANMNLCSKCYRDLRAGEEQAAKAKAAMEKSLSVKTKQEDVVVETILDVKPVEELPHVGSSSTAVEQPAVVAAGNEQAEPKVSNRCFICRKKVRLTGFKCRCGSTFCGEHRYPEKHECLFDFKGAGRDAIAKANPVVKADKVERF >EOY22824 pep chromosome:Theobroma_cacao_20110822:3:24905627:24909145:1 gene:TCM_014882 transcript:EOY22824 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein MGLLKLGFQNQRLHLLHFVPESFSVSTSKFWVQNLTNNKGVKHSALSSTLRSKLIIAKALSTEAEALSQPKKMVKAIGVHELGGPEVLKYEDVELGDPKEGEIRIKNKAIGLNFIDIYFRKGVYKAATMPFTPGMEAVGEVTAVGPGLTGRKVGDVVAYAGNPMGSYAEEQILPADKVVPVPPSVDPIIAASIMLKGMTAQFLVRRCFKVESGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSTEEKAAQAKEDGCHHVIIYKEEDFVACVNEITSGKGVEVVYDSVGKDTFQGSLACLKPRGYMVSFGQSSGSPDPVPLSALAAKSLFLTRPSMMQYTSTRDELLETAGEVFANVASGILRVRVNHKYPLSQAAQAHADLENRRTSGSVLLIP >EOY23524 pep chromosome:Theobroma_cacao_20110822:3:27723517:27727591:1 gene:TCM_015393 transcript:EOY23524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLLSIDDFKNSKLDPNCSIFDYLLEVTRVAKEKLKKENFNIKQEEVDFKHGKNLDKNSDLGRKLESKLKQEEGLKLRRSKIDKPLWPNPPPDLPENFKEHIVSKMGGSDWLLVIQKPVFFSDVNPGANRLSIPFSQIQRHEFLCKKEVEYLNDKKDMQVRLVEPSLEETTLSFRRWNMKNSSMYVLTKTWNSVVKNNQLKIDDVVQLWSFRVESSLCFALVKVRDVRQGNEEWVRHNETGASLSHQEEGHGGWRRMSCEDGSGASCSRDESHGPLQEAEATNVNGGMLKFISEQSSDHVVEETAKPVLISDAEMLDAEIAEFRPAERRSCSNCC >EOY20703 pep chromosome:Theobroma_cacao_20110822:3:658989:662046:-1 gene:TCM_012054 transcript:EOY20703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MEAMNLFNRHSFNIQRQSKDQENLRLFSGLPHYPKNVSFIKRPFSVSSNSQTFFTSLNFPENPSQKPQNQHGFSRNKLIMEFTEHGLFEDAIRVYLGMLQNGFQVQDFKFFPCLIKAFGGVSDVKRSRQIHGHVLKLGFLADVYVVNALLGMYWKCGEIKDAVKMFDKMSERDFVSWNSMISGFCQSEDYLDSLMIFSLMVKEHGMFPNRVGCLSALSSCASIESRIHGREIHGFVVKNGLESDEFLVSGLIEMYMKCGDVRNAEHVFKSIINKESVRRNTVIWNVMVTGYVSNECLSKAMELFVEMLELGIQPDSSTIVAVLVLCSKLSDLGIGKQIHRLIFAFGLENDKRIETALIEMYFKCCHSEAGMKIFGRSRNDNSVMWGAVISNSAQKDCPIVALELFHNFMLKYGFPDSLMLLAVLRACSSLALKSKGMEIHCLAVKTGSVSDLYVASALVDMYGKCRDIESAQNVFSRLHLRDLVSWNALISGFSQNEWADEALAAFRDMQCEGIRPNSVTIACILSICAHLSVRILCKEVHCFLIRQGWMSNVLVINSLIAAYAKCGDINSSWIIFEKMHERNEVSWNTIISALGMHGHTDKMFVSFENMKRAGMKPDHVTFTALLSACSHAGMVAMGCKFFESMVEGYKLQPQVEHYTCMVDLLGRAGHLNQAYDLIMSMPCDPDDRVWGSLLGSCRSHGNEKLAKVVANHIFKLDATSIGYRVLLANLYEDLGKPNEVVKVRSEIKDMGLRKQPGCSWIDIDNNIHIFVAGDCSHHQLEEIYAVVENLTLEIEGAGYVPNLPLRSVVPDEADF >EOY24545 pep chromosome:Theobroma_cacao_20110822:3:31386676:31388814:1 gene:TCM_016117 transcript:EOY24545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uclacyanin 1, putative MAFSKIFFIIAVVAFSVIHSSLATEFLVGDESGWTLDFDYQGWAAGKEFRVGDKLVFKYASGVHNVLRVNGTEFQQCEAAGNTVPLTTGNDVITLATPGRKWYICGVARHCAARNMKLNITVLAQGDSPASAPTLSSPTSAARGNAASSFYGGIVVMVGFVGMSHGDGLELFRTVSHMLCSLEKEPTMFYGVGATDSFTVRVAHAVTKASPTASSDANMITLTTPE >EOY24058 pep chromosome:Theobroma_cacao_20110822:3:29480654:29484701:-1 gene:TCM_015760 transcript:EOY24058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-kaurenoic acid hydroxylase 2 MDLGFLALVLAVLLGTYVFLFGFLKKVNEWRYVSSLGEKKHSLPPGDMGWPMFGNMGSFLRAFRSKNPDTFIYDLVKRYGRTGIYKTYLFGSPSIIVSIPETCRKVLADDERFGLGYPVSTKQLTGKKSFHSIPNSEHKRLRRLTTAPINGHEALAMYIGYIEDIVINSLDEWASMKEPIELLKEMRKVAFKVITHIFVGSSAESILGTVEKHYTDLNYGLKSAAINIPGFAFYKALKARNTLVKILQGVLDERRSKSQVNDPNEKRGMVDLLMDIEDEHGQKLQDEDIIDLLLMFLLAGHESSAHAAMWAIIYLHDHPEILQKAKEEQDQILKKRPSTQKGLTLKDIREMNYLQKVIDETLRRTSLSFANFRQGFSPGYLIPKGWKVLVWNRGVHMDPEVYSNPKEFIPARWENHTPKAGSFLPFGAGSRICPGADLAKLEISIFLHYFLLNYKLEQIKPGGPMVYLPLPRPVDNCLAKVTKQRIIHFQTKEKEHSPLLYIEEAVTKTIGSHIPV >EOY20687 pep chromosome:Theobroma_cacao_20110822:3:596238:598618:-1 gene:TCM_012044 transcript:EOY20687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein, putative MKKSGGGEASKPDRKTIERNRRIHMKALCFKLASLVPQQRFKPSKVYICIYIELLILSLPSFFLSQEYLTDFWEIVSQTDQLDLGVAYIKHLRERIEKLKVIKEQMMKSVEASSNIMNNNASGGLGLPVVEIRDLGSSIEVILISGLNKNFMLYEVIGILEQEGAEVVSASFSTVGGKIFHNLHAQVKISRVGVDTSTVFQRLQELISYY >EOY23945 pep chromosome:Theobroma_cacao_20110822:3:29081676:29084810:1 gene:TCM_015682 transcript:EOY23945 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORMDL family protein MYVRAVPPTDLNRNTEWFTYPGVWTTYILILFFSWLIVLSLFGSSPGTAWTIVHLSHFFVTYHFFHWKKGTPFGDDQGIYNGLTWWEQIDNGKQLTRNRKFLTVVPVVLYLIASHTTDYQNPMLFFNTLAVFVLVVAKFPNMHKVRIFGINADH >EOY20789 pep chromosome:Theobroma_cacao_20110822:3:1203588:1211438:-1 gene:TCM_012129 transcript:EOY20789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MEVNNFCSSAIVAQVLNGKDNYENWKACAKNYLWVRDLWDVVEQTSEPPQQEDKAEFKAWSKRNVAALHAIQISCDAIMLSHIRNMTTAKDAWNTLAQKCQLPMKEETSVARFIDRTHMLELLKAVKEHALESTKSVLTSHTHLANAVIGDSSFTTFHFAIFDGQLDMIDEFLSTMSEEHLKMLDRHGRTVLHHAAMTENTKIAQSLIRKSRELLTFLDNRGYIPLNAACLGGHKDMTHYLYNMTTREVLLSPENECQAALFVCQCINNKWFGIKQIYDLKATHIYAHELLLLMSKTIAASDVAQFDQSSVHQAMLNAAQRGVTEFIVEVIKPNIDLLMVLDEERRNIFQIAVAHRQEKVFSLIYGLDAIKYPLIAYTERDYNNMLHLAGQLSPQSQVKLQQISGAALQMQRELQWFKEVEGIIPPMLKQHTNKEGETPYEAFDRSHANLVKEGEKWMKDIAQTSTIVGTLIITIMFAALFTVPGGPDQDTGVPLLLTKKLFKIFIISDSISLFASTTSVLIFVGILTSRYTAPDFLKSLPNKLIIGLSSLFISIVAMMVSFSSTVIIMVKGQLEIVIPIVLLAGIPIGLFVWLQFPLLVKIFISTYGPGIFDRKMKQWL >EOY23087 pep chromosome:Theobroma_cacao_20110822:3:26116688:26122190:1 gene:TCM_015085 transcript:EOY23087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component system sensor histidine kinase/response regulator, putative MASKRSISAEHSNPINSLLKVTILVVDDDSTSLAIVSAMLKEWRYEGIRKIFCLGSLYFNDLSRMLVDIVMMVATVKSPADALSTLRAKPGIDLVVTDLHMPGMNGIELQRQINREFRVPVIIMSSDEQESVMLQSLEEGAVFFIAKPVKPDDLKNVWQYAIAAKKGKSVVIEEIASTEGEAPSAGKVSKDEVRSVASVKDDKNNAKKGTKRKASRKSKDDQEDVTGSAPKKAKVVWTNSLHNKFLEALRQIGLDKAVPKKILEIMNVPGLTRENVASHLQKYRIFLKRVAERGCFASKAFVEKILRSSFASGHPLLLKTAQEYARLAELQQKRGLTFRPEYGGYVSYQNAHNAATHGSVLFPYQNASSSNSAQRHACGQSHLLLGNQANNKRLVSGNTNPLYQGNRLGFANGSNFSLNGSLTNATNGLMNGANSRHTYQQQIQARQNFHSAGFPSQFRFGSSSLHSSNSTLGTGNIGSISTSYPTLNSSCSNNNSYAGVRLTTGGQLIEMGQTRLNGCYGSMDGTYNEEMNVAAMGNQTFGYMGQGGSSSAGLNNGANQVSPANTAANTSMLPGLDNNGGAKHYKSGHLMNNAPTFDNITPQQLGDGSLSDLLLESKNYQFPCQQQDGGDGVQSPDFLSSSIFSEIFPSLEELLNSDFSESLSLEDTAPQNEEALEKAS >EOY23473 pep chromosome:Theobroma_cacao_20110822:3:27550144:27559822:-1 gene:TCM_015361 transcript:EOY23473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein MLGSFMGNSHFEDKFKELPGSKSTKTFFSLGFDAASLHALVVEVCAAPQLREVFNRTVTFLKPSILEKARYHAFVTLMIKRNLAKFNETMGFQISHSNKQKVKPFFRPKNDDNDGTINGSVKGIELSPPDVAGYDSWLVKHPSALNSFDSIMKEAKGKKVVVFLDYDGTLSPIVEDPNKAFMSAEMRAAVREVAKYFPTSIISGRSRDKVKQFVQLSNVYYAGSHGMDIMAPPRAVTSCDKKGNEGAFQPAKLFLPAIQEISVVLVDKIRGIQGARIEDNRFCISVHYRQVPPEDHEILKEKVKSLVENRPEFRLTEGKMVLEVRPSIDWNKGDALNYLLDTLGFSNAKDVLPLYIGDDRTDEDAFKVIAARREGFPIIVSSTPKDTIAWYSLRDPPEVLAFLLRLAKWRKSEIPFFK >EOY21071 pep chromosome:Theobroma_cacao_20110822:3:2659614:2660760:-1 gene:TCM_012386 transcript:EOY21071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFVMRQVEVVDSGTCSHVTVRRDFFTSYTSGDYGILRMANNGVSRVTSIKAVCVKTSIGTKLFLNNVKHAPDNYLHLISTSVHDDKSYFNTFGGEKWKLTKGSLIMGHGKKHSGLY >EOY22338 pep chromosome:Theobroma_cacao_20110822:3:23032285:23034194:1 gene:TCM_014538 transcript:EOY22338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDMKSEGKLSFDAHLSSQIKDICKCVDNKEELKSEIKSLKYEIEKLRGLLEYDKNNQKLKEKEKQQEYECHDPELPIEPVTTVAKPRQIFFTPNVDRNLARLSYQLSHFPGEQ >EOY21483 pep chromosome:Theobroma_cacao_20110822:3:9022807:9102881:-1 gene:TCM_013070 transcript:EOY21483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLLQFEEGKREFLLQFWRKKKTKNFELKILEVLLALKGSKVLPICAEKLELFAANLCRKIGAVFCRKIRAIFCRKIGAICAEKLELFAANLCRKIGQHCATNLREKKQLGAAKFLRKRELKVLPPEEEENDPRKRGSHGGEERKTWW >EOY24280 pep chromosome:Theobroma_cacao_20110822:3:30392242:30404189:1 gene:TCM_015929 transcript:EOY24280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPGIGTLVDLLLFYTLERVLFNRMVGSVGKNSQQVKRAMALWLMLEEIGYHDLIRMIHSYDDKTIEALFNESLRCLEFIQPNAAPPTESHDTRVFLGLFDEPMNFRFFYYNREFMYKRYVHIMEIVCDKIFGENAAIEVDESGLKPVARPLGEGSTTSQASTESNQLLLNQDSEAPKLSNLNPGANEFNPVQTPEDTRTMFLTFSKGYPLSREEIIYFFTSKWGEVVQDVFIELTHPGQDPQFGRIVFTTSLVIPWVLNGQAKAKFFVNRKHLWVRVYVPRYRGRRKFIKWYNNSRKYYSF >EOY21024 pep chromosome:Theobroma_cacao_20110822:3:2340237:2341888:-1 gene:TCM_012338 transcript:EOY21024 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 41, putative MRMSCNGCRVLRKGCTESCSIRPCLQWIATAQSQANATLFLAKFYGRAGLINLINAGPENLRPGIFKSLLYEACGRIVNPVHGSVGLMWSGSWHLCEAAVDAVLRGSPINKVSSELSDSSPHLKSSCDIRHVSKEKNSDDGLRKIKSRGRFKRSAWKPKAQVEKEVCHESVSHESGLSQRRSPGGDGAEGDTVSVETVEASLAKADELADGSDLELDLTLGFEPSPRKRANSINGCDDATCGVQLDLGQGSC >EOY22721 pep chromosome:Theobroma_cacao_20110822:3:24565855:24568309:1 gene:TCM_014807 transcript:EOY22721 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein MEQHEEPEHEVYGGEIPDEEGEMDADVDMSGGAEDYEGNEQDLEQDPNSNSKDLEDMKKRLKEIEEEAGALREMQAKVEKEMGAVQDSSSASATQAEKEEVDSRSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVDAVQNALLLNESELHGRQLKVSAKRTNIPGMKQYRGRRPNPYFRSRRPFMPGPAFYPPYGYGRVPRFRRPMRYRPY >EOY20991 pep chromosome:Theobroma_cacao_20110822:3:2147077:2148236:1 gene:TCM_012308 transcript:EOY20991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin fusion degradation UFD1 family protein MERSDLEAVAAAAAAAMELDSSFQLTYRCSASRVELGNRILMPLSAFDSLVDKGVESPWLFELCNPVTGKTSHCGVLEFTSDEGFVLLPAPMMESMELEEGELATLKSASLDKGTFLKLQPHTKNFMQLSDPKAVLEKAFRDFCCLTTGDTIMIMHNDIKLYIDIVEAKPSLAVNIIDTDCEVDFALPLDYEPPQKKQKKAKLLQKQEQPIEAETVKFKAFNGIARRLDGEPVTEQVAVDDDHDSMMNAERKPCGSKKVVLGSNVIQCQEDSTGEPSRKGWQEVTNMKKEEEKFQPFTGRSYRLT >EOY24477 pep chromosome:Theobroma_cacao_20110822:3:31128714:31130661:1 gene:TCM_016071 transcript:EOY24477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S13A, putative MTPSQIGVTLRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLESNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPRVNHGKHSGCLEKVLELWTGGLSCPDFTKKGGLRILFAVTYTKQLATTECYHHWTKLNIKSNGGPVRLQLESKGADFIYFCTSKEPKTRSAGQDNSSK >EOY24794 pep chromosome:Theobroma_cacao_20110822:3:32243683:32247036:-1 gene:TCM_016295 transcript:EOY24794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKTTMSILVEDCANQCSKHGIGQSYEDASGSTSYSCCSCDKSHWGFDFSIDLVSKELHGLLALFHGCAATDPTRATNIVLVVAAAFLALLVGCLQEFYTKHVTFKFSTGLPEHIQEAAKHGGTGC >EOY21293 pep chromosome:Theobroma_cacao_20110822:3:5689876:5690541:-1 gene:TCM_012746 transcript:EOY21293 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein MIDPLLNTTNPSIIGNLITVTPSTNTQSLVTKEELEKLLDQKNESLNFSEFDLKLPYFAKVIAKSYPKDYNNLKFKQFNGKIDNAGEHVMKFMETFRVAGLDDDLKLTEFFESLTKKAYT >EOY22371 pep chromosome:Theobroma_cacao_20110822:3:23194023:23194857:-1 gene:TCM_014562 transcript:EOY22371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDTEVNIILSEHRSLVKKFIIRVLRVGPIPNHIAFSMYGNRRYAEKRKLEGGAGHDAGILTLILFMLIYIALLTSIVNRFAGNLQLLTAGIREAANKPMEAAVDFSKGVLTICIAYNCTNEILHAIQKSCEGKCNDRIQEMIISHHHGDDHNLIN >EOY21500 pep chromosome:Theobroma_cacao_20110822:3:9894766:9902080:-1 gene:TCM_013162 transcript:EOY21500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESKKNDYKKMGKEKMKEVAVKSYCPWKVSVVQNFSLSCGKRASPITRKDYVNDQQGENGENEEDRQEVEDDSDYD >EOY20826 pep chromosome:Theobroma_cacao_20110822:3:1391709:1393036:-1 gene:TCM_012167 transcript:EOY20826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGMKENLRQSKANYPNYPCRDLGREKCQNLYIEFGIRRDSPNSQAQCLLWVSDSGFGHILFLHGLWTEMPRTD >EOY24985 pep chromosome:Theobroma_cacao_20110822:3:32856982:32859731:1 gene:TCM_016430 transcript:EOY24985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRTERGIPSSSLYIYILLHEVKSSSNSKFKRVGQNSRELAAAMASVQLPKTTEKGLNQGYYGCSSFGQKVSDMANWACKATKRENKYQGPQNGYAVAYSESECYSQIQHPIHGTKESQTAYAMAEFRDEYGRSYGSHKSQNGNAMSKTKVHGPGIGFGNHFSDGNQTSPGKGQNHGYGYGYGSAHGNQKNSGMGHGFSNHTSYEATEAYGYGSTHGNHKNSGKGHGFSNHANYETTEAYGYYDESNGYGNCANNGLAHSPAHHRGKHSGQRQEFIKAQAYGPSKNMDYGTTETETRHYAKTETHYANESHYYGGGRGFPAGNGSHCIGRAGCAPTCNGRECHAKPKNMGDHPVRGLLSKIKDGISGNRSDHGRGSGSDSDSDCDEYGKRKVWVSKAI >EOY23362 pep chromosome:Theobroma_cacao_20110822:3:27135329:27136191:1 gene:TCM_015279 transcript:EOY23362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNKFKKIFELNYIKLLIYFDKSLNPLSPYNRIMCKLACKMNDTIRLNSSEAQCYCRSFLRAIAINLQCELSLRQRGYIVAILAKSV >EOY22142 pep chromosome:Theobroma_cacao_20110822:3:21875336:21879756:1 gene:TCM_014355 transcript:EOY22142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase 11 MRRRAADYRRPVRRRFSHWICALLGLFVLAVLVLFIVHHNQHEDRVEQPVNEEDSRMEQVVHENLNFTEEILSATSYSRQLAEQMTLAKAYVVIAKEHNNLHLSWELSSKIRSCQLLLSNAAMRGQAITLEEAEPIISSLSSLIYKAQDAHYDIATTIVTMKSHIQALEERANAATVQSTVFGQLVAEAFPKSLHCLIVKLSADWLRRPSLKDLANEQRNSPRLVDNNLYHFCIFSDNVLATSAVVNSTISNADHPKQIVFHIVTNGVSYGAMQAWFLSNDFKGSTVEVQNIEEFSWLNASYAPIIKQLLDVDSRAYYFGENQDLKVESKLRNPKYISLLNHLRFYIPEIYPQLEKIVFLDDDVVVQKDLTPLFSLDLHGNVNGAVETCLESFHRYYKYLNFSNPVISSKFDPQACGWAFGMNVLDLIAWRKANVTARYHHWQEQNADRTLWKLGTLPAGLLAFYGLTEPLDRRWHVLGLGYDLNIDNRLIESAAVVHFNGNMKPWLKLAIGRYKPLWERYINLSHPYLQDCVTS >EOY23296 pep chromosome:Theobroma_cacao_20110822:3:26952889:26956542:1 gene:TCM_015238 transcript:EOY23296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MSYIFSLSLEEPKRNHFNPPSMDAKRILLFSLTFLSLLYFSASFLPTNNFLVNCGSNANTSFYNRIFLADSAKPGSLFLSAERSVSLTDRSPSPNTPILYHTARVFTTDSSYKFNIKKNGTGIHLVRLHFSPFQAQNFNLASAKFNVVANGFLLLSGFSANSVLLKEYLLEIDGNVLEIMFSPVGDSGFAFVNGIEVFSVPKDFIIDDGARSVIANGIEEYKNLTSRVLETVHRINVGGSKLTPFNDTLWRTWIPDDGFLVFKPAAKRAVSTHLPNYQSGGATREIAPDNVYMSAQQMNRDNSSLNGRFNITWDFPVGSQGAPHLVRLHFCDIVSPALNQLYFDVYINNYSAYRDLDLSMLTYHVLSSPVYIDFVADSDDSGVIRISVGPSDLSTPSKINAILNGVEIMRLVNLKGSHVGSNKKNVWILVGSIVGGIVIFCLAAVAIVLAFKCKKKKPKPPRRAESAGWTPLRVYGGSSYSRMSEGTVTTSPGPNGYHSLRIPFVDIQTATNNFDKSLIIGMGGFGMVYKGVLRDNTKVAVKRGVPGSRQGLPEFQTEITVLSKIRHRHLVSLVGYCEEQSEMILVYEYMEKGPLKNHLYCSKHPPLSWKQRLEICIGSARGLHYLHTGSAQGIIHRDIKSTNILLDENFVAKVADFGLSRSGPCLNETHVSTGVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPAVDPLLTREQVNLAEWAMQWQKKGMLGKIIDPHLVGQIKPCCLKKYGETAEKCLAEYGVDRPTMGDVLWNLEYALQLQESGPEEPREGSNMNGMDCPTTSITPSSNARTEKDDGSGSSDITTSQVFSQLMTKEGR >EOY25429 pep chromosome:Theobroma_cacao_20110822:3:34243490:34245925:-1 gene:TCM_016739 transcript:EOY25429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRGKQKIEAQRRNAEKNQKPKGSQMEARAVALKASCPICKVQLANAKQLGDHYTSKHPKERPPAESS >EOY21595 pep chromosome:Theobroma_cacao_20110822:3:13435859:13458767:1 gene:TCM_013481 transcript:EOY21595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKRILVTQGLLDRILHLLVQQRDYGYVFDDVELRSMFVYPHPSDKGLSSAKRLSFYDKVLHLIITHIIQRQGFNYSIVIVSIFLPPLPLMLSEPLLDSFMETTKSRLTSCVDAFEAHSHKMLQCL >EOY21294 pep chromosome:Theobroma_cacao_20110822:3:5691946:5703300:-1 gene:TCM_012747 transcript:EOY21294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MPATNSKTFVEACKATMILTKLNLPSLPKSPTSPLAVHFSTSSLSHLQQPITNKPYWATKIHNLCTKHRNVDEAISLLDTLCLHGYRPDYLNLSSIIHALCDSNRFSEAHHRFLLSLSSHLIPDERTCNVLIARLLHSKTPHSTLHVIRSLLNVKAQFVPSLTNFNRLIDQFCADLRVDIGHRLFFYMKSKGQLPNAVTYTTLISGYVGIGDLGVAFKLFDEMRACGVFPNSLTYSVLICGVLMKRDVANGKELMDKLWDRMKDEKEEPAVNSAAFANLIDCLCREGYFNEVFRIAESMPQGKSVSEEFAYGHMIDSLCRAGRNHGASRVVYMMRKKDFVPSSVSYNSIIHGLCKEGGCMRAYQLFEEGIEFGYLPSEHTYKILVEGLCRESDFHKARQVLQFMLNKKGLDRTRIYNIYLRALCLINNNPTELLNILVSMLQNQCQPDVITLNTVINGFRKMGRMDEALKVLSDMTNGKFSAPNEVTFTTVICGLLDVGRTVEALDVLNRIMPERGLRPGVVTYNAVLCGFFKTQHANEAMGVYNCMVNEGVTANSTTYAIVVDGLCQSGQIEEAKKFWDDVIWPSQIHDDFVYASILKGLCHAGHFNQACHFLYELVDSGVTPNIVSYNIVIDKACKLGLRKEAYQIVGEMRKNGLEPDAVTWRILEKLHGNVRKTLFIEDSPLKYEGL >EOY23275 pep chromosome:Theobroma_cacao_20110822:3:26882081:26883885:1 gene:TCM_015226 transcript:EOY23275 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRNA 2'-O-methyltransferase fibrillarin 2 MIVLFSQSVPVQYLYSSFILLYAYDELYSDSPSRLHGPLEILQPTHFSYPYPARILALNASYFLKAGGHFVISIKANCIDSTVPAEAVFQSEVKKLQQEQFKPFEQVTLEPFERDHACVVGGYRMPKKQKAGQ >EOY21334 pep chromosome:Theobroma_cacao_20110822:3:6376088:6387922:1 gene:TCM_012831 transcript:EOY21334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQQKSIVTEGQSTNRPPLFDSSNYPYWSTRCQFTLELLNLAIRVNVSDTFRHETRLRAIDYEMWDVITDGPFIPSTLNVVTNELMPKPRSKWTEAKIKKVQTNFKAINTLHCALTPTEFNKVSSYTTAKQVWDKLRVIHEGTSQVKKSKIALLTHSYEMFKIEPGEDITSMLNRFTNITNKLSQLGKPILEHEIVKRLLRCLPKNWKPKVTIIREAKDLNVITSDEIYGSLLTHELKLKEEEEEDMREAKEKKKSIAFKANILEEELEELSCDDDEELALVARKFKKLMGRRH >EOY22274 pep chromosome:Theobroma_cacao_20110822:3:22742102:22744287:1 gene:TCM_014493 transcript:EOY22274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein / peptidoglycan-binding LysM domain-containing protein, putative MVSLHKLISFCVLLFLIKLISSQQSYDDSSCTAESVQNSKYLCTPKDFPCHTYVVYRTQKDFQSMSSIAPLFNLSMSHLLEINHMTDADSSNLKLGREIIIPVQCSCALTFSKAIFMYHFSSTDSFASVACGVFQGLLKAQSLKEDNPDVKCNDPDDFMIKVPIRCACTNANQRRNGFKYLVTYPVIKNDNTDLIARKFGVPEETIWDANKLGPFSTIFPQTTLFIPTKDVPVVKWDVPEDLPSSPRVATPFVKIQPRTEDFNEGSMTGKALYKGPFCGSYVAIEQMNTEEAARHVIYILTKISHLNIVKLEGCCYGTNPYLVFEFAEYGSLRDCLSNANVARQLTWAKRTQIAFDLAVGLHYIHYCTKPSFIHHNIQSRNVLITTDWRAKITGFTLAKPVNSSEENGEISWNESVILGRKGYLAPEYLTYGLASLKLDVFAFGVVLLELLSAKEAAADGLFLKNPVISFEDAGHECSLGYLEKLKEFMDPVLEGKYPLVDAMCLAFLAKACVEQDPHHRPTMDNVIQALSRFV >EOY21660 pep chromosome:Theobroma_cacao_20110822:3:16171234:16171774:1 gene:TCM_013701 transcript:EOY21660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKNWKSVKETALTSLTYLCRRRTIPVSEAIIYNISQLSDNKKPLKVAEGTIKSSFKDLSPHLSRLIPAWYATKEDVKNLQL >EOY22696 pep chromosome:Theobroma_cacao_20110822:3:24488712:24495506:-1 gene:TCM_014791 transcript:EOY22696 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH/respiratory burst oxidase protein D MQEMTAEDGNRYQHHHHHSDTEVLAGDKVPHSGPLSGPLNKRAGKKSARFSVPDSTSSKDDGYVEITLDVRDDSVAVHSVKAANGADLQEDPELTLLAKGLEKRSTVGSSMVRNASAKIRQVGHELKRLTSFSKKPARFDRTKSAAAHALKGLKFITKTDGGHGWAAVEKRFDEITASNNGALPRARFGECIGMESKEFAGQLFDALARKRNIQGDSIDKAHLKEFWDQISNQSFDARLQTFFEMVDKDADGRITEEEVKEIISLSASANKLSNIQKQAEEYAALIMEELDPDHLGYIMINNLEMLLLQAPNQSVRGESRNLSQMLSQKLKPTYDSNPVTRFLLDTKYFLLDNWQRVWVMALWIGVMCGLFTYKYIEYRRRGDVFKVMGHCVCFAKGAAETLKLNMALILLPVCRNTITWLRNKTKLGAAVPFDDNLNFHKVIAVAISIGVGIHAISHLACDFPRLLHATPDEYEPMIKYFGKQPESYWHFVKHPEGVTGIVMVVLMAIAFTLAAPCFRRGRMDLPKPLKKLTGFNAFWYSHHLFVIVYTLLIVHGIKLFLTQKWYKKTTWMYLAVPVTLYLCERLTRLLRSSIKPVIIQKVAVYPGNVLALHMSRPNGFRYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLRTVFSEVCQQPTNGKSGLLRADNKPDFPRVLIDGPYGAPAQDYKKYEVVLLVGLGIGATPMISIVKDIVNNIRAREEEESALENGNADGVNKTSPPNSKRKESFKTRRAYFYWVTREQGSFDWFKGIMNEVAEMDHNHVIELHNYCTSVYEEGDARSALIAMLQSLNHAKNGVDVVSGTRVKSHFAKPNWRSVYKQIAVNHNNTRVGVFYCGPPALTKELGQLASDFSHRTSTKFDFHKENF >EOY22914 pep chromosome:Theobroma_cacao_20110822:3:25283625:25286589:-1 gene:TCM_014942 transcript:EOY22914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase MATKLALFVIFMSFSLVPPTLSSVVTNGIDYWCDRTPNPQPCKYFMRQKGKSYVPKQKSEFRKMAVQIALDRALNAQSHNKGLGKKCRNDKEKAAWADCLKLYEETILQLNQTLDSTKCTDFDVQTWLSTALTNLETCRAGFVELGVSDYILPLMSNNVSKLISNTLALNNNASFVPQTYKHGFPRWLKAGDRKLLQSSSPTPNLVVAQDGSGNHRTIKEALDAAAKRSGSGRFVIHVKRGVYKENLEIGNKLKNIMLVGDGLRYTIITGSRSVGGGSTTFNSATVAVTGEGFIARGITFRNTAGPQNHQAVALRSGSDLSVFYRCGFEGYQDTLYVHSQRQFYKECYIYGTVDFIFGNAAVVLQNCMIYARRPMDKQKNIVTAQGRTDPNQNTGISIHNSRVMAANDLTPVLSSFKTFLGRPWKEYSRTVFMQTYLDSLVDPAGWFEWDGDFALNTLYYGEYKNIGPAAPTSRRVTWKGYRVITSATEASKFTVTNFIAGRSWLPDTGIPFTSGL >EOY24935 pep chromosome:Theobroma_cacao_20110822:3:32732944:32733288:-1 gene:TCM_016399 transcript:EOY24935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinogalactan protein 22 MAVSRVSLAAVFVALVFAMIALPAAVQAQSSAPAPAPTSDGTSIDQGIAYVLMLVALVLTYLIHAADFCFSF >EOY23175 pep chromosome:Theobroma_cacao_20110822:3:26508511:26511245:1 gene:TCM_015159 transcript:EOY23175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 17, putative MGRTPSCDKEGMKKGAWTPEEDKLLVHYIKKHGHDCWRTLPMLAGLRRCGKSCRLRWTNYLRPDIKRGPFSSEEEACIIRLHGMLGNRWAAIASHLPGRTDNEIKNFWNTHLKKRLLSTGNILQTSLSPSDCEPSTLKSELPSTRHTVQCESFRLEGEARLSIESSMLRSSSTSKTDCDIFLKLWNSDVGEKFRNIAKLPKKEEASETPMSQTSSWTKLESSSCITCEERSSISCGSDTTPEQTDCYRPG >EOY20678 pep chromosome:Theobroma_cacao_20110822:3:555748:565455:1 gene:TCM_012037 transcript:EOY20678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase family 2 member B4 MAARRISSLLTRSLSASSSASASLLSSLGRNSSRNGSIKRLSTSTALEELIVPPVQIAYTQNLIDGKFVDAASGKTFPTYDPRTGEVIAHVAEGDAEDVNRAVAAARKAFDEGPWPKMTPYERSRILLRFADLVEKHSDELAALETWNNGKLHEQAAKAELPLLIRLFHYYAGWADKIHGLTVPADGNHHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTIVLKTAEQTPLTALYVAKLFHEAGLPPGVLNVVSGFGPTAGAALASHMDVDKIAFTGSTDTGKVVLELAAKSNLKPVTLELGGKSPFIVCEDADIDHAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFLEKAKARALRRVVGDPFKKGVEQGPQIDSEQFEKVLRYIRSGIESNATLECGGDRLGKKGYFIQPTVFSNVTDDMLIAKDEIFGPVQSILKFKDLDEVIRRANATRYGLAAGVFTKNIDTANTLTRALRAGTVWVNCFDVFDAAIPFGGYKMSGIGREKGIYSLHNYLQIAHRAQFVIMQWNGSHHPCRKSLPSDLLDCLKIVPAGLALC >EOY24552 pep chromosome:Theobroma_cacao_20110822:3:31407040:31409432:1 gene:TCM_016124 transcript:EOY24552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MLNLGCLVFQAAWQEPALLSLDEHTRYSRVDAELNIVIKRKDYNGIRTSKMDLLSWLSCLLFFLVLVQAFHSITRITRKGRADKLPPGPATIPVFGNLFQLGEKPHTSLATLAKTHGDIMTLKLDLTTTIVFSSATMAKEILQKHDAAFSKRTVPDAFRALQHDEFGMPWMPVSTTWRNLRKISNLHIFASQKLDANQYLRRSKVEELVADVHDSCRVGKAIDIGQAAFKTTLNFLSNTFFPIDLADSSDTAQEFRDIVQGIKEELGKLNFGDYFPIILRNLDLQGMRRRMTVHFGKLMNLFDRVIDKRLQLRKTNDYISTNDFLDTLLNISEDKNEELDRNLIKHLLLDLFTAGTETTSSTFEWVMAELLHTPKVLQEAQRELKQIIGAGNSVEESGIACLPYLQAIVKETLRLHPPVPLLLPQKTEVDYEIHNFILPKGAQLLVNTWAVSRDPNFWEEPDLFLPERFIGSEMDVRGRDFGLIPFGGGRRICPGLPLALKMLHLMLGRLLHSFDWELEDGVTPESLNMEDKYGLVLHKAQPLKAIAISL >EOY20855 pep chromosome:Theobroma_cacao_20110822:3:1521843:1522847:1 gene:TCM_012194 transcript:EOY20855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDDPEPDIALHFFQNFLCFLKMDGIGKTKAEQELQSCYSIIRAVLSSGHWRGLEQFRLWGVHVCMFAAPVGTTRFVDKSIKIVWMGPSSIASSKEQYEKLFRSFKGMG >EOY24922 pep chromosome:Theobroma_cacao_20110822:3:32683700:32691687:-1 gene:TCM_016389 transcript:EOY24922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug resistance-associated protein 14, putative MEGAWTVFCSNSESSHGVGITRSPGFSAILNPCTCINHALTISVDILLLLIFLFIVIYRLSMRKVTAAFQSEHVSSLQILSAVFNGILAIAYLVLGIWIMKTKLKTDQTILPLHEWLVVLFQGLTWLLLGSFVSLKKQKLPCISAVKSCSILGLLYAGFLCISAFRESIVDRTVSAKIILDILSFSGSILLFLCAFEGDKRKDTDLDDTIDDCYAPLPGGEPEVTDGIRTIHNVTTFGRAGFFSTMTFWWLNPLLKQGKEKILEDQDIPLLLEEHRAQMCYSRYMEQLSKEKKEGPSDLPWRLSMIFYSHWKAILVSGFFALIKVLTLSMGPLFLRAFIEVAEGKEAFKHEGYALAVGLFLTKCLESFSERQWFFRTKLVGLQVRSLLSAAIYQKQLRLSNAAKTTHSPGEIVNYVSVDAYRIGEFPSWLHQIWSTSLQLCLALCIVYYTVGLATVAALIAIIFTVLASSPLAKLLLKYQKKLMLAQDKRLKAITEALANMKVLKLYAWEKHFKNVIEGLRKEELKWISGILTQRGFQVVLFWSSPVIVPAVTFWTCYFLRIQLNAGTVFTFLASLNILQEPIRVIPDVVGVFIEAKVSLDRIVKFLEAPELNDRDLWQEHNDKDSEYSIFISSAEFSWDIDSSSKPTLRNINLVVKPREKIAICGEVGSGKSTLLASVLGEVPKVHGIVRSCGKIAYVSQTSWIQTGSIQENILFGSVMDPVRYQEVLEKCCLVEDLEMLPFRDLTEIGERGVNLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTATSLFNEYIIGALSEKTVLLVTHQVDFLPAFDSILLMAAGEIIEAATYDNLRASSQEFQNLVYAHNSTLGSEIHIDHSTGRRPMTSKREIQDIYVKDQSVVPSREQLIKQEEKETGDTGLKPYRQYLSHDKCFLFFSFAAIFNMIFIIGQLMQNYWLAANVQNSNVNRLKLVTVYTVIGSAVAIFLLLRSFSVVRLGCGASQSIFSTLLKSLFRAPMSFYDSTPLGRILSRVSSDSSIVDLEVPFRFAIALGSTWNAYSSFVILAILAWPVVFVIIPLIFLSIFLQRYYLASSMELKRINGTTKSSVASHLAESIVGAMTIRAFGEGDRFFLKNLGLIDANASSDFHSFSANEWLIQRLEIPCAVVLSSLALAMTLLPFGASASGFIGMALSYGLSLNLFLVVAIQYQCVLANLMVSVERLEQYMHIPSEAPEVIEDNRPAHGWPSVGKVEICNLKVRYRRNAPLVLKGISCIFEGGHKIGIVGRTGSGKTTLISALFRLVEPSDGKIIIDDLNISTMGLHDLRSHLGIIPQDPTLFSGSVRYNLDPLSEHSDYEIWEVLEKCQLREAVQEKEEGLNSLVVQDGSNWSMGQRQLICLGRALLKRSQILVLDEATASIDNATDSIIQKTIRTEFADCTVITVAHRIPSVMDSNMVLGISDGKLIEYDEPAKLMNKLGSLFGQLVKEYWSRSSHDDTYSENCNHYTGSLSSDTALVLQNASAYASFPAGSAWWTACS >EOY22476 pep chromosome:Theobroma_cacao_20110822:3:23601317:23603913:-1 gene:TCM_014635 transcript:EOY22476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MEIPLAQYQCMTLDQMQTSCSFPSSNNKVFTTKPFFSGYCFRFDRRKRSYPFDKIMCFSLEHGLQPRRPKPKPSRNTNPEMKETEETQVRKPSVGLCSQIEKLALCNRYREALELFEILELEGGFDVGLSTYDALVSACIGLGSVRAVKRVFNYMINNGFEPDQYMSNRVLLMHVKCGMMIDARKLFDEMPERNLVSWNTIIVGLVDFGDYLEAFRLFLIMWEEFSDCGSRTFATMIRASAGLELISVGRQLHSCAVKMGVDEDIFVSCALIDMYSKCGSIEDAQWVFADMPEKTTVGWNSIIAGYALHGYSEEALSMFYAMHDSGVKMDHFTFSMIIRICSRLASIEHAKQAHAGLVRYGFGLDIVANTALVDFYSKWGRIEDARRVFDRMPCKNTISWNALIAGYGNHGRGAEAVDLFEKMLRERMRPNHITFLAVLSACSYSRLFERGWEIFQSMSRDHKIKPRAMHYACMIELLGQEGLLDEAFALIRGSPFKPTANMWAALLTASRVNGNLELGKFAAEKLYGMEPEKLSNYVVLLNIYNQSGKLKEAAAVLQTLKRKGLRMLPACSWIEVNKQSHVFLSGDKCHVQTNEIYQKVDSLVLEISKHGYVPEEKTLLPDVDEQEQRVLLYHSEKLAIAFGLINTTNGLPLQIVQSHRICNDCHNAIKLIALVTRREIVVRDASRFHHFKDGSCSCGDYW >EOY22095 pep chromosome:Theobroma_cacao_20110822:3:21353795:21355431:-1 gene:TCM_014290 transcript:EOY22095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVRFIRDKICKDHLKDLLGDECEKAKREGGDLNIDLVLTKGKLQPEIIAEEEEKQHQVTFSELFECIYKLQKGTREFVDNRTKNICAWTEAIEEHTDTRTHIYGFGIRVPASRLIITSVTFEFVCGLTVTPHPLNPMFELEGYRDWLPMSRT >EOY25027 pep chromosome:Theobroma_cacao_20110822:3:33008977:33009748:1 gene:TCM_016468 transcript:EOY25027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNCLKTSRSRQLVEEEEIEEQLGEIREATGFQKGNDGIGKGSIKVKIVLTKEELELFLLKLKNNNGGKSFEDLLAEMEKARSGKADSWRPSLESIMEVDDPEELEMDRS >EOY21487 pep chromosome:Theobroma_cacao_20110822:3:9275053:9288872:1 gene:TCM_013096 transcript:EOY21487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYCSRVVTATLVMCEKLHEFSSSVGVGDDHPTGRGVILEDLIVGLQSLAHEFAEFHNRDEAHSVEWVDSSSQDLEYLP >EOY24092 pep chromosome:Theobroma_cacao_20110822:3:29609805:29614457:1 gene:TCM_015790 transcript:EOY24092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MTGRFNLSKPASTGLSSLTIDKFLKAQKNLSKPQPKLWPNDHQLQIPSSQDNQQQRPVILRRTRPILRAFESCTCFNQFNQNHTQLLKSDLLQHPPVVTWVLKKLCNSLKSVSHAVLVFDCIKEPDTLICNIVLKGFLDFDDPFGALSFYYEKMVGKLVEYNEYTLPLLLKIYAEIRSLKEGEKVHAQVLKLGLESNLCVKNSLICMYSFCGQIGIAHEVFDDGFVLDLVTWNSMIDGYVKNEQVGVARELFNEMPKRDHFSWNSIFAGYVGVGEMEVARELFEKMPFRALVSWNCMIDGYARIENISEARKLFDQMPVRDVVSWNIMLALYVRCKKYASQTLMLFDRMIEIKCHSLLSTTLLTMYAKCGATDLARDVFDKMPDKNVVSWTSMITGYGMHGHVEKALEMFIDMERRGQMPDTATFLSCLSACKNAGKVFEGWWCLDIMCRVYKIEPEVEHFGCMFHLLSWVGLVKHSKGLKGKMPVQAGASLWGALLSACRTYSKLELGEIIAKRLIELEPMDVALYVLLSYIYSVKEKWGEVEITRNFIKDRELSETSALPHQRTMIYSMLMELGVQLKLSCGGSFGV >EOY21893 pep chromosome:Theobroma_cacao_20110822:3:19475552:19480752:-1 gene:TCM_014052 transcript:EOY21893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin A1, ALPHA 1.2,EXPA1 MNTGAIFPLTSGISCERRPLFFMADILIDEDKIGRSLQLEREMALFGLVLMGFLPLFSHAYGYGGGWIGAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFEIKCVNDPRWCLPGSIVVTATNFCPPNSALPNTAGGWCNPPHHHFDLSQPVFQRIAQYRAGIVPVAYRRVPCRKRGGIRFTINGHSYFNLVLITNVGGAGDVHSVSIKGSKIGWQPMSRNWGQNWQSKTYLNGQSLSFKVTTSDGRTLVSNNVVPPNWSFGQTFTGLQFP >EOY24686 pep chromosome:Theobroma_cacao_20110822:3:31930894:31931972:-1 gene:TCM_016226 transcript:EOY24686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF567) [Source:Projected from Arabidopsis thaliana (AT1G63410) TAIR;Acc:AT1G63410] MAKVHPQASVSDPCSMGSAGRETFTIWMKSLVCHTNGCTVFDSEGEIVYRVENYDSKGSSEVHLMDLRGKVLFTILKKKLQLFGCWNGHRGNFTGTKKEKPCFQVKKYWRILRRDLAYQVTMGLNKYWIVSLGRNKQGFKIVNIAGDIVAEVKQKQLSSGVVLGDDVLTLEVEPYIDHSLIVALVTVYGLINRRL >EOY22993 pep chromosome:Theobroma_cacao_20110822:3:25681863:25689753:-1 gene:TCM_015011 transcript:EOY22993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione-regulated potassium-efflux system protein kefB MIPPGQLKSQLTNPSYVYKPPFFDPDFQFNRVSLHTFPPVLFSFSAXXXXXXXXXXXXERERGRFTESMTILSARRGVFGCAYCLVIILLCARICASSRSDKEIRERFYGNLVNSSASDTGEESIAKMFDRVLDKEFPENDQPEGSDASSFNTSVADQQAVLETVAKITHEKTKRNDTQEANGTRSFQIQDVFSLENEDSDETTTLIDKKDNVFVMSNKKSKYPVLQVDLRLISDLVVVIVSAAIGGIIFSCLGQPVIVGYLLAGSLIGPGGLKFISEMVQVETVAQFGVIFLLFALGLEFSLAKLKVVGPVAVLGGLLQIVIFMCLCGIIAVLCGAKLSEGVFVGSFLSMSSTAVVVKFLVERNSTNVLHGQVTIGTLIFQDCAVGLLFALLPVLGGSSGLLHGMISMGKLMLVLSIYLTVASVLSWSFVPRFLKLMMQISSQTNELYQLAAVAFCLLSAWCSDKLGLSLELGSFVAGVMISTTDFAQHTLDQVEPIRNLFAALFLSGIGMLIHVHFLWNHVDILLAAVILVIVVKTAVAAVVTKAFGYSFRTSFHVGVLLAQIGEFAFVLLSRASNLHLVEGKMYLLLLGTTALSLVTTPLLFKLIPNVMNLGVLLQWFPSENSSQNEEKVSIIEAHNRLL >EOY21252 pep chromosome:Theobroma_cacao_20110822:3:5082053:5084282:-1 gene:TCM_012680 transcript:EOY21252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKPTLFLFQILSLLASAIPSLLRDKGKKVDAPLKVEAEGKIYDTLVFVVGIESSCDLQCYEKGKEISMETMKIGGERETVVELMARREKG >EOY23212 pep chromosome:Theobroma_cacao_20110822:3:26675932:26679850:-1 gene:TCM_015186 transcript:EOY23212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein MKLMNIAAVASILVLLACAPWCAYSQERLLVRMTLVRNAPALGAFCLDGSLPAYHLHRGFGNGANNWILFFEGGGWCGHREQCLDRAKTSYGSTNHMNKWAIFSGILSNNASLNPDFYNWNRVRLRYCDGASFAGDGKFANGTSLLYFRGQKIWEAIILDLLPQGLANAHMALLAGCSAGGLATFLHCDNFTRMLPNASVKCLSDAGFLLDERDVSLNHTVRSIFEDIVSLQGVEQNLDPKCTKSMNYPKLCFFPQYALKYITTPFFVLNSAYDVVQFHRFLVPPSADVEGKWKHCKHDPAACSADQIAILQGFRRDMLEALNFFLKDSHRGGTFINSCFAHCQSDAQESWFAVNSPRIHNKTIAEVVGDWYFTRREAKEIDCEYPCDTTCHNLMPVPQISLMIHK >EOY23897 pep chromosome:Theobroma_cacao_20110822:3:28945783:28952946:-1 gene:TCM_015651 transcript:EOY23897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Esterase/lipase/thioesterase family protein MAKLSLGSTFSIPLSRHTRATVTARHVTTTAPTKITMPDHHPSLEVIGGARDRFLQALHTALRLPYNPFPLIAWNCHVETIFAAFFRSVPDVRLRRECLRVKDGGSVALDWVSGDQRRLSPDASILILLPGLTGGSQDSYVRHMLNKAKSKGWRVVVFNSRGCGGSPVTTPQFYSASFLGDTCEVVEHIGARYPDANLYAVGWSLGANILVRYLGQESHTCPLSGAVSLCNPFNLVIADEDFHKGFNNVYDKALARSLCKIFKKHALLFEDMEGEFNIPLAANAKSVRDFDEGITRVSFGFKSVDEYYSSSSSCDSIKHVNRPLLCIQAANDPIAPSRGIPWEDIRKKERTRTVEEWSKPHKGEMKYNVDGAAQGCPGEVGIGGIMRDDEGNIKIVFSKAIGVDDASAAEVRAIREAFLTFTASKWVATHSLIIESDSKNAVKWTNNPSEAPWRLRKWILHIERLKKEVRKWDIKHIYRETNQLADSLAKGVGDVVCICIEDADGSPLEGIPQFVYAQPRGSFLLQPPGARGRLRGNISPESIKDLISQIVLPCHNPIQGWLKTLYMMGCKENPNCMLIVTPKGGHLGWVAGAEAPLGAPWTDSVVMDFLEHLERGASKTTGFSCDLEAVRHSSEGLHHMECSRFFIFIPWLYHGRS >EOY22851 pep chromosome:Theobroma_cacao_20110822:3:25036462:25038313:-1 gene:TCM_014903 transcript:EOY22851 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 zinc finger protein, putative MEKKSKTCKICNRRFASGKAMGGHMRSHLAKLPIPPKLSLPSSSSTPAPNNNKSPPPSSSALSRDPSSEVNHMQPYRSELSSETESPRNPTGRRSKRLRKVALNMVGSPSDSVSSIVIPVIPAETETLSSEDAAMCLLMLSKDKWTTIKKEQKEVYYESIDDEEEFDGDGDDDVDENENNDDDDLFCVTNPGAQAHPKYKCETCNRVFKSHQALGGHRASHKNKQVIRASIEEGEEAEGEKCGGAGGGDQIEQQRIFECPFCDKVFQSGQALGGHKKSHFTYLAVADKVPEKSSAVQLFDLNLPAPEYDDES >EOY21883 pep chromosome:Theobroma_cacao_20110822:3:19408815:19413548:1 gene:TCM_014040 transcript:EOY21883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycling DOF factor 2, putative MQESKDHVIKLFGQKIHLPTDGEISTISANDFDKAKCGNRVVVVEEEEEEEDEEEKEKTEQDSLAEKTTKTGQEDDTPPSNTKEATNPSTSPEPNVNHKTPSIDEETKKTKTGKTDKEQSDATNSQKKTLKKPDKILPCPRCNSMDTKFCYYNNYNINQPHHFCKACQRYWTTSGTMRNMPVGAGRRKNKNSASHYRHISIYEWSSIDVVNYVLYIVDRW >EOY20682 pep chromosome:Theobroma_cacao_20110822:3:575558:576624:-1 gene:TCM_012040 transcript:EOY20682 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MVMEIAFSVVLLFVGVAVLVVIHVCIVGRAFRREYEDGGLVQISSNNGAKKMSDEDLKKLPSFDYKAAEKGSSPVDCVVCLENFRKGDKCKLLPNCRHSFHAQCIDSWLLKTSICPICRTSADPSKVGMILGEERGVSSHDEVELV >EOY25325 pep chromosome:Theobroma_cacao_20110822:3:33936856:33941237:-1 gene:TCM_016675 transcript:EOY25325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor A 1, rfa1, putative MGVNLTGGAVGRIINREVASEKDLKPVLQVIELKEVQTTSKNQPQQQQEPKKSERFRLLLSDGSLAQQGMLATNKNELVKSSKLQVGSIVQLTQYICNVIQDRMIVIIIELEVIIEKYDIIGKPVPAPKSSRPTEVPTDQPGTVMVQTNTFGGSSVGGSKADKLNSAGTPLQQPRMNPLHGSSFPNQAEPVRYPAANSPPVCPKAEPSAGFPGSSPLSGPYGAQNQAEPVRYPAANSPPVYPKAEPSAGFPGSSPLSGPYGAQSTGFHNPRPAASRPLSTSNRQPIPAYQQPSPMYSNRGPVAKNDAPPRIIPISALNPYQGRWTIKARVTAKGELRRYSNARGEGKVFSFDLLDSDGGEIRVTCFNTVVDQFFNQIEAGRVYMISRGTLKPAQKAFNHLNNDYEICLDSTSIIQPCYEDDNKIPRQQFHFRAISDVEGMENNNIVDIIGVVSFISPAASIMRKNGTETQKRTLHLKDMSGRSVELTLWGNFCNAEGQKLQFLCDSGEFPVLAVKAGRVSDFNGKAVGTISTSQLFINPDFPEAHRLKEWFVDEGRNTPSVSISRETSSVGRTDNRKTISQIKDERLGTSEKPDWITVVATIAYIKLDNFCYIACPIMNGDRPCNKKVTNNGDGKWWCEKCDRSVDECDYRYIIQFQIQDHTGVTWVTAFQESGEDLMGVSAKDLYYLRYENQNDEKFMEITRQVMFNKYMFKLKVKEETFSDEQRVKSTVVKVEKVNFSSESRYLLDLIDKIKANDSSCFASKAEITTPNHGMDYTGIGNDISRHLAPTAGREYGLPAYQGGQYGNQYSGSRLRETAASMDVFCNSCGVTGHSSTNCPTFMNGPAQSMGRDCTGRISSEASVGRTSDECYKCHQSGHWAKDCPRSGPSYGSTDFSSGRYGGVSRQQVGGF >EOY20844 pep chromosome:Theobroma_cacao_20110822:3:1470088:1473606:1 gene:TCM_012183 transcript:EOY20844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNEEMEALQKNATWKLILLTEGKKTIGFRWVFTVKLKVNGRIDRYKVRLVAKGYTQRYGVDYQETFAPVAKINIICIFIFIAVNRDWPLQQFDVKNAFLSRDLEEEVYMELLLGIKHSYLCKRKVGKLKKSLYGLKQSPKAWFGRSILKELGVEYTKPMNLYCDNEAAIEIAQNLVQRDRTKHVEIDRHFIKENLDQKVMQFPFVQSEGVVPWTLVKLATALLALILLITGHLPVISALVPSGGEKSPSDVLFCGRSRSWRKMFLGKDYGCSGSESLSILAQESCLPELSSRQDATHSTIAKLKS >EOY20818 pep chromosome:Theobroma_cacao_20110822:3:1369862:1376103:-1 gene:TCM_012160 transcript:EOY20818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGAKGSRTPLHADVFRSCIWSANVFGKKKWLFLAHLQCHLLFDRLPGWNAYSNRIKLSLCLADGIIKSIICGIYC >EOY20613 pep chromosome:Theobroma_cacao_20110822:3:330951:336911:1 gene:TCM_011987 transcript:EOY20613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 47 protein MFLPRNYGTWLLLFLLISPTLFSPSMSHLDSRWAAKKRHMREKVREMFYHAYENYMTHAFPHDELKPLTKSFTDSLSELGNLKLEHLPQDYNGSALTLIESLSSLVIMGNNTEFERAVIWLSENLTFNIDARINLFECNIRVLGGLISAHILATDSTNRLVHGSYKNQLLNLAEDLGRRFLPAFDTPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGALSRLTGDPRYESAALRALRKLWSMRSSLNLLGTTLDVITGEWIEYSSGIGAGVDSFYEYLCKAYILFGKEDYWRMFHSAYLAVQKYFRHGSWYHEADMRTGKATYWQLTSLQAFWPGLQVLVGDIGAANSSHREFVYVWEKFGVLPERYLLDHQMLHPTEKYYPLRPELAESTFYLYQATKDPWYIQVGESIVNSLNSYTKVEGGFASVRDVTTMQLEDHQHSFFLAETCKYLYLLFDDSFLVDRNYIFTTEGHPLPILSSWHERLPETYIPTNWTYAKSEQPTRPASAMSLQVCPATALRSGYAVQQIESACHVPDSRGDHRCFSDEECGVDSTNCRRRSCSMAGYCGLWI >EOY25128 pep chromosome:Theobroma_cacao_20110822:3:33349803:33355175:1 gene:TCM_016541 transcript:EOY25128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalase 1 MASFQSQINCEKLFLFLVSAFFLLLSLTPISAVNSVSAPLSCSKDSGPVVPTIPLLGFLQRVQETALKTYGKSSFDPKDYVDLSLKFNLSTTVEAFDKLPKTANGSVSVKDLDAFIGEYFKGAGEDLVYAEPLDFVPEPHGFLPKVENPEVRAWALEVHALWKNLSRKVSTSVHQHPELHTMLPLPGPVVIPGSRFREVYYWDSYWVISSVIELEASIWANVKVLIKKASIAGLIAFGTIAPLWGLMASKMYATAKAIVTNLISLLDTYGHVLSGARAYYTNRSQPPLLSAMVYEIYNRTGDVELVRKSLPPLLKEYQFWNSEIHKVIIRDAEQGNHCLNRYYAMWNKPRPESSTMDKKFASKLSNDSEKQQFYRELASTAESGWDFSTRWMRNPSEFTTLATTKILPVDLNIFILRMELDIAFFAKVVGENTVAEDFLRASQTRQKAFNSVFWNEKMGQWLDFWLNNNAACEESQTWEDQNQNQNVFASNFVPLWIDLFNSDAPLVEKVMRSLQSSGLLCAAGIATSLTNLGNQWDFPNGWAPLQHMIVEGLSRSGSTEARSIAKDIAERWIRTNYVAYKKIGAMHEKYDVEKCGKYGGGGEYIPQTGFGWSNGVVLALLEEFGWPKDQKIDCN >EOY24154 pep chromosome:Theobroma_cacao_20110822:3:29880807:29890091:-1 gene:TCM_015833 transcript:EOY24154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLLIDLRYTCPPLLNLFSFLPLTSQNKPAFLLAAFLTDQPISIFMLAFLLVATFLTLLFLFFSAELHLTPHPISLRARGFSIALFISLVAAGFLPPSLFWLVYLFILITAPWHDKLFDLFIRFFRCFALTLQSLPTFMINVTQNHENLDPSSPQVVDLEVGTVAIEGERQPLRSQQSSEPDCMTRTEMSLSLLEGSCRSKGSAVALCGPRTFARDTYTYCAPNYSLAVKTPVKVRKLTNWTPPLLGQMKFNVDSAARRCAGESWIGDSENAVKWTNEPDLAAWRTWRWILEIESLKTRLKGWIIVHTMREGNEKADRLDNEGIGRTQNLMLWFSSWVFSESVPHAWVAFDLGYGLSGTLCFSFCSVGACTDARAFPLLKSSEFVDKNTIHQKKKKARKRRTTMHLLIDLRYTLSPLLNLISFPSLISQNKPAFLLAAFLTDQLISIFMLAFLLVAIFLTLLFLLFSVELPLTPHPISLRARGFSIALFISLVASGFLPPSLFWVVFLFIMITAPWHDKLFDLFIRFFRCSALTLQSLPTFMINITQNHENPDPSPPQVVDLEVGTVEIEGERQALRSQQSSEPDCV >EOY20561 pep chromosome:Theobroma_cacao_20110822:3:176486:179325:1 gene:TCM_011954 transcript:EOY20561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWASRAGDWAFKGFTAGLGLATIYLTATFSVNVYRGLAWHNAQSKIEKQGSTEQSA >EOY24670 pep chromosome:Theobroma_cacao_20110822:3:31873582:31874208:-1 gene:TCM_016213 transcript:EOY24670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRIWNPKFSFLVFMIILATSHLSSSRYIHRSLSSEAEQTTRAEFSTSPLWHFPAKSSEASRAEKSQPIYGVSYRTVPGGPNPLHN >EOY24466 pep chromosome:Theobroma_cacao_20110822:3:31078139:31080437:1 gene:TCM_016061 transcript:EOY24466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MSHTAYTLYSWLWDGSNQKVGLLGAVFSFFITTLVISLWQHWTLRKSRKPIPPLPPGPRGLPLVGYLLFLGTNLHMVFSELAGIYCPIFKLWLGNKLFVVISSPLIAKKVVRVQDKTFSERDPPISAQIIACGCMILHLTLTAEKDDTTSEQKDFLQFLLELKDNEGSASPITMNQLKGMLMDLLVGGTDTTSTMMEWTMAELIQHPEIMKKVKKELADVVGLNSTVEEGHLPNLLYLHAVIKETFRLHPPLPLLVPRCPSVSSTVGGYTIPKGSRVFLNIWSFHRDPNIWDNPLEFQPERFLNDPNKFDYNGNEIRYMPFGSGRRRCLGLPLGEKMLYFMLASLLHSFEWKLPQGTEHDMSSKFGIVMKKKKPLLLIPTSSLPNLELYKK >EOY20788 pep chromosome:Theobroma_cacao_20110822:3:1201955:1203366:-1 gene:TCM_012128 transcript:EOY20788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEANNVCSSAIVGQVLIGAYNYENWKACVQNHLSRNLLSCEIPSSLGNLLRLERLNLSFNRLQGEVPSSLGKLTSLNMLNLSNNHLQGALPFTFSGFPLSSFSGNDKLCGPPLSSCMDLTGHEKNKLSNSVVICIIVAIVFTSAVIYLVLIYIMLRIWCNWRKVSISNSEGAGIEQKREEEKWVYGDEKKRKGEYWRVNSMALVPSQKEHISSSCIFHVKMDTQRYREILLFHASNLVSFSL >EOY23297 pep chromosome:Theobroma_cacao_20110822:3:26956946:26957856:-1 gene:TCM_015239 transcript:EOY23297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLRLVQAGTTLARTKKWWGLALARLKAGLPSCSIQSGFASENVGTVGLGPMGPVQLIGTLKIKER >EOY23625 pep chromosome:Theobroma_cacao_20110822:3:28057865:28061979:-1 gene:TCM_015461 transcript:EOY23625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee family zinc-binding protein, putative MEFGGLYSCRNCLNPLALGDDLVSKNFKAKSGQAYMFIHAMNVVLGPKYDKQLLTGLHTIADIHCSKCGEAVGWKYVQAFDLKQRYKEGKFVLETLKMIEQY >EOY22952 pep chromosome:Theobroma_cacao_20110822:3:25522366:25531841:-1 gene:TCM_014981 transcript:EOY22952 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein MHCRYHMWVYAMIIVTVPVIGEKSQLKSAHRSRVNVDFPPFHLSLLFEFGGGTDRGLSARPSNADRSPTRKEAFLTRMNNVLKVAEAGNEKEKDTDREIDCFREEFEEVDNVVSAVMGMDAIVQQSKIKNGGHLVENKSNFSENICKFETNAGNVLEDSEKSDDFYVSLKCSIIEETMEEGRVRGKNLNPSIEVYGVDSENEIENGEEAKESRDDQEQNKDIEVSEANGVPPVFNQDLRTEWKKEKKLELHVGGLHKNAVEQDLFDVFGKFGEVQIARIVRHPITSKSQGFAFIQYATVEQAKKVLFDLKDGIEVRGKHAKISPSHDRDTLYLGNICKTWTKEDVLEKLKGCGIEDVDEIQVPNDPKNERKIKGFAFLRFNTCCDAKAAFHRLRKPDALFGNARCAKVAFAHTPVHPREEVRLQVKTIYIERIPKSWDVQRLKTICEQYGETKKVKISRNFGNKGKDFGFISFSTHESAVACLKGVNNVQFGGGIKVKAYIARPLVGRHLQKSTCGRMKPYKRCRSTGGWKIKGHAKSEGAEKKSDMNAKTVIYKSKTKGTEEKAAAVVHKNNQDPSNSKHTIEGETNEQESIPPQAHAAGLYAKPKKTDDKRKNRKRQRDPMYSSSHGRPSHSSGSSKSRSYLRKGPNRSGNSVAYGIPFKEAYVAPRSGYPSYAYGAISESKRLSSDLYFKHALGKDALPHAGFLEPAFGKQSFDIDDYPARRTGEYNSPGNQGPAYRAGLAFSRSYVPNSFSYAGYEASGSGRVSGAYPPMQTRY >EOY22417 pep chromosome:Theobroma_cacao_20110822:3:23353849:23358687:-1 gene:TCM_014589 transcript:EOY22417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGRGGCCIARYAAAGVYDMSKVNRIMLRFRPIAPKPATGGSVSPQKSSEAYPKSGRGKRRHSKENNMNNTKRCNRKRRVLSEEKRVTLPLLPETPDCKDSISKEEKGGVVKKMVPLWLSFGQAADEKVDFLDGAARADRTAVMAAEARRVAGSCITVECVTDTWVSGDGLGSTDEERKVNLVKDTCPGFISDGLGRVTWTNGAYKEMVGGEMMVWLVMKERLPMITYPAFTCRVRVQYTCGKERSSLTLPCDVWGMDGGGFAWRLDINAALCLGRFHRLNISTAGIRSIAAGDEAIGPLSREANSRAEPIIISVYSLSLSLFARTAKGRFVEEGVFSFSL >EOY24596 pep chromosome:Theobroma_cacao_20110822:3:31561309:31564237:-1 gene:TCM_016157 transcript:EOY24596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 2 subunit 1 MPNLECRMYEAKYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRTEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETLDIDLEDLYIKIGWPLYRKYGHAFEAFKIIVTDPDSVLNTLTCEVKKPGPDGQEVTEVVPAVTEEVKDALIKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKEAMREAVAAGNDDCPVKIKLVAPPLYVLTTQTLDKEQGIAILNKAIAACTEAIEHHKGKLAVKEHPRAVSERDDKLLAEHMAKLRNDNEEVSGDEDSEEEEDTGMGEVDVENASHGIME >EOY24005 pep chromosome:Theobroma_cacao_20110822:3:29292162:29293033:1 gene:TCM_015722 transcript:EOY24005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLHALIFYSVFGYPRLILDLILPRVVTLMYCIFLLFVLLVVVASGIISWRTNREIDQLEIGKRIPQIHTFNGEPYFKLRPKFPVIRRKKLQSPAIPTLMEDVLSRCSGCEGTCDLCMECGGLPMSWHDEEDLHFLQDKME >EOY23974 pep chromosome:Theobroma_cacao_20110822:3:29159673:29162302:-1 gene:TCM_015695 transcript:EOY23974 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MGSIGNPRTWVPYMNTKDCSQGFCSLYCPQWCYIIFPPPPPIEFPDDDSGPNFSPLVIAIIGILASAFLLVSYYTIISKYCGNVDPSRRRENHDPGEVLEDNHSPSVHEPWQTSTTGLDEALIKSITVCKYKKGDGLIEGTDCSVCLSEFQEDESLRLLPKCSHAFHVHCIDTWLRSHSNCPLCRANIIFISASPLPLPPALTESPPGNESLQDRQPVTNEYAAAAQDSGRRSMQEVDRFQSDLSRLPKTPSRAFSDLGNAQGRDSVIEIREESYQQMRRSVSMDHPCQSQLSVADILHMNQEEDSRVEECHFSSGIAGSSKQSAEGSKLSNKMRVLHCVMNPVAMKRSFSSGRFFLTRQGRVRDPAIPL >EOY24195 pep chromosome:Theobroma_cacao_20110822:3:30038253:30039999:1 gene:TCM_015865 transcript:EOY24195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-like B1, BETA 3.1,EXLB1 MGFSVRFRCCLVSVMVLLPALCLCYSQDYFVRSRATYYGSPDCLGTPSGACGFGEYGRTVNDANVAGVSRLYKNGAGCGACYQVRCTNPQLCDGNGVNVVVTDYGEGDHTDFILSPRAYTRMARSNTAAQLFAYGVVDVEFQRIPCRYGGHKLQFKVQEQSRYPSYLAIVILYQTGKNDIQAVDIWREDCKQWIGMRRAYGAVFDMASPPQGAVSLRLQVSGSAGLTWVQAPNVIPSDWKAGVAYESDIQLE >EOY24001 pep chromosome:Theobroma_cacao_20110822:3:29280611:29281624:-1 gene:TCM_015719 transcript:EOY24001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein MADPKPEPSSSMPNPRPDSEPTTHHLTIPTGLTHDEFCDLIPSITQFHTYSVGPGKCSSLLAQPIKAPHDVVWSVVRRFDKPQTYKHFIKSCAVKEGFRMVVGCTRDVNVISGLPAATSTERLDILDDDQQVTGFSIIGGEHRLRNYRSVTTVHGFQRDGRIWTTVLESYVVDVPEGNTEEDTRLFADTVVKLNLQKLATVTEGLARDGDGHKSQVM >EOY21121 pep chromosome:Theobroma_cacao_20110822:3:3190600:3193621:1 gene:TCM_012467 transcript:EOY21121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MDDNSPSLCRSATTVTTMSFLFAFLLLLNVAEVGATQEICKVSKCERDGPPQTVLHLPPSVKMFVEDIDYKTQRINRSYPVDCAMIPYFSINFSASPFRQSIEPDNYTMFNCSENPTEDSFFFYEKTCLDFIYDFNISAIPSDSWEAYNLLNCSKVVDISVKPAGPISRENLYLEWSKPMCARCEAEGKGCRVKNNTEDQTECYDIPYKGHPTKLKRILAGVIPASFVLALIVVALYKLYHLEKTKKESQLKVEEFLEDYKSLKPSRYSYADIKRITNQFKEKLGEGGYGTVFKGRLSNDVLVAVKVLNNFKGNGEEFINEVGSMSRIHHVNVARLVGFCADGYKRALVYEYLPNESLEKFIFSAKGESHFLGWDKLQDIALGIAKGIEYLHQGCEQRILHFDIKPHNILLDHNFTPKISDFGLAKLCSKEQSAVSMTAARGTMGYIAPEVLSRNFGNVSYKSDVYSFGMLLLEMVGGRKNIDVTVENTSQVYFPEWVYNHLDEGEDLGIRIEDERQSMIARKLSIVGLWCIQWFPVDRPSMKVVIQMLEGEADSLTLPPNPFASTDYMKLGGSKYKNPVGTELAIIAE >EOY22098 pep chromosome:Theobroma_cacao_20110822:3:21369871:21371510:1 gene:TCM_014293 transcript:EOY22098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSLCPLSAGTETLSHLKLSFAADWALPLYQAADSSLSLSSLPSAPIKPTQRPKFLSLIYSLAGDTHSHYTHIFGLSLPNATVPPPLYTRFLGSGGGTLHYLVPGHWGARSTTLPDANFSRLAR >EOY23794 pep chromosome:Theobroma_cacao_20110822:3:28598403:28609466:-1 gene:TCM_015576 transcript:EOY23794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin autopeptidase, putative MFGSTNPFGQSSSSPFASQSVFGQTNNASTNPFAPKPSFGSPTPFGSQTGGSIFGGTSTGVFGAAQSSSPFSSTTAFGASSSPAFGSSIPAFGSSSTTAFGSSSSSFGGSSVFGQKPAFGFGSTPTQSSPFGSTAQQSQPAFGSGIFGSSTPFGSTQSAFGSSTPAFGATSTPAFGATSTPAFGATSTPAFGATSTPAFGSTGSPAFGSTGTAFGVSNAPVFGSGGTFGASSIPAFGTSSTPAFGASSTPAFGASSIPSFSFGSSPAFAQSTSAFGSSPFGTTAFGAQSSPFGAQSSAPAFGSTSFGQSPFGGQRGGSRVAPYTPTTEADSGSGTQPAAKLESISAMPVYKDKSHEELRWEDYQLGDKGGPQPAAQPSGGIGFGVSTAPTSPFISSSTFGQTSANPFSGTSNNPFSIKPPSFNSTGFTTSTTASNPFQSTSSSLFGPTSSTTPSIFSSSSTPTFGTGSSLFSSSITPSFSTSPSIFGTGAAPTTTPAFATGLNFSSSQTSPLFNSTPAIGQTGNAFGQVSSTFGQNSSNFGQTNIFNTPSTGFSGTLFSSSLSLAPSSNSAAFGPTTPSFASPFQPAPPAQTSGAFSFSNFGQTQSGGGSGIFGQSNIGQSPATQSAAVVQPMTITNPYGILPAMPQMSIGRAGTAPSIQYGISSMPVVDKPAPIRISPLLTSRYLSQRRIRLPARKYRPNNDSPKVPFFSDDEETPSTPKADAVFIPRENPRALVIRPTENWSSRASAEKASPLKDASTPVHENGKISDDGSNAEDKDKNPAENGIAKERIHPVRGNQKANGVHDDHSAQKEDSYMTLSGHRAGEAAIVYEHGADIEALMPKLRRSDYYTEPRIQELAAKERAEPGYCRRVKDFVVGRHGYGSIKFLGETDVRRLDLESLVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCFDKKTGRQYTEGPKVEKYKEMLKRKAEDQGAEFLSYDPIKGEWKFRVNHFSAYKLEDEEEHYSETCAVSDC >EOY22485 pep chromosome:Theobroma_cacao_20110822:3:23651738:23654430:-1 gene:TCM_014643 transcript:EOY22485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein MNSREVALVSTATFVGALASAFAYHLFLSSPKKQSSRADSSRNGVVSKNRPCQDPFDTSKRKGYLSWDDYFMAIAFLSAERSKDPNRQVGACLVSENGIILGIGYNGFPRGCSDDKLPWAKMSKSGDPLETKYPYVCHAEVNAILNTNHASAVGQRLYVTMFPCNECAKIIIQSGVSEVIYFVEKRLNTDTTYVASHKLLSMAGVKVSLGPQLYSTWFFCIKMCQCVLRPVCHKEVPVIFPHGYVRKHQPQMDQILLKFKEP >EOY22489 pep chromosome:Theobroma_cacao_20110822:3:23668151:23669865:-1 gene:TCM_014647 transcript:EOY22489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF579) [Source:Projected from Arabidopsis thaliana (AT4G24910) TAIR;Acc:AT4G24910] MPPEVSHFRAPQITPSVQFSITAASGSSPKFGRAARRMKFSGKTLLPVLIFILSCLSILRLLKIAITTSHSTSPASALSSTLQQECSSPSECSEVTSNAPGVSRPHKSSANATLLTPKEFKLLSNLITRKAPCNLLVFGLQSQYLNLSSINAGGVTLFLEDDPYKISEIKADSNGTRIHKVKYQVPAKKAYSLLKHARGNPACAPSTSLLQQSTCKLALRNLPKEVYQLKWDVVVVDGPIGDGPEAPGRMSTIYTASMLARVGRTTDVVVHDVNRTVEKWFSWEFLCEENLVSAKGKFWNFRIPGQSNSTRFCSPETVRIE >EOY24785 pep chromosome:Theobroma_cacao_20110822:3:32205406:32217165:-1 gene:TCM_016287 transcript:EOY24785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1 family protein MARLILPCKSSCLARTSLLGLISSAPLQAKSSVSSFQSSVKNISWYRCFSILEATGRRNYRFPHSSPYRARQTSRRLLCSVATEPLPKQVEESKMDTPKEIFLKDYKSPDYYFDTVDLKFSLGEEKTIVASKITVFPRVEGSSSPLVLDGVDLKLISIKVNGKELKEGDYYLDPRRLTLPSPPSGKFTLEIDTEIHPQKNTSLEGLYKSSGNFCTQCEAEGFRKITFYQDRPDIMAKYTCRIEADKSLYPVLLSNGNLIEQGALEGGKHYAVWEDPFKKPCYLFALVAGQLESRDDIFVTRSGRQVSLRIWTPAQDVPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETASDADYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRIADVSKLRNYQFPQDAGPMAHPVRPHSYIKMDNFYTGKFLLYLIEMLTVRPSNLHLFMKRFEYLTQNLTRLHMFVGKNYINASIIHPYNSTYQGAEVVRMYKTLLGTQGFRKGMDLYFERHDGQAVTCEDFFAAMRDANDADFANFLLWYSQAGTPVVKVTSSYNADAHTFSLKFSQVVPSTPGQPVKEPTFIPVAVGLLDSSGRDMPLSSVYHDGTLQSVASNDQPVFSTVLRVTKKEEEFVFSDILERPIPSLLRGYSAPIRLESDLSDSDLFFLLAHDSDEFNRWEAGQLLARKLMLSLVADFQQNKPLSLNPKFVEGLRSILCNTSLDKEFIAKAITLPGEGEIMDMMEVADPDAVHAVRTFIRKELASQLKSEFLSTVQNNRSSEEYVFNHPNMAQRALKNTALAYLASLEDLEMTGLALHEYNTATNMTEQFAALAAIAQKPDKTRDDVLADFYSKWQHDFLVVNKWFALQAMSDVPGNVENVRNLLNHPAFDLRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEIVVQLDKLNPQVASRMVSAFSRWRRFDEIRQKLARAQLEMIMSANGLSENVYEIASKSLAA >EOY23301 pep chromosome:Theobroma_cacao_20110822:3:26962733:26963929:-1 gene:TCM_015241 transcript:EOY23301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLESISSNFSSFLYKGIIRYLNSPKFKVNKDRISINKVCLWLMLLGFFFLFEKKKPKSQKQIIKISIRRA >EOY24546 pep chromosome:Theobroma_cacao_20110822:3:31388604:31389858:-1 gene:TCM_016118 transcript:EOY24546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQVRVHLLQLENDKIALKGNVFPVLFNAEASIQLPWCSLLRKDDIGLLITFGGCWNIILGVASFKFIVLVERQDLENKSSTAVVYATYGLSRSSWVSVEALDKAIPTTQGSA >EOY22404 pep chromosome:Theobroma_cacao_20110822:3:23313297:23316157:-1 gene:TCM_014582 transcript:EOY22404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGNLRLGVKSISSILVPNKKKCFFFFKKGFQMSKTNHYTDSKFSSIFCDLDSSINYNDWFLTLR >EOY25055 pep chromosome:Theobroma_cacao_20110822:3:33066927:33071148:-1 gene:TCM_016485 transcript:EOY25055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide 4-kinase gamma 4, gamma 4,ubdk gamma 4,pi4k gamma 4 MPQSLRAIFAEMSVADVALSPVRQEAIRSPGYCNNQPSESILVYLSVAGSVIPMRVLESDSIASVKLRIQTCKGFVVKKQKLVFGGRELARNDSLVKDYGVKGGNVLHLVLKLSDLLLITVRTTCGKEFELHVDRYRNVGYLKQRIARKGKGFVDVDEQEIFCNGERVDDQRLIDDLCKDNDAVIHLLVQKSAKVRAKPVEKDLELSVVAESDIDERRGSVGGEKNQSEGLQIVTKEPIVRDFWLKPVTVNPKVKLPSFMWDMIHSTFDGLEIGNQPIRSSEGTGGTYFMQDKLGLHHVSIFKPIDEEPMAVNNPLGLPVSTNGEGLKKGTRVGEGAVREVAAYILDHPKSGPRSLSGEMMGFAGVPPTCMVQCLHKGFNHPKGYECAPEDVKVGSLQMFMKNSGSCEDMGPGGFPVEEVHKISVFDIRMANADRHAGNILIGKREGGQTMLIPIDHGYCLPENFEDCTFDWLYWPQSRQPYSPDTIDYIKSLDAEQDIALLKFYGWDVPVECARTLRISTMLLKKGVERALTPFAIGSIMCRQTVNKESVIEQIVREAQDSLLPGMSEAAFIETVSQVMDSWLDKLTN >EOY22796 pep chromosome:Theobroma_cacao_20110822:3:24830829:24832997:1 gene:TCM_014861 transcript:EOY22796 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MLSTKRSRASSSNSYQLLSSSSADLIASDDNILTLILLCLPLKCLLKFKTVSKHWLSLITDPRFSPKYDCRTTSGLFARRLSGQTKAEYDFINLTPNPSRAPFQSLTFVDDPSGIRILQSCNGLLLCCSFRANRPETTYYIYNPTTKQYTVLPGLGPGPRGRSSRNTFGVSLAFDPSKSPHYKVICIRNCDSDLPDHYQIEIYSSRSGPWRPSCCPFAAPINVQFKNGVFWNGALHWLSDWGDSLCFDVEEERIRDLPMAPVVGDVRLYRYFGQSGGHLHLIEVYGSDTLQFDVYEMERDYSGWFVKYQVDLNPIAAAFPEMARGYVDPVDLHSYAFSILSVVQQESDEDSFLVLHLPNKAIRYNFKDGSFSKLHDFAPLRTRVGGDGSLEFDCYDAYQFTESFACV >EOY24917 pep chromosome:Theobroma_cacao_20110822:3:32669520:32670665:1 gene:TCM_016385 transcript:EOY24917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1191) [Source:Projected from Arabidopsis thaliana (AT4G23720) TAIR;Acc:AT4G23720] MSLTVLWLVLSLYFSSSVHSLDNFSNDSLDMFLQDFAFRALVRHRPHTGALYKASLPANLSGMEVSIARIRSRTLWKKGATLSSFHIPSRTVPVPHVRRLAIVYQNLGNWSSHYYKVSGYSLITSVVGFMVFDASNTRAKSLRNISLDTMGKPISIHFSNPKFPDGMESTAARCVAFSTKGTVHFSDMILPNVCYTSDEGHFSVVVRLKRKHRPWYPWVIGVVLGSSGLIFTAYFGLVFIRLLKTKRIQAMERQADEGVIFDSRWVGSSKMPSATVTRTQPVLENGGFP >EOY21199 pep chromosome:Theobroma_cacao_20110822:3:4044667:4047051:-1 gene:TCM_012583 transcript:EOY21199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLRVSQFIDNLKWHKISPSSTPWPAKPARRERKQKQNPRKRKSKKKCEFSRKLSEKGGESSDKGKEIASEDQ >EOY23413 pep chromosome:Theobroma_cacao_20110822:3:27328472:27330586:1 gene:TCM_015316 transcript:EOY23413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein MATSWCFTLAIFVVILLASPNASLATMNVIDKCWRGNPRWRSQRQQLATCSVGFSGKMTNNIGKGVILYKVTDPSDDPLNPKPGTLSHGATMIKGKVWITFKRNMHITLQRPLLISSFTAIDGRGVNVHVTGAGCLLVYQATDVIIHGLHIHHCKSQPPSSVMGPDSKVITVGQMDGDAIRLVTAKKVWIDHNTLYDCQDDLLDVTRGSTDVTVSNNWFRNQDKVMLLGHDDGHLRDRNMKATVIFNHFGPNCYQRMPRVGHGYAHVANNLYQGWEQCAAGGSMRPSIKSEANYFIAPKLGNKEVTWRQGNRQDKASWKFYSVGDIFENGASFSSQTGLGGAKRYYNQEQSFKVADARLVSELTRSSGVLKCSRTLRC >EOY23506 pep chromosome:Theobroma_cacao_20110822:3:27635802:27636493:-1 gene:TCM_015376 transcript:EOY23506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRATLSRLFSSISELSIPKPSLSSVRSEPMTRFGSNSASRFLCCSAQQPQLNHQEKANEEKREAVKEDPEIENKENEEDDDEDGDHVNKQTGEVGGPRGPEPTRYGDWERNGRCYDF >EOY21729 pep chromosome:Theobroma_cacao_20110822:3:17715106:17718900:1 gene:TCM_013839 transcript:EOY21729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGRVQCCKRCGLRYGASLGHSFPFAMCKQVGVHWDVSEELLHPKLPIDLNLILRQSQTSTGGCSSSTHHLPPPRLRHQQLSMT >EOY23660 pep chromosome:Theobroma_cacao_20110822:3:28142097:28172297:1 gene:TCM_015479 transcript:EOY23660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin supergroup,Ribosomal protein L40e MMRVKEERERERERDRSRSRVRKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >EOY21587 pep chromosome:Theobroma_cacao_20110822:3:13283214:13289898:-1 gene:TCM_013461 transcript:EOY21587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVNRDVAAVVMGPREVPGRDILSSLNAYSTLLGDILNYVQSDGKKSDLNEGVYQKDEASFNTQFDDLFHDLDNNTILVSGEYEEVNAFVDVKHNEKDDVDEGVEREDEETEEDANKDDDDDEDENEENEFTVLDDD >EOY24479 pep chromosome:Theobroma_cacao_20110822:3:31136359:31140373:1 gene:TCM_016074 transcript:EOY24479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MGISQFLFFLILLLFTFYLPLSVHGNTEVRALMELKASLDPTNMVLESWKSDGDPCGGSFVGVACNEHRKVANISLQGKGLSGKVSPAVAGLKCLSGLYLHYNSLSGEIPKELSNLRELTDLYLNVNNLSGSIPPEIGNMADLQVLQLCCNQLTGNIPTEIGSLKKLSVLALQYNRLDGKIPASIGNLGMLRRLDLSYNRLSGAIPTTLADIPQLEILEIRNNTLYGSVPSGLKRLNGGFQGENNPGLCGTGFSTLRDCTPFDGLNINQLEPFRSHLNGTATGVTSQTSNIQGDCNHTDCSNPSRFPKIPVIAGIITVSFVFVVGGFLAFVHYRRQKQKIGNTSDPSDGRLSTDQAKEFHRNGSVSPLVTLEYSYGWDPLGDGWKGIGFSEEHLNKFRFNLEEVESATQCFSEMNLLGRSNFSSVYKGILRDGSLVAIRSINVTSCKSEEAEFVKGLYLLTSLRHENIVRLRGFCCSRGRGECFLMYDFASKGNLSKYLDIEGGGEQILDWSTRISIINGIAKGMEYLHRSEANKQPIVHRNISVEKVFIDQQFNPLIADSGLHKILADDVVYSTLKVSAAMGYLAPEYITTGRFTEKTDIFAFGVIILQILSGKLQLTSSMRLGAESSKFEDFIDTNLRGEFSESMAAKLGKIALSCTHEHPDDRPSMETIIKELNDCNVSS >EOY25133 pep chromosome:Theobroma_cacao_20110822:3:33366769:33369207:1 gene:TCM_016546 transcript:EOY25133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein, putative MNQLLCFTSAFCLILFHGYLSIAEAGLIGVNYGMLGNNLPPPSEVIALLKSRQITRVRLFDPNYDALQALQGSGIDVILGTLNQDLQNLGSDLSFARNWVQENVIPYSEAVTFRCISAGNEVIPGDLAVHVLPAMRNLKTALREANLGEIPVSTTVSTAVLGNSYPPSRGEFTKEVSPTMKSITEFLAAAKSPLLVNVYPYFAYIYDQQNISLAYALFNSSEVVVKDGQLGYKNLFDAITDAVYSALEKVGGNYVVIVVSESGWPSNENGEIATIANAQMYNNKLIAHVSGASGTPKRPGRSIDTYVFAIFNENLKPSGTEQHFGLYYPNMTEVYHVEFTQ >EOY21819 pep chromosome:Theobroma_cacao_20110822:3:18776495:18783759:-1 gene:TCM_013952 transcript:EOY21819 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MATKGNTGELKTRSSVSIFIVVGLCCFFFILGAWQRSGFGKGDRIASEITRQTDCSILSNLNYETHHGGDTATIDGSDSAAKDFQPCDDKYVDYTPCQDQMRAMMFPRENMNYRERHCPPEEEKLHCLVPAPKGYATPFPWPKSRDYVPFANAPYKSLTVEKAVQNWIQYEGNVFRFPGGGTQFPQGADTYINELASVIPLDNGMVRTALDTGCGVASLGAYLFKKNVITMSFAPRDSHEAQVQFALERGVPAVIGVLGTIMMPYPSRAFDMAHCSRCLIPWGANDGIYMMEVDRVLRPGGYWVLSGPPINWRNNYQSWQRPKEELEEEQRKIEEIAKLLCWEKKHEMGEIAVWRKRTNYALCRQQDAKLTACEMRNPDDVWYKKTEACATPYPETSGPDEVAGGEWKQFPERLNAVPFRISSGSIPGVSVETYQEDVRLWKKHVNAYKRINSIIDSGRYRNIMDMNAGLGSFAAALESPKLWVMNVMPTIAEKDTLGIIYERGLIGIYHDWCEAFSTYPRTYDLIHAKSIFSLYKNKCNVEDILLEMDRILRPEGTVIIRDQVDELMKVKKIVGGMRWNTRMVDHEDGPLVSEKILFAVKRYWVAGESNTTSME >EOY24990 pep chromosome:Theobroma_cacao_20110822:3:32888963:32889757:-1 gene:TCM_016441 transcript:EOY24990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELGMEGLGKYLCGRRDSLPGSFGSLGFSMLEYRLNSQGDWLPGSSHISLYSFCFGAFSSLWGYVTEHGMMLLTFLLF >EOY25401 pep chromosome:Theobroma_cacao_20110822:3:34169088:34173756:-1 gene:TCM_046749 transcript:EOY25401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein MLGARLGQWLSLLVCCLLILLKAEGGSVGITYLQSAVAKGAVCLDGSPPAYHFDPGSGAGVNNWLVHMEGGGWCEDVERCLSRRNTDLGSSEQMVKQFGFSGLLSSQQKSNPDFYNWNRIKVRYCDGSSFTGDVEAPVNNLFFRGNRIWEAIIEDLLAKGMRNAKNAILSGCSAGGLASILHCDRFRALLPAATKVKCISDAGFFIHAKDVSGGQHIENFYSQVAKLHGSVKSLPASCTSRMSMKPELCFFPQYVVQTMQTPIFFINAAYDSWQIKNILAPTAADSGKAWKSCKLDLKKCTPGQLKIIQDFRAQFLSALTGAGNSSSRGMFIDSCYAHCQAGKQITWSSNNSPAVGNTKIAKAVGDWYYERSPVQKIDCPYPCNPTCQNTDSESQLPDI >EOY21439 pep chromosome:Theobroma_cacao_20110822:3:8161447:8200697:-1 gene:TCM_012991 transcript:EOY21439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIDGRMVLVKEANVLEGKSAIGSLHLGCLIKGLKEGLEMQGVEVKIQSLDGYYLLITFFDSKMMKVLTESYLNCFKPWLSNLVAYNYNVVQQEMFCWVRLDEISFQIWHLNSFKALGDRWDEFVKPDANTMALSRLDYGRILFKVKSIRTNLSWSTGREEVDILAQKFCPDEHVFEYDEDNDMFAIDRVNWESNKEANLQLMLFVREKMYDGDAEVVSSVEEVTAVERLRRKPRSKKGSNKGYSKWGAYKGDRVLWSSKWSKDLTDDLNRESE >EOY20430 pep supercontig:Theobroma_cacao_20110822:scaffold_266:1339:2581:-1 gene:TCM_046319 transcript:EOY20430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPPAPSFAPPNKFNIPNKKERMVKAMEKSPTRRRMRMALRFRGTSAEVKRKSSRSIRTRSRNASSKIENWWTMVEDTTRFQLHSRGWVGKSRRKRRSSSI >EOY20437 pep supercontig:Theobroma_cacao_20110822:scaffold_28:136957:141541:-1 gene:TCM_046035 transcript:EOY20437 gene_biotype:protein_coding transcript_biotype:protein_coding description:NBS-LRR type disease resistance protein MLFPCYFGWKTTLANVTFEFDNIFIMRLLLVTYNTLLILGSSYHQVTTECCDYKDKDRDCIVGQTSYTCKLEHNLKALTVELAKLKARSDDLKDRVDLAEQQRMKQLNQVQLWLSRVQTVAVEAEELIQNGLQEIQKLFFAACFSKNCNSSYKFGKQVARKLEEIVDLNEKGEFERVAENELAPQVDVRPTEPTVGLESTLANVWRLLEEKDVGIIGLYGLGGVGKTTLLTQINNKLSNNLIGYDVVIWVVVSKDHTIEKVQEKIGEKVGLSNELWKNKSYDEKAIDIFRILSKKKFVLLMDDVWERVDLIKVGIPVPNQDNVSKLIFTTRFLEVFGEETLDSHPDTRGLAQQVAAKCGGLPLVLITIGRAMACKKMPQDWKYAIEVLQKFFA >EOY20433 pep supercontig:Theobroma_cacao_20110822:scaffold_28:8136:9543:1 gene:TCM_046027 transcript:EOY20433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDMVRESAGIKATSSKKKSKDNCVWVKPPNSSLKFNIDSVARGCPGPSGIGGALRDHNGCAKIHFAKPLGKYDSNMAKFLALKRSFFLFVASSWSANVLFILSLLNQTHLILLNG >EOY20438 pep supercontig:Theobroma_cacao_20110822:scaffold_28:144710:148112:-1 gene:TCM_046036 transcript:EOY20438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase MESRMLNKQHACIGRALHFLSKELYSQDSHVLLELVENADDNVYSETVEPTLTSILQEPGIILFNNEQGFSAQNIGALCDFGSSTKKVSAGYVGKKGIGFKSVFQVTDAPEVHSNGFHVKCDISDGWIGFVLPTLVPSCNVDSFEMLLSGDNNQLDNKCWNTCFVPVTSKGNDMINIISMFSDFHPSV >EOY20440 pep supercontig:Theobroma_cacao_20110822:scaffold_28:154056:155781:-1 gene:TCM_046038 transcript:EOY20440 gene_biotype:protein_coding transcript_biotype:protein_coding description:NBS-LRR type disease resistance protein MVPLNQVQLWLSRVQIVRAEAKVLIKGGTQQIQKLCFGGCFSKNCKSSYNFGKQVTRKFAEIVDLKNEGDFERVAENELAPHVDVRPTEPTVGLESTLANVWHLLEEKGVGIIGLYGLGGVGKTTLLTQTNNKLSNNLIGYDIVIWVVASKDHTIEKVQKKIGEKDDVWERVDLIKVGIPVPNQDNDSKLVFTTRFLEVCGEMEAHEKIEVKCLSENAVWKLFEEKVGGETLDSHLDIRELAKQVAAKCGGLPLALITIGQAMACKKMPQNWKYAIEVLKEFPHKLPRMDEQVYPLLKFSYDSLLINAMRSCLLYCSLFFKYSKISIYGLIGFWFCKGFLNEFDNISRARMQGYNIINSLLNACLLERDEDVKFVRMHDVIHDMLLWIARECEALEKKFLVQAGERSIEAFDVGNWEGVRMSLVKNGIEDLRGNLTYPNLQTLFLIDNKLKVISDGFF >EOY20439 pep supercontig:Theobroma_cacao_20110822:scaffold_28:151042:153841:-1 gene:TCM_046037 transcript:EOY20439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein family, putative MEHLERLNINCCKSLEEMAIRKMEKELEEGTSSLFPTNINTISPCFHALSRVSRSKCINLTDMACLIFAPNLKCLSVMFCLRMEGIISEVATVVGVPQPSPFAKLEKLDLRELPELKSIYWDALPFPCLRQIKEFNCPKLKKLPLNFDSGKQISIEGYEEWWEELQWKYEATRNAFLPFFKHVDWWKEVDFKDEATLRPTFCNGKTTKETDWRMGKSISLMIATCMLKSTLKDRKDAGKSLQEHCSDANNLPRVPKNCLQCSIHMYFLLFQPPPITETLTGRRDEAWKKASG >EOY20435 pep supercontig:Theobroma_cacao_20110822:scaffold_28:18179:19864:-1 gene:TCM_046029 transcript:EOY20435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSYLWKNIVKPLTSNDSLSCIVKNGIGFSPGMENKIKLWHDCWKKCDWGKPSTGFTKFNIDGAARGCPSPFGMGGAMHIHEKHVKILFSKPLGHGDSNMAEILAIKQAFYLFVAFSWDHDHINCLKNKIKNWSVIHTSRKANHLADSLAKLAVDRSTDHLQLFA >EOY20436 pep supercontig:Theobroma_cacao_20110822:scaffold_28:87107:90681:-1 gene:TCM_046031 transcript:EOY20436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNGNKGVGKDLEIEAFPSYWWSFDSDSEPREYGPGITTSRIPTDHPIIAFSLLSTPHRRWDLRLLPYAFHRIWCRSTRLILLSPVPASSSLYLPPLSLSFPYAGSHSLASICSWSSHRRRRPGNPILSLSLLLTPLCRQPPDQTKKP >EOY20434 pep supercontig:Theobroma_cacao_20110822:scaffold_28:10246:23205:1 gene:TCM_046028 transcript:EOY20434 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMV resistance protein N MAVSMVQESFSSISRYTYHIFLSFRGADTRKNFTDHLYMVLMHAGIHTFRDDNEIERGENIRDEIERALHESKMSIIIFSKNYASSTWCLNELVKIMEHRKFSKHIVLPIFYDVNPSQVKKQTGSFAEAFARHEESFKYEMDMLQGWRNALREVADLGGMLLEDRHESQFIQDIIQQVQNKLHRTALYVPPYLVGIDALVARINWWLRDGSNKVGIATICGIGGIGKTTIAKLVYNQNFQRFESYSFLANVRETTQELNGLVQLQRQLISDILKGKANKIYNSDDGITKIKETICHRRVLLVLDDVDDSEKVTEIIGVQIPFHPGSKIIVTSRHRCLLSDLFIRQMFDLEESSNYGDLCKVIEVKELAFNESLQLFNWYAFGQNNPIESYMDYARSIVKHCGGLPLALQVLGSSLSGKSINVWRSALEKLKAIPHSKIQKILRISYDSLQDDHDKNLFLDIACVFIGKDRDYTTKILDGCDYYTVIGIENLINRSLLVVSERNKLMMHQMIRDMGRNIICQESFDLGKRSRLWHKDAFDALKEKIGTKTIKCLTIDLQRLLEEKYGKTTANHSKNSFHMSNEVHIETDAFAKMQRLKLLQLDYVKPKGEYRDFPGSLIWLCWHGFPQEYLPRDLDISRLVVLEMRNSSLKHVWNDTKYFLPNLKILNLSHSHGLLKILNLSGLHSLERLMLKDCIKLIEVDQSIVEIKTLVVLNLKGCKSLRKLPKTIGSLESLEELILSGCSTFNDAPRDLQNMNSLKVLNLDGTTIYESNSWLSWLSLKRSKELGFFWTSLPCSLVKLSLESCRLSDDVMPVDLSYLPSLKSLNLSRNPIHSLPESISCLTKLDELLLICCKELQWLPKLPTSGLGRLTSQSSYGISSLPCLLNLKKCIVFGCEKLIEVEGVFKLEPVENFEVEKIESLFNMDLIGGIKLKIYNYLTDTKMVATTQIFCDGDITSCFVSGNEVPILFESRSKGSKISFSLPQNPGEKVCWLKLCIIYSHVSDEIFDYPPWVQIVNETKKLAWLYFSSFIGISETNSDTILWLIHWPVMDYQLENGDLVSCKLSTSGFNVREFGVTCVSKTKVISEDDTPQYSQENEVIWREIELKVNEELLKLGSSGNIKVQIYNNLEESKMIASPKVLYDCGIISTYDINDVPEGRYNYHAVGNEVSFVVAQSPGQHIGYLNLGAILFEEDNKIFDFLSRIEIVNKTKDTKWVNYKNFIGIPKVKNNIFWFSSWRFMGELEEGDQVSCTVFSDLYVKEFFFDLVYELDDDLQHKCNSTDQHLQGNTIYSCWLFPILVYYLFKSQRTLYRIQS >EOY20379 pep supercontig:Theobroma_cacao_20110822:scaffold_184:2:2270:1 gene:TCM_046262 transcript:EOY20379 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H, putative MNFFLDGNILYKRSRDQTLLRCVDSTEARRIVEEVHEGVCGAHASGHKLARQVMRVGYYWLTLEKDCIDFARKCHKCQIYADRIHTPANSLHVLASPWPFSMWGMDVIGLITPKASNGHRFILVAIDYFTKWVEAASYANVTQKVVCKFIQKEIICRYGLPERIITDNASNLNGSMMKEGDLDTKKVQILQKLNTERVKIMIRDVHAKDKGADKCAYFEIKF >EOY20380 pep supercontig:Theobroma_cacao_20110822:scaffold_184:1950:5152:1 gene:TCM_046263 transcript:EOY20380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYRNNMAFSVILRTIWLHRNNMIFQGKVWDPSPVFELAESRVSQWAKAKWPAMCSSSVYLPCDPTCVSVLKEKLSAKSFCVWVRPPVGSLKFNVDGAAKDCPGLVGLGGMLRDCSRNVKLLFSKSLGIDDSNLSEIMTMKDAFVFFFATFSWASSHSLIIELNSMSAVKWCNNLEIAS >EOY20490 pep supercontig:Theobroma_cacao_20110822:scaffold_417:1:3691:-1 gene:TCM_046352 transcript:EOY20490 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein MVQENPCPNCVGANRRNPINDSYGMLLFNRKGNLMLFSRSNGVVWSTNSTKIVRKPVVQLLDSGNLVVRDESDDGNSERTLSWQSFDYPTDTVLPGMKLGWDLRTGLDRRLTAWKSSDDPSPGDFTAGVELNNYPDVVGWKGTKKFIRTGPWNGLGYSGTPLLKPSPGFHFEFVWNNDEVYYRFYLGNQSAIMRYVLNQTIYQGQGYSWIEESRSWMLSTYPPTDVCDNFGLCGAYGICDSAEALPCQCLKGFKPKASRYWDSINWSQGCVRNKPLDCQKGDAFIKFGRLKLPDTEHSWVDKSIGLKECRAKCLQNCSCMAYTNTDIRGKGSGCAIWFGDLIDIKQFQDGGQELYIRMSTSEADRARHELLDWPKRFHIINGVARGLVYLHQDSRLRIIHRDLKTSNILLDSEMNPKISDFGLAKTFGGDQTEGNTNRVVGTYGYMAPEYAIDGQFSVKSDVFSFGVLVLEIISGKKNKGFYNPSHYLNLIGHAWALWKKEKPKELIDSFLQESCSLSEVVRCIHIALLCVQQRPDDRPSMSSVVLMLGSEIALVEPKEPSILMDNKSLETDSSSSNSKLSNNDVTISTLDGR >EOY20319 pep supercontig:Theobroma_cacao_20110822:scaffold_12:32117:33251:-1 gene:TCM_045793 transcript:EOY20319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSFYRSFIKQVFNWWSQHCNSFHVPSEHQIRHYFHVMDLANGHVATLRKLFTTQNIGCVAYNLGINCATFVLEMVTAFEKASGKGMCNFASSLKYGEDSSFLLEFDPHA >EOY20321 pep supercontig:Theobroma_cacao_20110822:scaffold_12:36956:40038:1 gene:TCM_045795 transcript:EOY20321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MCRCWMLEKNMPKRFWAEAASTAVYLLNIIPTRAKQNITPYEAWYGTRPSVDHLRVFGSLCYQHIPEEQRDKLQPKAQIGVLIGYSLKSKAYRIFQVESGRISVSRNVVFDENRSWNWEQSQAADSNEFIDVSKYQHTNSQILVDDETVDEASVRGVRSIQNIYERCHMAITEPNSYEDAAVYEHWVTAMKKELNMIVKNKTWSLVDRPKDRQWKLFHLDVKSAFLNGKLDEEIYVEQPPGFELCSGQGKVYRLHKALYGLKQGLVHNEAPLILSLYVDDLLVTGGSEDAIRKFKQNLEKEFYMSDLGDMSYFLGLEIKRIDRGIHVSQHKYVLEMLEKFQMNECKATASPLAVNEKLSSQFGYELENPSQYRSLIGCLLNLCATRPDVIFSDSDWAGSLDDAKSTSGYVFTLGNGSFSWSSHKQDTVAQSSAKADYVAAASVANHAIWVRKVLHDLTMPQLEATDLWLDNKSAIAMAKNPIFHGKTKHISVKYHALRDVEKKGEICIQYYPSEDQLVDIMTKALRKPMFEFWRNKLMVHQASIKGEC >EOY20328 pep supercontig:Theobroma_cacao_20110822:scaffold_12:856335:857381:1 gene:TCM_045873 transcript:EOY20328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCHAFINLLFFFLKGTKSAHLPPHGRPNQQEERENKNKTLERENQRKSVNFQGN >EOY20324 pep supercontig:Theobroma_cacao_20110822:scaffold_12:677052:681160:1 gene:TCM_045858 transcript:EOY20324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTMHLIKGKMIVQRMTLLIGMMAIMLVGMMTIYSVRNFENMVPWKVSIISCLNSLNLFVMKVHFDNKDKEFVLVSIHYLLIKVPTFY >EOY20327 pep supercontig:Theobroma_cacao_20110822:scaffold_12:822796:824743:1 gene:TCM_045869 transcript:EOY20327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon, unclassified-like protein MMTNWKISHYLLQVKKSLSNKWPKPFLYMCWAASNFQTRYVKKLTLPLLNFDRVVMEKDKKIHWRNWKSICLSKFSRAMGFRDTKNFNLAMLANQGWGFQMQEPTLVYSVLKERYFPNFEFVNAPIGSNPSYIWHTIRKSQTVIQKRLIWRIRDGSKISVKNECWIPYGTPRLVLACGNSY >EOY20322 pep supercontig:Theobroma_cacao_20110822:scaffold_12:89858:97776:-1 gene:TCM_045800 transcript:EOY20322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTP-binding protein MGSLLRASKALKPPKYLSPFFSTFRSNNAYDSFGLTQRFCSHSRQNSKETATIDLSQYPTEKIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKHKFHGSSVGDANEPSTFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESDLTIIPIINKIDQPTADPDRVKAQLKSMFDLEPTDALLTSAKTGQGLEHVLPAVIERIPPPPGSSNSPLRMLLLDSYYDEYKGVICHVAIVDGALRKGDKISSAATGQAYDVLDVGIMHPELTPTGILLSGQVGYVVTGMRSTREARVGDTLYHTRTTVEPLPGFKPAKHMVFSGLYPADGSDFDALNHAIERLTCNDASVSITKESSTALGLGFRCGFLGLLHMDVFHQRLEQEYGAHVISTVPTVPYIFEYSDGSKVEVQNPAALPSNPKKRVTACWEPTVIATIIIPSEYVGPVITLCSERRGQQLEYSFIDSQRAFMKYQLPLREIVVDFYNELKSITSGYASFDYEDSKYQQSDLVKLDILLNGQPVDAMATIVHNLKAQRVGRELVDKLKKFIDRQMFEITIQAAIGSKVIARETISAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRVGSVDIPQEAFHQLLKALWHACAGPLVAVPIEGERVFYFPQGHLERVEASTNQVIDLPRNDLPWKILCRVINVQLKAEADTDEVFAQVTVLPEPNQDENGAEMEPPLPPLPELLEHSFCKTLTPSDTNIHGGFSVFNRHANACFPPLDMSRQPPKQELVARDLHGNEWRFEHVFKGNNINLNNELLYFIFDSHEDWDARSTTKALAYKRLEGFLSSKRPVAGDAFVFLRGGNGQLRVGLRRALRPQGNVPSIINSSPGMFDEVLSAAWEAFTRRTLFTISYKPRTSPAEFVVPVDQYMESMRRHYTIGMRFQMRFESEEAPEHRFSGAIVGIEDADPIKWPGSKWRCLKVRWDQNGTPSTPRAGRVSPWKVERFKSSSNALIAWKKIRCHHPLILLLALRKVEWSYISCQYIFIRENCEK >EOY20323 pep supercontig:Theobroma_cacao_20110822:scaffold_12:496115:499566:-1 gene:TCM_045840 transcript:EOY20323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinohemoprotein ethanol dehydrogenase type-1 MELVLSLCLVFLLASKAAGSNDVQDWLNHGGNLLNRRFADNETKISPGTVSRLRLKWTFNAGRDITATPAIFGGTLYFPSWNGYLYAVKASDGSLVWKQNLQQLTGLKSTVAISNVNVTVSRTTPAIADDLLILGLSGPAYVVAVKRSNGQLVWSTQLDKNSHGVITMSGTYYKGHFYVGTSSLESTVSIEECCIFRGSFVKLNARTGKILWQTYMLPDNFGKRGGYAGAAIWGSSPSIDVRRNHVYIGTGNLYSAPKNVTDCQERQNNQTDIPTHPDECVEPENLSDSILALDLDTGKIKWFHQLGGYDVWTFACINPSNPSCPPGPNRDADFGEAPMMLSTYVNRTKRDLVVAAQKSGFAWALERDNGNIIWYTEAGPGGLVGGGIWGAATDEKRVYTNIANSDGKNFTLKPSTKITTAGGWVAMDAKNGQILWSTADPSNGTASGPVTVANGVLFGCSTYRHGPIYAMNSYTGKILWSYNTGATIYGGLSVSNGCIYIGHGYKVSLGFSNPRFTAGTSLFAFCVS >EOY20318 pep supercontig:Theobroma_cacao_20110822:scaffold_12:23486:25100:1 gene:TCM_045790 transcript:EOY20318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein MRDEDSNWFARWEEELPSPEELMPLSQTLITPDLALAFDIRNPNHHHHLQQQQQQPQPPPPPPPSAAGQPPSQPTSAEFAADSGDLGSGAAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQFMSVDGLTRENVASHLQKYRLYLKRMQGLSGGGGGGGGGANGGAGGASLSATADPVTDHLFASSPVPPHFLHPGRGNSEHFLPFVPVPALQHHHHHQQQQIVAAAVGHPHLQTQYHRQMGHFGSSPNGQFEHPFLGRQTQQPMHRMGGAPVHNQVPSSYVEDLESANGNGGRKVLTLFPTGDD >EOY20320 pep supercontig:Theobroma_cacao_20110822:scaffold_12:34828:36755:1 gene:TCM_045794 transcript:EOY20320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pol polyprotein-like protein MASPSPSNTAFSFQSPPVFNGENYPIWSEKMESYLRGLDLWDVVESGAEVPALKDNATPAQVKQHHKEVAKRFRALSYIQGALTDAMFVRIMGCKTAKDAWERLKVQFEGSERTKEMQIMNLTREFDTMRMKDSENAKDFISRLMRVVNQLRLSGEDISERRVVQKALVSLSERFEATVASLERELSKMSLSDVAYALQAAEHRRVMRSESVTENVLFAKMKGKTVGETSTRKGPVEQKGKDKGVQSIYRNQQKKGKAHICSHCKRKGHAEVSCWFRPNVRCRNCNQLGHVQRVCKNKVETQVKADEPVEKAETAEEHLFMAQTGNFKTVDASLWFLDSGASNHMTPNVKLFVEIDDQYRSKVEIGNGVYLQATGKRLVPIQTSSGARYEFEVLLVPEITKNLLSVGQMLKHNHVLLFKDMSCTIYAPNGDYMMNISMKQNCFPVNWKDACLQATDVHSNLTSLWNKRFGHCNYNSLIQLSNSGLADKLPKL >EOY20326 pep supercontig:Theobroma_cacao_20110822:scaffold_12:795387:814438:-1 gene:TCM_045867 transcript:EOY20326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinohemoprotein ethanol dehydrogenase type-1 MVISYININSISMAAHVYHHNVLLMFLFSFPFLNRTFAQFGWNSVIKEQKSSQNWLNHGGDLYNRRYAEKETRISPETVSRLRLKWEFYAGKDITATPAIFNGILYFPSWNGNIYAVEAADGSLVWEKNLHELTGLTGTGFLINVNWTVARATPTIADDLLIIGISGPAIVIAVERLTGELVWSTQLDNHATGVITMSGTYYKGLWAKLEKIYLAKSFFNKLQPRRKLYRLKMEENGDLMKHMNEFDGIIDQLKKVDVKVKEEEKALLFLASLPNSYEVFVESLICGVDTITLEQAQAMLISREARKKRKEGDRDPSGLALVIEVPKSGRCNKSIVKVMGLKVVKIKMFDGVVGSLGGVAYVLEMQCEALKGWEQCIGDNSYQSEISFAKEVMEGSDGIDGGERTKNLVSNELEGLSRYFYVGTSSQEESLSIEQCCTFRGSFAKLDALSGRVLWQTFTLPENFGQKGEYAGGAIWGSSPSIDITRNLVYIGTGNLYSAPLRIRQCQEAENNQTVPTSPDKCIEPENHSNSILALDLDTGTIKWYRQLGGYDVWFFACNNLSTPNCPPGPNPDADFAEAPMMLSINVNGTKQDIIVAVQKSGFAWALNRDNGSPIWSTEAGPGGSAGGGTWGAATDKKKIYTNIANSQFKNFTLRPSTTNTTAGGWVAMDADNGEILWSTADPSNATASGPVTIANGVLFSGSTYKQGPIYAIDAENGKILWSYDTGATVYGGMSVSNGCIYVGSGYKVSFGAVIPSFSAGTSLFAFCMS >EOY20329 pep supercontig:Theobroma_cacao_20110822:scaffold_12:858463:864951:1 gene:TCM_045874 transcript:EOY20329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein, putative MIQATNLSKSKLRPPVGYITMINQFSIMILELDCNIQLSLMSITNEVWVNGFVDISLNTSTLSYYSYKYLWTHLLCLVAIHNKAKISFLFALIAAFAIANGSATKEEVGIYELKKGDLSVKFTNWGATIVQVVLPDKYGKLGDIALGYDSVQEYMNDTSYVGSIVGRVANRIAGAQFTLKGVHYKLIPNEGKNMLHGGPIGFSDVVWKVEKYKKDGHAPSIVFAYDSYDGEQGFPGALKVTVAYTLLPGNKLTVTMKANALNKATPVNLAQHTYWNLGNHNSGDILSEEVQIFASQYTPVDSQLIPTGEFASVKGTPYDFLKSHTVGSRINKLPSGYDINYVLDGAPGKIKKVAVVKDKKSGRVMELLTNQPGVQFYTANFLKDVKGKGGYVYQPHGALCLETQGFPDSVNHPNFPSTIIYPGKEYKHIMLFKFSISS >EOY20317 pep supercontig:Theobroma_cacao_20110822:scaffold_12:4596:23438:1 gene:TCM_045789 transcript:EOY20317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase 46 MALRFFSLIFFLFCSNSWAELIHFLPGQHKNVSFNQYSGYIVTDARHGRALFYYFVEADSNTDELKPLTLWLNGGPGCSSLGFGAFMEHGPFQPGEDGTLIKNEYSWNLESNMLYVESPIGVGFSYSNTSKNYLWNDTQTAQDNLMFILNWLEEFPQYKESDFFLAGESYAGHYIPQLAALLVEHNKKPNIEPVNLKAIALGNPLLDLDISVLAGDFLWSHGAISDETLFLEKTVCNDSKYLREYVHDKWSEGCNDVFNRVEDEVGVDVGLDDLLLPTCLSSSYAEQLKLKGKLGKIHSAVAKRAKKGDPCLEGRILTYLNRREVQKALHVSTTHHPSHWAFCEGPLVYQEDNLDMNLIPLVSDLIKEAIPVLLYNGDQDAKIPLTQTRIIANNIAKDLKLVPLTEYGTWYDNKQVGGWTKSFGATEDRKNYATYLTFATVRGAAHEVPFTSHSQALTLFHSFVNGIPLPRAPQN >EOY20325 pep supercontig:Theobroma_cacao_20110822:scaffold_12:721286:728629:1 gene:TCM_045859 transcript:EOY20325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon protein, Ty3-gypsy subclass, putative MPPKTRAASRRAREQDVPIEMADRPRASTQRGRGRRGRVTRPVGLDTPVSRQEEGQSSGDVDRHPARGITIEDLAAGLQGVNRVVEMMATRMEDIQRDYDCTILYHPGKANVVADALSRKSMGSLAHISIGRRSLVREIHSLGDIGVRLEVAETNALLAHFRVRPILMDRIKEAQSKDEFVIKALEDPQGRKGKMFTKGTDGVLRYGTRLYVPDGDGLRREILEEAHMAAYVVHPGATKMYQDLKEVYWWEGLKRDVAEFVSKCLVCQQVKAEHQKPAGLLQPLPVPEWKWEHIAMDFVTGLPRTSGGYDSIWIVVDRLTKSAHFLPVKTTYGAAQYARVYVDEIVRLHGIPISIVSDRGAQFTSRFWGKLQEALGTKLDFITAFHPQTDGQSERTIQTLEDMLRACVIDLGVRWEQYLPLVEFAYNNSFQTSIQMAPFEALYGRRLRVGNVYHYFDSKS >EOY20447 pep supercontig:Theobroma_cacao_20110822:scaffold_31:9699:13141:-1 gene:TCM_046044 transcript:EOY20447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNVFTRPYEVVPNGIQVRYWNRQLSVKLQTLLDTFQGGNFQRPGDATKMALILLMNNILFGHQYVPIGYTEDRANWGLGAREKRKSRWSAFGDDELSGPKLIEKADDHGNLTEVMTAPQPSTDSAQTHNANKPLLTHSTRVNDSVVTKRQLRRIMRKHKKDMLVLKASIQSLTLAMQTFKDRIIGRILGSLKSQGGALAHSNGEHHDDANDQQHNELGVHIYHDVIGVDKKNVTHVNDVLDDAMVGDVTLQSVDAEGDHVPQANTVVNAFA >EOY20445 pep supercontig:Theobroma_cacao_20110822:scaffold_31:8:931:-1 gene:TCM_046041 transcript:EOY20445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTKQRKSVSLACVLVSGLVTCLAWKPKRRRRLSLSYSNRANLTAFRIVEVHKVPWSHLLKVSKHSSQERWF >EOY20451 pep supercontig:Theobroma_cacao_20110822:scaffold_31:47270:49305:-1 gene:TCM_046052 transcript:EOY20451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein phosphatase MHPKHSSMVIPKLEELSLSNIDDIATISDGQFPTDLFHHIKVFEVNNSNFPFCLVQKFHNLENLKFSNCDFKSLVSCEGDVAEKPDAGILSRIRKLKLNSCKNLTYIWKKDSELGHILANLETLEVVCCDDLINFGPSSASFRNLTTLEVGRCNEMKNLGTPSVVQSLVQLTKMTIQHCDRMTEVVATDGDENEITFSKLKYLELYHLQSLASFCPGNHTFKFPSLEDLIVSNCYSLKVFSQGVLSTPKLERVKESCYGDKGRWAGDLNTTIQQLYTEKVGCYGLFRLKFSDAFPELMEI >EOY20456 pep supercontig:Theobroma_cacao_20110822:scaffold_31:77272:78588:1 gene:TCM_046063 transcript:EOY20456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 1 MNLVPSSVYFENLTTLEVSKCHGFITLIALPTAKSMVHLARMSITDCQMMEEVVACASEVKDGIIFSQLKYLELGNLPSLSSFCSGKCSFLFPSLENVTVRNCSKMKIFSQGELSTPNMQRVQFAEDEERWDGNLNTTMEQIFIQMNVSNSKEEEGCSSHPKFNQDNASMFL >EOY20457 pep supercontig:Theobroma_cacao_20110822:scaffold_31:77271:78591:1 gene:TCM_046063 transcript:EOY20457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein, putative isoform 1 MNLVPSSVYFENLTTLEVSKCHGFITLIALPTAKSMVHLARMSITDCQMMEEVVACASEVKDGIIFSQLKYLELGNLPSLSSFCSGKCSFLFPSLENVTVRNCSKMKIFSQGELSTPNMQRVQFAEDEERWDGNLNTTMEQIFIQMNVSNSKEEEGCSSHPKFNQDNASMFL >EOY20450 pep supercontig:Theobroma_cacao_20110822:scaffold_31:44459:46434:-1 gene:TCM_046051 transcript:EOY20450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein phosphatase MLIIQVTFPILEKLRLKQNNIVKGTRHVQVLSIECFRKLKVLELISVPEKSTALPYCVIQSSPNAEKLVLSDASFCHIFRSEELIDEERHAWALTLLIELRLSKLPELTHLWEEGFQPIPIFCNLRILEVLECGKLKTLVLSLVSFENLTNLEVSKCHGFINLIACSTAKSLTLLERMSITDCEIMEEIIAIGGDEMEGGIVFTRLKYLQLSCLPCLASFCLGDHNFEFPILRKVIVRECPKMKIFCQGDLSTTKLNQVQLTEEEDRNLLWKLPKNQQR >EOY20454 pep supercontig:Theobroma_cacao_20110822:scaffold_31:72026:76168:1 gene:TCM_046061 transcript:EOY20454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein phosphatase MAITFEFHQVSSLILWNLPKLKCFYPGKHTTKWPMLKKLITYHCNDIMILSTEQRNIQEMNGGYQLESPIQPPLFLVEKVIPKLKELSLNCDDITMMRDSQFSRSLFPGVKVLKLLCYHDENAIFPIAFVERFQNLERLEVICCKFKELFSSEGDIGLERRAGTLSQIRTLKLDGLDNLLHIWKQDSRLDHILLNLDTLEVHNCRGLIGLGLSLSSFQNLKTLDVWECNAMINLVTSLAVQSLVQLEKMRIIECLSMKEIVGDPGDEETYDIIFSNLKCLELQHLPKLTSFCSGNHTFEFPSLEQVIVSQCPELKIFCHGVLNVPLLKRVQKTNKDDKGSWVGDLNSTIQQLCTEQVGFQGMEYCVLSEFSKSMVIWNENLPGVLDFKNLKSLEVYGCNRLKYIFTFSMALDLQQLKEIKVKDCLMMEHIITNDGEEAATLTIMFPWLQFITLESCSNLTSFYSGINILECPSLKEIILVDCPKIFAFASTISREQGPEKFDRGNMKRNGKGIPYDSVAPFFSEKVLCPHLEYLRLCSINIRKIWHDQLQVTSSNLQNLKTLIVEGCHSLKYLFLPTMGKTFLQLRDLYIINCQNVEEVIIV >EOY20459 pep supercontig:Theobroma_cacao_20110822:scaffold_31:82482:83225:-1 gene:TCM_046065 transcript:EOY20459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNLINCPILKTFTSNSVIDEVGDEPQIDQNAQVGDEPQIDQNAQGNNSALFNEKVIFPGLKTLTIKAMGSCRRIWQDQLTVNSFCKLNNIWVRL >EOY20460 pep supercontig:Theobroma_cacao_20110822:scaffold_31:85185:88329:-1 gene:TCM_046067 transcript:EOY20460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoprotein phosphatase MLKKLKTDCSTFIKIVASERPSIQEMNENDRRESTEGQPLFLGEEVIPQLEELRLSKIDDIEMISDNQFRADFFHQITVFEVNGSNFPIGFVQRLNNLENLELFCCDFKDPVSCEGNVAEKPDAGTLSRIRKLKLGFCENLRYICKKASKLGHILSNLETLEVLWCNDLINFGPSSASFRNLTTLEVERCNKMINLVTPSVVQSLAQVTKIRIEYCERMTEIVANEGDEATYENEITFSKLKYLELYHLQSLASFWPGNHTFKFPSLEDLIVSSCPSLKVFSQGVSTTPQLQRVKESRNDHRGRWAGDLNTTIQQLYSEKV >EOY20458 pep supercontig:Theobroma_cacao_20110822:scaffold_31:79077:81081:-1 gene:TCM_046064 transcript:EOY20458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance-like protein MAYIQQLASSLYVQNLEWIKIKGCHNLKYLFPSFGVKHFVQLHSLSILDCKIMEEIIFVEGLTEDERMSKMWFPQLKFLKLQDFPKLRRFCYETDNEFPFLRELVLTNCPVMKTFISKSATEDVGDEPQIDQNAQGNDLELDNSALFNEKASHVASKQPFHSNEPSFLKALATVAMSTGNPAHCFGYLN >EOY20449 pep supercontig:Theobroma_cacao_20110822:scaffold_31:39746:42052:1 gene:TCM_046049 transcript:EOY20449 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MRYGIDFSPADWGPKIDVVGFCFLDLASSYEPPDSLVKWLLGGDKKLIYIGFGSLPVQEPERMTQIIVQALEKTGQRGIINKGWGGLGNLAEQKDFVYLLDNCAHDWLFSRCMAVVRHGRAGTTAAGLKAL >EOY20452 pep supercontig:Theobroma_cacao_20110822:scaffold_31:51099:58204:-1 gene:TCM_046053 transcript:EOY20452 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MEIVSSIVTSAAEYTISTIKNHIKYLCNHENQVRTLKNQVESLKDARERVQHSVDAAMGNGEEIEHDVDKWLTTVNKKISEEVEKVIQDEEKAKKKCFLGLCPSFWTRYKLSMEAEKEAKAVAELLKQSKFDKVSYRAAPQGIMVACVKGFEAFESRTMVFNGIMEALKDPSINIIGVHGMGGVGKTSLVKEVASQVKEGKLIDSVVIVTVTQTLDVEKIQNQVADLLRLKFEEQSRVGRALRLRERLQKEKKILVVLDDIWERLDLEEVGIPFGNEHEGCKILLTSRDLNVLSSGMGTQKNFAVSLLNEEEAWDLFKKMAGDYVESCDLQPTAMEVAKKCAGLPIAIATVARALRNKRLFEWKNALRELRTPSSTSFTEITEDVYSPIELSYNYLENEKVKLTFLLCSLMGHDGFVEYLLHYIMGLGFFHGVNTIEEARYKILTVVSKLKASCLLLDSYNDERFDIHDVVRDVAISIASRDNRMFVLRDGDVLKEWPDQEIMKNCSVIFLSSPNISELPDELECSHLSFFFMNNEGSVNIPANFFRGTERLKVLHLARMQFQSLPVSINLLTNLQTLRLNGCALEDITIIGKLKKLEILSLAGSDIKALPREIAQLTRLSLLDLRHCTELKIIPPDILSNLSKLEELILEDSFVQWEDEVLGSDRRNASLEELKHLSHLTTLYAYIPNAQIIPECLFSETLDRYKIFIGNGAWKRFAKYECSRTLRFNLDTSIYLDHGVKILLKKTEDLYLDQLKGIKNVVAELHNGEDFPHLKKLHIQNGLEVQYIATENTQFSQLQSMTLQDLPQLIGFSSEEKTSSTSQQEQGNTSTKPLFNKQIVFPQLTSLRLSSIKTQRIWHNQLSETYCFCLPNLKTIIIEGCHYLQHLLFPSVARNLVHLEQLEMVNCKCLREIIFTGEITEQQEDLICFPQLNSLKIKNLPNLIKCCSGNYNIGFPSLKVLQIEHCPKLQEFISETKMEGKYESSIQALFNEKAAVPRLERMTLSHLRNVKKMFHDQLLAGSFGKLEEMNVECCDELLALFPSNVFGVLQSLKTLRVERCDSLEQMFEVGVGGLNIKETHAVDSQLMELYIYNLPKLKHVWNKDPQGSLTFRNLRKVRVMSCESLKNLFPASIAKDLPQIEDLTISSCGVEEIVSAGEGLEQPVRFKFPQMSSLQLTRLRKLKCFYPGQRTIVWPMFKKLTTDCSTLIKMLASERLRIHDELEFTKGQSLFLDEEV >EOY20453 pep supercontig:Theobroma_cacao_20110822:scaffold_31:66689:71978:1 gene:TCM_046056 transcript:EOY20453 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MEAAIATGGAGNLVAEVVKYIATQFKRPVSYIFLHKRKVENFEEKVEMLTDRRQRVQNAVDVAERNMEIIEKDVQNWLIRVNRIINEEVKEVKDLEDKAKSKCFVGLCPNFRSHYLLSKKAGEDANTIDELLRQGEFNRVSCPAAPPDIVAASAKEFEAFDSRKKVFDEIVESLKDASINLVGVYGMGGVGKTTLVKEVARQVKEDKLFDSVVMAAVTQTPDIQKIQNQIADILGLKFDEQSMTGRACRLSERLKKETKILVVLDDIWARLDLEEVGIPLGDQQKGCKILLTSRDQDVLFNGMDAKKTFAIGVLEESEAWDLFKKMAGDTVENLELWSTAIKVAQKCAGLPVAITTVARSLRNKGLFAWNDALRKLQRPSPTNFTGIPAHVYSAIELSYNHLESEELKQTFLLCSLLGHHVAIQDLLKFTMGLGLKNEDVLKDWPDEDTMKMCSSISLCYASINELPDELKCPQLAFFHMGSKDASVRIPANFFKETKDLKVLDLTDMHLPSLPSSICLLTNLRTLCLDLCVLGDIAIIEGLKDLKVLCLIGSDIERLPREIARLTQLRLLDLHDCTQLKVIPPNVLSSLSRLEELYMGNSFVQWEAEGHANERSNASLAELKSLSRLTTLEVHIPDAKIMPSDLFFEKLERYNVFLEDKWNWFDANEYSRTLNLKLDSGIDDLDLGTKMLLKKAEDLHLHEMKGVKIGLNELEDGEGFPHLKNLHIQNGLEIQYIIHDNDAVDKVEFLQLRSLTLQDLPQLISFCSGNRRGFTSISPLEFPLFNEKLSEISYCFQNLIRLIIEDCGKLKRLLSSSMIRSLEHLKSFEISDCKCLREIIFTEDIEEENKSMVLFPRLNSLKIKSMQHLIGFCSENHSIEFPSLKLLEIEHCPQFKGFMYKSTMEDNQRCSTQALFDEKVAFPCLEKMTISHLRNLKVIWHS >EOY20448 pep supercontig:Theobroma_cacao_20110822:scaffold_31:28017:39651:-1 gene:TCM_046047 transcript:EOY20448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQDHFFFRNFKLAQCTKEKENFGQASFCLGDHNFNFPALQKVMVRQCPQLKIFCQGDLSTPKLKQVQLTEDAREGRWEGDLKTTVKQLFEEMNVQNSEMTEVTL >EOY20455 pep supercontig:Theobroma_cacao_20110822:scaffold_31:76145:77289:1 gene:TCM_046062 transcript:EOY20455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMERLDKLKELHIWNCASLEEIIGAHELNSYESHVINATQSTIMFVLPRVTFLGLSTLPKLKCFYSKIHTTEWPSLIELQVIGCSKVEIFAGEYLNLQEVQRESQLEISTQQPLFWVSKETFPNLEVLILEQNDIMKEIWLGQLPTXXLLSVKLPLMKYFNVKDVRVWENMQKHLLC >EOY20446 pep supercontig:Theobroma_cacao_20110822:scaffold_31:58:58187:1 gene:TCM_046042 transcript:EOY20446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLYSFSCILQALNLILEGPDLVLMIAKVFDMVFDGRDRVLCSASDNGANDFHFVFFFFLIFFSKLREKMRNFPFLHLH >EOY20393 pep supercontig:Theobroma_cacao_20110822:scaffold_203:7541:8281:1 gene:TCM_046281 transcript:EOY20393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cadmium/zinc-transporting ATPase 3 isoform 1 HNLSSNCCSGNRSLGLNTEDKCRKASYCVEDQRETKIGHCHSVHCGENHVKNHTNDKALGNLVEHSSSESLNPKAYSHPHKCCIDYSDQPPHTAIDIPMSPNFEAAKARTTLEKREFGGCCKSYMRECCGKHGHFGPGLGGGLAEITTE >EOY20395 pep supercontig:Theobroma_cacao_20110822:scaffold_203:7541:7990:1 gene:TCM_046281 transcript:EOY20395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cadmium/zinc-transporting ATPase 3 isoform 1 HNLSSNCCSGNRSLGLNTEDKCRKASYCVEDQRETKIGHCHSVHCGENHVKNHTNDKALGNLVEHSSSESLNPKAYSHPHKCCIDYSDQPPHTAIDIPMSPNFEAAKARTTLEKREFGGCCKSYMRECCGKHGHFGPGLGGGLAEITTE >EOY20394 pep supercontig:Theobroma_cacao_20110822:scaffold_203:5295:7353:1 gene:TCM_046281 transcript:EOY20394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cadmium/zinc-transporting ATPase 3 isoform 1 MAAALVEYGRSHSIEPNPETVEDYHNFPGEGIYGRIDGRDIYIGSRKISLRAHGTVPSLEGNMIEGKTIGYVFSGATPAGIFSLSDACRTGAAEAVNELKSMGIKAAMLTGDNQAAAIHVQEQLGNRLDEVHAELLPEDKARIIEELRKEGPTAMIGDGINDAPALATADIGISMGISGSALATETGHVILMSNDIRKIPKAIQLARKAHRKVIENVILSISTKAAILALAFAGHPLVWAAVLADVGTCLLVICNSMLLLRGTDKHAGKCSKSLAASHTDKQGCKTSHCRLSDNYEHASTDKKVQKLCEPKKCSSQRCASKCQSSPFNSDSCSNSCGSNKCADSARTHDGSVSDGSLEAKHCDQGSCYMVNDKRAGKCCRSSTASHTDKHGCKTFHGHSSHNHQHASIDQKVQ >EOY20392 pep supercontig:Theobroma_cacao_20110822:scaffold_203:5028:7353:1 gene:TCM_046281 transcript:EOY20392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cadmium/zinc-transporting ATPase 3 isoform 1 MSMGEFVVTDFRSLCEDISLNTLLYWVSSVESKSSHPMAAALVEYGRSHSIEPNPETVEDYHNFPGEGIYGRIDGRDIYIGSRKISLRAHGTVPSLEGNMIEGKTIGYVFSGATPAGIFSLSDACRTGAAEAVNELKSMGIKAAMLTGDNQAAAIHLGNRLDEVHAELLPEDKARIIEELRKEGPTAMIGDGINDAPALATADIGISMGISGSALATETGHVILMSNDIRKIPKAIQLARKAHRKVIENVILSISTKAAILALAFAGHPLVWAAVLADVGTCLLVICNSMLLLRGTDKHAGKCSKSLAASHTDKQGCKTSHCRLSDNYEHASTDKKVQKLCEPKKCSSQRCASKCQSSPFNSDSCSNSCGSNKCADSARTHDGSVSDGSLEAKHCDQGSCYMVNDKRAGKCCRSSTASHTDKHGCKTFHGHSSHNHQHASIDQKVQ >EOY20391 pep supercontig:Theobroma_cacao_20110822:scaffold_203:815:1600:1 gene:TCM_046279 transcript:EOY20391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal atpase 2 KALNQARLEANVRARGEIKYQKKWPSPFAIACGLLLLFSLLKYAYHPLQWLAVGAVAVGIYPMLLKGYAAVRNFRLDINILMLSAEPGLLGLM >EOY20468 pep supercontig:Theobroma_cacao_20110822:scaffold_327:1240:2791:-1 gene:TCM_046332 transcript:EOY20468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAELLESFASWSNIIGDILRCIVDKIHSIQDRVRMGVVCRSWHALLKDEKIDFPICLMLAAKENSDRRCFNIDAKKIILELDLPEIRERRCWGTPFGWLVTLGLDGEIRLFNPLSRASLSLPSMHTFNHIACYTPEYSRLYLIDKVLLSSSPTSPDAIIMIIWGFGMYEDKTLAFAKPDDQEWTQIFCDYALDDVICFNGNFFAACVEGRLFICEDLNRPSPKIVEFAPPPTIHRGHKKYIVDLSGDLCMITRDVHRYELSYDNGRVEDANLTEGFEVFKLDLHTKNWEKILSLNGHSVFLGNCCTFSVLPTDHPSCKSNCIYFTDDDNRFYPEASASDIGIYNCNNKAVEYIEDEDDEVPDLRSPFSPPLWIKLCLH >EOY33207 pep chromosome:Theobroma_cacao_20110822:9:35922042:35931652:-1 gene:TCM_041170 transcript:EOY33207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TOUSLED isoform 2 MSDDMLLHFSSNSSNQSDHSLPTKIAKLEARLVGKASSATASQQPPLPQQQQQQQQQQQQQPPAWSSLSSASKFASAEELPDASSSSDSDDENGGEFLIQANTLKRQKVQKDDNLPVFEHVEAVADGRQKIVEAMESKASSDGNRRKQGRGRGHSVSSRGRGSRANDQTRQPISSSLLSPSNGQLENSYHKDSRPKEQFRTDDQISVEEEVTSLRAKVAALEEDLRKCRQEASDYQNLCQLLEKELKDIKDYDQQMKPKRTKMISDLLIAVSKAERQEARMKVRQDSLRLGNVGVIRAGTVISETWEDGQALKDLNAHLRQLLEAKEAVERQRKQLKKRQSDRGDATDAEPGAQEEDILIQDEIYKSRLASIKREEESILRERDRYELDKGRLIREMKRIRDEDGSRFNNFQILNNRYALLNLLGKGGFSEVYKAYDLVEHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHHHIVRLWDIFEIDQNTFCTVLEYCSGKDLDAVLKATPVLPEKEARIIIVQIFQGLVYLNKKAQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKRWMFGLLVFYFTKCFLVDAPLGMTRLKNEYCVKTQLSKHARLNSHQDLLSPMRQRI >EOY33208 pep chromosome:Theobroma_cacao_20110822:9:35922102:35931487:-1 gene:TCM_041170 transcript:EOY33208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TOUSLED isoform 2 MSDDMLLHFSSNSSNQSDHSLPTKIAKLEARLVGKASSATASQQPPLPQQQQQQQQQQQQQPPAWSSLSSASKFASAEELPDASSSSDSDDENGGEFLIQANTLKRQKVQKDDNLPVFEHVEAVADGRQKIVEAMESKASSDGNRRKQGRGRGHSVSSRGRGSRANDQTRQPISSSLLSPSNGQLENSYHKDSRPKEQFRTDDQISVEEEVTSLRAKVAALEEDLRKCRQEASDYQNLCQLLEKELKDIKDYDQQMKPKRTKMISDLLIAVSKAERQEARMKVRQDSLRLGNVGVIRAGTVISETWEDGQALKDLNAHLRQLLEAKEAVERQRKQLKKRQSDRGDATDAEPGAQEEDILIQDEIYKSRLASIKREEESILRERDRYELDKGRLIREMKRIRDEDGSRFNNFQILNNRYALLNLLGKGGFSEVYKAYDLVEHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHHHIVRLWDIFEIDQNTFCTVLEYCSGKDLDAVLKATPVLPEKEARIIIVQIFQGLVYLNKKAQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKVDVWSAGVLFYQMLFGRRPFGHDQTQERILREDTIIKARKVEFPSRPTVSNEAKIISCQIILPLNGDLIAAEFTYSSPVLRSTEIVLLHAQ >EOY33206 pep chromosome:Theobroma_cacao_20110822:9:35921853:35933546:-1 gene:TCM_041170 transcript:EOY33206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TOUSLED isoform 2 MSDDMLLHFSSNSSNQSDHSLPTKIAKLEARLVGKASSATASQQPPLPQQQQQQQQQQQQQPPAWSSLSSASKFASAEELPDASSSSDSDDENGGEFLIQANTLKRQKVQKDDNLPVFEHVEAVADGRQKIVEAMESKASSDGNRRKQGRGRGHSVSSRGRGSRANDQTRQPISSSLLSPSNGQLENSYHKDSRPKEQFRTDDQISVEEEVTSLRAKVAALEEDLRKCRQEASDYQNLCQLLEKELKDIKDYDQQMKPKRTKMISDLLIAVSKAERQEARMKVRQDSLRLGNVGVIRAGTVISETWEDGQALKDLNAHLRQLLEAKEAVERQRKQLKKRQSDRGDATDAEPGAQEEDILIQDEIYKSRLASIKREEESILRERDRYELDKGRLIREMKRIRDEDGSRFNNFQILNNRYALLNLLGKGGFSEVYKAYDLVEHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHHHIVRLWDIFEIDQNTFCTVLEYCSGKDLDAVLKATPVLPEKEARIIIVQIFQGLVYLNKKAQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKVDVWSAGVLFYQMLFGRRPFGHDQTQERILREDTIIKARKVEFPSRPTVSNEAKDLIRRCLTYNQSERPDVLTIAQDPYLTYSKK >EOY33210 pep chromosome:Theobroma_cacao_20110822:9:35922968:35931675:-1 gene:TCM_041170 transcript:EOY33210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TOUSLED isoform 2 MSDDMLLHFSSNSSNQSDHSLPTKIAKLEARLVGKASSATASQQPPLPQQQQQQQQQQQQQPPAWSSLSSASKFASAEELPDASSSSDSDDENGGEFLIQANTLKRQKVQKDDNLPVFEHVEAVADGRQKIVEAMESKASSDGNRRKQGRGRGHSVSSRGRGSRANDQTRQPISSSLLSPSNGQLENSYHKDSRPKEQFRTDDQISVEEEVTSLRAKVAALEEDLRKCRQEASDYQNLCQLLEKVLKYLCYMTFFSSAVHNSLCTYLLVFMVFWQELKDIKDYDQQMKPKRTKMISDLLIAVSKAERQEARMKVRQDSLRLGNVGVIRAGTVISETWEDGQALKDLNAHLRQLLEAKEAVERQRKQLKKRQSDRGDATDAEPGAQEEDILIQDEIYKSRLASIKREEESILRERDRYELDKGRLIREMKRIRDEDGSRFNNFQILNNRYALLNLLGKGGFSEVYKAYDLVEHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHHHIVRLWDIFEIDQNTFCTVLEYCSGKDLDAVLKATPVLPEKEARIIIVQIFQGLVYLNKKAQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKVCKMRSGAI >EOY33209 pep chromosome:Theobroma_cacao_20110822:9:35922102:35931487:-1 gene:TCM_041170 transcript:EOY33209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TOUSLED isoform 2 MSDDMLLHFSSNSSNQSDHSLPTKIAKLEARLVGKASSATASQQPPLPQQQQQQQQQQQQQPPAWSSLSSASKFASAEELPDASSSSDSDDENGGEFLIQANTLKRQKVQKDDNLPVFEHVEAVADGRQKIVEAMESKASSDGNRRKQGRGRGHSVSSRGRGSRANDQTRQPISSSLLSPSNGQLENSYHKDSRPKEQFRTDDQISVEEEVTSLRAKVAALEEDLRKCRQEASDYQNLCQLLEKVLKYLCYMTFFSSAVHNSLCTYLLVFMVFWQELKDIKDYDQQMKPKRTKMISDLLIAVSKAERQEARMKVRQDSLRLGNVGVIRAGTVISETWEDGQALKDLNAHLRQLLEAKEAVERQRKQLKKRQSDRGDATDAEPGAQEEDILIQDEIYKSRLASIKREEESILRERDRYELDKGRLIREMKRIRDEDGSRFNNFQILNNRYALLNLLGKGGFSEVYKAYDLVEHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHHHIVRLWDIFEIDQNTFCTVLEYCSGKDLDAVLKATPVLPEKEARIIIVQIFQGLVYLNKKAQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKVDVWSAGVLFYQMLFGRRPFGHDQTQERILREDTIIKARKVEFPSRPTVSNEAKDLIRRCLTYNQSERPDVLTIAQDPYLTYSKK >EOY31721 pep chromosome:Theobroma_cacao_20110822:9:13191606:13193093:1 gene:TCM_038826 transcript:EOY31721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDIFLLYSVFSREIIAHLYHCSSSHKKGCGCAHSSPRNGLFPLPFRCSTLSLVDLAPISGR >EOY31556 pep chromosome:Theobroma_cacao_20110822:9:9581412:9584236:-1 gene:TCM_038480 transcript:EOY31556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MVLIEPHNTEQHEEATKATPSSSQTTSDSAAASSNVHGGDAASDGFETASERDVSDNEEDHAHDQQPTEQKGSDSYQDALNEDQLKEKALAQANDAKMEGNKLFGNGQYEEALLQYEIALQVAAEMPTSVEIRSICHSNRAVCFLKLGKYEETIKECTKALEFNPSYIKALVRRGEAHEKLQHYEEAIADMKKILELDPSNDQARKAIRRLEPLAAEKREKMKEEMMGKLKEMGNSILGRFGMSVDNFKAVKDPNTGSYSISFQR >EOY30603 pep chromosome:Theobroma_cacao_20110822:9:5148664:5152021:1 gene:TCM_037755 transcript:EOY30603 gene_biotype:protein_coding transcript_biotype:protein_coding description:DERLIN-1 MSSPAEFYNSLPPICKAYGTACLLATAAFELKLYNIMHIALIYEYVFKHFQVWRLITNFFFLGKFSINFGIRLLMIARYGVQLEKGPFERRTADFLWMMIFGALSLLVLSAIPIFQSGFLGVSLVFMLLYVWSREFPNAQINIYGLVRLKAFYLPWAMLALDAIFGSSPIPDLLGIIAGHLYYFLTVLHPLATGKVILKTPMWVHKLVARWRIGAPTQPSSRAQPDRSTGVSFSGRSYRLSG >EOY33537 pep chromosome:Theobroma_cacao_20110822:9:37944922:37954199:1 gene:TCM_041486 transcript:EOY33537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 6 MAASLAGEDLSRQTSTSSRRSWRASSVREMFNAPDVFQRSSRQQEVNDEEELRWAAIERLPTYDRLRKGMLMQVTSNGNVVRDEVDVTKLGPQAKKQLMESILKVVEDDNERFLTRLRSRTDRVGIEVPKIEVRFQNLSVEGDAFVGTRALPTLLNTTLNAIEAILGYVGLSPSKKRVVKILHGVSGVLKPSRMTLLLGPPAAGKTTLLQTLAAKPNDDLRITGKVTYCGREFSEFIPQRTSAYISQHDLHNGEMTVRETLDFSGRCLGVGTRYEMLAELSRREKEAGIKPDPEIDAFMKATAMAGQETSLITDYVLKILGLDICADILVGDDMRRGISGGQKKRVTTGEMLVGPAKAFFMDEISTGLDSSTTFQIVKFMKQMVHIMDITMIISLLQPAPETFDLFDEVILLSEGQIVYQGPRENVLEFFEYMGFKCPERKGVADFLQEVTSKKDQEQYWFRENQPYRYVSVTEFAQTFNSFHIGQQLSEVLRVPYDKSKTHPAALVTEKYGISNRELFKACLSREWLLMKRNSFVYIFKAFQLTFMATVALTVFFRTEMKSGQIEDASKYWGALFFSLINVMFNGMAELAMTVFRLPVFFKQRDFMFYPAWAFALPIWLTRIPVSLIESGIWIILTYYTIGFAPAASRFFKQLLAFFGIHQVALSLFRFIAALGRTEVVANTFGSFTLLLVFVLGGFIVAKGDIEPWMIWGYYVSPMMYGQNAIAINEFLSDRWSTPHLNSTVGKTLLKERGLYTEEHYYWICVGALAGFSLLFNVLFTAALTFFNPLGDSKPVISDDDSESNQNRHVTSNAEGIDMPVRNAQASSGSIVSVANSQSKKGMVLPFQPLSLAFNHINYYVDMPAEMKSHGVVESRLQLLRDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKNQATFARVSGYCEQNDIHSPHVTVYESLLYSAWLRLASSVKKETRDMFVEEVMELVELNPLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSHKLVEYFEAVPGVPKIKEGYNPATWMLEVSSTAVETQLNVDFADIYANSDLYQRNQELINELSTPQPGSKDLYFPTKYSQDFVTQCKACFWKQYWSYWRNSEYNAVRFFMTTMIGILFGVIFWNKGEKLQQQQDLMNLLGATYAAVLFLGATNATSVQPVVSIERTVFYRERAAGMYSELPYAFAQVAVETIYVAFQTIIYALLLFSMIGFEWQADKFFYFYYFIFMCFTYMSMYGMMVVAITPGHQIAAIVSSFLLSFWNLFSGFLIPRPLIPVWWRWYYWGSPIAWTIYGLFTSQIGDKDTPLQLSNDATMPVNKFLKDNLGFEEDFLKYIVLGHFAWVLVFFFVFAYAIKCFNFQRR >EOY34450 pep chromosome:Theobroma_cacao_20110822:9:41156057:41158667:1 gene:TCM_042129 transcript:EOY34450 gene_biotype:protein_coding transcript_biotype:protein_coding description:High affinity nitrate transporter 2.6 MADNEGSFGTSMHGVTGREQTFALSAASADIPTDTTAKFALPVDSEHRAQVFKVFSFAKPHMRTFHLAWISFFTCFISTFAAAPLVPIIRDNLDLKQADIGNAGVASVSGSIFSRLVMGAVCDLIGPRYGTAFLLMLSAPTVFCMSFVSDAQGYLAVRFMIGFCLATFVSCQYWTTIMFNGKIIGLVNGCAGGWGDMGGGVTQLLMPLAYHLIRLAGATPFTAWRIAFFIPGWFHVIMGILVLTLGQDLPDGNLRTLQKKGEVAKDKFSKVFRYAVTNYRTWIFFLLYGFSMGIELTINNVISGYFYDRFNLKLHTAGTIAASFGMANFFARPFGGYASDVAARLLGMRGRLWILWLFQTLGGVFCIWLGLADSLPIAVLAMILFSLGTQAACGATFGVVPFVSRRSLGLISGLTGAGGNFGSGLTQLVFFSGSRFSTATGLSLMGATAVVCTIPVALLHFPQWGSMFFPPSKDPVKSTEEYYYMSEWTEDEKQQGLHEGSVKFAENSRSERGKRVGNEVSSTATPPTSTPAHV >EOY30566 pep chromosome:Theobroma_cacao_20110822:9:4980628:4985696:1 gene:TCM_037727 transcript:EOY30566 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor serine/threonine-protein kinase, putative isoform 3 MKSWKFFSSGYISIDCGSPENFNYIDYDTGISYASDGAYIDTGINKNISSKYAYPNNPNLALPLSDLRSFPYGNKNCYTLAPTGGRKGSLYLIRASFLYGNYDGEDKLPEFDLYLDVNLWSVVKFRNASNVVTTEIISAAVSDTVSVCLVNKGLGTPFISALELRPLNSSVYSTEFGSTASLVLFKRLDIGYVNGTGRYSSDDIYDRIWSPYNSASWDMVSTSSAINSNENGYKAPLQVICTAAMPHNASDPLELSWTSDEDTSKFYVYMYFAEVQQLEKNQTRKFNISWNGSLMFGPLAPRYLYAATISNSEAFIGKEHRISIYRTRDATLPPILNAIEIYMAKQLEELPTFSEDADAVLDIKRTYQVNKSWVGDPCGPKNYSWEGLKCNYSVSLPPRIISVNLTSRGLSGMISASFGNLSSMESLDLSKNHLTGPVPEFLKELKFLKFLNLEGNRLSGYVPTELVVRARAGLLNLRVDEQNRCGSGSCKNKKKIVVPVVASVLSALVLSVALIMLYRLRRKRKSEADSSNGEGRPLPSKKRKFTYAEVLNITKNFQDVIGKGGFGTVYRGDMKDGTQVAVKILSPSSTQGSKEFKTEAELLMRVHHGNLVSFIGYCDDGSNMALIYEYMANGNLKDYLSYRSSNSLSWEMRLRIAIDAAQGLEYLHHGCKPPIIHRDVKTANILLSENMDAKIADFGLSRDFPSDDQSHVDFPSDGQSHVETTVMGTRGYLDPEYYSSRRLNEKSDVYSFGVVLLELITGQHAIIRKDESMHIIQWVSPLIERGDIGSIVDQRLHGEFDVNSVWKALDVAMASTTPSSVHRAAMSSVLTELKQCLDMELSHNRERTQGFSEEIYVGSYNSTEMSSISTVTEPITIPFAR >EOY30565 pep chromosome:Theobroma_cacao_20110822:9:4980191:4986410:1 gene:TCM_037727 transcript:EOY30565 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor serine/threonine-protein kinase, putative isoform 3 MFSKTYLHNFSISLIHLDRERKMSLGFLVPLLLSLAALVFVHGQLQTGYISIDCGSPENFNYIDYDTGISYASDGAYIDTGINKNISSKYAYPNNPNLALPLSDLRSFPYGNKNCYTLAPTGGRKGSLYLIRASFLYGNYDGEDKLPEFDLYLDVNLWSVVKFRNASNVVTTEIISAAVSDTVSVCLVNKGLGTPFISALELRPLNSSVYSTEFGSTASLVLFKRLDIGYVNGTGRYSSDDIYDRIWSPYNSASWDMVSTSSAINSNENGYKAPLQVICTAAMPHNASDPLELSWTSDEDTSKFYVYMYFAEVQQLEKNQTRKFNISWNGSLMFGPLAPRYLYAATISNSEAFIGKEHRISIYRTRDATLPPILNAIEIYMAKQLEELPTFSEDADAVLDIKRTYQVNKSWVGDPCGPKNYSWEGLKCNYSVSLPPRIISVNLTSRGLSGMISASFGNLSSMESLDLSKNHLTGPVPEFLKELKFLKFLNLEGNRLSGYVPTELVVRARAGLLNLRVDEQNRCGSGSCKNKKKIVVPVVASVLSALVLSVALIMLYRLRRKRKSEADSSNGEGRPLPSKKRKFTYAEVLNITKNFQDVIGKGGFGTVYRGDMKDGTQVAVKILSPSSTQGSKEFKTEAELLMRVHHGNLVSFIGYCDDGSNMALIYEYMANGNLKDYLSYRSSNSLSWEMRLRIAIDAAQGLEYLHHGCKPPIIHRDVKTANILLSENMDAKIADFGLSRDFPSDDQSHVDFPSDGQSHVETTVMGTRGYLDPEYYSSRRLNEKSDVYSFGVVLLELITGQHAIIRKDESMHIIQWVSPLIERGDIGSIVDQRLHGEFDVNSVWKALDVAMASTTPSSVHRAAMSSVLTELKQCLDMELSHNRERTQGFSEEIYVGSYNSTEMSSISTVTEPITIPFAR >EOY30567 pep chromosome:Theobroma_cacao_20110822:9:4980628:4985696:1 gene:TCM_037727 transcript:EOY30567 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor serine/threonine-protein kinase, putative isoform 3 MKSWKFFSSGYISIDCGSPENFNYIDYDTGISYASDGAYIDTGINKNISSKYAYPNNPNLALPLSDLRSFPYGNKNCYTLAPTGGRKGSLYLIRASFLYGNYDGEDKLPEFDLYLDVNLWSVVKFRNASNVVTTEIISAAVSDTVSVCLVNKGLGTPFISALELRPLNSSVYSTEFGSTASLVLFKRLDIGYVNGTGRYSSDDIYDRIWSPYNSASWDMVSTSSAINSNENGYKAPLQVICTAAMPHNASDPLELSWTSDEDTSKFYVYMYFAEVQQLEKNQTRKFNISWNGSLMFGPLAPRYLYAATISNSEAFIGKEHRISIYRTRDATLPPILNAIEIYMAKQLEELPTFSEDADAVLDIKRTYQVNKSWVGDPCGPKNYSWEGLKCNYSVSLPPRIISVNLTSRGLSGMISASFGNLSSMESLDLSKNHLTGPVPEFLKELKFLKFLNLEGNRLSGYVPTELVVRARAGLLNLRVDEQNRCGSGSCKNKKKIVVPVVASVLSALVLSVALIMLYRLRRKRKSEADSSNGEGRPLPSKKRKFTYAEVLNITKNFQDVIGKGGFGTVYRGDMKDGTQVAVKILSPSSTQGSKEFKTEAELLMRVHHGNLVSFIGYCDDGSNMALIYEYMANGNLKDYLSCLEYLHHGCKPPIIHRDVKTANILLSENMDAKIADFGLSRDFPSDDQSHVDFPSDGQSHVETTVMGTRGYLDPEYYSSRRLNEKSDVYSFGVVLLELITGQHAIIRKDESMHIIQWVSPLIERGDIGSIVDQRLHGEFDVNSVWKALDVAMASTTPSSVHRAAMSSVLTELKQCLDMELSHNRERTQGFSEEIYVGSYNSTEMSSISTVTEPITIPFAR >EOY30568 pep chromosome:Theobroma_cacao_20110822:9:4980191:4984102:1 gene:TCM_037727 transcript:EOY30568 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor serine/threonine-protein kinase, putative isoform 3 MFSKTYLHNFSISLIHLDRERKMSLGFLVPLLLSLAALVFVHGQLQTGYISIDCGSPENFNYIDYDTGISYASDGAYIDTGINKNISSKYAYPNNPNLALPLSDLRSFPYGNKNCYTLAPTGGRKGSLYLIRASFLYGNYDGEDKLPEFDLYLDVNLWSVVKFRNASNVVTTEIISAAVSDTVSVCLVNKGLGTPFISALELRPLNSSVYSTEFGSTASLVLFKRLDIGYVNGTGRYSSDDIYDRIWSPYNSASWDMVSTSSAINSNENGYKAPLQVICTAAMPHNASDPLELSWTSDEDTSKFYVYMYFAEVQQLEKNQTRKFNISWNGSLMFGPLAPRYLYAATISNSEAFIGKEHRISIYRTRDATLPPILNAIEIYMAKQLEELPTFSEDADAVLDIKRTYQVNKSWVGDPCGPKNYSWEGLKCNYSVSLPPRIISVNLTSRGLSGMISASFGNLSSMESLDLSKNHLTGPVPEFLKELKFLKFLNLEGNRLSGYVPTELVVRARAGLLNLRVDEQNRCGSGSCKNKKKIVVPVVASVLSALVLSVALIMLYRLRRKRKSEADSSNGEGRPLPSKKRKFTYAEVLNITKNFQDVIGKGGFGTVYRGDMKDGTQVAVKILSPSSTQGSKEFKTEACNYSRIAFFCGWLSNLIKLTSTARKEIQ >EOY30788 pep chromosome:Theobroma_cacao_20110822:9:5781388:5786458:-1 gene:TCM_037874 transcript:EOY30788 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 4 MAAVTKLHLCTFQKDQHFCFEEQQRNWLKGGCFVRFPVITRNRNGVYVQRCRSFRGEDGGEVEEKEMESEGKYGDVKENKVKLEQGDGFWKSFRSSVFGVSKFGSQSQDEYEKAVAKVEEVFSSIAMQIGRYIVTMLSTGVILLTGFQLSGGDSQMNTLIWYSWVGGIIIGTMIGANMVLDEHCRAGPRNVVITGSTRGLGKALAREFLLSGDRVVVASRSPESVDMTIKELEENLKEGMTAGGSSSKNLKRAKVVGITCDVCDANDVEKLAKFAIDELGSVDIWINNAGTNKGFRPLLQFSDEDIKQIVSTNLVGSILCTREAMVIMKSQPNGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLHASLLKECKRSKVGIHTASPGMVLTDLLLSGSTIKNKQMFNIICELPETVARSLVPRMRVVKGTGKAINYLTPPRILLALVTAWLRQGRSIETTL >EOY30786 pep chromosome:Theobroma_cacao_20110822:9:5781481:5786398:-1 gene:TCM_037874 transcript:EOY30786 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 4 MAAVTKLHLCTFQKDQHFCFEEQQRNWLKGGCFVRFPVITRNRNGVYVQRCRSFRGEDGGEVEEKEMESEGKYGDVKENKVKLEQGDGFWKSFRSSVFGVSKFGSQSQDEYEKAVAKVEEVFSSIAMQIGRYIVTMLSTGVILLTGFQLSGGDSQMNTLIWYSWVGGIIIGTMIGANMVLDEHCRAGPRNVVITGSTRGLGKALAREFLLSGDRVVVASRSSPESVDMTIKELEENLKEGMTAGGSSSKNLKRAKVVGITCDVCDANDVEKLAKFAIDELGSVDIWINNAGTNKGFRPLLQFSDEDIKQIVSTNLVGSILCTREAMVIMKSQPNGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLHASLLKECKRSKVGIHTASPGMVLTDLLLSGSTIKNKQMFNIICELPETVARSLVPRMRVVKGTGKAINYLTPPRILLALVTAWLRQGRWFDEQGRALYAAEADRIRNWAENRTQFSFTDAMDMYTENTWVSVFSLSVVCAFIILSSTGSTYPGT >EOY30787 pep chromosome:Theobroma_cacao_20110822:9:5782081:5786415:-1 gene:TCM_037874 transcript:EOY30787 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 4 MAAVTKLHLCTFQKDQHFCFEEQQRNWLKGGCFVRFPVITRNRNGVYVQRCRSFRGEDGGEVEEKEMESEGKYGDVKENKVKLEQGDGFWKSFRSSVFGVSKFGSQSQDEYEKAVAKVEEVFSSIAMQIGRYIVTMLSTGVILLTGFQLSGGDSQMNTLIWYSWVGGIIIGTMIGANMVLDEHCRAGPRNVVITGSTRGLGKALAREFLLSGDRVVVASRSPESVDMTIKELEENLKEGMTAGGSSSKNLKRAKVVGITCDVCDANDVEKLAKFAIDELGSVDIWINNAGTNKGFRPLLQFSDEDIKQIVSTNLVGSILCTREAMVIMKSQPNGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLHASLLKECKRSKVGIHTASPGMVLTDLLLSGSTIKNKQMFNIICELPETVARSLVPRMRVVKGTGKAINYLTPPRILLALVTAWLRQGRWFDEQVTLILRGRHFVT >EOY30785 pep chromosome:Theobroma_cacao_20110822:9:5781918:5786264:-1 gene:TCM_037874 transcript:EOY30785 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 4 MAAVTKLHLCTFQKDQHFCFEEQQRNWLKGGCFVRFPVITRNRNGVYVQRCRSFRGEDGGEVEEKEMESEGKYGDVKENKVKLEQGDGFWKSFRSSVFGVSKFGSQSQDEYEKAVAKVEEVFSSIAMQIGRYIVTMLSTGVILLTGFQLSGGDSQMNTLIWYSWVGGIIIGTMIGANMVLDEHCRAGPRNVVITGSTRGLGKALAREFLLSGDRVVVASRSPESVDMTIKELEENLKEGMTAGGSSSKNLKRAKVVGITCDVCDANDVEKLAKFAIDELGSVDIWINNAGTNKGFRPLLQFSDEDIKQIVSTNLVGSILCTREAMVIMKSQPNGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLHASLLKECKRSKVGIHTASPGMVLTDLLLSGSTIKNKQMFNIICELPETVARSLVPRMRVVKGTGKAINYLTPPRILLALVTAWLRQGRWFDEQGRALYAAEADRIRNWAENRTQFSFTDAMDMYTENTWVSVFSLSVVCAFIILSSTGSTYPGT >EOY34268 pep chromosome:Theobroma_cacao_20110822:9:40531031:40540241:-1 gene:TCM_042001 transcript:EOY34268 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein, putative isoform 1 MSDEGEKTCPLCEEDMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDETEGRCPACRSAYDKERIVGMAANCERLVAEINMERKMKSQKAKTKSSEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQQREYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFVLDGRPLKACFGTTKYCHAWLRNVPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMQRRAGNMLPPPLDDYCPNSSASAAKPIAKNAPNNTTVSIPKGSPPNGSSVRSIALPAGASWGMRALNHPQTAGLACSNGPSKQKSDMVSSTLPFSSAVANTNQVSTLHGDVIKKPSEEIHAMHMMGKPDLLKPLKENASLDCRTTPLEKPPSPDVVSASKSLSSQLSCPPPSNYNDQCTNIPSNVTSSTFDHAEQSFISPSEKEGNISSTDGKIQSLCSDMSALTLDRNVLNGLSSLVRPSSSASDHGSSSSPGSQGLQQRYIDHYREPLSSPAAGRAVTSPNGVCISKEQSDWRTDMQTQAVANTSSEVEEDILSFDNQRLKDPEVISRSSYVPNSPSSLHLSNHSGSHSLHRNEGLGAINLNADTLFVDNKLSESLRFHGSSVSSLSNGYPEKYISSSSIGSDIITEGSLLLPNEGKGKKMGRFLGNAGSDAAKDTGESSIISNILSLDLDTWDESLASPQNLAKLFGDTDKQPSSLKLSSSWKGQNNNQSRFSFARQEDSKYHPFDVESSFSVFGQMPRNRSSSQDFAESRDLYLNKFGISNGFSSGNFEESDNFTSSPSVFSSNKLSVSRAQISAPPGFSVPSRAPPPGFSSHERVDHGFDTTSGIHLMDNSSLLRNSYQAPASGGIGGSGDIEFVDPAILAVGKGSLQGGLNNSGLDMRSNFPPQLGPYENEARFQLLMQRSLSPHQNLRYDVGDSFSSLSDSYGISSRLIDQSQVNNMSPFAQLSLQQSRNAHMSNGHWDGWNEVQGGNSLGVAELLRNDRLGYNKFYSSYEGSKYRMPTSGDLYNRTFGM >EOY34269 pep chromosome:Theobroma_cacao_20110822:9:40533839:40539027:-1 gene:TCM_042001 transcript:EOY34269 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein, putative isoform 1 MSDEGEKTCPLCEEDMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDETEGRCPACRSAYDKERIVGMAANCERLVAEINMERKMKSQKAKTKSSEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQQREYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFVLDGRPLKACFGTTKYCHAWLRNVPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMQRRAGNMLPPPLDDYCPNSSASAAKPIAKNAPNNTTVSIPKGSPPNGSSVRSIALPAGASWGMRALNHPQTAGLACSNGPSKQKSDMVSSTLPFSSAVANTNQVSTLHGDVIKKPSEEIHAMHMMGKPDLLKPLKENASLDCRTTPLEKPPSPDVVSASKSLSSQLSCPPPSNYNDQCTNIPSNVTSSTFDHAEQSFISPSEKEGNISSTDGKIQSLCSDMSALTLDRNVLNGLSSLVRPSSSASDHGSSSSPGSQGLQQRYIDHYREPLSSPAAGRAVTSPNGVCISKEQSDWRTDMQTQAVANTSSEVEEDILSFDNQRLKDPEVISRSSYVPNSPSSLHLSNHSGSHSLHRNEGLGAINLNADTLFVDNKLSESLRFHGSSVSSLSNGYPEKYISSSSIGSDIITEGSLLLPNEGKGKKMGRFLGNAGSDAAKDTGESSIISNILSLDLDTWDESLASPQNLAKLFGDTDKQPSSLKLSSSWKGQNNNQSRFSFARQEDSKYHPFDVESSFSVFGQMPRNRSSSQDFAESRDLYLNKFGISNGFSSGNFEESDNFTSSPSVFSSNKLSAVSRAQISAPPGFSVPSRAPPPGFSSHERVDHGFDTTSGNSFDGQFLPVKKFLSGSGKWWYWWLWGYRICGSSNFGSW >EOY34271 pep chromosome:Theobroma_cacao_20110822:9:40532716:40539880:-1 gene:TCM_042001 transcript:EOY34271 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein, putative isoform 1 MDMAEKDETEGRCPACRSAYDKERIVGMAANCERLVAEINMERKMKSQKAKTKSSEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQQREYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFVLDGRPLKACFGTTKYCHAWLRNVPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMQRRAGNMLPPPLDDYCPNSSASAAKPIAKNAPNNTTVSIPKGSPPNGSSVRSIALPAGASWGMRALNHPQTAGLACSNGPSKQKSDMVSSTLPFSSAVANTNQVSTLHGDVIKKPSEEIHAMHMMGKPDLLKPLKENASLDCRTTPLEKPPSPDVVSASKSLSSQLSCPPPSNYNDQCTNIPSNVTSSTFDHAEQSFISPSEKEGNISSTDGKIQSLCSDMSALTLDRNVLNGLSSLVRPSSSASDHGSSSSPGSQGLQQRYIDHYREPLSSPAAGRAVTSPNGVCISKEQSDWRTDMQTQAVANTSSEVEEDILSFDNQRLKDPEVISRSSYVPNSPSSLHLSNHSGSHSLHRNEGLGAINLNADTLFVDNKLSESLRFHGSSVSSLSNGYPEKYISSSSIGSDIITEGSLLLPNEGKGKKMGRFLGNAGSDAAKDTGESSIISNILSLDLDTWDESLASPQNLAKLFGDTDKQPSSLKLSSSWKGQNNNQSRFSFARQEDSKYHPFDVESSFSVFGQMPRNRSSSQDFAESRDLYLNKFGISNGFSSGNFEESDNFTSSPSVFSSNKLSVSRAQISAPPGFSVPSRAPPPGFSSHERVDHGFDTTSGIHLMDNSSLLRNSYQAPASGGIGGSGDIEFVDPAILAVGKGSLQGGLNNSGLDMRSNFPPQLGPYENEARFQLLMQRSLSPHQNLRYDVGDSFSSLSDSYGISSRLIDQSQVNNMSPFAQLSLQQSRNAHMSNGHWDGWNEVQGGNSLGVAELLRNDRLGYNKFYSSYEGSKYRMPTSGDLYNRTFGM >EOY34270 pep chromosome:Theobroma_cacao_20110822:9:40532250:40538964:-1 gene:TCM_042001 transcript:EOY34270 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding family protein, putative isoform 1 MDMAEKDETEGRCPACRSAYDKERIVGMAANCERLVAEINMERKMKSQKAKTKSSEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQQREYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFVLDGRPLKACFGTTKYCHAWLRNVPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMQRRAGNMLPPPLDDYCPNSSASAAKPIAKNAPNNTTVSIPKGSPPNGSSVRSIALPAGASWGMRALNHPQTAGLACSNGPSKQKSDMVSSTLPFSSAVANTNQVSTLHGDVIKKPSEEIHAMHMMGKPDLLKPLKENASLDCRTTPLEKPPSPDVVSASKSLSSQLSCPPPSNYNDQCTNIPSNVTSSTFDHAEQSFISPSEKEGNISSTDGKIQSLCSDMSALTLDRNVLNGLSSLVRPSSSASDHGSSSSPGSQGLQQRYIDHYREPLSSPAAGRAVTSPNGVCISKEQSDWRTDMQTQAVANTSSEVEEDILSFDNQRLKDPEVISRSSYVPNSPSSLHLSNHSGSHSLHRNEGLGAINLNADTLFVDNKLSESLRFHGSSVSSLSNGYPEKYISSSSIGSDIITEGSLLLPNEGKGKKMGRFLGNAGSDAAKDTGESSIISNILSLDLDTWDESLASPQNLAKLFGDTDKQPSSLKLSSSWKGQNNNQSRFSFARQEDSKYHPFDVESSFSVFGQMPRNRSSSQDFAESRDLYLNKFGISNGFSSGNFEESDNFTSSPSVFSSNKLSVSRAQISAPPGFSVPSRAPPPGFSSHERVDHGFDTTSGIHLMDNSSLLRNSYQAPASGGIGGSGDIEFVDPAILAVGKGSLQGGLNNSGLDMRSNFPPQLGPYENEARFQLLMQRSLSPHQNLRYDVGDSFSSLSDSYGISSRLIDQSQVNNMSPFAQLSLQQSRNAHMSNGHWDGWNEVQGGNSLGVAELLRNDRLGYNKFYSSYEGSKYRMPTSGDLYNRTFGM >EOY29983 pep chromosome:Theobroma_cacao_20110822:9:2962768:2965506:-1 gene:TCM_037339 transcript:EOY29983 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MMQAPYDATVRLMLASLERNLLPDAVIRRLTRLLLASRLRSGYRPSIELQLSDLLQFAHSLKEMPIAIKTDKPKTQHYELPTSFFKLVLGKNFKYSCCYFSDGSRTLEDAEEAMLELYCERSQLKDGHTVLDVGCGWGSLSLHIARKYPNCRVTGICNSTTQKAFIEEQCRDHHLQNVEIIVADISSFEMEASYDRIYSIEMFEHMKNYQDLLKTLSKWMKQDSLLFVHYFCHKAFAYHFEDINEDDWITRYFFTGGTMPSANLLLYFQDDVSVVNHWLVNGKHYAQTSEEWLKRMDQNLASIKPIMESTYGKDQAVKWTVYWRTFFIAVAELFGYNNGEEWMVALFLFKKK >EOY29984 pep chromosome:Theobroma_cacao_20110822:9:2962603:2965520:-1 gene:TCM_037339 transcript:EOY29984 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MMQAPYDATVRLMLASLERNLLPDAVIRRLTRLLLASRLRSGYRPSIELQLSDLLQFAHSLKEMPIAIKTDKPKTQHYELPTSFFKLVLGKNFKYSCCYFSDGSRTLEDAEEAMLELYCERSQLKDGHTVLDVGCGWGSLSLHIARKYPNCRVTGICNSTTQKAFIEEQCRDHHLQNVEIIVADISSFEMEASYDRIYSIEMFEHMKNYQDLLKTLSKWMKQDSLLFVHYFCHKAFAYHFEDINEDDWITRYFFTGGTMPSANLLLYFQQDDVSVVNHWLVNGKHYAQTRALVFQESCNNRIRNQNSLFFPMFYLVLLSSMLVILASFLFVKLILTSYYCLISILTSAPLWEFREYT >EOY31041 pep chromosome:Theobroma_cacao_20110822:9:6745492:6747200:1 gene:TCM_038062 transcript:EOY31041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 family protein isoform 1 MTGEPVNPKAYPLADAQLTTTILDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTTNEGSQLKSQIQQLKDAIEKLLI >EOY31040 pep chromosome:Theobroma_cacao_20110822:9:6745560:6747453:1 gene:TCM_038062 transcript:EOY31040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 family protein isoform 1 MTGEPVNPKAYPLADAQLTTTILDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTTNEGSQLKSQIQQLKDAIEKLLI >EOY32650 pep chromosome:Theobroma_cacao_20110822:9:32506599:32517709:-1 gene:TCM_040671 transcript:EOY32650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysophosphatidyl acyltransferase 3 MAIPAALVVVPVGVLFILSGLIVNLIQAVLLVLLRPLSKSLYRRTNKVIVELLWLELIWLIDWWASIKIDLYTDAATFQLMGKEHALVICNHRSDIDWLVGWVLAQRSSCLGSALAIIKRSAKFLPIIGWSMWFSDYVFLERSWAKDKETLKFGFKQLEDFPMPFWLALFVEGTRFTQAKLLLAQDYATSKGLPIPRNVLIPRTKGFVSAVYHMRSFVPVIYDCTVAIPKNQPPPTMLRMFRGQPSVVNLQIRRHLMQELPETIDGIAQWCKDIFVTKDAMLEKYFTTDAFSDLEYQDIGRPKKSLIVVISWSCLLLLGIIKLFQWFSFLASWEVIAFSVTFLVIVTIVMQILIHSSESKRSTPPKVFQVDSIKEKLLC >EOY30707 pep chromosome:Theobroma_cacao_20110822:9:5491768:5498195:-1 gene:TCM_037824 transcript:EOY30707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2c, putative isoform 4 MGCVYSRACIGEICVPRDARIKDPQSARPNAAEIAVFSPASSNEDEETRDQIHSQLSINRPGDPELGITRLSRVSAQFLPPDGSRTVKVPSANYELKYSYLSQRGYYPDALDKANQDSFCIHTPFGTNPDDHFFGVFDGHGEFGAQCSQFVKRKLCENILRNNKFHVDAIEACHAAYLTTNTQLQADNLDDSMSGTTAITVLVRGRTIYVANSGDSRAVIAEKRGKDIVAVDLSIDQTPFRVDELERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVVLELTEDHPFFVLASDGVFEFLSSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITVIVVHINGLAGTVDGESAKPATILRPPVPQVLEVTGSESPSTLSWSSRNHRARHDLSRARLRAIESSLENGQVWVPPPPAHRKTWEEEAHIERALHDHFLFRKLTDSQCHVLLDCMQRVEVQPGDIVVKQGGEGDCFYVVGSGEFEVLATQEDKNGEVPRVLQRYTAEKLSSFGELALMYNKPLQASVRAVTSGTLWALKREDFRGILMSEFSNLSSLKLLRSVDLLSRLTILQLSHVADSLFEVSFSNGQAIVNRNEGLSALYIIQKGQVRINFDVDLLSSPNVCSLKSDNPKEDKGQQTGRELSVEKMEGSYFGEWTLLGEQMGSLSAVAVGNVTCAVLTKEKFDSVAGHLTKLSQDDQKSRDYSPDMPKDSVKEIDMSTLAKVSLSQLEWRTSLYSTDCSEIGLVFLRDSENLLSLKRFSKQKVKKLGKEAQVLKEKDLMKSMSSAACMPEVLCTCADQMHAGILLNTCLACPLASILHTPLDEQSARFCAASVITALEDLHEVGFLEAVLFFDFISPILCASVIYFSFVFRHPYFI >EOY30706 pep chromosome:Theobroma_cacao_20110822:9:5490742:5497717:-1 gene:TCM_037824 transcript:EOY30706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2c, putative isoform 4 PRDARIKDPQSARPNAAEIAVFSPASSNEDEETRDQIHSQLSINRPGDPELGITRLSRVSAQFLPPDGSRTVKVPSANYELKYSYLSQRGYYPDALDKANQDSFCIHTPFGTNPDDHFFGVFDGHGEFGAQCSQFVKRKLCENILRNNKFHVDAIEACHAAYLTTNTQLQADNLDDSMSGTTAITVLVRGRTIYVANSGDSRAVIAEKRGKDIVAVDLSIDQTPFRVDELERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVVLELTEDHPFFVLASDGVFEFLSSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITVIVVHINGLAGTVDGESAKPATILRPPVPQVLEVTGSESPSTLSWSSRNHRARHDLSRARLRAIESSLENGQVWVPPPPAHRKTWEEEAHIERALHDHFLFRKLTDSQCHVLLDCMQRVEVQPGDIVVKQQGGEGDCFYVVGSGEFEVLATQEDKNGEVPRVLQRYTAEKLSSFGELALMYNKPLQASVRAVTSGTLWALKREDFRGILMSEFSNLSSLKLLRSVDLLSRLTILQLSHVADSLFEVSFSNGQAIVNRNEGLSALYIIQKGQVRINFDVDLLSSPNVCSLKSDNPKEDKGQQTGRELSVEKMEGSYFGEWTLLGEQMGSLSAVAVGNVTCAVLTKEKFDSVAGHLTKLSQDDQKSRDYSPDMPKDSVKEIDMSTLAKVSLSQLGVEN >EOY30705 pep chromosome:Theobroma_cacao_20110822:9:5490793:5498195:-1 gene:TCM_037824 transcript:EOY30705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2c, putative isoform 4 MGCVYSRACIGEICVPRDARIKDPQSARPNAAEIAVFSPASSNEDEETRDQIHSQLSINRPGDPELGITRLSRVSAQFLPPDGSRTVKVPSANYELKYSYLSQRGYYPDALDKANQDSFCIHTPFGTNPDDHFFGVFDGHGEFGAQCSQFVKRKLCENILRNNKFHVDAIEACHAAYLTTNTQLQADNLDDSMSGTTAITVLVRGRTIYVANSGDSRAVIAEKRGKDIVAVDLSIDQTPFRVDELERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVVLELTEDHPFFVLASDGVFEFLSSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITVIVVHINGLAGTVDGESAKPATILRPPVPQVLEVTGSESPSTLSWSSRNHRARHDLSRARLRAIESSLENGQVWVPPPPAHRKTWEEEAHIERALHDHFLFRKLTDSQCHVLLDCMQRVEVQPGDIVVKQGGEGDCFYVVGSGEFEVLATQEDKNGEVPRVLQRYTAEKLSSFGELALMYNKPLQASVRAVTSGTLWALKREDFRGILMSEFSNLSSLKLLRSVDLLSRLTILQLSHVADSLFEVSFSNGQAIVNRNEGLSALYIIQKGQVRINFDVDLLSSPNVCSLKSDNPKEDKGQQTGRELSVEKMEGSYFGEWTLLGEQMGSLSAVAVGNVTCAVLTKEKFDSVAGHLTKLSQDDQKSRDYSPDMPKDSVKEIDMSTLAKVSLSQLEWRTSLYSTDCSEIGLVFLRDSENLLSLKRFSKQKVKKLGKEAQVLKEKDLMKSMSSAACMPEVLCTCADQMHAGILLNTCLACPLASILHTPLDEQSARFCAASVITALEDLHERCFSRCFNVGQNGPFTASRLQIWEEVI >EOY30704 pep chromosome:Theobroma_cacao_20110822:9:5489900:5498261:-1 gene:TCM_037824 transcript:EOY30704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2c, putative isoform 4 MGCVYSRACIGEICVPRDARIKDPQSARPNAAEIAVFSPASSNEDEETRDQIHSQLSINRPGDPELGITRLSRVSAQFLPPDGSRTVKVPSANYELKYSYLSQRGYYPDALDKANQDSFCIHTPFGTNPDDHFFGVFDGHGEFGAQCSQFVKRKLCENILRNNKFHVDAIEACHAAYLTTNTQLQADNLDDSMSGTTAITVLVRGRTIYVANSGDSRAVIAEKRGKDIVAVDLSIDQTPFRVDELERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVVLELTEDHPFFVLASDGVFEFLSSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITVIVVHINGLAGTVDGESAKPATILRPPVPQVLEVTGSESPSTLSWSSRNHRARHDLSRARLRAIESSLENGQVWVPPPPAHRKTWEEEAHIERALHDHFLFRKLTDSQCHVLLDCMQRVEVQPGDIVVKQGGEGDCFYVVGSGEFEVLATQEDKNGEVPRVLQRYTAEKLSSFGELALMYNKPLQASVRAVTSGTLWALKREDFRGILMSEFSNLSSLKLLRSVDLLSRLTILQLSHVADSLFEVSFSNGQAIVNRNEGLSALYIIQKGQVRINFDVDLLSSPNVCSLKSDNPKEDKGQQTGRELSVEKMEGSYFGEWTLLGEQMGSLSAVAVGNVTCAVLTKEKFDSVAGHLTKLSQDDQKSRDYSPDMPKDSVKEIDMSTLAKVSLSQLEWRTSLYSTDCSEIGLVFLRDSENLLSLKRFSKQKVKKLGKEAQVLKEKDLMKSMSSAACMPEVLCTCADQMHAGILLNTCLACPLASILHTPLDEQSARFCAASVITALEDLHENGVLYRGVSPDVLMLDKTGHLQLVDFRFGKKLSSERTFTICGMADSLAPEIVKGKGHGLPADWWALGVLIYFLLQGEMPFGSWRESELDTFAKIAKGQFILSQNLSSEVVDLITKLLEVDENIRLGSHGPTSVKRHPWFDGVDWEGIRDRSFPVPHELTSRITQHLEIHSEDCPVAVASPPQDIVELNAPEWLDEW >EOY31399 pep chromosome:Theobroma_cacao_20110822:9:8509683:8519292:-1 gene:TCM_038331 transcript:EOY31399 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH dimerization motif,WD40/YVTN repeat-like-containing domain isoform 1 MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQPQQPQHQQQQQQQQQLQMHQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQPPQQRRDGPHLLNGSTNGLVGNDSLMRQPAGTANAIATKMYEERLKLPLPRDSLDDAAIKQRYGENVGQLLDPNHASILKPAAATGQPSGQVLHGTAGAMSPQVQARSQQLPGTTPDIKTEINPVLNPRAAGPDGSLIGISGSNQGGNNLTLKGWPLTGLEQLRTGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDENRRLRMLLNNNRTMGLGKDSLSNSVGDVVPNVSPLQAGSPLMPRGDTDMLIKLKMAQLQQQHQQQQLQQQQNSNSQQQQLQQHALSNQQSQSSNPGLHQQDKVGGGGSVTVDGGMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGTDGAGTLTSPSNQLADMERFVEDGSLDDNVESFLSHDDTDPRDTVGRCMDVSKGFTFMEVNSVRASTSKVNCCHFSSDGKLLASGGHDKKAVLWYTETLKPKSTLEEHSSLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSATVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCARVFKGGTAQLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQGHTKPIHSVCWDTSGELLASVSEDSVRVWTLGSGSEGECVHELSCNGNKFHSCVFHPTYPSLLVIGCYQQSLELWNMTENKTMTLAAHDGLIAALAVSPVTGLVSSASHDKIVKLWK >EOY31397 pep chromosome:Theobroma_cacao_20110822:9:8509683:8519292:-1 gene:TCM_038331 transcript:EOY31397 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH dimerization motif,WD40/YVTN repeat-like-containing domain isoform 1 MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQPQQPQHQQQQQQQQQLQMHQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQPPQQRRDGPHLLNGSTNGLVGNDSLMRQPAGTANAIATKMYEERLKLPLPRDSLDDAAIKQRYGENVGQLLDPNHASILKPAAATGQPSGQVLHGTAGAMSPQVQARSQQLPGTTPDIKTEINPVLNPRAAGPDGSLIGISGSNQGGNNLTLKGWPLTGLEQLRTGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDENRRLRMLLNNNRTMGLGKDSLSNSVGDVVPNVSPLQAGSPLMPRGDTDMLIKLKMAQLQQQHQQQQLQQQQNSNSQQQQLQQHALSNQQSQSSNPGLHQQDKVGGGGSVTVDGGMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGTDGAGTLTSPSNQLWDDKDLELQADMERFVEDGSLDDNVESFLSHDDTDPRDTVGRCMDVSKGFTFMEVNSVRASTSKVNCCHFSSDGKLLASGGHDKKAVLWYTETLKPKSTLEEHSSLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSATVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCARVFKGGTAQLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQGHTKPIHSVCWDTSGELLASVSEDSVRVWTLGSGSEGECVHELSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMTENKTMTLAAHDGLIAALAVSPVTGLVSSASHDKIVKLWK >EOY31396 pep chromosome:Theobroma_cacao_20110822:9:8509316:8519811:-1 gene:TCM_038331 transcript:EOY31396 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH dimerization motif,WD40/YVTN repeat-like-containing domain isoform 1 MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQPQQPQHQQQQQQQQQLQMHQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQPPQQRRDGPHLLNGSTNGLVGNDSLMRQPAGTANAIATKMYEERLKLPLPRDSLDDAAIKQRYGENVGQLLDPNHASILKPAAATGQPSGQVLHGTAGAMSPQVQARSQQLPGTTPDIKTEINPVLNPRAAGPDGSLIGISGSNQGGNNLTLKGWPLTGLEQLRTGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDENRRLRMLLNNNRTMGLGKDSLSNSVGDVVPNVSPLQAGSPLMPRGDTDMLIKLKMAQLQQQHQQQQLQQQQNSNSQQQQLQQHALSNQQSQSSNPGLHQQDKVGGGGSVTVDGGMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGTDGAGTLTSPSNQLADMERFVEDGSLDDNVESFLSHDDTDPRDTVGRCMDVSKGFTFMEVNSVRASTSKVNCCHFSSDGKLLASGGHDKKAVLWYTETLKPKSTLEEHSSLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSATVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCARVFKGGTAQLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQGHTKPIHSVCWDTSGELLASVSEDSVRVWTLGSGSEGECVHELSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMTENKTMTLAAHDGLIAALAVSPVTGLVSSASHDKIVKLWK >EOY31398 pep chromosome:Theobroma_cacao_20110822:9:8509112:8520140:-1 gene:TCM_038331 transcript:EOY31398 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH dimerization motif,WD40/YVTN repeat-like-containing domain isoform 1 MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQPQQPQHQQQQQQQQQLQMHQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQPPQQRRDGPHLLNGSTNGLVGNDSLMRQPAGTANAIATKMYEERLKLPLPRDSLDDAAIKQRYGENVGQLLDPNHASILKPAAATGQPSGQVLHGTAGAMSPQVQARSQQLPGTTPDIKTEINPVLNPRAAGPDGSLIGISGSNQGGNNLTLKGWPLTGLEQLRTGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSGSDENRRLRMLLNNNRTMGLGKDSLSNSVGDVVPNVSPLQAGSPLMPRGDTDMLIKLKMAQLQQQHQQQQLQQQQNSNSQQQQLQQHALSNQQSQSSNPGLHQQDKVGGGGSVTVDGGMSNSFRGNDQVSKNQNGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGTDGAGTLTSPSNQLADMERFVEDGSLDDNVESFLSHDDTDPRDTVGRCMDVSKGFTFMEVNSVRASTSKVNCCHFSSDGKLLASGGHDKKAVLWYTETLKPKSTLEEHSSLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSATVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCARVFKGGTAQLRFQPRLGKYLAAAAENVVSILDTETQTCRHSLQGHTKPIHSVCWDTSGELLASVSEDSVRVWTLGSGSEGECVHELSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMTENKTMTLAAHDGLIAALAVSPVTGLVSSASHDKIVKLWK >EOY30314 pep chromosome:Theobroma_cacao_20110822:9:4200298:4202925:1 gene:TCM_037571 transcript:EOY30314 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MGDTPGPSSPPLSPKSNLPMLYYGLVVVGTAAIVLAIYNLIIIRWCTQRRDESRQRPTRLAELTAGQSFENPSRNLLSSFKYRKESSNMGSQDPGGEYECAVCLSIFEDGEEVRQLPRCKHSFHAPCIDMWLYSHFDCPLCRASVEPSPFCHRHTVVNSPENSREDLLDTSIPV >EOY30247 pep chromosome:Theobroma_cacao_20110822:9:3927385:3930935:1 gene:TCM_037521 transcript:EOY30247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein isoform 1 MAPSWFVVAITWLASLAFLSRIFTSRRNQLKLPPGPKPWPIIGNLNLIDSLPHQSLHKLSQKYGPLMQLKFGSYPVVVASSADMAKQFLKNHDQNFASRPQTAAGKHTTYNYKNITWAPYGPYWRQGRKIYLNELFSSKRLESFEYIRVEEMRAFVSRLYGFSGKPVVLKEHLSRATLSVISRIVLGKKYFSESDDQLETSIVTLKEFQEILDELFLLNGVLNIGDWIPWLDFLDLQGYLKRMKALKKKLDRFHEHVFNEHKSKKKELKDFVPQDMVDLLLQLADDPDIDVKLSYDGVRGFTQDLVAGGTDTSATTVEWAISELIKQPQLIKKATEELDRVIGRERWVEEKDIPQLPYIDAIMKETMRKHPVAVMLAPHLALEDCLVAGYDIRKGTTVFINTWSMGRDPSLWEEPEDFRPERFLGKKIDVKGQHFELLPFGSGRRMCPGYSLGLKMIRSSLANLLHGFNWKLPDNTKAEDLSMEEVYGLATPRKFPLVAVLEPRLPLHLYKI >EOY30248 pep chromosome:Theobroma_cacao_20110822:9:3927560:3930965:1 gene:TCM_037521 transcript:EOY30248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein isoform 1 MAPSWFVVAITWLASLAFLSRIFTSRRNQLKLPPGPKPWPIIGNLNLIDSLPHQSLHKLSQKYGPLMQLKFGSYPVVVASSADMAKQFLKNHDQNFASRPQTAAGKHTTYNYKNITWAPYGPYWRQGRKIYLNELFSSKRLESFEYIRVEEMRAFVSRLYGFSGKPVVLKEHLSRATLSVISRIVLGKKYFSESDDQLETSIVTLKEFQEILDELFLLNGVLNIGDWIPWLDFLDLQGYLKRMKALKKKLDRFHEHVFNEHKSKKKELKDFVPQDMVDLLLQLADDPDIDVKLSYDGVRGFTQKKEKKRAFCFAPLLLDDNFSCFVNRDQDLVAGGTDTSATTVEWAISELIKQPQLIKKATEELDRVIGRERWVEEKDIPQLPYIDAIMKETMRKHPVAVMLAPHLALEDCLVAGYDIRKGTTVFINTWSMGRDPSLWEEPEDFRPERFLGKKIDVKGQHFELLPFGSGRRMCPGYSLGLKMIRSSLANLLHGFNWKLPDNTKAEDLSMEEVYGLATPRKFPLVAVLEPRLPLHLYKI >EOY30226 pep chromosome:Theobroma_cacao_20110822:9:3842656:3845378:-1 gene:TCM_037503 transcript:EOY30226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSFRGFCGERGWPKSSILAVEVLLPVVARWSRCLVVRYSAGCKTSSLARNPTRFQLIVSVFVSFLDFYFSRRHMFCLHTFVGFLMKLSSLILNFHSSDLDHIQQPCKEEFSDWPHGLLTIGTFGNKIKQEPDQKANLQENFPSAQDHLHGLTPEEVGKLQKELNLIFQEHVGVGPTSDNLEAPNLPFEKFLDTNSSTEAEERNCSACSHDSNKKNDHIQCSTSLVHSRGKDICFDNSKGAIGKKSLSFLLKKMFVCRSGFSPAPSLRDPNLESRMEKLLRTILHKKIYPQSSSPKLSTNKPLESSYTPKTANGDEKVEKADDGSKWVKTDSECKFIWYQSPCLYAGILTMNV >EOY29397 pep chromosome:Theobroma_cacao_20110822:9:1054149:1059654:1 gene:TCM_036947 transcript:EOY29397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neurochondrin family protein isoform 1 MKLLACQMSTLPDGSHLMELAMKLVQLMLRKLSLGIINNDHLSELSIIVAIIARQFAFLQNAMKFEALHLLSAIFSSEHTTLLHDAFRVMPNQNWSNHIRDGVAAILQNRVAPAEKFEALIVAESMVSIMGEGWLVGQINLPNIHDPIPADRCLLLVLESSRVEVSVLLNELAYAKYEASGSSSSTAETIILKQQKVTIVFSLVEKIIKLISNIGESEGHDFDESTFTKVIKGLNETIGVVLEYLQDAKEHGQKRGNDLLASVRLVGSYLAETPIACKEKIRELLGYMLSVEGEDESSPFYSVCFLLPMLCQMTMKIEGCKLLASSGGYKAVVDCLIKLIGQNRHGVEDNGCIFLACDTIMNFLLKREQFCFPEDELTFFNLLKALAIWTENTNDLSVLMMASSICALVFDFTSEEALLNHPDLSSSCLNSLSRLIARSLASWGQDMSDVVKGEMDLLEIVTAGYSRWANRFPRIRNAVKR >EOY29396 pep chromosome:Theobroma_cacao_20110822:9:1053218:1059860:1 gene:TCM_036947 transcript:EOY29396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neurochondrin family protein isoform 1 MEPESQQPEQSVSLQDCLKLLKGERDEQRLAGLLLVTKFCKGDDLASLRTIYDAVGPRFLDRLLKTGVVSSGSGENREAYLQLSVTVLSAFCRVTDIASSEEMVSKIAIIVEVMSKGAGLNVLEECYEFLYLVSAASEDGVTALYESGGMKLLACQMSTLPDGSHLMELAMKLVQLMLRKLSLGIINNDHLSELSIIVAIIARQFAFLQNAMKFEALHLLSAIFSSEHTTLLHDAFRVMPNQNWSNHIRDGVAAILQNRVAPAEKFEALIVAESMVSIMGEGWLVGQINLPNIHDPIPADRCLLLVLESSRVEVSVLLNELAYAKYEASGSSSSTAETIILKQQKVTIVFSLVEKIIKLISNIGESEGHDFDESTFTKVIKGLNETIGVVLEYLQDAKEHGQKRGNDLLASVRLVGSYLAETPIACKEKIRELLGYMLSVEGEDESSPFYSVCFLLPMLCQMTMKIEGCKLLASSGGYKAVVDCLIKLIGQNRHGVEDNGCIFLACDTIMNFLLKREQFCFPEDELTFFNLLKALAIWTENTNDLSVLMMASSICALVFDFTSEEALLNHPDLSSSCLNSLSRLIARSLASWGQDMSDVVKGEMDLLEIVTAGYSRWANRFPRIRNAVKR >EOY29394 pep chromosome:Theobroma_cacao_20110822:9:1053218:1058928:1 gene:TCM_036947 transcript:EOY29394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neurochondrin family protein isoform 1 MEPESQQPEQSVSLQDCLKLLKGERDEQRLAGLLLVTKFCKGDDLASLRTIYDAVGPRFLDRLLKTGVVSSGSGENREAYLQLSVTVLSAFCRVTDIASSEEMVSKIAIIVEVMSKGAGLNVLEECYEFLYLVSAASEDGVTALYESGGMKLLACQMSTLPDGSHLMELAMKLVQLMLRKLSLGIINNDHLSELSIIVAIIARQFAFLQNAMKFEALHLLSAIFSSEHTTLLHDAFRVMPNQNWSNHIRDGVAAILQNRVAPAEKFEALIVAESMVSIMGEGWLVGQINLPNIHDPIPADRCLLLVLESSRVEVSVLLNELAYAKYEASGSSSSTAETIILKQQKVTIVFSLVEKIIKLISNIGESEGHDFDESTFTKVIKGLNETIGVVLEYLQDAKEHGQKRGNDLLASVRLVGSYLAETPIACKEKIRELLGYMLSVEGEDESSPFYSVCFLLPMLCQMTMKIEGCKLLASSGGYKAVVDCLIKLIGQNRHGVEDNGCIFLACDTIMNFLLKREQFCFPEDELTFFNLLKALAIWTENTNDLSVLMMASSICALVFDFTSEEALLNHPDLSSSCLNSLSRLIARSLASWGQDMSDVVKGEMDLLEIVTAGYSRWANRFPRIRNAVKR >EOY29393 pep chromosome:Theobroma_cacao_20110822:9:1053218:1059821:1 gene:TCM_036947 transcript:EOY29393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neurochondrin family protein isoform 1 MEPESQQPEQSVSLQDCLKLLKGERDEQRLAGLLLVTKFCKGDDLASLRTIYDAVGPRFLDRLLKTGLGKGVVSSGSGENREAYLQLSVTVLSAFCRVTDIASSEEMVSKIAIIVEVMSKGAGLNVLEECYEFLYLVSAASEDGVTALYESGGMKLLACQMSTLPDGSHLMELAMKLVQLMLRKLSLGIINNDHLSELSIIVAIIARQFAFLQNAMKFEALHLLSAIFSSEHTTLLHDAFRVMPNQNWSNHIRDGVAAILQNRVAPAEKFEALIVAESMVSIMGEGWLVGQINLPNIHDPIPADRCLLLVLESSRVEVSVLLNELAYAKYEASGSSSSTAETIILKQQKVTIVFSLVEKIIKLISNIGESEGHDFDESTFTKVIKGLNETIGVVLEYLQDAKEHGQKRGNDLLASVRLVGSYLAETPIACKEKIRELLGYMLSVEGEDESSPFYSVCFLLPMLCQMTMKIEGCKLLASSGGYKAVVDCLIKLIGQNRHGVEDNGCIFLACDTIMNFLLKREQFCFPEDELTFFNLLKALAIWTENTNDLSVLMMASSICALVFDFTSEEALLNHPDLSSSCLNSLSRLIARSLASWGQDMSDVVKGEMDLLEIVTAGYSRWANRFPRIRNAVKR >EOY29395 pep chromosome:Theobroma_cacao_20110822:9:1053333:1058778:1 gene:TCM_036947 transcript:EOY29395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neurochondrin family protein isoform 1 MEPESQQPEQSVSLQDCLKLLKGERDEQRLAGLLLVTKFCKGDDLASLRTIYDAVGPRFLDRLLKTGLGKGVVSSGSGENREAYLQLSVTVLSAFCRVTDIASSEEMVSKIAIIVEVMSKGAGLNVLEECYEFLYLVSAASEDGVTALYESGGMKLLACQMSTLPDGSHLMELAMKLVQLMLRKLSLGIINNDHLSELSIIVAIIARQFAFLQNAMKFEALHLLSAIFSSEHTTLLHDAFRVMPNQNWSNHIRDGVAAILQNRVAPAEKFEALIVAESMVSIMGEGWLVGQINLPNIHDPIPADRCLLLVLESSRVEVSVLLNELAYAKYEASGSSSSTAETIILKQQKVTIVFSLVEKIIKLISNIGESEGHDFDESTFTKVIKGLNETIGVVLEYLQDAKEHGQKRGNDLLASVRLVGSYLAETPIACKEKIRELLGYMLSVEGEDESSPFYSVCFLLPMLCQMTMKIEGCKLLASSGGYKAVVDCLIKLIGQNRHGVEDNGCIFLACDTIMNFLLKREQFCFPEDELTFFNLLKALAIWTENTNDLSVLMMASSICALVFDFTSEEALLNHPDLSSSCLNSLSRLIARSLASWGQDMSDVVKGEMDLLEIVTAGYSRWANRFPRIRNAVKR >EOY29081 pep chromosome:Theobroma_cacao_20110822:9:55266:68481:-1 gene:TCM_036747 transcript:EOY29081 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase isoform 5 MGVRDGRSSGFSFRGFNRHWKKPKGVAALNGIKGAPFRSPTSTSLLSNCKLDSTSTSLLNNANPGSTSTSPLTNAKPESKVSLQKKKQSRSKKNKEPISTTVVSDEAPVVNGSKTASPVGISNNSKNGQHHTPASEGNSTGNLPTEAHDGSASTKLRSKKKSRSSSRKRQPMKAVNEAAQKQTPQHISQGQRPLKQLYPPTGKSVMVVESVTKAKVIQGYLGDTFEVLPSYGHVRDLAARSGSVRPDDDFSMVWEVPSAAWTHLKSIKVALSGAENLVLASDPDREGEAIAWHIFEMLQQQDALGEKLNVARVVFHEITEASIKSALQVPREIDVNLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALSLICDREMEIDEFKPQEYWTIEVQLKIEESNVPVKDTSFPAHLTHYNSKKLGQFSISSDTGAKDIEQKINLENLRVISSKRNTMRRNPPTPYITSTLQQDAANKLNFPATYTMKLAQRLYEGIQLSDGKAAGLITYSRTDGLHISDDAVKDIRSLVMERHGTSFTPESARKYFKKVKNAQEAHEAIRPTNIRRLPSMLAGVLDEDSLKLYTLIWSRTMACQMEPATIEQIQLEIGNSDESIIFRSACSRVEFLGYQSAYQDVEAETIKFKNNEANEHAEAFGILSSLKKGDQLYLGEVELKQHHTQPPARYSEGSLVKKLEELGIGRPSTYASTLKVLQDRNYVTVKNRVLYPEFRGRMVSAFLSHHFSEVTDYSFTADMETELDNVSAGLTEWKGLLRDYWTRFSSYCSHVENVHIHQVEKMLEKTFGDFLFASLPNKNRMCPSCMDGTLIFKVSRFGAGYFIGCNQHPKCKYIAKTLYGDEEEEESPQKGNSVEEPKLLGLNPGSNEKVFLKNGPYGYYVQLGEDRMGYLPKRSSVSHIKNVDSITLEDALELLRYPVTLGKHPKDGHPVILKLAKVGFSVRHRRTIASVPKSMKPKDVTLEKALELLSSKDVRRSGRPKNKPKVEEAIESW >EOY29082 pep chromosome:Theobroma_cacao_20110822:9:55266:68481:-1 gene:TCM_036747 transcript:EOY29082 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase isoform 5 MPSYPKLGQHLNLFATLHSTSTYALLPNHLTYALPRNRNIISTCASFNCIRSSSACVPLSIKFFSQFPRLATKNDSMGVRDGRSSGFSFRGFNRHWKKPKGVAALNGIKGAPFRSPTSTSLLSNCKLDSTSTSLLNNANPGSTSTSPLTNAKPESKVSLQKKKQSRSKKNKEPISTTVVSDEAPVVNGSKTASPVGISNNSKNGQHHTPASEGNSTGNLPTEAHDGSASTKLRSKKKSRSSSRKRQPMKAVNEAAQKQTPQHISQGQRPLKQLYPPTGKSVMVVESVTKAKVIQGYLGDTFEVLPSYGHVRDLAARSGSVRPDDDFSMVWEVPSAAWTHLKSIKVALSGAENLVLASDPDREGEAIAWHIFEMLQQQDALGEKLNVARVVFHEITEASIKSALQVPREIDVNLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALSLICDREMEIDEFKPQEYWTIEVQLKIEESNVPVKDTSFPAHLTHYNSKKLGQFSISSDTGAKDIEQKINLENLRVISSKRNTMRRNPPTPYITSTLQQDAANKLNFPATYTMKLAQRLYEGIQLSDGKAAGLITYSRTDGLHISDDAVKDIRSLVMERHGTSFTPESARKYFKKVKNAQEAHEAIRPTNIRRLPSMLAGVLDEDSLKLYTLIWSRTMACQMEPATIEQIQLEIGNSDESIIFRSACSRVEFLGYQSAYQDVEAETIKFKNNEANEHAEAFGILSSLKKGDQLYLGEVELKQHHTQPPARYSEGSLVKKLEELGIGRPSTYASTLKVLQDRNYVTVKNRVLYPEFRGRMVSAFLSHHFSEVTDYSFTADMETELDNVSAGLTEWKGLLRDYWTRFSSYCSHVENVHIHQVEKMLEKTFGDFLFASLPNKNRMCPSCMDGTLIFKVSRFGAGYFIGCNQHPKCKYIAKTLYGDEEEEESPQKGNSVEEPKLLGLNPGSNEKVFLKNGPYGYYVQLGEDRMGYLPKRSSVSHIKNVDSITLEDALELLRYPVTLGKHPKDGHPVILKLAKVGFSVRHRRTIASVPKSMKPKDVTLEKALELLSSKDVRRSGRPKNKPKVEEAIESW >EOY29085 pep chromosome:Theobroma_cacao_20110822:9:59377:68481:-1 gene:TCM_036747 transcript:EOY29085 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase isoform 5 MILNNAMPKEKPWASSSLNPEAFLFVSPPNPKNNLRQRIQRVMQRCLLSSSTTSCAALRLHPVMPKLETVEIISLRPSHLKFKKLRPPSRLMPSYPKLGQHLNLFATLHSTSTYALLPNHLTYALPRNRNIISTCASFNCIRSSSACVPLSIKFFSQFPRLATKNDSMGVRDGRSSGFSFRGFNRHWKKPKGVAALNGIKGAPFRSPTSTSLLSNCKLDSTSTSLLNNANPGSTSTSPLTNAKPESKVSLQKKKQSRSKKNKEPISTTVVSDEAPVVNGSKTASPVGISNNSKNGQHHTPASEGNSTGNLPTEAHDGSASTKLRSKKKSRSSSRKRQPMKAVNEAAQKQTPQHISQGQRPLKQLYPPTGKSVMVVESVTKAKVIQGYLGDTFEVLPSYGHVRDLAARSGSVRPDDDFSMVWEVPSAAWTHLKSIKVALSGAENLVLASDPDREGEAIAWHIFEMLQQQDALGEKLNVARVVFHEITEASIKSALQVPREIDVNLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALSLICDREMEIDEFKPQEYWTIEVQLKIEESNVPVKDTSFPAHLTHYNSKKLGQFSISSDTGAKDIEQKINLENLRVISSKRNTMRRNPPTPYITSTLQQDAANKLNFPATYTMKLAQRLYEGIQLSDGKAAGLITYSRTDGLHISDDAVKDIRSLVMERHGTSFTPESARKYFKKVKNAQEAHEAIRPTNIRRLPSMLAGVLDEDSLKLYTLIWSRTMACQMEPATIEQIQLEIGNSDESIIFRSACSRVEFLGYQSAYQDVEAETIKFKNNEANEHAEAFGILSSLKKGDQLYLGEVELKQHHTQPPARYSEGSLVKKLEELGIGRPSTYASTLKVLQDRNYVTVKNRVLYPEFRGRMVSAFLSHHFSEVTDYSFTADMETELDNVSAGLTEWKGLLRDYWTRFSSYCSHVENVHIHQVF >EOY29084 pep chromosome:Theobroma_cacao_20110822:9:56728:68318:-1 gene:TCM_036747 transcript:EOY29084 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase isoform 5 MQRCLLSSSTTSCAALRLHPVMPKLETVEIISLRPSHLKFKKLRPPSRLMPSYPKLGQHLNLFATLHSTSTYALLPNHLTYALPRNRNIISTCASFNCIRSSSACVPLSIKFFSQFPRLATKNDSMGVRDGRSSGFSFRGFNRHWKKPKGVAALNGIKGAPFRSPTSTSLLSNCKLDSTSTSLLNNANPGSTSTSPLTNAKPESKVSLQKKKQSRSKKNKEPISTTVVSDEAPVVNGSKTASPVGISNNSKNGQHHTPASEGNSTGNLPTEAHDGSASTKLRSKKKSRSSSRKRQPMKAVNEAAQKQTPQHISQGQRPLKQLYPPTGKSVMVVESVTKAKVIQGYLGDTFEVLPSYGHVRDLAARSGSVRPDDDFSMVWEVPSAAWTHLKSIKVALSGAENLVLASDPDREGEAIAWHIFEMLQQQDALGEKLNVARVVFHEITEASIKSALQVPREIDVNLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALSLICDREMEIDEFKPQEYWTIEVQLKIEESNVPVKDTSFPAHLTHYNSKKLGQFSISSDTGAKDIEQKINLENLRVISSKRNTMRRNPPTPYITSTLQQDAANKLNFPATYTMKLAQRLYEGIQLSDGKAAGLITYSRTDGLHISDDAVKDIRSLVMERHGTSFTPESARKYFKKVKNAQEAHEAIRPTNIRRLPSMLAGVLDEDSLKLYTLIWSRTMACQMEPATIEQIQLEIGNSDESIIFRSACSRVEFLGYQSAYQDVEAETIKFKNNEANEHAEAFGILSSLKKGDQLYLGEVELKQHHTQPPARYSEGSLVKKLEELGIGRPSTYASTLKVLQDRNYVTVKNRVLYPEFRGRMVVSAFLSHHFSEVTDYSFTADMETELDNVSAGLTEWKGLLRDYWTRFSSYCSHVENVHIHQVEKMLEKTFGDFLFASLPNKNRMCPSCMDGTLIFKVSRFGAGYFIGCNQHPKCKYIAKTLYGDEEEEESPQKGNSVEEPKLLGLNPGSNEKVFLKNGPYGYYVQLGEDRMGYLPKRSSVSHIKNVDSITLEDALELLRYPVTLGKTS >EOY29083 pep chromosome:Theobroma_cacao_20110822:9:55599:68416:-1 gene:TCM_036747 transcript:EOY29083 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase isoform 5 MQRCLLSSSTTSCAALRLHPVMPKLETVEIISLRPSHLKFKKLRPPSRLMPSYPKLGQHLNLFATLHSTSTYALLPNHLTYALPRNRNIISTCASFNCIRSSSACVPLSIKFFSQFPRLATKNDSMGVRDGRSSGFSFRGFNRHWKKPKGVAALNGIKGAPFRSPTSTSLLSNCKLDSTSTSLLNNANPGSTSTSPLTNAKPESKVSLQKKKQSRSKKNKEPISTTVVSDEAPVVNGSKTASPVGISNNSKNGQHHTPASEGNSTGNLPTEAHDGSASTKLRSKKKSRSSSRKRQPMKAVNEAAQKQTPQHISQGQRPLKQLYPPTGKSVMVVESVTKAKVIQGYLGDTFEVLPSYGHVRDLAARSGSVRPDDDFSMVWEVPSAAWTHLKSIKVALSGAENLVLASDPDREGEAIAWHIFEMLQQQDALGEKLNVARVVFHEITEASIKSALQVPREIDVNLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALSLICDREMEIDEFKPQEYWTIEVQLKIEESNVPVKDTSFPAHLTHYNSKKLGQFSISSDTGAKDIEQKINLENLRVISSKRNTMRRNPPTPYITSTLQQDAANKLNFPATYTMKLAQRLYEGIQLSDGKAAGLITYSRTDGLHISDDAVKDIRSLVMERHGTSFTPESARKYFKKVKNAQEAHEAIRPTNIRRLPSMLAGVLDEDSLKLYTLIWSRTMACQMEPATIEQIQLEIGNSDESIIFRSACSRVEFLGYQSAYQDVEAETIKFKNNEANEHAEAFGILSSLKKGDQLYLGEVELKQHHTQPPARYSEGSLVKKLEELGIGRPSTYASTLKVLQDRNYVTVKNRVLYPEFRGRMVSAFLSHHFSEVTDYSFTADMETELDNVSAGLTEWKGLLRDYWTRFSSYCSHVENVHIHQVEKMLEKTFGDFLFASLPNKNRMCPSCMDGTLIFKVSRFGAGYFIGCNQHPKCKYIAKTLYGDEEEEESPQKGNSVEEPKLLGLNPGSNEKVFLKNGPYGYYVQLGEDRMGYLPKRSSVSHIKNVDSITLEDALELLRYPVTLGKHPKDGHPVILKLAKVGFSVRHRRTIASVPKSMKPKDVTLEKALELLSSKDVRRSGRPKNKPKVEEAIESW >EOY30837 pep chromosome:Theobroma_cacao_20110822:9:5961592:5970593:1 gene:TCM_037911 transcript:EOY30837 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS isoform 1 MQRQKSILSFLQKPSPASQDGIGGKVKGQEASQFPSKQQNQNAAAVCGSSLEVTGTDTPPEKVPRKVLPASFAANTGTRDSSSMFSSIMHKFVRVDDKENASQSNRARTNSSNIEELPKVELTAQPTEMAKVLSIETDDDLGPETPVTRPGVSRLKRIQGDLPKFGDKKDSSLLDSGKRVKLLQDSNVGNKNHKDVADIASKFDWLDPSRIKDSNRRRPGDSLYDKKTLYIPPDALKKMSASQKQYWSVKCQYMDVVLFFKVGKFYELYEIDAEIGHKELDWKMTVSGVGKCRQVGISESGIDDAVQKLVARGYKVGRMEQLETSEQAKARGANSVIPRKLVQVITPSTIVDGNIGPDAVHLLAIKEGNYGVEKGSTVYGFAFVDCAALKFWVGSISDDSTCSALGALLMQVSPKEVVYESAGLPREAHKALKKYSFTGSTAVQLSPALSVTDFLDASEVRNMIQSNGYFKGSLNSYINALDGVMHPDVALCALGGLVSHLSRLMLDDILRSGEVLPYQVYQGCLRIDGQTLVNLEIFNNSADGGSSGTLYKYLDYCVTSSGKRLLRSWICHPLKDVDSINNRLDVVEELMSHSEKMLLIAQYLRKLPDLERLIGRVKASIQSSASLVLPMIGKKVLKQLVKAFGTLVKGLRIGMDLLKLLQKDADVVSLLSKVFKLPMLSGTNGLDEFLGQFEAAIDSDFPNYQNHDLTDTDAETLSILIELFIEKAAQWSQVIHALNCIDVLRSFAVTASLSFGAMARPLVLPQSKTVTLNQETGGPILKIKGLWHPFALGENGGLPVPNDIFVGEDVNAYHPRALLLTGPNMGGKSTLLRATCLAVILAQLGSYVPCETCVLSLVDIIFTRLGATDRIMTGESTFLVECTETASVLQNATQDSLVLLDELGRGTSTFDGYAIAYAVRFLNFPSVPPSC >EOY30836 pep chromosome:Theobroma_cacao_20110822:9:5961256:5970987:1 gene:TCM_037911 transcript:EOY30836 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS isoform 1 MQRQKSILSFLQKPSPASQDGIGGKVKGQEASQFPSKQQNQNAAAVCGSSLEVTGTDTPPEKVPRKVLPASFAANTGTRDSSSMFSSIMHKFVRVDDKENASQSNRARTNSSNIEELPKVELTAQPTEMAKVLSIETDDDLGPETPVTRPGVSRLKRIQGDLPKFGDKKDSSLLDSGKRVKLLQDSNVGNKNHKDVADIASKFDWLDPSRIKDSNRRRPGDSLYDKKTLYIPPDALKKMSASQKQYWSVKCQYMDVVLFFKVGKFYELYEIDAEIGHKELDWKMTVSGVGKCRQVGISESGIDDAVQKLVARGYKVGRMEQLETSEQAKARGANSVIPRKLVQVITPSTIVDGNIGPDAVHLLAIKEGNYGVEKGSTVYGFAFVDCAALKFWVGSISDDSTCSALGALLMQVSPKEVVYESAGLPREAHKALKKYSFTGSTAVQLSPALSVTDFLDASEVRNMIQSNGYFKGSLNSYINALDGVMHPDVALCALGGLVSHLSRLMLDDILRSGEVLPYQVYQGCLRIDGQTLVNLEIFNNSADGGSSGTLYKYLDYCVTSSGKRLLRSWICHPLKDVDSINNRLDVVEELMSHSEKMLLIAQYLRKLPDLERLIGRVKASIQSSASLVLPMIGKKVLKQLVKAFGTLVKGLRIGMDLLKLLQKDADVVSLLSKVFKLPMLSGTNGLDEFLGQFEAAIDSDFPNYQNHDLTDTDAETLSILIELFIEKAAQWSQVIHALNCIDVLRSFAVTASLSFGAMARPLVLPQSKTVTLNQETGGPILKIKGLWHPFALGENGGLPVPNDIFVGEDVNAYHPRALLLTGPNMGGKSTLLRATCLAVILAQLGSYVPCETCVLSLVDIIFTRLGATDRIMTGESTFLVECTETASVLQNATQDSLVLLDELGRGTSTFDGYAIAYAVFRHLVEKVHCRLLFATHYHPLTKEFASHPHVTLQHMACSFKLKSESCSKGEQELVFLYRLTNGPCPESYGLQVAIMAGIPEHVVDAASGAAQVMKRSVGESFRASEQRSEFSTLHEEWLKTLVNVSQVGNRNLDEGDAYDTLFCLWHELKNAYRASS >EOY30838 pep chromosome:Theobroma_cacao_20110822:9:5963584:5970593:1 gene:TCM_037911 transcript:EOY30838 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS isoform 1 MEQLETSEQAKARGANSVIPRKLVQVITPSTIVDGNIGPDAVHLLAIKEGNYGVEKGSTVYGFAFVDCAALKFWVGSISDDSTCSALGALLMQVSPKEVVYESAGLPREAHKALKKYSFTGSTAVQLSPALSVTDFLDASEVRNMIQSNGYFKGSLNSYINALDGVMHPDVALCALGGLVSHLSRLMLDDILRSGEVLPYQVYQGCLRIDGQTLVNLEIFNNSADGGSSGTLYKYLDYCVTSSGKRLLRSWICHPLKDVDSINNRLDVVEELMSHSEKMLLIAQYLRKLPDLERLIGRVKASIQSSASLVLPMIGKKVLKQLVKAFGTLVKGLRIGMDLLKLLQKDADVVSLLSKVFKLPMLSGTNGLDEFLGQFEAAIDSDFPNYQNHDLTDTDAETLSILIELFIEKAAQWSQVIHALNCIDVLRSFAVTASLSFGAMARPLVLPQSKTVTLNQETGGPILKIKGLWHPFALGENGGLPVPNDIFVGEDVNAYHPRALLLTGPNMGGKSTLLRATCLAVILAQLGSYVPCETCVLSLVDIIFTRLGATDRIMTGESTFLVECTETASVLQNATQDSLVLLDELGRGTSTFDGYAIAYAVFRHLVEKVHCRLLFATHYHPLTKEFASHPHVTLQHMACSFKLKSESCSKGEQELVFLYRLTNGPCPESYGLQVAIMAGIPEHVVDAASGAAQVMKRSVGESFRASEQRSEFSTLHEEWLKTLVNVSQVGNRNLDEGDAYDTLFCLWHELKNAYRASS >EOY30399 pep chromosome:Theobroma_cacao_20110822:9:4494790:4499636:1 gene:TCM_037624 transcript:EOY30399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane bound O-acyl transferase (MBOAT) family protein isoform 1 MSNVAENANEMPNSSDTRFTYRPRVPAHRRIKESPLSSGAIFKQQSHAGLFNLCIVVLVAVNSRLIIENLMKYGWLIRSGFWFSSRSLSDWPLFMCCLTLPIFPLAAFVVEKLVQRNYISEPVVVFLHAIISTTAVLYPVIVNLRCDSAFLSGVALMLFACIVWLKLVSYAHTNNDMRALAKSAEKGDVDPSYDVSFKSLAYFMVAPTLCYQQSYPRTPAVRKSWVVRQFIKLIVFTGLMGFIIEQYINPIVQNSQHPLKGNLLYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTVEEYWRMWNMPVHKWMVRHIYFPCLRNGIPKGVAIVIAFLVSAVFHEVCIFP >EOY30397 pep chromosome:Theobroma_cacao_20110822:9:4494486:4500930:1 gene:TCM_037624 transcript:EOY30397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane bound O-acyl transferase (MBOAT) family protein isoform 1 MAISDSPEILGSTATVTSSSHSDSDLNLLSIRRRTSTTAAGRAPDRDDSGNGEAVDDRDQVESANLMSNVAENANEMPNSSDTRFTYRPRVPAHRRIKESPLSSGAIFKQSHAGLFNLCIVVLVAVNSRLIIENLMKYGWLIRSGFWFSSRSLSDWPLFMCCLTLPIFPLAAFVVEKLVQRNYISEPVVVFLHAIISTTAVLYPVIVNLRCDSAFLSGVALMLFACIVWLKLVSYAHTNNDMRALAKSAEKGDVDPSYDVSFKSLAYFMVAPTLCYQQSYPRTPAVRKSWVVRQFIKLIVFTGLMGFIIEQYINPIVQNSQHPLKGNLLYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTVEEYWRMWNMPVHKWMVRHIYFPCLRNGIPKGVAIVIAFLVSAVFHELCIAVPCHIFKLWAFIGIMFQVPLVLITNYLQDKFRSSMVGNMIFWFIFSILGQPMCVLLYYHDLMNRKGKAD >EOY30398 pep chromosome:Theobroma_cacao_20110822:9:4494909:4501184:1 gene:TCM_037624 transcript:EOY30398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane bound O-acyl transferase (MBOAT) family protein isoform 1 MAISDSPEILGSTATVTSSSHSDSDLNLLSIRRRTSTTAAGRAPDRDDSGNGEAVDDRDQVESANLMSNVAENANEMPNSSDTRFTYRPRVPAHRRIKESPLSSGAIFKQQSHAGLFNLCIVVLVAVNSRLIIENLMKYGWLIRSGFWFSSRSLSDWPLFMCCLTLPIFPLAAFVVEKLVQRNYISEPVVVFLHAIISTTAVLYPVIVNLRCDSAFLSGVALMLFACIVWLKLVSYAHTNNDMRALAKSAEKGDVDPSYDVSFKSLAYFMVAPTLCYQQSYPRTPAVRKSWVVRQFIKLIVFTGLMGFIIEQYINPIVQNSQHPLKGNLLYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTVEEYWRMWNMPVHKWMVRHIYFPCLRNGIPKGVAIVIAFLVSAVFHELCIAVPCHIFKLWAFIGIMFQVPLVLITNYLQDKFRSSMVGNMIFWFIFSILGQPMCVLLYYHDLMNRKGKAD >EOY30400 pep chromosome:Theobroma_cacao_20110822:9:4494790:4498594:1 gene:TCM_037624 transcript:EOY30400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane bound O-acyl transferase (MBOAT) family protein isoform 1 MAISDSPEILGSTATVTSSSHSDSDLNLLSIRRRTSTTAAGRAPDRDDSGNGEAVDDRDQVESANLMSNVAENANEMPNSSDTRFTYRPRVPAHRRIKESPLSSGAIFKQQSHAGLFNLCIVVLVAVNSRLIIENLMKYGWLIRSGFWFSSRSLSDWPLFMCCLTLPIFPLAAFVVEKLVQRNYISEPVVVFLHAIISTTAVLYPVIVNLRCDSAFLSGVALMLFACIVWLKLVSYAHTNNDMRALAKSAEKGDVDPSYDVSFKSLAYFMVAPTLCYQQSYPRTPAVRKSWVVRQFIKLIVFTGLMGFIIEQYINPIVQNSQHPLKGNLLYAIERVLKLSVPNLYVWLCMFYCFFHLWYICSYYFWTIQFFSLTFCDKRFLL >EOY29177 pep chromosome:Theobroma_cacao_20110822:9:295573:296983:1 gene:TCM_036797 transcript:EOY29177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MDSGNASFVSRTCGTVGCCGGRKQPLQRRESGNDAKIVLNTDRRYFQMSAYENFVGGRLRLKGKPLHVKADGISKNKKNRHKHRIAFHSQSEKTGLSTDQTEEVIHETEQDGSEAHAFEDHLTPAERKFLEQTHKLELQRLAKVASKSHRDRIREFNQYLANLTEHYDIPKVGPG >EOY29178 pep chromosome:Theobroma_cacao_20110822:9:295674:297031:1 gene:TCM_036797 transcript:EOY29178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 PRSFPEPAGLLGAAEEENNLFSVENQETTRRLSLIQTGGISKLTGEKTGLSTDQTEEVIHETEQDGSEAHAFEDHLTPAERKFLEQTHKLELQRLAKVASKSHRDRIREFNQYLANLTEHYDIPKVGPG >EOY31253 pep chromosome:Theobroma_cacao_20110822:9:7802210:7806273:-1 gene:TCM_038216 transcript:EOY31253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein MFSLPSLRKSSLLIKPVRSNQRSNTAVRPVFNQPQGSGSSSLGLVKPSFGGSGSRDNKSGEQVLLFLLRSVSSGVLIVGSSLGFSYFAHSSFDRNSLASFADAPKEATWATNGDDRFEHAIPQKKPKFLVGEAYRRRVFFNYEKRIRLQSPPEKLWTISINQVFNYFASHRTPAGDVLMTPADLMRALVPVFPPSESNRVREGFLRGERVPGELCCPPSQFFMLFDTNNDGLISFPEYIFFITLLSIPESSFSVAFKMFDHKNDGEIDKEEFKKVMALMRAQNRQGACHRDGRRLGLKGTQPVENGGLVEYFFGRDGKTCLKHDTFVQFLRGLHDEILKLEFAHYDYKIHGTISAKDFALSLVASAEISHINELLDRVDKLDNESHLRDLRITFEEFKNFAELRKRLQSFSLAIFSYGKVNGVLTKKDFQRAASQVCGIAITDSVVDIIFHVFDANRDGNLSSDEFVRVVQRRERSNSQPRADTKGLISCWLSCATNCSTSKLLL >EOY29850 pep chromosome:Theobroma_cacao_20110822:9:2559294:2562521:1 gene:TCM_037252 transcript:EOY29850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein MQTRVGSAGSLDEVKDPVVTTRTSQSKTLPLRLFQLFGLFLALCIAFSIVSIYTIRRFGIYSVVTTVKSNFVPCVEEPNSLNRWIKPPSNLLHTMNDKELLWRASFVPRIKKYPFNRLPKIAFMFLTKGPLPLSPLWERFLKGHEGLYSIYIHSLPSYNAEFPPSSVFYGRQIPSQVSEWGRMSMCDAERRLLANALLDISNEWFILLSESCIPLYNFSVIYHYIKKSKYSFIGAFDDPGPYGRGRYNENMAPEVNITQWRKGSQWFEINRRLALNIVEDSTYYPKFEQFCRPACYVDEHYFPTMLTIQASNLIANRSITWVDWSRGGAHPATFGRADITEELFKRIFEDHQCRYNDQPSLVCFLFARKFAPSALEPLLQIAPKILGFGLSE >EOY33109 pep chromosome:Theobroma_cacao_20110822:9:35461605:35465580:1 gene:TCM_041097 transcript:EOY33109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol transfer protein 1 isoform 1 MPMSLEEYQTAQMYMVMKMQQQSTNGAEGVEVLENRPFQADAFGKGQYTSKVYRLQSKAPAWLTTIAPKDALVMQEEAWNAYPRLIKCPYFTKFCLTIETVHRADNGTSENVHFLNEEQLAARQVETIDIASAATDYWSYAIGSNTFDFSKFKSAKTGRGPLLDGWQDNCNPVMTAYKLVTVDAPYWGFGYRLEQALLAGERALFMESHRNCFGWIDEWFGMTMQQIRELEQQGDCLLKEKISKPILL >EOY33110 pep chromosome:Theobroma_cacao_20110822:9:35461341:35464269:1 gene:TCM_041097 transcript:EOY33110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol transfer protein 1 isoform 1 MVQIKEFRIVMPMSLEEYQTAQMYMVMKMQQQSTNGAEGVEVLENRPFQADAFGKGQYTSKVYRLQSKAPAWLTTIAPKDALVMQEEAWNAYPRLIKCPYFTKFCLTIETVHRADNGTSENVHFLNEEQLAARQVETIDIASAATDYWSYAIGSNTFDFSKFKSAKTGRGPLLDGWQDNCNPVMTAYKLVTVDAPYWGFGYRLEQALLAGERALFMES >EOY33108 pep chromosome:Theobroma_cacao_20110822:9:35461299:35465906:1 gene:TCM_041097 transcript:EOY33108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol transfer protein 1 isoform 1 MVQIKEFRIVMPMSLEEYQTAQMYMVMKMQQQSTNGAEGVEVLENRPFQADAFGKGQYTSKVYRLQSKAPAWLTTIAPKDALVMQEEAWNAYPRCKTVIKCPYFTKFCLTIETVHRADNGTSENVHFLNEEQLAARQVETIDIASAATDYWSYAIGSNTFDFSKFKSAKTGRGPLLDGWQDNCNPVMTAYKLVTVDAPYWGFGYRLEQALLAGERALFMESHRNCFGWIDEWFGMTMQQIRELEQQGDCLLKEKISKPILLTNAEDCDQRFSHNSERHVQQVVHT >EOY32076 pep chromosome:Theobroma_cacao_20110822:9:20368270:20370320:-1 gene:TCM_039542 transcript:EOY32076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pol polyprotein-like protein MTKLILDHSIYYIENGKSLDIGLKLLTDYSNDTELIDQLRKKRTLDIYAEQLDCVNDMHLPTALLEPIEVNEGINELDEDIVVTGTKSNDDNVPPTLEDFGIDLQGVNVNAIEHDNNRNKGVYEVNATDGYSSDVGSDSSVDYEDRLVDVNWVTDEDTNANDELEVARETLREYVKRKKQLCINKGHDRLDDDDYDDDRLIVPIKDVQNKGQTSRPNFDTNKVDGYIMKSSDKYYNPMVPLNDFVVGLRVRATCAAKNYKWTILCSWCATNKIYMVKTYEFEHSYLLITKNKRGTALVIARKYGEEITAMPFIKPKHLRALVRKDLRVRVSFNVCRAPKLEVIKKIEETYKEEYLVLNDYVEELKLTNPRSTIFVTSHKPTLDSMPVFEKIYICFGALKQGFLGGCRHIIGLDGCFLKRLIQGQLLVAVERDGNNQMFPLAWGLVRSLRHLLPLVEHKMCASVVTRNSLSGS >EOY32785 pep chromosome:Theobroma_cacao_20110822:9:33511857:33520160:-1 gene:TCM_040802 transcript:EOY32785 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding,zinc ion binding,DNA binding, putative isoform 6 MEFVGKSVKKKFKGFGIFSGTVNSFDSSSGFFQIVYEDGDSEELDFHEVASLIMADDSNPTYEPRPDPGLEVVREKPKVGRPRKRRRVERKVCVCPGNVEKETLESNMNGNLKGNVDLNERFVGNLKQNEGFDGNLSETLDVKGVGSRRDLNLNLNLNNNGNVEMKNGIDLNSSRFDLNLNDTYYNNNYLDDDGKFCGGGENMKKRGCIDLNLDLNCDLDDNIDVNCKTQRRECGFDLNLGVDEEIGKDAIDVNCGRQGQGSESITCAEIVQETLRMEQSGLEEDASNKELKEDHSCLGSIEGILEKGSVVDRHVAKTDDCQGVGLEGVPEPGTAVMDGCQADTGSSYKQASGRRKRRKVINDLDSTTERVLRRSARRGSAKNHVSSTPPPTTVTTFAVGDLSTSPSVSAVTEEKPVRSGRKVSEEPIILPPKLQLPPSSKNLNLDGIAVLDIFSIYACLRSFSTLLFLSPFELEDFVAALKCQSASSLIDCIHVSILQTLRKHLEYLSNEGSESASECLRYFYSFHSFSSRLFLFNINFDLTSLKLFRSDYYKQPAAVKVEILQCLCDDMIEVEAIRSELNRRSLASESEMDFDRNMNIEGSKKRKGAMDVSGGSGLSEEVVDDTTDWNSDDCCLCKMDGSLICCDGCPAAYHSKCVGVVNALLPEGDWYCPECAIDRHKPWMKPRKSPRGAELLVIDPHGRLYYNSSGYLLVLDSYDAEYSLNYYHRDDLNVIIDVLKSSDILYRDILKAIHKQWDVAVGSNGASSNLDSLNSVCSETLMKGQIPTASTVLPPLASGETSAIKNETVDDGKQEDKEVAGNSGHLDVEVTESANLLDSVAGTEIPYISSEGSAETMQMGSVIHNFQKQGSAEFSNQSEVPGKSSNLEDCSLISKGLYQESKIKLAQQTLCAINAKRGDASQTQPGTGYLNYYSFAQTASLVVEELMGKPSEKTNEDSLKSVEEIIAMQMKVILKKSNRFHWPDINNLFVDARKENCGWCFCCRYPMDDTDCLFKITSRCVQEVSKSEMVGLQSKWNKKGHVIDVICHAFSIENRLHGLLSGPWLNPQYIKIWHKSILKASDVASLKHFLLMLEANLHHLALSAEWMKHVDSAVTMGSASHVVTASSRASAKHGIARKRGRSNDGESNPTSNPAAGPSICWWRGGRVSRQLFNWKVLPRSLASKAARQGGGKKIPGILYPWDDIENTHALPILDKDFKKSIRLFKKCVVRRKSIEGDGVKYLLDFGKRRIIPDVVMRHGTAVEESSSERKKYWLNESYVPLHLLKSFEEKRIARKSSKMISGKSSEIIRDAKNSSKKRGFSYLFSKAERSEYYQCGHCNKDVLIR >EOY32782 pep chromosome:Theobroma_cacao_20110822:9:33507411:33520160:-1 gene:TCM_040802 transcript:EOY32782 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding,zinc ion binding,DNA binding, putative isoform 6 MEFVGKSVKKKFKGFGIFSGTVNSFDSSSGFFQIVYEDGDSEELDFHEVASLIMADDSNPTYEPRPDPGLEVVREKPKVGRPRKRRRVERKVCVCPGNVEKETLESNMNGNLKGNVDLNERFVGNLKQNEGFDGNLSETLDVKGVGSRRDLNLNLNLNNNGNVEMKNGIDLNSSRFDLNLNDTYYNNNYLDDDGKFCGGGENMKKRGCIDLNLDLNCDLDDNIDVNCKTQRRECGFDLNLGVDEEIGKDAIDVNCGRQGQGSESITCAEIVQETLRMEQSGLEEDASNKELKEDHSCLGSIEGILEKGSVVDRHVAKTDDCQGVGLEGVPEPGTAVMDGCQADTGSSYKQASGRRKRRKVINDLDSTTERVLRRSARRGSAKNHVSSTPPPTTVTTFAVGDLSTSPSVSAVTEEKPVRSGRKVSEEPIILPPKLQLPPSSKNLNLDGIAVLDIFSIYACLRSFSTLLFLSPFELEDFVAALKCQSASSLIDCIHVSILQTLRKHLEYLSNEGSESASECLRSLNWGFLDSITWPIFMVEYLLIHGSGLKCGFDLTSLKLFRSDYYKQPAAVKVEILQCLCDDMIEVEAIRSELNRRSLASESEMDFDRNMNIEGSKKRKGAMDVSGGSGLSEEVVDDTTDWNSDDCCLCKMDGSLICCDGCPAAYHSKCVGVVNALLPEGDWYCPECAIDRHKPWMKPRKSPRGAELLVIDPHGRLYYNSSGYLLVLDSYDAEYSLNYYHRDDLNVIIDVLKSSDILYRDILKAIHKQWDVAVGSNGASSNLDSLNSVCSETLMKGQIPTASTVLPPLASGETSAIKNETVDDGKQEDKEVAGNSGHLDVEVTESANLLDSVAGTEIPYISSEGSAETMQMGSVIHNFQKQGSAEFSNQSEVPGKSSNLEDCSLISKGLYQESKIKLAQQTLCAINAKRGDASQTQPGTGYLNYYSFAQTASLVVEELMGKPSEKTNEDSLKSVEEIIAMQMKVILKKSNRFHWPDINNLFVDARKENCGWCFCCRYPMDDTDCLFKITSRCVQEVSKSEMVGLQSKWNKKGHVIDVICHAFSIENRLHGLLSGPWLNPQYIKIWHKSILKASDVASLKHFLLMLEANLHHLALSAEWMKHVDSAVTMGSASHVVTASSRASAKHGIARKRGRSNDGESNPTSNPAAGPSICWWRGGRVSRQLFNWKVLPRSLASKAARQGGGKKIPGILYPESSDFARRSKSMAWRAAVESSTSIEQLALQVRELDSNIRWDDIENTHALPILDKDFKKSIRLFKKCVVRRKSIEGDGVKYLLDFGKRRIIPDVVMRHGTAVEESSSERKKYWLNESYVPLHLLKSFEEKRIARKSSKMISGKSSEIIRDAKNSSKKRGFSYLFSKAERSEYYQCGHCNKDVLIREAVRCHICKGFFHKRHVRKSAGAIIAECTYTCHRCQDGKSNVNAKRGGSDAKRGKGDTKGGKTNTKSAKKLPQKSKKASTNCKSMRSKDNKKSIAIRMSLRSQKDKKVTAGVPLRRSPRKIKYISVQKKKPGRCKKSKQKSKKKAPKKTKICTSWQKKRTRAYHSYWLNGLRLSSKPDDERVMQFQRKMLFAPSEHMNVSLNQPKCLLCCEAGYASSSNYVACEICEEWFHGDAYGLNSENKSKIIGFRCHVCCKRTPPVCPNMVATRIDGSQLAEMQNSVRTESSEELHGAFPSPCHVNLKTESPSSETRQGLLADDDECFHKEEQLGTSLETSQGPILEYKLESNGTLLDKKQGIDAQQISNNELKPNTLTSDEKSTLEESRINSGHITATAVDKAECLSNDVQLDSFETELASLGHDSTHDDLVNTATFPKSVADGCSAELHLDKLAASVEFLDDGGKTT >EOY32784 pep chromosome:Theobroma_cacao_20110822:9:33511857:33520160:-1 gene:TCM_040802 transcript:EOY32784 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding,zinc ion binding,DNA binding, putative isoform 6 MEFVGKSVKKKFKGFGIFSGTVNSFDSSSGFFQIVYEDGDSEELDFHEVASLIMADDSNPTYEPRPDPGLEVVREKPKVGRPRKRRRVERKVCVCPGNVEKETLESNMNGNLKGNVDLNERFVGNLKQNEGFDGNLSETLDVKGVGSRRDLNLNLNLNNNGNVEMKNGIDLNSSRFDLNLNDTYYNNNYLDDDGKFCGGGENMKKRGCIDLNLDLNCDLDDNIDVNCKTQRRECGFDLNLGVDEEIGKDAIDVNCGRQGQGSESITCAEIVQETLRMEQSGLEEDASNKELKEDHSCLGSIEGILEKGSVVDRHVAKTDDCQGVGLEGVPEPGTAVMDGCQADTGSSYKQASGRRKRRKVINDLDSTTERVLRRSARRGSAKNHVSSTPPPTTVTTFAVGDLSTSPSVSAVTEEKPVRSGRKVSEEPIILPPKLQLPPSSKNLNLDGIAVLDIFSIYACLRSFSTLLFLSPFELEDFVAALKCQSASSLIDCIHVSILQTLRKHLEYLSNEGSESASECLRSLNWGFLDSITWPIFMVEYLLIHGSGLKCGFDLTSLKLFRSDYYKQPAAVKVEILQCLCDDMIEVEAIRSELNRRSLASESEMDFDRNMNIEGSKKRKGAMDVSGGSGLSEEVVDDTTDWNSDDCCLCKMDGSLICCDGCPAAYHSKCVGVVNALLPEGDWYCPECAIDRHKPWMKPRKSPRGAELLVIDPHGRLYYNSSGYLLVLDSYDAEYSLNYYHRDDLNVIIDVLKSSDILYRDILKAIHKQWDVAVGSNGASSNLDSLNSVCSETLMKGQIPTASTVLPPLASGETSAIKNETVDDGKQEDKEVAGNSGHLDVEVTESANLLDSVAGTEIPYISSEGSAETMQMGSVIHNFQKQGSAEFSNQSEVPGKSSNLEDCSLISKGLYQESKIKLAQQTLCAINAKRGDASQTQPGTGYLNYYSFAQTASLVVEELMGKPSEKTNEDSLKSVEEIIAMQMKVILKKSNRFHWPDINNLFVDARKENCGWCFCCRYPMDDTDCLFKITSRCVQEVSKSEMVGLQSKWNKKGHVIDVICHAFSIENRLHGLLSGPWLNPQYIKIWHKSILKASDVASLKHFLLMLEANLHHLALSAEWMKHVDSAVTMGSASHVVTASSRASAKHGIARKRGRSNDGESNPTSNPAAGPSICWWRGGRVSRQLFNWKVLPRSLASKAARQGGGKKIPGILYPWDDIENTHALPILDKDFKKSIRLFKKCVVRRKSIEGDGVKYLLDFGKRRIIPDVVMRHGTAVEESSSERKKYWLNESYVPLHLLKSFEEKRIARKSSKMISGKSSEIIRDAKNSSKKRGFSYLFSKAERSEYYQCGHCNKDVLIR >EOY32780 pep chromosome:Theobroma_cacao_20110822:9:33507474:33519982:-1 gene:TCM_040802 transcript:EOY32780 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding,zinc ion binding,DNA binding, putative isoform 6 MEFVGKSVKKKFKGFGIFSGTVNSFDSSSGFFQIVYEDGDSEELDFHEVASLIMADDSNPTYEPRPDPGLEVVREKPKVGRPRKRRRVERKVCVCPGNVEKETLESNMNGNLKGNVDLNERFVGNLKQNEGFDGNLSETLDVKGVGSRRDLNLNLNLNNNGNVEMKNGIDLNSSRFDLNLNDTYYNNNYLDDDGKFCGGGENMKKRGCIDLNLDLNCDLDDNIDVNCKTQRRECGFDLNLGVDEEIGKDAIDVNCGRQGQGSESITCAEIVQETLRMEQSGLEEDASNKELKEDHSCLGSIEGILEKGSVVDRHVAKTDDCQGVGLEGVPEPGTAVMDGCQADTGSSYKQASGRRKRRKVINDLDSTTERVLRRSARRGSAKNHVSSTPPPTTVTTFAVGDLSTSPSVSAVTEEKPVRSGRKVSEEPIILPPKLQLPPSSKNLNLDGIAVLDIFSIYACLRSFSTLLFLSPFELEDFVAALKCQSASSLIDCIHVSILQTLRKHLEYLSNEGSESASECLRSLNWGFLDSITWPIFMVEYLLIHGSGLKCGFDLTSLKLFRSDYYKQPAAVKVEILQCLCDDMIEVEAIRSELNRRSLASESEMDFDRNMNIEGSKKRKGAMDVSGGSGLSEEVVDDTTDWNSDDCCLCKMDGSLICCDGCPAAYHSKCVGVVNALLPEGDWYCPECAIDRHKPWMKPRKSPRGAELLVIDPHGRLYYNSSGYLLVLDSYDAEYSLNYYHRDDLNVIIDVLKSSDILYRDILKAIHKQWDVAVGSNGASSNLDSLNSVCSETLMKGQIPTASTVLPPLASGETSAIKNETVDDGKQEDKEVAGNSGHLDVEVTESANLLDSVAGTEIPYISSEGSAETMQMGSVIHNFQKQGSAEFSNQSEVPGKSSNLEDCSLISKGLYQESKIKLAQQTLCAINAKRGDASQTQPGTGYLNYYSFAQTASLVVEELMGKPSEKTNEDSLKSVEEIIAMQMKVILKKSNRFHWPDINNLFVDARKENCGWCFCCRYPMDDTDCLFKITSRCVQEVSKSEMVGLQSKWNKKGHVIDVICHAFSIENRLHGLLSGPWLNPQYIKIWHKSILKASDVASLKHFLLMLEANLHHLALSAEWMKHVDSAVTMGSASHVVTASSRASAKHGIARKRGRSNDGESNPTSNPAAGPSICWWRGGRVSRQLFNWKVLPRSLASKAARQGGGKKIPGILYPESSDFARRSKSMAWRAAVESSTSIEQLALQVRELDSNIRWDDIENTHALPILDKDFKKSIRLFKKCVVRRKSIEGDGVKYLLDFGKRRIIPDVVMRHGTAVEESSSERKKYWLNESYVPLHLLKSFEEKRIARKSSKMISGKSSEIIRDAKNSSKKRGFSYLFSKAERSEYYQCGHCNKDVLIREAVRCHICKGFFHKRHVRKSAGAIIAECTYTCHRCQDGKSNVNAKRGGSDAKRGKGDTKGGKTNTKSAKKLPQKSKKASTNCKSMRSKDNKKSIAIRMSLRSQKDKKVTAGVPLRRSPRKIKYISVQKKKPGRCKKSKQKSKKKAPKKTKICTSWQKKRTRAYHSYWLNGLRLSSKPDDERVMQFQRKMLFAPSEHMNVSLNQPKCLLCCEAGYASSSNYVACEICEEWFHGDAYGLNSENKSKIIGFRCHVCCKRTPPVCPNMVATRIDGSQLAEMQNSVRTESSEELHGAFPSPCHVNLKTESPSSETRQGLLADDDECFHKEEQLGTSLETSQGPILEYKLESNGTLLDKKQGIDAQQISNNELKPNTLTSDEKSTLEESRINSGHITATAVDKAECLSNDVQLDSFETELASLGHDSTHDDLVNTATFPKSVADGCSAELHLDKTGTGVEARFIVGLGLHVNFLMLKSWKILKWLKRVTGGMSGRSHESYWPAFSHFYNPMACKSMGDPEACHDGIISFLWNWLVGLIKCR >EOY32783 pep chromosome:Theobroma_cacao_20110822:9:33506982:33520160:-1 gene:TCM_040802 transcript:EOY32783 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding,zinc ion binding,DNA binding, putative isoform 6 MEFVGKSVKKKFKGFGIFSGTVNSFDSSSGFFQIVYEDGDSEELDFHEVASLIMADDSNPTYEPRPDPGLEVVREKPKVGRPRKRRRVERKVCVCPGNVEKETLESNMNGNLKGNVDLNERFVGNLKQNEGFDGNLSETLDVKGVGSRRDLNLNLNLNNNGNVEMKNGIDLNSSRFDLNLNDTYYNNNYLDDDGKFCGGGENMKKRGCIDLNLDLNCDLDDNIDVNCKTQRRECGFDLNLGVDEEIGKDAIDVNCGRQGQGSESITCAEIVQETLRMEQSGLEEDASNKELKEDHSCLGSIEGILEKGSVVDRHVAKTDDCQGVGLEGVPEPGTAVMDGCQADTGSSYKQASGRRKRRKVINDLDSTTERVLRRSARRGSAKNHVSSTPPPTTVTTFAVGDLSTSPSVSAVTEEKPVRSGRKVSEEPIILPPKLQLPPSSKNLNLDGIAVLDIFSIYACLRSFSTLLFLSPFELEDFVAALKCQSASSLIDCIHVSILQTLRKHLEYLSNEGSESASECLRSLNWGFLDSITWPIFMVEYLLIHGSGLKCGFDLTSLKLFRSDYYKQPAAVKVEILQCLCDDMIEVEAIRSELNRRSLASESEMDFDRNMNIEGSKKRKGAMDVSGGSGLSEEVVDDTTDWNSDDCCLCKMDGSLICCDGCPAAYHSKCVGVVNALLPEGDWYCPECAIDRHKPWMKPRKSPRGAELLVIDPHGRLYYNSSGYLLVLDSYDAEYSLNYYHRDDLNVIIDVLKSSDILYRDILKAIHKQWDVAVGSNGASSNLDSLNSVCSETLMKGQIPTASTVLPPLASGETSAIKNETVDDGKQEDKEVAGNSGHLDVEVTESANLLDSVAGTEIPYISSEGSAETMQMGSVIHNFQKQGSAEFSNQSEVPGKSSNLEDCSLISKGLYQESKIKLAQQTLCAINAKRGDASQTQPGTGYLNYYSFAQTASLVVEELMGKPSEKTNEDSLKSVEEIIAMQMKVILKKSNRFHWPDINNLFVDARKENCGWCFCCRYPMDDTDCLFKITSRCVQEVSKSEMVGLQSKWNKKGHVIDVICHAFSIENRLHGLLSGPWLNPQYIKIWHKSILKASDVASLKHFLLMLEANLHHLALSAEWMKHVDSAVTMGSASHVVTASSRASAKHGIARKRGRSNDGESNPTSNPAAGPSICWWRGGRVSRQLFNWKVLPRSLASKAARQGGGKKIPGILYPESSDFARRSKSMAWRAAVESSTSIEQLALQVRELDSNIRWDDIENTHALPILDKDFKKSIRLFKKCVVRRKSIEGDGVKYLLDFGKRRIIPDVVMRHGTAVEESSSERKKYWLNESYVPLHLLKSFEEKRIARKSSKMISGKSSEIIRDAKNSSKKRGFSYLFSKAERSEYYQCGHCNKDVLIREAVRCHICKGFFHKRHVRKSAGAIIAECTYTCHRCQDGKSNVNAKRGGSDAKRGKGDTKGGKTNTKSAKKLPQKSKKASTNCKSMRSKDNKKSIAIRMSLRSQKDKKVTAGVPLRRSPRKIKYISVQKKKPGRCKKSKQKSKKKAPKKTKICTSWQKKRTRAYHSYWLNGLRLSSKPDDERVMQFQRKMLFAPSEHMNVSLNQPKCLLCCEAGYASSSNYVACEICEEWFHGDAYGLNSENKSKIIGFRCHVCCKRTPPVCPNMVATRIDGSQLAEMQNSVRTESSEELHGAFPSPCHVNLKTESPSSETRQGLLADDDECFHKEEQLGTSLETSQGPILEYKLESNGTLLDKKQGIDAQQISNNELKPNTLTSDEKSTLEESRINSGHITATAVDKAECLSNDVQLDSFETELASLGHDSTHDDLVNTATFPKSVADGCSAELHLDKLAASVEFLDDGGKTT >EOY32781 pep chromosome:Theobroma_cacao_20110822:9:33506982:33520160:-1 gene:TCM_040802 transcript:EOY32781 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding,zinc ion binding,DNA binding, putative isoform 6 MEFVGKSVKKKFKGFGIFSGTVNSFDSSSGFFQIVYEDGDSEELDFHEVASLIMADDSNPTYEPRPDPGLEVVREKPKVGRPRKRRRVERKVCVCPGNVEKETLESNMNGNLKGNVDLNERFVGNLKQNEGFDGNLSETLDVKGVGSRRDLNLNLNLNNNGNVEMKNGIDLNSSRFDLNLNDTYYNNNYLDDDGKFCGGGENMKKRGCIDLNLDLNCDLDDNIDVNCKTQRRECGFDLNLGVDEEIGKDAIDVNCGRQGQGSESITCAEIVQETLRMEQSGLEEDASNKELKEDHSCLGSIEGILEKGSVVDRHVAKTDDCQGVGLEGVPEPGTAVMDGCQADTGSSYKQASGRRKRRKVINDLDSTTERVLRRSARRGSAKNHVSSTPPPTTVTTFAVGDLSTSPSVSAVTEEKPVRSGRKVSEEPIILPPKLQLPPSSKNLNLDGIAVLDIFSIYACLRSFSTLLFLSPFELEDFVAALKCQSASSLIDCIHVSILQTLRKHLEYLSNEGSESASECLRSLNWGFLDSITWPIFMVEYLLIHGSGLKCGFDLTSLKLFRSDYYKQPAAVKVEILQCLCDDMIEVEAIRSELNRRSLASESEMDFDRNMNIEGSKKRKGAMDVSGGSGLSEEVVDDTTDWNSDDCCLCKMDGSLICCDGCPAAYHSKCVGVVNALLPEGDWYCPECAIDRHKPWMKPRKSPRGAELLVIDPHGRLYYNSSGYLLVLDSYDAEYSLNYYHRDDLNVIIDVLKSSDILYRDILKAIHKQWDVAVGSNGASSNLDSLNSVCSETLMKGQIPTASTVLPPLASGETSAIKNETVDDGKQEDKEVAGNSGHLDVEVTESANLLDSVAGTEIPYISSEGSAETMQMGSVIHNFQKQGSAEFSNQSEVPGKSSNLEDCSLISKGLYQESKIKLAQQTLCAINAKRGDASQTQPGTGYLNYYSFAQTASLVVEELMGKPSEKTNEDSLKSVEEIIAMQMKVILKKSNRFHWPDINNLFVDARKENCGWCFCCRYPMDDTDCLFKITSRCVQEVSKSEMVGLQSKWNKKGHVIDVICHAFSIENRLHGLLSGPWLNPQYIKIWHKSILKASDVASLKHFLLMLEANLHHLALSAEWMKHVDSAVTMGSASHVVTASSRASAKHGIARKRGRSNDGESNPTSNPAAGPSICWWRGGRVSRQLFNWKVLPRSLASKAARQGGGKKIPGILYPESSDFARRSKSMAWRAAVESSTSIEQLALQVRELDSNIRWDDIENTHALPILDKDFKKSIRLFKKCVVRRKSIEGDGVKYLLDFGKRRIIPDVVMRHGTAVEESSSERKKYWLNESYVPLHLLKSFEEKRIARKSSKMISGKSSEIIRDAKNSSKKRGFSYLFSKAERSEYYQCGHCNKDVLIREAVRCHICKGFFHKRHVRKSAGAIIAECTYTCHRCQDGKSNVNAKRGGSDAKRGKGDTKGGKTNTKSAKKLPQKSKKASTNCKSMRSKDNKKSIAIRMSLRSQKDKKVTAGVPLRRSPRKIKYISVQKKKPGRCKKSKQKSKKKAPKKTKICTSWQKKRTRAYHSYWLNGLRLSSKPDDERVMQFQRKMLFAPSEHMNVSLNQPKCLLCCEAGYASSSNYVACEICEDLCRMVSWRCLWTEFREQKQDYWI >EOY31598 pep chromosome:Theobroma_cacao_20110822:9:10303088:10307791:-1 gene:TCM_038567 transcript:EOY31598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alg9-like mannosyltransferase family isoform 1 MKRRKNDSSNKHPNLSSEEEKQEKKSPDSSKKVLAICLGFRMLNALLIQTYFNPDEHWQALEVAHRIAFGYGHLTWEWKKGIRSYLHPMLFALFYKVIAFLGLDTPWFMMKAPRLLQSIFSAVGDLYLYKLSRVLFGDGVAKWALFSQLANWFNFFCFNRTLSNSLETVLTLVGLYYWPCMRSSSNKVPSDSRKWGLAVAALACAIRPTSAITWVYVGLLELYLTRARLRFIFMELIPIGTLVLGFMCLVDCLLYGSWVLAPLNFLKFNFLSSGGDYYGNHKWHWYFTQGFTVMLFSFLPFCLAGIIKSKNWKLSGLIVWVLGLYSILGHKEFRFVLPVLPISLILSGYSLAALEEHGAPNSERKGPSHIHKKWPSKRQLAICFLLATNIPMALYMSLVHQTERN >EOY31599 pep chromosome:Theobroma_cacao_20110822:9:10303088:10307791:-1 gene:TCM_038567 transcript:EOY31599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alg9-like mannosyltransferase family isoform 1 MKRRKNDSSNKHPNLSSEEEKQEKKSPDSSKKVLAICLGFRMLNALLIQTYFNPDEHWQALEVAHRIAFGYGHLTWEWKKGIRSYLHPMLFALFYKVIAFLGLDTPWFMMKAPRLLQSIFSAVGDLYLYKLSRVLFGDGVAKWAVSLSYVIRFYALHLFAVKLFSGWPFMMDDVLNHFQLFSQLANWFNFFCFNRTLSNSLETVLTLVGLYYWPCMRSSSNKVPSDSRKWGLAVAALACAIRPTSAITWVYVGLLELYLTRARLRFIFMELIPIGTLVLGFMCLVDCLLYGSWVLAPLNFLKFNFLSSGGDYYGNHKWHWYFTQGFTVMLFSFLPFCLAGIIKSKNWKLSGLIVWVLGLYSILGHKEFRFVLPVLPISLILSGYSLAALEEHGAPNSERKGPSHIHKKWPSKRQLAICFLLATNIPMALYMSLVHQRGTEDVMNYLSKEAAREKVKSILFLMPCHATPYYSTLHRNLPMRFLDCSPSEEKGIPDESDRFMMNPIGFAMDFAKTWSCPSHIVLFDSEEKQLRNFLVSHSFREVRRFFHAHFKVDRDLQASVVVYATSGM >EOY31597 pep chromosome:Theobroma_cacao_20110822:9:10302262:10307833:-1 gene:TCM_038567 transcript:EOY31597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alg9-like mannosyltransferase family isoform 1 MKRRKNDSSNKHPNLSSEEEKQEKKSPDSSKKVLAICLGFRMLNALLIQTYFNPDEHWQALEVAHRIAFGYGHLTWEWKKGIRSYLHPMLFALFYKVIAFLGLDTPWFMMKAPRLLQSIFSAVGDLYLYKLSRVLFGDGVAKWALFSQLANWFNFFCFNRTLSNSLETVLTLVGLYYWPCMRSSSNKVPSDSRKWGLAVAALACAIRPTSAITWVYVGLLELYLTRARLRFIFMELIPIGTLVLGFMCLVDCLLYGSWVLAPLNFLKFNFLSSGGDYYGNHKWHWYFTQGFTVMLFSFLPFCLAGIIKSKNWKLSGLIVWVLGLYSILGHKEFRFVLPVLPISLILSGYSLAALEEHGAPNSERKGPSHIHKKWPSKRQLAICFLLATNIPMALYMSLVHQRGTEDVMNYLSKEAAREKVKSILFLMPCHATPYYSTLHRNLPMRFLDCSPSEEKGIPDESDRFMMNPIGFAMDFAKTWSCPSHIVLFDSEEKQLRNFLVSHSFREVRRFFHAHFKVDRDLQASVVVYATSGM >EOY31600 pep chromosome:Theobroma_cacao_20110822:9:10303146:10306136:-1 gene:TCM_038567 transcript:EOY31600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alg9-like mannosyltransferase family isoform 1 MKAPRLLQSIFSAVGDLYLYKLSRVLFGDGVAKWAVSLSYVIRFYALHLFAVKLFSGWPFMMDDVLNHFQLFSQLANWFNFFCFNRTLSNSLETVLTLVGLYYWPCMRSSSNKVPSDSRKWGLAVAALACAIRPTSAITWVYVGLLELYLTRARLRFIFMELIPIGTLVLGFMCLVDCLLYGSWVLAPLNFLKFNFLSSGGDYYGNHKWHWYFTQGFTVMLFSFLPFCLAGIIKSKNWKLSGLIVWVLGLYSILGHKEFRFVLPVLPISLILSGYSLAALEEHGAPNSERKGPSHIHKKWPSKRQLAICFLLATNIPMALYMSLVHQRGTEDVMNYLSKEAAREKVKSILFLMPCHATPYYSTLHRNLPMRFLDCSPSEEKGIPDESDRFMMNPIGFAMDFAKTWSCPSHIVLFDSEEKQLRNFLVSHSFRERRLEGFSMLTSR >EOY31819 pep chromosome:Theobroma_cacao_20110822:9:15708816:15711845:1 gene:TCM_039080 transcript:EOY31819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSCNIQKFHLMMESFTGFACESKLPLEEVHPLHLSPSYVTKIFQCLNEWNDLSAAWTIKQHVFKPLKR >EOY34130 pep chromosome:Theobroma_cacao_20110822:9:40005633:40008383:1 gene:TCM_041897 transcript:EOY34130 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPaseA isoform 2 AIAVWVRVAFFYGSQMVPSQQVLSPPLGAIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLADT >EOY34127 pep chromosome:Theobroma_cacao_20110822:9:40005193:40008602:1 gene:TCM_041897 transcript:EOY34127 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPaseA isoform 2 MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLADTDSRAEPSTIKINQPDLAAGAGQSAQRSACCGST >EOY34128 pep chromosome:Theobroma_cacao_20110822:9:40005059:40008348:1 gene:TCM_041897 transcript:EOY34128 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPaseA isoform 2 MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSI >EOY34129 pep chromosome:Theobroma_cacao_20110822:9:40005059:40008348:1 gene:TCM_041897 transcript:EOY34129 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPaseA isoform 2 MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSI >EOY31074 pep chromosome:Theobroma_cacao_20110822:9:6885132:6888380:1 gene:TCM_038084 transcript:EOY31074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 5 MLKGKKLKLPDRLGSLVVGFDDKDEDSGGSGSVFESGEELEIIQPNGFGSGRESETDDDGEIEEDAMVKRKVKVDGELEQKGRQRHEKDGSGHVLVMKIIQTDEKRSDIEYEISQKEVNLEKLQRIASTGLPDGGGLRATVWKLLLGYLPPSRDLWEKELTGNRQKYSKLKEELLLTPSELARIKEEALHSTEHNADSDTDGPLTRQKISHEDHPLSLGKSSVWHQYFEHTEIAEQIDRDLQRTHPEMKFFSGESSFSRKHREAMRNILLLFSKLNPAIRYVQGMNEVLAPLYYIFSTDIDEQNASNAEADSFSCFVRLMSDSVDHFCQQLDNSSVGILSTLSRLAELLKANDEELWRHLEFTSKVKPQYYAFRSLSVQLPL >EOY31073 pep chromosome:Theobroma_cacao_20110822:9:6884798:6888889:1 gene:TCM_038084 transcript:EOY31073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 5 MLKGKKLKLPDRLGSLVVGFDDKDEDSGGSGSVFESGEELEIIQPNGFGSGRESETDDDGEIEEDAMVKRKVKVDGELEQKGRQRHEKDGSGHVLVMKIIQTDEKRSDIEYEISQKEVNLEKLQRIASTGLPDGGGLRATVWKLLLGYLPPSRDLWEKELTGNRQKYSKLKEELLLTPSELARIKEEALHSTEHNADSDTDGPLTRQKISHEDHPLSLGKSSVWHQYFEHTEIAEQIDRDLQRTHPEMKFFSGESSFSRKHRSNAEADSFSCFVRLMSDSVDHFCQQLDNSSVGILSTLSRLAELLKANDEELWRHLEFTSKVKPQYYAFRWITLLLTQEFNLQSILRIWDSLLSNPFGVQDMLLRVCCAMLLCVKSRLLSGDFAANLKLLQHYPDINTEHLLQVARDLSPDTSSYRLSL >EOY31076 pep chromosome:Theobroma_cacao_20110822:9:6885193:6888014:1 gene:TCM_038084 transcript:EOY31076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 5 MLKGKKLKLPDRLGSLVVGFDDKDEDSGGSGSVFESGEELEIIQPNGFGSGRESETDDDGEIEEDAMVKRKVKVDGELEQKGRQRHEKDGSGHVLVMKIIQTDEKRSDIEYEISQKEVNLEKLQRIASTGLPDGGGLRATVWKLLLGYLPPSRDLWEKELTGNRQKYSKLKEELLLTPSELARIKEEALHSTEHNADSDTDGPLTRQKISHEDHPLSLGKSSVWHQYFEHTEIAEQIDRDLQRTHPEMKFFSGESSFSRKHREAMRNILLLFSKLNPAIRYVQGMNEVLAPLYYIFSTDIDEQNASNAEADSFSCFVRLMSDSVDHFCQQLDNSSVGILSTLSRLAELLKANDEELWRHLEFTSKASLMEF >EOY31072 pep chromosome:Theobroma_cacao_20110822:9:6885132:6888508:1 gene:TCM_038084 transcript:EOY31072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 5 MLKGKKLKLPDRLGSLVVGFDDKDEDSGGSGSVFESGEELEIIQPNGFGSGRESETDDDGEIEEDAMVKRKVKVDGELEQKGRQRHEKDGSGHVLVMKIIQTDEKRSDIEYEISQKEVNLEKLQRIASTGLPDGGGLRATVWKLLLGYLPPSRDLWEKELTGNRQKYSKLKEELLLTPSELARIKEEALHSTEHNADSDTDGPLTRQKISHEDHPLSLGKSSVWHQYFEHTEIAEQIDRDLQRTHPEMKFFSGESSFSRKHREAMRNILLLFSKLNPAIRYVQGMNEVLAPLYYIFSTDIDEQNASNAEADSFSCFVRLMSDSVDHFCQQLDNSSVGILSTLSRLAELLKANDEELWRHLEFTSKVKPQYYAFRICFYVFAVP >EOY31075 pep chromosome:Theobroma_cacao_20110822:9:6884798:6888889:1 gene:TCM_038084 transcript:EOY31075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 5 MLKGKKLKLPDRLGSLVVGFDDKDEDSGGSGSVFESGEELEIIQPNGFGSGRESETDDDGEIEEDAMVKRKVKVDGELEQKGRQRHEKDGSGHVLVMKIIQTDEKRSDIEYEISQKEVNLEKLQRIASTGLPDGGGLRATVWKLLLGYLPPSRDLWEKELTGNRQKYSKLKEELLLTPSELARIKEEALHSTEHNADSDTDGPLTRQKISHEDHPLSLGKSSVWHQYFEHTEIAEQIDRDLQRTHPEMKFFSGESSFSRKHREAMRNILLLFSKLNPAIRYVQGMNEVLAPLYYIFSTDIDEQNASNAEADSFSCFVRLMSDSVDHFCQQLDNSSVGILSTLSRLAELLKANDEELWRHLEFTSKDMLLRVCCAMLLCVKSRLLSGDFAANLKLLQHYPDINTEHLLQVARDLSPDTSSYRLSL >EOY31071 pep chromosome:Theobroma_cacao_20110822:9:6884798:6888889:1 gene:TCM_038084 transcript:EOY31071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 5 MLKGKKLKLPDRLGSLVVGFDDKDEDSGGSGSVFESGEELEIIQPNGFGSGRESETDDDGEIEEDAMVKRKVKVDGELEQKGRQRHEKDGSGHVLVMKIIQTDEKRSDIEYEISQKEVNLEKLQRIASTGLPDGGGLRATVWKLLLGYLPPSRDLWEKELTGNRQKYSKLKEELLLTPSELARIKEEALHSTEHNADSDTDGPLTRQKISHEDHPLSLGKSSVWHQYFEHTEIAEQIDRDLQRTHPEMKFFSGESSFSRKHREAMRNILLLFSKLNPAIRYVQGMNEVLAPLYYIFSTDIDEQNASNAEADSFSCFVRLMSDSVDHFCQQLDNSSVGILSTLSRLAELLKANDEELWRHLEFTSKVKPQYYAFRWITLLLTQEFNLQSILRIWDSLLSNPFGVQDMLLRVCCAMLLCVKSRLLSGDFAANLKLLQHYPDINTEHLLQVARDLSPDTSSYRLSL >EOY32721 pep chromosome:Theobroma_cacao_20110822:9:33094072:33112018:-1 gene:TCM_040748 transcript:EOY32721 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(H) kinase 1 isoform 4 MSPSKLDSTASFGNGDASISCSKPENGLVDSLSLFHSEKAVEELLQQTPVEGMDEHLIEFSEALRTVAKALRRAAEGKASAQAEAAEWKRRYELERTRNIQMERKARIAERFSAEQNGDFDCGKVENSDNQLVQQNEPDEHSEKGCMKHGICSHEVLRDRESDSNSKGDHNKMMRKASFKLSWWCKGENGDQHKHDIVSFEKGNITTAERSSKQISLKWESDPQTLLILTKPNSVSVRILCAQMVRWLKEKKKLNIYVEPRVRVELLTESSDFNYVQTWKDDREILLLHTKVDLVITLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFHSEHYKECLDSVLRGPISITLRHRLLCRVVRDAAKNEYDTEEPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTVRIVVPFNSRSPAWASFDGKDRKQLAAGDALLCSMAPWPVPTACQVDSTNDFLRSIHDGLHWNLRKTQSFDGPRDL >EOY32724 pep chromosome:Theobroma_cacao_20110822:9:33094348:33106467:-1 gene:TCM_040748 transcript:EOY32724 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(H) kinase 1 isoform 4 MLRSWWTRTSLDNATGMIRIMLPCLVSWDVWKERNKRVSEARIAERFSAEQNGDFDCGKVENSDNQLVQQNEPDEHSEKGCMKHGICSHEVLRDRESDSNSKGDHNKMMRKASFKLSWWCKGENGDQHKHDIVSFEKGNITTAERSSKQISLKWESDPQTLLILTKPNSVSVRILCAQMVRWLKEKKKLNIYVEPRVRVELLTESSDFNYVQTWKDDREILLLHTKVDLVITLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFHSEHYKECLDSVLRGPISITLRHRLLCRVVRDAAKNEYDTEEPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTVRIVVPFNSRSPAWASFDGKDRKQLAAGDALLCSMAPWPVPTACQVDSTNDFLRSIHDGLHWNLRKTQSFDGPRDL >EOY32722 pep chromosome:Theobroma_cacao_20110822:9:33094919:33111945:-1 gene:TCM_040748 transcript:EOY32722 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(H) kinase 1 isoform 4 MSPSKLDSTASFGNGDASISCSKPENGLVDSLSLFHSEKAVEELLQQTPVEGMDEHLIEFSEALRTVAKALRRAAEGKASAQAEAAEWKRRYELERTRNIQMERKVSGNNICEMLRSWWTRTSLDNATGMIRIMLPCLVSWDVWKERNKRVSEARIAERFSAEQNGDFDCGKVENSDNQLVQQNEPDEHSEKGCMKHGICSHEVLRDRESDSNSKGDHNKMMRKASFKLSWWCKGENGDQHKHDIVSFEKGNITTAERSSKQISLKWESDPQTLLILTKPNSVSVRILCAQMVRWLKEKKKLNIYVEPRVRVELLTESSDFNYVQTWKDDREILLLHTKVDLVITLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFHSEHYKECLDSVLRGPISITLRHRLLCRVVRDAAKNEYDTEEPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTP >EOY32723 pep chromosome:Theobroma_cacao_20110822:9:33094634:33109763:-1 gene:TCM_040748 transcript:EOY32723 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(H) kinase 1 isoform 4 MERKARIAERFSAEQNGDFDCGKVENSDNQLVQQNEPDEHSEKGCMKHGICSHEVLRDRESDSNSKGDHNKMMRKASFKLSWWCKGENGDQHKHDIVSFEKGNITTAERSSKQISLKWESDPQTLLILTKPNSVSVRILCAQMVRWLKEKKKLNIYVEPRVRVELLTESSDFNYVQTWKDDREILLLHTKVDLVITLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFHSEHYKECLDSVLRGPISITLRHRLLCRVVRDAAKNEYDTEEPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTVRIVVPFNSRSPAWASFDGKDRKQLAAGDALLCSMAPWPVPTACQVDSTNDFLRSIHDGLHWNLRKTQSFDGPRDL >EOY31099 pep chromosome:Theobroma_cacao_20110822:9:7015550:7018457:-1 gene:TCM_038105 transcript:EOY31099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein isoform 2 PCNFCNIVLASKKSHNAKTREREKERGKEGLRDNYSSFVMLFLVSVPCSSLFDIVTVRCGHCTNLWSVNMAAAFQSLSWQDVQAPNYATQDYRTELGSSSKCNKLSMRTPANNVTEERVVNRPPEKRPRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLETNN >EOY31098 pep chromosome:Theobroma_cacao_20110822:9:7014953:7018727:-1 gene:TCM_038105 transcript:EOY31098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein isoform 2 MSSCIDVAPEQLCYIPCNFCNIVLAVSVPCSSLFDIVTVRCGHCTNLWSVNMAAAFQSLSWQDVQAPNYATQDYRTELGSSSKCNKLSMRTPANNVTEERVVNRPPEKRPRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLETNNQNKLDDASEKHLMSRTALLNK >EOY29869 pep chromosome:Theobroma_cacao_20110822:9:2618752:2624915:-1 gene:TCM_037268 transcript:EOY29869 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein isoform 1 MTDEAQYSSGPDAGSNKRKYDDQTPPSSGGRRPTGFSSPDSAPPSYNSVPPPLDGIEMAKQRAQEIAARLTLSAASAGAEAKRPRVENGSGGGFDNDKGFSSAPPDMKPMSNSAPSSIPVSYGSYHGTSKKIDIPQIRVGVIIGKAGETIKYLQLQSGAKIQVQRDMDADPNSVTRPVELMGTAEQIAKAEQLINDVLAEAEAGGSGIVSRRLTGQAGSEHFEMKIPNNKVGLVIGKGGETIKNMQARTGARIQVIPLHLPPGDPSTERSLHIDGTSEQIKNARELVDEVISENRLRNPAMAGGYTQQGYQARPPTSWGQGAPPMQQPGYGYMQPGAYPGPSPQYNMSQPPYGGYPSQPTSGSYASGWDQSAVPPNQQSSAAGGYDYYNQQPSSQQQQTPGGSAAPADNSGYNYSQPPASSYMQPGQGYPQDGYGGYPAPPQSGYGQPSSYDQQQGYGSAHSYGNATNPTQEGHTPSYGGQGDSGQAPTSTQPSAMGQQGYNTSQQPSQNPGSYPPQGSTQPGYGVPPTSQAGYGSQPPAQSGYGPGYGPPQAQKPLANPPVYGQTQQSPSTPGSYGQPGYHSQPPPSGYAQPESGSQRAQSSSYGAAPAQPGYGAPPYGAAPASQPGYGAPPYNTSYGGSYSQPPAYPADSNASGSTRGTYDAAPTASQTVQQSGVAKASPQS >EOY29867 pep chromosome:Theobroma_cacao_20110822:9:2618113:2624676:-1 gene:TCM_037268 transcript:EOY29867 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein isoform 1 MTDEAQYSSGPDAGSNKRKYDDQTPPSSGGRRPTGFSSPDSAPPSYNSVPPPLDGIEMAKQRAQEIAARLTLSAASAGAEAKRPRVENGSGGGFDNDKGFSSAPPDMKPMSNSAPSSIPVSYGSYHGTSKKIDIPQIRVGVIIGKAGETIKYLQLQSGAKIQVQRDMDADPNSVTRPVELMGTAEQIAKAEQLINDVLAEAEAGGSGIVSRRLTGQAGSEHFEMKIPNNKVGLVIGKGGETIKNMQARTGARIQVIPLHLPPGDPSTERSLHIDGTSEQIKNARELVDEVISENRLRNPAMAGGYTQQGYQARPPTSWGQGAPPMQQPGYGYMQPGAYPGPSPQYNMSQPPYGGYPSQPTSGSYASGWDQSAVPPNQQSSAAGGYDYYNQQPSSQQQQTPGGSAAPADNSGYNYSQPPASSYMQPGQGYPQDGYGGYPAPPQSGYGQPSSYDQQQGYGSAHSYGNATNPTQEGHTPSYGGQGDSGQAPTSTQPSAMGQQGYNTSQQPSQNPGSYPPQGSTQPGYGVPPTSQAGYGSQPPAQSGYGPGYGPPQAQKPLANPPVYGQTQQSPSTPGSYGQPGYHSQPPPSGYAQPESGSQRAQSSSYGAAPAQPGYGAPPYGAAPASQPGYGAPPYNTSYGGSYSQPPAYPADSNASGSTRGTYDAAPTASQTVQQSGVAKASPQS >EOY29868 pep chromosome:Theobroma_cacao_20110822:9:2618170:2625089:-1 gene:TCM_037268 transcript:EOY29868 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein isoform 1 MTDEAQYSSGPDAGSNKRKYDDQTPPSSGGRRPTGFSSPDSAPPSYNSVPPPLDGIEMAKQRAQEIAARLTLSAASAGAEAKRPRVENGSGGGFDNDKGFSSAPPDMKPMSNSAPSSIPVSYGSYHGTSKKIDIPQIRVGVIIGKAGETIKYLQLQSGAKIQVQRDMDADPNSVTRPVELMGTAEQIAKAEQLINDVLAEAEAGGSGIVSRRLTGQAGSEHFEMKIPNNKVGLVIGKGGETIKNMQARTGARIQVIPLHLPPGDPSTERSLHIDGTSEQIKNARELVDEVISENRLRNPAMAGGYTQQGYQARPPTSWGQGAPPMQQPGYGYMQPGAYPGPSPQYNMSQPPYGGYPSQPTSGSYASGWDQSAVPPNQQSSAAGGYDYYNQQPSSQQQQTPGGSAAPADNSGYNYSQPPASSYMQPGQGYPQDGYGGYPAPPQSGYGQPSSYDQQQGYGSAHSYGNATNPTQEGHTPSYGGQGDSGQAPTSTQPSAMGQQGYNTSQQPSQNPGSYPPQGSTQPGYGVPPTSQAGYGSQPPAQSGYGPGYGPPQAQKPLANPPVYGQTQQSPSTPGSYGQPGYHSQPPPSGYAQPESGSQRAQSSSYGAAPAQPGYGAPPYGAAPASQPGYGAPPYNTSYGGSYSQPPAYPADSNASGSTRGTYDAAPTASQTVQQSGVAKASPQS >EOY29870 pep chromosome:Theobroma_cacao_20110822:9:2618016:2623790:-1 gene:TCM_037268 transcript:EOY29870 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein isoform 1 MKIPNNKVGLVIGKGGETIKNMQARTGARIQVIPLHLPPGDPSTERSLHIDGTSEQIKNARELVDEVISENRLRNPAMAGGYTQQGYQARPPTSWGQGAPPMQQPGYGYMQPGAYPGPSPQYNMSQPPYGGYPSQPTSGSYASGWDQSAVPPNQQSSAAGGYDYYNQQPSSQQQQTPGGSAAPADNSGYNYSQPPASSYMQPGQGYPQDGYGGYPAPPQSGYGQPSSYDQQQGYGSAHSYGNATNPTQEGHTPSYGGQGDSGQAPTSTQPSAMGQQGYNTSQQPSQNPGSYPPQGSTQPGYGVPPTSQAGYGSQPPAQSGYGPGYGPPQAQKPLANPPVYGQTQQSPSTPGSYGQPGYHSQPPPSGYAQPESGSQRAQSSSYGAAPAQPGYGAPPYGAAPASQPGYGAPPYNTSYGGSYSQPPAYPADSNASGSTRGTYDAAPTASQTVQQSGVAKASPQS >EOY30738 pep chromosome:Theobroma_cacao_20110822:9:5636910:5640108:-1 gene:TCM_037848 transcript:EOY30738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster biosynthesis family protein isoform 2 MRRQALSLTDAAASRICQLLQQRQRPFLRLGVKARGCNGLSYTLNYADEKGKFDELVEDKGVKILIDPKALMHVIGTKMDFVDDKLRSEFIFINPNSKGQCGCGESFMTTAGTSGGAAKQGSD >EOY30739 pep chromosome:Theobroma_cacao_20110822:9:5636830:5639825:-1 gene:TCM_037848 transcript:EOY30739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster biosynthesis family protein isoform 2 MRSDLSTFFIVGKQDSSHQVLTLSQCRPGTKIMASRLFMTAAEKIRPAAMRRQALSLTDAAASRICQLLQQRQRPFLRLGVKARGCNGLSYTLNYADEKGKFDELVEDKGVKILIDPKALMHVIGTKMDFVDDKLRSEFIFINPNSKGQCGCGESFMTTAGTSGGAAKQGSD >EOY30737 pep chromosome:Theobroma_cacao_20110822:9:5636960:5639905:-1 gene:TCM_037848 transcript:EOY30737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster biosynthesis family protein isoform 2 MASRLFMTAAEKIRPAAMRRQALSLTDAAASRICQLLQQRQRPFLRLGVKARGCNGLSYTLNYADEKGKFDELVEDKGVKILIDPKALMHVIGTKMDFVDDKLRSEFIFINPNSKGQCGCGESFMTTAGTSGGAAKQGSD >EOY32157 pep chromosome:Theobroma_cacao_20110822:9:22384650:22392121:1 gene:TCM_039723 transcript:EOY32157 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein isoform 4 MEEEPAALGKRKSPEKPHVTETPSQESASKRRSLARTCVHEVAVPSGYTSIKDESIHGTLSNPVYNGDMAKTYKFELDPFQRVSVACLERNESVLVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELHHEFQDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFVEWICHLHKQPCHVVYTDFRPTPLQHYVFPMGGSGLYLVVDENEQLREDNFMKLQDSFIKQRPGDLNKSANGKSSGRSAKGGSASGGSDIYKIVKMIMERKFHPVIVFSFSRRECEYHAMSMSKLDFNTQEEKDDVEQVFRNAVLCLNEEDRCLPAIELMLPLLMRGIAVHHSGLLPVIKELVELLFQEGLIKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMIDEQMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQITAEHVIRNSFHQFQYEKALPDIGKKVSKLEQEAALLDASGEAEVAEYHKLKLEIAQLEKKLMSEITRPERILYYLDPGRLIKVREGSTDWGWGVVVNVVKRPSAGLGALPARGGGYIVDTLLHCSPGSSENGARPKPCPPCPAEKGEMHVVPVQLPLVSALSKIRIFIPPDLRPPEARQSILLAVQELGTRFPQGLPKLNPVTSRLLAQL >EOY32156 pep chromosome:Theobroma_cacao_20110822:9:22384078:22393554:1 gene:TCM_039723 transcript:EOY32156 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein isoform 4 MEEEPAALGKRKSPEKPHVTETPSQESASKRRSLARTCVHEVAVPSGYTSIKDESIHGTLSNPVYNGDMAKTYKFELDPFQRVSVACLERNESVLVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELHHEFQDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFVEWICHLHKQPCHVVYTDFRPTPLQHYVFPMGGSGLYLVVDENEQLREDNFMKLQDSFIKQRPGDLNKSANGKSSGRSAKGGSASGGSDIYKIVKMIMERKFHPVIVFSFSRRECEYHAMSMSKLDFNTQEEKDDVEQVFRNAVLCLNEEDRCLPAIELMLPLLMRGIAVHHSGLLPVIKELVELLFQEGLIKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMIDEQMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQITAEHVIRNSFHQFQYEKALPDIGKKVSKLEQEAALLDASGEAEVAEYHKLKLEIAQLEKKLMSEITRPERILYYLDPGRLIKVREGSTDWGWGVVVNVVKRPSAGLGALPARGGGYIVDTLLHCSPGSSENGARPKPCPPCPAEKGEMHVVPVQLPLVSALSKIRIFIPPDLRPPEARQSILLAVQELGTRFPQGLPKLNPVTIACTTLTFSTSA >EOY32154 pep chromosome:Theobroma_cacao_20110822:9:22383898:22400212:1 gene:TCM_039723 transcript:EOY32154 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein isoform 4 MEEEPAALGKRKSPEKPHVTETPSQESASKRRSLARTCVHEVAVPSGYTSIKDESIHGTLSNPVYNGDMAKTYKFELDPFQRVSVACLERNESVLVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELHHEFQDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFVEWICHLHKQPCHVVYTDFRPTPLQHYVFPMGGSGLYLVVDENEQLREDNFMKLQDSFIKQRPGDLNKSANGKSSGRSAKGGSASGGSDIYKIVKMIMERKFHPVIVFSFSRRECEYHAMSMSKLDFNTQEEKDDVEQVFRNAVLCLNEEDRCLPAIELMLPLLMRGIAVHHSGLLPVIKELVELLFQEGLIKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMIDEQMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQITAEHVIRNSFHQFQYEKALPDIGKKVSKLEQEAALLDASGEAEVAEYHKLKLEIAQLEKKLMSEITRPERILYYLDPGRLIKVREGSTDWGWGVVVNVVKRPSAGLGALPARGGGYIVDTLLHCSPGSSENGARPKPCPPCPAEKGEMHVVPVQLPLVSALSKIRIFIPPDLRPPEARQSILLAVQELGTRFPQGLPKLNPVTDMKIEDPEIVELVKQVEELEKKLFAHPLHKSQDVHQIRSFQRKAEVNHEIQQLKSKMRDSQLKKFRDELRNRSRVLKKLGHIDADGVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQVAALASCFIPVDKSSEQIQLRTEIAKPLQQLQESARKIAEIQHECKLDVNVDEYVESTVRPFLMDVIYCWSKGATFAEITQMTDIFEGSIIRSARRLDEFLNQLHAAAEAVGEVNLEKKFAAASESLRRGIMFANSLYL >EOY32155 pep chromosome:Theobroma_cacao_20110822:9:22384134:22396162:1 gene:TCM_039723 transcript:EOY32155 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein isoform 4 MEEEPAALGKRKSPEKPHVTETPSQESASKRRSLARTCVHEVAVPSGYTSIKDESIHGTLSNPVYNGDMAKTYKFELDPFQRVSVACLERNESVLVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELHHEFQDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFVEWICHLHKQPCHVVYTDFRPTPLQHYVFPMGGSGLYLVVDENEQLREDNFMKLQDSFIKQRPGDLNKSANGKSSGRSAKGGSASGGSDIYKIVKMIMERKFHPVIVFSFSRRECEYHAMSMSKLDFNTQEEKDDVEQVFRNAVLCLNEEDRCLPAIELMLPLLMRGIAVHHSGLLPVIKELVELLFQEGLIKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMIDEQMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQITAEHVIRNSFHQFQYEKALPDIGKKVSKLEQEAALLDASGEAEVAEYHKLKLEIAQLEKKLMSEITRPERILYYLDPGRLIKVREGSTDWGWGVVVNVVKRPSAGLGALPARGGGYIVDTLLHCSPGSSENGARPKPCPPCPAEKGEMHVVPVQLPLVSALSKIRIFIPPDLRPPEARQSILLAVQELGTRFPQGLPKLNPVTDMKIEDPEIVELVKQVEELEKKLFAHPLHKSQDVHQIRSFQRKAEVNHEIQQLKSKMRDSQLKKFRDELRNRSRVLKKLGHIDADGVVQLKGRAACLIDTGDELL >EOY29667 pep chromosome:Theobroma_cacao_20110822:9:1996348:1998614:-1 gene:TCM_037143 transcript:EOY29667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein isoform 3 MLRLWKWYQNCLAIHPVKTQVISSGLIWGFGDIAAQTITHSTAKKHRHLQEEDKELKINWKRVATTSLFGFGFVGPVGHYWYEGLDRYIRLRLCLQPNSFRFVATKVAIDGFIFGPLDLLVFFTYMGFSAGKSFPQVKENVKRDFVPALMLEGGVWPIVQVANFRYIPVRYQLLYVNFFCLLDSCFLSWIEQQEDAPWKQWLNSLLPSKEKRGQGG >EOY29666 pep chromosome:Theobroma_cacao_20110822:9:1995921:1998705:-1 gene:TCM_037143 transcript:EOY29666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein isoform 3 MLRLWKWYQNCLAIHPVKTQVISSGLIWGFGDIAAQTITHSTAKKHRHLQEEDKELKINWKRVATTSLFGFGFVGPVGHYWYEGLDRYIRLRLCLQPNSFRFVATKVAIDGFIFGPLDLLVFFTYMGFSAGKSFPQVKENVKRDFVPALMLEGGVWPIVQVANFRYIPVRYQLLYVNFFCLLDSCFLSWIEQQEDAPWKQWLNSLLPSKEKRGQGG >EOY29668 pep chromosome:Theobroma_cacao_20110822:9:1995741:1997483:-1 gene:TCM_037143 transcript:EOY29668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein isoform 3 FVGPVGHYWYEGLDRYIRLRLCLQPNSFRFVATKVAIDGFIFGPLDLLVFFTYMGFSAGKSFPQVKENVKRDFVPALMLEGGVWPIVQVANFRYIPVRYQLLYVNFFCLLDSCFLSWIEQQEDAPWKQWLNSLLPSKEKRGQGG >EOY29118 pep chromosome:Theobroma_cacao_20110822:9:161153:167350:-1 gene:TCM_036763 transcript:EOY29118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase isoform 1 MSRPTTRSKNKRHRQVENDDTTSEILRKIHATGQINDDDVFQLYMITKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKTGLWQKMSDIVQAFGPDPFKDLRASAYSPAGLTNLGATCYANSILQCLYMNKSFRQGVFSVEPDILEQHPVLDQLARLFAQLHASKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLFSLLERCLSHSKVTKARTIVQDLFRGSVSHVTTCSKCGKDSEASSKMEDFYEVELNVKGLKTLDESLNDYLSVEELHGDNQYFCESCNTRVDASRSIKLRTLPDVLNFQLKRYDFLQKTTTKKKISSLFSFPGELDMRGRLSEPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGLWWEFDDEHVSNLGHHPFGEGSSTSNTKSIRTEPVVYSSCIGVDGTANGNHLDQIQQQHAESSIGSHAEIFSSTDAYMIMYNLRCNKKNDMRGCTMYSANCMELEGDVVFLHDGISLPSHLFQEIKDLNSSYDDACEQYKLKKERELEHITARRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNITPPVLNNTSIQCFHGKVPVSKVGFVKRLSAKAWMKLFSKYNGGPALAKADYCMECLIDVARTVVCADSYRDRRKLMKEIADNVLLGKCVDGTYYVSKAWLQQWVKRKTLDAPSEADAGPTMSITCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITIKPDEPSGCSTFPFDFQECPECSNALSEVACLEDSIRAVKLKQRQNHEKLATGKSIPLSLNCKYYLVPSTWLSKWRSYITASGKNISSMEPEILDGIINLLKCEKHLRLLERPPKVVYKRGSYFQKSSTIG >EOY29119 pep chromosome:Theobroma_cacao_20110822:9:161136:167856:-1 gene:TCM_036763 transcript:EOY29119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase isoform 1 MSRPTTRSKNKRHRQVENDDTTSEILRKIHATGQINDDDVFQLYMITKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKTGLWQKMSDIVQAFGPDPFKDLRASAYSPAGLTNLGATCYANSILQCLYMNKSFRQGVFSVEPDILEQHPVLDQLARLFAQLHASKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLFSLLERCLSHSKVTKARTIVQDLFRGSVSHVTTCSKCGKDSEASSKMEDFYEVELNVKGLKTLDESLNDYLSVEELHGDNQYFCESCNTRVDASRSIKLRTLPDVLNFQLKRYDFLQKTTTKKKISSLFSFPGELDMRGRLSEPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGLWWEFDDEHVSNLGHHPFGEGSSTSNTKSIRTEPVVYSSCIGVDGTANGNHLDQIQQQHAESSIGSHAEIFSSTDAYMIMYNLRCNKKNDMRGCTMYSANCMELEGDVVFLHDGISLPSHLFQEIKDLNSSYDDACEQYKLKKERELEHITARRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNITPPVLNNTSIQCFHGKVPVSKVGFVKRLSAKAWMKLFSKYNGGPALAKADYCMECLIDVARTVVCADSYRDRRKLMKEIADNVLLGKCVDGTYYVSKAWLQQWVKRKTLDAPSEADAGPTMSITCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITIKPDEPSGCSTFPFDFQECPECSNALSEVACLEDSIRAVKLKQRQNHEKLATGKSIPLSLNCKYYLVPSTWLSKWRSYITASGKNISSMEPEILDGIINLLKCEKHLRLLERPPKVVYKRGSYFQKSSTTDRLTIITEN >EOY29115 pep chromosome:Theobroma_cacao_20110822:9:159777:167856:-1 gene:TCM_036763 transcript:EOY29115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase isoform 1 MSRPTTRSKNKRHRQVENDDTTSEILRKIHATGQINDDDVFQLYMITKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKTGLWQKMSDIVQAFGPDPFKDLRASAYSPAGLTNLGATCYANSILQCLYMNKSFRQGVFSVEPDILEQHPVLDQLARLFAQLHASKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLFSLLERCLSHSKVTKARTIVQDLFRGSVSHVTTCSKCGKDSEASSKMEDFYEVELNVKGLKTLDESLNDYLSVEELHGDNQYFCESCNTRVDASRSIKLRTLPDVLNFQLKRYDFLQKTTTKKKISSLFSFPGELDMRGRLSEPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGLWWEFDDEHVSNLGHHPFGEGSSTSNTKSIRTEPVVYSSCIGVDGTANGNHLDQIQQQHAESSIGSHAEIFSSTDAYMIMYNLRCNKKNDMRGCTMYSANCMELEGDVVFLHDGISLPSHLFQEIKDLNSSYDDACEQYKLKKERELEHITARRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNITPPVLNNTSIQCFHGKVPVSKVGFVKRLSAKAWMKLFSKYNGGPALAKADYCMECLIDVARTVVCADSYRDRRKLMKEIADNVLLGKCVDGTYYVSKAWLQQWVKRKTLDAPSEADAGPTMSITCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITIKPDEPSGCSTFPFDFQECPECSNALSEVACLEDSIRAVKLKQRQNHEKLATGKSIPLSLNCKYYLVPSTWLSKWRSYITASGKNISSMEPEILDGIINLLKCEKHLRLLERPPKVVYKRGSYFQKSSTIG >EOY29117 pep chromosome:Theobroma_cacao_20110822:9:158814:167793:-1 gene:TCM_036763 transcript:EOY29117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase isoform 1 MSRPTTRSKNKRHRQVENDDTTSEILRKIHATGQINDDDVFQLYMITKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKTGLWQKMSDIVQAFGPDPFKDLRASAYSPAGLTNLGATCYANSILQCLYMNKSFRQGVFSVEPDILEQHPVLDQLARLFAQLHASKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLFSLLERCLSHSKVTKARTIVQDLFRGSVSHVTTCSKCGKDSEASSKMEDFYEVELNVKGLKTLDESLNDYLSVEELHGDNQYFCESCNTRVDASRSIKLRTLPDVLNFQLKRYDFLQKTTTKKKISSLFSFPGELDMRGRLSEPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGLWWEFDDEHVSNLGHHPFGEGSSTSNTKSIRTEPVVYSSCIGVDGTANGNHLDQIQQQHAESSIGSHAEIFSSTDAYMIMYNLRCNKKNDMRGCTMYSANCMELEGDVVFLHDGISLPSHLFQEIKDLNSSYDDACEQYKLKKERELEHITARRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNITPPVLNNTSIQCFHGKVPVSKVGFVKRLSAKAWMKLFSKYNGGPALAKADYCMECLIDVARTVVCADSYRDRRKLMKEIADNVLLGKCVDGTYYVSKAWLQQWVKRKTLDAPSEADAGPTMSITCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITIKPDEPSGCSTFPFDFQECPECSNALSEVACLEDSIRAVKLKQRQNHEKLATGKSIPLSLNCKYYLVPSTWLSKWRSYITASGKNISSMEPEILDGIINLLKCEKHLRLLERPPKVVYKRGSYFQKSSTTDRLTIITENDWKCFCEEWGGTKEDGISAVIELSSTDNLAGCCEDMPISVQQLDLPNEVNNEVESRQVVIRTCPEACEECIGERESCELMQKLNYADEEIYVYLVRGKEAPKSILQASEYSEPDRRTSKRSRRTNNGNLLNLKVSASTSIYQLKMMIWESLGVGC >EOY29114 pep chromosome:Theobroma_cacao_20110822:9:157356:167726:-1 gene:TCM_036763 transcript:EOY29114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase isoform 1 MSRPTTRSKNKRHRQVENDDTTSEILRKIHATGQINDDDVFQLYMITKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKTGLWQKMSDIVQAFGPDPFKDLRASAYSPAGLTNLGATCYANSILQCLYMNKSFRQGVFSVEPDILEQHPVLDQLARLFAQLHASKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLFSLLERCLSHSKVTKARTIVQDLFRGSVSHVTTCSKCGKDSEASSKMEDFYEVELNVKGLKTLDESLNDYLSVEELHGDNQYFCESCNTRVDASRSIKLRTLPDVLNFQLKRYDFLQKTTTKKKISSLFSFPGELDMRGRLSEPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGLWWEFDDEHVSNLGHHPFGEGSSTSNTKSIRTEPVVYSSCIGVDGTANGNHLDQIQQQHAESSIGSHAEIFSSTDAYMIMYNLRCNKKNDMRGCTMYSANCMELEGDVVFLHDGISLPSHLFQEIKDLNSSYDDACEQYKLKKERELEHITARRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNITPPVLNNTSIQCFHGKVPVSKVGFVKRLSAKAWMKLFSKYNGGPALAKADYCMECLIDVARTVVCADSYRDRRKLMKEIADNVLLGKCVDGTYYVSKAWLQQWVKRKTLDAPSEADAGPTMSITCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITIKPDEPSGCSTFPFDFQECPECSNALSEVACLEDSIRAVKLKQRQNHEKLATGKSIPLSLNCKYYLVPSTWLSKWRSYITASGKNISSMEPEILDGIINLLKCEKHLRLLERPPKVVYKRGSYFQKSSTTDRLTIITENDWKCFCEEWGGTKEDGISAVIELSSTDNLAGCCEDMPISVQQLDLPNEVNNEVESRQVVIRTCPEACEECIGERESCELMQKLNYADEEIYVYLVRGKEAPKSILQASEYSEPDRRTSKRSRRTNNGNLLNLKVSASTSIYQLKMMIWESLGVVKENQILHKGSRIIDQEMATLADMNIFPGDRLWVKDSEIHEDRDIADELSDQKMNVDNIEEGFRGTLLTANISSQVV >EOY29113 pep chromosome:Theobroma_cacao_20110822:9:157312:167726:-1 gene:TCM_036763 transcript:EOY29113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase isoform 1 MSRPTTRSKNKRHRQVENDDTTSEILRKIHATGQINDDDVFQLYMITKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKTGLWQKMSDIVQAFGPDPFKDLRASAYSPAGLTNLGATCYANSILQCLYMNKSFRQGVFSVEPDILEQHPVLDQLARLFAQLHASKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLFSLLERCLSHSKVTKARTIVQDLFRGSVSHVTTCSKCGKDSEASSKMEDFYEVELNVKGLKTLDESLNDYLSVEELHGDNQYFCESCNTRVDASRSIKLRTLPDVLNFQLKRYDFLQKTTTKKKISSLFSFPGELDMRGRLSEPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGLWWEFDDEHVSNLGHHPFGEGSSTSNTKSIRTEPVVYSSCIGVDGTANGNHLDQIQQQHAESSIGSHAEIFSSTDAYMIMYNLRCNKKNDMRGCTMYSANCMELEGDVVFLHDGISLPSHLFQEIKDLNSSYDDACEQYKLKKERELEHITARRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNITPPVLNNTSIQCFHGKVPVSKVGFVKRLSAKAWMKLFSKYNGGPALAKADYCMECLIDVARTVVCADSYRDRRKLMKEIADNVLLGKCVDGTYYVSKAWLQQWVKRKTLDAPSEADAGPTMSITCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITIKPDEPSGCSTFPFDFQECPECSNALSEVACLEDSIRAVKLKQRQNHEKLATGKSIPLSLNCKYYLVPSTWLSKWRSYITASGKNISSMEPEILDGIINLLKCEKHLRLLERPPKVVYKRGSYFQKSSTTDRLTIITENDWKCFCEEWGGTKEDGISAVIELSSTDNLAGCCEDMPISVQQLDLPNEVNNEVESRQVVIRTCPEACEECIGERESCELMQKLNYADEEIYVYLVRGKEAPKSILQASEYSEPDRRTSKRSRRTNNGNLLNLKVSASTSIYQLKMMIWESLGVVKENQILHKGSRIIDQEMATLADMNIFPGDRLWVKDSEIHEDRDIADELSDQKMNVDNIEEGFRGTLLTANISSQVV >EOY29120 pep chromosome:Theobroma_cacao_20110822:9:158248:167856:-1 gene:TCM_036763 transcript:EOY29120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase isoform 1 MSRPTTRSKNKRHRQVENDDTTSEILRKIHATGQINDDDVFQLYMITKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKTGLWQKMSDIVQAFGPDPFKDLRASAYSPAGLTNLGATCYANSILQCLYMNKSFRQGVFSVEPDILEQHPVLDQLARLFAQLHASKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLFSLLERCLSHSKVTKARTIVQDLFRGSVSHVTTCSKCGKDSEASSKMEDFYEVELNVKGLKTLDESLNDYLSVEELHGDNQYFCESCNTRVDASRSIKLRTLPDVLNFQLKRYDFLQKTTTKKKISSLFSFPGELDMRGRLSEPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGLWWEFDDEHVSNLGHHPFGEGSSTSNTKSIRTEPVVYSSCIGVDGTANGNHLDQIQQQHAESSIGSHAEIFSSTDAYMIMYNLRCNKKNDMRGCTMYSANCMELEGDVVFLHDGISLPSHLFQEIKDLNSSYDDACEQYKLKKERELEHITARRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNITPPVLNNTSIQCFHGKVPVSKVGFVKRLSAKAWMKLFSKYNGGPALAKADYCMECLIDVARTVVCADSYRDRRKLMKEIADNVLLGKCVDGTYYVSKAWLQQWVKRKTLDAPSEADAGPTMSITCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITIKPDEPSGCSTFPFDFQECPECSNALSEVACLEDSIRAVKLKQRQNHEKLATGKSIPLSLNCKYYLVPSTWLSKWRSYITASGKNISSMEPEILDGIINLLKCEKHLRLLERPPKVVYKRGSYFQKSSTNGVVPKRTAY >EOY29116 pep chromosome:Theobroma_cacao_20110822:9:161153:167350:-1 gene:TCM_036763 transcript:EOY29116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase isoform 1 MSRPTTRSKNKRHRQVENDDTTSEILRKIHATGQINDDDVFQLYMITKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKTGLWQKMSDIVQAFGPDPFKDLRASAYSPAGLTNLGATCYANSILQCLYMNKSFRQGVFSVEPDILEQHPVLDQLARLFAQLHASKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLFSLLERCLSHSKVTKARTIVQDLFRGSVSHVTTCSKCGKDSEASSKMEDFYEVELNVKGLKTLDESLNDYLSVEELHGDNQYFCESCNTRVDASRSIKLRTLPDVLNFQLKRYDFLQKTTTKKKISSLFSFPGELDMRGRLSEPSQVELIYDLSAVLIHKGTAANSGHYIAHIKDENTGLWWEFDDEHVSNLGHHPFGEGSSTSNTKSIRTEPVVYSSCIGVDGTANGNHLDQIQQQHAESSIGSHAEIFSSTDAYMIMYNLRCNKKNDMRGCTMYSANCMELEGDVVFLHDGISLPSHLFQEIKDLNSSYDDACEQYKLKKERELEHITARRQEVRSVLSEAPVHSVEEPFYWISTDWLRQWADNITPPVLNNTSIQCFHGKVPVSKVGFVKRLSAKAWMKLFSKYNGGPALAKADYCMECLIDVARTVVCADSYRDRRKLMKEIADNVLLGKCVDGTYYVSKAWLQQWVKRKTLDAPSEADAGPTMSITCPHGHLMPEQAAGAKRLLVPEKLWLFFYEDAITIKPDEPSGCSTFPFDFQECPECSNALSEVACLEDSIRAVKLKQRQNHEKLATGKSIPLSLNCKYYLVPSTWLSKWRSYITASGKNISSMEPEILDGIINLLKCEKHLRLLERPPKVVYKRGSYFQKSSTIG >EOY32881 pep chromosome:Theobroma_cacao_20110822:9:34220317:34232231:-1 gene:TCM_040902 transcript:EOY32881 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRT-like transporter 3 isoform 2 MTSCYHRLTAGQTLPNIPVQPPKIKPTASNIPLIPPRPCMNQRPVRIVLRLRKCWRVEAVGPVGRSEGGDGAKKAGPGAGPGPCSYLVGNQRVGDRTVETGRRERNRAVEVVVAAGVTVVLGVGNRVLYKLALVPLKHYPFFLAQLATFGYVLVYFSILYLRYHAGIVTDEMLSMPKAPFLAVGLLEALAAATGMAAGAILSGASIPILSQTFLVWQILLSIIFLGRRYRVNQLVGCFLVAVGVIITVASGSGSGFSLKEAGIFWSLLMIVSFLLQAADTVLKEVIFLNAAERLECGSVDLFVVNSYGSAFQALFICLLLPFLSKLWGIPFSQLPNYLKDGAACFLNISGTISSGCDGAPLLPLLFVIVNMGFNISLLHLLKISSAVVSSLASTFSVPISVYVFTLPLPYLGVASSLPTGFVAGAVVLVLGLLVYAWSPSGSSSALA >EOY32882 pep chromosome:Theobroma_cacao_20110822:9:34220897:34228801:-1 gene:TCM_040902 transcript:EOY32882 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRT-like transporter 3 isoform 2 MTSCYHRLTAGQTLPNIPVQPPKIKPTASNIPLIPPRPCMNQRPVRIVLRLRKCWRVEAVGPVGRSEGGDGAKKAGPGAGPGPCSYLVGNQRVGDRTVETGRRERNRAVEVVVAAGVTVVLGVGNRVLYKLALVPLKHYPFFLAQLATFGYVLVYFSILYLRYHAGIVTDEMLSMPKAPFLAVGLLEALAAATGMAAGAILSGASIPILSQTFLVWQILLSIIFLGRRYRVNQLVGCFLVAVGVIITVASGSGSGFSLKEAGIFWSLLMIVSFLLQAADTVLKEVIFLNAAERLECGSVDLFVVNSYGSAFQCRHCSFAYFYPFYRNFGAFHLVSFQTILKMVQLAS >EOY33715 pep chromosome:Theobroma_cacao_20110822:9:38762275:38763010:-1 gene:TCM_041616 transcript:EOY33715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCRAALFTCKWVHLLSAPPALLRLPLIPLRFAMLMLLMSLPQTQKLIAGKKKKKTFREKLIDSIIRSNQCYISQGRDGMDIKHPLQSQFMSELIIII >EOY34552 pep chromosome:Theobroma_cacao_20110822:9:41418488:41421157:1 gene:TCM_042183 transcript:EOY34552 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 4 isoform 3 MHISKWDLKRVLAQETGLEPKEQRLLFQGKEKDDEECLHMVGVKDMSKVVLLEDPASKERKLDEMKRNQSILKAYEEVAKVRAEVDKLSEKVIALEAIVRGGTKVSEKKFLVLTELLMVQLLQLDTIEANGEAKVQRKVEVRHVQGLVDTLDNLKARNSNPFSSSCNAVSVTTKWEAFESGVGSLTAPAPLPSSTRITQDWEVFD >EOY34551 pep chromosome:Theobroma_cacao_20110822:9:41418209:41421157:1 gene:TCM_042183 transcript:EOY34551 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 4 isoform 3 MVGRVCFLTMKRLSSSSKRVVNNVVRGEIEWELRPGGMLVQKRNVGDVSSGPMIKIKVSHGSYHHDITVPAQSTFGDLKRVLAQETGLEPKEQRLLFQGKEKDDEECLHMVGVKDMSKVVLLEDPASKERKLDEMKRNQSILKAYEEVAKVRAEVDKLSEKVIALEAIVRGGTKVSEKKFLVLTELLMVQLLQLDTIEANGEAKVQRKVEVRHVQGLVDTLDNLKARNSNPFSSSCNAVSVTTKWEAFESGVGSLTAPAPLPSSTRITQDWEVFD >EOY34553 pep chromosome:Theobroma_cacao_20110822:9:41418393:41420727:1 gene:TCM_042183 transcript:EOY34553 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 4 isoform 3 MTSPCLLNPLLVNHFFLFSFPSSSCLQLLQFVGRLVVLVFVLETVLMHISKWDLKRVLAQETGLEPKEQRLLFQGKEKDDEECLHMVGVKDMSKVVLLEDPASKERKLDEMKRNQSILKAYEEVAKVRAEVDKLSEKVIALEAIVRGGTKVSEKKFLVLTELLMVQLLQLDTIEANGEAKVQRKVEVRHVQGLVDTLDNLKARNSNPFSSSCNAVSVTTKWEAFESGVGSLTAPAPLPSSTRITQDWEVFD >EOY32001 pep chromosome:Theobroma_cacao_20110822:9:18966672:18980079:1 gene:TCM_039404 transcript:EOY32001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related-like protein MATSKKPLLFLVLLPLIFTQLTADPSPGNVETLSSEHGDSALKHEVDHLRSKISFLESSVDERIRELSGKDESVRQLETIIQEKSDTISSLKIEIEYFERKASLDDKEKMSNTHVQAAELEKQVDNVRKEIEMQNKKKVALEVRLNVAEEKIGELNVKLEDLQRINSEQKSKIQKAEHALQLAQEEMMKAKLRAASVSKALTEVHGEWLPHWLAVHLYHFQSLVSHWNELGRPALDITIQKAVEKKDQFKRWAEPHIRNVDMSFLVSHWNEHGRPALDITIQKALEKKDQLKRWAEPHIRNVNTHWIPVMKEEWSTFINRLEPLCQSLLSKSNEVYHASMNSMAPHVVKARTLTNPYIQEVKNFAEPYVNQVVMVTRLHYETMEVALKPYAKKMIHTYRKLVNSASLYHHQVQETLKSHELTRTLANMDLAWFLATAVLILPIIVLFQLFSVIFR >EOY33429 pep chromosome:Theobroma_cacao_20110822:9:37438196:37441845:1 gene:TCM_041401 transcript:EOY33429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein CtaG / Cox11 family isoform 5 MPRCDFSTRPLTKSFDFHPYGSQCFGRLSPFSIQRHYASHASHASKEKKSKKTLLYLTALVFAMVGSSYAAVPLYRRFCQATGYGGTVQRRESVEEKIARHAKDGTVATREIVVQFNADVADGMPWKFIPTQREVRVKPGESALAFYTAENRSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPRMDGGSSHQIRQLDKRDGGFELESEEVARKDEKKMGGKGW >EOY33430 pep chromosome:Theobroma_cacao_20110822:9:37438196:37441845:1 gene:TCM_041401 transcript:EOY33430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein CtaG / Cox11 family isoform 5 MPRCDFSTRPLTKSFDFHPYGSQCFGRLSPFSIQRHYASHASHASKEKKSKKTLLYLTALVFAMVGSSYAAVPLYRRFCQATGYGGTVQRRESVEEKIARHAKDGTVATREIVVQFNADVADGMPWKFIPTQREPGESALAFYTAENRSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPRMDGGSSHQIRQLDKRDGGFELESEEVARKDEKKMGGKGW >EOY33427 pep chromosome:Theobroma_cacao_20110822:9:37437400:37441510:1 gene:TCM_041401 transcript:EOY33427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein CtaG / Cox11 family isoform 5 MSWSRLSSRISFLNQQFLSRESRYKFFEASIGCGNNAWGSMPRCDFSTRPLTKSFDFHPYGSQCFGRLSPFSIQRHYASHASHASKEKKSKKTLLYLTALVFAMVGSSYAAVPLYRRFCQATGYGGTVQRRESVEEKIARHAKDGTVATREIVVQFNADVADGMPWKFIPTQREVRVKPGESALAFYTAENRSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPRMDGINNLILSYTFFKVSEE >EOY33426 pep chromosome:Theobroma_cacao_20110822:9:37437400:37441510:1 gene:TCM_041401 transcript:EOY33426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein CtaG / Cox11 family isoform 5 MSWSRLSSRISFLNQQFLSRESRFLPDALYPRYKFFEASIGCGNNAWGSMPRCDFSTRPLTKSFDFHPYGSQCFGRLSPFSIQRHYASHASHASKEKKSKKTLLYLTALVFAMVGSSYAAVPLYRRFCQATGYGGTVQRRESVEEKIARHAKDGTVATREIVVQFNADVADGMPWKFIPTQREVRVKPGESALAFYTAENRSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPRMDGINNLILSYTFFKVSEE >EOY33428 pep chromosome:Theobroma_cacao_20110822:9:37437292:37441532:1 gene:TCM_041401 transcript:EOY33428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein CtaG / Cox11 family isoform 5 MSWSRLSSRISFLNQQFLSRESRFLPDALYPRYKFFEASIGCGNNAWGSMPRCDFSTRPLTKSFDFHPYGSQCFGRLSPFSIQRHYASHASHASKEKKSKKTLLYLTALVFAMVGSSYAAVPLYRRFCQATGYGGTVQRRESVEEKIARHAKDGTVATREIVVQFNADVADGMPWKFIPTQREVVRVKPGESALAFYTAENRSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPRMDGINNLILSYTFFKVSEE >EOY30472 pep chromosome:Theobroma_cacao_20110822:9:4722466:4726234:1 gene:TCM_037671 transcript:EOY30472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 1 MARTLKNPKKAKRKNKGSKKGDGASASSSVPTIQPRVWQPGVDELEEGEELQCDSSAYNSLHAFHIGWPCLSFDIVRDSLGSDRKDFPHTVYFVTGTQAEKASWNSIGVFKVSNVSGKRRELVPTKSVEDDPDMDAESSDSEDSEDEEEGGSGAPVLQLRKVAHHGCVNRIRAMTQNPHICASWADSGHVQVWDFSSHLNALAESETEGVQGASSVFNQAPLVNFRGHKDEGYAIDWSPVVAGRLVTGDCKNCIHLWEPTSGSTWNVDATPFVGHSASVEDLQWSPTEPHAFVSCSVDGTIAIWDIRLGKSPAASFKAHDADVNVVSWNRQASCMLASGSDDGTFSIHDFRLLKDGNTVVAHFKYHKYPVTSIEWSPHEASTLAVSSSDNQLTIWDLSLEKDEEEEAEFKAQTREQVNAPEDLPPQLLFVHQKDLKELHWHAQIPGMIVSTAADGFNILMPSNIQSTLPSNGS >EOY30471 pep chromosome:Theobroma_cacao_20110822:9:4722458:4726208:1 gene:TCM_037671 transcript:EOY30471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 1 MARTLKNPKKAKRKNKGSKKGDGASASSSVPTIQPRVWQPGVDELEEGEELQCDSSAYNSLHAFHIGWPCLSFDIVRDSLGSDRKDFPHTVYFVTGTQAEKASWNSIGVFKVSNVSGKRRELVPTKSVEDDPDMDAESSDSEDSEDEEEGGSGAPVLQLRKVAHHGCVNRIRAMTQNPHICASWADSGHVQVWDFSSHLNALAESETEGVQGASSVFNQAPLVNFRGHKDEGYAIDWSPVVAGRLVTGDCKNCIHLWEPTSGSTWNVDATPFVGHSASVEDLQWSPTEPHAFVSCSVDGTIAIWDIRLGKSPAASFKAHDADVNVVSWNRQASCMLASGSDDGTFSIHDFRLLKDGNTVVAHFKYHKYPVTSIEWSPHEASTLAVSSSDNQLTIWDLSLEKDEEEEAEFKAQTREQVNAPEDLPPQLLFVHQGQKDLKELHWHAQIPGMIVSTAADGFNILMPSNIQSTLPSNGS >EOY31430 pep chromosome:Theobroma_cacao_20110822:9:8800310:8804134:1 gene:TCM_038368 transcript:EOY31430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome bd ubiquinol oxidase, 14kDa subunit MSSFLQSFLDPKKSWLAALHMKALSTRLRKYGLRYDDLYDPYYDLDIKEALNRLPREIVDARNQRLKRAMDLSMKHKYLPKDLQAMQTPFRSYLQDMLALVKKERAEREALGALPLYQRTIP >EOY33695 pep chromosome:Theobroma_cacao_20110822:9:38657020:38659430:-1 gene:TCM_041597 transcript:EOY33695 gene_biotype:protein_coding transcript_biotype:protein_coding description:20G-Fe(II) oxidoreductase isoform 1 MPEMSNLDSYPPVFRHLNGAPQIAAELKNAMEDTQGSVPIPVVDLQFLSLDKLGEACKDWGLFRLVNHGIPPSLLSQLQDHAKKLFALSFESKQALLTSPMSYFWGTPALTRSGDALRGALNINWLEGLNVPLSQLPQFQSEDPMLDCFRLLLKEYGRHLARIATTLFEAMAKDLDLDPKQSQSYLAESTGFVRVYRYPSGSMADEAWGMIAHTDSSVLSIVSQDQVGGLEIFKDNKWLLVNPIPNTLIIHVGDMMQVYKILLDFNFFSAFISYYFVIIF >EOY33694 pep chromosome:Theobroma_cacao_20110822:9:38656006:38659511:-1 gene:TCM_041597 transcript:EOY33694 gene_biotype:protein_coding transcript_biotype:protein_coding description:20G-Fe(II) oxidoreductase isoform 1 MPEMSNLDSYPPVFRHLNGAPQIAAELKNAMEDTQGSVPIPVVDLQFLSLDKLGEACKDWGLFRLVNHGIPPSLLSQLQDHAKKLFALSFESKQALLTSPMSYFWGTPALTRSGDALRGALNINWLEGLNVPLSQLPQFQSEDPMLDCFRLLLKEYGRHLARIATTLFEAMAKDLDLDPKQSQSYLAESTGFVRVYRYPSGSMADEAWGMIAHTDSSVLSIVSQDQVGGLEIFKDNKWLLVNPIPNTLIIHVGDMMQAISDDEYISVKHRVRVNKREERFSICYFVFPAEGSVIHSSKYRPFTYTDFQEQVQKDTKTLGYKVGLERFRLIADG >EOY33485 pep chromosome:Theobroma_cacao_20110822:9:37779235:37779959:-1 gene:TCM_041458 transcript:EOY33485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATTANEIVWIFGLLFGLGVSHPNPVSLFCDNQAALQIVANLVFHECTKHIEIYCYFIRQHIQFKILLPRLISSQYQLVDIFTKALG >EOY32868 pep chromosome:Theobroma_cacao_20110822:9:34139609:34141200:-1 gene:TCM_040889 transcript:EOY32868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock transcription factor B4 MAFTVERSEELVFSVESQKAVPAPFLSKTYQLVDDPLTDHIVSWGEDETSFVVWRPPEFARDLLPSYFKHNNFSSFVRQLNTYGFKKVVADRWEFANEYFRKGAKHLLSEIHRRKTPQNHQQYHHEQQTQFLKPEEGFGWIESPSPSPKASADVLTALTQDNQRLRRKNYMLVSELTHMKNLYNDIIYFIQNHVKPVPYQQKAYNLGTKIREFGPSFQDPIHSGNENATSSVLGKSSMTLTEEPNNTVKLFGVPLSGRKRLHPEKINQQEYLRCGVSTD >EOY30906 pep chromosome:Theobroma_cacao_20110822:9:6208876:6210415:1 gene:TCM_037951 transcript:EOY30906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTATSPEPPLFDTKPSGRIRQLDSVSGIKKLKTFRSIAQNEAARNHSKMKHHSSCIIIST >EOY30156 pep chromosome:Theobroma_cacao_20110822:9:3586661:3595517:1 gene:TCM_037461 transcript:EOY30156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein isoform 1 MESSAAENCNDVNNNESSSQSQTSTCMQKFRLYETRSNFYMIGRDKSRTYWRVLKIDRLDPTELNIREDSTSYTEGECFELLRRIHEGNKSTGGLKFVTVCYGIVGFIKFLGPYYMLLITKRRQIGAICGHNVYAVSKCEMIPLPNSTVNSSLADSKNENRYKKLLCTVDLTKNFFFSYSYHVMCSLQENLYNSEPDQVAYETMFVWNEFLTRGIRNHLQNTLWTVALVYGFFKQATLSVSGRDFKLTLIARRSRHYAGTRYLKRGVDEKGRVANDVETEQIVFEDVPDGLPTQITSIVQNRGSIPLFWSQETSRLNIKPDIILSKKDQNYEATRLHFENLVERYGKPIIILNLIKTQEKKPRESILRQEFANAIDFINKDLSKENQLRFLHWDLHKHSRGKATNVLLFLGKMAAYVLTLTGFFYCRVTSALRPEECMAWPYSENIGDGDMSPTKYCNNVNEDANRLEGNYSGDNNVANGNHSVKPPTIQSGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGIKDTTEIDLDDPLADELMDLYERMGDTLAHQYGGSAAHHKIFSERRGQWRAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGQFQPQPGKPALWELDSDQHYRGRNGLTIVDEDGRSLFKRSFSDGNILRQTGSPMSATNDKQEKFTNSTMPDRSQGGSNGLSDSSPEISTCESDISYSRCTPSMPRRQLFGDMQRDHCLETDHIFFYEHGDAFNCSNFVDLDWLSSSGNSCEDEPFERSSVLTSSPVAGLSSENVVNGILGETTPSSSEYGSSMKGRQQTGTELSFANSQNSNVLEEFSDSFVQWVNHGEMLCH >EOY30160 pep chromosome:Theobroma_cacao_20110822:9:3586665:3593890:1 gene:TCM_037461 transcript:EOY30160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein isoform 1 MESSAAENCNDVNNNESSSQSQTSTCMQKFRLYETRSNFYMIGRDKSRTYWRVLKIDRLDPTELNIREDSTSYTEGECFELLRRIHEGNKSTGGLKFVTVCYGIVGFIKFLGPYYMLLITKRRQIGAICGHNVYAVSKCEMIPLPNSTVNSSLADSKNENRYKKLLCTVDLTKNFFFSYSYHVMCSLQENLYNSEPDQVAYETMFVWNEFLTRGIRNHLQNTLWTVALVYGFFKQATLSVSGRDFKLTLIARRSRHYAGTRYLKRGVDEKGRVANDVETEQIVFEDVPDGLPTQITSIVQNRGSIPLFWSQETSRLNIKPDIILSKKDQNYEATRLHFENLVERYGKPIIILNLIKTQEKKPRESILRQEFANAIDFINKDLSKENQLRFLHWDLHKHSRGKATNVLLFLGKMAAYVLTLTGFFYCRVTSALRPEECMAWPYSENIGDGDMSPTKYCNNVNEDANRLEGNYSGDNNVANGNHSVKPPTIQSGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGIKDTTEIDLDDPLADELMDLYERMGDTLAHQYGGSAAHHKIFSERRGQWRAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGQFQPQPGKPALWELDSDQHYRGRNGLTIVDEDGRSLFKRSFSDGNILRQTGSPMSATNDKQEKFTNSTMPDRSQGGSNGLSDSSPEISTCESDISYSRCTPSMPRRQLFGDMQRDHCLETDHIFFYEHGDAFNCSNFVDLDWLSSSGNSCEDEPFESSAITANKVVQTQKGCCSFTTCSVRLYM >EOY30158 pep chromosome:Theobroma_cacao_20110822:9:3586948:3595513:1 gene:TCM_037461 transcript:EOY30158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein isoform 1 MESSAAENCNDVNNNESSSQSQTSTCMQKFRLYETRSNFYMIGRDKSRTYWRVLKIDRLDPTELNIREDSTSYTEGECFELLRRIHEGNKSTGGLKFVTVCYGIVGFIKFLGPYYMLLITKRRQIGAICGHNVYAVSKCEMIPLPNSTVNSSLADSKNENRYKKLLCTVDLTKNFFFSYSYHVMCSLQENLYNSEPDQVAYETMFVWNEFLTRGIRNHLQNTLWTVALVYGFFKQATLSVSGRDFKLTLIARRSRHYAGTRYLKRGVDEKGRVANDVETEQIVFEDVPDGLPTQITSIVQNRGSIPLFWSQETSRLNIKPDIILSKKDQNYEATRLHFENLVERYGKPIIILNLIKTQEKKPRESILRQEFANAIDFINKDLSKENQLRFLHWDLHKHSRGKATNVLLFLGKMAAYVLTLTGFFYCRVTSALRPEECMAWPYSENIGDGDMSPTKYCNNVNEDANRLEGNYSGDNNVANGNHSVKPPTIQSGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGIKDTTEIDLDDPLADELMDLYERMGDTLAHQYGGSAAHHKIFSERRGQWRAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGQFQPQPGKPALWELDSDQHYRGRNGLTIVDEDGRSLFKRSFSDGNILRQTGSPMSATNDKQEKFTNSTMPDRSQGGSNGLSDSSPEISTCESDISYSRCTPSMPRRQLFGDMQRDHCLETDHIFFYEHGDAFNCSNFVDLDWLSSSGNSCEDEPFESYLQVISPYELSSCWTFIGECCQWNFGRNNPIL >EOY30159 pep chromosome:Theobroma_cacao_20110822:9:3586665:3595525:1 gene:TCM_037461 transcript:EOY30159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein isoform 1 MESSAAENCNDVNNNESSSQSQTSTCMQKFRLYETRSNFYMIGRDKSRTYWRVLKIDRLDPTELNIREDSTSYTEGECFELLRRIHEGNKSTGGLKFVTVCYGIVGFIKFLGPYYMLLITKRRQIGAICGHNVYAVSKCEMIPLPNSTVNSSLADSKNENRYKKLLCTVDLTKNFFFSYSYHVMCSLQENLYNSEPDQVAYETMFVWNEFLTRGIRNHLQNTLWTVALVYGFFKQATLSVSGRDFKLTLIARRSRHYAGTRYLKRGVDEKGRVANDVETEQIVFEDVPDGLPTQITSIVQNRGSIPLFWSQETSRLNIKPDIILSKKDQNYEATRLHFENLVERYGKPIIILNLIKTQEKKPRESILRQEFANAIDFINKDLSKENQLRFLHWDLHKHSRGKATNVLLFLGKMAAYVLTLTGFFYCRVTSALRPEECMAWPYSENIGDGDMSPTKYCNNVNEDANRLEGNYSGDNNVANGNHSVKPPTIQSGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGIKDTTEIDLDDPLADELMDLYERMGDTLAHQYGGSAAHHKIFSERRGQWRAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGQFQPQPGKPALWELDSDQHYRGRNGLTIVDEDGRSLFKRSFSDGNILRQTGSPMSATNDKQEKFTNSTMPDRSQGGSNGLSDSSPEISTCESDISYSRCTPSMPRRQLFGDMQRDHCLETDHIFFYEHGDAFNCSNFVDLDWLSSSGNSCEDEPFERSSVLTSSPVAGLSSENVVNGILGETTPSSSEYGSSMKAADRN >EOY30157 pep chromosome:Theobroma_cacao_20110822:9:3587411:3594145:1 gene:TCM_037461 transcript:EOY30157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein isoform 1 MESSAAENCNDVNNNESSSQSQTSTCMQKFRLYETRSNFYMIGRDKSRTYWRVLKIDRLDPTELNIREDSTSYTEGECFELLRRIHEGNKSTGGLKFVTVCYGIVGFIKFLGPYYMLLITKRRQIGAICGHNVYAVSKCEMIPLPNSTVNSSLADSKNENRYKKLLCTVDLTKNFFFSYSYHVMCSLQENLYNSEPDQVAYETMFVWNEFLTRGIRNHLQNTLWTVALVYGFFKQATLSVSGRDFKLTLIARRSRHYAGTRYLKRGVDEKGRVANDVETEQIVFEDVPDGLPTQITSIVQNRGSIPLFWSQETSRLNIKPDIILSKKDQNYEATRLHFENLVERYGKPIIILNLIKTQEKKPRESILRQEFANAIDFINKDLSKENQLRFLHWDLHKHSRGKATNVLLFLGKMAAYVLTLTGFFYCRVTSALRPEECMAWPYSENIGDGDMSPTKYCNNVNEDANRLEGNYSGDNNVANGNHSVKPPTIQSGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGIKDTTEIDLDDPLADELMDLYERMGDTLAHQYGGSAAHHKIFSERRGQWRAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGQFQPQPGKPALWELDSDQHYRGRNGLTIVDEDGRSLFKRSFSDGNILRQTGSPMSATNDKQEKFTNSTMPDRSQGGSNGLSDSSPEISTCESDISYSRCTPSMPRRQLFGDMQRDHCLETDHIFFYEHGDAFNCSNFVDLDWLSSSGNSCEDEPFESAITANKVVQTQKGCCSFTTCSVRLYMEGHQSLRALQLLDFHRRMLSMEFWEKQPHPLANMDQV >EOY34099 pep chromosome:Theobroma_cacao_20110822:9:39926814:39931531:1 gene:TCM_041878 transcript:EOY34099 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-XYL synthase 6 isoform 1 MAANSSNGEQQTTSKPPPLPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGSKDNLRKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQPETYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAETVKELINPEVEIKTVENTPDDPRQRKPDIAKAKELLGWEPKVKLRDGLPLMEEDFRLRLGVSKKK >EOY34100 pep chromosome:Theobroma_cacao_20110822:9:39928068:39931518:1 gene:TCM_041878 transcript:EOY34100 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-XYL synthase 6 isoform 1 MNPNIVVPPEQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGSKDNLRKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQPETYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAETVKELINPEVEIKTVENTPDDPRQRKPDIAKAKELLGWEPKVKLRDGLPLMEEDFRLRLGVSKKK >EOY34096 pep chromosome:Theobroma_cacao_20110822:9:39926913:39931518:1 gene:TCM_041878 transcript:EOY34096 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-XYL synthase 6 isoform 1 MAANSSNGEQQTTSKPPPLPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGSKDNLRKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQPETYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAETVKELINPEVEIKTVENTPDDPRQRKPDIAKAKELLGWEPKVKLRDGLPLMEEDFRLRLGVSKKK >EOY34098 pep chromosome:Theobroma_cacao_20110822:9:39926913:39931518:1 gene:TCM_041878 transcript:EOY34098 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-XYL synthase 6 isoform 1 MAANSSNGEQQTTSKPPPLPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGSKDNLRKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQPETYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAETVKELINPEVEIKTVENTPDDPRQRKPDIAKAKELLGWEPKVKLRDGLPLMEEDFRLRLGVSKKK >EOY34097 pep chromosome:Theobroma_cacao_20110822:9:39926913:39931518:1 gene:TCM_041878 transcript:EOY34097 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-XYL synthase 6 isoform 1 MAANSSNGEQQTTSKPPPLPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVVDNYFTGSKDNLRKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQPETYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAETVKELINPEVEIKTVENTPDDPRQRKPDIAKAKELLGWEPKVKLRDGLPLMEEDFRLRLGVSKKK >EOY34175 pep chromosome:Theobroma_cacao_20110822:9:40165657:40173460:1 gene:TCM_041927 transcript:EOY34175 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 UFM1-protein ligase 1 isoform 4 MDDELLELQRQFEFAQQAKSSIRLSERNVVELVQKLHELRIIDFELLHTVSGKEFITPEQLRHEIAGEVKKLGRVSLIDLADTTGVDLYHVEKQAQYVVSEDPGLMLIQGEIISQSYWDSVAEEINERLQECSQIALAELAAQLHVGSELVASVLEPRLGTMVKGRLEGGQLYTPAYVARVSAMVRGASRGITVPTNLSVLWSTLQQLLQEMEGATGVAVEGSFFQSLFNGLVKEGEVLGTLRAGLHWTPTVFAIAQKECVDSFFSQNSFISYDALQKLGISQPIQFLQSRYPEGIPLVTAFVHPSLTEMLDAAIEDAIEHGSWLDSLSVLPTSFGSQDAYKIVSVCPSLQSALKAKKVLIMGDSYIFSSSFVKDVYDRLEKEMETFSHSGSSANMLGDDSHLVKEAKARQDLSPFETGSESGNSKRGTEKGSKKKKGESSVTKTVSAEGDSENEDYIPTKSKKNQKKRKDTSSSQVSDSRKGAKKDSIKPQEEIVPSEEWLMQKLMVLVPDFEEQGVDDPQTILKHLADYLRPMLINYWKDRRKALFTENVEKMKRLLDNLQRKLDESFLNMQLYAKALDLFEDDQSTSVTLHRHLLRTVATSIADMLFQNLVELMMCTTN >EOY34174 pep chromosome:Theobroma_cacao_20110822:9:40165846:40172979:1 gene:TCM_041927 transcript:EOY34174 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 UFM1-protein ligase 1 isoform 4 MDDELLELQRQFEFAQQAKSSIRLSERNVVELVQKLHELRIIDFELLHTVSGKEFITPEQLRHEIAGEVKKLGRVSLIDLADTTGVDLYHVEKQAQYVVSEDPGLMLIQGEIISQSYWDSVAEEINERLQECSQIALAELAAQLHVGSELVASVLEPRLGTMVKGRLEGGQLYTPAYVARVSAMVRGASRGITVPTNLSVLWSTLQQLLQEMEGATGVAVEGSFFQSLFNGLVKEGEVLGTLRAGLHWTPTVFAIAQKECVDSFFSQNSFISYDALQKLGISQPIQFLQSRYPEGIPLVTAFVHPSLTEMLDAAIEDAIEHGSWLDSLSVLPTSFGSQDAYKIVSVCPSLQSALKAKKVLIMGDSYIFSSSFVKDVYDRLEKEMETFSHSGSSANMLGDDSHLVKEAKARQDLSPFETGSESGNSKRGTEKGSKKKKGESSVTKTVSAEGDSENEDYIPTKSKKNQKKRKDTSSSQVSDSRKGAKKDSIKPQEEIVPSEEWLMQKLMVLVPDFEEQGVDDPQTILKHLADYLRPMLINYWKDRRKALFTENVEKMKRLLDNLQRKLDESFLNMQLYAKALDLFEDDQSTSVTLHRHLLRTVATSIADMLFQNLDVHNKLKNGTQVEDSQSSEGISLSPGERTAMAKSFPGSQSKRALAVVEALEGKRVETFMAALRDLAEESGLLLKKLDKKLERTLLHSYRKELTSQVSAETEPVLLLPKVVSLLYIKVHSRALQAPGRAISVAVTRLKV >EOY34172 pep chromosome:Theobroma_cacao_20110822:9:40165657:40174900:1 gene:TCM_041927 transcript:EOY34172 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 UFM1-protein ligase 1 isoform 4 MDDELLELQRQFEFAQQAKSSIRLSERNVVELVQKLHELRIIDFELLHTVSGKEFITPEQLRHEIAGEVKKLGRVSLIDLADTTGVDLYHVEKQAQYVVSEDPGLMLIQGEIISQSYWDSVAEEINERLQECSQIALAELAAQLHVGSELVASVLEPRLGTMVKGRLEGGQLYTPAYVARVSAMVRGASRGITVPTNLSVLWSTLQQLLQEMEGATGVAVEGSFFQSLFNGLVKEGEVLGTLRAGLHWTPTVFAIAQKECVDSFFSQNSFISYDALQKLGISQPIQFLQSRYPEGIPLVTAFVHPSLTEMLDAAIEDAIEHGSWLDSLSVLPTSFGSQDAYKIVSVCPSLQSALKAKKVLIMGDSYIFSSSFVKDVYDRLEKEMETFSHSGSSANMLGDDSHLVKEAKARQDLSPFETGSESGNSKRGTEKGSKKKKGESSVTKTVSAEGDSENEDYIPTKSKKNQKKRKDTSSSQVSDSRKGAKKDSIKPQEEIVPSEEWLMQKLMVLVPDFEEQGVDDPQTILKHLADYLRPMLINYWKDRRKALFTENVEKMKRLLDNLQRKLDESFLNMQLYAKALDLFEDDQSTSVTLHRHLLRTVATSIADMLFQNLDVHNKLKNGTQVEDSQSSEGISLSPGERTAMAKSFPGSQSKRALAVVEALEGKRVETFMAALRDLAEESGLLLKKLDKKLERTLLHSYRKELTSQVSAETEPVLLLPKVVSLLYIKVHSRALQAPGRAISVAVTRLKDKLDDSAYKILTDFQTATVTLLALMSAATGDEQDCLSDRILSEKELLGSQMPALKALVLGSSQS >EOY34173 pep chromosome:Theobroma_cacao_20110822:9:40164567:40174746:1 gene:TCM_041927 transcript:EOY34173 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 UFM1-protein ligase 1 isoform 4 MDDELLELQRQFEFAQQAKSSIRLSERNVVELVQKLHELRIIDFELLHTVSGKEFITPEQLRHEIAGEVKKLGRVSLIDLADTTGVDLYHVEKQAQYVVSEDPGLMLIQGEIISQSYWDSVAEEINERLQECSQIALAELAAQLHVGSELVASVLEPRLGTMVKGRLEGGQLYTPAYVARVSAMVRGASRGITVPTNLSVLWSTLQQLLQEMEGATGVAVEGSFFQSLFNGLVKEGEVLGTLRAGLHWTPTVFAIAQKECVDSFFSQNSFISYDALQKLGISQPIQFLQSRYPEGIPLVTAFVHPSLTEMLDAAIEDAIEHGSWLDSLSVLPTSFGSQDAYKIVSVCPSLQSALKAKKVLIMGDSYIFSSSFVKDVYDRLEKEMETFSHSGSSANMLGDDSHLVKEAKARQDLSPFETGSESGNSKRGTEKGSKKKKGESSVTKTVSAEGDSENEDYIPTKSKKNQKKRKDTSSSQVSDSRKGAKKDSIKPQEEIVPSEEWLMQKLMVLVPDFEEQGVDDPQTILKHLADYLRPMLINYWKDRRKALFTENVEKMKRLLDNLQRKLDESFLNMQLYAKALDLFEDDQSTSVTLHRHLLRTVATSIADMLFQNLDVHNKLKNGTQVEDSQSSEGISLSPGERTAMAKSFPGSQSKRALAVVEALEGKRVETFMAALRDLAEESGLLLKKLDKKLERTLLHSYRKELTSQVSAETEPVLLLPKVVSLLYIKVHSRALQAPGRAISVAVTRLKDKLDDSAYKILTDFQTATVTLLALMSAATGDEQDCLSDRILSEKELLGSQMPALKALVLGSSQS >EOY34176 pep chromosome:Theobroma_cacao_20110822:9:40164567:40172023:1 gene:TCM_041927 transcript:EOY34176 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 UFM1-protein ligase 1 isoform 4 MDDELLELQRQFEFAQQAKSSIRLSERNVVELVQKLHELRIIDFELLHTVSGKEFITPEQLRHEIAGEVKKLGRVSLIDLADTTGVDLYHVEKQAQYVVSEDPGLMLIQGEIISQSYWDSVAEEINERLQECSQIALAELAAQLHVGSELVASVLEPRLGTMVKGRLEGGQLYTPAYVARVSAMVRGASRGITVPTNLSVLWSTLQQLLQEMEGATGVAVEGSFFQSLFNGLVKEGEVLGTLRAGLHWTPTVFAIAQKECVDSFFSQNSFISYDALQKLGISQPIQFLQSRYPEGIPLVTAFVHPSLTEMLDAAIEDAIEHGSWLDSLSVLPTSFGSQDAYKIVSVCPSLQSALKAKKVLIMGDSYIFSSSFVKDVYDRLEKEMETFSHSGSSANMLGDDSHLVKEAKARQDLSPFETGSESGNSKRGTEKGSKKKKGESSVTKTVSAEGDSENEDYIPTKSKKNQKKRKDTSSSQVSDSRKGAKKDSIKPQEEIVPSEEWLMQKLMVLVPDFEEQGVDDPQTILKHLADYLRPMLINYWKDRRKALFTENVEKMKRLLDNLQRKLDESFLNMQLYAKALDLFEDDQSTSVSSSYGS >EOY31197 pep chromosome:Theobroma_cacao_20110822:9:7483768:7489487:-1 gene:TCM_038170 transcript:EOY31197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage-gated chloride channel family protein isoform 1 MSDNAPPDQNQDHESLTIPLLSHERSLNSTSQVAIVGANVCPIESFDYEIAENDFFKQDWRTRAKIQIFQYIFMKWLLCFLIGAIVSLVGFCNNLAVENIAGIKFVVTSNMMLARRYGMAFLVFSLSNLVLTLFAAIITAFISPAAAGSGIPEVKAYLNGVDAPGIFSLRTLIVKIVGSISAVSSSLLVGKAGPMVHTGACIASLLGQGGSRRYGLTWKWLCFFKNDRDRRDLVTCGSAAGIAAAFRAPVGGVLFALEEMASWWRSALLWRAFFTTAVVAIILRALIDICLSGKCGLFGKGGLIMFDAYSANVSYHLADVPPVLVLGVIGGILGSLYNSLLDKVLRVYNLINEKSVVYKIFLACSISIFTSCLLFGLPWLASCQPCPLDASEACPTIGRSGNYKKFQCPPGYYNDLASLIFNTNDDAIRNLFSKNTDSEFQKSTMIIFFVTCFFLSIVSYGIVAPAGLFVPVIVTGASYGRFIGMLLGSHTNLNQGLYAVLGAASFLGGSMRMTVSLCVIILELTNNLLLLPLIMMVLLISKTVADAFNGNIYDLIMKAKGFPYLETHAEPYMRQLTVGDVVSGPLQLFHGIEKVGNIVHILKTTRHHGFPVVDEPPHSESPILYGLILRAHLIALLKKRVFLCTPVQIGVDAFRHFSAEDFAKKGLGNVDKIEDIELSDEEKEMFLDLHPFTNASPYTVVETMSLAKALVLFRQVGLRHLLVIPKISNRAPVVGILTRHDFMPEHILAEGNQTGESHCTLSSCIFHLPYELQGGKVRMIQPLQILVR >EOY31196 pep chromosome:Theobroma_cacao_20110822:9:7484355:7489684:-1 gene:TCM_038170 transcript:EOY31196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage-gated chloride channel family protein isoform 1 MSDNAPPDQNQDHESLTIPLLSHERSLNSTSQVAIVGANVCPIESFDYEIAENDFFKQDWRTRAKIQIFQYIFMKWLLCFLIGAIVSLVGFCNNLAVENIAGIKFVVTSNMMLARRYGMAFLVFSLSNLVLTLFAAIITAFISPAAAGSGIPEVKAYLNGVDAPGIFSLRTLIVKIVGSISAVSSSLLVGKAGPMVHTGACIASLLGQGGSRRYGLTWKWLCFFKNDRDRRDLVTCGSAAGIAAAFRAPVGGVLFALEEMASWWRSALLWRAFFTTAVVAIILRALIDICLSGKCGLFGKGGLIMFDAYSANVSYHLADVPPVLVLGVIGGILGSLYNSLLDKVLRVYNLINEKSVVYKIFLACSISIFTSCLLFGLPWLASCQPCPLDASEACPTIGRSGNYKKFQCPPGYYNDLASLIFNTNDDAIRNLFSKNTDSEFQKSTMIIFFVTCFFLSIVSYGIVAPAGLFVPVIVTGASYGRFIGMLLGSHTNLNQGLYAVLGAASFLGGSMRMTVSLCVIILELTNNLLLLPLIMMVLLISKTVADAFNGNIYDLIMKAKGFPYLETHAEPYMRQLTVGDVVSGPLQLFHGIEKVGNIVHILKTTRHHGFPVVDEPPHSESPILYGLILRAHLIALLKKRVFLCTPVQIGVDAFRHFSAEDFAKKGLGNVDKIEDIELSDEEKEMFLDLHPFTNASPYTVVETMSLAKALVLFRQVGLRHLLVIPKISNRAPVVGILTRHDFMPEHILGLHPLLIGSRWKRLRLRWPRLLKLF >EOY33086 pep chromosome:Theobroma_cacao_20110822:9:35373079:35374812:1 gene:TCM_041082 transcript:EOY33086 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding Elongation factor Tu family protein isoform 2 MITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSELLTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKSAAKKGGK >EOY33085 pep chromosome:Theobroma_cacao_20110822:9:35372368:35374734:1 gene:TCM_041082 transcript:EOY33085 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding Elongation factor Tu family protein isoform 2 MGKEKVHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSELLTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKSAAKKGGK >EOY33029 pep chromosome:Theobroma_cacao_20110822:9:35020467:35022979:1 gene:TCM_041038 transcript:EOY33029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 11, putative isoform 1 MTLDFSHLARCSATLPLNCSKGNSSTKPYMAAHNILLAHAAVAKLYKKKYQDKQHGLIGLNLFNYWFVPLTNTTEDIIAAQRANDFYVGWFMHPLVYGDYPSLMKKTAGSRMPAFTNIESNQVKGSFDFIGLNYYLTMYVKDQPSSLEMEQRDVVVDMEIELMRKSSCLLKPRRLCVLTSMQTCLTCCYSL >EOY33030 pep chromosome:Theobroma_cacao_20110822:9:35020015:35022560:1 gene:TCM_041038 transcript:EOY33030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 11, putative isoform 1 MTLDFSHLARCSATLPLNCSKGNSSTKPYMAAHNILLAHAAVAKLYKKKYQDKQHGLIGLNLFNYWFVPLTNTTEDIIAAQRANDFYVGWFMHPLVYGDYPSLMKKTAGSRMPAFTNIESNQVKGSFDFIGLNYYLTMYVKDQPSSLEMEQRDVVVDMEIELMRKSSCLLKPRRLCVLTSMQTCLTCCYSL >EOY32716 pep chromosome:Theobroma_cacao_20110822:9:33044435:33051699:-1 gene:TCM_040742 transcript:EOY32716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIRLKQKKGMGIRHLHMNDAILLKLVWQLFTRPDALWVQVMKGEYRFDVVQRLGSIHRNGALALWSSLSLLWPIFIANLRWALGDMMNVRFWKNLSFGEESLVIHGINLDEYAFEHILVRDFLTEDGASNNNELLHCLLEEIALKVTNLISLFLQFRVDEPYLAITFFVIFFASSAYEYLREESDNGAVLPSKLNIVHSMVAAIASIVALSDMVYDTNRRKENVLIGWNPLHETGHSRLFAKAMGGVDYAYIQEKEHCGGPYGK >EOY31045 pep chromosome:Theobroma_cacao_20110822:9:6759711:6763439:1 gene:TCM_038066 transcript:EOY31045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MEGKMSWTVADAVDYKGFPADRSKTGGWIPAALILGIEICERLSTMGIAVNLVTYLVSTMHLPSASSANVVTDFMGTSFLLCLLGGFLADSFLGRYKTIAIFAIIQTLGTGTLAIATKLPQLRPPPCHASASLTCKPANGFQMGVLYMALYLIALGTGGLKSSVSGFGTDQFDQKDEKEKAQMAYFFNRFYFFISTGTLMAVTVLVYLQDEVGRSWAYGICSVSMFVAILIFLSGTKRYRYKKSLGSPIVHIFQVIVAAIKKRKMDLPYNVELLYEDTPEATRIHHTDQFYFLDKAAIVAEGDFERNVVSAPNPWKLCSVTRVEEVKMMVGLLPIWATTIMFWTTHAQMITFSVEQASTMERSIGGFQIPAGSLTVFFVAAILITLAVYDRVIMPFWKKWKGKPGFTNLQRIAIGLVLSIFGMAAAALAEKKRLAVARAAGATTATLPISVFLLIPQFFLVGAGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFVSSFLVSVVKRLTGSNDGQGWLADNINHGRLDCFYGLVAVLDIINFVVYLVCAAWHKPRKPKPALQMETIVNGSSAEDKC >EOY31044 pep chromosome:Theobroma_cacao_20110822:9:6759809:6764008:1 gene:TCM_038066 transcript:EOY31044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MEGKMSWTVADAVDYKGFPADRSKTGGWIPAALILEGPEKSSSRKRIEICERLSTMGIAVNLVTYLVSTMHLPSASSANVVTDFMGTSFLLCLLGGFLADSFLGRYKTIAIFAIIQTLGTGTLAIATKLPQLRPPPCHASASLTCKPANGFQMGVLYMALYLIALGTGGLKSSVSGFGTDQFDQKDEKEKAQMAYFFNRFYFFISTGTLMAVTVLVYLQDEVGRSWAYGICSVSMFVAILIFLSGTKRYRYKKSLGSPIVHIFQVIVAAIKKRKMDLPYNVELLYEDTPEATRIHHTDQFYFLDKAAIVAEGDFERNVVSAPNPWKLCSVTRVEEVKMMVGLLPIWATTIMFWTTHAQMITFSVEQASTMERSIGGFQIPAGSLTVFFVAAILITLAVYDRVIMPFWKKWKGKPGFTNLQRIAIGLVLSIFGMAAAALAEKKRLAVARAAGATTATLPISVFLLIPQFFLVGAGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFVSSFLVSVVKRLTGSNDGQGWLADNINHGRLDCFYGLVAVLDIINFVVYLVCAAWHKPRKPKPALQMETIVNGSSAEDKC >EOY29617 pep chromosome:Theobroma_cacao_20110822:9:1764238:1769028:1 gene:TCM_037109 transcript:EOY29617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 34 MASQTIFILLVIFLSSQLWHFSEAQTWVKAGYWYANGEFPIQDIDSALFTHLSCAFAEVNPSTYQLFIPSASEQYFSNFTSIVKRKNPSVKALLSVWNGISATGKSITGEKVNDSVLSSMISESSKRKSFVDSSIKTARRYGFHGIDLFWLWPNSTDLANIGVLLDEWRAAINSEPRKPSESQLILTIAVRYLPEIEMVSYPIDSMSRNVDWAHVVAYDYHLPSRENFTGVHAALYNPSSHANTDFGIREWLNKGFPPSKLVLGLPYHGWAWKLVSPQDNAVGAHASGPAITIDGSMGYKSIKSYIRDYGYGATSVYNATYVVNLFTSPTIWINFDGIETVKTKIAYAKEKRLIGYNAFQLSNDDNWALSRAAQEGELQENKQRLLLKIILPVSLVVVLAAAILYYLRRRMVKSEEEMVLRGIPGLRISTSAAENFASDAPHLQVFRFANIKGATNNFSSANKLGEGGFGPVYKGKLPRGQEIAVKRLSASSSQGLEEFQNEVTLTARLQHVNLVRVLGYCTEKEEKMLIYEYMPNKSLDLYLFDPIRRSRLDWKKRVHIIEGVTQGLLYLQEYANITVIHRDLKASNILLDDEMNPKISDFGMARLFRKDAYEANTSRIVGTYGYVPPEYVTKGTYSMKYDVYSFGVLLLQIISGKRNTCYYGPHENLNLLEFAYDLWNDERGTEFIDPSLDDSSSPCKIMRCMQIALLCVQENPGDRPSMLEVFTMLKNDSMPTTSPRRPAFSVKADKNTGSTSTSKQEIYSFNDPQISELEPR >EOY33576 pep chromosome:Theobroma_cacao_20110822:9:38122852:38124761:-1 gene:TCM_041518 transcript:EOY33576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein isoform 1 MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGVENQGNPIDPRKIQEHFEEFYEDLFEELSKYGEIESLNICDNLADHMVGNVYVQFREEEHAANALRNLSGRYYSGRPIIVDFSPVTDFREATCRQYEENTCNRGGYCNFMHLKTISRELRRQLFGRYRRRRSHSQSRSRSPPKHRGSHEERSHGGRGHIRRYGDRDHYHESRSKRHRSTSPGHRRGRSRSPGGKRNRSPVREGSEERRAKIEQWNREREQENANRVDNDAANNNNENGNNGYAKNDDKYYGHQQQQE >EOY33578 pep chromosome:Theobroma_cacao_20110822:9:38121523:38126029:-1 gene:TCM_041518 transcript:EOY33578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein isoform 1 MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGVENQGNPIDPRKIQEHFEEFYEDLFEELSKYGEIESLNICDNLADHMVGNVYVQFREEEHAANALRNLSGRYYSGRPIIVDFSPVTDFREATCRQYEENTCNRGGYCNFMHLKTISRELRRQLFGRYRRRRSHSQSRSRSPPKHRGSHEERSHGGRGHIRRYGDRDHYHESRSKRHRSTSPGHRRGRSRSPGGKRNRSPVREGSEERRAKIEQWNREREQENANRVDNDAANNNNENGNNGYAKNDDKYYGHQQQQE >EOY33577 pep chromosome:Theobroma_cacao_20110822:9:38121523:38124913:-1 gene:TCM_041518 transcript:EOY33577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein isoform 1 MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGVENQGNPIDPRKIQEHFEEFYEDLFEELSKYGEIESLNICDNLADHMVGNVYVQFREEEHAANALRNLSGRYYSGRPIIVDFSPVTDFREATCRQYEENTCNRGGYCNFMHLKTISRELRRQLFGRYRRRRSHSQSRSRSPPKHRGSHEERSHGGRGHIRRYGDRDHYHESRSKRHRSTSPGHRRGRSRSPGGKRNRSPVREGSEERRAKIEQWNREREQENANRVDNDAANNNNENGNNGYAKNDDKYYGHQQQQE >EOY33924 pep chromosome:Theobroma_cacao_20110822:9:39334211:39339095:-1 gene:TCM_041755 transcript:EOY33924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related MMDSHHASLGRRALEEIRQKRAAERMSKASSGSDLTKASIPTDIPVVKKSESGNRLSEADVSGLVSQLKDLQKKNAELEENNKILSLKLQTKEIEHETLQTRFNELEQNTVPSLRKALRDVAMEKDAAVVAREDLSAQLRMFKKRLKEAEDEQYRAEEDAAALRAELNAMQQQAMSSAFGGINTMGSSPDQLQALEKELTRLKSELQQESLSRQQEQQQLAEEQARVSALISEKQELEERLTALSKSEVISEKASRKEFSLEDKEKLEKQLHDLAVAVERLESSRQKLLMEIDNQSSEIERLFEENSNLSSSCQEAMTTSKHWENQVKDCLKQNEELRRVLDNLRTEQASLLSKSGSLAFHTGGVTGTGLQANTTEILSLKGQLVQEQSRADSLSAEVMQLSARLQQATQAYNSLARLYKPVLRNIESSLIKMKQEDSVTVQ >EOY29578 pep chromosome:Theobroma_cacao_20110822:9:1634160:1634853:1 gene:TCM_037076 transcript:EOY29578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase isoform 2 TDVLLKARQACYKARDAFYSCLEKQSDKKPTEIGSVGLLYPTECKGSREEFVKHCRASWHFDRQYCMTKRTQRLLDDRETRRGPLLLPQPHTFKPPTSP >EOY29576 pep chromosome:Theobroma_cacao_20110822:9:1634092:1634786:1 gene:TCM_037076 transcript:EOY29576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase isoform 2 MALETYASGKQDEIHTDVLLKARQACYKARDAFYSCLEKQSDKKPTEIGSVGLLYPTECKGSREEFVKHCRASWVKHFDRQYCMTKRTQRLLDDRETRRGPLLLPQPHTFKPPTSP >EOY29577 pep chromosome:Theobroma_cacao_20110822:9:1634156:1636681:1 gene:TCM_037076 transcript:EOY29577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase isoform 2 HTDVLLKARQACYKARDAFYSCLEKQSDKKPTEIGSVGLLYPTECKGSREEFVKHCRASWVKHFDRQYCMTKRTQRLLDDRETRRDYGAVAFTGLLEQMDGLKYCLTCF >EOY33134 pep chromosome:Theobroma_cacao_20110822:9:35581103:35586112:1 gene:TCM_041118 transcript:EOY33134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MPSPSLGFFSQSKSNASQNPQSSTQPCNNPKSNIPNLRKLGVFNSICESPTPPMKVPVVNNGVAATKNGSMSSAESFVPSSVNSLCENIRPNLQMPRVEVKGICNSDNHELMNNQQQLHAIDSGLNQQIQNAGLQCTDNKLLLQSQSSEQVNLDYKRSEDLVVPQSPDQHGDASKDPHFISHCSLQIKGALKTDVGNQLSGKKQHNSLTEDFDLFPQLHSSDMNSSNIHGSSKVKGDQISSMPDSHGQPSEQVEQAKACTFHDDQIINEIERPHINDSDMLKEGEDSEEFLSYNSVQSNGICQKVGDCSASELELSNGLSHYRERIQGKDGSGESVDFNLKSNAGDAQMHSFKCDLSLESSSSLLNDSEADNQQLLVDDVNEKLVEQPPLPDPGIVVERVFQGKYGSHSTDCLSHGEIFSSEEVLAESNLQSVKDVASELADAFQSESGNSGAPCLIPPALQKGGHEMTDVVECLYVEHAVSVSTDTQCNCDINLLCEPTSEGIERISEDQVTGSITACDIGVSNDCQSSADLNGSEIDNYQSIVHLSPVVQFKGYSAIDDIIDLKSVESKRYDSSAKYNSAICEPEEKITSCHIDASSMLTNSLLDKQNDHEKSMAQAEVIQSSFEACKASQENQIPEAYHGLKSVEGKFVEESHNLDSDKLVDVSPKDKGSVGLVVEGPFDLSQVECIDETNELCAAVANEMTTRSFEEHAHSQSFDSIRLESCKSNLFTSAEINNYAMVVEDLNEPPELRNVVIVEQILSDKIGLSVNEEVSSSVKIKVSDDNHDCYNDMIFRPDSEQSGQATSLNFDLSSLSEDQNSGAGNTCNSQAFFSLNEESTSSINANQTSSSGIVLQEEVNRLETSVPQELNSAMIPMEAEGGTTFNEKTRNDKKQDSPIVKPPLSAVPSSDEWLAAFEAAGEELNTAVIPVEAKGRTTSNEKTGNEKKQDASEVKPPPNAVPFSDEWLAAFEAAGEEILTMKSGAVQHSPKDKSLPEPGPWSPV >EOY33135 pep chromosome:Theobroma_cacao_20110822:9:35582327:35586769:1 gene:TCM_041118 transcript:EOY33135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MNSSNIHGSSKVKGDQISSMPDSHGQPSEQVEQAKACTFHDDQIINEIERPHINDSDMLKEGEDSEEFLSYNSVQSNGICQKVGDCSASELELSNGLSHYRERIQGKDGSGESVDFNLKSNAGDAQMHSFKCDLSLESSSSLLNDSEADNQQLLVDDVNEKLVEQPPLPDPGIVVERVFQGKYGSHSTDCLSHGEIFSSEEVLAESNLQSVKDVASELADAFQSESGNSGAPCLIPPALQKGGHEMTDVVECLYVEHAVSVSTDTQCNCDINLLCEPTSEGIERISEDQVTGSITACDIGVSNDCQSSADLNGSEIDNYQSIVHLSPVVQFKGYSAIDDIIDLKSVESKRYDSSAKYNSAICEPEEKITSCHIDASSMLTNSLLDKQNDHEKSMAQAEVIQSSFEACKASQENQIPEAYHGLKSVEGKFVEESHNLDSDKLVDVSPKDKGSVGLVVEGPFDLSQVECIDETNELCAAVANEMTTRSFEEHAHSQSFDSIRLESCKSNLFTSAEINNYAMVVEDLNEPPELRNVVIVEQILSDKIGLSVNEEVSSSVKIKVSDDNHDCYNDMIFRPDSEQSGQATSLNFDLSSLSEDQNSGAGNTCNSQAFFSLNEESTSSINANQTSSSGIVLQEEVNRLETSVPQELNSAMIPMEAEGGTTFNEKTRNDKKQDSPIVKPPLSAVPFSDEWLAAFEAAGEEILTMKSGAVQHSPKDKSLPEPGPWSPVRRKNNQGIGPFDCTKFTNTNIPPGPE >EOY31630 pep chromosome:Theobroma_cacao_20110822:9:10685340:10692823:-1 gene:TCM_038608 transcript:EOY31630 gene_biotype:protein_coding transcript_biotype:protein_coding description:BNR/Asp-box repeat family protein isoform 2 MKKDCCTQGPLREQFTFSAGSAPFKSCHASSIVEVMPLPLLRLNNSVIFVRVDKGHFLAAYFGGTSEGAPDVKIWLQKYKDGCWYPPVIIDEEPNVPMWNPVLFKLPSEELLLFYKIGQEVQKWSGCMKRSYDKGVTWTKREQLPPGILGPSKNKPILMENGLLLCGSSVESWNSWGAWVEVTADSGVSWKKYGPIIVKNKSLSVIQPVPYLTAKGTLRVLLRSFDGIGKVCISESIDGGHNWDYAKPTELPNPNSGIDGVKLRDGHVLLAYNTISRGVLKVALSTDDGDSWHEALTLEENLEMEFSYPAVIEASDGSVHITYTYDRTQIKVTALFLIPGMNCMLSFNQIGSMTFFLLSKSRRKCLGTIRNLGFVVFDHKSDLKKGLFR >EOY31631 pep chromosome:Theobroma_cacao_20110822:9:10685790:10692681:-1 gene:TCM_038608 transcript:EOY31631 gene_biotype:protein_coding transcript_biotype:protein_coding description:BNR/Asp-box repeat family protein isoform 2 MKKDCCTQGPLREQFTFSAGSAPFKSCHASSIVEVDKGHFLAAYFGGTSEGAPDVKIWLQKYKDGCWYPPVIIDEEPNVPMWNPVLFKLPSEELLLFYKIGQEVQKWSGCMKRSYDKGVTWTKREQLPPGILGPSKNKPILMENGLLLCGSSVESWNSWGAWVEVTADSGVSWKKYGPIIVKNKSLSVIQPVPYLTAKGTLRVLLRSFDGIGKVCISESIDGGHNWDYAKPTELPNPNSDDGDSWHEALTLEENLEMEFSYPAVIEASDGSVHITYTYDRTQIKHVILQPNWFNDIFPSQQE >EOY34707 pep chromosome:Theobroma_cacao_20110822:9:41850470:41853152:-1 gene:TCM_042291 transcript:EOY34707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRIAIKSVELLWYHLLSLFVHIQKLLLSDGSHAPVQRNVDIRGLPGQPDWSYFETEDAKDNLRLPSEESCSSSAVRGETINSSPPNSTPWQSRRISNTCGRTRRKYDVDSVFTKETNCTDRDNLRLRSRNCMTTPVLPKSKATKPISSCFRGIIGSSQTWLLEEGCNSGDIDLGFSSFHCTSEAKLPSLGCKLWTEDPIGTFPVPELNVAVKSCFDRPEHSESIQCSPSGCFTSENSAFGQPFNHTNSYDSPVFSKVRSGSVKQDLSPASRVQVVSLDSSHTAGPHGETGFPDLSVQGSICGDEKRKSNLRPAKCEQFELEKENTPGNDLLFSEDPMAVDSSNSKSMDIECKEAKDGTLKAKENLKTTYSPEHGEETSSSVKIHDKSESSTNETGCNCDAEIPLPCQSGTEDPNAGTGNAKLEEEKAISRRESNGEESSKQVMVLELEAYVVQLVCVEKVVKEASALDIVKKV >EOY32517 pep chromosome:Theobroma_cacao_20110822:9:30808024:30809166:1 gene:TCM_040493 transcript:EOY32517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPILFLLGYTHSLFFSFFFFISFSLSSFPLSHRSATPFFSFFFFSYTHKLATQAFVYSLTNPIAPALVFSFKFAAKLFLFPLKICSKTLTIFS >EOY31215 pep chromosome:Theobroma_cacao_20110822:9:7580145:7585760:1 gene:TCM_038182 transcript:EOY31215 gene_biotype:protein_coding transcript_biotype:protein_coding description:MuDR family transposase, putative isoform 2 MARGKLILICQSGGEFVTKDDGSLSYAGGEAYALDISPETAFDDLKYKLAETCNLEYKSLSIKYFLPGNRRTLITLSNDKDLKRMYDFHGDSVTADVFLTGRAGFNRLPSDMHANRQSGKKLAETVTMTAAFRPAATSPATYKVAPGLKDVPVAIATPSDSAKAVNSIIRSPTRAAITSKRTAHSIADGLFEVSVADGTALSTDIIDMSASPADTVKKRRRTASWKSGANGLTIVTVADNLEKGNTTSRKKNARNHKLTVVADNMEQHIEPWVDNADFDFALQDSSNASPEKLVASWKNGITGEGQDFKSVVEFRDALQKYAIAHRFAYKLRKNDTNRASGVCAADGCPWRIHASWVPSAHVFRIKKLHRSHTCGGESWKTATPAKNWLVNIIKDRLRDSPHHKPKEIANGILRDFGLELNYTQVWRGIEDARQQLQGSYKEAYGQLPWYCDKIEEANPGSFTKLLIGDDRKFQHLFLSFHATICGFESGCCPLLFLEATPLKSKYHEILLTATALDGDDGIFPVAFAIVDIENDESWRWFLEQLKYALSTSRSITFVSDRDKGLMKHVLEIFENAHHGYSIYYLIDSFIQNLKGPFHGEGRASLPGSFLAAARAVRPDGFRMYTDQIKRVSSSAYDWVMQNEPEYWANAFFKGEHFNHVTFDIAELYANWIEEARELPIIPKVEALRCKIMQLMNGCQMESSNWSTKLTPSKQGKVQEECAKACGLKVLFSSDTLFEVHDSSINVVDIDKQHCSCAMWKPTGLPCRHAIAVFNCTNRSLYDYCSKYFTADSFRSAYSESINPACTIAYPSGNEKDAIEDYEQIIPPCTSRPLSQQKKIRRTKSQGIIRRSVCCTRCKGVGHNKATCKETL >EOY31216 pep chromosome:Theobroma_cacao_20110822:9:7580236:7585030:1 gene:TCM_038182 transcript:EOY31216 gene_biotype:protein_coding transcript_biotype:protein_coding description:MuDR family transposase, putative isoform 2 MTAAFRPAATSPATYKVAPGLKDVPVAIATPSDSAKAVNSIIRSPTRAAITSKRTAHSIADGLFEVSVADGTALSTDIIDMSASPADTVKKRRRTASWKSGANGLTIVTVADNLEKGNTTSRKKNARNHKLTVVADNMEQHIEPWVDNADFDFALQDSSNASPEKLVASWKNGITGEGQDFKSVVEFRDALQKYAIAHRFAYKLRKNDTNRASGVCAADGCPWRIHASWVPSAHVFRIKKLHRSHTCGGESWKTATPAKNWLVNIIKDRLRDSPHHKPKEIANGILRDFGLELNYTQVWRGIEDARQQLQGSYKEAYGQLPWYCDKIEEANPGSFTKLLIGDDRKFQHLFLSFHATICGFESGCCPLLFLEATPLKSKYHEILLTATALDGDDGIFPVAFAIVDIENDESWRWFLEQLKYALSTSRSITFVSDRDKGLMKHVLEIFENAHHGYSIYYLIDSFIQNLKGPFHGEGRASLPGSFLAAARAVRPDGFRMYTDQIKRVSSSAYDWVMQNEPEYWANAFFKGEHFNHVTFDIAELYANWIEEARELPIIPKVEALRCKIMQLMNGCQMESSNWSTKLTPSKQGKVQEECAKACGLKVLFSSDTLFEVHDSSINVVDIDKQHCSCAMWKPTGLPCRHAIAVFNCTNRSLYDYCSKYFTADSFRSAYSESINPACTIAYPSGNEKDAIEDYEQIIPPCTSRPLSQQKKIRRTKSQGIIRRSVCCTRCKGVGHNKATCKETL >EOY31217 pep chromosome:Theobroma_cacao_20110822:9:7580214:7584533:1 gene:TCM_038182 transcript:EOY31217 gene_biotype:protein_coding transcript_biotype:protein_coding description:MuDR family transposase, putative isoform 2 MARGKLILICQSGGEFVTKDDGSLSYAGGEAYALDISPETAFDDLKYKLAETCNLEYKSLSIKYFLPGNRRTLITLSNDKDLKRMYDFHGDSVTADVFLTGRAGFNRLPSDMHANRQSGKKLAETVTMTAAFRPAATSPATYKVAPGLKDVPVAIATPSDSAKAVNSIIRSPTRAAITSKRTAHSIADGLFEVSVADGTALSTDIIDMSASPADTVKKRRRTASWKSGANGLTIVTVADNLEKGNTTSRKKNARNHKLTVVADNMEQHIEPWVDNADFDFALQDSSNASPEKLVASWKNGITGEGQDFKSVVEFRDALQKYAIAHRFAYKLRKNDTNRASGVCAADGCPWRIHASWVPSAHVFRIKKLHRSHTCGGESWKTATPAKNWLVNIIKDRLRDSPHHKPKEIANGILRDFGLELNYTQVWRGIEDARQQLQGSYKEAYGQLPWYCDKIEEANPGSFTKLLIGDDRKFQHLFLSFHATICGFESGCCPLLFLEATPLKSKYHEILLTATALDGDDGIFPVAFAIVDIENDESWRWFLEQLKYALSTSRSITFVSDRDKGLMKHVLEIFENAHHGYSIYYLIDSFIQNLKGPFHGEGRASLPGSFLAAARAVRPDGFRMYTDQIKRVSSSAYDWVMQNEPEYWANAFFKGEHFNHVTFDIAELYANWIEEARELPIIPKVEALRCKIMQLMNGCQMESSNWSTKLTPSKQGKVQEECAKACGLKVLFSSDTLFEVHDSSINVVDIDKQHCSCAMWKPTGLPCRHAIAVFNCTNRSLYDYCSKYFTADSFRSAYSESINPACTIAYPSGNEKDAIEDYEQIIPPCTSRPLSQQKKIRRTKSQGIIRRSVCCTRCKGVGHNKATCKETL >EOY32734 pep chromosome:Theobroma_cacao_20110822:9:33197764:33204310:1 gene:TCM_040758 transcript:EOY32734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGWAVPFSKLRSLRLVVVEGSSPVKDALASKRIGKEWDGVQLFELIKVRMVCWVNVKWPCLNISIEDLVRCSITRINPIVWKANRGVVEWSRPEEGWFKFDTDDSSKDNPNEVGITGNILCDEAENVLWRMRKVVIQISNILSRVPLWVIKHIPRSANHEVDNLAKIGVQRTSDFLVVGFDVQSFGGMCLASYDFVLCFGVVGISFCTGCGFWGREVSYLQVVFGPSGLPLEGGEKGSVTPECIQQSNQVTEASDYNPRSHKSFGSGPLVLCTFYDFTVPSH >EOY31648 pep chromosome:Theobroma_cacao_20110822:9:10830759:10832991:-1 gene:TCM_038626 transcript:EOY31648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Antitermination NusB domain-containing protein isoform 1 MPSLSFSFSCFCFFSFCFHHRVEFNIGRLGRLIVYAACLQGSDPIRLFEKRVNATREPGYEFDKASLLQYNHMSFGGPPVTTQSAEEADELLRSDEQDSAIEAEVLSAPPKLVYSKLLLRFTRKLLVAIVDKWDSHVLVIDKIAPLNWKNEPAGRILELSILHLAMSEMTVLGTRHQIVINEAVDLAKRFCDGAAPRVINGCLRTFVKDLAGTGIAQASKQGSAT >EOY31647 pep chromosome:Theobroma_cacao_20110822:9:10830323:10832976:-1 gene:TCM_038626 transcript:EOY31647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Antitermination NusB domain-containing protein isoform 1 MEGIRSSCVLSCYSSKTYFRLHHQALEYPFLCRLPLPLPLPAKRQFTSSPTIRSCLPTLALQVQDQQSFHKSKEMLPKIDKSGRFCSPRAARELALLIVYAACLQGSDPIRLFEKRVNATREPGYEFDKASLLQYNHMSFGGPPVTTQSAEEADELLRSDEQDSAIEAEVLSAPPKLVYSKLLLRFTRKLLVAIVDKWDSHVLVIDKIAPLNWKNEPAGRILELSILHLAMSEMTVLGTRHQIVINEAVDLAKRFCDGAAPRVINGCLRTFVKDLAGTGIAQASKQGSAT >EOY32778 pep chromosome:Theobroma_cacao_20110822:9:33491923:33493457:-1 gene:TCM_040800 transcript:EOY32778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant stearoyl-acyl-carrier-protein desaturase family protein MGECLFKLTHSIPQQKIEIFKSMDGWVENNILTLLKPVEKSWQPQDFLPDAASDGFDEQVKELRKRAKEIPDDYFVSLVGDMITEEALPTYQTLLNTLDGVRDETGASLTSWAIWTRAWTAEENRHGDLLNQYLYLPGRVDIRQRGQLSSPIGIQLGLPWNMGILSLAQICGTVASDEKRLEIAYNIIVEKLFEIDPDGTVVAFAEMMRKKITMPAHLMYDEHDLNLFEHFSAVAQRLGVYTSNDYADILEFLVDRWKVQELTGLSAEGRKAQEYVCLLAPRIRKVEERAQARSKRAPSIPFSWIFGREVKL >EOY31846 pep chromosome:Theobroma_cacao_20110822:9:16339276:16348533:-1 gene:TCM_039134 transcript:EOY31846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate geranylgeranyl transferase isoform 2 MDALILASSTGGLSLFASGRSRWRSNDLKAVHSPSSSIGVQGPTCSSYNVVGKYYTLRFHRQVMKHYGRGIQDTPTAFQKSNTKFLLNASSDHPLESEPGAYPKNTWNSVKSALDAFYRFSRPHTVIGTALSIVSVSLLAIEKVSDVSSLFFTGVLEAVVAALMMNIYIVGLNQLSDIEIDKVNKPYLPLASGEYSIFTGIIIVTTFSIMSFWLGWIVGSWPLFWALFISFVLGTAYSINLPLLRWKRFALVAAMCILAVRAVIVQLAFYLHIQTHVFSRPAIISKPLIFATAFMSFFSVVIALFKDIPDIEGDTIFGIKSYTVRLGQKRVFWTCISLLEMAYGVSILVGATSSYTWSKVITVLGHSILASILWIRAKSVDLKSKAAITSCYMFIWKLFYAEYFLIPLIR >EOY31847 pep chromosome:Theobroma_cacao_20110822:9:16339428:16348717:-1 gene:TCM_039134 transcript:EOY31847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate geranylgeranyl transferase isoform 2 MDALILASSTGGLSLFASGRSRWRSNDLKAVHSPSSSIGVQGPTCSSYNVVGKYYTLRFHRQVMKHYGRGIQDTPTAFQKSNTKFLLNASSDHPLESEPGAYPKNTWNSVKSALDAFYRFSRPHTVIGTALSIVSVSLLAIEKVSDVSSLFFTGVLEAVVAALMMNIYIVGLNQLSDIEIDKVNKPYLPLASGEYSIFTGIIIVTTFSIMSFWLGWIVGSWPLFWALFISFVLGTAYSINLPLLRWKRFALVAAMCILAVRAVIVQLAFYLHIQTHVFSRPAIISKPLIFATAFMSFFSVVIALFKDIPDIEGDTIFGIKSYTVRLGQKRVVFWTCISLLEMAYGVSILVGATSSYTWSKVITVLGHSILASILWIRAKSVDLKSKAAITSCYMFIWKLFYAEYFLIPLIR >EOY31381 pep chromosome:Theobroma_cacao_20110822:9:8411267:8420502:1 gene:TCM_038313 transcript:EOY31381 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY domain protein 8 isoform 6 MAVLKMAQQSKTTNTNNANTNNTSTHLQHQQQVKPTMFHDFLGMKATDSPVVLAHKATDARFSEASPSASASVGASSGGGGGAGRGPISSTSDLGSVERQVGNHLEGIPYYGPRSEISGPEISNRLVGSKRGNSDSAFMGHESLESLHLMKMLRNGAGGERSRRSNEDEVFLGMQSMRPSSASLILQPPTGSRLEGNASKWERSVPMIGSAVQYGPRGGHFVPFVHQASSNRFKDTNVGPSVISQSAADEGSRTGIKGPGILSSINTSGIPTEKNSSGVVPSGARPKSGAHISDPESSVPPSRQGLTSASRQMTIFYAGQAHVFDDVHPNKADVIMALAGSNGGSWSTTYSPKSAVRPVSENYAPGEEPEAVGSMVFSREFRGRIAVAGNTSQGTGSGERISIQTGHHCYCERCKKPSSSSRT >EOY31380 pep chromosome:Theobroma_cacao_20110822:9:8411267:8420502:1 gene:TCM_038313 transcript:EOY31380 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY domain protein 8 isoform 6 MAVLKMAQQSKTTNTNNANTNNTSTHLQHQQQVKPTMFHDFLGMKATDSPVVLAHKATDARFSEASPSASASVGASSGGGGGAGRGPISSTSDLGSVERQVGNHLEGIPYYGPRSEISGPEISNRLVGSKRGNSDSAFMGHESLESLHLMKMLRNGAGGERSRRSNEDEVFLGMQSMRPSSASLILQPPTGSRLEGNASKWERSVPMIGSAVQYGPRGGHFVPFVHQASSNRFKDTNVGPSVISQSAADEGSRTGIKGPGILSSINTSGIPTEKNSSGVVPSGARPKSGAHISDPESSVPPSRQGLTSASRQMTIFYAGQAHVFDDVHPNKADVIMALAGSNGGSWSTTYSPKSAVRPVSENYAPGEEPEAVGSMVFSREFRGRIAVAGNTSQGTGSGERISIQTGVPQGTIVIAKDARNPVQAAEPSAEDKREV >EOY31382 pep chromosome:Theobroma_cacao_20110822:9:8411310:8420415:1 gene:TCM_038313 transcript:EOY31382 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY domain protein 8 isoform 6 MAVLKMAQQSKTTNTNNANTNNTSTHLQHQQQVKPTMFHDFLGMKATDSPVVLAHKATDARFSEASPSASASVGASSGGGGGAGRGPISSTSDLGSERQVGNHLEGIPYYGPRSEISGPEISNRLVGSKRGNSDSAFMGHESLESLHLMKMLRNGAGGERSRRSNEDEVFLGMQSMRPSSASLILQPPTGSRLEGNASKWERSVPMIGSAVQYGPRGGHFVPFVHQASSNRFKDTNVGPSVISQSAADEGSRTGIKGPGILSSINTSGIPTEKNSSGVVPSGARPKSGAHISDPESSVPPSRQGLTSASRQMTIFYAGQAHVFDDVHPNKADVIMALAGSNGGSWSTTYSPKSAVRPVSENYAPGEEPEAVGSMVFSREFRGRIAVAGNTSQGTGSGERISIQTGHHCYCERCKKPSSSSRT >EOY31378 pep chromosome:Theobroma_cacao_20110822:9:8411199:8420776:1 gene:TCM_038313 transcript:EOY31378 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY domain protein 8 isoform 6 MAVLKMAQQSKTTNTNNANTNNTSTHLQHQQQVKPTMFHDFLGMKATDSPVVLAHKATDARFSEASPSASASVGASSGGGGGAGRGPISSTSDLGSGVAVMVCDDFIYSMLLCDVVVLYLMLPSPVERQVGNHLEGIPYYGPRSEISGPEISNRLVGSKRGNSDSAFMGHESLESLHLMKMLRNGAGGERSRRSNEDEVFLGMQSMRPSSASLILQPPTGSRLEGNASKWERSVPMIGSAVQYGPRGGHFVPFVHQASSNRFKDTNVGPSVISQSAADEGSRTGIKGPGILSSINTSGIPTEKNSSGVVPSGARPKSGAHISDPESSVPPSRQGLTSASRQMTIFYAGQAHVFDDVHPNKVCNGSYDKESYTLLLLTGLCYGWVITANHCDELHLEQYFSGTISFWIVAKHVHTLVLVTFLVFTGFFADVIMALAGSNGGSWSTTYSPKSAVRPVSENYAPGEEPEAVGSMVFSREFRGRIAVAGNTSQGTGSGERISIQTGVPQGTIVIAKDARNPVQAAEPSAEDKREV >EOY31383 pep chromosome:Theobroma_cacao_20110822:9:8411275:8420739:1 gene:TCM_038313 transcript:EOY31383 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY domain protein 8 isoform 6 MAVLKMAQQSKTTNTNNANTNNTSTHLQHQQQVKPTMFHDFLGMKATDSPVVLAHKATDARFSEASPSASASVGASSGGGGGAGRGPISSTSDLGSVERQVGNHLEGIPYYGPRSEISGPEISNRLVGSKRGNSDSAFMGHESLESLHLMKMLRNGAGGERSRRSNEDEVFLGMQSMRPSSASLILQPPTGSRLEGNASKWERSVPMIGSAVQYGPRGGHFVPFVHQASSNRFKDTNVGPSVISQSAADEGSRTGIKGPGILSSINTSGIPTEKNSSGVVPSGARPKSGAHISDPESSVPPSRQGLTSASRQMTIFYAGQAHVFDDVHPNKADVIMALAGSNGGSWSTTYSPKSAVRPVSENYAPGEEPEAVGSMVFSREFRGRIAVAGNTSQGTGSGERISIQTGDPSTSLLLCSLLVSMLLAIVCFSGFSSMT >EOY31379 pep chromosome:Theobroma_cacao_20110822:9:8411267:8420502:1 gene:TCM_038313 transcript:EOY31379 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY domain protein 8 isoform 6 MAVLKMAQQSKTTNTNNANTNNTSTHLQHQQQVKPTMFHDFLGMKATDSPVVLAHKATDARFSEASPSASASVGASSGGGGGAGRGPISSTSDLGSVERQVGNHLEGIPYYGPRSEISGPEISNRLVGSKRGNSDSAFMGHESLESLHLMKMLRNGAGGERSRRSNEDEVFLGMQSMRPSSASLILQPPTGSRLEGNASKWERSVPMIGSAVQYGPRGGHFVPFVHQASSNRFKDTNVGPSVISQSAADEGSRTGIKGPGILSSINTSGIPTEKNSSGVVPSGARPKSGAHISDPESSVPPSRQGLTSASRQMTIFYAGQAHVFDDVHPNKADVIMALAGSNGGSWSTTYSPKSAVRPVSENYAPGEEPEAVGSMVFSREFRGRIAVAGNTSQGTGSGERISIQTGVPQGTIVIAKDARNPVQAAEPSAEDKREK >EOY34223 pep chromosome:Theobroma_cacao_20110822:9:40351064:40356097:1 gene:TCM_041962 transcript:EOY34223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferric reductase-like transmembrane component family protein MRDRGTRNLSGDASEDNSRKWMLESIEIDGMADVPLNAQSGTSISTCPNEAAFTRDTSTSNTASHSRNPSTSSGLPPNPGSLTGLSRNSSTAAGLSRNTSISPALPRSASRVTSFRRLISTARKRSNGAPPNIPRVQRTASSAAKGIQSLRFLDRTVTGKEMDAWKSTERRFNQFAVDGKLHRDKFGVCIGMGDSKEFAGGVFDALARRKKINPDDGITKEELQSFWQDMTNQDLDSRLQIFFDMCDKNGDGKLSEEEVKEILVLSASANKLGKLKQQAAAYASLIMEELDPDHLGYIEIWQLEILLRGMVTSDEGSKLNKKSQSLAKAMIPKRYRTPISKYTSMTVEFVHENWKRIWVVALFVIINLILFFWKYIQFYGSATYQITGYCVCVAKGSAEALKLDMALILLPVCRRTLTKLRSTFLHKIIPFDDNINFHKLIALAIAIWTSLHTFLHLACNFPKISGCPTGKFMELLGPAFGYKQPSYADLVNNTVGITGVLMVILMLFSFTLATHNFRRNIVKLPWPFTILAGFNAFWYAHHLLTLVYVQLILHGYFLIFKKPWYWKTTWMYLLIPMLFYASERFLTRFQEHKHNVNVIKAVIYTGNVLALYLTKPPGFKYKSGMYLFIKCHDISKFEWHPFSITSAPKDDYLSVHIRTLGDWTRELKDRFEKACEPPTVEAKRGNLMRMETRATKKTESSTDYEQEQTIFPSIFIKGPYGAPAQNYKKYDILLLIGLGIGATPFISIIKDLLSRIKPADGLQMEGGMKPGKKYPERAYFYWVTREQSSFEWFKGVMDDIAEYDKNKMIEMHNYLTSVYEEGDARSALIGMVQKIQQAKNGVDIVSESRITTHFARPNWGKVFSQLASTHESSRIGVFYCGSATLTKVLKKLCHEFSLETSTRFQFHKENF >EOY32897 pep chromosome:Theobroma_cacao_20110822:9:34302135:34305791:1 gene:TCM_040914 transcript:EOY32897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-7, putative isoform 1 MELSPAKEKVSGVQETYKSFCIRFVRLNGILFTRTSLETSADVLTLVSRDLCELLSAGPEEGLNFGTDAAENALFLVRLVSILIFTVHNLKRESEGQTYAEIVQRAALLQNAFTAVFELMGHVVKRCLQLQDVSSSHTLPAILVFLEWMACCPDVAAACKDVDEKQSITRSHFWKHCISFLNKILSVRPMCIDDDEDETCFFNMSRYEEGETENRLALWEDFELRGFLPLLPAHTILDFSRKRSFVSDGDKEKKARVKRILAAGKALANVIMVDQETVCFDSKAKKFLIGVEPSEDVTFTSSTSLATNSVGHETPSEKTISIGIVQPIPQPRMVGEEEDEDEVIVFKPPVVSEKRTEVIGLNWSPSETLKLNQSNSAGDLKFYSSTMSVPLDSHLQRNTFDASPLLPVSVGSIFPQHLQPVQMHASRWSVEEATSLANSLKGSTLLENGHLTKPEMQDNVGLSHPAARSVAIQQPISASSGGMYYSQTKVPETVMPSRIDAIVSSGVTGDSLAAKTTSASQVGMRKNPVSRPVRHLGPPPGFSPVPPKPLNESVSATETENPLMDDYSWLDGYQLTSSLKGSGLDSSINYASHADPQYVNNSSNGLTGTVSFPFPGKQVPTVQFQMEKQKGWQNFHTLEHLKIQHEQKLQQQQLMNGNQQFTSLPEQYQGQSVWTGRYFV >EOY32896 pep chromosome:Theobroma_cacao_20110822:9:34299773:34305791:1 gene:TCM_040914 transcript:EOY32896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-7, putative isoform 1 MMIAQMDKMSAPSSRERAQRLYEKNIELENNRRRSAQARVPSDPNAWQQMRENYEAIILEDHAFSEQHNIEYALWQLHYKRIEELRAHYNAALASAGSNASQGVKVAPRPDRLTKIRLQFKTFLSEATGFYHELILKIRAKYGLPLGYFSDDSESRIVMDKDGKKSADIKKGLVSCHRCLIYLGDLARYKGLYGDGDSKSREYATASSYYLQAASIWPSSGNPHHQLAILASYSGDELVAVYRYFRSLAVDNPFSTARDNLIVAFEKNRHNCSQLPGDVKTPLVKEPAVRLTGKGRGKVEAKLASKDANMELSPAKEKVSGVQETYKSFCIRFVRLNGILFTRTSLETSADVLTLVSRDLCELLSAGPEEGLNFGTDAAENALFLVRLVSILIFTVHNLKRESEGQTYAEIVQRAALLQNAFTAVFELMGHVVKRCLQLQDVSSSHTLPAILVFLEWMACCPDVAAACKDVDEKQSITRSHFWKHCISFLNKILSVRPMCIDDDEDETCFFNMSRYEEGETENRLALWEDFELRGFLPLLPAHTILDFSRKRSFVSDGDKEKKARVKRILAAGKALANVIMVDQETVCFDSKAKKFLIGVEPSEDVTFTSSTSLATNSVGHETPSEKTISIGIVQPIPQPRMVGEEEDEDEVIVFKPPVVSEKRTEVIGLNWSPSETLKLNQSNSAGDLKFYSSTMSVPLDSHLQRNTFDASPLLPVSVGSIFPQHLQPVQMHASRWSVEEATSLANSLKGSTLLENGHLTKPEMQDNVGLSHPAARSVAIQQPISASSGGMYYSQTKVPETVMPSRIDAIVSSGVTGDSLAAKTTSASQVGMRKNPVSRPVRHLGPPPGFSPVPPKPLNESVSATETENPLMDDYSWLDGYQLTSSLKGSGLDSSINYASHADPQYVNNSSNGLTGTVSFPFPGKQVPTVQFQMEKQKGWQNFHTLEHLKIQHEQKLQQQQLMNGNQQFTSLPEQYQGQSVWTGRYFV >EOY32895 pep chromosome:Theobroma_cacao_20110822:9:34299306:34306437:1 gene:TCM_040914 transcript:EOY32895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-7, putative isoform 1 MMIAQMDKMSAPSSRERAQRLYEKNIELENNRRRSAQARVPSDPNAWQQMRENYEAIILEDHAFSEQHNIEYALWQLHYKRIEELRAHYNAALASAGSNASQGVKVAPRPDRLTKIRLQFKTFLSEATGFYHELILKIRAKYGLPLGYFSDDSESRIVMDKDGKKSADIKKGLVSCHRCLIYLGDLARYKGLYGDGDSKSREYATASSYYLQAASIWPSSGNPHHQLAILASYSGDELVAVYRYFRSLAVDNPFSTARDNLIVAFEKNRHNCSQLPGDVKTPLVKEPAVRLTGKGRGKVEAKLASKDANMELSPAKEKVSGVQETYKSFCIRFVRLNGILFTRTSLETSADVLTLVSRDLCELLSAGPEEGLNFGTDAAENALFLVRLVSILIFTVHNLKRESEGQTYAEIVQRAALLQNAFTAVFELMGHVVKRCLQLQDVSSSHTLPAILVFLEWMACCPDVAAACKDVDEKQSITRSHFWKHCISFLNKILSVRPMCIDDDEDETCFFNMSRYEEGETENRLALWEDFELRGFLPLLPAHTILDFSRKRSFVSDGDKEKKARVKRILAAGKALANVIMVDQETVCFDSKAKKFLIGVEPSEDVTFTSSTSLATNSVGHETPSEKTISIGIVQPIPQPRMVGEEEDEDEVIVFKPPVVSEKRTEVIGLNWSPSETLKLNQSNSAGDLKFYSSTMSVPLDSHLQRNTFDASPLLPVSVGSIFPQHLQPVQMHASRWSVEEATSLANSLKGSTLLENGHLTKPEMQDNVGLSHPAARSVAIQQPISASSGGMYYSQTKVPETVMPSRIDAIVSSGVTGDSLAAKTTSASQVGMRKNPVSRPVRHLGPPPGFSPVPPKPLNESVSATETENPLMDDYSWLDGYQLTSSLKGSGLDSSINYASHADPQYVNNSSNGLTGTVSFPFPGKQVPTVQFQMEKQKGWQNFHTLEHLKIQHEQKLQQQQLMNGNQQFTSLPEQYQGQSVWTGRYFV >EOY34379 pep chromosome:Theobroma_cacao_20110822:9:40843593:40848121:-1 gene:TCM_042067 transcript:EOY34379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 10 isoform 2 MKEPEKSLDPQLWHACAGSMVQIPPVNSKVFYFPQGHAEHSLSSVDFSSSPQIPAFVICRVASVKFLADTETDEVYAKIMLIPLSNSELDLEEDAVLGGGSNGSDNVEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTADPPVQTVIAKDVHGEIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGNGPESGTPGWNSGLGNGNCVGPYGGFSAFLREDESKIMRNGNLGCGGNLRGKGKVRPEAVLEAVALAAGGQPFEVIYYPRASTPEFCVKASGVKAAMRIHWCSGMRFKMAFETEDSSRISWFMGTVSSVQVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLSPFSPPRKKLRLPQHLDLPLDGQFLMPSFSGNPLGPSSPLHCLSDNAPAGIQGARHAQFGLSLSDLHLNNKLQSGLFLSSFQRFDPHSRISNGIMMARRTNSNDNLSCLLTMGSSSQEEKSDNAKRHQFLLFGQPILTEQQLSRSCSSEAVSQVINGKSSVDGNADKTKDASDGSGSALENQFSPEKSSPARFLWHPDYRTTEPGLDTGHCKVFLESEDVGRTLDLSVLSSYEELYKRLANMFGIERSEMLGHVLYRDATGAVKQTGDVPFRYQLEKLHIPFYSFSVLANTEIMSCKTSEFLMFNCS >EOY34377 pep chromosome:Theobroma_cacao_20110822:9:40843567:40848818:-1 gene:TCM_042067 transcript:EOY34377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 10 isoform 2 MKEPEKSLDPQLWHACAGSMVQIPPVNSKVFYFPQGHAEHSLSSVDFSSSPQIPAFVICRVASVKFLADTETDEVYAKIMLIPLSNSELDLEEDAVLGGGSNGSDNVEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTADPPVQTVIAKDVHGEIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGNGPESGTPGWNSGLGNGNCVGPYGGFSAFLREDESKIMRNGNLGCGGNLRGKGKVRPEAVLEAVALAAGGQPFEVIYYPRASTPEFCVKASGVKAAMRIHWCSGMRFKMAFETEDSSRISWFMGTVSSVQVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLSPFSPPRKKLRLPQHLDLPLDGQFLMPSFSGNPLGPSSPLHCLSDNAPAGIQGARHAQFGLSLSDLHLNNKLQSGLFLSSFQRFDPHSRISNGIMMARRTNSNDNLSCLLTMGSSSQEEKSDNAKRHQFLLFGQPILTEQQLSRSCSSEAVSQVINGKSSVDGNADKTKDASDGSGSALENQFSPEKSSPARFLWHPDYRTTEPGLDTGHCKVFLESEDVGRTLDLSVLSSYEELYKRLANMFGIERSEMLGHVLYRDATGAVKQTGDVPFSAFIKTAKRLTIRMDSGNDTVGRSWLTGIRTAENGLDGPNKTGPLSIFA >EOY34378 pep chromosome:Theobroma_cacao_20110822:9:40844027:40847722:-1 gene:TCM_042067 transcript:EOY34378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 10 isoform 2 MKEPEKSLDPQLWHACAGSMVQIPPVNSKVFYFPQGHAEHSLSSVDFSSSPQIPAFVICRVASVKFLADTETDEVYAKIMLIPLSNSELDLEEDAVLGGGSNGSDNVEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTADPPVQTVIAKDVHGEIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGNGPESGTPGWNSGLGNGNCVGPYGGFSAFLREDESKIMRNGNLGCGGNLRGKGKVRPEAVLEAVALAAGGQPFEVIYYPRASTPEFCVKASGVKAAMRIHWCSGMRFKMAFETEDSSRISWFMGTVSSVQVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLSPFSPPRKKLRLPQHLDLPLDGQFLMPSFSGNPLGPSSPLHCLSDNAPAGIQGARHAQFGLSLSDLHLNNKLQSGLFLSSFQRFDPHSRISNGIMMARRTNSNDNLSCLLTMGSSSQEEKSDNAKRHQFLLFGQPILTEQQLSRSCSSEAVSQVINGKSSVDGNADKTKDASDGSGSALENQFSPEKSSPARFLWHPDYRTTEPGLDTGHCKVFLESEDVGRTLDLSVLSSYEELYKRLANMFGIERSEMLGHVLYRDATGAVKQTGDVPFSAFIKTAKRLTIRMDSGNDTVGRSVMAYWDSNC >EOY29764 pep chromosome:Theobroma_cacao_20110822:9:2305216:2307900:1 gene:TCM_037203 transcript:EOY29764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASRFRSLSKPTFSILKSTLNKPSLKPRPASSLLPARSSPTFSRSVSQLGCLQSLLPLHSAVSSARLTSCLGIDSRSSRSLSQGMLCSANPGV >EOY29767 pep chromosome:Theobroma_cacao_20110822:9:2305018:2307924:1 gene:TCM_037203 transcript:EOY29767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 GNFPPTKPKTPPKTLESPFFFFKILTLTFLFCFAFSRMASRFRSLSKPTFSILKSTLNKPSLKPRPASSLLPARSSPTFSRSVSQLGCLQSLLPLHSAVSSARLTSCLGIDSRSSRSLSQGMLCSANPGV >EOY29766 pep chromosome:Theobroma_cacao_20110822:9:2305216:2307900:1 gene:TCM_037203 transcript:EOY29766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 GNFPPTKPKTPPKTLESPFFFFKILTLTFLFCFAFSRMASRFRSLSKPTFSILKSTLNKPSLKPRPASSLLPARSSPTFSRSVSQLGCLQSLLPLHSAVSSARLTSCLGIDSRSSRSLSQGMLCSANPGV >EOY29765 pep chromosome:Theobroma_cacao_20110822:9:2305216:2307900:1 gene:TCM_037203 transcript:EOY29765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 GNFPPTKPKTPPKTLESPFFFFKILTLTFLFCFAFSRMASRFRSLSKPTFSILKSTLNKPSLKPRPASSLLPARSSPTFSRSVSQLGCLQSLLPLHSAVSSARLTSCLGIDSRSSRSLSQGMLCSANPGV >EOY34643 pep chromosome:Theobroma_cacao_20110822:9:41667574:41680849:-1 gene:TCM_042247 transcript:EOY34643 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT/U-box domain-containing protein, putative isoform 2 MYSRMGRQKGEGARSKSRPSSSSLAASLLPSGSAAAAVGFGGYVGSSRLDSSISAEDSSPFLDIDSEVAQHLKRLARKDPTTKLKALASLSALLKQRSGKEIVPIIPQWAFEYKKLLLDFNREVRRATHETTTILVTSVGRDLAPHLKSLMGPWWFSQFDPSSEVSQAAKRSLQAAFPAQEKRLDALILCTTEIFMYLEENLKLTPQNLSDKTVALDELQEMHQQVISSSLLALATLLDVLVSVQIERPGFENVSAEPKHASKARATAISFAEKLFSAHKYFVDFLKSESPAIRSATYSVLRSFIKNIPQVFDEGNMKTLAAAVLGAFQEKDPACHSSMWDAILLFSKRFPDSWTTINVQKSVFNRFWSFIRNGCFGSQQVSYPALVLFLDAIPSKALSGDNFFLDFFHNLWAGRNPVHSSNADRLAFFRAFRECFLWGLHNAFKFCDTVDSISHFRITLINNILVKLLWQDYISSVSLKDQDSDQPLHGKTMETQNIKYPISYLQELGKCIVEILSGIYSLEQDLLSFFCMAFQETCQGLLQEKVVTEQTTLNMEPIIKFLSLVDRHVNQKGEAWPLLHLVGPMLSTSFPLIRSLDSPDGVRLLSISVSIFGARKVLQVLFSNNDAVSRGPPHDKESELKLKYFLQVYKETFVPWCLHGYNCGTSARLDLLLALLDDECFSEQWHAIITYAIDLVSSKVGLGSMDSNHLAVLAMLLEKARNEVRRRKVGEDSFHRLGSLPDHWHHELLETAAVSAAFSLPPFGTSDVQFVRSVLGGATEGNLDSFVSRKSVILIFKEVSRKLVSFILDSSFNSVKLASGLFTSVEEGLALESKDPANVVEMARFALEILEGSFFCLRALDEESDLVSSISAAMFIIDWEYRMTLAVDDALDDESRKKIKVRLDICELAHGYQSKIRNLWKSFSRDVGKGIRSILICIIRSAIFKEDKLETNKIVSLCCLMMIEVLDCLCQDQYEEQNLLDHLLRKGDMWPWWIIPDFNSLRGPAISDTERVYASACYKFVSLIDNLISKLGFDKVIARDEMDAPPLPTKDTTNNEVTSRAWLAAEILCTWKWPGGSAATSFLPLLISFAKRRNYSSYEGFLDSIFNTLLDGALVHGENCAQRSFHAWPALGEDMEAMEDIKEPFLRALVSFLFTLLKENIWGIEKAMILFQLLVNKLFIGEAVNTSCLRILPPILCVLLPTFCQRSIRSSGCSDLDGKPDPLDERQIQDTIKGWLQRILIFPPLVTWQTGQEMEEWFHLVFSCYPLRAVGGAEVMKLDRNIGHDERILLLDLFRKQRHNNSRSIAANQLPVVQMLLSKLMVISVGCCWREFDEEDWEFLFSHLRCWIESAVVMMEEVAENVNDAVSEQSSSDNLDLICRKLEQIVLVSDLFLINITKNSLISFSFFCGILEFQPTEDTDNLNHLRTERWDPIKKQILESILRLFFSTGIAEAIAASYSYEAAAIISASRFYHQSFWELVASSVIKSPAHTRDEAVKSVELWGLSKGPVCSLYAILFSSRPIPSLQLAAYAVLSTEPVSKLAVFGEGSVRCLDVDPSAYQESGHLDISPEENIHLMEELSYMIEKLPYDVLDIDLAAEQRVHLFLAWSLLLSHLSSLPSLSPPRERLVQYIQNSANPLILDCLFQHLPSDLCLMHVLKKKDGEPPKVLSEAATAATHSITTGSLLFSVESLWPIEPVKMAALAGAIYGLMLRLLPAYVRGWFSDLRDRSTSSMIESFTRAWCSPPLVANELSLIKTANFADENFSVSVSKSANEVVATYTKDETGMDLIIRLPVSYPLRPVDVDCVRSLGISEVKQRKWLMSMMLFVRNQNGALAEAIRIWKRNFDKEFEGVEECPICYSVIHTANHSLPRLACKTCKHKFHAACLYKWFSTSHKSSCPLCQSPF >EOY34644 pep chromosome:Theobroma_cacao_20110822:9:41669781:41680574:-1 gene:TCM_042247 transcript:EOY34644 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT/U-box domain-containing protein, putative isoform 2 MYSRMGRQKGEGARSKSRPSSSSLAASLLPSGSAAAAVGFGGYVGSSRLDSSISAEDSSPFLDIDSEVAQHLKRLARKDPTTKLKALASLSALLKQRSGKEIVPIIPQWAFEYKKLLLDFNREVRRATHETTTILVTSVGRDLAPHLKSLMGPWWFSQFDPSSEVSQAAKRSLQAAFPAQEKRLDALILCTTEIFMYLEENLKLTPQNLSDKTVALDELQEMHQQVISSSLLALATLLDVLVSVQIERPGFENVSAEPKHASKARATAISFAEKLFSAHKYFVDFLKSESPAIRSATYSVLRSFIKNIPQVFDEGNMKTLAAAVLGAFQEKDPACHSSMWDAILLFSKRFPDSWTTINVQKSVFNRFWSFIRNGCFGSQQVSYPALVLFLDAIPSKALSGDNFFLDFFHNLWAGRNPVHSSNADRLAFFRAFRECFLWGLHNAFKFCDTVDSISHFRITLINNILVKLLWQDYISSVSLKDQDSDQPLHGKTMETQNIKYPISYLQELGKCIVEILSGIYSLEQDLLSFFCMAFQETCQGLLQEKVVTEQTTLNMEPIIKFLSLVDRHVNQKGEAWPLLHLVGPMLSTSFPLIRSLDSPDGVRLLSISVSIFGARKVLQVLFSNNDAVSRGPPHDKESELKLKYFLQVYKETFVPWCLHGYNCGTSARLDLLLALLDDECFSEQWHAIITYAIDLVSSKVGLGSMDSNHLAVLAMLLEKARNEVRRRKVGEDSFHRLGSLPDHWHHELLETAAVSAAFSLPPFGTSDVQFVRSVLGGATEGNLDSFVSRKSVILIFKEVSRKLVSFILDSSFNSVKLASGLFTSVEEGLALESKDPANVVEMARFALEILEGSFFCLRALDEESDLVSSISAAMFIIDWEYRMTLAVDDALDDESRKKIKVRLDICELAHGYQSKIRNLWKSFSRDVGKGIRSILICIIRSAIFKEDKLETNKIVSLCCLMMIEVLDCLCQDQYEEQNLLDHLLRKGDMWPWWIIPDFNSLRGPAISDTERVYASACYKFVSLIDNLISKLGFDKVIARDEMDAPPLPTKDTTNNEVTSRAWLAAEILCTWKWPGGSAATSFLPLLISFAKRRNYSSYEGFLDSIFNTLLDGALVHGENCAQRSFHAWPALGEDMEAMEDIKEPFLRALVSFLFTLLKENIWGIEKAMILFQLLVNKLFIGEAVNTSCLRILPPILCVLLPTFCQRSIRSSGCSDLDGKPDPLDERQIQDTIKGWLQRILIFPPLVTWQTGQEMEEWFHLVFSCYPLRAVGGAEVMKLDRNIGHDERILLLDLFRKQRHNNSRSIAANQLPVVQMLLSKLMVISVGCCWREFDEEDWEFLFSHLRCWIESAVVMMEEVAENVNDAVSEQSSSDNLDLICRKLEQIVLVSDLFLINITKNSLISFSFFCGILEFQPTEDTDNLNHLRTERWDPIKKQILESILRLFFSTGIAEAIAASYSYEAAAIISASRFYHQSFWELVASSVIKSPAHTRDEAVKSVELWGLSKGPVCSLYAILFSSRPIPSLQLAAYAVLSTEPVSKLAVFGEGSVRCLDVDPSAYQESGHLDISPEENIHLMEELSYMIEKLPYDVLDIDLAAEQRVHLFLAWSLLLSHLSSLPSLSPPRERLVQYIQNSANPLILDCLFQHLPSDLCLMHVLKKKDGEPPKVLSEAATAATHSITTGSLLFSVESLWPIEPVKMAALAGAIYGLMLRLLPAYVRGWFSDLRDRSTSSMIESFTRAWCSPPLVANELSLIKTANFADENFSVSVSKSANEVVATYTKDETGMDLIIRLPVSYPLRPVDVDCVRSLGISEVKQRKWLMSMMLFVRNQVLATS >EOY33676 pep chromosome:Theobroma_cacao_20110822:9:38551419:38552813:1 gene:TCM_041582 transcript:EOY33676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRARNVVVATGLLAFAAAGLAFPFYMATSKRPVIDSSKPLPPQATFRGPYVNTGSRDVGPDHQTYPKK >EOY33439 pep chromosome:Theobroma_cacao_20110822:9:37491367:37493561:-1 gene:TCM_041412 transcript:EOY33439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein MSKLQSDALREAISTIVAQSKEKNRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYQAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNVAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGPSNRIF >EOY32334 pep chromosome:Theobroma_cacao_20110822:9:27427986:27430431:-1 gene:TCM_040141 transcript:EOY32334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMKIVKGPNKTLLYDMVINEIIDFHKLDTRFDHPKSHPMHSKTNSHAIKKLGYELKEGVWVRKSEYPNDEDDHGELSKHEPQRKHSKALSSKTPSTSNIEHTLTNLFGYIESMDGVNGLYEGRMSNLIVNQIT >EOY32170 pep chromosome:Theobroma_cacao_20110822:9:22660893:22679984:1 gene:TCM_039756 transcript:EOY32170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxylase 1, chloroplastic isoform 5 MAMDASMTMCKSVNSPPGLFLGRSRGIRSSQCSFMVGSRINFPRQKAQATQVRCKSNKLGGALGATCRAEKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLLIPNVLSAAISRNCTMLHPGYGFLAENAVFVEMCRDHRINFIGPNPDSIRVMGDKSTARETMKNAGVPTVPGSDGLLQSTEEAIKLAHEIGFPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSADLIEEQIRVAMGEKLHYKQEDIVLRGHSIECRINAEDAFKGFRPGPGRITSYLPSGGPFVRMDSHVYSDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALDDTVITGVPTTIEYHKLILDIEDFRNGKVDTAFIPKHEEELAAPQKMVLATPTKELTSATA >EOY32174 pep chromosome:Theobroma_cacao_20110822:9:22669234:22677967:1 gene:TCM_039756 transcript:EOY32174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxylase 1, chloroplastic isoform 5 MAMDASMTMCKSVNSPPGLFLGRSRGIRSSQCSFMVGSRINFPRQKAQATQVRCKSNKLGGALGATCRAEKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLLIPNVLSAAISRNCTMLHPGYGFLAENAVFVEMCRDHRINFIGPNPDSIRVMGDKSTARETMKNAGVPTVPGSDGLLQSTEEAIKLAHEIGFPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSADLIEEQIRVAMGEKLHYKQEDIVLRGHSIECRINAEDAFKGFRPGPGRITSYLPSGGPFVRMDSHVYSDYVVPPSYDSLLGKVCNIFLILFMFMACKIMYV >EOY32171 pep chromosome:Theobroma_cacao_20110822:9:22660893:22679984:1 gene:TCM_039756 transcript:EOY32171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxylase 1, chloroplastic isoform 5 MVGSRINFPRQKAQATQVRCKSNKLGGALGATCRAEKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLLIPNVLSAAISRNCTMLHPGYGFLAENAVFVEMCRDHRINFIGPNPDSIRVMGDKSTARETMKNAGVPTVPGSDGLLQSTEEAIKLAHEIGFPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSADLIEEQIRVAMGEKLHYKQEDIVLRGHSIECRINAEDAFKGFRPGPGRITSYLPSGGPFVRMDSHVYSDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALDDTVITGVPTTIEYHKLILDIEDFRNGKVDTAFIPKHEEELAAPQKMVLATPTKELTSATA >EOY32172 pep chromosome:Theobroma_cacao_20110822:9:22669234:22679924:1 gene:TCM_039756 transcript:EOY32172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxylase 1, chloroplastic isoform 5 MAMDASMTMCKSVNSPPGLFLGRSRGIRSSQCSFMVGSRINFPRQKAQATQVRCKSNKLGGALGATCRAEKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLLIPNVLSAAISRNCTMLHPGYGFLAENAVFVEMCRDHRINFIGPNPDSIRVMGDKSTARETMKNAGVPTVPGSDGLLQSTEEAIKLAHEIGFPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSADLIEEQIRVAMGEKLHYKQEDIVLRGHSIECRINAEDAFKGFRPGPGRITSYLPSGGPFVRMDSHVYSDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALDDTVITGVPTTIEYHKLILDIEDFRNGKVDTAFIPKHEEELAAVRSCFILSRMQFVLYVKF >EOY32173 pep chromosome:Theobroma_cacao_20110822:9:22669234:22679924:1 gene:TCM_039756 transcript:EOY32173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxylase 1, chloroplastic isoform 5 MLHPGYGFLAENAVFVEMCRDHRINFIGPNPDSIRVMGDKSTARETMKNAGVPTVPGSDGLLQSTEEAIKLAHEIGFPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSADLIEEQIRVAMGEKLHYKQEDIVLRGHSIECRINAEDAFKGFRPGPGRITSYLPSGGPFVRMDSHVYSDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALDDTVITGVPTTIEYHKLILDIEDFRNGKVDTAFIPKHEEELAAPQKMVLATPTKELTSATA >EOY32402 pep chromosome:Theobroma_cacao_20110822:9:28842214:28845918:-1 gene:TCM_040309 transcript:EOY32402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein 4 isoform 1 MEGELGSGDKTALLMKSGSGKRGRFYRRNSVNSLRNEFVSRLPDKVRSGVDAESPFRIDVSKTGGLTRGEKEYYEKQFETLKSFEEADALDASSQSVDGEYDEDKEQAQHETAMKISNYANIILLAFKLYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKNINIYNYPIGKLRVQPVGIIIFAAVMATLGFQVLVQAVEQLIKDTPSEKMSSSQLVWLYTIMLSATVVKLALWIYCKSSSNKIVRAYAKDHYFDVVTNLVGLLSAILGDKFYWWIDPAGAIALAIYTISNWSGTVMENAVSLVGQSAPPEFLQKLTYLVLRHPQVKRIDTVRAYTFGVLYFVEVDIELPEDCPLKEAHAIGETLQIKIEKLPEVERAFVHLDFECAHKPEHSVLNRLPNSQP >EOY32405 pep chromosome:Theobroma_cacao_20110822:9:28842186:28846170:-1 gene:TCM_040309 transcript:EOY32405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein 4 isoform 1 MEGELGSGDKTALLMKSGSGKRGRFYRRNSVNSLRNEFVSRLPDKVRSGVDAESPFRIDVSKTGGLTRGEKEYYEKQFETLKSFEEADALDASSQSVDGEYDEDKEQAQHETAMKISNYANIILLAFKLYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKNINIYNYPIGKLRVQPVGIIIFAAVMATLGFQVLVQAVEQLIKDTPSEKMSSSQLVWLYTIMLSATVVKLALWIYCKSSSNKIVRAYAKDHYFDVVTNLVGLLSAILGDKFYWWIDPAGAIALAIYTISNWSGTVMENAVSLVGQSAPPEFLQKLTYLVLRHPQVKRIDTVRAYTFGVLYFVEVDIELPEDCPLKEAHAIGETLQIKIEKLPEVERAFVHLDFECAHKPEHSVLNRLPNSQP >EOY32404 pep chromosome:Theobroma_cacao_20110822:9:28842270:28846062:-1 gene:TCM_040309 transcript:EOY32404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein 4 isoform 1 MEGELGSGDKTALLMKSGSGKRGRFYRRNSVNSLRNEFVSRLPDKVRSGVDAESPFRIDVSKTGGLTRGEKEYYEKQFETLKSFEEADALDASSQSVDGEYDEDKEQAQHETAMKISNYANIILLAFKLYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKNINIYNYPIGKLRVQPVGIIIFAAVMATLGFQVLVQAVEQLIKDTPSEKMSSSQLVWLYTIMLSATVVKLALWIYCKSSSNKIVRAYAKDHYFDVVTNLVGLLSAILGDKFYWWIDPAGAIALAIYTISNWSGTVMENAVSLVGQSAPPEFLQKLTYLVLRHPQVKRIDTVRAYTFGVLYFVEVDIELPEDCPLKEAHAIGETLQIKIEKLPEVERAFVHLDFECAHKPEHSVLNRLPNSQP >EOY32403 pep chromosome:Theobroma_cacao_20110822:9:28842186:28846170:-1 gene:TCM_040309 transcript:EOY32403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein 4 isoform 1 MEGELGSGDKTALLMKSGSGKRGRFYRRNSVNSLRNEFVSRLPDKVRSGVDAESPFRIDVSKTGGLTRGEKEYYEKQFETLKSFEEADALDASSQSVDGEYDEDKEQAQHETAMKISNYANIILLAFKLYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKNINIYNYPIGKLRVQPVGIIIFAAVMATLGFQVLVQAVEQLIKDTPSEKMSSSQLVWLYTIMLSATVVKLALWIYCKSSSNKIVRAYAKDHYFDVVTNLVGLLSAILGDKFYWWIDPAGAIALAIYTISNWSGTVMENAVSLVGQSAPPEFLQKLTYLVLRHPQVKRIDTVRAYTFGVLYFVEVDIELPEDCPLKEAHAIGETLQIKIEKLPEVERAFVHLDFECAHKPEHSVLNRLPNSQP >EOY30187 pep chromosome:Theobroma_cacao_20110822:9:3710216:3716230:-1 gene:TCM_037480 transcript:EOY30187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid biosynthesis 1 isoform 1 MASSSLASPLCTWLVAACMSVTCGKDQSQSPMLHSPASSSSSKRLGRWARNRRKALISQCCGSSTSNRNGGLISSFCGSSIQGLMASCLAFEPCNDYYSSKNGSLFGQNGSFSSFFGSKNIPFNNNRKHRRLNRGAVHSGEAMAVAVQPTREITTKKKPPTKQRRVVVTGMGVETPLGHDPDVFYNNLLEGASGISEIETFDCAQFPSRIAGEIKSFSTDGWVAPKLSKRMDKFMLYMLTAGKKALEDGGVTEDVMEELDKTKCGVLIGSAMGGMKVFNDAIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADVMLCGGSDAAIIPIGLGGFVACKALSQRNKDPTKASRPWDVNRDGFVMGEGAGVLLLEELEHAKRRGATIYAEFLGGSFTCDAYHMTEPHPDGVGVILCMEKALAQSGVSKEDINYINAHATSTPAGDIKEYQALMHCFGKNPELRVNSTKSMIGHLLGAAGAVEAVAAIQAIRTGWVHPNVNLESPDEGVDTNVLVGPKKERLNVKAALSNSFGFGGHNSSIIFAPLK >EOY30189 pep chromosome:Theobroma_cacao_20110822:9:3709588:3714590:-1 gene:TCM_037480 transcript:EOY30189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid biosynthesis 1 isoform 1 MHALNFIKLPVKFTCNLSVNLSKNLGEAMAVAVQPTREITTKKKPPTKQRRVVVTGMGVETPLGHDPDVFYNNLLEGASGISEIETFDCAQFPSRIAGEIKSFSTDGWVAPKLSKRMDKFMLYMLTAGKKALEDGGVTEDVMEELDKTKCGVLIGSAMGGMKVFNDAIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADVMLCGGSDAAIIPIGLGGFVACKALSQRNKDPTKASRPWDVNRDGFVMGEGAGVLLLEELEHAKRRGATIYAEFLGGSFTCDAYHMTEPHPDGVGVILCMEKALAQSGVSKEDINYINAHATSTPAGDIKEYQALMHCFGKNPELRVNSTKSMIGHLLGAAGAVEAVAAIQAIRTGWVHPNVNLESPDEGVDTNVLVGPKKERLNVKAALSNSFGFGGHNSSIIFAPLK >EOY30186 pep chromosome:Theobroma_cacao_20110822:9:3710067:3716230:-1 gene:TCM_037480 transcript:EOY30186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid biosynthesis 1 isoform 1 MASSSLASPLCTWLVAACMSVTCGKDQSQSPMLHSPASSSSSKRLGRWARNRRKALISQCCGSSTSNRNGGLISSFCGSSIQGLMASCLAFEPCNDYYSSKNGSLFGQNGSFSSFFGSKNIPFNNNRKHRRLNRGAVHSGEAMAVAVQPTREITTKKKPPTKQRRVVVTGMGVETPLGHDPDVFYNNLLEGASGISEIETFDCAQFPSRIAGEIKSFSTDGWVAPKLSKRMDKFMLYMLTAGKKALEDGGVTEDVMEELDKTKCGVLIGSAMGGMKVFNDAIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADVMLCGGSDAAIIPIGLGGFVACKALSQRNKDPTKASRPWDVNRDGFVMGEGAGVLLLEELEHAKRRGATIYAEFLGGSFTCDAYHMTEPHPDGVGVILCMEKALAQSGVSKEDINYINAHATSTPAGDIKEYQALMHCFGKNPELRVNSTKSMIGHLLGAAGAVEAVAAIQAIRTGWVHPNVNLESPDEGVDTNVLVGPKKERLNVKAALSNSFGFGGHNSSIIFAPLK >EOY30188 pep chromosome:Theobroma_cacao_20110822:9:3710471:3716286:-1 gene:TCM_037480 transcript:EOY30188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid biosynthesis 1 isoform 1 MASSSLASPLCTWLVAACMSVTCGKDQSQSPMLHSPASSSSSKRLGRWARNRRKALISQCCGSSTSNRNGGLISSFCGSSIQGLMASCLAFEPCNDYYSSKNGSLFGQNGSFSSFFGSKNIPFNNNRKHRRLNRGAVHSGEAMAVAVQPTREITTKKKPPTKQRRVVVTGMGVETPLGHDPDVFYNNLLEGASGISEIETFDCAQFPSRIAGEIKSFSTDGWVAPKLSKRMDKFMLYMLTAGKKALEDGGVTEDVMEELDKTKCGVLIGSAMGGMKVFNDAIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADVMLCGGSDAAIIPIGLGGFVACKALSQRNKDPTKASRPWDVNRDGFVMGEGAGVLLLEELEHAKRRGATIYAEFLGGSFTCDAYHMTEPHPDGVGVILCMEKALAQSGVSKEDINYINAHATSTPAGDIKEYQALMHCFGKNPELRVNSTKSMIGHLLGAAGAVEAVAAIQAIRTGWVHPNVNLESPDEGVDTNVLVGPKKERLNVKAALSNSFGFGGHNSSIIFAPLK >EOY30185 pep chromosome:Theobroma_cacao_20110822:9:3710069:3716313:-1 gene:TCM_037480 transcript:EOY30185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid biosynthesis 1 isoform 1 MASSSLASPLCTWLVAACMSVTCGKDQSQSPMLHSPASSSSSKRLGRWARNRRKALISQCCGSSTSNRNGGLISSFCGSSIQGLMASCLAFEPCNDYYSSKNGSLFGQNGSFSSFFGSKNIPFNNNRKHRRLNRGAVHSGEAMAVAVQPTREITTKKKPPTKQRRVVVTGMGVETPLGHDPDVFYNNLLEGASGISEIETFDCAQFPSRIAGEIKSFSTDGWVAPKLSKRMDKFMLYMLTAGKKALEDGGVTEDVMEELDKTKCGVLIGSAMGGMKVFNDAIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADVMLCGGSDAAIIPIGLGGFVACKALSQRNKDPTKASRPWDVNRDGFVMGEGAGVLLLEELEHAKRRGATIYAEFLGGSFTCDAYHMTEPHPDGVGVILCMEKALAQSGVSKEDINYINAHATSTPAGDIKEYQALMHCFGKNPELRVNSTKSMIGHLLGAAGAVEAVAAIQAIRTGWVHPNVNLESPDEGVDTNVLVGPKKERLNVKAALSNSFGFGGHNSSIIFAPLK >EOY30190 pep chromosome:Theobroma_cacao_20110822:9:3709954:3716300:-1 gene:TCM_037480 transcript:EOY30190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid biosynthesis 1 isoform 1 MASSSLASPLCTWLVAACMSVTCGKDQSQSPMLHSPASSSSSKRLGRWARNRRKALISQCCGSSTSNRNGGLISSFCGSSIQGLMASCLAFEPCNDYYSSKNGSLFGQNGSFSSFFGSKNIPFNNNRKHRRLNRGAVHSGEAMAVAVQPTREITTKKKPPTKQRRVVVTGMGVETPLGHDPDVFYNNLLEGASGISEIETFDCAQFPSRIAGEIKSFSTDGWVAPKLSKRMDKFMLYMLTAGKKALEDGGVTEDVMEELDKTKCGVLIGSAMGGMKVFNDAIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADVMLCGGSDAAIIPIGLGGFVACKALSQRNKDPTKASRPWDVNRDGFVMGEGAGVLLLEELEHAKRRGATIYAEFLGGSFTCDAYHMTEPHPDGVGVILCMEKALAQSGVSKEDINYINAHATSTPAGDIKEYQALMHCFGKNPELRVNSTKSMIGHLLGAAGAVEAVAAIQAIRTGWVHPNVNLESPDEGVDTNVLVGPKKERLNVKAALSNSFGFGGHNSSIIFAPLK >EOY31901 pep chromosome:Theobroma_cacao_20110822:9:17293766:17295130:1 gene:TCM_039237 transcript:EOY31901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKLDDSIARRLCHFERNKIWSLVPKPTNNPIIDTKWVFRKKLDKLGNVVKNKAMW >EOY31271 pep chromosome:Theobroma_cacao_20110822:9:7959554:7961040:1 gene:TCM_038242 transcript:EOY31271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MKTSNEVMGEVILCKEGHCSGKSRKLSSAMTSSAPTSTTTSKSEENGENKGNPTSKVKSGNEENGEKQEKFPVGSPTSSEHQEVHDQYADIMDIAEMDYSPARRKPPIHN >EOY31270 pep chromosome:Theobroma_cacao_20110822:9:7959482:7961104:1 gene:TCM_038242 transcript:EOY31270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MRPFCLAVSLLLLCIFLSSVQGIRLEKSFKSARHPKLHEGTVMKTSNEVMGEVILCKEGHCSGKSRKLSSAMTSSAPTSTTTSKSEENGENKGNPTSKVKSGNEENGEKQEKFPVGSPTSSEHQEVHDQYADIMDIAEMDYSPARRKPPIHN >EOY31272 pep chromosome:Theobroma_cacao_20110822:9:7959495:7961114:1 gene:TCM_038242 transcript:EOY31272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MRPFCLAVSLLLLCIFLSSVQGIRLEKSFKSARHPKLHEGTVMKTSNEVMGEVILCKEGHCSAGKSRKLSSAMTSSAPTSTTTSKSEENGENKGNPTSKVKSGNEENGEKQEKFPVGSPTSSEHQEVHDQYADIMDIAEMDYSPARRKPPIHN >EOY29847 pep chromosome:Theobroma_cacao_20110822:9:2551011:2553766:-1 gene:TCM_037250 transcript:EOY29847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRTGFLYSPSFEAMVILLGLTLCYELGEGDLGLARQKILEGRKGSNHGRHAVKSIQSEDGDIIDCIDIYKQPALDHPALRNHIIQMTPSYNPTMEETPASEHSSRIMTSQPWQKSGSCPKGTIPVRRTRNKGLLSNSGEGYWNKKPSYYNPVKEPCNEETPLSLQQINQMNHSKAILLTAGYNYAGVKGDIKVWNPHVESDDEYSTSRISLRNGPYFDFECVESGWAVNPSVYGDRQTRLYVYWTADASNSTGCFDITCPGFVQISNKIALGAAIYPISVFGGLPYQITLFMFKDPKTSNIWVQYGEKTNIGYWPRTLFTRLSQGAESAEWGGDVYSSKLRHSPHTKTAMGNGRFPDYITGNSGFVKRMRVLDISYNLKFPEWVGSQVDEYNCYRSTYVGDYIEDPEFYFGGPGRNLMCP >EOY33299 pep chromosome:Theobroma_cacao_20110822:9:36536242:36544952:1 gene:TCM_041254 transcript:EOY33299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum activated malate transporter family protein, putative MEVTSPEQESAGPLTRGCQWLKALPKEFCAKVMGIAKMAKKLGQDDPRRIIHSLKVGLALTLVSLFYYFKPLYDGFEDSALWAVLTVVVVFEFSVGATLGKGLNRMLATFVAGALGIGAHCLATLSGRTAEPILIAIFVFVIAAIVTFMRFFPRLKARYDYGLLIFILTFSLVSVSGYRDDQVLKMAHQRLSTIIVGSCISVIVCICICPVWIGEDLHNFVAANMEKLGNFLEAFGDEYFKVSEEPQSNVNKSFLQGYRSVLTSKSGEETMANLARWEPGHGPFGFRHPWKMYLKLGNLTRECAYKVEALNSYLNSKIQTPAEIRGKIQGPCEKASRESSKALKELASAFRKMVRTRSAILHIASSKTTAEELKNLLKQSVWGEADVLEIIPAASVASLLLEIIECIEKIAEAVYELAKVASFRNRDATVLPERPDLLHQGAVQQVSDIDMLHHVITIAE >EOY32836 pep chromosome:Theobroma_cacao_20110822:9:34008110:34013077:1 gene:TCM_040859 transcript:EOY32836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-imprinted in Prader-Willi/Angelman syndrome region protein isoform 2 MGLSQDNLKGVVLALLSSGFIGASFIIKKKGLRRAAAVSGVRAGCGGYAYLLEPLWWLGMITMIVGEVANFVAYAFAPAILVTPLGALSIIVSAVLAQFMLKEKLQPLGVLGCVMCISGSVVIVIHAPQESPITSVQEIWTMATQPAFLLYLGSVIVLVFLLIFHFVPRCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLLYPETWFFLFIVATCVITQMNYLNKDWDGQSMGSIISELCGFIAVLSGTILLNSTKDSDRGSSFRGGHAPLSPTLSTGLFSGNGETPKQDEENVLLSEEICLRKQELH >EOY32835 pep chromosome:Theobroma_cacao_20110822:9:34006913:34013777:1 gene:TCM_040859 transcript:EOY32835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-imprinted in Prader-Willi/Angelman syndrome region protein isoform 2 MGLSQDNLKGVVLALLSSGFIGASFIIKKKGLRRAAAVSGVRAGCGGYAYLLEPLWWLGMITMIVGEVANFVAYAFAPAILVTPLGALSIIVSAVLAQFMLKEKLQPLGVLGCVMCISGSVVIVIHAPQESPITSVQEIWTMATQPAFLLYLGSVIVLVFLLIFHFVPRCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLLYPETWFFLFIVATCVITQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDGQSMGSIISELCGFIAVLSGTILLNSTKDSDRGSSFRGGHAPLSPTLSTGLFSGNGETPKQDEENVLLSEEICLRKQELH >EOY31718 pep chromosome:Theobroma_cacao_20110822:9:13120464:13125799:-1 gene:TCM_038818 transcript:EOY31718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVRAFDGTRREMMGDIEMLIEIGPCTFTLEFQVMDIVPSYNYLLGWPWIHMAGAIPSSFYQKVKFIMDGKIVCINREEYLLIIKECLLNSPILVPHVIKRHLILYLTINKRSMGCVLGQHNEIGKKEKVVHYFSKKFTNYEFKHSPLEKMCYALAWTTHRLRQYM >EOY30338 pep chromosome:Theobroma_cacao_20110822:9:4257955:4266978:1 gene:TCM_037584 transcript:EOY30338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLSKVESRKKNSEDSSNGKFLNEIEAISKALYLDKNPSRTSISAFHTRFNKPAGKTHLPEQKSKPKNSKDDQSRKDKKSIWNWKPLKAFSNVRNRRFACCFSLQVHSIEGLPVNFNDLSLCVHWKRRDGGQVTCPAKVFDGTAEFEEKLTHTCSVYGSRSGPHHSAKYEAKHFLLYASVDGAPDLDLGKHRVDLTRLLPLTLEELEEEKSSGKWTTSFKLSGKAKGATLNVSFGYMVIGDNPIPAGNNQYDTKLSLMKQNNLSMGKGTMRRVESLPSLGNIKPLDSSHFVEEIKDLHEVLPVSILELDHTNMLDKKFDEDKSDVYAASQPEHNVLMEHVEPIKPPSSLASESSKENIEKETEDNHVSVVEKGIELSSEQAKLEEVSIVATGIPTVASPQVVGLNPGIGGNSEECSQLHSSNEESGSNQRNVLVVQDSNSKEDNQCSKESLMKELELALNSISNLEAALDSPDPEDPEDYMEDKANYKTNRKAKSLSLDEVTESVASEFLNMLGIDHSPFGLSSESEPESPRERLLRQFEKDTLASGCSLFDFDTPDGEEVECDFDTSTASGWGNFTEGFDLSSVIQDAEQEHQMELNGMSKTRAKVLEDLETEALMREWGLNEKAFQHSPGSSGGFGSPVDLLPEEPLELPSLGEGLGPFLQTKNGGFLRSMNPTLFSNAKSGGSLIMQVSSPVVVPADMGSGIMDILQRLASVGIEKLSMQANKLMPLEDITGKTMQQVAWEAAPTAPTLEGSERQCLLQHDFEVGQDVSGGQKKVKRRSSLPSSNKLSSTSVNEMGSDYVSLEDLAPLAMDKIEALSMEGLRIQSGMSDEDAPSNISAQSIGEISALQGKGFGISGSLGLEGAAGMQLLDIKDSGDDVDGLMGLSLTLGEWMRLDSGDIDDEDRISERTSKILAAHHATSLDLIRGGSKGEKRRGKKCGLLGNNFTVALMVQLRDPIRNYEPVGAPMLALIQVERVFVPPKPKIYSTVSALRNDNEENDDSECAVKQEVKKEEMKEEEASQEEGIPQFRITEVHVAGLKTEPGKKKLWGSKTQQQSGSRWLLANGMGKSNKHPLLKSKAASKPSTPSTTKVQPGDTLWSISSRIHGTGAKWKELAALNPHIRNPNVIFPNETIRLQ >EOY30340 pep chromosome:Theobroma_cacao_20110822:9:4262512:4266931:1 gene:TCM_037584 transcript:EOY30340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLSKVESRKKNSEDSSNGKFLNEIEAISKALYLDKNPSRTSISAFHTRFNKPAGKTHLPEQKSKPKNSKDDQSRKDKKSIWNWKPLKAFSNVRNRRFACCFSLQVHSIEGLPVNFNDLSLCVHWKRRDGGQVTCPAKVFDGTAEFEEKLTHTCSVYGSRSGPHHSAKYEAKHFLLYASVDGAPDLDLGKHRVDLTRLLPLTLEELEEEKSSGKWTTSFKLSGKAKGATLNVSFGYMVIGDNPIPAGNNQYDTKLSLMKQNNLSMGKGTMRRVESLPSLGNIKPLDSSHFVEEIKDLHEVLPVSILELDHTNMLDKKFDEDKSDVYAASQPEHNVLMEHVEPIKPPSSLASESSKENIEKETEDNHVSVVEKGIELSSEQAKLEEVSIVATGIPTVASPQVVGLNPGIGGNSEECSQLHSSNEESGSNQRNVLVVQDSNSKEDNQCSKESLMKELELALNSISNLEAALDSPDPEDPEDYMEDKANYKTNRKAKSLSLDEVTESVASEFLNMLGIDHSPFGLSSESEPESPRERLLRQFEKDTLASGCSLFDFDTPDGEEVECDFDTSTASGWGNFTEGFDLSSVIQDAEQEHQMELNGMSKTRAKVLEDLETEALMREWGLNEKAFQHSPGSSGGFGSPVDLLPEEPLELPSLGEGLGPFLQTKNGGFLRSMNPTLFSNAKSGGSLIMQVSSPVVVPADMGSGIMDILQRLASVGIEKLSMQANKLMPLEDITGKTMQQVAWEAAPTAPTLEGSERQCLLQHDFEVGQDVSGGQKKVKRRSSLPSSNKLSSTSVNEMGSDYVSLEDLAPLAMDKIEALSMEGLRIQSGMSDEDAPSNISAQSIGEISALQGKGFGISGSLGLEGAAGMQLLDIKDSGDDVDGLMGLSLTLGEWMRLDSGDIDDEDRISERTSKILAAHHATSLDLIRGGSKGEKRRGKKCGLLGNNFTVALMVQLRDPIRNYEPVGAPMLALIQVERVFVPPKPKIYSTVSALRNDNEENDDSECAVKQEVKKEEMKEEEASQEEGIPQFRITEVHVAGLKTEPGKKKLWGSKTQQQSGSRWLLANGMGKSNKHPLLKSKAASKPSTPSTTKVQPGDTLWSISSRIHGTGAKWKELAALNPHIRNPNVIFPNETIRLQ >EOY30339 pep chromosome:Theobroma_cacao_20110822:9:4257955:4266978:1 gene:TCM_037584 transcript:EOY30339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLSKVESRKKNSEDSSNGKFLNEIEAISKALYLDKNPSRTSISAFHTRFNKPAGKTHLPEQKSKPKNSKDDQSRKDKKSIWNWKPLKAFSNVRNRRFACCFSLQVHSIEGLPVNFNDLSLCVHWKRRDGGQVTCPAKVFDGTAEFEEKLTHTCSVYGSRSGPHHSAKYEAKHFLLYASVDGAPDLDLGKHRVDLTRLLPLTLEELEEEKSSGKWTTSFKLSGKAKGATLNVSFGYMVIGDNPIPAGNNQYDTKLSLMKQNNLSMGKGTMRRVESLPSLGNIKPLDSSHFVEEIKDLHEVLPVSILELDHTNMLDKKFDEDKSDVYAASQPEHNVLMEHVEPIKPPSSLASESSKENIEKETEDNHVSVVEKGIELSSEQAKLEEVSIVATGIPTVASPQVVGLNPGIGGNSEECSQLHSSNEESGSNQRNVLVVQDSNSKEDNQCSKESLMKELELALNSISNLEAALDSPDPEDPEDYMEDKANYKTNRKAKSLSLDEVTESVASEFLNMLGIDHSPFGLSSESEPESPRERLLRQFEKDTLASGCSLFDFDTPDGEEVECDFDTSTASGWGNFTEGFDLSSVIQDAEQEHQMELNGMSKTRAKVLEDLETEALMREWGLNEKAFQHSPGSSGGFGSPVDLLPEEPLELPSLGEGLGPFLQTKNGGFLRSMNPTLFSNAKSGGSLIMQVSSPVVVPADMGSGIMDILQRLASVGIEKLSMQANKLMPLEDITGKTMQQVAWEAAPTAPTLEGSERQCLLQHDFEVGQDVSGGQKKVKRRSSLPSSNKLSSTSVNEMGSDYVSLEDLAPLAMDKIEALSMEGLRIQSGMSDEDAPSNISAQSIGEISALQGKGFGISGSLGLEGAAGMQLLDIKDSGDDVDGLMGLSLTLGEWMRLDSGDIDDEDRISERTSKILAAHHATSLDLIRGGSKGEKRRGKKCGLLGNNFTVALMVQLRDPIRNYEPVGAPMLALIQVERVFVPPKPKIYSTVSALRNDNEENDDSECAVKQEVKKEEMKEEEASQEEGIPQFRITEVHVAGLKTEPGKKKLWGSKTQQQSGSRWLLANGMGKSNKHPLLKSKAASKPSTPSTTKVQPGDTLWSISSRIHGTGAKWKELAALNPHIRNPNVIFPNETIRLQ >EOY29933 pep chromosome:Theobroma_cacao_20110822:9:2850473:2853946:-1 gene:TCM_037312 transcript:EOY29933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding family protein isoform 2 MGLSLSFLLSAWKEILRHPVFGDTDTIEKVIVRSISLGRDDGEVTLKAKSFKMDDSESPINKFDRLNNYGDSKEPKLEASDSFDQGNANSVFSNDKANKIVIPIKPTIKLPEPVVMFSPRPVSELDAAATKLQKVYKSYRTRRNLADCAVVVEELWWKTLDSAALKRCSVSFYDKPETVISKWARAKTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDVWSASRSTQPFFYWLDIGDGKELNLNKCQRPDLQRQCIKYLGPNEREAFEVVVESGKLVYKQSGMLVDTIEGCKWIFVLSTSRSLYVGQKKKGVFQHSSFLSGGATTAAGRLVASQGVLEVTSCAMCKHQYTQLFSHRFCFEAKFFLFFLQAIWPYSGHYLPTEDNFKEFIIFLEEHNVDLTNVKRCAIDDEYTSFKAAGDESKHGQIKDPTAATPKSTDSKAVGVDGPATDACISTESLQRSVGSGAANVGAPAFNMAKRLSCKWTSGVGPRIGCVRDYPTQLQSEALEQVNLSPRATPGRFGNSGPIPSPRPSPKIRVSPRLAYMGLPSPRVSAMAAN >EOY29932 pep chromosome:Theobroma_cacao_20110822:9:2850167:2854031:-1 gene:TCM_037312 transcript:EOY29932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding family protein isoform 2 MGLSLSFLLSAWKEILRHPVFGDTDTIEKVIVRSISLGRDDGEVTLKAKSFKMDDSESPINKFDRLNNYGDSKEPKLEASDSFDQGNANSVFSNDKANKIVIPIKPTIKLPEPVVMFSPRPVSELDAAATKLQKVYKSYRTRRNLADCAVVVEELWWKTLDSAALKRCSVSFYDKPETVISKWARAKTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDVWSASRSTQPFFYWLDIGDGKELNLNKCQRPDLQRQCIKYLGPNEREAFEVVVESGKLVYKQSGMLVDTIEGCKWIFVLSTSRSLYVGQKKKGVFQHSSFLSGGATTAAGRLVASQGVLEAIWPYSGHYLPTEDNFKEFIIFLEEHNVDLTNVKRCAIDDEYTSFKAAGDESKHGQIKDPTAATPKSTDSKAVGVDGPATDACISTESLQRSVGSGAANVGAPAFNMAKRLSCKWTSGVGPRIGCVRDYPTQLQSEALEQVNLSPRATPGRFGNSGPIPSPRPSPKIRVSPRLAYMGLPSPRVSAMAAN >EOY29813 pep chromosome:Theobroma_cacao_20110822:9:2446761:2450638:1 gene:TCM_037230 transcript:EOY29813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase family protein isoform 2 MLPRLSFLSRSLHPTLSSPMASAISRATTTFSLERSLTTFSISRSPSNLSPIRYTARFDVPSLSKSPLFGRRFHALCREDGRRLWRPTRIVSALNRDYRKVRRRPAKSREKQLELSVSICIEEELPDDPEILSIAELLRLNVPTAMKLAFDRLKDTNYKTRDTVIQDFGGFESVELSVLLCNDEFIRKLNKEWRDEDHATDVLSMSEHVPELKLPILLLGDIVISVETAARQAEERGHTLIDEIRILMVHGLLHLLGFDHEISEDAEEEMEKEEEFLLKSLGWKGKGLIQSAYDAENLHMENSNDRKKEGSLRFYKPKFKYIFCDMDGTLLNSRSQVSLTNARALKEASSRGVKIVIATGKARPSAISVLQMVDLAGKDGILSEHSPGVFLQGLLVYGIKGREIFRRNLDPNVCREAGRYSLEHKVPLIAFCEDRCLTLFDHPLVDTLHTVYHEPKAEIMPSV >EOY29812 pep chromosome:Theobroma_cacao_20110822:9:2446761:2450425:1 gene:TCM_037230 transcript:EOY29812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase family protein isoform 2 MLPRLSFLSRSLHPTLSSPMASAISRATTTFSLERSLTTFSISRSPSNLSPIRYTARFDVPSLSKSPLFGRRFHALCREDGRRLWRPTRIVSALNRDYRKVRRRPAKSREKQLELSVSICIEEELPDDPEILSIAELLRLNVPTAMKLAFDRLKDTNYKTRDTVIQDFGGFESVELSVLLCNDEFIRKLNKEWRDEDHATDVLSMSEHVPELKLPILLLGDIVISVETAARQAEERGHTLIDEIRILMVHGLLHLLGFDHEISEDAEEEMEKEEEFLLKSLGWKGKGLIQSAYDAENLHMENSNDNMSKDRKKEGSLRFYKPKFKYIFCDMDGTLLNSRSQVSLTNARALKEASSRGVKIVIATGKARPSAISVLQMVDLAGKDGILSEHSPGVFLQGLLVYGIKGREIFRRNLDPNVCREVNISFA >EOY29811 pep chromosome:Theobroma_cacao_20110822:9:2446761:2452148:1 gene:TCM_037230 transcript:EOY29811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase family protein isoform 2 MLPRLSFLSRSLHPTLSSPMASAISRATTTFSLERSLTTFSISRSPSNLSPIRYTARFDVPSLSKSPLFGRRFHALCREDGRRLWRPTRIVSALNRDYRKVRRRPAKSREKQLELSVSICIEEELPDDPEILSIAELLRLNVPTAMKLAFDRLKDTNYKTRDTVIQDFGGFESVELSVLLCNDEFIRKLNKEWRDEDHATDVLSMSEHVPELKLPILLLGDIVISVETAARQAEERGHTLIDEIRILMVHGLLHLLGFDHEISEDAEEEMEKEEEFLLKSLGWKGKGLIQSAYDAENLHMENSNDNMSKDRKKEGSLRFYKPKFKYIFCDMDGTLLNSRSQVSLTNARALKEASSRGVKIVIATGKARPSAISVLQMVDLAGKDGILSEHSPGVFLQGLLVYGIKGREIFRRNLDPNVCREAGRYSLEHKVPLIAFCEDRCLTLFDHPLVDTLHTVYHEPKGYLIIWVSTQRRQWPLVMGKMTWKCLSWHL >EOY29810 pep chromosome:Theobroma_cacao_20110822:9:2446770:2452204:1 gene:TCM_037230 transcript:EOY29810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase family protein isoform 2 MLPRLSFLSRSLHPTLSSPMASAISRATTTFSLERSLTTFSISRSPSNLSPIRYTARFDVPSLSKSPLFGRRFHALCREDGRRLWRPTRIVSALNRDYRKVRRRPAKSREKQLELSVSICIEEELPDDPEILSIAELLRLNVPTAMKLAFDRLKDTNYKTRDTVIQDFGGFESVELSVLLCNDEFIRKLNKEWRDEDHATDVLSMSEHVPELKLPILLLGDIVISVETAARQAEERGHTLIDEIRILMVHGLLHLLGFDHEISEDAEEEMEKEEEFLLKSLGWKGKGLIQSAYDAENLHMENSNDNMSKDRKKEGSLRFYKPKFKYIFCDMDGTLLNSRSQVSLTNARALKEASSRGVKIVIATGKARPSAISVLQMVDLAGKDGILSEHSPGVFLQGLLVYGIKGREIFRRNLDPNVCREAGRYSLEHKVPLIAFCEDRCLTLFDHPLVDTLHTVYHEPKAEIMPSVEHLVAAADIQKLIFFDTPEGISTNLRPYWSEATRDRASVVQAVPDMLEIVPLGTSKGSGVKMLLDHLGVNAKETMAIGDGENDVEMLELASLGIALSNGTEKTKSVADVIGPSNDEDGVADAIYRYAF >EOY29809 pep chromosome:Theobroma_cacao_20110822:9:2446619:2452199:1 gene:TCM_037230 transcript:EOY29809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase family protein isoform 2 MLPRLSFLSRSLHPTLSSPMASAISRATTTFSLERSLTTFSISRSPSNLSPIRYTARFDVPSLSKSPLFGRRFHALCREDGRRLWRPTRIVSALNRDYRKVRRRPAKSREKQLELSVSICIEEELPDDPEILSIAELLRLNVPTAMKLAFDRLKDTNYKTRDTVIQDFGGFESVELSVLLCNDEFIRKLNKEWRDEDHATDVLSMSEHVPELKLPILLLGDIVISVETAARQAEERGHTLIDEIRILMVHGLLHLLGFDHEISEDAEEEMEKEEEFLLKSLGWKGKGLIQSAYDAENLHMENSNDNMSKDRKKEGSLRFYKPKFKYIFCDMDGTLLNSRSQVSLTNARALKEASSRGVKIVIATGKLAFFFILFHLAFCLLDEGFITSSSFDTCQARPSAISVLQMVDLAGKDGILSEHSPGVFLQGLLVYGIKGREIFRRNLDPNVCREAGRYSLEHKVPLIAFCEDRCLTLFDHPLVDTLHTVYHEPKAEIMPSVEHLVAAADIQKLIFFDTPEGISTNLRPYWSEATRDRASVVQAVPDMLEIVPLGTSKGSGVKMLLDHLGVNAKETMAIGDGENDVEMLELASLGIALSNGTEKTKSVADVIGPSNDEDGVADAIYRYAF >EOY33604 pep chromosome:Theobroma_cacao_20110822:9:38232409:38238479:-1 gene:TCM_041534 transcript:EOY33604 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-apiose/UDP-D-xylose synthase 2 MSIETDSSLTTYPPFPSPFYPSSALSLSLSLSLSLPFASYFPVTSGLRWQTPPSKRSLKKPVSFEMATRMDLDGKPIKPITICMIGAGGFIGSHLCEKLMAETPHKVLALDVYNDKIKHLLEPDSLPWADRIQFHRLNIKHDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDSPLRQDPAYYVLKEDESPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVLLMIENPDRANGHIFNVGNPNNEVTVRQLAEMMTEVYTKVSGEPSLEVPTIDISSKEFYGEGYDDSDKRIPDMTIINRQLGWNPKTSLWDLLESTLTYQHRTYAEAIKKSMAKPTAS >EOY30419 pep chromosome:Theobroma_cacao_20110822:9:4549474:4567500:-1 gene:TCM_037639 transcript:EOY30419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MFTPHRRSHLRSGTYHNLVRLISLCYGDSPLATAPPIIPVHRTPDNGSKQGEPGGNDIGEPGESIVQNSSEPANLPAQGGTVVDADFKGAVFKDSGFSDTQMVIDEIEQIMQIEESQNFVNGNDLKVCSSTEGTGFQDGEIGPEQSLMNELENIMKGNEDYDNRNNCLATSLGQNLGDNCLGVSMNNQEERIELPLVVAEESGTAVQPQVFMEGDNQKIIEAFDFSSDKSLIVEVSKSSEKAEDQSSLLKSNSMHEKIGIQQKEMELEKFVSSNDRMPSPGQMVENGELEEGEIFGDIQLVNESVDILLEDAVVSEKKGEEKQVDPFDDKGLHCNEESTANNKDSEITSFNMNIAQIANRVSDVELEGSERDHMVSELGRMRRKKGTKQSGDENHIIKSKKKKDDGVCNKKNQGSNLAEKKEKKKRNKRKKRAEKNQQLGVKRLKLQTVLKPKSVTYCRHYLKGRCYEGEKCKYSHDTVPLTKSQPCSHFARQSCMKGDECPFDHQLSKYHCINYMTKGFCGRGDDCLFSHKMSPEGGVASLSSARELQLKSSLHGDSEMRLSISKNVDASSCSIRAFSQKSKEQIVAGTPTKTPDLACKGVNSLFVSKSSMAESSKLNRGSSSQKMKESGEVGIQSNLSTSGVNSPCASKPSLKMNKSGRLGIQANQSESGTIQNVNDSSTRKTEVVPRGINFLSFGKSSLEDTTSKVSLALNRGVGCKQHPSENEGDRGKLSNQTTQSTSSTDQKKTHPAVVPLGINFLSLGKSGNSRSNEASMPSSSDIVNNGSLQKSNYASDKRRNSSEISYRSPVSPQTSGQSSEWLSHKNTPNTAQKAIISTLAFAKKFDDVNSQSFHESQHLSDKQYSSNPIPWKMAAYPLALGQSSEKISHKNTPNSVQKALMSTLAFAAKFESGMKKNQSAVSTGVKSETRDSRNDEGSKSDLAETSKLLDILSSVGRKIKQM >EOY30418 pep chromosome:Theobroma_cacao_20110822:9:4550118:4556337:-1 gene:TCM_037639 transcript:EOY30418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MFTPHRRSHLRSGTYHNLVRLISLCYGDSPLATAPPIIPVHRTPDNGSKQGEPGGNDIGEPGESIVQNSSEPANLPAQGGTVVDADFKGAVFKDSGFSDTQMVIDEIEQIMQIEESQNFVNGNDLKVCSSTEGTGFQDGEIGPEQSLMNELENIMKGNEDYDNRNNCLATSLGQNLGDNCLGVSMNNQEERIELPLVVAEESGTAVQPQVFMEGDNQKIIEAFDFSSDKSLIVEVSKSSEKAEDQSSLLKSNSMHEKIGIQQKEMELEKFVSSNDRMPSPGQMVENGELEEGEIFGDIQLVNESVDILLEDAVVSEKKGEEKQVDPFDDKGLHCNEESTANNKDSEITSFNMNIAQIANRVSDVELEGSERDHMVSELGRMRRKKGTKQSGDENHIIKSKKKKDDGVCNKKNQGSNLAEKKEKKKRNKRKKRAEKNQQLGVKRLKLQTVLKPKSVTYCRHYLKGRCYEGEKCKYSHDTVPLTKSQPCSHFARQSCMKGDECPFDHQLSKYHCINYMTKGFCGRGDDCLFSHKMSPEGGVASLSSARELQLKSSLHGDSEMRLSISKNVDASSCSIRAFSQKSKEQIVAGTPTKTPDLACKGVNSLFVSKSSMAESSKLNRGSSSQKMKESGEVGIQSNLSTSGVNSPCASKPSLKMNKSGRLGIQANQSESGTIQNVNDSSTRKTEVVPRGINFLSFGKSSLEDTTSKVSLALNRGVGCKQHPSENEGDRGKLSNQTTQSTSSTDQKKTHPAVVPLGINFLSLGKSGNSRSNEASMPSSSDIVNNGSLQKSNYASDKRRNSSEISYRSPVSPQTSGQSSEWLSHKNTPNTAQKAIISTLAFAKKFDDVNSQSFHESQHLSDKQYSSNPIPWKMAAYPLALGQSSEKISHKNTPNSVQKALMSTLAFAAKFESGMKKNQSAVSTGVKSETRDSRNDEGSKSDLAETSKLLDILSSVGRKIKQM >EOY30417 pep chromosome:Theobroma_cacao_20110822:9:4549474:4567500:-1 gene:TCM_037639 transcript:EOY30417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MFTPHRRSHLRSGTYHNLVRLISLCYGDSPLATAPPIIPVHRTPDNGSKQGEPGGNDIGEPGESIVQNSSEPANLPAQGGTVVDADFKGAVFKDSGFSDTQMVIDEIEQIMQIEESQNFVNGNDLKVCSSTEGTGFQDGEIGPEQSLMNELENIMKGNEDYDNRNNCLATSLGQNLGDNCLGVSMNNQEERIELPLVVAEESGTAVQPQVFMEGDNQKIIEAFDFSSDKSLIVEVSKSSEKAEDQSSLLKSNSMHEKIGIQQKEMELEKFVSSNDRMPSPGQMVENGELEEGEIFGDIQLVNESVDILLEDAVVSEKKGEEKQVDPFDDKGLHCNEESTANNKDSEITSFNMNIAQIANRVSDVELEGSERDHMVSELGRMRRKKGTKQSGDENHIIKSKKKKDDGVCNKKNQGSNLAEKKEKKKRNKRKKRAEKNQQLGVKRLKLQTVLKPKSVTYCRHYLKGRCYEGEKCKYSHDTVPLTKSQPCSHFARQSCMKGDECPFDHQLSKYHCINYMTKGFCGRGDDCLFSHKMSPEGGVASLSSARELQLKSSLHGDSEMRLSISKNVDASSCSIRAFSQKSKEQIVAGTPTKTPDLACKGVNSLFVSKSSMAESSKLNRGSSSQKMKESGEVGIQSNLSTSGVNSPCASKPSLKMNKSGRLGIQANQSESGTIQNVNDSSTRKTEVVPRGINFLSFGKSSLEDTTSKVSLALNRGVGCKQHPSENEGDRGKLSNQTTQSTSSTDQKKTHPAVVPLGINFLSLGKSGNSRSNEASMPSSSDIVNNGSLQKSNYASDKRRNSSEISYRSPVSPQTSGQSSEWLSHKNTPNTAQKAIISTLAFAKKFDDVNSQSFHESQHLSDKQYSSNPIPWKMAAYPLALGQSSEKISHKNTPNSVQKALMSTLAFAAKFESGMKKNQSAVSTGVKSETRDSRNDEGSKSDLAETSKLLDILSSVGRKIKQM >EOY30416 pep chromosome:Theobroma_cacao_20110822:9:4549902:4567500:-1 gene:TCM_037639 transcript:EOY30416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MFTPHRRSHLRSGTYHNLVRLISLCYGDSPLATAPPIIPVHRTPDNGSKQGEPGGNDIGEPGESIVQNSSEPANLPAQGGTVVDADFKGAVFKDSGFSDTQMVIDEIEQIMQIEESQNFVNGNDLKVCSSTEGTGFQDGEIGPEQSLMNELENIMKGNEDYDNRNNCLATSLGQNLGDNCLGVSMNNQEERIELPLVVAEESGTAVQPQVFMEGDNQKIIEAFDFSSDKSLIVEVSKSSEKAEDQSSLLKSNSMHEKIGIQQKEMELEKFVSSNDRMPSPGQMVENGELEEGEIFGDIQLVNESVDILLEDAVVSEKKGEEKQVDPFDDKGLHCNEESTANNKDSEITSFNMNIAQIANRVSDVELEGSERDHMVSELGRMRRKKGTKQSGDENHIIKSKKKKDDGVCNKKNQGSNLAEKKEKKKRNKRKKRAEKNQQLGVKRLKLQTVLKPKSVTYCRHYLKGRCYEGEKCKYSHDTVPLTKSQPCSHFARQSCMKGDECPFDHQLSKYHCINYMTKGFCGRGDDCLFSHKMSPEGGVASLSSARELQLKSSLHGDSEMRLSISKNVDASSCSIRAFSQKSKEQIVAGTPTKTPDLACKGVNSLFVSKSSMAESSKLNRGSSSQKMKESGEVGIQSNLSTSGVNSPCASKPSLKMNKSGRLGIQANQSESGTIQNVNDSSTRKTEVVPRGINFLSFGKSSLEDTTSKVSLALNRGVGCKQHPSENEGDRGKLSNQTTQSTSSTDQKKTHPAVVPLGINFLSLGKSGNSRSNEASMPSSSDIVNNGSLQKSNYASDKRRNSSEISYRSPVSPQTSGQSSEWLSHKNTPNTAQKAIISTLAFAKKFDDVNSQSFHESQHLSDKQYSSNPIPWKMAAYPLALGQSSEKISHKNTPNSVQKALMSTLAFAAKFESGMKKNQSAVSTGVKSETRDSRNDEGSKSDLAETSKLLDILSSVGRKIKQM >EOY30987 pep chromosome:Theobroma_cacao_20110822:9:6585070:6586425:1 gene:TCM_038027 transcript:EOY30987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-93 isoform 2 MAKNVAQSATLASLDQKLAMAKRCSHEGVLAGAKAAVVASIATAIPTLASVRMLPWARANLNHTAQALIISTVAGAAYFIVADKTVLATARKNSFKHVPNMEA >EOY30988 pep chromosome:Theobroma_cacao_20110822:9:6584873:6586356:1 gene:TCM_038027 transcript:EOY30988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-93 isoform 2 MLYKYPCNSNDLFRQIFKNILAMAKRCSHEGVLAGAKAAVVASIATAIPTLASVRMLPWARANLNHTAQALIISTVAGAAYFIVADKTVLATARKNSFKHVPNMEA >EOY32641 pep chromosome:Theobroma_cacao_20110822:9:32355797:32368890:-1 gene:TCM_040658 transcript:EOY32641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine dehydrogenase 1 isoform 1 MGSLKNEEEMEQIVEESKEAILYVNGVRKVLPDGLAHLTLLEYLRDFGLTGTKLGCGEGGCGACTVMISHYDRKLKKCVHYAVNACLAPLYSVEGMHVITVEGVGNHKRGLHPIQKSLERYHGSQCGFCTPGFIMSLYALLRSSQTPPTEEQIEESLAGNLCRCTGYRPIVDAFRVFAKTDDALYADISSLSLQGGEFVCPSTGKPCSCGSKTVNDIDTNGQSICSATYKPVSYSEVDGSTYTDKELIFPPELLLRKLTPLSLSGLGGLKWYRPLTVKYVLELKEKYPNAKLLVGNTEVGVEMRLKRIQYQVFISVTHVPELNMLNVKEDGIEIGAAVRLTELLNLLREVVTQHPAHETSACKAFIEQLKWFAGTQIKNVASVGGNVCTASPISDLNPLWMAARAKFRIINCKGNIRTALAEKFFLGYRKVDLAGDEILLSVFLPWTRCFEYVKEFKQAHRRDDDIAIVNAGMRVCLEEKGEEWVVSDASIAYGGVAPLSLCAIKTKEFLIGKKWNQDVLRGALNVLRTDILIKEDAPGGMVEFRKSLTLSFFFKFFLWVLHQIEGKKPIKEKVLLSHLSAIKSLHRPPLVASQDYEIKKHGTSVGSPEVHLSSRLQVTGEAEYTDDTPMPPNGLHAAFVLSKKPHARILAIDDSGAKSSPGFAGIFFAKDVPGSNEIGPVVMDEELFASEFVTCVGQVIGVVVADTHENAKRAAGKVHVEYEELPAILSIEDAVSAKSFHPNTEKLLRKGDVDLCFQSDQCDKIIEGKVQVGGQEHFYLEPHSSLVWTMDGGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSAFLAAAAAIPSYLMNRPVKITLDRDIDMMTSGQRHSFLGKYKVGFTNNGKVLALDLQIYNNAGNSLDLSLAILERAMFHSDNVYEIPNVRIFGSVCFTNFPSHTAFRGFGGPQGMLIAENWIQRIALELKKSPEEIREMNFQGEGSILHYGQQLEHCTLAQLWNELKLSCDFLKARDEVDQFNLHNRWKKRGVAMIPTKFGISFTTKFMNQAGALVNVYTDGTVLVTHGGVEMGQGLHTKVAQVAASAFNISLSSVFISETSTDKVPNASPTAASASSDMYAAAVLDACEQIKARMEPIASQRNFSSFAELATACYLERIDLSAHGFYITPDIGFDWSIGKGKPFRYYTYGAAFTEVEIDTLTGDFHTRTANV >EOY32640 pep chromosome:Theobroma_cacao_20110822:9:32357213:32368622:-1 gene:TCM_040658 transcript:EOY32640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine dehydrogenase 1 isoform 1 MGSLKNEEEMEQIVEESKEAILYVNGVRKVLPDGLAHLTLLEYLRDFGLTGTKLGCGEGGCGACTVMISHYDRKLKKCVHYAVNACLAPLYSVEGMHVITVEGVGNHKRGLHPIQKSLERYHGSQCGFCTPGFIMSLYALLRSSQTPPTEEQIEESLAGNLCRCTGYRPIVDAFRVFAKTDDALYADISSLSLQGGEFVCPSTGKPCSCGSKTVNDIDTNGQSICSATYKPVSYSEVDGSTYTDKELIFPPELLLRKLTPLSLSGLGGLKWYRPLTVKYVLELKEKYPNAKLLVGNTEVGVEMRLKRIQYQVFISVTHVPELNMLNVKEDGIEIGAAVRLTELLNLLREVVTQHPAHETSACKAFIEQLKWFAGTQIKNVASVGGNVCTASPISDLNPLWMAARAKFRIINCKGNIRTALAEKFFLGYRKVDLAGDEILLSVFLPWTRCFEYVKEFKQAHRRDDDIAIVNAGMRVCLEEKGEEWVVSDASIAYGGVAPLSLCAIKTKEFLIGKKWNQDVLRGALNVLRTDILIKEDAPGGMVEFRKSLTLSFFFKFFLWVLHQIEGKKPIKEKVLLSHLSAIKSLHRPPLVASQDYEIKKHGTSVGSPEVHLSSRLQVTGEAEYTDDTPMPPNGLHAAFVLSKKPHARILAIDDSGAKSSPGFAGIFFAKDVPGSNEIGPVVMDEELFASEFVTCVGQVIGVVVADTHENAKRAAGKVHVEYEELPAILSIEDAVSAKSFHPNTEKLLRKGDVDLCFQSDQCDKIIEGKVQVGGQEHFYLEPHSSLVWTMDGGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSAFLAAAAAIPSYLMNRPVKITLDRDIDMMTSGQRHSFLGKYKVGFTNNGKVLALDLQIYNNAGNSLDLSLAILERAMFHSDNVYEIPNVRIFGSVCFTNFPSHTAFRGFGGPQGMLIAENWIQRIALELKKSPEEIREMNFQGEGSILHYGQQLEHCTLAQLWNELKLSCDFLKARDEVDQFNLHNRWKKRGVAMIPTKFGISFTTKFMNQAGALVNVYTDGTVLVTHGGVEMGQGLHTKVAQVAASAFNISLSSVFISETSTDKVPNASPTAASASSDMYAAAVLDACEQIKARMEPIASQRNFSSFAE >EOY32639 pep chromosome:Theobroma_cacao_20110822:9:32355964:32368890:-1 gene:TCM_040658 transcript:EOY32639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine dehydrogenase 1 isoform 1 MGSLKNEEEMEQIVEESKEAILYVNGVRKVLPDGLAHLTLLEYLRDFGLTGTKLGCGEGGCGACTVMISHYDRKLKKCVHYAVNACLAPLYSVEGMHVITVEGVGNHKRGLHPIQKSLERYHGSQCGFCTPGFIMSLYALLRSSQTPPTEEQIEESLAGNLCRCTGYRPIVDAFRVFAKTDDALYADISSLSLQGGEFVCPSTGKPCSCGSKTVNDIDTNGQSICSATYKPVSYSEVDGSTYTDKELIFPPELLLRKLTPLSLSGLGGLKWYRPLTVKYVLELKEKYPNAKLLVGNTEVGVEMRLKRIQYQVFISVTHVPELNMLNVKEDGIEIGAAVRLTELLNLLREVVTQHPAHETSACKAFIEQLKWFAGTQIKNVASVGGNVCTASPISDLNPLWMAARAKFRIINCKGNIRTALAEKFFLGYRKVDLAGDEILLSVFLPWTRCFEYVKEFKQAHRRDDDIAIVNAGMRVCLEEKGEEWVVSDASIAYGGVAPLSLCAIKTKEFLIGKKWNQDVLRGALNVLRTDILIKEDAPGGMVEFRKSLTLSFFFKFFLWVLHQIEGKKPIKEKVLLSHLSAIKSLHRPPLVASQDYEIKKHGTSVGSPEVHLSSRLQVTGEAEYTDDTPMPPNGLHAAFVLSKKPHARILAIDDSGAKSSPGFAGIFFAKDVPGSNEIGPVVMDEELFASEFVTCVGQVIGVVVADTHENAKRAAGKVHVEYEELPAILSIEDAVSAKSFHPNTEKLLRKGDVDLCFQSDQCDKIIEGKVQVGGQEHFYLEPHSSLVWTMDGGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSAFLAAAAAIPSYLMNRPVKITLDRDIDMMTSGQRHSFLGKYKVGFTNNGKVLALDLQIYNNAGNSLDLSLAILERAMFHSDNVYEIPNVRIFGSVCFTNFPSHTAFRGFGGPQGMLIAENWIQRIALELKKSPEEIREMNFQGEGSILHYGQQLEHCTLAQLWNELKLSCDFLKARDEVDQFNLHNRWKKRGVAMIPTKFGISFTTKFMNQAGALVNVYTDGTVLVTHGGVEMGQGLHTKVAQVAASAFNISLSSVFISETSTDKVPNASPTAASASSDMYAAAVLDACEQIKARMEPIASQRNFSSFAEIVRDSSIVRKKGKKVPLSTTNCNVRQFTLSRVSNSLPI >EOY32637 pep chromosome:Theobroma_cacao_20110822:9:32352781:32368905:-1 gene:TCM_040658 transcript:EOY32637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine dehydrogenase 1 isoform 1 MGSLKNEEEMEQIVEESKEAILYVNGVRKVLPDGLAHLTLLEYLRDFGLTGTKLGCGEGGCGACTVMISHYDRKLKKCVHYAVNACLAPLYSVEGMHVITVEGVGNHKRGLHPIQKSLERYHGSQCGFCTPGFIMSLYALLRSSQTPPTEEQIEESLAGNLCRCTGYRPIVDAFRVFAKTDDALYADISSLSLQGGEFVCPSTGKPCSCGSKTVNDIDTNGQSICSATYKPVSYSEVDGSTYTDKELIFPPELLLRKLTPLSLSGLGGLKWYRPLTVKYVLELKEKYPNAKLLVGNTEVGVEMRLKRIQYQVFISVTHVPELNMLNVKEDGIEIGAAVRLTELLNLLREVVTQHPAHETSACKAFIEQLKWFAGTQIKNVASVGGNVCTASPISDLNPLWMAARAKFRIINCKGNIRTALAEKFFLGYRKVDLAGDEILLSVFLPWTRCFEYVKEFKQAHRRDDDIAIVNAGMRVCLEEKGEEWVVSDASIAYGGVAPLSLCAIKTKEFLIGKKWNQDVLRGALNVLRTDILIKEDAPGGMVEFRKSLTLSFFFKFFLWVLHQIEGKKPIKEKVLLSHLSAIKSLHRPPLVASQDYEIKKHGTSVGSPEVHLSSRLQVTGEAEYTDDTPMPPNGLHAAFVLSKKPHARILAIDDSGAKSSPGFAGIFFAKDVPGSNEIGPVVMDEELFASEFVTCVGQVIGVVVADTHENAKRAAGKVHVEYEELPAILSIEDAVSAKSFHPNTEKLLRKGDVDLCFQSDQCDKIIEGKVQVGGQEHFYLEPHSSLVWTMDGGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSAFLAAAAAIPSYLMNRPVKITLDRDIDMMTSGQRHSFLGKYKVGFTNNGKVLALDLQIYNNAGNSLDLSLAILERAMFHSDNVYEIPNVRIFGSVCFTNFPSHTAFRGFGGPQGMLIAENWIQRIALELKKSPEEIREMNFQGEGSILHYGQQLEHCTLAQLWNELKLSCDFLKARDEVDQFNLHNRWKKRGVAMIPTKFGISFTTKFMNQAGALVNVYTDGTVLVTHGGVEMGQGLHTKVAQVAASAFNISLSSVFISETSTDKVPNASPTAASASSDMYAAAVLDACEQIKARMEPIASQRNFSSFAELATACYLERIDLSAHGFYITPDIGFDWSIGKGKPFRYYTYGAAFTEVEIDTLTGDFHTRTANVFMDLGYSLNPAIDVGQVEGAFIQGLGWVALEELKWGDAAHKWIPPGCLYTCGPGSYKIPSLNDIPFNFNVSLLKGHPNVKAIHSSKAVGEPPFFLASAVFFAIKDAIIAARAETGHTGWFPLDNPATPERIRMACLDEFTAPFISSDFHPKLSI >EOY32638 pep chromosome:Theobroma_cacao_20110822:9:32353964:32368890:-1 gene:TCM_040658 transcript:EOY32638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine dehydrogenase 1 isoform 1 MGSLKNEEEMEQIVEESKEAILYVNGVRKVLPDGLAHLTLLEYLRDFGLTGTKLGCGEGGCGACTVMISHYDRKLKKCVHYAVNACLAPLYSVEGMHVITVEGVGNHKRGLHPIQKSLERYHGSQCGFCTPGFIMSLYALLRSSQTPPTEEQIEESLAGNLCRCTGYRPIVDAFRVFAKTDDALYADISSLSLQGGEFVCPSTGKPCSCGSKTVNDIDTNGQSICSATYKPVSYSEVDGSTYTDKELIFPPELLLRKLTPLSLSGLGGLKWYRPLTVKYVLELKEKYPNAKLLVGNTEVGVEMRLKRIQYQVFISVTHVPELNMLNVKEDGIEIGAAVRLTELLNLLREVVTQHPAHETSACKAFIEQLKWFAGTQIKNVASVGGNVCTASPISDLNPLWMAARAKFRIINCKGNIRTALAEKFFLGYRKVDLAGDEILLSVFLPWTRCFEYVKEFKQAHRRDDDIAIVNAGMRVCLEEKGEEWVVSDASIAYGGVAPLSLCAIKTKEFLIGKKWNQDVLRGALNVLRTDILIKEDAPGGMVEFRKSLTLSFFFKFFLWVLHQIEGKKPIKEKVLLSHLSAIKSLHRPPLVASQDYEIKKHGTSVGSPEVHLSSRLQVTGEAEYTDDTPMPPNGLHAAFVLSKKPHARILAIDDSGAKSSPGFAGIFFAKDVPGSNEIGPVVMDEELFASEFVTCVGQVIGVVVADTHENAKRAAGKVHVEYEELPAILSIEDAVSAKSFHPNTEKLLRKGDVDLCFQSDQCDKIIEGKVQVGGQEHFYLEPHSSLVWTMDGGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSAFLAAAAAIPSYLMNRPVKITLDRDIDMMTSGQRHSFLGKYKVGFTNNGKVLALDLQIYNNAGNSLDLSLAILERAMFHSDNVYEIPNVRIFGSVCFTNFPSHTAFRGFGGPQGMLIAENWIQRIALELKKSPEEIREMNFQGEGSILHYGQQLEHCTLAQLWNELKLSCDFLKARDEVDQFNLHNRWKKRGVAMIPTKFGISFTTKFMNQAGALVNVYTDGTVLVTHGGVEMGQGLHTKVAQVAASAFNISLSSVFISETSTDKFWMHASRSRHGWSLLLLNVILVLLLSWPLHVTWSE >EOY32307 pep chromosome:Theobroma_cacao_20110822:9:26290736:26296190:1 gene:TCM_040041 transcript:EOY32307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme family protein isoform 1 FENKKKKKEKAVKRCVGRILSASESNKGEQEQEHYYSPSEEWGKIILVMMIGGGRSSSSSTTATAAGGTWVSTTSVSTSGKRIQREMSELNVDPPPHCSAGPKGDNLYHWVATIIGPPGTPYQGGIFFLDITFPSDYPFKPPKVVVFKTRIYHCNVDAAGNLSLDILKDSWSPALTVTKVLTAIKSIFTNPDPYNPHVSGIARLYLTDKAKHDEIAAEWTLRFAK >EOY32306 pep chromosome:Theobroma_cacao_20110822:9:26290736:26296190:1 gene:TCM_040041 transcript:EOY32306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme family protein isoform 1 MMIGGGRSSSSSTTATAAGGTWVSTTSVSTSGKRIQREMSELNVDPPPHCSAGPKGDNLYHWVATIIGPPGTPYQGGIFFLDITFPSDYPFKPPKVVFKTRIYHCNVDAAGNLSLDILKDSWSPALTVTKVLTAIKSIFTNPDPYNPHVSGIARLYLTDKAKHDEIAAEWTLRFAK >EOY31645 pep chromosome:Theobroma_cacao_20110822:9:10814112:10818085:1 gene:TCM_038623 transcript:EOY31645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain alpha-keto acid decarboxylase E1 beta subunit, BETA1 isoform 4 MRPFSTATCDIENQQSAKSINLYSAINQALHIALETDPRTFVFGEDVSFGGVFRCTTGLADRFGKSRVFNTPLCEQGIVGFGIGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPQQAKGLLLSCIRDPNPVVFFEPKWLYRLAVEEVPEHDYMLPLSKAEVIREGSDITLVGWGAQLSIMEQACIDAEKDGISCELIDLKTLIPWDKETVEASVRKTGRLLVSHEAPVTGGFGAEISASIVERCFLRVSNFIYFVLLIEYYREPIQFFFIESQAFHCTNNLILAPIILNILASTLKTQKEENEKNKKVQTMLTCLCGDKRMHAAEWN >EOY31644 pep chromosome:Theobroma_cacao_20110822:9:10814112:10818085:1 gene:TCM_038623 transcript:EOY31644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain alpha-keto acid decarboxylase E1 beta subunit, BETA1 isoform 4 MRPFSTATCDIENQQSAKSINLYSAINQALHIALETDPRTFVFGEDVSFGGVFRCTTGLADRFGKSRVFNTPLCEQGIVGFGIGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPQQAKGLLLSCIRDPNPVVFFEPKWLYRLAVEEVPEHDYMLPLSKAEVIREGSDITLVGWGAQLSIMEQACIDAEKDGISCELIDLKTLIPWDKETVEASVRKTGRLLVSHEAPVTGGFGAEISASIVERCFLRAFHCTNNLILAPIILNILASTLKTQKEENEKNKKVQTMLTCLCGDKRMHAAEWN >EOY31642 pep chromosome:Theobroma_cacao_20110822:9:10814253:10818855:1 gene:TCM_038623 transcript:EOY31642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain alpha-keto acid decarboxylase E1 beta subunit, BETA1 isoform 4 ALETDPRTFVFGEDVSFGGVFRCTTGLADRFGKSRVFNTPLCEQGIVGFGIGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPQQAKGLLLSCIRDPNPVVFFEPKWLYRLAVEEVPEHDYMLPLSKAEVIREGSDITLVGWGAQLSIMEQACIDAEKDGISCELIDLKTLIPWDKETVEASVRKTGRLLS >EOY31641 pep chromosome:Theobroma_cacao_20110822:9:10813985:10819017:1 gene:TCM_038623 transcript:EOY31641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain alpha-keto acid decarboxylase E1 beta subunit, BETA1 isoform 4 MATALRNIGRRVGLPLCRMRPFSTATCDIENQQSAKSINLYSAINQALHIALETDPRTFVFGEDVSFGGVFRCTTGLADRFGKSRVFNTPLCEQGIVGFGIGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPQQAKGLLLSCIRDPNPVVFFEPKWLYRLAVEEVPEHDYMLPLSKAEVIREGSDITLVGWGAQLSIMEQACIDAEKDGISCELIDLKTLIPWDKETVEASVRKTGRLLVSHEAPVTGGFGAEISASIVERCFLRLEAPVARVCGLDTPFPLVFEPFYMPTKNKILDAIKATVNY >EOY31643 pep chromosome:Theobroma_cacao_20110822:9:10814112:10818855:1 gene:TCM_038623 transcript:EOY31643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain alpha-keto acid decarboxylase E1 beta subunit, BETA1 isoform 4 MRPFSTATCDIENQQSAKSINLYSAINQALHIALETDPRTFVFGEDVSFGGVFRCTTGLADRFGKSRVFNTPLCEQGIVGFGIGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPQQAKGLLLSCIRDPNPVVFFEPKWLYRLAVEEVPEHDYMLPLSKAEVIREGSDITLVGWGAQLSIMEQACIDAEKDGISCELIDLKTLIPWDKETVEASVRKTGRLLVSIMPL >EOY32152 pep chromosome:Theobroma_cacao_20110822:9:22326405:22327276:-1 gene:TCM_039720 transcript:EOY32152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSTVNVVTNELMPKPRSEWTEAETKKVQINFKAINTLHCALTPTEFNKVSSCTTTKQVWEKLRIIHERTSQVKESKIALLTHSYEMFKMEPGEDITSMFDRFTNITNKLSKLGKPIPEHEFVKKLLRCLPKLWKPKVTAIREAKDLNIITLDEIRGFFLTHELELKEEEE >EOY30555 pep chromosome:Theobroma_cacao_20110822:9:4962830:4966808:-1 gene:TCM_037723 transcript:EOY30555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein isoform 2 MAFLKWVFLIFSLYSSMPTPISSNPSLNKEALAQIPINFLEFAKKPEILDWMVGLRRKIHENPELGFEEYETSKLIRAELDQMGIPYKYPVAVTGVIGYIGTGQPPFVALRADMDALAMEELVEWEHKSKVPGKMHACGHDAHVAMLLGAAKMLQEHQNDLQQGTIVLVFQPAEEGGGGAKKMLDAGALENVDAIFALHVSSRFPVGVVASRPGPILAATSFFEAVINGKGGHAAIPQHTVDPILAASNVIVSLQHLISREADPLDSQVVSIGKFQGGGAFNVIPDSVTIGGTFRAFSKESFVQLKQRIEEVRFFLTSNLYSFIVDNQEN >EOY30556 pep chromosome:Theobroma_cacao_20110822:9:4964483:4966636:-1 gene:TCM_037723 transcript:EOY30556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein isoform 2 MAFLKWVFLIFSLYSSMPTPISSNPSLNKEALAQIPINFLEFAKKPEILDWMVGLRRKIHENPELGFEEYETSKLIRAELDQMGIPYKYPVAVTGVIGYIGTGQPPFVALRADMDALAMEELVEWEHKSKVPGKMHACGHDAHVAMLLGAAKMLQEHQNDLQQGTIVLVFQPAEEGGGGAKKMLDAGALENVDAIFALHVSSRFPVGVVASRPGPILAATSFFEAVINGKGGHAAIPQHTVDPILAASNVIVSLQHLISREADPLDSQVVSIGKFQGGGAFNVIPDSVTIGGTFRAFSKESFVQLKQRIEEVRFFLTSNLYSFIVDNQEN >EOY30558 pep chromosome:Theobroma_cacao_20110822:9:4962153:4966808:-1 gene:TCM_037723 transcript:EOY30558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein isoform 2 MAFLKWVFLIFSLYSSMPTPISSNPSLNKEALAQIPINFLEFAKKPEILDWMVGLRRKIHENPELGFEEYETSKLIRAELDQMGIPYKYPVAVTGVIGYIGTGQPPFVALRADMDALAMEELVEWEHKSKVPGKMHACGHDAHVAMLLGAAKMLQEHQNDLQQGTIVLVFQPAEEGGGGAKKMLDAGALENVDAIFALHVSSRFPVGVVASRPGPILAATSFFEAVINGKGGHAAIPQHTVDPILAASNVIVSLQHLISREADPLDSQVVSIGKFQGGGAFNVIPDSVTIGGTFRAFSKESFVQLKQRIEEVIMKQASVQRCNATVIFDEKSSYPVSLNNKELHEYFRKVAGDMLGLENIVEMQPIMGGEDFAFFSEAIPGLFFFLGMKNETQGQFHSGHSPYYRVNEDVLPYGAVLHASLATRYLLENLPKHTSPPKGSYHDEL >EOY30557 pep chromosome:Theobroma_cacao_20110822:9:4962830:4966808:-1 gene:TCM_037723 transcript:EOY30557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein isoform 2 MAFLKWVFLIFSLYSSMPTPISSNPSLNKEALAQIPINFLEFAKKPEILDWMVGLRRKIHENPELGFEEYETSKLIRAELDQMGIPYKYPVAVTGVIGYIGTGQPPFVALRADMDALAMEELVEWEHKSKVPGKMHACGHDAHVAMLLGAAKMLQEHQNDLQQGTIVLVFQPAEEGGGGAKKMLDAGALENVDAIFALHVSSRFPVGVVASRPGPILAATSFFEAVINGKGGHAAIPQHTVDPILAASNVIVSLQHLISREADPLDSQVVSIGKFQGGGAFNVIPDSVTIGGTFRAFSKESFVQLKQRIEEVRFFLTSNLYSFIVDNQEN >EOY30554 pep chromosome:Theobroma_cacao_20110822:9:4962124:4966882:-1 gene:TCM_037723 transcript:EOY30554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein isoform 2 MAFLKWVFLIFSLYSSMPTPISSNPSLNKEALAQIPINFLEFAKKPEILDWMVGLRRKIHENPELGFEEYETSKLIRAELDQMGIPYKYPVAVTGVIGYIGTGQPPFVALRADMDALAMEELVEWEHKSKVPGKMHACGHDAHVAMLLGAAKMLQEHQNDLQGTIVLVFQPAEEGGGGAKKMLDAGALENVDAIFALHVSSRFPVGVVASRPGPILAATSFFEAVINGKGGHAAIPQHTVDPILAASNVIVSLQHLISREADPLDSQVVSIGKFQGGGAFNVIPDSVTIGGTFRAFSKESFVQLKQRIEEVIMKQASVQRCNATVIFDEKSSYPVSLNNKELHEYFRKVAGDMLGLENIVEMQPIMGGEDFAFFSEAIPGLFFFLGMKNETQGQFHSGHSPYYRVNEDVLPYGAVLHASLATRYLLENLPKHTSPPKGSYHDEL >EOY34457 pep chromosome:Theobroma_cacao_20110822:9:41186404:41188742:-1 gene:TCM_042134 transcript:EOY34457 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MMRKTFKKLHPSLSLLLPTMADTAQKPHAIFIPYPLQGHVIPSVHLAIKLASKGFTITFINTHSIHHQTSEAEPGKGPDIFASVRESGLDIRYTTVSDGLPLGFDRSLNHDQFMAALLHVFSAHVEEVVSQIVKSGDRVHCLIADTFFVWPSKIAKKFGLLYISYWTEPALVFTLYYHLDLLRMNGHFGLQDCREDSIDYIPGVQAIEPRDLMSYLQETDVTTVCHQIIFNAFEDAKNADFVLCNTVQELEPVTISALRATVPFYAIGPIFPSGFTKSIVATSLWSESDCTQWLDKQPHGSVLYVSFGSYAHVRKTDLTVIADGLLLSKVNFLWVLRPDIVSSDDADPLPVGFKEEVGDRAMIIPWCCQIAVLAHPAVGGFLTHCGWNSILESAWCGVPLLSYPLLTDQFTNRKLVVHDWKAGINLSNKNPITREEVSDNINRLMSGKSGDQYRSKVKEVKETLENALTPNGSSEKNMDQFIKALKAKVQIKPISSQ >EOY34069 pep chromosome:Theobroma_cacao_20110822:9:39849644:39851337:-1 gene:TCM_041861 transcript:EOY34069 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBF-binding protein 3, putative isoform 1 MVFSSVPVYLDPPNWQQQTNHIQQGTGSENPQLPPPPHHVGAGAGSIRPGSMADRARLAKIPQPEVALKCPRCQSTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRSVPVGGGCRRNKKNKSSSSKSSASAEKQVGNSNSTSAGNIPSEITGHLPRQTPHLPFMASLQNFSQYGLGNIGLNFAGIQGQIGATSTGASGQADMGFQIGTNSGMSSAIFSAEGTHHQQFPFFEPANGLYQFQSEGMEASSSMVGESQLLRSMSSSSRASQTAPVKMENNQGLNLSRQLLGVSENNQYWGGNNWTDNLSGLNSSNTNHLL >EOY34070 pep chromosome:Theobroma_cacao_20110822:9:39849643:39851369:-1 gene:TCM_041861 transcript:EOY34070 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBF-binding protein 3, putative isoform 1 MVFSSVPVYLDPPNWQQTNHIQQGTGSENPQLPPPPHHVGAGAGSIRPGSMADRARLAKIPQPEVALKCPRCQSTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRSVPVGGGCRRNKKNKSSSSKSSASAEKQVGNSNSTSAGNIPSEITGHLPRQTPHLPFMASLQNFSQYGLGNIGLNFAGIQGQIGATSTGASGQADMGFQIGTNSGMSSAIFSAEGTHHQQFPFFEPANGLYQFQSEGMEASSSMVGESQLLRSMSSSSRASQTAPVKMENNQGLNLSRQLLGVSENNQYWGGNNWTDNLSGLNSSNTNHLL >EOY31994 pep chromosome:Theobroma_cacao_20110822:9:18756523:18764609:1 gene:TCM_039384 transcript:EOY31994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVVEAFEVKEVGTSHIGFTSYFHIRIFRRVWLGGLDMKYNSVKLTRKHIDACRWLLQGDSAVKAMEIGDDYLHYFIHQLSSHWQKLHVEAYTQNSETFSQKKDLFIYKSL >EOY31535 pep chromosome:Theobroma_cacao_20110822:9:9367763:9377138:1 gene:TCM_038453 transcript:EOY31535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 18 B isoform 2 MARQSSSYPILCASFNQDNSGFAISTKDGFKIFDSNTGRLCYERAVGAFIIVEMLYSSSLLAIVGAGEQPSLSPRRLCLFNTTTSAPLREMTFLTSILAVRLNRKRLVVVLQEKTYIYDSNSLEILDTVDTVPNLKGLCAFSPSLDGCFLALPASTIKGSVLVYNVMELQSHCEIDAHRSPLAAITLSSNGTYIATASEQGTIIRVHLVSEATQSYSFRRGRYPSTIFSLSFAPSLQLPDILAATSSSGSVHIFSLGFATNQSRCKRSSSFLGSILPDSVNDALDPADHHVLHAAVSAGVRSYAVVRKVDKIADASSSEFSSCSRAVVSLITYNGYFQEYTFSINNKNESSWSLDREFNLLTFVSDSAKSS >EOY31533 pep chromosome:Theobroma_cacao_20110822:9:9367763:9377138:1 gene:TCM_038453 transcript:EOY31533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 18 B isoform 2 MARQSSSYPILCASFNQDNSGFAISTKDGFKIFDSNTGRLCYERAVGAFIIVEMLYSSSLLAIVGAGEQPSLSPRRLCLFNTTTSAPLREMTFLTSILAVRLNRKRLVVVLQEKTYIYDSNSLEILDTVDTVPNLKGLCAFSPSLDGCFLALPASTIKGSVLVYNVMELQSHCEIDAHRSPLAAITLSSNGTYIATASEQGTIIRVHLVSEATQSYSFRRGRYPSTIFSLSFAPSLQLPDILAATSSSGSVHIFSLGFATNQRCKRSSSFLGSILPDSVNDALDPADHHVLHAAVSAGVRSYAVVRKVDKIADASSSEFSSCRAVVSLITYNGYFQEYTFSINNKNESSWSLDREFNLLTFVSDSAKSS >EOY31534 pep chromosome:Theobroma_cacao_20110822:9:9367763:9377138:1 gene:TCM_038453 transcript:EOY31534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy 18 B isoform 2 MARQSSSYPILCASFNQDNSGFAISTKDGFKIFDSNTGRLCYERAVGAFIIVEMLYSSSLLAIVGAGEQPSLSPRRLCLFNTTTSAPLREMTFLTSILAVRLNRKRLVVVLQEKTYIYDSNSLEILDTVDTVPNLKGLCAFSPSLDGCFLALPASTIKGSVLVYNVMELQSHCEIDAHRSPLAAITLSSNGTYIATASEQGTIIRVHLVSEATQSYSFRRGRYPSTIFSLSFAPSLQLPDILAATSSSGSVHIFSLGFATNQRCKRSSSFLGSILPDSVNDALDPADHHVLHAAVSAGVRSYAVVRKVDKIADASSSEFSSCRNIPSVSTTRTSLHGAWIANSTF >EOY33500 pep chromosome:Theobroma_cacao_20110822:9:37866023:37867553:1 gene:TCM_041470 transcript:EOY33500 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P2B, putative isoform 1 MKVIAAYLLAVLGGNANPSADDLKDILGSVGAEADDDRIELLLSEVKGKDITELIAAGREKLASVPCGGGAVAVAVPAAGGGGGAAPAAEAKKEEKVEEKEESDDDMGFSLFD >EOY33501 pep chromosome:Theobroma_cacao_20110822:9:37865990:37866968:1 gene:TCM_041470 transcript:EOY33501 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P2B, putative isoform 1 MKVIAAYLLAVLGGNANPSADDLKDILGSVGAEADDDRIELLLSEVKGKDITELIAAGREKLASVPCGGGAVAVAVPAAGGGGGAAPAAEAKKEEKVEEKEESDDDMGFSLFD >EOY33502 pep chromosome:Theobroma_cacao_20110822:9:37865992:37867853:1 gene:TCM_041470 transcript:EOY33502 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P2B, putative isoform 1 MKVIAAYLLAVLGGNANPSADDLKDILGSVGAEADDDRIELLLSEVKGKDITELIAAGREKLASVPCGGGAVAVAVPAAGGGGGAAPAAEAKKEEKVEEKEESDDDMGFSLFD >EOY32739 pep chromosome:Theobroma_cacao_20110822:9:33313676:33317740:1 gene:TCM_040769 transcript:EOY32739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like family protein MADSFCPDCKRPTEVVFDHSAGDIVCSECGLVLEAHSIDETSEWRTFANESGDNDPVRVGGPSNPLLADGGLSTVISKPNGSSGDFLTSSLGRWQNRGANPDRSLIQAFKTIATMSDRLGLVATIKDRANEIYKKVEDQKPLRGRNQDAILAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLEVEMGQSMEMGTIHAGDFLRRFCSHLGMSNQTVKAAQEAVQKSEELDIRRSPISIAAAVIYIITQLSDDKKLLKDISLATGVAEGTIRNSYKDLHPHASKLVPSWYAKEEDLKNLCSP >EOY33863 pep chromosome:Theobroma_cacao_20110822:9:39192114:39193864:1 gene:TCM_041708 transcript:EOY33863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger protein isoform 1 MAANTPAGIDKEQAFGMAETEMEYRVELFNRLAQTCFNKCVDKRYKESELNMGENSCIDRCVSKYWQVNGMIGQMLSAGGRPPM >EOY33865 pep chromosome:Theobroma_cacao_20110822:9:39192075:39193877:1 gene:TCM_041708 transcript:EOY33865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger protein isoform 1 MAANTPAGIDKEQAFGMAETEMEYRVELFNRLAQTCFNKCVDKRYKESELNMGENSCIDRCVSKYWQVNGMIGQMLSAGGRPPM >EOY33864 pep chromosome:Theobroma_cacao_20110822:9:39192075:39193877:1 gene:TCM_041708 transcript:EOY33864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger protein isoform 1 MAANTPAGIDKEQAFGMAETEMEYRVELFNSLFLWFSSRLAQTCFNKCVDKRYKESELNMGENSCIDRCVSKYWQVNGMIGQMLSAGGRPPM >EOY32551 pep chromosome:Theobroma_cacao_20110822:9:31143178:31151312:1 gene:TCM_040532 transcript:EOY32551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALREYKKSIDIESEAVNFSSASNLHACKISKSLPPSTEKWFAKDCTTNYHLTDSSAIYQPFDSFSCFGAEVASRYHFLELFSFDISNF >EOY29351 pep chromosome:Theobroma_cacao_20110822:9:858162:862835:-1 gene:TCM_036918 transcript:EOY29351 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 5 isoform 2 MDLVAKGHLQEARTFFNAFREDHELMHSRDLQKLEGVLTQSHLEEMEFARSLRQNKVNIKICQYSYDLLLQYLHKTQSTAMLGVINEHINFQVSPGQPTSISDDAEVVTLIGSCQDAANQINQKEIHWGLLEDSLEERLEKAGGLLSDSEKTEGENKEGDVDETKKRSVEGGKQGASTKKLKKDKAASATAKSARPEANTTSTAPRVKPELPLPVMPTEVEQSILEDLRNRVQLSSVALPSVSFYTFLNTHNGLNCSSISHDGSLVAGGFSDSSLKIWDMAKLGQQAGSSILQGENDSTSSKHVVGPNGVKRSYTLLQGHSGPVYSANFSPLGDFILSSSADTTIRLWSTELNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPMRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVTPLMGHSSCVWTLAFSCEGSLLASGSADCTVKLWDVTTSTKVPKNEEK >EOY29349 pep chromosome:Theobroma_cacao_20110822:9:857412:864832:-1 gene:TCM_036918 transcript:EOY29349 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 5 isoform 2 MYPSIKSLVHGEKEEGSREEWRGVTVLAYREERMDNMQAVNSFVAAYLKKKGFKEAEQLLEDLQNKDSAPIDFHNDPELAKFIYHFSQSEDDVVRYQDGYSKLRSWTYSSLDLYKHELLRVLYPVFIHSFMDLVAKGHLQEARTFFNAFREDHELMHSRDLQKLEGVLTQSHLEEMEFARSLRQNKVNIKICQYSYDLLLQYLHKTQSTAMLGVINEHINFQVSPGQPTSISDDAEVVTLIGSCQDAANQINQKEIHWGLLEDSLEERLEKAGGLLSDSEKTEGENKEGDVDETKKRSVEGGKQGASTKKLKKDKAASATAKSARPEANTTSTAPRVKPELPLPVMPTEVEQSILEDLRNRVQLSSVALPSVSFYTFLNTHNGLNCSSISHDGSLVAGGFSDSSLKIWDMAKLGQQAGSSILQGENDSTSSKHVVGPNGVKRSYTLLQGHSGPVYSANFSPLGDFILSSSADTTIRLWSTELNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPMRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVTPLMGHSSCVWTLAFSCEGSLLASGSADCTVKLWDVTTSTKVPKNEEKSGNPNRLRSLKTLPTKLTPVYSLRFSRRNLLFAAGALSKNQ >EOY29350 pep chromosome:Theobroma_cacao_20110822:9:857952:863228:-1 gene:TCM_036918 transcript:EOY29350 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 5 isoform 2 MDLVAKGHLQEARTFFNAFREDHELMHSRDLQKLEGVLTQSHLEEMEFARSLRQNKVNIKICQYSYDLLLQYLHKTQSTAMLGVINEHINFQVSPGQPTSISDDAEVVTLIGSCQDAANQINQKEIHWGLLEDSLEERLEKAGGLLSDSEKTEGENKEGDVDETKKRSVEGGKQGASTKKLKKDKAASATAKSARPEANTTSTAPRVKPELPLPVMPTEVEQSILEDLRNRVQLSSVALPSVSFYTFLNTHNGLNCSSISHDGSLVAGGFSDSSLKIWDMAKLGQQAGSSILQGENDSTSSKHVVGPNGVKRSYTLLQGHSGPVYSANFSPLGDFILSSSADTTIRLWSTELNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPMRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVTPLMGHSSCVWTLAFSCEGSLLASGSADCTVKLWDVTTSTKVPKNEEKSGNPNRLRSLKTLPTKLTPVYSLRFSRRNLLFAAGALSKNQ >EOY30349 pep chromosome:Theobroma_cacao_20110822:9:4297647:4300395:-1 gene:TCM_037590 transcript:EOY30349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein MEIAGDPPPIWPQLSNSMPRRRSPSPLFTPPVLIILLPIIALLLLFFAVPPFLSITSQIFRPNGVRKSWDSLNIFLVLFAILCGVFARRNDDDDNNSGSSGNNNVRNDNNNNKNEASSHPVNSQQWFGYPGRKIYDDDPPMNASGTSVRRLKRSSSSYPDLRKESLWETSEHRFRFFDDFEINKYRSSTNYNDQVHELRRTRIEFEESEAKVIPIDTFVLRPSPSSPPSTPPPPPPRPPAARHKPRRIYQAVGQKEKVMIQNDNVEFNNLESAQPVTPPQPPPPPPRRPPSPPVHMGFRSEHKYGKLERRRSNATKEIKMVFALLRKSKKKQKTKDHDHQHDCPLHPPREPPPYYSTTIRPPSPAPPPPPPPPPPPSVFQKYNLFRKGSKSKKIHSVPAPPPPPPPPPAFSLSERSSKRNIQIQPTPPPAPPPAFFSTKRLSKQKSQIPPPSKPPPAPQTPPPEPSRRRTAATIGRPPLPTKANTSSYYGENVNSGGQSPLIPTPPPPPPPFKMTEFKFVFRGDFVKIPSSPSSRCSSPELEEVDVSSSKGDVETASMMGGDDGVGVGIGGVPVFCPSPDVNAKAETFIARFRDGLKLEKINSMKEKQRI >EOY31764 pep chromosome:Theobroma_cacao_20110822:9:13831804:13833601:-1 gene:TCM_038898 transcript:EOY31764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 36 MGRAPCCDKANVKKGPWSPEEDAKLKAYIEKYGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGRRKQSNINRLSSSNQDPNEVNTANDSQFSQGLSNSALERLHLHMQLQSLQNPFSFYNNPALWPKIHPLQEKMIQSIQSSNGRPNLLMQPLLPNPQPENERTADFYDQPTAASVAHQQDYPKSSNTKGLELDNSLDGITTSDGSDPFGTGNNLMDSATVPKADGHHHGTAQSNAAVQPVSNFQAELDEFLNNKTAGYVPQEDQMTEFDCFKEMNGAKDSLIWWSNDFDAKSASSNSWDSTSVLQSSGMFQDYELAYNM >EOY30617 pep chromosome:Theobroma_cacao_20110822:9:5172184:5173265:1 gene:TCM_037763 transcript:EOY30617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidative stress 3 MGEEASQIFQDMNLKKMDSNEAWCSKLTIMVGGNNGTMDSISIESSFENSENSISSISSSDLVEDAASATSSSSSSLSSNGPLYELSELMAQLPIRRGLSKHYQGKSQSFTSLARVRSIEDLPKKVIPYRVKMKSCKSHGWGLDAHNNKSYSPKATISKKSSRGCSNVFSRFLMS >EOY33868 pep chromosome:Theobroma_cacao_20110822:9:39201073:39203995:-1 gene:TCM_041710 transcript:EOY33868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol phosphorylceramide synthase 3 isoform 2 MEEDLHGGFDRDFTSCRELEAYSCWTCFQYIHGLAAHGVHYLHRPGPTLQDTGFFLLPELGQNKFYISETLFTFIFCSFLLWTFHPFVFQNKRIYTVLIWCRIFAYLVASQSLRIVTFYSTQLPGPSYHCHEGSKLARLPPPESVLEVVLINFPQGVVYGCGDLIFSSHMIFTLVFVRTYQKFGTRRCIKQFAWLLAVIQSLLIIASRKHYTVDIVVAWYTVNLVAFFLDGKLPELPDRSSGATAPPLL >EOY33867 pep chromosome:Theobroma_cacao_20110822:9:39200723:39204938:-1 gene:TCM_041710 transcript:EOY33867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol phosphorylceramide synthase 3 isoform 2 MAHYVDREAPKLWRKICTEALIEISLLAENWKLILAGLVFQYIHGLAAHGVHYLHRPGPTLQDTGFFLLPELGQNKFYISETLFTFIFCSFLLWTFHPFVFQNKRIYTVLIWCRIFAYLVASQSLRIVTFYSTQLPGPSYHCHEGSKLARLPPPESVLEVVLINFPQGVVYGCGDLIFSSHMIFTLVFVRTYQKFGTRRCIKQFAWLLAVIQSLLIIASRKHYTVDIVVAWYTVNLVAFFLDGKLPELPDRSSGATAPPLLPLSTRNKDGKNKEEHQKLLNGNSLATTS >EOY30531 pep chromosome:Theobroma_cacao_20110822:9:4894697:4897595:1 gene:TCM_037708 transcript:EOY30531 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MYLRNCSNLPLRYLRTLLQRMENTPNKSLLKHYKGLLQSIDLYEYIMETSVYPREPAPLKELRIATANHPWFFMSAAPDAAQLMGMLLKIVNAKKTIEIGVYTGYSLLLTALSIPDDGKIVAIDINRETYEIGLPIIRKAGVEHKIDFIESQAIPKESEGSFDFAFVDANKDDYMNYHERILKLVKVGGLIIYDNTLWRGTVALPEEAVSEDRRDSRRSIIEFNKSIAIDHRLEISLAPCGDGMTICRRIC >EOY30532 pep chromosome:Theobroma_cacao_20110822:9:4895765:4896745:1 gene:TCM_037708 transcript:EOY30532 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MSAAPDAAQLMGMLLKIVNAKKTIEIGVYTGYSLLLTALSIPDDGKIVAIDINRETYEIGLPIIRKAGVEHKIDFIESQAIPVLDKLLKNLKSEGSFDFAFVDANKDDYMNYHERILKLVKVGGLIIYDNTLWRGTVALPEEAVSEDRRDSRRSIIEFNKSIAIDHRLEISLAPCGDGMTICRRIC >EOY30420 pep chromosome:Theobroma_cacao_20110822:9:4556430:4558991:1 gene:TCM_037640 transcript:EOY30420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S16 family protein MVVRIRLSRFGCKNKPFYRVMAANSRSPRDGKHLEVLGYYNPLPGQDGGKRMGLNFERVKYWLSVGAQPSEPVQRILFRAGLLPPPPMVAMGRKGGPRDMRPVDPMRGRVLSAEKPATASQQNGGKDEAANGATSA >EOY30957 pep chromosome:Theobroma_cacao_20110822:9:6461200:6463863:1 gene:TCM_037999 transcript:EOY30957 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAN GTPase 3 MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDANLHFVESPALAPPEVHIDLAAQQQHEAELAAAASQPLPDDDDDAFE >EOY31481 pep chromosome:Theobroma_cacao_20110822:9:9034456:9043673:-1 gene:TCM_046930 transcript:EOY31481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function isoform 1 MDVCESEQRFHKLAAVDTTRPPLVPAEKNNAPLTTRRPRTREVSSRYKSPTPSTPSGPRRCPSPNLTRTTPTPSQLVQKRAVSAERKRPSTPPSPRSPSTPVHDTSIAMQISSRRLSTGRIPESLWPSTMRSLSVSFQSDTISIPVSKKEKEKPVSNVSLDRTLRPSSNMAHKQQSESSTLSRKPTPERKRSPLKGKNAPDQSENAKPVDGLPSRLIDQHRWPSRIGGKLSSNTLNKSVDLGNKIIKSLSTPVPGMSSLKRMPMSDSLGKPLQKSVSDTARLLPLEEIGQVGSEANSIDGKSLLVTGPARLLSVSSLDKMTLATQGVKSQSLSAPGSRPQSPSRTSVSRGVSPSRARPSTPPARGVSPTPRGVSPSRMRTSSSSSQSHSSTSVLSFIADFKKGRKSASYIEDAHQLRLLYNRYLQWRFANARAEAVLYIQKVTVEETLYNVWNATLSLWDAVIKKKINLQQLKLELKLNSVLNDQMAYLNDWSLLERDHLSSLSGAVEDLEASTIRLPVTGGARADIESLKVAICSAVDVMQAMGSSICSLLSKVEGINNLVSELAAIAAQEKNMLDHCEALLASTAAMQLEEYSLRSHIIQTKPPLERDKRPILAAKTFPWP >EOY31482 pep chromosome:Theobroma_cacao_20110822:9:9034456:9042102:-1 gene:TCM_046930 transcript:EOY31482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function isoform 1 MDVCESEQRFHKLAAVDTTRPPLVPAEKNNAPLTTRRPRTREVSSRYKSPTPSTPSGPRRCPSPNLTRTTPTPSQLVQKRAVSAERKRPSTPPSPRSPSTPVHDTSIAMQISSRRLSTGRIPESLWPSTMRSLSVSFQSDTISIPVSKKEKEKPVSNVSLDRTLRPSSNMAHKQQSESSTLSRKPTPERKRSPLKGKNAPDQSENAKPVDGLPSRLIDQHRWPSRIGGKLSSNTLNKSVDLGNKIIKSLSTPVPGMSSLKRMPMSDSLGKPLQKSVSDTARLLPLEEIGQVGSEANSIDGKSLLVTGPARLLSVSSLDKMTLATQGVKSQSLSAPGSRPQSPSRTSVSRGVSPSRARPSTPPARGVSPTPRGVSPSRMRTSSSSSQSHSSTSVLSFIADFKKGRKSASYIEDAHQLRLLYNRYLQWRFANARAEAVLYIQKVTVEETLYNVWNATLSLWDAVIKKKINLQQLKLELKLNSVLNDQMAYLNDWSLLERDHLSSLSGAVEDLEASTIRLPVTGGARADIESLKVAICSAVDVMQAMGSSICSLLSKVEGINNLVSELAAIAAQEKNMLDHCEALLASTAAMQLEEYSLRSHIIQTKPPLERDKRPILAAKTFPWP >EOY31667 pep chromosome:Theobroma_cacao_20110822:9:11459004:11459981:1 gene:TCM_038680 transcript:EOY31667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATHLNNQIACTHLPLIFIWPYCQPIGFMHPISFLPHWLEILLYYPYPFVNSFPLNPTLFVPNGISCNFPTFISIRLPPWTMTLHATIL >EOY32235 pep chromosome:Theobroma_cacao_20110822:9:23973722:23987506:1 gene:TCM_039853 transcript:EOY32235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCAMKKVIISEGECILGEDFQCICYVYPFTQCSLKAGDNCIGIQNSATELDNSKYTFGGRGLHNFMATVPNHLKMLQEFRRLMARTVAIDKEIAKK >EOY32465 pep chromosome:Theobroma_cacao_20110822:9:29973786:29977222:1 gene:TCM_040406 transcript:EOY32465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MSNYTRESHTVETPDGVKLSTRVFKPKEEIKDNNLVIVLVHPYSVLGGCQALLKGMASGLADKGYRAVTFDMRGVAGSTGSASLTGFAEVKDVVAVCNWVSQNLSSDKILLVGSSAGAPIAGSAVDQIEQVVGYVSLGYPFGMMASILFGRHHKAILQSPKQKLFVMGTRDGFTSVKQLKNKLQSAAGRNETHLIEGVSHFEMEGPAYDAQMEFRGRVGFHAV >EOY31470 pep chromosome:Theobroma_cacao_20110822:9:8996123:9001780:-1 gene:TCM_038402 transcript:EOY31470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multipolar spindle 1, putative isoform 1 MSAGENRAASAAATDTSNDESLRLAVAISLLRSKFLQRHPPPSQSDALKWKRKAKERKQAILRLRDDLKEAEDASQCDLFPQTASCKCYFFDKMGKLSPNRLGDASDRRFNDVLRRRFLRQVRLRERRKRAASSLKGRVLGAFIKPFWTLCCNDEDQAEQLKASIDFLVELCDTSSPVQESKFENWSHQAVEFILVALKNLLSTIQLIEFLVSDYLLTWSTNEGFDNILFEEWVTSLVQARKAVEVLESRNGLYVVYIDRVTGELAKLVGQVPLLQKLNSDIFDALFR >EOY31471 pep chromosome:Theobroma_cacao_20110822:9:8995629:9001816:-1 gene:TCM_038402 transcript:EOY31471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multipolar spindle 1, putative isoform 1 MSAGENRAASAAATDTSNDESLRLAVAISLLRSKFLQRHPPPSQSDALKWKRKAKERKQAILRLRDDLKEAEDASQCDLFPQTASCKCYFFDKMGKLSPNRLGDASDRRFNDVLRRRFLRQVRLRERRKRAASSLKGRVLGCNDEDQAEQLKASIDFLVELCDTSSPVQESKFENWSHQAVEFILVALKNLLSTIQLIEFLVSDYLLTWSTNEGFDNILFEEWVTSLVQARKAVEVLESRNGLYVVYIDRVTGELAKLVGQVPLLQKLNSDIFDALFR >EOY31468 pep chromosome:Theobroma_cacao_20110822:9:8995599:9001816:-1 gene:TCM_038402 transcript:EOY31468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multipolar spindle 1, putative isoform 1 MSAGENRAASAAATDTSNDESLRLAVAISLLRSKFLQRHPPPSQSDALKWKRKAKERKQAILRLRDDLKEAEDASQCDLFPQTASCKCYFFDKMGKLSPNRLGDASDRRFNDVLRRRFLRQVRLRERRKRAASSLKGRVLGCNDEDQAEQLKASIDFLVELCDTSSPVQESKFENWSHQAVEFILVALKNLLSTGRNLESIEGVVSSLIMRLVRLMSSPSRGDEAHHSDTNSQFYIQHLLRKLGCEPYIGQRVILSVSQRISVLAESLLFSDPFDENFPAMHDCMFVMIQLIEFLVSDYLLTWSTNEGFDNILFEEWVTSLVQARKAVEVLESRNGLYVVYIDRVTGELAKLVGQVPLLQKLNSDIFDALFR >EOY31469 pep chromosome:Theobroma_cacao_20110822:9:8995599:9001816:-1 gene:TCM_038402 transcript:EOY31469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multipolar spindle 1, putative isoform 1 MSAGENRAASAAATDTSNDESLRLAVAISLLRSKFLQRHPPPSQSDALKWKRKAKERKQAILRLRDDLKEAEDASQCDLFPQTASCKCYFFDKMGKLSPNRLGDASDRRFNDVLRRRFLRQVRLRERRKRAASSLKGRVLGAFIKPFWTLCCNDEDQAEQLKASIDFLVELCDTSSPVQESKFENWSHQAVEFILVALKNLLSTGRNLESIEGVVSSLIMRLVRLMSSPSRGDEAHHSDTNSQFYIQHLLRKLGCEPYIGQRVILSVSQRISVLAESLLFSDPFDENFPAMHDCMFVISF >EOY31025 pep chromosome:Theobroma_cacao_20110822:9:6693829:6698084:-1 gene:TCM_038050 transcript:EOY31025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 4 MGATRKIWTAMDIEANQAKQNNGNSTVDDSIPHSALSVDTALSFPLMVSCVIELCKDLFGKWAKLDDSCFSVETVSGGITNLLLKVSVKEENGDDVYVTVRLYGPNTEYVINRERELQAIKYLSAAGFGAKLLGVFENGMVQSFINARTLTSSDMRKPKLVAEIAKQLRRFHQVEIPGSKEPQLWVDILKFFEKASALQFEDIDKQMIYETILFEEVHKEVTQLKELTGLLNAPVVFAHNDLLSGNLMLNDEHDKLYFIDFEYGSYNYRGFDIGNHFNEYAGYDCDYSLYASSIVSALQFFSCVTMMNS >EOY31023 pep chromosome:Theobroma_cacao_20110822:9:6692678:6698003:-1 gene:TCM_038050 transcript:EOY31023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 4 MGATRKIWTAMDIEANQAKQNNGNSTVDDSIPHSALSVDTALSFPLMVSCVIELCKDLFGKWAKLDDSCFSVETVSGGITNLLLKVSVKEENGDDVYVTVRLYGPNTEYVINRERELQAIKYLSAAGFGAKLLGVFENGMVQSFINARTLTSSDMRKPKLVAEIAKQLRRFHQVEIPGSKEPQLWVDILKFFEKASALQFEDIDKQMIYETILFEEVHKEVTQLKELTGLLNAPVVFAHNDLLSGNLMLNDEHDKLYFIDFEYGSYNYRGFDIGNHFNEYAGYDCDYSLYPSKDEQYLFFRHYLQPEKPYEVSEKDLEALYVETNTFMLASHLYWALWAIIQARMSPIDFDYLGYFFLRYNEYKRQKEMCFSLAQSHLSGPGTA >EOY31024 pep chromosome:Theobroma_cacao_20110822:9:6692485:6698084:-1 gene:TCM_038050 transcript:EOY31024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 4 MGATRKIWTAMDIEANQAKQNNGNSTVDDSIPHSALSVDTALSFPLMVSCVIELCKDLFGKWAKLDDSCFSVETVSGGITNLLLKVSVKEENGDDVYVTVRLYGPNTEYVINRERELQAIKYLSAAGFGAKLLGVFENGMVQSFINARTLTSSDMRKPKLVAEIAKQLRRFHQVEIPGSKEPQLWVDILKFFEKASALQFEDIDKQMIYETILFEEVHKEVTQLKELTGLLNAPVVFAHNDLLSGNLMLNDEHDKLYFIDFEYGSYNYRGFDIGNHFNEYAGYDCDYSLYPSKDEQYLFFRHYLQPEKPYEVSEKDLEALYVETNTFMLASHLYWALWAIIQARMSPIDFDYLGYFFLRYNEYKRQKEMCFSLAQSHLSGPGTA >EOY31022 pep chromosome:Theobroma_cacao_20110822:9:6692174:6698082:-1 gene:TCM_038050 transcript:EOY31022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 4 MGATRKIWTAMDIEANQAKQNNGNSTVDDSIPHSALSVDTALSFPLMVSCVIELCKDLFGKWAKLDDSCFSVETVSGGITNLLLKVSVKEENGDDVYVTVRLYGPNTEYVINRERELQAIKYLSAAGFGAKLLGVFENGMVQSFINARTLTSSDMRKPKLVAEIAKQLRRFHQVEIPGSKEPQLWVDILKFFEKASALQFEDIDKQMIYETILFEEVHKEVTQLKELTGLLNAPVVFAHNDLLSGNLMLNDEHDKLYFIDFEYGSYNYRGFDIGNHFNEYAGYDCDYSLYPSKDEQYLFFRHYLQPEKPYEVSEKDLEALYVETNTFMLASHLYWALWAIIQARMSPIDFDYLGYFFLRYNEYKRQKEMCFSLAQSHLSGPGTA >EOY34676 pep chromosome:Theobroma_cacao_20110822:9:41761861:41764792:-1 gene:TCM_042268 transcript:EOY34676 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MSGEAGLRRKLNKQLKTVIGKPKNYIYREGTKSSVGKGGVIGGKRSSSTGSKSRKRSTNNRYGVVEGSDDGEVDFEEKQTKAVNFDGRRKRVDAEQLMSFENVEATSRKASSKKQQKMVNGDLSRGRKGNVKAIRSIWVSRTLEDATSTRKATSRNAKKVGRSAKEVDEMDSASNDSSKKHAKPKAKANSRRRLDHSSNASALTSAKKTVRDKKSLNNDSEVLDDQPRKKKRVIRLDPYDISNKRLDDGVAIDESTKEKKKDLEENAALSRNAQFRAIQPSPSILSFVEDNLLGRRRPIEIQRAGYNTELSAPLDNIPFSTNPERERIEENIFRNKLQFFAAAKVSSSFPAPDASEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGHTQTINFFKLGSKLCLVDLPGYGFAYAKEEVKEAWEELNYYVTVTSYQIAR >EOY34677 pep chromosome:Theobroma_cacao_20110822:9:41761865:41764792:-1 gene:TCM_042268 transcript:EOY34677 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MSGEAGLRRKLNKQLKTVIGKPKNYIYREGTKSSVGKGGVIGGKRSSSTGSKSRKRSTNNRYGVVEGSDDGEVDFEEKQTKAVNFDGRRKRVDAEQLMSFENVEATSRKASSKKQQKMVNGDLSRGRKGNVKAIRSIWVSRTLEDATSTRKATSRNAKKVGRSAKEVDEMDSASNDSSKKHAKPKAKANSRRRLDHSSNASALTSAKKTVRDKKSLNNDSEVLDDQPRKKKRVIRLDPYDISNKRLDDGVAIDESTKEKKKDLEENAALSRNAQFRAIQPSPSILSFVEDNLLGRRRPIEIQRAGYNTELSAPLDNIPFSTNPERERIEENIFRNKLQFFAAAKVSSSFPAPDASEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGHTQTINFFKLGSKLCLVDLPGYGFAYAKEEVKEAWEELVST >EOY34671 pep chromosome:Theobroma_cacao_20110822:9:41760392:41764792:-1 gene:TCM_042268 transcript:EOY34671 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MSGEAGLRRKLNKQLKTVIGKPKNYIYREGTKSSVGKGGVIGGKRSSSTGSKSRKRSTNNRYGVVEGSDDGEVDFEEKQTKAVNFDGRRKRVDAEQLMSFENVEATSRKASSKKQQKMVNGDLSRGRKGNVKAIRSIWVSRTLEDATSTRKATSRNAKKVGRSAKEVDEMDSASNDSSKKHAKPKAKANSRRRLDHSSNASALTSAKKTVRDKKSLNNDSEVLDDQPRKKKRVIRLDPYDISNKRLDDGVAIDESTKEKKKDLEENAALSRNAQFRAIQPSPSILSFVEDNLLGRRRPIEIQRAGYNTELSAPLDNIPFSTNPERERIEENIFRNKLQFFAAAKVSSSFPAPDASEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGHTQTINFFKLGSKLCLVDLPGYGFAYAKEEVKEAWEELVKEYVSTRVGLKRVCLLIDTKWGMKPRDNELIDLMERSQTKYQIVLTKTDTVFPIDVARRAMQIEEDVSYCIFNCLTWNWQDEKLKD >EOY34674 pep chromosome:Theobroma_cacao_20110822:9:41761127:41764792:-1 gene:TCM_042268 transcript:EOY34674 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MSGEAGLRRKLNKQLKTVIGKPKNYIYREGTKSSVGKGGVIGGKRSSSTGSKSRKRSTNNRYGVVEGSDDGEVDFEEKQTKAVNFDGRRKRVDAEQLMSFENVEATSRKASSKKQQKMVNGDLSRGRKGNVKAIRSIWVSRTLEDATSTRKATSRNAKKVGRSAKEVDEMDSASNDSSKKHAKPKAKANSRRRLDHSSNASALTSAKKTVRDKKSLNNDSEVLDDQPRKKKRVIRLDPYDISNKRLDDGVAIDESTKEKKKDLEENAALSRNAQFRAIQPSPSILSFVEDNLLGRRRPIEIQRAGYNTELSAPLDNIPFSTNPERERIEENIFRNKLQFFAAAKVSSSFPAPDASEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGHTQTINFFKLGSKLCLVDLPGYGFAYAKEEVKEAWEERR >EOY34669 pep chromosome:Theobroma_cacao_20110822:9:41760440:41764730:-1 gene:TCM_042268 transcript:EOY34669 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MSGEAGLRRKLNKQLKTVIGKPKNYIYREGTKSSVGKGGVIGGKRSSSTGSKSRKRSTNNRYGVVEGSDDGEVDFEEKQTKAVNFDGRRKRVDAEQLMSFENVEATSRKASSKKQQKMVNGDLSRGRKGNVKAIRSIWVSRTLEDATSTRKATSRNAKKVGRSAKEVDEMDSASNDSSKKHAKPKAKANSRRRLDHSSNASALTSAKKTVRDKKSLNNDSEVLDDQPRKKKRVIRLDPYDISNKRLDDGVAIDESTKEKKKDLEENAALSRNAQFRAIQPSPSILSFVEDNLLGRRRPIEIQRAGYNTELSAPLDNIPFSTNPERERIEENIFRNKLQFFAAAKVSSSFPAPDASEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGHTQTINFFKLGSKLCLVDLPGYGFAYAKEEVKEAWEELVKEYVSTRVGLKRVCLLIDTKWGMKPRDNELIDLMERSQTKYQIVLTKTDTVFPIDVARRAMQIEESLKAHRSVVQPVMMVSSKSGAGIRSLRTVLSKIARFAKL >EOY34673 pep chromosome:Theobroma_cacao_20110822:9:41761777:41764473:-1 gene:TCM_042268 transcript:EOY34673 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MSGEAGLRRKLNKQLKTVIGKPKNYIYREGTKSSVGKGGVIGGKRSSSTGSKSRKRSTNNRYGVVEGSDDGEVDFEEKQTKAVNFDGRRKRVDAEQLMSFENVEATSRKASSKKQQKMVNGDLSRGRKGNVKAIRSIWVSRTLEDATSTRKATSRNAKKVGRSAKEVDEMDSASNDSSKKHAKPKAKANSRRRLDHSSNASALTSAKKTVRDKKSLNNDSEVLDDQPRKKKRVIRLDPYDISNKRLDDGVAIDESTKEKKKDLEENAALSRNAQFRAIQPSPSILSFVEDNLLGRRRPIEIQRAGYNTELSAPLDNIPFSTNPERERIEENIFRNKLQFFAAAKVSSSFPAPDASEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGHTQTINFFKLGSKLCLVDLPGYGFAYAKEEVKEAWEELVKEYVSTRVGLKRVCLLIDTKWGMKPRDNELIDLMER >EOY34672 pep chromosome:Theobroma_cacao_20110822:9:41760773:41764792:-1 gene:TCM_042268 transcript:EOY34672 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MSGEAGLRRKLNKQLKTVIGKPKNYIYREGTKSSVGKGGVIGGKRSSSTGSKSRKRSTNNRYGVVEGSDDGEVDFEEKQTKAVNFDGRRKRVDAEQLMSFENVEATSRKASSKKQQKMVNGDLSRGRKGNVKAIRSIWVSRTLEDATSTRKATSRNAKKVGRSAKEVDEMDSASNDSSKKHAKPKAKANSRRRLDHSSNASALTSAKKTVRDKKSLNNDSEVLDDQPRKKKRVIRLDPYDISNKRLDDGVAIDESTKEKKKDLEENAALSRNAQFRAIQPSPSILSFVEDNLLGRRRPIEIQRAGYNTELSAPLDNIPFSTNPERERIEENIFRNKLQFFAAAKVSSSFPAPDASEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGHTQTINFFKLGSKLCLVDLPGYGFAYAKEEVKEAWEERR >EOY34675 pep chromosome:Theobroma_cacao_20110822:9:41761123:41764792:-1 gene:TCM_042268 transcript:EOY34675 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MSGEAGLRRKLNKQLKTVIGKPKNYIYREGTKSSVGKGGVIGGKRSSSTGSKSRKRSTNNRYGVVEGSDDGEVDFEEKQTKAVNFDGRRKRVDAEQLMSFENVEATSRKASSKKQQKMVNGDLSRGRKGNVKAIRSIWVSRTLEDATSTRKATSRNAKKVGRSAKEVDEMDSASNDSSKKHAKPKAKANSRRRLDHSSNASALTSAKKTVRDKKSLNNDSEVLDDQPRKKKRVIRLDPYDISNKRLDDGVAIDESTKEKKKDLEENAALSRNAQFRAIQPSPSILSFVEDNLLGRRRPIEIQRAGYNTELSAPLDNIPFSTNPERERIEENIFRNKLQFFAAAKVSSSFPAPDASEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGHTQTINFFKLGSKLCLVDLPGYGFAYAKEEVKEAWEELVKEYVSTRVGLKRVCLLIDTKWGMKPRDNELIDLMESIWYSGHHVER >EOY34670 pep chromosome:Theobroma_cacao_20110822:9:41760392:41764792:-1 gene:TCM_042268 transcript:EOY34670 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 3 MSGEAGLRRKLNKQLKTVIGKPKNYIYREGTKSSVGKGGVIGGKRSSSTGSKSRKRSTNNRYGVVEGSDDGEVDFEEKQTKAVNFDGRRKRVDAEQLMSFENVEATSRKASSKKQQKMVNGDLSRGRKGNVKAIRSIWVSRTLEDATSTRKATSRNAKKVGRSAKEVDEMDSASNDSSKKHAKPKAKANSRRRLDHSSNASALTSAKKTVRDKKSLNNDSEVLDDQPRKKKRVIRLDPYDISNKRLDDGVAIDESTKEKKKDLEENAALSRNAQFRAIQPSPSILSFVEDNLLGRRRPIEIQRAGYNTELSAPLDNIPFSTNPERERIEENIFRNKLQFFAAAKVSSSFPAPDASEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGHTQTINFFKLGSKLCLVDLPGYGFAYAKEEVKEAWEELVKEYVSTRVGLKRENINLISSVACILFLLIFHLLMYRSQTKYQIVLTKTDTVFPIDVARRAMQIEESLKAHRSVVQPVMMVSSKSGAGIRSLRTVLSKIARFAKL >EOY30436 pep chromosome:Theobroma_cacao_20110822:9:4592124:4597553:-1 gene:TCM_037647 transcript:EOY30436 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY domain-containing protein, putative isoform 1 MELSPFPVNHQTFPTSSRSLYFLLLQAQTHCPNNSFRALKFKPSCCSHQTIKVGVEITRKRKPKPSFLDQIKDKWSLKPIISTREKFPWQEKEEFEEEEVERKQSFGGAISESERDEDPQVEGSDPVSSSFPSRVISAPWSHGSEFNEPHFDFVPEISNFESKIEDSFASEKTIEFPGGNKAEVVGGLIDKSESLNEEVNINKQKIGLPVGKEVAAVEGLNDVVSSRENFEVSNSDDEGGSVEGDSGRSKKRSNTEMVDRMIPEHESQRLRNVALRMVERTKVGVAGITQALVEYIHERWKMDEVVKLKFEEPLSLNMKRTHEILEQRTGGLVIWRSGSSLVLYRGMAYKLHCVQSYTSQNKVDMNALDCSTNVESDTTQNIVVKESVRTMECFMPSSSEYLKDLSKEELMDLCELNHLLDELGPRYKDWSGREPLPVDADLLPPVVPGYQPPFRRLPYGIRHCLKDHEMTTFRRLARTVPPHFALGRNRELQGLAEAIVKLWESSAIAKIAIKRGVQNTRNERMAEELKQLTGGTLLSRNKEFIVFYRGNDFLPPVVTKTLKERQKSRNLQQEEEEKARERVLALVGSNAKASKLPLVAGTLAETTAATSRWGHQPSIEEVEEMKKNSALTQQASLVRYLEKKLALAIGKLRKANKALAKVQKHLEPADLPTDLETLSDEERILFRKIGLSMKPYLLLGRRGVYDGTIENMHLHWKYRELVKIIVKGENFAQVKHIAISLEAESGGLLVSLDKTTKGYAIIIYRGKNYMRPCVLRPKNLLTRRQALARSVELQRREALKHHVLDLQEKIELMKSELVFFSF >EOY30431 pep chromosome:Theobroma_cacao_20110822:9:4592376:4599503:-1 gene:TCM_037647 transcript:EOY30431 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY domain-containing protein, putative isoform 1 MELSPFPVNHQTFPTSSRSLYFLLLQAQTHCPNNSFRALKFKPSCCSHQTIKVGVEITRKRKPKPSFLDQIKDKWSLKPIISTREKFPWQEKEEFEEEEVERKQSFGGAISESERDEDPQVEGSDPVSSSFPSRVISAPWSHGSEFNEPHFDFVPEISNFESKIEDSFASEKTIEFPGGNKAEVVGGLIDKSESLNEEVNINKQKIGLPVGKEVAAVEGLNDVVSSRENFEVSNSDDEGGSVEGDSGRSKKRSNTEMVDRMIPEHESQRLRNVALRMVERTKVGVAGITQALVEYIHERWKMDEVVKLKFEEPLSLNMKRTHEILEQRTGGLVIWRSGSSLVLYRGMAYKLHCVQSYTSQNKVDMNALDCSTNVESDTTQNIVVKESVRTMECFMPSSSEYLKDLSKEELMDLCELNHLLDELGPRYKDWSGREPLPVDADLLPPVVPGYQPPFRRLPYGIRHCLKDHEMTTFRRLARTVPPHFALGRNRELQGLAEAIVKLWESSAIAKIAIKRGVQNTRNERMAEELKQLTGGTLLSRNKEFIVFYRGNDFLPPVVTKTLKERQKSRNLQQEEEEKARERVLALVGSNAKASKLPLVAGTLAETTAATSRWGHQPSIEEVEEMKKNSALTQQASLVRYLEKKLALAIGKLRKANKALAKVQKHLEPADLPTDLETLSDEERILFRKIGLSMKPYLLLGRRGVYDGTIENMHLHWKYRELVKIIVKGENFAQVKHIAISLEAESGGLLVSLDKTTKGYAIIIYRGKNYMRPCVLRPKNLLTRRQALARSVELQRREALKHHVLDLQEKIELMKSELEEMKTGKEIDVDKTSYSRLNKAPLFDEDIEEGEWEEEYLETYDSSEDDGHEQKELM >EOY30432 pep chromosome:Theobroma_cacao_20110822:9:4591287:4597553:-1 gene:TCM_037647 transcript:EOY30432 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY domain-containing protein, putative isoform 1 MELSPFPVNHQTFPTSSRSLYFLLLQAQTHCPNNSFRALKFKPSCCSHQTIKVGVEITRKRKPKPSFLDQIKDKWSLKPIISTREKFPWQEKEEFEEEEVERKQSFGGAISESERDEDPQVEGSDPVSSSFPSRVISAPWSHGSEFNEPHFDFVPEISNFESKIEDSFASEKTIEFPGGNKAEVVGGLIDKSESLNEEVNINKQKIGLPVGKEVAAVEGLNDVVSSRENFEVSNSDDEGGSVEGDSGRSKKRSNTEMVDRMIPEHESQRLRNVALRMVERTKVGVAGITQALVEYIHERWKMDEVVKLKFEEPLSLNMKRTHEILEQRTGGLVIWRSGSSLVLYRGMAYKLHCVQSYTSQNKVDMNALDCSTNVESDTTQNIVVKESVRTMECFMPSSSEYLKDLSKEELMDLCELNHLLDELGPRYKDWSGREPLPVDADLLPPVVPGYQPPFRRLPYGIRHCLKDHEMTTFRRLARTVPPHFALGRNRELQGLAEAIVKLWESSAIAKIAIKRGVQNTRNERMAEELKQLTGGTLLSRNKEFIVFYRGNDFLPPVVTKTLKERQKSRNLQQEEEEKARERVLALVGSNAKASKLPLVAGTLAETTAATSRWGHQPSIEEVEEMKKNSALTQQASLVRYLEKKLALAIGKLRKANKALAKVQKHLEPADLPTDLETLSDEERILFRKIGLSMKPYLLLGRRGVYDGTIENMHLHWKYRELVKIIVKGENFAQVKHIAISLEAESGGLLVSLDKTTKGYAIIIYRGKNYMRPCVLRPKNLLTRRQALARSVELQRREALKHHVLDLQEKIELMKSELEEMKTGKEIDVDKTSYSRLNKAPLFDEDIEEGEWEEEYLETYDSSEDDGHEQKELM >EOY30435 pep chromosome:Theobroma_cacao_20110822:9:4593673:4597517:-1 gene:TCM_037647 transcript:EOY30435 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY domain-containing protein, putative isoform 1 MELSPFPVNHQTFPTSSRSLYFLLLQAQTHCPNNSFRALKFKPSCCSHQTIKVGVEITRKRKPKPSFLDQIKDKWSLKPIISTREKFPWQEKEEFEEEEVERKQSFGGAISESERDEDPQVEGSDPVSSSFPSRVISAPWSHGSEFNEPHFDFVPEISNFESKIEDSFASEKTIEFPGGNKAEVVGGLIDKSESLNEEVNINKQKIGLPVGKEVAAVEGLNDVVSSRENFEVSNSDDEGGSVEGDSGRSKKRSNTEMVDRMIPEHESQRLRNVALRMVERTKVGVAGITQALVEYIHERWKMDEVVKLKFEEPLSLNMKRTHEILEQRTGGLVIWRSGSSLVLYRGMAYKLHCVQSYTSQNKVDMNALDCSTNVESDTTQNIVVKESVRTMECFMPSSSEYLKDLSKEELMDLCELNHLLDELGPRYKDWSGREPLPVDADLLPPVVPGYQPPFRRLPYGIRHCLKDHEMTTFRRLARTVPPHFALGRNRELQGLAEAIVKLWESSAIAKIAIKRGVQNTRNERMAEELKQLTGGTLLSRNKEFIVFYRGNDFLPPVVTKTLKERQKSRNLQQEEEEKARERVLALVGSNAKASKLPLVAGTLAETTAATSRWGHQPSIEEVEEMKKNSALTQQASLVRYLEKKLALAIGKLRKANKALAKVQKHLEPADLPTDLETLSDEERILFRKIGLSMKPYLLLGRRGVYDGTIENMHLHWKYRELVKIIVKGENFAQVKHIAISLEAESGGLLVSLDKTTKGYAIIIYRGKNYMRPCVLRPKNLLTRRQALARSVELQRREALKHHVLDLQEKIELMKSELVFFSF >EOY30434 pep chromosome:Theobroma_cacao_20110822:9:4591847:4599503:-1 gene:TCM_037647 transcript:EOY30434 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY domain-containing protein, putative isoform 1 MELSPFPVNHQTFPTSSRSLYFLLLQAQTHCPNNSFRALKFKPSCCSHQTIKVGVEITRKRKPKPSFLDQIKDKWSLKPIISTREKFPWQEKEEFEEEEVERKQSFGGAISESERDEDPQVEGSDPVSSSFPSRVISAPWSHGSEFNEPHFDFVPEISNFESKIEDSFASEKTIEFPGGNKAEVVGGLIDKSESLNEEVNINKQKIGLPVGKEVAAVEGLNDVVSSRENFEVSNSDDEGGSVEGDSGRSKKRSNTEMVDRMIPEHESQRLRNVALRMVERTKVGVAGITQALVEYIHERWKMDEVVKLKFEEPLSLNMKRTHEILEQRTGGLVIWRSGSSLVLYRGMAYKLHCVQSYTSQNKVDMNALDCSTNVESDTTQNIVVKESVRTMECFMPSSSEYLKDLSKEELMDLCELNHLLDELGPRYKDWSGREPLPVDADLLPPVVPGYQPPFRRLPYGIRHCLKDHEMTTFRRLARTVPPHFALGRNRELQGLAEAIVKLWESSAIAKIAIKRGVQNTRNERMAEELKQLTGGTLLSRNKEFIVFYRGNDFLPPVVTKTLKERQKSRNLQQEEEEKARERVLALVGSNAKASKLPLVAGTLAETTAATSRWGHQPSIEEVEEMKKNSALTQQASLVRYLEKKLALAIGKLRKANKALAKVQKHLEPADLPTDLETLSDEERILFRKIGLSMKPYLLLGRRGVYDGTIENMHLHWKYRELVKIIVKGENFAQVKHIAISLEAESGGLLVSLDKTTKGYAIIIYRGKNYMRPCVLRPKNLLTRRQALARSVELQRREALKHHVLDLQEKIELMKSELK >EOY30433 pep chromosome:Theobroma_cacao_20110822:9:4589673:4597553:-1 gene:TCM_037647 transcript:EOY30433 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY domain-containing protein, putative isoform 1 MELSPFPVNHQTFPTSSRSLYFLLLQAQTHCPNNSFRALKFKPSCCSHQTIKVGVEITRKRKPKPSFLDQIKDKWSLKPIISTREKFPWQEKEEFEEEEVERKQSFGGAISESERDEDPQVEGSDPVSSSFPSRVISAPWSHGSEFNEPHFDFVPEISNFESKIEDSFASEKTIEFPGGNKAEVVGGLIDKSESLNEEVNINKQKIGLPVGKEVAAVEGLNDVVSSRENFEVSNSDDEGGSVEGDSGRSKKRSNTEMVDRMIPEHESQRLRNVALRMVERTKVGVAGITQALVEYIHERWKMDEVVKLKFEEPLSLNMKRTHEILEQRTGGLVIWRSGSSLVLYRGMAYKLHCVQSYTSQNKVDMNALDCSTNVESDTTQNIVVKESVRTMECFMPSSSEYLKDLSKEELMDLCELNHLLDELGPRYKDWSGREPLPVDADLLPPVVPGYQPPFRRLPYGIRHCLKDHEMTTFRRLARTVPPHFALGRNRELQGLAEAIVKLWESSAIAKIAIKRGVQNTRNERMAEELKQLTGGTLLSRNKEFIVFYRGNDFLPPVVTKTLKERQKSRNLQQEEEEKARERVLALVGSNAKASKLPLVAGTLAETTAATSRWGHQPSIEEVEEMKKNSALTQQASLVRYLEKKLALAIGKLRKANKALAKVQKHLEPADLPTDLETLSDEERILFRKIGLSMKPYLLLGRRGVYDGTIENMHLHWKYRELVKIIVKGENFAQVKHIAISLEAESGGLLVSLDKTTKGYAIIIYRGKNYMRPCVLRPKNLLTRRQALARSVELQRREALKHHVLDLQEKIELMKSELEEMKTGKEIDVDKTSYSRLNKAPLFDEDIEEGEWEEEYLETYDSSEDDGHEQKELM >EOY30043 pep chromosome:Theobroma_cacao_20110822:9:3178707:3182141:1 gene:TCM_037388 transcript:EOY30043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad7, putative isoform 1 MGDVGFFEKTDSGENEGILGGSEENSKKENEEETAVFSRQQEATFNLDLNLQPVEEDFGNTFLGFDLGFPEKHADNSTNSKSLVFGVDLRRMERYPDVEIVGSPSKKRRFSVEEKGKAKLDGFDEEEEKLNLDLDLGLTQIGIDKAISSFGPPIEAEEQKDTEVEFLGSTNTLNTIDLVVGEIDYKRNDETEEFYVSRKREESRRHHEIARKFAQRLAHEVDSEGDLLKSFSKTNKDGALKNVVVVVDDDDDKAEDSESPFGMALEMIKTRNSSSTDKKKYSRGGLEAEFKWVPKNYKGSSISMARDVPSLLDLSLRALAKNAEAMVSLEHVPDVLRHKLSQLVCDNRKMDAHFLELLVRGSPTEIRVNDCSGVTEDEFTKMFGCCDTKNLIVLQLDLCGSCLPDYVLQGTLAHSSNSLPALVTLSLDGAYRLSDKGLNLLALSAPALQSINLSQCSLLTSAGINNLASCFESTLRELYLDECQNIQAMVVLPALKKLKCLEVLSLAGIQTVCDDFVVGMVEACGKNMKELVLANCVELTDISLKFVGKNCSRLCALDLSYLHNLTDSSMRYLANGCRSITKLKLCRNGFSDEAIAAFLEASGGSLTELSLNNIISVGLNTALSLSKCSRKLFSLDLSWCRNLTDEALGLIVDSCLLLRPVHA >EOY30040 pep chromosome:Theobroma_cacao_20110822:9:3177889:3182632:1 gene:TCM_037388 transcript:EOY30040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad7, putative isoform 1 MGDVGFFEKTDSGENEGILGGSEENSKKENEEETAVFSRQQEATFNLDLNLQPVEEDFGNTFLGFDLGFPEKHADNSTNSKSLVFGVDLRRMERYPDVEIVGSPSKKRRFSVEEKGKAKLDGFDEEEEKLNLDLDLGLTQIGIDKAISSFGPPIEAEEQKDTEVEFLGSTNTLNTIDLVVGEIDYKRNDETEEFYVSRKREESRRHHEIARKFAQRLAHEVDSEGDLLKSFSKTNKDGALKNVVVVVDDDDDKAEDSESPFGMALEMIKTRNSSSTDKKKYSRGGLEAEFKWVPKNYKGSSISMARDVPSLLDLSLRALAKNAEAMVSLEHVPDVLRHKLSQLVCDNRKMDAHFLELLVRGSPTEIRVNDCSGVTEDEFTKMFGCCDTKNLIVLQLDLCGSCLPDYVLQGTLAHSSNSLPALVTLSLDGAYRLSDKGLNLLALSAPALQSINLSQCSLLTSAGINNLASCFESTLRELYLDECQNIQAMVVLPALKKLKCLEVLSLAGIQTVCDDFVVGMVEACGKNMKELVLANCVELTDISLKFVGKNCSRLCALDLSYLHNLTDSSMRYLANGCRSITKLKLCRNGFSDEAIAAFLEASGGSLTELSLNNIISVGLNTALSLSKCSRKLFSLDLSWCRNLTDEALGLIVDSCLLLRLLKLFGCTQITEVFLGGHSNAQVQIIGLKMTTILKHLNMLEPQEAPLRYSPISSL >EOY30044 pep chromosome:Theobroma_cacao_20110822:9:3178710:3182939:1 gene:TCM_037388 transcript:EOY30044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad7, putative isoform 1 MGDVGFFEKTDSGENEGILGGSEENSKKENEEETAVFSRQQEATFNLDLNLQPVEEDFGNTFLGFDLGFPEKHADNSTNSKSLVFGVDLRRMERYPDVEIVGSPSKKRRFSVEEKGKAKLDGFDEEEEKLNLDLDLGLTQIGIDKAISSFGPPIEAEEQKDTEVEFLGSTNTLNTIDLVVGEIDYKRNDETEEFYVSRKREESRRHHEIARKFAQRLAHEVDSEGDLLKSFSKTNKDGALKNVVVVVDDDDDKAEDSESPFGMALEMIKTRNSSSTDKKKYSRGGLEAEFKWVPKNYKGSSISMARDVPSLLDLSLRALAKNAEAMVSLEHVPDVLRHKLSQLVCDNRKMDAHFLELLVRGSPTEIRVNDCSGVTEDEFTKMFGCCDTKNLIVLQLDLCGSCLPDYVLQGTLAHSSNSLPALVTLSLDGAYRLSDKGLNLLALSAPALQSINLSQCSLLTSAGINNLASCFESTLRELYLDECQNIQAMVVLPALKKLKCLEVLSLAGIQTVCDDFVVGMVEACGKNMKELVLANCVDEAIAAFLEASGGSLTELSLNNIISVGLNTALSLSKCSRKLFSLDLSWCRNLTDEALGLIVDSCLLLRLLKLFGCTQITEVFLGGHSNAQVQIIGLKMTTILKHLNMLEPQEAPLRYSPISSL >EOY30041 pep chromosome:Theobroma_cacao_20110822:9:3178710:3182939:1 gene:TCM_037388 transcript:EOY30041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad7, putative isoform 1 MGDVGFFEKTDSGENEGILGGSEENSKKENEEETAVFSRQQEATFNLDLNLQPVEEDFGNTFLGFDLGFPEKHADNSTNSKSLVFGVDLRRMERYPDVEIVGSPSKKRRFSVEEKGKAKLDGFDEEEEKLNLDLDLGLTQIGIDKAISSFGPPIEAEEQKDTEVEFLGSTNTLNTIDLVVGEIDYKRNDETEEFYVSRKREESRRHHEIARKFAQRLAHEVDSEGDLLKSFSKTNKDGALKNVVVVVDDDDDKAEDSESPFGMALEMIKTRNSSSTDKKKYSRGGLEAEFKWVPKNYKGSSISMARDVPSLLDLSLRALAKNAEAMVSLEHVPDVLRHKLSQLVCDNRKMDAHFLELLVRGSPTEIRVNDCSGVTEDEFTKMFGCCDTKNLIVLQLDLCGSCLPDYVLQGTLAHSSNSLPALVTLSLDGAYRLSDKGLNLLALSAPALQSINLSQCSLLTSAGINNLASCFESTLRELYLDECQNIQAMVVLPALKKLKCLEVLSLAGIQTVCDDFVVGMVEACGKNMKELVLANCVELTDISLKFVGKNCSRLCALDLSYLHNLTDSSMRYLANGCRSITKLKLCRNGFSDEAIAAFLEASGGSLTELSLNNIISVGLNTALSLSKCSRKLFSLDLSWCRNLTDEALGLIVDSCLLLRLLKLFGCTQVHLPSSLSLIKRHPVHA >EOY30042 pep chromosome:Theobroma_cacao_20110822:9:3178748:3182739:1 gene:TCM_037388 transcript:EOY30042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad7, putative isoform 1 MGDVGFFEKTDSGENEGILGGSEENSKKENEEETAVFSRQQEATFNLDLNLQPVEEDFGNTFLGFDLGFPEKHADNSTNSKSLVFGVDLRRMERYPDVEIVGSPSKKRRFSVEEKGKAKLDGFDEEEEKLNLDLDLGLTQIGIDKAISSFGPPIEAEEQKDTEVEFLGSTNTLNTIDLVVGEIDYKRNDETEEFYVSRKREESRRHHEIARKFAQRLAHEVDSEGDLLKSFSKTNKDGALKNVVVVVDDDDDKAEDSESPFGMALEMIKTRNSSSTDKKKYSRGGLEAEFKWVPKNYKGSSISMARDVPSLLDLSLRALAKNAEAMVSLEHVPDVLRHKLSQLVCDNRKMDAHFLELLVRGSPTEIRVNDCSGVTEDEFTKMFGCCDTKNLIVLQLDLCGSCLPDYVLQGTLAHSSNSLPALVTLSLDGAYRLSDKGLNLLALSAPALQSINLSQCSLLTSAGINNLASCFESTLRELYLDECQNIQAMVVLPALKKLKCLEVLSLAGIQTVCDDFVVGMVEACGKNMKELVLANCVELTDISLKFVGKNCSRLCALDLSYLHNLTDSSMRYLANGCRSITKLKLCRNGFSDEAIAAFLEASGGSLTELSLNNIISVVGLNTALSLSKCSRKLFSLDLSWCRNLTDEALGLIVDSCLLLRLLKLFGCTQITEVFLGGHSNAQVQIIGLKMTTILKHLNMLEPQEAPLRYSPISSL >EOY34421 pep chromosome:Theobroma_cacao_20110822:9:41033399:41035231:1 gene:TCM_042102 transcript:EOY34421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein, putative MCKNKMAANVSEPTPSRTPRTRPSQSPRDSRTRPSQSSSPSTSNPSSAAYTSTSSYAKGTSSGTSVSSRTSLSSLRESLPENPHIYDISEIRAATNNFLAKRYSSSSSSAASTASTAACWRCNLRGRDTVVFQRKFRRKIQTPQLKERLSVICRSHHMSIIKLLGASISEIATDLAHGLDYIHNNTGLNLSIVHNHIKSSSIIVTEPSFNAKICHFGTAQLCGETDENERRELKRETEIEEVLEEGDAANLRKLKRSDSGERQFEGARGYMSPEFRSSGVATQKSDVFAFGVVILELLSGEEPLKYRYDKRTGDFVRTSVTETAAAAVEARETLRRWMDRRLNDSFPVEVADKLIRLALDCVHVDPDKRPSMGRVAGKISKLYLESRIWSDNVKLPTGISVSLAPR >EOY33652 pep chromosome:Theobroma_cacao_20110822:9:38393488:38399623:-1 gene:TCM_041558 transcript:EOY33652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranyl diphosphate synthase 1, putative isoform 3 MATALSVRIPELPPAGVGDTLPTDLRTSQQRIAEITEMIHVASLLHDDVLDDADKRRGICSLNAVMGNKLAVLAGDFLLSRACVSLASLKNTEVVTLIATVVENLVTGETMQLTTASKQRFSMDYYMQKTYNKTASLISNSCKSIAILAGHTAEVAMLAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPILFAMEEFPELHAVVDQGFKNPANVDIALGFLGKSSGIQRTKELAMKHANLAAQVIESLPESDDANVIRSRQALIDLTQRVLTRNK >EOY33650 pep chromosome:Theobroma_cacao_20110822:9:38393481:38400188:-1 gene:TCM_041558 transcript:EOY33650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranyl diphosphate synthase 1, putative isoform 3 MARAALLHLLRHRSVATATAPLSAYKCLSSNSKTPSGIRWTSICRAFSSKAAVNDLIGIDMANTDSGVAVMEEKERLDPFSLVADELSLIANRLRSMVVTEVPNLASAAEYFFKIGAEGKRFRPTVLLLMATALSVRIPELPPAGVGDTLPTDLRTSQQRIAEITEMIHVASLLHDDVLDDADKRRGICSLNAVMGNKLAVLAGDFLLSRACVSLASLKNTEVVTLIATVVENLVTGETMQLTTASKQRFSMDYYMQKTYNKTASLISNSCKSIAILAGHTAEVAMLAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPILFAMEEFPELHAVVDQGFKNPANVDIALGFLGKSSGIQRTKELAMKHANLAAQVIESLPESDDANVIRSRQALIDLTQRVLTRNK >EOY33651 pep chromosome:Theobroma_cacao_20110822:9:38393488:38400173:-1 gene:TCM_041558 transcript:EOY33651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranyl diphosphate synthase 1, putative isoform 3 MEVRHGIQSWVLLLMATALSVRIPELPPAGVGDTLPTDLRTSQQRIAEITEMIHVASLLHDDVLDDADKRRGICSLNAVMGNKLAVLAGDFLLSRACVSLASLKNTEVVTLIATVVENLVTGETMQLTTASKQRFSMDYYMQKTYNKTASLISNSCKSIAILAGHTAEVAMLAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPILFAMEEFPELHAVVDQGFKNPANVDIALGFLGKSSGIQRTKELAMKHANLAAQVIESLPESDDANVIRSRQALIDLTQRVLTRNK >EOY29402 pep chromosome:Theobroma_cacao_20110822:9:1061362:1076007:-1 gene:TCM_036949 transcript:EOY29402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDNSWRIKFDSTLQSSMPSMASSASQEPQNQMVINSGQYFHQHVAQDLSSTLHGRMRDPMPPNSSNLCSIKSNHSEQANSFLALLSGSPSLLQCDFQELSSRKVFNASRSVNINDFGSEIPPIAGALLSETLSNQNTQNGANSVVPSRLVLSSTGSGVSFLHGSLHASNSNLQTSDLAKVVNHLRLPGTEKVKDVPTLNGDWYGTSSTTKAGNLYSKNIQMSTKRAEELNSSTSDQSSTNLSGCPRVFCLGTGGYLLLSNTGLLGIVCSCHFFHTSVSKFCEHSGLCDVNPGDAVRMESGETIAQWRKLYFEKFGIRVPEDHSGWDWPEGLLPTAGLVKSSATEPKISKTSHLVNQVGSSQGLSRCMDNTMSPSNPQTGQNSATGLLHNKQDQKIEGSSNFLLKHLIGASQSNLHDVADGQRMECAVTRSSTMSTFVGRDSDNGCQSMSVWIDSILKTGNSSLAHSSLQNLRSLGQNYDVSAAKIADDGVISDRDATSSNVELKLGQPYQQNQPIGNTALPFIARKRFGTVVDPPKSCYPEPMIHHANFCGEEESRQYCHHDADSSNRTARRQQSHLILGNHAFGVSSVMDATKLDKCRGDATKSLVVPLLPQLPLEGSARSRGASNMAGEFSMPKTFHCESNTTKCDPLNTPLTIGNTLGRQLNMPELGFCRLTDKGNAGSECVSFCTATDPALRIHQQVENPRNVTGVVPGFSAVHGMDSCQSSNIHSDRFDERSCLNLPGNSSFIGSSGYTDQAYLRMMSSHLGSGQISQSSAASMGYQLATSTFIPGPTSTISQESPCLLDDSMRLLALRQILELSKQHATSSVGMSHELGRFDRTSNPNVQHCLMESSKSREDRHGAIVPSKLDVFEGAAASVPSPAAEKSIPMTGLNSRCDFSTLTQGLSLCSREVDIPCQFSNEPFPNQSTLRLIRGESITQSSEHAKCCQRVPCTYFQGNCNCSAHAKCLEGYSECRVGRSHVTSKEQFGVCREAPMSVTSEFVRDHVIPKERTSLLYQGGKVKGQLPVRIACHASQWRDVPSKQKEACKMTRINPSAEVLDASGCAEDQHGDAGMRCIGSAVNRAASFKGQDMSNISSGCSAPDVTQASIEVNNMDSSTIDAEDNGYMNDLVVDEGSGIDKCCSSNDAHESERSAAFIGVSCRSKIRTKGSPRIPNGQPSFSLLDELKLIDSLTWKKGKNQIYTSITGSGRTNHLKKIRRGSKAGKRKRTVKFRTLDAAFPPKVSFRHCSSNNGSPQLPSRSSKDWQTLIPSGLEPHGDTDLIQPGELFSAKIVSQKRDLHGVYNDQDGEEDYQPELKCDARFGKIPEVSGRKKLKRAGAFDSFESLGTSKSILRTVEKSYNSNAVHCIKAFSSLEVTFCDKKDRPIVCGEYGEICSRKFATDELRPAKIVPLSRVLKNTEQCTLQKSCKPKSTLRKSKKKRRPKSTVYFDLKKAEENGGNQFSVSHEVSGCHVEEGKKTCVSGIKQFDNNSFLLEKGKDDRSEKYCCIPDGIAYNRSNIRCKEIRKRSLYELTGKGKESGSDSHPLMEISKCMPKMKVRKSLKETGDVESHGHRSSNMNAEKSIMQTRCSSIVDSDVFCCVCGSSNKDEFNCLLECSRCSIRVHQACYGILKVPRGHWYCRPCRTSSKDTVCVLCGYGGGAMTQALRSRAFVKGLLKAWNIEAECGPKSTNYSAETVLDDQSLVVSNSFCNLQFKDLELSRTASWKLDVQNQLDIIRNSPCPDSKLNLYNSVTAGVLDSTVKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGVSRKRENVVCSICNRPGGSCIQCRVVDCSVRFHPWCAHQKGLLQSEVEGIDNENVGFYGRCMLHASHCTCESGSEPTDAELSPSRERESTCARTEGFKGRKQDGFWHNIYGQSKRKTGCFVPQEQLNAWIHINGQKSCMQGLPKLPTSDMEYDCRKEYARYKQAKGWKHLVVYKSGIHALGLYTSRFISRGEMVVEYVGEIVGLRVADKRENEYESGRKVQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKVISVRNEKKVVFFAERDIYPGEEITYDYHFNHEDEGKKIPCFCNSKNCRRYLN >EOY29401 pep chromosome:Theobroma_cacao_20110822:9:1061788:1076007:-1 gene:TCM_036949 transcript:EOY29401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDNTMSPSNPQTGQNSATGLLHNKQDQKIEGSSNFLLKHLIGASQSNLHDVADGQRMECAVTRSSTMSTFVGRDSDNGCQSMSVWIDSILKTGNSSLAHSSLQNLRSLGQNYDVSAAKIADDGVISDRDATSSNVELKLGQPYQQNQPIGNTALPFIARKRFGTVVDPPKSCYPEPMIHHANFCGEEESRQYCHHDADSSNRTARRQQSHLILGNHAFGVSSVMDATKLDKCRGDATKSLVVPLLPQLPLEGSARSRGASNMAGEFSMPKTFHCESNTTKCDPLNTPLTIGNTLGRQLNMPELGFCRLTDKGNAGSECVSFCTATDPALRIHQQVENPRNVTGVVPGFSAVHGMDSCQSSNIHSDRFDERSCLNLPGNSSFIGSSGYTDQAYLRMMSSHLGSGQISQSSAASMGYQLATSTFIPGPTSTISQESPCLLDDSMRLLALRQILELSKQHATSSVGMSHELGRFDRTSNPNVQHCLMESSKSREDRHGAIVPSKLDVFEGAAASVPSPAAEKSIPMTGLNSRCDFSTLTQGLSLCSREVDIPCQFSNEPFPNQSTLRLIRGESITQSSEHAKCCQRVPCTYFQGNCNCSAHAKCLEGYSECRVGRSHVTSKEQFGVCREAPMSVTSEFVRDHVIPKERTSLLYQGGKVKGQLPVRIACHASQWRDVPSKQKEACKMTRINPSAEVLDASGCAEDQHGDAGMRCIGSAVNRAASFKGQDMSNISSGCSAPDVTQASIEVNNMDSSTIDAEDNGYMNDLVVDEGSGIDKCCSSNDAHESERSAAFIGVSCRSKIRTKGSPRIPNGQPSFSLLDELKLIDSLTWKKGKNQIYTSITGSGRTNHLKKIRRGSKAGKRKRTVKFRTLDAAFPPKVSFRHCSSNNGSPQLPSRSSKDWQTLIPSGLEPHGDTDLIQPGELFSAKIVSQKRDLHGVYNDQDGEEDYQPELKCDARFGKIPEVSGRKKLKRAGAFDSFESLGTSKSILRTVEKSYNSNAVHCIKAFSSLEVTFCDKKDRPIVCGEYGEICSRKFATDELRPAKIVPLSRVLKNTEQCTLQKSCKPKSTLRKSKKKRRPKSTVYFDLKKAEENGGNQFSVSHEVSGCHVEEGKKTCVSGIKQFDNNSFLLEKGKDDRSEKYCCIPDGIAYNRSNIRCKEIRKRSLYELTGKGKESGSDSHPLMEISKCMPKMKVRKSLKETGDVESHGHRSSNMNAEKSIMQTRCSSIVDSDVFCCVCGSSNKDEFNCLLECSRCSIRVHQACYGILKVPRGHWYCRPCRTSSKDTVCVLCGYGGGAMTQALRSRAFVKGLLKAWNIEAECGPKSTNYSAETVLDDQSLVVSNSFCNLQFKDLELSRTASWKLDVQNQLDIIRNSPCPDSKLNLYNSVTAGVLDSTVKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGVSRKRENVVCSICNRPGGSCIQCRVVDCSVRFHPWCAHQKGLLQSEVEGIDNENVGFYGRCMLHASHCTCESGSEPTDAELSPSRERESTCARTEGFKGRKQDGFWHNIYGQSKRKTGCFVPQEQLNAWIHINGQKSCMQGLPKLPTSDMEYDCRKEYARYKQAKGWKHLVVYKSGIHALGLYTSRFISRGEMVVEYVGEIVGLRVADKRENEYESGRKVQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKVISVRNEKKVVFFAERDIYPGEEITYDYHFNHEDEGKKIPCFCNSKNCRRYLN >EOY29405 pep chromosome:Theobroma_cacao_20110822:9:1061362:1076007:-1 gene:TCM_036949 transcript:EOY29405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDNTMSPSNPQTGQNSATGLLHNKQDQKIEGSSNFLLKHLIGASQSNLHDVADGQRMECAVTRSSTMSTFVGRDSDNGCQSMSVWIDSILKTGNSSLAHSSLQNLRSLGQNYDVSAAKIADDGVISDRDATSSNVELKLGQPYQQNQPIGNTALPFIARKRFGTVVDPPKSCYPEPMIHHANFCGEEESRQYCHHDADSSNRTARRQQSHLILGNHAFGVSSVMDATKLDKCRGDATKSLVVPLLPQLPLEGSARSRGASNMAGEFSMPKTFHCESNTTKCDPLNTPLTIGNTLGRQLNMPELGFCRLTDKGNAGSECVSFCTATDPALRIHQQVENPRNVTGVVPGFSAVHGMDSCQSSNIHSDRFDERSCLNLPGNSSFIGSSGYTDQAYLRMMSSHLGSGQISQSSAASMGYQLATSTFIPGPTSTISQESPCLLDDSMRLLALRQILELSKQHATSSVGMSHELGRFDRTSNPNVQHCLMESSKSREDRHGAIVPSKLDVFEGAAASVPSPAAEKSIPMTGLNSRCDFSTLTQGLSLCSREVDIPCQFSNEPFPNQSTLRLIRGESITQSSEHAKCCQRVPCTYFQGNCNCSAHAKCLEGYSECRVGRSHVTSKEQFGVCREAPMSVTSEFVRDHVIPKERTSLLYQGGKVKGQLPVRIACHASQWRDVPSKQKEACKMTRINPSAEVLDASGCAEDQHGDAGMRCIGSAVNRAASFKGQDMSNISSGCSAPDVTQASIEVNNMDSSTIDAEDNGYMNDLVVDEGSGIDKCCSSNDAHESERSAAFIGVSCRSKIRTKGSPRIPNGQPSFSLLDELKLIDSLTWKKGKNQIYTSITGSGRTNHLKKIRRGSKAGKRKRTVKFRTLDAAFPPKVSFRHCSSNNGSPQLPSRSSKDWQTLIPSGLEPHGDTDLIQPGELFSAKIVSQKRDLHGVYNDQDGEEDYQPELKCDARFGKIPEVSGRKKLKRAGAFDSFESLGTSKSILRTVEKSYNSNAVHCIKAFSSLEVTFCDKKDRPIVCGEYGEICSRKFATDELRPAKIVPLSRVLKNTEQCTLQKSCKPKSTLRKSKKKRRPKSTVYFDLKKAEENGGNQFSVSHEVSGCHVEEGKKTCVSGIKQFDNNSFLLEKGKDDRSEKYCCIPDGIAYNRSNIRCKEIRKRSLYELTGKGKESGSDSHPLMEISKCMPKMKVRKSLKETGDVESHGHRSSNMNAEKSIMQTRCSSIVDSDVFCCVCGSSNKDEFNCLLECSRCSIRVHQACYGILKVPRGHWYCRPCRTSSKDTVCVLCGYGGGAMTQALRSRAFVKGLLKAWNIEAECGPKSTNYSAETVLDDQSLVVSNSFCNLQFKDLELSRTASWKLDVQNQLDIIRNSPCPDSKLNLYNSVTAGVLDSTVKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGVSRKRENVVCSICNRPGGSCIQCRVVDCSVRFHPWCAHQKGLLQSEVEGIDNENVGFYGRCMLHASHCTCESGSEPTDAELSPSRERESTCARTEGFKGRKQDGFWHNIYGQSKRKTGCFVPQEQLNAWIHINGQKSCMQGLPKLPTSDMEYDCRKEYARYKQAKGWKHLVVYKSGIHALGLYTSRFISRGEMVVEYVGEIVGLRVADKRENEYESGRKVQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKVISVRNEKKVVFFAERDIYPGEEITYDYHFNHEDEGKKIPCFCNSKNCRRYLN >EOY29408 pep chromosome:Theobroma_cacao_20110822:9:1065011:1072654:-1 gene:TCM_036949 transcript:EOY29408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDNTMSPSNPQTGQNSATGLLHNKQDQKIEGSSNFLLKHLIGASQSNLHDVADGQRMECAVTRSSTMSTFVGRDSDNGCQSMSVWIDSILKTGNSSLAHSSLQNLRSLGQNYDVSAAKIADDGVISDRDATSSNVELKLGQPYQQNQPIGNTALPFIARKRFGTVVDPPKSCYPEPMIHHANFCGEEESRQYCHHDADSSNRTARRQQSHLILGNHAFGVSSVMDATKLDKCRGDATKSLVVPLLPQLPLEGSARSRGASNMAGEFSMPKTFHCESNTTKCDPLNTPLTIGNTLGRQLNMPELGFCRLTDKGNAGSECVSFCTATDPALRIHQQVENPRNVTGVVPGFSAVHGMDSCQSSNIHSDRFDERSCLNLPGNSSFIGSSGYTDQAYLRMMSSHLGSGQISQSSAASMGYQLATSTFIPGPTSTISQESPCLLDDSMRLLALRQILELSKQHATSSVGMSHELGRFDRTSNPNVQHCLMESSKSREDRHGAIVPSKLDVFEGAAASVPSPAAEKSIPMTGLNSRCDFSTLTQGLSLCSREVDIPCQFSNEPFPNQSTLRLIRGESITQSSEHAKCCQRVPCTYFQGNCNCSAHAKCLEGYSECRVGRSHVTSKEQFGVCREAPMSVTSEFVRDHVIPKERTSLLYQGGKVKGQLPVRIACHASQWRDVPSKQKEACKMTRINPSAEVLDASGCAEDQHGDAGMRCIGSAVNRAASFKGQDMSNISSGCSAPDVTQASIEVNNMDSSTIDAEDNGYMNDLVVDEGSGIDKCCSSNDAHESERSAAFIGVSCRSKIRTKGSPRIPNGQPSFSLLDELKLIDSLTWKKGKNQIYTSITGSGRTNHLKKIRRGSKAGKRKRTVKFRTLDAAFPPKVSFRHCSSNNGSPQLPSRSSKDWQTLIPSGLEPHGDTDLIQPGELFSAKIVSQKRDLHGVYNDQDGEEDYQPELKCDARFGKIPEVSGRKKLKRAGAFDSFESLGTSKSILRTVEKSYNSNAVHCIKAFSSLEVTFCDKKDRPIVCGEYGEICSRKFATDELRPAKIVPLSRVLKNTEQCTLQKSCKPKSTLRKSKKKRRPKSTVYFDLKKAEENGGNQFSVSHEVSGCHVEEGKKTCVSGIKQFDNNSFLLEKGKDDRSEKYCCIPDGIAYNRSNIRCKEIRKRSLYELTGKGKESGSDSHPLMEISKCMPKMKVRKSLKETGDVESHGHRSSNMNAEKSIMQTRCSSIVDSDVFCCVCGSSNKDEFNCLLECSRCSIRVHQACYGILKVPRGHWYCRPCRTSSKDTVCVLCGYGGGAMTQALRSRAFVKGLLKAWNIEAECGPKSTNYSAETVLDDQSLVVSNSFCNLQFKDLELSRTASWKLDVQNQLDIIRNSPCPDSKLNLYNSVTAGVLDSTVKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGVSRKRENVVCSICNRPGGSCIQCRVVDCSVRFHPWCAHQKGLLQSEVEGIDNENVGFYGRCMLHASHCTCESGSEPTDAELSPSRERESTCARTEGFKGRKQDGFWHNIYGQSKRKTGCFVPQEQLNAWIHINGQKSCMQGLPKLPTSDMEYDCRKEYARYKQAKGWKHLVVYKSGIHALGLYTSRFISRGEMV >EOY29406 pep chromosome:Theobroma_cacao_20110822:9:1063032:1076007:-1 gene:TCM_036949 transcript:EOY29406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDNTMSPSNPQTGQNSATGLLHNKQDQKIEGSSNFLLKHLIGASQSNLHDVADGQRMECAVTRSSTMSTFVGRDSDNGCQSMSVWIDSILKTGNSSLAHSSLQNLRSLGQNYDVSAAKIADDGVISDRDATSSNVELKLGQPYQQNQPIGNTALPFIARKRFGTVVDPPKSCYPEPMIHHANFCGEEESRQYCHHDADSSNRTARRQQSHLILGNHAFGVSSVMDATKLDKCRGDATKSLVVPLLPQLPLEGSARSRGASNMAGEFSMPKTFHCESNTTKCDPLNTPLTIGNTLGRQLNMPELGFCRLTDKGNAGSECVSFCTATDPALRIHQQVENPRNVTGVVPGFSAVHGMDSCQSSNIHSDRFDERSCLNLPGNSSFIGSSGYTDQAYLRMMSSHLGSGQISQSSAASMGYQLATSTFIPGPTSTISQESPCLLDDSMRLLALRQILELSKQHATSSVGMSHELGRFDRTSNPNVQHCLMESSKSREDRHGAIVPSKLDVFEGAAASVPSPAAEKSIPMTGLNSRCDFSTLTQGLSLCSREVDIPCQFSNEPFPNQSTLRLIRGESITQSSEHAKCCQRVPCTYFQGNCNCSAHAKCLEGYSECRVGRSHVTSKEQFGVCREAPMSVTSEFVRDHVIPKERTSLLYQGGKVKGQLPVRIACHASQWRDVPSKQKEACKMTRINPSAEVLDASGCAEDQHGDAGMRCIGSAVNRAASFKGQDMSNISSGCSAPDVTQASIEVNNMDSSTIDAEDNGYMNDLVVDEGSGIDKCCSSNDAHESERSAAFIGVSCRSKIRTKGSPRIPNGQPSFSLLDELKLIDSLTWKKGKNQIYTSITGSGRTNHLKKIRRGSKAGKRKRTVKFRTLDAAFPPKVSFRHCSSNNGSPQLPSRSSKDWQTLIPSGLEPHGDTDLIQPGELFSAKIVSQKRDLHGVYNDQDGEEDYQPELKCDARFGKIPEVSGRKKLKRAGAFDSFESLGTSKSILRTVEKSYNSNAVHCIKAFSSLEVTFCDKKDRPIVCGEYGEICSRKFATDELRPAKIVPLSRVLKNTEQCTLQKSCKPKSTLRKSKKKRRPKSTVYFDLKKAEENGGNQFSVSHEVSGCHVEEGKKTCVSGIKQFDNNSFLLEKGKDDRSEKYCCIPDGIAYNRSNIRCKEIRKRSLYELTGKGKESGSDSHPLMEISKCMPKMKVRKSLKETGDVESHGHRSSNMNAEKSIMQTRCSSIVDSDVFCCVCGSSNKDEFNCLLECSRCSIRVHQACYGILKVPRGHWYCRPCRTSSKDTVCVLCGYGGGAMTQALRSRAFVKGLLKAWNIEAECGPKSTNYSAETVLDDQSLVVSNSFCNLQFKDLELSRTASWKLDVQNQLDIIRNSPCPDSKLNLYNSVTAGVLDSTVKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGVSRKRENVVCSICNRPGGSCIQCRVVDCSVRFHPWCAHQKGLLQSEVEGIDNENVGFYGRCMLHASHCTCESGSEPTDAELSPSRERESTCARTEGFKGRKQDGFWHNIYGQSKRKTGCFVPQEQLNAWIHINGQKSCMQGLPKLPTSDMEYDCRKEYARYKQAKGWKHLVVYKSGIHALGLYTSRFISRGEMVVEYVGEIVGLRVADKRENEYESGRKVQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKVISVRNEKKVVFFAERDIYPGEEITYDYHFNHEDEGKKIPCFCNSKNCRRYLN >EOY29407 pep chromosome:Theobroma_cacao_20110822:9:1063841:1076007:-1 gene:TCM_036949 transcript:EOY29407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDNSWRIKFDSTLQSSMPSMASSASQEPQNQMVINSGQYFHQHVAQDLSSTLHGRMRDPMPPNSSNLCSIKSNHSEQANSFLALLSGSPSLLQCDFQELSSRKVFNASRSVNINDFGSEIPPIAGALLSETLSNQNTQNGANSVVPSRLVLSSTGSGVSFLHGSLHASNSNLQTSDLAKVVNHLRLPGTEKVKDVPTLNGDWYGTSSTTKAGNLYSKNIQMSTKRAEELNSSTSDQSSTNLSGCPRVFCLGTGGYLLLSNTGLLGIVCSCHFFHTSVSKFCEHSGLCDVNPGDAVRMESGETIAQWRKLYFEKFGIRVPEDHSGWDWPEGLLPTAGLVKSSATEPKISKTSHLVNQVGSSQGLSRCMDNTMSPSNPQTGQNSATGLLHNKQDQKIEGSSNFLLKHLIGASQSNLHDVADGQRMECAVTRSSTMSTFVGRDSDNGCQSMSVWIDSILKTGNSSLAHSSLQNLRSLGQNYDVSAAKIADDGVISDRDATSSNVELKLGQPYQQNQPIGNTALPFIARKRFGTVVDPPKSCYPEPMIHHANFCGEEESRQYCHHDADSSNRTARRQQSHLILGNHAFGVSSVMDATKLDKCRGDATKSLVVPLLPQLPLEGSARSRGASNMAGEFSMPKTFHCESNTTKCDPLNTPLTIGNTLGRQLNMPELGFCRLTDKGNAGSECVSFCTATDPALRIHQQVENPRNVTGVVPGFSAVHGMDSCQSSNIHSDRFDERSCLNLPGNSSFIGSSGYTDQAYLRMMSSHLGSGQISQSSAASMGYQLATSTFIPGPTSTISQESPCLLDDSMRLLALRQILELSKQHATSSVGMSHELGRFDRTSNPNVQHCLMESSKSREDRHGAIVPSKLDVFEGAAASVPSPAAEKSIPMTGLNSRCDFSTLTQGLSLCSREVDIPCQFSNEPFPNQSTLRLIRGESITQSSEHAKCCQRVPCTYFQGNCNCSAHAKCLEGYSECRVGRSHVTSKEQFGVCREAPMSVTSEFVRDHVIPKERTSLLYQGGKVKGQLPVRIACHASQWRDVPSKQKEACKMTRINPSAEVLDASGCAEDQHGDAGMRCIGSAVNRAASFKGQDMSNISSGCSAPDVTQASIEVNNMDSSTIDAEDNGYMNDLVVDEGSGIDKCCSSNDAHESERSAAFIGVSCRSKIRTKGSPRIPNGQPSFSLLDELKLIDSLTWKKGKNQIYTSITGSGRTNHLKKIRRGSKAGKRKRTVKFRTLDAAFPPKVSFRHCSSNNGSPQLPSRSSKDWQTLIPSGLEPHGDTDLIQPGELFSAKIVSQKRDLHGVYNDQDGEEDYQPELKCDARFGKIPEVSGRKKLKRAGAFDSFESLGTSKSILRTVEKSYNSNAVHCIKAFSSLEVTFCDKKDRPIVCGEYGEICSRKFATDELRPAKIVPLSRVLKNTEQCTLQKSCKPKSTLRKSKKKRRPKSTVYFDLKKAEENGGNQFSVSHEVSGCHVEEGKKTCVSGIKQFDNNSFLLEKGKDDRSEKYCCIPDGIAYNRSNIRCKEIRKRSLYELTGKGKESGSDSHPLMEISKCMPKMKVRKSLKETGDVESHGHRSSNMNAEKSIMQTRCSSIVDSDVFCCVCGSSNKDEFNCLLECSRCSIRVHQACYGILKVPRGHWYCRPCRTSSKDTVCVLCGYGGGAMTQALRSRAFVKGLLKAWNIEAECGPKSTNYSAETVLDDQSLVVSNSFCNLQFKDLELSRTASWKLDVQNQLDIIRNSPCPDSKLNLYNSVTAGVLDSTVKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGVSRKRENVVCSICNRPGGSCIQCRVVDCSVRFHPWCAHQKGLLQSEVEGIDNENVGFYGRCMLHASHCTCESGSEPTDAELSPSRERESTCARTEGFKGRKQDGFWHNIYGQSKRKTGCFVPQEQLNAWIHINGQKSCMQGLPKLPTSDMEYDCRKEYARYKQAKGWKHLVVYKSGIHALGLYTSRFISRGEMVVEYVGEIVGLRVADKRENEYESGRKVQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKVISVRNEKKVVFFAERD >EOY29403 pep chromosome:Theobroma_cacao_20110822:9:1061788:1076007:-1 gene:TCM_036949 transcript:EOY29403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDNTMSPSNPQTGQNSATGLLHNKQDQKIEGSSNFLLKHLIGASQSNLHDVADGQRMECAVTRSSTMSTFVGRDSDNGCQSMSVWIDSILKTGNSSLAHSSLQNLRSLGQNYDVSAAKIADDGVISDRDATSSNVELKLGQPYQQNQPIGNTALPFIARKRFGTVVDPPKSCYPEPMIHHANFCGEEESRQYCHHDADSSNRTARRQQSHLILGNHAFGVSSVMDATKLDKCRGDATKSLVVPLLPQLPLEGSARSRGASNMAGEFSMPKTFHCESNTTKCDPLNTPLTIGNTLGRQLNMPELGFCRLTDKGNAGSECVSFCTATDPALRIHQQVENPRNVTGVVPGFSAVHGMDSCQSSNIHSDRFDERSCLNLPGNSSFIGSSGYTDQAYLRMMSSHLGSGQISQSSAASMGYQLATSTFIPGPTSTISQESPCLLDDSMRLLALRQILELSKQHATSSVGMSHELGRFDRTSNPNVQHCLMESSKSREDRHGAIVPSKLDVFEGAAASVPSPAAEKSIPMTGLNSRCDFSTLTQGLSLCSREVDIPCQFSNEPFPNQSTLRLIRGESITQSSEHAKCCQRVPCTYFQGNCNCSAHAKCLEGYSECRVGRSHVTSKEQFGVCREAPMSVTSEFVRDHVIPKERTSLLYQGGKVKGQLPVRIACHASQWRDVPSKQKEACKMTRINPSAEVLDASGCAEDQHGDAGMRCIGSAVNRAASFKGQDMSNISSGCSAPDVTQASIEVNNMDSSTIDAEDNGYMNDLVVDEGSGIDKCCSSNDAHESERSAAFIGVSCRSKIRTKGSPRIPNGQPSFSLLDELKLIDSLTWKKGKNQIYTSITGSGRTNHLKKIRRGSKAGKRKRTVKFRTLDAAFPPKVSFRHCSSNNGSPQLPSRSSKDWQTLIPSGLEPHGDTDLIQPGELFSAKIVSQKRDLHGVYNDQDGEEDYQPELKCDARFGKIPEVSGRKKLKRAGAFDSFESLGTSKSILRTVEKSYNSNAVHCIKAFSSLEVTFCDKKDRPIVCGEYGEICSRKFATDELRPAKIVPLSRVLKNTEQCTLQKSCKPKSTLRKSKKKRRPKSTVYFDLKKAEENGGNQFSVSHEVSGCHVEEGKKTCVSGIKQFDNNSFLLEKGKDDRSEKYCCIPDGIAYNRSNIRCKEIRKRSLYELTGKGKESGSDSHPLMEISKCMPKMKVRKSLKETGDVESHGHRSSNMNAEKSIMQTRCSSIVDSDVFCCVCGSSNKDEFNCLLECSRCSIRVHQACYGILKVPRGHWYCRPCRTSSKDTVCVLCGYGGGAMTQALRSRAFVKGLLKAWNIEAECGPKSTNYSAETVLDDQSLVVSNSFCNLQFKDLELSRTASWKLDVQNQLDIIRNSPCPDSKLNLYNSVTAGVLDSTVKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGVSRKRENVVCSICNRPGGSCIQCRVVDCSVRFHPWCAHQKGLLQSEVEGIDNENVGFYGRCMLHASHCTCESGSEPTDAELSPSRERESTCARTEGFKGRKQDGFWHNIYGQSKRKTGCFVPQEQLNAWIHINGQKSCMQGLPKLPTSDMEYDCRKEYARYKQAKGWKHLVVYKSGIHALGLYTSRFISRGEMVVEYVGEIVGLRVADKRENEYESGRKVQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKVISVRNEKKVVFFAERDIYPGEEITYDYHFNHEDEGKKIPCFCNSKNCRRYLN >EOY29400 pep chromosome:Theobroma_cacao_20110822:9:1063730:1072654:-1 gene:TCM_036949 transcript:EOY29400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDNTMSPSNPQTGQNSATGLLHNKQDQKIEGSSNFLLKHLIGASQSNLHDVADGQRMECAVTRSSTMSTFVGRDSDNGCQSMSVWIDSILKTGNSSLAHSSLQNLRSLGQNYDVSAAKIADDGVISDRDATSSNVELKLGQPYQQNQPIGNTALPFIARKRFGTVVDPPKSCYPEPMIHHANFCGEEESRQYCHHDADSSNRTARRQQSHLILGNHAFGVSSVMDATKLDKCRGDATKSLVVPLLPQLPLEGSARSRGASNMAGEFSMPKTFHCESNTTKCDPLNTPLTIGNTLGRQLNMPELGFCRLTDKGNAGSECVSFCTATDPALRIHQQVENPRNVTGVVPGFSAVHGMDSCQSSNIHSDRFDERSCLNLPGNSSFIGSSGYTDQAYLRMMSSHLGSGQISQSSAASMGYQLATSTFIPGPTSTISQESPCLLDDSMRLLALRQILELSKQHATSSVGMSHELGRFDRTSNPNVQHCLMESSKSREDRHGAIVPSKLDVFEGAAASVPSPAAEKSIPMTGLNSRCDFSTLTQGLSLCSREVDIPCQFSNEPFPNQSTLRLIRGESITQSSEHAKCCQRVPCTYFQGNCNCSAHAKCLEGYSECRVGRSHVTSKEQFGVCREAPMSVTSEFVRDHVIPKERTSLLYQGGKVKGQLPVRIACHASQWRDVPSKQKEACKMTRINPSAEVLDASGCAEDQHGDAGMRCIGSAVNRAASFKGQDMSNISSGCSAPDVTQASIEVNNMDSSTIDAEDNGYMNDLVVDEGSGIDKCCSSNDAHESERSAAFIGVSCRSKIRTKGSPRIPNGQPSFSLLDELKLIDSLTWKKGKNQIYTSITGSGRTNHLKKIRRGSKAGKRKRTVKFRTLDAAFPPKVSFRHCSSNNGSPQLPSRSSKDWQTLIPSGLEPHGDTDLIQPGELFSAKIVSQKRDLHGVYNDQDGEEDYQPELKCDARFGKIPEVSGRKKLKRAGAFDSFESLGTSKSILRTVEKSYNSNAVHCIKAFSSLEVTFCDKKDRPIVCGEYGEICSRKFATDELRPAKIVPLSRVLKNTEQCTLQKSCKPKSTLRKSKKKRRPKSTVYFDLKKAEENGGNQFSVSHEVSGCHVEEGKKTCVSGIKQFDNNSFLLEKGKDDRSEKYCCIPDGIAYNRSNIRCKEIRKRSLYELTGKGKESGSDSHPLMEISKCMPKMKVRKSLKETGDVESHGHRSSNMNAEKSIMQTRCSSIVDSDVFCCVCGSSNKDEFNCLLECSRCSIRVHQACYGILKVPRGHWYCRPCRTSSKDTVCVLCGYGGGAMTQALRSRAFVKGLLKAWNIEAECGPKSTNYSAETVLDDQSLVVSNSFCNLQFKDLELSRTASWKLDVQNQLDIIRNSPCPDSKLNLYNSVTAGVLDSTVKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGVSRKRENVVCSICNRPGGSCIQCRVVDCSVRFHPWCAHQKGLLQSEVEGIDNENVGFYGRCMLHASHCTCESGSEPTDAELSPSRERESTCARTEGFKGRKQDGFWHNIYGQSKRKTGCFVPQEQLNAWIHINGQKSCMQGLPKLPTSDMEYDCRKEYARYKQAKGWKHLVVYKSGIHALGLYTSRFISRGEMVVEYVGEIVGLRVADKRENEYESGRKVQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKVISVRNEKKVVFFAERDIYPGEEITYDYHFNHEDEGKKIPCFCNSKNCRRYLN >EOY29404 pep chromosome:Theobroma_cacao_20110822:9:1063730:1072654:-1 gene:TCM_036949 transcript:EOY29404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MDNTMSPSNPQTGQNSATGLLHNKQDQKIEGSSNFLLKHLIGASQSNLHDVADGQRMECAVTRSSTMSTFVGRDSDNGCQSMSVWIDSILKTGNSSLAHSSLQNLRSLGQNYDVSAAKIADDGVISDRDATSSNVELKLGQPYQQNQPIGNTALPFIARKRFGTVVDPPKSCYPEPMIHHANFCGEEESRQYCHHDADSSNRTARRQQSHLILGNHAFGVSSVMDATKLDKCRGDATKSLVVPLLPQLPLEGSARSRGASNMAGEFSMPKTFHCESNTTKCDPLNTPLTIGNTLGRQLNMPELGFCRLTDKGNAGSECVSFCTATDPALRIHQQVENPRNVTGVVPGFSAVHGMDSCQSSNIHSDRFDERSCLNLPGNSSFIGSSGYTDQAYLRMMSSHLGSGQISQSSAASMGYQLATSTFIPGPTSTISQESPCLLDDSMRLLALRQILELSKQHATSSVGMSHELGRFDRTSNPNVQHCLMESSKSREDRHGAIVPSKLDVFEGAAASVPSPAAEKSIPMTGLNSRCDFSTLTQGLSLCSREVDIPCQFSNEPFPNQSTLRLIRGESITQSSEHAKCCQRVPCTYFQGNCNCSAHAKCLEGYSECRVGRSHVTSKEQFGVCREAPMSVTSEFVRDHVIPKERTSLLYQGGKVKGQLPVRIACHASQWRDVPSKQKEACKMTRINPSAEVLDASGCAEDQHGDAGMRCIGSAVNRAASFKGQDMSNISSGCSAPDVTQASIEVNNMDSSTIDAEDNGYMNDLVVDEGSGIDKCCSSNDAHESERSAAFIGVSCRSKIRTKGSPRIPNGQPSFSLLDELKLIDSLTWKKGKNQIYTSITGSGRTNHLKKIRRGSKAGKRKRTVKFRTLDAAFPPKVSFRHCSSNNGSPQLPSRSSKDWQTLIPSGLEPHGDTDLIQPGELFSAKIVSQKRDLHGVYNDQDGEEDYQPELKCDARFGKIPEVSGRKKLKRAGAFDSFESLGTSKSILRTVEKSYNSNAVHCIKAFSSLEVTFCDKKDRPIVCGEYGEICSRKFATDELRPAKIVPLSRVLKNTEQCTLQKSCKPKSTLRKSKKKRRPKSTVYFDLKKAEENGGNQFSVSHEVSGCHVEEGKKTCVSGIKQFDNNSFLLEKGKDDRSEKYCCIPDGIAYNRSNIRCKEIRKRSLYELTGKGKESGSDSHPLMEISKCMPKMKVRKSLKETGDVESHGHRSSNMNAEKSIMQTRCSSIVDSDVFCCVCGSSNKDEFNCLLECSRCSIRVHQACYGILKVPRGHWYCRPCRTSSKDTVCVLCGYGGGAMTQALRSRAFVKGLLKAWNIEAECGPKSTNYSAETVLDDQSLVVSNSFCNLQFKDLELSRTASWKLDVQNQLDIIRNSPCPDSKLNLYNSVTAGVLDSTVKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGVSRKRENVVCSICNRPGGSCIQCRVVDCSVRFHPWCAHQKGLLQSEVEGIDNENVGFYGRCMLHASHCTCESGSEPTDAELSPSRERESTCARTEGFKGRKQDGFWHNIYGQSKRKTGCFVPQEQLNAWIHINGQKSCMQGLPKLPTSDMEYDCRKEYARYKQAKGWKHLVVYKSGIHALGLYTSRFISRGEMVVEYVGEIVGLRVADKRENEYESGRKVQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKVISVRNEKKVVFFAERDIYPGEEITYDYHFNHEDEGKKIPCFCNSKNCRRYLN >EOY32398 pep chromosome:Theobroma_cacao_20110822:9:28745359:28747364:1 gene:TCM_040298 transcript:EOY32398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRIHGQQKDSLGILGQTYFPGFESALDICSLKDVFKAFSAKLWWQFHTCSNLWTQYMRAKYCNGQISHTIITKPHDSSTWKRIISGRDKTGQQIRWRIGKGELLLWHDAWLDDEPLVNSFPEFSHSMIKVNYFFCENEWDVDKLKSVLLAIIIDEILKVRISYTQEDLAYWALTFDGEFTIKSAWELLRQR >EOY30520 pep chromosome:Theobroma_cacao_20110822:9:4857420:4862109:-1 gene:TCM_037703 transcript:EOY30520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein isoform 3 RQKLLDSLLRHLSDSARPLHGLVLLQGGEEQTRYCTDHIELFRQESYFAYLFGVREPGLYGAIDIATGKSILFAPRLPADYAVWMGEIKPLPYFQERYMVSMVYYTDEIVQVLVDQYKGFGKPLLFLLHGLNTDSNNFSKPAEFEGIEKFETDLTTLHPILTECRVFKSDLELALIQFANDISSEAHVEVMRKTKAGVKEYQLESMFLHHTYMYGGCRHCSYTCICATGENSAVLHYGHAAAPNDKILEDGDMALLDMGAEYSFYGSDITCSFPVNGKFTSDQSLIYNAVLDAHNVVITTMKPGVSWVDMHRLAEKIILESLIKGNILVGNIDDMMVERLGAMFMPHGLGHFLGIDTHDPGGYPKGVERPKEPGLKSLRTARQLQEGMVITVEPGCYFIDALLVPAMENANTSKFFNCEIVDRFKNFGGVRIESDVVLYLVNLTVIQVQNFLPDLNLHV >EOY30517 pep chromosome:Theobroma_cacao_20110822:9:4856368:4862515:-1 gene:TCM_037703 transcript:EOY30517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein isoform 3 MASSSPSSLSPPEVPMELHVSNRQKLLDSLLRHLSDSARPLHGLVLLQGGEEQTRYCTDHIELFRQESYFAYLFGVREPGLYGAIDIATGKSILFAPRLPADYAVWMGEIKPLPYFQERYMVSMVYYTDEIVQVLVDQYKGFGKPLLFLLHGLNTDSNNFSKPAEFEGIEKFETDLTTLHPILTECRVFKSDLELALIQFANDISSEAHVEVMRKTKAGVKEYQLESMFLHHTYMYGGCRHCSYTCICATGENSAVLHYGHAAAPNDKILEDGDMALLDMGAEYSFYGSDITCSFPVNGKFTSDQSLIYNAVLDAHNVVITTMKPGVSWVDMHRLAEKIILESLIKGNILVGNIDDMMVERLGAMFMPHGLGHFLGIDTHDPGGYPKGVERPKEPGLKSLRTARQLQEGMVITVEPGCYFIDALLVPAMENANTSKFFNCEIVDRFKNFGGVRIESDVLVTANGSKNMTKVPRETWEIEAVMAGGPWPPLSKASSYTENGGEAKI >EOY30523 pep chromosome:Theobroma_cacao_20110822:9:4856576:4862109:-1 gene:TCM_037703 transcript:EOY30523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein isoform 3 RQKLLDSLLRHLSDSARPLHGLVLLQGGEEQTRYCTDHIELFRQESYFAYLFGVREPGLYGAIDIATGKSILFAPRLPADYAVWMGEIKPLPYFQERYMVSMVYYTDEIVQVLVDQYKGFGKPLLFLLHGLNTDSNNFSKPAEFEGIEKFETDLTTLHPILTECRVFKSDLELALIQFANDISSEAHVEVMRKTKAGVKEYQLESMFLHHTYMYGGCRHCSYTCICATGENSAVLHYGHAAAPNDKILEDGDMALLDMGAEYSFYGSDITCSFPAVLDAHNVVITTMKPGVSWVDMHRLAEKIILESLIKGNILVGNIDDMMVERLGAMFMPHGLGHFLGIDTHDPGGYPKGVERPKEPGLKSLRTARQLQEGMVITVEPGCYFIDALLVPAMENANTSKFFNCEIVDRFKNFGGVRIESDVLVTANGSKNMTKVPRETWEIEAVMAGGPWPPLSKASSYTENGGEAKI >EOY30521 pep chromosome:Theobroma_cacao_20110822:9:4856576:4862109:-1 gene:TCM_037703 transcript:EOY30521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein isoform 3 RQKLLDSLLRHLSDSARPLHGLVLLQGGEEQTRYCTDHIELFRQESYFAYLFGVREPGLYGAIDIATGKSILFAPRLPADYAVWMGEIKPLPYFQGIEKFETDLTTLHPILTECRVFKSDLELALIQFANDISSEAHVEVMRKTKAGVKEYQLESMFLHHTYMYGGCRHCSYTCICATGENSAVLHYGHAAAPNDKILEDGDMALLDMGAEYSFYGSDITCSFPVNGKFTSDQSLIYNAVLDAHNVVITTMKPGVSWVDMHRLAEKIILESLIKGNILVGNIDDMMVERLGAMFMPHGLGHFLGIDTHDPGGYPKGVERPKEPGLKSLRTARQLQEGMVITVEPGCYFIDALLVPAMENANTSKFFNCEIVDRFKNFGGVRIESDVLVTANGSKNMTKVPRETWEIEAVMAGGPWPPLSKASSYTENGGEAKI >EOY30518 pep chromosome:Theobroma_cacao_20110822:9:4856866:4862109:-1 gene:TCM_037703 transcript:EOY30518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein isoform 3 RQKLLDSLLRHLSDSARPLHGLVLLQGGEEQTRYCTDHIELFRQESYFAYLFGVREPGLYGAIDIATGKSILFAPRLPADYAVWMGEIKPLPYFQERYMVSMVYYTDEIVQVLVDQYKGFGKPLLFLLHGLNTDSNNFSKPAEFEGIEKFETDLTTLHPILTECRVFKSDLELALIQFANDISSEAHVEVMRKTKAGVKEYQLESMFLHHTYMYGGCRHCSYTCICATGENSAVLHYGHAAAPNDKILEDGDMALLDMGAEYSFYGSDITCSFPVNGKFTSDQSLIYNAVLDAHNVVITTMKPGVSWVDMHRLAEKIILESLIKGNILVGNIDDMMVERLGAMFMPHGLGHFLGIDTHDPGGYPKGVERPKEPGLKSLRTARQLQEGMVITVEPGCYFIDALLVPAMENANTSKFFNCEIVDRFKNFGGVRIESDVFLSSLVARH >EOY30519 pep chromosome:Theobroma_cacao_20110822:9:4856576:4862109:-1 gene:TCM_037703 transcript:EOY30519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein isoform 3 RQKLLDSLLRHLSDSARPLHGLVLLQGGEEQTRYCTDHIELFRQESYFAYLFGVREPGLYGAIDIATGKSILFAPRLPADYAVWMGEIKPLPYFQERYMVSMVYYTDEIVQVLVDQYKGFGKPLLFLLHGLNTDSNNFSKPAEFEGIEKFETDLTTLHPILTECRVFKSDLELALIQFANDISSEAHVEVMRKTKAGVKEYQLESMFLHHTYMYGGCRHCSYTCICATGENSAVLHYGHAAAPNDKILEDGDMALLDMGAEYSFYGSDITCSFPVNGKFTSDQSLIYNAVLDAHNVVITTMKPGVSWVDMHRLAEKIILESLIKGNILVGNIDDMMVERLGAMFMPHGLGHFLGIDTHDPGGYPKGVERPKEPGLKSLRTARQLQEGMWSLDAISSMHCWFRLWKMQTLQSSSIVK >EOY30522 pep chromosome:Theobroma_cacao_20110822:9:4856847:4862109:-1 gene:TCM_037703 transcript:EOY30522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein isoform 3 RQKLLDSLLRHLSDSARPLHGLVLLQGGEEQTRYCTDHIELFRQESYFAYLFGVREPGLYGAIDIATGKSILFAPRLPADYAVWMGEIKPLPYFQERYMVSMVYYTDEIVQVLVDQYKGFGKPLLFLLHGLNTDSNNFSKPAEFEGIEKFETDLTTLHPILTECRVFKSDLELALIQFANDISSEAHVEVMRKTKAGVKEYQLESMFLHHTYMYGGCRHCSYTCICATGENSAVLHYGHAAAPNDKILEDGDMALLDMGAEYSFYGSDITCSFPVNGKFTSDQSLIYNAVLDAHNVVITTMKPGVSWVDMHRLAEKIILESLIKGNILVGNIDDMMVERLGAMFMPHGLGHFLGIDTHDPGGYPKGVERPKEPGLKSLRTARQLQEGMVSAFVCLSVVKGVFHF >EOY30524 pep chromosome:Theobroma_cacao_20110822:9:4856889:4862056:-1 gene:TCM_037703 transcript:EOY30524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein isoform 3 MGEIKPLPYFQERYMVSMVYYTDEIVQVLVDQYKGFGKPLLFLLHGLNTDSNNFSKPAEFEGIEKFETDLTTLHPILTECRVFKSDLELALIQFANDISSEAHVEVMRKTKAGVKEYQLESMFLHHTYMYGGCRHCSYTCICATGENSAVLHYGHAAAPNDKILEDGDMALLDMGAEYSFYGSDITCSFPVNGKFTSDQSLIYNAVLDAHNVVITTMKPGVSWVDMHRLAEKIILESLIKGNILVGNIDDMMVERLGAMFMPHGLGHFLATYGFDLNASLTFF >EOY29136 pep chromosome:Theobroma_cacao_20110822:9:196418:207800:-1 gene:TCM_036774 transcript:EOY29136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinose kinase isoform 1 MRIEENGVECASIKHLVFAYYVTGHGFGHATRVVEVVRNLIVAGHDVHVVTGAPDFVFTSEIQSPRLFLRKLVLDCGAVQADALTVDRLASLQKYSETAVQPRDSILAIEVEWLNSIKADLVVSDVVPVACRAAAEAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRKEVRKELGIGEDVKLVILNFGGQPAGWKLKEEYLPSGWLCLVCGASDTQELPPNFIKLPKDAYTPDLIAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEFYQSGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKLSGARRLRDAIILGYQLQRVPGRDVSIPEWYTNAENELGLSTGSPTCKMSESNSITDLCTEDFEILHGDLQGLSDTMSFLNGLVELDNVYVSEKNSEKRQMRERKAAAGLFNWEEDVFVTRAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRNHPSKHRLWKHALARQNAKGQGPMPVLQIVSYGSELSNRGPTFDMDLADFMEGEQPISYEKAKKYFAQDPSQKWAAYVAGTILVLMKELGVRFEDSISMLVSSAVPEGKGVSSSASVEVASMSAIAAAHGLSISPRDLALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMVCQPAEIVGLVAIPSHIRFWGIDSGIRHSVGGADYGSVRVGAFMGRKMIKAIASTKLSQSLSTANGVSPDELDNDGLELLEAEAALDYLCNLTPHRYEALYAKLLPESMIGDTFLEKYSDHGDTVTVIDKKRTYAVTAAAKHPVYENFRVKAFKALLTSESSDEQLTALGELLYQCHYSYSACGLGSDGTDRLVELVQEMQHCKLGKGEDGTLYGAKITGGGSGGTVCVIGRNSLGSSQHILEIQQRYKRATGYLPFIFEGSSPGAGKFGHLRIRRRLPPKSSA >EOY29138 pep chromosome:Theobroma_cacao_20110822:9:196392:207800:-1 gene:TCM_036774 transcript:EOY29138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinose kinase isoform 1 MRIEENGVECASIKHLVFAYYVTGHGFGHATRVVEVVRNLIVAGHDVHVVTGAPDFVFTSEIQSPRLFLRKLVLDCGAVQADALTVDRLASLQKYSETAVQPRDSILAIEVEWLNSIKADLVVSDVVPVACRAAAEAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRKEVRKELGIGEDVKLVILNFGGQPAGWKLKEEYLPSGWLCLVCGASDTQELPPNFIKLPKDAYTPDLIAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEFYQSGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKLSGARRLRDAIILGYQLQRVPGRDVSIPEWYTNAENELGLSTGSPTCKMSESNSITDLCTEDFEILHGDLQGLSDTMSFLNGLVELDNVYVSEKNSEKRQMRERKAAAGLFNWEEDVFVTRAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRNHPSKHRLWKHALARQNAKGQGPMPVLQIVSYGSELSNRGPTFDMDLADFMEGEQPISYEKAKKYFAQDPSQKWAAYVAGTILVLMKELGVRFEDSISMLVSSAVPEGKGVSSSASVEVASMSAIAAAHGLSISPRDLALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMVCQPAEIVGLVAIPSHIRFWGIDSGIRHSVGGADYGSVRVGAFMGRKMIKAIASTKLSQSLSTANGVSPDELDNDGLELLEAEAALDYLCNLTPHRYEALYAKLLPESMIGDTFLEKYSDHGDTVTVIDKKRTYAVTAAAKHPVYENFRVKAFKALLTSESSDEQLTALGELLYQCHYSYSACGLGSDGTDRLVELVQEMQHCKLGKGEDGTLYGAKITGGGSGGTVCVIGRNSLGSSQHILEIQQRYKRATGYLPFIFEGSSPGAGKFGHLRIRRRLPPKSSA >EOY29139 pep chromosome:Theobroma_cacao_20110822:9:197483:207950:-1 gene:TCM_036774 transcript:EOY29139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinose kinase isoform 1 MRIEENGVECASIKHLVFAYYVTGHGFGHATRVVEVVRNLIVAGHDVHVVTGAPDFVFTSEIQSPRLFLRKLVLDCGAVQADALTVDRLASLQKYSETAVQPRDSILAIEVEWLNSIKADLVVSDVVPVACRAAAEAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRKEVRKELGIGEDVKLVILNFGGQPAGWKLKEEYLPSGWLCLVCGASDTQELPPNFIKLPKDAYTPDLIAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEFYQSGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKLSGARRLRDAIILGYQLQRVPGRDVSIPEWYTNAENELGLSTGSPTCKMSESNSITDLCTEDFEILHGDLQGLSDTMSFLNGLVELDNVYVSEKNSEKRQMRERKAAAGLFNWEEDVFVTRAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRNHPSKHRLWKHALARQNAKGQGPMPVLQIVSYGSELSNRGPTFDMDLADFMEGEQPISYEKAKKYFAQDPSQKWAAYVAGTILVLMKELGVRFEDSISMLVSSAVPEGKGVSSSASVEVASMSAIAAAHGLSISPRDLALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMVCQPAEIVGLVAIPSHIRFWGIDSGIRHSVGGADYGSVRVGAFMGRKMIKAIASTKLSQSLSTANGVSPDELDNDGLELLEAEAALDYLCNLTPHRYEALYAKLLPESMIGDTFLEKYSDHGDTVTVIDKKRTYAVTAAAKHPVYENFRVKAFKALLTSESSDEQLTALGELLYQCHYSYSACGLGSDGTDRLVELVQEMQHCKLGKGEDGTLYGAKITGGGSGGTVCVIGRNSLGSSQHILEIQQRYKRATGYLPFIFEGSSPGAGKFGHLRIRRRLPPKSSA >EOY29137 pep chromosome:Theobroma_cacao_20110822:9:196392:207800:-1 gene:TCM_036774 transcript:EOY29137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinose kinase isoform 1 MRIEENGVECASIKHLVFAYYVTGHGFGHATRVVEVVRNLIVAGHDVHVVTGAPDFVFTSEIQSPRLFLRKLVLDCGAVQADALTVDRLASLQKYSETAVQPRDSILAIEVEWLNSIKADLVVSDVVPVACRAAAEAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRKEVRKELGIGEDVKLVILNFGGQPAGWKLKEEYLPSGWLCLVCGASDTQELPPNFIKLPKDAYTPDLIAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEFYQSGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKLSGARRLRDAIILGYQLQRVPGRDVSIPEWYTNAENELGLSTGSPTCKMSESNSITDLCTEDFEILHGDLQGLSDTMSFLNGLVELDNVYVSEKNSEKRQMRERKAAAGLFNWEEDVFVTRAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRNHPSKHRLWKHALARQNAKGQGPMPVLQIVSYGSELSNRGPTFDMDLADFMEGEQPISYEKAKKYFAQDPSQKWAAYVAGTILVLMKELGVRFEDSISMLVSSAVPEGKGVSSSASVEVASMSAIAAAHGLSISPRDLALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMVCQPAEIVGLVAIPSHIRFWGIDSGIRHSVGGADYGSVRVGAFMGRKMIKAIASTKLSQSLSTANGVSPDELDNDGLELLEAEAALDYLCNLTPHRYEALYAKLLPESMIGDTFLEKYSDHGDTVTVIDKKRTYAVTAAAKHPVYENFRVKAFKALLTSESSDEQLTALGELLYQCHYSYSACGLGSDGTDRLVELVQEMQHCKLGKGEDGTLYGAKITGGGSGGTVCVIGRNSLGSSQHILEIQQRYKRATGYLPFIFEGSSPGAGKFGHLRIRRRLPPKSSA >EOY29135 pep chromosome:Theobroma_cacao_20110822:9:196839:207800:-1 gene:TCM_036774 transcript:EOY29135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinose kinase isoform 1 MRIEENGVECASIKHLVFAYYVTGHGFGHATRVVEVVRNLIVAGHDVHVVTGAPDFVFTSEIQSPRLFLRKLVLDCGAVQADALTVDRLASLQKYSETAVQPRDSILAIEVEWLNSIKADLVVSDVVPVACRAAAEAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRKEVRKELGIGEDVKLVILNFGGQPAGWKLKEEYLPSGWLCLVCGASDTQELPPNFIKLPKDAYTPDLIAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEFYQSGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKLSGARRLRDAIILGYQLQRVPGRDVSIPEWYTNAENELGLSTGSPTCKMSESNSITDLCTEDFEILHGDLQGLSDTMSFLNGLVELDNVYVSEKNSEKRQMRERKAAAGLFNWEEDVFVTRAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRNHPSKHRLWKHALARQNAKGQGPMPVLQIVSYGSELSNRGPTFDMDLADFMEGEQPISYEKAKKYFAQDPSQKWAAYVAGTILVLMKELGVRFEDSISMLVSSAVPEGKGVSSSASVEVASMSAIAAAHGLSISPRDLALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMVCQPAEIVGLVAIPSHIRFWGIDSGIRHSVGGADYGSVRVGAFMGRKMIKAIASTKLSQSLSTANGVSPDELDNDGLELLEAEAALDYLCNLTPHRYEALYAKLLPESMIGDTFLEKYSDHGDTVTVIDKKRTYAVTAAAKHPVYENFRVKAFKALLTSESSDEQLTALGELLYQCHYSYSACGLGSDGTDRLVELVQEMQHCKLGKGEDGTLYGAKITGGGSGGTVCVIGRNSLGSSQHILEIQQRYKRATGYLPFIFEGSSPGAGKFGHLRIRRRLPPKSSA >EOY29140 pep chromosome:Theobroma_cacao_20110822:9:196392:207800:-1 gene:TCM_036774 transcript:EOY29140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinose kinase isoform 1 MRIEENGVECASIKHLVFAYYVTGHGFGHATRVVEVVRNLIVAGHDVHVVTGAPDFVFTSEIQSPRLFLRKLVLDCGAVQADALTVDRLASLQKYSETAVQPRDSILAIEVEWLNSIKADLVVSDVVPVACRAAAEAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRKEVRKELGIGEDVKLVILNFGGQPAGWKLKEEYLPSGWLCLVCGASDTQELPPNFIKLPKDAYTPDLIAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEFYQSGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKLSGARRLRDAIILGYQLQRVPGRDVSIPEWYTNAENELGLSTGSPTCKMSESNSITDLCTEDFEILHGDLQGLSDTMSFLNGLVELDNVYVSEKNSEKRQMRERKAAAGLFNWEEDVFVTRAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRNHPSKHRLWKHALARQNAKGQGPMPVLQIVSYGSELSNRGPTFDMDLADFMEGEQPISYEKAKKYFAQDPSQKWAAYVAGTILVLMKELGVRFEDSISMLVSSAVPEGKGVSSSASVEVASMSAIAAAHGLSISPRDLALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMVCQPAEIVGLVAIPSHIRFWGIDSGIRHSVGGADYGSVRVGAFMGRKMIKAIASTKLSQSLSTANGVSPDELDNDGLELLEAEAALDYLCNLTPHRYEALYAKLLPESMIGDTFLEKYSDHGDTVTVIDKKRTYAVTAAAKHPVYENFRVKAFKALLTSESSDEQLTALGELLYQCHYSYSACGLGSDGTDRLVELVQEMQHCKLGKGEDGTLYGAKITGGGSGGTVCVIGRNSLGSSQHILEIQQRYKRATGYLPFIFEGSSPGAGKFGHLRIRRRLPPKSSA >EOY30810 pep chromosome:Theobroma_cacao_20110822:9:5876480:5881709:-1 gene:TCM_037890 transcript:EOY30810 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein isoform 6 MNTSRPMSSAPAYWICIHLILSCFPRVRKRPLNKKEIAKKEEDIITIESNSNALTVHEKKLKVDLTEYVEKHEFLFDAVLNEDVSNEEVYSETVEPIVPLIFNRTKATCFAYGQTGSGKTYTMQPLPLKASQDILRLMCHTYRNQGFQLFVSFFEIYGGKVFDLLNDRKKLCMREDGKQQVCIVGLQEYKVLDVETIKELIERGNATRSTGTTGANEESSRSHAILQLAIKRSSDGSEMKPARLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNSKKDTLSSSSNLRESTALPLASALPTETFEDNITDVPYEKNKFGWSKQIERDTSPPFKVDPVPSERAEGNVAVPYSDYNKGQRGGLNGLTEDDFDYTEETYEQQKMLRKMNRKAQTYQMPALEEKWKTDALTKLVDPSTFDDNHSHSDDDLNTLLKVSINQSGHLIFLPQLYFIVK >EOY30806 pep chromosome:Theobroma_cacao_20110822:9:5877084:5881483:-1 gene:TCM_037890 transcript:EOY30806 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein isoform 6 MSVVGRQMARSNSTAHHQRQYSDNFLDASFNSKWLQSSNFPSSQDFCNYGGGRMSRKSPEPGTPPVCSRSSSLRKNSDEYVSPNELSPGLLDLHSFDTELLPELQVPNLYEGYGLQKPVRGKSFDDSEQYLASNKLLNRPRGMAENNLLKSISVDKERANNVAKIKVVVRKRPLNKKEIAKKEEDIITIESNSNALTVHEKKLKVDLTEYVEKHEFLFDAVLNEDVSNEEVVYSETVEPIVPLIFNRTKATCFAYGQTGSGKTYTMQPLPLKASQDILRLMCHTYRNQGFQLFVSFFEIYGGKVFDLLNDRKKLCMREDGKQQVCIVGLQEYKVLDVETIKELIERGNATRSTGTTGANEESSRSHAILQLAIKRSSDGSEMKPARLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNSKKDTLSSSSNLRESTALPLASALPTETFEDNITDVPYEKNKFGWSKQIERDTSPPFKVDPVPSERAEGNVAVPYSDYNKGQRGGLNGLTEDDFDYTEETYEQQKMLRKMNRKAQTYQMPALEEKWKTDALTKLVDPSTFDDNHSHSDDDLNTLLKRKRKILYLLTEDKWRTQ >EOY30807 pep chromosome:Theobroma_cacao_20110822:9:5876480:5881735:-1 gene:TCM_037890 transcript:EOY30807 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein isoform 6 MNTSRPMSSAPAYWICIHLILSCFPRVRKRPLNKKEIAKKEEDIITIESNSNALTVHEKKLKVDLTEYVEKHEFLFDAVLNEDVSNEEVYSETVEPIVPLIFNRTKATCFAYGQTGSGKTYTMQPLPLKASQDILRLMCHTYRNQGFQLFVSFFEIYGGKVFDLLNDRKKLCMREDGKQQVCIVGLQEYKVLDVETIKELIERGNATRSTGTTGANEESSRSHAILQLAIKRSSDGSEMKPARLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNSKKDTLSSSSNLRESTALPLASALPTETFEDNITDVPYEKNKFGWSKQIERDTSPPFKVDPVPSERAEGNVAVPYSDYNKGQRGGLNGLTEDDFDYTEETYEQQKMLRKMNRKAQTYQMPALEEKWKTDALTKLVDPSTFDDNHSHSDDDLNTLLKVLLGRGRSCICSPKTSGGHNRDC >EOY30805 pep chromosome:Theobroma_cacao_20110822:9:5876407:5882051:-1 gene:TCM_037890 transcript:EOY30805 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein isoform 6 MSVVGRQMARSNSTAHHQRQYSDNFLDASFNSKWLQSSNFPSSQDFCNYGGGRMSRKSPEPGTPPVCSRSSSLRKNSDEYVSPNELSPGLLDLHSFDTELLPELQVPNLYEGYGLQKPVRGKSFDDSEQYLASNKLLNRPRGMAENNLLKSISVDKERANNVAKIKVVVRKRPLNKKEIAKKEEDIITIESNSNALTVHEKKLKVDLTEYVEKHEFLFDAVLNEDVSNEEVYSETVEPIVPLIFNRTKATCFAYGQTGSGKTYTMQPLPLKASQDILRLMCHTYRNQGFQLFVSFFEIYGGKVFDLLNDRKKLCMREDGKQQVCIVGLQEYKVLDVETIKELIERGNATRSTGTTGANEESSRSHAILQLAIKRSSDGSEMKPARLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNSKKDTLSSSSNLRESTALPLASALPTETFEDNITDVPYEKNKFGWSKQIERDTSPPFKVDPVPSERAEGNVAVPYSDYNKGQRGGLNGLTEDDFDYTEETYEQQKMLRKMNRKAQTYQMPALEEKWKTDALTKLVDPSTFDDNHSHSDDDLNTLLKEEEDLVSAHRRQVEDTIEIVREEMNLLVEADQPGNQLDNYVSKLNSILSQKAAGILQLQTRLAQFQKRLNEYNVLVSSGN >EOY30809 pep chromosome:Theobroma_cacao_20110822:9:5877106:5881711:-1 gene:TCM_037890 transcript:EOY30809 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein isoform 6 MSVVGRQMARSNSTAHHQRQYSDNFLDASFNSKWLQSSNFPSSQDFCNYGGGRMSRKSPEPGTPPVCSRSSSLRKNSDEYVSPNELSPGLLDLHSFDTELLPEVPNLYEGYGLQKPVRGKSFDDSEQYLASNKLLNRPRGMAENNLLKSISVDKERANNVAKIKVVVRKRPLNKKEIAKKEEDIITIESNSNALTVHEKKLKVDLTEYVEKHEFLFDAVLNEDVSNEEVYSETVEPIVPLIFNRTKATCFAYGQTGSGKTYTMQPLPLKASQDILRLMCHTYRNQGFQLFVSFFEIYGGKVFDLLNDRKKLCMREDGKQQVCIVGLQEYKVLDVETIKELIERGNATRSTGTTGANEESSRSHAILQLAIKRSSDGSEMKPARLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNSKKDTLSSSSNLRESTALPLASALPTETFEDNITDVPYEKNKFGWSKQIERDTSPPFKVDPVPSERAEGNVAVPYSDYNKGQRGGLNGLTEDDFDYTEETYEQQKMLRKMNRKAQTYQMPALEEKWKTDALTKLVDPSTFDDNHSHSDDDLNTLLKEEEDLVSAHR >EOY30808 pep chromosome:Theobroma_cacao_20110822:9:5876469:5881698:-1 gene:TCM_037890 transcript:EOY30808 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein isoform 6 MSVVGRQMARSNSTAHHQRQYSDNFLDASFNSKWLQSSNFPSSQDFCNYGGGRMSRKSPEPGTPPVCSRSSSLRKNSDEYVSPNELSPGLLDLHSFDTELLPELQVPNLYEGYGLQKPVRGKSFDDSEQYLASNKLLNRPRGMAENNLLKSISVDKERANNVAKIKVVVRKRPLNKKEIAKKEEDIITIESNSNALTVHEKKLKVDLTEYVEKHEFLFDAVLNEDVSNEEVYSETVEPIVPLIFNRTKATCFAYGQTGSGKTYTMQPLPLKASQDILRLMCHTYRNQGFQLFVSFFEIYGGKVFDLLNDRKKLCMREDGKQQVCIVGLQEYKVLDVETIKELIERGNATRSTGTTGANEESSRSHAILQLAIKRSSDGSEMKPARLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNSKKDTLSSSSNLRESTALPLASALPTETFEDNITDVPYEKNKFGWSKQIERDTSPPFKVDPVPSERAEGNVAVPYSDYNKGQRGGLNGLTEDDFDYTEETYEQQKMLRKMNRKAQTYQMPALEEKWKTDALTKLVDPSTFDDNHSHSDDDLNTLLRGRSCICSPKTSGGHNRDC >EOY32055 pep chromosome:Theobroma_cacao_20110822:9:19544634:19551145:-1 gene:TCM_039471 transcript:EOY32055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 1 MLAMENIENIGDEYKNYWETKYFLENEEYSWAIDEFSGYYDSSSPDGAASSAASKNIVSERNRRKKLNERLFSLRAVVPNISKMDKASIIKDAIDYIQELHEQERRIQAEILELESGKLKKNPGYEFDQELPVLLRSKKTKLENIFDSGGSRTSPIEVLELKVTHMGEKTVVVSITCSKRTDTMVKLCEVFESLKLKIITANITAVSGRLLKTVFIEADEKEKDELKAQIETAIAALNDPQSPMSM >EOY32054 pep chromosome:Theobroma_cacao_20110822:9:19544563:19551039:-1 gene:TCM_039471 transcript:EOY32054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein isoform 1 MLAMENIENIGDEYKNYWETKYFLENEEYSSWAIDEFSGYYDSSSPDGAASSAASKNIVSERNRRKKLNERLFSLRAVVPNISKMDKASIIKDAIDYIQELHEQERRIQAEILELESGKLKKNPGYEFDQELPVLLRSKKTKLENIFDSGGSRTSPIEVLELKVTHMGEKTVVVSITCSKRTDTMVKLCEVFESLKLKIITANITAVSGRLLKTVFIEVSPFKFTSLMPFALCSIPACLVSNYFVIVPLFTKPYDIVLILLSIPTIQTFKLTANIFCQNLPKTIMEAKADEKEKDELKAQIETAIAALNDPQSPMSM >EOY31300 pep chromosome:Theobroma_cacao_20110822:9:8040333:8044620:1 gene:TCM_038258 transcript:EOY31300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdenum cofactor sulfurase family protein isoform 1 MEKISSSSTEGGGGAAAAAKISSIFIYPIKSCRGISVPQAPLTPTGFRWDRQWLVVNQKGRAYTQRVEPKLALVEVDLPKEAFLEGWEPTKTSYMVIKAPGMDLLKVSLIKPPEIADGVSVWEWSGSALDEGDEASKWFTNYLGKSSRLVRFNAASERRPVDPVYARGHNIMFSDQYPFMLLSQQESLDALNKLLKEPVPINRFRPNILVDGCEPFSEDLWTEIKISKFSFQGVKLCSRCKVPTINQDTAIAGPEPTETLKKVRSDKVLRPNQKQQGKIYFGQNLVCKESFTEGKGPMVKVGDPIFVLQKVSSAAEAAA >EOY31299 pep chromosome:Theobroma_cacao_20110822:9:8040178:8044619:1 gene:TCM_038258 transcript:EOY31299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdenum cofactor sulfurase family protein isoform 1 MEKISSSSTEGGGGAAAAAKISSIFIYPIKSCRGISVPQAPLTPTGFRWDRQWLVVNQKGRAYTQRVEPKLALVEVDLPKEAFLEGWEPTKTSYMVIKAPGMDLLKVSLIKPPEIADGVSVWEWSGSALDEGDEASKWFTNYLGKSSRLVRFNAASERRPVDPVYARGHNIMFSDQYPFMLLSQESLDALNKLLKEPVPINRFRPNILVDGCEPFSEDLWTEIKISKFSFQGVKLCSRCKVPTINQDTAIAGPEPTETLKKVRSDKVLRPNQKQQGKIYFGQNLVCKESFTEGKGPMVKVGDPIFVLQKVSSAAEAAA >EOY34556 pep chromosome:Theobroma_cacao_20110822:9:41427175:41430349:-1 gene:TCM_042186 transcript:EOY34556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase family protein isoform 3 MASTAVPFYSSTIPKLTSKLNNLIFDPHVSLSFTATSSNEFGNRFSLQSTNSRKPRRNPTPPAASMIFPQNPVLSDVCATVISGFVALSVLRVWQETAKRRLCDQLSSQFWKLTNKNPVVSDLLAAAVCCGVIFAFLLLWQETAKRGLDQKLNRKLVHISIGLVFMLCWPLFSSGYRGAILAAITPGVNIIRMLLIGSGLWKDEATVKSMSRYGDYRELLKGPLYYATTITLACALYWRTSPIAVAAICNLCAGDGIADVVGRRFGRQKLPYNSNKSIAGSVAMATAGFLASVGFMYYFAYFGYIQESWEIVLGFLVVSLASALVESLPISTELDDNLTVTLTSILVGSLVF >EOY34558 pep chromosome:Theobroma_cacao_20110822:9:41427220:41430322:-1 gene:TCM_042186 transcript:EOY34558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase family protein isoform 3 MASTAVPFYSSTIPKLTSKLNNLIFDPHVSLSFTATSSNEFGNRFSLQSTNSRKPRRNPTPPAASMIFPQNPVLSDVCATVISGFVALSVLRVWQETAKRRLCDQKLNRKLVHISIGLVFMLCWPLFSSGYRGAILAAITPGVNIIRMLLIGSGLWKDEATVKSMSRYGDYRELLKGPLYYATTITLACALYWRTSPIAVAAICNLCAGDGIADVVGRRFGRQKLPYNSNKSIAGSVAMATAGFLASVGFMYYFAYFGYIQESWEIVLGFLVVSLASALVESLPISTELDDNLTVTLTSILVGSLVF >EOY34557 pep chromosome:Theobroma_cacao_20110822:9:41427639:41430322:-1 gene:TCM_042186 transcript:EOY34557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase family protein isoform 3 MASTAVPFYSSTIPKLTSKLNNLIFDPHVSLSFTATSSNEFGNRFSLQSTNSRKPRRNPTPPAASMIFPQNPVLSDVCATVISGFVALSVLRVWQETAKRRLCDQKLNRKLVHISIGLVFMLCWPLFSSGYRGAILAAITPGVNIIRMLLIGSGLWKDEATVKSMSRYGDYRELLKGPLYYATTITLACALYWRTSPIAVAAICNLCAGDGIADVVGRRFGRQKLPYNSNKSIAGSVAMATAGFLASVGM >EOY31682 pep chromosome:Theobroma_cacao_20110822:9:12009931:12011523:-1 gene:TCM_038727 transcript:EOY31682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1639) [Source:Projected from Arabidopsis thaliana (AT1G55340) TAIR;Acc:AT1G55340] MEMEGKRENMEKDFVLQWGNRKRLRCFNKLKKEHRFGNSNSSSSSKPTTTEPLPLPKKKMASSPVANRLNRHISDLATNKPRSALTSPEKEDRYYATRGSGSLGLDDNTKVLMDHQVKEDKRIVWPKLFTTLSCKEKEQDFMAMKGCKLPQRPKKRAKAIQRSILLVSPGAWLSDLCQERYQVREKKTSKKKPRGLKAMGSMESDSERELCKGMNETVDFGC >EOY31683 pep chromosome:Theobroma_cacao_20110822:9:12009579:12011362:-1 gene:TCM_038727 transcript:EOY31683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1639) [Source:Projected from Arabidopsis thaliana (AT1G55340) TAIR;Acc:AT1G55340] MEMEGKRENMEKDFVLQWGNRKRLRCFNKLKKEHRFGNSNSSSSSKPTTTEPLPLPKKKMASSPVANRLNRHISDLATNKPRSALTSPEKEDRYYATRGSGSLGLDDNTKVLMDHQVKEDKRIVWPKLFTTLSCKEKEQDFMAMKGCKLPQRPKKRAKAIQRSILVSHSTFIKKILQKIRYQVREKKTSKKKPRGLKAMGSMESDSERELCKGMNETVDFGC >EOY30504 pep chromosome:Theobroma_cacao_20110822:9:4813062:4814713:-1 gene:TCM_037690 transcript:EOY30504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKYLDGFDGIYQFLKPNSNLLKERKPAISCYCWLEIDISLEENVSSYIQDASKLIIDVTYAKSCPTISSLKEFTSIASGLYQKMEGKAQYQTLVADSKLHGKN >EOY31749 pep chromosome:Theobroma_cacao_20110822:9:13591051:13594454:1 gene:TCM_038870 transcript:EOY31749 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 21 isoform 3 MGLATTIGKYQLGRTIGEGTFAKVKLAVDTTNGHHVAIKIIDKTMVMESNLKYQVQREIRTMKLLNHPHVVKIHEVIGSKTKIYIIMEYVSGGQLSDKLSYSIKLCDPEARKLFQQLIDAVDHCHSKGVYHRDLKPENLLLDSKGNLKVSDFGLSALRKPGDMLTTACGSPCYVAPELLANKGYHGAAADIWSCGVILFELFAGYLPFDDRNLVVLYKKISGAQYTCPPWFTESQRKLIGRILNPNPKRRITIPEIIEDAWFQTDYVPSCGYECDDKIYLNDVTAAFDTVEEHDAEMKTHKSSNFINAFQLIAMSHDLDLSGLFEGQDDKKQRTRIGSKHTIDETIKKIEAAAMDVSLSVERMKNFKIKMHPKQKMTRCCRSFYDLSAEVIKCNMLFIQDNISKSLTTVYSMSGNFGCSHKLCCRSIKI >EOY31751 pep chromosome:Theobroma_cacao_20110822:9:13591426:13593528:1 gene:TCM_038870 transcript:EOY31751 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 21 isoform 3 MGLATTIGKYQLGRTIGEGTFAKVKLAVDTTNGHHVAIKIIDKTMVMESNLKYQVQREIRTMKLLNHPHVVKIHEVIGSKTKIYIIMEYVSGGQLSDKLSYSIKLCDPEARKLFQQLIDAVDHCHSKGVYHRDLKPENLLLDSKGNLKVSDFGLSALRKPGDMLTTACGSPCYVAPELLANKGYHGAAADIWSCGVILFELFAGYLPFDDRNLVVLYKKISGAQYTCPPWFTESQRKLIGRILNPNPKRRITIPEIIEDAWFQTDYVPSCGYECDDKIYLNDVTAAFDTVEEHDAEMKTHKSSNFINAFQLIAMSHDLDLSGLFEGQDDKKQRTRIGSKHTIDETIKKIEAAAMDVSLSVERMKNFKVQTCSCS >EOY31747 pep chromosome:Theobroma_cacao_20110822:9:13591051:13594454:1 gene:TCM_038870 transcript:EOY31747 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 21 isoform 3 MGLATTIGKYQLGRTIGEGTFAKVKLAVDTTNGHHVAIKIIDKTMVMESNLKYQVQREIRTMKLLNHPHVVKIHEVIGSKTKIYIIMEYVSGGQLSDKLSYSIKLCDPEARKLFQQLIDAVDHCHSKGVYHRDLKPENLLLDSKGNLKVSDFGLSALRKPGDMLTTACGSPCYVAPELLANKGYHGAAADIWSCGVILFELFAGYLPFDDRNLVVLYKKISGAQYTCPPWFTESQRKLIGRILNPNPKRRITIPEIIEDAWFQTDYVPSCGYECDDKIYLNDVTAAFDTVEEHDAEMKTHKSSNFINAFQLIAMSHDLDLSGLFEGQDDKKQRTRIGSKHTIDETIKKIEAAAMDVSLSVERMKNFKIKMHPKQKMTRCCRSFYDLSAEVILVAPTNCVVEVSKSEGELTLYKEFCKSLSSLLIEKSDVSSQTQESKSVDTNSNDILKNEGSKEEKDKESKDPRGYSSS >EOY31748 pep chromosome:Theobroma_cacao_20110822:9:13591051:13594454:1 gene:TCM_038870 transcript:EOY31748 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 21 isoform 3 MGLATTIGKYQLGRTIGEGTFAKVKLAVDTTNGHHVAIKIIDKTMVMESNLKYQVQREIRTMKLLNHPHVVKIHEVIGSKTKIYIIMEYVSGGQLSDKLSYSIKLCDPEARKLFQQLIDAVDHCHSKGVYHRDLKPENLLLDSKGNLKVSDFGLSALRKPGDMLTTACGSPCYVAPELLANKGYHGAAADIWSCGVILFELFAGYLPFDDRNLVVLYKKISGAQYTCPPWFTESQRKLIGRILNPNPKRRITIPEIIEDAWFQTDYVPSCGYECDDKIYLNDVTAAFDTVEEHDAEMKTHKSSNFINAFQLIAMSHDLDLSGLFEGQDDKKQRTRIGSKHTIDETIKKIEAAAMDVSLSVERMKNFKIKMHPKQKMTRCCRSFYDLSAEVILVAPTNCVVEVSKSEGELTLYKEV >EOY31750 pep chromosome:Theobroma_cacao_20110822:9:13591426:13593528:1 gene:TCM_038870 transcript:EOY31750 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 21 isoform 3 MGLATTIGKYQLGRTIGEGTFAKVKLAVDTTNGHHVAIKIIDKTMVMESNLKYQVQREIRTMKLLNHPHVVKIHEVIGSKTKIYIIMEYVSGGQLSDKLSYSIKLCDPEARKLFQQLIDAVDHCHSKGVYHRDLKPENLLLDSKGNLKVSDFGLSALRKPGDMLTTACGSPCYVAPELLANKGYHGAAADIWSCGVILFELFAGYLPFDDRNLVVLYKKISGAQYTCPPWFTESQRKLIGRILNPNPKRRITIPEIIEDAWFQTDYVPSCGYECDDKIYLNDVTAAFDTVEEHDAEMKTHKSSNFINAFQLIAMSHDLDLSGLFEGQDDKKQRTRIGSKHTIDETIKKIEAAAMDVSLSVERMKNFKVQTCSCS >EOY34222 pep chromosome:Theobroma_cacao_20110822:9:40342589:40347828:-1 gene:TCM_041961 transcript:EOY34222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nfrkb, putative isoform 1 MHEKMEDEDSESSERDDLDDGSWRKRVKERKALQKMGRHSGYGVDPSLEFISRAQPMALEPAKYRKQNPKGILKTGGSKLPSAKEFGSHFYPGLDMNSELYGLAGTLPRQKYESGAALRARDRMRLDDDAEDPMFGMGFQRDRNAVRDSIINKSGSLRAGKKYDLLRGEELAGDSFMALPLSSKNDLQAYGRKRNVNQLSEAKVYSTKPPNMRASYDFAKKSKYAENHQQFAVGDQIKSMKGRTPPLPSKGSRVDLSERAELFWQNKNQGEDISVDLSVRSDDWNIRSKKWKTGRESPDLSFKSYKASLPQMNDRYLHSDGRMKQSQEKIRGNYVQNGGPLMAASKGSRAFIKNDETESDSSEQFDDDEDSNPLMRSKFAYPSGVIEGSRLSSLKSGLDSRKTKSLKKDTMEDAWAVDGNARFSRKSIGENVHVPGVESYYLKGKQKGKMHERSPLHNSSSRVLDEVDRKQVYKLRKNGQLRGEPGDRLHMSSSRAYPAEKRQKGEVAYDHSMSQSNYLNNYLVDEEDASPVTLSHVEEINLGRTRKKGQSIEAYDRRENSEASLLGCNTVTKKRKGKEYVADVDRTDEDGNLQSNLQQQTDDSPFLKKKGKRKVEVDAGTSDMEVSELHAAEMGATDVEMETKPQKKPFTLITPTVHTGFSFSIIHLLSAVRMAMITPLPEDSLEVGKPREEQSGKQEGSMNGVLSRDNAVTNNLDHPVQTSVPSLTVHEIVNRVTVNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKALVAYEKSTKSWSWVGPVTHSSNDHETIEEVTSPEAWGLPHKMLVKLVDSFANWLKNGQETLQQIGSLPAPPLELMQVNLDEKERFRDLRAQKSLNTISSSSEEVRAYFRREELLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRQKKDPTEQSDQGAVTVAFHGTGDQSGFDLGSDLNVEPSCVDDDKKMETDCHDRQNGEDNADTSHGSEQGNTQQGHPMTWEPLDLNPVQESKLLCQENSTNEDFDDETFGRERPVGLLRASIL >EOY34219 pep chromosome:Theobroma_cacao_20110822:9:40342108:40349261:-1 gene:TCM_041961 transcript:EOY34219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nfrkb, putative isoform 1 MMAIEKNNFKVSRFDSEFSPGSRETTMSSDEDELQRRSPAVDSDDDDEFDDADSGAGSDDFDLLELGETRAEFCKVGNLTCSVPFELYDLPGLEDILSLDVWNECLSDEERFSLSKFLPDMDQDTFMRTLYDLLKGNNFHFGSPIKMLFDMLKGGLCEPRVALYRDGLNFFQKRQHYHHLRKHQNGMVVNLCQIRDAWLNCRGYSIEERLRVLNIMRSQKSLMHEKMEDEDSESSERDDLDDGSWRKRVKERKALQKMGRHSGYGVDPSLEFISRAQPMALEPAKYRKQNPKGILKTGGSKLPSAKEFGSHFYPGLDMNSELYGLAGTLPRQKYESGAALRARDRMRLDDDAEDPMFGMGFQRDRNAVRDSIINKSGSLRAGKKYDLLRGEELAGDSFMALPLSSKNDLQAYGRKRNVNQLSEAKVYSTKPPNMRASYDFAKKSKYAENHQQFAVGDQIKSMKGRTPPLPSKGSRVDLSERAELFWQNKNQGEDISVDLSVRSDDWNIRSKKWKTGRESPDLSFKSYKASLPQMNDRYLHSDGRMKQSQEKIRGNYVQNGGPLMAASKGSRAFIKNDETESDSSEQFDDDEDSNPLMRSKFAYPSGVIEGSRLSSLKSGLDSRKTKSLKKDTMEDAWAVDGNARFSRKSIGENVHVPGVESYYLKGKQKGKMHERSPLHNSSSRVLDEVDRKQVYKLRKNGQLRGEPGDRLHMSSSRAYPAEKRQKGEVAYDHSMSQSNYLNNYLVDEEDASPVTLSHVEEINLGRTRKKGQSIEAYDRRENSEASLLGCNTVTKKRKGKEYVADVDRTDEDGNLQSNLQQQTDDSPFLKKKGKRKVEVDAGTSDMEVSELHAAEMGATDVEMETKPQKKPFTLITPTVHTGFSFSIIHLLSAVRMAMITPLPEDSLEVGKPREEQSGKQEGSMNGVLSRDNAVTNNLDHPVQTSVPSLTVHEIVNRVTVNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKALVAYEKSTKSWSWVGPVTHSSNDHETIEEVTSPEAWGLPHKMLVKLVDSFANWLKNGQETLQQIGSLPAPPLELMQVNLDEKERFRDLRAQKSLNTISSSSEEVRAYFRREELLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRQKKDPTEQSDQGAVTVAFHGTGDQSGFDLGSDLNVEPSCVDDDKKMETDCHDRQNGEDNADTSHGSEQGNTQQGHPMTWEPLDLNPVQESKLLCQENSTNEDFDDETFGRERPVGLLRASIL >EOY34218 pep chromosome:Theobroma_cacao_20110822:9:40344336:40348475:-1 gene:TCM_041961 transcript:EOY34218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nfrkb, putative isoform 1 MMAIEKNNFKVSRFDSEFSPGSRETTMSSDEDELQRRSPAVDSDDDDEFDDADSGAGSDDFDLLELGETRAEFCKVGNLTCSVPFELYDLPGLEDILSLDVWNECLSDEERFSLSKFLPDMDQDTFMRTLYDLLKGNNFHFGSPIKMLFDMLKGGLCEPRVALYRDGLNFFQKRQHYHHLRKHQNGMVVNLCQIRDAWLNCRGYSIEERLRVLNIMRSQKSLMHEKMEDEDSESSERDDLDDGSWRKRVKERKALQKMGRHSGYGVDPSLEFISRAQPMALEPAKYRKQNPKGILKTGGSKLPSAKEFGSHFYPGLDMNSELYGLAGTLPRQKYESGAALRARDRMRLDDDAEDPMFGMGFQRDRNAVRDSIINKSGSLRAGKKYDLLRGEELAGDSFMALPLSSKNDLQAYGRKRNVNQLSEAKVYSTKPPNMRASYDFAKKSKYAENHQQFAVGDQIKSMKGRTPPLPSKGSRVDLSERAELFWQNKNQGEDISVDLSVRSDDWNIRSKKWKTGRESPDLSFKSYKASLPQMNDRYLHSDGRMKQSQEKIRGNYVQNGGPLMAASKGSRAFIKNDETESDSSEQFDDDEDSNPLMRSKFAYPSGVIEGSRLSSLKSGLDSRKTKSLKKDTMEDAWAVDGNARFSRKSIGENVHVPGVESYYLKGKQKGKMHERSPLHNSSSRVLDEVDRKQVYKLRKNGQLRGEPGDRLHMSSSRAYPAEKRQKGEVAYDHSMSQSNYLNNYLVDEEDASPVTLSHVEEINLGRTRKKGQSIEAYDRRENSEASLLGCNTVTKKRKGKEYVADVDRTDEDGNLQSNLQQQTDDSPFLKKKGKRKVEVDAGTSDMEVSELHAAEMGATDVEMETKPQKKPFTLITPTVHTGFSFSIIHLLSAVRMAMITPLPEDSLEVGKPREEQSGKQEGSMNGVLSRDNAVTNNLDHPVQTSVPSLTVHEIVNRVTVNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKALVAYEKSTKSWSWVGPVTHSSNDHETIEEVTSPEAWGLPHKMLVKLVDSFANWLKNGQETLQQIGSLPAPPLELMQVNLDEKERFRDLRAQKSLNTISSSSEEVRAYFRREELLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRQKKDPTEQSDQGAVTVAFHGTGDQSGFDLGSDLNVEPSCVDDDKKMETDCHDRQNGEDNADTSHGSEQGNTQQGHPMTWEPLDLNPVQESKLLCQENSTNEDFDDETFGRERPVGLLRASIL >EOY34220 pep chromosome:Theobroma_cacao_20110822:9:40341741:40349261:-1 gene:TCM_041961 transcript:EOY34220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nfrkb, putative isoform 1 MMAIEKNNFKVSRFDSEFSPGSRETTMSSDEDELQRRSPAVDSDDDDEFDDADSGAGSDDFDLLELGETRAEFCKVGNLTCSVPFELYDLPGLEDILSLDVWNECLSDEERFSLSKFLPDMDQDTFMRTLYDLLKGNNFHFGSPIKMLFDMLKGGLCEPRVALYRDGLNFFQKRQHYHHLRKHQNGMVVNLCQIRDAWLNCRGYSIEERLRVLNIMRSQKSLMHEKMEDEDSESSERDDLDDGSWRKRVKERKALQKMGRHSGYGVDPSLEFISRAQPMALEPAKYRKQNPKGILKTGGSKLPSAKEFGSHFYPGLDMNSELYGLAGTLPRQKYESGAALRARDRMRLDDDAEDPMFGMGFQRDRNAVRDSIINKSGSLRAGKKYDLLRGEELAGDSFMALPLSSKNDLQAYGRKRNVNQLSEAKVYSTKPPNMRASYDFAKKSKYAENHQQFAVGDQIKSMKGRTPPLPSKGSRVDLSERAELFWQNKNQGEDISVDLSVRSDDWNIRSKKWKTGRESPDLSFKSYKASLPQMNDRYLHSDGRMKQSQEKIRGNYVQNGGPLMAASKGSRAFIKNDETESDSSEQFDDDEDSNPLMRSKFAYPSGVIEGSRLSSLKSGLDSRKTKSLKKDTMEDAWAVDGNARFSRKSIGENVHVPGVESYYLKGKQKGKMHERSPLHNSSSRVLDEVDRKQVYKLRKNGQLRGEPGDRLHMSSSRAYPAEKRQKGEVAYDHSMSQSNYLNNYLVDEEDASPVTLSHVEEINLGRTRKKGQSIEAYDRRENSEASLLGCNTVTKKRKGKEYVADVDRTDEDGNLQSNLQQQTDDSPFLKKKGKRKVEVDAGTSDMEVSELHAAEMGATDVEMETKPQKKPFTLITPTVHTGFSFSIIHLLSAVRMAMITPLPEDSLEVGKPREEQSGKQEGSMNGVLSRDNAVTNNLDHPVQTSVPSLTVHEIVNRVTVNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKALVAYEKSTKSWSWVGPVTHSSNDHETIEEVTSPEAWGLPHKMLVKLVDSFANWLKNGQETLQQIGSLPAPPLELMQVNLDEKERFRDLRAQKSLNTISSSSEEVRAYFRREELLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRQKKDPTEQSDQGAVTVAFHGTGDQSGFDLGSDLNVEPSCVDDDKKMETDCHDRQNGEDNADTSHGSEQGNTQQGHPMTWEPLDLNPVQESKLLCQENSTNEDFDDETFGRERPVGLLRASIL >EOY34221 pep chromosome:Theobroma_cacao_20110822:9:40341797:40349261:-1 gene:TCM_041961 transcript:EOY34221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nfrkb, putative isoform 1 MMAIEKNNFKVSRFDSEFSPGSRETTMSSDEDELQRRSPAVDSDDDDEFDDADSGAGSDDFDLLELGETRAEFCKVGNLTCSVPFELYDLPGLEDILSLDVWNECLSDEERFSLSKFLPDMDQDTFMRTLYDLLKGNNFHFGSPIKMLFDMLKGGLCEPRVALYRDGLNFFQKRQHYHHLRKHQNGMVVNLCQIRDAWLNCRGYSIEERLRVLNIMRSQKSLMHEKMEDEDSESSERDDLDDGSWRKRVKERKALQKMGRHSGYGVDPSLEFISRAQPMALEPAKYRKQNPKGILKTGGSKLPSAKEFGSHFYPGLDMNSELYGLAGTLPRQKYESGAALRARDRMRLDDDAEDPMFGMGFQRDRNAVRDSIINKSGSLRAGKKYDLLRGEELAGDSFMALPLSSKNDLQAYGRKRNVNQLSEAKVYSTKPPNMRASYDFAKKSKYAENHQQFAVGDQIKSMKGRTPPLPSKGSRVDLSERAELFWQNKNQGEDISVDLSVRSDDWNIRSKKWKTGRESPDLSFKSYKASLPQMNDRYLHSDGRMKQSQEKIRGNYVQNGGPLMAASKGSRAFIKNDETESDSSEQFDDDEDSNPLMRSKFAYPSGVIEGSRLSSLKSGLDSRKTKSLKKDTMEDAWAVDGNARFSRKSIGENVHVPGVESYYLKGKQKGKMHERSPLHNSSSRVLDEVDRKQVYKLRKNGQLRGEPGDRLHMSSSRAYPAEKRQKGEVAYDHSMSQSNYLNNYLVDEEDASPVTLSHVEEINLGRTRKKGQSIEAYDRRENSEASLLGCNTVTKKRKGKEYVADVDRTDEDGNLQSNLQQQTDDSPFLKKKGKRKVEVDAGTSDMEVSELHAAEMGATDVEMETKPQKKPFTLITPTVHTGFSFSIIHLLSAVRMAMITPLPEDSLEVGKPREEQSGKQEGSMNGVLSRDNAVTNNLDHPVQTSVPSLTVHEIVNRVTVNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKALVAYEKSTKSWSWVGPVTHSSNDHETIEEVTSPEAWGLPHKMLVKLVDSFANWLKNGQETLQQIGSLPAPPLELMQVNLDEKERFRDLRAQKSLNTISSSSEEVRAYFRREELLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRQKKDPTEQSDQGAVTVAFHGTGDQSGFDLGSDLNVEPSCVDDDKKMETDCHDRQNGEDNADTSHGSEQGNTQQGHPMTWEPLDLNPVQESKLLCQENSTNEDFDDETFGRERPVGLLRASIL >EOY31530 pep chromosome:Theobroma_cacao_20110822:9:9341984:9344503:-1 gene:TCM_038451 transcript:EOY31530 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme, putative MKRDMDSPAPPSPIGFEGFEKRLEITFFDPAIFKDTNGLGLRALSKTQLDSILQPACCNIVSQLSNPNFDSYVLSESSLFVYPNKIILKTCGTTKLLLSIPSILQLSNSLSLTVSRVNYSRGTFIFPDHQPAPHRNFSEEVTAVNEFFTDYITEAYIIGDPRFPTRSWHVYSAVAKCSQPLMEDPRALVTLEMCMTGLDREKAGVFYKKSGNGNCSAREMTKTSGIADIIPSHVICDFDFDPCGYSMNGIEGLAYSTVHVTPEEGFSYASYEAMGFDTDSVKLEPLVKRVLTCFCPNEFSVAVTCHGGGARFWAMEGADVEGYSRRYMVKQELPGGGCVVYWTYFSKGERCTLRAPAKLTMQCWKEAVEEEEEVAGAVVCQCISSA >EOY33494 pep chromosome:Theobroma_cacao_20110822:9:37832122:37836871:1 gene:TCM_041465 transcript:EOY33494 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein isoform 2 MASSIVFTGLHLFKSKKSIKLKTSTRFSDSRQQLSPNSVKCCSTTTTATTEEDTTRRVTVKNGNDSLDICRVLNGMWQTSGGWGRIDRDDAVEAMLRHADAGLSTFDMADHYGPAEDLYGIFINRVRRERPPEYLDKVRGLTKWVPPPVKMTSSYVRESINVSRKRMDVPSLDMLQFHWWDYSNPGYLDALKHLTDLKEEGKIRTVALTNFDTERLQIILENGIPVVSNQVQHSIVDMRPQQKMAELCQLTGVKLITYGTVMGGLLSEKFLDTNIAIPFSGPPLNTPSLQKYKRMVDAWGGWSLFQTLLQTLNKVASKHGVSIPTVAVTYILDQPAVAGSMIGVRLGLSEHILDSNAIFSLVLDEEDVNSIQEVSERGKDLLGVIGDCGDEYRRA >EOY33493 pep chromosome:Theobroma_cacao_20110822:9:37832140:37836742:1 gene:TCM_041465 transcript:EOY33493 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein isoform 2 MASSIVFTGLHLFKSKKSIKLKTSTRFSDSRQQLSPNSVKCCSTTTTATTEEDTTRRVTVKNGNDSLDICRVLNGMWQTSGGWGRIDRDDAVEAMLRHADAVKWETKTGHNAIRKSNPIQIQCVITEDNRNSIVKNRNDSLEICKVVNGMWQTSGGWGRIDRNNAVDAMLRYADAGLTTFDMADICNPIIAFCKSMSYFEMSVRLSLYGPAEDLYGIFINRVRRERPPEYLDKVRGLTKWVPPPVKMTSSYVRESINVSRKRMDVPSLDMLQFHWWDYSNPGYLDALKHLTDLKEEGKIRTVALTNFDTERLQIILENGIPVVSNQVQHSIVDMRPQQKMAELCQLTGVKLITYGTVMGGLLSEKFLDTNIAIPFSGPPLNTPSLQKYKRMVDAWGGWSLFQTLLQTLNKVASKHGVSIPTVAVTYILDQPAVAGSMIGVRLGLSEHILDSNAIFSLVLDEEDVNSIQEVSERGKDLLGVIGDCGDEYRRA >EOY29124 pep chromosome:Theobroma_cacao_20110822:9:169863:174952:1 gene:TCM_036765 transcript:EOY29124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MAEDKSIPEGESKESSPPVVKNKGKGVLSRVWNAIFRIHGDDFEKRLEHISKEEAAVLARMKRRSQTWRRMVVAVAYAIMTTRSMELNWKMRAFRVLPMFLLPVFSSLAYSAVVSITRMCDRRDQKTLERLRAERQAKIDELKEKTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYVGDESKLNVPGGKSNDVEVVPSSGLRKRKQLHTRSSSTGSTPLLHSDEETPHSAGNEGPQPSEHDQLVVVDHHHPQGPSAQDGGWLARIAALLVGEDPTQSYALICGNCHMHNGLARKEDFPYITYYCPHCHALNRPKQSEEHVSSSSSPSMNPLKAEGSGDATKHSSGSGTMGESVLTSNSSEIEEVTEKVVSRDSVG >EOY29121 pep chromosome:Theobroma_cacao_20110822:9:169863:174952:1 gene:TCM_036765 transcript:EOY29121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MAEDKSIPEGESKESSPPVVKNKGKGVLSRVWNAIFRIHGDDFEKRLEHISKEEAAVLARMKRRSQTWRRMVRHLIVFSVILEVVAVAYAIMTTRSMELNWKMRAFRVLPMFLLPVFSSLAYSAVVSITRMCDRRDQKTLERLRAERQAKIDELKEKTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYVGDESKLNVPGGKSNDVEVVPSSGLRKRKQLHTRSSSTGSTPLLHSDEETPHSAGNEGPQPSEHDQLVVVDHHHPQGPSAQDGGWLARIAALLVGEDPTQSYALICGNCHMHNGLARKEDFPYITYYCPHCHALNRPKQSEEHVSSSSSPSMNPLKAEGSGDATKHSSGSGTMGESVLTSNSSEIEEVTEKVVSRDSVG >EOY29123 pep chromosome:Theobroma_cacao_20110822:9:169982:174938:1 gene:TCM_036765 transcript:EOY29123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MAEDKSIPEGESKESSPPVVKNKGKGVLSRVWNAIFRIHGDDFEKRLEHISKEEAAVLARMKRRSQTWRRMVRHLIVFSVILEVVAVAYAIMTTRSMELNWKMRAFRVLPMFLLPVFSSLAYSAVVSITRMCDRRDQKTLERLRAERQAKIDELKEKTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYVGDESKLNVPGGKSNDVEVVPSSGLRKRKQLHTRSSSTGSTPLLHSDEETPHSAGNEGPQPSEHDQLVVVDHHHPQGPSAQDGGWLARIAALLVGEDPTQSYALICGNCHMHNGLARKEDFPYITYYCPHCHALNRPKQSEEHVSSSSSPSMNPLKAEGSGDATKHSSGSGTMGESVLTSNSSEIEEVTEKVVSRDSVG >EOY29122 pep chromosome:Theobroma_cacao_20110822:9:170074:174938:1 gene:TCM_036765 transcript:EOY29122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MAEDKSIPEGESKESSPPVVKNKGKGVLSRVWNAIFRIHGDDFEKRLEHISKEEAAVLARMKRRSQTWRRMVVAVAYAIMTTRSMELNWKMRAFRVLPMFLLPVFSSLAYSAVVSITRMCDRRDQKTLERLRAERQAKIDELKEKTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYVGDESKLNVPGGKSNDVEGMRVLNLLSMINWLLLIITIHKDLLHRMGGGLHELLPCLWAKIQHSLMHSYVATVICTMGLARKEDFPYITYYCPHCHALNRPKQSEEHVSSSSSPSMNPLKAEGSGDATKHSSGSGTMGESVLTSNSSEIEEVTEKVVSRDSVG >EOY31813 pep chromosome:Theobroma_cacao_20110822:9:14840496:14841265:1 gene:TCM_039000 transcript:EOY31813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKWSLLSRLRRAVKKVKFLLNFNMNRWRIASMIGASPSSQRLSFTDRPGLRACADDYDSDDSGSRRLARTTSYLSEDDIDKRAEMFIANFHKQLQIERQVSLQLKYLRANSFDYNSP >EOY31927 pep chromosome:Theobroma_cacao_20110822:9:17907338:17908138:-1 gene:TCM_039291 transcript:EOY31927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMEAHQQDGNVGGIKRKRKPSFEGLEPYAICTFCDVCFARYNIISKVSVDNGMCNYVLCGFMGMCSEL >EOY34691 pep chromosome:Theobroma_cacao_20110822:9:41806719:41808462:-1 gene:TCM_042280 transcript:EOY34691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase-like protein isoform 2 MELHHQKHHQTYITNFNRAQEQLRHAMSKADSTTIVKLQSSIKFNGGGAFFSSIPFSLPCLEHLGRFCVWNTLGASLLGTLLSAITFGTPLSTSIFGTPASVLVTPSALGILLGISALETPLGASALETPLSLLSHFLECHINHSIFWKTLAPVTVSLILIHLFIQSLYTFMFFLKQNQKHIKLWQKAGGEPLAGALGKVIHTQFGSLERLIDKINKEGAALQGSGWVWLALDKENELKKLSIETTAKHCCMLIDVQLQHIQGALNMELFAGSTRDQRIQL >EOY34690 pep chromosome:Theobroma_cacao_20110822:9:41805807:41808671:-1 gene:TCM_042280 transcript:EOY34690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase-like protein isoform 2 MELHHQKHHQTYITNFNRAQEQLRHAMSKADSTTIVKLQSSIKFNGGGAFFSSIPFSLPCLEHLGRFCVWNTLGASLLGTLLSAITFGTPLSTSIFGTPASVLVTPSALGILLGISALETPLGASALETPLSLLSHFLECHINHSIFWKTLAPVTVSLILIHLFIQSLYTFMFFLKQNQKHIKLWQKAGGEPLAGALGKVIHTQFGSLERLIDKINKEGAALQGSGWVDPALVPLLGVDVWEHAYYLQYKNVRANYLANIWKVINWEYASEMYDKECS >EOY31229 pep chromosome:Theobroma_cacao_20110822:9:7639717:7659419:1 gene:TCM_038191 transcript:EOY31229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 4,5 isoform 2 MAKFTSRLDYNLGFLSHNTYTSQGSIFLKNTSRKNLHLQLSPSKHRLNFKVLCSIKEKENVKDERDRAGRLVNGVRVEELDSKAGFGRESGSREVGFDLDWPPWKNIPRRYTLIGTTSLAFVICNMDKVNLSVAIIPMSHQFGWNPSVAGLVQSSFFWGYALSQLPGGWLSKIFGGRKVLEIGVLAWSLATALVPVLAGFMPGLLLSRILVGIGEGVSPSAATDLIARSIPLEERSRAVSFVFGGLSVGSVTGLLLAPPLIQNFGWESVFSIFGILGIGWYLGFHFIGEGRALNAVEHAALLQSADQNKSWNNSPEESADQKNSWNNSLDELRASLQDVPWKAFFRSPAVWAMIYTHFCGSWGHYTCLSWLPTYFSEELNLNLTEAAECHCLHRQYSST >EOY31228 pep chromosome:Theobroma_cacao_20110822:9:7639880:7658984:1 gene:TCM_038191 transcript:EOY31228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 4,5 isoform 2 MAKFTSRLDYNLGFLSHNTYTSQGSIFLKNTSRKNLHLQLSPSKHRLNFKVLCSIKEKENVKDERDRAGRLVNGVRVEELDSKAGFGRESGSREVGFDLDWPPWKNIPRRYTLIGTTSLAFVICNMDKVNLSVAIIPMSHQFGWNPSVAGLVQSSFFWGYALSQLPGGWLSKIFGGRKVLEIGVLAWSLATALVPVLAGFMPGLLLSRILVGIGEGVSPSAATDLIARSIPLEERSRAVSFVFGGLSVGSVTGLLLAPPLIQNFGWESVFSIFGILGIGWYLGFHFIGEGRALNAVEHAALLQSADQNKSWNNSPEESADQKNSWNNSLDELRASLQDVPWKAFFRSPAVWAMIYTHFCGSWGHYTCLSWLPTYFSEELNLNLTEAAEVSILPPLASVFVTSIAAQFADNLISNGVETTTVRKICQTISFLSPAICMILSSLDLGLQPWEIVGILSSGLALSSFALSGLYCTHQDMSPEYASILLGITNTVGAVPGIVGVALTGFLLDSTHSWSMSLFAPSIFFYLTGTIVWLAFASSKPQSFSESD >EOY31230 pep chromosome:Theobroma_cacao_20110822:9:7639717:7658007:1 gene:TCM_038191 transcript:EOY31230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 4,5 isoform 2 MAKFTSRLDYNLGFLSHNTYTSQGSIFLKNTSRKNLHLQLSPSKHRLNFKVLCSIKEKENVKDERDRAGRLVNGVRVEELDSKAGFGRESGSREVGFDLDWPPWKNIPRRYTLIGTTSLAFVICNMDKVNLSVAIIPMSHQFGWNPSVAGLVQSSFFWGYALSQLPGGWLSKIFGGRKVLEIGVLAWSLATALVPVLAGFMPGLLLSRILVGIGEGVSPSAATDLIARSIPLEERSRAVSFVFGGLSVGSVTGLLLAPPLIQNFGWESVFSIFGILGIGWYLGFHFIGEGRALNAVEHAALLQSADQNKSWNNSPEESADQKNSWNNSLDELRASLQDVPWKAFFRSPAVWAMIYTHFCGSWGHYTCLSWLPTYFSEELNLNLTEAAECHCLHRQYSST >EOY32984 pep chromosome:Theobroma_cacao_20110822:9:34741416:34744468:-1 gene:TCM_040993 transcript:EOY32984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30/L7 family protein isoform 1 MGEEVKAVIPESLLKKRKRNEEWELAKKQELEAAKKKKVESRKLIYNRAKQYAKEYEAQEKELIQLKREAKLKGGFYVDPEAKLLFIVRIRGINAMHPRTRKILQLLRLRQIFNGVFLKVNKATMNMLHRVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRVALTDNEIIEQVFIYIFIFLHFRVIFLVVNIYLACLLFFFYLSQALGKFGIISVEDLIHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >EOY32985 pep chromosome:Theobroma_cacao_20110822:9:34742273:34744570:-1 gene:TCM_040993 transcript:EOY32985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30/L7 family protein isoform 1 MGEEVKAVIPESLLKKRKRNEEWELAKKQELEAAKKKKVESRKLIYNRAKQYAKEYEAQEKELIQLKREAKLKGGFYVDPEAKLLFIVRIRGINAMHPRTRKILQLLRLRQIFNGVFLKVNKATMNMLHRVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRVALTDNEIIEQVFIYIFIFLHFRVIFLVVNIYLACLLFFFYLSQALGKFGIISVEDLIHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >EOY32983 pep chromosome:Theobroma_cacao_20110822:9:34741424:34744528:-1 gene:TCM_040993 transcript:EOY32983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30/L7 family protein isoform 1 MGEEVKAVIPESLLKKRKRNEEWELAKKQELEAAKKKKVESRKLIYNRAKQYAKEYEAQEKELIQLKREAKLKGGFYVDPEAKLLFIVRIRGINAMHPRTRKILQLLRLRQIFNGVFLKVNKATMNMLHRVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRVALTDNEIIEQALGKFGIISVEDLIHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >EOY32982 pep chromosome:Theobroma_cacao_20110822:9:34742273:34744570:-1 gene:TCM_040993 transcript:EOY32982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30/L7 family protein isoform 1 MGEEVKAVIPESLLKKRKRNEEWELAKKQELEAAKKKKVESRKLIYNRAKQYAKEYEAQEKELIQLKREAKLKGGFYVDPEAKLLFIVRIRGINAMHPRTRKILQLLRLRQIFNGVFLKVNKATMNMLHRVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRVALTDNEIIEQALGKFGIISVEDLIHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >EOY31127 pep chromosome:Theobroma_cacao_20110822:9:7142103:7150676:-1 gene:TCM_038124 transcript:EOY31127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 8 MSSLSRELVFLILQFLDEEKFKDTVHKLEQESGFFFNLRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFSAFNEELFKEITHLLTLDNFRENEQLSKYGDTKSARSIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNPLMSAVPKAGGFPPLGAHGPFQPTPAALPTSLAGWMANPTPVPHPAASAGPIGLTAPNNAAAILKRPRTPPTNNPAMDYQSADSEHVLKRSRPFGMPDEVNNLPVNILPVPYAGQSHGQSSYSPDDLPKTVVMTLSQGSAVKSMDFHPKTQILLLVGTNTGDIMVWEVGSGERIAHKTFKVWDLSACSMPLQASLANDYTVSINRVMWSPDGNLFGVAYSKHIVHVYSYHGGDDLRNRLEIEAHVGSVNDLAFSYPNKQLCIVTCGEDRIIKVWDAVSGAKQHIFEGHEAPVYSICPHHKENIQFIFSTATDGKIKAWLYDNVGSRVDYNAPGQSSTTMAYSADGTRLFSCGTNKDGESYLVEWNESEGAVKRTYFGLGKRSAGVVQFDTTKSRFLAAGDEFSVKFWDMDNVNLLTSTPADGGLPHAPCIRFNKEGTLLAVSTEDNGVKILANSDGIRFLRTVENRSFDASRVAPAAMAPNMGAFGSNNATIGTTIGDRAAPVAAMVGMSLQSSDGRSLADVKPRIADESVEKSRIWKLTEINEPTQCRSLRLSDNLTAMRVSRLIYTNSGLAILALSSNAVHKLWKWQRNDRNLTGKATTSVAPQLWQPSSGILMTNDITDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHTLNVLVSSGADSQLCVWSTDGWEKQASKYLQIPNGRAASPHADTRVQFHLDQIHLLAVHETQIAIYEAPNLECLKQFVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRIGPAAYLPPNPSLRVYPLVIAAHPSDPNQFALGLTDGGVHILEPLESEGKWGTSPPVENGAGPSNASGANGSEPPQR >EOY31128 pep chromosome:Theobroma_cacao_20110822:9:7141059:7150934:-1 gene:TCM_038124 transcript:EOY31128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 8 MSSLSRELVFLILQFLDEEKFKDTVHKLEQESGFFFNLRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFSAFNEELFKEITHLLTLDNFRENEQLSKYGDTKSARSIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNPLMSAVPKAGGFPPLGAHGPFQPTPAALPTSLAGWMANPTPVPHPAASAGPIGLTAPNNAAAILKRPRTPPTNNPAMDYQSADSEHVLKRSRPFGMPDEVNNLPVNILPVPYAGQSHGQSSYSPDDLPKTVVMTLSQGSAVKSMDFHPKTQILLLVGTNTGDIMVWEVGSGERIAHKTFKVWDLSACSMPLQASLANDYTVSINRVMWSPDGNLFGVAYSKHIVHVYSYHGGDDLRNRLEIEAHVGSVNDLAFSYPNKQLCIVTCGEDRIIKVWDAVSGAKQHIFEGHEAPVYSICPHHKENIQFIFSTATDGKIKAWLYDNVGSRVDYNAPGQSSTTMAYSADGTRLFSCGTNKDGESYLVEWNESEGAVKRTYFGLGKRSAGVVQFDTTKSRFLAAGDEFSVKFWDMDNVNLLTSTPADGGLPHAPCIRFNKEGTLLAVSTEDNGVKILANSDGIRFLRTVENRSFDASRVAPAAMAPNMGAFGSNNATIGTTIGDRAAPVAAMVGMSSDGRSLADVKPRIADESVEKSRIWKLTEINEPTQCRSLRLSDNLTAMRVSRLIYTNSGLAILALSSNAVHKLWKWQRNDRNLTGKATTSVAPQLWQPSSGILMTNDITDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHTLNVLVSSGADSQLCVWSTDGWEKQASKYLQIPNGRAASPHADTRVQFHLDQIHLLAVHETQIAIYEAPNLECLKQFVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRIGPAAYLPPNPSLRVYPLVIAAHPSDPNQFALGLTDGGVHILEPLESEGKWGTSPPVENGAGPSNASGANGSEPPQR >EOY31132 pep chromosome:Theobroma_cacao_20110822:9:7141059:7150942:-1 gene:TCM_038124 transcript:EOY31132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 8 MSSLSRELVFLILQFLDEEKFKDTVHKLEQESGFFFNLRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFSAFNEELFKEITHLLTLDNFRENEQLSKYGDTKSARSIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNPLMSAVPKAGGFPPLGAHGPFQPTPAALPTSLAGWMANPTPVPHPAASAGPIGLTAPNNAAAILKRPRTPPTNNPAMDYQSADSEHVLKRSRPFGMPDEVNNLPVNILPVPYAGQSHGQSSYSPDDLPKTVVMTLSQGSAVKSMDFHPKTQILLLVGTNTGDIMVWEVGSGERIAHKTFKVWDLSACSMPLQASLANDYTVSINRVMWSPDGNLFGVAYSKHIVHVYSYHGGDDLRNRLEIEAHVGSVNDLAFSYPNKQLCIVTCGEDRIIKVWDAVSGAKQHIFEGHEAPVYSICPHHKENIQFIFSTATDGKIKAWLYDNVGSRVDYNAPGQSSTTMAYSADGTRLFSCGTNKDGESYLVEWNESEGAVKRTYFGLGKRSAGVVQFDTTKSRFLAAGDEFSVKFWDMDNVNLLTSTPADGGLPHAPCIRFNKEGTLLAVSTEDNGVKILANSDGIRFLRTVENRSFDASRVAPAAMAPNMGAFGSNNATIGTTIGDRAAPVAAMVGMSLQSSDGRSLADVKPRIADESVEKSRIWKLTEINEPTQCRSLRLSDNLTAMRVSRLIYTNSGLAILALSSNAVHKLWKWQRNDRNLTGKATTSVAPQLWQPSSGILMTNDITDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHTLNVLVSHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRIGPAAYLPPNPSLRVYPLVIAAHPSDPNQFALGLTDGGVHILEPLESEGKWGTSPPVENGAGPSNASGANGSEPPQR >EOY31130 pep chromosome:Theobroma_cacao_20110822:9:7141059:7150934:-1 gene:TCM_038124 transcript:EOY31130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 8 MSSLSRELVFLILQFLDEEKFKDTVHKLEQESGFFFNLRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFSAFNEELFKEITHLLTLDNFRENEQLSKYGDTKSARSIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNPLMSAVPKAGGFPPLGAHGPFQPTPAALPTSLAGWMANPTPVPHPAASAGPIGLTAPNNAAAILKRPRTPPTNNPAMDYQSADSEHVLKRSRPFGMPDEVNNLPVNILPVPYAGQSHGQSSYSPDDLPKTVVMTLSQGSAVKSMDFHPKTQILLLVGTNTGDIMVWEVGSGERIAHKTFKVWDLSACSMPLQASLANDYTVSINRVMWSPDGNLFGVAYSKHIVHVYSYHGGDDLRNRLEIEAHVGSVNDLAFSYPNKQLCIVTCGEDRIIKVWDAVSGAKQHIFEGHEAPVYSICPHHKENIQFIFSTATDGKIKAWLYDNVGSRVDYNAPGQSSTTMAYSADGTRLFSCGTNKDGESYLVEWNESEGAVKRTYFGLGKRSAGVVQFDTTKSRFLAAGDEFSVKFWDMDNVNLLTSTPADGGLPHAPCIRFNKEGTLLAVSTEDNGVKILANSDGIRFLRTVENRSFDASRVAPAAMAPNMGAFGSNNATIGTTIGDRAAPVAAMVGMSLQSSDGRSLADVKPRIADESVEKSRIWKLTEINEPTQCRSLRLSDNLTAMRVSRLIYTNSGLAILALSSNAVHKLWKWQRNDRNLTGKATTSVAPQLWQPSSGILMTNDITDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHTLNVLVSSGADSQLCVWSTDGWEKQASKYLQIPNGRAASPHADTRVQFHLDQIHLLAVHETQIAIYEAPNLECLKQFVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRIGPAAYLPPNPSLRVYPLVIAAHPSDPNQFALGLTDGGVHILEPLESEGKWGTSPPVENGAGPSNASGANGSEPPQR >EOY31126 pep chromosome:Theobroma_cacao_20110822:9:7142103:7150676:-1 gene:TCM_038124 transcript:EOY31126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 8 MSSLSRELVFLILQFLDEEKFKDTVHKLEQESGFFFNLRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFSAFNEELFKEITHLLTLDNFRENEQLSKYGDTKSARSIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNPLMSAVPKAGGFPPLGAHGPFQPTPAALPTSLAGWMANPTPVPHPAASAGPIGLTAPNNAAAILKRPRTPPTNNPAMDYQSADSEHVLKRSRPFGMPDEVPNQLILHQVNNLPVNILPVPYAGQSHGQSSYSPDDLPKTVVMTLSQGSAVKSMDFHPKTQILLLVGTNTGDIMVWEVGSGERIAHKTFKVWDLSACSMPLQASLANDYTVSINRVMWSPDGNLFGVAYSKHIVHVYSYHGGDDLRNRLEIEAHVGSVNDLAFSYPNKQLCIVTCGEDRIIKVWDAVSGAKQHIFEGHEAPVYSICPHHKENIQFIFSTATDGKIKAWLYDNVGSRVDYNAPGQSSTTMAYSADGTRLFSCGTNKDGESYLVEWNESEGAVKRTYFGLGKRSAGVVQFDTTKSRFLAAGDEFSVKFWDMDNVNLLTSTPADGGLPHAPCIRFNKEGTLLAVSTEDNGVKILANSDGIRFLRTVENRSFDASRVAPAAMAPNMGAFGSNNATIGTTIGDRAAPVAAMVGMSLQSSDGRSLADVKPRIADESVEKSRIWKLTEINEPTQCRSLRLSDNLTAMRVSRLIYTNSGLAILALSSNAVHKLWKWQRNDRNLTGKATTSVAPQLWQPSSGILMTNDITDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHTLNVLVSSGADSQLCVWSTDGWEKQASKYLQIPNGRAASPHADTRVQFHLDQIHLLAVHETQIAIYEAPNLECLKQFVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRIGPAAYLPPNPSLRVYPLVIAAHPSDPNQFALGLTDGGVHILEPLESEGKWGTSPPVENGAGPSNASGANGSEPPQR >EOY31125 pep chromosome:Theobroma_cacao_20110822:9:7141140:7151335:-1 gene:TCM_038124 transcript:EOY31125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 8 MSSLSRELVFLILQFLDEEKFKDTVHKLEQESGFFFNLRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFSAFNEELFKEITHLLTLDNFRENEQLSKYGDTKSARSIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNPLMSAVPKAGGFPPLGAHGPFQPTPAALPTSLAGWMANPTPVPHPAASAGPIGLTAPNNAAAILKRPRTPPTNNPAMDYQSADSEHVLKRSRPFGMPDEVNNLPVNILPVPYAGQSHGQSSYSPDDLPKTVVMTLSQGSAVKSMDFHPKTQILLLVGTNTGDIMVWEVGSGERIAHKTFKVWDLSACSMPLQASLANDYTVSINRVMWSPDGNLFGVAYSKHIVHVYSYHGGDDLRNRLEIEAHVGSVNDLAFSYPNKQLCIVTCGEDRIIKVWDAVSGAKQHIFEGHEAPVYSICPHHKENIQFIFSTATDGKIKAWLYDNVGSRVDYNAPGQSSTTMAYSADGTRLFSCGTNKDGESYLVEWNESEGAVKRTYFGLGKRSAGVVQFDTTKSRFLAAGDEFSVKFWDMDNVNLLTSTPADGGLPHAPCIRFNKEGTLLAVSTEDNGVKILANSDGIRFLRTVENRSFDASRVAPAAMVKAPNMGAFGSNNATIGTTIGDRAAPVAAMVGMSLQSSDGRSLADVKPRIADESVEKSRIWKLTEINEPTQCRSLRLSDNLTAMRVSRLIYTNSGLAILALSSNAVHKLWKWQRNDRNLTGKATTSVAPQLWQPSSGILMTNDITDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHTLNVLVSSGADSQLCVWSTDGWEKQASKYLQIPNGRAASPHADTRVQFHLDQIHLLAVHETQIAIYEAPNLECLKQFVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRIGPAAYLPPNPSLRVYPLVIAAHPSDPNQFALGLTDGGVHILEPLESEGKWGTSPPVENGAGPSNASGANGSEPPQR >EOY31129 pep chromosome:Theobroma_cacao_20110822:9:7141670:7151099:-1 gene:TCM_038124 transcript:EOY31129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 8 MSSLSRELVFLILQFLDEEKFKDTVHKLEQESGFFFNLRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFSAFNEELFKEITHLLTLDNFRENEQLSKYGDTKSARSIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNPLMSAVPKAGGFPPLGAHGPFQPTPAALPTSLAGWMANPTPVPHPAASAGPIGLTAPNNAAAILKRPRTPPTNNPAMDYQSADSEHVLKRSRPFGMPDEVNNLPVNILPVPYAGQSHGQSSYSPDDLPKTVVMTLSQGSAVKSMDFHPKTQILLLVGTNTGDIMVWEVGSGERIAHKTFKVWDLSACSMPLQQASLANDYTVSINRVMWSPDGNLFGVAYSKHIVHVYSYHGGDDLRNRLEIEAHVGSVNDLAFSYPNKQLCIVTCGEDRIIKVWDAVSGAKQHIFEGHEAPVYSICPHHKENIQFIFSTATDGKIKAWLYDNVGSRVDYNAPGQSSTTMAYSADGTRLFSCGTNKDGESYLVEWNESEGAVKRTYFGLGKRSAGVVQFDTTKSRFLAAGDEFSVKFWDMDNVNLLTSTPADGGLPHAPCIRFNKEGTLLAVSTEDNGVKILANSDGIRFLRTVENRSFDASRVAPAAMAPNMGAFGSNNATIGTTIGDRAAPVAAMVGMSLQSSDGRSLADVKPRIADESVEKSRIWKLTEINEPTQCRSLRLSDNLTAMRVSRLIYTNSGLAILALSSNAVHKLWKWQRNDRNLTGKATTSVAPQLWQPSSGILMTNDITDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHTLNVLVSSGADSQLCVWSTDGWEKQASKYLQIPNGRAASPHADTRVQFHLDQIHLLAVHETQIAIYEAPNLECLKQFVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRIGPAAYLPPNPSLRVYPLVIAAHPSDPNQFALGLTDGGVHILEPLESEGKWGTSPPVENGAGPSNASGANGSEPPQR >EOY31131 pep chromosome:Theobroma_cacao_20110822:9:7142103:7150676:-1 gene:TCM_038124 transcript:EOY31131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 8 MSSLSRELVFLILQFLDEEKFKDTVHKLEQESGFFFNLRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFSAFNEELFKEITHLLTLDNFRENEQLSKYGDTKSARSIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNPLMSAVPKAGGFPPLGAHGPFQPTPAALPTSLAGWMANPTPVPHPAASAGPIGLTAPNNAAAILKRPRTPPTNNPAMDYQSADSEHVLKRSRPFGMPDEVNNLPVNILPVPYAGQSHGQSSYSPDDLPKTVVMTLSQGSAVKSMDFHPKTQILLLVGTNTGDIMVWEVGSGERIAHKTFKVWDLSACSMPLQASLANDYTVSINRVMWSPDGNLFGVAYSKHIVHVYSYHGGDDLRNRLEIEAHVGSVNDLAFSYPNKQLCIVTCGEDRIIKVWDAVSGAKQHIFEGHEAPVYSICPHHKENIQFIFSTATDGKIKAWLYDNVGSRVDYNAPGQSSTTMAYSADGTRLFSCGTNKDGESYLVEWNESEGAVKRTYFGLGKRSAGVVQFDTTKSRFLAAGDEFSVKFWDMDNVNLLTSTPADGGLPHAPCIRFNKEGTLLAVSTEDNGVKILANSDGIRFLRTVENRSFDASRVAPAAMVKAPNMGAFGSNNATIGTTIGDRAAPVAAMVGMSLQSSDGRSLADVKPRIADESVEKSRIWKLTEINEPTQCRSLRLSDNLTAMRVSRLIYTNSGLAILALSSNAVHKLWKWQRNDRNLTGKATTSVAPQLWQPSSGILMTNDITDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHTLNVLVSHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRIGPAAYLPPNPSLRVYPLVIAAHPSDPNQFALGLTDGGVHILEPLESEGKWGTSPPVENGAGPSNASGANGSEPPQR >EOY34013 pep chromosome:Theobroma_cacao_20110822:9:39686650:39690913:1 gene:TCM_041822 transcript:EOY34013 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like 1 isoform 1 MGMDSGIQIKVMNHRKGDNVVHPVRPGHATSASQPSTSSPLPDGRRRQHQQGQTYRQGQQVQGLIEKPFKKWVPWLVPGFVLANIVVFVITMFINDCPKNSVSCVAGFLGRFSFQPFKENPLLGPSSSTLEKMGALEVSKVVHGHQAWRLLACIWLHAGVFHILANMLSLVFIGIRLEQEFGFVRIGLLYLIAGFGGSLLSSLFIQTGISVGASGALFGLLGSMLSELITNWTIYVNKLAALLTLILIIVINLAVGILPHVDNFAHIGGFLSGFLLGFVFLIRPQFGYVSQKDVPPGYIVPSRKPKHKPYQYVLWVISLILLIVGYIVGLVLLLRGVNMNDHCSWCHYMSCVPTSLWSCKSQQVYCESSQLGNQLNLTCISNGKSNIYALSDENSSQVQQLCSKLCS >EOY34014 pep chromosome:Theobroma_cacao_20110822:9:39686669:39690963:1 gene:TCM_041822 transcript:EOY34014 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like 1 isoform 1 MGMDSGIQIKVMNHRKGDNVVHPVRPGHATSASQPSTSSPLPDGRRRQHQQGQTYRQGQQVQGLIEKPFKKWVPWLVPGFVLANIVVFVITMFINDCPKNSVSCVAGFLGRFSFQPFKENPLLGPSSSTLEKMGALEVSKVVHGHQAWRLLACIWLHAGVFHILANMLSLVFIGIRLEQEFGFVRIGLLYLIAGFGGSLLSSLFIQTGISVGASGALFGLLGSMLSELITNWTIYLAALLTLILIIVINLAVGILPHVDNFAHIGGFLSGFLLGFVFLIRPQFGYVSQKDVPPGYIVPSRKPKHKPYQYVLWVISLILLIVGYIVGLVLLLRGVNMNDHCSWCHYMSCVPTSLWSCKSQQVYCESSQLGNQLNLTCISNGKSNIYALSDENSSQVQQLCSKLCS >EOY30378 pep chromosome:Theobroma_cacao_20110822:9:4378188:4382840:-1 gene:TCM_037606 transcript:EOY30378 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein kinase family protein, putative isoform 1 MSVASASSGGATPKMTTVAVAVKGGASGGSGSRRAVRWAVENLKADRFVLVHVMPAVTSVPTPSGDRIPITEMDENVVAMYVQEVKLKFEEVFIPFKKLFKTRKMETLVLEDDNPAAALLRYISEFGINTLVLGSYSSNCITRKLKGTGVPITVLRRAPDTCDIQVIYRQRIITKSANPLSTSGTSHRPPVFAATGRKESSSGISKHISGPANASAESKVQNSFGIASLSELNYPYSYAFSSVGSSTNGSTIRQSNSMVSAKTEQAEVEHLRLELQNTVAMYKQACEELVHTQSKVQVLSSECLEEARRVNAALEREETFRKIAAEEKAKHMQAMKEVDEAKNLLSKEAYERQVAEFNALKKSLEKQKIVDALFCGDKRYRKYTSDEIEVATDFFSESNVIGQGGYGKVYKCSLYHTLVAVKVLRPDAIEWKEEFLKEVEVLSQLRHPHIVLLLGACPENGCLVYEYLENGSLDEYIFHRNGKPPLPWFIRFRIVFEVASGLAFLHNSKPDPIVHRDLKPGNILLDRNYVSKIGDVGLAKLISDVVPDNITEYRDSIIAGTLYYMDPEYQRTGTIRPKSDLYALGVTTLQLLTARHPNGLLLAVENAITKSSLADILDKSVTDWPLAETEELARIALKCSKLRCRDRPDLDTEILPILKRLVDVADASLKLEKNNAYAPSHYFCPILQEVMDDPHIAADGFTYEHRAIKAWLQKHNVSPVTKCRLQHSVLTPNQTLRSAIQEWKSRVTLSGT >EOY30379 pep chromosome:Theobroma_cacao_20110822:9:4376926:4382702:-1 gene:TCM_037606 transcript:EOY30379 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein kinase family protein, putative isoform 1 MSVASASSGGATPKMTTVAVAVKGGASGGSGSRRAVRWAVENLKADRFVLVHVMPAVTSVPTPSGDRIPITEMDENVVAMYVQEVKLKFEEVFIPFKKLFKTRKMETLVLEDDNPAAALLRYISEFGINTLVLGSYSSNCITRKLKGTGVPITVLRRAPDTCDIQVIYRQRIITKSANPLSTSGTSHRPPVFAATGRKESSSGISKHISGPANASAESKVQNSFGIASLSELNYPYSYAFSSVGSSTNGSTIRQSNSMVSAKTEQAEVEHLRLELQNTVAMYKQACEELVHTQSKVQVLSSECLEEARRVNAALEREETFRKIAAEEKAKHMQAMKEVDEAKNLLSKEAYERQVAEFNALKKSLEKQKIVDALFCGDKRYRKYTSDEIEVATDFFSESNVIGQGGYGKVYKCSLYHTLVAVKVLRPDAIEWKEEFLKEVEVLSQLRHPHIVLLLGACPENGCLVYEYLENGSLDEYIFHRNGKPPLPWFIRFRIVFEVASGLAFLHNSKPDPIVHRDLKPGNILLDRNYVSKIGDVGLAKLISDVVPDNITEYRDSIIAGTLYYMDPEYQRTGTIRPKSDLYALGVTTLQLLTARHPNGLLLAVENAITKSSLADILDKSVTDWPLAETEELARIALKCSKLRCRDRPDLDTEILPILKRLVDVADASLKLEKNNAYAPSHYFCPILQEVMDDPHIAADGFTYEHRAIKAWLQKHNVSPVTKCRLQHSVLTPNQTLRSAIQEWKSRVTLSGT >EOY34125 pep chromosome:Theobroma_cacao_20110822:9:40000915:40001905:1 gene:TCM_041895 transcript:EOY34125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 family protein isoform 1 MYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGIGICQDQEILSEERNEIHFKVWIYCAHHVCWHHGP >EOY34122 pep chromosome:Theobroma_cacao_20110822:9:40000289:40001929:1 gene:TCM_041895 transcript:EOY34122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 family protein isoform 1 MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >EOY34126 pep chromosome:Theobroma_cacao_20110822:9:40000903:40001684:1 gene:TCM_041895 transcript:EOY34126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 family protein isoform 1 MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >EOY34124 pep chromosome:Theobroma_cacao_20110822:9:40000328:40001905:1 gene:TCM_041895 transcript:EOY34124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 family protein isoform 1 MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >EOY34123 pep chromosome:Theobroma_cacao_20110822:9:40000253:40001929:1 gene:TCM_041895 transcript:EOY34123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 family protein isoform 1 MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >EOY33211 pep chromosome:Theobroma_cacao_20110822:9:35938734:35946655:1 gene:TCM_041171 transcript:EOY33211 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD domain (BTF2-like transcription factors, Synapse-associated proteins and DOS2-like proteins) isoform 3 MASGHVTKRAKYKTTIKDPGTPGTLRMTLEKILFVPHNPKSAGKLDVEFRFIKGQKHTKEGSSKPPWLNLTNNQNGSFIFEFENYSDLQDCRDFVGKVLAKSGEVSEKPTVTYPDEQLSAAEMELRIKLLREDSELQKLHKQFVLSGVLTEAEFWATRKKLLDREANKKTKQRLGFKSAMISDIKPSTDGRTNKVTFNLTPEIILQIFAEKPAVHRAFLTYVPNKMSERDFWTKYFRAEYLHSTKNSVAAAAEAAEDEELAVFLKQDDILASESRSKIRRVDPTLDMDADEGDDYTHLPDHGIFRDGSKEVTESENELYRRTLSQDINRHAAVVLEGRAVDVELEDTRSVAEALARSKQESSTKGESYGDTSRERLDRVSRMTEIEDLQAPNNLPLAPLCIKDPRDYFDSQQVNALRTSGDELAGTEQIKCSLSSQEVYGSLRESISVIKAMGLKDPIVKPEVAHQVFDALTQSISTTKYNIGKNPQESVLDRLPKKIKEELLHHWTSILELLRHFWASYPITTSYLYTKVNAALVLTLLSYQKLEAGKQTEGCHVTYLSTARVVLSNYPQVPFISSLLFYLLGSSDAKASYVWMEIKGSVPSDLRHQVSLLIRPMHQALDAAIQHYEADMQKRSARSGERPNGYA >EOY33216 pep chromosome:Theobroma_cacao_20110822:9:35938838:35944213:1 gene:TCM_041171 transcript:EOY33216 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD domain (BTF2-like transcription factors, Synapse-associated proteins and DOS2-like proteins) isoform 3 MASGHVTKRAKYKTTIKDPGTPGTLRMTLEKILFVPHNPKSAGKLDVEFRFIKGQKHTKEGSSKPPWLNLTNNQNGSFIFEFENYSDLQDCRDFVGKVLAKSGEVSEKPTVTYPDEQLSAAEMELRIKLLREDSELQKLHKQFVLSGVLTEAEFWATRKKLLDREANKKTKQRLGFKSAMISDIKPSTDGRTNKVTFNLTPEIILQIFAEKPAVHRAFLTYVPNKMSERDFWTKYFRAEYLHSTKNSVAAAAEAAEDEELAVFLKQDDILASESRSKIRRVDPTLDMDADEGDDYTHLPDHGIFRDGSKEVTESENELYRRTLSQDINRHAAVVLEGRAVDVELEDTRSVAEALARSKQESSTKGESYGDTSRERLDRVSRMTEIEDLQAPNNLPLAPLCIKDPRDYFDSQQVNALRTSGDELAGTEQIKCSLSSQEVYGSLRESISVIKAMGLKDPIVKPEVAHQF >EOY33215 pep chromosome:Theobroma_cacao_20110822:9:35938838:35944213:1 gene:TCM_041171 transcript:EOY33215 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD domain (BTF2-like transcription factors, Synapse-associated proteins and DOS2-like proteins) isoform 3 MASGHVTKRAKYKTTIKDPGTPGTLRMTLEKILFVPHNPKSAGKLDVEFRFIKGQKHTKEGSSKPPWLNLTNNQNGSFIFEFENYSDLQDCRDFVGKVLAKSGEVSEKPTVTYPDEQLSAAEMELRIKLLREDSELQKLHKQFVLSGVLTEAEFWATRKKLLDREANKKTKQRLGFKSAMISDIKPSTDGRTNKVTFNLTPEIILQIFAEKPAVHRAFLTYVPNKMSERDFWTKYFRAEYLHSTKNSVAAAAEAAEDEELAVFLKQDDILASESRSKIRRVDPTLDMDADEGDDYTHLPDHGIFRDGSKEVTESENELYRRTLSQDINRHAAVVLEGRAVDVELEDTRSVAEALARSKQESSTKGESYGDTSRERLDRVSRMTEIEDLQAPNNLPLAPLCIKDPRDYFDSQQVNALRTSGDELAGTEQIKCSLSSQEVYGSLRESISVIKAMGLKDPIVKPEVAHQVTAMFFLVFDALTQS >EOY33213 pep chromosome:Theobroma_cacao_20110822:9:35938838:35945095:1 gene:TCM_041171 transcript:EOY33213 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD domain (BTF2-like transcription factors, Synapse-associated proteins and DOS2-like proteins) isoform 3 MASGHVTKRAKYKTTIKDPGTPGTLRMTLEKILFVPHNPKSAGKLDVEFRFIKGQKHTKEGSSKPPWLNLTNNQNGSFIFEFENYSDLQDCRDFVGKVLAKSGEVSEKPTVTYPDEQLSAAEMELRIKLLREDSELQKLHKQFVLSGVLTEAEFWATRKKLLDREANKKTKQRLGFKSAMISDIKPSTDGRTNKVTFNLTPEIILQIFAEKPAVHRAFLTYVPNKMSERDFWTKYFRAEYLHSTKNSVAAAAEAAEDEELAVFLKQDDILASESRSKIRRVDPTLDMDADEGDDYTHLPDHGIFRDGSKEVTESENELYRRTLSQDINRHAAVVLEGRAVDVELEDTRSVAEALARSKQESSTKGESYGDTSRERLDRVSRMTEIEDLQAPNNLPLAPLCIKDPRDYFDSQQVNALRTSGDELAGTEQIKCSLSSQEVYGSLRESISVIKAMGLKDPIVKPEVAHQVFDALTQSISTTKYNIGKNPQESVLDRLPKKIKEELLHHWTSILELLRHFWASYPITTSYLYTKASPPSLCPVYKNTHTKSQR >EOY33214 pep chromosome:Theobroma_cacao_20110822:9:35939569:35946537:1 gene:TCM_041171 transcript:EOY33214 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD domain (BTF2-like transcription factors, Synapse-associated proteins and DOS2-like proteins) isoform 3 LDVEFRFIKGQKHTKEGSSKPPWLNLTNNQNGSFIFEFENYSDLQDCRDFVGKVLAKSGEVSEKPTVTYPDEQLSAAEMELRIKLLREDSELQKLHKQFVLSGVLTEAEFWATRKKLLDREANKKTKQRLGFKSAMISDIKPSTDGRTNKVTFNLTPEIILQIFAEKPAVHRAFLTYVPNKMSERDFWTKYFRAEYLHSTKNSVAAAAEAAEDEELAVFLKQDDILASESRSKIRRVDPTLDMDADEGDDYTHLPDHGIFRDGSKEVTESENELYRRTLSQDINRHAAVVLEGRAVDVELEDTRSVAEALARSKQESSTKGESYGDTSRERLDRVSRMTEIEDLQAPNNLPLAPLCIKDPRDYFDSQQVNALRTSGDELAGTEQIKCSLSSQEVYGSLRESISVIKAMGLKDPIVKPEVAHQVFDALTQSISTTKYNIGKNPQESVLDRLPKKIKEELLHHWTSILELLRHFWASYPITTSYLYTKVNRLKDAMSHIYPQLEVNLSEKVLHLRLTF >EOY33212 pep chromosome:Theobroma_cacao_20110822:9:35939569:35946537:1 gene:TCM_041171 transcript:EOY33212 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD domain (BTF2-like transcription factors, Synapse-associated proteins and DOS2-like proteins) isoform 3 LDVEFRFIKGQKHTKEGSSKPPWLNLTNNQNGSFIFEFENYSDLQDCRDFVGKVLAKSGEVSEKPTVTYPDEQLSAAEMELRIKLLREDSELQKLHKQFVLSGVLTEAEFWATRKKLLDREANKKTKQRLGFKSAMISDIKPSTDGRTNKVTFNLTPEIILQIFAEKPAVHRAFLTYVPNKMSERDFWTKYFRAEYLHSTKNSVAAAAEAAEDEELAVFLKQDDILASESRSKIRRVDPTLDMDADEGDDYTHLPDHGIFRDGSKEVTESENELYRRTLSQDINRHAAVVLEGRAVDVELEDTRSVAEALARSKQESSTKGESYGDTSRERLDRVSRMTEIEDLQAPNNLPLAPLCIKDPRDYFDSQQVNALRTSGDELAGTEQIKCSLSSQEVYGSLRESISVIKAMGLKDPIVKPEVAHQVFDALTQSISTTKYNIGKNPQESVLDRLPKKIKEELLHHWTSILELLRHFWASYPITTSYLYTKASPPSLCPVYKNTHTKSQR >EOY30289 pep chromosome:Theobroma_cacao_20110822:9:4080864:4092424:-1 gene:TCM_037551 transcript:EOY30289 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase-associated protein CTR9 isoform 5 MACVYIPVQNSEEEVRVALDQLPRDASDILDILKAEQAPLDLWLIIAREYFKQGKIEQFRQILEEGSSPEIDEYYADVRYERIAILNALGAYYSYLGKIETKQREKEEHFILATQYYNKASRIDMHEPSTWVGKGQLLLAKGEVEQAFAAFKIVLEGDRDNVPALLGQACVEFNRSRYSDSLELYKRALQVFPNCPGAVRLGIGLCRYKLGQFEKARLAFQRVLQLDSENVEALVALAIMDLQANEASGIQKGMDKMRRAFEIYPYCAMALNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYEKAGFYYMASIKEINKPHEFVFPYYGLGQVKLKSGDFRSALSNFEKVLEVYPDNCETLKALGHIYVQLGQVEKAQEFMRKAIKIDPRDAQAFLDLGELLISSDTGAALDAFKTARSLMEKGGQAVPIEVLNNIGVLHFEREEFELALESLNKALGDGIWLILTGNKPKSYVIEASASILDYKDMQLFHRLEEDGLPVELPWNKVTVVFNLARLHEQLHNTGTANILYHLILFKYPDYVDAYLRLAAIAKARSNLQLSIELVNEALKVNDKCPNALSMLGDLELKNDDWVKAKETFRSASDATDGKDSYAILSLGNWNYFAAIRNEKRAPKLEATHLEKAKELYTRVLVQHTANLYAANGAGVVLAEKGHFDVSKDIFTQVQEAASGSVFVQMPDVWINLAHVFFAQGNFALAVKMYQNCLRKFYYNTDSQILLYLARTHYEAEQWQECKKTLLRAIHLAPSNYTLRFDAGVAMQKFSTSTLQKEKRTADEVRSTVAELENAVRIFSQLSAASNLHLHGFDEKKINTHVEYCKHLLVAAKVHREAAEREEQQNRQKQEAARQLALAEEARRKAEEQRKYLLERRKQEDEQKRLQQAEEHFKRVQEQWKSSTPASKRRERSEVDDEDGGHSEKRRKGGKRRKKDKNKSRYERDDEEPYMMDDREELGDEDANMNYEESTTQMNDQDDDNGENAQDLLAAAGLEDSDVEDEAAAAPSSAAGRRRRAWSESDDDEPLHRGTESSPVRENSAELQESDGEIREDNSKLNGGGGLDEED >EOY30292 pep chromosome:Theobroma_cacao_20110822:9:4083593:4090930:-1 gene:TCM_037551 transcript:EOY30292 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase-associated protein CTR9 isoform 5 MACVYIPVQNSEEEVRVALDQLPRDASDILDILKAEQAPLDLWLIIAREYFKQGKIEQFRQILEEGSSPEIDEYYADVRYERIAILNALGAYYSYLGKIETKQREKEEHFILATQYYNKASRIDMHEPSTWVGKGQLLLAKGEVEQAFAAFKIVLEGDRDNVPALLGQACVEFNRSRYSDSLELYKRALQVFPNCPGAVRLGIGLCRYKLGQFEKARLAFQRVLQLDSENVEALVALAIMDLQANEASGIQKGMDKMRRAFEIYPYCAMALNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYEKAGFYYMASIKEINKPHEFVFPYYGLGQVKLKSGDFRSALSNFEKVLEVYPDNCETLKALGHIYVQLGQVEKAQEFMRKAIKIDPRDAQAFLDLGELLISSDTGAALDAFKTARSLMEKGGQAVPIEVLNNIGVLHFEREEFELALESLNKALGDGIWLILTGNKPKSYVIEASASILDYKDMQLFHRLEEDGLPVELPWNKVTVVFNLARLHEQLHNTGTANILYHLILFKYPDYVDAYLRLAAIAKARSNLQLSIELVNEALKVNDKCPNALSMLGDLELKNDDWVKAKETFRSASDATDGKDSYAILSLGNWNYFAAIRNEKRAPKLEATHLEKAKELYTRVLVQHTANLYAANGAGVVLAEKGHFDVSKDIFTQLL >EOY30293 pep chromosome:Theobroma_cacao_20110822:9:4081272:4090973:-1 gene:TCM_037551 transcript:EOY30293 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase-associated protein CTR9 isoform 5 MHEPSTWVGKGQLLLAKGEVEQAFAAFKIVLEGDRDNVPALLGQACVEFNRSRYSDSLELYKRALQVFPNCPGAVRLGIGLCRYKLGQFEKARLAFQRVLQLDSENVEALVALAIMDLQANEASGIQKGMDKMRRAFEIYPYCAMALNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYEKAGFYYMASIKEINKPHEFVFPYYGLGQVKLKSGDFRSALSNFEKVLEVYPDNCETLKALGHIYVQLGQVEKAQEFMRKAIKIDPRDAQAFLDLGELLISSDTGAALDAFKTARSLMEKGGQAVPIEVLNNIGVLHFEREEFELALESLNKALGDGIWLILTGNKPKSYVIEASASILDYKDMQLFHRLEEDGLPVELPWNKVTVVFNLARLHEQLHNTGTANILYHLILFKYPDYVDAYLRLAAIAKARSNLQLSIELVNEALKVNDKCPNALSMLGDLELKNDDWVKAKETFRSASDATDGKDSYAILSLGNWNYFAAIRNEKRAPKLEATHLEKAKELYTRVLVQHTANLYAANGAGVVLAEKGHFDVSKDIFTQVQEAASGSVFVQMPDVWINLAHVFFAQGNFALAVKMYQNCLRKFYYNTDSQILLYLARTHYEAEQWQECKKTLLRAIHLAPSNYTLRFDAGVAMQKFSTSTLQKEKRTADEVRSTVAELENAVRIFSQLSAASNLHLHGFDEKSGKRRKKDKNKSRYERDDEEPYMMDDREELGDEDANMNYEESTTQMNDQDDDNGENAQDLLAAAGLEDSDVEDEAAAAPSSAAGRRRRAWSESDDDEPLHRGTESSPVRENSAELQESDGEIREDNSKLNGGGGLDEED >EOY30290 pep chromosome:Theobroma_cacao_20110822:9:4081797:4090132:-1 gene:TCM_037551 transcript:EOY30290 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase-associated protein CTR9 isoform 5 MHEPSTWVGKGQLLLAKGEVEQAFAAFKIVLEGDRDNVPALLGQACVEFNRSRYSDSLELYKRALQVFPNCPGAVRLGIGLCRYKLGQFEKARLAFQRVLQLDSENVEALVALAIMDLQANEASGIQKGMDKMRRAFEIYPYCAMALNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYEKAGFYYMASIKEINKPHEFVFPYYGLGQVKLKSGDFRSALSNFEKVLEVYPDNCETLKALGHIYVQLGQVEKAQEFMRKAIKIDPRDAQAFLDLGELLISSDTGAALDAFKTARSLMEKGGQAVPIEVLNNIGVLHFEREEFELALESLNKALGDGIWLILTGNKPKSYVIEASASILDYKDMQLFHRLEEDGLPVELPWNKVTVVFNLARLHEQLHNTGTANILYHLILFKYPDYVDAYLRLAAIAKARSNLQLSIELVNEALKVNDKCPNALSMLGDLELKNDDWVKAKETFRSASDATDGKDSYAILSLGNWNYFAAIRNEKRAPKLEATHLEKAKELYTRVLVQHTANLYAANGAGVVLAEKGHFDVSKDIFTQVQEAASGSVFVQMPDVWINLAHVFFAQGNFALAVKMYQNCLRKFYYNTDSQILLYLARTHYEAEQWQECKKTLLRAIHLAPSNYTLRFDAGVAMQKFSTSTLQKEKRTADEVRSTVAELENAVRIFSQLSAASNLHLHGFDEKKINTHVEYCKHLLVAAKVHREAAEREEQQNRQKQEAARQLALAEEARRKAEEQRKYLLERRKQEDEQKRLQQAEEHFKRVQQEQWKSSTPASKRRERSEVDDEDGGHSEKRRKGGKRRKKDKNKSRYERDDEEPYMMDDREELGDEDANMNYEESTTQMNDQDDDNGENAQDLLAAAGLEDSDVEDEAGCRTFISCRTQEAGMVRI >EOY30291 pep chromosome:Theobroma_cacao_20110822:9:4082589:4091056:-1 gene:TCM_037551 transcript:EOY30291 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase-associated protein CTR9 isoform 5 MHEPSTWVGKGQLLLAKGEVEQAFAAFKIVLEGDRDNVPALLGQACVEFNRSRYSDSLELYKRALQVFPNCPGAVRLGIGLCRYKLGQFEKARLAFQRVLQLDSENVEALVALAIMDLQANEASGIQKGMDKMRRAFEIYPYCAMALNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYEKAGFYYMASIKEINKPHEFVFPYYGLGQVKLKSGDFRSALSNFEKVLEVYPDNCETLKALGHIYVQLGQVEKAQEFMRKAIKIDPRDAQAFLDLGELLISSDTGAALDAFKTARSLMEKGGQAVPIEVLNNIGVLHFEREEFELALESLNKALGDGIWLILTGNKPKSYVIEASASILDYKDMQLFHRLEEDGLPVELPWNKVTVVFNLARLHEQLHNTGTANILYHLILFKYPDYVDAYLRLAAIAKARSNLQLSIELVNEALKVNDKCPNALSMLGDLELKNDDWVKAKETFRSASDATDGKDSYAILSLGNWNYFAAIRNEKRAPKLEATHLEKAKELYTRVLVQHTANLYAANGAGVVLAEKGHFDVSKDIFTQVQEAASGSVFVQMPDVWINLAHVFFAQGNFALAVKMYQNCLRKFYYNTDSQILLYLARTHYEAEQWQECKKTLLRAIHLAPSNYTLRFDAGVAMQKFSTSTLQKEKRTADEVRSTVAELENAVRIFSQLSAASNLHLHGFDEKKINTHVEYCKHLLVAAKVHREAAEREEQQNRQKQEAARQLALAEEARRKAEEQRKYLLERRKQEDEQKRLQQAEEHFKRVQEQWKSSTPASKRRE >EOY30004 pep chromosome:Theobroma_cacao_20110822:9:3029935:3038330:1 gene:TCM_037360 transcript:EOY30004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase/cyanide hydratase and apolipoprotein N-acyltransferase family protein isoform 2 MASSFKPEQARAPPSPPLPTPPVSKFKIGLCQLSVSPDKERNIEHARKAIEEAAQKAHIRMTASQYMPKILTQVVMRLLQQLCCLKLYNTCCVFGTEGKLKAKHRKIHLFDIHIPGKITFMESKTLTAGETPTIVDTAVSSLVSSKNLSHSAISRSLLQHSSKPFSQRTLFIPTIPRNTKNLYNQRYQKLQIRTNSTSVMASSFKHEQARAPPSIPLPIPPVSKFKIGLCQLSVTPDKERNIEHARKAIEEAAQKGAQLVLLPEIWNSPYSNDSFPVYAEDIDAGGDASPSTAMLSEVSSRLKITIVGGSIPERCGDMLYNTCCVFGTDGKLKAKHRKIHLFDIDIPGKITFMESKTLTAGETPTIVDTDVGRIGIGICYDIRFQELAMIYAARGAHLLCYPGAFNMTTGPLHWELLQRARATDNQLYVATCSPARDAGAGYVAWGHSSLIGPFGEVLATTGHEENIIIAEIDYSTLEQRRAGLPLAKQRRGDLYQLVDVQRLNSH >EOY30005 pep chromosome:Theobroma_cacao_20110822:9:3033844:3038212:1 gene:TCM_037360 transcript:EOY30005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase/cyanide hydratase and apolipoprotein N-acyltransferase family protein isoform 2 MKSAVSSLVSSKNLSHSAISRSLLQHSSKPFSQRTLFIPTIPRNTKNLYNQRYQKLQIRTNSTSVMASSFKHEQARAPPSIPLPIPPVSKFKIGLCQLSVTPDKERNIEHARKAIEEAAQKGAQLVLLPEIWNSPYSNDSFPVYAEDIDAGGDASPSTAMLSEVSSRLKITIVGGSIPERCGDMLYNTCCVFGTDGKLKAKHRKIHLFDIDIPGKITFMESKTLTAGETPTIVDTADVGRIGIGICYDIRFQELAMIYAARGAHLLCYPGAFNMTTGPLHWELLQRARATDNQLYVATCSPARDAGAGYVAWGHSSLIGPFGEVLATTGHEENIIIAEIDYSTLEQRRAGLPLAKQRRGDLYQLVDVQRLNSH >EOY31402 pep chromosome:Theobroma_cacao_20110822:9:8590990:8592111:-1 gene:TCM_038341 transcript:EOY31402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAISTRCCLNVSPPTPTPGFDMSSSNKKASQVAWPRDDKWRKQCVLGVTCIVIGLQVGNITDNSAIAEEVSSATESNSKVARWSDKRVCPPWNANSLETIVPENLPRPSAHRRWEAIGFSKNAPAVRVKVTTKTRTNCFSM >EOY29223 pep chromosome:Theobroma_cacao_20110822:9:408795:410416:1 gene:TCM_036824 transcript:EOY29223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGCEEKKAVVSHRIVSSRTQTMQRICGVFVCAKDKKVRYGQPQPGACPYCGGTVQALNIKTQWKFFFLPLFFWKKRKSSCSTCESRCGKVVAAAKACWTFLLVVARSVTLGDALFKNVSSHFRWLILQTTPSFPFLQLEHVLSLLLVLLSSGNHLWNILCNVDIQNCQEKQNAKALSSDTGTSKCQSMQQ >EOY34710 pep chromosome:Theobroma_cacao_20110822:9:41866805:41871426:-1 gene:TCM_042295 transcript:EOY34710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase interacting family protein, putative isoform 2 MANPVGHITKSMKRTESRKSHSWWWDSHISPKNSRWLAENLEEMDRSVKRMLKLIEEDGDSFAKKAEMYYQKRPELISHVEEFYRMYRSLAERYDQLMGELKKNIPSDLQSQGSGISDIGSELPSIWPSPDQRLSRRKSGPRAAGFDVFLGSGGSSSDVYQKEGDESSSLSDSEPESDDSSVNNYSVLSGNGGDQGVRKMIELEIELREVKKKLQVLEEENTDGSITGSKIDNSDLLARIGEYEEELKVANKKIQLSEEKITLLRIELQKYKPQETAEDESSEEESVKMHRAELEIQVNQASEPQERISVLEKEAQHPDGKMQVLVEELRITKEMVRNSEKEIASLKLEKKQSDEKVQNLQAQLDTAQREIITWKSKLNTEKREVSKLQERMARLKTSLSDRDHEIRDLKIAVSDAEQKIFPEKAHIKAEISKLLEERTCMEEQLREWESRGRSMEEEIRKAVNGKRESEERFRSEIELLKMEIAKRGDCIKSLNENIETLKSEIDEHKAQVDSLKTEVSSRDDQIDQMDKHLHQLHMEHVELLASAQGAHKLVEELQVRAKELEDEIERQRIAILEGAEEKREAIRQLCFSLEHYRNGYHMLRQAFVGHKRVRVLAT >EOY34709 pep chromosome:Theobroma_cacao_20110822:9:41867440:41869871:-1 gene:TCM_042295 transcript:EOY34709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase interacting family protein, putative isoform 2 MKRTESRKSHSWWWDSHISPKNSRWLAENLEEMDRSVKRMLKLIEEDGDSFAKKAEMYYQKRPELISHVEEFYRMYRSLAERYDQLMGELKKNIPSDLQSQGSGISDIGSELPSIWPSPDQRLSRRKSGPRAAGFDVFLGSGGSSSDVYQKEGDESSSLSDSEPESDDSSVNNYSVLSGNGGDQGVRKMIELEIELREVKKKLQVLEEENTDGSITGSKIDNSDLLARIGEYEEELKVANKKIQLSEEKITLLRIELQKYKPQETAEDESSEEESVKMHRAELEIQVNQASEPQERISVLEKEAQHPDGKMQVLVEELRITKEMVRNSEKEIASLKLEKKQSDEKVQNLQAQLDTAQREIITWKSKLNTEKREVSKLQERMARLKTSLSDRDHEIRDLKIAVSDAEQKIFPEKAHIKAEISKLLEERTCMEEQLREWESRGRSMEEEIRKAVNGKRESEERFRSEIELLKMEIAKRGDCIKSLNENIETLKSEIDEHKAQVDSLKTEVSSRDDQIDQMDKHLHQLHMEHVELLASAQGAHKLVEELQVRAKELEDEIERQRIAILEGAEEKREAIRQLCFSLEHYRNGYHMLRQAFVGHKRVRVLAT >EOY34711 pep chromosome:Theobroma_cacao_20110822:9:41866804:41871189:-1 gene:TCM_042295 transcript:EOY34711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase interacting family protein, putative isoform 2 MANPVGHITKSMKRTESRKSHSWWWDSHISPKNSRWLAENLEEMDRSVKRMLKLIEEDGDSFAKKAEMYYQKRPELISHVEEFYRMYRSLAERYDQLMGELKKNIPSDLQSQGSGISDIGSELPSIWPSPDQRLSRRKSGPRAAGFDVFLGSGGSSSDVYQKEGDESSSLSDSEPESDDSSVNNYSVLSGNGGDQGVRKMIELEIELREVKKKLQVLEEENTDGSITGSKIDNSDLLARIGEYEEELKVANKKIQLSEEKITLLRIELQKYKPQETAEDESSEEESVKMHRAELEIQVNQASEPQERISVLEKEAQHPDGKMQVLVEELRITKEMVRNSEKEIASLKLEKKQSDEKVQNLQAQLDTAQREIITWKSKLNTEKREVSKLQERMARLKTSLSDRDHEIRDLKIAVSDAEQKIFPEKAHIKAEISKLLEERTCMEEQLREWESRGRSMEEEIRKAVNGKRESEERFRSEIELLKMEIAKRGDCIKSLNENIETLKSEIDEHKAQVDSLKTEVSSRDDQIDQMDKHLHQLHMEHVELLASAQGAHKLVEELQVRAKELEDEIERQRIAILEGAEEKREAIRQLCFSLEHYRNGYHMLRQAFVGHKRVRVLAT >EOY33947 pep chromosome:Theobroma_cacao_20110822:9:39434182:39436402:-1 gene:TCM_041774 transcript:EOY33947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated gene 13, putative isoform 1 MTALVTGGTKGIGYAIVEELAALGARIHTCSRNETDLNKCLLDWDAKGFQVTGSVCDVSSQAQREKLINTVSSEFGGKLNILINNVGTSVLKPTPDFTAEDFSFIMGTNFESAYNLCQLAYPLLKASGAGSIVFLSSVSGVVSVSFGSLYGATKVHSKVFRDYYLSNSIGTHWRARGSVILGGIPVPTSVLLYNRADVLHRWGHVSEWLLLP >EOY33946 pep chromosome:Theobroma_cacao_20110822:9:39429029:39436715:-1 gene:TCM_041774 transcript:EOY33946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated gene 13, putative isoform 1 MADQAAAAHSGGSKNRWSLHAMTALVTGGTKGIGYAIVEELAALGARIHTCSRNETDLNKCLLDWDAKGFQVTGSVCDVSSQAQREKLINTVSSEFGGKLNILINNVGTSVLKPTPDFTAEDFSFIMGTNFESAYNLCQLAYPLLKASGAGSIVFLSSVSGVVSVSFGSLYGATKGAMNHLAKYLACEWAKDNIRVNSVAPWFIQTPLTEDCCSSIYNCCPSPCLQYIQKFSEIIISRTPLGRIGEPEEVSSLVGFLCLPASSYITGQTFCIDGGMSVNGFFYHETLLGKNSQANSLS >EOY32361 pep chromosome:Theobroma_cacao_20110822:9:28157139:28174283:1 gene:TCM_040227 transcript:EOY32361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferases, transferring hexosyl groups isoform 1 MASPSKPPSEQLLNNSHQTKVLKSAILSRLLLLILTLLWRTLLTPYDTSAPLNPACLNNPSPSPPPPPLLPSLASAIENGIVWDSVYFVRIAQCGYEYEQSYAFLPLLPACIFILSRTVFAPVVLLIGHRAVLALSGYVISNVAFIFAAIYFYSTAGFCFVLLQSSIHILLINTMHQAYDAAFLKKRAFLALWILISGALRCICIFVPFITFQAYGYYNICLERSSDEMRPWCKARIPLLYNYIQSYYWGMGFLRYFQLKQLPNFLLASPILSLAVCSIIYYVKSRPEIVLSLGFRASVEEKSSMAAIFSLKKSHRSNGPQISEKYSSRRQENHNLKLRKITSQGRGAAEFIIDHGSSEKLGYASASILPFILHLSFMVATAFFVMHVQVATRFLSASPSVYWFASLLMTCPSMSKRWGYMIWAYSCAYILLGSLLFSNFYPFT >EOY32360 pep chromosome:Theobroma_cacao_20110822:9:28157251:28173794:1 gene:TCM_040227 transcript:EOY32360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferases, transferring hexosyl groups isoform 1 MASPSKPPSEQLLNNSHQTKVLKSAILSRLLLLILTLLWRTLLTPYDTSAPLNPACLNNPSPSPPPPPLLPSLASAIENGIVWDSVYFVRIAQCGYEYEQSYAFLPLLPACIFILSRTVFAPVVLLIGHRAVLALSGYVISNVAFIFAAIYFYRLSVIVLKDPNAALQASVLFCFNPASIFYSSIYSESLYALFSVGGLYYLKSRANNIAVFWLALSGFARSNGVLNAGYFGFQTMHQAYDAAFLKKRAFLALWILISGALRCICIFVPFITFQAYGYYNICLERSSDEMRPWCKARIPLLYNYIQSYYWGMGFLRYFQLKQLPNFLLASPILSLAVCSIIYYVKSRPEIVLSLGFRASVEEKSSMAAIFSLKKSHRSNGPQISEKYSSRRQGITSKNKMAGRLWMLQLMSLHGC >EOY32359 pep chromosome:Theobroma_cacao_20110822:9:28157139:28174283:1 gene:TCM_040227 transcript:EOY32359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferases, transferring hexosyl groups isoform 1 MASPSKPPSEQLLNNSHQTKVLKSAILSRLLLLILTLLWRTLLTPYDTSAPLNPACLNNPSPSPPPPPLLPSLASAIENGIVWDSVYFVRIAQCGYEYEQSYAFLPLLPACIFILSRTVFAPVVLLIGHRAVLALSGYVISNVAFIFAAIYFYRLSVIVLKDPNAALQASVLFCFNPASIFYSSIYSESLYALFSVGGLYYLKSRANNIAVFWLALSGFARSNGVLNAGYFGFQTMHQAYDAAFLKKRAFLALWILISGALRCICIFVPFITFQAYGYYNICLERSSDEMRPWCKARIPLLYNYIQSYYWGMGFLRYFQLKQLPNFLLASPILSLAVCSIIYYVKSRPEIVLSLGFRASVEEKSSMAAIFSLKKSHRSNGPQISEKYSSRRQENHNLKLRKITSQGRGAAEFIIDHGSSEKLGYASASILPFILHLSFMVATAFFVMHVQVATRFLSASPSVYWFASLLMTCPSMSKRWGYMIWAYSCAYILLGSLLFSNFYPFT >EOY30749 pep chromosome:Theobroma_cacao_20110822:9:5688869:5690041:1 gene:TCM_037855 transcript:EOY30749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSWKVMSRLSSRLQSPTLKLNKTSLSALQSTSDSSVRRISRVSRLALGLSSVGSMMPLHSAIASSRLVSSLASESGTWSLVPQGISMPL >EOY32484 pep chromosome:Theobroma_cacao_20110822:9:30077324:30092766:-1 gene:TCM_040417 transcript:EOY32484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQCHFSEVSCSICQKVWWYFVVAKMLPCLHISYVIKDRVVLLYAIVIGRSINISHVINHVMLLIASTKRDGLWFPSLITSLCGRVGIQWHLGEELLHSKVSIDMGLIY >EOY30253 pep chromosome:Theobroma_cacao_20110822:9:3949794:3954637:1 gene:TCM_037526 transcript:EOY30253 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA interacting protein 1 MSSERHASSNSASPQETAMFLDILHEAPLFGHRKPRSIVGGVFYCFILASYAILAAAAPWIFRPIENFVLPLLCSCDVVLLIVTGIFQQYLVYQVQKIRLQGYYSFSQKLKHIVRLPFAITAYGTAAMMLVMVWKTYISILSTSVILSFTLQFELELLMLLIAGTVRIIMLVEAVCSGFFMSVYIGYVHQYNSLDSQPDVLKSLYSPLQPSSPLEDLRYHDGGRLSDQQMALLQYQRENLHFLSEEVLYWTTVTQILRLQECLSKYEGSNDGSTPQVDLAHLLAARDQELRTVSAEMNQLQSELRLARSLMAERDAEVQRVRTTNNQYVEENERLRAILGEWSTRAAKLERALEVERMSNLELQKKISTLRSSAHTSAGTSEQRGT >EOY32226 pep chromosome:Theobroma_cacao_20110822:9:23556722:23582959:-1 gene:TCM_039839 transcript:EOY32226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 6 MLRLRAFRATNEKIVKIAVHPTHPWLVTADASDHVSVWNWEHRQVIYELKAGGVDQRRLVGAKLEKLAEGESEPKGKPTEAIRGGSVKQVTFFDDDVRFWQLWRNRSAAAEAPTAVNHLTSAFASPAPSTKGRHFLVICCENKAIFLDLVTMRGRDVPKQELDNKSLLCLEFLSRSSAGDSPLVAFGGSDGVIRVLSMITWKLVRRYTGGHKGSISCLMTFMASSVQALLASGASDGLLILWSADHGQDSRELVPKLSLKAHDGGVVAVELSRVIGGTPQLITIGADKTLAIWDTISFKELRRIKPVPKLACHSVVSWCHPRAPNLDILTCVKDSYIWAIEHPTYSALTRPLCDLSSLVPQVVAPNKKLRVYCMVAHPLQPHLVATGTNIGIIVSEFDARSLPPVVPLLTPPGSREHSAVYIVERELKLLNFQLSNTANPSLGNNGSLSETGKLKGDSFEPLHVKQIKKHISTPVPHDSYSVLSVSSSGKYLAIVWPDIPYFSIYKVSDWSIVDSGSARLLAWDTCCDRFAILESALPPRMPILPKGSSSRKAKEAAAAAAQAAAAAATAASANVQVRILLDDGTSNILMRSIGSRSEPVIGLHGGALLGVAYRTSRRISPGSATAISTIQSMPLSGFGSSGSFAAFDDGFSSNRSPSEAVPQNFQLFSWETFQPVGGLLPQPEWTAWDQTVEYCAFAYQHYIVISSLRPQYRYLGDVAIAYATGAVWQRRQLFVATPTTIECVFVDAGVAPMDIETRKMKEEMKLKEAQARAVAEHGELALITVDGPQTATQERITLRPPILQVVRLASFQHAPSVPPFLSLPKQSKVDGDDATMLKEMEERKVNELAVGGGGVSVAVTRFPTEQKRPVGPLIVVGVRDGVLWLIDRYMTAHALSLSHPGIRCRCLAAYGDAVSAVKWATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMKSNDLKRALQCLLTMSNSRDIGQDNPGLDLNDILNLTAKKENLVEAVQGIVKFANEFLELIDAADATAQADIAREALKRLATAGSVKGSLQGHELRGLALRLANHGELTRLSGLVNNLISLGLGREAAFSAAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPTLKNLVEAWNRVLQKEVEHTPSAKTDATAAFLASLEDPKLTSLSEAGKKPPIEILPPGMSALSASITVKKKPAPVTHSSQQQPGKPLALEAPPPSGPAEAPIGAPPPGASAAAAGTPIGAPPPGAPAATPGTPIGAPPSGAPAAAPIGAPPTSKASEPALDDKAPSSSAGSNPDMIASAESNPAVTASDTPAPDATVADKPLAEVPTVIPDNQETSVPTTLPTSEPLA >EOY32229 pep chromosome:Theobroma_cacao_20110822:9:23562715:23582934:-1 gene:TCM_039839 transcript:EOY32229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 6 MLRLRAFRATNEKIVKIAVHPTHPWLVTADASDHVSVWNWEHRQVIYELKAGGVDQRRLVGAKLEKLAEGESEPKGKPTEAIRGGSVKQVTFFDDDVRFWQLWRNRSAAAEAPTAVNHLTSAFASPAPSTKGRHFLVICCENKAIFLDLVTMRGRDVPKQELDNKSLLCLEFLSRSSAGDSPLVAFGGSDGVIRVLSMITWKLVRRYTGGHKGSISCLMTFMASSGEALLASGASDGLLILWSADHGQDSRELVPKLSLKAHDGGVVAVELSRVIGGTPQLITIGADKTLAIWDTISFKELRRIKPVPKLACHSVVSWCHPRAPNLDILTCVKDSYIWAIEHPTYSALTRPLCDLSSLVPQVVAPNKKLRVYCMVAHPLQPHLVATGTNIGIIVSEFDARSLPPVVPLLTPPGSREHSAVYIVERELKLLNFQLSNTANPSLGNNGSLSETGKLKGDSFEPLHVKQIKKHISTPVPHDSYSVLSVSSSGKYLAIVWPDIPYFSIYKVSDWSIVDSGSARLLAWDTCCDRFAILESALPPRMPILPKGSSSRKAKEAAAAAAQAAAAAATAASANVQVRILLDDGTSNILMRSIGSRSEPVIGLHGGALLGVAYRTSRRISPGSATAISTIQSMPLSGFGSSGSFAAFDDGFSSNRSPSEAVPQNFQLFSWETFQPVGGLLPQPEWTAWDQTVEYCAFAYQHYIVISSLRPQYRYLGDVAIAYATGAVWQRRQLFVATPTTIECVFVDAGVAPMDIETRKMKEEMKLKEAQARAVAEHGELALITVDGPQTATQERITLRPPILQVVRLASFQHAPSVPPFLSLPKQSKVDGDDATMLKEMEERKVNELAVGGGGVSVAVTRFPTEQKRPVGPLIVVGVRDGVLWLIDRYMTAHALSLSHPGIRCRCLAAYGDAVSAVKWATRLGREHHDDLAQFMLGMGYATEALHLPGISKRSALPILCLILLWLWCLLVVF >EOY32227 pep chromosome:Theobroma_cacao_20110822:9:23557587:23582934:-1 gene:TCM_039839 transcript:EOY32227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 6 MTFMASSGEALLASGASDGLLILWSADHGQDSRELVPKLSLKAHDGGVVAVELSRVIGGTPQLITIGADKTLAIWDTISFKELRRIKPVPKLACHSVVSWCHPRAPNLDILTCVKDSYIWAIEHPTYSALTRPLCDLSSLVPQVVAPNKKLRVYCMVAHPLQPHLVATGTNIGIIVSEFDARSLPPVVPLLTPPGSREHSAVYIVERELKLLNFQLSNTANPSLGNNGSLSETGKLKGDSFEPLHVKQIKKHISTPVPHDSYSVLSVSSSGKYLAIVWPDIPYFSIYKVSDWSIVDSGSARLLAWDTCCDRFAILESALPPRMPILPKGSSSRKAKEAAAAAAQAAAAAATAASANVQVRILLDDGTSNILMRSIGSRSEPVIGLHGGALLGVAYRTSRRISPGSATAISTIQSMPLSGFGSSGSFAAFDDGFSSNRSPSEAVPQNFQLFSWETFQPVGGLLPQPEWTAWDQTVEYCAFAYQHYIVISSLRPQYRYLGDVAIAYATGAVWQRRQLFVATPTTIECVFVDAGVAPMDIETRKMKEEMKLKEAQARAVAEHGELALITVDGPQTATQERITLRPPILQVVRLASFQHAPSVPPFLSLPKQSKVDGDDATMLKEMEERKVNELAVGGGGVSVAVTRFPTEQKRPVGPLIVVGVRDGVLWLIDRYMTAHALSLSHPGIRCRCLAAYGDAVSAVKWATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMKSNDLKRALQCLLTMSNSRDIGQDNPGLDLNDILNLTAKKENLVEAVQGIVKFANEFLELIDAADATAQADIAREALKRLATAGSVKGSLQGHELRGLALRLANHGELTRLSGLVNNLISLGLGREAAFSAAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPTLKNLVEAWNRVLQKEVEHTPSAKTDATAAFLASLEDPKLTSLSEAGKKPPIEILPPGMSALSASITVKKKPAPVTHSSQQQPGKPLALEAPPPSGPAEAPIGAPPPGASAAAAGTPIGAPPPGAPAATPGTPIGAPPSGAPAAA >EOY32228 pep chromosome:Theobroma_cacao_20110822:9:23562474:23582934:-1 gene:TCM_039839 transcript:EOY32228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 6 MLRLRAFRATNEKIVKIAVHPTHPWLVTADASDHVSVWNWEHRQVIYELKAGGVDQRRLVGAKLEKLAEGESEPKGKPTEAIRGGSVKQVTFFDDDVRFWQLWRNRSAAAEAPTAVNHLTSAFASPAPSTKGRHFLVICCENKAIFLDLVTMRGRDVPKQELDNKSLLCLEFLSRSSAGDSPLVAFGGSDGVIRVLSMITWKLVRRYTGGHKGSISCLMTFMASSGEALLASGASDGLLILWSADHGQDSRELVPKLSLKAHDGGVVAVELSRVIGGTPQLITIGADKTLAIWDTISFKELRRIKPVPKLACHSVVSWCHPRAPNLDILTCVKDSYIWAIEHPTYSALTRPLCDLSSLVPQVVAPNKKLRVYCMVAHPLQPHLVATGTNIGIIVSEFDARSLPPVVPLLTPPGSREHSAVYIVERELKLLNFQLSNTANPSLGNNGSLSETGKLKGDSFEPLHVKQIKKHISTPVPHDSYSVLSVSSSGKYLAIVWPDIPYFSIYKVSDWSIVDSGSARLLAWDTCCDRFAILESALPPRMPILPKGSSSRKAKEAAAAAAQAAAAAATAASANVQVRILLDDGTSNILMRSIGSRSEPVIGLHGGALLGVAYRTSRRISPGSATAISTIQSMPLSGFGSSGSFAAFDDGFSSNRSPSEAVPQNFQLFSWETFQPVGGLLPQPEWTAWDQTVEYCAFAYQHYIVISSLRPQYRYLGDVAIAYATGAVWQRRQLFVATPTTIECVFVDAGVAPMDIETRKMKEEMKLKEAQARAVAEHGELALITVDGPQTATQERITLRPPILQVVRLASFQHAPSVPPFLSLPKQSKVDGDDATMLKEMEERKVNELAVGGGGVSVAVTRFPTEQKRPVGPLIVVGVRDGVLWLIDRYMTAHALSLSHPGIRCRCLAAYGDAVSAVKWATRLGREHHDDLAQFMLGMGYATEALHLPGISKRGNWIGWVMLHEIMGIN >EOY32225 pep chromosome:Theobroma_cacao_20110822:9:23557337:23582619:-1 gene:TCM_039839 transcript:EOY32225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 6 MLRLRAFRATNEKIVKIAVHPTHPWLVTADASDHVSVWNWEHRQVIYELKAGGVDQRRLVGAKLEKLAEGESEPKGKPTEAIRGGSVKQVTFFDDDVRFWQLWRNRSAAAEAPTAVNHLTSAFASPAPSTKGRHFLVICCENKAIFLDLVTMRGRDVPKQELDNKSLLCLEFLSRSSAGDSPLVAFGGSDGVIRVLSMITWKLVRRYTGGHKGSISCLMTFMASSGEALLASGASDGLLILWSADHGQDSRELVPKLSLKAHDGGVVAVELSRVIGGTPQLITIGADKTLAIWDTISFKELRRIKPVPKLACHSVVSWCHPRAPNLDILTCVKDSYIWAIEHPTYSALTRPLCDLSSLVPQVVAPNKKLRVYCMVAHPLQPHLVATGTNIGIIVSEFDARSLPPVVPLLTPPGSREHSAVYIVERELKLLNFQLSNTANPSLGNNGSLSETGKLKGDSFEPLHVKQIKKHISTPVPHDSYSVLSVSSSGKYLAIVWPDIPYFSIYKVSDWSIVDSGSARLLAWDTCCDRFAILESALPPRMPILPKGSSSRKAKEAAAAAAQAAAAAATAASANVQVRILLDDGTSNILMRSIGSRSEPVIGLHGGALLGVAYRTSRRISPGSATAISTIQSMPLSGFGSSGSFAAFDDGFSSNRSPSEAVPQNFQLFSWETFQPVGGLLPQPEWTAWDQTVEYCAFAYQHYIVISSLRPQYRYLGDVAIAYATGAVWQRRQLFVATPTTIECVFVDAGVAPMDIETRKMKEEMKLKEAQARAVAEHGELALITVDGPQTATQERITLRPPILQVVRLASFQHAPSVPPFLSLPKQSKVDGDDATMLKEMEERKVNELAVGGGGVSVAVTRFPTEQKRPVGPLIVVGVRDGVLWLIDRYMTAHALSLSHPGIRCRCLAAYGDAVSAVKWATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMKSNDLKRALQCLLTMSNSRDIGQDNPGLDLNDILNLTAKKENLVEAVQGIVKFANEFLELIDAADATAQADIAREALKRLATAGSVKGSLQGHELRGLALRLANHGELTRLSGLVNNLISLGLGREAAFSAAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPTLKNLVEAWNRVLQKEVEHTPSAKTDATAAFLASLEDPKLTSLSEAGKKPPIEILPPGMSALSASITVKKKPAPVTHSSQQQPGKPLALEAPPPSGPAEAPIGAPPPGASAAAAGTPIGAPPPGAPAATPGTPIGAPPSGAPAAAPIGAPPTSKASEPALDDKAPSSSAGSNPDMIASAESNPAVTASDTPAPDATVADKPLAEVPTVIPDNQETSVPTTLPTSEPLA >EOY32224 pep chromosome:Theobroma_cacao_20110822:9:23556319:23582934:-1 gene:TCM_039839 transcript:EOY32224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 6 MLRLRAFRATNEKIVKIAVHPTHPWLVTADASDHVSVWNWEHRQVIYELKAGGVDQRRLVGAKLEKLAEGESEPKGKPTEAIRGGSVKQVTFFDDDVRFWQLWRNRSAAAEAPTAVNHLTSAFASPAPSTKGRHFLVICCENKAIFLDLVTMRGRDVPKQELDNKSLLCLEFLSRSSAGDSPLVAFGGSDGVIRVLSMITWKLVRRYTGGHKGSISCLMTFMASSGEALLASGASDGLLILWSADHGQDSRELVPKLSLKAHDGGVVAVELSRVIGGTPQLITIGADKTLAIWDTISFKELRRIKPVPKLACHSVVSWCHPRAPNLDILTCVKDSYIWAIEHPTYSALTRPLCDLSSLVPQVVAPNKKLRVYCMVAHPLQPHLVATGTNIGIIVSEFDARSLPPVVPLLTPPGSREHSAVYIVERELKLLNFQLSNTANPSLGNNGSLSETGKLKGDSFEPLHVKQIKKHISTPVPHDSYSVLSVSSSGKYLAIVWPDIPYFSIYKVSDWSIVDSGSARLLAWDTCCDRFAILESALPPRMPILPKGSSSRKAKEAAAAAAQAAAAAATAASANVQVRILLDDGTSNILMRSIGSRSEPVIGLHGGALLGVAYRTSRRISPGSATAISTIQSMPLSGFGSSGSFAAFDDGFSSNRSPSEAVPQNFQLFSWETFQPVGGLLPQPEWTAWDQTVEYCAFAYQHYIVISSLRPQYRYLGDVAIAYATGAVWQRRQLFVATPTTIECVFVDAGVAPMDIETRKMKEEMKLKEAQARAVAEHGELALITVDGPQTATQERITLRPPILQVVRLASFQHAPSVPPFLSLPKQSKVDGDDATMLKEMEERKVNELAVGGGGVSVAVTRFPTEQKRPVGPLIVVGVRDGVLWLIDRYMTAHALSLSHPGIRCRCLAAYGDAVSAVKWATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMKSNDLKRALQCLLTMSNSRDIGQDNPGLDLNDILNLTAKKENLVEAVQGIVKFANEFLELIDAADATAQADIAREALKRLATAGSVKGSLQGHELRGLALRLANHGELTRLSGLVNNLISLGLGREAAFSAAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPTLKNLVEAWNRVLQKEVEHTPSAKTDATAAFLASLEDPKLTSLSEAGKKPPIEILPPGMSALSASITVKKKPAPVTHSSQQQPGKPLALEAPPPSGPAEAPIGAPPPGASAAAAGTPIGAPPSFESRNCNLISFVK >EOY31775 pep chromosome:Theobroma_cacao_20110822:9:13872877:13903980:-1 gene:TCM_038901 transcript:EOY31775 gene_biotype:protein_coding transcript_biotype:protein_coding description:FGGY family of carbohydrate kinase isoform 1 MSGIRRGWSDTTPLIRQQQQPHQKNRSATSPSAHSHSISSPLFLEMAAAISSSPATPRTAFLGVDVGTGSARAGLFDEKGKLLGSASSPIQIWKEGDCIEQSSTDIWHAICSAVKSACSLAKVEGEEVKGIGFAATCSLVAVDADGSPVTVSWSGDSRRNIIVWMDHRAVKQAEKINSRNSPVLQYCGGSVSPEMQPPKLLWVKENLQESWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMQQMNEKDSRDMEACGWDDDFWEEIGLGDLVEGHHAKIGRSVAFPGHGLGSGLTPTAAKELGLLAGTPVGTSLIDAHAGGVGVMESLPKTDCEAEENDNEAICHRMVLVCGTSTCHMAVSRDKLFIPGVWGPFWSAMIPEYWLTEGGQSATGALLDYIIENHVASPRLANRAASRNTSLFLLLNNMLESMMSEMQCPFIAALTEDIHVLPDFHGNRSPIADPKAKGVVCGLTLDTSEKQLARLYLATIQGIAYGTRHIVEHCNANGHKIDTLLACGGLSKNALFIQEHADIIGCPIILPRESESVLLGAAILGAVAAKKYSCLSDAMKALNAAGQVIHPSKDPRVKKYHDAKYQIFLELYKQQLSQRSIMAQALA >EOY31776 pep chromosome:Theobroma_cacao_20110822:9:13872891:13884305:-1 gene:TCM_038901 transcript:EOY31776 gene_biotype:protein_coding transcript_biotype:protein_coding description:FGGY family of carbohydrate kinase isoform 1 MSGIRRGWSDTTPLIRQQQQPHQKNRSATSPSAHSHSISSPLFLEMAAAISSSPATPRTAFLGVDVGTGSARAGLFDEKGKLLGSASSPIQIWKEGDCIEQSSTDIWHAICSAVKSACSLAKVEGEEVKGIGFAATCSLVAVDADGSPVTVSWSGDSRRNIIVWMDHRAVKQAEKINSRNSPVLQYCGGSVSPEMQPPKLLWVKENLQESWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMQQMNEKDSRDMEACGWDDDFWEEIGLGDLVEGHHAKIGRSVAFPGHGLGSGLTPTAAKELGLLAGTPVGTSLIDAHAGGVGVMESLPKTDCEAEENDNEAICHRMVLVCGTSTCHMAVSRDKLFIPGVWGPFWSAMIPEYWLTEGGQSATGALLDYIIENHVASPRLANRAASRNTSLFLLLNNMLESMMSEMQCPFIAALTEDIHVLPDFHGNRIYHCDETGLRLQIQKQKE >EOY31777 pep chromosome:Theobroma_cacao_20110822:9:13872891:13883240:-1 gene:TCM_038901 transcript:EOY31777 gene_biotype:protein_coding transcript_biotype:protein_coding description:FGGY family of carbohydrate kinase isoform 1 GKKVTVLRGRGVIIYWDNQNHFFLYQQSSTDIWHAICSAVKSACSLAKVEGEEVKGIGFAATCSLVAVDADGSPVTVSWSGDSRRNIIVWMDHRAVKQAEKINSRNSPVLQYCGGSVSPEMQPPKLLWVKENLQESWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMQQMNEKDSRDMEACGWDDDFWEEIGLGDLVEGHHAKIGRSVAFPGHGLGSGLTPTAAKELGLLAGTPVGTSLIDAHAGGVGVMESLPKTDCEAEENDNEAICHRMVLVCGTSTCHMAVSRDKLFIPGVWGPFWSAMIPEYWLTEGGQSATGALLDYIIENHVASPRLANRAASRNTSLFLLLNNMLESMMSEMQCPFIAALTEDIHVLPDFHGNRSPIADPKAKGVVCGLTLDTSEKQLARLYLATIQGIAYGTRHIVEHCNANGHKIDTLLACGGLSKNALFIQEHADIIGCPIILPRESESVLLGAAILGAVAAKKYSCLSDAMKALNAAGQVIHPSKDPRVKKYHDAKYQIFLELYKQQLSQRSIMAQALA >EOY30717 pep chromosome:Theobroma_cacao_20110822:9:5530899:5533393:-1 gene:TCM_037831 transcript:EOY30717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase 26 MASLQASLLALFISALAFNQSFVEARFSNSMYINWGAYHSTFTSNGEDLQLVLDQTSGSAVKSKRAFLFGSIEMLIKLVPGNSAGTVTAYYLSSTRDKHDEIDFEFLGNVSGQPYIVHTNIYTQGNGSREQQFYLWFDPTADFHNYTIHWNPTEVVWYIDNLPIRVFRNYEKEGIAFPNKQGMRVYSSLWNADNWATRGGLVKIDWNSAPFIARFRRFRAKACKWDGPVSINQCASRSPANWWTSPTYSQLSSSKMGQMKWVRDNFMIYDYCKDTKRFNGQMPPECFKPQF >EOY29885 pep chromosome:Theobroma_cacao_20110822:9:2688061:2692156:-1 gene:TCM_037278 transcript:EOY29885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 isoform 2 MCKSTLYLFFIFATPFVLYRCIFSLSMSSSWSSSASKADAETLRRKRINSSKLYFHVIPSKVPIIYSSYYDISFLGIEKLHPFDSSKWGRVCRFLISEGHLQKNSIVEPQEASRDDLLVVHMEAYLNSLKSSMNVAMIAEVPPIAILPNFLVQMKVLSPFRKQVGGTILAAKLAKDQGWAINVGGGFHHCSSGRGGGFCAYADISLCIHFAFVRLGISRVMIIDLDAHQGNGHETDFANDGRVYILDMYNPEIYPFDMEARNYITQKVEIVSGTTTDKYLKELDKALEEARRNFAPELIVYNAGTDILDGDPLGGLKVMPDGVVQRDEKVFRFARERSIPLVMVTSAKDHLLILILCLVL >EOY29884 pep chromosome:Theobroma_cacao_20110822:9:2686879:2692189:-1 gene:TCM_037278 transcript:EOY29884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 isoform 2 MCKSTLYLFFIFATPFVLYRCIFSLSMSSSWSSSASKADAETLRRKRINSSKLYFHVIPSKVPIIYSSYYDISFLGIEKLHPFDSSKWGRVCRFLISEGHLQKNSIVEPQEASRDDLLVVHMEAYLNSLKSSMNVAMIAEVPPIAILPNFLVQMKVLSPFRKQVGGTILAAKLAKDQGWAINVGGGFHHCSSGRGGGFCAYADISLCIHFAFVRLGISRVMIIDLDAHQGNGHETDFANDGRVYILDMYNPEIYPFDMEARNYITQKVEIVSGTTTDKYLKELDKALEEARRNFAPELIVYNAGTDILDGDPLGGLKVMPDGVVQRDEKVFRFARERSIPLVMVTSGGYMKSSARVIADSIINLSRKGLIDITSRQRRR >EOY29130 pep chromosome:Theobroma_cacao_20110822:9:183630:188048:-1 gene:TCM_036770 transcript:EOY29130 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent rRNA helicase SPB4, putative MVEITAELGPRKQRRQRKGADYGGKTCEKAEQIHAVVEENFTENADHSAADVKNVEEFRTDFIRRQGDKLVACLGDILSTLNQFSELVQECE >EOY32082 pep chromosome:Theobroma_cacao_20110822:9:20449623:20464077:1 gene:TCM_039554 transcript:EOY32082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDQYLHSLHSFQQGVSVKVKIVRIWESIDPSQLDKLLSHDFLAIDAQEYHLYHTQLNIVLLQYNSITIEALLGSIDAPLESSIGACKGAPTPLESSIEAPKGPLGASLGELNVPLGSPEHRCSPFLRSWGVPIDFNGALKSMTTLEKVHLQNLTNDVKRRDLYIEDLSGNTLKVVYRGDQAEAIDGDRYCKQFIVKDGIVQMGLVAFGRPVKKLVGATITKLATLQPIRRMTRPRPLKALISQKKIFTVDLTNKGIRMGIANYIMFDSADLDNRQWHTAIFANASRSSTPLTSIEELEEHVLPSPVKSQI >EOY33453 pep chromosome:Theobroma_cacao_20110822:9:37571868:37580811:-1 gene:TCM_041423 transcript:EOY33453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MLSGHNLSRGNAGSSSDMPPLPQCLPLEPITLGNQKYTRSGELSRVLGVPFRSSTSEDHTFGVAHPKPSPPVATEELKNFKESVQDASRKARDRVKKLRESISKLERYREALNSKKQQRSDISSERTSGVNIAKIGSQIHRNPHDIMTQRLEDRPKGVGLNKRVRTSVADLRADNRTALNPRQQGIIEKDGDVLSAVNGGSARIEEKIRRLSGEGWETKMKRKRSVAAVGNRVTAGDRDVKRAMQQKLSSESKLRSCDTQGFRSKSSPGVSGINRSDCSFEAAGSDASTVLRNELESTSIPRDRAAMLEQRVLTKTNNKASLQDDNQSSGPTTMLKGKVSRAPRSGSIMVLDSSSKVHLSSGALQGLEQPNLNKIQALGVGSNQKRPMSTGSSSHAMAQWGGQRPHKNSRTRRANLVSPVSNAEAQISSQGFATPDFGARASVGTGGSLLGSSIDNATLKIKREPENVSSPFGLSESEESGAGDSKSKEKGIDCSEVTLPASQKAGAFLLPTRKKQMSTNEIGDGVRRQGRSGSSAPLLTKPIVHPTREKLENLTTTKPIQTARSASDKNRSKTGRPPSKKLKDRKASTRVGSMLNNVSSDFTGESDDDHEELFAAASSARNAGSLACSGPFWKKMGSIFNSVSSEDTSYLTQQLSLAEELDESLSQMFGDGYNVLGVVLQKDAPNSVEEMAKTNASSGRFDIKKLDKVTPLYQRVLSALIEEDESEEIYHHIEAKNMSLHYASDDSHCGSCNQMDAESKDRDRMEFEVESNADFQCQKNSLLDRLSCDVSVASNTFRNSSMSNSLHSSERWLGDDDFSHSDMGPVSEICSTDLGQLQPKEMNVSGISSDCQYQFLCMDDKLLLELHSIGLYPETLPDLAEGEEAINQRVVELNERLYQQIRKKKKKLGKIDKAIQNGRDVERRNIERVAMDQLIQMAYKKRLACRGSNSSKSAVRKVSKHVALAFVKRTLDRCRKYEETGNSCFSEPTLQDVMFSVPPCSNEAKSVDCIGSGTASNTCNETSNHQAEARGSGAVSSTFESASSRVTSTLDGTVGGVRGKRSERDRDQSRDNLRNSSVSGAGRTSLDGSKGDRKTKTKPKQKNNHGYNGRLSEPLLPARGSSKPLANAGNVTEREVRLSSPSNIYRNSSKEADEPIDFPNLQLNELDTMEDLGASNDLGGPQDLSSWLNFDEDGLQDHDSIGLEIPMDDLSDLKFAF >EOY33452 pep chromosome:Theobroma_cacao_20110822:9:37567637:37580938:-1 gene:TCM_041423 transcript:EOY33452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MLSGHNLSRGNAGSSSDMPPLPQCLPLEPITLGNQKYTRSGELSRVLGVPFRSSTSEDHTFGVAHPKPSPPVATEELKNFKESVQDASRKARDRVKKLRESISKLERYREALNSKKQQRSDISSERTSGVNIAKIGSQIHRNPHDIMTQRLEDRPKGVGLNKRVRTSVADLRADNRTALNPRQQGIIEKDGDVLSAVNGGSARIEEKIRRLSGEGWETKMKRKRSVAAVGNRVTAGDRDVKRAMQQKLSSESKLRSCDTQGFRSKSSPGVSGINRSDCSFEAAGSDASTVLRNELESTSIPRDRAAMLEQRVLTKTNNKASLQDDNQSSGPTTMLKGKVSRAPRSGSIMVLDSSSKVHLSSGALQGLEQPNLNKIQALGVGSNQKRPMSTGSSSHAMAQWGGQRPHKNSRTRRANLVSPVSNAEAQISSQGFATPDFGARASVGTGGSLLGSSIDNATLKIKREPENVSSPFGLSESEESGAGDSKSKEKGIDCSEVTLPASQKAGAFLLPTRKKQMSTNEIGDGVRRQGRSGSSAPLLTKPIVHPTREKLENLTTTKPIQTARSASDKNRSKTGRPPSKKLKDRKASTRVGSMLNNVSSDFTGESDDDHEELFAAASSARNAGSLACSGPFWKKMGSIFNSVSSEDTSYLTQQLSLAEELDESLSQMFGDGYNVLGVVLQKDAPNSVEEMAKTNASSGRFDIKKLDKVTPLYQRVLSALIEEDESEEIYHHIEAKNMSLHYASDDSHCGSCNQMDAESKDRDRMEFEVESNADFQCQKNSLLDRLSCDVSVASNTFRNSSMSNSLHSSERWLGDDDFSHSDMGPVSEICSTDLGQLQPKEMNVSGISSDCQYQFLCMDDKLLLELHSIGLYPETLPDLAEGEEAINQRVVELNERLYQQIRKKKKKLGKIDKAIQNGRDVERRNIERVAMDQLIQMAYKKRLACRGSNSSKSAVRKVSKHVALAFVKRTLDRCRKYEETGNSCFSEPTLQDVMFSVPPCSNEAKSVDCIGSGTASNTCNETSNHQAEARGSGAVSSTFERYDSSDALPSVHSSEHAVSKYGSMLNKGRKREVLIDDVVGSASSRVTSTLDGTVGGVRGKRSERDRDQSRDNLRNSSVSGAGRTSLDGSKGDRKTKTKPKQKNNHGYNGRLSEPLLPARGSSKPLANAGNVTEREVRLSSPSNIYRNSSKEADEPIDFPNLQLNELDTMEDLGASNDLGGPQDLSSWLNFDEDGLQDHDSIGLEIPMDDLSDLKFAF >EOY33454 pep chromosome:Theobroma_cacao_20110822:9:37571835:37580322:-1 gene:TCM_041423 transcript:EOY33454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MLSGHNLSRGNAGSSSDMPPLPQCLPLEPITLGNQKYTRSGELSRVLGVPFRSSTSEDHTFGVAHPKPSPPVATEELKNFKESVQDASRKARDRVKKLRESISKLERYREALNSKKQQRSDISSERTSGVNIAKIGSQIHRNPHDIMTQRLEDRPKGVGLNKRVRTSVADLRADNRTALNPRQQGIIEKDGDVLSAVNGGSARIEEKIRRLSGEGWETKMKRKRSVAAVGNRVTAGDRDVKRAMQQKLSSESKLRSCDTQGFRSKSSPGVSGINRSDCSFEAAGSDASTVLRNELESTSIPRDRAAMLEQRVLTKTNNKASLQDDNQSSGPTTMLKGKVSRAPRSGSIMVLDSSSKVHLSSGALQGLEQPNLNKIQALGVGSNQKRPMSTGSSSHAMAQWGGQRPHKNSRTRRANLVSPVSNAEAQISSQGFATPDFGARASVGTGGSLLGSSIDNATLKIKREPENVSSPFGLSESEESGAGDSKSKEKGIDCSEVTLPASQKAGAFLLPTRKKQMSTNEIGDGVRRQGRSGSSAPLLTKPIVHPTREKLENLTTTKPIQTARSASDKNRSKTGRPPSKKLKDRKASTRVGSMLNNVSSDFTGESDDDHEELFAAASSARNAGSLACSGPFWKKMGSIFNSVSSEDTSYLTQQLSLAEELDESLSQMFGDGYNVLGVVLQKDAPNSVEEMAKTNASSGRFDIKKLDKVTPLYQRVLSALIEEDESEEIYHHIEAKNMSLHYASDDSHCGSCNQMDAESKDRDRMEFEVESNADFQCQKNSLLDRLSCDVSVASNTFRNSSMSNSLHSSERWLGDDDFSHSDMGPVSEICSTDLGQLQPKEMNVSGISSDCQYQFLCMDDKLLLELHSIGLYPETLPDLAEGEEAINQRVVELNERLYQQIRKKKKKLGKIDKAIQNGRDVERRNIERVAMDQLIQMAYKKRLACRGSNSSKSAVRKVSKHVALAFVKRTLDRCRKYEETGNSCFSEPTLQDVMFSVPPCSNEAKSVDCIGSGTASNTCNETSNHQAEARGSGAVSSTFERRVTSTLDGTVGGVRGKRSERDRDQSRDNLRNSSVSGAGRTSLDGSKGDRKTKTKPKQKNNHGYNGRLSEPLLPARGSSKPLANAGNVTEREVRLSSPSNIYRNSSKEADEPIDFPNLQLNELDTMEDLGASNDLGGPQDLSSWLNFDEDGLQDHDSIGLEIPMDDLSDLKFAF >EOY32344 pep chromosome:Theobroma_cacao_20110822:9:27748271:27750104:1 gene:TCM_040179 transcript:EOY32344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEHDTYVLIHFLHNMDDKESEAKREGMMPRKPTERQQGDINKLADAFISNFRKQLTYQRDEPIKYYQEMKA >EOY31789 pep chromosome:Theobroma_cacao_20110822:9:14010578:14011385:-1 gene:TCM_038917 transcript:EOY31789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEILATASFTFPNSLNLPSPSVADKQRLVAASSSYLGSPCFAERRVLLLPISERRVKLLGFPKSPLLCREHRVVAASLSYMGSPCFAERRVLLLPISEHRVKLPGFPKSPLLCREQKVVAGNLCRLLSFSKSLYFAFTSCAES >EOY33416 pep chromosome:Theobroma_cacao_20110822:9:37390427:37393751:1 gene:TCM_041392 transcript:EOY33416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast, nucleus, chloroplast envelope, putative MKSIQANNRALPINCPHLLKPQFLPSYPYVVCFNAKLPVSNSLKLLARASPCQQQFAPLLKHKRYASLSKCRQGTPLCRLGGEDKSAGDNEGSPWKAIEKAIGNFRKEQSVEDVLRQQIQKQEYYDEGSGKYPPRGGGGNGGGGDGSGGSEDEGLSGILDETLQVILATIGFIFLYVYIITGEELARLAKDYIKFLFGGNKSVRLRHAMYKWRKFFEKLTEKKEYDQYWLEKAIITTPTWYDIPGKYRRVLNSYLESDDDDDDDDDDDEYS >EOY33032 pep chromosome:Theobroma_cacao_20110822:9:35021958:35024525:1 gene:TCM_041040 transcript:EOY33032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 11 MHLCRRDFTAYADVCFREFGDRVLRWTTLNEANVFVLGGHDLGVLPPRRCSLPFNCSKGNSSTEPYMAVHNILLAHAAVARLYKKKYQDKQQGFIGINLLNNWFLPLTNTTEDKIAVQRANDMYLGWFMHPLVYGDYPSSIKKNAGSRLPAFTNRQSKRVKGSFDFIGLNFYCTMYVKDHPSCL >EOY32949 pep chromosome:Theobroma_cacao_20110822:9:34614352:34621820:1 gene:TCM_040965 transcript:EOY32949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dgd1 suppressor 1 isoform 3 MEATPDGNESSRDVKTLFSFYSNYLWNRLTTLFPSSPSNFLEKISNLSLQTALPISAKRRTGLPLPLPSASFSSTTPMTPEASRVYEVLNDIMDRSILNLHKIQNNLQFWQSRAEGSNARKVYFMIFERGPRAFFNGTVQLMRESVADGSAMQHFSHSASSYISERIAVLSTLRCSLAAFLAEFYMEVDKCGEELVTDPAKSFPSLTVTLNGLFSKLEASIGHLHALRQSNSSVDGTYSFPLLFEKLPEINQEASQWTDCEISDAINLIYRNLQELDSYLALMVAKHKKPTKVTRYWIRYTCGAVGLSVCSFWLLRHSRLMGSSDIDNWIREARESTVSFFNDHVEQPLLSIRDDLLDTFKKRQKGVMDTEEVQLTSNSLHRMLLAFSEQTKGEKLPENASDQEMLEIVMARYEKELMHPIQNLLHGELAHALLIQVQKLKLDIEMAMLELDQILRANEINFAILAALPAFFLSLGLIVAVRAWFRQDTRAEGRGRIARIQRRLLIVEVEKTIMQYQTYVDQGLENDAQCMFGMLIYCLDRLYSAVRGQAKTTGEWQCLKQDIIDLGKPGLQTAYKLIVTARMERVYDCLLPSLKRQ >EOY32951 pep chromosome:Theobroma_cacao_20110822:9:34614352:34621820:1 gene:TCM_040965 transcript:EOY32951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dgd1 suppressor 1 isoform 3 MEATPDGNESSRDVKTLFSFYSNYLWNRLTTLFPSSPSNFLEKISNLSLQTALPISAKRRTGLPLPLPSASFSSTTPMTPEASRVYEVLNDIMDRSILNLHKIQNNLQFWQSRAEGSNARKVYFMIFERGPRAFFNGTVQLMRESVADGSAMQHFSHSASSYISERIAVLSTLRCSLAAFLAEFYMEVDKCGEELVTDPAKSFPSLTVTLNGLFSKLEASIGHLHALRQSNSSVDGTYSFPLLFEKLPEINQEASQWTDCEISDAINLIYRNLQELDSYLALMVAKHKKPTKVTRYWIRYTCGAVGLSVCSFWLLRHSRLMGSSDIDNWIREARESTVSFFNDHVEQPLLSIRDDLLDTFKKRQKGVMDTEEVQLTSNSLHRAMLELDQILRANEINFAILAALPAFFLSLGLIVAVRAWFRQDTRAEGRGRIARIQRRLLIVEVEKTIMQYQTYVDQG >EOY32950 pep chromosome:Theobroma_cacao_20110822:9:34614460:34620012:1 gene:TCM_040965 transcript:EOY32950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dgd1 suppressor 1 isoform 3 MEATPDGNESSRDVKTLFSFYSNYLWNRLTTLFPSSPSNFLEKISNLSLQTALPISAKRRTGLPLPLPSASFSSTTPMTPEASRVYEVLNDIMDRSILNLHKIQNNLQFWQSRAEGSNARKVYFMIFERGPRAFFNGTVQLMRESVADGSAMQHFSHSASSYISERIAVLSTLRCSLAAFLAEFYMEVDKCGEELVTDPAKSFPSLTVTLNGLFSKLEASIGHLHALRQSNSSVDGTYSFPLLFEKLPEINQEASQWTDCEISDAINLIYRNLQELDSYLALMVAKHKKPTKVTRYWIRYTCGAVGLSVCSFWLLRHSRLMGSSDIDNWIREARESTVSFFNDHVEQPLLSIRDDLLDTFKKRQKGVMDTEEVQLTSNSLHRMLLAFSEQTKGEKLPENASDQEMLEIVMARYEKELMHPIQNLLHGELAHALLIQVQKLKLDIEMAMLELDQILRANEINFAILAALPAFFLSLGLIVAVRAWFRQDTRAEGRGRIARIQRRLLIVEVEKTIMQYQTYVDQGLSRGKV >EOY29762 pep chromosome:Theobroma_cacao_20110822:9:2302203:2304868:1 gene:TCM_037202 transcript:EOY29762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase II (PTH2) family protein isoform 1 MWASTRNSSQPTKQLQKQERERLGVSFRPENFIPGLVLGFIFGLLLDLSKPSKTPSKKKNFLPGKLQEEDFVSTNADQYLKMVLVVRQDLKMKSGKIASQCAHAATGMYAELMKSDRSLLRQWEDCGQPKIVVTCRNQQEMNKLREAAENIGLPTFVVADAGRTQVSAGSKTVLAIGPGPKVAVDSVTGKLNLL >EOY29763 pep chromosome:Theobroma_cacao_20110822:9:2302231:2304862:1 gene:TCM_037202 transcript:EOY29763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase II (PTH2) family protein isoform 1 MWASTRNSSQPTKLQKQERERLGVSFRPENFIPGLVLGFIFGLLLDLSKPSKTPSKKKNFLPGKLQEEDFVSTNADQYLKMVLVVRQDLKMKSGKIASQCAHAATGMYAELMKSDRSLLRQWEDCGQPKIVVTCRNQQEMNKLREAAENIGLPTFVVADAGRTQVSAGSKTVLAIGPGPKVAVDSVTGKLNLL >EOY33036 pep chromosome:Theobroma_cacao_20110822:9:35042741:35046383:1 gene:TCM_041044 transcript:EOY33036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine biosynthesis 2 MAVVGVLALQGSFNEHIAALKRLGVKGVEVRKPEQLQTISSLIIPGGESTTMAKLAEFHNLFPALREFVQMGKPVWGTCAGLIFLANKAVGQKEGGQELVGGLDCTVHRNYFGSQIQSFEAELLVPELASREGGPETFPGVFIRAPAVLEVGPEVEVLADYPIPSNKVLYSSSAVEIQEENAVPEKKVIVAIRQGNLLGTAFHPELTADTRWHSYFLKMARGYGEWTSNTTVAVSGVDLSSDKQTRYDLPIFR >EOY29509 pep chromosome:Theobroma_cacao_20110822:9:1403652:1404751:-1 gene:TCM_037022 transcript:EOY29509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant natriuretic peptide A MRSTMLLQTLLLYCIIFDVICVLGDVGTAKAYDPPYLPTKCNGYNEDQFPPGGLFAAVSDGLWDNGASCGRKYRIRCISGPKRPCKARSIVVEVVDRCTEDPCPATMQLSNKAFVAISKFDARINVEYAQI >EOY33113 pep chromosome:Theobroma_cacao_20110822:9:35473552:35504649:1 gene:TCM_041102 transcript:EOY33113 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP interactive partner 5 isoform 1 MQTPKARNGSSEVPQRVSPRAVRQLRPTTLETEAVSSSNPATRPSKEKSPKVVERRSPRSPASEKKRPSRISELEIQISQLQEELKKAKDQLSSSESCKKQAQQDAEESKKQLLAMSAKLEESQKQLLELSASEEPRVVELQKISQERDQAWQSELEAVQKQHSLDSAALVSAVNEIQRLKDQLEMVAESEAAQTKQAESAHLELQSLKGNLVETLSLVANMKTQLKDSQESEAKAQALASETLLQLEAAKRTVEALRSEGMKAVEAYNSIASDLDQSRQRVYSLEGLVKKLKLDLTDASGSLSLESDSDQIVIENQAGESEKPEDSHQLEAEISSLKSQVGWLRSALETAEIKFHEEQIRSTVQIKSAHELVQQIKSEASSREAELLAELEKANSDIADLKANLMDKETELQGISEENEELHMKLEKNLSCQRESKLENELKVLKEAVVDLKANMMDKETELQNISEENEMLRLEISRREMDKGKTNDKVGTELELARAAEREACIKLGLAMEEADKNNRRAARVAEQLEAAQNANSEIEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLDSHYNPVTGKVSSPYTEDMDDDLLKKKNGNMLKKIGILGILGPAKSICCEVHNEYSFEAS >EOY33114 pep chromosome:Theobroma_cacao_20110822:9:35491228:35497577:1 gene:TCM_041102 transcript:EOY33114 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP interactive partner 5 isoform 1 MQTPKARNGSSEVPQRVSPRAVRQLRPTTLETEAVSSSNPATRPSKEKSPKVVERRSPRSPASEKKRPSRISELEIQISQLQEELKKAKDQLSSSESCKKQAQQDAEESKKQLLAMSAKLEESQKQLLELSASEEPRVVELQKISQERDQAWQSELEAVQKQHSLDSAALVSAVNEIQRLKDQLEMVAESEAAQTKQAESAHLELQSLKGNLVETLSLVANMKTQLKDSQESEAKAQALASETLLQLEAAKRTVEALRSEGMKAVEAYNSIASDLDQSRQRVYSLEGLVKKLKLDLTDASGSLSLESDSDQIVIENQAGESEKPEDSHQLEAEISSLKSQVGWLRSALETAEIKFHEEQIRSTVQIKSAHELVQQIKSEASSREAELLAELEKANSDIADLKANLMDKETELQGISEENEELHMKLEKNLSCQRESKLENELKVLKEAVVDLKANMMDKETELQNISEENEMLRLEISRREMDKGKTNDKVGTELELARAAEREACIKLGLAMEEADKNNRRAARVAEQLEAAQNANSEIEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLDSHYNPVTGKVSSPYTEDMDDDLLKKKNGNMLKKIGVLWKKPQK >EOY33117 pep chromosome:Theobroma_cacao_20110822:9:35494100:35497577:1 gene:TCM_041102 transcript:EOY33117 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP interactive partner 5 isoform 1 MFNRNGSSEVPQRVSPRAVRQLRPTTLETEAVSSSNPATRPSKEKSPKVVERRSPRSPASEKKRPSRISELEIQISQLQEELKKAKDQLSSSESCKKQAQQDAEESKKQLLAMSAKLEESQKQLLELSASEEPRVVELQKISQERDQAWQSELEAVQKQHSLDSAALVSAVNEIQRLKDQLEMVAESEAAQTKQAESAHLELQSLKGNLVETLSLVANMKTQLKDSQESEAKAQALASETLLQLEAAKRTVEALRSEGMKAVEAYNSIASDLDQSRQRVYSLEGLVKKLKLDLTDASGSLSLESDSDQIVIENQAGESEKPEDSHQLEAEISSLKSQVGWLRSALETAEIKFHEEQIRSTVQIKSAHELVQQIKSEASSREAELLAELEKANSDIADLKANLMDKETELQGISEENEELHMKLEKNLSCQRESKLENELKVLKEAVVDLKANMMDKETELQNISEENEMLRLEISRREMDKGKTNDKVGTELELARAAEREACIKLGLAMEEADKNNRRAARVAEQLEAAQNANSEIEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLDSHYNPVTGKVSSPYTEDMDDDLLKKKNGNMLKKIGVLWKKPQK >EOY33115 pep chromosome:Theobroma_cacao_20110822:9:35491316:35498082:1 gene:TCM_041102 transcript:EOY33115 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP interactive partner 5 isoform 1 MQTPKARNGSSEVPQRVSPRAVRQLRPTTLETEAVSSSNPATRPSKEKSPKVVERRSPRSPASEKKRPSRISELEIQISQLQEELKKAKDQLSSSESCKKQAQQDAEESKKQLLAMSAKLEESQKQLLELSASEEPRVVELQKISQERDQAWQSELEAVQKQHSLDSAALVSAVNEIQRLKDQLEMVAESEAAQTKQAESAHLELQSLKGNLVETLSLVANMKTQLKDSQESEAKAQALASETLLQLEAAKRTVEALRSEGMKAVEAYNSIASDLDQSRQRVYSLEGLVKKLKLDLTDASGSLSLESDSDQIVIENQAGESEKPEDSHQLEAEISSLKSQVGWLRSALETAEIKFHEEQIRSTVQIKSAHELVQQIKSEASSREAELLAELEKANSDIADLKANLMDKETELQGISEENEELHMKLEKNLSCQRESKLENELKVLKEAVVDLKANMMDKETELQNISEENEMLRLEISRREMDKGKTNDKVGTELELARAAEREACIKLGLAMEEADKNNRRAARVAEQLEAAQNANSEIEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLDSHYNPVTGKVSSPYTEDMDDDLLKKKNGNMLKKIGVLWKKPQK >EOY33116 pep chromosome:Theobroma_cacao_20110822:9:35494100:35497577:1 gene:TCM_041102 transcript:EOY33116 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP interactive partner 5 isoform 1 MSAKLEESQKQLLELSASEEPRVVELQKISQERDQAWQSELEAVQKQHSLDSAALVSAVNEIQRLKDQLEMVAESEAAQTKQAESAHLELQSLKGNLVETLSLVANMKTQLKDSQESEAKAQALASETLLQLEAAKRTVEALRSEGMKAVEAYNSIASDLDQSRQRVYSLEGLVKKLKLDLTDASGSLSLESDSDQIVIENQAGESEKPEDSHQLEAEISSLKSQVGWLRSALETAEIKFHEEQIRSTVQIKSAHELVQQIKSEASSREAELLAELEKANSDIADLKANLMDKETELQGISEENEELHMKLEKNLSCQRESKLENELKVLKEAVVDLKANMMDKETELQNISEENEMLRLEISRREMDKGKTNDKVGTELELARAAEREACIKLGLAMEEADKNNRRAARVAEQLEAAQNANSEIEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLDSHYNPVTGKVSSPYTEDMDDDLLKKKNGNMLKKIGVLWKKPQK >EOY30604 pep chromosome:Theobroma_cacao_20110822:9:5152565:5153780:1 gene:TCM_037756 transcript:EOY30604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin 5 MSWQTYVDEHLMCDIDGQGQHLTAAAIIGHDGSVWAQSSTFPQFKPNEITDIMKDFDEPGHLAPTGLHLGGTKYMVIQGEPGAVIRGKKGSGGITVKKTGQALVFGLYEEPVTPGQCNMVVERLGDYLADQGL >EOY34310 pep chromosome:Theobroma_cacao_20110822:9:40662374:40668154:1 gene:TCM_042027 transcript:EOY34310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein isoform 4 NGHFFHYSVSLSYEDGRPVDGKGVGRKVIDRVHETYSSELAGKDFAYDGEKSLFTVGPLPSNKLEFTVVLEDVTSNRNNGNVSPDGHDSPNEHDRKRLRRPYQSKAFRVEISFAAKIPMQAIQNALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFHNDPNNFADIGGGVLGCRGFHSSFRSSQGGLSLNIDVSTTMIIRPGPVVDFLLANQNARDPDSIDWTKAKRVLKNLRIKVSPSNQEYKITGLSDQFCEDQMFSLKQKSAKSENGEAEVLEVTVYDYFVNHRNIQLRYSARMPCINVGKPKRPTYIPMELCSLVSLQRYTKALSTFQRASLVEKSRQKPQERMNVLSNALRKSNYGAEPMLRSCGVSISSNFTQVEGRVLPAPRLKVGNGEDFFPRNGRWNFNNKKLVEPTKIARWVVVNFSARCDVKSLVRDLIRCGEMKGLHIDPPFDVFEERNQNRRSPPVVRVEKMCEEMQSKLPGAPHFVLCLLPDRKNSDLYGPWKRKYLAEFGVVTQCMAPTRVNDQYLTNLLLKINAKLGGLNSMLAIEQTPSIPVVSKVPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRASVRTQSPKVEMIDSLFKRVSDTEDEGSFSWTFIRVQERESLIKSSYSGTGLVSHNSIKF >EOY34311 pep chromosome:Theobroma_cacao_20110822:9:40662374:40668154:1 gene:TCM_042027 transcript:EOY34311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein isoform 4 NGHFFHYSVSLSYEDGRPVDGKGVGRKVIDRVHETYSSELAGKDFAYDGEKSLFTVGPLPSNKLEFTVVLEDVTSNRNNGNVSPDGHDSPNEHDRKRLRRPYQSKAFRVEISFAAKIPMQAIQNALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFHNDPNNFADIGGGVLGCRGFHSSFRSSQGGLSLNIDVSTTMIIRPGPVVDFLLANQNARDPDSIDWTKAKRVLKNLRIKVSPSNQEYKITGLSDQFCEDQMFSLKQKSAKSENGEAEVLEVTVYDYFVNHRNIQLRYSARMPCINVGKPKRPTYIPMELCSLVSLQRYTKALSTFQRASLVEKSRQKPQERMNVLSNALRKSNYGAEPMLRSCGVSISSNFTQVEGRVLPAPRLKVGNGEDFFPRNGRWNFNNKKLVEPTKIARWVVVNFSARCDVKSLVRDLIRCGEMKGLHIDPPFDVFEERNQNRRSPPVVRVEKMCEEMQSKLPGAPHFVLCLLPDRKNSDLYGPWKRKYLAEFGVVTQCMAPTRVNDQYLTNLLLKINAKLGGLNSMLAIEQTPSIPVVSKVPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRASVRTQSPKVEMIDSLFKRVSDTEDEGIIRELLLDFYTSSGKRKPDQIIIFRLASFLMRHGIPSLWSL >EOY34308 pep chromosome:Theobroma_cacao_20110822:9:40660101:40670170:1 gene:TCM_042027 transcript:EOY34308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein isoform 4 MDSFEPDGNGAAQDSLPPPPPVPPNVVPIKAESEPAKNKVARVPMARRGLGSKGQKIPILTNHFQVNVGNVNGHFFHYSVSLSYEDGRPVDGKGVGRKVIDRVHETYSSELAGKDFAYDGEKSLFTVGPLPSNKLEFTVVLEDVTSNRNNGNVSPDGHDSPNEHDRKRLRRPYQSKAFRVEISFAAKIPMQAIQNALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFHNDPNNFADIGGGVLGCRGFHSSFRSSQGGLSLNIDVSTTMIIRPGPVVDFLLANQNARDPDSIDWTKAKRVLKNLRIKVSPSNQEYKITGLSDQFCEDQMFSLKQKSAKSENGEAEVLEVTVYDYFVNHRNIQLRYSARMPCINVGKPKRPTYIPMELCSLVSLQRYTKALSTFQRASLVEKSRQKPQERMNVLSNALRKSNYGAEPMLRSCGVSISSNFTQVEGRVLPAPRLKVGNGEDFFPRNGRWNFNNKKLVEPTKIARWVVVNFSARCDVKSLVRDLIRCGEMKGLHIDPPFDVFEERNQNRRSPPVVRVEKMCEEMQSKLPGAPHFVLCLLPDRKNSDLYGPWKRKYLAEFGVVTQCMAPTRVNDQYLTNLLLKINAKLGGLNSMLAIEQTPSIPVVSKVPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRASVRTQSPKVEMIDSLFKRVSDTEDEGIIRELLLDFYTSSGKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDEAWNPKFVVIVAQKNHHTKFFQQGSPDNVPPGTVIDNKVCHPRNNDFYLCAHAGMIGTTRPTHYHVLLDQIGFSADDLQELVHCLSYVYQRSTTAISVVAPICYAHLAASQLGTFMKFEDASETSSSHGGVTAPGAVPVPQLPKLDQKVCNSMFFC >EOY34309 pep chromosome:Theobroma_cacao_20110822:9:40662374:40668154:1 gene:TCM_042027 transcript:EOY34309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein isoform 4 NGHFFHYSVSLSYEDGRPVDGKGVGRKVIDRVHETYSSELAGKDFAYDGEKSLFTVGPLPSNKLEFTVVLEDVTSNRNNGNVSPDGHDSPNEHDRKRLRRPYQSKAFRVEISFAAKIPMQAIQNALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFHNDPNNFADIGGGVLGCRGFHSSFRSSQGGLSLNIDVSTTMIIRPGPVVDFLLANQNARDPDSIDWTKAKRVLKNLRIKVSPSNQEYKITGLSDQFCEDQMFSLKQKSAKSENGEAEVLEVTVYDYFVNHRNIQLRYSARMPCINVGKPKRPTYIPMELCSLVSLQRYTKALSTFQRASLVEKSRQKPQERMNVLSNALRKSNYGAEPMLRSCGVSISSNFTQVEGRVLPAPRLKVGNGEDFFPRNGRWNFNNKKLVEPTKIARWVVVNFSARCDVKSLVRDLIRCGEMKGLHIDPPFDVFEERNQNRRSPPVVRVEKMCEEMQSKLPGAPHFVLCLLPDRKNSDLYGPWKRKYLAEFGVVTQCMAPTRVNDQYLTNLLLKINAKLGGLNSMLAIEQTPSIPVVSKVPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRASVRTQSPKVEMIDSLFKRVSDTEDEGIIRELLLDFYTSSGKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDEAWNPKFVVIVAQKNHHTKFFQQGSPDNVPPGTVIDNKVCHPRNNDFYLCAHAGMIGTTRPTHYHVLLDQIGFSADDLQELVHCLSY >EOY34307 pep chromosome:Theobroma_cacao_20110822:9:40660101:40670170:1 gene:TCM_042027 transcript:EOY34307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein isoform 4 MDSFEPDGNGAAQDSLPPPPPVPPNVVPIKAESEPAKNKVARVPMARRGLGSKGQKIPILTNHFQVNVGNVNGHFFHYSVSLSYEDGRPVDGKGVGRKVIDRVHETYSSELAGKDFAYDGEKSLFTVGPLPSNKLEFTVVLEDVTSNRNNGNVSPDGHDSPNEHDRKRLRRPYQSKAFRVEISFAAKIPMQAIQNALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFHNDPNNFADIGGGVLGCRGFHSSFRSSQGGLSLNIDVSTTMIIRPGPVVDFLLANQNARDPDSIDWTKAKRVLKNLRIKVSPSNQEYKITGLSDQFCEDQMFSLKQKSAKSENGEAEVLEVTVYDYFVNHRNIQLRYSARMPCINVGKPKRPTYIPMELCSLVSLQRYTKALSTFQRASLVEKSRQKPQERMNVLSNALRKSNYGAEPMLRSCGVSISSNFTQVEGRVLPAPRLKVGNGEDFFPRNGRWNFNNKKLVEPTKIARWVVVNFSARCDVKSLVRDLIRCGEMKGLHIDPPFDVFEERNQNRRSPPVVRVEKMCEEMQSKLPGAPHFVLCLLPDRKNSDLYGPWKRKYLAEFGVVTQCMAPTRVNDQYLTNLLLKINAKLGGLNSMLAIEQTPSIPVVSKVPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRASVRTQSPKVEMIDSLFKRVSDTEDEGIIRELLLDFYTSSGKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDEAWNPKFVVIVAQKNHHTKFFQQGSPDNVPPGTVIDNKVCHPRNNDFYLCAHAGMIGTTRPTHYHVLLDQIGFSADDLQELVHCLSYVYQRSTTAISVVAPICYAHLAASQLGTFMKFEDASETSSSHGGVTAPGAVPVPQLPKLDQKVCNSMFFC >EOY30722 pep chromosome:Theobroma_cacao_20110822:9:5556757:5559671:-1 gene:TCM_037835 transcript:EOY30722 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MKLKQLESLLGGLQQFSNPKVELEQYPTGPHIAAGLLYTAENSFDDISNKVVADFGCGCGTLGAAAALLGAEQVIGIDIDSQSLEIATMNAEDLELDIDFIQCDISNLGWRGQIVDTVVMNPPFGTRKKGADMDFLFVALKVASQAVYSLHKTSTRDHVKRVALRDLNASSAEVLCELRFDVPQLYKFHKKKEVDIAVDLWRFVPKRSRGKDI >EOY32419 pep chromosome:Theobroma_cacao_20110822:9:29013509:29017824:1 gene:TCM_040332 transcript:EOY32419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural molecule, putative isoform 1 MAVAAQVGAATYLNVWRNLALNPPPSLFKPSVNPNPNRLTLHICRCSLVNEQQASFTEQEKRLIDALIGIQGRGRSASSNQLNDVERAVQVLEGQEGMPDPTSSDLIEGRWQLMFTTRPGTASPIQRTFVGVEFFSVFQEVYLRTNDPRVSNIVKFSDSIGELKVEAAASIKDGKRIIFQFDRAAFSFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSRSGNLRISRGNKGTTFVLQKKTDPRQKLLAAISTGTEVREAIDEFISLSKSVSKDEPVLLEGEWQMIWSSQLETDSWLENAGNGLMGSQQIWHKDLQ >EOY32417 pep chromosome:Theobroma_cacao_20110822:9:29013599:29018317:1 gene:TCM_040332 transcript:EOY32417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural molecule, putative isoform 1 MAVAAQVGAATYLNVWRNLALNPPPSLFKPSVNPNPNRLTLHICRCSLVNEQQASFTEQEKRLIDALIGIQGRGRSASSNQLNDVERAVQVLEGQEGMPDPTSSDLIEGRWQLMFTTRPGTASPIQRTFVGVEFFSVFQEVYLRTNDPRVSNIVKFSDSIGELKVEAAASIKDGKRIIFQFDRAAFSFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSRSGNLRISRGNKGTTFVLQKKTDPRQKLLAAISTGTEVREAIDEFISLSKSVSKDEPVLLEGEWQMIWSSQQLETDSWLENAGNGLMGSQIVKNGQMKFLVNILPGVRFSMIGKFVKSGTKTYNVTMDDAALIGGPFGYPLEMETKINLELLYSDDKIRISRGYNNILFVHLRKDGSK >EOY32418 pep chromosome:Theobroma_cacao_20110822:9:29013498:29017602:1 gene:TCM_040332 transcript:EOY32418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural molecule, putative isoform 1 MAVAAQVGAATYLNVWRNLALNPPPSLFKPSVNPNPNRLTLHICRCSLVNEQQASFTEQEKRLIDALIGIQGRGRSASSNQLNDVERAVQVLEGQEGMPDPTSSDLIEGRWQLMFTTRPGTASPIQRTFVGVEFFSVFQEVYLRTNDPRVSNIVKFSDSIGELKVEAAASIKDGKRIIFQFDRAAFSFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSRSGNLRISRGNKGTTFVLQKKTDPRQKLLAAISTGTEVREAIDEFISLSKSVSKDEPVLLEGEWQMIWSSQLETDSWLENAGNGLMGSQIVKNGQMKFLVNILPGVRFSMIGKFV >EOY32416 pep chromosome:Theobroma_cacao_20110822:9:29013498:29018379:1 gene:TCM_040332 transcript:EOY32416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural molecule, putative isoform 1 MAVAAQVGAATYLNVWRNLALNPPPSLFKPSVNPNPNRLTLHICRCSLVNEQQASFTEQEKRLIDALIGIQGRGRSASSNQLNDVERAVQVLEGQEGMPDPTSSDLIEGRWQLMFTTRPGTASPIQRTFVGVEFFSVFQEVYLRTNDPRVSNIVKFSDSIGELKVEAAASIKDGKRIIFQFDRAAFSFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSRSGNLRISRGNKGTTFVLQKKTDPRQKLLAAISTGTEVREAIDEFISLSKSVSKDEPVLLEGEWQMIWSSQLETDSWLENAGNGLMGSQIVKNGQMKFLVNILPGVRFSMIGKFVKSGTKTYNVTMDDAALIGGPFGYPLEMETKINLELLYSDDKIRISRGYNNILFVHLRKDGSK >EOY32420 pep chromosome:Theobroma_cacao_20110822:9:29013700:29016849:1 gene:TCM_040332 transcript:EOY32420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural molecule, putative isoform 1 MAVAAQVGAATYLNVWRNLALNPPPSLFKPSVNPNPNRLTLHICRCSLVNEQQASFTEQEKRLIDALIGIQGRGRSASSNQLNDVERAVQVLEGQEGMPDPTSSDLIEGRWQLMFTTRPGTASPIQRTFVGVEFFSVFQEVYLRTNDPRVSNIVKFSDSIGELKVEAAASIKDGKRIIFQFDRAAFSFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSRSGNLRISRGNKGTTFVLQKKTDPRQKLLAAISTGTEVREAIDEFISLSKSVSKDEPVLLEGEWQMIWSSQLETDSWLENAGNGLMGSQVSDS >EOY30853 pep chromosome:Theobroma_cacao_20110822:9:6024106:6031656:-1 gene:TCM_037920 transcript:EOY30853 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSP-interacting GTPase, putative isoform 2 MASRVKEDEKNERIIRGLLKQQENRRCINCNSLGPQYVCTNFWTFVCTNCSGIHREFTHRVKSVSMAKFTSQEVSALQEGGNQRAKEIYFKEWDPQRNSVPDSSNVERLRDFIKHVYVDRRYSGERNYDKPPRGKMGDKEDLYENRRTDGYQGGSRSPPYEDTYERRYNERSSPGGRNDDRNSRYGYDERRSPGYDQESRQYGDYRKSPARPEVVNDWRREDRFGNGRKPEDRRISDGDPKLEGRSPERPKELGSSSPPVVRPVREILGENVIPLRISEPPKANGSRTVDVPQTQRTASSSSLGSTSGNPAEVKLETTVSLIDFDADPEPPAAQAVTQTQQTTVTQSIVQPTSSTNDNNWASFDFAPQTKVSQAPSNMNTLDSVLSQLSVPASVPGQISGPSTIVGGQIPAPVVNMSVAPLGGNPNVAFTGQIPFGAGAPAVAPVSNFSTLPPAGALAAAPGLTPIMPVSSGSSQVSVNNAGLWPNVQHQQTSFFSAAGSQSTAQQFMPPVDGASTSQPWNFAPSQHMQGHLSAPAAQTPQAVSQSVLDVTSTGASQPPSIETKASGRKELPVDLFTATYPTYPAPAAGWQTGPPRGMGFIMQYSTAVPMSAFPQSSKSINPFDLGGEAPPVQNQTFPSMASLQGALPNVPPASGLVRASSLGTPSSAWMPAQALPYASGMPSQSLPYASAVPQRAYTGAQLPSNLPPSSHQIGIGSEASFGFVNADQQVAGRFSAPTTPQPFSSVGGNPFG >EOY30852 pep chromosome:Theobroma_cacao_20110822:9:6024112:6031641:-1 gene:TCM_037920 transcript:EOY30852 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSP-interacting GTPase, putative isoform 2 MASRVKEDEKNERIIRGLLKQQENRRCINCNSLGPQYVCTNFWTFVCTNCSGIHREFTHRVKSVSMAKFTSQEVSALQEGGNQRAKEIYFKEWDPQRNSVPDSSNVERLRDFIKHVYVDRRYSGERNYDKPPRGKMGDKEDLYENRRTDGYQGGSRSPPYEDTYERRYNERSSPGGRNDDRNSRYGYDERRSPGYDQESRQYGDYRKSPARPEVVNDWRREDRFGNGRKPEDRRISDGDPKLEGRSPERPKELGSSSPPVVRPVREILGENVIPLRISEPPKANGSRTVDVPQTQQRTASSSSLGSTSGNPAEVKLETTVSLIDFDADPEPPAAQAVTQTQQTTVTQSIVQPTSSTNDNNWASFDFAPQTKVSQAPSNMNTLDSVLSQLSVPASVPGQISGPSTIVGGQIPAPVVNMSVAPLGGNPNVAFTGQIPFGAGAPAVAPVSNFSTLPPAGALAAAPGLTPIMPVSSGSSQVSVNNAGLWPNVQHQQTSFFSAAGSQSTAQQFMPPVDGASTSQPWNFAPSQHMQGHLSAPAAQTPQAVSQSVLDVTSTGASQPPSIETKASGRKELPVDLFTATYPTYPAPAAGWQTGPPRGMGFIMQYSTAVPMSAFPQSSKSINPFDLGGEAPPVQNQTFPSMASLQGALPNVPPASGLVRASSLGTPSSAWMPAQALPYASGMPSQSLPYASAVPQRAYTGAQLPSNLPPSSHQIGIGSEASFGFVNADQQVAGRFSAPTTPQPFSSVGGNPFG >EOY30851 pep chromosome:Theobroma_cacao_20110822:9:6024848:6031449:-1 gene:TCM_037920 transcript:EOY30851 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSP-interacting GTPase, putative isoform 2 MASRVKEDEKNERIIRGLLKQQENRRCINCNSLGPQYVCTNFWTFVCTNCSGIHREFTHRVKSVSMAKFTSQEVSALQEGGNQRAKEIYFKEWDPQRNSVPDSSNVERLRDFIKHVYVDRRYSGERNYDKPPRGKMGDKEDLYENRRTDGYQGGSRSPPYEDTYERRYNERSSPGGRNDDRNSRYGYDERRSPGYDQESRQYGDYRKSPARPEVVNDWRREDRFGNGRKPEDRRISDGDPKLEGRSPERPKELGSSSPPVVRPVREILGENVIPLRISEPPKANGSRTVDVPQTQRTASSSSLGSTSGNPAEVKLETTVSLIDFDADPEPPAAQAVTQTQQTTVTQSIVQPTSSTNDNNWASFDFAPQTKVSQAPSNMNTLDSVLSQLSVPASVPGQISGPSTIVGGQIPAPVVNMSVAPLGGNPNVAFTGQIPFGAGAPAVAPVSNFSTLPPAGALAAAPGLTPIMPVSSGSSQVSVNNAGLWPNVQHQQTSFFSAAGSQSTAQQFMPPVDGASTSQPWNFAPSQHMQGHLSAPAAQTPQAVSQSVLDVTSTGASQPPSIETKASGRKELPVDLFTATYPTYPAPAAGWQTGPPRGMGFIMQYSTAVPMSAFPQSSKSINPFDLGGEAPPVQNQTFPSMASLQGALPNVPPASGLVRASSLGTPSSAWMPAQALPYASGMPSQSLPYASAVPQRAYTGAQLPSNLPPSR >EOY30854 pep chromosome:Theobroma_cacao_20110822:9:6024741:6030718:-1 gene:TCM_037920 transcript:EOY30854 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSP-interacting GTPase, putative isoform 2 MASRVKEDEKNERIIRGLLKQQENRRCINCNSLGPQYVCTNFWTFVCTNCSGIHREFTHRVKSVSMAKFTSQEVSALQEGGNQRAKEIYFKEWDPQRNSVPDSSNVERLRDFIKHVYVDRRYSGERNYDKPPRGKMGDKEDLYENRRTDGYQGGSRSPPYEDTYERRYNERSSPGGRNDDRNSRYGYDERRSPGYDQESRQYGDYRKSPARPEVVNDWRREDRFGNGRKPEDRRISDGDPKLEGRSPERPKELGSSSPPVVRPVREILGENVIPLRISEPPKANGSRTVDVPQTQQRTASSSSLGSTSGNPAEVKLETTVSLIDFDADPEPPAAQAVTQTQQTTVTQSIVQPTSSTNDNNWASFDFAPQTKVSQAPSNMNTLDSVLSQLSVPASVPGQISGPSTIVGGQIPAPVVNMSVAPLGGNPNVAFTGQIPFGAGAPAVAPVSNFSTLPPAGALAAAPGLTPIMPVSSGSSQVSVNNAGLWPNVQHQQTSFFSAAGSQSTAQQFMPPVDGASTSQPWNFAPSQHMQGHLSAPAAQTPQAVSQSVLDVTSTGASQPPSIETKASGRKELPVDLFTATYPTYPAPAAGWQTGPPRGMGFIMQYSTAVPMSAFPQSSKSINPFDLGGEAPPVQNQTFPSMASLQGALPNVPPASGLVRASSLGTPSSAWMPAQALPYASGMPSQSLPYASAVPQRAYTGAQLPSNLPPSSHQIGIGSEASFGFVNADQQVAGRFSAPTTPQPFSSVGGNPFG >EOY30850 pep chromosome:Theobroma_cacao_20110822:9:6024106:6031656:-1 gene:TCM_037920 transcript:EOY30850 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSP-interacting GTPase, putative isoform 2 MASRVKEDEKNERIIRGLLKQQENRRCINCNSLGPQYVCTNFWTFVCTNCSGIHREFTHRVKSVSMAKFTSQEVSALQEGGNQRAKEIYFKEWDPQRNSVPDSSNVERLRDFIKHVYVDRRYSGERNYDKPPRGKMGDKEDLYENRRTDGYQGGSRSPPYEDTYERRYNERSSPGGRNDDRNSRYGYDERRSPGYDQESRQYGDYRKSPARPEVVNDWRREDRFGNGRKPEDRRISDGDPKLEGRSPERPKELGSSSPPVVRPVREILGENVIPLRISEPPKANGSRTVDVPQTQRTASSSSLGSTSGNPAEVKLETTVSLIDFDADPEPPAAQAVTQTQQTTVTQSIVQPTSSTNDNNWASFDFAPQTKVSQAPSNMNTLDSVLSQLSVPASVPGQISGPSTIVGGQIPAPVVNMSVAPLGGNPNVAFTGQIPFGAGAPAVAPVSNFSTLPPAGALAAAPGLTPIMPVSSGSSQVSVNNAGLWPNVQHQQTSFFSAAGSQSTAQQFMPPVDGASTSQPWNFAPSQHMQGHLSAPAAQTPQAVSQSVLDVTSTGASQPPSIETKASGRKELPVDLFTATYPTYPAPAAGWQTGPPRGMGFIMQYSTAVPMSAFPQSSKSINPFDLGGEAPPVQNQTFPSMASLQGALPNVPPASGLVRASSLGTPSSAWMPAQALPYASGMPSQSLPYASAVPQRAYTGAQLPSNLPPSSHQIGIGSEASFGFVNADQQVAGRFSAPTTPQPFSSVGGNPFG >EOY33828 pep chromosome:Theobroma_cacao_20110822:9:39112196:39112861:1 gene:TCM_041690 transcript:EOY33828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCFDALGDEGEAYFTFAVETTALLSSKMKEAPSVEKLASVEGDKMCAHIGCGALGSKILLLGGMKHTQGGDASFRRYSFDDLNDGFSRLIHKTPPHALLCSIYSEVI >EOY29361 pep chromosome:Theobroma_cacao_20110822:9:893310:896220:-1 gene:TCM_036922 transcript:EOY29361 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MLGTIPPLVAMLDFEDSDSQIAALYALLNLGIGNDANKAAIVKAGAVHKMLKLIESPNAPSQAVSDAIVANFLGLSALDSNKPIIGSSGAISFLVNALKILDKSSSQPRQDALRALYNLSISPSNIPFILEADLIPFLLNGLGDMEVSERILSILSNLVSTPEGRKGISIAAEAFPILVDVLNWTDSPGCQEKASYILMVMAHKSYGDRQAMIEAGIVSSLLELTLLGSTLSQKRASRILEVLRVDKGKQVSENFGGNMSAAVSAPICGSSSSSTNPNGKECLVEEEGMMSEEKKAVKQLVQQSLQNNMRRIVNRANLPQDFVPSEHFKSLTSSSTSKSLPF >EOY29362 pep chromosome:Theobroma_cacao_20110822:9:893612:897151:-1 gene:TCM_036922 transcript:EOY29362 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MAKCHRNDIGSIFLDRPSTTTATGNHFRLWTAFSGAAFRRKIFDAVSCGASSRHRHQLMQEVLNDTVPPQPPPPTTTIKSVLKESNEPKKPDRVLKRPNNNGKSEKLADLLNLAEAETDAETKKKVEALEELKRVVKELQVEEEGKKTKAASRVRLLTKEDSEARVTLAMLGTIPPLVAMLDFEDSDSQIAALYALLNLGIGNDANKAAIVKAGAVHKMLKLIESPNAPSQAVSDAIVANFLGLSALDSNKPIIGSSGAISFLVNALKILDKSSSQPRQDALRALYNLSISPSNIPFILEADLIPFLLNGLGDMEVSERILSILSNLVSTPEGRKGISIAAEAFPILVDVLNWTDSPGCQEKASYILMVMAHKSYGDRQAMIEAGIVSSLLELTLLGSTLSQKRASRILEVLRVDKGKQVSENFGGNMSAAVSAPICGSSSSSTNPNGKECLVEEEGMMSEEKKAVKQLVQQSLQNNMRRIVNRANLPQDFVPSEHFKSLTSSSTSKSLPF >EOY29360 pep chromosome:Theobroma_cacao_20110822:9:893310:896590:-1 gene:TCM_036922 transcript:EOY29360 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MAKCHRNDIGSIFLDRPSTTTATGNHFRLWTAFSGAAFRRKIFDAVSCGASSRHRHQLMQEVLNDTVPPQPPPPTTTIKSVLKESNEPKKPDRVLKRPNNNGKSEKLADLLNLAEAETDAETKKKVEALEELKRVVKELQVEEEGKKTKAASRVRLLTKEDSEARVTLAMLGTIPPLVAMLDFEDSDSQIAALYALLNLGIGNDANKAAIVKAGAVHKMLKLIESPNAPSQAVSDAIVANFLGLSALDSNKPIIGSSGAISFLVNALKILDKSSSQPRQDALRALYNLSISPSNIPFILEADLIPFLLNGLGDMEVSERILSILSNLVSTPEGRKGISIAAEAFPILVDVLNWTDSPGCQEKASYILMVMAHKSYGDRQAMIEAGIVSSLLELTLLGSTLSQKRASRILEVLRVDKGKQVSENFGGNMSAAVSAPICGSSSSSTNPNGKECLVEEEGMMSEEKKAVKQLVQQSLQNNMRRIVNRANLPQDFVPSEHFKSLTSSSTSKSLPF >EOY32074 pep chromosome:Theobroma_cacao_20110822:9:20341398:20341928:1 gene:TCM_039540 transcript:EOY32074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKFSFKLIFLAALLVFALGLQVGVEARNINTPCNTVKDCADPIKCECRINLCFCHPAMPDFITNTANKNIQV >EOY32299 pep chromosome:Theobroma_cacao_20110822:9:26270382:26275056:-1 gene:TCM_040036 transcript:EOY32299 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 7 isoform 1 MEEQFILRVPPSIAERIDRLLSENASSSEDKSLDLVFSEDGRTGTFVIGNDRFPASLLDLPSVVESYKTYDDSALVKTADVGQMIMVREPGDASPDVVEYRHGLTPPMRDARKRRFRREPDLNPELVQRVEKDLVNIMSGGTVESLDAEGNGQGEAVDEDARNANKKAPTAPATKPEVPGTGGNAGEAYGSDSDDSDDSM >EOY32300 pep chromosome:Theobroma_cacao_20110822:9:26270356:26275024:-1 gene:TCM_040036 transcript:EOY32300 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 7 isoform 1 MEEQFILRVPPSIAERIDRLLSENASSSEDKSLDLVFSEDGRTGTFVIGNDRFPASLLDLPSVVESYKTYDDSALVKTADVGQMIMVREPGDASPDVVEYRHGLTPPMRDARKRRFRREPDLNPELVQRVEKDLVNIMSGGTVESLDAEGNGQGEAVDEDARNANKKAPTAPATKPEVPGTGGNAGEAYGSDSDDSDDSM >EOY32301 pep chromosome:Theobroma_cacao_20110822:9:26270296:26275056:-1 gene:TCM_040036 transcript:EOY32301 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 7 isoform 1 MEEQFILRVPPSIAERIDRLLSENASSSEDKSLDLVFSEDGRTGTFVIGNDRFPASLLDLPSVVESYKTYDDSALVKTADVGQMIMVREPGDASPDVVEYRHGLTPPMRDARKRRFRREPDLNPELVQRVEKDLVNIMSGGTVESLDAEGNGQGEAVDEDARNANKKAPTAPATKPEVPGTGGNAGEAYGSDSDDSDDSM >EOY32302 pep chromosome:Theobroma_cacao_20110822:9:26270296:26274923:-1 gene:TCM_040036 transcript:EOY32302 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 7 isoform 1 MEEQFILRVPPSIAERIDRLLSENASSSEDKSLDLVFSEDGRTGTFVIGNDRFPASLLDLPSVVESYKTYDDSALVKTADVGQMIMVREPGDASPDVVEYRHGLTPPMRDARKRRFRREPDLNPELVQRVEKDLVNIMSGGTVESLDMLKAMGKEKLLMKMHAMQIRKLQLHLLQSLRFQVQEAMLGRPTEVTLMTLTIRCEFKFHAWLL >EOY32298 pep chromosome:Theobroma_cacao_20110822:9:26270422:26275066:-1 gene:TCM_040036 transcript:EOY32298 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 7 isoform 1 MEEQFILRVPPSIAERIDRLLSENASSSEDKSLDLVFSEDGRTGTFVIGNDRFPASLLDLPSVVESYKTYDDSALVKTADVGQMIMVREPGDASPDVVEYRHGLTPPMRDARKRRFRREPDLNPELVQRVEKDLVNIMSGGTVESLDAEGNGQGEAVDEDARNANKKAPTAPATKPEVPGTGGNAGEAYGSDSDDSDDSM >EOY30209 pep chromosome:Theobroma_cacao_20110822:9:3794863:3799624:-1 gene:TCM_037492 transcript:EOY30209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligouridylate binding protein 1B isoform 2 MQQQRLKQQAMMQQSLYHHPGLLTGHQIEPILSGNLPPGFDSTTCRSVYVGNIHPQVTEPLLQEVFLSTGPIEGCKLIKKDKSSYGFVDYFDRRSAALAIVTLNGRHLFGQPIKVNWAYASSQREDTSGHHNIFVGDLSPEVTDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLNGKWLGSRQIRCNWAAKGATSNEDKPSSDAKSIVELTNGPSEEGQEKPNDDAPENNPQYTTVYVGNLAPEVTSVDLHRHFHVLGAGTIEDVRLQRDKGFGFVRYSSHAEAALAIQVGNARILCGKPIKCSWGSKPTPPGTSSVPLPPPAAAHMPGFSAADLAAYERQMALSKYGGAQAMGMMHPQSQHVLKQAALGMGTAGASQAIYDGGFQNVATTQQLMYYQ >EOY30210 pep chromosome:Theobroma_cacao_20110822:9:3794759:3799453:-1 gene:TCM_037492 transcript:EOY30210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligouridylate binding protein 1B isoform 2 MQQQRLKQQAMMQQSLYHHPGLLTGHQIEPILSGNLPPGFDSTTCRSVYVGNIHPQVTEPLLQEVFLSTGPIEGCKLIKKDKSSYGFVDYFDRRSAALAIVTLNGRHLFGQPIKVNWAYASSQREDTSGHHNIFVGDLSPEVTDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRNQQQDAQSAINDLNGKWLGSRQIRCNWAAKGATSNEDKPSSDAKSIVELTNGPSEEGQEKPNDDAPENNPQYTTVYVGNLAPEVTSVDLHRHFHVLGAGTIEDVRLQRDKGFGFVRYSSHAEAALAIQVGNARILCGKPIKCSWGSKPTPPGTSSVPLPPPAAAHMPGFSAADLAAYERQMALSKYGGAQAMGMMHPQSQHVLKQAALGMGTAGASQAIYDGGFQNVATTQQLMYYQ >EOY29375 pep chromosome:Theobroma_cacao_20110822:9:963823:965360:-1 gene:TCM_036932 transcript:EOY29375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLQRHHRHHSSSFPSCFRPSTAADNNHIPPPPPPPPPPQTSGKTNLATTLYQTNLGLFSLTWSRTFLGHSLHLHLHPSSHYSFSSPLSLPNSLSFSTLHFHLHIKPFIFWKKQGYKKLSSATVPNVQVFWDLSRAKFGSGPEPDSGFYIAVVVDGEMTLLVGDSTKAAYARTRAQKPRRSQALVLRREHVFGSKVYNTKARFGGKSREISIDCRVNEDAKLCFSVDNKRVLQIKRLKWKFRGNERIEVDGVSIQVSWDVYNWLFDQDLNNGHAVFVFKFENEGSEILEEEHQQADAEVVSPFNEKNEVVLWQQNSCSLPLNGIEWKKMRKSLLRTARSSSSSSISMSSASSGGSSSVMEWASVEETELSAPTGFSLLVYAWKK >EOY34072 pep chromosome:Theobroma_cacao_20110822:9:39861185:39863972:-1 gene:TCM_041863 transcript:EOY34072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKKAGGLYINPKKFGTLSKPCMKEMISFLNCLSLNKNNDNKCVRQKDLLSACMDAQSNRNRKPWGSINYHLQRLNRGRK >EOY33712 pep chromosome:Theobroma_cacao_20110822:9:38752666:38756960:-1 gene:TCM_041614 transcript:EOY33712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase C 2, putative MLKQNFKVCLCWRRIFKTRVVEPPLDVKNVFYRYSPGGMMTIDELLRFLIEHQGEKNATKDDAQAIFDSLKHLNIFHRRGLHLEAFFRYLLGDHNLVHPPSQKVHHDMDAPLAHYFLFTGHNSYLTGNQLSSPSSVEPIKDALLKGVRAIELDLWPNSKGTDAEVRHGGTLTPPVDLQKCLQAIKENAFTNSEYPVVITFEDHLNSNLQIKVAKMVKETFGEMLYCTKSENLEEFPSPEFLKKKVLISTKPPKEYLEGQTIEEKKRKKSKSRAGEDVSENESATARNERQNSRQNPPDEAEHVPDEDEEKAVNQYRELIAIHAGKLKGGLENWLSDDPKKVRRLSLSEQELENATKTHGTKIVRFTQRNLLRVYPKGIRLDSSNYNPFVGWMHGAQMVAFNMQGYGKHLWVMKGMFKANGGCGYVKKPDFLLHRGPNDEVFNPNEELSVKTVMTVKVFLGEGWHQDFHHTAFDRYSPPDFYARIGIAGIPADRSLKETEIIEDEWLPVWNEEFEFLLRVPELAVLRIEVLEYDTTGRPDFGGQTCLPVSELRTGIRTVPLHNKKGDKYKHVRLLLSIKFGLPYDF >EOY33685 pep chromosome:Theobroma_cacao_20110822:9:38590417:38597956:1 gene:TCM_041589 transcript:EOY33685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDSPNPPLMRVLTRPPPSPVPASSSDPTPPLPPSSPSLPRSLDGVVVVGFISRRPDDSSQLINRVVDSNVFGSGKMNRVLSPDKDELKDWFKYRRISYYHEEDKGILFLQFCSNGCPVFNGSLASGSDFDGVLEEREFGDLQGLLFMFSVCHIIIYIQEGSRFDTQNLKKFRVLQAAKHALTPYVKSRTTPPLPSRPHSSSTSRPSTIATTASTSPGRSGGMLGRNASAISLMSGLGSYTSLFPGQCTPVTLFVFIDDFSDVLNSTPNIEESVETSSINHASNSSSLARPTLPMKGSASVVVLARPVSKSEGVFRKKLQSSLEAQIRFLIKKCRTLSGSEGSHSGSRSAGVSNSAPLFSLDASRAVVLLDKSTNQRGESLEFATGLVEDVLNGKATSDSFLLETHSQSANKEDLSSLKDFIYRQSDILRGRGGLVANTNSGPTAGVGMVAVAAAAAAASAASGKALTMPELPSLDIWLSSSQLILNGLLSAKRGCINETEIGKRKPRRNAISGLTEGFASRSSESLDIAVSWLESGKGLNTKFSSLWCERVLPAAKDIYLKDLPACYPTSQHEAHLEKALHAFHSMVRGPAVELFAKKLEEECTSMWKSGRQLCDAVSLTGKPCMHQRHDVETGELPSGTLMKPHSSGYVFLHACACGRTRRLRSDPFDFESANITSNCFPDCDKLLSTLQLPEVSSKGPIQPSSWSLIRIGSARYYEPSKGLLQSGFSTTEKFLLKWKIFLGKREAQNVVSARTVQLGSMGSSSTDPKAELSADVEFKKASATEFCSGAIESAVENTRKPLEMSKFNGNKISFGRGLPNFTMKKPFSEVVAGSAATDSGFPPLQQRKQPSSGSEKGMKKNKASDQSLEGVHATVDPGSQKPIQISSVQQSLNQVSSDCSTDSDPFLRIGSNVVPVNVSNDEKSKLNPDIKHVMAYVGFEHECPCGHRFLLNPEHLNQLGSPYSLFDESQIACSVETSDYTLADSSKVGKNGGQGKVHRNSNGTINVAAPVNKMKSKDKGKQVVANGDVFKDGSAQLSMPENQTFVSVAGVPVTVKDLETGLHSVSLDDGGSAFSMLNRDLPIYMNCPHCRSARNKKDQPKVKFASSISQLQRIFLVTPPFPVVLATCPVIQFEASCLPSSVPDREQKLQFSLGCKVILPPGSFLVLRLPFVYGVQLEDKSVHSLNPFEDKPEVTGWISRDTTLQLMSKGSGLNEGIYK >EOY33687 pep chromosome:Theobroma_cacao_20110822:9:38590417:38597954:1 gene:TCM_041589 transcript:EOY33687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MQVCHIIIYIQEGSRFDTQNLKKFRVLQAAKHALTPYVKSRTTPPLPSRPHSSSTSRPSTIATTASTSPGRSGGMLGRNASAISLMSGLGSYTSLFPGQCTPVTLFVFIDDFSDVLNSTPNIEESVETSSINHASNSSSLARPTLPMKGSASVVVLARPVSKSEGVFRKKLQSSLEAQIRFLIKKCRTLSGSEGSHSGSRSAGVSNSAPLFSLDASRAVVLLDKSTNQRGESLEFATGLVEDVLNGKATSDSFLLETHSQSANKEDLSSLKDFIYRQSDILRGRGGLVANTNSGPTAGVGMVAVAAAAAAASAASGKALTMPELPSLDIWLSSSQLILNGLLSAKRGCINETEIGKRKPRRNAISGLTEGFASRSSESLDIAVSWLESGKGLNTKFSSLWCERVLPAAKDIYLKDLPACYPTSQHEAHLEKALHAFHSMVRGPAVELFAKKLEEECTSMWKSGRQLCDAVSLTGKPCMHQRHDVETGELPSGTLMKPHSSGYVFLHACACGRTRRLRSDPFDFESANITSNCFPDCDKLLSTLQLPEVSSKGPIQPSSWSLIRIGSARYYEPSKGLLQSGFSTTEKFLLKWKIFLGKREAQNVVSARTVQLGSMGSSSTDPKAELSADVEFKKASATEFCSGAIESAVENTRKPLEMSKFNGNKISFGRGLPNFTMKKPFSEVVAGSAATDSGFPPLQQRKQPSSGSEKGMKKNKASDQSLEGVHATVDPGSQKPIQISSVQQSLNQVSSDCSTDSDPFLRIGSNVVPVNVSNDEKSKLNPDIKHVMAYVGFEHECPCGHRFLLNPEHLNQLGSPYSLFDESQIACSVETSDYTLADSSKVGKNGGQGKVHRNSNGTINVAAPVNKMKSKDKGKQVVANGDVFKDGSAQLSMPENQTFVSVAGVPVTVKDLETGLHSVSLDDGGSAFSMLNRDLPIYMNCPHCRSARNKKDQPKVKFASSISQLQRIFLVTPPFPVVLATCPVIQFEASCLPSSVPDREQKLQFSLGCKVILPPGSFLVLRLPFVYGVQLEDKSVHSLNPFEDKPEVTGWISRDTTLQLMSKGSGLNEGIYK >EOY33686 pep chromosome:Theobroma_cacao_20110822:9:38590213:38597851:1 gene:TCM_041589 transcript:EOY33686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDSPNPPLMRVLTRPPPSPVPASSSDPTPPLPPSSPSLPRSLDGVVVVGFISRRPDDSSQLINRVVDSNVFGSGKMNRVLSPDKDELKDWFKYRRISYYHEEDKGILFLQFCSNGCPVFNGSLASGSDFDGVLEEREFGDLQGLLFMFSVCHIIIYIQEGSRFDTQNLKKFRVLQAAKHALTPYVKSRTTPPLPSRPHSSSTSRPSTIATTASTSPGRSGGMLGRNASAISLMSGLGSYTSLFPGQCTPVTLFVFIDDFSDVLNSTPNIEESVETSSINHASNSSSLARPTLPMKGSASVVVLARPVSKSEGVFRKKLQSSLEAQIRFLIKKCRTLSGSEGSHSGSRSAGVSNSAPLFSLDASRAVVLLDKSTNQRGESLEFATGLVEDVLNGKATSDSFLLETHSQSANKEDLSSLKDFIYRQSDILRGRGGLVANTNSGPTAGVGMVAVAAAAAAASAASGKALTMPELPSLDIWLSSSQLILNGLLSAKRGCINETEIGKRKPRRNAISGLTEGFASRSSESLDIAVSWLESGKGLNTKFSSLWCERVLPAAKDIYLKDLPACYPTSQHEAHLEKALHAFHSMVRGPAVELFAKKLEEECTSMWKSGRQLCDAVSLTGKPCMHQRHDVETGELPSGTLMKPHSSGYVFLHACACGRTRRLRSDPFDFESANITSNCFPDCDKLLSTLQLPEVSSKGPIQPSSWSLIRIGSARYYEPSKGLLQSGFSTTEKFLLKWKIFLGKREAQNVVSARTVQLGSMGSSSTDPKAELSADVEFKKASATEFCSGAIESAVENTRKPLEMSKFNGNKISFGRGLPNFTMKKPFSEVVAGSAATDSGFPPLQQRKQPSSGSEKGMKKNKASDQSLEGVHATVDPGSQKPIQISSVQQSLNQVSSDCSTDSDPFLRIGSNVVPVNVSNDEKSKLNPDIKHVMAYVGFEHECPCGHRFLLNPEHLNQLGSPYSLFDESQIACSVETSDYTLADSSKVGKNGGQGKVHRNSNGTINVAAPVNKMKSKDKGKQVVANGDVFKDGSAQLSMPENQTFVSVAGVPVTVKDLETGLHSVSLDDGGSAFSMLNRDLPIYMNCPHCRSARNKKDQPKVKFASSISQLQRIFLVTPPFPVVLATCPVIQFEASCLPSSVPDREQKLQFSLGCKVILPPGSFLVLRLPFVYGVQLEDKSVHSLNPFEDKPEVTGWISRDTTLQLMSKGSGLNEGIYK >EOY33782 pep chromosome:Theobroma_cacao_20110822:9:38984067:38989603:-1 gene:TCM_041663 transcript:EOY33782 gene_biotype:protein_coding transcript_biotype:protein_coding description:ThiaminC isoform 1 MVSVHASFTSVVCKNGNYSAPTRFPSTTFLPGFDVVGRISSACKKEIFNPTMNSGPRATLTFDPTTTNSERSKQRKHTIDPASPDFMPLPSFEECFPKSSKECREVIHEQSGHALKVPFRRIHLTGDDPNFDTYDTSGPQNINPRIGLPKLRKEWVDRREKLGAPRYTQMYYAKQGIITEEMLFCATREKLDPEFVRSEVARGRAIIPSNKKHSELEPMIVGRNFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSPEEAVQRGMDAMSAEFLAAKKTVSGEQHGEVGGEIYLPASYISSSER >EOY33781 pep chromosome:Theobroma_cacao_20110822:9:38985264:38987993:-1 gene:TCM_041663 transcript:EOY33781 gene_biotype:protein_coding transcript_biotype:protein_coding description:ThiaminC isoform 1 MVSVHASFTSVVCKNGNYSAPTRFPSTTFLPGFDVVGRISSACKKEIFNPTMNSGPRATLTFDPTTTNSERSKQRKHTIDPASPDFMPLPSFEECFPKSSKECREVIHEQSGHALKVPFRRIHLTGDDPNFDTYDTSGPQNINPRIGLPKLRKEWVDRREKLGAPRYTQMYYAKQGIITEEMLFCATREKLDPEFVRSEVARGRAIIPSNKKHSELEPMIVGRNFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSPEEAVQRGMDAMSAEFLAAKKTVSGEQHGEVGGEIYLPASYISSSER >EOY33784 pep chromosome:Theobroma_cacao_20110822:9:38983855:38989603:-1 gene:TCM_041663 transcript:EOY33784 gene_biotype:protein_coding transcript_biotype:protein_coding description:ThiaminC isoform 1 MVSVHASFTSVVCKNGNYSAPTRFPSTTFLPGFDVVGRISSACKKEIFNPTMNSGPRATLTFDPTTTNSERSKQRKHTIDPASPDFMPLPSFEECFPKSSKECREVIHEQSGHALKVPFRRIHLTGDDPNFDTYDTSGPQNINPRIGLPKLRKEWVDRREKLGAPRYTQMYYAKQGIITEEMLFCATREKLDPEFVRSEVARGRAIIPSNKKHSELEPMIVGRNFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSPEEAVQRGMDAMSAEFLAAKKTVSGEQHGEVGGEIYLPASYISSSERVT >EOY33786 pep chromosome:Theobroma_cacao_20110822:9:38983938:38989603:-1 gene:TCM_041663 transcript:EOY33786 gene_biotype:protein_coding transcript_biotype:protein_coding description:ThiaminC isoform 1 MVSVHASFTSVVCKNGNYSAPTRFPSTTFLPGFDVVGRISSACKKEIFNPTMNSGPRATLTFDPTTTNSERSKQRKHTIDPASPDFMPLPSFEECFPKSSKECREVIHEQSGHALKVPFRRIHLTGDDPNFDTYDTSGPQNINPRIGLPKLRKEWVDRREKLGAPRYTQMYYAKQGIITEEMLFCATREKLDPEFVRSEVARGRAIIPSNKKHSELEPMIVGRNFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSPEEAVQRGMDAMSAEFLAAKKTVSGEQHGEVGGEIYLPASYISSSER >EOY33785 pep chromosome:Theobroma_cacao_20110822:9:38984722:38989603:-1 gene:TCM_041663 transcript:EOY33785 gene_biotype:protein_coding transcript_biotype:protein_coding description:ThiaminC isoform 1 MVSVHASFTSVVCKNGNYSAPTRFPSTTFLPGFDVVGRISSACKKEIFNPTMNSGPRATLTFDPTTTNSERSKQRKHTIDPASPDFMPLPSFEECFPKSSKECREVIHEQSGHALKVPFRRIHLTGDDPNFDTYDTSGPQNINPRIGLPKLRKEWVDRREKLGAPRYTQMYYAKQGIITEEMLFCATREKLDPEFVRSEVARGRAIIPSNKKHSELEPMIVGRNFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSPEEAVQRGMDAMSAEFLAAKKTVSGEQHGEVGGEIYLPASYISSSER >EOY33783 pep chromosome:Theobroma_cacao_20110822:9:38983855:38989603:-1 gene:TCM_041663 transcript:EOY33783 gene_biotype:protein_coding transcript_biotype:protein_coding description:ThiaminC isoform 1 MVSVHASFTSVVCKNGNYSAPTRFPSTTFLPGFDVVGRISSACKKEIFNPTMNSGPRATLTFDPTTTNSERSKQRKHTIDPASPDFMPLPSFEECFPKSSKECREVIHEQSGHALKVPFRRIHLTGDDPNFDTYDTSGPQNINPRIGLPKLRKEWVDRREKLGAPRYTQMYYAKQGIITEEMLFCATREKLDPEFVRSEVARGRAIIPSNKKHSELEPMIVGRNFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSPEEAVQRGMDAMSAEFLAAKKTVSGEQHGEVGGEIYLPASYISSSER >EOY29437 pep chromosome:Theobroma_cacao_20110822:9:1186438:1188936:-1 gene:TCM_047083 transcript:EOY29437 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like superfamily protein MMKVCWPYFDPEFDNLPERIYGPPCRVCIDNESMEDCTVIKVDSVNKQGILLEVVQVLTNMNLTILKSYISSDAGWFMDVFHVKDEHGNKLKDQNVINYIQQALGTVRESENTVKAKACSNDVFNSEQPSEHTAIEMSGTDRPGLFSEISAALADLHCNVVEAHAWSHNARLACVAYISDQSTDTPIYDPHRLATIEDHLTTVLRATTTPIQSGFDTTSPQEVKTAEFVEGTNMADVERRLHQLMLSVGDFDGPPFEPMSTSPPPPGSDGDEEGRKTVVSIENCHEKWYSIVSIECKDRPRLMFDTVCTLIDMEYVIFHAAITSREGRSFQEYFIRHVDGYALSTEGEKDRVIKCLEAAIERRVCEGVRVELSAENRVGLLSDITRLLRENGLTVVRADVETQGEKAVNAFYVRDISGNEVDVDMDFVESVKKEMDVIDLEVKNDTIRRPRASSSSSPRPSPGLFSLGDVLKSQIERFSHNFIPIK >EOY29269 pep chromosome:Theobroma_cacao_20110822:9:601997:606832:-1 gene:TCM_036863 transcript:EOY29269 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPW1-1-interacting 2 MGYLNSVLQSSTQVHAEDGPVSGGGLSLHLFLNNGMFRKMTKSKCPMFSGNSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKQNLFSNLIRHPKFISDTKSAIADAYNHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKIDSSLEFLILASDGLWDVVSNEEAVAMIKPIQDPEQAAKRLMQEAYQRGSADNITCVVVRFLANQGGSSRSLPA >EOY31772 pep chromosome:Theobroma_cacao_20110822:9:13849869:13857188:-1 gene:TCM_038900 transcript:EOY31772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, putative isoform 5 MCNKGIVDHQQQHNNQAVYLMDSPSSTPASAPASTPSSNDETPRVKFLCSFLGSILPRPQDGKLRYVGGETRIVSVPRDISYEELMTKMRELYDGAAVLKYQQPDEDLDALVSVVNDDDVINMMEEYEKLDSGDGFTRLRIFLFSHPDQDGSSHYVDGDERETERRYVDALNSLNEGSDFRKCDSPVMAPVADDIHLAAEQFFNSMSIDGGLHSQRSGEMSTPPYNLHHLTIPQVGSGQLQQPVPQRYNEMEGPWSPAYYSPRHHGHHDPRMLSEFPPSPSSARYRVPFPELPDKCLDRMPEEYVRQQLNHHPQYEHQPQFSDNVVWMPPGAISGDKAGGFPGNILHGHGVYEGNHICEHCRATFSRNQPPHLEHPNMGNGVPQVNNPCAECPPNREAFLLNADGKLHHGFYSKDQSDPRSAYGETNSHERGWVLQHQLNPRVEEARNHVPGAGRLNDHYVVDGPGMSLPLGHASLADGHHLPSNYVHHRAGPELGNEVFHDQAVVASSHLHIPPEERGVRYGNYPYPYGGDNVYPASHGHVHTQSLWRNVQNPTHGAPAYEASGLPQQVNGTVNSAFVKGPVEATARLCLGTDSQNPWVESSPKMLGFDGTAVSDNAYAHPLKINAGPHGQETRHSVTIEPVRSPQDMLNLATSIEPVQSSDQSSTLIHDKSVSGNNPTSRDDSNATGALRIEEKIVPIEDKEANYAAEIEKSNVPSMCCPEQNKITENESKTPFLDSSISNCLKFAEKCGDQSQAGGKDPSAAENSKLSVNRLSFIPEFVASVKKAALEEVEEVKAKAEDGDSVKHDAVEKEAAANESESVVRLKKSRMPKASWNWILIMTT >EOY31767 pep chromosome:Theobroma_cacao_20110822:9:13848741:13857075:-1 gene:TCM_038900 transcript:EOY31767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, putative isoform 5 MCNKGIVDHQQQHNNQAVYLMDSPSSTPASAPASTPSSNDETPRVKFLCSFLGSILPRPQDGKLRYVGGETRIVSVPRDISYEELMTKMRELYDGAAVLKYQQPDEDLDALVSVVNDDDVINMMEEYEKLDSGDGFTRLRIFLFSHPDQDGSSHYVDGDERETERRYVDALNSLNEGSDFRKCDSPVMAPVADDIHLAAEQFFNSMSIDGGLHSQRSGEMSTPPYNLHHLTIPQVGSGQLQQPVPQRYNEMEGPWSPAYYSPRHHGHHDPRMLSEFPPSPSSARYRVPFPELPDKCLDRMPEEYVRQQLNHHPQYEHQPQFSDNVVWMPPGAISGDKAGGFPGNILHGHGVYEGNHICEHCRATFSRNQPPHLEHPNMGNGVPQVNNPCAECPPNREAFLLNADGKLHHGFYSKDQSDPRSAYGETNSHERGWVLQHQLNPRVEEARNHVPGAGRLNDHYVVDGPGMSLPLGHASLADGHHLPSNYVHHRAGPELGNEVFHDQAVVASSHLHIPPEERGVRYGNYPYPYGGDNVYPASHGHVHTQSLWRNVQNPTHGAPAYEASGLPQQVNGTVNSAFVKGPVEATARLCLGTDSQNPWVESSPKMLGFDGTAVSDNAYAHPLKINAGPHGQETRHSVTIEPVRSPQDMLNLATSIEPVQSSDQSSTLIHDKSVSGNNPTSRDDSNATGALRIEEKIVPIEDKEANYAAEIEKSNVPSMCCPEQNKITENESKTPFLDSSISNCLKFAEKCGDQSQAGGKDPSAAENSKLSVNRLSFIPEFVASVKKAALEEVEEVKAKAEDGDSVKHDAVEKEAAANESESVNAQGELELDSDNDNITPSKIEPTKAEAEAIARGLQTIKNDDLEEIRELGSGTYGAVYHGKWKGSDVAIKRIKASCFAGRPSERERLIADFWKEALILSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVRQHTLVSGGVRGTLPWMAPELLSGKSNMVTEKIDVYSFGIVMWELLTGEEPYADMHCASIIGGIVNNTLRPKIPSWCDPEWKALMEKCWASDPAERPSFSEISQKLRNMAAAINVK >EOY31771 pep chromosome:Theobroma_cacao_20110822:9:13848429:13857260:-1 gene:TCM_038900 transcript:EOY31771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, putative isoform 5 MCNKGIVDHQQQHNNQAVYLMDSPSSTPASAPASTPSSNDETPRVKFLCSFLGSILPRPQDGKLRYVGGETRIVSVPRDISYEELMTKMRELYDGAAVLKYQQPDEDLDALVSVVNDDDVINMMEEYEKLDSGDGFTRLRIFLFSHPDQDGSSHYVDGDERETERRYVDALNSLNEGSDFRKCDSPVMAPVADDIHLAAEQFFNSMSIDGGLHSQRSGEMSTPPYNLHHLTIPQVGSGQLQQPVPQRYNEMEGPWSPAYYSPRHHGHHDPRMLSEFPPSPSSARYRVPFPELPDKCLDRMPEEYVRQQLNHHPQYEHQPQFSDNVVWMPPGAISGDKAGGFPGNILHGHGVYEGNHICEHCRATFSRNQPPHLEHPNMGNGVPQVNNPCAECPPNREAFLLNADGKLHHGFYSKDQSDPRSAYGETNSHERGWVLQHQLNPRVEEARNHVPGAGRLNDHYVVDGPGMSLPLGHASLADGHHLPSNYVHHRAGPELGNEVFHDQAVVASSHLHIPPEERGVRYGNYPYPYGGDNVYPASHGHVHTQSLWRNVQNPTHGAPAYEASGLPQQVNGTVNSAFVKGPVEATARLCLGTDSQNPWVESSPKMLGFDGTAVSDNAYAHPLKINAGPHGQETRHSVTIEPVRSPQDMLNLATSIEPVQSSDQSSTLIHDKSVSGNNPTSRDDSNATGALRIEEKIVPIEDKEANYAAEIEKSNVPSMCCPEQNKITENESKTPFLDSSISNCLKFAEKCGDQSQAGGKDPSAAENSKLSVNRLSFIPEFVASVKKAALEEVEEVKAKAEDGDSVKHDAVEKEAAANESESVNAQGELELDSDNDNITPSKIEPTKAEAEAIARGLQTIKNDDLEEIRELGSGTYGAVYHGKWKGSDVAIKRIKASCFAGRPSERERLIADFWKEALILSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKGGIVNNTLRPKIPSWCDPEWKALMEKCWASDPAERPSFSEISQKLRNMAAAINVK >EOY31773 pep chromosome:Theobroma_cacao_20110822:9:13850793:13857188:-1 gene:TCM_038900 transcript:EOY31773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, putative isoform 5 MCNKGIVDHQQQHNNQAVYLMDSPSSTPASAPASTPSSNDETPRVKFLCSFLGSILPRPQDGKLRYVGGETRIVSVPRDISYEELMTKMRELYDGAAVLKYQQPDEDLDALVSVVNDDDVINMMEEYEKLDSGDGFTRLRIFLFSHPDQDGSSHYVDGDERETERRYVDALNSLNEGSDFRKCDSPVMAPVADDIHLAAEQFFNSMSIDGGLHSQRSGEMSTPPYNLHHLTIPQVGSGQLQQPVPQRYNEMEGPWSPAYYSPRHHGHHDPRMLSEFPPSPSSARYRVPFPELPDKCLDRMPEEYVRQQLNHHPQYEHQPQFSDNVVWMPPGAISGDKAGGFPGNILHGHGVYEGNHICEHCRATFSRNQPPHLEHPNMGNGVPQVNNPCAECPPNREAFLLNADGKLHHGFYSKDQSDPRSAYGETNSHERGWVLQHQLNPRVEEARNHVPGAGRLNDHYVVDGPGMSLPLGHASLADGHHLPSNYVHHRAGPELGNEVFHDQAVVASSHLHIPPEERGVRYGNYPYPYGGDNVYPASHGHVHTQSLWRNVQNPTHGAPAYEASGLPQQVNGTVNSAFVKGPVEATARLCLGTDSQNPWVESSPKMLGFDGTAVSDNAYAHPLKINAGPHGQETRHSVTIEPVRSPQDMLNLATSIEPVQSSDQSSTLIHDKSVSGNNPTSRDDSNATGALRIEEKIVPIEDKEANYAAEIEKSNVPSMCCPEQNKITENESKTPFLDSSISNCLKFAEKCGDQSQAGGKDPSAAENSKLSVNRLSFIPEFVASVKKAALEEVEEVKAKAEDGDSVKHDAVEKEAAANESESVNAQGELELDSDNDNITPSKIEPTKAEAEAIARGLQTIKNDDLEEIRELGSGTYGAVYHGKWKGSDVAIKRIKASCFAGRPSERERLIADFWKEALILSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVRQHTLVSGGVRGTLPWMAPELLSGKSNMVTEKIDVYSFGIVMWELLTGEEPYADMHCASIIGKCHKS >EOY31774 pep chromosome:Theobroma_cacao_20110822:9:13849869:13857188:-1 gene:TCM_038900 transcript:EOY31774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, putative isoform 5 MCNKGIVDHQQQHNNQAVYLMDSPSSTPASAPASTPSSNDETPRVKFLCSFLGSILPRPQDGKLRYVGGETRIVSVPRDISYEELMTKMRELYDGAAVLKYQQPDEDLDALVSVVNDDDVINMMEEYEKLDSGDGFTRLRIFLFSHPDQDGSSHYVDGDERETERRYVDALNSLNEGSDFRKCDSPVMAPVADDIHLAAEQFFNSMSIDGGLHSQRSGEMSTPPYNLHHLTIPQVGSGQLQQPVPQRYNEMEGPWSPAYYSPRHHGHHDPRMLSEFPPSPSSARYRVPFPELPDKCLDRMPEEYVRQQLNHHPQYEHQPQFSDNVVWMPPGAISGDKAGGFPGNILHGHGVYEGNHICEHCRATFSRNQPPHLEHPNMGNGVPQVNNPCAECPPNREAFLLNADGKLHHGFYSKDQSDPRSAYGETNSHERGWVLQHQLNPRVEEARNHVPGAGRLNDHYVVDGPGMSLPLGHASLADGHHLPSNYVHHRAGPELGNEVFHDQAVVASSHLHIPPEERGVRYGNYPYPYGGDNVYPASHGHVHTQSLWRNVQNPTHGAPAYEASGLPQQVNGTVNSAFVKGPVEATARLCLGTDSQNPWVESSPKMLGFDGTAVSDNAYAHPLKINAGPHGQETRHSVTIEPVRSPQDMLNLATSIEPVQSSDQSSTLIHDKSVSGNNPTSRDDSNATGALRIEEKIVPIEDKEANYAAEIEKSNVPSMCCPEQNKITENESKTPFLDSSISNCLKFAEKCGDQSQAGGKDPSAAENSKLSVNRLSFIPEFVASVKKAALEEVEEVKAKAEDGDSVKHDAVEKEAAANESESVNAQGELELDSDNDNITPSKIEPTKAEAEAIARGLQTIKNDDLEEIRELGSGTYGAVYHGKWKGSDVAIKRIKASCFAGRPSERERLVIISISLYFSFIPCF >EOY31766 pep chromosome:Theobroma_cacao_20110822:9:13848800:13857391:-1 gene:TCM_038900 transcript:EOY31766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, putative isoform 5 MCNKGIVDHQQQHNNQAVYLMDSPSSTPASAPASTPSSNDETPRVKFLCSFLGSILPRPQDGKLRYVGGETRIVSVPRDISYEELMTKMRELYDGAAVLKYQQPDEDLDALVSVVNDDDVINMMEEYEKLDSGDGFTRLRIFLFSHPDQDGSSHYVDGDERETERRYVDALNSLNEGSDFRKCDSPVMAPVADDIHLAAEQFFNSMSIDGGLHSQRSGEMSTPPYNLHHLTIPQVGSGQLQQPVPQRYNEMEGPWSPAYYSPRHHGHHDPRMLSEFPPSPSSARYRVPFPELPDKCLDRMPEEYVRQQLNHHPQYEHQPQFSDNVVWMPPGAISGDKAGGFPGNILHGHGVYEGNHICEHCRATFSRNQPPHLEHPNMGNGVPQVNNPCAECPPNREAFLLNADGKLHHGFYSKDQSDPRSAYGETNSHERGWVLQHQLNPRVEEARNHVPGAGRLNDHYVVDGPGMSLPLGHASLADGHHLPSNYVHHRAGPELGNEVFHDQAVVASSHLHIPPEERGVRYGNYPYPYGGDNVYPASHGHVHTQSLWRNVQNPTHGAPAYEASGLPQQVNGTVNSAFVKGPVEATARLCLGTDSQNPWVESSPKMLGFDGTAVSDNAYAHPLKINAGPHGQETRHSVTIEPVRSPQDMLNLATSIEPVQSSDQSSTLIHDKSVSGNNPTSRDDSNATGALRIEEKIVPIEDKEANYAAEIEKSNVPSMCCPEQNKITENESKTPFLDSSISNCLKFAEKCGDQSQAGGKDPSAAENSKLSVNRLSFIPEFVASVKKAALEEVEEVKAKAEDGDSVKHDAVEKEAAANESESVNAQGELELDSDNDNITPSKIEPTKAEAEAIARGLQTIKNDDLEEIRELGSGTYGAVYHGKWKGSDVAIKRIKASCFAGRPSERERLIADFWKEALILSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVRQHTLVSGGVRGTLPWMAPELLSGKSNMVTEKIDVYSFGIVMWELLTGEEPYADMHCASIIGGIVNNTLRPKIPSWCDPEWKALMEKCWASDPAERPSFSEISQKLRNMAAAINVK >EOY31770 pep chromosome:Theobroma_cacao_20110822:9:13849107:13857260:-1 gene:TCM_038900 transcript:EOY31770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, putative isoform 5 MCNKGIVDHQQQHNNQAVYLMDSPSSTPASAPASTPSSNDETPRVKFLCSFLGSILPRPQDGKLRYVGGETRIVSVPRDISYEELMTKMRELYDGAAVLKYQQPDEDLDALVSVVNDDDVINMMEEYEKLDSGDGFTRLRIFLFSHPDQDGSSHYVDGDERETERRYVDALNSLNEGSDFRKCDSPVMAPVADDIHLAAEQFFNSMSIDGGLHSQRSGEMSTPPYNLHHLTIPQVGSGQLQQPVPQRYNEMEGPWSPAYYSPRHHGHHDPRMLSEFPPSPSSARYRVPFPELPDKCLDRMPEEYVRQQLNHHPQYEHQPQFSDNVVWMPPGAISGDKAGGFPGNILHGHGVYEGNHICEHCRATFSRNQPPHLEHPNMGNGVPQVNNPCAECPPNREAFLLNADGKLHHGFYSKDQSDPRSAYGETNSHERGWVLQHQLNPRVEEARNHVPGAGRLNDHYVVDGPGMSLPLGHASLADGHHLPSNYVHHRAGPELGNEVFHDQAVVASSHLHIPPEERGVRYGNYPYPYGGDNVYPASHGHVHTQSLWRNVQNPTHGAPAYEASGLPQQVNGTVNSAFVKGPVEATARLCLGTDSQNPWVESSPKMLGFDGTAVSDNAYAHPLKINAGPHGQETRHSVTIEPVRSPQDMLNLATSIEPVQSSDQSSTLIHDKSVSGNNPTSRDDSNATGALRIEEKIVPIEDKEANYAAEIEKSNVPSMCCPEQNKITENESKTPFLDSSISNCLKFAEKCGDQSQAGGKDPSAAENSKLSVNRLSFIPEFVASVKKAALEEVEEVKAKAEDGDSVKHDAVEKEAAANESESVNAQGELELDSDNDNITPSKIEPTKAEAEAIARGLQTIKNDDLEEIRELGSGTYGAVYHGKWKGSDVAIKRIKASCFAGRPSERERLIADFWKEALILSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKGGIVNNTLRPKIPSWCDPEWKALMEKCWASDPAERPSFSEISQKLRNMAAAINVK >EOY31768 pep chromosome:Theobroma_cacao_20110822:9:13848787:13856262:-1 gene:TCM_038900 transcript:EOY31768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, putative isoform 5 MTKMRELYDGAAVLKYQQPDEDLDALVSVVNDDDVINMMEEYEKLDSGDGFTRLRIFLFSHPDQDGSSHYVDGDERETERRYVDALNSLNEGSDFRKCDSPVMAPVADDIHLAAEQFFNSMSIDGGLHSQRSGEMSTPPYNLHHLTIPQVGSGQLQQPVPQRYNEMEGPWSPAYYSPRHHGHHDPRMLSEFPPSPSSARYRVPFPELPDKCLDRMPEEYVRQQLNHHPQYEHQPQFSDNVVWMPPGAISGDKAGGFPGNILHGHGVYEGNHICEHCRATFSRNQPPHLEHPNMGNGVPQVNNPCAECPPNREAFLLNADGKLHHGFYSKDQSDPRSAYGETNSHERGWVLQHQLNPRVEEARNHVPGAGRLNDHYVVDGPGMSLPLGHASLADGHHLPSNYVHHRAGPELGNEVFHDQAVVASSHLHIPPEERGVRYGNYPYPYGGDNVYPASHGHVHTQSLWRNVQNPTHGAPAYEASGLPQQVNGTVNSAFVKGPVEATARLCLGTDSQNPWVESSPKMLGFDGTAVSDNAYAHPLKINAGPHGQETRHSVTIEPVRSPQDMLNLATSIEPVQSSDQSSTLIHDKSVSGNNPTSRDDSNATGALRIEEKIVPIEDKEANYAAEIEKSNVPSMCCPEQNKITENESKTPFLDSSISNCLKFAEKCGDQSQAGGKDPSAAENSKLSVNRLSFIPEFVASVKKAALEEVEEVKAKAEDGDSVKHDAVEKEAAANESESVNAQGELELDSDNDNITPSKIEPTKAEAEAIARGLQTIKNDDLEEIRELGSGTYGAVYHGKWKGSDVAIKRIKASCFAGRPSERERLIADFWKEALILSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVRQHTLVSGGVRGTLPWMAPELLSGKSNMVTEKIDVYSFGIVMWELLTGEEPYADMHCASIIGGIVNNTLRPKIPSWCDPEWKALMEKCWASDPAERPSFSEISQKLRNMAAAINVK >EOY31769 pep chromosome:Theobroma_cacao_20110822:9:13849869:13857188:-1 gene:TCM_038900 transcript:EOY31769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, putative isoform 5 MCNKGIVDHQQQHNNQAVYLMDSPSSTPASAPASTPSSNDETPRVKFLCSFLGSILPRPQDGKLRYVGGETRIVSVPRDISYEELMTKMRELYDGAAVLKYQQPDEDLDALVSVVNDDDVINMMEEYEKLDSGDGFTRLRIFLFSHPDQDGSSHYVDGDERETERRYVDALNSLNEGSDFRKCDSPVMAPVADDIHLAAEQFFNSMSIDGGLHSQRSGEMSTPPYNLHHLTIPQVGSGQLQQPVPQRYNEMEGPWSPAYYSPRHHGHHDPRMLSEFPPSPSSARYRVPFPELPDKCLDRMPEEYVRQQLNHHPQYEHQPQFSDNVVWMPPGAISGDKAGGFPGNILHGHGVYEGNHICEHCRATFSRNQPPHLEHPNMGNGVPQVNNPCAECPPNREAFLLNADGKLHHGFYSKDQSDPRSAYGETNSHERGWVLQHQLNPRVEEARNHVPGAGRLNDHYVVDGPGMSLPLGHASLADGHHLPSNYVHHRAGPELGNEVFHDQAVVASSHLHIPPEERGVRYGNYPYPYGGDNVYPASHGHVHTQSLWRNVQNPTHGAPAYEASGLPQQVNGTVNSAFVKGPVEATARLCLGTDSQNPWVESSPKMLGFDGTAVSDNAYAHPLKINAGPHGQETRHSVTIEPVRSPQDMLNLATSIEPVQSSDQSSTLIHDKSVSGNNPTSRDDSNATGALRIEEKIVPIEDKEANYAAEIEKSNVPSMCCPEQNKITENESKTPFLDSSISNCLKFAEKCGDQSQAGGKDPSAAENSKLSVNRLSFIPEFVASVKKAALEEVEEVKAKAEDGDSVKHDAVEKEAAANESESVNAQGELELDSDNDNITPSKIEPTKAEAEAIARGLQTIKNDDLEEIRELGSGTYGAVYHGKWKGSDVAIKRIKASCFAGRPSERERLIADFWKEALILSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVRQHTLVSGGVRGTLPWMAPELLSGKSNMVTEKIDVYSFGIVMWELLTGEEPYADMHCASIIVQEKEGKERREGKNNRKQKAERKRKKLEGKRLLSMSIYGKRFETMC >EOY32338 pep chromosome:Theobroma_cacao_20110822:9:27532762:27534166:-1 gene:TCM_040154 transcript:EOY32338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPYDPLLTQTDPSYKNFLFSLFSSHFLFLEPKLSPFSLPSRQPTPKQDSPCRSLPTAGHYPTPDLPPPVESFLFLSLYPS >EOY34400 pep chromosome:Theobroma_cacao_20110822:9:40925132:40928556:-1 gene:TCM_042083 transcript:EOY34400 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein isoform 1 MAAKPGSAPTTYSPSLTQKTRPPIFKGNDLDWVRPDGRGFHQCRPAFFRTGAVNSASGSAYAEFGNTKVIVSVFGPRESKKAMMYSDIGRLNCNVSYTTFATPVRGQGSDHKEFSSMLHKALEGAIMLETFPKTTVDVFALVLESGGSDLPVVISCASLALADAGIMMYDLVAAVSVSCLGKNLVIDPILEEESYQDGSLMLTCMPSRYEVTQLIFTGEWSTPDINEAMQLCLDACGKLGKVMRSCLKEATSASQE >EOY34401 pep chromosome:Theobroma_cacao_20110822:9:40924814:40927395:-1 gene:TCM_042083 transcript:EOY34401 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein isoform 1 FRTGAVNSASGSAYAEFGNTKVIVSVFGPRESKKAMMYSDIGRLNCNVSYTTFATPVRGQGSDHKEFSSMLHKALEGAIMLETFPKTTVDVFALVLESGGSDLPVVISCASLALADAGIMMYDLVAAVSVSCLGKNLVIDPILEEESYQDGSLMLTCMPSRYEVTQLIFTGEWSTPDINEL >EOY33077 pep chromosome:Theobroma_cacao_20110822:9:35337217:35349308:-1 gene:TCM_041076 transcript:EOY33077 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein with MIZ/SP-RING zinc finge isoform 1 MDLVASCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVERILGALSDEQVAKMWAKRTPVGKEDVAKLVDDIYRKMQVSGATELASKGQGVSDSSNVKVKGEIDDPFQSDMKVRCPCGSSLETENIIKCEGPRCQVWQHIRCVIIPEKTMEGNPPVPDLFYCEICRLSQADPFWITIAHPLYPLKLAVSNIPNDGTNPVLSAEKTFQITRADKDLLTKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGLPVRAINRPGSQLLGANGRDDGPIITPCTKDGINKITLTGCDARVFCFGVRIVKRRTVQQVLNMIPKETDGERFEDALARVCRCVGGGTATDNGDSDSDLEVVADFFGVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSKMRNCGEDITEIEVKPDGSWRAKAKSENERRELGDLAQWHSPDGTLCVPGSAEVKPRAETSKQIKLEGASDGHTGLKLGIKKNSDGLWEVSKPEDMNTSSDSRLQERFEHHEQKIIPMSSSATGSVKDGEDPSVNQDGGGTYDFTSNGIELDSMPLNIDSAYEFTDRNSSAPTGNAEVIVLSDSDEENDILISSATLYKDNQNDSSGLNFPVAPPGISHPYSEDPALGPAGNLGLFPTNDEFDMGLWSLPPGPPEGSGFQLFSTNADVSDALVDLQRNALNCPQSMNGYTLAPETTMGSANLVPGSSIGQTDTDINDRLVDNPLFGAEDPSLQIFLPTRPSDASAQSDLRDQADVSNGIRTDDWISLRLGDGATGGHGDSTTVNGLNLRQQIPSRERTMDSLDDTASLLLGMNDSRSEKSSRQRSESPFLFPRQKRSVRQRLYLSIDSDSE >EOY33075 pep chromosome:Theobroma_cacao_20110822:9:35337535:35349488:-1 gene:TCM_041076 transcript:EOY33075 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein with MIZ/SP-RING zinc finge isoform 1 MDLVASCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVERILGALSDEQVAKMWAKRTPVGKEDVAKLVDDIYRKMQVSGATELASKGQGVSDSSNVKVKGEIDDPFQSDMKVRCPCGSSLETENIIKCEGPRCQVWQHIRCVIIPEKTMEGNPPVPDLFYCEICRLSQADPFWITIAHPLYPLKLAVSNIPNDGTNPVLSAEKTFQITRADKDLLTKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGLPVRAINRPGSQLLGANGRDDGPIITPCTKDGINKITLTGCDARVFCFGVRIVKRRTVQQVLNMIPKETDGERFEDALARVCRCVGGGTATDNGDSDSDLEVVADFFGVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSKMRNCGEDITEIEVKPDGSWRAKAKSENERRELGDLAQWHSPDGTLCVPGSAEVKPRAETSKQIKLEGASDGHTGLKLGIKKNSDGLWEVSKPEDMNTSSDSRLQERFEHHEQKIIPMSSSATGSVKDGEDPSVNQDGGGTYDFTSNGIELDSMPLNIDSAYEFTDRNSSAPTGNAEVIVLSDSDEENDILISSATLYKDNQNDSSGLNFPVAPPGISHPYSEDPALGPAGNLGLFPTNDEFDMGLWSLPPGPPEGSGFQLFSTNADVSDALVDLQRNALNCPQSMNGYTLAPETTMGSANLVPGSSIGQTDTDINDRLVDNPLFGAEDPSLQIFLPTRPSDASAQSDLRDQADVSNGIRTDDWISLRLGDGATGGHGDSTTVNGLNLRQQIPSRERTMDSLDDTASLLLGMNDSRSEKSSRQRSESPFLFPRQKRSVRQRLYLSIDSDSE >EOY33078 pep chromosome:Theobroma_cacao_20110822:9:35339776:35348970:-1 gene:TCM_041076 transcript:EOY33078 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein with MIZ/SP-RING zinc finge isoform 1 MDLVASCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVERILGALSDEQVAKMWAKRTPVGKEDVAKLVDDIYRKMQVSGATELASKGQGVSDSSNVKVKGEIDDPFQSDMKVRCPCGSSLETENIIKCEGPRCQVWQHIRCVIIPEKTMEGNPPVPDLFYCEICRLSQADPFWITIAHPLYPLKLAVSNIPNDGTNPVLSAEKTFQITRADKDLLTKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGLPVRAINRPGSQLLGANGRDDGPIITPCTKDGINKITLTGCDARVFCFGVRIVKRRTVQQVLNMIPKETDGERFEDALARVCRCVGGGTATDNGDSDSDLEVVADFFGVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSKMRNCGEDITEIEVKPDGSWRAKAKSENERRELGDLAQWHSPDGTLCVPGSAEVKPRAETSKQIKLEGASDGHTGLKLGIKKNSDGLWEVSKPEDMNTSSDSRLQERFEHHEQKIIPMSSSATGSVKDGEDPSVNQDGGGTYDFTSNGIELDSMPLNIDSAYEFTDRNSSAPTGNAEVIVLSDSDEENDILISSATLYKDNQNDSSGLNFPVAPPGISHPYSEDPALGPAGNLGLFPTNDEFDMGLWSLPPGPPEGSGFQLFSTNADVSDALVDLQRNALNCPQSMNGYTLAPETTMGSANLVPGSSIGQTDTDINDRLVDNPLFGAEDPSLQIFLPTRPSDASAQSDLRDQADVSNGIRTDDWISLRLGDGATGGHGDSTTVNGLNLRQQIPSRERTMDSLDDTG >EOY33074 pep chromosome:Theobroma_cacao_20110822:9:35335699:35349182:-1 gene:TCM_041076 transcript:EOY33074 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein with MIZ/SP-RING zinc finge isoform 1 MDLVASCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVERILGALSDEQVAKMWAKRTPVGKEDVAKLVDDIYRKMQVSGATELASKGQGVSDSSNVKVKGEIDDPFQSDMKVRCPCGSSLETENIIKCEGPRCQVWQHIRCVIIPEKTMEGNPPVPDLFYCEICRLSQADPFWITIAHPLYPLKLAVSNIPNDGTNPVLSAEKTFQITRADKDLLTKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGLPVRAINRPGSQLLGANGRDDGPIITPCTKDGINKITLTGCDARVFCFGVRIVKRRTVQQVLNMIPKETDGERFEDALARVCRCVGGGTATDNGDSDSDLEVVADFFGVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSKMRNCGEDITEIEVKPDGSWRAKAKSENERRELGDLAQWHSPDGTLCVPGSAEVKPRAETSKQIKLEGASDGHTGLKLGIKKNSDGLWEVSKPEDMNTSSDSRLQERFEHHEQKIIPMSSSATGSVKDGEDPSVNQDGGGTYDFTSNGIELDSMPLNIDSAYEFTDRNSSAPTGNAEVIVLSDSDEENDILISSATLYKDNQNDSSGLNFPVAPPGISHPYSEDPALGPAGNLGLFPTNDEFDMGLWSLPPGPPEGSGFQLFSTNADVSDALVDLQRNALNCPQSMNGYTLAPETTMGSANLVPGSSIGQTDTDINDRLVDNPLFGAEDPSLQIFLPTRPSDASAQSDLRDQADVSNGIRTDDWISLRLGDGATGGHGDSTTVNGLNLRQQIPSRERTMDSLDDTASLLLGMNDSRSEKSSRQRSESPFLFPRQKRSVRQRLYLSIDSDSE >EOY33071 pep chromosome:Theobroma_cacao_20110822:9:35337535:35349488:-1 gene:TCM_041076 transcript:EOY33071 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein with MIZ/SP-RING zinc finge isoform 1 MDLVASCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVERILGALSDEQVAKMWAKRTPVGKEDVAKLVDDIYRKMQVSGATELASKGQGVSDSSNVKVKGEIDDPFQSDMKVRCPCGSSLETENIIKCEGPRCQVWQHIRCVIIPEKTMEGNPPVPDLFYCEICRLSQADPFWITIAHPLYPLKLAVSNIPNDGTNPVLSAEKTFQITRADKDLLTKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGLPVRAINRPGSQLLGANGRDDGPIITPCTKDGINKITLTGCDARVFCFGVRIVKRRTVQQVLNMIPKETDGERFEDALARVCRCVGGGTATDNGDSDSDLEVVADFFGVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSKMRNCGEDITEIEVKPDGSWRAKAKSENERRELGDLAQWHSPDGTLCVPGSAEVKPRAETSKQIKLEGASDGHTGLKLGIKKNSDGLWEVSKPEDMNTSSDSRLQERFEHHEQKIIPMSSSATGSVKDGEDPSVNQDGGGTYDFTSNGIELDSMPLNIDSAYEFTDRNSSAPTGNAEVIVLSDSDEENDILISSATLYKDNQNDSSGLNFPVAPPGISHPYSEDPALGPAGNLGLFPTNDEFDMGLWSLPPGPPEGSGFQLFSTNADVSDALVDLQRNALNCPQSMNGYTLAPETTMGSANLVPGSSIGQTDTDINDRLVDNPLFGAEDPSLQIFLPTRPSDASAQSDLRDQADVSNGIRTDDWISLRLGDGATGGHGDSTTVNGLNLRQQIPSRERTMDSLDDTASLLLGMNDSRSEKSSRQRSESPFLFPRQKRSVRQRLYLSIDSDSE >EOY33073 pep chromosome:Theobroma_cacao_20110822:9:35338680:35348970:-1 gene:TCM_041076 transcript:EOY33073 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein with MIZ/SP-RING zinc finge isoform 1 MDLVASCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVERILGALSDEQVAKMWAKRTPVGKEDVAKLVDDIYRKMQVSGATELASKGQGVSDSSNVKVKGEIDDPFQSDMKVRCPCGSSLETENIIKCEGPRCQVWQHIRCVIIPEKTMEGNPPVPDLFYCEICRLSQADPFWITIAHPLYPLKLAVSNIPNDGTNPVLSAEKTFQITRADKDLLTKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGLPVRAINRPGSQLLGANGRDDGPIITPCTKDGINKITLTGCDARVFCFGVRIVKRRTVQQVLNMIPKETDGERFEDALARVCRCVGGGTATDNGDSDSDLEVVADFFGVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSKMRNCGEDITEIEVKPDGSWRAKAKSENERRELGDLAQWHSPDGTLCVPGSAEVKPRAETSKQIKLEGASDGHTGLKLGIKKNSDGLWEVSKPEDMNTSSDSRLQERFEHHEQKIIPMSSSATGSVKDGEDPSVNQDGGGTYDFTSNGIELDSMPLNIDSAYEFTDRNSSAPTGNAEVIVLSDSDEENDILISSATLYKDNQNDSSGLNFPVAPPGISHPYSEDPALGPAGNLGLFPTNDEFDMGLWSLPPGPPEGSGFQLFSTNADVSDALVDLQRNALNCPQSMNGYTLAPETTMGSANLVPGSSIGQTDTDINDRLVDNPLFGAEDPSLQIFLPTRPSDASAQSDLRDQADVSNGIRTDDWISLRLGDGATGGHGDSTTVNGLNLRQQIPSRERTMDSLDDTASLLLGMNDSRSEKSSRQRSESPFLFPRQKRSVRQRLYLSIDSDSE >EOY33076 pep chromosome:Theobroma_cacao_20110822:9:35338790:35349488:-1 gene:TCM_041076 transcript:EOY33076 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein with MIZ/SP-RING zinc finge isoform 1 MDLVASCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVERILGALSDEQVAKMWAKRTPVGKEDVAKLVDDIYRKMQVSGATELASKGQGVSDSSNVKVKGEIDDPFQSDMKVRCPCGSSLETENIIKCEGPRCQVWQHIRCVIIPEKTMEGNPPVPDLFYCEICRLSQADPFWITIAHPLYPLKLAVSNIPNDGTNPVLSAEKTFQITRADKDLLTKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGLPVRAINRPGSQLLGANGRDDGPIITPCTKDGINKITLTGCDARVFCFGVRIVKRRTVQQVLNMIPKETDGERFEDALARVCRCVGGGTATDNGDSDSDLEVVADFFGVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSKMRNCGEDITEIEVKPDGSWRAKAKSENERRELGDLAQWHSPDGTLCVPGSAEVKPRAETSKQIKLEGASDGHTGLKLGIKKNSDGLWEVSKPEDMNTSSDSRLQERFEHHEQKIIPMSSSATGSVKDGEDPSVNQDGGGTYDFTSNGIELDSMPLNIDSAYEFTDRNSSAPTGNAEVIVLSDSDEENDILISSATLYKDNQNDSSGLNFPVAPPGISHPYSEDPALGPAGNLGLFPTNDEFDMGLWSLPPGPPEGSGFQLFSTNADVSDALVDLQRNALNCPQSMNGYTLAPETTMGSANLVPGSSIGQTDTDINDRLVDNPLFGAEDPSLQIFLPTRPSDASAQSDLRDQADVSNGIRTDDWISLRLGDGATGGHGDSTTVNGLNLRQQIPSRERTMDSLDDTD >EOY33079 pep chromosome:Theobroma_cacao_20110822:9:35338794:35349308:-1 gene:TCM_041076 transcript:EOY33079 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein with MIZ/SP-RING zinc finge isoform 1 MDLVASCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVERILGALSDEQVAKMWAKRTPVGKEDVAKLVDDIYRKMQVSGATELASKGQGVSDSSNVKVKGEIDDPFQSDMKVRCPCGSSLETENIIKCEGPRCQVWQHIRCVIIPEKTMEGNPPVPDLFYCEICRLSQADPFWITIAHPLYPLKLAVSNIPNDGTNPVLSAEKTFQITRADKDLLTKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGLPVRAINRPGSQLLGANGRDDGPIITPCTKDGINKITLTGCDARVFCFGVRIVKRRTVQQVLNMIPKETDGERFEDALARVCRCVGGGTATDNGDSDSDLEVVADFFGVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSKMRNCGEDITEIEVKPDGSWRAKAKSENERRELGDLAQWHSPDGTLCVPGSAEVKPRAETSKQIKLEGASDGHTGLKLGIKKNSDGLWEVSKPEDMNTSSDSRLQERFEHHEQKIIPMSSSATGSVKDGEDPSVNQDGGGTYDFTSNGIELDSMPLNIDSAYEFTDRNSSAPTGNAEVIVLSDSDEENDILISSATLYKDNQNDSSGLNFPVAPPGISHPYSEDPALGPAGNLGLFPTNDEFDMGLWSLPPGPPEGSGFQLFSTNADVSDALVDLQRNALNCPQSMNGYTLAPETTMGSANLVPGSSIGQTDTDINDRLVDNPLFGAEDPSLQIFLPTRPSDASAQSDLRDQADVSNGIRTDDWISLRLGDGATGGHGDSTTVNGLNLRQQIPSRERTMDSLDDTG >EOY33080 pep chromosome:Theobroma_cacao_20110822:9:35336130:35347888:-1 gene:TCM_041076 transcript:EOY33080 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein with MIZ/SP-RING zinc finge isoform 1 MWAKRTPVGKEDVAKLVDDIYRKMQVSGATELASKGQGVSDSSNVKVKGEIDDPFQSDMKVRCPCGSSLETENIIKCEGPRCQVWQHIRCVIIPEKTMEGNPPVPDLFYCEICRLSQADPFWITIAHPLYPLKLAVSNIPNDGTNPVLSAEKTFQITRADKDLLTKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGLPVRAINRPGSQLLGANGRDDGPIITPCTKDGINKITLTGCDARVFCFGVRIVKRRTVQQVLNMIPKETDGERFEDALARVCRCVGGGTATDNGDSDSDLEVVADFFGVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSKMRNCGEDITEIEVKPDGSWRAKAKSENERRELGDLAQWHSPDGTLCVPGSAEVKPRAETSKQIKLEGASDGHTGLKLGIKKNSDGLWEVSKPEDMNTSSDSRLQERFEHHEQKIIPMSSSATGSVKDGEDPSVNQDGGGTYDFTSNGIELDSMPLNIDSAYEFTDRNSSAPTGNAEVIVLSDSDEENDILISSATLYKDNQNDSSGLNFPVAPPGISHPYSEDPALGPAGNLGLFPTNDEFDMGLWSLPPGPPEGSGFQLFSTNADVSDALVDLQRNALNCPQSMNGYTLAPETTMGSANLVPGSSIGQTDTDINDRLVDNPLFGAEDPSLQIFLPTRPSDASAQSDLRDQADVSNGIRTDDWISLRLGDGATGGHGDSTTVNGLNLRQQIPSRERTMDSLDDTASLLLGMNDSRSEKSSRQRSESPFLFPRQKRSVRQRLYLSIDSDSE >EOY33072 pep chromosome:Theobroma_cacao_20110822:9:35336920:35349488:-1 gene:TCM_041076 transcript:EOY33072 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein with MIZ/SP-RING zinc finge isoform 1 MDLVASCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVERILGALSDEQVAKMWAKRTPVGKEDVAKLVDDIYRKMQVSGATELASKGQGVSDSSNVKVKGEIDDPFQSDMKVRCPCGSSLETENIIKCEGPRCQVWQHIRCVIIPEKTMEGNPPVPDLFYCEICRLSQADPFWITIAHPLYPLKLAVSNIPNDGTNPVLSAEKTFQITRADKDLLTKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGLPVRAINRPGSQLLGANGRDDGPIITPCTKDGINKITLTGCDARVFCFGVRIVKRRTVQQVLNMIPKETDGERFEDALARVCRCVGGGTATDNGDSDSDLEVVADFFGVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSKMRNCGEDITEIEVKPDGSWRAKAKSENERRELGDLAQWHSPDGTLCVPGSAEVKPRAETSKQIKLEGASDGHTGLKLGIKKNSDGLWEVSKPEDMNTSSDSRLQERFEHHEQKIIPMSSSATGSVKDGEDPSVNQDGGGTYDFTSNGIELDSMPLNIDSAYEFTDRNSSAPTGNAEVIVLSDSDEENDILISSATLYKDNQNDSSGLNFPVAPPGISHPYSEDPALGPAGNLGLFPTNDEFDMGLWSLPPGPPEGSGFQLFSTNADVSDALVDLQRNALNCPQSMNGYTLAPETTMGSANLVPGSSIGQTDTDINDRLVDNPLFGAEDPSLQIFLPTRPSDASAQSDLRDQADVSNGIRTDDWISLRLGDGATGGHGDSTTVNGLNLRQQIPSRERTMDSLDDTASLLLGMNDSRSEKSSRQRSESPFLFPRQKRSVRQRLYLSIDSDSE >EOY30580 pep chromosome:Theobroma_cacao_20110822:9:5064966:5078215:1 gene:TCM_037740 transcript:EOY30580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MNLASFKWLNFLIFFFIFTINPCFSVVQIDEFSIIAFDVDSFHGDYTPPSPPPPSLPPLPPSLSCEEDLKGVGSLDTVCELNSSLNFHKDVYIAGSGSFHVLPGVVLSCPIKSCSISINVSHGEFSLGQNSSVFAGTVFVSAWNASFFEGSVVNVSGLAGQPPAQTSGTPSGIQGAGGGHGGRGASCVTDNTKLPDDVWGGDAYSWSSLEKPWSYGSKGGTTSKEDDYGGEGGGRIRFEVEETVDVGGSLLANGGDGGVKGGGGSGGSIYIKAHRMTGSGRISASGGNGFAGGGGGRISIDVFSRHDDTEFFIHGGTSFGCKGNAGAAGTYYDAVPRSLIVSNHNMSTSTDTLLMEFPKQPLWTNVYIRDHAKASVPLFWSRVQVRGQIHLSCGAVLSFGLAHYASSEFELMAEELLMSDSIVKIYGALRMSVKMHLMWNSKMLIDGGADAIVATSLLEASNLVVLRESSVIQSNANLGVHGQGFLNLSGPGDMIEAQRLILSLFFSINVGSGSILRGPLENASNNDMTPRLYCELQDCPMELVHPPEDCNVNSSLSFTLQICRVEDIVIEGVITGSVVHFHWVRSIIVHSSGEITTSALGCTGGVGRGKVLNNGLGGGGGHGGKGGEGYFDGSFIEGGVSYGDADLPCELGSGSGNDSLAGTTAGGGIIVMGSLEHLLSSLTVYGSLRADGESFGEAIRKQAHSTISNIGPGGGSGGTILLFVHTIVLGDSSVISTAGGHGSPSGGGGGGGGRVHFHWSDIPTGDEYLPIASVKGSIITRGGSGRAQGHTGENGTITGKACPKGLYGIFCEECPVGTFKNVSGSDRVLCLDCPSNKLPSRALYVNVRGGVTESPCPYKCISERYHMPHCYTALEELVYTFGGPWLFGLILLGLLVLLALVLSVARMKYVGGDELPALVPARRGSRIDHSFPFLESLNEVLETNRTEESQTHVHRMYFMGPNTFTEPWHLPHSPPEQVIEIVYEDAFNRFVDEINGLAAYQWWEGSIYSILSILAYPLAWSWLQQCRKNKLQQLREFVRSEYDHSCLRSCRSRALYEGLKNVLAQMKWNGHVAATTDLMLAYVDFFLGGDEKRNDLPPRLHQRFPMSLVFGGDGSYMAPFSLQSDNILTSLMSQSVPPTIWYRLVAGLNCQLRLVRCGHLKLTFGHVISWLETHANPTLITYGVCVDLGWFQPTSSGYCQFGLIVCATGNESVRYWTGRQDRCLPPMEHSCRRDSVGCSGASEHLRTCQRISGGILLAKSLRTLKMKRAICYPFSFIVYNTKPVGHQDLVGLLISILLLGDFSLGLLTLLQLYSISLLDFFLVLFFLPLAILFPFPAGISALFSHGPRRSAGLARVYALWNITSLINVVTAFVCGFLHYWSHSSKKHINFQSWNLSMDESEWWMLPSGLVLCKIIQARLIDCHVANQEIQDQSLYSSDPDVFWQS >EOY30583 pep chromosome:Theobroma_cacao_20110822:9:5065155:5077660:1 gene:TCM_037740 transcript:EOY30583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MNLASFKWLNFLIFFFIFTINPCFSVVQIDEFSIIAFDVDSFHGDYTPPSPPPPSLPPLPPSLSCEEDLKGVGSLDTVCELNSSLNFHKDVYIAGSGSFHVLPGVVLSCPIKSCSISINVSHGEFSLGQNSSVFAGTVFVSAWNASFFEGSVVNVSGLAGQPPAQTSGTPSGIQGAGGGHGGRGASCVTDNTKLPDDVWGGDAYSWSSLEKPWSYGSKGGTTSKEDDYGGEGGGRIRFEVEETVDVGGSLLANGGDGGVKGGGGSGGSIYIKAHRMTGSGRISASGGNGFAGGGGGRISIDVFSRHDDTEFFIHGGTSFGCKGNAGAAGTYYDAVPRSLIVSNHNMSTSTDTLLMEFPKQPLWTNVYIRDHAKASVPLFWSRVQVRGQIHLSCGAVLSFGLAHYASSEFELMAEELLMSDSIVKIYGALRMSVKMHLMWNSKMLIDGGADAIVATSLLEASNLVVLRESSVIQSNANLGVHGQGFLNLSGPGDMIEAQRLILSLFFSINVGSGSILRGPLENASNNDMTPRLYCELQDCPMELVHPPEDCNVNSSLSFTLQICRVEDIVIEGVITGSVVHFHWVRSIIVHSSGEITTSALGCTGGVGRGKVLNNGLGGGGGHGGKGGEGYFDGSFIEGGVSYGDADLPCELGSGSGNDSLAGTTAGGGIIVMGSLEHLLSSLTVYGSLRADGESFGEAIRKQAHSTISNIGPGGGSGGTILLFVHTIVLGDSSVISTAGGHGSPSGGGGGGGGRVHFHWSDIPTGDEYLPIASVKGSIITRGGSGRAQGHTGENGTITGKACPKGLYGIFCEECPVGTFKNVSGSDRVLCLDCPSNKLPSRALYVNVRGGVTESPCPYKCISERYHMPHCYTALEELVYTFGGPWLFGLILLGLLVLLALVLSVARMKYVGGDELPALVPARRGSRIDHSFPFLESLNEVLETNRTEESQTHVHRMYFMGPNTFTEPWHLPHSPPEQVIEIVYEDAFNRFVDEINGLAAYQWWEGSIYSILSILAYPLAWSWLQQCRKNKLQQLREFVRSEYDHSCLRSCRSRALYEGLKVAATTDLMLAYVDFFLGGDEKRNDLPPRLHQRFPMSLVFGGDGSYMAPFSLQSDNILTSLMSQSVCSANHMVSISGWSKLSIAPSSLWTLETYFWSCY >EOY30582 pep chromosome:Theobroma_cacao_20110822:9:5065025:5077674:1 gene:TCM_037740 transcript:EOY30582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MNLASFKWLNFLIFFFIFTINPCFSVVQIDEFSIIAFDVDSFHGDYTPPSPPPPSLPPLPPSLSCEEDLKGVGSLDTVCELNSSLNFHKDVYIAGSGSFHVLPGVVLSCPIKSCSISINVSHGEFSLGQNSSVFAGTVFVSAWNASFFEGSVVNVSGLAGQPPAQTSGTPSGIQGAGGGHGGRGASCVTDNTKLPDDVWGGDAYSWSSLEKPWSYGSKGGTTSKEDDYGGEGGGRIRFEVEETVDVGGSLLANGGDGGVKGGGGSGGSIYIKAHRMTGSGRISASGGNGFAGGGGGRISIDVFSRHDDTEFFIHGGTSFGCKGNAGAAGTYYDAVPRSLIVSNHNMSTSTDTLLMEFPKQPLWTNVYIRDHAKASVPLFWSRVQVRGQIHLSCGAVLSFGLAHYASSEFELMAEELLMSDSIVKIYGALRMSVKMHLMWNSKMLIDGGADAIVATSLLEASNLVVLRESSVIQSNANLGVHGQGFLNLSGPGDMIEAQRLILSLFFSINVGSGSILRGPLENASNNDMTPRLYCELQDCPMELVHPPEDCNVNSSLSFTLQICRVEDIVIEGVITGSVVHFHWVRSIIVHSSGEITTSALGCTGGVGRGKVLNNGLGGGGGHGGKGGEGYFDGSFIEGGVSYGDADLPCELGSGSGNDSLAGTTAGGGIIVMGSLEHLLSSLTVYGSLRADGESFGEAIRKQAHSTISNIGPGGGSGGTILLFVHTIVLGDSSVISTAGGHGSPSGGGGGGGGRVHFHWSDIPTGDEYLPIASVKGSIITRGGSGRAQGHTGENGTITGKACPKGLYGIFCEECPVGTFKNVSGSDRVLCLDCPSNKLPSRALYVNVRGGVTESPCPYKCISERYHMPHCYTALEELVYTFGGPWLFGLILLGLLVLLALVLSVARMKYVGGDELPALVPARRGSRIDHSFPFLESLNEVLETNRTEESQTHVHRMYFMGPNTFTEPWHLPHSPPEQVIEIVYEDAFNRFVDEINGLAAYQWWEGSIYSILSILAYPLAWSWLQQCRKNKLQQLREFVRSEYDHSCLRSCRSRALYEGLKVAATTDLMLAYVDFFLGGDEKRNDLPPRLHQRFPMSLVFGGDGSYMAPFSLQSDNILTSLMSQSVPPTIWYRLVAGLNCQLRLVRCGHLKLTFGHVISWLETHANPTLITYGVCVDLGWFQPTSSGYCQFGLIVCATGNESVRYWTGRQDRCLPPMEHSWRDSVGCSGASEHLRTCQRISGGILLAKSLRTLKMKRAICYPFSFIVYNTKPVGHQDLVGLLISILLLGDFSLGLLTLLQLYSISLLDFFLVLFFLPLAILFPFPAGISALFSHGPRRSAGLARVYALWNITSLINVVTAFVCGFLHYWSHSSKKHINFQSWNLSMDESEWWMLPSGLVLCKIIQARLIDCHVANQEIQDQSLYSSDPDVFWQS >EOY30581 pep chromosome:Theobroma_cacao_20110822:9:5064966:5078215:1 gene:TCM_037740 transcript:EOY30581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MNLASFKWLNFLIFFFIFTINPCFSVVQIDEFSIIAFDVDSFHGDYTPPSPPPPSLPPLPPSLSCEEDLKGVGSLDTVCELNSSLNFHKDVYIAGSGSFHVLPGVVLSCPIKSCSISINVSHGEFSLGQNSSVFAGTVFVSAWNASFFEGSVVNVSGLAGQPPAQTSGTPSGIQGAGGGHGGRGASCVTDNTKLPDDVWGGDAYSWSSLEKPWSYGSKGGTTSKEDDYGGEGGGRIRFEVEETVDVGGSLLANGGDGGVKGGGGSGGSIYIKAHRMTGSGRISASGGNGFAGGGGGRISIDVFSRHDDTEFFIHGGTSFGCKGNAGAAGTYYDAVPRSLIVSNHNMSTSTDTLLMEFPKQPLWTNVYIRDHAKASVPLFWSRVQVRGQIHLSCGAVLSFGLAHYASSEFELMAEELLMSDSIVKIYGALRMSVKMHLMWNSKMLIDGGADAIVATSLLEASNLVVLRESSVIQSNANLGVHGQGFLNLSGPGDMIEAQRLILSLFFSINVGSGSILRGPLENASNNDMTPRLYCELQDCPMELVHPPEDCNVNSSLSFTLQICRVEDIVIEGVITGSVVHFHWVRSIIVHSSGEITTSALGCTGGVGRGKVLNNGLGGGGGHGGKGGEGYFDGSFIEGGVSYGDADLPCELGSGSGNDSLAGTTAGGGIIVMGSLEHLLSSLTVYGSLRADGESFGEAIRKQAHSTISNIGPGGGSGGTILLFVHTIVLGDSSVISTAGGHGSPSGGGGGGGGRVHFHWSDIPTGDEYLPIASVKGSIITRGGSGRAQGHTGENGTITGKACPKGLYGIFCEECPVGTFKNVSGSDRVLCLDCPSNKLPSRALYVNVRGGVTESPCPYKCISERYHMPHCYTALEELVYTFGGPWLFGLILLGLLVLLALVLSVARMKYVGGDELPALVPARRGSRIDHSFPFLESLNEVLETNRTEESQTHVHRMYFMGPNTFTEPWHLPHSPPEQVIEIVYEDAFNRFVDEINGLAAYQWWEGSIYSILSILAYPLAWSWLQQCRKNKLQQLREFVRSEYDHSCLRSCRSRALYEGLKVAATTDLMLAYVDFFLGGDEKRNDLPPRLHQRFPMSLVFGGDGSYMAPFSLQSDNILTSLMSQSVPPTIWYRLVAGLNCQLRLVRCGHLKLTFGHVISWLETHANPTLITYGVCVDLGWFQPTSSGYCQFGLIVCATGNESVRYWTGRQDRCLPPMEHSCRRDSVGCSGASEHLRTCQRISGGILLAKSLRTLKMKRAICYPFSFIVYNTKPVGHQDLVGLLISILLLGDFSLGLLTLLQLYSISLLDFFLVLFFLPLAILFPFPAGISALFSHGPRRSAGLARVYALWNITSLINVVTAFVCGFLHYWSHSSKKHINFQSWNLSMDESEWWMLPSGLVLCKIIQARLIDCHVANQEIQDQSLYSSDPDVFWQS >EOY32615 pep chromosome:Theobroma_cacao_20110822:9:32069977:32073191:1 gene:TCM_040622 transcript:EOY32615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Slow growth 1, putative MLSSNLRLLHFISKPLFLLDPSLLSSSYCSLHARSLPPLKGRKPPANGNKTHALLLSNPVLSILQICKSLPQLKQIQAQMTIKGSMSDWFFSSRLIAFCALSEHKNLDHCIKILYNLQSPNVFSWNVTIRGCAESENPKEAIFLYKQMLRNNGFIRPDNYTYPSLLKVCAFLMLKYLGFEILDHVLKLGFDADLYVHNGVIHFLVSCGELELAYKVFDESCVRDLVSWNSLINGYVRSGRANEAIGVYRKMREEGVELDEVTMIGLVSSCAQLEELKLGRDFHKYIEEHGVNLTIPLANALMDMYVKCGNLEEAQRIFDNMEKKTIVSCTTMVVGYARLGHLDAARKLFDEMPEKDVVPWNAIIGGYVQAKCSKEALTLFHEMQAGGIKPDEVTMVYCLSACSQLGALDVGIWIHHYIETHKLYLNVALGTALVDMYAKCGNITRAIRVFHEMPSRNSLTWTSIIGGLALHGNAHDALSYFSEMIDVGLRPDEVTFLGVLSACCHGGLVEEGRKLFSQMTSKFGLSPQLKHYSCMVDLLGRAGLLDEAEELINGMEIEPDAVLWGALFFACRMHGNFLMGERAALKLLELDPHDSGIYVLLANMYGDAKMWEEAGKVRKMMKERGVGKTPGCSSIELNGTVYEFIVRDKSHPETQQIYDCLIQLTRHLDFVEFTYGLPKCYNDFLLGLELETQRSNSMQIIQ >EOY30497 pep chromosome:Theobroma_cacao_20110822:9:4802758:4806827:-1 gene:TCM_037688 transcript:EOY30497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein isoform 2 MNSLLKLRKPLSSSLTTLSLHRFSSTLNYAFQNKKEGTGFIHPTAIVHPNAVIGQGVSIGPFCTIGSSAKLGNGCQLHPSSHIFGNTELGNHCILMTGAVVGDDLPGHTVIGCNNIIGHHAVVGIKCQDMKYKSGDECFLDVGNNNEIREFTSIHRSSKSSDITVIGDNNLIMGSCHIAHDCKIGNNNIFANNTLLAGHVIVEVSQDVPKYMMVSGERAELRGLNLEGLRRRGFLVTEVLD >EOY30493 pep chromosome:Theobroma_cacao_20110822:9:4803151:4806827:-1 gene:TCM_037688 transcript:EOY30493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein isoform 2 MNSLLKLRKPLSSSLTTLSLHRFSSTLNYAFQNKKEGTGFIHPTAIVHPNAVIGQGVSIGPFCTIGSSAKLGNGCQLHPSSHIFGNTELGNHCILMTGAVVGDDLPGHTVIGCNNIIGHHAVVGIKCQDMKYKSGDECFLDVGNNNEIREFTSIHRSSKSSDITVIGDNNLIMGSCHIAHDCKIGNNNIFANNTLLAGHVIVEDHAHTAGASVVHQFCHIGSFAFIGGGSVVSQDVPKYMMVSGERAELRGLNLEGLRRRGFLVTEIKCLRTAYRKIFMPSDTNSMGFDERLAEVEHDEDLGCVPAVSSMLQSIRDSFTENRRGICKFRQWSSS >EOY30495 pep chromosome:Theobroma_cacao_20110822:9:4803939:4806687:-1 gene:TCM_037688 transcript:EOY30495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein isoform 2 MNSLLKLRKPLSSSLTTLSLHRFSSTLNYAFQNKKEGTGFIHPTAIVHPNAVIGQGVSIGPFCTIGSSAKLGNGCQLHPSSHIFGNTELGNHCILMTGAVVGDDLPGHTVIGCNNIIGHHAVVGIKCQDMKYKSGDECFLDVGNNNEIREFTSIHRSSKSSDITVIGDNNLIMGSCHIAHDCKIGNNNIFANNTLLAGHVIVEDHAHTAGASVVHQFCHIGSFAFIGGGSVVSQDVPKYMMVSGERAELRGLNLEGLRRRGFLVTEVLD >EOY30496 pep chromosome:Theobroma_cacao_20110822:9:4803387:4806718:-1 gene:TCM_037688 transcript:EOY30496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein isoform 2 MTGAVVGDDLPGHTVIGCNNIIGHHAVVGIKCQDMKYKSGDECFLDVGNNNEIREFTSIHRSSKSSDITVIGDNNLIMGSCHIAHDCKIGNNNIFANNTLLAGHVIVEDHAHTAGASVVHQFCHIGSFAFIGGGSVVSQDVPKYMMVSGERAELRGLNLEGLRRRGFLVTEIKCLRTAYRKIFMPSDTNSMGFDERLAEVEHDEDLGCVPAVSSMLQSIRDSFTENRRGICKFRQWSSS >EOY30494 pep chromosome:Theobroma_cacao_20110822:9:4803939:4806687:-1 gene:TCM_037688 transcript:EOY30494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial transferase hexapeptide repeat-containing protein isoform 2 MNSLLKLRKPLSSSLTTLSLHRFSSTLNYAFQNKKEGTGFIHPTAIVHPNAVIGQGVSIGPFCTIGSSAKLGNGCQLHPSSHIFGNTELGNHCILMTGAVVGDDLPGHTVIGCNNIIGHHAVVGIKCQDMKYKSGDECFLDVGNNNEIREFTSIHRSSKSSDITVIGDNNLIMGSCHIAHDCKIGNNNIFANNTLLAGHVIVEDHAHTAGASVVHQFCHIGSFAFIGGGSVVSQDVPKYMMVSGERAELRGLNLEGLRRRGFLVTEVLD >EOY32976 pep chromosome:Theobroma_cacao_20110822:9:34701370:34712178:-1 gene:TCM_040982 transcript:EOY32976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plectin-related isoform 1 MTRVSREFGDTMQKEAVPAVSADVIFASSRFPNYKIGANNQILDAKEDPKVLSMKEVVARETALLLEQQKRLSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVAEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASEDAKKLVDEERAFARVEIESARAAVQRVEEALQEHEQMSRASGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHELRALRIQLAEKSKHSILLQKELARSKRVEENLSHLYELDGAETLGSYFRIKPCSDFAPELSRCSIQWYRISSEGGKKELISGASKSVYAPEPFDVGRILQAEIIYDGQKIILKTTGAIDPAAGLGNYVEALVRKHDVEFNVVVTQMNGADHPSESIHVLHVGKMRMKLCKGKMAIAKEYYSTVMQVLGHAKFLINEKCFDVF >EOY32975 pep chromosome:Theobroma_cacao_20110822:9:34700749:34713055:-1 gene:TCM_040982 transcript:EOY32975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plectin-related isoform 1 MTRVSREFGDTMQKEAVPAVSADVIFASSRFPNYKIGANNQILDAKEDPKVLSMKEVVARETALLLEQQKRLSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVAEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASEDAKKLVDEERAFARVEIESARAAVQRVEEALQEHEQMSRASGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHELRALRIQLAEKSKHSILLQKELARSKRVEENLSHLYELDGAETLGSYFRIKPCSDFAPELSRCSIQWYRISSEGGKKELISGASKSVYAPEPFDVGRILQAEIIYDGQKIILKTTGAIDPAAGLGNYVEALVRKHDVEFNVVVTQMNGADHPSESIHVLHVGKMRMKLCKGKMAIAKEYYSTVMQLCGVRGG >EOY32973 pep chromosome:Theobroma_cacao_20110822:9:34700155:34713056:-1 gene:TCM_040982 transcript:EOY32973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plectin-related isoform 1 MTRVSREFGDTMQKEAVPAVSADVIFASSRFPNYKIGANNQILDAKEDPKVLSMKEVVARETALLLEQQKRLSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVAEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASEDAKKLVDEERAFARVEIESARAAVQRVEEALQEHEQMSRASGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHELRALRIQLAEKSKHSILLQKELARSKRVEENLSHLYELDGAETLGSYFRIKPCSDFAPELSRCSIQWYRISSEGGKKELISGASKSVYAPEPFDVGRILQAEIIYDGQKIILKTTGAIDPAAGLGNYVEALVRKHDVEFNVVVTQMNGADHPSESIHVLHVGKMRMKLCKGKMAIAKEYYSTVMQLCGVRGGGNAAAQALFWQAKKGLSVVLAFESERERNAAIMLARRFAFDCNIMLAGPDDRASLET >EOY32974 pep chromosome:Theobroma_cacao_20110822:9:34700155:34712901:-1 gene:TCM_040982 transcript:EOY32974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plectin-related isoform 1 MTRVSREFGDTMQKEAVPAVSADVIFASSRFPNYKIGANNQILDAKEDPKVLSMKEVVARETALLLEQQKRLSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVAEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASEDAKKLVDEERAFARVEIESARAAVQRVEEALQEHEQMSRASGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHELRALRIQLAEKSKHSILLQKELARSKRVEENLSHLYELDGAETLGSYFRIKPCSDFAPELSRCSIQWYRISSEGGKKELISGASKSVYAPEPFDVGRILQAEIIYDGQKIILKTTGAIDPAAGLGNYVEALVRKHDVEFNVVVTQMNGADHPSESIHVLHVGKMRMKLCKGKMAIAKEYYSTVMQLCGVRGGGNAAAQALFWQAKKGLSVVLAFESERERNAAIMLARRFAFDCNIMLAGPDDRASLET >EOY33231 pep chromosome:Theobroma_cacao_20110822:9:36033112:36035680:1 gene:TCM_041185 transcript:EOY33231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase subunit 6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G08480) UniProtKB/Swiss-Prot;Acc:Q941A6] MGESSSESFLKKYWEGYRDFWAERFPFTEIYSRFIKREESLPPWSESDVHEFIASDPVHGPTLKTARNATNIALAGGAIGAISTAGLAWKYSRSLHGLTGSFLCCFGSTISTRRWSSLFPGLWNGRRSKGLAPYALPHPTTACNYLAIIILLCAGLSFAAGAVFGWTFGQEIANHWYQLYRLDTMAAQVKFLEWWESKCQRRS >EOY33232 pep chromosome:Theobroma_cacao_20110822:9:36033141:36035722:1 gene:TCM_041185 transcript:EOY33232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase subunit 6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G08480) UniProtKB/Swiss-Prot;Acc:Q941A6] MGESSSESFLKKYWEGYRDFWAERFPFTEIYSRFIKREESLPPWSESDVHEFIASDPVHGPTLKTARNATNIALAGGAIGAISTAGLAWKYSRSLHGAGLSFAAGAVFGWTFGQEIANHWYQLYRLDTMAAQVKFLEWWESKCQRRS >EOY33770 pep chromosome:Theobroma_cacao_20110822:9:38935321:38937146:-1 gene:TCM_041653 transcript:EOY33770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein isoform 1 MAKTIGSVFFNKFLSLFILLLHLGCFIFTAKDHHKPAKKRKASPLSLPPPSLKPNKALSSTWSCLKRIFSSSKANCKNTIQTHPTATTTPTLTSARNSQQSLVSMIIPPETHLSESPPTRHEKTSGSCPESDISSDNQFFPLRNDIFPCTACGEIFQKPHFLEQHQATKHAVSELIDGDSGKNIVRIIFKTGWTDKVKNPEIHRILKIHNSPKILARFEDYRELVKAKAARNGIGRRYERCIADGNELLRFYCSTFMCDLGLNGSSSICNQQYCSICGIIKSGFSPKMDGIPTLSTSWRAHVAIPEDVEEEFKFMNVKRAMLVCRVVAGRVGSEGEEIDKEDGGFDSVIGRGGGSGAHTNVDEEELLVFNPRAVLPCFVIVYTV >EOY33771 pep chromosome:Theobroma_cacao_20110822:9:38935205:38937166:-1 gene:TCM_041653 transcript:EOY33771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein isoform 1 MAKTIGSVFFNKFLSLFILLLHLGCFIFTAKDHHKPAKKRKASPLSLPPPSLKPNKALSSTWSCLKRIFSSSKANCKNTIQTHPTATTTPTLTSARNSQQSLVSMIIPPETHLSESPPTRHEKTSGSCPESDISSDNQFFPLRNDIFPCTACGEIFQKPHFLEQHQATKHAVSELIDGDSGKNIVRIIFKTGWTDKVKNPEIHRILKIHNSPKILARFEDYRELVKAKAARNGIGRRYERCIADGNELLRFYCSTFMCDLGLNGSSSICNQQYCSICGIIKSGFSPKMDGIPTLSTSWRAHVAIPEDVEEEFKFMNVKRAMLVCRVVAGRVGSEGEEIDKEDGGFDSVIGRGGGSGAHTNVDEEELLVFNPRAVLPCFVIVYTV >EOY33722 pep chromosome:Theobroma_cacao_20110822:9:38782607:38784991:-1 gene:TCM_041622 transcript:EOY33722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADTTRSNNKRFNRGAEKANGVDDGDGDDTDGEECDVEVWNNLSKSFKQAQAVLDQNRELIKKVNENHQSKIPDNLV >EOY32374 pep chromosome:Theobroma_cacao_20110822:9:28307842:28312104:1 gene:TCM_040248 transcript:EOY32374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase with RING/FYVE/PHD-type zinc finger domain, putative MHRLRLSFSSSLRRNLKGQEYRGTYCAVLTINSFVVSVGIIQVVGQEIAELPLVATSIANHGKGYFQLLFSGIEKSLAFLNVKNLVLPTAEDEGSIWTNKFDFKKIIPNQLSE >EOY32015 pep chromosome:Theobroma_cacao_20110822:9:19150244:19160994:-1 gene:TCM_039425 transcript:EOY32015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine protease 3 isoform 5 MKVMELRCAVLVVLLGLVVTGKAEIYIVTVEGEPIISYKGGENGFEATAVESDEKLDTTSELVTSYASHLEKKHDMLLGMLFERGSYKKLYSYKHLINGFSVHLSPEQAETLRRAPGVKSVERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFVDSGIYPLHPSFAAYHTDPYGPVPKYRGKCEIDPDTKRDFCNGKIIGAQHFAEAAKAAGAFNPAIDFASPMDGDGHGSHTAAIAAGNNGIPVRVHGHEFGKASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVHDGVDILSLSVGPNSPQATTKTTFLNPFDATLLAAVKAGVFVAQAAGNGGPFPKTLVSYSPWIASVAAAIDDRRYKNHLNLGNGKILAGMGLSPSTHPNQTYTMVAANDVLLDSSVMKYSPSDCQRPEVLNKNLVEGNILLCGYSFNFVVGTASIKKVSETAKALRAVGFVLAVENVSPGTKFDPVPVGIPGILITDVSKSMDLIDYYNVSTPRDWTGRVKSFKAIGSIGDGLMPILHKSAPQVALFSARGPNIKDYSFQDADLLKPDILAPGSLIWAAWSPNGTDEPNYVGEGFAMISGTSMAAPHIAGIAALLKQKHPHWSPAAIKSALMTTSTKLDRAGRPLQAQQYSETEAMKLVTATPFDYGSGHVNPRAALDPGLIFHAGYEDYLGFLCSTPGIDIHEIKNYTNSPCNHTMGHPSNLNTPSITISHLVGTQTVTRTVTNVAEEETYVITARMHPSIAIETNPSAMTLKPGASRKFSVTLTARSVTGTYSFGEITMKGSRGHKVSIPVVAMGYWR >EOY32016 pep chromosome:Theobroma_cacao_20110822:9:19150214:19161776:-1 gene:TCM_039425 transcript:EOY32016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine protease 3 isoform 5 MKVMELRCAVLVVLLGLVVTGKAEIYIVTVEGEPIISYKGGENGFEATAVESDEKLDTTSELVTSYASHLEKKHDMLLGMLFERGSYKKLYSYKHLINGFSVHLSPEQAETLRRAPGVKSVERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFVDSGIYPLHPSFAAYHTDPYGPVPKYRGKCEIDPDTKRDFCNGKIIGAQHFAEAAKAAGAFNPAIDFASPMDGDGHGSHTAAIAAGNNGIPVRVHGHEFGKASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVHDGVDILSLSVGPNSPQATTKTTFLNPFDATLLAAVKAGVFVAQAAGNGGPFPKTLVSYSPWIASVAAAIDDRRYKNHLNLGNGKILAGMGLSPSTHPNQTYTMVAANDVLLDSSVMKYSPSDCQRPEVLNKNLVEGNILLCGYSFNFVVGTASIKKVSETAKALRAVGFVLAVENVSPGTKFDPVPVGIPGILITDVSKSMDLIDYYNVSTPRDWTGRVKSFKAIGSIGDGLMPILHKSAPQVALFSARGPNIKDYSFQDADLLKPDILAPGSLIWAAWSPNGTDEPNYVGEGFAMISGTSMAAPHIAGIAALLKQKHPHWSPAAIKSALMTTSTKLDRAGRPLQAQQYSETEAMKLVTATPFDYGSGHVNPRAALDPGLIFHAGYEDYLGFLCSTPGIDIHEIKNYTNSPCNHTMGHPSNLNTPSITISHLVGTQTVTRTVTNVAEEETYVITARMHPSIAIETNPSAMTLKPGASRKFSVTLTARSVTGTYSFGEITMKGSRGHKVSIPVVAMGYWR >EOY32017 pep chromosome:Theobroma_cacao_20110822:9:19150129:19161242:-1 gene:TCM_039425 transcript:EOY32017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine protease 3 isoform 5 MKVMELRCAVLVVLLGLVVTGKAEIYIVTVEGEPIISYKGGENGFEATAVESDEKLDTTSELVTSYASHLEKKHDMLLGMLFERGSYKKLYSYKHLINGFSVHLSPEQAETLRRAPGVKSVERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFVDSGIYPLHPSFAAYHTDPYGPVPKYRGKCEIDPDTKRDFCNGKIIGAQHFAEAAKAAGAFNPAIDFASPMDGDGHGSHTAAIAAGNNGIPVRVHGHEFGKASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVHDGVDILSLSVGPNSPQATTKTTFLNPFDATLLAAVKAGVFVAQAAGNGGPFPKTLVSYSPWIASVAAAIDDRRYKNHLNLGNGKILAGMGLSPSTHPNQTYTIGSIGDGLMPILHKSAPQVALFSARGPNIKDYSFQDADLLKPDILAPGSLIWAAWSPNGTDEPNYVGEGFAMISGTSMAAPHIAGIAALLKQKHPHWSPAAIKSALMTTSTKLDRAGRPLQAQQYSETEAMKLVTATPFDYGSGHVNPRAALDPGLIFHAARMHPSIAIETNPSAMTLKPGASRKFSVTLTARSVTGTYSFGEITMKGSRGHKVSIPVVAMGYWR >EOY32018 pep chromosome:Theobroma_cacao_20110822:9:19150244:19159972:-1 gene:TCM_039425 transcript:EOY32018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine protease 3 isoform 5 MLLGMLFERGSYKKLYSYKHLINGFSVHLSPEQAETLRRAPGVKSVERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFVDSGIYPLHPSFAAYHTDPYGPVPKYRGKCEIDPDTKRDFCNGKIIGAQHFAEAAKAAGAFNPAIDFASPMDGDGHGSHTAAIAAGNNGIPVRVHGHEFGKASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVHDGVDILSLSVGPNSPQATTKTTFLNPFDATLLAAVKAGVFVAQAAGNGGPFPKTLVSYSPWIASVAAAIDDRRYKNHLNLGNGKILAGMGLSPSTHPNQTYTMVAANDVLLDSSVMKYSPSDCQRPEVLNKNLVEGNILLCGYSFNFVVGTASIKKVSETAKALRAVGFVLAVENVSPGTKFDPVPVGIPGILITDVSKSMDLIDYYNVSTPRDWTGRVKSFKAIGSIGDGLMPILHKSAPQVALFSARGPNIKDYSFQDADLLKPDILAPGSLIWAAWSPNGTDEPNYVGEGFAMISGTSMAAPHIAGIAALLKQKHPHWSPAAIKSALMTTSTKLDRAGRPLQAQQYSETEAMKLVTATPFDYGSGHVNPRAALDPGLIFHAGYEDYLGFLCSTPGIDIHEIKNYTNSPCNHTMGHPSNLNTPSITISHLVGTQTVTRTVTNVAEEETYVITARMHPSIAIETNPSAMTLKPGASRKFSVTLTARSVTGTYSFGEITMKGSRGHKVSIPVVAMGYWR >EOY32020 pep chromosome:Theobroma_cacao_20110822:9:19150129:19160661:-1 gene:TCM_039425 transcript:EOY32020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine protease 3 isoform 5 MLLGMLFERGSYKKLYSYKHLINGFSVHLSPEQAETLRRAPGVKSVERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFVDSGIYPLHPSFAAYHTDPYGPVPKYRGKCEIDPDTKRDFCNGKIIGAQHFAEAAKAAGAFNPAIDFASPMDGDGHGSHTAAIAAGNNGIPVRVHGHEFGKASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVHDGVDILSLSVGPNSPQATTKTTFLNPFDATLLAAVKAGVFVAQAAGNGGPFPKTLVSYSPWIASVAAAIDDRRYKNHLNLGNGKILAGMGLSPSTHPNQTYTIGSIGDGLMPILHKSAPQVALFSARGPNIKDYSFQDADLLKPDILAPGSLIWAAWSPNGTDEPNYVGEGFAMISGTSMAAPHIAGIAALLKQKHPHWSPAAIKSALMTTSTKLDRAGRPLQAQQYSETEAMKLVTATPFDYGSGHVNPRAALDPGLIFHAGYEDYLGFLCSTPGIDIHEIKNYTNSPCNHTMGHPSNLNTPSITISHLVGTQTVTRTVTNVAEEETYVITARMHPSIAIETNPSAMTLKPGASRKFSVTLTARSVTGTYSFGEITMKGSRGHKVSIPVVAMGYWR >EOY32019 pep chromosome:Theobroma_cacao_20110822:9:19150629:19159455:-1 gene:TCM_039425 transcript:EOY32019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine protease 3 isoform 5 MLLGMLFERGSYKKLYSYKHLINGFSVHLSPEQAETLRRAPGVKSVERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFVDSGIYPLHPSFAAYHTDPYGPVPKYRGKCEIDPDTKRDFCNGKIIGAQHFAEAAKAAGAFNPAIDFASPMDGDGHGSHTAAIAAGNNGIPVRVHGHEFGKASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVHDGVDILSLSVGPNSPQATTKTTFLNPFDATLLAAVKAGVFVAQAAGNGGPFPKTLVSYSPWIASVAAAIDDRRYKNHLNLGNGKILAGMGLSPSTHPNQTYTMVAANDVLLDSSVMKYSPSDCQRPEVLNKNLVEGNILLCGYSFNFVVGTASIKKVSETAKALRAVGFVLAVENVSPGTKFDPVPVGIPGILITDVSKSMVYLGSLLLLPDWTGRVKSFKAIGSIGDGLMPILHKSAPQVALFSARGPNIKDYSFQDADLLKPDILAPGSLIWAAWSPNGTDEPNYVGEGFAMISGTSMAAPHIAGIAALLKQKHPHWSPAAIKSALMTTSTKLDRAGRPLQAQQYSETEAMKLVTATPFDYGSGHVNPRAALDPGLIFHAGYEDYLGFLCSTPGIDIHEIKNYTNSPCNHTMGHPSNLNTPSITISHLVGTQTVTRTVTNVAEEETYVITARMHPSIAIETNPSAMTLKPGASRKFSVTLTARSVTGTYSFGEITMKGSRGHKVSIPVVAMGYWR >EOY33719 pep chromosome:Theobroma_cacao_20110822:9:38775796:38779836:-1 gene:TCM_041620 transcript:EOY33719 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MSVNPSKPEFATSRLHNNLVLIFGNPRIPQKSLKHFSTMEHTPQHSQHAPGPSSAFTITNLPSSSSSSSSSSSSRVHEEGENNGQRNDENLHHQEQEQEQQRSGVSYHLNISISNVARIDMRDDVWSCLVVLVTFWFFASMTLILGYYGSVTLELGPNGSRLIQTNPFLVQSIKVKELNKQKPGLMLYGFRRPPPSDVEISWTETHDAFVPANLHKEWLFFLNKGSKVNISYAISSTSSSPLSLVIAQGTQSLVDWIEDPSYPNLTLSWNIIYGSGKIQQEIPKSSNYYIAVRNLNCEEVEIQLQFSFNALICDTTQAYYRCSLGNRLCTFELYLLGENAVVLSSPGPNEETANSNWYVKVSYGPRWITYFVGSGVMTILILLAFRISKIFQSIDGIGLHAGGMESERAPLLPAKDDDISSWGSSYDSVSHDEEVLEEWLAKTFPEGKPSNEGESNNTRLCVVCFDAPRDCFFLPCGHCATCFTCGTRIAEDAGSCPICRRKMKKVRMIFTV >EOY33720 pep chromosome:Theobroma_cacao_20110822:9:38775835:38779194:-1 gene:TCM_041620 transcript:EOY33720 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MMFGLVWLCLSHFGFLVKELNKQKPGLMLYGFRRPPPSDVEISWTETHDAFVPANLHKEWLFFLNKGSKVNISYAISSTSSSPLSLVIAQGTQSLVDWIEDPSYPNLTLSWNIIYGSGKIQQEIPKSSNYYIAVRNLNCEEVEIQLQFSFNALICDTTQAYYRCSLGNRLCTFELYLLGENAVVLSSPGPNEETANSNWYVKVSYGPRWITYFVGSGVMTILILLAFRISKIFQSIDGIGLHAGGMESERAPLLPAKDDDISSWGSSYDSVSHDEEVLEEWLAKTFPEGKPSNEGESNNTRLCVVCFDAPRDCFFLPCGHCATCFTCGTRIAEDAGSCPICRRKMKKVRMIFTV >EOY32470 pep chromosome:Theobroma_cacao_20110822:9:29977951:29982660:-1 gene:TCM_040407 transcript:EOY32470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emsy N Terminus/ plant Tudor-like domains-containing protein isoform 3 MDYGLSDSSGTDDDLPPSHQNRFQRGGRTAAGNGRSAVVGSAPLPRMHSDMETQIHLIEQEAYSSVLRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNADDILRRIREWRTASGLQPGMLSTSQPVHDTVPSPTVSGSRKKQKTSQSVASLSMGAPSPALHPSMQPSSSALRRGPLPGAKSKKSKSSTQYPSTGLPVRPQAPNRTSSGAFATNEPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNPVEGRHALVYDINTADETWEWVNLKEISPEDIRWEGDDPGMSRRGGRPGPGRGIKKSMARGGGVAGAGRGRGSLKGHAKKDFPLAQNGIGKKVLGDIEILHTDTLIKEVEKVFGAGQPDPMEIEKAKKVLKEHEQALVEAIARLEDASDGESGNN >EOY32467 pep chromosome:Theobroma_cacao_20110822:9:29977076:29981138:-1 gene:TCM_040407 transcript:EOY32467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emsy N Terminus/ plant Tudor-like domains-containing protein isoform 3 MDYGLSDSSGTDDDLPPSHQNRFQRGGRTAAGNGRSAVVGSAPLPRMHSDMETQIHLIEQEAYSSVLRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNADDILRRIREWRTASGLQPGMLSTSQPVHDTVPSPTVSGSRKKQKTSQSVASLSMGAPSPALHPSMQPSSSALRRGPLPGAKSKKSKSSTQYPSTGLPVRPQAPNRTSSGAFATNEPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNPVEGRHALVYDINTADETWEWVNLKEISPEDIRWEGDDPGMSRRGGRPGPGRGIKKSMARGGGVAGAGRGRGSLKGHAKKDFPLAQNGIGKKVLGDIEILHTDTLIKEVEKVFGAGQPDPMEIEKAKKVLKEHEQALVEAIARLEDASDGESADGEHPFSRGQSMDQERAWRKRQWDEMGNGRMVDGCDGNKSDKR >EOY32469 pep chromosome:Theobroma_cacao_20110822:9:29977778:29982660:-1 gene:TCM_040407 transcript:EOY32469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emsy N Terminus/ plant Tudor-like domains-containing protein isoform 3 MDYGLSDSSGTDDDLPPSHQNRFQRGGRTAAGNGRSAVVGSAPLPRMHSDMETQIHLIEQEAYSSVLRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNADDILRRIREWRTASGLQPGMLSTSQPVHDTVPSPTVSGSRKKQKTSQSVASLSMGAPSPALHPSMQPSSSALRRGPLPGAKSKKSKSSTQYPSTGLPVRPQAPNRTSSGAFATNEPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNPVEGRHALVYDINTADETWEWVNLKEISPEDIRWEGDDPGMSRRGGRPGPGRGIKKSMARGGGVAGAGRGRGSLKGHAKKDFPLAQNGIGKKVLGDIEILHTDTLIKEVEKVFGAGQPDPMEIEKAKKVLKEHEQALVEAIARLEDASDGESGNN >EOY32472 pep chromosome:Theobroma_cacao_20110822:9:29978395:29981136:-1 gene:TCM_040407 transcript:EOY32472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emsy N Terminus/ plant Tudor-like domains-containing protein isoform 3 MDYGLSDSSGTDDDLPPSHQNRFQRGGRTAAGNGRSAVVGSAPLPRMHSDMETQIHLIEQEAYSSVLRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNADDILRRIREWRTASGLQPGMLSTSQPVHDTVPSPTVSGSRKKQKTSQSVASLSMGAPSPALHPSMQPSSSALRRGPLPGAKSKKSKSSTQYPSTGLPVRPQAPNRTSSGAFATNEPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNPVEGRHALVYDINTADETWEWVNLKEISPEDIRWEGDDPGMSRRGGRPGPGRGIKKSMARGGGVAGAGRGRGSLKGHAKKDFPLAQNGIGKKVLGDIEILHTDTLIKEV >EOY32471 pep chromosome:Theobroma_cacao_20110822:9:29978228:29981136:-1 gene:TCM_040407 transcript:EOY32471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emsy N Terminus/ plant Tudor-like domains-containing protein isoform 3 MDYGLSDSSGTDDDLPPSHQNRFQRGGRTAAGNGRSAVVGSAPLPRMHSDMETQIHLIEQEAYSSVLRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNADDILRRIREWRTASGLQPGMLSTSQPVHDTVPSPTVSGSRKKQKTSQSVASLSMGAPSPALHPSMQPSSSALRRGPLPGAKSKKSKSSTQYPSTGLPVRPQAPNRTSSGAFATNEPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNPVEGRHALVYDINTADETWEWVNLKEISPEDIRWEGDDPGMSRRGGRPGPGRGIKKSMARGGGVAGAGRGRGSLKGHAKKDFPLAQNGIGKKVLGDIEILHTDTLIKEVEKVFGAGQPDPMEIEKAKKVLKVSVIL >EOY32468 pep chromosome:Theobroma_cacao_20110822:9:29977113:29982586:-1 gene:TCM_040407 transcript:EOY32468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emsy N Terminus/ plant Tudor-like domains-containing protein isoform 3 MDYGLSDSSGTDDDLPPSHQNRFQRGGRTAAGNGRSAVVGSAPLPRMHSDMETQIHLIEQEAYSSVLRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNADDILRRIREWRTASGLQPGMLSTSQPVHDTVPSPTVSGSRKKQKTSQSVASLSMGAPSPALHPSMQPSSSALRRGPLPGAKSKKSKSSTQYPSTGLPVRPQAPNRTSSGAFATNEPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNPVEGRHALVYDINTADETWEWVNLKEISPEDIRWEGDDPGMSRRGGRPGPGRGIKKSMARGGGVAGAGRGRGSLKGHAKKDFPLAQNGIGKKVLGDIEILHTDTLIKEVEKVFGAGQPDPMEIEKAKKVLKEHEQALVEAIARLEDASDGESDGEHPFSRGQSMDQERAWRKRQWDEMGNGRMVDGCDGNKSDKR >EOY32466 pep chromosome:Theobroma_cacao_20110822:9:29977226:29982660:-1 gene:TCM_040407 transcript:EOY32466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emsy N Terminus/ plant Tudor-like domains-containing protein isoform 3 MDYGLSDSSGTDDDLPPSHQNRFQRGGRTAAGNGRSAVVGSAPLPRMHSDMETQIHLIEQEAYSSVLRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNADDILRRIREWRTASGLQPGMLSTSQPVHDTVPSPTVSGSRKKQKTSQSVASLSMGAPSPALHPSMQPSSSALRRGPLPGAKSKKSKSSTQYPSTGLPVRPQAPNRTSSGAFATNEPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNPVEGRHALVYDINTADETWEWVNLKEISPEDIRWEGDDPGMSRRGGRPGPGRGIKKSMARGGGVAGAGRGRGSLKGHAKKDFPLAQNGIGKKVLGDIEILHTDTLIKEVEKVFGAGQPDPMEIEKAKKVLKEHEQALVEAIARLEDASDGESADGEHPFSRGQSMDQERAWRKRQWDEMGNGRMVDGCDGNKSDKR >EOY31020 pep chromosome:Theobroma_cacao_20110822:9:6688293:6691676:1 gene:TCM_038049 transcript:EOY31020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 1 MDRMIQPPLVDTTACLCRVDAGLKTVAGAKKYVPGTKLCLQPDIKPSIHPTRNKPARGDRSRHQSPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLSGNFFYSLRKSLGIAEEWIYVIKRDRDGKISWHAFDPIYQLWQPLPPVPKEYSEALGFGCAVLSGCHLYLFGGKDPLKGSMRRVIYYSARTNKWHRAPDMLRRRHFFGSCVINNCLYVAGGENEGVHRSLRSAEVYDPNKNRWSFISEMSTAMVPFIGVVYEGKWFLKGLGPHRQVLSEVYRPETDSWYPVYNGMVAGWRNPCAFLNGHLYALDCKDGCKLKVYDEVTDSWSKHIDSRIHLGNSRALEAAAFVPLNGKLCIIRNNMSISLVDVSKSDDLRGATAEHLWETIAGKGHFKTLVTNLWSSLAGRNRLKSHIVHCQVLQA >EOY31019 pep chromosome:Theobroma_cacao_20110822:9:6687956:6691441:1 gene:TCM_038049 transcript:EOY31019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 1 MDRMIQPPLVDTTACLCRVDAGLKTVAGAKKYVPGTKLCLQPDIKPSIHPTRNKPARGDRSRHQSPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLSGNFFYSLRKSLGIAEEWIYVIKRDRDGKISWHAFDPIYQLWQPLPPVPKEYSEALGFGCAVLSGCHLYLFGGKDPLKGSMRRVIYYSARTNKWHRAPDMLRRRHFFGSCVINNCLYVAGGENEGVHRSLRSAEVYDPNKNRWSFISEMSTAMVPFIGVVYEGKWFLKGLGPHRQVLSEVYRPETDSWYPVYNGMVAGWRNPCAFLNGHLYALDCKDGCKLKVYDEVTDSWSKHIDSRIHLGNSRALEAAAFVPLNGKLCIIRNNMSISLVDVSKSDDLRGATAEHLWETIAGKGHFKTLVTNLWSSLAGRNRLKSHIVHCQVLQA >EOY31018 pep chromosome:Theobroma_cacao_20110822:9:6687952:6691554:1 gene:TCM_038049 transcript:EOY31018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 1 MDRMIQPPLVDTTACLCRVDAGLKTVAGAKKYVPGTKLCLQPDIKPSIHPTRNKPARGDRSRHQSPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLSGNFFYSLRKSLGIAEEWIYVIKRDRDGKISWHAFDPIYQLWQPLPPVPKEYSEALGFGCAVLSGCHLYLFGGKDPLKGSMRRVIYYSARTNKWHRAPDMLRRRHFFGSCVINNCLYVAGGENEGVHRSLRSAEVYDPNKNRWSFISEMSTAMVPFIGVVYEGKWFLKGLGPHRQVLSEVYRPETDSWYPVYNGMVAGWRNPCAFLNGHLYALDCKDGCKLKVYDEVTDSWSKHIDSRIHLGNSRALEAAAFVPLNGKLCIIRNNMSISLVDVSKSDDLRGATAEHLWETIAGKGHFKTLVTNLWSSLAGRNRLKSHIVHCQVLQA >EOY31021 pep chromosome:Theobroma_cacao_20110822:9:6690100:6692267:1 gene:TCM_038049 transcript:EOY31021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 1 VDTTACLCRVDAGLKTVAGAKKYVPGTKLCLQPDIKPSIHPTRNKPARGDRSRHQSPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLSGNFFYSLRKSLGIAEEWIYVIKRDRDGKISWHAFDPIYQLWQPLPPVPKEYSEALGFGCAVLSGCHLYLFGGKDPLKGSMRRVIYYSARTNKWHRAPDMLRRRHFFGSCVINNCLYVAGGENEGVHRSLRSAEVYDPNKNRWSFISEMSTAMVPFIGVVYEGKWFLKGLGPHRQVLSEVYRPETDSWYPVYNGMVAGWRNPCAFLNGHLYALDCKDGCKLKVYDEVTDSWSKHIDSRIHLGNSRALEAAAFVPLNGKLCIIRNNMSISLVDVSKSDDLRGATAEHLWETIAGKGHFKTLVTNLWSSLAGRNRLKSHIVHCQVLQA >EOY31016 pep chromosome:Theobroma_cacao_20110822:9:6688247:6691761:1 gene:TCM_038049 transcript:EOY31016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 1 MDRMIQPPLVDTTACLCRVDAGLKTVAGAKKYVPGTKLCLQPDIKPSIHPTRNKPARGDRSRHQSPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLSGNFFYSLRKSLGIAEEWIYVIKRDRDGKISWHAFDPIYQLWQPLPPVPKEYSEALGFGCAVLSGCHLYLFGGKDPLKGSMRRVIYYSARTNKWHRAPDMLRRRHFFGSCVINNCLYVAGGENEGVHRSLRSAEVYDPNKNRWSFISEMSTAMVPFIGVVYEGKWFLKGLGPHRQVLSEVYRPETDSWYPVYNGMVAGWRNPCAFLNGHLYALDCKDGCKLKVYDEVTDSWSKHIDSRIHLGNSRALEAAAFVPLNGKLCIIRNNMSISLVDVSKSDDLRGATAEHLWETIAGKGHFKTLVTNLWSSLAGRNRLKSHIVHCQVLQA >EOY31017 pep chromosome:Theobroma_cacao_20110822:9:6687952:6691554:1 gene:TCM_038049 transcript:EOY31017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein isoform 1 MDRMIQPPLVDTTACLCRVDAGLKTVAGAKKYVPGTKLCLQPDIKPSIHPTRNKPARGDRSRHQSPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLSGNFFYSLRKSLGIAEEWIYVIKRDRDGKISWHAFDPIYQLWQPLPPVPKEYSEALGFGCAVLSGCHLYLFGGKDPLKGSMRRVIYYSARTNKWHRAPDMLRRRHFFGSCVINNCLYVAGGENEGVHRSLRSAEVYDPNKNRWSFISEMSTAMVPFIGVVYEGKWFLKGLGPHRQVLSEVYRPETDSWYPVYNGMVAGWRNPCAFLNGHLYALDCKDGCKLKVYDEVTDSWSKHIDSRIHLGNSRALEAAAFVPLNGKLCIIRNNMSISLVDVSKSDDLRGATAEHLWETIAGKGHFKTLVTNLWSSLAGRNRLKSHIVHCQVLQA >EOY34244 pep chromosome:Theobroma_cacao_20110822:9:40450481:40452051:-1 gene:TCM_041983 transcript:EOY34244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMRPGHLPVSLPQGKVAKKERGASKSRVFPLAEKWTHAIPVVVLLSFFILWWFSRPVTVEIKDGRIVAIHPVEMPLPLNTSQIELAILASATSPIASVPQNLTGNGTEAHADLKCPPYGIRGTTFFLLLYHEYGKRDSKGTFCNK >EOY32926 pep chromosome:Theobroma_cacao_20110822:9:34497544:34503388:1 gene:TCM_040945 transcript:EOY32926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 2 MDFFVRNDSKIPESVVTGGTPKNERYQEDIACEYSAEVQTEENKVSLDVNKKRTVKTPAQVMALENFYKEHRFPSDEMKAQLAAQIRLTEKQISSWFCHRRLKDKRRDESYANGRQDHSSGVIQDRGSGLRQDSCGSIKQGDYRNVDPREVESRRIYGHDFPVADLTYERRSHQNPYNAHMEDTSSESSSSLHDQRFSESRDPYDMQISANLTQNGAITQIKPRIVKSMGYKPSGYLKVKGEMENPAVTAVKRQLGSHYQEDGPLLGIEFDPLPPGAFEFRSSNLVNEPIYVGDPRQEHSPDISGVIKQPNLNIINEVHNPKMSSQASYMEDANLNTEHGSDRQDRKSHHQLKYKSSFLCSNPFPGQNSSINVYESSAGKTAVSDCKRSRMSFKPAVERMGSDSFSNQPGPYGSKIANEQEKPWLHDDDNHTYKAPKNENLSKTSDLIHGCSESLGTERGPSARMGKLEKLGGEWKPKREYPVRENIDPTNELKVAKRVKVEFPQQNFVANASHSRSLLLTNPTKGGAISVVFIFVKCIALARHLGKVIRQITIHLVILCPFISRKFEAASGMLALNSLNFEENFSCKAP >EOY32929 pep chromosome:Theobroma_cacao_20110822:9:34495380:34502658:1 gene:TCM_040945 transcript:EOY32929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 2 MEDSAEVQTEENKVSLDVNKKRTVKTPAQVMALENFYKEHRFPSDEMKAQLAAQIRLTEKQISSWFCHRRLKDKRRDESYANGRQDHSSGVIQDRGSGLRQDSCGSIKQGDYRNVDPREVESRRIYGHDFPVADLTYERRSHQNPYNAHMEDTSSESSSSLHDQRFSESRDPYDMQISANLTQNGAITQIKPRIVKSMGYKPSGYLKVKGEMENPAVTAVKRQLGSHYQEDGPLLGIEFDPLPPGAFEFRSSNLVNEPIYVGDPRQEHSPDISGVIKQPNLNIINEVHNPKMSSQASYMEDANLNTEHGSDRQDRKSHHQLKYKSSFLCSNPFPGQNSSINVYESSAGKTAVSDCKRSRMSFKPAVERMGSDSFSNQPGPYGSKIANEQEKPWLHDDDNHTYKAPKNENLSKTSDLIHGCSESLGTERGPSARMGKVSLLAYISVPMLYHSAVSMVNALA >EOY32927 pep chromosome:Theobroma_cacao_20110822:9:34495380:34504069:1 gene:TCM_040945 transcript:EOY32927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 2 MEDSAEVQTEENKVSLDVNKKRTVKTPAQVMALENFYKEHRFPSDEMKAQLAAQIRLTEKQISSWFCHRRLKDKRRDESYANGRQDHSSGVIQDRGSGLRQDSCGSIKQGDYRNVDPREVESRRIYGHDFPVADLTYERRSHQNPYNAHMEDTSSESSSSLHDQRFSESRDPYDMQISANLTQNGAITQIKPRIVKSMGYKPSGYLKVKGEMENPAVTAVKRQLGSHYQEDGPLLGIEFDPLPPGAFEFRSSNLVNEPIYVGDPRQEHSPDISGVIKQPNLNIINEVHNPKMSSQASYMEDANLNTEHGSDRQDRKSHHQLKYKSSFLCSNPFPGQNSSINVYESSAGKTAVSDCKRSRMSFKPAVERMGSDSFSNQPGPYGSKIANEQEKPWLHDDDNHTYKAPKNENLSKTSDLIHGCSESLGTERGPSARMGKLEKLGGEWKPKREYPVRENIDPTNELKVAKRVKVEFPQQNFVANASHSRSLLLTNPTKGSSMDVPSSFSEDETAETSSSLD >EOY32928 pep chromosome:Theobroma_cacao_20110822:9:34495880:34504042:1 gene:TCM_040945 transcript:EOY32928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 2 MEDSAEVQTEENKVSLDVNKKRTVKTPAQVMALENFYKEHRFPSDEMKAQLAAQIRLTEKQISSWFCHRRLKDKRRDESYANGRQDHSSGVIQDRGSGLRQDSCGSIKQGDYRNVDPREVESRRIYGHDFPVADLTYERRSHQNPYNAHMEDTSSESSSSLHDQRFSESRDPYDMQISANLTQNGAITQIKPRIVKSMGYKPSGYLKVKGEMENPAVTAVKRQLGSHYQEDGPLLGIEFDPLPPGAFEFRSSNLVNEPIYVGDPRQEHSPDISGVIKQPNLNIINEVHNPKMSSQASYMEDANLNTEHGSDRQDRKSHHQLKYKSSFLCSNPFPGQNSSINVYESSAGKTAVSDCKRSRMSFKPAVERMGSDSFSNQPGPYGSKIANEQEKPWLHDDDNHTYKAPKNENLSKTSDLIHGCSESLGTERGPSARMGKLEKLGGEWKPKREYPVRENIDPTNELKVFHGCTI >EOY29861 pep chromosome:Theobroma_cacao_20110822:9:2602127:2605323:1 gene:TCM_037262 transcript:EOY29861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 3 isoform 1 MQACSGAAMMGSVQQPMRVNRPAFPLKGSGVSGFPHQIKLNSVKPCRAQLEGSLVTGRPPSSVSVPVLESSFVDHGLSEADPEVRAIISKEKERQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELEILCQKRAVAAFHLDEKKWGVNVQPLSGSPANFEVYTAILNPHDRIMGLDLPHGGHLSHGFMTPKRRVSGASIYFESMPYRLDESTGLVDYVMLEKTATLFRPKLIIAGASAYPRDFDYSRMRKIADAVGAFLMMDMAHISGLVAASVAADPFEYCDIVTTTTHKSLRGPRGGMIFFKKDPVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSQEFKAYQNSV >EOY29860 pep chromosome:Theobroma_cacao_20110822:9:2601391:2605508:1 gene:TCM_037262 transcript:EOY29860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 3 isoform 1 MQACSGAAMMGSVQQPMRVNRPAFPLKGSGVSGFPHQIKLNSVKPCRAQLEGSLVTGRPPSSVSVPVLESSFVDHGLSEADPEVRAIISKEKERQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELEILCQKRAVAAFHLDEKKWGVNVQPLSGSPANFEVYTAILNPHDRIMGLDLPHGGHLSHGFMTPKRRVSGASIYFESMPYRLDESTGLVDYVMLEKTATLFRPKLIIAGASAYPRDFDYSRMRKIADAVGAFLMMDMAHISGLVAASVAADPFEYCDIVTTTTHKSLRGPRGGMIFFKKDPVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSQEFKAYQNQVVSNCRALASRLVELGYKLVSGGSDNHLVLVDLRPLGIDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGFTEKEFTAIADFIHEGVQITVDAKGLVSGSKLQEFLKFAVTPDFPLADKVANLRSRVEALTTQFPIPGV >EOY33362 pep chromosome:Theobroma_cacao_20110822:9:37107451:37113547:1 gene:TCM_041340 transcript:EOY33362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional nitrilase/nitrile hydratase NIT4 isoform 1 MQTSPLSDKQNDISTPTHKTMSLVPAPPISQPDGPLFAEVDMGGDSFAPTIRATVVQASTVFYGTPATLDKAERLLAEAAGYGSQLVLFPEAFIGGYPRGSNFGVTIGNRAAKGKEEFRKYHASAIDVPGPEVDRLAAMAGKYKVYLVMGVIERDGYTLYCTVLFFDSQGRFLGKHRKIMPTALERIIWGFGDGSTIPVFETPIGKIGAAVCWENKMPLLRTAMYAKGYIFRHTNCIRSVLSTEASRSVPSKEAPNGVLSAETLKSVSSAETPRVFQAHNLATTYIRGVLSTEASKVFQAQKRLRVFQVQKHPRVFQAQKHLRVFQAWKQSIPNVEASRGVPNAEAFKGVPSGKASKGIPNTEAAQRVPSREAFKGVPSMEAHSKRGNAQGCSQSVEIYCAPTADSRDVWQASMTHIALEGGCFVLSANQFCQRKDYPPPPEYLFSGTEEELTPDSVVCAGGSVIISPSGAILAGPNYDGEALISADLDLGEIARAKFDFDVVGHYSRPEVFSLVVRDHPAKPVTFTSASEKTEDAYK >EOY33363 pep chromosome:Theobroma_cacao_20110822:9:37107435:37113547:1 gene:TCM_041340 transcript:EOY33363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional nitrilase/nitrile hydratase NIT4 isoform 1 MQTSPLSDKQNDISTPTHKTMSLVPAPPISQPDGPLFAEVDMGGDSFAPTIRATVVQASTVFYGTPATLDKAERLLAEAAGYGSQLVLFPEAFIGGYPRGSNFGVTIGNRAAKGKEEFRKYHASAIDVPGPEVDRLAAMAGKYKVYLVMGVIERDGYTLYCTVLFFDSQGRFLGKHRKIMPTALERIIWGFGDGSTIPVFETPIGKIGAAVCWENKMPLLRTAMYAKGVEIYCAPTADSRDVWQASMTHIALEGGCFVLSANQFCQRKDYPPPPEYLFSGTEEELTPDSVVCAGGSVIISPSGAILAGPNYDGEALISADLDLGEIARAKFDFDVVGHYSRPEVFSLVVRDHPAKPVTFTSASEKTEDAYK >EOY30998 pep chromosome:Theobroma_cacao_20110822:9:6610042:6621699:1 gene:TCM_038034 transcript:EOY30998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazole glycerol phosphate synthase subunit hisf isoform 1 MEGVPYAYTTSFKTQSFLSSALSSSSIITIHQKRHKTILKSISRRNLVICASSGSSSVVKLLDYGAGNVRSLRNAIHYLGFEIEDVQTPKDILDAERLIFPGVGAFASAMDVLVKTGMAEALCSYIKNDRPFLGICLGLQLLFESSEENGPVNGLGLIPGVVGRFNSSNGFRVPHIGWNALQITKDSEILDDIGDHHVYFVHSYRAMPSDDNKEWISSTCNYGDDFIASIRRGNVHAVQFHPEKSGDVGLSVLRRFLDPKSQGTKNLTQGKASKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREHTKENEVRNLGKPVELAGQYYKDGADEVSFLNITGFRDFPLGDLPMLQVLRRTSENVFVPLTVGGGIRDFTDANGRHYSSLEVASEYFRSGADKISIGSDAVHAAEEYMKTKVKTGKSSLEQISKVYGNQAVVVSIDPRRVYLKSPNDVQFKTIRVTKPGPSGEEYAWYQCTVNGGREGRPIGAYELAKVVEELGAGEILLNCIDCDGQGKGFDIDLIKLISDAVSIPVIASSGAGAVEHFSEVFMKTNASAALAAGIFHRKEVPIQSVKGHLSKEGIEFRDCFRNDLFVCNHYI >EOY31000 pep chromosome:Theobroma_cacao_20110822:9:6611541:6621413:1 gene:TCM_038034 transcript:EOY31000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazole glycerol phosphate synthase subunit hisf isoform 1 ICASSVVKLLDYGAGNVRSLRNAIHYLGFEIEDVQTPKDILDAERLIFPGVGAFASAMDVLVKTGMAEALCSYIKNDRPFLGICLGLQLLFESSEENGPVNGLGLIPGVVGRFNSSNGFRVPHIGWNALQITKDSEILDDIGDHHVYFVHSYRAMPSDDNKEWISSTCNYGDDFIASIRRGNVHAVQFHPEKSGDVGLSVLRRFLDPKSQGTKNLTQGKASKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREHTKENEVRNLGKPVELAGQYYKDGADEVSFLNITGFRDFPLGDLPMLQVLRRTSENVFVPLTVGGGIRDFTDANGRHYSSLEVASEYFRSGADKISIGSDAVHAAEEYMKTKVKTGKSSLEQISKVYGNQAVVVSIDPRRVYLKSPNDVQFKTIRVTKPGPSGEEYAWYQCTVNGGREGRPIGAYELAKVVEELGAGEILLNCIDCDGQGKGFDIDLIKLISDAVSIPVIASSGAGAVEHFSEVFMKTNASAALAAGIFHRKEVPIQSVKGHLSKEGIEFRDCFRNDLF >EOY31001 pep chromosome:Theobroma_cacao_20110822:9:6611541:6621413:1 gene:TCM_038034 transcript:EOY31001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazole glycerol phosphate synthase subunit hisf isoform 1 ICASSVVKLLDYGAGNVRSLRNAIHYLGFEIEDVQTPKDILDAERLIFPGVGAFASAMDVLVKTGMAEALCSYIKNDRPFLGICLGLQLLFESSEENGPVNGLGLIPGVVGRFNSSNGFRVPHIGWNALQITKDSEILDDIGDHHVYFVHSYRAMPSDDNKEWISSTCNYGDDFIASIRRGNVHAVQFHPEKSGDVGLSVLRRFLDPKSQGTKNLTQGKASKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREHTKENEVRNLGKPVELAGQYYKDGADEVLRRTSENVFVPLTVGGGIRDFTDANGRHYSSLEVASEYFRSGADKISIGSDAVHAAEEYMKTKVKTGKSSLEQISKVYGNQAVVVSIDPRRVYLKSPNDVQFKTIRVTKPGPSGEEYAWYQCTVNGGREGRPIGAYELAKVVEELGAGEILLNCIDCDGQGKGFDIDLIKLISDAVSIPVIASSGAGAVEHFSEVFMKTNASAALAAGIFHRKEVPIQSVKGHLSKEGIEFRDCFRNDLF >EOY30997 pep chromosome:Theobroma_cacao_20110822:9:6609893:6621655:1 gene:TCM_038034 transcript:EOY30997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazole glycerol phosphate synthase subunit hisf isoform 1 MEGVPYAYTTSFKTQSFLSSALSSSSIITIHQKRHKTILKSISRRNLVICASSGSSSVVKLLDYGAGNVRSLRNAIHYLGFEIEDVQTPKDILDAERLIFPGVGAFASAMDVLVKTGMAEALCSYIKNDRPFLGICLGLQLLFESSEENGPVNGLGLIPGVVGRFNSSNGFRVPHIGWNALQITKDSEILDDIGDHHVYFVHSYRAMPSDDNKEWISSTCNYGDDFIASIRRGNVHAVQFHPEKSGGKIFCALNVGLSVLRRFLDPKSQGTKNLTQGKASKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREHTKENEVRNLGKPVELAGQYYKDGADEVSFLNITGFRDFPLGDLPMLQVLRRTSENVFVPLTVGGGIRDFTDANGRHYSSLEVASEYFRSGADKISIGSDAVHAAEEYMKTKVKTGKSSLEQISKVYGNQAVVVSIDPRRVYLKSPNDVQFKTIRVTKPGPSGEEYAWYQCTKSLSYAHPEWLSVPKVNGGREGRPIGAYELAKVVEELGAGEILLNCIDCDGQGKGFDIDLIKLISDAVSIPVIASSGAGAVEHFSEVFMKTNASAALAAGIFHRKEVPIQSVKGHLSKEGIEVRI >EOY30999 pep chromosome:Theobroma_cacao_20110822:9:6611541:6621413:1 gene:TCM_038034 transcript:EOY30999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazole glycerol phosphate synthase subunit hisf isoform 1 ICASSVVKLLDYGAGNVRSLRNAIHYLGFEIEDVQTPKDILDAERLIFPGVGAFASAMDVLVKTGMAEALCSYIKNDRPFLGICLGLQLLFESSEENGPVNGLGLIPGVVGRFNSSNGFRVPHIGWNALQITKDSEILDDIGDHHVYFVHSYRAMPSDDNKEWISSTCNYGDDFIASIRRGNVHAVQFHPEKSGDVGLSVLRRFLDPKSQGTKNLTQGKASKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREHTKENEVRNLGKPVELAGQYYKDGADEVSFLNITGFRDFPLGDLPMLQVLRRTSENVFVPLTVGGGIRDFTDANGRHYSSLEVASEYFRSGADKISIGSDAVHAAEEYMKTKVKTGKSSLEQISKVYGNQAVVVSIDPRRVYLKSPNDVQFKTIRVTKPGPSGEEYAWYQCTKSLSYAHPEWLSVPKVNGGREGRPIGAYELAKVVEELGAGEILLNCIDCDGQGKGFDIDLIKLISDAVSIPVIASSGAGAVEHFSEVFMKTNASAALAAGIFHRKEVPIQSVKGHLSKEGIEFRDCFRNDLF >EOY33273 pep chromosome:Theobroma_cacao_20110822:9:36190676:36192709:-1 gene:TCM_041216 transcript:EOY33273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATEDKDIHQMVSQLNQTLSLTDQNLDQVSEDRSTKVLNILAGAAAELKANQEDLKEDFYELLAPFYQSGEGNSRNFDLSQLQDEVLRVIGTKGENELVGLAEGLEGLKKRGDELNERVIELMTDYNIVPKCSGIEESSKENKTTDLGSLAFTEEDGQETSEGLKKKLESGEFSLYDVTIQLYGFPWDVAMEIETSLGYRRLVKSERLFLEDEGQIMLDDDGELQEKDAGELKWVRVKKLKQKFEELKKKIYIKEELDLNVSPKASDSGDDLDIVTVNTRIRFLRVLRNWIFHIESVFVDLMYEIYYMFSGNEAEETIFQDLQSKLKHMMEIYFGMVPISVYKIIYMMENPEGLKLDGLVMRMATVFGSDTERLYEKLKLNDRMDQAHKIILLLRSVLVTAGLSYTRMIKEGESALYEKLLSESEEELREFQRNIDWVKSELKFI >EOY30136 pep chromosome:Theobroma_cacao_20110822:9:3534895:3546114:-1 gene:TCM_037451 transcript:EOY30136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein, putative isoform 1 MGNPDNKLSDLVDIVKSWIPRRSEPPNVSRDFWMPDQSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCTANSVPAPSDVQRAGQEDSERIRVCNYCFKQWEQWIAAVDTGTNAHSPGLSPSPSATSLASTKSSCTCNSSSSTVGSTPYSTGPYHRVNYNSGLSPRESSQMNASATEQNNKASGTSTNPSSAAVDSSSNHFGLCDNRSDDEDDDYGAYHSDSESRHYAHAEDYYGAINIGSIDRVYGSDKVHPDGGNMDTKSLSGSPLPENFNAQSVDGIKKFEEVNERENADEGEVPAYDVDGTDVEPVDFENNGLLWLPPEPEDEEDERESALFDDDDDDEGASGEWGYLRSSNSFGSGEYRSRDKSNEEHRRAMKNVVEGHFRALVAQLLQVENLPVGDEDGGDSWLDIITYLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRRNESSVVKGVVCKKNVAHRRMTSKIDKPRFLILGGALEYQRISSHLSSFDTLLQQEMDHLKMAVAKIDAHHPNVLLVEKSVSRHAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLTSPKLGYCDVFHVEKFLEEHGSAGQGGKKLTKTLMFFDGCPKPLGYTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLKSPITVALPDKPASIDRSISTIPGFTVPSSGKPMASQPINELQKSNKVVISDRPSSANVEPPCESRGASSSCLSKGLHTQTTLKEYASSSIEAITSLNSLSALRENISSHGNVLSLNHAFSKVNGIDPKESVQTKTASSEAVMDDGFISICQSLLEAPDQGGGSNHTDGNMLVANHLGVPQLASSKRDTSNNNEEVGSSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDQSFRCRSCEMPSEAHVHCYTHRQGSLTISVRKLPELPLPGQREGKIWMWHRCLRCPRANKFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRRVACFRYAAIDVHSVYLPPPKLEFNYDNQEWIQSEANEVTNRAEFLFREVYNALQKMSEKLLGPGFQDGGIKSPEKRICIEELEAMLQKDREEFQESLQEVLCKEVKVGQPVIDILEINKLQRQILFLSYVWDQRLIHAFSSIVNNIQEVMSSSIPKLGLKPVSSVEKLVEINVSPKPSKALSSCDSALVQTKPDININQEGNTGEISEPGGDHREKGMDQDLNSRNEAESSLSCSANTSEKSDSLESGKVVRRALSEGEFPIMANLSDTLEAAWTGESHPASVGPKENGYSVSDTVVVDLSTAANSDMGNRTSDRGEVEVACSPQSALPTKGPENMEKTMSWASMPFPNFYSLFNKNSSFNAQKLSISEYNPVYVSSLRELERQSGARLLLPIGVNDTVVPVYDDEPTSIIAYALVSSDYYSQMSELEKPKDAADSAVSSSLFDSVNLLLLNSFNDSSSDTFRSFGSGDESILSISGSYSSLVSDPLLDTKNFHARVSFTDDGPLGKVKHSVTCYYAKWFESLRRTCCPSELDFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFGPAYFKYLSDSISTRSPTCLAKILGIYQVSSKYLKGGKESKMDVLVIENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGSKAKRLLERAVWNDTSFLAINGPLQQLFPVGRRQNFVKKMHRVIILLNDNVNPFCLYVSRCKFK >EOY30137 pep chromosome:Theobroma_cacao_20110822:9:3535114:3545111:-1 gene:TCM_037451 transcript:EOY30137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein, putative isoform 1 MGNPDNKLSDLVDIVKSWIPRRSEPPNVSRDFWMPDQSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCTANSVPAPSDVQRAGQEDSERIRVCNYCFKQWEQWIAAVDTGTNAHSPGLSPSPSATSLASTKSSCTCNSSSSTVGSTPYSTGPYHRVNYNSGLSPRESSQMNASATEQNNKASGTSTNPSSAAVDSSSNHFGLCDNRSDDEDDDYGAYHSDSESRHYAHAEDYYGAINIGSIDRVYGSDKVHPDGGNMDTKSLSGSPLPENFNAQSVDGIKKFEEVNERENADEGEVPAYDVDGTDVEPVDFENNGLLWLPPEPEDEEDERESALFDDDDDDEGASGEWGYLRSSNSFGSGEYRSRDKSNEEHRRAMKNVVEGHFRALVAQLLQVENLPVGDEDGGDSWLDIITYLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRRNESSVVKGVVCKKNVAHRRMTSKIDKPRFLILGGALEYQRISSHLSSFDTLLQQEMDHLKMAVAKIDAHHPNVLLVEKSVSRHAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLTSPKLGYCDVFHVEKFLEEHGSAGQGGKKLTKTLMFFDGCPKPLGYTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLKSPITVALPDKPASIDRSISTIPGFTVPSSGKPMASQPINELQKSNKVVISDRPSSANVEPPCESRGASSSCLSKGLHTQTTLKEYASSSIEAITSLNSLSALRENISSHGNVLSLNHAFSKVNGIDPKESVQTKTASSEAVMDDGFISICQSLLEAPDQGGGSNHTDGNMLVANHLGVPQLASSKRDTSNNNEEVGSSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDQSFRCRSCEMPSEAHVHCYTHRQGSLTISVRKLPELPLPGQREGKIWMWHRCLRCPRANKFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRRVACFRYAAIDVHSVYLPPPKLEFNYDNQEWIQSEANEVTNRAEFLFREVYNALQKMSEKLLGPGFQDGGIKSPEKRICIEELEAMLQKDREEFQESLQEVLCKEVKVGQPVIDILEINKLQRQILFLSYVWDQRLIHAFSSIVNNIQEVMSSSIPKLGLKPVSSVEKLVEINVSPKPSKALSSCDSALVQTKPDININQEGNTGEISEPGGDHREKGMDQDLNSRNEAESSLSCSANTSEKSDSLESGKVVRRALSEGEFPIMANLSDTLEAAWTGESHPASVGPKENGYSVSDTVVVDLSTAANSDMGNRTSDRGEVEVACSPQSALPTKGPENMEKTMSWASMPFPNFYSLFNKNSSFNAQKLSISEYNPVYVSSLRELERQSGARLLLPIGVNDTVVPVYDDEPTSIIAYALVSSDYYSQMSELEKPKDAADSAVSSSLFDSVNLLLLNSFNDSSSDTFRSFGSGDESILSISGSYSSLVSDPLLDTKNFHARVSFTDDGPLGKVKHSVTCYYAKWFESLRRTCCPSELDFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFGPAYFKYLSDSISTRSPTCLAKILGIYQVSSKYLKGGKESKMDVLVIENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGSKAKRLLERAVWNDTSFLALIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKISGILGGPKNASPTVISPQQYKKRFRKAMTAYFLMVPDQWSPPTIVPSRSQTELCEENAQGDNSVE >EOY30138 pep chromosome:Theobroma_cacao_20110822:9:3534874:3545882:-1 gene:TCM_037451 transcript:EOY30138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein, putative isoform 1 MGNPDNKLSDLVDIVKSWIPRRSEPPNVSRDFWMPDQSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCTANSVPAPSDVQRAGQEDSERIRVCNYCFKQWEQWIAAVDTGTNAHSPGLSPSPSATSLASTKSSCTCNSSSSTVGSTPYSTGPYHRVNYNSGLSPRESSQMNASATEQNNKASGTSTNPSSAAVDSSSNHFGLCDNRSDDEDDDYGAYHSDSESRHYAHAEDYYGAINIGSIDRVYGSDKVHPDGGNMDTKSLSGSPLPENFNAQSVDGIKKFEEVNERENADEGEVPAYDVDGTDVEPVDFENNGLLWLPPEPEDEEDERESALFDDDDDDEGASGEWGYLRSSNSFGSGEYRSRDKSNEEHRRAMKNVVEGHFRALVAQLLQVENLPVGDEDGGDSWLDIITYLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRRNESSVVKGVVCKKNVAHRRMTSKIDKPRFLILGGALEYQRISSHLSSFDTLLQQEMDHLKMAVAKIDAHHPNVLLVEKSVSRHAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLTSPKLGYCDVFHVEKFLEEHGSAGQGGKKLTKTLMFFDGCPKPLGYTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLKSPITVALPDKPASIDRSISTIPGFTVPSSGKPMASQPINELQKSNKVVISDRPSSANVEPPCESRGASSSCLSKGLHTQTTLKEYASSSIEAITSLNSLSALRENISSHGNVLSLNHAFSKVNGIDPKESVQTKTASSEAVMDDGFISICQSLLEAPDQGGGSNHTDGNMLVANHLGVPQLASSKRDTSNNNEEVGSSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDQSFRCRSCEMPSEAHVHCYTHRQGSLTISVRKLPELPLPGQREGKIWMWHRCLRCPRANKFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRRVACFRYAAIDVHSVYLPPPKLEFNYDNQEWIQSEANEVTNRAEFLFREVYNALQKMSEKLLGPGFQDGGIKSPEKRICIEELEAMLQKDREEFQESLQEVLCKEVKVGQPVIDILEINKLQRQILFLSYVWDQRLIHAFSSIVNNIQEVMSSSIPKLGLKPVSSVEKLVEINVSPKPSKALSSCDSALVQTKPDININQEGNTGEISEPGGDHREKGMDQDLNSRNEAESSLSCSANTSEKSDSLESGKVVRRALSEGEFPIMANLSDTLEAAWTGESHPASVGPKENGYSVSDTVVVDLSTAANSDMGNRTSDRGEVEVACSPQSALPTKGPENMEKTMSWASMPFPNFYSLFNKNSSFNAQKLSISEYNPVYVSSLRELERQSGARLLLPIGVNDTVVPVYDDEPTSIIAYALVSSDYYSQMSELEKPKDAADSAVSSSLFDSVNLLLLNSFNDSSSDTFRSFGSGDESILSISGSYSSLVSDPLLDTKNFHARVSFTDDGPLGKVKHSVTCYYAKWFESLRRTCCPSELDFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFGPAYFKYLSDSISTRSPTCLAKILGIYQVSSKYLKGGKESKMDVLVIENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGSKAKRLLERAVWNDTSFLAINGPLQQLFPVGRRQNFVKKMHRVIILLNDNVNPFCLYVSRCKFK >EOY30135 pep chromosome:Theobroma_cacao_20110822:9:3535177:3546114:-1 gene:TCM_037451 transcript:EOY30135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein, putative isoform 1 MGNPDNKLSDLVDIVKSWIPRRSEPPNVSRDFWMPDQSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCTANSVPAPSDVQRAGQEDSERIRVCNYCFKQWEQWIAAVDTGTNAHSPGLSPSPSATSLASTKSSCTCNSSSSTVGSTPYSTGPYHRVNYNSGLSPRESSQMNASATEQNNKASGTSTNPSSAAVDSSSNHFGLCDNRSDDEDDDYGAYHSDSESRHYAHAEDYYGAINIGSIDRVYGSDKVHPDGGNMDTKSLSGSPLPENFNAQSVDGIKKFEEVNERENADEGEVPAYDVDGTDVEPVDFENNGLLWLPPEPEDEEDERESALFDDDDDDEGASGEWGYLRSSNSFGSGEYRSRDKSNEEHRRAMKNVVEGHFRALVAQLLQVENLPVGDEDGGDSWLDIITYLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRRNESSVVKGVVCKKNVAHRRMTSKIDKPRFLILGGALEYQRISSHLSSFDTLLQQEMDHLKMAVAKIDAHHPNVLLVEKSVSRHAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLTSPKLGYCDVFHVEKFLEEHGSAGQGGKKLTKTLMFFDGCPKPLGYTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLKSPITVALPDKPASIDRSISTIPGFTVPSSGKPMASQPINELQKSNKVVISDRPSSANVEPPCESRGASSSCLSKGLHTQTTLKEYASSSIEAITSLNSLSALRENISSHGNVLSLNHAFSKVNGIDPKESVQTKTASSEAVMDDGFISICQSLLEAPDQGGGSNHTDGNMLVANHLGVPQLASSKRDTSNNNEEVGSSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDQSFRCRSCEMPSEAHVHCYTHRQGSLTISVRKLPELPLPGQREGKIWMWHRCLRCPRANKFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRRVACFRYAAIDVHSVYLPPPKLEFNYDNQEWIQSEANEVTNRAEFLFREVYNALQKMSEKLLGPGFQDGGIKSPEKRICIEELEAMLQKDREEFQESLQEVLCKEVKVGQPVIDILEINKLQRQILFLSYVWDQRLIHAFSSIVNNIQEVMSSSIPKLGLKPVSSVEKLVEINVSPKPSKALSSCDSALVQTKPDININQEGNTGEISEPGGDHREKGMDQDLNSRNEAESSLSCSANTSEKSDSLESGKVVRRALSEGEFPIMANLSDTLEAAWTGESHPASVGPKENGYSVSDTVVVDLSTAANSDMGNRTSDRGEVEVACSPQSALPTKGPENMEKTMSWASMPFPNFYSLFNKNSSFNAQKLSISEYNPVYVSSLRELERQSGARLLLPIGVNDTVVPVYDDEPTSIIAYALVSSDYYSQMSELEKPKDAADSAVSSSLFDSVNLLLLNSFNDSSSDTFRSFGSGDESILSISGSYSSLVSDPLLDTKNFHARVSFTDDGPLGKVKHSVTCYYAKWFESLRRTCCPSELDFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFGPAYFKYLSDSISTRSPTCLAKILGIYQVSSKYLKGGKESKMDVLVIENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGSKAKRLLERAVWNDTSFLALIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKISGILGGPKNASPTVISPQQYKKRFRKAMTAYFLMVPDQWSPPTIV >EOY30134 pep chromosome:Theobroma_cacao_20110822:9:3534915:3546299:-1 gene:TCM_037451 transcript:EOY30134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase family protein, putative isoform 1 MGNPDNKLSDLVDIVKSWIPRRSEPPNVSRDFWMPDQSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCTANSVPAPSDVQRAGQEDSERIRVCNYCFKQWEQWIAAVDTGTNAHSPGLSPSPSATSLASTKSSCTCNSSSSTVGSTPYSTGPYHRVNYNSGLSPRESSQMNASATEQNNKASGTSTNPSSAAVDSSSNHFGLCDNRSDDEDDDYGAYHSDSESRHYAHAEDYYGAINIGSIDRVYGSDKVHPDGGNMDTKSLSGSPLPENFNAQSVDGIKKFEEVNERENADEGEVPAYDVDGTDVEPVDFENNGLLWLPPEPEDEEDERESALFDDDDDDEGASGEWGYLRSSNSFGSGEYRSRDKSNEEHRRAMKNVVEGHFRALVAQLLQVENLPVGDEDGGDSWLDIITYLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRRNESSVVKGVVCKKNVAHRRMTSKIDKPRFLILGGALEYQRISSHLSSFDTLLQQEMDHLKMAVAKIDAHHPNVLLVEKSVSRHAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLTSPKLGYCDVFHVEKFLEEHGSAGQGGKKLTKTLMFFDGCPKPLGYTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLKSPITVALPDKPASIDRSISTIPGFTVPSSGKPMASQPINELQKSNKVVISDRPSSANVEPPCESRGASSSCLSKGLHTQTTLKEYASSSIEAITSLNSLSALRENISSHGNVLSLNHAFSKVNGIDPKESVQTKTASSEAVMDDGFISICQSLLEAPDQGGGSNHTDGNMLVANHLGVPQLASSKRDTSNNNEEVGSSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDQSFRCRSCEMPSEAHVHCYTHRQGSLTISVRKLPELPLPGQREGKIWMWHRCLRCPRANKFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRRVACFRYAAIDVHSVYLPPPKLEFNYDNQEWIQSEANEVTNRAEFLFREVYNALQKMSEKLLGPGFQDGGIKSPEKRICIEELEAMLQKDREEFQESLQEVLCKEVKVGQPVIDILEINKLQRQILFLSYVWDQRLIHAFSSIVNNIQEVMSSSIPKLGLKPVSSVEKLVEINVSPKPSKALSSCDSALVQTKPDININQEGNTGEISEPGGDHREKGMDQDLNSRNEAESSLSCSANTSEKSDSLESGKVVRRALSEGEFPIMANLSDTLEAAWTGESHPASVGPKENGYSVSDTVVVDLSTAANSDMGNRTSDRGEVEVACSPQSALPTKGPENMEKTMSWASMPFPNFYSLFNKNSSFNAQKLSISEYNPVYVSSLRELERQSGARLLLPIGVNDTVVPVYDDEPTSIIAYALVSSDYYSQMSELEKPKDAADSAVSSSLFDSVNLLLLNSFNDSSSDTFRSFGSGDESILSISGSYSSLVSDPLLDTKNFHARVSFTDDGPLGKVKHSVTCYYAKWFESLRRTCCPSELDFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFGPAYFKYLSDSISTRSPTCLAKILGIYQVSSKYLKGGKESKMDVLVIENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGSKAKRLLERAVWNDTSFLALIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKISGILGGPKNASPTVISPQQYKKRFRKAMTAYFLMVPDQWSPPTIVPSRSQTELCEENAQGDNSVE >EOY34560 pep chromosome:Theobroma_cacao_20110822:9:41434925:41438204:1 gene:TCM_042188 transcript:EOY34560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGKNKKIAQETPAAASTRRKSATNARSIASMSPSNLPKAPTSFQGWKKPSFMHHAGAAGASGSGTNTSSFQAPDPFSMKGEKNKTISLQEPNPLSMKGEKNKTSFFQAPDPLSMKLVEKNKTSFFRTPDPLSMKGEKNKRIDQNPSPTSNQNLTLKISDGVNSDKTEVGNGAAVRWGRKLDLDMDPKKLRRVISNRISAQKSRMKKLQYVSEMEKKVEVLEAQIAVLAPQVALYRNQKHYLQMEQKGLKQRIAACAARKSLVDAEVEMNKAELNRLRQLQMAQQQQKLQAQASMGGWEHGFTLQMVNPGLSQSGTGHTMYVHPNQGTTWTDSTAWLGTRARTAAKYGLQPTWTGAVAEPKLESINTAAEWGSEPNPGRAVAESKLESINADFKLESINTAAEWESQRPNPGRAVAESKLESINAESKLESINTTAEWESQPNPGRAVSESKLESINTAAEWESQPKPGRAGAAPEHEPWESKPSQ >EOY33025 pep chromosome:Theobroma_cacao_20110822:9:35010533:35017055:1 gene:TCM_041034 transcript:EOY33025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 11, putative isoform 2 MEAAKSVLGLSLFSIFQLNLVVSAADIYSRNDFPPGFVFGASTSAFQYEGAATEDGRTPSIWDNFTHPGNMHGANADIASDGYHKYKEDVQLMAHTGLDAYRFSISWSRLIPNGRGPVNMKGLQYYNNLINELIRNGIQPHVTLLHADLPQALEDEYGGWINRKILRDFTAYADVCFREFGDRVLHWTTLNEPNVFVLGGYDIGFLPPQRCSASSPLNCSKGNSSTEPYMAAHNILLAHAAVAKLYKKQYQDKQHGFIGLNLCSYWFVPLTNTTKDVIATQRANDFYIDWYMHPLVYGDYPSSMKKVAGSRMPPFSNYESKQVKGSFDFIGLNFYLTMYVKDYPSSLEMEHRDVLADMALELLLFQYNASTFEYPILPWGLKRFLEHFKEAYGNPPIYIHENGQRTRRNSSLEDWPRVEYLNAYIGSVLDAIRNGSNTRGYFTWSFVDVFEMLDGYESSYGLYYVDMDDPDLRRYPKLSAKWYSEFLKGKSMDRNGVIQLNDTSFLDAQFTH >EOY33027 pep chromosome:Theobroma_cacao_20110822:9:35010910:35021698:1 gene:TCM_041034 transcript:EOY33027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 11, putative isoform 2 MEAAKSVLGLSLFSIFQLNLVVSAADIYSRNDFPPGFVFGASTSAFQYEGAATEDGRTPSIWDNFTHPGNMHGANADIASDGYHKYKEDVQLMAHTGLDAYRFSISWSRLIPNGRGPVNMKGLQYYNNLINELIRNGIQPHVTLLHADLPQALEDEYGGWINRKILRDFTAYADVCFREFGDRVLHWTTLNEPNVFVLGGYDIGFLPPQRCSASSPLNCSKGNSSTEPYMAAHNILLAHAAVAKLYKKQYQDKQHGFIGLNLCSYWFVPLTNTTKDVIATQRANDFYIDWYMHPLVYGDYPSSMKKVAGSRMPPFSNYESKQVKGSFDFIGLNFYLTMYVKDYPSSLEMEHRDVLADMALELLCRSSCIMKPRCFCVFTCMCGQACLMCRHYL >EOY33026 pep chromosome:Theobroma_cacao_20110822:9:35010654:35016976:1 gene:TCM_041034 transcript:EOY33026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta glucosidase 11, putative isoform 2 MEAAKSVLGLSLFSIFQLNLVVSAADIYSRNDFPPGFVFGASTSAFQYEGAATEDGRTPSIWDNFTHPGNMHGANADIASDGYHKYKEDVQLMAHTGLDAYRFSISWSRLIPNGRGPVNMKGLQYYNNLINELIRNGIQPHVTLLHADLPQALEDEYGGWINRKILRDFTAYADVCFREFGDRVLHWTTLNEPNVFVLGGYDIGFLPPQRCSASSPLNCSKGNSSTEPYMAAHNILLAHAAVAKLYKKQYQDKQHGFIGLNLCSYWFVPLTNTTKDVIATQRANDFYIDWYMHPLVYGDYPSSMKKVAGSRMPPFSNYESKQVKGSFDFIGLNFYLTMYVKDYPSSLEMEHRDVLADMALELLLFQYNASTFEYPILPWGLKRFLEHFKEAYGNPPIYIHENGRQRTRRNSSLEDWPRVEYLNAYIGSVLDAIREWVEYERLFHMVLRGCI >EOY30098 pep chromosome:Theobroma_cacao_20110822:9:3379488:3380899:1 gene:TCM_037424 transcript:EOY30098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein 1 MAAFASTSACALATLATIPRARGSISQHKSFTTIGGPVFNGLKLIPKIQFSKEINKFSTRSSCFKTAISCSLAQPETLKTVQNTIAKQLSIDVSTVTPETKFADLGADSLDTVEIMMALEEQFGVSIGEGGAENIATVQDAADLIEKVKPAA >EOY30991 pep chromosome:Theobroma_cacao_20110822:9:6598732:6601038:1 gene:TCM_038030 transcript:EOY30991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein, putative isoform 1 MSYPESCSSFMRLPQSTYAGSDAIGIWPEFAMNDGQFDQHSEFEQQPPFKRPRHSEDSQSNVVHCLPTNSRMPLNPPVNKGTSNIFFKTRMCAKFRLGTCRNGEGCNFAHGIEDLRQPPPNWQELVGGRDEERPSGNWDDDQKIIHRMKLCKKFYNGEECPYGDRCNFLHEDPSKFRDDMGRFRESSAISIGTTGPPGGHGTASDQSEGNRPLNSASSDAFRGNMKPVYWKTKLCTKWETTGHCPFGEKCHFAHGQSELQGIGGRVDGDFGNTCSGLTRTGSILTKVHNLPANDAPSVTASVPSLNEEAQAKKCLFKWKGPRKINSIYGDWLDDLPLVPNMPSQVES >EOY30990 pep chromosome:Theobroma_cacao_20110822:9:6598732:6601038:1 gene:TCM_038030 transcript:EOY30990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein, putative isoform 1 MSYPESCSSFMRLPQSTYAGSDAIGIWPEFAMNDGQFDQHSEFEQQPPFKRPRHSEDSQSNVVHCLPTNSRMPLNPPVNKGTSNIFFKTRMCAKFRLGTCRNGEGCNFAHGIEDLRQPPPNWQELVGGRDEERPSGNWDDDQKIIHRMKLCKKFYNGEECPYGDRCNFLHEDPSKFRDDMGRFRESSAISIGTTGPPGGHGTASDQSEGNRPLNSASSDAFRGNMKPVYWKTKLCTKWETTGHCPFGEKCHFAHGQSELQGIGGRVDGDFGNTCSGLTRTGSILTKVHNLPANDAPSVTASVPSLNEEAQAKKCLFKWKGPRKINSIYGDWLDDLPLVPNMPSQVES >EOY29647 pep chromosome:Theobroma_cacao_20110822:9:1908630:1913763:-1 gene:TCM_037132 transcript:EOY29647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin subfamily A member 4 MGCAQSRIDNEESVARCKDRKTLMKDAVIARNAFAAGHSGYAISLKNTGAALSDYGHGEAAEPLEHQHQIPPLDSTPQPPPPPPMIDNLPPPPPLPNFSPSPVAPIKRAVSMPAMPVKSRKEFDSSLAIEEEEEEEEEEEEEEEHHLGNEERGNNNNEDLRKDSRGPNREEITPPRTPENNNVGHQPPPMPEAKNMAWDYFFRVDDNMPGPSLGLDNINNETENTNADALEKNVGVGVGFDGHGGVDSEIEPKTPERPEEKVAMPVEVDDKGKQQVHIEHSKTAPADFRGVVKAVSSVNLMQVLNEIDDHFLKASESAQEVSKMLEATRLHYHSNFADNRGHIDHSARVLRVITWNRSFRGMTNGENGKDELDSEEYETHATVLDKLLAWEKKLYDEVKQGELMKLEYKRKVAWLNKQKKRGASAESLEKTKAAVSHLHTRYIVDMQSMDSTVSEVNRLRDEQLYPKLVVLVHGMANMWASMCIHHNSQLQIVEKLKPLDIAHASKETTKHHHERTIQLHNVVQEWHLQFDKLVTHQKQYIQALNSWLKLNLIPIESSLKEKISSPPRAQNPPIQALLHAWHDYLEKLPDEVAKSSILSFAAVIKTIIIHQDEEMKLKEKCEETRKEFFRKNQAFEEWYQKYKQRRTASDEIDAERGEDANAKDPVSERQFAVDSLKKRLEEEVEAYQKHCIQVREKSLGSLKIRLPELFRAMSDYSRACSDAYEKLRTITLSQKPNGAPS >EOY31112 pep chromosome:Theobroma_cacao_20110822:9:7092408:7094463:-1 gene:TCM_038116 transcript:EOY31112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRPGPRPYECVRRAWHSERHQPIRGSIIQQILRLAIDTHSTATKKNKEWQDKILTVIFKAEEIMYSKANSESEYMNPETLWDRVNDAINTIIRRDESTETGELLPPCVEAALNLGCHPVRASRSQRHCIPRTYLTPRAQEPISAAPRVLDKGGEERCPQLSPVHSGSQFTRIATNVNSNISVSQTNRHSYPFLSDNCPPGHDQLTRMETNTRPNLGQVYPLYYGIHYQNVESQTGSPVQENIASDNIIVGRPIGTSVAQPVEMGSLQNLFSSSDVDVGGKRIGQQDIRHTNEKSFGTECDLSLRLGLFSDPCMHVEKNSIGETEDVGPSSSQEGGKVNEAFQQKSKEFCFFPERNVNDHYESFSRKWIIDIEGRNLGATMRKRKATFGGNSEDEQFCWQPGPSSNN >EOY30266 pep chromosome:Theobroma_cacao_20110822:9:4017893:4020325:1 gene:TCM_037536 transcript:EOY30266 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive gene 4 isoform 5 MEPAPNGEEPTSWDELYNINLMPSELFLKFRKEIQGFRVGVNLEFYNAPINDFQTKLVLKPLSPERRWKFAYEPIHQDVRLLSKKIPVTKFLNLQVGIGHNFKLNATGWKWKLTTCLGGDGVSRIRNKTTLGLVPGLDFRFGWRADYVLPEITELLVLMNHCST >EOY30261 pep chromosome:Theobroma_cacao_20110822:9:4017870:4020366:1 gene:TCM_037536 transcript:EOY30261 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive gene 4 isoform 5 MEPAPNGEEPTSWDELYNINLMPSELFLKFRKEIQGFRVGVNLEFYNAPINDFQTKLVLKPLSPERRWKFAYEPIHQDVRLLSKKIPVTKFLNLQVGIGHNFKLNATGWKWKLTTCLGGDGVSRIRNKTTLGLVPGLDFRFGWRADYVLPEITGALGTDEPLFNMNSGRLQASLDRVEAILTHSS >EOY30262 pep chromosome:Theobroma_cacao_20110822:9:4018157:4019556:1 gene:TCM_037536 transcript:EOY30262 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive gene 4 isoform 5 MEPAPNGEEPTSWDELYNINLMPSELFLKFRKEIQGFRVGVNLEFYNAPINDFQTKLVLKPLSPERRWKFAYEPIHQDVRLLSKKIPVTKFLNLQVGIGHNFKLNATGWKWKLTTCLGGDGVSRIRNKTTLGLVPGLDFRFGWRADYVLPEITGHVFTIMCSLSLIP >EOY30264 pep chromosome:Theobroma_cacao_20110822:9:4017894:4020358:1 gene:TCM_037536 transcript:EOY30264 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive gene 4 isoform 5 MEPAPNGEEPTSWDELYNINLMPSELFLKFRKEIQGFRVGVNLEFYNAPINDFQTKLVLKPLSPERRWKFAYEPIHQDVRLLSKKIPVTKFLNLQVGIGHNFKLNATGWKWKLTTCLGGDGVSRIRNKTTLGLVPGLDFRFGWRADYVLPEITGALGTDEPLFNMNSGRLQASLDRVEAILTHSS >EOY30263 pep chromosome:Theobroma_cacao_20110822:9:4017853:4020291:1 gene:TCM_037536 transcript:EOY30263 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive gene 4 isoform 5 MEPAPNGEEPTSWDELYNINLMPSELFLKFRKEIQGFRVGVNLEFYNAPINDFQTKLVLKPLSPERRWKFAYEPIHQDVRLLSKKIPVTKFLNLQVGIGHNFKLNATGWKWKLTTCLGGDGVSRIRNKTTLGLVPGLDFRFGWRADYVLPEITGALGTDEPLFNMNSGRLQASLDRVEAILTHSS >EOY30265 pep chromosome:Theobroma_cacao_20110822:9:3997917:4021015:1 gene:TCM_037536 transcript:EOY30265 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive gene 4 isoform 5 MPAELFLKFRKEIQGFRVGVNLEFYNAPINDFQTKLVLKPLSPERRWKFAYEPIHQDVRLLSKKIPVTKFLNLQVGIGHNFKLNATGWKWKLTTCLGGDGVSRIRNKTTLGLVPGLDFRFGWRADYVLPEITGALGTDEPLFNMNSGRLQASLDRVEAILTHSS >EOY31714 pep chromosome:Theobroma_cacao_20110822:9:12986350:12988132:1 gene:TCM_038807 transcript:EOY31714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGARCLVTMFVPQGLCHLCENTPGDVDCQEITPIDDFDFSLAASALSMICFSATNFGPVHDLIFHSLATEHDLFMSKRGPLIDLIHVSPTICDANDDFWEDDIPSYLVNLGMIIFPYI >EOY32521 pep chromosome:Theobroma_cacao_20110822:9:30857361:30860938:1 gene:TCM_040498 transcript:EOY32521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) plant phosphoribosyltransferase family protein isoform 1 MQKPPQSVDFALKETSPNIGAGAVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYKGVTKHFEKKTNPEWNQVFAFSKERIQASVLEVLVKDKDVVIDDLIGRVMFDLNEIPRRVPPDSPLAPQWYRLEDRKGNKAKGELMLAVWMGTQADEAFPDAWHSDAASVGPDGVANIRSKVYLSPKLWYVRVNVIEAQDLVPTDKSRFPEVFVKVALGNQALRTRASQIKTINPMWNEDLMFVVAEPFEEPLVLTVEDRVGSNKDETLGKCVINLHAVQRRLDHKPVNSRWYNLEKHVIVDGEKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWRPSIGILELGILSAHGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIVDNFMPKWNEQYTWEVFDPCTVITVGVFDNGHMHGEAGGTKDARIGKVRIRLSTLEADRVYTHSYPLLVLHSSGVKKTGEVQLAVRFTCSTLINMLHMYSHPLLPKMHYIHPLSVIQLDSLRHQAMQIVSMRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLSGLIAVGKWLDQICNWRNPLTTILIHILFIILVLYPELILPTVFLYLFLIGIWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRVQTVIGDLATQGERFQSLLSWRDPRATTLFVTFCLIAAIVLYVTPFQVVALLIGLYALRHPRFRHKLPSVPLNFFRRLPARSDSML >EOY32520 pep chromosome:Theobroma_cacao_20110822:9:30858232:30861378:1 gene:TCM_040498 transcript:EOY32520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) plant phosphoribosyltransferase family protein isoform 1 MQKPPQSVDFALKETSPNIGAGAVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYKGVTKHFEKKTNPEWNQVFAFSKERIQASVLEVLVKDKDVVIDDLIGRVMFDLNEIPRRVPPDSPLAPQWYRLEDRKGNKAKGELMLAVWMGTQADEAFPDAWHSDAASVGPDGVANIRSKVYLSPKLWYVRVNVIEAQDLVPTDKSRFPEVFVKVALGNQALRTRASQIKTINPMWNEDLMFVVAEPFEEPLVLTVEDRVGSNKDETLGKCVINLHAVQRRLDHKPVNSRWYNLEKHVIVDGEKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWRPSIGILELGILSAHGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIVDNFMPKWNEQYTWEVFDPCTVITVGVFDNGHMHGEAGGTKDARIGKVRIRLSTLEADRVYTHSYPLLVLHSSGVKKTGEVQLAVRFTCSTLINMLHMYSHPLLPKMHYIHPLSVIQLDSLRHQAMQIVSMRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLSGLIAVGKWLDQICNWRNPLTTILIHILFIILVLYPELILPTVFLYLFLIGIWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRVQTVIGDLATQGERFQSLLSWRDPRATTLFVTFCLIAAIVLYVTPFQVVALLIGLYALRHPRFRHKLPSVPLNFFRRLPARSDSML >EOY32523 pep chromosome:Theobroma_cacao_20110822:9:30856907:30861270:1 gene:TCM_040498 transcript:EOY32523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) plant phosphoribosyltransferase family protein isoform 1 MQKPPQSVDFALKETSPNIGAGAVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYKGVTKHFEKKTNPEWNQVFAFSKERIQASVLEVLVKDKDVVIDDLIGRVMFDLNEIPRRVPPDSPLAPQWYRLEDRKGNKAKGELMLAVWMGTQADEAFPDAWHSDAASVGPDGVANIRSKVYLSPKLWYVRVNVIEAQDLVPTDKSRFPEVFVKVALGNQALRTRASQIKTINPMWNEDLMFVVAEPFEEPLVLTVEDRVGSNKDETLGKCVINLHAVQRRLDHKPVNSRWYNLEKHVIVDGEKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWRPSIGILELGILSAHGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIVDNFMPKWNEQYTWEVFDPCTVITVGVFDNGHMHGEAGGTKDARIGKVRIRLSTLEADRVYTHSYPLLVLHSSGVKKTGEVQLAVRFTCSTLINMLHMYSHPLLPKMHYIHPLSVIQLDSLRHQAMQIVSMRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLSGLIAVGKWLDQICNWRNPLTTILIHILFIILVLYPELILPTVFLYLFLIGIWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRVQTVIGDLATQGERFQSLLSWRDPRATTLFVTFCLIAAIVLYVTPFQVVALLIGLYALRHPRFRHKLPSVPLNFFRRLPARSDSML >EOY32522 pep chromosome:Theobroma_cacao_20110822:9:30857450:30860938:1 gene:TCM_040498 transcript:EOY32522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) plant phosphoribosyltransferase family protein isoform 1 MQKPPQSVDFALKETSPNIGAGAVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYKGVTKHFEKKTNPEWNQVFAFSKERIQASVLEVLVKDKDVVIDDLIGRVMFDLNEIPRRVPPDSPLAPQWYRLEDRKGNKAKGELMLAVWMGTQADEAFPDAWHSDAASVGPDGVANIRSKVYLSPKLWYVRVNVIEAQDLVPTDKSRFPEVFVKVALGNQALRTRASQIKTINPMWNEDLMFVVAEPFEEPLVLTVEDRVGSNKDETLGKCVINLHAVQRRLDHKPVNSRWYNLEKHVIVDGEKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWRPSIGILELGILSAHGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIVDNFMPKWNEQYTWEVFDPCTVITVGVFDNGHMHGEAGGTKDARIGKVRIRLSTLEADRVYTHSYPLLVLHSSGVKKTGEVQLAVRFTCSTLINMLHMYSHPLLPKMHYIHPLSVIQLDSLRHQAMQIVSMRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLSGLIAVGKWLDQICNWRNPLTTILIHILFIILVLYPELILPTVFLYLFLIGIWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRVQTVIGDLATQGERFQSLLSWRDPRATTLFVTFCLIAAIVLYVTPFQVVALLIGLYALRHPRFRHKLPSVPLNFFRRLPARSDSML >EOY31442 pep chromosome:Theobroma_cacao_20110822:9:8859258:8864139:1 gene:TCM_038377 transcript:EOY31442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-protein thioesterase 2 MSSGSGTTRTFEFGRTHVVRPKGNHQATIIWLHGLGDKGSSWSQLLETLPLPNIKWICPTAPTRPVAIFGGHPCTAWFDVGDLSGDGPDDLEGLDASAAHVANLLSTEPANIKLGIGGFSMGAATALYSATCHILGKYGNGNLYPVNLSAVVGLSGWLPCSRTLRNQMEGSIQAVRRAASLPILLCHGLGDDVVAYKHGEKSAQALNSVGFRNLMFRTYNGLGHYTIPEETDEVCNWLTARLGLQGSRS >EOY29687 pep chromosome:Theobroma_cacao_20110822:9:2057570:2060496:-1 gene:TCM_037155 transcript:EOY29687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAIIGDALRQAFMPKHEYESLREEDKAWTKLQRPILIGSIGIICLAIFVCTVISLKIVFPGDDLKRPFCDDRRLQPLPVNVKGGGGGDSDLLPGAFSLTDQETVDYYWMVVFVPSMIIFLASVLYLVAGITVAYAAPTRHGCLKVVENNYCASKRGGVRCLSILNVVFAIIFGLLALFLGSSLLTLGSSCSLPLFWCYEIGSWGLVILYAGTAIFLRRRAAIILDEGEFGSRNLGLEMLEANPLEVTPDVERRVNEGFKAWMGSSLLSSDDEDEPETYHDAPHLTRTASNRQRV >EOY29688 pep chromosome:Theobroma_cacao_20110822:9:2057642:2060497:-1 gene:TCM_037155 transcript:EOY29688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAIIGDALRQAFMPKHEYESLREEDKAWTKLQRPILIGSIGIICLAIFVCTVISLKIVFPGDDLKRPFCDDRRLQPLPVNVKGGGGGDSDLLPGAFSLTDQETVDYYWMVVFVPSMIIFLASVLYLVAAPTRHGCLKVVENNYCASKRGGVRCLSILNVVFAIIFGLLALFLGSSLLTLGSSCSLPLFWCYEIGSWGLVILYAGTAIFLRRRAAIILDEGEFGSRNLGLEMLEANPLEVTPDVERRVNEGFKAWMGSSLLSSDDEDEPETYHDAPHLTRTASNRQRV >EOY29592 pep chromosome:Theobroma_cacao_20110822:9:1694344:1697678:1 gene:TCM_037091 transcript:EOY29592 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein MKITSLLVLKCNPEGSDPIILANATDVSHFGYFQRSSVKEFIVFVSRTVAKRTSPGQRQSVQHEEYKVHAYNRNGLCALAFMDDHYPVRSAFSLLNQVLDEYQKNFGDSWRTVQADSTQPWPYLNEALTKFQDPAEADKLLKIQRELDETKIILHKTIDSVLARGERLDSLVEKSSDLSAASQMFYKQAKKTNQCCTIL >EOY31450 pep chromosome:Theobroma_cacao_20110822:9:8866877:8870442:-1 gene:TCM_038379 transcript:EOY31450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tesmin/TSO1-like CXC domain-containing protein, putative isoform 5 MDSPEPSKAPISSSSAAASISASSPVQESPFSNYISSLSPIKHDKVPHVAQGFLGLNSPPLVFTSPHINTLRRPQSSSVEVSQNGEGDKKNIDGPGSLERSVSELQQGLITDIKKEDDTKDSVSVQPSSSSGCVDEYLADPVEADCANSEYFINLNCKESKNAFQSSVNGLLETKNLKFAGKNDVGREIDAAQLLSGQSEEGLERKLTSHVKPVKIEDEQHAGQVKSDECPEFGSDMFDLSSQGKECKNLDAQKVVEDHEDRCDGFLQLLPGSLQRVQEYEDFAENFEGVAEVTVDSMTNDLEASEHQRGMSRRCLQFGDAQPEATANCSSSSLANDMITSRSVATTSETEGLGLSHVDLSVISRKRQLVNLSQLAINMIPQHYGEKSSLTVSKPSGIGLHLNSIVNAIPMGRGGTASMKLAVDSMGIQGIKSASVMSCQSMENMQSCSDAFEKVLAAPQDGTLEAKACVIPGSAASESLCTMESIDCQTTLHRKRELSSEHGDSNEMFNQQSPKKKRKKSSNSTDGEGCKRCNCKKTKCLKLYCDCFAAGIYCADPCSCQGCFNRPEYEDTVLETRQQIESRNPLAFAPKIVQPVTEFPVTSREDGNWKTPSSARHKRGCNCKRSMCLKKYCECYQVWYLLLPESSVTLTMSSLLVYCCWSYESSLY >EOY31444 pep chromosome:Theobroma_cacao_20110822:9:8865466:8870610:-1 gene:TCM_038379 transcript:EOY31444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tesmin/TSO1-like CXC domain-containing protein, putative isoform 5 MFDLSSQGKECKNLDAQKVVEDHEDRCDGFLQLLPGSLQRVQEYEDFAENFEGVAEVTVDSMTNDLEASEHQRGMSRRCLQFGDAQPEATANCSSSSLANDMITSRSVATTSETEGLGLSHVDLSVISRKRQLVNLSQLAINMIPQHYGEKSSLTVSKPSGIGLHLNSIVNAIPMGRGGTASMKLAVDSMGIQGIKSASVMSCQSMENMQSCSDAFEKVLAAPQDGTLEAKACVIPGSAASESLCTMESIDCQTTLHRKRELSSEHGDSNEMFNQQSPKKKRKKSSNSTDGEGCKRCNCKKTKCLKLYCDCFAAGIYCADPCSCQGCFNRPEYEDTVLETRQQIESRNPLAFAPKIVQPVTEFPVTSREDGNWKTPSSARHKRGCNCKRSMCLKKYCECYQANVGCSIGCRCEGCKNVFGKKEDYCVTEEIVNRGGGEISESTVAAKKDFLNSDLCDPHYLTPLTPSFQCSDHGKNAPKSRLLSRRCLPSPESDLTVLAKSPRSPRTSDSNDMLLETSKENLDVGSYCEGINYNNADVLGDGCHHTPLPNHPSIILGSTSSKARELTSLSRFPLGPRSGCLSSGGSLRWRSSPITPMSSLDGTKNLQGLDSDGLSDILEDDTPEILKDTSTPNKSVKTSSPNGKRVSPPHNLLQLGSSSSGPLRSGRKFILKAVPSFPPLTPCIDLKGSSNQNRSSCQENSSND >EOY31445 pep chromosome:Theobroma_cacao_20110822:9:8863950:8870610:-1 gene:TCM_038379 transcript:EOY31445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tesmin/TSO1-like CXC domain-containing protein, putative isoform 5 MDSPEPSKAPISSSSAAASISASSPVQESPFSNYISSLSPIKHDKVPHVAQGFLGLNSPPLVFTSPHINTLRRPQSSSVEVSQNGEGDKKNIDGPGSLERSVSELQQGLITDIKKEDDTKDSVSVQPSSSSGCVDEYLADPVEADCANSEYFINLNCKESKNAFQSSVNGLLETKNLKFAGKNDVGREIDAAQLLSGQSEEGLERKLTSHVKPVKIEDEQHAGQVKSDECPEFGSDMFDLSSQGKECKNLDAQKVVEDHEDRCDGFLQLLPGSLQRVQEYEDFAENFEGVAEVTVDSMTNDLEASEHQRGMSRRCLQFGDAQPEATANCSSSSLANDMITSRSVATTSETEGLGLSHVDLSVISRKRQLVNLSQLAINMIPQHYGEKSSLTVSKPSGIGLHLNSIVNAIPMGRGGTASMKLAVDSMGIQGIKSASVMSCQSMENMQSCSDAFEKVLAAPQDGTLEAKACVIPGSAASESLCTMESIDCQTTLHRKRELSSEHGDSNEMFNQQSPKKKRKKSSNSTDGEGCKRCNCKKTKCLKLYCDCFAAGIYCADPCSCQGCFNRPEYEDTVLETRQQIESRNPLAFAPKIVQPVTEFPVTSREDGNWKTPSSARHKRGCNCKRSMCLKKYCECYQANVGCSIGCRCEGCKNVFGKKEDYCVTEEIVNRGGGEISESTVAAKKDFLNSDLCDPHYLTPLTPSFQCSDHGKNAPKSRLLSRRCLPSPESDLTVLAKSPRSPRTSDSNDMLLETSKENLDVGSYCEGINYNNADVLGDGCHHTPLPNHPSIILGSTSSKARELTSLSRFPLGPRSGCLSSGGSLRWRSSPITPMSSLDGTKNLQGLDSDGLSDILEDDTPEILKDTSTPNKSVKTSSPNGKRVSPPHNLLQLGSSSSGPLRSGRKFILKAVPSFPPLTPCIDLKGSSNQNRSSCQENSSND >EOY31448 pep chromosome:Theobroma_cacao_20110822:9:8865532:8870508:-1 gene:TCM_038379 transcript:EOY31448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tesmin/TSO1-like CXC domain-containing protein, putative isoform 5 MDSPEPSKAPISSSSAAASISASSPVQESPFSNYISSLSPIKHDKVPHVAQGFLGLNSPPLVFTSPHINTLRRPQSSSVEVSQNGEGDKKNIDGPGSLERSVSELQQGLITDIKKEDDTKDSVSVQPSSSSGCVDEYLADPVEADCANSEYFINLNCKESKNAFQSSVNGLLETKNLKFAGKNDVGREIDAAQLLSGQSEEGLERKLTSHVKPVKIEDEQHAGQVKSDECPEFGSDMFDLSSQGKECKNLDAQKVVEDHEDRCDGFLQLLPGSLQRVQEYEDFAENFEGVAEVTVDSMTNDLEASEHQRGMSRRCLQFGDAQPEATANCSSSSLANDMITSRSVATTSETEGLGLSHVDLSVISRKRQLVNLSQLAINMIPQHYGEKSSLTVSKPSGIGLHLNSIVNAIPMGRGGTASMKLAVDSMGIQGIKSASVMSCQSMENMQSCSDAFEKVLAAPQDGTLEAKACVIPGSAASESLCTMESIDCQTTLHRKRELSSEHGDSNEMFNQQSPKKKRKKSSNSTDGEGCKRCNCKKTKCLKLYCDCFAAGIYCADPCSCQGCFNRPEYEDTVLETRQQIESRNPLAFAPKIVQPVTEFPVTSREDGNWKTPSSARHKRGCNCKRSMCLKKYCECYQVWYLLLPESSVTLTMSSLLVYCCWSYESSLY >EOY31449 pep chromosome:Theobroma_cacao_20110822:9:8865532:8870508:-1 gene:TCM_038379 transcript:EOY31449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tesmin/TSO1-like CXC domain-containing protein, putative isoform 5 MDSPEPSKAPISSSSAAASISASSPVQESPFSNYISSLSPIKHDKVPHVAQGFLGLNSPPLVFTSPHINTLRRPQSSSVEVSQNGEGDKKNIDGPGSLERSVSELQQGLITDIKKEDDTKDSVSVQPSSSSGCVDEYLADPVEADCANSEYFINLNCKESKNAFQSSVNGLLETKNLKFAGKNDVGREIDAAQLLSGQSEEGLERKLTSHVKPVKIEDEQHAGQVKSDECPEFGSDMFDLSSQGKECKNLDAQKVVEDHEDRCDGFLQLLPGSLQRVQEYEDFAENFEGVAEVTVDSMTNDLEASEHQRGMSRRCLQFGDAQPEATANCSSSSLANDMITSRSVATTSETEGLGLSHVDLSVISRKRQLVNLSQLAINMIPQHYGEKSSLTVSKPSGIGLHLNSIVNAIPMGRGGTASMKLAVDSMGIQGIKSASVMSCQSMENMQSCSDAFEKVLAAPQDGTLEAKACVIPGSAASESLCTMESIDCQTTLHRKRELSSEHGDSNEMFNQQSPKKKRKKSSNSTDGEGCKRCNCKKTKCLKLYCDCFAAGIYCADPCSCQGCFNRPEYEDTVLETRQQIESRNPLAFAPKIVQPVTEFPVTSREDGNWKTPSSARHKRGCNCKRSMCLKKYCECYQANVGCSIGCRCEGCKNVFGKKEGEFCKKYH >EOY31447 pep chromosome:Theobroma_cacao_20110822:9:8866780:8870442:-1 gene:TCM_038379 transcript:EOY31447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tesmin/TSO1-like CXC domain-containing protein, putative isoform 5 MDSPEPSKAPISSSSAAASISASSPVQESPFSNYISSLSPIKHDKVPHVAQGFLGLNSPPLVFTSPHINTLRRPQSSSVEVSQNGEGDKKNIDGPGSLERSVSELQQGLITDIKKEDDTKDSVSVQPSSSSGCVDEYLADPVEADCANSEYFINLNCKESKNAFQSSVNGLLETKNLKFAGKNDVGREIDAAQLLSGQSEEGLERKLTSHVKPVKIEDEQHAGQVKSDECPEFGSDMFDLSSQGKECKNLDAQKVVEDHEDRCDGFLQLLPGSLQRVQEYEDFAENFEGVAEVTVDSMTNDLEASEHQRGMSRRCLQFGDAQPEATANCSSSSLANDMITSRSVATTSETEGLGLSHVDLSVISRKRQLVNLSQLAINMIPQHYGEKSSLTVSKPSGIGLHLNSIVNAIPMGRGGTASMKLAVDSMGIQGIKSASVMSCQSMENMQSCSDAFEKVLAAPQDGTLEAKACVIPGSAASESLCTMESIDCQTTLHRKRELSSEHGDSNEMFNQQSPKKKRKKSSNSTDGEGCKRCNCKKTKCLKLYCDCFAAGIYCADPCSCQGCFNRPEYEDTVLETRQQIESRNPLAFAPKIVQPVTEFPVTSREDGNWKTPSSARHKRGCNCKRSMCLKKYCECYQANVGCSIGCRCEGCKNVFGKKEGEFCKKYH >EOY31446 pep chromosome:Theobroma_cacao_20110822:9:8863950:8870610:-1 gene:TCM_038379 transcript:EOY31446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tesmin/TSO1-like CXC domain-containing protein, putative isoform 5 MDSPEPSKAPISSSSAAASISASSPVQESPFSNYISSLSPIKHDKVPHVAQGFLGLNSPPLVFTSPHINTLRRPQSSSVEVSQNGEGDKKNIDGPGSLERSVSELQQGLITDIKKEDDTKDSVSVQPSSSSGCVDEYLADPVEADCANSEYFINLNCKESKNAFQSSVNGLLETKNLKFAGKNDVGREIDAAQLLSGQSEEGLERKLTSHVKPVKIEDEQHAGQVKSDECPEFGSDMFDLSSQGKECKNLDAQKVVEDHEDRCDGFLQLLPGSLQRVQEYEDFAENFEGVAEVTVDSMTNDLEASEHQRGMSRRCLQFGDAQPEATANCSSSSLANDMITSRSVATTSETEGLGLSHVDLSVISRKRQLVNLSQLAINMIPQHYGEKSSLTVSKPSGIGLHLNSIVNAIPMGRGGTASMKLAVDSMGIQGIKSASVMSCQSMENMQSCSDAFEKVLAAPQDGTLEAKACVIPGSAASESLCTMESIDCQTTLHRKRELSSEHGDSNEMFNQQSPKKKRKKSSNSTDGEGCKRCNCKKTKCLKLYCDCFAAGIYCADPCSCQGCFNRPEYEDTVLETRQQIESRNPLAFAPKIVQPVTEFPVTSREDGNWKTPSSARHKRGCNCKRSMCLKKYCECYQANVGCSIGCRCEGCKNVFGKKEDYCVTEEIVNRGGGEISESTVAAKKDFLNSDLCDPHYLTPLTPSFQCSDHGKNAPKSRLLSRRCLPSPESDLTVLAKSPRSPRTSDSNDMLLETSKENLDVGSYCEGINYNNADVLGDGCHHTPLPNHPSIILGSTSSKARELTSLSRFPLGPRSGCLSSGGSLRWRSSPITPMSSLDGTKNLQGLDSDGLSDILEDDTPEILKDTSTPNKSVKTSSPNGKRVSPPHNLLQLGSSSSGPLRSGRKFILKAVPSFPPLTPCIDLKGSSNQNRSSCQENSSND >EOY31443 pep chromosome:Theobroma_cacao_20110822:9:8865466:8870610:-1 gene:TCM_038379 transcript:EOY31443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tesmin/TSO1-like CXC domain-containing protein, putative isoform 5 MDSPEPSKAPISSSSAAASISASSPVQESPFSNYISSLSPIKHDKVPHVAQGFLGLNSPPLVFTSPHINTLRRPQSSSVEVSQNGEGDKKNIDGPGSLERSVSELQQGLITDIKKEDDTKDSVSVQPSSSSGCVDEYLADPVEADCANSEYFINLNCKESKNAFQSSVNGLLETKNLKFAGKNDVGREIDAAQLLSGQSEEGLERKLTSHVKPVKIEDEQHAGQVKSDECPEFGSDMFDLSSQGKECKNLDAQKVVEDHEDRCDGFLQLLPGSLQRVQEYEDFAENFEGVAEVTVDSMTNDLEASEHQRGMSRRCLQFGDAQPEATANCSSSSLANDMITSRSVATTSETEGLGLSHVDLSVISRKRQLVNLSQLAINMIPQHYGEKSSLTVSKPSGIGLHLNSIVNAIPMGRGGTASMKLAVDSMGIQGIKSASVMSCQSMENMQSCSDAFEKVLAAPQDGTLEAKACVIPGSAASESLCTMESIDCQTTLHRKRELSSEHGDSNEMFNQQSPKKKRKKSSNSTDGEGCKRCNCKKTKCLKLYCDCFAAGIYCADPCSCQGCFNRPEYEDTVLETRQQIESRNPLAFAPKIVQPVTEFPVTSREDGNWKTPSSARHKRGCNCKRSMCLKKYCECYQANVGCSIGCRCEGCKNVFGKKEDYCVTEEIVNRGGGEISESTVAAKKDFLNSDLCDPHYLTPLTPSFQCSDHGKNAPKSRLLSRRCLPSPESDLTVLAKSPRSPRTSDSNDMLLETSKENLDVGSYCEGINYNNADVLGDGCHHTPLPNHPSIILGSTSSKARELTSLSRFPLGPRSGCLSSGGSLRWRSSPITPMSSLDGTKNLQGLDSDGLSDILEDDTPEILKDTSTPNKSVKTSSPNGKRVSPPHNLLQLGSSSSGPLRSGRKFILKAVPSFPPLTPCIDLKGSSNQNRSSCQENSSND >EOY29128 pep chromosome:Theobroma_cacao_20110822:9:175486:180301:1 gene:TCM_036766 transcript:EOY29128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control 2 isoform 1 MDQYEKVEKIGEGTYGVVYKARDRITNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRMIKTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRSTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRILGTPNEDIWAWIPAKELQQEVHLSMNTSRILGLYPDKAIYPSS >EOY29126 pep chromosome:Theobroma_cacao_20110822:9:175346:180150:1 gene:TCM_036766 transcript:EOY29126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control 2 isoform 1 MDQYEKVEKIGEGTYGVVYKARDRITNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRMIKTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRSTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRILGTPNEDIWPGVTSLPDFKSAFPKWPPKVAL >EOY29125 pep chromosome:Theobroma_cacao_20110822:9:175582:180943:1 gene:TCM_036766 transcript:EOY29125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control 2 isoform 1 MDQYEKVEKIGEGTYGVVYKARDRITNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRMIKTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRSTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRILGTPNEDIWPGVTSLPDFKSAFPKWPPKDLATVVPNLESAGIDLLSKMLCMDPSKRITARSALEHEYFKDIGFVP >EOY29127 pep chromosome:Theobroma_cacao_20110822:9:175443:180943:1 gene:TCM_036766 transcript:EOY29127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control 2 isoform 1 MDQVSCHFNLPRYFAVLSLHLSSLCFFSIFQYEKVEKIGEGTYGVVYKARDRITNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRMIKTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRSTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRILGTPNEDIWPGVTSLPDFKSAFPKWPPKDLATVVPNLESAGIDLLSKMLCMDPSKRITARSALEHEYFKDIGFVP >EOY32349 pep chromosome:Theobroma_cacao_20110822:9:27785756:27788683:-1 gene:TCM_040186 transcript:EOY32349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSDEVTQLEFVSCFSTITATVASIATTTTTTTTIALLAFNLGFDLALPPPPQMINSTFDLTPRLALATPHSGSFVSSGTMFEEDDGGFGFNDKMSMGFIELDCMWVY >EOY30167 pep chromosome:Theobroma_cacao_20110822:9:3622767:3632697:-1 gene:TCM_037465 transcript:EOY30167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein, putative MNFSSNYTNLRLTSNRSDNLLFFSRQSPFQIREFRVYKRRRLKLSRSNLTVHNHFNFSFDNNFFQKLPSPDFLAPVLGLSSGVALYLSSRLNLASGDKSNVCDIGEWILFTSPTPFNRFVILRCPSISFEGSELMEDVNERLVKEDRHFVRLNSGRMIQASLNRGEKASELEYQRVCISTEDGGVVSIDWPAKLDLYEEHGLDTTVLVVPGTAEGSMDKKVKAFVQEAVFCGFFPIVMNPRGCASSPLTTPRLFTAADSDDISTAIQFINKARPWNTLMGVGWGYGANMLTKYLAEVGEKTPLTAATCIDNPFDLEEATRLTPYHIALNQKLTGGLIDILRSNKELFRGRAKGFDVEKALSAKSVRDFEKAISMISYGFEAIEDFYSKASTRSLVGNVKIPALFIQNDDGSVPLFSIPRGLIAENPFTSLLLCNCSPSRATVSWCHHFTIEWLASVELGLLKGRHPLLKDVDVSINPSKGLAFAEGRLTGKGGKAKKLLDLSRSNAINGYSIDRPREMLEDGDTAASIHPWSRQGSPKDVELEDKGLQGVHNDVLPQTKSVEAELVKEEASSEDGEIGEVLQTAQVVMNMLDVTMPGTLKEAEKQKVLAAVNQGETIMKALQDAVPEDVREKLTTAVSVIMRAQGTNLKQGIERIPKMSSGFKSEGQESVSDAHSADEIKRADDLADGSDNIQVGSDKTTGGQGLESQPSENLQKSIDVGQSQPVSSHQGDISSSVKKDTNESGKIHESDKLTKEKASTHADSSERGLESSAKPNLTTRAEKAGSTDETFSSECNADRDGGMGRNEIKDENNPQKKEEKVLDSLADQSKVASATTAEVTVSSTGSSEAQPVEGEGNDNQKKENKDLPHAVDQNKSSIPDSNPPTFSVSQALDALTEMDDSTQVAVNSVFGVIENMISQLEEEKDENESHDGNEVRTENLDSVLETQDTFEKEEDSENGHKLRETEGSKSDQGMMSDGLHGPAIHNDHDIGTDTQDDSTSEWLEEESPQNSVSSEGSDSDDSQGNSVGNSLGIPRNNDHIISSKLLADYSDRPVNKLYINANQYADFLHSENFRRYLLSRPTTEPLDVDTTTALLLDYFPEEGQWKLLEQPGVNGDSIDEVTTHSREPEAPAAAEVNETENYIEPSYVILDTERQQEPVGEFETMENMNISAENNDEGLQELIQLVKVTILDSLRGEVDRRLSASDMEAMESQLAIDIETVATAVSVSIGDDEEYTNFEGKEHVIENASGKVGTINGEIIVTAISSAVQSTSYLSRVLPVGVIVGSSLAALREYFHLSTIHDDDQSEVKAADKTKVSRKKSHEKTSIMEIDQMPLYKSGQNGTFHSPTSKKGVETGFKSLNKDSVMVGAVTAALGASAFLVPKQDPLQGRETAESSSKTLKEQGNQHKESEKFDEAVADKHQNNIVTSLAEKALSVAGPVVPTKGDGELDQERLVAMLADLGQRGGMLRLVGKIALLWGGIRGAVSLTDRLIMFLHIAERPLYQRILGFVGMGLVLWSPVVVPLLPTLVQSWTTKNPSKIAALVCIIGFYTAVMMLVILWGKRIRGYENPLEQYGLDLTSLSKIQGLLMGLIGGVILVMLIQSVNALLGCVSFSWPSNLLPSSLDIIARLKVYGKLLVLVVRGIVTATGVVLVEELLFRSWLPDEIAADLGYHQGIIISGLAFSLFQRSLMAIPGLWLLSLALAGIRQRNDGSLSIPIGLRAGIIASSFVLQTGGFLIYKANFPLWVTATYPFQPFSGLVGLAFSLLLAIILYPRQPRPQKKSESSIQE >EOY34232 pep chromosome:Theobroma_cacao_20110822:9:40423008:40427929:1 gene:TCM_041976 transcript:EOY34232 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase MVNEGKLEPKPGCDAAQTLEANVCMKELHWRNSPLIMSQCGSKGSAINISQMIACVGQQSVGGCRAPNGFIDSSLPHFHRGLKTPAHGTPSIMGIEGIDGRRTWSNHVMEMEQILGIEAARKCIIDEIAQTVEHHGMTIDRRHMMLLGDVMTFRGEVFGITRFGIQKMDKSILMLASFERTADHLFNAAVNGRHDKNEGVT >EOY33995 pep chromosome:Theobroma_cacao_20110822:9:39613066:39618518:-1 gene:TCM_041810 transcript:EOY33995 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor, putative MDTLFQDFPSSMYGFKFDHGSAPVYSNHNLVNGFKESPERMVPNPPSLPTSPDSPVDSASSSGVSSDGHPLDNIPFANEMLKYINEMLMEEDIDEKTCMLQDCLALQAAEKSFYEALGHEYPLSADPLSLCTGQNGYYLDDNLTERSSTGSSNRYTTATSLVESSGKYSPGEVKSSYIQTSLIDSLERTSLVPDLQRETTLESLRHFRTGIGEASKSSLNGDKVLLAPESNLSRPSVSDGRYQSPSGSRGRKNYQREDDDYLEEGRSNKQSAISLEDSEQSDMFDDVLLCKGENDYSPRCSFNGNSQLKGSNGGTTRKKKNDKKSEVVDLWSLLTQCAQAVTINDQRTANELLKQISQHSSASGDGTQRLAHYFANALKTRLAGNGAPSYLPLLSNRTSAADVLKAYGVYVLACPFKKMSNFYANKKIMEVAEKATTLHIVDFGICYGFQWPCLIQRLSARANGPPKLRITGIEFPQPGFRPAERVEETGRRLKRYCERFQVPFEYNVIAKKWETIQLEELKIDKDEVVVVNCMYRLKNLPDDTVAPTSARDTVLKLIRSINPQLFIHGAANGTYNAPFFVTRFREALFHFSAQFDIFEANVSREDPQRMMFEKEVIGRDIMNVIACEGTERVERPETYKQWQSRTKRAGFKQVPLDQELLKKVTNMVRSSYHRDFVVDVDGRWMLQGWKGRVIYALTCWKPVRN >EOY30194 pep chromosome:Theobroma_cacao_20110822:9:3725686:3727007:-1 gene:TCM_037483 transcript:EOY30194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MGTGDEVVEIESLERSLLSESITGEEGTEAEDESVLYTSSFQEMEENFVKYQTAQWVLFSLLLILAWGIGLFMLLYIPVRRYILRKDIRSRKLYLTPNSIVYKVTRPVPFPCFGVLKKEKHVLLPSVADVVIEQGYLQSLFGVYSLRIENVGVRRPPSDDVQIQGIANPSAFRKAVLTRLSNMRTQVFSRQVSAIEDTPNFRIHSPASWLSPPRSKLDAIPHSGDLALLQKLEEVGSSVKVKQALYVFFVFNSIYASALGL >EOY30193 pep chromosome:Theobroma_cacao_20110822:9:3725612:3727007:-1 gene:TCM_037483 transcript:EOY30193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MGTGDEVVEIESLERSLLSESITGEEGTEAEDESVLYTSSFQEMEENFVKYQTAQWVLFSLLLILAWGIGLFMLLYIPVRRYILRKDIRSRKLYLTPNSIVYKVTRPVPFPCFGVLKKEKHVLLPSVADVVIEQGYLQSLFGVYSLRIENVGVRRPPSDDVQIQGIANPSAFRKVSAIEDTPNFRIHSPASWLSPPRSKLDAIPHSGDLALLQKLEEVGSSVKRVQSLIEEQHGQTSETAD >EOY30195 pep chromosome:Theobroma_cacao_20110822:9:3723979:3727453:-1 gene:TCM_037483 transcript:EOY30195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MGTGDEVVEIESLERSLLSESITGEEGTEAEDESVLYTSSFQEMEENFVKYQTAQWVLFSLLLILAWGIGLFMLLYIPVRRYILRKDIRSRKLYLTPNSIVYKVTRPVPFPCFGVLKKEKHVLLPSVADVVIEQGYLQSLFGVYSLRIENVGVRRPPSDDVQIQGIANPSAFRKLSPPRSKLDAIPHSGDLALLQKLEEVGSSVKRVQSLIEEQHGQTSETAD >EOY30192 pep chromosome:Theobroma_cacao_20110822:9:3724005:3727413:-1 gene:TCM_037483 transcript:EOY30192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MGTGDEVVEIESLERSLLSESITGEEGTEAEDESVLYTSSFQEMEENFVKYQTAQWVLFSLLLILAWGIGLFMLLYIPVRRYILRKDIRSRKLYLTPNSIVYKVTRPVPFPCFGVLKKEKHVLLPSVADVVIEQGYLQSLFGVYSLRIENVGVRRPPSDDVQIQGIANPSAFRKAVLTRLSNMRTQVFSRQVSAIEDTPNFRIHSPASWLSPPRSKLDAIPHSGDLALLQKLEEVGSSVKRVQSLIEEQHGQTSETAD >EOY30196 pep chromosome:Theobroma_cacao_20110822:9:3725820:3727454:-1 gene:TCM_037483 transcript:EOY30196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MGTGDEVVEIESLERSLLSESITGEEGTEAEDESVLYTSSFQEMEENFVKYQTAQWVLFSLLLILAWGIGLFMLLYIPVRRYILRKDIRSRKLYLTPNSIVYKVTRPVPFPCFGVLKKEKHVLLPSVADVVIEQGYLQSLFGVYSLRIENVGVRRPPSDDVQIQGIANPSAFRKVFLII >EOY29580 pep chromosome:Theobroma_cacao_20110822:9:1643931:1652943:-1 gene:TCM_037078 transcript:EOY29580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 11A3 isoform 1 MAGTGVFADILDGDVYKYYADGEWKKSSSGKAVAIINPTTRKTQYKVQACTQVEVNKVMESAKTAQKSWAKTPLWKRAELLHKAAAILKEHRAPVAECLVKEIAKPAKDAVTEVVRSGDLVSYCAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVVLAIPPFNYPVNLAVSKIAPALIAGNSLVLKPPTQGAVSALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMIPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAVKVVLVMESVADVLVEKVKAKVAKLTVGAPEDDCDITPVVSESSANFIEGLVKDAKEKGATFCQLYKRDGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRINSIEEGIHHCNASNFGLQGCVFTKDVNKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSIHMMTKIKSTVINLPTPSYTMEG >EOY29581 pep chromosome:Theobroma_cacao_20110822:9:1649145:1652940:-1 gene:TCM_037078 transcript:EOY29581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 11A3 isoform 1 MAGTGVFADILDGDVYKYYADGEWKKSSSGKAVAIINPTTRKTQYKVQACTQVEVNKVMESAKTAQKSWAKTPLWKRAELLHKAAAILKEHRAPVAECLVKEIAKPAKDAVTEVVRSGDLVSYCAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVVLAIPPFNYPVNLAVSKIAPALIAGNSLVLKPPTQGAVSALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMIPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAVKVVLVMESVADVLVEKVKAKVAKLTVGAPEDDCDITPVVSESSANFIEGLVKDAKEKGATFCQLYKRDGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRINSIEEGIHHCNASNFGLQGCVFTKDVNKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSIHMMTKIKSTVINLPTPSYTMG >EOY30915 pep chromosome:Theobroma_cacao_20110822:9:6243857:6252741:-1 gene:TCM_037959 transcript:EOY30915 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH dimerization motif,WD40/YVTN repeat-like-containing domain isoform 3 MSQTNWEADKMLDVYIYDYMIKRKMHATAKALQAEGKVSTDPVAIDVPGGFLFEWWSVFWDIFIARTNEKHSEAAASYIESQMVKARELQQPQHHQKPQQMQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQRREGSQLLNGAANGLVGGEPLIKESPVSAIAATRKMYDETFRFPRQRRDSLDDAAVKQLGDNVSQLLDPNQASMLKAASVGGQPPGQTLHGTPGNISGNLQQMQNRGQQIPVPTQDSKSEINSMLTPRAAGPDGSLIGVHGPNQAGGNLTLKGWPLTGLDQLRSGLLQQQKSMIQSSQPFNQLQLQQQLLLQAQQNLSSPSANDLECRKLRMLLNNQIMGLGKDGPLNSLGDMVPRVGSPMQVGCPVPRGDSDLSNKLQQQQLHHNNQPSQQFAQHPLSSQQSQNSNYQLQQQDKMTGACSMMPDSSISNTFQGNDQASKSQVSRKRKPPGSSSGPVNSSGTANTTGPSPSSPSSPSTHTPGDAISMPTLPHNSGSSKSLLMFGSDGLADIDRFVDDGSLDDNVESFLSHDDPEPRDRVGRCADVSKGLTFTEVRRIPASTSKVECCHFSADGKLLATGGHDKKAVLWCTESFAVKSTLEEHSQWITDVRFSPSMSRLATSSADKTVRIWDADNPGYSLRTFVGHSTTVTSLDFHPTKEDLICSCDNNSEIRYWSIKNGSCAGVFKGGATQMRFQPRVGRFLAAAADNSVSLLDVENQVCRAKLQGHKNAVHSVCWDPTGEFLASVSDDLVRVWTVSSGGKGECVHELNCTGNKFNTCVFHPTYPSLLVIGCYETLELWNMTENKTLTLHAHEKLVSALAVSNATSMVASASHDKCVKLWK >EOY30916 pep chromosome:Theobroma_cacao_20110822:9:6244807:6252741:-1 gene:TCM_037959 transcript:EOY30916 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH dimerization motif,WD40/YVTN repeat-like-containing domain isoform 3 MSQTNWEADKMLDVYIYDYMIKRKMHATAKALQAEGKVSTDPVAIDVPGGFLFEWWSVFWDIFIARTNEKHSEAAASYIESQMVKARELQQPQHHQKPQQMQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQRREGSQLLNGAANGLVGGEPLIKESPVSAIAATRKMYDETFRFPRQRRDSLDDAAVKQLGDNVSQLLDPNQASMLKAASVGGQPPGQTLHGTPGNISGNLQQMQNRGQQIPVPTQDSKSEINSMLTPRAAGPDGSLIGVHGPNQAGGNLTLKGWPLTGLDQLRSGLLQQQKSMIQSSQPFNQLQLQQQLLLQAQQNLSSPSANDLECRKLRMLLNNQIMGLGKDGPLNSLGDMVPRVGSPMQVGCPVPRGDSDLSNKLQQQQLHHNNQPSQQFAQHPLSSQQSQNSNYQLQQQDKMTGACSMMPDSSISNTFQGNDQASKSQVSRKRKPPGSSSGPVNSSGTANTTGPSPSSPSSPSTHTPGDAISMPTLPHNSGSSKSLLMFGSDGLADIDRFVDDGSLDDNVESFLSHDDPEPRDRVGRCADVSKGLTFTEVRRIPASTSKVECCHFSADGKLLATGGHDKKAVLWCTESFAVKSTLEEHSQWITDVRFSPSMSRLATSSADKTVRIWDADNPGYSLRTFVGHSTTVTSLDFHPTKEDLICSCDNNSEIRYWSIKNGSCAGVFKVCSLTIHLKKYNALSLFSFASPTVTISSLFLFFLSGWCNPDEISTSCWKVSCSCSR >EOY30917 pep chromosome:Theobroma_cacao_20110822:9:6245641:6252741:-1 gene:TCM_037959 transcript:EOY30917 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH dimerization motif,WD40/YVTN repeat-like-containing domain isoform 3 MSQTNWEADKMLDVYIYDYMIKRKMHATAKALQAEGKVSTDPVAIDVPGGFLFEWWSVFWDIFIARTNEKHSEAAASYIESQMVKARELQQPQHHQKPQQMQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQRREGSQLLNGAANGLVGGEPLIKESPVSAIAATRKMYDETFRFPRQRRDSLDDAAVKQLGDNVSQLLDPNQASMLKAASVGGQPPGQTLHGTPGNISGNLQQMQNRGQQIPVPTQDSKSEINSMLTPRAAGPDGSLIGVHGPNQAGGNLTLKGWPLTGLDQLRSGLLQQQKSMIQSSQPFNQLQLQQQLLLQAQQNLSSPSANDLECRKLRMLLNNQIMGLGKDGPLNSLGDMVPRVGSPMQVGCPVPRGDSDLSNKLQQQQLHHNNQPSQQFAQHPLSSQQSQNSNYQLQQQDKMTGACSMMPDSSISNTFQGNDQASKSQVSRKRKPPGSSSGPVNSSGTANTTGPSPSSPSSPSTHTPGDAISMPTLPHNSGSSKSLLMFGSDGLADIDRFVDDGSLDDNVESFLSHDDPEPRDRVGRCADVSKEVRRIPASTSKVECCHFSADGKLLATGGHDKKAVLWCTESFAVKSTLEEHSQWITDVRFSPSMSRLATSSADKTVRIWDADNPGYSLRTFVGHSTTVTSLDFHPTKEDLICSCDNNSEIRYWSIKNGSCAGVFKGGATQMRFQ >EOY30918 pep chromosome:Theobroma_cacao_20110822:9:6245642:6250697:-1 gene:TCM_037959 transcript:EOY30918 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH dimerization motif,WD40/YVTN repeat-like-containing domain isoform 3 MVKARELQQPQHHQKPQQMQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQRREGSQLLNGAANGLVGGEPLIKESPVSAIAATRKMYDETFRFPRQRRDSLDDAAVKQLGDNVSQLLDPNQASMLKAASVGGQPPGQTLHGTPGNISGNLQQMQNRGQQIPVPTQDSKSEINSMLTPRAAGPDGSLIGVHGPNQAGGNLTLKGWPLTGLDQLRSGLLQQQKSMIQSSQPFNQLQLQQQLLLQAQQNLSSPSANDLECRKLRMLLNNQIMGLGKDGPLNSLGDMVPRVGSPMQVGCPVPRGDSDLSNKLQQQQLHHNNQPSQQFAQHPLSSQQSQNSNYQLQQQDKMTGACSMMPDSSISNTFQGNDQASKSQVSRKRKPPGSSSGPVNSSGTANTTGPSPSSPSSPSTHTPGDAISMPTLPHNSGSSKSLLMFGSDGLADIDRFVDDGSLDDNVESFLSHDDPEPRDRVGRCADVSKGLTFTEVRRIPASTSKVECCHFSADGKLLATGGHDKKAVLWCTESFAVKSTLEEHSQWITDVRFSPSMSRLATSSADKTVRIWDADNPGYSLRTFVGHSTTVTSLDFHPTKEDLICSCDNNSEIRYWSIKNGSCAGVFKGGATQMRFQ >EOY33181 pep chromosome:Theobroma_cacao_20110822:9:35786680:35792728:1 gene:TCM_041152 transcript:EOY33181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cobalamin-independent synthase family protein isoform 1 MSHVSLHSLAPFSSASLAKKLVSFPFPLLAPRSLALPTHSPPSYRRLTLRPFSLRAMASHIVGYPRMGPKRELKFALESFWDGKSSAEELQKVAADLRSSIWRQMSEAGIKYIPSNTFSYYDQMLDNTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPDVKFSYASHKAVDEYKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKSFSLLSLIGKILPIYKEVVAELKAAGATWIQFDEPTLILDLESHQLQAFTHAYSELESSLSGLNVLIETYFADVPVETYKKLTSLKGVTGFGFDLVRGTKTLDLIKSGFPSDKYLFAGVVDGRNIWANDLASSLSTLQALEGIVGKEKVVVSTSCSLLHTAVDLVNETKLDKELKSWLAFAAQKIVEVNALAKALVGQKDEAFFASNATAHASRKSSPRVTNEAVQKAAAALRGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTMDLRRVRREYKAKKISETDYVNAIKEEINKVVKLQEVLDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIFGDVSRPKAMTVFWSSMAQSMTKRPMKGMLTGPVTILNWSFVRNDQPR >EOY33180 pep chromosome:Theobroma_cacao_20110822:9:35786529:35793126:1 gene:TCM_041152 transcript:EOY33180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cobalamin-independent synthase family protein isoform 1 MSHVSLHSLAPFSSASLAKKLVSFPFPLLAPRSLALPTHSPPSYRRLTLRPFSLRAMASHIVGYPRMGPKRELKFALESFWDGKSSAEELQKVAADLRSSIWRQMSEAGIKYIPSNTFSYYDQMLDNTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPDVKFSYASHKAVDEYKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKSFSLLSLIGKILPIYKEVVAELKAAGATWIQFDEPTLILDLESHQLQAFTHAYSELESSLSGLNVLIETYFADVPVETYKKLTSLKGVTGFGFDLVRGTKTLDLIKSGFPSDKYLFAGVVDGRNIWANDLASSLSTLQALEGIVGKEKVVVSTSCSLLHTAVDLVNETKLDKELKSWLAFAAQKIVEVNALAKALVGQKDEAFFASNATAHASRKSSPRVTNEAVQKAAAALRGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTMDLRRVRREYKAKKISETDYVNAIKEEINKVVKLQEVLDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIFGDVSRPKAMTVFWSSMAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRHETCYQIALAIKDEVEDLERAGITVIQIDEAALREGLPLRKSEQSFYLDWAVHSFRITNCGVKDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEVADRINKMLAVLECNILWVNPDCGLKTRKYTEVQPALSNMVAAAKLIRTQLAGAN >EOY31456 pep chromosome:Theobroma_cacao_20110822:9:8909331:8914061:-1 gene:TCM_047096 transcript:EOY31456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATTAASTASRGWLSNLSSMSSRIYFFLIILQIPLFRIPCRSGMCSTPIHVTSSQLIASDVFPVAVVKALLFPGAITNGLVKNMTVPSWDNLLNIYNLTSIKEASAVPDLQRLEVLAGSYFCVAGALVGLLKPGRMSMFGTLLVIWGLVKEGILGKPANSDPTKAVYVYPTMLIALICALTSIKYDVKKVMRTAPARPIAKPLKSSSKSKLK >EOY31455 pep chromosome:Theobroma_cacao_20110822:9:8910446:8913260:-1 gene:TCM_047096 transcript:EOY31455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRTLTVVQKVLQGANYCGTKHNGALHLNPVLYHRAGESHGEVFPYEWYEKSFSKLTRLAHFLKDVDLVDGRLVNVNDDSIIIEDHIEHKMRTFKSLARIFIGSPSVQLVLKKHVSTFGKPSEREPMIVNSLTKVSNVLNVTAQQRKLVRLKICPQITQHRIWTGAIEKILNELKSEIDLLNCQFPSKGTKMGGQIVSSCLKFLAESAVSYDPDSASWMRLSPAKVVDPPSRKWDDVLEMFTDLINCLKSEKGWLYHATKIEIMKEGLSQIKDVLVDNSIGYKDARHQESLVQKKLSKTLGHSSQCLFTLLLYYLYGQVRDIEVDLNGAIYGNGSENRFTLCMGRILTSNEEKMFWSGVKQLDRALGLFKFVWETAGMKGILELQGHLWCVGSEERIPFHADLECVQHQFMSHLPS >EOY34609 pep chromosome:Theobroma_cacao_20110822:9:41574739:41576848:-1 gene:TCM_042223 transcript:EOY34609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytics,transferases, S-malonyltransferases,binding isoform 3 MHTLLHLRLASSANSHYSLFAMSAASLALPSSLSSLRANGAAPPRCFRLHNPSRSRVFMSVSVGSQAVVDDALFADYKPTSAFLFPGQGAQAVGMGKEAQSVPAAAELYKKANDILGFDLLDVCINGPKEKLDSTVISQPAIYVTSLAAVELLRTRDGGQQIIDSVDVTCGLSLGEYTALAFAGAFSFEEGLKLVKLRGEAMQEAADAAKSAMVSVIGLDSEKVQQLCDAANQEVDEADNVQIANYLCPGNYAVSGGVKGVEAVEAKAKSFKARMTVRLAVAGAFHTSFMDPAVSRLEAALAATQVRTPRIPVISNVDAQPHADSETIKNILARQVSNLILGSGHHGLRIAIKPCG >EOY34608 pep chromosome:Theobroma_cacao_20110822:9:41573884:41576864:-1 gene:TCM_042223 transcript:EOY34608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytics,transferases, S-malonyltransferases,binding isoform 3 MHTLLHLRLASSANSHYSLFAMSAASLALPSSLSSLRANGAAPPRCFRLHNPSRSRVFMSVSVGSQAVVDDALFADYKPTSAFLFPGQGAQAVGMGKEAQSVPAAAELYKKANDILGFDLLDVCINGPKEKLDSTVISQPAIYVTSLAAVELLRTRDGGQQIIDSVDVTCGLSLGEYTALAFAGAFSFEEGLKLVKLRGEAMQEAADAAKSAMVSVIGLDSEKVQQLCDAANQEVDEADNVQIANYLCPGNYAVSGGVKGVEAVEAKAKSFKARMTVRLAVAGAFHTSFMDPAVSRLEAALAATQVRTPRIPVISNVDAQPHADSETIKNILARQQVTSPVQWETTVKTLLTKGLKKSYELGPGKVIAGIVKRMDKGAEIENIGA >EOY34607 pep chromosome:Theobroma_cacao_20110822:9:41573952:41577060:-1 gene:TCM_042223 transcript:EOY34607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytics,transferases, S-malonyltransferases,binding isoform 3 MHTLLHLRLASSANSHYSLFAMSAASLALPSSLSSLRANGAAPPRCFRLHNPSRSRVFMSVSVGSQAVVDDALFADYKPTSAFLFPGQGAQAVGMGKEAQSVPAAAELYKKANDILGFDLLDVCINGPKEKLDSTVISQPAIYVTSLAAVELLRTRDGGQQIIDSVDVTCGLSLGEYTALAFAGAFSFEEGLKLVKLRGEAMQEAADAAKSAMVSVIGLDSEKVQQLCDAANQEVDEADNVQIANYLCPGNYAVSGGVKGVEAVEAKAKSFKARMTVRLAVAGAFHTSFMDPAVSRLEAALAATQVRTPRIPVISNVDAQPHADSETIKNILARQVTSPVQWETTVKTLLTKGLKKSYELGPGKVIAGIVKRMDKGAEIENIGA >EOY34610 pep chromosome:Theobroma_cacao_20110822:9:41574000:41576943:-1 gene:TCM_042223 transcript:EOY34610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytics,transferases, S-malonyltransferases,binding isoform 3 MHTLLHLRLASSANSHYSLFAMSAASLALPSSLSSLRANGAAPPRCFRLHNPSRSRVFMSVSVGSQAVVDDALFADYKPTSAFLFPGQGAQAVGMGKEAQSVPAAAELYKKANDILGFDLLDVCINGPKEKLDSTVISQPAIYVTSLAAVELLRTRDGGQQIIDSVDVTCGLSLGEYTALAFAGAFSFEEGLKLVKLRGEAMQEAADAAKSAMVSVIGLDSEKVQQLCDAANQEVDEADNVQIANYLCPGNYAVSGGVKGVEAVEAKAKSFKARMTVRLAVAGAFHTSFMDPAVSRLEAALAATQVTSPVQWETTVKTLLTKGLKKSYELGPGK >EOY29562 pep chromosome:Theobroma_cacao_20110822:9:1551439:1553011:-1 gene:TCM_037062 transcript:EOY29562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5A-1 MSDEEHHFDSKADAGASKTFPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDENLLSQIKDGFAEGKDLVVTVMSAMGEEQICALKDIGPKN >EOY29109 pep chromosome:Theobroma_cacao_20110822:9:154215:157396:-1 gene:TCM_036762 transcript:EOY29109 gene_biotype:protein_coding transcript_biotype:protein_coding description:MraW methylase family protein isoform 4 MAHFIPGCICLIEMMIDLGLESMAAKAKQMMLMMLSSSFSFSVSSLSAPASVSAVRHPTKSCALVRSACRSISTDTSKKKKKKKEKGNWNAKALEKEKRRTRSLRDCDIEIEEKEEDDSSSTSVMMQQTQTQTHVPVMLGEVLDVFSSNSKPLCSFVDCTLGAGGHASAIIQAHPELKLFIGMDVDPLALHMACSRIRSLSHSHPHPHFQAFTFLDNFRHIKSLLRQVVHPDIFSSGVDGILMDLGMSSMQVNNPERGFSVLANGPLDMRMDPQASLKAEDILNSWPDIEVGRILRDYGEESNWWLLQNKIIQARLQGGLHSTGELVDVIRSVNPRTRGGRQGWVKTATRVFQALRIAVNDELKTLEDSLYACFDCLAPGGRLAVISFHSLEDRIVKQTFLKIIDCCMESGDGSEDIGDLRKVKSDNNQNEAWIRQTIQGWNGTILTKRPLTPSEKEEGLNRRCRSAKLRVIQKVRR >EOY29111 pep chromosome:Theobroma_cacao_20110822:9:155207:157266:-1 gene:TCM_036762 transcript:EOY29111 gene_biotype:protein_coding transcript_biotype:protein_coding description:MraW methylase family protein isoform 4 MAHFIPGCICLIEMMIDLGLESMAAKAKQMMLMMLSSSFSFSVSSLSAPASVSAVRHPTKSCALVRSACRSISTDTSKKKKKKKEKGNWNAKALEKEKRRTRSLRDCDIEIEEKEEDDSSSTSVMMQQTQTQTHVPVMLGEVLDVFSSNSKPLCSFVDCTLGAGGHASAAHPELKLFIGMDVDPLALHMACSRIRSLSHSHPHPHFQAFTFLDNFRHIKSLLRQVVHPDIFSSGVDGILMDLGMSSMQVNNPERGFSVLANGPLDMRMDPQASLKAEDILNSWPDIEVGRILRDYGEESNWWLLQNKIIQARLQGGLHSTGELVDVIRSVNPRTRGGRQGWVK >EOY29112 pep chromosome:Theobroma_cacao_20110822:9:154207:157268:-1 gene:TCM_036762 transcript:EOY29112 gene_biotype:protein_coding transcript_biotype:protein_coding description:MraW methylase family protein isoform 4 MAHFIPGCICLIEMMIDLGLESMAAKAKQMMLMMLSSSFSFSVSSLSAPASVSAVRHPTKSCALVRSACRSISTDTSKKKKKKKEKGNWNAKALEKEKRRTRSLRDCDIEIEEKEEDDSSSTSVMMQQTQTQTHVPVMLGEVLDVFSSNSKPLCSFVDCTLGAGGHASAQVNNPERGFSVLANGPLDMRMDPQASLKAEDILNSWPDIEVGRILRDYGEESNWWLLQNKIIQARLQGGLHSTGELVDVIRSVNPRTRGGRQGWVKTATRVFQALRIAVNDELKTLEDSLYACFDCLAPGGRLAVISFHSLEDRIVKQTFLKIIDCCMESGDGSEDIGDLRKVKSDNNQNEAWIRQTIQGWNGTILTKRPLTPSEKEEGLNRRCRSAKLRVIQKVRR >EOY29110 pep chromosome:Theobroma_cacao_20110822:9:154202:157182:-1 gene:TCM_036762 transcript:EOY29110 gene_biotype:protein_coding transcript_biotype:protein_coding description:MraW methylase family protein isoform 4 MAHFIPGCICLIEMMIDLGLESMAAKAKQMMLMMLSSSFSFSVSSLSAPASVSAVRHPTKSCALVRSACRSISTDTSKKKKKKKEKGNWNAKALEKEKRRTRSLRDCDIEIEEKEEDDSSSTSVMMQQTQTQTHVPVMLGEVLDVFSSNSKPLCSFVDCTLGAGGHASAIIQAHPELKLFIGMDVDPLALHMACSRIRSLSHSHPHPHFQAFTFLDNFRHIKSLLRQVVHPDIFSSGVDGILMDLGMSSMQVNNPERGFSVLANGPLDMRMDPQASLKAEDILNSWPDIEVGRILRDYGEESNWWLLQNKIIQARLQGGLHSTGELVDVIRSVNPRTRGGRQGWVKTATRVFQALRIAVNDELKTLEDSLYACFDCLAPGGRLAVISFHSLEDRIVKQTFLKIIDCCMESGDGSEDIGDLRKVKSDNNQNEAWIRQTIQGWNGTILTKRPLTPSEKEEGLNRRCRSAKLRVIQKVRR >EOY32387 pep chromosome:Theobroma_cacao_20110822:9:28591797:28599865:-1 gene:TCM_040279 transcript:EOY32387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromomethylase 1, putative isoform 3 MAKTSSSPKKPTRSSQRNKTTMSKPKYEEVTDVSHEEESTQVSRGEDSTQVSRGEDSTQVSQEEESTQVSRGGKRKNNSASGASKSKRSRPELGSKTSPFKSKSEDVGVLGGDESGSKTTPSKLKVTRQAVNGNEDEKQDARLIGEPVPDEEARRRWPKRYQGVEQKQVVSKNSKDENDSEIIQARRHYTQAEVDGRVLYNLYDDAHVKAEEGQDYYICKIVEMFEAVDGTLYFTAQWFYRARDTVMKKLGHLIDKKRVFFSEIRDDNPLDCLVEKLIIAKVSLNVDLEAKKKMIPCCDYYCDMLYLLPYSSFINLPPEDKTNCSEASSTISTDDVNSVNEEKSQYEEASQASNNKKSQVTLLDLYSGCGAMSTGLCLGANMAGLKLETRWAVDLNKYGCESLKWNHPETEVRNESAEDFLALLKEWERLCVLFSLLKSENLEKQSFNPFRTEDDGDDDDNEEDDTEGDVFEVEKILAICYGDPKKKGERGLHFQVRWKNYGLEEDTWEPLEGMGDCQECLKDFVTRGFNSKILPLPGDVDVICGGPPCQGISGFNRFRNKENPLQDEKNKQLKVFMEIVEYLKPKFVLMENVVDIVKFAEGFLGRYALSQLIHLNYQVRMGMMAAGAYGLPQFRMRVFLWGARPTEKLPQYPLPTHDVVVRGVIPLEFEMNTVAYDEGQKVELETKLLLEDAIADLPAVGNYEHQDEMDYDKDPQTEFQRFIRLRKEEMPGSSPKAKPAKHLLYDHRPLELNVDDYQRVCQIPKKKGANFRDLPGVLVRDDNKVEWDPSVERVYLKSGKPLVPDYAMSFIGGSSSKPFARLWWDETVPTVVTRAEPHNQAILHPEQDRVLSVRENARLQGFPDYYKLFGPVKERYIQVGNAVAVPVARALGYALGLAYQGVPSDEPLMKLPPKFPNIIERISSESSEDNS >EOY32389 pep chromosome:Theobroma_cacao_20110822:9:28592572:28599682:-1 gene:TCM_040279 transcript:EOY32389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromomethylase 1, putative isoform 3 RGEDSTQVSRGEDSTQVSQEEESTQVSRGGKRKNNSASGASKSKRSRPELGSKTSPFKSKSEDVGVLGGDESGSKTTPSKLKVTRQAVNGNEDEKQDARLIGEPVPDEEARRRWPKRYQGVEQKQVVSKNSKDENDSEIIQARRHYTQAEVDGRVLYNLYDDAHVKAEEGQDYYICKIVEMFEAVDGTLYFTAQWFYRARDTVMKKLGHLIDKKRVFFSEIRDDNPLDCLVEKLIIAKVSLNVDLEAKKKMIPCCDYYCDMLYLLPYSSFINLPPEDKTNCSEASSTISTDDVNSVNEEKSQYEEASQASNNKKSQVTLLDLYSGCGAMSTGLCLGANMAGLKLETRWAVDLNKYGCESLKWNHPETEVRNESAEDFLALLKEWERLCVLFSLLKSENLEKQSFNPFRTEDDGDDDDNEEDDTEGDVFEVEKILAICYGDPKKKGERGLHFQVRWKNYGLEEDTWEPLEGMGDCQECLKDFVTRGFNSKILPLPGDVDVICGGPPCQGISGFNRFRNKENPLQDEKNKQLKVFMEIVEYLKPKFVLMENVVDIVKFAEGFLGRYALSQLIHLNYQVRMGMMAAGAYGLPQFRMRVFLWGARPTEKLPQYPLPTHDVVVRGVIPLEFEMNTVAYDEGQKVELETKLLLEDAIADLPAVGNYEHQDEMDYDKDPQTEFQRFIRLRKEEMPGSSPKAKPAKHLLYDHRPLELNVDDYQRVCQIPKKKGANFRDLPGVLVRDDNKVEWDPSVERVYLKSGKPLVPDYAMSFIGGSSSK >EOY32390 pep chromosome:Theobroma_cacao_20110822:9:28591829:28599684:-1 gene:TCM_040279 transcript:EOY32390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromomethylase 1, putative isoform 3 RGEDSTQVSRGEDSTQVSQEEESTQVSRGGKRKNNSASGASKSKRSRPELGSKTSPFKSKSEDVGVLGGDESGSKTTPSKLKVTRQAVNGNEDEKQDARLIGEPVPDEEARRRWPKRYQGVEQKQVVSKNSKDENDSEIIQARRHYTQAEVDGRVLYNLYDDAHVKAEEGQDYYICKIVEMFEAVDGTLYFTAQWFYRARDTVMKKLGHLIDKKRVFFSEIRDDNPLDCLVEKLIIAKVSLNVDLEAKKKMIPCCDYYCDMLYLLPYSSFINLPPEDKTNCSEASSTISTDDVNSVNEEKSQYEEASQASNNKKSQVTLLDLYSGCGAMSTGLCLGANMAGLKLETRWAVDLNKYGCESLKWNHPETEVRNESAEDFLALLKEWERLCVLFSLLKSENLEKQSFNPFRTEDDGDDDDNEEDDTEGDVFEVEKILAICYGDPKKKGERGLHFQVRWKNYGLEEDTWEPLEGMGDCQECLKDFVTRGFNSKILPLPGDVDVICGGPPCQGISGFNRFRNKENPLQDEKNKQLKVFMEIVEYLKPKFVLMENVVDIVKFAEGFLGRYALSQLIHLNYQVRMGMMAAGAYGLPQFRMRVFLWGARPTEKLPQYPLPTHDVVVRGVIPLEFEMNTVAYDEGQKVELETKLLLEDAIADLPAVGNYEHQDEMDYDKDPQTEFQRFIRLRKEEMPGSSPKAKPAKHLLYDHRPLELNVDDYQRVCQIPKKKGANFRDLPGVLVRDDNKVEWDPSVERVYLKSGKPLVESVLFHFNLC >EOY32386 pep chromosome:Theobroma_cacao_20110822:9:28591829:28599684:-1 gene:TCM_040279 transcript:EOY32386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromomethylase 1, putative isoform 3 MFEAVDGTLYFTAQWFYRARDTVMKKLGHLIDKKRVFFSEIRDDNPLDCLVEKLIIAKVSLNVDLEAKKKMIPCCDYYCDMLYLLPYSSFINLPPEDKTNCSEASSTISTDDVNSVNEEKSQYEEASQASNNKKSQVTLLDLYSGCGAMSTGLCLGANMAGLKLETRWAVDLNKYGCESLKWNHPETEVRNESAEDFLALLKEWERLCVLFSLLKSENLEKQSFNPFRTEDDGDDDDNEEDDTEGDVFEVEKILAICYGDPKKKGERGLHFQVRWKNYGLEEDTWEPLEGMGDCQECLKDFVTRGFNSKILPLPGDVDVICGGPPCQGISGFNRFRNKENPLQDEKNKQLKVFMEIVEYLKPKFVLMENVVDIVKFAEGFLGRYALSQLIHLNYQVRMGMMAAGAYGLPQFRMRVFLWGARPTEKLPQYPLPTHDVVVRGVIPLEFEMNTVAYDEGQKVELETKLLLEDAIADLPAVGNYEHQDEMDYDKDPQTEFQRFIRLRKEEMPGSSPKAKPAKHLLYDHRPLELNVDDYQRVCQIPKKKGANFRDLPGVLVRDDNKVEWDPSVERVYLKSGKPLVPDYAMSFIGGSSSKPFARLWWDETVPTVVTRAEPHNQAILHPEQDRVLSVRENARLQGFPDYYKLFGPVKERYIQVGNAVAVPVARALGYALGLAYQGVPSDEPLMKLPPKFPNIIERISSESSEDNS >EOY32388 pep chromosome:Theobroma_cacao_20110822:9:28592612:28599811:-1 gene:TCM_040279 transcript:EOY32388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromomethylase 1, putative isoform 3 MAKTSSSPKKPTRSSQRNKTTMSKPKYEEVTDVSHEEESTQVSRGEDSTQVSRGEDSTQVSQEEESTQVSRGGKRKNNSASGASKSKRSRPELGSKTSPFKSKSEDVGVLGGDESGSKTTPSKLKVTRQAVNGNEDEKQDARLIGEPVPDEEARRRWPKRYQGVEQKQVVSKNSKDENDSEIIQARRHYTQAEVDGRVLYNLYDDAHVKAEEGQDYYICKIVEMFEAVDGTLYFTAQWFYRARDTVMKKLGHLIDKKRVFFSEIRDDNPLDCLVEKLIIAKVSLNVDLEAKKKMIPCCDYYCDMLYLLPYSSFINLPPEDKTNCSEASSTISTDDVNSVNEEKSQYEEASQASNNKKSQVTLLDLYSGCGAMSTGLCLGANMAGLKLETRWAVDLNKYGCESLKWNHPETEVRNESAEDFLALLKEWERLCVLFSLLKSENLEKQSFNPFRTEDDGDDDDNEEDDTEGDVFEVEKILAICYGDPKKKGERGLHFQVRWKNYGLEEDTWEPLEGMGDCQECLKDFVTRGFNSKILPLPGDVDVICGGPPCQGISGFNRFRNKENPLQDEKNKQLKVFMEIVEYLKPKFVLMENVVDIVKFAEGFLGRYALSQLIHLNYQVRMGMMAAGAYGLPQFRMRVFLWGARPTEKLPQYPLPTHDVVVRGVIPLEFEMNTVAYDEGQKVELETKLLLEDAIADLPAVGNYEHQDEMDYDKDPQTEFQRFIRLRKEEMPGSSPKAKPAKHLLYDHRPLELNVDDYQRVCQIPKKKGANFRDLPGVLVRDDNKVEWDPSVERVYLKSGKPLGP >EOY32385 pep chromosome:Theobroma_cacao_20110822:9:28591665:28600372:-1 gene:TCM_040279 transcript:EOY32385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromomethylase 1, putative isoform 3 MAKTSSSPKKPTRSSQRNKTTMSKPKYEEVTDVSHEEESTQVSRGEDSTQVSRGEDSTQVSQEEESTQVSRGGKRKNNSASGASKSKRSRPELGSKTSPFKSKSEDVGVLGGDESGSKTTPSKLKVTRQAVNGNEDEKQDARLIGEPVPDEEARRRWPKRYQGVEQKQVVSKNSKDENDSEIIQARRHYTQAEVDGRVLYNLYDDAHVKAEEGQDYYICKIVEMFEAVDGTLYFTAQWFYRARDTVMKKLGHLIDKKRVFFSEIRDDNPLDCLVEKLIIAKVSLNVDLEAKKKMIPCCDYYCDMLYLLPYSSFINLPPDKTNCSEASSTISTDDVNSVNEEKSQYEEASQASNNKKSQVTLLDLYSGCGAMSTGLCLGANMAGLKLETRWAVDLNKYGCESLKWNHPETEVRNESAEDFLALLKEWERLCVLFSLLKSENLEKQSFNPFRTEDDGDDDDNEEDDTEGDVFEVEKILAICYGDPKKKGERGLHFQVRWKNYGLEEDTWEPLEGMGDCQECLKDFVTRGFNSKILPLPGDVDVICGGPPCQGISGFNRFRNKENPLQDEKNKQLKVFMEIVEYLKPKFVLMENVVDIVKFAEGFLGRYALSQLIHLNYQVRMGMMAAGAYGLPQFRMRVFLWGARPTEKLPQYPLPTHDVVVRGVIPLEFEMNTVAYDEGQKVELETKLLLEDAIADLPAVGNYEHQDEMDYDKDPQTEFQRFIRLRKEEMPGSSPKAKPAKHLLYDHRPLELNVDDYQRVCQIPKKKGANFRDLPGVLVRDDNKVEWDPSVERVYLKSGKPLVPDYAMSFIGGSSSKPFARLWWDETVPTVVTRAEPHNQAILHPEQDRVLSVRENARLQGFPDYYKLFGPVKERYIQVGNAVAVPVARALGYALGLAYQGVPSDEPLMKLPPKFPNIIERISSESSEDNS >EOY31616 pep chromosome:Theobroma_cacao_20110822:9:10517054:10518087:-1 gene:TCM_038588 transcript:EOY31616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALTQELSTTSISYVHLVHTKALLLDADLHEIERGLEMSAREFSWGIRSKKWSPPIGLEERIKGRGGLFVRDWAEQ >EOY33590 pep chromosome:Theobroma_cacao_20110822:9:38193082:38202787:1 gene:TCM_041528 transcript:EOY33590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromodomain-helicase-DNA-binding protein Mi-2, putative isoform 1 MEPKVPRPRGRPRKRRRPEEENESVAGDSKSNNSKTKKRALVTRSMALVGRYVFKEFGENVFLGKIVSYDTGLYRVDYEDGDFEDLESGELRELILEESYFDDDLSRRKVRLDELVLSRILKKQSELEEEKKKVEVLKKEVDGVETSALSELSGGMTVENDDGEQLEDDADSSSDSCEHACDRDLSLEAEVPVIPPPMLPPSSGTIGVPEECVSHLFSVYGFLRSFSIILFLSPFGLDDFVGSLNFSGPNPLLDAIHVSLMRALSCHLETVSLEGSELASKCLRCLDWSLLDTLTWPVYLVQYFMVMGFARGPEWKGFYEDVSEREYYSLPVTRKLMILQLLCDDILAYAELRAEIDMREATEVGTDPDAVVIDPPENGPRRVHPRYSKTSACKEREAMEIIAESHEVKSSSRTYSLGFRSAVGNAGVDADVDGNSDDCRLCGMDGTLLCCDGCPSAYHSRCIGVMKMYIPEGAWYCPECAIDKMGPAITVNTSLRGAELFGVDLYGQVFLGTCNHLLVLKASPDTESYLRYYNLNDIPKVLQVLFSSIQHKTLYFDICKAIIHYWNIPENLFSPLEMGGNVANRKEHAKISTRSPLPSGKESHKFLDSVDAENTISFSGSNVGVSCPDSSVDAMKQADLPGFLSNSGTMGGKDYPPMNKKLSEQIYIESAMSAASASQQAASDVTHQSLVDRSGVIDHNSCASGGNSSDSYGGPVNSIYFQANMFCRSIAGNHVGIASDARNSTVDYTYMGISFKPHVYVNHYIHGHFAAIASAKLAVLSSEESQVSELNKSGSARKVTSTSNIFLQIKAFSLAASRFFWPSAEKKLLDVPRERCGWCYSCKAPASSRRGCMLNSAVSTATRSANKILIGLPILKNGEGSLPSIATYIVYMEEGLRGFVTGPFLSPSYRKQWRSKMEEASTCSAIKALLLELEENISVIALLVDWIKLMDDWLVDSSVIQSTSSTVGLPQKRGPGGRRRRKQSVASEVTADDCDDKSFDWWRGGKLSTHIFQKAILPGSMVRKAAQQGGVRKISGINYVDDSEIPKRSRQLIWRAAVERSKNAAQLALQVRYLDLHVRWNDLVRPEHNIPDGKGTETEASVFRNAIICDKKSVENKIQYGVAFGNQKHLPSRVMKNIIDIDQTEDRKEKYWFLITHIPLYLIKEYEEKMSNVGLPSVKKASSELSELQRRQLKASRRNIFAYLTSKRDKLEKCYCASCQMDVLLRNAVKCGTCQGYCHQDCTLSSMRMNGKVECLIICKQCYHAKVLGQNEISTKSPIIPLPLQGRDCLSAPAVTKGMQVKSSAQPIKPLVSIRSKENSVRIQERSSDTKQSASLSGLATKRSKLCNWGVIWRKKNSDETGIDFRRANIVARGGSDNHFLKPVCELCEQPYNSDLMYIHCETCRKWYHAEAVELEESRISDLVGFKCCKCRRIRGPECPYMDPELREQRRKKRLGKPQKQGQGSVVLDSDFGTISNFKECKPITRNVSTEHELVSANDPLLFSLSKVEQITENNSEVDVEWNTASGPGLQKLPVRRHVKREEVDGHAGGDLGHVELSSWPEPSNYTEPKEDTSLTFAEWDVSGNGLESELLFDYESLNYEDMEFEPQTYFSFTELLASDDGGQVDGHDATGDGSRNLENASGSISQDGVPEHRGTDTFSSQVEPMISENSDVNAPHCHVCLQNNPAPELYCDICGFLMHSHCSPWDELSSSEGGSWRCGRCREWR >EOY33591 pep chromosome:Theobroma_cacao_20110822:9:38193082:38202787:1 gene:TCM_041528 transcript:EOY33591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromodomain-helicase-DNA-binding protein Mi-2, putative isoform 1 MEPKVPRPRGRPRKRRRPEEENESVAGDSKSNNSKTKKRALVTRSMALVGRYVFKEFGENVFLGKIVSYDTGLYRVDYEDGDFEDLESGELRELILEESYFDDDLSRRKVRLDELVLSRILKKQSELEEEKKKVEVLKKEVDGVETSALSELSGGMTVENDDGEQLEDDADSSSDSCEHACDRDLSLEAEVPVIPPPMLPPSSGTIGVPEECVSHLFSVYGFLRSFSIILFLSPFGLDDFVGSLNFSGPNPLLDAIHVSLMRALSCHLETVSLEGSELASKCLRCLDWSLLDTLTWPVYLVQYFMVMGFARGPEWKGFYEDVSEREYYSLPVTRKLMILQLLCDDILAYAELRAEIDMREATEVGTDPDAVVIDPPENGPRRVHPRYSKTSACKEREAMEIIAESHEVKSSSRTYSLGFRSAVGNAGVDADVDGNSDDCRLCGMDGTLLCCDGCPSAYHSRCIGVMKMYIPEGAWYCPECAIDKMGPAITVNTSLRGAELFGVDLYGQVFLGTCNHLLVLKASPDTESYLRYYNLNDIPKVLQVLFSSIQHKTLYFDICKAIIHYWNIPENLFSPLEMGGNVANRKEHAKISTRSPLPSGKESHKFLDSVDAENTISFSGSNVGVSCPDSSVDAMKQADLPGFLSNSGTMGGKDYPPMNKKLSEQIYIESAMSAASASQQAASDVTHQSLVDRSGVIDHNSCASGGNSSDSYGGPVNSIYFQANMFCRSIAGNHVGIASDARNSTVDYTYMGISFKPHVYVNHYIHGHFAAIASAKLAVLSSEESQVSELNKSGSARKVTSTSNIFLQIKAFSLAASRFFWPSAEKKLLDVPRERCGWCYSCKAPASSRRGCMLNSAVSTATRSANKILIGLPILKNGEGSLPSIATYIVYMEEGLRGFVTGPFLSPSYRKQWRSKMEEASTCSAIKALLLELEENISVIALLVDWIKLMDDWLVDSSVIQSTSSTVGLPQKRGPGGRRRRKQSVASEVTADDCDDKSFDWWRGGKLSTHIFQKAILPGSMVRKAAQQGGVRKISGINYVDDSEIPKRSRQLIWRAAVERSKNAAQLALQVRYLDLHVRWNDLVRPEHNIPDGKGTETEASVFRNAIICDKKSVENKIQYGVAFGNQKHLPSRVMKNIIDIDQTEDRKEKYWFLITHIPLYLIKEYEEKMSNVGLPSVKKASSELSELQRRQLKASRRNIFAYLTSKRDKLEKCYCASCQMDVLLSRNAVKCGTCQGYCHQDCTLSSMRMNGKVECLIICKQCYHAKVLGQNEISTKSPIIPLPLQGRDCLSAPAVTKGMQVKSSAQPIKPLVSIRSKENSVRIQERSSDTKQSASLSGLATKRSKLCNWGVIWRKKNSDETGIDFRRANIVARGGSDNHFLKPVCELCEQPYNSDLMYIHCETCRKWYHAEAVELEESRISDLVGFKCCKCRRIRGPECPYMDPELREQRRKKRLGKPQKQGQGSVVLDSDFGTISNFKECKPITRNVSTEHELVSANDPLLFSLSKVEQITENNSEVDVEWNTASGPGLQKLPVRRHVKREEVDGHAGGDLGHVELSSWPEPSNYTEPKEDTSLTFAEWDVSGNGLESELLFDYESLNYEDMEFEPQTYFSFTELLASDDGGQVDGHDATGDGSRNLENASGSISQDGVPEHRGTDTFSSQVEPMISENSDVNAPHCHVCLQNNPAPELYCDICGFLMHSHCSPWDELSSSEGGSWRCGRCREWR >EOY33592 pep chromosome:Theobroma_cacao_20110822:9:38195932:38202426:1 gene:TCM_041528 transcript:EOY33592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromodomain-helicase-DNA-binding protein Mi-2, putative isoform 1 MGGNVANRKEHAKISTRSPLPSGKESHKFLDSVDAENTISFSGSNVGVSCPDSSVDAMKQADLPGFLSNSGTMGGKDYPPMNKKLSEQIYIESAMSAASASQQAASDVTHQSLVDRSGVIDHNSCASGGNSSDSYGGPVNSIYFQANMFCRSIAGNHVGIASDARNSTVDYTYMGISFKPHVYVNHYIHGHFAAIASAKLAVLSSEESQVSELNKSGSARKVTSTSNIFLQIKAFSLAASRFFWPSAEKKLLDVPRERCGWCYSCKAPASSRRGCMLNSAVSTATRSANKILIGLPILKNGEGSLPSIATYIVYMEEGLRGFVTGPFLSPSYRKQWRSKMEEASTCSAIKALLLELEENISVIALLVDWIKLMDDWLVDSSVIQSTSSTVGLPQKRGPGGRRRRKQSVASEVTADDCDDKSFDWWRGGKLSTHIFQKAILPGSMVRKAAQQGGVRKISGINYVDDSEIPKRSRQLIWRAAVERSKNAAQLALQVRYLDLHVRWNDLVRPEHNIPDGKGTETEASVFRNAIICDKKSVENKIQYGVAFGNQKHLPSRVMKNIIDIDQTEDRKEKYWFLITHIPLYLIKEYEEKMSNVGLPSVKKASSELSELQRRQLKASRRNIFAYLTSKRDKLEKCYCASCQMDVLLRNAVKCGTCQGYCHQDCTLSSMRMNGKVECLIICKQCYHAKVLGQNEISTKSPIIPLPLQGRDCLSAPAVTKGMQVKSSAQPIKPLVSIRSKENSVRIQERSSDTKQSASLSGLATKRSKLCNWGVIWRKKNSDETGIDFRRANIVARGGSDNHFLKPVCELCEQPYNSDLMYIHCETCRKWYHAEAVELEESRISDLVGFKCCKCRRIRGPECPYMDPELREQRRKKRLGKPQKQGQGSVVLDSDFGTISNFKECKPITRNVSTEHELVSANDPLLFSLSKVEQITENNSEVDVEWNTASGPGLQKLPVRRHVKREEVDGHAGGDLGHVELSSWPEPSNYTEPKEDTSLTFAEWDVSGNGLESELLFDYESLNYEDMEFEPQTYFSFTELLASDDGGQVDGHDATGDGSRNLENASGSISQDGVPEHRGTDTFSSQVEPMISENSDVNAPHCHVCLQNNPAPELYCDICGFLMHSHCSPWDELSSSEGGSWRCGRCREWR >EOY32682 pep chromosome:Theobroma_cacao_20110822:9:32828214:32829703:1 gene:TCM_040710 transcript:EOY32682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLHQRVIGIYIFQKPNAMFVCLVYFVVSLIGCVFVVMLNSITFISGIQQLQPYFFFWLGAGVKKGFLSVA >EOY31540 pep chromosome:Theobroma_cacao_20110822:9:9408067:9409770:1 gene:TCM_038457 transcript:EOY31540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 2 MTNEPLDETTLIDHWCIVFYLFLGNEKTRIFSKKLQLLSDITGVVRPGVLTALMGPNGAGITTLLGVLAGRKTIGCIEGEIRVGGCPKVQETFAKISGFCEQTDIHCPQITVEESLIFSAWLRLPACIDSKMKTFGCKCCSIVVRAVKNVADTGRTIVCTIHQPSIDIFEAFDELIFLKTGGSLVYFGPLGQHSSSVIEYFESIPGVPKIKDNCNPATWMLDVTSTSVEAELGIDLAKNENTKVVDLVVLCNDYILDIKLFAHFTIWRCE >EOY34593 pep chromosome:Theobroma_cacao_20110822:9:41538608:41540319:1 gene:TCM_042211 transcript:EOY34593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADVGVDQQISLFRSQIENKKFNDDSLRILKSLLVSKDVKSLIQTRSNLIEFIRSESLSVIRQIAAKTVDQQLFVLEFFVRAFAIIGDIESCLALRYEALVLRDHKSEIQKWLQVSHLEWLNFAEQSLENGFHAVAVKACDYALSCLEGNDVAESKTDESLENLQVTEKINKLKNSALTLAASGSGMSHVYFLHHTTKAWGSLRLFITVQAQTAEYLRKKTSERSNPQPPICKKPRFAASVLYRNGIKKHNERKLIASQKKVQLISIESDSTRN >EOY32340 pep chromosome:Theobroma_cacao_20110822:9:27590482:27596119:1 gene:TCM_040161 transcript:EOY32340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT1G55265) TAIR;Acc:AT1G55265] MAAPISLYLLLLALFCQTHLSFSTTGPAIDHSRPLSISTSDVHDLLPTYGLPKGILPNNVKSYTLSTTGDFTIELESTCYVQFDQLVYYEKKIKGKLSYGAVHDVSGIQAKKLFLWLPVTGIEVDENSGMVQFFVGALSEKLPAKQFEDIPVCKGNAFLRADLASM >EOY32341 pep chromosome:Theobroma_cacao_20110822:9:27590455:27609310:1 gene:TCM_040161 transcript:EOY32341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT1G55265) TAIR;Acc:AT1G55265] DHEVAKIPKKYLKNFRTQTKQVCFPFDPSIIPQITSLSFSKAISSCSTQQVSTLSKSPILSKMAAPISLYLLLLALFCQTHLSFSTTGPAIDHSRPLSISTSDVHDLLPTYGLPKGILPNNVKSYTLSTTGDFTIELESTCYVQFDQLVYYEKKIKGKLSYGAVHDVSGIQAKKLFLWLPVTGIEVDENSGMVQFFVGALSEKLPAKQFEDIPVCKGNAFLRADLASM >EOY31999 pep chromosome:Theobroma_cacao_20110822:9:18872972:18874382:-1 gene:TCM_039395 transcript:EOY31999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSYVKFLKDILPKKKSLGAFKVVTLIEECSAIIQNKLLLKLKDLGNFTISCTISTLFFVRALSDLGVGINLMPWSIYNKRIVIGCRVCMDYQKLNKATRKDHFSLLFIDKMLDRLAGKEFYYFLDGYSMYN >EOY32922 pep chromosome:Theobroma_cacao_20110822:9:34488517:34495375:1 gene:TCM_040944 transcript:EOY32922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase isoform 1 MKNTERLANLALAGLTLAPLAVKVDPNLNVILTACLTVYVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATILPAIKRFLPKHWNEDLIIWRFPYFRSLEIDFTRSQIVAAIPGTFFCAWYASQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADSARPFSMLGLGDIVIPGIFVALALRFDVSRGKESQYFKSAFLGYTVGLVLTIVVMNWFQAAQPALLYIVPAVIGFLAAHCIWNGEVTPLLEFDESKTGVSSQEGSDDKSSKVE >EOY32921 pep chromosome:Theobroma_cacao_20110822:9:34488517:34495375:1 gene:TCM_040944 transcript:EOY32921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase isoform 1 MKNTERLANLALAGLTLAPLAVKVDPNLNVILTACLTVYVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATILPAIKRFLPKHWNEDLIIWRFPYFRSLEIDFTRSQIVAAIPGTFFCAWYASQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADSARPFSMLGLGDIVIPGIFVALALRFDVSRGKESQYFKSAFLGYTVGLVLTIVVMNWFQAAQPALLYIVPAVIGFLAAHCIWNGEVTPLLEFDESKTGVSSQEGSDDKSSKVE >EOY32924 pep chromosome:Theobroma_cacao_20110822:9:34488517:34495375:1 gene:TCM_040944 transcript:EOY32924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase isoform 1 MKNTERLANLALAGLTLAPLAVKVDPNLNVILTACLTVYVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATILPAIKRFLPKHWNEDLIIWRFPYFRSLEIDFTRSQIVAAIPGTFFCAWYASQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADSARPFSMLGLGDIVIPVGLVLTIVVMNWFQAAQPALLYIVPAVIGFLAAHCIWNGEVTPLLEFDESKTGVSSQEGSDDKSSKVE >EOY32920 pep chromosome:Theobroma_cacao_20110822:9:34488592:34495375:1 gene:TCM_040944 transcript:EOY32920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase isoform 1 MKNTERLANLALAGLTLAPLAVKVDPNLNVILTACLTVYVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATILPAIKRFLPKHWNEDLIIWRFPYFRSLEIDFTRSQIVAAIPGTFFCAWYASQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADSARPFSMLGLGDIVIPGIFVALALRFDVSRGKESQYFKSAFLGYTVGLVLTIVVMNWFQAAQPALLYIVPAVIGFLAAHCIWNGEVTPLLEFDESKTGVSSQEGSDDKSSKVE >EOY32923 pep chromosome:Theobroma_cacao_20110822:9:34488800:34495375:1 gene:TCM_040944 transcript:EOY32923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase isoform 1 MSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATILPAIKRFLPKHWNEDLIIWRFPYFRSLEIDFTRSQIVAAIPGTFFCAWYASQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADSARPFSMLGLGDIVIPGIFVALALRFDVSRGKESQYFKSAFLGYTVGLVLTIVVMNWFQAAQPALLYIVPAVIGFLAAHCIWNGEVTPLLEFDESKTGVSSQEGSDDKSSKVE >EOY32925 pep chromosome:Theobroma_cacao_20110822:9:34488441:34492946:1 gene:TCM_040944 transcript:EOY32925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase isoform 1 MKNTERLANLALAGLTLAPLAVKVDPNLNVILTACLTVYVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATILPAIKRFLPKHWNEDLIIWRFPYFRSLEIDFTRSQIVAAIPGTFFCAWYASQKHWLANNILGLAFCIQQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADSARPFSMLGLGDIVIPGMF >EOY29826 pep chromosome:Theobroma_cacao_20110822:9:2475680:2486349:1 gene:TCM_037239 transcript:EOY29826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 3 MGSLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVSELILRHWPLHSRALHVKNTIEESELVPFAPRGIDKLEPEHVRLKFHDKRKAPDENLDEGSALKKLNQNIDLQLTEASWAALADALLGILLLLNRCGSELETGKLQRSGDVRLRILIPPGSEIVMEPVEKKVPTSASSGESIPPSDCDTERASNLKEKESNFLEEQPQERRSTRLERLRSRKPGKEEIDFAADKDLAKIVLQFLEPFVISRPEGKDSDDVVNCSMSYADQAYSLDMECQDVANFVKETSKNYGAYHLGHLLLEHATNKSLVHPDAHVKFLELEKLTRHWGQDRTPECSLFLAELYYDIGSSPSNSSNLSEFLSEASYHLCKIIESVALDHPFHMTSSFGNENCSSFKNFLGTDGISPNNSFCESSHLDSFLSSNKSPFWVRYFWLSGQLSVLDGNKAKAYEEFCISLSILAKKENANNPLCMVQLPHCKNIKELTVERILHEINLLKVDFLLDKTLGEMIEKEMYLECVTLLAPLLFSANYVSYLLAADQRGEGITSVELSALDILIKACQKIKPMDIEVYLNCHTRKLQLLTALAGMYQCVAFCKRFPQKSGLKMLSGSEMVSRDSSSKHWDHLVAEEVKAISQCVSQVKNFNDQGGDSSGTVLVGIISDIQSLLLAIMYNIANNVLCKKSSMPVIIDQLEQKQSNCFIDAAIAFCKLQHLDPSVTIKTQVELIVAIHDLLAEYGLCCAGEGGEGEEATFLKFAIKHLLALDMKLKSCCNSSTSENSPHDGQPNHDNDAKTSQNEISSDKLDVEMGRTENSESITAMKDDIEGIASKAAPSCSGEEKDNTTAHEKQCSNDEKINLGEKCGDQLDECADELTEDEKEELELMIDNALDQCFFCLYGLKLRSDSSYDDELAVHKSTSRGDYQTKEQCADVFQYILPSAKASSRTGLVKLRRVLRTIRKHFPQPPEDILVGNIIDKFLDDPDLCEDKLSEMAGSEGYLETITKMLFPNGGSLKQYKASSFRSSEPYLEVYSNLYYFLAQSEEMNATDKWPGFVLTKEGEEFVQQNANLFKYDLLYNPLRFESWQRLANIYDEEVDLLLNDGSKHINVSGWRKNTTLPQRVETSRRRSRRCLLISLALAKTSAQQCEIHELLALVYYDSLQNVVPFFDQRSIVPSRDAAWRMYCENSLRHFKKAFMHKQDWSHAFYIGKLCQKLGYSHETSLSYYDKAIALNPSAVDPFYRMHASRLKLLWTRGKQNLEVLKVLSMYSFGESVKDAVMDIIRGMTPETSLLEDVMDKSCQKNMEQKHHDESEQMEVWTMLYNDCLSALEICVGGDLKHFHKARFMLAQGLYKKGGRVDLQKAKDELSFCFKSSRSSFTINMWEIDGMVKKGKRKTPGFAGNKKALEVNLPESSRKFITCIRKYLLFYLKLLEETGDICTLDRAYVSLRSDKRFSLCIEDLVPVALGRHIKALVLSMRQVEPAGADAACSFEHQLEKIFGLFMEQGTLWPEICCLPEIKSSEISESTLYGYLHQYIVSLERNGKLEILEAINERIRKRFKNPKLSNSNCAKVCRHASVAWCRSLIYSLASITPLQSGFPSEVQTLNSIDGAMERSQQLCIDLQTHEIWSSSFEDSTHFESLQTKWSPTLAKINNIIIKKASDGDMETANSLLRSSYNFYRESSCVMLPSGVNLWLVPSQLVKEKQFPSSMEGAETLDLSIPRKLLLWAYTLLNGRYASISVVVKHCEENAKLKMKRGAATSSAPQNTNISIAVSSHAAAVSSSKEVPSNGGGSEAEAAPVTSAPPALVSEGESRHPTSPLPPSSEGQRSFSLAPQLHPYKNEGEKSTVAHDAGDPNKG >EOY29828 pep chromosome:Theobroma_cacao_20110822:9:2472763:2482274:1 gene:TCM_037239 transcript:EOY29828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 3 MFSIAAINDTDSRGQWEPLAPTKEAQEFHLTQAYHDGLLKLQAKEYEKARELLESVLKDPLISNAQVDSNTTDGHLLQLKFLSLKNLAAVFLQQGSSHYESALHCYLQAVEIDNKDSVVWNQLGTLSCSMGSLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVSELILRHWPLHSRALHVKNTIEESELVPFAPRGIDKLEPEHVRLKFHDKRKAPDENLDEGSALKKLNQNIDLQLTEASWAALADALLGILLLLNRCGSELETGKLQRSGDVRLRILIPPGSEIVMEPVEKKVPTSASSGESIPPSDCDTERASNLKEKESNFLEEQPQERRSTRLERLRSRKPGKEEIDFAADKDLAKIVLQFLEPFVISRPEGKDSDDVVNCSMSYADQAYSLDMECQDVANFVKETSKNYGAYHLGHLLLEHATNKSLVHPDAHVKFLELEKLTRHWGQDRTPECSLFLAELYYDIGSSPSNSSNLSEFLSEASYHLCKIIESVALDHPFHMTSSFGNENCSSFKNFLGTDGISPNNSFCESSHLDSFLSSNKSPFWVRYFWLSGQLSVLDGNKAKAYEEFCISLSILAKKENANNPLCMVQLPHCKNIKELTVERILHEINLLKVDFLLDKTLGEMIEKEMYLECVTLLAPLLFSANYVSYLLAADQRGEGITSVELSALDILIKACQKIKPMDIEVYLNCHTRKLQLLTALAGMYQCVAFCKRFPQKSGLKMLSGSEMVSRDSSSKHWDHLVAEEVKAISQCVSQVKNFNDQGGDSSGTVLVGIISDIQSLLLAIMYNIANNVLCKKSSMPVIIDQLEQKQSNCFIDAAIAFCKLQHLDPSVTIKTQVELIVAIHDLLAEYGLCCAGEGGEGEEATFLKFAIKHLLALDMKLKSCCNSSTSENSPHDGQPNHDNDAKTSQNEISSDKLDVEMGRTENSESITAMKDDIEGIASKAAPSCSGEEKDNTTAHEKQCSNDEKINLGEKCGDQLDECADELTEDEKEELELMIDNALDQCFFCLYGLKLRSDSSYDDELAVHKSTSRGDYQTKEQCADVFQYILPSAKASSRTGLVKLRRVLRTIRKHFPQPPEDILVGNIIDKFLDDPDLCEDKLSEMAGSEGYLETITKMLFPNGGSLKQYKASSFRSSEPYLEVYSNLYYFLAQSEEMNATDKWPGFVLTKEGEEFVQQNANLFKYDLLYNPLRFESWQRLANIYDEEVDLLLNDGSKHINVSGWRKNTTLPQRVETSRRRSRRCLLISLALAKTSAQQCEIHELLALVYYDSLQNVVPFFDQRSIVPSRDAAWRMYCENSLRHFKKAFMHKQDWSHAFYIGKLCQKLGYSHETSLSYYDKAIALNPSAVDPFYRMHASRLKLLWTRGKQNLEVLKVLSMYSFGESVKDAVMDIIRGMTPETSLLEDVMDKSCQKNMEQKHHDESEQMEVWTMLYNDCLSALEICVGGDLKHFHKARFMLAQGLYKKGGRVDLQKAKDELSFCFKSSRSSFTINMWEIDGMVKKG >EOY29823 pep chromosome:Theobroma_cacao_20110822:9:2474780:2485387:1 gene:TCM_037239 transcript:EOY29823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 3 MFSIAAINDTDSRGQWEPLAPTKEAQEFHLTQAYHDGLLKLQAKEYEKARELLESVLKDPLISNAQVDSNTTDGHLLQLKFLSLKNLAAVFLQQGSSHYESALHCYLQAVEIDNKDSVVWNQLGTLSCSMGSLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVSELILRHWPLHSRALHVKNTIEESELVPFAPRGIDKLEPEHVRLKFHDKRKAPDENLDEGSALKKLNQNIDLQLTEASWAALADALLGILLLLNRCGSELETGKLQRSGDVRLRILIPPGSEIVMEPVEKKVPTSASSGESIPPSDCDTERASNLKEKESNFLEEQPQERRSTRLERLRSRKPGKEEIDFAADKDLAKIVLQFLEPFVISRPEGKDSDDVVNCSMSYADQAYSLDMECQDVANFVKETSKNYGAYHLGHLLLEHATNKSLVHPDAHVKFLELEKLTRHWGQDRTPECSLFLAELYYDIGSSPSNSSNLSEFLSEASYHLCKIIESVALDHPFHMTSSFGNENCSSFKNFLGTDGISPNNSFCESSHLDSFLSSNKSPFWVRYFWLSGQLSVLDGNKAKAYEEFCISLSILAKKENANNPLCMVQLPHCKNIKELTVERILHEINLLKVDFLLDKTLGEMIEKEMYLECVTLLAPLLFSANYVSYLLAADQRGEGITSVELSALDILIKACQKIKPMDIEVYLNCHTRKLQLLTALAGMYQCVAFCKRFPQKSGLKMLSGSEMVSRDSSSKHWDHLVAEEVKAISQCVSQVKNFNDQGGDSSGTVLVGIISDIQSLLLAIMYNIANNVLCKKSSMPVIIDQLEQKQSNCFIDAAIAFCKLQHLDPSVTIKTQVELIVAIHDLLAEYGLCCAGEGGEGEEATFLKFAIKHLLALDMKLKSCCNSSTSENSPHDGQPNHDNDAKTSQNEISSDKLDVEMGRTENSESITAMKDDIEGIASKAAPSCSGEEKDNTTAHEKQCSNDEKINLGEKCGDQLDECADELTEDEKEELELMIDNALDQCFFCLYGLKLRSDSSYDDELAVHKSTSRGDYQTKEQCADVFQYILPSAKASSRTGLVKLRRVLRTIRKHFPQPPEDILVGNIIDKFLDDPDLCEDKLSEMAGSEGYLETITKMLFPNGGSLKQYKASSFRSSEPYLEVYSNLYYFLAQSEEMNATDKWPGFVLTKEGEEFVQQNANLFKYDLLYNPLRFESWQRLANIYDEEVDLLLNDGSKHINVSGWRKNTTLPQRVETSRRRSRRCLLISLALAKTSAQQCEIHELLALVYYDSLQNVVPFFDQRSIVPSRDAAWRMYCENSLRHFKKAFMHKQDWSHAFYIGKLCQKLGYSHETSLSYYDKAIALNPSAVDPFYRMHASRLKLLWTRGKQNLEVLKVLSMYSFGESVKDAVMDIIRGMTPETSLLEDVMDKSCQKNMEQKHHDESEQMEVWTMLYNDCLSALEICVGGDLKHFHKARFMLAQGLYKKGGRVDLQKAKDELSFCFKSSRSSFTINMWEIDGMVKKGKRKTPGFAGNKKALEVNLPESSRKFITCIRKYLLFYLKLLEETGDICTLDRAYVSLRSDKRFSLCIEDLVPVALGRHIKALVLSMRQVEPAGADAACSFEHQLEKIFGLFMEQGTLWPEICCLPEIKSSEISESTLYGYLHQYIVSLERNGKLEILEAINERIRKRFKNPKLSNSNCAKVCRHASVAWCRSLIYSLASITPLQSGFPSEVQTLNSIDGAMERSQQLCIDLQTHEIWSSSFEDSTHFESLQTKWSPTLAKINNIIIKKASDGDMETANSLLRSSYNFYRESSCVMLPSGVNLWLVPSQLVKEKQFPSSMEGAETLDLSIPRKLLLWAYTLLNGRYASISVVVKHCEENAKLKMKRGAATSSAPQNTNISIAVSSHAAVSSSKEVPSNGGGSEAEAAPVTSAPPALVSEGESRHPTSPLPPSSEGQRSFSLAPQLHPYKNEGEKSTVAHDAGDPNKG >EOY29827 pep chromosome:Theobroma_cacao_20110822:9:2474758:2483417:1 gene:TCM_037239 transcript:EOY29827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 3 MFSIAAINDTDSRGQWEPLAPTKEAQEFHLTQAYHDGLLKLQAKEYEKARELLESVLKDPLISNAQVDSNTTDGHLLQLKFLSLKNLAAVFLQQGSSHYESALHCYLQAVEIDNKDSVVWNQLGTLSCSMGSLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVSELILRHWPLHSRALHVKNTIEESELVPFAPRGIDKLEPEHVRLKFHDKRKAPDENLDEGSALKKLNQNIDLQLTEASWAALADALLGILLLLNRCGSELETGKLQRSGDVRLRILIPPGSEIVMEPVEKKVPTSASSGESIPPSDCDTERASNLKEKESNFLEEQPQERRSTRLERLRSRKPGKEEIDFAADKDLAKIVLQFLEPFVISRPEGKDSDDVVNCSMSYADQAYSLDMECQDVANFVKETSKNYGAYHLGHLLLEHATNKSLVHPDAHVKFLELEKLTRHWGQDRTPECSLFLAELYYDIGSSPSNSSNLSEFLSEASYHLCKIIESVALDHPFHMTSSFGNENCSSFKNFLGTDGISPNNSFCESSHLDSFLSSNKSPFWVRYFWLSGQLSVLDGNKAKAYEEFCISLSILAKKENANNPLCMVQLPHCKNIKELTVERILHEINLLKVDFLLDKTLGEMIEKEMYLECVTLLAPLLFSANYVSYLLAADQRGEGITSVELSALDILIKACQKIKPMDIEVYLNCHTRKLQLLTALAGMYQCVAFCKRFPQKSGLKMLSGSEMVSRDSSSKHWDHLVAEEVKAISQCVSQVKNFNDQGGDSSGTVLVGIISDIQSLLLAIMYNIANNVLCKKSSMPVIIDQLEQKQSNCFIDAAIAFCKLQHLDPSVTIKTQVELIVAIHDLLAEYGLCCAGEGGEGEEATFLKFAIKHLLALDMKLKSCCNSSTSENSPHDGQPNHDNDAKTSQNEISSDKLDVEMGRTENSESITAMKDDIEGIASKAAPSCSGEEKDNTTAHEKQCSNDEKINLGEKCGDQLDECADELTEDEKEELELMIDNALDQCFFCLYGLKLRSDSSYDDELAVHKSTSRGDYQTKEQCADVFQYILPSAKASSRTGLVKLRRVLRTIRKHFPQPPEDILVGNIIDKFLDDPDLCEDKLSEMAGSEGYLETITKMLFPNGGSLKQYKASSFRSSEPYLEVYSNLYYFLAQSEEMNATDKWPGFVLTKEGEEFVQQNANLFKYDLLYNPLRFESWQRLANIYDEEVDLLLNDGSKHINVSGWRKNTTLPQRVETSRRRSRRCLLISLALAKTSAQQCEIHELLALVYYDSLQNVVPFFDQRSIVPSRDAAWRMYCENSLRHFKKAFMHKQDWSHAFYIGKLCQKLGYSHETSLSYYDKAIALNPSAVDPFYRMHASRLKLLWTRGKQNLEVLKVLSMYSFGESVKDAVMDIIRGMTPETSLLEDVMDKSCQKNMEQKHHDESEQMEVWTMLYNDCLSALEICVGGDLKHFHKARFMLAQGLYKKGGRVDLQKAKDELSFCFKSSRSSFTINMWEIDGMVKKGKRKTPGFAGNKKALEVNLPESSRKFITCIRKYLLFYLKLLEETGDICTLDRAYVSLRSDKRFSLCIEDLVPVALGRHIKALVLSMRQVEPAGADAACSFEHQLEKIFGLFMEQGTLWPEICCLPEIKSSEISESTLYG >EOY29825 pep chromosome:Theobroma_cacao_20110822:9:2473169:2482274:1 gene:TCM_037239 transcript:EOY29825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 3 MFSIAAINDTDSRGQWEPLAPTKEAQEFHLTQAYHDGLLKLQAKEYEKARELLESVLKDPLISNAQVDSNTTDGHLLQLKFLSLKNLAAVFLQQGSSHYESALHCYLQAVEIDNKDSVVWNQLGTLSCSMGSLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVSELILRHWPLHSRALHVKNTIEESELVPFAPRGIDKLEPEHVRLKFHDKRKAPDENLDEGSALKKLNQNIDLQLTEASWAALADALLGILLLLNRCGSELETGKLQRSGDVRLRILIPPGSEIVMEPVEKKVPTSASSGESIPPSDCDTERASNLKEKESNFLEEQPQERRSTRLERLRSRKPGKEEIDFAADKDLAKIVLQFLEPFVISRPEGKDSDDVVNCSMSYADQAYSLDMECQDVANFVKETSKNYGAYHLGHLLLEHATNKSLVHPDAHVKFLELEKLTRHWGQDRTPECSLFLAELYYDIGSSPSNSSNLSEFLSEASYHLCKIIESVALDHPFHMTSSFGNENCSSFKNFLGTDGISPNNSFCESSHLDSFLSSNKSPFWVRYFWLSGQLSVLDGNKAKAYEEFCISLSILAKKENANNPLCMVQLPHCKNIKELTVERILHEINLLKVDFLLDKTLGEMIEKEMYLECVTLLAPLLFSANYVSYLLAADQRGEGITSVELSALDILIKACQKIKPMDIEVYLNCHTRKLQLLTALAGMYQCVAFCKRFPQKSGLKMLSGSEMVSRDSSSKHWDHLVAEEVKAISQCVSQVKNFNDQGGDSSGTVLVGIISDIQSLLLAIMYNIANNVLCKKSSMPVIIDQLEQKQSNCFIDAAIAFCKLQHLDPSVTIKTQVELIVAIHDLLAEYGLCCAGEGGEGEEATFLKFAIKHLLALDMKLKSCCNSSTSENSPHDGQPNHDNDAKTSQNEISSDKLDVEMGRTENSESITAMKDDIEGIASKAAPSCSGEEKDNTTAHEKQCSNDEKINLGEKCGDQLDECADELTEDEKEELELMIDNALDQCFFCLYGLKLRSDSSYDDELAVHKSTSRGDYQTKEQCADVFQYILPSAKASSRTGLVKLRRVLRTIRKHFPQPPEDILVGNIIDKFLDDPDLCEDKLSEMAGSEGYLETITKMLFPNGGSLKQYKASSFRSSEPYLEVYSNLYYFLAQSEEMNATDKWPGFVLTKEGEEFVQQNANLFKYDLLYNPLRFESWQRLANIYDEEVDLLLNDGSKHINVSGWRKNTTLPQRVETSRRRSRRCLLISLALAKTSAQQCEIHELLALVYYDSLQNVVPFFDQRSIVPSRDAAWRMYCENSLRHFKKAFMHKQDWSHAFYIGKLCQKLGYSHETSLSYYDKAIALNPSAVDPFYRMHASRLKLLWTRGKQNLEVLKVLSMYSFGESVKDAVMDIIRGMTPETSLLEDVMDKSCQKNMEQKHHDESEQMEVWTMLYNDCLSALEICVGGDLKHFHKARFMLAQGLYKKGGRVDLQKAKDELSFCFKSSRSSFTINMWEIDGMVKKG >EOY29829 pep chromosome:Theobroma_cacao_20110822:9:2474139:2482274:1 gene:TCM_037239 transcript:EOY29829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 3 MFSIAAINDTDSRGQWEPLAPTKEAQEFHLTQAYHDGLLKLQAKEYEKARELLESVLKDPLISNAQVDSNTTDGHLLQLKFLSLKNLAAVFLQQGSSHYESALHCYLQAVEIDNKDSVVWNQLGTLSCSMGSLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVSELILRHWPLHSRALHVKNTIEESELVPFAPRGIDKLEPEHVRLKFHDKRKAPDENLDEGSALKKLNQNIDLQLTEASWAALADALLGILLLLNRCGSELETGKLQRSGDVRLRILIPPGSEIVMEPVEKKVPTSASSGESIPPSDCDTERASNLKEKESNFLEEQPQERRSTRLERLRSRKPGKEEIDFAADKDLAKIVLQFLEPFVISRPEGKDSDDVVNCSMSYADQAYSLDMECQDVANFVKETSKNYGAYHLGHLLLEHATNKSLVHPDAHVKFLELEKLTRHWGQDRTPECSLFLAELYYDIGSSPSNSSNLSEFLSEASYHLCKIIESVALDHPFHMTSSFGNENCSSFKNFLGTDGISPNNSFCESSHLDSFLSSNKSPFWVRYFWLSGQLSVLDGNKAKAYEEFCISLSILAKKENANNPLCMVQLPHCKNIKELTVERILHEINLLKVDFLLDKTLGEMIEKEMYLECVTLLAPLLFSANYVSYLLAADQRGEGITSVELSALDILIKACQKIKPMDIEVYLNCHTRKLQLLTALAGMYQCVAFCKRFPQKSGLKMLSGSEMVSRDSSSKHWDHLVAEEVKAISQCVSQVKNFNDQGGDSSGTVLVGIISDIQSLLLAIMYNIANNVLCKKSSMPVIIDQLEQKQSNCFIDAAIAFCKLQHLDPSVTIKTQVELIVAIHDLLAEYGLCCAGEGGEGEEATFLKFAIKHLLALDMKLKSCCNSSTSENSPHDGQPNHDNDAKTSQNEISSDKLDVEMGRTENSESITAMKDDIEGIASKAAPSCSGEEKDNTTAHEKQCSNDEKINLGEKCGDQLDECADELTEDEKEELELMIDNALDQCFFCLYGLKLRSDSSYDDELAVHKSTSRGDYQTKEQCADVFQYILPSAKASSRTGLVKLRRVLRTIRKHFPQPPEDILVGNIIDKFLDDPDLCEDKLSEMAGSEGYLETITKMLFPNGGSLKQYKASSFRSSEPYLEVYSNLYYFLAQSEEMNATDKWPGFVLTKEGEEFVQQNANLFKYDLLYNPLRFESWQRLANIYDEEVDLLLNDGSKHINVSGWRKNTTLPQRVETSRRRSRRCLLISLALAKTSAQQCEIHELLALVYYDSLQNVVPFFDQRSIVPSRDAAWRMYCENSLRHFKKAFMHKQDWSHAFYIGKLCQKLGYSHETSLSYYDKAIALNPSAVDPFYRMHASRLKLLWTRGKQNLEVLKVLSMYSFGESVKDAVMDIIRGMTPETSLLEDVMDKSCQKNMEQKHHDESEQMEVWTMLYNDCLSALEICVGGDLKHFHKARFMLAQGLYKKGGRVDLQKAKDELSFCFKSSRSSFTINMWEIDGMVKKG >EOY29824 pep chromosome:Theobroma_cacao_20110822:9:2472763:2482589:1 gene:TCM_037239 transcript:EOY29824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 3 MFSIAAINDTDSRGQWEPLAPTKEAQEFHLTQAYHDGLLKLQAKEYEKARELLESVLKDPLISNAQVDSNTTDGHLLQLKFLSLKNLAAVFLQQGSSHYESALHCYLQAVEIDNKDSVVWNQLGTLSCSMGSLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVSELILRHWPLHSRALHVKNTIEESELVPFAPRGIDKLEPEHVRLKFHDKRKAPDENLDEGSALKKLNQNIDLQLTEASWAALADALLGILLLLNRCGSELETGKLQRSGDVRLRILIPPGSEIVMEPVEKKVPTSASSGESIPPSDCDTERASNLKEKESNFLEEQPQERRSTRLERLRSRKPGKEEIDFAADKDLAKIVLQFLEPFVISRPEGKDSDDVVNCSMSYADQAYSLDMECQDVANFVKETSKNYGAYHLGHLLLEHATNKSLVHPDAHVKFLELEKLTRHWGQDRTPECSLFLAELYYDIGSSPSNSSNLSEFLSEASYHLCKIIESVALDHPFHMTSSFGNENCSSFKNFLGTDGISPNNSFCESSHLDSFLSSNKSPFWVRYFWLSGQLSVLDGNKAKAYEEFCISLSILAKKENANNPLCMVQLPHCKNIKELTVERILHEINLLKVDFLLDKTLGEMIEKEMYLECVTLLAPLLFSANYVSYLLAADQRGEGITSVELSALDILIKACQKIKPMDIEVYLNCHTRKLQLLTALAGMYQCVAFCKRFPQKSGLKMLSGSEMVSRDSSSKHWDHLVAEEVKAISQCVSQVKNFNDQGGDSSGTVLVGIISDIQSLLLAIMYNIANNVLCKKSSMPVIIDQLEQKQSNCFIDAAIAFCKLQHLDPSVTIKTQVELIVAIHDLLAEYGLCCAGEGGEGEEATFLKFAIKHLLALDMKLKSCCNSSTSENSPHDGQPNHDNDAKTSQNEISSDKLDVEMGRTENSESITAMKDDIEGIASKAAPSCSGEEKDNTTAHEKQCSNDEKINLGEKCGDQLDECADELTEDEKEELELMIDNALDQCFFCLYGLKLRSDSSYDDELAVHKSTSRGDYQTKEQCADVFQYILPSAKASSRTGLVKLRRVLRTIRKHFPQPPEDILVGNIIDKFLDDPDLCEDKLSEMAGSEGYLETITKMLFPNGGSLKQYKASSFRSSEPYLEVYSNLYYFLAQSEEMNATDKWPGFVLTKEGEEFVQQNANLFKYDLLYNPLRFESWQRLANIYDEEVDLLLNDGSKHINVSGWRKNTTLPQRVETSRRRSRRCLLISLALAKTSAQQCEIHELLALVYYDSLQNVVPFFDQRSIVPSRDAAWRMYCENSLRHFKKAFMHKQDWSHAFYIGKLCQKLGYSHETSLSYYDKAIALNPSAVDPFYRMHASRLKLLWTRGKQNLEVLKVLSMYSFGESVKDAVMDIIRGMTPETSLLEDVMDKSCQKNMEQKHHDESEQMEVWTMLYNDCLSALEICVGGDLKHFHKARFMLAQGLYKKGGRVDLQKAKDELSFCFKSSRSSFTINMWEIDGMVKKGKYGCNLYFNSKLLDLYRPSV >EOY34566 pep chromosome:Theobroma_cacao_20110822:9:41459812:41461437:-1 gene:TCM_042193 transcript:EOY34566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFNIHMEHENVTARKGRAAFSLVPGRLSCLFYKARIEQVLKMTISTADRHVGNVSEVGNVPDPFRLALFVPVLRSGSMKVGSDFRTAEHGF >EOY33871 pep chromosome:Theobroma_cacao_20110822:9:39214947:39218575:-1 gene:TCM_041712 transcript:EOY33871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGMESDFILETAKEEATSCNPILYSSSTVSLHKEISISFLDECSSLVHHEAMTMISTRVGQDVESNSRPQWGIFLWRAALNEMSTASTSTDYQDNPVLSLVCLHSADRARV >EOY29950 pep chromosome:Theobroma_cacao_20110822:9:2901561:2905056:-1 gene:TCM_037323 transcript:EOY29950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligomeric Golgi complex component-related / COG complex component-related isoform 1 MVMELENGDDVLPTLASPTSAMAGLLPLASVSQQPYVSELLSFTLDRLHKEPELLRVDAERIQRQMQEVAVGNYRAFIAASDALVAIKEEVTSIDKHLESLITEIPNLTSGCAEFIESAEQILEKRKMNLTLLANHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAFVCKLSTMHPKLPVIQALAAEVRQTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFSEYEMRLQFLRCRESWLTGILEDWDQRNAYEYLKGMISCHRMHLFDVVNQYRAIFADDTSGSEQNYDGGLLFSWAMHQITSHLKTLKVMLPKITEGGSLSNILDQSMYCAMGLGWVGLDFRGLLPSLFEEAVLNLFSKNMNTAVENFQLVLDSHRWVPLPAVGFSATSIGEESQEDVTPPSYLMEHPPLAVFINGRFDACFSHTDGFSNM >EOY29952 pep chromosome:Theobroma_cacao_20110822:9:2900246:2904679:-1 gene:TCM_037323 transcript:EOY29952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligomeric Golgi complex component-related / COG complex component-related isoform 1 MLIYRISVLQITEIPNLTSGCAEFIESAEQILEKRKMNLTLLANHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAFVCKLSTMHPKLPVIQALAAEVRQTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFSEYEMRLQFLRCRESWLTGILEDWDQRNAYEYLKGMISCHRMHLFDVVNQYRAIFADDTSGSEQNYDGGLLFSWAMHQITSHLKTLKVMLPKITEGGSLSNILDQSMYCAMGLGWVGLDFRGLLPSLFEEAVLNLFSKNMNTAVENFQLVLDSHRWVPLPAVGFSATSIGEESQEDVTPPSYLMEHPPLAVFINGVSGAMNELRPCAPVSLKNVLAQELIKGLQAVSDSLLRYNATRMLRENESGLFLSLCRAFIEVAFPHCATCFGRCYPGEAALILDAKNLYDGVGRLLTISSLKEPPKRVSDAEEMTTSENGDLPQPVVENGVEPAVDETGSANADEKEHNIPTLQTDEKLGDAS >EOY29951 pep chromosome:Theobroma_cacao_20110822:9:2901075:2905205:-1 gene:TCM_037323 transcript:EOY29951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligomeric Golgi complex component-related / COG complex component-related isoform 1 MVMELENGDDVLPTLASPTSAMAGLLPLASVSQQPYVSELLSFTLDRLHKEPELLRVDAERIQRQMQEVAVGNYRAFIAASDALVAIKEEVTSIDKHLESLITEIPNLTSGCAEFIESAEQILEKRKMNLTLLANHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAFVCKLSTMHPKLPVIQALAAEVRQTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFSEYEMRLQFLRCRESWLTGILEDWDQRNAYEYLKGMISCHRMHLFDVVNQYRAIFADDTSGSEQNYDGGLLFSWAMHQITSHLKTLKVMLPKITEGGSLSNILDQSMYCAMGLGWVGLDFRGLLPSLFEEAVLNLFSKNMNTAVENFQLVLDSHRWVPLPAVGFSATSIGEESQEDVTPPSYLMEHPPLAVFINEYPHVECK >EOY29949 pep chromosome:Theobroma_cacao_20110822:9:2900234:2905647:-1 gene:TCM_037323 transcript:EOY29949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligomeric Golgi complex component-related / COG complex component-related isoform 1 MVMELENGDDVLPTLASPTSAMAGLLPLASVSQQPYVSELLSFTLDRLHKEPELLRVDAERIQRQMQEVAVGNYRAFIAASDALVAIKEEVTSIDKHLESLITEIPNLTSGCAEFIESAEQILEKRKMNLTLLANHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAFVCKLSTMHPKLPVIQALAAEVRQTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFSEYEMRLQFLRCRESWLTGILEDWDQRNAYEYLKGMISCHRMHLFDVVNQYRAIFADDTSGSEQNYDGGLLFSWAMHQITSHLKTLKVMLPKITEGGSLSNILDQSMYCAMGLGWVGLDFRGLLPSLFEEAVLNLFSKNMNTAVENFQLVLDSHRWVPLPAVGFSATSIGEESQEDVTPPSYLMEHPPLAVFINGVSGAMNELRPCAPVSLKNVLAQELIKGLQAVSDSLLRYNATRMLRENESGLFLSLCRAFIEVAFPHCATCFGRCYPGEAALILDAKNLYDGVGRLLTISSLKEPPKRVSDAEEMTTSENGDLPQPVVENGVEPAVDETGSANADEKEHNIPTLQTDEKLGDAS >EOY34038 pep chromosome:Theobroma_cacao_20110822:9:39759155:39760385:-1 gene:TCM_041840 transcript:EOY34038 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAX interacting protein 4 MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDSKSSSNHKAASAEPEGENPYASFQGLLTLARITRSDADATRGSCKKCGRVGHLTFQCKNFVSLKEEKDPEAIQAAVSNGLDKLKGRKVNGKEEEEDESESSDSDVDSEIERIIAQRYGKKFSGIRKKNDGDDGSDSDYGERKKRRRSKKRIGRKTRGSYSDDENESSRKRRKREESSVEDDEHRRRRKRKSRKHKSKIF >EOY29164 pep chromosome:Theobroma_cacao_20110822:9:278559:282992:-1 gene:TCM_036793 transcript:EOY29164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 4 MMRENISLTKLGLKVRTLKADNAGQIAMIKDLCLEQKLEAALWLRREMIKKGVIPDVLTHNYLLNGLCKKGDMEKADWLFRDMLEIGPPPNHVTYNTFVKGCCLSNDVDKALYMFSTMTSHGIGPNRVTCSMLVHKLCKRHLLRDATRLLGKMLREDHGEAIIQVLCLDGKLGSALMLRRKMIQKGIIPDVLAHNYLLNGLCKIGDMKKADWLFREMIEKGPPPNHVTYNTFIKGYCLIDDMDKALYLFSAMASCSIRPNRVTCNILMHALLKRGLLRDAMKLLREILSDDNSQATSDLITSTILMDGSFKSGDMVQALGYWDEMLQKNIQIDVVAYNVLIHGFCLSQNRKVAYGYCGEMLRRGLLPDVVTYNTLVSALCKEGKFDEACHIHGVMLRMGIAPDQISYKVIIQGLCSCGDIVKANEFLLSMLKKSILPVPLIWNLIIHGYGRCGDFRNALSIIDQMLSFGVSPNIFTFNALIHALVKGGNLRSAYSVKKEMLLNGLFPDVVTYNLLIGAASNFGQLDFALQLHDEMLRGGCEPDIITYTELIRGHWKRGNLREAKELFAKIQRSGVQIDHVPYEKLIKK >EOY29167 pep chromosome:Theobroma_cacao_20110822:9:279645:282989:-1 gene:TCM_036793 transcript:EOY29167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 4 MMRENISLTKLGLKVRTLKADNAGQIAMIKDLCLEQKLEAALWLRREMIKKGVIPDVLTHNYLLNGLCKKGDMEKADWLFRDMLEIGPPPNHVTYNTFVKGCCLSNDVDKALYMFSTMTSHGIGPNRVTCSMLVHKLCKRHLLRDATRLLGKMLREDHGEAIIQVLCLDGKLGSALMLRRKMIQKGIIPDVLAHNYLLNGLCKIGDMKKADWLFREMIEKGPPPNHVTYNTFIKGYCLIDDMDKALYLFSAMASCSIRPNRVTCNILMHALLKRGLLRDAMKLLREILSDDNSQATSDLITSTILMDGSFKSGDMVQALGYWDEMLQKNIQIDVVAYNVLIHGFCLSQNRKVAYGYCGEMLRRGLLPDVVTYNTLVSALCKEGKFDEACHIHGVMLRMGIAPDQISYKVIIQGLCSCGDIVKANEFLLSMLKKSILPVPLIWNLIIHGYGRCGDFRNALSIIDQMLSFGVSPNIFTFNALIHALVKGGNLRSAYSVKKEMLLNGLFPDVVTYNLLIGAASNFGQLDFALQLHDEMLRGGCEPDIITYTELIRGHWKRGNLREAKELFAKIQRSGVQIDHVPYEKLIKK >EOY29159 pep chromosome:Theobroma_cacao_20110822:9:278755:284016:-1 gene:TCM_036793 transcript:EOY29159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 4 MALAIACGESMPCSSSHVFLIRFLNQALDSIKYNVAQMVANILSINPNARASKVENPLSAVGDLMPATSDHYQLRTQDWFFKKKDFNGKQDPKAVFNVLDATLKESLERLKMMRENISLTKLGLKVRTLKADNAGQIAMIKDLCLEQKLEAALWLRREMIKKGVVPDVPTHNYLLNGLCKKGDMEKADWLIREMLEIGPPPNHVTYNTFVKGCCLSNDVDKALYMFSTMTSHGIGPNRVTCSMLVHKLCKRHLLRDATRLLGKMLREDHGEAIIQVLCLDGKLGSALMLRRKMIQKGIIPDVLAHNYLLNGLCKIGDMKKADWLFREMIEKGPPPNHVTYNTFIKGYCLIDDMDKALYLFSAMASCSIRPNRVTCNILMHALLKRGLLRDAMKLLREILSDDNSQATSDLITSTILMDGSFKSGDMVQALGYWDEMLQKNIQIDVVAYNVLIHGFCLSQNRKVAYGYCGEMLRRGLLPDVVTYNTLVSALCKEGKFDEACHIHGVMLRMGIAPDQISYKVIIQGLCSCGDIVKANEFLLSMLKKSILPVPLIWNLIIHGYGRCGDFRNALSIIDQMLSFGVSPNIFTFNALIHALVKGGNLRSAYSVKKEMLLNGLFPDVVTYNLLIGAASNFGQLDFALQLHDEMLRGGCEPDIITYTELIRGHWKRGNLREAKELFAKIQRSGVQIDHVPYEKLIKK >EOY29162 pep chromosome:Theobroma_cacao_20110822:9:277953:282992:-1 gene:TCM_036793 transcript:EOY29162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 4 MMRENISLTKLGLKVRTLKADNAGQIAMIKDLCLEQKLEAALWLRREMIKKGVIPDVLTHNYLLNGLCKKGDMEKADWLFRDMLEIGPPPNHVTYNTFVKGCCLSNDVDKALYMFSTMTSHGIGPNRVTCSMLVHKLCKRHLLRDATRLLGKMLREDHGEAIIQVLCLDGKLGSALMLRRKMIQKGIIPDVLAHNYLLNGLCKIGDMKKADWLFREMIEKGPPPNHVTYNTFIKGYCLIDDMDKALYLFSAMASCSIRPNRVTCNILMHALLKRGLLRDAMKLLREILSDDNSQATSDLITSTILMDGSFKSGDMVQALGYWDEMLQKNIQIDVVAYNVLIHGFCLSQNRKVAYGYCGEMLRRGLLPDVVTYNTLVSALCKEGKFDEACHIHGVMLRMGIAPDQISYKVIIQGLCSCGDIVKANEFLLSMLKKSILPVPLIWNLIIHGYGRCGDFRNALSIIDQMLSFGVSPNIFTFNALIHALVKGGNLRSAYSVKKEMLLNGLFPDVVTYNLLIGAASNFGQLDFALQLHDEMLRGGCEPDIITYTELIRGHWKRGNLREAKELFAKIQRSGVQIDHVPYEKLIKK >EOY29165 pep chromosome:Theobroma_cacao_20110822:9:278559:282992:-1 gene:TCM_036793 transcript:EOY29165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 4 MMRENISLTKLGLKVRTLKADNAGQIAMIKDLCLEQKLEAALWLRREMIKKGVIPDVLTHNYLLNGLCKKGDMEKADWLFRDMLEIGPPPNHVTYNTFVKGCCLSNDVDKALYMFSTMTSHGIGPNRVTCSMLVHKLCKRHLLRDATRLLGKMLREDHGEAIIQVLCLDGKLGSALMLRRKMIQKGIIPDVLAHNYLLNGLCKIGDMKKADWLFREMIEKGPPPNHVTYNTFIKGYCLIDDMDKALYLFSAMASCSIRPNRVTCNILMHALLKRGLLRDAMKLLREILSDDNSQATSDLITSTILMDGSFKSGDMVQALGYWDEMLQKNIQIDVVAYNVLIHGFCLSQNRKVAYGYCGEMLRRGLLPDVVTYNTLVSALCKEGKFDEACHIHGVMLRMGIAPDQISYKVIIQGLCSCGDIVKANEFLLSMLKKSILPVPLIWNLIIHGYGRCGDFRNALSIIDQMLSFGVSPNIFTFNALIHALVKGGNLRSAYSVKKEMLLNGLFPDVVTYNLLIGAASNFGQLDFALQLHDEMLRGGCEPDIITYTELIRGHWKRGNLREAKELFAKIQRSGVQIDHVPYEKLIKK >EOY29163 pep chromosome:Theobroma_cacao_20110822:9:278555:284016:-1 gene:TCM_036793 transcript:EOY29163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 4 MALAIACGESMPCSSSHVFLIRFLNQALDSIKYNVAQMVANILSINPNARASKVENPLSAVGDLMPATSDHYQLRTQDWFFKKKDFNGKQDPKAVFNVLDATLKESLERLKMMRENISLTKLGLKVRTLKADNAGQIAMIKDLCLEQKLEAALWLRREMIKKGVVPDVPTHNYLLNGLCKKGDMEKADWLIREMLEIGPPPNHVTYNTFVKGCCLSNDVDKALYMFSTMTSHGIGPNRVTCSMLVHKLCKRHLLRDATRLLGKMLREDHGEAIIQVLCLDGKLGSALMLRRKMIQKGIIPDVLAHNYLLNGLCKIGDMKKADWLFREMIEKGPPPNHVTYNTFIKGYCLIDDMDKALYLFSAMASCSIRPNRVTCNILMHALLKRGLLRDAMKLLREILSDDNSQATSDLITSTILMDGSFKSGDMVQALGYWDEMLQKNIQIDVVAYNVLIHGFCLSQNRKVAYGYCGEMLRRGLLPDVVTYNTLVSALCKEGKFDEACHIHGVMLRMGIAPDQISYKVIIQGLCSCGDIVKANEFLLSMLKKSILPVPLIWNLIIHGYGRCGDFRNALSIIDQMLSFGVSPNIFTFNALIHALVKGGNLRSAYSVKKEMLLNGLFPDVVTYNLLIGAASNFGQLDFALQLHDEMLRGGCEPDIITYTELIRGHWKRGNLREAKELFAKIQRSGVQIDHVPYEKLIKK >EOY29160 pep chromosome:Theobroma_cacao_20110822:9:277953:284016:-1 gene:TCM_036793 transcript:EOY29160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 4 MALAIACGESMPCSSSHVFLIRFLNQALDSIKYNVAQMVANILSINPNARASKVENPLSAVGDLMPATSDHYQLRTQDWFFKKKDFNGKQDPKAVFNVLDATLKESLERLKMMRENISLTKLGLKVRTLKADNAGQIAMIKDLCLEQKLEAALWLRREMIKKGVVPDVPTHNYLLNGLCKKGDMEKADWLIREMLEIGPPPNHVTYNTFVKGCCLSNDVDKALYMFSTMTSHGIGPNRVTCSMLVHKLCKRHLLRDATRLLGKMLREDHGEAIIQVLCLDGKLGSALMLRRKMIQKGIIPDVLAHNYLLNGLCKIGDMKKADWLFREMIEKGPPPNHVTYNTFIKGYCLIDDMDKALYLFSAMASCSIRPNRVTCNILMHALLKRGLLRDAMKLLREILSDDNSQATSDLITSTILMDGSFKSGDMVQALGYWDEMLQKNIQIDVVAYNVLIHGFCLSQNRKVAYGYCGEMLRRGLLPDVVTYNTLVSALCKEGKFDEACHIHGVMLRMGIAPDQISYKVIIQGLCSCGDIVKANEFLLSMLKKSILPVPLIWNLIIHGYGRCGDFRNALSIIDQMLSFGVSPNIFTFNALIHALVKGGNLRSAYSVKKEMLLNGLFPDVVTYNLLIGAASNFGQLDFALQLHDEMLRGGCEPDIITYTELIRGHWKRGNLREAKELFAKIQRSGVQIDHVPYEKLIKK >EOY29166 pep chromosome:Theobroma_cacao_20110822:9:279645:282989:-1 gene:TCM_036793 transcript:EOY29166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 4 MMRENISLTKLGLKVRTLKADNAGQIAMIKDLCLEQKLEAALWLRREMIKKGVIPDVLTHNYLLNGLCKKGDMEKADWLFRDMLEIGPPPNHVTYNTFVKGCCLSNDVDKALYMFSTMTSHGIGPNRVTCSMLVHKLCKRHLLRDATRLLGKMLREDHGEAIIQVLCLDGKLGSALMLRRKMIQKGIIPDVLAHNYLLNGLCKIGDMKKADWLFREMIEKGPPPNHVTYNTFIKGYCLIDDMDKALYLFSAMASCSIRPNRVTCNILMHALLKRGLLRDAMKLLREILSDDNSQATSDLITSTILMDGSFKSGDMVQALGYWDEMLQKNIQIDVVAYNVLIHGFCLSQNRKVAYGYCGEMLRRGLLPDVVTYNTLVSALCKEGKFDEACHIHGVMLRMGIAPDQISYKVIIQGLCSCGDIVKANEFLLSMLKKSILPVPLIWNLIIHGYGRCGDFRNALSIIDQMLSFGVSPNIFTFNALIHALVKGGNLRSAYSVKKEMLLNGLFPDVVTYNLLIGAASNFGQLDFALQLHDEMLRGGCEPDIITYTELIRGHWKRGNLREAKELFAKIQRSGVQIDHVPYEKLIKK >EOY29161 pep chromosome:Theobroma_cacao_20110822:9:279645:283900:-1 gene:TCM_036793 transcript:EOY29161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative isoform 4 MALAIACGESMPCSSSHVFLIRFLNQALDSIKYNVAQMVANILSINPNARASKVENPLSAVGDLMPATSDHYQLRTQDWFFKKKDFNGKQDPKAVFNVLDATLKESLERLKMMRENISLTKLGLKVRTLKADNAGQIAMIKDLCLEQKLEAALWLRREMIKKGVVPDVPTHNYLLNGLCKKGDMEKADWLIREMLEIGPPPNHVTYNTFVKGCCLSNDVDKALYMFSTMTSHGIGPNRVTCSMLVHKLCKRHLLRDATRLLGKMLREDHGEAIIQVLCLDGKLGSALMLRRKMIQKGIIPDVLAHNYLLNGLCKIGDMKKADWLFREMIEKGPPPNHVTYNTFIKGYCLIDDMDKALYLFSAMASCSIRPNRVTCNILMHALLKRGLLRDAMKLLREILSDDNSQATSDLITSTILMDGSFKSGDMVQALGYWDEMLQKNIQIDVVAYNVLIHGFCLSQNRKVAYGYCGEMLRRGLLPDVVTYNTLVSALCKEGKFDEACHIHGVMLRMGIAPDQISYKVIIQGLCSCGDIVKANEFLLSMLKKSILPVPLIWNLIIHGYGRCGDFRNALSIIDQMLSFGVSPNIFTFNALIHALVKGGNLRSAYSVKKEMLLNGLFPDVVTYNLLIGAASNFGQLDFALQLHDEMLRGGCEPDIITYTELIRGHWKRGNLREAKELFAKIQRSGVQIDHVPYEKLIKK >EOY33758 pep chromosome:Theobroma_cacao_20110822:9:38902386:38907263:-1 gene:TCM_041648 transcript:EOY33758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control 6 isoform 6 MPAIADRNSSSFKEIVAAIKSDKSGDIAGSNGCCIPQKRRLRSDAAAARESPVSTPMKLKSPRRRLNSSPNSPANGIKEDFSEKPVKKNWNPRDVEHMRAVKEALHVSTAPSTIVCREDEQKRVLEFCKACIEQEKAGSLYACGCPGTGKSLSMDKVKQQVIDWATKQEDLQPLEVLALNCTSLANTSEIFSKILGKHQPRKKTMGSTSPLQHLQKLYSNNQARAGSKMMLIIADELDYLITKDRAVLHDLFMLTTFPFSRCILIGIANSIDLADRFLPRLQSLNCKPMVVTFRAYSKDQILSILQERLLVLPYIVFQQQALELCARKVAAASGDMRKALCVCRSAMEILEAELRESASNLSLASVEEFISQQTAPALEIFQSEENNTVRIDHMALALSKTFRSPIVDTIQSLPQHQQIILCSAVKFFRGGKKDSTVGELNKSYIDICKSAMIPPVGILEFSSMCRVLNDQGLFKLGQSRDDKSKRVTLKVDEADISFALQGVRFFRNCLQ >EOY33761 pep chromosome:Theobroma_cacao_20110822:9:38903462:38907263:-1 gene:TCM_041648 transcript:EOY33761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control 6 isoform 6 MPAIADRNSSSFKEIVAAIKSDKSGDIAGSNGCCIPQKRRLRSDAAAARESPVSTPMKLKSPRRRLNSSPNSPANGIKEDFSEKPVKKNWNPRDVEHMRAVKEALHVSTAPSTIVCREDEQKRVLEFCKACIEQEKAGSLYACGCPGTGKSLSMDKVKQQVIDWATKQEDLQPLEVLALNCTSLANTSEIFSKILGKHQPRKKTMGSTSPLQHLQKLYSNNQARAGSKMMLIIADELDYLITKDRAVLHDLFMLTTFPFSRCILIGIANSIDLADRFLPRLQSLNCKPMVVTFRAYSKDQILSILQERLLVLPYIVFQQQALELCARKVAAASGDMRKALCVCRSAMEILEAELRESASNLSLASVEEFISQQTAPALEIFQSEENNTVRIDHMALALSKTFRSPIVDTIQSLPQHQQIILCSAVKFFRGGKKDSTVGEVVILVQLNKSYIDICKSAMIPPVGILEFSSMCRVLNDQGLFKLGQS >EOY33763 pep chromosome:Theobroma_cacao_20110822:9:38903707:38907167:-1 gene:TCM_041648 transcript:EOY33763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control 6 isoform 6 MPAIADRNSSSFKEIVAAIKSDKSGDIAGSNGCCIPQKRRLRSDAAAARESPVSTPMKLKSPRRRLNSSPNSPANGIKEDFSEKPVKKNWNPRDVEHMRAVKEALHVSTAPSTIVCREDEQKRVLEFCKACIEQEKAGSLYACGCPGTGKSLSMDKVKQQVIDWATKEDLQPLEVLALNCTSLANTSEIFSKILGKHQPRKKTMGSTSPLQHLQKLYSNNQARAGSKMMLIIADELDYLITKDRAVLHDLFMLTTFPFSRCILIGIANSIDLADRFLPRLQSLNCKPMVVTFRAYSKDQILSILQERLLVLPYIVFQQQALELCARKVAAASGDMRKALCVCRSAMEILEAELRESASNLSLASVEEFISQQTAPALEIFQSEENNTVRIDHMALALSKTFRSPIVDTIQSLPQHQQIILCSAVKFFRGGKKDSTVGEVVILVQLNKSYIDI >EOY33760 pep chromosome:Theobroma_cacao_20110822:9:38902378:38907263:-1 gene:TCM_041648 transcript:EOY33760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control 6 isoform 6 MPAIADRNSSSFKEIVAAIKSDKSGDIAGSNGCCIPQKRRLRSDAAAARESPVSTPMKLKSPRRRLNSSPNSPANGIKEDFSEKPVKKNWNPRDVEHMRAVKEALHVSTAPSTIVCREDEQKRVLEFCKACIEQEKAGSLYACGCPGTGKSLSMDKVKQQVIDWATKQEDLQPLEVLALNCTSLANTSEIFSKILGKHQPRKKTMGSTSPLQHLQKLYSNNQARAGSKMMLIIADELDYLITKDRAVLHDLFMLTTFPFSRCILIGIANSIDLADRFLPRLQSLNCKPMVVTFRAYSKDQILSILQERLLVLPYIVFQQQALELCARKVAAASGDMRKALCVCRSAMEILEAELRESASNLSLASVEEFISQQTAPALEIFQSEENNTVRIDHMALALSKTFRSPIVDTIQSLPQHQQIILCSAVKFFRGGKKDSTVGELNKSYIDISWKGINYLT >EOY33759 pep chromosome:Theobroma_cacao_20110822:9:38902386:38907266:-1 gene:TCM_041648 transcript:EOY33759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control 6 isoform 6 MPAIADRNSSSFKEIVAAIKSDKSGDIAGSNGCCIPQKRRLRSDAAAARESPVSTPMKLKSPRRRLNSSPNSPANGIKEDFSEKPVKKNWNPRDVEHMRAVKEALHVSTAPSTIVCREDEQKRVLEFCKACIEQEKAGSLYACGCPGTGKSLSMDKVKQQVIDWATKEDLQPLEVLALNCTSLANTSEIFSKILGKHQPRKKTMGSTSPLQHLQKLYSNNQARAGSKMMLIIADELDYLITKDRAVLHDLFMLTTFPFSRCILIGIANSIDLADRFLPRLQSLNCKPMVVTFRAYSKDQILSILQERLLVLPYIVFQQQALELCARKVAAASGDMRKALCVCRSAMEILEAELRESASNLSLASVEEFISQQTAPALEIFQSEENNTVRIDHMALALSKTFRSPIVDTIQSLPQHQQIILCSAVKFFRGGKKDSTVGELNKSYIDICKSAMIPPVGILEFSSMCRVLNDQGLFKLGQSRDDKSKRVTLKVDEADISFALQGVRFFRNCLQ >EOY33762 pep chromosome:Theobroma_cacao_20110822:9:38903458:38907263:-1 gene:TCM_041648 transcript:EOY33762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control 6 isoform 6 MPAIADRNSSSFKEIVAAIKSDKSGDIAGSNGCCIPQKRRLRSDAAAARESPVSTPMKLKSPRRRLNSSPNSPANGIKEDFSEKPVKKNWNPRDVEHMRAVKEALHVSTAPSTIVCREDEQKRVLEFCKACIEQEKAGSLYACGCPGTGKSLSMDKVKQQVIDWATKQEDLQPLEVLALNCTSLANTSEIFSKILGKHQPRKKTMGSTSPLQHLQKLYSNNQARAGSKMMLIIADELDYLITKDRAVLHDLFMLTTFPFSRCILIGIANSIDLADRFLPRLQSLNCKPMVVTFRAYSKDQILSILQERLLVLPYIVFQQQALELCARKVAAASGDMRKALCVCRSAMEILEAELRESASNLSLASVEEFISQQTAPALEIFQSEENNTVRIDHMALALSKTFRSPIVDTIQSLPQHQQ >EOY33764 pep chromosome:Theobroma_cacao_20110822:9:38903707:38907263:-1 gene:TCM_041648 transcript:EOY33764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control 6 isoform 6 MPAIADRNSSSFKEIVAAIKSDKSGDIAGSNGCCIPQKRRLRSDAAAARESPVSTPMKLKSPRRRLNSSPNSPANGIKEDFSEKPVKKNWNPRDVEHMRAVKEALHVSTAPSTIVCREDEQKRVLEFCKACIEQEKAGSLYACGCPGTGKSLSMDKVKQQVIDWATKEDLQPLEVLALNCTSLANTSEIFSKILGKHQPRKKTMGSTSPLQHLQKLYSNNQARAGSKMMLIIADELDYLITKDRAVLHDLFMLTTFPFSRCILIGIANSIDLADRFLPRLQSLNCKPMVVTFRAYSKDQILSILQERLLVLPYIVFQQQALELCARKVAAASGDMRKALCVCRSAMEILEAELRESASNLSLASVEEFISQQTAPALEIFQSEENNTVRIDHMALALSKTFRSPIVDTIQSLPQHQQ >EOY33505 pep chromosome:Theobroma_cacao_20110822:9:37885044:37891730:-1 gene:TCM_041474 transcript:EOY33505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin71 isoform 3 MMPSKENIWANVKPIGLRFGLSDPGLDPSVEPIELNSLFFIFFSLSLSLSTYLRREKEEKGEEEKTQMEEPQNGNANLSTAGEDEEPVIGPGPAPRARPKRPLLFEQAYLDSLPSANMYEKSYMHRDVVTHVAVSSADFFITGSVDGHLKFWKKKAIGIEFAKHFRSHLGPIEGLAISADGLLCCTISNDRSVKVYDVVNYDMMVMLRLPYVPGAVEWVYKQGDVKAKLAISDRNSSFVHIYDARAGSNEPINSREIHLGPVKVMRYNSVFDSVISADEKGIIEYWSPATLQFPENEVNFRLKSDTNLFEIAKCKTTISSIEVSPDGKQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRSDSPMYRLEAIDFGRRMAVEKDMEKTETAPQPNAVFDESSNFLIYATLLGIKMVNLHTNKVARILGKVESNDRFLRIALYQGDRSSKKVRKIPAAAANVNESKEPLTDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPADELLAVSDIGKSVTTSLPDNVVLHTTMGDVHMRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVIKGMDVVQAIEKVKTDKADRPYQDVKILNVTVPKS >EOY33508 pep chromosome:Theobroma_cacao_20110822:9:37885458:37890866:-1 gene:TCM_041474 transcript:EOY33508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin71 isoform 3 MQISADGLLCCTISNDRSVKVYDVVNYDMMVMLRLPYVPGAVEWVYKQGDVKAKLAISDRNSSFVHIYDARAGSNEPINSREIHLGPVKVMRYNSVFDSVISADEKGIIEYWSPATLQFPENEVNFRLKSDTNLFEIAKCKTTISSIEVSPDGKQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRSDSPMYRLEAIDFGRRMAVEKDMEKTETAPQPNAVFDESSNFLIYATLLGIKMVNLHTNKVARILGKVESNDRFLRIALYQGDRSSKKVRKIPAAAANVNESKEPLTDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPADELLAVSDIGKSVTTSLPDNVVLHTTMGDVHMRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGPNTNGSQFFITTVAT >EOY33507 pep chromosome:Theobroma_cacao_20110822:9:37882264:37892026:-1 gene:TCM_041474 transcript:EOY33507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin71 isoform 3 MEEPQNGNANLSTAGEDEEPVIGPGPAPRARPKRPLLFEQAYLDSLPSANMYEKSYMHRDVVTHVAVSSADFFITGSVDGHLKFWKKKAIGIEFAKHFRSHLGPIEGLAISADGLLCCTISNDRSVKVYDVVNYDMMVMLRLPYVPGAVEWVYKQGDVKAKLAISDRNSSFVHIYDARAGSNEPINSREIHLGPVKVMRYNSVFDSVISADEKGIIEYWSPATLQFPENEVNFRLKSDTNLFEIAKCKTTISSIEVSPDGKQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRSDSPMYRLEAIDFGRRMAVEKDMEKTETAPQPNAVFDESSNFLIYATLLGIKMVNLHTNKVARILGKVESNDRFLRIALYQGDRSSKKVRKIPAAAANVNESKEPLTDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPADELLAVSDIGKSVTTSLPDNVVLHTTMGDVHMRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVIKGMDVVQVHQAYT >EOY33506 pep chromosome:Theobroma_cacao_20110822:9:37883694:37890827:-1 gene:TCM_041474 transcript:EOY33506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin71 isoform 3 MEEPQNGNANLSTAGEDEEPVIGPGPAPRARPKRPLLFEQAYLDSLPSANMYEKSYMHRDVVTHVAVSSADFFITGSVDGHLKFWKKKAIGIEFAKHFRSHLGPIEGLAISADGLLCCTISNDRSVKVYDVVNYDMMVMLRLPYVPGAVEWVYKQGDVKAKLAISDRNSSFVHIYDARAGSNEPINSREIHLGPVKVMRYNSVFDSVISADEKGIIEYWSPATLQFPENEVNFRLKSDTNLFEIAKCKTTISSIEVSPDGKQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRSDSPMYRLEAIDFGRRMAVEKDMEKTETAPQPNAVFDESSNFLIYATLLGIKMVNLHTNKVARILGKVESNDRFLRIALYQGDRSSKKVRKIPAAAANVNESKEPLTDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPADELLAVSDIGKSVTTSLPDNVVLHTTMGDVHMRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVIKGMDVVQAIEKVKTDKADRPYQDVKILNVTVPKS >EOY33170 pep chromosome:Theobroma_cacao_20110822:9:35764596:35778611:1 gene:TCM_041148 transcript:EOY33170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin biosynthesis CNX1 protein / molybdenum cofactor biosynthesis enzyme CNX1 (CNX1) isoform 3 MAESGCVTCGSTDRKMISADEALQIVLSVAKQLPPVTVPLHQALGKVLAQDIRAPDPLPPYPASIKDGYAVVASDGPGEYPVITESRAGNDGVGVTVTPGTVAYVTTGGPIPDGADAVVQVEDTEQVKASSVESKRVRMLVQTRKGVDIRPVGCDIQKDALVLKSGERIGASEVGLLATVGVTMVKVQPMPAIAVLSTGDELVEPTTGFLSRGQIRDSNRAMLLAAATQQQCKVLDLGIVGDDKEELERVLDSAFSSGINILLTSGGVSMGDKDFVKPLLEKKGTVHFNKVCMKPGKPLTFAEIYFNQTENVPVNKVLAFGLPGNPVSCLVCFHLFVVPTIRHLAGWPNPHLTRVQARLQQPIKTDPFRPEFHHATIRWEINDGSGNPGFVAESTGHQMSSRLLGMKSANALLELPATGRVITAGSSISATIISDLSDLSGTPLGKTALSSDSSSTTTLHKSTLSETTADGAQDVQFKVAVLTVSDTVASGVGPDRSGPRAVSVVNSSSEKLGGAKVVAAAVVSDDVGKIKDVLQRWSDIDKMDLILTLGGTGFTPRDVTPEATKELIEKETPGLLYVMMQESLKVTPFAMLSRSAAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHVPHEQATPVDTWERSHKLASAGGIEPPCSCSH >EOY33171 pep chromosome:Theobroma_cacao_20110822:9:35764596:35774398:1 gene:TCM_041148 transcript:EOY33171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin biosynthesis CNX1 protein / molybdenum cofactor biosynthesis enzyme CNX1 (CNX1) isoform 3 MAESGCVTCGSTDRKMISADEALQIVLSVAKQLPPVTVPLHQALGKVLAQDIRAPDPLPPYPASIKDGYAVVASDGPGEYPVITESRAGNDGVGVTVTPGTVAYVTTGGPIPDGADAVVQVEDTEQVKASSVESKRVRMLVQTRKGVDIRPVGCDIQKDALVLKSGERIGASEVGLLATVGVTMVKVQPMPAIAVLSTGDELVEPTTGFLSRGQIRDSNRAMLLAAATQQQCKVLDLGIVGDDKEELERVLDSAFSSGINILLTSGGVSMGDKDFVKPLLEKKGTVHFNKVCMKPGKPLTFAEIYFNQTENVPVNKVLAFGLPGNPVSCLVCFHLFVVPTIRHLAGWPNPHLTRVQARLQQPIKTDPFRPEFHHATIRWEINDGSGNPGFVAESTGHQMSSRLLGMKSANALLELPATGRVITAGSSISATIISDLSDLSGTPLGKTALSSDSSSTTTLHKSTLSETTADGAQDVQFKVAVLTVSDTVASGVGPDRSGPRAVSVVNSSSEKLGGAKVVAAAVVSDDVGKIKDVLQRWSDIDKMDLILTLGGTGFTPRDVTPEATKELIEKETPGLLYVMMQESLKVTPFAMLSRSAAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHVPHEQATPVDTWERSHKLASAGGIEPPCSCSH >EOY33172 pep chromosome:Theobroma_cacao_20110822:9:35764596:35774398:1 gene:TCM_041148 transcript:EOY33172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin biosynthesis CNX1 protein / molybdenum cofactor biosynthesis enzyme CNX1 (CNX1) isoform 3 MAESGCVTCGSTDRKMISADEALQIVLSVAKQLPPVTVPLHQALGKVLAQDIRAPDPLPPYPASIKDGYAVVASDGPGEYPVITESRAGNDGVGVTVTPGTVAYVTTGGPIPDGADAVVQVEDTEQVKASSVESKRVRMLVQTRKGVDIRPVGCDIQKDALVLKSGERIGASEVGLLATVGVTMVKVQPMPAIAVLSTGDELVEPTTGFLSRGQIRDSNRAMLLAAATQQQCKVLDLGIVGDDKEELERVLDSAFSSGINILLTSGGVSMGDKDFVKPLLEKKGTVHFNKVCMKPGKPLTFAEIYFNQTENVPVNKVLAFGLPGNPVSCLVCFHLFVVPTIRHLAGWPNPHLTRVQARLQQPIKTDPFRPEFHHATIRWEINDGSGNPGFVAESTGHQMSSRLLDSSSTTTLHKSTLSETTADGAQDVQFKVAVLTVSDTVASGVGPDRSGPRAVSVVNSSSEKLGGAKVVAAAVVSDDVGKIKDVLQRWSDIDKMDLILTLGGTGFTPRDVTPEATKELIEKETPGLLYVMMQESLKVVTPFAMLSRSAAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHVPHEQATPVDTWERSHKLASAGGIEPPCSCSH >EOY33173 pep chromosome:Theobroma_cacao_20110822:9:35764696:35772453:1 gene:TCM_041148 transcript:EOY33173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin biosynthesis CNX1 protein / molybdenum cofactor biosynthesis enzyme CNX1 (CNX1) isoform 3 CVTCGSTDRKMISADEALQIVLSVAKQLPPVTVPLHQALGKVLAQDIRAPDPLPPYPASIKDGYAVVASDGPGEYPVITESRAGNDGVGVTVTPGTVAYVTTGGPIPDGADAVVQVEDTEQVKASSVESKRVRMLVQTRKGVDIRPVGCDIQKDALVLKSGERIGASEVGLLATVGVTMVKVQPMPAIAVLSTGDELVEPTTGFLSRGQIRDSNRAMLLAAATQQQCKVLDLGIVGDDKEELERVLDSAFSSGINILLTSGGVSMGDKDFVKPLLEKKGTVHFNKVCMKPGKPLTFAEIYFNQTENVPVNKVLAFGLPGNPVSCLVCFHLFVVPTIRHLAGWPNPHLTRVQARLQQPIKTDPFRPEFHHATIRWEINDGSGNPGFVAESTGHQMSSRLLGMKSANALLELPATGRVITAGSSISATIISDLSDLSGTPLGKTALSSDSSSTTTLHKSTLSETTADGAQDVQFKVAVLTVSDTVASGVGPDRSGPRAVSVVNSSSEKLGGAKVVAAAVVSDDVGKIKDVLQRWSDIDKMDLILTLGGTGFTPRDVTPEATKELIEKETPGLLYVMMQESLKVTPFAMLSRSAAGIRGSTLVIFRDDGH >EOY33254 pep chromosome:Theobroma_cacao_20110822:9:36147764:36152371:-1 gene:TCM_041207 transcript:EOY33254 gene_biotype:protein_coding transcript_biotype:protein_coding description:MD-2-related lipid recognition domain-containing protein / ML domain-containing protein, putative isoform 1 MDTVAARFKLILLPLLAIALLFLPFLQATDFSYCGDEADFVVTVEGVDISPDPVVRGKPATFTISASTAISGGQAVIDVSYFGIHVHQETHQLCEETSCPVTVGQFVLSHNQVLPGFTPPGSYTLTMKLTSEDNLLLTCISFKFKISLSASGSLVSDS >EOY33253 pep chromosome:Theobroma_cacao_20110822:9:36147619:36154871:-1 gene:TCM_041207 transcript:EOY33253 gene_biotype:protein_coding transcript_biotype:protein_coding description:MD-2-related lipid recognition domain-containing protein / ML domain-containing protein, putative isoform 1 MDTVAARFKLILLPLLAIALLFLPFLQATDFSYCGDEADFVVTVEGVDISPDPVVRGKPATFTISASTGQAISGGQAVIDVSYFGIHVHQETHQLCEETSCPVTVGQFVLSHNQVLPGFTPPGSYTLTMKLTSEDNLLLTCISFKFKISLSASGSLVSDS >EOY29453 pep chromosome:Theobroma_cacao_20110822:9:1237324:1240958:-1 gene:TCM_036981 transcript:EOY29453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MAGKVVDALSASFSEYEILEGDDDKLRTVVAASSQRIPWIDPSKLKLRHRIGRGPFGDVWLATYHSSTADYDQSYEVVIKMLHAIKQDDMRTLLDKFDDLYSKCQGVNNICYLQGTSVINGKICIIMKFYEGSIGDKMTRLKGGKLSLPCVLRYGTNLAQGISELHSKGILVLNLKPFNFLLNGTDQAVLGDIGIPYLLLGIRLPSSDMAHRLGTPNYMAPEQWQPETRGPISFETDSWGFACSIVEMLTGIVPWSGKSADEIYDLVVRKQEKPLIPSGLPPPVEKVLIGCFEYDFRSRPLMKHILEVFNSSEIGGEDDVQWTGLGSTTVSDNLSSFTGYTGWFLSKDRLQVGDIVRSRKPPNSCKPENMNVPEGTVVGLEHITDHDGFALVRVHGIHDPIRVHFSTLERVTLGLAAGDWIRLKEEDKRHSPVGILHSIDRDGSIAVGFIGLETLWKGNSSEFQMAESYCVGQFVRLKSNVLSPRFDWPRKRGGIWATGKICWILPNGCLVVKFPGRLTIGEEVGKFLADPAEVEVVSFNNCPGLVKKYQHLEDFHWAVRPFLVALGLLTAMKVGFFVGKRISKSKVKRQSSVIQSDFQHMDGQTAGNPAWLPPPVANILFREGATR >EOY29454 pep chromosome:Theobroma_cacao_20110822:9:1237531:1239279:-1 gene:TCM_036981 transcript:EOY29454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MAHRLGTPNYMAPEQWQPETRGPISFETDSWGFACSIVEMLTGIVPWSGKSADEIYDLVVRKQEKPLIPSGLPPPVEKVLIGCFEYDFRSRPLMKHILEVFNSNHILSSEIGGEDDVQWTGLGSTTVSDNLSSFTGYTGWFLSKDRLQVGDIVRSRKPPNSCKPENMNVPEGTVVGLEHITDHDGFALVRVHGIHDPIRVHFSTLERVTLGLAAGDWIRLKEEDKRHSPVGILHSIDRDGSIAVGFIGLETLWKGNSSEFQMAESYCVGQFVRLKSNVLSPRFDWPRKRGGIWATGKICWILPNGCLVVKFPGRLTIGEEVGKFLADPAEVEVVSFNNCPGLVKKYQHLEDFHWAVRPFLVALGLLTAMKVGFFVGKRISKSKVKRQSSVIQSDFQHMDGQTAGNPAWLPPPVANILFREGATR >EOY31541 pep chromosome:Theobroma_cacao_20110822:9:9432227:9434584:1 gene:TCM_038461 transcript:EOY31541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein MAQIQSLFLAFTILSLSGPIILAVPSDPRRLVVNLIHQDTIHSPFHGKSEDLATRLERTLQIRRTLPTTDIQADLVPIQNLFFVNFSIGQPPVPQLALMDTGSSLLWLQCQPCHRCSYQNSPIYDSRSSSTYTMLPCSSKYCTYSQPLNCTSSIPCFYNQQYVNGVGSMGNLAQEQLSFRTFDDGLVVVHDVIFGCGFSNGDLQGNKQMNGVFGLGFEPVSLATRLAKFSYCIGNVIDPSYIHNKLFLGDGAGVEGDSTPLKAIDGQYHVLLEGISVGEKKLPIDPNVFEWKGVNTGVIIDSGSVSTWLVKEAYDAIVKEVKSLLDPWLTETFTQKDHVCYRGTINQDLEGFPTMTFLFVGGAELVLDTTSLFFQIKPDEFCLLVRQMIDSDQSVIGLMAQQNYNVAYDINGKKLSFQRIDCELLAD >EOY30843 pep chromosome:Theobroma_cacao_20110822:9:5989366:5999603:1 gene:TCM_037915 transcript:EOY30843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 3,5 isoform 1 MTNSSHTVNFSTPRGFSTTFKSDCKETFFPDDPFRKFKDGKPLVRAKKAIQYFIPLFEWLPKYNLSLLRYDLLAGITITSLAIPQGISYAKLGDLPPIIGLYSSFIPPFVYAIFGSSKHLAVGTVAACSLLISETIGAKVSPKDDPTLYLHLVYTATFFTGIFQTALGSLRLGILVDFLSHSTITGFMGGTAIIICLQQLKGIFGLKHFTTHTDVVSVLHAIFSNRKEWRWQSAVVGIIFLCFLQFTRYLRQRKPKLFWVSAMAPMVVVVVGCLFAFFAHAEKHGIQIVGDLKKGLNPPSIQYLNFDRRYLPVTVQAGLVTGLIAMAEGIAIGRSFAIMKNEQTDGNKEMIAFGFMNIIGSFTSCYLTTGPFSKTAVNFNAGCRTAMSNVVMGFCMMLTLLFLAPLFSYTPLVALSAIIMSAMFGLINYEEMIHLFKVDKYDFCICMAAFLGVSFISMDVGLMLSVGLALLRALLYVARPASCKLGKIPNSYLYRDTEQYPGSTTMQGILVLQLGSPIYFANCSYIRERILRYIQEEQGLSDSKSDVIEHLLLDLSGVSSIDMTGIETFTELRRILEGKHIKLAIINPRIEVLEKMTLAKFVDAIGKESFFLSIEDAIQSRQFSLGSTVKETGGSSNNEDAA >EOY30844 pep chromosome:Theobroma_cacao_20110822:9:5990109:5994332:1 gene:TCM_037915 transcript:EOY30844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 3,5 isoform 1 HYLRSLDPSSSVSISYSLAIAMTNSSHTVNFSTPRGFSTTFKSDCKETFFPDDPFRKFKDGKPLVRAKKAIQYFIPLFEWLPKYNLSLLRYDLLAGITITSLAIPQGISYAKLGDLPPIIGLYSSFIPPFVYAIFGSSKHLAVGTVAACSLLISETIGAKVSPKDDPTLYLHLVYTATFFTGIFQTALGSLRLGILVDFLSHSTITGFMGGTAIIICLQQLKGIFGLKHFTTHTDVVSVLHAIFSNRKEWRCFLQFTRYLRQRKPKLFWVSAMAPMVVVVVGCLFAFFAHAEKHGIQIVGDLKKGLNPPSIQYLNFDRRYLPVTVQAGLVTGLIAMAEGIAIGRSFAIMKNEQTDGNKEMIAFGFMNIIGSFTSCYLTTGPFSKTAVNFNAGCRTAMSNVVMGFCMMLTLLFLAPLFSYTPLVALSAIIMSAMFGLINYEEMIHLFKVDKYDFCICMAAFLGVSFISMDVGLMLSVRISSKLSEEELNGQYFCFCFLNSNIICY >EOY32841 pep chromosome:Theobroma_cacao_20110822:9:34033141:34036693:-1 gene:TCM_040864 transcript:EOY32841 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein MASADVEAVDFEPEDDDLMDEDAAGDASPQAPMPKLKSAITGGASTSLSGPKKTKGRGFREDDADRHSRLASRDFESLGTDGGPGPQRSIEGWIILVTGVHEEAQEDDLHNAFGEFGEIKNLHLNLDRRTGFVKGYALIEYEKFEEAKNAISAMDGAELLTQTINVDWAFSNGPSTGGFKRKIMRSGRTHRSRSPRRRY >EOY29234 pep chromosome:Theobroma_cacao_20110822:9:433923:435402:-1 gene:TCM_036832 transcript:EOY29234 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY protein isoform 2 MAVDLISFPKMDDQKAIQEAASQGLKSMEHLICLLSHQSNQVDCTDLADITVSKFKKVISLLNRTGHARFRRGPIQSSSSSSSPSSSSAALYVPNSHKTRALTPAPAATSATINPAPVAAPVITPASFVQSQPHSLTLDFTKPSLFSSNTKSTELEFTKESFSVSSNSSFMSSAITGDGSVSNGKQGSSLFLAPAPAVSAGKPPLSSAPYKKRCHEHDHSEDVSGKFSVSGNGKCHCSKRRKNRVKKVIRVPAISSKIADIPPDEFSWRKYGQKPIK >EOY29233 pep chromosome:Theobroma_cacao_20110822:9:433148:435462:-1 gene:TCM_036832 transcript:EOY29233 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY protein isoform 2 MAVDLISFPKMDDQKAIQEAASQGLKSMEHLICLLSHQSNQVDCTDLADITVSKFKKVISLLNRTGHARFRRGPIQSSSSSSSPSSSSAALYVPNSHKTRALTPAPAATSATINPAPVAAPVITPASFVQSQPHSLTLDFTKPSLFSSNTKSTELEFTKESFSVSSNSSFMSSAITGDGSVSNGKQGSSLFLAPAPAVSAGKPPLSSAPYKKRCHEHDHSEDVSGKFSVSGNGKCHCSKRRKNRVKKVIRVPAISSKIADIPPDEFSWRKYGQKPIKGSPYPRGYYKCSTIRGCPARKHVERAPDDPAMLIVTYEGEHRHSQPAMQDNVAPGVGLVFEST >EOY31966 pep chromosome:Theobroma_cacao_20110822:9:18235506:18249344:-1 gene:TCM_039334 transcript:EOY31966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio 5, putative isoform 1 MATESPIRISEMSGKWPTHQEAAAFSASSTNVAAEELRLLQRGHRYLPSGTEAVPNRSGSAPPSMEGSYLAIDNLISQQNPTVNLNSASLNSALEKCQSEEQLCAHPAYIAYYCSNVNLNPRLPPPLISWRNQHLKCQIGRFGNNQVLSSIDDSGNTFLHFSKGSLSTHKEVPEDDQSPRQSSDDLIESANGFLFGQDAASLVGQQKESVNLVQENFPCTSSPVYNQSQPLSYGITEMDYCDGDSNSLHDLSISAASTITSTLDADIGLSSRADQKTIPSSSSLSHPCTATASSVPYLQKGVLHNRDIHLKDEAIVGDASSSDVSVIESEMKGLNISTLRLQNSENHKNQEQKRRNYQNSLVQHQGPFQQPSNPFQVQTAKSQSTPQGVNGAYIGMDQFMQAPSKFAAEVQPVLQSSGFTPPFYATAGYMPTPNPFYTNVQAPGLHSPQYGVGGYGFNSSAVPPFITYPPNGAIPFVFDGPMGPNFNAQMPAVSSGGSIANGADMQHLNKFYGQFGYAAQSSFGDPLYMQCYQQPFGEAYGISGQYDPMARGGIVGSQNSAFDSHKGSNLAACTEDQKLQHQRGGGSSNLHTGRGGLMSPHYVGNPQNMIQYPSASFASPVMPGSQVAGTGVPVGKNDIRFAASSGIHSGWQPQRGFESSNDPQIYNFLEELKSGKGRRFELSDIVGHIVEFSADQHGSRFIQQKLENCSIEEKASVFKEVLPHASKLMTDVFGNYVIQKFFEYGSPEQRKELAYKLSGQILNFSLQMYGCRVIQKALEVIDLEQKAQLVRELDGHVMRCVRDQNGNHVIQKCIESVPTDKIGFIISAFHGQVATLSTHPYGCRVIQRVLEHCTDEQQCQFIVDEILESVCALAQDQYGNYVTQHVLERGKHQERSKIISKLSGYIVQLSQHKFASNVIEKCLEYGSPSEREVIVEEIVGHTEGNDNLLIMMKDQFANYVVQKIFETCTDNQRAVLLSRIRLHAHALKKYTYGKHIVARFEQLFGEEKIRLQGLDTDMKGVSGMSSKCC >EOY31965 pep chromosome:Theobroma_cacao_20110822:9:18235509:18250941:-1 gene:TCM_039334 transcript:EOY31965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio 5, putative isoform 1 MATESPIRISEMSGKWPTHQEAAAFSASSTNVAAEELRLLQRGHRYLPSGTEAVPNRSGSAPPSMEGSYLAIDNLISQQNPTVNLNSASLNSALEKCQSEEQLCAHPAYIAYYCSNVNLNPRLPPPLISWRNQHLKCQIGRFGNNQVLSSIDDSGNTFLHFSKGSLSTHKEVPEDDQSPRQSSDDLIESANGFLFGQDAASLVGQQKESVNLVQENFPCTSSPVYNQSQPLSYGITEMDYCDGDSNSLHDLSISAASTITSTLDADIGLSSRADQKTIPSSSSLSHPCTATASSVPYLQKGVLHNRDIHLKDEAIVGDASSSDVSVIESEMKGLNISTLRLQNSENHKNQEQKRRNYQNSLVQHQGPFQQPSNPFQVQTAKSQSTPQGVNGAYIGMDQFMQAPSKFAAEVQPVLQSSGFTPPFYATAGYMPTPNPFYTNVQAPGLHSPQYGVGGYGFNSSAVPPFITYPPNGAIPFVFDGPMGPNFNAQMPAVSSGGSIANGADMQHLNKFYGQFGYAAQSSFGDPLYMQCYQQPFGEAYGISGQYDPMARGGIVGSQNSAFDSHKGSNLAACTEDQKLQHQRGGGSSNLHTGRGGLMSPHYVGNPQNMIQYPSASFASPVMPGSQVAGTGVPVGKNDIRFAASSGIHSGWQPQRGFESSNDPQIYNFLEELKSGKGRRFELSDIVGHIVEFSADQHGSRFIQQKLENCSIEEKASVFKEVLPHASKLMTDVFGNYVIQKFFEYGSPEQRKELAYKLSGQILNFSLQMYGCRVIQKALEVIDLEQKAQLVRELDGHVMRCVRDQNGNHVIQKCIESVPTDKIGFIISAFHGQVATLSTHPYGCRVIQRVLEHCTDEQQCQFIVDEILESVCALAQDQYGNYVTQHVLERGKHQERSKIISKLSGYIVQLSQHKFASNVIEKCLEYGSPSEREVIVEEIVGHTEGNDNLLIMMKDQFANYVVQKIFETCTDNQRAVLLSRIRLHAHALKKYTYGKHIVARFEQLFGEENQTTGS >EOY31967 pep chromosome:Theobroma_cacao_20110822:9:18241766:18251021:-1 gene:TCM_039334 transcript:EOY31967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio 5, putative isoform 1 MATESPIRISEMSGKWPTHQEAAAFSASSTNVAAEELRLLQRGHRYLPSGTEAVPNRSGSAPPSMEGSYLAIDNLISQQNPTVNLNSASLNSALEKCQSEEQLCAHPAYIAYYCSNVNLNPRLPPPLISWRNQHLKCQIGRFGNNQVLSSIDDSGNTFLHFSKGSLSTHKEVPEDDQSPRQSSDDLIESANGFLFGQDAASLVGQQKESVNLVQENFPCTSSPVYNQSQPLSYGITEMDYCDGDSNSLHDLSISAASTITSTLDADIGLSSRADQKTIPSSSSLSHPCTATASSVPYLQKGVLHNRDIHLKDEAIVGDASSSDVSVIESEMKGLNISTLRLQNSENHKNQEQKRRNYQNSLVQHQGPFQQPSNPFQVQTAKSQSTPQGVNGAYIGMDQFMQAPSKFAAEVQPVLQSSGFTPPFYATAGYMPTPNPFYTNVQAPGLHSPQYGVGGYGFNSSAVPPFITYPPNGAIPFVFDGPMGPNFNAQMPAVSSGGSIANGADMQHLNKFYGQFGYAAQSSFGDPLYMQCYQQPFGEAYGISGQYDPMARGGIVGSQNSAFDSHKGSNLAACTEDQKLQHQRGGGSSNLHTGRGGLMSPHYVGNPQNMIQYPSASFASPVMPGSQVAGTGVPVGKNDIRFAASSGIHSGWQPQRGFESSNDPQIYNFLEELKSGKGRRFELSDIVGHIVEFSADQHGSRFIQQKLENCSIEEKASVFKEVLPHASKLMTDVFGNYVIQKFFEYGSPEQRKELAYKLSGQILNFSLQMYGCRVIQKLVRELDGHVMRCVRDQNGNHVIQKCIESVPTDKIGFIISAFHGQVATLSTHPYGCRVIQRVLEHCTD >EOY31964 pep chromosome:Theobroma_cacao_20110822:9:18235468:18252238:-1 gene:TCM_039334 transcript:EOY31964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio 5, putative isoform 1 MATESPIRISEMSGKWPTHQEAAAFSASSTNVAAEELRLLQRGHRYLPSGTEAVPNRSGSAPPSMEGSYLAIDNLISQQNPTVNLNSASLNSALEKCQSEEQLCAHPAYIAYYCSNVNLNPRLPPPLISWRNQHLKCQIGRFGNNQVLSSIDDSGNTFLHFSKGSLSTHKEVPEDDQSPRQSSDDLIESANGFLFGQDAASLVGQQKESVNLVQENFPCTSSPVYNQSQPLSYGITEMDYCDGDSNSLHDLSISAASTITSTLDADIGLSSRADQKTIPSSSSLSHPCTATASSVPYLQKGVLHNRDIHLKDEAIVGDASSSDVSVIESEMKGLNISTLRLQNSENHKNQEQKRRNYQNSLVQHQGPFQQPSNPFQVQTAKSQSTPQGVNGAYIGMDQFMQAPSKFAAEVQPVLQSSGFTPPFYATAGYMPTPNPFYTNVQAPGLHSPQYGVGGYGFNSSAVPPFITYPPNGAIPFVFDGPMGPNFNAQMPAVSSGGSIANGADMQHLNKFYGQFGYAAQSSFGDPLYMQCYQQPFGEAYGISGQYDPMARGGIVGSQNSAFDSHKGSNLAACTEDQKLQHQRGGGSSNLHTGRGGLMSPHYVGNPQNMIQYPSASFASPVMPGSQVAGTGVPVGKNDIRFAASSGIHSGWQPQRGFESSNDPQIYNFLEELKSGKGRRFELSDIVGHIVEFSADQHGSRFIQQKLENCSIEEKASVFKEVLPHASKLMTDVFGNYVIQKFFEYGSPEQRKELAYKLSGQILNFSLQMYGCRVIQKALEVIDLEQKAQLVRELDGHVMRCVRDQNGNHVIQKCIESVPTDKIGFIISAFHGQVATLSTHPYGCRVIQRVLEHCTDEQQCQFIVDEILESVCALAQDQYGNYVTQHVLERGKHQERSKIISKLSGYIVQLSQHKFASNVIEKCLEYGSPSEREVIVEEIVGHTEGNDNLLIMMKDQFANYVVQKIFETCTDNQRAVLLSRIRLHAHALKKYTYGKHIVARFEQLFGEENQTTGS >EOY32164 pep chromosome:Theobroma_cacao_20110822:9:22532677:22533601:1 gene:TCM_039739 transcript:EOY32164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLLPANAIGPLLFSKDTMTTVNDDDAFDQMNDDYVEDDTTYWNDDNYVGRHDDCLEEDRGLDNDIPNCNYSDGSTKHAITVFLEDVQYDDLFTTTPSAMTTGFVRPMTISKKGSSDDCLYRGKVFPFKVELKRALNMLALKEHFEIRVKKSSHTHFEVACKDKACKFAVRATKLPDKDIWQVRTFHKVLMYC >EOY32939 pep chromosome:Theobroma_cacao_20110822:9:34576663:34581053:-1 gene:TCM_040957 transcript:EOY32939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSSIWLSIISLLVHYDRDSQVTKVGFGLLVGNGQKISFWHDQWIEGIILKFSFPRTHALATNKNGTVDKYGVWEGDEWQWCLELRRNMFDWELDQCEALHNILKDEALDVNLDDKLTWRDPPSGYYSTSSYRSLQGPAIQAWWAYAKWPYSNLSIQDLVRFPKSAMVPSKPLKGKLDVKWQAPLDGALKFNIGSALSGNPGEAGIGGVLKDVMIMHFKSMIDGCEIVKIPRSAHEIADSLAKSGLLVFDWGFSFLLRSWVNALLWLPWWLSWGPKEGSLNCTGVIIPLLFDMQWLEVIFEVYGGILFFCSPLADFVFEQGVFVLSFDRIISVWLIKVLLTSFCSMGDVHADFLGKAGVV >EOY29154 pep chromosome:Theobroma_cacao_20110822:9:248245:260314:-1 gene:TCM_036787 transcript:EOY29154 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 family protein, putative MYTGVQTVQHREKWTNESERAALWEKAHKRNAKRVVGFMIEMKGLWVKLGQFLSTHAEVFPEAYISLLKQLQVSLPPRPFKEVCQTIQNEFGKTMDDLFADFTKEPLATASDLKNAKWIVDRIAWAEPECDFNPMMDEWCKETPNKLDFNHEAGFLPSDQHPYVVLLSTETVLILEYLDGICLNDSASLEAFGVNKQKIVEEITRAYAHQIYVYGLFNGDPHPGNFLVSKEAPHRPILLDFGLTMKLSSSVKQALAKMFLASAEGDHVALLSALSERGLKLRLDLPEEAMMVTTAFFRSSTPANKAHQTRKSSGEQSDRNKKLAQEKKKSKQKEVQYLNPVDAFPGNIVLFTRVLMLLRGLSSTMSVRIVYLDIMRPFAESVLLGWKSKWIYNTPVHSDVEAKLRQLLVELGNNDKILGIQDYIHLPKYLLRTTEHAVNGPKVCAYKDGEVIIDSAAGVLGRCDPRPVQPDTLFSVFSATKGITAGMLHWLVDNGYHASRKKFQEILEEAFIHPLKIEGELYVGTPPDTDDLNKLSQTHNRPEMPSTFQLNNIAQFATSSPALFNMLNLRRAIIPAANGHCSARALARYYAALADGGVVPPPHSSLSNPPLGSHPHIPNFLSRYCNKDFKDSGDSCTRFDNEGSNGNSSNSTSDDCNASRDGPQNENSKIFSNARIHDAFLGVGEYGNLALPSPDGIFGLGFRRLKSKDGSFTAFGHSGMGGSAGFCDIKNRFAIAVTLNKMSFGGVTAKIIELVCSELNLPLPEEFSGSSDAYRPLIN >EOY31760 pep chromosome:Theobroma_cacao_20110822:9:13776396:13777750:1 gene:TCM_038889 transcript:EOY31760 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein, putative MTVLLSSLLPNPKLETSVLTINLNTCSTIYMIPLGLSAVVSTKASNELGAGRPRAAYLAVCVAVAMVATEGILAGIVMILGHKVWGYFYSKDEQVVKYVGEMLLLIAASHFVDGIQSVLTDE >EOY33057 pep chromosome:Theobroma_cacao_20110822:9:35184246:35193628:-1 gene:TCM_041063 transcript:EOY33057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSPTCISSGTSIKVRPQRSVRPKVDVSTNKDNLNFKLAYTCAPIVGCKRAHSELGPMNERPTLNVPNRCEASRQDNKIVDICIKVDNNIMKWINAEAIDNLRNNLFMVVMDTVAVDCEGETADFQEGIGIVVFLAQI >EOY34682 pep chromosome:Theobroma_cacao_20110822:9:41781092:41782021:-1 gene:TCM_042274 transcript:EOY34682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate family protein 17, putative MKAFVAFKSKLFNPCRKLVRNFRFKLKRPVFLRALRTHPQHAKVRKAPKRSPTSALLSVFRSLRQSRRMDKLAELQSFSEAARDRLLFPSPLTPAYVKVGGSSKMGSSGFDDVEDACRSFENYLVEMIVEEGKVSDLMDVEELLYCWKNLTCPVFIDLVSRFYGELCADLFSADDDNVDSP >EOY34389 pep chromosome:Theobroma_cacao_20110822:9:40880619:40883616:-1 gene:TCM_042073 transcript:EOY34389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein isoform 1 MGEMSSFQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTFCTLHAAQRFNLFENKSIDMKTVMLFGILNGVSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETVFLKKQFSQKIRLSLFVLLVGVGIASITDLQLNLVGTILSLLAIITTCVGQILTNTIQKRLNVSSTQLLYQSAPFQAAILFVSGPLVDQCLTKQNVFAYKYSPIVLAFIILSCVISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLGFGYTLLHDPFTDRNIIGILIAIFGMGLYSYFCTQENKKKQADPLASQMKDKDATPFLAMEKEGHEVKKSDKDSLV >EOY34390 pep chromosome:Theobroma_cacao_20110822:9:40880702:40882756:-1 gene:TCM_042073 transcript:EOY34390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein isoform 1 MGEMSSFQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTFCTLHAAQRFNLFENKSIDMKTVMLFGILNGVSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETVFLKKQFSQKIRLSLFVLLVGVGIASITDLQLNLVGTILSLLAIITTCVGQILTNTIQKRLNVSSTQLLYQSAPFQAAILFVSGPLVDQCLTKQNVFAYKYSPIVLAFIILSCVISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLGFGYTLLHDPFTDRNIIGILIAIFGMGLYSYFCTQENKKKQADPLASQMKDKDATPFLAMEKEGHEVKKSDKDSLV >EOY32938 pep chromosome:Theobroma_cacao_20110822:9:34570949:34573103:1 gene:TCM_040956 transcript:EOY32938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDQAAQAIENLERESASMETSDLSPSKSNKLFLKLKVSNTLKRCGQHICPVCSKGFTSGKALGGHIRIHMKGNKNGRHRKISKLQPRNLHRAKAKKRISKNTLLPKAADGAVDLPSNDQEGSEKVSCCICKKDFRSMKSLFGHMRNHPERGWRGIRPPPSDKNSCCSSVSENDEAPEVDQISCATERGSVSGSDLLKSLPKWTNTAKRCGKYTSDEIPEAAYCLMKLSRGESFDLGQSSIAYQKYSSTTVKPIIDKCQSSGLTNKASEQKQRSNIVLGKDMGEGKGKASLKTESDQERAPSEYKREDSYWPREEKKMEKSLAKELSCDNFIDKKTMVRENKLKLNYADATQIVENNRCFDQFQKFPRKPDEEKGDPSTVEGRFRDKIIPSSQTPGSYPKNPSERLFEAPLDETASPAEGKHLKSKPLFPTASQAAEGSQVCPPKILDFDLNEPYAALDGEDTGSKITSI >EOY33858 pep chromosome:Theobroma_cacao_20110822:9:39185224:39187647:1 gene:TCM_041705 transcript:EOY33858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta 8 MREILHIQGGQCGNQIGAKFWEVVCTEHGIDPTGRYQGDLDLQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSIRSGPVGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEDEFEEEEPEYED >EOY30796 pep chromosome:Theobroma_cacao_20110822:9:5802587:5804871:1 gene:TCM_037879 transcript:EOY30796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyol/monosaccharide transporter 5 isoform 1 MDANEMESQQHRAVGDDEFGRINTGLNKYTIFCALLASTNSILLGYDIGVMSGAVLFIKENLKISSVQVEILVGSLNVLSLIGSLASGQTSDYIGRRYTIVLAAATFLVGALLMGFAPSLSLLLAGRIVAGIGVGYSLMISPVYTAEISPAMKRGFLTSLPEVFIVSGILLGYIVNYSLSGLPQNMNWRLMLGFAAIPAIAIGFGVTAMPESPRWLVMKGRTDEAKRVLVKVSDSCQEAEFRLNEITKAAALDIGQAASSSNSEWRGQWVWRELLLSPSRPVRRILVAAIGINFFMQASGNDAVIYYCPEVFKDAGIHNKRQLFGVNVIMGVAKASFVLISALYLDKFGRRPLLLLGSIGMAVSLAVLGMGSKFLEHSESKPLWAIIVCILAVCADVSFFSIGLGPITWVYSSEIFPLRLRAQGTGLAISVNRLVSGVVSMTFLTISNKITFGGVFLVLSGVMVVGTLFFYFFLPETKSKSLEEVWALFEDKDKSNDGERGGMQMREI >EOY30797 pep chromosome:Theobroma_cacao_20110822:9:5802587:5804992:1 gene:TCM_037879 transcript:EOY30797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyol/monosaccharide transporter 5 isoform 1 MKRGFLTSLPEVFIVSGILLGYIVNYSLSGLPQNMNWRLMLGFAAIPAIAIGFGVTAMPESPRWLVMKGRTDEAKRVLVKVSDSCQEAEFRLNEITKAAALDIGQAASSSNSEWRGQWVWRELLLSPSRPVRRILVAAIGINFFMQASGNDAVIYYCPEVFKDAGIHNKRQLFGVNVIMGVAKASFVLISALYLDKFGRRPLLLLGSIGMAVSLAVLGMGSKFLEHSESKPLWAIIVCILAVCADVSFFSIGLGPITWVYSSEIFPLRLRAQGTGLAISVNRLVSGVVSMTFLTISNKITFGGVFLVLSGVMVVGTLFFYFFLPETKSKSLEEVWALFEDKDKSNDGERGGMQMREI >EOY31806 pep chromosome:Theobroma_cacao_20110822:9:14291545:14301705:1 gene:TCM_038951 transcript:EOY31806 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein XL3 MEFSIQKTEAFLRLCAILLLVLTACLVGFDSQTKVIFYVEKKASFKDLRALIGLVYITSLAAAYNLVQLSCYSFSARRKGSSPQSYKYLAWLRYVLDQAAVYVVFAGNFAAFEHALLVVTGEQNFQWMKWCNKYTRFCFQIGGSLLCGCVASLVMVFIASISAFNLFRLYSPKKFLLLKAT >EOY33848 pep chromosome:Theobroma_cacao_20110822:9:39168406:39172311:-1 gene:TCM_041702 transcript:EOY33848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSSMEGKLVKGLRLKASVDVEKNRVIFVESDEDFIDILLSFLTMPIGSIIRLIRYQPPPVGIGCMDNLYESVENLDVQLFQTEACKSMLLHPRNGAAAQCERLKLTVDDSEPLQYFCCESWECTASKYKLLSHYKNAICGCGKRMNYEIYLSEKENKQMLSDARDRGVFVKGLTRMIVSDELKIMPPLTASSFSLLSKLGLTDGSTIEERAFNVGVDEVLDLLKFSLVSRIPLTETLLKKSLDMNNEDYDQGSFTNFNQGSFTESEIVEASSNNYKRICAKLIVSKSRKMVCYAEVSEDFVDLLFSFLTVPLGHVAKEMKSHSSRGCINHLYNSIQDLDAERYLKSNDHKAMLVSPRLAPGFRLGNQPLGVEECKRQQNLYYHVKVIHGFLRPELFSDEKLLPCSDSAQASLLSVVDPKSHYKDAKSSGGFVKGPSMFTVTDNLIITPISPASGLSVLSKCKVPFSDIEERVVHVGEEEASRLLVASFVTKSALTNAFILEEPKREYRGITI >EOY33849 pep chromosome:Theobroma_cacao_20110822:9:39168331:39171862:-1 gene:TCM_041702 transcript:EOY33849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSSMEGKLVKGLRLKASVDVEKNRVIFVESDEDFIDILLSFLTMPIGSIIRLIRYQPPPVGIGCMDNLYESVENLDVQLFQTEACKSMLLHPRNGAAAQCERLKLTVDDSEPLQYFCCESWECTASKYKLLSHYKNAICGCGKRMNYEIYLSEKENKQMLSDARDRGVFVKGLTRMIVSDELKIMPPLTASSFSLLSKLGLTDGSTIEERAFNVGVDEVLDLLKFSLVSRIPLTETLLKKSLDMNNEDYDQGSFTNFNQGSFTESEIVEASSNNYKRICAKLIVSKSRKMVCYAEVSEDFVDLLFSFLTVPLGHVAKEMKSHSSRGCINHLYNSIQDLDAERYLKSNDHKAMLVSPRLAPGFRLGNQPLGVEECKRQQNLYYHVKVIHGFLRPELFSDEKLLPCSDSAQASLLSVVDPKSHYKDAKSSGGFVKGPSMFTVTDNLIITPISPASGLSVLSKCKVPFSDIEERVVHVGEEEASRLLVASFVTKSALTNAFILEEPKREYRGITI >EOY31513 pep chromosome:Theobroma_cacao_20110822:9:9241059:9245547:-1 gene:TCM_038437 transcript:EOY31513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MAISGPQNPSQVHRIPQSKYIDAVRWLPPVSAFDRFAAIAYFDTDSNSPSVEIHCLNPSVQNPSPTLTPQSSWTPPSRISSLRTAQSTPQPILAAATFSGSLHILVSDLIKGAVIESEASISGTEFHIGHVAAVDLRESGSECVSVGEDGRVNLVSVVGNSSKLSYRRIFDANGLVGYTAVRWASPSEFVTGGYGFGLQWWDQRRPGGPVSQFKGNWCQGKTSGIVHSIDIHPSRKHTCLAGGSSGTVFAWDLRAQQQPIVLSGGVTDQTAMPLLSESEVWEVQYDRYTRSSNINNMSSTRILPVMICSEDGILAVIEQGEEPVELLAEPCAINSFDIDQQNPSGCYMWLGVGIYSHIDKVVILRNRHKRQERDKNLSMLSVSCNLRRLNFIQPFFAFVGMERLIFLVRKVDMP >EOY31514 pep chromosome:Theobroma_cacao_20110822:9:9241535:9245580:-1 gene:TCM_038437 transcript:EOY31514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 SAWLLTVPPVLANVPLNQIPPDPKPLPSLLPPKTPSPKTLTISLPGKITTPLPPSKPLKILETMAISGPQNPSQVHRIPQSKYIDAVRWLPPVSAFDRFAAIAYFDTDSNSPSVEIHCLNPSVQNPSPTLTPQSSWTPPSRISSLRTAQSTPQPILAAATFSGSLHILVSDLIKGAVIESEASISGTEFHIGHVAAVDLRESGSECVSVGEDGRVNLVSVVGNSSKLSYRRIFDANGLVGYTAVRWASPSEFVTGGYGFGLQWWDQRRPGGPVSQFKGNWCQGKTSGIVHSIDIHPSRKHTCLDFLGRRLVRDCICLGSSSTTTAYCSFWWSDRSDCHAFVI >EOY31950 pep chromosome:Theobroma_cacao_20110822:9:18102479:18123375:1 gene:TCM_039316 transcript:EOY31950 gene_biotype:protein_coding transcript_biotype:protein_coding description:F11A6.3 protein, putative isoform 2 MGKQFGAKRGLKRHKTTDKFERSAVARNRKRVKHEVTRSSSPTLPAESSGEESSGGAYEEMSYKEPTMYDKLLTKLRSSNKSVVDAYQRRQVAEDDETDGTESFSISEQENSDEETDNDSLRMQEPDMVGTEEQTEDAETEDDLEASDTDQDDDLSVCGPSAVAASSFSKHVEYNLSKTEAEDLSKKKWKYTWEVSAADVSNCKWVGTGECFLKDADMNSNYGLKQKLYKHWLDVYKTSGGNDFHSSRLRWFFSLCDSYRDILHCEKKPFYHKGLEEDSNIMDAYIMHSLNHIFRTRDLVRKNDGKISKHQESTKEEILPGDSFLDQGFTRPKVLILLPLRSTALRVIERLIKLTPATSKVNVEHIDRFYQDFGSEEVEGDEEMEEQSKNAKPQKPSKPSDHQSLFKGDTRDDFMIGIKFTRKTIKLYGDFYSSDIIVASPLELMTKFGKAAKNKESDTDYLSSIEVVIIDHADVISLQNWSFLTSVVERLNHIPSKQHGTNVMRIRQWYLDGFARFYRQTIILGYYLNPDMNALFNHQCVNYQGKVKSVREHNGVLPKVLSQARQIYERFDADSIAEVDDARLEYFAKKVFPKIKDSEQGGIMLFASSYFEFVRLRNFLKSQNASFCLLGDYTDQRDISRARVWFFEGKRKIMLYTERIHFYRRYKLERIVGSAPAKRMIKSEKGVFVFC >EOY31949 pep chromosome:Theobroma_cacao_20110822:9:18102475:18122872:1 gene:TCM_039316 transcript:EOY31949 gene_biotype:protein_coding transcript_biotype:protein_coding description:F11A6.3 protein, putative isoform 2 MGKQFGAKRGLKRHKTTDKFERSAVARNRKRVKHEVTRSSSPTLPAESSGEESSGGAYEEMSYKEPTMYDKLLTKLRSSNKSVVDAYQRRQVAEDDETDGTESFSISEQENSDEETDNDSLRMQEPDMVGTEEQTEDAETEDDLEASDTDQDDDLSVCGPSAVAASSFSKHVEYNLSKTEAEDLSKKKWKYTWEVSAADVSNCKWVGTGECFLKDADMNSNYGLKQKLYKHWLDVYKTSGGNDFHSSRLRWFFSLCDSYRDILHCEKKPFYHKGLEEDSNIMDAYIMHSLNHIFRTRDLVRKNDGKISKHQESTKEEILPGDSFLDQGFTRPKVLILLPLRSTALRVIERLIKLTPATSKVNVEHIDRFYQDFGSEEVEGDEEMEEQSKNAKPQKPSKPSDHQSLFKGDTRDDFMIGIKFTRKTIKLYGDFYSSDIIVASPLELMTKFGKAAKNKESDTDYLSSIEVVIIDHADVISLQNWSFLTSVVERLNHIPSKQHGTNVMRIRQWHVSRNFSSIWMDLPDFIGRRLF >EOY31948 pep chromosome:Theobroma_cacao_20110822:9:18102503:18123331:1 gene:TCM_039316 transcript:EOY31948 gene_biotype:protein_coding transcript_biotype:protein_coding description:F11A6.3 protein, putative isoform 2 MGKQFGAKRGLKRHKTTDKFERSAVARNRKRVKHEVTRSSSPTLPAESSGEESSGGAYEEMSYKEPTMYDKLLTKLRSSNKSVVDAYQRRQVAEDDETDGTESFSISEQENSDEETDNDSLRMQEPDMVGTEEQTEDAETEDDLEASDTDQDDDLSVCGPSAVAASSFSKHVEYNLSKTEAEDLSKKKWKYTWEVSAADVSNCKWVGTGECFLKDADMNSNYGLKQKLYKHWLDVYKTSGGNDFHSSRLRWFFSLCDSYRDILHCEKKPFYHKGLEEDSNIMDAYIMHSLNHIFRTRDLVRKNDGKISKHQESTKEEILPGDSFLDQGFTRPKVLILLPLRSTALRVIERLIKLTPATSKVNVEHIDRFYQDFGSEEVEGDEEMEEQSKNAKPQKPSKPSDHQSLFKGDTRDDFMIGIKFTRKTIKLYGDFYSSDIIVASPLELMTKFGKAAKNKESDTDYLSSIEVVIIDHADVISLQNWSFLTSVVERLNHIPSKQHGTNVMRIRQWYLDGFARFYRQTIILGYYLNPADMNALFNHQCVNYQGKVKSVREHNGVLPKVLSQARQIYERFDADSIAEVDDARLEYFAKKVFPKIKDSEQGGIMLFASSYFEFVRLRNFLKSQNASFCLLGDYTDQRDISRARVWFFEGKRKIMLYTERIHFYRRYKRFVVFEI >EOY31947 pep chromosome:Theobroma_cacao_20110822:9:18102503:18123680:1 gene:TCM_039316 transcript:EOY31947 gene_biotype:protein_coding transcript_biotype:protein_coding description:F11A6.3 protein, putative isoform 2 MGKQFGAKRGLKRHKTTDKFERSAVARNRKRVKHEVTRSSSPTLPAESSGEESSGGAYEEMSYKEPTMYDKLLTKLRSSNKSVVDAYQRRQVAEDDETDGTESFSISEQENSDEETDNDSLRMQEPDMVGTEEQTEDAETEDDLEASDTDQDDDLSVCGPSAVAASSFSKHVEYNLSKTEAEDLSKKKWKYTWEVSAADVSNCKWVGTGECFLKDADMNSNYGLKQKLYKHWLDVYKTSGGNDFHSSRLRWFFSLCDSYRDILHCEKKPFYHKGLEEDSNIMDAYIMHSLNHIFRTRDLVRKNDGKISKHQESTKEEILPGDSFLDQGFTRPKVLILLPLRSTALRVIERLIKLTPATSKVNVEHIDRFYQDFGSEEVEGDEEMEEQSKNAKPQKPSKPSDHQSLFKGDTRDDFMIGIKFTRKTIKLYGDFYSSDIIVASPLELMTKFGKAAKNKESDTDYLSSIEVVIIDHADVISLQNWSFLTSVVERLNHIPSKQHGTNVMRIRQWYLDGFARFYRQTIILGYYLNPDMNALFNHQCVNYQGKVKSVREHNGVLPKVLSQARQIYERFDADSIAEVDDARLEYFAKKVFPKIKDSEQGGIMLFASSYFEFVRLRNFLKSQNASFCLLGDYTDQRDISRARVWFFEGKRKIMLYTERIHFYRRYKIRGIRNLIIYSLPERKEFYPEIVNMLEGSDDMACTILFSLFDKLRLERIVGSAPAKRMIKSEKGVFVFC >EOY30487 pep chromosome:Theobroma_cacao_20110822:9:4764046:4765267:-1 gene:TCM_037684 transcript:EOY30487 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate MEITIIDLDELHGEKRSKTMALQNKACEKWGFFQVDNHGIDKKLMEKVKELVNTYYGENLKESFYEYEIVKSLNKTMDEYISELLKLAEKLSELMCENLGLEKNHIKEAFSRSKGPAVGTKVAKYPQCLNPKLVRGLREHTDVGGIVLMLQDDQVPGLEFPKDGEWVLIPPSRNNTISVKTGDQVEVLSNGRHKSVLHRVKAEKNGSRLSIATF >EOY29623 pep chromosome:Theobroma_cacao_20110822:9:1787654:1788268:1 gene:TCM_037114 transcript:EOY29623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L39 family protein MPSHKTFMIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >EOY30682 pep chromosome:Theobroma_cacao_20110822:9:5405431:5414892:1 gene:TCM_037807 transcript:EOY30682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Staurosporin and temperature sensitive 3-like A isoform 3 MAAPEASSAAVPTLMTNNTLRNAFGGVLSFFILLLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPIFSAFASWATYLLTKEVKGAGAGLTAAVLLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNSIAYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLVFIIIHVVALVYYIKGILSPKMFKVAVTLVISAGLAVCCAVIAVLIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFLPLSDASSFVVLYIATSVYFSGVMVRLMLVLAPAACITSGIALSQAFDVFTRSIKFQLPGLSSNTEVDAGETSSATKEAQNDAVKTEKAEETSKDRPSRKSKKKEREHVEKPSTKTKAEKKRLLALPLEASVISLLLLVFLGAFYVVHCVWAAAEAYSAPSIVLTSHSHDGLHVFDDFREAYAWLRHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFHSLDVKYILVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSQATPTMLNCLMYKLSYYRFVETDGKGFDRVRRTEIGKKYFKLTHFEEVFTTHHWMVRIYKLKPQKNRIRGKTKKSKSKTSSTSSSKRSGTRKKNPWH >EOY30681 pep chromosome:Theobroma_cacao_20110822:9:5405431:5414892:1 gene:TCM_037807 transcript:EOY30681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Staurosporin and temperature sensitive 3-like A isoform 3 MAAPEASSAAVPTLMTNNTLRNAFGGVLSFFILLLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPIFSAFASWATYLLTKEVKGAGAGLTAAVLLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNSIAYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLVFIIIHVVALVYYIKGILSPKMFKVAVTLVISAGLAVCCAVIAVLIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFLPLSDASSFVVLYIATSVYFSGVMVRLMLVLAPAACITSGIALSQAFDVFTRSIKFQLPGLSSNTEVDAGETSSATKEAQNDAVKTEKAEETSKDRPSRKSKKKEREHVEKPSTKTKAEKKRLLALPLEASVISLLLLVFLGAFYVVHCVWAAAEAYSAPSIVLTSHSHDGLHVFDDFREAYAWLRHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFHSLDVKYILVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSQATPTMLNCLMYKLSYYRFVETDGKGFDRVRRTEIGKKYFKLTHFEEVFTTHHWMVRIYKLKPQKNRIRGKTKKSKSKTSSTSSSKRSERGLFRHGNVFGGKEAQNQFCISSILGLVPCATTGPPKRQI >EOY30683 pep chromosome:Theobroma_cacao_20110822:9:5405446:5414140:1 gene:TCM_037807 transcript:EOY30683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Staurosporin and temperature sensitive 3-like A isoform 3 MAAPEASSAAVPTLMTNNTLRNAFGGVLSFFILLLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPIFSAFASWATYLLTKEVKGAGAGLTAAVLLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNSIAYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLVFIIIHVVALVYYIKGILSPKMFKVAVTLVISAGLAVCCAVIAVLIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFLPLSDASSFVVLYIATSVYFSGVMVRLMLVLAPAACITSGIALSQAFDVFTRSIKFQLPGLSSNTEVDAGETSSATKEAQNDAVKTEKAEETSKDRPSRKSKKKEREHVEKPSTKTKAEKKRLLALPLEASVISLLLLVFLGAFYVVHCVWAAAEAYSAPSIVLTSHSHDGLHVFDDFREAYAWLRHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFHSLDVKYILVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSQATPTMLNCLMYKLSYYR >EOY32021 pep chromosome:Theobroma_cacao_20110822:9:19161577:19162643:1 gene:TCM_039428 transcript:EOY32021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRSERERIYLFTFAELRSFHADLSFKARKEEEKERARKRSKGWRKESVQGSEDDGGVVDKLLPRDIRAQAVGGVVPVK >EOY32260 pep chromosome:Theobroma_cacao_20110822:9:25183543:25260119:1 gene:TCM_039957 transcript:EOY32260 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein isoform 3 MTDSSGTTLMDLITADPAPVPAASSSSTTSSTTPTASSSATQPQHVSTKTTLGEKKSKRAALIQIQNDTISVAKAALNPVRTNIIPHQKQKQKKPVSYAQLARSIHELAATSDQKSSQKQLVHHVFPKLAVYNSVDPSLAPSLLMLDQQCEDRTVLRYVYYYLARILADTGSQGLNPGGGIPTPNWDALADIDAVGGVTRADVVPRIVNQLTAEAANSDVEFHARRLQALKALTYAPSSNTEILSRLYEIVFGILDKVADVPHKRKKGIFGAKGGDKESIIRSNLQYAALSALRRLPLDPGNPAFLHRAVQGISFADPVAVRHSLEIISDLAIRDPYAVAMALGKLVAPGGALQDVLHLHDVLARVSLARLCHTISRARSLDERPDIKSQFNTVLYQLLLDPSERVCFEAILCILGKHDNTEKTEERAAGWYRLTREILKLPEAPSNFKDKTQKTRRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAVAVGIQDLDEGAYVNSFVETAESLDSDMNDNPHPEVGIRRTTSVSNAGGGKDTIAGMLASLMEVVRTTVACECVYVRAMVIKALIWMQSPHESFDELKSIIASELSDPAWPATLLNDVLLTLHARFKATPDMAVTLLELARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLVGALTRLQRCAFSGSWEVRIVAAQALTTVAIRSGEPFRLQIYEFLHALAQGGVQSQLSEMHLSNGEDQGASGTGLGVLITPMIKVLDEMYRAQDDLIKEIRNHDNANKEWKDEELKKLYETHERLLDLVSLFCYVPRAKYLPLGPISAKLIDIYRTRHNISASTGLSDPAVATGISDLVYESKPAATESDTLDDDLVNAWAVNLGDVPALNRVNEFLAGAGTDAPDVDEENIISRPSVSYDDMWAKTLLESTEMEEDDVRSSGSSSPESTGSVETSISSHFGGMSYPSLFSSRPTTYGASQPAERSGGSRFNNPSSMYEGLGSPIREEPPLYTSPGREQYESLENPLAGRGSQGFESQDDDCLSSGNPQFGTALYDFSAGGDDELSLTTGEEVEIEYEIDGWFYVKKKRPGRDGKMAGLVPVLYAQDSLLIDQASYFEEGLRNEIIERMTVTGREPHKEVVQMALRAEKLAIENRKIRTEFAKKRNPGMSSSQLVKRGKDSATSGSTTFVFVTSSRPPFPLSQKRPSRFSRSTMTSFGKSFEDFDRCKNCGNYHVGLCRGPTLYLHCVLQSSYFSILLEKKSLGIQLNNGEDRTLLASFVVRPSLLNQIRELQKYDDWLKREVQKLQDGETSEFRLSDDVSFSILYCVKVASPSIKARELHPRTSSLSISRIGTLDIVDIPGNECLPRHCGNCHGLEGSTGS >EOY32262 pep chromosome:Theobroma_cacao_20110822:9:25183543:25238718:1 gene:TCM_039957 transcript:EOY32262 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein isoform 3 MTDSSGTTLMDLITADPAPVPAASSSSTTSSTTPTASSSATQPQHVSTKTTLGEKKSKRAALIQIQNDTISVAKAALNPVRTNIIPHQKQKQKKPVSYAQLARSIHELAATSDQKSSQKQLVHHVFPKLAVYNSVDPSLAPSLLMLDQQCEDRTVLRYVYYYLARILADTGSQGLNPGGGIPTPNWDALADIDAVGGVTRADVVPRIVNQLTAEAANSDVEFHARRLQALKALTYAPSSNTEILSRLYEIVFGILDKVADVPHKRKKGIFGAKGGDKESIIRSNLQYAALSALRRLPLDPGNPAFLHRAVQGISFADPVAVRHSLEIISDLAIRDPYAVAMALGKLVAPGGALQDVLHLHDVLARVSLARLCHTISRARSLDERPDIKSQFNTVLYQLLLDPSERVCFEAILCILGKHDNTEKTEERAAGWYRLTREILKLPEAPSNFKDKTQKTRRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAVAVGIQDLDEGAYVNSFVETAESLDSDMNDNPHPEGIRRTTSVSNAGGGKDTIAGMLASLMEVVRTTVACECVYVRAMVIKALIWMQSPHESFDELKSIIASELSDPAWPATLLNDVLLTLHARFKATPDMAVTLLELARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLVGALTRLQRCAFSGSWEVRIVAAQALTTVAIRSGEPFRLQIYEFLHALAQGGVQSQLSEMHLSNGEDQGASGTGLGVLITPMIKVLDEMYRAQDDLIKEIRNHDNANKEWKDEELKKLYETHERLLDLVSLFCYVPRAKYLPLGPISAKLIDIYRTRHNISASTGLSDPAVATGISDLVYESKPAATESDTLDDDLVNAWAVNLGDVPALNRVNEFLAGAGTDAPDVDEENIISRPSVSYDDMWAKTLLESTEMEEDDVRSSGSSSPESTGSVETSISSHFGGMSYPSLFSSRPTTYGASQPAERSGGSRFNNPSSMYEGLGSPIREEPPLYTSPGREQYESLENPLAGRGSQGFESQDDDCLSSGNPQFGTALYDFSAGGDDELSLTTGEEVEIEYEIDGWFYVKKKRPGRDGKMAGLVPVLYVSQT >EOY32261 pep chromosome:Theobroma_cacao_20110822:9:25212151:25238718:1 gene:TCM_039957 transcript:EOY32261 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein isoform 3 MTDSSGTTLMDLITADPAPVPAASSSSTTSSTTPTASSSATQPQHVSTKTTLGEKKSKRAALIQIQNDTISVAKAALNPVRTNIIPHQKQKQKKPVSYAQLARSIHELAATSDQKSSQKQLVHHVFPKLAVYNSVDPSLAPSLLMLDQQCEDRTVLRYVYYYLARILADTGSQGLNPGGGIPTPNWDALADIDAVGGVTRADVVPRIVNQLTAEAANSDVEFHARRLQALKALTYAPSSNTEILSRLYEIVFGILDKVADVPHKRKKGIFGAKGGDKESIIRSNLQYAALSALRRLPLDPGNPAFLHRAVQGISFADPVAVRHSLEIISDLAIRDPYAVAMALGKLVAPGGALQDVLHLHDVLARVSLARLCHTISRARSLDERPDIKSQFNTVLYQLLLDPSERVCFEAILCILGKHDNTEKTEERAAGWYRLTREILKLPEAPSNFKDKTQKTRRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAVAVGIQDLDEGAYVNSFVETAESLDSDMNDNPHPEVGIRRTTSVSNAGGGKDTIAGMLASLMEVVRTTVACECVYVRAMVIKALIWMQSPHESFDELKSIIASELSDPAWPATLLNDVLLTLHARFKATPDMAVTLLELARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLVGALTRLQRCAFSGSWEVRIVAAQALTTVAIRSGEPFRLQIYEFLHALAQGGVQSQLSEMHLSNGEDQGASGTGLGVLITPMIKVLDEMYRAQDDLIKEIRNHDNANKEWKDEELKKLYETHERLLDLVSLFCYVPRAKYLPLGPISAKLIDIYRTRHNISASTGLSDPAVATGISDLVYESKPAATESDTLDDDLVNAWAVNLGDVPALNRVNEFLAGAGTDAPDVDEENIISRPSVSYDDMWAKTLLESTEMEEDDVRSSGSSSPESTGSVETSISSHFGGMSYPSLFSSRPTTYGASQPAERSGGSRFNNPSSMYEGLGSPIREEPPLYTSPGREQYESLENPLAGRGSQGFESQDDDCLSSGNPQFGTALYDFSAGGDDELSLTTGEEVEIEYEIDGWFYVKKKRPGRDGKMAGLVPVLYVSQT >EOY32264 pep chromosome:Theobroma_cacao_20110822:9:25213143:25238145:1 gene:TCM_039957 transcript:EOY32264 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein isoform 3 MLDQQCEDRTVLRYVYYYLARILADTGSQGLNPGGGIPTPNWDALADIDAVGGVTRADVVPRIVNQLTAEAANSDVEFHARRLQALKALTYAPSSNTEILSRLYEIVFGILDKVADVPHKRKKGIFGAKGGDKESIIRSNLQYAALSALRRLPLDPGNPAFLHRAVQGISFADPVAVRHSLEIISDLAIRDPYAVAMALGKLVAPGGALQDVLHLHDVLARVSLARLCHTISRARSLDERPDIKSQFNTVLYQLLLDPSERVCFEAILCILGKHDNTEKTEERAAGWYRLTREILKLPEAPSNFKDKTQKTRRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAVAVGIQDLDEGAYVNSFVETAESLDSDMNDNPHPEVGIRRTTSVSNAGGGKDTIAGMLASLMEVVRTTVACECVYVRAMVIKALIWMQSPHESFDELKSIIASELSDPAWPATLLNDVLLTLHARFKATPDMAVTLLELARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLVGALTRLQRCAFSGSWEVRIVAAQALTTVAIRSGEPFRLQIYEFLHALAQGGVQSQLSEMHLSNGEDQGASGTGLGVLITPMIKVLDEMYRAQDDLIKEIRNHDNANKEWKDEELKKLYETHERLLDLVSLFCYVPRAKYLPLGPISAKLIDIYRTRHNISASTGLSDPAVATGISDLVYESKPAATESDTLDDDLVNAWAVNLGDVPALNRVNEFLAGAGTDAPDVDEENIISRPSVSYDDMWAKTLLESTEMEEDDVRSSGSSSPESTGSVETSISSHFGGMSYPSLFSSRPTTYGASQPAQERSGGSRFNNPSSMYEGLGSPIREEPPLYTSPGREQYESLENPLAGRGSQGFESQDDDCLSSGNPQFGTALYDFSAGGDDELSLTTGEEVEIEYEIDGWFYV >EOY32263 pep chromosome:Theobroma_cacao_20110822:9:25212515:25238718:1 gene:TCM_039957 transcript:EOY32263 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein isoform 3 MLDQQCEDRTVLRYVYYYLARILADTGSQGLNPGGGIPTPNWDALADIDAVGGVTRADVVPRIVNQLTAEAANSDVEFHARRLQALKALTYAPSSNTEILSRLYEIVFGILDKVADVPHKRKKGIFGAKGGDKESIIRSNLQYAALSALRRLPLDPGNPAFLHRAVQGISFADPVAVRHSLEIISDLAIRDPYAVAMALGKLVAPGGALQDVLHLHDVLARVSLARLCHTISRARSLDERPDIKSQFNTVLYQLLLDPSERVCFEAILCILGKHDNTEKTEERAAGWYRLTREILKLPEAPSNFKDKTQKTRRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAVAVGIQDLDEGAYVNSFVETAESLDSDMNDNPHPEGIRRTTSVSNAGGGKDTIAGMLASLMEVVRTTVACECVYVRAMVIKALIWMQSPHESFDELKSIIASELSDPAWPATLLNDVLLTLHARFKATPDMAVTLLELARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLVGALTRLQRCAFSGSWEVRIVAAQALTTVAIRSGEPFRLQIYEFLHALAQGGVQSQLSEMHLSNGEDQGASGTGLGVLITPMIKVLDEMYRAQDDLIKEIRNHDNANKEWKDEELKKLYETHERLLDLVSLFCYVPRAKYLPLGPISAKLIDIYRTRHNISASTGLSDPAVATGISDLVYESKPAATESDTLDDDLVNAWAVNLGDVPALNRVNEFLAGAGTDAPDVDEENIISRPSVSYDDMWAKTLLESTEMEEDDVRSSGSSSPESTGSVETSISSHFGGMSYPSLFSSRPTTYGASQPAQERSGGSRFNNPSSMYEGLGSPIREEPPLYTSPGREQYESLENPLAGRGSQGFESQDDDCLSSGNPQFGTALYDFSAGGDDELSLTTGEEVEIEYEIDGWFYVKKKRPGRDGKMAGLVPVLYVSQT >EOY30752 pep chromosome:Theobroma_cacao_20110822:9:5691555:5694116:1 gene:TCM_037856 transcript:EOY30752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aceous RNase P 1, putative isoform 1 MASFTFNTLQQQRHFLSITLYRRHVRHHFHAKFSTKDYETSTKTGNSKSKKEIGSGFNSLRSGNEGAERNSLENLFSSVLEGKAERKRYAKDKNARKRDKYFERGREMGSGDSSLRAKDENMGSKQKSSKNVNNLVAKEKVEGKTKKDGDGSVKEKRLGKRSKKDQANSPEVHLRVQLDMCSKRGDVMGAIQLYDKALREKIKMGQYHYAVLLYLCSSAAVGVVQPAKSGSGSRPLNMLNVSNEASSMNSMGLGEPIDKDSENSSASELSNPILNNGKLVDSSRSQGNIDKLKVRFSESFCNLDNTFPDKNLNQFSNGSPKPYSQLSEGSSYQKKRDEDHLDIDDKSSSDREDYEIWVSEDVKKYALLKGFEIYEKMCLDNVPMNEATLTAVARMAMSMGNGDMAFDVVKKMNQLGINPRLRSYGPALSVFCNIGDVDKAFEVEKHMLEHGIHPEEPELEALLRVSVEAGRGDNVYYLLHKLRTSVRKVSPSTADKIVKWFESKEASRLGKRTMDQRFIKEAIENGGGGWHGQGWLGKGRWIISHTAVGADALCKCCGEKLALIDLDPVETEKFAESVASIATKREKHFSFQKFQKWLDYYGPFEAVVDAANVGLFSQRRFMPSKKLPSKKWPLIVLHNKRITGQKMDEPVNKALIEKWKNADALYATPTGSNDDWYWLYAAIKFKCLI >EOY30751 pep chromosome:Theobroma_cacao_20110822:9:5691569:5695826:1 gene:TCM_037856 transcript:EOY30751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aceous RNase P 1, putative isoform 1 MASFTFNTLQQQRHFLSITLYRRHVRHHFHAKFSTKDYETSTKTGNSKSKKEIGSGFNSLRSGNEGAERNSLENLFSSVLEGKAERKRYAKDKNARKRDKYFERGREMGSGDSSLRAKDENMGSKQKSSKNVNNLVAKEKVEGKTKKDGDGSVKEKRLGKRSKKDQANSPEVHLRVQLDMCSKRGDVMGAIQLYDKALREKIKMGQYHYAVLLYLCSSAAVGVVQPAKSGSGSRPLNMLNVSNEASSMNSMGLGEPIDKDSENSSASELSNPILNNGKLVDSSRSQGNIDKLKVRFSESFCNLDNTFPDKNLNQFSNGSPKPYSQLSEGSSYQKKRDEDHLDIDDKSSSDREDYEIWVSEDVKKYALLKGFEIYEKMCLDNVPMNEATLTAVARMAMSMGNGDMAFDVVKKMNQLGINPRLRSYGPALSVFCNIGDVDKAFEVEKHMLEHGIHPEEPELEALLRVSVEAGRGDNVYYLLHKLRTSVRKVSPSTADKIVKWFESKEASRLGKRTMDQRFIKEAIENGGGGWHGQGWLGKGRWIISHTAVGADALCKCCGEKLALIDLDPVETEKFAESVASIATKREKHFSFQKFQKWLDYYGPFEAVVDAANVGLFSQRRFMPSKVNAVVNGIRQKLPSKKWPLIVLHNKRITGQKMDEPVNKALIEKWKNADALYATPTGSNDDCYQVQVLNCDQR >EOY30750 pep chromosome:Theobroma_cacao_20110822:9:5691205:5696364:1 gene:TCM_037856 transcript:EOY30750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aceous RNase P 1, putative isoform 1 MASFTFNTLQQQRHFLSITLYRRHVRHHFHAKFSTKDYETSTKTGNSKSKKEIGSGFNSLRSGNEGAERNSLENLFSSVLEGKAERKRYAKDKNARKRDKYFERGREMGSGDSSLRAKDENMGSKQKSSKNVNNLVAKEKVEGKTKKDGDGSVKEKRLGKRSKKDQANSPEVHLRVQLDMCSKRGDVMGAIQLYDKALREKIKMGQYHYAVLLYLCSSAAVGVVQPAKSGSGSRPLNMLNVSNEASSMNSMGLGEPIDKDSENSSASELSNPILNNGKLVDSSRSQGNIDKLKVRFSESFCNLDNTFPDKNLNQFSNGSPKPYSQLSEGSSYQKKRDEDHLDIDDKSSSDREDYEIWVSEDVKKYALLKGFEIYEKMCLDNVPMNEATLTAVARMAMSMGNGDMAFDVVKKMNQLGINPRLRSYGPALSVFCNIGDVDKAFEVEKHMLEHGIHPEEPELEALLRVSVEAGRGDNVYYLLHKLRTSVRKVSPSTADKIVKWFESKEASRLGKRTMDQRFIKEAIENGGGGWHGQGWLGKGRWIISHTAVGADALCKCCGEKLALIDLDPVETEKFAESVASIATKREKHFSFQKFQKWLDYYGPFEAVVDAANVGLFSQRRFMPSKVNAVVNGIRQKLPSKKWPLIVLHNKRITGQKMDEPVNKALIEKWKNADALYATPTGSNDDWYWLYAAIKFKCLIVTNDEMRDHTFQLLGNDFFPKWKERHQVHFSFSNAGPVFYMPPPCSVVIQESEKGHWHIPIASELDYDTERTWLCIRRAKSHVVKEDSSTIPEDLQPLDRNKECTRPTIQTEVNTNSLSLKDGNHDKPQKHTEEIYKNIRDILSVPVPSDQCTVLSEIEAAEMLGNCVIDFQI >EOY30753 pep chromosome:Theobroma_cacao_20110822:9:5691609:5694120:1 gene:TCM_037856 transcript:EOY30753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aceous RNase P 1, putative isoform 1 MFSTSIVSLIILFSSPPKHKISQLFVDRRHVRHHFHAKFSTKDYETSTKTGNSKSKKEIGSGFNSLRSGNEGAERNSLENLFSSVLEGKAERKRYAKDKNARKRDKYFERGREMGSGDSSLRAKDENMGSKQKSSKNVNNLVAKEKVEGKTKKDGDGSVKEKRLGKRSKKDQANSPEVHLRVQLDMCSKRGDVMGAIQLYDKALREKIKMGQYHYAVLLYLCSSAAVGVVQPAKSGSGSRPLNMLNVSNEASSMNSMGLGEPIDKDSENSSASELSNPILNNGKLVDSSRSQGNIDKLKVRFSESFCNLDNTFPDKNLNQFSNGSPKPYSQLSEGSSYQKKRDEDHLDIDDKSSSDREDYEIWVSEDVKKYALLKGFEIYEKMCLDNVPMNEATLTAVARMAMSMGNGDMAFDVVKKMNQLGINPRLRSYGPALSVFCNIGDVDKAFEVEKHMLEHGIHPEEPELEALLRVSVEAGRGDNVYYLLHKLRTSVRKVSPSTADKIVKWFESKEASRLGKRTMDQRFIKEAIENGGGGWHGQGWLGKGRWIISHTAVGADALCKCCGEKLALIDLDPVETEKFAESVASIATKREKHFSFQKFQKWLDYYGPFEAVVDAANVGLFSQRRFMPSKVNAVVNGIRQKLPSKKWPLIVLHNKRITGQKMDEPVNKALIEKWKNADALYATPTGSNDDW >EOY30986 pep chromosome:Theobroma_cacao_20110822:9:6577913:6592862:-1 gene:TCM_038026 transcript:EOY30986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MPATRVRSPATAIRHFYCCFPFWRVTKKKNLSMNDQRHFKKKKKIISTLIPLKTSKREMALPSTSVSISPFPLHLLPSSDPPYKLLQNHPSLSLLSKCRTIQTLKQVHCHIIKTGLHHTQFALSKLIEFCAVSPFGDLPYALLLFESIDEPNQVIWNTMIRGFSLSSSPGLTLEFYVKMIWSGIVPNSYTFPFVLKSCAKTASTQEGKQIHGQVLKLGLESDAFVHTSLINMYAQNGEFGNARLVFDKSHLRDTVSYTALITGYVSIGYMENARKLFDEIPIRDVVSWNAMIAGYAQTGQHEEALAFFEEMIGANVVPNESTLVSVLSACAQSGSLELGTWVRSWINEHGLGSNIHLANALIDMYSKCGDLDTAFDLFEGLQQRDVISWNVMIGGYTHMSYYKEALGLFRRMLRSNIEPSDVTFLSVLPACANLGALDLGKWIHAYIDKNFQNSTNISLWTSLIDMYAKCGSIEAAQQVFNGMEQKNLASWNAMISGLAMHGLADKALELFSQMMGGGLKPDDITFVGVLSACTHAGLLDLGRQYFSSMVQEYAISPDLQHYGCMVNLLGRAGLFDEAEALIQNMEMKPDGAIWGSLLGACRVHKRVELGESVAQRLLELEPDNPGAYVLLSNIYAGAGRWDDVARIRTLLNNKGMKKVPGCSSIEVDSVVHEFLVSDKVHPRCKEIYDMLNEVDTLLEKAGFVPDTSEVIRDMDEEWKEGALSHHSEKLAIAFGLISTKPGTTIRIVKNLRVCGNCHSATKLISKIFNREIIARDRNRFHHFKDGVIGANVVPNASTLVSVLSDCAQSGSLELGKQRDVISWNVVIGGYTHTSHYKETLGLFRRML >EOY31254 pep chromosome:Theobroma_cacao_20110822:9:7808085:7809928:-1 gene:TCM_038217 transcript:EOY31254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-related gene 1, putative MDSGVRTDEEPPILSVTNSLPQVPVIDLQKFFSEEFKDLELEQLQRACKEWGFFQLINHGVSTLLVEKVKLEIQEFFNLPMEEKNKFWQKPDDIEAFGQAFVVCEQQKLNWGDMFYMITLPTYLRKPHLFPKLPLSLRENFEAYSAELKNLAMKVLDLVAKALAMDPNDMQILKNGTWIPVKPLPNAFVINIGDIMEIVSNGIYRSIEHRATVNSVKERLSIATFYSPKLDGDMGPAPSLVTPQTPAVFRRIGVADYFNGYFSRELRGKAYVDVLRVQYEEINRN >EOY34511 pep chromosome:Theobroma_cacao_20110822:9:41330433:41334044:-1 gene:TCM_042163 transcript:EOY34511 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGPD14 isoform 1 MGCLHYRRRCKIRAPCCNEIFDCRHCHNEAKNSLECNPLEQHEIPRHEVEKVICSLCDTEQDVQQYCINCGVCMGKYFCAKCKFFDDNVSKNQYHCNECGICSAELEVRRTSFIATNVGCCYSKSMSDAHRCVERAMHHNCPVCFEFLFDTMEDITVLPCGHTMHLECLREMEQHYLYSCPVCSKSICDMSELWRKLDQEVWILCNDCGATSRVQFHIVAHKCLNCESYNTRQTRGGPAASCSSVIAEMVR >EOY34510 pep chromosome:Theobroma_cacao_20110822:9:41330519:41334340:-1 gene:TCM_042163 transcript:EOY34510 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGPD14 isoform 1 MDGGCETKLSTDELESVEFGNQNAALMEIGLGNHGCLHYRRRCKIRAPCCNEIFDCRHCHNEAKNSLECNPLEQHEIPRHEVEKVICSLCDTEQDVQQYCINCGVCMGKYFCAKCKFFDDNVSKNQYHCNECGICRTGGEENFFHCNKCGCCYSKSMSDAHRCVERAMHHNCPVCFEFLFDTMEDITVLPCGHTMHLECLREMEQHYLYSCPVCSKSICDMSELWRKLDQEIASTRMPAVYQNKMVWILCNDCGATSRVQFHIVAHKCLNCESYNTRQTRGGPAASCSSVIAEMVR >EOY34509 pep chromosome:Theobroma_cacao_20110822:9:41330559:41334105:-1 gene:TCM_042163 transcript:EOY34509 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGPD14 isoform 1 MDGGCETKLSTDELESVEFGNQNAALMEIGLGNHGCLHYRRRCKIRAPCCNEIFDCRHCHNEAKNSLECNPLEQHEIPRHEVEKVICSLCDTEQDVQQYCINCGVCMGKYFCAKCKFFDDNVSKNQYHCNECGICRTGGEENFFHCNKCGCCYSKSMSDAHRCVERAMHHNCPVCFEFLFDTMEDITVLPCGHTMHLECLREMEQHYLYSCPVCSKSICDMSELWRKLDQEIASTRMPAVYQNKMVWILCNDCGATSRVQFHIVAHKCLNCESYNTRQTRGGPAASCSSVIAEMVR >EOY34508 pep chromosome:Theobroma_cacao_20110822:9:41330433:41334340:-1 gene:TCM_042163 transcript:EOY34508 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGPD14 isoform 1 MDGGCETKLSTDELESVEFGNQNAALMEIGLGNHGCLHYRRRCKIRAPCCNEIFDCRHCHNEAKNSLECNPLEQHEIPRHEVEKVICSLCDTEQDVQQYCINCGVCMGKYFCAKCKFFDDNVSKNQYHCNECGICRTGGEENFFHCNKCGCCYSKSMSDAHRCVERAMHHNCPVCFEFLFDTMEDITVLPCGHTMHLECLREMEQHYLYSCPVCSKSICDMSELWRKLDQEIASTRMPAVYQNKMVWILCNDCGATSRVQFHIVAHKCLNCESYNTRQTRGGPAASCSSVIAEMVR >EOY29311 pep chromosome:Theobroma_cacao_20110822:9:714998:719581:-1 gene:TCM_036891 transcript:EOY29311 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein isoform 1 MAAKGKESEKKKEEERLKEKEKGKSRNIDNFMEELKHEQEMRERRNQEREHWRDGRHTDSSAPSSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGGSIILSGPSGPPVTSVPNQNSELVLTPNVPDIMVAPPEDSHVRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPPLPTTKSPEHEKDSTATYAAGRSRRVEPERTLTDPQRDEFEDMLRALTLERSLIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEATLPDIMESFNDLYRSVTGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRATFLRSGNSGVAPFHSICGDAPEIEKNTSSEDAGDGIKGNQDAALAMGKGAAMRELMDLPLAELERRCRHNGLSLVGGREIMVARLLSLEDAEKQRSYELDDDLKLAQSRSSSCRYSSGQRDINAEAEPVGLSGWTHYADNEIHSQRKGSVPLAETLPIPQPEIKAFLKKEKIDPVLPASKWSREDDDSDDEEKRSTRGLGLSYSSSGSENAGDGTSKADELEFGTDASIPAPSESAMNEEQRGKS >EOY29312 pep chromosome:Theobroma_cacao_20110822:9:714432:719581:-1 gene:TCM_036891 transcript:EOY29312 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein isoform 1 MAAKGKESEKKVNFVNEIMKEEERLKEKEKGKSRNIDNFMEELKHEQEMRERRNQEREHWRDGRHTDSSAPSSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGGSIILSGPSGPPVTSVPNQNSELVLTPNVPDIMVAPPEDSHVRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPPLPTTKSPEHEKDSTATYAAGRSRSAPVKNASAYRTKFEATLPDIMESFNDLYRSVTGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRATFLRSGNSGVAPFHSICGDAPEIEKNTSSEDAGDGIKGNQDAALAMGKGAAMRELMDLPLAELERRCRHNGLSLVGGREIMVARLLSLEDAEKQRSYELDDDLKLAQSRSSSCRYSSGQRDINAEAEPVGLSGWTHYADNEIHSQRKGSVPLAETLPIPQPEIKAFLKKEKIDPVLPASKWSREDDDSDDEEKRSTRGLGLSYSSSGSENAGDGTSKADELEFGTDASIPAPSESAMNEEQRQKLRRLEVALIEYRESLEERGIKSAEDIERRVAAHRKRLESEYGLSDSSEDISGRKRTSSERRERRDDAHDSSRKRHRSQSRSESPPRKSSNRDRDRENDSVNDREKHRDRDRDRSHDLESERGRERERDRREKSGSRERDDHDRDRGRERDRDRRRRIK >EOY29314 pep chromosome:Theobroma_cacao_20110822:9:714047:721856:-1 gene:TCM_036891 transcript:EOY29314 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein isoform 1 MAAKGKESEKKKEEERLKEKEKGKSRNIDNFMEELKHEQEMRERRNQEREHWRDGRHTDSSAPSSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGGSIILSGPSGPPVTSVPNQNSELVLTPNVPDIMVAPPEDSHVRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPPLPTTKSPEHEKDSTATYAAGRSRSAPVKNASAYRTKFEATLPDIMESFNDLYRSVTGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRATFLRSGNSGVAPFHSICGDAPEIEKNTSSEDAGDGIKGNQDAALAMGKGAAMRELMDLPLAELERRCRHNGLSLVGGREIMVARLLSLEDAEKQRSYELDDDLKLAQSRSSSCRYSSGQRDINAEAEPVGLSGWTHYADNEIHSQRKGSVPLAETLPIPQPEIKAFLKKEKIDPVLPASKWSREDDDSDDEEKRSTRGLGLSYSSSGSENAGDGTSKADELEFGTDASIPAPSESAMNEEQRQKLRRLEVALIEYRESLEERGIKSAEDIERRVAAHRKRLESEYGLSDSSEDISGRKRTSSERRERRDDAHDSSRKRHRSQSRSESPPRKSSNRDRDRENDSVNDREKHRDRDRDRSHDLESERGRERERDRREKSGSRERDDHDRDRGRERDRDRRRRIK >EOY29313 pep chromosome:Theobroma_cacao_20110822:9:714038:721879:-1 gene:TCM_036891 transcript:EOY29313 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein isoform 1 MAAKGKESEKKKEEERLKEKEKGKSRNIDNFMEELKHEQEMRERRNQEREHWRDGRHTDSSAPSSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGGSIILSGPSGPPVTSVPNQNSELVLTPNVPDIMVAPPEDSHVRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPPLPTTKSPEHEKDSTATYAAGRSRSAPVKNASAYRTKFEATLPDIMESFNDLYRSVTGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRATFLRSGNSGVAPFHSICGDAPEIEKNTSSEDAGDGIKGNQDAALAMGKGAAMRELMDLPLAELERRCRHNGLSLVGGREIMVARLLSLEDAEKQRSYELDDDLKLAQSRSSSCRYSSGQRDINAEAEPVGLSGWTHYADNEIHSQRKGSVPLAETLPIPQPEIKAFLKKEKIDPVLPASKWSREDDDSDDEEKRSTRGLGLSYSSSGSENAGDGTSKADELEFGTDASIPAPSESAMNEEQRQKLRRLEVALIEYRESLEERGIKSAEDIERRVAAHRKRLESEYGLSDSSEDISGRKRTSSERRERRDDAHDSSRKRHRSQSRSESPPRKSSNRDRDRENDSVNDREKHRDRDRDRSHDLESERGRERERDRREKSGSRERDDHDRDRGRERDRDRRRRIK >EOY29310 pep chromosome:Theobroma_cacao_20110822:9:713818:722363:-1 gene:TCM_036891 transcript:EOY29310 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein isoform 1 MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYEEFVASFQGENAPGSKAFVRGGTINPNERLKSDSEGEKSKDGVSVPKKGSRYVPSFIPPPMAAKGKESEKKKEEERLKEKEKGKSRNIDNFMEELKHEQEMRERRNQEREHWRDGRHTDSSAPSSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGGSIILSGPSGPPVTSVPNQNSELVLTPNVPDIMVAPPEDSHVRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFVLGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPPLPTTKSPEHEKDSTATYAAGRSRRVEPERTLTDPQRDEFEDMLRALTLERSLIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEATLPDIMESFNDLYRSVTGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRATFLRSGNSGVAPFHSICGDAPEIEKNTSSEDAGDGIKGNQDAALAMGKGAAMRELMDLPLAELERRCRHNGLSLVGGREIMVARLLSLEDAEKQRSYELDDDLKLAQSRSSSCRYSSGQRDINAEAEPVGLSGWTHYADNEIHSQRKGSVPLAETLPIPQPEIKAFLKKEKIDPVLPASKWSREDDDSDDEEKRSTRGLGLSYSSSGSENAGDGTSKADELEFGTDASIPAPSESAMNEEQRQKLRRLEVALIEYRESLEERGIKSAEDIERRVAAHRKRLESEYGLSDSSEDISGRKRTSSERRERRDDAHDSSRKRHRSQSRSESPPRKSSNRDRDRENDSVNDREKHRDRDRDRSHDLESERGRERERDRREKSGSRERDDHDRDRGRERDRDRRRRIK >EOY29806 pep chromosome:Theobroma_cacao_20110822:9:2438594:2443021:-1 gene:TCM_037229 transcript:EOY29806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) polymerase 1 isoform 3 MDTRSPNGSSPQQSQSLKKYGITKPISLAGPSEADVQRNTELEKFLIESGLYESKEEAVKREEVLGHINEIVKSWVKQLTRQRGYTDQMVEEANAVIFTFGSYCLGVHGPGADIDTLCIGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPDNLDISHGSVLHNVDEQTVRSLNGCRVADQILKLVPNVEHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSISTLRVMMEQFQCGNRICEEIELNKSQWNALFEPYLFFEAYKNYLQVDIVSAEADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFPHCAFFMGLQRKEGVSGQEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYKRPRSSRHPGQQTGKICEDITRSQSGSVERQIKRKHEDEAFDEKMDKPDKRSSISPQRLESVSPESSASRSGGTSHISDGQMVTLERPTTWDVDSNSVLRQSSGLLDSEKRNVGISIQQARTVDQGSLTLSGQTSLDVVHNLSVVRNVESAEQMGEPFLRQESHSPCEVPDSELRETCKTGVNQEKTGDYSSAYMNDAETGSSRRILNWKGGGVGVDQEVVKPCNQTAVVEIAESVFGSSSNAQNLNCEVSFSV >EOY29808 pep chromosome:Theobroma_cacao_20110822:9:2436987:2443482:-1 gene:TCM_037229 transcript:EOY29808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) polymerase 1 isoform 3 MTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSISTLRVMMEQFQCGNRICEEIELNKSQWNALFEPYLFFEAYKNYLQVDIVSAEADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFPHCAFFMGLQRKEGVSGQEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYKRPRSSRHPGQQTGKICEDITRSQSGSVERQIKRKHEDEAFDEKMDKPDKRSSISPQRLESVSPESSASRSGGTSHISDGQMVTLERPTTWDVDSNSVLRQSSGLLDSEKRNVGISIQQARTVDQGSLTLSGQTSLDVVHNLSVVRNVESAEQMGEPFLRQESHSPCEVPDSELRETCKTGVNQEKTGDYSSAYMNDAETGSSRRILNWKGGGVGVDQEVVKPCNQTAVVEIAESVFGSSSNAQNLNCEGVVCSADLDSLLENGHLNANGVFQNSLSEELKPNIALGKVVNSQDGARSETLQKPVMRYEPGFDWIGSIFGHVVESWVV >EOY29802 pep chromosome:Theobroma_cacao_20110822:9:2437670:2443021:-1 gene:TCM_037229 transcript:EOY29802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) polymerase 1 isoform 3 MDTRSPNGSSPQQSQSLKKYGITKPISLAGPSEADVQRNTELEKFLIESGLYESKEEAVKREEVLGHINEIVKSWVKQLTRQRGYTDQMVEEANAVIFTFGSYCLGVHGPGADIDTLCIGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPDNLDISHGSVLHNVDEQTVRSLNGCRVADQILKLVPNVEHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSISTLRVMMEQFQCGNRICEEIELNKSQWNALFEPYLFFEAYKNYLQVDIVSAEADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFPHCAFFMGLQRKEGVSGQEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYKRPRSSRHPGQQTGKICEDITRSQSGSVERQIKRKHEDEAFDEKMDKPDKRSSISPQRLESVSPESSASRSGGTSHISDGQMVTLERPTTWDVDSNSVLRQSSGLLDSEKRNVGISIQQARTVDQGSLTLSGQTSLDVVHNLSVVRNVESAEQMGEPFLRQESHSPCEVPDSELRETCKTGVNQEKTGDYSSAYMNDAETGSSRRILNWKGGGVGVDQEVVKPCNQTAVVEIAESVFGSSSNAQNLNCEGVVCSADLDSLLENGHLNANGVFQNSLSEELKPNIALGKVVNSQDGARSETLQKPVMSRLSLKSMA >EOY29807 pep chromosome:Theobroma_cacao_20110822:9:2437262:2441057:-1 gene:TCM_037229 transcript:EOY29807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) polymerase 1 isoform 3 MTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSISTLRVMMEQFQCGNRICEEIELNKSQWNALFEPYLFFEAYKNYLQVDIVSAEADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFPHCAFFMGLQRKEGVSGQEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYKRPRSSRHPGQQTGKICEDITRSQSGSVERQIKRKHEDEAFDEKMDKPDKRSSISPQRLESVSPESSASRSGGTSHISDGQMVTLERPTTWDVDSNSVLRQSSGLLDSEKRNVGISIQQARTVDQGSLTLSGQTSLDVVHNLSVVRNVESAEQMGEPFLRQESHSPCEVPDSELRETCKTGVNQEKTGDYSSAYMNDAETGSSRRILNWKGGGVGVDQEVVKPCNQTAVVEIAESVFGSSSNAQNLNCEGVVCSADLDSLLENGHLNANGVFQNSLSEELKPNIALGKVVNSQDGARSETLQKPVMRHVFLELLFCLCKL >EOY29805 pep chromosome:Theobroma_cacao_20110822:9:2437131:2443532:-1 gene:TCM_037229 transcript:EOY29805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) polymerase 1 isoform 3 MDTRSPNGSSPQQSQSLKKYGITKPISLAGPSEADVQRNTELEKFLIESGLYESKEEAVKREEVLGHINEIVKSWVKQLTRQRGYTDQMVEEANAVIFTFGSYCLGVHGPGADIDTLCIGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPDNLDISHGSVLHNVDEQTVRSLNGCRVADQILKLVPNVEHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSISTLRVMMEQFQCGNRICEEIELNKSQWNALFEPYLFFEAYKNYLQVDIVSAEADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFPHCAFFMGLQRKEGVSGQEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYKRPRSSRHPGQQTGKICEDITRSQSGSVERQIKRKHEDEAFDEKMDKPDKRSSISPQRLESVSPESSASRSGGTSHISDGQMVTLERPTTWDVDSNSVLRQSSGLLDSEKRNVGISIQQARTVDQGSLTLSGQTSLDVVHNLSVVRNVESAEQMGEPFLRQESHSPCEVPDSELRETCKTGVNQEKTGDYSSAYMNDAETGSSRRILNWKGGGVGVDQEVVKPCNQTAVVEIAESVFGSSSNAQNLNCEGVVCSADLDSLLENGHLNANGVFQNSLSEELKPNIALGKVVNSQDGARLSLKSMA >EOY29804 pep chromosome:Theobroma_cacao_20110822:9:2437131:2443532:-1 gene:TCM_037229 transcript:EOY29804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) polymerase 1 isoform 3 MDTRSPNGSSPQQSQSLKKYGITKPISLAGPSEADVQRNTELEKFLIESGLYESKEEAVKREEVLGHINEIVKSWVKQLTRQRGYTDQMVEEANAVIFTFGSYCLGVHGPGADIDTLCIGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPDNLDISHGSVLHNVDEQTVRSLNGCRVADQILKLVPNVEHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSISTLRVMMEQFQCGNRICEEIELNKSQWNALFEPYLFFEAYKNYLQVDIVSAEADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFPHCAFFMGLQRKEGVSGQEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYKRPRSSRHPGQQTGKICEDITRSQSGSVERQIKRKHEDEAFDEKMDKPDKRSSISPQRLESVSPESSASRSGGTSHISDGQMVTLERPTTWDVDSNSVLRQSSGLLDSEKRNVGISIQQARTVDQGSLTLSGQTSLDVVHNLSVVRNVESAEQMGEPFLRQESHSPCEVPDSELRETCKTGVNQEKTGDYSSAYMNDAETGSSRRILNWKGGGVGVDQEVVKPCNQTAVVEIAESVFGSSSNAQNLNCEGVVCSADLDSLLENGHLNANGVFQNSLSEELKPNIALGKVVNSQDGARSETLQKPVMR >EOY29803 pep chromosome:Theobroma_cacao_20110822:9:2437471:2443532:-1 gene:TCM_037229 transcript:EOY29803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) polymerase 1 isoform 3 MDTRSPNGSSPQQSQSLKKYGITKPISLAGPSEADVQRNTELEKFLIESGLYESKEEAVKREEVLGHINEIVKSWVKQLTRQRGYTDQMVEEANAVIFTFGSYCLGVHGPGADIDTLCIGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPDNLDISHGSVLHNVDEQTVRSLNGCRVADQILKLVPNVEHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSISTLRVMMEQFQCGNRICEEIELNKSQWNALFEPYLFFEAYKNYLQVDIVSAEADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFPHCAFFMGLQRKEGVSGQEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYKRPRSSRHPGQQTGKICEDITRSQSGSVERQIKRKHEDEAFDEKMDKPDKRSSISPQRLESVSPESSASRSGGTSHISDGQMVTLERPTTWDVDSNSVLRQSSGLLDSEKRNVGISIQQARTVDQGSLTLSGQTSLDVVHNLSVVRNVESAEQMGEPFLRQESHSPCEVPDSELRETCKTGVNQEKTGDYSSAYMNDAETGSSRRILNWKGGGVGVDQEVVKPCNQTAVVEIAESVFGSSSNAQNLNCEGVVCSADLDSLLENGHLNANGVFQNSLSEELKPNIALGKVVNSQDGARSETLQKPVMRLSLKSMA >EOY29801 pep chromosome:Theobroma_cacao_20110822:9:2437738:2443021:-1 gene:TCM_037229 transcript:EOY29801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) polymerase 1 isoform 3 MDTRSPNGSSPQQSQSLKKYGITKPISLAGPSEADVQRNTELEKFLIESGLYESKEEAVKREEVLGHINEIVKSWVKQLTRQRGYTDQMVEEANAVIFTFGSYCLGVHGPGADIDTLCIGPSYVNREEDFFIILHDILAEMEEVTELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPDNLDISHGSVLHNVDEQTVRSLNGCRVADQILKLVPNVEHFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSISTLRVMMEQFQCGNRICEEIELNKSQWNALFEPYLFFEAYKNYLQVDIVSAEADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFPHCAFFMGLQRKEGVSGQEGQQFDIRGTVDEFRQEISMYMYWKPGMDIYVSHVRRRQLPAFVFPDGYKRPRSSRHPGQQTGKICEDITRSQSGSVERQIKRKHEDEAFDEKMDKPDKRSSISPQRLESVSPESSASRSGGTSHISDGQMVTLERPTTWDVDSNSVLRQSSGLLDSEKRNVGISIQQARTVDQGSLTLSGQTSLDVVHNLSVVRNVESAEQMGEPFLRQESHSPCEVPDSELRETCKTGVNQEKTGDYSSAYMNDAETGSSRRILNWKGGGVGVDQEVVKPCNQTAVVEIAESVFGSSSNAQNLNCEGVVCSADLDSLLENGHLNANGVFQNSLSEELKPNIALGKVVNSQDGARSETLQKPVMRHVFLELLFCLCKL >EOY31595 pep chromosome:Theobroma_cacao_20110822:9:10293713:10297040:1 gene:TCM_038565 transcript:EOY31595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-strand-break repair protein rad21 MDFNSKPSPSEAYPETMDFLSREWCNFAVQALQPELHDQSIVVLDNPIKKFESETPMSFTKMEKSTKIDATDFKSSLPPWKSNDVKSWIWMQQAMHPELNYNSCFRKKWMPWKIVPFKGISIKKWLKEMKAKRKEEERLQRAEVHAAMSVAGLAAALAAIAAENSKREDCNPTKEAAVASAAALVAAQCAKVAEAMGAKKEQLGSLIGSAMSGNSASDILTLTAAANTSLRGAATLKSRTGCKNGLNGSAPVLPIKDSNDFPFEFEKCRSMLAKGAELGVETPDGKYTVRSVSIVLDGESKVILKLRKLSLLKSRKECIVLDLHAELYRDPEADETTDTCYLILLTTNLGTIKLDMVDDYQSYKTWATTINHMLMLSTSFTKYELQFYKN >EOY34143 pep chromosome:Theobroma_cacao_20110822:9:40045538:40046891:1 gene:TCM_041904 transcript:EOY34143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 59 isoform 2 MKMVQEEIRKGPWTEQEDILLVNFVHLFGDRRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGKMTPQEERLVLELHAKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQEKKRAMSPSSSSSTTTTVDSLPFSGNGKVSFYDTGGPNMIALAGKNSPEFEDEKGYSMDDIWKDIDLSEENTLKPLGDNYSEEGCNFSCPSMSSPSWEYCWDLPWKMDEEESKMFLPTNQFLSCFEYGTAPLTG >EOY34142 pep chromosome:Theobroma_cacao_20110822:9:40045557:40046938:1 gene:TCM_041904 transcript:EOY34142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 59 isoform 2 MKMVQEEIRKGPWTEQEDILLVNFVHLFGDRRWDFIAKVSGLKVWRETTDRTGKSCRLRWVNYLHPGLKRGKMTPQEERLVLELHAKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQEKKRAMSPSSSSSTTTTVDSLPFSGNGKVSFYDTGGPNMIALAGKNSPEFEDEKGYSMDDIWKDIDLSEENTLKPLGDNYSEEGCNFSCPSMSSPSWEYCWDLPWKMDEEESKMFLPTNQFLSCFEYGTAPLTG >EOY29937 pep chromosome:Theobroma_cacao_20110822:9:2859938:2861681:-1 gene:TCM_037314 transcript:EOY29937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFETMGRMIPLDGDPIIHSALPFSLLVAGMAATIAIITGLCGFRRKPSADSSAAPHLAEKSELDVPPSNNAAETTLVPPSVVTTSITLETEERTDNSEEVIKELPPPPAMRTLRETYSCNNFMTKSASSRKLSSTLSLKHKRSISVNKIREKGKSKAEESVWTKTIILGEKCRVSDDHDDAVIYDGKGNRVTTYHPRSLSTVSLSRTCSSRNPDTISNQDKEKEGA >EOY30350 pep chromosome:Theobroma_cacao_20110822:9:4306390:4309438:1 gene:TCM_037591 transcript:EOY30350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding, putative isoform 1 MVWFQCEDCGENLKKPKLANHFRICSASKLSCIDCGEIFGQQSVQGHTQCITEAEKYGPKGQGKAPNISNAKPNKETKEKPDIDINVGLSQRPPWFCSLCNTKATSQQTLLLHAEGKKHRAKARAFPAKQQPKRIEESAPDTKVSTENKVNGELVENKSVGEAKQQDLPKDGHVQINSEAANGDLSSKKKRKIDVSVRDGTDKNSGDDGLEEAGNGEVIQVGVEKTEDIKRKSKKPKHDIVKEDKAESASTKEDNKRKIKWKNLIKAALKASPDGVLKMRKLQKLVLKALQEAGVDEEKSQLSEMLEHKITSSSRFTVDNKYVRLVAKD >EOY30351 pep chromosome:Theobroma_cacao_20110822:9:4306691:4308767:1 gene:TCM_037591 transcript:EOY30351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc ion binding, putative isoform 1 KKPKLANHFRICSASKLSCIDCGEIFGQQSVQGHTQCITEAEKYGPKGQGKAPNISNAKPNKETKEKPDIDINVGLSQRPPWFCSLCNTKATSQQTLLLHAEGKKHRAKARAFPAKQQPKRIEESAPDTKVSTENKVNGELVENKSVGEAKQQDLPKDGHVQINSEAANGDLSSKKKRKIDVSVRDGTDKNSGDDGLEEAGNGEVIQVGVEKTEDIKRKSKKPKHDIVKEDKAESASTKEDNKRKIKWKNLIKAALKGWELSRGPKVLMEF >EOY33939 pep chromosome:Theobroma_cacao_20110822:9:39403161:39405306:-1 gene:TCM_041768 transcript:EOY33939 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MWEGNYVPHIIYLNCKQTKEEADREVRMAEADSSSKDNRWSLHGMTALVTGGTKGIGHAIVEELAGLGARIHTCSRTETELNKCLLEWQAKGFQVTGSACDVSSKAQGEKLINTASSVFNGKLDILINNVGTIVAKPISEETAEEVSFLMGTNFESAHNLSLLAHPLLKASGAGSIVLLSSIAGLTPVRTLPTYGATKGAMNQLAKHLACEWAGDNIRVNAVAPSLIRTPLAEPVFHDEKALEAFITKIPMGRAGEPKEVSSLVAFLCLPAASYITGQIIYVDGGITLNGLFFPSNIA >EOY33940 pep chromosome:Theobroma_cacao_20110822:9:39403488:39410211:-1 gene:TCM_041768 transcript:EOY33940 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MWEGNYVPHIIYLNCKQTKEEADREVRMAEADSSSKDNRWSLHGMTALVTGGTKGIGHAIVEELAGLGARIHTCSRTETELNKCLLEWQAKGFQVTGSACDVSSKAQGEKLINTASSVFNGKLDILINNVGTIVAKPISEETAEEVSFLMGTNFESAHNLSLLAHPLLKASGAGSIVLLSSIAGLTPVRTLPTYGATKGAMNQLAKHLACEWAGDNIRVNAVAPSLIRTPLAEPVFHDEKALEAFITKIPMGRAGEPKEVSSLVAFLCLPAASYITGQIIYVDGGITLNGLFFPSNIA >EOY31980 pep chromosome:Theobroma_cacao_20110822:9:18391630:18404167:1 gene:TCM_039347 transcript:EOY31980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 2 MLINSIQKPNFLLSKIIDLNNFAYASLLFSQIPQPNDYAFNVMIRGLTTTWQHYSTTLHFYYQMKFLGLKPNKFTYPFLFIACANLLELSHGQAAHSSVFRLGLDVDSHTTHSLITMYARCGELGSARRVFDEISERDLVSWNSMISGYSKMGYANEAVGLFGKMREEGFVPDEMTLVSVLGACGDLGDLSLGRWVEGFAIEHKIKLNSFIASALIGMYGKCGDFVSARGVFDGMEGKDVVTWNAMITGYAQNGMSDEAIKLFHGMKDAGVIPDKITLVGVLSACASIGALDLGKRIDTYASQRGLQRNIFVSTALVDMYAKCGSLDNAQRVFENMPVKNEVSWNAMISALAFHGRPQEALSLFERMSKEGRDACPNDVTFVGVLSACVHAGLVDEGWQYFELMNSSYGLTPKIEHCSCMVDLLARAGQLYEAWDFIEKMPEKPDEIVLGALLGACQKRKNVDVGERVMQLLLQMEPSNSGNYVISSKIYANSRRWDDSAKMRVLMRQRGVNKTPGCSWIEIEAQLHEFLAGDGLQYHSIKIHQVFDLLNAEMKREGYIPKVDCL >EOY31979 pep chromosome:Theobroma_cacao_20110822:9:18391540:18397344:1 gene:TCM_039347 transcript:EOY31979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 2 MLKTKASTDLVSLPIIILSKTQLHKFRQRSFTEKLLSFLKKCTSIKLLQQIHAQMLINSIQKPNFLLSKIIDLNNFAYASLLFSQIPQPNDYAFNVMIRGLTTTWQHYSTTLHFYYQMKFLGLKPNKFTYPFLFIACANLLELSHGQAAHSSVFRLGLDVDSHTTHSLITMYARCGELGSARRVFDEISERDLVSWNSMISGYSKMGYANEAVGLFGKMREEGFVPDEMTLVSVLGACGDLGDLSLGRWVEGFAIEHKIKLNSFIASALIGMYGKCGDFVSARGVFDGMEGKDVVTWNAMITGYAQNGMSDEAIKLFHGMKDAGVIPDKITLVGVLSACASIGALDLGKRIDTYASQRGLQRNIFVSTALVDMYAKCGSLDNAQRVFENMPVKNEVSWNAMISALAFHGRPQEALSLFERMSKEGRDACPNDVTFVGVLSACVHAGLVDEGWQYFELMNSSYGLTPKIEHCSCMVDLLARAGQLYEAWDFIEKMPEKPDEIVLGALLGACQKRKNVDVGERVMQLLLQMEPSNSGNYVISSKIYANSRRWDDSAKMRVLMRQRGVNKTPGCSWIEIEAQLHEFLAGDGLQYHSIKIHQVFDLLNAEMKREGYIPKVDCL >EOY31978 pep chromosome:Theobroma_cacao_20110822:9:18391630:18404167:1 gene:TCM_039347 transcript:EOY31978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 2 MLINSIQKPNFLLSKIIDLNNFAYASLLFSQIPQPNDYAFNVMIRGLTTTWQHYSTTLHFYYQMKFLGLKPNKFTYPFLFIACANLLELSHGQAAHSSVFRLGLDVDSHTTHSLITMYARCGELGSARRVFDEISERDLVSWNSMISGYSKMGYANEAVGLFGKMREEGFVPDEMTLVSVLGACGDLGDLSLGRWVEGFAIEHKIKLNSFIASALIGMYGKCGDFVSARGVFDGMEGKDVVTWNAMITGYAQNGMSDEAIKLFHGMKDAGVIPDKITLVGVLSACASIGALDLGKRIDTYASQRGLQRNIFVSTALVDMYAKCGSLDNAQRVFENMPVKNEVSWNAMISALAFHGRPQEALSLFERMSKEGRDACPNDVTFVGVLSACVHAGLVDEGWQYFELMNSSYGLTPKIEHCSCMVDLLARAGQLYEAWDFIEKMPEKPDEIVLGALLGACQKRKNVDVGERVMQLLLQMEPSNSGNYVISSKIYANSRRWDDSAKMRVLMRQRGVNKTPGCSWIEIEAQLHEFLAGDGLQYHSIKIHQVFDLLNAEMKREGYIPKVDCL >EOY31982 pep chromosome:Theobroma_cacao_20110822:9:18391540:18398242:1 gene:TCM_039347 transcript:EOY31982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 2 MLKTKASTDLVSLPIIILSKTQLHKFRQRSFTEKLLSFLKKCTSIKLLQQIHAQMLINSIQKPNFLLSKIIDLNNFAYASLLFSQIPQPNDYAFNVMIRGLTTTWQHYSTTLHFYYQMKFLGLKPNKFTYPFLFIACANLLELSHGQAAHSSVFRLGLDVDSHTTHSLITMYARCGELGSARRVFDEISERDLVSWNSMISGYSKMGYANEAVGLFGKMREEGFVPDEMTLVSVLGACGDLGDLSLGRWVEGFAIEHKIKLNSFIASALIGMYGKCGDFVSARGVFDGMEGKDVVTWNAMITGYAQNGMSDEAIKLFHGMKDAGVIPDKITLVGVLSACASIGALDLGKRIDTYASQRGLQRNIFVSTALVDMYAKCGSLDNAQRVFENMPVKNEVSWNAMISALAFHGRPQEALSLFERMSKEGRDACPNDVTFVGVLSACVHAGLVDEGWQYFELMNSSYGLTPKIEHCSCMVDLLARAGQLYEAWDFIEKMPEKPDEIVLGALLGACQKRKNVDVGERVMQLLLQMEPSNSGNYVISSKIYANSRRWDDSAKMRVLMRQRGVNKTPGCSWIEIEAQLHEFLAGDGLQYHSIKIHQVFDLLNAEMKREGYIPKVDCL >EOY31977 pep chromosome:Theobroma_cacao_20110822:9:18391630:18397591:1 gene:TCM_039347 transcript:EOY31977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 2 MLINSIQKPNFLLSKIIDLNNFAYASLLFSQIPQPNDYAFNVMIRGLTTTWQHYSTTLHFYYQMKFLGLKPNKFTYPFLFIACANLLELSHGQAAHSSVFRLGLDVDSHTTHSLITMYARCGELGSARRVFDEISERDLVSWNSMISGYSKMGYANEAVGLFGKMREEGFVPDEMTLVSVLGACGDLGDLSLGRWVEGFAIEHKIKLNSFIASALIGMYGKCGDFVSARGVFDGMEGKDVVTWNAMITGYAQNGMSDEAIKLFHGMKDAGVIPDKITLVGVLSACASIGALDLGKRIDTYASQRGLQRNIFVSTALVDMYAKCGSLDNAQRVFENMPVKNEVSWNAMISALAFHGRPQEALSLFERMSKEGRDACPNDVTFVGVLSACVHAGLVDEGWQYFELMNSSYGLTPKIEHCSCMVDLLARAGQLYEAWDFIEKMPEKPDEIVLGALLGACQKRKNVDVGERVMQLLLQMEPSNSGNYVISSKIYANSRRWDDSAKMRVLMRQRGVNKTPGCSWIEIEAQLHEFLAGDGLQYHSIKIHQVFDLLNAEMKREGYIPKVDCL >EOY31981 pep chromosome:Theobroma_cacao_20110822:9:18391630:18397591:1 gene:TCM_039347 transcript:EOY31981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 2 MLINSIQKPNFLLSKIIDLNNFAYASLLFSQIPQPNDYAFNVMIRGLTTTWQHYSTTLHFYYQMKFLGLKPNKFTYPFLFIACANLLELSHGQAAHSSVFRLGLDVDSHTTHSLITMYARCGELGSARRVFDEISERDLVSWNSMISGYSKMGYANEAVGLFGKMREEGFVPDEMTLVSVLGACGDLGDLSLGRWVEGFAIEHKIKLNSFIASALIGMYGKCGDFVSARGVFDGMEGKDVVTWNAMITGYAQNGMSDEAIKLFHGMKDAGVIPDKITLVGVLSACASIGALDLGKRIDTYASQRGLQRNIFVSTALVDMYAKCGSLDNAQRVFENMPVKNEVSWNAMISALAFHGRPQEALSLFERMSKEGRDACPNDVTFVGVLSACVHAGLVDEGWQYFELMNSSYGLTPKIEHCSCMVDLLARAGQLYEAWDFIEKMPEKPDEIVLGALLGACQKRKNVDVGERVMQLLLQMEPSNSGNYVISSKIYANSRRWDDSAKMRVLMRQRGVNKTPGCSWIEIEAQLHEFLAGDGLQYHSIKIHQVFDLLNAEMKREGYIPKVDCL >EOY31976 pep chromosome:Theobroma_cacao_20110822:9:18386425:18397817:1 gene:TCM_039347 transcript:EOY31976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 2 MTKLPLIRDIAYTSLYESIKVKVSHFNGEVTTRSRHTMLKTKASTDLVSLPIIILSKTQLHKFRQRSFTEKLLSFLKKCTSIKLLQQIHAQMLINSIQKPNFLLSKIIDLNNFAYASLLFSQIPQPNDYAFNVMIRGLTTTWQHYSTTLHFYYQMKFLGLKPNKFTYPFLFIACANLLELSHGQAAHSSVFRLGLDVDSHTTHSLITMYARCGELGSARRVFDEISERDLVSWNSMISGYSKMGYANEAVGLFGKMREEGFVPDEMTLVSVLGACGDLGDLSLGRWVEGFAIEHKIKLNSFIASALIGMYGKCGDFVSARGVFDGMEGKDVVTWNAMITGYAQNGMSDEAIKLFHGMKDAGVIPDKITLVGVLSACASIGALDLGKRIDTYASQRGLQRNIFVSTALVDMYAKCGSLDNAQRVFENMPVKNEVSWNAMISALAFHGRPQEALSLFERMSKEGRDACPNDVTFVGVLSACVHAGLVDEGWQYFELMNSSYGLTPKIEHCSCMVDLLARAGQLYEAWDFIEKMPEKPDEIVLGALLGACQKRKNVDVGERVMQLLLQMEPSNSGNYVISSKIYANSRRWDDSAKMRVLMRQRGVNKTPGCSWIEIEAQLHEFLAGDGLQYHSIKIHQVFDLLNAEMKREGYIPKVDCL >EOY34348 pep chromosome:Theobroma_cacao_20110822:9:40746822:40750771:-1 gene:TCM_042047 transcript:EOY34348 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 1-3 isoform 5 MTSFRCFLVFSLISLLLFSTLPSSFSKTTTKNDDVEDDEDLRFLEETEGKSDAASRSHFNEEDDDHYSDFDDDDFGNYQDFDDSDSDPYKEPEVDDKDVVVLKGGNFSDFIEKNKFVMVEFYAPWCGHCQALAPEYAAAATELKGEGVVLAKVDATEENELSQEYDVQGFPTVYFFVDGEHKPYPGARNKEAIVTWIKKKIGPGIYNVTTLDDAERILTSETKVALGYLNSLVGPESEELAAASRLQDDVNFYQTVNPDVAKLFHIDPQVKRPALVLLKKEAEKISHFDGQFVKTAISEFIFSNKLPLVTIFTRESAPSIFESSIKKQLLLFATSNISEKVIPVFQEAAKLFKGKLIFVYVQVDNEDFGTPVADYFGVSGDGPKILGYTGNDDGRKFVLDGDVTFDKIKAFGDDFLEDKLKPFFKSDPIPETNDEDVKVVVGNNFDEIVLDESKDVLLEVLLLPNLEKKKSLFKSLFKWAYSVECSEFQIYAPWCGHCQAFEPTYNKLAKHLRGIDSLVIAKMDGTTNEHPRAKSDGFPTILFFPAGNKSFDPSK >EOY34347 pep chromosome:Theobroma_cacao_20110822:9:40746822:40750771:-1 gene:TCM_042047 transcript:EOY34347 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 1-3 isoform 5 MTSFRCFLVFSLISLLLFSTLPSSFSKTTTKNDDVEDDEDLRFLEETEGKSDAASRSHFNEEDDDHYSDFDDDDFGNYQDFDDSDSDPYKEPEVDDKDVVVLKGGNFSDFIEKNKFVMVEFYAPWCGHCQALAPEYAAAATELKGEGVVLAKVDATEENELSQEYDVQGFPTVYFFVDGEHKPYPGARNKEAIVTWIKKKIGPGIYNVTTLDDAERILTSETKVALGYLNSLVGPESEELAAASRLQDDVNFYQTVNPDVAKLFHIDPQVKRPALVLLKKEAEKISHFDGQFVKTAISEFIFSNKLPLVTIFTRESAPSIFESSIKKQLLLFATSNISEKVIPVFQEAAKLFKGKLIFVYVQVDNEDFGTPVADYFGVSGDGPKILGYTGNDDGRKFVLDGDVTFDKIKAFGDDFLEDKLKPFFKSDPIPETNDEDVKVVVGNNFDEIVLDESKDVLLEIYAPWCGHCQAFEPTYNKLAKHLRGIDSLVIAKMDGTTNEHPRAKVRLKSICTSIC >EOY34350 pep chromosome:Theobroma_cacao_20110822:9:40746822:40750771:-1 gene:TCM_042047 transcript:EOY34350 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 1-3 isoform 5 MTSFRCFLVFSLISLLLFSTLPSSFSKTTTKNDDVEDDEDLRFLEETEGKSDAASRSHFNEEDDDHYSDFDDDDFGNYQDFDDSDSDPYKEPEVDDKDVVVLKGGNFSDFIEKNKFVMVEFYAPWCGHCQALAPEYAAAATELKGEGVVLAKVDATEENELSQEYDVQGFPTVYFFVDGEHKPYPGARNKEAIVTWIKKKIGPGIYNVTTLDDAERILTSETKVALGYLNSLVGPESEELAAASRLQDDVNFYQTVNPDVAKLFHIDPQVKRPALVLLKKEAEKISHFDGQFVKTAISEFIFSNKLPLVTIFTRESAPSIFESSIKKQLLLFATSNISEKVIPVFQEAAKLFKGKLIFVYVQVDNEDFGTPVADYFGVSGDGPKILGYTGNDDGRKFVLDGDVTFDKIKVVFLSLSPSPFLCLSVSHWLK >EOY34349 pep chromosome:Theobroma_cacao_20110822:9:40747936:40750771:-1 gene:TCM_042047 transcript:EOY34349 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 1-3 isoform 5 MTSFRCFLVFSLISLLLFSTLPSSFSKTTTKNDDVEDDEDLRFLEETEGKSDAASRSHFNEEDDDHYSDFDDDDFGNYQDFDDSDSDPYKEPEVDDKDVVVLKGGNFSDFIEKNKFVMVEFYAPWCGHCQALAPEYAAAATELKGEGVVLAKVDATEENELSQEYDVQGFPTVYFFVDGEHKPYPGARNKEAIVTWIKKKIGPGIYNVTTLDDAERILTSETKVALGYLNSLVGPESEELAAASRLQDDVNFYQTVNPDVAKLFHIDPQVKRPALVLLKKEAEKISHFDGQFVKTAISEFIFSNKLPLVTIFTRESAPSIFESSIKKQLLLFATSNISEKVIPVFQEAAKLFKGKLIFVYVQVDNEDFGTPVADYFGVSGDGPKILGYTGNDDGRKFVLDGDVTFDKIKAFGDDFLEDKLKPFFKSDPIPETNDEDVKVVV >EOY34346 pep chromosome:Theobroma_cacao_20110822:9:40746429:40750780:-1 gene:TCM_042047 transcript:EOY34346 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 1-3 isoform 5 MTSFRCFLVFSLISLLLFSTLPSSFSKTTTKNDDVEDDEDLRFLEETEGKSDAASRSHFNEEDDDHYSDFDDDDFGNYQDFDDSDSDPYKEPEVDDKDVVVLKGGNFSDFIEKNKFVMVEFYAPWCGHCQALAPEYAAAATELKGEGVVLAKVDATEENELSQEYDVQGFPTVYFFVDGEHKPYPGARNKEAIVTWIKKKIGPGIYNVTTLDDAERILTSETKVALGYLNSLVGPESEELAAASRLQDDVNFYQTVNPDVAKLFHIDPQVKRPALVLLKKEAEKISHFDGQFVKTAISEFIFSNKLPLVTIFTRESAPSIFESSIKKQLLLFATSNISEKVIPVFQEAAKLFKGKLIFVYVQVDNEDFGTPVADYFGVSGDGPKILGYTGNDDGRKFVLDGDVTFDKIKAFGDDFLEDKLKPFFKSDPIPETNDEDVKVVVGNNFDEIVLDESKDVLLEIYAPWCGHCQAFEPTYNKLAKHLRGIDSLVIAKMDGTTNEHPRAKSDGFPTILFFPAGNKSFDPITVDTDRTVVALYKFLKKNASIPFKLQKPASPPKAEPTLSPETKSPDSKESDKSSSADVKDEL >EOY31661 pep chromosome:Theobroma_cacao_20110822:9:10987655:10989640:-1 gene:TCM_038644 transcript:EOY31661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein, putative MLYVPDLVKSEQDQASYFEEGLRNEIRERMIVIGREPHKEVQPKKGKDSMASGSTTSAPITSSRPLVSQTQQRPPRFSRSEITTSEKSSGGSDKCRHCGKYHIRLFVPSPSARTNIQRKDSTEVQPRQGVTIQSDVESNIPAYPHPRPQTRTSTRVFAIAKDEARVQPRENE >EOY34048 pep chromosome:Theobroma_cacao_20110822:9:39792128:39798652:-1 gene:TCM_041850 transcript:EOY34048 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein kinase family protein isoform 3 MELLNPAHPPHQDPNRDPISGLSFRRQVDRPGSTQLPEIAEEAGGVGAGEKDKVFVAVGKSVEKAVNLLRWTLRRFGGKDICLLHVHQPSPLIPTLLGKLPASQANGEVVSAYRREEKEELRKLLEHYSSFCGKLKVKTSIITIEADQVHKGIVELVNRHTIRNLVMGAIPENCMRIKKNSSKACYAARNAPCFCDIWFVNKGKLVWMREASEEPSSLPPVGQAKAAIAQMLRSNSLPHTKGDSLVHPENIHSKSSRSIPFVGTTQLTETEPAHMDVSVSPTLSSFATKFSRPYYQSLSSPSCTNTGSECASSETRWSLDSYSKDEEESLYSWLREVSMEAEASRNGALAESLKCQELESEAMEAINKLKDFESARFREVKLRKEAEEALRTTLQEQEKLVNEKQEVTIELQKTMRNVALLNSRAQEANRRHDEVAGELKLIQASIATLRQEKQRIRQQKMEAVRWLERWRSHGQAGVTTCNGFIGLVEDFPELAEFSLADVQTATCNFSESFKIGKGGHGCVYKGEMLGRTVAIKKLYPHNMQGQSEFQQEAQLLSKLQHPHLVTLLGVCPEAWSLVYEYLPNGSLQGRLFRKTSVSPLTWKTRARIVAEISSALCFLHSTKPEKIVHGDLKPENILLDSELSCKICDFGISRLVTEDTLYCPSFRRSTEPKGAFPYSDPEFQRIGVLTPKSDIYAFGLIILQILTGRPPVGLVGEVRKAVSCGKLASILDTSAGEWPMFVARRLADLGLQCCELCGRDRPDLKPSLVRELGQLHVSVERPVPSFFLCPILQGNNA >EOY34050 pep chromosome:Theobroma_cacao_20110822:9:39794879:39798760:-1 gene:TCM_041850 transcript:EOY34050 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein kinase family protein isoform 3 MELLNPAHPPHQDPNRDPISGLSFRRQVDRPGSTQLPEIAEEAGGVGAGEKDKVFVAVGKSVEKAVNLLRWTLRRFGGKDICLLHVHQPSPLIPTLLGKLPASQANGEVVSAYRREEKEELRKLLEHYSSFCGKLKVKTSIITIEADQVHKGIVELVNRHTIRNLVMGAIPENCMRIKKNSSKACYAARNAPCFCDIWFVNKGKLVWMREASEEPSSLPPVGQAKAAIAQMLRSNSLPHTKGDSLVHPENIHSKSSRSIPFVGTTQLTETEPAHMDVSVSPTLSSFATKFSRPYYQSLSSPSCTNTGSECASSETRWSLDSYSKDEEESLYSWLREVSMEAEASRNGALAESLKCQELESEAMEAINKLKDFESARFREVKLRKEAEEALRTTLQEQEKLVNEKQEVTIELQKTMRNVALLNSRAQEANRRHDEVAGELKLIQASIATLRQEKQRIRQQKMEAVRWLERWRSHGQAGVTTCNGFIGLVEDFPELAEFSLADVQTATCNFSESFKIGKGGHGCVYKGEMLGRTVAIKKLYPHNMQGQSEFQQEVGMSLYVVVFPGTIKTCFY >EOY34049 pep chromosome:Theobroma_cacao_20110822:9:39792125:39798652:-1 gene:TCM_041850 transcript:EOY34049 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein kinase family protein isoform 3 MELLNPAHPPHQDPNRDPISGLSFRRQVDRPGSTQLPEIAEEAGGVGAGEKDKVFVAVGKSVEKAVNLLRWTLRRFGGKDICLLHVHQPSPLIPTLLGKLPASQANGEVVSAYRREEKEELRKLLEHYSSFCGKLKVKTSIITIEADQVHKGIVELVNRHTIRNLVMGAIPENCMRIKKNSSKACYAARNAPCFCDIWFVNKGKLVWMREASEEPSSLPPVGQAKAAIAQMLRSNSLPHTKGDSLVHPENIHSKSSRSIPFVGTTQLTETEPAHMDVSVSPTLSSFATKFSRPYYQSLSSPSCTNTGSECASSETRWSLDSYSKDEEESLYSWLREVSMEAEASRNGALAESLKCQELESEAMEAINKLKDFESARFREVKLRKEAEEALRTTLQEQEKLVNEKQEVTIELQKTMRNVALLNSRAQEANRRHDEVAGELKLIQASIATLRQEKQRIRQQKMEAVRWLERWRSHGQAGVTTCNGFIGLVEDFPELAEFSLADVQTATCNFSESFKIGKGGHGCVYKGEMLGRTVAIKKLYPHNMQGQSEFQQEAQLLSKLQHPHLVTLLGVCPEAWSLVYEYLPNGSLQGRLFRKTSVSPLTWKTRARIVAEISSALCFLHSTKPEKIVHGDLKPENILLDSELSCKICDFGISRLVTEDTLYCPSFRRSTEPKGAFPYSDPEFQRIGVLTPKSDIYAFGLIILQILTGRPPVGLVGEVRKAVSCGKLASILDTSAGEWPMFVARRLADLGLQCCELCGRDRPDLKPSLVRELGQLHVSVERPVPSFFLCPILQEIMHDPQVAADGFTYEGEALRDWLENGRESSPMTNLKLSHLNLTPNHAIRQAIQDWLCRA >EOY33542 pep chromosome:Theobroma_cacao_20110822:9:37968596:37971461:-1 gene:TCM_041491 transcript:EOY33542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDIQQQNSSALMLPCLAQGHISPYLELAKRLSSRNFNIYFCSTPINLELIRKNVKGESSLIQFIDLHLPSLLELPPHHHTTRDLPPHLFPSLVAAFDAAKPTFCNVLRTLKPNLVIHDFLQPGAAAAANEQDVRAVMSIATGATGSSSAAHYVKNPTMEHAIQEAEFKKSLQWFDGTNNGITNKHSFLECLGRSPNMVLITSSRIIEASYIDYMSVLLGKQVEIITFVPLKPHRNAVQRDLNTRRNSTLEGMIYGVPIIAMPMKHDQLFNAKLVTELGVGMKVPRENGKLKSEEIVSIINEVMVQEVGKELKARATDLDLAKIYKARYVAYLCELVGEEMNPVGNLVQESAYGDDDAAVMLWLNKNKPPWVAYISFGSKLMMPYQMFL >EOY32656 pep chromosome:Theobroma_cacao_20110822:9:32540544:32547628:-1 gene:TCM_040678 transcript:EOY32656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex 1B isoform 2 MATETPKKQLQSPRKPKQSLNNLKVQSSPLSISLSPQTPQSLIPPQAPPRRSLRRTSLHFSSTPIEDSIEYEKSDPKTPRKAVEEIGKISGQSRKGQSHEERVKTPKTPKIPKSKRNDENRKTAKLGILGEGMVEAEVTFSSVTPEVLETKKRKRGEDKTVIPRAMATRSSKKKEKKRVYYKKVVYDEGEFDVGDDVYVKRREDASSDDEVPEMEECRVCFRAGRSVMIECDDCLGGFHLKCLKPPLKEVPEGDWVCGFCQAQKLGKYVEFPEPPEGKKRVRTLREKLLASDLWAARIESLWKEVDGSFWFRGRWYMIPEETACGRQPHNLRRELYRTNDCADIEMESIIRHCNVMSPKEYAKANDEGDDVFLCEYEYDIHWHSFKRIAEIDNDEADDEHADSDEDWNSSKEPDSGTDEDMEYEEESTRNAQARLSTAHLAANSRKGHFFGLQKIGTKKIPEHVRCHKQTVLERAKATLLLATLPKSLPCRNKEMEEIMAFVKGAICDDRCLGRCLYIHGVPGTGKTMSVLAVMRNLKSEVDAGSIRPYCFVEVNGLKLASPENIYRVIYEALTGHRVSWKKALQLLNERFSDGKKIGKEDDRPCILLIDELDLLVTRNQSVLYNILDWPTKPHSKLIVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYQQLQEIISSRLKGIDAFEKQAVEFASRKVAAISGDARRALEICRRAADIADYRIKKQISTVNSSTGKDIVTMAEVDAAIQEMFQAPHVQVMKSCSKLSKIFLTAMVYELYKTGMGETTFEKVDT >EOY32655 pep chromosome:Theobroma_cacao_20110822:9:32538231:32547714:-1 gene:TCM_040678 transcript:EOY32655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex 1B isoform 2 MATETPKKQLQSPRKPKQSLNNLKVQSSPLSISLSPQTPQSLIPPQAPPRRSLRRTSLHFSSTPIEDSIEYEKSDPKTPRKAVEEIGKISGQSRKGQSHEERVKTPKTPKIPKSKRNDENRKTAKLGILGEGMVEAEVTFSSVTPEVLETKKRKRGEDKTVIPRAMATRSSKKKEKKRVYYKKVVYDEGEFDVGDDVYVKRREDASSDDEVPEMEECRVCFRAGRSVMIECDDCLGGFHLKCLKPPLKEVPEGDWVCGFCQAQKLGKYVEFPEPPEGKKRVRTLREKLLASDLWAARIESLWKEVDGSFWFRGRWYMIPEETACGRQPHNLRRELYRTNDCADIEMESIIRHCNVMSPKEYAKANDEGDDVFLCEYEYDIHWHSFKRIAEIDNDEADDEHADSDEDWNSSKEPDSGTDEDMEYEEESTRNAQARLSTAHLAANSRKGHFFGLQKIGTKKIPEHVRCHKQTVLERAKATLLLATLPKSLPCRNKEMEEIMAFVKGAICDDRCLGRCLYIHGVPGTGKTMSVLAVMRNLKSEVDAGSIRPYCFVEVNGLKLASPENIYRVIYEALTGHRVSWKKALQLLNERFSDGKKIGKEDDRPCILLIDELDLLVTRNQSVLYNILDWPTKPHSKLIVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYQQLQEIISSRLKGIDAFEKQAVEFASRKVAAISGDARRALEICRRAADIADYRIKKQISTVNSSTGKDIVTMAEVDAAIQEMFQAPHVQVMKSCSKLSKIFLTAMVYELYKTGMGETTFEKLAMTISCLCTSNGEAFPGWDTILKVGCKLGECRIILCEPGDRHRLQKLQLNFPSDDVAFALKDTKDLPWLAKYL >EOY32244 pep chromosome:Theobroma_cacao_20110822:9:24195412:24197797:1 gene:TCM_039871 transcript:EOY32244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAKAVVSSTTLALRIFAFLSLLGSLVTLVTDSFIFGEDHKITFKDITTYRYVFSATAIGVLFTLLQIPFAIYHVCWVKRMILPELLLNFDFYADKSMELKKFLRGFLDSLIVLGVPEAENLKSEASKFLDKGNLATSLLLIGFVCVAVLSVLSSAIRRENRRGFIGGDSSN >EOY34077 pep chromosome:Theobroma_cacao_20110822:9:39877873:39880297:1 gene:TCM_041867 transcript:EOY34077 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein isoform 1 MGEDLLTSLSIENHHPSTVLSMDSSSVSHEELDREMNRSIALSRPPDINLIPLSAERSPPQSWNSDPSDMLDVGLGTQVSEADTLVTVSKSGRKCAKRLDSVWGAWFFFSYYFKPVFNEKSKVKVTRDGNGVSGFDKSDLELEVFLVQHDMENMYMWAFKERPENSLGKMQLRSYMNGHSRQGERPFPFSADKGFVRSHRMQRKHYRGLSNPQCVHGIEVVPSPNLKGLDEEEQKRWMELTGRELNFSVPPEASEFCTWRNLTNTEFELDRPLPPLKTHPQPHPKKLLNGSGLNLSTRPSNHANGGVMDLSPVSNKRKKDLFLNGNDEDCCLLINQHNDRVNDTEMHPIEPPWLNEFSGVMRNVYGPVTAAKTIYEDEQGYLIIISLPFADLKRVKVTWWNNLTHGVVKISSISTTCMPFIMRNDRTFKLTDPSPEHCPPGEFIREIALPTRIPDDAKLEAYGDETGTGLEIIVPKHRTGPEEHEVRICLRPHRAANELLLS >EOY34078 pep chromosome:Theobroma_cacao_20110822:9:39878040:39880190:1 gene:TCM_041867 transcript:EOY34078 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein isoform 1 MGEDLLTSLSIENHHPSTVLSMDSSSVSHEELDREMNRSIALSRPPDINLIPLSAERSPPQSWNSDPSDMLDVGLGTQVSEADTLVTVSKSGRKCAKRLDSVWGAWFFFSYYFKPVFNEKSKVKVTRDGNGVSGFDKSDLELEVFLVQHDMENMYMWAFKERPENSLGKMQLRSYMNGHSRQGERPFPFSADKGFVRSHRMQRKHYRGLSNPQCVHGIEVVPSPNLKGLDEEEQKRWMELTGRELNFSVPPEASEFCTWRNLTNTEFELDRPLPPLKTHPQPHPKKLLNGSGLNLSTRPSNHANGGVMDLSPVSNKRKKDLFLNGNDEDCCLLINQHNDRVNDTEMHPIEPPWLNEFSGVMRNVYGPVTAAKTIYEDEQGYLIIISLPFADLKRVKVTWWNNLTHGVVKISSISTTCMPFIMRNDRTFKLTDPSPEHCPPGEFIREIALPTRIPDDAKLEAYGDETGTGLEIIVPKHRTGPE >EOY33830 pep chromosome:Theobroma_cacao_20110822:9:39117365:39118476:-1 gene:TCM_041692 transcript:EOY33830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALFDQPLGLSLIWSWGMLKKPVAAIISILIFRNPLSNGDAPMLSHSSWGLFSTMKPRNAVCKAISREYRHNSSIIMS >EOY30815 pep chromosome:Theobroma_cacao_20110822:9:5903556:5908692:1 gene:TCM_037895 transcript:EOY30815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTITTLNVRRERERERERNEGGIQGNHGCNTGNPVCLAIVLGLILVLLAELYCSLLLRRRQIKESTSDATISDTATAAAAATPTNTTSFRSPQGHNQDHQSTSPLSSFYAQGVLHAPRNFLFPSLPCKQKKKLEKENHLTLLHQVLEVHPQESNTSPHQIGILSPTSPTTSFATTSPQPVQEISIQVGTGSTTISTCNEKACGAPGAENFVYISNPIYDNDAAGRPSRADTPFETPDTSPSRLESSGSSVDDDEKAQTSDPVRVLYSPPMTPPLSPMKKLPAQACSVSLTDARSLGTSASDSNSNNGLSSSSSGSPCTSPSW >EOY29342 pep chromosome:Theobroma_cacao_20110822:9:807209:817955:1 gene:TCM_036911 transcript:EOY29342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 protein isoform 3 MALFRKFFYRKPPDGLLEISERVYVFDCCFSKDIWEDDEYKTYIGGIVGQLRDHFPDASFMVFNFREGEYQSQIASILSEYDMTVMDYPRQYEGCPLLTMEMVHHFLRSSESWLSLGQQNVILMHCERGGWPVLAFMLAALLIYRKQFTGEQKTLDMTYKQAPRELLQLMSPLNPLPSQLRYLQYVSRRNLGSEWPPADRALTLDCIIIRFIPNMDGEGGCRPIFRIYGQDPFMAADRTPKVLFSTPKKSKLVRYYKQVDCELVKIDIHCHVLGDVVLECISLDSDQARESMMFRVMFNTAFIRSNILMLNLEEIDILWNAKDQFPKDFRAEVIFSEMDVATSVMSIDLPGIEEKDGLPMEAFAKVQEIFSNVDWPSPKRDVANMLQHISVSNILQENSETGISKRMERASVLHDDEVKEKSNVQASEHMITSPTSAALEKQSTFSVKPSLDANSTRKKFEPQEIQVAPRQPAQSIPSSGSSSDPSPMEGLTELHELQVAPQEPKHSKTSNKPSPEANSIRRKVETQELQIALQQPAQSISSFKPTPNVNSIRKKIEPQELQVALQRPAQPKIISQRVPQSSISVPVSYCNSLQGSPVPISRYHSAPSALGITALLHDHVVSKSEECIHPVTLPLSSSAISSPLPNTPKPLQPSLAITTPTASPGTQSSSEAPLITKASSSLSALETLKATHTEHPGTTSLARGRSSFVPPPSPLPSLSGASFEMEKSNSTSFPSSLPPSSLEVSPSPAAKKFQSPPPPPPPPPSSFSGASPSPLVTNSLQSPPPPPTPPPFASKSPPMVKNSLQSLPLSPPLPSSSSASLSATVENLFQSPPPPRPPPSFSGISPSSTIKNSCPAPPPPPPPAIASSATCSISSPSQSRTSEPPPPPPPPLHSGSVSDPTSKASVPQPPPPPSLAPKGPSSNKAAHVPPVPPPPAPCAKGLSKAGGTSPQSHSVSNGNIPSIPGPPSGAPLNLKGRGISRIGSKTQASRKTNLKPYHWLKLTRAMQGSLWAEAQKPEEASKAPEFDMSELESLFSAAAPNSDNSIRDGKANRCASGRKSEKVQLIELRRAYNCEIMLTKVKIPLPDLMSSVLALDDTALDADQVENLIKFCPTKEEMELLKGYNGDKEKLGKCEQFFLELMKVPRVESKLRVFSFKIQFCSQVSDLRNSLNIVNSAAEEVRNSVKLKRIMQTILSLGNALNHGTARGSAIGFRLDSLLKLTDTRARNNKMTLMHYLCKVLVEKLPELLDFPKDLVTLESSTKIQLKCLAEEMQAISKGLEKVVQELTASENDGPVSETFCRTLKEFLSFAEGEVRSLASLYSCVGRNADALALYFGEDPARCPFEQVVSTLLNFVRMFVRAHKENSKQLELEKKKALKEAENGKLKISTPPKESEHLIRSPSKSANVQ >EOY29343 pep chromosome:Theobroma_cacao_20110822:9:809715:817865:1 gene:TCM_036911 transcript:EOY29343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 protein isoform 3 MMFRVMFNTAFIRSNILMLNLEEIDILWNAKDQFPKDFRAEVIFSEMDVATSVMSIDLPGIEEKDGLPMEAFAKVQEIFSNVDWPSPKRDVANMLQHISVSNILQENSETGISKRMERASVLHDDEVKEKSNVQASEHMITSPTSAALEKQSTFSVKPSLDANSTRKKFEPQEIQVAPRQPAQSIPSSGSSSDPSPMEGLTELHELQVAPQEPKHSKTSNKPSPEANSIRRKVETQELQIALQQPAQSISSFKPTPNVNSIRKKIEPQELQVALQRPAQPKIISQRVPQSSISVPVSYCNSLQGSPVPISRYHSAPSALGITALLHDHVVSKSEECIHPVTLPLSSSAISSPLPNTPKPLQPSLAITTPTASPGTQSSSEAPLITKASSSLSALETLKATHTEHPGTTSLARGRSSFVPPPSPLPSLSGASFEMEKSNSTSFPSSLPPSSLEVSPSPAAKKFQSPPPPPPPPPSSFSGASPSPLVTNSLQSPPPPPTPPPFASKSPPMVKNSLQSLPLSPPLPSSSSASLSATVENLFQSPPPPRPPPSFSGISPSSTIKNSCPAPPPPPPPAIASSATCSISSPSQSRTSEPPPPPPPPLHSGSVSDPTSKASVPQPPPPPSLAPKGPSSNKAAHVPPVPPPPAPCAKGLSKAGGTSPQSHSVSNGNIPSIPGPPSGAPLNLKGRGISRIGSKTQASRKTNLKPYHWLKLTRAMQGSLWAEAQKPEEASKAPEFDMSELESLFSAAAPNSDNSIRDGKANRCASGRKSEKVQLIELRRAYNCEIMLTKVKIPLPDLMSSVLALDDTALDADQVENLIKFCPTKEEMELLKGYNGDKEKLGKCEQFFLELMKVPRVESKLRVFSFKIQFCSQVSDLRNSLNIVNSAAEEVRNSVKLKRIMQTILSLGNALNHGTARGSAIGFRLDSLLKLTDTRARNNKMTLMHYLCKVLVEKLPELLDFPKDLVTLESSTKIQLKCLAEEMQAISKGLEKVVQELTASENDGPVSETFCRTLKEFLSFAEGEVRSLASLYSCVEMQMPWLFILEKIQHVAHSSKLCLPCSTL >EOY29341 pep chromosome:Theobroma_cacao_20110822:9:807209:817955:1 gene:TCM_036911 transcript:EOY29341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 protein isoform 3 MALFRKFFYRKPPDGLLEISERVYVFDCCFSKDIWEDDEYKTYIGGIVGQLRDHFPDASFMVFNFREGEYQSQIASILSEYDMTVMDYPRQYEGCPLLTMEMVHHFLRSSESWLSLGQQNVILMHCERGGWPVLAFMLAALLIYRKQFTGEQKTLDMTYKQAPRELLQLMSPLNPLPSQLRYLQYVSRRNLGSEWPPADRALTLDCIIIRFIPNMDGEGGCRPIFRIYGQDPFMAADRTPKVLFSTPKKSKLVRYYKQVDCELVKIDIHCHVLGDVVLECISLDSDQARESMMFRVMFNTAFIRSNILMLNLEEIDILWNAKDQFPKDFRAEVIFSEMDVATSVMSIDLPGIEEKDGLPMEAFAKVQEIFSNVDWPSPKRDVANMLQHISVSNILQENSETGISKRMERASVLHDDEVKEKSNVQASEHMITSPTSAALEKQSTFSVKPSLDANSTRKKFEPQEIQVAPRQPAQSIPSSGSSSDPSPMEGLTELHELQVAPQEPKHSKTSNKPSPEANSIRRKVETQELQIALQQPAQSISSFKPTPNVNSIRKKIEPQELQVALQRPAQPKIISQRVPQSSISVPVSYCNSLQGSPVPISRYHSAPSALGITALLHDHVVSKSEECIHPVTLPLSSSAISSPLPNTPKPLQPSLAITTPTASPGTQSSSEAPLITKASSSLSALETLKATHTEHPGTTSLARGRSSFVPPPSPLPSLSGASFEMEKSNSTSFPSSLPPSSLEVSPSPAAKKFQSPPPPPPPPPSSFSGASPSPLVTNSLQSPPPPPTPPPFASKSPPMVKNSLQSLPLSPPLPSSSSASLSATVENLFQSPPPPRPPPSFSGISPSSTIKNSCPAPPPPPPPAIASSATCSISSPSQSRTSEPPPPPPPPLHSGSVSDPTSKASVPQPPPPPSLAPKGPSSNKAAHVPPVPPPPAPCAKGLSKAGGTSPQSHSVSNGNIPSIPGPPSGAPLNLKGRGISRIGSKTQASRKTNLKPYHWLKLTRAMQGSLWAEAQKPEEASKAPEFDMSELESLFSAAAPNSDNSIRDGKANRCASGRKSEKVQLIELRRAYNCEIMLTKVKIPLPDLMSSVLALDDTALDADQVENLIKFCPTKEEMELLKGYNGDKEKLGKCEQFFLELMKVPRVESKLRVFSFKIQFCSQVSDLRNSLNIVNSAAEEVRNSVKLKRIMQTILSLGNALNHGTARGSAIGFRLDSLLKLTDTRARNNKMTLMHYLCKVLVEKLPELLDFPKDLVTLESSTKIQLKCLAEEMQAISKGLEKVVQELTASENDGPVSETFCRTLKEFLSFAEGEVRSLASLYSCVGRNADALALYFGEDPARCPFEQVVSTLLNFVRMFVRAHKENSKQLELEKKKALKEAENGKLKISTPPKESEHLIRSPSKSANVQ >EOY29344 pep chromosome:Theobroma_cacao_20110822:9:809715:818059:1 gene:TCM_036911 transcript:EOY29344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 protein isoform 3 MMFRVMFNTAFIRSNILMLNLEEIDILWNAKDQFPKDFRAEVIFSEMDVATSVMSIDLPGIEEKDGLPMEAFAKVQEIFSNVDWPSPKRDVANMLQHISVSNILQENSETGISKRMERASVLHDDEVKEKSNVQASEHMITSPTSAALEKQSTFSVKPSLDANSTRKKFEPQEIQVAPRQPAQSIPSSGSSSDPSPMEGLTELHELQVAPQEPKHSKTSNKPSPEANSIRRKVETQELQIALQQPAQSISSFKPTPNVNSIRKKIEPQELQVALQRPAQPKIISQRVPQSSISVPVSYCNSLQGSPVPISRYHSAPSALGITALLHDHVVSKSEECIHPVTLPLSSSAISSPLPNTPKPLQPSLAITTPTASPGTQSSSEAPLITKASSSLSALETLKATHTEHPGTTSLARGRSSFVPPPSPLPSLSGASFEMEKSNSTSFPSSLPPSSLEVSPSPAAKKFQSPPPPPPPPPSSFSGASPSPLVTNSLQSPPPPPTPPPFASKSPPMVKNSLQSLPLSPPLPSSSSASLSATVENLFQSPPPPRPPPSFSGISPSSTIKNSCPAPPPPPPPAIASSATCSISSPSQSRTSEPPPPPPPPLHSGSVSDPTSKASVPQPPPPPSLAPKGPSSNKAAHVPPVPPPPAPCAKGLSKAGGTSPQSHSVSNGNIPSIPGPPSGAPLNLKGRGISRIGSKTQASRKTNLKPYHWLKLTRAMQGSLWAEAQKPEEASKAPEFDMSELESLFSAAAPNSDNSIRDGKANRCASGRKSEKVQLIELRRAYNCEIMLTKVKIPLPDLMSSVLALDDTALDADQVENLIKFCPTKEEMELLKGYNGDKEKLGKCEQFFLELMKVPRVESKLRVFSFKIQFCSQVSDLRNSLNIVNSAAEEVRNSVKLKRIMQTILSLGNALNHGTARGSAIGFRLDSLLKLTDTRARNNKMTLMHYLCKVLVEKLPELLDFPKDLVTWVEMQMPWLFILEKIQHVAHSSKLCLPCSTL >EOY29729 pep chromosome:Theobroma_cacao_20110822:9:2180998:2182621:1 gene:TCM_037180 transcript:EOY29729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast intrinsic protein 4,1 MPKIALGTSREAAQTDCIKALVVEFVTTFLFVFVGVGTSMAAGELEANTLVSLFAVAVAHALVVGVMISAGHISGGHLNPAVTLGLLFGGHITVVRSILYWIDQLLASSAACILLKYLTGGLNTPIHSLASGVGFLQGVIWEVVLTFSLLFTVYATIVDPKKGSIDGLGPMLTGFVVGANILAGGAFSGASMNPARSFGPALVSWDWTDHWVYWVGPLIGGGLAGFIYEYFFIVRTHAPLPHEEEAF >EOY31887 pep chromosome:Theobroma_cacao_20110822:9:17020145:17026399:1 gene:TCM_039214 transcript:EOY31887 gene_biotype:protein_coding transcript_biotype:protein_coding description:P450 reductase 2 MEPSSSSGSIKVSPLDLMSAIIKGKMDPSNASYDSAAEVATMLLENREFVMILTTSIAVLIGCVVVLVWRRSGSQKPKQIEPPKPLIVKEPELEVDDGKKKVTIFFGTQTGTAEGFAKALAEEAKARYDKATFKVVDLDDYAVDDDEYEEKMKTESLAFFFLATYGDGEPTDNAARFYKWFTEGKERGEWLQNMKYGVFGLGNRQYEHFNKVAKVVDDLLTEQGAKRLVPVGLGDDDQCIEDDFTAWRELVWPELDQLLRDEDDATTVSTPYTAAVLEYRVLFYDPADAPVEDENRSNANGHTIYDAQHPCRSNVAVRKELHTSASDRSCTHLEFDIAGTGLSYETGDHVGVYCENLDEVVEEALTLLGLSPDTYFSVHTDKEDGTPLGGSSLPPPFPPCTLRTALARYADLLSSPKKSALVALAAHASDPTEADRLRHLASPAGKDEYAQWMVASQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPRMALSRIHVTCALVYEKTLTGRIHKGICSTWMKNSVPMEKSHDCSWAPIFVRQSNFKLPLDTKVPIIMIGPGTGLAPFRGFLQERLALKEAGAELGPSVLFFGCRNRKMDYIYEDELNNFVNGGALSEIVVAFSREGPTKEYVQHKMMQKASDIWNMISQGGYLYVCGDAKGMAKDVHRTLHTILQEQGCLDSSKAESMVKNLQMTGRYLRDVW >EOY32379 pep chromosome:Theobroma_cacao_20110822:9:28446439:28447375:1 gene:TCM_040263 transcript:EOY32379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive (dirigent-like protein) family protein MARLFPILCSQIIFCFFIILAAADDESFVRSMDRKLLGLKKEKLSHFRLYWHDIVGGRNPTAVAVVPPSSNSSTAFGSIRVIDDPLTMGPKLSSKMVGRAQGFYASASQQEVGLMMAMNFAFMEGKYNGSTITILGRNTVFSKVREMPVIGGSGLFRFARGYVQARTHWFDLTTGDAVVEYNCYVMHY >EOY34658 pep chromosome:Theobroma_cacao_20110822:9:41712500:41714253:1 gene:TCM_042257 transcript:EOY34658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNMVSRERKRAASYKKLQMLRSITNSHAQGKTSIILDATKYIEELKERVERIKQDIAMAQNSTCQNSFPVQLWVEAQDKGFLIKVFSERSCRGLLVFILEAFQELGLDVLQARVSCSECFLLEAVGVKDDEGSSECLLDASEVKRAVSHAIQNWSAEVNEQQ >EOY29692 pep chromosome:Theobroma_cacao_20110822:9:2069424:2075908:1 gene:TCM_037157 transcript:EOY29692 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS/GAT family protein MVNSMVERATSDMLIGPDWARNIEICDMLNHDPGQAKDVVKGIKKKLGSKNAKVQLLALTLLETIIKNCGDIVHMHVAERDVLHEMVKIVKKKPDFHVKEKILILIDTWQEAFGGARARYPQYYVAYQELLRAGAVFPQRSERSAPVFTPPQSQPLSSYPQNIRNADRPETAESSAESEFPTLSLTEIQNARGIMDVLAEMLNALDPGNKEGLRQEVIVDLVDQCRAYKQRVVHLVNSTSDESLLCQGLALNDDLQRVLAKHEAIASGTSLQAERPKPEPARELVNVNGPLVDTGDSSKQSEGRSISSTDASSHPFNQLLLPAPPTTNGSTPPAAVNPKMDLLSGDDYNSPKADDSLALVPLGELQQTTPASQQNALVLFDMFSDGNNTSNSVNIQSSGLAGQTNPLTPQIQQQQNYHANGTAPNMGSPRYEQSYAQGTGPAWNGQLVQQQQPPSPVYGAQSSGSLPPPPWEAQADTSLVSGAQYPQSMVTQVLVTHAQPQGPQHMGSDQVVGMYIRPITTGHLSAINNQAVQGSQFVGFQPQPIQGAQYMGMLPQQMPAGQMASMYPQQMYGNQMGVYGYGQQQYLDQQMYGLSIRDDNGLKNSSYQVSTSSYIPPSKPSKPEDKLFGDLVDMAKIKSTKTTPGRAGSM >EOY32268 pep chromosome:Theobroma_cacao_20110822:9:25494733:25517655:-1 gene:TCM_039967 transcript:EOY32268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein, putative MRAGGRVSFYRHRRSDGATLKVEIEEEKEGEHVDTTDFFFEKIGEPVPIKSQEDSLFDLRSPPPQALALSQRFQLLFLAHSSGFLVARTKDVINLAKDIKETGSPSSIEDLSLVDVPIGKLRILALSPTDDSTLAVSVAADIHFFNVNTLLNKEIKPCFSISLPQSSFVKDFRWRKKKDNSFLVLSDDSKLYHGTLTHPLKHVMDNVDAVEWSVKGAFVAVAKDDSLSILSAKFNEKLCMVLPFKSWIGDCNGDCTVKVDTIRWARPDCIVLGCFQFTADGEEENYLVQVVKSKTGKITDATSDLVVLSFSDLFAGLIDDIVPFRTGPYLFLSYLEQCELAIAANIKNTDQHIVLLSWSLGETGEASVIDIERDNWLPRIELQENGDDNLIMGLCIDKVSLFGNVKVQLGVEEVKELSPYCVLICLTLEGKLIMFHIASVTKNAVPFDVAAHSDKEEDTPAVVPEEFNLPKLTYGQGEQKSEQVASVLPLLDQSKKELLTNGSEIPIKSDVNLSERNVNSVMHATNEAFDKDNIQRSVSLQISQSFEAVGQQKPPTTKPLQEAGSQRKLLSGQQGTNSGQSFLKTSQLEGPGNKLRDGSQTETQKIAGVGSIASFGGKFSNDTLTQPNHENVPKNFELVKESVGKTGSIGSQSASFQPWPIPSSQSLMSGKHMLSEESDARSSFSPSSHIQCSRSLGSGVTMDTTCISISNVGKPSHLKDTAGTSISVDKFSGRPVDTQKYSMGAGNIESVPLICGSQLSSQLNFALEKSPNQKLYHPKDDYKSSTQSGMRTSEPHLSKQFSNIREMAEELDTLLESIEETGGFRDACTVYQKSSVEALERGIAFLSDKCRRWENMMDEHLGKIQHLLDKTVQVLARKIYMEGIVKQASDSQYWDLWNRQKLSSELELKRRHILKLNRDLTNELIELERHFNTFELHKFGDNNGVDAGWRALQSRFGSSRHIQSLHTLHNTMNSQLAAAEQLSECLSQQMAMLSVESPVKQQNVKKELFQTIGLAYDASFTSPGVTKPSNTSSVKKLVLSSGSTASRIQSRRNPSSALKSFDPEIARRRRDSLDQSWASFEPSKTTVKRMLLQESASVKRTSFTDKQNFSPYAPEESTSSLSKEHPATSAMFYQSGKEGTQDAFPKQESESTLFRWANNSLVAPQSTGWNSSTVQTSNFSALSSTSGSQPMVVQNRLGETCSIPVAKSNTGASHLERFNSSSFYENEIQFTQQFRPDLCQELSISQVASLPKKSTDIPNSDGKGTVLANSALGYVKQVPSTTKSTLFGSSNNYDPQFMPPAAVSASPTLSAKVSQVNFIKSKSQPSEKVSESSAFSKPVSDSSSTLSLSSSFSTVPTSSVTSIPTSVSMSSSATMGSSSAPNFSFSTSFSIVSTSSSGTQFSDSMTSSIVSAHANRKASSSSSSPSIFPSAGVSSSNSLSIHPHQIPVPFPSDSPPVSSPSEILKTEAQPRMETLGLKKNVDSMTQALPLQHELPAAGLSLKPEAAVSSSPICETPTRISSGSQSSIINVASPASNLASNAHPVQPATGDILFTAPLSTSISTTDGKSGSLDVTVTQEDEMEEEAPETNQRTELSLGSLSSFGNGSTPNPTAPKPNPFGAPFGIVAPRMASSSFTTALPSGELFRPASFSFQSPQPSQLAHPANFGAFSGGFASSTSGQAPAQRAFGQPAQLGVGQQALGSVLGSFGQSRQIGTGLPGSGFASVSGFGGGFAGSQSAGGFSNAATGGGFAGIASSSGGFAALASGGGGFGGLASGGVGFGGLASGGGGFGLGSAGGFTAAASGGGGGGGFAAAAAAASGGGGFAGAASGGGFGAFSSQQGNGGFSAFGGGAGQTGKPPELFTQMRR >EOY32827 pep chromosome:Theobroma_cacao_20110822:9:33908468:33915893:-1 gene:TCM_040848 transcript:EOY32827 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein MTLKSNFHHYQESYFHTQHLKASNNQSWRRITNKKKYKTNCRFFSLVPASFSSQGFLEVKMEQENMEEEVNLQQKKKHKGKHDKPKPWDEDPNIDRWKIDKFDPSWNPDGLLEISSFSTIFPRYREKYLQEAWPKVKSALKEYGIAAELNLVEGSMTVSTTRKTRDPYIIIKARDLIKLLSRSVPAPQAIKILDDEMQCDIIKIGSLVRNKERFVKRRQRLVGPNSSTLKALEILTSCYILVQGNTVAAMGAFKGLKQVRRIVEDCIENKMHPVYHIKILMMKKELEKDPTLKNENWDRFLPKFKKKNVQTKKVKSKEKKPYTPFPPPQQPSKIDQELESGEYFLSEKKKLAKKWQEKQEKQAQKTAENKRKREESFVPPKEPVKQDSRESENDKEDVAVLTMSLKQKAKEFGKQKSFQNINAEEYIAAPAGKQPLKKKKKSKHT >EOY29172 pep chromosome:Theobroma_cacao_20110822:9:284878:291395:-1 gene:TCM_036795 transcript:EOY29172 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyase, putative isoform 2 MNSTSKSEPKSVSSSTIYQVPGLDSHEMDSIAEKTFERYSSKTVKRNGKGISIVWYRNDLRVLDNEALFKAWVSSEAILPVYCIDPRLFETTYCFGFPKTGALRAQFIIECLADLKKNLMKKGLDLLIQRGKPEDILPSLAKAFGAHTVYAHKETCSEELQVERLVTRGLRGVVLSPAQGNSTSSSSTHNPKLELIWGSTMYHLDDLPISISSLPDVYTQFRKSVEAKCAIRGCIRLPKSLGPAPSIDDWGHVPSIEQLGLQSEKATKGMRFLGGETAALSRVSEYFWKKDSLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRFIYEEVKRYEKERLANDSTYWVLFELIWRDYFRFLSIKYGNSIFHLGGPRKAERGWSQEQKLFESWRNGCTGYPLIDANMKELSATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGAGEFTCAFPFLSIYI >EOY29173 pep chromosome:Theobroma_cacao_20110822:9:288454:291419:-1 gene:TCM_036795 transcript:EOY29173 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyase, putative isoform 2 MAILPSSSLLSQRKLIYPSSIFAYSSHIRFRLGFQIMNSTSKSEPKSVSSSTIYQVPGLDSHEMDSIAEKTFERYSSKTVKRNGKGISIVWYRNDLRVLDNEALFKAWVSSEAILPVYCIDPRLFETTYCFGFPKTGALRAQFIIECLADLKKNLMKKGLDLLIQRGKPEDILPSLAKAFGAHTVYAHKETCSEELQVERLVTRGLRGVVLSPAQGNSTSSSSTHNPKLELIWGSTMYHLDDLPISISSLPDVYTQFRKSVEAKCAIRGCIRLPKSLGPAPSIDDWGHVPSIEQLGLQSEKATKGMRFLGGETAALSRVSEYFWKKDSLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRFIYEEVKRYEKERLANDSTYWVLFELIWRDYFRFLSIKYGNSIFHLGGPRKAERGWSQEQKLFESWRNGCTGYPLIDANMKELSATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQAQTYDPEGEYVAYWLPELLALPRDKRNFPGKSYIEQVVPLKFRNTNRHHNQSVGRRSKSGGRQFQGHRR >EOY29174 pep chromosome:Theobroma_cacao_20110822:9:288634:291857:-1 gene:TCM_036795 transcript:EOY29174 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyase, putative isoform 2 MAILPSSSLLSQRKLIYPSSIFAYSSHIRFRLGFQIMNSTSKSEPKSVSSSTIYQVPGLDSHEMDSIAEKTFERYSSKTVKRNGKGISIVWYRNDLRVLDNEALFKAWVSSEAILPVYCIDPRLFETTYCFGFPKTGALRAQFIIECLADLKKNLMKKGLDLLIQRGKPEDILPSLAKAFGAHTVYAHKETCSEELQVERLVTRGLRGVVLSPAQGNSTSSSSTHNPKLELIWGSTMYHLDDLPISISSLPDVYTQFRKSVEAKCAIRGCIRLPKSLGPAPSIDDWGHVPSIEQLGLQSEKATKGMRFLGGETAALSRVSEYFWKKDSLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRFIYEEVKRYEKERLANDSTYWVLFELIWRDYFRFLSIKYGNSIFHLGGPRKAERGWSQEQKLFESWRNGCTGYPLIDANMKELSATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGAGTCTLISKFLNKFSTCI >EOY29171 pep chromosome:Theobroma_cacao_20110822:9:287948:292934:-1 gene:TCM_036795 transcript:EOY29171 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyase, putative isoform 2 MAILPSSSLLSQRKLIYPSSIFAYSSHIRFRLGFQIMNSTSKSEPKSVSSSTIYQVPGLDSHEMDSIAEKTFERYSSKTVKRNGKGISIVWYRNDLRVLDNEALFKAWVSSEAILPVYCIDPRLFETTYCFGFPKTGALRAQFIIECLADLKKNLMKKGLDLLIQRGKPEDILPSLAKAFGAHTVYAHKETCSEELQVERLVTRGLRGVVLSPAQGNSTSSSSTHNPKLELIWGSTMYHLDDLPISISSLPDVYTQFRKSVEAKCAIRGCIRLPKSLGPAPSIDDWGHVPSIEQLGLQSEKATKGMRFLGGETAALSRVSEYFWKKDSLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRFIYEEVKRYEKERLANDSTYWVLFELIWRDYFRFLSIKYGNSIFHLGGPRKAERGWSQEQKLFESWRNGCTGYPLIDANMKELSATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQAQTYDPEGEYVAYWLPELLALPRDKRNFPGKSYIEQVVPLKFRNTNRHHNQSVGRRSKSGGRQFQGHRR >EOY33415 pep chromosome:Theobroma_cacao_20110822:9:37386195:37389019:1 gene:TCM_041391 transcript:EOY33415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase 21, putative MANTNNEVVRPLVNFPQDIWGDRFLSFPFNSLDFELYSKQVESMKEMVKDMLMASTSEPIEKMFLINSLYRLGVSYHFENEIEEHLNLLFDSLPEHIEDNDYDLYTIAVIFQVFRLHGYKMLCDVFSKFQDGDGKFKEALISDIKCMISLYEASHFRMNGDLILDEALAFTTKHLESLANQSSPHLGEYIVNALFRPYHHGMQRLEARQYISFYEKDESRNDVSLKFGKYDFNRIQLLLQQELSVLSSWYKELNLKCKFPYARQRIVESFFYALGIYFEPCYARGRNIIAKLAALAGILDDVYEAYGLYEELQSFTDAIQRFDISAMDELTANYQKILYETILDVHDEVENQVRKEGRSYSVSYTKDDPTKLKPNGGMKDISPTFDEYLENGEYSCGAVLAMTQILIGIEEADKSACEWMINNDNKIPKALQISGRLNNDIVTNEDEEKRGFASSSACYMKQYNVSRQEAIKTFREKLAVTWKDINEGCMRTTPVPQQILRRALNYARLLDFAYRDDDQYTKPELFKDGLTKVLIHPIPL >EOY33745 pep chromosome:Theobroma_cacao_20110822:9:38882519:38884490:-1 gene:TCM_041641 transcript:EOY33745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase, putative MKKIELIFIPIPGTGHWASTIEFAKRLIHHDDRIWVTILSMTWFSPAFVDAYTKSHDASRPDRIQLIDLPQVDPPSFDLLKSLEGYIYAFIESYIPAARNAVRNIVSLESSSGSGRVAGLVLDFFCAPMIDIATELGLPSYIYYASNAASLGLMLYLPTRHSQNSSGFEITDPEQLIPGFVNPVPLCVLPSPLFNKDGGYTTFIKVAERLKDAKGIMVNTFEGIEPSALNYFLNGPNPPIYPVGPVIDLNALPHPKLDLDQRNKVMTWLDDQPQSSVIFLCFGSMGSFGAPQLKEIALGLEQSGYRFLWSLRFSSPLQSDAALTDKNTEETLPEGFLEKIQGRGMICGWAPQVEVLANKAIGGFVSHCGWNSILESLWFGVPIVTWPMHAEQQLNAYLMKELGLAVVMRLDYRLGTSDLVMADEVEKGVRLVMDGGSEVRKKVKEMAEMARKSVMKDGSSFISMGRLIEDMVGSN >EOY32160 pep chromosome:Theobroma_cacao_20110822:9:22518458:22521887:1 gene:TCM_039735 transcript:EOY32160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 2 MRGSIGRSLSNTNNHYLQSITRGTSFLLQSSFSTSSSSGSGGGRGRGGTSASSSFIDFTPPPGKSGSGDSNRDSAESPPAGVGHGRGRGGPLSSDPIPHPFSSFVSQTGSGRGRVTSESVPPPPPPPAQAKQPIFIKKKDEDETESSAKAAAEPIQSSEPIFPPNILPVSVLSGAGRGKPVKQPEPASRRQEENRHIRVAQQQSPSAQMSQEEATKKAMGILSRRSESGESGMVGRGGRASMGMGGGRGRGRGRGRGMGRGRGRRQGEDTRIVKDSGEGSADGLYLGDNADGEKFAQTIGADNMNKLVEGFEEMGSRVLPSPMDDAYLDALHTNCSIEFEPEYLMEEFGTNPDIDEKPPMPLRDALEKMKPFLMAYEGIQSQEEWEVCSIGFKTWFMVGFFHF >EOY32159 pep chromosome:Theobroma_cacao_20110822:9:22518359:22525112:1 gene:TCM_039735 transcript:EOY32159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative isoform 2 MRGSIGRSLSNTNNHYLQSITRGTSFLLQSSFSTSSSSGSGGGRGRGGTSASSSFIDFTPPPGKSGSGDSNRDSAESPPAGVGHGRGRGGPLSSDPIPHPFSSFVSQTGSGRGRVTSESVPPPPPPPAQAKQPIFIKKKDEDETESSAKAAAEPIQSSEPIFPPNILPVSVLSGAGRGKPVKQPEPASRRQEENRHIRVAQQQSPSAQMSQEEATKKAMGILSRRSESGESGMVGRGGRASMGMGGGRGRGRGRGRGMGRGRGRRQGEDTRIVKDSGEGSADGLYLGDNADGEKFAQTIGADNMNKLVEGFEEMGSRVLPSPMDDAYLDALHTNCSIEFEPEYLMEEFGTNPDIDEKPPMPLRDALEKMKPFLMAYEGIQSQEEWEEVIKETMERVPLLQEIVDYYSGPDRVTAKKQQEELERVAKTIPERAPSSVKQFANRAVLSLQSNPGWGFDKKCQFMDKLVWEVSQQYK >EOY29680 pep chromosome:Theobroma_cacao_20110822:9:2042718:2046211:-1 gene:TCM_037152 transcript:EOY29680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase 3 MRPEFTSSSSSPTDRRLSALVRHLATASPLMESQTAVSFSATASLHGESVFSHVVRAPEDPILGVTVAYNKDPSPVKLNLGVGAYRTEEGKPLVLNVVRKAEQMLVNDRSRVKEYLPIVGLAEFNKLSAKLIFGADSPAIRENRVTTVQCLSGTGSLRVGAEFLARHYHQRTIYIPQPTWGNHPKIFTLSGLSVKTYRYYDPATRGLNFRGLLEDLGSAPSGAIVLLHACAHNPTGVDPTLQQWEQIRRLMRSKALLPFFDSAYQGFASGNLDADAQSVRMFVADGGECFVAQSYAKNMGLYGERVGALSVVCKTADVASRVESQLKLVIRPMFSNPPIHGASIVATVLKDRNMYNEWTIELKAMADRIISMRQQLFDALRARGTPGDWSHIIKQIGMFTFTGLNSEQVAFMTREYHIYMTSDGRISMAGLSSKTVPHLADAIHAAVTRM >EOY29641 pep chromosome:Theobroma_cacao_20110822:9:1863512:1886986:1 gene:TCM_037126 transcript:EOY29641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger FYVE domain-containing protein 26 isoform 3 MDKETELLSRLAANHLHLAQFEPLRATLLALRTKNPDLALAILQTIVANSARFENIVWSPSCPSPSLLTYLSTLELLQFNDPTSIWSFDPDTLRLRAEFLLLVQVLIDKVLASLRRDVDLDKIEKEKECEREGFEAEKPELLDKSEDLKEGNDDLGDCVRVLDRFLELGMRRLKPDVVMESGDEDGREESKAVLEEVLIEEEEMVCLRKVIMDYADVFDALCGNIQRQLKGLEGGDLGMAIMVRREDNVRVDSVDEEHKRVLGLIQKNVQLAHLDAIKNCVKDGDIEGAVSLIRFLHLDYGVEEVEYRTLLQDLLKRVLLEMERFGGSRHSTEEKLLRIYGESLSSNCRHLVQMIQVIHDGLLFQEFETYRALDNNQIPPPLEHFQKHLVEFKLDADLNNEHLPLNMAASSCLRDMFHYARISGLHILECVMNTALSAIKREHIQEATNVLVLFPRLRPLVAAMGWDLLSGKTMLRRNLMQLCWRSKSKVFQLEESSLYGNWPDEVSCVEHLCDSLCYHLDIASFVACVNSGQPWSSKFSLLLSGDENIASGSENAQLDTFVENFVLERLSVQTPLRVLFDVVPGIKFQDAIELISMQPIASTLEARKRMQDIELMHMRYALESTVLALGAMGRSMNGEKETHQVALCHLQDLKNHLAGIKNIPRKILMVNVIISLLHMDDISLNLTHCASPGSLFELPAECAWEHIDLTTYEGGNKMVISFTGLLLDIVRHNLPSSMTEEVSNDGLSMSARQALEWRISMGQSFVEDLEWRLSILQRLLPLSERPWSWKEALTILRAAPSKLLNLCMQRAKYDIGEEAVHRFSLSAEDRATLELAEWVDSAFRELHVAKAVSRAADGTSLVQDLDFSSLRSQLGPLATILLCIDVAATSARSANMSQQLLDQAQVMLSEIYPGGSPKVGSTYWDQIHEVGVISVLRRVLKRLYEFLEQDSPPALQAILTGEISISSTKDSHRQGQRERALALLHQMIEDAHMGKRQFLSGKLHNLARAIADEEMEVNFTKGEGPGTNRKVQSSLDKDGVLGLGLKAVKQTSSTSMAGDSSIQPVGYDMKDSGKRLFGPLSAKPTTYLSQFILHIAAIGDIVDGTDTTHDFNFFSLVYEWPKDLLTRLVFDRGSTDAAGKVAEIMSADFVHEVISACVPPVYPPRSGHGWACIPVIPTCPSSCSENKALSPSAKEAKPSCYSRSSATPGIPLYPLQLDIIKHLVKISPVRAVLACVFGSSMLYSGSDSTISSSLNDDLMQAPDADRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFAVTARQRADDGKVKPETRTVIKRLREPDSDTESEVDEIVGNSNISTSLDLNAIDSTSPDPWHDCLKPETAEVDSTVFLSFGLENEDPYEKAVERLIDEGKLMDALALSDRFLRNGASDRLLQLLIERGEENHSTSEQPQGYGGHGIWSNSWQYCLRLKDKQLAAGLALKCMHRWELDAALDVLTMCSCHLPQSDPVRNEVLQRRQALQRYSHILSVDHHHESWQEVEAECKQDPEGLALRLAGKGAVSAALEVAESAGLSTELRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDSDDALPVAMGAMQLLPNLRSKQLLVHFFLKRRDGNLSDVEVSRLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQLQSASLILKEFPSLRDNSVIISYAAKAIAVSISSPIREPRISVSGTRPKPKPRLGVPARSSFTSSLSNLQKEARRAFSWTPRNTGDKTASKDVYRKRKNSGLSPSDRVVWEAMAGIQEDRVSSYADGQERFPSVSIAEEWMLTGDTGKDDIVRTSHRYESSPDIILFKALLSLCSDEFVSAKSALELCVNQMKSVLGSQQLPENASMETIGRAYHATETFVQGLIYAKSLLRKLTGGNDLAINSERSRDADDTSSDAGSSSVGSQSTDELSEVLSQADVWLGRAELLQSLLGSGIAASLDDIADKESSAHLRDRLIVDERYSMAVYTCKKCKIDVFPVWNAWGLALIRMEHYAQARVKFKQALQLYKGDPAPVITEIINTMEGGPPVDVSAVRSMYEHLAKSAPTILDDSLSADSYLNVLYMPSTFPRSERSRRSQESTNSNSPYGPDCEDGPRSNLDSARYVECVNYLQEYARQHLLGFMFKHGHFNDACLLFFPPNAVPPPAQPSTMGVVTSSSSPQRPDPLATDYGTIDDLCDLCIGYGAMPVLEEVISTRISVAKQQDALVNQYTAAALGRICTYCETHRHFNYLYKFQVIKKDHVAAGLCCIQLFMNSSSQEEAIRHLERAKMHFDEGLSARSKGGESTKLVMKGVRGKSASEKLTEEGLVKFSARVSIQVDVVKSFNDPDGPQWRHSLFGNPNDLETFRRRCEIAETLVERNFDLAFQVIYEFNLPAVDIYAGVASSLAERKRGSQLTEFFRNIKGTIDDDDWDQVLGAAINVYANRHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHTNALPVLDMCKQWLSQYM >EOY29640 pep chromosome:Theobroma_cacao_20110822:9:1863512:1886956:1 gene:TCM_037126 transcript:EOY29640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger FYVE domain-containing protein 26 isoform 3 MDKETELLSRLAANHLHLAQFEPLRATLLALRTKNPDLALAILQTIVANSARFENIVWSPSCPSPSLLTYLSTLELLQFNDPTSIWSFDPDTLRLRAEFLLLVQVLIDKVLASLRRDVDLDKIEKEKECEREGFEAEKPELLDKSEDLKEGNDDLGDCVRVLDRFLELGMRRLKPDVVMESGDEDGREESKAVLEEVLIEEEEMVCLRKVIMDYADVFDALCGNIQRQLKGLEGGDLGMAIMVRREDNVRVDSVDEEHKRVLGLIQKNVQLAHLDAIKNCVKDGDIEGAVSLIRFLHLDYGVEEVEYRTLLQDLLKRVLLEMERFGGSRHSTEEKLLRIYGESLSSNCRHLVQMIQVIHDGLLFQEFETYRALDNNQIPPPLEHFQKHLVEFKLDADLNNEHLPLNMAASSCLRDMFHYARISGLHILECVMNTALSAIKREHIQEATNVLVLFPRLRPLVAAMGWDLLSGKTMLRRNLMQLCWRSKSKVFQLEESSLYGNWPDEVSCVEHLCDSLCYHLDIASFVACVNSGQPWSSKFSLLLSGDENIASGSENAQLDTFVENFVLERLSVQTPLRVLFDVVPGIKFQDAIELISMQPIASTLEARKRMQDIELMHMRYALESTVLALGAMGRSMNGEKETHQVALCHLQDLKNHLAGIKNIPRKILMVNVIISLLHMDDISLNLTHCASPGSLFELPAECAWEHIDLTTYEGGNKMVISFTGLLLDIVRHNLPSSMTEEVSNDGLSMSARQALEWRISMGQSFVEDLEWRLSILQRLLPLSERPWSWKEALTILRAAPSKLLNLCMQRAKYDIGEEAVHRFSLSAEDRATLELAEWVDSAFRELHVSVAKAVSRAADGTSLVQDLDFSSLRSQLGPLATILLCIDVAATSARSANMSQQLLDQAQVMLSEIYPGGSPKVGSTYWDQIHEVGVISVLRRVLKRLYEFLEQDSPPALQAILTGEISISSTKDSHRQGQRERALALLHQMIEDAHMGKRQFLSGKLHNLARAIADEEMEVNFTKGEGPGTNRKVQSSLDKDGVLGLGLKAVKQTSSTSMAGDSSIQPVGYDMKDSGKRLFGPLSAKPTTYLSQFILHIAAIGDIVDGTDTTHDFNFFSLVYEWPKDLLTRLVFDRGSTDAAGKVAEIMSADFVHEVISACVPPVYPPRSGHGWACIPVIPTCPSSCSENKALSPSAKEAKPSCYSRSSATPGIPLYPLQLDIIKHLVKISPVRAVLACVFGSSMLYSGSDSTISSSLNDDLMQAPDADRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFAVTARQRADDGKVKPETRTVIKRLREPDSDTESEVDEIVGNSNISTSLDLNAIDSTSPDPWHDCLKPETAEVDSTVFLSFGLENEDPYEKAVERLIDEGKLMDALALSDRFLRNGASDRLLQLLIERGEENHSTSEQPQGYGGHGIWSNSWQYCLRLKDKQLAAGLALKCMHRWELDAALDVLTMCSCHLPQSDPVRNEVLQRRQALQRYSHILSVDHHHESWQEVEAECKQDPEGLALRLAGKGAVSAALEVAESAGLSTELRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDSDDALPVAMGAMQLLPNLRSKQLLVHFFLKRRDGNLSDVEVSRLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQLQSASLILKEFPSLRDNSVIISYAAKAIAVSISSPIREPRISVSGTRPKPKPRLGVPARSSFTSSLSNLQKEARRAFSWTPRNTGDKTASKDVYRKRKNSGLSPSDRVVWEAMAGIQEDRVSSYADGQERFPSVSIAEEWMLTGDTGKDDIVRTSHRYESSPDIILFKALLSLCSDEFVSAKSALELCVNQMKSVLGSQQLPENASMETIGRAYHATETFVQGLIYAKSLLRKLTGGNDLAINSERSRDADDTSSDAGSSSVGSQSTDELSEVLSQADVWLGRAELLQSLLGSGIAASLDDIADKESSAHLRDRLIVDERYSMAVYTCKKCKIDVFPVWNAWGLALIRMEHYAQARVKFKQALQLYKGDPAPVITEIINTMEGGPPVDVSAVRSMYEHLAKSAPTILDDSLSADSYLNVLYMPSTFPRSERSRRSQESTNSNSPYGPDCEDGPRSNLDSARYVECVNYLQEYARQHLLGFMFKHGHFNDACLLFFPPNAVPPPAQPSTMGVVTSSSSPQRPDPLATDYGTIDDLCDLCIGYGAMPVLEEVISTRISVAKQQDALVNQYTAAALGRICTYCETHRHFNYLYKFQVIKKDHVAAGLCCIQLFMNSSSQEEAIRHLERAKMHFDEGLSARSKGGESTKLVMKGVRGKSASEKLTEEGLVKFSARVSIQVDVVKSFNDPDGPQWRHSLFGNPNDLETFRRRCEIAETLVERNFDLAFQVIYEFNLPAVDIYAGVASSLAERKRGSQLTEFFRNIKGTIDDDDWDQVLGAAINVYANRHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHTNALPVLDMCKQWLSQYM >EOY29639 pep chromosome:Theobroma_cacao_20110822:9:1863603:1895219:1 gene:TCM_037126 transcript:EOY29639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger FYVE domain-containing protein 26 isoform 3 MDKETELLSRLAANHLHLAQFEPLRATLLALRTKNPDLALAILQTIVANSARFENIVWSPSCPSPSLLTYLSTLELLQFNDPTSIWSFDPDTLRLRAEFLLLVQVLIDKVLASLRRDVDLDKIEKEKECEREGFEAEKPELLDKSEDLKEGNDDLGDCVRVLDRFLELGMRRLKPDVVMESGDEDGREESKAVLEEVLIEEEEMVCLRKVIMDYADVFDALCGNIQRQLKGLEGGDLGMAIMVRREDNVRVDSVDEEHKRVLGLIQKNVQLAHLDAIKNCVKDGDIEGAVSLIRFLHLDYGVEEVEYRTLLQDLLKRVLLEMERFGGSRHSTEEKLLRIYGESLSSNCRHLVQMIQCNIHIELNAAVVIHDGLLFQEFETYRALDNNQIPPPLEHFQKHLVEFKLDADLNNEHLPLNMAASSCLRDMFHYARISGLHILECVMNTALSAIKREHIQEATNVLVLFPRLRPLVAAMGWDLLSGKTMLRRNLMQLCWRSKSKVFQLEESSLYGNWPDEVSCVEHLCDSLCYHLDIASFVACVNSGQPWSSKFSLLLSGDENIASGSENAQLDTFVENFVLERLSVQTPLRVLFDVVPGIKFQDAIELISMQPIASTLEARKRSFNYDGRHCRESNFVAYLYCGGECGWFRLLDFTCRMQDIELMHMRYALESTVLALGAMGRSMNGEKETHQVALCHLQDLKNHLAGIKNIPRKILMVNVIISLLHMDDISLNLTHCASPGSLFELPAECAWEHIDLTTYEGGNKMVISFTGLLLDIVRHNLPSSMTEEVSNDGLSMSARQALEWRISMGQSFVEDLEWRLSILQRLLPLSERPWSWKEALTILRAAPSKLLNLCMQRAKYDIGEEAVHRFSLSAEDRATLELAEWVDSAFRELHVAKAVSRAADGTSLVQDLDFSSLRSQLGPLATAQVMLSEIYPGGSPKVGSTYWDQIHEVGVISVLRRVLKRLYEFLEQDSPPALQAILTGEISISSTKDSHRQGQRERALALLHQMIEDAHMGKRQFLSGKLHNLARAIADEEMEVNFTKGEGPGTNRKVQSSLDKDGVLGLGLKAVKQTSSTSMAGDSSIQPVGYDMKDSGKRLFGPLSAKPTTYLSQFILHIAAIGDIVDGTDTTHDFNFFSLVYEWPKDLLTRLVFDRGSTDAAGKVAEIMSADFVHEVISACVPPVYPPRSGHGWACIPVIPTCPSSCSENKALSPSAKEAKPSCYSRSSATPGIPLYPLQLDIIKHLVKISPVRAVLACVFGSSMLYSGSDSTISSSLNDDLMQAPDADRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFAVTARQRADDGKVKPETRTVIKRLREPDSDTESEVDEIVGNSNISTSLDLNAIDSTSPDPWHDCLKPETAEVDSTVFLSFGLENEDPYEKAVERLIDEGKLMDALALSDRFLRNGASDRLLQLLIERGEENHSTSEQPQGYGGHGIWSNSWQYCLRLKDKQLAAGLALKCMHRWELDAALDVLTMCSCHLPQSDPVRNEVLQRRQALQRYSHILSVDHHHESWQEVEAECKQDPEGLALRLAGKGAVSAALEVAESAGLSTELRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDSDDALPVAMGAMQLLPNLRSKQLLVHFFLKRRDGNLSDVEVSRLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEILKEFPSLRDNSVIISYAAKAIAVSISSPIREPRISVSGTRPKPKPRLGVPARSSFTSSLSNLQKEARRAFSWTPRNTGDKTASKDVYRKRKNSGLSPSDRVVWEAMAGIQEDRVSSYADGQERFPSVSIAEEWMLTGDTGKDDIVRTSHRYESSPDIILFKVCSKVVVQTLVEVLQFSGNVYATSLWDQIDQISSVEYFHNKFSVYALLSLCSDEFVSAKSALELCVNQMKSVLGSQQLPENASMETIGRAYHATETFVQGLIYAKSLLRKLTGGNDLAINSERSRDADDTSSDAGSSSVGSQSTDELSEVLSQADVWLGRAELLQSLLGSGIAASLDDIADKESSAHLRDRLIVDERYSMAVYTCKKCKIDVFPVWNAWGLALIRMEHYAQARVKFKQALQLYKGDPAPVITEIINTMEGGPPVDVSAVRSMYEHLAKSAPTILDDSLSADSYLNVLYMPSTFPRSERSRRSQESTNSNSPYGPDCEDGPRSNLDSARYVECVNYLQEYARQHLLGFMFKHGHFNDACLLFFPPNAVPPPAQPSTMGVVTSSSSPQRPDPLATDYGTIDDLCDLCIGYGAMPVLEEVISTRISVAKQQDALVNQYTAAALGRICTYCETHRHFNYLYKFQVIKKDHVAAGLCCIQLFMNSSSQEEAIRHLERAKMHFDEGLSARSKGGESTKLVMKGVRGKSASEKLTEEGLVKFSARVSIQVDVVKSFNDPDGPQWRHSLFGNPNDLETFRRRCEIAETLVERNFDLAFQVIYEFNLPAVDIYAGVASSLAERKRGSQLTEFFRNIKGTIDDDDWDQVLGAAINVYANRHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQSVRSSFGLRVPDPTLVGSPFPMRRAPPPPLCSPGSADLVLLRESRRPSLTLPNESGAGGAPTLGSMRGGRPTVNSEKTVPKINLTKIKEMVVDRRKPTPLTSKIAGEAMLAMKGKEIGNDSVLILDDLSSDSELAKLRGRWEFASVLNFLNVFEPVIGNDLKLTAEEIELGLVKPNASIAALHIKLLKGIPPVSKLLNNSDAWVTSLCKKLAMWWPWVAEGEIPLTAHNGEEISRYKELDPASRLLLLKALCEIRADQPDTVSYINDALKSKKEISCFRKEKIGGNGNVSYWYDGNTVFGYRLYKEVNRTEPQTKAKGKACLNLPTVCSHWETLAVDLKEFRGVVDKLLASKTAAEVAIGKTINTNALPVVEKFHKKKERALKQKKRQEMLLNGLRSTCGAGITRSCRNRRPISYTFDEYDRAIDEAIELTKRRKTVEEQRQVQKLAKQTFTSNGGSDVEGSVSEGSSDGKGNSMGSDTEDDKLQEAGGDGNKDDGDYSSSKDGDDDTGSDSGNSADGKENLGYENHEKDVSMASRWSKSLSGVAIHPAVGTGNLGTKNRLRQRPVINSALDIIVPDSEDDISLEHTNSGILGPENLHRDADPEEGRIENLPSQSLDQTDSDPLQPLVPHDQDPPPTIATQAAASPDAHAKAASPVLPKHDYFDAGQSPTSAYNGEIGEGSVLHRSGTSIDSSKYPALDASVEANSLPVLLDDQGSFSSTSGLEQQSETRTRQNNFFTPKQISSAIDASEKTRLLCSVTVAILVILSHLGFPFLGNRFLGSIISFRPLCFILLTNLTVLIARLLVGDCGGSQRAIREENRNASTEENNWAEQLSKTLEVGLVAQKVIDAVFMDCSVYAVIVICGLSFT >EOY34686 pep chromosome:Theobroma_cacao_20110822:9:41789329:41794786:-1 gene:TCM_042277 transcript:EOY34686 gene_biotype:protein_coding transcript_biotype:protein_coding description:No lysine kinase 4 isoform 1 MYRKQFDEAKLQENGYVETDPSGRYGRFEEILGKGAMKTVYKAIDEVLGMEVAWNQVKLNEVLRSPEDLQRLYSEVHLLSTLNHDSIIRFYTSWIDVDRKTFNFITEMFTSGSLREYRKKYKRVDIRAIKNWARQILQGLVYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRGSKSAHSVIGTPEFMAPELYEEDYNELVDVYSFGMCVLEMLTSEYPYSECSNPAQIYKKVTSGKLPGAFYQIQDLDAQRFIGKCLVNASKRLSAEELLLDPFLATDEAKLSPTTRLGSPKPFLNEREMEKLHLNDDPPRTDMTITGKLNPEDDTIFLKVQIADTEGSARNIYFPFDIVNDTPSDVALEMVKELEITDWEPYEIAEMIDGEISALVPLWKKSDFPRNEAYSTFNFQEDDDGSHHPFYSFSSCSSSQVSLSGLMTRGGDWLQGTYNNMSYFSGDDHKPDTSLTTKSKHLSPRIHNSTRFCNEESSRSGQSIARNCYNQYKALESHASSPKHRGVMDHRKLTRNRSLVDIRSQLLHRSLVEEVSKRRLFKTVGAVEDIGFQAPCEVPTKRTQRTSGKKHVKF >EOY34685 pep chromosome:Theobroma_cacao_20110822:9:41789198:41794869:-1 gene:TCM_042277 transcript:EOY34685 gene_biotype:protein_coding transcript_biotype:protein_coding description:No lysine kinase 4 isoform 1 MLAYKKYDFSRAVVEMAWIMLRIDYSRLSWLLFDFPGCHLSFVDSSNMYRKQFDEAKLQENGYVETDPSGRYGRFEEILGKGAMKTVYKAIDEVLGMEVAWNQVKLNEVLRSPEDLQRLYSEVHLLSTLNHDSIIRFYTSWIDVDRKTFNFITEMFTSGSLREYRKKYKRVDIRAIKNWARQILQGLVYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRGSKSAHSVIGTPEFMAPELYEEDYNELVDVYSFGMCVLEMLTSEYPYSECSNPAQIYKKVTSGKLPGAFYQIQDLDAQRFIGKCLVNASKRLSAEELLLDPFLATDEAKLSPTTRLGSPKPFLNEREMEKLHLNDDPPRTDMTITGKLNPEDDTIFLKVQIADTEGSARNIYFPFDIVNDTPSDVALEMVKELEITDWEPYEIAEMIDGEISALVPLWKKSDFPRNEAYSTFNFQEDDDGSHHPFYSFSSCSSSQVSLSGLMTRGGDWLQDDLPDETSSQSSSHSGTYNNMSYFSGDDHKPDTSLTTKSKHLSPRIHNSTRFCNEESSRSGQSIARNCYNQYKALESHASSPKHRGVMDHRKLTRNRSLVDIRSQLLHRSLVEEVSKRRLFKTVGAVEDIGFQAPCEVPTKRTQRTSGKKHVKF >EOY33934 pep chromosome:Theobroma_cacao_20110822:9:39379009:39380807:-1 gene:TCM_041763 transcript:EOY33934 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 3 MAEADSSSKDNRWSLHGMTALVTGGTKGIGHAIVEELAGLGARIHTCSRTETELNKCLLEWQAKGFQVTGSACDVSSKAQREKLINTASSVFNGKLDILINNVGTSAHNLSLLAHPLLKASGAGSVVLLSSIAGLIPLRTAPTYGATKGAMNQLAKYLACEWAGDNIRVNAVAPSVIRTPLVEPFFHDEKALEAFITKIPMGRTGEPKEVSSLVAFLCLPAASYITGQIIYVDGGITLNGLFFPSNIA >EOY33933 pep chromosome:Theobroma_cacao_20110822:9:39379197:39383271:-1 gene:TCM_041763 transcript:EOY33933 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 3 MWEGNYVPHIIYLNCKQTKEEADREVRMAEADSSSKDNRWSLHGMTALVTGGTKGIGHAIVEELAGLGARIHTCSRTETELNKCLLEWQAKGFQVTGSACDVSSKAQREKLINTASSVFNGKLDILINNVGTVFAKPISEETAEEVSFLMGTNFESAHNLSLLAHPLLKASGAGSVVLLSSIAGLIPLRTAPTYGATKGAMNQLAKYLACEWAGDNIRVNAVAPSVIRTPLVEPFFHDEKALEAFITKIPMGRTGEPKEVSSLVAFLCLPAASYITGQIIYVDGGITLNGLFFPSNIA >EOY33935 pep chromosome:Theobroma_cacao_20110822:9:39378970:39380860:-1 gene:TCM_041763 transcript:EOY33935 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 3 MAEADSSSKDNRWSLHGMTALVTGGTKGIGIRHAIVEELAGLGARIHTCSRTETELNKCLLEWQAKGFQVTGSACDVSSKAQREKLINTASSVFNGKLDILINNVGTVFAKPISEETAEEVSFLMGTNFESAHNLSLLAHPLLKASGAGSVVLLSSIAGLIPLRTAPTYGATKGAMNQLAKYLACEWAGDNIRVNAVAPSVIRTPLVEPFFHDEKALEAFITKIPMGRTGEPKEVSSLVAFLCLPAASYITGQIIYVDGGITLNGLFFPSNIA >EOY30954 pep chromosome:Theobroma_cacao_20110822:9:6429498:6436697:-1 gene:TCM_037994 transcript:EOY30954 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ transporter 1 MDSLRNRGMFLVSVCGEEEIEHLSRESSHYSLSTGILPSLGARSNRRVKLRRFIVSPYDRRYRVWETFLVILVVYTAWVSPFEFGFLKKPESPLSVTDNVVNGFFALDIILTFFVAYLDKTTYLLIDDPKKIAWKYGSSWLAFDIISTIPSELAQKISPKPLRSYGLFNMLRLWRLRRVSALFSRLEKDKNYNYFWVRCAKLICVTLFAVHCAGCFYYLIAARYHDPGRTWIGSSLGDNFLEQSLSIRYVTSMYWSITTLTTVGYGDLHPVNTREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRRFRDTIQAASSFAQRNQLPARLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLMDKVYLFHGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVDLLVLKNGAEQVVGEAKTGDLLGEIGVLCYRPQLFTVRTKRLCQLLRLNRTTFLNIIQANVGDGTIIMNNLLQHLKDMNDPIMEGVLMETENMLARGRMDLPVNLCFATLRGDDLLLHQLLKRGLDPNESDNNGRTALHIAAMKGSENCVLLLLDYGADPNCKDSEGIVPLWEAMSAGHNKATKLLKENGANINTGDVGHYACTAAEQNNIVLLKEILRYGGDVTRPRHNGYTALHVAVCEGNTEIVKFLLEHGADIDKPDIHGWTPRDLAEQQGHDEIKMIFESTKEMNTQSIMSIPEKQETRYLGRFTSEPVIRPAAQEGTDGSWSQSRPRRKTSNFHNSLFGIMSAAQNGEKDLLLSIHQPNGVKGSVVNSARVVISCPEKGETTGKLVVLPGSFQELLDIGAKKFGISAAKVLSKGGAEIDDIEVVRDGDHLVFVSDGRMQHHTNSQNLQCNGFS >EOY34031 pep chromosome:Theobroma_cacao_20110822:9:39742148:39745100:1 gene:TCM_041833 transcript:EOY34031 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein isoform 1 MATLSATKLFFLFTFHVTIFSSTTTAAYSIGVNYGTVADNLPPPPQVANFLKTKTNIDRVKIFDANPDILKAFANTGISVTVTVGNGDIPSLAKLPGAQSWIADNILPHHPQTIIRYIAVGNEILATSDKSLIAHLLPAMKALRSALDMANASSIKVSTPHSLGILSTSEPPSTGKFRKGYDKLIFAPILEFHKQTKSPFMVNPYPYFGFKPQTLNYALFKPNAGVFDAVTGINYTNMFDAQLDAVYSALKRVGYGDVEIVVAETGWPSVGDPGQPGVSLENALSFNGNLVKHVNSGKGTPLMPNRTFETYIFSLFNENLKESVSERNFGLFKPDLTPVYNVGVLRNEQGLGPASAPPTATAPSSGSGKWCVPKSDASHEACNYSIDQGSGLKLEKSVAADSMRFCTVRQMIYLASCLIFMHLSLVLI >EOY34030 pep chromosome:Theobroma_cacao_20110822:9:39742134:39745187:1 gene:TCM_041833 transcript:EOY34030 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein isoform 1 MATLSATKLFFLFTFHVTIFSSTTTAAYSIGVNYGTVADNLPPPPQVANFLKTKTNIDRVKIFDANPDILKAFANTGISVTVTVGNGDIPSLAKLPGAQSWIADNILPHHPQTIIRYIAVGNEILATSDKSLIAHLLPAMKALRSALDMANASSIKVSTPHSLGILSTSEPPSTGKFRKGYDKLIFAPILEFHKQTKSPFMVNPYPYFGFKPQTLNYALFKPNAGVFDAVTGINYTNMFDAQLDAVYSALKRVGYGDVEIVVAETGWPSVGDPGQPGVSLENALSFNGNLVKHVNSGKGTPLMPNRTFETYIFSLFNENLKESVSERNFGLFKPDLTPVYNVGVLRNEQGLGPASAPPTATAPSSGSGKWCVPKSDATVAALQANIDYVCSTGLDCRPIQAGGACFNPNNVRSHASYAMNAYYQANGRHDFNCDFNHTGVITSTDPSHEACNYSIDQGSGLKLEKSVAADSMRFCTVRQMIYLASCLIFMHLSLVLI >EOY33870 pep chromosome:Theobroma_cacao_20110822:9:39204532:39210175:-1 gene:TCM_041711 transcript:EOY33870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLPQASQKANLQGRYKLEKTKLSYADLHQEITKGGKDFSPKSSWKHHRQHAEIKANEEDELVKYMSNLPGFLEKRANPQEKVLNVGVLEWGRLEKWQYSHKQVLHRSSISSLSSSNTSSSFSTDESSAHSSRGRSCSPARQRLQRPSFQSHLISVPVEGNSPFNKPFRDSLGKLQDLKAAQSNTLNVQANFIREDKSFCKNNPEIKLEKCRRREMHSKIDSESGIVANGVKDKVASCDTVKMKNQVGDFMKKAEKFQEVIPKGANEDVIDTRNTVVLLLPRDLPKVNHSGPGNLSDLTTKSCKREAEPSRRIVPQTSKDAHRSELSSNFHHSGPLPCELDGSKHLQIKARGSIEANSNDLSSERSRSVPRAAKIEINYSRSRNLEEKKPNAAPTRYAANEACKGSDPKVGKVATEKVRSTSPFRRFSFSMGKTSKSSGSKEGSSIPHVSSTCTSGKTDSEISVASGVDTTCGDKLNAKSRARSSPLRRLLDPLLKPKAVNCRNFTNQLQDSILTESAFKSSEGQRHTTVTVQSAKVKSDTSTCCTVNVNDSSENKKYGSSAVQALLRVQVKNGLPLFTFAVDNESNILAATVKMLSASGKGDYGCIYTFFSIQEVRKKNGRWINQGGKGKGQDYIPNVVAQMKVSGSKFSHLSGPNHLDQFSIREFVLLTLDVGQANPQASDFQPNDEQAAIVVKIPKRNRRSSIRDGFLIDKRNSLPEAALKERLPEVKLDFDSGKKGPFMGAQDISATVILPSGVHSLPNKGEPSSLIQRWKSGGACDCGGWDLGCKLRILLNRSQLSQRSSSLKGSSISNQFELFFQGGVQDDKPFFSLAPFKDGIYSVEFNSSLSLMQAFSICIAIWDSRKHCELSESVTSSEERTLGETILNDRISAPNPVEGEAPARYVSYPPLSPVGRV >EOY33869 pep chromosome:Theobroma_cacao_20110822:9:39202353:39210088:-1 gene:TCM_041711 transcript:EOY33869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLPQASQKANLQGRYKLEKTKLSYADLHQEITKGGKDFSPKSSWKHHRQHAEIKANEEDELVKYMSNLPGFLEKRANPQEKVLNVGVLEWGRLEKWQYSHKQVLHRSSISSLSSSNTSSSFSTDESSAHSSRGRSCSPARQRLQRPSFQSHLISVPVEGNSPFNKPFRDSLGKLQDLKAAQSNTLNVQANFIREDKSFCKNNPEIKLEKCRRREMHSKIDSESGIVANGVKDKVASCDTVKMKNQVGDFMKKAEKFQEVIPKGANEDVIDTRNTVVLLLPRDLPKVNHSGPGNLSDLTTKSCKREAEPSRRIVPQTSKDAHRSELSSNFHHSGPLPCELDGSKHLQIKARGSIEANSNDLSSERSRSVPRAAKIEINYSRSRNLEEKKPNAAPTRYAANEACKGSDPKVGKVATEKVRSTSPFRRFSFSMGKTSKSSGSKEGSSIPHVSSTCTSGKTDSEISVASGVDTTCGDKLNAKSRARSSPLRRLLDPLLKPKAVNCRNFTNQLQDSILTESAFKSSEGQRHTTVTVQSAKVKSDTSTCCTVNVNDSSENKKYGSSAVQALLRVQVKNGLPLFTFAVDNESNILAATVKMLSASGKGDYGCIYTFFSIQEVRKKNGRWINQGGKGKGQDYIPNVVAQMKVSGSKFSHLSGPNHLDQFSIREFVLLTLDVGQANPQASDFQPNDEQAAIVVKIPKRNRRSSIRDGFLIDKRNSLPEAALKERLPEVKLDFDSGKKGPFMGAQDISATVILPSGVHSLPNKGEPSSLIQRWKSGGACDCGGWDLGCKLRILLNRSQLSQRSSSLKGSSISNQFELFFQGGVQDDKPFFSLAPFKDGIYSVEFNSSLSLMQAFSICIAIWDSRKHCELSESVTSSEERTLGETILNDRISAPNPVEGEAPARYVSYPPLSPVGRV >EOY32987 pep chromosome:Theobroma_cacao_20110822:9:34747916:34768272:1 gene:TCM_040994 transcript:EOY32987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-6 tubulin isoform 2 MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYTGNSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFVGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEELEYEEEEEEEEEGVHEM >EOY32986 pep chromosome:Theobroma_cacao_20110822:9:34747533:34750618:1 gene:TCM_040994 transcript:EOY32986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-6 tubulin isoform 2 MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYTGNSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEELEYEDEEEEGVHEM >EOY32601 pep chromosome:Theobroma_cacao_20110822:9:31902353:31908366:-1 gene:TCM_040609 transcript:EOY32601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCLGTPWLPPLENEFKFIVDRSVTGRRALASCGGVLKDFSESLNFYFFCFLEMQDSNYAELMATKHAVQQFASSSRVGMRRTTIESDAKVAVS >EOY29410 pep chromosome:Theobroma_cacao_20110822:9:1080814:1084190:-1 gene:TCM_036953 transcript:EOY29410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11-beta MPPNANNYFQTFGDCMSRIREKAEGLSCCLLGFVESPTEKAFLRQPKVFLCSKKSGKGKRPGKGGNRLWKSIGLGFKAPREAIEGTYIDKKCPFTDTVSIRGRILAGTCHGAKMMRTIIVRRNYLHYVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSGGGKKAFTGI >EOY31055 pep chromosome:Theobroma_cacao_20110822:9:6819516:6827420:-1 gene:TCM_038073 transcript:EOY31055 gene_biotype:protein_coding transcript_biotype:protein_coding description:F18B13.22 protein isoform 2 MDIFACIILVLWLFCSNIFCNAVGMKSELVDVLDFGAVGNGKADSSEAFLKAWNFVCSRETESVRLIVPGKHTFLLHPVTFSGQCKAREIKFLIHGTIVSPVSPKAWEGLDQGKWLTFYGVSGLKVKGTGEINGRGWGWWNQSCRNHPGLVEGCTSLAPTAITFQSCKTSSLSELRIINSSQTHVLIEGSDHFIVKDVIITAPETSPNTDGIHISSASNIVIRNSRIGTGDDCVSIGDHTTNIDISRVKCGPGHGISIGSLGRAGNFVQVQNIRVSRVAFKGTANGARIKTWQVGRGYVRGVTFENLFFNSVKNPIIIDQNYCNVRGACKELPTGVHIRDVTYRNLWGTSSTHVGITMNCSQSVSCTGLLLQSIWLKSAMAGKRVISSCINAHGAAIGVVQPAPCFQD >EOY31056 pep chromosome:Theobroma_cacao_20110822:9:6819764:6822613:-1 gene:TCM_038073 transcript:EOY31056 gene_biotype:protein_coding transcript_biotype:protein_coding description:F18B13.22 protein isoform 2 MKSELVDVLDFGAVGNGKADSSEAFLKAWNFVCSRETESVRLIVPGKHTFLLHPVTFSGQCKAREIKFLIHGTIVSPVSPKAWEGLDQGKWLTFYGVSGLKVKGTGEINGRGWGWWNQSCRNHPGLAITFQSCKTSSLSELRIINSSQTHVLIEGSDHFIVKDVIITAPETSPNTDGIHISSASNIVIRNSRIGTGDDCVSIGDHTTNIDISRVKCGPGHGISIGSLGRAGNFVQVQNIRVSRVAFKGTANGARIKTWQVGRGYVRGVTFENLFFNSVKNPIIIDQNYCNVRGACKELPTGVHIRDVTYRNLWGTSSTHVGITMNCSQSVSCTGLLLQSIWLKSAMAGKRVISSCINAHGAAIGVVQPAPCFQD >EOY31058 pep chromosome:Theobroma_cacao_20110822:9:6819512:6821907:-1 gene:TCM_038073 transcript:EOY31058 gene_biotype:protein_coding transcript_biotype:protein_coding description:F18B13.22 protein isoform 2 LLHPVTFSGQCKAREIKFLIHGTIVSPVSPKAWEGLDQGKWLTFYGVSGLKVKGTGEINGRGWGWWNQSCRNHPGLALAPTAITFQSCKTSSLSELRIINSSQTHVLIEGSDHFIVKDVIITAPETSPNTDGIHISSASNIVIRNSRIGTGDDCVSIGDHTTNIDISRVKCGPGHGISIGSLGRAGNFVQVQNIRVSRVAFKGTANGARIKTWQVGRGYVRGVTFENLFFNSVKNPIIIDQNYCNVRGACKELPTGVHIRDVTYRNLWGTSSTHVGITMNCSQSVSCTGLLLQSIWLKSAMAGKRVISSCINAHGAAIGVVQPAPCFQD >EOY31057 pep chromosome:Theobroma_cacao_20110822:9:6820234:6822651:-1 gene:TCM_038073 transcript:EOY31057 gene_biotype:protein_coding transcript_biotype:protein_coding description:F18B13.22 protein isoform 2 MKSELVDVLDFGAVGNGKADSSEAFLKAWNFVCSRETESVRLIVPGKHTFLLHPVTFSGQCKAREIKFLIHGTIVSPVSPKAWEGLDQGKWLTFYGVSGLKVKGTGEINGRGWGWWNQSCRNHPGLEGCTSLAPTAITFQSCKTSSLSELRIINSSQTHVLIEGSDHFIVKDVIITAPETSPNTDGIHISSASNIVIRNSRIGTGDDCVSIGDHTTNIDISRVKCGPGHGISIGSLGRAGNFVQVQNIRVSRVAFKGTANGARIKTWQVGRGYVRG >EOY32011 pep chromosome:Theobroma_cacao_20110822:9:19123413:19126801:1 gene:TCM_039420 transcript:EOY32011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 1 MGEQAFFDRMMGHLRSTCKYYTGYPKDLGPSRVIHFTSEREFVQLLHQGRPVVVAFTIRGNYTMHLDRVLEEAAAEFYPNVKFMRVECPKYPGFCITRQKKEYPFIEIFHSPEQAADQGRVADKSITRYSVKVLPFNYDLSAYGFREFFKRHGIQT >EOY32013 pep chromosome:Theobroma_cacao_20110822:9:19123400:19127087:1 gene:TCM_039420 transcript:EOY32013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 1 MGEQAFFDRMMGHLRSTCKYYTGYPKDLGPSRVIHFTSEREFVQLLHQGRPVVVAFTIRGNYTMHLDRVLEEAAAEFYPNVKFMRVECPKYPGFCITRQKKEYPFIEIFHSPEQAADQGRVADKSITRYSVKVLPFNYDLSAYGFREFFKRHGIQT >EOY32012 pep chromosome:Theobroma_cacao_20110822:9:19121977:19126830:1 gene:TCM_039420 transcript:EOY32012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 1 MGEQAFFDRMMGHLRSTCKYYTGYPKDLGPSRVIHFTSEREFVQLLHQGRPVVVAFTIRGNYTMHLDRVLEEAAAEFYPNVKFMRVECPKYPGFCITRQKKEYPFIEIFHSPEQAADQGRVADKSITRYSVKVLPFNYDLSAYGFREFFKRHGIQT >EOY30783 pep chromosome:Theobroma_cacao_20110822:9:5768377:5772482:-1 gene:TCM_037872 transcript:EOY30783 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase G3D MASRRRMLLKVIIVGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQFEDRIFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDHLNNWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFQCIAKNALKNEPEEEIYLPDTIDVGGGQQQRSSGCEC >EOY30828 pep chromosome:Theobroma_cacao_20110822:9:5934176:5942436:1 gene:TCM_037903 transcript:EOY30828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 4 MDMLGPSLWDVWNSSNQMLTEEMVACIAVEAISILEQLHHKGFVHGDVKPENFLLGQPGTSNEKKLYLIDLGLASRWKETASGRHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGKLPWQGYIGENKGFLVCKKKMATSPEMLCYLCPPPFQQFLEIVTNMKFDEEPNYSKLISLFHNSIDFTSSIRPIQIDGAIKAGQKRGRSLAELEDGEQLKKKIRLGAPASQWISIYNSRTSMKQRYHYNVMDSRLYQHVEKGREDGLYISCVASSLNLWAIVMDAGTGFTAQVFELSPIFLHKWDKNFYITSVAGAGNGSALVVMSKGTPYTQQSYKVSDVFPFKWINKKWKEGFSVTSMTTAGSKWGIVMSRNAGYPSQVVELDFLYPSEGIHRRWEKGYRITSAAATQDQAAFILSAPKRKSQDIMQETLRTTAFPSTHVKDKWLKNLYISCICYGRTVS >EOY30824 pep chromosome:Theobroma_cacao_20110822:9:5934176:5942436:1 gene:TCM_037903 transcript:EOY30824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 4 MPDLRGGIRRSKRINDNQESPAALVPTARRGAASRGRGRGRRAMNQDDNGKLVGPAACGRGCTGLNLPVRQVVEKSAERLVAVEEEGSTSSLPERVQLGNSPVYKLDRKLGKGGFGQVYVGRRISGGTGCSGPDAFEVALKFEHRNGKGCSSGPPYEWQVYSTLNGCYGLPLVHYKGQLGDYYILVMDMLGPSLWDVWNSSNQMLTEEMVACIAVEAISILEQLHHKGFVHGDVKPENFLLGQPGTSNEKKLYLIDLGLASRWKETASGRHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGKLPWQGYIGENKGFLVCKKKMATSPEMLCYLCPPPFQQFLEIVTNMKFDEEPNYSKLISLFHNSIDFTSSIRPIQIDGAIKAGQKRGRSLAELEDGEQLKKKIRLGAPASQWISIYNSRTSMKQRYHYNVMDSRLYQHVEKGREDGLYISCVASSLNLWAIVMDAGTGFTAQVFELSPIFLHKEWIMEQWDKNFYITSVAGAGNGSALVVMSKGTPYTQQSYKVSDVFPFKWINKKWKEGFSVTSMTTAGSKWGIVMSRNAGYPSQVVELDFLYPSEGIHRRWEKGYRITSAAATQDQAAFILSAPKRKSQDIMQETLRTTAFPSTHVKDKWLKNLYISCICYGRTVS >EOY30829 pep chromosome:Theobroma_cacao_20110822:9:5934626:5939813:1 gene:TCM_037903 transcript:EOY30829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 4 MPDLRGGIRRSKRINDNQESPAALVPTARRGAASRGRGRGRRAMNQDDNGKLVGPAACGRGCTGLNLPVRQVVEKSAERLVAVEEEGSTSSLPERVQLGNSPVYKLDRKLGKGGFGQVYVGRRISGGTGCSGPDAFEVALKFEHRNGKGCSSGPPYEWQVYSTLNGCYGLPLVHYKGQLGDYYILVMDMLGPSLWDVWNSSNQMLTEEMVACIAVEAISILEQLHHKGFVHGDVKPENFLLGQPGTSNEKKLYLIDLGLASRWKETASGRHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGKLPWQGYIGENKGFLVCKKKMATSPEMLCYLCPPPFQQFLEIVTNMKFDEEPNYSKLISLFHNSIDFTSSIRPIQIDGAIKAGQKRGRSLAELEDGEQLKKKIRLGAPASQWISIYNSRTSMKQR >EOY30830 pep chromosome:Theobroma_cacao_20110822:9:5934622:5938975:1 gene:TCM_037903 transcript:EOY30830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 4 LVCVILISSSSNKKEEVSFLHGKRMPDLRGGIRRSKRINDNQESPAALVPTARRGAASRGRGRGRRAMNQDDNGKLVGPAACGRGCTGLNLPVRQVVEKSAERLVAVEEEGSTSSLPERVQLGNSPVYKLDRKLGKGGFGQVYVGRRISGGTGCSGPDAFEVALKFEHRNGKGCSSGPPYEWQVYSTLNGCYGLPLVHYKGQLGDYYILVMDMLGPSLWDVWNSSNQMLTEEMVACIAVEAISILEQLHHKGFVHGDVKPENFLLGQPGTSNEKKLYLIDLGLASRWKETASGRHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGKLPWQGYIGENKGFLVCKKKMATSPEMLCYLCPPPFQQFLEIVTNMKFDEEPNYSKLISLFHNSIDFTSSIRPIQIDGAIKAGQKRGRSLAELEDGEQLKKKIRLGAPASQWISIYNSRTSMKQRYHYNVMDSRLYQHVEKGREDGLYISCVASSLNLWAIVMDAGTGFTAQVFELSPIFLHK >EOY30827 pep chromosome:Theobroma_cacao_20110822:9:5934504:5942323:1 gene:TCM_037903 transcript:EOY30827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 4 MPDLRGGIRRSKRINDNQESPAALVPTARRGAASRGRGRGRRAMNQDDNGKLVGPAACGRGCTGLNLPVRQVVEKSAERLVAVEEEGSTSSLPERVQLGNSPVYKLDRKLGKGGFGQVYVGRRISGGTGCSGPDAFEVALKFEHRNGKGCSSGPPYEWQVYSTLNGCYGLPLVHYKGQLGDYYILVMDMLGPSLWDVWNSSNQMLTEEMVACIAVEAISILEQLHHKGFVHGDVKPENFLLGQPGTSNEKKLYLIDLGLASRWKETASGRHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGKLPWQGYIGENKGFLVCKKKMATSPEMLCYLCPPPFQQFLEIVTNMKFDEEPNYSKLISLFHNSIDFTSSIRPIQIDGAIKAGQKRGRSLAELEDGEQLKKKIRLGAPASQWISIYNSRTSMKQRYHYNVMDSRLYQHVEKGREDGLYISCVASSLNLWAIVMDAGTGFTAQVFELSPIFLHKEWIMEQWDKNFYITSVAGAGNGSALVVMSKGYTLHATVLQS >EOY30825 pep chromosome:Theobroma_cacao_20110822:9:5934176:5942436:1 gene:TCM_037903 transcript:EOY30825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 4 MPDLRGGIRRSKRINDNQESPAALVPTARRGAASRGRGRGRRAMNQDDNGKLVGPAACGRGCTGLNLPVRQVVEKSAERLVAVEEEGSTSSLPERVQLGNSPVYKLDRKLGKGGFGQVYVGRRISGGTGCSGPDAFEVALKFEHRNGKGCSSGPPYEWQVYSTLNGCYGLPLVHYKGQLGDYYILVMDMLGPSLWDVWNSSNQMLTEEMVACIAVEAISILEQLHHKGFVHGDVKPENFLLGQPGTSNEKKLYLIDLGLASRWKETASGRHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGKLPWQGYIGENKGFLVCKKKMATSPEMLCYLCPPPFQQFLEIVTNMKFDEEPNYSKLISLFHNSIDFTSSIRPIQIDGAIKAGQKRGRSLAELEDGEQLKKKIRLGAPASQWISIYNSRTSMKQRYHYNVMDSRLYQHVEKGREDGLYISCVASSLNLWAIVMDAGTGFTAQVFELSPIFLHKEWIMEQWDKNFYITSVAGAGNGSALVVMSKGTPYTQQSYKVSDVFPFKWINKKWKEGFSVTSMTTAGSKWGIVMSRNAGYPSQVVELDFLYPSEGIHRRWEKGYRITSAAATQDQAAFILSAPKRKSQDIMQETLRTTAFPSTHVKDKWLKNLYISCICYGRTVS >EOY30826 pep chromosome:Theobroma_cacao_20110822:9:5935574:5940030:1 gene:TCM_037903 transcript:EOY30826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 4 MPDLRGGIRRSKRINDNQESPAALVPTARRGAASRGRGRGRRAMNQDDNGKLVGPAACGRGCTGLNLPVRQVVEKSAERLVAVEEEGSTSSLPERVQLGNSPVYKLDRKLGKGGFGQVYVGRRISGGTGCSGPDAFEVALKFEHRNGKGCSSGPPYEWQVYSTLNGCYGLPLVHYKGQLGDYYILVMDMLGPSLWDVWNSSNQMLTEEMVACIAVEAISILEQLHHKGFVHGDVKPENFLLGQPGTSNEKKLYLIDLGLASRWKETASGRHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGKLPWQGYIGENKGFLVCKKKMATSPEMLCYLCPPPFQQFLEIVTNMKFDEEPNYSKLISLFHNSIDFTSSIRPIQIDGAIKAGQKRGRSLAELEDGEQLKKKIRLGAPASQWISIYNSRTSMKQRYHYNVMDSRLYQHVEKGREDGLYISCVASSLNLWAIVMDAGTGFTAQVFELSPIFLHKEWIMEQWDKNFYITSVAGAGNGSALVVMSKGTPYTQQSYKVSDVFPFKWINKKWKEGFSVTSMTTAGSKWGIVMSRNAGYPSQVVPFQSNNTQLYDTATITYMFLFLLTRLLNLISSIQVREFIEDGRKDIGLHQQQLHRTKLHSYLVHPRENHKI >EOY32189 pep chromosome:Theobroma_cacao_20110822:9:22799160:22803623:-1 gene:TCM_039779 transcript:EOY32189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase isoform 3 MLRCSSQLKESVAIFISRKKKLGRFRDIISSVMENEAENKVYVHYNHSDSCSSARWTARESYRFMYDRAWQDVIDFYSNVVNGRLTLSTLFGTETSIHDDSETVEVSDEKGERSGRWERVTFKIIISYNGGAFDGWQKQPGLNTVQEIVERSLGRFVDEKKAQLLKEKSKPLEGCAVVAGRTDKGVSAIRQVCSFYTWRKDVKPWDIEDAINSVAPGKLRVVSVSEVSRVFHPNFSAKWRHYLYIFPLSNQEIEKQSCENKKEVENFISDGNYNEQRNGYLENIRWENVENLIISDNMGLEAANKPTRFSVCRVNQLLQQLERKLLSYKMFARDTKASRNMIMGKEGRS >EOY32188 pep chromosome:Theobroma_cacao_20110822:9:22798404:22802843:-1 gene:TCM_039779 transcript:EOY32188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase isoform 3 MAEAARIVERSLGRFVDEKKAQLLKEKSKPLEGCAVVAGRTDKGVSAIRQVCSFYTWRKDVKPWDIEDAINSVAPGKLRVVSVSEVSRVFHPNFSAKWRHYLYIFPLSNQEIEKQSCENKKEVENFISDGNYNEQRNGYLENIRWENVENLIISDNMGLEAANKPTRFSVCRVNQLLQQLERKLLSYKMFARDTKASRNIGPPTECFMYHARAAEARIPCSDHGEGREVMCVELVANRFLRKMVRVLVATSIREAAAGAEEDALLKLMGATCRRATAPPAPPDGLCLVDVGYTEFDPQNCLLS >EOY32187 pep chromosome:Theobroma_cacao_20110822:9:22798689:22803559:-1 gene:TCM_039779 transcript:EOY32187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase isoform 3 MLRCSSQLKESVAIFISRKKKLGRFRDIISSVMENEAENKVYVHYNHSDSCSSARWTARESYRFMYDRAWQDVIDFYSNVVNGRLTLSTLFGTETSIHDDSETVEVSDEKGERSGRWERVTFKIIISYNGGAFDGWQKQPGLNTVQEIVERSLGRFVDEKKAQLLKEKSKPLEGCAVVAGRTDKGVSAIRQVCSFYTWRKDVKPWDIEDAINSVAPGKLRVVSVSEVSRVFHPNFSAKWRHYLYIFPLSNQEIEKQSCENKKEVENFISDGNYNEQRNGYLENIRWENVENLIISDNMGLEAANKPTRFSVCRVNQLLQQLERKLLSYKMFARDTKASRNIGPPTECFMYHARAAEARIPCSVNDHGEGREVMCVELVANRFLRKMVRVLVATSIREAAAGAEEDALLKLMGATCRRATAPPAPPDGLCLVDVGYTEFDPQNCLLS >EOY32186 pep chromosome:Theobroma_cacao_20110822:9:22796241:22814092:-1 gene:TCM_039779 transcript:EOY32186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase isoform 3 MLRCSSQLKESVAIFISRKKKLGRFRDIISSVMENEAENKVYVHYNHSDSCSSARWTARESYRFMYDRAWQDVIDFYSNVVNGRLTLSTLFGTETSIHDDSETVEVSDEKGERSGRWERVTFKIIISYNGGAFDGWQKQPGLNTVQEIVERSLGRFVDEKKAQLLKEKSKPLEGCAVVAGRTDKGVSAIRQVCSFYTWRKDVKPWDIEDAINSVAPGKLRVVSVSEVSRVFHPNFSAKWRHYLYIFPLSNQEIEKQSCENKKEVENFISDGNYNEQRNGYLENIRWENVENLIISDNMGLEAANKPTRFSVCRVNQLLQQLERKLLSYKMFARDTKASRNIGPPTECFMYHARAAEARIPCSDHGEGREVMCVELVANRFLRKMVRVLVATSIREAAAGAEEDALLKLMGATCRRATAPPAPPDGLCLVDVGYTEFDPQNCLLS >EOY29551 pep chromosome:Theobroma_cacao_20110822:9:1531696:1533401:1 gene:TCM_037055 transcript:EOY29551 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit, putative isoform 1 MVGANLKSETMKLMEKRSGMEAEMNAIIEQLCQPGGPGLSGNLVDSEGFPRADIDIPVVRAQRNRLAELKNDHKEITEKISVNIQVLHSSRLTSTPKDSGVDGGLMNQNASVVSASASASLENLVLRDSLSANDVDMISSVPFAMVDEIADASPAAEDGLQLGDQIVKFGNVKAGDNLLQRLASEAQVNQGHPIPVIIMRQGALVNLAVTPRTWQGRGLLGCHFRMM >EOY29552 pep chromosome:Theobroma_cacao_20110822:9:1531692:1533465:1 gene:TCM_037055 transcript:EOY29552 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit, putative isoform 1 MVGANLKSETMKLMEKRSGMEAEMNAIIEQLCQPGGPGLSGNLVDSEGFPRADIDIPVVRAQRNRLAELKNDHKEITEKISVNIQVLHSSRLTSTPKDSGVDGGLMNQNASVVSASASASLENLVLRDSLSANDVDMISSVPFAMVDEIADASPAAEDGLQLGDQIVKFGNVKAGDNLLQRLASEAQVNQGHPIPVIIMRQGALVNLAVTPRTWQGRGLLGKRLRSENHD >EOY29553 pep chromosome:Theobroma_cacao_20110822:9:1531692:1533465:1 gene:TCM_037055 transcript:EOY29553 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit, putative isoform 1 MVGANLKSETMKLMEKRSGMEAEMNAIIEQLCQPGGPGLSGNLVDSEGFPRADIDIPVVRAQRNRLAELKNDHKEITEKISVNIQVLHSSRLTSTPKDSVVSASASASLENLVLRDSLSANDVDMISSVPFAMVDEIADASPAAEDGLQLGDQIVKFGNVKAGDNLLQRLASEAQVNQGHPIPVIIMRQGALVNLAVTPRTWQGRGLLGCHFRMM >EOY31418 pep chromosome:Theobroma_cacao_20110822:9:8698930:8709555:1 gene:TCM_038358 transcript:EOY31418 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein, putative isoform 1 MSVNRDVAAIVMGLREVPGRDNIDLYDADILSRSMWRPSFSLRPNILAASAIADPAVMSSSRRPYRGRRNQWCRGFSDRSNSGGRGQLVTGDSHLNSVREANLGFRRGNFSNQNSFQPQQFGYRPRPQSPYDQNQQQFRQPPPSHPYNRYQRPRQSFDQNQAARPFRPRNSKPWDYREWEYAKTPPPSHSERFIVLSYNILADYLASTHRNLYFHIPLHMMNWEWRKRNLMFELGLWSADIMCFQEVDKFHDLEEQMKYRGYSGIWKMRTGNAMDGCAIFWRTSRFKLLHEEYIEFNKHGLRDNVAQICVLELLSQHTPENTSAPLKSSANKVVVCNIHVLYNPRRGEIKLGQVRRLLEGAHSVSKSWDDAPVVLCGDFNCTPKSPLYNFISEQKLDLSGVDRDKVSGQASAEIPPPMPYNPSSGVQSCDNSVQVPSTVDIKEVGVDKNDSHSDTQKQNNLDRNIKDAPINNLPRFSETMQDFSDMSCNNLLTDGNGSAQYGEVTTRTHQNVIDVAKAETGSTFFIPIDSSKKSSSCCHNEVKFPIDEMDDDKQRFSLANSSCIENVCSDVTEMEHTGIDITIHSNEDTCIVMDDHSNRVRTDPEFLNTSKSTGSLCQTHSPDSVVVSHLGISGSRSSQSIANDDSVSPSIPYQVDFSGLSAGIDIEVEEKMDNLSLEELSKAMVEGGTIVEDNNAFVAALYGNEDVNPTNSGLSVSSDLDHSSKEFFSSQNSQFLLPSDEMLDDLSPSLDSEGSEVEQATYDPSVWSPMEIATATGSEDCNFLEHPLQLKSTYTEVKDFSGTRDLDGEPLVTSYNRRFFGTVDYIWRSEGLQTVRVLAPIPKHAMQWTPGFPTKKWGSDHFALASELAFTKDYQLGPKNLAGDLKGSRSDSLAVKKPDWFDQRTDSAIHTHNPKQLVLRDGQLPLFSILSFAPPSLLFFPQDYTYRHAPSKPLVYFSSFMTERRMDDDEESNST >EOY31419 pep chromosome:Theobroma_cacao_20110822:9:8698930:8709461:1 gene:TCM_038358 transcript:EOY31419 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein, putative isoform 1 MSVNRDVAAIVMGLREVPGRDNIDLYDADILSRSMWRPSFSLRPNILAASAIADPAVMSSSRRPYRGRRNQWCRGFSDRSNSGGRGQLVTGDSHLNSVREANLGFRRGNFSNQNSFQPQQFGYRPRPQSPYDQNQQQFRQPPPSHPYNRYQRPRQSFDQNQAARPFRPRNSKPWDYREWEYAKTPPPSHSERFIVLSYNILADYLASTHRNLYFHIPLHMMNWEWRKRNLMFELGLWSADIMCFQEVDKFHDLEEQMKYRGYSGIWKMRTGNAMDGCAIFWRTSRFKLLHEEYIEFNKHGLRDNVAQICVLELLSQHTPENTSAPLKSSANKVVVCNIHVLYNPRRGEIKLGQVRRLLEGAHSVSKSWDDAPVVLCGDFNCTPKSPLYNFISEQKLDLSGVDRDKVSGQASAEIPPPMPYNPSSGVQSCDNSVQVPSTVDIKEVGVDKNDSHSDTQKQNNLDRNIKDAPINNLPRFSETMQDFSDMSCNNLLTDGNGSAQYGEVTTRTHQNVIDVAKAETGSTFFIPIDSSKKSSSCCHNEVKFPIDEMDDDKQRFSLANSSCIENVCSDVTEMEHTGIDITIHSNEDTCIVMDDHSNRVRTDPEFLNTSKSTGSLCQTHSPDSVVVSHLGISGSRSSQSIANDDSVSPSIPYQVDFSGLSAGIDIEVEEKMDNLSLEELSKAMVEGGTIVEDNNAFVAALYGNEDVNPTNSGLSVSSDLDHSSKEFFSSQNSQFLLPSDEMLDDLSPSLDSEGSEVEQATYDPSVWSPMEIATATGSEDCNFLEHPLQLKSTYTEVKDFSGTRDLDGEPLVTSYNRRFFGTVDYIWRSEGLQTVRVLAPIPKHAMQWTPGFPTKGCPCCFSAASLRNWISLVGLHFWVSRQGRAEPSADGFCFIHL >EOY31914 pep chromosome:Theobroma_cacao_20110822:9:17672626:17675469:-1 gene:TCM_039270 transcript:EOY31914 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFU domain protein 3 isoform 4 MDGALLNPISGSRGFTSKNNAFLGGQFHSRHFLWYNSRRSRRKQRGLAVSPSCVLPLTEENVEKILDEVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEILEVEQIMDTETGLELNEENVEKVLDEIRPYLVGTGGGELELVQINDYVVKVRLSGPAAGVMTVRVALTQKLREKIPAIAAVQLLD >EOY31912 pep chromosome:Theobroma_cacao_20110822:9:17672610:17675469:-1 gene:TCM_039270 transcript:EOY31912 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFU domain protein 3 isoform 4 TAQPSQIDFNVSKYYYGLLNPVGEFPLLFKWGFISINYRINKKPEMSAIFVQTQAALKARPIAACLSSSKEALSPCLSLLKVLFKSLISYSFMDGALLNPISGSRGFTSKNNAFLGGQFHSRHFLWYNSRRSRRKQRGLAVSPSCVLPLTEENVEKILDEVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEILEVEQIMDTETGLELNEENVEKVLDEIRPYLVGTGGGELELVQINDYVVKVRLSGPAAGVMTVRVALTQKLREKIPAIAAVQLLD >EOY31915 pep chromosome:Theobroma_cacao_20110822:9:17672609:17675446:-1 gene:TCM_039270 transcript:EOY31915 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFU domain protein 3 isoform 4 MFITPKGSRGFTSKNNAFLGGQFHSRHFLWYNSRRSRRKQRGLAVSPSCVLPLTEENVEKILDEVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEILEVEQIMDTETGLELNEENVEKVLDEIRPYLVGTGGGELELVQINDYVVKVRLSGPAAGVMTVRVALTQKLREKIPAIAAVQLLD >EOY31913 pep chromosome:Theobroma_cacao_20110822:9:17673123:17675469:-1 gene:TCM_039270 transcript:EOY31913 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFU domain protein 3 isoform 4 TAQPSQIDFNVSKYYYGLLNPVGEFPLLFKWGFISINYRINKKPEMSAIFVQTQAALKARPIAACLSSSKEALSPCLSLLKNPISGSRGFTSKNNAFLGGQFHSRHFLWYNSRRSRRKQRGLAVSPSCVLPLTEENVEKILDEVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEILEVEQIMDTETGLELNEENVEKCCRFLMRLDRTW >EOY31910 pep chromosome:Theobroma_cacao_20110822:9:17672610:17675469:-1 gene:TCM_039270 transcript:EOY31910 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFU domain protein 3 isoform 4 MSAIFVQTQAALKARPIAACLSSSKEALSPCLSLLKNPISGSRGFTSKNNAFLGGQFHSRHFLWYNSRRSRRKQRGLAVSPSCVLPLTEENVEKILDEVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEILEVEQIMDTETGLELNEENVEKVLDEIRPYLVGTGGGELELVQINDYVVKVRLSGPAAGVMTVRVALTQKLREKIPAIAAVQLLD >EOY31911 pep chromosome:Theobroma_cacao_20110822:9:17673123:17675469:-1 gene:TCM_039270 transcript:EOY31911 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFU domain protein 3 isoform 4 TAQPSQIDFNVSKYYYGLLNPVGEFPLLFKWGFISINYRINKKPEMSAIFVQTQAALKARPIAACLSSSKEALSPCLSLLKVLFKSLISYSFMDGALLNPISGSRGFTSKNNAFLGGQFHSRHFLWYNSRRSRRKQRGLAVSPSCVLPLTEENVEKILDEVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEILEVEQIMDTETGLELNEENVEKCCRFLMRLDRTW >EOY32610 pep chromosome:Theobroma_cacao_20110822:9:32045002:32052779:1 gene:TCM_040619 transcript:EOY32610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal atpase 5 isoform 2 MRDQAMSTNFGGKKAEVNGRDDLNRPLLEPRDSVSISIPEPVDKLDRKRTVMFRIGNIKCASCVTSIESVLGGLKGVESVSVSPIQGQAAIEYVPKLINTKKIKETIEDAGFPVTEFPEQEIAVCRLRIKGMACTSCSESLERALQLLDGVKKAVVGLALEEAKVHFDRNVTDPDRIIEAIEDAGFGAKLINSGNEVNKVHLKLEGVSSGEEMNTIQSYLESAIGVNHIEMDLEENKFAVTYDPDLTGPRSLIEGIQKVGHGSYKASLYIPPRQREAEQQHEISMYRDQFLSSCLFSVPVFIFSMVLPMLPPFGNWLEYKIYNMFTVGLLLRWILCTPVQFIVGRRFYTGSYHALRRKSANMDVLVAMGTNAAYFYSVYIAIKALSSDTFEGQDFFETSAMLISFILLGKYLEVVAKGKTSDALAKLMDLAPDTARLLTLDDDDGNVVSEVEISTQLIQRNDIIKIIPGEKVPVDGIVTDGQSYVNESMITGEARPIAKKPGDKVIGGTMNENGCLLIKATHVGSETALSQIVQLVEAAQLARAPVQKIADQISRFFVPAVVLCALITYLGWLIPGVIGFYPKHWIPKGMDKFELALQFGISVLVVACPCALGLATPTAVMVATGKGASLGVLIKGGNALEKAHKVTAIVFDKTGTLTIGKPEVVSVMLFSSMSMEDFCDMAIAAEANSEHPIAKAFLEHARKLHQKIESNNQPNNQHLKEARDFEVHPGTGVSGKVGDKMVLVGNKRLMQTYNVTVGPEIEDYISENEQLARTCVLVAIDGKVVGAFAVTDPVKPEAKQVILYLRSMGISSIMVTGDNWATATAIAKEVGIEKVIAETDPVGKADRIKELQMKGLTVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADIVLIKSNLQDVVTAIDLSRKTISRIWLNYVWALGYNILGVPVAAGILYPFTGIRLPPWLAGACMAASSLSVVCSSLLLQFYRKPLVVQDTKGQSHYSIST >EOY32611 pep chromosome:Theobroma_cacao_20110822:9:32045084:32052869:1 gene:TCM_040619 transcript:EOY32611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal atpase 5 isoform 2 MSTNFGGKKAEVNGRDDLNRPLLEPRDSVSISIPEPVDKLDRKRTVMFRIGNIKCASCVTSIESVLGGLKGVESVSVSPIQGQAAIEYVPKLINTKKIKETIEDAGFPVTEFPEQEIAVCRLRIKGMACTSCSESLERALQLLDGVKKAVVGLALEEAKVHFDRNVTDPDRIIEAIEDAGFGAKLINSGNEVNKVHLKLEGVSSGEEMNTIQSYLESAIGVNHIEMDLEENKFAVTYDPDLTGPRSLIEGIQKVGHGSYKASLYIPPRQREAEQQHEISMYRDQFLSSCLFSVPVFIFSMVLPMLPPFGNWLEYKIYNMFTVGLLLRWILCTPVQFIVGRRFYTGSYHALRRKSANMDVLVAMGTNAAYFYSVYIAIKALSSDTFEGQDFFETSAMLISFILLGKYLEVVAKGKTSDALAKLMDLAPDTARLLTLDDDDGNVVSEVEISTQLIQRNDIIKIIPGEKVPVDGIVTDGQSYVNESMITGEARPIAKKPGDKVIGGTMNENGCLLIKATHVGSETALSQIVQLVEAAQLARAPVQKIADQISRFFVPAVVLCALITYLGWLIPGVIGFYPKHWIPKGMDKFELALQFGISVLVVACPCALGLATPTAVMVATGKGASLGVLIKGGNALEKAHKVTAIVFDKTGTLTIGKPEVVSVMLFSSMSMEDFCDMAIAAEANSEHPIAKAFLEHARKLHQKIESNNQPNNQHLKEARDFEVHPGTGVSGKVGDKMVLVGNKRLMQTYNVTVGPEIEDYISENEQLARTCVLVAIDGKVVGAFAVTDPVKPEAKQVILYLRSMGISSIMVTGDNWATATAIAKEVGIEKVIAETDPVGKADRIKELQMKGLTVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADIVLIKSNLQDVVTAIDLSRKTISRIWLNYVWALGYNILGVPVAAGILYPFTGIRLPPWLAGACMAASSLSVVCSSLLLQFYRKPLVVQDTKGQSHYSIST >EOY29672 pep chromosome:Theobroma_cacao_20110822:9:2002813:2004638:-1 gene:TCM_037145 transcript:EOY29672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 11 isoform 1 MTVVSKEMGQFQLQQALTLKPYPCKFMPLASFSYSPCSLLPKLSTIRRFPGSTRLTEPVKFPLLQSLPTVRPRLVCKMNGSDIVSELELGKSGDRRKPEKRVNGVFWIILLNLGIYVADHLFQVQGIQSLYLYHKWPAWYQFLTSTFCHANWKHLSSNLFFLYIFGKLVEEEEGNFALWISYIFTGAGANIVSWLVLPRNAVSVGASGAVFGLFAISVFVKMSWDWRKILEVLILGQFVIEKVSDGSSPSFNWPVWLFLFLAKCQSHCTSLWCSYWCSSSMASQQNSFSTS >EOY29671 pep chromosome:Theobroma_cacao_20110822:9:2002525:2004971:-1 gene:TCM_037145 transcript:EOY29671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 11 isoform 1 MTVVSKEMGQFQLQQALTLKPYPCKFMPLASFSYSPCSLLPKLSTIRRFPGSTRLTEPVKFPLLQSLPTVRPRLVCKMNGSDIVSELELGKSGDRRKPEKRVNGVFWIILLNLGIYVADHLFQVQGIQSLYLYHKWPAWYQFLTSTFCHANWKHLSSNLFFLYIFGKLVEEEEGNFALWISYIFTGAGANIVSWLVLPRNAVSVGASGAVFGLFAISVFVKMSWDWRKILEVLILGQFVIEKVMEAAQASTGLSGSSYSLQSVNHIAHLSGALIGVLLVWLLSKIPSQPPDKEISNLHRKRGRSS >EOY29889 pep chromosome:Theobroma_cacao_20110822:9:2702959:2705749:1 gene:TCM_037280 transcript:EOY29889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MADKPSRGLILYGDGLARFIEPSHAHLHSLASKANCGFLSLPNAPPSESEDDRIVREFAVLMDACEAYFNKNGQLSTEAKSQKSSLIPTMSERFMGMRAALLTNSSSLKSFGGKLGFDVLHLNGLFENINFPSAQSADYLASELLALLGFQEGRILNASQFDLVIVHIGSGENLNAEKGKGTVGDMEFMNALIGAIMLIAQPGTEIASRLYLSLIMGYGNVSRADDPGLSIVSHNYENDSPLSALFPQQSYTMRGESPRNDVRDLEISSYQLIGCCMR >EOY29887 pep chromosome:Theobroma_cacao_20110822:9:2702823:2705510:1 gene:TCM_037280 transcript:EOY29887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MADKPSRGLILYGDGLARFIEPSHAHLHSLASKANCGFLSLPNAPPSESEDDRIVREFAVLMDACEAYFNKNGQLSTEAKSQKSSLIPTMSERFMGMRAALLTNSSSLKSFGGKLGFDVLHLNGLFENINFPSAQSADYLASELLALLGFQEGRILNASQFDLVIVHIGSGENLNAEKGKGTVGDMEFMNALIGAIMLIAQPGTEIASRLYLSLIMGYGNVSRADDPGLSIVSHNYENDSPLSALFPQQSYTMRGESPRNDVRHYSPMLVAQYQNAVTRKDMVDTFSFEDFKERSGNLIIPADRLLHEIAFKLWKAPKYGA >EOY29888 pep chromosome:Theobroma_cacao_20110822:9:2702959:2705749:1 gene:TCM_037280 transcript:EOY29888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MADKPSRGLILYGDGLARFIEPSHAHLHSLASKANCGFLSLPNAPPSESEDDRIVREFAVLMDACEAYFNKNGQLSTEAKSQKSSLIPTMSERFMGMRAALLTNSSSLKSFGGKLGFDVLHLNGLFENINFPSAQSADYLASELLALLGFQEGRILNASQFDLVIVHIGSGENLNAEKGKGTVGDMEFMNALIGAIMLIAQPGTEIASRLYLSLIMGYGNVSRADDPGLSIVSHNYENDSPLSALFPQQSYTMRGESPRNDVRPMLVAQYQNAVTRKDMVDTFSFEDFKERSGNLIIPADRLLHEIAFKLWKAPKYGA >EOY32234 pep chromosome:Theobroma_cacao_20110822:9:23971809:23974518:1 gene:TCM_039852 transcript:EOY32234 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein containing PDZ domain, a K-box domain, and a TPR region [Source:Projected from Arabidopsis thaliana (AT1G55480) TAIR;Acc:AT1G55480] AGFRPITYSHTYTLEFHRIELKKDFLSTKTRHKRISTESTMSLAPSSHPSLCSSPPLPRTSHILFSSIKHVVFNNSNCSFFSTSSVSFCSAKEPKPPVSVVKASETESQTTNQEPESEEQYEEYEIEIEQPYGLKFRKGRDGGTYIDAILPGGAADKTGVFTVGDKVLATSAVFGTEIWPSAEYGRTMYTIRQRIGPLLMKMQKRYGKVDDGGELTEKEIIRAERNSGVISNRVREIQMQNYLRKKEQKERRAMDLREGLQLYRSAKYEEALEKFESVLGSKPEPDEASVASYNVACCYAKLNQIQAGLSALQDALQAGFDDFKRIRTDPDLANLKTSEQFESLLKSFEGD >EOY32233 pep chromosome:Theobroma_cacao_20110822:9:23971819:23974491:1 gene:TCM_039852 transcript:EOY32233 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein containing PDZ domain, a K-box domain, and a TPR region [Source:Projected from Arabidopsis thaliana (AT1G55480) TAIR;Acc:AT1G55480] MSLAPSSHPSLCSSPPLPRTSHILFSSIKHVVFNNSNCSFFSTSSVSFCSAKEPKPPVSVVKASETESQTTNQEPESEEQYEEYEIEIEQPYGLKFRKGRDGGTYIDAILPGGAADKTGVFTVGDKVLATSAVFGTEIWPSAEYGRTMYTIRQRIGPLLMKMQKRYGKVDDGGELTEKEIIRAERNSGVISNRVREIQMQNYLRKKEQKERRAMDLREGLQLYRSAKYEEALEKFESVLGSKPEPDEASVASYNVACCYAKLNQIQAGLSALQDALQAGFDDFKRIRTDPDLANLKTSEQFESLLKRFDESFINENAVNAIKSLFGMFNKK >EOY32369 pep chromosome:Theobroma_cacao_20110822:9:28277754:28279287:-1 gene:TCM_040244 transcript:EOY32369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTNPMDQAHFDSGVSIVRLVIRHGGQWVDGIYKGGESRMRRVRSDSSFASLMKVVKDVVGVNSKIEEIELHALISTPGEISQPIIKDNEDIALVLLE >EOY33158 pep chromosome:Theobroma_cacao_20110822:9:35708095:35714843:-1 gene:TCM_041140 transcript:EOY33158 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MMRLRTYAGLSLVATLAVIYHAFNSRGQFYPAMVYLSTSKISLVLLLNMGLVIMCTLWQLTKRVFLGSLREAEIERLNEQSWREVMEILFAITIFRQDFSVTFLAMVTALLLIKALHWLAQKRVEYIETTPSVSMLSHVRIVSFMGFLLLLDCLFLYSSIKFLIQTRQASVSLFFSFEYMILATTTVSTFVKYVFYVSDMLMEGQWEKKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKIRVADYMRYRKITSNMNDRFPDATPEELNASDATCIICREEMTTAKKLVCGHLFHVHCLRSWLERQHTCPTCRALVVPPENGTSSAGGQHGPRSDAHQQGSSTSSATQGPGGDMATDNLTQHQARLQAAAAAASIYEKSYIYPSANTLVWSPGYAVLPQAYGPSADSTKVESSGERTFIGQQQFAIPGGHANLSFPQFPHCVFVPFELPGANGNSGEGSGSYQNIPDSQLEAQKIIQQKIEHQLQLLQKPKTEESMDIGLTGSSDRKGKATASSSVSETHNGEAEE >EOY31492 pep chromosome:Theobroma_cacao_20110822:9:9127571:9132059:1 gene:TCM_038419 transcript:EOY31492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MGNTSSMLTQYDIEEVQQHCNNAFSQQEIVSLYQRFCQLDRNGGGFISAEEFLSVPEFAVNPLSQRLLRMLDGLNFKEFVAFLSAFSPRATLQHKIEFIFKVYDSDGNGKVTFNDMLDVLHDLTGQFISEQQREQVLTHVLEEAGYTKESLLVMSDFVKILGSSGLKMEVEVPVD >EOY32859 pep chromosome:Theobroma_cacao_20110822:9:34094564:34098313:-1 gene:TCM_040877 transcript:EOY32859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 METQLLNLSQAHYMNSLSSKLKPLLSSSSSKLVPFSSLSLSLNPHNHPSSTTRRVFATNSVKLSAADAAAALKESWLDSLSCPLPDSREDPIRSNADSNWVIGVDPDLSGALALLRTDSSGCSAQVFDSPHLPVRVGNRVRKRLDARSIVQLVRSLEAPIGTAAYIEQSIPYPKDGKQGWWSGGFGYGLWIGILVASGFSVVPVPSLLWKKGFELTGAGSTKDDSRRIASTLFPSLSDLLKRKKDHES >EOY32862 pep chromosome:Theobroma_cacao_20110822:9:34094081:34098313:-1 gene:TCM_040877 transcript:EOY32862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 METQLLNLSQAHYMNSLSSKLKPLLSSSSSKLVPFSSLSLSLNPHNHPSSTTRRVFATNSVKLSAADAAAALKESWLDSLSCPLPDSREDPIRSNADSNWVIGVDPDLSGALALLRTDSSGCSAQVFDSPHLPVRVGNRVRKRLDARSIVQLVRSLEAPIGRAEALLIAAYGKGLRMKVDPSFVIENLVP >EOY32861 pep chromosome:Theobroma_cacao_20110822:9:34094111:34098313:-1 gene:TCM_040877 transcript:EOY32861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 METQLLNLSQAHYMNSLSSKLKPLLSSSSSKLVPFSSLSLSLNPHNHPSSTTRRVFATNSVKLSAADAAAALKESWLDSLSCPLPDSREDPIRSNADSNWVIGVDPDLSGALALLRTDSSGCSAQVFDSPHLPVRVGNRVRKRLDARSIVQLVRSLEAPIGTAAYIEQSIPYPKDGKQGWWSGGFGYGLWIGILVASGFSVVPVPSLLWKKGFELTGAGSTKDGLRLFSLLHMEKVSE >EOY32858 pep chromosome:Theobroma_cacao_20110822:9:34093984:34098309:-1 gene:TCM_040877 transcript:EOY32858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 METQLLNLSQAHYMNSLSSKLKPLLSSSSSKLVPFSSLSLSLNPHNHPSSTTRRVFATNSVKLSAADAAAALKESWLDSLSCPLPDSREDPIRSNADSNWVIGVDPDLSGALALLRTDSSGCSAQVFDSPHLPVRVGNRVRKRLDARSIVQLVRSLEAPIGTAAYIEQSIPYPKDGKQGWWSGGFGYGLWIGILVASGFSVVPVPSLLWKKGFELTGAGSTKDDSRRIASTLFPSLSDLLKRKKDHGRAEALLIAAYGKGLRMKVDPSFVIENLVP >EOY32860 pep chromosome:Theobroma_cacao_20110822:9:34095429:34098313:-1 gene:TCM_040877 transcript:EOY32860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 METQLLNLSQAHYMNSLSSKLKPLLSSSSSKLVPFSSLSLSLNPHNHPSSTTRRVFATNSVKLSAADAAAALKESWLDSLSCPLPDSREDPIRSNADSNWVIGVDPDLSGALALLRTDSSGCSAQVFDSPHLPVRVGNRVRKRLDARSIVQLVRSLEAPIGTAAYIEQSIPYPKDGKQGWWSGGFGYGLWIGILVASGFSVVPVPSLLWKKGFELTGAGSTKGVDGQWSCFSVLKFATETVGEVADG >EOY30573 pep chromosome:Theobroma_cacao_20110822:9:5022791:5023852:-1 gene:TCM_037733 transcript:EOY30573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFSRRVVPLSVDEQVACCYGSERMPAEIFLLIYSAGCCFSLHLETEALLWLIKMVVGALPKEICFFNLAR >EOY29250 pep chromosome:Theobroma_cacao_20110822:9:490891:491940:1 gene:TCM_036843 transcript:EOY29250 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MSNAKFTPIMPHFLKPLLPGFHKQLNCERAVLRSCGSRTWSVKLKGRRFEDGWEEFARDHDLYVGDVLVFRHGGNMVFDVMVFDTRSACQREYPLFAMKGKDQKKSSAKRFGKQLEKCTSTSFKHEHPYFVATLKPNNLKVSKLNIPRKFARSNGLTDRFCEMVLVDQQGRSWIANLRHKKSDGQVYIGRGWRNLCIANNLKEEDSVLLELIGN >EOY29249 pep chromosome:Theobroma_cacao_20110822:9:491012:492661:1 gene:TCM_036843 transcript:EOY29249 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 1 MPHFLKPLLPGFHKQLSIPLSFFIKYLKGQNCERAVLRSCGSRTWSVKLKGRRFEDGWEEFARDHDLYVGDVLVFRHGGNMVFDVMVFDTRSACQREYPLFAMKGKDQKKSSAKRFGKQLEKCTSTSFKHEHPYFVATLKPNNLKVSKLNIPRKFARSNGLTDRFCEMVLVDQQGRSWIANLRHKKSDGQVYIGRGWRNLCIANNLKEEDSVLLELIGNGKKPIFKLEVARDSSAKTKPNHPDSKAGDWSCFCEGSSRC >EOY30422 pep chromosome:Theobroma_cacao_20110822:9:4560490:4563673:1 gene:TCM_037641 transcript:EOY30422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein 1,2 isoform 6 MSNEGINFNMADLGDALNEEARAGLVNALKNKLQNLAGEHTDMLENLSPNVRKRVEVLREIQSQHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGVGEAEGTPNEATMDQGEDKAAEEKGVPDFWLTAMKNNEVLSEEITERDEGALKYLKDIKWYRIEEPKGFKLEFYFDTNPYFKNALLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKPITKTEDCESFFNFFNPPQVPDDDEDIDEDTAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQADELEIEDDDEDEDDDVDEDEDEEDEDDDDEDDEDDEEESKTKKKSSSAQKSGRAQGEGQQGERPPECKQQ >EOY30423 pep chromosome:Theobroma_cacao_20110822:9:4560423:4563114:1 gene:TCM_037641 transcript:EOY30423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein 1,2 isoform 6 MSNEGINFNMADLGDAALNEEARAGLVNALKNKLQNLAGEHTDMLENLSPNVRKRVEVLREIQSQHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGVGEAEGTPNEATMDQGEDKAAEEKGVPDFWLTAMKNNEVLSEEITERDEGALKYLKDIKWYRIEEPKGFKLEFYFDTNPYFKNALLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKPITKTEDCESFFNFFNPPQVPDDDEDIDEDTVWLTSPYLHKTLMID >EOY30424 pep chromosome:Theobroma_cacao_20110822:9:4560831:4563108:1 gene:TCM_037641 transcript:EOY30424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein 1,2 isoform 6 LTRKLERALLTLSRSNKLQNLAGEHTDMLENLSPNVRKRVEVLREIQACSSQHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGVGEAEGTPNEATMDQGEDKAAEEKGVPDFWLTAMKNNEVLSEEITERDEGALKYLKDIKWYRIEEPKGFKLEFYFDTNPYFKNALLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKPITKTEDCESFFNFFNPPQVPDDDEDIDEDTAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQADELEIEDDDEDEDDDVDEDEDEEDEDDDDEDDEDDEEESKTKKKSSSAQK >EOY30425 pep chromosome:Theobroma_cacao_20110822:9:4560423:4563224:1 gene:TCM_037641 transcript:EOY30425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein 1,2 isoform 6 MSNEGINFNMADLGDAALNEEARAGLVNALKNKLQNLAGEHTDMLENLSPNVRKRVEVLREIQSQHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGVGEAEGTPNEATMDQGEDKAAEEKGVPDFWLTAMKNNEVLSEEITERDEGALKYLKDIKWYRIEEPKGFKLEFYFDTNPYFKNALLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKPITKTEDCESFFNFFNPPQVPDDDEDIDEDRRK >EOY30421 pep chromosome:Theobroma_cacao_20110822:9:4560510:4563595:1 gene:TCM_037641 transcript:EOY30421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein 1,2 isoform 6 MSNEGINFNMADLGDALNEEARAGLVNALKNKLQNLAGEHTDMLENLSPNVRKRVEVLREIQSQHDELEAKFFEERAALEAKYQKLYQPLYAKRYDIVNGVGEAEGTPNEATMDQGEDKAAEEKGVPDFWLTAMKNNEVLSEEITERDEGALKYLKDIKWYRIEEPKGFKLEFYFDTNPYFKNALLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKPITKTEDCESFFNFFNPPQVPDDDEDIDEDTAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQADELEIEDDDEDEDDDVDEDEDEEDEDDDDEDDEDDEEESKTKKKSSSAQKKSGRAQGEGQQGERPPECKQQ >EOY34189 pep chromosome:Theobroma_cacao_20110822:9:40200547:40201665:-1 gene:TCM_041934 transcript:EOY34189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFNGHGYSIRWVGDENYIQHGESVEKRKSAAAIATTNAGQARRANMPNGKPQAGDKQRQETNNVMAQSFVNDFRVNIQVEQSAGEELRLGRGYKPVDFWVG >EOY32867 pep chromosome:Theobroma_cacao_20110822:9:34122096:34123139:-1 gene:TCM_040883 transcript:EOY32867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLHTSFSIENALSSMDIPDKLRAYVEGDKPTYCKKWEDVDFILAPRNVGGHWVVAKIDLVRWTIKAVDSVRTSDAKDNGVRTAQMTPLKTIRPITCHQAGYFNKTR >EOY34492 pep chromosome:Theobroma_cacao_20110822:9:41300373:41302876:1 gene:TCM_042157 transcript:EOY34492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shugoshin C terminus, putative MGGERMAKRSSFGSMMRKRLSDITNSQTQPKPSCQEEKPQQISAATEDYINQLIKEKMTLMKLIEERNKIIELSGTELQNLRNCLQKLQLQNWNLAQSNSQMLAELNLGRDKVKALQHELVCKDALLKAKNLEKKGKADINCQNTGLLGETGAQAAVECIQPKANDDDKPSNRNRRRSTRSQSMGPSTTSQRGADKEKIESKRRCLRRQSARFKSQEREPTKNLFEIEDVNYAAAQQLDTPMHEDDPTPSLVSSITKEEACNPMTGKQILKRPSFGRPLRKAAEKVQSYKEVPLNVKMRRED >EOY33541 pep chromosome:Theobroma_cacao_20110822:9:37966262:37967361:1 gene:TCM_041490 transcript:EOY33541 gene_biotype:protein_coding transcript_biotype:protein_coding description:LURP-one-related 8, putative MTRVYPNASFNAGGDAISVTPPKLLVADDQKREAVLTVWTKSLLFNCNGFTVFDGKGDLVYRVDNYMEGNKGEILLMDATGKPLLTIRRKKMSLGDSWLVYEGETSVNPRLSVRKSVNILNSKCLAYVSPGSTNNRNNNIMYEIEGSYSQRCCAVYDDKRRLVAEIKRKEAVGGVAFGTDIFRLIVQPDNIRTDYAMALVILLDQMFGSSRRRST >EOY32052 pep chromosome:Theobroma_cacao_20110822:9:19521159:19534314:1 gene:TCM_039468 transcript:EOY32052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKIEQKNLEALLHDSRDKYGFNAGININCKWTTEVRPHARCYLKSLGGEALRCVRYDRKRLLVTSWRCPYSRTLTSGKRSHEIVNAYDLKVSVEMAEDVVEEKPTVNEAEDLVGDLAGDIVNATRDLARDLGSTPSLEAPPPPSVKDARLRMASKYLRSSYVNTLLVQRKKKDMLKDKYECFKNKEHVRVNMMGIKGQEGLEFFRVNVSGGLRFLERWEFLGFFCIAFFAIKLHKLWWRAELVVVKGATRQPTRRGKSVTIGTVRPRKFVFGWQQRQG >EOY30006 pep chromosome:Theobroma_cacao_20110822:9:3039223:3040331:1 gene:TCM_037361 transcript:EOY30006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHAAHMTTETPKNESSQVMQRQRTWTPHAPYQGCLRHIPNSSKTNSIADLPLFLSSFKKEPKNSVKTSPLRNPHTPHFPTFQSPPPDLSPD >EOY32617 pep chromosome:Theobroma_cacao_20110822:9:32077202:32085456:1 gene:TCM_040623 transcript:EOY32617 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 3 MSWNPHMEVQYINSSYPYNSAGSFMEYFEGLTYQHVNFIFDGASHVQESVYPSMTSSFYKFGLSDSGNISYYDHGHNYEVNNHELCVDEYRRASENSSSMSNEQTAAMNMEWEGNVNTMSRENPVDCPRRQHNGLDYQVVWQDSVDPDNMTYEELLELGETVGTQSRGLSQELISLLPVSKYKCSLFSRKKSRNERCVICQMEYKRGERRITLPCKHVYHAGCGSRWLSINKACPICYTEVFGDASKP >EOY32618 pep chromosome:Theobroma_cacao_20110822:9:32077180:32085456:1 gene:TCM_040623 transcript:EOY32618 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 3 MSWNPHMEVQYINSSYPYNSAGSFMEYFEGLTYQHVNFIFDGASHVQESVYPSMTSSFYKFGLSDSGNISYYDHGHNYETAAMNMEWEGNVNTMSRENPVDCPRRQHNGLDYQVVWQDSVDPDNMTYEELLELGETVGTQSRGLSQELISLLPVSKYKCSLFSRKKSRNERCVICQMEYKRGERRITLPCKHVYHAGCGSRWLSINKGKPVVGREGCLIKFALVYLERRWYTAEFYRSNLFQPIPSYHFNQSLVFEVKCEGM >EOY32616 pep chromosome:Theobroma_cacao_20110822:9:32077252:32084949:1 gene:TCM_040623 transcript:EOY32616 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 3 MSWNPHMEVQYINSSYPYNSAGSFMEYFEGLTYQHVNFIFDGASHVQESVYPSMTSSFYKFGLSDSGNISYYDHGHNYEVNNHELCVDEYRRASENSSSMSNEQTAAMNMEWEGNVNTMSRENPVDCPRRQHNGLDYQVVWQDSVDPDNMTYEELLELGETVGTQSRGLSQELISLLPVSKYKCSLFSRKKSRNERCVICQMEYKRGERRITLPCKHVYHAGCGSRWLSINKACPICYTEVFGDASKP >EOY30685 pep chromosome:Theobroma_cacao_20110822:9:5415158:5419984:-1 gene:TCM_037808 transcript:EOY30685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF810) [Source:Projected from Arabidopsis thaliana (AT2G20010) TAIR;Acc:AT2G20010] MSNRLRDRTPSQAKRHNRLHETGAMPNYFTETLTCPLGELATNLSDSELRETAYEILVGACRSSGGKPLTYISQSERNSEKAPALVPTLTSSASLQRSLTSTAASKVKKALGLKSSRRRKLNGESDSERVKKAVTIGEMLRVQMGISEQTDSRVRRALLRVAAAQLGRRIESIVLPLEMLQQLKPSDFPNQGEYEAWQRRNLKLLEAGLLLHPLLPLDKTNTAPQQLRQIIHGALEKPLETGKSSESMQAVRSIVLSLACRSFDGSVSETSHWADGFPFNLRIYQMLLEACFDVNDETAVIEEVDEVLELIKKTWVVLGMNQMLHNLCFLWILFNRYVATGQVEGDLLFAANNLLMEVEKDAKAMKDPDYSKILSATLSAILGWAEKRLLAYHNYYNSDNTESMECVVSMGVLSAKIMVEDISQEYHRKKKEIDVAHERVDTYIRSSLRTAFGQIKEKVKSGKRSSKNQQNQLPFLSILAQDVSTLAFSEKAIFSPILKRWHPLAAGVAVATLHSCYGNELKQFVSGIGELTPDILQVLRAADKLEKDLVQIAVENSVDSEDGGKSIIREMPPYEAESVISKLVKSWIKTRLDRLKEWVDRNLQQEVWDPRANKERFAPSAVEVLRIVDEALEAFFLLPIPMHAALLPDLTTGIDRCLQHYISKAKSGCGNRSTFVPSMPALTRCSTRAKFPGVFKKKEKFQIAQSKKSQVGTTNSNGSFGIPQLCCRINTLQHIRTELDVLAKRVIGYLRNSESTHVDNVANWMGKAFELSTATCVEGIQLLCEATAYRVIFHDLSHVLWDGLYVGEVSSSRIEPFLQELEHYLEVISLTVHDRVRTRLITDVTRASFDGLLLVLLAGGPARAFSLQDYELIAEDFKFLTDLFWSNGDGLPTDLIEKFSTTVKAVLPLFHTDTGSLIEQFKSVTLESYGSSAKSKLPLPPTSGQWSPTEPNTLLRVLCYRSDETAAKFLKKTYNLPKKL >EOY30684 pep chromosome:Theobroma_cacao_20110822:9:5414681:5420102:-1 gene:TCM_037808 transcript:EOY30684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF810) [Source:Projected from Arabidopsis thaliana (AT2G20010) TAIR;Acc:AT2G20010] MSNRLRDRTPSQAKRHNRLHETGAMPNYFTETLTCPLGELATNLSDSELRETAYEILVGACRSSGGKPLTYISQSERNSEKAPALVPTLTSSASLQRSLTSTAASKVKKALGLKSSRRRKLNGESDSERVKKAVTIGEMLRVQMGISEQTDSRVRRALLRVAAAQLGRRIESIVLPLEMLQQLKPSDFPNQGEYEAWQRRNLKLLEAGLLLHPLLPLDKTNTAPQQLRQIIHGALEKPLETGKSSESMQAVRSIVLSLACRSFDGSVSETSHWADGFPFNLRIYQMLLEACFDVNDETAVIEEVDEVLELIKKTWVVLGMNQMLHNLCFLWILFNRYVATGQVEGDLLFAANNLLMEVEKDAKAMKDPDYSKILSATLSAILGWAEKRLLAYHNYYNSDNTESMECVVSMGVLSAKIMVEDISQEYHRKKKEIDVAHERVDTYIRSSLRTAFERFLVVATFCWHLKQIKEKVKSGKRSSKNQQNQLPFLSILAQDVSTLAFSEKAIFSPILKRWHPLAAGVAVATLHSCYGNELKQFVSGIGELTPDILQVLRAADKLEKDLVQIAVENSVDSEDGGKSIIREMPPYEAESVISKLVKSWIKTRLDRLKEWVDRNLQQEVWDPRANKERFAPSAVEVLRIVDEALEAFFLLPIPMHAALLPDLTTGIDRCLQHYISKAKSGCGNRSTFVPSMPALTRCSTRAKFPGVFKKKEKFQIAQSKKSQVGTTNSNGSFGIPQLCCRINTLQHIRTELDVLAKRVIGYLRNSESTHVDNVANWMGKAFELSTATCVEGIQLLCEATAYRVIFHDLSHVLWDGLYVGEVSSSRIEPFLQELEHYLEVISLTVHDRVRTRLITDVTRASFDGLLLVLLAGGPARAFSLQDYELIAEDFKFLTDLFWSNGDGLPTDLIEKFSTTVKAVLPLFHTDTGSLIEQFKSVTLESYGSSAKSKLPLPPTSGQWSPTEPNTLLRVLCYRSDETAAKFLKKTYNLPKKL >EOY30686 pep chromosome:Theobroma_cacao_20110822:9:5415146:5419660:-1 gene:TCM_037808 transcript:EOY30686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF810) [Source:Projected from Arabidopsis thaliana (AT2G20010) TAIR;Acc:AT2G20010] MSNRLRDRTPSQAKRHNRLHETGAMPNYFTETLTCPLGELATNLSDSELRETAYEILVGACRSSGGKPLTYISQSERNSEKAPALVPTLTSSASLQRSLTSTAASKVKKALGLKSSRRRKLNGESDSERVKKAVTIGEMLRVQMGISEQTDSRVRRALLRVAAAQLGRRIESIVLPLEMLQQLKPSDFPNQGEYEAWQRRNLKLLEAGLLLHPLLPLDKTNTAPQQLRQIIHGALEKPLETGKSSESMQAVRSIVLSLACRSFDGSVSETSHWADGFPFNLRIYQMLLEACFDVNDETAVIEEVDEVLELIKKTWVVLGMNQMLHNLCFLWILFNRYVATGQVEGDLLFAANNLLMEVEKDAKAMKDPDYSKILSATLSAILGWAEKRLLAYHNYYNSDNTESMECVVSMGVLSAKIMVEDISQEYHRKKKEIDVAHERVDTYIRSSLRTAFGQQIKEKVKSGKRSSKNQQNQLPFLSILAQDVSTLAFSEKAIFSPILKRWHPLAAGVAVATLHSCYGNELKQFVSGIGELTPDILQVLRAADKLEKDLVQIAVENSVDSEDGGKSIIREMPPYEAESVISKLVKSWIKTRLDRLKEWVDRNLQQEVWDPRANKERFAPSAVEVLRIVDEALEAFFLLPIPMHAALLPDLTTGIDRCLQHYISKAKSGCGNRSTFVPSMPALTRCSTRAKFPGVFKKKEKFQIAQSKKSQVGTTNSNGSFGIPQLCCRINTLQHIRTELDVLAKRVIGYLRNSESTHVDNVANWMGKAFELSTATCVEGIQLLCEATAYRVIFHDLSHVLWDGLYVGEVSSSRIEPFLQELEHYLEVISLTVHDRVRTRLITDVTRASFDGLLLVLLAGGPARAFSLQDYELIAEDFKFLTDLFWSNGDGLPTDLIEKFSTTVKAVLPLFHTDTGSLIEQFKSVTLESYGSSAKSKLPLPPTSGQWSPTEPNTLLRVLCYRSDETAAKFLKKTYNLPKKL >EOY31666 pep chromosome:Theobroma_cacao_20110822:9:11387004:11388486:1 gene:TCM_038675 transcript:EOY31666 gene_biotype:protein_coding transcript_biotype:protein_coding description:B1160F02.12 protein, putative MFTRGTDGLPKYGSKVYVLNFDDLRRKILKAAYVLAYVIHLGTIKMYHDLRKMYRLLQLLPTLEWKWEHIPMGFAIGLPYTSKGYDFIWLIMNRRTKSAHFLLVKTTYGVA >EOY33696 pep chromosome:Theobroma_cacao_20110822:9:38664770:38665709:1 gene:TCM_041599 transcript:EOY33696 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHF5-like protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >EOY32587 pep chromosome:Theobroma_cacao_20110822:9:31715410:31716106:1 gene:TCM_040582 transcript:EOY32587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEQINGTWEVTGEHSPINGTNASQTTGYNKIILTVACSSERLEDYAENPLNLESATGKCMYNKELSDVPSFPTFPGTNFAKIEVHPRVRRRRHSDTEISIDKIFSLTSDKVEDIEGYDEASDEDSISVNFATSWERERYF >EOY30849 pep chromosome:Theobroma_cacao_20110822:9:6017032:6024095:1 gene:TCM_037919 transcript:EOY30849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serinc-domain containing serine and sphingolipid biosynthesis protein isoform 1 LETGTGSLRREKEESKENSEKQSLSSLFCTVPNAILISPPPLQKREKGGKERNQGKSLFLSSAFSASERKRELFFAETFLFLDLKLLGATHFFRKYNCRDSWHSGWWSAKIGLWIALTVTSFLVPTFIIQIYGEIAHFGAGIFLLVQLVSVISFITWLNDCCQSDKNAERCHIHVMLLATAAYVICIVGIIMMYIWYAPKPSCLLNIFFITWTLVLLQLMTSVSLHPKVNSGFLSPGLMGLYVVFICWCAIRSEPAGESCNRKAEASNKTDWLTIISFVVALLAMVIATFSTGIDSQCFQIRKKEAPAEDAVAYGYGFFHFVFATGAMYFAMLLIGWNTHHTIKKWTIDVGWTSTWVRIVNEWLAVCVYLWMLVAPVILRCGRTSEPA >EOY30847 pep chromosome:Theobroma_cacao_20110822:9:6018588:6023252:1 gene:TCM_037919 transcript:EOY30847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serinc-domain containing serine and sphingolipid biosynthesis protein isoform 1 MESGVGGNSNERHGVFKDDSWFSQFRNGSNPWMARYVYALIFLVSNLLAWAVRDYGRNTFPEMEKLKNCQGGRGCLGAEGVLRVSLGCFAFYFVMFLSTAGTKRKYNCRDSWHSGWWSAKIGLWIALTVTSFLVPTFIIQIYGEIAHFGAGTSLHILFRIFLLVQLVSVISFITWLNDCCQSDKNAERCHIHVMLLATAAYVICIVGIIMMYIWYAPKPSCLLNIFFITWTLVLLQLMTSVSLHPKVNSGFLSPGLMGLYVVFICWCAIRSEPAGESCNRKAEASNKTDWLTIISFVVALLAMVIATFSTGIDSQCFQLQIRKKEAPAEDAVAYGYGFFHFVFATGAMYFAMLLIGWNTHHTIKKWTIDVGWTSTWVRIVNEWLAVCVYLWMLVAPVILRCGRTSEPA >EOY30848 pep chromosome:Theobroma_cacao_20110822:9:6017133:6023879:1 gene:TCM_037919 transcript:EOY30848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serinc-domain containing serine and sphingolipid biosynthesis protein isoform 1 MESGVGGNSNERHGVFKDDSWFSQFRNGSNPWMARYVYALIFLVSNLLAWAVRDYGRNTFPEMEKLKNCQGGRGCLGAEGVLRVSLGCFAFYFVMFLSTAGTKRKYNCRDSWHSGWWSAKIGLWIALTVTSFLVPTFIIQIYGEIAHFGAGIFLLVQLVSVISFITWLNDCCQSDKNAERCHIHVMLLATAAYVICIVGIIMMYIWYAPKPSCLLNIFFITWTLVLLQLMTSVSLHPKVNSGFLSPGLMGLYVVFICWCAIRSEPAGESCNRKAEASNKTDWLTIISFVVALLAMVIATFSTGIDSQCFQIRKKEAPAEDAVAYGYGFFHFVFATGAMYFAMLLIGWNTHHTIKKWTIDVGWTSTWVRIVNEWLAVCVYLWMLVAPVILRCGRTSEPA >EOY34062 pep chromosome:Theobroma_cacao_20110822:9:39832764:39835938:-1 gene:TCM_041858 transcript:EOY34062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein with DHHC zinc finger domain, putative isoform 3 MGLLQLHGQRKVIGWQTLIGRCTISCFSVLITQSALFLVPLFFAASPILIQLTISALVFLAVVGFGGWCRRLHGFHASAPAFVFFNIFFFWGVYIAIVRQAISRFVDIVLNIEMIMLIIGLFRIMVKDPGFVAQESVCLDKLDESSVLGVQTNNESSLLQMRVRYCKSCKTYVQGFDHHCPAFGNCIGQKNYVFFMVLLVGFITTETFYAVCSSQFATKFPVLEGNMLETNPISVIARSTLLFSLLQVLWQGLFLIWHVYCICFNIRTEEWVNWKKYPEFQLNASSLPGENYHELRFTNPYDKGILQNVKEFLTLK >EOY34063 pep chromosome:Theobroma_cacao_20110822:9:39833417:39835627:-1 gene:TCM_041858 transcript:EOY34063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein with DHHC zinc finger domain, putative isoform 3 QLHGQRKVIGWQTLIGRCTISCFSVLITQSALFLVPLFFAASPILIQLTISALVFLAVVGFGGWCRRLHGFHASAPAFVFFNIFFFWGVYIAIVRQAISRFVDIVLNIEMIMLIIGLFRIMVKDPGFVAQESVCLDKLDESSVLGVQTNNESSLLQMRVRYCKSCKTYVQGFDHHCPAFGNCIGQKNYVFFMVLLVGFITTETFYAVCSSQFATKFPVLEGNMLETNPISVIARSTNAGAVSDLACLLHMFQYQN >EOY34064 pep chromosome:Theobroma_cacao_20110822:9:39832508:39835728:-1 gene:TCM_041858 transcript:EOY34064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein with DHHC zinc finger domain, putative isoform 3 MGLLQLHGQRKVIGWQTLIGRCTISCFSVLITQSALFLVPLFFAASPILIQLTISALVFLAVVGFGGWCRRLHGFHASAPAFVFFNIFFFWGVYIAIVRQAISRFVDIVLNIEMIMLIIGLFRIMVKDPGFVAQESVCLDKLDESSVLGVQTNNEMRVRYCKSCKTYVQGFDHHCPAFGNCIGQKNYVFFMVLLVGFITTETFYAVCSSQFATKFPVLEGNMLETNPISVIARSTLLFSLLQVLWQGLFLIWHVYCICFNIRTEEWVNWKKYPEFQLNASSLPGENYHELRFTNPYDKGILQNVKEFLTLK >EOY34065 pep chromosome:Theobroma_cacao_20110822:9:39833018:39835627:-1 gene:TCM_041858 transcript:EOY34065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein with DHHC zinc finger domain, putative isoform 3 QLHGQRKVIGWQTLIGRCTISCFSVLITQSALFLVPLFFAASPILIQLTISALVFLAVVGFGGWCRRLHGFHASAPAFVFFNIFFFWGVYIAIVRQAISRFVDIVLNIEMIMLIIGLFRIMVKDPGFVAQESVCLDKLDESSVLGVQTNNESSLLQMRVRYCKSCKTYVQGFDHHCPAFGNCIGQKNYVFFMVLLVGFITTETFYAVCSSQFATKFPVLEGNMLEGLFLIWHVYCICFNIRTEEWVNWKKYPEFQLNASSLPGENYHELRFTNPYDKGILQNVKEFLTLK >EOY34427 pep chromosome:Theobroma_cacao_20110822:9:41049424:41052238:-1 gene:TCM_042106 transcript:EOY34427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMAAQEILELFCELIFVHLPIIETQEFCNAGRWAMSTLHQILVEEWGRTFVQGVWRANGEITTSIPIHQRRCSFTSQGKDSPSSRACKFWVFRNCICSSIILNFCKYIVNGS >EOY34544 pep chromosome:Theobroma_cacao_20110822:9:41406746:41408997:-1 gene:TCM_042181 transcript:EOY34544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein MGYGELIIRSYDAQNDRARVEDLERRCEVGPAEKVFLFTDTLGDPICRIRNSPIYKMLVAELDSQLVGVIQGSIKLVTVHKPPNDLARVGYILGLRVAPLYRRRGIGSSLVIKLEEWFIASDVDYAYMATEKDNEASFKLFVDKLSYVKFRTLAILVNPVSHRMSRISSNVELAKLRVEEAKSLYHKFMSSTEFFPNDIGNILRNKLSLGTWVAYPKGESWGYSGPNGGVFPSSWAMLSVWNSGELFKLRLGNAPLSCLMYTKSSRLIEKLLPCFKLPAIPDFFHPFGFYFLYGVYREGPLSGKLVRTLCRFVHNMASKSKDCKVIVTEVGGSDTLRLHIPHWKLLSCPEDLWCIKGLKNEEKNSLHELTKTPPTRALFVDPREV >EOY29319 pep chromosome:Theobroma_cacao_20110822:9:732346:734708:-1 gene:TCM_036896 transcript:EOY29319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid hydroperoxide glutathione peroxidase 1 isoform 1 MASMPFSATFPSYLHDLSQTKKIPVMPSSWPFSIPSIESSLGSSKSGFLQHGFSLQSSSVPGFVFKSRSSGIYARAATEKTLYDYTVKRILMGRMFLLADLREKFSLLLMLLQNVV >EOY29318 pep chromosome:Theobroma_cacao_20110822:9:732381:734786:-1 gene:TCM_036896 transcript:EOY29318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid hydroperoxide glutathione peroxidase 1 isoform 1 MLRNEHVEFYLPLQIRLDRRQLYGEGKEKTMASMPFSATFPSYLHDLSQTKKIPVMPSSWPFSIPSIESSLGSSKSGFLQHGFSLQSSSVPGFVFKSRSSGIYARAATEKTLYDYTVKDIDGKDVSLSRFKGKVLFIVNVASKCGLTTSNYSELSHIYEKYKTQGFEILAFPCNQFGGQEPGSNPEIKQFACTRFKAEFPIFDKVDVNGPNTAPVYQFLKSSAGGFLGDLVKWNFEKFLVDKNGKVVERYPPTISPFQIEINVKA >EOY33022 pep chromosome:Theobroma_cacao_20110822:9:34989905:34995986:-1 gene:TCM_041031 transcript:EOY33022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome family protein isoform 2 MASDMEDYGFEYSDEEPEEQDVDIENQYYNSKGLVETDPEGALAGFAEVVNMEPEKAEWGFKALKQTVKLYYRLGRYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFGLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQREDGTDDQKKGSQLLEVYAIEIQMYTETKNNKKLKQLYQKALAIKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEIIEFEKILKSNRRTIMDDPFIRNYIEDLLKNVRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEQLLVSLILDNRIDGHIDQVNRLLERGDRSKGMKKYTAIDKWNTQLRSLYQTVSNRVY >EOY33021 pep chromosome:Theobroma_cacao_20110822:9:34990485:34995692:-1 gene:TCM_041031 transcript:EOY33021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome family protein isoform 2 MASDADMEDYGFEYSDEEPEEQDVDIENQYYNSKGLVETDPEGALAGFAEVVNMEPEKAEWGFKALKQTVKLYYRLGRYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFGLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQREDGTDDQKKGSQLLEVYAIEIQMYTETKNNKKLKQLYQKALAIKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEIIEFEKILKSNRRTIMDDPFIRNYIEDLLKNVRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEQLLVSLILDNRIDGHIDQVNRLLERGDRSKGMKKYTAIDKWNTQLRSLYQTVSNRVY >EOY32623 pep chromosome:Theobroma_cacao_20110822:9:32167666:32169032:1 gene:TCM_040633 transcript:EOY32623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATEGWQGFWPHLVYALAFCLVATTVVANYPEHHFNYPPHKYQSPPPKHAWFPPYHNSPSPPKHFWHPPYFYKSPPPLRYPPPTYSYKSPPPPSPLSPPAYVYKSPPPPPPSLPPPYFYKSPPPPSPLPPPYVYNSPPPPSPSPPPPYVYKSPPPQSPSPPPPNVYKSPPHPSPSPCPPYIYKSPPPPLPYIYKSPPPPSPSPHPPYIYKSPPPPSPLPSPPYVYKSPPPPSPSQPPPSPSPPPPYYYKSPPPPSPSPPPPCHYKSSPPSPSPPPPPSPLPPPSHHYKPPYLPPFHYEHPPFHYNSPAPPAKTPPYYYMSPPSSKGY >EOY32898 pep chromosome:Theobroma_cacao_20110822:9:34306526:34312353:1 gene:TCM_040916 transcript:EOY32898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C2 MQAKKPVVRMFTRKNPLPPANTAIFFFLLIVFHGPSHAASPIKTIVVLVMENRSFDHMLGWMKKINPEINGVDGTEWNPLSTTDPNSKKLFFQNQAQFVDPDPGHSFQAIREQIFGSNDTSANPPPMNGFAQQAYSMDQSTNMSQSVMNGFEPEMVAVYKALVSEFAVFDRWFASVPSSTQPNRLYVHSATSAGATSNIPSLLVKGYPQRTIFENLDDAGISWGIYYQNIPATLFYKNLRKLKYLLRFRPYGLTFKKHARDGKLPGYVVVEQRYMDTKLDPANDDHPSHDVYQGQMFVKEVYETLRGSPQWNETLLIITYDEHGGFFDHVATPVSGVPSPDGIVGPDPFFFKFDRLGVRVPTIMVSPWIDKGTVVHGPSGRPFPTSEFEHSSIPATVKKVFNLSSPFLTKRDEWAGTFESIVQTRTEPRTDCPEQLPTPARIRQGEANEEAKLSEFQQELLQLAAVLKGDHILTSYPDEIGKEMSVEQGNEYMEDAVKRFFDAGRSAKKMGVDGEQIVQMKPSLTTRSSQPSSPHP >EOY32332 pep chromosome:Theobroma_cacao_20110822:9:27400958:27406585:1 gene:TCM_040139 transcript:EOY32332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-Amyrin Synthase isoform 2 MWKLKIAEEGPWLATVNGHVGRQHWEFDPNAGSPEEQAQVERLRLEFKKNRFRVKQSSDLLMRMQLTKENPCGLIPPPVKLNHEEVVTEEATTVTLRRAISFYSSLQAHDGHWPAETAGPLFFLPPLVMALYVTGGLNTILSPEHQKEIIRYIYNHQNEDGGWGLHIEGHSTMFGTAISYITLRLLGERPEDGEGMAVARGRKWILDHGGLVGIPSWGKFWVTVLGVYEWAGCNPLPPEFWLLPKVSPIHPGKMLCYCRLVYMPMSYLYGKRFVGPITGLIRQLRQELYNQPYHEINWNAARNTVAKEDLYYPHPLVQDLTWGFLHHVVEPFLTRWPFSMLRDKALKVAIQHVHYEDENSRYLCIGCVEKVLCLMACWVEDPNSEAYKRHLARLPDYYWIAEDGLKMQTFGCQMWDAAFAIQAIMSSNLSDEYGPTLRKAHDFVKASQVRENPSGNFSGMYRHISKGSWTFSTQDHGWQVSDCTAEGLKCALLFSQMSNDLVGEKLETQRFYDAVNVILSLQSNNGGFPAWEPQRAYGWLEKFNPTEFFEDTLIEREYVECTSSAIQALALFRKLHPKHRRAEIDHCVARGARYIEDTQNQDGSWYGCWGICYTYGAWFAVEGLAACGRNYHNSPALRKACEFLLSKQLANGGWGESYLSSQNKAWTTSVLFFHKYKSSADKLSA >EOY32331 pep chromosome:Theobroma_cacao_20110822:9:27400136:27407810:1 gene:TCM_040139 transcript:EOY32331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-Amyrin Synthase isoform 2 MWKLKIAEEGPWLATVNGHVGRQHWEFDPNAGSPEEQAQVERLRLEFKKNRFRVKQSSDLLMRMQLTKENPCGLIPPPVKLNHEEVVTEEATTVTLRRAISFYSSLQAHDGHWPAETAGPLFFLPPLVMALYVTGGLNTILSPEHQKEIIRYIYNHQNEDGGWGLHIEGHSTMFGTAISYITLRLLGERPEDGEGMAVARGRKWILDHGGLVGIPSWGKFWVTVLGVYEWAGCNPLPPEFWLLPKVSPIHPGKMLCYCRLVYMPMSYLYGKRFVGPITGLIRQLRQELYNQPYHEINWNAARNTVAKEDLYYPHPLVQDLTWGFLHHVVEPFLTRWPFSMLRDKALKVAIQHVHYEDENSRYLCIGCVEKVLCLMACWVEDPNSEAYKRHLARLPDYYWIAEDGLKMQTFGCQMWDAAFAIQAIMSSNLSDEYGPTLRKAHDFVKASQVRENPSGNFSGMYRHISKGSWTFSTQDHGWQVSDCTAEGLKCALLFSQMSNDLVGEKLETQRFYDAVNVILSLQSNNGGFPAWEPQRAYGWLEKFNPTEFFEDTLIEREYVECTSSAIQALALFRKLHPKHRRAEIDHCVARGARYIEDTQNQDGSWYGCWGICYTYGAWFAVEGLAACGRNYHNSPALRKACEFLLSKQLANGGWGESYLSSQNKVYTNLPGNRANLVHTAWALLSLIAAGQADVDPTPIHRGIKVLINSQMEDGDFPQQEITGVFMRNCTLNYSSFRNIFPIWALGEYRRRILFA >EOY29321 pep chromosome:Theobroma_cacao_20110822:9:735981:740764:1 gene:TCM_036897 transcript:EOY29321 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain-containing protein / MA3 domain-containing protein isoform 2 MQTDQTVISLRPGGGGGGGPRSTRFFATRFEFSSSSSSSLDSQILRPAFKAGDLRFEGHEFVRYTREQLLQLKEVADIPEDILKIKQEIESEFVGEDQTWGRADGNLQAQPQSRYSEPDNRDWRGRSAAPGDERSWDTIQDNKEISSRFDSRPQEMNNHQEHLNSQFARAQISTSQGVGPTPVLVKAEVPWSARRGNLSEKERVLKTAKGILNKLTPEKFDVLKGQLIDSGITTPDILKGVISLLFDKAVLEPTFCPMYALLCSDLNEKLPPFPSDEPGGKEITFKRILLNNCQEAFEGADNLREEIRQMTGPDQEVERVEKERMVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQVFFIALVLAWICFIVILVCLPCFYVEQELLGHDGKTCPAEENVEAICQFFNTIGKQLDESPKSRRVNDIYFSRLKELTINLQLAPRLRFMVRDVLDLRANNWVPRREEIKAKTITEIHSEAEKNLGLRPGATAIMRNGRNSGALGVMASGGFPVTRPGSGGMMPGMPGIRKMPGMPGLDADNWEIPRSRSMPRDDGLGPQPAGHVQQPFISKSPSINSKFLPQGSGGIIGGKSSPLLQSSGGPPARPPSFEPVNPKPEISAAAAVSSPEKTVGNATKSNPAAVSSPEKHVGNATKSNPADLQRKTKSLLEEFFSIRLLDEALQCIEELKAPTFHPEVVKEAIALALENSPPCVDAVTKLLEFLLNKNVFTARDIGAGSILYGSLLDDIGIDLPKAPNNFGEVLGKLVMAGGLDFTVIKEILMKVEDERFRASIFGAAIGSINSFPSGQELLAKQHTDVQACESLLT >EOY29320 pep chromosome:Theobroma_cacao_20110822:9:735668:741189:1 gene:TCM_036897 transcript:EOY29320 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain-containing protein / MA3 domain-containing protein isoform 2 MQTDQTVISLRPGGGGGGGPRSTRFFATRFEFSSSSSSSLDSQILRPAFKAGDLRFEGHEFVRYTREQLLQLKEVADIPEDILKIKQEIESEFVGEDQTWGRADGNLQAQPQSRYSEPDNRDWRGRSAAPGDERSWDTIQDNKEISSRFDSRPQEMNNHQEHLNSQFARAQISTSQGVGPTPVLVKAEVPWSARRGNLSEKERVLKTAKGILNKLTPEKFDVLKGQLIDSGITTPDILKGVISLLFDKAVLEPTFCPMYALLCSDLNEKLPPFPSDEPGGKEITFKRILLNNCQEAFEGADNLREEIRQMTGPDQEVERVEKERMVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGHDGKTCPAEENVEAICQFFNTIGKQLDESPKSRRVNDIYFSRLKELTINLQLAPRLRFMVRDVLDLRANNWVPRREEIKAKTITEIHSEAEKNLGLRPGATAIMRNGRNSGALGVMASGGFPVTRPGSGGMMPGMPGIRKMPGMPGLDADNWEIPRSRSMPRDDGLGPQPAGHVQQPFISKSPSINSKFLPQGSGGIIGGKSSPLLQSSGGPPARPPSFEPVNPKPEISAAAAVSSPEKTVGNATKSNPAAVSSPEKHVGNATKSNPADLQRKTKSLLEEFFSIRLLDEALQCIEELKAPTFHPEVVKEAIALALENSPPCVDAVTKLLEFLLNKNVFTARDIGAGSILYGSLLDDIGIDLPKAPNNFGEVLGKLVMAGGLDFTVIKEILMKVEDERFRASIFGAAIGSINSFPSGQELLAKQHTDVQACESLLT >EOY30895 pep chromosome:Theobroma_cacao_20110822:9:6166189:6177567:1 gene:TCM_037944 transcript:EOY30895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKLKKSSLLINPPRFQLVRGIMTAPDLALLFGSRCALVGKQTKTGGNKIGPFPAGGWLKLKKRAQTLRLERGRFKNRQRPQSPPSIANGGRYFGSINEVEDYYFPAEYAVEFLSGESECSSGFYL >EOY32377 pep chromosome:Theobroma_cacao_20110822:9:28361683:28374512:-1 gene:TCM_040256 transcript:EOY32377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of Uncharacterized protein function (DUF716) MGSLVGHVAPGFAFLALGFWHLFNHIKLHILHPNSYTSSPWFPSSKLRYIELFFIMVGSSISISMELFIGPERHQPFDPDGTIPSNHLHNFEHAAISMTFFTYAAFALLLDKINPKAKYALTQFLGAVAFAQQLLLFHLHSADHMGVEGQYHLLLQSAIVVSLATTLMGIGLPKSFMVSFIRSLSILYQGVWLIIMGYMLWTPDLISKGCFIHSEDGHQVVRCSSDEALHRAKALVNIQFSWTLILVTVFSMAFYLVLVKLYGEKVEYSTLAKEEALELEEDSDDVESQKEISKHGEPKSFIQMGKGYAVMDIER >EOY29229 pep chromosome:Theobroma_cacao_20110822:9:414251:419318:1 gene:TCM_036827 transcript:EOY29229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, beta subunit isoform 1 MEKSCTLLIHFDKGTPAIANEIKEALEGNDVPAKIDAMKKAIMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIEKTDARGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHPFIRRNAILAVMSIYKLPQGEQLLVDAPDMIEKVLSTEQDPSAKRNAFLMLFTCAQDRATNYLLTHVDRVSEWGELLQMVVLELIRKVCRTNRGEKGKYIKIIISLLNAPSTAVIYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLIVLDRLNELKSSHRDIMVDMIMDVLRALSSPNLDIRRKTLDIVLELITPRNISEVVLMLKKEVVKTQSGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGELPFYSVSEEGEATDTSKKTPQANSITVSSRRPAILADGTYATQSAASETAFSPPAIVQGSLASGNLRSLLLTGDFFLGAVVACTLTKLILRLEEVQPSKVEVNKATTQALLIMVSMLQLGQSSVLPHPIDNDSYDRIVLCMRLLCNTGDEIRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFVKDADDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCTDAAFRTMWAEFEWENKVAVNTVIQDEKEFLDHIIKSTNMKCLTAPSALDGECGFLAANLYAKSVFGEDALVNVSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >EOY29228 pep chromosome:Theobroma_cacao_20110822:9:413926:420440:1 gene:TCM_036827 transcript:EOY29228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, beta subunit isoform 1 MEKSCTLLIHFDKGTPAIANEIKEALEGNDVPAKIDAMKKAIMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIEKTDARGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHPFIRRNAILAVMSIYKLPQGEQLLVDAPDMIEKVLSTEQDPSAKRNAFLMLFTCAQDRATNYLLTHVDRVSEWGELLQMVVLELIRKVCRTNRGEKGKYIKIIISLLNAPSTAVIYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLIVLDRLNELKSSHRDIMVDMIMDVLRALSSPNLDIRRKTLDIVLELITPRNISEVVLMLKKEVVKTQSGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGELPFYSVSEEGEATDTSKKTPQANSITVSSRRPAILADGTYATQSAASETAFSPPAIVQGSLASGNLRSLLLTGDFFLGAVVACTLTKLILRLEEVQPSKVEVNKATTQALLIMVSMLQLGQSSVLPHPIDNDSYDRIVLCMRLLCNTGDEIRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFVKDADDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCTDAAFRTMWAEFEWENKVAVNTVIQDEKEFLDHIIKSTNMKCLTAPSALDGECGFLAANLYAKSVFGEDALVNVSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >EOY29226 pep chromosome:Theobroma_cacao_20110822:9:414164:419778:1 gene:TCM_036827 transcript:EOY29226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, beta subunit isoform 1 MEKSCTLLIHFDKGTPAIANEIKEALEGNDVPAKIDAMKKAIMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIEKTDARGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHPFIRRNAILAVMSIYKLPQGEQLLVDAPDMIEKVLSTEQDPSAKRNAFLMLFTCAQDRATNYLLTHVDRVSEWGELLQMVVLELIRKVCRTNRGEKGKYIKIIISLLNAPSTAVIYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLIVLDRLNELKSSHRDIMVDMIMDVLRALSSPNLDIRRKTLDIVLELITPRNISEVVLMLKKEVVKTQSGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGELPFYSVSEEGEATDTSKKTPQANSITVSSRRPAILADGTYATQSAASETAFSPPAIVQGSLASGNLRSLLLTGDFFLGAVVACTLTKLILRLEEVQPSKVEVNKATTQALLIMVSMLQLGQSSVLPHPIDNDSYDRIVLCMRLLCNTGDEIRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFVKDADDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCTDAAFRTMWAEFEWENKVAVNTVIQDEKEFLDHIIKSTNMKCLTAPSALDGECGFLAANLYAKSVFGEDALVNVSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >EOY29227 pep chromosome:Theobroma_cacao_20110822:9:415477:420440:1 gene:TCM_036827 transcript:EOY29227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, beta subunit isoform 1 MEKSCTLLIHFDKGTPAIANEIKEALEGNDVPAKIDAMKKAIMLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIEKTDARGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHPFIRRNAILAVMSIYKLPQGEQLLVDAPDMIEKVLSTEQDPSAKRNAFLMLFTCAQDRATNYLLTHVDRVSEWGELLQMVVLELIRKVCRTNRGEKGKYIKIIISLLNAPSTAVIYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLIVLDRLNELKSSHRDIMVDMIMDVLRALSSPNLDIRRKTLDIVLELITPRNISEVVLMLKKEVVKTQSGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGELPFYSVSEEGEATDTSKKTPQANSITVSSRRPAILADGTYATQSAASETAFSPPAIVQGSLASGNLRSLLLTGDFFLGAVVACTLTKLILRLEEVQPSKVEVNKATTQALLIMVSMLQLGQSSVLPHPIDNDSYDRIVLCMRLLCNTGDEIRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFVKDADDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCTDAAFRTMWAEFEWENKVAVNTVIQDEKEFLDHIIKSTNMKCLTAPSALDGECGFLAANLYAKSVFGEDALVNVSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >EOY33290 pep chromosome:Theobroma_cacao_20110822:9:36347494:36352237:-1 gene:TCM_041235 transcript:EOY33290 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1 homeodomain 1, putative MATYFHGSSEFQAASAAAPDGTMQTLYLMNPNYVPYSDTHQPAAATNMFFLNPAGNALNPTSLHHAPPPNHHHLLGLPLPTPAASIGPGSSDDPHRPSLHGIVSGVHYNLWGPNIDHQNSPGSSHAQVVSAAAAAAANSGGAHDVASQLGLRRPVASPRQGLSLSLSSQQQVTYRSSNVETDIQGQSQVPTISLADDMRISGNSPSSVSVVSNGISGVQSVVLGSKYLRAAQELLDEVVNVGKGIKTDVSEGTKEKIKMNKESIAAVTGEGSSAGENGAKRGAELTTAQRQELQMKKAKLVSMLDEVEQRYRQYHHQMQIVVSSFEQAAGFGAARSYTALALQTISKQFRCLKDAISGQIKATSKSLGEEDCLGAKVEGSRLRFVDHQLRQQRALQQLGMMQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEVKERERNGSEENGNKSEQKESGSSSSAQQESAALKMDQVKTQSKPDKSINQNTSPTEFSNSTISTSPMGGSLLPQTAFNLIGSSDLDGTAARRSPKKPRGINDMHNSPSSILSMDMDMKQGETREINIKFGEERLSKDSYSLLTGTANHGGGFGTYSTMGEIGRFDPEQLTPRFPGNSVSLTLGLPHCDNLSLSGNQQNFLSNQNIQLGRRLELGPSESDFCGINNQQASHSSTGYENIEMQNRKRFAAQLLPDFVA >EOY30079 pep chromosome:Theobroma_cacao_20110822:9:3275730:3281275:1 gene:TCM_037409 transcript:EOY30079 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 7 isoform 3 MSLLKKGTQISDTKLNTPSKVTTLNPNAAEFVPFSLRSPASSGSTSAADAAAKFATSGTIGKAVLDRSESSVSNNSDEEAHQFWRRQLPDDITPDFKVINEDDSQGIGSGSLSLAGLSLHDGSEASRFPASAGSGYAFGDQQELLQHYAKHWDKQLVNSDQLLGNGREGHPYDGNSRHGFVNDMLGEHTIIDDTEMNPVEFLASQFPGFAAESLAEVYFANSCDLNLTIEMLTQLELQVDGGFNQNLNSKTLSAPNLSTLDFPALTVSDGQSGPPKYAGDDLQHSANPYRTSDKENMLMFKSGSSLSSRGAIDFASAVRKMASQDSGIWKYDRNGSADSTVGSSRSSHALANTYSAAPGRGVHANRLQTRGSARSAPVWLETGDAVANLYSELREEARDHARLRNAYLEQAHQAFVIGNKALAKELSVKGQLHNMHMKAAHGKAQESIYRQRNPENVRGQERMIDLHGLHVSEAIHMLKHELSVLRSTARAADQRLQVYICVGTGHHTRGSRTPARLPVAVQRYLLEEECLDYTEPQPGLLRVVIY >EOY30077 pep chromosome:Theobroma_cacao_20110822:9:3275772:3281301:1 gene:TCM_037409 transcript:EOY30077 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 7 isoform 3 MSLLKKGTQISDTKLNTPSKVTTLNPNAAEFVPFSLRSPASSGSTSAADAAAKFATSGTIGKAVLDRSESSVSNNSDEEAHQFWRRQLPDDITPDFKVINEDDSQGIGSGSLSLAGLSLHDGSEASRFPASAGSGYAFGDQQELLQHYGNGNNYAEKLRYPVSSYGEDPTSASFSHFPAKHWDKQLVNSDQLLGNGREGHPYDGNSRHGFVNDMLGEHTIIDDTEMNPVEFLASQFPGFAAESLAEVYFANSCDLNLTIEMLTQLELQVDGGFNQNLNSKTLSAPNLSTLDFPALTVSDGQSGPPKYAGDDLQHSANPYRTSDKENMLMFKSGSSLSSRGAIDFASAVRKMASQDSGIWKYDRNGSADSTVGSSRSSHALANTYSAAPGRGVHANRLQTRGSARSAPVWLETGDAVANLYSELREEARDHARLRNAYLEQAHQAFVIGNKALAKELSVKGQLHNMHMKAAHGKAQESIYRQRNPENVRGQERMIDLHGLHVSEAIHMLKHELSVLRSTARAADQRLQVYICVGTGHHTRGSRTPARLPVAVQRYLLEEECLDYTEPQPGLLRVVIY >EOY30078 pep chromosome:Theobroma_cacao_20110822:9:3275730:3284280:1 gene:TCM_037409 transcript:EOY30078 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 7 isoform 3 MSLLKKGTQISDTKLNTPSKVTTLNPNAAEFVPFSLRSPASSGSTSAADAAAKFATSGTIGKAVLDRSESSVSNNSDEEAHQFWRRQLPDDITPDFKVINEDDSQGIGSGSLSLAGLSLHDGSEASRFPASAGSGYAFGDQQELLQHYGNAKHWDKQLVNSDQLLGNGREGHPYDGNSRHGFVNDMLGEHTIIDDTEMNPVEFLASQFPGFAAESLAEVYFANSCDLNLTIEMLTQLELQVDGGFNQNLNSKTLSAPNLSTLDFPALTVSDGQSGPPKYAGDDLQHSANPYRTSDKENMLMFKSGSSLSSRGAIDFASAVRKMASQDSGIWKYDRNGSADSTVGSSRSSHALANTYSAAPGRGVHANRLQTRGSARSAPVWLETGDAVANLYSELREEARDHARLRNAYLEQAHQAFVIGNKALAKELSVKGQLHNMHMKAAHGKAQESIYRQRNPENVRGQERMIDLHGLHVSEAIHMLKHELSVLRSTARAADQRLQVYICVGTGHHTRGSRTPARLPVAVQRYLLEEECLDYTEPQPGLLRVVIY >EOY32530 pep chromosome:Theobroma_cacao_20110822:9:30921919:30933382:-1 gene:TCM_040506 transcript:EOY32530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell differentiation, Rcd1-like protein isoform 3 MQMVNLPQSLSMNTPFGGPTSSNPTAAGAPANKDRKMASAEHLVLDLSNPDLRENALLELSKKRELFQDLAPFVWNSFGTIAALIQEIVSIYPVLSPPNLTPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSTCLREDQTTRRWLQQLLHNVGVNRVPTLQAGGGFDHMLVN >EOY32531 pep chromosome:Theobroma_cacao_20110822:9:30922153:30933312:-1 gene:TCM_040506 transcript:EOY32531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell differentiation, Rcd1-like protein isoform 3 MQMVNLPQSLSMNTPFGGPTSSNPTAAGAPANKDRKMASAEHLVLDLSNPDLRENALLELSKNKELFQDLAPFVWNSFGTIAALIQEIVSIYPVLSPPNLTPAQSNRVCNALALLQQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSTCLREDQTTRRWLQQLLHNVGVNRVPTLQAGGGFDHMLVN >EOY32528 pep chromosome:Theobroma_cacao_20110822:9:30919926:30933493:-1 gene:TCM_040506 transcript:EOY32528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell differentiation, Rcd1-like protein isoform 3 MQMVNLPQSLSMNTPFGGPTSSNPTAAGAPANKDRKMASAEHLVLDLSNPDLRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLTPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSTCLREDQTTRRWLQQLLHNVGVNRVPTLQAGGGFDHMLVN >EOY32529 pep chromosome:Theobroma_cacao_20110822:9:30921720:30933499:-1 gene:TCM_040506 transcript:EOY32529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell differentiation, Rcd1-like protein isoform 3 MQMVNLPQSLSMNTPFGGPTSSNPTAAGAPANKDRKMASAEHLVLDLSNPDLRENALLELSKNKELFQDLAPFVWNSFGTIAALIQEIVSIYPVLSPPNLTPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSTCLREDQTTRRWLQQLLHNVGVNRVPTLQAGGGFDHMLVN >EOY29588 pep chromosome:Theobroma_cacao_20110822:9:1673050:1682169:1 gene:TCM_037086 transcript:EOY29588 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP1, putative isoform 2 MPGATTGGPGGAPGQVRPLMGAMSGRGRGDWRPPGMKAAPPMQKGFHTSFGMPGWGNNMAGRGFGGGLEFTLPSHKTIFDVDIDSFEEKPWKYPGVDLSDFFNFGLNEESWKDYCKQLEQHRLETTMQSKIRVYESGRTEQDYDPDLPPELAAATGQEVPADAANLAKSDGGQHDMTKGTARVRPPVPTGRAIQVEGGYGERLPSIDTRPPRIRDSDAIIEIVCQDTLDDDSSIGNVVVDQTENDLPRGDLRGDLASEADVAREDAEYFDGFPDAYNSQKREVVGRRTLNSVQSNEPEDGILPFPAEASLPYGPGSRGQSPMYSSGNFSSPCDERHQQGRAHERSPRMTPIQGRREKFSDAQKEESVESMDAKSPDAREISVERKDDVDDELDPADGNPVTEKDEQINETHEVENSPNPMKNEKRSSHGEQRMLQELDDDEDSRAARSSENSKARSGSSRDYQKWRDGAEEEVVQGGRLSRMGIVKKHLDEHDQNFRRKDREGRHEIERNRMVGKPGEDSYPLRDFDASLSHNLHTKAEGFDRRRERDNPDGTWQRREDDLYNRKSRTEDLRKRERDDEMGSRNRAKIRESERSDKDDYPHSRKQLDNGSFKVHHDKDVSARHRERDDNLKSRYEAADDYQSKRRKDEEYLRRDHADKEEILHGHRESSSSRRKRERDEITDQRKRNERPRIRDNFDEHHSVRHKDEVWLHRERVERQRERDEWHRLKQSHDESLPKREREEVRGIVRSGRGSEDKAWVAHTRAKDEYKGSEKEYQLKETVRHSEQVKRRERNDDESFSRHRGREDSYARGHQFGNEERRSRQERSSTRNDHAANASDSQRGEKKHKENTRKDRESEGGDPITLGSAKRNQEDLSGQNNETGLKSGEKNENPAHYNSSRKHKEDASSDDEQQESKRGRSKLERWTSHKERDYSINSKSSASLKFKEIEKINNVASSESNKIPDERGKSIEPAENHHPLSEDKGVGEPEIKDADIRPLEDRHLDTVEKLKKRSERFKLPMPSEKDALAIKKMESEALPSAKNETPADSEIKPERPARKRRWISN >EOY29587 pep chromosome:Theobroma_cacao_20110822:9:1671968:1681722:1 gene:TCM_037086 transcript:EOY29587 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP1, putative isoform 2 MEDEDEFGDLYTDVLKPFSSTSTTSSATASAAPQHHQPSPTPANVHRPIDLNVQSQDDDISLFGGSRQIPATQTLAPFKSPSLPPAAAAVVPDSIPRRDSAPKPMVLDSKQEANDGKDVKFDIEEGGSNGIEDVGSDDPIIPGLTESVCQEDSVRNNNGNDNGIREGEAEAEGEGDDWDSDSEDDLQIVLNDNNHGPMAMERGGMMGEDDDEDGDALVIVADGDANQGVEEQEWGEEGGQVADGERKEGGEAGKVGGGASGGGSVVPPKVGYSNHGYHPFHSQFKYVRPGAAPMPGATTGGPGGAPGQVRPLMGAMSGRGRGDWRPPGMKAAPPMQKGFHTSFGMPGWGNNMAGRGFGGGLEFTLPSHKTIFDVDIDSFEEKPWKYPGVDLSDFFNFGLNEESWKDYCKQLEQHRLETTMQSKIRVYESGRTEQDYDPDLPPELAAATGQEVPADAANLAKSDGGQHDMTKGTARVRPPVPTGRAIQVEGGYGERLPSIDTRPPRIRDSDAIIEIVCQDTLDDDSSIGNVVVDQTENDLPRGDLRGDLASEADVAREDAEYFDGFPDAYNSQKREVVGRRTLNSVQSNEPEDGILPFPAEASLPYGPGSRGQSPMYSSGNFSSPCDERHQQGRAHERSPRMTPIQGRREKFSDAQKEESVESMDAKSPDAREISVERKDDVDDELDPADGNPVTEKDEQINETHEVENSPNPMKNEKRSSHGEQRMLQELDDDEDSRAARSSENSKARSGSSRDYQKWRDGAEEEVVQGGRLSRMGIVKKHLDEHDQNFRRKDREGRHEIERNRMVGKPGEDSYPLRDFDASLSHNLHTKAEGFDRRRERDNPDGTWQRREDDLYNRKSRTEDLRKRERDDEMGSRNRAKIRESERSDKDDYPHSRKQLDNGSFKVHHDKDVSARHRERDDNLKSRYEAADDYQSKRRKDEEYLRRDHADKEEILHGHRESSSSRRKRERDEITDQRKRNERPRIRDNFDEHHSVRHKDEVWLHRERVERQRERDEWHRLKQSHDESLPKREREEVRGIVRSGRGSEDKAWVAHTRAKDEYKGSEKEYQLKETVRHSEQVKRRERNDDESFSRHRGREDSYARGHQFGNEERRSRQERSSTRNDHAANASDSQRGEKKHKENTRKDRESEGGDPITLGSAKRNQEDLSGQNNETGLKSGEKNENPAHYNSSRKHKEDASSDDEQQESKRGRSKLERWTSHKERDYSINSKSSASLKFKEIEKINNVASSESNKIPDERGKSIEPAENHHPLSEDKGVGEPEIKDADIRPLEDRHLDTVEKLKKRSERFKLPMPSEKDALAIKKMESEALPSAKNETPADSEIKPERPARKRRWISN >EOY32367 pep chromosome:Theobroma_cacao_20110822:9:28251943:28255601:-1 gene:TCM_040239 transcript:EOY32367 gene_biotype:protein_coding transcript_biotype:protein_coding description:6,7-dimethyl-8-ribityllumazine synthase MLLSLVQSSFISSSTFHSKIHTNLNPLKPSFLLPKTLFPIFNTQPTSKTLLKLSPRNATGICASLLEAPVLWAGRLCIYYALLKAGLAGSQANPLVSGLESGGTIGESGDLGFSKWLENIQGKPDKEAADKRKLVSKWHPTTKGTLKRNYRVPSKSEGRRLLKAVAFLLSDDDHFTDATSHKGCQIRRESAHGESVCCNNVRALFDELPTPHLTVEITPFPAGPLTEKDYVKAEKLERVLRSAPSV >EOY33609 pep chromosome:Theobroma_cacao_20110822:9:38260648:38265696:1 gene:TCM_041539 transcript:EOY33609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol acyltransferase family protein MASILRFRKLCYVEQAVKCASVGFESFDQSPKIDQKLDKKEEEVISANNFALEIIKKRKQPRKQPKEWRRLDSCCWMIGYLCSTWWLLLFLYHSLPVTLLQVPESPGVRLKREGLAALHPVVLVPGIVTGGLELWEGQPCADGLFRKRLWGGSFTEIFKRPLCWLEHLSLHSETGLDPPGIRVRAVPGLVAADYFAPGYFVWAVLIENLAKIGYEGKNLHMAAYDWRLSFQNTEIRDHALSRLKSKIELMYLTNGYKKVAVVPHSMGVIYFLHFLKWVETPPPIGGGGGPGWCAKHIKAIMNIGPAFLGVPKAVSNIFSAEGKDIAYIRAMAPGLLDSKILGLQTLEHVMRVSRTWDSIVSLVPKGGETIWGNMDWSPEERHACDFSRKRHSQPSPIDNNVNNSDVKRGFRVKDPVQYGRIISFGKTASQLHSSQLPTIDSKEFLRTSASQNLNFSCGEAWTEYDEMSREGIQKVAANKAYTTQTLLDLLRFVAPKMMLRAEAHFSHGIADDLDNPKYNHYKYWSNPLEMKLPDAPDMEIYCLYGVGIPTERSYVYKLSPTSGCKSIPYQIDSSVNGEDGSCLKGGVYFADGDENVPVLSAGFMCAKGWRGRTRFNPSGIATYIREYRHKPPSSLLEGRGIESGAHVDIMGNVALIEDILRIASGATGKEIRGDRIYSDILRMSERINLRL >EOY31568 pep chromosome:Theobroma_cacao_20110822:9:9659407:9660336:-1 gene:TCM_038494 transcript:EOY31568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSFRFTAIMIALLVTMSAIVSESRVARNDLGVDLGGIGVGIGAGVGIGLGGGGGSGSGAGAGSGSGSGGSSSSSSSSSASSSSSSGGGSGAGSEAGSSAGSYAGSRAGSGAGSEAGSSAGSSAGSRAGSGRGRERGNAN >EOY33083 pep chromosome:Theobroma_cacao_20110822:9:35365683:35369537:1 gene:TCM_041079 transcript:EOY33083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MISLHRDCLRNFYHKTKIFISTNPFHNFPYYSVFSSYLNPFIKDFKPKESLLGLTQIDPLSVISPTANLHPFCYNSFTCFYSTRAPSRSFRRRINKRLKASSKPVLDQPKFEKAVSQLLPRFTAEELCNVITLEEDPLVCWELFNWAVQQPRFRHDVSTYHITIKKLGVAKMYEEMDVVVNQVLALRTFGSEPLYNTIIYFFTEARKLTRAVNIFKHMRNNRKLDCRPSIRTYNILFTAMLSRGRDSYINHMYMETIRCLFRQMVNDGIEPDVFSLNSMIKGYVLSLHVNDALRVFHQMGVVYKCLPNSYSYDFLIYGLCAQGRTNNARELCNEMKKNGFVPSSKSYNSLVNALALSGEVEEALHYLREMIEKRKSADFITYRTILDEICRRGRAEEATGLLKELQDKDLVDGHTYRKLLYAMEDDFGN >EOY30332 pep chromosome:Theobroma_cacao_20110822:9:4245103:4245620:1 gene:TCM_037579 transcript:EOY30332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein QGPPRRDLLTAKESFPRRGPLKLTSVGSGRGIILLPSIKWTQQEAKPELRVFRLKNSVPLVRFRLNNESFQWHGALILPLGCRGRDQDSRQIVGHVYGDGPSSSCRVIVISCNLQIPFLVTSECKSYRIISYFSR >EOY33952 pep chromosome:Theobroma_cacao_20110822:9:39458781:39464869:-1 gene:TCM_041778 transcript:EOY33952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor PWI domain-containing protein, putative isoform 1 MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELDHLVDMTKVEMDVIRPWIATRVTELLGFEDEVLINFIYGLLDGKEVNGKQVQISLTGFMEKNTGKFMKELWTLLLSAQRNASGVPQQFLDAKEEETRKKKAESDRIANEIQKKKDKESGELEQERLRKMDNGDERKAGDLELEPSSKNKLPKSSSARPEGERDADQRNGVGRKRVSRSPCSTDRSASPRGPRSQSISRSLSNSRSYSDDKQKSRSVSRSPQQRQRSISSDRMYRSPRRRSLTPRSRHSPRSPRSPARRRLSYSRRRSRSRSPRRSRSPIRRRLRSPYRRRSPTPVRRRSRSPIRRHRSPSPIRRHRSPSPIRRHRSPSPIRRHRSPLSNRRHRSPSLVRRRRSPSLVRRRSPSPVRRRSPSPGRRRSPSPIRRRSLFPVRRKSPSPLRRRSPPPMQRKSPSPMRQQHRRSSSTPPRYRSSSLVGHRSPASSHRSITPSHGRSRSPYQSSSLSPVQRRSSSPVMRSPEKRRSPLLSPGERQGVRGKLSPVGRRLSSSPDRDRMDQRDAGYKVPALSLSPNKSSVSKSPSHVRNRSASEDRRSSSPYESPMRQRRERIASHDGSSPERKPRELKGQRDSKGTGRKNEASRSRHSPLVSKQRVSPRKVHTSDQLAGGRSTESLSRLDNMESRKKDLEIKSEKCSGKGVDLGTPDRQRSPAISEDTFQGEKQSSLHLREGKRSSERGRSRQNDIKDSDQRHKAETSPMLLEKLDQYNHGLDSGSEGSDKHRTKHKEKRKHKRSERREVTSDDDSSYDSEIEGRKEAKRRRKEEKRLRKEEKRRRREERRRRREERRAEKLKMKGQDDDSSSDGEHVAKRKSQPSDDEDAETEQKKLEIELRKKAIESLKAKKGISR >EOY33951 pep chromosome:Theobroma_cacao_20110822:9:39458781:39464855:-1 gene:TCM_041778 transcript:EOY33951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor PWI domain-containing protein, putative isoform 1 MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELDHLVDMTKVEMDVIRPWIATRVTELLGFEDEVLINFIYGLLDGKEVNGKQVQISLTGFMEKNTGKFMKELWTLLLSAQRNASGVPQQFLDAKEEETRKKKAESDRIANEIQKKKDKESGELEQERLRKMDNGDERKAGDLELEPSSKNKLPKSSSARPEGERDADQRNGVGRKRVSRSPCSTDRSASPRGPRSQSISRSLSNSRSYSDDKQKSRSVSRSPQQRQRSISSDRMYRSPRRRSLTPRSRHSPRSPRSPARRRLSYSRRRSRSRSPRRSRSPIRRRLRSPYRRRSPTPVRRRSRSPIRRHRSPSPIRRHRSPSPIRRHRSPSPIRRHRSPLSNRRHRSPSLVRRRRSPSLVRRRSPSPVRRRSPSPGRRRSPSPIRRRSLFPVRRKSPSPLRRRSPPPMQRKSPSPMRQQHRRSSSTPPRYRSSSLVGHRSPASSHRSITPSHGRSRSPYQSSSLSPVQRRSSSPVMRSPEKRRSPLLSPGERQGVRGKLSPVGRRLSSSPDRDRMDQRDAGYKVPALSLSPNKSSVSKSPSHVRNRSASEDRRSSSPYESPMRQRRERIASHDGSSPERKPRELKGQRDSKGTGRKNEASRSRHSPLVSKQRVSPRKVHTSDQLAGSRSTESLSRLDNMESRKKDLEIKRHSPLVSKQRVSPRKVHTSDQLAGGRSTESLSRLDNMESRKKDLEIKSEKCSGKGVDLGTPDRQRSPAISEDTFQGEKQSSLHLREGKRSSERGRSRQNDIKDSDQRHKAETSPMLLEKLDQYNHGLDSGSEGSDKHRTKHKEKRKHKRSERREVTSDDDSSYDSEIEGRKEAKRRRKEEKRLRKEEKRRRREERRRRREERRAEKLKMKGQDDDSSSDGEHVAKRKSQPSDDEDAETEQKKLEIELRKKAIESLKAKKGISR >EOY33499 pep chromosome:Theobroma_cacao_20110822:9:37848029:37858308:-1 gene:TCM_041469 transcript:EOY33499 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon catalytic subunit isoform 3 MRENPFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKAGGNPMKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGKPLELDTDGIWCALPGSFPENFTFKTKDSKKKLTISYPCVMLNVDVARNNTNDQYQTLIDPVNKTYATHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLNGSSLEECYSAVAAVANRWLDLLDNQGKDIADSELLDYISESSTMSKSLADYGEQKSCAVTTARRLADFLGDAMVKDKGLRCQYIVACEPRGTPVSERAIPVAIFETDAEIMKFYLRKWCKTFSEVGIRSIVDWSYYKQRLSSAIQKIITIPAAMQKVANPVPRVAHPDWLHKKVREKEDKFRQRKLVDIFSSSGRDDIMKKASDAVTANHVVIGDLEDFQNKSRKSEKAPTPIVRCYEVNDDQHPVKEVVQANSLQHQTECRGSAQQLSSPLLPNVQSTENIDRNVDYQGWLELKKRKWKDNLERRKRQRLANQRTSQHASSTSELLRGVENHKDAWGRTGVGSYFRRHDASLTNTHWQIIQLVPGSHNGQFFAWVVVEGIMLKVPITVPRVFYLNSKATISESFPGRRVNKTLPHSRQSYNLIEVIIDEDHFRRESKKLAALLADPEIEGIYERKVPLEFNAILQLGCVCKVDKTAKKRNAQDGWSLSELHMKTTTECAYLEQAISFFYLYHSICEGRAMYAGYFSSSQAVHVVVVNPHHENEILSPYILEKYFHEACQALSIEPPARNSIAFKVNYVEDVKDAEKILQRAISEQRHEHQGPTVAVIECPKTQSMKSGIRALEDFPCVSIPSNARDNDYQLLVWQQTAAKIGMQRCAASSQWLNERISLSRYAHVPLGNFELDWLLFTADVFFSRALRDQQQVLWISDDGVPDLGGTGEEDICYADEVHQPVITYPGAYRKVSVELKIHNLAVDALLKSNQINEMEGGALLGFDQDVNSGNEQCGFDEATSSAAAFRVLKQLIQRCLADAVSSGNVFADAILQHLYRWLCSPQSKLHDPALHRILHKVCTI >EOY33497 pep chromosome:Theobroma_cacao_20110822:9:37843969:37865773:-1 gene:TCM_041469 transcript:EOY33497 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon catalytic subunit isoform 3 MNGGDNRRRDRRDLRSSKKQKKLIRSAEEELESKLGFDLFSEGEKRLGWLLTIASSSCEDADTGKVYSCVDLYFVSQDGSCFKSKFKFRPYFYVAAKDRAEMDVEAYLRRRYESQIAEIEIVEKEDLDLKNHLSGLHKRYLKIAFDTVQQLMDVKKDLVHVVERNQAKFEAAEAYESILIGKREQRPLDFLDYIVDLREFDVPYHVRFAIDNDVRCGQWYDVSVSSTGVMLEKRTDLLQRAEVRVCAFDIETTKLPLKFPDAEYDLIMMISYMVDGQGYLIINRECVGQDIEDLEYTPKPEFQGYFKVTNVKNEVELLRQWFAHMQKVKPGIFVTYNGDYFDWPFIESRAAYHGFEMSDEVGFRCDKNQGECRAKFACHLDCFAWVKRDSYLPQGSHGLKAVTKAKLGYDPLEVNPEDMVRFAKEKPQMMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMPPDEVLRKGSGTLCEMLLMVQAYKANVICPNKHQTDPEKFYKNHLLESETYIGGHVECLESGVFRSDLPTSFKLDPSAYEQLISNLDRDLQYAIRVEGKMDLESVSNYDEVKNEIIEKLVKLRDEPIREECPLIYHLDVAAMYPNIILTNRLQPPSIVTDEVCTACDFNRPDKTCLRKLEWVWRGEIFMAKKSDYYHLKKQIESEFFDGTEGQLSKSFLDLPKLEQQSRLKDRLKKYCQKVYKRVLDKPVTELQEAGICMRENPFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKAGGNPMKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGKPLELDTDGIWCALPGSFPENFTFKTKDSKKKLTISYPCVMLNVDVARNNTNDQYQTLIDPVNKTYATHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLNGSSLEECYSAVAAVANRWLDLLDNQGKDIADSELLDYISESSTMSKSLADYGEQKSCAVTTARRLADFLGDAMVKDKGLRCQYIVACEPRGTPVSERAIPVAIFETDAEIMKFYLRKWCKTFSEVGIRSIVDWSYYKQRLSSAIQKIITIPAAMQKVANPVPRVAHPDWLHKKVREKEDKFRQRKLVDIFSSSGRDDIMKKASDAVTANHVVIGDLEDFQNKSRKSEKAPTPIVRCYEVNDDQHPVKEVVQANSLQHQTECRGSAQQLSSPLLPNVQSTENIDRNVDYQGWLELKKRKWKDNLERRKRQRLANQRTSQHASSTSELLRGVENHKDAWGRTGVGSYFRRHDASLTNTHWQIIQLVPGSHNGQFFAWVVVEGIMLKVPITVPRVFYLNSKATISESFPGRRVNKTLPHSRQSYNLIEVIIDEDHFRRESKKLAALLADPEIEGIYERKVPLEFNAILQLGCVCKVDKTAKKRNAQDGWSLSELHMKTTTECAYLEQAISFFYLYHSICEGRAMYAGYFSSSQAVHVVVVNPHHENEILSPYILEKYFHEACQALSIEPPARNSIAFKVNYVEDVKDAEKILQRAISEQRHEHQGPTVAVIECPKTQSMKSGIRALEDFPCVSIPSNARDNDYQLLVWQQTAAKIGMQRCAASSQWLNERISLSRYAHVPLGNFELDWLLFTADVFFSRALRDQQQVLWISDDGVPDLGGTGEEDICYADEVHQPVITYPGAYRKVSVELKIHNLAVDALLKSNQINEMEGGALLGFDQDVNSGNEQCGFDEATSSAAAFRVLKQLIQRCLADAVSSGNVFADAILQHLYRWLCSPQSKLHDPALHRILHKVMQKVFALLLAEFRKLGATIIFADFSKVVIDTGKYDISAAKAYSDSLLQALQNRELFEWIELEPIHFWHSLLFMDQYNYGGILAGSDENSQDKSEVDIVSSWNIAQYLPEKIQDHFVLIVSEFLHTPWKYAQDQAANRTSSLDGSLCTPSITITAAESFEAHIVKYLKEQISSYFTEKLLGIVRDIVLHMKGLSRSENDQQSAHMIFQLASNVNRGDAALEFIKHVCAVLALDHNVQHDVLVLRKNLLKYARIREFAPEAEFHDPCPSFILPNVICSYCNDCRDLDLCRDSALLADEWRCAVPQCGQPYDREVMENALLQIVRQRERLYHLQDLLCCRCRQVKAAHLAEQCACAGSFRCKEDVSEFRSKMQIFLNIAIRKKFLLLQECTSWILEVNL >EOY33498 pep chromosome:Theobroma_cacao_20110822:9:37842155:37858584:-1 gene:TCM_041469 transcript:EOY33498 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon catalytic subunit isoform 3 MRENPFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKAGGNPMKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGKPLELDTDGIWCALPGSFPENFTFKTKDSKKKLTISYPCVMLNVDVARNNTNDQYQTLIDPVNKTYATHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLNGSSLEECYSAVAAVANRWLDLLDNQGKDIADSELLDYISESSTMSKSLADYGEQKSCAVTTARRLADFLGDAMVKDKGLRCQYIVACEPRGTPVSERAIPVAIFETDAEIMKFYLRKWCKTFSEVGIRSIVDWSYYKQRLSSAIQKIITIPAAMQKVANPVPRVAHPDWLHKKVREKEDKFRQRKLVDIFSSSGRDDIMKKASDAVTANHVVIGDLEDFQNKSRKSEKAPTPIVRCYEVNDDQHPVKEVVQANSLQHQTECRGSAQQLSSPLLPNVQSTENIDRNVDYQGWLELKKRKWKDNLERRKRQRLANQRTSQHASSTSELLRGVENHKDAWGRTGVGSYFRRHDASLTNTHWQIIQLVPGSHNGQFFAWVVVEGIMLKVPITVPRVFYLNSKATISESFPGRRVNKTLPHSRQSYNLIEVIIDEDHFRRESKKLAALLADPEIEGIYERKVPLEFNAILQLGCVCKVDKTAKKRNAQDGWSLSELHMKTTTECAYLEQAISFFYLYHSICEGRAMYAGYFSSSQAVHVVVVNPHHENEILSPYILEKYFHEACQALSIEPPARNSIAFKVNYVEDVKDAEKILQRAISEQRHEHQGPTVAVIECPKTQSMKSGIRALEDFPCVSIPSNARDNDYQLLVWQQTAAKIGMQRCAASSQWLNERISLSRYAHVPLGNFELDWLLFTADVFFSRALRDQQQVLWISDDGVPDLGGTGEEDICYADEVHQPVITYPGAYRKVSVELKIHNLAVDALLKSNQINEMEGGALLGFDQDVNSGNEQCGFDEATSSAAAFRVLKQLIQRCLADAVSSGNVFADAILQHLYRWLCSPQSKLHDPALHRILHKVMQKVFALLLAEFRKLGATIIFADFSKVVIDTGKYDISAAKAYSDSLLQALQNRELFEWIELEPIHFWHSLLFMDQYNYGGILAGSDENSQDKSEVDIVSSWNIAQYLPEKIQDHFVLIVSEFLHTPWKYAQDQAANRTSSLDGSLCTPSITITAAESFEAHIVKYLKEQISSYFTEKLLGIVRDIVLHMKGLSRSENDQQSAHMIFQLASNVNRGDAALEFIKHVCAVLALDHNVQHDVLVLRKNLLKYARIREFAPEAEFHDPCPSFILPNVICSYCNDCRDLDLCRDSALLADEWRCAVPQCGQPYDREVMENALLQIVRQRERLYHLQDLLCCRCRQVKAAHLAEQCACAGSFRCKEDVSEFRSKMQIFLNIAIRKKFLLLQECTSWILEVNL >EOY34254 pep chromosome:Theobroma_cacao_20110822:9:40470816:40474461:-1 gene:TCM_041989 transcript:EOY34254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase family protein / HAD-superfamily protein MIALQMLRKSSQTRSRKQLSRLFSTISQLSLQQSTPPSFGIAFDIDGVVLRGNTPIGGAPRALRRLYDDSGVLKIPFIFLTNGGGVRESKRAVELSELLGVEISPSQVVQGHSPFKQLVNRFENELIVAVGKGEPAAVMSEYGFKNVIPIDEYALCFESIDPLAPYKKWNTMEAAVNSTRKCSIKSQRVQAAFIVSDSVDWSRDIQVLCDILRTGGLPGSEEGPQPPLYFAHDDLKYQGAFPSERFGMGAFRIALESIFNSIHSEALKYTSLGKPNPVAFKNAEVVLKLLASSLYHDHAHSGSHDFRTLYMIGDNPFVDIKGSMQAGHPWFPILTRTGVFKGDRQSNHVEFPANLVVDTVEDAVNFILRNESFEP >EOY31696 pep chromosome:Theobroma_cacao_20110822:9:12395976:12407195:-1 gene:TCM_038756 transcript:EOY31696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGLFKLRSSKLPYSPITMTCSKRSRVKTFLTLGKFDPRSDEAIFLAYALNSKAYRVFSKRTLVIEKSIHIIFYETNGAQRKVVLDDDDDIEKKMEKMSLENKVRDGKSSRDKNEEEPPLKDLQRAEEQHNNLPRSYRFGEFEISIIGELKFFLGLQIKQCEDGIFINQENYTKKMLKKFGGMNMKSIGTPISPSIKLDKDDKGKYVDQKLYRANHLKNESFNIGQASQIGQRIFTAAERHSRCSVATVGFHSCCAQILWIKQNDFGMPIHKVLIYCDNMSAINISKNLVQHPRTKYIEIRHHFIRDHVLKGDVKIEFINTLYQLGDIFTKPLSEDQFCRIRRE >EOY30613 pep chromosome:Theobroma_cacao_20110822:9:5166965:5171264:1 gene:TCM_037760 transcript:EOY30613 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase kinase 6 isoform 1 MKSKKPLKQLKLSVPAQETPISSFLTASGTFHDGDLLLNQKGLRLISEEKESRPSDGKELEFDFSLEDLETIKVIGKGSGGVVQLVRHKWVGRLFALKVIQMNIQEEIRKQIVQELKINQASQCSHVVVCYHSFYHNGAISLVLEYMDRGSLADVIRQVKTILEPYLAVVCKQVLQGLVYLHHERHVIHRDIKPSNLLVNHKGEVKITDFGVSAMLASSMGQRDTFVGTYNYMSPERISGSTYDYSSDIWSLGMVVLECAIGRFPYMQSEDQQSWPSFYELLQAIVEKPPPTAPPDQFSPEFCSFVSACIQKNPRDRASSLDLLSHPFIKKFEGKDIDLGILVGSLEPPVNYPR >EOY30614 pep chromosome:Theobroma_cacao_20110822:9:5166534:5170735:1 gene:TCM_037760 transcript:EOY30614 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase kinase 6 isoform 1 MKSKKPLKQLKLSVPAQETPISSFLTASGTFHDGDLLLNQKGLRLISEEKESRPSDGKELEFDFSLEDLETIKVIGKGSGGVVQLVRHKWVGRLFALKVIQMNIQEEIRKQIVQELKINQASQCSHVVVCYHSFYHNGAISLVLEYMDRGSLADVIRQVKTILEPYLAVVCKQVLQGLVYLHHERHVIHRDIKPSNLLVNHKGEVKITDFGVSAMLASSMGQRDTFVGTYNYMSPERISGSTYDYSSDIWSLGMVVLECAIGRFPYMQSEDQQSWPSFYELLQAIVEKPPPTAPPDQFSPEFCSFVSACIQKNPRDRASSLDLLSHPFIKKFEGKDIDLGILVGSLEPPVNYPR >EOY30615 pep chromosome:Theobroma_cacao_20110822:9:5166972:5170470:1 gene:TCM_037760 transcript:EOY30615 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase kinase 6 isoform 1 MKSKKPLKQLKLSVPAQETPISSFLTASGTFHDGDLLLNQKGLRLISEEKESRPSDGKELEFDFSLEDLETIKVIGKGSGGVVQLVRHKWVGRLFALKVIQMNIQEEIRKQIVQELKINQASQCSHVVVCYHSFYHNGAISLVLEYMDRGSLADVIRQVKTILEPYLAVVCKQVLQGLVYLHHERHVIHRDIKPSNLLVNHKGEVKITDFGVSAMLASSMGQRDTFVGTYNYMSPERISGSTYDYSSDIWSLGMVVLECAIGRFPYMQSEDQQSWPSFYELLQAIVEKPPPTAPPDQFSPEFCSFVSACIQKNPRDRASSLDLLVSSHGLPPSS >EOY34628 pep chromosome:Theobroma_cacao_20110822:9:41634961:41639661:-1 gene:TCM_042237 transcript:EOY34628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/hydrogen exchanger 15, putative MRVCTKFYNSSKINVQNGNINASFAIANLPQPNGISRTLSLPFTVLRWYGHMRIRYPTRAMPLVRSIQNPKVPRFGDDHLYFSIPLLLLQLSICILLKLFGQPSIVSQIKIVIKLLYLSLYLSNCPLSISFFFLSLSVFRCLRNLLSKAAWQEARRILQQVYLAVIKSIERMLMTLLSLEGVMMIHGRCMRDLKKCAATMRDSIINDTWLCHPNNNVRSRGIFLGDSPFNFATPVLFVQLSVSALLTAILQLLLTPLGQSAFFSQMLTGILTGPTFWSGDHPVINMIYPRKSHYVNKTFALFGCMLFLFLVGVKTDLSMVKRTGKKAVIIGVLSFVVPITLNTIVAAIITETIEMGPEVRKSIFFIASFQALTSFHVVVCLLADLNLLNSELGRLATSSSLVSGTCSWCWAIVTMTFMQGPVGRQETVIWMFLSLSLLLIVIAYVLRPVMLWIVRRTSDGKSLTEGHILAVFMMILGCSFFSEVIGHHLIIGPMFLGMATPEGPPLGSALVSKLDSYVSSILLPTYFTVSGSNVNIRTAHSETVAIISVMAVCNFIGKLLSTVLPSLYCNMPPLDAFSLGLIMCAQGITDILVLQHAMRLALIDVESYTIMSITMVLTTGIITPLVKFLYKPSKQYLSHKKRTIEHAHPETELRMLACIYSQYQTPSIINLLELSNPTAKSPICFYVVHLLELIGRSAPLLITHRPGRRHPAQLQGSEHMINAFRLYEQGNEGSVVVNLFTAVSPYVTMHDEICSLALEKRVSMVIIPFHKQWSTSTEIEELPKPIRSVNQQILQNAPCSVGILVDRGTLTGTSSMSSKTIYSIGMIFMEGPDDREALAYVMRMGEHPNISITVTRLVDSNKKKKEAGDGGGDTEQDDRIVNDFRIAHVGKKHHVYKEEFVSNSVETVEVIRSMENSYDLLLVGRRHDTESPLFMGLTEWNEFPELGFIGDMLASADSGCEVSVLVVQQQTLPGAGRRNVGISNNSMDQDSCTVVDIPRKYSRVWPSS >EOY32343 pep chromosome:Theobroma_cacao_20110822:9:27728328:27734909:1 gene:TCM_040176 transcript:EOY32343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLLFYRKKGQWNMPLDNISTDYSIIDGVAITAEGIFDHVLMEFSVSIFVSDLEHQRIVKLCQQNCPSMKLEFLVM >EOY32483 pep chromosome:Theobroma_cacao_20110822:9:30068883:30077321:1 gene:TCM_040416 transcript:EOY32483 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCHC-type integrase, putative MAFASCTFIFFLLLLPLLAIAQTDGRVAVGASLTAAHNASPWLSTSGDFAFGFHPTDNEDLFLLSIWFDKIPGKTVVWYAHEDGNPVLVPEGSKIVLNAENGLLLSNARGELVWKSAVARADVAYGVMNDTGNFAIKSRNSDMLWESFAHPTDTLLPAQIMKINGQLFSRQKENNFSRGRFLLSLRENGDLVLNIVNLPTNLVYDGDGPYYNSHTSDPANESNSGNQLIFNESGDVYVLRRNGQRSLLGTGSTLPTPREDFYQRATLDFDGVFAQYYYPKINTGNDSWSTVWYQPENICLRVGGLGSGACGFNSICSLNENGRPTCNCPPGFSFLDPNDNYGSCERDGELDCHEDGQISKEDLYDIEVLPDTNWPTSDYERYGTNYDEQDCKTSCLNDCFCVVAIYGGGSCWMKKLPLSNGRKNSSDKSKAFIKVPRGDRPPSFPNLREADDDKNKRNLIITGSVLLGTSVFVNLALIGALCLSFFFIYKKKLSKIDQGGLETNLRLFTYKELAEATNGFEEKLGRGAFGVVYKGTTRMSASGSRISIAVKKLDRVVTDGDKEFKTEINVIGRTHHKNLVQLLGICEEGEQRLLVYEFLSNGTLAEYLFGNRKPSWCQRTQIALGVARGLVYLHEECSTQIIHCDIKPQNILLDDNYDARISDFGLSKLLMMDQTQTKTAIRGTKGYVAPEWFRNLPVTVKVDVYSFGVLLLEIICCRRSVVDEEMGDEGNIILTYWAYDCYSEGKIDALVSEDMEVMNDTKSLERFLMVAFWCIQEDPCLRPSMRKVIQMLEGVVHVTVPPNPSPFSTIG >EOY29892 pep chromosome:Theobroma_cacao_20110822:9:2706541:2710135:-1 gene:TCM_037281 transcript:EOY29892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF778) [Source:Projected from Arabidopsis thaliana (AT2G26070) TAIR;Acc:AT2G26070] MPRGRLPIMDLKSAYDVEDMSSTSRIQHDLWPLDEIDPKKAKFPCCIVWTPLPVVSWLAPFIGHVGICREDGAILDFSGSNFVNVEDFAFGAGARYLQLDREKCCFPVNLAGHTCKHGYQHTEFGTAVTWDDALRSSVRHFEHKSYNLFTCNSHSFVANCLNRLCYGGSMDWNMVTVAALILFKGHWVDIMSIIRSFLPFTMVLCLGLVLIGWPFLVGLFSFSLLLMGWFLLGTYCIKTLLEY >EOY29891 pep chromosome:Theobroma_cacao_20110822:9:2706482:2709396:-1 gene:TCM_037281 transcript:EOY29891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF778) [Source:Projected from Arabidopsis thaliana (AT2G26070) TAIR;Acc:AT2G26070] MPRGRLPIMDLKSAYDVEDMSSTSRIQHDLWPLDEIDPKKAKFPCCIVWTPLPVVSWLAPFIGHVGICREDGAILDFSGSNFVNVEDFAFGAGARYLQLDREKCCFPVNLAGHTCKHGYQHTEFGTAVTWDDALRSSVRHFEHKSYNLFTCNSHSFVANCLNRLCYGGSMDWNMVTVAALILFKGHWVDIMSIIRSFLPFTMVLCLGLVLIGWPFLVGLFSFSLLLMGWFLLGTYCIKTLLEY >EOY29890 pep chromosome:Theobroma_cacao_20110822:9:2706364:2709397:-1 gene:TCM_037281 transcript:EOY29890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF778) [Source:Projected from Arabidopsis thaliana (AT2G26070) TAIR;Acc:AT2G26070] MPRGRLPIMDLKSAYDVEDMSSTSRIQHDLWPLDEIDPKKAKFPCCIVWTPLPVVSWLAPFIGHVGICREDGAILDFSGSNFVNVEDFAFGAGARYLQLDREKCCFPVNLAGHTCKHGYQHTEFGTAVTWDDALRSSVRHFEHKSYNLFTCNSHSFVANCLNRLCYGGSMDWNMVTVAALILFKGHWVDIMSIIRSFLPFTMVLCLGLVLIGWPFLVGLFSFSLLLMGWFLLGTYCIKTLLEY >EOY32254 pep chromosome:Theobroma_cacao_20110822:9:24941549:24946182:1 gene:TCM_039931 transcript:EOY32254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 10 [Source:Projected from Arabidopsis thaliana (AT5G58190) UniProtKB/TrEMBL;Acc:F4KDJ9] MDAADYPGASNESGDFSIIRPLSACGSHQQHVSYGEQLCVRLCASNFLLASGYGNSTGSWDGYPHFVNADGLHLSPVICNENQSLLLHASYGFTPEMSYGQYSPVGTPLPSLLVEGPLCASQQVPFPPIYRPLPASPNVPAAVPVIPTELMTLESSRENVHYGTRSGYLIQYGSYGVGNISGIFGSSAVTSPAAYSQPVGILGSYEHRQMVASYTRILLGWRSGSSVGHYPHDGSYQSSKFSSASVPFLGLMTRQDLFLTRARDERETRTQYVFLMSLRVKASGQFCGVAQMAGPVDFESDADFWQQDRWSGQFPVQWHVIKDVPNNGFRHILLQNNDNKPVTHSRDSQEVA >EOY29732 pep chromosome:Theobroma_cacao_20110822:9:2192835:2194813:-1 gene:TCM_037183 transcript:EOY29732 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MNQPTVLILVSCLFFHVRAQEEAEPSSGILHPFRISLSLVVGISLGMLSLTFVVLVCLKFCHANLVDNFDHTTHPQNFHRLFQSSSIFSGIDRRIIESIPSFQFSALKGSKEGLECAVCISKFEDSDILRLLPKCEHAFHMNCIDQWLERHSTCPLCRYKFDSRDAKSFTHSNSLRLSQNPSNLTDDRNVELFVEREQDDQVQGSSSRFNIGSSLRRIDRGKKQELRIQEGEGSSGDDPKLLHKFKHKIIVSDVVIKNRWSDVSPLDLLSLKSEMLSVISSKRFSSLESNSRRFPTGLSTSKLIEKVEDLERKRMFESEFSITDKNNPSSSFPSASYNESSSSEMNHMEKRSMSEITVFPRFRQFSLKNQVADCLAKEGIQRQEDSINIFD >EOY29678 pep chromosome:Theobroma_cacao_20110822:9:2034125:2034701:1 gene:TCM_047090 transcript:EOY29678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein MLEGKAVIEETDMPLKMQAQALASASRALDLYEVFDCIPIAAYIIKDFDKMYGPGWQCVVGTNFSCFFTHLKGTFIYFALATLNFLIFKGSCSSTSS >EOY32134 pep chromosome:Theobroma_cacao_20110822:9:21851458:21857763:1 gene:TCM_039669 transcript:EOY32134 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II, putative isoform 1 MSVSDEQITKLFKARRTVLQMLRDRGYSVDDSDIKTTRQQFIEKFGDNIHLKRDDLLIHCNKGDAPIDQIYVFFPAELKVGVPMVRNCAKRMKADNVFNAILVVQKALTAPAKAAINEINSYFHMDVFEEAELLTNITEHMFVPKHTVLTDQEKKKLLEKYRVKETQLPRILVSDPVARYYGMKHGQVVKITRESVTADTYDTYRYAV >EOY32133 pep chromosome:Theobroma_cacao_20110822:9:21851479:21857667:1 gene:TCM_039669 transcript:EOY32133 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II, putative isoform 1 MSVSDEQITKLFKARRTVLQMLRDRGYSVDDSDIKTTRQQFIEKFGDNIHLKRDDLLIHCNKGDAPIDQIYVFFPAELKVGVPMVRNCAKRMKADNVFNAILVVQKALTAPAKAAINEINSYFHMDVFEEAELLTNITEHMFVPKHTVLTDQEKKKLLEKYRVKETQLPRILVSDPVARYYGMKHGQVVKITRESVTADTYDTYRYAV >EOY34602 pep chromosome:Theobroma_cacao_20110822:9:41563585:41567095:1 gene:TCM_042219 transcript:EOY34602 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MNSICSKPSTPCHVVAVPSAGRGHINPMMNLCKILASKSNNILITFVVTEEWLGFIGSDPKPDNIHFCSIPNVLPSELVRAADLFGFAEAVWTKMDAPLESLLDQLNPPATLIMADTFLFWAVSAGNRRNIPVASFWPMSALMFSVFHHFHLFRENGHFPVDPLEKGHEQVDYIPGLSSTSLLDLPIANIIEGSRSWFILKQMLDSISWVRKANYLLLASIYELETTAVDTLKPEFPFPVYTVGPAIPYLELDNSSSSNLGDNELTYLHWLDRQPRNSVLYVSLGSFLSVSSAQMDEIAAGLNDSGVRFLWVVRYETSRMEAACSDQGFVVPWCDQLRVLCHPSVGGFWSHCGWNSVREGIFAGVPFLTFPLVADQKLNSKLIVDDWKIGWRVKKQFLAENLVTRDEISKLVRKFMDLESVEVTEMRDRGKELKQKFLHAIDRKGSSETSIDSFIRNISQTRGH >EOY32327 pep chromosome:Theobroma_cacao_20110822:9:27053478:27055859:1 gene:TCM_040109 transcript:EOY32327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, putative isoform 4 TYWSKFQNHDFQGFAKPSRLLPSTELKVCTETLGENFFSSTSLNGARSFYKVRLQTSRMYGSSLSNINAGILLCLIDEKGDSILQRIPAYLLIEDPAKLENVTEPETLYFQRGSVDEFVFEGPNLGKVEALWISLESGQWRLGGVSLIILNASQPSFEEDYGENVEFIGSKYNFKVDEILLGEGGDESMVELRPCLVTELSGPNLFKMFSKGFSQPTLPLSDQISNEKSMKEYADLKFSLLLYDAVLIFVGTSFASFSIGESSALAFLLGGISGFLYLLLLQRSVDGLSASELISKNPGDQMVRFRSPLSILALAVALSLLAVKYGVGDTPFVLTPKELLVGMIGFLVCKVAVVLAAFKPLPVSIEERE >EOY32324 pep chromosome:Theobroma_cacao_20110822:9:27051924:27057748:1 gene:TCM_040109 transcript:EOY32324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, putative isoform 4 MVLTLAMESVSVSHTIFATNQRNPVRISPPIHVGRKFHFRAARCRFGFLSCSKKSDFQGTEMASQDSRADHFQGFAKPSRLLPSTELKVCTETLGENFFSSTSLNGARSFYKVRLQTSRMYGSSLSNINAGILLCLIDEKGDSILQRIPAYLLIEDPAKLENVTEPETLYFQRGSVDEFVFEGPNLGKVEALWISLESGQWRLGGVSLIILNASQPSFEEDYGENVEFIGSKYNFKVDEILLGEGGDESMVELRPCLVTELSGPNLFKMFSKGFSQPTLPLSDQISNEKSMKEYADLKFSLLLYDAVLIFVGTSFASFSIGESSALAFLLGGISGFLYLLLLQRSVDGLSASELISKNPGDQMVRFRSPLSILALAVALSLLAVKYGVGDTPFVLTPKELLVGMIGFLVCKVAVVLAAFKPLPVSIEERE >EOY32325 pep chromosome:Theobroma_cacao_20110822:9:27052266:27055859:1 gene:TCM_040109 transcript:EOY32325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, putative isoform 4 MVLTLAMESVSVSHTIFATNQRNPVRISPPIHVGRKFHFRAARCRFGFLSCSKKSDFQDFQGFAKPSRLLPSTELKVCTETLGENFFSSTSLNGARSFYKRIPAYLLIEDPAKLENVTEPETLYFQRGSVDEFVFEGPNLGKVEALWISLESGQWRLGGVSLIILNASQPSFEEDYGENVEFIGSKYNFKVDEILLGEGGDESMVELRPCLVTELSGPNLFKMFSKGFSQPTLPLSDQISNEKSMKEYADLKFSLLLYDAVLIFVGTSFASFSIGESSALAFLLGGISGFLYLLLLQRSVDGLSASELISKNPGDQMVRFRSPLSILALAVALSLLAVKYGVGDTPFVLTPKELLVGMIGFLVCKVAVVLAAFKPLPVSIEERE >EOY32326 pep chromosome:Theobroma_cacao_20110822:9:27051924:27055829:1 gene:TCM_040109 transcript:EOY32326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, putative isoform 4 MVLTLAMESVSVSHTIFATNQRNPVRISPPIHVGRKFHFRAARCRFGFLSCSKKSDFQDFQGFAKPSRLLPSTELKVCTETLGENFFSSTSLNGARSFYKVRLQTSRMYGSSLSNINAGILLCLIDEKGDSILQRIPAYLLIEDPAKLENVTEPETLYFQRGSVDEFVFEGPNLGKVEALWISLESGQWRLGGVSLIILNASQPSFEEDYGENVEFIGSKYNFKVDEILLGEGGDESMVELRPCLVTELSGPNLFKMFSKGFSQPTLPLSDQISNEKSMKEYADLKFSLLLYDAVLIFVGTSFASFSIGESSALAFLLGGISGFLYLLLLQRSVDGLSASELISKNPGDQMVRFRSPLSILALAVALSLLAVKYGVGDTPFVLTPKELLVGMIGFLVCKVAVVLAAFKPLPVSIEERE >EOY33528 pep chromosome:Theobroma_cacao_20110822:9:37934654:37938769:-1 gene:TCM_041482 transcript:EOY33528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 9 isoform 10 MRPKDKIAYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLAYDLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRITPDTQHLFSNELARYNLGEDCPVFENLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDMFFPGTGDVKDIGEREGKFYAINVPLKDGIDDTSFTRLFKTIISKVVEMYQPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRIVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNEIPENEYIKYFAPECLLNIPNGHIENLNSKSYISTIKMQVLENLRCIQHAPGVQMQEVSSTQLGANYIQPLMSQITCLGGWFLIFDVSQSHAPSQGEGTQREGACGSFEGKLQCTMQSKYWYCNWLFFRAGSA >EOY33527 pep chromosome:Theobroma_cacao_20110822:9:37934431:37938984:-1 gene:TCM_041482 transcript:EOY33527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 9 isoform 10 MRPKDKIAYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLAYDLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRITPDTQHLFSNELARYNLGEDCPVFENLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDMFFPGTGDVKDIGEREGKFYAINVPLKDGIDDTSFTRLFKTIISKVVEMYQPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRIVKKFNLPLLVYFLFDQPFNCCKYVMFKVQRGIFYPTGYWRWRIYKRKCCTLLDCRNRSSFRYRTS >EOY33530 pep chromosome:Theobroma_cacao_20110822:9:37934275:37938301:-1 gene:TCM_041482 transcript:EOY33530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 9 isoform 10 FHSADYVEFLHRITPDTQHLFSNELARYNLGEDCPVFENLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDMFFPGTGDVKDIGEREGKFYAINVPLKDGIDDTSFTRLFKTIISKVVEMYQPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRIVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNEIPENEYIKYFAPECLLNIPNGHIENLNSKSYISTIKMQVLENLRCIQHAPGVQMQEVSSTQLGANYIQPLMSQITCLGGWFLIFDVSQSHAPSQGEGTQREGACGSFEGKLQCTMQSKYWYCNWLFFRAGSA >EOY33526 pep chromosome:Theobroma_cacao_20110822:9:37935361:37938769:-1 gene:TCM_041482 transcript:EOY33526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 9 isoform 10 MRPKDKIAYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLAYDLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRITPDTQHLFSNELARYNLGEDCPVFENLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDMFFPGTGDVKDIGEREGKFYAINVPLKDGIDDTSFTRLFKTIISKVVEMYQPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRIVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNGMLR >EOY33533 pep chromosome:Theobroma_cacao_20110822:9:37934040:37938891:-1 gene:TCM_041482 transcript:EOY33533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 9 isoform 10 MRPKDKIAYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLAYDLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRITPDTQHLFSNELARYNLGEDCPVFENLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDMFFPGTGDVKDIGEREGKFYAINVPLKDGIDDTSFTRLFKTIISKVVEMYQPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRIVKKFNLPLLVAHPGQANPA >EOY33523 pep chromosome:Theobroma_cacao_20110822:9:37933953:37938981:-1 gene:TCM_041482 transcript:EOY33523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 9 isoform 10 MRPKDKIAYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLAYDLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRITPDTQHLFSNELARYNLGEDCPVFENLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDMFFPGTGDVKDIGEREGKFYAINVPLKDGIDDTSFTRLFKTIISKVVEMYQPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRIVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNEIPENEYIKYFAPECLLNIPNGHIENLNSKSYISTIKMQVLENLRCIQHAPGVQMQEVPPDFFIPDFDEDEQNPDERMDQHTQDKQIQRDDEYYDGDNDNDQNMDM >EOY33529 pep chromosome:Theobroma_cacao_20110822:9:37933953:37938981:-1 gene:TCM_041482 transcript:EOY33529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 9 isoform 10 MRPKDKIAYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLAYDLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRITPDTQHLFSNELARYNLGEDCPVFENLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDMFFPGTGEREGKFYAINVPLKDGIDDTSFTRLFKTIISKVVEMYQPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRIVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNEIPENEYIKYFAPECLLNIPNGHIENLNSKSYISTIKMQVLENLRCIQHAPGVQMQEVPPDFFIPDFDEDEQNPDERMDQHTQDKQIQR >EOY33531 pep chromosome:Theobroma_cacao_20110822:9:37934040:37938984:-1 gene:TCM_041482 transcript:EOY33531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 9 isoform 10 MRPKDKIAYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLAYDLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRITPDTQHLFSNELARYNLGEDCPVFENLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDMFFPGTGDVKDIGEREGKFYAINVPLKDGIDDTSFTRLFKTIISKVVEMYQPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRIVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNEIPENEYIKYFAPECLLNIPNGHIEHTQDKQIQRDDEYYDGDNDNDQNMDM >EOY33525 pep chromosome:Theobroma_cacao_20110822:9:37934654:37938769:-1 gene:TCM_041482 transcript:EOY33525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 9 isoform 10 MRPKDKIAYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLAYDLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRITPDTQHLFSNELARYNLGEDCPVFENLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDMFFPGTGDVKDIGEREGKFYAINVPLKDGIDDTSFTRLFKTIISKVVEMYQPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRIVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNEIPENEYIKYFAPECLLNIPNGHIENLNSKSYISTIKMQVLENLRCIQHAPGVQMQEVSSTQLGANYIQPLMSQITCLGGWFLIFDVSQSHAPSQGEGTQREGACGSFEGKLQCTMQSKYWYCNWLFFRAGSA >EOY33524 pep chromosome:Theobroma_cacao_20110822:9:37934590:37938769:-1 gene:TCM_041482 transcript:EOY33524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 9 isoform 10 MRPKDKIAYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLAYDLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRITPDTQHLFSNELARYNLGEDCPVFENLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDMFFPGTGDVKDIGEREGKFYAINVPLKDGIDDTSFTRLFKTIISKVVEMYQPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRIVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNEIPENEYIKYFAPECLLNIPNGHIENLNSKSYISTIKMQVLENLRCIQHAPGVQMQEVPPDFFIPDFDEDEQNPDERMDRK >EOY33532 pep chromosome:Theobroma_cacao_20110822:9:37934427:37938891:-1 gene:TCM_041482 transcript:EOY33532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 9 isoform 10 MRPKDKIAYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLAYDLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRITPDTQHLFSNELARYNLGEDCPVFENLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDMFFPGTGDVKDIGEREGKFYAINVPLKDGIDDTSFTRLFKTIISKVVEMYQPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRIVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNGEFK >EOY31224 pep chromosome:Theobroma_cacao_20110822:9:7620832:7625232:1 gene:TCM_038188 transcript:EOY31224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydrogenase MISLPSLHQSLSKPSLFLLSSPSPLFLQSIFLLPTSTKPISIHSSKPLPIKCCYSSTTTSAEPAQDHVRPVSTFKIAIIGFGNYGQFLAKTLVSQGHKVLAYSRSDYSHVAKSLGVSFFINPHDLCEQHPQVILLCTSIISTEQVLKSLPLQRLKRSTLFVDVLSVKEFAKNLLLDFLPSDFDIICSHPMFGPQSAKQSWKDLFFVYEKVRIGNESSRVQRCEDFLGIFESEGCKMVEMSCQEHDKFAAGSQFMTHTVGRVLDMLGLESTPINTKGYEALLELVENTCRDSFDLYYGLFLYNKSALEMVERLDLAFDALRNELFGRLHHVVRKQLFENGEQGKSLEGIRYENGAALASSSNALRSQDAIVPYEFKGKISESVDDSSKLKIAIVGFGNFGQFLAKTLACQGHSVLAYSRTDYRDAAQKLGASFFSDANDLCEEHPEVILLCTSILSTEKVLKSLPLQRLKRSTLFVDVLSVKEFPRNLFLQHLPSDFDILCTHPMFGPESGKNGWNSLPFLFDKVRVGSDERRVARCNKFLDIFAREGCRMVEMSCAEHDRHAAGSQFITHTMGRVLEKLELDSTPINTKGYDTLLKLVENTAGDSFELYYGLFMYNVNAMEQLERMDFAFESLKKQLFGRLHGVLRKQLFGNSENFEVLREKSVEGNGAALSSSPESVKIS >EOY31493 pep chromosome:Theobroma_cacao_20110822:9:9135308:9139666:1 gene:TCM_038420 transcript:EOY31493 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA double-strand break repair rad50 ATPase, putative isoform 1 MAGSSATLPSQETDITALKEMLCTQQQLLQKLYAELDEEREASATATNEALSMILRLQGEKAAVKMEASQYKRLAEEKIGHAEESLAIFEDLMYQKEMEISSLEYQIQAYKYKLLSLGCDDLGDTEKQFPENSFSERNDAFLGEKGVKATVRRLSSLPATLPIDFYQKKSTIDEERYTFPAADLSSSIDVGNFDQLVHDKSSDSKRSSLNSAAGDYNSYWEQIRMLDEKVKEISDCKEVGQNNFSNVKVESVSGSTSSSSDPSRAKIPPKCHKIKSYEDSLEKEAIPSSACSSNAVHDIFEVPDIFEVSETSERNKTCFNGEKSRGKSILEGDNRLKKPDLIQEEPFMSPAEDEINWIKKNNFQSAKPEKKSCKLRGEMNADCISPAQYETERVKKNNLPSANHEKKLCKLRGQTNADCKSALLHPATGVNDYRSELQQLTQRVEQLESGRNNTRHEISEGRGEELNLLRELREQLNSIQSEMRNWRPKKSTPSDEVTLLSLKEAMLHFWL >EOY31494 pep chromosome:Theobroma_cacao_20110822:9:9134839:9140193:1 gene:TCM_038420 transcript:EOY31494 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA double-strand break repair rad50 ATPase, putative isoform 1 MAGSSATLPSQETDITALKEMLCTQQQLLQKLYAELDEEREASATATNEALSMILRLQGEKAAVKMEASQYKRLAEEKIGHAEESLAIFEDLMYQKEMEISSLEYQIQAYKYKLLSLGCDDLGDTEKQFPENSFSERNDAFLGEKGVKATVRRLSSLPATLPIDFYQKKSTIDEERYTFPAADLSSSIDVGNFDQLVHDKSSDSKRSSLNSAAGDYNSYWEQIRMLDEKVKEISDCKEVGQNNFSNVKVESVSGSTSSSSDPSRAKIPPKCHKIKSYEDSLEKEAIPSSACSSNAVHDIFEVPDIFEVSETSERNKTCFNGEKSRGKSILEGDNRLKKPDLIQEEPFMSPAEDEINWIKKNNFQSAKPEKKSCKLRGEMNADCISPAQYETERVKKNNLPSANHEKKLCKLRGQTNADCKSALLHPATGVNDYRSELQQLTQRVEQLESGRNNTRHEISEGRGEELNLLRELREQLNSIQSEMRNWRPKKSTPSDEVTLLSLKEAMLHFWL >EOY33601 pep chromosome:Theobroma_cacao_20110822:9:38222267:38225633:-1 gene:TCM_041531 transcript:EOY33601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 2 MMSVYCAADYLEMTDEYGEDNLLSKAESFFRKNVLRNWKDCILALQSSESCMPRAEKLHIVQKCLNAVSMMACTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARIRSAESDWWFEDISYFSVGLFERLIRTMEARGIRPEHLAGAIMYYARKHLPGLGRWHSTQSGKARTVASFSLTPATVDQKVLLESIERLLPKKKGKSFCRFLLGLLRVALILGVNQTCQDSLERRIGMQLELASLDGLLIPSYSDSDTLYNTDCVERIIHHFVSSESGLTLFSPPSLDLETSPSSEPLRKVARLIDSYLAEVASDVNLKPGKIRSLAEVLPESSRPLHDGLYRALDIYFKAHPWLSDREKEELCNIIDYQKLSVDACAHASQNERLPLRVILQVLFFEQMHLRTALAGCLNVLEAESAPTGHGTATAEMAGHVTAASETAGQIVQRDGWVTVVRENRVLKVDMEKMRSRVGELEEEFSKIKQEMKRVTKSHSSLSSPRIGGRKFGCKLLPRSSDAQTDVVGSTGPTPRSSVEQACPSHHSKHRKSFSLF >EOY33600 pep chromosome:Theobroma_cacao_20110822:9:38221803:38226387:-1 gene:TCM_041531 transcript:EOY33600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 2 MAPAKVSGFHRQGNDWFCNAGLPSDITIIVDGINFHLHKFPLVSKCGKIASIFEEHQSADDNSFTTELEEFPGGPDIFLFAAKFCYGIRVEFTARNMMSVYCAADYLEMTDEYGEDNLLSKAESFFRKNVLRNWKDCILALQSSESCMPRAEKLHIVQKCLNAVSMMACTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARIRSAESDWWFEDISYFSVGLFERLIRTMEARGIRPEHLAGAIMYYARKHLPGLGRWHSTQSGKARTVASFSLTPATVDQKVLLESIERLLPKKKGKSFCRFLLGLLRVALILGVNQTCQDSLERRIGMQLELASLDGLLIPSYSDSDTLYNTDCVERIIHHFVSSESGLTLFSPPSLDLETSPSSEPLRKVARLIDSYLAEVASDVNLKPGKIRSLAEVLPESSRPLHDGLYRALDIYFKAHPWLSDREKEELCNIIDYQKLSVDACAHASQNERLPLRVILQVLFFEQMHLRTALAGCLNVLEAESAPTGHGTATAEMAGHVTAASETAGQIVQRDGWVTVVRENRVLKVDMEKMRSRVGELEEEFSKIKQEMKRVTKSHSSLSSPRIGGRKFGCKLLPRSSDAQTDVVGSTGPTPRSSVEQACPSHHSKHRKSFSLF >EOY29835 pep chromosome:Theobroma_cacao_20110822:9:2485401:2487175:1 gene:TCM_037240 transcript:EOY29835 gene_biotype:protein_coding transcript_biotype:protein_coding description:C subunit MGSRTLLMLTNFKFKSSFASSSSKPFYLVKSSPTIRKLSTKTRSSLQPPDVCRLAETARISLAPNEVEEFAPKIRQVIDWFGQLQAVDLDSVEPAFRADTEGDNLREDVPETFENKEALIASVPSYKEPYIKVPKVLNKE >EOY31349 pep chromosome:Theobroma_cacao_20110822:9:8277829:8285622:-1 gene:TCM_038296 transcript:EOY31349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like transcription factor protein, putative isoform 1 MENRIGNSHGAEIPRKSRSLDLKSLYKSGDSKESSKNKSLKRKDSSQEGDDEKRSSNNNKRKKSRKALPLSSFRTVDGSNSSKSLTEVYNGGFSSGLHDSESLKNLGLSQKLKNGCGANGISLSLGDSETRIPRRKRGFVGRNKFEGGQRLKLAGRSSSTVGDVKEEVKLTSEDSGTQNESSKVKQKKFIDDFKENRNSESSLVQHLKEEDGVAAYLAVNDGDSLLKKSQRNPRKRKDSVKGGKSVAKKAEILVGSSVKTCDDFKEDDEENLEENAARMLSSRFDPSCTGFSSNSKVSVSPSENGFSFLLSSGQNASSGSKTFSGSESASVDASGRVLRPRKSHKEKSNSRKRRHFYEIYSGDLDASWVLNRRIKVFWPLDKSWYYGLVNEYDKERKLHHVKYDDRDEEWINLQNERFKLLLFPSEVPSKSERKRSRRKRCSDDRIRNLKPNREEKRNVVTEDDSGNGSYMDSEPIISWLARSSHRVKSCPLRAVKRQKTSASSHSSPGQPLLCDEAVDENSCLYRVSLRVDKIELSGASALSDRPVDGIRVEDSSLGSTSCLKDSKHPIVYFRRRFRRTEKALCQASEGNCVASSVSESITSLASVDEFQDLGELDVCLGRLDPEGDLLFSDNAGQLRLNISLLRTKQFRFGLSFPVFSVSNNLFGTKSFSLVHTLLLLQCGTVMTIWPMVHLEILFVDNEVGLRFLLFEGSLKQAVAFVFRVLTVFYLPTEQGKFADLQLPVTSIRFKFSCSQDFRKQIVFAFYNFHEVKHSKWVFLDSKLKRQCLITRQLPLSECTYDNIKALQNGTNQLLSSPAYKDSSSLEGLRRRRYRQGISLMGVSRESSFLKVGQFTSSSEKKHRNLPLFALSFGAAPTFFLSLHLKLLMEHSVARISFQDHDSNEQLGSSGDLMVDDSSNREDCVDKRFDSSSVEKNLKASSKDAASDTELTTLDLSVCGDEHWKKSSQKYENGDQTIYGTFASSHEPEEVGATAIVPLQKQQCAHSESEQLVSSSKSLVDGDRNNAGSNSVLNDIRVEIPSFDQYENHIDGELPGTQQSSDLTWNMNGGIIPSPNPTAPRSTWHRNRSSSSSIGYNAHGWSEGKADFFHNNFGNGPKKPRTQVSYSMPFGGLDYSSKNKGHHQRGPPHKRIRRANEKRSSDVSRGSQKNLELLSCDANLLITLGDRGWRECGAQVALELFDHNEWKLAVKVSGSTRYSHKAHQFLQPGSTNRYTHAMMWKGGKDWILEFTDRSQWALFKEMHEECYNRNIRAASVKNIPIPGVRLIEEYDENAEVTFFRSSSKYLRQVETDVEMALDPSHVLYDMDSDDEQWISRIRRSSESDVSSCSLEFSDELFEKTMDIFEKAAYTQQCDQFNSDEIQELMAGVGSMKVIRPIYEHWRQKRQRVGLPLIRHLQPPLWEMYQRQVREWELSMSKVNPILPNGCSDKVPSIEKPPMFAFCLKPRGLEVPNKGSKPRSQRKISVSGQSNHALGDHEGCHSFGNVLCNFTFIWLFVMFSFASLTLYVVISGRRSNGFLFGDEKVLYPVHNYESLEDSPLSQASPRVFSPRDVGSMGYFSMGSDGFNKKYHQKLQRSKSKKFGNFLSSNDAQMMASYSQRLMGKRNGIRQWNMGFSEWQSQRHSFSDGFQRHGPEQLDNSDIDEFRLRDASSAAQQALNMAKFKRERAQRLLFRADLAIHKAVVALMTAEAIKESSEDLNGDG >EOY31347 pep chromosome:Theobroma_cacao_20110822:9:8278309:8285235:-1 gene:TCM_038296 transcript:EOY31347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like transcription factor protein, putative isoform 1 MENRIGNSHGAEIPRKSRSLDLKSLYKSGDSKESSKNKSLKRKDSSQEGDDEKRSSNNNKRKKSRKALPLSSFRTVDGSNSSKSLTEVYNGGFSSGLHDSESLKNLGLSQKLKNGCGANGISLSLGDSETRIPRRKRGFVGRNKFEGGQRLKLAGRSSSTVGDVKEEVKLTSEDSGTQNESSKVKQKKFIDDFKENRNSESSLVQHLKEEDGVAAYLAVNDGDSLLKKSQRNPRKRKDSVKGGKSVAKKAEILVGSSVKTCDDFKEDDEENLEENAARMLSSRFDPSCTGFSSNSKVSVSPSENGFSFLLSSGQNASSGSKTFSGSESASVDASGRVLRPRKSHKEKSNSRKRRHFYEIYSGDLDASWVLNRRIKVFWPLDKSWYYGLVNEYDKERKLHHVKYDDRDEEWINLQNERFKLLLFPSEVPSKSERKRSRRKRCSDDRIRNLKPNREEKRNVVTEDDSGNGSYMDSEPIISWLARSSHRVKSCPLRAVKRQKTSASSHSSPGQPLLCDEAVDENSCLYRVSLRVDKIELSGASALSDRPVDGIRVEDSSLGSTSCLKDSKHPIVYFRRRFRRTEKALCQASEGNCVASSVSESITSLASVDEFQDLGELDVCLGRLDPEGDLLFSDNAGQLRLNISLLRTKQFRFGLSFPVFSVSNNLFGTKSFSLVHTLLLLQCGTVMTIWPMVHLEILFVDNEVGLRFLLFEGSLKQAVAFVFRVLTVFYLPTEQGKFADLQLPVTSIRFKFSCSQDFRKQIVFAFYNFHEVKHSKWVFLDSKLKRQCLITRQLPLSECTYDNIKALQNGTNQLLSSPAYKDSSSLEGLRRRRYRQGISLMGVSRESSFLKVGQFTSSSEKKHRNLPLFALSFGAAPTFFLSLHLKLLMEHSVARISFQDHDSNEQLGSSGDLMVDDSSNREDCVDKRFDSSSVEKNLKASSKDAASDTELTTLDLSVCGDEHWKKSSQKYENGDQTIYGTFASSHEPEEVGATAIVPLQKQQCAHSESEQLVSSSKSLVDGDRNNAGSNSVLNDIRVEIPSFDQYENHIDGELPGTQQSSDLTWNMNGGIIPSPNPTAPRSTWHRNRSSSSSIGYNAHGWSEGKADFFHNNFGNGPKKPRTQVSYSMPFGGLDYSSKNKGHHQRGPPHKRIRRANEKRSSDVSRGSQKNLELLSCDANLLITLGDRGWRECGAQVALELFDHNEWKLAVKVSGSTRYSHKAHQFLQPGSTNRYTHAMMWKGGKDWILEFTDRSQWALFKEMHEECYNRNIRAASVKNIPIPGVRLIEEYDENAEVTFFRSSSKYLRQVETDVEMALDPSHVLYDMDSDDEQWISRIRRSSESDVSSCSLEFSDELFEKTMDIFEKAAYTQQCDQFNSDEIQELMAGVGSMKVIRPIYEHWRQKRQRVGLPLIRHLQPPLWEMYQRQVREWELSMSKVNPILPNGCSDKVPSIEKPPMFAFCLKPRGLEVPNKGSKPRSQRKISVSGQSNHALGDHEGCHSFGRRSNGFLFGDEKVLYPVHNYESLEDSPLSQASPRVFSPRDVGSMGYFSMGSDGFNKKYHQKLQRSKSKKFGNFLSSNDAQMMASYSQRLMGKRNGIRQWNMGFSEWQSQRHSFSDGFQRHGPEQLDNSDIDEFRLRDASSAAQQALNMAKFKRERAQRLLFRADLAIHKAVVALMTAEAIKESSEDLNGDG >EOY31350 pep chromosome:Theobroma_cacao_20110822:9:8277315:8286028:-1 gene:TCM_038296 transcript:EOY31350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like transcription factor protein, putative isoform 1 MENRIGNSHGAEIPRKSRSLDLKSLYKSGDSKESSKNKSLKRKDSSQEGDDEKRSSNNNKRKKSRKALPLSSFRTVDGSNSSKSLTEVYNGGFSSGLHDSESLKNLGLSQKLKNGCGANGISLSLGDSETRIPRRKRGFVGRNKFEGGQRLKLAGRSSSTVGDVKEEVKLTSEDSGTQNESSKVKQKKFIDDFKENRNSESSLVQHLKEEDGVAAYLAVNDGDSLLKKSQRNPRKRKDSVKGGKSVAKKAEILVGSSVKTCDDFKEDDEENLEENAARMLSSRFDPSCTGFSSNSKVSVSPSENGFSFLLSSGQNASSGSKTFSGSESASVDASGRVLRPRKSHKEKSNSRKRRHFYEIYSGDLDASWVLNRRIKVFWPLDKSWYYGLVNEYDKERKLHHVKYDDRDEEWINLQNERFKLLLFPSEVPSKSERKRSRRKRCSDDRIRNLKPNREEKRNVVTEDDSGNGSYMDSEPIISWLARSSHRVKSCPLRAVKRQKTSASSHSSPGQPLLCDEAVDENSCLYRVSLRVDKIELSGASALSDRPVDGIRVEDSSLGSTSCLKDSKHPIVYFRRRFRRTEKALCQASEGNCVASSVSESITSLASVDEFQDLGELDVCLGRLDPEGDLLFSDNAGQLRLNISLLRTKQFRFGLSFPVFSVSNNLFGTKSFSLVHTLLLLQCGTVMTIWPMVHLEILFVDNEVGLRFLLFEGSLKQAVAFVFRVLTVFYLPTEQGKFADLQLPVTSIRFKFSCSQDFRKQIVFAFYNFHEVKHSKWVFLDSKLKRQCLITRQLPLSECTYDNIKALQNGTNQLLSSPAYKDSSSLEGLRRRRYRQGISLMGVSRESSFLKVGQFTSSSEKKHRNLPLFALSFGAAPTFFLSLHLKLLMEHSVARISFQDHDSNEQLGSSGDLMVDDSSNREDCVDKRFDSSSVEKNLKASSKDAASDTELTTLDLSVCGDEHWKKSSQKYENGDQTIYGTFASSHEPEEVGATAIVPLQKQQCAHSESEQLVSSSKSLVDGDRNNAGSNSVLNDIRVEIPSFDQYENHIDGELPGTQQSSDLTWNMNGGIIPSPNPTAPRSTWHRNRSSSSSIGYNAHGWSEGKADFFHNNFGNGPKKPRTQVSYSMPFGGLDYSSKNKGHHQRGPPHKRIRRANEKRSSDVSRGSQKNLELLSCDANLLITLGDRGWRECGAQVALELFDHNEWKLAVKVSGSTRYSHKAHQFLQPGSTNRYTHAMMWKGGKDWILEFTDRSQWALFKEMHEECYNRNIRAASVKNIPIPGVRLIEEYDENAEVTFFRSSSKYLRQVETDVEMALDPSHVLYDMDSDDEQWISRIRRSSESDVSSCSLEFSDELFEKTMDIFEKAAYTQQCDQFNSDEIQELMAGVGSMKVIRPIYEHWRQKRQRVGLPLIRHLQPPLWEMYQRQVREWELSMSKVNPILPNGCSDKVPSIEKPPMFAFCLKPRGLEVPNKGSKPRSQRKISVSGQSNHALGDHEGCHSFDQMDSCLGMKRFYILCITMNL >EOY31346 pep chromosome:Theobroma_cacao_20110822:9:8277322:8285493:-1 gene:TCM_038296 transcript:EOY31346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like transcription factor protein, putative isoform 1 MENRIGNSHGAEIPRKSRSLDLKSLYKSGDSKESSKNKSLKRKDSSQEGDDEKRSSNNNKRKKSRKALPLSSFRTVDGSNSSKSLTEVYNGGFSSGLHDSESLKNLGLSQKLKNGCGANGISLSLGDSETRIPRRKRGFVGRNKFEGGQRLKLAGRSSSTVGDVKEEVKLTSEDSGTQNESSKVKQKKFIDDFKENRNSESSLVQHLKEEDGVAAYLAVNDGDSLLKKSQRNPRKRKDSVKGGKSVAKKAEILVGSSVKTCDDFKEDDEENLEENAARMLSSRFDPSCTGFSSNSKVSVSPSENGFSFLLSSGQNASSGSKTFSGSESASVDASGRVLRPRKSHKEKSNSRKRRHFYEIYSGDLDASWVLNRRIKVFWPLDKSWYYGLVNEYDKERKLHHVKYDDRDEEWINLQNERFKLLLFPSEVPSKSERKRSRRKRCSDDRIRNLKPNREEKRNVVTEDDSGNGSYMDSEPIISWLARSSHRVKSCPLRAVKRQKTSASSHSSPGQPLLCDEAVDENSCLYRVSLRVDKIELSGASALSDRPVDGIRVEDSSLGSTSCLKDSKHPIVYFRRRFRRTEKALCQASEGNCVASSVSESITSLASVDEFQDLGELDVCLGRLDPEGDLLFSDNAGQLRLNISLLRTKQFRFGLSFPVFSVSNNLFGTKSFSLVHTLLLLQCGTVMTIWPMVHLEILFVDNEVGLRFLLFEGSLKQAVAFVFRVLTVFYLPTEQGKFADLQLPVTSIRFKFSCSQDFRKQIVFAFYNFHEVKHSKWVFLDSKLKRQCLITRQLPLSECTYDNIKALQNGTNQLLSSPAYKDSSSLEGLRRRRYRQGISLMGVSRESSFLKVGQFTSSSEKKHRNLPLFALSFGAAPTFFLSLHLKLLMEHSVARISFQDHDSNEQLGSSGDLMVDDSSNREDCVDKRFDSSSVEKNLKASSKDAASDTELTTLDLSVCGDEHWKKSSQKYENGDQTIYGTFASSHEPEEVGATAIVPLQKQQCAHSESEQLVSSSKSLVDGDRNNAGSNSVLNDIRVEIPSFDQYENHIDGELPGTQQSSDLTWNMNGGIIPSPNPTAPRSTWHRNRSSSSSIGYNAHGWSEGKADFFHNNFGNGPKKPRTQVSYSMPFGGLDYSSKNKGHHQRGPPHKRIRRANEKRSSDVSRGSQKNLELLSCDANLLITLGDRGWRECGAQVALELFDHNEWKLAVKVSGSTRYSHKAHQFLQPGSTNRYTHAMMWKGGKDWILEFTDRSQWALFKEMHEECYNRNIRAASVKNIPIPGVRLIEEYDENAEVTFFRSSSKYLRQVETDVEMALDPSHVLYDMDSDDEQWISRIRRSSESDVSSCSLEFSDELFEKTMDIFEKAAYTQQCDQFNSDEIQELMAGVGSMKVIRPIYEHWRQKRQRVGLPLIRHLQPPLWEMYQRQVREWELSMSKVNPILPNGCSDKVPSIEKPPMFAFCLKPRGLEVPNKGSKPRSQRKISVSGQSNHALGDHEGCHSFGRRSNGFLFGDEKVLYPVHNYESLEDSPLSQASPRVFSPRDVGSMGYFSMGSDGFNKKYHQKLQRSKSKKFGNFLSSNDAQMMASYSQRLMGKRNGIRQWNMGFSEWQSQRHSFSDGFQRHGPEQLDNSDIDEFRLRDASSAAQQALNMAKFKRERAQRLLFRADLAIHKAVVALMTAEAIKESSEDLNGDG >EOY31348 pep chromosome:Theobroma_cacao_20110822:9:8277829:8285622:-1 gene:TCM_038296 transcript:EOY31348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like transcription factor protein, putative isoform 1 MGNLRDLLSGDSKESSKNKSLKRKDSSQEGDDEKRSSNNNKRKKSRKALPLSSFRTVDGSNSSKSLTEVYNGGFSSGLHDSESLKNLGLSQKLKNGCGANGISLSLGDSETRIPRRKRGFVGRNKFEGGQRLKLAGRSSSTVGDVKEEVKLTSEDSGTQNESSKVKQKKFIDDFKENRNSESSLVQHLKEEDGVAAYLAVNDGDSLLKKSQRNPRKRKDSVKGGKSVAKKAEILVGSSVKTCDDFKEDDEENLEENAARMLSSRFDPSCTGFSSNSKVSVSPSENGFSFLLSSGQNASSGSKTFSGSESASVDASGRVLRPRKSHKEKSNSRKRRHFYEIYSGDLDASWVLNRRIKVFWPLDKSWYYGLVNEYDKERKLHHVKYDDRDEEWINLQNERFKLLLFPSEVPSKSERKRSRRKRCSDDRIRNLKPNREEKRNVVTEDDSGNGSYMDSEPIISWLARSSHRVKSCPLRAVKRQKTSASSHSSPGQPLLCDEAVDENSCLYRVSLRVDKIELSGASALSDRPVDGIRVEDSSLGSTSCLKDSKHPIVYFRRRFRRTEKALCQASEGNCVASSVSESITSLASVDEFQDLGELDVCLGRLDPEGDLLFSDNAGQLRLNISLLRTKQFRFGLSFPVFSVSNNLFGTKSFSLVHTLLLLQCGTVMTIWPMVHLEILFVDNEVGLRFLLFEGSLKQAVAFVFRVLTVFYLPTEQGKFADLQLPVTSIRFKFSCSQDFRKQIVFAFYNFHEVKHSKWVFLDSKLKRQCLITRQLPLSECTYDNIKALQNGTNQLLSSPAYKDSSSLEGLRRRRYRQGISLMGVSRESSFLKVGQFTSSSEKKHRNLPLFALSFGAAPTFFLSLHLKLLMEHSVARISFQDHDSNEQLGSSGDLMVDDSSNREDCVDKRFDSSSVEKNLKASSKDAASDTELTTLDLSVCGDEHWKKSSQKYENGDQTIYGTFASSHEPEEVGATAIVPLQKQQCAHSESEQLVSSSKSLVDGDRNNAGSNSVLNDIRVEIPSFDQYENHIDGELPGTQQSSDLTWNMNGGIIPSPNPTAPRSTWHRNRSSSSSIGYNAHGWSEGKADFFHNNFGNGPKKPRTQVSYSMPFGGLDYSSKNKGHHQRGPPHKRIRRANEKRSSDVSRGSQKNLELLSCDANLLITLGDRGWRECGAQVALELFDHNEWKLAVKVSGSTRYSHKAHQFLQPGSTNRYTHAMMWKGGKDWILEFTDRSQWALFKEMHEECYNRNIRAASVKNIPIPGVRLIEEYDENAEVTFFRSSSKYLRQVETDVEMALDPSHVLYDMDSDDEQWISRIRRSSESDVSSCSLEFSDELFEKTMDIFEKAAYTQQCDQFNSDEIQELMAGVGSMKVIRPIYEHWRQKRQRVGLPLIRHLQPPLWEMYQRQVREWELSMSKVNPILPNGCSDKVPSIEKPPMFAFCLKPRGLEVPNKGSKPRSQRKISVSGQSNHALGDHEGCHSFGRRSNGFLFGDEKVLYPVHNYESLEDSPLSQASPRVFSPRDVGSMGYFSMGSDGFNKKYHQKLQRSKSKKFGNFLSSNDAQMMASYSQRLMGKRNGIRQWNMGFSEWQSQRHSFSDGFQRHGPEQLDNSDIDEFRLRDASSAAQQALNMAKFKRERAQRLLFRADLAIHKAVVALMTAEAIKESSEDLNGDG >EOY29845 pep chromosome:Theobroma_cacao_20110822:9:2537709:2542376:-1 gene:TCM_037248 transcript:EOY29845 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein / K domain-containing protein / KH domain-containing protein isoform 3 MASSHHPPSHRTHVPFPSNSGGKPLDNEAPMVPIHIVTNASQLPVEFLNPSPERPLVVGFDCEGVDLCRHGTLCIMQALYFQFGIKLHNVMDTQIAYSLIEEQEGRTRLLYDYISFVSLLADPRYCGISYQEKEEVRLLLRQDPKFWTYRPLSELMVRAATDDVRFLLYIYHMMMEKLNQRSLWYLAVRGALYCRCFCINDNNYADWPSVPPIPDHLEGNAPEEEILSILDVPPGKMGRIIGRKGASILSIKESCNAEILVGGAKGPPDKVFIIGPVKQVRKAEAMLRGRMVDLY >EOY29844 pep chromosome:Theobroma_cacao_20110822:9:2537856:2542322:-1 gene:TCM_037248 transcript:EOY29844 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein / K domain-containing protein / KH domain-containing protein isoform 3 MASSHHPPSHRTHVPFPSNSGGKPLDNEAPMVPIHIVTNASQLPVEFLNPSPERPLVVGFDCEGVDLCRHGTLCIMQLAFPDAIYLVDAIEGGEALIKACKPALESSYIKKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLIEEQEGRTRLLYDYISFVSLLADPRYCGISYQEKEEVRLLLRQDPKFWTYRPLSELMVRAATDDVRFLLYIYHMMMEKLNQRSLWYLAVRGALYCRCFCINDNNYADWPSVPPIPDHLEGNAPEEEILSILDVPPGKMGRIIGRKGASILSIKESCNAEILVGGAKGPPDKVFIIGPVKQVRKAEAMLRGRMVDLY >EOY29843 pep chromosome:Theobroma_cacao_20110822:9:2537921:2542385:-1 gene:TCM_037248 transcript:EOY29843 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein / K domain-containing protein / KH domain-containing protein isoform 3 MASSHHPPSHRTHVPFPSNSGGKPLDNEAPMVPIHIVTNASQLPVEFLNPSPERPLVVGFDCEGVDLCRHGTLCIMQLAFPDAIYLVDAIEGGEALIKACKPALESSYIKKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLIEEQEGRTRLLYDYISFVSLLADPRYCGISYQEKEEVRLLLRQDPKFWTYRPLSELMVRAATDDVRFLLYIYHMMMEKLNQRSLWYLAVRGALYCRCFCINDNNYADWPSVPPIPGSLYFSLLPSIKVMWHMHKVVGFYWLVHVSPCSKSRVRILLPPNQQRKDHLEGNAPEEEILSILDVPPGKMGRIIGRKGASILSIKESCNAEILVGGAKGPPDKVFIIGPVKQVRKAEAMLRGRMVDLY >EOY30690 pep chromosome:Theobroma_cacao_20110822:9:5448237:5449131:-1 gene:TCM_037813 transcript:EOY30690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKFNSLQYFETKKKRNKEKVEKKKWSSFTNTHAMNTPHTSMFFLSLEIESSWCLCIVEVTCCFALISLLVSVDSGSERWKSFYLFPIFASIFWRFKFGRLSFLIFSFLFFFLCDAAGFFFFMLLDFFSDSWFLLFYG >EOY30021 pep chromosome:Theobroma_cacao_20110822:9:3081355:3083112:1 gene:TCM_037372 transcript:EOY30021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein MALSSTAATLYLPRKNLHAPTPKNGSMLSSSIGFATRQRRLSIRSSGDVSSETPATEAESEQSIEAPKGPPSLISALNVERALRGIAITDADHYGRLGLQRKCSYEQVTVAYKNKVDELPKQGLDEEELSKKMDLLKESYSILSSVKERRMYDWSLARTEEPGRYAWPFEEDITQTPTQEPPPQEPEDVGPTRLVGYFILGWFILSVVLSIALAR >EOY33611 pep chromosome:Theobroma_cacao_20110822:9:38266764:38268530:1 gene:TCM_041540 transcript:EOY33611 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase isoform 1 MEKNMKFSSYFLAPFILFAFALSMVNGQPLVPAMFIFGDSVVDAGNNNNLYTIIKANFPPYGRDFVNHKPTGRFCNGKLASDFTAENIGFTSYPPAYLSKQAEGKNLLIGANFASASSGYYETTAKLYQNLYGLGARKIGVTTLPPLGCLPAAITVFGSDSNKCVAKLNSNAVSFNNKLNATSQRLQKKLSGLNLVVFDIYQSLFNLVTKPAENGFAEARRACCGTGLLETSILCNPKSIGTCANASEYVFWDG >EOY33610 pep chromosome:Theobroma_cacao_20110822:9:38266824:38269080:1 gene:TCM_041540 transcript:EOY33610 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase isoform 1 MEKNMKFSSYFLAPFILFAFALSMVNGQPLVPAMFIFGDSVVDAGNNNNLYTIIKANFPPYGRDFVNHKPTGRFCNGKLASDFTAENIGFTSYPPAYLSKQAEGKNLLIGANFASASSGYYETTAKLYHTLSLSKQLENYKEYQNKLVAIAGKSNASSIISGGIYLISSGSSDFLQNYYINPLLYKAYTPDQFSDILIESYAHFIQNLYGLGARKIGVTTLPPLGCLPAAITVFGSDSNKCVAKLNSNAVSFNNKLNATSQRLQKKLSGLNLVVFDIYQSLFNLVTKPAENGFAEARRACCGTGLLETSILCNPKSIGTCANASEYVFWDGFHPSEAANKILADDLLTSGISLIF >EOY31010 pep chromosome:Theobroma_cacao_20110822:9:6673300:6678538:1 gene:TCM_038046 transcript:EOY31010 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators isoform 1 MDGNLEISLDKLPVRALDAIEENGVERYPHELSYDEKRVSLIRRIDFAWALETDEERERKKKQKKKNSKDATATWLVENLQLAHQELSVIIDLINTVEANDAVTVAGMTRPKPLPNEVLSDLAVSAATKLQCYRHLGKYFKQSAKALEQQIAREARFYGALIRLQQNWKVKRQRVAAPSSSNEGFTIDLFDNSLYDSATISRPSSLSVIHIEHDSAGMLAINLPSNSCHSLHFGFLGVHSADIPKESSKIKTHGSVDQTTRDSQKESMSDDESVKETHLLLREVHQSIFNDQVFDMVNREAFNQSAGVNVTGIRENYLQLSIGQGTSLFISLVPSSKGDDQAVDTANAQNLESAIVSLDSFDDVKSGEGKHDITEKKWGFPNRISCEIYLQQIVHEHAFIKGKDRPNSSGTRVSGQSGKVGSGLLGHFSLSLAHRIFSNRVLMKLENVVCRVPYLHLMTHPTWHSRTSSWTIFMKVPQSILHVESQSQKSDFQNMKDAIKSQFRTKVVVNDDRINVEGEGAPNVVGLFKRSSEDICSVNKYDCDLADLPVIILQQVASQVIRWLHEEALMVGIKTNRDFLCLTFELEQGETVSLVAHVDPEDIQGCISWWLVMEDGFAEDWKLQMDMSDGASEYRKFLGHLSLDVLYSTLMDLVSLCGGGGNH >EOY31009 pep chromosome:Theobroma_cacao_20110822:9:6673277:6678896:1 gene:TCM_038046 transcript:EOY31009 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators isoform 1 MDGNLEISLDKLPVRALDAIEENGVERYPHELSYDEKRVSLIRRIDFAWALETDEERERKKKQKKKNSKDATATWLVENLQLAHQELSVIIDLINTVEANDAVTVAGMTRPKPLPNEVLSDLAVSAATKLQCYRHLGKYFKQSAKALEQQIAREARFYGALIRLQQNWKVKRQRVAAPSSSNEGFTIDLFDNSLYDSATISRPSSLSVIHIEHDSAGMLAINLPSNSCHSLHFGFLGVHSADIPKESSKIKTHGSVDQTTRDSQKESMSDDESVKETHLLLREVHQSIFNDQVFDMVNREAFNQSAGVNVTGIRENYLQLSIGQGTSLFISLVPSSKGDDQAVDTANAQNLESAIVSLDSFDDVKSGEGKHDITEKKWGFPNRISCEIYLQQIVHEHAFIKGKDRPNSSGTRVSGQSGKVGSGLLGHFSLSLAHRIFSNRVLMKLENVVCRVPYLHLMTHPTWHSRTSSWTIFMKVPQSILHVESQSQKSDFQNMKDAIKSQFRTKVVVNDDRINVEGEGAPNVVGLFKRSSEDICSVNKYDCDLADLPVIILQQVASQVIRWLHEEALMVGIKTNRDFLCLTFELEQGETVSLVAHVDPEDIQGCISWWLVMEDGFAEDWKLQMDMSDGASEYRKFLGHLSLDVLYSTLMDLVSLCGGGGNH >EOY31012 pep chromosome:Theobroma_cacao_20110822:9:6674127:6678630:1 gene:TCM_038046 transcript:EOY31012 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators isoform 1 MTRPKPLPNEVLSDLAVSAATKLQCYRHLGKYFKQSAKALEQQIAREARFYGALIRLQQNWKVKRQRVAAPSSSNEGFTIDLFDNSLYDSATISRPSSLSVIHIEHDSAGMLAINLPSNSCHSLHFGFLGVHSADIPKESSKIKTHGSVDQTTRDSQKESMSDDESVKETHLLLREVHQSIFNDQVFDMVNREAFNQSAGVNVTGIRENYLQLSIGQGTSLFISLVPSSKGDDQAVDTANAQNLESAIVSLDSFDDVKSGEGKHDITEKKWGFPNRISCEIYLQQIVHEHAFIKGKDRPNSSGTRVSGQSGKVGSGLLGHFSLSLAHRIFSNRVLMKLENVVCRVPYLHLMTHPTWHSRTSSWTIFMKVPQSILHVESQSQKSDFQNMKDAIKSQFRTKVVVNDDRINVEGEGAPNVVGLFKRSSEDICSVNKYDCDLADLPVIILQQVASQVIRWLHEEALMVGIKTNRDFLCLTFELEQGETVSLVAHVDPEDIQGCISWWLVMEDGFAEDWKLQMDMSDGASEYRKFLGHLSLDVLYSTLMDLVSLCGGGGNH >EOY31011 pep chromosome:Theobroma_cacao_20110822:9:6673750:6678630:1 gene:TCM_038046 transcript:EOY31011 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators isoform 1 MTRPKPLPNEVLSDLAVSAATKLQCYRHLGKYFKQSAKALEQQIAREARFYGALIRLQQNWKVKRQRVAAPSSSNEGFTIDLFDNSLYDSATISRPSSLSVIHIEHDSAGMLAINLPSNSCHSLHFGFLGVHSADIPKESSKIKTHGSVDQTTRDSQKESMSDDESVKETHLLLREVHQSIFNDQVFDMVNREAFNQSAGVNVTGIRENYLQLSIGQGTSLFISLVPSSKGDDQAVDTANAQNLESAIVSLDSFDDVKSGEGKHDITEKKWGFPNRISCEIYLQQIVHEHAFIKGKDRPNSSGTRVSGQSGKVCRVPYLHLMTHPTWHSRTSSWTIFMKVPQSILHVESQSQKSDFQNMKDAIKSQFRTKVVVNDDRINVEGEGAPNVVGLFKRSSEDICSVNKYDCDLADLPVIILQQVASQVIRWLHEEALMVGIKTNRDFLCLTFELEQGETVSLVAHVDPEDIQGCISWWLVMEDGFAEDWKLQMDMSDGASEYRKFLGHLSLDVLYSTLMDLVSLCGGGGNH >EOY31014 pep chromosome:Theobroma_cacao_20110822:9:6673430:6676490:1 gene:TCM_038046 transcript:EOY31014 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators isoform 1 PVRALDAIEENGVERYPHELSYDEKRVSLIRRIDFAWALETDEERERKKKQKKKNSKDATATWLVENLQLAHQELSVIIDLINTVEANDAVTVAGMTRPKPLPNEVLSDLAVSAATKLQCYRHLGKYFKQSAKALEQQIAREARFYGALIRLQQNWKVKRQRVAAPSSSNEGFTIDLFDNSLYDSATISRPSSLSVIHIEHDSAGMLAINLPSNSCHSLHFGFLGVHSADIPKESSKIKTHGSVDQTTRDSQKESMSDDESVKETHLLLREVHQSIFNDQVFDMVNREAFNQSAGVNVTGIRENYLQLSIGQGTSLFISLVPSSKGDDQAVDTANAQNLESAIVSLDSFDDVKSGEGKHDITEKKWGFPNRISCEIYLQQIVHEHAFIKGKDRPNSSGTRVSGQSGKVCRVPYLHLMTHPTWHSRTSSWTIFMKVPQSILHVESQSQKSDFQNMKDAIKSQFRTKVVVNDDRINVEGEGAPNVVGLFKRSSEDICSVNKYDCDLADLPVIILQQVGCYCQFLGLLQS >EOY31013 pep chromosome:Theobroma_cacao_20110822:9:6673750:6678630:1 gene:TCM_038046 transcript:EOY31013 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators isoform 1 MTRPKPLPNEVLSDLAVSAATKLQCYRHLGKYFKQSAKALEQQIAREARFYGALIRLQQNWKVKRQRVAAPSSSNEGFTIDLFDNSLYDSATISRPSSLSVIHIEHDSAGMLAINLPSNSCHSLHFGFLGVHSADIPKESSKIKTHGSVDQTTRDSQKESMSDDESVKETHLLLREVHQSIFNDQVFDMVNREAFNQSAGVNVTGIRENYLQLSIGQGTSLFISLVPSSKGDDQAVDTANAQNLESAIVSLDSFDDVKSGEGKHDITEKKWGFPNRISCEIYLQQIVHEHAFIKGKDRPNSSGTRVSGQSGKVCRVPYLHLMTHPTWHSRTSSWTIFMKVPQSILHVESQSQKSDFQNMKDAIKSQFRTKVVVNDDRINVEGEGAPNVVGLFKRSSEDICSVNKYDCDLADLPVIILQQVASQVIRWLHEEALMVGIKTNRDFLCLTFELEQGETVSLVAHVDPEDIQGCISWWLVMEDGFAEDWKLQMDMSDGASEYRKFLGHLSLDVLYSTLMDLVSLCGGGGNH >EOY34211 pep chromosome:Theobroma_cacao_20110822:9:40299619:40329996:1 gene:TCM_041957 transcript:EOY34211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase 4.13, putative isoform 2 MFLGLSFVLMLSMSLSPGAADEDRKVYIAYLGSLPERDYSPSSHHFSMLQAVIKQSSVANYLIRSYKRSFNGFAAKLTNEEANKLASMKEVVSIFPNKVYHLQTTRSWDFLGLKDSVKRNPTVESDVIIGVIDSGIWPESESFSDKGFGPAPKKWKGSCSGGKNFTCNNKLIGARFYNSEEPREESARDGDGHGTHTASTAAGNNVEDASFFGLAQGTARGGVPSARIAAYKVCKQNGCASADILAAFDDAIADGVDLITISVGSTTRSDFYQDSIAIGAFHAAEKGILTVQSAGNEGRLGKQGVTSVVPWILTVAASSIDRRFFSKVVLGNGKTLNGLSINSFDLKKTKFPLVYGKEIANLGCNEEITARVCETGCLNSTLVKEKIVLCDQFRGNNEARDAGAAGSILKTEIDDVSFVLPLAASALSTDNYESVKSYLNSTKRPVAEILRSETIEDSAAPVVAPFSSRGPNFMEPDIMKPDLSAPGVDILAAFSPIGSPSGNPADKRQVKYSILSGTSMSCPHAAAVAAYVKTFHPEWSPSAIQSALMTTAFPMDQSTNPDGELAYGSGHVNPVKATDPGLVYEVVKGDYIKFLCSIGYDSEKLRRISGDNSTCSKTSENILPRDLNYPSLTAQILPDKSFTVGFHRTVTNVGIASSTYKAKVSSNSKLEVKVDPEVLSFKALKEKKSFNVTVTGDALSLFSMVSASLEWSDGTHSVKSPIVIHSYKSFRLEGSTL >EOY34210 pep chromosome:Theobroma_cacao_20110822:9:40322323:40329805:1 gene:TCM_041957 transcript:EOY34210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase 4.13, putative isoform 2 MAKSVFEMFLGLSFVLMLSMSLSPGATDEDRKVYIAYLGSLPERDYSPSSHHFSMLQAVIKQSSVANYLIRSYKRSFNGFAAKLTNEEANKLASMKEVVSIFPNKVYHLQTTRSWDFLGLKDSVKRNPTVESDVIIGVIDSGIWPESESFSDKGFGPAPKKWKGSCSGGKNFTCNNKLIGARFYNSEEPREESARDGDGHGTHTASTAAGNNVEDASFFGLAQGTARGGVPSARIAAYKVCKQNGCASADILAAFDDAIADGVDLITISVGSTTRSDFYQDSIAIGAFHAAEKGILTVQSAGNEGRLGKQGVTSVVPWILTVAASSIDRRFFSKVVLGNGKTLNGLSINSFDLKKTKFPLVYGKEIANLGCNEEITARVCETGCLNSTLVKEKIVLCDQFRGNNEARDAGAAGSILKTEIDDVSFVLPLAASALSTDNYESVKSYLNSTKRPVAEILRSETIEDSAAPVVAPFSSRGPNFMEPDIMKPDLSAPGVDILAAFSPIGSPSGNPADKRQVKYSILSGTSMSCPHAAAVAAYVKTFHPEWSPSAIQSALMTTAFPMDQSTNPDGELAYGSGHVNPVKATDPGLVYEVVKGDYIKFLCSIGYDSEKLRRISGDNSTCSKTSENILPRDLNYPSLTAQILPDKSFTVGFHRTVTNVGIASSTYKAKVSSNSKLEVKVDPEVLSFKALKEKKSFNVTVTGDALSLFSMVSASLEWSDGTHSVKSPIVIHSYKSFRLEGSTL >EOY34212 pep chromosome:Theobroma_cacao_20110822:9:40300206:40329978:1 gene:TCM_041957 transcript:EOY34212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase 4.13, putative isoform 2 MGLKDSVKRNPTVESDVIIGVIDSGIWPESESFSDKGFGPAPKKWKGSCSGGKNFTCNSKLIGARFYNSEEPREESARDGDGHGTHTASTAAGNNVEDASFFGLAQGTARGGVPSARIAAYKVCKQNGCASADILAAFDDAIADGVDLITISVGSTTRSDFYQDSIAIGAFHAAEKGILTVQSAGNEGRLGKQGVTSVVPWILTVAASSIDRRFFSKVVLGNGKTLNGLSINSFDLKKTKFPLVYGKEIANLGCNEEITARVCETGCLNSTLVKEKIVLCDQFRGNNEARDAGAAGSILKTEIDDVSFVLPLAASALSTDNYESVKSYLNSTKRPVAEILRSETIEDSAAPVVAPFSSRGPNFMEPDIMKPDLSAPGVDILAAFSPIGSPSGNPADKRQVKYSILSGTSMSCPHAAAVAAYVKTFHPEWSPSAIQSALMTTAFPMDQSTNPDGELAYGSGHVNPVKATDPGLVYEVVKGDYIKFLCSIGYDSEKLRRISGDNSTCSKTSENILPRDLNYPSLTAQILPDKSFTVGFHRTVTNVGIASSTYKAKVSSNSKLEVKVDPEVLSFKALKEKKSFNVTVTGDALSLFSMVSASLEWSDGTHSVKSPIVIHSYKSFRLEGSTL >EOY31029 pep chromosome:Theobroma_cacao_20110822:9:6712091:6715986:1 gene:TCM_038054 transcript:EOY31029 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MTRNDMFTESTRKQTRPSRPRYLPPHLRHQNANAVFTDADTPELTRPRYNRFSLPQTALNSGGRGRGRGPSFTNRQKPNLDDEKFDELEVIDDTAEGTNETNFGAYEDIPVQASGENIPPPVQTFAEIDLGHVLKQNIKRCKFLKPTPIQRHAIPIVIAGRDLMACAQTGSGKTAAFCFPIICGVLKDRLLLSIPGGSQVACPLALILAPTRELSCQIHEEAKKFAYRTGVKIVVAYGGTPISQQFRNIEKGVDILVATPGRLVDMIERARVSLRMIKYLALDEADRMLDMGFEPQIRKIVEKMDMPPPGTRQTMLFSATFPDEIQKLASDFLSDYVFLAVGRVGSSTDLIVQRVEIVQDMDKRNHLLNLLHAQKYNGSRSKHPLTLVFVETKRGADELEHWLSRNGFPAIAIHGGKVQMERELALRSFKSGATPILVATDVASRGLDIPHVAHVINFDLPKNIDDYVHRIGRTGRAGNCGLATAFFSDKNLPLAKSLAELMRESNQEVPSWLNQYAENSSFSGGGWAKRVGGKFGGYDFRKGFPSGNENNYHSSTYNDTHPVPATGDYYAAASANAQTFLGSAGIYADPCTTSANADYLVGRNENLDGAGPREYAYSHGQVVASGWE >EOY33649 pep chromosome:Theobroma_cacao_20110822:9:38386484:38390738:-1 gene:TCM_041557 transcript:EOY33649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 51 MEKFYVLCLLVFFSSSLFHGGIARPVKSQGGSEEWGYVEVRPKAHMFWWLYKSPYRVEQPSKPWPIILWLQGGPGASGVGIGNFEEIGPLDTNLKPRNSTWLKKADLLFVDNPVGTGYSFVEDTKLFVKTDDDAATDLTTLLIELFNRNESLQKSPLYIVAESYGGKFAVTAGLSILKAIEAGKLKLKLGGVALGNSWISPEDFVFSWGPLLKDVSRLDNNGMEKSKSLAEEIRQQLKDGQYEEATNTWSQLESVISQNSNSVDFYNFLLDSGSGPLALTSSAISNGIFAVKRYSRYLSSLRVSPGNDGGDLDSLMNGVIKKKLKIIPANVSWGGQSDYVFSRLAGDFMNPRIAEVDELLAKGVNVTVYNGQLDVICATKGTEAWVDKLKWEGLQDFLSKERTPLYCKGDQGTKGFLKSYKNLQFYWILGAGHFVPVEQPCVSLNMAGAITQSPAAEAS >EOY32569 pep chromosome:Theobroma_cacao_20110822:9:31535974:31539345:-1 gene:TCM_040564 transcript:EOY32569 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 3 MESDLQHHHHHLIDYHQPQHHQKQMNSGLMRYQSAPSSYFSSILDRDFCQEFLNRPSSPETERIIERFLSSSGDGGGGNTVNISDQNLCAITQNSPVRETVIKIEEPTQIMTPMNNQTGVMQQQQQQQQQPQQGNYSSASQNFYQSQPQQHLPNQQSGSTMDYRIPNSMGMARPTQMKMGGGNNSNLVRHSSSPAGLFSNLNIDNSYGVVRGMGDYGGVNNSNREASFPSASRPPPSGLMSPIAEMGNKNVVPNSSENAGFGENRHNNYSSGFPVTSWEDSMMISDNMPGVKRLREDDRSLSGLDLDGAETQNTDAGNRPPPILAHHLSLPKSSAEMSAIDKFLQYQDSVPCKIRAKRGCATHPRSIAERVRRTKISERMRKLQDLVPNMDKQTNTADMLDLAVDYIKDLQNQVKTLSDNRAKCSCSNKQQR >EOY32568 pep chromosome:Theobroma_cacao_20110822:9:31535975:31539327:-1 gene:TCM_040564 transcript:EOY32568 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 3 MESDLQHHHHHLIDYHQPQHHQKQMNSGLMRYQSAPSSYFSSILDRDFCQEFLNRPSSPETERIIERFLSSSGDGGGGNTVNISDQNLCAITQNSPVRETVIKIEEPTQIMTPMNNQTGVMQQQQQQQQQPQQGNYSSASQNFYQSQPQQHLPNQQSGSTMDYRIPNSMGMARPTQMKMGGGNNSNLVRHSSSPAGLFSNLNIDNIAGYGVVRGMGDYGGVNNSNREASFPSASRPPPSGLMSPIAEMGNKNVVPNSSENAGFGENRHNNYSSGFPVTSWEDSMMISDNMPGVKRLREDDRSLSGLDLDGAETQNTDAGNRPPPILAHHLSLPKSSAEMSAIDKFLQYQDSVPCKIRAKRGCATHPRSIAERVRRTKISERMRKLQDLVPNMDKQTNTADMLDLAVDYIKDLQNQVKTLSDNRAKCSCSNKQQR >EOY32570 pep chromosome:Theobroma_cacao_20110822:9:31536380:31539437:-1 gene:TCM_040564 transcript:EOY32570 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 3 MESDLQHHHHHLIDYHQPQHHQKQMNSGLMRYQSAPSSYFSSILDRDFCQEFLNRPSSPETERIIERFLSSSGDGGGGNTVNISDQNLCAITQNSPVRETVIKIEEPTQIMTPMNNQTGVMQQQQQQQQQPQQGNYSSASQNFYQSQPQQHLPNQQSGSTMDYRIPNSMGMARPTQMKMGGGNNSNLVRHSSSPAGLFSNLNIDNIAGYGVVRGMGDYGGVNNSNREASFPSASRPPPSGLMSPIAEMGNKNVVPNSSENAGFGENRHNNYSSGFPVTSWEDSMMISDNMPGVKRLREDDRSLSGLDLDGAETQNTDAGNRPPPILAHHLSLPKSSAEMSAIDKFLQYQDSVPCKIRAKRGCATHPRSIAERVRRTKISERMRKLQDLVPNMDKQTNTADMLDLAVDYIKDLQNQTLSDNRAKC >EOY32571 pep chromosome:Theobroma_cacao_20110822:9:31536383:31539208:-1 gene:TCM_040564 transcript:EOY32571 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative isoform 3 MGDYGGVNNSNREASFPSASRPPPSGLMSPIAEMGNKNVVPNSSENAGFGENRHNNYSSGFPVTSWEDSMMISDNMPGVKRLREDDRSLSGLDLDGAETQNTDAGNRPPPILAHHLSLPKSSAEMSAIDKFLQYQDSVPCKIRAKRGCATHPRSIAERVRRTKISERMRKLQDLVPNMDKQTNTADMLDLAVDYIKDLQNQVKTLSDNRAK >EOY33067 pep chromosome:Theobroma_cacao_20110822:9:35333838:35338049:1 gene:TCM_041075 transcript:EOY33067 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor II H2 isoform 1 MNNGGARRMNGGGEEDDDEDYVNGDLDAWERTYTDERSWESLQEDESGLLRPIDNKALYHSQYRRRLRSLSSTATAARIQKGLIRYLYLVIDLSRAASETDFRPSRIVVIAKHVEAFIREFFDQNPLSQVGLLTIKDGVAQCLTDLGGSPESHIKALMNKLECSGDSSLQNALDLVDGYLNQIPSYGHREVLILYAALSTCDPGDIMETIQKCKKSKIRCSVIGLAAEMFICKHLCQETGGTYSVALDESHFKELILEHAPPPPAIAEFATANLIKMGFPQRAAEGSISICSCHKEAKVGAGYTCPRCKARVCELPTECRICGLTLVSSPHLARSYHHLFPIAPFDEVPPFSLNDPNHKLQRNCFGCQQSLLNPGNKPGLLVVCPKCKGYFCLDCDIYIHESLHNCPGCDSFRHSKADKE >EOY33069 pep chromosome:Theobroma_cacao_20110822:9:35333838:35338049:1 gene:TCM_041075 transcript:EOY33069 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor II H2 isoform 1 MNNGGARRMNGGGEEDDDEDYVNGDLDAWERTYTDERSWESLQEDESGLLRPIDNKALYHSQYRRRLRSLSSTATAARIQKGLIRYLYLVIDLSRAASETDFRPSRIVVIAKHVEAFIREFFDQNPLSQVGLLTIKDGVAQCLTDLGGSPESHIKALMNKLECSGDSSLQNALDLVDGYLNQIPSYGHREVLILYAALSTCDPGDIMETIQKCKKSKIRCSVIGLAAEMFICKHLCQETGGTYSVALDESHFKELILEHAPPPPAIAEFATANLIKMGFPQRAAEGSISICSCHKEAKVGAGYTCPRCKARVCELPTECRICGLTLVSSPHLARSYHHLFPIAPFDEVPPFSLNDPNHKLQRNCFGCQQSLLNPGNKPGLLVVCPKCKGYFCLDCDIYIHESLHNCPGCDSFRHSKADKE >EOY33068 pep chromosome:Theobroma_cacao_20110822:9:35333838:35339048:1 gene:TCM_041075 transcript:EOY33068 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor II H2 isoform 1 MNNGGARRMNGGGEEDDDEDYVNGDLDAWERTYTDERSWESLQEDESGLLRPIDNKALYHSQYRRRLRSLSSTATAARIQKGLIRYLYLVIDLSRAASETDFRPSRIVVIAKHVEAFIREFFDQNPLSQVGLLTIKDGVAQCLTDLGGSPESHIKALMNKLECSGDSSLQNALDLVDGYLNQIPSYGHREVLILYAALSTCDPGDIMETIQKCKKSKIRCSVIGLAAEMFICKHLCQETGGTYSVALDESHFKELILEHAPPPPAIAEFATANLIKMGFPQRAAEGSISICSCHKEAKVGAGYTCPRCKARVCELPTECRICGLTLVSSPHLARSYHHLFPIAPFDEVPPFSLNDPNHKLQRNCFGCQQSLLNPGNKPGLLVVCPKCKGYFCLDCDIYIHESLHNCPGCDSFRHSKADKE >EOY33070 pep chromosome:Theobroma_cacao_20110822:9:35335699:35337715:1 gene:TCM_041075 transcript:EOY33070 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor II H2 isoform 1 SETDFRPSRIVVIAKHVEAFIREFFDQNPLSQVGLLTIKDGVAQCLTDLGGSPESHIKALMNKLECSGDSSLQNALDLVDGYLNQIPSYGHREVLILYAALSTCDPGDIMETIQKCKKSKIRCSVIGLAAEMFICKHLCQETGGTYSVALDESHFKELILEHAPPPPAIAEFATANLIKMGFPQRAAEGSISICSCHKEAKVGAGYTCPRCKARVCELPTECRICGLTLVSSPHLARSYHHLFPIAPFDEVPPFSLNDPNHKLQRNCFGCQQSLLNPGNKPGLLVVCPKCKGYFCLDCDIYIHESLHNCPGC >EOY34545 pep chromosome:Theobroma_cacao_20110822:9:41413197:41416628:-1 gene:TCM_042182 transcript:EOY34545 gene_biotype:protein_coding transcript_biotype:protein_coding description:F2P16.20-like protein isoform 5 MGLPTTKCQKNPYRNQLFPTLAFAKFNRKPTATLKPPSLQQHSRERLSKKSSSSMAKEQSISVSEAVHKIQLHLLDGIRDEKQLLASGSLISRSDYEDVVTERTISNTCGYPLCANPLPSEPRRKGRYRISLKEHKVYDLQETYMFCSTNCLINSRAFAGSLQEERCSVLNHAKLNDILSLFGDLDLDDNDLGKNGDLGFSNLRIKENEEVKAEDVSLAGPSNAIEGYVPQRELISKPTPPKNNKNKVFDSSSSKLGSKKEEYFVNNELDFAGTIIMNDEYIISKKPGSFKQGDRTKLSSKKEDFVINEMDFTSEIIMNDEYTISKMPSGSKQSCFDSNLKEVEEKGICKDSEDKCVISGSSSALREKDSSIVELPSTKNVYQSGLDTSSAEAEKETHADKAVTSSETVLKSSLKSAGAKKLNRFVTWADKKKADNAGNGNLCEVKEMETMKGDSEISGSAEDGGDDNMLRFVSAEACAMALSKAAEAVASGDSDVTDAVYENGLIILPSLCEVDKEEPMEDGDMLEPETAPVKWPKKPGIPHSDMFNPEDSWFDAPPEGFSLTLSTFATMWNALFEWITSSSLAYIYGRDESFHEEYLSINGREYPRKIALRDGRSSEIKETLASCISRALPAIVTDLRLPIPISTLEQGMGHLIDTISFMEALPAFRMKQWQVIVLLFIDALSVCRIPALTPHMTNGRMLLHKVLDGAQISMEEYEVMKDLIIPLGRAPHFSAQSGA >EOY34548 pep chromosome:Theobroma_cacao_20110822:9:41412780:41416529:-1 gene:TCM_042182 transcript:EOY34548 gene_biotype:protein_coding transcript_biotype:protein_coding description:F2P16.20-like protein isoform 5 MAKEQSISVSEAVHKIQLHLLDGIRDEKQLLASGSLISRSDYEDVVTERTISNTCGYPLCANPLPSEPRRKGRYRISLKEHKVYDLQETYMFCSTNCLINSRAFAGSLQEERCSVLNHAKLNDILSLFGDLDLDDNDLGKNGDLGFSNLRIKENEEVKAEDVSLAGPSNAIEGYVPQRELISKPTPPKNNKNKVFDSSSSKLGSKKEEYFVNNELDFAGTIIMNDEYIISKKPGSFKQGDRTKLSSKKEDFVINEMDFTSEIIMNDEYTISKMPSGSKQSCFDSNLKEVEEKGICKDSEDKCVISGSSSALREKDSSIVELPSTKNVYQSGLDTSSAEAEKETHADKAVTSSETVLKSSLKSAGAKKLNRFVTWADKKKADNAGNGNLCEVKEMETMKGDSEISGSAEDGGDDNMLRFVSAEACAMALSKAAEAVASGDSDVTDAVYENGLIILPSLCEVDKEEPMEDGDMLEPETAPVKWPKKPGIPHSDMFNPEDSWFDAPPEGFSLTLSTFATMWNALFEWITSSSLAYIYGRDESFHEEYLSINGREYPRKIALRDGRSSEIKETLASCISRALPAIVTDLRLPIPISTLEQGMNTCPHSTYD >EOY34547 pep chromosome:Theobroma_cacao_20110822:9:41413273:41416775:-1 gene:TCM_042182 transcript:EOY34547 gene_biotype:protein_coding transcript_biotype:protein_coding description:F2P16.20-like protein isoform 5 MGLPTTKCQKNPYRNQLFPTLAFAKFNRKPTATLKPPSLQQHSRERLSKKSSSSMAKEQSISVSEAVHKIQLHLLDGIRDEKQLLASGSLISRSDYEDVVTERTISNTCGYPLCANPLPSEPRRKGRYRISLKEHKVYDLQETYMFCSTNCLINSRAFAGSLQEERCSVLNHAKLNDILSLFGDLDLDDNDLGKNGDLGFSNLRIKENEEVKAEDVSLAGPSNAIEGYVPQRELISKPTPPKNNKNKVFDSSSSKLGSKKEEYFVNNELDFAGTIIMNDEYIISKKPGSFKQGDRTKLSSKKEDFVINEMDFTSEIIMNDEYTISKMPSGSKQSCFDSNLKEVEEKGICKDSEDKCVISGSSSALREKDSSIVELPSTKNVYQSGLDTSSAEAEKETHADKAVTSSETVLKSSLKSAGAKKLNRFVTWADKKKADNAGNGNLCEVKEMETMKGDSEISGSAEDGGDDNMLRFVSAEACAMALSKAAEAVASGDSDVTDAVCEVDKEEPMEDGDMLEPETAPVKWPKKPGIPHSDMFNPEDSWFDAPPEGFSLTLSTFATMWNALFEWITSSSLAYIYGRDESFHEEYLSINGREYPRKIALRDGRSSEIKETLASCISRALPAIVTDLRLPIPISTLEQGMGHLIDTISFMEALPAFRMKQWQVIVLLFIDALSVCRIPALTPHMTNGRMLLHKVLDGAQISM >EOY34546 pep chromosome:Theobroma_cacao_20110822:9:41412248:41416775:-1 gene:TCM_042182 transcript:EOY34546 gene_biotype:protein_coding transcript_biotype:protein_coding description:F2P16.20-like protein isoform 5 MGLPTTKCQKNPYRNQLFPTLAFAKFNRKPTATLKPPSLQQHSRERLSKKSSSSMAKEQSISVSEAVHKIQLHLLDGIRDEKQLLASGSLISRSDYEDVVTERTISNTCGYPLCANPLPSEPRRKGRYRISLKEHKVYDLQETYMFCSTNCLINSRAFAGSLQEERCSVLNHAKLNDILSLFGDLDLDDNDLGKNGDLGFSNLRIKENEEVKAEDVSLAGPSNAIEGYVPQRELISKPTPPKNNKNKVFDSSSSKLGSKKEEYFVNNELDFAGTIIMNDEYIISKKPGSFKQGDRTKLSSKKEDFVINEMDFTSEIIMNDEYTISKMPSGSKQSCFDSNLKEVEEKGICKDSEDKCVISGSSSALREKDSSIVELPSTKNVYQSGLDTSSAEAEKETHADKAVTSSETVLKSSLKSAGAKKLNRFVTWADKKKADNAGNGNLCEVKEMETMKGDSEISGSAEDGGDDNMLRFVSAEACAMALSKAAEAVASGDSDVTDAVYENGLIILPSLCEVDKEEPMEDGDMLEPETAPVKWPKKPGIPHSDMFNPEDSWFDAPPEGFSLTLSTFATMWNALFEWITSSSLAYIYGRDESFHEEYLSINGREYPRKIALRDGRSSEIKETLASCISRALPAIVTDLRLPIPISTLEQGMGHLIDTISFMEALPAFRMKQWCWMVPR >EOY34549 pep chromosome:Theobroma_cacao_20110822:9:41412192:41417041:-1 gene:TCM_042182 transcript:EOY34549 gene_biotype:protein_coding transcript_biotype:protein_coding description:F2P16.20-like protein isoform 5 MGLPTTKCQKNPYRNQLFPTLAFAKFNRKPTATLKPPSLQQHSRERLSKKSSSSMAKEQSISVSEAVHKIQLHLLDGIRDEKQLLASGSLISRSDYEDVVTERTISNTCGYPLCANPLPSEPRRKGRYRISLKEHKVYDLQETYMFCSTNCLINSRAFAGSLQEERCSVLNHAKLNDILSLFGDLDLDDNDLGKNGDLGFSNLRIKENEEVKAEDVSLAGPSNAIEGYVPQRELISKPTPPKNNKNKVFDSSSSKLGSKKEEYFVNNELDFAGTIIMNDEYIISKKPGSFKQGDRTKLSSKKEDFVINEMDFTSEIIMNDEYTISKMPSGSKQSCFDSNLKEVEEKGICKDSEDKCVISGSSSALREKDSSIVELPSTKNVYQSGLDTSSAEAEKETHADKAVTSSETVLKSSLKSAGAKKLNRFVTWADKKKADNAGNGNLCEVKEMETMKGDSEISGSAEDGGDDNMLRFVSAEACAMALSKAAEAVASGDSDVTDAVYENGLIILPSLCEVDKEEPMEDGDMLEPETAPVKWPKKPGIPHSDMFNPEDSWFDAPPEGFSLTLSTFATMWNALFEWITSSSLAYIYGRDESFHEEYLSINGREYPRKIALRDGRSSEIKETLASCISRALPAIVTDLRLPIPISTLEQGMGHLIDTISFMEALPAFRMKQWEINQNPGRGRRCLTASECDVSHDKIVWQIGKRTSR >EOY34550 pep chromosome:Theobroma_cacao_20110822:9:41414985:41416628:-1 gene:TCM_042182 transcript:EOY34550 gene_biotype:protein_coding transcript_biotype:protein_coding description:F2P16.20-like protein isoform 5 MGLPTTKCQKNPYRNQLFPTLAFAKFNRKPTATLKPPSLQQHSRERLSKKSSSSMAKEQSISVSEAVHKIQLHLLDGIRDEKQLLASGSLISRSDYEDVVTERTISNTCGYPLCANPLPSEPRRKGRYRISLKEHKVYDLQETYMFCSTNCLINSRAFAGSLQEERCSVLNHAKLNDILSLFGDLDLDDNDLGKNGDLGFSNLRIKENEEVKAEDVSLAGPSNAIEGYVPQRELISKPTPPKNNKNKVFDSSSSKLGSKKEEYFVNNELDFAGTIIMNDEYIISKKPGSFKQGDRTKLSSKKEDFVINEMDFTSEIIMNDEYTISKMPSGSKQSCFDSNLKEVEEKGICKDSEDKCVISGSSSALREKDSSIVELPSTKNVYQSGLDTSSAEAEKETHADKAVTSSETVLKSSLKSAGAKKLNRFVTWADKKKADNAGNGNLCEVKEMETMKGDSEISGSAEDGGDDNMLRFVSAEACAMALSKAAEAVASGDSDVTDAGRFLRAFSELYVDSSF >EOY34033 pep chromosome:Theobroma_cacao_20110822:9:39747545:39748695:-1 gene:TCM_041835 transcript:EOY34033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASIDLSSLGYFAIVLLAVIATSFIFCCAAVINGCFPKPPQNTQGRQPRNPQQAMPRQRPLPRPVPMPRQQILGTVVTYENHQTGETCDDCAKVRAGGSMQCSSQL >EOY34719 pep chromosome:Theobroma_cacao_20110822:9:41891496:41896263:-1 gene:TCM_042303 transcript:EOY34719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MIELVDLGSVSGNQQGVQMLHFHHHNYYHIPCTKQRPMKFCLTSVFSSLVIIGIFLPLTISDLNSDKEALVDFAAAVPHRRNLNWNLTNPICTSWIGVKCTQDNSSVLALRLPGVGLIGNIPSNTLGKLGALRTLSLRSNRLNGDLPSDIITLPSLQYLYLQHNNLSGDLPVSFSLKLNVLDLSFNSFTGKIPKTIQNLTLLTGLNLQNNNLSGPIPNLNLTRLKHLNLSYNQLSGLIPLPLQRFPNSSFVGNSLLCGLPLQACSLPPSPSPAYSPPPLTFPQKQSSKKKLSLGVIIAIAVGGSVVLFLLALIILCCCLKKKDNGGSGVLKGKAAGGGRSEKPKEEFGSGVQEPEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLKEVVVGKKDFEQQMEIIGRVGQHPNVVPLRAYYYSKDEKLLVYDYIPGGSLSTLLHGNRGGGRTPLDWESRVKISLGAARGIAHVHFMGGPKFTHGNVKSSNVLLNQDHDGCISDLGLTPLMNVPVTPSRTAGYRAPEVIETRKHTHKSDVYSFGVLLLEMLTGKAPLQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRFQNIEEEMVQMLQIAMACVAKVPDMRPNMDEVVRMIEEVRQSDSENRPSSEENKSKDSNVQTP >EOY30151 pep chromosome:Theobroma_cacao_20110822:9:3575144:3578037:1 gene:TCM_037458 transcript:EOY30151 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MALLGCDVVSTDQIEVVSLLRRNIERNTSRIMQMNSNSDSFGSIRVAELDWGNEDHIKAVALPFDYIIGTDVVYAEHLLGPLLKTILALSGPKTTIMLGHEIRCTSVHEQMLQTWKKFFEVKIVPRAKMDSKYQHPSIQLFIMGLKTPAVTAEKLDNAVSAENLDKKTQGIDLQVNEVKTRKTDREEEENCGNGTGVDDLDGEVIKEDCLQVTRLPDGKLSDWEARRYGSMAARLLRDIKIT >EOY30150 pep chromosome:Theobroma_cacao_20110822:9:3573784:3577816:1 gene:TCM_037458 transcript:EOY30150 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MEADRLNSPSTSVITFEVLGHQLQFSQDPNSKHLGTTVWDSSMVFAKFLSKLKGRRVIELGAGCGVAGFGMALLGCDVVSTDQIEVVSLLRRNIERNTSRIMQMNSNSDSFGSIRVAELDWGNEDHIKAVALPFDYIIGTDVVYAEHLLGPLLKTILALSGPKTTIMLGHEIRCTSVHEQMLQTWKKFFEVKIVPRAKMDSKYQHPSIQLFIMGLKTPAVTAEKLDNAVSAENLDKKTQGIDLQVNEVKTRKTDREEEENCGNGTGVDDLDGEVIKEDCLQVTRLPDGKLSDWEARRYGSMAARLLRDIKIT >EOY30149 pep chromosome:Theobroma_cacao_20110822:9:3573682:3577916:1 gene:TCM_037458 transcript:EOY30149 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MEADRLNSPSTSVITFEVLGHQLQFSQDPNSKHLGTTVWDSSMVFAKFLEKNCRKGRFCPSKLKGRRVIELGAGCGVAGFGMALLGCDVVSTDQIEVVSLLRRNIERNTSRIMQMNSNSDSFGSIRVAELDWGNEDHIKAVALPFDYIIGTDVVYAEHLLGPLLKTILALSGPKTTIMLGHEIRCTSVHEQMLQTWKKFFEVKIVPRAKMDSKYQHPSIQLFIMGLKTPAVTAEKLDNAVSAENLDKKTQGIDLQVNEVKTRKTDREEEENCGNGTGVDDLDGEVIKEDCLQVTRLPDGKLSDWEARRYGSMAARLLRDIKIT >EOY31255 pep chromosome:Theobroma_cacao_20110822:9:7836350:7839664:-1 gene:TCM_038224 transcript:EOY31255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-related gene 1 MEPQLTKLGGSLLVPCVQQLSKEPLVKVPPQYVRTDEDPSFISNSSSCLAQVPVIDMQKLHYSKDLKDTELEQLHHACKHWGFFQLINHGVSTALVEKVKLEIEGFFNLTMEEKKKFWQTPEEIEGFGQAFVVSEEQKLNWGDMFYMITLPTHLRKPHLFPKLPLPLREALEAYSTELKNLAINMLDLMAKALKMDPNDMRILFDEGHQGMRMNYYPPCPQPEHAIGINSHSDAVGLTILLQINEMEGLQIRKDGAWIPIKPLPNAFVINIGDILEIVSNGIYRSIEHRATVNSRKERMSIATFYSPKLEGDMGPAPSLITPQTPALFRRIGVVDYFRGLFSRELRGKSYIDVLRV >EOY33929 pep chromosome:Theobroma_cacao_20110822:9:39365118:39368551:1 gene:TCM_041759 transcript:EOY33929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol 4-alpha-methyl-oxidase 2-1 isoform 1 MASVIESGWLYLITHFSDFQLASLGSFFLHESVFFLAGLPFIYLERAGLLSKYKIQTKNNSPAAQEKCITRLLLYHFCVNLPLMSASYPVFRFMGMKSSLPLPSWKVVLSQIIFYFILEDFVFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMD >EOY33928 pep chromosome:Theobroma_cacao_20110822:9:39365319:39368845:1 gene:TCM_041759 transcript:EOY33928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol 4-alpha-methyl-oxidase 2-1 isoform 1 MASVIESGWLYLITHFSDFQLASLGSFFLHESVFFLAGLPFIYLERAGLLSKYKIQTKNNSPAAQEKCITRLLLYHFCVNLPLMSASYPVFRFMGMKSSLPLPSWKVVLSQIIFYFILEDFVFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWIFGTDKGYRKLKALKHDGVEDDSKQT >EOY34374 pep chromosome:Theobroma_cacao_20110822:9:40824603:40836798:1 gene:TCM_042064 transcript:EOY34374 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIT4 phosphatase-associated family protein isoform 1 MFWKLTALSTSSPVESILDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLHYIVEEPQEDADSKRAFKFPFIACEIFTCEIDVILKTLVEEEELMNLLFSFLEPNRLHSALLAGYFSKVVVCLMLRKTVPLMNYVQVHQEVFRQLVDLIGITSIMEVLVRLVGADDHVYPNFLDVMQWLADSNLLEMIVDKLNPSCPPEVHANAAETLCAITRNAPSALATKLSSPRFVGRIFGHALEDSHSKSGLVHSLSVCISLLDPKRSAIASPLMHSFRNQMYEPPIPVNLETINAMLPKLGDLLMLLNVSSDEKILPTTYGELKPPLGKHRLKIVEFIAVLLRTGNEAAEKELVSSGTIQRVLDLFFEYPYNNALHHHVESIILSCLESKNDSIVNHLLQECDLLGKFLQADKNPILSGDSNQPTLPAAGKCAPRVGNIGHITRISNKLLQLGSSNSRVQACLQENSEWNEWQSNVLQERNAVENVYRWACGRPTALQDRTRDSDEDDIHDRDYDVAALANNLSQAFRYKIYGNDDNEEDHGALDRDDEDVYFDDESAEVVISSLRLGDDQGSSLFTNSNWFAFQDDRIGNAPVATSPTEVMDEINLNGTANGGNSSSDDEVVVGEEDELNESKQLVNGTSTSSAMNGFNNFMSGGDLNPQGERANASHDMGFFRFDTPENEDLFGGRPLPEWVGWGESSDLQVGGSSKNPFLDDDSLDVNQPSHTETVITEVGSPSNGEPVLPNGSSDSMDLSDGSASSDTSQKSPPPVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNIIPKVPEKENSDDGGAGMKEFNDANYWRVDQEVAVLE >EOY34375 pep chromosome:Theobroma_cacao_20110822:9:40829913:40836599:1 gene:TCM_042064 transcript:EOY34375 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIT4 phosphatase-associated family protein isoform 1 MFWKLTALSTSSPVESILDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLHYIVEEPQEDADSKRAFKFPFIACEIFTCEIDVILKTLVEEEELMNLLFSFLEPNRLHSALLAGYFSKVVVCLMLRKTVPLMNYVQVHQEVFRQLVDLIGITSIMEVLVRLVGADDHVYPNFLDVMQWLADSNLLEMIVDKLNPSCPPEVHANAAETLCAITRNAPSALATKLSSPRFVGRIFGHALEDSHSKSGLVHSLSVCISLLDPKRSAIASPLMHSFRNQMYEPPIPVNLETINAMLPKLGDLLMLLNVSSDEKILPTTYGELKPPLGKHRLKIVEFIAVLLRTGNEAAEKELVSSGTIQRVLDLFFEYPYNNALHHHVESIILSCLESKNDSIVNHLLQECDLLGKFLQADKNPILSGDSNQPTLPAAGKCAPRVGNIGHITRISNKLLQLGSSNSRVQACLQENSEWNEWQSNVLQERNAVENVYRWACGRPTALQDRTRDSDEDDIHDRDYDVAALANNLSQAFRYKIYGNDDNEEDHGALDRDDEDVYFDDESAEVVISSLRLGDDQGSLFTNSNWFAFQDDRIGNAPVATSPTEVMDEINLNGTANGGNSSSDDEVVVGEEDELNESKQLVNGTSTSSAMNGFNNFMSGGDLNPQGERANASHDMGFFRFDTPENEDLFGGRPLPEWVGWGESSDLQVGGSSKNPFLDDDSLDVNQPSHTETVITEVGSPSNGEPVLPNGSSDSMDLSDGSASSDTSQKSPPPVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNIIPKVPEKENSDDGGAGMKEFNDANYWRVDQEVAVLE >EOY30437 pep chromosome:Theobroma_cacao_20110822:9:4589747:4592352:1 gene:TCM_046920 transcript:EOY30437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein METVAYNFHSFLLNLSIYTTSPCRQLSFISSANRISKAKSTTLRLRMAHSHSAQDPVIEQQRVIIPSKHGEKLVGLLHETGSKEIVILCHGFRSRKDYNTMVNLAAALEKEGISVFRFDFAGNGAIVGHSKGGNVVLLYASKYRDIHILVNVSGRYDLNRGIAERLGEDFMQIIKKDGYIDVKNKTGGVEYRVTEESLMERLRTDMHEACLKIDKECRVLTVHGSADEIIPIEDALEFAKIIPNHKLHIVEGANHGYTSHQTELASVVVNFIKTVLEQDKVAPK >EOY29685 pep chromosome:Theobroma_cacao_20110822:9:2054777:2057186:-1 gene:TCM_037154 transcript:EOY29685 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase I-associated factor PAF67 isoform 3 MATNYDYEEAPANYDETHRQDLGYDPNFVPDSVKSFVGHLYRHIREKNVYEIHQMYEISFQTLSDRLFKDTPWPSVDAVAHYVDNDQVFCLLYREMWFRHLYARLSPTLKQRIDSWDNYCSLFQVVLHRVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKSEQEIALLRQYDQAWNVYGVLNYLQAFVEKSNIMQILEQEKEGLEQFTATDGYDYSGGSNVLKVLGYFSMVGLLRVHCLLGDYQTGLKCLLPIDISQQGVYTSVIGSHITTIYHYGFANLMLRRYVEAIREFNKILLYIYKTKQFHQKSPQYEQILKKNEQMYALLAICLSLCPQVKLVEETVNSQLREKYGEKMARMQRYDDEAFAIYDELFSYACPKFITPSAPSFEEPLVNYNQDAYRLQLKLFLYEVKQQQLLSGVRTFLKVYSTISLGKLANYMEVDEPTLRWTFFFFLMFLCI >EOY29684 pep chromosome:Theobroma_cacao_20110822:9:2053667:2057291:-1 gene:TCM_037154 transcript:EOY29684 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase I-associated factor PAF67 isoform 3 MATNYDYEEAPANYDETHRQDLGYDPNFVPDSVKSFVGHLYRHIREKNVYEIHQMYEISFQTLSDRLFKDTPWPSVDAVAHYVDNDQVFCLLYREMWFRHLYARLSPTLKQRIDSWDNYCSLFQVVLHRVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKSEQEIALLRQYDQAWNVYGVLNYLQAFVEKSNIMQILEQEKEGLEQFTATDGYDYSGGSNVLKVLGYFSMVGLLRVHCLLGDYQTGLKCLLPIDISQQGVYTSVIGSHITTIYHYGFANLMLRRYVEAIREFNKILLYIYKTKQFHQKSPQYEQILKKNEQMYALLAICLSLCPQVKLVEETVNSQLREKYGEKMARMQRYDDEAFAIYDELFSYACPKFITPSAPSFEEPLVNYNQDAYRLQLKLFLYEVKQQQLLSGVRTFLKVYSTISLGKLANYMEVDEPTLRTILLTYKHKTHAVDSDGKIISNADVDFYIDDMIHVVESKPVKRYGDYFLRQVVKLEGVINDMDRIKLE >EOY29683 pep chromosome:Theobroma_cacao_20110822:9:2054279:2060466:-1 gene:TCM_037154 transcript:EOY29683 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase I-associated factor PAF67 isoform 3 MATNYDYEEAPANYDETHRQDLGYDPNFVPDSVKSFVGHLYRHIREKNVYEIHQMYEISFQTLSDRLFKDTPWPSVDAVAHYVDNDQVFCLLYREMWFRHLYARLSPTLKQRIDSWDNYCSLFQVVLHRVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKSEQEIALLRQYDQAWNVYGVLNYLQAFVEKSNIMQILEQEKEGLEQFTATDGYDYSGGSNVLKVLGYFSMVGLLRVHCLLGDYQTGLKCLLPIDISQQGVYTSVIGSHITTIYHYGFANLMLRRYVEAIREFNKILLYIYKTKQFHQKSPQYEQILKKNEQMYALLAICLSLCPQVKLVEETVNSQLREKYGEKMARMQRYDDEAFAIYDELFSYACPKFITPSAPSFEEPLVNYNQDAYRLQLKLFLYEVKQQQLLSGVRTFLKVYSTISLGKLANYMEVDEPTLRTILLTYKHKTHAVDSDGKIISNADVDFYIDDSHSTLLQDMIHVVES >EOY29682 pep chromosome:Theobroma_cacao_20110822:9:2053667:2060463:-1 gene:TCM_037154 transcript:EOY29682 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase I-associated factor PAF67 isoform 3 MATNYDYEEAPANYDETHRQDLGYDPNFVPDSVKSFVGHLYRHIREKNVYEIHQMYEISFQTLSDRLFKDTPWPSVDAVAHYVDNDQVFCLLYREMWFRHLYARLSPTLKQRIDSWDNYCSLFQVVLHRVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKSEQEIALLRQYDQAWNVYGVLNYLQAFVEKSNIMQILEQEKEGLEQFTATDGYDYSGGSNVLKVLGYFSMVGLLRVHCLLGDYQTGLKCLLPIDISQQGVYTSVIGSHITTIYHYGFANLMLRRYVEAIREFNKILLYIYKTKQFHQKSPQYEQILKKNEQMYALLAICLSLCPQVKLVEETVNSQLREKYGEKMARMQRYDDEAFAIYDELFSYACPKFITPSAPSFEEPLVNYNQDAYRLQLKLFLYEVKQQQLLSGVRTFLKVYSTISLGKLANYMEVDEPTLRTILLTYKHKTHAVDSDGKIISNADVDFYIDDDMIHVVESKPVKRYGDYFLRQVVKLEGVINDMDRIKLE >EOY29686 pep chromosome:Theobroma_cacao_20110822:9:2053667:2057291:-1 gene:TCM_037154 transcript:EOY29686 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase I-associated factor PAF67 isoform 3 MATNYDYEEAPANYDETHRQDLGYDPNFVPDSVKSFVGHLYRHIREKNVYEIHQMYEISFQTLSDRLFKDTPWPSVDAVAHYVDNDQVFCLLYREMWFRHLYARLSPTLKQRIDSWDNYCSLFQVVLHRVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKSEQEIALLRQYDQAWNVYGVLNYLQAFVEKSNIMQILEQEKEGLEQFTATDGYDYSGGSNVLKVLGYFSMVGLLRVHCLLGDYQTGLKCLLPIDISQQGVYTSVIGSHITTIYHYGFANLMLRRYVEAIREFNKILLYIYKTKQFHQKSPQYEQILKKNEQMYALLAICLSLCPQVKLVEETVNSQLREKYGEKMARMQRYDDEAFAIYDELFSYACPKFITPSAPSFEEPLVNYNQTSVEAVSL >EOY33797 pep chromosome:Theobroma_cacao_20110822:9:39014105:39017724:1 gene:TCM_041670 transcript:EOY33797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein isoform 6 MTSIVTGLQGQPPVGHPKGYPFVAGRNNVIACAKHFVGDGGTEKGINEGNTILSYDDLERIHMAPYLDCISQGVSTIMASFSSWNGRKLHADHFLLTEILKDKLGFKGFVISDWEALDQLCEPQGSNNRYCISSAVNAGIDMVMVPFKYKQFVEDLAFLVESGEVQMSRIDDAVERILRVKFVSGLFEHPFSDRSLLDIVGCKLHRELAREAVRKSLVLLKNGKNPENPFLPLDKNAKRILVAGTHADDLGYQCGGWTGTWHGCSGRITIGTTILDAIREAVGDKTEVIYDQYPSPDSLAGKNFSFAIVVVGEPPYAETLGDNAELVIPFNGSDIISSVADKIPTLAILISGRPLVLEPWLLEKVDALVAAWFPGSEGGGVTDVVFGDFEFEGRLPMTWFRSINQLPMNAGHNSYDPLFPLGFGLTCNKEKSVE >EOY33799 pep chromosome:Theobroma_cacao_20110822:9:39012899:39017724:1 gene:TCM_041670 transcript:EOY33799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein isoform 6 MDCVYKNPNAPIEDRVKDLLSRMTLQEKIGQMTQIERRVADPSALKDFSIGSILSAGGSGPFENALSSDWADMVDRFQQAALESRLGIPLIYGIDAVHGNNSVYGATIFPHNVGLGATRDADLAQRIGTATALEVRASGIQYTFAPCVTVKGYPFVAGRNNVIACAKHFVGDGGTEKGINEGNTILSYDDLERIHMAPYLDCISQGVSTIMASFSSWNGRKLHADHFLLTEILKDKLGFKELHRELAREAVRKSLVLLKNGKNPENPFLPLDKNAKRILVAGTHADDLGYQCGGWTGTWHGCSGRITIGTTILDAIREAVGDKTEVIYDQYPSPDSLAGKNFSFAIVVVGEPPYAETLGDNAELVIPFNGSDIISSVADKIPTLAILISGRPLVLEPWLLEKVDALVAAWFPGSEGGGVTDVVFGDFEFEGRLPMTWFRSINQLPMNAGHNSYDPLFPLGFGLTCNKEKSVE >EOY33795 pep chromosome:Theobroma_cacao_20110822:9:39012404:39017699:1 gene:TCM_041670 transcript:EOY33795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein isoform 6 MVDRFQQAALESRLGIPLIYGIDAVHGNNSVYGATIFPHNVGLGATRDADLAQRIGTATALEVRASGIQYTFAPCVTVCRDPRWGRCYESYSEDTNSVRKMTSIVTGLQGQPPVGHPKGYPFVAGRNNVIACAKHFVGDGGTEKGINEGNTILSYDDLERIHMAPYLDCISQGVSTIMASFSSWNGRKLHADHFLLTEILKDKLGFKGFVISDWEALDQLCEPQGSNNRYCISSAVNAGIDMVMVPFKYKQFVEDLAFLVESGEVQMSRIDDAVERILRVKFVSGLFEHPFSDRSLLDIVGCKLHRELAREAVRKSLVLLKNGKNPENPFLPLDKNAKRILVAGTHADDLGYQCGGWTGTWHGCSGRITIGTTILDAIREAVGDKTEVIYDQYPSPDSLAGKNFSFAIVVVGEPPYAETLGDNAELVIPFNGSDIISSVADKIPTLAILISGRPLVLEPWLLEKVDALVAAWFPGSEGGGVTDVVFGDFEFEGRLPMTWFRSINQLPMNAGHNSYDPLFPLGFGLTCNKEKSVE >EOY33798 pep chromosome:Theobroma_cacao_20110822:9:39014105:39017724:1 gene:TCM_041670 transcript:EOY33798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein isoform 6 MTSIVTGLQGQPPVGHPKGYPFVAGRNNVIACAKHFVGDGGTEKGINEGNTILSYDDLERIHMAPYLDCISQGVSTIMASFSSWNGRKLHADHFLLTEILKDKLGFKGFVISDWEALDQLCEPQGSNNRYCISSAVNAGIDMVMVPFKYKQFVEDLAFLVESGEVQMSRIDDAVERILRVKFVSGLFEHPFSDRSLLDIVGCKLHRELAREAVRKSLVLLKNGKNPENPFLPLDKNAKRILVAGTHADDLGYQCGGWTGTWHGCSGRITIGTTILDAIREAVGDKTEVIYDQYPSPDSLAGKNFSFAIVVVGEPPYAETLGDNAELVIPFNGSDIISSVADKIPTLAILISGRPLVLEPWLLEKVDALVAAWFPGSEGGGVTDVVFGDFEFEGRLPMTWFRSINQLPMNAGHNSYDPLFPLGFGLTCNKEKSVE >EOY33796 pep chromosome:Theobroma_cacao_20110822:9:39013616:39017702:1 gene:TCM_041670 transcript:EOY33796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein isoform 6 MDCVYKNPNAPIEDRVKDLLSRMTLQEKIGQMTQIERRVADPSALKDFSIGSILSAGGSGPFENALSSDWADMVDRFQQAALESRLGIPLIYGIDAVHGNNSVYGATIFPHNVGLGATRDADLAQRIGTATALEVRASGIQYTFAPCVTVCRDPRWGRCYESYSEDTNSVRKMTSIVTGLQGQPPVGHPKGYPFVAGRNNVIACAKHFVGDGGTEKGINEGNTILSYDDLERIHMAPYLDCISQGVSTIMASFSSWNGRKLHADHFLLTEILKDKLGFKGFVISDWEALDQLCEPQGSNNRYCISSAVNAGIDMVVMVPFKYKQFVEDLAFLVESGEVQMSRIDDAVERILRVKFVSGLFEHPFSDRSLLDIVGCKLHRELAREAVRKSLVLLKNGKNPENPFLPLDKNAKRILVAGTHADDLGYQCGGWTGTWHGCSGRITIGTTILDAIREAVGDKTEVIYDQYPSPDSLAGKNFSFAIVVVGEPPYAETLGDNAELVIPFNGSDIISSVADKIPTLAILISGRPLVLEPWLLEKVDALVAAWFPGSEGGGVTDVVFGDFEFEGRLPMTWFRSINQLPMNAGHNSYDPLFPLGFGLTCNKEKSVE >EOY33794 pep chromosome:Theobroma_cacao_20110822:9:39012404:39017702:1 gene:TCM_041670 transcript:EOY33794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein isoform 6 MDCVYKNPNAPIEDRVKDLLSRMTLQEKIGQMTQIERRVADPSALKDFSIGSILSAGGSGPFENALSSDWADMVDRFQQAALESRLGIPLIYGIDAVHGNNSVYGATIFPHNVGLGATRDADLAQRIGTATALEVRASGIQYTFAPCVTVCRDPRWGRCYESYSEDTNSVRKMTSIVTGLQGQPPVGHPKGYPFVAGRNNVIACAKHFVGDGGTEKGINEGNTILSYDDLERIHMAPYLDCISQGVSTIMASFSSWNGRKLHADHFLLTEILKDKLGFKGFVISDWEALDQLCEPQGSNNRYCISSAVNAGIDMVMVPFKYKQFVEDLAFLVESGEVQMSRIDDAVERILRVKFVSGLFEHPFSDRSLLDIVGCKLHRELAREAVRKSLVLLKNGKNPENPFLPLDKNAKRILVAGTHADDLGYQCGGWTGTWHGCSGRITIGTTILDAIREAVGDKTEVIYDQYPSPDSLAGKNFSFAIVVVGEPPYAETLGDNAELVIPFNGSDIISSVADKIPTLAILISGRPLVLEPWLLEKVDALVAAWFPGSEGGGVTDVVFGDFEFEGRLPMTWFRSINQLPMNAGHNSYDPLFPLGFGLTCNKEKSVE >EOY30945 pep chromosome:Theobroma_cacao_20110822:9:6378412:6381405:1 gene:TCM_037982 transcript:EOY30945 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase MAESKPEQPLIPSSSSSSSRNLPDFKKSVKLKYVKLGYHYLITHGMYLFLSPLVVVIAAQLSTFSIQDLYDLWEHLQYNLISVIICSTLLVFLSTLYFLTRPRPVYLVNFACYKPEESRKCTKRIFMDQSRMTGTFTEENLEFQRKILERSGLGDSTYLPEAVLNIPPNPSMQEARKEAEAVMFGAIDELLAKTAVKPKDIGILVVNCSLFNPTPSLSAMVINHYKLRGNIQSYNLGGMGCSAGLISIDLAKNLLQVHPNSYALVISMENITLNWYFGNDRSKLVSNCLFRMGGAAILLSNKRSDRRRSKYELVHTVRTHKGADDKCFSCVTQEEDSAGKVGVTLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFGTLVGKKLFKMKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSEWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIRKGDRTWQIAFGSGFKCNSAVWKALRAVNPAKEKNPWMDEIDRFPVDVPRVSSI >EOY30062 pep chromosome:Theobroma_cacao_20110822:9:3238926:3242798:-1 gene:TCM_037401 transcript:EOY30062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein isoform 1 MRSNWLLFMDLDGGGSPYIFAMEPFVSNGLGAHLFSQFSSLVDSSLYHSKHMYVPGSLAFREAFGCMSRFAGTLLFWFSSMSTSNLSRDISAGNQRGLKSGSCKSSAKVKHIASCKNYVAGFQFASESSGQSATPLVFGKISSYAMRHFFGEVEALQSFPLLSLAAALIPPFDNLSAKVLAVPLENTEVQTQECMDQRRCDVGLQGCGSLSFLDLNWTRHAVEPRTGIEFPMILDNILDRQNNSSLASEVLVGTGSRTMKIIKIKSLKVYAFGFYIHPYSVCQKLGPKYASIPAGELHKHNDFYQDLLREDIGMTVRLVVNCNGMKVNTVRDAFEKSLRARLVKTNPNTDYHCLSTFGSYFTQDIPLPAGTIIDFQRTADGQLITKSRFFFFFSIPSQTVTTTA >EOY30063 pep chromosome:Theobroma_cacao_20110822:9:3238926:3242798:-1 gene:TCM_037401 transcript:EOY30063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein isoform 1 MRSNWLLFMDLDGGGSPYIFAMEPFVSNGLGAHLFSQFSSLVDSSLYHSKHMYVPGSLAFREAFGCMSRFAGTLLFWFSSMSTSNLSRDISAGNQRGLKSGSCKSSAKVKHIASCKNYVAGFQFASESSGQSATPLVFGKISSYAMRHFFGEVEALQSFPLLSLAAALIPPFDNLSAKVLAVPLENTEVQTQECMDQRRCDVGLQGCGSLSFLDLNWTRHAVEPRTGIEFPMILDNILDRQNNSSLASEVLVGTGSRTMKIIKIKSLKVYAFGFYIHPYSVCQKLGPKYASIPAGELHKHNDFYQDLLREDIGMTVRLVVNCNGMKVNTVRDAFEKSLRARLVKTNPNTDYHCLSTFGSYFTQDIPLPAVMPLYFSSFSM >EOY30061 pep chromosome:Theobroma_cacao_20110822:9:3238495:3242669:-1 gene:TCM_037401 transcript:EOY30061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein isoform 1 MRSNWLLFMDLDGGGSPYIFAMEPFVSNGLGAHLFSQFSSLVDSSLYHSKHMYVPGSLAFREAFGCMSRFAGTLLFWFSSMSTSNLSRDISAGNQRGLKSGSCKSSAKVKHIASCKNYVAGFQFASESSGQSATPLVFGKISSYAMRHFFGEVEALQSFPLLSLAAALIPPFDNLSAKVLAVPLENTEVQTQECMDQRRCDVGLQGCGSLSFLDLNWTRHAVEPRTGIEFPMILDNILDRQNNSSLASEVLVGTGSRTMKIIKIKSLKVYAFGFYIHPYSVCQKLGPKYASIPAGELHKHNDFYQDLLREDIGMTVRLVVNCNGMKVNTVRDAFEKSLRARLVKTNPNTDYHCLSTFGSYFTQDIPLPAGTIIDFQRTADGQLITKIGGNQIGVVHSKDLCRAFFDMYVGDFPVSEQTKEDIGRNVASIIRRC >EOY30065 pep chromosome:Theobroma_cacao_20110822:9:3238461:3241597:-1 gene:TCM_037401 transcript:EOY30065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein isoform 1 MSRFAGTLLFWFSSMSTSNLSRDISAGNQRGLKSGSCKSSAKVKHIASCKNYVAGFQFASESSGQSATPLVFGKISSYAMRHFFGEVEALQSFPLLSLAAALIPPFDNLSAKVLAVPLENTEVQTQECMDQRRCDVGLQGCGSLSFLDLNWTRHAVEPRTGIEFPMILDNILDRQNNSSLASEVLVGTGSRTMKIIKIKSLKVYAFGFYIHPYSVCQKLGPKYASIPAGELHKHNDFYQDLLREDIGMTVRLVVNCNGMKVNTVRDAFEKSLRARLVKTNPNTDYHCLSTFGSYFTQDIPLPAVMPLYFSSFSM >EOY30060 pep chromosome:Theobroma_cacao_20110822:9:3238871:3241785:-1 gene:TCM_037401 transcript:EOY30060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein isoform 1 MRSNWLLFMDLDGGGSPYIFAMEPFVSNGLGAHLFSQFSSLVDSSLYHSKHMYVPGSLAFREAFGCMSRFAGTLLFWFSSMSTSNLSRDISAGNQRGLKSGSCKSSAKVKHIASCKNYVAGFQFASESSGQSATPLVFGKISSYAMRHFFGEVEALQSFPLLSLAAALIPPFDNLSAKVLAVPLENTEVQTQECMDQRRCDVGLQGCGSLSFLDLNWTRHAVEPRTGIEFPMILDNILDRQNNSSLASEVLVGTGSRTMKIIKIKSLKVYAFGFYIHPYSVCQKLGPKYASIPAGELHKHNDFYQDLLREDIGMTVRLVVNCNGMKVNTVRDAFEKSLRARLVKTNPNTDYHCLSTFGSYFTQDIPLPAGTIIDFQRTADGQLITKIGGNQIGVVHSKDLCRAFFDMYVGDFPVSEQTKEDIGRNVASIIRRC >EOY30064 pep chromosome:Theobroma_cacao_20110822:9:3238461:3241597:-1 gene:TCM_037401 transcript:EOY30064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein isoform 1 MSRFAGTLLFWFSSMSTSNLSRDISAGNQRGLKSGSCKSSAKVKHIASCKNYVAGFQFASESSGQSATPLVFGKISSYAMRHFFGEVEALQSFPLLSLAAALIPPFDNLSAKVLAVPLENTEVQTQECMDQRRCDVGLQGCGSLSFLDLNWTRHAVEPRTGIEFPMILDNILDRQNNSSLASEVLVGTGSRTMKIIKIKSLKVYAFGFYIHPYSVCQKLGPKYASIPAGELHKHNDFYQDLLREDIGMTVRLVVNCNGMKVNTVRDAFEKSLRARLVKTNPNTDYHCLSTFGSYFTQDIPLPAGTIIDFQRTADGQLITKIGGNQIGVVHSKDLCSK >EOY33751 pep chromosome:Theobroma_cacao_20110822:9:38893612:38898466:-1 gene:TCM_041646 transcript:EOY33751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate synthase component I-2 isoform 2 MATTTETLAAVTPRQLPSTPLCPSTTVSVNFNARLSGYRSRSLLLLSSTSRIRTLKCSALSSPSSLVDQSVKFREASKNGNLVPLFRCIFSDHLTPVIAYRCLVKEDDRDAPSFLFESVEPGWQTSSIGRYSVVGAQPSIEIVAKGNMVTILNHEEGCRTEEIVEDPMIVPRRIMEGWEPQRIDELPEVFCGGWVGYFSYDTVRYVEKKKLPFSSAPLDDRNLPDVHLGLYGDVIVFDHVEKKAFVIHWVRLDQYSSVDEAYNDGMKRLESLVSRVHDIDPPKLPAGAIKLYTRLFGPKLEISSMTSEAYKEAVLQAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMTYLQARGCILVASSPEILTRVKKGKVTNRPLAGTIRRGKTPKEDLMLEKELLADEKECAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVTGELLDDLSSWDALRAALPVGTVSGAPKVKAMELIDRLEATRRGPYSGGFGGISFSGDMDVALALRTIVFPSTTRFDTMYSYKDVNKRREWVAHLQAGAGIVADSVPADEQRECENKAAALARAIDLAESSFVEK >EOY33756 pep chromosome:Theobroma_cacao_20110822:9:38894006:38898374:-1 gene:TCM_041646 transcript:EOY33756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate synthase component I-2 isoform 2 MATTTETLAAVTPRQLPSTPLCPSTTVSVNFNARLSGYRSRSLLLLSSTSRIRTLKCSALSSPSSLVDQSVKFREASKNGNLVPLFRCIFSDHLTPVIAYRCLVKEDDRDAPSFLFESVEPGWQTSSIGRYSVVGAQPSIEIVAKGNMVTILNHEEGCRTEEIVEDPMIVPRRIMEGWEPQRIDELPEVFCGGWVGYFSYDTVRYVEKKKLPFSSAPLDDRNLPDVHLGLYGDVIVFDHVEKKAFVIHWVRLDQYSSVDEAYNDGMKRLESLVSRVHDIDPPKLPAGAIKLYTRLFGPKLEISSMTSEAYKEAVLQAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMTYLQARGCILVASSPEILTRVKKGKVTNRPLAGTIRRGKTPKEDLMLEKELLADEKECAEHIMLVDLGRNVGGISFSGDMDVALALRTIVFPSTTRFDTMYSYKDVNKRREWVAHLQAGAGIVADSVPADEQRECENKAAALARAIDLAESSFVEK >EOY33754 pep chromosome:Theobroma_cacao_20110822:9:38894397:38898374:-1 gene:TCM_041646 transcript:EOY33754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate synthase component I-2 isoform 2 MATTTETLAAVTPRQLPSTPLCPSTTVSVNFNARLSGYRSRSLLLLSSTSRIRTLKCSALSSPSSLVDQSVKFREASKNGNLVPLFRCIFSDHLTPVIAYRCLVKEDDRDAPSFLFESVEPGWQTSSIGRYSVVGAQPSIEIVAKGNMVTILNHEEGCRTEEIVEDPMIVPRRIMEGWEPQRIDELPEVFCGGWVGYFSYDTVRYVEKKKLPFSSAPLDDRNLPDVHLGLYGDVIVFDHVEKKAFVIHWVRLDQYSSVDEAYNDGMKRLESLVSRVHDIDPPKLPAGAIKLYTRLFGPKLEISSMTSEAYKEAVLQAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMTYLQARGCILVASSPEILTRVKKGKVTNRPLAGTIRRGKTPKEDLMLEKELLADEKECAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVTGELLDDLSSWDALRAALPVGTVSGAPKVFLVTISSNGFPFTGLS >EOY33752 pep chromosome:Theobroma_cacao_20110822:9:38894371:38898374:-1 gene:TCM_041646 transcript:EOY33752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate synthase component I-2 isoform 2 MATTTETLAAVTPRQLPSTPLCPSTTVSVNFNARLSGYRSRSLLLLSSTSRIRTLKCSALSSPSSLVDQSVKFREASKNGNLVPLFRCIFSDHLTPVIAYRCLVKEDDRDAPSFLFESVEPGWQTSSIGRYSVVGAQPSIEIVAKGNMVTILNHEEGCRTEEIVEDPMIVPRRIMEGWEPQRIDELPEVFCGGWVGYFSYDTVRYVEKKKLPFSSAPLDDRNLPDVHLGLYGDVIVFDHVEKKAFVIHWVRLDQYSSVDEAYNDGMKRLESLVSRVHDIDPPKLPAGAIKLYTRLFGPKLEISSMTSEAYKEAVLQAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMTYLQARGCILVASSPEILTRVKKGKVTNRPLAGTIRRGKTPKEDLMLEKELLADEKECAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVCHGGVTRRFK >EOY33755 pep chromosome:Theobroma_cacao_20110822:9:38894371:38898374:-1 gene:TCM_041646 transcript:EOY33755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate synthase component I-2 isoform 2 MATTTETLAAVTPRQLPSTPLCPSTTVSVNFNARLSGYRSRSLLLLSSTSRIRTLKCSALSSPSSLVDQSVKFREASKNGNLVPLFRCIFSDHLTPVIAYRCLVKEDDRDAPSFLFESVEPGWQTSSIGRYSVVGAQPSIEIVAKGNMVTILNHEEGCRTEEIVEDPMIVPRRIMEGWEPQRIDELPEVFCGGWVGYFSYDTVRYVEKKKLPFSSAPLDDRNLPDVHLGLYGDVIVFDHVEKKAFVIHWVRLDQYSSVDEAYNDGMKRLESLVSRVHDIDPPKLPAGAIKLYTRLFGPKLEISSMTSEAYKEAVLQAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMTYLQARGCILVASSPEILTRVKKGKVTNRPLAGTIRRGKTPKEDLMLEKELLADEKECAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVCHGGVTRRFK >EOY33753 pep chromosome:Theobroma_cacao_20110822:9:38894888:38898172:-1 gene:TCM_041646 transcript:EOY33753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate synthase component I-2 isoform 2 MATTTETLAAVTPRQLPSTPLCPSTTVSVNFNARLSGYRSRSLLLLSSTSRIRTLKCSALSSPSSLVDQSVKFREASKNGNLVPLFRCIFSDHLTPVIAYRCLVKEDDRDAPSFLFESVEPGWQTSSIGRYSVVGAQPSIEIVAKGNMVTILNHEEGCRTEEIVEDPMIVPRRIMEGWEPQRIDELPEVFCGGWVGYFSYDTVRYVEKKKLPFSSAPLDDRNLPDVHLGLYGDVIVFDHVEKKAFVIHWVRLDQYSSVDEAYNDGMKRLESLVSRVHDIDPPKLPAGAIKLYTRLFGPKLEISSMTSEAYKEAVLQAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMTYLQARGCILVASSPEILTRVKKGKVTNRPLAGTIRRGKTPKEDLMLEKELLADEKECAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVCSPL >EOY30429 pep chromosome:Theobroma_cacao_20110822:9:4575558:4579488:-1 gene:TCM_037645 transcript:EOY30429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding family protein, putative MDAVFHLDEASRAEFLQLLMHSTGCTYICLWSYIHQANSCLMCWDGCYNEESNQPRALRLFLEYRQLVFPLENDNGLVPGFAFRNNRPYIELGESELQNRIAQQTQRQFYGEAGIKTAVFMGCRSGEIELGSSNVVQLNMEMEMRGFFPEDFSRRSPVGDQLPQPQPTDPNRPSSSSSSLRSLSTGSPDSSLIFTIPSASRPQVPTDATPSSLQAISSSITDQHQQAMQALSQIRSNIQLPTLESEDAAMTRAILAVLTSPSSSSSSTSHQPQQNQNLPYNDYQLNPKASAFKRYAASMLGAPTTPARASLRAQSMQKRAVLFYGKLNLARREQQLRIRPTSNQLHHMMSERKRREKLNESFIALRSLLPSGTKKDKASVLCSAREHLTSLQAHIVELNRRNQLLEAQLLPSREAVGGEVTGSSNERFSVRITPVPESTSEQRIMDLRVSVRGERPIVDVLIHLLQFLKLDRNVSLLSIEANTHITDAGSVNNFNLRLRIEGNGWDEYTFQEAVRRLVADLAQ >EOY31421 pep chromosome:Theobroma_cacao_20110822:9:8742225:8743145:-1 gene:TCM_038362 transcript:EOY31421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCALDILEHGIDLNVTPFKEKAKKEKVLYNQIYTKKDDTRRKPYKENDSSPPFSVPLDIVQVFFHEWIRDGQVYLPYVT >EOY30068 pep chromosome:Theobroma_cacao_20110822:9:3252041:3258318:-1 gene:TCM_037404 transcript:EOY30068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MAPSFLSFASLYGVYLRRCFASSGLTSQSTDIDDETTIHLWAPKPDQTTQERKPVLVLLHGFGPSAIWQWRRQVQFFTPYFQVYVPDLIFFGNSMTKSKERSEVFQAVSVGKLMEKLQVKKYHVMGTSYGGFVAYHMAKMWPDKVEKVVIASSGVNMSKADNMALLKRSNLENIEDFMLPETATQLRTLTRLAVSKRMIAMIPDFFLNDFVNQLYSENRKEKLELLKGVTLVQGDDTVKLAPLQQDVLLVWGDQDQIFPLKMAYELKELLGKQARLEVIPNTSHVPQIENSAQFNNIVKGFLCGSF >EOY30070 pep chromosome:Theobroma_cacao_20110822:9:3252832:3254537:-1 gene:TCM_037404 transcript:EOY30070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MAPSFLSFASLYGVYLRRCFASSGLTSQSTDIDDETTIHLWAPKPDQTTQERKPVLVLLHGFGPSAIWQWRRQVQFFTPYFQVYVPDLIFFGNSMTKSKERSEVFQAVSVGKLMEKLQVKKYHVMGTSYGGFVAYHMAKMWPDKVEKVVIASSGVNMSKADNMALLKRSNLENIEDFMLPETATQLRTLTRLAVSKRMIAMIPDFFLNDFVNQLYSENRKEKLELLKGVTLVQGDDTVKLAPLQQVHPPPFSHNIPNVCKFPCSYQFYQQQPDLVIVSRLKIQISIL >EOY30069 pep chromosome:Theobroma_cacao_20110822:9:3252514:3254622:-1 gene:TCM_037404 transcript:EOY30069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MAPSFLSFASLYGVYLRRCFASSGLTSQSTDIDDETTIHLWAPKPDQTTQERKPVLVLLHGFGPSAIWQWRRQVQFFTPYFQVYVPDLIFFGNSMTKSKERSEVFQAVSVGKLMEKLQVKKYHVMGTSYGGFVAYHMAKMWPDKVEKVVIASSGVNMSKADNMALLKRSNLENIEDFMLPETATQLRTLTRLAVSKRMIAMIPDFFLNDFVNQLYSENRKEKLELLKGVTLVQGDDTVKLAPLQQDVLLVWGDQDQIFPLKMAYELKEYALHPYLDWIHSSNYYFIIINVLYILLSLSC >EOY30071 pep chromosome:Theobroma_cacao_20110822:9:3252104:3258318:-1 gene:TCM_037404 transcript:EOY30071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MAPSFLSFASLYGVYLRRCFASSGLTSQSTDIDDETTIHLWAPKPDQTTQERKPVLVLLHGFGPSAIWQWRRQVQFFTPYFQVYVPDLIFFGNSMTKSKERSEVFQAVSVGKLMEKLQVKKYHVMGTSYGGFVAYHMAKMWPDKVEKVVIASSGVNMSKADNMALLKRSNLENIEDFMLPETATQLRTLTRLAVSKRMIAMIPDFFLNDFVNQLYSENRKEKLELLKGVTLVQGDDTVKLAPLQQTSWEAGEAG >EOY30890 pep chromosome:Theobroma_cacao_20110822:9:6142951:6145043:1 gene:TCM_037940 transcript:EOY30890 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein MASGVQELHFPHLQIPITIDRRIQVMPAAPIPVGPGSSLYLSNLDDMIGARVFTPTVFFYRSKDLISARDPVIKTLCDALARVLVPYYPLSGRLREAKNGKLEVFFGREQGALVVEAHSNMALAELGDLAVPNPAWAPLVYRFPSEEPYKVLDMPLVIAQVTVFCCGGFSLGLRLCHCICDGLGAMQFLGAWAATAKAGALVTNPEPCWDREFFQPRDPPMIKYLHPEFMRIDEGSSLTMSLWRAKPVQKCYRVSPQFQAQLKSLAQPDGMLACSTFDAMAAHVWRSWVKALDVKPLDYELRLTFSVNARPKLKNPPLKEGFYGNVVCLACAMNPVYDLIRGHLSETARLVREARLGVSEEYVRSTVDYVEADRPRRLEFGGKLTITQWTRFSIYESADFGWGRPIYAGPIDLTPTPQVCVFLPEGGADSSGTMVVCICLPESATNKFTEFLCLKDSV >EOY32932 pep chromosome:Theobroma_cacao_20110822:9:34517927:34532870:1 gene:TCM_040948 transcript:EOY32932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family with FYVE zinc finger domain isoform 2 MSRTDRMASDLSRTGPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRSHQRKWRTESRSDGIPSEANSPRTYTRRSSPLNSPFGSNDSLQKDGDHLRLHSPYESPPKNGLDKAFSDVILYAVPPKGFFPPDSASGSVHSLSSGGSDSVHGHMKTMAMDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGVLGGGLHKVGSCGLKMDSLLPKALESAVVLDVQDIACGGQHAALVTKQGEVFSWGEESGGRLGHGVDSDVLHPKLIDALSNTNIERVACGEYHTCAVTLSGDLYTWGDGTYNFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRNSVSIPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVAALVEPNFCQVACGHSLTVALTTSGNVYTMGSPVYGQLGNPQADGKVPIRVEGKLSKSFVEEISCGAYHVAVLTSKTEVYTWGKGANGRLGHGDSDDRNSPTLVEALKDKQVKSFACGTNFTAAICLHKWVSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHACSSKKCLKASMAPNPNKPYRVCDNCFNKLRKAIETDASSQSSVSRRGSINHGTCEFVDKDDKLDSRSRAQLARFSSMESLKQGESRSKRNKKLEFNSSRVSPVPNGGSQWGALNISKSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPKIVVDDAKRTNDSLTQEVVRLRS >EOY32930 pep chromosome:Theobroma_cacao_20110822:9:34517854:34529396:1 gene:TCM_040948 transcript:EOY32930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family with FYVE zinc finger domain isoform 2 MSRTDRMASDLSRTGPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRSHQRKWRTESRSDGIPSEANSPRTYTRRSSPLNSPFGSNDSLQKDGDHLRLHSPYESPPKNGLDKAFSDVILYAVPPKGFFPPDSASGSVHSLSSGGSDSVHGHMKTMAMDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGVLGGGLHKVGSCGLKMDSLLPKALESAVVLDVQDIACGGQHAALVTKQGEVFSWGEESGGRLGHGVDSDVLHPKLIDALSNTNIERVACGEYHTCAVTLSGDLYTWGDGTYNFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRNSVSIPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVAALVEPNFCQVACGHSLTVALTTSGNVYTMGSPVYGQLGNPQADGKVPIRVEGKLSKSFVEEISCGAYHVAVLTSKTEVYTWGKGANGRLGHGDSDDRNSPTLVEALKDKQVKSFACGTNFTAAICLHKWVSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHACSSKKCLKASMAPNPNKPYRVCDNCFNKLRKAIETDASSQSSVSRRGSINHGTCEFVDKDDKLDSRSRAQLARFSSMESLKQGESRSKRNKKLEFNSSRVSPVPNGGSQWGALNISKSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPKIVVDDAKRTNDSLSQEVVRLRAQVENLTRKAQLQEVELERTTKQLKEAITIAEEETAKCKAAKEVIKSLTAQLKDMAERLPVGAARNIKSPSFTSFGSSPASNDVSNVSIDRMNGQIVCQEPDSNVSSSQLLSNGSNTASNRSLGHNKQGHIEPATKSGGRIKEGESRNENEWVEQDEPGVYITLTSLPGGAKDLKRVRFSRKRFSEKQAEQWWAENRARVYEQYNVRMIDKSSVGVGSEDLGH >EOY32931 pep chromosome:Theobroma_cacao_20110822:9:34517927:34534711:1 gene:TCM_040948 transcript:EOY32931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family with FYVE zinc finger domain isoform 2 MSRTDRMASDLSRTGPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRSHQRKWRTESRSDGIPSEANSPRTYTRRSSPLNSPFGSNDSLQKDGDHLRLHSPYESPPKNGLDKAFSDVILYAVPPKGFFPPDSASGSVHSLSSGGSDSVHGHMKTMAMDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGVLGGGLHKVGSCGLKMDSLLPKALESAVVLDVQDIACGGQHAALVTKQGEVFSWGEESGGRLGHGVDSDVLHPKLIDALSNTNIERVACGEYHTCAVTLSGDLYTWGDGTYNFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRNSVSIPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVAALVEPNFCQVACGHSLTVALTTSGNVYTMGSPVYGQLGNPQADGKVPIRVEGKLSKSFVEEISCGAYHVAVLTSKTEVYTWGKGANGRLGHGDSDDRNSPTLVEALKDKQVKSFACGTNFTAAICLHKWVSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHACSSKKCLKASMAPNPNKPYRVCDNCFNKLRKAIETDASSQSSVSRRGSINHGTCEFVDKDDKLDSRSRAQLARFSSMESLKQGESRSKRNKKLEFNSSRVSPVPNGGSQWGALNISKSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPKIVVDDAKRTNDSLTQEVKNLRHKAQLQEVEQERTTKQLKEVMAIAEVETAKCKASHQDTTAQGRSQSL >EOY29550 pep chromosome:Theobroma_cacao_20110822:9:1525677:1530399:-1 gene:TCM_037054 transcript:EOY29550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosol aminopeptidase family protein MVATVVASLATSLLASSVSHSSPSTTLFFTKLRSSSGLRFSFAVAPLCSRRAKFMAHTIARATLGLTQPANIEHPRISFAAKEIDVAEWKGDILAVGVTEKDMTKDENSKFQNSILKKLDGLLGGLLAEVSSEEDFTGKAGQSTVLRLPGLGSKRVGLIGLGQSVLSPAAFRGLGEAVAAAAKTAQASSVAVALASSEGLSNESKLSTASAIASGTVLGVYEDNRYKSESKKPQLKSVDILGLGTGPDLEKKLKYAEDVSSAIIFGRELVNSPANVLTPAALAAEASKIASSYSDVISATILSAEQCKELKMGSYLGVAAASANPPHFIHLCYKPPSGPIKAKLALVGKGLTFDSGGYNIKTGVGCLIELMKTDMGGSAAVLGAAKALGQIKPPGVEVHFIVASCENMISGTGMRPGDIITASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIVDLATLTGACVVALGPSIAGVFTPSDNLAKEVFEASEVSGEKFWRMPLEESYWESMKSGVADMVNTGGRQGGAITAALFLKQFVDEKVQWMHIDLAGPVWNDKKRVATGFGISTLVEWVLKNSS >EOY32028 pep chromosome:Theobroma_cacao_20110822:9:19243169:19246789:1 gene:TCM_039438 transcript:EOY32028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 3 MLRRILLLWCLGHPECGYRQGMHELLAPLLYVLHVDVERLSEVRKLYEDHFIDKFDGLSFEENDVTYNFDFKKFLDSMEDEIGSHSNSKKVKSLDELDPEIQTIVLLSDAYGAEGELGIVLSEKFMEHDAYCMFDALMSGAHGAVAMADFFSPSPAAESHSSLPPIIEASAALYHLLSIVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLQDLLVIWDEIFTADNSQLHRDSEDDESSSFKILNSHRGALISAVAVSMILYLRSSLLATENATSCLQRLLNFPENINLKKIIVKAKSLQILALDSNVSSLSSTFGGAYNCSKSAVVRGHSLSSDSVSPKTPLSLVPDSYWEEKWRVLHKEEELRQNSVGKQTPSGKKRWSEKVKLSLSRTESDPSPARAENCKKGHRSSIRRSLLEDLSRQLGLEEDAEKGGCLGASNSEDDHCIEVLVEGDNCTNKESICAAEERCESGSGTVVSDENSSIFSEPASPGSGTNDHENDTEKSSVASNLFIDENDDHQQSNLEDSPLPVSLPPEDVSLNSLHENESSGKMVSAMKERRHLSGRFQWFWKFGRNNVGEETSDKGGTNEAAKSPNHDCKRNTADSLTAGASRNSSSTSKGDAVDQNVMGTLKNIGQSMLEHIQVIESVFQQDRCQVGSLDNFSKNILVGKGQVTAMTALKELRKISNLLSEM >EOY32027 pep chromosome:Theobroma_cacao_20110822:9:19241696:19246862:1 gene:TCM_039438 transcript:EOY32027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 3 MSKEMVKEEAPSSSGVVSEENRPFGSLRSVQWRINLGILPSSSSSSSSIDDLRRVTADSRRRYAGLRRRLLVDPHVPKDGGSSSPDLVMDNPLSQNPDSTWGRFFRNAELEKMVDQDLSRLYPEHGSYFQTPGCQGMLRRILLLWCLGHPECGYRQGMHELLAPLLYVLHVDVERLSEVRKLYEDHFIDKFDGLSFEENDVTYNFDFKKFLDSMEDEIGSHSNSKKVKSLDELDPEIQTIVLLSDAYGAEGELGIVLSEKFMEHDAYCMFDALMSGAHGAVAMADFFSPSPAAESHSSLPPIIEASAALYHLLSIVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLQDLLVIWDEIFTADNSQLHRDSEDDESSSFKILNSHRGALISAVAVSMILYLRSSLLATENATSCLQRLLNFPENINLKKIIVKAKSLQILALDSNVSSLSSTFGGAYNCSKSAVVRGHSLSSDSVSPKTPLSLVPDSYWEEKWRVLHKEEELRQNSVGKQTPSGKKRWSEKVKLSLSRTESDPSPARAENCKKGHRSSIRRSLLEDLSRQLGLEEDAEKGGCLGASNSEDDHCIEVLVEGDNCTNKESICAAEERCESGSGTVVSDENSSIFSEPASPGSGTNDHENDTEKSSVASNLFIDENDDHQQSNLEDSPLPVSLPPEDVSLNSLHENESSGKMVSAMKERRHLSGRFQWFWKFGRNNVGEETSDKGGTNEAAKSPNHDCKRNTADSLTAGASRNSSSTSKGDAVDQNVMGTLKNIGQSMLEHIQVIESVFQQDRCQVGSLDNFSKNILVGKGQVTAMTALKELRKISNLLSEIV >EOY32026 pep chromosome:Theobroma_cacao_20110822:9:19241511:19247116:1 gene:TCM_039438 transcript:EOY32026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 3 MSKEMVKEEAPSSSGVVSEENRPFGSLRSVQWRINLGILPSSSSSSSSIDDLRRVTADSRRRYAGLRRRLLVDPHVPKDGGSSSPDLVMDNPLSQNPDSTWGRFFRNAELEKMVDQDLSRLYPEHGSYFQTPGCQGMLRRILLLWCLGHPECGYRQGMHELLAPLLYVLHVDVERLSEVRKLYEDHFIDKFDGLSFEENDVTYNFDFKKFLDSMEDEIGSHSNSKKVKSLDELDPEIQTIVLLSDAYGAEGELGIVLSEKFMEHDAYCMFDALMSGAHGAVAMADFFSPSPAAESHSSLPPIIEASAALYHLLSIVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLQDLLVIWDEIFTADNSQLHRDSEDDESSSFKILNSHRGALISAVAVSMILYLRSSLLATENATSCLQRLLNFPENINLKKIIVKAKSLQILALDSNVSSLSSTFGGAYNCSKSAVVRGHSLSSDSVSPKTPLSLVPDSYWEEKWRVLHKEEELRQNSVGKQTPSGKKRWSEKVKLSLSRTESDPSPARAENCKKGHRSSIRRSLLEDLSRQLGLEEDAEKGGCLGASNSEDDHCIEVLVEGDNCTNKESICAAEERCESGSGTVVSDENSSIFSEPASPGSGTNDHENDTEKSSVASNLFIDENDDHQQSNLEDSPLPVSLPPEDVSLNSLHENESSGKMVSAMKERRHLSGRFQWFWKFGRNNVGEETSDKGGTNEAAKSPNHDCKRNTADSLTAGASRNSSSTSKGDAVDQNVMGTLKNIGQSMLEHIQVIESVFQQDRCQVGSLDNFSKNILVGKGQVTAMTALKELRKISNLLSEIV >EOY32029 pep chromosome:Theobroma_cacao_20110822:9:19242114:19246424:1 gene:TCM_039438 transcript:EOY32029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein isoform 3 MDNPLSQNPDSTWGRFFRNAELEKMVDQDLSRLYPEHGSYFQTPGCQGMLRRILLLWCLGHPECGYRQGMHELLAPLLYVLHVDVERLSEVRKLYEDHFIDKFDGLSFEENDVTYNFDFKKFLDSMEDEIGSHSNSKKVKSLDELDPEIQTIVLLSDAYGAEGELGIVLSEKFMEHDAYCMFDALMSGAHGAVAMADFFSPSPAAESHSSLPPIIEASAALYHLLSIVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLQDLLVIWDEIFTADNSQLHRDSEDDESSSFKILNSHRGALISAVAVSMILYLRSSLLATENATSCLQRLLNFPENINLKKIIVKAKSLQILALDSNVSSLSSTFGGAYNCSKSAVVRGHSLSSDSVSPKTPLSLVPDSYWEEKWRVLHKEEELRQNSVGKQTPSGKKRWSEKVKLSLSRTESDPSPARAENCKKGHRSSIRRSLLEDLSRQLGLEEDAEKGGCLGASNSEDDHCIEVLVEGDNCTNKESICAAEERCESGSGTVVSDENSSIFSEPASPGSGTNDHENDTEKSSVASNLFIDENDDHQQSNLEDSPLPVSLPPEDVSLNSLHENESSGKMVSAMKERRHLSGRFQWFWKFGRNNVGEETSDKGGTNEAAKSPNHDCKRQVIESVFQQDRCQVGSLDNFSKNILVGKGQVTAMTALKELRKISNLLSEIV >EOY29259 pep chromosome:Theobroma_cacao_20110822:9:538781:545660:-1 gene:TCM_036850 transcript:EOY29259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor, putative MMRSCTKPIFHLFCVFIFLLEGVLTQNTTTQVNVGVVLDLDSLVGKIGLSCINMALSDFYDTHAHYKTRMVLNIRDSKKGVAAAADAALDLMKNVQVQAIIGPRSSMQANFVINLGNKSQIPIISFSATSPSLTSLQSPYFFLAAQSSSNQVKAISAIVQAFGWREAVPIYVDNQYRESLIPYLTEALQEINTRVPYLSVISALATDDKIAEELYKLMTMQTRVFIVHMTMSLGSRILAKAKEIGMMSEGYVWIMTDAMTNLWRSIDASPIDSMQGVVGVKSYVPKPKKLENFTVRWRRKFQQENPDSINSELNIVGLWAYDTTFALAMAIEKAGTANLHFNKPDISSSSATDLETLGVSQNGPRLIQELSKSKFRGLTGDFYFVNGQLQSSVFQIVNVIGSGERRVGFWTPENGLVRQLDLTNTSPNTSKPKLGPIIWPGDTTLVPKGWETPTSGKKLRIGVPVKGGFSEFLEVVWDPLNQKAKSIKGYCIDVFDAVMENMPYAVPYEYVPFATPDGEPAGSYNDLIDQVYYGNYDAVVGDTTIVANRSLFVDFTLPYTESGVSMIVPIRDNKEKNAWVFLKPLTWDLWVTSGCFFVFIGFVVWILEHRINEDFRGPPAHQIGTSFWFSFSAMVFAHRERVVSNLARFVVIVWCFVVLILIQSYTASLTSLLTVEQLMPTVTDINELLKNRESVGFLDGSFVEGILLGLKFDKVQLKKYNSPEELHELFTKGSANGGISAALDEIPGIKIFLSKYCGKYTTVQPAFKTGGFGFVFPKGSPLVTDVSRAILNVTQGDKMEQIENSWLKEETVCPDVDPSVSSSSLGLESFWGLFLIAGAASISALIIFSARFLYEQRHVLFQFRSETSARRRIRILSRIFDQKDLSSHTFRKSEVRDHASSTCSVHSIDQGRVTRHGDLAPSGSVSPEIFPSPERSSLELANRNDP >EOY32518 pep chromosome:Theobroma_cacao_20110822:9:30835571:30844992:1 gene:TCM_040496 transcript:EOY32518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, putative isoform 2 MAAPPQIAVLGAGIFVKTQYIPRLAEISHLLCLKYIWSRTQESARGAVELAKQHFPGVECKWGDQGLNDIIQDASILGVAVVLAGQAQVDMSLKLLKGGKHVIQEKPAAASTTETETALSSYKSICSNPGQPIWAVAENYRFEPAFVESKKLIADIGDMMNVQIIIEGSMNSSNPYFSSSWRRNFEGGFILDMGVHFIAGLRMMVGCEVTSVSAITSHRDTTLPPPDIISSNFQLENGCSGVFVLVVSSSSPKVIWRVVGLKGTVQVERGKQEGRHGYLVSLYSADGQCKSTFYPFCGVHEELKAFIHDILQATVKEGSGYEAEPRSSFVEGARDVAVLEAMLESGNKGGALVHVKKL >EOY32519 pep chromosome:Theobroma_cacao_20110822:9:30835692:30845277:1 gene:TCM_040496 transcript:EOY32519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, putative isoform 2 MAAPPQIAVLGAGIFVKTQYIPRLAEISHLLCLKYIWSRTQESARGAVELAKQHFPGVECKWGDQGLNDIIQDASILGVAVVLAGQAQVDMSLKLLKGGKHVIQEKPAAASTTETETALSSYKSICSNPGQPIWAVAENYRFEPAFVESKKLIADIGDMMNVQIIIEGSMNSSNPYFSSSWRRNFEMVGCEVTSVSAITSHRDTTLPPPDIISSNFQLENGCSGVFVLVVSSSSPKVIWRVVGLKGTVQVERGKQEGRHGYLVSLYSADGQCKSTFYPFCGVHEELKAFIHDILQATVKVRSDGSGYEAEPRSSFVEGARDVAVLEAMLESGNKGGALVHVKKL >EOY30405 pep chromosome:Theobroma_cacao_20110822:9:4516816:4521818:-1 gene:TCM_037628 transcript:EOY30405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding family protein MKNQKSVFSLTRKWGYKAISCRKERAVKKSGGKLAVQGQRQPLMGLSLSLLLSAWQQILSHRFFGLAYNISLSSKDGEVTLRVNSFKRTDSETMTNSIGIGSDKFQRKNSITLRGCKPDKVLLEKAFSFKNLIQDSQQSGSNASNGLTHKPMPTLSLPKPTILFSPRPVSELDAAAVKLQKVYKSYRTRRNLADCAVVIEELWWKVLDLAELKQSSVSFFDVEKPESAVSRWARAKTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHLYYDIWFSSESTQPFFYWLDVGDGKEVNLDKCPRKKLQQQCITYLGPKEREEYEVIVDNGRLIFRQSGLPVDTAGKSKWIFVLSTTRSLYVGQKEKGKFQHSSFLAGGATTAAGRLVARDGVLEAIWPYSGHYHPTEENFMEFISFLEENHVNLTNVKRCAVDDDYIYGQAPAEEPKPGSVVGSDETRKADVRDGADSIRGATATDDNHQDEKTNTKGANAEAPVFHLAKRLSYKWTTGVGPRIGCVRDYPSELQSKALEQVNLSPRVTPGLVKYGPIPSPRPSPKIHLSPGIASMGLPSPRPIAAAS >EOY31237 pep chromosome:Theobroma_cacao_20110822:9:7674611:7677627:1 gene:TCM_038198 transcript:EOY31237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate cyclase, putative isoform 1 MEVEVKLRLRDAAAHRQLTTILSPFLSKTLHQQNLFFDTSTNTLSSQLSVLRLRFLNNDARCIVSLKSKPTLVDGVSRVEEDEEELDPCIARACVEDPVRLGKIESRVLKRVKDEFGVGEEMGLVCLGGFENKREVFDWKNLKLEVDETKYEFGICYEVECESGDPDGVKKLLEDFLKENGIGYSYSQMTKFAVFRSGKLP >EOY31238 pep chromosome:Theobroma_cacao_20110822:9:7674380:7677493:1 gene:TCM_038198 transcript:EOY31238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate cyclase, putative isoform 1 MEVEVKLRLRDAAAHRQLTTILSPFLSKTLHQQNLFFDTSTNTLSSQLSVLRLRFLNNDARCIVSLKSKPTLVDGVSRVEEDEEELDPCIARACVEDPVRLGKIESRVLKRVKDEFGVGEEMGLVCLGGFENKREVFDWKNLKLEVDETKYEFGICYEVECESGDPDGVKKLLEDFLKENGIGYSYSQMTKFAVFRSGKLP >EOY31373 pep chromosome:Theobroma_cacao_20110822:9:8400900:8405949:1 gene:TCM_038312 transcript:EOY31373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 FLLLNICHFQKLFFSDQSWLSKKRNPLIKWPPPSSSPCNQPHPIPNRTFSQSNFSIISNLLKNSTITSGSSLESALDQTEIDPDPGLLQAIFECFDSSPKLLHHLFLWAEKKPGFKSSATLFDSMVNVLGKARGFEDAWSLVLDRIGDGMEGSTLVSVNTFVILIRRYARAGMPQPAIRTFEFAKSLEQICNSDEETNLFEIMLDSLCKEGHVRVVSEYLTRKRETDLGWVPSIKVYNILLNGWFRSRKLKHAERLWLDMKKEGVLPSVVTYGTLVEGYCTMRRVERAIQLVDEMKGVGIEPNAKVYNPIIDALGEAGRLKEALGMMERVFLCESGPNISMYSSLVKGYCKARDLVGASKILKMMISRGFIPTPTTYNYFFRYFSQFRKIEEAMNLYTKMIESGHTPDRLTYHLLLKMLFEEERLDLAVQISKEMRARGYDRDLATSTMLIHLLCKMHRFEDAFGEFEDMIRRGMAPQYLTFQRMNDELKKRGMTDMASKLCDMMSSVRSSKKLPNTYGGDEDSSRARRTSIMRKAEAMSDMLKTCKDPREFVKHRTLSENAVSSAGRLIEIIKEGATETSMNS >EOY31374 pep chromosome:Theobroma_cacao_20110822:9:8400800:8406784:1 gene:TCM_038312 transcript:EOY31374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MNALFFQRQHRFLLLNICHFQKLFFSDQSWLSKKRNPLIKWPPPSSSPCNQPHPIPNRTFSQSNFSIISNLLKNSTITSGSSLESALDQTEIDPDPGLLQAIFECFDSSPKLLHHLFLWAEKKPGFKSSATLFDSMVNVLGKARGFEDAWSLVLDRIGDGMEGSTLVSVNTFVILIRRYARAGMPQPAIRTFEFAKSLEQICNSDEETNLFEIMLDSLCKEGHVRVVSEYLTRKRETDLGWVPSIKVYNILLNGWFRSRKLKHAERLWLDMKKEGVLPSVVTYGTLVEGYCTMRRVERAIQLVDEMKGVGIEPNAKVYNPIIDALGEAGRLKEALGMMERVFLCESGPNISMYSSLVKGYCKARDLVGASKILKMMISRGFIPTPTTYNYFFRYFSQFRKIEEAMNLYTKMIESGHTPDRLTYHLLLKMLFEEERLDLAVQISKEMRARGYDRDLATSTMLIHLLCKMHRFEDAFGEFEDMIRRGMAPQYLTFQRMNDELKKRGMTDMASKLCDMMSSVRSSKKLPNTYGGDEDSSRARRTSIMRKAEAMSDMLKTCKDPREFVKHRTLSENAVSSAGRLIEIIKEGATETSMNS >EOY31376 pep chromosome:Theobroma_cacao_20110822:9:8400821:8406784:1 gene:TCM_038312 transcript:EOY31376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MNALFFQRQHRFLLLNICHFQKLFFSDQSWLSKKRNPLIKWPPPSSSPCNQPHPIPNRTFSQSNFSIISNLLKNSTITSGSSLESALDQTEIDPDPGLLQAIFECFDSSPKLLHHLFLWAEKKPGFKSSATLFDSMVNVLGKARGFEDAWSLVLDRIGDGMEGSTLVSVNTFVILIRRYARAGMPQPAIRTFEFAKSLEQICNSDEETNLFEIMLDSLCKEGHVRVVSEYLTRKRETDLGWVPSIKVYNILLNGWFRSRKLKHAERLWLDMKKEGVLPSVVTYGTLVEGYCTMRRVERAIQLVDEMKGVGIEPNAKVYNPIIDALGEAGRLKEALGMMERVFLCESGPNISMYSSLVKGYCKARDLVGASKILKMMISRGFIPTPTTYNYFFRYFSQFRKIEEAMNLYTKMIESGHTPDRLTYHLLLKMLFEEERLDLAVQISKEMRARGYDRDLATSTMLIHLLCKMHRFEDAFGEFEDMIRRGMAPQYLTFQRMNDELKKRGMTDMASKLCDMMSSVRSSKKLPNTYGGDEDSSRARRTSIMRKAEAMSDMLKTCKDPREFVKHRTLSENAVSSAGRLIEIIKEGATETSMNS >EOY31377 pep chromosome:Theobroma_cacao_20110822:9:8400973:8405386:1 gene:TCM_038312 transcript:EOY31377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 NPLIKWPPPSSSPCNQPHPIPNRTFSQSNFSIISNLLKNSTITSGSSLESALDQTEIDPDPGLLQAIFECFDSSPKLLHHLFLWAEKKPGFKSSATLFDSMVNVLGKARGFEDAWSLVLDRIGDGMEGSTLVSVNTFVILIRRYARAGMPQPAIRTFEFAKSLEQICNSDEETNLFEIMLDSLCKEGHVRVVSEYLTRKRETDLGWVPSIKVYNILLNGWFRSRKLKHAERLWLDMKKEGVLPSVVTYGTLVEGYCTMRRVERAIQLVDEMKGVGIEPNAKVYNPIIDALGEAGRLKEALGMMERVFLCESGPNISMYSSLVKGYCKARDLVGASKILKMMISRGFIPTPTTYNYFFRYFSQFRKIEEAMNLYTKMIESGHTPDRLTYHLLLKMLFEEERLDLAVQISKEMRARGYDRDLATSTMLIHLLCKMHRFEDAFGEFEDMIRRGMAPQYLTFQRMNDELKKRGMTDMASKLCDMMSSVRSSKKLPNTYGGDEDSSRARRTSIMRKAEAMSDMLKTCKDPREFVKHRTLSENAVSSAGRLIEIIKEGATETSMNS >EOY31375 pep chromosome:Theobroma_cacao_20110822:9:8400973:8405386:1 gene:TCM_038312 transcript:EOY31375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 NPLIKWPPPSSSPCNQPHPIPNRTFSQSNFSIISNLLKNSTITSGSSLESALDQTEIDPDPGLLQAIFECFDSSPKLLHHLFLWAEKKPGFKSSATLFDSMVNVLGKARGFEDAWSLVLDRIGDGMEGSTLVSVNTFVILIRRYARAGMPQPAIRTFEFAKSLEQICNSDEETNLFEIMLDSLCKEGHVRVVSEYLTRKRETDLGWVPSIKVYNILLNGWFRSRKLKHAERLWLDMKKEGVLPSVVTYGTLVEGYCTMRRVERAIQLVDEMKGVGIEPNAKVYNPIIDALGEAGRLKEALGMMERVFLCESGPNISMYSSLVKGYCKARDLVGASKILKMMISRGFIPTPTTYNYFFRYFSQFRKIEEAMNLYTKMIESGHTPDRLTYHLLLKMLFEEERLDLAVQISKEMRARGYDRDLATSTMLIHLLCKMHRFEDAFGEFEDMIRRGMAPQYLTFQRMNDELKKRGMTDMASKLCDMMSSVRSSKKLPNTYGGDEDSSRARRTSIMRKAEAMSDMLKTCKDPREFVKHRTLSENAVSSAGRLIEIIKEGATETSMNS >EOY31372 pep chromosome:Theobroma_cacao_20110822:9:8400800:8406784:1 gene:TCM_038312 transcript:EOY31372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MNALFFQRQHRFLLLNICHFQKLFFSDQSWLSKKRNPLIKWPPPSSSPCNQPHPIPNRTFSQSNFSIISNLLKNSTITSGSSLESALDQTEIDPDPGLLQAIFECFDSSPKLLHHLFLWAEKKPGFKSSATLFDSMVNVLGKARGFEDAWSLVLDRIGDGMEGSTLVSVNTFVILIRRYARAGMPQPAIRTFEFAKSLEQICNSDEETNLFEIMLDSLCKEGHVRVVSEYLTRKRETDLGWVPSIKVYNILLNGWFRSRKLKHAERLWLDMKKEGVLPSVVTYGTLVEGYCTMRRVERAIQLVDEMKGVGIEPNAKVYNPIIDALGEAGRLKEALGMMERVFLCESGPNISMYSSLVKGYCKARDLVGASKILKMMISRGFIPTPTTYNYFFRYFSQFRKIEEAMNLYTKMIESGHTPDRLTYHLLLKMLFEEERLDLAVQISKEMRARGYDRDLATSTMLIHLLCKMHRFEDAFGEFEDMIRRGMAPQYLTFQRMNDELKKRGMTDMASKLCDMMSSVRSSKKLPNTYGGDEDSSRARRTSIMRKAEAMSDMLKTCKDPREFVKHRTLSENAVSSAGRLIEIIKEGATETSMNS >EOY33623 pep chromosome:Theobroma_cacao_20110822:9:38287457:38291916:-1 gene:TCM_041544 transcript:EOY33623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ser/arg-rich protein kinase 4 isoform 1 MAEDKNGDRSEASDYSSEDEGTEDYRRGGYHAVRIGDTFKNGCYVVQSKLGWGHFSTVWLAWDTQRSRYVALKIQKSAQHYTEAAMDEIKILKQIAEGDPDDKKCVVKLLDHFKHSGPNGNHMCMVFEYLGDNLLTLVKYSDYRGVPLHMVKEICHHVLVGLDYLHRELSIIHTDLKPENVLLLSMIDPSRDPRKSGASLVLPTRKDKVVSEAVASKEVKSSNGDLTRNQKKKIRKKAKKAAQGCAGKEASEENETDSKTGGAEDSNADAKSNEASGEEQQNSSVIKDETKSDGINNSNQEKQRCRRGSRATRKKLLAEVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGENYDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVFMEKYDFSEQDATDMADFLIPILDFVPEKRPTAAQCLSHPWISAGPRLLEPSATASKQHTDDSTSEKERKEKDDREAMEAGVGNIAINGEASKCPPRLK >EOY33622 pep chromosome:Theobroma_cacao_20110822:9:38286477:38291816:-1 gene:TCM_041544 transcript:EOY33622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ser/arg-rich protein kinase 4 isoform 1 MAEDKNGDRSEASDYSSEDEGTEDYRRGGYHAVRIGDTFKNGCYVVQSKLGWGHFSTVWLAWDTQRSRYVALKIQKSAQHYTEAAMDEIKILKQIAEGDPDDKKCVVKLLDHFKHSGPNGNHMCMVFEYLGDNLLTLVKYSDYRGVPLHMVKEICHHVLVGLDYLHRELSIIHTDLKPENVLLLSMIDPSRDPRKSGASLVLPTRKDKVVSEAVASKEVKSSNGDLTRNQKKKIRKKAKKAAQGCAGKEASEENETDSKTGGAEDSNADAKSNEASGEEQQNSSVIKDETKSDGINNSNQEKQRCRRGSRATRKKLLAEVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGENYDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVFMEKYDFSEQDATDMADFLIPILDFVPEKRPTAAQCLSHPWISAGPRLLEPSATASKQHTDDSTSEKERKEKDDREAMEAGVGNIAINGEASKCPPRLK >EOY34328 pep chromosome:Theobroma_cacao_20110822:9:40702179:40705421:-1 gene:TCM_042036 transcript:EOY34328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHTPTVWFADNGERLGTYRGHNGAVWSCDVSRDSTRLITGSADQTAKLWNVQTGTQLFTFNFDSPARSVDFAIGDKLAVITTDPFMELTSAIHVKRITRDATEQTGESVLVIKGPQGRINRAIWGPLNSTIISAGEDAVIRIWDSETGKLLREADKESGHKKPITSLAKSADGSHFLTGSLDKSAKLWDVRTLTLIKTYVTERPVNAVALSPLLDHVVLGGGQDASAVTTTDHRAGKFEAKFYDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDPDYFNIKI >EOY34327 pep chromosome:Theobroma_cacao_20110822:9:40702119:40705437:-1 gene:TCM_042036 transcript:EOY34327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHTPTVWFADNGERLGTYRGHNGAVWSCDVSRDSTRLITGSADQTAKLWNVQTGTQLFTFNFDSPARSVDFAIGDKLAVITTDPFMELTSAIHVKRITRDATEQTGESVLVIKGPQGRINRAIWGPLNSTIISAGEDAVIRIWDSETGKLLREADKESGHKKPITSLAKSADGSHFLTGSLDKSAKLWDVRTLTLIKTYVTERPVNAVALSPLLDHVVLGGGQDASAVTTTDHRAGKFEAKFYDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDPDYFNIKI >EOY33815 pep chromosome:Theobroma_cacao_20110822:9:39077963:39078636:-1 gene:TCM_041684 transcript:EOY33815 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MSYSRRSRYSHSPSPHKRYSRSVSRSLSRSRSRSRSAEIDVENPGNNLYVTGLSPRITKRELEKHFASEGNVIDVHLVVDPWTRESRGFGFVTMATNEEAERCIKYLNRSVLEGRVITVEKVNDLRISVSS >EOY33813 pep chromosome:Theobroma_cacao_20110822:9:39076000:39079421:-1 gene:TCM_041684 transcript:EOY33813 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MSYSRRSRYSHSPSPHKRYSRSVSRSLSRSRSRSRSAEIDVENPGNNLYVTGLSPRITKRELEKHFASEGNVIDVHLVVDPWTRESRGFGFVTMATNEEAERCIKYLNRSVLEGRVITVEKFLWQQAKRRRGRTPTPGRYLGLRTIRVRHRSRSYSSRRSPSYSPYSRSRSRSPRYSSGRSRSRSYSPPHRSCSRSRSPHSRSPDDCYYRRRDHSYSPDDRYYRRRDRCYSPDDRYYRRWDRSYSPDERYCRRRDRSYSPEDRYGRRHDRSYSPYYSRYDSPADRYHRRHHYRSLSRSPTPPRSRRSYSRSVSPTRRTSSRRSYSRSTSPVERSLRRSYSRSISPPPRKSSRRYRQEGSPDSYSNSRSRSASPTSRSVSRSVTPRSNSSS >EOY33816 pep chromosome:Theobroma_cacao_20110822:9:39076378:39079424:-1 gene:TCM_041684 transcript:EOY33816 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MSYSRRSRYSHSPSPHKRYSRSVSRSLSRSRSRSRSAEIDVENPGNNLYVTGLSPRITKRELEKHFASEGNVIDVHLVVDPWTRESRGFGFVTMATNEEAERCIKYLNRSVLEGRVITVEKAKRRRGRTPTPGRYLGLRTIRVRHRSRSYSSRRSPSYSPYSRSRSRSPRYSSGRSRSRSYSPPHRSCSRSRSPHSRSPDDCYYRRRDHSYSPDDRYYRRRDRCYSPDDRYYRRWDRSYSPDERYCRRRDRSYSPEDRYGRRHDRSYSPYYSRYDSPADRYHRRHHYRSLSRSPTPPRSRRSYSRSVSPTRRTSSRRSYSRSTSPVERSLRRSYSRSISPPPRKSSRRYRQEGSPDSYSNSRSRSASPTSRSVSRSVTPRSNSSS >EOY33814 pep chromosome:Theobroma_cacao_20110822:9:39077963:39078636:-1 gene:TCM_041684 transcript:EOY33814 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MSYSRRSRYSHSPSPHKRYSRSVSRSLSRSRSRSRSAEIDVENPGNNLYVTGLSPRITKRELEKHFASEGNVIDVHLVVDPWTRESRGFGFVTMATNEEAERCIKYLNRSVLEGRVITVEKVNDLRISVSS >EOY32812 pep chromosome:Theobroma_cacao_20110822:9:33794016:33797089:-1 gene:TCM_040836 transcript:EOY32812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex/cyclosome 11 isoform 1 MAFDGCCSDCKLPGDDCPLIWGACNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >EOY32813 pep chromosome:Theobroma_cacao_20110822:9:33793876:33797250:-1 gene:TCM_040836 transcript:EOY32813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex/cyclosome 11 isoform 1 MAFDGCCSDCKLPGDDCPLIWGACNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >EOY29450 pep chromosome:Theobroma_cacao_20110822:9:1225206:1227410:-1 gene:TCM_036979 transcript:EOY29450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyl transferase 2 isoform 2 MFAAENGLKGDPRLQAISDAIRVVPHFPKQGIMFQDITTLLLDHKAFKDTVDIFVDRYRDMGVSVVAGVEARGFMFGPSIALAIGAKFVPLRKPKKLPGEVIAEAYELEYGTDCLEMHVEAVHPGERAIVIDDLVATGGTLSAAIRLLERVGAEVVECACVIGLREVQVIPSPFQCFPSSCLFHSFRKKKVCDELGDFSVHFVTVGDKDKNFGVLPLFLERQNVIVLTLCFPGKVGLIRCMDLILFL >EOY29449 pep chromosome:Theobroma_cacao_20110822:9:1224817:1227396:-1 gene:TCM_036979 transcript:EOY29449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyl transferase 2 isoform 2 MFAAENGLKGDPRLQAISDAIRVVPHFPKQGIMFQDITTLLLDHKAFKDTVDIFVDRYRDMGVSVVAGVEARGFMFGPSIALAIGAKFVPLRKPKKLPGEVIAEAYELEYGTDCLEMHVEAVHPGERAIVIDDLVATGGTLSAAIRLLERVGAEVVECACVIGLREVQGQRRLDGKPLYILVEPREVDGCY >EOY29186 pep chromosome:Theobroma_cacao_20110822:9:307669:311037:1 gene:TCM_036801 transcript:EOY29186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Selenium binding, putative MAPRKRKVTDGNEGEAKPLGQETLKRVTRSMTKQPGAESSQLAQPNKEKPKAKANASAKEKKVKVAVAVEEAAPEDLTVSEDGSHNKTVVVEHCKQCNSFKTRAVQVKDGLEKGVPGIKVLLNPEKVIFAFNVPVFSVLAIKIFLKSPRRGCFEIREEGGEKFISLLDMKRPFKPMKDLDMEKVISDIIDKIK >EOY34242 pep chromosome:Theobroma_cacao_20110822:9:40446187:40447037:1 gene:TCM_041981 transcript:EOY34242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYNCIYEIYRIVAPHAVGPKRHVKKTFGITLPYLLTAEMLIVGVGGHSHTLPVAQSCALFLVRPIISVKIQDGSDFCCSWSYFPCLSSWEK >EOY30635 pep chromosome:Theobroma_cacao_20110822:9:5216152:5218618:-1 gene:TCM_037771 transcript:EOY30635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMQQHIITGHKLDIGRGHLRPHPPLTRNPQSYSNLTLILDRSSSQPHTMEPILVKTSHTERSPRFLWAATATSAAQTAPN >EOY33451 pep chromosome:Theobroma_cacao_20110822:9:37556708:37557202:1 gene:TCM_041422 transcript:EOY33451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCIRAREESEGSAMGDGIDGDFTLSAGLGFPLECCQHWNGKKLPVVPQLYLCLFFVADLCTFHQDY >EOY33042 pep chromosome:Theobroma_cacao_20110822:9:35061480:35069689:-1 gene:TCM_041048 transcript:EOY33042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 8 isoform 1 MGSRTKILVLVFWLVTATTSFAATVTYDHRAIVIDGKRRVLISGSIHYPRSTPDMWPDLIQKSKDGGLDVIETYVFWNLHEPVRNQYNFEGRNDLVKFIKLVAEAGLYVHLRIGPYACAEWNYGGFPLWLHFIPGIQLRTDNEPFKAEMQRFTAKIVAMMKQENLYASQGGPIILSQIENEYGNIDSSYGAAAKRYIKWAAGMAVSLDTGVPWVMCQQSDAPDPIINTCNGFYCDQFTPNSNKKPKMWTENWTGWFLSFGGAVPYRPVEDIAFAVARFFQRGGTFQNYYMYHGGTNFGRTSGGPFIATSYDYDAPIDEYGHVRQPKWGHLRDVHKAIKLCEEALIATDPTISSLGPNLESAVYKTGSGLCAAFLANVGTQSDATVNFDGSSYHLPAWSVSILPDCKNVVLNTAKINSMTVIPSFMHEPLNINADSTEAIGTSWSWVYEPVGISKADAFKKLGLLEQINTTADKSDYLWYSFSTDIEGDEPFLEDGSQTVLHVESLGHALHAFINGKLAGSGTGNSGNAKVKVDIPVTVGPGKNTIDLLSLTVGLQNYGAFFDLVGAGITGPVKLNGLKNGSSIDLSSQQWMYQVGLKGEDLGLPSGSSSQWISKSTLPKNQPLIWYKTNFDAPAGNDPIALDFTGMGKGEAWVNGQSIGRYWPAYVSRSGGCTDSCNYRGSYNSNKCLKNCGKPSQQLYHVPRSWLQPSGNILVLFEELGGDPTQLAFATRQMGSLCSHVSESHPLPVDMWSSDSKTGRTSSPILSLVCPSPNQVISSIKFASFGTPRGTCGSFSHGRCSSVRALSIVQKACTGSTRCSIGVSTSTFGDPCKGVMKSLAVEVSCT >EOY33041 pep chromosome:Theobroma_cacao_20110822:9:35060986:35069494:-1 gene:TCM_041048 transcript:EOY33041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 8 isoform 1 MGSRTKILVLVFWLVTATTSFAATVTYDHRAIVIDGKRRVLISGSIHYPRSTPDMWPDLIQKSKDGGLDVIETYVFWNLHEPVRNQYNFEGRNDLVKFIKLVAEAGLYVHLRIGPYACAEWNYGGFPLWLHFIPGIQLRTDNEPFKAEMQRFTAKIVAMMKQENLYASQGGPIILSQIENEYGNIDSSYGAAAKRYIKWAAGMAVSLDTGVPWVMCQQSDAPDPIINTCNGFYCDQFTPNSNKKPKMWTENWTGWFLSFGGAVPYRPVEDIAFAVARFFQRGGTFQNYYMYHGGTNFGRTSGGPFIATSYDYDAPIDEYGHVRQPKWGHLRDVHKAIKLCEEALIATDPTISSLGPNLESAVYKTGSGLCAAFLANVGTQSDATVNFDGSSYHLPAWSVSILPDCKNVVLNTAKINSMTVIPSFMHEPLNINADSTEAIGTSWSWVYEPVGISKADAFKKLGLLEQINTTADKSDYLWYSFSTDIEGDEPFLEDGSQTVLHVESLGHALHAFINGKLAGSGTGNSGNAKVKVDIPVTVGPGKNTIDLLSLTVGLQNYGAFFDLVGAGITGPVKLNGLKNGSSIDLSSQQWMYQVGLKGEDLGLPSGSSSQWISKSTLPKNQPLIWYKTNFDAPAGNDPIALDFTGMGKGEAWVNGQSIGRYWPAYVSRSGGCTDSCNYRGSYNSNKCLKNCGKPSQQLYHVPRSWLQPSGNILVLFEELGGDPTQLAFATRQMGSLCSHVSESHPLPVDMWSSDSKTGRTSSPILSLVCPSPNQVISSIKFASFGTPRGTCGSFSHGRCSSVRALSIVQKACTGSTRCSIGVSTSTFGDPCKGVMKSLAVEVSCT >EOY33043 pep chromosome:Theobroma_cacao_20110822:9:35061144:35069511:-1 gene:TCM_041048 transcript:EOY33043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 8 isoform 1 MGSRTKILVLVFWLVTATTSFAATVTYDHRAIVIDGKRRVLISGSIHYPRSTPDMWPDLIQKSKDGGLDVIETYVFWNLHEPVRNQYNFEGRNDLVKFIKLVAEAGLYVHLRIGPYACAEWNYGGFPLWLHFIPGIQLRTDNEPFKAEMQRFTAKIVAMMKQENLYASQGGPIILSQIENEYGNIDSSYGAAAKRYIKWAAGMAVSLDTGVPWVMCQQSDAPDPIINTCNGFYCDQFTPNSNKKPKMWTENWTGWFLSFGGAVPYRPVEDIAFAVARFFQRGGTFQNYYMYHGGTNFGRTSGGPFIATSYDYDAPIDEYGHVRQPKWGHLRDVHKAIKLCEEALIATDPTISSLGPNLESAVYKTGSGLCAAFLANVGTQSDATVNFDGSSYHLPAWSVSILPDCKNVVLNTAKINSMTVIPSFMHEPLNINADSTEAIGTSWSWVYEPVGISKADAFKKLGLLEQINTTADKSDYLWYSFSTDIEGDEPFLEDGSQTVLHVESLGHALHAFINGKLAGSGTGNSGNAKVKVDIPVTVGPGKNTIDLLSLTVGLQNYGAFFDLVGAGITGPVKLNGLKNGSSIDLSSQQWMYQTNFDAPAGNDPIALDFTGMGKGEAWVNGQSIGRYWPAYVSRSGGCTDSCNYRGSYNSNKCLKNCGKPSQQLYHVPRSWLQPSGNILVLFEELGGDPTQLAFATRQMGSLCSHVSESHPLPVDMWSSDSKTGRTSSPILSLVCPSPNQVISSIKFASFGTPRGTCGSFSHGRCSSVRALSIVQKACTGSTRCSIGVSTSTFGDPCKGVMKSLAVEVSCT >EOY33040 pep chromosome:Theobroma_cacao_20110822:9:35061796:35068926:-1 gene:TCM_041048 transcript:EOY33040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 8 isoform 1 MGSRTKILVLVFWLVTATTSFAATVTYDHRAIVIDGKRRVLISGSIHYPRSTPDMWPDLIQKSKDGGLDVIETYVFWNLHEPVRNQYNFEGRNDLVKFIKLVAEAGLYVHLRIGPYACAEWNYGGFPLWLHFIPGIQLRTDNEPFKAEMQRFTAKIVAMMKQENLYASQGGPIILSQIENEYGNIDSSYGAAAKRYIKWAAGMAVSLDTGVPWVMCQQSDAPDPIINTCNGFYCDQFTPNSNKKPKMWTENWTGWFLSFGGAVPYRPVEDIAFAVARFFQRGGTFQNYYMYHGGTNFGRTSGGPFIATSYDYDAPIDEYGHVRQPKWGHLRDVHKAIKLCEEALIATDPTISSLGPNLESAVYKTGSGLCAAFLANVGTQSDATVNFDGSSYHLPAWSVSILPDCKNVVLNTAKINSMTVIPSFMHEPLNINADSTEAIGTSWSWVYEPVGISKADAFKKLGLLEQINTTADKSDYLWYSFSTDIEGDEPFLEDGSQTVLHVESLGHALHAFINGKLAGSGTGNSGNAKVKVDIPVTVGPGKNTIDLLSLTVGLQNYGAFFDLVGAGITGPVKLNGLKNGSSIDLSSQQWMYQVGLKGEDLGLPSGSSSQWISKSTLPKNQPLIWYKTNFDAPAGNDPIALDFTGMGKGEAWVNGQSIGRYWPAYVSRSGGCTDSCNYRGSYNSNKCLKNCGKPSQQLYHVPRSWLQPSGNILVLFEELGGDPTQLAFATRQMGSLCSHVSESHPLPVDMWSSDSKTGRTSSPILSLVCPSPNQVISSIKFASFGTPRGTCGSFSHGRCSSVRALSIVQKACTGSTRCSIGVSTSTFGDPCKGVMKSLAVEVSCT >EOY32559 pep chromosome:Theobroma_cacao_20110822:9:31447251:31458597:-1 gene:TCM_040552 transcript:EOY32559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MTLRLYIAKVMIHCHGLTEDESKHLLHLYLRQQLDVVRWNLANQDEVVACTSVKSNEVLIFDIGYISSKPVEVLRTRRSLSVLGSEVYKGLCDIAFTATDDSRLIASDTHGIVNIWDRRKSVLPCLELITGSCSTLNTIQPHVENQTIFGASKDGNIYMWDLRGGRTAAAFQCHNEAGHPPLISLKLATMLEKIGSLKAQSDIVPKEIHSIDLDPSCPYQLAFHLDDGWSGVLDIYNLRVTHVHCPPPAWLNGASISADLLYLRKPSWLPTSSIYAVGSSSDSGIHILDFYPDTSSPSHVDYKEDLQSLSKMNYQRKQNIFVPLSEGVTTCASHPLNGTIVAGTKHSSLLVVSQPRQSF >EOY32560 pep chromosome:Theobroma_cacao_20110822:9:31446590:31461348:-1 gene:TCM_040552 transcript:EOY32560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MQQNNKVQISTKKKLDRLAVSVVFVLMDKFLVPSKPSTESPKPKPRCRPWQRSILELNGKFDPKYRHDLSGLLMHSYSEIGAFPHFYHIDGKPCQTHINRINNAVNSGGQLPFRMQGISAVDFDNKGIYLVSVTKSGCLTVHDFETLYCQSNDSLPRLTEDESKHLLHLYLRQQLDVVRWNLANQDEVACTSVKSNEVLIFDIGYISSKPVEVLRTRRSLSVLGSEVYKGLCDIAFTATDDSRLIASDTHGIVNIWDRRKSVLPCLELITGSCSTLNTIQPHVENQTIFGASKDGNIYMWDLRGGRTAAAFQCHNEAQSDIVPKEIHSIDLDPSCPYQLAFHLDDGWSGVLDIYNLRVTHVHCPPPAWLNGASISADLLYLRKPSWLPTSSIYAVGSSSDSGIHILDFYPDTSSPSHVDYKEDLQSLSKMNYQRKQNIFVPLSEGVTTCASHPLNGTIVAGTKHSSLLVVSQPRQSF >EOY32558 pep chromosome:Theobroma_cacao_20110822:9:31446953:31461306:-1 gene:TCM_040552 transcript:EOY32558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 1 MQQNNKVQISTKKKLDRLAVSVVFVLMDKFLVPSKPSTESPKPKPRCRPWQRSILELNGKFDPKYRHDLSGLLMHSYSEIGAFPHFYHIDGKPCQTHINRINNAVNSGGQLPFRMQGISAVDFDNKGIYLVSVTKSGCLTVHDFETLYCQSNDSLPRLTEDESKHLLHLYLRQQLDVVRWNLANQDEVACTSVKSNEVLIFDIGYISSKPVEVLRTRRSLSVLGSEVYKGLCDIAFTATDDSRLIASDTHGIVNIWDRRKSVLPCLELITGSCSTLNTIQPHVENQTIFGASKDGNIYMWDLRGGRTAAAFQCHNEAGHPPLISLKLATMLEKIGSLKAQSDIVPKEIHSIDLDPSCPYQLAFHLDDGWSGVLDIYNLRVTHVHCPPPAWLNGASISADLLYLRKPSWLPTSSIYAVGSSSDSGIHILDFYPDTSSPSHVDYKEDLQSLSKMNYQRKQNIFVPLSEGVTTCASHPLNGTIVAGTKHSSLLVVSQPRQSF >EOY34412 pep chromosome:Theobroma_cacao_20110822:9:40988384:40990478:-1 gene:TCM_042093 transcript:EOY34412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase 7 MAKLPTQLLACIFSIILVLQYSCPATSREVEYESEFDYNENSARGPARWGEIHPEWRACSNGSMQSPIDMSNERVNIVSHLGRLKRSYKPCNATLRNRGHDMMLRWDGDAGAIEINGTEYALKQCHWHSPSEHTINGRRYDLEVHLVHESADDKVAVIGIMYKIGRPDSFLSSLLDHLASITDITEGESVAGVIDPRHIKIGSRKYYRYIGSLTVPPCDENVVWSIVRKVRTVTREQVRLLRVAVHDASDTNARPLQPINKRSVQLFRPDDEEEH >EOY30072 pep chromosome:Theobroma_cacao_20110822:9:3259624:3261839:-1 gene:TCM_037405 transcript:EOY30072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVARVAVVGGGSYHKKIEQVAYDLIENQTKTLIIASLGLSYSLDISIDGHKSLIDAKNIWHICIRGSVNDKFSR >EOY32887 pep chromosome:Theobroma_cacao_20110822:9:34251435:34273934:1 gene:TCM_040905 transcript:EOY32887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase activation protein with PH domain isoform 3 MGHNGIFRNDTSDTIEGSFHQWRDKRTVKSLVVGRPILLALEDIDGSPSFLEKALRFLEKFGTKVEGILRQSADVEEVDHRVQEYEQGKTEFGSDEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARISAMRSAILETFPEPNRRLLQRILKMMHTISSHAHENRMTPSAVAACMAPLLLRPLLAGECELEDDFDVNGDNSAQLLAAANAANNAQAIITTLLEEYENIFDDENLQRCSISADSRVENSVSEDSTDDENPDMKDNGYHDAENEADPDTDDEPERVLSGKLSESSGYAGSDLYDYKAFGDDGSDVGSPRDNHTQAESSGLTVGPLQMRDPDAQLEEQGKQNKGNENPNNEIDVSSVLPTSESYRSMGEILSSMDPGHPISMPGVESSTEKPVGKAKGSSLNAKRSTFWGRSNARKTPSMESVDSSGEEELAIQRLEVAKNELQHRIAKETRGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSSRGMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDACDRYQHVQNHNSQQRFLQQDFDTTLAFCNHERKQRTEENLLGADWRNVKGQGLAVGNSSRQPIRKQFMDSTSLSDSKSTEASANVTMDELCVVDSASIPSTSRAAEVIDYPRHPSAASSALVELTTRLDFFKERRSQLMEQLHNLDLNYDIVKIPVTMSIVGGAWRSPTTPFPRLNVRSLS >EOY32886 pep chromosome:Theobroma_cacao_20110822:9:34248958:34272546:1 gene:TCM_040905 transcript:EOY32886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase activation protein with PH domain isoform 3 MSASLAAFERPRGGASNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALAQAPSAALVMGHNGIFRNDTSDTIEGSFHQWRDKRTVKSLVVGRPILLALEDIDGSPSFLEKALRFLEKFGTKVEGILRQSADVEEVDHRVQEYEQGKTEFGSDEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARISAMRSAILETFPEPNRRLLQRILKMMHTISSHAHENRMTPSAVAACMAPLLLRPLLAGECELEDDFDVNGDNSAQLLAAANAANNAQAIITTLLEEYENIFDDENLQRCSISADSRVENSVSEDSTDDENPDMKDNGYHDAENEADPDTDDEPERVLSGKLSESSGYAGSDLYDYKAFGDDGSDVGSPRDNHTQAESSGLTVGPLQMRDPDAQLEEQGKQNKGNENPNNEIDVSSVLPTSESYRSMGEILSSMDPGHPISMPGVESSTEKPVGKAKGSSLNAKRSTFWGRSNARKTPSMESVDSSGEEELAIQRLEVAKNELQHRIAKETRGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSSRGMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDACDRYQHVQNHNSQQRFLQQDFDTTLAFCNHERKQRTEENLLGADWRNVKGQGLAVGNSSRQPIRKQFMDSTSLSDSKSTEASANVTMDELCVVDSASIPSTSRAAEVIDYPRHPSAASSALVELTTRLDFFKERRSQLMEQLHNLDLNYDIVKIPVTMSIVGGAWRYVFVRMSLKRKHFSHFEHYCMIISANI >EOY32884 pep chromosome:Theobroma_cacao_20110822:9:34248867:34273151:1 gene:TCM_040905 transcript:EOY32884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase activation protein with PH domain isoform 3 MSASLAAFERPRGGASNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALAQAPSAALVMGHNGIFRNDTSDTIEGSFHQWRDKRTVKSLVVGRPILLALEDIDGSPSFLEKALRFLEKFGTKVEGILRQSADVEEVDHRVQEYEQGKTEFGSDEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARISAMRSAILETFPEPNRRLLQRILKMMHTISSHAHENRMTPSAVAACMAPLLLRPLLAGECELEDDFDVNGDNSAQLLAAANAANNAQAIITTLLEEYENIFDDENLQRCSISADSRVENSVSEDSTDDENPDMKDNGYHDAENEADPDTDDEPERVLSGKLSESSGYAGSDLYDYKAFGDDGSDVGSPRDNHTQAESSGLTVGPLQMRDPDAQLEEQGKQNKGNENPNNEIDVSSVLPTSESYRSMGEILSSMDPGHPISMPGVESSTEKPVGKAKGSSLNAKRSTFWGRSNARKTPSMESVDSSGEEELAIQRLEVAKNELQHRIAKETRGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSSRGMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDACDRYQHVQNHNSQQRFLQQDFDTTLAFCNHERKQRTEENLLGADWRNVKGQGLAVGNSSRQPIRKQFMDSTSLSDSKSTEASANVTMDELCVVDSASIPSTSRAAEVIDYPRHPSAASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTSSQDFVYRPSSPPWN >EOY32885 pep chromosome:Theobroma_cacao_20110822:9:34248867:34273941:1 gene:TCM_040905 transcript:EOY32885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase activation protein with PH domain isoform 3 MSASLAAFERPRGGASNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALAQAPSAALVMGHNGIFRNDTSDTIEGSFHQWRDKRTVKSLVVGRPILLALEDIDGSPSFLEKALRFLEKFGTKVEGILRQSADVEEVDHRVQEYEQGKTEFGSDEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARISAMRSAILETFPEPNRRLLQRILKMMHTISSHAHENRMTPSAVAACMAPLLLRPLLAGECELEDDFDVNGDNSAQLLAAANAANNAQAIITTLLEEYENIFDDENLQRCSISADSRVENSVSEDSTDDENPDMKDNGYHDAENEADPDTDDEPERVLSGKLSESSGYAGSDLYDYKAFGDDGSDVGSPRDNHTQAESSGLTVGPLQMRDPDAQLEEQGKQNKGNENPNNEIDVSSVLPTSESYRSMGEILSSMDPGHPISMPGVESSTEKPVGKAKGSSLNAKRSTFWGRSNARKTPSMESVDSSGEEELAIQRLEVAKNELQHRIAKETRGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSSRGMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDACDRYQHVQNHNSQQRFLQQDFDTTLAFCNHERKQRTEENLLGADWRNVKGQGLAVGNSSRQPIRKQFMDSTSLSDSKSTEASANVTMDELCVVDSASIPSTSRAAEVIDYPRHPSAASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTSSQDFVYRPSSPPWN >EOY32169 pep chromosome:Theobroma_cacao_20110822:9:22626105:22656794:-1 gene:TCM_039751 transcript:EOY32169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKHVIHNEFGKINLAIVFDVEKEPKILLGNRGVVGAVKADGVSDNEVEDTIKETEPRATRDASIVKWRINKGRAKVAIIKNISKIILKGFNLKDAINKCTGDKNTFAHDMSDKPIVMGD >EOY31026 pep chromosome:Theobroma_cacao_20110822:9:6706666:6709816:1 gene:TCM_038052 transcript:EOY31026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding, putative isoform 2 MHQGFSKITDPATRQELPYPLSSPTQSLEVKMLRPLFAKSTGKHAILSFVQFNPHREMHSRNKKAMELIAKGWSALKEVDRVIDYCERNDKRLIPLLRAAKENFELALEADNSNTHARYWLSKLHLKYHVPGACKAIGAALLVEAADMGDPDAQYELGCRLRIENDYVQSDQQAFYYLEKAVDQLHPGALYLLGAVYLTGDCVKKDIASALWCFHRASEKGHAGAAIAYGTLLLRGVQVPECLTKLSSKRGSAAKRARKNVESLSSDPAEMAKEQFQVAAQAGCDLGLKWLQRLEEEEKRLLRESSSTDNVSQAKPAFL >EOY31027 pep chromosome:Theobroma_cacao_20110822:9:6706596:6709824:1 gene:TCM_038052 transcript:EOY31027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding, putative isoform 2 MHQGFSKITDPATRQELPYPLSSPTQSLEVKMLRPLFAKSTGKHAILSFVQFNPHREMHSRNKKAMELIAKGWSALKEVDRVIDYCERNDKRLIPLLRAAKENFELALEADNSNTHARYWLSKLHLKYHVPGACKAIGAALLVEAADMGDPDAQYELGCRLRIEVQVPECLTKLSSKRGSAAKRARKNVESLSSDPAEMAKEQFQVAAQAGCDLGLKWLQRLEEEEKRLLRESSSTDNVSQAKPAFL >EOY34397 pep chromosome:Theobroma_cacao_20110822:9:40900826:40905069:-1 gene:TCM_042079 transcript:EOY34397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase family protein isoform 1 MEVEMDMEMKQSKFKSICVFCGSSPGKKSSYREATIELGRELVSRNIDLVYGGGSIGLMGLISQAVFDGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMARQSDAFIALPGWYKTPKVLLFINLSMGTLRLGAFSWTTSVGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFICPNARHIIVSAPTAKELVKKMEEYEPQHERVASKLSWEMEQLGYPAKCEISR >EOY34398 pep chromosome:Theobroma_cacao_20110822:9:40900899:40905122:-1 gene:TCM_042079 transcript:EOY34398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase family protein isoform 1 MEVEMDMEMKQSKFKSICVFCGSSPGKKSSYREATIELGRELVSRNIDLVYGGGSIGLMGLISQAVFDGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFICPNARHIIVSAPTAKELVKKMEEYEPQHERVASKLSWEMEQLGYPAKCEISR >EOY33981 pep chromosome:Theobroma_cacao_20110822:9:39548500:39549693:1 gene:TCM_041798 transcript:EOY33981 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZRT/IRT-like protein 2 isoform 1 MAIISSSAKLKSKSLFIFLLLLQFSVTKGHGGDDHEDEGDHANLHEKGIILVKIWCLIILLVSTFAGGVSPYFYRWNESFLLLGTQFAGGVFLATSLIHFLSDANETFGKLTTKTYPFAFMLASAGYLLTMLGDCIVTYVTSSGEREARVEMEEGRTADEEHSKDVGMDANPVFLRTTSLGDTVLLILALCFHSVFEGIAVGVADTKADAWRNLWTISLHKIFAAIAMGIALLRMIPKRPFLLTCGYSFAFAVSSPIGVGIGIAIDATTQGHVADWIYAISMGLACGVFIYVAINHLIAKGFKPQAKCYFDTPYFKFLAVLLGVAVIAVVMIWD >EOY33982 pep chromosome:Theobroma_cacao_20110822:9:39547810:39550053:1 gene:TCM_041798 transcript:EOY33982 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZRT/IRT-like protein 2 isoform 1 YYYKLCKVKAVLQTKKRRANPGHGGDDHEDEGDHANLHEKGIILVKIWCLIILLVSTFAGGVSPYFYRWNESFLLLGTQFAGGVFLATSLIHFLSDANETFGKLTTKTYPFAFMLASAGYLLTMLGDCIVTYVTSSGEREARVEMEEGRTADEEHSKDVGMDANPVFLRTTSLGDTVLLILALCFHSVFEGIAVGVADTKADAWRNLWTISLHKIFAAIAMGIALLRMIPKRPFLLTCGYSFAFAVSSPIGVGIGIAIDATTQGHVADWIYAISMGLACGVFIYVAINHLIAKGFKPQAKCYFDTPYFKFLAVLLGVAVIAVVMIWD >EOY30033 pep chromosome:Theobroma_cacao_20110822:9:3132889:3136113:1 gene:TCM_037382 transcript:EOY30033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELWKDSASSCWWVMAMVATVCYAQKLGEVFEAARNQKAPSYKLLHNDDMKEMLGVSTLEGGKGAIRRRLITTAFHLDKLKVLPLLHMRAPNLQLYFRTCKYVGPQFRILPAGVIAQTACAIRYEEGKKKFKLQKEQAVLVLELFYCTYIPGFLVIKPETFAKGVSKGIKESNRLLFIWLGYQILSRPKLRNDRGKDGTSYFWFELSLSSASTWYSHFLHQSLTSLRGKE >EOY31287 pep chromosome:Theobroma_cacao_20110822:9:8005332:8009001:1 gene:TCM_038248 transcript:EOY31287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MQSKTVSLAPGFTLLPTLKPPHKTLIFHQILIFILTFLAYASFHASRKPPSIVKSILGPTIQSNSSSTDAGWAPFNGPEGTHRLGELDLAFLSSYAIGMYFAGHVGDRIDLRLFLVFGMMGSGLFTIIFGFGYWFDVHLLAYFMGVQVVCGVFQSIGWPCVVAVVGNWFGKDKRGLIMGVWSSHTSVGNIIGSVVASGVLEFGWGWSFLVPGVLVIVVGVLVFCFLVVSPDALGFEMMESGKEVEMNVEGESVGNLEKIESEEAGLLENEESDSLAAIGFLEAWRLPGVAPFAFCLFFSKLVAYTFLYWLPFYVRHTAVAGVHLSHKTAGILSTLFDIGGVFGGVMAGFISDIIEARAVTSVTFLLLSIPALILYRVYGSVSMVSNITLMFLSGLLVNGPYSLITTAVAADLGTQDLIKGNSRALATVTAIIDGTGSVGAALGPLLAGYISTRGWNSVFLMLIVAIFFASLFLIRVAKSEIERVNEGKGLWSGVTAR >EOY31286 pep chromosome:Theobroma_cacao_20110822:9:8005215:8009088:1 gene:TCM_038248 transcript:EOY31286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MQSKTVSLAPGFTLLPTLKPPHKTLIFHQILIFILTFLAYASFHASRKPPSIVKSILGPTIQSNSSSTDAGWAPFNGPEGTHRLGELDLAFLSSYAIGMYFAGHVGDRIDLRLFLVFGMMGSGLFTIIFGFGYWFDVHLLAYFMGVQVVCGVFQSIGWPCVVAVVGNWFGKDKRGLIMGVWSSHTSVGNIIGSVVASGVLEFGWGWSFLVPGVLVIVVGVLVFCFLVVSPDALGFEMMESGKEVEMNVEGESVGNLEKIESEEAGLLENEESDSLAAIGFLEAWRLPGVAPFAFCLFFSKLVAYTFLYWLPFYVRHTAVAGVHLSHKTAGILSTLFDIGGVFGGVMAGFISDIIEARAVTSVTFLLLSIPALILYRVYGSVSMVSNITLMFLSGLLVNGPYSLITTAVAADLGTQDLIKGNSRALATVTAIIDGTGSVGAALGPLLAGYISTRGWNSVFLMLIVAIFFASLFLIRVAKSEIERVNEGKGLWSGVTAR >EOY31872 pep chromosome:Theobroma_cacao_20110822:9:16934341:16940886:-1 gene:TCM_039204 transcript:EOY31872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A, subunit RPA32, putative isoform 1 MKQLKDLSRGGESGISIDGVDVNNIVLVGMVSKIDNAVSDCTFRVDDGTGWVECTKWIHEPVDSAEVDAISVGMYVRVYGQLKSIQSRRTLHTFSIRPLTDFNEIVNHFAECIYVHLYNTKLRGGVTTQPQVTNSVVSNPIKGYQTNLSNQLSGQYNTDEQQILGVSSMVLNYLRRPACLASETGVRSDVVARELNISLDKIRTTLEYLSSEGLVYTTTDDHYKFTDA >EOY31874 pep chromosome:Theobroma_cacao_20110822:9:16934315:16940010:-1 gene:TCM_039204 transcript:EOY31874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A, subunit RPA32, putative isoform 1 MYVRVYGQLKSIQSRRTLHTFSIRPLTDFNEIVNHFAECIYVHLYNTKLRGGVTTQPQVTNSVVSNPIKGYQTNLSNQLSGQYNTDEQQILGVSSMVLNYLRRPACLASETGVRSDVVARELNISLDKIRTTLEYLSSEGLVYTTTDDHYKFTDA >EOY31873 pep chromosome:Theobroma_cacao_20110822:9:16934331:16941009:-1 gene:TCM_039204 transcript:EOY31873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A, subunit RPA32, putative isoform 1 MQANEFDGNAAFSGGGFMPSQATQTTADRSSFSSSKNSDARCLIPLTVKQLKDLSRGGESGISIDGVDVNNIVLVGMVSKIDNAVSDCTFRVDDGTGWVECTKWVGMYVRVYGQLKSIQSRRTLHTFSIRPLTDFNEIVNHFAECIYVHLYNTKLRGGVTTQPQVTNSVVSNPIKGYQTNLSNQLSGQYNTDEQQILGVSSMVLNYLRRPACLASETGVRSDVVARELNISLDKIRTTLEYLSSEGLVYTTTDDHYKFTDA >EOY31871 pep chromosome:Theobroma_cacao_20110822:9:16934342:16941009:-1 gene:TCM_039204 transcript:EOY31871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A, subunit RPA32, putative isoform 1 MQANEFDGNAAFSGGGFMPSQATQTTADRSSFSSSKNSDARCLIPLTVKQLKDLSRGGESGISIDGVDVNNIVLVGMVSKIDNAVSDCTFRVDDGTGWVECTKWIHEPVDSAEVDAISVGMYVRVYGQLKSIQSRRTLHTFSIRPLTDFNEIVNHFAECIYVHLYNTKLRGGVTTQPQVTNSVVSNPIKGYQTNLSNQLSGQYNTDEQQILGVSSMVLNYLRRPACLASETGVRSDVVARELNISLDKIRTTLEYLSSEGLVYTTTDDHYKFTDA >EOY33165 pep chromosome:Theobroma_cacao_20110822:9:35755315:35757293:1 gene:TCM_041146 transcript:EOY33165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAKSFNEVQKQKRAQRADRKRAIHGDAATKKLKNKSQPLSISGKRQRKLLKKWRREQKKVIEKGLVTMEDVEMVAAEGASQDAGTSQVANKVPTKFHMKKNLKLKRIKRK >EOY33166 pep chromosome:Theobroma_cacao_20110822:9:35756095:35757557:1 gene:TCM_041146 transcript:EOY33166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 ARLNYRRIRVLGFWVHRERKKEREKQMAKSFNEVQKQKRAQRADRKRAIHGDAATKKLKNKSQPLSISGKRQRKLLKKWRREQKKVIEKGLVTMEDVEMVAAEGASQDAGTSQVANKVPTKFHMKKNLKLKRIKRKGKPRVLSKPLKLQ >EOY33164 pep chromosome:Theobroma_cacao_20110822:9:35756099:35757764:1 gene:TCM_041146 transcript:EOY33164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MAKSFNEVQKQKRAQRADRKRAIHGDAATKKLKNKSQPLSISGKRQRKLLKKWRREQKKVIEKGLVTMEDVEMVAAEGASQDAGTSQVANKVPTKFHMKKNLKLKRIKRKGKAKGPKQAIEASVDAMVE >EOY32899 pep chromosome:Theobroma_cacao_20110822:9:34311985:34316670:-1 gene:TCM_040917 transcript:EOY32899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKRISPLFLALLVLGFLFATYNLLIMIIHYKTSSSENWDSSDPIIGMHENLKEGGDSNSKYHVALTATDAPYSQWQCRIMYYWYKKVKDMPRSDMGKFTRILHSGKPDSLMEEIPTVIVDPLPEGLDRGYVVLNRPWAFVQWLEKATIDEEYILMAEPDHVFVNPLPNLAHGDHPAGFPFFYIKPAKHEHIIRKYYPKEKGPVTDIDPIGNSPVIIRKSALEEISPTWMNVSLRMKDDPATDKAFGWVLEMYAYAVASALHGVRHILRKDFMLQPPWDLEVGKKFIIHYTYGCDYNLKGELTYGKIGEWRFDKRSYLSGPPPRNLSLPPPGVPESVVRLVKMVNEGTANIPNWDTLNRG >EOY32900 pep chromosome:Theobroma_cacao_20110822:9:34311793:34316696:-1 gene:TCM_040917 transcript:EOY32900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKRISPLFLALLVLGFLFATYNLLIMIIHYKTSSSENWDSSDPIIGMHENLKEGGDSNSKYHVALTATDAPYSQWQCRIMYYWYKKVKDMPRSDMGKFTRILHSGKPDSLMEEIPTVIVDPLPEGLDRGYVVLNRPWAFVQWLEKATIDEEYILMAEPDHVFVNPLPNLAHGDHPAGFPFFYIKPAKHEHIIRKYYPKEKGPVTDIDPIGNSPVIIRKSALEEISPTWMNVSLRMKDDPATDKAFGWVLEMYAYAVASALHGVRHILRKDFMLQPPWDLEVGKKFIIHYTYGCDYNLKGELTYGKIGEWRFDKRSYLSGPPPRNLSLPPPGVPESVVKRPASKNRLTASSMYSFPCSTLISFPISSG >EOY32591 pep chromosome:Theobroma_cacao_20110822:9:31820412:31822890:1 gene:TCM_040594 transcript:EOY32591 gene_biotype:protein_coding transcript_biotype:protein_coding description:MSF1-like family protein isoform 1 MVKGYTQDHIYKHPWERVTSASWRKFADPENKRTLSHILEVDTLNRRLDPDSGRLYTTRALTIHAPGPWFIRKIIGQDICHCVESTVVDAQSKSMQLTTRNVSLQKFIEVEEKIRYDPHPDNPTGWTICRQETSIRIKPLSALASMAEKVEQRCAERFMQNSAKGREVMERICSVGAQR >EOY32592 pep chromosome:Theobroma_cacao_20110822:9:31820234:31822163:1 gene:TCM_040594 transcript:EOY32592 gene_biotype:protein_coding transcript_biotype:protein_coding description:MSF1-like family protein isoform 1 MVKGYTQDHIYKHPWERVTSASWRKFADPENKRTLSHILEVDTLNRRLDPDSGRLYTTRALTIHAPGPWFIRKIIGQDICHCVESTVVDAQSKSMQLTTRNVSLQKFIEVEEKIRYDPHPDNPTGWTICRQETSIRIKPLSALASMAEKVEQRCAERFMQNSAKGREVMERICKYLEAESSGMAL >EOY32590 pep chromosome:Theobroma_cacao_20110822:9:31819335:31822349:1 gene:TCM_040594 transcript:EOY32590 gene_biotype:protein_coding transcript_biotype:protein_coding description:MSF1-like family protein isoform 1 MVKGYTQDHIYKHPWERVTSASWRKFADPENKRTLSHILEVDTLNRRLDPDSGRLYTTRALTIHAPGPWFIRKIIGQDICHCVESTVVDAQSKSMQLTTRNVSLQKFIEVEEKIRYDPHPDNPTGWTICRQETSIRIKPLSALASMAEKVEQRCAERFMQNSAKGREVMERICKYLEAESSGMAL >EOY31491 pep chromosome:Theobroma_cacao_20110822:9:9118368:9120271:-1 gene:TCM_038418 transcript:EOY31491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNVVFGVLVIMAIGAVMARDVDAIKGNNCETKMTLHCVNEVFASIFKTGIVTDNCCIELIRLGQFCHDALIKKTLQNHLFKNNDTLVILSRGAQVWNKCILVNKDVSPSPTPY >EOY34475 pep chromosome:Theobroma_cacao_20110822:9:41234044:41238236:1 gene:TCM_042145 transcript:EOY34475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase isoform 3 MSKNMALFSITAALSRCSLLHLTRQPCLSPSLLSFSFISKQTHQPKDRNRFRLYSMASSDSKESAANNPGLQSTPDEATKGYFMQQTMLRIKDPKVSLDFYSRVLGMSLLKRIDVPELKFTLYFLGYEDVASAPRDPIDRTVWTFGKPATVELTHNWGTESDPDFKGYHNGNSDPRGFGHIGITVDDTRKACERFERLGVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDLKTIGEVVRGWA >EOY34471 pep chromosome:Theobroma_cacao_20110822:9:41233984:41238660:1 gene:TCM_042145 transcript:EOY34471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase isoform 3 MSLLMSKNMALFSITAALSRCSLLHLTRQPCLSPSLLSFSFISKQTHQPKDRNRFRLYSMASSDSKESAANNPGLQSTPDEATKGYFMQQTMLRIKDPKVSLDFYSRVLGMSLLKRIDVPELKFTLYFLGYEDVASAPRDPIDRTVWTFGKPATVELTHNWGTESDPDFKGYHNGNSDPRGFGHIGITVDDTRKACERFERLGVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDLKTIGEVVRGWA >EOY34474 pep chromosome:Theobroma_cacao_20110822:9:41234045:41235907:1 gene:TCM_042145 transcript:EOY34474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase isoform 3 MSKNMALFSITAALSRCSLLHLTRQPCLSPSLLSFSFISKQTHQPKDRNRFRLYSMASSDSKESAANNPGLQSTPDEATKGYFMQQTMLRIKDPKVSLDFYSRVLGMSLLKRIDVPELKFTLYFLGYEDVASAPRDPIDRTVWTFGKPATVELTHLMLPTVIGVQKATLTSKDITMAIQILVALGILALPWMIHARHVRDLNALE >EOY34473 pep chromosome:Theobroma_cacao_20110822:9:41233959:41238223:1 gene:TCM_042145 transcript:EOY34473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase isoform 3 MASSDSKESAANNPGLQSTPDEATKGYFMQQTMLRIKDPKVSLDFYSRVLGMSLLKRIDVPELKFTLYFLGYEDVASAPRDPIDRTVWTFGKPATVELTHNWGTESDPDFKGYHNGNSDPRGFGHIGITVDDTRKACERFERLGVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDLKTIGEVVRGWA >EOY34472 pep chromosome:Theobroma_cacao_20110822:9:41234044:41238162:1 gene:TCM_042145 transcript:EOY34472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase isoform 3 MSKNMALFSITAALSRCSLLHLTRQPCLSPSLLSFSFISKQTHQPKDRNRFRLYSMASSDSKESAANNPGLQSTPDEATKGYFMQQTMLRIKDPKVSLDFYSRVLGMSLLKRIDVPELKFTLYFLGYEDVASAPRDPIDRTVWTFGKPATVELTHLMLPTVIGVQKATLTSKDITMAIQILVALGILALPWMIHARHVRDLNALE >EOY34188 pep chromosome:Theobroma_cacao_20110822:9:40194280:40199794:-1 gene:TCM_041933 transcript:EOY34188 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOT2 / NOT3 / NOT5 family isoform 7 MSGLLNSSINGSASNLPDSSGRSFATSFSGQSGAASPVFHHTGTIQGLHNIHGSFNVPNMPGTLTSRNSTLNNVPSGGVQQPTGSLSGGRFTSNNLPVALSQLSHGSSHGHSGVTNRGGISVVGNPGFSSNTNGVGGSIPGILPTSAAIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRSISSGGGLSVPGLASRLNLGANSGSGSLSVQGQNRLMSGVLPQGSPQVISMLGSSYPAAGGPLSQSHVQAVNNLSSMGMLNDVNTNDNSPFDINNDFPQLTSRPSSAGGPQGQLGSLRKQGLSPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTMSMMQSQHFSMGRSAGFNLGGSYSSHRPQQQQQHAPSASSSGVSFSPVNNQDLLHLHGSDIFPSSHSSYHSQTSGPPGIGLRPLNSQNTVSGMGYDPIIQQYQQHPNQSQFRLQQISAVNQSFREPGVKSMQAAQSNPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSSENLHKNFGSPWSDEPAKGDPEFTVPQCYYAKQPPALHVSCSPLFAL >EOY34183 pep chromosome:Theobroma_cacao_20110822:9:40193518:40199937:-1 gene:TCM_041933 transcript:EOY34183 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOT2 / NOT3 / NOT5 family isoform 7 MSGLLNSSINGSASNLPDSSGRSFATSFSGQSGAASPVFHHTGTIQGLHNIHGSFNVPNMPGTLTSRNSTLNNVPSGGVQQPTGSLSGGRFTSNNLPVALSQLSHGSSHGHSGVTNRGGISVVGNPGFSSNTNGVGGSIPGILPTSAAIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRSISSGGGLSVPGLASRLNLGANSGSGSLSVQGQNRLMSGVLPQGSPQVISMLGSSYPAAGGPLSQSHVQAVNNLSSMGMLNDVNTNDNSPFDINNDFPQLTSRPSSAGGPQGQLGSLRKQGLSPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTMSMMQSQHFSMGRSAGFNLGGSYSSHRPQQQQQHAPSASSSGVSFSPTSGPPGIGLRPLNSQNTVSGMGYDPIIQQYQQHPNQSQFRLQQISAVNQSFREPGVKSMQAAQSNPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSSENLHKNFGSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFTVDTLFYIFYSMPKDEAQLYAANELYNRGWFYHKEHRLWFLRVPNLEPLVKTNTYERSSYHCFDPSSFETIRKDNFVIQYEALEKRPALPQH >EOY34182 pep chromosome:Theobroma_cacao_20110822:9:40193518:40199937:-1 gene:TCM_041933 transcript:EOY34182 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOT2 / NOT3 / NOT5 family isoform 7 MSGLLNSSINGSASNLPDSSGRSFATSFSGTIQGLHNIHGSFNVPNMPGTLTSRNSTLNNVPSGGVQQPTGSLSGGRFTSNNLPVALSQLSHGSSHGHSGVTNRGGISVVGNPGFSSNTNGVGGSIPGILPTSAAIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRSISSGGGLSVPGLASRLNLGANSGSGSLSVQGQNRLMSGVLPQGSPQVISMLGSSYPAAGGPLSQSHVQAVNNLSSMGMLNDVNTNDNSPFDINNDFPQLTSRPSSAGGPQGQLGSLRKQGLSPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTMSMMQSQHFSMGRSAGFNLGGSYSSHRPQQQQQHAPSASSSGVSFSPVNNQDLLHLHGSDIFPSSHSSYHSQTSGPPGIGLRPLNSQNTVSGMGYDPIIQQYQQHPNQSQFRLQQISAVNQSFREPGVKSMQAAQSNPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSSENLHKNFGSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFTVDTLFYIFYSMPKDEAQLYAANELYNRGWFYHKEHRLWFLRVPNLEPLVKTNTYERSSYHCFDPSSFETIRKDNFVIQYEALEKRPALPQH >EOY34187 pep chromosome:Theobroma_cacao_20110822:9:40194280:40199794:-1 gene:TCM_041933 transcript:EOY34187 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOT2 / NOT3 / NOT5 family isoform 7 MSGLLNSSINGSASNLPDSSGRSFATSFSGQSGAASPVFHHTGTIQGLHNIHGSFNVPNMPGTLTSRNSTLNNVPSGGVQQPTGSLSGGRFTSNNLPVALSQLSHGSSHGHSGVTNRGGISVVGNPGFSSNTNGVGGSIPGILPTSAAIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRSISSGGGLSVPGLASRLNLGANSGSGSLSVQGQNRLMSGVLPQGSPQVISMLGSSYPAAGGPLSQSHVQAVNNLSSMGMLNDVNTNDNSPFDINNDFPQLTSRPSSAGGPQGQLGSLRKQGLSPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTMSMMQSQHFSMGRSAGFNLGGSYSSHRPQQQQQHAPSASSSGVSFSPVNNQDLLHLHGSDIFPSSHSSYHSQTSGPPGIGLRPLNSQNTVSGMGYDPIIQQYQQHPNQSQFRLQQISAVNQSFREPGVKSMQAAQSNPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSSENLHKNFGSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFTVDTLFYIFYRCVCTSICWLLLYCIFQDLILSHSYFSVSNAACQKTKLNYMLQMNFITEAGFTTRSTDCGS >EOY34184 pep chromosome:Theobroma_cacao_20110822:9:40193518:40199937:-1 gene:TCM_041933 transcript:EOY34184 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOT2 / NOT3 / NOT5 family isoform 7 MSGLLNSSINGSASNLPDSSGRSFATSFSGQSGAASPVFHHTGTIQGLHNIHGSFNVPNMPGTLTSRNSTLNNVPSGGVQQPTGSLSGGRFTSNNLPVALSQLSHGSSHGHSGVTNRGGISVVGNPGFSSNTNGVGGSIPGILPTSAAIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRSISSGGGLSVPGLASRLNLGANSGSGSLSVQGQNRLMSGVLPQGSPQVISMLGSSYPAAGGPLSQSHVQAVNNLSSMGMLNDVNTNDNSPFDINNDFPQLTSRPSSAGGPQGQLGSLRKQGLSPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTMSMMQSQHFSMGRSAGFNLGGSYSSHRPQQQQQHAPSASSSGVSFSPTSGPPGIGLRPLNSQNTVSGMGYDPIIQQYQQHPNQSQFRLQQISAVNQSFREPGVKSMQAAQSNPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSSENLHKNFGSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFTVDTLFYIFYRCVCTSICWLLLYCIFQDLILSHSYFSVSNAACQKTKLNYMLQMNFITEAGFTTRSTDCGS >EOY34181 pep chromosome:Theobroma_cacao_20110822:9:40193646:40199863:-1 gene:TCM_041933 transcript:EOY34181 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOT2 / NOT3 / NOT5 family isoform 7 MSGLLNSSINGSASNLPDSSGRSFATSFSGQSGAASPVFHHTGTIQGLHNIHGSFNVPNMPGTLTSRNSTLNNVPSGGVQQPTGSLSGGRFTSNNLPVALSQLSHGSSHGHSGVTNRGGISVVGNPGFSSNTNGVGGSIPGILPTSAAIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRSISSGGGLSVPGLASRLNLGANSGSGSLSVQGQNRLMSGVLPQGSPQVISMLGSSYPAAGGPLSQSHVQAVNNLSSMGMLNDVNTNDNSPFDINNDFPQLTSRPSSAGGPQGQLGSLRKQGLSPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTMSMMQSQHFSMGRSAGFNLGGSYSSHRPQQQQQHAPSASSSGVSFSPVNNQDLLHLHGSDIFPSSHSSYHSQTSGPPGIGLRPLNSQNTVSGMGYDPIIQQYQQHPNQSQFRLQQISAVNQSFREPGVKSMQAAQSNPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSSENLHKNFGSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFTVDTLFYIFYSMPKDEAQLYAANELYNRGWFYHKEHRLWFLRVPNLEPLVKTNTYERSSYHCFDPSSFETIRKDNFVIQYEALEKRPALPQH >EOY34185 pep chromosome:Theobroma_cacao_20110822:9:40193994:40197859:-1 gene:TCM_041933 transcript:EOY34185 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOT2 / NOT3 / NOT5 family isoform 7 MQLHFVYAGTIQGLHNIHGSFNVPNMPGTLTSRNSTLNNVPSGGVQQPTGSLSGGRFTSNNLPVALSQLSHGSSHGHSGVTNRGGISVVGNPGFSSNTNGVGGSIPGILPTSAAIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRSISSGGGLSVPGLASRLNLGANSGSGSLSVQGQNRLMSGVLPQGSPQVISMLGSSYPAAGGPLSQSHVQAVNNLSSMGMLNDVNTNDNSPFDINNDFPQLTSRPSSAGGPQGQLGSLRKQGLSPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTMSMMQSQHFSMGRSAGFNLGGSYSSHRPQQQQQHAPSASSSGVSFSPVNNQDLLHLHGSDIFPSSHSSYHSQTSGPPGIGLRPLNSQNTVSGMGYDPIIQQYQQHPNQSQFRLQQISAVNQSFREPGVKSMQAAQSNPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSSENLHKNFGSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFTVDTLFYIFYSSMPKDEAQLYAANELYNRGWFYHKEHRLWFLRVPNLEPLVKTNTYERSSYHCFDPSSFETIRKDNFVIQYEALEKRPALPQH >EOY34186 pep chromosome:Theobroma_cacao_20110822:9:40194397:40198546:-1 gene:TCM_041933 transcript:EOY34186 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOT2 / NOT3 / NOT5 family isoform 7 MSGLLNSSINGSASNLPDSSGRSFATSFSGQSGAASPVFHHTGTIQGLHNIHGSFNVPNMPGTLTSRNSTLNNVPSGGVQQPTGSLSGGRFTSNNLPVALSQLSHGSSHGHSGVTNRGGISVVGNPGFSSNTNGVGGSIPGILPTSAAIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRSISSGGGLSVPGLASRLNLGANSGSGSLSVQGQNRLMSGVLPQGSPQVISMLGSSYPAAGGPLSQSHVQAVNNLSSMGMLNDVNTNDNSPFDINNDFPQLTSRPSSAGGPQGQLGSLRKQGLSPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDLHQKEQLHDNTMSMMQSQHFSMGRSAGFNLGGSYSSHRPQQQQQHAPSASSSGVSFSPTSGPPGIGLRPLNSQNTVSGMGYDPIIQQYQQHPNQSQFRLQQISAVNQSFREPGVKSMQAAQSNPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSSENLHKNFGSPWSDEPAKGDPEFTVPQCYYAKQPPALHQGYFSKFTVDTLFYIFYSMPKDEAQLYAANELYAFY >EOY30839 pep chromosome:Theobroma_cacao_20110822:9:5970966:5976911:1 gene:TCM_037912 transcript:EOY30839 gene_biotype:protein_coding transcript_biotype:protein_coding description:GNOM-like 2 MEEEQNRCPSCGEKKKDTGKSRRKELGLSCMLNTEVGAVLAVIRRPIDPTAQFFSPQEEHYDSSIQQSLKSLRGLIFNPHQEWRTTDPCIYLSPFLDVIQSDDIPAAATGVALSAILKILKLEIFDEKTPGAKEAINFVVSGITSCRLEKTDPVSEDAVMMKILQVLTGILRHDASVLLTDQAVCTIVNTCFQVVQQSVTRGDLLQRSARYTMHELIQIIFSRLPDAGDNEGENSESETEDIDENPGYGTRCAVDIFQFLCSLLNVVEVVENDGSTWHTVDEDVQLFALVLINCAIELSGDGIGKHPKLLRMIQDDLFHHLIHYGTCSSPLVLSMICSTVLNIYHFLRRFIRLQLEAFFSYVLLKVAALGASLQLQEVALEGIINFFRQPTFVIEAYVNYDCDPICRNLFEEVVKLLCKHAFPGTGPMTTLQVQAFEGLVIMIHNISDNIDKEDDSSTSEPYPIEITEYRPFWVDKPKDDLATWVEYIRVRKAQKKKILIAGNHYNRDEKKGLEYLKHCQLVSDPPNPKAFAFFFRFTPGLDKNMIGEYLGDPDEFHLEVLKEFTGAFEFKGMILDSALRTYLETFRLPGESQKIQRILEVFSERFFDQQSSDIFVAKDSVFVLCYSLIMLNTDQHNPQVKKKMTEEEFIRNNRLINGGQDLPREYLSELFDSISNHAITLFGQSGAVEMNPSRWVELMNRAKLMQSYVLCDFDRRLGRDMFACVAGPTIAALSAFFEHADEDEMLHECIEGLISVATIAQFGLADTLDELVASFCRFTTLLNPYASAEETLFAFSNDMKPRMATLAVFTIANNFGESIRGGWRNIVDCLLKLKRIKLLPQSVIEFDVASTSSSDATEAFKSESGVIFPNHDPKFSKRQTSGMVSRFSHFLSIDSMEESISLGMSEFEQNLKIIKQCRIGSIFGNSSNLPIEALLNLGRSLIFAAAGKGQKFSTPIEEEETAGFCWDLIIAISLSNIHRFQVYWPSFHDYLLAVAQLPLFSPIPFAEKAMVGLFKVCLKLLASYQVDKIPEELIFKSINLMLDKEVLDMCCEYIIQSVSKILIEYPANLQTQLGWKSTLHLLQVAGRHPETYDQAVETFIMLMSDAFHISRINYAFCIDCAFGFIALRNSPVEKNLKILDLMSDSVNWLIQWYKTAHSDPGSSYSVASNTSTSSWEDNSKAIGSSNFTVNLFIKLGEALRKSSLARREEIRNCAVASLKRGFQLAEELEFSSTSCINCFNLVIFAMVDDQHEKMIEYSRRENAEREMRSMEGTLKISMELLTDVYLQYLKVIAENPGFRTFWLGILRRMDTCMKADLGEYGETNLQEVVPDLLRKMIRNMQEKEILVPKDGDDLWEITYIQIQWIAPSLKEELFPDEL >EOY34665 pep chromosome:Theobroma_cacao_20110822:9:41747553:41748506:-1 gene:TCM_042263 transcript:EOY34665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQASSLYLSQKSLRVKQHGNILTRSSSREISMINLSFEDYHGGASVAVPFNWESEPGTPKVKSPEIPSLPPLTPPPSYFYSTPKRPVKKNQSRPNLLDTIFPKRSRRKTSVKLSPASSSTSSASSSRSSSPWPRPYSVPSSPMTASNLYARNELSRARLFCDSRADDEEEHEYQSSVATPCFGRGASTRSRGICSSMLRVLLRDQ >EOY34315 pep chromosome:Theobroma_cacao_20110822:9:40672650:40680717:-1 gene:TCM_042031 transcript:EOY34315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lupus la ribonucleoprotein, putative isoform 2 MVMAENEAGDDQKEVKSPWKTPVIDGEKAADASVMGTQSWPDLGGTQQTTDNPEVAADGSAPAPSVEQGAAGQQKSNGSGNTNASHKHSSARHQKSGSKRNPNATPRFPVPLPYYQPPIPPVFHAMVPPPHIAVSGYAYQPVPGPFPGIESQLVNSGSETTMQAFGPPLQGIDPGRNVQPPPRGDPNAYPANFSNRRPNMQEPGGHLNPGWNHQRAFNPRETIPMQQGVGPRPFVRPPFFGPAPGFMVGPSFPGAVCYMPIPPPGSIRGPHPPRFVPYPINPGTAMYPPETATLRANIVKQIEYYFSDENLQTDHYLISLMDDQGWVPISAIADFKRVKRMSTDIKFILDALLSSSTVEVQGDKIRRRDEWSKWIPASSKTSLSSEAPATRYEFVENVTDSCGNGNTNEDNSRDTSEENLKFPLDSGSLEHVSPEGNAAEVTHRNNCKHADVPVLLNDADQSQGVGPVRFTDHRSVEISSDVTVQNVADLSNDFAHTFMLDEELELEQKPLKNLLALNRMDYEDDEMVVNDQDVHRLVIVTQNSGTGDGSKAGAKDSKSISSELAAVINDGLYFYEQELKTKRFSRRKNNSIYENKDGYPRSPRSPRGALGVSNLKTGENVAGSSGLEESGGASSRRKQNKGFAKQQSFHKQRFFSSNLKNHGTSRNSIAIISESPPSNSVGYFFGSTPPDSHGPRPPSKLSCSPHGTLSSSPPVGSLPKSFPPFQHPSHQLLEENGFKQQKYLKFHKRCLSDRKKLGIGCSEEMNSLYRFWSYFLRDVFAPSMYNEFRKLALEDAAANYNYGIECLFRFYSYGLEKKYRDDLYKDFEQLTLDFYHKGNLYGLEKYWAFHHFRDQKEPLKKHPELDRLLREEYRSLEDFRGKERNTSTREDNH >EOY34313 pep chromosome:Theobroma_cacao_20110822:9:40671868:40681026:-1 gene:TCM_042031 transcript:EOY34313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lupus la ribonucleoprotein, putative isoform 2 MVMAENEAGDDQKEVKSPWKTPVIDGEKAADASVMGTQSWPDLGGTQQTTDNPEVAADGSAPAPSVEQGAAGQQKSNGSGNTNASHKHSSARHQKSGSKRNPNATPRFPVPLPYYQPPIPPVFHAMVPPPHIAVSGYAYQPVPGPFPGIESQLVNSGSETTMQAFGPPLQGIDPGRNVQPPPRGDPNAYPANFSNRRPNMQEPGGHLNPGWNHQRAFNPRETIPMQQGVGPRPFVRPPFFGPAPGFMVGPSFPGAVCYMPIPPPGSIRGPHPPRFVPYPINPGTAMYPPETATLRANIVKQIEYYFSDENLQTDHYLISLMDDQGWVPISAIADFKRVKRMSTDIKFILDALLSSSTVEVQGDKIRRRDEWSKWIPASSKTSLSSEAPATRGSLEHVSPEGNAAEVTHRNNCKHADVPVLLNDADQSQGVGPVRFTDHRSVEISSDVTVQNVADLSNDFAHTFMLDEELELEQKPLKNLLALNRMDYEDDEMVVNDQDVHRLVIVTQNSGTGDGSKAGAKDSKSISSELAAVINDGLYFYEQELKTKRFSRRKNNSIYENKDGYPRSPRSPRGALGVSNLKTGENVAGSSGLEESGGASSRRKQNKGFAKQQSFHKQRFFSSNLKNHGTSRNSIAIISESPPSNSVGYFFGSTPPDSHGPRPPSKLSCSPHGTLSSSPPVGSLPKSFPPFQHPSHQLLEENGFKQQKYLKFHKRCLSDRKKLGIGCSEEMNSLYRFWSYFLRDVFAPSMYNEFRKLALEDAAANYNYGIECLFRFYSYGLEKKYRDDLYKDFEQLTLDFYHKGNLYGLEKYWAFHHFRDQKEPLKKHPELDRLLREEYRSLEDFRGKERNTSTREDNH >EOY34316 pep chromosome:Theobroma_cacao_20110822:9:40672214:40681024:-1 gene:TCM_042031 transcript:EOY34316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lupus la ribonucleoprotein, putative isoform 2 MVMAENEAGDDQKEVKSPWKTPVIDGEKAADASVMGTQSWPDLGGTQQTTDNPEVAADGSAPAPSVEQGAAGQQKSNGSGNTNASHKHSSARHQKSGSKRNPNATPRFPVPLPYYQPPIPPVFHAMVPPPHIAVSGYAYQPVPGPFPGIESQLVNSGSETTMQAFGPPLQGIDPGRNVQPPPRGDPNAYPANFSNRRPNMQEPGGHLNPGWNHQRAFNPRETIPMQQGVGPRPFVRPPFFGPAPGFMVGPSFPGAVCYMPIPPPGSIRGPHPPRFVPYPINPGTAMYPPETATLRANIVKQIEYYFSDENLQTDHYLISLMDDQGWVPISAIADFKRVKRMSTDIKFILDALLSSSTVEVQGDKIRRRDEWSKWIPASSKTSLSSEAPATRGSLEHVSPEGNAAEVTHRNNCKHADVPVLLNGEKQSFSGGNGDLNRKLLADFRIKLSDADQSQGVGPVRFTDHRSVEISSDVTVQNVADLSNDFAHTFMLDEELELEQKPLKNLLALNRMDYEDDEMVVNDQDVHRLVIVTQNSGTGDGSKAGAKDSKSISSELAAVINDGLYFYEQELKTKRFSRRKNNSIYENKDGYPRSPRSPRGALGVSNLKTGENVAGSSGLEESGGASSRRKQNKGFAKQQSFHKQRFFSSNLKNHGTSRNSIAIISESPPSNSVGYFFGSTPPDSHGPRPPSKLSCSPHGTLSSSPPVGSLPKSFPPFQHPSHQLLEENGFKQQKYLKFHKRCLSDRKKLGIGCSERK >EOY34314 pep chromosome:Theobroma_cacao_20110822:9:40672214:40681024:-1 gene:TCM_042031 transcript:EOY34314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lupus la ribonucleoprotein, putative isoform 2 MVMAENEAGDDQKEVKSPWKTPVIDGEKAADASVMGTQSWPDLGGTQQTTDNPEVAADGSAPAPSVEQGAAGQQKSNGSGNTNASHKHSSARHQKSGSKRNPNATPRFPVPLPYYQPPIPPVFHAMVPPPHIAVSGYAYQPVPGPFPGIESQLVNSGSETTMQAFGPPLQGIDPGRNVQPPPRGDPNAYPANFSNRRPNMQEPGGHLNPGWNHQRAFNPRETIPMQQGVGPRPFVRPPFFGPAPGFMVGPSFPGAVCYMPIPPPGSIRGPHPPRFVPYPINPGTAMYPPETATLRANIVKQIEYYFSDENLQTDHYLISLMDDQGWVPISAIADFKRVKRMSTDIKFILDALLSSSTVEVQGDKIRRRDEWSKWIPASSKTSLSSEAPATRGSLEHVSPEGNAAEVTHRNNCKHADVPVLLNDADQSQGVGPVRFTDHRSVEISSDVTVQNVADLSNDFAHTFMLDEELELEQKPLKNLLALNRMDYEDDEMVVNDQDVHRLVIVTQNSGTGDGSKAGAKDSKSISSELAAVINDGLYFYEQELKTKRFSRRKNNSIYENKDGYPRSPRSPRGALGVSNLKTGENVAGSSGLEESGGASSRRKQNKGFAKQQSFHKQRFFSSNLKNHGTSRNSIAIISESPPSNSVGYFFGSTPPDSHGPRPPSKLSCSPHGTLSSSPPVGSLPKSFPPFQHPSHQLLEENGFKQQKYLKFHKRCLSDRKKLGIGCSEEMNSLYRFWSYFLRDVFAPSMYNEFRKLALEDAAANYNYGIECLFRFYR >EOY30374 pep chromosome:Theobroma_cacao_20110822:9:4363285:4370883:-1 gene:TCM_037602 transcript:EOY30374 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC isoform 1 MENQKKEEMEGEEDEVGPMAGEVAEEEEGEVTSLTLERVAAAKQFIESHYKAQMKHIQERKESVSCRRLVLEKKLASSDVPEEEQINLLKDLERKESDYMRLKRHKICVDDFDLLTIIGRGAFGEVRLCREKKSGDIFAMKKLKKSEMLSRGQVEHVRAERNLLAEVASHFIVKLYYSFQDTEYLYLIMEYLPGGDMMTLLMREDTLTETVARFYIAQSVLAIESIHRHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCRNLSAINENETLDDENLNESMDVDGHFPESGRGRRWKNPLEQLQHWQHNRRKLAFSTVGTPDYIAPEVLLKKGYGSECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWKNHLKFPDEARLTPAAKDLISRLLCDVEHRLGTLGADQIKAHPWFKDTPWDKLYEMEAAFKPQVEGDLDTQNFMKFDEVEGPKPARTGSGPIRKMHLTPKDLNFVGYTYKNFEAVKGLRHSFDIKGSAPPRQSSTDSFHSDSGVDYSSNDTETGLL >EOY30373 pep chromosome:Theobroma_cacao_20110822:9:4362791:4371242:-1 gene:TCM_037602 transcript:EOY30373 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC isoform 1 MENQKKEEMEGEEDEVGPMAGEVAEEEEGEVTSLTLERVAAAKQFIESHYKAQMKHIQERKERRLVLEKKLASSDVPEEEQINLLKDLERKESDYMRLKRHKICVDDFDLLTIIGRGAFGEVRLCREKKSGDIFAMKKLKKSEMLSRGQVEHVRAERNLLAEVASHFIVKLYYSFQDTEYLYLIMEYLPGGDMMTLLMREDTLTETVARFYIAQSVLAIESIHRHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCRNLSAINENETLDDENLNESMDVDGHFPESGRGRRWKNPLEQLQHWQHNRRKLAFSTVGTPDYIAPEVLLKKGYGSECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWKNHLKFPDEARLTPAAKDLISRLLCDVEHRLGTLGADQIKAHPWFKDTPWDKLYEMEAAFKPQVEGDLDTQNFMKFDEVEGPKPARTGSGPIRKMHLTPKDLNFVGYTYKNFEAVKGLRHSFDIKGSAPPRQSSTDSFHSDSGVDYSSNDTETGLL >EOY30371 pep chromosome:Theobroma_cacao_20110822:9:4362791:4370916:-1 gene:TCM_037602 transcript:EOY30371 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC isoform 1 MENQKKEEMEGEEDEVGPMAGEVAEEEEGEVTSLTLERVAAAKQFIESHYKAQMKHIQERKESVSCRRLVLEKKLASSDVPEEEQINLLKDLERKESDYMRLKRHKICVDDFDLLTIIGRGAFGEVRLCREKKSGDIFAMKKLKKSEMLSRGQVEHVRAERNLLAEVASHFIVKLYYSFQDTEYLYLIMEYLPGGDMMTLLMREDTLTETVARFYIAQSVLAIESIHRHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCRNLSAINENETLDDENLNESMDVDGHFPESGRGRRWKNPLEQLQHWQHNRRKLAFSTVGTPDYIAPEVLLKKGYGSECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWKNHLKFPDEARLTPAAKDLISRLLCDVEHRLGTLGADQIKAHPWFKDTPWDKLYEMEAAFKPQVEGDLDTQNFMKFDEVEGPKPARTGSGPIRKMHLTPKDLNFVGYTYKNFEAVKGLRHSFDIKGSAPPRQSSTDSFHSDSGVDYSSNDTETGLL >EOY30369 pep chromosome:Theobroma_cacao_20110822:9:4363285:4370883:-1 gene:TCM_037602 transcript:EOY30369 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC isoform 1 MENQKKEEMEGEEDEVGPMAGEVAEEEEGEVTSLTLERVAAAKQFIESHYKAQMKHIQERKERRLVLEKKLASSDVPEEEQINLLKDLERKESDYMRLKRHKICVDDFDLLTIIGRGAFGEVRLCREKKSGDIFAMKKLKKSEMLSRGQVEHVRAERNLLAEVASHFIVKLYYSFQDTEYLYLIMEYLPGGDMMTLLMREDTLTETVARFYIAQSVLAIESIHRHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCRNLSAINENETLDDENLNESMDVDGHFPESGRGRRWKNPLEQLQHWQHNRRKLAFSTVGTPDYIAPEVLLKKGYGSECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWKNHLKFPDEARLTPAAKDLISRLLCDVEHRLGTLGADQIKAHPWFKDTPWDKLYEMEAAFKPQVEGDLDTQNFMKFDEVEGPKPARTGSGPIRKMHLTPKDLNFVGYTYKNFEAVKGLRHSFDIKGSAPPRQSSTDSFHSDSGVDYSSNDTETGLL >EOY30372 pep chromosome:Theobroma_cacao_20110822:9:4362725:4371530:-1 gene:TCM_037602 transcript:EOY30372 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC isoform 1 MENQKKEEMEGEEDEVGPMAGEVAEEEEGEVTSLTLERVAAAKQFIESHYKAQMKHIQERKERRLVLEKKLASSDVPEEEQINLLKDLERKESDYMRLKRHKICVDDFDLLTIIGRGAFGEVRLCREKKSGDIFAMKKLKKSEMLSRGQVEHVRAERNLLAEVASHFIVKLYYSFQDTEYLYLIMEYLPGGDMMTLLMREDTLTETVARFYIAQSVLAIESIHRHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCRNLSAINENETLDDENLNESMDVDGHFPESGRGRRWKNPLEQLQHWQHNRRKLAFSTVGTPDYIAPEVLLKKGYGSECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWKNHLKFPDEARLTPAAKDLISRLLCDVEHRLGTLGADQIKAHPWFKDTPWDKLYEMEAAFKPQVEGDLDTQNFMKFDEVEGPKPARTGSGPIRKMHLTPKDLNFVGYTYKNFEAVKGLRHSFDIKGSAPPRQSSTDSFHSDSGVDYSSNDTETGLL >EOY30370 pep chromosome:Theobroma_cacao_20110822:9:4362798:4371242:-1 gene:TCM_037602 transcript:EOY30370 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC isoform 1 MENQKKEEMEGEEDEVGPMAGEVAEEEEGEVTSLTLERVAAAKQFIESHYKAQMKHIQERKERRLVLEKKLASSDVPEEEQINLLKDLERKESDYMRLKRHKICVDDFDLLTIIGRGAFGEVRLCREKKSGDIFAMKKLKKSEMLSRGQVEHVRAERNLLAEVASHFIVKLYYSFQDTEYLYLIMEYLPGGDMMTLLMREDTLTETVARFYIAQSVLAIESIHRHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCRNLSAINENETLDDENLNESMDVDGHFPESGRGRRWKNPLEQLQHWQHNRRKLAFSTVGTPDYIAPEVLLKKGYGSECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWKNHLKFPDEARLTPAAKDLISRLLCDVEHRLGTLGADQIKAHPWFKDTPWDKLYEMEAAFKPQVEGDLDTQNFMKFDEVEGPKPARTGSGPIRKMHLTPKDLNFVGYTYKNFEAVKGLRHSFDIKGSAPPRQSSTDSFHSDSGVDYSSNDTETGLL >EOY30375 pep chromosome:Theobroma_cacao_20110822:9:4362791:4371242:-1 gene:TCM_037602 transcript:EOY30375 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC isoform 1 MENQKKEEMEGEEDEVGPMAGEVAEEEEGEVTSLTLERVAAAKQFIESHYKAQMKHIQERKERRLVLEKKLASSDVPEEEQINLLKDLERKESDYMRLKRHKICVDDFDLLTIIGRGAFGEVRLCREKKSGDIFAMKKLKKSEMLSRGQVEHVRAERNLLAEVASHFIVKLYYSFQDTEYLYLIMEYLPGGDMMTLLMREDTLTETVARFYIAQSVLAIESIHRHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCRNLSAINENETLDDENLNESMDVDGHFPESGRGRRWKNPLEQLQHWQHNRRKLAFSTVGTPDYIAPEVLLKKGYGSECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWKNHLKFPDEARLTPAAKDLISRLLCDVEHRLGTLGADQIKAHPWFKDTPWDKLYEMEAAFKPQVEGDLDTQNFMKFDEVEGPKPARTGSGPIRKMHLTPKDLNFVGYTYKNFEAVKGLRHSFDIKGSAPPRQSSTDSFHSDSGVDYSSNDTETGLL >EOY29432 pep chromosome:Theobroma_cacao_20110822:9:1157084:1162042:1 gene:TCM_036968 transcript:EOY29432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 26 isoform 1 MVLMASGVQFTFFQLLLTLFLILDLVKRGSAGITSTFTRSQWQSFDIPLDNKVFAIPTGYNAPQQLHITQGDYDGKAVIISWVTADEPGPSKVQYGTSEKKYDLSADGTVTNYTFYNYKSGYIHHCLVDGLEYETKYYYKIGVGASSREFWFQTPPKIDPDSPFTFGIIGDLGQTYNSLSTLEHYMQSGGQTVLFVGDLSYADRYQYNDVGIRWDSWGRFIERSAAYQPWIWSAGNHEIEYMPDVGEVIPFKSYLHRYSTPYLASRSNNPLWYAIRRASAHIIVLSSYSPHVKYTPQWQWLRDELKRVDRKKTPWLIIVMHVPIYNSNQAHFMEGESIRVVFERWFVRYRVDFIFAGHVHAYERSYRISNIRYNVSSGDRYPVPDRSAPVYVTVGDGGNQEGLAGRFWDPQPEYSAFREASYGHSTLEIKNRTHSFLHWHRNDDGQKVPADSVVFLNRHWASNLRRRK >EOY29431 pep chromosome:Theobroma_cacao_20110822:9:1156653:1162042:1 gene:TCM_036968 transcript:EOY29431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 26 isoform 1 MVLMASGVQFTFFQLLLTLFLILDLVKRGSAGITSTFTRSQWQSFDIPLDNKVFAIPTGYNAPQQLHITQGDYDGKAVIISWVTADEPGPSKVQYGTSEKKYDLSADGTVTNYTFYNYKSGYIHHCLVDGLEYETKYYYKIGVGASSREFWFQTPPKIDPDSPFTFGIIGDLGQTYNSLSTLEHYMQSGGQTVLFVGDLSYADRYQYNDVGIRWDSWGRFIERSAAYQPWIWSAGNHEIEYMPDVGEVIPFKSYLHRYSTPYLASRSNNPLWYAIRRASAHIIVLSSYSPHVKYTPQWQWLRDELKRVDRKKTPWLIIVMHVPIYNSNQAHFMEGESIRVVFERWFVRYRVDFIFAGHVHAYERSYRISNIRYNVSSGDRYPVPDRSAPVYVTVGDGGNQEGLAGRFWDPQPEYSAFREASYGHSTLEIKNRTHSFLHWHRNDDGQKVPADSVVFLNRHWASNLRRRK >EOY29430 pep chromosome:Theobroma_cacao_20110822:9:1156563:1162498:1 gene:TCM_036968 transcript:EOY29430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 26 isoform 1 MVLMASGVQFTFFQLLLTLFLILDLVKRGSAGITSTFTRSQWQSFDIPLDNKVFAIPTGYNAPQQLHITQGDYDGKAVIISWVTADEPGPSKVQYGTSEKKYDLSADGTVTNYTFYNYKSGYIHHCLVDGLEYETKYYYKIGVGASSREFWFQTPPKIDPDSPFTFGIIGDLGQTYNSLSTLEHYMQSGGQTVLFVGDLSYADRYQYNDVGIRWDSWGRFIERSAAYQPWIWSAGNHEIEYMPDVGEVIPFKSYLHRYSTPYLASRSNNPLWYAIRRASAHIIVLSSYSPHVKYTPQWQWLRDELKRVDRKKTPWLIIVMHVPIYNSNQAHFMEGESIRVVFERWFVRYRVDFIFAGHVHAYERSYRISNIRYNVSSGDRYPVPDRSAPVYVTVGDGGNQEGLAGRFWDPQPEYSAFREASYGHSTLEIKNRTHSFLHWHRNDDGQKVPADSVVFLNRHWASNLRRRKLKKNHLKARGEINF >EOY31730 pep chromosome:Theobroma_cacao_20110822:9:13399733:13401817:-1 gene:TCM_038844 transcript:EOY31730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMSILESQVARVEMAMKEMRDKLEEFEANMEEFGSTDDKLREELHETVYMLNHHDMALKELVELQYEVWGLRDKLVALKATTRAGAPTTQLVNHLKVKLEFQRRGVQNIIEAMTVVELLVELRKFDNKHSFYKPKTKGHGWGKDNG >EOY32749 pep chromosome:Theobroma_cacao_20110822:9:33361121:33365721:1 gene:TCM_040778 transcript:EOY32749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein / RNA recognition motif-containing protein, putative MDSYEATRIVFSRIQNLDPENASKIMGYLLIQDHGEKEMIRLAFGPEALIHSLILKAKTHLGFSISSPPTPCTPSSSPFSSRPSALSIPSSSRLTATNNTNGFEITNPSSPSTNTWPPLSHRPISPSSNSSLSYASIVNGTSNIANGSGSLPSMASCGNTCNDNEFIDDYHFQDHLSFLNDSKPEDLFDPRLDLAMSPTGYTDSPLHRRSFSVPGLCFGAEDVNSGVGWKPCLYFARGFCKNGTSCRFLHGDCADVAAIVGSPSKLNELEQCQELLRSKALQQQQQQQQQHKLAAGSQFMAGASFPYSKCVNFLLQQQNDTHRSAAAAFMMGDELHKFGRCRPERNDFAAVGLGGSVNPGSRQIYLTFPADSTFKEEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDSRVLVKPYKEKGKVQEKKQQHQQQQLERGEYSTCSSPSGLDSREPFDFHLGTRMLYNNTQEMLLRRKLEEQADLQQAIELQGRRLINLQLLDFKDHNHPQYHHGLSTGSPMPSPTVSHTPNNQALIFPADGIDQEDPEENGGSPVTVISKLATANADKQLEEVNPGCNHINETGNSNTKEEKANTEESDLPESLEHILPDNLFASPKKSAGDHLTVFSANSVEADNKTTSPTTSCSNSNPSLANTSSLNMASLKSCFLQMPRYSSGHGTIGM >EOY29415 pep chromosome:Theobroma_cacao_20110822:9:1098373:1103180:1 gene:TCM_036958 transcript:EOY29415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 1, putative MENLKPAPKDFETLYKLILLSEKRLSSFSAAATDRYFQTMKWVFWFWLCLVLVQFNDYKYCFGCHEEERFALLDLKASFYSDESYAPPSWEEDDDGDCCDWENVVCDKATQRVSKLFLNSSWDPMEVFEDVYLNASLFLPFKELAFLNLSSNMFSGLVDNDGFERLSKLNKLRFLDLSESYFNDSILVHVGAISSLATLSLASNVMTGATHFQELAALRNLEELDLSNNPLESFMEIQGLRNMSKLKVLNLNSCKLNMNTLQSLGNLHSLKKLYLNENSLEGSITVQELNSLRNLEVLDVSSSHLNSSFLQVLEQMKSLKGLSLRENSLNGTLHSLGLCKLKNLEELDISYNSFTGTMPPCIETLTSLRVLDISRNRFSGNISSSFISSLVSLEFLSLSHNEFQSLTSFSSFANHSRLEVFELICNNNGSVVEIDDHMWVPRFQLKILRLASCSVDKGSLLKFLSYQYDLRVINLSNNNLDGDFPTWLMENNTRIEGLHFKNNSFKGQFPLPSCPSTFISVVDISNNQLQGYIPSNISVYLPNLKFLNLSKNSFKGGIPSSFGDMKTLLVLDLSVNEFSGDIPDSLVLGCFSLRALLLSKNHLTGQMFPKLSNLTSLEILRLNGNLFRGKIPDSLLNSSALKTLDVSHNSLSGKLPTWMGNLTDLQTLLMAGNHLEGPIPVEFCSLEGIEILDLSQNDLSGSIPSCFSPKSLLRVHLYDNKLTGSISKSFSGSSSLVILDIRNNSLTGSIPSWISNLSLSILLLKENQLEGRIPAALCQCSMMTILDLSHNNLSGPIPSCLNKIPFKIDYRSGKFRLSISLNIDQELPAYSYQTGYVKLSQRPSYANPVGYEIASAEFTTKNRSDFYRNNFLYNMTGIDLSWNKLTGRIPPEMGHLKLILALDISHNELSGSIPTNFSGLKDIESLDLSHNKLTGPIPEQLTELNALGYFSVAYNNLSSKIPDKPHFDTFLETSYEGNPFLCGLVLKKDCNSALKESPGGHDDHGANSFMEMVAFWLSFLVSYVTVVVTLIAALYINMDWRKKWFHLIDACITTCFCKSTNRNG >EOY31615 pep chromosome:Theobroma_cacao_20110822:9:10514529:10515579:1 gene:TCM_038587 transcript:EOY31615 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MLPPPHDSYRGGSLPPPSKSKDKFLSLILKAIIMMILISFFFLLLPLASGLLLLPLLLRHQHHRHRRHSRLSTGFSAKQLKKLPQFKFSEGTRTAHLESDSCVICLDGFKQGQWCRNLVMCGHLFHRKCLDTWLTKVGACPICRTRVCSDHEDKNIWVFGRRRTDFQVDYSEVGAGYEV >EOY31457 pep chromosome:Theobroma_cacao_20110822:9:8915906:8918723:-1 gene:TCM_038387 transcript:EOY31457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYTKIGMRKTSIRATLFSLIYEMEAEVPIVIEIPSLRVLKDVELEKAMARMMKDYGKKLWSLLREVVFKVVKP >EOY34536 pep chromosome:Theobroma_cacao_20110822:9:41382994:41384884:1 gene:TCM_042174 transcript:EOY34536 gene_biotype:protein_coding transcript_biotype:protein_coding description:F10K1.18 protein, putative isoform 3 MPLEYDESELRQITRLKKELEAALGRNGSLEKENQELKQEVARLKAQISSLKAHDNERKSMLWKKLHNSIDNSNADASLQKSSDFLKVSEQRLEAENVYPRPSFQELAVRKERQSKVPKPPPRSNSFISPSPKEVSENKVTTPSVPPPPPPPLPSKLLAGSRSVRRVPEVVELYRSLTRKDTNMENKTNAAATPVLAFSRNMIGEIENRSTYVSAIKSDVEKQKEFINFLISEVQSAAFKDISDVEVFVKWLDQELSSLIDERAVLKHFPQWPERKADALREAAFSYRDLKNLEAEVSSFEVNPSVSFNSVLRRMQALQDRLEQSVNNTERIRDSTSKRYRDFQIPWGWMLDTGLIGQLKFSSLRLAREYMKRTTKELQSNESSQVNSLLLQGVRFAYRVHQVGTPFNLSTNLKYLHLFL >EOY34535 pep chromosome:Theobroma_cacao_20110822:9:41383073:41384227:1 gene:TCM_042174 transcript:EOY34535 gene_biotype:protein_coding transcript_biotype:protein_coding description:F10K1.18 protein, putative isoform 3 MPLEYDESELRQITRLKKELEAALGRNGSLEKENQELKQEVARLKAQISSLKAHDNERKSMLWKKLHNSIDNSNADASLQKSSDFLKVSEQRLEAENVYPRPSFQELAVRKERQSKVPKPPPRSNSFISPSPKEVSENKVTTPSVPPPPPPPLPSKLLAGSRSVRRVPEVVELYRSLTRKDTNMENKTNAAATPVLAFSRNMIGEIENRSTYVSAIKSDVEKQKEFINFLISEVQSAAFKDISDVEVFVKWLDQELSSLIDERAVLKHFPQWPERKADALREAAFSYRDLKNLEAEVSSFEVNPSVSFNSVLRRMQALQDRRACWSKV >EOY34533 pep chromosome:Theobroma_cacao_20110822:9:41382994:41384884:1 gene:TCM_042174 transcript:EOY34533 gene_biotype:protein_coding transcript_biotype:protein_coding description:F10K1.18 protein, putative isoform 3 MPLEYDESELRQITRLKKELEAALGRNGSLEKENQELKQEVARLKAQISSLKAHDNERKSMLWKKLHNSIDNSNADASLQKSSDFLKVSEQRLEAENVYPRPSFQELAVRKERQSKVPKPPPRSNSFISPSPKEVSENKVTTPSVPPPPPPPLPSKLLAGSRSVRRVPEVVELYRSLTRKDTNMENKTNAAATPVLAFSRNMIGEIENRSTYVSAVSTIKSDVEKQKEFINFLISEVQSAAFKDISDVEVFVKWLDQELSSLIDERAVLKHFPQWPERKADALREAAFSYRDLKNLEAEVSSFEVNPSVSFNSVLRRMQALQDRLEQSVNNTERIRDSTSKRYRDFQIPWGWMLDTGLIGQLKFSSLRLAREYMKRTTKELQSNESSQVNSLLLQGVRFAYRVHQFAGGFDAETIRAFEDL >EOY34531 pep chromosome:Theobroma_cacao_20110822:9:41383036:41385577:1 gene:TCM_042174 transcript:EOY34531 gene_biotype:protein_coding transcript_biotype:protein_coding description:F10K1.18 protein, putative isoform 3 MPLEYDESELRQITRLKKELEAALGRNGSLEKENQELKQEVARLKAQISSLKAHDNERKSMLWKKLHNSIDNSNADASLQKSSDFLKVSEQRLEAENVYPRPSFQELAVRKERQSKVPKPPPRSNSFISPSPKEVSENKVTTPSVPPPPPPPLPSKLLAGSRSVRRVPEVVELYRSLTRKDTNMENKTNAAATPVLAFSRNMIGEIENRSTYVSAIKSDVEKQKEFINFLISEVQSAAFKDISDVEVFVKWLDQELSSLIDERAVLKHFPQWPERKADALREAAFSYRDLKNLEAEVSSFEVNPSVSFNSVLRRMQALQDRLEQSVNNTERIRDSTSKRYRDFQIPWGWMLDTGLIGQLKFSSLRLAREYMKRTTKELQSNESSQVNSLLLQGVRFAYRVHQFAGGFDAETIRAFEDLKKISSSITNHKQ >EOY34532 pep chromosome:Theobroma_cacao_20110822:9:41383036:41385577:1 gene:TCM_042174 transcript:EOY34532 gene_biotype:protein_coding transcript_biotype:protein_coding description:F10K1.18 protein, putative isoform 3 MLWKKLHNSIDNSNADASLQKSSDFLKVSEQRLEAENVYPRPSFQELAVRKERQSKVPKPPPRSNSFISPSPKEVSENKVTTPSVPPPPPPPLPSKLLAGSRSVRRVPEVVELYRSLTRKDTNMENKTNAAATPVLAFSRNMIGEIENRSTYVSAIKSDVEKQKEFINFLISEVQSAAFKDISDVEVFVKWLDQELSSLIDERAVLKHFPQWPERKADALREAAFSYRDLKNLEAEVSSFEVNPSVSFNSVLRRMQALQDRLEQSVNNTERIRDSTSKRYRDFQIPWGWMLDTGLIGQLKFSSLRLAREYMKRTTKELQSNESSQVNSLLLQGVRFAYRVHQFAGGFDAETIRAFEDLKKISSSITNHKQ >EOY34534 pep chromosome:Theobroma_cacao_20110822:9:41383036:41385577:1 gene:TCM_042174 transcript:EOY34534 gene_biotype:protein_coding transcript_biotype:protein_coding description:F10K1.18 protein, putative isoform 3 MPLEYDESELRQITRLKKELEAALGRNGSLEKENQELKQEVARLKAQISSLKAHDNERKSMLWKKLHNSIDNSNADASLQKSSDFLKVSEQRLEAENVYPRPSFQELAVRKERQSKVPKPPPRSNSFISPSPKEVSENKVTTPSVPPPPPPPLPSKLLAGSRSVRRVPEVVELYRSLTRKDTNMENKTNAAATPVLAFSRNMIGEIENRSTYVSAIKSDVEKQKEFINFLISEVQSAAFKDISDVEVFVKWLDQELSSLIDERAVLKHFPQWPERKADALREAAFSYRDLKNLEAEVSSFEVNPSVSFNSVLRRMQALQDRRACWSKV >EOY29865 pep chromosome:Theobroma_cacao_20110822:9:2611698:2615108:1 gene:TCM_037265 transcript:EOY29865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase 1 MEAASLSGVLSHTNLSGSNLRNSDYRFSRSIRRGRCVASVSRHSSEGSNDVDKPSSKALVISSSDSNTKNYSALKGSNLSGGSNQKRNLNLQTNCAVGFCTFGENVVESHAHAAEEKLGVLLLNLGGPETLNDVQPFLYNLFADPDIIRLPRLFKFLQRPLAKLISVLRAPKSKEGYAAIGGGSPLRKITDEQADALRMALEAKNVCANIYVGMRYWYPFTEEAIEQIKRDRITKLVVLPLYPQFSISTTGSSIRVLQSIFREDAYLSRLPVSVILSWYQRQGYIRSMADLIEKELGRFPKPEEVMIFFSAHGVPVSYVEDAGDPYKDQMEECICLIMQELKARGIGNDHKLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPISFVSEHIETLEEIDMEYKDLALESGIKNWGRVPALGCTSSFITDLADAVVEALPSAKAMSTSRDTAEESEYDPLNYLVKLFRGSILAFILLLTPKVLLAFRNHLF >EOY34542 pep chromosome:Theobroma_cacao_20110822:9:41399895:41401311:1 gene:TCM_042179 transcript:EOY34542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGCCPHLLYYHFCLYPTAIKQLCDNVCISIEQFGVRRLKFSLDSVDFVLSHSLELLPTVWNQITLKFLNLKMERESMLVSLPVYTCITVRDQFASGILIPDTLSLKFSKIHKQRRKLVLPRRNSTVGGSKRMALALLLIELIDKDYVARLFLLFLVNYDNLICK >EOY32663 pep chromosome:Theobroma_cacao_20110822:9:32688346:32691471:1 gene:TCM_040694 transcript:EOY32663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 46, putative MMRKPDTSAKGSNGGNNNGTNKLRKGLWSPEEDDKLMNYMLTNGQGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIVHLHSILGNRLMVMDSRYIYVIKIMEVKEQDERWSQIAARLPGRTDNEIKNFWNSTIKKRLKNLSSTPSPNASDSSSEPNKNAMAGFKSMQEQGILPVYMDLSSTSPNSSLQSMVLNHTGNSLPMLEAGLNVFGATGCFNPASCVTQAGVNVDSFYGENEIFGSVDNGVERELYVPPLESIGENLKTENTYDRNINNPFNIINSTSNNNYKAENIAGAVGNFWLGEEIKVGEWDLEDLMKDVSSFPFLDFQS >EOY34274 pep chromosome:Theobroma_cacao_20110822:9:40561394:40561941:1 gene:TCM_042005 transcript:EOY34274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METLYSATSGRSKNFDKNPASSSMEYDSSPSFSNGPSHCIQFRDPSWICHSPGTNVHIHLYCSVRSVSLTPA >EOY34216 pep chromosome:Theobroma_cacao_20110822:9:40336684:40343298:1 gene:TCM_041959 transcript:EOY34216 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MESSQQQRRGGGLVSLSPAQTPRSSDKSMRDLRSGDSNSSSKHDKDKGVNVQVILRCRPLSEDEMRIHTPVVISCNESRREVCAVQNIANKQIDRTFLFDKVFGPSSQQKELFDLAVSPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPTDAGVIPRAVKQIFDILEAQNAEYSMKVTFLELYNEEITDLLAPEETSKFVVDDKTKKPIALMEDGKGGVFVRGLEEEIVTTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLNEEAEKKAMTEKIERMELESESKDKQITELQELYNSQRLLTSDLSEKLEKTEKKLEETEHALFDLEDNHRQANATIKEKEFLISNLLKSEKVLVERAFELRAELENAASDVSDLFAKIERKDKIEDGNIALIQKFQSQLTQQLEILHKTVAASVTQQEQQLKDMEEDMQSFVSTKSEATEELHGRLGKLKNTYGSGIKALDNIAIELDGNSKSTFGDLNSEVSKHSHDLEELFKGIASEADALLNDLQSSLYKQEEKLTTFAQQQREAHFRAVDTARSISKITVNFFETLDMHASKLTKIVEEAQTVNDKNLSEFEKKFEECAANEEKQLLQKVAELLAGSSARKKKLVQMAVHDLRENTSSKTSELQKEMSTMQESTSLVKTEWTVHMENTESHYFEDTSAVESGKKDMEEVLQNCLKKARVSSQQWRNAQESLLSLEKRNVDSVDSIVRGGMEANQILRDQFSSAVSTALEDVDTANNSCLTSIDHSLQLDHDACGNMNSMIVPCCEDLRELKGGHYHKIVEITENAGKCLEEEYMVDKPSCSTPRRRPFNLPSESSIEELKTPPFEELLKLFWEAKSAKLANGDVKHILAAYEAAQSLRDPRVPLTAIN >EOY34217 pep chromosome:Theobroma_cacao_20110822:9:40336980:40342724:1 gene:TCM_041959 transcript:EOY34217 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 1 MESSQQQRRGGGLVSLSPAQTPRSSDKSMRDLRSGDSNSSSKHDKDKGVNVQVILRCRPLSEDEMRIHTPVVISCNESRREVCAVQNIANKQIDRTFLFDKVFGPSSQQKELFDLAVSPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPTDAGVIPRAVKQIFDILEAQNAEYSMKVTFLELYNEEITDLLAPEETSKFVVDDKTKKPIALMEDGKGGVFVRGLEEEIVTTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLNEEAEKKAMTEKIERMELESESKDKQITELQELYNSQRLLTSDLSEKLEKTEKKLEETEHALFDLEDNHRQANATIKEKEFLISNLLKSEKVLVERAFELRAELENAASDVSDLFAKIERKDKIEDGNIALIQKFQSQLTQQLEILHKTVAASVTQQEQQLKDMEEDMQSFVSTKSEATEELHGRLGKLKNTYGSGIKALDNIAIELDGNSKSTFGDLNSEVSKHSHDLEELFKGIASEADALLNDLQSSLYKQEEKLTTFAQQQREAHFRAVDTARSISKITVNFFETLDMHASKLTKIVEEAQTVNDKNLSEFEKKFEECAANEEKQLLQKVAELLAGSSARKKKLVQMAVHDLRENTSSKTSELQKEMSTMQESTSLVKTEWTVHMENTESHYFEDTSAVESGKKDMEEVLQNCLKKARVSSQQWRNAQESLLSLEKRNVDSVDSIVRGGMEANQILRDQFSSAVSTALEDVDTANNSCLTSIDHSLQLDHDACGNMNSMIVPCCEDLRELKGGHY >EOY32250 pep chromosome:Theobroma_cacao_20110822:9:24625380:24632304:1 gene:TCM_039903 transcript:EOY32250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSDYKVSYHFLATKMILVKHLSNVTEDQVVFLYIIVTEKSIDIGQLIFNNIVMSAQSPRDELCYPSLFSTLCHQAGVVWSTNEELLYLKIPLDGGIINRLKRHLDHQVDYLKAIEEMMRAYVEHVSMDMAIFPTLPIDHT >EOY32065 pep chromosome:Theobroma_cacao_20110822:9:19979896:19984910:-1 gene:TCM_039510 transcript:EOY32065 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II ctd phosphatase, putative isoform 1 MCILCGQRLDDESGVTFGYIHKGLRLGNDEIVRLRSTDMKNLLRHKKLYLVLDLDHTLLNSTQLMHLTPDEEYLKGQSDSLQDVSRGSLFMLDFMHMMTKLRPFVRTFLKEASEMFEMYIYTMGDRPYALEMAKLLDPRREYFSDRVISRDDGTQKHQKGLDVVLGQESAVVILDDTENAWMKHKDNLILMERYHYFASSCHQFGYKCKSLSQLKSDESEPDGALASVLKALRQIHHMFFDELDCNLASRDVRQVLKTVQEEVLKGCKIVFSHVFPTNFPAESHPLWKMAEQLGATCSTETDLSVTHVVSTDAGTEKSRWAVKEKKFLVHPRWIEATNYLWQKQPEENFPVSQGKNQ >EOY32064 pep chromosome:Theobroma_cacao_20110822:9:19979850:19985409:-1 gene:TCM_039510 transcript:EOY32064 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II ctd phosphatase, putative isoform 1 MSLVTDSPVHSSSSDDFAALLDAELEVGSSGSSPDEEDVEADGDNNNDNNDDHDDDDDLDSQRNKRCKTEKLEDLEESRGSTSQGLIEDKIVIHAELSLKKDICTHPGSFGQMCILCGQRLDDESGVTFGYIHKGLRLGNDEIVRLRSTDMKNLLRHKKLYLVLDLDHTLLNSTQLMHLTPDEEYLKGQSDSLQDVSRGSLFMLDFMHMMTKLRPFVRTFLKEASEMFEMYIYTMGDRPYALEMAKLLDPRREYFSDRVISRDDGTQKHQKGLDVVLGQESAVVILDDTENAWMKHKDNLILMERYHYFASSCHQFGYKCKSLSQLKSDESEPDGALASVLKALRQIHHMFFDELDCNLASRDVRQVLKTVQEEVLKGCKIVFSHVFPTNFPAESHPLWKMAEQLGATCSTETDLSVTHVVSTDAGTEKSRWAVKEKKFLVHPRWIEATNYLWQKQPEENFPVSQGKNQ >EOY29465 pep chromosome:Theobroma_cacao_20110822:9:1265295:1268787:1 gene:TCM_036987 transcript:EOY29465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epsin N-terminal domain-containing protein / clathrin assembly protein-related isoform 2 MAPSTIRKAIGAVKDQTSIGIAKVASNMAPDLEVAIVKATSHDDDPADEKYIREILNMTSYSRGYVQACVSAVSKRLGKTRDWIVALKALVLVHRLLNDGDPVFQEGILYATRRGTRLLNMSDFRDEAHSSSWDHSAFVRTYAMYLDQRLELMLFDRKGGGGGSGAGGSSHGNADDRYGGRDNFRSPPPRPYEYDYGDFRGDNGYGNYGMTRRTRSYGDMSEAVGRDGREEKKTVTPLREMKPERIFGKMGHLQRLLDRFLSCRPTGLAKNSRMILIALYPVVKESFQLYADICEVLAVLLDRFFDMDYPDCVKAFDAYASAAKQIDELIAFYNWCKDTGVARSSEYPEVQRITSKLLETLEEFVRDRAKRPKSPERKELPPPPKEEEPAPDMNEIKALPPPENYTPPPPPEPAPVKPPEPQEDLVNLRDDAVTADDQGNKLALALFNGPPANNGNGSWEAFPSNGQPEVTSAWQTPAAEPGKADWELALVESASNLSRQKAALGGGLDPLLLNGMYDQGMVRQHVSTAQLSGGSASSVALPGPGKSTTPVLALPAPDGTVQNVNQDPFAASLSIPPPSYVQMADMEKKQHLLVQEQQVWQKYARDGMQGQASLAKISNPGYHGPGPMPVMPYGMPPVNGMGPPAGLSCQLLTSHAG >EOY29464 pep chromosome:Theobroma_cacao_20110822:9:1263146:1269379:1 gene:TCM_036987 transcript:EOY29464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epsin N-terminal domain-containing protein / clathrin assembly protein-related isoform 2 MAPSTIRKAIGAVKDQTSIGIAKVASNMAPDLEVAIVKATSHDDDPADEKYIREILNMTSYSRGYVQACVSAVSKRLGKTRDWIVALKALVLVHRLLNDGDPVFQEGILYATRRGTRLLNMSDFRDEAHSSSWDHSAFVRTYAMYLDQRLELMLFDRKGGGGGSGAGGSSHGNADDRYGGRDNFRSPPPRPYEYDYGDFRGDNGYGNYGMTRRTRSYGDMSEAVGRDGREEKKTVTPLREMKPERIFGKMGHLQRLLDRFLSCRPTGLAKNSRMILIALYPVVKESFQLYADICEVLAVLLDRFFDMDYPDCVKAFDAYASAAKQIDELIAFYNWCKDTGVARSSEYPEVQRITSKLLETLEEFVRDRAKRPKSPERKELPPPPKEEEPAPDMNEIKALPPPENYTPPPPPEPAPVKPPEPQEDLVNLRDDAVTADDQGNKLALALFNGPPANNGNGSWEAFPSNGQPEVTSAWQTPAAEPGKADWELALVESASNLSRQKAALGGGLDPLLLNGMYDQGMVRQHVSTAQLSGGSASSVALPGPGKSTTPVLALPAPDGTVQNVNQDPFAASLSIPPPSYVQMADMEKKQHLLVQEQQVWQKYARDGMQGQASLAKISNPGYHGPGPMPVMPYGMPPVNGMGPPAGLSCQLLTSHAELCIALYQHLSFLKQNA >EOY29466 pep chromosome:Theobroma_cacao_20110822:9:1265295:1268787:1 gene:TCM_036987 transcript:EOY29466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epsin N-terminal domain-containing protein / clathrin assembly protein-related isoform 2 MAPSTIRKAIGAVKDQTSIGIAKVASNMAPDLEVAIVKATSHDDDPADEKYIREILNMTSYSRGYVQACVSAVSKRLGKTRDWIVALKALVLVHRLLNDGDPVFQEGILYATRRGTRLLNMSDFRDEAHSSSWDHSAFVRTYAMYLDQRLELMLFDRKGGGGGSGAGGSSHGNADDRYGGRDNFRSPPPRPYEYDYGDFRGDNGYGNYGMTRRTRSYGDMSEAVGRDGREEKKTVTPLREMKPERIFGKMGHLQRLLDRFLSCRPTGLAKNSRMILIALYPVVKESFQLYADICEVLAVLLDRFFDMDYPDCVKAFDAYASAAKQIDELIAFYNWCKDTGVARSSEYPEVQRITSKLLETLEEFVRDRAKRPKSPERKELPPPPKEEEPAPDMNEIKALPPPENYTPPPPPEPAPVKPPEPQEDLVNLRDDAVTADDQGNKLALALFNGPPANNGNGSWEAFPSNGQPEVTSAWQTPAAEPGKADWELALVESASNLSRQKAALGGGLDPLLLNGMYDQGMVRQHVSTAQLSGGSASSVALPGPGKSTTPVLALPAPDGTVQNVNQDPFAASLSIPPPSYVQMADMEKKQHLLVQEQQVWQKYARDGMQGQASLAKISNPGYHGPGPMPVMPYGMPPVNGMGPPAGLSCQLLTSHAG >EOY29573 pep chromosome:Theobroma_cacao_20110822:9:1614519:1616776:1 gene:TCM_037071 transcript:EOY29573 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS3-interacting protein 3 MAEKTKSDHSALLHGKYELGRMLGHGTFAKVYHARNLQTGKSVAMKVVGKEKVIKVGMMDQIKREISVMKMVKHPHIVELHEVMASKSKIYFAMELVRGGELFSKIAKGRLKEDLARVYFQQLVSAIDFCHSRGVYHRDLKPENLLLDEDGNLKVTDFGLSAFSEHLKQDGLLHTTCGTPAYVAPEVIGKKGYDGAKADIWSCGVILYVLLAGFLPFQDDNLVAMYRKIYRGDFKCPPWFSPEARRLITKLLDPNPSTRITISKIMDSSWFKKSMPKTVRTKEELEFEAFNGDKSSKPETLNAFHIISLSEGFDLSPLFEEKKREEKGELRFATTRPASSVISRLEEVAKSMKFSVKKSESRVRLQGQECGRKGKLAIAADIFAVTPSFLVVEVKKDHGDTLEYNQFCSKELRPALKDIVWTSPAENSTLA >EOY30962 pep chromosome:Theobroma_cacao_20110822:9:6491424:6495144:-1 gene:TCM_038002 transcript:EOY30962 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein, putative isoform 1 MIVERVSRKAIRPCPPALVVPDNWVPGDVVEVFDNFSWKMATVLGILEKKYILVRLLGSSLEFKVSRFDIRVRQSWQDDEWVVIGKGSGSCEDGKYGENYTLRYNQNSSSQFQNTTRMTNRHLKGECGPVNKKVNYQVSVIASSKTLKIGCYSQTEAFAGARQKFRAVEKDGRLYRLVAANPSIHEQDDAVAFPRDMLGEKYIHASIDNRTGLSEVDAERRKPNGAVGCYIAEHLETNDTERVTCSVGSCSVSSNNLYKLPLRVSAGPIEDVDGQCSDAESFCPRGDEG >EOY30961 pep chromosome:Theobroma_cacao_20110822:9:6489896:6495991:-1 gene:TCM_038002 transcript:EOY30961 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein, putative isoform 1 MRFKKGTKVEVLSKKEVPSGSWQCAEIISGNGHSYTVRYQGYSGATDKMIVERVSRKAIRPCPPALVVPDNWVPGDVVEVFDNFSWKMATVLGILEKKYILVRLLGSSLEFKVSRFDIRVRQSWQDDEWVVIGKGSGSCEDGKYGENYTLRYNQNSSSQFQNTTRMTNRHLKGECGPVNKKVNYQVSVIASSKTLKIGCYSQTEAFAGARQKFRAVEKDGRLYRLVAANPSIHEQDDAVAFPRDMLGEKYIHASIDNRTGLSEVDAERRKPNGAVGCYIAEHLETNDTERVTCSVGSCSVSSNNLYKLPLRVSAGPIEDVDGQCSDAESFCPRGDEG >EOY30960 pep chromosome:Theobroma_cacao_20110822:9:6491424:6496218:-1 gene:TCM_038002 transcript:EOY30960 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein, putative isoform 1 MRFKKGTKVEVLSKKEVPSGSWQCAEIISGNGHSYTVRYQGYSGATDKMIVERVSRKAIRPCPPALVVPDNWVPGDVVEVFDNFSWKMATVLGILEKKYILVRLLGSSLEFKVSRFDIRVRQSWQDDEWVVIGKGSGSCEDGKYGENYTLRYNQNSSSQFQNTTRMTNRHLKGECGPVNKKVNYQVSVIASSKTLKIGCYSQTEAFAGARQKFRAVEKDGRLYRLVAANPSIHEQDDAVAFPRDMLGEKYIHASIDNRTGLSEVDAERRKPNGAVGCYIAEHLETNDTERVTCSVGSCSVSSNNLYKLPLRVSAGPIEDVDGQCSDAESFCPRGDEG >EOY30963 pep chromosome:Theobroma_cacao_20110822:9:6493544:6496098:-1 gene:TCM_038002 transcript:EOY30963 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein, putative isoform 1 MRFKKGTKVEVLSKKEVPSGSWQCAEIISGNGHSYTVRYQGYSGATDKMIVERVSRKAIRPCPPALVVPDNWVPGDVVEVFDNFSWKMATVLGILEKKYILVRLLGSSLEFKVSRFDIRVRQSWQDDEWVVIGKGSGSCEDGKYGENYTLRYNQNSSSQFQNTTRMTNRHLKEAFAGARQKFRAVEKDGRLYRLVAANPSIHEQDDAVAFPRDMLGEKYIHASIDNRTGLSEVDAERRKPNGAVGCYIAEHLETNDTERVTCSVGSCSVSSNNLYKLPLRVSAGPIEDVDGQCSDAESFCPRGDEVGNCLLPTKEELAAEIHRIELHAYRCTMEALHASGPLSWEQEALVTNLRLSLHISNDEHLMELRNLISADSGIPIR >EOY30463 pep chromosome:Theobroma_cacao_20110822:9:4691075:4698510:-1 gene:TCM_037665 transcript:EOY30463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase MWNRDMLSRVTVFMLWLLFSSWVFSVSATVSYDSKAIIINGRRRILLSGSIHYPRSTPQMWPDLIAKAKEGGLDVIQTYVFWNGHEPSPGKYYFDDRYDLVRFIKLVQQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGIVFRTDNGPFKVENFDYLIAAMQKFTEKIVSMMKAEKLFQTQGGPIIMSQIENEFGPVEWEIGAPGKAYTKWAAQMAVGLGTGVPWIMCKQDDAPDPVINTCNGFYCENFTPNAKYKPKMWTENWTGWFTEFGGAVPTRPAEDIAFSVARFIQNGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLPREPKWGHLRDLHKAIKLSEPALVSADPTVTSLGSNQEAHVFKAKSGACAAFLANYDTKYSVKVTFGNVQYDLPAWSISILPDCKTAVFNTARLGAQSSQKKMVPVNSAFSWQSYNEESPSADDQDATVKDGLLEQIYVTRDASDYLWYMTDVQIDPNEGFLTSGQDPSLTIWSAGHALHVFINGQLSGTAYGELDNPKLTFSKNVKLRAGINKISLLSIAVGLPNVGVHFETWNAGVLGPVTLKGLNEGSRDLSKQKWSYKIGLKGEALSLHTVTGSSSVEWVKGSLLVKKQPMTWYKTTFNAPGGNEPLALDMSSMGKGQIWINGQSIGRHWPGYIARGACGACDYAGTYSDKKCRTNCGEPSQRWYHVPRSWLNPSGNLMVVFEEWGGDPSGISLVKRTTGSVCADIFEAQPTMKNWGMLASGKINRPKAHLWCPPGQKISEIKFASYGIPEGTCGSFSEGSCHAHRSYDAFQKNCIGKQSCSVTVAPEVFGGDPCPDSMKKLSVEAACN >EOY29883 pep chromosome:Theobroma_cacao_20110822:9:2678505:2685697:-1 gene:TCM_037277 transcript:EOY29883 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 4 MSPGASFQMLRRSVSSSSYELIAFFFFTLFCLASFSLAQQQQLIESDRLDDDSNATVSNASSITKPKDGTFAAIIDRALEKEFTENEQNEVNDDAGSFNNSVAEQQAVLETVARVKTKKNDTKEEKSFQLHDVFNLENDNRAEDTPMLIDRKDNVFIISNFKSKFPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSLIGPGGFSFVSEMVQVETVAQFGVIFLLFALGLEFSIAKLRVVRAVAVLGGLLQIILFMCLCGISASLCGGRLSEGVFVGVFLSMSSTAVVLKFLMEKNSTNMLHGQVTIGTLILQDCAVGLLFALLPVLGGTSGVFQGVISMTKLLVMLLAFLAVLSILSRTCLPWLLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLIHVHFLWNHVDILLASVILVITIKTIIIALVVKGFGYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAILHLGVLLRWFSPERESSIEVGIKADSLRSDSGKHRIILMAQESHDS >EOY29566 pep chromosome:Theobroma_cacao_20110822:9:1572820:1574791:1 gene:TCM_037065 transcript:EOY29566 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain with WD40/YVTN repeat-like protein MGIQKDIVKFNVGGRIFQTTATTLANAGRNSFFGALFDDNWNLQQQQPNNRQEFFIDRNPDCFAILLDLLRTGDLYIPSNVPERLLYKEATFYGLIDHVRSAKWGPFDGNRLKLSKSVTGRAPGDGTAIRAGPDGGCCVAHGSMVHVFDWMLEEHPPINLDYQRVNDIGWVNPENVLISACERLGRGDGGMGLFSSSTGDLRYKFNVVHDNQVKSYTAGALSFSPDYKIFASCKGRSNEYGIGVWDQVTGKQIDFFYESPGWSLGDADKLQWLNGSNCLLVATLFPRKDNCYISLLDFREKRMVWSWSDIGAPLTVDEKRVRDAIAMEECNSVCVVNEYEDLGFMDLRISGGSVRWSSRSKLMKGKMPDEPCYPKLALHNGQLFSSMNDCISVFCGPDWVLTSRLRRSYGGSICDFSIGGDRLFALHSEENVFDIWETPPPPVI >EOY29736 pep chromosome:Theobroma_cacao_20110822:9:2207680:2211726:-1 gene:TCM_037185 transcript:EOY29736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid 9,10(9',10')-cleavage dioxygenase 1, putative isoform 3 MAASFVAFQVHCSAQKPPISLNSGHFKTFLSSTIFKLPLRINVLKAIKKTSVKLVDAFVDSVFEFIDQPLLPSQSNFAPIDELKEAVLVTSIDGEIPDGFPEGVYLRIGPNPLFGGLKSTKSVFGRSSHMWVEGEGMVHALYLGKGVEGNWTVCYNNRHVETETFKLEKQRNKPSFLPAAEGDSPAIVCAYLLNMLRFGQVNKYLSNTNVIEHSGKLYTIAENHLPQEIDILTLKALDMWDVNGAWNLPFTSHPKKAPGTGELVTFGISATKPYAELGVISADGKELVHRVDLKLNRCPLSHEILVTQRYNVFLDYALTVDLGRLLLGGSLIKYDKEGYARMGVMHRYGDADSIQWFEVKPNCTFHFINSFEDGDEVVIRGCRALESVIPGPDQGMNKFEWFSRKFRPIESTEGSLDAMSEDQLVFPRPYEWRLNMRTGHVKERNLAGTQFSMDFPVINGAYTGLKNKYGYAQVRDCIASSSSGMAKYGGLVKLSFEEPNTGISSRQRQVEGLTKGEYHMFKENTFCTGAAFVPKEGGVEEDDGWIITFVHNEDTNISQVYIIDTRNFSGEPVAKITLPCRVPYGFHGAYIPMQLHENLTRMVPTPDSID >EOY29737 pep chromosome:Theobroma_cacao_20110822:9:2207189:2212113:-1 gene:TCM_037185 transcript:EOY29737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid 9,10(9',10')-cleavage dioxygenase 1, putative isoform 3 MAASFVAFQVHCSAQKPPISLNSGHFKTFLSSTIFKLPLRINVLKAIKKTSVKLVDAFVDSVFEFIDQPLLPSQSNFAPIDELKEAVLVTSIDGEIPDGFPEGVYLRIGPNPLFGGLKSTKSVFGRSSHMWVEGEGMVHALYLGKGVEGNWTVCYNNRHVETETFKLEKQRNKPSFLPAAEGDSPAIVCAYLLNMLRFGQVNKYLSNTNVIEHSGKLYTIAENHLPQEIDILTLKALDMWDVNGAWNLPFTSHPKKAPGTGELVTFGISATKPYAELGVISADGKELVHRVDLKLNRCPLSHEILVTQRYNVFLDYALTVDLGRLLLGGSLIKYDKEGYARMGVMHRYGDADSIQWFEVKPNCTFHFINSFEDGDEVVIRGCRALESVIPGPDQGMNKFEWFSRKFRPIESTEGSLDAMSEDQLVFPRPYEWRLNMRTGHVKERNLAGTQFSMDFPVINGAYTGLKNKYGYAQVRDCIASSSSGMAKYGGLVKLSFEEPNTGISSRQVEGLTKGEYHMFKENTFCTGAAFVPKEGGVEEDDGWIITFVHNEDTNISQVYIIDTRNFSGEPVAKITLPCRVPYGFHGAYIPMQLHENLTRMVPTPDSID >EOY29738 pep chromosome:Theobroma_cacao_20110822:9:2207745:2211950:-1 gene:TCM_037185 transcript:EOY29738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid 9,10(9',10')-cleavage dioxygenase 1, putative isoform 3 MAASFVAFQVHCSAQKPPISLNSGHFKTFLSSTIFKLPLRINVLKAIKKTSVKLVDAFVDSVFEFIDQPLLPSQSNFAPIDELKEAVLVTSIDGEIPDGFPEGVYLRIGPNPLFGGLKSTKSVFGRSSHMWVEGEGMVHALYLGKGVEGNWTVCYNNRHVETETFKLEKQRNKPSFLPAAEGDSPAIVCAYLLNMLRFGQVNKYLSNTNVIEHSGKLYTIAENHLPQEIDILTLKALDMWDVNGAWNLPFTSHPKKAPGTGELVTFGISATKPYAELGVISADGKELVHRVDLKLNRCPLSHEILVTQRYNVFLDYALTVDLGRLLLGGSLIKYDKEGYARMGVMHRYGDADSIQWFEVKPNCTFHFINSFEDGDEVVIRGCRALESVIPGPDQGMNKFEWFSRKFRPIESTEGSLDAMSEDQLVFPRPYEWRLNMRTGHAWQRGIPYVQGKHFLHRSRLCP >EOY34158 pep chromosome:Theobroma_cacao_20110822:9:40082019:40086590:1 gene:TCM_041914 transcript:EOY34158 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine transporter family isoform 1 MAEQLPTTNGVKENNKLWKGIFAVSGIMITLVIYGILQEKIMRVPYGVNKEYFKYSLFLVFCNRITTSAVSAGSLLASRKALDPVAPVYKYCLISVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTFIMQKTYKGFDYLVAFLVTLGCSIFILFPAGTDISPYSKGRENTVWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCVLSLTGLILQGHLLPAIDFVYRHNDCFFDIALLSTVATASQFFISYTIRTFGALTFAAIMTTRQLASIMLSCVWFVHPLSWEQWIGAVSLHCNCSTSC >EOY34157 pep chromosome:Theobroma_cacao_20110822:9:40080986:40086467:1 gene:TCM_041914 transcript:EOY34157 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine transporter family isoform 1 MPLPGCSQSFVSMVCHLTVAPSYVPSFRFFLFFLKTLPSTTYPGEKIAEWAMAEQLPTTNGVKENNKLWKGIFAVSGIMITLVIYGILQEKIMRVPYGVNKEYFKYSLFLVFCNRITTSAVSAGSLLASRKALDPVAPVYKYCLISVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTFIMQKTYKGFDYLVAFLVTLGCSIFILFPAGTDISPYSKGRENTVWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCVLSLTGLILQGHLLPAIDFVYRHNDCFFDIALLSTVATASQFFISYTIRTFGALTFAAIMTTRQLASIMLSCVWFVHPLSWEQWIGAVIVFGSLYAKSFLKKASPKPPPPPRTLNGASSPLKGNP >EOY30655 pep chromosome:Theobroma_cacao_20110822:9:5299206:5301596:1 gene:TCM_037792 transcript:EOY30655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence/dehydration-associated protein-related isoform 1 MGCFRPKSSKTSAPMQPSSTEPVLAYPENKNLQLEVLLQIAGCTVHLMDEGEALELAKGVFTLVRILDEGVPLATIVKVGEDLQWPLTKDEPVVKLDSFHYLFSLPMKDGNPLSYGVTFSGQYGSSLLDSFLKDHSCFSGAASTGDKHVDWKVYAPRIEDYNNFLAKAIAGGTGQIVKGIFICTNAYTSQVQKGGEIILTQAPAENKGITASNGYRNSGATRKSGANKSLKRVRKLSKMTEKMSKAMLDMVGVASGTVMAPLVNSKPGKALLSMVPGEVLLASLDAVNKVLDAAEVAEKQAFSATSTAATRVMTERFGERAGEATEDVLATAGHCASAAWNIFKIRKAITPSSTATSGLLNNAAKYKSRKP >EOY30656 pep chromosome:Theobroma_cacao_20110822:9:5299480:5300774:1 gene:TCM_037792 transcript:EOY30656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence/dehydration-associated protein-related isoform 1 MGCFRPKSSKTSAPMQPSSTEPVLAYPENKNLQLEVLLQIAGCTVHLMDEGEALELAKGVFTLVRILDEGVPLATIVKVGEDLQWPLTKDEPVVKLDSFHYLFSLPMKDGNPLSYGVTFSGQYGSSLLDSFLKDHSCFSGAASTGDKHVDWKVYAPRIEDYNNFLAKAIAGGTGQIVKGIFICTNAYTSQVQKGGEIILTQAPAENKGITASNGYRNSGATRKSGANKSLKRVRKLSKMTEKMSKAMLDMVGVASGTVMAPLVNSKPGKALLSMVPGEVLLASLDAVSE >EOY34664 pep chromosome:Theobroma_cacao_20110822:9:41742687:41743879:-1 gene:TCM_042262 transcript:EOY34664 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 15, putative MSRDRERFDEIGKKIKTDASSQMGRRHLLGPPGTLNTITPCAACKLLRRRCAEECPFSPYFSPHEPQKFAAVHKVFGASNVSKMLMEVPESQRADAANSLVYEANLRLRDPVYGCMGAIVTLQQQMQTLQAELNAVRAEILKYKFREATSSNIIPSSHAALISSGAVSIAAPLSPLPPIPPPPPPSIVVSSASSSSTSSLYTPPTSAAGYSSISCDNVPYFD >EOY33469 pep chromosome:Theobroma_cacao_20110822:9:37671112:37676204:1 gene:TCM_041441 transcript:EOY33469 gene_biotype:protein_coding transcript_biotype:protein_coding description:WIP domain protein 3 MIRVAKRLSKFITLIAKGMEFTRERRRWGQECKEMGFRSYHVEDKNLCFQAPTFIEWLKPSSTPNSSSLSSSSSSSSSSSSLSSSSSSPSVTQQVQFINPMTILKLPVSFQQQQQEIVQETIQCLPLLSRLSENKPLKEEDAGMKKEISVGIKEEKIEKVTVSLHIGLPNTGDPVIENKVFKAEEPIKKTFHGCSFNTESRFWIPTPAQILVGPMQFACSICSKTFNRYNNMQMHMWGHGSEYRKGPDSLKGTQPAAMLRLPCYCCAQGCKNNINHPRAKPLKDFRTLQTHYKRKHGAKPFMCRKCGKTFAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIRSFGKGHSPHPSLEGFEDDKECITGSEDEFAH >EOY30950 pep chromosome:Theobroma_cacao_20110822:9:6391552:6396524:1 gene:TCM_037986 transcript:EOY30950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle triple-A ATPase 6A MATVGIERKQPASTEEMCTAKSGAKQGEGLRQYYLQHIHELQLNLRQKTHNLNRLEAQRNELNSRVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKNIDITKITPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRLDILKIHSRRMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >EOY29934 pep chromosome:Theobroma_cacao_20110822:9:2855168:2858876:-1 gene:TCM_037313 transcript:EOY29934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 2 MSSPQGHTLTANMADLVDGSTAHREVSHIDCLPVYVKELIAGGAAGAFAKTTLAPLERTKILLQTRTDGFQSLGVYQSLRKVLKLEGVLGFYKGNGASLIRIIPYAALHFMTYEQYRGWILDNFSSLGSGPVVDLLAGSASGGTAVLCTYPLDLARTKLAYQVVDTRTNFSSGIRGFYPRPAYSGITDVLTTVYKEGGIRGLYRGVGPTLVGILPYAGLKFYIYEALKTRVPEEHQKSILMHVSCGALAGLLGQTFTYPLDVVRRQMQVESLQCSTIQGGRRYRNTIEGLTTIVRNQGWRQLFAGLSINYIKVVPSVAIGFTAYDMMKVWLHIPPRQKAQAVSAG >EOY29935 pep chromosome:Theobroma_cacao_20110822:9:2855716:2858184:-1 gene:TCM_037313 transcript:EOY29935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 2 MSSPQGHTLTANMADLVDGSTAHREVSHIDCLPVYVKELIAGGAAGAFAKTTLAPLERTKILLQTRTDGFQSLGVYQSLRKVLKLEGVLGFYKGNGASLIRIIPYAALHFMTYEQYRGWILDNFSSLGSGPVVDLLAGSASGGTAVLCTYPLDLARTKLAYQVVDTRTNFSSGIRGFYPRPAYSGITDVLTTVYKEGGIRGLYRGVGPTLVGILPYAGLKFYIYEALKTRVPEEHQKSILMHVSCGALAGLLGQTFTYPLDVVRRQMQVESLQCSTIQGGRRYRNTIEGLTTIVRNQGWRQLFAGLSINYIKVVYISATIST >EOY29936 pep chromosome:Theobroma_cacao_20110822:9:2855487:2859114:-1 gene:TCM_037313 transcript:EOY29936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein isoform 2 MSSPQGHTLTANMADLVDGSTAHREVSHIDCLPVYVKELIAGGAAGAFAKTTLAPLERTKILLQTRTDGFQSLGVYQSLRKVLKLEGVLGFYKGNGASLIRIIPYAALHFMTYEQYRGWILDNFSSLGSGPVVDLLAGSASGGTAVLCTYPLDLARTKLAYQVVDTRTNFSSGIRGFYPRPAYSGITDVLTTVYKEGGIRGLYRGVGPTLVGILPYAGLKFYIYEALKTRVPEEHQKSILMHVSCGALAGLLGQTFTYPLDVVRRQMQVESLQCSTIQGGRRYRNTIEGLTTIICIC >EOY31858 pep chromosome:Theobroma_cacao_20110822:9:16614452:16615331:1 gene:TCM_039169 transcript:EOY31858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIMGIHVLLRTGSVTYQKLYLWSTSDPFFIDIDNLVGIRNLSTIFSVGPLFCPVIFSSFFFFYAKSRTN >EOY33671 pep chromosome:Theobroma_cacao_20110822:9:38477233:38490933:1 gene:TCM_041573 transcript:EOY33671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fiber protein Fb17, putative MSLPSQDNSNELTQQLDSIADQILLEDGRTFFSRSIPLAISPDESTQQMNSQLEPDMEVKTGSVAQNEDGSTCWRSFSPDISLNESWGSIIEMLSTGEPPYPSADFNVAPSFVAQTEDLSLTILTSKTDLLPPGERNPKELPQPLNSHGHVEKGVQCFEPNASHMDHEERPSDQASSGRKRRGPKPRVTPAKKAKNQIDQAGASAEACDRQKRKSREPIIRRKDQENERKKKKNENDRKYRENIKIELTDLRKIKPEYDRLMKLASSFGGIDQLESQINHIKSELHKRQQEEVGNDMFQQVIGDSANQVPLIRANEVQVCGNEEMESLLDKYKEMEVESHRLELMKSKYGEIEEMEAMLDKFKNMEAEANSLELMKSKYGEIDEIESMLDKFKNLEAESHRFEQIKLSFGGVDEIEPEINRLKKIELQLEKHKQMVNRKELDSFQASPSPGSLQQLEMDQLYRQQRKEADFNRFEQMKSKFGGTEEMESKLDKFHRMEAEFHRFEQIKSEFGGIDEIEARIYRLKEIESQHDNQKELHFFPESPGSLQLALQEQRGMQSLDLTGNSDMVSVDGISLMSPAAVRETNITHDMQYSDVLVTKFMAKLDDDSVVSNVDLSSFKDLDGERKKIGKYSIPPSLVSTAEGIIKAYGDITDKCRFGYSVVETAYILLCAAIKEMSNLSLEQVSEEVMLKWRDAIKDAKGLNCDAKFAMEDLKKIAYGYFGIKAKSDSEILEQRMTSLKTEALALKTELDNKTKEMKDLKAKEEDLTSAQCKVCQEFAWKRFYHNLSLLSAFDIAVEMLTGGDHQIKLNSGVSLSKLHQTQFLQIATHDRIPPHCLWLEEILLALVRCLLILRILKFIVLRDCPVACSLYDILGIPSKESFLFLWCNVFDWKNSNLSCFSSPLASTGYLVCL >EOY34679 pep chromosome:Theobroma_cacao_20110822:9:41769820:41771953:-1 gene:TCM_042271 transcript:EOY34679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKHAMFVCLCRKLQNQSATEEKFLSCSPIIHVKFSTNRLDRPSSFGQIIEEGNDKSYWEDFEVEVTVTSGEVC >EOY30863 pep chromosome:Theobroma_cacao_20110822:9:6044502:6045954:1 gene:TCM_037922 transcript:EOY30863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein MGKLSFGKMLDCLYLSSGSCSCFCMNTLEDEFEKKPLITSEKTQLLRLKDVVASNHQTLAFQLKPKMVVLRVSMHCHGCARKVEKHISKLDGVTSYKVDLESKRVVVIGDIIPFEVLESVSKVKNAELWTSPC >EOY33319 pep chromosome:Theobroma_cacao_20110822:9:36709529:36712029:1 gene:TCM_041277 transcript:EOY33319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha-5, putative MQNIFRMTDLGQMSYFLGLQILQGNIGIYICQSNYIGEVLDKFKMSDCKTVATPLVPHEKLSVDKGSVLANLSAFRSLIGSLLYICALRPDLMFAVSYMSKFIQVPTTEHFSAAKRVLRYLRSIANFGLQFTCSDENSVELVGFSDSDWVGCVDDCKSTYGYVFTLGNGVFCWNSKKKETTAQSPVEAEYIVAATVANQAIWMRKILTDLGFLHVPPVKLYVDNKSAIAMVKNPVFHSRTKHIKVKYHALREAEKNQDISIQHCSSSDQLVDILTKSLHLSRTSVHFASLYWFDKLVSTAVVEPHNSVLSTHSLLEHTDVAVLLNSEPIYDIYWRSLDIERPTYTNLNRLISQIILSLTSSLRFDGAINVEITEFQTNLVPYPRIHFMLSSCAPVISAEKAYHEQLSAPEITNAVFEPASMMAKCDPRHGRYMACCLMYRGDVVPNDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAIRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVEDDEEGEDY >EOY30323 pep chromosome:Theobroma_cacao_20110822:9:4234991:4237379:1 gene:TCM_037576 transcript:EOY30323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit A-3, putative isoform 6 MAIRVQNLPKKNIDESSVHSLSHLSVSCPPWWNSNEQQIAQTLPQNITLKVETPSQLYHNAKHLGLQLPDQESSSAQAIGQSHHEVGVIGVTNSQCNSSESGQDESCGKDIEGQMKPVFLLNNPNTVFSPSHPNYNHSMAYARNPYADAYFGGLFTPYGQQAIIQPQVAGSAPTRIPLPLDLDEDGPIYVNAKQYHGILRRRQYRAKLEAQNKLVKARKPYLHESRHLHALNRVRGSGGRFLPTKKLQQCDPTFNTSSHCISDTSCSDQKNSRSEFESRCSHTAEYVGSSTSCSDITSVSNSDGNFQQPEHRFSDISPHVGSHMRNSGSMCNGIQHCASIVR >EOY30326 pep chromosome:Theobroma_cacao_20110822:9:4232706:4237563:1 gene:TCM_037576 transcript:EOY30326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit A-3, putative isoform 6 MKPVFLLNNPNTVFSPSHPNYNHSMAYARNPYADAYFGGLFTPYGQQAIIQPQVAGSAPTRIPLPLDLDEDGPIYVNAKQYHGILRRRQYRAKLEAQNKLVKARKPYLHESRHLHALNRVRGSGGRFLPTKKLQQCDPTFNTSSHCISDTSCSDQKNSRSEFESRCSHTAEYVGSSTSCSDITSVSNSDGNFQQPEHRFSDISPHVGSHMRNSGSMCNGIQHCASIVR >EOY30324 pep chromosome:Theobroma_cacao_20110822:9:4232706:4237563:1 gene:TCM_037576 transcript:EOY30324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit A-3, putative isoform 6 MAIRVQNLPKKNIDESSVHSLSHLSVSCPPWWNSNEQQIAQTLPQNITLKVETPSQLYHNAKHLGLQLPDQESSSAQAIGQSHHEVGVIGVTNSQCNSSESGQDESCGKDIEGQMKPVFLLNNPNTVFSPSHPNYNHSMAYARNPYADAYFGGLFTPYGQQAIIQPQVAGSAPTRIPLPLDLDEDGPIYVNAKQYHGILRRRQYRAKLEAQNKLVKARKPYLHESRHLHALNRVRGSGGRFLPTKKLQQCDPTFNTSSHCISDTSCSDQKNSRSEFESRCSHTAEYVGSSTSCSDITSVSNSDGNFQQPEHRFSDISPHVGSHMRNSGSMCNGIQHCASIVR >EOY30327 pep chromosome:Theobroma_cacao_20110822:9:4232706:4238151:1 gene:TCM_037576 transcript:EOY30327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit A-3, putative isoform 6 GGRVSREGTCLSSFQQNNSLLLLHASSDPIGQDESCGKDIEGQMKPVFLLNNPNTVFSPSHPNYNHSMAYARNPYADAYFGGLFTPYGQQAIIQPQVAGSAPTRIPLPLDLDEDGPIYVNAKQYHGILRRRQYRAKLEAQNKLVKARKPYLHESRHLHALNRVRGSGGRFLPTKKLQQCDPTFNTSSHCISDTSCSDQKNSRSEFESRCSHTAEYVGSSTSCSDITSVSNSDGNFQQPEHRFSDISPHVGSHMRNSGSMCNGIQHCASIVR >EOY30322 pep chromosome:Theobroma_cacao_20110822:9:4233423:4237679:1 gene:TCM_037576 transcript:EOY30322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit A-3, putative isoform 6 MAIRVQNLPKKNIDESSVHSLSHLSVSCPPWWNSNEQQIAQTLPQNITLKVETPSQLYHNAKHLGLQLPDQESSSAQAIGQSHHEVGVIGVTNSQCNSSESGQDESCGKDIEGQMKPVFLLNNPNTVFSPSHPNYNHSMAYARNPYADAYFGGLFTPYGQQAIIQPQVAGSAPTRIPLPLDLDEDGPIYVNAKQYHGILRRRQYRAKLEAQNKLVKARKPYLHESRHLHALNRVRGSGGRFLPTKKLQQCDPTFNTSSHCISDTSCSDQKNSRSEFESRCSHTAEYVGSSTSCSDITSVSNSDGNFQQPEHRFSDISPHVGSHMRNSGSMCNGIQHCASIVR >EOY30325 pep chromosome:Theobroma_cacao_20110822:9:4233423:4237679:1 gene:TCM_037576 transcript:EOY30325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit A-3, putative isoform 6 MAIRVQNLPKKNIDESSVHSLSHLSVSCPPWWNSNEQQIAQTLPQNITLKVETPSQLYHNAKHLGLQLPDQESSSAQAIGQSHHEVGVIGVTNSQCNSSESGQDESCGKDIEGQMKPVFLLNNPNTVFSPSHPNYNHSMAYARNPYADAYFGGLFTPYGQQAIVAGSAPTRIPLPLDLDEDGPIYVNAKQYHGILRRRQYRAKLEAQNKLVKARKPYLHESRHLHALNRVRGSGGRFLPTKKLQQCDPTFNTSSHCISDTSCSDQKNSRSEFESRCSHTAEYVGSSTSCSDITSVSNSDGNFQQPEHRFSDISPHVGSHMRNSGSMCNGIQHCASIVR >EOY29541 pep chromosome:Theobroma_cacao_20110822:9:1509272:1509637:-1 gene:TCM_037048 transcript:EOY29541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSGYLGNVDDGAQKKEDDGQDWILASRNVTINEREAVDCMELMEHSAWLKVDDDERCLGKGIGQGSGSTKAGSDDVGAPIGLGR >EOY32282 pep chromosome:Theobroma_cacao_20110822:9:25996358:25999237:1 gene:TCM_040005 transcript:EOY32282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTMMVVCGVGVHTLMTYAMCDVGVHMMIYAMCGVGVHMIFAMCGVGVHMRIVAMEMFNHRNAALEKSVELLWQEAQTIGQVGTPKEKYAECHKGYGHGKIISGFTQA >EOY29340 pep chromosome:Theobroma_cacao_20110822:9:801431:803438:-1 gene:TCM_036910 transcript:EOY29340 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY family transcription factor MPTVFKFKPFLFSPFHKSKSSPPLRIADVLLLQEHEEESLLLMDGCRGLNCATSRRFAARLLSGLKRGKVKRRIVGDRKEDDDDEAPHDGTDGHDSSKERCRREVDFNVGIGCCLLHMIAESKNELQKMTELRIQMEGVLQNAKEELGNKDLLVAKKIESNDGVQEGLGFNSNPRSNKVLFDQSLKCDDVPDDCLEGMDRLEAELEAELERLQLHLDSGNLPTNPPQETVEESTINSTVSSRSYGISCGEEIDPAIDCQEEDCSDSQSGVPPFELERKLHELLETRQQEQIRELEDALGRARQELREREREISWWKDAAHLLSRHVKEPSRLDFHHGQHAHHFSRSEKFATALLRVTM >EOY34061 pep chromosome:Theobroma_cacao_20110822:9:39831573:39832096:1 gene:TCM_041857 transcript:EOY34061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLIPSILGGRRTNVFDPFSLDIWDPFDGFFSSTLANVPYSGREASAFANARVPRKRISSKLTSRG >EOY31529 pep chromosome:Theobroma_cacao_20110822:9:9338808:9341044:-1 gene:TCM_038450 transcript:EOY31529 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MGETDSFFSDKSAKIFVAGHRGLVGSAIVRKLQSLGFINLVLRTHAELDLTRQYDVESFFATEKPQYVILAAAKVGGIHANNTYPADFIAVNLQIQTNVIDSSYRHGVKKILFLGSSCIYPKFAPQPIPENALLSGPLEPTNEWYAVAKIAGIKMCQAYRIQYGFDAISGMPTNLYGPNDNFHPENSHVLPALMRRFHKSKVEGAKEVVVWGTGSPLREFLHVDDLADAVVFLMGNYSGLEHVNVGSGKEVTIKELAELVKEVVGFEGELVWDTSKPDGTPRKLMDSSKLASLGWSAKISLKDGLIDTYKWYLENVWLFP >EOY31638 pep chromosome:Theobroma_cacao_20110822:9:10726510:10729144:-1 gene:TCM_038613 transcript:EOY31638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFGKTKSIEAQALKVFEWYEKTSTQKISMEKLIVFFSQNTREKDKEVYVRFLGSSLDILKLTSTLSIDNFKKTLASTIEICRQSCVEP >EOY30481 pep chromosome:Theobroma_cacao_20110822:9:4743438:4744899:1 gene:TCM_037678 transcript:EOY30481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSLIPKFRRKLQVATLCHNRLEQNNWHVGSLTLEPGWDQFFIWYRAVCRRLWASGIVCFDCTIVCDRINLLTTKNHIDLIFYMLGDYDKS >EOY33734 pep chromosome:Theobroma_cacao_20110822:9:38834990:38838071:1 gene:TCM_041630 transcript:EOY33734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Undecaprenyl pyrophosphate synthetase family protein MLSLSLAIPTVNTLSPPKPKPKSSLVQTASNQTEIFPSRVFVPNRFGYKLQAATTDVANGKISVAGEFSEEEEELPEGLNKELMPKHVAVIMDGNGRWARQRGLPTSAGHEAGVRSLRELVELCCRWGIQVLTVFAFSSENWIRPKVEVDFLMSLFERALKSELETFSRGGIRISVIGDSSKLPTSLQELIHEVEETTKDNSRLQLIVAVSYSGKYDVVQACRSIAEKAKHGNIQLEDINESLIERELETNCTEFPYPDLLIRTSGELRVSNFLLWQLAYTELFFDQQLWPDFGKDEFVEALTSHQQRQRRYGGRHS >EOY31285 pep chromosome:Theobroma_cacao_20110822:9:8000951:8002731:1 gene:TCM_038247 transcript:EOY31285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MKNELDALEDKKTWTVVPLFDGAHIIICKWVYKIKLTTDGIIERYKACLLAKGYSQLERFDYHETFSPIAKHTTVRVFLATAAIKGWHLSQLDINNAFFNGHLDEVVYMDFPQGYAFQGECSMTSRIVRRLNKSLYGLKQAFRHWNCLEVASSKKGIFVCQRKYALDLINEYGLPGAKPLATPTEYNNKLSKDNGGTILTDSTMYGQLVGKLLYLTFTRPDIAYSVQVLSQFMDKLTVGHLQATYRVLKYLKKASGQGILLFSTSNIHLTVYTDTDWTGCRDTRRLPHRSPEIQHSMKEPSTSRWIATLLERKCKLVLYFHSMSLPRIELQISSPKLYDLHNFMDFSAR >EOY32533 pep chromosome:Theobroma_cacao_20110822:9:30964609:30968142:1 gene:TCM_040510 transcript:EOY32533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-insensitive 3f isoform 1 MGEFEDIGADISSDIEVDDLRCENIAEKDVSDEEIEAEELERRMWKDRIKLNRIKERQKIAAQQAAEKQKPKQTTDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGASENIRAWWKEKVKFDKNGPAAIAKYEAECIAMSESDSNRNGNLQSSLQDLQDATLGSLLSSLMQHCDPPQRKYPLEKRIPPPWWPTGNEEWWVRLGLQQGQTPPYKKPHDLKKMWKVGVLTAVIKHMSPDIAKIRRLVRQSKCLQDKMTAKESAIWLGVLSREEALIQQPSSDNGTSGITEMLAGGRGNKKQPAISSDSDYDVDGGEEGVGSVSSKDDRRNQPTDAEPVAYISNDVSHPVQEKEPVGKHPKKRRRLRSSDGDEQPGPSDEHANTESRSTLPDINQTDVSFLEYQMPGAQKENDASTALRHVEKGLDVPPHLPASGYSHYPAIPSANKISTQSMYVDGRPMLYPLVQDAELPNGAAYEFYNPSVEFGPTHEGQQTQMEMNVTQIRPENGVHVSLPGRNENEITVGELHHYVKDIFQNEQDRAGHNPFGSPTSDPSLYGAFHSPYEFGFDGTSSLDELFDDDLIEYFGA >EOY32535 pep chromosome:Theobroma_cacao_20110822:9:30964571:30970930:1 gene:TCM_040510 transcript:EOY32535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-insensitive 3f isoform 1 MGEFEDIGADISSDIEVDDLRCENIAEKDVSDEEIEAEELERRMWKDRIKLNRIKERQKIAAQQAAEKQKPKQTTDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGASENIRAWWKEKVKFDKNGPAAIAKYEAECIAMSESDSNRNGNLQSSLQDLQDATLGSLLSSLMQHCDPPQRKYPLEKRIPPPWWPTGNEEWWVRLGLQQGQTPPYKKPHDLKKMWKVGVLTAVIKHMSPDIAKIRRLVRQSKCLQDKMTAKESAIWLGVLSREEALIQQPSSDNGTSGITEMLAGGRGNKKQPAISSDSDYDVDGGEEGVGSVSSKDDRRNQPTDAEPVAYISNDVSHPVQEKEPVGKHPKKRRRLRSSDGDEQPGPSDEHANTESRSTLPDINQTDVSFLEYQMPGAQKENDASTALRHVEKGLDVPPHLPASGYSHYPAIPSANKISTQSMYVDGRPMLYPLVQDAELPNGAAYEFYNPSVEFGPTHEGQQTQMEMNVTQIRPENGVHVSLPGRNENEITVGELHHYVKDIFQNEQDRAGHNPFGSPTSDPSLYGAFHSPYEFGFDGTSSLDELFDDDLIEYFGA >EOY32534 pep chromosome:Theobroma_cacao_20110822:9:30964571:30970930:1 gene:TCM_040510 transcript:EOY32534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-insensitive 3f isoform 1 MGEFEDIGADISSDIEVDDLRCENIAEKDVSDEEIEAEELERRMWKDRIKLNRIKERQKIAAQQAAEKQKPKQTTDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGASENIRAWWKEKVKFDKNGPAAIAKYEAECIAMSESDSNRNGNLQSSLQDLQDATLGSLLSSLMQHCDPPQRKYPLEKRIPPPWWPTGNEEWWVRLGLQQGQTPPYKKPHDLKKMWKVGVLTAVIKHMSPDIAKIRRLVRQSKCLQDKMTAKESAIWLGVLSREEALIQQPSSDNGTSGITEMLAGGRGNKKQPAISSDSDYDVDGGEEGVGSVSSKDDRRNQPTDAEPVAYISNDVSHPVQEKEPVGKHPKKRRRLRSSDGDEQPGPSDEHANTESRSTLPDINQTDVSFLEYQMPGAQKENDASTALRHVEKGLDVPPHLPASGYSHYPAIPSANKISTQSMYVDGRPMLYPLVQDAELPNGAAYEFYNPSVEFGPTHEGQQTQMEMNVTQIRPENGVHVSLPGRNENEITVGELHHYVKDIFQNEQDRAGHNPFGSPTSDPSLYGAFHSPYEFGFDGTSSLDELFDDDLIEYFGA >EOY32536 pep chromosome:Theobroma_cacao_20110822:9:30964646:30970934:1 gene:TCM_040510 transcript:EOY32536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-insensitive 3f isoform 1 MGEFEDIGADISSDIEVDDLRCENIAEKDVSDEEIEAEELERRMWKDRIKLNRIKERQKIAAQQAAEKQKPKQTTDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGASENIRAWWKEKVKFDKNGPAAIAKYEAECIAMSESDSNRNGNLQSSLQDLQDATLGSLLSSLMQHCDPPQRKYPLEKRIPPPWWPTGNEEWWVRLGLQQGQTPPYKKPHDLKKMWKVGVLTAVIKHMSPDIAKIRRLVRQSKCLQDKMTAKESAIWLGVLSREEALIQQPSSDNGTSGITEMLAGGRGNKKQPAISSDSDYDVDGGEEGVGSVSSKDDRRNQPTDAEPVAYISNDVSHPVQEKEPVGKHPKKRRRLRSSDGDEQPGPSDEHANTESRSTLPDINQTDVSFLEYQMPGAQKENDASTALRHVEKGLDVPPHLPASGYSHYPAIPSANKISTQSMYVDGRPMLYPLVQDAELPNGAAYEFYNPSVEFGPTHEGQQTQMEMNVTQIRPENGVHVSLPGRNENEITVGELHHYVKDIFQNEQDRAGHNPFGSPTSDPSLYGAFHSPYEFGFDGTSSLDELFDDDLIEYFGA >EOY33672 pep chromosome:Theobroma_cacao_20110822:9:38501449:38506471:1 gene:TCM_041576 transcript:EOY33672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDEKEKKYILVFMHGINSLDVLGFWVCSLILDCGRIPCLGLFLAFFTTLWMTQCPCYYIFRYKNALD >EOY29752 pep chromosome:Theobroma_cacao_20110822:9:2279714:2281503:-1 gene:TCM_037197 transcript:EOY29752 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 2, putative MSTLGDDELSLVLNWVHDQDHRKAFSQVCKQWRRVEGLTRLSIRVLEPDLIPTFMPRFPNLLLFETPMFVSHSHLEFVAKTCPKLEVLNLNLRKTREEFDEIDGNSGFEDVGNDGLRALANGCPNLSKEGVICWMTKLLKQLGLRIRYGALNLEASSLVTDHGLAALATGYISISLKKLVLAECDRITDSGVSMLKHICCLEELNLAECGPKITDSGGVAVSSITSLRKLNLAWLINLSDVTVILQLPRIVSIWWLLI >EOY29756 pep chromosome:Theobroma_cacao_20110822:9:2289052:2295618:-1 gene:TCM_037199 transcript:EOY29756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin Nup85-like isoform 1 MPGVTSDSGGGALVSLSLESQGAAVYPLHHGLKSPISRLSISWSRGDSLRVSVFAAPSSDDSDKEDAGGRVVEVKLGGGDGEITDANWRKIAYGSVSPFALLQSRKNVASSLSKMSMKSSRYDVDWWEYIMEYSKDISALLGNRKSASGPVIDDPKSVITKGEEPTSLKAAWELLEMFYAEKPSQSWLPERLVDWLADYDSLFSGTHSTVHSKLVDFQKELVNLQVVEDDPKYWEVMSSALAVGWLDIVVKMLRLHGSYQLDQLSNRETENGLVEAVAVLISKMPRMRLEHAGNLGECFKSKPDFVKAWEKWRAQINKLDLSAFWFQCDHQQTREGLRSMLQIMLGNANSLGTATCHWIELYISQLLYIRPFTVGLESMYSLAQKCIQLKPMASAHRLMELMIGILGENTEVVLAECSKGFGPWMVAHAIELLTAGSNHAEMLLHEERQNMGGISIEELHRLVYAQVLSSHPLTWQIAPIYLTSCMKQGMVLLQILLSKQPVIYNQLLLKNIEICRLYELDNITSNIMKIAGVYHWKHGRKGSGVFWLQQARDDYRLNRIAQQLFDSVGKTISDESFKQWEGLIQLLGSECKTAGGLEFLHKYRDLKKSLQQVQDGKTTDATRQAVESLISLMKNPSTPQRFWLPLLYDSLKLLNWQDRPLFNVSQTNLLLNKLEELSMARLRPDFIEAELPPQALHSVRLALAKNLGRAILEE >EOY29755 pep chromosome:Theobroma_cacao_20110822:9:2287964:2295671:-1 gene:TCM_037199 transcript:EOY29755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin Nup85-like isoform 1 MPGVTSDSGGGALVSLSLESQGAAVYPLHHGLKSPISRLSISWSRGDSLRVSVFAAPSSDDSDKEDAGGRVVEVKLGGGDGEITDANWRKIAYGSVSPFALLQSRKNVASSLSKMSMKSSRYDVDWWEYIMEYSKDISALLGNRKSASGPVIDDPKSVITKGEEPTSLKAAWELLEMFYAEKPSQSWLPERLVDWLADYDSLFSGTHSTVHSKLVDFQKELVNLQVVEDDPKYWEVMSSALAVGWLDIVVKMLRLHGSYQLDQLSNRETENGLVEAVAVLISKMPRMRLEHAGNLGECFKSKPDFVKAWEKWRAQINKLDLSAFWFQCDHQQTREGLRSMLQIMLGNANSLGTATCHWIELYISQLLYIRPFTVGLESMYSLAQKCIQLKPMASAHRLMELMIGILGENTEVVLAECSKGFGPWMVAHAIELLTAGSNHAEMLLHEERQNMGGISIEELHRLVYAQVLSSHPLTWQIAPIYLTSCMKQGMVLLQILLSKQPVIYNQLLLKNIEICRLYELDNITSNIMKIAGVYHWKHGRKGSGVFWLQQARDDYRLNRIAQQLFDSVGKTISDESFKQWEGLIQLLGSECKTAGGLEFLHKYRDLKKSLQQVQDGKTTDATRQAVESLISLMKNPSTPQRFWLPLLYDSLKLLNWQDRPLFNVSQTNLLLNKLEELSMARLRPDFIEAELPPQALHSVRLALAKNLGRAILEE >EOY31742 pep chromosome:Theobroma_cacao_20110822:9:13506737:13517556:1 gene:TCM_038859 transcript:EOY31742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDEEVNAPSTALKAFIAQALDDDQMRNSMLCPLDEYSFQATVETDEDTVEEGPLVSTYGVGSKWINVFNFFVNRMECATNKGKLTGLILKSQLAPQHAQCTPNPKASPPPSVEDAQLSMASKYLRSPYIDLAKFIMDDMLHTIQRGIKNLFYGMLVSEMIDYSSIDTQRDPLKSHVLFNHIDEHTVNKLDFECKNGNWVRKGVVDLLVFDDEGLKGREGNEGESSAYLAGPSTVQPSAPPMSTTFDIEHAFTQLLSYMETMDSHLITSMDILEAQNHEILDIVEA >EOY32956 pep chromosome:Theobroma_cacao_20110822:9:34640182:34644574:1 gene:TCM_040969 transcript:EOY32956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucoanthocyanidin dioxygenase, putative MPPRNPDSDKIFDFVVNKGNGIKGLVDTGIESVPELYILPIEERLEASKVVPEEPIPVIDVSDWGDPQVTESICQAASKWGFFQIINHGVPLAVLYAVREAAHGFFGLPNEERNKYWIGNSPTDTVTLKTSFVPQAEGALEWKDYLTFRYVAGDQDPSALWPPVCKDQVVEYMKRAEPVIRKLLEVLLKGLKVKEIDKAREYTLMASPIVNLNYYPRCPNPDLTAGVRPHSDISTITVLLQDDNGGLYVRATDDDGWIHVPPVDGALVINIGDILQIMSNDRYKSIEHRVVANGSKNRVSVPIFVNPGPDAVFGPLPEVLESGERPLYKEVLFSDYFKYFFSKKHEGKKTMDFAKI >EOY30881 pep chromosome:Theobroma_cacao_20110822:9:6102960:6107309:1 gene:TCM_037932 transcript:EOY30881 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MLDESKFDVNFQLLALRIPREFCKIATRLLNGYLLDKPRVKPITEDPTSEKNRYMILSEQVQNPDLSDIPSQKLDELRKLCEVEVVPYSLTLGYSYWTADHILKQILPPGVEVPSSFETIGHVAHLNIHDELLPFKDVIAKVIYDKNYPRIKTVVNKVGTITNEFRVPKFEILAGESDMVTEVKQYGATFKLDYCLVYWNSRLEHEHIRLVSLFRPGETICDMFAGIGPFAIPAAQKGCLVYANDLNPDSIHYLKINAKLNKVDDCVFAYNMDARKFVSQLMAVPVSEVNLESNDSMIKACDTFSMKGIHDAEAEERKLGVEEKEVAGNDAKDELEGMKNSSRNVYASVVAIKRPSDCFTEENGNAQSVNKKKGNTQKRMKGSVLSKAKAWEHVDHVIMNLPASALQFLDAFRGIFSRKHWKGPLPLVHCYCFIRATETKEFIISEAETALNAKIQDPLFHRVRDVAPNKAMFCLSFRLPEACFIEDITDSTCHTTGGL >EOY30880 pep chromosome:Theobroma_cacao_20110822:9:6102643:6107575:1 gene:TCM_037932 transcript:EOY30880 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MLDESKFDVNFQLLALRIPREFCKIATRLLNGYLLDKPRVKPITEDPTSEKNRYMILSEQVQNPDLSDIPSQKLDELRKLCEVEVVPYSLTLGYSYWTADHILKQILPPGVEVPSSFETIVNCPYVVLIALNIISWQKNYPRIKTVVNKVGTITNEFRVPKFEILAGESDMVTEVKQYGATFKLDYCLVYWNSRLEHEHIRLVSLFRPGETICDMFAGIGPFAIPAAQKGCLVYANDLNPDSIHYLKINAKLNKVDDCVFAYNMDARKFVSQLMAVPVSEVNLESNDSMIKACDTFSMKGIHDAEAEERKLGVEEKEVAGNDAKDELEGMKNSSRNVYASVVAIKRPSDCFTEENGNAQSVNKKKGNTQKRMKGSVLSKAKAWEHVDHVIMNLPASALQFLDAFRGIFSRKHWKGPLPLVHCYCFIRATETKEFIISEAETALNAKIQDPLFHRVRDVAPNKAMFCLSFRLPEACFIEDITDSTCHTTGGL >EOY32042 pep chromosome:Theobroma_cacao_20110822:9:19346973:19351004:-1 gene:TCM_039452 transcript:EOY32042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast import apparatus 2, putative isoform 1 MMSSCLSGGGRTYALDLEIIKSSSSSTRTSHTSSSPSSTLSESSNSPLAISTRKPRTPRKRPNQTYNEAAALLSTAYPNIFSSKNLAKPRKFTKPQDSFFHESSELLLPFRVIDDSGVLLQNQPIREKPSCLIEPKVVNFCDKSWQSSSGEVNSHGGGGSMEMRFSTEFQEDFDAESILDEEIEGGIDSIMGNLSVNQETLDESNGTCHGAQISQIGSCYGNPMGLGFGAKFEFGLGFGLRRGVRALRHVNEGNWWNFSTVDVLQISPKTNTKVSRAEKKKKKVEKPIVVTEAKGSAMPKENPKPNPNAGLQLKLNYDEVVNAWSDRGSPFAEESPGPEVAGNDVYARLAQIDLFSDGGGVREASVLRYKEKRRTRLFSKKIRYQVRKVNADRRPRMKGRFVRRPNSGER >EOY32044 pep chromosome:Theobroma_cacao_20110822:9:19348389:19350734:-1 gene:TCM_039452 transcript:EOY32044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast import apparatus 2, putative isoform 1 MMSSCLSGGGRTYALDLEIIKSSSSSTRTSHTSSSPSSTLSESSNSPLAISTRKPRTPRKRPNQTYNEAAALLSTAYPNIFSSKNLAKPRKFTKPQDSFFHESSELLLPFRVIDDSGVLLQNQPIREKPSCLIEPKVVNFCDKSWQSSSGEVNSHGGGGSMEMRFSTEFQEDFDAESILDEEIEGGIDSIMGNLSVNQETLDESNGTCHGAQISQIGSCYGNPMGLGFGAKFEFGLGFGLRRGVRALRHVNEGNWWNFSTVDVLQISPKTNTKVSRAEKKKKKVEKPIVVTEAKGSAMPKENPKPNPNAGLQLKLNYDEVVNAWSDRGSPFAEESPGPEVAGNDVYAGADRFILGRWWGERG >EOY32045 pep chromosome:Theobroma_cacao_20110822:9:19346967:19351203:-1 gene:TCM_039452 transcript:EOY32045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast import apparatus 2, putative isoform 1 MMSSCLSGGGRTYALDLEIIKSSSSSTRTSHTSSSPSSTLSESSNSPLAISTRKPRTPRKRPNQTYNEAAALLSTAYPNIFSSKNLAKPRKFTKPQDSFFHESSELLLPFRVIDDSGVLLQNQPIREKPSCLIEPKVVNFCDKSWQSSSGEVNSHGGGGSMEMRFSTEFQEDFDAESILDEEIEGGIDSIMGNLSVNQETLDESNGTCHGAQISQIGSCYGNPMGLGFGAKFEFGLGFGLRRGVRALRHVNEGNWWNFSTVDVLQISPKTNTKVSRAEKKKKKVEKPIVVTEAKGSAMPKENPKPNPNAGLQLKLNYDEVVNAWSDRGSPFAEESPGPEVAGNDVYAGADRFILGRWWGERG >EOY32043 pep chromosome:Theobroma_cacao_20110822:9:19347022:19351203:-1 gene:TCM_039452 transcript:EOY32043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast import apparatus 2, putative isoform 1 MMSSCLSGGGRTYALDLEIIKSSSSSTRTSHTSSSPSSTLSESSNSPLAISTRKPRTPRKRPNQTYNEAAALLSTAYPNIFSSKNLAKPRKFTKPQDSFFHESSELLLPFRVIDDSGVLLQNQPIREKPSCLIEPKVVNFCDKSWQSSSGEVNSHGGGGSMEMRFSTEFQEDFDAESILDEEIEGGIDSIMGNLSVNQETLDESNGTCHGAQISQIGSCYGNPMGLGFGAKFEFGLGFGLRRGVRALRHVNEGNWWNFSTVDVLQISPKTNTKVSRAEKKKKKVEKPIVVTEAKGSAMPKENPKPNPNAGLQLKLNYDEVVNAWSDRGSPFAEESPGPEVAGNDVYARLAQIDLFSDGGGVREASVLRYKEKRRTRLFSKKIRYQVRKVNADRRPRMKVC >EOY34395 pep chromosome:Theobroma_cacao_20110822:9:40892137:40899755:-1 gene:TCM_042077 transcript:EOY34395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family protein 2 MGFVRGSNVRSGDYLEGMLSDYVGGKAKVKVPKNASTRLVTALTCLQFAFAVYATFLLYYMSPAVDLRTKPDFTWATRIARNMKQFIIPPRVLGRYQEAASLIRAEVPPITPSEVCEHEKIDFMQKKSNDVQMIKLKRELYDKVLDFQSKTIGTETLAELMAMKSKWDMRGPNRPKVTVLLNHFKRKTLCAQLDSLLQQTLPVHHVWVLSFGSPNELSLKRIVESYNDSRISFISSSYDFKYYGRFQMALQTEADLVYILDDDMIPGKKMLQILSHAAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDKIVQVDFLSSSWFLSAELVKALFIERPFTFMTGEDLHLSYQLQKYRNAGSFVLPVDPTDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWRALTTGYITQWAAMYPQKIDALFYAHSVDEVKALAPLLEKFRSTVGKKAYIVVSGGGFCPCEDAAAALNWPKLVCKERRFKIFDLQIGAISGASKSEVPVLQAVYSSMKGLIKIHNPSVVITVTDIDPNVKKALKLASETNANGTALVLLPRSSVSKVLWMADLRSTALPNWNRMRISVNIITQNRAPSLTRLLKSLSDAYYVGDEIPISFNMDSKVDEATIKLVDSFEWPHGPKTLRRRIIQGGLIRAVSESWYPTSDDDYGLLLEDDIEVSPYYYLWIKYALLAYHYDPQISLPELSSISLYTPRLVEVVKERPKWNPTEFFKRIHPNTPYLHQLPCSWGSVFFPKHWREFYVYMNMRFTEDAKANPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVRHDKADFEVPLLKEDFRPLLPKGKMPPASKLPSLNLFNQPVSLKGLKAAGAKLGQDVLRCDNATEIVTVNRVTGLPQQCSKFL >EOY31320 pep chromosome:Theobroma_cacao_20110822:9:8128225:8128767:-1 gene:TCM_038272 transcript:EOY31320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDPSYPPGFTPPHAQTSQKVHPQVIPPVYYNAPPPLGHQPTHGQFGPYPGINPAEPIHVPDLDDPKEQEKLRKDSSQTGENEKDQKKYDLLEEHLRAIEGVDRFGTMDATELCLVPNVLIPAKFKVPKFEKYDGTKCPMAHITMYCRNMVHSLTMISY >EOY31122 pep chromosome:Theobroma_cacao_20110822:9:7123718:7126698:-1 gene:TCM_038121 transcript:EOY31122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epsin N-terminal domain-containing protein / clathrin assembly protein-related MAPSTIRKAIGAVKDQTSISIAKVAGNIAPELEVLVVKATSHDEDPADEKYFREIISHTSYSRGYVSACIATVSKRLNKTHDWIVALKSLMLVHRLLVDGNPCFEEEIVYATRRGMRILNLSDFRDEAHSNSWDHAGFVRFYAMYLDEKVEFSVFEKKKGDGEGRFEERDERNRREYGDFRDDYDHGMGRRSRSYGDLSDSVRREQRREVTPMREMRPERVLGRLNQLLRILDRVLGCRPAGIAKNSRLVLVALYQILKESFGLYVEICEALGILLDRFTEMEYADCVKGFDTYVSAAKMIDELVGFYGWCKEMGIARSSEYPEVQRITDKLLGTLEGFLKEMTNGPKGPERNREEKPPVKEEPEANMNEIKALPAPESYTPPPPPPEPEQPKMQAQQDTEDLVNLKDDAVSADEQGNRLALALFSGPPTSNANGSWEAFPSNGQPEVTSAWQTPAAEAGKADWELALVESASNLSKQKAALAGGFDPLLLNGMYDQGAVRQHVSTTQLSGGSASSVALPGPGKTNTQVLALPAPDGTVQPVGHQDPFAASLAVPPPSYVQIADMEQKQHLLVQEQQLWQQYGRDGMQGQASLAKITASPGYYAPVAQPMMMPYGMPQVNGMGQPGGYYYPPY >EOY30451 pep chromosome:Theobroma_cacao_20110822:9:4636277:4644848:1 gene:TCM_037655 transcript:EOY30451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 isoform 7 MLYGMGMLMPLILQLARLCIIGFPLIVQESSGHNASPSPAKFFMFPPAEGIPSAVEQRSDAPNTLSQPNGSDLHSPPALPPLMSASVPETTEGHARSFSPSNSMELPPYNTAPPPVTVEEGVPSLAPSTPAVLPPFDTAPPPMLVQVHTPSKSPTALQKKEPIMKSPPSVPDAPAPVASPSRNLPQNSPAIHPFPSITPTQNSPENSPVVHQTPFAPPLRNPPQNSPPTQSSRRSAFPPISNQRNSSSNRAPVLEPTAPAPVAPPLRNPPQNSPAIHFSKPHALPPSANQGNSSNKTEPVMEPIAPVPVATPSGNLPRNQTAIHPRGPALAPSVPIPEPTAPVASPPRKLERTTPPVHSIMPPSILPVVSPPEESPHISPTIHPNVPEGTPSQLPDPDISPVSTPPSSINWKNDGIPVASPRNEIHKPMPPLSHTPENGSSSAKSPLAPKAVRHPGNSPVSSLAPSNKGYNPPALSPSISFHKHQHKRNGRTSPAPASSYLISPPPLKQQGPVISPAFLPGRRRRHYAPAPLHSVSPSHSAVPSSVGTVSPVPSPSPMTASRQTKMPLSPPKVSPSVSPLRSPKVPPPPPVMSFPPPPPNEDCSTTICTEPYTNTPPGSPCGCVLPMQVGLRLSVALYTFFPLVSELATEIAAGVFMKQSQVRIIGANAASEQPEKTVVLIDLVPLGEKFDNTTAFLTYQRFWHKQVAIKTSFFGDYEVLYVRYLGLPPSPPLPPSDIDIMDAGPYSGNDNNARAIKPLGVDVHGKRHKNVPSGGVIAIIVLSALVAMVLCSAIAWVLLFRRTNHASQQAATTQPPQTSLAKPSGSAGSMVGSGLSSTSLSFGSSIVAYTGSAKTFSTSDIEKATNNFDASRILGEGGFGRVYSGVLEDGTKVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEERNRCLVYELIPNGSVESHLHGVDKDSAPLDWDARIKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAMDEEGRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMTQPPGQENLVAWARPLLTTKEGLETIIDPSLSSDVPFDSVAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECDEAKEVGSRCSSQDDLSIDLDARVSTGSGQLADPLQSHYLIPNYDTGLDTERGLSVSDLFSSSARFGRQSSGSFRRHCSSGPLRTARGSRFWQKVQRLSRGSISEHGVMMRFWPGSH >EOY30449 pep chromosome:Theobroma_cacao_20110822:9:4636611:4644871:1 gene:TCM_037655 transcript:EOY30449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 isoform 7 MLYGMGMLMPLILQLARLCIIGFPLIVQESSGHNASPSPAKFFMFPPAEGIPSAVEQRSDAPNTLSQPNVPETTEGHARSFSPSNSMELPPYNTAPPPVTVEEGVPSLAPSTPAVLPPFDTAPPPMLVQVHTPSKSPTALQKKEPIMKSPPSVPDAPAPVASPSRNLPQNSPAIHPFPSITPTQNSPENSPVVHQTPFAPPLRNPPQNSPPTQSSRRSAFPPISNQRNSSSNRAPVLEPTAPAPVAPPLRNPPQNSPAIHFSKPHALPPSANQGNSSNKTEPVMEPIAPVPVATPSGNLPRNQTAIHPRGPALAPSVPIPEPTAPVPVATPSGNSPRNQTAIHPRGPALAPSVPIPEPTAPVASPPRKLERTTPPVHSIMPPSILPVVSPPEESPHISPTIHPNVPEGTPSQLPDPDISPVSTPPSSINWKNDGIPVASPRNEIHKPMPPLSHTPENGSSSAKSPLAPKAVRHPGNSPVSSLAPSNKGYNPPALSPSISFHKHQHKRNGRTSPAPASSYLISPPPLKQQGPVISPAFLPGRRRRHYAPAPLHSVSPSHSAVPSSVGTVSPVPSPSPMTASRQTKMPLSPPKVSPSVSPLRSPKVPPPPPVMSFPPPPPNEDCSTTICTEPYTNTPPGSPCGCVLPMQVGLRLSVALYTFFPLVSELATEIAAGVFMKQSQVRIIGANAASEQPEKTVVLIDLVPLGEKFDNTTAFLTYQRFWHKQVAIKTSFFGDYEVLYVRYLGLPPSPPLPPSDIDIMDAGPYSGNDNNARAIKPLGVDVHGKRHKNVPSGGVIAIIVLSALVAMVLCSAIAWVLLFRRTNHASQQAATTQPPQTSLAKPSGSAGSMVGSGLSSTSLSFGSSIVAYTGSAKTFSTSDIEKATNNFDASRILGEGGFGRVYSGVLEDGTKVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEERNRCLVYELIPNGSVESHLHGVDKDSAPLDWDARIKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAMDEEGRHISTRVMGTFGVCGS >EOY30452 pep chromosome:Theobroma_cacao_20110822:9:4636611:4644871:1 gene:TCM_037655 transcript:EOY30452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 isoform 7 MLYGMGMLMPLILQLARLCIIGFPLIVQESSAEGIPSAVEQRSDAPNTLSQPNVPETTEGHARSFSPSNSMELPPYNTAPPPVTVEEGVPSLAPSTPAVLPPFDTAPPPMLVQVHTPSKSPTALQKKEPIMKSPPSVPDAPAPVASPSRNLPQNSPAIHPFPSITPTQNSPENSPVVHQTPFAPPLRNPPQNSPPTQSSRRSAFPPISNQRNSSSNRAPVLEPTAPAPVAPPLRNPPQNSPAIHFSKPHALPPSANQGNSSNKTEPVMEPIAPVPVATPSGNLPRNQTAIHPRGPALAPSVPIPEPTAPVPVATPSGNSPRNQTAIHPRGPALAPSVPIPEPTAPVASPPRKLERTTPPVHSIMPPSILPVVSPPEESPHISPTIHPNVPEGTPSQLPDPDISPVSTPPSSINWKNDGIPVASPRNEIHKPMPPLSHTPENGSSSAKSPLAPKAVRHPGNSPVSSLAPSNKGYNPPALSPSISFHKHQHKRNGRTSPAPASSYLISPPPLKQQGPVISPAFLPGRRRRHYAPAPLHSVSPSHSAVPSSVGTVSPVPSPSPMTASRQTKMPLSPPKVSPSVSPLRSPKVPPPPPVMSFPPPPPNEDCSTTICTEPYTNTPPGSPCGCVLPMQVGLRLSVALYTFFPLVSELATEIAAGVFMKQSQVRIIGANAASEQPEKTVVLIDLVPLGEKFDNTTAFLTYQRFWHKQVAIKTSFFGDYEVLYVRYLGLPPSPPLPPSDIDIMDAGPYSGNDNNARAIKPLGVDVHGKRHKNVPSGGVIAIIVLSALVAMVLCSAIAWVLLFRRTNHASQQAATTQPPQTSLAKPSGSAGSMVGSGLSSTSLSFGSSIVAYTGSAKTFSTSDIEKATNNFDASRILGEGGFGRVYSGVLEDGTKVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEERNRCLVYELIPNGSVESHLHGVDKDSAPLDWDARIKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAMDEEGRHISTRVMGTFGYGMWLLSMQ >EOY30450 pep chromosome:Theobroma_cacao_20110822:9:4636248:4645054:1 gene:TCM_037655 transcript:EOY30450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 isoform 7 MLYGMGMLMPLILQLARLCIIGFPLIVQESSGHNASPSPAKFFMFPPAEGIPSAVEQRSDAPNTLSQPNGSDLHSPPALPPLMSASVPETTEGHARSFSPSNSMELPPYNTAPPPVTVEEGVPSLAPSTPAVLPPFDTAPPPMLVQVHTPSKSPTALQKKEPIMKSPPSVPDAPAPVASPSRNLPQNSPAIHPFPSITPTQNSPENSPVVHQTPFAPPLRNPPQNSPPTQSSRRSAFPPISNQRNSSSNRAPVLEPTAPAPVAPPLRNPPQNSPAIHFSKPHALPPSANQGNSSNKTEPVMEPIAPVPVATPSGNLPRNQTAIHPRGPALAPSVPIPEPTAPVPVATPSGNSPRNQTAIHPRGPALAPSVPIPEPTAPVASPPRKLERTTPPVHSIMPPSILPVVSPPEESPHISPTIHPNVPEGTPSQLPDPDISPVSTPPSSINWKNDGIPVASPRNEIHKPMPPLSHTPENGVYCESANIKLIVNLIITNNAVELLKLLFAGSSSAKSPLAPKAVRHPGNSPVSSLAPSNKGYNPPALSPSISFHKHQHKRNGRTSPAPASSYLISPPPLKQQGPVISPAFLPGRRRRHYAPAPLHSVSPSHSAVPSSVGTVSPVPSPSPMTASRQTKMPLSPPKVSPSVSPLRSPKVPPPPPVMSFPPPPPNEDCSTTICTEPYTNTPPGSPCGCVLPMQVGLRLSVALYTFFPLVSELATEIAAGVFMKQSQVRIIGANAASEQPEKTVVLIDLVPLGEKFDNTTAFLTYQRFWHKQVAIKTSFFGDYEVLYVRYLGLPPSPPLPPSDIDIMDAGPYSGNDNNARAIKPLGVDVHGKRHKNVPSGGVIAIIVLSALVAMVLCSAIAWVLLFRRTNHASQQAATTQPPQTSLAKPSGSAGSMVGSGLSSTSLSFGSSIVAYTGSAKTFSTSDIEKATNNFDASRILGEGGFGRVYSGVLEDGTKVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEERNRCLVYELIPNGSVESHLHGVDKDSAPLDWDARIKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAMDEEGRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMTQPPGQENLVAWARPLLTTKEGLETIIDPSLSSDVPFDSVAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECDEAKEVGSRCSSQDDLSIDLDARVSTGSGQLADPLQSHYLIPNYDTGLDTERGLSVSDLFSSSARFGRQSSGSFRRHCSSGPLRTARGSRFWQKVQRLSRGSISEHGVMMRFWPGSH >EOY30453 pep chromosome:Theobroma_cacao_20110822:9:4636277:4644848:1 gene:TCM_037655 transcript:EOY30453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 isoform 7 MLYGMGMLMPLILQLARLCIIGFPLIVQESSEGIPSAVEQRSDAPNTLSQPNGSDLHSPPALPPLMSASVPETTEGHARSFSPSNSMELPPYNTAPPPVTVEEGVPSLAPSTPAVLPPFDTAPPPMLVQVHTPSKSPTALQKKEPIMKSPPSVPDAPAPVASPSRNLPQNSPAIHPFPSITPTQNSPENSPVVHQTPFAPPLRNPPQNSPPTQSSRRSAFPPISNQRNSSSNRAPVLEPTAPAPVAPPLRNPPQNSPAIHFSKPHALPPSANQGNSSNKTEPVMEPIAPVPVATPSGNLPRNQTAIHPRGPALAPSVPIPEPTAPVASPPRKLERTTPPVHSIMPPSILPVVSPPEESPHISPTIHPNVPEGTPSQLPDPDISPVSTPPSSINWKNDGIPVASPRNEIHKPMPPLSHTPENGSSSAKSPLAPKAVRHPGNSPVSSLAPSNKGYNPPALSPSISFHKHQHKRNGRTSPAPASSYLISPPPLKQQGPVISPAFLPGRRRRHYAPAPLHSVSPSHSAVPSSVGTVSPVPSPSPMTASRQTKMPLSPPKVSPSVSPLRSPKVPPPPPVMSFPPPPPNEDCSTTICTEPYTNTPPGSPCGCVLPMQVGLRLSVALYTFFPLVSELATEIAAGVFMKQSQVRIIGANAASEQPEKTVVLIDLVPLGEKFDNTTAFLTYQRFWHKQVAIKTSFFGDYEVLYVRYLGLPPSPPLPPSDIDIMDAGPYSGNDNNARAIKPLGVDVHGKRHKNVPSGGVIAIIVLSALVAMVLCSAIAWVLLFRRTNHASQQAATTQPPQTSLAKPSGSAGSMVGSGLSSTSLSFGSSIVAYTGSAKTFSTSDIEKATNNFDASRILGEGGFGRVYSGVLEDGTKVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEERNRCLVYELIPNGSVESHLHGVDKDSAPLDWDARIKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAMDEEGRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMTQPPGQENLVAWARPLLTTKEGLETIIDPSLSSDVPFDSVAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECDEAKEVGSRCSSQDDLSIDLDARVSTGSGQLADPLQSHYLIPNYDTGLDTERGLSVSDLFSSSARFGRQSSGSFRRHCSSGPLRTARGSRFWQKVQRLSRGSISEHGVMMRFWPGSH >EOY30454 pep chromosome:Theobroma_cacao_20110822:9:4636277:4644848:1 gene:TCM_037655 transcript:EOY30454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 isoform 7 MLYGMGMLMPLILQLARLCIIGFPLIVQESSGHNASPSPAKFFMFPPAEGIPSAVEQRSDAPNTLSQPNVPETTEGHARSFSPSNSMELPPYNTAPPPVTVEEGVPSLAPSTPAVLPPFDTAPPPMLVQVHTPSKSPTALQKKEPIMKSPPSVPDAPAPVASPSRNLPQNSPAIHPFPSITPTQNSPENSPVVHQTPFAPPLRNPPQNSPPTQSSRRSAFPPISNQRNSSSNRAPVLEPTAPAPVAPPLRNPPQNSPAIHFSKPHALPPSANQGNSSNKTEPVMEPIAPVPVATPSGNLPRNQTAIHPRGPALAPSVPIPEPTAPVASPPRKLERTTPPVHSIMPPSILPVVSPPEESPHISPTIHPNVPEGTPSQLPDPDISPVSTPPSSINWKNDGIPVASPRNEIHKPMPPLSHTPENGSSSAKSPLAPKAVRHPGNSPVSSLAPSNKGYNPPALSPSISFHKHQHKRNGRTSPAPASSYLISPPPLKQQGPVISPAFLPGRRRRHYAPAPLHSVSPSHSAVPSSVGTVSPVPSPSPMTASRQTKMPLSPPKVSPSVSPLRSPKVPPPPPVMSFPPPPPNEDCSTTICTEPYTNTPPGSPCGCVLPMQVGLRLSVALYTFFPLVSELATEIAAGVFMKQSQVRIIGANAASEQPEKTVVLIDLVPLGEKFDNTTAFLTYQRFWHKQVAIKTSFFGDYEVLYVRYLGLPPSPPLPPSDIDIMDAGPYSGNDNNARAIKPLGVDVHGKRHKNVPSGGVIAIIVLSALVAMVLCSAIAWVLLFRRTNHASQQAATTQPPQTSLAKPSGSAGSMVGSGLSSTSLSFGSSIVAYTGSAKTFSTSDIEKATNNFDASRILGEGGFGRVYSGVLEDGTKVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEERNRCLVYELIPNGSVESHLHGVDKDSAPLDWDARIKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAMDEEGRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMTQPPGQENLVAWARPLLTTKEGLETIIDPSLSSDVPFDSVAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECDEAKEVGSRCSSQDDLSIDLDARVSTGSGQLADPLQSHYLIPNYDTGLDTERGLSVSDLFSSSARFGRQSSGSFRRHCSSGPLRTARGSRFWQKVQRLSRGSISEHGVMMRFWPGSH >EOY30455 pep chromosome:Theobroma_cacao_20110822:9:4636277:4644848:1 gene:TCM_037655 transcript:EOY30455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 isoform 7 MLYGMGMLMPLILQLARLCIIGFPLIVQESSGHNASPSPAKFFMFPPAEGIPSAVEQRSDAPNTLSQPNGSDLHSPPALPPLMSASVPETTEGHARSFSPSNSMELPPYNTAPPPVTVEEGVPSLAPSTPAVLPPFDTAPPPMLVQVHTPSKSPTALQKKEPIMKSPPSVPDAPAPVASPSRNLPQNSPAIHPFPSITPTQNSPENSPVVHQTPVAPPLRNPPQNSPAIHFSKPHALPPSANQGNSSNKTEPVMEPIAPVPVATPSGNLPRNQTAIHPRGPALAPSVPIPEPTAPVASPPRKLERTTPPVHSIMPPSILPVVSPPEESPHISPTIHPNVPEGTPSQLPDPDISPVSTPPSSINWKNDGIPVASPRNEIHKPMPPLSHTPENGSSSAKSPLAPKAVRHPGNSPVSSLAPSNKGYNPPALSPSISFHKHQHKRNGRTSPAPASSYLISPPPLKQQGPVISPAFLPGRRRRHYAPAPLHSVSPSHSAVPSSVGTVSPVPSPSPMTASRQTKMPLSPPKVSPSVSPLRSPKVPPPPPVMSFPPPPPNEDCSTTICTEPYTNTPPGSPCGCVLPMQVGLRLSVALYTFFPLVSELATEIAAGVFMKQSQVRIIGANAASEQPEKTVVLIDLVPLGEKFDNTTAFLTYQRFWHKQVAIKTSFFGDYEVLYVRYLGLPPSPPLPPSDIDIMDAGPYSGNDNNARAIKPLGVDVHGKRHKNVPSGGVIAIIVLSALVAMVLCSAIAWVLLFRRTNHASQQAATTQPPQTSLAKPSGSAGSMVGSGLSSTSLSFGSSIVAYTGSAKTFSTSDIEKATNNFDASRILGEGGFGRVYSGVLEDGTKVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEERNRCLVYELIPNGSVESHLHGVDKDSAPLDWDARIKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAMDEEGRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMTQPPGQENLVAWARPLLTTKEGLETIIDPSLSSDVPFDSVAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECDEAKEVGSRCSSQDDLSIDLDARVSTGSGQLADPLQSHYLIPNYDTGLDTERGLSVSDLFSSSARFGRQSSGSFRRHCSSGPLRTARGSRFWQKVQRLSRGSISEHGVMMRFWPGSH >EOY30448 pep chromosome:Theobroma_cacao_20110822:9:4636248:4645054:1 gene:TCM_037655 transcript:EOY30448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1 isoform 7 MLYGMGMLMPLILQLARLCIIGFPLIVQESSGHNASPSPAKFFMFPPAEGIPSAVEQRSDAPNTLSQPNGSDLHSPPALPPLMSASVPETTEGHARSFSPSNSMELPPYNTAPPPVTVEEGVPSLAPSTPAVLPPFDTAPPPMLVQVHTPSKSPTALQKKEPIMKSPPSVPDAPAPVASPSRNLPQNSPAIHPFPSITPTQNSPENSPVVHQTPFAPPLRNPPQNSPPTQSSRRSAFPPISNQRNSSSNRAPVLEPTAPAPVAPPLRNPPQNSPAIHFSKPHALPPSANQGNSSNKTEPVMEPIAPVPVATPSGNLPRNQTAIHPRGPALAPSVPIPEPTAPVPVATPSGNSPRNQTAIHPRGPALAPSVPIPEPTAPVASPPRKLERTTPPVHSIMPPSILPVVSPPEESPHISPTIHPNVPEGTPSQLPDPDISPVSTPPSSINWKNDGIPVASPRNEIHKPMPPLSHTPENGSSSAKSPLAPKAVRHPGNSPVSSLAPSNKGYNPPALSPSISFHKHQHKRNGRTSPAPASSYLISPPPLKQQGPVISPAFLPGRRRRHYAPAPLHSVSPSHSAVPSSVGTVSPVPSPSPMTASRQTKMPLSPPKVSPSVSPLRSPKVPPPPPVMSFPPPPPNEDCSTTICTEPYTNTPPGSPCGCVLPMQVGLRLSVALYTFFPLVSELATEIAAGVFMKQSQVRIIGANAASEQPEKTVVLIDLVPLGEKFDNTTAFLTYQRFWHKQVAIKTSFFGDYEVLYVRYLGLPPSPPLPPSDIDIMDAGPYSGNDNNARAIKPLGVDVHGKRHKNVPSGGVIAIIVLSALVAMVLCSAIAWVLLFRRTNHASQQAATTQPPQTSLAKPSGSAGSMVGSGLSSTSLSFGSSIVAYTGSAKTFSTSDIEKATNNFDASRILGEGGFGRVYSGVLEDGTKVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEERNRCLVYELIPNGSVESHLHGVDKDSAPLDWDARIKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAMDEEGRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMTQPPGQENLVAWARPLLTTKEGLETIIDPSLSSDVPFDSVAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECDEAKEVGSRCSSQDDLSIDLDARVSTGSGQLADPLQSHYLIPNYDTGLDTERGLSVSDLFSSSARFGRQSSGSFRRHCSSGPLRTARGSRFWQKVQRLSRGSISEHGVMMRFWPGSH >EOY29878 pep chromosome:Theobroma_cacao_20110822:9:2649651:2653390:1 gene:TCM_037272 transcript:EOY29878 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-MYC downregulated-like 2 isoform 2 MADSSDSVSIDMETISLGGKEYLVKTRHGTVSVAVFGDQDKPALITYPDLALNHVSCFQGLFFCPEASSILLHNFCIYHISPPGHEKYCSWELPQSVLMTLCLLLMTWQIRLLRFSIFLGLGAVMCMGVTAGAYILTLFAMKYRQRVLGLILVSPLCRAPSWTEWLLNKVMSNLLYFYGMCGVVKELLLKRYFSKEVRGSAQVPESDIVQACIRLLDERQSINVWQFLEAMNGET >EOY29877 pep chromosome:Theobroma_cacao_20110822:9:2649261:2653447:1 gene:TCM_037272 transcript:EOY29877 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-MYC downregulated-like 2 isoform 2 MADSSDSVSIDMETISLGGKEYLVKTRHGTVSVAVFGDQDKPALITYPDLALNHVSCFQGLFFCPEASSILLHNFCIYHISPPGHELGAAPIGSDDLMPSVDDLADQIVEVLNFFGLGAVMCMGVTAGAYILTLFAMKYRQRVLGLILVSPLCRAPSWTEWLLNKVMSNLLYFYGMCGVVKELLLKRYFSKEVRGSAQVPESDIVQACIRLLDERQSINVWQFLEAMNGRPDLSDGLRKLHCRSLIFVGENSPFHSEALHMTSKLDRRYSALVEVQACGSMVTEEQPHAMLIPIEYFLMGYGLYRPTLSVSPRSPLSPSCISPELLSPESMGLKLKPIKTRISVEV >EOY30576 pep chromosome:Theobroma_cacao_20110822:9:5027556:5028140:1 gene:TCM_037735 transcript:EOY30576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol oxygenase 2, putative MVMDFVKMLCRNYNNADCSRQETVKEFYGQKHIKSLTKHLTFLSKMRQEYSDMNRAEISIWECCEILNTIVDDSDPDLDEPQIQHALQSADSRRHQKGLP >EOY30268 pep chromosome:Theobroma_cacao_20110822:9:4004326:4016638:1 gene:TCM_037535 transcript:EOY30268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTLEDGTIRGYNIRAAKSNPSFESKPSFTLHAYDMTACTLSYNPAAPNLLATGSVDKMVKFWDLSNINLHVLHPEIPRQELSFQFPSHKIILFCWLLEAQSENWEFGVHYLRQASLKNLGTTAIRIDPKHNVEVLGLGWFSSIPNIPEFPPGKGPETFHGEVRHSMDYAAMDYELAAKCIKGKRIVIVELQKSAKWL >EOY33779 pep chromosome:Theobroma_cacao_20110822:9:38980950:38982395:-1 gene:TCM_041662 transcript:EOY33779 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L4 isoform 1 LFLSSPSTKLPCLSLSFKTSSNPNLCIASQLSTLSILSFTGEKIGETYLDLKSAPPETARAVVHRAIITDQQNKRRGTASTLTRSEVRGGGKKPYPQKKTGRARRGSMRSPLRPGGGVIFGPKPRDWSIKINKKEKRLAISTALSSAAQNTIVVEEFGDKFEKPKTKDFMEALKRWGLDPKQKSMFLMMEVPENVNLSSRNIGTLRMLTPRTLNLFDILNCDNLVLTPDAVDYLNGRYGEDYEGDTEDDDEEEEEEGGGGEEANENADAEQ >EOY33778 pep chromosome:Theobroma_cacao_20110822:9:38980677:38982667:-1 gene:TCM_041662 transcript:EOY33778 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L4 isoform 1 MATSTPTPTSLSFFSSSLFLSSPSTKLPCLSLSFKTSSNPNLCIASQLSTLSILSFTGEKIGETYLDLKSAPPETARAVVHRAIITDQQNKRRGTASTLTRSEVRGGGKKPYPQKKTGRARRGSMRSPLRPGGGVIFGPKPRDWSIKINKKEKRLAISTALSSAAQNTIVVEEFGDKFEKPKTKDFMEALKRWGLDPKQKSMFLMMEVPENVNLSSRNIGTLRMLTPRTLNLFDILNCDNLVLTPDAVDYLNGRYGEDYEGDTEDDDEEEEEEGGGGEGELFLNVNMTCLILEEANENADAEQ >EOY33780 pep chromosome:Theobroma_cacao_20110822:9:38980489:38982396:-1 gene:TCM_041662 transcript:EOY33780 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L4 isoform 1 LFLSSPSTKLPCLSLSFKTSSNPNLCIASQLSTLSILSFTGEKIGETYLDLKSAPPETARAVVHRAIITDQQNKRRGTASTLTRSEVRGGGKKPYPQKKTGRARRGSMRSPLRPGGGVIFGPKPRDWSIKINKKEKRLAISTALSSAAQNTIVVEEFGDKFEKPKTKDFMEALKRWGLDPKQKSMFLMMEVPENVNLSSRNIGTLRMLTPRTLNLFDILNCDNLVLTPDAVDYLNGRYGEDYEGDTEDDDEEEEEEGGGEANENADAEQ >EOY32365 pep chromosome:Theobroma_cacao_20110822:9:28216434:28227622:-1 gene:TCM_040236 transcript:EOY32365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQRELSKMINILSDEIRDAVRDLCKLLKYELIYDTMYRYFYISEHSVEKLSIHFRNVLILLEATRVQTLVKGVDEELECMGSIRFFSALPAQLEKMKKEPQRGLMYLLGPWYFKKKKQDGSLRLCMDYQALNKVTVKNNYPIPFIANLFNQLSCAKYFSKLDLRLSYHQVRVIEGNESKTTYVTRYGVFKFLVMPFGRTNAPANFCTLMDQVFHDYLDKVLYKARKVNRVANAHSKRLKFTTLRVITPMTASKVTTDIREKWTLTNKRTTNLCPKSKGAKAKVDVGMPRYFMEWASTLVANHFIFKTMVLLASYATRCDGLYQDVSYLPIRQNREIEIDRDA >EOY31613 pep chromosome:Theobroma_cacao_20110822:9:10447037:10448938:-1 gene:TCM_038579 transcript:EOY31613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant natriuretic peptide A MGIEMKVLVIVSMILSLTSVVSAIQGKAVFYKPPYFPSACSNQDQGKMVAGVSDALWNNGGACGKSYRVKCIGGANLAPHPCKEGTSVVVKVVDYCKAGCQGIINLSQDAFSTIADTDAGIIKVEFNEA >EOY34527 pep chromosome:Theobroma_cacao_20110822:9:41373004:41377500:1 gene:TCM_042171 transcript:EOY34527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 1 isoform 3 MNNPSEEFLEQGISQQNLRKASCMTVVTLAYQSLGVVYGDLSTSPLYVYKTTFSGKLSLRENDEEIYGVLSFIFWTFTFIALFKYILIVMSADDNGEGGTFALYSLLCRHGSLSILPNQQATDETLSAYATHGSMETWQSSALKSFFEKHPRFRKGLFIFVILGTCMTIGDGVLTPTISVLSAVSGVKLKITALHENYVVLISCVIIVGLFSLQHHGTHRVAFMFAPIVAAWLLCISSIGIYNIFRWNPHIFHALSPVYMLKFLKSTGTEGWISLGGVVLSITGVETMFADLGHFSSLSIRVVAFTFLVYPCLVLAYMGEAAFLSKHHEDIQRSFYKAIPEAVFWPVFIVATFAAVVGSQAVISATFSVISQCCALNCFPYVKIIHTSSKIYGQIYIPEVNWILMCLCLAVTIGLRDTNMMGHAYGLAVTTVMFVTTCLMALVMIIVWKQRIASAVAFLVIFGSMELLYISASVYKVPEGGWIPLVLAFIFMAIMYIWNYGMTKKHEFDVENKVSMNRIVALGPSLGMVRVPGIGLIYTNLITGVPAVFGHFVTNLPAFHQVLVFVCVKSIQVPYISEKERLVISRVGPKEYCMFRCIIRYGYKDLQQENYDFENRLVSGIVQFVEAEEDSTLKPTSIPCGELRNLDIKIFYAQDHTLTDSKFKDNNIMEQSCCDILETKTGMEHSGSSPLKEESLQILRAKESGVTFILGHSYAKAKKSSSILKKFAINVVYSFLSKNCREPDVVLNVPHTSLLEVGMVYYV >EOY34528 pep chromosome:Theobroma_cacao_20110822:9:41373004:41377500:1 gene:TCM_042171 transcript:EOY34528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 1 isoform 3 MEYSHLQYQSVLSAVSGVKLKITALHENYVVLISCVIIVGLFSLQHHGTHRVAFMFAPIVAAWLLCISSIGIYNIFRWNPHIFHALSPVYMLKFLKSTGTEGWISLGGVVLSITAGVETMFADLGHFSSLSIRVAFTFLVYPCLVLAYMGEAAFLSKHHEDIQRSFYKAIPEAVFWPVFIVATFAAVVGSQAVISATFSVISQCCALNCFPYVKIIHTSSKIYGQIYIPEVNWILMCLCLAVTIGLRDTNMMGHAYGLAVTTVMFVTTCLMALVMIIVWKQRIASAVAFLVIFGSMELLYISASVYKVPEGGWIPLVLAFIFMAIMYIWNYGMTKKHEFDVENKVSMNRIVALGPSLGMVRVPGIGLIYTNLITGVPAVFGHFVTNLPAFHQVLVFVCVKSIQVPYISEKERLVISRVGPKEYCMFRCIIRYGYKDLQQENYDFENRLVSGIVQFVEAEEDSTLKPTSIPCGELRNLDIKIFYAQDHTLTDSKFKDNNIMEQSCCDILETKTGMEHSGSSPLKEESLQILRAKESGVTFILGHSYAKAKKSSSILKKFAINVVYSFLSKNCREPDVVLNVPHTSLLEVGMVYYV >EOY34526 pep chromosome:Theobroma_cacao_20110822:9:41371418:41378070:1 gene:TCM_042171 transcript:EOY34526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 1 isoform 3 MNNPSEEFLEQGISQQNLRKASCMTVVTLAYQSLGVVYGDLSTSPLYVYKTTFSGKLSLRENDEEIYGVLSFIFWTFTFIALFKYILIVMSADDNGEGGTFALYSLLCRHGSLSILPNQQATDETLSAYATHGSMETWQSSALKSFFEKHPRFRKGLFIFVILGTCMTIGDGVLTPTISVLSAVSGVKLKITALHENYVVLISCVIIVGLFSLQHHGTHRVAFMFAPIVAAWLLCISSIGIYNIFRWNPHIFHALSPVYMLKFLKSTGTEGWISLGGVVLSITGVETMFADLGHFSSLSIRVAFTFLVYPCLVLAYMGEAAFLSKHHEDIQRSFYKAIPEAVFWPVFIVATFAAVVGSQAVISATFSVISQCCALNCFPYVKIIHTSSKIYGQIYIPEVNWILMCLCLAVTIGLRDTNMMGHAYGLAVTTVMFVTTCLMALVMIIVWKQRIASAVAFLVIFGSMELLYISASVYKVPEGGWIPLVLAFIFMAIMYIWNYGMTKKHEFDVENKVSMNRIVALGPSLGMVRVPGIGLIYTNLITGVPAVFGHFVTNLPAFHQVLVFVCVKSIQVPYISEKERLVISRVGPKEYCMFRCIIRYGYKDLQQENYDFENRLVSGIVQFVEAEEDSTLKPTSIPCGELRNLDIKIFYAQDHTLTDSKFKDNNIMEQSCCDILETKTGMEHSGSSPLKEESLQILRAKESGVTFILGHSYAKAKKSSSILKKFAINVVYSFLSKNCREPDVVLNVPHTSLLEVGMVYYV >EOY31133 pep chromosome:Theobroma_cacao_20110822:9:7164688:7169846:-1 gene:TCM_038126 transcript:EOY31133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,4-N-acetylglucosaminyltransferase family protein MATRLPHLPSRRSPPKLLSIALLILVPVCVIGIITNGQKISYFFRPLWDKPPAPFRRLPHYYAENVSMEHLCHLHGWSIRSQPRRIFDSIIFSNELDLLEIRWHELDPYISKFVILESNTTFTGIPKPLFFASNRARFAFAEEKIVHGVFPGQIASPGSHKDPFVLESLQRGAMNGLLLSAGISNGDLLIMSDTDEVPSPHTLKLLQWCDGVPPVLHLELKHYMYSFEFPVDYSSWRATVHLYSPWTRYRHSRQTDVIFSDAGWHCSFCFRSLEEFVFKMTGYSHADRVKRMDFLNYSRIQKIICRGDDLFDMLPEEYSFQELIKKMGSIPHSASAVHLPAYLIENADRFRFLLPGGCIRRKDFTISP >EOY31276 pep chromosome:Theobroma_cacao_20110822:9:7987168:7998744:1 gene:TCM_038245 transcript:EOY31276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative isoform 8 MGSTGADKVPRDSETEGSETTIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHMVVRQPVPPSSDGSPHSANDSASGTSRGHSNHVAPSVVIETFNVPDQGDGVPPEISRIVSAVLGSFGFANVGSGNIGGDVREHGSQRLERTSGASGMPDSSQAQTEQASMRGQSDRVHSAFGLPAAVSLGPLQPPVIPDSLATLSQYLSHLRREFDGIGRAGGEDPQAASLSRTGDRDSNPASNSGTVQEGLPTPASLAEVLLATRQLLIEQAGECLQQLARQLEDQGNVTDSSARLSAQSIAWRTGVLLQNLGSLFLELGRTTMTIRLGQTPSEAVVNAGPAVFISPSGPNPLMVQALPFQPGTSFGAFPMGTVQPGSGLVNGLGTGLLPRRIDIQIRRGSSVATPNVNREERGDTAQQSGQRNPSMGSGSENRSTQTSSRVSDTPSFAGESGVRVVPIRTMVAAVPTPFGRLPSDSSGNSVGLYYPFLGRFQHIASGHVSGERGSQGSGENLSHGVQSEQHLIPESTAQQQSFEESTRDGSLPNPNSRQQERSNTRSVSINILAAGRTQNNQDSERQIPSSVQFLRAIFPGGEINVEEASVQGAATGSVQEQAGTSSGAPAAEPSITDQGVFLSNLLHQIMPYVPQQASSQQSTVPTEEANTSTQAEHTSPGSSRRPSDSEPNSPNSKRQKRQSSLSRE >EOY31274 pep chromosome:Theobroma_cacao_20110822:9:7984613:7998249:1 gene:TCM_038245 transcript:EOY31274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative isoform 8 MGSTGADKVPRDSETEGSETTIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHMVVRQPVPPSSDGSPHSANDSASGTSRGHSNHVAPSVVIETFNVPDQGDGVPPEISRIVSAVLGSFGFANVGSGNIGGDVREHGSQRLERTSGASGMPDSSQAQTEQASMRGQSDRVHSAFGLPAAVSLGPLQPPVIPDSLATLSQYLSHLRREFDGIGRAGGEDPQAASLSRTGDRDSNPASNSGTVQEGLPTPASLAEVLLATRQLLIEQAGECLQQLARQLEDQGNVTDSSARLSAQSIAWRTGVLLQNLGSLFLELGRTTMTIRLGQTPSEAVVNAGPAVFISPSGPNPLMVQALPFQPGTSFGAFPMGTVQPGSGLVNGLGTGLLPRRIDIQIRRGSSVATPNVNREERGDTAQQSGQRNPSMGSGSENRSTQTSSRVSDTPSFAGESGVRVVPIRTMVAAVPTPFGRLPSDSSGNSVGLYYPFLGRFQHIASGHVSGERGSQGSGENLSHGVQSEQHLIPESTAQQQSFEESTRDGSLPNPNSRQQERSNTRSVSINILAAGRTQNNQDSERQIPSSVQFLRAIFPGGEINVEEASVQGAATGSVQEQAGTSSGAPAAEPSITDQGVFLSNLLHQIMPYVPQQASSQQSTVPTEEANTSTQAEHTSPGSSRRPSDSEPNSPNSKRQKRE >EOY31278 pep chromosome:Theobroma_cacao_20110822:9:7984693:7998618:1 gene:TCM_038245 transcript:EOY31278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative isoform 8 MGSTGADKVPRDSETEGSETTIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHMVVRQPVPPSSDGSPHSANDSASGTSRGHSNHVAPSVVIETFNVPDQGDGVPPEISRIVSAVLGSFGFANVGSGNIGGDVREHGSQRLERTSGASGMPDSSQAQTEQASMRGQSDRVHSAFGLPAAVSLGPLQPPVIPDSLATLSQYLSHLRREFDGIGRAGGEDPQAASLSRTGDRDSNPASNSGTVQEGLPTPASLAEVLLATRQLLIEQAGECLQQLARQLEDQGNVTDSSARLSAQSIAWRTGVLLQNLGSLFLELGRTTMTIRLGQTPSEAVVNAGPAVFISPSGPNPLMVQALPFQPGTSFGAFPMGTVQPGSGLVNGLGTGLLPRRIDIQIRRGSSVATPNVNREERGDTAQQSGQRNPSMGSGSENRSTQTSSRVSDTPSFAGESGVRVVPIRTMVAAVPTPFGRLPSDSSGNSVGLYYPFLGRFQHIASGHVSGERGSQGSGENLSHGVQSEQHLIPESTAQQQSFEESTRDGSLPNPNSRQQERSNTRSVSINILAAGRTQNNQDSERQIPSSVQFLRAIFPGGEINVEEASVQGAATGSVQEQAGTSSGAPAAEPSITDQGVFLSNLLHQIMPYVPQQASSQQSTVLQRKQILPPRLSTLVLGVHVDQVTLNQIHQTQNVRRQSSLSRE >EOY31279 pep chromosome:Theobroma_cacao_20110822:9:7982082:7998122:1 gene:TCM_038245 transcript:EOY31279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative isoform 8 MGSTGADKVPRDSETEGSETTIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHMVVRQPVPPSSDGSPHSANDSASGTSRGHSNHVAPSVVIETFNVPDQGDGVPPEISRIVSAVLGSFGFANVGSGNIGGDVREHGSQRLERTSGASGMPDSSQAQTEQASMRGQSDRVHSAFGLPAAVSLGPLQPPVIPDSLATLSQYLSHLRREFDGIGRAGGEDPQAASLSRTGDRDSNPASNSGTVQEGLPTPASLAEVLLATRQLLIEQAGECLQQLARQLEDQGNVTDSSARLSAQSIAWRTGVLLQNLGSLFLELGRTTMTIRLGQTPSEAVVNAGPAVFISPSGPNPLMVQALPFQPGTSFGAFPMGTVQPGSGLVNGLGTGLLPRRIDIQIRRGSSVATPNVNREERGDTAQQSGQRNPSMGSGSENRSTQTSSRVSDTPSFAGESGVRVVPIRTMVAAVPTPFGRLPSDSSGNSVGLYYPFLGRFQHIASGHVSGERGSQGSGENLSHGVQSEQHLIPESTAQQQSFEESTRDGSLPNPNSRQQERSNTRSVSINILAAGRTQNNQDSERQIPSSVQFLRAIFPGGEINVEEASVQGAATGSVQEQAGTSSGAPAAEPSITDQGVFLSNLLHQIMPYVPQQASSQQSTVPTEEANTSTQAEHTSPGSSRRPSDSEPNSPNSKRQKRE >EOY31275 pep chromosome:Theobroma_cacao_20110822:9:7988075:7998618:1 gene:TCM_038245 transcript:EOY31275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative isoform 8 MGSTGADKVPRDSETEGSETTIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHMVVRQPVPPSSDGSPHSANDSASGTSRGHSNHVAPSVVIETFNVPDQGDGVPPEISRIVSAVLGSFGFANVGSGNIGGDVREHGSQRLERTSGASGMPDSSQAQTEQASMRGQSDRVHSAFGLPAAVSLGPLQPPVIPDSLATLSQYLSHLRREFDGIGRAGGEDPQAASLSRTGDRDSNPASNSGTVQEGLPTPASLAEVLLATRQLLIEQAGECLQQLARQLEDQGNVTDSSARLSAQSIAWRTGVLLQNLGSLFLELGRTTMTIRLGQTPSEAVVNAGPAVFISPSGPNPLMVQALPFQPGTSFGAFPMGTVQPGSGLVNGLGTGLLPRRIDIQIRRGSSVATPNVNREERGDTAQQSGQRNPSMGSGSENRSTQTSSRVSDTPSFAGESGVRVVPIRTMVAAVPTPFGRLPSDSSGNSVGLYYPFLGRFQHIASGHVSGERGSQGSGENLSHGVQSEQHLIPESTAQQQSFEESTRDGNMRFIAKS >EOY31280 pep chromosome:Theobroma_cacao_20110822:9:7987168:7998744:1 gene:TCM_038245 transcript:EOY31280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative isoform 8 MGSTGADKVPRDSETEGSETTIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHMVVRQPVPPSSDGSPHSANDSASGTSRGHSNHVAPSVVIETFNVPDQGDGVPPEISRIVSAVLGSFGFANVGSGNIGGDVREHGSQRLERTSGASGMPDSSQAQTEQASMRGQSDRVHSAFGLPAAVSLGPLQPPVIPDSLATLSQYLSHLRREFDGIGRAGGEDPQAASLSRTGDRDSNPASNSGTVQEGLPTPASLAEVLLATRQLLIEQAGECLQQLARQLEDQGNVTDSSARLSAQSIAWRTGVLLQNLGSLFLELGRTTMTIRLGQTPSEAVVNAGPAVFISPSGPNPLMVQALPFQPGTSFGAFPMGTVQPGSGLVNGLGTGLLPRRIDIQIRRGSSVATPNVNREERGDTAQQSGQRNPSMGSGSENRSTQTSSRVSDTPSFAGESGVRVVPIRTMVAAVPTPFGRLPSDSSGNSVGLYYPFLGRFQHIASGHVSGERGSQGSGENLSHGVQSEQHLIPESTAQQQSFEESTRDGSLPNPNSRQQERSNTRSVSINILAAGRTQNNQDSERQIPSSVQFLRAIFPGGEINVEEASVQGAATGSVQEQAGTSSGAPAAEPSITDQGVFLSNLLHQIMPYVPQQASSQQSTVPTEEANTSTQQAEHTSPGSSRRPSDSEPNSPNSKRQKTE >EOY31277 pep chromosome:Theobroma_cacao_20110822:9:7984693:7998618:1 gene:TCM_038245 transcript:EOY31277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative isoform 8 MGSTGADKVPRDSETEGSETTIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHMVVRQPVPPSSDGSPHSANDSASGTSRGHSNHVAPSVVIETFNVPDQGDGVPPEISRIVSAVLGSFGFANVGSGNIGGDVREHGSQRLERTSGASGMPDSSQAQTEQASMRGQSDRVHSAFGLPAAVSLGPLQPPVIPDSLATLSQYLSHLRREFDGIGRAGGEDPQAASLSRTGDRDSNPASNSGTVQEGLPTPASLAEVLLATRQLLIEQAGECLQQLARQLEDQGNVTDSSARLSAQSIAWRTGVLLQNLGSLFLELGRTTMTIRLGQTPSEAVVNAGPAVFISPSGPNPLMVQALPFQPGTSFGAFPMGTVQPGSGLVNGLGTGLLPRRIDIQIRRGSSVATPNVNREERGDTAQQSGQRNPSMGSGSENRSTQTSSRVSDTPSFAGESGVRVVPIRTMVAAVPTPFGRLPSDSSGNSVGLYYPFLGRFQHIASGHVSGERGSQGSGENLSHGVQSEQHLIPESTAQQQSFEESTRDGSLPNPNSRQQERSNTRSVSINILAAGRTQNNQDSERQIPSSVQFLRAIFPGGEINVEEASVQGAATGSVQEQAGTSSGAPAAEPSITDQGVFLSNLLHQIMPYVPQQASSQQSTVPTEEANTSTQAEHTSPGSSRRPSDSEPNSPNSKRQKTE >EOY31283 pep chromosome:Theobroma_cacao_20110822:9:7984740:7998618:1 gene:TCM_038245 transcript:EOY31283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative isoform 8 MGSTGADKVPRDSETEGSETTIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHMVVRQPVPPSSDGSPHSANDSASGTSRGHSNHVAPSVVIETFNVPDQGDGVPPEISRIVSAVLGSFGFANVGSGNIGGDVREHGSQRLERTSGASGMPDSSQAQTEQASMRGQSDRVHSAFGLPAAVSLGPLQPPVIPDSLATLSQYLSHLRREFDGIGRAGGEDPQAASLSRTGDRDSNPASNSGTVQEGLPTPASLAEVLLATRQLLIEQAGECLQQLARQLEDQGNVTDSSARLSAQSIAWRTGVLLQNLGSLFLELGRTTMTIRLGQTPSEAVVNAGPAVFISPSGPNPLMVQALPFQPGTSFGAFPMGTVQPGSGLVNGLGTGLLPRRIDIQIRRGSSVATPNVNREERGDTAQQSGQRNPSMGSGSENRSTQTSSRVSDTPSFAGESGVRVVPIRTMVAAVPTPFGRLPSDSSGNSVGLYYPFLGRFQHIASGHVSGERGSQGSGENLSHGVQSEQHLIPESTAQQQSFEESTRDGWEFT >EOY31282 pep chromosome:Theobroma_cacao_20110822:9:7984726:7998618:1 gene:TCM_038245 transcript:EOY31282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative isoform 8 MGSTGADKVPRDSETEGSETTIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHMVVRQPVPPSSDGSPHSANDSASGTSRGHSNHVAPSVVIETFNVPDQGDGVPPEISRIVSAVLGSFGFANVGSGNIGGDVREHGSQRLERTSGASGMPDSSQAQTEQASMRGQSDRVHSAFGLPAAVSLGPLQPPVIPDSLATLSQYLSHLRREFDGIGRAGGEDPQAASLSRTGDRDSNPASNSGTVQEGLPTPASLAEVLLATRQLLIEQAGECLQQLARQLEDQGNVTDSSARLSAQSIAWRTGVLLQNLGSLFLELGRTTMTIRLGQTPSEAVVNAGPAVFISPSGPNPLMVQALPFQPGTSFGAFPMGTVQPGSGLVNGLGTGLLPRRIDIQIRRGSSVATPNVNREERGDTAQQSGQRNPSMGSGSENRSTQTSSRVSDTPSFAGESGVRVVPIRTMVAAVPTPFGRLPSDSSGNSVGLYYPFLGRFQHIASGHVSGERGSQGSGENLSHGVQSEQHLIPESTAQQQSFEESTRDGWEFT >EOY31281 pep chromosome:Theobroma_cacao_20110822:9:7984726:7998618:1 gene:TCM_038245 transcript:EOY31281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative isoform 8 MGSTGADKVPRDSETEGSETTIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHMVVRQPVPPSSDGSPHSANDSASGTSRGHSNHVAPSVVIETFNVPDQGDGVPPEISRIVSAVLGSFGFANVGSGNIGGDVREHGSQRLERTSGASGMPDSSQAQTEQASMRGQSDRVHSAFGLPAAVSLGPLQPPVIPDSLATLSQYLSHLRREFDGIGRAGGEDPQAASLSRTGDRDSNPASNSGTVQEGLPTPASLAEVLLATRQLLIEQAGECLQQLARQLEDQGNVTDSSARLSAQSIAWRTGVLLQNLGSLFLELGRTTMTIRLGQTPSEAVVNAGPAVFISPSGPNPLMVQALPFQPGTSFGAFPMGTVQPGSGLVNGLGTGLLPRRIDIQIRRGSSVATPNVNREERGDTAQQSGQRNPSMGSGSENRSTQTSSRVSDTPSFAGESGVRVVPIRTMVAAVPTPFGRLPSDSSGNSVGLYYPFLGRFQHIASGHVSGERGSQGSGENLSHGVQSEQHLIPESTAQQQSFEESTRDGWEFT >EOY31284 pep chromosome:Theobroma_cacao_20110822:9:7984740:7998618:1 gene:TCM_038245 transcript:EOY31284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein, putative isoform 8 MGSTGADKVPRDSETEGSETTIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHMVVRQPVPPSSDGSPHSANDSASGTSRGHSNHVAPSVVIETFNVPDQGDGVPPEISRIVSAVLGSFGFANVGSGNIGGDVREHGSQRLERTSGASGMPDSSQAQTEQASMRGQSDRVHSAFGLPAAVSLGPLQPPVIPDSLATLSQYLSHLRREFDGIGRAGGEDPQAASLSRTGDRDSNPASNSGTVQEGLPTPASLAEVLLATRQLLIEQAGECLQQLARQLEDQGNVTDSSARLSAQSIAWRTGVLLQNLGSLFLELGRTTMTIRLGQTPSEAVVNAGPAVFISPSGPNPLMVQALPFQPGTSFGAFPMGTVQPGSGLVNGLGTGLLPRRIDIQIRRGSSVATPNVNREERGDTAQQSGQRNPSMGSGSENRSTQTSSRVSDTPSFAGESGVRVVPIRTMVAAVPTPFGRLPSDSSGNSVGLYYPFLGRFQHIASGHVSGERGSQGSGENLSHGVQSEQHLIPESTAQQQSFEESTRDGNMRFIAKS >EOY30541 pep chromosome:Theobroma_cacao_20110822:9:4915997:4925707:1 gene:TCM_046921 transcript:EOY30541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial-processing peptidase subunit beta, mitochondrial, putative MPLLSADSSQTTKRQSFRTLEMVSMDMDKEFDQQPFGVDYGRLENGLVYYVKCNSKPKKRAALALAVKVGSVLEEEDERGVAHIVEHLAFSATKKYTNHNIVKFLESIGAEFGPCQNAVTSFDETVYKLLVPIDKPELLSEAIQVLSEFSSEIRLSKDDLEKERGAVMEEYRDNRNASGRIFDAYWTLMMEGSKYAERLPIGLENVIKTVSSQTLKQFYQKWYHLCNMAVIAVGDFSDTKSVIELIRTHFGHKYSASDPPPIPHFLLPSHEDPRFSYFVEPEAAGSAVRIGYKMQVDEVKTVKDYREMLVKSMFRNALNRRFFKISRRKDPPYFSCSIAAYVLVHESKAYIMTSSCKEKGILEALESMLIEVARVRLHGFSEREISVVRALMMSRIESAYLERDQVESSCLRYEYSQHFTDNKPIIGIEYEAQLQKSILPDILASEVSKFAEKLWTPCSCVIQIVEPQASATIDDLKNILKKINKLEKERSISPWDDEHIPEEIVNSKPNTGNIVQQLEHLNIGATELILSNGMRVCYKCTDFFNDQVLFSGFSYGGLSELPESKYFSSSMGSTIAEEIGMFGHRPSVLMDMLAGKRVEVDVEIRAYKRTFYGDCSPLYLETALQLVYQLFTTNVTPDDEVIKRVMQVKEQAILARERDPYTAFANRAREINYGNSYFFRPFRKSDLQKVNPLKACEYFNSCFKDPSTFTVVIVGNIDPTIALPLILQYLGGIQKPNEPIFPFNCDYIKGLPFKFPKTITREVVCSPMVEAQCMVRLCFPTELKRGKMEEEIHYIGFLSRLLETKLLQLLRFKHGQIYSASVSEFIDGDLPCVTGDVRGDIRIDFSCDPKISLKLVDLALSEILRLREEGPSDQDVTTILEIEQRAHENGLQENYYWLSRILCSYQSRIYAGDIGTSFEILDEGRSKVRKSLTPLTMQLALQRIMPHKNQHTVVILVPHVSWFQRLRSFSQWTLNGIDVKILAAIAGLTVLALSLQRYSRKS >EOY31134 pep chromosome:Theobroma_cacao_20110822:9:7170946:7175341:1 gene:TCM_038127 transcript:EOY31134 gene_biotype:protein_coding transcript_biotype:protein_coding description:EamA transporter family MGWKYKGGLLLIIGVVIMWVTSAEVTQGVFEDYGHPFAVTYLGTSLLALYLPIAFFKDWLVKHLRGRPCNSTKGPKGVDRSSVELNSPVKHDDKHGNFQIEHQVPVPECVIDLCIKEEENPLVSRHKDIVEAPKRDRMLSTKEIAAFGFCMAPIWFATEYLTNAALARTSVTSTTLLSSTSGFFTLLIGALLGQDSISTVKVVSVVISIAGVAMTTLGTTWAANEPKSGINKIGNHALLGDLFAIFSAMSYGLFTVLLKKFSGEEGERVDMQKLFGYIGLFALVALWWLAWPLTAIGVEPKFTFPQSAKVQEIILINSFVGCFLSDYFWALCVVWTSPLVAALGVSLTIPIAMLEDMLIHGQRYSIIYMIGSAQVFLGFLIANISDWISEKLRW >EOY30644 pep chromosome:Theobroma_cacao_20110822:9:5248439:5256074:-1 gene:TCM_046924 transcript:EOY30644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLALLVYVDWRTMPQSNKNDMWDLVKAKFGIEARAKKWVLSTIATDWRNYKCRLKRSFYSIYKTDDVRLKNCLEGVPFEHWKVLVAFCSSKERKKVNRGNIEETSQADMFLLTHEHKNGELDEESMRILNKGTTLNK >EOY30643 pep chromosome:Theobroma_cacao_20110822:9:5249708:5251692:-1 gene:TCM_046924 transcript:EOY30643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLALLVYVDWRTMPQSNKNDMWDLVKAKFGIEARAKKWVLSTIATDWRNYKCRLKRSFYSIYKTDDVRLKNCLEGVPFEHWKVLVAFCSSKERKKVNRGNIEETSQADMFLLTHEHKNGELDEESMRILMVEVNVPQLLFEE >EOY34441 pep chromosome:Theobroma_cacao_20110822:9:41100142:41103751:1 gene:TCM_042121 transcript:EOY34441 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuBisCO large subunit-binding protein subunit alpha isoform 2 MASANALSSASILCSPKQGGLRRRGNQQQNQRLNYRQGNSRFAVRASAKEIAFDQSSRTSMQAGIDKLADAVGLTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELPDAMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSVKRGIDKTVQGLVEELEKKARPVKGRDDIEAVATISAGNDDLIGTMIADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNPEKLICEFENARVLITDQKISAIKDIIPLLEKTTQLRSPLLIIAEDVTGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAILTGAEFQASDLGLLVENTSVEQLGIARKVIISKDSTQLIAEAASKDEIQARVAQLKKELAETDSVYDTEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAFVHLTTCVPAIKDKLEEADERLGADIVQKALVAPASLIAQNAGMEGEVVVEKVKNSEWEIGYNAMTDKYENLLEAGVIDPAKVTRCALQNASSVAGMVLTTQAIVVEKPKPKAPAAAAAQGLTI >EOY34440 pep chromosome:Theobroma_cacao_20110822:9:41100020:41104637:1 gene:TCM_042121 transcript:EOY34440 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuBisCO large subunit-binding protein subunit alpha isoform 2 MASANALSSASILCSPKQGGLRRRGNQQQNQRLNYRQGNSRFAVRASAKEIAFDQSSRTSMQAGIDKLADAVGLTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELPDAMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSVKRGIDKTVQGLVEELEKKARPVKGRDDIEAVATISAGNDDLIGTMIADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNPEKLICEFENARVLITDQKISAIKDIIPLLEKTTQLRSPLLIIAEDVTGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAILTGAEFQASDLGLLVENTSVEQLGIARKVIISKDSTQLIAEAASKDEIQARVAQLKKELAETDSVYDTEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAFVHLTTCVPAIKDKLEEADERLGADIVQKALVAPASLIAQNAGMEGEVVVEKVKNSEWEIGYNAMTDKYENLLEAGVIDPAKVTRCALQNASSVAGMVLTTQAIVVEKPKPKAPAAAAAQAMEIKDDPRKWLTYSGEARS >EOY33825 pep chromosome:Theobroma_cacao_20110822:9:39103528:39106640:1 gene:TCM_041687 transcript:EOY33825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF641) [Source:Projected from Arabidopsis thaliana (AT5G58960) TAIR;Acc:AT5G58960] MATKVSNFSDLIQRVAASCLLHPLAAGRHDSSDVDAASHAVIEENPDEEEYYEYGNSSEDEEKENGGKDMEKSRRITRVWNTGEKSKEMVALMEEVFESVAEMKKAYVRLQEAHCPWDPERMRAADVAVVGELRRLGVLRERFRRRTRGGGVGKGHVAMLKEVVAPYEAAVEELKREVKVKEVEIENLKEKLNTVTCLSNGGKKGRGLSKRKVSCSQVIAAAPAPELFEATMSQVKEASKSFTSLLLSLMREARWDISAAVRSIEAATATPDTASFTTTITPSVVANHHSKYALESYVSRKIFQGFDHETFYMDGSLSSLLNPDQYRRECFTQYRDMKAMDPVELLGILPTCHFGKFCSKKYLAIVHPKMEESLFGDLEQRSQVLAGNHPRSQFYGEFLGLAKAIWLLHLLAFSLDPSPSQFEASRGAEFHPHYMESVVKISGGRVPAGQIVGFPVSPGFKLGNGSVVKARVYLVART >EOY33824 pep chromosome:Theobroma_cacao_20110822:9:39101965:39106216:1 gene:TCM_041687 transcript:EOY33824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF641) [Source:Projected from Arabidopsis thaliana (AT5G58960) TAIR;Acc:AT5G58960] MLPSSLLCSIRPNFSRKKKGNRDSLETEAKDLDFWYSVTFNRDPSPNQSSRFSLRSDNSHVPRNGAAVKAKKKGEMATKVSNFSDLIQRVAASCLLHPLAAGRHDSSDVDAASHAVIEENPDEEEYYEYGNSSEDEEKENGGKDMEKSRRITRVWNTGEKSKEMVALMEEVFESVAEMKKAYVRLQEAHCPWDPERMRAADVAVVGELRRLGVLRERFRRRTRGGGVGKGHVAMLKEVVAPYEAAVEELKREVKVKEVEIENLKEKLNTVTCLSNGGKKGRGLSKRKVSCSQVIAAAPAPELFEATMSQVKEASKSFTSLLLSLMREARWDISAAVRSIEAATATPDTASFTTTITPSVVANHHSKYALESYVSRKIFQGFDHETFYMDGSLSSLLNPDQYRRECFTQYRDMKAMDPVELLGILPTCHFGKFCSKKYLAIVHPKMEESLFGDLEQRSQVLAGNHPRSQFYGEFLGLAKAIWLLHLLAFSLDPSPSQFEASRGAEFHPHYMESVVKISGGRVPAGQIVGFPVSPGFKLGNGSVVKARVYLVART >EOY33823 pep chromosome:Theobroma_cacao_20110822:9:39101730:39106088:1 gene:TCM_041687 transcript:EOY33823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF641) [Source:Projected from Arabidopsis thaliana (AT5G58960) TAIR;Acc:AT5G58960] MLPSSLLCSIRPNFSRKKKGNRDSLETEAKDLDFWYSVTFNRDPSPNQSSRFSLRSDNSHVPRNGAAVKAKKKGEMATKVSNFSDLIQRVAASCLLHPLAAGRHDSSDVDAASHAVIEENPDEEEYYEYGNSSEDEEKENGGKDMEKSRRITRVWNTGEKSKEMVALMEEVFESVAEMKKAYVRLQEAHCPWDPERMRAADVAVVGELRRLGVLRERFRRRTRGGGVGKGHVAMLKEVVAPYEAAVEELKREVKVKEVEIENLKEKLNTVTCLSNGGKKGRGLSKRKVSCSQGNMRTKLELLLLTNGIMPRHQEVIVKRTVRSFLFNNIRDISLTYLYLVTVIAAAPAPELFEATMSQVKEASKSFTSLLLSLMREARWDISAAVRSIEAATATPDTASFTTTITPSVVANHHSKYALESYVSRKIFQGFDHETFYMDGSLSSLLNPDQYRRECFTQYRDMKAMDPVELLGILPTCHFGKFCSKKYLAIVHPKMEESLFGDLEQRSQVLAGNHPRSQFYGEFLGLAKAIWLLHLLAFSLDPSPSQFEASRGAEFHPHYMESVVKISGGRVPAGQIVGFPVSPGFKLGNGSVVKARVYLVART >EOY30306 pep chromosome:Theobroma_cacao_20110822:9:4174208:4175206:1 gene:TCM_037563 transcript:EOY30306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elf4-like 4 isoform 1 MEGDAFSGLGNGTQIDGKVVQTFQKSFVQVQHILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSSSFTKSVDASSEGDSSGAMKSDGKAGHKRNRPA >EOY30305 pep chromosome:Theobroma_cacao_20110822:9:4173238:4174972:1 gene:TCM_037563 transcript:EOY30305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elf4-like 4 isoform 1 MEGDAFSGLGNGTQIDGKVVQTFQKSFVQVQHILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSSSFTKSVDASSEGDSSGAMKSDGKAGHKRNRPA >EOY31817 pep chromosome:Theobroma_cacao_20110822:9:15061147:15062591:1 gene:TCM_039018 transcript:EOY31817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MICYECKKPSHFKSECPLQKDETPKKNKKSKKVMVAAAWSNSDTSSSETDDEKSEERVNICLMAQEDETELPLSPCINYYDDLQDEYECLYDEFEKLFSKYKSLK >EOY31389 pep chromosome:Theobroma_cacao_20110822:9:8435060:8436233:1 gene:TCM_038317 transcript:EOY31389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKMDGFSGLLVRKLAPYYFLISMSDDELFEKLKKKHRGWPNNGFILVNIWLEDFVTKKSHRWVSVQGVLVHAWCHNTLSNIACVWGGIVFLDEKNQ >EOY32504 pep chromosome:Theobroma_cacao_20110822:9:30486473:30499602:-1 gene:TCM_040462 transcript:EOY32504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase isoform 1 MLKSQVHQRHYSIKTLFPLRNLFLHGSDRALLPLYSWPSFNKTSRAVRVTAALRKVKAVATATEKCLRVKAIVNVTESVGDIVTHLRLDRGLDDIQDLLGKSILLELVSKELDPTTGLERKTIKAFAHRMANKGEDVKYEAEFEVPMEFGELGAVFVENEHHREMYVDDIVIDGFPSGPVKVNCASWVHSKFEHLQKRVFFTNKSYLPSETPNGLKRLREEELASLRGNGMGERQRYERIYDYDVYNDLGEPDKDLGLKRPVLGGKHFPYPRRCRTGRPPCETDPLSEKRTGHFYVPRDECFSEIKQLTFSAKTVYSLMHCLIPTVENTIVDPDMGFPHINAVDSLFSEGINLPPLQKQGIWNTVLPRLFKAISEGSEDVLRFEAPDTMARDKFFWFRDEEFARQTLAGLNPYSIRLVTEWPLKSKLDPKIYGPVESAITTEMIEQEIVGVMTVEQAIKQKKLFILDYHDLYLPYVKKVRELKGTTLYGSRTLFFLNSNDTLRPLAIELVRPPSDGKPQWKQVYAPSWDSTACWLWRLAKAHVLAHDAGYHQLVSHWLRTHCVTETYIIATNRRLSVMHPIYRLLHPHFRYTIEINALARESLINADGIIENSFTPGKYSMELCAIAYDLEWRFDHQALPADLVSRGMAEEDPNAPHGLRLTINDYPFANDGLLIWDALKQWVSAYVNHYYPNASLVESDEELQEWWTEIRTVGHADKKDEPWWPTLRTPQDLIDIITTIIWVTSGHHAAVNFGQYAYAGYFPNKPTIARTQMPTEDPSDEEWNLFQKNPEAVLLQCFPSRMQATKVMAVLDVLSNHSPDEEYLGEKMEPSWGEDPIIKAAFEKLNGKLKEIEGIIDERNANGSWKNRSGAGIVPYELLKPFSEPGVTGKGVPYSISI >EOY32506 pep chromosome:Theobroma_cacao_20110822:9:30488126:30492880:-1 gene:TCM_040462 transcript:EOY32506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase isoform 1 MLKSQVHQRHYSIKTLFPLRNLFLHGSDRALLPLYSWPSFNKTSRAVRVTAALRKVKAVATATEKCLRVKAIVNVTESVGDIVTHLRLDRGLDDIQDLLGKSILLELVSKELDPTTGLERKTIKAFAHRMANKGEDVKYEAEFEVPMEFGELGAVFVENEHHREMYVDDIVIDGFPSGPVKVNCASWVHSKFEHLQKRVFFTNKSYLPSETPNGLKRLREEELASLRGNGMGERQRYERIYDYDVYNDLGEPDKDLGLKRPVLGGKHFPYPRRCRTGRPPCETDPLSEKRTGHFYVPRDECFSEIKQLTFSAKTVYSLMHCLIPTVENTIVDPDMGFPHINAVDSLFSEGINLPPLQKQGIWNTVLPRLFKAISEGSEDVLRFEAPDTMARDKFFWFRDEEFARQTLAGLNPYSIRLVTEWPLKSKLDPKIYGPVESAITTEMIEQEIVGVMTVEQAIKQKKLFILDYHDLYLPYVKKVRELKGTTLYGSRTLFFLNSNDTLRPLAIELVRPPSDGKPQWKQVYAPSWDSTACWLWRLAKAHVLAHDAGYHQLVSHWLRTHCVTETYIIATNRRLSVMHPIYRLLHPHFRYTIEINALARESLINADGIIENSFTPGKYSMELCAIAYDLEWRFDHQALPADLVSR >EOY32505 pep chromosome:Theobroma_cacao_20110822:9:30487222:30491828:-1 gene:TCM_040462 transcript:EOY32505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase isoform 1 MGERQRYERIYDYDVYNDLGEPDKDLGLKRPVLGGKHFPYPRRCRTGRPPCETDPLSEKRTGHFYVPRDECFSEIKQLTFSAKTVYSLMHCLIPTVENTIVDPDMGFPHINAVDSLFSEGINLPPLQKQGIWNTVLPRLFKAISEGSEDVLRFEAPDTMARDKFFWFRDEEFARQTLAGLNPYSIRLVTEWPLKSKLDPKIYGPVESAITTEMIEQEIVGVMTVEQAIKQKKLFILDYHDLYLPYVKKVRELKGTTLYGSRTLFFLNSNDTLRPLAIELVRPPSDGKPQWKQVYAPSWDSTACWLWRLAKAHVLAHDAGYHQLVSHWLRTHCVTETYIIATNRRLSVMHPIYRLLHPHFRYTIEINALARESLINADGIIENSFTPGKYSMELCAIAYDLEWRFDHQALPADLVSRGMAEEDPNAPHGLRLTINDYPFANDGLLIWDALKQWVSAYVNHYYPNASLVESDEELQEWWTEIRTVGHADKKDEPWWPTLRTPQDLIDIITTIIWVTSGHHAAVNFGQYAYAGYFPNKPTIARTQMPTEDPSDEEWNLFQKNPEAVLLQCFPSRMQATKVMAVLDVLSNHSPDEEYLGEKMEPSWGEDPIIKAAFEKLNGKLKEIEGIIDERNANGSWKNRSGAGIVPYELLKPFSEPGVTGKGVPYSISI >EOY29526 pep chromosome:Theobroma_cacao_20110822:9:1462040:1467570:1 gene:TCM_037036 transcript:EOY29526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle receptor alpha subunit family protein MLEQLLIFTRGGLILWTCKALGNALKGSPIDTLIRSCLLEERSGAASYNYDAPGASYTLKWTFHNELGLVFVAVYQRILHLLYVDDLLALVRREFSKIYDPKRTLYNDFDETFRQLRREAEARAEEFKKSKQMVKPVNNNKKQGQVQKAGFDKGSKKSEGGLAADGGDGDKVKGRKLENGHSNGNHVEIEEPRMIGVVNGKENTSSNISAFDVSKLQKRTKGGKKTDTVVNKGSKVDPKKKVAKKNRVWDDAPPETKLDFTDPLDGNGNENIEVVAADQGESMMDIEEIISSDSESEEDDNVGKDSKPEAKKKGWFSSMFQSIAGKANLEKADLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLASFTRISSTVQAAMEEALVRILTPRRSIDILRDVHAAKEQRKPYVVVFVGVNGVGKSTNLAKVAYWLLQHNVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEATRNGSDVVLVDTAGRMQDNEPLMRALSKLIYLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLSTSPTPRLIDGILLTKFDTIDDKVGAALSMVYVSGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >EOY32619 pep chromosome:Theobroma_cacao_20110822:9:32087076:32088897:1 gene:TCM_040624 transcript:EOY32619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTLHLHHQASTNHHHILSAFGTHLLSILLLHYITTNLLLLHMFTSLRHLHLQHPLHLMFTNLHLLHLHPHHLLMFINLRHHHHLHHLLRMFTSLHHLHLHHPLHLMFTNLHLLHLHPHHLLMFINLHHHHRLHHLLHLHPHHLLMFTNLHILHLHPHHLLMFINIHHHHHLRHVLHMFTSLHLHHLHPHYLLMFINLHHHHHLHHLLHMFTSLHHLHLHHPHHLMFTNLHLLHLHPHHLFMFTNLHHLHLHPHHLLMFINLHHLHLHTCISPFHHAHSPLHLHIIKNYLLLLIITSLQYLHLTMHILHTSINHLFRHPSLIFLIITSHRHLFKVTKVVVIRQSSFNSSKNNKLFMTFSMDFLNIFL >EOY29597 pep chromosome:Theobroma_cacao_20110822:9:1702468:1706156:1 gene:TCM_037095 transcript:EOY29597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MASSLSPFFLFLITCSATLITPANSLPTFFPGATYQSLIKQAKTPKPKLPFKVHYFPQTLDHFTFQPKSSKIFYQKYLINSHYWHKGAPIFVYTGNEGDIEWFAANTGFMLDIAPKFKALLVFIEHRFYGESTPFGKESYKSAKTLGYLNSQQALADFAVLIRNLKQNLSSEASPVVVFGGSYGGMLAAWFRLKYPHIAIGALASSAPILQFDKIIPWSSFYDAVSQDFKDVSWNCYEVIKGSWEELEAMSTQKEALAELSKAFRTCKSLHSTASARDWLWTAFVYTAMVNYPTEANFLKPLPAYPVQEMCKIIDKFPSGATKLSRSFAAASLYYNYSRAENCFEIEHEVDTHGLHGWDWQTCTEMVMPMTCSKESMFPPSGFDYEEFAEQCQMKYEVLPRPHWITTEFGGERIQKVLKK >EOY29596 pep chromosome:Theobroma_cacao_20110822:9:1702456:1707256:1 gene:TCM_037095 transcript:EOY29596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MASSLSPFFLFLITCSATLITPANSLPTFFPGATYQSLIKQAKTPKPKLPFKVHYFPQTLDHFTFQPKSSKIFYQKYLINSHYWHKGAPIFVYTGNEGDIEWFAANTGFMLDIAPKFKALLVFIEHRFYGESTPFGKESYKSAKTLGYLNSQQALADFAVLIRNLKQNLSSEASPVVVFGGSYGGMLAAWFRLKYPHIAIGALASSAPILQFDKIIPWSSFYDAVSQDFKDVSWNCYEVIKGSWEELEAMSTQKEALAELSKAFRTCKSLHSTASARDWLWTAFVYTAMVNYPTEANFLKPLPAYPVQEMCKIIDKFPSGATKLSRSFAAASLYYNYSRAENCFEIEHEVDTHGLHGWDWQTCTEMVMPMTCSKESMFPPSGFDYEEFAEQCQMKYEVLPRPHWITTEFGGERIQKVLKKFGSNIIFSNGMQDPWSRGGVLKNISASIIALVTKKGAHHVDFRSATKDDPDWLVEQRRQEVEIIQKWLNEYYADLRHA >EOY33467 pep chromosome:Theobroma_cacao_20110822:9:37641620:37645702:-1 gene:TCM_041438 transcript:EOY33467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MSMIAPIAVALTVGLMGWAYQALKPPPPKICGSADGPPVTSPRIKLSDGRHLAYREAGVPKEEAKYKILVIHGFDSSKDMNLPAPQELIEELKIYFLFFDRAGYGDSDPNPARSVKSEAYDVQELADKLQIGSKFYVIGISMGAYPVYSCLKYIPHRLAGASLVVPFVHYWWPCLPANLSSEAFDRLLAQDQWSFRVAHYTPWLFYWWMTQKWFPSLSIVAGNMAIFSQSDLEILKKWSEAPIVGQEKIRQQGVHESLDRDIMVSYGKWEFDPMDLVNPFPDNEGSVHIWQGYEDRIIPFQVNRYLSEKLPWIHYHEVPDAGHLLIFESKNCEAVLRALLHR >EOY33466 pep chromosome:Theobroma_cacao_20110822:9:37641620:37646400:-1 gene:TCM_041438 transcript:EOY33466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MTSGQIKKKVSAASARAHTRANRARSSSFPAMIAPIAVALTVGLMGWAYQALKPPPPKICGSADGPPVTSPRIKLSDGRHLAYREAGVPKEEAKYKILVIHGFDSSKDMNLPAPQELIEELKIYFLFFDRAGYGDSDPNPARSVKSEAYDVQELADKLQIGSKFYVIGISMGAYPVYSCLKYIPHRLAGASLVVPFVHYWWPCLPANLSSEAFDRLLAQDQWSFRVAHYTPWLFYWWMTQKWFPSLSIVAGNMAIFSQSDLEILKKWSEAPIVGQEKIRQQGVHESLDRDIMVSYGKWEFDPMDLVNPFPDNEGSVHIWQGYEDRIIPFQVNRYLSEKLPWIHYHEVPDAGHLLIFESKNCEAVLRALLHR >EOY29665 pep chromosome:Theobroma_cacao_20110822:9:1992398:1997647:1 gene:TCM_046916 transcript:EOY29665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline extensin receptor kinase 1 MLLAPSSSPSPEGLPFTTSPPPQQSPPVVQPPLAAPLVSPPFPPTNSASPVVSPPLLTPPLAPISTPKTPPPFLPVASPPPAVIAVAPPQPPTPPVVTPPPPSAAANTISPVASPPHPPPPALVISSPPPPQTPVQTPQPPISLPLTSPLPSPTPPTPPSIILPPPATTAPSLPPPRPPTDPPPFSLESPPPPAGSHSVGVPDAPGSSQAFPLPAPPPPLPLAVGKGGLPTTQQGSDSPIGLIVSCIGVGILLFVVFALVCICCKSRRRKQKPLEDRRKQQCLVTKDDLCAAPIQHEQEKVAPPPGVHVITVPPTALPQPPLTNAEGSGTNNSGSSNGIFTYDELFVATNGFSESNLLGQGGFGYVHKGVLPSGQEVAVKQLKAGSHQGEREFQAEVETISRVHHKHLVSLVGYCITGAERLLVYEFVPNKTLEFHLHGNGQPVIAWESRLKIAIGSAKGIAYLHEDCSPTIIHRDIKAANILLDPRFEAKVSDFGLAKIFSDASSSITHVSTRVVGTFGYLAPEYALTGKLTDKSDVYSYGVMLLELITGRRPIIKKEFSINESLVDWTRPLLGCALEDDDFDALADPRLQGAYNKSEMACMVTCAAACIRQSAWLRPRMSQQVVRALEGDLSLSYLDVGGRSWNSSMYTSSETPIQKARQYENMKKINTSMGSKNYGISGNSETTSEYGLNPSGSSSESQRTN >EOY32645 pep chromosome:Theobroma_cacao_20110822:9:32388570:32392566:1 gene:TCM_040664 transcript:EOY32645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MNFKLYQMDVKSAFLNGFIQEEVFVEQPPGFEDFEKLDHFFKLHKALYGLKQALRAWYERLSKFLVEKGYVRGSIDTTLFIKRYLNELIIVQISMDDIVFGATNEVLYNNFAKEMQGEFEMSMMGELKYFLGLQIKHSKEGIFINQERYTQDMLKKFDMLKLKPISTPMSPSTKLDLDEKGKNVDQKLYRGMIGSVLNLIASRLDIQFNVYLCAKFQSQPKESHLTTIKIIFRYLLETQTLGIWYSRESILRLVRYSDADFASSKIDRKNTSGTCQFLGSMLVSWSSKK >EOY33800 pep chromosome:Theobroma_cacao_20110822:9:39018516:39024722:1 gene:TCM_041671 transcript:EOY33800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein MSKLEEMSCVYKNPNAPIEDRIKNLVSGMTLQEKIGQMTQIELCVATPSDVRDLSIGSMISGGGKPPLEKATPSDWADTLDRFQQAALDSRLGIPLIYGIDAVHGNNRFYGATIFPHNIGLGATRDADLAQRIGAAVALEVRASGIHFNFAPCVAVCRDPRWGRCYESFSEDTNIVRKMTSIITGLQGQPPSGHPKGYPFVAGRDNVIACAKHFVGDGGTDKGINEGNTVSSYDDLERIHMAPYLDCLNQGVSTVMASYSSWNGCKLHAHHFLLTDILKDKLGFKGFVISDWKALDRLSEPRGSNYRHCVSTAINAGIDMVMVPHRYKQFIEDLTSLVESGEIQMSRIDDAVERILRVKFVAGLFEYPFSDRSLLDMVGCKLHRELAREAVRKSLVLLKNGKNPGKPFLPLDKNARRILVAGTHADDLGYQCGGWTRYWQGSSGRITIGTTILDAFREVVGEKTEVIYDKYPSPDSFARQNFSFAIVAVGEEPYAESVGDNSELIIPFNGSELISSVAERIPTLVILISGRPLVIEPWLLEKVDALIAAWLPGTEGRGITDVVYGDYEFEGRLPMTWFRAIKQLPINSEDNSCDPLFPLGFGLTCC >EOY33773 pep chromosome:Theobroma_cacao_20110822:9:38943478:38947996:1 gene:TCM_041654 transcript:EOY33773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 4,1 isoform 2 MSIAILPMSAEFNWNPATVGLIQSSFFWGYLLTQIAGGIWADTVGGKSVLGFGVIWWSIATALTPVAAKIGLPFLLVVRAFMGIGEGVAMPAMNNILSRWVPVAERSRSLALVYSGMYLGSVTGLAFSPFLIHQFGWPSVFYSFGSLGTVWFGIWLNKAHSSPLEDPQLRPQEKKLIVTNNITNKPVKTIPWRLILSKPPVLALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAFSANLGGWIADTLVSKGLSVTTVRKIMQSIGFLGPAFFLTQLSHVNSPAMAVLCMACSQGTDAFSQSGLYSNHQDIAPRYSGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKVSVGLYLVGTVVWNLFSTGEKILD >EOY33772 pep chromosome:Theobroma_cacao_20110822:9:38941893:38948000:1 gene:TCM_041654 transcript:EOY33772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 4,1 isoform 2 MSSGALLFSPNFPKSPPDIISTRNKPNRARSLFRVWVNSPHGSLFFRVLVSDSSSDRRRRRSGKVFADVREIPESIREPVKLGERLNDVVLNEEDFDSSVPWWEQFPKRWVIVILCFSAFLLCNMDRVNMSIAILPMSAEFNWNPATVGLIQSSFFWGYLLTQIAGGIWADTVGGKSVLGFGVIWWSIATALTPVAAKIGLPFLLVVRAFMGIGEGVAMPAMNNILSRWVPVAERSRSLALVYSGMYLGSVTGLAFSPFLIHQFGWPSVFYSFGSLGTVWFGIWLNKAHSSPLEDPQLRPQEKKLIVTNNITNKPVKTIPWRLILSKPPVLALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAFSANLGGWIADTLVSKGLSVTTVRKIMQSIGFLGPAFFLTQLSHVNSPAMAVLCMACSQGTDAFSQSGLYSNHQDIAPRYSGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKVSVGLYLVGTVVWNLFSTGEKILD >EOY33156 pep chromosome:Theobroma_cacao_20110822:9:35701996:35706920:-1 gene:TCM_041139 transcript:EOY33156 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and MATH domain-containing protein 4 isoform 3 MTNRATSPTATAEVEQSPLVSPTSSRSVTETVNGSHRLVIQGYSLAKGIGVGKHIASDNFTVGGYQWAIYFYPDGKNPEDNSTYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRSLESGPYSLKYRGSMWGYKRFFRRALLETSDYLKDDCLKINCTVGVVVSAIDCSRLHSIQVPESNIGAHFGMLLENMEGSDITFDVAGEKFHAHKLVLAARSPVFRSEFFDGMDEEKQEIVITNFDPKVFKALLHFIYRDTLSEDVESVGSTFSSVSSISETLIAKLLAAADRYGLERLKLMCESHLCKNISVNSVAKILALADQYHASELKAVCLRFAAENLAG >EOY33157 pep chromosome:Theobroma_cacao_20110822:9:35701439:35706920:-1 gene:TCM_041139 transcript:EOY33157 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and MATH domain-containing protein 4 isoform 3 MTNRATSPTATAEVEQSPLVSPTSSRSVTETVNGSHRLVIQGYSLAKGIGVGKHIASDNFTVGGYQWAIYFYPDGKNPEDNSTYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRSLESGPYSLKYRGSMWGYKRFFRRALLETSDYLKDDCLKINCTVGVVVSAIDCSRLHSIQVPESNIGAHFGMLLENMEGSDITFDVAGEKFHAHKLVLAARSPVFRSEFFDGMDEEKQEIVITNFDPKVFKALLHFIYRDTLSEDVESVGSTFSSVSSISETLIAKLLAAADRYGLERLKLMCESHLCKNISVNSVAKILALADQYHASELKAVCLRFAAENLADGFEYLKENCPSLQSELLKTVAGCDEDRSSGGKSRSVWAQLSDGDTSGRRVRQRT >EOY33155 pep chromosome:Theobroma_cacao_20110822:9:35701305:35706608:-1 gene:TCM_041139 transcript:EOY33155 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and MATH domain-containing protein 4 isoform 3 MTNRATSPTATAEVEQSPLVSPTSSRSVTETVNGSHRLVIQGYSLAKGIGVGKHIASDNFTVGGYQWAIYFYPDGKNPEDNSTYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRSLESGPYSLKYRGSMWGYKRFFRRALLETSDYLKDDCLKINCTVGVVVSAIDCSRLHSIQVPESNIGAHFGMLLENMEGSDITFDVAGEKFHAHKLVLAARSPVFRSEFFDGMDEEKQEIVITNFDPKVFKALLHFIYRDTLSEDVESVGSTFSSVSSISETLIAKLLAAADRYGLERLKLMCESHLCKNISVNSVAKILALADQYHASELKAVCLRFAAENLAAVMRTDGFEYLKENCPSLQSELLKTVAGCDEDRSSGGKSRSVWAQLSDGDTSGRRVRQRT >EOY30546 pep chromosome:Theobroma_cacao_20110822:9:4951637:4953979:-1 gene:TCM_037719 transcript:EOY30546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MRKLARNFRKSEDDKFSLPTLDDSRPMDTHEQEEVVRSLERMHAQQSLQWKSVFAALLFCYSAFLLYSIYQQALFPWELRYHAYFMEDVGSWMIITADWLAVLVCSMAIMGLLNNSKDHRRWIEYSCFVGLMLAVFWLYYMLRMPKFRWDVIWLPLGPFSGSGVCLYVDHLLSESSEEVRKLRSYMYAFKAG >EOY30547 pep chromosome:Theobroma_cacao_20110822:9:4952118:4953914:-1 gene:TCM_037719 transcript:EOY30547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MRKLARNFRKSEDDKFSLPTLDDSRPMDTHEQEEVVRSLERMHAQQSLQWKSVFAALLFCYSAFLLYSIYQQALFPWELRYHAYFMEDVGSWMIITADWLAVLVCSMAIMGLLNNSKDHRRWIEYSCFVGLMLAVFWLYYMLRMPKFRWDVIWLPLGPFRYVLFSCLSLSMHLKFLLMH >EOY30545 pep chromosome:Theobroma_cacao_20110822:9:4951567:4954058:-1 gene:TCM_037719 transcript:EOY30545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MRKLARNFRKSEDDKFSLPTLDDSRPMDTHGYVLKFAEQEEVVRSLERMHAQQSLQWKSVFAALLFCYSAFLLYSIYQQALFPWELRYHAYFMEDVGSWMIITADWLAVLVCSMAIMGLLNNSKDHRRWIEYSCFVGLMLAVFWLYYMLRMPKFRWDVIWLPLGPFSGSGVCLYVDHLLSESSEEVRKLRSYMYAFKAG >EOY30548 pep chromosome:Theobroma_cacao_20110822:9:4951622:4954036:-1 gene:TCM_037719 transcript:EOY30548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 SYEFRRPGNGIYLGFSTLNRLQVLLFLDEQLVHFCELGLKMRKLARNFRKSEDDKFSLPTLDDSRPMDTHEQEEVVRSLERMHAQQSLQWKSVFAALLFCYSAFLLYSIYQQALFPWELRYHAYFMEDVGSWMIITAECQNSVGMLYGFLLGPSVDLGYASMWTISFPSHQRK >EOY30779 pep chromosome:Theobroma_cacao_20110822:9:5752898:5758603:-1 gene:TCM_037870 transcript:EOY30779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein, putative isoform 2 MARGLLLCSQTRTIAKLSFSLPNRKFRFKPHYHHLYYEHQNLPSTRVFCHCVSSQPSTVVDMAKYNEAFSRRMAMAGLKPHNHIALGVSGGPDSISLCVLAANWKTEGLYGSDKSGNYIAGLLAIIVDHGLRPESKDEASLVGHRVAEIGIRFEIARCDWSNGKPKQGQLQEAARDMRYKIFQDVCMQNQISVLLVAHHADDQAELFVLRSSRDSGVLGLAGMAFTSQVFSSHTYFSNKDWKCHSILLVRPLLDFSKEDMYKICQGSNHDWVEDPTNRSSLFARNRIRMSLGNLSSCIFKSELQAVISACRKTRTYVDQICNNLINQTVTIMEGYAVIDLEALDPSKIEDICLSKFIALVLQYISQRQRPIRGSTSKLLLQYIRTIPCKTSLTAAGCYICPAPGSKGTKALICCSVHGPLPSKAELFQAHSSEEQKHCFSNELEQIIANGKSYSINLVPNASKVQFLNMGSASVLDEAQRLDIISESTYRNFILLQKEEVKRFKSKTDELVSECKSKQEAEHVAAFLSEPLLHGQTCFFMNRFIISWKVSKEISWNVFPREAYCLSYLGRESQHSHCCCINRHDMVAKIRPMIDADWLYLAELLKWPSSDNFEATKLPFSIEANPLTKKTKICSDYSRLSAKGALKSLKSVPAAARRSIPVLVNHDGQLLGIPSIGFNHCPFLMTSAVFKPRVPLGGGHSSFL >EOY30778 pep chromosome:Theobroma_cacao_20110822:9:5754136:5761779:-1 gene:TCM_037870 transcript:EOY30778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein, putative isoform 2 MARGLLLCSQTRTIAKLSFSLPNRKFRFKPHYHHLYYEHQNLPSTRVFCHCVSSQPSTVVDMAKYNEAFSRRMAMAGLKPHNHIALGVSGGPDSISLCVLAANWKTEGLYGSDKSGNYIAGLLAIIVDHGLRPESKDEASLVGHRVAEIGIRFEIARCDWSNGKPKQGQLQEAARDMRYKIFQDVCMQNQISVLLVAHHADDQAELFVLRSSRDSGVLGLAGMAFTSQVFSSHTYFSNKDWKCHSILLVRPLLDFSKEDMYKICQGSNHDWVEDPTNRSSLFARNRIRMSLGNLSSCIFKSELQAVISACRKTRTYVDQICNNLINQTVTIMEQGYAVIDLEALDPSKIEDICLSKFIALVLQYISQRQRPIRGSTSKLLLQYIRTIPCKTSLTAAGCYICPAPGSKGTKALICCSVHGPLPSKAELFQAHSSEEQKHCFSNELEQIIANGKSYSINLVPNASKVQFLNMGSASVLDEAQRLDIISESTYRNFILLQKEEVKRFKSKTDELVSECKSKQEAEHVAAFLSEPLLHGQTCFFMNRFIISWKVSKEISWNVFPREAYCLSYLGRESQHSHCCCINRHDMVAKIRPMIDADWLYLAELLKWPSSDNFEATKLPFSIEANPLTKKTKICSDYSRLSAKGALKSLKSVPAAARRSIPVLVNHDGQLLGIPSIGFNHCPFLMTSAVFKPRVPLGGGHSSFL >EOY30780 pep chromosome:Theobroma_cacao_20110822:9:5750797:5758603:-1 gene:TCM_037870 transcript:EOY30780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein, putative isoform 2 MARGLLLCSQTRTIAKLSFSLPNRKFRFKPHYHHLYYEHQNLPSTRVFCHCVSSQPSTVVDMAKYNEAFSRRMAMAGLKPHNHIALGVSGGPDSISLCVLAANWKTEGLYGSDKSGNYIAGLLAIIVDHGLRPESKDEASLVGHRVAEIGIRFEIARCDWSNGKPKQGQLQEAARDMRYKIFQDVCMQNQISVLLVAHHADDQAELFVLRSSRDSGVLGLAGMAFTSQVFSSHTYFSNKDWKCHSILLVRPLLDFSKEDMYKICQGSNHDWVEDPTNRSSLFARNRIRMSLGNLSSCIFKSELQAVISACRKTRTYVDQICNNLINQTVTIMEGYAVIDLEALDPSKIEDICLSKFIALVLQYISQRQRPIRGSTSKLLLQYIRTIPCKTSLTAAGCYICPAPGSKGTKALICCSVHGPLPSKAELFQAHSSEEQKHCFSNELEQIIANGKSYSINLVPNASKVQFLNMGSASVLDEAQRLDIISESTYRNFILLQKEEVKRFKSKTDELVSECKSKQEAEHVAAFLSEPLLHGQTCFFMNRFIISWKVSKEISWNVFPREAYCLSYLGRESQHSHCCCINRHDMVAKIRPMIDADWLYLAELLKWPSSDNFEATKLPFSIEANPLTKKTKICSDYSRLSAKGALKSLKSVPAAARRSIPVLVNHDGQLLGIPSIGFNHCPFLMTSAVFKPRVPLGGGHSSFL >EOY34488 pep chromosome:Theobroma_cacao_20110822:9:41287798:41291353:-1 gene:TCM_042154 transcript:EOY34488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVDTTAIFVNGKHRCGIIEVKLRSNHAHGFHQTTWNPRNEEQIKSNKHSPPCNRPPAALRLALGIWVLSTNNSAHSFPLPNTNIWPSMFSDSSHCKGSRVSGRNKMFSAA >EOY33045 pep chromosome:Theobroma_cacao_20110822:9:35082785:35085683:1 gene:TCM_041049 transcript:EOY33045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MNSVGVSFRNQRSFSSSSTSSSLLPWISPLQFLKANSQKRDPPPEIPYTLTESQRKPRFVSHETAINLIKRERDPQRALEIFNRVSEQKGFSHNNATYGTILHKLVQSKKFQAIDSILRQMTYETCKFHEGVFLNLMKHFSKFSLHDRVLEMFYAIQPIVREKPSLKAISTCLNLLIESNQVDLARHFLLNSKKSLRLRPNTCIFNILVKHHCKNGDLESAFEVVKEMKKSRVSYPNLITYSTLMGGLCESGRLKEAIELFEEMVAKDQILPDVLTYNILINGFCCRGKVDRARKIMEFMKNNGCNPNLFNYSTLINGFCKEGRWQEAKEVFVEMESIGLKPDTIGYTTLINCLCRAAQIEEAMELLKEMKEKECQADVVTLNVLLGGLCREGRFQDALQMLEKLPYEGVYLNKASYRIVLNSLCQKDEMEKAAKLVGLMLDRGFVPHYATSNDLLIRLCKAGMVDDAVTALVGLAETGFKPEPHCWEFLTELNCKERKLLSVFELLDELVIKESGQRSFSPINYLDYNF >EOY33044 pep chromosome:Theobroma_cacao_20110822:9:35083150:35085731:1 gene:TCM_041049 transcript:EOY33044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein isoform 1 MNSVGVSFRNQRSFSSSSTSSSLLPWISPLQFLKANSQKRDPPPEIPYTLTESQRKPRFVSHETAINLIKRERDPQRALEIFNRVSEQKGFSHNNATYGTILHKLVQSKKFQAIDSILRQMTYETCKFHEGVFLNLMKHFSKFSLHDRVLEMFYAIQPIVREKPSLKAISTCLNLLIESNQVDLARHFLLNSKKSLRLRPNTCIFNILVKHHCKNGDLESAFEVVKEMKKSRVSYPNLITYSTLMGGLCESGRLKEAIELFEEMVAKDQILPDVLTYNILINGFCCRGKVDRARKIMEFMKNNGCNPNLFNYSTLINGFCKEGRWQEAKEVFVEMESIGLKPDTIGYTTLINCLCRAAQIEEAMELLKEMKEKECQADVVTLNVLLGGLCREGRFQDALQMLEKLPYEGVYLNKASYRIVLNSLCQKDEMEKAAKLVGLMLDRGFVPHYATSNDLLIRLCKAGMVDDAVTALVGLAETGFKPEPHCWEFLTELNCKERKLLSVFELLDELVIKESGQRSFSPINYLDYNF >EOY34334 pep chromosome:Theobroma_cacao_20110822:9:40715381:40722313:1 gene:TCM_042040 transcript:EOY34334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein isoform 3 MAASPSNELSENKTLDSDTQNPQANGHDSTEDPQPLDEAIQLSDSQSTAYTNPGEKRKREDQDPPLHPLWKTSLCSYFRRQDGSCSHGSTCRYAHGEEELRPRPDNTWDPTSERAKKAMKGENGEKLAAKEEEEDEVMMTEMVMDDEDGEGGEEGGDPQLSKCLVHLPRKWSSDNLRKFLSEQGIPFKSAKKKKGMVVGFVSFESAEQLKSAVEELEGKSFGNKTLKVASVIPRSFERKGKSAMAAPLSYQQASVKENAGVSINTNGVEDGAPNGDSSALDDSASKAKSARDAVTPLAHVPYGDQLVRKKNSVMQMLKKLTRNARKACPNGVSLPEWVLKSRERGGLPCELEGIIESPVVNGYRNKCEFSVGYSQQSKPTVGFMLGNFREGVTAVEEPVDCPNVSKIACKYALILQEFLQHSSLPIWNRFKNTGFWRQLTVREGRSAGKVGDENLEANISEVMLMVQVCSVGFDDAQITSEFERLAQAFAAGAAANSPTLPLTALVVQDHQGISNVAPADAPLRSIPIPKAESGIELETNGNVVEARIHDCISNLQFSISPTAFFQVNTLAAEKLYSLAGEWAGLGPDTLLFDICCGTGTIGLTLAHRVGMVIGIEMNASAVQDAHKNAELNGIKNCRFICSKAEDVIGSLLKEYLNVSEKEAQLSSALESRDREVVTCEEKNTYMTNANNAGESSCQEPENQTSETDGKELQNELQESSTSKDENSSVQQFKNVVAIVDPPRMGLHPTVIKALRTHACLRRLVYISCNPESLVANAIELCTPSPEKVEKGKKDNRGWRNMSSAGLARHRAKSMPISEPFRPVKAMAVDLFPHTPHCEMVMLLER >EOY34335 pep chromosome:Theobroma_cacao_20110822:9:40715381:40722313:1 gene:TCM_042040 transcript:EOY34335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein isoform 3 MAASPSNELSENKTLDSDTQNPQANGHDSTEDPQPLDEAIQLSDSQSTAYTNPGEKRKREDQDPPLHPLWKTSLCSYFRRQDGSCSHGSTCRYAHGEEELRPRPDNTWDPTSERAKKAMKGENGEKLAAKEEEEDEVMMTEMVMDDEDGEGGEEGGDPQLSKCLVHLPRKWSSDNLRKFLSEQGIPFKSAKKKKGMVVGFVSFESAEQLKSAVEELEGKSFGNKTLKVASVIPRSFERKGKSAMAAPLSYQQASVKENAGVSINTNGVEDGAPNGDSSALDDSASKAKSARDAVTPLAHVPYGDQLTRNARKACPNGVSLPEWVLKSRERGGLPCELEGIIESPVVNGYRNKCEFSVGYSQQSKPTVGFMLGNFREGVTAVEEPVDCPNVSKIACKYALILQEFLQHSSLPIWNRFKNTGFWRQLTVREGRSAGKVGDENLEANISEVMLMVQVCSVGFDDAQITSEFERLAQAFAAGAAANSPTLPLTALVVQDHQGISNVAPADAPLRSIPIPKAESGIELETNGNVVEARIHDCISNLQFSISPTAFFQVNTLAAEKLYSLAGEWAGLGPDTLLFDICCGTGTIGLTLAHRVGMVIGIEMNASAVQDAHKNAELNGIKNCRFICSKAEDVIGSLLKEYLNVSEKEAQLSSALESRDREVVTCEEKNTYMTNANNAGESSCQEPENQTSETDGKELQNELQESSTSKDENSSVQQFKNVVAIVDPPRMGLHPTVIKALRTHACLRRLVYISCNPESLVANAIELCTPSPEKVEKGKKDNRGWRNMSSAGLARHRAKSMPISEPFRPVKAMAVDLFPHTPHCEMVMLLER >EOY34336 pep chromosome:Theobroma_cacao_20110822:9:40715394:40722295:1 gene:TCM_042040 transcript:EOY34336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein isoform 3 MAASPSNELSENKTLDSDTQNPQANGHDSTEDPQPLDEAIQLSDSQSTAYTNPGEKRKREDQDPPLHPLWKTSLCSYFRRQDGSCSHGSTCRYAHGEEELRPRPDNTWDPTSERAKKAMKGENGEKLAAKEEEEDEVMMTEMVMDDEDGEGGEEGGDPQLSKCLVHLPRKWSSDNLRKFLSEQGIPFKSAKKKKGMVVGFVSFESAEQLKSAVEELEGKSFGNKTLKVASVIPRSFERKGKSAMAAPLSYQQASVKENAGVSINTNGVEDGAPNGDSSALDDSASKAKSARDAVTPLAHVPYGDQLVRKKNSVMQMLKKLTRNARKACPNGVSLPEWVLKSRERGGLPCELEGIIESPVVNGYRNKCEFSVGYSQQSKPTVGFMLGNFREGVTAVEEPVDCPNVSKIACKYALILQEFLQHSSLPIWNRFKNTGFWRQLTVREGRSAGKVGDENLEANISEVMLMVQVCSVGFDDAQITSEFERLAQAFAAGAAANSPTLPLTALVVQDHQGISNVAPADAPLRSIPIPKAESGIELETNGNVVEARIHDCISNLQFSISPTAFFQVNTLAAEKLYSLAGEWAGLGPDTLLFDICCGTGTIGLTLAHRVGMVIGIEMNASAVQDAHKNAELNGIKNCRFICSKAEDVIGSLLKEYLNVSEKEAQLSSALESRDREVVTCEEKNTYMTNANNAGESSCQEPENQTSETDGKELQNELQESSTSKDENSSVQQFKNVVAIVDPPRMGLHPTVIKALRTHACLRRLV >EOY32006 pep chromosome:Theobroma_cacao_20110822:9:19044917:19054000:-1 gene:TCM_039413 transcript:EOY32006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MPFLIKFSRSFSSSFDNLHKIPPLQTLLKRGFTPTLKSVNRLLLFLSNTQRFNSIIHLFSQLESNNIKANSQTHSILTWALFKLHKFEEAEHLMTTQLSNSSNCPKTRFWDSLIQGFGVIQSNPEKGLLLLKHWLGNYGTLPSSLTFCSLIHSFISQGNKNGAIEVLELMIDDKVRYPFDNFVCSSVIAGFCKIGKPDLALGFFKNAIKSGALRPNVVAYTALLSTFNMLGRFNEACDLVSMMEKEGLALDVILYSSWICGYFRNGCLMEALKKHREMVERGINPDTVSYTILIDGFSKEGTVEKAVGFLKKMFKDGVVPNVVTYTAIMLGFCKKGKLEEAFTFFKEVEAMGIEVDEFMYATLLEGACRKGDFDCVFHLLDEMEKKGIKRSIVTYNIVINGLCKVGRTSEADNIFKQVEGDIVTYSILLHGYTEEGNVKRFFETKGKLDEAGLRMDVVACNILIKALFTVGAFEDAHALFKAMPEMDLNADSITYCTMIDGYCKVGRIEEALEVFDEYRMSFVSSVACYNCIISGLCKRGMVDMATEVFFELGKKGLALDMGISKMLIMATFAERGAEGVRSFVYKLEKFGSDMYKSICDDAICFLCERGFIEDASEVYIVMRRKGLALAKNSYNLVLKKLIDDGKQSLVGPFLNFFLKEYGLVESMVNKIVAHYLCLKDMDIALRFLKKMKEQVSIVTLPSSVFRKLVKDGRVLDAYKLVLEASENFTVMDVIDYSILVDALCKEGYLNEVLDLCSFVKNKGITLNIVTYNSVINGLCRQGCFIEALRLFDSLERIDLVPSRVTYATLIDNLCKQGFLLEARKIFDGMIFKGCEPNICVYNSLIDNYCKFGPMDEALKLMSDLEIKGIKPDDFTISALIYGYCKKGDMEGALTFFSEFKMKGISPDFLGFIHMIRGLSAKGRMEEARSILREMLQTKSVMQLINRIDTEIESESIESFLVYLCEQGSIQEALVVLSEIGSRLFPIQRWSTAYQESQAPNKRLKSEALFAVPTASARPNKKSDLDCALHDPQQIRKLVENYNVGNEESQFCGFGFNYSLLSSLCSKGELHKANKYVNEMLSNLQGDL >EOY34165 pep chromosome:Theobroma_cacao_20110822:9:40114367:40128011:1 gene:TCM_041921 transcript:EOY34165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit exo70 family protein H7, putative MPRKGMRTVFFKSPSPTRMTPPASPLPHTFSESLMEENIEVAEFVITKWDSLSESNSSHCNIGSLFSDDNREEAKMYLSSVKGLQKAMQYLASHQASSEKLVPAQTLMQTAMKRLEREFYQILKSNRDYLDPESVSTHSSARPSTSRSSFSDFENESENERDEDESIPEVERVSLAAMTDLKAIADAMISAGYTRECLKIYKIIRKSIVDEALYHLGVESLTFQQIQKMDWEVLELKIKNWLNAVKMAVKTLFYGERILCDHVFSVSDKIRESCFSEISKEGALALFGFPENVAKCKKTPEKMFRILDLYEAVSDLWPEIESIFSFELTSTVRSTSVNSLIRLGEAVRTMLTDFEMAIQKDSSKSTLAGGGIHPLTRYVMNYISFLVDYSGSLSDILADWPLTIPSPLPESYFGSPDNEESISSPISVRLAWLILVMLCKLDGKAAMYKDVALSYLFLANNLQYIVGKVRQSNLKFLLGDDWVTRHELKVKQYAANYERVGWSKVVASLPENSTAEIPVDQVIDHFRKFNSAFEETYTKQTSWVIADPKLRDDIKISLARRIVPIYKEFFEKYGGMQLRKKMWVESLVRYTPDDLGNYWSDLFFGSGSSGSVSSSSSRGGRSR >EOY33107 pep chromosome:Theobroma_cacao_20110822:9:35459284:35460927:1 gene:TCM_041096 transcript:EOY33107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKSTSFLWTNGASSQFSNVSLSWQYEIEKSSVFISRGVKGLDRKRMKGDACLGIYTVRRRSSFHYFYIFQYIFSLSFIHKNGCKIYA >EOY31854 pep chromosome:Theobroma_cacao_20110822:9:16576445:16581966:1 gene:TCM_039162 transcript:EOY31854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein MPINRDPSTPPPMIGKIGPYTVFVTPPSTPTPTEPPVFESPKKVAAPPFAAASPPPVQPPPQQFDKSFVASNSDGSLLGFFKNAASKVHNAHSSLDDHLARWFGLNQSKYQWALDDYYESKGLEKEGVKVKEMSSKIQSV >EOY32779 pep chromosome:Theobroma_cacao_20110822:9:33497432:33506428:-1 gene:TCM_040801 transcript:EOY32779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albina 1 MAFSATSSTCSALSLSQLQSSPILFKPYPFLFFPSSSCLNPKKRFQSYSSSRFRRVLATSNATVNSSNGAVISSEDPSASSSYGRQYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGRRGTAKTVMARGLHAILPPIDVVVGSIANADPACPEEWEDDLAQQAEYDSDGNVKTQVVRSPFVQIPLGVTEDRLIGSVDVEESVKSGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFKHPCKPLLIATYNPEEGAVREHLLDRIAINLSADLPMSFEDRVAAVGIATQFQEASNEVFKMVGEETEYAKTQIILAREYLKDVTISREQLKYLVMEALRGGCQGHRAELYAARVAKCLAALEGRERVTVDDLKKAVELVILPRSIINENQPDQQNQQPPPPPPPPQNEESGEEQNEEEDQEDDSDEENEQQQEQIPEEFIFDAEGGLVDEKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKGPVKRLAVDATLRAAAPYQKLRRERDIQKSRKVFVEKSDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDAAEVLLPPSRSIAMARKRLERLPCGGGSPLAHGLSMAVRVGLNAEKSGDVGRVMIVAITDGRANISLKRSTDPEAAASDAPRPSAQELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISATTKEALSALKSS >EOY31294 pep chromosome:Theobroma_cacao_20110822:9:8024754:8029726:1 gene:TCM_038254 transcript:EOY31294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKERKESYCVVVQEEDQTYAACSDSGEVVVDHGDVEDVDHGVDEAQTVVHTAAAALSVDDAVHVVAPGVGGVEDVAYLLALTYLALSLPFLYFDVQQTVREN >EOY33619 pep chromosome:Theobroma_cacao_20110822:9:38273163:38278118:-1 gene:TCM_041542 transcript:EOY33619 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 3 MAPSASASTREEEEEEQQQLEEERFVPSHHPSAPPDELFDISTTVDPSYVISLIRKLLPLDARNDDNTEIRGSNCNDEVVSSSNDKCKGMEIVDDFSKSDFQGEDEEDSGRGGENARVSAGEEVWEECGCVLWDLAANQTHAELMVQNLILEVLLANLMVTQSVRVTEICLGIMGNLACHEVPMKHMVSTNGLISVIVDQLFLDDTQCLGEACRLLSLGLQGSECRIWAEALQSEHILSRILWVTENTLNPQLIEKSVGLLLAMLESQKEVEHILLLPLMKLGLATVLVNLLAFEMSKLTNERIPERYSVLDVILRALEALCVLDGYSQEICSNKEFFQLVCDLIKFPDKVEVSNSCVTAGVIIANILSDVSDLASDLSQDLPFLQGLFDIFPFTSDELEARCALWSIIARLLVRVQEDEMSASSLRQYVFILSSKADLIEDDLFDHQFDENKENESLATCGRISNARTFAVSF >EOY33616 pep chromosome:Theobroma_cacao_20110822:9:38271655:38278168:-1 gene:TCM_041542 transcript:EOY33616 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 3 MAPSASASTREEEEEEQQQLEEERFVPSHHPSAPPDELFDISTTVDPSYVISLIRKLLPLDARNDDNTEIRGSNCNDEVVSSSNDKCKGMEIVDDFSKSDFQGEDEEDSGRGGENARVSAGEEVWEECGCVLWDLAANQTHAELMVQNLILEVLLANLMVTQSVRVTEICLGIMGNLACHEVPMKHMVSTNGLISVIVDQLFLDDTQCLGEACRLLSLGLQGSECRIWAEALQSEHILSRILWVTENTLNPQLIEKSVGLLLAMLESQKEVEHILLLPLMKLGLATVLVNLLAFEMSKLTNERIPERYSVLDVILRALEALCVLDGYSQEICSNKEFFQLVCDLIKFPDKVEVSNSCVTAGVIIANILSDVSDLASDLSQDLPFLQGLFDIFPFTSDELEARCALWSIIARLLVRVQEDEMSASSLRQYVFILSSKADLIEDDLFDHQFDENKENESLATCGRISNARTFALRRIISILNKWNSLKDSVEEKHVMEEHANDENIHRLLDCCHKYTVFK >EOY33617 pep chromosome:Theobroma_cacao_20110822:9:38271655:38278168:-1 gene:TCM_041542 transcript:EOY33617 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 3 MAPSASASTREEEEEEQQQLEEERFVPSHHPSAPPDELFDISTTVDPSYVISLIRKLLPLDARNDDNTEIRGSNCNDEVVSSSNDKCKGMEIVDDFSKSDFQGEDEEDSGRGGENARVSAGEEVWEECGCVLWDLAANQTHAELMVQNLILEVLLANLMVTQSVRVTEICLGIMGNLACHEVPMKHMVSTNGLISVIVDQLFLDDTQCLGEACRLLSLGLQGSECRIWAEALQSEHILSRILWVTENTLNPQLIEKSVGLLLAMLESQKEVEHILLLPLMKLGLATVLVNLLAFEMSKLTNERIPERYSVLDVILRALEALCVLDGYSQEICSNKEFFQLVCDLIKFPDKVEVSNSCVTAGVIIANILSDVSDLASDLSQDLPFLQGLFDIFPFTSDELEARCALWSIIARLLVRVQEDEMSASSLRQYVFILSSKADLIEDDLFDHQFDENKENESLATCGRISNARTFAVSF >EOY33613 pep chromosome:Theobroma_cacao_20110822:9:38271549:38278168:-1 gene:TCM_041542 transcript:EOY33613 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 3 MAPSASASTREEEEEEQQQLEEERFVPSHHPSAPPDELFDISTTVDPSYVISLIRKLLPLDARNDDNTEIRGSNCNDEVVSSSNDKCKGMEIVDDFSKSDFQGEDEEDSGRGGENARVSAGEEVWEECGCVLWDLAANQTHAELMVQNLILEVLLANLMVTQSVRVTEICLGIMGNLACHEVPMKHMVSTNGLISVIVDQLFLDDTQCLGEACRLLSLGLQGSECRIWAEALQSEHILSRILWVTENTLNPQLIEKSVGLLLAMLESQKEVEHILLLPLMKLGLATVLVNLLAFEMSKLTNERIPERYSVLDVILRALEALCVLDGYSQEICSNKEFFQLVCDLIKFPDKVEVSNSCVTAGVIIANILSDVSDLASDLSQDLPFLQGLFDIFPFTSDELEARCALWSIIARLLVRVQEDEMSASSLRQYVFILSSKADLIEDDLFDHQFDENKENESLATCGRISNARTFALRRIISILNKWNSLKDSVEEKHVMEEHANDENIHRLLDCCHKYTVSGAE >EOY33615 pep chromosome:Theobroma_cacao_20110822:9:38272022:38278226:-1 gene:TCM_041542 transcript:EOY33615 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 3 MRKLLPLDARNDDNTEIRGSNCNDEVVSSSNDKCKGMEIVDDFSKSDFQGEDEEDSGRGGENARVSAGEEVWEECGCVLWDLAANQTHAELMVQNLILEVLLANLMVTQSVRVTEICLGIMGNLACHEVPMKHMVSTNGLISVIVDQLFLDDTQCLGEACRLLSLGLQGSECRIWAEALQSEHILSRILWVTENTLNPQLIEKSVGLLLAMLESQKEVEHILLLPLMKLGLATVLVNLLAFEMSKLTNERIPERYSVLDVILRALEALCVLDGYSQEICSNKEFFQLVCDLIKFPDKVEVSNSCVTAGVIIANILSDVSDLASDLSQDLPFLQGLFDIFPFTSDELEARCALWSIIARLLVRVQEDEMSASSLRQYVFILSSKADLIEDDLFDHQFDENKENESLATCGRISNARTFALRRIISILNKWNSLKDSVEEKHVMEEHANDENIHRLLDCCHKYTVCSSKSLAIIPLISIDDFLIG >EOY33614 pep chromosome:Theobroma_cacao_20110822:9:38272729:38278118:-1 gene:TCM_041542 transcript:EOY33614 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 3 MAPSASASTREEEEEEQQQLEEERFVPSHHPSAPPDELFDISTTVDPSYVISLIRKLLPLDARNDDNTEIRGSNCNDEVVSSSNDKCKGMEIVDDFSKSDFQGEDEEDSGRGGENARVSAGEEVWEECGCVLWDLAANQTHAELMVQNLILEVLLANLMVTQSVRVTEICLGIMGNLACHEVPMKHMVSTNGLISVIVDQLFLDDTQCLGEACRLLSLGLQGSECRIWAEALQSEHILSRILWVTENTLNPQLIEKSVGLLLAMLESQKEVEHILLLPLMKLGLATVLVNLLAFEMSKLTNERIPERYSVLDVILRALEALCVLDGYSQEICSNKEFFQLVCDLIKFPDKVEVSNSCVTAGVIIANILSDVSDLASDLSQDLPFLQGLFDIFPFTSDELEARCALWSIIARLLVRVQEDEMSASSLRQYVFILSSKADLIEDDLFDHQFDENKENESLATCGRISNARTFALRRIISILNKWNSLKDSVEEKHVMEEHANDENIHRLLDCCHKYTVFK >EOY33618 pep chromosome:Theobroma_cacao_20110822:9:38271549:38278226:-1 gene:TCM_041542 transcript:EOY33618 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 3 MRKLLPLDARNDDNTEIRGSNCNDEVVSSSNDKCKGMEIVDDFSKSDFQGEDEEDSGRGGENARVSAGEEVWEECGCVLWDLAANQTHAELMVQNLILEVLLANLMVTQSVRVTEICLGIMGNLACHEVPMKHMVSTNGLISVIVDQLFLDDTQCLGEACRLLSLGLQGSECRIWAEALQSEHILSRILWVTENTLNPQLIEKSVGLLLAMLESQKEVEHILLLPLMKLGLATVLVNLLAFEMSKLTNERIPERYSVLDVILRALEALCVLDGYSQEICSNKEFFQLVCDLIKFPDKVEVSNSCVTAGVIIANILSDVSDLASDLSQDLPFLQGLFDIFPFTSDELEARCALWSIIARLLVRVQEDEMSASSLRQYVFILSSKADLIEDDLFDHQFDENKENESLATCGRISNARTFALRRIISILNKWNSLKDSVEEKHVMEEHANDENIHRLLDCCHKYTVSGAE >EOY30099 pep chromosome:Theobroma_cacao_20110822:9:3381779:3385079:-1 gene:TCM_037425 transcript:EOY30099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic initiation factor 4F subunit p150 isoform 1 MELATSSISGTQLNYLSAKILTAPMFHSFKYPQSTGYLSFHSPVPRPFSNDFICRGSSFSFINNINSRSNYNNNIINKIYTRLDSCLVIPPSGNKKPRAIIKFLGGAFLGAVPEVTYSYLLELLAKEGFLIISVPYNVTFDHEQAANQVYERFSACLDNFLAFGFPDANLTPADLVNLPIFSVGHSNGALLQVLTGSYFSEKLPKANAIISYNNRPATEAVPYFEELGPLVRQMMPMVEASPVYSMVRSASDDAWKMFIDTAGAMIADRDQEALVSFTKFVDQLPLVFGQVTEGIAEFKPTPSENRERCKNKYNIQHTLLVKFNFDAIDETDLLEETLKPRVESIGGTLEKVQLSGNHITPCAQEPKWQVGYVYTPADAIAQGLKTLSLNDTRVLSRTISDWFRRFED >EOY30100 pep chromosome:Theobroma_cacao_20110822:9:3381385:3385142:-1 gene:TCM_037425 transcript:EOY30100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic initiation factor 4F subunit p150 isoform 1 MELATSSISGTQLNYLSAKILTAPMFHSFKYPQSTGYLSFHSPVPRPFSNDFICRGSSFSFINNINSRSNYNNNIINKIYTRLDSCLVIPPSGNKKPRAIIKFLGGAFLGAVPEVTYSYLLELLAKEGFLIISVPYNVTFDHEQAANQVYERFSACLDNFLAFGFPDANLTPADLVNLPIFSVGHSNGALLQVLTGSYFSEKLPKANAIISYNNRPATEAVPYFEELGPLVRQMMPMVEASPVYSMVRSASAGAMIADRDQEALVSFTKFVDQLPLVFGQVTEGIAEFKPTPSENRERCKNKYNIQHTLLVKFNFDAIDETDLLEETLKPRVESIGGTLEKVQLSGNHITPCAQEPKWQVGYVYTPADAIAQGLKTLSLNDTRVLSRTISDWFRRFED >EOY29095 pep chromosome:Theobroma_cacao_20110822:9:94739:101807:-1 gene:TCM_036752 transcript:EOY29095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MIGKMVEGPKFTGLMGGTNNNDNNYFDFTQGFYQKLGEGTNMSIDSLQTSNAGGSVSMSVENSSVGSNDSLTHILSHPGLKPVNRHNYSVSVGQSVFRPGKVGHALNDDALAQALMDGRFPTEGLENYDEWTIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENSPERAQVMEQQFQQEVMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTRRQNRAVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLSEIMTRCWDTNPEVRPPFTEIVKMLENAENEIMTTVRKARFRCCMTQPMTID >EOY29093 pep chromosome:Theobroma_cacao_20110822:9:94739:102495:-1 gene:TCM_036752 transcript:EOY29093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MIGKMVEGPKFTGLMGGTNNNDNNYFDFTQGFYQKLGEGTNMSIDSLQTSNAGGSVSMSVENSSVGSNDSLTHILSHPGLKPVNRHNYSVSVGQSVFRPGKVGHALNDDALAQALMDGRFPTEGLENYDEWTIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENSPERAQVMEQQFQQEVMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTRRQNRAVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLSEIMTRCWDTNPEVRPPFTEIVKMLENAENEIMTTVRKARFRCCMTQPMTID >EOY29094 pep chromosome:Theobroma_cacao_20110822:9:95170:102281:-1 gene:TCM_036752 transcript:EOY29094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MIGKMVEGPKFTGLMGGTNNNDNNYFDFTQGFYQKLGEGTNMSIDSLQTSNAGGSVSMSVENSSVGSNDSLTHILSHPGLKPVNRHNYSVSVGQSVFRPGKVGHALNDDALAQALMDGRFPTEGLENYDEWTIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENSPERAQVMEQQFQQEVMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTRRQNRAVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLSEIMTRCWDTNPEVRPPFTEIVKMLENAENEIMTTVRKARFRCCMTQPMTID >EOY29092 pep chromosome:Theobroma_cacao_20110822:9:95072:102278:-1 gene:TCM_036752 transcript:EOY29092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MIGKMVEGPKFTGLMGGTNNNDNNYFDFTQGFYQKLGEGTNMSIDSLQTSNAGGSVSMSVENSSVGSNDSLTHILSHPGLKPVNRHNYSVSVGQSVFRPGKVGHALNDDALAQALMDGRFPTEGLENYDEWTIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENSPERAQVMEQQFQQEVMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTRRQNRAVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLSEIMTRCWDTNPEVRPPFTEIVKMLENAENEIMTTVRKARFRCCMTQPMTID >EOY32132 pep chromosome:Theobroma_cacao_20110822:9:21795355:21804113:-1 gene:TCM_039662 transcript:EOY32132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGTQVCWSRIYYKSTIVDPRLPNQLKIDLKAPKLNFFFIFFLFHHSFTIFSQISHPQNLLIFINKS >EOY31303 pep chromosome:Theobroma_cacao_20110822:9:8053321:8057167:-1 gene:TCM_038261 transcript:EOY31303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uveal autoantigen with coiled-coil domains and ankyrin repeats isoform 2 MVYSYTPTYYSTLHDSITSLCKTILPFSFKKRRLPALEHRLSKLQSDNLKWQQDSFHQILNLMGLHKEGILGEAEVAAFRTHLLETLIASPPELEQPVILRDKLVFLQELLYAKCISEEEYHSSKRPLLQRLAVQGAEIEASDVIVANPKGTKENQEEEWSVIDLKDENCSTNRDNLLHSKNKSKNNPTMKQIKGAASVFGFGSSQKPSKNRSEKSIFDVDSNSSAYMVGSKENPFWDSHMKGKENETKSILMQEEILPTESMKENGSGTDKAKRKPFRTLFHREQREGHGGGGGDYGPGSEEKASKSAKKQWGFDGFKKWKRSDLEDETAPLPLNERSDSEAFVGSCQLVTTPIGEGPDTKQIKKKLHANGAPSDFFIDKVLGDKIKKELSRIQMELSTTNPNLKFSNDQMEAISTTLPVDKADLKKFFPKSWCDRYGDVVLDVVKKEFKDHVGEMENMRNATREKHHNNSMRWTTFEDDENCHPNLFANNHTSGYTDNKNNPFSYDYTDSKLRPESAFCQDQNPFWNPRNGSSLLG >EOY31304 pep chromosome:Theobroma_cacao_20110822:9:8054396:8056956:-1 gene:TCM_038261 transcript:EOY31304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uveal autoantigen with coiled-coil domains and ankyrin repeats isoform 2 MVYSYTPTYYSTLHDSITSLCKTILPFSFKKRRLPALEHRLSKLQSDNLKWQQDSFHQILNLMGLHKEGILGEAEVAAFRTHLLETLIASPPELEQPVILRDKLVFLQELLYAKCISEEEYHSSKRPLLQRLAVQGAEIEASDVIVANPKGTKENQEEEWSVIDLKDENCSTNRDNLLHSKNKSKNNPTMKQIKGAASVFGFGSSQKPSKNRSEKSIFDVDSNSSAYMVGSKENPFWDSHMKGKENETKSILMQEEILPTESMKENGSGTDKAKRKPFRTLFHREQREGHGGGGGDYGPGSEEKASKSAKKQWGFDGFKKWKRSDLEDETAPLPLNERSDSEAFVGSCQLVTTPIGEGPDTKQIKKKLHANGAPSDFFIDKVHLNVAYVYINCILVLAYIEPGAYI >EOY33970 pep chromosome:Theobroma_cacao_20110822:9:39518199:39521308:-1 gene:TCM_041791 transcript:EOY33970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 1 MGEEVNMSEYEANGGEDEEVTAAAATATATAAVVDDDDDDEERVLEWEMGLPNTDDLTPLSQSLIPPELASAFSISPEPRRTTLDVNRASHSTLSSLRSTGSHSSTTNNNNNNNNNNNNNNNFRSFNDPMVVEPVGDGSGSGSGSDPKKMRKMDIAEEADSAVRTTENSEDPSARTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSSSDQLFASTPVPQSLHEGGSGGGGDGSGGGGSANGNGHMGMAIPMPYGAPMMPMPMPMYGHVGMHQAGYHHHHQQQHHHQNGYEANPYGIIQRSDCSGGNKYGSVVSYPHHMAPNDKLN >EOY33971 pep chromosome:Theobroma_cacao_20110822:9:39517140:39520498:-1 gene:TCM_041791 transcript:EOY33971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative isoform 1 MGEEVNMSEYEANGGEDEEVTAAAATATATAAVVDDDDDDEERVLEWEMGLPNTDDLTPLSQSLIPPELASAFSISPEPRRTTLDVNRASHSTLSSLRSTGSHSSTTNNNNNNNNNNNNNNNFRSFNDPMVVEPVGDGSGSGSGSDPKKMRKMDIAEEADSAVRTTENSEDPSARTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSSSDQLFASTPVPQSLHEGGSGGGGDGSGGGGSANGNGHMGMAIPMPYGAPMMPMPMPMYGHVGMHQAGYHHHHQQQHHHQNGYEANPYGIIQRSDCSGGNKYGSVVSYPHHMAPNDKLN >EOY31985 pep chromosome:Theobroma_cacao_20110822:9:18479443:18482715:-1 gene:TCM_039356 transcript:EOY31985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSLTTLVRTPISNTAIGSNSMLQARDKKLSLRLSTLTHPDQQILLHPFRGRWAVVNAMKVWSIWEKICKDCLKDLLTDECNKAKMNTDGPDVDLLLMKALPHKYNEELSTQLEFDPKAWTGAIRGPNNIRTHIYEFGTRVPTLRLLALTITFEFACGLDTARPSLPLISKPEGYRQLVGNISTLMTSMSRINNLLEVITTRFLSLNVFGSSSSQ >EOY31496 pep chromosome:Theobroma_cacao_20110822:9:9147139:9176232:1 gene:TCM_038424 transcript:EOY31496 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein isoform 1 MASTASLSLTQTPQIQYPTRRKPPLPQKPHKKPLLFLPKPTLHPSLVSFPFPLNLNSPPNPARFCPLHDSLHDNFVENIENPSNFLLPKAQSHQILSPESTPRLFIQDPPWISALFLKGLYKMTNQTVKIEHKEIEKRKYNLLRRRQVKEETEAWEKMVEEYRELQREMCEKKLAPNLPYVKGLFLGWFEPMREAIAREQKVQKGKSKKLRAAYAPHIELLPADKMAVIVMHKMMGLVMGAQENGFVQVVQAAVHIGVAIEQEVRIHNFLEKTKNYQRKKTTDEVQGLSKEKEILKKRVNSLIRRRRLVEVQKLVKNEEIKPWGRDAQAKLGSRLLELLTETAYVQPPIDQSGDSPPDVQPAFRHKFKTISKDPGKKMMKRYGVIECDPLILSGLDRSAKHMLIPYVPMLVPPKKWKGYDKGGYLFLPSYVMRTHGSRKQQDALKGVHVKKMQKVFEALDTLGSTKWRVNKKVLAVVESIWASGGNIAGLVDRNDIPIPEKPSSEDSTESQEWKWSVRKANKINRERHSQRCDTELKLSVARKMENEEGFYYPHNLDFRGRAYPMHPHLNHLSSDLCRGVLEFAEGRPLGRSGLHWLKIHLANLFAGGVEKLSHDGRLAFVDNHLDDIFDSAENPINGNRWWLTAEDPFQCLAACINLSESLKSSSPHSVLSYLPIHQDGSCNGLQHYAALGRDSLEAAAVNLVAGEKPADVYSEIAVRVHNIMKRDSDKDPATNPNASLAKLLIDQVDRKLVKQTVMTSVYGVTFVGAREQIKRRLEEKGHITDDRVLFAAACYTAKVTLAALGELFQAARNIMGWLGDCAKVIASENQPVQWTTPLGLPVVQPYYKSERHLIRTSLQVLALQREGDSVEVRKQRTAFPPNFVHSLDGSHMMMTAVACRDAGLHFAGVHDSFWTHACDVEKMNQILREKFVELYSMPVLENLFESVQTSYPSLVFPPLPERGNFDLREVLKSPYFFN >EOY31498 pep chromosome:Theobroma_cacao_20110822:9:9161735:9175980:1 gene:TCM_038424 transcript:EOY31498 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein isoform 1 MASTASLSLTQTPQIQYPTRRKPPLPQKPHKKPLLFLPKPTLHPSLVSFPFPLNLNSPPNPARFCPLHDSLHDNFVENIENPSNFLLPKAQSHQILSPESTPRLFIQDPPWISALFLKGLYKMTNQTVKIEHKEIEKRKYNLLRRRQVKEETEAWEKMVEEYRELQREMCEKKLAPNLPYVKGLFLGWFEPMREAIAREQKVQKGKSKKLRAAYAPHIELLPADKMAVIVMHKMMGLVMGAQENGFVQVVQAAVHIGVAIEQEVRIHNFLEKTKNYQRKKTTDEVQGLSKEKEILKKRVNSLIRRRRLVEVQKLVKNEEIKPWGRDAQAKLGSRLLELLTETAYVQPPIDQSGDSPPDVQPAFRHKFKTISKDPGKKMMKRYGVIECDPLILSGLDRSAKHMLIPYVPMLVPPKKWKGYDKGGYLFLPSYVMRTHGSRKQQDALKGVHVKKMQKVFEALDTLGSTKWRVNKKVLAVVESIWASGGNIAGLVDRNDIPIPEKPSSEDSTESQEWKWSVRKANKINRERHSQRCDTELKLSVARKMENEEGFYYPHNLDFRGRAYPMHPHLNHLSSDLCRGVLEFAEGRPLGRSGLHWLKIHLANLFAGGVEKLSHDGRLAFVDNHLDDIFDSAENPINGNRWWLTAEDPFQCLAACINLSESLKSSSPHSVLSYLPIHQDGSCNGLQHYAALGRDSLEAAAVNLVAGEKPADVYSEIAVRVHNIMKRDSDKDPATNPNASLAKLLIDQVTLAALGELFQAARNIMGWLGDCAKVIASENQPVQWTTPLGLPVVQPYYKSERHLIRTSLQVLALQREGDSVEVRKQRTAFPPNFVHSLDGSHMMMTAVACRDAGLHFAGVHDSFWTHACDVEKMNQILREKFVELYSMPVLENLFESVQTSYPSLVFPPLPERGNFDLREVLKSPYFFN >EOY31497 pep chromosome:Theobroma_cacao_20110822:9:9161989:9175975:1 gene:TCM_038424 transcript:EOY31497 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein isoform 1 MTNQTVKIEHKEIEKRKYNLLRRRQVKEETEAWEKMVEEYRELQREMCEKKLAPNLPYVKGLFLGWFEPMREAIAREQKVQKGKSKKLRAAYAPHIELLPADKMAVIVMHKMMGLVMGAQENGFVQVVQAAVHIGVAIEQEVRIHNFLEKTKNYQRKKTTDEVQGLSKEKEILKKRVNSLIRRRRLVEVQKLVKNEEIKPWGRDAQAKLGSRLLELLTETAYVQPPIDQSGDSPPDVQPAFRHKFKTISKDPGKKMMKRYGVIECDPLILSGLDRSAKHMLIPYVPMLVPPKKWKGYDKGGYLFLPSYVMRTHGSRKQQDALKGVHVKKMQKVFEALDTLGSTKWRVNKKVLAVVESIWASGGNIAGLVDRNDIPIPEKPSSEDSTESQEWKWSVRKANKINRERHSQRCDTELKLSVARKMENEEGFYYPHNLDFRGRAYPMHPHLNHLSSDLCRGVLEFAEGRPLGRSGLHWLKIHLANLFAGGVEKLSHDGRLAFVDNHLDDIFDSAENPINGNRWWLTAEDPFQCLAACINLSESLKSSSPHSVLSYLPIHQDGSCNGLQHYAALGRDSLEAAAVNLVAGEKPADVYSEIAVRVHNIMKRDSDKDPATNPNASLAKLLIDQVDRKLVKQTVMTSVYGVTFVGAREQIKRRLEEKGHITDDRVLFAAACYTAKVTLAALGELFQAARNIMGWLGDCAKVIASENQPVQWTTPLGLPVVQPYYKSERHLIRTSLQVLALQREGDSVVEVRKQRTAFPPNFVHSLDGSHMMMTAVACRDAGLHFAGVHDSFWTHACDVEKMNQILREKFVELYSMPVLENLFESVQTSYPSLVFPPLPERGNFDLREVLKSPYFFN >EOY32554 pep chromosome:Theobroma_cacao_20110822:9:31237901:31241636:-1 gene:TCM_040539 transcript:EOY32554 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA double-strand break repair rad50 ATPase MAAAATASQIFESHQSDNNNNSNNEVSRQEIQAAIAKAVELRALHAALMQGNSPAPANLRYPSSASPVSRPVSQFSAQDYPVFTPSYEDETLSGYHTNNQALSESWDEYGLEAGNGTETVLSDYKKEISASRKGFSPALAALESHICSAEDQKSVIGSCANHITVLQTSPGAELYKSCRSRRNSLGDFKSVSSCNRCKPAVITTESENVIRNIKNSNTVVPLTDSHSSVQSQPKNRGVMSWFFPRLKKKQKNENSPNRTESEEVSQIFKDFGMLSIETLKRELIEANENRDAALMEVAEMRSSLGELKQKLEYLETYCEELKKALRQATQTKDSQINEKLGNFPRRGKSIDGNGENLMPVSEEVMVEGFLQIVSEARLSVKQFCKTLVGQIEQTDNTLMDNLNLLLQPYKLSLNSKYSKAVLYHLEALINQSLYQDFENCVFQKNGSPKHLDPQQDRQAQFTSYVALRNLSWNEVLRKGTKYYSEEFSKFCDQKMSLIITTLNWTRPWPEQLLQAFFVAAKCIWLLHLLAFSFNPPLGILRVEENRTFDPHYMEDMFMERQRPHGPSRVKIMVMPGFYVQARVLRCKVICRYKFVA >EOY32464 pep chromosome:Theobroma_cacao_20110822:9:29967906:29973185:1 gene:TCM_040405 transcript:EOY32464 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding bromodomain-containing protein, putative isoform 1 MAREHETSAQPWGTLEELLLACAVNRHGTKSWDSIALELQNRRTSSSFTPQLCKDKFFDLKRRFISPNDAASSSSLVDQLRRIRVEELRREVQRRDVSIVSLELKVKRLVEERDRSLKEEADLDDRLNKPAPDIIAGEPAAGDDSGDLDDRSFNESNSTSQKPEEATTTTVIVKDEQNDVEAVEEVGGEKKAQVKTESVGPGTGNEPDPVRTGKGPGSERVNGEERDNKKQTSDVQSSASLSKKKRRRFTSGDGSSSAEEREGDEVSPAMKRALAVKPESLVRLLGIIRSHRLGSAFGRCQRSQESERYKILVRQHMDLERIESRLDEGVYSDCSAKFFRDLLLLFNNIIIFHRKNSPEHIAAQELRALVLKEISDELRKQPPQPANLKPGLDQPPESLPKPNKSSTIVACNKRSSIKALTQNASRRGDKKERKVEEKPKATEKKVNTSYFSGIDDNGIRKKRSKERAVSGRRNSLRTSSKSGETKHEYGGNELSSHDALELKVDKKENMARKKESAASFLKRMKQNSPSEATDDEDGDDDSEGGSKDGKEEKGRGRKRDVKRVTRSSGGRGAREESGRVKRGVGRPPKRAAAAESTAKRGRDNAENEVGVGGGGRARKRSRR >EOY32463 pep chromosome:Theobroma_cacao_20110822:9:29967746:29973031:1 gene:TCM_040405 transcript:EOY32463 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding bromodomain-containing protein, putative isoform 1 MAREHETSAQPWGTLEELLLACAVNRHGTKSWDSIALELQNRRTSSSFTPQLCKDKFFDLKRRFISPNDAASSSSLVDQLRRIRVEELRREVQRRDVSIVSLELKVKRLVEERDRSLKEEADLDDRLNKPAPDIIAGEPAAGDDSGDLDDRSFNESNSTSQKPEEATTTTVIVKDEQNDVEAVEEVGGEKKAQVKTESVGPGTGNEPDPVRTGKGPGSERVNGEERDNKKQTSDVQSSASLSKKKRRRFTSGDGSSSAEEREGDEVSPAMKRALAVKPESLVRLLGIIRSHRLGSAFGRCQRSQESERYKILVRQHMDLERIESRLDEGVYSDCSAKFFRDLLLLFNNIIIFHRKNSPEHIAAQELRALVLKEISDELRKQPPQPANLKPGLDQPPESLPKPNKSSTIVACNKRSSIKALTQNASRRGDKKERKVEEKPKATEKKVNTSYFSGIDDNGIRKKRSKERAVSGRRNSLRTSSKSGETKHEYGGNELSSHDALELKVDKKENMARKKESAASFLKRMKQNSPSEATDDEDGDDDSEGGSKDGKEEKGRGRKRDVKRVTRSSGGRGAREESGRVKRGVGRPPKRAAAAESTAKRGRDNAENEVGVGGGGRARKRSRR >EOY34283 pep chromosome:Theobroma_cacao_20110822:9:40578371:40584992:1 gene:TCM_042009 transcript:EOY34283 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 3 isoform 6 MDVHVIDAEEGLGHRGMSDDGDAEPNEGGEANNAENSLAHDEDGISEPYVAMEFNAEDAAKTYYDEYARRMGFSSKAGQLTRSKIDGTIVSREFVCGREGLKRRSADSCDALLRIELKGDKWVVTKFVKEHSHSMVSPSKVHYLRPRRHFAGAAKTMADSYQGVGIVPSGVMYVSMDGNRASMDANNRGLRNTPPAEANRSVKNIGTPNYAVRPVNRKRTLGRDAQNLLDYFKKMQAENPGFFYAIQLDDDNRMANVFWADARSRTAYMHFGDAVTLDTSYRVNQYRVPFAPFTGVNHHGQTILFGCALLLDDSEASFVWLFKTFLTAMNDRQPVSLITDLDRAIQTAVSQVFPGVRHCINKWHVLREGPEKLAHVCHVHPNFQVELYNCINLTETIEEFELSWSSILEKYDLRGHDWLQSLYNSRAQWVPVYFRDSFFAAISPNQGFDGSFFDGYVNQQTTIPMFFRQYERAIENWFEKEIEADFDTICTTPVLRTPSPMEKQAANLFTRKIFTKFQEELVETFVYTANRIEGDAAISTFRVAKFEDDNKAYIVTLNYPEMRANCSCQMFEYSGILCRHVLTVFTVTNVLTLPSHYILKRWTRNAKSVVATDERSSELLAQESLTVRYNSLCREAIKYAEEGAIATETYNVAMGTLKEGGKKISVVKKNVAKVAPPSSLASGAAYDDRKSSTSAPDTAPLLWPRQDEITRRFNLNDTGAPAQSVSDLNLPRMAPVSLHRDDGHPDNMPVLPCLKSMTWVMENKNSTPGNRVAVINLKLQDYSKNPSAEMEVKFQLSRVTLEPMLRSMAYISEQLSTPANRVAVINLKLQDTETTTGESEVKFQVSRDTLGAMLRSMAYIREQLSNVQTEPQAEPLLKKHRK >EOY34282 pep chromosome:Theobroma_cacao_20110822:9:40578371:40584088:1 gene:TCM_042009 transcript:EOY34282 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 3 isoform 6 MDVHVIDAEEGLGHRGMSDDGDAEPNEGGEANNAENSLAHDEDGISEPYVAMEFNAEDAAKTYYDEYARRMGFSSKAGQLTRSKIDGTIVSREFVCGREGLKRRSADSCDALLRIELKGDKWVVTKFVKEHSHSMVSPSKVHYLRPRRHFAGAAKTMADSYQGVGIVPSGVMYVSMDGNRASMDANNRGLRNTPPAEANRSVKNIGTPNYAVRPVNRKRTLGRDAQNLLDYFKKMQAENPGFFYAIQLDDDNRMANVFWADARSRTAYMHFGDAVTLDTSYRVNQYRVPFAPFTGVNHHGQTILFGCALLLDDSEASFVWLFKTFLTAMNDRQPVSLITDLDRAIQTAVSQVFPGVRHCINKWHVLREGPEKLAHVCHVHPNFQVELYNCINLTETIEEFELSWSSILEKYDLRGHDWLQSLYNSRAQWVPVYFRDSFFAAISPNQGFDGSFFDGYVNQQTTIPMFFRQYERAIENWFEKEIEADFDTICTTPVLRTPSPMEKQAANLFTRKIFTKFQEELVETFVYTANRIEGDAAISTFRVAKFEDDNKAYIVTLNYPEMRANCSCQMFEYSGILCRHVLTVFTVTNVLTLPSHYILKRWTRNAKSVVATDERSSELLAQESLTVRYNSLCREAIKYAEEGAIATETYNVAMGTLKEGGKKISVVKKNVAKVAPPSSLASGAAYDDRKSSTSAPDTAPLLWPRQDEITRRFNLNDTGAPAQSVSDLNLPRMAPVSLHRDDGHPDNMPVLPCLKSMTWVMENKNSTPGNRVAVINLKLQDYSKNPSAEMEVKFQLSRVTLEPMLRSMAYISEQLSTPANRVAVINLKLQDTETTTGESEVKFQVSRDTLGAMLRSMAYIREQLSNVTEPQAEPLLKKHRK >EOY34281 pep chromosome:Theobroma_cacao_20110822:9:40578371:40584992:1 gene:TCM_042009 transcript:EOY34281 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 3 isoform 6 MDVHVIDAEEGLGHRGMSDDGDAEPNEGGEANNAENSLAHDEDGISEPYVAMEFNAEDAAKTYYDEYARRMGFSSKAGQLTRSKIDGTIVSREFVCGREGLKRRSADSCDALLRIELKGDKWVVTKFVKEHSHSMVSPSKVHYLRPRRHFAGAAKTMADSYQGVGIVPSGVMYVSMDGNRASMDANNRGLRNTPPAEANRSVKNIGTPNYAVRPVNRKRTLGRDAQNLLDYFKKMQAENPGFFYAIQLDDDNRMANVFWADARSRTAYMHFGDAVTLDTSYRVNQYRVPFAPFTGVNHHGQTILFGCALLLDDSEASFVWLFKTFLTAMNDRQPVSLITDLDRAIQTAVSQVFPGVRHCINKWHVLREGPEKLAHVCHVHPNFQVELYNCINLTETIEEFELSWSSILEKYDLRGHDWLQSLYNSRAQWVPVYFRDSFFAAISPNQGFDGSFFDGYVNQQTTIPMFFRQYERAIENWFEKEIEADFDTICTTPVLRTPSPMEKQAANLFTRKIFTKFQEELVETFVYTANRIEGDAAISTFRVAKFEDDNKAYIVTLNYPEMRANCSCQMFEYSGILCRHVLTVFTVTNVLTLPSHYILKRWTRNAKSVVATDERSSELLAQESLTVRYNSLCREAIKYAEEGAIATETYNVAMGTLKEGGKKISVVKKNVAKVAPPSSLASGAAYDDRKSSTSAPDTAPLLWPRQDEITRRFNLNDTGAPAQSVSDLNLPRMAPVSLHRDDGHPDNMPVLPCLKSMTWVMENKNSTPGNRVAVINLKLQDYSKNPSAEMEVKFQLSRVTLEPMLRSMAYISEQLSTPANRVAVINLKLQDTETTTGESEVKFQVSRDTLGAMLRSMAYIREQLSNVTEPQAEPLLKKHRK >EOY34284 pep chromosome:Theobroma_cacao_20110822:9:40579334:40582214:1 gene:TCM_042009 transcript:EOY34284 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 3 isoform 6 MDVHVIDAEEGLGHRGMSDDGDAEPNEGGEANNAENSLAHDEDGISEPYVAMEFNAEDAAKTYYDEYARRMGFSSKAGQLTRSKIDGTIVSREFVCGREGLKRRSADSCDALLRIELKGDKWVVTKFVKEHSHSMVSPSKVHYLRPRRHFAGAAKTMADSYQGVGIVPSGVMYVSMDGNRASMDANNRGLRNTPPAEANRSVKNIGTPNYAVRPVNRKRTLGRDAQNLLDYFKKMQAENPGFFYAIQLDDDNRMANVFWADARSRTAYMHFGDAVTLDTSYRVNQYRVPFAPFTGVNHHGQTILFGCALLLDDSEASFVWLFKTFLTAMNDRQPVSLITDLDRAIQTAVSQVFPGVRHCINKWHVLREGPEKLAHVCHVHPNFQVELYNCINLTETIEEFELSWSSILEKYDLRGHDWLQSLYNSRAQWVPVYFRDSFFAAISPNQGFDGSFFDGYVNQQTTIPMFFRQYERAIENWFEKEIEADFDTICTTPVLRTPSPMEKQAANLFTRKIFTKFQEELVETFVYTANRIEGDAAISTFRVAKFEDDNKAYIVTLNYPEMRANCSCQMFEYSGILCRHVLTVFTVTNVLTLPSHYILKRWTRNAKSVVATDERSSELLAQESLTVRYNSLCREAIKYAEEGAIATETYNVAMGTLKEGGKKISVVKKNVAKVAPPSSLASGAAYDDRKSSTSAPDTAPLLWPRQDEITRRFNLNDTGAPAQSVSDLNLPRMAPVSLHRDDGHPDNMPVLPCLKSMTWVMENKNSTPGNRVAVINLKVCICCKIIARIHQ >EOY34278 pep chromosome:Theobroma_cacao_20110822:9:40578808:40585644:1 gene:TCM_042009 transcript:EOY34278 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 3 isoform 6 MDVHVIDAEEGLGHRGMSDDGDAEPNEGGEANNAENSLAHDEDGISEPYVAMEFNAEDAAKTYYDEYARRMGFSSKAGQLTRSKIDGTIVSREFVCGREGLKRRSADSCDALLRIELKGDKWVVTKFVKEHSHSMVSPSKVHYLRPRRHFAGAAKTMADSYQGVGIVPSGVMYVSMDGNRASMDANNRGLRNTPPAEANRSVKNIGTPNYAVRPVNRKRTLGRDAQNLLDYFKKMQAENPGFFYAIQLDDDNRMANVFWADARSRTAYMHFGDAVTLDTSYRVNQYRVPFAPFTGVNHHGQTILFGCALLLDDSEASFVWLFKTFLTAMNDRQPVSLITDLDRAIQTAVSQVFPGVRHCINKWHVLREGPEKLAHVCHVHPNFQVELYNCINLTETIEEFELSWSSILEKYDLRGHDWLQSLYNSRAQWVPVYFRDSFFAAISPNQGFDGSFFDGYVNQQTTIPMFFRQYERAIENWFEKEIEADFDTICTTPVLRTPSPMEKQAANLFTRKIFTKFQEELVETFVYTANRIEGDAAISTFRVAKFEDDNKAYIVTLNYPEMRANCSCQMFEYSGILCRHVLTVFTVTNVLTLPSHYILKRWTRNAKSVVATDERSSELLAQESLTVRYNSLCREAIKYAEEGAIATETYNVAMGTLKEGGKKISVVKKNVAKVAPPSSLASGAAYDDRKSSTSAPDTAPLLWPRQDEITRRFNLNDTGAPAQSVSDLNLPRMAPVSLHRDDGHPDNMPVLPCLKSMTWVMENKNSTPGNRVAVINLKLQDYSKNPSAEMEVKFQLSRVTLEPMLRSMAYISEQLSTPANRVAVINLKLQDTETTTGESEVKFQVSRDTLGAMLRSMAYIREQLSNVTEPQAEPLLKKHRK >EOY34280 pep chromosome:Theobroma_cacao_20110822:9:40579519:40583202:1 gene:TCM_042009 transcript:EOY34280 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 3 isoform 6 MDVHVIDAEEGLGHRGMSDDGDAEPNEGGEANNAENSLAHDEDGISEPYVAMEFNAEDAAKTYYDEYARRMGFSSKAGQLTRSKIDGTIVSREFVCGREGLKRRSADSCDALLRIELKGDKWVVTKFVKEHSHSMVSPSKVHYLRPRRHFAGAAKTMADSYQGVGIVPSGVMYVSMDGNRASMDANNRGLRNTPPAEANRSVKNIGTPNYAVRPVNRKRTLGRDAQNLLDYFKKMQAENPGFFYAIQLDDDNRMANVFWADARSRTAYMHFGDAVTLDTSYRVNQYRVPFAPFTGVNHHGQTILFGCALLLDDSEASFVWLFKTFLTAMNDRQPVSLITDLDRAIQTAVSQVFPGVRHCINKWHVLREGPEKLAHVCHVHPNFQVELYNCINLTETIEEFELSWSSILEKYDLRGHDWLQSLYNSRAQWVPVYFRDSFFAAISPNQGFDGSFFDGYVNQQTTIPMFFRQYERAIENWFEKEIEADFDTICTTPVLRTPSPMEKQAANLFTRKIFTKFQEELVETFVYTANRIEGDAAISTFRVAKFEDDNKAYIVTLNYPEMRANCSCQMFEYSGILCRHVLTVFTVTNVLTLPSHYILKRWTRNAKSVVATDERSSELLAQESLTVRYNSLCREAIKYAEEGAIATETYNVAMGTLKEGGKKISVVKKNVAKVAPPSSLASGAAYDDRKSSTSAPDTAPLLWPRQDEITRRFNLNDTGAPAQSVSDLNLPRMAPVSLHRDDGHPDNMPVLPCLKSMTWVMENKNSTPGNRVAVINLKLQDYSKNPSAEMEVKFQLSRVTLEPMLRSMAYISEQLSTPANRVAVINLKLQDTETTTGESEVKFQVSRDTLGAMLRSMAYIREQLSNVVSILFLY >EOY34279 pep chromosome:Theobroma_cacao_20110822:9:40578808:40585644:1 gene:TCM_042009 transcript:EOY34279 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 3 isoform 6 MDVHVIDAEEGLGHRGMSDDGDAEPNEGGEANNAENSLAHDEDGISEPYVAMEFNAEDAAKTYYDEYARRMGFSSKAGQLTRSKIDGTIVSREFVCGREGLKRRSADSCDALLRIELKGDKWVVTKFVKEHSHSMVSPSKVHYLRPRRHFAGAAKTMADSYQGVGIVPSGVMYVSMDGNRASMDANNRGLRNTPPAEANRSVKNIGTPNYAVRPVNRKRTLGRDAQNLLDYFKKMQAENPGFFYAIQLDDDNRMANVFWADARSRTAYMHFGDAVTLDTSYRVNQYRVPFAPFTGVNHHGQTILFGCALLLDDSEASFVWLFKTFLTAMNDRQPVSLITDLDRAIQTAVSQVFPGVRHCINKWHVLREGPEKLAHVCHVHPNFQVELYNCINLTETIEEFELSWSSILEKYDLRGHDWLQSLYNSRAQWVPVYFRDSFFAAISPNQGFDGSFFDGYVNQQTTIPMFFRQYERAIENWFEKEIEADFDTICTTPVLRTPSPMEKQAANLFTRKIFTKFQEELVETFVYTANRIEGDAAISTFRVAKFEDDNKAYIVTLNYPEMRANCSCQMFEYSGILCRHVLTVFTVTNVLTLPSHYILKRWTRNAKSVVATDERSSELLAQESLTVRYNSLCREAIKYAEEGAIATETYNVAMGTLKEGGKKISVVKKNVAKVAPPSSLASGAAYDDRKSSTSAPDTAPLLWPRQDEITRRFNLNDTGAPAQSVSDLNLPRMAPVSLHRDDGHPDNMPVLPCLKSMTWVMENKNSTPGNRVAVINLKLQDYSKNPSAEMEVKFQLSRVTLEPMLRSMAYISEQLSTPANRVAVINLKLQDTETTTGESEVKFQVSRDTLGAMLRSMAYIREQLSNVTEPQAEPLLKKHRK >EOY33278 pep chromosome:Theobroma_cacao_20110822:9:36213325:36223947:1 gene:TCM_041219 transcript:EOY33278 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRI1 suppressor 1 (BSU1)-like 3 isoform 4 MDVDSSMVPETDHDQNHGGASQSPPPMEREQQQQQQQQSQQLENQASPPGPGGSPPPPAQQQAAAAAATTQVQPQTPVVGPRCAPTYSVVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRISPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTTAASHAAAAAAASNVHAGRLPGRYAFVDERTRQTMPEAVPDGSVVLGNPVAPPVNGDMYTDISTENAMLQGSRRMSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKQMSTLIKMPDSAGSNSVAPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSTEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASPERHIEDTWMQELNANRPPTPTRGRPQVTNDRGSLAWI >EOY33276 pep chromosome:Theobroma_cacao_20110822:9:36213325:36223947:1 gene:TCM_041219 transcript:EOY33276 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRI1 suppressor 1 (BSU1)-like 3 isoform 4 MDVDSSMVPETDHDQNHGGASQSPPPMEREQQQQQQQQSQQLENQASPPGPGGSPPPPAQQQAAAAAATTQVQPQTPVVGPRCAPTYSVVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRISPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTTAASHAAAAAAASNVHAGRLPGRYAFVDERTRQTMPEAVPDGSVVLGNPVAPPVNGDMYTDISTENAMLQGSRRMSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKQMSTLIKMPDSAGSNSVAPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSTEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASPERHIEDTWMQELNANRPPTPTRGRPQVTNDRGSLAWI >EOY33277 pep chromosome:Theobroma_cacao_20110822:9:36213483:36223883:1 gene:TCM_041219 transcript:EOY33277 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRI1 suppressor 1 (BSU1)-like 3 isoform 4 MDVDSSMVPETDHDQNHGGASQSPPPMEREQQQQQQQQSQQLENQASPPGPGGSPPPPAQQQAAAAAATTQVQPQTPVVGPRCAPTYSVVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRISPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTTAASHAAAAAAASNVHAGRLPGRYAFVDERTRQTMPEAVPDGSVVLGNPVAPPVNGDMYTDISTENAMLQGSRRMSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKQMSTLIKMPDSAGSNSVAPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSTEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASPERHIEDTWMQELNANRPPTPTRGRPQVTNDRGSLAWI >EOY33279 pep chromosome:Theobroma_cacao_20110822:9:36215651:36223883:1 gene:TCM_041219 transcript:EOY33279 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRI1 suppressor 1 (BSU1)-like 3 isoform 4 MVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTTAASHAAAAAAASNVHAGRLPGRYAFVDERTRQTMPEAVPDGSVVLGNPVAPPVNGDMYTDISTENAMLQGSRRMSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKQMSTLIKMPDSAGSNSVAPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSTEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASPERHIEDTWMQELNANRPPTPTRGRPQVTNDRGSLAWI >EOY30364 pep chromosome:Theobroma_cacao_20110822:9:4337546:4340795:-1 gene:TCM_037599 transcript:EOY30364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein, putative isoform 1 MEEISTEIAGPCAVRPGDVMSNDSRMMTHMDVTGCKLIADKASLLSLPENKPNQPCEFICGGNQGFHAARSCRESITSLSAHEGNRGDNGAILSNQIGTEDSCISREYNHQGSEEDGSISFSGDRANSCSQSVASAVSSTCVEEVSALEVNSERNSSTTLVGERNTSDVQDVMRVAVDLVGDDGNRSDESDPKSSESFLEVPQQKKIRKTESQCLLELNNIPLWGYTSMCGRRPEMEDAVVAIPRFLQVPTQVLKVDSISNGMNYNLSNLTAHFYGVYDGHGGCQVANYCRERMRLALAEEIELAKACKLDGNIGHDWQEQWKKAFSNCFIKVDAEIGGVHGGTDGDKTDHEPIAPETAGSTAVVAIISSTHIIVANCGDSRAVLYHGKFPMPLSVDHKPDREDERARIEAAGGKVIQWKGSRVFGVLAMSRSIGDRYLKPWIIPDPEVMFVPRAKEDECLILASDGLWDVITNEEACEVARKQILLWHKKHGDKLSAERGEEVDPAAQAAAEYLSRLALRKGSKDNITVIVVDLKAQRKFKKKT >EOY30362 pep chromosome:Theobroma_cacao_20110822:9:4337627:4340479:-1 gene:TCM_037599 transcript:EOY30362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein, putative isoform 1 MEEISTEIAGPCAVRPGDVMSNDSRMMTHMDVTGCKLIADKASLLSLPENKPNQPCEFICGGNQGFHAARSCRESITSLSAHEGNRGDNGAILSNQIGTEDSCISREYNHQGSEEDGSISFSGDRANSCSQSVASAVSSTCVEEVSALEVNSERNSSTTLVGERNTSDVQDVMRVAVDLVGDDGNRSDESDPKSSESFLEVPQQKKIRKTESQCLLELNNIPLWGYTSMCGRRPEMEDAVVAIPRFLQVPTQVLKVDSISNGMNYNLSNLTAHFYGVYDGHGGCQVANYCRERMRLALAEEIELAKACKLDGNIGHDWQEQWKKAFSNCFIKVDAEIGGVHGGTDGDKTDHEPIAPETAGSTAVVAIISSTHIIVANCGDSRAVLYHGKFPMPLSVDHKPDREDERARIEAAGGKVIQWKGSRVFGVLAMSRSIGDRYLKPWIIPDPEVMFVPRAKEDECLILASDGLWDVITNEEACEVARKQILLWHKKHGDKLSAERGEEVDPAAQAAAEYLSRLALRKGSKDNITVIVVDLKAQRKFKKKT >EOY30363 pep chromosome:Theobroma_cacao_20110822:9:4337546:4340847:-1 gene:TCM_037599 transcript:EOY30363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein, putative isoform 1 MEEISTEIAGPCAVRPGDVMSNDSRMMTHMDVTGCKLIADKASLLSLPENKPNQPCEFICGGNQGFHAARSCRESITSLSAHEGNRGDNGAILSNQIGTEDSCISREYNHQGSEEDGSISFSGDRANSCSQSVASAVSSTCVEEVSALEVNSERNSSTTLVGERNTSDVQDVMRVAVDLVGDDGNRSDESDPKSSESFLEVPQQKKIRKTESQCLLELNNIPLWGYTSMCGRRPEMEDAVVAIPRFLQVPTQVLKVDSISNGMNYNLSNLTAHFYGVYDGHGGCQVANYCRERMRLALAEEIELAKACKLDGNIGHDWQEQWKKAFSNCFIKVDAEIGGVHGGTDGDKTDHEPIAPETAGSTAVVAIISSTHIIVANCGDSRAVLYHGKFPMPLSVDHKPDREDERARIEAAGGKVIQWKGSRVFGVLAMSRSIGDRYLKPWIIPDPEVMFVPRAKEDECLILASDGLWDVITNEEACEVARKQILLWHKKHGDKLSAERGEEVDPAAQAAAEYLSRLALRKGSKDNITVIVVDLKAQRKFKKKT >EOY34731 pep chromosome:Theobroma_cacao_20110822:9:41947016:41954147:-1 gene:TCM_042315 transcript:EOY34731 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter of the mitochondrion 3 isoform 3 MLSASRALRARGLLMLRQDALNHNPLLFSCPLYSNHAPAGAGSSSFIFGKNHPSLCSNNTRRAYSWNLINTNANINSFLSDSSSSTPSQRPGSMLNGRLYFSSSASSNDDDKAQNKPSAAAASITEKRQVADMKILRTLAGYLWMKDNLEFRLRVMTALGFLVGAKLLNVQVPFLFKLAVDWLTTPNATALANSTLVALFATPTAVLIGYGIARSGASAFNELRTAVFSKVALRTIRSVSRKVFSHLHGLDLRYHLSRETGALNRIIDRGSRAINFILSSMVFNVVPTILEISMVSGILAYKFGAPFAWITSLSVAAYVSFTLTVTQWRTKFRKAMNKADNDASTRAIDSLINYETVKYFNNEAFEAEKYDEFLKRYEDAALKTQRSLAFLNFGQNVIFSTALSTAMVLCSYGIINGQMTIGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSMFQLLEEKADISDKKDAKPLKLNGGSILFDNVHFRQINHS >EOY34730 pep chromosome:Theobroma_cacao_20110822:9:41949014:41953854:-1 gene:TCM_042315 transcript:EOY34730 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter of the mitochondrion 3 isoform 3 MLSASRALRARGLLMLRQDALNHNPLLFSCPLYSNHAPAGAGSSSFIFGKNHPSLCSNNTRRAYSWNLINTNANINSFLSDSSSSTPSQRPGSMLNGRLYFSSSASSNDDDKAQNKPSAAAASITEKRQVADMKILRTLAGYLWMKDNLEFRLRVMTALGFLVGAKLLNVQVPFLFKLAVDWLTTPNATALANSTLVALFATPTAVLIGYGIARSGASAFNELRTAVFSKVALRTIRSVSRKVFSHLHGLDLRYHLSRETGALNRIIDRGSRAINFILSSMVFNVVPTILEISMVSGILAYKFGAPFAWITSLSVAAYVSFTLTVTQWRTKFRKAMNKADNDASTRAIDSLINYETVKYFNNEAFEAEKYDEFLKRYEDAALKTQRSLAFLNFGQNVIFSTALSTAMVLCSYGIINGQMTIGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSMFQLLEEKADISDKKDAKPLKLNGGSILFDNVHFSWEKCGYCWN >EOY34729 pep chromosome:Theobroma_cacao_20110822:9:41945457:41954170:-1 gene:TCM_042315 transcript:EOY34729 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter of the mitochondrion 3 isoform 3 MLSASRALRARGLLMLRQDALNHNPLLFSCPLYSNHAPAGAGSSSFIFGKNHPSLCSNNTRRAYSWNLINTNANINSFLSDSSSSTPSQRPGSMLNGRLYFSSSASSNDDDKAQNKPSAAAASITEKRQVADMKILRTLAGYLWMKDNLEFRLRVMTALGFLVGAKLLNVQVPFLFKLAVDWLTTPNATALANSTLVALFATPTAVLIGYGIARSGASAFNELRTAVFSKVALRTIRSVSRKVFSHLHGLDLRYHLSRETGALNRIIDRGSRAINFILSSMVFNVVPTILEISMVSGILAYKFGAPFAWITSLSVAAYVSFTLTVTQWRTKFRKAMNKADNDASTRAIDSLINYETVKYFNNEAFEAEKYDEFLKRYEDAALKTQRSLAFLNFGQNVIFSTALSTAMVLCSYGIINGQMTIGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSMFQLLEEKADISDKKDAKPLKLNGGSILFDNVHFSYLAERKILDGISFIVPAGKSVAIVGTSGSGKSTILRLLFRFFDTHSGSIRIDGQDIQDVTLDSLRKSIGVVPQDTVLFNDTIFHNIHYGRLSATKEEVYDAAKQAAIHDTIMNFPEKYSTVVGERGLKLSGGEKQRVALARAFLKAPAILLCDEATSALDSTTEAEILNALKSLANNRTSIFIAHRLTTAMQCDEIIVLENGKVIEQGPHEILLGKAGRYAQLWAQQNSSIDAIDTAIKVEA >EOY30488 pep chromosome:Theobroma_cacao_20110822:9:4778994:4789209:1 gene:TCM_037686 transcript:EOY30488 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 4 isoform 1 MRRRLVALFVFFASVATIETDSESAAEINVTAAMSNASDPRSKEDSFADMIDRALEKEFNDTDQNEATDPGSFNNSVAGKQAVLETVARVKPKKNESKEEKSFQLHDVFHLDNENRGEDAPTLIDQNDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSLIGPGGFSFVGEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKPSEGVFVGAFLSMSSTAVVLKFLMERNSISALHGQVTIGTLILQDCAVGLLFALLPVLGGSSGILQGVLSMTKSLVVLITFLVILTILSRTCVPWFLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLGQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVILVIVIKTVVVAAVVKGFRYSNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGVLLRWFPPDGPSEIGFKGDSLRADSAKHSENWKIVHLLSPPQPWFFRRSTRQDYELKVTNDNGDMRTSFNIPSLPLPVAQSAWFVAC >EOY30489 pep chromosome:Theobroma_cacao_20110822:9:4779261:4787523:1 gene:TCM_037686 transcript:EOY30489 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 4 isoform 1 MRRRLVALFVFFASVATIETDSESAAEINVTAAMSNASDPRSKEDSFADMIDRALEKEFNDTDQNEATDPGSFNNSVAGKQAVLETVARVKPKKNESKEEKSFQLHDVFHLDNENRGEDAPTLIDQNDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSLIGPGGFSFVGEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKPSEGVFVGAFLSMSSTAVVLKFLMERNSISALHGQVTIGTLILQDCAVGLLFALLPVLGGSSGILQGVLSMTKSLVVLITFLVILTILSRTCVPWFLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLGQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVILVIVIKTVVVAAVVKGFRYSNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGVLLRWFPPDGPSEIGFKGDSLRADSAKRITLMVQGSHDS >EOY30490 pep chromosome:Theobroma_cacao_20110822:9:4780318:4789209:1 gene:TCM_037686 transcript:EOY30490 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 4 isoform 1 MTAYVFFEPYVRSFQLHDVFHLDNENRGEDAPTLIDQNDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSLIGPGGFSFVGEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKPSEGVFVGAFLSMSSTAVVLKFLMERNSISALHGQVTIGTLILQDCAVGLLFALLPVLGGSSGILQGVLSMTKSLVVLITFLVILTILSRTCVPWFLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLGQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVILVIVIKTVVVAAVVKGFRYSNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGVLLRWFPPDGPSEIGFKGDSLRADSAKRITLMVQGSHDS >EOY30491 pep chromosome:Theobroma_cacao_20110822:9:4779190:4786982:1 gene:TCM_037686 transcript:EOY30491 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 4 isoform 1 MRRRLVALFVFFASVATIETDSESAAEINVTAAMSNASDPRSKEDSFADMIDRALEKEFNDTDQNEATDPGSFNNSVAGKQAVLETVARVKPKKNESKEEKSFQLHDVFHLDNENRGEDAPTLIDQNDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSLIGPGGFSFVGEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVLGGLLQIFLFMCLCGITVSVCLCGGKPSEGVFVGAFLSMSSTAVVLKFLMERNSISALHGQVTIGTLILQDCAVGLLFALLPVLGGSSGILQGVLSMTKSLVVLITFLVILTILSRTCVPWFLKLMISLSSQQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLGQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVILVIVIKTVVVAAVVKGFRYSNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVCEPWPC >EOY32106 pep chromosome:Theobroma_cacao_20110822:9:21034912:21047689:1 gene:TCM_039600 transcript:EOY32106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 5 isoform 2 MGVSIPQNTRYTLTTVLFIVLFVLSLFLFSRRTLEPSLSIDQQSFPLIKSELRSSDPDPLNSSTHQSVPPSISQADELGPSPNATSIDDQPSAHDDQNPPADNEAVVDNGEEKREMCDLYTGTWVKDEEYPVYKPGSCPYVDEAFDCQSNGRQDSDYLRWRWKPDGCDLPRKNYFKEGDYVYPKFDAVEAYRRALKTWAKWIDENVKPAKQLVFYRGYSSAHFRGGDWDSGGSCNGETQPILSGAFLETYPLKMKIVEEVIQEMKVPVILLNVTRLTNFRKDGHPSIFGKRLTEGKKVSTRRQDCSHWCVPGVPDTWNELIYATLFFNKQF >EOY32105 pep chromosome:Theobroma_cacao_20110822:9:21033462:21048026:1 gene:TCM_039600 transcript:EOY32105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 5 isoform 2 MGVSIPQNTRYTLTTVLFIVLFVLSLFLFSRRTLEPSLSIDQQSFPLIKSELRSSDPDPLNSSTHQSVPPSISQADELGPSPNATSIDDQPSAHDDQNPPADNEAVVDNGEEKREMCDLYTGTWVKDEEYPVYKPGSCPYVDEAFDCQSNGRQDSDYLRWRWKPDGCDLPRFNATDLLERLRGKRLMLVGDSMNRNQFESMLCLLHEGLTNKSKMYEIHGHKITKGRGYFVFKFEDYDCTVEFVRSHFLVKEGVRINGQGNSNPTLSIDRIDKSSGRWKRADILIFNTGHWWVHGKTARGKNYFKEGDYVYPKFDAVEAYRRALKTWAKWIDENVKPAKQLVFYRGYSSAHFRGGDWDSGGSCNGETQPILSGAFLETYPLKMKIVEEVIQEMKVPVILLNVTRLTNFRKDGHPSIFGKRLTEGKKVSTRRQDCSHWCVPGVPDTWNELIYATLFFNKQF >EOY31330 pep chromosome:Theobroma_cacao_20110822:9:8191520:8195831:1 gene:TCM_038280 transcript:EOY31330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter protein 7 isoform 1 MAGGSLAPTGVAKERAELYQGRVTLHVIIACMVAAVGGSIFGYDIGISGGVTSMNGFLRKFFHSVYIKKQHAHENNYCKYDNQGLAAFTSSLYLAGLVASLVASPITREYGRRASIICGGISFLIGATLNAAAVNIAMLLLGRIMLGVGIGFGNQAVPLYLSEMSPSHLRGGLNMMFQLATTLGIFTANMVNYGTQKLEPWGWRLSLGLAAIPAFLMTVGGFCLPETPNSLIERGSKERGRKVLVRIRGTDNVDAEFQDMVDASELANSIKHPFRNILERRNRPQLVMAICMPTCQILTGINSILFYAPVLFQSMGFGRNAAFYSSALTGAVLAGSTFISMATVDKLGRRVLLISGGIQMIICQVIVAIILGLKFGENQELSKSYSILVVIVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLLFTFIIAQCFLAMLCHFKFGIFLFFGAWITVMTLFVYVFLPETKGVPIEEMIFLWRKHWFWKRIVPEYPQVDENETI >EOY31331 pep chromosome:Theobroma_cacao_20110822:9:8191308:8195566:1 gene:TCM_038280 transcript:EOY31331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter protein 7 isoform 1 MAGGSLAPTGVAKERAELYQGRVTLHVIIACMVAAVGGSIFGYDIGISGGVTSMNGFLRKFFHSVYIKKQHAHENNYCKYDNQGLAAFTSSLYLAGLVASLVASPITREYGRRASIICGGISFLIGATLNAAAVNIAMLLLGRIMLGVGIGFGNQAVPLYLSEMSPSHLRGGLNMMFQLATTLGIFTANMVNYGTQKLEPWGWRLSLGLAAIPAFLMTVGGFCLPETPNSLIERGSKERGRKVLVRIRGTDNVDAEFQDMVDASELANSIKHPFRNILERRNRPQLVMAICMPTCQILTGINSILFYAPVLFQSMGFGRNAAFYSSALTGAVLAGSTFISMATVDKLGRRVLLISGGIQMIICQVIVAIILGLKFGENQELSKSYSILVVIVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLLFTFIIAQCFLAMLCHFKFGIFLFFGAWITVMTLFVYVFLPETKGVPIEEMIFLWRKHWFWKRIVPEYPQVDENETI >EOY31332 pep chromosome:Theobroma_cacao_20110822:9:8191504:8195618:1 gene:TCM_038280 transcript:EOY31332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter protein 7 isoform 1 MAGGSLAPTGVAKERAELYQGRVTLHVIIACMVAAVGGSIFGYDIGISGGVTSMNGFLRKFFHSVYIKKQHAHENNYCKYDNQGLAAFTSSLYLAGLVASLVASPITREYGRRASIICGGISFLIGATLNAAAVNIAMLLLGRIMLGVGIGFGNQAVPLYLSEMSPSHLRGGLNMMFQLATTLGIFTANMVNYGTQKLEPWGWRLSLGLAAIPAFLMTVGGFCLPETPNSLIERGSKERGRKVLVRIRGTDNVDAEFQDMVDASELANSIKHPFRNILERRNRPQLVMAICMPTCQILTGINSILFYAPVLFQSMGFGRNAAFYSSALTGAVLAGSTFISMATVDKLGRRVLLISGGIQMIICQVIVAIILGLKFGENQELSKSYSILVVIVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLLFTFIIAQCFLAMLCHFKFGIFLFFGAWITVMTLFVYVFLPETKGVPIEEMIFLWRKHWFWKRIVPEYPQVDENETI >EOY29339 pep chromosome:Theobroma_cacao_20110822:9:798747:801377:-1 gene:TCM_036909 transcript:EOY29339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase 2 MAKAESNVSDGLTLELIRESLIRQEDTIVFSLIERARFPLNSPTYDKSYASSVPGSCGSLVEFIVKETEAIQAKAGRYENPEEHPFFPDNLPPSQVPPHKYPEVLHPAAMSVNINKIIWDMYFNELLPLFVTPGDDGNYASTATRDLECLQALSRRIHYGKLVAEVKFRDERKDYEPAIRAQDRDTLINLLTFARVEEAVKKRVEKKAMTFGQEVKLGDEGNKGKYKVNPAIVSRLYGDWVIPLTKDVEVEYLLHRLD >EOY31289 pep chromosome:Theobroma_cacao_20110822:9:8009910:8014198:-1 gene:TCM_038249 transcript:EOY31289 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein phosphatase 1 isoform 3 MCVKDAEQVSQEMEDLDDSNNKKTWPLLCGGDFFCTQMENWDDKDSPVAMVSGSSRLESISEDAVATDRKHNVLTNFVPTLRSGEWSDIGGRAYMEDTHICIADLAKNFSCNLMSEEAVSFYGVFDGHEGKGASHFVRDHLPRVIVEDAGFPLELEKAVTRSFMATDAAFAKSCSLESALASGTTVLTAIIFGRSLLVANAGDCRAVLSRHGTAIEMSKDHRPCCLKERRRIESLGGFIDDGYLNGQLGVTRALGDWHLEGMKETGGRVGPLSAEPELKMITLTKEDEFLIIGSDGIWDVFTSQNAIDFARRRLQEHNDVKLCCKEIVEEGIKRGATDNLTVVLVSFHLEPPPSSVIQRVRVRRSISAEGLQSLKCLLEG >EOY31288 pep chromosome:Theobroma_cacao_20110822:9:8009616:8014421:-1 gene:TCM_038249 transcript:EOY31288 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein phosphatase 1 isoform 3 MCVKDAEQVSQEMEDLDDSNNKKTWPLLCGGDFFCTQMENWDDKDSPVAMVSGSSRVKSSALMNELEVGSHDLAQILGDFFDIVIYEYQIRYLGVTGYKRMPAYDLPFFIKCSNIEYHTSGLVGFQSTPNPGYLESVLESISEDAVATDRKHNVLTNFVPTLRSGEWSDIGGRAYMEDTHICIADLAKNFSCNLMSEEAVSFYGVFDGHEGKGASHFVRDHLPRVIVEDAGFPLELEKAVTRSFMATDAAFAKSCSLESALASGTTVLTAIIFGRSLLVANAGDCRAVLSRHGTAIEMSKDHRPCCLKERRRIESLGGFIDDGYLNGQLGVTRALGDWHLEGMKETGGRVGPLSAEPELKMITLTKEDEFLIIGSDGIWDVFTSQNAIDFARRRLQEHNDVKLCCKEIVEEGIKRGATDNLTVVLVSFHLEPPPSSVIQRVRVRRSISAEGLQSLKCLLEG >EOY31290 pep chromosome:Theobroma_cacao_20110822:9:8009582:8014400:-1 gene:TCM_038249 transcript:EOY31290 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein phosphatase 1 isoform 3 MCVKDAEQVSQEMEDLDDSNNKKTWPLLCGGDFFCTQMENWDDKDSPVAMVSGSSRLESISEDAVATDRKHNVLTNFVPTLRSGEWSDIGGRAYMEDTHICIADLAKNFSCNLMSEEAVSFYGVFDGHEGKGASHFVRDHLPRVIVEDAGFPLELEKAVTRSFMATDAAFAKSCSLESALASGTTVLTAIIFGRAVLSRHGTAIEMSKDHRPCCLKERRRIESLGGFIDDGYLNGQLGVTRALGDWHLEGMKETGGRVGPLSAEPELKMITLTKEDEFLIIGSDGIWDVFTSQNAIDFARRRLQEHNDVKLCCKEIVEEGIKRGATDNLTVVLVSFHLEPPPSSVIQRVRVRRSISAEGLQSLKCLLEG >EOY30368 pep chromosome:Theobroma_cacao_20110822:9:4343474:4353684:-1 gene:TCM_037600 transcript:EOY30368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine repetitive matrix protein 2 isoform 4 MKTFNEALSVFNKFFPSIPSKKRSRSESFSSDRPNALLSSDRSVLGPTIGKMGMHNHSIAGGFEFEQQKLEERPKSAVPNKRTRTSLVDVRMDMRNNALVRQPGNADRDREMLRVSNSGAVQGEDRTLSGGVDGWEKAKMKKKRSGIKPDVSPSMVSTKPIEGYRESKQGMQQRPVTDARSRLNNDSHGFRSGIANGSAGVGKSEGISQPTGLGPRSSVPRSDLDSSPLLNDRRDRPVASDKERVNLRAVNKMSVRDEFNSASPTSSTKMNASIRGPRSGSGVAPKLSPVVHRATASNDWELSHCTNKPPTAGGANNRKRTTSARSSSPPVAHWAGQRPQKSSRTARRTNLVPIVSSNDETPSLDTVSDMAGNEIGSGFARRLSSSSPQQVKLKGDALSTAALSESEESAAAEIKSKEKVKKSDEMDEKAGQNVQKVSTLVLPSRKTKLMTGEDIGDGVRRQGRTGRGVTSTRSVMPMTVEKFGNVGTAKQLRSARLGLDKAESKAGRPPTRKLTDRKAYARQKHAAINAAADLLVSSEDGHEELVAAVNALVSFAHAFPNSFWRQMEPFLGFISDVDIAYLKQQQGNCELTKLASTPVPSIIDGCSIISNGCELLEQGRDAGIDAVTSTVELLSQQLVLETRDNNVIPLCQRFIAALIPEEDSDSGNEDLPFDLYGTGFEMDGELGSNGLSHIINFQSTGHASVNSYRITGKPENDDPEIDMLGNTGINSSFSHCLNGTFSDPLMPSIVCSEFQYENMKINEKLFLEAQSIGIFLEPPPDIGQMEDDEIREDISKLEEMHNEQVSKKKGLLDKLLKAASETREIQEKEFEQRALDKLVTMAYEKYMTCWGPNATGGKSSSNKMIKQAALAFVKRTLDRYHKFEDTGKSCFDEPMLRDMFLSGSSRLNGARSVDSPTDGESGKPCGNSSTRSLEARTSGQNGDSYAVNSSDLLPPSNRFSDQTTVKDDSWSNRVKKRELLLEDVVGSTIGTSSAQSGIGSSLSSSTKGKRSERDREGKGHGREVLSRNGTNKIGRPVSNVKGERKSKTKPKQKTTQLSVSVNGLLGKMSEQPKPSTSVSKSSEVTANNTAKEKDEFSLDVLDDLQLPGQDLGSWLNIDDDGLQDHDFMGLEIPMDDLSDLNMMV >EOY30366 pep chromosome:Theobroma_cacao_20110822:9:4341798:4356904:-1 gene:TCM_037600 transcript:EOY30366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine repetitive matrix protein 2 isoform 4 MATSSKFDLSSGSPDRPLYTSGQRGAHLAAQLDRSGSFRETMENPILSSLPGMSRSLLAQGDVSNFFQCLRFDPKVVAADHKSNRQGDFKRHINVALGISADESPTVLSKGKLLPFPIPEEIKRVKAGLRDCAVKARERMKTFNEALSVFNKFFPSIPSKKRSRSESFSSDRPNALLSSDRSVLGPTIGKMGMHNHSIAGGFEFEQQKLEERPKSAVPNKRTRTSLVDVRMDMRNNALVRQPGNADRDREMLRVSNSGAVQGEDRTLSGGVDGWEKAKMKKKRSGIKPDVSPSMVSTKPIEGYRESKQGMQQRPVTDARSRLNNDSHGFRSGIANGSAGVGKSEGISQPTGLGPRSSVPRSDLDSSPLLNDRRDRPVASDKERVNLRAVNKMSVRDEFNSASPTSSTKMNASIRGPRSGSGVAPKLSPVVHRATASNDWELSHCTNKPPTAGGANNRKRTTSARSSSPPVAHWAGQRPQKSSRTARRTNLVPIVSSNDETPSLDTVSDMAGNEIGSGFARRLSSSSPQQVKLKGDALSTAALSESEESAAAEIKSKEKVKKSDEMDEKAGQNVQKVSTLVLPSRKTKLMTGEDIGDGVRRQGRTGRGVTSTRSVMPMTVEKFGNVGTAKQLRSARLGLDKAESKAGRPPTRKLTDRKAYARQKHAAINAAADLLVSSEDGHEELVAAVNALVSFAHAFPNSFWRQMEPFLGFISDVDIAYLKQQGNCELTKLASTPVPSIIDGCSIISNGCELLEQGRDAGIDAVTSTVELLSQQLVLETRDNNVIPLCQRFIAALIPEEDSDSGNEDLPFDLYGTGFEMDGELGSNGLSHIINFQSTGHASVNSYRITGKPENDDPEIDMLGNTGINSSFSHCLNGTFSDPLMPSIVCSEFQYENMKINEKLFLEAQSIGIFLEPPPDIGQMEDDEIREDISKLEEMHNEQVSKKKGLLDKLLKAASETREIQEKEFEQRALDKLVTMAYEKYMTCWGPNATGGKSSSNKMIKQAALAFVKRTLDRYHKFEDTGKSCFDEPMLRDMFLSGSSRLNGARSVDSPTDGESGKPCGNSSTRSLEARTSGQNGDSYAVNSSDLLPPSNRFSDQTTVKDDSWSNRVKKRELLLEDVVGSTIGTSSAQSGIGSSLSSSTKGKRSERDREGKGHGREVLSRNGTNKIGRPVSNVKGERKSKTKPKQKTTQLSVSVNGLLGKMSEQPKPSTSVSKSSEVTANNTAKEKDEFSLDVLDDLQLPGQDLGSWLNIDDDGLQDHDFMGLEIPMDDLSDLNMMV >EOY30365 pep chromosome:Theobroma_cacao_20110822:9:4342018:4357264:-1 gene:TCM_037600 transcript:EOY30365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine repetitive matrix protein 2 isoform 4 MATSSKFDLSSGSPDRPLYTSGQRGAHLAAQLDRSGSFRETMENPILSSLPGMSRSLLAQGDVSNFFQCLRFDPKVVAADHKSNRQGDFKRHINVALGISADESPTVLSKGKLLPFPIPEEIKRVKAGLRDCAVKARERMKTFNEALSVFNKFFPSIPSKKRSRSESFSSDRPNALLSSDRSVLGPTIGKMGMHNHSIAGGFEFEQQKLEERPKSAVPNKRTRTSLVDVRMDMRNNALVRQPGNADRDREMLRVSNSGAVQGEDRTLSGGVDGWEKAKMKKKRSGIKPDVSPSMVSTKPIEGYRESKQGMQQRPVTDARSRLNNDSHGFRSGIANGSAGVGKSEGISQPTGLGPRSSVPRSDLDSSPLLNDRRDRPVASDKERVNLRAVNKMSVRDEFNSASPTSSTKMNASIRGPRSGSGVAPKLSPVVHRATASNDWELSHCTNKPPTAGGANNRKRTTSARSSSPPVAHWAGQRPQKSSRTARRTNLVPIVSSNDETPSLDTVSDMAGNEIGSGFARRLSSSSPQQVKLKGDALSTAALSESEESAAAEIKSKEKVKKSDEMDEKAGQNVQKVSTLVLPSRKTKLMTGEDIGDGVRRQGRTGRGVTSTRSVMPMTVEKFGNVGTAKQLRSARLGLDKAESKAGRPPTRKLTDRKAYARQKHAAINAAADLLVSSEDGHEELVAAVNALVSFAHAFPNSFWRQMEPFLGFISDVDIAYLKQQGNCELTKLASTPVPSIIDGCSIISNGCELLEQGRDAGIDAVTSTVELLSQQLVLETRDNNVIPLCQRFIAALIPEEDSDSGNEDLPFDLYGTGFEMDGELGSNGLSHIINFQSTGHASVNSYRITGKPENDDPEIDMLGNTGINSSFSHCLNGTFSDPLMPSIVCSEFQYENMKINEKLFLEAQSIGIFLEPPPDIGQMEDDEIREDISKLEEMHNEQVSKKKGLLDKLLKAASETREIQEKEFEQRALDKLVTMAYEKYMTCWGPNATGGKSSSNKMIKQAALAFVKRTLDRYHKFEDTGKSCFDEPMLRDMFLSGSSRLNGARSVDSPTDGESGKPCGNSSTRSLEARTSGILLDVYGESTLIPTFVVVSVSVVDCQFGLLCSFHSFSHSTTSLAGQNGDSYAVNSSDLLPPSNRFSDQTTVKDDSWSNRVKKRELLLEDVVGSTIGTSSAQSGIGSSLSSSTKGKRSERDREGKGHGREVLSRNGTNKIGRPVSNVKGERKSKTKPKQKTTQLSVSVNGLLGKMSEQPKPSTSVSKSSEVTANNTAKEKDEFSLDVLDDLQLPGQDLGSWLNIDDDGLQDHDFMGLEIPMDDLSDLNMMV >EOY30367 pep chromosome:Theobroma_cacao_20110822:9:4341798:4356904:-1 gene:TCM_037600 transcript:EOY30367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine repetitive matrix protein 2 isoform 4 MATSSKFDLSSGSPDRPLYTSGQRGAHLAAQLDRSGSFRETMENPILSSLPGMSRSLLAQGDVSNFFQCLRFDPKVVAADHKSNRQGDFKRHINVALGISADESPTVLSKGKLLPFPIPEEIKRVKAGLRDCAVKARERMKTFNEALSVFNKFFPSIPSKKRSRSESFSSDRPNALLSSDRSVLGPTIGKMGMHNHSIAGGFEFEQQKLEERPKSAVPNKRTRTSLVDVRMDMRNNALVRQPGNADRDREMLRVSNSGAVQGEDRTLSGGVDGWEKAKMKKKRSGIKPDVSPSMVSTKPIEGYRESKQGMQQRPVTDARSRLNNDSHGFRSGIANGSAGVGKSEGISQPTGLGPRSSVPRSDLDSSPLLNDRRDRPVASDKERVNLRAVNKMSVRDEFNSASPTSSTKMNASIRGPRSGSGVAPKLSPVVHRATASNDWELSHCTNKPPTAGGANNRKRTTSARSSSPPVAHWAGQRPQKSSRTARRTNLVPIVSSNDETPSLDTVSDMAGNEIGSGFARRLSSSSPQQVKLKGDALSTAALSESEESAAAEIKSKEKVKKSDEMDEKAGQNVQKVSTLVLPSRKTKLMTGEDIGDGVRRQGRTGRGVTSTRSVMPMTVEKFGNVGTAKQLRSARLGLDKAESKAGRPPTRKLTDRKAYARQKHAAINAAADLLVSSEDGHEELVAAVNALVSFAHAFPNSFWRQMEPFLGFISDVDIAYLKQQGNCELTKLASTPVPSIIDGCSIISNGCELLEQGRDAGIDAVTSTVELLSQQLVLETRDNNVIPLCQRFIAALIPEEDSDSGNEDLPFDLYGTGFEMDGELGSNGLSHIINFQSTGHASVNSYRITGKPENDDPEIDMLGNTGINSSFSHCLNGTFSDPLMPSIVCSEFQYENMKINEKLFLEAQSIGIFLEPPPDIGQMEDDEIREDISKLEEMHNEQVSKKKGLLDKLLKAASETREIQEKEFEQRALDKLVTMAYEKYMTCWGPNATGGKSSSNKMIKQAALAFVKRTLDRYHKFEDTGKSCFDEPMLRDMFLSGSSRLNGARSVDSPTDGESGKPCGNSSTRSLEARTSGQNGDSYAVNSSDLLPPSNRFSDQTTVKDDSWSNRVKKRELLLEDVVGSTIGTSSAQSGIGSSLSSSTKGKRSERDREGKGHGREVLSRNGTNKIGRPVSNVKGERKSKTKPKQKTTQLSVSVNGLLGKMSEQPKPSTSVSKSSEVTANNTAKEKDEFSLDVLDDLQLPGQDLGSWLNIDDDGLQDHDFMGLEIPMDDLSDLNMMV >EOY32515 pep chromosome:Theobroma_cacao_20110822:9:30779686:30786414:1 gene:TCM_040492 transcript:EOY32515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Topoisomerase 6 subunit B isoform 1 MESESPIETKKGKSKTPRKAKESILKQKSPAEFFAENKNIAGFDNPGKCLYTTVRELVENALDSAESISELPVVEITIEEIVKNKFNSMIGLVDRERVDEELYDDYETAKAREKRLAKEARAQEIQAKNAALGKKVKESAAPKSVKGRGEASFYRVTCKDNGRGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEISSSMMGQNYISFCRLDIDIHRNIPHIHLHEKRDNKDRWHGAEIQVVIEGNWTTYRSKILHYMRQMAVITPYAQFLFKFVSDAPDKNVTIKFARRTDVMPPVPVETKHHPSSVDILLIKRLIAETSKQNLMQFLQHEFVNIGKSLAERLIGEMGPEFSPKLAVKSLTDQQIVRIHQLFRQAKFDDPSGDCLSPAGEYNLRLGIIKELHPDMVATYSGSAQVFEGHPFIVEAGVSVGGKDVKQGLNIFRFANRIPLLFEQGGDVVTRTALKRISWSSYKINQTQDKIGVFVSIVSTKIPFKGTGKEYIGDDISEIASAVKSAIKQCCIQLKSKIVKKMQAREQQERKRNLSKYIPDATNAVYDVLKEMAKSHASKKKRIEERDADILQNVSDQLITKETLKEKLTQHVEKVDYEMALEYATQSGVNEEPREDMYLQSLYAKSNFFDFHSPIFVFRLFQ >EOY32516 pep chromosome:Theobroma_cacao_20110822:9:30780091:30786391:1 gene:TCM_040492 transcript:EOY32516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Topoisomerase 6 subunit B isoform 1 MQDNGRGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEISSSMMGQNYISFCRLDIDIHRNIPHIHLHEKRDNKDRWHGAEIQVVIEGNWTTYRSKILHYMRQMAVITPYAQFLFKFVSDAPDKNVTIKFARRTDVMPPVPVETKHHPSSVDILLIKRLIAETSKQNLMQFLQHEFVNIGKSLAERLIGEMGPEFSPKLAVKSLTDQQIVRIHQLFRQAKFDDPSGDCLSPAGEYNLRLGIIKELHPDMVATYSGSAQVFEGHPFIVEAGVSVGGKDVKQQGLNIFRFANRIPLLFEQGGDVVTRTALKRISWSSYKINQTQDKIGVFVSIVSTKIPFKGTGKEYIGDDISEIASAVKSAIKQCCIQLKSKIVKKMQAREQQERKRNLSKYIPDATNAVYDVLKEMAKSHASKKKRIEERDADILQNVSDQLITKETLKEKLTQHVEKVDYEMALEYATQSGVNEEPREDMYLQSLYAKSNFFDFHSPIFVFRLFQ >EOY31779 pep chromosome:Theobroma_cacao_20110822:9:13967206:13978537:1 gene:TCM_038909 transcript:EOY31779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein isoform 1 MQRQTRYMERTNSIARGKRSLEGDEEQQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPPRLNGRSSPKRIEGPDGLSLQLHFRSRLSLPLFTGGKVEGEQGAAIHIVLVDTNTGQVVTTGPEACVKLDVVVLEGDFNNEDDEDWTQEEFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVASGYCEGIRVREAKTEAFTVKDHRGELYKKHYPPALNDDVWRLEKIGKDGSFHKRLNTAGIFTVEDFLRLVVRDQQKLRNILGSGMSNKMWEALLEHAKTCVLSGKFYVYYTDDSRSVGVIFNNIYELNGLITGEQYIPADSLSDSQKVYVDTLVKKAYDNWNKVIEYDGKSLLNFRQNRRSSARNELQMGAIDYPNALDQQLQLPRLPVSVPTEQVHSGLQVEGYNDNQSTKYSGQSQHVNSNSHNQFDSTQYLPHDQLINNSQQPQSLRNDNNVVGLALGPPQSSALGFQNVGSSMQSSNLNPFDDWTNNRDKGVEDLFSEEEIRIRSHEMLENEDMQHLLRLFSMGGHASINVTEDGGYGFPNYMQSPMPNFVDEDRSRPGKAVVGWLKIKAAMRWGFFIRKKAAERRAQIVELEEEE >EOY31780 pep chromosome:Theobroma_cacao_20110822:9:13967300:13978219:1 gene:TCM_038909 transcript:EOY31780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein isoform 1 MQRQTRYMERTNSIARGKRSLEGDEEQQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPPRLNGRSSPKRIEGPDGLSLQLHFRSRLSLPLFTGGKVEGEQGAAIHIVLVDTNTGQVVTTGPEACVKLDVVVLEGDFNNEDDEDWTQEEFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVASGYCEGIRVREAKTEAFTVKDHRGELYKKHYPPALNDDVWRLEKIGKDGSFHKRLNTAGIFTVEDFLRLVVRDQQKLRNILGSGMSNKMWEALLEHAKTCVLSGKFYVYYTDDSRSVGVIFNNIYELNGLITGEQYIPADSLSDSQKVYVDTLVKKAYDNWNKVIEYDGKSLLNFRQNRRSSARNELQMGAIDYPNALDQQLQLPRLPVSVPTEQVHSGLQVEGYNDNQSTKYSGQSQHVNSNSHNQFDSTQYLPHDQLINNSQQPQSLRNDNNVVGLALGPPQSSALGFQNVGSSMQSSNLNPFDDWTNNRDKGVEDLFSEEEIRIRSHEMLENEDMQHLLRLFSMGGHASINVTEDGGYGFPNYMQSPMPNFVDEDRSRPGKAVVGWLKIKAAMRWGFFIRKKAAERRAQIVELEEEE >EOY31781 pep chromosome:Theobroma_cacao_20110822:9:13967570:13978219:1 gene:TCM_038909 transcript:EOY31781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein isoform 1 MQRQTRYMERTNSIARGKRSLEGDEEQQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPPRLNGRSSPKRIEGPDGLSLQLHFRSRLSLPLFTGGKVEGEQGAAIHIVLVDTNTGQVVTTGPEACVKLDVVVLEGDFNNEDDEDWTQEEFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVASGYCEGIRVREAKTEAFTVKDHRGELYKKHYPPALNDDVWRLEKIGKDGSFHKRLNTAGIFTVEDFLRLVVRDQQKLRNILGSGMSNKMWEALLEHAKTCVLSGKFYVYYTDDSRSVGVIFNNIYELNGLITGEQYIPADSLSDSQKVYVDTLVKKAYDNWNKVIEYDGKSLLNFRQNRRSSARNELQMGAIDYPNALDQQLQLPRLPVSVPTEQVHSGLQVEGYNDNQSTKYSGQSQHVNSNSHNQFDSTQYLPHDQLINNSQQPQSLRNDNNVVGLALGPPQSSALGFQNVGSSMQSSNLNPFDDWTNNRDKGVEDLFSEEEIRIRSHEMLENEDMQHLLRLFSMGGHASINVTEDGGYGFPNYMQSPMPNFVDEDRSRPGKAVVGWLKIKAAMRWGFFIRKKAAERRAQIVELEEEE >EOY31782 pep chromosome:Theobroma_cacao_20110822:9:13967452:13978209:1 gene:TCM_038909 transcript:EOY31782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein isoform 1 MQRQTRYMERTNSIARGKRSLEGDEEQQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPPRLNGRSSPKRIEGPDGLSLQLHFRSRLSLPLFTGGKVEGEQGAAIHIVLVDTNTGQVVTTGPEACVKLDVVVLEGDFNNEDDEDWTQEEFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVASGYCEGIRVREAKTEAFTVKDHRGELYKKHYPPALNDDVWRLEKIGKDGSFHKRLNTAGIFTVEDFLRLVVRDQQKLRNILGSGMSNKMWEALLEHAKTCVLSGKFYVYYTDDSRSVGVIFNNIYELNGLITGEQYIPADSLSDSQKVYVDTLVKKAYDNWNKVIEYDGKSLLNFRQNRRSSARNELQMGAIDYPNALDQQLQLPRLPVSVPTEQVHSGLQVEEGYNDNQSTKYSGQSQHVNSNSHNQFDSTQYLPHDQLINNSQQPQSLRNDNNVVGLALGPPQSSALGFQNVGSSMQSSNLNPFDDWTNNRDKGVEDLFSEEEIRIRSHEMLENEDMQHLLRLFSMGGHASINVTEDGGYGFPNYMQSPMPNFVDEDRSRPGKAVVGWLKIKAAMRWGFFIRKKAAERRAQIVELEEEE >EOY33150 pep chromosome:Theobroma_cacao_20110822:9:35658548:35667876:-1 gene:TCM_041133 transcript:EOY33150 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:cytochrome B5 reductase 1 MEMTAQSLSQAKLPSCSTSLSPPFLHSKATVAASNFAVPFQSSKSYVTKLKFDRIRSRKQRNLGVIYASEGESTSTDVTDRWLLEPAGDGDTRHIGFKVQMPDAFEIASREVTVGRLPDKADVVIPVATVSGIHARIQKKEGNLLVTDLDSTNGTFINDQRLRPGVVATVPPGSFLIFGCLDPEEFKEFKLVKRTQLSHNVAEFKFALPTPTSVLGLPIGQHMSCRGKDQHGDDVVKAYTPTTLDSDLGYFELVIKMYPQGRMSHHFREMREGDYLAVKGPKGHFKYQPGQVRAFGMLAGGTGITPMFQVARAILENPNDKTNIQLIYANVTYEDILLKEELDELASKFPNRMSVYYVLNQPPEGWDGGVGFVSKEMIQAYCPAPAQDIQILRCGPPPMNKAMAAHLEALGYSSEMQFQF >EOY33645 pep chromosome:Theobroma_cacao_20110822:9:38369194:38384849:1 gene:TCM_041556 transcript:EOY33645 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal isoform 3 MNLVRELEIDLKVANVICMNGRRHLTSSINEVSRDLVVNTDSKKKQALMDLLPVLAELLHAQDMQAALESLVEEGNYCKAFQVLSEYLQLLDSVSELSAIQEMSRGVEVWLGRTLQKLDSLLLGVCQEFKEEGYLTVVDAYALIGDVSGLAEKIQSFFMQEVISETHSVLKSIVHEDQDVHMQSSRLTYSDLCLQIPESKFRQCLLRTLAVLFKLMCSYHEIMGFQLENKVLECPTTNAKSMEDGTQDSSSVEESRTATYSADASERTESGNVESHDPVSEGRNDGGATSSSGSPWYQLRKEAIAFVSQTLQRGRKNLWQLTTSRVSVLLSSSAASSTSIHQFLKNYEDLNTFILAGEAFCGVEAVEFRQKLKGVCENYFTAFHRQNISALKMVLEKETWLRLPPETVQIISFAGLVGDGAPLIAASDGKSSNARVLHTSKSANAVDTGATKSGFSPWLRNGNPFLLKVSGSPKEAHNSSPLNGATSGEYEGNVDNLHGDIGSPHNGDVNHINGSNSMAEEENEDLLADFIDEDSQLPSRISKSSLSKTYSSHCSNDEFTAQTGSSLCLLRSMDKYARLMQKLEIVNVEFFKGICQLFEMFFYYIFEAFGQQNMSSSGKGSTDSLTYRLKTALSRITQDCDQWIKTSSGSPLSPLAHTDVTPTVPQSPNFGPPVGTSFGLKERCAGADTVALVARILHRSRTHLQSLLLKSNTAVVEDFFVHLVDSVPDLTEHIHRTTARILLHINGYVDRIANAKWELKELGMEHNGSYYLPETEYIHWARAHPEYSKNQIVGLINLVATMKGWKRKTRLEVLEKIE >EOY33644 pep chromosome:Theobroma_cacao_20110822:9:38369194:38384849:1 gene:TCM_041556 transcript:EOY33644 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal isoform 3 MQQPNLFPFGSVFGNPFLLNGDAGGDGGDLNDGGFESSRVFFLLPFLLFQGGGMDLSKVGEKILSSVRSARSLGLLPSVSSSDRPEVPARAAAAAAVARALAGLPPHQRYSLPSSSEELRSIYGSRPQSQVVEELEEAFYEEDFDPIKHILEHIPSEENELEYFEKQATLRLAQLDRVAERLSCHVMEHHEVMVKGMNLVRELEIDLKVANVICMNGRRHLTSSINEVSRDLVVNTDSKKKQALMDLLPVLAELLHAQDMQAALESLVEEGNYCKAFQVLSEYLQLLDSVSELSAIQEMSRGVEVWLGRTLQKLDSLLLGVCQEFKEEGYLTVVDAYALIGDVSGLAEKIQSFFMQEVISETHSVLKSIVHEDQDVHMQSSRLTYSDLCLQIPESKFRQCLLRTLAVLFKLMCSYHEIMGFQLENKVLECPTTNAKSMEDGTQDSSSVEESRTATYSADASERTESGNVESHDPVSEGRNDGGATSSSGSPWYQLRKEAIAFVSQTLQRGRKNLWQLTTSRVSVLLSSSAASSTSIHQFLKNYEDLNTFILAGEAFCGVEAVEFRQKLKGVCENYFTAFHRQNISALKMVLEKETWLRLPPETVQIISFAGLVGDGAPLIAASDGKSSNARVLHTSKSANAVDTGATKSGFSPWLRNGNPFLLKVSGSPKEAHNSSPLNGATSGEYEGNVDNLHGDIGSPHNGDVNHINGSNSMAEEENEDLLADFIDEDSQLPSRISKSSLSKTYSSHCSNDEFTAQTGSSLCLLRSMDKYARLMQKLEIVNVEFFKGICQLFEMFFYYIFEAFGQQNMSSSGKGSTDSLTYRLKTALSRITQDCDQWIKTSSGSPLSPLAHTDVTPTVPQSPNFGPPVGTSFGLKERCAGADTVALVARILHRSRTHLQSLLLKSNTAVVEDFFVHLVDSVPDLTEHIHRTTARILLHINGYVDRIANAKWELKELGMEHNGSYYLPETEYIHWARAHPEYSKNQIVGLINLVATMKGWKRKTRLEVLEKIE >EOY33643 pep chromosome:Theobroma_cacao_20110822:9:38369028:38385258:1 gene:TCM_041556 transcript:EOY33643 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal isoform 3 MQQPNLFPFGSVFGNPFLLNGDAGGDGGDLNDGGFESSRVFFLLPFLLFQGGGMDLSKVGEKILSSVRSARSLGLLPSVSSSDRPEVPARAAAAAAVARALAGLPPHQRYSLPSSSEELRSIYGSRPQSQVVEELEEAFYEEDFDPIKHILEHIPSEENELEYFEKQATLRLAQLDRVAERLSCHVMEHHEVMVKGMNLVRELEIDLKVANVICMNGRRHLTSSINEVSRDLVVNTDSKKKQALMDLLPVLAELLHAQDMQAALESLVEEGNYCKAFQVLSEYLQLLDSVSELSAIQEMSRGVEVWLGRTLQKLDSLLLGVCQEFKEEGYLTVVDAYALIGDVSGLAEKIQSFFMQEVISETHSVLKSIVHEDQDVHMQSSRLTYSDLCLQIPESKFRQCLLRTLAVLFKLMCSYHEIMGFQLENKVDLIPYCFLFVLSLGNVEKNFSQPYLLRVLECPTTNAKSMEDGTQDSSSVEESRTATYSADASERTESGNVESHDPVSEGRNDGGATSSSGSPWYQLRKEAIAFVSQTLQRGRKNLWQLTTSRVSVLLSSSAASSTSIHQFLKNYEDLNTFILAGEAFCGVEAVEFRQKLKGVCENYFTAFHRQNISALKMVLEKETWLRLPPETVQIISFAGLVGDGAPLIAASDGKSSNARVLHTSKSANAVDTGATKSGFSPWLRNGNPFLLKVSGSPKEAHNSSPLNGATSGEYEGNVDNLHGDIGSPHNGDVNHINGSNSMAEEENEDLLADFIDEDSQLPSRISKSSLSKTYSSHCSNDEFTAQTGSSLCLLRSMDKYARLMQKLEIVNVEFFKGICQLFEMFFYYIFEAFGQQNMSSSGKGSTDSLTYRLKTALSRITQDCDQWIKTSSGSPLSPLAHTDVTPTVPQSPNFGPPVGTSFGLKERCAGADTVALVARILHRSRTHLQSLLLKSNTAVVEDFFVHLVDSVPDLTEHIHRTTARILLHINGYVDRIANAKWELKELGMEHNGYVDLLLGEFKHYKTRLAHGGIHKEVQDLLLGYGLEIVAETLIEGLSRVKRCTDEGRALMSLDLQVLINGLQHFVSINVKPKLQIVEAFIKAYYLPETEYIHWARAHPEYSKNQIVGLINLVATMKGWKRKTRLEVLEKIE >EOY33647 pep chromosome:Theobroma_cacao_20110822:9:38369194:38379263:1 gene:TCM_041556 transcript:EOY33647 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal isoform 3 MQQPNLFPFGSVFGNPFLLNGDAGGDGGDLNDGGFESSRVFFLLPFLLFQGGGMDLSKVGEKILSSVRSARSLGLLPSVSSSDRPEVPARAAAAAAVARALAGLPPHQRYSLPSSSEELRSIYGSRPQSQVVEELEEAFYEEDFDPIKHILEHIPSEENELEYFEKQATLRLAQLDRVAERLSCHVMEHHEVMVKGMNLVRELEIDLKVANVICMNGRRHLTSSINEVSRDLVVNTDSKKKQALMDLLPVLAELLHAQDMQAALESLVEEGNYCKAFQVLSEYLQLLDSVSELSAIQEMSRGVEVWLGRTLQKLDSLLLGVCQEFKEEGYLTVVDAYALIGDVSGLAEKIQSFFMQEVISETHSVLKSIVHEDQDVHMQSSRLTYSDLCLQIPESKFRQCLLRTLAVLFKLMCSYHEIMGFQLENKVLECPTTNAKSMEDGTQDSSSVEESRTATYSADASERTESGNVESHDPVSEGRNDGGATSSSGSPWYQLRKEAIAFVSQTLQRGRKNLWQLTTSRVSVLLSSSAASSTSIHQFLKNYEDLNTFILAGEAFCGVEAVEFRQKLKGVCENYFTAFHRQNISALKMVLEKETWLRLPPETVQIISFAGLVGDGAPLIAASDGKSSNARVLHTSKSANAVDTGATKSGFSPWLRNGNPFLLKVSGSPKEAHNSSPLNGATSGEYEGNVDNLHGDIGSPHNGDVNHINGSNSMAEEENEDLLADFIDEDSQLPSRISKSSLSKTYSSHCSNDEFTAQTGSSLCLLRSMDKYARLMQKLEIVNVEFFKYIVAGHMPVI >EOY33646 pep chromosome:Theobroma_cacao_20110822:9:38370480:38383292:1 gene:TCM_041556 transcript:EOY33646 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal isoform 3 PARAAAAAAVARALAGLPPHQRYSLPSSSEELRSIYGSRPQSQVVEELEEAFYEEDFDPIKHILEHIPSEENELEYFEKQATLRLAQLDRVAERLSCHVMEHHEVMVKGMNLVRELEIDLKVANVICMNGRRHLTSSINEVSRDLVVNTDSKKKQALMDLLPVLAELLHAQDMQAALESLVEEGNYCKAFQVLSEYLQLLDSVSELSAIQEMSRGVEVWLGRTLQKLDSLLLGVCQEFKEEGYLTVVDAYALIGDVSGLAEKIQSFFMQEVISETHSVLKSIVHEDQDVHMQSSRLTYSDLCLQIPESKFRQCLLRTLAVLFKLMCSYHEIMGFQLENKVLECPTTNAKSMEDGTQDSSSVEESRTATYSADASERTESGNVESHDPVSEGRNDGGATSSSGSPWYQLRKEAIAFVSQTLQRGRKNLWQLTTSRVSVLLSSSAASSTSIHQFLKNYEDLNTFILAGEAFCGVEAVEFRQKLKGVCENYFTAFHRQNISALKMVLEKETWLRLPPETVQIISFAGLVGDGAPLIAASDGKSSNARVLHTSKSANAVDTGATKSGFSPWLRNGNPFLLKVSGSPKEAHNSSPLNGATSGEYEGNVDNLHGDIGSPHNGDVNHINGSNSMAEEENEDLLADFIDEDSQLPSRISKSSLSKTYSSHCSNDEFTAQTGSSLCLLRSMDKYARLMQKLEIVNVEFFKGICQLFEMFFYYIFEAFGQQNMSSSGKGSTDSLTYRLKTALSRITQDCDQWIKTSSGSPLSPLAHTDVTPTVPQSPNFGPPVGTSFGLKERCAGADTVALVARILHRSRTHLQSLLLKSNTAVVEDFFVHLVDSVPDLTEHIHRTTARILLHINGYVDRIANAKWELKELGMEHNGYVDLLLGE >EOY33648 pep chromosome:Theobroma_cacao_20110822:9:38372808:38383292:1 gene:TCM_041556 transcript:EOY33648 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal isoform 3 MNLVRELEIDLKVANVICMNGRRHLTSSINEVSRDLVVNTDSKKKQALMDLLPVLAELLHAQDMQAALESLVEEGNYCKAFQVLSEYLQLLDSVSELSAIQEMSRGVEVWLGRTLQKLDSLLLGVCQEFKEEGYLTVVDAYALIGDVSGLAEKIQSFFMQEVISETHSVLKSIVHEDQDVHMQSSRLTYSDLCLQIPESKFRQCLLRTLAVLFKLMCSYHEIMGFQLENKVLECPTTNAKSMEDGTQDSSSVEESRTATYSADASERTESGNVESHDPVSEGRNDGGATSSSGSPWYQLRKEAIAFVSQTLQRGRKNLWQLTTSRVSVLLSSSAASSTSIHQFLKNYEDLNTFILAGEAFCGVEAVEFRQKLKGVCENYFTAFHRQNISALKMVLEKETWLRLPPETVQIISFAGLVGDGAPLIAASDGKSSNARVLHTSKSANAVDTGATKSGFSPWLRNGNPFLLKVSGSPKEAHNSSPLNGATSGEYEGNVDNLHGDIGSPHNGDVNHINGSNSMAEEENEDLLADFIDEDSQLPSRISKSSLSKTYSSHCSNDEFTAQTGSSLCLLRSMDKYARLMQKLEIVNVEFFKGICQLFEMFFYYIFEAFGQQNMSSSGKGSTDSLTYRLKTALSRITQDCDQWIKTSSGSPLSPLAHTDVTPTVPQSPNFGPPVGTSFGLKERCAGADTVALVARILHRSRTHLQSLLLKSNTAVVEDFFVHLVDSVPDLTEHIHRTTARILLHINGYVDRIANAKWELKELGMEHNGYVDLLLGE >EOY32708 pep chromosome:Theobroma_cacao_20110822:9:32979901:32980836:1 gene:TCM_040735 transcript:EOY32708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein A, putative MVQKNQNQGRQKIAMKKIAKKNNLQVTFSKRRTGLFKKASELCTLCGVDVAIIVFSPAGKVFSFGHPQVESTIDRFLTRNPSLHVSNTHSLVEAHRNANIQELNVQLTRQLEMLEVQKRKGEALEEIREAGRRQCWWQAPTDVLGLNELKQLRIALEELNRNVKKQANKVLVESTNCWQFLTANGIGGGVNNEANEMKAASSVTQMYNLGQGLF >EOY31307 pep chromosome:Theobroma_cacao_20110822:9:8072770:8073561:1 gene:TCM_038265 transcript:EOY31307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSETNPTSPISPSSLKQKLKSSIRLPWLCHHSRQDRALNASATPLPTPTPSPTANNNHNKPRLSKTLSPTYLKSPEFRDKCRSLINRIGHGPGHRHSHSHSHSYGYHGHGHGRRHSADFRYDPSSYALNFDEGFNDSQLDEFPLRNFSTRLPPSPTATTSREITAYT >EOY33349 pep chromosome:Theobroma_cacao_20110822:9:36933806:36937306:-1 gene:TCM_041320 transcript:EOY33349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPKTRATLRQVGEQDALTEMTNRPRAHTPRYDYNNFIEDGMLLSLGNGSRISFWDGSWIERGILHITFPRIFGSAIKKQGKISNE >EOY34339 pep chromosome:Theobroma_cacao_20110822:9:40727313:40731648:1 gene:TCM_042042 transcript:EOY34339 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 3 MPPAPSLTPRKVNFLLTPSPSDARISGSPGPSSSRGKSSLKSLLPKLSFKHRSINLDIEKAANFAPESSSTSLREKPSISRTLSLTKIFTPRINRTSSLPVTHIAQSNLESAGSGSLGSSVNSSRKGNVLQISRSFSVPVNDKEGNLRRMDSFFRVVPSTPQVKEGEISSSASLGPDDENSDPDGEDIPEEEAVCRICMVELCEGGETLKMECSCKGELALAHKDCAVKWFTIKGNKTCDVCKQEVQNLPVTLLRIQSMRARNAGTSRGLQADVHGYRIWQEVPVLVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLSSMTSSTMVNRRFVWVYASIQFALVVFFAHIFYSLVKVQAILSILLATFSGFGVAMSGSSIVVEILRWRRRWQAWSEEQHHSSQLLTRPPVQSPRAVNSPRRGPNVNQQNASS >EOY34337 pep chromosome:Theobroma_cacao_20110822:9:40726313:40731817:1 gene:TCM_042042 transcript:EOY34337 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 3 MGTEKKVVSGEHEVGGAASQGTVSVPVQQVEDSTGITEETTHAHHWKRSNLILEIPSRTLEDSSQESVVIKMPPAPSLTPRKVNFLLTPSPSDARISGSPGPSSSRGKSSLKSLLPKLSFKHRSINLDIEKAANFAPESSSTSLREKPSISRTLSLTKIFTPRINRTSSLPVTHIAQSNLESAGSGSLGSSVNSSRKGNVLQISRSFSVPVNDKEGNLRRMDSFFRVVPSTPQVKEGEISSSASLGPDDENSDPDGEDIPEEEAVCRICMVELCEGGETLKMECSCKGELALAHKDCAVKWFTIKGNKTCDVCKQEVQNLPVTLLRIQSMRARNAGTSRGLQADVHGYRIWQEVPVLVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLSSMTSSTMVNRRFVWVYASIQFALVVFFAHIFYSLVKVQAILSILLATFSGFGVAMSGSSIVVEILRWRRRWQAWSEEQHHSSQLLTRPPVQSPRAVNSPRRGPNVNQQNASS >EOY34338 pep chromosome:Theobroma_cacao_20110822:9:40726306:40731648:1 gene:TCM_042042 transcript:EOY34338 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 3 MGTEKKVVSGEHEVGGAASQGTVSVPVQQVEDSTGITEETTHAHHWKRSNLILEIPSRTLEDSSQESVVIKMPPAPSLTPRKVNFLLTPSPSDARISGSPGPSSSRGKSSLKSLLPKLSFKHRSINLDIEKAANFAPESSSTSLREKPSISRTLSLTKIFTPRINRTSSLPVTHIAQSNLESAGSGSLGSSVNSSLSVSLQRKGNVLQISRSFSVPVNDKEGNLRRMDSFFRVVPSTPQVKEGEISSSASLGPDDENSDPDGEDIPEEEAVCRICMVELCEGGETLKMECSCKGELALAHKDCAVKWFTIKGNKTCDVCKQEVQNLPVTLLRIQSMRARNAGTSRGLQADVHGYRIWQEVPVLVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLSSMTSSTMVNRRFVWVYASIQFALVVFFAHIFYSLVKVQAILSILLATFSGFGVAMSGSSIVVEILRWRRRWQAWSEEQHHSSQLLTRPPVQSPRAVNSPRRGPNVNQQNASS >EOY31883 pep chromosome:Theobroma_cacao_20110822:9:16975484:16981545:1 gene:TCM_039211 transcript:EOY31883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MFRRSKNLALHVRKNLQSVSKTHFSVCSSKYYSKSNQSESENEWERLLKPFDLDELRKSFNKITPYQLCKLLELPLDVPTSLKLFHWAGSQKGYCHTFDVYYVLIDKLGAAKEFKVIDSLLMQMKEEGVVFKESLFILIMKYYGTAGFPGQATRLLLDIKSVYSCEPTVRSFNVVLDILVAGNCHKVAPNVFYDMLNKGISPNVYTFGLVMKALCIVNEVDSACSLLRDMTKHGCVPNSVVYNTLIHALSKSNRVNEALKLLEEMFLMGCSPDVQTFNDVIHGLCKLYRIHEAVKVVDRMLLRGFIPDELTYGFLMQGLCKTGQVDEARALLDKVPSPNIVLFNTLINGYVASGRFDEAKAVVYDIMLSIGCKPDVFTFNILIHGLCKKGCLGSALELVNEMEGKGCKPNVITYSILIDGLCKEGRLLEAGDVLNEMSAKEVSLNTVGYNTLISALCRNGKIREAREMLGEMSSKGCKPDIFTFNSLIFGLCKVDQMEAAMGLYRDMLVVGVIANKVTYNTLIHAFLRNGEIQEALKLVNEMLFRGCLLDAITYNGLIKALCKAGAIDKGLGLFEEMIRKGLVPSSISCNILVNGLCKARKVHNALEFLREMIHRGLTPDIVTYNSLINGLCKAGRIREALSLFDKLKVEGIYPDAITYNTLISWHCKEGVFDEACLLLHRGVEYGFVPNDVTWFILVSNCVKEGQKESFSSPFNV >EOY31885 pep chromosome:Theobroma_cacao_20110822:9:16975435:16978699:1 gene:TCM_039211 transcript:EOY31885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MFRRSKNLALHVRKNLQSVSKTHFSVCSSKYYSKSNQSESENEWERLLKPFDLDELRKSFNKITPYQLCKLLELPLDVPTSLKLFHWAGSQKGYCHTFDVYYVLIDKLGAAKEFKVIDSLLMQMKEEGVVFKESLFILIMKYYGTAGFPGQATRLLLDIKSVYSCEPTVRSFNVVLDILVAGNCHKVAPNVFYDMLNKGISPNVYTFGLVMKALCIVNEVDSACSLLRDMTKHGCVPNSVVYNTLIHALSKSNRVNEALKLLEEMFLMGCSPDVQTFNDVIHGLCKLYRIHEAVKVVDRMLLRGFIPDELTYGFLMQGLCKTGQVDEARALLDKVPSPNIVLFNTLINGYVASGRFDEAKAVVYDIMLSIGCKPDVFTFNILIHGLCKKGCLGSALELVNEMEGKGCKPNVITYSILIDGLCKEGRLLEAGDVLNEMSAKEVSLNTVGYNTLISALCRNGKIREAREMLGEMSSKGCKPDIFTFNSLIFGLCKVDQMEAAMGLYRDMLVVGVIANKVTYNTLIHAFLRNGEIQEALKLVNEMLFRGCLLDAITYNGLIKALCKAGAIDKGLGLFEEMIRKGLVPSSISCNILVNGLCKARKVHNALEFLREMIHRGLTPDIVTYNSLINGLCKAGRIREALSLFDKLKVEGIYPDAITYNTLISWHCKEGVFDEACLLLHRGVEYGFVPNDVTWFILVSNCVKEGQKESFSSPFNV >EOY31884 pep chromosome:Theobroma_cacao_20110822:9:16975435:16979184:1 gene:TCM_039211 transcript:EOY31884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative isoform 1 MFRRSKNLALHVRKNLQSVSKTHFSVCSSKYYSKSNQSESENEWERLLKPFDLDELRKSFNKITPYQLCKLLELPLDVPTSLKLFHWAGSQKGYCHTFDVYYVLIDKLGAAKEFKVIDSLLMQMKEEGVVFKESLFILIMKYYGTAGFPGQATRLLLDIKSVYSCEPTVRSFNVVLDILVAGNCHKVAPNVFYDMLNKGISPNVYTFGLVMKALCIVNEVDSACSLLRDMTKHGCVPNSVVYNTLIHALSKSNRVNEALKLLEEMFLMGCSPDVQTFNDVIHGLCKLYRIHEAVKVVDRMLLRGFIPDELTYGFLMQGLCKTGQVDEARALLDKVPSPNIVLFNTLINGYVASGRFDEAKAVVYDIMLSIGCKPDVFTFNILIHGLCKKGCLGSALELVNEMEGKGCKPNVITYSILIDGLCKEGRLLEAGDVLNEMSAKEVSLNTVGYNTLISALCRNGKIREAREMLGEMSSKGCKPDIFTFNSLIFGLCKVDQMEAAMGLYRDMLVVGVIANKVTYNTLIHAFLRNGEIQEALKLVNEMLFRGCLLDAITYNGLIKALCKAGAIDKGLGLFEEMIRKGLVPSSISCNILVNGLCKARKVHNALEFLREMIHRGLTPDIVTYNSLINGLCKAGRIREALSLFDKLKVEGIYPDAITYNTLISWHCKEGVFDEACLLLHRGVEYGFVPNDVTWFILVSNCVKEGQKESFSSPFNV >EOY32037 pep chromosome:Theobroma_cacao_20110822:9:19329268:19334921:-1 gene:TCM_039450 transcript:EOY32037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein isoform 2 MGGGNAHFALVPVQGLLGQGWSSDNLAYMELAIQQAKLALESLEVPVGCVFIKDGKVIASGRNRTNETRNATRHAEMEAIDILLEKWQRDGLSKSDVAETFSKCILYVTCEPCIMCAAALSILGIKEVYYGCANEKFGGCGSILSLHSSALSHLSEVPQRKGFKCTGGLLASEAVSLFRSFYEQGNPNAPKPHRPLVQKEVE >EOY32040 pep chromosome:Theobroma_cacao_20110822:9:19329622:19334589:-1 gene:TCM_039450 transcript:EOY32040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein isoform 2 FTFFVTLIFVIVEMESEGQGWSSDNLAYMELAIQQTHNIFLIFQAKLALESLEVPVGCVFIKDGKVIASGRNRTNETRNATRHAEMEAIDILLEKWQRDGLSKSDVAETFSKCILYVTCEPCIMCAAALSILGIKEVYYGCANEKFGGCGSILSLHSSALSHLSEVPQRKGFKCTGGLLASEAVSLFRSFYEQGNPNAPKPHRPLVQKEVE >EOY32039 pep chromosome:Theobroma_cacao_20110822:9:19329016:19334668:-1 gene:TCM_039450 transcript:EOY32039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein isoform 2 RKCTFCPRAGTRTSRYLYPLISLGDFCFTFFVTLIFVIVEMESEGQGWSSDNLAYMELAIQQQAKLALESLEVPVGCVFIKDGKVIASGRNRTNETRNATRHAEMEAIDILLEKWQRDGLSKSDVAETFSKCILYVTCEPCIMCAAALSILGIKEVYYGCANEKFGGCGSILSLHSSALSHLSVQKFRKEKVSNVQEDCWPQKQSLFSEVSMNRGILMLQNLTGLWFKRKLSDILRFRI >EOY32038 pep chromosome:Theobroma_cacao_20110822:9:19329136:19334591:-1 gene:TCM_039450 transcript:EOY32038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein isoform 2 MESEGQGWSSDNLAYMELAIQQAKLALESLEVPVGCVFIKDGKVIASGRNRTNETRNATRHAEMEAIDILLEKWQRDGLSKSDVAETFSKCILYVTCEPCIMCAAALSILGIKEVYYGCANEKFGGCGSILSLHSSALSHLSVQKFRKEKVSNVQEDCWPQKQSLFSEVSMNRGILMLQNLTGLWFKRKLSDILRFRI >EOY34703 pep chromosome:Theobroma_cacao_20110822:9:41836811:41838728:-1 gene:TCM_042288 transcript:EOY34703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extra-large GTP-binding protein 3 MGDLETLAKARMELEEMYLGIPDDSVNLTFQDLADMKPKANASEKKKSTSVEPLQEAKNPKQASPLTKPSLDFNRGLRATEDHSHYHHKHYLERGYEDDNFDSHIDMGHHHHHHHHRHHDGENMHAWDGHRYGTDDQSHRIHATSPRGLAEFRHGVERSMAYDDESVISMASNYPERGQRRRPGIPHSNICTICTTSIYIFRHRCLVCGRVYCRQCVCMGMGEMTEGRKCILCLGRRFSQRYIKRAGKMGCCSRYPSSVKQAELKWAEKGPRRSGERAYGRSAMMPRSRSPAKPRTPTRAHATSAAPSFVASSPAYSPYTPTHHHLPL >EOY34085 pep chromosome:Theobroma_cacao_20110822:9:39889012:39893365:1 gene:TCM_041869 transcript:EOY34085 gene_biotype:protein_coding transcript_biotype:protein_coding description:63 kDa inner membrane family protein isoform 3 MARTLISSQPFIGTPLPSSKISHHGGLYTLPHRRLVSTRVRLSFNEIPPNPSFDSSFDFQALYTKAESLLYTLADAAVAADPAAGSIDATPQKNGGWFGFISESMEFVLKVLKDGLSALHVPYSYGYAIILLTFIVKIATFPLTKQQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETSRLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGSGISWLIPFVDGQPPIGWHDTAAYLVLPVLLVVSQYVSMELMKPPQTDDPAQKNTLLVFKFLPLMIGYFSLSVPSGLSIYWFTNNVLSTAQQVWLRKLGGAKPVVDESASGIITAGRAKRSAAQPARPGDRFRQTKEEEKKKKVSKALPAEEVQTLDSASDSDEESGEETKGKVLGGLAFLSPETCYFILGL >EOY34081 pep chromosome:Theobroma_cacao_20110822:9:39888814:39894123:1 gene:TCM_041869 transcript:EOY34081 gene_biotype:protein_coding transcript_biotype:protein_coding description:63 kDa inner membrane family protein isoform 3 MARTLISSQPFIGTPLPSSKISHHGGLYTLPHRRLVSTRVRLSFNEIPPNPSFDSSFDFQALYTKAESLLYTLADAAVAADPAAGSIDATPQKNGGWFGFISESMEFVLKVLKDGLSALHVPYSYGYAIILLTFIVKIATFPLTKQQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETSRLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGSGISWLIPFVDGQPPIGWHDTAAYLVLPVLLVVSQYVSMELMKPPQTDDPAQKNTLLVFKFLPLMIGYFSLSVPSGLSIYWFTNNVLSTAQQVWLRKLGGAKPVVDESASGIITAGRAKRSAAQPARPGDRFRQTKEEEKKKKVSKALPAEEVQTLDSASDSDEESGEETKGKGDEAVKEAYASSASKQVPTISRPRRSKRSKRKRAV >EOY34084 pep chromosome:Theobroma_cacao_20110822:9:39886984:39893950:1 gene:TCM_041869 transcript:EOY34084 gene_biotype:protein_coding transcript_biotype:protein_coding description:63 kDa inner membrane family protein isoform 3 MARTLISSQPFIGTPLPSSKISHHGGLYTLPHRRLVSTRVRLSFNEIPPNPSFDSSFDFQALYTKAESLLYTLADAAVAADPAAGSIDATPQKNGGWFGFISESMEFVLKVLKDGLSALHVPYSYGYAIILLTFIVKIATFPLTKQQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETSRLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGSGISWLIPFVDGQPPIGWHDTAAYLVLPVLLVVSQYVSMELMKPPQTDDPAQKNTLLVFKFLPLMIGYFSLSVPSGLSIYWFTNNVLSTAQQVWLRKLGGAKPVVDESASGIITAGRAKRSAAQPARPGDSRFRQTKEEEKKKKVSKALPAEEVQTLDSASDSDEESGEETKGKGDEAVKEAYASSASKQVPTISRPRRSKRSKRKRAV >EOY34082 pep chromosome:Theobroma_cacao_20110822:9:39888814:39893518:1 gene:TCM_041869 transcript:EOY34082 gene_biotype:protein_coding transcript_biotype:protein_coding description:63 kDa inner membrane family protein isoform 3 MARTLISSQPFIGTPLPSSKISHHGGLYTLPHRRLVSTRVRLSFNEIPPNPSFDSSFDFQALYTKAESLLYTLADAAVAADPAAGSIDATPQKNGGWFGFISESMEFVLKVLKDGLSALHVPYSYGYAIILLTFIVKIATFPLTKQQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETSRLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGSGISWLIPFVDGQPPIGWHDTAAYLVLPVLLVVSQYVSMELMKPPQTDDPAQKNTLLVFKFLPLMIGYFSLSVPSGLSIYWFTNNVLSTAQQVWLRKLGGAKPVVDESASGIITAGRAKRSAAQPARPGDRFRQTKEEEKKKKVSKALPAEEVQTLDSASDSDEESGEETKGKVLGGLAFLSPETCYFILGL >EOY34083 pep chromosome:Theobroma_cacao_20110822:9:39886984:39895469:1 gene:TCM_041869 transcript:EOY34083 gene_biotype:protein_coding transcript_biotype:protein_coding description:63 kDa inner membrane family protein isoform 3 MARTLISSQPFIGTPLPSSKISHHGGLYTLPHRRLVSTRVRLSFNEIPPNPSFDSSFDFQALYTKAESLLYTLADAAVAADPAAGSIDATPQKNGGWFGFISESMEFVLKVLKDGLSALHVPYSYGYAIILLTFIVKIATFPLTKQQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETSRLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGSGISWLIPFVDGQPPIGWHDTAAYLVLPVLLVVSQYVSMELMKPPQTDDPAQKNTLLVFKFLPLMIGYFSLSVPSGLSIYWFTNNVLSTAQQVWLRKLGGAKPVVDESASGIITAGRAKRSAAQPARPGDRFRQTKEEEKKKKVSKALPAEEVQTLDSASDSDEESGEETKGKGDEAVKEAYASSASKQVPTISRPRRSKRSKRKRAV >EOY34080 pep chromosome:Theobroma_cacao_20110822:9:39888814:39894937:1 gene:TCM_041869 transcript:EOY34080 gene_biotype:protein_coding transcript_biotype:protein_coding description:63 kDa inner membrane family protein isoform 3 MARTLISSQPFIGTPLPSSKISHHGGLYTLPHRRLVSTRVRLSFNEIPPNPSFDSSFDFQALYTKAESLLYTLADAAVAADPAAGSIDATPQKNGGWFGFISESMEFVLKVLKDGLSALHVPYSYGYAIILLTFIVKIATFPLTKQQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETSRLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGSGISWLIPFVDGQPPIGWHDTAAYLVLPVLLVVSQYVSMELMKPPQTDDPAQKNTLLVFKFLPLMIGYFSLSVPSGLSIYWFTNNVLSTAQQVWLRKLGGAKPVVDESASGIITAGRAKRSAAQPARPGDRFRQTKEEEKKKKVSKALPAEEVQTLDSASDSDEESGEETKGKGDEAVKEAYASSASKQVPTISRPRRSKRSKRKRAV >EOY32220 pep chromosome:Theobroma_cacao_20110822:9:23508271:23512424:1 gene:TCM_039836 transcript:EOY32220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat/extensin 2 MSPPRPALLFILFLLVSTSFLSQICSAAGEDDELDVVVDPNLRFENPRLREAYIALQAWKSAIFSDPFNFTANWDGPDVCSYMGIYCAPSPSNPKIRVVAGIDLNHADIAGYLPPELGRLTDLALFHLNSNRFCGVVPASFRRMKLLHELDLSNNRFVGKFPNVVLSLPSLKYLDLRFNEFEGSVPSKLFDKQLDALFLNDNRFRFGIPENLGNSPVSVLVFANNDLGGCIPGSIGMMGKTLNEIILMNDNLTGCLPPQIGMLTELTVFDVSFNHLQGSLPSTIGNMKSLEQLNVAHNSFTGVIPANACQLPNLQNFTYSFNYFTGEPPSCSAISGGGKVTNGTMNCIPGKKGQRSSRECSSDAARPVNCSKFKCGGGGSSGGGGGGGGGGSSPSPIRRPPVPRAPAPRPSSSPRPFMKSPPPPSSKSSPSTRSHPPPPPSSHSSPMPPLHSPPSPPPPNYSHFTSPPPPSQRVSPKTHLPPPPPPVHYEPKQSPPPPLVEYGPKQSPPPPPSGHYYNTPPPPRNNYYNSPPPSHYYNTPPSPPPPSPVHYVPTPNQSTPPPPPPQVEYSPPHYEHRTPPPPPYPSKGQPVIPPPPPMEKYTPSPPPSHEHQGTQSPPPPVEYHHHPPPNEPCHPLPPPPPPPPECTTPVSPPPPPPSHHSTPSPYAPAPGHHHPTPSPPPEHQWHYPPSPQHQNPPPPSTHYSYSSPPPPSHPPPSPPPPPSFESTPLPPIRGVSYASPPPPVIPYY >EOY32941 pep chromosome:Theobroma_cacao_20110822:9:34582704:34586986:-1 gene:TCM_040958 transcript:EOY32941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease isoform 2 MSVSVPLQTPTSLISRTGPKLCFHNQKYLCPTSLKRKRNHLRYDRIPTPLRTFKCSSQRQSADQAQEYEFERLFSNLNQATLKREPGSLSSAIFLVAGTTVGAGILAIPAVTQESGFLASAVACILCWIFMVATGLLIAEVNVNTMCELGSGGVSLVSMARRTLGSVGVQIACWSYISIHYALLVAYVARSSDILTNYLGIPLWESATLFSLVLGGICYFGSQRFIGAINGILVLGIIASFAALVAVASGGLEWDSLLKANFEAVPMSIPIIALSFVYQNVVPVLCTNLEGNMSKVRTAIVLGTAIPLGLFLVWNAVILGSITNLEMGTDKMIDPLQQLRSSNGVVGVSSCLKDLSQTSD >EOY32943 pep chromosome:Theobroma_cacao_20110822:9:34583295:34586986:-1 gene:TCM_040958 transcript:EOY32943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease isoform 2 MSVSVPLQTPTSLISRTGPKLCFHNQKYLCPTSLKRKRNHLRYDRIPTPLRTFKCSSQRQSADQAQEYEFERLFSNLNQATLKREPGSLSSAIFLVAGTTVGAGILAIPAVTQESGFLASAVACILCWIFMVATGLLIAEVNVNTMCELGSGGVSLVSMARRTLGSVGVQIACWSYISIHYALLVAYVARSSDILTNYLGIPLWESATLFSLVLGGICYFGSQRFIGAINGILVLGIIASFAALVAVASGGLEWDSLLKANFEAVPMSIPIIALSFVYQVTSLTYNNLTFKLL >EOY32940 pep chromosome:Theobroma_cacao_20110822:9:34581160:34587273:-1 gene:TCM_040958 transcript:EOY32940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease isoform 2 MSVSVPLQTPTSLISRTGPKLCFHNQKYLCPTSLKRKRNHLRYDRIPTPLRTFKCSSQRQSADQAQEYEFERLFSNLNQATLKREPGSLSSAIFLVAGTTVGAGILAIPAVTQESGFLASAVACILCWIFMVATGLLIAEVNVNTMCELGSGGVSLVSMARRTLGSVGVQIACWSYISIHYALLVAYVARSSDILTNYLGIPLWESATLFSLVLGGICYFGSQRFIGAINGILVLGIIASFAALVAVASGGLEWDSLLKANFEAVPMSIPIIALSFVYQNVVPVLCTNLEGNMSKVRTAIVLGTAIPLGLFLVWNAVILGSITNLEMGTDKMIDPLQQLRSSNGVVGPIIEVFSLFAIATSYIGFVLGLSDFLADLLKLPTGENRPQPYLLTLIPPLGLALLDPEIFFKALDFAGTYGVLVLFGILPAAMSWSDRYSNSSNSSTSLKLPELVPGGRLTLTLVMGCAGGVILTEVLKNFGHP >EOY32942 pep chromosome:Theobroma_cacao_20110822:9:34582635:34587105:-1 gene:TCM_040958 transcript:EOY32942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease isoform 2 MSVSVPLQTPTSLISRTGPKLCFHNQKYLCPTSLKRKRNHLRYDRIPTPLRTFKCSSQRQSADQAQEYEFERLFSNLNQATLKREPGSLSSAIFLVAGTTVGAGILAIPAVTQESGFLASAVACILCWIFMVATGLLIAEVNVNTMCELGSGGVSLVSMARRTLGSVGVQIACWSYISIHYALLVAYVARSSDILTNYLGIPLWESATLFSLVLGGICYFGSQRFIGAINGILVLGIIASFAALVAVASGGLEWDSLLKANFEAVPMSIPIIALSFVYQVTSLTYNNLTFKLL >EOY34476 pep chromosome:Theobroma_cacao_20110822:9:41247089:41254285:1 gene:TCM_042146 transcript:EOY34476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 MDTDSSNSNDNNIRNINRRTQTLNSTNPISLRPLTILIILFITFIPHTALKTPPPLPILPLPSTPQLQWQLSSMALFFHFGPNTFTDSEWGTGHASPYVFNPTRLNASQWVHVAEEAGFSRVILTAKHHDGFCLWPSEYTDYSVKASPWRNGKGDVVAELAMAAKEAGIALGLYLSPWDRHENCFGKTVEYNEFYMGQMVELLSRYGDIKEVWLDGTKGEGEKDMEYYFDAWLSLIRQLQPGAVIFSDAGPDTRWIGNEDGVTGSSCWSLFNRSDAEIGGTDPQYCQRGDPHGHDWVPAECDVSIRPGWFWHASEVTKSALKLLDIYYRSAGRNCLLLLNVPPNSSGLISDEDIQVLQEFKELRRSIFSDNLAKNAILNASSVRGGNDNSQFSPYNVLEEGIYTYWAPEEDQSDWVLYLNLQESVSFNVLQVQEPIHMGQRIIEFNFEILNGGGWKKVISGTTVGYKRLLQFPIVQSQCLKFVISKSRAEPLISFLGIYMDRFSILSHASDTTS >EOY33965 pep chromosome:Theobroma_cacao_20110822:9:39504008:39508697:1 gene:TCM_041787 transcript:EOY33965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the outer envelope membrane of chloroplasts 75-III MPSFPAPSHLLSPSANLSSSTRRQLPPTSSSSSRASPRSSSIKCHLPFQNPKPQKRTSLLRSLSKPLTLASASAATLLIRITPIPTLLAGGGGENFGGSGGLSGGGGGGGGSGGGDGSSGNFWEKLFSPSPAIADDNNQTQEWDSHGLPANIVVQLNKLSGFKKYKLSDILFFDRRRWTTVGTEDSFFEMVSLRPGGIYTKTQLQKELETLATCGMFEKVDMEGKTNPDGTLGLTISFTESTWQSADRFRCINVGLMAQSKPIEMDSDMTDKEKLEYYKNQEKDYKRRIERARPCLLPVQVHREVLQMLRDQGKVSARLLQKIRDRVQKWYHDEGYACAQVVNFGNLNTKEVVCEVVEGDITQLVIQFQDKLGNVVEGNTQLPVVRRELPKQLRQGNVFNIEAGKQALRNINSLALFSNIEVNPRPDEKNEGGIIVEIKLKELDQKSAEVSTEWSIVPGRGGRPALASFQPGGTVSFEHRNLKGLNRSILGSLTTSNFFNPQDDLAFKLEYVHPYLDGVYNPRNRTFRASCFNSRKLSPVFTGGPGVDEVPPIWVDRAGVKANITENFTRQSKFTYGLVMEEITTRDESSHISPNGQRVLPSGGISADGPPTTLSGTGVDRMAFLQANITRDNTKFVNGAIVGERNVFQVDQGLGIGSKFPFFNRHQLTFTRFLQLKQVEEGANKPPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGELGAARNIIELGAELRIPVRNTHVYAFAEHGNDLGSSKDVKGNPTEVYRRMGHGSSYGVGVKLGLVRAEYAVDHNTGTGAVFFRFGERY >EOY29655 pep chromosome:Theobroma_cacao_20110822:9:1945584:1948935:-1 gene:TCM_037136 transcript:EOY29655 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain-containing protein isoform 1 MEFNLSPVLAFLALAVVVSHAALSPEQYWNYVLPNTPMPKAVKVLLHPGKGMEYMFYFMENKGTSVNVGGGGVNVNTGKGKPGGGTSVNVGGKGGGVSVNTGHKGKPVYVNPGGFIYNYAATETQVHDDPNVALFFLEKDMHPRATMTLHFTENTETATFLPRQIAQKIPFSSDKLPEILKKFSVKPGSAEAEIMKNTIKECEQPQIQGEDKYCATSLESMIDFSTSKIGKNAYAISTEVQKQTPEQKYTIAAGVHKMGGDKSVVCHKQNYAYAVFYCHKSETTRAYMVHLEGADGTKAKAVAICHTDTSAWNPKHLAFQVLKVEPGTIPICHFLPQDHIVWIPK >EOY29656 pep chromosome:Theobroma_cacao_20110822:9:1947085:1948995:-1 gene:TCM_037136 transcript:EOY29656 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain-containing protein isoform 1 MEFNLSPVLAFLALAVVVSHAALSPEQYWNYVLPNTPMPKAVKVLLHPDFMENKGTSVNVGGGGVNVNTGKGKPGGGTSVNVGGKGGGVSVNTGHKGKPVYVNPGGFIYNYAATETQVHDDPNVALFFLEKDMHPRATMTLHFTENTETATFLPRQIAQKIPFSSDKLPEILKKFSVKPGSAEAEIMKNTIKECEQPQIQGEDKYCATSLESMIDFSTSKIGKNAYAISTEVQKQTPEQKYTIAAGVHKMGGDKSVVCHKQNYAYAVFYCHKSETTRAYMVHLEGADGTKAKAVAICHTDTSAWNPKHLAFQVLKVEPGTIPICHFLPQDHIVWIPK >EOY29881 pep chromosome:Theobroma_cacao_20110822:9:2669259:2670539:-1 gene:TCM_037275 transcript:EOY29881 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYR1-like 2 MAKRATAMDSSQTLPQGLTREEYAELKPLIDTYHKFEPTPSTCTTLVTQRIDAPAQAVWPFIRSFENPQKYKHFIKSCNMSGDGSVGSIREVTVVSGIPASTSTERLEILDDEKHILSFRVVGGEHRLRNYKSVTSVNEFHKGGKVYTIVLESYIVDIPDGNTGEDTKMFVDTVVKLNLQKLGVVAMASLQGHD >EOY34606 pep chromosome:Theobroma_cacao_20110822:9:41572678:41573601:1 gene:TCM_042222 transcript:EOY34606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNAMSASPTDRIEETNYGSGSDSDTNHDDAPEYYQPISAVDDDDDEDQDGFGDRVNSDEEHHSQNLSNGYVNRVENGISSLHVGDVAAEEEEEEERVIEASDTAIRRAFREDESRRNAPLTPENAMRVREAMRGVSFAGLAPDWANEVPEDRWVDQLRRLRQPHRPSSTVQN >EOY29595 pep chromosome:Theobroma_cacao_20110822:9:1700069:1702227:-1 gene:TCM_037094 transcript:EOY29595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Temperature-induced lipocalin MEYLYLLKPPKNLTKICGICNKLGNASGEETQYQKVHGRWYEIAPFPSRFQPKDGMNARATYSLSEDGTVNVLNETWSGGKRAYIEGSAYKANPSSNEAKLKVKFYVPPFLLIIPVVGDYWVLYISGDYKYALVGQPSRQYLWILCRQNHLDDEIYNQLVQKAEGEGYDVSKLQKTRQTDPPPEEDKTSPEDTKGIWWIQSIFGK >EOY29461 pep chromosome:Theobroma_cacao_20110822:9:1248102:1250014:-1 gene:TCM_036984 transcript:EOY29461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein isoform 5 MSMMATNTIKNPVLPISDPPIDEQEEKERLLKRGDEMLFKGSAMSKRGAYAAVSYMSCAVLLILFNKAALSSYSFPCANVITLFQMISSCSFLYVLRRWKIISFSNNEYLTISDSKTSLVPLKTLIHTLPLAGAYLLYMLVSVESVRGVNVPMYTTLRRITVVFTMLVEFLLAGQKYTLSIIGSVGLIVLGAFVAGARDLSFDFYGYAVVFLANITTAIYLATIARIGKSSRLNSFGLMWCNGN >EOY29459 pep chromosome:Theobroma_cacao_20110822:9:1246521:1250199:-1 gene:TCM_036984 transcript:EOY29459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein isoform 5 MSMMATNTIKNPVLPISDPPIDEQEEKERLLKRGDEMLFKGSAMSKRGAYAAVSYMSCAVLLILFNKAALSSYSFPCANVITLFQMISSCSFLYVLRRWKIISFSNNEYLTISDSKTSLVPLKTLIHTLPLAGAYLLYMLVSVESVRGVNVPMYTTLRRITVVFTMLVEFLLAGQKYTLSIIGSVGLIVLGAFVAGARDLSFDFYGYAVVFLANITTAIYLATIARIGKSSRLNSFGLMWCNGIICGPVLLLWTFLHGDLKTTMNFPYLLSPGFLAVLILSCTLAFFLNYTIFLNTTLNSAVTQTICGNLKDLFTIGLGWILFGGLPFDILNVIGQLLGFVGSGLYAYYKLVGK >EOY29460 pep chromosome:Theobroma_cacao_20110822:9:1246220:1250022:-1 gene:TCM_036984 transcript:EOY29460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein isoform 5 MSMMATNTIKNPVLPISDPPIDEQEEKERLLKRGDEMLFKGSAMSKRGAYAAVSYMSCAVLLILFNKAALSSYSFPCANVITLFQMISSCSFLYVLRRWKIISFSNNEYLTISDSKTSLVPLKTLIHTLPLAGAYLLYMLVSVESVRGVNVPMYTTLRRITVVFTMLVEFLLAGQKYTLSIIGSVGLIVLGAFVAGARDLSFDFYGYAVVFLANITTAIYLATIARIGKSSRLNSFGLMWCNGSIICGPVLLLWTFLHGDLKTTMNFPYLLSPGFLAVLILSCTLAFFLNYTIFLNTTLNSAVTQTICGNLKDLFTIGLGWILFGGLPFDILNVIGQLLGFVGSGLYAYYKLVGK >EOY29457 pep chromosome:Theobroma_cacao_20110822:9:1246321:1250251:-1 gene:TCM_036984 transcript:EOY29457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein isoform 5 MSMMATNTIKNPVLPISDPPIDEQEEKERLLKRGDEMLFKGSAMSKRGAYAAVSYMSCAVLLILFNKAALSSYSFPCANVITLFQMISSCSFLYVLRRWKIISFSNNEYLTISDSKTSLVPLKTLIHTLPLAGAYLLYMLVSVESVRGVNVPMYTTLRRITVVFTMLVEFLLAGQKYTLSIIGSVGLIVLGAFVAGARDLSFDFYGYAVVFLANITTAIYLATIARIGKSSRLNSFGLMWCNGIICGPVLLLWTFLHGDLKTTMNFPYLLSPGFLAVLILSCTLAFFLNYTIFLNTTLNSAVTQTICGNLKDLFTIGLGWILFGGLPFDILNVIGQLLGFVGSGLYAYYKLVGK >EOY29458 pep chromosome:Theobroma_cacao_20110822:9:1246154:1250278:-1 gene:TCM_036984 transcript:EOY29458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein isoform 5 MSMMATNTIKNPVLPISDPPIDEQEEKERLLKRGDEMLFKGSAMSKRGAYAAVSYMSCAVLLILFNKAALSSYSFPCANVITLFQMISSCSFLYVLRRWKIISFSNNEYLTISDSKTSLVPLKTLIHTLPLAGAYLLYMLVSVESVRGVNVPMYTTLRRITVVFTMLVEFLLAGQKYTLSIIGSVGLIVLGAFVAGARDLSFDFYGYAVVFLANITTAIYLATIARIGKSSRLNSFGLMWCNGIICGPVLLLWTFLHGDLKTTMNFPYLLSPGFLAVLILSCTLAFFLNYTIFLNTTLNSAVTQTICGNLKDLFTIGLGWILFGGLPFDILNVIGQLLGFVGSGLYAYYKLVGK >EOY30103 pep chromosome:Theobroma_cacao_20110822:9:3389495:3391630:-1 gene:TCM_037427 transcript:EOY30103 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 1 MENANGWTSRPSRKRKLPWEGKDMLNFSLDELNEDLLERVLSWLPTSTFFRLSSVCKRWKSFAASESFKLACSRIPSREPWFFMVDPNLNQSVVFDSTEKAWKKLNHPPLLLRNCNCDSIPVAASGGLVCFRNMSGDYLVCNPVTGSCRELPPVDPDSQDRSLHAIAMNAYSNYHGSYKLVLVSGDLPKLSFKVYNSSADCWEEEIMLRRKADDCTEFDSTDDDAVYFLSNAGTVVATNTQRSPSKQYSSVITLKDGEEIVYFLSSSGTVVACNLTGEYFSEYPRLLPVFSEYSIDVVECRGEMLVVVLSEFFESASLRVWRFDEKTKSWNQIAAMPPPMSHEFYGKKVDINCVGAGDQIFICLSSAELCSYVLCDLVANDWVELPKCSMNGEALEFMSAFSFEPRIEASV >EOY30102 pep chromosome:Theobroma_cacao_20110822:9:3389495:3391903:-1 gene:TCM_037427 transcript:EOY30102 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 1 MENANGWTSRPSRKRKLPWEGKDMLNFSLDELNEDLLERVLSWLPTSTFFRLSSVCKRWKSFAASESFKLACSRIPSREPWFFMVDPNLNQSVVFDSTEKAWKKLNHPPLLLRNCNCDSIPVAASGGLVCFRNMSGDYLVCNPVTGSCRELPPVDPDSQDRSLHAIAMNAYSNYHGSYKLVLVSGDLPKLSFKVYNSSADCWEEEIMLRRKADDCTEFDSTDDDAVYFLSNAGTVVATNTQRSPSKQYSSVITLKDGEEIVYFLSSSGTVVACNLTGEYFSEYPRLLPVFSEYSIDVVECRGEMLVVVLSEFFESASLRVWRFDEKTKSWNQIAAMPPPMSHEFYGKKVDINCVGAGDQIFICLSSAELCSYVLCDLVANDWVELPKCSMNGEALEFMSAFSFEPRIEASV >EOY29275 pep chromosome:Theobroma_cacao_20110822:9:615748:618122:1 gene:TCM_036865 transcript:EOY29275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPGFGTLVGGSLDSCSCLRFGLLDFQTSYRQTAPKKKGALSYTLHWKIGEY >EOY30727 pep chromosome:Theobroma_cacao_20110822:9:5575520:5583781:-1 gene:TCM_037838 transcript:EOY30727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase family protein isoform 1 MAIFGARKFLSRSFSTLSPLPLRVCIVGSGPAGFYAAEKMLKTHQGSQVDIIDRLPTPYGLVRSGVAPDHPETKSVINQFSRVAQNGRCSFWGNITLGSSVSLAELRELYHVVVLAYGAESDRVLGIPGEDLKGVHSAREFVWWYNGHPDGTNLDPDLKSTDTAVILGQGNVALDVARILLRPTSELATTDIASHALTALEQSSIRKVYLIGRRGPVQAACTAKELREVLGIRDLCIDIKEADLKITPADEEEMKNSRIHRRIYELLSKAAIAGPSQPSSGQRELHFVFFRQPDRFLESYDNEGYVSAVHLEKTALKGVGPGKQIATGTGQFEDLACGIVLKSIGYKSVPVDGLPFDNQKGVVPNIKGRVLSDNSGDPTLLENGLYVCGWLKRGPTGIIGTNLYCAEETVASVSEDLEQGVLASTTSLPKLGREGLLQILDDRNVRVVPFSAWEKIDEEEKRLGSLINKPREKLTTWEELLKAATE >EOY30728 pep chromosome:Theobroma_cacao_20110822:9:5579973:5583725:-1 gene:TCM_037838 transcript:EOY30728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase family protein isoform 1 MAIFGARKFLSRSFSTLSPLPLRVCIVGSGPAGFYAAEKMLKTHQGSQVDIIDRLPTPYGLVRSGVAPDHPETKSVINQFSRVAQNGRCSFWGNITLGSSVSLAELRELYHVVVLAYGAESDRVLGIPGEDLKGVHSAREFVWWYNGHPDGTNLDPDLKSTDTAVILGQGNVALDVARILLRPTSELATTDIASHALTALEQSSIRKVYLIGRRGPVQAACTAKELREVLGIRDLCIDIKEADLKITPADEEEMKNSRIHRRIYELLSKAAIAGPSQPSSGQRELHFVFFRQPDRFLESYDNEGYVSAVHLEKTALKGVGPGKQIATGTGQFEDLA >EOY34587 pep chromosome:Theobroma_cacao_20110822:9:41523672:41526249:-1 gene:TCM_042207 transcript:EOY34587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin 4 MLLNKSCSFSPSSSFLRRENVGLSRPCFSLKYSNGLIHAVKYPQRKNGGVGGVILASKEANNRSVLDVVFEPFEEVKKELLLVPTVPQASLARHKYTGQCETAINEQINVEYSVSYVYHAMYAYFDRDNVALKGFARFFKDSSVEERQHAEKLMEYQNKRGGKVQLQSMLMPLSEFDHAEKGDALYAMELALSLEKLNNEKLLNLHKMANEVNDVHLADFVETEFLTEQVESIKKLSEYVSQLRRVGRGHGVWHFDQMLSHDLLAA >EOY33757 pep chromosome:Theobroma_cacao_20110822:9:38899613:38901762:1 gene:TCM_041647 transcript:EOY33757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10 family protein MVVNTSKREKKEKYDQKLCRLLDEYSQVLIVAADNVGSTQVQNIRKGLRGESVVLMGKNTMMKRSIRLHAENTNNEAIRNLIPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLIAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLSKLGIRPFSYGLVVLSVYDSGSVFSPEVLDLTEDDLVEKFANGVSMAASLSLAISYPTLAAAPHMFVNAYKNALAVAVASDYSFPQAEKVKEYLKDPSKFAVAVAAAAPASGAANQEKVEEREEPKELVEESEEEDLVAGLFD >EOY29283 pep chromosome:Theobroma_cacao_20110822:9:635721:638125:-1 gene:TCM_036871 transcript:EOY29283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 3 MGAITISSTFLSSNSHTVHVSSLLPSSVCSPSAKALDKKSSLQVVHKHGPCSQLHQDKANIPTHAEVLLQDEARVKSIHSRLGRKPGSSDVDETDAAQLPAKDGSVVGSGNYIVTVGLGTPKKGLSLVFDTGSDITWTQCQPCAKSCYKQRDPIFAPSQSSTYSNISCTSTACSSLTSATGNSPGCASSACVYGIQYGDSSFSVGFFAKEKLTLTPTDEFDNFLFGCGQNNQGLFGGSAGLLGLGRDQLSLPSQTASKYKKFFSYCLPSSASSDGFLAFGYGGGVSKSVKFTTLSTVSQGESFYGIDITGISVGGQKLSISASLFTTAGTIIDSGTVITRLPPTAYAALRSSFRQKMTQYPRAQALAILDTCYDFSK >EOY29284 pep chromosome:Theobroma_cacao_20110822:9:635250:637891:-1 gene:TCM_036871 transcript:EOY29284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 3 TFLSSNLLLLLLLLSLKQGYVLGRREAPADSHQLQHSHTVHVSSLLPSSVCSPSAKALDKKSSLQVVHKHGPCSQLHQDKANIPTHAEVLLQDEARVKSIHSRLGRKPGSSDVDETDAAQLPAKDGSVVGSGNYIVTVGLGTPKKGLSLVFDTGSDITWTQCQPCAKSCYKQRDPIFAPSQSSTYSNISCTSTACSSLTSATGNSPGCASSACVYGIQYGDSSFSVGFFAKEKLTLTPTDEFDNFLFGCGQNNQGLFGGSAGLLGLGRDQLSLPSQTASKYKKFFSYCLPSSASSDGFLAFGYGGGVSKSVKFTTLSTVSQGESFYGIDITGISVGGQKLSISASLFTTAGTIIDSGTVITRLPPTAYAALRSSFRQKMTQYPRAQALAILDTCYDFSKYSSVSIPKISFFFSGGVEVPIDAKGILYANSISQVCLAFAGNSDDTDIGIVGNTQQKTLQVVYDGAGGRIGFATGACS >EOY29281 pep chromosome:Theobroma_cacao_20110822:9:635001:638367:-1 gene:TCM_036871 transcript:EOY29281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 3 MGDPSCLYSHTLHRQGYVLGRREAPADSHQLQHSHTVHVSSLLPSSVCSPSAKALDKKSSLQVVHKHGPCSQLHQDKANIPTHAEVLLQDEARVKSIHSRLGRKPGSSDVDETDAAQLPAKDGSVVGSGNYIVTVGLGTPKKGLSLVFDTGSDITWTQCQPCAKSCYKQRDPIFAPSQSSTYSNISCTSTACSSLTSATGNSPGCASSACVYGIQYGDSSFSVGFFAKEKLTLTPTDEFDNFLFGCGQNNQGLFGGSAGLLGLGRDQLSLPSQTASKYKKFFSYCLPSSASSDGFLAFGYGGGVSKSVKFTTLSTVSQGESFYGIDITGISVGGQKLSISASLFTTAGTIIDSGTVITRLPPTAYAALRSSFRQKMTQYPRAQALAILDTCYDFSKYSSVSIPKISFFFSGGVEVPIDAKGILYANSISQVCLAFAGNSDDTDIGIVGNTQQKTLQVVYDGAGGRIGFATGACS >EOY29282 pep chromosome:Theobroma_cacao_20110822:9:635721:638125:-1 gene:TCM_036871 transcript:EOY29282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 3 MGDPSCLYSHTLHRGYVLGRREAPADSHQLQHSHTVHVSSLLPSSVCSPSAKALDKKSSLQVVHKHGPCSQLHQDKANIPTHAEVLLQDEARVKSIHSRLGRKPGSSDVDETDAAQLPAKDGSVVGSGNYIVTVGLGTPKKGLSLVFDTGSDITWTQCQPCAKSCYKQRDPIFAPSQSSTYSNISCTSTACSSLTSATGNSPGCASSACVYGIQYGDSSFSVGFFAKEKLTLTPTDEFDNFLFGCGQNNQGLFGGSAGLLGLGRDQLSLPSQTASKYKKFFSYCLPSSASSDGFLAFGYGGGVSKSVKFTTLSTVSQGESFYGIDITGISVGGQKLSISASLFTTAGTIIDSGTVITRLPPTAYAALRSSFRQKMTQYPRAQALAILDTCYDFSK >EOY29600 pep chromosome:Theobroma_cacao_20110822:9:1710928:1715517:1 gene:TCM_037097 transcript:EOY29600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein isoform 1 MESSRNKRGYEEGVGDADYLAEPKKPKLPALASVIVEALKVDSMQRLCSSLEPMLRRIVSEEVERALTRIGNAKLTGRSSPPRLQSADGRNLQLQFRTRMPPHLFTGGKVEGEQGAAIHVVLLDVITGTVVQTGPESAAKLNVVVLEGDFNEEADEDWSKEHFENYEVKERDGKRPLLTGELQVTLKEGVGTLGDLTFTDNSSWIRSRKFRLGLKIAPGFCEGIRVREAKTEAFAVKDHRGELYKKHYPPALHDEVWRLDRIAKDGALHKKLLKAEIVTVEDFLRLLVRDPQRLRNILGSGMSNRMWENTVEHAKTCVLGGKLYIYYTDQTNSTGVAFNHIYELRGFISDGQFLALESLNDSQKVFVDSLVKRAYENWHQVIEYEDTVLNSLASTKRTNPSTASINENNHDADYYTTTTQKTRQQYITSEPSPQCQNNNTHYAVPQLIEFPFGRSDQNAVMTMNNQKELLPGSTNYMQIGNSTAGVSGFAADWSRPRNGQGLEDFFAEEIRLRSSEMLESDDMQRLLKTFGVGVGMGAGFGHSDEACYTYTIPYDHQIDHSYAQERGRGSGKAVVGWLKLKAALSWLTKDWWLRNH >EOY29599 pep chromosome:Theobroma_cacao_20110822:9:1710936:1715490:1 gene:TCM_037097 transcript:EOY29599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein isoform 1 MESSRNKRGYEEGVGDADYLAEPKKPKLPALARRSSSFLSFLFFVCLKCQRRFSDFNKSESYGDGYVKNCVIVEALKVDSMQRLCSSLEPMLRRIVSEEVERALTRIGNAKLTGRSSPPRLQSADGRNLQLQFRTRMPPHLFTGGKVEGEQGAAIHVVLLDVITGTVVQTGPESAAKLNVVVLEGDFNEEADEDWSKEHFENYEVKERDGKRPLLTGELQVTLKEGVGTLGDLTFTDNSSWIRSRKFRLGLKIAPGFCEGIRVREAKTEAFAVKDHRGELYKKHYPPALHDEVWRLDRIAKDGALHKKLLKAEIVTVEDFLRLLVRDPQRLRNILGSGMSNRMWENTVEHAKTCVLGGKLYIYYTDQTNSTGVAFNHIYELRGFISDGQFLALESLNDSQKVFVDSLVKRAYENWHQVIEYEDTVLNSLASTKRTNPSTASINENNHDADYYTTTTQKTRQQYITSEPSPQCQNNNTHYAVPQLIEFPFGRSDQNAVMTMNNQKELLPGSTNYMQIGNSTAGVSGFAADWSRPRNGQGLEDFFAEEIRLRSSEMLESDDMQRLLKTFGVGVGMGAGFGHSDEACYTYTIPYDHQIDHSYAQERGRGSGKAVVGWLKLKAALRWGIFIRKRAAERRAQLVELD >EOY29601 pep chromosome:Theobroma_cacao_20110822:9:1711293:1715706:1 gene:TCM_037097 transcript:EOY29601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein isoform 1 MPPHLFTGGKVEGEQGAAIHVVLLDVITGTVVQTGPESAAKLNVVVLEGDFNEEADEDWSKEHFENYEVKERDGKRPLLTGELQVTLKEGVGTLGDLTFTDNSSWIRSRKFRLGLKIAPGFCEGIRVREAKTEAFAVKDHRGELYKKHYPPALHDEVWRLDRIAKDGALHKKLLKAEIVTVEDFLRLLVRDPQRLRNILGSGMSNRMWENTVEHAKTCVLGGKLYIYYTDQTNSTGVAFNHIYELRGFISDGQFLALESLNDSQKVFVDSLVKRAYENWHQVIEYEDTVLNSLASTKRTNPSTASINENNHDADYYTTTTQKTRQQYITSEPSPQCQNNNTHYAVPQLIEFPFGRSDQNAVMTMNNQKELLPGSTNYMQIGNSTAGVSGFAADWSRPRNGQGLEDFFAEEIRLRSSEMLESDDMQRLLKTFGVGVGMGAGFGHSDEACYTYTIPYDHQIDHSYAQERGRGSGKAVVGWLKLKAALSWLTKDWWLRNH >EOY34039 pep chromosome:Theobroma_cacao_20110822:9:39761120:39762999:1 gene:TCM_041841 transcript:EOY34039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWYLSRTPHSAPNVRPNDLPKFTLLNSIFPVSDSGIFEEISIVEVPNDMNGDVEQNLYSCNSWEWFAYQRSPMQFHSVAVPRISSYRIQVIAVFPDHFLCAKLAPGPQPHASLCCYSIMGNVLLDMPNMQVEDHNLIATAGALMDGWPYLAGGHKGDPSSMHKPRAFATGIAHNNKFNVIGGGAILKNSAEIHDPSSNSWQFLTSFVPEEADGFAVSSLKTRLLMLTWSDQLGIKLWLWIEMANPYLIGGWRLISCFPNQQIERGRRREHGARMVRVGQGLLIIAGDRHGPCQVDGMSAWPVLPPPMFMPRDGCEVVLKGYIYAFSFQSGIRINWRKISVYSI >EOY30036 pep chromosome:Theobroma_cacao_20110822:9:3150955:3155718:1 gene:TCM_037384 transcript:EOY30036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin oxidase MIACLERFVHDNDAESKPDDDVSSIGKSLDLQGSIESGGKTGIAGKDFGGLYSVRPLALIKPSGAEDIARVVKASSLTSHLTVAARGNGHSINGQAMADGGLVIDMRSTEENHFKFLRVNGSPYIDVSGGALWEDVLTRCVSTFGLAPRSWTDYLSLTVGGTLSNAGVSGQAFRYGPQTSNVTELEVVTGKGEISVCSETQNPELFFGVLGGLGQFGIITRARVKLQLAPDMVRWIRVVYSEFEDFTRDAEFLVTQKEGESFDYVEGFVFANNDDQVNGWSSVPLGPDQEFDPAHIPQTAGSVLYCLEVAFHYRNSDHPSTVDTAVSRLLGRLGFVRGLKFQVDVSYVEFLLRVKRAEEHAKANGIWDSPHPWLNLFVSKSDIVEFDRTVFKGMLKDGIGGPMLIYPLLRSKWDNRTSVALPGGEIFYIVALLRFVPKGPSVEKLVAQNHEIVQWCIKQGLGFKLYLPHYQSNEDWKRHFGNQWTRFVERKARFDPMAILAPGQKIFKRTHHNLN >EOY32125 pep chromosome:Theobroma_cacao_20110822:9:21602646:21603582:1 gene:TCM_039645 transcript:EOY32125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 2 member 2 precursor MALTFKQQFFLALALAFPFFQVAICGDPDITSDFLVPDGTNATQLDGKFFTYTGMRSLVTSDPPTTFTVTKATMAEFPTLNGQSVSYAVLQYPAGSVNPPHTHPRAAELLFLTYGTLEVGFVDTTNKLFTQRLQAGDMFVFPKGLVHYQFNCDEKDLAIAISAFGSAAAGTVSVPSTVFTTGIDDEILAKSFKTDDATIQKLKAGLAPKA >EOY33544 pep chromosome:Theobroma_cacao_20110822:9:37972010:37976793:1 gene:TCM_041492 transcript:EOY33544 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA delta(2)-isopentenylpyrophosphate transferase isoform 3 MEESSKAPARSLNPNGGGEPKKEEEKPKVVVIMGPTGSGKSRLAIDLATHFPIEIINADSMQVYQGLDVLTNKVPLHEQKGVPHHLLGTGSSNMEFNAKNFRDSAIPIISDILSRNCLPVIVGGTNYYIQALVSSFLFDDSAEDTDEIDSSSLPGNEEINHMPDFQTDSCNYSYDLLKQLDPVAANRIHPNNQRKINLYLSLYARSGVLPSQLYQGKAAEDRNGVELILLDIIAVLYVLMPPCLSWIDMWNTGDDETSDSSDGTPLKLSTRMDDKLLKENIRAILKSSSENPQKILLEEAIDKVKANTRRLVRRQKRRLNQLHKLFGWNINYVDATESISGKLDECWAVQVVGPAVKIIRSFLNEDDRTDSTLDGESKTIMGTVQRDLWTQYTCKACGDRVLRGAHEWEQHKQGRGHRKRISRLRKSQSFSLVEQHEEHLIL >EOY33543 pep chromosome:Theobroma_cacao_20110822:9:37971713:37976722:1 gene:TCM_041492 transcript:EOY33543 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA delta(2)-isopentenylpyrophosphate transferase isoform 3 MEESSKAPARSLNPNGGGEPKKEEEKPKVVVIMGPTGSGKSRLAIDLATHFPIEIINADSMQVYQGLDVLTNKVPLHEQKGVPHHLLGTGSSNMEFNAKNFRDSAIPIISDILSRNCLPVIVGGTNYYIQALVSSFLFDDSAEDTDEIDSSSLPGNEEINHMPDFQTDSCNYSYDLLKQLDPVAANRIHPNNQRKINLYLSLYARSGVLPSQLYQGKAAEVRQKWGRVDTSRYNCCFICVDAAMPVLDRYVEHRVDCMIDAGLLDEVYDIYNPNADYTLGLRQAIGVREFGNFLRVYLSDGRDDETSDSSDGTPLKLSTRMDDKLLKENIRAILKSSSENPQKILLEEAIDKVKANTRRLVRRQKRRLNQLHKLFGWNINYVDATESISGKLDECWAVQVVGPAVKIIRSFLNEDDRTDSTLDGESKTIMGTVQRDLWTQYTCKACGDRVLRGAHEWEQHKQGRGHRKRISRLRKSQSFSLVEQHEEHLIL >EOY33545 pep chromosome:Theobroma_cacao_20110822:9:37971716:37976958:1 gene:TCM_041492 transcript:EOY33545 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA delta(2)-isopentenylpyrophosphate transferase isoform 3 MEESSKAPARSLNPNGGGEPKKEEEKPKVVVIMGPTGSGKSRLAIDLATHFPIEIINADSMQVYQGLDVLTNKVPLHEQKGVPHHLLGTGSSNMEFNAKNFRDSAIPIISDILSRNCLPVIVGGTNYYIQALVSSFLFDDSAEDTDEIDSSSLPGNEEINHMPDFQTDSCNYSYDLLKQLDPVAANRIHPNNQRKINLYLSLYARSGVLPSQLYQGKAAEKWGRVDTSRYNCCFICVDAAMPVLDRYVEHRVDCMIDAGLLDEVYDIYNPNADYTLGLRQAIGVREFGNFLRVYLSDGRDDETSDSSDGTPLKLSTRMDDKLLKENIRAILKSSSENPQKILLEEAIDKVKANTRRLVRRQKRRLNQLHKLFGWNINYVDATESISGKLDECWAVQVVGPAVKIIRSFLNEDDRTDSTLDGESKTIMGTVQRDLWTQYTCKACGDRVLRGAHEWEQHKQGRGHRKRISRLRKSQSFSLVEQHEEHLIL >EOY30758 pep chromosome:Theobroma_cacao_20110822:9:5706382:5709566:-1 gene:TCM_037859 transcript:EOY30758 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO guanyl-nucleotide exchange factor 8, putative MVRTLTRRYSIQSSRSFHIGKMLENSRRQQQNLIADGGDDDEENVDDSLQSRSIDTRNAEDQSMEHQNIGESRPGNPQERPQSDMELMKERFAKLLLGEDMSGGGKGVSSALALSNAVTNLAASIFGEQGKLEPMAPERKARWRKEIDWLLSVTDHIVEFVPSEQKSKDGTSMEIMVTKQRGDLLVNIPGLRKLDTLLIETLDNFAQEKEFWYVSKNDDPENENSQRDDKWWHPIVKVPANGLSETSRRWLLSQKESVNQVLKAAMTINANVLSEMEVPESYIDSLPKNGRASLGDSIYKSITVEYFDPGQFLSTMDLSTEHKVLDLKNRIEASIVIWKRKMNQKDGRSSWGSGVSLEKRELFEERAETILLLLKQHFPGLPQSALDISKIQYNRDVGQAILESYSRILESLAHTVMSRIEDVLYADSLTQTSSPARSDMSSNTDEDAARLSTSETPTLSDFMGWDVGSARCNTSDQETHLKSDYEKKTSKPPFSPTPRKASYLDKLENLNGLRSPDARDL >EOY32694 pep chromosome:Theobroma_cacao_20110822:9:32882090:32886330:1 gene:TCM_040722 transcript:EOY32694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin:sulfite reductase isoform 2 MTTPFGTATSTVISNDPKIRVQSFTGLKSSHSLALTRNLRVFPVQFSSPSLIRAVSTPVKPETTTTEPKRSKVEIFKEQSNFIRYPLNEEILTDTPNINEAATQLIKFHGSYQQYNRDERGTRSYSFMLRTKNPGGKVPNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKNLKTVMSTIIKNMGSTLGACGDLNRNVLAPAAPLMTKEYLYAQETADNIAALLTPQSGFYYDVWVDGERFLTSEPPEVVKARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVSDVNGEPQGFNIYVGGGMGRTHRLEATFPRLAEPLGYVPKEDILYAIKAIVATQRDHGRRDDRKYSRMKYLISSWGIEKFRSVVEQYYGKKFEPFLELPEWEFKSHLGWHEQGDGALFCGLHVDNGRIGGKMKKTLRDVIEKYNLNVRITPNQNIILCDIRRAWRRPITTVLAQAGLLHPRYVDPLNLTAMACPAFPLCPLAITEAERGIPDILKRVRAVFEKVCWSQVQ >EOY32692 pep chromosome:Theobroma_cacao_20110822:9:32874868:32886802:1 gene:TCM_040722 transcript:EOY32692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin:sulfite reductase isoform 2 MTTPFGTATSTVISNDPKIRVQSFTGLKSSHSLALTRNLRVFPVQFSSPSLIRAVSTPVKPETTTTEPKRSKVEIFKEQSNFIRYPLNEEILTDTPNINEAATQLIKFHGSYQQYNRDERGTRSYSFMLRTKNPGGKVPNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKNLKTVMSTIIKNMGSTLGACGDLNRNVLAPAAPLMTKEYLYAQETADNIAALLTPQSGFYYDVWVDGERFLTSEPPEVVKARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVSDVNGEPQGFNIYVGGGMGRTHRLEATFPRLAEPLGYVPKEDILYAIKAIVATQRDHGRRDDRKYSRMKYLISSWGIEKFRSVVEQYYGKKFEPFLELPEWEFKSHLGWHEQGDGALFCGLHVDNGRIGGKMKKTLRDVIEKYNLNVRITPNQNIILCDIRRAWRRPITTVLAQAGLLHPRYVDPLNLTAMACPAFPLCPLAITEAERGIPDILKRVRAVFEKVGLKYNESVVVRITGCPNGCARPYMAELGLVGDGPNSYQIWLGGTPNQTQLARSFMNKVKVQDLEKVFEPLFYYWKRKRQPKESFGDFTTRKGFEKLKELVDKWEGPEQAPARYNLKLFADKETYEAMDELAKLQSKSAHQLAIEVIRNFVAAQQNGKSE >EOY32693 pep chromosome:Theobroma_cacao_20110822:9:32882090:32886194:1 gene:TCM_040722 transcript:EOY32693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin:sulfite reductase isoform 2 MTTPFGTATSTVISNDPKIRVQSFTGLKSSHSLALTRNLRVFPVQFSSPSLIRAVSTPVKPETTTTEPKRSKVEIFKEQSNFIRYPLNEEILTDTPNINEAATQLIKFHGSYQQYNRDERGTRSYSFMLRTKNPGGKVPNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKNLKTVMSTIIKNMGSTLGACGDLNRNVLAPAAPLMTKEYLYAQETADNIAALLTPQSGFYYDVWVDGERFLTSEPPEVVKARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVSDVNGEPQGFNIYVGGGMGRTHRLEATFPRLAEPLGYVPKEDILYAIKAIVATQRDHGRRDDRKYSRMKYLISSWGIEKFRSVVEQYYGKKFEPFLELPEWEFKSHLGWHEQGDGALFCGLHVDNGRIGGKMKKTLRDVIEKYNLNVRITPNQNIILCDIRRAWRRPITTVLAQAGLLHPRYVDPLNLTAMACPAFPLCPLAITEAERGIPDILKRVRAVFEKVCWSQVQ >EOY34136 pep chromosome:Theobroma_cacao_20110822:9:40011870:40014696:-1 gene:TCM_041899 transcript:EOY34136 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein, putative MTMKRGREIEAMDMANCLMLLSKVGQTDPSKHFQGRVFACKTCSKRFSSFQALGGHRASHKKPRLGGGDNLSEMPASPKKPKTHECSICGLEFAMGQALGGHMRRHRAASNEGLVTRDLLPEMKKSRDAESDLCLDLNLTPWGSDLELKLGKVAPAPVVHCFI >EOY30250 pep chromosome:Theobroma_cacao_20110822:9:3934226:3936981:1 gene:TCM_037523 transcript:EOY30250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVWKDFNKACWERRGKAKDWKIFKDQITNCSEKLDTGRKRFSTKYMSAGLTFCPGIFTSYRTVPGELPKTLMLRFNFWALLSSFKSNQRNKIWVVRIFENEGKE >EOY34504 pep chromosome:Theobroma_cacao_20110822:9:41325247:41328430:1 gene:TCM_042162 transcript:EOY34504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF966) [Source:Projected from Arabidopsis thaliana (AT2G28150) TAIR;Acc:AT2G28150] MFGSEMETRMKKYRQVSPERAKIWTEKSPKYYQHNRKVPVVYYLCRNRQLEHPHFIEVPLSSRDGLYLRDVIERLNILRGRGMASLYSWSCKRSYRNGFVWHDLSEDDLILPAHGNEYVLKGSELFEESSSDRFSPVGNSIRLQNLKQLPEPPSSSRSQDDSSSSSSLNGKRTKHSQDDELSSPVNRPTPGSSGASPESRYGKNSSWGCSLSLTEYKVYKSDGLADASTQTEENTSRPKTRETCTRGVSTDDGLLEPECNTNFQNQVSSPRVKDNSEICGNSVSPPPSTSSASSSGGKTETLESLIRADVNKISSFRILEEEEIRMPTNARLKATSMLMQLISCGSISVKDHSFGLVPTYRPRFSHSKFSSPLLPTSIMLGELDCLSENPRLMGLRLEDKEYFSGSLIETKMLKEEGDGRTTLKRSSSYNADSACKQLDSAEDKEELNLGRSKCIPRSIKASLSKQPRSESMRSPVSVKPRNSSDGMDNSRLVSSSISNGGSRRITEPIAGLIFYCFMLVDGVV >EOY34502 pep chromosome:Theobroma_cacao_20110822:9:41325386:41329466:1 gene:TCM_042162 transcript:EOY34502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF966) [Source:Projected from Arabidopsis thaliana (AT2G28150) TAIR;Acc:AT2G28150] MFGSEMETRMKKYRQVSPERAKIWTEKSPKYYQHNRKVPVVYYLCRNRQLEHPHFIEVPLSSRDGLYLRDVIERLNILRGRGMASLYSWSCKRSYRNGFVWHDLSEDDLILPAHGNEYVLKGSELFEESSSDRFSPVGNSIRLQNLKQLPEPPSSSRSQDDSSSSSSLNGKRTKHSQDDELSSPVNRPTPGSSGASPESRYGKNSSWGCSLSLTEYKVYKSDGLADASTQTEENTSRPKTRETCTRGVSTDDGLLEPECNTNFQNQVSSPRVKDNSEICGNSVSPPPSTSSASSSGGKTETLESLIRADVNKISSFRILEEEEIRMPTNARLKATSMLMQLISCGSISVKDHSFGLVPTYRPRFSHSKFSSPLLPTSIMLGELDCLSENPRLMGLRLEDKEYFSGSLIETKMLKEEGDGRTTLKRSSSYNADSACKQLDSAEDKEELNLGRSKCIPRSIKASLSKQPRSESMRSPVSVKPRNSSDGMDNSRLVSSSISNGGSRRITEPIAGEKQSKRLDSFREEEKVIKIEERLASGARVIIQSKAPCDTIVGCS >EOY34505 pep chromosome:Theobroma_cacao_20110822:9:41325611:41328294:1 gene:TCM_042162 transcript:EOY34505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF966) [Source:Projected from Arabidopsis thaliana (AT2G28150) TAIR;Acc:AT2G28150] MFGSEMETRMKKYRQVSPERAKIWTEKSPKYYQHNRKVPVVYYLCRNRQLEHPHFIEVPLSSRDGLYLRDVIERLNILRGRGMASLYSWSCKRSYRNGFVWHDLSEDDLILPAHGNEYVLKGSELFEESSSDRFSPVGNSIRLQNLKQLPEPPSSSRSQDDSSSSSSLNGKRTKHSQDDELSSPVNRPTPGSSGASPESRYGKNSSWGCSLSLTEYKVYKSDGLADASTQTEENTSRPKTRETCTRGVSTDDGLLEPECNTNFQNQVSSPRVKDNSEICGNSVSPPPSTSSASSSGGKTETLESLIRADVNKISSFRILEEEEIRMPTNARLKATSMLMQLISCGSISVKDHSFGLVPTYRPRFSHSKFSSPLLPTSIMLGELDCLSENPRLMGLRLEDKEYFSGSLIETKMLKEEGDGRTTLKRSSSYNADSACKQLDSAEDKEELNLGRSKCIPRSIKASLSKQPRSESMRSPVSVKPRNSSDGMDNSRLVSSSISNGGSRRITEPIAGEKQSKRLDSFREEEKVIKIEES >EOY34503 pep chromosome:Theobroma_cacao_20110822:9:41325386:41329466:1 gene:TCM_042162 transcript:EOY34503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF966) [Source:Projected from Arabidopsis thaliana (AT2G28150) TAIR;Acc:AT2G28150] MFGSEMETRMKKYRQVSPERAKIWTEKSPKYYQHNRKVPVVYYLCRNRQLEHPHFIEVPLSSRDGLYLRDVIERLNILRGRGMASLYSWSCKRSYRNGFVWHDLSEDDLILPAHGNEYVLKGSELFEESSSDRFSPVGNSIRLQNLKQLPEPPSSSRSQDDSSSSSSLNGKRTKHSQDDELSSPVNRPTPGSSGASPESRYGKNSSWGCSLSLTEYKVYKSDGLADASTQTEENTSRPKTRETCTRGVSTDDGLLEPECNTNFQNQVSSPRVKDNSEICGNSVSPPPSTSSASSSGGKTETLESLIRADVNKISSFRILEEEEIRMPTNARLKATSMLMQLISCGSISVKDHSFGLVPTYRPRFSHSKFSSPLLPTSIMLGELDCLSENPRLMGLRLEDKEYFSGSLIETKMLKEEGDGRTTLKRSSSYNADSACKQLDSAEDKEELNLGRSKCIPRSIKASLSKQPRSESMRSPVSVKPRNSSDGMDNSRLVSSSISNGGSRRITEPIAGEKQSKRLDSFREEEKVIKILNRLASGARVIIQSKAPCDTIVGCS >EOY34500 pep chromosome:Theobroma_cacao_20110822:9:41325198:41329509:1 gene:TCM_042162 transcript:EOY34500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF966) [Source:Projected from Arabidopsis thaliana (AT2G28150) TAIR;Acc:AT2G28150] MFGSEMETRMKKYRQVSPERAKIWTEKSPKYYQHNRKVPVVYYLCRNRQLEHPHFIEVPLSSRDGLYLRDVIERLNILRGRGMASLYSWSCKRSYRNGFVWHDLSEDDLILPAHGNEYVLKGSELFEESSSDRFSPVGNSIRLQNLKQLPEPPSSSRSQDDSSSSSSLNGKRTKHSQDDELSSPVNRPTPGSSGASPESRYGKNSSWGCSLSLTEYKVYKSDGLADASTQTEENTSRPKTRETCTRGVSTDDGLLEPECNTNFQNQVSSPRVKDNSEICGNSVSPPPSTSSASSSGGKTETLESLIRADVNKISSFRILEEEEIRMPTNARLKATSMLMQLISCGSISVKDHSFGLVPTYRPRFSHSKFSSPLLPTSIMLGELDCLSENPRLMGLRLEDKEYFSGSLIETKMLKEEGDGRTTLKRSSSYNADSACKQLDSAEDKEELNLGRSKCIPRSIKASLSKQPRSESMRSPVSVKPRNSSDGMDNSRLVSSSISNGGSRRITEPIAGEKQSKRLDSFREEEKVIKIEESLLQELGKEKETVPCLLPLQERAETALIPQLTYIDNRGKLFLHLTQGYRLKLGILCGSG >EOY34507 pep chromosome:Theobroma_cacao_20110822:9:41325247:41328430:1 gene:TCM_042162 transcript:EOY34507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF966) [Source:Projected from Arabidopsis thaliana (AT2G28150) TAIR;Acc:AT2G28150] MFGSEMETRMKKYRQVSPERAKIWTEKSPKYYQHNRKVPVVYYLCRNRQLEHPHFIEVPLSSRDGLYLRDVIERLNILRGRGMASLYSWSCKRSYRNGFVWHDLSEDDLILPAHGNEYVLKGSELFEESSSDRFSPVGNSIRLQNLKQLPEPPSSSRSQDDSSSSSSLNGKRTKHSQDDELSSPVNRPTPGSSGASPESRYGKNSSWGCSLSLTEYKVYKSDGLADASTQTEENTSRPKTRETCTRGVSTDDGLLEPECNTNFQNQVSSPRVKDNSEICGNSVSPPPSTSSASSSGGKTETLESLIRADVNKISSFRILEEEEIRMPTNARLKATSMLMQLISCGSISVKDHSFGLVPTYRPRFSHSKFSSPLLPTSIMLGELDCLSENPRLMGLRLEDKEYFSGSLIETKMLKEEGDGRTTLKRSSSYNADSWIQLKTKKS >EOY34501 pep chromosome:Theobroma_cacao_20110822:9:41325386:41329466:1 gene:TCM_042162 transcript:EOY34501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF966) [Source:Projected from Arabidopsis thaliana (AT2G28150) TAIR;Acc:AT2G28150] MFGSEMETRMKKYRQVSPERAKIWTEKSPKYYQHNRKVPVVYYLCRNRQLEHPHFIEVPLSSRDGLYLRDVIERLNILRGRGMASLYSWSCKRSYRNGFVWHDLSEDDLILPAHGNEYVLKGSELFEESSSDRFSPVGNSIRLQNLKQLPEPPSSSRSQDDSSSSSSLNGKRTKHSQDDELSSPVNRPTPGSSGASPESRYGKNSSWGCSLSLTEYKVYKSDGLADASTQTEENTSRPKTRETCTRGVSTDDGLLEPECNTNFQNQVSSPRVKDNSEICGNSVSPPPSTSSASSSGGKTETLESLIRADVNKISSFRILEEEEIRMPTNARLKATSMLMQLISCGSISVKDHSFGLVPTYRPRFSHSKFSSPLLPTSIMLGELDCLSENPRLMGLRLEDKEYFSGSLIETKMLKEEGDGRTTLKRSSSYNADSACKQLDSAEDKEELNLGRSKCIPRSIKASLSKQPRSESMRSPVSVKPRNSSDGMDNSRLVSSSISNGGSRRITEPIAGEKQSKRLDSFREEEKVIKIEESLLQELGL >EOY34506 pep chromosome:Theobroma_cacao_20110822:9:41325198:41329509:1 gene:TCM_042162 transcript:EOY34506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF966) [Source:Projected from Arabidopsis thaliana (AT2G28150) TAIR;Acc:AT2G28150] MFGSEMETRMKKYRQVSPERAKIWTEKSPKYYQHNRKVPVVYYLCRNRQLEHPHFIEVPLSSRDGLYLRDVIERLNILRGRGMASLYSWSCKRSYRNGFVWHDLSEDDLILPAHGNEYVLKGSELFEESSSDRFSPVGNSIRLQNLKQLPEPPSSSRSQDDSSSSSSLNGKRTKHSQDDELSSPVNRPTPGSSGASPESRYGKNSSWGCSLSLTEYKVYKSDGLADASTQTEENTSRPKTRETCTRGVSTDDGLLEPECNTNFQNQVSSPRVKDNSEICGNSVSPPPSTSSASSSGGKTETLESLIRADVNKISSFRILEEEEIRMPTNARLKATSMLMQLISCGSISVKDHSFGLVPTYRPRFSHSKFSSPLLPTSIMLGELDCLSENPRLMGLRLEDKEYFSGSLIETKMLKEEGDGRTTLKRSSSYNADSWIQLKTKKS >EOY29914 pep chromosome:Theobroma_cacao_20110822:9:2789425:2791193:-1 gene:TCM_037297 transcript:EOY29914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MTSVGHQRIVIQNNHGENLVGILHETGSKDLVIICHGFQSKKERIPMVSLATALEREGISAFRFDFAGNGESEGSFMYGNYRREAEDLRAVVQYFYKKERLLTAIIGHSKGGNVVLLYASKYNDVPAVINISGRFHLEKGMEGRLGKDFLQRIKQNGYIDVKNRKGKFEYRVTEESLMDRLTTDTHASCLLIDQNCRLQNASIDCFSNVHVMIRLDQLQCECHLPETNTLDI >EOY29913 pep chromosome:Theobroma_cacao_20110822:9:2788760:2791255:-1 gene:TCM_037297 transcript:EOY29913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MTSVGHQRIVIQNNHGENLVGILHETGSKDLVIICHGFQSKKERIPMVSLATALEREGISAFRFDFAGNGESEGSFMYGNYRREAEDLRAVVQYFYKKERLLTAIIGHSKGGNVVLLYASKYNDVPAVINISGRFHLEKGMEGRLGKDFLQRIKQNGYIDVKNRKGKFEYRVTEESLMDRLTTDTHASCLLIDQNCRVLTIHGTMDKIVPAKDALEFARFIRNHKLQLIEGADHEYTSHQDELATVVLDFIRAVREDKNIPQHFQSCKRGLDFIKSRI >EOY32990 pep chromosome:Theobroma_cacao_20110822:9:34768655:34773615:1 gene:TCM_040996 transcript:EOY32990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MWLLSKMGDCELPESVSLGKERPRQQTSNQDIIINFGENSSRLKGGDAKKGGFRACSFVFALGALENIGFVANMVSMVLYFHFMMKLDLPTSSNTLTNFLGSVCLLTLLGGFISDTYLNRLYTILIFGSLEVIGLSMVTIQAYSKDLRPDLLCQKDCVKGGLALMFYGSLSLLALGTGGVKGALPALGADQFDSKDPKGAKQIGSYFNWYLLSTTFGAVIGVSFVVWVSMNEAWYWGFFMGTMTAIIGFIAVALGKPFYRFPPLGNSPLLRIVQVIVVAMKNRRLSLPENSDELFEINDKDINQYDEKLSHTNQFRSLDKAAILPQETTPEPWKVCTVTQVEEVKVLTRMLPILASTIIMNTCMAQLQTFSVHQGFFMDPYIFGKKFPSASVPVIPLVFMIFLIPMYEFLLVPFARKITGHPSGITQLQRVGVGLVLSIISMGVAGLVEVKRRDQAIKDPYKPISLFWLSFQYGIFGLADMFTIVGLMEFFYKEAPSGMKSLATSFAWLSLSFGYFLSTAFVNIINTVTEKITPSKTGWIHGDNLNANNLNLFYWFLAVLSTLNFIIYLFCASWYKYKEDTAESETGTKSRYDDQVTQN >EOY30267 pep chromosome:Theobroma_cacao_20110822:9:4002806:4003876:1 gene:TCM_037534 transcript:EOY30267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPAPNDEEPTSWDELYNIDLMPSELFLKFIKEIQGFRVGVNLEEVREFFTRAYGDCIESLHMQEVPPDEQSLFARIVFRSESAIEIILSGMSKAKFTINGKHVWTRKFVPKRLKPSLPPTPQVNLPV >EOY33028 pep chromosome:Theobroma_cacao_20110822:9:35017481:35020265:1 gene:TCM_041036 transcript:EOY33028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAANLALGLKLLFIFQLNLVVFAADLYSRNDFPPGFVFGASTSAFQYEGAATENGGTPSIGDTFTPAGIMGASADVACDGYHKYKEDV >EOY29783 pep chromosome:Theobroma_cacao_20110822:9:2379210:2386154:1 gene:TCM_037217 transcript:EOY29783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase I protein MPELRSNARRNRASINPNQNPNPINNILPQPDTNDNKKKSKFTVRTRQGRTAQNRKQKDAIVAAVYENKLNDNDNKHNNIVSGAGLETTPFGRKQDKDIKELRVLREEVAEKKMDEYDSGGRSGDKGPGAEDEGSTAPLPEKVQVGGSPMYRIERKLGKGGFGQVYVGRRIGATGPGALEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRVHYKGRQGDYYVMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGTPGTPDEKKLFLVDLGLATRWRDSSSGQHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPAPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLIFQVGHKRGRLTMEEEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADVRLPQHIEKGNEDGLFISSVASCSNLWALIMDAGTGFTAQVYELSPSFLHKEWIMEQWEKNHYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWIHKKWREGFYVTAMATAGSRWAIVMSRGAPFSDQVVELDFLYPSEGIHRRWDSGYRITSTAATWDQAAFVLSIPRRKPADETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >EOY30702 pep chromosome:Theobroma_cacao_20110822:9:5487345:5489891:-1 gene:TCM_037823 transcript:EOY30702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 2 MDERLFEASRKGNTATLLQLLEEDPLILEDNSKHASVETPLHIASLHGHTDFVSNILRQKPGFAKVVNSKGYSPLHVACANGHVEIVKELLKVDGESDHELCRRKDKKGRTPMHLAVIRGRDRVVASLILAYPESVREVTDIGETILHLTVKSENGCKMLRGLLEGFKYKEMLNWKDEEGNTVLHLAAVRKQHEVIKLLLLQPELDVNAVNSNKLKALDILLKGPKQSNDQEIVEMLHLASTPKADNQELPEQSQADETVIDVDTKGKYSTSKMDTANSLEWLGELRSGLMVMAVLIATVTFEVALNPPGGLWQDGVPAGSNITRLMNSHRPGKAIAGETSPNSLTWFMVWDSIGFLASMSIIVVLTSPSKLKGNTTRWKYIRLMMWVVIASVHMVFLYGVQITTASNIFKRAVIAPFVFFYGVVGLLALRSGWSLLTEWCDLFKELWTKKNR >EOY30703 pep chromosome:Theobroma_cacao_20110822:9:5487573:5489553:-1 gene:TCM_037823 transcript:EOY30703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 2 MHLAVIRGRDRVVASLILAYPESVREVTDIGETILHLTVKSENGCKMLRGLLEGFKYKEMLNWKDEEGNTVLHLAAVRKQHEVIKLLLLQPELDVNAVNSNKLKALDILLKGPKQSNDQEIVEMLHLASTPKADNQELPEQSQADETVIDVDTKGKYSTSKMDTANSLEWLGELRSGLMVMAVLIATVTFEVALNPPGGLWQDGVPAGSNITRLMNSHRPGKAIAGETSPNSLTWFMVWDSIGFLASMSIIVVLTSPSKLKGNTTRWKYIRLMMWVVIASVHMVFLYGVQITTASNIFKRAVIAPFVFFYGVVGLLALRSGWSLLTEWCDLFKELWTKKNR >EOY30208 pep chromosome:Theobroma_cacao_20110822:9:3792863:3794504:1 gene:TCM_037491 transcript:EOY30208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGKRPRPMIGKLSELLVSGSKPGFLDLATSPRGPLDLKSPSPRGLKRFDVGGVGLGIVAALEKSSDSCRHAICSSNLNRSKAIVVSSGRNWDGLRGRCEDLEMESLENYTYVTSHGPGKSSTKVYYDGGERRRSSSGHDRNGFGAVKETTPAARFVEGDVVPTYPTSDFLSTCHLCRKKLHGKDIYMYRGEKAFCSTECRSTQIMMDERKEQCRSEASRSAKVPSSPYNTGQIFSTGILAI >EOY30571 pep chromosome:Theobroma_cacao_20110822:9:5006961:5007674:1 gene:TCM_037731 transcript:EOY30571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPTFVSFFFGGGGRGGDGGEFDRTRGVVLLFWRKQKGHDGCTLRTPRDMGNDSWHHLRVSLHPPPLCIEIRVILTLAFFI >EOY31945 pep chromosome:Theobroma_cacao_20110822:9:18096510:18101370:-1 gene:TCM_039314 transcript:EOY31945 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MVGKVFSNVASNYDIMNDLMSAGLHRLWKDRLVSKLSPFPGMKHLDVAGGTGDVAFRILESISSIRRRALQDPLNDGLQEETQIYVCDINPNMLNVGKKRAVERGLGEDKSLTWVQGDAEVLSFGDNSMDGYTIAFGIRNVTHIEKVLDEANRVLKRGGRFLCLELSHVEVPVFKELYDFYSFSVIPQLGELVAGDRESYQYLVESIRRFPPQEKFASMIAEAGFQKVEYENLVGGVVAIHSGLKI >EOY31944 pep chromosome:Theobroma_cacao_20110822:9:18096510:18102358:-1 gene:TCM_039314 transcript:EOY31944 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MALRLVTNNFRSKISSMLSSASLLHSHATSFGFKEVREEEKSQMVGKVFSNVASNYDIMNDLMSAGLHRLWKDRLVSKLSPFPGMKHLDVAGGTGDVAFRILESISSIRRRALQDPLNDGLQEETQIYVCDINPNMLNVGKKRAVERGLGEDKSLTWVQGDAEVLSFGDNSMDGYTIAFGIRNVTHIEKVLDEANRVLKRGGRFLCLELSHVEVPVFKELYDFYSFSVIPQLGELVAGDRESYQYLVESIRRFPPQEKFASMIAEAGFQKVEYENLVGGVVAIHSGLKI >EOY31943 pep chromosome:Theobroma_cacao_20110822:9:18092661:18102371:-1 gene:TCM_039314 transcript:EOY31943 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MALRLVTNNFRSKISSMLSSASLLHSHATSFGFKEVREEEKSQMVGKVFSNVASNYDIMNDLMSAGLHRLWKDRLVSKLSPFPGMKHLDVAGGTGDVAFRILESISSIRRRALQDPLNDGLQEETQIYVCDINPNMLNVGKKRAVERGLGEDKSLTWVQGDAEVLSFGDNSMDGYTIAFGIRNVTHIEKVLDEANRVLKRGGRFLCLELSHVEVPVFKELYDFYSFSVIPQLGELVAGDRESYQYLVESIRRFPPQEKFASMIAEAGFQKVEYENLVGGVVAIHSGLKI >EOY32838 pep chromosome:Theobroma_cacao_20110822:9:34018155:34025123:-1 gene:TCM_040861 transcript:EOY32838 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MDLATTVAGKVVDFSMNHIIRQTGYIFCYKSKAQNLELKVQDLIAARERVQSSVNAATRKGEEILQNVKNWQDRASMIAQEPENGSEVEGESNKDKAKCFFGLCPNLKSRYELSKKADEQAGAIVKLLEQEGKIHGKVSHPFDPQEIWAPSSKSYVALESRKFMLNEILGALKDANLERIGVYGKPGVGKTTLVKQVANEAKADKLFDVVALAVVTKTPDVRKIQGEIADFLGLKFDEESVAGRAIRLSIRLRKERKILVILDDIWTSLKLDEVGIAFGDHEHRGCKVLITSKDPDVLHGMHANRHFRVDALKEAEAWNLFKKTAGDIVEDPHVQSKAIDACRRCAGLPIAIVPTAKALKNRTPPEWENALKQLKSPLQTMPADPRSAIELSFNHLANEDLKSAFLLCSLMPYNATIFDLLKYGMALGLLQGIETMEEARQRLQRLVQNLKSSCLLLDGRMAEEFTMHDVIRDIAASIASRDRHMFFMRNEIGPRELPDAGMLKKCSAISLIYNDFINLPDELDCPQLKYFQLYNKNSALKIPDQFFMRTKALEVLDLKGLQLLSLPSSLSLLEDLLTLCLESCLLQDLSMVGKLKKLGILSLYSSIIEELPKEIGQLTQLRLLNLDSCSELRVIPPNVISNLSQLEELYIGNSFARWGDEQRAAGHASLGELKHLPCLTSLNLQIPDSRNMPKELFSEKLQRFRILIGETWDWSDKHETSRMLKLKLTEGIRINYGVQMLLKKTEDLYLDELKRVRNVLYELDDTGKGFPQLKHLHVQNGSEMKHIINSIEAPTLEAFPVLESLCLQNLINLEKICNGPLKKQPFEKLRVVKVRSCHRLKNLFSFSVARGLLQLQEIEMVDCKDMVEIIAEGGESDIGKNGATTKIEFRQLQLLTLKQVPKLISFNTSSTTMALFNQKVTFPNLQDLKLSSISTSQIWHAQLLSVPSCFQNLTTMTVEGCGNLKFVLSSSTVKNLKQLIHLEISECKLIEEIIEEITGQEGMEEISFPKLNSLKMKGLPKLARFCSAKGVEFPSLKQLQIEYCPKLETFVSKFVKKEMRAMKGRQEMVLGIQPLFNEKVAFPSLEKLTISHLKSLTMMWNNQLPEDSFCKLKTMEVAYCEKLQTIFPFSMVRRFQTLETLVINDAGSLEEVFEVQGLYVEENEAEAAVPLKKLYMYNLPKLKHVWSEDPKGTATFQNLNFVYAFGCHSLKYLFPASVARGLQQLEKVEIDASAVEEIVAKDETPQPETRFLFTELSFLRLWNLYKLKNFYPGMHSVEWPALKKFVSYHCGDLKTFTSELLSIEETSRVSQPLFLVEKVVPNLEELSLNSDDISILSHEVFPANLFSKIKVLQVHCYHQDSAIFPFRFIQKFTNLEKLDIGCCEFRDLFPSGEVEDEENHPRTLARVRSLKLVSLPNLSHIWQLSSRADLVLPLLEALVISHCSNLVNLAPSASSFSYLTTLDVWNCHGPENIIASSTAKSLVQLTRMSIRECNKVTAIIVDDEEEETPKEIIFSNLVCLELNGLPSLLYFSSGSSALKFPSLEDVTVKQCPNLRYFHWGELSTPKLHKVWLTEEKDRSCWEGDLNAIATSYDTSGRMISGTRDYDHLEKQAASYSIRDE >EOY30396 pep chromosome:Theobroma_cacao_20110822:9:4489781:4492798:1 gene:TCM_037623 transcript:EOY30396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 4A1 isoform 1 MAGAAPEGSQFDARQFDSKMNDFLLADGQDVIASWDEVYDTFDAMGLLQNLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYSLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRKSLRADHIKMFVLDEADEMLSRGFKDQIYDIFQLLPEKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFHVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVIRDDERMLFDIQKFYNVIIEELPANVADLL >EOY30395 pep chromosome:Theobroma_cacao_20110822:9:4489962:4492789:1 gene:TCM_037623 transcript:EOY30395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 4A1 isoform 1 MAGAAPEGSQFDARQFDSKMNDFLLADGQDVIASWDEVYDTFDAMGLLQNLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYSLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRKSLRADHIKMFVLDEADEMLSRGFKDQIYDIFQLLPEKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFHVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVIRDDERMLFDIQKFYNVIIEELPANVADLL >EOY32430 pep chromosome:Theobroma_cacao_20110822:9:29159151:29161670:1 gene:TCM_040344 transcript:EOY32430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDDLKCTNLVKLSILYCVKVVSPPIKARKLHPRTSRQSASKVCILDIVQYRL >EOY34494 pep chromosome:Theobroma_cacao_20110822:9:41312812:41313420:-1 gene:TCM_042159 transcript:EOY34494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAAPLLLCLLDYHGISSFLARLTSWLSLETMLVLNLLTMFILSQFGSIKEKRHYVIVLIPELALVGLTHGLALVNDVTDKRKGKSGSKNLLG >EOY30283 pep chromosome:Theobroma_cacao_20110822:9:4064452:4075091:1 gene:TCM_037549 transcript:EOY30283 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN domain-containing protein isoform 2 MSKSEDSGSPGWSASFFMQTTEDVARAVAAAAAAATAVRSPRPSAVYSSRDENGGSQFQKLHRHVSRVLKGFSQPPEVRSGTYNPEVLTSQKRQWASFQLQYLDHRALKEPSRLFESMVVVGLPPNCDIQALQRQYVTRKFEGSGKLRNALSYQNNSRVEPNLEPQVLFVYPPEKQLPLKYKDLLSFCFPGGVEVHAIEKTPSMSELNEILLSQEHLKQSDLSFVFRLQVADDSTLYGCCVLVEEIVQKPSGLLSLISDKQPAYPSLSRYVMTTRRCYCILSRLPFFELHFGVLNSIFDEERLERLTKSIGDIDLEMSESYSNEANVDDVSTDQGALEDIQDTTIEASESSSGDSKLGGTDDGNSLEHQMLERDLDVNKAVNHDPVVPIDLETDMFASKKESSGTNPEDCDTDVDDFTTNKQAAERRLPNAVLPLLRYYQYESSESSCRFNVCNAPICLVGYTYLWMLIRFQGSPCEDRNLRSDVDDTETEEASISGQEDSSDHLDIVEWAKANNHGSLQILCEYYQLPCPARGSTLRFHPLEHLHPLEYHRPDEKVLHIAGSTVDLRSCSTSLEFSEAHTALLAEEEATALSTWAVACMCGSLRLEHVLTIFAGALLEKQIVVVCSNLGILSATVLSIVPLIRPYQWQSLLMPVLPDDMLDFLDAPVPYIVGVKNKTSEVQSKLANVILVDANKNQIKASTIPQLPQHRELFACLSPYHAKLVGESYLGRKRPVYECTDVQIEAAKGFLSVLRSYLDSLCSNMRSHTITNVQSNNDKVSLLLKESFIDSFPSRDRPFMKLFVDTQLFSVHTDLVLSFIQKE >EOY30284 pep chromosome:Theobroma_cacao_20110822:9:4064855:4075053:1 gene:TCM_037549 transcript:EOY30284 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN domain-containing protein isoform 2 MSKSEDSGSPGWSASFFMQTTEDVARAVAAAAAAATAVRSPRPSAVYSSRDENGGSQFQKLHRHVSRVLKGFSQPPEVRSGTYNPEVLTSQKRQWASFQLQYLDHRALKEPSRLFESMVVVGLPPNCDIQALQRQYVTRKFEGSGKLRNALSYQNNSRVEPNLEPQVLFVYPPEKQLPLKYKDLLSFCFPGGVEVHAIEKTPSMSELNEILLSQEHLKQSDLSFVFRLQVADDSTLYGCCVLVEEIVQKPSGLLSLISDKQPAYPSLSRYVMTTRRCYCILSRLPFFELHFGVLNSIFDEERLERLTKSIGDIDLEMSESYSNEANVDDVSTDQGALEDIQDTTIEASESSSGDSKLGGTDDGNSLEHQMLERDLDVNKAVNHDPVVPIDLETDMFASKKESSGTNPEDCDTDVDDFTTNKQAAERRLPNAVLPLLRYYHFQGSPCEDRNLRSDVDDTETEEASISGQEDSSDHLDIVEWAKANNHGSLQILCEYYQLPCPARGSTLRFHPLEHLHPLEYHRPDEKVLHIAGSTVDLRSCSTSLEFSEAHTALLAEEEATALSTWAVACMCGSLRLEHVLTIFAGALLEKQIVVVCSNLGNLICYSFVHCPPNPSIPMAKPLDAGFAR >EOY30285 pep chromosome:Theobroma_cacao_20110822:9:4064418:4071163:1 gene:TCM_037549 transcript:EOY30285 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN domain-containing protein isoform 2 MSKSEDSGSPGWSASFFMQTTEDVARAVAAAAAAATAVRSPRPSAVYSSRDENGGSQFQKLHRHVSRVLKGFSQPPEVRSGTYNPEVLTSQKRQWASFQLQYLDHRALKEPSRLFESMVVVGLPPNCDIQALQRQYVTRKFEGSGKLRNALSYQNNSRVEPNLEPQVLFVYPPEKQLPLKYKDLLSFCFPGGVEVHAIEKTPSMSELNEILLSQEHLKQSDLSFVFRLQVADDSTLYGCCVLVEEIVQKPSGLLSLISDKQPAYPSLSRYVMTTRRCYCILSRLPFFELHFGVLNSIFDEERLERLTKSIGDIDLEMSESYSNEANVDDVSTDQGALEDIQDTTIEASESSSGDSKLGGTDDGNSLEHQMLERDLDVNKAVNHDPVVPIDLETDMFASKKESSGTNPEDCDTDVDDFTTNKQAAERRLPNAVLPLLRYYQYESSESSCSFQGSPCEDRNLRSDVDDTETEEASISGQEDSSDHLDIVEWAKANNHGSLQILCEYYQLPCPARGSTLRFHPLEHLHPLEYHRPDEKVLHIAGSTVDLRSCSTSLEFSEAHTALLAEEEATALSTWAVACMCGSLRLEHVLTIFAGALLEKQIVVVCSNLGILSATVLSIVPLIRPYQWQSLLMP >EOY32435 pep chromosome:Theobroma_cacao_20110822:9:29343146:29369185:1 gene:TCM_040356 transcript:EOY32435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 12 isoform 1 MSSSRVSDQSQPLRRITRTQTAGNLGETAFDSEVVPSSLSEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLQGRVKKSDAREMQSFYQHYYKKYIQALTSAADKADRAQLTKAYQTANVLFEVLKAVNLTQSIEVDREILEAQDKVAEQTQILVPYNILPLDPESANQAIMQYSEIRAAVYALRNTRGLPWPKDHRRKKDEDILDWLQEMFGFQKDNVANQREHLILLLANVHIRQFPKPDQQPKLDDHALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLKKVVTPIYDVIWREAERSKRGQSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFSRPIDQLREKNGDNKPSTNDRWMGKVNFVEIRSFWHVFRSFDRMWSFFILSLQAMIIIAWHGSGQPSSIFRGDLFKKVLSVFITAAILKLGQAVLDVILSWKAQQSMSFHVKLRYILKVLSAAAWVIVLPVTYAYTWDDPSGFARTIQSWFGNTSNSPSLFILAVVIYLSPNMLAAMLFLFPFIRRFLESSHYKIVMLMMWWSQPRLYVGRAMHESTFSLFKYTMFWVLLIITKLTFSYYIEIKPLVGPTKAVMSVRISKFQWHEFFPRAKNNIGVVIALWAPIILVYFMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNARLIPEDLSKKKRKGVWGFFSRSFGQPPSNKEKGAAKFAQLWNKIISSFRQEDLISNKEMNLLLVPYWADRDLEQIQWPPFLLASKIPIALDMAKDSDSRDKELQKRIEADPYMFCAIGECYASFRSIIKFLVEGPREKEVINDIFSKVDKRIEDGSLIMAYKMSALPSLYDHIVKLIKFLLENKQEERGQVVLCFQDMLETVTKDIMTEDEISSLVDSIHGGSGHEGMILLDQHYQLFDQKKLDQLFASAGAIKFPISPVTEAWKEKINRLYLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPAAPKVRNMLSFSVLTPYYTEEVLFSLQELENPNEDGVSILFYLQKIFPDEWNNFLERVKCSSEEELKESPELEEHLRLWASYRGQTLTRTVRGMMYYREALELQAFLDMAKHEDLMEGYKAIELSTEDNKEDRSLKVQCEAVADMKFTYVVSCQLYGIQKRSGDQRAQDILRLMTKYPSLRVAYIDEVEQRNEDRLKKLNGKVNYFSVLVRAVPKSSDSSEPVQNLDQEIYRIKLPGPAILGEGKPENQNHAIIFSRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTKHDGVRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFNTLITVLTVYVFLYGRLYLVLSGLEQGLSEQPAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFADNYRLYSRSHFVKGIEMMILLLVYQIFGHTYRSAVAYVLITVSLWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWINNRGGIGVPPEKSWESWWEEEQEHLQYSGKRGIIAEILLALRFFIYQYGLVYHLNVIKENRSFLIYGASWLVIVLILFVMKTVSVGRRKFSASYQLVFRLIKGLIFLTFVAILVTLIALPHMTLQDIIVCILAFMPTGWGILLIAQALRPFVKKAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKDRTSRNKE >EOY32436 pep chromosome:Theobroma_cacao_20110822:9:29343589:29369226:1 gene:TCM_040356 transcript:EOY32436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 12 isoform 1 MSSSRVSDQSQPLRRITRTQTAGNLGETAFDSEVVPSSLSEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLQGRVKKSDAREMQSFYQHYYKKYIQALTSAADKADRAQLTKAYQTANVLFEVLKAVNLTQSIEVDREILEAQDKVAEQTQILVPYNILPLDPESANQAIMQYSEIRAAVYALRNTRGLPWPKDHRRKKDEDILDWLQEMFGFQKDNVANQREHLILLLANVHIRQFPKPDQQPKLDDHALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLKKVVTPIYDVIWREAERSKRGQSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFSRPIDQLREKNGDNKPSTNDRWMGKVNFVEIRSFWHVFRSFDRMWSFFILSLQAMIIIAWHGSGQPSSIFRGDLFKKVLSVFITAAILKLGQAVLDVILSWKAQQSMSFHVKLRYILKVLSAAAWVIVLPVTYAYTWDDPSGFARTIQSWFGNTSNSPSLFILAVVIYLSPNMLAAMLFLFPFIRRFLESSHYKIVMLMMWWSQPRLYVGRAMHESTFSLFKYTMFWVLLIITKLTFSYYIEIKPLVGPTKAVMSVRISKFQWHEFFPRAKNNIGVVIALWAPIILVYFMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNARLIPEDLSKKKRKGVWGFFSRSFGQPPSNKEKGAAKFAQLWNKIISSFRQEDLISNKEMNLLLVPYWADRDLEQIQWPPFLLASKIPIALDMAKDSDSRDKELQKRIEADPYMFCAIGECYASFRSIIKFLVEGPREKEVINDIFSKVDKRIEDGSLIMAYKMSALPSLYDHIVKLIKFLLENKQEERGQVVLCFQDMLETVTKDIMTEDEISSLVDSIHGGSGHEGMILLDQHYQLFDQKKLDQLFASAGAIKFPISPVTEAWKEKINRLYLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPAAPKVRNMLSFSVLTPYYTEEVLFSLQELENPNEDGVSILFYLQKIFPDEWNNFLERVKCSSEEELKESPELEEHLRLWASYRGQTLTRTVRGMMYYREALELQAFLDMAKHEDLMEGYKAIELSTEDNKEDRSLKVQCEAVADMKFTYVVSCQLYGIQKRSGDQRAQDILRLMTKYPSLRVAYIDEVEQRNEDRLKKLNGKVNYFSVLVRAVPKSSDSSEPVQNLDQEIYRIKLPGPAILGEGKPENQNHAIIFSRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTKHDGVRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFNTLITVLTVYVFLYGRLYLVLSGLEQGLSEQPAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFADNYRLYSRSHFVKGIEMMILLLVYQIFGHTYRSAVAYVLITVSLWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWINNRGGIGVPPEKSWESWWEEEQEHLQYSGKRGIIAEILLALRFFIYQYGLVYHLNVIKENRSFLIYGASWLVIVLILFVMKTVSVGRRKFSASYQLVFRLIKGLIFLTFVAILVTLIALPHMTLQDIIVCILAFMPTGWGILLIAQALRPFVKKAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKDRTSRNKE >EOY34247 pep chromosome:Theobroma_cacao_20110822:9:40460701:40466530:-1 gene:TCM_041985 transcript:EOY34247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 7, putative isoform 1 MCHEQQEARNGVVSEGQGLGSSEEDESRVVERTVNVNNGSLGAIEARDGLQIPQQQPQGSMILWERFLPIRSLKVLLVENDDSTRHVVSALLRNCSYEVTAVANDLQAWKVLEDLTNHVDIVLTEVAIPVLSGIGLLYKIMSHKTFKNIPVIMMSSHDSISLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQSKRYIKSKSNDESENYAGSSDEHDNKSDDLIVHDGSENGSGTQGSWTKRAAEAESSQPMSSSNQLPDAPDSTCAQVVHLKPEKCRIQWVCVTKAKECKEQHQLVDDDAEGKDVELRVKRNPELQSGHQHENLPTHQESIKQNGLPEAECKQFDSRQLEHQNENIAGKDRTPNVISAISSCLNQQAESRDSDAPNGPSYIPEVKDGTCHGSGETPSLELTLKRLQGAADSQIAANDEHNVLRHSDLSAFSKYSTASYANQVPTGNVGSCSPLDNSSITMKTETVHTFASQSNGILLNQPSIGSSNKNDVITAAKCASSKPEALNDKCGAMSAFKHSHSSSFQPMQNGHICSSHDVLTEKADDTGFKTAHSQSRSSNQVFHVQHQCHLHQVEKEQHQLQPDHDTSLKIMAVTAPQCGSFNVFEGPSECNIMNYSVNGSASGSNYGSNGPNGSSTALNAEQAIMESENGAAGAMSGRSSGSGADEDRVAQRVAALTKFRQKRKERCFEKRGQIPEQEKAGRTTTSCQGTVCAAASV >EOY34248 pep chromosome:Theobroma_cacao_20110822:9:40460022:40466423:-1 gene:TCM_041985 transcript:EOY34248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 7, putative isoform 1 MCHEQQEARNGVVSEGQGLGSSEEDESRVVERTVNVNNGSLGAIEARDGLQIPQQQPQGSMILWERFLPIRSLKVLLVENDDSTRHVVSALLRNCSYEVTAVANDLQAWKVLEDLTNHVDIVLTEVAIPVLSGIGLLYKIMSHKTFKNIPVIMMSSHDSISLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQSKRYIKSKSNDESENYAGSSDEHDNKSDDLIVHDGSENGSGTQGSWTKRAAEAESSQPMSSSNQLPDAPDSTCAQVVHLKPEKCRIQWVCVTKAKECKEQHQLVDDDAEGKDVELRVKRNPELQSGHQHENLPTHQESIKQNGLPEAECKQFDSRQLEHQNENIAGKDRTPNVISAISSCLNQQAESRDSDAPNGPSYIPEVKDGTCHGSGETPSLELTLKRLQGAADSQIAANDEHNVLRHSDLSAFSKYSTASYANQVPTGNVGSCSPLDNSSITMKTETVHTFASQSNGILLNQPSIGSSNKNDVITAAKCASSKPEALNDKCGAMSAFKHSHSSSFQPMQNGHICSSHDVLTEKADDTGFKTAHSQSRSSNQVFHVQHQCHLHQVEKEQHQLQPDHDTSLKIMAVTAPQCGSFNVFEGPSECNIMNYSVNGSASGSNYGSNGPNGSSTALNAEQAIMESENGAAGAMSGRSSGSGADEDRVAQRVAALTKFRQKRKERCFEKRVRYQSRKRLAEQRPRVKGQFVRQLVSDSDGGKDCVSNGLASEDNSSDSVR >EOY34249 pep chromosome:Theobroma_cacao_20110822:9:40461000:40466652:-1 gene:TCM_041985 transcript:EOY34249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 7, putative isoform 1 MCHEQQEARNGVVSEGQGLGSSEEDESRVVERTVNVNNGSLGAIEARDGLQIPQQQPQGSMILWERFLPIRSLKVLLVENDDSTRHVVSALLRNCSYEVTAVANDLQAWKVLEDLTNHVDIVLTEVAIPVLSGIGLLYKIMSHKTFKNIPVIMMSSHDSISLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQSKRYIKSKSNDESENYAGSSDEHDNKSDDLIVHDGSENGSGTQGSWTKRAAEAESSQPMSSSNQLPDAPDSTCAQVVHLKPEKCRIQWVCVTKAKECKEQHQLVDDDAEGKDVELRVKRNPELQSGHQHENLPTHQESIKQNGLPEAECKQFDSRQLEHQNENIAGKDRTPNVISAISSCLNQQAESRDSDAPNGPSYIPEVKDGTCHGSGETPSLELTLKRLQGAADSQIAANDEHNVLRHSDLSAFSKYSTASYANQVPTGNVGSCSPLDNSSITMKTETVHTFASQSNGILLNQPSIGSSNKNDVITAAKCASSKPEALNDKCGAMSAFKHSHSSSFQPMQNGHICSSHDVLTEKADDTGFKTAHSQSRSSNQVFHVQHQCHLHQVEKEQHQLQPDHDTSLKIMAVTAPQCGSFNVFEGPSECNIMNYSVNGSASGSNYGSNGPNGSSTALNAEQAIMESENGAAGAMSGRSSGSGADEDRVAQRVAALTKFRQKRKERCFEKRVRYQSRKRLAEQRPRVKGQFVRQLVYDDSNWNVDY >EOY34246 pep chromosome:Theobroma_cacao_20110822:9:40460063:40466363:-1 gene:TCM_041985 transcript:EOY34246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 7, putative isoform 1 MCHEQQEARNGVVSEGQGLGSSEEDESRVVERTVNVNNGSLGAIEARDGLQIPQQQPQGSMILWERFLPIRSLKVLLVENDDSTRHVVSALLRNCSYEVTAVANDLQAWKVLEDLTNHVDIVLTEVAIPVLSGIGLLYKIMSHKTFKNIPVIMMSSHDSISLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQSKRYIKSKSNDESENYAGSSDEHDNKSDDLIVHDGSENGSGTQGSWTKRAAEAESSQPMSSSNQLPDAPDSTCAQVVHLKPEKCRIQWVCVTKAKECKEQHQLVDDDAEGKDVELRVKRNPELQSGHQHENLPTHQESIKQNGLPEAECKQFDSRQLEHQNENIAGKDRTPNVISAISSCLNQQAESRDSDAPNGPSYIPEVKDGTCHGSGETPSLELTLKRLQGAADSQIAANDEHNVLRHSDLSAFSKYSTASYANQVPTGNVGSCSPLDNSSITMKTETVHTFASQSNGILLNQPSIGSSNKNDVITAAKCASSKPEALNDKCGAMSAFKHSHSSSFQPMQNGHICSSHDVLTEKADDTGFKTAHSQSRSSNQVFHVQHQCHLHQVEKEQHQLQPDHDTSLKIMAVTAPQCGSFNVFEGPSECNIMNYSVNGSASGSNYGSNGPNGSSTALNAEQAIMESENGAAGAMSGRSSGSGADEDRVAQRVAALTKFRQKRKERCFEKRVRYQSRKRLAEQRPRVKGQFVRQLVSDSDGGKDCVSNGLASEDNSSDSVR >EOY32659 pep chromosome:Theobroma_cacao_20110822:9:32618211:32618730:-1 gene:TCM_040688 transcript:EOY32659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper/zinc superoxide dismutase 1 MVKAVAVLSSGSSSEGVSGTIFFTQEGDGQTTVTGNISGLKPGHHGFHVHALGDTTNGCMSTGPQFNPAGKEHGAPEDENGHVGDLGYVTVGDDGCASFSIIDRQIPLTGPHSIIGRAVFVHADADDLGKGGHEL >EOY31241 pep chromosome:Theobroma_cacao_20110822:9:7682085:7687799:1 gene:TCM_038201 transcript:EOY31241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein with DHHC zinc finger domain isoform 3 MSSEIEVVEEVQPSKQSGGVLANGNGNGGGNNGVVGGVADDESLKNDVYTAAAYGDLEKLHRLVESEGCTVSEPDHLGYYALQWAALNNRTAAAQYIIEHGGDVNAADHTGQTALHWSAVRGAIQVAELLLQEDARVDAADIYGYQTTHVAAQYGQTAFLYHIVSKWNADPDVPDNDGRSPLHWAAYKGFADCIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLMVTDNTGLTPAQLASDKNHRQVAFFLGNARRLLEKRCDGNSRLGRLSKLGLAPILWCIILLLLVTYTQSVIMASNLPKLTAGFGLLSWLGVFLASAGLVLFYRCSSKDPGYIRMNVHDPQNMKDDEPLLKIEINNPALLAGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCVGKKNKWDFFLFLVLEVSAMLITGGVTITSMSLNQFQVQTIF >EOY31242 pep chromosome:Theobroma_cacao_20110822:9:7682085:7687799:1 gene:TCM_038201 transcript:EOY31242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein with DHHC zinc finger domain isoform 3 MSSEIEVVEEVQPSKQSGGVLANGNGNGGGNNGVVGGVADDESLKNDVYTAAAYGDLEKLHRLVESEGCTVSEPDHLGYYALQWAALNNRTAAAQYIIEHGGDVNAADHTGQTALHWSAVRGAIQVAELLLQEDARVDAADIYGYQTTHVAAQYGQTAFLYHIVSKWNADPDVPDNDGRSPLHWAAYKGFADCIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLMVTDNTGLTPAQLASDKNHRQVAFFLGNARRLLEKRCDGNSRLGRLSKLGLAPILWCIILLLLVTYTQSVIMASNLPKLTAGFGLLSWLGVFLASAGLVLFYRCSSKDPGYIRMNVHDPQNMKDDEPLLKIEINNPALLAGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCVGKES >EOY31240 pep chromosome:Theobroma_cacao_20110822:9:7681947:7689408:1 gene:TCM_038201 transcript:EOY31240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein with DHHC zinc finger domain isoform 3 MSSEIEVVEEVQPSKQSGGVLANGNGNGGGNNGVVGGVADDESLKNDVYTAAAYGDLEKLHRLVESEGCTVSEPDHLGYYALQWAALNNRTAAAQYIIEHGGDVNAADHTGQTALHWSAVRGAIQVAELLLQEDARVDAADIYGYQTTHVAAQYGQTAFLYHIVSKWNADPDVPDNDGRSPLHWAAYKGFADCIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLMVTDNTGLTPAQLASDKNHRQVAFFLGNARRLLEKRCDGNSRLGRLSKLGLAPILWCIILLLLVTYTQSVIMASNLPKLTAGFGLLSWLGVFLASAGLVLFYRCSSKDPGYIRMNVHDPQNMKDDEPLLKIEINNPALLAGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCVGKKNKWDFFLFLVLEVSAMLITGGVTITRILTDPTAPSSFLPWMNHAGTHHIGAISFLIVDFFLFFGVAVLTVVQASQISRNITTNEMANVMRYSYLRGPGGRFRNPYDHGCQKNCSDFLINGYNEDLRATEDSVHSEGIGMVQMSRDSNLQNGDMHTQANGNGHIAINVNSNNTNAHHGHIHSSHCSHSNHSKSKTESIPLGLGLGLGRSSARPVATS >EOY31655 pep chromosome:Theobroma_cacao_20110822:9:10852320:10853423:-1 gene:TCM_038630 transcript:EOY31655 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MEEAMAARYWCHQCSRIVNPIMEVEIKCPVCETGFIEEMSSGTRDSEDIDSDIGSDRALSLWAPILLGMMGNPRRRRRHRRIDFEEEDDDNDDGEARHGGDTDLDRELESIIRRRRRSSATILQLLQGIRDGMASEAENSENDRDRDTSRDRDRERERVILINPFNQTIIVQGSYDSNQGGQNQNSNHIGSLGDYFVGPGLDLLLQHLAENDPNRYGTPPAQKEAIEAMPTVKIEENLQCSVCLDDFEAGSEAREMPCKHKFHSGCILPWLELHSSCPVCRYQMPADESKLDTERPRNNTNQRESENNVHGSGEEGEGDGRSGSGRRFSFPWPFNGLFSSGSQAGGGNSSSASSGSQSGSASQADEN >EOY31654 pep chromosome:Theobroma_cacao_20110822:9:10851885:10854468:-1 gene:TCM_038630 transcript:EOY31654 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MEEAMAARYWCHQCSRIVNPIMEVEIKCPVCETGFIEEMSSGTRDSEDIDSDIGSDRALSLWAPILLGMMGNPRRRRRHRRIDFEEEDDDNDDGEARHGGDTDLDRELESIIRRRRRSSATILQLLQGIRDGMASEAENSENDRDRDTSRDRDRERERVILINPFNQTIIVQGSYDSNQGGQNQNSNHIGSLGDYFVGPGLDLLLQHLAENDPNRYGTPPAQKEAIEAMPTVKIEENLQCSVCLDDFEAGSEAREMPCKHKFHSGCILPWLELHSSCPVCRYQMPADESKLDTERPRNNTNQRESENNVHGSGEEGEGDGRSGSGRRFSFPWPFNGLFSSGSQAGGGNSSSASSGSQSGSASQADEN >EOY31657 pep chromosome:Theobroma_cacao_20110822:9:10851978:10854419:-1 gene:TCM_038630 transcript:EOY31657 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MEEAMAARYWCHQCSRIVNPIMEVEIKCPVCETGFIEEMSSGTRDSEDIDSDIGSDRALSLWAPILLGMMGNPRRRRRHRRIDFEEEDDDNDDGEARHGGDTDLDRELESIIRRRRRSSATILQLLQGIRDGMASEAENSENDRDRDTSRDRDRERERVILINPFNQTIIVQGSYDSNQGGQNQNSNHIGSLGDYFVGPGLDLLLQHLAENDPNRYGTPPAQKEAIEAMPTVKIEENLQCSVCLDDFEAGSEAREMPCKHKFHSGCILPWLELHSSCPVCRYQMPADESKLDTERPRNNTNQRESENNVHGSGEEGEGDGRSGSGRRFSFPWPFNGLFSSGSQAGGGNSSSASSGSQSGSASQADEN >EOY31656 pep chromosome:Theobroma_cacao_20110822:9:10851966:10854468:-1 gene:TCM_038630 transcript:EOY31656 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MEEAMAARYWCHQCSRIVNPIMEVEIKCPVCETGFIEEMSSGTRDSEDIDSDIGSDRALSLWAPILLGMMGNPRRRRRHRRIDFEEEDDDNDDGEARHGGDTDLDRELESIIRRRRRSSATILQLLQGIRDGMASEAENSENDRDRDTSRDRDRERERVILINPFNQTIIVQGSYDSNQGGQNQNSNHIGSLGDYFVGPGLDLLLQHLAENDPNRYGTPPAQKEAIEAMPTVKIEENLQCSVCLDDFEAGSEAREMPCKHKFHSGCILPWLELHSSCPVCRYQMPADESKLDTERPRNNTNQRESENNVHGSGEEGEGDGRSGSGRRFSFPWPFNGLFSSGSQAGGGNSSSASSGSQSGSASQADEN >EOY31658 pep chromosome:Theobroma_cacao_20110822:9:10852492:10854098:-1 gene:TCM_038630 transcript:EOY31658 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MEEAMAARYWCHQCSRIVNPIMEVEIKCPVCETGFIEEMSSGTRDSEDIDSDIGSDRALSLWAPILLGMMGNPRRRRRHRRIDFEEEDDDNDDGEARHGGDTDLDRELESIIRRRRRSSATILQLLQGIRDGMASEAENSENDRDRDTSRDRDRERERVILINPFNQTIIVQGSYDSNQGGQNQNSNHIGSLGDYFVGPGLDLLLQHLAENDPNRYGTPPAQKEAIEAMPTVKIEENLQCSVCLDDFEAGSEAREMPCKHKFHSGCILPWLELHSSCPVCRYQMPADESKLDTERPRNNTNQRESENNVH >EOY34194 pep chromosome:Theobroma_cacao_20110822:9:40226159:40230291:1 gene:TCM_041938 transcript:EOY34194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum [ER]-type calcium ATPase isoform 1 MGRGGEDFGKRENASAASSKQESFPAWARDVKQCEEKYQVNRELGLSSAEVEKRQQKYGWNELEKHEGTPIYKLILEQFNDTLVRILLVAAIVSFVLAWYDGEEGGEMEITAFVEPLVIFLILIVNAIVGIWQESNAEKALEALKEIQSEHANVTRDGKKVSNLPAKELVPGDIVELRVGDKVPADMRVLSLISSTVRVEQGSLTGESEAVSKTVKVVPENSDIQGKKCMLFAGTTVVNGNCICLVTQIGMNTEIGKVHSQIHEASQNEEDTPLKKKLNEFGEVLTMIIGVICALVWLINVKYFLTWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQNNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAASKLVAIGSRPGTLRSFDVEGTTYDPFDGKILSWPVGRMDVNLQMIAKISAVCNDASVEQAGNHYVANGIPTEAALKVLVEKMGFPEEYGPSSGCCQLWSTMEQRIATLEFDRDRKSMGVIVNSSSGRKSLLVKGAVENLLERSSFIQLLDGSIVELDLYSRDLILQSLHEMSTDALRCLGFAYKEELFEFATYNGDEDHPAHQLLLDPSNYSSIESKLIFVGLVGLRDPPRKEVRQALEDCKAAGIRVMVITGDNKNTAEAICREIGVFGSHEDISSRSLTGNDFMDHPDQKNHLRQSGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGIAGTEVAKEASDMVLADDNFSTIVAAVAEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITAWILFRYLGDWIIRRDSNSWGIHHMVHTPQLLGH >EOY34193 pep chromosome:Theobroma_cacao_20110822:9:40225522:40232140:1 gene:TCM_041938 transcript:EOY34193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum [ER]-type calcium ATPase isoform 1 MGRGGEDFGKRENASAASSKQESFPAWARDVKQCEEKYQVNRELGLSSAEVEKRQQKYGWNELEKHEGTPIYKLILEQFNDTLVRILLVAAIVSFVLAWYDGEEGGEMEITAFVEPLVIFLILIVNAIVGIWQESNAEKALEALKEIQSEHANVTRDGKKVSNLPAKELVPGDIVELRVGDKVPADMRVLSLISSTVRVEQGSLTGESEAVSKTVKVVPENSDIQGKKCMLFAGTTVVNGNCICLVTQIGMNTEIGKVHSQIHEASQNEEDTPLKKKLNEFGEVLTMIIGVICALVWLINVKYFLTWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQNNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAASKLVAIGSRPGTLRSFDVEGTTYDPFDGKILSWPVGRMDVNLQMIAKISAVCNDASVEQAGNHYVANGIPTEAALKVLVEKMGFPEEYGPSSGHGDPQRCCQLWSTMEQRIATLEFDRDRKSMGVIVNSSSGRKSLLVKGAVENLLERSSFIQLLDGSIVELDLYSRDLILQSLHEMSTDALRCLGFAYKEELFEFATYNGDEDHPAHQLLLDPSNYSSIESKLIFVGLVGLRDPPRKEVRQALEDCKAAGIRVMVITGDNKNTAEAICREIGVFGSHEDISSRSLTGNDFMDHPDQKNHLRQSGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGIAGTEVAKEASDMVLADDNFSTIVAAVAEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITAWILFRYLVIGLYVGIATVGVFIIWYTHHSFLGIDLSGDGHSLVTYNQLANWGQCSSWEGFSVSPFTAGSKVFTFDTNPCDYFQAGKIKASTLSLSVLVAIEMFNSLNALSEDGSLFTMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVIAVAFPVILIDEVLKFIGRRTSGLRYSGARKSSKHKAE >EOY34195 pep chromosome:Theobroma_cacao_20110822:9:40225522:40232140:1 gene:TCM_041938 transcript:EOY34195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum [ER]-type calcium ATPase isoform 1 MGRGGEDFGKRENASAASSKQESFPAWARDVKQCEEKYQVNRELGLSSAEVEKRQQKYGWNELEKHEGTPIYKLILEQFNDTLVRILLVAAIVSFVLAWYDGEEGGEMEITAFVEPLVIFLILIVNAIVGIWQESNAEKALEALKEIQSEHANVTRDGKKVSNLPAKELVPGDIVELRVGDKVPADMRVLSLISSTVRVEQGSLTGESEAVSKTVKVVPENSDIQGKKCMLFAGTTVVNGNCICLVTQIGMNTEIGKVHSQIHEASQNEEDTPLKKKLNEFGEVLTMIIGVICALVWLINVKYFLTWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQNNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAASKLVAIGSRPGTLRSFDVEGTTYDPFDGKILSWPVGRMDVNLQMIAKISAVCNDASVEQAGNHYVANGIPTEAALKVLVEKMGFPEEYGPSSGCCQLWSTMEQRIATLEFDRDRKSMGVIVNSSSGRKSLLVKGAVENLLERSSFIQLLDGSIVELDLYSRDLILQSLHEMSTDALRCLGFAYKEELFEFATYNGDEDHPAHQLLLDPSNYSSIESKLIFVGLVGLRDPPRKEVRQALEDCKAAGIRVMVITGDNKNTAEAICREIGVFGSHEDISSRSLTGNDFMDHPDQKNHLRQSGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGIAGTEVAKEASDMVLADDNFSTIVAAVAEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITAWILFRYLVIGLYVGIATVGVFIIWYTHHSFLGIDLSGDGHSLVTYNQLANWGQCSSWEGFSVSPFTAGSKVFTFDTNPCDYFQAGKIKASTLSLSVLVAIEMFNSLNALSEDGSLFTMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVIAVAFPVILIDEVLKFIGRRTSGLRYSGARKSSKHKAE >EOY29300 pep chromosome:Theobroma_cacao_20110822:9:683513:684560:1 gene:TCM_036884 transcript:EOY29300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLYGNIHWVKKITSKKRNKLLKSLIKMLSFGDSALYELRDPKACWGLFVDCVVSHWSLFACKFSWVMLLWYFAT >EOY33033 pep chromosome:Theobroma_cacao_20110822:9:35026796:35029077:1 gene:TCM_041041 transcript:EOY33033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase MAITFSDLHTESGLKSLNDFLSGKSYISGDKLTKDDIKVYAAVLKNPGDSFPNVRQWYNCVSSHLAASFPGKAVGVGLGGKAAADESAKAEAPTADDDDDLDLFGDETEEEKKAAEEREAAKKPAKKKESGKSSVLMDIKPWDDETDMKKLEEAVRAVAMPGLLWGASKQVPVGYGIKKLQIMLTIVDDLVSVDALIEEHLTVEPCSEHVQSCDIVAFNKI >EOY30593 pep chromosome:Theobroma_cacao_20110822:9:5115544:5120641:1 gene:TCM_037747 transcript:EOY30593 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 75 isoform 1 MNKSNLGSVSSSDLIDAKLEEHQLCGSKQCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSATTVEGSNDPNNRRDSGSGSCSSKEVIPHRDEVSGAGVAAALSSYAGAMDIQQLKSDHFSFTPFRKSFDEVGIGEASAAREAPTQGTCEEIREHPRPHHMAHDHQHQQQQQQQHHHHTHHQLATTAFHISRPSHPISTIISPPPLHHTSIILDEDSFHVSRIMLQNESFQQQQHQQQQQQQQQQQHQHHKLGGRSATGLEELIMGCTSTDIKEESSITNPQEAEWLKYSSFWPDPDNQDHHG >EOY30594 pep chromosome:Theobroma_cacao_20110822:9:5115671:5120517:1 gene:TCM_037747 transcript:EOY30594 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 75 isoform 1 MNKSNLGSVSSSDLIDAKLEEHQLCGSKQCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSATTVEGSNDPNNRRDSGSGSCSSKEVIPHRDEVSGAGVAAALSSYAGAMDIQQLKSDHFSFTPFRKSFDEVGIGEASAAREAPTQGTCEEIREHPRPHHMAHDHQHQQQQQQQHHHHTHHQLATTAFHISRPSHPISTIISPPPLHHTSIILDEDSFHVSRIMLQNESFQQQQQHQQQQQQQQQQQHQHHKLGGRSATGLEELIMGCTSTDIKEESSITNPQEAEWLKYSSFWPDPDNQDHHG >EOY30592 pep chromosome:Theobroma_cacao_20110822:9:5115671:5120517:1 gene:TCM_037747 transcript:EOY30592 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 75 isoform 1 MNKSNLGSVSSSDLIDAKLEEHQLCGSKQCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSATTVEGSNDPNNRRDSGSGSCSSKEVIPHRDEVSGAGVAAALSSYAGAMDIQQLKSDHFSFTPFRKSFDEVGIGEASAAREAPTQGTCEEIREHPRPHHMAHDHQHQQQQQQQHHHHTHHQLATTAFHISRPSHPISTIISPPPLHHTSIILDEDSFHVSRIMLQNESFQQQQHQQQQQQQQQQQHQHHKLGGRSATGLEELIMGCTSTDIKEESSITNPQEAEWLKYSSFWPDPDNQDHHG >EOY30591 pep chromosome:Theobroma_cacao_20110822:9:5114996:5120641:1 gene:TCM_037747 transcript:EOY30591 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 75 isoform 1 MNKSNLGSVSSSDLIDAKLEEHQLCGSKQCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSATTVEGSNDPNNRRDSGSGSCSSKEVIPHRDEVSGAGVAAALSSYAGAMDIQQLKSDHFSFTPFRKSFDEVGIGEASAAREAPTQGTCEEIREHPRPHHMAHDHQHQQQQQQQHHHHTHHQLATTAFHISRPSHPISTIISPPPLHHTSIILDEDSFHVSRIMLQNESFQQQQHQQQQQQQQQQQHQHHKLGGRSATGLEELIMGCTSTDIKEESSITNPQEAEWLKYSSFWPDPDNQDHHG >EOY29818 pep chromosome:Theobroma_cacao_20110822:9:2463165:2468013:1 gene:TCM_037235 transcript:EOY29818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphofructokinase 3 isoform 1 MGNPCDTQMKLVAGAAGYVLEDVPHLTDYLPDLPSYPNPLQDNPAYSVVKQYFVNEDDTVTQKIVVHKDSPRGVHFRRAGPRQRVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLYHMYGVRKILGIDGGYRGFYAKNTVTLTPKFVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQRGAAVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAGSIENGIGLVKLMGRYSGFITMYATLASRDVDCCLIPESPFYLEGRGGLYEFIEKRLKENGHMVIVIAEGAGQDLLSESLQSMGQQDASGNKLLQDVGLWISHRIKEHFSKKRMPINLKYIDPTYMIRAIPSIASDNVYCTLLAHSAVHGAMAGYTGFIVGPVNGRHAYIPFNRIIEKQNKVVITDRMWARLLSSTNQPSFLNPRDISEAKKEDQPATGLLDGENCKDRKSMTEDDPCIS >EOY29819 pep chromosome:Theobroma_cacao_20110822:9:2463185:2466589:1 gene:TCM_037235 transcript:EOY29819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphofructokinase 3 isoform 1 MGNPCDTQMKLVAGAAGYVLEDVPHLTDYLPDLPSYPNPLQDNPAYSVVKQYFVNEDDTVTQKIVVHKDSPRGVHFRRAGPRQRVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLYHMYGVRKILGIDGGYRGFYAKNTVTLTPKFVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQRGAAVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAGSIENGIGLVKLMGRYSESPFYLEGRGGLYEFIEKRLKENGHMVIVIAEGAGQDLLSESLQSMGQQDASGNKLLQDVGLWISHRIKEHFSKKRMPINLKYIDPTYMIRA >EOY29820 pep chromosome:Theobroma_cacao_20110822:9:2463313:2467571:1 gene:TCM_037235 transcript:EOY29820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphofructokinase 3 isoform 1 MGNPCDTQMKLVAGAAGYVLEDVPHLTDYLPDLPSYPNPLQDNPAYSVVKQYFVNEDDTVTQKIVVHKDSPRGVHFRRAGPRQRVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLYHMYGVRKILGIDGGYRGFYAKNTVTLTPKFVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVLHLIFQEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAGSIENGIGLVKLMGRYSGFITMYATLASRDVDCCLIPESPFYLEGRGGLYEFIEKRLKENGHMVIVIAEGAGQDLLSESLQSMGQQDASGNKLLQDVGLWISHRIKEHFSKKRMPINLKYIDPTYMIRAIPSIASDNVYCTLLAHSAVHGAMAGYTGFIVGPVNGRHAYIPFNRIIEKQNKVVITDRMWARLLSSTNQPSFLNPRDISEAKKEDQPATGLLDGENCKDRKSMTEDDPCIS >EOY32086 pep chromosome:Theobroma_cacao_20110822:9:20507668:20513152:1 gene:TCM_039559 transcript:EOY32086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLSVCLLVDRKLCTVVWVCCELVNWKLCIVVYVCSVLGGLALHIAYCVLLGSHGLEDKLVGIFYVDLVRKPMGQACQTSCPRKRKLVSIGGEEHMDELNVRDEELKGEVQEMVRETLEVVTERNAQLESVLDILRREMEELRAKVSTTGVGGGLEATTRPEFYPEYAMDEVRGKLLRLVQRGEIREYVREFSELALQVGDLGEREALFAFIDGLKPWAKQKLQRRGIKARVGQTRANSLGTKKVAMASHSNNEKVKNCPKCFKLVAIASEKEQQPVDVVKLSSMLLRTVNKTDSRAKWLMYADMVVASQHVEALVDTGVSDLYVSKQGVAKFSIKADITRGKQTFVALLSLEDTLSNVIKALAEVLEVLPSWGNDRGNGPGITKLGYAVRIDECSNNVLHFDEQVFEVLRQHELYVKKEKCYFGLHEVPFLGHIIKDGKILMDPSKVSAIQEWESPTKVKELRSFLGLANNYRRFIGGYSAIAAPLTDMLKKKYKPSKVNLVADALSRKATLAAISKVRAELLPRIREGMTHDLTSQFILEHAKDKVEQQRLRGLLNTLPVQEKPWDSVSMDFIMKLPKADRFNNIMEVVDRFSKYVTFISTTKEFPIKEVARLFLRHIVNNHPQIDGQAEQVNALLELYLRHFVQGTPIDWLRQQPHMASTIAVGYTGPTPTTYKTTKGLEEQYEGPYRVVKRIGKVAYKLDMLLKLTVHLVFHISMLKPYHGDEGNLSRGVSHRAPLGLPELGVCGRLMAVPRSNQGLPHNSKEIINLENPPFGSMRQVQATQKEHTILAPRRANLYST >EOY32755 pep chromosome:Theobroma_cacao_20110822:9:33390249:33392278:-1 gene:TCM_040785 transcript:EOY32755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein, putative MGFLPATSNKTFMVAIFLLLAISMSNGQNTAGAPSIGVCNGRIADDLPSEQDVVNFYKINGIGAMRIYDPNQFTLQALKGTNIQLILGIPNAALQSLTDPSAASDFVKRNIVSFSPAVKFRYISVGNEVKPTDPEAQFVLPAMRNIYNALVSANLKGQIKVSTSVEPTLLGNSYPPSAGLFSEAARPYIIPIIKFLASNGSPLLANIYTYFSYIGDTKNIDLGFALFTSPGVVVQDGPSGYQNLFDATLDSLYSALEKIGAANLEIVVSESGWPSAGGTAATVENASTYYKNLIKHVKNGTPKRPGKPIETYLFAMFDENQKGPAETERHFGLFSPNKQPKYPIKFV >EOY31869 pep chromosome:Theobroma_cacao_20110822:9:16887693:16895074:1 gene:TCM_039201 transcript:EOY31869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTFITLRIELFFKEKISLSSCCSRSARFGSSWGVPNLCSQGSSALLGKRRGGGCGSLGRARLGRSAAPLGAQDLHSKGNAGAGGAP >EOY31411 pep chromosome:Theobroma_cacao_20110822:9:8652868:8655315:-1 gene:TCM_038350 transcript:EOY31411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase, putative MAEGTVTHIGKRSVVSSRWHAEPGKFCHVSVLDRLMEQNQVKIVYYYPSRGDHAEPGEWIKKLRDSLSCVLTNFPILTGRLHKNQEGHWMVKCNDGGVRMVEAKVKGSVEDWLKSVDREKELKLLYWEEMFHKPYHWPTVYVQVTEFEKGGLAIGLSCCHLLADPICATMFSKAWADTTLSGIMSTPPLFHSLPLTKADYSKNPNHESCTDLINYYKYSIERLTPLTTNQKYATVTLSFTDSMVRDCIAMAQATGASGKSCSPAFEALAALFWVCLSKVKATKGRLFNMSLCLDMRNCLGLDPGFFGNCMVCNKVHLESAGETMLPEATKAISEVVKKMNAEGIKDLIQWLKCNDNQTVPLMNGYDLICVNLEGVNPYLATFKDQLEPLQVSYYVEPMVGPGQVLISRSAPGEGSLSRVVMATLPEDEVAKLCEDDLIQRFSPAVLMGTNKN >EOY34325 pep chromosome:Theobroma_cacao_20110822:9:40687710:40690401:1 gene:TCM_042034 transcript:EOY34325 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA-responsive element binding protein 3 isoform 3 MGIQTMGSQSNGQQSHLQPSPLVRQNSWYSLTLNEVENQLGMLGKPLGSMNLDELLDNVWTTESNQSLGIDTESTSPSSSLQRQASLTLARAFSGKTVDQVWKEIQQGQKKRFGEEMKGQEREPTLGEMTLEDFLVQAGLFVADTSLGPTMELDSTPQSFLPQIGLSPTPLLGTLSDTSMKGRKRDSQDAFEKTIERRLRRKIKNRESAARSRARKQAYHNELVSKVSRLEEENIKLKKEKKFEKIFHCETPDPKYQLRRTSSASF >EOY34324 pep chromosome:Theobroma_cacao_20110822:9:40687278:40690630:1 gene:TCM_042034 transcript:EOY34324 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA-responsive element binding protein 3 isoform 3 MGIQTMGSQSNGQQSHLQPSPLVRQNSWYSLTLNEVENQLGMLGKPLGSMNLDELLDNVWTTESNQSLGIDTESTSPSSSLQRQASLTLARAFSGKTVDQVWKEIQQGQKKRFGEEMKGQEREPTLGEMTLEDFLVQAGLFVADTSLGPTMELDSTPQSFLPQIGLSPTPLLGTLSDTSMKGRKRDSQDAFEKTIERRLRRKIKNRESAARSRARKQAYHNELVSKVSRLEEENIKLKKEKKFEKIFHCETPDPKYQLRRTSSASF >EOY34322 pep chromosome:Theobroma_cacao_20110822:9:40687314:40690247:1 gene:TCM_042034 transcript:EOY34322 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA-responsive element binding protein 3 isoform 3 MGIQTMGSQSNGQQSHLQPSPLVRQNSWYSLTLNEVENQLGMLGKPLGSMNLDELLDNVWTTESNQSLGIDTESTSPSSSLQRQASLTLARAFSGKTVDQVWKEIQQGQKKRFGEEMKGQEREPTLGEMTLEDFLVQAGLFVADTSLGPTMELDSTPQSFLPQIGLSPTPLLGTLSDTSMKGRKRDSQDAFEKTIERRLRRKIKNRESAARSRARKQATIMSW >EOY34321 pep chromosome:Theobroma_cacao_20110822:9:40687636:40690391:1 gene:TCM_042034 transcript:EOY34321 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA-responsive element binding protein 3 isoform 3 MGIQTMGSQSNGQQSHLQPSPLVRQNSWYSLTLNEVENQLGMLGKPLGSMNLDELLDNVWTTESNQSLGIDTESTSPSSSLQRQASLTLARAFSGKTVDQVWKEIQQGQKKRFGEEMKGQEREPTLGEMTLEDFLVQAGLFVADTSLGPTMELDSTPQSFLPQIGLSPTPLLGTLSDTSMKGRKRDSQDAFEKTIERRLRRKIKNRESAARSRARKQAYHNELVSKVSRLEEENIKLKKEKKFEKIFHCETPDPKYQLRRTSSASF >EOY34323 pep chromosome:Theobroma_cacao_20110822:9:40687314:40689571:1 gene:TCM_042034 transcript:EOY34323 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA-responsive element binding protein 3 isoform 3 MGIQTMGSQSNGQQSHLQPSPLVRQNSWYSLTLNEVENQLGMLGKPLGSMNLDELLDNVWTTESNQSLGIDTESTSPSSSLQRQASLTLARAFSGKTVDQVWKEIQQGQKKRFGEEMKGQEREPTLGEMTLEDFLVQAGLFVADTSLGPTMELDSTPQSFLPQIGLSPTPLLGTLSDTSMKGRKRDSQDAFEKTIERRLRRKIKNRESAARSRARKQAYHNELVSKVSRLEEENIKLKKEKVESLIHIAMWLDISTGNVSQRF >EOY29425 pep chromosome:Theobroma_cacao_20110822:9:1144987:1147538:-1 gene:TCM_046903 transcript:EOY29425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASIPRFEPVTLLLFMVLSTALTISSGSGDGFTINGRVRIPQGLGTKGFALPGKISNVKVILNGGQNVTFLRPDGYFSFHNVPAGTHLIEVAAIGYFFSPVRVDVSARNPGKVQAALTENRRGLSELVLEPLREEQYYEIREPFSIMSVVKSPMGLMVGFMLVVVFLMPKLVENMDPEEMRRAQEEMRNQGVPSLASLLPGGGRRSI >EOY29426 pep chromosome:Theobroma_cacao_20110822:9:1144902:1147538:-1 gene:TCM_046903 transcript:EOY29426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASIPRFEPVTLLLFMVLSTALTISSGSGDGFTINGRVRIPQGLGTKGFALPGKISNVKVILNGGQNIREPFSIMSVVKSPMGLMVGFMLVVVFLMPKLVENMDPEEMRRAQEEMRNQGVPSLASLLPGGGRRSI >EOY31790 pep chromosome:Theobroma_cacao_20110822:9:14017593:14018896:-1 gene:TCM_038918 transcript:EOY31790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase family protein MGSTSSFNYILLMSLVMGCLMAASASNFYQDFDITWGDGRGKIDNNGEVLTLSLDKASGSGFQSKDEYLFGKIDMQLKLVHGNSAGTVTAYYLSSKGSTWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNSQRIIFSVDGTPIREFKNMESLGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWTQAPFTASYREFNANACVWSNGASSCKSNSPSSSSTNNAWLSQELDSTSQQRLQWVQKNYMIYNYCTDAKRFPQGLPPECNMS >EOY32168 pep chromosome:Theobroma_cacao_20110822:9:22612543:22626102:1 gene:TCM_039749 transcript:EOY32168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKIKQDNLETLLCVSRDQWGFNTSINIHCNTKARFSKCEFCLVIGLKFGPMSTIISGPYEVILRGIHERY >EOY30211 pep chromosome:Theobroma_cacao_20110822:9:3800225:3801685:-1 gene:TCM_037493 transcript:EOY30211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPPTLQSFLAAADENFRSQNLEEAIKQAKMARDYIDQFLIAYHVHMASQEKTNGGPDWYAVLGIRDRNADAEAIKNAFKKRALKVHPDKNSSAAADDAFKLVSEAWRVLSEPSSRQAYDQIRGSTPPSQQYECDEVDESAPKSSKGPASPSTKDESGASEQTWTNSESEDGSQSNTSAPNNQPSAYGSSGFGAEAGGRCGTSAYQDQAPSYNRAAGSANWSGTWPTNNPPPFYYTFEGAWVNSFKPDQPFNTGETGFSGGTTATGYQGPSPNNTPIASWAERQMYERPNQYSQSPPNFGAAGWSGASASSTQVQPPTILEALTTRFCPFCRTQLTLTPAGTRLAILCTHCNWSFPL >EOY32630 pep chromosome:Theobroma_cacao_20110822:9:32230974:32232159:1 gene:TCM_040642 transcript:EOY32630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGIINNNASMCAICNKEIETVDHLFLSCYDSWRIWNFWIDAWGRKLVILNNIGAFTHAWNGVINKSRSCPIWRMAFFYIIWTIWLSRNDLIFKDIVREPSLAIAPLLLKKVKPMIKWVKPPCGCMKFNVNGATRGCPREAGSLLFFFFVACSWAGTHELIVESDSSNVVKWINNPPFAQWRTRSVSCLINNLKCKFLKWKVVHTPRSMNQLVDCLAKDGVE >EOY32376 pep chromosome:Theobroma_cacao_20110822:9:28355102:28356922:-1 gene:TCM_040255 transcript:EOY32376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGFRFRKGGKSRGDNLRWCKFEIGLVVDLAIRANFLLFKTLALVAIVLLPSLAVFFAIGSISIAFPLS >EOY29858 pep chromosome:Theobroma_cacao_20110822:9:2596451:2598072:-1 gene:TCM_037260 transcript:EOY29858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSLPKEGNRGKMKMEGVEGDASVGGTGRYTLKPTRKSNEDIIFCVDVDAESLVEMKTAGITRLDSIRQAILLFVNSKLSINPDHRFAFATLSKSASWLRKEFSSDVESTIAAVRALSATTVSSGQADLTNLFRLAAHEAKKSRAQNRILRVILIYCRSSIRPQHQWPVNQKLFTLDVMYLHDKPGPDNCPQVVYDALVDALEHVSEYEGYIHESGHGLPRTLFRFMSMLLSHPQQRCPQDDCDIPKPLMKKSAESGNGEDSVLISTSQ >EOY31336 pep chromosome:Theobroma_cacao_20110822:9:8244499:8252214:-1 gene:TCM_038289 transcript:EOY31336 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIM zinc finger family protein isoform 1 MLSETLTFSGKIPRMEDILNLPVQDPPCSEFSAAHIKWVKVEGGRHGGDDIALIPFARVDDFVKGESSNAECPASFRIESRRKRSEGSISKPRVDGYLEYTLYWCSYGPEDYRDSESGLGDVSNMKPATGKGSRPGRRHMMRGCLCHFTVKRLYTRPLLALIIYNQRKHVDKTGAPCHGILDQDAVGTRAMYAPRISEELRQKVISMLYVGISLDNIFQHHMDVVQRHGGPHNRDDFLTRNDVRNIERVIRNTSHELHIDDECSVKVWVQRHQKHVFYFQDASGSEPLILGIQTDWQLQQMLHYGHNGSIASHSTFGSKKLKYPLSTLLVFDSSQNAIPVAWVITSALVGQDVHKWIGFLSERIRAKDPRWRVNAFLIDDPSFGISVIRDAFQCRVLLCVWHVRRAWIRSLLKKCCNIDVQREMFKHLGWILYSSRSGPNAMDAVEEFLEIFVDQSAFIDYFKSRWSPYIESWVSGIRSLPVLGPEPHAAIESYHRRLKYKLFNEQHANFWSRIDWLIHALTTEFHSLYWLEQYTRETGYFPNFRDESFSTNAWYQALHIPNVDVILDEQNLQLAKVISQTDRNLAYAIWNPGSEFSLCDCDWSSLGNLCKHVIKVAMICKNRQVARPLLSAQIYRQTLLSLLHNPPDDPVILDHAILCATRLQQDIKGLEDLSNSGLLQQSPPEINSQVADNTLFFPRSH >EOY31340 pep chromosome:Theobroma_cacao_20110822:9:8245913:8251742:-1 gene:TCM_038289 transcript:EOY31340 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIM zinc finger family protein isoform 1 MKPATGKGSRPGRRHMMRGCLCHFTVKRLYTRPLLALIIYNQRKHVDKTGAPCHGILDQDAVGTRAMYAPRISEELRQKVISMLYVGISLDNIFQHHMDVVQRHGGPHNRDDFLTRNDVRNIERVIRNTSHELHIDDECSVKVWVQRHQKHVFYFQDASGSEPLILGIQTDWQLQQMLHYGHNGSIASHSTFGSKKLKYPLSTLLVFDSSQNAIPVAWVITSALVGQDVHKWIGFLSERIRAKDPRWRVNAFLIDDPSFGISVIRDAFQCRVLLCVWHVRRAWIRSLLKKCCNIDVQREMFKHLGWILYSSRSGPNAMDAVEEFLEIFVDQSAFIDYFKSRWSPYIESWVSGIRSLPVLGPEPHAAIESYHRRLKYKLFNEQHANFWSRIDWLIHALTTEFHSLYWLEQYTRETGYFPNFRDESFSTNAWYQALHIPNVDVILDEQNLQLAKVISQTDRNLAYAIWNPGSEFSLCDCDWSSLGNLCKHVIKVAMICKNRQVARPLLSAQIYRQTLLSLLHNPPDDPVILDHAILCATRLQQDIKGLEDLSNSGLLQQSPPEINSQVADNTLFFPRSH >EOY31341 pep chromosome:Theobroma_cacao_20110822:9:8245875:8251742:-1 gene:TCM_038289 transcript:EOY31341 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIM zinc finger family protein isoform 1 MKPATGKGSRPGRRHMMRGCLCHFTVKRLYTRPLLALIIYNQRKHVDKTGAPCHGILDQDAVGTRAMYAPRISEELRQKVISMLYVGISLDNIFQHHMDVVQRHGGPHNRDDFLTRNDVRNIERVIRNTSHELHIDDECSVKVWVQRHQKHVFYFQDASGSEPLILGIQTDWQLQQMLHYGHNGSIASHSTFGSKKLKYPLSTLLVFDSSQNAIPVAWVITSALVGQDVHKWIGFLSERIRAKDPRWRVNAFLIDDPSFGISVIRDAFQCRVLLCVWHVRRAWIRSLLKKCCNIDVQREMFKHLGWILYSSRSGPNAMDAVEEFLEIFVDQSAFIDYFKSRWSPYIESWVSGIRSLPVLGPEPHAAIESYHRRLKYKLFNEQHANFWSRIDWLIHALTTEFHSLYWLEQYTRETGYFPNFRDESFSTNAWYQALHIPNVDVILDEQNLQLAKVISQTDRNLAYAIWNPGSEFSLCDCDWSSLGNLCKHVIKVAMICKNRQVARPLLSAQIYRQTLLSLLHNPPDDPVILDHAILCATRLQQDIKGLEDLSNSGLLQQSPPEINSQVADNTLFFPRSH >EOY31338 pep chromosome:Theobroma_cacao_20110822:9:8246481:8251742:-1 gene:TCM_038289 transcript:EOY31338 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIM zinc finger family protein isoform 1 MKPATGKGSRPGRRHMMRGCLCHFTVKRLYTRPLLALIIYNQRKHVDKTGAPCHGILDQDAVGTRAMYAPRISEELRQKVISMLYVGISLDNIFQHHMDVVQRHGGPHNRDDFLTRNDVRNIERVIRNTSHELHIDDECSVKVWVQRHQKHVFYFQDASGSEPLILGIQTDWQLQQMLHYGHNGSIASHSTFGSKKLKYPLSTLLVFDSSQNAIPVAWVITSALVGQDVHKWIGFLSERIRAKDPRWRVNAFLIDDPSFGISVIRDAFQCRVLLCVWHVRRAWIRSLLKKCCNIDVQREMFKHLGWILYSSRSGPNAMDAVEEFLEIFVDQSAFIDYFKSRWSPYIESWVSGIRSLPVLGPEPHAAIESYHRRLKYKLFNEQHANFWSRIDWLIHALTTEFHSLYWLEQYTRETGYFPNFRDESFSTNAWYQALHIPNVDVILDEQNLQLAKVISQTDRNLAYAIWNPGSEFSLCDCDWSSLGNLCKHVIKVAMICKNRQVARPLLSAQIYRQTLLSLLHNPPDDPVILDHAILCATRLQQDIKGLEDLSNSGLLQQSPPEINSQVADNTLFFPRSH >EOY31339 pep chromosome:Theobroma_cacao_20110822:9:8246480:8252744:-1 gene:TCM_038289 transcript:EOY31339 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIM zinc finger family protein isoform 1 MKGHESKIPRMEDILNLPVQDPPCSEFSAAHIKWVKVEGGRHGGDDIALIPFARVDDFVKGESSNAECPASFRIESRRKRSEGSISKPRVDGYLEYTLYWCSYGPEDYRDSESGLGDVSNMKPATGKGSRPGRRHMMRGCLCHFTVKRLYTRPLLALIIYNQRKHVDKTGAPCHGILDQDAVGTRAMYAPRISEELRQKVISMLYVGISLDNIFQHHMDVVQRHGGPHNRDDFLTRNDVRNIERVIRNTSHELHIDDECSVKVWVQRHQKHVFYFQDASGSEPLILGIQTDWQLQQMLHYGHNGSIASHSTFGSKKLKYPLSTLLVFDSSQNAIPVAWVITSALVGQDVHKWIGFLSERIRAKDPRWRVNAFLIDDPSFGISVIRDAFQCRVLLCVWHVRRAWIRSLLKKCCNIDVQREMFKHLGWILYSSRSGPNAMDAVEEFLEIFVDQSAFIDYFKSRWSPYIESWVSGIRSLPVLGPEPHAAIESYHRRLKYKLFNEQHANFWSRIDWLIHALTTEFHSLYWLEQYTRETGYFPNFRDESFSTNAWYQALHIPNVDVILDEQNLQLAKVISQTDRNLAYAIWNPGSEFSLCDCDWSSLGNLCKHVIKVAMICKNRQVARPLLSAQIYRQTLLSLLHNPPDDPVILDHAILCATRLQQDIKGLEDLSNSGLLQQSPPEINSQVADNTLFFPRSH >EOY31337 pep chromosome:Theobroma_cacao_20110822:9:8246054:8252970:-1 gene:TCM_038289 transcript:EOY31337 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIM zinc finger family protein isoform 1 MKGHESKIPRMEDILNLPVQDPPCSEFSAAHIKWVKVEGGRHGGDDIALIPFARVDDFVKGESSNAECPASFRIESRRKRSEGSISKPRVDGYLEYTLYWCSYGPEDYRDSESGLGDVSNMKPATGKGSRPGRRHMMRGCLCHFTVKRLYTRPLLALIIYNQRKHVDKTGAPCHGILDQDAVGTRAMYAPRISEELRQKVISMLYVGISLDNIFQHHMDVVQRHGGPHNRDDFLTRNDVRNIERVIRNTSHELHIDDECSVKVWVQRHQKHVFYFQDASGSEPLILGIQTDWQLQQMLHYGHNGSIASHSTFGSKKLKYPLSTLLVFDSSQNAIPVAWVITSALVGQDVHKWIGFLSERIRAKDPRWRVNAFLIDDPSFGISVIRDAFQCRVLLCVWHVRRAWIRSLLKKCCNIDVQREMFKHLGWILYSSRSGPNAMDAVEEFLEIFVDQSAFIDYFKSRWSPYIESWVSGIRSLPVLGPEPHAAIESYHRRLKYKLFNEQHANFWSRIDWLIHALTTEFHSLYWLEQYTRETGYFPNFRDESFSTNAWYQALHIPNVDVILDEQNLQLAKVISQTDRNLAYAIWNPGSEFSLCDCDWSSLGNLCKHVIKVAMICKNRQVARPLLSAQIYRQTLLSLLHNPPDDPVILDHAILCATRLQQDIKGLEDLSNSGLLQQSPPEINSQVADNTLFFPRSH >EOY33656 pep chromosome:Theobroma_cacao_20110822:9:38419936:38420724:1 gene:TCM_041561 transcript:EOY33656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKDHHDNNLQESLQKREQQQEEEEGKEEQGPEKDGGGGGEEEAVASRKDVEEVCKTPTSSDHKIPTIQSCPPTPKKKVGPNSHKRKLSESQFFETTRSEEVESFFRSNSEPVTVNSRSIKRRCRSA >EOY30508 pep chromosome:Theobroma_cacao_20110822:9:4824935:4827395:1 gene:TCM_037694 transcript:EOY30508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MASLVSLQNTGNSISFSSHLKSSINAKHSLAFNEKIKLFFSQNPNKLKPPCTYAASHNTDSNSSTRTYRRQSVTTHRQTTKNRPNPRKFNTENRNENHPSPENPTFQSVTVDLMKLCKEGKVKEALDHMGQGVLADFNVFGALLDACGNMNSLELARRVNEFFRRSKFSGDIELNNKLIGIYGKCASIRDARRVFDKMRERNMASWNLMINDYAVNGKGDDGLSLFEDMRKDGFQPDSETFLAVLSACASVAAVEEGIMYFELMKNEYRIAPGVEHYLGVIDVFGRAGYLNEAVEFIENMPIEPTVEIWEAVRGFARIHGDIDLEDHFEELLLGFDPPMRSENEHQAPPRKKHSVINMIEEKNRVIEYRCMNPFKGEVNEKLKGLNGQMREAGYVPDTRYVLHDIDQEAKEQALQYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSKIVGRELIVRDNKRFHHFRDGKCSCGDYW >EOY34226 pep chromosome:Theobroma_cacao_20110822:9:40374449:40377312:1 gene:TCM_041965 transcript:EOY34226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 17 MGASFRPSTALLGAFLSSFMIFCLYPKPVLGITRHYKFNIKLQNVTRLCHTKSIVSVNGRFPGPRIVAREGDQLLIKVVNHVPNNISIHWHGIRQLRSGWADGPAYVTQCPIQTGHSYVYNYTISGQRGTLFWHAHISWLRATVYGPLIILPKLGVPYPFAKPYNEVAVIFGEWFNADPEAIINQALQTGGGPNVSDAYTINGLPGPLYNCSAKDTFKLKVKLGKTYLLRVINAALNDELFFSIANHTLTVVEADAVYVKPFNTETILIAPGQTTNVLLKTKPRYPNATYFMTARPYVTGQATFDNSTVAGILEYELPPNGFHSSISIKELSLFKSILPALNDTSFATNFASKLRSLASARFPANVPQKVDKQFFFTIGLGTSPCQHNQTCQGPNGTMFAASVNNVSFAMPTKALLQAHFFGQSNGVYTTDFPSDPTIPFNYTGTPTNNTMVSNGTKLVVLPFNTSVELIMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPNKDPKNFNLVDPIERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWIVLDGKLPNQKLLPPPEDLPKC >EOY34113 pep chromosome:Theobroma_cacao_20110822:9:39965005:39965777:-1 gene:TCM_041887 transcript:EOY34113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MAPKAEKKPAEKKPAEKPSEEKKTTVGEKAPAEKKPKAGKKLLKEGAAAGDKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >EOY29476 pep chromosome:Theobroma_cacao_20110822:9:1276124:1280730:-1 gene:TCM_036996 transcript:EOY29476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MECSEIERKVPITLNVDGSAIKAILSILVSFAEVRGPPDTAGSVQSSAESNKEDGFFKMVKGLTRQKKEKRGKTSCQKKQPSSCDSDESLIFDSDGLHGSESTTTSESSSGELSLGPELESSQSLETTQNHMVRLFPRKKRQLSFRSFRKKTESLTNENSESNESDVHQRPRSCSVVDSQDSSCWEVKELVGRNEQSRLKTNVFFASFDQRSERAYGESACTALVAVIAHWLHSNQDFMPTRLQFDSLITEGSSEWRKLCDNITYTNSFPDKHFDLETVLEADLRPVTVLKDKSFTGFFSPEKFECLKGAMSFDEIWDEISSDRNDCAPRVYIVSWNDHFFVLKAESNAYYIIDSLGERLFEGCNQAYMLKFDGSSLLYGKKAGKQEACSSEETGEAERKEGGGEEVICSGKECCREYIKRFLAAIPVGELEEEEKKGTASTFSLHQRLQIDFHYSSSSSSSCSSSATSSTDFLM >EOY29474 pep chromosome:Theobroma_cacao_20110822:9:1277598:1281177:-1 gene:TCM_036996 transcript:EOY29474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKWAVRSPMKRLHVKVKPLKLEGLRGSEEEDEGVSKKMVLVEMVWKRPKSGLVPFYKSSSRHRRDRSSVRIVGNGKSIEWDDEFESLCDFPSVSKDRASGSWDALFNVLHGEDGENRGRLAVVGKVSLNLAELVSEMECSEIERKVPITLNVDGSAIKAILSILVSFAEVRGPPDTAGSVQSSAESNKEDGFFKMVKGLTRQKKEKRGKTSCQKKQPSSCDSDESLIFDSDGLHGSESTTTSESSSGELSLGPELESSQSLETTQNHMVRLFPRKKRQLSFRSFRKKTESLTNENSESNESDVHQRPRSCSVVDSQDSSCWEVKELVGRNEQSRLKTNVFFASFDQRSERAYGESACTALVAVIAHWLHSNQDFMPTRLQFDSLITEGSSEWRKLCDNITYTNSFPDKHFDLETVLEADLRPVTVLKDKSFTGFFSPEKFECLKGAMSFDEIWDEISSDRNDCAPRVYIVSWNDHFFVLKAESNAYYIIDSLGERLFEGCNQAYMLKFDGSSLLYGKKAGKQEACSSEETGEAERKEGGGEEVICSGKECCREYIKRFLAAIPVGELEEEEKKGTASTFSLHQRLQIDFHYSSSSSSSCSSSATSSTDFLM >EOY29475 pep chromosome:Theobroma_cacao_20110822:9:1277016:1281138:-1 gene:TCM_036996 transcript:EOY29475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MKWAVRSPMKRLHVKVKPLKLEGLRGSEEEDEGVSKKMVLVEMVWKRPKSGLVPFYKSSSRHRRDRSSVRIVGNGKSIEWDDEFESLCDFPSVSKDRASGSWDALFNVLHGEDGENRGRLAVVGKVSLNLAELVSEMECSEIERKVPITLNVDGSAIKAILSILVSFAEVRGPPDTAGSVQSSAESNKEDGFFKMVKGLTRQKKEKRGKTSCQKKQPSSCDSDESLIFDSDGLHGSESTTTSESSSGELSLGPELESSQSLETTQNHMVRLFPRKKRQLSFRSFRKKTESLTNENSESNESDVHQRPRSCSVVDSQDSSCWEVKELVGRNEQSRLKTNVFFASFDQRSERAYGESACTALVAVIAHWLHSNQDFMPTRLQFDSLITEGSSEWRKLCDNITYTNSFPDKHFDLETVLEADLRPVTVLKDKSFTGFFSPEKFECLKGAMSFDEIWDEISSDRNDCAPRVYIVSWNDHFFVLKAESNAYYIIDSLGERLFEGCNQAYMLKFDGSSLLYGKKAGKQEACSSEETGEAERKEGGGEEVICSGKECCREYIKRFLAAIPVGELEEEEKKGTASTFSLHQRLQIDFHYSSSSSSSCSSSATSSTDFLM >EOY34601 pep chromosome:Theobroma_cacao_20110822:9:41561521:41563649:1 gene:TCM_042218 transcript:EOY34601 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MESTNAQPATVCHVVAMPFPGRGHINPMMNLCKLLASKRKDILITFVVTEEWLGFIGSDPKPDNIRFEAIPNVIPPERLKAANFPDFYEAVMTKMEVPFEQLLDRLELPVTAIIGDIEVRWGTCVGNRRNIPVALVWTMSASVFSMFHHFDLHIKHSHAKVNLIEQVDNIPGISSSDVAELQTICHRDNERVLELALDCISRVPNAQYLLFTSVYEFEPQVFDSLSATFSFPVYPIGPAIPYLELKDGSCKTSNYLQWLDSQQVGSVLYISLGSFLSVSKTQMDEIIAGLQICGVRYLWVARGEVSRLKDCCGDMGLVIPWCDQLKVLCHPSIGGFWTHCGWNSILEAVFAGVPMLAFPLFLDQDTNSRQIAEDWKNGSRVKSTVRAEKLVTGEDIAQLVRRFMDVESNEGKEIRRRARELQDKCLVAISEGGSSAANLDAFLDSI >EOY30254 pep chromosome:Theobroma_cacao_20110822:9:3954044:3960110:-1 gene:TCM_037527 transcript:EOY30254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-tyrosine ligases,tubulin-tyrosine ligases isoform 1 MSATTASTCGRIETYEDFVKVHGLLLAASGLPQSLHRKLFEKLTSETFDGGAYFEIEPCEDGRQRRLLLTSDTMPKDSNVFLVDHAWTFRLPDAYKQLQEVPGLAQRMAALMCLDVDNDAGLEEAVENGGKMTAEEVLESEIQNAKANGDGVVRWLELEELEIDDNTFLSLDLSTKFPDLMALSLCGNKLENTEKLVQEVTKFKNLKALWLNNNPVLEKSDGGMEDAILRGCPKLEIYNSRFTRNFGEWALGFCADVYGKDNPGNSHHGDLALQSVTSLDVSNRCIHNLINKAFSPFEMPNLSHLNIRGNPIEENSVSNLFGILKAFYCLQSLEVDIPGPLGESAVEILEFLPTLSSLNGVDASKILETEKHVVDSMLQPRLPEWSAEEPLADCVLNAMWLYLMTYRLADEEKLDETPVWYVMDELGSALRHSDEPNFRVAPFLFMPEGNLASAVSYSILWPTQNVKKGEECTRDYLFGIGEEKQRSARLTAWFYTPQNYFIQEYEKHHNKLQSRSLPSLPIESSLTSGVCRSDGSALCVYTDIPQVEEFLTRPEFVITNEPKDADIIWTSMQVDEEMKKVVGITDQQYVNQFPFEACLVMKHHLADTIQKAQGSPGWLQPTYNLETHLSQLIGDYCLRKRDGLNNLWILKPWNMARTIDTTVTDNLSTIIRLMETGPKICQKYIEHPALFQGKKFDLRYIILVRSITPLDIFLSDVFWVRLANNPYTLEKHSLFEYETHFTVMNYGRRLNHINTPEFVREFEKEHQVKWLDIHQRVKTMIRSVFESAAAVHPEMHDTKSRAMYGVDVMLDGSFQPKLLEVTYCPDCMRACKYNTEAIFGGGEVIRGRDFFNFVFGCLFLNENVHASQL >EOY30256 pep chromosome:Theobroma_cacao_20110822:9:3955313:3960125:-1 gene:TCM_037527 transcript:EOY30256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-tyrosine ligases,tubulin-tyrosine ligases isoform 1 MSATTASTCGRIETYEDFVKVHGLLLAASGLPQSLHRKLFEKLTSETFDGGAYFEIEPCEDGRQRRLLLTSDTMPKDSNVFLVDHAWTFRLPDAYKQLQEVPGLAQRMAALMCLDVDNDAGLEEAVENGGKMTAEEVLESEIQNAKANGDGVVRWLELEELEIDDNTFLSLDLSTKFPDLMALSLCGNKLENTEKLVQEVTKFKNLKALWLNNNPVLEKSDGGMEDAILRGCPKLEIYNSRFTRNFGEWALGFCADVYGKDNPGNSHHGDLALQSVTSLDVSNRCIHNLINKAFSPFEMPNLSHLNIRGNPIEENSVSNLFGILKAFYCLQSLEVDIPGPLGESAVEILEFLPTLSSLNGVDASKILETEKHVVDSMLQPRLPEWSAEEPLADCVLNAMWLYLMTYRLADEEKLDETPVWYVMDELGSALRHSDEPNFRVAPFLFMPEGNLASAVSYSILWPTQNVKKGEECTRDYLFGIGEEKQRSARLTAWFYTPQNYFIQEYEKHHNKLQSRSLPSLPIESSLTSGVCRSDGSALCVYTDIPQVEEFLTRPEFVITNEPKDADIIWTSMQVDEEMKKVVGITDQQYVNQFPFEACLVMKHHLADTIQKAQGSPGWLQPTYNLETHLSQLIGDYCLRKRDGLNNLWILKPWNMARTIDTTVTDNLSTIIRLMETGPKICQKYIEHPALFQGKKFDLRYIILVRSITPLDIFLSDVFWVRLANNPYTLEKHSLFEYETHFTVMNYGRRLNHINTPEFVREFEKEHQGFCAC >EOY30255 pep chromosome:Theobroma_cacao_20110822:9:3952681:3960125:-1 gene:TCM_037527 transcript:EOY30255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-tyrosine ligases,tubulin-tyrosine ligases isoform 1 MSATTASTCGRIETYEDFVKVHGLLLAASGLPQSLHRKLFEKLTSETFDGGAYFEIEPCEDGRQRRLLLTSDTMPKDSNVFLVDHAWTFRLPDAYKQLQEVPGLAQRMAALMCLDVDNDAGLEEAVENGGKMTAEEVLESEIQNAKANGDGVVRWLELEELEIDDNTFLSLDLSTKFPDLMALSLCGNKLENTEKLVQEVTKFKNLKALWLNNNPVLEKSDGGMEDAILRGCPKLEIYNSRFTRNFGEWALGFCADVYGKDNPGNSHHGDLALQSVTSLDVSNRCIHNLINKAFSPFEMPNLSHLNIRGNPIEENSVSNLFGILKAFYCLQSLEVDIPGPLGESAVEILEFLPTLSSLNGVDASKILETEKHVVDSMLQPRLPEWSAEEPLADCVLNAMWLYLMTYRLADEEKLDETPVWYVMDELGSALRHSDEPNFRVAPFLFMPEGNLASAVSYSILWPTQNVKKGEECTRDYLFGIGEEKQRSARLTAWFYTPQNYFIQEYEKHHNKLQSRSLPSLPIESSLTSGVCRSDGSALCVYTDIPQVEEFLTRPEFVITNEPKDADIIWTSMQVDEEMKKVVGITDQQYVNQFPFEACLVMKHHLADTIQKAQGSPGWLQPTYNLETHLSQLIGDYCLRKRDGLNNLWILKPWNMARTIDTTVTDNLSTIIRLMETGPKICQKYIEHPALFQGKKFDLRYIILVRSITPLDIFLSDVFWVRLANNPYTLEKHSLFEYETHFTVMNYGRRLNHINTPEFVREFEKEHQVKWLDIHQRVKTMIRSVFESAAAVHPEMHDTKSRAMYGVDVMLDGSFQPKLLEVTYCPDCMRACKYNTEAIFGGGEVIRGRDFFNFVFGCLFLNENVHASQL >EOY31425 pep chromosome:Theobroma_cacao_20110822:9:8753687:8759969:1 gene:TCM_038364 transcript:EOY31425 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate synthase 3 isoform 3 MGTAPTQCPPGISANLHAKFDNRIEFSCSNFPSTLNISKLNCHPNSKGLVNRICSLPDIDDLFWEKVPTPILDVVENPMHLKNLTIKELKLLADEIRSELSSIMSRTQRSFKASLAAVELTVALHYVFHAPVDKILWDAGEQTYAHKILTGRRFLMPTLRQKNGLSGFTSQTESEYDPFGAGHGCNSISAGLGSMAVARDIKGKRECVVTVISNGTTMAGQVYEAMSNAGYLDSNLVVILNDSCHSLHPKIEEGPKTSINALSSTLSRLQSSKSFRKFREAAKVVTKRIGRGMHELAAKVDEYARGMMGPLGSTLFEELGLYYIGPVDGHNIEDLICVLQEVASLDSMGPVLVHVITEENQGSEHDQKRETEEKQQEGLSLNGTRSFSYGEHCRTYSDCLVEALVLEAEKDKDIVVVHAGMEMDSSLQLFQERFSDRFFDVGMAEQHAVTFSAGLSCGGLKPFCIIPSTFLQRAYDQVVHDVDRQRIPVRFVITSAGLVGSDGALQCGAFDITFMSCLPNMIVMAPSDEDELMHMVATAAQIDDQPVCFRYPRGALVRTDYPICSGTALEIGKGKVLVEGKDVALLGYGAMVQNCLKAQTLLSKLGIDVTVADARFCKPLDIKLLRQLCNSHAILITVEEGSVGGFGSHVAQFIALDGQLDGRLKWRPIVLPDNYIEHASPKEQLALAGLTGHHIAAATLSLLGRTREALLLMC >EOY31424 pep chromosome:Theobroma_cacao_20110822:9:8753864:8759791:1 gene:TCM_038364 transcript:EOY31424 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate synthase 3 isoform 3 MHLKNLTIKELKLLADEIRSELSSIMSRTQRSFKASLAAVELTVALHYVFHAPVDKILWDAGEQTYAHKILTGRRFLMPTLRQKNGLSGFTSQTESEYDPFGAGHGCNSISAGLGMAVARDIKGKRECVVTVISNGTTMAGQVYEAMSNAGYLDSNLVVILNDSCHSLHPKIEEGPKTSINALSSTLSRLQSSKSFRKFREAAKVVTKRIGRGMHELAAKVDEYARGMMGPLGSTLFEELGLYYIGPVDGHNIEDLICVLQEVASLDSMGPVLVHVITEENQGSEHDQKRETEEKQQEGLSLNGTRSFSYGEHCRTYSDCLVEALVLEAEKDKDIVVVHAGMEMDSSLQLFQERFSDRFFDVGMAEQHAVTFSAGLSCGGLKPFCIIPSTFLQRAYDQVVVHDVDRQRIPVRFVITSAGLVGSDGALQCGAFDITFMSCLPNMIVMAPSDEDELMHMVATAAQIDDQPVCFRYPRGALVRTDYPICSGTALERLAKEKFLLRAKMLLYLGMGQWFKTVSKLRPFFPSLALMSLLLMQDSASLLTSSFSGSFAIVMPF >EOY31423 pep chromosome:Theobroma_cacao_20110822:9:8753565:8760046:1 gene:TCM_038364 transcript:EOY31423 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate synthase 3 isoform 3 MGTAPTQCPPGISANLHAKFDNRIEFSCSNFPSTLNISKLNCHPNSKGLVNRICSLPDIDDLFWEKVPTPILDVVENPMHLKNLTIKELKLLADEIRSELSSIMSRTQRSFKASLAAVELTVALHYVFHAPVDKILWDAGEQTYAHKILTGRRFLMPTLRQKNGLSGFTSQTESEYDPFGAGHGCNSISAGLGMAVARDIKGKRECVVTVISNGTTMAGQVYEAMSNAGYLDSNLVVILNDSCHSLHPKIEEGPKTSINALSSTLSRLQSSKSFRKFREAAKVVTKRIGRGMHELAAKVDEYARGMMGPLGSTLFEELGLYYIGPVDGHNIEDLICVLQEVASLDSMGPVLVHVITEENQGSEHDQKRETEEKQQEGLSLNGTRSFSYGEHCRTYSDCLVEALVLEAEKDKDIVVVHAGMEMDSSLQLFQERFSDRFFDVGMAEQHAVTFSAGLSCGGLKPFCIIPSTFLQRAYDQVVHDVDRQRIPVRFVITSAGLVGSDGALQCGAFDITFMSCLPNMIVMAPSDEDELMHMVATAAQIDDQPVCFRYPRGALVRTDYPICSGTALEIGKGKVLVEGKDVALLGYGAMVQNCLKAQTLLSKLGIDVTVADARFCKPLDIKLLRQLCNSHAILITVEEGSVGGFGSHVAQFIALDGQLDGRLKWRPIVLPDNYIEHASPKEQLALAGLTGHHIAAATLSLLGRTREALLLMC >EOY30857 pep chromosome:Theobroma_cacao_20110822:9:6033812:6039614:1 gene:TCM_037921 transcript:EOY30857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MANSGEVEAQYESEPDESLLLRRRREASDDDKDVEMEENKMPVRFSRSVRRTGCADESDGGSGAPEFYEDDDDEEGSYVHELEKLGEEVEEEREEEVAKQVTSTKQRGKEKVNDADIDPFAVPRTGAFYMHDDRFGGLRRRSRDQRRKKYIWESKDELKWMHDKFEEMTLEKPHNNELNAFEVHRTSKGHQQGRNKDNTKSGGYKAKDSRPHSKSGSNGSSSKIVKGRGPVRYKPLLRSSSVNSPMKSMQFEKTQGTSSKTSSARVISANNRRQYKRLLGKTSNADSSERALSIKCKQPAKPFEMTRDMDSSTVFSTKSKYSGDLQMVSYIDPASVSFHRFNADSDSLPFDQHLLVANMSSYLQAFYPASSFNLDNSRTQKRMVLPGSRNVTFPASVQPNQIIHVPQSNEYLPENIVLDIPDAKEGVSLVPENYFCEHSFRYSVPGSTYMPYPESRDQRIGSSLSIPFTHQATLFTNQLNRVSSLAQQPIVQGMSVESIIQPSSQVSTQQLHSCLGSGSQKLVEASSLSFNESGTLGSFAVSVQSKVVSSGTGSSIISPPQYSGIQGDQKFNATPVLLPVMPIGGLHHGRLGNPVIDMTSSGYIGEPQVHFRNPNLTWLPVLPAAAAAVGATYHPPCVASDGSCYGNLTTPFVDNASYATCTSIPTNEAVSSGSSNGSLLSLETG >EOY30862 pep chromosome:Theobroma_cacao_20110822:9:6033617:6039856:1 gene:TCM_037921 transcript:EOY30862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MANSGEVEAQYESEPDESLLLRRRREASDDDKDVEMEENKMPVRFSRSVRRTGCADESDGGSGAPEFYEDDDDEEGSYVHELEKLGEEVEEEREEEVAKQVTSTKQRGKEKVNDADIDPFAVPRTGAFYMHDDRFGGLRRRSRDQRRKKYIWESKDELKWMHDKFEEMTLEKPHNNELNAFEVHRTSKGHQQGRNKDNTKSGGYKAKDSRPHSKSGSNGSSSKIVKGRGPVRYKPLLRSSSVNSPMKSMQFEKTQGTSSKTSSARVISANNRRQYKRLLGKTSNADSSERALSIKCKQPAKPFEMTRDMDSSTVFSTKSKYSGDLQMVSYIDPASVSFHRFNADSDSLPFDQHLLVANMSSYLQAFYPASSFNLDNSRTQKRMVLPGSRNVTFPASVQPNQIIHVPQSNEYLPENIVLDIPDAKEGVSLVPENYFCEHSFRYSVPGSTYMPYPESRDQRIGSSLSIPFTHQATLFTNQLNRVSSLAQQPIVQGMSVESIIQPSSQVSTQQLHSCLGSGSQKLVEASSLSFNESGTLGSFAVSVQSKVVSSGTGSSIISPPQYSGIQGDQKFNATPVLLPVMPIGGLHHGRLGNPVIDMTSSGYIGEPQVHFRNPNLTWLPVLPAAAAAVGATYHPPCVASDGSCYVMQHVHPYPLMKQFHLDLVMAVCCLWRLVSSEVCSFDCA >EOY30861 pep chromosome:Theobroma_cacao_20110822:9:6033812:6039614:1 gene:TCM_037921 transcript:EOY30861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MANSGEVEAQYESEPDESLLLRRRREASDDDKDVEMEENKMPVRFSRSVRRTGCADESDGGSGAPEFYEDDDDEEGSYVHELEKLGEEVEEEREEEVAKQVTSTKQRGKEKVNDADIDPFAVPRTGAFYMHDDRFGGLRRRSRDQRRKKYIWESKDELKWMHDKFEEMTLEKPHNNELNAFEVHRTSKGHQQGRNKDNTKSGGYKAKDSRPHSKSGSNGSSSKIVKGRGPVRYKPLLRSSSVNSPMKSMQFEKTQGTSSKTSSARVISANNRRQYKRLLGKTSNADSSERALSIKCKQPAKPFEMTRDMDSSTVFSTKSKYSGDLQMVSYIDPASVSFHRFNADSDSLPFDQHLLVANMSSYLQAFYPASSFNLDNSRTQKRMVLPGSRNVTFPASVQPNQIIHVPQSNEYLPENIVLDIPDAKEGVSLVPENYFCEHSFRYSVPGSTYMPYPESRDQRIGSSLSIPFTHQATLFTNQLNRVSSLAQQPIVQGMSVESIIQPSSQVSTQQLHSCLGSGSQKLVEASSLSFNESGTLGSFAVSVQSKVVSSGTGSSIISPPQYSGIQGDQKFNATPVLLPVMPIGGLHHGRLGNPVIDMTSSGYIGEPQVHFRNPNLTWLPVLPAAAAAVGATYHPPCVASDGSCYGNLTTPFVDNASYATCTSIPTNEAVSSGSSNGSLLSLETG >EOY30856 pep chromosome:Theobroma_cacao_20110822:9:6033321:6041328:1 gene:TCM_037921 transcript:EOY30856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MANSGEVEAQYESEPDESLLLRRRREASDDDKDVEMEENKMPVRFSRSVRRTGCADESDGGSGAPEFYEDDDDEEGSYVHELEKLGEEVEEEREEEVAKQVTSTKQRGKEKVNDADIDPFAVPRTGAFYMHDDRFGGLRRRSRDQRRKKYIWESKDELKWMHDKFEEMTLEKPHNNELNAFEVHRTSKGHQQGRNKDNTKSGGYKAKDSRPHSKSGSNGSSSKIVKGRGPVRYKPLLRSSSVNSPMKSMQFEKTQGTSSKTSSARVISANNRRQYKRLLGKTSNADSSERALSIKCKQPAKPFEMTRDMDSSTVFSTKSKYSGDLQMVSYIDPASVSFHRFNADSDSLPFDQHLLVANMSSYLQAFYPASSFNLDNSRTQKRMVLPGSRNVTFPASVQPNQIIHVPQSNEYLPENIVLDIPDAKEGVSLVPENYFCEHSFRYSVPGSTYMPYPESRDQRIGSSLSIPFTHQATLFTNQLNRVSSLAQQPIVQGMSVESIIQPSSQVSTQQLHSCLGSGSQKLVEASSLSFNESGTLGSFAVSVQSKVVSSGTGSSIISPPQYSGIQGDQKFNATPVLLPVMPIGGLHHGRLGNPVIDMTSSGYIGEPQVHFRNPNLTWLPVLPAAAAAVGATYHPPCVASDGSCYVMQHVHPYPLMKQFHLDLVMAVCCLWRLDMLSKLQSE >EOY30860 pep chromosome:Theobroma_cacao_20110822:9:6033512:6041328:1 gene:TCM_037921 transcript:EOY30860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MANSGEVEAQYESEPDESLLLRRRREASDDDKDVEMEENKMPVRFSRSVRRTGCADESDGGSGAPEFYEDDDDEEGSYVHELEKLGEEVEEEREEEVAKQVTSTKQRGKEKVNDADIDPFAVPRTGAFYMHDDRFGGLRRRSRDQRRKKYIWESKDELKWMHDKFEEMTLEKPHNNELNAFEVHRTSKGHQQGRNKDNTKSGGYKAKDSRPHSKSGSNGSSSKIVKGRGPVRYKPLLRSSSVNSPMKSMQFEKTQGTSSKTSSARVISANNRRQYKRLLGKTSNADSSERALSIKCKQPAKPFEMTRDMDSSTVFSTKSKYSGDLQMVSYIDPASVSFHRFNADSDSLPFDQHLLVANMSSYLQAFYPASSFNLDNSRTQKRMVLPGSRNVTFPASVQPNQIIHVPQSNEYLPENIVLDIPDAKEGVSLVPENYFCEHSFRYSVPGSTYMPYPESRDQRIGSSLSIPFTHQATLFTNQLNRVSSLAQQPIVQGMSVESIIQPSSQVSTQQLHSCLGSGSQKLVEASSLSFNESGTLGSFAVSVQSKVVSSGTGSSIISPPQYSGIQGDQKFNATPVLLPVMPIGGLHHGRLGNPVIDMTSSGYIGEPQVHFRNPNLTCCRCHISSSLCCF >EOY30859 pep chromosome:Theobroma_cacao_20110822:9:6033321:6041328:1 gene:TCM_037921 transcript:EOY30859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MANSGEVEAQYESEPDESLLLRRRREASDDDKDVEMEENKMPVRFSRSVRRTGCADESDGGSGAPEFYEDDDDEEGSYVHELEKLGEEVEEEREEEVAKQVTSTKQRGKEKVNDADIDPFAVPRTGAFYMHDDRFGGLRRRSRDQRRKKYIWESKDELKWMHDKFEEMTLEKPHNNELNAFEVHRTSKGHQQGRNKDNTKSGGYKAKDSRPHSKSGSNGSSSKIVKGRGPVRYKPLLRSSSVNSPMKSMQFEKTQGTSSKTSSARVISANNRRQYKRLLGKTSNADSSERALSIKCKQPAKPFEMTRDMDSSTVFSTKSKYSGDLQMVSYIDPASVSFHRFNADSDSLPFDQHLLVANMSSYLQAFYPASSFNLDNSRTQKRMVLPGSRNVTFPASVQPNQIIHVPQSNEYLPENIVLDIPDAKEGVSLVPENYFCEHSFRYSVPGSTYMPYPESRDQRIGSSLSIPFTHQATLFTNQLNRVSSLAQQPIVQGMSVESIIQPSSQVSTQQLHSCLGSGSQKLVEASSLSFNESGTLGSFAVSVQSKVVSSGTGSSIISPPQYSGIQGDQKFNATPVLLPVMPIGGLHHGRLGNPVIDMTSSGYIGEPQVHFRNPNLTWLPVLPAAAAAVGATYHPPCVASDGSCYVMQHVHPYPLMKQFHLDLVMAVCCLWRLVFKDEHEGVNCINWMFIIEQLRD >EOY30858 pep chromosome:Theobroma_cacao_20110822:9:6033321:6041293:1 gene:TCM_037921 transcript:EOY30858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MANSGEVEAQYESEPDESLLLRRRREASDDDKDVEMEENKMPVRFSRSVRRTGCADESDGGSGAPEFYEDDDDEEGSYVHELEKLGEEVEEEREEEVAKQVTSTKQRGKEKVNDADIDPFAVPRTGAFYMHDDRFGGLRRRSRDQRRKKYIWESKDELKWMHDKFEEMTLEKPHNNELNAFEVHRTSKGHQQGRNKDNTKSGGYKAKDSRPHSKSGSNGSSSKIVKGRGPVRYKPLLRSSSVNSPMKSMQFEKTQGTSSKTSSARVISANNRRQYKRLLGKTSNADSSERALSIKCKQPAKPFEMTRDMDSSTVFSTKSKYSGDLQMVSYIDPASVSFHRFNADSDSLPFDQHLLVANMSSYLQAFYPASSFNLDNSRTQKRMVLPGSRNVTFPASVQPNQIIHVPQSNEYLPENIVLDIPDAKEGVSLVPENYFCEHSFRYSVPGSTYMPYPESRDQRIGSSLSIPFTHQATLFTNQLNRVSSLAQQPIVQGMSVESIIQPSSQVSTQQLHSCLGSGSQKLVEASSLSFNESGTLGSFAVSVQSKVVSSGTGSSIISPPQYSGIQGDQKFNATPVLLPVMPIGGLHHGRLGNPVIDMTSSGYIGEPQVHFRNPNLTWLPVLPAAAAAVGATYHPPCVASDGSCYGNLTTPFVDNASYATCTSIPTNEAVSSGSSNGSLLSLETGIQG >EOY30855 pep chromosome:Theobroma_cacao_20110822:9:6033321:6041328:1 gene:TCM_037921 transcript:EOY30855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MANSGEVEAQYESEPDESLLLRRRREASDDDKDVEMEENKMPVRFSRSVRRTGCADESDGGSGAPEFYEDDDDEEGSYVHELEKLGEEVEEEREEEVAKQVTSTKQRGKEKVNDADIDPFAVPRTGAFYMHDDRFGGLRRRSRDQRRKKYIWESKDELKWMHDKFEEMTLEKPHNNELNAFEVHRTSKGHQQGRNKDNTKSGGYKAKDSRPHSKSGSNGSSSKIVKGRGPVRYKPLLRSSSVNSPMKSMQFEKTQGTSSKTSSARVISANNRRQYKRLLGKTSNADSSERALSIKCKQPAKPFEMTRDMDSSTVFSTKSKYSGDLQMVSYIDPASVSFHRFNADSDSLPFDQHLLVANMSSYLQAFYPASSFNLDNSRTQKRMVLPGSRNVTFPASVQPNQIIHVPQSNEYLPENIVLDIPDAKEGVSLVPENYFCEHSFRYSVPGSTYMPYPESRDQRIGSSLSIPFTHQATLFTNQLNRVSSLAQQPIVQGMSVESIIQPSSQVSTQQLHSCLGSGSQKLVEASSLSFNESGTLGSFAVSVQSKVVSSGTGSSIISPPQYSGIQGDQKFNATPVLLPVMPIGGLHHGRLGNPVIDMTSSGYIGEPQVHFRNPNLTWLPVLPAAAAAVGATYHPPCVASDGSCYGNLTTPFVDNASYATCTSIPTNEAVSSGSSNGSLLSLETGYVEQTAERVNNDCGIQKFKPTRYSRMNMKE >EOY31614 pep chromosome:Theobroma_cacao_20110822:9:10510970:10511917:-1 gene:TCM_038586 transcript:EOY31614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase MLDQALQVTFATQAKLKIRNKGSIFQFKADFKREPMEKTTLIIFVGITACLVSTTSATAGIATFYTSYIPSACFGNQNNGNMIAAAGDALWNNGAVCGKTFTVTCTGARNAVPHPCTGKSVTVKIVDHCPGCPSTLDLSKEAFTLIADPVAGIINIDYKQL >EOY30147 pep chromosome:Theobroma_cacao_20110822:9:3564071:3566658:-1 gene:TCM_037456 transcript:EOY30147 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MEIPPPSPKRNPEKRQVRIQQACTFPMITINAIDEEVSKKKRKMERTDFPQQVEIVDLESDLFSITAIPDKGTTKKNAISVEQYCEQRDIQLAIKASMTTSDDNYIDLDIYDDDLFVLNFEPLKTPPRKKRGKRKKPFSDCSITEPGESSNSKAVEGPPFICEICVEPRQANESFNIKGCSHAYCKDCMIKYVASKVQNKITAITCPVANCEGLLEPEYCRNILPREVFDRWGDALCEAVISGSERFYCPFKDCSMLLIDDGGQAVRESICPNCRRLFCAQCKVPWHAGVECGEFQRLHTDERQREDILLMKLAKDKKWARCPRCGFVVERTEGCRYMRCRICSLAPLEPTMDDCSTSFIDLLTCTRIKNFIAS >EOY30146 pep chromosome:Theobroma_cacao_20110822:9:3562321:3566683:-1 gene:TCM_037456 transcript:EOY30146 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 1 MEIPPPSPKRNPEKRQVRIQQACTFPMITINAIDEEVSKKKRKMERTDFPQQVEIVDLESDLFSITAIPDKGTTKKNAISVEQYCEQRDIQLAIKASMTTSDDNYIDLDIYDDDLFVLNFEPLKTPPRKKRGKRKKPFSDCSITEPGESSNSKAVEGPPFICEICVEPRQANESFNIKGCSHAYCKDCMIKYVASKVQNKITAITCPVANCEGLLEPEYCRNILPREVFDRWGDALCEAVISGSERFYCPFKDCSMLLIDDGGQAVRESICPNCRRLFCAQCKVPWHAGVECGEFQRLHTDERQREDILLMKLAKDKKWARCPRCGFVVERTEGCRYMRCRCGTAFCYDCGSAQMDGHHYCHKCKR >EOY34723 pep chromosome:Theobroma_cacao_20110822:9:41910210:41919150:1 gene:TCM_042309 transcript:EOY34723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MFQNSAEFRILMMSTSRAWTVAAFFFMWLFGSSLLVGAQNGITDPVEVRALQAIKGSLIDTNKNLSNWNRGDPCTSNWTGVLCFNTTQDDGYLHVKELQLLHMNLSGTLSPELGRLSRLNILDFMWNSISGSIPKEIGNITSLELLLLNGNHLTGSLPEELGYLPNLDRIQIDENNISGPIPISFANLDKTKHFHMNNNSISGQIPPELARLPYLVHFLLDNNNLSGYLPPELSRMPNLTILQLDNNNFDGTTIPDTYGNMSNLLKLSLRNCHLQGPIPDLSRIPQLGYLDLSSNQLNGTIPTNQLSQNITTIDLSNNKLTGSIPANFSGLPNLQILSLANNSLNGSISSFLWQNKTLNATESLTLDLENNMLTNISGSINLPPNVTLWLKGNPVCVNVDLSLNQLCGSRSQNDTRSPSTTNSTTACPPQSCPFPYEYSPTSNISCFCAAPLLVEYRLKSPGFSDFPPYRIRFEAYLTSGLKLDFHQLYIDSFEWEEGPRLKMYLKLYPVYNASGNDRHKFDKSEVQRIRSMFTGWLISDSDIFGPYELLNFPLLDIYRDVSVTTSKSGISTGALIGIVLGGIAVAVTLSAVVTLLILRVRLKNYHVVSKRRHTSKASMKIDGVKSFTYAELAMATNNFNSSTQVGQGGYGKVYRGNLADGMVVAIKRAQEGSLQGEKEFLTEIQLLSRLHHRNLVSLIGYCDEEGEQMLVYEFMSNGTLRDHLSAKSKEPLSFAMRLRVSLGSAKGILYLHTEADPPIFHRDIKASNILLDSKFTAKVADFGLSRLAPVPDVEGALPTHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNVAYHSGMIFSVVDGRMGSYPSECVEKFVTLALKCCQDETDSRPSMADVVRELENIWLMMPESEIGVPESIDTVPEKMTPPSSSSMVKNPYVSSDVSGSDLVSGVVPTITPR >EOY34724 pep chromosome:Theobroma_cacao_20110822:9:41910269:41919126:1 gene:TCM_042309 transcript:EOY34724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 2 MFQNSAEFRILMMSTSRAWTVAAFFFMWLFGSSLLVGAQNGITDPVEVRALQAIKGSLIDTNKNLSNWNRGDPCTSNWTGVLCFNTTQDDGYLHVKELQLLHMNLSGTLSPELGRLSRLNILDFMWNSISGSIPKEIGNITSLELLLLNGNHLTGSLPEELGYLPNLDRIQIDENNISGPIPISFANLDKTKHFHMNNNSISGQIPPELARLPYLVHFLLDNNNLSGYLPPELSRMPNLTILQLDNNNFDGTTIPDTYGNMSNLLKLSLRNCHLQGPIPDLSRIPQLGYLDLSSNQLNGTIPTNQLSQNITTIDLSNNKLTGSIPANFSGLPNLQILSLANNSLNGSISSFLWQNKTLNATESLTLLKGNPVCVNVDLSLNQLCGSRSQNDTRSPSTTNSTTACPPQSCPFPYEYSPTSNISCFCAAPLLVEYRLKSPGFSDFPPYRIRFEAYLTSGLKLDFHQLYIDSFEWEEGPRLKMYLKLYPVYNASGNDRHKFDKSEVQRIRSMFTGWLISDSDIFGPYELLNFPLLDIYRDVSVTTSKSGISTGALIGIVLGGIAVAVTLSAVVTLLILRVRLKNYHVVSKRRHTSKASMKIDGVKSFTYAELAMATNNFNSSTQVGQGGYGKVYRGNLADGMVVAIKRAQEGSLQGEKEFLTEIQLLSRLHHRNLVSLIGYCDEEGEQMLVYEFMSNGTLRDHLSAKSKEPLSFAMRLRVSLGSAKGILYLHTEADPPIFHRDIKASNILLDSKFTAKVADFGLSRLAPVPDVEGALPTHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNVAYHSGMIFSVVDGRMGSYPSECVEKFVTLALKCCQDETDSRPSMADVVRELENIWLMMPESEIGVPESIDTVPEKMTPPSSSSMVKNPYVSSDVSGSDLVSGVVPTITPR >EOY33630 pep chromosome:Theobroma_cacao_20110822:9:38316845:38322400:-1 gene:TCM_041550 transcript:EOY33630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 2 MVLQSFVREREMAAVFPALFMITVLVLEAAVVVGGFPAALQLERRVPLATHELELSRLRERDRVRHGRLLQSSGGVVDFPVQGTYDPFLVGLYYTKIQLGSPPRDFYVQIDTGSDVLWIGCNSCNGCPQSSGLQIQLNLYDPGSSSTASLVSCSDQRCSAGVQSSDSGCSGQSNQCSYTFQYGDGSGTSGYYASDLLHLDTILEGSMTTKSTAPIMFGCSMLQTGDLTKSDRAVDGIFGFGQQSLSVISQLSSQGITPRVFSHCLIGNNGGGGILVLGEILEPNMVYTPLVPSHVTDIFPQVSLNFAGGASMILNPQDYLVQQNSIGGAAVWCIGFQKIQGQGITILGDLVLKDKIFVYDLANQRIGWTNYDCSMSVNVTANVNTGRSEFVNAGQMSDGGSPQNQPRSI >EOY33628 pep chromosome:Theobroma_cacao_20110822:9:38317791:38322155:-1 gene:TCM_041550 transcript:EOY33628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 2 MVLQSFVREREMAAVFPALFMITVLVLEAAVVVGGFPAALQLERRVPLATHELELSRLRERDRVRHGRLLQSSGGVVDFPVQGTYDPFLVGLYYTKIQLGSPPRDFYVQIDTGSDVLWIGCNSCNGCPQSSGLQIQLNLYDPGSSSTASLVSCSDQRCSAGVQSSDSGCSGQSNQCSYTFQYGDGSGTSGYYASDLLHLDTILEGSMTTKSTAPIMFGCSMLQTGDLTKSDRAVDGIFGFGQQSLSVISQLSSQGITPRVFSHCLIGNNGGGGILVLGEILEPNMVYTPLVPSQPHYNLDLRSISVGGQVLSIDPSVFSTSSNQGTIVDSGTTLAYLADQAYDAFVSAITNTVSQTVRPVLSKGNQCYLISSNVTDIFPQVSLNFAGGASMILNPQDYLVQQNSIGGAAVWCIGFQKIQGQGITILGGIFTEQNVLINWRVNSL >EOY33627 pep chromosome:Theobroma_cacao_20110822:9:38316526:38322397:-1 gene:TCM_041550 transcript:EOY33627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 2 MVLQSFVREREMAAVFPALFMITVLVLEAAVVVGGFPAALQLERRVPLATHELELSRLRERDRVRHGRLLQSSGGVVDFPVQGTYDPFLVGLYYTKIQLGSPPRDFYVQIDTGSDVLWIGCNSCNGCPQSSGLQIQLNLYDPGSSSTASLVSCSDQRCSAGVQSSDSGCSGQSNQCSYTFQYGDGSGTSGYYASDLLHLDTILEGSMTTKSTAPIMFGCSMLQTGDLTKSDRAVDGIFGFGQQSLSVISQLSSQGITPRVFSHCLIGNNGGGGILVLGEILEPNMVYTPLVPSQPHYNLDLRSISVGGQVLSIDPSVFSTSSNQGTIVDSGTTLAYLADQAYDAFVSAITNTVSQTVRPVLSKGNQCYLISSNVTDIFPQVSLNFAGGASMILNPQDYLVQQNSIGGAAVWCIGFQKIQGQGITILGDLVLKDKIFVYDLANQRIGWTNYDCSMSVNVTANVNTGRSEFVNAGQMSDGGSPQNQPRSIKALLLHMFMIAGLLFL >EOY33629 pep chromosome:Theobroma_cacao_20110822:9:38316845:38322400:-1 gene:TCM_041550 transcript:EOY33629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 2 MVLQSFVREREMAAVFPALFMITVLVLEAAVVVGGFPAALQLERRVPLATHELELSRLRERDRVRHGRLLQSSGGVVDFPVQGTYDPFLVGLYYTKIQLGSPPRDFYVQIDTGSDVLWIGCNSCNGCPQSSGLQIQLNLYDPGSSSTASLVSCSDQRCSAGVQSSDSGCSGQSNQCSYTFQYGDGSGTSGYYASDLLHLDTILEGSMTTKSTAPIMFGCSMLQTGDLTKSDRAVDGIFGFGQQSLSVISQLSSQGITPRVFSHCLIGNNGGGGILVLGEILEPNMVYTPLVPSQPHYNLDLRSISVGGQVLSIDPSVFSTSSNQGTIVDSGTTLAYLADQAYDAFVSAITNTVSQTVRPVLSKGNQCYLISSKLTSLRYYFILFSSSVLSSKMIVTDFMLTFFQCH >EOY33631 pep chromosome:Theobroma_cacao_20110822:9:38317156:38322400:-1 gene:TCM_041550 transcript:EOY33631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein isoform 2 MVLQSFVREREMAAVFPALFMITVLVLEAAVVVGGFPAALQLERRVPLATHELELSRLRERDRVRHGRLLQSSGGVVDFPVQGTYDPFLVGLYYTKIQLGSPPRDFYVQIDTGSDVLWIGCNSCNGCPQSSGLQIQLNLYDPGSSSTASLVSCSDQRCSAGVQSSDSGCSGQSNQCSYTFQYGDGSGTSGYYASDLLHLDTILEGSMTTKSTAPIMFGCSMLQTGDLTKSDRAVDGIFGFGQQSLSVISQLSSQGITPRVFSHCLIGNNGGGGILVLGEILEPNMVYTPLVPSHVTDIFPQVSLNFAGGASMILNPQDYLVQQNSIGGAAVWCIGFQKIQGQGITILGGIFTEQNVLINWRVNSL >EOY31087 pep chromosome:Theobroma_cacao_20110822:9:6935092:6935850:-1 gene:TCM_038095 transcript:EOY31087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFRNHPVELKITVSVMLIFYLTFSPSKSVGSVSVTANDSSKQVMKMVLGSRPPKCVNKCFSCRPCMAALVASPHHRNGRSSSYQGDESYYLLAWKCKCGDKFFQP >EOY30642 pep chromosome:Theobroma_cacao_20110822:9:5247104:5248350:1 gene:TCM_037778 transcript:EOY30642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNFSSFRNYISGLPVKNNGYWSIYPTLQTSTPIQYISDSYIQCIVITQSPAFIYKKKKTTKNHQSCTLQYHRWH >EOY32894 pep chromosome:Theobroma_cacao_20110822:9:34277723:34280186:1 gene:TCM_040908 transcript:EOY32894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISILSMFTVKFAYEMQLENHPPHTICWRKVWKLHASNKVRMFIWRLLHESLPTVSWLANRGLSTSSASSFCGAHEENLVHALRDCNRVKNIWLAFNSGLTNSGFF >EOY29992 pep chromosome:Theobroma_cacao_20110822:9:2985261:2985981:-1 gene:TCM_037347 transcript:EOY29992 gene_biotype:protein_coding transcript_biotype:protein_coding description:17.6 kDa class II heat shock protein MDLRNFGFDSPLLTILEDILDIPEEHEKSRNNPSRAYVRDAKAMAATPADVIDYPTSYVFIVDMPGINPSEIKVQVENDNVLVVSGERKLEKEKDEKDGVKYVRMERRVGKFLRKFALPDNANMDKISAASQDGVLRVTVEKLPPPEPKKPKTIEVKVA >EOY32944 pep chromosome:Theobroma_cacao_20110822:9:34588364:34592579:1 gene:TCM_040959 transcript:EOY32944 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-(cytidine 5'-phospho)-2-C-methyl-D-erithritol kinase MASSHLLCNHSLFFPPSSKNSHLSITPQTKLQFRRTPFPKASKKQLEIVYDPDERLNKLADEVDKQAPFSRLTLFSPCKINVFLRITNKREDGYHDLASLFHTVSLGDIIKFSLSPSKTKDRLSSNVSGVPLDDRNLIIKALNLYRKKTGSNNFFWVHLDKKVPTGAGLGGGSSNAATALWAANQFNGCIATEKELQEWSSEIGSDIPFFFSHGAAYCTGRGEVVQDIFPPLPLDIPMVLIKPKESCSTAEVYKCLRLDQTSKVDPLALLEKISRNGVSQDVCINDLEPPAFEVLPSLKRLKQRVTAAGRGQYDAVFMSGSGSTIVGIGSPDPPQFVYDDDDYRDVFLSEANFINREENEWYKELTSVTACQPPEASQTLE >EOY32328 pep chromosome:Theobroma_cacao_20110822:9:27140890:27169846:1 gene:TCM_040115 transcript:EOY32328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDWLTAHRANVDCFRKEVVLRNSEGAEIVFVGKRQVLPSCVISAIKASKLVQTYLAYVIDTSKGEPKLEDVPIVSEFPDVFPDDLPGLPPDRELEFPIDLLPGTAPISIPPYRMAPAELKELKVQLQELVDKGFIRPSISPWGAPILFVKKKDALQSCYFPALIEMKSLGVQLRNEIQKLTDGGVSEFRFGEDNVLMFRDRVCVPEENQLRQAIMEKAHSSTYALHPGSTKMYRTIRENYWWPGMKRDVAEFVAKCLVCQQVKAEHQRPAGTLQSLPVPEWKWEHVTMDFVLGLPRTQRGKDAIWVIVDRLTKSAHFLAVHSTYSIEKLAQLYIDEIVRLHGVPVSIVSDRDPRFTSRFWPKF >EOY33791 pep chromosome:Theobroma_cacao_20110822:9:39003822:39005991:1 gene:TCM_041667 transcript:EOY33791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALNPRLVMEQFSVSSVSSPRSVLPQNILADQIPISIVEQRIQTDVPQSAMEDIVRDSSADDQPHENLTFNMPQNAQQVVENSIDHSSSNCSLETLEGSSNTTQKTTNYSTVHNMNELVLEDMHGKEDSIEHNIKSSEGESETMMSSKDTKELSGPFRESDPGSVEHLEGGSEKLIEYDTGISPSNVNSDVPETMIRSEEEPTNSPWKVIKEGNIVENINDSVVNEKVDGEKSTTSEGESQFSIRLEAVKGPEESNEHEADVNKKEAHESDNTSIPETATQGEKSAAEVDSIGEVNDLLADNITNKEILNHVLDGEGEPQILSRREAVMEPSNTTEATSAGTVEDTEHESKRLTDAEANAGLSTSAEESDSLNNIKNGDAPKLGEDDLKDIENIPAAGDITTGIPIDHEIAMEASKATESEVKAVNPEENDSNAVAN >EOY31123 pep chromosome:Theobroma_cacao_20110822:9:7127191:7135674:1 gene:TCM_038122 transcript:EOY31123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brca1-associated protein, putative MSILRVHSVDSEQPITTNEEIEFYTVTSPSNPSPIFSERRGVVHLYRKASQGSLPNPSSRSTSLFVVAVPNYLSAADFIRFSGPHLENITHLLFIRNDGIEDRYSVFIKLVDQLAADGFYRSLNGKRFSPAEAELCHILFTHSVEYTESGDIASTPPVGFTELPTCPICLERLDPDTSGILSTFCDHSFQCSCTSKWTYLSCTVCRFCQQQDEKPACSICGSLENLWVCLICGFMGCGRYKEGHAVRHWKDTQHCYSLELISQQIWDYVGDGYVHRLNQSKADGKSVEMNSRCMSLEGNCGSCGYGDDSGIREAIYSSKVEAIFDEYSRLLATEMEKQRQNYESLLAESKSKRDSSIAEAVEKAVTSEMLDIQNKLDKCTEEKNALAEINRNLIKNQQVWRENVKEIEEREASQLRLKDEKILDLEEQIRDLKVYIEAQKTLIDMTDSDGIRGGTLLPVPQTQSSLANTRRHKKSSRRRN >EOY30335 pep chromosome:Theobroma_cacao_20110822:9:4250685:4253845:1 gene:TCM_037581 transcript:EOY30335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MEKRLSDAAMEGDIQSLQDLLQEDPLILHRSMVSCVSETPLHVSSMLGHVNFVQELLNLNPELASELDFHSCSALHLAAAKGLLEIVKELVRVDPGMCMVRNQDGKTPLHLAAIKGRVKVLRELVRARPESAQVVTERDETALHLCVGSNRLLGLKSLVEEIGKDDDVLVNEKDCDGNTVLHIAVAKKQIEIIKFLLTVPGLDINAINKHGFTVLDTLTQSPRDLRDMEIECILRDAGVLSAKDLQIITPEWVLTPTKVPQITRSLVSYVSAEESNSRKPIKTHKHTDWLGRKRSALMVVASLIATVAFQAAITPPGGVWQADETVDGNGNPLEHPRKAGTAVMAYSQDIEYGQFMIFNTLAFLASLSIILLLVSGLPIKKRRWMWIQMIIMWVAITAQVITYFISLRHMSPESAAGMLRDVTEISVLAWLCLMGVVFIGNVVRMNLWVLRKYGFIKEKEQKPSAQVDNDQEDV >EOY30924 pep chromosome:Theobroma_cacao_20110822:9:6280120:6288333:-1 gene:TCM_037965 transcript:EOY30924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 10 MELGHYRTRSNVPSTSRMKEEDDEEALKWASLERLPTYERARKGVLHGILGDFKEIDLRDLGFQERKDLLDKFMKNADKNEEYLKKLKARIDRVSLNLPTIEVRFENINVQGEAYVGSRALPTIFNSIFNTIEGVGHYLHILSSQKKKFSILRDLSGIIKPGRLTLLLGPPGSGKTTLLQALTGKFDSDVKFSGKVTYNGHEMHEFVPQRTSAYISQYDIHIPQLTVRETLEFSAKCQGVGTGYEMLTELLRREKELNIRPDPYIDALMKASVLEGHKEEIVTDYVLKILGLEVCADTMVGDAMLRGVSGGQKKRVTTGEMLVGPVGALFMDNISTGLDSSTTYQIVNSIKQSIHIFNKTAMISLLQPPPETFELFDDIILLSEGRIVYQGPREYVLEFFESMGFKCPERKGIADYLQEVTSRKDQRQYWFHKELPYRFISVNEFAEAFNSFHIGLAIRHQLANPFDRSESHPAALTKTRYGASKKELMKACLSREVILMKRNAFLHVFKIFQLELSAVIVATVFVQARKHHSTIEDGTVYLGALFFVLNTVTFTGFFELPLTIDKLPIFYKQRDLLFYPSWAFSLPTSILGIPISIFEVALWVAITYHIIGFNPNVTRPVSRNKLQYITLVFLLMSYVLFRCIAALARDHTVANTTGCLAVMWLLMFSGFILSQENMQKWLIWGYWTSPLMYVQTAISVNEFLGGDWNQALNGTKESLGMAVLKARGVFTSAIWYWIGLIALVGFIFLFNGICTLAFAYLDQYGKSQAVFLSEESAKEDTIRRGEGEGNEILLDKNNSKTKRASRRAVALCNYQERGMLLPFIPLIVTFENVTYSVDMPKAMKSQGVLDNRLVLLKGVNGAFRPGVLTALMGVSGAGKTTLLDVLAGRKNNGYIEGNITVSGYPKKQDTFARVTGYCEQNDIHSPLVTVYESLLYSAWLRLPRDIDTQSRELFVEEVMELIELIPLREALVGFPNVNGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDEVFLLTRGGEEIYFGPLGRHSGHLLKYFEEINGVGKIKDGCNPATWVLEVTTRAQEEILGVQFADEYKKSDLYRRNKALISELCTPPPDSQDLHFPTKYAQSYFTQFKACLWKQHKSYWRNTPYNAVRLYFSTAVSIMFGVLFWNLGSKRGTRQDVFNAMGAMYTAITFMGAQSAASVRPVVIAERTVFYRERGAGMYSALPYAFAQVAIEIPYTVAQVAIYGVVVYAMMGFEWTVSKFFLNTFFMFITVLCYIYYGIMVIAISPNQAAAAVLSGVFYTMWNLFSGFIIPRPRIIAWWRWYAWVCPVSWSLWGMATSQYGNLQTRLESGETVAQLMEDYFGFRRDWLWIVSLVLIGFTLLFACVFALSMKFLNFQKR >EOY31171 pep chromosome:Theobroma_cacao_20110822:9:7358270:7359624:1 gene:TCM_038153 transcript:EOY31171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 713 isoform 2 MVARGQVVLAEFSATQTNASTIVRQILEKMKEGKNDSNSSFSHDRYIFHVKRTDCLTVLCMADDASGRRIPFAFLEDIHQKFVKTYGRAIHSASAYAMNDEFSRVLSQQMDHFSNDPNADRLNRLKGEMSQVRSVMIDNIEKVLERGDRLALLVEKTSAMQGNTLRFKRQARRYKNALWWRDCKFTATLILLLLLVILYVLLTFFCHGLFLSSCLK >EOY31172 pep chromosome:Theobroma_cacao_20110822:9:7358319:7359704:1 gene:TCM_038153 transcript:EOY31172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 713 isoform 2 MVARGQVVLAEFSATQTNASTIVRQILEKMKEGKNDSNSSFSHDRYIFHVKRTDCLTVLCMADDASGTSAYAMNDEFSRVLSQQMDHFSNDPNADRLNRLKGEMSQVRSVMIDNIEKVLERGDRLALLVEKTSAMQGNTLRFKRQARRYKNALWWRDCKFTATLILLLLLVILYVLLTFFCHGLFLSSCLK >EOY33136 pep chromosome:Theobroma_cacao_20110822:9:35584561:35587781:1 gene:TCM_041119 transcript:EOY33136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALASELTLKQLQDFHNIDRLAYTRLVIGLGFDLFPSMKIVAFWNFLERRGFKHFVKNLQQLPDPLLFSLAKEAIMFLHCLYLSTQEIFPRVHRDFPVTSKLVGQHISLAYLLKNRECAKRMIEDFVEDVCRLAFCDIVLTRLARKKSSKSNSALQCQTPHGGKMQQKVATVTKDKASVGSEDRTLFMTFSRGHPVINQDLHGFIVRKFGECVEAIYMDKSPLPLFACVVLKSLSDMSMILGGKKLVKFFINGKQVRARRFVSKSVEQSRCTTARATGIPERRLSSFC >EOY29427 pep chromosome:Theobroma_cacao_20110822:9:1147940:1151863:-1 gene:TCM_046905 transcript:EOY29427 gene_biotype:protein_coding transcript_biotype:protein_coding description:EamA-like transporter family isoform 1 MGWRYKAGLFLIGTVVVIWVTSAEVTQDIFTDYKQPFAVTYLGASLMVIYLPIAFIKDWLCNLLRRRSSKTVKEVESIDVSSIELGSPLRHKIYEMEPQVTLARKDSDADLSPHIEGRPLVPKNKDEVHFLKQDKELTTREIATFGFYIAPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGAFLGQDSLNVAKVVAVFVSMAGVGMTTLGKTWAADDSIGSSSNGKRSLVGDLFGLLSAMSYGLFTVLLKKFAGEEGERVDVQKLFGYIGLFTLVALWWLVWPLTALGIEPKFTIPHSAKLDEVVLANGFVGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMVIHGRHYSAIYILGSAQVFGGFVIANCSDWFSRKLGL >EOY29428 pep chromosome:Theobroma_cacao_20110822:9:1147933:1151607:-1 gene:TCM_046905 transcript:EOY29428 gene_biotype:protein_coding transcript_biotype:protein_coding description:EamA-like transporter family isoform 1 MYPMKDIFTDYKQPFAVTYLGASLMVIYLPIAFIKDWLCNLLRRRSSKTVKEVESIDVSSIELGSPLRHKIYEMEPQVTLARKDSDADLSPHIEGRPLVPKNKDEVHFLKQDKELTTREIATFGFYIAPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGAFLGQDSLNVAKVVAVFVSMAGVGMTTLGKTWAADDSIGSSSNGKRSLVGDLFGLLSAMSYGLFTVLLKKFAGEEGERVDVQKLFGYIGLFTLVALWWLVWPLTALGIEPKFTIPHSAKLDEVVLANGFVGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMVIHGRHYSAIYILGSAQVFGGFVIANCSDWFSRKLGL >EOY34649 pep chromosome:Theobroma_cacao_20110822:9:41690796:41694077:-1 gene:TCM_042251 transcript:EOY34649 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA methylation 4, putative isoform 4 MASNGENSSATPQSTDDKPVIVRVKRKVSQSRLDAFWLEINERPLKRPFSDFQKLSISESSQKEELKSKKLFVRHVNTVISSEATVDILQSFMPGSADATTGNAKSQKGRHPHKTDNQREQLSKSIQKQEEIAKNDCFEQIWRSRRGKKEAVDDMYHFYDVVRVDVEESSNSMQAEEEISLDDCKLLSSYLPLLRECIPAAAAEIESDMRAYMYERDEYVYDYYTVKDDMDIDEDTASNPFPLVQVDDEDFYDVPYESEYDSEDSNAEDNPRNDYPDEISEEEEDEDAKSRASDQSEEGSEHTSNKSSEVGDVRCDRLVEDGDLLYEDDIYDGYHDDANHIRDGNSDDDTEGEDWRWSYR >EOY34648 pep chromosome:Theobroma_cacao_20110822:9:41690400:41694816:-1 gene:TCM_042251 transcript:EOY34648 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA methylation 4, putative isoform 4 MQIGFTCSWDLPGLDPLRSYPKILQFTKIIGKEITEANYFMASNGENSSATPQSTDDKPVIVRVKRKVSQSRLDAFWLEINERPLKRPFSDFQKLSISESSQKEELKSKKLFVRHVNTVISSEATVDILQSFMPGSADATTGNAKSQKGRHPHKTDNQREQLSKSIQKQEEIAKNDCFEQIWRSRRGKKEAVDDMYHFYDVVRVDVEESSNSMQAEEEISLDDCKLLSSYLPLLRECIPAAAAEIESDMRAYMYERDEYVYDYYTVKDDMDIDEDTASNPFPLVQVDDEDFYDVPYESEYDSEDSNAEDNPRNDYPDEISEEEEDEDAKSRASDQSEEGSEHTSNKSSEVGDVRCDRLVEDGDLLYEDDIYDGYHDDANHIRDGNSDDDTEGEDWRWSYR >EOY34650 pep chromosome:Theobroma_cacao_20110822:9:41690994:41693673:-1 gene:TCM_042251 transcript:EOY34650 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA methylation 4, putative isoform 4 MASNGENSSATPQSTDDKPVIVRVKRKVSQSRLDAFWPGSADATTGNAKSQKGRHPHKTDNQREQLSKSIQKQEEIAKNDCFEQIWRSRRGKKEAVDDMYHFYDVVRVDVEESSNSMQAEEEISLDDCKLLSSYLPLLRECIPAAAAEIESDMRAYMYERDEYVYDYYTVKDDMDIDEDTASNPFPLVQVDDEDFYDVPYESEYDSEDSNAEDNPRNDYPDEISEEEEDEDAKSRASDQSEEGSEHTSNKSSEVGDVRCDRLVEDGDLLYEDDIYDGYHDDANHIRDGNSDDDTEGEDWRWSYR >EOY34651 pep chromosome:Theobroma_cacao_20110822:9:41690803:41694234:-1 gene:TCM_042251 transcript:EOY34651 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA methylation 4, putative isoform 4 MASNGENSSATPQSTDDKPVIVRVKRKVSQSRLDAFWPGSADATTGNAKSQKGRHPHKTDNQREQLSKSIQKQEEIAKNDCFEQIWRSRRGKKEAVDDMYHFYDVVRVDVEESSNSMQAEDYLPLLRECIPAAAAEIESDMRAYMYERDEYVYDYYTVKDDMDIDEDTASNPFPLVQVDDEDFYDVPYESEYDSEDSNAEDNPRNDYPDEISEEEEDEDAKSRASDQSEEGSEHTSNKSSEVGDVRCDRLVEDGDLLYEDDIYDGYHDDANHIRDGNSDDDTEGEDWRWSYR >EOY32717 pep chromosome:Theobroma_cacao_20110822:9:33053751:33060260:-1 gene:TCM_040743 transcript:EOY32717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein, putative MRWVFKGELCAVACIPTDPVLVAAGNGDDRGFLWKIGHADWASELQGHTDSVSSLAFSNDGQCTWRWVRWHPKGHQILAGSEDYSVWMWNADNRKFNKYYVIYSGKTICTGSEDATLRIRNLSQPHHTEGLTCLSISSDSTFAVTGSKDGSVHIVNVTTGKPDLAAGSIGSSASRPDPTVGSSTLWLDLVVGKCRSSVVVARSGHMFDLLLKLYMAASPRLFLVAWIETLPYEMYNTRRRVSYVTTRLVDLFQNETHTNEGVTCLAWLGASKFLATGCCDGRIRLWNCLSGKCVATLKGHQHAIQSLSVSSNLEFLVSVSIDGTARVFEIRHFH >EOY30886 pep chromosome:Theobroma_cacao_20110822:9:6118682:6122962:1 gene:TCM_037936 transcript:EOY30886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 32 isoform 1 KKTISSVNNASLSNSSGSVGAKPENRRSAVAIQSEFNKRASKIGFGIHQTSQKLAKLAKLAKRTSVFDDPTAEIQELTSVIKQDITALNSAVVDLQLLCNSQNESGNISSDTTTHSTTVVDNLKNRLMSTTKEFKEVLTMRTENMKVHENRRQLFSSTASKDTTNPFIRQRPLVTRSAATSSTSPPPWANSSTSSSQLFPSKQADGESQPLLQQQHQQQQHQQLVPLQDSYMQSRAEALHNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDESLANVEGAQSQLVRYLNSISSNRWLMIKIFFILIVFLMFFLFFVA >EOY30885 pep chromosome:Theobroma_cacao_20110822:9:6118204:6123061:1 gene:TCM_037936 transcript:EOY30885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 32 isoform 1 MPVKSGQSSCRDRTQEFLSVAERLKKTISSVNNASLSNSSGSVGAKPENRRSAVAIQSEFNKRASKIGFGIHQTSQKLAKLAKLAKRTSVFDDPTAEIQELTSVIKQDITALNSAVVDLQLLCNSQNESGNISSDTTTHSTTVVDNLKNRLMSTTKEFKEVLTMRTENMKVHENRRQLFSSTASKDTTNPFIRQRPLVTRSAATSSTSPPPWANSSTSSSQLFPSKQADGESQPLLQQQHQQQQHQQLVPLQDSYMQSRAEALHNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDESLANVEGAQSQLVRYLNSISSNRWLMIKIFFILIVFLMFFLFFVA >EOY30757 pep chromosome:Theobroma_cacao_20110822:9:5700472:5705775:1 gene:TCM_037858 transcript:EOY30757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase, cytoplasmic MDSVFAAIAQGPEDPILGVTVAYNKDPSPIKLNLGVGAYRTEEGKPLVLNVVRKAEQLLVNDPSRVKEYLPILGFAEFNKLTAKLILGDDSPAIQESRVATAQCLSGTGSLRVGAEFLAKHYHQRTIYIPQPTWGNHLKVFTMAGLSVKTYRYYDPTTRGLHFQGLLEDLGAAPAGSIVLLHACAHNPTGVDPTVEQWEQIRQLIRSKGSLPFFDSAYQGFASGSLDADAQSVRMFVADGGECFIAQSYAKNMGLYGERVGALSIVCKAADVASRVESQLKLVIRPMYSNPPIHGASIAATILKNSDMYNEWKIELKAMAERIISMRKQLFDALSARGTPGDWSHIIKQIGMFTFTGLNSDQVAFMTKEYHIYMTSDGRISMAGLSSKTVPHLADAIHAAVTGSC >EOY33579 pep chromosome:Theobroma_cacao_20110822:9:38126067:38129319:-1 gene:TCM_041519 transcript:EOY33579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGVDAQGNPIDPRKIQEHFEGFYEDLFEELSKYGELESLNICDNLADHMVGNVYVQFKEEEHAANALRNLSGRFYAARPIIVDFSPVTDFREATCRQYDENTCNRGGYCNFMHLKRISRELKRQLFGRYRRRRSHSRSRSPQRHRSSHEERSHGGRGHSRRYDDRDRYHENRSRRHRSTSPGHRRGRSRSPGGKRNRSPVREGSEERRAKIEQWNREREQEENANKVDNNAADNNNENGNNGYVQNDDKNYQHQQE >EOY33475 pep chromosome:Theobroma_cacao_20110822:9:37703256:37710330:1 gene:TCM_041448 transcript:EOY33475 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein MYSNFKEQAIEYVKQAVQEDNAGNYSKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRAVLDEGGPGPASNGDAAVATRPKSKPKDGSGGGEGGDGEDPEQAKLRAGLNSAIIREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARDSAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFENLARRTEGFSGSDISVCVKDVLFEPVRKTQDAMFFYKTPNDMWMPCGPKQPGAVQITMQELAGKGLAAQILPPPISRSDFDKVLARQRPTVSKADLEVHERFTKEFGEEG >EOY33192 pep chromosome:Theobroma_cacao_20110822:9:35832598:35847421:1 gene:TCM_041159 transcript:EOY33192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde oxidase 2 MGEAVKQRSLVFAVNGHRYELLNVDDPSITLLEFLRSQTPFKSVKLGCGEGGCGACIVLLSKYDPVLDQVEDSAVSSCLTLLCSVDGCSITTAEGVGNSKDGFHPIQQRFAGFHASQCGFCTPGMCVSLFSALVNADKTNRPEPRPGFSKLTVSEAEKAIAGNLCRCTGYRPIADACKSFAADVDMEDLGLNSFWKKGESDEAKLSRLPSYNHSNASSKFPEFLKKHIKAGASLASQGYHWYSPASLEQLQSLLQENEANDGTSMKIIVGNTGMGYYKEQERYDKYIDLKYILELSIIRKDQTGIEIGAAVTISKAIEAMKEENEGDFHLESKTVFQKIAAHMEKIASGFVRNSGSIGGNLMMAQRKHFPSDIATILLPVGTIMNIVTGRKLEKLTLEEFLGRPPLDSKTILLSIKIPCWGSRRDISSETDTKLLFETYRAAPRPLGNALPYLNAAFLAEVSFCRNSTGVRLNNCQLAFGGYGTRRPIRARKVEEFLTGKLISVGVLYEATKVLGTIVIPEDGTSHPTYRTSLAVGYLFEFLSPLIDTLAEISDGFLNGILLKDSKTEPSCDEFGKNQLPTLLSSGEQVIQLSEEYHPVGKPITKAGAAIQASGEAVYVDDIPSPRNCLHGAFVYSTEPLARVKGIKFKPSSSTVGVTALMTVKDIPGKNVGSKSIFGEEPLYADEIAQCVGDRIAFVVADTQKHADLAANIAVVDYDKENLEPPILSVEEAVDRCSFFELPPSLYPLQFGDFSKGMDAADHQILSAQIKLGSQYYFYMETQTALAVPDEDNCIVVYSSNQFPEFTQDTIAQCLGLPGHNVRVVTRRIGGGFGGKAVKAVSVATACALAAYKLQRPVRIYMNRKTDMIMAGGRHPMKITYSVGFKTNGKITALKLDILMDAGIYSDVSLVIPGMMLGALKKYDWGALSFDVKVCKTNLPSRSAMRAPGDLQGSFIAEAIIEDVASTLSIEVDSVRNVNLHTYNSLDFFYKSSAGEPMEYTLPAIWDKLATSSSFYQRTEMLKEFNRCNKWRKRGISRVPIVHEVSVRATPGKVSILRDGSIVVEVGGIELGQGLWTKVKQMTAYALSLVQCGGTGELSKKVRVIQADTLSLIQGGMTAASTTSESSCEAVRLCCNVLVERLTSLKEKLLEQMKTIEWETLIFQAYLNSVNLSASSYYVPDSSSTHYLNYGVAVSEVEVNLLTGGTTTLRTDILYDCGQSLNPAVDLGQIEGAYVQGMGFFMLEEYPTNTNGLVIANGTWTYKIPTVDTIPKQFNVEILNSGHHKNRVLSSKASGEPPLLLAVSVHCATRAAIKEARRQLLSWSGLDRDVSNSTFQLQVPATMPVVKELCGLDCIQRFLQWTMGRK >EOY30652 pep chromosome:Theobroma_cacao_20110822:9:5290348:5296592:1 gene:TCM_037789 transcript:EOY30652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein isoform 2 MSALDSVDWLLFSLSRTFCSPLAVFIQIQGCVICLTLAIGWAFAAYVRNREINRMKDIMKGGNSFAFLCHDINKLEHSNQVNLPRVTVVMPLKGFGEHNLHNWKSQITSLYGGPLEFLFVVESTEDPAYHAISRLITDFKDDVDAKIIVAGLSTTCSQKIHNQLVGVEKMHKDSKYVLFLDDDVRLHPGSIGALTAEMEKNPDIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHADDFRYDRYGVVSGLRDGGYSDDMTLAALAGAHKRLITSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYISKVNWLMNKALFSSHCYLSWGFVAPYLMGMVHVAAALQICIKGYSYEETTCTTGGLLLVSCLAVCTFMELLSMWNLTRIEVQLCNMLSPEAPKLSLGTYNWSMVFAAMLVDNFLYPISAFRSHFCQSINWSGIRYHLKNGKISKIERNKDMGPNFTDLGGKHLYGKKGAPPKASFLSSLARSLAQWHQPKKYDV >EOY30653 pep chromosome:Theobroma_cacao_20110822:9:5289992:5295705:1 gene:TCM_037789 transcript:EOY30653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein isoform 2 MSALDSVDWLLFSLSRTFCSPLAVFIQIQGCVICLTLAIGWAFAAYVRNREINRMKDIMKGGNSFAFLCHDINKLEHSNQVNLPRVTVVMPLKGFGEHNLHNWKSQITSLYGGPLEFLFVVESTEDPAYHAISRLITDFKDDVDAKIIVAGLSTTCSQKIHNQLVGVEKMHKDSKYVLFLDDDVRLHPGSIGALTAEMEKNPDIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHADDFRYDRYGVVSGLRDGGYSDDMTLAALAGAHKRLITSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYISKVNWLMNKALFSSHCYLSWGFVAPYLMGMVHVAAALQICIKGYSYEETTCTTGGLLLVSCLAVCTFMELLSMWNLTRIEVQLCNMLSPEAPKLSLGTYNWSMVITSISLIWGRQWVL >EOY34491 pep chromosome:Theobroma_cacao_20110822:9:41294528:41299742:1 gene:TCM_042156 transcript:EOY34491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 1B, putative isoform 1 MMDIDDPLDFEKEDPFLINPVVSKKRKKLIGLDDLLSEHYKEQSKLIEKEAKKQTKAQKSYDSDEDKNGKEAKLSSLLDDCQEQMKAMSGEEELSEWGIFVFGEQKTSPPLSFPELGSWSILKSFMNNRLNSLVGLATEQECSFLEGLLINGWLLKLILKCGRVEKSIAAWTFSLILYSSKEELRSSACEFWCSILSSKVQVGVPPIVIDWFPSYRELKSALEIYGFRFNFSSNISVKNNSVCKGPPQNIITWIKFTAVCCQVRCKQSVFLASDCQELAEVIICLFLDRRVQGLNVLMSNCLQSVISSFTEEEWINCYCEIAKSLASRVPMDLNCLRAVQCISGVDPRSKHLRSAVAFQILVNCFENEVTDEEGILTLLISINVKEKACDFFKMYLYVVLTENWLQYDPMLRDKPVIREMWGLFLRNCSCQITSTDLRLHASKVRNKAAFLLQGAGNN >EOY34490 pep chromosome:Theobroma_cacao_20110822:9:41294581:41299143:1 gene:TCM_042156 transcript:EOY34490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 1B, putative isoform 1 MMDIDDPLDFEKEDPFLINPVVSKKRKKLIGLDDLLSEHYKEQSKLIEKEAKKQTKAQKSYDSDEDKNGKEAKLSSLLDDCQEQMKAMSGEEELSEWGIFVFGEQKTSPPLSFPELGSWSILKSFMNNRLNSLVGLATEQECSFLEGLLINGWLLKLILKCGRVEKSIAAWTFSLILYSSKEELRSSACEFWCSILSSKVQVGVPPIVIDWFPSYRELKSALEIYGFRFNFSSNISVKNNSVCKGPPQNIITWIKFTAVCCQVRCKQSVFLASDCQELAEVIICLFLDRRVQGLNVLMSNCLQSVISSFTEEEWINCYCEIAKSLASRVPMDLNCLRAVQCISGVDPRSKHLRSAVAFQILVNCFENEVTDEEGILTLLISINVKEKACDFFKMYLYVVLTENWLQYDPMLRDKPVIREMWGLFLRNCSCQITSTDLRLHASKVRNKAAFLLQGAGNN >EOY34727 pep chromosome:Theobroma_cacao_20110822:9:41929849:41940533:1 gene:TCM_042313 transcript:EOY34727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAYRRRQQQEILASAAADDLVDVEVDAAKAIRASSAHKDSSLSSAYGYGSLHSSSASPLSTPTPTPKDSQSHEYTSMKSLNESKQGFWGSLARKAKAFLDDDNVQHQIDSDGRAKSKLPRRPMPEKHQKIYQSHDSHRQMDNPTLQKGLGAIASSLNYIGNAVEEGLTIVENRTAGIIQETRKHIRKKPSGSVPQNQAAKQPQMQQQVRLPMQGDQEIQLKASRDVAMAMAAKAKLLLRELKTVKADLAFAKERCAQLEEENRILRENRERGDNPEDDELIRLQLETLLAEKARLAHENSIYARENRFLREVVEYHQLTMQDVVYLDESTEEVTEVYPIKEDHVSTNGSNVQVFLISSIILFDCGVLKVRGFSSGEITEGFRTTVGATTYMIRDEGLFGKSKIKIWYRSKDSLGKCF >EOY33632 pep chromosome:Theobroma_cacao_20110822:9:38329139:38331156:-1 gene:TCM_041551 transcript:EOY33632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondria isoform 2 MLRVNRVVSQTRASILTCKQLLNHEQKLLPFPPQHFARKSSNRFLDIYQLGNKEAIEKERARLADEMNRGYFADISELKQHGGKIAVANKIIIPTMAAVKFPGLEVTYSDGRTLKLPIVSNGDRVDAEKLAVPKVSLVCLSFRASSQKMIDTWCTPFSEAFSNSKDVQLYEVSFIDSWLLCRNPIKRLLLRTMRKSIDGEKDALQRQIVYSFGDHYYFRKELKILNLLTGYIFLLDKLGRVRWQGFGLATQEELSSLLSCTTHLLEEK >EOY33635 pep chromosome:Theobroma_cacao_20110822:9:38328981:38331196:-1 gene:TCM_041551 transcript:EOY33635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondria isoform 2 MLRVNRVVSQTRASILTCKQLLNHEQKLLPFPPQHFARKSSNRFLDIYQLGNKEAIEKERARLADEMNRGYFADISELKQHGVANKIIIPTMAAVKFPGLEVTYSDGRTLKLPIVSNGDRVDAEKLAVPKVSLVCLSFRASSQKMIDTWCTPFSEAFSNSKDVQLYEVSFIDSWLLCRNPIKRLLLRTMRKSIDGEKDALQRQIVYSFGDHYYFRKELKILNLLTGYIFLLDKLGRVRWQGFGLATQEELSSLLSCTTHLLEEK >EOY33634 pep chromosome:Theobroma_cacao_20110822:9:38328469:38331197:-1 gene:TCM_041551 transcript:EOY33634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondria isoform 2 MLRVNRVVSQTRASILTCKQLLNHEQKLLPFPPQHFARKSSNRFLDIYQLGNKEAIEKERARLADEMNRGYFADISELKQHGGKIAVANKIIIPTMAAVKFPGLEVTYSDGRTLKLPIVSNGDRVDAEKLAVPKVSLVCLSFRASSQKMIDTWCTPFSEAFSNSKDVQLYEVSFIDSWLLCRNPIKRLLLRTMRKSIDGEKDALQRQIVYSFGDHYYFRKELKILNLLTGYIFLLDKLGRVRWQGFGLATQEELSSLLSCTTHLLEEK >EOY33633 pep chromosome:Theobroma_cacao_20110822:9:38328399:38331195:-1 gene:TCM_041551 transcript:EOY33633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondria isoform 2 MLRVNRVVSQTRASILTCKQLLNHEQKLLPFPPQHFARKSSNRFLDIYQLGNKEAIEKERARLADEMNRGYFADISELKQHGGKIAVANKIIIPTMAAVKFPGLEVTYSDGRTLKLPIVSNGDRVDAEKLAVPKVSLVCLSFRASSQKMIDTWCTPFSEAFSNSKDVQLYEVSFIDSWLLCRNPIKRLLLRTMRKSIDGEKDALQRQIVYSFGDHYYFRKELKILNLLTGYIFLLDKLGRVRWQGFGLATQEELSSLLSCTTHLLEEKCFGFSEVLQRLL >EOY29997 pep chromosome:Theobroma_cacao_20110822:9:3005735:3012467:-1 gene:TCM_037353 transcript:EOY29997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MALPSSRAITNSPQALDLTPLVTSITSLLQTLNPKNPNPINLSSAPLNRFSPYLEPNLVIQVINKQTNPYRALFFFNWAANPNPNPKNYTHDNKCYEAITNLLLSHSLFSPAIQLLEKSQKLSDFFVSKIIKANGDKGNIKAAIFWFQKAKAVGKDNYLFSFNTILGVLVKANKINIVKTLFDQVVKEGFVQPDVSSYTTLIRALCKMGMVESAKKVFDEMSCKPNLLTFNTMINGFCKKGDMECASYLFYQMVNEVDCLPDTVTYTTLIDGYCKKGEFEEAMKYMDKMVKTGCLPNVLTYNAIIYALCLKGEVDEAKRMMSKMRLNGVEDNTATHMSILKGLCVVGRSKEAIEYFRWMVRCNMDLDAKAYGIVVNVYCKLRKLDEAILLLKEMSGRGIYPNVSSFNSVFRTLVESRELDRAIMLLKQMPQLGCSPNLLSYSTVICSLCRAEGRMQEVRYLVDDMLQNGIVIDATMYGCIVEGHSEDGNEEMAVQVLNEMIDMDEFFQQCDPEKENLCLYGFPGEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKADRKRLFNMINDLPTIFEVVTGAAKKQTKEKSSVSNHSSNKSKSNSKRGSESQAKNTKAIPSKDEDDDVVEEEDDEEHGETLCGACGENYAADEFWICCDMCEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >EOY29502 pep chromosome:Theobroma_cacao_20110822:9:1371235:1374491:1 gene:TCM_037016 transcript:EOY29502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein, putative MFMLLFLYTFLDQASLTSVIEPLTFSFPSFHPSSCTNGGLICMGSVTGGNGYLSLTPEPNSNSSVSGSVLEQVGRVLFHHPVLAWPASISTTFTVRISPFPNSNVSGDGMAFIIAQDNSSSPPSSVGSYLGIMDPSTQGGLVQQLAVELDTYKNEWDPDENHIGIDTISIITPVAAKSLNSTGIDLKSGREIKVKIDYHGWKKKLEVSMAYSGDKLVSVLNQSIEMADVVPSSVFIGFTAATGKVPESHQVLDWTFTTIPLPYLNSHVSKDEKIKNVLLIVIPIIMGLVIVAICFLPSFQKVLRKKKETVKKKVDIEIRSRTAANVPKMFTRKLLAKATRNFSKENLLGTGGFGSVYKGTLQNPPTTVAVKKISATSKQGEHLLLVYEYMPNGSLDRYIGKRSLNWETRFKILTGLASALLYLHEDSGNPVVHRDVKPNNVMLDEDFNAHLGDFGLARLLQNDASVTTMLAGTPGYLAPEVGFTGKSTPESDVYSFGMVVIEVVCGRRSKGIMEENSLVDYVWNSYGQNELLQCVDRRLEGQFDEEQVKRTLTAGLACLHPDSTQRPKIRKVVQIFLNSDEPLMDLPESRPSAVYVSVSSSTSTTTTNIGSKSGPELLSAESSPESHQTDEIVLQFDH >EOY33148 pep chromosome:Theobroma_cacao_20110822:9:35654622:35657162:1 gene:TCM_041131 transcript:EOY33148 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein, putative isoform 1 MEGFVLLLVAAVSMGMTIQAVGQENSRSNVSAMYVLGDSSVDCGINALFYPFFHQNLSLFPCNGSNSILLPYLLAEKMGLPYTAPFYRQNGSIEGLLSGVNYGAAEATIMSPNSLSHQSLNDQLRQVFETLQLFELQLGRESAYHFIRSSIFYLSFGKDDYIGLFLRNFSGVMVKYSGHEFAQILVNQMVNAMRNLYDLNVRRVICMGILPLGCTPSFLLEWYVPTAGGNNNDGTGCVEEINERVLQYNIMLEEEIIRLNEELPDAQIVFCDLYQGVKKIITHPQFYGFEDANSACCGLGLYGAEIGCLSADMACNTVSSHVWWDFYSPTPEVNSLLADSAWSGEVLLSNICRPTTVQDLVYTPI >EOY33147 pep chromosome:Theobroma_cacao_20110822:9:35654229:35656675:1 gene:TCM_041131 transcript:EOY33147 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein, putative isoform 1 MEGFVLLLVAAVSMGMTIQAVGQENSRSNVSAMYVLGDSSVDCGINALFYPFFHQNLSLFPCNGSNSILLPYLLAEKMGLPYTAPFYRQNGSIEGLLSGVNYGAAEATIMSPNSLSHQSLNDQLRQVFETLQLFELQLGRESAYHFIRSSIFYLSFGKDDYIGLFLRNFSGVMVKYSGHEFAQILVNQMVNAMRNLYDLNVRRVICMGILPLGCTPSFLLEWYVPTAGGNNNDGTGCVEEINERVLQYNIMLEEEIIRLNEELPDAQIVFCDLYQGVKKIITHPQFYGFEDANSACCGLGLYGAEIGCLSADMACNTVSSHVWWDFYSPTPEVNSLLADSAWSGEVLLSNICRPTTVQDLVYTPI >EOY33364 pep chromosome:Theobroma_cacao_20110822:9:37115289:37119021:-1 gene:TCM_041341 transcript:EOY33364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSWQQHQNVEVKGKRACKIRKRGCSSSSSSSLIQKYRFKRAILVGKRAGSTTPVPTWKSASTKSPSLAMPCAEFPTKGSPKIVNKTKEASVSARKLAATLWEINTIPSPQAKEELQKKDKRRKAPRVAKMAHTLPPNLSDPSYSPISEKMDRARTKSHRRRASVVSQKLEVSNYKLGSLDSVGNASVMEIETHSRAKNHAGCVIGIRTRLKDVSNGLATSKELLKVLNRICGLEEQHSSGMSLVSALRVELDRARIQVDQLIREQQSNRNEIEYLMRHFAEEKAAWRRKERERIRDAIACIAEELEVEKKLRRQTERLNKKLGKELADTKESLSKATKELESEKRAKEILEQVCDELARGIGEDRATVEELKRESAKVREEVEKEREMLQFADVLREERVQMKLSEAKYHFEEKNAVVEKLRNELEAYLGTKINEENGDGSPNLQSIKELEAYLKTIDFGSCQAVDKDVDKSEVADGEECEADDSADSDLHSIELNMDNNDRSYKWSYACGNDVEGEPKRISVEKENKGQKSISEKISWGSVCLERGSSNSTDWDFGLKIQGKLDGFERDQIYNFASQVKAQDYEDEIKRYRSVKSLRDHILSSNKIAPIQSFSSPTRQWSQSMRFQEPSSTGSPVLKGDILKPKLVGTRGECRTSTS >EOY33621 pep chromosome:Theobroma_cacao_20110822:9:38281107:38287470:1 gene:TCM_041543 transcript:EOY33621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter 10 isoform 2 MALTPSPSSSYPNLLQFSVKSPSPSVHYLLFGSPWSNASPFLLQKSGVPLLPVVVKPSCKKIKCFARSSTEEDCLSEPETLTAENVGEDDDGLGREDPNLQESQSSVVATQRITSSSSDSLLLGIREPVYEVVEVKSNGVVSTRKISRRQLLKSSGLRPRDIRSVDPSLFLTNSAPSLLVREHAILLNLGSLRAIAMKDCVLIFDYNRKGGKAFVDTLLPRLNNMNGGHCMPFELEVVEAALLSRTQRLEQRLMDLEPRVQALLEVLPNKLTGDILEQLRISKQTLVELGSRAGALRQMLLDLLEDPHEIRLICIMGRNCTLKRGNDDVDCSVPLEKLIAEEEEEEIEMLLENYLQRCESCYGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGTFCMAVGALVAGIFGMNLKSYLEEHVVCSS >EOY33620 pep chromosome:Theobroma_cacao_20110822:9:38281107:38287470:1 gene:TCM_041543 transcript:EOY33620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter 10 isoform 2 MALTPSPSSSYPNLLQFSVKSPSPSVHYLLFGSPWSNASPFLLQKSGVPLLPVVVKPSCKKIKCFARSSTEEDCLSEPETLTAENVGEDDDGLGREDPNLQESQSSVVATQRITSSSSDSLLLGIREPVYEVVEVKSNGVVSTRKISRRQLLKSSGLRPRDIRSVDPSLFLTNSAPSLLVREHAILLNLGSLRAIAMKDCVLIFDYNRKGGKAFVDTLLPRLNNMNGGHCMPFELEVVEAALLSRTQRLEQRLMDLEPRVQALLEVLPNKLTGDILEQLRISKQTLVELGSRAGALRQMLLDLLEDPHEIRLICIMGRNCTLKRGNDDVDCSVPLEKLIAEEEEEEIEMLLENYLQRCESCYGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGTFCMAVGALVAGIFGMNLKSYLEEHVFAFWLTTAGIIVGAVVAFFLMYSYLRTRKIL >EOY33765 pep chromosome:Theobroma_cacao_20110822:9:38910112:38910879:1 gene:TCM_041649 transcript:EOY33765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERKKVGTINFFFLSGGRGSDEDYADDEGIRRLAWHWRLHVPVRSWNPCGNRRPRPPIGKVFRLFGLKILLM >EOY32478 pep chromosome:Theobroma_cacao_20110822:9:30002777:30006607:1 gene:TCM_040409 transcript:EOY32478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyltransferase 2 isoform 2 MRGPRNLEVWKMGVVNYLDALKLQEKLVSDRKICKIPDTLLSLQHPPTYTLGKRRTDHNLLIPVSELKQMGAELHYTQRGGDITFHGPRQAILYPVISLREIGLGARNYVEKLELTMIELASLYGVKACAGQKGETGVWVGDRKIGAIGVRISYGVTSHGLAFNIDPDLKYFKHIVPCGIGDKEVTSLRRETGAVLPAEEVIHEQLISCFARLFGYSSITWEESPLILPDNEEIE >EOY32474 pep chromosome:Theobroma_cacao_20110822:9:30001689:30006786:1 gene:TCM_040409 transcript:EOY32474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyltransferase 2 isoform 2 MRGPRNLEVWKMGVVNYLDALKLQEKLVSDRKICKIPDTLLSLQHPPTYTLGKRRTDHNLLIPVSELKQMGAELHYTQRGGDITFHGPRQAILYPVISLREIGLGARNYVEKLELTMIELASLYGVKACAGQKGETGVWVGDRKIGAIGVRISYGVTSHGLAFNIDPDLKYFKHIVPCGIGDKEVTSLRRETGAVLPAEEVIHEQLISCFARLFGYSSITWEESPLILPDNEEIDNTHPRVRNQPRRLLDSFASISANLNKLSRAIQDPKKAVEASLRKAPPSASNPTQNK >EOY32477 pep chromosome:Theobroma_cacao_20110822:9:30001804:30006552:1 gene:TCM_040409 transcript:EOY32477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyltransferase 2 isoform 2 MRGPRNLEVWKMGVVNYLDALKLQEKLVSDRKICKIPDTLLSLQHPPTYTLGKRRTDHNLLIPVSELKQMGAELHYTQRGGDITFHGPRQAILYPVISLREIGLGARNYVEKLELTMIELASLYGVKACAGQKGETGVWVGDRKIGAIGVRISYGVTSHGLAFNIDPDLKYFKHIVPCGIGDKEVTSLRRETGAVLPAEEVIHEQLISCFARLFGYSSITWEESPLILPDNEEIE >EOY32479 pep chromosome:Theobroma_cacao_20110822:9:30002043:30006786:1 gene:TCM_040409 transcript:EOY32479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyltransferase 2 isoform 2 MRGPRNLEVWKMGVVNYLDALKLQEKLVSDRKICKIPDTLLSLQHPPTYTLGKRRTDHNLLIPVSELKQMGAELHYTQRGGDITFHGPRQAILYPVISLREIGLGARNYVEKLELTMIELASLYGVKACAGQKGETGVWVGDRKIGAIGVRISYGVTSHGLAFNIDPDLKYFKHIVPCGIGDKEVTSLRRETGAVLPAEEVIHEQLISCFARLFGYSSITWEESPLILPDNEEIE >EOY32475 pep chromosome:Theobroma_cacao_20110822:9:30001812:30006251:1 gene:TCM_040409 transcript:EOY32475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyltransferase 2 isoform 2 MRGPRNLEVWKMGVVNYLDALKLQEKLVSDRKICKIPDTLLSLQHPPTYTLGKRRTDHNLLIPVSELKQMGAELHYTQRGGDITFHGPRQAILYPVISLREIGLGARNYVEKLELTMIELASLYGVKACAGQKGETGVWVGDRKIGAIGVRISYGVTSHGLAFNIDPDLKYFKHIVPCGIGDKEVTSLRRETGAVLPAEEVIHEQLISCFARLFGYSSITWEESPLILPDNEEIE >EOY32476 pep chromosome:Theobroma_cacao_20110822:9:30001804:30006552:1 gene:TCM_040409 transcript:EOY32476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyltransferase 2 isoform 2 MRGPRNLEVWKMGVVNYLDALKLQEKLVSDRKICKIPDTLLSLQHPPTYTLGKRRTDHNLLIPVSELKQMGAELHYTQRGGDITFHGPRQAILYPVISLREIGLGARNYVEKLELTMIELASLYGVKACAGQKGETGVWVGDRKIGAIGVRISYGVTSHGLAFNIDPDLKYFKHIVPCGIGDKEVTSLRRETGAVLPAEEVIHEQLISCFARLFGYSSITWEESPLILPDNEEIE >EOY34272 pep chromosome:Theobroma_cacao_20110822:9:40551651:40554580:1 gene:TCM_042003 transcript:EOY34272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate peroxidase 1 MTKCYPTVSEEYKKAVEKAKRKLRGLIAEKNCAPIMLRLAWHSAGTFDVKTRTGGPFGTMKQPAELAHGANNGLDIAVRLLEPIKEQFPILTYADFYQLAGVVAVEITGGPEIPFHPGREDKPHPPPEGRLPDATKGADHLRQVFTAQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFMELLVGEKEDLLKLPTDVVLLSDPVFRPLVDKYAADEDAFFADYTEAHLKLSELGFADA >EOY29555 pep chromosome:Theobroma_cacao_20110822:9:1536887:1541341:1 gene:TCM_037057 transcript:EOY29555 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein isoform 1 MSGAPRMRSMNVADSEARPVLGPAGNKAGSLSARKPASKPLRKVEKSPVEVTVAEEKKALPSSTVNSLSPKTHSVSVPSVLRRHEQLLHSNLSLNASCSSDASTDSFHSRASTGRLIRSNSVGNRRKPYASKPRSVVSDGGLDSPPDGSHQKKRCAWVTPNTDPSYVAFHDEEWGVPVHDDRKLFELLVLSGALSELTWPAILSKRHIVREVFVDFDAVAVSKLNEKKLVTPGSIASSLLSELKLRAIIENARQISKVIDEFGSFDEYIWSFVNHKPIVSRFRYPRQVPVKTPKADVISKDLVRRGFRSVGPTVIYSFMQVAGITNDHLTSCFRFQECITAAEGKEENGIKDMPEEKKTENVMESKLSIAIDELSFSSE >EOY29558 pep chromosome:Theobroma_cacao_20110822:9:1537646:1541635:1 gene:TCM_037057 transcript:EOY29558 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein isoform 1 MSGAPRMRSMNVADSEARPVLGPAGNKAGSLSARKPASKPLRKVEKSPVEVTVAEEKKALPSSTVNSLSPKTHSVSVPSVLRRHEQLLHSNLSLNASCSSDASTDSFHSRASTGRLIRSNSVGNRRKPYASKPRSVVSDGGLDSPPDGSHQKKRCAWVTPNTDPSYVAFHDEEWGVPVHDDRKLFELLVLSGALSELTWPAILSKRHIVREVFVDFDAVAVSKLNEKKLVTPGSIASSLLSELKLRAIIENARQISKVIDEFGSFDEYIWSFVNHKPIVSRFRYPRQVPVKTPKADVISKDLVRRGFRSVGPTVIYSFMQVAGITNDHLTSCFRFQECITAAEGKEENGIKDMPEEKKTENVMESKLSIAIDELSFSSE >EOY29556 pep chromosome:Theobroma_cacao_20110822:9:1537646:1541234:1 gene:TCM_037057 transcript:EOY29556 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein isoform 1 MSGAPRMRSMNVADSEARPVLGPAGNKAGSLSARKPASKPLRKVEKSPVEVTVAEEKKALPSSTVNSLSPKTHSVSVPSVLRRHEQLLHSNLSLNASCSSDASTDSFHSRASTGRLIRSNSVGNRRKPYASKPRSVVSDGGLDSPPDGSHQKKRCAWVTPNTDPSYVAFHDEEWGVPVHDDRKLFELLVLSGALSELTWPAILSKRHIVREVFVDFDAVAVSKLNEKKLVTPGSIASSLLSELKLRAIIENARQISKVIDEFGSFDEYIWSFVNHKPIVSRFRYPRQVPVKTPKADVISKDLVRRGFRSVGPTVIYSFMQVAGITNDHLTSCFRFQECITAAEGKEENGIKDMPEEKKTENVMESKLSIAIDELSFSSE >EOY29557 pep chromosome:Theobroma_cacao_20110822:9:1536927:1541134:1 gene:TCM_037057 transcript:EOY29557 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein isoform 1 MSGAPRMRSMNVADSEARPVLGPAGNKAGSLSARKPASKPLRKVEKSPVEVTVAEEKKALPSSTVNSLSPKTHSVSVPSVLRRHEQLLHSNLSLNASCSSDASTDSFHSRASTGRLIRSNSVGNRRKPYASKPRSVVSDGGLDSPPDGSHQKKRCAWVTPNTDPSYVAFHDEEWGVPVHDDRKLFELLVLSGALSELTWPAILSKRHIVREVFVDFDAVAVSKLNEKKLVTPGSIASSLLSELKLRAIIENARQISKVIDEFGSFDEYIWSFVNHKPIVSRFRYPRQVPVKTPKADVISKDLVRRGFRSVGPTVIYSFMQVAGITNDHLTSCFRFQECITAAEGKEENGIKDMPEEKKTENVMESKLSIAIDELSFSSE >EOY34332 pep chromosome:Theobroma_cacao_20110822:9:40711624:40714655:-1 gene:TCM_042039 transcript:EOY34332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein, putative isoform 1 MDRLSNAFSVLDVDVDDRHSPSAAATSSSGSKTSGQGKKKSNGKGSTAAAVVNKEKQSEPISALLSENYRLPLVWIDLEMTGLNIEVDRILEIACIITDGNLTKTLEGPDLVIHQSKECLDRMGEWCQEHHAASGLTKKVLQSTISEREAEKQVLEFVKSNIGTYTPHIAGNSVYMDFLFLRKYMPELASLFSHIVVDVSSVRALCIRWYPRDQKKAPPKEKRHRAMDDIRESISELRYFKETIFKAKSKK >EOY34333 pep chromosome:Theobroma_cacao_20110822:9:40711578:40714637:-1 gene:TCM_042039 transcript:EOY34333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein, putative isoform 1 MDRLSNAFSVLDVDVDDRHSPSAAATSSSGSKTSGKKKSNGKGSTAAAVVNKEKQSEPISALLSENYRLPLVWIDLEMTGLNIEVDRILEIACIITDGNLTKTLEGPDLVIHQSKECLDRMGEWCQEHHAASGLTKKVLQSTISEREAEKQVLEFVKSNIGTYTPHIAGNSVYMDFLFLRKYMPELASLFSHIVVDVSSVRALCIRWYPRDQKKAPPKEKRHRAMDDIRESISELRYFKETIFKAKSKK >EOY30218 pep chromosome:Theobroma_cacao_20110822:9:3828633:3831513:1 gene:TCM_037498 transcript:EOY30218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative isoform 1 MAAVRFLLPFLPLIPLLLTLSLPTLCFSQSDVDNLIKLKRSLSQGDLNSWKPGSSPCQQKWVGVMCSGQTIVGLHLTGLHLAGPVDVQALLQLRGLRTISLVRNSFTGPIPEFNKLGALKAIYLSHNQFSGEIPNDYFASMGSLKKVWLNDNKLTGKIPESLMQLPHLVELHLEGNQFSGQIPPLKFPNVLTSLNLTRNNLEGEIPASFSKFNASSFKENEGLCGKPLGIDCDKVQQSGASKPSGQNQGSGSSAKVVIGIATLIILLLFVIVSIISARRRRDDDFSVLGKEPLREEVVEVHVPESTRRKPSESSRRGSSDSKRGSSHHGSKNGMTDLVMVNDAKEAFGLQDLMKAAAEVLGNGGLGSAYKAVMANGLAVVVKRMREMNRLGKDGFDAEMRRFGKLRHPNILTPLAYHFRREEKLIVSEYMPKGSLLYVLHGDRGIIHANLNWPTRLKIIHGIARGLSFIYTEFATYEVPHGNLKSSNVLLTENYDPLLSDYAFQPLTNSSNAAQGLFAYKSPEYVQYQQVSPKSDVYCLGIIILEIITGKFPSQYLSNGKGGTDIVQWVQTSITDQNQVEELIDPEIAKDTGSLNQMLQLLQIGAACTEGNPDQRLHMKEAIRRIEEVN >EOY30219 pep chromosome:Theobroma_cacao_20110822:9:3829029:3831143:1 gene:TCM_037498 transcript:EOY30219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative isoform 1 MQLPHLVELHLEGNQFSGQIPPLKFPNVLTSLNLTRNNLEGEIPASFSKFNASSFKENEGLCGKPLGIDCDKVQQSGASKPSGQNQGSGSSAKVVIGIATLIILLLFVIVSIISARRRRDDDFSVLGKEPLREEVVEVHVPESTRRKPSESSRRGSSDSKRGSSHHGSKNGMTDLVMVNDAKEAFGLQDLMKAAAEVLGNGGLGSAYKAVMANGLAVVVKRMREMNRLGKDGFDAEMRRFGKLRHPNILTPLAYHFRREEKLIVSEYMPKGSLLYVLHGDRGIIHANLNWPTRLKIIHGIARGLSFIYTEFATYEVPHGNLKSSNVLLTENYDPLLSDYAFQPLTNSSNAAQGLFAYKSPEYVQYQQVSPKSDVYCLGIIILEIITGKFPSQYLSNGKGGTDIVQWVQTSITDQNQVEELIDPEIAKDTGSLNQMLQLLQIGAACTEGNPDQRLHMKEAIRRIEEVN >EOY30596 pep chromosome:Theobroma_cacao_20110822:9:5131091:5132936:1 gene:TCM_037749 transcript:EOY30596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein / extensin family protein MGKSWLLAFILWQIISTEAAITVGGGVGINIGNGGGVGGGGVWIGGGINSPTPSGPSVSKLSTAYTALQAWKSAITDDPLGILKTWVGSDVCSYKGVFCADPQGAMDSASGQFVAGIDLNHANLLGTLVRELSALTDVSILHLNSNRFSGTVPDTFKDLLSLQELDLSNNHFSGPFPTVTLYIPNLVYLDLRFNSFAGPVPEDLFNKRLDAIFLNNNQFEGELPQNLGNSPASVINLANNKFNGNIPASFGFMSSKLKEILLLNNQLTGCIPEGVGLFSEMQVFDVSHNSLMGHLPDTISCLNDIEVLNLAHNKLSGELPDVVCSLRSLMNLTVAYNFFSGFSQECSKLFFRNAGFDFSLNCIPGRNMQRPQPECSVIPGGGLSCLRIPSAQPLVCAGLLGNLEVNLTSSSP >EOY33346 pep chromosome:Theobroma_cacao_20110822:9:36891053:36891952:-1 gene:TCM_041313 transcript:EOY33346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKLAGEEHATCSMFSAVFWVVNFRLENGSSNCCFIEGQLIFSGLFFGLRMIEIVYMVFVIFSGAVQC >EOY29101 pep chromosome:Theobroma_cacao_20110822:9:131806:134411:1 gene:TCM_036757 transcript:EOY29101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease V family protein, putative isoform 1 MEGVNEKENEEVEAQKHSGPSELGKWAEIQDMLKKRVITEDDFPWRLPSLQSQHQQLRYVGGVDVSVSKEDPSMACGSLVVLDLHHALRLVYQCHTVLTLDVPYVPGFLAFREAPILVHLLEKMKSTASPFYPQVLMVDGNGLLHPRGFGLASHLGVLANLPTIGIGKNLHHVDGLTRSGVKELLEAEENRTKDLITLRGSSGFIWGVAMRSTQSSLKPLFVSIGHRISLDTAIKVVKMTCKFRVPEPIRQVNRVGAFW >EOY29100 pep chromosome:Theobroma_cacao_20110822:9:131806:135144:1 gene:TCM_036757 transcript:EOY29100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease V family protein, putative isoform 1 MEGVNEKENEEVEAQKHSGPSELGKWAEIQDMLKKRVITEDDFPWRLPSLQSQHQQLRYVGGVDVSVSKEDPSMACGSLVVLDLHHALRLVYQCHTVLTLDVPYVPGFLAFREAPILVHLLEKMKSTASPFYPQVLMVDGNGLLHPRGFGLASHLGVLANLPTIGIGKNLHHVDGLTRSGVKELLEAEENRTKDLITLRGSSGFIWGVAMRSTQSSLKPLFVSIGHRISLDTAIKVVKMTCKFRVPEPIRQADIRSRKHLRKHQIGRLE >EOY34424 pep chromosome:Theobroma_cacao_20110822:9:41043769:41047176:1 gene:TCM_042105 transcript:EOY34424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSGGSSSRQGGLKALLKKNLEDNIKKDGQEFINHLKTNRNDVHIWKEDDLEEEEAEAEAATVAAEMQPVTQYLGLIVTPVAMGNSRGWKLSELGANIIRGITTSLVNDMSHQVIRPNVSINQIYANDKEVEIRAEAMRCENKSSYTKVLMNMICIIHCLCGSKRKSIPLDLQHMMFYFTDYKLFWNNRRFLINHPCLWNFFKVQQVIMNLRTAMKVKPTDTLILIGLTPNIEWKDDIIRMFENESGQPSYQQGEFLGAAEAVFYYRTYSNTSAGFIMFLGALYVHVYERWSELNKERLMGSFVVEIGNFFYILYPTFLCQLVSKLFYYNAFKGYF >EOY34426 pep chromosome:Theobroma_cacao_20110822:9:41045126:41047176:1 gene:TCM_042105 transcript:EOY34426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSGGSSSRQGGLKALLKKNLEDNIKKDGQEFINHLKTNRNDVHIWKEDDLEEEEAEAEAATVAAEMQPVTQYLGLIVTPVAMGNSRGWKLSELGANIIRGITTSLVNDMSHQVIRPNVSINQIYANDKEVEIRAEAMRCENKSSYTKVLMNMICIIHCLCGSKRKSIPLDLQHMMFYFTDYKLFWNNRRFLINHPCLWNFFKVQQVIMNLRTAMKVKPTDTLILIGLTPNIEWKDDIIRMFENESGQPSYQQGEFLGAAEAVFYYRTYSNTSAGFIMFLGALYVHVYERWSELNKERLMGSFVVEIGNFFYILYPTFLCQLVSKLFYYNAFKGYF >EOY34425 pep chromosome:Theobroma_cacao_20110822:9:41043758:41047215:1 gene:TCM_042105 transcript:EOY34425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSGGSSSRQGGLKALLKKNLEDNIKKDGQEFINHLKTNRNDVHIWKEDDLEEEEAEAEAATVAAEMQPVTQYLGLIVTPVAMGNSRGWKLSELGANIIRGITTSLVNDMSHQVIRPNVSINQIYANDKEVEIRAEAMRCENKSSYTKVLMNMICIIHCLCGSKRKSIPLDLQHMMFYFTDYKLFWNNRRFLINHPCLWNFFKVQQVIMNLRTAMKVKPTDTLILIGLTPNIEWKDDIIRMFENESGQPSYQQGEFLGAAEAVFYYRTYSNTSAGFIMFLGALYVHVYERWSELNKERLMGSFVVEIGNFFYILYPTFLCQLVSKLFYYNAFKGYF >EOY29451 pep chromosome:Theobroma_cacao_20110822:9:1233667:1237396:1 gene:TCM_036980 transcript:EOY29451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related protein isoform 2 MGFSAALRPNLSVTSSLHCSKLGVLDPAISIFAKLNQCFFGLDYTGKISKLTKEMMAKIVLSSRPTRDWKQKRLPLLAVTKRRGYSLFIVKSIINSSKSSVNDNGATEPARILLERLFAQSQKLEQGMSRDAQPPKDFHLFLNLETLESDLQAALTALKQKEDDLQDAERMVVLEQSQLTRAKDELEQRENEIAAASSKREKLEEELKQANLAFASQVGQIEDLKLQLKERDKEIAAAQSALSVKEDEMDRMRNEMVKKSEEAAKIESELKSKSQILNEANEVLKKQKIELQGLKEAIREKDKQLETSMTLRKLEEEKLKAAEAKLQQQTMEWLLAQEELKKLAEEASRHTGEANETFEDFRRVKQLLSDVRSQLVSSQKSLASSRQQMAQQEQLLEKQLEELEEQKRSVASYMESLKNAQIEVESERVKLRVVDARNKELERDLSVERELIEELQEELKKEKSSLQQAIQDVSFLRQNLEQKNAEFGEMSNVLQSKEADLVEAKLEIQHLKSERASLQLILEEKDLELSNARKNLEQVNQEIVELKMLMSSRENQLIQAAALLKEKDEHVQKVQDELNDTKIKFSEAETVIERIAELTNRLVSSAKDEDNNVLRPVDDVSHELMHQLVDRPNDFRLQKKQLETELKSTKESLKVKEMEVLAAQRALTIKDEELKMVLGRLEAREKEVQRLKEEMVEDANDLKKLYALAQERIGEISIGDLAIEKLQLEAAQLEIEAATSALQKLAEMSRELLNKASMSVEADSDTSIFVQRSSDPMLSMIENNECFTEVQTGLARLSALTEQLVKDAGIVGAQLQS >EOY29452 pep chromosome:Theobroma_cacao_20110822:9:1233784:1237886:1 gene:TCM_036980 transcript:EOY29452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related protein isoform 2 MGFSAALRPNLSVTSSLHCSKLSSRPTRDWKQKRLPLLAVTKRRGYSLFIVKSIINSSKSSVNDNGATEPARILLERLFAQSQKLEQGMSRDAQPPKDFHLFLNLETLESDLQAALTALKQKEDDLQDAERMVVLEQSQLTRAKDELEQRENEIAAASSKREKLEEELKQANLAFASQVGQIEDLKLQLKERDKEIAAAQSALSVKEDEMDRMRNEMVKKSEEAAKIESELKSKSQILNEANEVLKKQKIELQGLKEAIREKDKQLETSMTLRKLEEEKLKAAEAKLQQQTMEWLLAQEELKKLAEEASRHTGEANETFEDFRRVKQLLSDVRSQLVSSQKSLASSRQQMAQQEQLLEKQLEELEEQKRSVASYMESLKNAQIEVESERVKLRVVDARNKELERDLSVERELIEELQEELKKEKSSLQQAIQDVSFLRQNLEQKNAEFGEMSNVLQSKEADLVEAKLEIQHLKSERASLQLILEEKDLELSNARKNLEQVNQEIVELKMLMSSRENQLIQAAALLKEKDEHVQKVQDELNDTKIKFSEAETVIERIAELTNRLVSSAKDEDNNVLRPVDDVSHELMHQLVDRPNDFRLQKKQLETELKSTKESLKVKEMEVLAAQRALTIKDEELKMVLGRLEAREKEVQRLKEEMVEDANDLKKLYALAQERIGEISIGDLAIEKLQLEAAQLEIEAATSALQKLAEMSRELLNKASMSVEADSDTSIFVQRSSDPMLSMIENNECFTEVQTGLARLSALTEQLVKDAGIVGAQLQS >EOY31548 pep chromosome:Theobroma_cacao_20110822:9:9552878:9554915:1 gene:TCM_038476 transcript:EOY31548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MEQTLASAQYFLFLTFTIISLTRPSPTFQAMALTSTRLVIKVVHRDSIHSPYYSKNDNVTNRVERAMKSSILRFTYFQRKVRRSLPDPDFQADILPSVDGSMLFMNISIGQPPVPQFTVMDTGSSLLWIQCLPCKRCSKQFGPIFDPEKSSTYAYLSCNSQFCHYAPRGRCNLLNQCEYNQIYVHGDPSVGILAREQLIFKTSDEGSAAIPDVIFGCSQENGNFKDRQISGVIGLGFKKLSLAAQLGSNFSYCIGSVFDPNYSHNKLILGNGAVLQGDSTPLEVIDGQYYVTLEGISVGEKRLTIDSSIFKRKMWKKSGVIIDSGSATTWLVQQGFEALRNEVQSLLDMWLTRFWYNAWALCYMGTISQDLVGFPAVTFHFARGADLVLDTESLFVQIQPYAFCMAVLPSYAGGDNITTLSLIGLLAQQNYNIAYDIYGKALSFQRIDCELLDD >EOY34594 pep chromosome:Theobroma_cacao_20110822:9:41543418:41547013:1 gene:TCM_042212 transcript:EOY34594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral organ boundaries domain family protein MISLLDMDIRVIIVYIYNQDISPEKSMGGSSPCASCKLLRRRCAKDCIFAPYFPSDDPHKFAIVHKVFGASNVGKMLQELPVHQRADAVSSLVYEANARVRDPVYGCVGAISYLQNQVSQLQMQLAVAQTEILCIQMQQESALPTQIPQDDKSLLLASTSNLDNISQYLNFASSGNVIQDPALKRESLWT >EOY34138 pep chromosome:Theobroma_cacao_20110822:9:40023246:40028117:-1 gene:TCM_041901 transcript:EOY34138 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein, putative isoform 1 MGPVRGFKKRRKIEKKPEENASASGSSEKEGSLDCWDELSKRMNGLQSPSKGLDKFKSVFNISRRTFNYICSLVKEDMMAKPGNFTFSNGKPVSLEDQVAVALRRLSSGESLVTVGDSFGLHHSTVSQLTWRFVESMEERGLHHLQWPSTEAEMTEIKSKFEKIQSLPNCCGVIDTTHIMMSLPSSDPASKVWLDHEKNHSMVLQAIVDPEMRFRDIVTGWPGRIEDWLVFQSSNFYKLCEKGERLNGKKLEISEGSEIREYIIGDSGYPLLPYLVIPYEGKELPELRAEFNKRHSATRLVAHRALARLKEMWKIIQGVMWRPDKHKLPRIILVCCLLHNIVIDLEDDVQDEMPLSHDHDSGYHQRNCGSVDIKGVHLRDKLSLYFSGKLPP >EOY34139 pep chromosome:Theobroma_cacao_20110822:9:40023241:40028109:-1 gene:TCM_041901 transcript:EOY34139 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding protein, putative isoform 1 MGPVRGFKKRRKIEKKPEENASASGSSEKEGSLDCWDELSKRMNGLQSPSKGLDKFKSVFNISRRTFNYICSLVKEDMMAKPGNFTFSNGKPVSLEDQVAVALRRLSSGESLVTVGDSFGLHHSTVSQLTWRFVESMEERGLHHLQWPSTEAEMTEIKSKFEKIQSLPNCCGVIDTTHIMMSLPSSDPASKVWLDHEKNHSMVLQAIVDPEMRFRDIVTGWPGRIEDWLVFQSSNFYKLCEKGERLNGKKLEISEGSEIREYIIGDSGYPLLPYLVIPYEGKELPELRAEFNKRHSATRLVAHRALARLKEMWKIIQGVMWRPDKHKLPRIILVCCLLHNIVIDLEDDVQDEMPLSHDHDSGYHQRNCGSVDIKGVHLRDKLSLYFSGKLPP >EOY30539 pep chromosome:Theobroma_cacao_20110822:9:4908237:4912406:1 gene:TCM_037714 transcript:EOY30539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase of the GNAT family 2, putative MGQKQQQPNPDPLPEPKKRRRVGFSNIDAGVEANDCTKIYLVSRKEEVGTSDGFCISPVDLNSFFEEDGKIYGYQGLKITIWISSISFHAHADITFQGTSDGGKGITDLKSALEKIFGETLLENKNDFLQTFSTENNFISSAVSTGEKLQNKASNGHISHFNSNSEAASSDLEVVRMVVGNLAAGHLYSHLVPLVLLLVDGSNPIDVTDPSWELYLLIQKKMDQPEKPQHLLLGFTAVYRFYRYPQGSRLRLSQILVLPPYQHKGYGSYIVEVLSNVAISENVYDLTVEEPLDYFQHVRTCYDVKRLLAFDPAQSAVKSAVMRLKQGKLSKKTCVPRFLPPPDVVEDVRKTLKINKKQFLQCWEILIYLGLDPLEKHMEDYVTIISNRVKADILGKDSETVGKQVIDVPSVHDEEMSFVMFRSQNSKVGGVQMDEDQAKTQGEQLQQLVDERIEDVKLIAQKVSQKHV >EOY29200 pep chromosome:Theobroma_cacao_20110822:9:338738:341160:1 gene:TCM_036810 transcript:EOY29200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1644) [Source:Projected from Arabidopsis thaliana (AT4G31410) TAIR;Acc:AT4G31410] MAFEPFNARGLQPNNSYMEDVQLNTNWDDVICPICLDFPHNGVLLQCSSYEKGCRAFVCDTDHLHSNCLDRFKKAYGMSSPSTSDTISITNIQLTVSEDNCRPTCPLCRGEVTGWVVVDKARLHLDEKKRCCEEEQCTFAGSYLELRKHAQLEHPHARPSRIDPARQLDWENFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGEDDSGDEFEDFPGDEGNWWTSCILYQMFDNFRNSRNRRRSRVTDTRRGSRRSSYASSNSDEGSVASVEFAEYRVDETDDEFVSTSAPSRGSSAYRSTEEEMKLGIDRVEVESYGTLASADSIRFQNM >EOY29199 pep chromosome:Theobroma_cacao_20110822:9:337753:340673:1 gene:TCM_036810 transcript:EOY29199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1644) [Source:Projected from Arabidopsis thaliana (AT4G31410) TAIR;Acc:AT4G31410] MAFEPFNARGLQPNNSYMEDVQLNTNWDDVICPICLDFPHNGVLLQCSSYEKGCRAFVCDTDHLHSNCLDRFKKAYGMSSPSTSDTISITNIQLTVSEDNCRPTCPLCRGEVTGWVVVDKARLHLDEKKRCCEEEQCTFAGSYLELRKHAQLEHPHARPSRIDPARQLDWENFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGEDDSGDEFEDFPGDEGNWWTSCILYQMFDNFRNSRNRRRSRVTDTRRGSRRSSYASSNSDEGSVASVEFAEYRVDETDDEFVSTSAPSRGSSAYRSSRRRRSRFYDN >EOY30029 pep chromosome:Theobroma_cacao_20110822:9:3112882:3114536:1 gene:TCM_037378 transcript:EOY30029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGTVQLRDDFSLSIVEIGTIRIRMFDGWVRTLEVKHVPDMKNNLISLSLLDKKGYKYSGQDGVLNISKGALTIIKGKLSDDLYCLVGNTVIETVSVVSSNHPEDDVTHLWHMRLGHMSERWIRKLSKRSLLCGQKSEKLDFCEQHIVKFNTTTHRTKSAVLIEKQTKKMIESFRTNKGLEFCKGEFGLFYKNEKIVRHCTVDKTPYQNEIINTACYLVNRSPSTAIEFKTPKEVWSDKPADYSILRVFGCLVHAHVSDGKLELRETECIFLGYAYEVKGYQLWCTDCKFPKFMVSRDVTFDKSALLYGIKSRIANTSDQEVGKQVELEINALVTVWDDSEIQKELQ >EOY30695 pep chromosome:Theobroma_cacao_20110822:9:5469989:5473268:-1 gene:TCM_037818 transcript:EOY30695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter 14 MAGGGFGGDTGGLKRAHLYEYKITKYFVFSCVVAAMGGSLFGYDLGVSGGVTSMDDFLKDFFPKIYRRKQAHLHETDYCKYDNQLLTLFTSSLYFAGLISTFGASHVTRKKGRRMSILVGAVSFFLGAILNAAAMNVFMLIVGRILLGSGIGFGNQAVPLYLSEMAPAKIRGAVNQLFQLTTCLGILVANLINYATDKIHPWGWRLSLGLAAVPATLMFIGGLVLPETPNSLVEQGKLEEAKQVLVRVRGTTNVDAEFADLVEASNAARAIKHPFRNLLQRKNRPQLVLGALGIPAFQQLTGMNSILFYAPVMFQTLGFGSGASLYSSAITSGALVIAAIISILLVDKFGRRAFFLEAGIEMFCYMVALAITLALKFGEGKELSKSIGYFLVTIICLFVLAYGRSWGPLGWLVPSELFPLETRSAGQSMVVCVNLLFTALIAQCFLVSLCHLKWGIFILFAGLIFIMSCFIYFLLPETKQVPIEEVYLLWHNHWLWKKYVENDGRGGFDMGKPPA >EOY29369 pep chromosome:Theobroma_cacao_20110822:9:932921:938425:-1 gene:TCM_036926 transcript:EOY29369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type-b response regulator, putative MTVERAISEPKDQFPVGMRVLAVDDDPTCLLLLETLLRRCQYNVTTTSQAITALKLLRENKNKFDLVISDVHMPDMDGFKLLEHVGLEMDLPVIMLSANGDTKLVMKGITHGACDYLLKPVRIEELQNIWQHVVRRKKFDRKDGDNSGSQDKPHIDNGGAAGMGSVDQNGKLNKKRKDQNEDEDEEHDENGHDNEDPSTQKKPRVVWSVELHRKFVAAVNQLGIDKAVPKKILELMNVEKLTRENVASHLQKYRLYLKRISCVANQQANMAAALGSADSTYLRMGPLNGLGNFHTLAGSDQLHNAALRSFPPSGVLGRLNTPAGLGVRGLPSPGMIQLGHVQNSGNTSNDQSKLQSFVIPGNHNANTLQGMPMSLELDQLQNNKGVSHIGELPTAANTTVFPVSGSLMDARISGCSNNPLLGVTSNSLMLEGSSQQTTLHPSNLRDSVSTMGFQNGNTLSDFTSIATASNQLQCSKADLQCQASPTSCNAGQIIRSAPPEWNAPYHSNVMCCSINSSIPVNGAMVPLGQCLDQNNPNFHRNMDLDSIAPSNFVDPKSLKRSEGFNSAMEPAVIQKEGYFQPRPQGGYNVGSLEDLASAMMKEGPDTRKLADGDFGCNGYSHRTCI >EOY33495 pep chromosome:Theobroma_cacao_20110822:9:37836777:37840817:-1 gene:TCM_041466 transcript:EOY33495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MQSLLTCSSVIPSFPPFFLKHQTPKTAHFSQCNLIINHNLKQLKPTHFLKPHAYPKPTLFYFNLYLRLYINAGFMQEARDLFDSMPERTLISWTILMSGYAKHGPTKEAMALFKEMLSGDQTVRPDSYVYAVVLRSCGEIRELGFGKGVHGQVLKKGEAFLDGFLENSLVNMYSSCAVLEDAVLIFDGIEKPGLVAWSSMLSAYVKNGFGKEGLNVFLDMVFKGIELDAFVFSMVMKACSNLEDLNMGIQIHGLMVKKGFGRGGCLFLDNSLMDFYAKCKNLKGFRRVFDQMYEKDLVSWNTLIMGYVHSFHYLEALRSFRLLMDEVCYCDDFTITSILKAISSLHDMDYGRQVHGYIVRTGLVFNNYAMCSLLDMYIECIKHESSDHCKQVPLKLYVGLERGESNGFIIASMLKWCSMLSNLDTGKLFHSLAKKLAVDSDPYVISSLIDMYSKCGMPEAALRVFERVENPGTAMCSALISGLSWNSWFVEALACFQKMQINGIEANEFTFTSVILASMALGDLRKGRELHGKILKTCYGSNASVVNMLINLYSELSDHQQALKLCSLILDAEISWNLLIQACLRANDYETIHKLLRRIQSCSGCIEPITVCDIFSSCASPVLLQMGMQAQAYMTKRGLLSHPTSGSGLIQMYSGCGQIAEADLVFELMPEKSSLSWTSIISAKVEHGHPSEALALFNDMRRRNKSVDRITLKSVLKACAQMGRVDEAHSLLMSMEVIYGVEPSEEHYSCIVEAFARAGMLEEVENFINENIPNKVGTMIWRTLLSSARIIGNMEVAKFALEKLLELDSSDCFARLLLKKVLVMFGKWKDASKTEVKTKRIGPTSSWIEVQNKIYEFVSDQNPTEEVSDKIAELEREMEELGYVADRNHLLHDAEEEEYDGVGLAHTEMKAIAFGLVSLPHGMPIRVVKSVRMCGTCHSACKFMSTFVDRELVVKDTFTFHHFRDGRCSCRDSW >EOY30550 pep chromosome:Theobroma_cacao_20110822:9:4954772:4957496:-1 gene:TCM_037720 transcript:EOY30550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein, ARATH isoform 2 MVGRDQAAPPADQLAAMDLTDAVQNHAFSDRVLIRSIVGRPDGGAGLAGQRVRAAGWVRTGREQGKGTFAFLELNDGSCPANLQVIVDAGVAVLSKLVATGTCVVVDGILKVPPEGTRQRIELRVEKVVHIGEVDPAKYPIPKTKLTLEFLRDHLHLRSRTNTIAAIARIRNALAFATHSFFQEHHFLYVHTPILTTSDCEGAGEMFQVTTLISEAEKLEKELIKNPPPSEADIEAARQLVSERGEAVKQLKAAKASKADIAASVAELNKAKESLSKLEERSKLKPGIPQKDGKIDYTQDFFARQAFLTVSGQLQVETYACAVSNVYTFGPTFRAEHSHTSRHLAEFWMVEPEIAFADLQDDMSCAEAYVKYMCKWLLEKCLDDMEFMAKNYDKGCIDRLRMVASTPFVRISYTEAVELLEEAVRGGKKFENEVEWGIDLASEHERYLTEVKFQKPVIVYNYPKGIKAFYMRLNDDLKTVAAMDVLVPKVGNYRDGAAS >EOY30549 pep chromosome:Theobroma_cacao_20110822:9:4954180:4957412:-1 gene:TCM_037720 transcript:EOY30549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein, ARATH isoform 2 MVGRDQAAPPADQLAAMDLTDAVQNHAFSDRVLIRSIVGRPDGGAGLAGQRVRAAGWVRTGREQGKGTFAFLELNDGSCPANLQVIVDAGVAVLSKLVATGTCVVVDGILKVPPEGTRQRIELRVEKVVHIGEVDPAKYPIPKTKLTLEFLRDHLHLRSRTNTIAAIARIRNALAFATHSFFQEHHFLYVHTPILTTSDCEGAGEMFQVTTLISEAEKLEKELIKNPPPSEADIEAARQLVSERGEAVKQLKAAKASKADIAASVAELNKAKESLSKLEERSKLKPGIPQKDGKIDYTQDFFARQAFLTVSGQLQVETYACAVSNVYTFGPTFRAEHSHTSRHLAEFWMVEPEIAFADLQDDMSCAEAYVKYMCKWLLEKCLDDMEFMAKNYDKGCIDRLRMVASTPFVRISYTEAVELLEEAVRGGKKFENEVEWGIDLASEHERYLTEVKFQKPVIVYNYPKGIKAFYMRLNDDLKTVAAMDVLVPKVGELIGGSQREERYDVIRERITEMGLPLEPYEWYLDLRRYGTVKHCGFGLGFERMILFATGIDNIRDVIPFPRYPGRADL >EOY30551 pep chromosome:Theobroma_cacao_20110822:9:4955389:4957164:-1 gene:TCM_037720 transcript:EOY30551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein, ARATH isoform 2 MVGRDQAAPPADQLAAMDLTDAVQNHAFSDRVLIRSIVGRPDGGAGLAGQRVRAAGWVRTGREQGKGTFAFLELNDGSCPANLQVIVDAGVAVLSKLVATGTCVVVDGILKVPPEGTRQRIELRVEKVVHIGEVDPAKYPIPKTKLTLEFLRDHLHLRSRTNTIAAIARIRNALAFATHSFFQEHHFLYVHTPILTTSDCEGAGEMFQVTTLISEAEKLEKELIKNPPPSEADIEAARQLVSERGEAVKQLKAAKASKADIAASVAELNKAKESLSKLEERSKLKPGIPQKDGKIDYTQDFFARQAFLTVSGQLQVETYACAVSNVYTFGPTFRAEHSHTSRHLAEFWMVEPEIAFADLQDDMSCAEAYVKYMCKWLLEKCLDDMEFMAKNYDKGCIDRLRMVASTPFVRISYTEAVELLEEAVRGGKKFENEVEWGIDLASEHERYASLYN >EOY31920 pep chromosome:Theobroma_cacao_20110822:9:17897155:17901205:1 gene:TCM_039287 transcript:EOY31920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reduced lateral root formation isoform 1 MDNENNDNDFTFCQVGLPTDQNDVDTTNLAADIGGITIKDEFSNSIDSSQNGGFPWKDKQPNNASLGKQATVGSLTFNVIDASCLKPSCESSRQVASGDADASGKKAEKPKISTRKPAPRTKVPFEKGYSQMDWLKLSQTHPDLAGLKGQSNRRLISRSEVKQNQTEGSMWTVLKGRVYNIFPYMKFHPGGVDMLMKAVGKDCTALFNKYHAWVNAECLLEKCLVGTLDDSEGSNR >EOY31922 pep chromosome:Theobroma_cacao_20110822:9:17897153:17901198:1 gene:TCM_039287 transcript:EOY31922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reduced lateral root formation isoform 1 MDNENNDNDFTFCQVGLPTDQNDVDTTNLAADIGGITIKDEFSNSIDSSQNGGFPWKDKQPNNASLGKQATVGSLTFNVIDASCLKPSCESSRQVASGDADASGKKAEKPKISTRKPAPRTKVPFEKGYSQMDWLKLSQTHPDLAGLKGQSNRRLISRSEVKQNQTEGSMWTVLKGRVYNIFPYMKFHPGGVDMLMKAVGKDCTALFNKYHAWVNAECLLEKCLVGTLDDSEGSNR >EOY31921 pep chromosome:Theobroma_cacao_20110822:9:17897155:17901205:1 gene:TCM_039287 transcript:EOY31921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reduced lateral root formation isoform 1 MDNENNDNDFTFCQVGLPTDQNDVDTTNLAADIGGITIKDEFSNSIDSSQNGGFPWKDKQPNNASLGKQATVGSLTFNVIDASCLKPSCESSRQVASGDADASGKKAEKPKISTRKPAPRTKVPFEKGYSQMDWLKLSQTHPDLAGLKGQSNRRLISRSEVKQNQTEGSMWTVLKGRVYNIFPYMKFHPGGVDMLMKAVGKDCTALFNKYHAWVNAECLLEKCLVGTLDDSEGSNR >EOY34056 pep chromosome:Theobroma_cacao_20110822:9:39807379:39808445:1 gene:TCM_041853 transcript:EOY34056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGESNSDKFLSEKDPSSTMEFNCSVRPPLESQKDQCQTAPLQDADDEPQQKEERVPKEQDQQQEEVEDKYMLEVSPVKSKLPSLGEFNVHEDCEVHEKGAVHVNGDEENNDGFKTPTSLDHKIPSLLKCPPAPRRPVYLQAPKRKDYVHRTILIDLTKEIEALFPPAFLADLGKKIKKARQGSDFK >EOY34055 pep chromosome:Theobroma_cacao_20110822:9:39807089:39808190:1 gene:TCM_041853 transcript:EOY34055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGESNSDKFLSEKDPSSTMEFNCSVRPPLESQKDQCQTAPLQDADDEPQQKEERVPKEQDQQQEEVEDKYMLEVSPVKSKLPSLGEFNVHEDCEVHEKGAVHVNGDEENNDGFKTPTSLDHKIPSLLKCPPAPRRPVYLQAPKRKDYVHRTILIDLTKEIEALFPPAFLADLGKKIKKARQGSDFK >EOY34057 pep chromosome:Theobroma_cacao_20110822:9:39806914:39808445:1 gene:TCM_041853 transcript:EOY34057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MIKTMGESNSDKFLSEKDPSSTMEFNCSVRPPLESQKDQCQTAPLQDADDEPQQKEERVPKEQDQQQEEVEDKYMLEVSPVKSKLPSLGEFNVHEDCEVHEKGAVHVNGDEENNDGFKTPTSLDHKIPSLLKCPPAPRRPVYLQAPKRKDYVHRTILIDLTKEIEALFPPAFLADLGKKIKKARQGSDFK >EOY34369 pep chromosome:Theobroma_cacao_20110822:9:40812283:40819957:1 gene:TCM_042062 transcript:EOY34369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein isoform 3 MAMAVAQHRESSSGSSINKHLDAGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVCENGYMRQQLHTVNASAATDASCDSVVTTPQHSLRDANNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIAEILKDRPSWFRDCRNLEVFTMFPAGNGGTIELVYTQTYAPTTLAPARDFWTLRYTTTLENGSLVVCERSLSGSGAGPSAAAAAQFVRAEMLPSGYLIRPCEGGGSIIHIVDHMNLEAWSVPEVLRPLYESSKVIAQKMTIAALRYIRQIAQETSGEVVYGLGRQPAVLRTFSQRLSRGFNDAINGFNDDGWSIMNCDGAEDVIIAINSSKNLSSSSNPANALSFLGGVLCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYSAASLKAGTYSYPGMRPTRFTGSQIIMPLGHTIEHEELLEVIRLEGHSLAQEDAFLSRDIHLLQICSGIDENAVGACSELVFAPIDEMFPDDAPLLPSGFRIIPLDSKPSDTQDSLTTNRTLDLTSSLEVGTATNHAAGDAPSCQNSRSVLTIALQFPFDSNLQDNVAAMARQYVRSVIASVQRVAMAISPSGLSPTVGPKLSPGSPEALTLAHWICQSYSYHLGAELLRAESLGGDAVLKNLWQHQDAILCCSLKSLPVFIFANQAGLDMLETTLVALQDITLDKIFDESGRKALCSDFAKLMQQGFAYLPAGICMSTMGRNVSYEQAVAWKVLAADESTVHCLAFSFVNWSFV >EOY34370 pep chromosome:Theobroma_cacao_20110822:9:40812806:40820337:1 gene:TCM_042062 transcript:EOY34370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein isoform 3 MAMAVAQHRESSSGSSINKHLDAGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVCENGYMRQQLHTVNASAATDASCDSVVTTPQHSLRDANNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIAEILKDRPSWFRDCRNLEVFTMFPAGNGGTIELVYTQTYAPTTLAPARDFWTLRYTTTLENGSLVVCERSLSGSGAGPSAAAAAQFVRAEMLPSGYLIRPCEGGGSIIHIVDHMNLEAWSVPEVLRPLYESSKVIAQKMTIAALRYIRQIAQETSGEVVYGLGRQPAVLRTFSQRLSRGFNDAINGFNDDGWSIMNCDGAEDVIIAINSSKNLSSSSNPANALSFLGGVLCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYSAASLKAGTYSYPGMRPTRFTGSQIIMPLGHTIEHEELLEVIRLEGHSLAQEDAFLSRDIHLLQICSGIDENAVGACSELVFAPIDEMFPDDAPLLPSGFRIIPLDSKPSDTQDSLTTNRTLDLTSSLEVGTATNHAAGDAPSCQNSRSVLTIALQFPFDSNLQDNVAAMARQYVRSVIASVQRVAMAISPSGLSPTVGPKLSPGSPEALTLAHWICQSYSYHLGAELLRAESLGGDAVLKNLWQHQDAILCCSLKSLPVFIFANQAGLDMLETTLVALQDITLDKIFDESGRKALCSDFAKLMQQVSPN >EOY34371 pep chromosome:Theobroma_cacao_20110822:9:40812283:40820144:1 gene:TCM_042062 transcript:EOY34371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein isoform 3 MAMAVAQHRESSSGSSINKHLDAGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVCENGYMRQQLHTVNASAATDASCDSVVTTPQHSLRDANNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIAEILKDRPSWFRDCRNLEVFTMFPAGNGGTIELVYTQTYAPTTLAPARDFWTLRYTTTLENGSLVVCERSLSGSGAGPSAAAAAQFVRAEMLPSGYLIRPCEGGGSIIHIVDHMNLEAWSVPEVLRPLYESSKVIAQKMTIAALRYIRQIAQETSGEVVYGLGRQPAVLRTFSQRLSRGFNDAINGFNDDGWSIMNCDGAEDVIIAINSSKNLSSSSNPANALSFLGGVLCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYSAASLKAGTYSYPGMRPTSLEVGTATNHAAGDAPSCQNSRSVLTIALQFPFDSNLQDNVAAMARQYVRSVIASVQRVAMAISPSGLSPTVGPKLSPGSPEALTLAHWICQSYSYHLGAELLRAESLGGDAVLKNLWQHQDAILCCSLKSLPVFIFANQAGLDMLETTLVALQDITLDKIFDESGRKALCSDFAKLMQQGFAYLPAGICMSTMGRNVSYEQAVAWKVLAADESTVHCLAFSFVNWSFV >EOY34368 pep chromosome:Theobroma_cacao_20110822:9:40812806:40820337:1 gene:TCM_042062 transcript:EOY34368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein isoform 3 MAMAVAQHRESSSGSSINKHLDAGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVCENGYMRQQLHTVNASAATDASCDSVVTTPQHSLRDANNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIAEILKDRPSWFRDCRNLEVFTMFPAGNGGTIELVYTQTYAPTTLAPARDFWTLRYTTTLENGSLVVCERSLSGSGAGPSAAAAAQFVRAEMLPSGYLIRPCEGGGSIIHIVDHMNLEAWSVPEVLRPLYESSKVIAQKMTIAALRYIRQIAQETSGEVVYGLGRQPAVLRTFSQRLSRGFNDAINGFNDDGWSIMNCDGAEDVIIAINSSKNLSSSSNPANALSFLGGVLCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYSAASLKAGTYSYPGMRPTRFTGSQIIMPLGHTIEHEELLEVIRLEGHSLAQEDAFLSRDIHLLQICSGIDENAVGACSELVFAPIDEMFPDDAPLLPSGFRIIPLDSKPSDTQDSLTTNRTLDLTSSLEVGTATNHAAGDAPSCQNSRSVLTIALQFPFDSNLQDNVAAMARQYVRSVIASVQRVAMAISPSGLSPTVGPKLSPGSPEALTLAHWICQSYSYHLGAELLRAESLGGDAVLKNLWQHQDAILCCSLKSLPVFIFANQAGLDMLETTLVALQDITLDKIFDESGRKALCSDFAKLMQQGFAYLPAGICMSTMGRNVSYEQAVAWKVLAADESTVHCLAFSFVNWSFV >EOY29436 pep chromosome:Theobroma_cacao_20110822:9:1182394:1185784:1 gene:TCM_046909 transcript:EOY29436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MESCYRYSVAVFSWLCVFSILVVFFISAQFNSFVSFSASKLESPEPKLELQRIVSTPDQIRAVSFSESTDHQHINRPRNTTVIFQRKRKKPSREQVLEQGLARARASIRSAATARNVTVTFENDDDVPVGDVYRNPSAFYDSYVEMERRFKVYVYTEGELPIVHDGPCKEIYTIEGRFIHEMEHGAKRFRTNDPGHAHVYFMPFSVTWMVKYIYKPLSYNVSPLKQFVSDYVKVVSTKYPFWNRTHGADHFMLACHDWGPHASQGNSHLYTNSIRVLCNANTSEGFNPQKDVSLPEIHLNGGFLSPKLLHPPLPNVSRPHLSFFAGGLHGPIRPFLLQHWKGRDDEMQVFEYLPKDKDYYSYMLQSKFCLCPSGYEVASPRIVEAIYSECVPVILSEHYVLPFSDVLRWEAFSVQVETTAIPRLKEILLGIPEEKYRKLQEGVRAVRRHFMLNQPSKRFDMFHMILHSIWLRRINARLG >EOY33625 pep chromosome:Theobroma_cacao_20110822:9:38313199:38313953:-1 gene:TCM_041548 transcript:EOY33625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGIPRPFNSSVTPIYPILGYPPFPMVSAQIPGFPSQHGERMNGHMIAHNRNHPFQLSYPQRAISANNMRNRWNNERVVRVPTASAAFSAFNPFGF >EOY34025 pep chromosome:Theobroma_cacao_20110822:9:39730092:39732758:-1 gene:TCM_041830 transcript:EOY34025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triosephosphate isomerase MARKFFVGGNWKCNGTTEEVKKIVTTLNEAEVPSEDVVEVVVSPPFVFLNLVKSLLRSDFHVAAQNCWVRKGGAFTGEVSAEMLVNLNIPWVIIGHSERRALLNESNEFVGDKVAYALSQGLEVIACIGETLEQRESGSTMAVVAAQTKAIADKVTNWENVVLAYEPVWAIGTGKVATPAQAQEVHCELRKWLRDNVGAGVAASTRIIYGGSVNGANCKELAAQPDVDGFLVGGASLKPEFIDIIKAATVKKN >EOY32774 pep chromosome:Theobroma_cacao_20110822:9:33472874:33478709:-1 gene:TCM_040798 transcript:EOY32774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Titan9, putative isoform 2 MEPLYAKLYDKYDKLKKRKLSEMDDINRDQEEKFLSYVRAAEELIQHLKGENDKLHAEVNELRSEVASIMSSKEKQCADYQKILIEENQKYKALSEEVNRLQNVHQEGHLKGGKRHNVPMVSPGSAQVASEKVTGSSTRMMTRKRSRKSAAETEDSVVTPGLANRNVAMVSALAEDLSEKGPCCELLAHVQLPECCKDSLGANATASGTCLFQAFIECLVGMKLSTVNRTEGLCISALHQSSGYSFSLTWMNKAGGEESELLYRVSSLGTFERVAPEWMRDVIIFSTNMCPIFFERVARVIKLHC >EOY32776 pep chromosome:Theobroma_cacao_20110822:9:33473431:33478732:-1 gene:TCM_040798 transcript:EOY32776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Titan9, putative isoform 2 HTQPGTQPLSPCCLPQFLGTLSSSPPSSLAHDCFFFFSSLFAHHSRLPLSIAPVFFSHELHIWSGYFLSREIVFKKLLLSKMEPLYAKLYDKYDKLKKRKLSEMDDINRDQEEKFLSYVRAAEELIQHLKGENDKLHAEVNELRSEVASIMSSKEKQCADYQKILIEENQKYKALSEEVNRLQNVHQEGHLKGGKRHNVPMVSPGSAQVASEKVTGSSTRMMTRKRSRKSAAETEDSVVTPGLANRNVAMVSALAEDLSEKGPCCELLAHVQLPECCKDSLGANATASGTCLFQAFIECLVGMKLSTVNRTEGLCISALHQSSESMIWFDEKCEKTM >EOY32775 pep chromosome:Theobroma_cacao_20110822:9:33473045:33478732:-1 gene:TCM_040798 transcript:EOY32775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Titan9, putative isoform 2 HTQPGTQPLSPCCLPQFLGTLSSSPPSSLAHDCFFFFSSLFAHHSRLPLSIAPVFFSHELHIWSGYFLSREIVFKKLLLSKMEPLYAKLYDKYDKLKKRKLSEMDDINRDQEEKFLSYVRAAEELIQHLKGENDKLHAEVNELRSEVASIMSSKEKQCADYQKILIEENQKYKALSEEVNRLQNVHQEGHLKGGKRHNVPMVSPGSAQVASEKVTGSSTRMMTRKRSRKSAAETEDSVVTPGLANRNVAMVSALAEDLSEKGPCCELLAHVQLPECCKDSLGANATASGTCLFQAFIECLVGMKLSTVNRTEGLCISALHQSSESMIWFDEKCEKTM >EOY29456 pep chromosome:Theobroma_cacao_20110822:9:1243779:1245678:-1 gene:TCM_036983 transcript:EOY29456 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit b' isoform 1 MLRIEVEEFSTPRASLEKPRRSISSSLPSLVIVNGFSRKSFSYSKLPEEPIKLSVLKLDGSSFDVEVIKSATIAELKLAVQDVFSHMPTKGPGKISWPHVWGHFALCYEGWKLVTDTDSIINYGIRDGDQLRFIRHISPIYNMTKIQSKRRIVARKQSYLSISSSASSLGSEQNDEEDDEYDDMENGRYQTHKDESPSIVVEQECRFGHLWRGWSSYSRMSTIRKTGSRKGVVFQSRYGSSFLGNFRKILRLWGSTNYYRKHTWKED >EOY29455 pep chromosome:Theobroma_cacao_20110822:9:1241492:1245688:-1 gene:TCM_036983 transcript:EOY29455 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit b' isoform 1 MLRIEVEEFSTPRASLEKPRRSISSSLPSLVIVNGFSRKSFSYSKLPEEPIKLSVLKLDGSSFDVEVIKSATIAELKLAVQDVFSHMPTKGPGKISWPHVWGHFALCYEGWKLVTDTDSIINYGIRDGDQLRFIRHISPIYNMTKIQSKRRIVARKQSYLSISSSASSLGSEQNDEEDDEYDDMENGRYQTHKDESPSIVVEQECRFGHLWRESTASSSLCVAKNEIAFCKNFTPQLHTKDPIFQFVTHHPTFFQSNTHHRLPSFAKPETTTPKAMASMIMASSKSIVPVTSSSLPSKPKLQQIQQLSLPKIPTPKFLKSQQILYFSSSTLKSISLLTATSLSFAPPSLAEEIEKAALFDFNLTLPIMMAQFLLLMFALDKIYFTPLGKFMDERDAAIKEKLASVKDTSEDVKQLEEQATAIMRAARAEISAALNKMKKETQLELEGKLAEGRKKVEAELQEALANLEKQKEETIKALDSQISALSQEIVKKVRLVF >EOY29472 pep chromosome:Theobroma_cacao_20110822:9:1274570:1277445:1 gene:TCM_036994 transcript:EOY29472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MKKENQKHKLPQVSTEPGLLFLFEETKAFLSSPFLLHSKSLKMPRPGPRPYVCERRAWHSDRHQPMRGSLIQEIFRVVNEIHSSATKKNKEWQEKLPVVVLKAEEIMYSKANSEAEYMDLKSLWDRTNDAINTIIKRDESTETGELLQPCIEAALNLGCTPRRTLRSQRNCNPRCYLSPGTQEAENTTQANLTTNPNFMASYSGFMKSTIMNVTHLGSESQKHIAQDSNCTTYKFPFASENGPLPSNSQCLPMEKYPPPNLYSVYPLYYGNHLKFEEMQHGFGIFPKSISNTVEPAKMGVIDNLFSSDVDSSNNMNQTDVSNTSNNPHENACDLSLRLGPLSIPCLSVGKSRPQMESRR >EOY29471 pep chromosome:Theobroma_cacao_20110822:9:1274626:1278280:1 gene:TCM_036994 transcript:EOY29471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MKKENQKHKLPQVSTEPGLLFLFEETKAFLSSPFLLHSKSLKMPRPGPRPYVCERRAWHSDRHQPMRGSLIQEIFRVVNEIHSSATKKNKEWQEKLPVVVLKAEEIMYSKANSEAEYMDLKSLWDRTNDAINTIIKRDESTETGELLQPCIEAALNLGCTPRRTLRSQRNCNPRCYLSPGTQEAENTTQANLTTNPNFMASYSGFMKSTIMNVTHLGSESQKHIAQDSNCTTYKFPFASENGPLPSNSQCLPMEKYPPPNLYSVYPLYYGNHLKFEEMQHGFGIFPKSISNTVEPAKMGVIDNLFSSDVDSSNNMNQTDVSNTSNNPHENACDLSLRLGPLSIPCLSVGKSRPQVIEDTGSTSLEWNRWSLEGEHVNVDATMRKRKTVYGPTVDQQFCLPPKLPYSHLTGRMKSAGS >EOY29473 pep chromosome:Theobroma_cacao_20110822:9:1274775:1277094:1 gene:TCM_036994 transcript:EOY29473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MKKENQKHKLPQVSTEPGLLFLFEETKAFLSSPFLLHSKSLKMPRPGPRPYVCERRAWHSDRHQPMRGSLIQEIFRVVNEIHSSATKKNKEWQEKLPVVVLKAEEIMYSKANSEAEYMDLKSLWDRTNDAINTIIKRDESTETGELLQPCIEAALNLGCTPRRTLRSQRNCNPRCYLSPGTQEAENTTQANLTTNPNFMASYSGFMKSTIMNVTHLGSESQKHIAQDSNCTTYKFPFASENGPLPSNSQCLPMEKYPPPNLYSVYPLYYGNHLKFEEMQHGFGIFPKSISNTVEPAKMGVIDNLFSSDVDSSNNMNQTDVSNTSNNPHENACDLSLRLGPLSIPCLSVGKSRPQVIEDTGSTSLEWNRFGDLTPSIDKMLSSFPRSNRDDPLNSSLNRWSLEGEHVNVDATMRKRKTVYGPTVDQQFCLPPKLPYSHLTGRMKSAGS >EOY30610 pep chromosome:Theobroma_cacao_20110822:9:5160306:5162960:-1 gene:TCM_037759 transcript:EOY30610 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein isoform 4 MASRFKLVFAVSLLLLLLDFCRGSIVGVCYGRNADDLPTPDKVAQLVQLHKIKYLRIYDSNIQVLKAFANTGVELMVGVPNSDLLAFSQFQSNADSWLKNSILPYYPATKITYITVGLEVTESPDNASALVVPAMQNILTALKKVGLHKRIKVSSTHSLGVLSRSFPPSAGAFNSSHAYFLKPMLEFLAENQSPFMIDLYPYYAYRDSPNNVSLDYALFESSSEVIDPNTGLLYTNMFDAQIDALYFALMALNFRTIRIMVTETGWPSKGSSKEKAATPDNAQTYNTNLIRHVINDSGTPAKPGEELDVYIFSLFNENRKPGMESERNWGLFYPDQTSVYNLDFTGKGAVDMTNSGNGTKSNGTTWCIASSKASESDLQNALDWACGSGNVDCSAIQPSQPCFEPDNLVSHASFAFNSYYQQNGASDVACSFGGNGVKVDKDPSKSIFYSESDYIPDYTN >EOY30612 pep chromosome:Theobroma_cacao_20110822:9:5159544:5162553:-1 gene:TCM_037759 transcript:EOY30612 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein isoform 4 MVGVPNSDLLAFSQFQSNADSWLKNSILPYYPATKITYITVGLEVTESPDNASALVVPAMQNILTALKKVGLHKRIKVSSTHSLGVLSRSFPPSAGAFNSSHAYFLKPMLEFLAENQSPFMIDLYPYYAYRDSPNNVSLDYALFESSSEVIDPNTGLLYTNMFDAQIDALYFALMALNFRTIRIMVTETGWPSKGSSKEKAATPDNAQTYNTNLIRHVINDSGTPAKPGEELDVYIFSLFNENRKPGMESERNWGLFYPDQTSVYNLDFTGKGAVDMTNSGNGTKSNGTTWCIASSKASESDLQNALDWACGSGNVDCSAIQPSQPCFEPDNLVSHASFAFNSYYQQNGASDVACSFGGNGVKVDKDPRSTKLQQVTRLPWLIRLPPRGLKCAHGLLLSV >EOY30611 pep chromosome:Theobroma_cacao_20110822:9:5159340:5163277:-1 gene:TCM_037759 transcript:EOY30611 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein isoform 4 MASRFKLVFAVSLLLLLLDFCRGSIVGVCYGRNADDLPTPDKVAQLVQLHKIKYLRIYDSNIQVLKAFANTGVELMVGVPNSDLLAFSQFQSNADSWLKNSILPYYPATKITYITVGLEVTESPDNASALVVPAMQNILTALKKVGLHKRIKVSSTHSLGVLSRSFPPSAGAFNSSHAYFLKPMLEFLAENQSPFMIDLYPYYAYRDSPNNVSLDYALFESSSEVIDPNTGLLYTNMFDAQIDALYFALMALNFRTIRIMVTETGWPSKGSSKEKAATPDNAQTYNTNLIRHVINDSGTPAKPGEELDVYIFSLFNENRKPGMESERNWGLFYPDQTSVYNLDFTGKGAVDMTNSGNGTKSNGTTWCIASSKASESDLQNALDWACGSGNVDCSAIQPSQPCFEPDNLVSHASFAFNSYYQQNGASDVACSFGGNGVKVDKDPRSTKLQQVTRLPWLIRLPPRGLKCAHGLLLSV >EOY30608 pep chromosome:Theobroma_cacao_20110822:9:5158780:5163228:-1 gene:TCM_037759 transcript:EOY30608 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein isoform 4 MASRFKLVFAVSLLLLLLDFCRGSIVGVCYGRNADDLPTPDKVAQLVQLHKIKYLRIYDSNIQVLKAFANTGVELMVGVPNSDLLAFSQFQSNADSWLKNSILPYYPATKITYITVGLEVTESPDNASALVVPAMQNILTALKKVGLHKRIKVSSTHSLGVLSRSFPPSAGAFNSSHAYFLKPMLEFLAENQSPFMIDLYPYYAYRDSPNNVSLDYALFESSSEVIDPNTGLLYTNMFDAQIDALYFALMALNFRTIRIMVTETGWPSKGSSKEKAATPDNAQTYNTNLIRHVINDSGTPAKPGEELDVYIFSLFNENRKPGMESERNWGLFYPDQTSVYNLDFTGKGAVDMTNSGNGTKSNGTTWCIASSKASESDLQNALDWACGSGNVDCSAIQPSQPCFEPDNLVSHASFAFNSYYQQNGASDVACSFGGNGVKVDKDPSYDNCIYLTTRGINKTAASNTTAMANTSSSTRAEVCAWIATFCLMTLISFVLNLGNVAVASF >EOY30609 pep chromosome:Theobroma_cacao_20110822:9:5159340:5162361:-1 gene:TCM_037759 transcript:EOY30609 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein isoform 4 MVGVPNSDLLAFSQFQSNADSWLKNSILPYYPATKITYITVGLEVTESPDNASALVVPAMQNILTALKKVGLHKRIKVSSTHSLGVLSRSFPPSAGAFNSSHAYFLKPMLEFLAENQSPFMIDLYPYYAYRDSPNNVSLDYALFESSSEVIDPNTGLLYTNMFDAQIDALYFALMALNFRTIRIMVTETGWPSKGSSKEKAATPDNAQTYNTNLIRHVINDSGTPAKPGEELDVYIFSLFNENRKPGMESERNWGLFYPDQTSVYNLDFTGKGAVDMTNSGNGTKSNGTTWCIASSKASESDLQNALDWACGSGNVDCSAIQPSQPCFEPDNLVSHASFAFNSYYQQNGASDVACSFGGNGVKVDKDPSYDNCIYLTTRGINKTAASNTTAMANTSSSTRAEVCAWIATFCLMTLISFVLNLGNVAVASF >EOY32879 pep chromosome:Theobroma_cacao_20110822:9:34206603:34215319:-1 gene:TCM_040900 transcript:EOY32879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrimidine 2 isoform 2 MAHSLISLLLLSFLLLFASFPRSQSNQFCDAGIGYRESTCGISPSSSSSKLLIKGGTVVNAHQQEIADVYVEDGVIVAVKPNIKVGDDVTLLDATGKYIMPGGIDPHTHLAMEFMGTETIDDFFSGQAAALAGGTTMHIDFVIPVNGSLVAGFEAYERKAKKSCMDYGFHMAITKWDESVSREMEIMVNEKGINSFKFFMAYKGSLMINDELLLQGLKRCKSLGALAMVHAENGDAVFEGQKRMIELGITGPEGHALSRPAVLEGEATARAIRLARFVNTPLYVVHVMSIDAMEEIAKARKSGQKVIGEPVVSGLVLDDSGLWDPDFITAAKYVMSPPIRESGHDKALQAALSTGVLQLVGTDHCVFNSTQKAFGIDDFRKIPNGVNGIEERMHLVWDTMVESGQISVTDYVRITSTEWIFNLYPRKGAILAGSDADIIIFNPNSSFEIRASSHHSRTDTNVFDGRKGKGKVEVTIAGGRVVWQDDELKVVPGSGKYIEMPPFSYLFNGIDKADAKYLSSLQAPVGRFNSAS >EOY32878 pep chromosome:Theobroma_cacao_20110822:9:34206649:34215319:-1 gene:TCM_040900 transcript:EOY32878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrimidine 2 isoform 2 MAHSLISLLLLSFLLLFASFPRSQSNQFCDAGIGYRESTCGISPSSSSSKLLIKGGTVVNAHQQEIADVYVEDGVIVAVKPNIKVGDDVTLLDATGKYIMPGGIDPHTHLAMEFMGTETIDDFFSGQAAALAGGTTMHIDFVIPVNGSLVAGFEAYERKAKKSCMDYGFHMAITKWDESVSREMEIMVNEKGINSFKFFMAYKGSLMINDELLLQGLKRCKSLGALAMVHAENGDAVFEGQKRMIELGITGPEGHALSRPAVLEGEATARAIRLARFVNTPLYVVHVMSIDAMEEIAKARKSGQKVIGEPVVSGLVLDDSGLWDPDFITAAKYVMSPPIRESGHDKALQAALSTGVLQLVGTDHCVFNSTQKAFGIDDFRKIPNGVNGIEERMHLVWDTMVESGQISVTDYVRITSTECARIFNLYPRKGAILAGSDADIIIFNPNSSFEIRASSHHSRTDTNVFDGRKGKGKVEVTIAGGRVVWQDDELKVVPGSGKYIEMPPFSYLFNGIDKADAKYLSSLQAPVGRFNSAS >EOY31427 pep chromosome:Theobroma_cacao_20110822:9:8772703:8779168:1 gene:TCM_038366 transcript:EOY31427 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCO3-transporter family MENIRSPFKGIIKDVKGRSACYKQDWVSALCSGFGILAPTTYIFFASALPVIAFGEQLSRDTDGTLSTVETLASTAICGVIHSIFGGQPLLIVGVAEPTVIMYTYLYSFSKGRPELGQELFLAWTAWVCVWTALLLILLAIFNACTIITRFTRIAGELFGMLITVLFLQEAVKGVISEFSIPKGENPKLEKYQFPWLYTNGLLAVIFSFGVLVSALKTRKARSWCYGTGWLRGFIADYGVPLMVLCWTALSYTIPGKVDSGVPRRLFCPLLWEPASLHHWTVIKDMGKVPIVYILAAFIPALMIAGLYFFDHSVASQMAQQKEFNLKKPSAYHYDILLLGVMTLICGLLGLPPSNGVLPQSPMHTKSLAVLKKQLIRKKMVKSAKEGMLQQASNSEIYGRMQAVFIEMDASPALISVDKELKNLKEAVMKGDDGQDAKGKFDPVKHIDAYLPVRVNEQRMSNLLQSFLVGLSMCALPVIKKIPTSVLWGYFAYMAIDSLPGNQFWERILLLFITPSRRYKVLEGVHASFVESVPFKSILLFTLFQFVYFLVCFGVTWIPIAGILFPLPFFLLISIRQHILPKFFHPEHLRELDAAEYEEIAGTPRRNLSISLKEREPPDSSSEGTDDDFYDAEILDEMTTNRGELKLRTVSFKEERLHQVHPEGSA >EOY31970 pep chromosome:Theobroma_cacao_20110822:9:18357083:18362177:1 gene:TCM_039342 transcript:EOY31970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metaxin-related isoform 2 MEQVQAREAEEFTLVTRKPYFDLPTACPLCLPVYIYLKLAHLPFHLEFNSVYPDSDQIPYVESGTYVAYNNENGGVIERLKQDGIVDLDSEFQSVPDWISMKAMISSWLADAIMYELWVGSDGRSANKIYYSDLSWPIGKVLLLKQVYIVKQRLGITKDNAEQREDEIYRRAKIAYGALSTRLGEQNFLFDDRPSSLDATFLGHALVTLHVLPESSVLRSKLLEHDNIVRYAEKLKMELIESGSSSSGPQFHSVPSSSAPRKDSSNWRSKPKSKPKREKTDEEKTFKRRAKYFLGAQLLAVLLFLSVMGGYDSGDMEFDDDDEGFTYD >EOY31971 pep chromosome:Theobroma_cacao_20110822:9:18357077:18362176:1 gene:TCM_039342 transcript:EOY31971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metaxin-related isoform 2 MEQVQAREAEEFTLVTRKPYFDLPTACPLCLPVYIYLKLAHLPFHLEFNSVYPDSDQIPYVESGTYVAYNNENGGVIERLKQDGIVDLDSEFQSVPDWISMKAMISSWLADAIMYELWVGSDGRSANKIYYSDLSWPIGKVLLLKQVYIVKQRLGITKDNAEQREDEIYRRAKIAYGALSTRPSSLDATFLGHALVTLHVLPESSVLRSKLLEHDNIVRYAEKLKMELIESGSSSSGPQFHSVPSSSAPRKDSSNWRSKPKSKPKREKTDEEKTFKRRAKYFLGAQLLAVLLFLSVMGGYDSGDMEFDDDDEGFTYD >EOY33374 pep chromosome:Theobroma_cacao_20110822:9:37188587:37194037:-1 gene:TCM_041353 transcript:EOY33374 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MDKMKIEEVQSTAKKQRIATHTHIKGLGLDASGNAIRLAAGFVGQAEAREAAGLVVDMIRQKKMAGRALLLAGPPGTGKTALALGVSQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEETESVTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEFSKSCLQVHMLDMECFSYLNRALESSLSPIVIFATNRGICNVRGTDMNSPHGIPVDLLDRLVIIRTQIYGPAEMIQILAIRAQVEELVVDEESLAFLGEMGQSTSLRHAVQLLSPAGIVAKMNGRDSICKADLEEVSKL >EOY33373 pep chromosome:Theobroma_cacao_20110822:9:37188083:37198493:-1 gene:TCM_041353 transcript:EOY33373 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MEKMKNEEVQSTAKKQRIATHTHIKGLGLDASGNAIRLAAGFVGQAEAREAAGLVVDMIRQKKMAGRALLLAGPPGTGKTALALGVSQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEETESVTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGICNVRGTDMNSPHGIPVDLLDRLVIIRTQIYGPAEMIQILAIRAQVEELVVDEESLAFLGEMGQSTSLRHAVQLLSPAGIVAKMNGRDSICKADLEEVSKLYIDAKSSAKILQEQQEKFIS >EOY33372 pep chromosome:Theobroma_cacao_20110822:9:37187985:37198501:-1 gene:TCM_041353 transcript:EOY33372 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MEKMKNEEVQSTAKKQRIATHTHIKGLGLDMDKKSLHAAVMGAAASAMAVGIIFIERYKSRNNIPIEPSVNTLIVFCVEDCVGALDGTHIRASVPLEIQERFRKYYLADDGYGIWNGILSPYRGVRNHLEEFSDHHLENEKELFKLRHSSLRTSAERVITRCSILKLLVFPSKRKTARSKNRRGKERKEMDKMKIEEVQSTAKKQRIATHTHIKGLGLDASGNAIRLAAGFVGQAEAREAAGLVVDMIRQKKMAGRALLLAGPPGTGKTALALGVSQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEETESVTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGICNVRGTDMNSPHGIPVDLLDRLVIIRTQIYGPAEMIQILAIRAQVEELVVDEESLAFLGEMGQSTSLRHAVQLLSPAGIVAKMNGRDSICKADLEEVSKLYIDAKSSAKILQEQQEKFIS >EOY34266 pep chromosome:Theobroma_cacao_20110822:9:40524604:40528809:1 gene:TCM_042000 transcript:EOY34266 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2.7 isoform 3 MLDLNLNAASTESTQDCDSAAPFSDNLNEGSGNQMDESGTSNSSVVNDDDESCSTRANGDAFTLSFDILKVGSRGSEYQNDDAAKVVTKELFPVKGVGGDFGSCEGQSSGNGDNNKWIDLSFERKEAGEPRVMQQQASQTVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFTLSDYEEDMKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPRSYEGEMTFDTSNEDALYPGNDHNLDLNLGISPPVGNGPKENEGHLHFHTGPYDVHGAKSLRAENPAAATVGGPPFKGPVGPSDQPIFWNSGYPRFFPSEERAMVNRVELGPPQGLPDWAWQTHGQVSVTPMPLFSTAASSGFSFSATPRSAAILPSKPLDPTTHSLCFASPAATNTPQTYFHIKPPQAPP >EOY34267 pep chromosome:Theobroma_cacao_20110822:9:40524604:40528908:1 gene:TCM_042000 transcript:EOY34267 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2.7 isoform 3 MLDLNLNAASTESTQDCDSAAPFSDNLNEGSGNQMDESGTSNSSVVNDDDESCSTRANGDAFTLSFDILKVGSRGSEYQNDDAAKVVTKELFPVKGVGGDFGSCEGQSSGNGDNNKWIDLSFERKEAGEPRVMQQQASQTVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFTLSDYEEDMKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPRSYEGEMTFDTSNEGNDHNLDLNLGISPPVGNGPKENEGHLHFHTGPYDVHGAKSLRAENPAAATVGGPPFKGPVGPSDQPIFWNSGYPRFFPSEERAMVNRVELGPPQGLPDWAWQTHGQVSVTPMPLFSTAASSGFSFSATPRSAAILPSKPLDPTTHSLCFASPAATNTPQTYFHIKPPQAPP >EOY34265 pep chromosome:Theobroma_cacao_20110822:9:40524604:40528809:1 gene:TCM_042000 transcript:EOY34265 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2.7 isoform 3 MLDLNLNAASTESTQDCDSAAPFSDNLNEGSGNQMDESGTSNSSVVNDDDESCSTRANGDAFTLSFDILKVGSRGSEYQNDDAAKVVTKELFPVKGVGGDFGSCEGQSSGNGDNNKWIDLSFERKEAGEPRVMQQQASQTVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFTLSDYEEDMKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDNEVEAARAYDKAAIKCNGREAVTNFEPRSYEGEMTFDTSNEDALYPGNDHNLDLNLGISPPVGNGPKENEGHLHFHTGPYDVHGAKSLRAENPAAATVGGPPFKGPVGPSDQPIFWNSGYPRFFPSEERAMVNRVELGPPQGLPDWAWQTHGQVSVTPMPLFSTAASSGFSFSATPRSAAILPSKPLDPTTHSLCFASPAATNTPQTYFHIKPPQAPP >EOY33636 pep chromosome:Theobroma_cacao_20110822:9:38332942:38335835:-1 gene:TCM_046934 transcript:EOY33636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase S28 family protein MNRAMGFLRHSFHQLLLFSLISFSCVCGTQFSIPRLGALRRTTRTEPKITSSSVSKDFKTFFYSQTLDHFNYRPESYTTFPQRYVVNSKYWSGANTSAPILVFFGEETSLDNDLSDIGFLTDNAPRFKALLVYIEHRFYGKSIPFGSREAALRNASIRGYFTSAQAIADYAAIILHIKKTFFAKNSPVIVIGGSYGGMLAAWFRLKYPHVALGALASSAPILYFDDLAPQVGYYAIVTKDFKETSESCYETIRESWDEIDKVASKSNGLSILSMKFKTCKKLKRTFDLKDYLDSIYSEAAQYDHPPTYPLSIVCGGIDGAPKGTDILGRIFAGVVAYVGNKSCYDMNAYNHPSDETYMGWRWQTCSEMVMPIGHGNNDSMFPPAPFNLNRFIRKCKSLFGVQPQPHWVTTYYGGHDLKLILHRFASNIIFSNGLRDPYSSGGVLENISDSVVAVHTIHGSHCLDILPEKKSDPQWLVKQRKTEVQIIEAWLAKYYTDLLEFRDPA >EOY32487 pep chromosome:Theobroma_cacao_20110822:9:30171515:30182559:1 gene:TCM_040429 transcript:EOY32487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 1, putative MTFSFLIFCFIFLWPISVVAQIAGNVSVGASLLATEYSSQWLSPSGDFAFGFRQVNNNKDLFIVAIWYNKIPEKTIVWYANGDRPVPRGSKLELFLDSGLVLNGPQGEVLWSAQTINTSFVAYGFMNDTGNFVLLNENLLVVWESFKNPTDTMLPTQILQINEVLASHHKETDFSRGRFQFRLREDGNVVLTPIDLLSNNTYDPYYITNTGDTRNSTNSGYQVIFDESGYFYVLSRNNTKFYLTPEEKVPAANSYHRATLNFDGVFSLSYHPKNFTDNQSWTVIKTIPENICRSIYGEIGSGACGYNNVCILKNDGRPMCKCPPNYSLLDPDDEYGSCKPDFILGCQADGLWSQEDLYDMEELPNTDWPTSDYELSEPFTERQCRVSCLQDCMCAVSIFRNGDKCWKKKLPLSNGRVDNLFYGLKAFVKVSRGDQPQLNPRSLIPKKNAQQKSKNKLIILLAVLLTSSVIASSLGFIFIYRNKRTRVDRDTSVETNLRCFAYKELQEATNGFKHELGRGAFGVVYKGTIRQGSFVQVAVKKLNNVAQDGEKEFRTEVNVIGQTHHKNLVRLLGFCEDGPQRLLVYEFLSNGTLASLLFGEFKPSWNQRVQIAFGIARGLLYLHEECSNQIIHCDIKPQNILLDEYYNARISDFGLAKLLFLDQSQTSTAIRGTKGYVAPEWFRNLPITVKVDVYSFGVLLLEIICCRRSVDTDASGSEKIILTYWAFDCYQERTLDALVENDMEALNDREKLERFVAIAIWCIQEDPSLRPTMKKVTQMLEGVLQVPIPPCPTPFIMTN >EOY32335 pep chromosome:Theobroma_cacao_20110822:9:27449746:27450701:1 gene:TCM_040145 transcript:EOY32335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFDDLVAKIQDRIAEWENKVLSPGGRITLLRSVFSSLPIYLLQVFKPPTCVIERIDRLFNSFLWEGSTGTRKIHWASWHKITLPSNEGGLDIRGLGDVMQAFSMKLWWRFLTCNSIWTHFIWSKYCASQIPRNVKSKLWDSQTWKWMLASCSVIEQFTRCRIGKGELFFWHDCWMGEAPLVSRYPSFASSTTRVCYFYDNGKWDLGKLNNVLPEEVVAKILKISIDPLSVDTAFWVPTSNGQFTIKSV >EOY30213 pep chromosome:Theobroma_cacao_20110822:9:3809082:3811543:-1 gene:TCM_037495 transcript:EOY30213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate-zim-domain protein 1, putative MSCSPEFMGQKSARSPDKPSFTQTCSLLSQYLKEKGSFGDLTLGMTCNVEANGTPETLRPTMNLFPVNENSGDVSGRNLAAARNLRSMDLFPQQAGFSSPAAKDDGLKRVDSSVNSMNKSAAGEPQTAQMTIFYGGQVIVFNDFPADKAKEIMLLASKGSSQNNSFNPNPAKTNVSFTSSIARSPVEPVVGVPPTSNVVPNFINNATQECIQSAQRPIPGDLPIARRASLHRFLEKRKDRITTRAPYQISSSRASPSKPGDSMSWLGLAAQSPQ >EOY31800 pep chromosome:Theobroma_cacao_20110822:9:14124341:14125982:1 gene:TCM_038934 transcript:EOY31800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATTTTVSHPALPLFNGDNYPSWSVKMKAYLKGYDLWDVIESGTKVPPLRDNASAAQIKQYHEETAKRYKALSFIHGVVTEPIFSRIMACKSAKEAWEKLKVAFQGSDRTRHQQVMNLMREFEALKMKDTDSVKDYINKVEKIVNQVTILGGKLPEDRVVEKVIISLPERFESKISSLEEAKDLSKITLTELFNALQASENRRAIRNDEKVEHALIAKMKGKSHGESFANKVTSERKDKEKRSPPAGKQQYRTNRNVPCSHCKKKGHAESSCWFRPNVICRACDQQGHVERVCKNKQIKNKEKHGLTAKKAEVAEECLFMVGSGTSASDAKQWLIDSGASNHMTPKSHYLLN >EOY31077 pep chromosome:Theobroma_cacao_20110822:9:6888986:6893495:-1 gene:TCM_038085 transcript:EOY31077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATAGFSTSTPPVFTGSNYQIWAVKMRAYLKTFDLWEVFELGEAPVQRHANPTLAQIKQHSEEVAKRYKALSCFQSAVSDSIFARIINFDDPKSICDALKKEFQGNDRTKMIQVLNLSREFELLKMKKSESILEYSDRVLKVVNELRLLVEEKRALRNENIVENALIAKTKNLKVKASSSKKNESKGSKTGNDKQKKQGDRACQQLGHVEKVCQAKKSDAQGQAAVAEKTEEVAELLFVANSVVESSNNDVWFLDSACSHHMTDNKSLFTDLDTSFRARVKIGNGELLLIAGIGTIAVETVSDIRHIANDAEHCAMYTEQDLFDVWHKHMGHVNFGSLIKMSSEMMVNGLPEIVKPDMLCKVCQYDKQCRKHFPKERSWKAKKKLELVHSNKSKTLKHFFKFKAITKNQAEMKIKTLRSDNGSEFTVADFESFLAQFGVEHQLTITYSPQQNGVSERKNRIVVEMARCLLFQKRLPRVFWAEEVNTANYLLNRTYTRAPDEKRSKLDAKSVLAVFIGYSERSKGYRLYDVETKKVFISRDVVFDEGYNWHWEVFDIESSDKVTTGNGDQFEAEIDSSFDIEDERNVVRGTGTLQDIYSRCNLAVSEPSSFVEANTDQNWRKAMNTEMKMIEKNNNWVLVDRLDNQHVIGLKLIFKTKLKPDGSINKYKARLVVKGYAQIYGVDYNEIFSPVARHDTIRMIAALVAREGWRIYHLDVKSTFLNGVLTEDIYVEQPEGYVEKGSEDKVCKLVKALYGLKQASRAWIDELIFGMEVVQAKDQVSLHQTKYAKDLLKRFQMSLCKLVGTSLSFGAKFSKEDGFSKANGQIYMSIIGTLNFGLVYKKKESNQLIGSAIFTWNSKKHEVVAQSSVKVEYIACAVAFNQAIWLRKLMDDLGFKQTTGTVICVDNLSAVANARNPIQHGRTKHIKVKYHSLREAVKDNEIDFQYCHTDEQLADVFAKNLSRERFEYLRALLGVFISKIMLEC >EOY33174 pep chromosome:Theobroma_cacao_20110822:9:35773515:35776261:-1 gene:TCM_041149 transcript:EOY33174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrin-linked protein kinase family MCQRQGDDVSGGFDMQLIGNFLSFASRGDRVGLNQMLRDGISPDVQDYDSRTALHLAASEGHAPIVELLLHYKAKVNLIDRWKRTPLTDARLYGHRDICRILEVNGGKDMDDQEFINDQPMMNDQAPMGVFGEAHKVKWRGTWVVKTVIKRQIYHPHHPVTMILTSKENTLLQELRHPNILQFLGSIVQGEEMILIIEYLPMGNLDDILRTKGRLDFPTALRYALDIARGMNYLHKHKPYPIVHNNLDPRQVLPQFSEGNYESGHLKIGEYWVQMLYEQIQPNQDN >EOY32664 pep chromosome:Theobroma_cacao_20110822:9:32697824:32714213:1 gene:TCM_040695 transcript:EOY32664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicarboxylate transporter 1 isoform 2 MASVALTSSCSLGFSRIKSRALPHRSGPSPVFPHPVLSKSSSSNPSFIKPLSLTPIISNNRIHKSHRKLTVKAAASTPTAVTPPPQQPWQGAALKPLMASIATGVILWFVPVPSGVSRNAWQLLSIFLATIVGIITQPLPLGAVALLGLGASVLTKTLTFSAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRIAYQFVSLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNTGDGTENKLGSWLMLTCFQTSAISSSMFLTAMAANPLSANLAFNTIKQQIGWTDWAKAAIVPGLVSLLVVPLILYVIYPPTVKSSPDAPKLAREKLEKMGPMSKNEIIMAGTLLLTVGLWIFGGMLNVDAVTAAILGLSVLLVTGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGLISWFSGTVVKVVGGLGLSWQLSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVAGALGTPPYLGALVLSFLSNLMGGITHYGIGSAPVFYGAGYVPLATWWGYGFLISVVNIIIWLGVGGIWWKAIGLW >EOY32665 pep chromosome:Theobroma_cacao_20110822:9:32698424:32706231:1 gene:TCM_040695 transcript:EOY32665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicarboxylate transporter 1 isoform 2 MASIATGVILWFVPVPSGVSRNAWQLLSIFLATIVGIITQPLPLGAVALLGLGASVLTKTLTFSAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRIAYQFVSLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNTGDGTENKLGSWLMLTCFQTSAISSSMFLTAMAANPLSANLAFNTIKQQIGWTDWAKAAIVPGLVSLLVVPLILYVIYPPTVKSSPDAPKLAREKLEKMGPMSKNEIIMAGTLLLTVGLWIFGGMLNVDAVTAAILGLSVLLVTGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGLISWFSGTVVKVVGGLGLSWQLSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVAGALGTPPYLGALVLSFLSNLMGGITHYGIGSAPVFYGAGYVPLATWWGYGFLISVVNIIIWLGVGGIWWKAIGLW >EOY32666 pep chromosome:Theobroma_cacao_20110822:9:32698023:32702843:1 gene:TCM_040695 transcript:EOY32666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicarboxylate transporter 1 isoform 2 MASVALTSSCSLGFSRIKSRALPHRSGPSPVFPHPVLSKSSSSNPSFIKPLSLTPIISNNRIHKSHRKLTVKAAASTPTAVTPPPQQPWQGAALKPLMASIATGVILWFVPVPSGVSRNAWQLLSIFLATIVGIITQPLPLGAVALLGLGASVLTKTLTFSAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRIAYQFVSLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNTGDGTENKLGSWLMLTCFQTSAISSSMFLTAMAANPLSANLAFNTIKQQIGWTDWAKAAIVPGLVSLLVVPLILYVIYPPTVKSSPDAPKLAREKLEKMGPMSKNEIIMAGTLLLTVGLWGSGFLEGC >EOY31673 pep chromosome:Theobroma_cacao_20110822:9:11810909:11812462:-1 gene:TCM_038713 transcript:EOY31673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVQHTNQINSRLVDMSLSPSHKAMHYKGFYVNGYKFHTLDYRENYSIMNSGVFIKGSCYNDYDHAFYGLLVNIAKLKYFGVNNQVVLFKCHWFDIKKGLRVDMFISWSKFDTT >EOY32059 pep chromosome:Theobroma_cacao_20110822:9:19757167:19763528:-1 gene:TCM_039496 transcript:EOY32059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MPEMGHNNLKVFSNYHVSSLSASLVEAVENDVSLDTQAFRKSSMDLLQTYADNSDSDDQNRQPSTSSPDSSPPRLIPSKSAAPKVDDTMLALTAAKTHQAHSKPIDPTQHVVAFNPTYDQLWAPIYGPAHPYAKDGIAQGMRNHKLGFVEDASIESFVFDEQYNTFHKYGYAADPSGNNYIGDLDALQKNDAISVYNIPQHEQKKRKIEKRKEMEVEEDEVVGGEGEVDPTEIDNPASDVWLMKNKTSPWAGKKEGVQTELTEEQKKYAEEYAKKKEEKGHPGEKGEHVVDKTTFHGKEERDYQGRSWIAPPKDAKATNDHCYIPKRLVHTWSGHTKGVSAIRFFPKYGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGTKFLTAGYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDEDKQNILLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNTNWLAAQSLDNQILIYSTRARFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGLIKYWD >EOY32742 pep chromosome:Theobroma_cacao_20110822:9:33320059:33324507:-1 gene:TCM_040771 transcript:EOY32742 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein, putative isoform 2 MEGRLANAWRLTANDKSFIRTALLSEIRIDGRKPYEYRKIVVKFGREDGSSEVQLGQTRVMGIVTAQLVQPYRDRPKEGILSIFTEVSPMADPSFEPGHPGELAVELGRIIDRGLRYFCYLLKDLIEAEVCQLYSSNPLSFLFPRMYRAYSVESKAVDTESLCVLAGKFVWAIRIDLHILDNGGNLVDAANIAALAALLTFRRPECSLGGEDGQEVIVHPPEIREPLPLIVHHLPIAITFGFFSNDSILVIDPTHNEEAVMGGRMTTTVNANGDICAIQKAGGEGVPQRVIMQCLQLATAKAAGITKQIKEALYDILWYHLLRETTLETGELKCTTQKEL >EOY32743 pep chromosome:Theobroma_cacao_20110822:9:33320058:33324870:-1 gene:TCM_040771 transcript:EOY32743 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein, putative isoform 2 MEGRLANAWRLTANDKSFIRTALLSEIRIDGRKPYEYRKIVVKFGREDGSSEVQLGQTRVMGIVTAQLVQPYRDRPKEGILSIFTEVSPMADPSFEPGHPGELAVELGRIIDRGLRESKAVDTESLCVLAGKFVWAIRIDLHILDNGGNLVDAANIAALAALLTFRRPECSLGGEDGQEVIVHPPEIREPLPLIVHHLPIAITFGFFSNDSILVIDPTHNEEAVMGGRMTTTVNANGDICAIQKAGGEGVPQRVIMQCLQLATAKAAGITKQIKEALYDILWYHLLRETTLETGELKCTTQKEL >EOY32741 pep chromosome:Theobroma_cacao_20110822:9:33318843:33326071:-1 gene:TCM_040771 transcript:EOY32741 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein, putative isoform 2 MEGRLANAWRLTANDKSFIRTALLSEIRIDGRKPYEYRKIVVKFGREDGSSEVQLGQTRVMGIVTAQLVQPYRDRPKEGILSIFTEVSPMADPSFEPGHPGELAVELGRIIDRGLRESKAVDTESLCVLAGKFVWAIRIDLHILDNGGNLVDAANIAALAALLTFRRPECSLGGEDGQEVIVHPPEIREPLPLIVHHLPIAITFGFFSNDSILVIDPTHNEEAVMGGRMTTTVNANGDICAIQKAGGEGVPQRVIMQCLQLATAKAAGITKQIKEAVEVYNTERALRKIKRHPTSTGVNVKERQDQSVGRNTSTSKSNDVGTWKLKVEINIPEVGERDRPDALLNCIKLHYYDSLNTLPSTIEAITMVGWMRWDRVLTVEITKLLDDYVSSTRSVVNMPNHMFYMCRDPITGHVARSRYG >EOY32977 pep chromosome:Theobroma_cacao_20110822:9:34707274:34708483:1 gene:TCM_040983 transcript:EOY32977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHPTPLFPHPSCGGMPQKKEGILAVIFMFRRTIFITSKSISFFFYFFLFGEEEEGAMAILSLLSGDHIFKSQTCPFFFLRRMVFVTQVYFFSLLLLHLNRKRESHHIKTYFR >EOY30011 pep chromosome:Theobroma_cacao_20110822:9:3059208:3064418:1 gene:TCM_037366 transcript:EOY30011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive channel of small conductance-like 10, putative isoform 1 MEANKDTAEKRGTGGEVVINVSGEDTLKGPKGSAPKEAEALAAKQSAQDAADKASTESGAVTTGLAKSVPVGCPSPETSKFGPTTNKPPKVPSPGNESFTRRRSFARSLNSKPKSRFGEQSYVLESDQTEENGLVNREQGGGNSPYRHSFNKASPNNKSARSIRTDSAVSKTLSIGTTGENEYEEIIKKVKLHKEKLKGVKAKVVIEWVVFLFLLGCLIASLTVDKLQKTSVWSLKIWQWCVLVMVIFCGMLVTHWFMHLIVFLIELNFLLRKKVLYFVHGLKKSVQVFIWLSLVLVTWVLLFLGVERSKTATKILDYVTWTLVSVLIGSFLWLLKTLLLKILASNFHMNKFFDRIQESVFHQYVLQTLSGPPFMEIDGIRKSPAHMTVSSAKKGKGAKTKKLIDMGKVHKLKREKVSSWHMKVLVDAIMNSGLSTISNTLDESAYDEGCEQADKEITNEEEAQYVAHQIFSNVARHESNHNRSYIDEDDLLRFMIKEEVDHVFPLFEGSSTGKIDKKSFTNWVIKVYNDRKTLGHALNDTKTAVKQLNKIVTVLLIIITAVIWLLLVEIATTKVLLVLSSQLVVAAFMFGNTCKTIFEGIIFVFVMHPFDVGDRCVVDGVQLLVEEMNILTTVFLKLDNEKVYYPNSVLATKPISNYYRSSDMGDAIEFSIDFMTPAKTIGRLKEEIKEHLETNTLWHPNHLVVVKEIENVNKIKMALYCNHTMNFQDFREKNRRRTELVIELKRIFEELGIRYNLLPQHVNLNQVNRDRPEATYETT >EOY30014 pep chromosome:Theobroma_cacao_20110822:9:3059010:3063741:1 gene:TCM_037366 transcript:EOY30014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive channel of small conductance-like 10, putative isoform 1 MEANKDTAEKRGTGGEVVINVSGEDTLKGPKGSAPKEAEALAAKQSAQDAADKASTESGAVTTGLAKSVPVGCPSPETSKFGPTTNKPPKVPSPGNESFTRRRSFARSLNSKPKSRFGEQSYVLESDQTEENGLVNREQGGGNSPYRHSFNKASPNNKSARSIRTDSAVSKTLSIGTTGENEYEEIIKKVKLHKEKLKGVKAKVVIEWVVFLFLLGCLIASLTVDKLQKTSVWSLKIWQWCVLVMVIFCGMLVTHWFMHLIVFLIELNFLLRKKVLYFVHGLKKSVQVFIWLSLVLVTWVLLFLGVERSKTATKILDYVTWTLVSVLIGSFLWLLKTLLLKILASNFHMNKFFDRIQESVFHQYVLQTLSGPPFMEIDGIRKSPAHMTVSSAKKGKGAKTKKLIDMGKVHKLKREKVSSWHMKVLVDAIMNSGLSTISNTLDESAYDEGCEQADKEITNEEEAQYVAHQIFSNVARHESNHNRSYIDEDDLLRFMIKEEVDHVFPLFEGSSTGKIDKKSFTNWVIKVYNDRKTLGHALNDTKTAVKQLNKIVTVLLIIITAVIWLLLVEIATTKVLLVLSSQLVVAAFMFGNTCKTIFEGIIFVFVMHPFDVGDRCVVDGVAFGDQYLVAS >EOY30012 pep chromosome:Theobroma_cacao_20110822:9:3058932:3064564:1 gene:TCM_037366 transcript:EOY30012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive channel of small conductance-like 10, putative isoform 1 MEANKDTAEKRGTGGEVVINVSGEDTLKGPKGSAPKEAEALAAKQSAQDAADKASTESGAVTTGLAKSVPVGCPSPETSKFGPTTNKPPKVPSPGNESFTRRRSFARSLNSKPKSRFGEQSYVLESDQTEENGLVNREQGGGNSPYRHSFNKASPNNKSARSIRTDSAVSKTLSIGTTGENEYEEIIKKVKLHKEKLKGVKAKVVIEWVVFLFLLGCLIASLTVDKLQKTSVWSLKIWQWCVLVMVIFCGMLVTHWFMHLIVFLIELNFLLRKKVLYFVHGLKKSVQVFIWLSLVLVTWVLLFLGVERSKTATKILDYVTWTLVSVLIGSFLWLLKTLLLKILASNFHMNKFFDRIQESVFHQYVLQTLSGPPFMEIDGIRKSPAHMTVSSAKKGKGAKTKKLIDMGKVHKLKREKVSSWHMKVLVDAIMNSGLSTISNTLDESAYDEGCEQADKEITNEEEAQYVAHQIFSNVARHESNHNRSYIDEDDLLRFMIKEEVDHVFPLFEGSSTGKIDKKSFTNWVIKVYNDRKTLGHALNDTKTAVKQLNKIVTVLLIIITAVIWLLLVEIATTKVLLVLSSQLVVAAFMFGNTCKTIFEGIIFVFVMHPFDVGDRCVVDGVQLLVEEMNILTTVFLKLDNEKVYYPNSVLATKPISNYYRSSDMGDAIEFSIDFMTPAKTIGRLKEEIKEHLETNTLWHPNHLVVVKEIENVNKIKMALYCNHTMNFQDFREKNRRRTELVIELKRIFEELGIRYNLLPQHVNLNQVNRDRPEATYETT >EOY30013 pep chromosome:Theobroma_cacao_20110822:9:3059010:3063741:1 gene:TCM_037366 transcript:EOY30013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive channel of small conductance-like 10, putative isoform 1 MEANKDTAEKRGTGGEVVINVSGEDTLKGPKGSAPKEAEALAAKQSAQDAADKASTESGAVTTGLAKSVPVGCPSPETSKFGPTTNKPPKVPSPGNESFTRRRSFARSLNSKPKSRFGEQSYVLESDQTEENGLVNREQGGGNSPYRHSFNKASPNNKSARSIRTDSAVSKTLSIGTTGENEYEEIIKKVKLHKEKLKGVKAKVVIEWVVFLFLLGCLIASLTVDKLQKTSVWSLKIWQWCVLVMVIFCGMLVTHWFMHLIVFLIELNFLLRKKVLYFVHGLKKSVQVFIWLSLVLVTWVLLFLGVERSKTATKILDYVTWTLVSVLIGSFLWLLKTLLLKILASNFHMNKFFDRIQESVFHQYVLQTLSGPPFMEIDGIRKSPAHMTVSSAKKGKGAKTKKLIDMGKVHKLKREKVSSWHMKVLVDAIMNSGLSTISNTLDESAYDEGCEQADKEITNEEEAQYVAHQIFSNVARHESNHNRSYIDEDDLLRFMIKEEVDHVFPLFEGSSTGKIDKKSFTNWVIKVYNDRKTLGHALNDTKTAVKQLNKIVTVLLIIITAVIWLLLVEIATTKVLLVLSSQLVVAAFMFGNTCKTIFEGIIFVFVMHPFDVGDRCVVDGVAFGDQYLVAS >EOY33169 pep chromosome:Theobroma_cacao_20110822:9:35758566:35763781:-1 gene:TCM_041147 transcript:EOY33169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein isoform 2 MEKKEDQQLQQEEEQVVNPWEVSAKDGGKIDYDKLIDKFGCQRLDQSFVDRVQRLTSRPPHVFLRRGVFFAHRDLNEILDAYERGDKFYLYTGRGPSSEALHLGHLIPFMFTKYLQDAFKVPLVIQLTDDEKCMWKNLSVEESQRLARENAKDIIACGFDISKTFIFSDFDYVGGAFYKNMVKVAKCVTYNKVVGIFGFTGEDHIGKVSFPPVQAVPSFPSSFPHLFSSKDDLRCLIPCAIDQNDSGCCSSDRVSQACID >EOY33167 pep chromosome:Theobroma_cacao_20110822:9:35757831:35763655:-1 gene:TCM_041147 transcript:EOY33167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein isoform 2 MEKKEDQQLQQEEEQVVNPWEVSAKDGGKIDYDKLIDKFGCQRLDQSFVDRVQRLTSRPPHVFLRRGVFFAHRDLNEILDAYERGDKFYLYTGRGPSSEALHLGHLIPFMFTKYLQDAFKVPLVIQLTDDEKCMWKNLSVEESQRLARENAKDIIACGFDISKTFIFSDFDYVGGAFYKNMVKVAKCVTYNKVVGIFGFTGEDHIGKVSFPPVQAVPSFPSSFPHLFSSKDDLRCLIPCAIDQDPYFRMTRDVAPRIGYHKPALIESLFFPALQGETGKMSASDPNSAIYVTDSAKDIKFKVNKHAFTGGQESIEKHRQYGANLEVDIPIKYLNFFLEDDAELEHIKKEYGAGRMLTGEVKKRLIEVLTELVERHRRARAAVTDEMVDAFMAVRPLPNMFD >EOY33168 pep chromosome:Theobroma_cacao_20110822:9:35757909:35763768:-1 gene:TCM_041147 transcript:EOY33168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein isoform 2 MEKKEDQQLQQEEEQVVNPWEVSAKDGGKIDYDKLIDKFGCQRLDQSFVDRVQRLTSRPPHVFLRRGVFFAHRDLNEILDAYERGDKFYLYTGRGPSSEALHLGHLIPFMFTKYLQDAFKVPLVIQLTDDEKCMWKNLSVEESQRLARENAKDIIACGFDISKTFIFSDFDYVGGAFYKNMVKVAKCVTYNKVVGIFGFTGEDHIGKVSFPPVQAVPSFPSSFPHLFSSKDDLRCLIPCAIDQDPYFRMTRDVAPRIGYHKPALIESLFFPALQGETGKMSASDPNSAIYVTDSAKDIKFKVNKHAFTGGQESIEKHRQYGANLEVVDIPIKYLNFFLEDDAELEHIKKEYGAGRMLTGEVKKRLIEVLTELVERHRRARAAVTDEMVDAFMAVRPLPNMFD >EOY29846 pep chromosome:Theobroma_cacao_20110822:9:2545321:2550912:1 gene:TCM_037249 transcript:EOY29846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein, putative MKRGKDDEKIMGPMFPRLHVNDTEKGGPRAPPRNKMALYEQLSIPSQRFSPAVLPLNPSNKSSLVPPGSSSQGSSLERNMLFPSRVSLSTSTNLAEKFSTRQLGGASVNAPLARLEQRKVRDEDDFMVPVFVNSETGHQHNKTKNGSDGEKLTPLSPTYPSRLIKLQNVCDKDPNRSSYSVVNLRKEVRDQIEESSRVCSSRERSVKTAADLSTREKSDGHVKEANMSPDQDCGEHPASRLSRLHENDACLQQPAKNGCNNGAELMRDIDEGILSRQRSMSYSEGNHSGPDETNNDSECRGDKTCGSLQWANEDKSDNVSETSIVDSASGSDISPDDVVEIIGQKHFLKARRAIVNQQRIFAVQVFELHRLIKVQRLIAGSPHILLEDTAYLSKPSFQGSPAKKLPPEFIVKPLPHTKCKDDTEKPSHKMECSAENAVGRTSLSSVKNGSQPSNYGPFLGNPPPAPVNGDNKMNPWCFHQMPGHQWLVPVMSPSEGLIYKPYTGPGFMGSICGGCGPFGQTPMTGNFMTSAYGVAAPPHQGIGVLPGAPPVGHSYFPPYGMPVMNPAVSGSAVEQMNQFAGPGSYAHNGQFSGGGANFNMQHQNSCNLPSEKNGAISHVMKFQASKDTELQGSTASSPGERVQRDGTRSAAEGKNALPLFRTAPTILEGAPQPHDSEQTTRVIRVVPHNPRSATESAARIFQSIQEERKQYDSV >EOY33339 pep chromosome:Theobroma_cacao_20110822:9:36779134:36780050:1 gene:TCM_041295 transcript:EOY33339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate family protein 6, putative MSSSKKNLLKTLLTANAGSCGCGRPKLSDVYEPKPKSKISAIQNPKLPSSSACYDKSNALTAMENDDLTSTSFSFNIDTTSSTLNSESEPDLPRPSKVMFSPCPKIIDSIAVVKDSNDPFQDFRQSMLQMIMEKEIYSKDDLQELLRCFLELNSPCHHDVIVKAFTEIWNQVTSKEIATVREEPCVVVHGKKHGS >EOY29798 pep chromosome:Theobroma_cacao_20110822:9:2423685:2425517:1 gene:TCM_037225 transcript:EOY29798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase inner membrane subunit 17-2 isoform 1 MGTPETSREPCPDRILDDIGGAFGMGAVGGAAFHFLKGTMNSPSGARLIGATQAVRMNAPRIGGSFAVWGGLFSTFDCTMVYIRQKEDPWNSIIAGAATGGFLSMRQGLGAASRSAMFGGVLLALIEGAGIMLNKFLSQPQMPIMIEEPAPNVAGMPGFPMGQLPNQAPVSVDSLRQGSPSSTSSPSSSSASSSNSSSSWFGGLFGGGKKQESATSSGSKTQVLESFDAPPVPSFEYK >EOY29797 pep chromosome:Theobroma_cacao_20110822:9:2423563:2425465:1 gene:TCM_037225 transcript:EOY29797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase inner membrane subunit 17-2 isoform 1 MGTPETSREPCPDRILDDIGGAFGMGAVGGAAFHFLKGTMNSPSGARLIGATQAVRMNAPRIGGSFAVWGGLFSTFDCTMVYIRQKEDPWNSIIAGAATGGFLSMRQGLGAASRSAMFGGVLLALIEGAGIMLNKFLSQPQMPIMIEEPAPNVAGMPGFPMGQLPNQAPVSVDSLRQGSPSSTSSPSSSSASSSNSSSSWFGGLFGGGKKQESATSSGSKTQVLESFDAPPVPSFEYK >EOY29796 pep chromosome:Theobroma_cacao_20110822:9:2423563:2425729:1 gene:TCM_037225 transcript:EOY29796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase inner membrane subunit 17-2 isoform 1 MGTPETSREPCPDRILDDIGGAFGMGAVGGAAFHFLKGTMNSPSGARLIGATQAVRMNAPRIGGSFAVWGGLFSTFDCTMVYIRQKEDPWNSIIAGAATGGFLSMRQGLGAASRSAMFGGVLLALIEGAGIMLNKFLSQPQMPIMIEEPAPNVAGMPGFPMGQLPNQAPVSVDSLRQGSPSSTSSPSSSSASSSNSSSSWFGGLFGGGKKQESATSSGSKTQVLESFDAPPVPSFEYK >EOY32432 pep chromosome:Theobroma_cacao_20110822:9:29271522:30436675:1 gene:TCM_040351 transcript:EOY32432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 17 isoform 1 MAIPGYRLHLFLWAFLALVTSSKAVTPTKVTDPSFSRKTFPAGFVFGTASSSYQYEGAAKEGGRGPSIWDTYTHKYPDKIADGSNGDVAIDSYHRYKEDVGIMKEMGLDAYRFSISWSRVLPKGKLNGGVNKEGVRYYNNLINELLANGIQPFVTLFHWDLPQALEDEYGGFLSPRIVDDFRDYADVCFKEFGDRVKHWITLNEPWSYSSGGYASGFLAPGRCSAWQKLNCTGGDSGTEPYLVGHYLLLAHAAAVKLYRQNYQATQKGIIGITLVSHWFVPFSNARHHKNAALRALDFMFGWFMDPITIGSYPHSMQSLVGNRLPKFNEEHSEMLKGSFDFLGLNYYTANYAAYAPELNAGKPSYLTDARANLSTKRHGIPIGQMAGSNWLYVYPRGVRDLLLYIKEKYNNPLIYITENGSTIAHVDYLVLVIERISCIERKETRISRTIMKNIIQCIVGVDEVNNATLPLKEALIDNMRINYHRAHLSFIQLAIKDGVDVKGYFAWSLLDNFEWMNGYTVRFGINFVDYKDGLKRYPKLSAHWFKNFLKH >EOY32433 pep chromosome:Theobroma_cacao_20110822:9:30429558:30436674:1 gene:TCM_040351 transcript:EOY32433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 17 isoform 1 MAIPGYRLHLFLWAFLALVTSSKAVTPTKVTDPSFSRKTFPAGFVFGTASSSYQYEGAAKEGGRGPSIWDTYTHKYPDKIADGSNGDVAIDSYHRYKEDVGIMKEMGLDAYRFSISWSRVLPKGKLNGGVNKEGVRYYNNLINELLANGIQPFVTLFHWDLPQALEDEYGGFLSPRIVDDFRDYADVCFKEFGDRVKHWITLNEPWSYSSGGYASGFLAPGRCSAWQKLNCTGGDSGTEPYLVGHYLLLAHAAAVKLYRQNYQATQKGIIGITLVSHWFVPFSNARHHKNAALRALDFMFGWFMDPITIGSYPHSMQSLVGNRLPKFNEEHSEMLKGSFDFLGLNYYTANYAAYAPELNAGKPSYLTDARANLSTKRHGIPIGQMAGSNWLYVYPRGVRDLLLYIKEKYNNPLIYITENGVDEVNNATLPLKEALIDNMRINYHRAHLSFIQLAIKDGVDVKGYFAWSLLDNFEWMNGYTVRFGINFVDYKDGLKRYPKLSAHWFKNFLKH >EOY29559 pep chromosome:Theobroma_cacao_20110822:9:1542222:1546629:-1 gene:TCM_037060 transcript:EOY29559 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 32, putative MAETESQAQQTKRQEDQQKDDLEGEEEEEEEEEEEEEDGELEEEEEEEEGMSRASESQGAGAAPSSDAELRDSQTLTNDDRWEGLQVNSSSESKERAEFKEQVKVAQQEVLPSLVVQGAEAQTQNQPRLSVSPTSLPQSSPTSVAQGVLSAPTPTLPEECLSDQKVNGASVPEANRQTSSNLKTVSVVPIVKTPVSDGYNWRKYGQKQVKSPKGSRSYYKCTFSDCRAKKIECSDHTGHVIEIVNKGMHSHEPPRKHNLTRESKVVSSAVPISRNIVTEQPIRIPNDSDLSTSSKDSVQETTVNPERKRQCSSGSDGNGDVQVKEEHLSEPEPKRRMKKGNAVCSGSVLKSGKKPKFVVHAAGDVGISGDGYRWRKYGQKMVKGNPNPRNYYRCTSAGCPVRKHIETAVDNTNAVIITYKGVHDHDMPVPKKRHGPPSAPLVAAAAPASMNNLQFKKTDGVQNQVTSTQWSVGTEGELTGEALDLGGEKAMESARTLLSIGFEIKPC >EOY33959 pep chromosome:Theobroma_cacao_20110822:9:39479453:39483332:-1 gene:TCM_041782 transcript:EOY33959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein, putative MAGICRFLHILVTLATVCSIALAKDQNQFIHEGFHEANLHLNGIAKIHPNGLLELTNSSYQQIGRAFFPLPIKFNTSSSNNSGSLSFSSSFVFAMVPEFPKLGGHGLAFTISPSMELTGAVASQYFGLFNTRTNGRSTNHVFAIELDTIKSPEFEDIDANHVGIDVNNLLSNASAPATYYSETEGKNKTLELISGKPIQVWIDYDEREMLLQVTLAPLRTKKPRRPLLSAKLDLSQVFLKYMYVGFSASTGAMSSNQYILGWSFNKSGQAQSLDYSKLPSPPHRRYPAKKVDFRIMVPLLIMSLLVIFFIGAAYKTWRKKYEEIREDWEQEYGPQRFCYRDLYKATNGFKERELLGVGGFGEVYRGALPSSHEQVAIKRVSHNSKQGMKEFVAEIASMGRLRHRNLVQLLGYCRRKGELLLVYDFMPNGSLDKFLFSNEKPNLNWVQRFQILKGVASALLYLHEQWDQVVIHRDIKASNILLDALLNGRLGDFGLAKFHEHGATPQTTHVVGTVGYIAPELARTGQATTSSDVFAFGNLMLEIACGRRTLEPERPPEEIILVDWVLQFWKTDLILQTNDPRLEGNYVVTEMELVLKLGLLCAHPAPASRPSMSTVVQYLNGSASLPELLLDGASDCVITVPHQTSVNDMSFPASCDNFSANSLSSTTDSILSCGR >EOY32557 pep chromosome:Theobroma_cacao_20110822:9:31443685:31446633:1 gene:TCM_040551 transcript:EOY32557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin B1, putative MCDIGNLDTLQLIEGKPPTNITRPITRGFCAKLLANAQAAALENKNSVVAAVGDGLPVNGKGGNVKKVAKANKKLSDKPNLDTTIANSSDDEEMVKKKGGKQASNDPKPESAILINSNDEANVNPVSEKSSHQRSFRKNIKTLQFLVLKAGLLVLCSKSPQKMIPKSRISSGHSHSNVRMRKILVDWLIQVHNSFQLMPESLYLTINILDRYLSKKVVSRYELQLVGLGAMLIACKYEELWPPRVKDLVCISDNAYVEEQVLAMEKAILGTLEWYLTVPTAYVFLVRYIKASVSPDMEMEYMVFFLAELGIVYYNTVVLYPPSMIAAGAVYAARCTLNRSPFWSETLKHHTGYSGTTNKLCKAFGCFSPDGCKRGACGDLFKICKSR >EOY31835 pep chromosome:Theobroma_cacao_20110822:9:16070810:16071409:-1 gene:TCM_039116 transcript:EOY31835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >EOY30299 pep chromosome:Theobroma_cacao_20110822:9:4121478:4127672:1 gene:TCM_037557 transcript:EOY30299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIQTYGCSCTHGEVETEKLIAELYDVENPKISSLNLNWMNAKWLDLNLSMRDLARYPREGNNPSRINVVNWIKKPTEMPWKLSSLIMQIHKPLGSILRWDIEHILGSANEAADNLAKEGALRT >EOY31585 pep chromosome:Theobroma_cacao_20110822:9:9965200:9973708:-1 gene:TCM_038528 transcript:EOY31585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVDNLELGLTHRIAQWLPGVPRPNNESSTRVAPRKTNSSQKIWIFHSFDISYEVILTHNQCLHVSLSFPWLECLIRATFVYAKCTRTERIPLWTILRSLSVDIHVPWLVGGDFNVILNRAKRLYGASSHTRSMDDFATTLLDCGLVVGGFKGNTYTWTNSHMFQCLDRIVYNHQWMGLLLITRVQHLNRDGSDHCPLLISCSKATDKSPSSFRFLHAWTHHRDFKRYVEVNRNLPIHGKGLQAFWRKQLRLKQHFKWWNKMVFGDIFHNLKVVENHVEVNEIMFQQEQSLPNRLELNKSYAHFNQLLSMEETFWQQKSGIKWVAEGEWNTRFFHMRVQKKRIKSHVFKVENQDVGENDILCAMPTLQEVKAAVFDIDKNSVAGLNSLSPDDGIFRGSCEGGYLSKSSLDIGNISDVVKAFGVKLWWSFQSCKSLWAQFMRVKYCVGQVPRYVKPRIHDSQTWKRMLMGEEPLVNRFPTFISSMIQVCYFFDNGKWNVEKLMEVLLEELIGRFCKF >EOY33851 pep chromosome:Theobroma_cacao_20110822:9:39173928:39181004:1 gene:TCM_041704 transcript:EOY33851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGFDNECILNIQSLAGEYFCPVCRLLVYPNEALQSQCTHLYCKPCLTYVVSTTRACPYDGYLVTEADSKPLVESNKMLADTIGKITVHCLYHRSGCTWQGPLSECTAHCSGCAFGNSPVVCNRCGIQIVHRQVQEHAQNCPSVQPQAQQAKGGQDTAATGTTAADQAQIASQTGTATSQAQASQTTTSGTPGQEPNQQANPNPRSQAVSQAAAMTSEQLYQQQQQYQQYYQQYSGYDPYYQQYYPYQQQSVLQYQQQPLQVNAPHMAGQHPVYLQTQPQPQPQVQPQPQVQAQVQPQPQPQAQHQSNSSVQAPVAAQPQNQSQANQQQQTHITVPPPSQIPLQNYPAAQGHPQPQVQPFPQAQPQVQPFPQAQPHLQHGQIPQYQQHHSQLHQPQPQLLPAPQAQPHSQAQPQAQLQPQPQPQPQPHPQQSQPMNPNLLPQPQQLHPAAHAVTGHQSYPLSQPHQQMQLVTPQHPMHVHAQGGLHPQQHPAQMQNSYPQQPPQMRPPQPHVAISNQQQPGLLPSPGSMLQQVHLHSHQPALPVQQRPVMHPAASPMSQPYVQQQPLSTQPVGLVQPQMLQQGPFVQQQSSFQSQSRPLGPPHSFPQPPHAYAQPQQNVAGSHAVHFHPSHNLVGRPMTPNHGVQSQPYPHSAAGTPVKPVHLGANQPSSYQNNVFRTNNQSGVTSQPMSEVPGDHGTDKNVAEQEADSSSPGTARKEANELDMASSLGADVAEKNTAKLEADLKSVDEKLTGDVGDDSNGVDISTKETPESRRTVGTDLEQHRDPVSKNMVTCEAIEDQKDVHNGEHKVEEIKIKDGPSLKTPPLQEAKLGEEQNGKMQKDKILPHDQGTPKGPAGNGFRGIPPSSQVQPGGYLPPSHSVPNVDQGRHQPLQMPYGSNNNQQRPAVSAILQAPPPGLPSHAQTPGLPPNQFRPQGPGQALVPPENLPPGSFGRDPSNYGPQGPYNQGPPSLSGAPRISQGEPLVGLSYGTPPLTAFDSHGAPLYGPESHSVQHSANMVDYHADNRQLDPRASGLDSTSTFSLRGERLKPVQDECSNQFPLDRGHRGDRGQFEEDLKHFPRPSHLDNEPVPKFGSYISSSRPLDRGPHGFGMDMGPRAQEKEPHGFSFDPMIGSGPSRFLPPYHPDDTGERPVGLPKDTLGRPDFLGTVPSYGRHRMDGFVSRSPGREYPGISPHGFGGHPGDEIDGRERRFSDRFPGLPGHLHRGGFESSDRMEEHLRSRDMINQDNRPAYFRRGEHVGHHNMPGHLRLGEPIGFGDFSSHERIGEFGGPGNFRHPRLGEPGFRSSFSLQEFPNDGGIYTGGMDSFENLRKRKPMSMGWCRICKIDCETVEGLDLHSQTREHQKMAMDMVVTIKQNAKKQKLTSSDHSIRNDTSKSKNVKFEGRVNKH >EOY33853 pep chromosome:Theobroma_cacao_20110822:9:39173928:39181004:1 gene:TCM_041704 transcript:EOY33853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGFDNECILNIQSLAGEYFCPVCRLLVYPNEALQSQCTHLYCKPCLTYVVSTTRACPYDGYLVTEADSKPLVESNKMLADTIGKITVHCLYHRSGCTWQGPLSECTAHCSGCAFGNSPVVCNRCGIQIVHRQVQEHAQNCPSVQPQAQQAKGGQDTAATGTTAADQAQIASQTGTATSQAQASQTTTSGTPGQEPNQQANPNPRSQAVSQAAAMTSEQLYQQQQQYQQYYQQYSGYDPYYQQYYPYQQQSVLQYQQQPLQVNAPHMAGQHPVYLQTQPQPQPQVQPQPQVQAQVQPQPQPQAQHQSNSSVQAPVAAQPQNQSQANQQQQTHITVPPPSQIPLQNYPAAQGHPQPQVQPFPQAQPQVQPFPQAQPHLQHGQIPQYQQHHSQLHQPQPQLLPAPQAQPHSQAQPQAQLQPQPQPQPQPHPQQSQPMNPNLLPQPQQLHPAAHAVTGHQSYPLSQPHQQMQLVTPQHPMHVHAQGGLHPQQHPAQMQNSYPQQPPQMRPPQPHVAISNQQQPGLLPSPGSMLQQVHLHSHQPALPVQQRPVMHPAASPMSQPYVQQQPLSTQPVGLVQPQMLQQGPFVQQQSSFQSQSRPLGPPHSFPQPPHAYAQPQQNVAGSHAVHFHPSHNLVGRPMTPNHGVQSQPYPHSAAGTPVKPVHLGANQPSSYQNNVFRTNNQSGVTSQPMSEVPGDHGTDKNVAEQEADSSSPGTARKEANELDMASSLGADVAEKNTAKLEADLKSVDEKLTGDVGDDSNGVDISTKETPESRRTVGTDLEQHRDPVSKNMVTCEAIEDQKDVHNGEHKVEEIKIKDGPSLKTPPLQEAKLGEEQNGKMQKDKILPHDQGTPKGPAGNGFRGIPPSSQVQPGGYLPPSHSVPNVDQGRHQPLQMPYGSNNNQQRPAVSAILQAPPPGLPSHAQTPGLPPNQFRPQGPGQALVPPENLPPGSFGRDPSNYGPQGPYNQGPPSLSGAPRISQGEPLVGLSYGTPPLTAFDSHGAPLYGPESHSVQHSANMVDYHADNRQLDPRASGLDSTSTFSLRGERLKPVQDECSNQFPLDRGHRGDRGQFEEDLKHFPRPSHLDNEPVPKFGSYISSSRPLDRGPHGFGMDMGPRAQEKEPHGFSFDPMIGSGPSRFLPPYHPDDTGERPVGLPKDTLGRPDFLGTVPSYGRHRMDGFVSRSPGREYPGISPHGFGGHPGDEIDGRERRFSDRFPGLPGHLHRGGFESSDRMEEHLRSRDMINQDNRPAYFRRGEHVGHHNMPGHLRLGEPIGFGDFSSHERIGEFGGPGNFRHPRLGEPGFRSSFSLQEFPNDGGIYTGGMDSFENLRKRKPMSMGWCRICKIDCETVEGLDLHSQTREHQKMAMDMVVTIKQNAKKQKLTSSDHSIRNDTSKSKNVKFEGRVNKH >EOY33856 pep chromosome:Theobroma_cacao_20110822:9:39173928:39181004:1 gene:TCM_041704 transcript:EOY33856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNPNLLPQPQQLHPAAHAVTGHQSYPLSQPHQQMQLVTPQHPMHVHAQGGLHPQQHPAQMQNSYPQQPPQMRPPQPHVAISNQQQPGLLPSPGSMLQQVHLHSHQPALPVQQRPVMHPAASPMSQPYVQQQPLSTQPVGLVQPQMLQQGPFVQQQSSFQSQSRPLGPPHSFPQPPHAYAQPQQNVAGSHAVHFHPSHNLVGRPMTPNHGVQSQPYPHSAAGTPVKPVHLGANQPSSYQNNVFRTNNQSGVTSQPMSEVPGDHGTDKNVAEQEADSSSPGTARKEANELDMASSLGADVAEKNTAKLEADLKSVDEKLTGDVGDDSNGVDISTKETPESRRTVGTDLEQHRDPVSKNMVTCEAIEDQKDVHNGEHKVEEIKIKDGPSLKTPPLQEAKLGEEQNGKMQKDKILPHDQGTPKGPAGNGFRGIPPSSQVQPGGYLPPSHSVPNVDQGRHQPLQMPYGSNNNQQRPAVSAILQAPPPGLPSHAQTPGLPPNQFRPQGPGQALVPPENLPPGSFGRDPSNYGPQGPYNQGPPSLSGAPRISQGEPLVGLSYGTPPLTAFDSHGAPLYGPESHSVQHSANMVDYHADNRQLDPRASGLDSTSTFSLRGERLKPVQDECSNQFPLDRGHRGDRGQFEEDLKHFPRPSHLDNEPVPKFGSYISSSRPLDRGPHGFGMDMGPRAQEKEPHGFSFDPMIGSGPSRFLPPYHPDDTGERPVGLPKDTLGRPDFLGTVPSYGRHRMDGFVSRSPGREYPGISPHGFGGHPGDEIDGRERRFSDRFPGLPGHLHRGGFESSDRMEEHLRSRDMINQDNRPAYFRRGEHVGHHNMPGHLRLGEPIGFGDFSSHERIGEFGGPGNFRHPRLGEPGFRSSFSLQEFPNDGGIYTGGMDSFENLRKRKPMSMGWCRICKIDCETVEGLDLHSQTREHQKMAMDMVVTIKQNAKKQKLTSSDHSIRNDTSKSKNVKFEGRVNKH >EOY33850 pep chromosome:Theobroma_cacao_20110822:9:39173990:39178323:1 gene:TCM_041704 transcript:EOY33850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGFDNECILNIQSLAGEYFCPVCRLLVYPNEALQSQCTHLYCKPCLTYVVSTTRACPYDGYLVTEADSKPLVESNKMLADTIGKITVHCLYHRSGCTWQGPLSECTAHCSGCAFGNSPVVCNRCGIQIVHRQVQEHAQNCPSVQPQAQQAKGGQDTAATGTTAADQAQIASQTGTATSQAQASQTTTSGTPGQEPNQQANPNPRSQAVSQAAAMTSEQLYQQQQQYQQYYQQYSGYDPYYQQYYPYQQQSVLQYQQQPLQVNAPHMAGQHPVYLQTQPQPQPQVQPQPQVQAQVQPQPQPQAQHQSNSSVQAPVAAQPQNQSQANQQQQTHITVPPPSQIPLQNYPAAQGHPQPQVQPFPQAQPQVQPFPQAQPHLQHGQIPQYQQHHSQLHQPQPQLLPAPQAQPHSQAQPQAQLQPQPQPQPQPHPQQSQPMNPNLLPQPQQLHPAAHAVTGHQSYPLSQPHQQMQLVTPQHPMHVHAQGGLHPQQHPAQMQNSYPQQPPQMRPPQPHVAISNQQQPGLLPSPGSMLQQVHLHSHQPALPVQQRPVMHPAASPMSQPYVQQQPLSTQPVGLVQPQMLQQGPFVQQQSSFQSQSRPLGPPHSFPQPPHAYAQPQQNVAGSHAVHFHPSHNLVGRPMTPNHGVQSQPYPHSAAGTPVKPVHLGANQPSSYQNNVFRTNNQSGVTSQPMSEVPGDHGTDKNVAEQEADSSSPGTARKEANELDMASSLGADVAEKNTAKLEADLKSVDEKLTGDVGDDSNGVDISTKETPESRRTVGTDLEQHRDPVSKNMVTCEAIEDQKDVHNGEHKVEEIKIKDGPSLKTPPLQEAKLGEEQNGKMQKDKILPHDQGTPKGPAGNGFRGIPPSSQVQPGGYLPPSHSVPNVDQGRHQPLQMPYGSNNNQQRPAVSAILQAPPPGLPSHAQTPGLPPNQFRPQGPGQALVPPENLPPGSFGRDPSNYGPQGPYNQGPPSLSGAPRISQGEPLVGLSYGTPPLTAFDSHGAPLYGPESHSVQHSANMVDYHADNRQLDPRASGLDSTSTFSLRGERLKPVQDECSNQFPLDRGHRGDRGQFEEDLKHFPRPSHLDNEPVPKFGSYISSSRPLDRGPHGFGMDMGPRAQEKEPHGFSFDPMIGSGPSRFLPPYHPDDTGERPVGLPKDTLGRPDFLGTVPSYGRHRMDGFVSRSPGREYPGISPHGFGGHPGDEIDGRERRFSDRFPGLPGHLHRGGFESSDRMEEHLRSRDMINQDNRPAYFRRGEHVGHHNMPGHLRLGEPIGFGDFSSHERIGEFGGPGNFRHPRLGEPGFRSSFSLQEFPNDGGIYTGWYGFI >EOY33852 pep chromosome:Theobroma_cacao_20110822:9:39173330:39178876:1 gene:TCM_041704 transcript:EOY33852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGFDNECILNIQSLAGEYFCPVCRLLVYPNEALQSQCTHLYCKPCLTYVVSTTRACPYDGYLVTEADSKPLVESNKMLADTIGKITVHCLYHRSGCTWQGPLSECTAHCSGCAFGNSPVVCNRCGIQIVHRQVQEHAQNCPSVQPQAQQAKGGQDTAATGTTAADQAQIASQTGTATSQAQASQTTTSGTPGQEPNQQANPNPRSQAVSQAAAMTSEQLYQQQQQYQQYYQQYSGYDPYYQQYYPYQQQSVLQYQQQPLQVNAPHMAGQHPVYLQTQPQPQPQVQPQPQVQAQVQPQPQPQAQHQSNSSVQAPVAAQPQNQSQANQQQQTHITVPPPSQIPLQNYPAAQGHPQPQVQPFPQAQPQVQPFPQAQPHLQHGQIPQYQQHHSQLHQPQPQLLPAPQAQPHSQAQPQAQLQPQPQPQPQPHPQQSQPMNPNLLPQPQQLHPAAHAVTGHQSYPLSQPHQQMQLVTPQHPMHVHAQGGLHPQQHPAQMQNSYPQQPPQMRPPQPHVAISNQQQPGLLPSPGSMLQQVHLHSHQPALPVQQRPVMHPAASPMSQPYVQQQPLSTQPVGLVQPQMLQQGPFVQQQSSFQSQSRPLGPPHSFPQPPHAYAQPQQNVAGSHAVHFHPSHNLVGRPMTPNHGVQSQPYPHSAAGTPVKPVHLGANQPSSYQNNVFRTNNQSGVTSQPMSEVPGDHGTDKNVAEQEADSSSPGTARKEANELDMASSLGADVAEKNTAKLEADLKSVDEKLTGDVGDDSNGVDISTKETPESRRTVGTDLEQHRDPVSKNMVTCEAIEDQKDVHNGEHKVEEIKIKDGPSLKTPPLQEAKLGEEQNGKMQKDKILPHDQGTPKGPAGNGFRGIPPSSQVQPGGYLPPSHSVPNVDQGRHQPLQMPYGSNNNQQRPAVSAILQAPPPGLPSHAQTPGLPPNQFRPQGPGQALVPPENLPPGSFGRDPSNYGPQGPYNQGPPSLSGAPRISQGEPLVGLSYGTPPLTAFDSHGAPLYGPESHSVQHSANMVDYHADNRQLDPRASGLDSTSTFSLRGERLKPVQDECSNQFPLDRGHRGDRGQFEEDLKHFPRPSHLDNEPVPKFGSYISSSRPLDRGPHGFGMDMGPRAQEKEPHGFSFDPMIGSGPSRFLPPYHPDDTGERPVGLPKDTLGRPDFLGTVPSYGRHRMDGFVSRSPGREYPGISPHGFGGHPGDEIDGRERRFSDRFPGLPGHLHRGGFESSDRMEEHLRSRDMINQDNRPAYFRRGEHVGHHNMPGHLRLGEPIGFGDFSSHERIGEFGGPGNFRHPRLGEPGFRSSFSLQEFPNDGGIYTGGMDSFENLRKRKPMSMGWCRICKIDCETVEGLDLHSQTREHQKMAMDMVVTIKQNAKKQKLTSSDHSIRNDTSKSKNVKFEGRVNKH >EOY33855 pep chromosome:Theobroma_cacao_20110822:9:39173940:39178985:1 gene:TCM_041704 transcript:EOY33855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGFDNECILNIQSLAGEYFCPVCRLLVYPNEALQSQCTHLYCKPCLTYVVSTTRACPYDGYLVTEADSKPLVESNKMLADTIGKITVHCLYHRSGCTWQGPLSECTAHCSGCAFGNSPVVCNRCGIQIVHRQVQEHAQNCPSVQPQAQQAKGGQDTAATGTTAADQAQIASQTGTATSQAQASQTTTSGTPGQEPNQQANPNPRSQAVSQAAAMTSEQLYQQQQQYQQYYQQYSGYDPYYQQYYPYQQQSVLQYQQQPLQVNAPHMAGQHPVYLQTQPQPQPQVQPQPQVQAQVQPQPQPQAQHQSNSSVQAPVAAQPQNQSQANQQQQTHITVPPPSQIPLQNYPAAQGHPQPQVQPFPQAQPQVQPFPQAQPHLQHGQIPQYQQHHSQLHQPQPQLLPAPQAQPHSQAQPQAQLQPQPQPQPQPHPQQSQPMNPNLLPQPQQLHPAAHAVTGHQSYPLSQPHQQMQLVTPQHPMHVHAQGGLHPQQHPAQMQNSYPQQPPQMRPPQPHVAISNQQQPGLLPSPGSMLQQVHLHSHQPALPVQQRPVMHPAASPMSQPYVQQQPLSTQPVGLVQPQMLQQGPFVQQQSSFQSQSRPLGPPHSFPQPPHAYAQPQQNVAGSHAVHFHPSHNLVGRPMTPNHGVQSQPYPHSAAGTPVKPVHLGANQPSSYQNNVFRTNNQSGVTSQPMSEVPGDHGTDKNVAEQEADSSSPGTARKEANELDMASSLGADVAEKNTAKLEADLKSVDEKLTGDVGDDSNGVDISTKETPESRRTVGTDLEQHRDPVSKNMVTCEAIEDQKDVHNGEHKVEEIKIKDGPSLKTPPLQEAKLGEEQNGKMQKDKILPHDQGTPKGPAGNGFRGIPPSSQVQPGGYLPPSHSVPNVDQGRHQPLQMPYGSNNNQQRPAVSAILQAPPPGLPSHAQTPGLPPNQFRPQGPGQALVPPENLPPGSFGRDPSNYGPQGPYNQGPPSLSGAPRISQGEPLVGLSYGTPPLTAFDSHGAPLYGPESHSVQHSANMVDYHADNRQLDPRASGLDSTSTFSLRGERLKPVQDECSNQFPLDRGHRGDRGQFEEDLKHFPRPSHLDNEPVPKFGSYISSSRPLDRGPHGFGMDMGPRAQEKEPHGFSFDPMIGSGPSRFLPPYHPDDTGERPVGLPKDTLGRPDFLGTVPSYGRHRMDGFVSRSPGREYPGISPHGFGGHPGDEIDGRERRFSDRFPGLPGHLHRGGFESSDRMEEHLRSRDMINQDNRPAYFRRGEHVGHHNMPGHLRLGEPIGFGDFSSHERIGEFGGPGNFRHPRLGEPGFRSSFSLQEFPNDGGIYTVFAVHRLWLFPCSLGIEFVGVVFGT >EOY33854 pep chromosome:Theobroma_cacao_20110822:9:39173940:39181704:1 gene:TCM_041704 transcript:EOY33854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGFDNECILNIQSLAGEYFCPVCRLLVYPNEALQSQCTHLYCKPCLTYVVSTTRACPYDGYLVTEADSKPLVESNKMLADTIGKITVHCLYHRSGCTWQGPLSECTAHCSGCAFGNSPVVCNRCGIQIVHRQVQEHAQNCPSVQPQAQQAKGGQDTAATGTTAADQAQIASQTGTATSQAQASQTTTSGTPGQEPNQQANPNPRSQAVSQAAAMTSEQLYQQQQQYQQYYQQYSGYDPYYQQYYPYQQQSVLQYQQQPLQVNAPHMAGQHPVYLQTQPQPQPQVQPQPQVQAQVQPQPQPQAQHQSNSSVQAPVAAQPQNQSQANQQQQTHITVPPPSQIPLQNYPAAQGHPQPQVQPFPQAQPQVQPFPQAQPHLQHGQIPQYQQHHSQLHQPQPQLLPAPQAQPHSQAQPQAQLQPQPQPQPQPHPQQSQPMNPNLLPQPQQLHPAAHAVTGHQSYPLSQPHQQMQLVTPQHPMHVHAQGGLHPQQHPAQMQNSYPQQPPQMRPPQPHVAISNQQQPGLLPSPGSMLQQVHLHSHQPALPVQQRPVMHPAASPMSQPYVQQQPLSTQPVGLVQPQMLQQGPFVQQQSSFQSQSRPLGPPHSFPQPPHAYAQPQQNVAGSHAVHFHPSHNLVGRPMTPNHGVQSQPYPHSAAGTPVKPVHLGANQPSSYQNNVFRTNNQSGVTSQPMSEVPGDHGTDKNVAEQEADSSSPGTARKEANELDMASSLGADVAEKNTAKLEADLKSVDEKLTGDVGDDSNGVDISTKETPESRRTVGTDLEQHRDPVSKNMVTCEAIEDQKDVHNGEHKVEEIKIKDGPSLKTPPLQEAKLGEEQNGKMQKDKILPHDQGTPKGPAGNGFRGIPPSSQVQPGGYLPPSHSVPNVDQGRHQPLQMPYGSNNNQQRPAVSAILQAPPPGLPSHAQTPGLPPNQFRPQGPGQALVPPENLPPGSFGRDPSNYGPQGPYNQGPPSLSGAPRISQGEPLVGLSYGTPPLTAFDSHGAPLYGPESHSVQHSANMVDYHADNRQLDPRASGLDSTSTFSLRGERLKPVQDECSNQFPLDRGHRGDRGQFEEDLKHFPRPSHLDNEPVPKFGSYISSSRPLDRGPHGFGMDMGPRAQEKEPHGFSFDPMIGSGPSRFLPPYHPDDTGERPVGLPKDTLGRPDFLGTVPSYGRHRMDGFVSRSPGREYPGISPHGFGGHPGDEIDGRERRFSDRFPGLPGHLHRGGFESSDRMEEHLRSRDMINQDNRPAYFRRGEHVGHHNMPGHLRLGEPIGFGDFSSHERIGEFGGPGNFRHPRLGEPGFRSSFSLQEFPNDGGIYTVFAVHRLLLPCKWRWFLELTFSGNFFNSTSLFSAKQTT >EOY33857 pep chromosome:Theobroma_cacao_20110822:9:39175267:39178985:1 gene:TCM_041704 transcript:EOY33857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNPNLLPQPQQLHPAAHAVTGHQSYPLSQPHQQMQLVTPQHPMHVHAQGGLHPQQHPAQMQNSYPQQPPQMRPPQPHVAISNQQQPGLLPSPGSMLQQVHLHSHQPALPVQQRPVMHPAASPMSQPYVQQQPLSTQPVGLVQPQMLQQGPFVQQQSSFQSQSRPLGPPHSFPQPPHAYAQPQQNVAGSHAVHFHPSHNLVGRPMTPNHGVQSQPYPHSAAGTPVKPVHLGANQPSSYQNNVFRTNNQSGVTSQPMSEVPGDHGTDKNVAEQEADSSSPGTARKEANELDMASSLGADVAEKNTAKLEADLKSVDEKLTGDVGDDSNGVDISTKETPESRRTVGTDLEQHRDPVSKNMVTCEAIEDQKDVHNGEHKVEEIKIKDGPSLKTPPLQEAKLGEEQNGKMQKDKILPHDQGTPKGPAGNGFRGIPPSSQVQPGGYLPPSHSVPNVDQGRHQPLQMPYGSNNNQQRPAVSAILQAPPPGLPSHAQTPGLPPNQFRPQGPGQALVPPENLPPGSFGRDPSNYGPQGPYNQGPPSLSGAPRISQGEPLVGLSYGTPPLTAFDSHGAPLYGPESHSVQHSANMVDYHADNRQLDPRASGLDSTSTFSLRGERLKPVQDECSNQFPLDRGHRGDRGQFEEDLKHFPRPSHLDNEPVPKFGSYISSSRPLDRGPHGFGMDMGPRAQEKEPHGFSFDPMIGSGPSRFLPPYHPDDTGERPVGLPKDTLGRPDFLGTVPSYGRHRMDGFVSRSPGREYPGISPHGFGGHPGDEIDGRERRFSDRFPGLPGHLHRGGFESSDRMEEHLRSRDMINQDNRPAYFRRGEHVGHHNMPGHLRLGEPIGFGDFSSHERIGEFGGPGNFRHPRLGEPGFRSSFSLQEFPNDGGIYTGGMDSFENLRKRKPMSMGWCRICKIDCETVEGLDLHSQTREHQKMAMDMVVTIKQNAKKQKLDHSIRNDTSKSKNVKFEGRVNKH >EOY32602 pep chromosome:Theobroma_cacao_20110822:9:31913644:31916405:-1 gene:TCM_040610 transcript:EOY32602 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-type zinc finger family protein MSDSDPYSDYFNGWFNPNPFNHFFPSTSTSNPSSYAPNYGYNIYSNIIHGNFFTQYNSQGSPPSPPLKEALPLLSLSPTRHEDQELSCSAMEVEKNKDKEESCCFSSTADDETVTVALHLGLPSATSADLISRVSVAADEVSAALAADKEDDTVASEYQLNTLNKGQYWIPTPAQILIGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAPGCRNNIDHPRSKPLKDFRTLQTHYKRKHGIKPFMCRKCGKTFAVRGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIRAFGNGHAPYGIDSFDEEDEPASEIEQDNESSQ >EOY29549 pep chromosome:Theobroma_cacao_20110822:9:1521655:1525379:-1 gene:TCM_037053 transcript:EOY29549 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 4 MSLSLTVMTFNLHEDQSEDSPDSWEKRRDLCISVITSYSPIILCTQQGGTFWLSESPSVPGSTAWGSVVPCIATWATFQLKGVEPPGFSFQVVNTNMDEFSPRARRRSALLTWQHIASLPPSLPVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDVWPNARVRKNVSLIRTYHGFKGDKQGALEFLKLIFRALCLCWDRQTQDLHIDWILFRGRSLIPVLCEVVNDNMDGYYPSSHYPIFAEFLLPRTVRLIEPPTRTQEEN >EOY29546 pep chromosome:Theobroma_cacao_20110822:9:1519771:1525068:-1 gene:TCM_037053 transcript:EOY29546 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 4 MSLSLTVMTFNLHEDQSEDSPDSWEKRRDLCISVITSYSPIILCTQQGVKSQLDYLQQGLPGYDQFGVSRKGPQDTSDEHCTIFYDKEKVELIEGGTFWLSESPSVPGSTAWGSVVPCIATWAISFYIQLPFLIFYYMKFQLLLPLIFIENIVVNTNMDEFSPRARRRSALLTWQHIASLPPSLPVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDVWPNARVRKNVSLIRTYHGFKGDKQGALEFLKLIFRALCLCWDRQTQDLHIDWILFRGRSLIPVLCEVVNDNMDGYYPSSHYPIFAEFLLPRTVRLIEPPTRTQEEN >EOY29548 pep chromosome:Theobroma_cacao_20110822:9:1521703:1524951:-1 gene:TCM_037053 transcript:EOY29548 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 4 MSLSLTVMTFNLHEDQSEDSPDSWEKRRDLCISVITSYSPIILCTQQGVKSQLDYLQQGLPGYDQFGVSRKGPQDTSDEHCTIFYDKEKVELIEGGTFWLSESPSVPGSTAWGSVVPCIATWATFQLKGVEPPGFSFQVVNTNMDEFSPRARRRSALLTWQHIASLPPSLPVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDVWPNARVRKNVSLIRTYHGFKGDKQGALEFLKLIFRALCLCWDRQTQDLHIDWILFRGRSLIPVLCEVVNDNMDGYYPSSHYPIFAEFLLPRTVRLIEPPTRTQEEN >EOY29547 pep chromosome:Theobroma_cacao_20110822:9:1521210:1525379:-1 gene:TCM_037053 transcript:EOY29547 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein isoform 4 MSLSLTVMTFNLHEDQSEDSPDSWEKRRDLCISVITSYSPIILCTQQGVKSQLDYLQQGLPGYDQFGVSRKGPQDTSDEHCTIFYDKEKVELIEGGTFWLSESPSVPGSTAWGSVVPCIATWATFQLKGVEPPGFSFQVVNTNMDEFSPRARRRSALLTWQHIASLPPSLPVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDVWPNARVRKNVSLIRTYHGFKGDKQGALEFLKLIFRALCLCWDRQTQDLHIDWILFRGLSQFSW >EOY29170 pep chromosome:Theobroma_cacao_20110822:9:284223:289039:1 gene:TCM_036794 transcript:EOY29170 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 1 MFVEHWQGLECGEILHGGSKLLTASNTCMTSEPTLPCKRRKLLNNAIIVFPGEPEADELPSSTVSGSPSKTQILYGNNLVATGPITAAHKYERDLVGSDALANSQGLDSGPGLDIKLSMSFDHDSESQLILSDKTSRSDSFHLLEGKMGDCFGVTNKTEVNKPYGDIKGVEEVKEDRSIRDLCISVLNSQGLPVNEWSSTSSPFTQPPEVCNDWAFTQTCKQCGNSESTLEMLLCDHCEEAYHIFCLNPRLKKLPTDEWFCHHCSNMNSKVLQETSFLKSPIPSWRRATAKFEMGPIAIMLKYPELYVSHVRIGKPFQAEVPEWSGQVPNDDYFFGEPLELDPAETVASNVHWSDWFSKSNSLSNWLQCREVHYDDARNCVEGTACGKWRRAPLSEVQTDDWDCSSAVVWDPAHADCAVPQGVGNRTSSNTFEVHRTAEISLWCQKEKDLNITITNLECSGCFPNLRRPA >EOY29168 pep chromosome:Theobroma_cacao_20110822:9:284252:288316:1 gene:TCM_036794 transcript:EOY29168 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 1 MFVEHWQGLECGEILHGGSKLLTASNTCMTSEPTLPCKRRKLLNNAIIVFPGEPEADELPSSTVSGSPSKTQILYGNNLVATGPITAAHKYERDLVGSDALANSQGLDSGPGLDIKLSMSFDHDSESQLILSDKTSRSDSFHLLEGKMGDCFGVTNKTEVNKPYGDIKGVEEVKEDRSIRDLCISVLNSQGLPVNEWSSTSSPFTQPPEVCNDWAFTQTCKQCGNSESTLEMLLCDHCEEAYHIFCLNPRLKKLPTDEWFCHHCSNMNSKVLQETSFLKSPIPSWRRATAKFEMGPIAIMLKYPELYVSHVRIGKPFQAEVPEWSGQVPKSQVLVLCDDYFFGEPLELDPAETVASNVHWSDWFSKSNSLSNWLQCREVHYDDARNCVEGTACGKWRRAPLSEVQTDDWDCSSAVVWDPAHADCAVPQELETEQVLIHLKYIEQFLGIYLLSPLAIFYLAWFLYSEISLWCQKEKDLNITITNLECSGCFPNLRRPA >EOY29169 pep chromosome:Theobroma_cacao_20110822:9:284722:288826:1 gene:TCM_036794 transcript:EOY29169 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative isoform 1 MFVEHWQGLECGEILHGGSKLLTASNTCMTSEPTLPCKRRKLLNNAIIVFPGEPEADELPSSTVSGSPSKTQILYGNNLVATGPITAAHKYERDLVGSDALANSQGLDSGPGLDIKLSMSFDHDSESQLILSDKTSRSDSFHLLEGKMGDCFGVTNKTEVNKPYGDIKGVEEVKEDRSIRDLCISVLNSQGLPVNEWSSTSSPFTQPPEVCNDWAFTQTCKQCGNSESTLEMLLCDHCEEAYHIFCLNPRLKKLPTDEWFCHHCSNMNSKVLQETSFLKSPIPSWRRATAKFEMGPIAIMLKYPELYVSHVRIGKPFQAEVPEWSGQVPNDDYFFGEPLELDPAETVASNVHWSDWFSKSNSLSNWLQCREVHYDDARNCVEGTACGKWRRAPLSEVQTDDWDCSSAVVWDPAHADCAVPQELETEQVLIHLKYIEQLRSHFGAKRRKT >EOY32668 pep chromosome:Theobroma_cacao_20110822:9:32723146:32726981:1 gene:TCM_040698 transcript:EOY32668 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 2 MMAEEDDPYLSRVKMRLPIFIFFKDVRNVFKLDDLGSEIAQIALPAALALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTIGRVSSEAQESECLETGSYVNNESKELIPQKESSEGAYQPKTLGGSFDIVKFEPERRHIPSASSALVIGGILGLLQAIFLISGAKPLLNFMGVSSDSPMLNPAQQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVTNIILDPIFMFVFHLGVSGAAIAHVISQYLISVILLWKLMSQVDLLPPSLKHLQFSRFLKNGFLLLIRVMAVTFCITLSASMAARQGSTSMAAFQVCLQVWLATSLLADGLAVAGQQAILASAFAKGDHEKATATASRVLQLGLVLGLILAVVLGGGLSFGAKLFTKDVNVLHLIGTGIPVCCSYSAHQFFSICI >EOY32667 pep chromosome:Theobroma_cacao_20110822:9:32722199:32728487:1 gene:TCM_040698 transcript:EOY32667 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein isoform 2 MMAEEDDPYLSRVKMRLPIFIFFKDVRNVFKLDDLGSEIAQIALPAALALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTIGRVSSEAQESECLETGSYVNNESKELIPQKESSEGAYQPKTLGGSFDIVKFEPERRHIPSASSALVIGGILGLLQAIFLISGAKPLLNFMGVSSDSPMLNPAQQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVTNIILDPIFMFVFHLGVSGAAIAHVISQYLISVILLWKLMSQVDLLPPSLKHLQFSRFLKNGFLLLIRVMAVTFCITLSASMAARQGSTSMAAFQVCLQVWLATSLLADGLAVAGQAILASAFAKGDHEKATATASRVLQLGLVLGLILAVVLGGGLSFGAKLFTKDVNVLHLIGTGIPFVAATQPINSLAFVFDGVNFGASDFAYSAFSLVLVAIVSIICLSILSSSRGFIGLWIALTIYMSLRAFAGFWRIGTGTGPWKFLRV >EOY34000 pep chromosome:Theobroma_cacao_20110822:9:39638483:39642028:-1 gene:TCM_041814 transcript:EOY34000 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor, putative isoform 4 MVMDPKFTEFTDYINGFGVEDDALLFTSGQYPNFTNGLEFNVSSPDLGFMSANVPVIPPNPDPGISVPPATVSSDGSSFSASTGWSPDGESSSPSDDSDSTDPVLKYIRQMLMEENMEDKPFMFNDYLALEDTEKSLYEVLGEQYPPSNQPQPFLNVNVESPDSNLSGNSRDNGSNSNSTTSISTSNGTSNYIDHWGVGEVVEHAPSLLQAPLSGDYHFQSNLQQPSSQFSVNSTNSSSNMGNGLMESSLSELLVQNIFSDKESVLQFQRGFEEASKFLPSSNQLIIDLESNKFPMVQKGKVPNLVVKVEKDERENSPDELRGRKNHERDDGGLEEERSNKQSAVYTEESDLSDMFDKVLLCTDGKAMCGYNKALQQGETKTLLQKEQSNESSVGKTRSKKQEKKKETVDLRTLLILCAQAVSADDRRTAGELLKQIKEHSSPLGDGTQRLAHFFANGLEARLDGSGTAIQNLYSSLASKTTAADMLKAYQVYLCACPFKKLSIFFANKMIWHMAEKASALHIVDFGILYGFQWPILIQHLSKRPGGPPKLRITGIEIPQRGFRPAERIEETGRRLERYCKRFDVPFEYNPMAAQNWETIQVEDIKIKSNEMLAVNCLFRFKNLLDETAEVDCPRNAVLKLIRKMNPDIFVHSIDNGSYNAPFFLTRFREALFHLSAMFDMFENTLPREEPARLLFEREFYGREAMNVVACEGSERVERPETYKQWQVRTIRAGFKPLPLNQELMKTVRAKLKSWYHKDFVIDEDNHWMLQGWKGRILYASTCWIPAQES >EOY34002 pep chromosome:Theobroma_cacao_20110822:9:39639293:39642028:-1 gene:TCM_041814 transcript:EOY34002 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor, putative isoform 4 MVMDPKFTEFTDYINGFGVEDDALLFTSGQYPNFTNGLEFNVSSPDLGFMSANVPVIPPNPDPGISVPPATVSSDGSSFSASTGWSPDGESSSPSDDSDSTDPVLKYIRQMLMEENMEDKPFMFNDYLALEDTEKSLYEVLGEQYPPSNQPQPFLNVNVESPDSNLSGNSRDNGSNSNSTTSISTSNGTSNYIDHWGVGEVVEHAPSLLQAPLSGDYHFQSNLQQPSSQFSVNSTNSSSNMGNGLMESSLSELLVQNIFSDKESVLQFQRGFEEASKFLPSSNQLIIDLESNKFPMVQKGKVPNLVVKVEKDERENSPDELRGRKNHERDDGGLEEERSNKQSAVYTEESDLSDMFDKVLLCTDGKAMCGYNKALQQGETKTLLQKEQSNESSVGKTRSKKQEKKKETVDLRTLLILCAQAVSADDRRTAGELLKQIKEHSSPLGDGTQRLAHFFANGLEARLDGSGTAIQNLYSSLASKTTAADMLKAYQVYLCACPFKKLSIFFANKMIWHMAEKASALHIVDFGILYGFQWPILIQHLSKRPGGPPKLRITGIEIPQRGFRPAERIEETGRRLERYCKRFDVPFEYNPMAAQNWETIQVEDIKIKSNEMLAVNCLFRFKNLLDETAEVDCPRNAVLKLIRKMNPDIFVHSIDNGSYNAPFFLTRFREALFHLSA >EOY34001 pep chromosome:Theobroma_cacao_20110822:9:39638998:39642032:-1 gene:TCM_041814 transcript:EOY34001 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor, putative isoform 4 MVMDPKFTEFTDYINGFGVEDDALLFTSGQYPNFTNGLEFNVSSPDLGFMSANVPVIPPNPDPGISVPPATVSSDGSSFSASTGWSPDGESSSPSDDSDSTDPVLKYIRQMLMEENMEDKPFMFNDYLALEDTEKSLYEVLGEQYPPSNQPQPFLNVNVESPDSNLSGNSRDNGSNSNSTTSISTSNGTSNYIDHWGVGEVVEHAPSLLQAPLSGDYHFQSNLQQPSSQFSVNSTNSSSNMGNGLMESSLSELLVQNIFSDKESVLQFQRGFEEASKFLPSSNQLIIDLESNKFPMVQKGKVPNLVVKVEKDERENSPDELRGRKNHERDDGGLEEERSNKQSAVYTEESDLSDMFDKVLLCTDGKAMCGYNKALQQGETKTLLQKEQSNESSVGKTRSKKQEKKKETVDLRTLLILCAQAVSADDRRTAGELLKQIKEHSSPLGDGTQRLAHFFANGLEARLDGSGTAIQNLYSSLASKTTAADMLKAYQVYLCACPFKKLSIFFANKMIWHMAEKASALHIVDFGILYGFQWPILIQHLSKRPGGPPKLRITGIEIPQRGFRPAERIEETGRRLERYCKRFDVPFEYNPMAAQNWETIQVEDIKIKSNEMLAVNCLFRFKNLLDETAEVDCPRNAVLKLIRKMNPDIFVHSIDNGSYNAPFFLTRFREALFHLSAMFDMFENTLPREEPARLLFEREFYGREAMNVVACEGSERVERPETYKQWQVRTIRAGFKPLPLNQELMKTVRAKLKSWYHKDFVIDEDNHWMLQGWKG >EOY33999 pep chromosome:Theobroma_cacao_20110822:9:39637751:39644933:-1 gene:TCM_041814 transcript:EOY33999 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor, putative isoform 4 MVMDPKFTEFTDYINGFGVEDDALLFTSGQYPNFTNGLEFNVSSPDLGFMSANVPVIPPNPDPGISVPPATVSSDGSSFSASTGWSPDGESSSPSDDSDSTDPVLKYIRQMLMEENMEDKPFMFNDYLALEDTEKSLYEVLGEQYPPSNQPQPFLNVNVESPDSNLSGNSRDNGSNSNSTTSISTSNGTSNYIDHWGVGEVVEHAPSLLQAPLSGDYHFQSNLQQPSSQFSVNSTNSSSNMGNGLMESSLSELLVQNIFSDKESVLQFQRGFEEASKFLPSSNQLIIDLESNKFPMVQKGKVPNLVVKVEKDERENSPDELRGRKNHERDDGGLEEERSNKQSAVYTEESDLSDMFDKVLLCTDGKAMCGYNKALQQGETKTLLQKEQSNESSVGKTRSKKQEKKKETVDLRTLLILCAQAVSADDRRTAGELLKQIKEHSSPLGDGTQRLAHFFANGLEARLDGSGTAIQNLYSSLASKTTAADMLKAYQVYLCACPFKKLSIFFANKMIWHMAEKASALHIVDFGILYGFQWPILIQHLSKRPGGPPKLRITGIEIPQRGFRPAERIEETGRRLERYCKRFDVPFEYNPMAAQNWETIQVEDIKIKSNEMLAVNCLFRFKNLLDETAEVDCPRNAVLKLIRKMNPDIFVHSIDNGSYNAPFFLTRFREALFHLSAMFDMFENTLPREEPARLLFEREFYGREAMNVVACEGSERVERPETYKQWQVRTIRAGFKPLPLNQELMKTVRAKLKSWYHKDFVIDEDNHWMLQGWKGRILYASTCWIPAQES >EOY32078 pep chromosome:Theobroma_cacao_20110822:9:20404459:20412267:1 gene:TCM_039546 transcript:EOY32078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphorylethanolamine cytidylyltransferase 1 isoform 1 MAMEYESNNWIWDGVYYCPHLFGGLMLTAALLGLSATYFGGIGLFPLSYVWSDLGIFHKKKREKKRIRVYMDGCFDLMHYGHANALRQAKALGDELVVGVVSDEEIIANKGPPVLAMEERLALVSGLKWVDQVIANAPYAITEQFMSSLFNEHKIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRILSSVKDTKGVGDNNSTLLNGDLGEKHQSQRGQIAQFLLTSRRIVQFSNGQGPGPNARVVYIDGAFDLFHAGHVEILKRARQLGDFLLVGIHTDQIVSEHRGTPYPVMHLHERNLSVLACRYVDEVIIGAPWEVTKDMITTFNISLVVHGTVAESNTLLAGETDPYAVPKSMGIFRLLESPKRITTSSVAQRIVANHEAYMKRNAKKLQSEKKYYEEKTYVAGD >EOY32079 pep chromosome:Theobroma_cacao_20110822:9:20404119:20412366:1 gene:TCM_039546 transcript:EOY32079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphorylethanolamine cytidylyltransferase 1 isoform 1 MAMEYESNNWIWDGVYYCPHLFGGLMLTAALLGLSATYFGGIGLFPLSYVWSDLGIFHKKKREKKRIRVYMDGCFDLMHYGHANALRQAKALGDELVVGVVSDEEIIANKGPPVLAMEERLALVSGLKWVDQVIANAPYAITEQFMSSLFNEHKIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRILSSVKDTKGVGDNNSTLLNGDLGEKHQSQRGQIAQFLLTSRRIVQFSNGQGPGPNARVVYIDGAFDLFHAGHVEILKRARQLGDFLLVGIHTDQIVSEHRGTPYPVMHLHERNLSVLACRYVDEVIIGAPWEVTKDMITTFNISLVVHGTVAESNTLLAGETDPYAVPKSMGIFRLLESPKRITTSSVAQRIVANHEAYMKRNAKKLQSEKKYYEEKTYVAGD >EOY29508 pep chromosome:Theobroma_cacao_20110822:9:1397828:1403412:1 gene:TCM_037021 transcript:EOY29508 gene_biotype:protein_coding transcript_biotype:protein_coding description:AFG1-like ATPase family protein MRRILSISSLLNQGIVQRRVSNKYLIHAIRPYSEDLSSPKPPGPLSHFRNLVEQGRLQHDPYQERVAMALENLLGRLEQYEKDMEEYHIKLAEWEKHRENERRRLLMEEAELKQRGDLWTSVKSRRSKLLERWAFRRKSEDVEPGVGKWVSYLNREKKLDSLVGRRPTAPAAPKGLYIYGNVGSGKTMLMDMFYSATDGIVKHRRRFHFHEAMLKINEDMHKLWKNQVEEKSLQSSISSWIMNLPFDMKAKEWLAAEERYKQEVQMKNILLAVADKFLVDRQAGERGASILCFDEIQTVDVFAIVALSGILSRLLSTGTVLVATSNRAPKELNQDGMQREIFLNFVDKLEEHCEIILIGGEIDYRRLIAQRSIDRVHYFWPLDGTASKEFEKIWCQVINQAGGTVTSNTVPVMFGRKLEVPESCNGVARFTFEYLCGRPVGAADYIAVAKNHHTVFISDIPVMSMRIRDKARRFITLIDELYNHHCRLFCSAASSIDDLFQGTEEGTLFDLECFQFETETEGAKLRRDVLAEGSVSSGGAPAGITSMLSGQEEMFAFRRAVSRLIEMQTPLYLEGVRFLHPYFQRELRNFRNYDASTVQHQASS >EOY34191 pep chromosome:Theobroma_cacao_20110822:9:40213842:40217627:1 gene:TCM_041936 transcript:EOY34191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase MGFRVLELLFWVSSVLFLACFAKEFTPADNYFIDCGSPTNTTVGDRVFMADNLASKFLSTSENVLGNTSKAVTSSDDSRLYQTARVFTGVSKYTFSVSQRGRHWIRLYFYPFVYASYNMSLANFTVSTENHVLLSSFGVQVPLVKEFSVNVTSNTLVITLSPSENSFAFINALEVVSVPDELIPDGASSVKSSVGFQGLMRQALETVARVNMGGPTVSFQNDTLGRTWVPDQRFLVEKNFALSVSNTNAVKYVDGGSTEEIAPTSVYGTCTRMNSLNDPNSNFNVTWDFDVDPGFQYLVRFHFCDIVSTALYQLYFNVYIDSSMVVRDLDLSTYLSSVLAGAYYMDYVTESATSSKIRISIGPSNLHGTYPDAILNGLEIMKLNNSDGSLSGSGTVIASGSSSKKKVGAIVGMSVGLALGVLLAGVLFMFCRKRRQLARQRQSKTWLPFSIDGGTSHTMGSKYSNGTTASLISNTGYRIPFLAVQEATNNFDESWVIGIGGFGKVYKGELNDGTKVAVKRGNPRSQQGLAEFQTEIEMLSQFRHRHLVSLIGYCDEKNEMILIYEYMENGTLKSHLYGSDCPSLSWKQRLEICIGAARGLHYLHTGYAKAVIHRDVKSANILLDENLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPTLPREMVNLAEWAMKWQKRGQLEQIIDPNLVGNIRPDSLRKFGETAEKCLADFGVDRPSMGDVLWNLEYALQLQEAVVQGDPEENSTNMIGEISPQINNFSQLDPTVSSAQFEMSTVDDLSGVSMSKVFSQLVKSEGR >EOY32880 pep chromosome:Theobroma_cacao_20110822:9:34215494:34219589:-1 gene:TCM_040901 transcript:EOY32880 gene_biotype:protein_coding transcript_biotype:protein_coding description:General control non-repressible 4 MGKKKQEESGATAKVKGSSKDVKREKISVSAMLASMDQKPDKTKKGASSLTATSAKPKAKGPKVSSYTDGIDLPPSDEEEEDYASEEEQTLSNRHQRQAFKQLDTSISEKEQKKREKKEMLAAQAAEQAKQEALKDDHDAFTVVIGSRASVLDGDDEADANVKDITIDNFSVSARGKELLKNTSVKISHGKRYGLVGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVGDDRSALQAVVSANEELVRLREEVTVLQNSSSAPGGEDGSDLNGDDAGERLAELYEKLQILGSDAAEAQASKILAGLGFTKEMQGRPTRSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNSVCTEIIHLHDFKLQFYRGNFDDFESGYEQRRKEMNKKFEIYEKQVKAAKRSGNRVQQDKVKDRAKFAAAKEAAKNKGKGKIDEDEPPAEAPKKWRDYSVEFHFPEPTELMPPLLQIINVSFSYPNRKDFRLSDVDLGIDMGTRVAIVGPNGAGKSTLLNLIAGDLVATEGEVRRSQKLRVGRYSQHFVDLLTMEETPVQYLLRLHPDQEGLSKQEAVRAKLGKFGLPSHNHLTPIAKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEEKSQIWVVDNGTVTTFPGTFEDYKDELQREIKAEVDD >EOY30657 pep chromosome:Theobroma_cacao_20110822:9:5302063:5306075:1 gene:TCM_037793 transcript:EOY30657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiator tRNA phosphoribosyl transferase family protein isoform 3 MENEAKLSIYRATRAIKRKDNTLYNALRSIYEDSIFVGEISQLWPQVPLVANLRCGLWYSPNFQSTCYFKSTDGHTNNWSFNTSRLNFHIALLAGQKGGCIIVDSTRRGKRFPDSMSKTIPIWTCVLNRSVFNYLNKSRNESNDDGSLLERDSDAGQNSVDWDCSLHLPLWVSGTEKAAIEDRLEGWTKDLNASGADIAALASCLKKPLRPLWISQKTVIWINEVPDHDSWDFTPIILVSASSSTGVTQHRTTSEFSWNYIPGAGDDEESWARGLSPNLFWNHAYDLISSGPDLCNQKVADIVEKDRVYRAQRGQNTPQITAKTTISGTSPNLPCLDPLLCSDISNLNISSSDGECGIFWLGSTNVALGSSQVAENASNVDCILNCDQRSISVCLSEAEAYLHLPIVNSKLDRFSLFNNLSSAVSFAKLNVTKGRTLLICCYDGEDISVCVCLAILILLFDDEGSFDGGKSFSETCITKCEMRRRLVYICRFAIKARPSRGNLKQVFSFLNTGRAA >EOY30658 pep chromosome:Theobroma_cacao_20110822:9:5302258:5304809:1 gene:TCM_037793 transcript:EOY30658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiator tRNA phosphoribosyl transferase family protein isoform 3 MENEAKLSIYRATRAIKRKDNTLYNALRSIYEDSIFVGEISQLWPQVPLVANLRCGLWYSPNFQSTCYFKSTDGHTNNWSFNTSRLNFHIALLAGQKGGCIIVDSTRRGKRFPDSMSKTIPIWTCVLNRSVFNYLNKSRNESNDDGSLLERDSDAGQNSVDWDCSLHLPLWVSGTEKAAIEDRLEGWTKDLNASGADIAALASCLKKPLRPLWISQKTVIWINEVPDHDSWDFTPIILVSASSSTGVTQHRTTSEFSWNYIPGAGDDEESWARGLSPNLFWNHAYDLISSGPDLCNQKVADIVEKDRVYRAQRGQNTPQITAKTTISGTSPNLPCLDPLLCSDISNLNISSSDGECGIFWLGSTNVALGSSQVAENASNVDCILNCDQRSISVCLSEAEAYLHLPIVVCMQVKGKHEFVYYHFCIFPAYFCFFCPEFKIGSVFLV >EOY30659 pep chromosome:Theobroma_cacao_20110822:9:5302063:5306075:1 gene:TCM_037793 transcript:EOY30659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiator tRNA phosphoribosyl transferase family protein isoform 3 MSKTIPIWTCVLNRSVFNYLNKSRNESNDDGSLLERDSDAGQNSVDWDCSLHLPLWVSGTEKAAIEDRLEGWTKDLNASGADIAALASCLKKPLRPLWISQKTVIWINEVPDHDSWDFTPIILVSASSSTGVTQHRTTSEFSWNYIPGAGDDEESWARGLSPNLFWNHAYDLISSGPDLCNQKVADIVEKDRVYRAQRGQNTPQITAKTTISGTSPNLPCLDPLLCSDISNLNISSSDGECGIFWLGSTNVALGSSQVAENASNVDCILNCDQRSISVCLSEAEAYLHLPIVNSKLDRFSLFNNLSSAVSFAKLNVTKGRTLLICCYDGEDISVCVCLAILILLFDDEGSFDGGKSFSETCITKCEMRRRLVYICRFAIKARPSRGNLKQVFSFLNTGRAA >EOY30660 pep chromosome:Theobroma_cacao_20110822:9:5302218:5304620:1 gene:TCM_037793 transcript:EOY30660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiator tRNA phosphoribosyl transferase family protein isoform 3 MENEAKLSIYRATRAIKRKDNTLYNALRSIYEDSIFVGEISQLWPQVPLVANLRCGLWYSPNFQSTCYFKSTDGHTNNWSFNTSRLNFHIALLAGQKGGCIIVDSTRRGKRFPDSMSKTIPIWTCVLNRSVFNYLNKSRNESNDDGSLLERDSDAGQNSVDWDCSLHLPLWVSGTEKAAIEDRLEGWTKDLNASGADIAALASCLKKPLRPLWISQKTVIWINEVPDHDSWDFTPIILVSASSSTGVTQHRTTSEFSWNYIPGAGDDEESWARGLSPNLFWNHAYDLISSGPDLCNQKVADIVEKDRVYRAQRGQNTPQITAKTTISGTSPNLPCLDPLLCSDISNLNISSSDGECGIFWLGSTNVALGSSQVGMMNWQFLALVIHSHYFLLVTKICCFSFFFFGYTCR >EOY31226 pep chromosome:Theobroma_cacao_20110822:9:7631810:7636908:-1 gene:TCM_038190 transcript:EOY31226 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 2 MALLAEEVVSLSKSVQEMSVDGDEPPSHYIVKDSFIGSADDPSPSLCPFPILDISHFSSPSSSFPETEVDKELEKLKLALSSSGCFQAIGHGISSSFLDKVRDVTKQFFALPGTEKQKYARAVNESEGYGSDRIVSDKQVLDWSHRLTLRVFPEDKRRFNLWPENPYDFRDIMDEYALKIKVVTDVVFKAMARSLNLEENSFLNEFGDQALMQARFNFYPSCSRPDLVLGVKPHTDRSGITVLLQDREVEGLQVLVDDKWVKVPVIPHALVINLGDQMQIMSNGIFKSPVHRVVTNSEKLRISVALFNEPEPENEIRAVDGLVDENRPRTAVQTTTGQNSFVCRTSSQAAKHPHCLTSNMAGMPLASDGVSLSRSIQEMSMNGDEPPPEFYVKDSSFTHTDYSDLSASISVPVIDISLLLSSKDELEKLRQALSSGGCFQAIGHGISNSFIDKVREVAKQFFGLPQEEKQKYSRAVNEVQGYGHDLIVSEKQVLDWNSRLFLRVFPEHQRKLDLWPENPNNFREVLHEYSIKVKHMMDLLFQAMAKSLNLEENSFSDQFGDNPVMQIRFNFYPPCSRPDKVLGVKPHSDRSGVTVLLQDEEVEGLQIVEDDRWSTVPVIPHALVVNLGDQMQILSNGIFKSPMHRVVTNTDKLRISVAMFNEAEPDKEIGPVEGLIDDNRPRLYRNVKNYASFNYECFQNGKVALEEVKFHA >EOY31227 pep chromosome:Theobroma_cacao_20110822:9:7630880:7637023:-1 gene:TCM_038190 transcript:EOY31227 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 2 MALLAEEVVSLSKSVQEMSVDGDEPPSHYIVKDSFIGSADDPSPSLCPFPILDISHFSSPSSSFPETEVDKELEKLKLALSSSGCFQAIGHGISSSFLDKVRDVTKQFFALPGTEKQKYARAVNESEGYGSDRIVSDKQVLDWSHRLTLRVFPEDKRRFNLWPENPYDFRDIMDEYALKIKVVTDVVFKAMARSLNLEENSFLNEFGDQALMQARFNFYPSCSRPDLVLGVKPHTDRSGITVLLQDREVEGLQVLVDDKWVKVPVIPHALVINLGDQMQIMSNGIFKSPVHRVVTNSEKLRISVALFNEPEPENEIRAVDGLVDENRPRTAVQTTTGQNSFVCRTSSQAAKHPHCLTSNMAGMPLASDGVSLSRSIQEMSMNGDEPPPEFYVKDSSFTHTDYSDLSASISVPVIDISLLLSSKDELEKLRQALSSGGCFQAIGHGISNSFIDKVREVAKQFFGLPQEEKQKYSRAVNEVQGYGHDLIVSEKQVLDWNSRLFLRVFPEHQRKLDLWPENPNNFRRWRNH >EOY32121 pep chromosome:Theobroma_cacao_20110822:9:21456907:21462593:1 gene:TCM_039633 transcript:EOY32121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGVRSDLSFGSLMKLVKNVVGGEDGWFPTGEDSFDDDFDGGLDGWHDDSLEDDWVDNSDILNCNHLEGETEHGGVIDLGDVQCDDPIDNNPIADENKISSP >EOY31368 pep chromosome:Theobroma_cacao_20110822:9:8393158:8394236:-1 gene:TCM_038309 transcript:EOY31368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRKQKLQCRTGEHNFHQFVATLFVYTVVAMIFEQKWMAGGWVGEGNCRSGDHCLYVFSSW >EOY29977 pep chromosome:Theobroma_cacao_20110822:9:2944915:2951391:-1 gene:TCM_037334 transcript:EOY29977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase M1 MCLLKKCLSCFRKPGASSSQTLPPSTEPTIHFQRQPRLPKFAVPKRYHIHLTPDLSACKFGGSVAIHLDILADTRFIVLNAAQLSINPGSVSFSPQNSSKVVEAFKVGLVEADEILVLEFAETLPKGMGGLAIEFKGILNDKMKGFYRSTFEHNGEEKNTAVTHFEPAYARQCFPCWDEPAFKATFEITLLNVPSELVALSNMPVIEEKVNGNLKTVFYQESPIMSTYLVAVVIGLFDYVEDHTSDGIKVRVYCQVGKANKGKFALDVAVKALGFYKDYFHMPYSLPKLDMVAIPDFDAGAMENYGLVTYPEAALLYDDQHSAAANKQWVATVVAHELAHQWFGNLVTMEWWTDLWLNEGFATWASYLAQDELFREGKIWTQFFDRESSQGLGLDGLAESHAIEVEINHASEIDEIFDMISYKKGASIIRMLQDYLGGQHFQNSLASYIKRHAWSNARTEDLWAALTEGCDEPVSKIMNSWTKQKGYPVVTVKMENQTLQIEQSQFLYSGSRGDGQWIIPMTFCCGDYDSRKSFLLEKKSQTHDMKSFFSDSDKSNDPARSWIKLNVDQIGFFRVKYDQGLAAKLRYAIENNYLSVTDRLGILDDSFALCMARHMSLTSLLTFMGAYRAELEHTVLSNLMKITDKVGRIVADAKPELMNDIKQFFIGLFLYSAEKLGWDPKQGESHLDAMLRADVFTALAMLGHEETLDEAIRRFHAFLDNRNTPLLHPDIRKAAYVAVMQKVSSSDTTGLENLLKVYRDTDLSQEKTCVLGSLASCPDQGIILEALNFALSSEVRSQDAAFGLAVRYEGREVAWKWLKDNWDSIWTTYDSGFLITRFVRSIVSPFASSEKVKEVKEFFASRTTPSIARTLKQSIEQVQINAKWVHSIRKEKGLAEVVKKLTISES >EOY29742 pep chromosome:Theobroma_cacao_20110822:9:2219268:2221580:-1 gene:TCM_037188 transcript:EOY29742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-like superfamily protein isoform 1 MEVDREDGRTQNQLRPLACSRNVLHRAHGSASWYQGDTKVLAAVYGPKAGARKNENPEKACIEVIWKPKTGQTGKLEKEYEMILKRTLQSICILTVNPNTTTSIIIQVVNDDGALLPCAINAACVALVDAGIPMKHLAVAICCCVAKSGYVIMDPTKLEEQKMKSFAYLVFPNSVHSVLPEGSVQGEPMEHGIVTSVTHVDDYFYCLERGCAASAKLSDFLRRSLQRHPTDSSKAG >EOY29741 pep chromosome:Theobroma_cacao_20110822:9:2215683:2221603:-1 gene:TCM_037188 transcript:EOY29741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-like superfamily protein isoform 1 MEVDREDGRTQNQLRPLACSRNVLHRAHGSASWYQGDTKVLAAVYGPKAGARKNENPEKACIEVIWKPKTGQTGKLEKEYEMILKRTLQSICILTVNPNTTTSIIIQVVNDDGALLPCAINAACVALVDAGIPMKHLAVAICCCVAKSGYVIMDPTKLEEQKMKSFAYLVFPNSVHSVLPEGSVQGEPMEHGIVTSVTHGIMSVDDYFYCLERGCAASAKLSDFLRRSLQRHPTDSSKAG >EOY30346 pep chromosome:Theobroma_cacao_20110822:9:4290915:4293175:1 gene:TCM_037587 transcript:EOY30346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal-5'-phosphate-dependent enzyme family protein MASSCSLFHSSLASKSNFPLLHHRNHPKFNRPTPTVISCTSSTFDPSPAISSSNNNSPSPQKNRRLADENIRDEARRHRSTAKNTFSAKYVPFNVGPDSTESYSLDEIAYRSRSGGLLDVQHDMEALKKFDGAYWRELFDSRVGKTTWPYGSGVWSKKEWVLPEIDPDDIVSAFEGNSNLFWAERFGKQFLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKLKRPVVGVGCASTGDTSAALSAYCASAGIPSIVFLPANKISIAQLVQPIANGAFVLSIDTDFDGCMKLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFKMCQELGLVDRVPRLVCAQAANANPLYLYYKSGWNEFKAVRANTTFASAIQIGDPVSIDRAVYALKNSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALTALIKLRNSGVIGAGDRTVVVSTAHGLKFTQSKVDYHSKEIPEMACRFANPPVQVKADFGSVMDVLMKYLGDKAPKH >EOY33323 pep chromosome:Theobroma_cacao_20110822:9:36719437:36743199:-1 gene:TCM_041280 transcript:EOY33323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bystin, putative MISYGMSLKIVKEVLLQQKEIDEEDSAGNFNGAFGSVEEERNKHEEEEDIDDFGGFSETQSQFGNYEEEIDEEDEKLLEAFLSKNAGPERTLADLIIQKIKGSDANAASETRPLPKLDDSLIDLYKGVGKFLNKYTAGKMPKAFKHIPSMQLWEDVLYLTEPENWSPNAMFQATKIFASNLGAKKAERFYRLALLPRVRDDIRKNKRLHFALYQSLTKALYKAAAFNKGILLPLCKSGTCNLREADIIGSVLQKVSFPRLHSSVALMMLAEMEYCGTTSFFIKLLLEKKYALPYCVVDAVVSHFMRFLEDTRIMPVICSNCPKPFGYIIWHQSLLAFVQRSVQKLCKEDKDNLRILVETQKHKFVTPEIIRELDNSRNRGEEDDLILMDILFSSSSKYLSFLDLMLPSPISVINKAIEEDRFDIPDVPMEED >EOY29420 pep chromosome:Theobroma_cacao_20110822:9:1133307:1134804:1 gene:TCM_036964 transcript:EOY29420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKVAQMESLSHNAVVLDSFLGFALQAMVVETAIVASKSVAWLLMMMGTRPTGIDVFIKETEAYAGFPLAQLLVVRKPGLENKDASDTEDDDDDDDEEDEAADDQDEDGGEEEDGSGEEGEDQGDPEDEPEANGDGGSGDEDDDDEDDDDDDEDGEEEEEEEEEEPEEEEEELQPPAKKRK >EOY33470 pep chromosome:Theobroma_cacao_20110822:9:37684245:37689057:1 gene:TCM_041443 transcript:EOY33470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase 3, putative MKVHETRSHSHTHPTGDHEEKVMARKQKADQLKTNEGRESPKKAKAEVDNDHSNEKSASDVAKEFEEFSKAVREHLSDTQMREILEANGQDSSGSGTSAVIKCLDMLFYGPLEKCPICSGDLEFHGNRYSCKGSYSEWSSCVYKPRNPPRKQQPVKLPDFVLNSPLIKKYQDPSRRPHRFVGVTEKPFLGMMISLVGRLSRTYQYWKSKIEKHGGKVSSSVIGVTCLVASPAERDRGGSSKLIEAMERGLRVVSEAWLLDSIEKQEAQPLEAYDIVSDLAVDGKGIPLDKQDPEEAALESLSAVLKLYGKRGVYKDSKLQEQGGQIFEKDGILYNCAFSLCDQGRGINEYCIMQLIKVPESNLHLYYKKGRVGDDPNAEERLEEWKNVDGAVKEFVRLFEEVTGNEFEPWEREKKFQKKPLKFYPIDMDDGVDVRHGGFGLRQLGVAAAHSKLEPLVANFMKVLCSQEIYKYALMEMDLDAPDLPMGMLSNVHLKRSEEVLQEFVEKVKSVKESGPKAEAVWSDFSQRWFTLMHSTRPFVFRDYQELADHGAAALESVRDIVVASHVIGDISDDTLDDPLFDRYKRIGCSISPVDKDSDDYKMIVNYMEKTYEHVKLADIEYGISVDNIFAVETNEGPSFDEVKKLPNKILLWCGIRSSNLLRHLHRGLLPASWSLPVPGYMFGRGIVCSDAAAEAARYGFTAVDRPDGFLALAVASQGEEIVELKDPPEDTKSLEEKKVGVKGLGRKKPDESEFINWKGDIKVPCGLLIPSEHKDSPLEYNEYTVYELKQVSIRFLVGVKYDEKGVVMDTEE >EOY30443 pep chromosome:Theobroma_cacao_20110822:9:4619297:4620434:-1 gene:TCM_037651 transcript:EOY30443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MIGTIFLISRLSPPKRPHHQQFGDGDYNSAPPRLERSPSFLDRVRSINFSTYKFPLPNYQDMDHHLPPDNLAAHPLERAPSILERVKSINFSLYKYSPQDPDREYIEPTEHEHNNSQPLSRAPSLLERVKSIDFTSFYRSNSFKANPEKELPATEEPDSDTDMSPVRGQVNRSKSESKVKQRRFPEKLKKSESENSRLKAEKREEEEEEEEEEEEEEVERRRPATTRIEKTVSFGDDDRGVDAKADDFINKFKQQLKLQRLDSLLRYRDMLKGK >EOY30442 pep chromosome:Theobroma_cacao_20110822:9:4619026:4620647:-1 gene:TCM_037651 transcript:EOY30442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLVLSGVLLPFSLSAETQHTNTSWLTPTSLFLLLNIMIGTIFLISRLSPPKRPHHQQFGDGDYNSAPPRLERSPSFLDRVRSINFSTYKFPLPNYQDMDHHLPPDNLAAHPLERAPSILERVKSINFSLYKYSPQDPDREYIEPTEHEHNNSQPLSRAPSLLERVKSIDFTSFYRSNSFKANPEKELPATEEPDSDTDMSPVRGQVNRSKSESKVKQRRFPEKLKKSESENSRLKAEKREEEEEEEEEEEEEEVERRRPATTRIEKTVSFGDDDRGVDAKADDFINKFKQQLKLQRLDSLLRYRDMLKGK >EOY29874 pep chromosome:Theobroma_cacao_20110822:9:2629274:2636461:-1 gene:TCM_037270 transcript:EOY29874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A, regulatory subunit PR55, BETA isoform 1 MNNGGAEAAIAPVGGSQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDRSGDHLATGDRGGRVVLFERTDTRDHVGHQRDLERMDYPINRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQSANGALFLLSTNDKTIKFWKVQEKKVKKVCDMNMDSTKAMGNGPIVGSNISTSSKQYIANGGCTSNEFSFPTGGFSSLHLPVVVTSHETNLMARCRRIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDMRQSALCDTHSKLFEEQEAPGSRSFFTEIIASISDIKFAKDGRHILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRLATGSYSNLFRVFGCSEGSTEATTLEASKNPMSKRSR >EOY29873 pep chromosome:Theobroma_cacao_20110822:9:2629480:2635950:-1 gene:TCM_037270 transcript:EOY29873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A, regulatory subunit PR55, BETA isoform 1 MNNGGAEAAIAPVGGSQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDRSGDHLATGDRGGRVVLFERTDTRDHVGHQRDLERMDYPINRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQSANGALFLLSTNDKTIKFWKVQEKKVKKVCDMNMDSTKAMGNGPIVGSNISTSSKQYIANGGCTSNEFSFPTGGFSSLHLPVVVTSHETNLMARCRRIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDMRQSALCDTHSKLFEEQEAPGSRSFFTEIIASISDIKFAKDGRHILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRLATGSYSNLFRVFGCSEGSTEATTLEASKNPMRRQIQTSSRPSRSLGSLSGVVRRGICFTPFVQSPDIWIVFHQFNFFSFIFA >EOY29872 pep chromosome:Theobroma_cacao_20110822:9:2628633:2636313:-1 gene:TCM_037270 transcript:EOY29872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A, regulatory subunit PR55, BETA isoform 1 MNNGGAEAAIAPVGGSQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDRSGDHLATGDRGGRVVLFERTDTRDHVGHQRDLERMDYPINRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQSANGALFLLSTNDKTIKFWKVQEKKVKKVCDMNMDSTKAMGNGPIVGSNISTSSKQYIANGGCTSNEFSFPTGGFSSLHLPVVVTSHETNLMARCRRIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSKGSIRLIDMRQSALCDTHSKLFEEQEAPGSRSFFTEIIASISDIKFAKDGRHILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRLATGSYSNLFRVFGCSEGSTEATTLEASKNPMRRQIQTSSRPSRSLGSLSGVVRRVKGADNSGVDANGNAFDFTTKLLHLAWHPTENSLACAASNSLYMYYA >EOY31684 pep chromosome:Theobroma_cacao_20110822:9:12082477:12085511:1 gene:TCM_038733 transcript:EOY31684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQIKPPNQLSAFDHMLFYSRFFTFSSNLMSGSVQGLATDSDVVTSLNLVVASLREPNPYNFKLTFYEITMTP >EOY30039 pep chromosome:Theobroma_cacao_20110822:9:3172962:3175881:1 gene:TCM_037387 transcript:EOY30039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-related MAMILWLLLPPFLFLSVSANTQTKVTDNPADELVAALNANRTAHKSSSLTDNPGLACIALQYIKAYQGDCDAVGGPDAKKPADSEFAETFAPNCGVDASTLSPITSRLLGCQSKYVQPPEAFSMLMKNEKSLEILYNKNHTEVGAAVTGTDGGAPFFWCVLFSSGKLNNSFVLEGGVAKISRPGCFSGANDKCSGADDSSRPGHVWLYVLTAFIAVGYAFGL >EOY33811 pep chromosome:Theobroma_cacao_20110822:9:39068927:39075977:1 gene:TCM_041683 transcript:EOY33811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphorylase isoform 2 MAANGKAATEKVPAVANPLSEEPTGIASNINYHAQFNPHFSPFKFEPDQAFFATAESVRDRLIKQWNETFLHFHKVDPKQTYYLSMEYLQGRALTNAIGNLNIQDAYADALNKLGHELEEIVEQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYKYGLFKQRITKQGQEEIAEDWLEKFSPWEVVRHDVVFPVRFFGRVEVNPDESRKWVGGEVVQALAYDVPIPGYKTKNTISLRLWEAKSCAENFNLFLFNDGQYESAAKLHSGAQQICAVLYPGDATENGKLLRLKQQFFLCSASLQDIILRFKERRSGKGSWQWSEFPSKVAVQLNDTHPTLAIPELMRLLMDDEGLGWDEAWDVTTRTIAYTNHTVLPEALEKWSQPVMWKLLPRHMEIIEEIDKRFLAMINASRPDLEHKLSSMRILDHNPQKPVVRMANLCVVSAHTVNGVAQLHSDILKAELFADFVSIWPTKFQNKTNGITPRRWLHFCSPELSNIITKWLKTDQWVTNLDLLSGLQKFADNADLQNEWASAKMANKQRLAQYILHVTGVSIDPNSLFDIQVKRIHEYKRQLLNILGAIYRYKKLKEMSPEQRKNTTPRTVMIGGKAFATYTNAKRIVKLVNDVGEVVNNDPEVNSYLKVVFVPNYNVSVAEKLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEIGEDNFFLFGARADEVPRLRKERENGLFKPDPRFEEAKQFIRSGAFGSYDYNPLLDSLEGNSGYGRGDYFLVGHDFPSYMDAQARIDEVYKDRKKWLKMSILSTAGSGKFSSDRTIAQYAKEIWNIEECRVP >EOY33812 pep chromosome:Theobroma_cacao_20110822:9:39070355:39076327:1 gene:TCM_041683 transcript:EOY33812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphorylase isoform 2 QEEIAEDWLEKFSPWEVVRHDVVFPVRFFGRVEVNPDESRKWVGGEVVQALAYDVPIPGYKTKNTISLRLWEAKSCAENFNLFLFNDGQYESAAKLHSGAQQICAVLYPGDATENGKLLRLKQQFFLCSASLQDIILRFKERRSGKGSWQWSEFPSKVAVQLNDTHPTLAIPELMRLLMDDEGLGWDEAWDVTTRTIAYTNHTVLPEALEKWSQPVMWKLLPRHMEIIEEIDKRFLAMINASRPDLEHKLSSMRILDHNPQKPVVRMANLCVVSAHTVNGVAQLHSDILKAELFADFVSIWPTKFQNKTNGITPRRWLHFCSPELSNIITKWLKTDQWVTNLDLLSGLQKFADNADLQNEWASAKMANKQRLAQYILHVTGVSIDPNSLFDIQVKRIHEYKRQLLNILGAIYRYKKLKEMSPEQRKNTTPRTVMIGGKAFATYTNAKRIVKLVNDVGEVVNNDPEVNSYLKVVFVPNYNVSVAEKLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEIGEDNFFLFGARADEVPRLRKERENGLKSG >EOY31343 pep chromosome:Theobroma_cacao_20110822:9:8263983:8267262:-1 gene:TCM_038292 transcript:EOY31343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCKQLSTSDSRRDNSKKLAKVGEHNPNSLGLESRNRFADLTERFSDSANEEVTAMKEATSGESPNVIQRPPSKFKLKNVAKPSLQRKQDQLGIVNFKVVEPVIIDSHGELSKLTFQAGTSLVKA >EOY29435 pep chromosome:Theobroma_cacao_20110822:9:1179081:1181743:1 gene:TCM_046908 transcript:EOY29435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MGDFSCTAPFLLYPSIFLILLFSAINHSFHFYHFPSVITSNHTKISRENHSEILVSPSLSPAIDFYNGSFASSGVENKSRFEILEEGLARARAAIRAASRFRRSTSTRTESFVPKGSVYRNPYAFHQSHIEMQKRFRVWPYREGDPPLFHKGPLNSIYSIEGHFIDEFDSGNSPFLARHPDEALVYFLPVSVVNIIEYVYRPYIDYSRKRLQNIVKDYIDVVSTRYPYWNRSNGADHFLISCHDWAPDVSAADPKLFKHFIRVLCNANSSEGFQPARDVSLPEMLLHYGMLGQPQQGQPPNSRPILAFFAGGEHGFVRKILFKYWKEKDDDVRVYEYLPKTLNYTQLMGQTKFCLCPSGYEVASPRLVESIHSGCVPVIISDNYVLPFSDVLDWRKFSVHVPIAKIPEIKTILQGIPVDEYLRKQRRVLRVQRHFVLNRPAKPYDIMHMVMHSVWLRRLNRGLSLQ >EOY31247 pep chromosome:Theobroma_cacao_20110822:9:7718784:7720274:1 gene:TCM_038207 transcript:EOY31247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTQNQVLTPQLVSLLSSATFVAHFFILSHDLFLLPSQCFSLESLLSSLAPLLLSPRPPPFPPFPSLCFSSFADTYRAIYTVCKLINDKLGNSLDFQADIIRIVIFGYLNYLALFKKTLIKFASNKALLVSRGIKRVINGPCYKLGDGYSVLQYILDNSCFPLLPWLITPYLRPNEERDSLNLPKKEFNAVHSQAMGLIEMAFGRVRASWQFLSKSSASHCQKKMWRSLREGEEELRPVFEEEVNEIWQRIWDAIALHLNWVSLRK >EOY32842 pep chromosome:Theobroma_cacao_20110822:9:34037166:34039197:-1 gene:TCM_040865 transcript:EOY32842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin 8 MATIISPKQFSPVEDAENIKKACQGWGTDEKAIISILGHRNLFQKKLIRLAFEEIYHEDLLQQLKCELSGDFQRAISLWTLDPADRDALLAYEALQKTTPDYRAIVEIACTGSPEDLLAVKRAYKFRYKRSLEEDLASYTSGDIRKLLVAVTSAYRYDGDEFDETVAHSEASVLHKEIHEKAFNHEEMIRILSTRSKAQLNATFNLYKDIHGRSITKGLLGGPSDDYFSALRTVVRCIRDPKKYYAKVLRTAINMPGTDEDALSRVIITRAEKDLKEIKELYLKRNNVSLDDAVGRDSSGDYKAFLLALLGAE >EOY33536 pep chromosome:Theobroma_cacao_20110822:9:37941842:37944314:1 gene:TCM_041484 transcript:EOY33536 gene_biotype:protein_coding transcript_biotype:protein_coding description:G10 family protein isoform 1 MPKVRTNWVKYPDGWELIEPTLRELDAKMREAEIDPHDGKRKCEGLWPIFKIAHQRSRYIFDLHYKRNEISKELYEFCLEQGYADRNLIAKWKKLGYERLCCLRCIQPRDHNFSTTCVCRVPQHLREEKVVECVHCGCRGCASGD >EOY33535 pep chromosome:Theobroma_cacao_20110822:9:37941766:37944640:1 gene:TCM_041484 transcript:EOY33535 gene_biotype:protein_coding transcript_biotype:protein_coding description:G10 family protein isoform 1 MPKVRTNWVKYPDGWELIEPTLRELDAKMREAEIDPHDGKRKCEGLWPIFKIAHQRSRYIFDLHYKRNEISKELYEFCLEQGYADRNLIAKWKKLGYERLCCLRCIQPRDHNFSTTCVCRVPQHLREEKVVECVHCGCRGCASGD >EOY30260 pep chromosome:Theobroma_cacao_20110822:9:3969581:3985171:-1 gene:TCM_037531 transcript:EOY30260 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H, putative MVEEDYEPMEFEFLDEDLMSICQTSGEESEKENWKMFFDGASNALGHGIGVVLVSPEGDHYPVIAKLNFYCTNNVAEYEACVMGIQAAIERKIHILEVYEDSALVIYQLRREWETRDSKLVRYHKYVSKLVENFDEICFNHLPREENQMADALATLAAMFKVGTNVKIQPIMINLRECPAHCSSVEEEIDGKPWYHDIVHYLKFQQYPDQSSENDKKTIRRLAMNFFLDGNILYKRSRDQTLLRCVDSTEARRIVEEVHEGICGAHASGHKLARQVMRAGYYWLTLEKDCIDFARKCHKCQIYADRIHTPANSLHVLASPWPFSMWGMDVIGLITPKASNGHRFILVAIDYFTKWVEAASYANVTQKGVCKFIQKEIICRYGLPERIITDNASNLNGSMMKEVCAKFKIKHHNSTPYRPKMNGAVEAAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLLLCMLIAQQSELSRELHRSLWCMGWKQFCQLKWKFLLFGSLKKYS >EOY32651 pep chromosome:Theobroma_cacao_20110822:9:32530384:32530843:1 gene:TCM_040676 transcript:EOY32651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQTLNATMPQIPSMVCHKLQTLPCQRFPPWHVTNFEPYHVRDSFHEMSQTPNAGVSEIPSMACRDVNGYPIIGYSRVSDPIIPG >EOY29153 pep chromosome:Theobroma_cacao_20110822:9:236160:248198:-1 gene:TCM_036786 transcript:EOY29153 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 family protein MMGWGNIYKRRVKVFSVAFLIYLDYKAVQQREKWTNKSKSAALWEKAHERNAKRVLSLIIELEGLWVKLGQYLSTRADVLPQAYISLLKQLQDSLPPRPLKEVCRTIEKEFGKTMDVLFADFLEEPLATASIAQVHRATLIDGQEVVVKVQHDGIKAIILEDLKNAKSVVDWIAWAEPQYDFNPMIDEWCKEAPKELDFNHEAENTRTVSRNLGCKKLHDENKSSNQVNVLIPEVIQSTQSVLILEYMDGIRLNDTASLEAFGVDKQKIVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEAPHRPILLDFGLTKKLSSSVKQALAKMFLASAEGDHVALLSAFSEMGLKLRLDAPEQAMEVTTVFFRSSTPANEAHQTMKSLAEQRDRNMKIIQEKMQLNRKEVKRFNPVDAFPGDIVIFTRVLNLLRGLSSTMDVHIVYLDIMRPFAESVLLGNINKGPAANAQWIYNTPVHSDVEAKLRQLLVELGNNDKILGIQVCAYKDGEVIIDSAAGVLGRYDPRPVQPDTLFSVFSATKGITAGMLHWLVDNGKVKLEENIANIWPEFRGNGKDHIKVHHVLNHTSGLHNALAELRAENPLLMSEWDECLKLIAASVPETEPGKQQLYHYLSYGWLCGGIIEHASRKKFQEILEEAFIHPLKIEGELYVGIPPGVESRLASLTLDTDDLNKLSEIRNRPVMPSTFQNNFAQLATSLPVLFNMLNIRRAIIPAANGHCSARALARYYAALADGGVVPPPHSSRSNPPLGRHPHIPSYPSKKSHKRQKGKRTNMVDAASKNKANGCRQNRYYSKDFKGSGDSYTRVDSEDSNSTSSSSTSNCNANRDTPQNKTDKIFSNPRIHDAFMGVGEYGNLALPDGIFGLGFRRLKSKDESLIGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSFGGVTAKIIELVCSELNIPLPEEFSGSSRRDLNTFSPLIN >EOY30054 pep chromosome:Theobroma_cacao_20110822:9:3212911:3232601:1 gene:TCM_037396 transcript:EOY30054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin, putative isoform 2 MNLRKGAKVWVEDKNLAWVAAEITGLKGKQVQVQTASAKTVLVLPEKLFPRDADEEEEHGGVDDMTKLTYLNEPGVLYNLERRYALNDIYTYTGSILIAVNPFTKLPHLYNVHMMEQYKGAPFGELSPHVFAVADVSYRAMMNEGRSQSILVSGESGAGKTETTKLIMQYLTFVGGRAAGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDANGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASGKDAEKYKLAHPSHFHYLNQSRTYELEGVSSAEEYMKTRRAMDIVGISHEDQEAIFRTLAAILHIGNIEFSPGREHDSSVIKDQKSTFHMQMAADLFRCDVNFLLATLSTRTIQTREGSIVKALDCNAAVASRDALAKTVYARLFDWLVDKINMSVGQDPNSHIQIGVLDIYGFECFKHNSFEQFCINFANEKLQQHFNEHVFKMEQDEYRKEEINWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHGTFSTKLFQNFRGHSRLEKAKFSETDFTVSHYAGKVTYQTDTFLEKNRDYVVVEHCNLLASSKCPFVAGLFPSPPEESSRSSYKFSSVATRFKQQLQALMETLNSTEPHYIRCVKPNSLNRPHKFENLSILHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLLAPEFMDTSYDEKALTEKILRKLNLENFQLGRTKVFLRAGQIGVLDSRRAEVLDTAAKRIQRRLRTFIAHRNFISARVAAIALQAYCRGCLVRKMFAARREAAAAVCLQKYVRRWLFRHAYLKVLSAAVIIQSNIRGFSTRQKFLHRKKHRAAALIQACWRLCRFRSAFHRYKKSIIAIQCHWRQKLAKRELRRLKQEANEAGALRLAKNKLEKQLEDLTWRLHLEKRMRVSNEEAKSVEISKLQKALESLNLELDATKLATISECNKNAVLQNQLELSIKEKSALEKELALMADMRKENALLKSSLDTLEKKNSALEHELKKALKDASDTIEKLRELEQKNTELRQNMQSLEEKLSHLEDENHVLRQKALTPSPKSNRANLAKSFSNKYGGTLNLHQSDRKTAYESPTPSKLIVPFSHGMSESRRSKLTAERQQENYEFLSRCIKENLGFQNGKPLAACIIFKCLHHWHSFESERTAIFDYIIEGINDVLKVGDEKDENFTLPYWLSNTSALLCLLQRNLWSNGFLTATTQRSGGNSSLPGRVAYGLKSPLKYLGFEDGMSHIEARYPAILFKQQLTACVEKIFGLIRDNIKKELCPLLGLCIQVPKNARVLAGKSRSPGGIPQQSPSSQWESIIKFLDSLMGRLRENHVPSFFIRKLITQVFSFINMSLFNSSLLLRRECCSFSNGEYVKSGLAELEKWIVNAKEEFAGTSWHELNYIRQAVGFLVIHQKRKKSLDEISHDLCPALTVRQIYRISTMYWDDKYGTQSVSNEVVAEMREMLNKDNQHLASNSFLLDDDLSIPFSTEDIDIAIPAIDPSDVELPAFLSEYSCVQFLIQQQK >EOY30053 pep chromosome:Theobroma_cacao_20110822:9:3212911:3232601:1 gene:TCM_037396 transcript:EOY30053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin, putative isoform 2 MNLRKGAKVWVEDKNLAWVAAEITGLKGKQVQVQTASAKTVLVLPEKLFPRDADEEEEHGGVDDMTKLTYLNEPGVLYNLERRYALNDIYTYTGSILIAVNPFTKLPHLYNVHMMEQYKGAPFGELSPHVFAVADVSYRAMMNEGRSQSILVSGESGAGKTETTKLIMQYLTFVGGRAAGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDANGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASGKDAEKYKLAHPSHFHYLNQSRTYELEGVSSAEEYMKTRRAMDIVGISHEDQEAIFRTLAAILHIGNIEFSPGREHDSSVIKDQKSTFHMQMAADLFRCDVNFLLATLSTRTIQTREGSIVKALDCNAAVASRDALAKTVYARLFDWLVDKINMSVGQDPNSHIQIGVLDIYGFECFKHNSFEQFCINFANEKLQQHFNEHVFKMEQDEYRKEEINWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHGTFSTKLFQNFRGHSRLEKAKFSETDFTVSHYAGKVTYQTDTFLEKNRDYVVVEHCNLLASSKCPFVAGLFPSPPEESSRSSYKFSSVATRFKQQLQALMETLNSTEPHYIRCVKPNSLNRPHKFENLSILHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLLAPEFMDTSYDEKALTEKILRKLNLENFQLGRTKVFLRAGQIGVLDSRRAEVLDTAAKRIQRRLRTFIAHRNFISARVAAIALQAYCRGCLVRKMFAARREAAAAVCLQKYVRRWLFRHAYLKVLSAAVIIQSNIRGFSTRQKFLHRKKHRAAALIQACWRLCRFRSAFHRYKKSIIAIQCHWRQKLAKRELRRLKQEANEAGALRLAKNKLEKQLEDLTWRLHLEKRMRVSNEEAKSVEISKLQKALESLNLELDATKLATISECNKNAVLQNQLELSIKEKSALEKELALMADMRKENALLKSSLDTLEKKNSALEHELKKALKDASDTIEKLRELEQKNTELRQNMQSLEEKLSHLEDENHVLRQKALTPSPKSNRANLAKSFSNKYGGTLNLHQSDRKTAYESPTPSKLIVPFSHGMSESRRSKLTAERQQENYEFLSRCIKENLGFQNGKPLAACIIFKCLHHWHSFESERTAIFDYIIEGINDVLKVGDEKDENFTLPYWLSNTSALLCLLQRNLWSNGFLTATTQRSGGNSSLPGRVAYGLKSPLKYLGFEDGMSHIEARYPAILFKQQLTACVEKIFGLIRDNIKKELCPLLGLCIQVPKNARVLAGKSRSPGGIPQQSPSSQWESIIKFLDSLMGRLRENHVPSFFIRKLITQVFSFINMSLFNSLLLRRECCSFSNGEYVKSGLAELEKWIVNAKEEFAGTSWHELNYIRQAVGFLVIHQKRKKSLDEISHDLCPALTVRQIYRISTMYWDDKYGTQSVSNEVVAEMREMLNKDNQHLASNSFLLDDDLSIPFSTEDIDIAIPAIDPSDVELPAFLSEYSCVQFLIQQQK >EOY32539 pep chromosome:Theobroma_cacao_20110822:9:30978408:30985619:1 gene:TCM_040512 transcript:EOY32539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSIGQYPFFDMLAPTGPPPTYAQQRPIGGASPLDPISVLDLDDPKEQDKLKYGFVESKDNLDTHQKFDLFEERLRMIEGMGMYCSMDAIELCLVPDVVIPPKFKVLDFENLISAAAKWYVQLDRNRIHTWKDLARVFVAQYKHVTDMAPDRFSLQNMEKKPTESFKEYAQR >EOY34352 pep chromosome:Theobroma_cacao_20110822:9:40752103:40754663:1 gene:TCM_042048 transcript:EOY34352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase subunit isoform 2 MHSFGYRLNALLTFAVTILALMCAMGSLSDNLNTPSPSAEIQIMNINWFQKQPQGNDEVFIFVAAEYETPKNSLNQVSLWDAIIPAKEHAKFWIHTSNKYRFVDQGNNLRGKKFNLTLHWHVMPKTGKMFADKLVMTGYSLPEEYR >EOY34351 pep chromosome:Theobroma_cacao_20110822:9:40752139:40754655:1 gene:TCM_042048 transcript:EOY34351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase subunit isoform 2 MHSFGYRLNALLTFAVTILALMCAMGSLSDNLNTPSPSAEIQIMNINWFQKQPQGNDEVSLTMNISADLQSLFTWNTKQVFIFVAAEYETPKNSLNQVSLWDAIIPAKEHAKFWIHTSNKYRFVDQGNNLRGKKFNLTLHWHVMPKTGKMFADKLVMTGYSLPEEYR >EOY33098 pep chromosome:Theobroma_cacao_20110822:9:35398947:35418763:-1 gene:TCM_041088 transcript:EOY33098 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase isoform 2 MSASRRLCTLSHSLPNKSTPFTFLRSSPSYYFPFSRPLSFSRLIMPKNQEKPKPKAVTSASASVVEAVANKLGDLIISENNNGQIWKPTSYGTVSGPTAAAAATATATAVDIQTEKRSVDLSKILKPNLLDNFSVDNSTYSLAQIRATFYPKFENEKSDQEIRIRMIEMVSKGLATLEVSLKHSGSLFMYAGNEGGAYAKNSFGNIYTAVGVFVLGRMFREAWGTKAGEKQAQFNDFIEHNHMSISMELVTAVLGDHGQRPREDYAVITAVTELGNRKPKFYSTPEVIAFCRKWRLPTNHIWLFSTRKSVTSFFAAYDALCEEGTATSVCRALDEVADISVPGSKDHIKVQGEILEGLVARIVSHESSKHMEEVLKDHPPPPADGAGIDLGPSLREICAANRSDEKQQIKALLQNVGSSFCPDHSDWYDDAHSRNADRSVLSKFLQAHPADYTTTKLQEMIRLMREKRFPAAFKCYHNFHKAESVSSDNLFYKMVIHVHSDSGFRRYQKEMRQKPGLWPLYRGFFLDINLFKANKERAAEIAKSNNDLVGNVNNDSNISTRDGLADDDANLMIKLKFLTYKLRTFLIRNGLSILFKDGPAAYKAYYLRQMKIWGTSAGKRGELSKMLDEWAVYIRRKCGNKQLSSAIYLSEAESFLEQYAKRSPENQALIGSAGNLVRTEDFLAIVEGGRDEEGDLATEKEAAAASLCPSVKDTIQKADSLIVFFPGIPGCAKSALCRELLTAPGGLGDDLSVQSLMGDLIKGRYWPKVADELRRKPNSIILADKNAPNEEVWRQIENMCRSTRASAVPVIPDSEGTDSNPFSLDALGVFMFRVLQRVNHPGNLDKASQNAGYVLLMFYHLYEGKSREYFEDELVERFGSLVKMPLLKPDRSPLPVPLRLILEEGINLYNLHTNSHGRLESTKGSYAQEWAKWEKKLRDTLFANAEYLNSIQVPFEFAVQQVVEQLRKIAKGEYIVPAEKRKLGTIVFAAVNLPVAEIQSVLNKLSGENVKVEAFLKYKHMEDILKKAHVTLAHKRSHGVIAVASYGPYLHRQVPVELTALLFTDKIAALEARLGSVDDEKIVSKNQWPHVTIWSAEGVAPKEANTLPQLLSEGKASLVEIDPPITISGRLEFY >EOY33099 pep chromosome:Theobroma_cacao_20110822:9:35402164:35418845:-1 gene:TCM_041088 transcript:EOY33099 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase isoform 2 MSASRRLCTLSHSLPNKSTPFTFLRSSPSYYFPFSRPLSFSRLIMPKNQEKPKPKAVTSASASVVEAVANKLGDLIISENNNGQIWKPTSYGTVSGPTAAAAATATATAVDIQTEKRSVDLSKILKPNLLDNFSVDNSTYSLAQIRATFYPKFENEKSDQEIRIRMIEMVSKGLATLEVSLKHSGSLFMYAGNEGGAYAKNSFGNIYTAVGVFVLGRMFREAWGTKAGEKQAQFNDFIEHNHMSISMELVTAVLGDHGQRPREDYAVITAVTELGNRKPKFYSTPEVIAFCRKWRLPTNHIWLFSTRKSVTSFFAAYDALCEEGTATSVCRALDEVADISVPGSKDHIKVQGEILEGLVARIVSHESSKHMEEVLKDHPPPPADGAGIDLGPSLREICAANRSDEKQQIKALLQNVGSSFCPDHSDWYDDAHSRNADRSVLSKFLQAHPADYTTTKLQEMIRLMREKRFPAAFKCYHNFHKAESVSSDNLFYKMVIHVHSDSGFRRYQKEMRQKPGLWPLYRGFFLDINLFKANKERAAEIAKSNNDLVGNVNNDSNISTRDGLADDDANLMIKLKFLTYKLRTFLIRNGLSILFKDGPAAYKAYYLRQMKIWGTSAGKRGELSKMLDEWAVYIRRKCGNKQLSSAIYLSEAESFLEQYAKRSPENQALIGSAGNLVRTEDFLAIVEGGRDEEGDLATEKEAAAASLCPSVKDTIQKADSLIVFFPGIPGCAKSALCRELLTAPGGLGDDLSVQSLMGDLIKVTLAHQKEGLLYFET >EOY30345 pep chromosome:Theobroma_cacao_20110822:9:4273008:4284910:-1 gene:TCM_037586 transcript:EOY30345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin family protein with Dil domain isoform 3 MTKLSYLHEPGVLHNLAMRYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAGFGELSPHVFAVADVAYRAMMNEEKSNSILVSGESGAGKTETTKMLMRYLAYLGGRQGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGRISGAAVRTYLLERSRVCQISNPERNYHCFYLLCAAPPEVREKFKLGEPKSYHYLNQSNCYALDGVDDTQEYLATIRAMDIVGISEEEQEAIFGVVAAILHLGNIEFAKGADVDSSVIKDEKSRFHLNVTAELLKCDVKSLEDALIKRVMVTPEEIITRTLDPVAAVSSRDALAKTIYSRLFDWLVDKINFSIGQDPNSKQLIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLFQTFKNNKRFIKPKLSRTSFTISHYAGEVTYLADLFLDKNKDYVVAEHQDLLTASKCSFVAALFPPPAEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNALKPAIFENANIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGVLAPEILEGNHDDKVACQMILDKMGLKGYQIGKAKVFLRAGQMAELDARRAEVLGNAARTIQRQIRTYIARKEFVALRKAAIMLQSHWRGILACKLYEQLRREAAALKIQKNFRRYTARESYLTVRLSAITVQTGLRAMTARNEFRFRKQTKAAIIIQATLRCHVAYSYYKSLLKAAITAQCGWRRRVARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLQFEKRLRTDLEEEKAQEIAKLQEALHAMQIQVEEANARVIKEQEAARKAIEEAPPIIKETPVIVQDTEKVNSLAAEVESLKASLLSERKAAEEAHNACRDAEARNAQLVKKLEDSERKVDQLQESVQRLEEKLANSESEIQVLRQQSLAISPTGKSLSARQRTMIMPDTTLAISNVREPESEEKPQKSLNEKQQENQDLLIKCISQNLGFSGSKPVAACVIYKCLLHWRSFEVERTTVFDRIIQTIASSIEVQDNNDVLAYWLSNSSTLLLLLQHTLKASGAASLTPQRRRAASASLFGRMSQGLRASPQSAGLSFLNGRGLSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVKSLNRYLKIMKVNHVPPFLVHKIYTQIFSFVNVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCYEATEEYAGSAWDELKHIRQAVGFLVSYSSETQKDPE >EOY30344 pep chromosome:Theobroma_cacao_20110822:9:4274284:4284892:-1 gene:TCM_037586 transcript:EOY30344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin family protein with Dil domain isoform 3 MTKLSYLHEPGVLHNLAMRYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAGFGELSPHVFAVADVAYRAMMNEEKSNSILVSGESGAGKTETTKMLMRYLAYLGGRQGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGRISGAAVRTYLLERSRVCQISNPERNYHCFYLLCAAPPEVREKFKLGEPKSYHYLNQSNCYALDGVDDTQEYLATIRAMDIVGISEEEQEAIFGVVAAILHLGNIEFAKGADVDSSVIKDEKSRFHLNVTAELLKCDVKSLEDALIKRVMVTPEEIITRTLDPVAAVSSRDALAKTIYSRLFDWLVDKINFSIGQDPNSKQLIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLFQTFKNNKRFIKPKLSRTSFTISHYAGEVTYLADLFLDKNKDYVVAEHQDLLTASKCSFVAALFPPPAEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNALKPAIFENANIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGVLAPEILEGNHDDKVACQMILDKMGLKGYQIGKAKVFLRAGQMAELDARRAEVLGNAARTIQRQIRTYIARKEFVALRKAAIMLQSHWRGILACKLYEQLRREAAALKIQKNFRRYTARESYLTVRLSAITVQTGLRAMTARNEFRFRKQTKAAIIIQATLRCHVAYSYYKSLLKAAITAQCGWRRRVARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLQFEKRLRTDLEEEKAQEIAKLQEALHAMQIQVEEANARVIKEQEAARKAIEEAPPIIKETPVIVQDTEKVNSLAAEVESLKASLLSERKAAEEAHNACRDAEARNAQLVKKLEDSERKVDQLQESVQRLEEKLANSESEIQVLRQQSLAISPTGKSLSARQRTMIMPRTPENGNVINGETKVPSDTTLAISNVREPESEEKPQKSLNEKQQENQDLLIKCISQNLGFSGSKPVAACVIYKCLLHWRSFEVERTTVFDRIIQTIASSIEVQDNNDVLAYWLSNSSTLLLLLQHTLKASGAASLTPQRRRAASASLFGRMSQGLRASPQSAGLSFLNGRGLSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVKSLNRYLKIMKVNHVPPFLVHKIYTQIFSFVNVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCYEATEEYAGSAWDELKHIRQAVGFLVSYSSETQKDPE >EOY30343 pep chromosome:Theobroma_cacao_20110822:9:4272736:4287120:-1 gene:TCM_037586 transcript:EOY30343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin family protein with Dil domain isoform 3 MAAPVNIIVGSHVWVEDAALAWIDGEVFKISGEEVHIHTTNGKTAVANISKVFPKDTEASPGGVDDMTKLSYLHEPGVLHNLAMRYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAGFGELSPHVFAVADVAYRAMMNEEKSNSILVSGESGAGKTETTKMLMRYLAYLGGRQGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGRISGAAVRTYLLERSRVCQISNPERNYHCFYLLCAAPPEVREKFKLGEPKSYHYLNQSNCYALDGVDDTQEYLATIRAMDIVGISEEEQEAIFGVVAAILHLGNIEFAKGADVDSSVIKDEKSRFHLNVTAELLKCDVKSLEDALIKRVMVTPEEIITRTLDPVAAVSSRDALAKTIYSRLFDWLVDKINFSIGQDPNSKQLIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLFQTFKNNKRFIKPKLSRTSFTISHYAGEVTYLADLFLDKNKDYVVAEHQDLLTASKCSFVAALFPPPAEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNALKPAIFENANIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGVLAPEILEGNHDDKVACQMILDKMGLKGYQIGKAKVFLRAGQMAELDARRAEVLGNAARTIQRQIRTYIARKEFVALRKAAIMLQSHWRGILACKLYEQLRREAAALKIQKNFRRYTARESYLTVRLSAITVQTGLRAMTARNEFRFRKQTKAAIIIQATLRCHVAYSYYKSLLKAAITAQCGWRRRVARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLQFEKRLRTDLEEEKAQEIAKLQEALHAMQIQVEEANARVIKEQEAARKAIEEAPPIIKETPVIVQDTEKVNSLAAEVESLKASLLSERKAAEEAHNACRDAEARNAQLVKKLEDSERKVDQLQESVQRLEEKLANSESEIQVLRQQSLAISPTGKSLSARQRTMIMPRTPENGNVINGETKVPSDTTLAISNVREPESEEKPQKSLNEKQQENQDLLIKCISQNLGFSGSKPVAACVIYKCLLHWRSFEVERTTVFDRIIQTIASSIEVQDNNDVLAYWLSNSSTLLLLLQHTLKASGAASLTPQRRRAASASLFGRMSQGLRASPQSAGLSFLNGRGLSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVKSLNRYLKIMKVNHVPPFLVHKIYTQIFSFVNVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCYEATEEYAGSAWDELKHIRQAVGFLVIHQKPKKTLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVIANMRVMMTEDSNNAVSSSFLLDDDSSIPFTVDDISKSLQQVDIADVDPPSMIRENSGFGFLLPRSE >EOY31088 pep chromosome:Theobroma_cacao_20110822:9:6937839:6946482:-1 gene:TCM_038096 transcript:EOY31088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Salt overly sensitive protein 2a isoform 1 MKKGTRKVGKYEVGRTIGEGTFAKVKFARNIDTGESVALKVLAKTTILKHKMVDQIKREISIMKIVRHPNIVRLHEVLASRTKIYIVLEFVSGGELFDKIVHRGRLPENESRRYFQQLIDAVSHCHSKGVYHRDLKPENLLLDSYGNLKVSDFGLSALPQQGVGLLHTTCGTPNYVAPEVLSNQGYDGAAADVWSCGVVLFVIMAGYLPFDEIDLPTLYKKVNAAQFSFPFWFSPGAKSLVQKILDPNPKTRIKIEGIKNDPWFQKHYVPVRPREEEVNLDDVRAVFDDIEDQYVSEQSVVDEGGPLIMNAFEMITLSQGLNLSALFDRQQDYVKRQTRFVSRKPARVIISSVEAVAESMGLKVHTRNYKARLEGISANKVGQFAVVLEVFEVAPSLFMVDVRKAAGDTLEYHKFYKNFCAKLENIIWKPTEGLANSSLLRTMTC >EOY31090 pep chromosome:Theobroma_cacao_20110822:9:6937964:6946236:-1 gene:TCM_038096 transcript:EOY31090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Salt overly sensitive protein 2a isoform 1 MKKGTRKVGKYEVGRTIGEGTFAKVKFARNIDTGESVALKVLAKTTILKHKMVDQIKREISIMKIVRHPNIVRLHEVLASRTKIYIVLEFVSGGELFDKIVHRGRLPENESRRYFQQLIDAVSHCHSKGVYHRDLKPENLLLDSYGNLKVSDFGLSALPQQGVGLLHTTCGTPNYVAPEVLSNQGYDGAAADVWSCGVVLFVIMAGYLPFDEIDLPTLYKKVNAAQFSFPFWFSPGAKSLVQKILDPNPKTRIKIEGIKNDPWFQKHYVPVRPREEEVNLDDVRAVFDDIEDQYVSEQSVVDEGGPLIMNAFEMITLSQGLNLSALFDRQQDYVKRQTRFVSRKPARVIISSVEAVAESMGLKVHTRNYKARLEGISANKVGQFAVVLEVFEVAPSLFMVDVRKAAGDTLEYHKFYKNFCAKLENIIWKPTEGLANSSLLRTMTC >EOY31091 pep chromosome:Theobroma_cacao_20110822:9:6938405:6946069:-1 gene:TCM_038096 transcript:EOY31091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Salt overly sensitive protein 2a isoform 1 MKKGTRKVGKYEVGRTIGEGTFAKVKFARNIDTGESVALKVLAKTTILKHKMVDQIKREISIMKIVRHPNIVRLHEVLASRTKIYIVLEFVSGGELFDKIVHRGRLPENESRRYFQQLIDAVSHCHSKGVYHRDLKPENLLLDSYGNLKVSDFGLSALPQQGVGLLHTTCGTPNYVAPEVLSNQGYDGAAADVWSCGVVLFVIMAGYLPFDEIDLPTLYKKVNAAQFSFPFWFSPGAKSLVQKILDPNPKTRIKIEGIKNDPWFQKHYVPVRPREEEVNLDDVRAVFDDIEDQYVSEQSVVDEGGPLIMNAFEMITLSQGLNLSALFDRQQDYVKRQTRFVSRKPARVIISSVEAVAESMGLKVHTRNYKARLEGISANKVGQFAVVLEVFEVAPSLFMVDVRKAAGDTLEYHKVGFLQEFLCET >EOY31089 pep chromosome:Theobroma_cacao_20110822:9:6937839:6946569:-1 gene:TCM_038096 transcript:EOY31089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Salt overly sensitive protein 2a isoform 1 MKKGTRKVGKYEVGRTIGEGTFAKVKFARNIDTGESVALKVLAKTTILKHKMVDQIKREISIMKIVRHPNIVRLHEVLASRTKIYIVLEFVSGGELFDKIVHRGRLPENESRRYFQQLIDAVSHCHSKGVYHRDLKPENLLLDSYGNLKVSDFGLSALPQQGVGLLHTTCGTPNYVAPEVLSNQGYDGAAADVWSCGVVLFVIMAGYLPFDEIDLPTLYKKVNAAQFSFPFWFSPGAKSLVQKILDPNPKTRIKIEGIKNDPWFQKHYVPVRPREEEVNLDDVRAVFDDIEDQYVSEQSVVDEGGPLIMNAFEMITLSQGLNLSALFDRQQDYVKRQTRFVSRKPARVIISSVEAVAESMGLKVHTRNYKARLEGISANKVGQFAVVLEVFEVAPSLFMVDVRKAAGDTLEYHKFYKNFCAKLENIIWKPTEGLANSSLLRTMTC >EOY30798 pep chromosome:Theobroma_cacao_20110822:9:5807865:5809376:1 gene:TCM_037881 transcript:EOY30798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate family protein 8, putative MYFICISYLSPYIPPPSLQKHPLSLSLSLSLSLEMENGFKLRISRMFRSSFGSCRTRNISDVIEKAVFSPQNHNNLHLIEPLSSSSAKARPFPSICKPRCPESTQGAINHDCIMITPRETLPRRKVSARYPPFLVSSADFNGRNCPPASPISPLNLFSDCKDFGFYEKKKSLTRNKKKKKKNNKRVHVKSKNVMSSTTTFFSSSSQESANHNYGGWWFSSEDETETLFSSRTLSSDSSESPRQRSYRKRSNSARRRRAKSRSCDMGVLPLEGTNKVKDSFAVVKSSSDPYNDFRTSMVEMIVERQIFAAKDLEQLLQCFLSLNSHHHHKIILEVFTEIMETLFSNWS >EOY34196 pep chromosome:Theobroma_cacao_20110822:9:40231063:40231689:-1 gene:TCM_041939 transcript:EOY34196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >EOY31818 pep chromosome:Theobroma_cacao_20110822:9:15368591:15369903:1 gene:TCM_039050 transcript:EOY31818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIYIRAIDYEMWDIITDGPFMSSTLNVVKNELMPKPRSEWTGTETRKVQVNFKAINTLHCALTPTEFNKVSRCTIAKQVWEKLRIIHEGTSQVKESKIALLTHNYEMFKMEPIEDITSMFDRFTNITNKLSQLRKPILEHELVKRLLRSLPKN >EOY31706 pep chromosome:Theobroma_cacao_20110822:9:12517396:12523145:-1 gene:TCM_038772 transcript:EOY31706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MRLVTKQFRPDYILGEGGFGVVYKGVIDENTRPGYKSMAVAVKELNPDGFQGDREWLAEVNYLGQLSHPNLVKLIGYCCEDEHRLLVYEYMASGSLEKHLFRRVGCSLTWSKRMKIALDAAKGLAFLHGAERPIIYRDFKTSNILLDADFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMLLGRRAMDKSRPSREHNLVDWARPLLNHNKKLMRILDPRMEGQYSARTAMKVANLAYQCLSQNPKGRPLMSQVVELLETFQTKEVSNEEAMLHTGASGITLYEAPSNCPHTPAKKSNPARSESHREGDAHRRKPGNGRSKSEPPKECDLYDPPHFEAEDKSESNRG >EOY31705 pep chromosome:Theobroma_cacao_20110822:9:12517826:12522857:-1 gene:TCM_038772 transcript:EOY31705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MGICFSIEEQLHQHQHHQSLSKGPEMQDSPEIKKPSIIPLTAKDVKDLRQNPGYNNVDIFTYEEMRLVTKQFRPDYILGEGGFGVVYKGVIDENTRPGYKSMAVAVKELNPDGFQGDREWLAEVNYLGQLSHPNLVKLIGYCCEDEHRLLVYEYMASGSLEKHLFRRVGCSLTWSKRMKIALDAAKGLAFLHGAERPIIYRDFKTSNILLDADFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMLLGRRAMDKSRPSREHNLVDWARPLLNHNKKLMRILDPRMEGQYSARTAMKVANLAYQCLSQNPKGRPLMSQVVELLETFQTKEVSNEEAMLHTGASGITLYEAPSNCPHTPAKKSNPARSESHREGDAHRRKPGNGRSKSEPPKECDLYDPPHFEAEDKSESNRG >EOY31825 pep chromosome:Theobroma_cacao_20110822:9:15889733:15892747:-1 gene:TCM_039096 transcript:EOY31825 gene_biotype:protein_coding transcript_biotype:protein_coding description:X-ray repair cross complementing 3 (XRCC3) isoform 1 MIPQNLLQSTTQKCTTGCPILDRLLRGGIPCDSITEIVAESGSGKTQICLQLSLHAQLPVSHGGLSASALYLHTEFPFPFRRLHQLSLSFRSSNARHLPCNDNPCDRVYVQSVHSADELLEIMSKTESFIESSKTQLPVRLIVIDSIAALFRSEFDNTPVELKRRSSLFFKISSMLKALARRFNLAVLLTNQVVDMVGPNEGINGLRIGNLGCLYTSGRRVCPALGLAWANCVNSRLFLSRHEEVNREENGKLIGESGGFVCKQTRRKLYVVFAPHLPESSCEFVITREGLFGVEITAC >EOY31827 pep chromosome:Theobroma_cacao_20110822:9:15887746:15892754:-1 gene:TCM_039096 transcript:EOY31827 gene_biotype:protein_coding transcript_biotype:protein_coding description:X-ray repair cross complementing 3 (XRCC3) isoform 1 MIPQNLLQSTTQKCTTGCPILDRLLRGGIPCDSITEIVAESGSGKTQICLQLSLHAQLPVSHGGLSASALYLHTEFPFPFRRLHQLSLSFRSSNARHLPCNDNPCDRVYVQSVHSADELLEIMSKTESFIESSKTQLPVRLIVIDSIAALFRSEFDNTPVELKRRSSLFFKISSMLKALARRFNLAVLLTNQVVDMVGPNEGINGLRIGNLGCLYTSGRRVCPALGLAWANCVNSRLFLSRHEEVNREENGKLIGESGGFVCKQTRRKLYVVFAPHLPESSCEFVITREGLFGVEITAC >EOY31829 pep chromosome:Theobroma_cacao_20110822:9:15888080:15892754:-1 gene:TCM_039096 transcript:EOY31829 gene_biotype:protein_coding transcript_biotype:protein_coding description:X-ray repair cross complementing 3 (XRCC3) isoform 1 MIPQNLLQSTTQKCTTGCPILDRLLRGGIPCDSITEIVAESGSGKTQICLQLSLHAQLPVSHGGLSASALYLHTEFPFPFRRLHQLSLSFRSSNARHLPCNDNPCDRVYVQSVHSADELLEIMSKTESFIESSKTQLPVRLIVIDSIAALFRSEFDNTPVELKRRSSLFFKISSMLKALARRFNLAVLLTNQVVDMVGPNEGINGLRIGNLGCLYTSGRRVCPALGLAWANCVNSRLFLSRHEEVNREENGKLIGESGGFVCKQTRRKLYVVFAPHLPESSCEFVITREGLFGVEITAC >EOY31826 pep chromosome:Theobroma_cacao_20110822:9:15889982:15892754:-1 gene:TCM_039096 transcript:EOY31826 gene_biotype:protein_coding transcript_biotype:protein_coding description:X-ray repair cross complementing 3 (XRCC3) isoform 1 MIPQNLLQSTTQKCTTGCPILDRLLRGGIPCDSITEIVAESGSGKTQICLQLSLHAQLPVSHGGLSASALYLHTEFPFPFRRLHQLSLSFRSSNARHLPCNDNPCDRVYVQSVHSADELLEIMSKTESFIESSKTQLPVRLIVIDSIAALFRSEFDNTPVELKRRSSLFFKISSMLKALARRFNLAVLLTNQVVDMVGPNEGINGLRIGNLGCLYTSGRRVCPALGLAWANCVNSRLFLSRHEEVNREENGKLIGESGGFVCKQTRRKLYVVFAPHLPESSCEFVITREGLFGVEITAC >EOY31828 pep chromosome:Theobroma_cacao_20110822:9:15887767:15892656:-1 gene:TCM_039096 transcript:EOY31828 gene_biotype:protein_coding transcript_biotype:protein_coding description:X-ray repair cross complementing 3 (XRCC3) isoform 1 MIPQNLLQSTTQKCTTGCPILDRLLRGGIPCDSITEIVAESGSGKTQICLQLSLHAQLPVSHGGLSASALYLHTEFPFPFRRLHQLSLSFRSSNARHLPCNDNPCDRVYVQSVHSADELLEIMSKTESFIESSKTQLPVRLIVIDSIAALFRSEFDNTPVELKRRSSLFFKISSMLKALARRFNLAVLLTNQVVDMVGPNEGINGLRIGNLGCLYTSGRRVCPALGLAWANCVNSRLFLSRHEEVNREENGKLIGESGGFVCKQTRRKLYVVFAPHLPESSCEFVITREGLFGVEITAC >EOY31690 pep chromosome:Theobroma_cacao_20110822:9:12150348:12162577:-1 gene:TCM_038739 transcript:EOY31690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cofactor of nitrate reductase and xanthine dehydrogenase 2 isoform 2 MRRYVSRITDWSMPFASWAYCEAAPKTYASCCAKTIEDPLKDKDKRNPVSDMLIDGFGRMHTYLRISLTERCNLRCHYCMPAEGVELTPPPQLLSHHEILRLANLFVTSGVDKIRLTGGEPTLRKDIQDICLSLSALKGLKTLAITTNGITLARKLPMLKQCGVTSLNISLDTLVPAKFEFLTRRKGHQRVMDSINAAIDFGYNPVKVNCVVMRGFNDDEMCDFVNLTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDTVSKQFPSLKKLHDHPTETAKNFKIDGYMGTVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVKRKKASHAGMFEISKTANRPMIHIGG >EOY31691 pep chromosome:Theobroma_cacao_20110822:9:12151043:12162533:-1 gene:TCM_038739 transcript:EOY31691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cofactor of nitrate reductase and xanthine dehydrogenase 2 isoform 2 MRRYVSRITDWSMPFASWAYCEAAPKTYASCCAKTIEDPLKDKDKRNPVSDMLIDGFGRMHTYLRISLTERCNLRCHYCMPAEGVELTPPPQLLSHHEILRLANLFVTSGVDKIRLTGGEPTLRKDIQDICLSLSALKGLKTLAITTNGITLARKLPMLKQCGVTSLNISLDTLVPAKFEFLTRRKGHQRVMDSINAAIDFGYNPVKVNCVVMRGFNDDEMCDFVNLTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDTVVSQSNFQA >EOY31688 pep chromosome:Theobroma_cacao_20110822:9:12160762:12162025:-1 gene:TCM_038739 transcript:EOY31688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cofactor of nitrate reductase and xanthine dehydrogenase 2 isoform 2 MRRYVSRITDWSMPFASWAYCEAAPKTYASCCAKTIEDPLKDKDKRNPVSDMLIDGFGRMHTYLRISLTERCNLRCHYCMPAEGVELTPPPQLLSHHEILRLANLFVTSGVDKIRLTGGEPTLRKDIQDICLSLSALKGLKTLAITTNGITLARKLPMLKQCGVTSLNISLDTLVPAKFEFLTRRKGHQRVMDSINAAIDFGYNPVKVNCVVMRGFNDDEMCDFVNLTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDTVVSQSNFQA >EOY31689 pep chromosome:Theobroma_cacao_20110822:9:12150461:12166275:-1 gene:TCM_038739 transcript:EOY31689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cofactor of nitrate reductase and xanthine dehydrogenase 2 isoform 2 MRRYVSRITDWSMPFASWAYCEAAPKTYASCCAKTIEDPLKDKDKRNPVSDMLIDGFGRMHTYLRISLTERCNLRCHYCMPAEGVELTPPPQLLSHHEILRLANLFVTSGVDKIRLTGGEPTLRKDIQDICLSLSALKGLKTLAITTNGITLARKLPMLKQCGVTSLNISLDTLVPAKFEFLTRRKGHQRVMDSINAAIDFGYNPVKVNCVVMRGFNDDEMCDFVNLTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDTVSKQFPSLKKLHDHPTETAKNFKIDGYMGTVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRCGVGDEELTEIIGAAVKRKKASHAGMFEISKTANRPMIHIGG >EOY31687 pep chromosome:Theobroma_cacao_20110822:9:12150408:12162537:-1 gene:TCM_038739 transcript:EOY31687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cofactor of nitrate reductase and xanthine dehydrogenase 2 isoform 2 MRRYVSRITDWSMPFASWAYCEAAPKTYASCCAKTIEDPLKDKDKRNPVSDMLIDGFGRMHTYLRISLTERCNLRCHYCMPAEGVELTPPPQLLSHHEILRLANLFVTSGVDKIRLTGGEPTLRKDIQDICLSLSALKGLKTLAITTNGITLARKLPMLKQCGVTSLNISLDTLVPAKFEFLTRRKGHQRVMDSINAAIDFGYNPVKVNCVVMRGFNDDEMCDFVNLTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDTVSKQFPSLKKLHDHPTETAKNFKIDGYMGTVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRCGVGDEELTEIIGAAVKRKKASHAGMFEISKTANRPMIHIGG >EOY34517 pep chromosome:Theobroma_cacao_20110822:9:41348866:41351325:1 gene:TCM_042167 transcript:EOY34517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 13, putative MKKQSDTRKISWTRGKCLGKGSFGTVSLAVKESDGAVFAVKSVDLATCLPSQLESLENEVRILRSLSSPYVVGYLGDDVTTSYRNLHMEFLPGGTVVDVASFKRRLADVDERILRWQTRCLVSGLKYVHGEGIVHCDVKGKNVLVGPDFTSVKLADFGSAIEMKKGSAGDGCRSLITPRGSPLWMAPEVIRGKYQGPESDVWSLGCTVIEMVTGKPAWEDRGFNSLRQIGYSEELPELPTQLSELGKDFVDKCLRRDPNQRWSCDQLLQHPFLASASAPNTTGESSPRCVLDFAISSDFEEDENTENFEASARQRIGKFATEAGVLWESDGWVAVRSYAPESVVNCEEGTSTEYPELTRTEKETEGTSLEFYHCFARTSSSSNSTVWLYRHKEEALGSKGSSNSLRCGGWRCRRCSAGPSCRCGSQEVELAVEKADLRFSTFCNLLQLFSCKSRKFRYILLAFFKYYSFVTIFFPPFFTFLPRPQIYLLMRFRP >EOY34363 pep chromosome:Theobroma_cacao_20110822:9:40789460:40796114:1 gene:TCM_042058 transcript:EOY34363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar fusion protein MON1 A isoform 4 MSLDSSSSSSSGDEYIEENPNSNPKMVDERFEVLTLTEPVELESNHRGDGSEIENGSLNRERNGGDEDDDRLRVENQEIFGDAGPSSPSSSGYAGERGSSSATSASRIDEASEIDDNEIREVRNDGSLEGISDSQASSWVPGKRHIDEDDASISWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVKLVKAGKHQVVFLVKGPIYLVCISCTEEPFESLKGQLELIYGQMILILTKSINRCFEKNPKFDMTPLLGGTDVVFSSLIHSFSWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCKHKVISLVGAQKASLHPDDMLLLSNFVMSSESFRTSESFSPICLPRYNPMAFLYAYVHFLDVDTYLMLLTTSSDAFYHLKDCRIRIEMVLLKSNVLSEVQRSMIDGGMRVEDLPIDPLPRFGSSPHLGQQRPPTDSPERPTEPFIGIGGPAGLWHFIYRSIYLDQYVSSEFSPPLNSPRQQKRLYRAYQRLFASMHDKGIGPHKTQFRRDENCVLLCWVTQDFELYAAFDPLADKALAIKTCNRVCQWVKDVENEIFLQGASPFSW >EOY34364 pep chromosome:Theobroma_cacao_20110822:9:40789771:40795369:1 gene:TCM_042058 transcript:EOY34364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar fusion protein MON1 A isoform 4 MSLDSSSSSSSGDEYIEENPNSNPKMVDERFEVLTLTEPVELESNHRGDGSEIENGSLNRERNGGDEDDDRLRVENQEIFGDAGPSSPSSSGYAGERGSSSATSASRIDEASEIDDNEIREVRNDGSLEGISDSQASSWVPGKRHIDEDDASISWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVKLVKAGKHQVVFLVKGPIYLVCISCTEEPFESLKGQLELIYGQMILILTKSINRCFEKNPKFDMTPLLGGTDVVFSSLIHSFSWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCKHKVISLVGAQKASLHPDDMLLLSNFVMSSESFRTSESFSPICLPRYNPMAFLYAYVHFLDVDTYLMLLTTSSDAFYHLKDCRIRIEMVLLKSNVLSEVQRSMIDGGMRVEDLPIDPLPRFGSSPHLGQQRPPTDSPERPTEPFIGIGGPAGLWHFIYRSIYLDQYVSSEFSPPLNSPRQQKRLYRAYQRLFASMHDKGIGPHKTQFRRDENCVLLCWVTQDFELYAAFDPLADKALAIKTCNRVCQWVKDVENEIFLQGASPFSW >EOY34366 pep chromosome:Theobroma_cacao_20110822:9:40789593:40794759:1 gene:TCM_042058 transcript:EOY34366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar fusion protein MON1 A isoform 4 MSLDSSSSSSSGDEYIEENPNSNPKMVDERFEVLTLTEPVELESNHRGDGSEIENGSLNRERNGGDEDDDRLRVENQEIFGDAGPSSPSSSGYAGERGSSSATSASRIDEASEIDDNEIREVRNDGSLEGISDSQASSWVPGKRHIDEQAIISFVENGGDRVKLVKAGKHQVVFLVKGPIYLVCISCTEEPFESLKGQLELIYGQMILILTKSINRCFEKNPKFDMTPLLGGTDVVFSSLIHSFSWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCKHKVISLVGAQKASLHPDDMLLLSNFVMSSESFRTSESFSPICLPRYNPMAFLYAYVHFLDVDTYLMLLTTSSDAFYHLKDCRIRIEMVLLKSNVLSEVQRSMIDGGMRVEDLPIDPLPRFGSSPHLGQQRPPTDSPERPTEPFIGIGGPAGLWHFIYRSIYLDQYVSSEFSPPLNSPRQQKRLYRAYQRLFASMHDKGIGPHKTQFRRDENCVLLCWVTQDFELYAAFDPLADK >EOY34365 pep chromosome:Theobroma_cacao_20110822:9:40789590:40794968:1 gene:TCM_042058 transcript:EOY34365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar fusion protein MON1 A isoform 4 MSLDSSSSSSSGDEYIEENPNSNPKMVDERFEVLTLTEPVELESNHRGDGSEIENGSLNRERNGGDEDDDRLRVENQEIFGDAGPSSPSSSGYAGERGSSSATSASRIDEASEIDDNEIREVRNDGSLEGISDSQASSWVPGKRHIDEDDASISWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENGTPLLGGTDVVFSSLIHSFSWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCKHKVISLVGAQKASLHPDDMLLLSNFVMSSESFRTSESFSPICLPRYNPMAFLYAYVHFLDVDTYLMLLTTSSDAFYHLKDCRIRIEMVLLKSNVLSEVQRSMIDGGMRVEDLPIDPLPRFGSSPHLGQQRPPTDSPERPTEPFIGIGGPAGLWHFIYRSIYLDQYVSSEFSPPLNSPRQQKRLYRAYQRLFASMHDKGIGPHKTQFRRDENCVLLCWVTQDFELYAAFDPLADKALAIKTCNRVCQWVKDVENEIFLQGASP >EOY33375 pep chromosome:Theobroma_cacao_20110822:9:37198886:37203183:1 gene:TCM_041354 transcript:EOY33375 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-kappa-B inhibitor-like protein 2 isoform 1 MDTLSALAFITNCSSSPFTRFSPLPRLQTPPLSRRAPTVFVLKTSSHSGSESVEEDVLQMFFKDREVNGDFISKASDMLWQREVLKVVDPDAGQSADIGQQAEQVMGSDDDGGFLKLSRTQEWLLGDNSAPMNKKAIAKVSQDDSERRKKLNLLKYEALKRELMLLSVGIGTACSGYCLIVLSVQAAVSYAVGVLFSCLYLQLLYQHVDNLSKEMVPPIFLLKKLKKIGIRSEDLQEFFERSIKGSGIALSSPRLVIPAAVYGLWILSHKFLANDFFDFQLTPAMIGMFAYKAAALVQVYRDNEDLQFVFPENEEQSSD >EOY33376 pep chromosome:Theobroma_cacao_20110822:9:37198886:37203369:1 gene:TCM_041354 transcript:EOY33376 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-kappa-B inhibitor-like protein 2 isoform 1 MDTLSALAFITNCSSSPFTRFSPLPRLQTPPLSRRAPTVFVLKTSSHSGSESVEEDVLQMFFKDREVNGDFISKASDMLWQREVLKVVDPDAGQSADIGQQAEQVMGSDDDGGFLKLSRTQEWLLGDNSAPMNKKAIAKLKRELMLLSVGIGTACSGYCLIVLSVQAAVSYAVGVLFSCLYLQLLYQHVDNLSKEMVPPIFLLKKLKKIGIRSEDLQEFFERSIKGSGIALSSPRLVIPAAVYGLWILSHKFLANDFFDFQLTPAMIGMFAYKAAALVQVYRDNEDLQFVFPENEEQSSD >EOY33377 pep chromosome:Theobroma_cacao_20110822:9:37199441:37203369:1 gene:TCM_041354 transcript:EOY33377 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-kappa-B inhibitor-like protein 2 isoform 1 MDTLSALAFITNCSSSPFTRFSPLPRLQTPPLSRRAPTVFVLKTSSHSGSESVEEDVLQMFFKDREVNGDFISKASDMLWQREVLKVVDPDAGQSADIGQQAEQVMGSDDDGGFLKLSRTQEWLLGDNSAPMNKKAIAKVSQDDSERRKKLNLLKYEALKRELMLLSVGIGTACSGYCLIVLSVQLLIPSAFISTCGQPIQGNGSSDFLAKEIEKNRNKKRGFAGVL >EOY30049 pep chromosome:Theobroma_cacao_20110822:9:3193436:3197006:-1 gene:TCM_037392 transcript:EOY30049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGHAMAMFLLPMSLPQPTLISTQFYEYERILKEFTFAIQHWGSEISILMKTPQFLSYHWTFSHRSVLPPPEKIFDSSS >EOY29791 pep chromosome:Theobroma_cacao_20110822:9:2406087:2412598:1 gene:TCM_037221 transcript:EOY29791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein isoform 3 MGRAVLSQFLMLSNSAPRLSSSLSCGGLRSKYLKRPGLVRHNRAINGDNGSGFRCCCSVSLSQPATPETSSSSVKQRIVSGVQPTGAIHLGNYLGAIKNWIALQNSYDTLFFIVDLHAITLPYDTRQLSKATRNTAAIYLACGVDTSKASVFVQSHVRAHVELMWLLSSATPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDFVPVGEDQKQHLELSRELAERVNYLYGGRKWKKLGGRGGAIFKVPEPLIPPAGARVMSLSDGLSKMSKSAPSDQSRINLLDPKDVIANKIKRCKTDSFPGLEFDNPERPECNNLLSIYQIISSKTKEEVAQECQDMNWGSFKTLLTDALVDHLHPIQVRYEDIISDPAYLDGVLAEGAEKAAAIADATLNNVYQAMGFLCR >EOY29790 pep chromosome:Theobroma_cacao_20110822:9:2405740:2415064:1 gene:TCM_037221 transcript:EOY29790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein isoform 3 MGRAVLSQFLMLSNSAPRLSSSLSCGGLRSKYLKRPGLVRHNRAINGDNGSGFRCCCSVSLSQPATPETSSSSVKQRIVSGVQPTGAIHLGNYLGAIKNWIALQNSYDTLFFIVDLHAITLPYDTRQLSKATRNTAAIYLACGVDTSKASVFVQSHVRAHVELMWLLSSATPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDFVPVGEDQKQHLELSRELAERVNYLYGGRKWKKLGGRGGAIFKVPEPLIPPAGARVMSLSDGLSKMSKSAPSDQSRINLLDPKDVIANKIKRCKTDSFPGLEFDNPERPECNNLLSIYQIISSKTKEEVAQECQDMNWGSFKTLLTDALVDHLHPIQVRYEDIISDPAYLDGVLAEGAEKAAAIADATLNNVYQVHEFFDGVASTSPLQTASPAGLCNLTDCLDDA >EOY29789 pep chromosome:Theobroma_cacao_20110822:9:2406092:2414998:1 gene:TCM_037221 transcript:EOY29789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein isoform 3 MGRAVLSQFLMLSNSAPRLSSSLSCGGLRSKYLKRPGLVRHNRAINGDNGSGFRCCCSVSLSQPATPETSSSSVKQRIVSGVQPTGAIHLGNYLGAIKNWIALQNSYDTLFFIVDLHAITLPYDTRQLSKATRNTAAIYLACGVDTSKASVFVQSHVRAHVELMWLLSSATPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDFVPVGEDQKQHLELSRELAERVNYLYGGRKWKKLGGRGGAIFKVPEPLIPPAGARVMSLSDGLSKMSKSAPSDQSRINLLDPKDVIANKIKRCKTDSFPGLEFDNPERPECNNLLSIYQIISSKTKEEVAQECQDMNWGSFKTLLTDALVDHLHPIQVRYEDIISDPAYLDGVLAEGAEKAAAIADATLNNVYQVHEFFDGVASTSPLQTASPAGLCNLTDCLDDA >EOY32117 pep chromosome:Theobroma_cacao_20110822:9:21377087:21378581:1 gene:TCM_039626 transcript:EOY32117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin C4 MAVKILIASILVISASLSWVSLADSPEAAFVKKTISAHKIVIFSKSYCPYCRRAKSVFKELNQVPFVVELDERDDGWNIQDALSEIVGRRTVPQVFINGKHIGGSDDTVEAYQSGKLAKLLGIDIKLKDDL >EOY30931 pep chromosome:Theobroma_cacao_20110822:9:6333520:6341043:1 gene:TCM_037973 transcript:EOY30931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stabilizer of iron transporter SufD / Polynucleotidyl transferase isoform 3 MASQLHEMPHMHMRDLKENHVVSREPLKSSMNQKKSPQMIIKSGDRFEDGKKSLGRKNGRRRVRGHKVDRLQDAKLESSMGSIPCKSLMFHRRPGYGQLGTKCMVKANHFLAEIPGSDLSHYSVEINPEVASRKLNKAIMTELVKLHRNSDLGMRLPVFDGRKNLYTAGSLPFTSKEFNVILADDDEGTGNARRREFKVTIKFVALASMAQLSNLLSGIQVDTPQEALNIIDTVLRELAAQRYISVGRFLYSPHIKKPQALGGGLESWRGFYQSIRPTQMGLSLNIDMSTTAFIEPLPVIDFVAQILGKDVYSRPMSDADRVKVKKALRGVKVEVTHRGNVRRKYRVSGLTTQPTRELSFPLDEHMNMKSVVEYFQEMYGYTIRYAHLPCLQVGNEKKVNYLPMEACKIVEGQRYTKGLNEKQITSLLKVSCQRPREQELDILQTVHQNNYDQDPYAKEFGISIDSKLASIEARVLPAPWLKYNDTGKEKEYLPQVGQWNMMNKKVINGSTVRYWACINFSRSVQESTAHGFCQELVQMCQVSGMEFNRDPVIPIYSARPDQVKKALKYVYHAAENKLEGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISRQYLANLSLKINVKMGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDSSPSIAAVVASQDWPEVTKYAGLVCAQPHRQELIQDLFKTWQDPQRGTVTGGMIRELLLAFKKATGQKPLRIIFYREMVSVKASSTRFYCMNLMPYGRPVHHWNLLTNLQLHSLWFKRGITLGCLQAITVTEAALRRVGIYYLGRWWTLRSVIQLSLTSICVVMRESSRGQVDLLTIMFCGMKITSQRMRSSL >EOY30930 pep chromosome:Theobroma_cacao_20110822:9:6333388:6341239:1 gene:TCM_037973 transcript:EOY30930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stabilizer of iron transporter SufD / Polynucleotidyl transferase isoform 3 MASQLHEMPHMHMRDLKENHVVSREPLKSSMNQKKSPQMIIKSGDRFEDGKKSLGRKNGRRRVRGHKVDRLQDAKLESSMGSIPCKSLMFHRRPGYGQLGTKCMVKANHFLAEIPGSDLSHYSVEINPEVASRKLNKAIMTELVKLHRNSDLGMRLPVFDGRKNLYTAGSLPFTSKEFNVILADDDEGTGNARRREFKVTIKFVALASMAQLSNLLSGIQVDTPQEALNIIDTVLRELAAQRYISVGRFLYSPHIKKPQALGGGLESWRGFYQSIRPTQMGLSLNIDMSTTAFIEPLPVIDFVAQILGKDVYSRPMSDADRVKVKKALRGVKVEVTHRGNVRRKYRVSGLTTQPTRELSFPLDEHMNMKSVVEYFQEMYGYTIRYAHLPCLQVGNEKKVNYLPMEACKIVEGQRYTKGLNEKQITSLLKVSCQRPREQELDILQTVHQNNYDQDPYAKEFGISIDSKLASIEARVLPAPWLKYNDTGKEKEYLPQVGQWNMMNKKVINGSTVRYWACINFSRSVQESTAHGFCQELVQMCQVSGMEFNRDPVIPIYSARPDQVKKALKYVYHAAENKLEGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISRQYLANLSLKINVKMGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDSSPSIAAVVASQDWPEVTKYAGLVCAQPHRQELIQDLFKTWQDPQRGTVTGGMIRELLLAFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLESTYQPPVTFIVVQKRHHTRLFASNHSDRSSTEKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYIEPEATENAKGRCTRTSNGSSVRPLPALKERVKNVMFYC >EOY30932 pep chromosome:Theobroma_cacao_20110822:9:6333532:6340444:1 gene:TCM_037973 transcript:EOY30932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stabilizer of iron transporter SufD / Polynucleotidyl transferase isoform 3 MASQLHEMPHMHMRDLKENHVVSREPLKSSMNQKKSPQMIIKSGDRFEDGKKSLGRKNGRRRVRGHKVDRLQDAKLESSMGSIPCKSLMFHRRPGYGQLGTKCMVKANHFLAEIPGSDLSHYSVEINPEVASRKLNKAIMTELVKLHRNSDLGMRLPVFDGRKNLYTAGSLPFTSKEFNVILADDDEGTGNARRREFKVTIKFVALASMAQLSNLLSGIQVDTPQEALNIIDTVLRELAAQRYISVGRFLYSPHIKKPQALGGGLESWRGFYQSIRPTQMGLSLNIDMSTTAFIEPLPVIDFVAQILGKDVYSRPMSDADRVKVKKALRGVKVEVTHRGNVRRKYRVSGLTTQPTRELSFPLDEHMNMKSVVEYFQEMYGYTIRYAHLPCLQVGNEKKVNYLPMEACKIVEGQRYTKGLNEKQITSLLKVSCQRPREQELDILQTVHQNNYDQDPYAKEFGISIDSKLASIEARVLPAPWLKYNDTGKEKEYLPQVGQWNMMNKKVINGSTVRYWACINFSRSVQESTAHGFCQELVQMCQVSGMEFNRDPVIPIYSARPDQVKKALKYVYHAAENKLEGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISRQYLANLSLKINVKMGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDSSPSIAAACASLESTYQPPVTFIVVQKRHHTRLFASNHSDRSSTEKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSV >EOY34443 pep chromosome:Theobroma_cacao_20110822:9:41111386:41116689:1 gene:TCM_042123 transcript:EOY34443 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MAELAKVLYIVVVDEGEKREKETSSFRYTRPVLQSTLQLMGCKARHAFKISQRVFALIRSESSYNSLLQEGSETLNSDGLRGNSEKEDVCPANGDRNKSIPFELYKRRTSVVVKRETFLDVVCDALAEYKYVGPNQRADLILACRIRERKESVTVLLCGTSGCGKSTLSALLGSRLGVTTVISTDSIRHMMRSFVNEKQNPLLWASTYHAGECLDPVAVAEAKAKKKAKKLAGTTQSLPKGEQADGSSAGKSNAQPMENGSTSTELISLKQMAVEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRADKHLVPKINNTNVDKSVAAIHATVFSCLRRREAGEPLYDSITNTVAVVDEEYRNQCAANSLSSKGMFQLIQRNGSSRQLMALLNTDGSVAKAWPVESVDGNGRSISGHGAEGGIGIPLYGPLIIGKAEPVNLQFGHFGISAWPSDGGTSRAGSVDESRCDGTDNGSRYQSSCCSSPRMSDGPAKELKEENSVYGSDEEVDDPPEADSDEDFSDDGDKQVHEEVGSVDEGSTKSDEEYDDLAMQDVQENGYWSDDDEDRRDKVVTMSGDQATHTRADKYNKNLDLFLRSRSEQLSEPLCSYSSLLMERNKRGLAPFGNVKMRKRSLSIPAIGKHGSIISDPILSGAPQR >EOY34444 pep chromosome:Theobroma_cacao_20110822:9:41111687:41115212:1 gene:TCM_042123 transcript:EOY34444 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MAELAKVLYIVVVDEGEKREKETSSFRYTRPVLQSTLQLMGCKARHAFKISQRVFALIRSESSYNSLLQEGSETLNSDGLRGNSEKEDVCPANGDRNKSIPFELYKRRTSVVVKRETFLDVVCDALAEYKYVGPNQRADLILACRIRERKESVTVLLCGTSGCGKSTLSALLGSRLGVTTVISTDSIRHMMRSFVNEKQNPLLWASTYHAGECLDPVAVAEAKAKKKAKKLAGTTQSLPKGEQADGSSAGKSNAQPMENGSTSTELISLKQMAVEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRADKHLVPKINNTNVDKSVAAIHATVFSCLRRREAGEPLYDSITNTVAVVDEEYRNQCAANSLSSKGMFQLIQRNGSSRQLMALLNTDGSVAKAWPVESVDGNGRSISGHGAEGGIGIPLYGP >EOY32833 pep chromosome:Theobroma_cacao_20110822:9:34002845:34006626:1 gene:TCM_040857 transcript:EOY32833 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAX interacting protein 1 isoform 2 MALRWATAVSSLHSSQAEAVFLELSCSKTLYPSQRTFTFRPNSTKRLFLPLHNLSSTARIASFRCFSSALTPDLKNALDKVVTSNKVVLFMKGTKDFPQCGFSNTVVQILNSLNVPFETINILDNEMLRLGLKEYSNWPTFPQLYIEGEFFGGCDITVEAFENGQLQELLEKAMCS >EOY32834 pep chromosome:Theobroma_cacao_20110822:9:34002845:34006978:1 gene:TCM_040857 transcript:EOY32834 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAX interacting protein 1 isoform 2 MALRWATAVSSLHSSQAEAVFLELSCSKTLYPSQRTFTFRPNSTKRLFLPLHNLSSTARIASFRCFSSALTPDLKNALDKVVTSNKVVLFMKGTKDFPQCGFSNTVVQILNSLNVPFETINILDNEMLRLGLKEYSNWPTFPQLYIEGEFFGGCDITVGDFKGINLWIFAGAGARTPTLQGFQVSVLSFPSPARVVPSTRFCYCLSV >EOY32832 pep chromosome:Theobroma_cacao_20110822:9:34002864:34006976:1 gene:TCM_040857 transcript:EOY32832 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAX interacting protein 1 isoform 2 MALRWATAVSSLHSSQAEAVFLELSCSKTLYPSQRTFTFRPNSTKRLFLPLHNLSSTARIASFRCFSSALTPDLKNALDKVVTSNKVVLFMKGTKDFPQCGFSNTVVQILNSLNVPFETINILDNEMLRLGLKEYSNWPTFPQLYIEGEFFGGCDITVEAFENGQLQELLEKAMCS >EOY32831 pep chromosome:Theobroma_cacao_20110822:9:33988280:34006593:1 gene:TCM_040857 transcript:EOY32831 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAX interacting protein 1 isoform 2 MRIKVVLRKGKRKRKNKCSVVVRGEWTRMALRWATAVSSLHSSQAEAVFLELSCSKTLYPSQRTFTFRPNSTKRLFLPLHNLSSTARIASFRCFSSALTPDLKNALDKVVTSNKVVLFMKGTKDFPQCGFSNTVVQILNSLNVPFETINILDNEMLRLGLKEYSNWPTFPQLYIEGEFFGGCDITVEAFENGQLQELLEKAMCS >EOY31400 pep chromosome:Theobroma_cacao_20110822:9:8523683:8527180:-1 gene:TCM_038332 transcript:EOY31400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKGNAHAIGFSKAQLYCGTPAQTVSTPPGTVHNTKLCLCPYLYMNLIPATPCQVSGFLSSLFVKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPMHALRRGFEDHKSGSNQWGCAWMHAQAVEAGAQWNSMFDAGAYLCKYRPCYNNNESWNDNSLKSQEVNLGICHGASTYTWLENINHKKLQITGIESPSNRAGFLLQSDATLDAS >EOY34052 pep chromosome:Theobroma_cacao_20110822:9:39799481:39802595:-1 gene:TCM_041851 transcript:EOY34052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-11 isoform 1 MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETAKTSSAIEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >EOY34051 pep chromosome:Theobroma_cacao_20110822:9:39799499:39802617:-1 gene:TCM_041851 transcript:EOY34051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-11 isoform 1 MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETAKTSSAIEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >EOY34053 pep chromosome:Theobroma_cacao_20110822:9:39799716:39802519:-1 gene:TCM_041851 transcript:EOY34053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-11 isoform 1 MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETAKTSSAIEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >EOY34470 pep chromosome:Theobroma_cacao_20110822:9:41231741:41232991:-1 gene:TCM_042144 transcript:EOY34470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWVNAGWNDNADSITDLYRFLAVGISQQRNGNTRPLTIWERPRAGTVKFNVDGVVDGCPVKRGLVDYLGMKTEREAFILFSNSVWASSHSLSIESDPQNVIRWIKEPSKTPWRLRKWMLHIEVLKKRVNDWQIRHTLREGNKGADLLAKEGVGREVDLVELYYPR >EOY32167 pep chromosome:Theobroma_cacao_20110822:9:22579193:22595265:1 gene:TCM_039745 transcript:EOY32167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLAQPLKHSMVGKFSRMPRLNEILADFKGVRLVGAYEIRWLDYKHILIHLKNEHDLNRLWTRQVWFIANQKMRVFTWSPNFQSEKESSLAPPHLGPAAMVSTFQVDDGLVNSSKQRSNDVGAMSTQAWMEDSWRTRSDTQESVENDGNHDFIQWAVENIDHERQESDKQSTGNVSAATTATCTCEMRVINDCSLAILVKEHCGLNRENRSVLSHAYVPHANNEGYSVRDQHPKASRLIAAGIKEPWLARGEFNVILSRDERLLGADPNTGSMEDFAPTLLDCCLIDEESLPKKAKKSYPTSNDRGA >EOY31261 pep chromosome:Theobroma_cacao_20110822:9:7874615:7875419:-1 gene:TCM_038231 transcript:EOY31261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-rich protein-related MASKNASSSSSKSPTSRTCLCSPTTHPGSFRCNLHRNFNKPPGRTRVVRVSPNHWELAVIAKANSIKAILLQIIKPSSHDMQRRRNFQPKPSRFCLLNGNRNGFGVAVT >EOY31260 pep chromosome:Theobroma_cacao_20110822:9:7863273:7865101:-1 gene:TCM_038230 transcript:EOY31260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-rich protein-related MAVSSRRSSGPVLRSLSPSGRFSSHYASQSPSSSSSAFAYSSSSFSSRSSTFFNQHRSISPPRVNLYNHSQSASSVRFSLDNRPISPNRSITTVRRNTEALKNLQSKQPKRTCMCSPTTHPGSFRCSLHKGFNSSHAVTSYAPSNRLNARRSAMTNSLVRIGGVEGDLVKRALSALIRPSSHQQRRRAAFQPRPSRLSLMSKAEDL >EOY29624 pep chromosome:Theobroma_cacao_20110822:9:1789398:1790453:-1 gene:TCM_037115 transcript:EOY29624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTENEIQEKNILRNVPEGCKIIFKESQKRLCHSCFSTVTPSFLPSTSTLGSCISAVWASSGSKSLFLQPDMLCSPSFLRFETAPDGTFVVASLILISALLPI >EOY34442 pep chromosome:Theobroma_cacao_20110822:9:41105146:41108489:1 gene:TCM_042122 transcript:EOY34442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPGPFQDSVSKGFSACTFVYHDKQVWQQRTKSPDASQAEKPLCLTSAGVASSKLLAIKRYEHVDYRQILSSFLSDNFFLHGKIRFIYAK >EOY29927 pep chromosome:Theobroma_cacao_20110822:9:2838189:2840528:1 gene:TCM_037308 transcript:EOY29927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock transcription factor A2 isoform 1 MEGVVIKEEETVTFAAGTAGLSSSSSSSSSSSSSSPSSSSKVTPQPMEGLNEVGPPPFLTKTFEMVEDPSTDSVVSWSKARNSFIVWDSHKFSTTLLPRYFKHSNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQKHLLKTIKRRRNILQSSQQQGEGACVELGQFGLEGKLERLRRDRTVLLAEIVRLRQQQQHSRDQIVAMEDRLQSTERKQQQIMTFLAKALRNPTFFQQFAQKKQMQGVEIGRKRRLTANPSIENLQEEAIPVVVDTEQVLNYANQEQEELATIESEIETFFTSALDNESSSEIKYPITSSEPTSGGANLGSVNDTIWEEFISDDLIAVEQEEEIVVGDQAEVDVEVEDLAVNPEDWGDDLQDLVDQMGYLRSNP >EOY29926 pep chromosome:Theobroma_cacao_20110822:9:2838853:2840516:1 gene:TCM_037308 transcript:EOY29926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock transcription factor A2 isoform 1 FIRQLNTYGFRKVDPDRWEFANEGFLGGQKHLLKTIKRRRNILQSSQQQGEGACVELGQFGLEGKLERLRRDRTVLLAEIVRLRQQQQHSRDQIVAMEDRLQSTERKQQQIMTFLAKALRNPTFFQQFAQKKQMQGVEIGRKRRLTANPSIENLQEEAIPVVVDTEQVLNYANQEQEELATIESEIETFFTSALDNESSSEIKYPITSSEPTSGGANLGSVNDTIWEEFISDDLIAVEQEEEIVVGDQAEVDVEVEDLAVNPEDWGDDLQDLVDQMGYLRSNP >EOY29925 pep chromosome:Theobroma_cacao_20110822:9:2838530:2840177:1 gene:TCM_037308 transcript:EOY29925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock transcription factor A2 isoform 1 MEGVVIKEEETVTFAAGTAGLSSSSSSSSSSSSSSPSSSSKVTPQPMEGLNEVGPPPFLTKTFEMVEDPSTDSVVSWSKARNSFIVWDSHKFSTTLLPRYFKHSNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQKHLLKTIKRRRNILQSSQQQGEGACVELGQFGLEGKLERLRRDRTVLLAEIVRLRQQQQHSRDQIVAMEDRLQSTERKQQQIMTFLAKALRNPTFFQQFAQKKQMQGVEIGRKRRLTANPSIENLQEEAIPVVVDTEQVLNYANQEQEELATIESEIETFFTSALDNESSSEIKYPITSSEPTSGGANLGSVNDTIWEEFISDDLIAVEQEEEIVVGDQAEVDVEVEDLAVNPEDWGDDLQDLVDQMGYLRSNP >EOY29928 pep chromosome:Theobroma_cacao_20110822:9:2838189:2841020:1 gene:TCM_037308 transcript:EOY29928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock transcription factor A2 isoform 1 MEGVVIKEEETVTFAAGTAGLSSSSSSSSSSSSSSPSSSSKVTPQPMEGLNEVGPPPFLTKTFEMVEDPSTDSVVSWSKARNSFIVWDSHKFSTTLLPRYFKHSNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQKHLLKTIKRRRNILQSSQQQGEGACVELGQFGLEGKLERLRRDRTVLLAEIVRLRQQQQHSRDQIVAMEDRLQSTERKQQQIMTFLAKALRNPTFFQQFAQKKQMQGVEIGRKRRLTANPSIENLQEEAIPVVVDTEQVLNYANQEQEELATIESEIETFFTSALDNESSSEIKYPITSSEPTSGGANLGSVNDTIWEEFISDDLIAVEQEEEIVVGDQAEVDVEVEDLAVNPEDWGDDLQDLVDQMGYLRRQKFMGNLFNP >EOY32965 pep chromosome:Theobroma_cacao_20110822:9:34680522:34683871:1 gene:TCM_040977 transcript:EOY32965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+ antiporter/cation exchanger isoform 2 MGMSKTEVNFRRLLAAAPQQQNHSKLVHYVATLRELLEKLAEEKTPEGLPRVSKAVVNDYSAKIEEIASKPDIKVPQEPGTRNSFKQSPNSDAESHMPSSPGLRRRAVPTSSIKDRTQDITEAHTSAPVKLDAAAEAHIQKHRKLQEDLTDEMVGLARQLKERSLMMSQSLENTEKILDSTEKAVERSLASTGHANVRAMKIHDMYFYHGCALDTCNMKIFL >EOY32963 pep chromosome:Theobroma_cacao_20110822:9:34680486:34683973:1 gene:TCM_040977 transcript:EOY32963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+ antiporter/cation exchanger isoform 2 MGMSKTEVNFRRLLAAAPQQQNHSKLVHYVATLRELLEKLAEEKTPEGLPRVSKAVVNDYSAKIEEIASKVTATLPDIKVPQEPGTRNSFKQSPNSDAESHMPSSPGLRRRAVPTSSIKDRTQDITEAHTSAPVKLDAAAEAHIQKHRKLQEDLTDEMVGLARQLKERSLMMSQSLENTEKILDSTEKAVERSLASTGHANVRAMKIYSETSKTTCFQWLLIFAMTCIFIMVVLLIRVT >EOY32964 pep chromosome:Theobroma_cacao_20110822:9:34680522:34683871:1 gene:TCM_040977 transcript:EOY32964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+ antiporter/cation exchanger isoform 2 MGMSKTEVNFRRLLAAAPQQQNHSKLVHYVATLRELLEKLAEEKTPEGLPRVSKAVVNDYSAKIEEIASKVTATLPDIKVPQEPGTRNSFKQSPNSDAESHMPSSPGLRRRAVPTSSIKDRTQDITEAHTSAPVKLDAAAEAHIQKHRKLQEDLTDEMVGLARQLKERSLMMSQSLENTEKILDSTEKAVERSLASTGHANVRAMKIHDMYFYHGCALDTCNMKIFL >EOY32966 pep chromosome:Theobroma_cacao_20110822:9:34680522:34683871:1 gene:TCM_040977 transcript:EOY32966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+ antiporter/cation exchanger isoform 2 MGMSKTEVNFRRLLAAAPQQQNHSKLVHYVATLRELLEKLAEEKTPEGLPRVSKAVVNDYSAKIEEIASKPDIKVPQEPGTRNSFKQSPNSDAESHMPSSPGLRRRAVPTSSIKDRTQDITEAHTSAPVKLDAAAEAHIQKHRKLQEDLTDEMVGLARQLKERSLMMSQSLENTEKILDSTEKAVERSLASTGHANVRAMKIYSETSKTTCFQWLLIFAMTCIFIMVVLLIRVT >EOY30514 pep chromosome:Theobroma_cacao_20110822:9:4849102:4851955:1 gene:TCM_037700 transcript:EOY30514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane MKQILVLLVSVLLLSTWVPVSLCAKKPVAVARKEDIPYIKCQVCEKLASQLYQQVQTKQAQISPKKISEYQIIEIAENVCNLKKEEADWILKIDIVEQGNKLELIEQDAEGICNSECKTIERACQEVMGYSDTDVAEYIYTSKPDIESLANYLCKDLAKACNSKPPPPPKDRTPGEPFVPKPTKEAEMEKMLRSMEGMPGAPSMKMYSREELMNMKNLGEDADDENDDDDDDEEFPSNLGKLLRDKESRKGDWKQRISKGIKNASESLKKHATKVSNRVQRWWRGINAARSKASKAEL >EOY32318 pep chromosome:Theobroma_cacao_20110822:9:26896724:26904908:-1 gene:TCM_040090 transcript:EOY32318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRYKCMVMKYSYPLARPLLESYVNNFMYEIMMMAREPIWTPKGADDVNVYIIVHYDSLTVYASLGPCIGHNPSKKWDVTTTMDQWGTIKKRKP >EOY31802 pep chromosome:Theobroma_cacao_20110822:9:14132961:14134521:1 gene:TCM_038936 transcript:EOY31802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglycosylase 6 MTSFSCSSNVSLTLLISVCVSASIIASAGNFYQDFDITWGDGRAQILNNGELLTLSLDKASGSGFQSKNEFLFGKIGMQLKLVPGNSAGTVTAYYLRSEGSAWDEIDFEFLGNLSGDPYILHTNVFSLGKGDREQQFYLWFDPTAAFHTYSILWNPQRIIFSVDGTPIREFKNLESMGVPFPKNQPMRIQSSLWNADDWATRGGLVKTDWSQAPFTATYRNFSADACIRSSGQSSVCNSNSPAANNNNAWLSEELDSTAQERLKWVQKNYMIYNYCSDTKRFPQGLPPECAANNTS >EOY32794 pep chromosome:Theobroma_cacao_20110822:9:33571990:33576418:-1 gene:TCM_040809 transcript:EOY32794 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain-containing protein, putative MASHFLLIFALLNLAFAGSHGALPEEVYWKSVFPNSPMPKALKDILPPAGSKSPSIDGYGVPSKESKDQGCTFVFYGYGDPSKEFQRINSPRSKECITNAHNSETGTSHLNNHGYGLPSKEFKGKDGVPSKKSTDQGGVFVFYGYGDPSKEFESINSPRSTSDSSHNNHGDGAPSKKFKGKMYSLGGKQDTFVDSNLNRELKLDNTAINETIYFFQKDLRPGKMVNLPLLIKTKDMTPFLPFQVAKSIPFSSDKLSCERVAINGEEKYCATSLESFVDLGVSKLGKNIQLLSTELGKETENPFFTIQVYKVPLVGIDGTKADAVAVCHKDISGWNPMHSAFQILKVKPGTVPICHFLMRDTLAWVSKVNR >EOY30649 pep chromosome:Theobroma_cacao_20110822:9:5275716:5281181:-1 gene:TCM_037784 transcript:EOY30649 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein MRSLPFLLRTLSRHYLHSQTPSPPHLTFLHRSMATSATAEPISPSNTRLGWIGTGVMGRSMCAHLINAGYTLTVFNRTLSKAQPLVDMGARLAQTPHDLAAQSDVVFSIVGYPTDVRQVLLDPTNGALSGLSPGGVLVDMTTSEPSLAVEISAAASSKNCSSIDAPVSGGDRGAKNGTLAIFAGGDEAIVRRLNPLFTLMGKVNYMGPSGKGQFAKLANQITIASTMVGLVEGIVYAHKAGLNVASFLDAISTGAAGSKSLDLYGSRILKRDFEPGFFVNHFVKDLGICLKECQNMGLALPGLALAQQLYLSLKAHGEGNLGTQALILALERLNNVTLDS >EOY31319 pep chromosome:Theobroma_cacao_20110822:9:8103786:8108164:-1 gene:TCM_038270 transcript:EOY31319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 1 MAAPLSPIPFPPLLSSSSTTLTRRSLLLSSTFSTALNTPSLSSSSFSPPLDTAITDRVFLDFSLCPTYFRSTVTNTSTTAAAADTPLCTDPTPLGRIVLGLYGNLLPLTVSTFKLMCTSSSYKNTLVHKVFPGQFFQAGRQGRSREYGEVHLLPALDLPLNTETVDSKAFLLRHSRAGVVSLCLSENDDDDEVKLGSDYRNVEFLITTGPGPCPQLDNNNIVFGTVLEGLDVVTAIASIPTYKPSERIRLLNDLAEFFGDERAQKGRTLWNRPLKTVYISDCGEVQVTKPSMSPTLP >EOY31318 pep chromosome:Theobroma_cacao_20110822:9:8103818:8111189:-1 gene:TCM_038270 transcript:EOY31318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 1 MAIGAAKTMLRCVVEGSLAIYEIEIQRRPYHRNCGCALHNLQGVCSSACFRTRNISFPKRQAWSDCSLSIGAAPKFSSQSSLSSPEWAPRPTLPLTTNHCYQLPSLSLPSPTSHVFSTLMAAPLSPIPFPPLLSSSSTTLTRRSLLLSSTFSTALNTPSLSSSSFSPPLDTAITDRVFLDFSLCPTYFRSTVTNTSTTAAAADTPLCTDPTPLGRIVLGLYGNLLPLTVSTFKLMCTSSSYKNTLVHKVFPGQFFQAGRQGRSREYGEVHLLPALDLPLNTETVDSKAFLLRHSRAGVVSLCLSENDDDDEVKLGSDYRNVEFLITTGPGPCPQLDNNNIVFGTVLEGLDVVTAIASIPTYKPSERIRLLNDLAEFFGDERAQKGRTLWNRPLKTVYISDCGEVQVTKPSMSPTLP >EOY31565 pep chromosome:Theobroma_cacao_20110822:9:9636231:9637546:-1 gene:TCM_038490 transcript:EOY31565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKLNSLFLLMLFLSATAIAAFEANISNKEIAFPAPQPTSDQVKFMRECKSLMSAECGREIFSYLFQNVSVSLECCLDLVRMGWHCHSAFVETIFTMPQYKPNAFAGIARSYQIWDDCIIHFAPNA >EOY32256 pep chromosome:Theobroma_cacao_20110822:9:24973378:24984079:-1 gene:TCM_039935 transcript:EOY32256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEHIYLSLLLLFVSFVTLSLFVLFYRHKSNYSAPNLPPGKPGLPIVGESLEFLSTGWKGHPEKFIFDRIAKYSSQVFKTNILGEPAAVFCGAAGNKFLFSNENKLVTAWWPSSVDKIFPSSLQTSSKEESKKMRKLLPQFLKPEALQRYIGIMDTIAQRHFASGWENKDEVVVFPLAKRYTFWLACRLFLSIEDPNHVAKFEGPFHLLASGIISLPIDLPGTPFNRGIKASNFIRKELVKIIKQRKVDLAEGKASPTQDILSHMLLTSDENGQFMNEMDIADKILGLLIGGHDTASAACTFIVKYLAELPHMYEEVYKEQIEIANSKAPGELLNWDDIQKMKYSWNVACEVLRLAPPLQGAFREAINDFIFNGFSIPKGWKLYWSANSTHRNPECFPDPLKFDPSRFEGNGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHNLVKRFKWEKLLPDEKIIVDPMPMPAKGLPVHLYPHKA >EOY34068 pep chromosome:Theobroma_cacao_20110822:9:39846302:39848270:-1 gene:TCM_041860 transcript:EOY34068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCLAYSNLASKSGSKAQAFVFDGDLNLNLALHFKFYFLGVSAGLAVVPFHIQPQQPGRETGVVHSSWQKAISPGPCVKGEALSRRARQKLFSTQEPAAAGASSTPK >EOY32270 pep chromosome:Theobroma_cacao_20110822:9:25557330:25561104:1 gene:TCM_039969 transcript:EOY32270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLKIFVVVVGLVAAAQISLASSVPAFLWSPGFSNIEVKESVNYQIISPKDLANSVLSQGRWSDLLCSGRKHEHAVDLAIVFVGRELHSSDVAGNKHVDPALVNLLKVSFTRSNFSMAFPYVAASEEETMENLLFSGFMEACGHGLGVSNVAFSESCSIEGGDFQKLANLHSVQEHLVSRMEKRRKGETDLVVLCHGGSHSLKELGLKRPESEIMSEVISSVELSGTKYAALYVSDPFESIHYPAYRELERFLAEGTAGNEGNDSAHSTDCDEVCKIKSSLLEGVFVGIVLLLILISGLCCMMGIDTPTRFEAPQDN >EOY32114 pep chromosome:Theobroma_cacao_20110822:9:21195083:21217312:1 gene:TCM_039616 transcript:EOY32114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited Ca(2+)-ATPase 10 isoform 1 MSSLFKGSPYRRPNDVEAGSSRSVHSDNEDDEFSAGPFDITSTKNAPIERLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFQQAGERVNGIPIPHPPAGGDFGIGPEQLASVTRDHNLNALQEYGGANGLSELLKTNLEKGIHGDDTDLLKRRNAFGSNTYPRKKGRSFWRFVWEACQDLTLIILVVAAVASLALGIKTEGPKEGWYDGGSIAFAVILVIVVTAISDYKQSLQFQKLDEEKRNIHLEVVRGGRRVEISIYDIVVGDVVPLNIGDQVPADGILISGHSLAIDESSMTGESDIVHKDTKQPFLMSGCKVADGSGIMLVTGVGVNTEWGLLMANLSEDTGEETPLQVRLNGVATFIGFVGLSVAFAVLVVLLVRYFTGHTKDESGKKQFVAGKTSGGDAVDGAIKIITVAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGGRKIDPPDSSSELPDMLTLLLVEAVAVNANGSVFTPDGGGDVEVSGSPTEKAILNWAIKLGMNFDAVRSGSSIVHVFPFNSEKKRGGVAIRLPDSKVHIHWKGAAEIVLAACSWYLDTDDGVVAMDEEKVAFFEKAIESMAAGSLRCVAIAYRSYESEKVPTNEEELARWALPEDDLVLLAIVGLKDPCRPGVQDSVQLCQKAGVKVRMVTGDNVKTAKAIALECGILHSDVDASEPYLIEGKAFRALSDMQREEVAEKICVMGRSSPNDKLLLVQALRKRGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKENSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLIIQAVYQVSVLLVLNFQGKKILHLDDQSREHASKVKNTLIFNAFVLCQIFNEFNARKPDEMNIFKGLSRNYLFIGIVAITVVLQVVIVEFLGKFAKTVQLNWKLWLISIAIGIVSWPLALLGKLIPVPETPVSKFFSRKYHGRKNRSNREKA >EOY32115 pep chromosome:Theobroma_cacao_20110822:9:21189924:21217041:1 gene:TCM_039616 transcript:EOY32115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited Ca(2+)-ATPase 10 isoform 1 MSSLFKGSPYRRPNDVEAGSSRSVHSDNEDDEFSAGPFDITSTKNAPIERLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFQQAGERVNGIPIPHPPAGGDFGIGPEQLASVTRDHNLNALQEYGGANGLSELLKTNLEKGIHGDDTDLLKRRNAFGSNTYPRKKGRSFWRFVWEACQDLTLIILVVAAVASLALGIKTEGPKEGWYDGGSIAFAVILVIVVTAISDYKQSLQFQKLDEEKRNIHLEVVRGGRRVEISIYDIVVGDVVPLNIGDQVPADGILISGHSLAIDESSMTGESDIVHKDTKQPFLMSGCKVADGSGIMLVTGVGVNTEWGLLMANLSEDTGEETPLQVRLNGVATFIGFVGLSVAFAVLVVLLVRYFTGHTKDESGKKQFVAGKTSGGDAVDGAIKIITVAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGGRKIDPPDSSSELPDMLTLLLVEAVAVNANGSVFTPDGGGDVEVSGSPTEKAILNWAIKLGMNFDAVRSGSSIVHVFPFNSEKKRGGVAIRLPDSKVHIHWKGAAEIVLAACSWYLDTDDGVVAMDEEKVAFFEKAIESMAAGSLRCVAIAYRSYESEKVPTNEEELARWALPEDDLVLLAIVGLKDPCRPGVQDSVQLCQKAGVKVRMVTGDNVKTAKAIALECGILHSDVDASEPYLIEGKAFRALSDMQREEVAEKICVMGRSSPNDKLLLVQALRKRGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKENSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLIIQAVYQVSVLLVLNFQGKKILHLDDQSREHASKVKNTLIFNAFVLCQIFNEFNARKPDEMNIFKGLSRNYLFIGIVAITVVLQVVIVEFLGKFAKTVQLNWKLWLISIAIGIVSWPLALLGKLIPVPETPVSKFFSRKYHGRKNRSNREKA >EOY32113 pep chromosome:Theobroma_cacao_20110822:9:21195083:21217312:1 gene:TCM_039616 transcript:EOY32113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autoinhibited Ca(2+)-ATPase 10 isoform 1 MSSLFKGSPYRRPNDVEAGSSRSVHSDNEDDEFSAGPFDITSTKNAPIERLRRWRQAALVLNASRRFRYTLDLKKEEEKKQILRKIRAHAQAIRAAYLFQQAGERVNGIPIPHPPAGGDFGIGPEQLASVTRDHNLNALQEYGGANGLSELLKTNLEKGIHGDDTDLLKRRNAFGSNTYPRKKGRSFWRFVWEACQDLTLIILVVAAVASLALGIKTEGPKEGWYDGGSIAFAVILVIVVTAISDYKQSLQFQKLDEEKRNIHLEVVRGGRRVEISIYDIVVGDVVPLNIGDQVPADGILISGHSLAIDESSMTGESDIVHKDTKQPFLMSGCKVADGSGIMLVTGVGVNTEWGLLMANLSEDTGEETPLQVRLNGVATFIGFVGLSVAFAVLVVLLVRYFTGHTKDESGKKQFVAGKTSGGDAVDGAIKIITVAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGGRKIDPPDSSSELPDMLTLLLVEAVAVNANGSVFTPDGGGDVEVSGSPTEKAILNWAIKLGMNFDAVRSGSSIVHVFPFNSEKKRGGVAIRLPDSKVHIHWKGAAEIVLAACSWYLDTDDGVVAMDEEKVAFFEKAIESMAAGSLRCVAIAYRSYESEKVPTNEEELARWALPEDDLVLLAIVGLKDPCRPGVQDSVQLCQKAGVKVRMVTGDNVKTAKAIALECGILHSDVDASEPYLIEGKAFRALSDMQREEVAEKICVMGRSSPNDKLLLVQALRKRGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKENSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLIIQAVYQVSVLLVLNFQGKKILHLDDQSREHASKVKNTLIFNAFVLCQIFNEFNARKPDEMNIFKGLSRNYLFIGIVAITVVLQVVIVEFLGKFAKTVQLNWKLWLISIAIGIVSWPLALLGKLIPVPETPVSKFFSRKYHGRKNRSNREKA >EOY31986 pep chromosome:Theobroma_cacao_20110822:9:18507061:18511098:-1 gene:TCM_039361 transcript:EOY31986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSVNWDVAAIVMGLREVPGRDNFDVMNIEHNVFDNIFNTMMDVPRKTKNNIKCEVESQQTHHSIIGLKNISCYNDYNGDIFELLVNIVELEYFGVNKQVVLFKCHWFDIDKGLQVYLVHGLVKIRHNSILASNEPFVLIEQVTQLYYTSSPSKRRDQCDWWAMLKMKARSRFPITDNEQSDGKTIDLNKRVY >EOY32635 pep chromosome:Theobroma_cacao_20110822:9:32340693:32347685:1 gene:TCM_040656 transcript:EOY32635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSDKEEEVVMKIVEQETCRHMVVEVMEMEAVAIYRHKEEVVREMAEVGICRHREEVVMEMVGVETCRYKDEAVKEMGEVEICRHKVEVGTCRHKVEGLREMVEVGTCKHKGEVVMEMVEVETCKHKVVEVMGMVVVVGTYRHMEVEVGTCTHRERMVMGMVEGETCRYMVVEVVVIYRYKEKEVREMVEVGLYRHKEEVVMEMVEVETCRHMVVEVMGMAVVGTYKHMEVEVGTCIHREVVVREMVEGVTCKCKEVVVTKMVGEVTCRSMEVKVKGTDVVLHCLLSGTMPRRTLGEANYRPFWAELRAETSSTSALQYLADKRTMYFSFVNECRDSLEFI >EOY32401 pep chromosome:Theobroma_cacao_20110822:9:28812301:28816465:1 gene:TCM_040304 transcript:EOY32401 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein MAYSSPHLSAIPQRLSFTSKPSPAHLNLRSVGVQVKSSLENESCTPGVSNDSLEPAQKKGTQVSTSRRLCLTCICSSLALISSAGTAVSLPNAMAMDEKEKAVCRNCGGSGAVLCDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDAQKLLDKMYNGRLMPSS >EOY30403 pep chromosome:Theobroma_cacao_20110822:9:4503353:4509485:-1 gene:TCM_037626 transcript:EOY30403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase 1-like protein 2 isoform 1 MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVRWFGAEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYVIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLATPSARAVGPGAGTSSAMPPAIANADRHTVGEDARAAGLSSMDSSRRRTSGPLMTSGSYAKQKSPVANDPFMGQSGGSSSRRVAVSSSRDAFAGSEAEPQRSRTTDASPGALHKISSQQRSPVESADAKRTLSGRNTSHVKNYEAALKGIEGLQFESDERVHY >EOY30402 pep chromosome:Theobroma_cacao_20110822:9:4503767:4509431:-1 gene:TCM_037626 transcript:EOY30402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase 1-like protein 2 isoform 1 MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVRWFGAEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYVIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLATPSARAVGPGAGTSSAMPPAIANADRHTVGEDARAAGLSSMDSSRRRTSGPLMTSGSYAKQKSPVANDPVITKDSMFMGQSGGSSSRRVAVSSSRDAFAGSEAEPQRSRTTDASPGALHKISSQQRSPVESADAKRTLSGRNTSHVKNYEAALKGIEGLQFESDERVHY >EOY29894 pep chromosome:Theobroma_cacao_20110822:9:2711933:2722141:-1 gene:TCM_037283 transcript:EOY29894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Map3k delta-1 protein kinase isoform 1 MSKMKHLLRKLHIGGGLNEHQRLAEARPVISPSPSSTNGTGLGTTSSSSSSSVSSGTMARIGAVESVRGDRTAGDDVDFNLLEEEFQMQLALAISASDPETAQIDAAKRISLAGTDTNALVEFLSRRYWNYNVVNYDEKIVDGFYDVYGITSTLGAQGKMPSLVDLQAVSVLDNVDYEVILVNRLLDPELQELEKRVYSLYVQSRAFGHGPVLSSLIPKIAEVVVNRMGGPVGDAEEMLRMWTLRSYELRNSLNTIILPLGRLDVGLSRHRALLFKVLADRINLPCMLVKGSYYTGTDDGAVNLVRIDNGSEYIIDLMGAPGTLIPAEVPSCHILNSALDVRGFADLSEASQVSSLLLDKGTGNLAVSAAPNMGPKVGAMRSVEFISSQTNEDERNLTGRAVSERSEQEFGKLLPSAPKSSESSSGIHEKPSSAQKRKVKNVSRYVISAAKDPEFAQKLHAVLLESGASPPPDLFMDINSHDLGEKSMIEQVNLVQGTNVDDAACGPCNKLSRNEQCLVSFGMETSENTNSNTRQKHMAKQQTELETNVIKTNVASPSDATSEGFLLVSNTTNDWIQVRESSFCSADEFCQRQPENVLGTDDKLIQRTSDTDFSKESALELIETMNSELHLASNGHSEKIYPMLGEVSEWEIPWEDLQIGERIGIGSYGEVYRADWNGTEVAVKKFLDQDFSGDALIQFKCEVEIMLRLRHPNVVLFMGAVTRSPHFSILTEFLPRGSLYKLLHRPNPQLDEKRRMRMALDVAKGMNYLHTSHPTIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHHTFLSSKSTAGTPEWMAPEVLRNEPANEKCDVYSFGVILWELVTLCVPWKGLNPMQVVGAVGFQHRRLEIPEDVDPAVAQIICECWQTEPHLRPSFAQLMSRLRRLQRLYIERPSSTKQIIG >EOY29895 pep chromosome:Theobroma_cacao_20110822:9:2713382:2722250:-1 gene:TCM_037283 transcript:EOY29895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Map3k delta-1 protein kinase isoform 1 MSKMKHLLRKLHIGGGLNEHQRLAEARPVISPSPSSTNGTGLGTTSSSSSSSVSSGTMARIGAVESVRGDRTAGDDVDFNLLEEEFQMQLALAISASDPETAQIDAAKRISLAGTDTNALVEFLSRRYWNYNVVNYDEKIVDGFYDVYGITSTLGAQGKMPSLVDLQAVSVLDNVDYEVILVNRLLDPELQELEKRVYSLYVQSRAFGHGPVLSSLIPKIAEVVVNRMGGPVGDAEEMLRMWTLRSYELRNSLNTIILPLGRLDVGLSRHRALLFKVLADRINLPCMLVKGSYYTGTDDGAVNLVRIDNGSEYIIDLMGAPGTLIPAEVPSCHILNSALDVRGFADLSEASQVSSLLLDKGTGNLAVSAAPNMGPKVGAMRSVEFISSQTNEDERNLTGRAVSERSEQEFGKLLPSAPKSSESSSGIHEKPSSAQKRKVKNVSRYVISAAKDPEFAQKLHAVLLESGASPPPDLFMDINSHDLGEKSMIEQVNLVQGTNVDDAACGPCNKLSRNEQCLVSFGMETSENTNSNTRQKHMAKQQTELETNVIKTNVASPSDATSEGFLLVSNTTNDWIQVRESSFCSADEFCQRQPENVLGTDDKLIQRTSDTDFSKESALELIETMNSELHLASNGHSEKIYPMLGEVSEWEIPWEDLQIGERIGIGSYGEVYRADWNGTEVAVKKFLDQDFSGDALIQFKCEVEIMLRLRHPNVVLFMGAVTRSPHFSILTEFLPRGSLYKLLHRPNPQLDEKRRMRMALDVAKGMNYLHTSHPTIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHHTFLSSKSTAGTPEWMAPEVLRNEPANEKCDVYSFGVILWELVTLCVPWKGLNPMQVVGAVGFQHRRLEIPEDVDPAVAQIICECWQT >EOY29896 pep chromosome:Theobroma_cacao_20110822:9:2714334:2722395:-1 gene:TCM_037283 transcript:EOY29896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Map3k delta-1 protein kinase isoform 1 MSKMKHLLRKLHIGGGLNEHQRLAEARPVISPSPSSTNGTGLGTTSSSSSSSVSSGTMARIGAVESVRGDRTAGDDVDFNLLEEEFQMQLALAISASDPETAQIDAAKRISLAGTDTNALVEFLSRRYWNYNVVNYDEKIVDGFYDVYGITSTLGAQGKMPSLVDLQAVSVLDNVDYEVILVNRLLDPELQELEKRVYSLYVQSRAFGHGPVLSSLIPKIAEVVVNRMGGPVGDAEEMLRMWTLRSYELRNSLNTIILPLGRLDVGLSRHRALLFKVLADRINLPCMLVKGSYYTGTDDGAVNLVRIDNGSEYIIDLMGAPGTLIPAEVPSCHILNSALDVRGFADLSEASQVSSLLLDKGTGNLAVSAAPNMGPKVGAMRSVEFISSQTNEDERNLTGRAVSERSEQEFGKLLPSAPKSSESSSGIHEKPSSAQKRKVKNVSRYVISAAKDPEFAQKLHAVLLESGASPPPDLFMDINSHDLGEKSMIEQVNLVQGTNVDDAACGPCNKLSRNEQCLVSFGMETSENTNSNTRQKHMAKQQTELETNVIKTNVASPSDATSEGFLLVSNTTNDWIQVRESSFCSADEFCQRQPENVLGTDDKLIQRTSDTDFSKESALELIETMNSELHLASNGHSEKIYPMLGEVSEWEIPWEDLQIGERIGIGSYGEVYRADWNGTEVAVKKFLDQDFSGDALIQFKCEVEIMLRLRHPNVVLFMGAVTRSPHFSILTEFLPSRGSLYKLLHRPNPQLDEKRRMRMALDVAKGMNYLHTSHPTIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHHTFLSSKSTAGTA >EOY29897 pep chromosome:Theobroma_cacao_20110822:9:2714977:2722250:-1 gene:TCM_037283 transcript:EOY29897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Map3k delta-1 protein kinase isoform 1 MSKMKHLLRKLHIGGGLNEHQRLAEARPVISPSPSSTNGTGLGTTSSSSSSSVSSGTMARIGAVESVRGDRTAGDDVDFNLLEEEFQMQLALAISASDPETAQIDAAKRISLAGTDTNALVEFLSRRYWNYNVVNYDEKIVDGFYDVYGITSTLGAQGKMPSLVDLQAVSVLDNVDYEVILVNRLLDPELQELEKRVYSLYVQSRAFGHGPVLSSLIPKIAEVVVNRMGGPVGDAEEMLRMWTLRSYELRNSLNTIILPLGRLDVGLSRHRALLFKVLADRINLPCMLVKGSYYTGTDDGAVNLVRIDNGSEYIIDLMGAPGTLIPAEVPSCHILNSALDVRGFADLSEASQVSSLLLDKGTGNLAVSAAPNMGPKVGAMRSVEFISSQTNEDERNLTGRAVSERSEQEFGKLLPSAPKSSESSSGIHEKPSSAQKRKVKNVSRYVISAAKDPEFAQKLHAVLLESGASPPPDLFMDINSHDLGEKSMIEQVNLVQGTNVDDAACGPCNKLSRNEQCLVSFGMETSENTNSNTRQKHMAKQQTELETNVIKTNVASPSDATSEGFLLVSNTTNDWIQVRESSFCSADEFCQRQPENVLGTDDKLIQRTSDTDFSKESALELIETMNSELHLASNGHSEKIYPMLGEVSEWEIPWEDLQIGERIGIGSYGEVYRADWNGTEVAVKKFLDQDFSGDALIQFKCEVEIMLRLRHPNVVLFMGAVTRSPHFSILTEFLPRGSLYKLLHRPNPQLDEKRRMRMALDVAKGMNYLHTSHPTIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHHTFLSSKSTAGTPEWMAPEVLRNEPANEK >EOY29898 pep chromosome:Theobroma_cacao_20110822:9:2714465:2721825:-1 gene:TCM_037283 transcript:EOY29898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Map3k delta-1 protein kinase isoform 1 MARIGAVESVRGDRTAGDDVDFNLLEEEFQMQLALAISASDPETAQIDAAKRISLAGTDTNALVEFLSRRYWNYNVVNYDEKIVDGFYDVYGITSTLGAQGKMPSLVDLQAVSVLDNVDYEVILVNRLLDPELQELEKRVYSLYVQSRAFGHGPVLSSLIPKIAEVVVNRMGGPVGDAEEMLRMWTLRSYELRNSLNTIILPLGRLDVGLSRHRALLFKVLADRINLPCMLVKGSYYTGTDDGAVNLVRIDNGSEYIIDLMGAPGTLIPAEVPSCHILNSALDVRGFADLSEASQVSSLLLDKGTGNLAVSAAPNMGPKVGAMRSVEFISSQTNEDERNLTGRAVSERSEQEFGKLLPSAPKSSESSSGIHEKPSSAQKRKVKNVSRYVISAAKDPEFAQKLHAVLLESGASPPPDLFMDINSHDLGEKSMIEQVNLVQGTNVDDAACGPCNKLSRNEQCLVSFGMETSENTNSNTRQKHMAKQQTELETNVIKTNVASPSDATSEGFLLVSNTTNDWIQVRESSFCSADEFCQRQPENVLGTDDKLIQRTSDTDFSKESALELIETMNSELHLASNGHSEKIYPMLGEVSEWEIPWEDLQIGERIGIGSYGEVYRADWNGTEVAVKKFLDQDFSGDALIQFKCEVEIMLRLRHPNVVLFMGAVTRSPHFSILTEFLPRGSLYKLLHRPNPQLDEKRRMRMALDVAKGMNYLHTSHPTIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHHTFLSSKSTAGTVVYFKR >EOY32802 pep chromosome:Theobroma_cacao_20110822:9:33725673:33728738:1 gene:TCM_040827 transcript:EOY32802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNHGKLNKGLNSSFITLILKTLNPITFKEYCPISLNNTLYKIFAKQMANRLKTVIFKVISDHQSTFVQGYQITDGIISTTLEFPMKRGLCQGDPLSPFLFIMVIETLHLSLAKAKSLGLFRGISITSSTSSLSHLLFAYDTIIIMCADTQGTLNLKWILQYFEFALGLHINIQKSSIYLVGILNSLGNELSVLLLCEVGSLSFSYLGIPLEANPKRASTRDPIINKFKKKLALWQTKYLSLIGNGETIFFWSNKWIEDIPLTFKFPRLFFLAIDKDMRVIDACQNGLWSINFRRELYSWEKEDFNLILNSLSSISLVPSIDDMLVWTHDSKSSFSIKTLCSLLDSTSDSNTFSSLHVGFISPLINFVVCGVAKSRNAVCTSFSFVHLVEKFRDMFLNGGESLGALLAAYPLSYKLGKCAMTQSSTLRYGMASKFLFLIKLRSMFWIRACEGVDAIDNLRWWTDPRLSLRRRTSQHHSGGTSWSPPPTSEFKFNVDGLAKVSLFFYPLDLHDTNFAELMAILKALRLFSATPYASSPLIIESDSRIALSWINSVEQ >EOY30220 pep chromosome:Theobroma_cacao_20110822:9:3831560:3833848:1 gene:TCM_037499 transcript:EOY30220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis protein COQ9, putative MYRTAANRLFHDATLINNGGEGRLLRFPNLRTVISSSLFSTTVDPQPFPNQTLSPSDSSTVSSSTSSSTSSTSGGGPRDKRKNPRAAYEEEQARVLPASLRHVMRLGWSEEAMVAGAKDVGVSPSIVGSFPRKEAALVSVCLIIACCHVSLVHGNALIKINLKFFMDDCLQRLIDKTDSGEVLQNLIPSQHISKLVRIHLEKCKRPKYQNGLKLSAFNIKQLGMLADEIRHAAGYEASDVDCYVKCTVLGGIYSTTETYTLTDGLPEFRDTWLFLDDLVKDAFDLKKTIQEAKYLAEAVGAGMGSSLQGFVSRDES >EOY32586 pep chromosome:Theobroma_cacao_20110822:9:31703373:31705697:-1 gene:TCM_040580 transcript:EOY32586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSCISTIVVSILVNGLLTREVCMKKRLRQSCRLSPLLFNLVVEAFSALMYKAVGRQLTIWVTVGSLESLWKSIVVEKSDLDHNNLIPSLGNGAKSTFRRKNELKVAFDLVVKKKDKIDDFCEWIGQTFGREFHDKLIWKRKTSGEYSPKSLCGHVMLSNTALVGILSVDAATCLLCKRESKFVSHLFFTCKILTTVTTIDSKVEHEMGKKWDGGKIFELVEVRVVWWMNAKWTNLNISIRDVGRLSWRIKYWRHFEQQTSGDTCPFSKAIGSSNSNEAKLLAVKEATLTYAASRWSSSPSVFLECDNNNVVKWITEPVEVA >EOY31905 pep chromosome:Theobroma_cacao_20110822:9:17484811:17542256:-1 gene:TCM_039252 transcript:EOY31905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage dependent anion channel 4 MTVTPNLSRANDNSDPTLKAEISNQIKQPTRLSPERCRSSRCRVSSPIVSLFTYRRTSFLAVIDYSSIKMAGPAPFVDMGKKAKDLLTKDYNFDQKFTLTMLSSTGMGLTATGLKKDQIFFGDINTVYKSGNTTVDVKVDTYSNVSTKLTVNDVWPCSKAALSFRIPDHKSGKLDVQYLHPHAAIDSSIGLNPTPLLELSATIGSKELSLGGEVGFDTASASCTKYTAGIGLNKPDFSVALLLTDKGQALKASYIHSVNPFTCVAAEMTHRFSTYENNFSIGSSHAVDPFTVVKTRFSDNGKVAMLCQREWRPKSLITVSAEYDPKAVNASPKMGLALALKP >EOY30276 pep chromosome:Theobroma_cacao_20110822:9:4041917:4046093:1 gene:TCM_037543 transcript:EOY30276 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MGHHTQHHHHNTSDGVSQRVNSPRFSGPMTRRASSFKRGNGNSQTTNSNNALGSGNGNNNGSNGNNLSVHHEIDLPINSPRSETGAAGSVSIDGLSQRRGFLRKPSVGSMVLDFGLKERKKLGHWMFLVFCGVCLFLGVFKICATGWFGSAIETVTSNQGLSDISINRPKRIDQGSHDYGYREEGSDSDRTLMTVPSDVTEDSGIWSLPNSENFTKCIDHSKNQKKLDAKTNGYILVNANGGLNQMRFGICDMVAVAKVMKATLVLPSLDHTSYWADESGFKDLFDWHHFMETLKDDVHIVERIPPAYAGIEPFNKTPISWSKVSYYNAEVLPLLKQHKVIYFTHTDSRLANNDIPSSIQKLRCRVNYRALKYSAPIEELGNTLISRMRQNGSPYLALHLRYEKDMLAFTGCSHSLTAEEDDELRRMRYEVSHWKEKEINGTERRLLGGCPLTPRETSLLLRALDFPPSTRIYLVAGEAYGNGSMDYLKEDFPNIFSHSSLSTEEELNPFKNHQNMLAGLDYVVALQSNVFVYTYDGNMAKAVQGHRRFENFKKTISPDRTKCV >EOY30277 pep chromosome:Theobroma_cacao_20110822:9:4041917:4046897:1 gene:TCM_037543 transcript:EOY30277 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MGHHTQHHHHNTSDGVSQRVNSPRFSGPMTRRASSFKRGNGNSQTTNSNNALGSGNGNNNGSNGNNLSVHHEIDLPINSPRSETGAAGSVSIDGLSQRRGFLRKPSVGSMVLDFGLKERKKLGHWMFLVFCGVCLFLGVFKICATGWFGSAIETVTSNQGLSDISINRPKRIDQGSHDYGYREEGSDSDRTLMTVPSDVTEDSGIWSLPNSENFTKCIDHSKNQKSTDSHSFIYIFSLLTLFVCVHRYLHVFVCCKLAIDFYIFSSSKFLLVAFLAELDAKTNGYILVNANGGLNQMRFGICDMVAVAKVMKATLVLPSLDHTSYWADESGFKDLFDWHHFMETLKDDVHIVERIPPAYAGIEPFNKTPISWSKVSYYNAEVLPLLKQHKVIYFTHTDSRLANNDIPSSIQKLRCRVNYRALKYSAPIEELGNTLISRMRQNGSPYLALHLRYEKDMLAFTGCSHSLTAEEDDELRRMRYEVSHWKEKEINGTERRLLGGCPLTPRETSLLLRALDFPPSTRIYLVAGEAYGNGSMDYLKEDFPNIFSHSSLSTEEELNPFKNHQNMLAGLDYVVALQSNVFVYTYDGNMAKAVQGHRRFENFKKTISPDRMKFVKLVDEYDEGNISWKQFSSEVKELHKDRVGAPYIREPGEFPKLEESFYANPLPGCICERTEGM >EOY30275 pep chromosome:Theobroma_cacao_20110822:9:4042186:4046962:1 gene:TCM_037543 transcript:EOY30275 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein isoform 1 MGHHTQHHHHNTSDGVSQRVNSPRFSGPMTRRASSFKRGNGNSQTTNSNNALGSGNGNNNGSNGNNLSVHHEIDLPINSPRSETGAAGSVSIDGLSQRRGFLRKPSVGSMVLDFGLKERKKLGHWMFLVFCGVCLFLGVFKICATGWFGSAIETVTSNQGLSDISINRPKRIDQGSHDYGYREEGSDSDRTLMTVPSDVTEDSGIWSLPNSENFTKCIDHSKNQKKLDAKTNGYILVNANGGLNQMRFGICDMVAVAKVMKATLVLPSLDHTSYWADESGFKDLFDWHHFMETLKDDVHIVERIPPAYAGIEPFNKTPISWSKVSYYNAEVLPLLKQHKVIYFTHTDSRLANNDIPSSIQKLRCRVNYRALKYSAPIEELGNTLISRMRQNGSPYLALHLRYEKDMLAFTGCSHSLTAEEDDELRRMRYEVSHWKEKEINGTERRLLGGCPLTPRETSLLLRALDFPPSTRIYLVAGEAYGNGSMDYLKEDFPNIFSHSSLSTEEELNPFKNHQNMLAGLDYVVALQSNVFVYTYDGNMAKAVQGHRRFENFKKTISPDRMKFVKLVDEYDEGNISWKQFSSEVKELHKDRVGAPYIREPGEFPKLEESFYANPLPGCICERTEGM >EOY33000 pep chromosome:Theobroma_cacao_20110822:9:34840885:34841185:-1 gene:TCM_041007 transcript:EOY33000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 10 isoform 2 SFNRILVEKIIPPSKTNSGILLPEKTPKLNSGKVVAVGPGARDRDGKHIPVNLKEGDTVLLPEYGGTEVKL >EOY32999 pep chromosome:Theobroma_cacao_20110822:9:34839213:34841267:-1 gene:TCM_041007 transcript:EOY32999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 10 isoform 2 MAKRLIPSFNRILVEKIIPPSKTNSGILLPEKTPKLNSGKVVAVGPGARDRDGKHIPVNLKEGDTVLLPEYGGTEVKLGEKEYHLYRDDDILGTLHD >EOY33728 pep chromosome:Theobroma_cacao_20110822:9:38804586:38807465:1 gene:TCM_041626 transcript:EOY33728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar cation/proton exchanger 3 isoform 3 MDSGSGELSHMENGDSKALMGKELWNGRTAQNMSTSLLRKKSDPMLVSRVRFQMLRQFLANLQEVILGTKLAVLFPAIPLAIAADFYKFGRPWIFALSLLGLTPLAERVSFLTEQIAYYTGPTVGGLLNATCGNATELIIALFALYQSKIHVLKYSLLGSILSNLLLVLGSSLLCGGLANLKKEQRYDRKQADVNSLLLLLGLLCHMLPLMFRYAAAPGIFVADSTLQLSRASSIVMLVAYVGYIFFQLKTHRQIFESQEEEEDEEKAVIGFWSAFSWLVGMTLIIALLSEYVVGTIEAASESWGISISFISIILIPIVGNAAEHAGAIIFAFKNKLDISLGVAMGSATQISMFAVRFKKPKSRFLKHLYLSWNSDKSSTHFRFPYVL >EOY33727 pep chromosome:Theobroma_cacao_20110822:9:38804402:38807734:1 gene:TCM_041626 transcript:EOY33727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar cation/proton exchanger 3 isoform 3 MDSGSGELSHMENGDSKALMGKELWNGRTAQNMSTSLLRKKSDPMLVSRVRFQMLRQFLANLQEVILGTKLAVLFPAIPLAIAADFYKFGRPWIFALSLLGLTPLAERVSFLTEQIAYYTGPTVGGLLNATCGNATELIIALFALYQSKIHVLKYSLLGSILSNLLLVLGSSLLCGGLANLKKEQRYDRKQADVNSLLLLLGLLCHMLPLMFRYAAAPGIFVADSTLQLSRASSIVMLVAYVGYIFFQLKTHRQIFESQEEEEDEEKAVIGFWSAFSWLVGMTLIIALLSEYVVGTIEAASESWGISISFISIILIPIVGNAAEHAGAIIFAFKNKLDISLGVAMGSATQISMFVPLCVVVGWIMGIQMDLDFSLLETGCLALTIIVVAFTLQDGTSHYMKGTVLCLCYTAIAACFFVHKIPAPLDQTNVNLGLKPSSGFSA >EOY33729 pep chromosome:Theobroma_cacao_20110822:9:38804390:38807255:1 gene:TCM_041626 transcript:EOY33729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar cation/proton exchanger 3 isoform 3 MDSGSGELSHMENGDSKALMGKELWNGRTAQNMSTSLLRKKSDPMLVSRVRFQMLRQFLANLQEVILGTKLAVLFPAIPLAIAADFYKFGRNCILHRSHSWRAPECNLCSLLCGGLANLKKEQRYDRKQADVNSLLLLLGLLCHMLPLMFRYAAAPGIFVADSTLQLSRASSIVMLVAYVGYIFFQLKTHRQIFESQEEEEDEEKAVIGFWSAFSWLVGMTLIIALLSEYVVGTIEAASESWGISISFISIILIPIVGNAAEHAGAIIFAFKNKLDISLGVAMGSATQISMFAVRFVPLCVVVGWIMGIQMDLDFSLLETGCLALTIIVVAFTLQDGTSHYMKGTVLCLCYTAIAACFFVHKIPAPLDQTNVNL >EOY33730 pep chromosome:Theobroma_cacao_20110822:9:38804390:38807255:1 gene:TCM_041626 transcript:EOY33730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar cation/proton exchanger 3 isoform 3 MDSGSGELSHMENGDSKALMGKELWNGRTAQNMSTSLLRKKSDPMLVSRVRFQMLRQFLANLQEVILGTKLAVLFPAIPLAIAADFYKFGRPWIFALSLLGLTPLAERVSFLTEQIAYYTGPTVGGLLNATCGNATELIIALFALYQSKIHVLKYSLLGSILSNLLLVLGSSLLCGGLANLKKEQRYDRKQADVNSLLLLLGLLCHMLPLMFRYAAAPGIFVADSTLQLSRASSIVMLVAYVGYIFFQLKTHRQIFESQEEEEDEEKAVIGFWSAFSWLVGMTLIIALLSEYVVGTIEAASESWGISISFISIILIPIVGNAAEHAGAIIFAFKNKLDISLGVAMGSATQISMFAVRFVPLCVVVGWIMGIQMDLDFSLLETGCLALTIIVVAFTLQVLQSYSLFPFL >EOY33726 pep chromosome:Theobroma_cacao_20110822:9:38804453:38807734:1 gene:TCM_041626 transcript:EOY33726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar cation/proton exchanger 3 isoform 3 MDSGSGELSHMENGDSKALMGKELWNGRTAQNMSTSLLRKKSDPMLVSRVRFQMLRQFLANLQEVILGTKLAVLFPAIPLAIAADFYKFGRPWIFALSLLGLTPLAERVSFLTEQIAYYTGPTVGGLLNATCGNATELIIALFALYQSKIHVLKYSLLGSILSNLLLVLGSSLLCGGLANLKKEQRYDRKQADVNSLLLLLGLLCHMLPLMFRYAAAPGIFVADSTLQLSRASSIVMLVAYVGYIFFQLKTHRQIFESQEEEEDEEKAVIGFWSAFSWLVGMTLIIALLSEYVVGTIEAASESWGISISFISIILIPIVGNAAEHAGAIIFAFKNKLDISLGVAMGSATQISMFAVPLCVVVGWIMGIQMDLDFSLLETGCLALTIIVVAFTLQDGTSHYMKGTVLCLCYTAIAACFFVHKIPAPLDQTNVNLGLKPSSGFSA >EOY33204 pep chromosome:Theobroma_cacao_20110822:9:35920192:35921723:-1 gene:TCM_041169 transcript:EOY33204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAPSLSSTSAFPCSNSHCNPSLLLRCESSSPDSRLPARTSRLEIGSPIIVVEAPKMVKTAASVPCLRVNAGLVKPGDVGRIVSRKPKDVWAVRLAIGTYLLDRKYFKPLEFDE >EOY33205 pep chromosome:Theobroma_cacao_20110822:9:35920247:35921677:-1 gene:TCM_041169 transcript:EOY33205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAPSLSSTSAFPCSNSHCNPSLLLRCESSSPDSRLPARTSRLEIGSPIIVVEAPKMVKTAASVPCLRVNAGLVKPGDVGRIVSRKPKDVWAVRLAIGTYLLDRKYFKPLEFDE >EOY29697 pep chromosome:Theobroma_cacao_20110822:9:2080966:2089041:1 gene:TCM_037160 transcript:EOY29697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase/isomerase family isoform 2 MNSLSIPSQRQTCSKNKSFGRLPSTTTVDGDPRQGQQTLLYHVVKFLGYYVAELAAVKKVTHLLVGFLRYRQTEPSEASPLVPPITPVKVSNKFAAMAGGEAHRVTMEVRGDGIAVIAFSSPPVNALHIPALTELKRMHRESMERDDVKAVVLTGAGSKFCSGLDMSLVQDIQRTGDDSVLAEISHDLIDQVEDGKKPSVAAIQGYALGAGLELSMGCCARVATPGAKLGLPELTLGFSPGLGGTQRLPRLVGVSKAAQMLLTSKPVMSEEGKSLGLIDAIASPEELLEVACDLALDIVESRRPRLSSLSRTDKLGSTEQAMEILEGFRQGAKETAHTMPEKLACLDVIGEGITSGGRSGVLKEMEVFRELVLSTAAKALVHVSCAQRATSQVRGVTDAGLKPRPIGKVGVIGAGLMGSGITTALILSNIHVVLKELNSEYLQRGIKKIEANLQALVTKGKLDENGVKKALSFLRGVSDYSEFKDVDLVIEAVIEDLSLKQSIFEEIDKVCPPHCILASGTSGIDLNKIGERTSSQDRIVGAHFFSPAHIMPLLEIVRTEKTSTQVLLDLMTIGKAIKKVPLIVGNCTGFAVNRTFSPYFQGPFLLADLGVDIFRIDRVVCSFGLSMGPYLLHDVTGYGLAIAFAKEMAATFPDRTFQSALHGLMLQHGRQGKSNGKGYYIFEKGSKPKPDYTVQPIVEESRRITNLVENPISISDQEIVEMIFFPVVNEGCRIIEEGVIARASELDVASVLGFNFPSRLGGIMFWADTIGAKYIYTSLKKWSALYGPFFRPSRFLEERAMKGIPLGAPVEA >EOY29700 pep chromosome:Theobroma_cacao_20110822:9:2082546:2090212:1 gene:TCM_037160 transcript:EOY29700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase/isomerase family isoform 2 MAGGEAHRVTMEVRGDGIAVIAFSSPPVNALHIPALTELKRMHRESMERDDVKAVVLTGAGSKFCSGLDMSLVQDIQRTGDDSVLAEISHDLIDQVEDGKKPSVAAIQGYALGAGLELSMGCCARVATPGAKLGLPELTLGFSPGLGGTQRLPRLVGVSKAAQMLLTSKPVMSEEGKSLGLIDAIASPEELLEVACDLALDIVESRRPRLSSLSRTDKLGSTEQAMEILEGFRQGAKETAHTMPEKLACLDVIGEGITSGGRSGVLKEMEVFRELVLSTAAKALVHVSCAQRATSQVRGVTDAGLKPRPIGKVGVIGAGLMGSGITTALILSNIHVVLKELNSEYLQRGIKKIEANLQALVTKGKLDENGVKKALSFLRGVSDYSEFKDVDLVIEAVIEDLSLKQSIFEEIDKVCPPHCILASGTSGIDLNKIGERTSSQDRIVGAHFFSPAHIMPLLEIVRTEKTSTQVLLDLMTIGKAIKKVPLIVGNCTGFAVNRTFSPYFQGPFLLADLGVDIFRIDRVVCSFGLSMGPYLLHDVTGYGLAIAFAKEMAATFPDRTFQSALHGLMLQHGRQGKSNGKGYYIFEKGSKPKPDYTVQPIVEESRRITNLVENPISISDQEIVEMIFFPVVNEGCRIIEEGVIARASELDVASVLGFNFPSRLGGIMFWADTIGAKYIYTSLKKWSALYGPFFRPSRFLEERAMKGIPLVRIGIFKEVLETIFFV >EOY29698 pep chromosome:Theobroma_cacao_20110822:9:2082546:2090819:1 gene:TCM_037160 transcript:EOY29698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase/isomerase family isoform 2 MAGGEAHRVTMEVRGDGIAVIAFSSPPVNALHIPALTELKRMHRESMERDDVKAVVLTGAGSKFCSGLDMSLVQDIQRTGDDSVLAEISHDLIDQVEDGKKPSVAAIQGYALGAGLELSMGCCARVATPGAKLGLPELTLGFSPGLGGTQRLPRLVGVSKAAQMLLTSKPVMSEEGKSLGLIDAIASPEELLEVACDLALDIVESRRPRLSSLSRTDKLGSTEQAMEILEGFRQGAKETAHTMPEKLACLDVIGEGITSGGRSGVLKEMEVFRELVLSTAAKALVHVSCAQRATSQVRGVTDAGLKPRPIGKVGVIGAGLMGSGITTALILSNIHVVLKELNSEYLQRGIKKIEANLQALVTKGKLDENGVKKALSFLRGVSDYSEFKDVDLVIEAVIEDLSLKQSIFEEIDKVCPPHCILASGTSGIDLNKIGERTSSQDRIVGAHFFSPAHIMPLLEIVRTEKTSTQVLLDLMTIGKAIKKVPLIVGNCTGFAVNRTFSPYFQGPFLLADLGVDIFRIDRVVCSFGLSMGPYLLHDVTGYGLAIAFAKEMAATFPDRTFQSALHGLMLQHGRQGKSNGKGYYIFEKGSKPKPDYTVQPIVEESRRITNLVENPISISDQEIVEMIFFPVVNEGCRIIEEGVIARASELDVASVLGFNFPSRLGGIMFWADTIGAKYIYTSLKKWSALYGPFFRPSRFLEERAMKGIPLGAPVEA >EOY29699 pep chromosome:Theobroma_cacao_20110822:9:2082546:2095566:1 gene:TCM_037160 transcript:EOY29699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase/isomerase family isoform 2 MAGGEAHRVTMEVRGDGIAVIAFSSPPVNALHIPALTELKRMHRESMERDDVKAVVLTGAGSKFCSGLDMSLVQDIQRTGDDSVLAEISHDLIDQVEDGKKPSVAAIQGYALGAGLELSMGCCARVATPGAKLGLPELTLGFSPGLGGTQRLPRLVGVSKAAQMLLTSKPVMSEEGKSLGLIDAIASPEELLEVACDLALDIVESRRPRLSSLSRTDKLGSTEQAMEILEGFRQGAKETAHTMPEKLACLDVIGEGITSGGRSGVLKEMEVFRELVLSTAAKALVHVSCAQRATSQVRGVTDAGLKPRPIGKVGVIGAGLMGSGITTALILSNIHVVLKELNSEYLQRGIKKIEANLQALVTKGKLDENGVKKALSFLRGVSDYSEFKDVDLVIEAVIEDLSLKQSIFEEIDKVCPPHCILASGTSGIDLNKIGERTSSQDRIVGAHFFSPAHIMPLLEIVRTEKTSTQVLLDLMTIGKAIKKVPLIVGNCTGFAVNRTFSPYFQGPFLLADLGVDIFRIDRVVCSFGLSMGPYLLHDVTGYGLAIAFAKEMAATFPDRTFQSALHGLMLQHGRQGKSNGKGYYIFEKGSKPKPDYTVQPIVEESRRITNLVENPISISDQEIVEMIFFPVVNEGCRIIEEGVIARASELDVASVLGFNFPSRLGGIMFWADTIGAKYIYTSLKKWSALYGPFFRPSRFLEERAMKGIPLGAPVEA >EOY31031 pep chromosome:Theobroma_cacao_20110822:9:6719029:6722072:-1 gene:TCM_038056 transcript:EOY31031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein MAAEQSLQVVQHGNKDVVFSSSGIKIECSFSHKRVSLKAHGFFASVNQAGMGFAISPNSPTSLENTAKFPYASLHTQFVSVPEPGFQLEKVPQLLLDDELEIAERVVKKKKEKKGGLKLRIKIRNESLRRLMSGAVAGAISRTAVAPLETIKTHLMVGSCGNSMAEVFDNIMRSEGWKGLFRGNLVNVIRVAPSKAIELFAYETVKKQLTPEHGEQPKLPLPASFIAGAVAGVSSTLCTYPLELLKTRLTVKEDYKNLLDAIVKIVQAEGPAGLYKGLTPSLIGVVPYAATNYFAYDTLRKAYKKAFKKEEIGNIMTLLIGSVAGAVSSSATFPLEVARKQMQAGAINGRQYQNMLYALTSIVEKEGIPGLYRGLGPSCMKLVPAAGISFMCYEACKRILMEKESL >EOY32280 pep chromosome:Theobroma_cacao_20110822:9:25718995:25721726:-1 gene:TCM_039984 transcript:EOY32280 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 12 MNGVMLILLLCLWAASMSAVRGEDPYLFFTWNVTYGTISPTGVPQQGILINGQFPGPNINSTSNNNVVVNVFNNLDEPFLLTWTGVQQRKNSWQDGVLGTNCPIPPGKNYTYHFQVKDQIGSYMYYPSTAMHKAAGGFGGLRINSRLLIPVPYPDPEDDYTILAGDWFSKGHTTLKKMLDSGRNLGRCDGVHINGKVAKGDGKDEPLFTMEPGKTYKYRICNVGIKTSLNVRFQGHNMKLVEMEGSHTVQNTYESLDVHVGQCFGVLVTADQEPRDYYVVASTRFTKRVITATGIIRYTNGKGPASPELPPAPIGWAWSLNQFRTFRWNLTASAARPNPQGSYHYGAIDITRTIKLANTAGKVGGKLRYAINGISHVDPPTPLKLAEYYNVADKSFKYDILRDDPPAKISEEITVQPIVVNLTYRTFVEIIFENRETAIQSWHLSGYSFFAVAVETGTWTPEKRKNYNLLDAVSRHTIQVFPNSWAAILLTFDNCGMWNIRSEIWDRHYLGQQLYASVLSPEHSLRDEYNIPDNALLCGVVESMPKPPPYSI >EOY30510 pep chromosome:Theobroma_cacao_20110822:9:4830662:4833615:-1 gene:TCM_037696 transcript:EOY30510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate phosphatase-related protein MAGIVVVFDFDRTIIDGDSDSWVVAEMGLSDLFHRLRSTLPWNSLMDRMMKELHSQGRTAEEIAACLKRTPLHPRIAAAIKSAYALGCDLRIVSDANQFFIEKILEYQGLLGCFSKIYTNPSFVDEEGRLRIFPYHDSTLSPHGCSLCPSNLCKGLVLEHIYASAPEGGRQNFIYLGDGRGDYCPTLRLQGSDYVMPRKKYPLWDRIFREPALVKAEVHEWSNGEELEKILLHLIDKIPAEQSISGSNPSSQSNSSDCKLHISVSSPESFTQTLRVPH >EOY30803 pep chromosome:Theobroma_cacao_20110822:9:5847058:5855446:-1 gene:TCM_037887 transcript:EOY30803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFVLSSLCFLFLTIMLPFSLKKNNLHFFLALFLLSAPFAGDGMQDLADRLSIPLLKFPFFLSAPAVAPLSLVSRQPPLLSPFLRSVPLLLAPDPSLSLARRHFQIWCRASRRSSLWCRASRRSSLSFSVRRSFPSRQIFTVAAPFPFLLRFLLWVFGVAAAPFPLLLRFLLWIFLAPPFVARIPLPFIPDFWALEGALHYPARREKFASGR >EOY33679 pep chromosome:Theobroma_cacao_20110822:9:38563443:38565078:-1 gene:TCM_041585 transcript:EOY33679 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein, putative MISGATSCFSNASECLPMYSVAEDMVPSSLEAGSGPEAHSSSLLYNLAILKDKVHQVQSLVSILIAPDQTNPESTSLAIASMGSLVQEIIVTASSMIFICQQMSLGTTSAGNSNSTTDQVHQQHVRVPDNNGISQQPNFSHDNCVGNIVQERGKGFYSSTETITWYSDHCNNRNYNNNSSRSLQVSNDDKLEIRKELPRRSEISEGSQGISMKNYDIVEVDAADLLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKTSAALTNPMKIHHEGSATGSNCSTNLPKKFSCPQEGCRWNQKHAKFQPLKSMICMKNHYKRSHCPKMYVCKRCNSKQFSVLSDLRTHEKHCGDLKWQCSCGTTFSRKDKLMGHVALFVGHSPVVTPLTKPAKLELQATSMQLDDR >EOY33476 pep chromosome:Theobroma_cacao_20110822:9:37711045:37715553:1 gene:TCM_041449 transcript:EOY33476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein MPIRVGGLTVFFSPFLVDLQHYIVYMGKHSHPNLESVIRANHEMLASVTGRHALSNYILSSVDDCLEGAKEAALHHYSKSFRGFSAMLTPEQAKKFAESDWVVSVFESRTNKVHTTRTWGFLGLDSIEQYRQLQMEFSSNVIVGVIDTGIWPESESFSDEGLGPVPGKFKGECVPGEQFALSNCNRKIIGARFYFKGFEAKYGPLESLNSSFFRSARDSDGHGTHTASTIAGSVVANASLFGMARGTARGGAPGARLAIYKACWFNLCTDADILSAMDDAISDGVDILSLSFGPDPPQPSYFEDATSIGAFHAFENGVLVSASAGNSIFPGTATNVAPWILTVAASSVDRVFNSNILLGNSKVLKGFSLNPLKMETSYGLIAGSAAAAKGITPENASFCKNNTLDATLIKGKIVVCTIETVTENRREKSIFIRQGGGVGMILIDPSAKEVGFQFVLPGTLIGQEEATMLQKYMATEKNPVARIYPTITVLNTKPAPAMAAFSSMGPNVITPDIIKFNEETIVHKTAPPDANFLQPDITGPGLNILAAWSPVAIEATAERSVNYNIISGTSMSCPHISAVAAIIKSTQTSWSPAAIKSAIMTTATVLDNTEHLIGREPTGTKTTPFDYGSGHINPTKALEPGLIYDFDSSDIINFLCSTGASPAQLKNLTGHPTYCQNSSIPSYNLNYPSIGVSSMNGSLSVHRTVTYYGKGQTVYAAYIEHPVGVRVTVTPSKLCFTKNGEKMSFRVDFTPHKNSNGSFVFGALTWSNDIHNVRSPIGLNVISV >EOY33435 pep chromosome:Theobroma_cacao_20110822:9:37453906:37456859:-1 gene:TCM_041404 transcript:EOY33435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin 3 MTTVSLTSPCIVKAAIPNRFTSAIVKAPTTLGSVKSISKSFGLKCSSNYRASMAVYKIKLVGPNGEECEFEAPDDKYILDAAEEAGVDLPYSCRAGACSTCAGKIISGSVDQSDGSFLDDKQMEEGYVLTCVSYPTSDCEIHTHKEADLY >EOY31972 pep chromosome:Theobroma_cacao_20110822:9:18362461:18369229:-1 gene:TCM_039343 transcript:EOY31972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGCSTSKLDDEEAVQLCKDRKNFIRQAVEQRTRFASGHVAYIQSLKRVSAALRDYIEGDEPREFLLDSFITPPFTPLKKASPGFISISPSSFSPAAIQSNPKSTLKLNYLRSGGNPAVSVEERPQSPETVRIETYSPVHHYGIDGIFAMQSSPMNSSFFSYSPNNRPNIPPPSPQTSQWDFFWNPFSSLDYYGYPNRSSLDQAVMEDDIRGLRQVREEEGIPDLEEDETKQEEPESMANLTEEKSKVNTNYTREEVTVEDVDEDEEEIDSGNETEHEVKDLEAQGKVSIEVVRAQTAGQVEVSNKETALGGNEAKEETPGFTVYVNRRPTSMAEVIKDLEAQFMVACDAANEVSALLEASRALYSSTSNELTALKMLNPVALLRSASSRSSSSRFLINSSSSKEAGYESSSDLSEESCMFNGSHQSTLDRLWAWEKKLYEEVKSAEKVRIAYEKKSRQLRNQDVKGEDPHAVDKTRAAIRDLHTQIKVSIHSVEAISKRIETLRDEELQPQLLELVQGLGRMWKVMAECHKSQKRTLDEAKLLLAGAPSKLEAKRQSSISAAEPHRLAQSAANLEAELRNWRACFELWITSQRSYLHALSGWLLRCLRSDPDTSKLSFSPRRSSGTLGIFGLCIQWSRFLDAIRETPVLDGLDFFAAGMGSLYTQQLKEDSRFVPVGSKRYGGGENMELVRVDEVEEVMTAEKLADVAIRVLCAGMSVAMSSLSEFAVGSADGYAEVVNKWVSAKLPQN >EOY31973 pep chromosome:Theobroma_cacao_20110822:9:18362324:18369135:-1 gene:TCM_039343 transcript:EOY31973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGCSTSKLDDEEAVQLCKDRKNFIRQAVEQRTRFASGHVAYIQSLKRVSAALRDYIEGDEPREFLLDSFITPPFTPLKKASPGFISISPSSFSPAAIQSNPKSTLKLNYLRSGGNPAVSVEERPQSPETVRIETYSPVHHYGIDGIFAMQSSPMNSSFFSYSPNNRPNIPPPSPQTSQWDFFWNPFSSLDYYGYPNRSSLDQAVMEDDIRGLRQVREEEGIPDLEEDETKQEEPESMANLTEEKSKVNTNYTREEVTVEDVDEDEEEIDSGNETEHEVKDLEAQGKVSIEVVRAQTAGQVEVSNKETALGGNEAKEETPGFTVYVNRRPTSMAEVIKDLEAQFMVACDAANEVSALLEASRALYSSTSNELTALKMLNPVALLRSASSRSSSSRFLINSSSSKEAGYESSSDLSEESCMFNGSHQSTLDRLWAWEKKLYEEVKSAEKVRIAYEKKSRQLRNQDVKGEDPHAVDKTRAAIRDLHTQIKVSIHSVEAISKRIETLRDEELQPQLLELVQGLGRMWKVMAECHKSQKRTLDEAKLLLAGAPSKLEAKRQSSISAAEPHRLAQSAANLEAELRNWRACFELWITSQRSYLHALSGWLLRCLRSDPDTSKLSFSPRRSSGTLGIFGLCIQWSRFLDAIRETPVLDGLDFFAAGMGSLYTQQLKEDSRFVPVGSKRYGGGENMELVRVDEVEEVMTAEKLADVAIRVLCAGMSVAMSSLSEFAVGSADGYAEVVNKWVSAKLPQN >EOY30031 pep chromosome:Theobroma_cacao_20110822:9:3124452:3125693:1 gene:TCM_037380 transcript:EOY30031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein MGRTPYTDGRLNKGAWTAFEDQILRDHVKIHGVGKWGKIAEKTSLKRCGKSCRLRWLNYLRPDIKRRNISQDEEDLIIRLHRLLGNRFCLYGL >EOY31573 pep chromosome:Theobroma_cacao_20110822:9:9673727:9678107:1 gene:TCM_038497 transcript:EOY31573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 6b MADESQIELKTAPADFRFPTTNQTRHCFTRYIEFHRCLAAKGEESNECERFAKYYRSLCPGEWVERWNEQRENGTFPGPL >EOY30925 pep chromosome:Theobroma_cacao_20110822:9:6297378:6300000:1 gene:TCM_037967 transcript:EOY30925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVCLPSYMFNFPHFHLSFQHFNLKYPFSHSLKSMVWCCDERDTVAKSLDNKHDKRLHCMKQHSWSNASSYVCKVLAE >EOY29523 pep chromosome:Theobroma_cacao_20110822:9:1446507:1450116:1 gene:TCM_037033 transcript:EOY29523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASTSAVTAQILNLHEATDWKKPIPSSLALGNLNFSTNLAGKQFLSSSGRRKSGRSYKSLRVYGLFGGKKENGEKGDDAPSKAGILGNMQNLYETVKKAQMVVQVEAVRVQKELAAAEFDGYCEGELIKATLSGNQQPVRIEITEAAMELGPEKLSELVNEAYKDAHQKSVQAMKERMSDLAQSLGMPQGLGEGLKQ >EOY29522 pep chromosome:Theobroma_cacao_20110822:9:1446489:1450350:1 gene:TCM_037033 transcript:EOY29522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASTSAVTAQILNLHEATDWKKPIPSSLALGNLNFSTNLAGKQFLSSSGRRKSGRSYKSLRVYGLFGGKKENGEKGDDAPSKAGILGNMQNLYETVKKAQMVVQVEAVRVQKELAAAEFDGYCEGELIKATLSGNQQPVRIEITEAAMELGPEKLSELVNEAYKDAHQKSVQAMKERMSDLAQSLGMPQGLVLEPRTSSQVQLRARSTGLLWVVSGRTS >EOY30898 pep chromosome:Theobroma_cacao_20110822:9:6196701:6198907:1 gene:TCM_037947 transcript:EOY30898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indoleacetic acid-induced protein 16, putative MSLEEAPGSLESDVTSMRFEDTELTLGLPGEGRSPAVIAGVKCSAKRGFVETVDLKLGSCSRAPSGGGDTHVNEAGAGKPPPAKAQVIGWPPVRSSRKKAINESCKYVKVAVDGAPYLRKVNLGVYSNYHDLMKDLENLFTCCIIREYQLISLSLICIHLNRKADREESKVMDAVKGMEYVPTYEDKDGDWMLVGDVPWKMFIESCKRIRLMKSSEAAVLAPKASLKCSGTC >EOY31842 pep chromosome:Theobroma_cacao_20110822:9:16269356:16274204:-1 gene:TCM_039126 transcript:EOY31842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase 4 isoform 3 MWNPLSWVMESAAIMAIALANGDGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWTEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKVDQSALTGESLPVTKNPGDEIFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAIGMLVEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFVKDVDKEHVVLLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFFPFNPVDKRTALTYIDSNGNWHRASKGAPEQILALCNAREDLKKKVHSIIDKFAERGLRSLAVGRQQVPEKTKESAGTPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDASIAALPVEELIERADGFAGVFPAEHKYEIVRKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFLFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIMLGGYLALMTVIFFWLMHDTKFFPDKFGVRSLRGSDHEMMAALYLQVSIVSQALIFVTRSRSWSYVERPGLLLVTAFFIAQLVATLIAVYANWGFAKIKGIGWGWAGVIWLYSIVFYIPLDLMKFAIRYILSGKAWLNLLENKTAFTTKKDYGKEEREAQWALAQRTLHGLQPPEATNLFNDKSSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >EOY31841 pep chromosome:Theobroma_cacao_20110822:9:16268874:16276216:-1 gene:TCM_039126 transcript:EOY31841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase 4 isoform 3 MGGDKGISLEEIKNETVDLEKIPIEEVFEQLKCTREGLSADEGANRLQIFGPNKLEEKKESKILKFLGFMWNPLSWVMESAAIMAIALANGDGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWTEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKVDQSALTGESLPVTKNPGDEIFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAIGMLVEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFVKDVDKEHVVLLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFFPFNPVDKRTALTYIDSNGNWHRASKGAPEQILALCNAREDLKKKVHSIIDKFAERGLRSLAVGRQQVPEKTKESAGTPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDASIAALPVEELIERADGFAGVFPEHKYEIVRKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFLFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIMLGGYLALMTVIFFWLMHDTKFFPDKFGVRSLRGSDHEMMAALYLQVSIVSQALIFVTRSRSWSYVERPGLLLVTAFFIAQLVATLIAVYANWGFAKIKGIGWGWAGVIWLYSIVFYIPLDLMKFAIRYILSGKAWLNLLENKIMARKRGKLNGLLLKGHYMVFNHLKLLISSMTRAAIESCLRLLSRPRDEQRLQGYESFTPSRVMLSQW >EOY31840 pep chromosome:Theobroma_cacao_20110822:9:16269731:16275706:-1 gene:TCM_039126 transcript:EOY31840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase 4 isoform 3 MGGDKGISLEEIKNETVDLEKIPIEEVFEQLKCTREGLSADEGANRLQIFGPNKLEEKKESKILKFLGFMWNPLSWVMESAAIMAIALANGDGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWTEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKVDQSALTGESLPVTKNPGDEIFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAIGMLVEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFVKDVDKEHVVLLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFFPFNPVDKRTALTYIDSNGNWHRASKGAPEQILALCNAREDLKKKVHSIIDKFAERGLRSLAVGRQQVPEKTKESAGTPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDASIAALPVEELIERADGFAGVFPEHKYEIVRKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFLFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIMLGGYLALMTVIFFWLMHDTKFFPDKFGVRSLRGSDHEMMAALYLQVSIVSQALIFVTRSRSWSYVERPGLLLVTAFFIAQLVATLIAVYANWGFAKIKGIGWGWAGVIWLYSIVFYIPLDLMKFAIRYILSGKAWLNLLENKTAFTTKKDYGKEEREAQWALAQRTLHGLQPPEATNLFNDKSSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >EOY30498 pep chromosome:Theobroma_cacao_20110822:9:4807140:4812675:-1 gene:TCM_037689 transcript:EOY30498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain isoform 1 MKQKGTSDLAGKVVVVAVKAARDIPRTALVWALTHVVQPGDCIKLLVVIPALSSSKKIWGISRFTSDCTTGHWKSLSETSLDQKQDIADSCSQMIFQLQDVYDPEKVKVRVKIVPGSPYGIVAAEAKKAQSNWVILDKRLKHEKKHCLEELQCNLVVMKRSQPKVLRLNLVGSPNMAPEVAWPLSFESEAYPKHKKSKHDRLDEIRGPFVTPVSSPDHESSLTTTDVGTSSISSSDPGASPFFLPGLYESLKKEYSFITEESQNLFESDSGSDSEIDPPKTRLFFEPETADIRSSVTDSKHLGKGFQRLNDSSLTSTYSVLLEKLSTLNREPDVGVLNYRLDLKVSKSVREAIALSRNTPPGPPPLCSICQHKAPVFGHPPRWFTYAELEHATNGFSQSNFLAEGGFGSVHRGILPDGQAIAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIENGKRLLVYEYICNGSLDSHLYGHNRNALQWSARKKIAVGAARGLRYLHEECRVGCIVHRDLRPNNILLTHDFEPLVGDFGLARWQPDGDRGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELITGRKAMDINRPKGQQCLTEWARPLLESHAMQELVDPRLGNCYTEQDVYGMLQCASLCIRRDPHSRPRMSQVLRMLEGDVITNWTVHT >EOY30501 pep chromosome:Theobroma_cacao_20110822:9:4808275:4813236:-1 gene:TCM_037689 transcript:EOY30501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain isoform 1 MKQKGTSDLAGKVVVVAVKAARDIPRTALVWALTHVVQPGDCIKLLVVIPALSSSKKIWGISRFTSDCTTGHWKSLSETSLDQKQDIADSCSQMIFQLQDVYDPEKVKVRVKIVPGSPYGIVAAEAKKAQSNWVILDKRLKHEKKHCLEELQCNLVVMKRSQPKVLRLNLVGSPNMAPEVAWPLSFESEAYPKHKKSKHDRLDEIRGPFVTPVSSPDHESSLTTTDVGTSSISSSDPGASPFFLPGLYESLKKEYSFITEESQNLFESDSGSDSEIDPPKTRLFFEPETADIRSSTYSVLLEKLSTLNREPDVGVLNYRLDLKVSKSVREAIALSRNTPPGPPPLCSICQHKAPVFGHPPRWFTYAELEHATNGFSQSNFLAEGGFGSVHRGILPDGQAIAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIENGKRLLVYEYICNGSLDSHLYGHNRNALQWSARKKIAVGAARGLRYLHEECRVGCIVHRDLRPNNILLTHDFEPLVGDFGLARWQPDGDRGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELITGRKAMDINRPKGQQCLTEWARPLLESHAMQELVDPRLGN >EOY30499 pep chromosome:Theobroma_cacao_20110822:9:4807760:4813236:-1 gene:TCM_037689 transcript:EOY30499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain isoform 1 MKQKGTSDLAGKVVVVAVKAARDIPRTALVWALTHVVQPGDCIKLLVVIPALSSSKKIWGISRFTSDCTTGHWKSLSETSLDQKQDIADSCSQMIFQLQDVYDPEKVKVRVKIVPGSPYGIVAAEAKKAQSNWVILDKRLKHEKKHCLEELQCNLVVMKRSQPKVLRLNLVGSPNMAPEVAWPLSFESEAYPKHKKSKHDRLDEIRGPFVTPVSSPDHESSLTTTDVGTSSISSSDPGASPFFLPGLYESLKKEYSFITEESQNLFESDSGSDSEIDPPKTRLFFEPETADIRSSVTDSKHLGKGFQRLNDSSLTSTYSVLLEKLSTLNREPDVGVLNYRLDLKVSKSVREAIALSRNTPPGPPPLCSICQHKAPVFGHPPRWFTYAELEHATNGFSQSNFLAEGGFGSVHRGILPDGQAIAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIENGKRLLVYEYICNGSLDSHLYGHNRNALQWSARKKIAVGAARGLRYLHEECRVGCIVHRDLRPNNILLTHDFEPLVGDFGLARWQPDGDRGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELITGRKAMDINRPKGQQCLTEWARPLLESHAMQELVDPRLGNCYTEQDVYGMLQCASLCIRRDPHSRPRMSQSTVNSL >EOY30502 pep chromosome:Theobroma_cacao_20110822:9:4808704:4812456:-1 gene:TCM_037689 transcript:EOY30502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain isoform 1 MKQKGTSDLAGKVVVVAVKAARDIPRTALVWALTHVVQPGDCIKLLVVIPALSSSKKIWGISRFTSDCTTGHWKSLSETSLDQKQDIADSCSQMIFQLQDVYDPEKVKVRVKIVPGSPYGIVAAEAKKAQSNWVILDKRLKHEKKHCLEELQCNLVVMKRSQPKVLRLNLVGSPNMAPEVAWPLSFESEAYPKHKKSKHDRLDEIRGPFVTPVSSPDHESSLTTTDVGTSSISSSDPGASPFFLPGLYESLKKEYSFITEESQNLFESDSGSDSEIDPPKTRLFFEPETADIRSSVTDSKHLGKGFQRLNDSSLTSTYSVLLEKLSTLNREPDVGVLNYRLDLKVSKSVREAIALSRNTPPGPPPLCSICQHKAPVFGHPPRWFTYAELEHATNGFSQSNFLAEGGFGSVHRGILPDGQAIAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIENGKRLLVYEYICNGSLDSHLYGHNRNALQWSARKKIAVGAARGLRYLHEECRVGCIVHRDLRPNNILLTHDFEPLVGDFGLARWQPDGDRGVETRVIGTFGYTYITSFSLLLYDLLKTNLLRKTFLVGI >EOY30500 pep chromosome:Theobroma_cacao_20110822:9:4807704:4813236:-1 gene:TCM_037689 transcript:EOY30500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain isoform 1 MKQKGTSDLAGKVVVVAVKAARDIPRTALVWALTHVVQPGDCIKLLVVIPALSSSKKIWGISRFTSDCTTGHWKSLSETSLDQKQDIADSCSQMIFQLQDVYDPEKVKVRVKIVPGSPYGIVAAEAKKAQSNWVILDKRLKHEKKHCLEELQCNLVVMKRSQPKVLRLNLVGSPNMAPEVAWPLSFESEAYPKHKKSKHDRLDEIRGPFVTPVSSPDHESSLTTTDVGTSSISSSDPGASPFFLPGLYESLKKEYSFITEESQNLFESDSGSDSEIDPPKTRLFFEPETADIRSSVTDSKHLGKGFQRLNDSSLTSTYSVLLEKLSTLNREPDVGVLNYRLDLKVSKSVREAIALSRNTPPGPPPLCSICQHKAPVFGHPPRWFTYAELEHATNGFSQSNFLAEGGFGSVHRGILPDGQAIAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIENGKRLLVYEYICNGSLDSHLYGHNRNALQWSARKKIAVGAARGLRYLHEECRVGCIVHRDLRPNNILLTHDFEPLVGDFGLARWQPDGDRGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELITGRKAMDINRPKGQQCLTEWELVDPRLGNCYTEQDVYGMLQCASLCIRRDPHSRPRMSQVLRMLEGDVITNWTVHT >EOY30503 pep chromosome:Theobroma_cacao_20110822:9:4807181:4810891:-1 gene:TCM_037689 transcript:EOY30503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain isoform 1 MKRSQPKVLRLNLVGSPNMAPEVAWPLSFESEAYPKHKKSKHDRLDEIRGPFVTPVSSPDHESSLTTTDVGTSSISSSDPGASPFFLPGLYESLKKEYSFITEESQNLFESDSGSDSEIDPPKTRLFFEPETADIRSSVTDSKHLGKGFQRLNDSSLTSTYSVLLEKLSTLNREPDVGVLNYRLDLKVSKSVREAIALSRNTPPGPPPLCSICQHKAPVFGHPPRWFTYAELEHATNGFSQSNFLAEGGFGSVHRGILPDGQAIAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIENGKRLLVYEYICNGSLDSHLYGHNRNALQWSARKKIAVGAARGLRYLHEECRVGCIVHRDLRPNNILLTHDFEPLVGDFGLARWQPDGDRGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELITGRKAMDINRPKGQQCLTEWARPLLESHAMQELVDPRLGNCYTEQDVYGMLQCASLCIRRDPHSRPRMSQVLRMLEGDVITNWTVHT >EOY31003 pep chromosome:Theobroma_cacao_20110822:9:6622458:6627110:1 gene:TCM_038036 transcript:EOY31003 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase isoform 3 MHLEVNPRPVLLDFASQQSQPLLVESPLTTTSPSRIWVHLDQINEETGPKVALKSDKWIGFKLQDTARVSHNTQLFSRFSFDPTSKLGLDVASCILTRAPLGQDAEGKTKYVIRPYTPISDPDAKGYFDLLIKVYPEGKMSQHFASLKPGDVVEVKGPIEKLRYSPNMKKHIGMIAGGTGITPMLQVIEAILKKPDDNTQVSLLYANVSPDDILLKQKLDILAASHPNLKVFYTVDNPSKNWKGGAGYISKDMVTKGLPGPGEDTLILVCGPPGMMKHISGDKAKDYSQGELTGILKELGYTEQMVYKF >EOY31002 pep chromosome:Theobroma_cacao_20110822:9:6622227:6627425:1 gene:TCM_038036 transcript:EOY31002 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase isoform 3 MATTFFRRLAKATPPSFTDAFGGQPKAGFAGFRFSAIAAVAGGISSYYYFSESNLVHLDQINEETGPKVALKSDKWIGFKLQDTARVSHNTQLFRFSFDPTSKLGLDVASCILTRAPLGQDAEGKTKYVIRPYTPISDPDAKGYFDLLIKVYPEGKMSQHFASLKPGDVVEVKGPIEKLRYSPNMKKHIGMIAGGTGITPMLQVIEAILKKPDDNTQVSLLYANVSPDDILLKQKLDILAASHPNLKVFYTVDNPSKNWKGGAGYISKDMVTKGLPGPGEDTLILVCGPPGMMKHISGDKAKDYSQGELTGILKELGYTEQMVYKF >EOY31004 pep chromosome:Theobroma_cacao_20110822:9:6622280:6627458:1 gene:TCM_038036 transcript:EOY31004 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase isoform 3 MATTFFRRLAKATPPSFTDAFGGQPKAGFAGFRFSAIAAVAGGISSYYYFSESNLVHLDQINEETGPKVALKSDKWIGFKLQDTARVSHNTQLFRFSFDPTSKLGLDVASCILTRAPLGQDAEGKTKYVIRPYTPISDPDAKGYFDLLIKVYPEGKMSQHFASLKPGDVVEVKGPIEKLRYSPNMKKHIGMIAGGTGITPMLQVIEAILKKPDDNTQVSLLYANVSPDDILQKAYLVLVKIL >EOY32258 pep chromosome:Theobroma_cacao_20110822:9:25121466:25129650:1 gene:TCM_039950 transcript:EOY32258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIEKEGSEKEDKNDSNDDEGDESRFIVWRQEHFVKVRERLKLKGSLVKEILVEKQPLKGQVGLVERILFKIEGKCQLGLKGRGEIPSLVVHLVMEIFLEQVIVEDLVMK >EOY33049 pep chromosome:Theobroma_cacao_20110822:9:35096561:35098973:-1 gene:TCM_041053 transcript:EOY33049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein, putative isoform 1 MATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYICPEKTCVHHDRSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARFTSVSTTMIPAFRNDLINGANSNAQATGMHQFSSGFRPDFAGPELVENLNANGQKPRLQLWLDQANSQLNPIGIPSNANAFLATKSTSLPDLGIAPMTMFGSLSQTQWLSKYPEASFPGANLSVSALPRGLKEEEENKGNLSESITSLYSNNTRNSLQQGQAHMSATALLQKAAQMGSTRSNPAFNNSGFGLVSSSPSTMSSSNTYDQSKNEVYKLVKQANQSENINELVSSLSSTRATIMKDGSALGDLNSSSFVNNATTKNMDNLVMQANGKQGQAVVSKLNTNSNEVEASLTRDFLGVGGESSRPFLQQELAKFASMGSVQPWS >EOY33048 pep chromosome:Theobroma_cacao_20110822:9:35096544:35106968:-1 gene:TCM_041053 transcript:EOY33048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein, putative isoform 1 MMSQDGLSVPSTIRGLAQEPNSNPNPTNPTANPVKKKRNLPGTPDPDAEVIALSPKSLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYICPEKTCVHHDRSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARFTSVSTTMIPAFRNDLINGANSNAQATGMHQFSSGFRPDFAGPELVENLNANGQKPRLQLWLDQANSQLNPIGIPSNANAFLATKSTSLPDLGIAPMTMFGSLSQTQWLSKYPEASFPGANLSVSALPRGLKEEEENKGNLSESITSLYSNNTRNSLQQGQAHMSATALLQKAAQMGSTRSNPAFNNSGFGLVSSSPSTMSSSNTYDQSKNEVYKLVKQANQSENINELVSSLSSTRATIMKDGSALGDLNSSSFVNNATTKNMDNLVMQANGKQGQAVVSKLNTNSNEVEASLTRDFLGVGGESSRPFLQQELAKFASMGSVQPWS >EOY29940 pep chromosome:Theobroma_cacao_20110822:9:2868146:2872512:-1 gene:TCM_037317 transcript:EOY29940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF803) [Source:Projected from Arabidopsis thaliana (AT5G11960) TAIR;Acc:AT5G11960] MWESICLTLAATAGNNIGKVLQKKGTVILPPLSFKLKVIRAYAVNKSWVIGFLMDICGALLMLRALSLAPVSVIQPVSGCGLAILSIFSHFYLQEVMNVIDWMGITLAGIGTIGVGAGGEEQEASSVSMLQLPWLAFLVMILFVLLNGWLRICKRQRREQELMEYEVVEEIIYGLESGILFGMASVISKMGFVFAEQGFSRMLIPLCVSISICCSGTGFYYQTRGLKHGRAIVISTCAAVASIVTGVLAGMLALGERLPSAPVARISLLLGWLFIMMGVILLVSSTRLMRHLPWPLRNLIPSGVDRNFNLRRSGSYRVKDPSPSAVIQAATLHHLIPTPAKEKA >EOY29939 pep chromosome:Theobroma_cacao_20110822:9:2867607:2876303:-1 gene:TCM_037317 transcript:EOY29939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF803) [Source:Projected from Arabidopsis thaliana (AT5G11960) TAIR;Acc:AT5G11960] MEDYNRSRSYGNGMMQLDTYHGPPRPSASYDLRCYSASYAQSQMANNYNTGNNRDFKLKKGKSTSGSSSSKSWSFADPEFQRKKRVASYKMYSVEVGLLLFVALLFMFFLLVSKVIRAYAVNKSWVIGFLMDICGALLMLRALSLAPVSVIQPVSGCGLAILSIFSHFYLQEVMNVIDWMGITLAGIGTIGVGAGGEEQEASSVSMLQLPWLAFLVMILFVLLNGWLRICKRQRREQELMEYEVVEEIIYGLESGILFGMASVISKMGFVFAEQGFSRMLIPLCVSISICCSGTGFYYQTRGLKHGRAIVISTCAAVASIVTGVLAGMLALGERLPSAPVARISLLLGWLFIMMGVILLVSSTRLMRHLPWPLRNLIPSGVDRNFNLRRSGSYRVKDPSPSAVIQAATLHHLIPTPAKEKA >EOY33886 pep chromosome:Theobroma_cacao_20110822:9:39261237:39263404:-1 gene:TCM_041727 transcript:EOY33886 gene_biotype:protein_coding transcript_biotype:protein_coding description:UGT protein isoform 1 MEKLGEQASRLVLVLYPFQGHINPMLQLATIFHSKGYSITIVHPEFNSPNPSNHPQFTFISIPDRLLESKVSLSPGDFMSLVLALNKNCAAPLKQCLKRILDEMHPYERIAGIVYDALMYFAQTVADDLGLPGISMRPTAAAMLLFAVIPHPDQAMSENPTDAMSEVRAAALNATKRSSAMIANTMDFLEEAALSKIKEYSPAPIFTIGPFHKLAPSNSSSLLKEDAYCISWLDKQAPKSVIYVSFGSMASLSKQDIVEIAWGLANSEQPFLWVIRPGLVLGSEGTELLPESFQEKVGERGCIVKWAPQREVLAHDAVGGFWTHCGWNSTLESVSEGVAMLCKPCFGDQFLNMRYICYVWKIGLELDNELERGKIEGAIKRLMVDIEGVEIRNKAMDLKKKAEICLREGGTSYSSSNEFTKRIL >EOY33885 pep chromosome:Theobroma_cacao_20110822:9:39259572:39263436:-1 gene:TCM_041727 transcript:EOY33885 gene_biotype:protein_coding transcript_biotype:protein_coding description:UGT protein isoform 1 MEKLGEQASRLVLVLYPFQGHINPMLQLATIFHSKGYSITIVHPEFNSPNPSNHPQFTFISIPDRLLESKVSLSPGDFMSLVLALNKNCAAPLKQCLKRILDEMHPYERIAGIVYDALMYFAQTVADDLGLPGISMRPTAAAMLLFAVIPHPDQESVFENQLPELQPLQLKQMVAAMSENPTDAMSEVRAAALNATKRSSAMIANTMDFLEEAALSKIKEYSPAPIFTIGPFHKLAPSNSSSLLKEDAYCISWLDKQAPKSVIYVSFGSMASLSKQDIVEIAWGLANSEQPFLWVIRPGLVLGSEGTELLPESFQEKVGERGCIVKWAPQREVLAHDAVGGFWTHCGWNSTLESVSEGVAMLCKPCFGDQFLNMRYICYVWKIGLELDNELERGKIEGAIKRLMVDIEGVEIRNKAMDLKKKAEICLREGGTSYSSSNEFTKRIL >EOY33569 pep chromosome:Theobroma_cacao_20110822:9:38102733:38104009:-1 gene:TCM_041514 transcript:EOY33569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGEAAQGRVATKPKFCLATHACGVALTASQVPSALWVLTIKLEEPAQIGQHRGSWEISETEDDRFKCCSLVVV >EOY34438 pep chromosome:Theobroma_cacao_20110822:9:41095949:41098111:-1 gene:TCM_042118 transcript:EOY34438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-D-binding Myb-like transcription factor 1 isoform 1 MGKKMHEKDGHKRVNNQVEEGNAVEKESDFLDVSAGNMNDVSKLINRDHDKNKRKQDNCEKKKDRMKKRKSEFDTDKKESQKAPKKHKKSKDGVGEVKEASMEICRAGKENIKNESSKAMYLGNNFELEAKRAWKDKHKNKIRDGIDAVTTATETLEHGKMQETVEVLKSCSTEDINKMVHGKEREYEKRKKKKHNLEKVTGNVDGHIAVMSSITDGNETDKKKDREDGNVIKKVEDSRNGKKRKKKSINYGLDVDKPRKTSTGIENHEFLYSNVELNGNAVECRKLGIAVDNDEDGNRDKKKKKKKKSKSLKTGSDGKEHQSFKGYKDREVDSNDKEDPADNEVECTELGGGVDNTEDGNRGRKKKSKSVKSDSEGKHHKGDARTRKSVNTTNQSEDAAPKDISKKVSFSDHVEVFPCADGIVDENMDGKEGLVYGKRYSKEEDAIVMDAVANYIESNNLGEEGLDMVLNCRAYPQVRNCWKEIQAAIPWRPCDSVYRRAHVLFERDEKRPWTPEEYELIQKFVEKHGPRWKLLADTLGKHRHHVKDTWRRIKLTNAKRGNWSQEEYQNLFDLVNLDLSMKAFEEKKSKHGMLRDNICWSAISDKMETRGFALCCKKWYYSLASPMVAEGIWADADDYRMLDALSSLDACCMEDVDWDNLIEHRSGDLCRKRWNQMVQHIGPHGVKSFAEQVEVLANRYRPDMLDAREAYDSKCPVDLP >EOY34437 pep chromosome:Theobroma_cacao_20110822:9:41095437:41099585:-1 gene:TCM_042118 transcript:EOY34437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-D-binding Myb-like transcription factor 1 isoform 1 MGKKMHEKDGHKRVNNQVEEGNAVEKESDFLDVSAGNMNDVSKLINRDHDKNKRKQDNCEKKKDRMKKRKSEFDTDKKESQKAPKKHKKSKDGVGEVKEASMEICRAGKENIKNESSKAMYLGNNFELEAKRAWKDKHKNKIRDGIDAVTTATETLEHGKMQETVEVLKSCSTEDINKMVHGKEREYEKRKKKKHNLEKVTGNVDGHIAVMSSITDGNETDKKKDREDGNVIKKVEDSRNGKKRKKKSINYGLDVDKPRKTSTGIENHEFLYSNVELNGNAVECRKLGIAVDNDEDGNRDKKKKKKKKSKSLKTGSDGKEHQSFKGYKDREVDSNDKEDPADNEVECTELGGGVDNTEDGNRGRKKKSKSVKSDSEGKHHKGDARTRKSVNTTNQSEDAAPKDISKKVSFSDHVEVFPCADGIVDENMDGKEGLVYGKRYSKEEDAIVMDAVANYIESNNLGEEGLDMVLNCRAYPQVRNCWKEIQAAIPWRPCDSVYRRAHVLFERDEKRPWTPEEYELIQKFVEKHGPRWKLLADTLGKHRHHVKDTWRRIKLTNAKRGNWSQEEYQNLFDLVNLDLSMKAFEEKKSKHGMLRDNICWSAISDKMETRGFALCCKKWYYSLASPMVAEGIWADADDYRMLDALSSLDACCMEDVDWDNLIEHRSGDLCRKRWNQMVQHIGPHGVKSFAEQVEVLANRYRPDMLDAREAYDSKCPVDLP >EOY34439 pep chromosome:Theobroma_cacao_20110822:9:41094720:41099416:-1 gene:TCM_042118 transcript:EOY34439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-D-binding Myb-like transcription factor 1 isoform 1 MGKKMHEKDGHKRVNNQVEEGNAVEKESDFLDVSAGNMNDVSKLINRDHDKNKRKQDNCEKKKDRMKKRKSEFDTDKKESQKAPKKHKKSKDGVGEVKEASMEICRAGKENIKNESSKAMYLGNNFELEAKRAWKDKHKNKIRDGIDAVTTATETLEHGKMQETVEVLKSCSTEDINKMVHGKEREYEKRKKKKHNLEKVTGNVDGHIAVMSSITDGNETDKKKDREDGNVIKKVEDSRNGKKRKKKSINYGLDVDKPRKTSTGIENHEFLYSNVELNGNAVECRKLGIAVDNDEDGNRDKKKKKKKKSKSLKTGSDGKEHQSFKGYKDREVDSNDKEDPADNEVECTELGGGVDNTEDGNRGRKKKSKSVKSDSEGKHHKGDARTRKSVNTTNQSEDAAPKDISKKVSFSDHVEVFPCADGIVDENMDGKEGLVYGKRYSKEEDAIVMDAVANYIESNNLGEEGLDMVLNCRAYPQVRNCWKEIQAAIPWRPCDSVYRRAHVLFERDEKRPWTPEEYELIQKFVEKHGPRWKLLADTLGKHRHHVKDTWRRIKLTNAKRGNWSQEEYQNLFDLVNLDLSMKAFEEKKSKHGMLRDNICWSAISDKMETRGFALCCKKWYYSLASPMVAEGIWADADDYRMLDALSSLDACCMEDVDWDNLIEHRSGDLCRKRWNQMVQHIGPHGVKSFAEQVEVLANRYRPDMLDAREAYDSKCPVDLP >EOY29216 pep chromosome:Theobroma_cacao_20110822:9:383476:388147:-1 gene:TCM_036819 transcript:EOY29216 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 MAAWKKPNLLGLLTALHQVARNIGSSSRAYSAVSNTRKSSRNPQKKLPSQLETDSSETSSVQDEPEVSELIPPPGKIQRGLQPDSEDGDSREVTVMEVGSSSVASSTRSRRNFIQRSGLGNQDSLPSPSVTLASRSASQATRANASKYGLRNLKCNSISDVVPSGCSSSDSSLSRRKETVKKRNSDGEGSSSTRGKKLSGSSLEGRNNISSHGVSISDSRRARNWPPNRDSGVASVRTQRSNSSYGRGRLPNHADGNSITLNESPVVIPQVHQSDIPIDLNAPVSTETAATRASSYGRAGSISESLRSVMPSSPSEVGVTRSSLNLDSFQRYNMDGIAEVLLALERIEQDEELTYEQLLVLETSLFLNGLNFYDQHREMRLDIDNMSYEELLALEERMGSVSTALSEEALSKCLTKSIYEATSSEDGNVSCEGEKDDVKCSICQEEYVIGDEVGRLQCEHRYHVACIQQWLRVKNWCPICKASAEPMQSSAPSS >EOY29218 pep chromosome:Theobroma_cacao_20110822:9:383543:386118:-1 gene:TCM_036819 transcript:EOY29218 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 MAAWKKPNLLGLLTALHQVARNIGSSSRAYSAVSNTRKSSRNPQKKLPSQLETDSSETSSVQDEPEVSELIPPPGKIQRGLQPDSEDGDSREVTVMEVGSSSVASSTRSRRNFIQRSGLGNQDSLPSPSVTLASRSASQATRANASKYGLRNLKCNSISDVVPSGCSSSDSSLSRRKETVKKRNSDGEGSSSTRGKKLSGSSLEGRNNISSHGVSISDSRRARNWPPNRDSGVASVRTQRSNSSYGRGRLPNHADGNSITLNESPVVIPQVHQSDIPIDLNAPVSTETAATRASSYGRAGSISESLRSVMPSSPSEVGVTRSSLNLDSFQRYNMDGIAEVLLALERIEQDEELTYEQLLVLETSFLHFISPHFMLLVEICGKLFLLL >EOY29217 pep chromosome:Theobroma_cacao_20110822:9:384604:385929:-1 gene:TCM_036819 transcript:EOY29217 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative isoform 2 MAAWKKPNLLGLLTALHQVARNIGSSSRAYSAVSNTRKSSRNPQKKLPSQLETDSSETSSVQDEPEVSELIPPPGKIQRGLQPDSEDGDSREVTVMEVGSSSVASSTRSRRNFIQRSGLGNQDSLPSPSVTLASRSASQATRANASKYGLRNLKCNSISDVVPSGCSSSDSSLSRRKETVKKRNSDGEGSSSTRGKKLSGSSLEGRNNISSHGVSISDSRRARNWPPNRDSGVASVRTQRSNSSYGRGRLPNHADGNSITLNESPVVIPQVHQSDIPIDLNAPVSTETAATRASSYGRAGSISESLRSVMPSSPSEVGVTRSSLNLDSFQRYNMDGIAEVLLALERIEQDEELTYEVN >EOY34229 pep chromosome:Theobroma_cacao_20110822:9:40409640:40422681:-1 gene:TCM_041972 transcript:EOY34229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNKRKKAALSYTSETAVEGAGESSLEPSVFNLTRGAVELSQEASHGYGDSDGKKHENKKKTEKGEVGNVGDGEGKSLIEDGESKRKKEKNEIGNFEEDEEKMVIEEPSEKKKHKKEKSGRKIENVLANGMKIEGNGVKIEGEMRHEAEGQGENKKKRKSRGIEEGIENDSS >EOY33366 pep chromosome:Theobroma_cacao_20110822:9:37154094:37156071:-1 gene:TCM_041346 transcript:EOY33366 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MASPPPSSSIANGGGGTGGETIPNLHPDIIQAHILARLDGPTLASTSCVSSHLRSLSTKENLWRHICSSTWPSVNQPRAKQVISTFPSGHRSFFSDSFPFPNLQSLKLNVKSSTLATELILAVDIYYQGNPICSKVEEIETSSSWFLSSPFRVDLLDPKNSTPAPIKYGVGEDNTGLKHMDENLSLSWIVIDPARKKAVNMSSKRAVSVQRHWLTGNVQVRFGTVMEGDEGWGSSREMVECGVVVTCGGNEGGDMHVREVSMVMEDMEGKGLSGVESLVILEGVMESGRRKKGGKEKYEEFVERKKERKERKERRDRVLDLVCLIAGCGAFWSLILFTAI >EOY30697 pep chromosome:Theobroma_cacao_20110822:9:5477305:5480588:1 gene:TCM_037820 transcript:EOY30697 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing protein, putative MDKSKGIENGYPEEYREQYLAALTVASFKYEKLSNETALALNGLKTKIVRQVRAKRNKPKALKEPNPTGGSVNAQNLPGTPSLCLPLSSYFPPDIPPVARLNGLIGRCSKPFEKQLTESDVKVSQCRLSLNKSDVQNAVMPLLKKEEDSTDGIPVKTYDANGKEYPMTFITWSSKFHVLKEGWMKFCTDHSLLAHQDFVTLWAFRNLQTGDLSFVITSRRLEVFEAIKRKRLN >EOY29948 pep chromosome:Theobroma_cacao_20110822:9:2895099:2898766:-1 gene:TCM_037322 transcript:EOY29948 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 SGRKVILEVGCGAGNAVFPLIATYPDVFVHACDFSPRAVNLVKAHKEFTQTRVSAFVCDLTTDDLSKQISPASVDVVTMIFVLSAVSPEKMPSVLQNIKKVLKPNGYVLFRDYAVGDLAQERFSGKDQKISENFYVRGDGTRAFYFSNEFLTSLFKEQGLDAEELGLCCKQVENRARELVMNRRWVQAVFRYSDCVNSFPSSEAPTKAVVEPVEDVVDMSEGMAVEMFGVPTTNDNEVINIELDCRNFKIKVLSKEYQHTCKSTGLMLWESARLMAAILARNPNIVAGKRVLELGCGCGGICSMVAVRTANTVVATDGDRKALELLTQNITSNLRPPFLTKLITKRLEWGNRDDIEAIKALNPEGFDLIIGTDVTYIPEAILPLFSTARDLMSSNRSGGRDEAPALILCHIFRRVDEPSLLSAASQFGFRLVDKWAKASAADQSQSLISSWFPEDSFKEHIPTSALNIMYFQID >EOY29944 pep chromosome:Theobroma_cacao_20110822:9:2894926:2900123:-1 gene:TCM_037322 transcript:EOY29944 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MMASNTQNNVEQQQPAQSQRIQIYPTTNPGVSPFWRDKYERDAKKYWDQFYRRHQDRFFKDRHYLDKEWDQYFSGSGRKVILEVGCGAGNAVFPLIATYPDVFVHACDFSPRAVNLVKAHKEFTQTRVSAFVCDLTTDDLSKQISPASVDVVTMIFVLSAVSPEKMPSVLQNIKKVLKPNGYVLFRDYAVGDLAQERFSGKDQKISENFYVRGDGTRAFYFSNEFLTSLFKEQGLDAEELGLCCKQVENRARELVMNRRWVQAVFRYSDCVNSFPSSEAPTKAVVEPVEDVVDMSEGMAVEMFGVPTTNDNEVINIELDCRNFKIKVLSKEYQHTCKSTGLMLWESARLMAAILARNPNIVAGKRVLELGCGCGGICSMVAVRTANTVVATDGDRKALELLTQNITSNLRPPFLTKLITKRLEWGNRDDIEAIKALNPEGFDLIIGTDVTYIPEAILPLFSTARDLMSSNRSGGRDEAPALILCHIFRRVDEPSLLSAASQFGFRLVDKWAKASAADQSQSLISSWFPEDSFKEHIPTSALNIMYFQID >EOY29945 pep chromosome:Theobroma_cacao_20110822:9:2895138:2900079:-1 gene:TCM_037322 transcript:EOY29945 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MMASNTQNNVEQQQPAQSQRIQIYPTTNPGVSPFWRDKYERDAKKYWDQFYRRHQDRFFKDRHYLDKEWDQYFSGSGRKVILEVGCGAGNAVFPLIATYPDVFVHACDFSPRAVNLVKAHKEFTQTRVSAFVCDLTTDDLSKQISPASVDVVTMIFVLSAVSPEKMPSVLQNIKKVLKPNGYVLFRDYAVGDLAQERFSGKDQKISENFYVRGDGTRAFYFSNEFLTSLFKEQGLDAEELGLCCKQVENRARELVMNRRWVQAVFRYSDCVNSFPSSEAPTKAVVEPVEDVVDMSEGMAVEMFGVPTTNDNEVINIELDCRNFKIKVLSKEYQHTCKSTGLMLWESARLMAAILARNPNIVAGKRVLELGCGCGGICSMVAVRTANTVVATDGDRKALELLTQNITSNLRPPFLTKLITKRLEWGNRDDIEAIKALNPEGFDLIIGTDVTYIPEAILPLFSTARDLMSSNRSGGRDEAPALILCHIFRRVDEPSLLSAASQFGFRLVDKWAKASAADQSQSLISSWFPEDSFKEHIPTSALNIMYFQID >EOY29946 pep chromosome:Theobroma_cacao_20110822:9:2895216:2900095:-1 gene:TCM_037322 transcript:EOY29946 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MMASNTQNNVEQQQPAQSQRIQIYPTTNPGVSPFWRDKYERDAKKYWDQFYRRHQDRFFKDRHYLDKEWDQYFSGSGRKVILEVGCGAGNAVFPLIATYPDVFVHACDFSPRAVNLVKAHKEFTQTRVSAFVCDLTTDDLSKQISPASVDVVTMIFVLSAVSPEKMPSVLQNIKKVLKPNGYVLFRDYAVGDLAQERFSGKDQKISENFYVRGDGTVEDVVDMSEGMAVEMFGVPTTNDNEVINIELDCRNFKIKVLSKEYQHTCKSTGLMLWESARLMAAILARNPNIVAGKRVLELGCGCGGICSMVAVRTANTVVATDGDRKALELLTQNITSNLRPPFLTKLITKRLEWGNRDDIEAIKALNPEGFDLIIGTDVTYIPEAILPLFSTARDLMSSNRSGGRDEAPALILCHIFRRVDEPSLLSAASQFGFRLVDKWAKASAADQSQSLISSWFPEDSFKEHIPTSALNIMYFQID >EOY29947 pep chromosome:Theobroma_cacao_20110822:9:2895099:2900195:-1 gene:TCM_037322 transcript:EOY29947 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MMASNTQNNVEQQQPAQSQRIQIYPTTNPGVSPFWRDKYERDAKKYWDQFYRRHQDRFFKDRHYLDKEWDQYFSGSGRKVILEVGCGAGNAVFPLIATYPDVFVHACDFSPRAVNLVKAHKEFTQTRVSAFVCDLTTDDLSKQISPASVDVVTMIFVLSAVSPEKMPSVLQNIKKVLKPNGYVLFRDYAVGDLAQEDVVDMSEGMAVEMFGVPTTNDNEVINIELDCRNFKIKVLSKEYQHTCKSTGLMLWESARLMAAILARNPNIVAGKRVLELGCGCGGICSMVAVRTANTVVATDGDRKALELLTQNITSNLRPPFLTKLITKRLEWGNRDDIEAIKALNPEGFDLIIGTDVTYIPEAILPLFSTARDLMSSNRSGGRDEAPALILCHIFRRVDEPSLLSAASQFGFRLVDKWAKASAADQSQSLISSWFPEDSFKEHIPTSALNIMYFQID >EOY31757 pep chromosome:Theobroma_cacao_20110822:9:13666004:13673824:-1 gene:TCM_038877 transcript:EOY31757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pro-like protein MGSSCGEGTSTCCIGQHQGGTYNPYQPYLPYPYYPAVHNTSQSPYPYPPMPNAFPNPYPYNPIQRTPYPPASTPVTASTTQQTTPSNNHTTGESRGWRNKQEKVQFDPIPIPYAELFTQEVYVKRNIREVETSMEKVFEALVKADMLEVWPECPNVNDSRDIQRICCLYHKGCVGHSIQDCSSFRKEVQRMMDESKIEFYTEASESAVNMISKESTHPMKIKPLTIFYEPKREFVEDKNRAKMIIEVPKPFPYKDNKAVPWNYNCNVQVLEAKKWIAESQDDAANITGVGGITRSGRCYSPEAFENLKNEKGEEKEQSPREKKVQPPESTDGSKRSVTEKEAAEFLKFIKHSEYNVVEQLNRMPARISLLSLLLSSEPHRNSLMKILNQAYVDHDISVENLDYIVGNISVGNIISFSDEEIPSGGRGNYKALHITTKCKGCTVAKVLLDNGSSLNVMPMRTLARLPINMSYMRKSQMIVRAFDGTRREVVGDIEIPVEIGPCTFTIEFQVMDIAPSYNYLLGRPWIHMAGAIPSSLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXEEVPECSFRSFEFVNTTYVGEGTSPPIPRLSKTTKMIVSQILGKGYRAGAGLGKELQGIRSPIRTTKNEERFGLGYKPTKKEREEMIAERRKERLARFKGHELEIRGMTYPHLYKTFRSGGCIFPESLTVGNQESVSALGGTFSDLSICATEEGEEQSGNVDEIPTTYLGPPNLKLSNWTTMSLPVTCDSVSK >EOY31101 pep chromosome:Theobroma_cacao_20110822:9:7051317:7055521:1 gene:TCM_038107 transcript:EOY31101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CPG-binding domain 10, putative isoform 1 MASSVEKKETEGAKEDVVCLELPAPPGWKKKFMPKKGGTPKKNEILFTAPTGEEISNRKQLEQYLKAHPGGPAVSVFDWGTGETPRRSARISEKVKAMPTPESEPPKKRGRKSSASKKDNKETETGPEGTEETKDDHMQEAEKSEKDNEGEAGKVAVQENENENKNKTQDGDGKTESTPQEVKLGEDANVSTNVEYGTESADAASKKLKNPKDGVEADASGVAEKEKEGSEGTASQGKVEQPVAEAEKGLRSGEQEKLDIGITGEIKNKVEVEEKGKHDISATESEGAIKEKESANCNEGQNTSVVNEIDKKAEEAIQNGSNGNNAGEIKP >EOY31102 pep chromosome:Theobroma_cacao_20110822:9:7049798:7055506:1 gene:TCM_038107 transcript:EOY31102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CPG-binding domain 10, putative isoform 1 MASSVEKKETEGAKEDVVCLELPAPPGWKKKFMPKKGGTPKKNEILFTAPTGEEISNRKQLEQYLKAHPGGPAVSVFDWGTGETPRRSARISEKVKAMPTPESEPPKKRGRKSSASKKDNKETETGPEGTEETKDDHMQEAEKSEKDNEGEAGKVAVQENENENKNKTQDGDGKTESTPQEVKLGEDANVSTNVEYGTESADAASKKLKNPKDGVEADASGVAEKEKEGSEGTASQGKVEQPVAEAEKGLRSGEQEKLDIGITGEIKNKVEVEEKGKHDISATESEGAIKEKESANCNEGQNTSVVNEIDKKAEEAIQNGSNGNNAGEIKP >EOY34318 pep chromosome:Theobroma_cacao_20110822:9:40682112:40685953:-1 gene:TCM_042032 transcript:EOY34318 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein, putative isoform 1 MAKKRKQRSSEPEPTKTTPDRQPIQEEEQQQQPEYVADEDPNAKVEEEQQLQEVEEEVEEEVEEEVEEEEEEEEEEEENEEDDDEEAENQTLVGSSNAAVQNGVPKETSQEEELDDEPFEKLLEPFGKDQLITLIKKAVEKHPEFMSSVREFADADPAHRKIFVHGLSWDTTAETLTAEFIKYGEIEECKAVTDRVSGKSKGYAFILFKHRSGARRALKQPQKKIGNRITSCQLASQGPVPAPPPSAPPVSEYTQRKIFVSNVSAEVDPEKLLEYFRQYGEIEEGPLGLDKQSGKPKGFALFVYRSIESARRALEEPHKNFEGHVLHCQKAIDGPKPNKGGYGGSGSGGHHQYQQHQQGQHQMQPHYHHAKKGKYSTGGSDTGHLMAPSGPAAVGFNPGVAAAGFNPGVAAAAPALNPALGQALTALLATQGAGLGLGNLLGGLSGAPVNQGAPAAGYGNQVAGGYGSQMGVQGGYQNPQMGQGGAGRTQPGGGAPYMGH >EOY34317 pep chromosome:Theobroma_cacao_20110822:9:40681889:40686043:-1 gene:TCM_042032 transcript:EOY34317 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein, putative isoform 1 MAKKRKQRSSEPEPTKTTPDRQPIQEEEQQQQPEYVADEDPNAKVEEEQQLQEVEEEVEEEVEEEVEEEEEEEEEEEENEEDDDEEAENQTLVGSSNAAVQNGVPKETSQEEELDDEPFEKLLEPFGKDQLITLIKKAVEKHPEFMSSVREFADADPAHRKIFVHGLSWDTTAETLTAEFIKYGEIEECKAVTDRVSGKSKGYAFILFKHRSGARRALKQPQKKIGNRITSCQLASQGPVPAPPPSAPPVSEYTQRKIFVSNVSAEVDPEKLLEYFRQYGEIEEGPLGLDKQSGKPKGFALFVYRSIESARRALEEPHKNFEGHVLHCQKAIDGPKPNKGGYGGSGSGGHHQYQQHQQGQHQMQPHYHHAKKGKYSTGGSDTGHLMAPSGPAAVGFNPGVAAAGFNPGVAAAAPALNPALGQALTALLATQGAGLGLGNLLGGLSGAPVNQGAPAAGYGNQVAGGYGSQMGVQGGYQNPQMGQGGAGRTQPGGGAPYMGH >EOY34320 pep chromosome:Theobroma_cacao_20110822:9:40681889:40686043:-1 gene:TCM_042032 transcript:EOY34320 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein, putative isoform 1 MAKKRKQRSSEPEPTKTTPDRQPIQEEEQQQQPEYVADEDPNAKVEEEQQLQEVEEEVEEEVEEEVEEEEEEEEEEEENEEDDDEEAENQTLVGSSNAAVQNGVPKETSQEEELDDEPFEKLLEPFGKDQLITLIKKAVEKHPEFMSSVREFADADPAHRKIFVHGLSWDTTAETLTAEFIKYGEIEECKAVTDRVSGKSKGYAFILFKHRSGARRALKQPQKKIGNRITSCQLASQGPVPAPPPSAPPVSEYTQRKIFVSNVSAEVDPEKLLEYFRQYGEIEEGPLGLDKQSGKPKGFALFVYRSIESARRALEEPHKNFEGHVLHCQKAIDGPKPNKGGYGGSGSGGHHQYQQHQQGQHQMQPHYHHAKKGKYSTGGSDTGHLMAPSGPAAVGFNPGVAAAGFNPGVAAAAPALNPALGQALTALLATQGAGLGLGNLLGGLSGAPVNQGAPAAGYGNQVAGGYGSQMGVQGGYQNPQMGQGGAGRTQPGGGAPYMGH >EOY34319 pep chromosome:Theobroma_cacao_20110822:9:40684351:40685853:-1 gene:TCM_042032 transcript:EOY34319 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein, putative isoform 1 MAKKRKQRSSEPEPTKTTPDRQPIQEEEQQQQPEYVADEDPNAKVEEEQQLQEVEEEVEEEVEEEVEEEEEEEEEEEENEEDDDEEAENQTLVGSSNAAVQNGVPKETSQEEELDDEPFEKLLEPFGKDQLITLIKKAVEKHPEFMSSVREFADADPAHRKIFVHGLSWDTTAETLTAEFIKYGEIEECKAVTDRVSGKSKGYAFILFKHRSGARRALKQPQKKIGNRITSCQLASQGPVPAPPPSAPPVSEYTQRKIFVSNVSAEVDPEKLLEYFRQYGEIEEGPLGLDKQSGKPKGFALFVYRSIESARRALEEPHKNFEGHVLHCQKAIDGPKPNKGGYGGSGSGGHHQYQQHQQGQHQMQPHYHHAKKGKYSTGGSDTGHLMAPSGPAAVGFNPGVAAAGFNPGVAAAAPALNPALGQALTALLATQGAGLGLGNLLGGLSGAPVNQGAPAAGYGNQVAGGYGSQMGVQGGYQNPQMGQGGAGRTQPGGGAPYMGH >EOY33969 pep chromosome:Theobroma_cacao_20110822:9:39514755:39521308:1 gene:TCM_041790 transcript:EOY33969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein, putative MAKQFLGTHKTKITIMHRLSPSFTDAYANLLEIYARDRALKSGRLLHAHLIINGLARLTDLASKFKAFYTECGQISDARKLFDKIPKTNVSRWTSIIGAYCQRGYYQEAINVFSEMQAEGLGVNIYVIPRILKACGHVLDQETGEKIHCSCLKKSFETDAFVTSSLIFMYSKCGQVEKAKKVFDGMFEKDLVALNALVSGYAQKGLVEEGLRLVLEMKLMGMRPDVVTWNTLIAGFSKKDEHWMVSKVLELMLAYGIETDVVSWTSVISGLVQNFRYDEAFGSFKKMIKQGLYPSSATISSLLPACMSAVNLKLGKEVHGYALVIGAENDIYVKSALVDMYAKCGFISEARTLFYKMSERNTVTWNSMIFGYANHGFCEEAIDLFRQMEEEGKKPDHLTFTAVLTACSHAGLVELGQSLFNLMLEKYKITPRSEHYACLVDLFGRAGKVNEAYDVIKTMPTEPDLFVWGALLGACRTHGNIHLAELAAKHLRELEPGSTGNNLLLANLYADVGSWGNVLRLKKVIKKRKLRKFLGCSWLEGS >EOY30952 pep chromosome:Theobroma_cacao_20110822:9:6421386:6424737:1 gene:TCM_037992 transcript:EOY30952 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein MTGELQLEPAGARNPSDSDPLLQNQADSLSSASSSEIRSEDIENVSAPCCRICLECDGEEDDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVELFEDNSWRKIKFRLFVARDVFLVFLAVQTVIAAMGGFAYFMDKDGAFRKSFSDGWDRILSKHPIPFYYCIGVLAFFVLLGFFGLILHCSSLNSNDPRMAGCQNCCYGWGILDCFPASMEACFALVVVFVVIFAILGIAYGFLAATMAIQRIWQKHYHILTKRELTKEYIVEDLHGSYTQPKLDPEHEERLKVMKLL >EOY31008 pep chromosome:Theobroma_cacao_20110822:9:6667031:6668469:-1 gene:TCM_038045 transcript:EOY31008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein MENPLQLKCLNHVSLVCRSIEKSLDFYQNVLGFFPIKRPGSFDFSGAWLFNYGIGIHLLQSENPDNMPKIGRINPKDNHISFQCECMATVEKKLKEMKLEYVKGGVEDGGIRVDQLFFHDPDGTMIEVCNCDNLPVVPLPQDAMPSCSLINCNIQRQQQQNIEYVVNI >EOY31033 pep chromosome:Theobroma_cacao_20110822:9:6722976:6726028:-1 gene:TCM_038057 transcript:EOY31033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis regulatory protein (RRS1) family protein isoform 1 MEESKKPFQVDLGNLLAFNPFHSFPSVPTSRDELVKACLEEGTKLVQAVADSLFNLPSTEDVDGPLVKLPSPTTRLPREKHLPKPKPPTKWEEFAKKKGSIKKHKKDKVVWDEQTGTWKRRYGYDRVNDDKDIPIIDAKMTDEPGVDPFAKRQDDKKNRVEKQEKNRLQNLKQAAKVGALPSHVQLAATALPITGTQVPPKKVTKDELGNVAGMAATSTASGGKFDRKFPGEKPAKKQGKHRKFLPVVEGSGIGSREKEQTENVLNKLISKHSHEILNVDKAVTMYNVKKEKKARNRKNQEGKSSSGSNKLKPKKQLHKKTAKKGSGSSKKGKAK >EOY31032 pep chromosome:Theobroma_cacao_20110822:9:6722819:6726079:-1 gene:TCM_038057 transcript:EOY31032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis regulatory protein (RRS1) family protein isoform 1 MEESKKPFQVDLGNLLAFNPFHSFPSVPTSRDELVKACLEEGTKLVQAVADSLFNLPSTEDVDGPLVKLPSPTTRLPREKHLPKPKPPTKWEEFAKKKGIKKHKKDKVVWDEQTGTWKRRYGYDRVNDDKDIPIIDAKMTDEPGVDPFAKRQDDKKNRVEKQEKNRLQNLKQAAKVGALPSHVQLAATALPITGTQVPPKKVTKDELGNVAGMAATSTASGGKFDRKFPGEKPAKKQGKHRKFLPVVEGSGIGSREKEQTENVLNKLISKHSHEILNVDKAVTMYNVKKEKKARNRKNQEGKSSSGSNKLKPKKQLHKKTAKKGSGSSKKGKAK >EOY32108 pep chromosome:Theobroma_cacao_20110822:9:21096158:21101455:1 gene:TCM_039606 transcript:EOY32108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGIIMDSIQKPEARASRCYPSKYLDANVDLDEVIGLLCNEGTEWKINKDMLVYFKANAMKNNYKVWYHFLAARLLSMKHLSDVTKYRALLLYAIVSGTSINVG >EOY29096 pep chromosome:Theobroma_cacao_20110822:9:102593:104831:-1 gene:TCM_036753 transcript:EOY29096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFTSNRILTCQVHFGATKEALSLYFAKCGVVENVVILTDKVTGRPRGSSYITFAGKESLDKAVTLCGATFVSRIVKV >EOY31743 pep chromosome:Theobroma_cacao_20110822:9:13524399:13528007:-1 gene:TCM_038860 transcript:EOY31743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFIKYENLEASHVVQRDKWAFHVAINTHYDDCRVNKGVEQGVGGVGNPKAIGKEISGEEPTGADSPTGGDLPQMVVYIPHARAHLLLRPYSIFAT >EOY33958 pep chromosome:Theobroma_cacao_20110822:9:39474394:39477388:1 gene:TCM_041781 transcript:EOY33958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MACSATTKPLLNLEISQSHRPKKPLPLFFCSFTNRPRLSPKTLCSQAKDYCKIDIFSSPSLFCSRKPRFQVAAQRDYSSGEEAESLGPDSAYQERFSWSSVILPFLFPALGGLLFGYDIGATSGATISLQSPELSGITWFNLSAVQLGLVVSGSLYGALLGSLLVYPIADFLGRRRELIIAALLYVLGGSLTAYAPDLSVLLVGRLLYGLGIGLAMHGAPLYIAETCPSQIRGTLISLKELFIVLGILLGYFVGSFQINAVGGWRFMYGCSAPIALLMGIGMWSLPPSPRWLLLRAVQGKGYLQEYKEKAILALSKLRGRAPGDEASEKQIEDNLLSVKSTYVEQESEGNILEVFQGPSLKAFIIAGGLVLFQQITGQPSVLYYAGPILQSAGFSAAADATRLSVLVVTNDLDSCC >EOY33956 pep chromosome:Theobroma_cacao_20110822:9:39474394:39478079:1 gene:TCM_041781 transcript:EOY33956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MACSATTKPLLNLEISQSHRPKKPLPLFFCSFTNRPRLSPKTLCSQAKDYCKIDIFSSPSLFCSRKPRFQVAAQRDYSSGEEAESLGPDSAYQERFSWSSVILPFLFPALGGLLFGYDIGATSGATISLQSPELSGITWFNLSAVQLGLVVSGSLYGALLGSLLVYPIADFLGRRRELIIAALLYVLGGSLTAYAPDLSVLLVGRLLYGLGIGLAMHGAPLYIAETCPSQIRGTLISLKELFIVLGILLGYFVGSFQINAVGGWRFMYGCSAPIALLMGIGMWSLPPSPRWLLLRAVQGDEASEKQIEDNLLSVKSTYVEQESEGNILEVFQGPSLKAFIIAGGLVLFQQITGQPSVLYYAGPILQSAGFSAAADATRLSVLVGLFKLLMTWIAVVKVDDLGRRPLLIGGVGGIALSLFLLSAYYKFLGGFPFVAVAALLLYVGCYQISFGPISWLMVSEIFPLRTRGKGISLAVLTNFGSNAIVTFAFSPLK >EOY33955 pep chromosome:Theobroma_cacao_20110822:9:39474380:39478814:1 gene:TCM_041781 transcript:EOY33955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MACSATTKPLLNLEISQSHRPKKPLPLFFCSFTNRPRLSPKTLCSQAKDYCKIDIFSSPSLFCSRKPRFQVAAQRDYSSGEEAESLGPDSAYQERFSWSSVILPFLFPALGGLLFGYDIGATSGATISLQSPELSGITWFNLSAVQLGLVVSGSLYGALLGSLLVYPIADFLGRRRELIIAALLYVLGGSLTAYAPDLSVLLVGRLLYGLGIGLAMHGAPLYIAETCPSQIRGTLISLKELFIVLGILLGYFVGSFQINAVGGWRFMYGCSAPIALLMGIGMWSLPPSPRWLLLRAVQGKGYLQEYKEKAILALSKLRGRAPGDEASEKQIEDNLLSVKSTYVEQESEGNILEVFQGPSLKAFIIAGGLVLFQQITGQPSVLYYAGPILQSAGFSAAADATRLSVLVGLFKLLMTWIAVVKVDDLGRRPLLIGGVGGIALSLFLLSAYYKFLGGFPFVAVAALLLYVGCYQISFGPISWLMVSEIFPLRTRGKGISLAVLTNFGSNAIVTFAFSPLKELLGAENLFLVFGAIALSSLLFVVLYVPETKGLSLEEIESKILK >EOY33957 pep chromosome:Theobroma_cacao_20110822:9:39474394:39477584:1 gene:TCM_041781 transcript:EOY33957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MACSATTKPLLNLEISQSHRPKKPLPLFFCSFTNRPRLSPKTLCSQAKDYCKIDIFSSPSLFCSRKPRFQVAAQRDYSSGEEAESLGPDSAYQERFSWSSVILPFLFPALGGLLFGYDIGATSGATISLQSPELSGITWFNLSAVQLGLVVSGSLYGALLGSLLVYPIADFLGRRRELIIAALLYVLGGSLTAYAPDLSVLLVGRLLYGLGIGLAMHGAPLYIAETCPSQIRGTLISLKELFIVLGILLGYFVGSFQINAVGGWRFMYGCSAPIALLMGIGMWSLPPSPRWLLLRAVQGKGYLQEYKEKAILALSKLRGRAPGDEASEKQIEDNLLSVKSTYVEQESEGNILEVFQGPSLKAFIIAGGLVLFQQITGQPSVLYYAGPILQSAGFSAAADATRLSVLVGLFKLLMTWIAVVKVDDLGRRPLLIGGVGGIVCKLFPSFLLNCHALRLFPCFFFLL >EOY30287 pep chromosome:Theobroma_cacao_20110822:9:4077416:4079710:1 gene:TCM_037550 transcript:EOY30287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 5 isoform 3 MMSGRNRFPFTASQWQELEHQALIFKYMVSGIPIPPDLLFTIKRSCLDSSLSSRLFSRQPQHIGWNCFQMGLGRKVDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEVTTATIANPSTATPNISSITKTHPSSFSSLSSMSLSSSESQQHHHQLRYPGYHSQVNHPFLYPHASRPPGIGLSPQENTTHPLLDSGTYSQTNTDYRRNSYVYGLKEEVDEHAFFSEPSGTMRSFSGPSVDDSWQLTPLTMSSSFSKQRSCSGLQSEYSYLQLQSLTDHTSKQNKQDDEHCYILGSDIKCEMPIKLEKGEPQKTVHRFFDEWPPKHRDSWLDLDDKSSNSSSVSTTRLSISIPSTSHDFPIFNSRAHNDA >EOY30286 pep chromosome:Theobroma_cacao_20110822:9:4077416:4079710:1 gene:TCM_037550 transcript:EOY30286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 5 isoform 3 MMSGRNRFPFTASQWQELEHQALIFKYMVSGIPIPPDLLFTIKRSCLDSSLSSRLFSRQPQHIGWNCFQMGLGRKVDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEVTTATIANPSTATPNISSITKTHPSSFSSLSSMSLSSSESQQHHHQLRYPGYHSQVNHPFLYPHASRPPGIGLSPQENTTHPLLDSGTYSQTNTDYRNSYVYGLKEEVDEHAFFSEPSGTMRSFSGPSVDDSWQLTPLTMSSSFSKQRSCSGLQSEYSYLQLQSLTDHTSKQNKQDDEHCYILGSDIKCEMPIKLEKGEPQKTVHRFFDEWPPKHRDSWLDLDDKSSNSSSVSTTRLSISIPSTSHDFPIFNSRAHNDA >EOY30288 pep chromosome:Theobroma_cacao_20110822:9:4077416:4079710:1 gene:TCM_037550 transcript:EOY30288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 5 isoform 3 MMSGRNRFPFTASQWQELEHQALIFKYMVSGIPIPPDLLFTIKRSCLDSSLSSRLFSRQPQHIGWNCFQMGLGRKVDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEVTTATIANPSTATPNISSITKTHPSSFSSLSSMSLSSSESQQHHHQLRYPGYHSQVNHPFLYPHASRPPGIGLSPQENTTHPLLDSGTYSQTNTDYSYVYGLKEEVDEHAFFSEPSGTMRSFSGPSVDDSWQLTPLTMSSSFSKQRSCSGLQSEYSYLQLQSLTDHTSKQNKQDDEHCYILGSDIKCEMPIKLEKGEPQKTVHRFFDEWPPKHRDSWLDLDDKSSNSSSVSTTRLSISIPSTSHDFPIFNSRAHNDA >EOY29911 pep chromosome:Theobroma_cacao_20110822:9:2782384:2785483:1 gene:TCM_037295 transcript:EOY29911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor SUI1 family protein MAEKPQPVRVLYCPICSLPAEYCEFGPDFEKCKPWLIKNAPELYPDLCKEANEKEAEKVSEQLQSVGISSADGAASSAQPGDTSSSKQEEVKRLPGGKIKKKEKQEVVIEKVVRNRRKCITIVKGLDLFGVKLSDASKKLGKKFATGASVVKGPTDKEQIDVQGDIAYDIVEFITETWPAVPETAIFFIEDGKKVPAA >EOY34687 pep chromosome:Theobroma_cacao_20110822:9:41797186:41802046:-1 gene:TCM_042278 transcript:EOY34687 gene_biotype:protein_coding transcript_biotype:protein_coding description:NT domain of poly(A) polymerase and terminal uridylyl transferase-containing protein, putative isoform 1 MGDLRVCYPNGDISREDRLCPSPFPSPPFSLSLSNPGQPCSIARESWDSAEETARRIVWSVQPTLDADRKRKEIVEYVQRLIQDGLGYQVFPYGSVPLKTYLPDGDIDLTTLSSPAIEDTLVSDVHAILRGEEHNQKAPYRVKDVHCIDAEVKLVKCLVQDIVVDISFNQLGGLCTLCFLEQIDRLVGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHLFHSSLTGPIAVLYRFLDYFSKFDWENYCISLNGPVCKSSLPDIVAEVPENVGNNPLLSEEFLRKCINMFSVPSKGVETNSRLFPLKHLNIIDPLKENNNLGRSVNRGNYYRIRSAFKYGAHKLEQILILPRERIPDELVKFFANTLERHGSNHLTGMQNLPSTSDARGYDHVMPSPCASMCSGNYLFAKSINVGSSNNRMSGSIAASGSRYKLGCPFDVLTSQVVPEKKANVNRNAVSGNCHPGDAKEFVLSGLLAMKSENDSSDSFPPSSNLGASLSVKPRTCRQMGMVEIGNSFKSTLTDSIAADDMSFALKPYSKNDTLAASNVVCKRELAGIFGDSESLKSLLDLTGDYDGQFWSLLYGQYCHLFSVSSPVSPHLQNENHWETIEQSIPLKQDLYSQRDSNGILGSQFCFSKPPVAVHTALDSEDKKKRGTGTYIPSIKYRSNRERHSSGRGIFQASRAYSQLQRYTNNKGSATVQQEMALSQEGSHELSPKEYPALGPVKFGPPNTHPPYPSVWGLCAASGLNCPPERFESESSSLELQSTNMPEDNALPDPCTCGSTPSVMIPAAQSAKPVLESNQESDAGLSYHLKNEHDFPPLSL >EOY34688 pep chromosome:Theobroma_cacao_20110822:9:41797687:41801457:-1 gene:TCM_042278 transcript:EOY34688 gene_biotype:protein_coding transcript_biotype:protein_coding description:NT domain of poly(A) polymerase and terminal uridylyl transferase-containing protein, putative isoform 1 MGDLRVCYPNGDISREDRLCPSPFPSPPFSLSLSNPGQPCSIARESWDSAEETARRIVWSVQPTLDADRKRKEIVEYVQRLIQDGLGYQVFPYGSVPLKTYLPDGDIDLTTLSSPAIEDTLVSDVHAILRGEEHNQKAPYRVKDVHCIDAEVKLVKCLVQDIVVDISFNQLGGLCTLCFLEQIDRLVGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHLFHSSLTGPIAVLYRFLDYFSKFDWENYCISLNGPVCKSSLPDIVAEVPENVGNNPLLSEEFLRKCINMFSVPSKGVETNSRLFPLKHLNIIDPLKENNNLGRSVNRGNYYRIRSAFKYGAHKLEQILILPRERIPDELVKFFANTLERHGSNHLTGMQNLPSTSDARGYDHVMPSPCASMCSGNYLFAKSINVGSSNNRMSGSIAASGSRYKLGCPFDVLTSQVVPEKKANVNRNAVSGNCHPGDAKEFVLSGLLAMKSENDSSDSFPPSSNLGASLSVKPRTCRQMGMVEIGNSFKSTLTDSIAADDMSFALKPYSKNDTLAASNVVCKRELAGIFGDSESLKSLLDLTGDYDGQFWSLLYGQYCHLFSVSSPVSPHLQNENHWETIEQSIPLKQDLYSQRDSNGILGSQFCFSKPPVAVHTALDSEDKKKRGTGTYIPSIKYRSNRERHSSGRGIFQASRAYSQLQRYTNNKGSATVQQEMALSQEGSHELSPKEYPALGPVKFGPPNTHPPYPSVWGLCAASGLNCPPERFESESSSLELQSTNMPEDNALPDPCTCGSTPSVMIPAAQSAKPVLESNQERLSSKSLRFGFLVMQGCHTI >EOY29491 pep chromosome:Theobroma_cacao_20110822:9:1346847:1351420:1 gene:TCM_037009 transcript:EOY29491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MLFYFFPYSFPPVIPGSDQWRAILGLLKESTLLSFLPWPVAIIPLSFFASNSSSFVLHCSKQPIRGIEVTLMEANLDLSMTYDSSNTTVLHTAASQGHTEVVNFLLEKDSSVAAIASRNGKTALHSAAKNGHLEIVKALLSKEPGIATRIDKNGQTALHMAVKGQNVEVVDELIKSDPSLINMVDAKGNTALHIGSRKGRIQVVNSYITLQQITNQKLLIVQKLLNHSGDHKLVINKFGETAPDTAEIYKLSDIAGILRNHGVQCAKFIEPQPTNSARELNKTPSDIRNEVHQQLLRTRQTRKRVRDIAKKLNRMEVHGLNNAIISTTVVAVLIATIAFAAIFNIPGQYADSPEDTTPFMIFVVSDSVALFISLGVVLVQTSVAVTGRQAKKQLMAVINKLLCLACVLVSVAFLGLSYIVVGEDERWLANVVTGIGTVIMVSTLGTLCTVLRIQKLGSSGGQQPPVDHHTPIDKDILNDEDFEIIDAV >EOY33270 pep chromosome:Theobroma_cacao_20110822:9:36184995:36189768:-1 gene:TCM_041215 transcript:EOY33270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer membrane OMP85 family protein isoform 3 MGAQKSIHAGKAKIDVNVDFTHKLCASLRLPSLRNSGSPLSLLIGSLCIKHPNLFGGSEKLDVSWDKGLYDSNILVAYRRPRPQWVAQQCFVMQHSLSPEIGVHGIPVDNFSRSGSGGVNLSRLSVGLDLNEPASSKWSSTTSIKFENVRLLNDDGRSITRDLDGFPVTCSGNAHDSMVVLKQESQYAKANDRSFSRFTMQIEQGIPVLSKWLIFNRFKCVASKGIKVGPAFLLTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRSCLVANTELTFPLSKMLEGSLFLDCGTDLGSGRLVPGNPALRQGKPGSGVGLGYGLRFKSPIGHFQVDYAINAFHQKTLYFGITNLAS >EOY33271 pep chromosome:Theobroma_cacao_20110822:9:36185001:36189645:-1 gene:TCM_041215 transcript:EOY33271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer membrane OMP85 family protein isoform 3 MGAQKSIHAGKAKIDVNVDFTHKLCASLRLPSLRNSGSPLSLLIGSLCIKHPNLFGGSEKLDVSWDKGLYDSNILVAYRRPRPQWVAQQCFVMQHSLSPEIGVHGIPVDNFSRSGSGGVNLSRLSVGLDLNEPASSKWSSTTSIKFENVRLLNDDGRSITRDLDGFPVTCSGNAHDSMVVLKQESQYAKFTMQIEQGIPVLSKWLIFNRFKCVASKGIKVGPAFLLTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRSCLVANTELTFPLSKMLEGSLFLDCGTDLGSGRLVPGNPALRQGKPGSGVGLGYGLRFKSPIGHFQVDYAINAFHQKTLYFGITNLAS >EOY33272 pep chromosome:Theobroma_cacao_20110822:9:36185349:36189645:-1 gene:TCM_041215 transcript:EOY33272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer membrane OMP85 family protein isoform 3 MGAQKSIHAGKAKIDVNVDFTHKLCASLRLPSLRNSGSPLSLLIGSLCIKHPNLFGGSEKLDVSWDKGLYDSNILVAYRRPRPQWVAQQCFVMQNVRLLNDDGRSITRDLDGFPVTCSGNAHDSMVVLKQESQYAKANDRSFSRFTMQIEQGIPVLSKWLIFNRFKCVASKGIKVGPAFLLTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRSCLVANTELTFPLSKMLEGSLFLDCGTDLGSGRLVPGNPALRQGKPGSGVGLGYGLRFKSPIGHFQVDYAINAFHQKTLYFGITNLAS >EOY33268 pep chromosome:Theobroma_cacao_20110822:9:36184851:36189862:-1 gene:TCM_041215 transcript:EOY33268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer membrane OMP85 family protein isoform 3 MGAQKSIHAGKAKIDVNVDFTHKLCASLRLPSLRNSGSPLSLLIGSLCIKHPNLFGGSEKLDVSWDKGLYDSNILVAYRRPRPQWVAQQCFVMQHSLSPEIGVHGIPVDNFSRSGSGGVNLSRLSVGLDLNEPASSKWSSTTSIKFENVRLLNDDGRSITRDLDGFPVTCSGNAHDSMVVLKQESQYAKANDRSFSRFTMQIEQGIPVLSKWLIFNRFKCVASKGIKVGPAFLLTRHAMLLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRSCLVANTELTFPLIVFCCIQSKMLEGSLFLDCGTDLGSGRLVPGNPALRQGKPGSGVGLGYGLRFKSPIGHFQVDYAINAFHQKTLYFGITNLAS >EOY33269 pep chromosome:Theobroma_cacao_20110822:9:36185451:36188778:-1 gene:TCM_041215 transcript:EOY33269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer membrane OMP85 family protein isoform 3 MQHSLSPEIGVHGIPVDNFSRSGSGGVNLSRLSVGLDLNEPASSKWSSTTSIKFENVRLLNDDGRSITRDLDGFPVTCSGNAHDSMVVLKQESQYAKANDRSFSRFTMQIEQGIPVLSKWLIFNRFKCVASKGIKVGPAFLLTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRSCLVANTELTFPLSKMLEGSLFLDCGTDLGSGRLVPGNPALRQGKPGSGVGLGYGLRFKSPIGHFQVDYAINAFHQKTLYFGITNLAS >EOY33880 pep chromosome:Theobroma_cacao_20110822:9:39251688:39252558:-1 gene:TCM_041722 transcript:EOY33880 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein MALIPSFFANRRSSVFDPFSLDLWYPFKDFPFPSLTTHTPETSAFVNTRIDWKETPEAHVFKADLPGLKKEEVKVEVEDDRVLQISGERNIQKEDKNDTWHRVERSSGKFMRRFRLPENAKMDQIKASMENGVLTVTVPKLEEKNPDVKTIEISG >EOY32023 pep chromosome:Theobroma_cacao_20110822:9:19176311:19178717:1 gene:TCM_039430 transcript:EOY32023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MVPETIGSLLHHCSITKAFRSGLSFHAAVVKAGMQADVIVSNHVLNMYAKCGKISFARQVFDEMSEKNLVSWSAMISGYEQAGETSSALDLLSQMRLAPNEYIFASAISACANLLLLVEGRQIHAQSLKYGYASVSFVSNSLISMYMKCGHCSDALSVHSGASEPNAVSYNALITGFVENQQPEKGFEVFKHMHQQGLMPDRFTFVGLLGSCADLDALHRGMVLHCQTVKHGLDSTAFIGNVIMTLYSKFTSIQEVEKVFEFIEEKDGISWNTFIAACFHCEHHEKSLRAFREMFNEYHTRPDDFTFASVLSACAALASILYGKQIHAYLIRTRLNQDIGVGNALTNMYAKCGSIAYAYHVFNRMSHHNLVSWNTIIAAFGNHGLGSRALELFEQMRAIGLEPDSVTFVGLLMACNHAGLVDKGLHLFNSMQETYGITPDIEHFSCLIDLLGRAGRLNEAEDYMKKYPFGQDPVALGSLLSACRLHGDVVMGARLAKQLLKLQPVSTSPYVLLSNLYASDEMWDCVAEARKMLKGSGLKKEAGYSLVQVKGNFVKFTIGDFSHARIDEMMDALKTLAWEGGGIPLDLTS >EOY32626 pep chromosome:Theobroma_cacao_20110822:9:32173220:32181465:-1 gene:TCM_040634 transcript:EOY32626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-propeller, Kelch repeat type 1, Development/cell death domain, Kelch related, Kelch-type beta propeller-like protein isoform 2 MGAGRKTETFTLSEKKQQRLTINCSLTARNLRKSDLAGVIFGCKHSTHAECFSKKLFGLPAPHYSYVKNIEPGLPLFLFNYSDRKLHGIFEAASTGQLAINSSAWTAGGSEKTPYAAQVKIRIRVQCHPLLEDQFRPIIADNYYEPKLFWFELDQAQTNKLISMFSSSPITSASLSKKTEKMSAQFKALRPPNAKQECGAGETSAIKLGVSNMNLDSMGGSTLDPSIGRSYSSTVRNMNTSDAPATQSNVGWFTWKDPSSREERELYPCSINNEVASNRKQDGVYTNINCDSSYPCVLRCPQKKWSALFKEETCSGVTKEVEEFNLPASDSPDLFDGERESPCLPYYLDENSEVVKASLDLEESGKYGEVASLKPNCEVFQSSLVTEPSTSCLQNCETLPIAGEIQESEYFQLAAPEANLPFSGKVHNEWSSSRTSLGLKEEKHNLKVPQEENALELPGEDMLFKSDYNFSLFSFVSSEIVPTHNQLKDTEVQSTNLSFPEAALTSRINSSSIDSTVAKLLFEVEEMRLSQFKQAQKINSLEQNLVESRLEIQQLKDQCRMLETGFVARCVEADDLGEEEYQSVDDQPYPACDGSICLVGGFDGCSWLSALDIYSSSQDLMRTWTSMSFVHSYASAAKFNDEVYVLGGVDGNLWYDTVESYNPVSNQWTSHPPLKQRKGSFSVLSLKDSIFVFGGGNGVECFSEVEMFDPNTGRWIPIQSLLHKVALMEREWSQQLRSSTLMLVHG >EOY32625 pep chromosome:Theobroma_cacao_20110822:9:32172882:32180047:-1 gene:TCM_040634 transcript:EOY32625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-propeller, Kelch repeat type 1, Development/cell death domain, Kelch related, Kelch-type beta propeller-like protein isoform 2 GAGRKTETFTLSEKKQQRLTINCSLTARNLRKSDLAGVIFGCKHSTHAECFSKKLFGLPAPHYSYVKNIEPGLPLFLFNYSDRKLHGIFEAASTGQLAINSSAWTAGGSEKTPYAAQVKIRIRVQCHPLLEDQFRPIIADNYYEPKLFWFELDQAQTNKLISMFSSSPITSASLSKKTEKMSAQFKALRPPNAKQECGAGETSAIKLGVSNMNLDSMGGSTLDPSIGRSYSSTVRNMNTSDAPATQSNVGWFTWKDPSSREERELYPCSINNEVASNRKQDGVYTNINCDSSYPCVLRCPQKKWSALFKEETCSGVTKEVEEFNLPASDSPDLFDGERESPCLPYYLDENSEVVKASLDLEESGKYGEVASLKPNCEVFQSSLVTEPSTSCLQNCETLPIAGEIQESEYFQLAAPEANLPFSGKVHNEWSSSRTSLGLKEEKHNLKVPQEENALELPGEDMLFKSDYNFSLFSFVSSEIVPTHNQLKDTEVQSTNLSFPEAALTSRINSSSIDSTVAKLLFEVEEMRLSQFKQAQKINSLEQNLVESRLEIQQLKDQCRMLETGFVARCVEADDLGEEEYQSVDDQPYPACDGSICLVGGFDGCSWLSALDIYSSSQDLMRTWTSMSFVHSYASAAKFNDEVYVLGGVDGNLWYDTVESYNPVSNQWTSHPPLKQRKGSFSVLSLKDSIFVFGGGNGVECFSEVEMFDPNTGRWIPIQSLLHK >EOY32624 pep chromosome:Theobroma_cacao_20110822:9:32170495:32195578:-1 gene:TCM_040634 transcript:EOY32624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-propeller, Kelch repeat type 1, Development/cell death domain, Kelch related, Kelch-type beta propeller-like protein isoform 2 MGAGRKTETFTLSEKKQQRLTINCSLTARNLRKSDLAGVIFGCKHSTHAECFSKKLFGLPAPHYSYVKNIEPGLPLFLFNYSDRKLHGIFEAASTGQLAINSSAWTAGGSEKTPYAAQVKIRIRVQCHPLLEDQFRPIIADNYYEPKLFWFELDQAQTNKLISMFSSSPITSASLSKKTEKMSAQFKALRPPNAKQECGAGETSAIKLGVSNMNLDSMGGSTLDPSIGRSYSSTVRNMNTSDAPATQSNVGWFTWKDPSSREERELYPCSINNEVASNRKQDGVYTNINCDSSYPCVLRCPQKKWSALFKEETCSGVTKEVEEFNLPASDSPDLFDGERESPCLPYYLDENSEVVKASLDLEESGKYGEVASLKPNCEVFQSSLVTEPSTSCLQNCETLPIAGEIQESEYFQLAAPEANLPFSGKVHNEWSSSRTSLGLKEEKHNLKVPQEENALELPGEDMLFKSDYNFSLFSFVSSEIVPTHNQLKDTEVQSTNLSFPEAALTSRINSSSIDSTVAKLLFEVEEMRLSQFKQAQKINSLEQNLVESRLEIQQLKDQCRMLETGFVARCVEADDLGEEEYQSVDDQPYPACDGSICLVGGFDGCSWLSALDIYSSSQDLMRTWTSMSFVHSYASAAKFNDEVYVLGGVDGNLWYDTVESYNPVSNQWTSHPPLKQRKGSFSVLSLKDSIFVFGGGNGVECFSEVEMFDPNTGRWIPIQSLLHKRFAPAAAEVNGILYVAGGYNGKDYLKSIERLDPREHSWEKLESMATKRACHSLVVLNEKLYAIGGFDGTRMVSTVEVFDPHAGSWMMEESMRNSRGYFGSVVIRDEIHVIGGLQGEGEVLDKVETYKVGHGWQVKNWKAMGKRCFFSAVLL >EOY33062 pep chromosome:Theobroma_cacao_20110822:9:35303342:35309078:1 gene:TCM_041071 transcript:EOY33062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 4 isoform 1 MEIDLNHAVNEVEKTALCNGDCDKSSACVYCLSSSSSSCSSNSASPPGSSSIYLELWHACAGPLASLPKKGNVVVYFPQGHLEQVSSASPFSPLEMATFDLPPQIFCKVVNVQLLANKENDEVYTQVTLLPQPELGGPNLESKQLDELGVDEGGGGSPTKSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQTRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFVSQKNLVAGDAVLFLRGEDGELRLGIRRAVRPRNGLPDSVLAKQNSYPNVLSSVANAISTKSMFHVFYSPRASHAEFVVPFQKYIKGITNPVCTGTRFKMRFEMDDSPDRRCSGVVTGIGDSDPYRWPNSKWRCLMVRWDEDIVSDHQERVSPWEIDPSVSLPPLSIQSSPRLKKLRTGLQAAPPDTPITGGGGFLDFEESVRSSKVLQGQENVGFVSPLYGRDTVNCPLDFEMQSPAHQSLASTGIEKTNISEFLRARATTYTGFAESNGFPKVLQGQEICPLRSLTQKVDLNLGVWAKTNLGCNSFNMHQAPKTNCYPLASEGLRNMYFPYSDFYKAGQDPTMSSYTSTFLRGNVSFNPSSIKTGVIVDSVRKPNPLNEHKPLENIASPAFRKNLRNQQDDCFKGNVAGCKLFGFSLTAESPTPNSQNSGKRSCTKVSYLMVKVNLTLLPIALIVTLT >EOY33061 pep chromosome:Theobroma_cacao_20110822:9:35302821:35310706:1 gene:TCM_041071 transcript:EOY33061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 4 isoform 1 MEIDLNHAVNEVEKTALCNGDCDKSSACVYCLSSSSSSCSSNSASPPGSSSIYLELWHACAGPLASLPKKGNVVVYFPQGHLEQVSSASPFSPLEMATFDLPPQIFCKVVNVQLLANKENDEVYTQVTLLPQPELGGPNLESKQLDELGVDEGGGGSPTKSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQTRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFVSQKNLVAGDAVLFLRGEDGELRLGIRRAVRPRNGLPDSVLAKQNSYPNVLSSVANAISTKSMFHVFYSPRASHAEFVVPFQKYIKGITNPVCTGTRFKMRFEMDDSPDRRCSGVVTGIGDSDPYRWPNSKWRCLMVRWDEDIVSDHQERVSPWEIDPSVSLPPLSIQSSPRLKKLRTGLQAAPPDTPITGGGGFLDFEESVRSSKVLQGQENVGFVSPLYGRDTVNCPLDFEMQSPAHQSLASTGIEKTNISEFLRARATTYTGFAESNGFPKVLQGQEICPLRSLTQKVDLNLGVWAKTNLGCNSFNMHQAPKTNCYPLASEGLRNMYFPYSDFYKAGQDPTMSSYTSTFLRGNVSFNPSSIKTGVIVDSVRKPNPLNEHKPLENIASPAFRKNLRNQQDDCFKGNVAGCKLFGFSLTAESPTPNSQNSGSQARQLGWKSH >EOY33060 pep chromosome:Theobroma_cacao_20110822:9:35302821:35310706:1 gene:TCM_041071 transcript:EOY33060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 4 isoform 1 MEIDLNHAVNEVEKTALCNGDCDKSSACVYCLSSSSSSCSSNSASPPGSSSIYLELWHACAGPLASLPKKGNVVVYFPQGHLEQVSSASPFSPLEMATFDLPPQIFCKVVNVQLLANKENDEVYTQVTLLPQPELGGPNLESKQLDELGVDEGGGGSPTKSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQTRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFVSQKNLVAGDAVLFLRGEDGELRLGIRRAVRPRNGLPDSVLAKQNSYPNVLSSVANAISTKSMFHVFYSPRASHAEFVVPFQKYIKGITNPVCTGTRFKMRFEMDDSPDRRCSGVVTGIGDSDPYRWPNSKWRCLMVRWDEDIVSDHQERVSPWEIDPSVSLPPLSIQSSPRLKKLRTGLQAAPPDTPITGGGGFLDFEESVRSSKVLQGQENVGFVSPLYGRDTVNCPLDFEMQSPAHQSLASTGIEKTNISEFLRARATTYTGFAESNGFPKVLQGQEICPLRSLTQKVDLNLGVWAKTNLGCNSFNMHQAPKTNCYPLASEGLRNMYFPYSDFYKAGQDPTMSSYTSTFLRGNVSFNPSSIKTGVIVDSVRKPNPLNEHKPLENIASPAFRKNLRNQQDDCFKGNVAGCKLFGFSLTAESPTPNSQNSGKRSCTKVHKQGSLVGRAIDLSRLNGYDDLMTELERLFSMEGLLRDTDKGWRVLYTDSENDVMVVGDDPWHEFCDVVSKIHIHTQEEVEKMTIGMASDDTQSCLEQAPVIMEASKSSSVGQPDSSPTVIRV >EOY30140 pep chromosome:Theobroma_cacao_20110822:9:3550012:3556397:1 gene:TCM_037452 transcript:EOY30140 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein isoform 3 MMFSAQGSSRNHCSLLAVLSGGNVSDNKQKQPVSDDKPRYPFPELASSGRLEVQLLNSPNIDELRRVLESTEPNVVYLQGEQNADSEEIGPLIWGDVDLSTPETLCGLFDSTLPTTVYLETPNGDKLAEALHSQGVPYVIYWKNTFSRFAACHFRQALLSVIQSSCSHTWDAFQLAHASFRLYCVRNNNVVSSNSQKQSVKPGPRLLGEAPKIDVSQPEVDMQGEESSPENLPAIKIYDDDVTVRFLVCGSPCILDAFLLGSLEDGLNALLSIEIRGSKLHNRASAPPPPLQAGTFSRGVVTMRCDFSTCSSAHISLLVSGSAQTCFNDQLLENHIKNEIIEKSQLVHAQSSSEESKLPSSEPRRSASIACGASVFEVCMKVPTWASQVLRQLAPDVSYRSLVMLGIASIQGLSVASFEKDDAERLLFFCMRQDKDPLQDSSVIAISPSWLVPPAPSRKRSEPCKDSKPLNCTGMEGENGIARPKSNVAAMRPIPHTHRHKIIPFSGFSEAERYDGDQGKVNLPVVPVKQPAPVTHRKALSSSYQAQQIISLNPLPLKKHGCGRAPIQVCSEEEFLRDVMQFLILRGHTRLVPQGGLAEFPDAILNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTMTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRQGLGAFKDYAKTDGLEYVCPHCSISNFKKKPQKTVNGY >EOY30139 pep chromosome:Theobroma_cacao_20110822:9:3546203:3556697:1 gene:TCM_037452 transcript:EOY30139 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein isoform 3 MMFSAQGSSRNHCSLLAVLSGGNVSDNKQKQPVSDDKPRYPFPELASSGRLEVQLLNSPNIDELRRVLESTEPNVVYLQGEQNADSEEIGPLIWGDVDLSTPETLCGLFDSTLPTTVYLETPNGDKLAEALHSQGVPYVIYWKNTFSRFAACHFRQALLSVIQSSCSHTWDAFQLAHASFRLYCVRNNNVVSSNSQKQSVKPGPRLLGEAPKIDVSQPEVDMQGEESSPENLPAIKIYDDDVTVRFLVCGSPCILDAFLLGSLEDGLNALLSIEIRGSKLHNRASAPPPPLQAGTFSRGVVTMRCDFSTCSSAHISLLVSGSAQTCFNDQLLENHIKNEIIEKSQLVHAQSSSEESKLPSSEPRRSASIACGASVFEVCMKVPTWASQVLRQLAPDVSYRSLVMLGIASIQGLSVASFEKDDAERLLFFCMRQDKDPLQDSSVIAISPSWLVPPAPSRKRSEPCKDSKPLNCTGMEGENGIARPKSNVAAMRPIPHTHRHKIIPFSGFSEAERYDGDQGKVNLPVVPVKQPAPVTHRKALSSSYQAQQIISLNPLPLKKHGCGRAPIQVCSEEEFLRDVMQFLILRGHTRLVPQGGLAEFPDAILNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTMTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRQGLGAFKDYAKTDGLEYVCPHCSISNFKKKPQKTVNGY >EOY30141 pep chromosome:Theobroma_cacao_20110822:9:3550685:3556920:1 gene:TCM_037452 transcript:EOY30141 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein isoform 3 LASSGRLEVQLLNSPNIDELRRVLESTEPNVVYLQGEQNADSEEIGPLIWGDVDLSTPETLCGLFDSTLPTTVYLETPNGDKLAEALHSQGVPYVIYWKNTFSRFAACHFRQALLSVIQSSCSHTWDAFQLAHASFRLYCVRNNNVVSSNSQKQSVKPGPRLLGEAPKIDVSQPEVDMQGEESSPENLPAIKIYDDDVTVRFLVCGSPCILDAFLLGSLEDGLNALLSIEIRGSKLHNRASAPPPPLQAGTFSRGVVTMRCDFSTCSSAHISLLVSGSAQTCFNDQLLENHIKNEIIEKSQLVHAQSSSEESKLPSSEPRRSASIACGASVFEVCMKVPTWASQVLRQLAPDVSYRSLVMLGIASIQGLSVASFEKDDAERLLFFCMRQDKDPLQDSSVIAISPSWLVPPAPSRKRSEPCKDSKPLNCTGMEGENGIARPKSNVAAMRPIPHTHRHKIIPFSGFSEAERYDGDQGKVNLPVVPVKQPAPVTHRKALSSSYQAQQIISLNPLPLKKHGCGRAPIQVCSEEEFLRDVMQFLILRGHTRLVPQGGLAEFPDAILNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTMTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRQGLGAFKDYAKTDGLEYVCPHCSISNFKKKPQKTVNGGWLTWRR >EOY30142 pep chromosome:Theobroma_cacao_20110822:9:3550685:3555081:1 gene:TCM_037452 transcript:EOY30142 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARID/BRIGHT DNA-binding domain-containing protein isoform 3 LASSGRLEVQLLNSPNIDELRRVLESTEPNVVYLQGEQNADSEEIGPLIWGDVDLSTPETLCGLFDSTLPTTVYLETPNGDKLAEALHSQGVPYVIYWKNTFSRFAACHFRQALLSVIQSSCSHTWDAFQLAHASFRLYCVRNNNVVSSNSQKQSVKPGPRLLGEAPKIDVSQPEVDMQGEESSPENLPAIKIYDDDVTVRFLVCGSPCILDAFLLGSLEDGLNALLSIEIRGSKLHNRASAPPPPLQAGTFSRGVVTMRCDFSTCSSAHISLLVSGSAQTCFNDQLLENHIKNEIIEKSQLVHAQSSSEESKLPSSEPRRSASIACGASVFEVCMKVPTWASQVLRQLAPDVSYRSLVMLGIASIQGLSVASFEKDDAERLLFFCMRQDKDPLQDSSVIAISPSWLVPPAPSRKRSEPCKDSKPLNCTGMEGENGIARPKSNVAAMRPIPHTHRHKIIPFSGFSEAERYDGDQGKVNLPVVPVKQPAPVTHRKALSSSYQAQQIISLNPLPLKKHGCGRAPIQVCSEVSHLLIFSEFGF >EOY32731 pep chromosome:Theobroma_cacao_20110822:9:33152608:33158290:-1 gene:TCM_040754 transcript:EOY32731 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein isoform 2 MEIEVASCSQKGAAGGGAKGEVRGVYLVWQDLTVVLPNFANKPTKRLLQGLSGYAEPGRIMAIMGPSGSGKSTLLDSLAGRLARNVVMTGNILLNGKKKRLDYGGVAYVTQEDVMLGTLTVRETVSYSAHLRLPNNLTKEEVRDIVEGTIMEMGLQECADSVIGNWHLRGISGGEKKRLSIALEIITMPKILFLDEPTSGLDSASAFFVVQSLRNIARDGRTVISSVHQPSSEVFALFDDLFLLSGGETVYFGEAKMAVEFFAEAGFPCPSRRNPSDHFLRCINSDFDAVTATLKGSQRLRDKPTSSDPFLDMATAKIKAALVDKYRRSKYAKTARARNQEISKIEGLEVEIQSGSQARWLKQLTTLTKRSFVNMCRDAGYYWARIVIYILVSICVGTVFHNVGYGNTAILARVACGGFITGFMTFMSIGGFPSFIEEIKVFNKERLNGYYGVAAYTLSNFFSSFPFLVAIALITGTITFYLVKFRSGFSHYVFFCLNIFFSITVIESLMMVVASLVPNYLMGIVTGAGIIVSEKTSN >EOY32730 pep chromosome:Theobroma_cacao_20110822:9:33152017:33158820:-1 gene:TCM_040754 transcript:EOY32730 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein isoform 2 MEIEVASCSQKGAAGGGAKGEVRGVYLVWQDLTVVLPNFANKPTKRLLQGLSGYAEPGRIMAIMGPSGSGKSTLLDSLAGRLARNVVMTGNILLNGKKKRLDYGGVAYVTQEDVMLGTLTVRETVSYSAHLRLPNNLTKEEVRDIVEGTIMEMGLQECADSVIGNWHLRGISGGEKKRLSIALEIITMPKILFLDEPTSGLDSASAFFVVQSLRNIARDGRTVISSVHQPSSEVFALFDDLFLLSGGETVYFGEAKMAVEFFAEAGFPCPSRRNPSDHFLRCINSDFDAVTATLKGSQRLRDKPTSSDPFLDMATAKIKAALVDKYRRSKYAKTARARNQEISKIEGLEVEIQSGSQARWLKQLTTLTKRSFVNMCRDAGYYWARIVIYILVSICVGTVFHNVGYGNTAILARVACGGFITGFMTFMSIGGFPSFIEEIKVFNKERLNGYYGVAAYTLSNFFSSFPFLVAIALITGTITFYLVKFRSGFSHYVFFCLNIFFSITVIESLMMVVASLVPNYLMGIVTGAGIIGIIMMTSGFFRLLPDLPKIFWRYPISYISFSSWALQGAYKNDFIGLEFDPLLPGDPKLTGEEIITKYFGVPVDRSKWWDLSAVVLILVCYRLLFFSILKIKERASPLVQEIYAKRTLQHLSKRPSFRKTPSFASKRHQPLHSLSSQEGLNSPLH >EOY29416 pep chromosome:Theobroma_cacao_20110822:9:1102973:1107636:1 gene:TCM_036959 transcript:EOY29416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p (PB1) domain-containing protein / tetratricopeptide repeat (TPR)-containing protein MGKPTGKKKIQEAAQKAIEASRQNKAAADRTSKAFDEDTAIFINMSQELKEEGNKLFQKRDHEGAMLKYEKALNLLPRNHIDVAYLRSNMAASYMQMGLGEYPRAINECNLALEVSPKYSKALLKRARCYEALNRLDLAYRDVYNVLTIEPNNLSALEILESVKKAMDEKGVTVNENEIGLFNNEPSGASRLRKVVKEKLRKKKNKGKKVEKDVRSDDKVKEEKKAEDKVVVEEKKVSIVKDEEIVMKTIEEEKKAVKEETVITKTVKLVFGEDIRWAQLPVKCTIKLVRDIVRDRFPGLKGILVKYRDPEGDLVTITTTDELMLAESSSGVSGGSLRFYIVEVSPDQEPAYEGVSKDEVVKSEEKLSNVVGNGNADHGVEAIQGTCVEDWIVQFARLFKNHVGFDSDSYLDLHELGMKLYSEAMEDAVTSEEAQELFEIAADKFQEMAALALFNWGNVHMSRARKHVFFTEDGSTESVLVQIKTAYEWAQKEYVLAAKRYEEALTIKPDFYEGLLALGQQQFEQAKLCWYHAIGSKIDLETGPSQEVLQLYNKAEDSMEKGMQMWEEMEERRLNGLSKFDKYKALLQKMGLDGLFKDVSAEEAAEQAANMSSQIYLLWGTLLYERSVVEYKLGLPTWEECLEVAVEKFELAGASPTDIAVMIKNHCSNNTALKGLGFKIDEIVQAWNEMYDVKRWQIGVPSFRLEPLFRRRAPKLHSVLEHL >EOY32934 pep chromosome:Theobroma_cacao_20110822:9:34530090:34531472:1 gene:TCM_040949 transcript:EOY32934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2739 isoform 1 MASKLIRIASYQAESQQELSLRQAFDLLESKLRPPFPLTIPNPQEYTQLNQAIIYGVLIEPHFAKIHIKHLHAIVVDGYKLFLTLLVGIVNELYGKFVDSVKDQLIWVTREMIDVSAVGIDGLLVCLLRQIIGGDFSDGNLWLCFELVSVCLSKWDCLLEEEPLVLTSALYTFLRLLSDHCRLSSNLELGVLRQLEIEFCVRMLREQFHLCLKIGRDLVRLLQDLVHVPEFRTIWKDLVLNPTEFRIAGFSDISQLYCTRTSSRYFLLRITPEMETHLRFLLTHVMLGSQKRYQTWFAKKFLLGPERESLVVDIVRFLCCAHHPSNEIIQSNIIPRWAVIGWLLKCCRKNYIEANGKLALFYDWLFFNEKVDNIMNIEPAMLLMVCSLPNYIDFTHSLLEFLLLLVDNYDLDHKDILIRGVSSAFHTVVQKGVVHSLDVLTHCDGLSPSIRQRLQKLLFQ >EOY32933 pep chromosome:Theobroma_cacao_20110822:9:34529663:34534802:1 gene:TCM_040949 transcript:EOY32933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2739 isoform 1 MASKLIRIASYQAESQQELSLRQAFDLLESKLRPPFPLTIPNPQEYTQLNQAIIYGVLIEPHFAKIHIKHLHAIVVDGYKLFLTLLVGIVNELYGKFVDSVKDQLIWVTREMIDVSAVGIDGLLVCLLRQIIGGDFSDGNLWLCFELVSVCLSKWDCLLEEEPLVLTSALYTFLRLLSDHCRLSSNLELGVLRQLEIEFCVRMLREQFHLCLKIGRDLVRLLQDLVHVPEFRTIWKDLVLNPTEFRIAGFSDISQLYCTRTSSRYFLLRITPEMETHLRFLLTHVMLGSQKRYQTWFAKKFLLGPERESLVVDIVRFLCCAHHPSNEIIQSNIIPRWAVIGWLLKCCRKNYIEANGKLALFYDWLFFNEKVDNIMNIEPAMLLMVCSLPNYIDFTHSLLEFLLLLVDNYDLDHKDILIRGVSSAFHTVVQKGVESRRPSPPRSTTLTPTLGGPTSTQIVMDDAKRTNDSLTQEVKNLRHKAQLQEVEQERTTKQLKEVMAIAEVETAKCKASHQDTTAQGRSQSL >EOY33331 pep chromosome:Theobroma_cacao_20110822:9:36758708:36761723:-1 gene:TCM_041289 transcript:EOY33331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 55, putative isoform 1 MKKYGLQLRVPPSQQKKPVTRPPLPPPLGFRDDDDDDVEREISRQASKNKSLKEIEEQHRKALEEDPSVFDYDGVYDEMKEKVVRPRVQDREERQSKYIHNLIKKAEQRKWEQEIVYERKLVKERSKEDHLYADKDKFVTSAYKKKLAEQAKWMEEERLRQLREEKDDVTKKSDLSDFYFNLGKNVAFGANEVGPRMPEKHTESRKPEKKDEKEIDVIKRVQPLPNSNAPESSGVTDRTQDETSSRENFESQDSRPITVDVLPETALQETSSVKQPSVDQPKRDHHKRGEDAVAAARERFLARKRAKEQ >EOY33332 pep chromosome:Theobroma_cacao_20110822:9:36758541:36761755:-1 gene:TCM_041289 transcript:EOY33332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 55, putative isoform 1 MKKYGLQLRVPPSQQKKPVTRPPLPPPLGFRDDDDDDVEREISRQASKNKSLKEIEEQHRKALEEDPSVFDYDGVYDEMKEKVVRPRVQDREERQSKYIHNLIKKAEQRKWEQEIVYERKLVKERSKEDHLYADKDKFVTSAYKKKLAEQAKWMEEERLRQLREEKDDVTKKSDLSDFYFNLGKNVAFGANEVGPRMPEKHTESRKPEKKDEKEIDVIKRVQPLPNSNAPESSGVTDRTQDETSSRENFESQDSRPITVDVLPETALQETSSVKQPSVDQPKRDHHKRGEDAVAAARERFLARKRAKEQ >EOY33330 pep chromosome:Theobroma_cacao_20110822:9:36758903:36762044:-1 gene:TCM_041289 transcript:EOY33330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 55, putative isoform 1 MKKYGLQLRVPPSQQKKPVTRPPLPPPLGFRDDDDDDVEREISRQASKNKSLKEIEEQHRKALEEDPSVFDYDGVYDEMKEKVVRPRVQDREERQSKYIHNLIKKAEQRKWEQEIVYERKLVKERSKEDHLYADKDKFVTSAYKKKLAEQAKWMEEERLRQLREEKDDVTKKSDLSDFYFNLGKNVAFGANEVGPRMPEKHTESRKPEKKDEKEIDVIKRVQPLPNSNAPESSGVTDRTQDETSSRENFESQDSRPITVDVLPETALQETSSVKQPSVDQPKRDHHKRGEDAVAAARERFLARKRAKEQ >EOY33218 pep chromosome:Theobroma_cacao_20110822:9:35948023:35963810:1 gene:TCM_041172 transcript:EOY33218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 12 isoform 1 MYLDVADSASLPYGWSRYAQFSLAVVNQIHNKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPCRGYLVNDTLIVEAEVIVRRIVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYQAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDEGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDDDLAEQIGKDIYFDLVDHDKVRSFRIQKQTPFNVFKEEVSKEYGIPIQFQRFWLWAKRQNHTYRPNRPLTPLEETQSVGALREVSNKAHNAELKLFLEVELGLDLRPIAPPDKTKEDILLFFKHYDPEKEELHFVGRLFVKSTGKPIEILSKLNKMAGYAPDQEIDLYEEIKFEPSVMCEPIDKKLTVRASQLEDGDIICFQKSLPVESTEQFRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFCLEMSRLYSYDDVVERVAQKLDLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLIHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKTKN >EOY33217 pep chromosome:Theobroma_cacao_20110822:9:35946990:35965128:1 gene:TCM_041172 transcript:EOY33217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 12 isoform 1 MTVMTPAPVDQQEDEEMLVPHSDLTDNHQPMEVAAQPETASTVENQPVEDPPSSRFTWKIENFSRLNTKKHYSEVFPVGGFKWRILIFPKGNNVDHLSMYLDVADSASLPYGWSRYAQFSLAVVNQIHNKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPCRGYLVNDTLIVEAEVIVRRIVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYQAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDEGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDDDLAEQIGKDIYFDLVDHDKVRSFRIQKQTPFNVFKEEVSKEYGIPIQFQRFWLWAKRQNHTYRPNRPLTPLEETQSVGALREVSNKAHNAELKLFLEVELGLDLRPIAPPDKTKEDILLFFKHYDPEKEELHFVGRLFVKSTGKPIEILSKLNKMAGYAPDQEIDLYEEIKFEPSVMCEPIDKKLTVRASQLEDGDIICFQKSLPVESTEQFRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFCLEMSRLYSYDDVVERVAQKLDLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLIHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPNAELRLLEVFYHKIYKIFPPNEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFTKETAQNQMQILNFGEPFFLVIREGETLAEIKVRVQKKLQVPDEEFAKWKFAFLSLGRPEYLQDSDIVSGRFQRRDVYGAWEQYLGLEHSDNAPKRAYAANQNRHTFEKPVKIYN >EOY29500 pep chromosome:Theobroma_cacao_20110822:9:1363845:1368378:1 gene:TCM_037014 transcript:EOY29500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein, putative MEGKRDEFKPTNQRRRLERSLLVALGTQVQMEGERWRTIDGKAHEGDRGRRGWWVKGSSNLGIGHGQHTLNVLKFGAVGDGNTDDSHAFLKAWEALCGARGRTSTLVIPASKTFLVSHVQFLGPCSSSSVHVQVLGKIVAPEANAWSGCNYDCWLCFMGVHGLVIDGSGQIDGNGETWWNKPPSCRRPTALHFHVCHNLKLSGLTHLDSPNNHITIHSCNGVSISNLRITAPADSPNTDGIDIAGSTQVQISGSFIGTGDDCIAIKGGCSNINITKVTCGPGHGISIGSLGQGGAHEQVEHVHVRDCTFNGTQNGARIKTIPGGSGFARQISFEQITLIASGNPIYIDQYYCNGKANRCPDVGKATAVSGVAYIGFHGTSANDEAINLDCSNRGCTGITIENVNITSSVQGQPLQAICNNAHGRAISTVPVVSCLLP >EOY32153 pep chromosome:Theobroma_cacao_20110822:9:22367296:22374599:1 gene:TCM_039722 transcript:EOY32153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASEFDKWGWEHVTVFGVFDRGSGTKRWKCNHCNLRYNGSYSRVRAHLLRFSGVGVKSCLAINRTLREAFHILEEERLARKKKRTFGSGKPTFGCGYEPPSMDKLSDCFLSKEKGRIEKSITLVRESWPHTGYTVLCVGCLGCGHKALQDVVVSEEWKQWKHSILKDILIIEASILGDEFWSNAHMMLQLFKPFAKLLAMLDIDKSVMGAIYDWRVQALEVVRSKEIDETALNQLEVLIENKWNVLFSLLHAAGYILNPGYFGKARWVLRKQLSSYWRLEGSFGEEDALDCRDKMDLVAWWENFGFETPHLQTLAIKVLSQVSTISMCQDIWQDCKRLAVIIYILHGGVKMKKEMDFHPHPHHQFEDPILGNCPPNLTPSM >EOY31563 pep chromosome:Theobroma_cacao_20110822:9:9631665:9634839:-1 gene:TCM_038487 transcript:EOY31563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent phosphohydrolase isoform 2 MGSGSRVFPCRASSLAFLVPSFTSSTRLSFKSLLTRTSRSPSASTLHRMASSSNSSVTNGGNINPPAASSSSDGAPAVAAAAAATPSSASSAIDFLSLCHRLKTTKRAGWVRRDVQNPESIADHMYRMGLMALIASDIPGIDRDKCVKMAIVHDIAEAIVGDITPADGISKAEKSRREREALDHLCKLLGGGSRAKEIEELWMEYEENSSSEAKIVKDFDKVEMILQALEYENEQGVDLDEFFRSTAE >EOY31562 pep chromosome:Theobroma_cacao_20110822:9:9630784:9634824:-1 gene:TCM_038487 transcript:EOY31562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent phosphohydrolase isoform 2 MGSGSRVFPCRASSLAFLVPSFTSSTRLSFKSLLTRTSRSPSASTLHRMASSSNSSVTNGGNINPPAASSSSDGAPAVAAAAAATPSSASSAIDFLSLCHRLKTTKRAGWVRRDVQNPESIADHMYRMGLMALIASDIPGIDRDKCVKMAIVHDIAEAIVGDITPADGISKAEKSRREREALDHLCKLLGGGSRAKEIEELWMEYEENSSSEAKIVKDFDKVEMILQALEYENEQGVDLDEFFRSTAGKFQTDVGKALASEIASRRKQQ >EOY29839 pep chromosome:Theobroma_cacao_20110822:9:2512821:2514645:1 gene:TCM_037243 transcript:EOY29839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative MKGTAAPPRIRERCPKMMIGEPTRPNPTIKIPAWDPFNDPAANFPSVNYNCNAPNSPCPVDYLTSLHRYLPSNDFESDSLSDDSDLPVDAFSCDHFRMYEFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKFHYSGTACPDFRKGNCKKGDSCEFAHGVFECWLHPARYRTQPCKDGTSCKRRVCFFAHTPEQLRVLPQQSPRGNGAGSGDLDYVGSPIRHRLDFVSSPTSILASPPISPPSDSPPMSPSGSFNSASELAASMRNLQLGKAKMTGACSWGLQMGSGFGSPRGSTLRSSFCSSPSTPTRTPTRSGLGQFDLWECNAFEEEPAMERVESGRDLRARMYAKLSKENSLDRLDPTGSGPDVGWVSELVK >EOY31052 pep chromosome:Theobroma_cacao_20110822:9:6796823:6818639:1 gene:TCM_038072 transcript:EOY31052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3414) [Source:Projected from Arabidopsis thaliana (AT5G51200) TAIR;Acc:AT5G51200] MAVANDPIVEGFVGGVRLAWVVHLMLIHDEIGLSETVSTASSNELGYMNLCLESVFAHNVFHFLLDKVLRGAAYQNDDEDMVYMYNAYLHKLITCLLSHPVARDKVKESKEKTMITLNTYRTAGDFVHDSSLQGEQAAEGVPLPFVSLLEFVSEIYQKEPELLSGNDVLWTFVNFAGEDHTNFQTLVAFLNMLSTLASSPEGASKVYELLQGQAFRSIGWSTLFDCLSIYDEKFKQSLQTAGAILPEFQEGDAKALVAYLNVLQKVVQNGNPIERKNWFPDIEPLFKLLSYENVPPYLKGALRNTIATFVHVSPVLKDTIWTYLEQYDLPVVVGSHIGIGGQPMAAQVYDMQFELNEIEARREQYPSTISFLNLLNALIAEEKDVSDRGRRFFGIFRFVYDHVFGPFPQRAYADPCEKWQLVVACLQHFHMILSMYDIQQEDIDSVVDQSQLSAATQPPSLQTQMPVLELLKDFMSGKTVFRNVMSILLPGVNSIITARNSQVYGPLLEKVVQLSLEIIILVLEKDMLLADFWRPLYQPLDVILSQDHNQIVALLEYVRYDFLPQIQQCSIKIMSILSSRMVGLVQLLLKSNAATSLVEDYAACLELRSQECQVIENSGDDPGVLIMQLLVDNVGRPAPNITHLLLKFDLDTSIEQTLLQPKFHYSCLKVILEILENLSKPDVNALLHEFGFQLLYELCLDPLTCGPTMDLLSSKKYHFFVKHLDTIGVAPLPKRNNNQALRISSLHQRAWLLKLLAIELHAAYVSSPHHREACQRILAHLFGQGVVETGTDIISQSLILQISKEHAATRTISKTKVLELLEVVQFRSPDTTTKLSQIISNVKYDLMAEDILGNPTTTGKGGIYYYSERGDRLIDLASLRDKLWQKFNSVYPQLSNFGSEAELNEVRETIQQLLRWGWRYNKNLEEQAAQLHMLTGWSHIVEVSVSRRISSLENRSEILYQILDASLSASASPDCSLKMAFILSQVALTCMAKLRDDIFLCPVGLSSDSITCLDIIMVKQLSNGACHSILFKLIMAILRNESSEALRRRQYALLLSYFQYCQHMLAPNVPTTVLQQLLLDEQDGEELDLRKIDKEQAELARANFSILRKEAQAILDLVIKDATQGSEPGKTISLYVLDAVVCIDHERYFLNQLQSRGFLRSCLMSIRNFSCQDGGHSLDSLQRACTLEAELALLLRISHKYGKSGAEVLFSMGALDHIASCRAVNLQGSLRRVDTKLRRDVAVDIDKQRMIVTPMLRLVFSLTLLVDTSEFFEVKNKIVREVIDFVKGHQLLFDQVLREDVSGADELMMEQINLVVGILSKVWPYEESDEYGFVQGLFSMMHILFSSDSETATFSHSVRSPKNSMLFDYVLV >EOY31053 pep chromosome:Theobroma_cacao_20110822:9:6796823:6818920:1 gene:TCM_038072 transcript:EOY31053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3414) [Source:Projected from Arabidopsis thaliana (AT5G51200) TAIR;Acc:AT5G51200] MAVANDPIVEGFVGGVRLAWVVHLMLIHDEIGLSETVSTASSNELGYMNLCLESVFAHNVFHFLLDKVLRGAAYQNDDEDMVYMYNAYLHKLITCLLSHPVARDKVKESKEKTMITLNTYRTAGDFVHDSSLQGEQAAEGVPLPFVSLLEFVSEIYQKEPELLSGNDVLWTFVNFAGEDHTNFQTLVAFLNMLSTLASSPEGASKVYELLQGQAFRSIGWSTLFDCLSIYDEKFKQSLQTAGAILPEFQEGDAKALVAYLNVLQKVVQNGNPIERKNWFPDIEPLFKLLSYENVPPYLKGALRNTIATFVHVSPVLKDTIWTYLEQYDLPVVVGSHIGIGGQPMAAQVYDMQFELNEIEARREQYPSTISFLNLLNALIAEEKDVSDRGRRFFGIFRFVYDHVFGPFPQRAYADPCEKWQLVVACLQHFHMILSMYDIQQEDIDSVVDQSQLSAATQPPSLQTQMPVLELLKDFMSGKTVFRNVMSILLPGVNSIITARNSQVYGPLLEKVVQLSLEIIILVLEKDMLLADFWRPLYQPLDVILSQDHNQIVALLEYVRYDFLPQIQQCSIKIMSILSSRMVGLVQLLLKSNAATSLVEDYAACLELRSQECQVIENSGDDPGVLIMQLLVDNVGRPAPNITHLLLKFDLDTSIEQTLLQPKFHYSCLKVILEILENLSKPDVNALLHEFGFQLLYELCLDPLTCGPTMDLLSSKKYHFFVKHLDTIGVAPLPKRNNNQALRISSLHQRAWLLKLLAIELHAAYVSSPHHREACQRILAHLFGQGVVETGTDIISQSLILQISKEHAATRTISKTKVLELLEVVQFRSPDTTTKLSQIISNVKYDLMAEDILGNPTTTGKGGIYYYSERGDRLIDLASLRDKLWQKFNSVYPQLSNFGSEAELNEVRETIQQLLRWGWRYNKNLEEQAAQLHMLTGWSHIVEVSVSRRISSLENRSEILYQILDASLSASASPDCSLKMAFILSQVALTCMAKLRDDIFLCPVGLSSDSITCLDIIMVKQLSNGACHSILFKLIMAILRNESSEALRRRQYALLLSYFQYCQHMLAPNVPTTVLQQLLLDEQDGEELDLRKIDKEQAELARANFSILRKEAQAILDLVIKDATQGSEPGKTISLYVLDAVVCIDHERYFLNQLQSRGFLRSCLMSIRNFSCQDGGHSLDSLQRACTLEAELALLLRISHKYGKSGAEVLFSMGALDHIASCRAVNLQGSLRRVDTKLRRDVAVDIDKQRMIVTPMLRLVFSLTLLVDTSEFFEVKNKIVREVIDFVKGHQLLFDQVLREDVSGADELMMEQINLVVGILSKVWPYEESDEYGFVQGLFSMMHILFSSDSETATFSHSVRSPKNSMLFDYVLV >EOY31051 pep chromosome:Theobroma_cacao_20110822:9:6794998:6819600:1 gene:TCM_038072 transcript:EOY31051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3414) [Source:Projected from Arabidopsis thaliana (AT5G51200) TAIR;Acc:AT5G51200] MVSPKQLLSTIESSLLGPSPPTPAQRVELLHAIRSSLSSLQSLLSYPPPKPSDRAQVQSREVRLPDSPPISLDDQDVQIALKLSDDLHLNEIDCVRLLVSANQEWGLMGRGPLEILRLAAGLWYTERRDLIMALYTLLRAVVLDQGLEADLVADIQKYLEDLISAGLRQRLISLIKELNQEESAGLGGPLSERYLLDSRGALVERRAVVCRERLIIGHCLVLSVLVVRTSPKDVKDVFSALKDSAAELSESNDTLKHQITYSLLFSLIIAFLSDALSAVSDNSSILSHDASFRKEFHEIVMAVANDPIVEGFVGGVRLAWVVHLMLIHDEIGLSETVSTASSNELGYMNLCLESVFAHNVFHFLLDKVLRGAAYQNDDEDMVYMYNAYLHKLITCLLSHPVARDKVKESKEKTMITLNTYRTAGDFVHDSSLQGEQAAEGVPLPFVSLLEFVSEIYQKEPELLSGNDVLWTFVNFAGEDHTNFQTLVAFLNMLSTLASSPEGASKVYELLQGQAFRSIGWSTLFDCLSIYDEKFKQSLQTAGAILPEFQEGDAKALVAYLNVLQKVVQNGNPIERKNWFPDIEPLFKLLSYENVPPYLKGALRNTIATFVHVSPVLKDTIWTYLEQYDLPVVVGSHIGIGGQPMAAQVYDMQFELNEIEARREQYPSTISFLNLLNALIAEEKDVSDRGRRFFGIFRFVYDHVFGPFPQRAYADPCEKWQLVVACLQHFHMILSMYDIQQEDIDSVVDQSQLSAATQPPSLQTQMPVLELLKDFMSGKTVFRNVMSILLPGVNSIITARNSQVYGPLLEKVVQLSLEIIILVLEKDMLLADFWRPLYQPLDVILSQDHNQIVALLEYVRYDFLPQIQQCSIKIMSILSSRMVGLVQLLLKSNAATSLVEDYAACLELRSQECQVIENSGDDPGVLIMQLLVDNVGRPAPNITHLLLKFDLDTSIEQTLLQPKFHYSCLKVILEILENLSKPDVNALLHEFGFQLLYELCLDPLTCGPTMDLLSSKKYHFFVKHLDTIGVAPLPKRNNNQALRISSLHQRAWLLKLLAIELHAAYVSSPHHREACQRILAHLFGQGVVETGTDIISQSLILQISKEHAATRTISKTKVLELLEVVQFRSPDTTTKLSQIISNVKYDLMAEDILGNPTTTGKGGIYYYSERGDRLIDLASLRDKLWQKFNSVYPQLSNFGSEAELNEVRETIQQLLRWGWRYNKNLEEQAAQLHMLTGWSHIVEVSVSRRISSLENRSEILYQILDASLSASASPDCSLKMAFILSQVALTCMAKLRDDIFLCPVGLSSDSITCLDIIMVKQLSNGACHSILFKLIMAILRNESSEALRRRQYALLLSYFQYCQHMLAPNVPTTVLQQLLLDEQDGEELDLRKIDKEQAELARANFSILRKEAQAILDLVIKDATQGSEPGKTISLYVLDAVVCIDHERYFLNQLQSRGFLRSCLMSIRNFSCQDGGHSLDSLQRACTLEAELALLLRISHKYGKSGAEVLFSMGALDHIASCRAVNLQGSLRRVDTKLRRDVAVDIDKQRMIVTPMLRLVFSLTLLVDTSEFFEVKNKIVREVIDFVKGHQLLFDQVLREDVSGADELMMEQINLVVGILSKVWPYEESDEYGFVQGLFSMMHILFSSDSETATFSHSVRSPKNQRRSELNAFRLCFSLSSYLYFLVTKKSLRLQVSDDSPDYHSPAGPQQPTLNLLCSLLNAVTNSLERASEEKSILLNKIQDINELSRQEVDEVINLCVRQDLVSASDDIQKRRYIAMVEMCQVAGNRDQLISLLLPLAEHMLNVILIHFQDSSGVFDTSRSMKTITYGAKPDSGQEISLLSGKLIPLLERLELLSEDKVGHNLKVFRRLVTSLKEMVIQKLAL >EOY31054 pep chromosome:Theobroma_cacao_20110822:9:6796823:6818920:1 gene:TCM_038072 transcript:EOY31054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3414) [Source:Projected from Arabidopsis thaliana (AT5G51200) TAIR;Acc:AT5G51200] MAVANDPIVEGFVGGVRLAWVVHLMLIHDEIGLSETVSTASSNELGYMNLCLESVFAHNVFHFLLDKVLRGAAYQNDDEDMVYMYNAYLHKLITCLLSHPVARDKVKESKEKTMITLNTYRTAGDFVHDSSLQGEQAAEGVPLPFVSLLEFVSEIYQKEPELLSGNDVLWTFVNFAGEDHTNFQTLVAFLNMLSTLASSPEGASKVYELLQGQAFRSIGWSTLFDCLSIYDEKFKQSLQTAGAILPEFQEGDAKALVAYLNVLQKVVQNGNPIERKNWFPDIEPLFKLLSYENVPPYLKGALRNTIATFVHVSPVLKDTIWTYLEQYDLPVVVGSHIGIGGQPMAAQVYDMQFELNEIEARREQYPSTISFLNLLNALIAEEKDVSDRGRRFFGIFRFVYDHVFGPFPQRAYADPCEKWQLVVACLQHFHMILSMYDIQQEDIDSVVDQSQLSAATQPPSLQTQMPVLELLKDFMSGKTVFRNVMSILLPGVNSIITARNSQVYGPLLEKVVQLSLEIIILVLEKDMLLADFWRPLYQPLDVILSQDHNQIVALLEYVRYDFLPQIQQCSIKIMSILSSRMVGLVQLLLKSNAATSLVEDYAACLELRSQECQVIENSGDDPGVLIMQLLVDNVGRPAPNITHLLLKFDLDTSIEQTLLQPKFHYSCLKVILEILENLSKPDVNALLHEFGFQLLYELCLDPLTCGPTMDLLSSKKYHFFVKHLDTIGVAPLPKRNNNQALRISSLHQRAWLLKLLAIELHAAYVSSPHHREACQRILAHLFGQGVVETGTDIISQSLILQISKEHAATRTISKTKVLELLEVVQFRSPDTTTKLSQIISNVKYDLMAEDILGNPTTTGKGGIYYYSERGDRLIDLASLRDKLWQKFNSVYPQLSNFGSEAELNEVRETIQQLLRWGWRYNKNLEEQAAQLHMLTGWSHIVEVSVSRRISSLENRSEILYQILDASLSASASPDCSLKMAFILSQVALTCMAKLRDDIFLCPVGLSSDSITCLDIIMVKQLSNGACHSILFKLIMAILRNESSEALRRRQYALLLSYFQYCQHMLAPNVPTTVLQQLLLDEQDGEELDLRKIDKEQAELARANFSILRKEAQAILDLVIKDATQGSEPGKTISLYVLDAVVCIDHERYFLNQLQSRGFLRSCLMSIRNFSCQDGGHSLDSLQRACTLEAELALLLRISHKYGKSGAEVLFSMGALDHIASCRAVNLQGSLRRVDTKLRRDVAVDIDKQRMIVTPMLRLVFSLTLLVDTSEFFEVKNKIVREVIDFVKGHQLLFDQVLREDVSGADELMMEQINLVVGILSKVWPYEESDEYGFVQGLFSMMHILFSSDSETATFSHSVRSPKNSMLFDYVLV >EOY31193 pep chromosome:Theobroma_cacao_20110822:9:7453565:7474773:-1 gene:TCM_038167 transcript:EOY31193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose phosphate synthase 1F MAGNDWINSYLEAILDVGPNLDDAKASLLLRERGRFSPTRYFVEEVITGFDETDLHRSWVKAQATRSPQERNTRLENMCWRIWNLARQKKQHEGELAQRKAKRRLERERGRREATADMSEDLSEGEKGDIVSDISAHGESNKGRLPRINSVDAMETWASQQKGKKLYIVLIRHGLNSIALFSSHSCEKDYAYIHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVASPDVDWSYGEPTEMLTPRNSEDFLDEMGESSGAYIVRIPFGPRDKYIPKELLWPHIPEFVDGALNHIIQMSNVLGEQIGSGKPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLKQGRLSRDEINTTYKIMRRIEAEELSLDASEIVITSTRQEIDEQWRLYDGFDPVLERKLRARIRRNVSCYGRFMPRMVIIPPGMEFHHIVPQDGDMDGETEGNEEHPSSPDPPIWSEIMRFFTNPRKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDGIDEMSSTNSSVLLSVLKLIDKYDLYGQVAYPKHHKQFEVADIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPLVATKNGGPVDILRVLDNGLLIDPHDQQSIADALLKLVADKQLWARCRQNGLKNIHLFSWPEHCKTYLSRIASCKPRHPQWQRSDDGGETSESDSPSDSLRDIHDISLNLKFSLDGDRSGVSGNDNSLDSEGSAADRKSKLENAVLSWSRGVLKDQRKAGSADRADHSSSSGKFPALRRRKHIFVIAVDCDSDEDLLDAIRKIFEAVEKERTEGSIGFILSTFMTISEIHSFLTSGGLNPNDFDAFICNSGSDLYYSNINPEDGPFVIDFYYHSHIEYRWGGEGLRKTLVRWAGSITDKKAGNEEQIVTAAEQLSTNYCYAFRAKNAGMVPPVKELRKLLRIQALRCNAIYCQNGTRINVIPVLASRSQALRYLYVRWGVELSKMVVFVGDSGDTDYEGLLGGMHKSVILKGICSSASNQLHANRNYPLSDVMPVDSPNIVQTSQDCTSSDVRDSLENLLKG >EOY32150 pep chromosome:Theobroma_cacao_20110822:9:22296527:22304248:1 gene:TCM_039715 transcript:EOY32150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein / auxin-responsive factor AUX/IAA-related isoform 2 MKMPANGAGAAANVAAPSAAEGGAPEKKSINPELWQACAGPLVNLPAAGTHVVYFPQGHSEQVAASMKKDVDAQIPNYPNLPSKLLCLLHNVTLHADPETDEVYAQMTLQPVSAFDKEALLRSDLSLKANKPQPEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRASPSEFVIPLAKYYKAVYNNQISPGMRFRMMFETEESGTRRYMGTITGVSDLDPVRWKNSQWRNLQVGWDESTAGERRNRVSIWEIEPVTAPFFICPPPFFRSKRPRQPGIPDDESSDLDNLFKRSMPWLGDDICMKESQAPGLSLVQWMNMQQNSMLANSMQPNFMQSLSGSVMQNFAGADLSRQMGLSAPQMPQPNNLQFNTQRLPQQVQQLDQLPKLPSTMNPLGSIMQPQQLSDMTQQSRQNLIAQTLPSSQVQAQVLQPQTLVQSNNILHQQQSSIQTHQLPRSLPQNLQQQQQQQQQQHLMGPNQQQNVMQCPLPDPVNQHLQMPDNQIQFQLLQKLQQQQQSLLAQQSVLQQPAQLAQTQEQQRQVLDASQSFSRSVTTSQVLELPPMTPILPPQSNVVSQQTSKHNSHANVRFDQPPLQSKLQQQQQQHGMLPEIPGHVGHSPAPTANHLFTAVSSVMTGAAVAAQSVVTDDNPSCSTSPSTNCPNVLQPMINSRVHRSTGLGEDMAQSAATVLNPNALETMSSNANLIKELQQKSDVKPSFNISKSQNQGLFAPQTYINGATAQADYLDTSSSTTSVCLSHNDVNLQQNNSLTYNPQTLLLRDTSQDGEDQADPRNNSSYGPNMDGQIGMPMNSDSLLTKGMMGLGKDFSNNLSSGGMLTSYENPKDAQQELSSSMVSQSFGVPDMTFNSIDSTINDSSFLNRGAWAPPPQFQRMRTYTKVYKRGAVGRSIDITRYSGYDELKQDLARRFGIEGQLEDRGRIGWKLVYVDHEKDVLLVGDDPWEEFVNCVRCIKILSPQEVQQMSLDGDFGNSVLPNQACSSSDNGNT >EOY32151 pep chromosome:Theobroma_cacao_20110822:9:22296647:22304230:1 gene:TCM_039715 transcript:EOY32151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein / auxin-responsive factor AUX/IAA-related isoform 2 MKMPANGAGAAANVAAPSAAEGGAPEKKSINPELWQACAGPLVNLPAAGTHVVYFPQGHSEQVAASMKKDVDAQIPNYPNLPSKLLCLLHNVTLHADPETDEVYAQMTLQPVSAFDKEALLRSDLSLKANKPQPEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRASPSEFVIPLAKYYKAVYNNQISPGMRFRMMFETEESGTRRYMGTITGVSDLDPVRWKNSQWRNLQVGWDESTAGERRNRVSIWEIEPVTAPFFICPPPFFRSKRPRQPGIPDDESSDLDNLFKRSMPWLGDDICMKESQAPGLSLVQWMNMQQNSMLANSMQPNFMQSLSGSVMQNFAGADLSRQMGLSAPQMPQPNNLQFNTQRLPQQVQQLDQLPKLPSTMNPLGSIMQPQQLSDMTQQSRQNLIAQTLPSSQVQAQVLQPQTLVQSNNILHQQQSSIQTHQLPRSLPQNLQQQQQQQQQQHLMGPNQQQNVMQCPLPDPVNQHLQMPDNQIQFQLLQKLQQQQQSLLAQQSVLQQPAQLAQTQEQQRQVLDASQSFSRSVTTSQVLELPPMTPILPPQSNVVSQQTSKHNSHANVRFDQPPLQSKLQQQQQQHGMLPEIPGHVGHSPAPTANHLFTAVSSVMTGAAVAAQSVVTDDNPSCSTSPSTNCPNVLQPMINSRVHRSTGLGEDMAQSAATVLNPNALETMSSNANLIKELQQKSDVKPSFNISKSQNQGLFAPQTYINGATAQADYLDTSSSTTSVCLSHNDVNLQQNNSLTYNPQTLLLRDTSQDGEDQADPRNNSSYGPNMDGQIGMPMNSDSLLTKGMMGLGKDFSNNLSSGGMLTSYENPKDAQQELSSSMVSQSFGVPDMTFNSIDSTINDSSFLNRGAWAPPPQFQRMRTYTKVYKRGAVGRSIDITRYSGYDELKQDLARRFGIEGQLEDRGRIGWKLVYVDHEKDVLLVGDDPCLSQEQDLSHRR >EOY34224 pep chromosome:Theobroma_cacao_20110822:9:40360727:40362389:-1 gene:TCM_041963 transcript:EOY34224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein, putative MSPAASSRWCPTPEQLMILEEMYRSGIRTPNASQIQKITSHLSFYGKIEGKNVFYWFQNHKARDRQKLRRKLTKQLQLQQQLYHQHHHHQLQQNHPNHHFLHYFDSPGSPAFQHLSYFNSASLFPQVGVHEDAAAKQVMNYTWKFDIPEKVENMDKATMRMYGGDWLTMVDLGSPLSSPCYSTAIRPPLKTLELFPVTASNLKEECNSSKHLSCISTTTTTTTTTTTTPNPTPDIPLNLPLNPPENKPQALSLSA >EOY30030 pep chromosome:Theobroma_cacao_20110822:9:3116893:3118442:1 gene:TCM_037379 transcript:EOY30030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB558, putative MGRKPCCSKEGLNRGAWSAIEDQILIDYIKTNGEGKWGNIPKKAGLKRCGKSCRLRWLNYLRPDIKRGNISQEEEDLIIRLHKLLGNRWSLIAGRIPGRTDNEIKNYWNSTLGKKVKAEQAKQSKDDLKKAKIQPLAETPQSTALRTKASKCSTCLVTQEAYKAEIIDADLVHDARLEPPKSEGTLLSTISEEAHSVNSALEFDIGELLASDISDSEFWNCCQVNNTSMEEAESSGVARNMWLSSEQHLQFSEEMLTDWIRDDI >EOY30404 pep chromosome:Theobroma_cacao_20110822:9:4511927:4513324:-1 gene:TCM_037627 transcript:EOY30404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMLLLNKIKFQSSRGVPLTLNRVLKARVESFMGAKKHVVECWEDLDQGHQTVGASVDAALLVWPLKFLQLLINWEFNMPIMNLKDGNANVAPLSSIHKCLGSIPTAFLALLLSLIICVCVFVYVYLSALPHVVYSISLLSFFLCFCSFFFFFSSY >EOY32735 pep chromosome:Theobroma_cacao_20110822:9:33204312:33212101:-1 gene:TCM_040759 transcript:EOY32735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeic acid 3-O-methyltransferase 1 MQATSYHSHSKLTVRVALHFYLSPFTIPQIQLNFSERKKKKKLCSPMESSAEQNQESFSYAIQIVTSNALPMSMHAAIQLQLFEIIAKAGPDAKLSPKEIAAQLRTKNPDAPSMLDRLLRVLASHNIVGCSVADDEQGNNPQRLYSLTPVSKFFVPNEDGVSLGPLMSLLQDKVFLDSWSQLKDAILEGGIPFDRVHGTHVFEYPGKDPRFNQVFNTAMINHTTIIESLSALSLPKYPSIRGINFDLLHVIQHAPAYPSVEHVGGDMFKSVPKGDAIFMKWILHDWSDEHCLKLLKNCYNAIPDDGKVIVADAVLPIVPEANAFVRGTSMMDVLMLTHSPGGKERTKPEFEALATKAGFAGISYECSVCNFWVIEFFK >EOY30996 pep chromosome:Theobroma_cacao_20110822:9:6606243:6607260:-1 gene:TCM_038033 transcript:EOY30996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNYSVLPSWVTVVRCLTEIYSIIYVFCLMSSARNLKRCCSPWVLGLGTVAHLVGVFGKKDEDLL >EOY30979 pep chromosome:Theobroma_cacao_20110822:9:6551101:6553629:-1 gene:TCM_038017 transcript:EOY30979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 5 isoform 1 MIPKPLRVLSRGAAIIFGGVLTINLAATVAVGALRSVAEKKRKKFALPCGVCKGKGFYVCKLCNGNATIKWSPLYDPIHINPCVCPTCDGNRVQRCLNCIGKGYS >EOY30980 pep chromosome:Theobroma_cacao_20110822:9:6551039:6553578:-1 gene:TCM_038017 transcript:EOY30980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 5 isoform 1 MIPKPLRVLSRGAAIIFGGVLTINLAATVAVGALRSVAEKKRKKFALPCGVCKGKGFYVCKLCNGNATIKWSPLYDPIHINPCVCPTCDGNRVQRCLNCIGKGYS >EOY31580 pep chromosome:Theobroma_cacao_20110822:9:9857556:9858871:1 gene:TCM_038517 transcript:EOY31580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFIVDGAVKGCVGLVGMEGLLRNEADEVKISFSKPIGVTDSLTAEILAVKEAFKVFTASKWKENHSLLIESGVSNVVKWVLNSKLMP >EOY32110 pep chromosome:Theobroma_cacao_20110822:9:21119197:21120933:1 gene:TCM_039610 transcript:EOY32110 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 73B3, putative MDAGLPDGCENISSITSQEMGLKFIKALSLLQQPLQQMLEDCHPNCLVADAMFPWATEVAGKLKIPRLVFHGTSYFALSVFDSLLRYEPQKNITSDYQPFEVPGIPDKIKMTRLQQPVELRESSVYDEIKKLMNQAFESEITSYGAIMNSFQELEPAYVEHYKKVMRRKTWHIGPLSLCNSNTDDKAERGNAASVDTIECLRWLDSKKHKSVLYICFGSVSWFSAAQLYEIAMGLEASGQDFIWVVRKVNNKGKEEWLPEGFEERMEGRGLIIRGWAPQVLILDHETIGGFMTHCGWNSTLESITAGVPMVTWPLSNEQFCNERLVTEILRIGVGVGAQEWCRWMEDKKFLVIKENITKAVTQLMVGEEANEIRNRASALKEMAKRAVEEGGSSHSGLNALLDELRLKSPRVEQ >EOY29296 pep chromosome:Theobroma_cacao_20110822:9:679891:690018:1 gene:TCM_036883 transcript:EOY29296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-related protein 3A isoform 3 MGEAVVTPNNDMPTLPRTPPPTIGSSLIPVINKLQDILASSGTELSDISVPQVAVVGSQSSGKSSVLEALVGRDFLPRGCDICTRRPLVLMLENRPPNSGDESSEWGEFRHLPGRRFYDFSQIRREIQAETEREAGCNKGVSESQIRLKIYSPNVLNMTLIDLPGITKVPVGDQPSDIEARIRKMLMAYITNENCIILAVSPANSDLATSDALQIAKLADPTGSRTVGVITKLDIMDRGTNACNFLLGRVVPLKLGYVGVVNRCQEDINKNRSIQEALAYEERFFHDHPVYNGVSDRCGIPQLANKLNQILEQHIRRDLPHLKAELNSRMRAVMKELQMYGKVVESKAEQGAILLDILRRYCDDFSAMVDGKSQDMSTKELCGGARIRYFFQSMFVKPLEEMDPCNSLTDEDIAYAIRNSSGLRNVLFVPEVPFEVLVRRQIAQLSDPCHQCLRIVYDELIKISQACESNGLQRFPSLRRRVNEVVRKFLDAAAKPAESMIGNLIAMEVDICLAKVVMDYINSSHPSFIGGNKAVELAVQQMRSSQERVDIERVPMSERSQLSWTVAAKPVVNGAPNQVSVFKFLFYFFWEIVLSQIMRDLRQLVINSNLQISPSGSSSARTWGISSIFGSKASSVESPANGSTVETLHEVEQRSSTIQLSEPPSILRPLEMSENEATEIIITKLLVQSYFDLVRKNIQDLVPKAIMHFLVNHTKRNLHNTFIQILYKENLFEELLQEQDEVVARRKHAQEMLRVLRQAVKMLGEVDSDVESQHRTTNSGPDVSTGLPRFPDVTNSGNSSSYLSSSSKPRARKLLYSEEPSLSLNSSGKLNY >EOY29299 pep chromosome:Theobroma_cacao_20110822:9:679858:691233:1 gene:TCM_036883 transcript:EOY29299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-related protein 3A isoform 3 MGEAVVTPNNDMPTLPRTPPPTIGSSLIPVINKLQDILASSGTELSDISVPQVAVVGSQSSGKSSVLEALVGRDFLPRGCDICTRRPLVLMLENRPPNSGDESSEWGEFRHLPGRRFYDFSQIRREIQAETEREAGCNKGVSESQIRLKIYSPNVLNMTLIDLPGITKVPVGDQPSDIEARIRKMLMAYITNENCIILAVSPANSDLATSDALQIAKLADPTGSRTVGVITKLDIMDRGTNACNFLLGRVVPLKLGYVGVVNRCQEDINKNRSIQEALAYEERFFHDHPVYNGVSDRCGIPQLANKLNQILEQHIRRDLPHLKAELNSRMRAVMKELQMYGKVVESKAEQGAILLDILRRYCDDFSAMVDGKSQDMSTKELCGGARIRYFFQSMFVKPLEEMDPCNSLTDEDIAYAIRNSSGLRNVLFVPEVPFEVLVRRQIAQLSDPCHQCLRIVYDELIKISQACESNGLQRFPSLRRRVNEVVRKFLDAAAKPAESMIGNLIAMEMDYINSSHPSFIGGNKAVELAVQQMRSSQERVDIERVPMSERSQLSWTVAAKPVVNGAPNQGNRPQSNNERPASAGGSSSARTWGISSIFGSKASSVESPANGSTVETLHEVEQRSSTIQLSEREPF >EOY29297 pep chromosome:Theobroma_cacao_20110822:9:679916:689489:1 gene:TCM_036883 transcript:EOY29297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-related protein 3A isoform 3 MGEAVVTPNNDMPTLPRTPPPTIGSSLIPVINKLQDILASSGTELSDISVPQVAVVGSQSSGKSSVLEALVGRDFLPRGCDICTRRPLVLMLENRPPNSGDESSEWGEFRHLPGRRFYDFSQIRREIQAETEREAGCNKGVSESQIRLKIYSPNVLNMTLIDLPGITKVPVGDQPSDIEARIRKMLMAYITNENCIILAVSPANSDLATSDALQIAKLADPTGSRTVGVITKLDIMDRGTNACNFLLGRVVPLKLGYVGVVNRCQEDINKNRSIQEALAYEERFFHDHPVYNGVSDRCGIPQLANKLNQILEQHIRRDLPHLKAELNSRMRAVMKELQMYGKVVESKAEQGAILLDILRRYCDDFSAMVDGKSQDMSTKELCGGARIRYFFQSMFVKPLEEMDPCNSLTDEDIAYAIRNSSGLRNVLFVPEVPFEVLVRRQIAQLSDPCHQCLRIVYDELIKISQACESNGLQRFPSLRRRVNEVVRKFLDAAAKPAESMIGNLIAMEMDYINSSHPSFIGGNKAVELAVQQMRSSQERVDIERVPMSERSQLSWTVAAKPVVNGAPNQGNRPQSNNERPASAGGSSSARTWGISSIFGSKASSVESPANGSTVETLHEVEQRSSTIQLSEPPSILRPLEMSENEATEIIITKLLVQSYFDLVRKNIQDLVPKAIMHFLVNHTKRNLHNTFIQILYKENLFEELLQEQDEVVARRKHAQEMLRVLRQAVKMLGEVDSDVESQHRTTNSGPDVSTGLPRFPDVTNSGNSSSYLSSSSKPRARKLLYSEEPSLSLNSSGKLNY >EOY29298 pep chromosome:Theobroma_cacao_20110822:9:679858:691233:1 gene:TCM_036883 transcript:EOY29298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-related protein 3A isoform 3 MGEAVVTPNNDMPTLPRTPPPTIGSSLIPVINKLQDILASSGTELSDISVPQVAVVGSQSSGKSSVLEALVGRDFLPRGCDICTRRPLVLMLENRPPNSGDESSEWGEFRHLPGRRFYDFSQIRREIQAETEREAGCNKGVSESQIRLKIYSPNVLNMTLIDLPGITKVPVGDQPSDIEARIRKMLMAYITNENCIILAVSPANSDLATSDALQIAKLADPTGSRTVGVITKLDIMDRGTNACNFLLGRVVPLKLGYVGVVNRCQEDINKNRSIQEALAYEERFFHDHPVYNGVSDRCGIPQLANKLNQILEQHIRRDLPHLKAELNSRMRAVMKELQMYGKVVESKAEQGAILLDILRRYCDDFSAMVDGKSQDMSTKELCGGARIRYFFQSMFVKPLEEMDPCNSLTDEDIAYAIRNSSGLRNVLFVPEVPFEVLVRRQIAQLSDPCHQCLRIVYDELIKISQACESNGLQRFPSLRRRVNEVVRKFLDAAAKPAESMIGNLIAMEMDYINSSHPSFIGGNKAVELAVQQMRSSQERVDIERVPMSERSQLSWTVAAKPVVNGAPNQGNRPQSNNERPASAGGSSSARTWGISSIFGSKASSVESPANGSTVETLHEVEQRSSTIQLSEPPSILRPLEMSENEATEIIITKLLVQSYFDLVRKNIQDLVPKAIMHFLREPF >EOY31710 pep chromosome:Theobroma_cacao_20110822:9:12953418:12954592:-1 gene:TCM_038800 transcript:EOY31710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEHAFSGVSLNLGRFMIERMRGVCRLEKINFPYGNIITSLVQKKGIWSSRYEADKVQSKDQAIYLGSLPKMGYKLDGERFVKTPKAGPGKESSLTTQPKVAPSQVLNEVIFNLLMRIYGKLTDQRARMQKIEEKVTELENVLQEKRKMQSEPAAADTSTTPSIAPTGQDAKSSAFQAEGHEPEVNQPRKSPPFQEVI >EOY33918 pep chromosome:Theobroma_cacao_20110822:9:39328939:39331652:1 gene:TCM_041752 transcript:EOY33918 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II isoform 5 MFFHIVLERNMQLHPRHFGRNLRENLVSKLMKDVEGTCSGRHGFVVAITGIENVGKGLIRDGTGFVTFPVKYQCVVFRPFKGEVLEAVVTMMGFFAEAGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPTTA >EOY33921 pep chromosome:Theobroma_cacao_20110822:9:39328939:39331652:1 gene:TCM_041752 transcript:EOY33921 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II isoform 5 MFFHIVLERNMQLHPRHFGRNLRENLVSKLMKDVEGTCSGRHGFVVAITGIENVGKGLIRDGTGFVTFPVKYQCVVFRPFKGEVLEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSIQKDSEVRLKIIGTRVDATEFCIGTIKDDFLGVINDPTTA >EOY33919 pep chromosome:Theobroma_cacao_20110822:9:39328903:39330831:1 gene:TCM_041752 transcript:EOY33919 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II isoform 5 MFFHIVLERNMQLHPRHFGRNLRENLVSKLMKDVEGTCSGRHGFVVAITGIENVGKGLIRDGTGFVTFPVKYQCVVFRPFKGEVLEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIV >EOY33920 pep chromosome:Theobroma_cacao_20110822:9:39328939:39331652:1 gene:TCM_041752 transcript:EOY33920 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II isoform 5 MFFHIVLERNMQLHPRHFGRNLRENLVSKLMKDVEGTCSGRHGFVVAITGIENVGKGLIRDGTGFVTFPVKYQCVVFRPFKGEVLEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPTTA >EOY33922 pep chromosome:Theobroma_cacao_20110822:9:39329365:39331652:1 gene:TCM_041752 transcript:EOY33922 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II isoform 5 MTERCKLVTLLILCSGRHGFVVAITGIENVGKGLIRDGTGFVTFPVKYQCVVFRPFKGEVLEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPTTA >EOY33917 pep chromosome:Theobroma_cacao_20110822:9:39329080:39331635:1 gene:TCM_041752 transcript:EOY33917 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II isoform 5 RAHAGSSFGRHGFVVAITGIENVGKGLIRDGTGFVTFPVKYQCVVFRPFKGEVLEAVVTMMGFFAEAGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPTTA >EOY33916 pep chromosome:Theobroma_cacao_20110822:9:39328919:39331613:1 gene:TCM_041752 transcript:EOY33916 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II isoform 5 MFFHIVLERNMQLHPRHFGRNLRENLVSKLMKDVEGTCSGRHGFVVAITGIENVGKGLIRDGTGFVTFPVKYQCVVFRPFKGEVLEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPTTA >EOY31356 pep chromosome:Theobroma_cacao_20110822:9:8344498:8348618:-1 gene:TCM_038300 transcript:EOY31356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein MVVLSPSLLSLPTLHFLRYDPGSRMLSDKNSNYSRRLHEKITVCYSLPSKPTSQDVLWRRDLLLFALSSSLCTVLPSSGCLAEEDVKMASIVDEINAYTYSYPVELPYKKFLFKWVESRKPERYSSAAPLSPDARLRIVSERVDITDNLIISVSIGPPNIQFLKSKDKKTWAAKDVADSVLSDKSALRVTSSQRMSESSVLDAHASEIDGEQYWYYEYLVRKSPTKSAQEPNLYRHYVASTAEREGYLYSLSASTLSQQWTKMGPLLEQTVASFHLLPPTDNYVPPYKDPWRFW >EOY30840 pep chromosome:Theobroma_cacao_20110822:9:5976694:5977899:1 gene:TCM_037913 transcript:EOY30840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLLFYTMPRRTAQVLESTYLMNTAEIFRESIRIILLHSTHFHSISIFFFSPLPISLFLSHFLNHHFLHIPSLTISITDNLFGHLLPNLVSKTVVHIVICFPSSITFSLLGRAATVQAVSDGYNGINLDGRRLLMRSGLAWIKLLHTRFWELLITLGLFGVLVVGLAAAPKILYALGICSTALGFWGVLGFLGIPFCVIFAHLMVVGNMANVISVLESECYGLDSLWKAKNLMEGRRQTALVMALLSNMGFRLVECLFELRMCMGICMWEIPVLISMYSLALVFETVMNVVFYYACKS >EOY34026 pep chromosome:Theobroma_cacao_20110822:9:39732988:39735175:-1 gene:TCM_041831 transcript:EOY34026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein isoform 2 MLQTPQTLVLILKMVKNPPLKALSLFNSSILQGLQHTPESMAFTLDILLSSNMLLHSQSIILQIISGRILSPFFTSLSLFQHLTQPNLYPNSMNQTLLYESIINAHVQSQLPDQAIYYFNQMVDRNLVLGPNTLNNILSFLIKFDSFDKAWMLFTKSKGRVKLDVYSFGIMIKGCCEAGDLSKSFELLGQVEELGLSPNVVLYTTLIDGCCKNGDFEQAKMLFCRMEELGLVPNEYTYTVLINGFFKKGLKKDGFLLYEKMQLNGVIPNLYTYNCVMTEYCSEGKVSKAFEMFGEMRERGVACNVVTYNILIGGLCRETKVWDAEKLVDQMTRAGISPNLITYNSLIDGFCNVGKLEKAMYLFNQLKTKGQSPSLVTYNILISGFSRARDSAAVAGLVKEMEERGIRPSKVTHTIVIHAFIRSENTERAVELYLFMQKAGLVPDVYTFGVLIHGLCTKGNMKEAWKLIKSMDEMQLKPNDVIYNTMIHGYCKEGSSYRALRLLQEMCEKGLVPNVASYSSTIGLLYKDGKWQEAEALLKEIVESGLKPTVSIYKLISKPKHLT >EOY34027 pep chromosome:Theobroma_cacao_20110822:9:39732907:39734756:-1 gene:TCM_041831 transcript:EOY34027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein isoform 2 MLFTKSKGRVKLDVYSFGIMIKGCCEAGDLSKSFELLGQVEELGLSPNVVLYTTLIDGCCKNGDFEQAKMLFCRMEELGLVPNEYTYTVLINGFFKKGLKKDGFLLYEKMQLNGVIPNLYTYNCVMTEYCSEGKVSKAFEMFGEMRERGVACNVVTYNILIGGLCRETKVWDAEKLVDQMTRAGISPNLITYNSLIDGFCNVGKLEKAMYLFNQLKTKGQSPSLVTYNILISGFSRARDSAAVAGLVKEMEERGIRPSKVTHTIVIHAFIRSENTERAVELYLFMQKAGLVPDVYTFGVLIHGLCTKGNMKEAWKLIKSMDEMQLKPNDVIYNTMIHGYCKEGSSYRALRLLQEMCEKGLVPNVASYSSTIGLLYKDGKWQEAEALLKEIVESGLKPTVSIYKLISKPKHLT >EOY31115 pep chromosome:Theobroma_cacao_20110822:9:7103946:7120199:1 gene:TCM_038118 transcript:EOY31115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MSIPIPIPRPLPSDSWDCMLPGPPSRNNFGSADLSPSGLLAFACGSSVCVIDSRSLQLVTTIPLPPPSATLSGSSSSNSSTSLSPFVTSVRWTPLPLRRDLLSTEPSSSHLILAAADRHGRISLLDFRLRSLILSIDPPDPSSKSGIQDLCWAQARADSFLLASLSGPSYLSLYNTSSSRCIFKYDASPEYLSCIRRDPFDSRHLCIIGLKGFLLSIKVSGETEDSIALKELQIRTDCTELLKLEKDAAAGGSSSSSPASAVFQLYAVRLAFSPLWKNVIYVTFPRELVVFDLKYETTLFSAALPRGCAKFLDVLPDPNQELVYCAHLDGKLSIWRRKEGEQIHIMCTMEELMPSIGSSVPSPSVLAVLISQSESTLQNISKLYSGLSNGASDEDFDNPFDFCDDTLLVFKTRLMSISDDGKLWSWILTAEGTGDMQKDLINSGKIADVSEESTNTNITVSSYSGLTAEGSKQLHNINGSRIQLSNSTFGLADVTFKISLVGQLQLLSSTVTMLAVPSPSLTATLARGGNNPAVAVPLVALGTQSGTIDVIDVSANAVAASFSVHNSTVRGLRWLGNSRLVSFSYTQVSEKTGGYINRLVVTCLRSGLNRTFRALQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPIMLRSLALPFTVLEWTLPTVPRPVQNGPSRQSSLKDSTAAAPAEAASSTTASSSDSRAGNSDGSQDDTSESFAFALLNGALGVFEVHGRRIRDFRPKWPSSSFVSSDGLITAMAYRLPHVVMGDRSGNIRWWDVTSGHSSSFNTHREGIRRIKFSPVVAGDRSRGRIAVLFYDNTFSVFDLDSPDPLANSLLQPQFPGTLVLELDWLPLRTDKNDPLVLCIAGADSSFRLVEVNTNDKKVGPGPLPRNIKERFRPMPLCCPILLPTPHALALRMILQLGVKPSWFNTSGTTIDKRPHFIPGTASSSGDLRSYMIELPPVGDSVVPELLLKVLEPYRKEGCILDDERARLYATIVSKGFAARFAFAAATFGEVSEALFWLQLPRAINHLMSKLVNKSPQKAPLLASNSELDDTSLLSRITSKGKSTPENGQRDALSQGQLRLMAFEQEDLWESANERIPWHEKLEGEEAIQNRVHELVSVGNLEGAVSLLLSTSPESPYFYPNALRAVALSSAVSKSLLELAVKVVAANMVRTDRSLSGTHLLCAVGRYQEACSQLQDAGCWTDAATLAATHLKGSDYARVLQRSAEHVLHAEHNI >EOY31114 pep chromosome:Theobroma_cacao_20110822:9:7103911:7120340:1 gene:TCM_038118 transcript:EOY31114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MSIPIPIPRPLPSDSWDCMLPGPPSRNNFGSADLSPSGLLAFACGSSVCVIDSRSLQLVTTIPLPPPSATLSGSSSSNSSTSLSPFVTSVRWTPLPLRRDLLSTEPSSSHLILAAADRHGRISLLDFRLRSLILSIDPPDPSSKSGIQDLCWAQARADSFLLASLSGPSYLSLYNTSSSRCIFKYDASPEYLSCIRRDPFDSRHLCIIGLKGFLLSIKVSGETEDSIALKELQIRTDCTELLKLEKDAAAGGSSSSSPASAVFQLYAVRLAFSPLWKNVIYVTFPRELVVFDLKYETTLFSAALPRGCAKFLDVLPDPNQELVYCAHLDGKLSIWRRKEGEQIHIMCTMEELMPSIGSSVPSPSVLAVLISQSESTLQNISKLYSGLSNGASDEDFDNPFDFCDDTLLVFKTRLMSISDDGKLWSWILTAEGTGDMQKDLINSGKIADVSEESTNTNITVSSYSGLTAEGSKQLHNINGSRIQLSNSTFGLADVTFKISLVGQLQLLSSTVTMLAVPSPSLTATLARGGNNPAVAVPLVALGTQSGTIDVIDVSANAVAASFSVHNSTVRGLRWLGNSRLVSFSYTQVSEKTGGYINRLVVTCLRSGLNRTFRALQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPIMLRSLALPFTVLEWTLPTVPRPVQNGPSRQSSLKDSTAAAPAEAASSTTASSSDSRAGNSDGSQDDTSESFAFALLNGALGVFEVHGRRIRDFRPKWPSSSFVSSDGLITAMAYRLPHVVMGDRSGNIRWWDVTSGHSSSFNTHREGIRRIKFSPVVAGDRSRGRIAVLFYDNTFSVFDLDSPDPLANSLLQPQFPGTLVLELDWLPLRTDKNDPLVLCIAGADSSFRLVEVNTNDKKVGPGPLPRNIKERFRPMPLCCPILLPTPHALALRMILQLGVKPSWFNTSGTTIDKRPHFIPGTASSSGDLRSYMIELPPVGDSVVPELLLKVLEPYRKEGCILDDERARLYATIVSKGFAARFAFAAATFGEVSEALFWLQLPRAINHLMSKLVNKSPQKAPLLASNSELDDTSLLSRITSKGKSTPENGQRDALSQGQLRLMAFEQEDLWESANERIPWHEKLEGEEAIQNRVHELVSVGNLEGAVSLLLSTSPESPYFYPNALRAVALSSAVSKSLLELAVKVVAANMVRTDRSLSGTHLLCAVGRYQEACSQLQDAGCWTDAATLAATHLKGSDYARVLQRSAEHVLHAEHNIWRALILFVAAGAIQEALAALREAQQPDTAAMFVLACREIHADIVTNLVGSDDESGSTVKDTLVNLPGLNPENEDVVAVGEYFGQYQRKLVHLCMDSQPFSD >EOY31116 pep chromosome:Theobroma_cacao_20110822:9:7103950:7120199:1 gene:TCM_038118 transcript:EOY31116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein isoform 2 MSIPIPIPRPLPSDSWDCMLPGPPSRNNFGSADLSPSGLLAFACGSSVCVIDSRSLQLVTTIPLPPPSATLSGSSSSNSSTSLSPFVTSVRWTPLPLRRDLLSTEPSSSHLILAAADRHGRISLLDFRLRSLILSIDPPDPSSKSGIQDLCWAQARADSFLLASLSGPSYLSLYNTSSSRCIFKYDASPEYLSCIRRDPFDSRHLCIIGLKGFLLSIKVSGETEDSIALKELQIRTDCTELLKLEKDAAAGGSSSSSPASAVFQLYAVRLAFSPLWKNVIYVTFPRELVVFDLKYETTLFSAALPRGCAKFLDVLPDPNQELVYCAHLDGKLSIWRRKEGEQIHIMCTMEELMPSIGSSVPSPSVLAVLISQSESTLQNISKLYSGLSNGASDEDFDNPFDFCDDTLLVFKTRLMSISDDGKLWSWILTAEGTGDMQKDLINSGKIADVSEESTNTNITVSSYSGLTAEGSKQLHNINGSRIQLSNSTFGLADVTFKISLVGQLQLLSSTVTMLAVPSPSLTATLARGGNNPAVAVPLVALGTQSGTIDVIDVSANAVAASFSVHNSTVRGLRWLGNSRLVSFSYTQVSEKTGGYINRLVVTCLRSGLNRTFRALQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPIMLRSLALPFTVLEWTLPTVPRPVQNGPSRQSSLKDSTAAAPAEAASSTTASSSDSRAGNSDGSQDDTSESFAFALLNGALGVFEVHGRRIRDFRPKWPSSSFVSSDGLITAMAYRLPHVVMGDRSGNIRWWDVTSGHSSSFNTHREGIRRIKFSPVVAGDRSRGRIAVLFYDNTFSVFDLDSPDPLANSLLQPQFPGTLVLELDWLPLRTDKNDPLVLCIAGADSSFRLVEVNTNDKKVGPGPLPRNIKERFRPMPLCCPILLPTPHALALRMILQLGVKPSWFNTSGTTIDKRPHFIPGTASSSGDLRSYMIELPPVGDSVVPELLLKVLEPYRKEGCILDDERARLYATIVSKGFAARFAFAAATFGEVSEALFWLQLPRAINHLMSKLVNKSPQKAPLLASNSELDDTSLLSRITSKGKSTPENGQRDALRWLLLTW >EOY32413 pep chromosome:Theobroma_cacao_20110822:9:28942562:28944397:-1 gene:TCM_040320 transcript:EOY32413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 2, putative MSSFKIIATLFLLSTAMMLRESNAQLSGTFYAKTCPNVSSIVRSVLQNAQQNDIWIFPKLVRLHFHDCFVHGCDASLLLNGTDSEKTATPNLSTGGYSVIDDIKTALEHSCPRVVSCADILALAAQISVSLAGGPTWEVPLGRRDGRAAHREAIRAIPSAHESLNNITAKFKNMGLDSTDLVALSGVHTFGRAQCSSFMDRLYNFKGSGKSDPTLNATYLKALTQLCPKGRDGRSLIDLDESTSLTFDNNYFLNLQNHRGLLQSDQELFSTSGADTVAIVNRFANSQSQFFDSFAKSMVKMGNISPLTGSNGEIRSDCRKIN >EOY30148 pep chromosome:Theobroma_cacao_20110822:9:3567934:3573490:-1 gene:TCM_037457 transcript:EOY30148 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD-type zinc finger family protein MASVEEDKSIVPLGSKSMKRDHFYQFPAESEDVIDGGYDSGDDVYKSMRNGLHPDVNLKNVLSGLFAIVTGRNKGPTSVSLNQHYQSSNVSFLGSGKNGDTYLHSSVYIPSAPPLLEPSGINYNAYKEVLEAEPPEWLPDSSTTVCMQCDAPFTAITCGRHHCRFCGRIFCRRCSKGRCLLPVKFRERNPQRVCDSCYDRLDPLQGVLINTISNAVQVAKHDVMDWTCTRGWLNLPVGLSMEHEIYKASNTLRSYCQVARLNPERSIPLAVLKGAKGLAILTVVKAGVLVAYKLGTGLVTARRSDGTWSAPSAIFSVGLGWGAQIGGEVMDLIIVLHDSKAVKTFCSRMHFSLGAGCSAAAGPVGRVLEADLRAGDRGSGMCYTYSCSKGAFVGVSLEGNIVATRMDTNLRFYGDPYLTTADILLGTVDRPKAAEPLYVALDDLYSSLHCLSSLLSPFGVLIELRKASLHESLSKVQASHATKNQSKSMLAALHISCNESRKMGTKMCKLLNVLCKKVETASILDEDENYFTPSDDLEIEQHSLNSANDDDTPTLFCKICVEPSQNPWMTVSTSKAVPTFTALNALSSTSNRNLKITWGKARCQSAVLGSEKFYCPYKDCSALLINDGGKAIKNFPCPVCTREFCVQCKVAWHSGRNCARFQKLEKLGEGAMLVDLAKRKKWRKCPTCNYHAEKSAGCCYVRCSPLKYLSCSVTSVEYVQAAIFASNTFVSSHQKFLK >EOY30427 pep chromosome:Theobroma_cacao_20110822:9:4570743:4572828:1 gene:TCM_037643 transcript:EOY30427 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein MSDAEPSALFRTKCTLALRVLAALASLVAAIAMGISHEPVPLPSLHSIKIEAKDVSCFMYFMGINSIVSAYSFLVLLLPKTSLLWRSIVASDVVAAMLLASINSATLGMFYMEMKGNTHARWSPICDLVSSYCTRVLTAVTAGYIALGMYFFNIIFCLCMALNPLLLQAPKKEQPPPKK >EOY31273 pep chromosome:Theobroma_cacao_20110822:9:7963397:7965693:-1 gene:TCM_038243 transcript:EOY31273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSQRKSHLKTCQSKELSWRVFIGKQDDGKDSRCLMPVILQCLYLNRHILLKLVTGLLGDLTFGLQITEALYSL >EOY31864 pep chromosome:Theobroma_cacao_20110822:9:16831252:16833305:-1 gene:TCM_039193 transcript:EOY31864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase family protein MNHQSSIVLLSSGYAADVFNTSVEPLGGRVPGPFFPACQTPAHFVLLFLPLPQHKLFIICSNTLTPVTAVQLFLSTPAAPKMSAGIFAAPVAGSSYVGLKTNVPKLLPAKDSIAWSRKTVSNGSRTHCMKTWNPFNNKKFETLSYLPPLSDDSIAKEIEYMMKKGWIPCLEFDEVGLVQRENSRIPGYYDGRYWTLWKLPMFGCNNSSQVLNEIHECKKAYPNAYIRCLAFDSKHQAQCMSFVIQKPT >EOY33489 pep chromosome:Theobroma_cacao_20110822:9:37791836:37794279:-1 gene:TCM_041461 transcript:EOY33489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 94A1 MDSELSLWFCSMCSAFCFMFFSFTLLFSLFSLLIFVLRLKLWCNCEICQAYLTSSWTKEFDNLCDWYTHLLKKSPTGTIHIHVLGNTITASPANVEHILKTRFENYPKGKQFSALLGDLLGKGIFNVDGESWRFQRKMASLELGSISIRMHAFDIVNSEIQTRLIPLLSSVSSEEQVLDLQDVLRRFSFDNICKFSFGLDPGCLMLSLPISEFAEAFDLASKLSAQRGLASSPSIWKVKRLLNLGTEKQLKEAIKMVDEFAQEMINQRREKGFSDSNDLLSRFMGTVSDDKYLRDIVISFLLAGRDTVASGLTSFFWLLSQHPEVESAIRDELERVMGSSQQFASFDQMREMHYLHAALYESLRLFPPVQFDSKFAQEDDILPDGTFVRKGTRVTYHPYAMGRMERVWGSDCLEYKPDRWLKNGIYNPENPYKYPVFQAGQRVCLGKEISLVEMKCVVLAVISRFNIRVATDLNQAPRFAPGLTATVRGGLPILVQEREANYSSPSCLRLLGAIHG >EOY29565 pep chromosome:Theobroma_cacao_20110822:9:1570008:1571403:-1 gene:TCM_037064 transcript:EOY29565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRKISIMMVMTASKLLSKDNQLDLSLLTYIIITIEATLRSKRTWGSLCSLSLVLSLIARFHFLLCLIPMSAPSFSHWKQPPNHYKILLPYFWLYWENFTKAKSSCQFRPPETSHIALFLSVNFLAFFQNGFRNFASVGTNSFKFHL >EOY30637 pep chromosome:Theobroma_cacao_20110822:9:5230723:5236726:1 gene:TCM_037773 transcript:EOY30637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKADNGLIKGSFTKASSLPHTHSSSPPPNSNQRFQCKEPVFIIICMQTSQTQHGKESKSPRSRKVMKITDMGLVVEHQLDLTIANKLSSFGSQTHKFTWNGSSNFLIMGRPVVHLPTLYIKLEIFEAESENGT >EOY33015 pep chromosome:Theobroma_cacao_20110822:9:34980116:34982217:-1 gene:TCM_041026 transcript:EOY33015 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 3 isoform 4 MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMAEQIKREIATMKLIKHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVKHGRMREEEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSQQVRVIIISCFFPSK >EOY33016 pep chromosome:Theobroma_cacao_20110822:9:34974200:34983291:-1 gene:TCM_041026 transcript:EOY33016 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 3 isoform 4 MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMAEQIKREIATMKLIKHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVKHGRMREEEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFDDPNLMTLYKKISAAEFTCPPWLSFSAMKLITRILDPNPMTRITIPEILEDEWFKKDYKPPVFEEKEDTNLDDVEAVFKDSEEHHVMEKREEQPAAMNAFELISMSKGLNLGNLFDAEQGFKRETRFTSKCPANEIIHKIEEAAKPLGFDVHKKNYKMRLENSKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTCLEDVVWKTEEDMQEVK >EOY33014 pep chromosome:Theobroma_cacao_20110822:9:34973240:34983563:-1 gene:TCM_041026 transcript:EOY33014 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 3 isoform 4 MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMAEQIKREIATMKLIKHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVKHGRMREEEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFDDPNLMTLYKKISAAEFTCPPWLSFSAMKLITRILDPNPMTRITIPEILEDEWFKKDYKPPVFEEKEDTNLDDVEAVFKDSEEHHVMEKREEQPAAMNAFELISMSKGLNLGNLFDAEQGFKRETRFTSKCPANEIIHKIEEAAKPLGFDVHKKNYKMRLENSKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTCLEDVVWKTEEDMQECWKAWTILMECTGLVYPSKMSIVINGY >EOY33018 pep chromosome:Theobroma_cacao_20110822:9:34973288:34982998:-1 gene:TCM_041026 transcript:EOY33018 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 3 isoform 4 MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMAEQIKREIATMKLIKHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVKHGRMREEEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFDDPNLMTLYKKISAAEFTCPPWLSFSAMKLITRILDPNPMTRITIPEILEDEWFKKDYKPPVFEEKEDTNLDDVEAVFKDSEEHHVMEKREEQPAAMNAFELISMSKGLNLGNLFDAEQGFKRETRFTSKCPANEIIHKIEEAAKPLGFDVHKKNYKMRLENSKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKCWKAWTILMECTGLVYPSKMSIVINGY >EOY33017 pep chromosome:Theobroma_cacao_20110822:9:34974261:34983661:-1 gene:TCM_041026 transcript:EOY33017 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 3 isoform 4 MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMAEQIKREIATMKLIKHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVKHGRMREEEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFDDPNLMTLYKKISAAEFTCPPWLSFSAMKLITRILDPNPMTRITIPEILEDEWFKKDYKPPVFEEKEDTNLDDVEAVFKDSEEHHVMEKREEQPAAMNAFELISMSKGLNLGNLFDAEQFSAGI >EOY33020 pep chromosome:Theobroma_cacao_20110822:9:34973288:34983213:-1 gene:TCM_041026 transcript:EOY33020 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 3 isoform 4 MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMAEQIKREIATMKLIKHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVKHGRMREEEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFDDPNLMTLYKKISAAEFTCPPWLSFSAMKLITRILDPNPMTRITIPEILEDEWFKKDYKPPVFEEKEDTNLDDVEAVFKDSEEHHVMEKREEQPAAMNAFELISMSKGLNLGNLFDAEQGFKRETRFTSKCPANEIIHKIEEAAKPLGFDVHKKNYKMRLENSKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKCWKAWTILMECTGLVYPSKMSIVINGY >EOY33019 pep chromosome:Theobroma_cacao_20110822:9:34974014:34983036:-1 gene:TCM_041026 transcript:EOY33019 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 3 isoform 4 MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMAEQIKREIATMKLIKHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVKHGRMREEEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFDDPNLMTLYKKISAAEFTCPPWLSFSAMKLITRILDPNPMTRITIPEILEDEWFKKDYKPPVFEEKEDTNLDDVEAVFKDSEEHHVMEKREEQPAAMNAFELISMSKGLNLGNLFDAEQGFKRETRFTSKCPANEIIHKIEEAAKPLGFDVHKKNYKMRLENSKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKCWKAWTILMECTGLVYPSKMSIVINGY >EOY30544 pep chromosome:Theobroma_cacao_20110822:9:4949657:4951215:1 gene:TCM_037718 transcript:EOY30544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L31e family protein MVEKTRGRKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTKDVRVDVKLNKHIWSRGIRSVPRRVRVRIARKRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKVIDDDE >EOY34681 pep chromosome:Theobroma_cacao_20110822:9:41777934:41780418:1 gene:TCM_042273 transcript:EOY34681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF641) [Source:Projected from Arabidopsis thaliana (AT2G30380) TAIR;Acc:AT2G30380] MEYTTTKPSKPSSNISELVSKFARVCKLRSTGVFSAENMLNTSNINAPLGEDSSDNTEETECDSDKIHPRPADVSCERNICCDAEVLKLFDTVSALKSAYVQLQKAHIPYDPDKIIAADELVLSKLDTLCKIKRAYKEKQFTKSKLDSSCLELLPSEVKVNERLLEKLKSENKTKDSEIVRLKQELQDLAFGNAKLIEIIRRERVERKKTTVLDVTMFQNSFKAASKSIHGFAKPLISLMMASGWDLDLAVKSIDDGVAYSRRPHKKYAFEAYIAWRMFHGMLLESYNVNDIMKFDDPIDALIENPDSGFAKFCRKKYLLVVHPMMEMSFFGNLDQRNFISSGKHPRTPFYQIFVKMAKWIWILHGIACSIDPEAKIFVVKRGSQFSDVYMESIEQDREGLVGSDEGQATQKVELMVLPGFRIGDTVVRSRVYLSKTN >EOY34529 pep chromosome:Theobroma_cacao_20110822:9:41378225:41381737:1 gene:TCM_042172 transcript:EOY34529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphate dependent pyruvate decarboxylase family protein MDTNIGSQLDSCKPANSILGCPPQNGSASIQDSHAPSAVSSDATLGRHLAHRLVEIGVKDVFSVPGDFNLTLLDHLIAEPGLKNIGCCNELNAGYAADGYARARGVGACVVTFTVGGLSIINAIAGAYSENLPVICIVGGPNTNDYGTNRILHHTIGLPDFSQELRCFQTVTCYQAVVNNLEDAHEQIDRAISTALKESKPVYISISCNLAAIPHATFIRDPIPFSLSPRLSNRMGLEAAVEATAAFLNKAVKPVMVAGPKLRVAKACEAFVELADACGYPVAVMPSAKGLVPEQHPHFIGTYWGAVGTAFCAEIVESADAYLFTGPIFNDYSSVGYSLLLKREKAIIVQPDRVVIANGPAFGCVLMKDFLQALAKRLKCNTTAYENYHRIFIPDGVPLKCDPQDPLRVNILFHHIQRMLSSNTAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQSVPDKRVIACIGDGSFQVTAQDISTMLRCEQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGNCWTAKVHCEEELIEAIEKATDEKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >EOY31428 pep chromosome:Theobroma_cacao_20110822:9:8779109:8786985:-1 gene:TCM_038367 transcript:EOY31428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shaker pollen inward K+ channel isoform 2 MPSKKKRVLFCGAREEEDGEGGSCTREVVGDRRSLSKEGSQYSFSVGVLPSLGPYRSNRKVKLRRFILSPFDPRYRSWETFLVFLVFYTAWVSPFEFGFLEKPEHPLSITDNVVNAFFAIDIVLTFFVAYLDKTSYLLVDEPKEIAWRYARTWLLFDVISIVPAEIARAILPHPFPSYGLLTLLRLWRLRRVSQLFSRLEKDKNYSYFWVRCLKLLCVTLFAAHFAGCIFYYMAAQYHNPGRAWMHLYEEDWKQLPLQDRYITSFYWSIVTLTTTGYGDLHPVNSKEMAFDIFYLLFNLGLQAYLVGNMTNLIVHGASRTRKFRENIQAASNFAQRNQLPVRLQDQMLDHLSLRYRTDSEGVQQQETIDALPKAIRSSIAHFLFYSLVDEVYLFRGVSNDLLFQLVSELKAEYYPPNEDVILQNEGPTEMYILVTGAVELIVQRNGAELVIDEGTTGDVVGEIGVLCYRPQLFTVRTKRLSQLLRLNRNEFLNIVQANVGDGTIIMNNLLQHLKESNDPVMEEILHETEHMLARGRMDLPLSLCFAAARRDDLLLQQLLKRGSDPNEQDNDGRTALHIAASNGSEHCIVLLLEYGADPNIRDSEGNVPLWEAIMGKHESVIKLLVDNGATLSSGDVGQFACSAVEQNRLDSLKDIVKYGGDVSQARSNGTAPIHAAICEGNIEMVRFLLEQGADIDVKDSDGWTARALADHQGHEEILALLQNRPEVKKLSVVTIPKKKLASHGKTIAKYSSEPTISSYISEVVPPVPEVTWMDNRRRRKANTFHNSLFGIISAANTGESPSAAGFPALSPLSNYSARVTISCPERSQVPSKLILLPKSLEELLDVGAQRFGFSLTRVMTEVGAEIDDIELIRDGDHLVLVSAEYEIPTSQYIKGSIQSSTSSTYGGT >EOY31429 pep chromosome:Theobroma_cacao_20110822:9:8779907:8786980:-1 gene:TCM_038367 transcript:EOY31429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shaker pollen inward K+ channel isoform 2 MPSKKKRVLFCGAREEEDGEGGSCTREVVGDRRSLSKEGSQYSFSVGVLPSLGPYRSNRKVKLRRFILSPFDPRYRSWETFLVFLVFYTAWVSPFEFGFLEKPEHPLSITDNVVNAFFAIDIVLTFFVAYLDKTSYLLVDEPKEIAWRYARTWLLFDVISIVPAEIARAILPHPFPSYGLLTLLRLWRLRRVSQLFSRLEKDKNYSYFWVRCLKLLCVTLFAAHFAGCIFYYMAAQYHNPGRAWMHLYEEDWKQLPLQDRYITSFYWSIVTLTTTGYGDLHPVNSKEMAFDIFYLLFNLGLQAYLVGNMTNLIVHGASRTRKFRENIQAASNFAQRNQLPVRLQDQMLDHLSLRYRTDSEGVQQQETIDALPKAIRSSIAHFLFYSLVDEVYLFRGVSNDLLFQLVSELKAEYYPPNEDVILQNEGPTEMYILVTGAVELIVQRNGAELASLPCK >EOY32448 pep chromosome:Theobroma_cacao_20110822:9:29795545:29798544:1 gene:TCM_040387 transcript:EOY32448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDHSHQWFNQADRMNGYNNNNNVSNRVDLTLKLGLPDCDHQNPLVYQTGQYVNPTSIAPNHLANLSFAGPNTQGMNELEMVNQGAVAQGQQSFNASQSAWPSDQLASNVHSMNHENTFNQISGPSMGCPSNSTNTYSNFAPTHHHQLPPSSVPTNNYTLLDVPPRRTADQRELGNSAASGLGKRGQRRQRGGNYNDPNKRCSNYNCNTNDTPMWRKGPLGPKTLCNACGIKYRKEEEKRKAKEAGSKGQQSNHNG >EOY32699 pep chromosome:Theobroma_cacao_20110822:9:32895906:32898141:1 gene:TCM_040726 transcript:EOY32699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 family protein MAERGGGERGTFRRGFGGGRSDRGPRGRRRGRKDEEEKWVPVTKLGRLVKAGKITSLEQIYLHSLPIKEYQIIDQLVGPSLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFECLLKTYGFLTPDFWKETRFTRSPFQEYTDLLGKPVKPLVLEDVERVDV >EOY33691 pep chromosome:Theobroma_cacao_20110822:9:38636747:38645968:-1 gene:TCM_041594 transcript:EOY33691 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger transcription factor, putative MAVVGVLEDKGSVLRKKKRRRICENKILDVNDKVEVRSVEEGFQGSWHQGTVISWDKQGCHVKYDHILVDDSSDNFVDIVGVPSPVVGGIGCPCGNQCNYRGSIRPLPLKIEISKWSLYYGLCVDVYFMDSWWEGVIFDYEDGLEKRRVFFPDLGDEMIAEIGNLRITQDWDDLEEEWHRRGTWLFLELIEQYEQEWYISVSLKQIWYDLREKEGFQNLREWTSSCEALWKELVLEVIKDNHEITVNHFIRVSGLSGSSQPDSKSQLEPVIPAADVNMCSDADLADTFALVPVENPIGNTMMSLNPATVESIQEKSDIGQLMCTSKDDTNILTGSNGFCLDTAVCVLPEALLVSPSVADGTSCISSVTSNEGFSGTNLDMAKRRARSSRLDETATWMTAGVDLVPKAESCPDAITKYALSGKKHANALRTDVRKHLLYQGWKIESKQDKHIVRVRYISPTGDCYYSLYKLCLDLMKQSGELICSNTKDLSVGEPTTKDVHVVEPEYCPQAVLDWSKAGLDETHKCHSKRSDMTLKAKKHLSWLGWAFHHASSNGRRYLCYTSPSGRIYFSLRAACKMCIEEGGVSQTDASPSRPLEKINVIEEADSQLASEKLSSALSYIGIQRSLMRSNAESENLSRESYLKLEKRNLVGLSSGGQRTRKPKRKRKDSSLYPVSCLDKRPANSPVENTSISRLKGGKTPLALMKLRENLKGSQHNRVLRSTKRVQQVVTPSPLHQNPRTVLSWLIDNNVVLPRSKVLYWRKEQRLKVEGRITRDGIKCSCCDKVYTLGGFVAHAGSSSHRPAANIFLEDGRSLLDCQLQMIHNNKMKFEKKQNRRLKGSWRQDRNDCICSVCHYGGELILCDQCPSSFHKCCLGLESVPDGDWFCPSCCCGICGQSKPKEDDADFVDDRILTCAQCEHKYHVVCICSRGVNKLKICAKENWFCSKNCEKIFVGLHELLGRPIPVGRDNLTWTLIKTMLSDTHDLDASDNEAIIENYSKLSIALDVMHECFEPVKEPHTGRDLVADIIFSRSSELNRLNFQGFYTILLERHDELITVANVRVHGEKVAEIPLIGTRFQYRRLGMCRILMNELEKKLMELGVQRLILPAVPNVLHTWTTSFGFSKMMPSERLTYVDYTFLDFQGAIMCQKLLLKRPLVESNLSIGSQFELYNDAIESSDNVDLDGSSAVSEVFQAGQIEDNGFIDQGLVEIEAGGGSGNKGDCVHIVVNQPTQIDHEPCDTEANPECSVVDTNYKKRKCSGSDSIRCYKRRRISA >EOY30331 pep chromosome:Theobroma_cacao_20110822:9:4239713:4244688:1 gene:TCM_037577 transcript:EOY30331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MVSYMSLLLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYPITPARLRLIYEDVWLRSSDGVRLHAWFIKLLPDCRGPTILFFQENAGNIAHRLEMVRIMLQRLHCNVFMLSYRGYGASDGYPSQHGIINDAQAALDHLSQRTDIDTDRIIVFGRSLGGAVGAVLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWFIGGPGTKGPKILNFLVRSPWSTIDIIGQVKQPILFLSGLQDEMVPSSHMQMLYAKAAAHNRQCLFVEFPTGMHMDTWLAGGDHYWRTIQQFFEQHVPEKKQNESPNGYAAAVRSSL >EOY30330 pep chromosome:Theobroma_cacao_20110822:9:4239967:4244380:1 gene:TCM_037577 transcript:EOY30330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MVSYMSLLLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYPITPARLRLIYEDVWLRSSDGVRLHAWFIKLLPDCRGPTILFFQENAGNIAHRLEMVRIMLQRLHCNVFMLSYRGYGASDGYPSQHGIINDAQAALDHLSQRTDIDTDRIIVFGRSLGGAVGAVLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWFIGGPGTKGPKILNFLVRSPWSTIDIIGQVKQPILFLSGLQDEMVPSSHMQMLYAKAAAHNRQCLFVEFPTGMHMDTWLAGGDHYWRTIQQFFEQHVPEKKQNESPNGYGNSPFPDEIVTR >EOY30328 pep chromosome:Theobroma_cacao_20110822:9:4239760:4245232:1 gene:TCM_037577 transcript:EOY30328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MVSYMSLLLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYPITPARLRLIYEDVWLRSSDGVRLHAWFIKLLPDCRGPTILFFQENAGNIAHRLEMVRIMLQRLHCNVFMLSYRGYGASDGYPSQHGIINDAQAALDHLSQRTDIDTDRIIVFGRSLGGAVGAVLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWFIGGPGTKGPKILNFLVRSPWSTIDIIGQVKQPILFLSGLQDEMVPSSHMQMLYAKAAAHNRQCLFVEFPTGMHMDTWLAGGDHYWRTIQQFFEQHVPEKKQNESPNGYDFERSLLPLIILSKSFLPAYKHKLIKRIEKRKLDATLVYLDVPAFV >EOY30329 pep chromosome:Theobroma_cacao_20110822:9:4239960:4244702:1 gene:TCM_037577 transcript:EOY30329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MVSYMSLLLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYPITPARLRLIYEDVWLRSSDGVRLHAWFIKLLPDCRGPTILFFQENAGNIAHRLEMVRIMLQRLHCNVFMLSYRGYGASDGYPSQHGIINDAQAALDHLSQRTDIDTDRIIVFGRSLGGAVGAVLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWFIGGPGTKGPKILNFLVRSPWSTIDIIGQVKQPILFLSGLQDEMVPSSHMQMLYAKAAAHNRQCLFVEFPTGMHMDTWLAGGDHYWRTIQQFFEQHVPEKKQNESPNGYDFERR >EOY33365 pep chromosome:Theobroma_cacao_20110822:9:37123152:37124876:-1 gene:TCM_041342 transcript:EOY33365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I subunit O MAATFASPSTVVGLRSTTLLSTPAKKAFLSSGFLKSRVAARNPLMLAGASGGKFTCFERDWLRTDFNVIGFGLIGWLAPSSIPAINGKSLTGLFFESIGNELAHFPSPPPLTSQFWLWLVLWHIGLFLCLTFGQIGFKGRTEDYF >EOY33004 pep chromosome:Theobroma_cacao_20110822:9:34845436:34849363:-1 gene:TCM_041010 transcript:EOY33004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 33, putative isoform 2 MAAELPGRTDNEIKNYWNTRIKRLQRAGLPIYPPDVCLQVNRSQEESHNAASLPNGDSYASNLLQSDAFEIPRVEFENLELNQGCLSYSPMLHDIPANTMLKRVGSSYGYGLMFPIAHPTKRLRESVSNYFSMPNQLTENAYKKRFDGPLMISSPYDSNLSTNDQASFGIPPGSDAPLNSNFSPSEPMSGPIKLELPSYQYSDTQEDSWVNPAYPLPLVESVDNLIQSPSIEQAKSDYFTPQNSGLLEAVLHESQKLKSSKDDSCQQSSSTSVLDDVANFSLNHCEIEFEAHCDPNSPLAHSAASVFSEFTPVSGSSSDEPQFIESILGCNAKNKTPSQMAVARLDDFIGPGQFGHNNGCVRDKPSMTDAIAALLGEDPYCGY >EOY33005 pep chromosome:Theobroma_cacao_20110822:9:34845882:34848405:-1 gene:TCM_041010 transcript:EOY33005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 33, putative isoform 2 MRKGHSDLSSVEEGSRRGSMRESCHLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNSGLSRCGKSCRLRWANHLRPDLKKGVFTPEEERRIIELHAKLGNKWARMAAELPGRTDNEIKNYWNTRIKRLQRAGLPIYPPDVCLQVNRSQEESHNAASLPNGDSYASNLLQSDAFEIPRVEFENLELNQGCLSYSPMLHDIPANTMLKRVGSSYGYGLMFPIAHPTKRLRESVSNYFSMPNQLTENAYKKRFDGPLMISSPYDSNLSTNDQASFGIPPGSDAPLNSNFSPSEPMSGPIKLELPSYQYSDTQEDSWVNPAYPLPLVESVDNLIQSPSIEQAKSDYFTPQNSGLLEAVLHESQKLKSSKDDSCQQSSSTSVLDDVANFSLNHCEIEFEAHCDPNSPLAHSAASVFSEFTPVSGSSSDEPQFIESILGEDILHPMNCGMN >EOY33003 pep chromosome:Theobroma_cacao_20110822:9:34845440:34849373:-1 gene:TCM_041010 transcript:EOY33003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 33, putative isoform 2 MRKGHSDLSSVEEGSRRGSMRESCHLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNSGLSRCGKSCRLRWANHLRPDLKKGVFTPEEERRIIELHAKLGNKWARMAAELPGRTDNEIKNYWNTRIKRLQRAGLPIYPPDVCLQVNRSQEESHNAASLPNGDSYASNLLQSDAFEIPRVEFENLELNQGCLSYSPMLHDIPANTMLKRVGSSYGYGLMFPIAHPTKRLRESVSNYFSMPNQLTENAYKKRFDGPLMISSPYDSNLSTNDQASFGIPPGSDAPLNSNFSPSEPMSGPIKLELPSYQYSDTQEDSWVNPAYPLPLVESVDNLIQSPSIEQAKSDYFTPQNSGLLEAVLHESQKLKSSKDDSCQQSSSTSVLDDVANFSLNHCEIEFEAHCDPNSPLAHSAASVFSEFTPVSGSSSDEPQFIESILGCNAKNKTPSQMAVARLDDFIGPGQFGHNNGCVRDKPSMTDAIAALLGEDPYCGY >EOY31958 pep chromosome:Theobroma_cacao_20110822:9:18166302:18173629:1 gene:TCM_039322 transcript:EOY31958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Valyl-tRNA synthetase / valine--tRNA ligase (VALRS) isoform 2 MFQVVVEKKLMRERCLTRHDVGREEFVNEVWKWKTEYGGTILRQQRRMGASLDWSRECFTMDEKRSKAVTEAFCRLYEEGLIYRDLRLVNWDCGLRTAISDIEVDYTDIKERTLLKVPGYEKPVEFGVLTSFAYPLEGELGEIVVATTRVETMLGDTGIAIHPHDKRYSHLHGKFAVHPFNGRKLPIICDAILVDPSFGTGAVKITPAHDPNDFEVGKRHNIEFINIFTDDGKINSNGGPEFAGMPRFKAREAVIEALQKKKLYRGAQNNEMRLGLCSRSNDVVEPMIKAQWYVNCSSMAKQALDAAMDDQNRKLEFIPKQYTAEWKRWLENIRDWCISRQLWWGHRIPAWYVTLEDDEMKELGAYNDHWMVARNEEQALAEVKKKFPGKKFEMLQDPDVLDTWFSSGLFPLSVLGWPDDTDDLKAFYPTSVLETGHDILFFWVARMVMLGITLGGDIPFRKVYLHPMIRDAHGRKMSKSLGNVIDPLEVINGVSLEGLHKRLEEGNLDPNELATAKAGQVKDFPNGIAECGADALRFALVSYTAQSDKINLDIQRVVGYRQWCNKLWNAVRFAMSKLPDDYTPPPTINLGTMPFSCGWILSVLNKAISKTVMSLNAYEFSDAATSVYSWWQYQFCDVFIEAIKPYFAGDNPAFSSERSSARDALWVCLESGLRLLHPFMPHVTEELWQRLPGVKSHTRKESIMICEFPSPMELDK >EOY31956 pep chromosome:Theobroma_cacao_20110822:9:18164610:18173733:1 gene:TCM_039322 transcript:EOY31956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Valyl-tRNA synthetase / valine--tRNA ligase (VALRS) isoform 2 MSQQPDKKPETEEDLERKKKKEEKAREKELKKLKALEKAELAKLKAQQGSNAPKKSAKKNVKREADEENPQDFVDPDTPLGEKKRLSSQMAKQYSPAAVEKSWYAWWEKSGFFQADAGSSKPPFVIVLPPPNVTGALHIGHALTSAIQDTMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERCLTRHDVGREEFVNEVWKWKTEYGGTILRQQRRMGASLDWSRECFTMDEKRSKAVTEAFCRLYEEGLIYRDLRLVNWDCGLRTAISDIEVDYTDIKERTLLKVPGYEKPVEFGVLTSFAYPLEGELGEIVVATTRVETMLGDTGIAIHPHDKRYSHLHGKFAVHPFNGRKLPIICDAILVDPSFGTGAVKITPAHDPNDFEVGKRHNIEFINIFTDDGKINSNGGPEFAGMPRFKAREAVIEALQKKKLYRGAQNNEMRLGLCSRSNDVVEPMIKAQWYVNCSSMAKQALDAAMDDQNRKLEFIPKQYTAEWKRWLENIRDWCISRQLWWGHRIPAWYVTLEDDEMKELGAYNDHWMVARNEEQALAEVKKKFPGKKFEMLQDPDVLDTWFSSGLFPLSVLGWPDDTDDLKAFYPTSVLETGHDILFFWVARMVMLGITLGGDIPFRKVYLHPMIRDAHGRKMSKSLGNVIDPLEVINGVSLEGLHKRLEEGNLDPNELATAKAGQVKDFPNGIAECGADALRFALVSYTAQSDKINLDIQRVVGYRQWCNKLWNAVRFAMSKLPDDYTPPPTINLGTMPFSCGWILSVLNKAISKTVMSLNAYEFSDAATSVYSWWQYQFCDVFIEAIKPYFAGDNPAFSSERSSARDALWVCLESGLRLLHPFMPHVTEELWQRLPGVKSHTRKESIMICEFPSPMESWTNERVEYEMDLVESTVRSFRSLRAELLAKQKNERLPAFAFCQSEEVAEIIRSCELEILTLATLSSLKVLLSGVDEAPAGCAFENVNENLKVYLKVHGALNAEAEREKIKSKMDEILKQQEKLKKIMNASGYQEKVPTHIQEENATKLAKLLQEFEFFKKESERMESEAEQRK >EOY31957 pep chromosome:Theobroma_cacao_20110822:9:18164610:18173733:1 gene:TCM_039322 transcript:EOY31957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Valyl-tRNA synthetase / valine--tRNA ligase (VALRS) isoform 2 MSQQPDKKPETEEDLERKKKKEEKAREKELKKLKALEKAELAKLKAQQGSNAPKKSAKKNVKREADEENPQDFVDPDTPLGEKKRLSSQMAKQYSPAAVEKSWYAWWEKSGFFQADAGSSKPPFVIVLPPPNVTGALHIGHALTSAIQDTMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERCLTRHDVGREEFVNEVWKWKTEYGGTILRQQRRMGASLDWSRECFTMDEKRSKAVTEAFCRLYEEGLIYRDLRLVNWDCGLRTAISDIEVDYTDIKERTLLKVPGYEKPVEFGVLTSFAYPLEGELGEIVVATTRVETMLGDTGIAIHPHDKSNGGPEFAGMPRFKAREAVIEALQKKKLYRGAQNNEMRLGLCSRSNDVVEPMIKAQWYVNCSSMAKQALDAAMDDQNRKLEFIPKQYTAEWKRWLENIRDWCISRQLWWGHRIPAWYVTLEDDEMKELGAYNDHWMVARNEEQALAEVKKKFPGKKFEMLQDPDVLDTWFSSGLFPLSVLGWPDDTDDLKAFYPTSVLETGHDILFFWVARMVMLGITLGGDIPFRKVYLHPMIRDAHGRKMSKSLGNVIDPLEVINGVSLEGLHKRLEEGNLDPNELATAKAGQVKDFPNGIAECGADALRFALVSYTAQSDKINLDIQRVVGYRQWCNKLWNAVRFAMSKLPDDYTPPPTINLGTMPFSCGWILSVLNKAISKTVMSLNAYEFSDAATSVYSWWQYQFCDVFIEAIKPYFAGDNPAFSSERSSARDALWVCLESGLRLLHPFMPHVTEELWQRLPGVKSHTRKESIMICEFPSPMESWTNERVEYEMDLVESTVRSFRSLRAELLAKQKNERLPAFAFCQSEEVAEIIRSCELEILTLATLSSLKVLLSGVDEAPAGCAFENVNENLKVYLKVHGALNAEAEREKIKSKMDEILKQQEKLKKIMNASGYQEKVPTHIQEENATKLAKLLQEFEFFKKESERMESEAEQRK >EOY31959 pep chromosome:Theobroma_cacao_20110822:9:18166307:18173629:1 gene:TCM_039322 transcript:EOY31959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Valyl-tRNA synthetase / valine--tRNA ligase (VALRS) isoform 2 MFQVVVEKKLMRERCLTRHDVGREEFVNEVWKWKTEYGGTILRQQRRMGASLDWSRECFTMDEKRSKAVTEAFCRLYEEGLIYRDLRLVNWDCGLRTAISDIEVDYTDIKERTLLKVPGYEKPVEFGVLTSFAYPLEGELGEIVVATTRVETMLGDTGIAIHPHDKRYSHLHGKFAVHPFNGRKLPIICDAILVDPSFGTGAVKITPAHDPNDFEVGKRHNIEFINIFTDDGKINSNGGPEFAGMPRFKAREAVIEALQKKKLYRGAQNNEMRLGLCSRSNDVVEPMIKAQWYVNCSSMAKQALDAAMDDQNRKLEFIPKQYTAEWKRWLENIRDWCISRQLWWGHRIPAWYVTLEDDEMKELGAYNDHWMVARNEEQALAEVKKKFPGKKFEMLQDPDVLDTWFSSGLFPLSVLGWPDDTDDLKAFYPTSVLETGHDILFFWVARMVMLGITLGGDIPFRKVYLHPMIRDAHGRKMSKSLGNVIDPLEVINGVSLEGLHKRLEEGNLDPNELATAKAGQVKDFPNGIAECGADALRFALVSYTAQSDKINLDIQRVVGYRQWCNKLWNAVRFAMSKLPDDYTPPPTINLGTMPFSCGWILSVLNKAISKTVMSLNAYEFSDAATSVYSWWQYQFCDVFIEAIKPYFAGDNPAFSSERSSARDALWVCLESGLRLLHPFMPHVTEELWQRLPGVKSHTRKESIMICEFPSPMEVKLDK >EOY30001 pep chromosome:Theobroma_cacao_20110822:9:3025363:3026387:1 gene:TCM_037358 transcript:EOY30001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein MAGYKRIAVRFLMHFRLVMHLQNKNLQLAYSTLILNYAVLLAEKKDEKGQSHVLSAALAIAEQENLEVDSRFRALVAIGSLMLEGLVIKIAMDLEVENIAKVTKASKEAKVAEIGADIELTTKQR >EOY29356 pep chromosome:Theobroma_cacao_20110822:9:876266:880384:-1 gene:TCM_036920 transcript:EOY29356 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-dependent glyceraldehyde-3-phosphate dehydrogenase isoform 1 MAHFKPPNVAFTQMAGTGVFAELVGGDGEFKYYSDGEWKKSCSGKSVPIINPTTRETQFKVQACTQEEVNKVIDAAKTAQKSWAKTPLWKRAELLHKAAAILKEHRNPIAECLVKEIAKPAKDAVTEVVRSGDLVSYCAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVILAIPPFNYPVNLAVSKIGPALIAGNSLVLKPPTQGAVAALHMMHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGINCISFTGGDTGIAISKKAGMIPLQMELGGKDACIILEDADLDLAAANIVKGGFSYSGQRCTAVKVVLVMDSVADALVEKVKAKVAKLTVGPPEDDCDITPVVTESSANFIEGLVMDAKQKGATFCQEYKRAGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTRDINKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKIKTTVINLPTPSYTMG >EOY29357 pep chromosome:Theobroma_cacao_20110822:9:876500:880204:-1 gene:TCM_036920 transcript:EOY29357 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-dependent glyceraldehyde-3-phosphate dehydrogenase isoform 1 MAGTGVFAELVGGDGEFKYYSDGEWKKSCSGKSVPIINPTTRETQFKVQACTQEEVNKVIDAAKTAQKSWAKTPLWKRAELLHKAAAILKEHRNPIAECLVKEIAKPAKDAVTEVVRSGDLVSYCAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVILAIPPFNYPVNLAVSKIGPALIAGNSLVLKPPTQGAVAALHMMHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGINCISFTGGDTGIAISKKAGMIPLQMELGGKDACIILEDADLDLAAANIVKGGFSYSSGQRCTAVKVVLVMDSVADALVEKVKAKVAKLTVGPPEDDCDITPVVTESSANFIEGLVMDAKQKGATFCQEYKRAGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTRDINKAILISDAMETGTVQINSAPARGPDHFPFQQGLKDSGIGSQGITNSINMMTKIKTTVINLPTPSYTMG >EOY29248 pep chromosome:Theobroma_cacao_20110822:9:486427:489998:-1 gene:TCM_036842 transcript:EOY29248 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine (UAA) transporter family isoform 1 MDTRSDAESSSFLSLSAALSYGIASMAMVFINKAILMQYAHSMTLLTVQQLATALLIHFGRQVGYSKAKGIDITTAKRLLPISLFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLIAGFFSGKGKPTTQVTLSVLLTAAGVIVAAMGDFSFDLFGYSMALTSVFFQTMYLVLVEKSGAEDGLSSVEIMFYNSFLSLPFLLFLIIATGEFPNSLSILFAKSNSFSFLVILLLSLVMGIALNYTMFLCTIVNSALTTTIVGVLKGVGSTTLGFILLGGVQVHALNVTGLVINTAGGVWYSYAKYNQKKKKPPKLMLDLEAHRK >EOY29247 pep chromosome:Theobroma_cacao_20110822:9:485982:489998:-1 gene:TCM_036842 transcript:EOY29247 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine (UAA) transporter family isoform 1 MDTRSDAESSSFLSLSAALSYGIASMAMVFINKAILMQYAHSMTLLTVQQLATALLIHFGRQVGYSKAKGIDITTAKRLLPISLFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLIAGFFSGKGKPTTQVTLSVLLTAAGVIVAAMGDFSFDLFGYSMALTSVFFQTMYLVLVEKSGAEDGLSSVEIMFYNSFLSLPFLLFLIIATGEFPNSLSILFAKSNSFSFLVILLLSLVMGIALNYTMFLCTIVNSALTTTIVGVLKGVGSTTLGFILLGGVQVHALNVTGLVINTAGGVWYSYAKYNQKKKKPPKLMLDLEAHRK >EOY33866 pep chromosome:Theobroma_cacao_20110822:9:39194260:39198151:-1 gene:TCM_041709 transcript:EOY33866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with tetratricopeptide repeat domain MGARCSKLSLCWWPSNLKSNLNDSSDLENGKEALPGFCEYSLDQLKAATQGFCTDNIVSEHGEKAPNVVYRGKLDEDRWVAVKRFNRSAWPDPRQFLEEARAVGQLRSERLANLIGCCCEGDDRLLVAEFMPNETLSKHLFHWENQHMKWAMRLRVALYLAQALEYCSSRGRALYHDLNAYRILFDQDGNPRLSSFGLMKNSRDGKSYSTNLAFTPPEYLRTGYVNLALGRVIPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSCLEGHFSNDDGTELVRLASRCLQYEPRERPNVKSLVTALTPLQKETEVPSHVLMGIPHGTASSKQAMLLTPLGEACSRMDLTAIHEILEKSGYKDDEGIANELSFQMWTDQIQETLNSKKRGDTAFRAKDFGTAIECYTHFIDGGTMVSPTVFARRCLCYLMNEMAQEALGDAMQAQVVSPDWPTAFYLQAAALFSLGMDNDAQETLKDGTNLEAKKHRN >EOY29622 pep chromosome:Theobroma_cacao_20110822:9:1782907:1786375:-1 gene:TCM_037113 transcript:EOY29622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of Uncharacterized protein function (DUF298) isoform 2 MLAWKLKAQRQGYFTQDEWRTGLKELRVDSISKLKKALPELEKEVGKPSNYDNFYSYAFRYCLTEEMQKSVDIESICELLNLVLGAQFRPQVDLLIEYLKVQSDYKVINLDQWINFLRFCQEINFPDLENYDATQAWPLILDNFVDWMREKHSSF >EOY29621 pep chromosome:Theobroma_cacao_20110822:9:1783004:1786117:-1 gene:TCM_037113 transcript:EOY29621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of Uncharacterized protein function (DUF298) isoform 2 MMKVSSKAKTKGFVRIDRMFDSYANSSLGMIDPDGIEAFCSDLGVDCTDVRILMLAWKLKAQRQGYFTQDEWRTGLKELRVDSISKLKKALPELEKEVGKPSNYDNFYSYAFRYCLTEEMQKSVDIESICELLNLVLGAQFRPQVDLLIEYLKVQSDYKVINLDQWINFLRFCQEINFPDLEN >EOY29620 pep chromosome:Theobroma_cacao_20110822:9:1782618:1786375:-1 gene:TCM_037113 transcript:EOY29620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of Uncharacterized protein function (DUF298) isoform 2 MPPRAPKRKSAPPNSLSVTSSDGSSVSSKAKTKGFVRIDRMFDSYANSSLGMIDPDGIEAFCSDLGVDCTDVRILMLAWKLKAQRQGYFTQDEWRTGLKELRVDSISKLKKALPELEKEVGKPSNYDNFYSYAFRYCLTEEMQKSVDIESICELLNLVLGAQFRPQVDLLIEYLKVQSDYKVINLDQWINFLRFCQEINFPDLENYDATQAWPLILDNFVDWMREKHSSF >EOY31574 pep chromosome:Theobroma_cacao_20110822:9:9682236:9684069:1 gene:TCM_038498 transcript:EOY31574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin8 MTFRLSNNLVGILNLVTFILSIPILGTGIWLSREGVTECERFLDKPLIVIGVFLMVVSLAGLIGACCRVTWLLWLYLVVMFLLIVLGIVFTIFAFAVTNKGAGEVLSGKGYKEYRLGDYSNWLQKRVTDQKNWNKIKSCLVDSKVCTDFRDKYLNDTVEAFYSEHLSAVQSGCCKPSNDCQFTYVGPTNWTKTNGDFTNTDCNLWDNNLNTLCFNCQSCKAGFIDNLKSSWKKVAIVNIVFLVFLIVVYSVGCCAFRNNRRDNAYHQGWKP >EOY33182 pep chromosome:Theobroma_cacao_20110822:9:35793140:35797044:-1 gene:TCM_041153 transcript:EOY33182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin 5 MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAKRTQRTVSSHRSQNEEKPNAGRKRRKRVKIRDCVFL >EOY33353 pep chromosome:Theobroma_cacao_20110822:9:37036255:37040705:-1 gene:TCM_041332 transcript:EOY33353 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441, putative isoform 1 MAGEGVSRETQMSIEASSMFPGFRFSPTDVELISYYLKKKLDGYDKCVEVISEIEICRHEPWDLPAKSVIKSDNEWFFFCARGRKYPNGSQSRRATEQGYWKATGKERNVKSGSNVIGTKRTLVFHMGRAPKGERTEWIMHEYCMNGKSQASRNQQELSTMHDSRATSDGGTDQTGMSEGDKAVEFYSKKVTSSYDSHSIEQIDSASESEEKHSNDVAPTESSTPQKDSDGEEDYFAEILKDDIIKLDETSLSAMPPDSLSMVASTSDTERRTQQPRQENVPNASPFQGTASRRIKLWRPKGDILCDAASGSLVRELAVEKQSSPKIEESPKCLLSIFSPRTANLRLIYASFVILTLLALFLSLIGDSKPVKDFKYAAFYKNFWQ >EOY33354 pep chromosome:Theobroma_cacao_20110822:9:37036256:37040600:-1 gene:TCM_041332 transcript:EOY33354 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441, putative isoform 1 NLTYPLFLIRFLEAESYQTKSKSKRNPKGKKILLLLNRTNNRLLYFFSPILNIRTNRKTKRRRSLSWFFALVMAGEGVSRETQMSIEASSMFPGFRFSPTDVELISYYLKKKLDGYDKCVEVISEIEICRHEPWDLPVIKSDNEWFFFCARGRKYPNGSQSRRATEQGYWKATGKERNVKSGSNVIGTKRTLVFHMGRAPKGERTEWIMHEYCMNGKSQDSLVVCRLRKNSEFRLNNTTNQASRNQQELSTMHDSRATSDGGTDQTGMSEGDKAVEFYSKKVTSSYDSHSIEQIDSASESEEKHSNDVAPTESSTPQKDSDGEEDYFAEILKDDIIKLDETSLSAMPPDSLSMVASTSDTERRTQQPRQENVPNASPFQGTASRRIKLWRPKGDILCDAASGSLVRELAVEKQSSPKIEESPKCLLSIFSPRTANLRLIYASFVILTLLALFLSLIGDSKPVKDFKYAAFYKNFWQ >EOY33352 pep chromosome:Theobroma_cacao_20110822:9:37036473:37040382:-1 gene:TCM_041332 transcript:EOY33352 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441, putative isoform 1 MAGEGVSRETQMSIEASSMFPGFRFSPTDVELISYYLKKKLDGYDKCVEVISEIEICRHEPWDLPAKSVIKSDNEWFFFCARGRKYPNGSQSRRATEQGYWKATGKERNVKSGSNVIGTKRTLVFHMGRAPKGERTEWIMHEYCMNGKSQDSLVVCRLRKNSEFRLNNTTNQASRNQQELSTMHDSRATSDGGTDQTGMSEGDKAVEFYSKKVTSSYDSHSIEQIDSASESEEKHSNDVAPTESSTPQKDSDGEEDYFAEILKDDIIKLDETSLSAMPPDSLSMVASTSDTERRTQQPRQENVPNASPFQGTASRRIKLWRPKGDILCDAASGSLVRELAVEKQSSPKIEESPKCLLSIFSPRTANLRLIYASFVILTLLALFLSLIGDSKPVKDFKYAAFYKNFWQ >EOY31805 pep chromosome:Theobroma_cacao_20110822:9:14203692:14204865:-1 gene:TCM_038944 transcript:EOY31805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotianamine synthase 4 MASLQNSNFETQIPAEILVARVAQIHGSISKLESLTPSKQVNSLLTRLVKLCTLPSDIDIEALPQKVQDMRENLIILSGRAEGLLELEFATFLSKIPKPLNNLNLFPYYDNYVKLASLEYRILSENGVVQPKKVAFVGSGPMPLTSIVMATHHMKSTHFDNFDIDEAANDVARQLIASDVEFEKRVKFVTNDIMKVREKLGDYDCIFLAALVGMRKEEKLTIVGHIGKYMTAGGYLLVRSAKGARAFLYPSLEQHDLPGFELLSIFHPTNELINSVVLVRKPVSPN >EOY29834 pep chromosome:Theobroma_cacao_20110822:9:2473071:2474771:-1 gene:TCM_037238 transcript:EOY29834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MLHSNDFNSLILLYVLLFISSSSIFSHGEMLDGSVLNVGEELKKETLPLRMGSRVYRLQGLKSLTWYEVKISYPASIPASFSLQLKKGNSDSGLKRNRRLLNTEKLIFKTDSLDMINDQFAMNYCRASLTKLGGL >EOY29832 pep chromosome:Theobroma_cacao_20110822:9:2472825:2474735:-1 gene:TCM_037238 transcript:EOY29832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MLHSNDFNSLILLYVLLFISSSSIFSHGEMLDGSVLNVGEELKKETLPLRMGSRVYRLQGLKSLTWYEVKISYPASIPASFSLQLKKGNSDSGLKRNRRLLNTEKLIFKTDSLDMINDQGGLHVLVTVEPEGFVAIPNTKEREFIIFNIVCDELLSGIPYKAWWVVVFALLCLGLALVVPRYLPSYLLVGQNVVKQS >EOY29831 pep chromosome:Theobroma_cacao_20110822:9:2473071:2474735:-1 gene:TCM_037238 transcript:EOY29831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MLHSNDFNSLILLYVLLFISSSSIFSHGEMLDGSVLNVGEELKKETLPLRMGSRVYRLQGLKSLTWYEVKISYPASIPASFSLQLKKGNSDSGLKRNRRLLNTEKLIFKTDSLDMINDQFAMNYCRASLTKLGGL >EOY29830 pep chromosome:Theobroma_cacao_20110822:9:2472825:2474771:-1 gene:TCM_037238 transcript:EOY29830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MLHSNDFNSLILLYVLLFISSSSIFSHGEMLDGSVLNVGEELKKETLPLRMGSRVYRLQGLKSLTWYEVKISYPASIPASFSLQLKKGNSDSGLKRNRRLLNTEKLIFKTDSLDMINDQGGLHVLVTVEPEGFVAIPNTKEREFIIFNIVCDELLSGIPYKAWWVVVFALLCLGLALVVPRYLPSYLLVGQNVVKQS >EOY29833 pep chromosome:Theobroma_cacao_20110822:9:2472825:2474735:-1 gene:TCM_037238 transcript:EOY29833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MGSRVYRLQGLKSLTWYEVKISYPASIPASFSLQLKKGNSDSGLKRNRRLLNTEKLIFKTDSLDMINDQGGLHVLVTVEPEGFVAIPNTKEREFIIFNIVCDELLSGIPYKAWWVVVFALLCLGLALVVPRYLPSYLLVGQNVVKQS >EOY34076 pep chromosome:Theobroma_cacao_20110822:9:39874940:39876780:1 gene:TCM_041866 transcript:EOY34076 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 2, putative MEMEGGNMDMAGSGGTTGNSRWNPTKEQISLLESLYMQGIRTPSADQIQQITSRLKVYGTIEGKNVFYWFQNHKARQRQKQKQENMAYINRYLHRTQPVYPPPPRAGPNVVCGPYFLPQGDLGFYPHQCPKVLLPGAVKRRGRPEKMEKARVYGTGAAYDLVHQECNTMMPDIENYGGVLNNGNHETLALFPLHPTGVLDARATMSSLGSTTSAGNSTTPSSSCETTAGIDQEGYGTEQRFFDFFSSQGSCESDLKYGH >EOY32084 pep chromosome:Theobroma_cacao_20110822:9:20464079:20492308:-1 gene:TCM_039557 transcript:EOY32084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoamylase 1 isoform 1 MDLTCCTFCLQYAPKLIPATLRRQTPPKPNLRTVSNNHATTSTTRMVVKARGGGGGGARRGAAEADTAVVEKPKIKRFQLSEGHPGPFGATLLDGGVNFAIYSANAVSATLCLITFSDLQQNRVTEQISLDPLTNKTGDVWHVFLRGDFKDMLYGYIFDGKFSPEKGHYYDSSKILLDPYAKAVISRGEFGALGPEDNCWPQMACMVPTSEDEFDWEGDLPLRYSQRDLIIYEMHVRGFTRHESSRTEFPGTYRGVVEKLDHLKVAYKFLYKGVKKGYRQGQDKLYWSNDWYGQKVGPSWVKVLWPFKLAWCPCHTGLQITACGPFRGITKLLFYRYTSAHIELILSKAVVTASAFSLCMRVCLLQSCCNQPIELGVNCIELMPCHEFNELEYYSYNSILGDYKLNFWGYSTVNFFSPMIRYSSSGMHNCGRDAVNEFKYLVKEAHKRGIEVFMDVVFNHTAEGNENGPILSFRGVDNSVYYMLAPKGEYYNYSGCGNTFNCNHPVVRQFILDCLRYWVTEMHVDGFRFDLASIMTRSSSLWDLVNVFGNPLKGDLITTGTPLSSPPLIDMMSNDPVLRGVKLIAEAWDTGGLYQVGSFPHWGIWSEWNGKYRDIVRQFIKGTDGFSGAFAECLCGSPNLYQEGGRKPWNSINFVCAHDGFTLADLVTYNNKHNLANGEDNNDGESHNNSWNCGQEGEFASISVKKLRKRQMRNFFLCLMVSQGIPMICMGDEYGHTKGGNNNTYCHDNYINYFQWDKKEECSSDFFRFCCLMTKFRRECESLGLNDFPTAERLQWHGHTPGMPDWSDRSRFVAFTLIDSVKGEIYVAFNTSYLPVTITLPEHPGYRWEPLVDTSKPAPFDFLSEELKERDIAIKQYVQFLDANLYPMLSYSSIILLLSPDGNA >EOY32085 pep chromosome:Theobroma_cacao_20110822:9:20464598:20491081:-1 gene:TCM_039557 transcript:EOY32085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoamylase 1 isoform 1 MDLTCCTFCLQYAPKLIPATLRRQTPPKPNLRTVSNNHATTSTTRMVVKARGGGGGGARRGAAEADTAVVEKPKIKRFQLSEGHPGPFGATLLDGGVNFAIYSANAVSATLCLITFSDLQQNRVTEQISLDPLTNKTGDVWHVFLRGDFKDMLYGYIFDGKFSPEKGHYYDSSKILLDPYAKAVISRGEFGALGPEDNCWPQMACMVPTSEDEFDWEGDLPLRYSQRDLIIYEMHVRGFTRHESSRTEFPGTYRGVVEKLDHLKELGVNCIELMPCHEFNELEYYSYNSILGDYKLNFWGYSTVNFFSPMIRYSSSGMHNCGRDAVNEFKYLVKEAHKRGIEVFMDVVFNHTAEGNENGPILSFRGVDNSVYYMLAPKGEYYNYSGCGNTFNCNHPVVRQFILDCLRYWVTEMHVDGFRFDLASIMTRSSSLWDLVNVFGNPLKGDLITTGTPLSSPPLIDMMSNDPVLRGVKLIAEAWDTGGLYQVGSFPHWGIWSEWNGKYRDIVRQFIKGTDGFSGAFAECLCGSPNLYQEGGRKPWNSINFVCAHDGFTLADLVTYNNKHNLANGEDNNDGESHNNSWNCGQEGEFASISVKKLRKRQMRNFFLCLMVSQGIPMICMGDEYGHTKGGNNNTYCHDNYINYFQWDKKEECSSDFFRFCCLMTKFRRECESLGLNDFPTAERLQWHGHTPGMPDWSDRSRFVAFTLIDSVKGEIYVAFNTSYLPVTITLPEHPGYRWEPLVDTSKPAPFDFLSEELKERDIAIKQYVQFLDANLYPMLSYSSIILLLSPDGNA >EOY34413 pep chromosome:Theobroma_cacao_20110822:9:40996748:40999696:1 gene:TCM_042094 transcript:EOY34413 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S15a-5 MTASSVATAPKVRNHLDSCKGGSCDRERKHCKQVKGCARGGREEIEAVPEVLSAMAEHFQVYDQKIMGRIAVELQGRVNDCRALTYRQDINAKDIEKYTTLKLPTHQMMTFYSLILTLSFNNFICSGGYVVISTPDGILDHEEAISRNVGGQAFGYFH >EOY30536 pep chromosome:Theobroma_cacao_20110822:9:4901020:4902683:-1 gene:TCM_037711 transcript:EOY30536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S15A E MGRRILNDALRKIVNAERRGKATVELQPISTVMSSFLKIMKYRGYIKNFEVYDPHRVGRITVELQGRVNDCKALTYRQDIKAKDIEKYTTLKLPTHQWGYVVISTPDGILDHEAAISRNVGGQVLGYFH >EOY30512 pep chromosome:Theobroma_cacao_20110822:9:4842176:4845618:1 gene:TCM_037698 transcript:EOY30512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MLSVFSIHCSSESFLIKTFPTKWHFIARNFTSSQYSGLLRDSASNGSLNFGKAIHGQLIKIGLTSWTSLLHFYTKCGSFGCACQVLDEMPNRNVMSWTVLISRLVSEGHSYRAMNLYCNMKKDGVRPNGFTLVTALKACSMGFELDFGTQLHAEVIKIGVLLDTFVASAVVDLYARCGEMKHAERAFFCMPEKNVVTWSAFLNGYAKMGDSQEVLKLFCGMKGTETKFSKFILSTVFKSCARLGNLQGGRVVHCLAIKIGSDIDEYLGCCIIDMYSKCGVGEDAQRVFEAIKVPNVVAWTSMINCLDGQGKSQEAAELFCLMRQKEVRPNQLTFASIVRTASNFSDLHYCQSIHACILKFGFESDKFLSNALITMYMKMKSVQNGWEVFNAMSSWDSTSWNSLLSGPRDCETYHQGPRIFCKMLAEGFRPDVYTFASILRSNGTLENFGFGKQVHAHIMKNGLDCNNFVGTSLIDMYAKNRCLDEAELLFNELNERDLFSWTVIIASYAQADQGEKAVKWFNRMQQEGFKPNEFTLSCCFSGCHNLRMLESGLQLHSMSIKSGLLNDTYVASALIDMHGKSRCIEDAEAIFKEMDFRNTVSWSTIICAYTQHGQGKMAIEAFKVMLDEGFLPDEVTFIGVLSACSQLGLIEEAKMYFDSISKVYMISPTVEHCACMVNVFCRAGKFEEVERFMKEMNLTENAMIWDTVLWGCKLHSNVEFGERAARKLFELEPELEYNYVTLSNMFAARGEWDEVEKIRALMCNQGIKKQPGGSWLEIHGEAYMFYAQDTSYPGIKEINMQMEKLIKCRTNEHKII >EOY34213 pep chromosome:Theobroma_cacao_20110822:9:40302967:40303798:-1 gene:TCM_041953 transcript:EOY34213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitinase 1 MVKPSLHLPKSSSSWVHNAVSSLTDMIKHYHIDGIDIDYEHFRTSPELFAECIGQLITSLKRSGTISFASIAPYEDDTVKSHYLALWRKYGQVIDYVNFQFYAYDKVSVPQFITNFKMQASNYGGGQLLASFQSDGGGGLRPSDGYFEACNELKDQGKLGGIFIWCADESKGNKFQYEKNSQDLHAA >EOY29241 pep chromosome:Theobroma_cacao_20110822:9:465922:466698:1 gene:TCM_036838 transcript:EOY29241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase MPAKDDMALPYFRILSITLVVVASCLSHLPLLSNAQEAGTATYYTPPYTPSACYGYEEQGTMIAAASDEIWNDGGACGQMYQVTCVSGTNEGTPYPCWGSGTVEIKIVDHCPAGCRGTIDLSQEAFASIADPDSGVINISYQQYVPYIIHIIRSIHLL >EOY29777 pep chromosome:Theobroma_cacao_20110822:9:2352237:2354155:-1 gene:TCM_037211 transcript:EOY29777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIEKAGKWTTGCGSCVLKVGISPADEGLMTETLAKLTLQLKQDLNELIILSTSSHSKRSEFLTLARSPITCNHNQIGKKSCNSVLLSRQYSGSSNSAA >EOY30585 pep chromosome:Theobroma_cacao_20110822:9:5086421:5087991:-1 gene:TCM_037742 transcript:EOY30585 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein MGIRFILMVNKQGQTRLAQYYEWLTLEERRALEGEIVRKCLARTEQQCSFVEHRNYKIVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGSVVETSKTNILTPIQLMDKAS >EOY29238 pep chromosome:Theobroma_cacao_20110822:9:455446:459217:-1 gene:TCM_036835 transcript:EOY29238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhamnose biosynthesis 1 MSSEPAPYAPKKILITGAAGFIASHVTSRLINNYPEYKIVALDKLDYCSSLKNLQPCFSSPNFKFVKGDIICTDLLNYLLVAEDIDTIMHFAAQTHVDNSFGNSFEFTNNNIYGTHVLLEACKVSKQIKRFIHVSTDEVYGETDMETDIGNPEASQLLPTNPYSATKAGAEMLVMAYHRSYGLPIITTRGNNVYGPNQYPEKLIPKLILLAMKGRELPIHGNGTNVRSYLHSDDVAEAFDVILHKGVVGHVYNIGTKRERRVIDVAEDICKLFGLNPKEAIKFVQDRPFNDQRYFLDDQKLKKLGWKERTSWEEGLKMTMEWYTGNSDWWGDVSAALSPHPYLSVMTYSDDNAFFLQSENCKNRMEGDCADSSRLKFLIYGRTGWIGGLLGNLCAEKNIVFEYGRGRLEDRRSLVEDIRRVQPTHVFNAAGVTGRPNVDWCESHKVETIRTNVVGTLNLADVCREHDLLLMNFATGCIFEYDKEHPLGSGIGFKEEDKPNFIGSFYSKTKAMVEELLQEYDNVCTLRVRMPISSDLSNPRNFITKITRYNKVVNIPNSMTVLDELLLISIEMAKRNCRGIWNFTNPGVISHNEILEMYNAYINPQFKWKNFSLEEQAKVIVAPRSNNELDAAKLKKEFPELLSIKDSIIKYVFKPNKKT >EOY30073 pep chromosome:Theobroma_cacao_20110822:9:3263228:3265956:1 gene:TCM_037406 transcript:EOY30073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root-specific kinase 1 MTVKKVTWKSLMLSCYKNNNNSSDSGEKILKPCQFQRLSLTDVSDPNSQLSVDDLSTSLLGSNLHVFTFSELRVITHNFARCNLLGEGGFGPVYKGFIDDKLRPGLKAQPVAVKALDLDGFQGHREWLAEIIFLGQLRHPHLVKLVGYCYEEENRLLVYEYMPRGSLENQLFRRYSAALPWSTRMKIALGAAKGLAFLHEADKPVIYRDFKTSNILLDSDYNCKLSDFGLAKDGPEGEETHVTTRVIGTQGYAAPEYIMTGHLTTMSDVYSFGVVLLELLTGKRSMDNTRPSREQSLVEWARPLLRDLKRLDRLIDPRLEGQFSSKGAQRVAALAYKSLSHRPKPRPTMGDVVKILECLQGFEDEFVGPFVYVVPSETDDSKEFLAKKEIKTNEQEKDCSGENGRNPLRRSWRNRIKLPLSSVANSESPCSMSV >EOY31325 pep chromosome:Theobroma_cacao_20110822:9:8161684:8169417:1 gene:TCM_038277 transcript:EOY31325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative isoform 2 MDESHTVVLFDSKETQIVAYMDQTTPPKPHHVKYTYEYDSDCVLGDSSHRGLGFGDESEANPSGIESSTKQIEQQEGACFDLSSSEKELVADHGNNSKVDAEVTEELFADASSSKKNSKNSGFLSIGGMKLYTQDMSDGETDEDYDGESLDDESSETTDQGERDGVSGSDASEILSDDDSDIDEEVAEDYIEGIGGGDSVLDTKWLVGQALDESNDDSSSSSSISETLEKLGGIALQDASREYGMQKYQSRKKYSGVANDVLSSALDDLMLVKDPRTVSVKKKHVARFPQSWPLQEQKSKNSRRFPGEKKKHRKEMIAVKRRERMLRRGVDLEQINSKLEQIVLDGVDMFAFQPMHHRDCSQVQRLAAIYRLSSGCQGSGKKRFVTVTRTQYTSLPSSTNKLRLEKLIGAGNEDADFAVNEGFNRKSVAAGRTKAEKVGKGSGLKKANSSYIGELSEKERSGKKGSYANQPVSFVSSGHMSSETVEVRTMDPEGTAETCEHKGIVSSAQFGAFEVHTKGFGSKMMAKMGFVDGGGLGKDGQGMARPIEVIQRPKSLGLGVDFPSASSDSDMVQNISSGASERRTKGFGNSARGQHKGFGAFEKHTKGFGSKMMAKMGFVEGMGLGKDSQGMVNPLVAARLPKSRGLGAKH >EOY31326 pep chromosome:Theobroma_cacao_20110822:9:8160366:8169421:1 gene:TCM_038277 transcript:EOY31326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative isoform 2 MDESHTVVLFDSKETQIVAYMDQTTPPKPHHVKYTYEYDSDCVLGDSSHRGLGFGDESEANPSGIESSTKQIEQQEGACFDLSSSEKELVADHGNNSKVDAEVTEELFADASSSKKNSKNSGFLSIGGMKLYTQDMSDGETDEDYDGESLDDESSETTDQGERDGVSGSDASEILSDDDSDIDEEVAEDYIEGIGGGDSVLDTKWLVGQALDESNDDSSSSSSISETLEKLGGIALQDASREYGMQKYQSRKKYSGVANDVLSSALDDLMLVKDPRTVSVKKKHVARFPQSWPLQEQKSKNSRRFPGEKKKHRKEMIAVKRRERMLRRGVDLEQINSKLEQIVLDGVDMFAFQPMHHRDCSQVQRLAAIYRLSSGCQGSGKKRFVTVTRTQYTSLPSSTNKLRLEKLIGAGNEDADFAVNEGFNRKSVAAGRTKAEKVGKGSGLKKANSSYIGELSEKERSGKKGSYANQPVSFVSSGHMSSETVEVRTMDPEGTAETCEHKGIVSSAQFGAFEVHTKGFGSKMMAKMGFVDGGGLGKDGQGMARPIEVIQRPKSLGLGVDFPSASSDSDMVQNISSGASERRTKGFGNSARGQHKGFGAFEKHTKGFGSKMMAKMGFVEGMGLGKDSQGMVNPLVAARLPKSRGLGAKH >EOY31323 pep chromosome:Theobroma_cacao_20110822:9:8160096:8169721:1 gene:TCM_038277 transcript:EOY31323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative isoform 2 MGGGNKRRSNNNNNSHNRTKSSKGRSRTDPKSSSSRIRNSLFVEGGLLSDWQLDSRGRNRNENSNLGSNSDRAKASASKNGSSRKSGGSAIRYEYPSLNLQDPESGVHECNGDKKMDESHTVVLFDSKETQIVAYMDQTTPPKPHHVKYTYEYDSDCVLGDSSHRGLGFGDESEANPSGIESSTKQIEQQEGACFDLSSSEKELVADHGNNSKVDAEVTEELFADASSSKKNSKNSGFLSIGGMKLYTQDMSDGETDEDYDGESLDDESSETTDQGERDGVSGSDASEILSDDDSDIDEEVAEDYIEGIGGGDSVLDTKWLVGQALDESNDDSSSSSSISETLEKLGGIALQDASREYGMQKYQSRKKYSGVANDVLSSALDDLMLVKDPRTVSVKKKHVARFPQSWPLQEQKSKNSRRFPGEKKKHRKEMIAVKRRERMLRRGVDLEQINSKLEQIVLDGVDMFAFQPMHHRDCSQVQRLAAIYRLSSGCQGSGKKRFVTVTRTQYTSLPSSTNKLRLEKLIGAGNEDADFAVNEGFNRKSVAAGRTKAEKVGKGSGLKKANSSYIGELSEKERSGKKGSYANQPVSFVSSGHMSSETVEVRTMDPEGTAETCEHKGIVSSAQFGAFEVHTKGFGSKMMAKMGFVDGGGLGKDGQGMARPIEVIQRPKSLGLGVDFPSASSDSDMVQNISSGASERRTKGFGNSARGQHKGFGAFEKHTKGFGSKMMAKMGFVEGMGLGKDSQGMVNPLVAARLPKSRGLGAKH >EOY31324 pep chromosome:Theobroma_cacao_20110822:9:8160096:8169721:1 gene:TCM_038277 transcript:EOY31324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative isoform 2 MDESHTVVLFDSKETQIVAYMDQTTPPKPHHVKYTYEYDSDCVLGDSSHRGLGFGDESEANPSGIESSTKQIEQQEGACFDLSSSEKELVADHGNNSKVDAEVTEELFADASSSKKNSKNSGFLSIGGMKLYTQDMSDGETDEDYDGESLDDESSETTDQGERDGVSGSDASEILSDDDSDIDEEVAEDYIEGIGGGDSVLDTKWLVGQALDESNDDSSSSSSISETLEKLGGIALQDASREYGMQKYQSRKKYSGVANDVLSSALDDLMLVKDPRTVSVKKKHVARFPQSWPLQEQKSKNSRRFPGEKKKHRKEMIAVKRRERMLRRGVDLEQINSKLEQIVLDGVDMFAFQPMHHRDCSQVQRLAAIYRLSSGCQGSGKKRFVTVTRTQYTSLPSSTNKLRLEKLIGAGNEDADFAVNEGFNRKSVAAGRTKAEKVGKGSGLKKANSSYIGELSEKERSGKKGSYANQPVSFVSSGHMSSETVEVRTMDPEGTAETCEHKGIVSSAQFGAFEVHTKGFGSKMMAKMGFVDGGGLGKDGQGMARPIEVIQRPKSLGLGVDFPSASSDSDMVQNISSGASERRTKGFGNSARGQHKGFGAFEKHTKGFGSKMMAKMGFVEGMGLGKDSQGMVNPLVAARLPKSRGLGAKH >EOY30212 pep chromosome:Theobroma_cacao_20110822:9:3802190:3804115:-1 gene:TCM_037494 transcript:EOY30212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLPYLQGFLDAAEENFRSQNLEGAIKQAKMARDYIDQVLIAYRVHLASQEKTNDVPDYYAVLGVRDCNADKDAIKKAFKQRVLKVHTDENSSAAADGAFKLVSEAWEVLSEPSSRQAYDKRRGSTRPRKQHECGEQDDTAPKSSKGRASTSTRDESGASEQAGPNSGSRAAGQSNTGATNNQPSAHGSSGFRAEAAGRYGASASKDQAPFYNRTTRSANCSGTWPINNPPPFYYTVEGAWVNSFNANQPFNRGATGLSGRTTATGYQAPAPENTARASWAERQMYERPNQYGQSPPNFGAEYRMRSERPNQYGEGIHIYIAISKMLEFGFSIEDFVAGFSDIHIYFVILKLLEFGFTIEI >EOY30956 pep chromosome:Theobroma_cacao_20110822:9:6457266:6459534:-1 gene:TCM_037998 transcript:EOY30956 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 and FCH domain-containing protein DDB_G0271676 TPSHPSDSEVVYRRQNKGPPFKFLVPLVYAPVLPLIRLSLRKNPVLRDRLFTLVLAGAFVHGSYLHVYLCMDPRAQKVGFEPHLPQDCILIKTEVL >EOY31080 pep chromosome:Theobroma_cacao_20110822:9:6903627:6904559:1 gene:TCM_038088 transcript:EOY31080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASILSSQGVVLATAMAVSGTVILLAFRLQKSLPLDQIPQPSQQVLRSCISSGKKREKKKKKKVHFAEDVMDPRGDGEEFRRQLMQNPVRIGSNNNSPAALNSSTKFKKIGGGKDRGMPANRVALYNGILKDRVVQRLAYSY >EOY31079 pep chromosome:Theobroma_cacao_20110822:9:6903627:6904559:1 gene:TCM_038088 transcript:EOY31079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASILSSQGVVLATAMAVSGTVILLAFRLQKSLPLDQIPQPSQQVLRSCISSEGKKREKKKKKKVHFAEDVMDPRGDGEEFRRQLMQNPVRIGSNNNSPAALNSSTKFKKIGGGKDRGMPANRVALYNGILKDRVVQRLAYSY >EOY34487 pep chromosome:Theobroma_cacao_20110822:9:41281261:41284287:-1 gene:TCM_042153 transcript:EOY34487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MEMASELQKPKKRNRGFYVKMRILHKGRQHEKSLFFKYYKWVLWFSFTLYFLTSFFISNKPIPLSKTRVSGSKSSIASRVLFESVNKTSHKSKHKDPASFKDLKIYIYELPSEYNEDWLSNKRCSNHLFASEVAIHRTLMNTYDLRTFDPYEADFFFVPVYVACNFSTVNGFPAIGHARSLLSSAIQLISTNYPFWNRSLGFDHIFVASHDYGACFHAMEDRAIDDGIPEFLKNSIILQTFGVNYKHPCQDVENVLIPPYIPPESVRKTLEKAPLTGNRDIMVFFRGKMEVHPKNISGKFYSKRVRTEIWRRYNGDRRFYLQRHRFAGYQSEIVRSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFSSAVNWPEMSLTVSERDVGKLGRILEHVAATNLSTIQKNLWDPAVARALLFNDHMKEGDATWQVLDSLYNKLDRSYKRSRVSSQ >EOY31395 pep chromosome:Theobroma_cacao_20110822:9:8506821:8509115:-1 gene:TCM_038330 transcript:EOY31395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 3-beta-dioxygenase, putative MGSESQFQIPVIELPIESEDLRRGTDKWQSLCRRLREACENYGCFEVVYDKISSLIRQLFNLPLETKRKNINPKPYHGYYEPGSEFLPFYESFGLEDASNCNSVKSFAQLMWTHGHDHCCQTINTLMKQLEGLSHVIGYTTVGLPHTDKFYGALLCEDRISGLEIETKDGQWVKLFPSPGSFVFIVGDLLTTWSNGRMHAAKHRVMMSGDKDRYSLGAFAVPVEGTIIRAPREMVDEEHPQVFKDFDLMDFLNYADSEESMAIDSSKKLFIFAAQPKRVV >EOY33433 pep chromosome:Theobroma_cacao_20110822:9:37442447:37446692:-1 gene:TCM_041403 transcript:EOY33433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein isoform 1 MAACSLLFRLLLVLLTFSDSPAQILGLGVGINYGQMANNLPSPSRVAYLLRSLNISRVKLYDADPNVLVAFSNTNVDFIIGLGNEYLQNMTDPVKAQNWVLQRVQAHLPQTRITCITVGNEVFKSNDTQQWSNLLPAMQTVYRTLVDLGLDKQVTVTSAHSLDILGVSYPPSAGAFRQDLGEYLHAILNFHAQVKSPFLINAYPYFAYKDNPNEVPIDYVLFQPNQGTVDSITNLKYDNMLYAQVDAVYSAIKAMGHTDIEVRVSETGWPSKGDENEVGATSENAGLYNGNLLKRIEQKQGTPAKPNVPIDIYVFALFNENLKDGPTSERNYGLYYPDGTPVYNIGVQGYLPELVYSSDSTKNALSVFGLLILVIAYLISA >EOY33432 pep chromosome:Theobroma_cacao_20110822:9:37442073:37446700:-1 gene:TCM_041403 transcript:EOY33432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein isoform 1 MAACSLLFRLLLVLLTFSDSPAQILGLGVGINYGQMANNLPSPSRVAYLLRSLNISRVKLYDADPNVLVAFSNTNVDFIIGLGNEYLQNMTDPVKAQNWVLQRVQAHLPQTRITCITVGNEVFKSNDTQQWSNLLPAMQTVYRTLVDLGLDKQVTVTSAHSLDILGVSYPPSAGAFRQDLGEYLHAILNFHAQVKSPFLINAYPYFAYKDNPNEVPIDYVLFQPNQGTVDSITNLKYDNMLYAQVDAVYSAIKAMGHTDIEVRVSETGWPSKGDENEVGATSENAGLYNGNLLKRIEQKQGTPAKPNVPIDIYVFALFNENLKDGPTSERNYGLYYPDGTPVYNIGVQGYLPELVYSSDSTKNALSVFGLLILVIAYLISA >EOY33434 pep chromosome:Theobroma_cacao_20110822:9:37442135:37446669:-1 gene:TCM_041403 transcript:EOY33434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein isoform 1 MANNLPSPSRVAYLLRSLNISRVKLYDADPNVLVAFSNTNVDFIIGLGNEYLQNMTDPVKAQNWVLQRVQAHLPQTRITCITVGNEVFKSNDTQQWSNLLPAMQTVYRTLVDLGLDKQVTVTSAHSLDILGVSYPPSAGAFRQDLGEYLHAILNFHAQVKSPFLINAYPYFAYKDNPNEVPIDYVLFQPNQGTVDSITNLKYDNMLYAQVDAVYSAIKAMGHTDIEVRVSETGWPSKGDENEVGATSENAGLYNGNLLKRIEQKQGTPAKPNVPIDIYVFALFNENLKDGPTSERNYGLYYPDGTPVYNIGVQGYLPELVYSSDSTKNALSVFGLLILVIAYLISA >EOY31811 pep chromosome:Theobroma_cacao_20110822:9:14798774:14803584:1 gene:TCM_038997 transcript:EOY31811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRVAIQHSNGMFFAGKRLMVKKAFAKYGGGGGLYAGRLNTNMHERRSFKDVLMEKDKNTVMRPTHVVKQPSVMVQEDLKVYIPKIGTKWLKRSIIGTVRVGVCTSQIREGIADFDIKARVRKAVGLTFEDHRRMDTALAESFLLLKEWCVTVFFEYGKILVKVHSLKQIPMFSTIRVGNNNFTVHAKLVDIIIVSSRKDGRDVKGSDGGAQLVQEFAHGGNRYQYIQMLKPGNGQLDNEFVVIGD >EOY33689 pep chromosome:Theobroma_cacao_20110822:9:38624543:38629240:1 gene:TCM_041592 transcript:EOY33689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine-dependent asparagine synthase 1 MCGILAVLGCSDDSQAKRVRVLELSRRLKHRGPDWSGLYQHGDCYLAHQRLAIVDPASGDQPLFNEDKSVVVTVNGEIYNHEELRKKLANHKFRTGSDCDVIAHLYEEYGEDFVDMLDGIFSFVLLDTRDNSFIVARDAIGVTSLYFGWGLDGSVWISSELKGLNDDCEHFETFPPGHLYSSKLGGFRRWYNPPWFSEAVPSVPYDPLVLRHAFENAVIKRLMTDVPFGVLLSGGLDSSLVASITARYLAGTKAAKHWGTQLHSFCIGLEGSPDLKAAREVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKEEFHRETCHKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINVAMAIDPESKLIKKDQGRIEKWVLRRAFDDEERPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAAQHVTDKMMLNASYIFPHNTPTTKEAYYYRMIFERFFPQNSARLTVPGGASVACSTAKAVEWDAAWKNNLDPSGRAALGVHLSAYDAETPLSNVPSKVIDSIPRMMDLPGVAIQS >EOY31606 pep chromosome:Theobroma_cacao_20110822:9:10393396:10402097:1 gene:TCM_038574 transcript:EOY31606 gene_biotype:protein_coding transcript_biotype:protein_coding description:XB3 in isoform 1 MRFLSLVGNSFGCSASGERLVSAARDGDLQEAKALLEYNPRLARYSTFGVRNSPLHYSAAQGHQEIVSLLLESGVDINLRNYRGQTALMQACQYGHWEVVQTLILFGANIHRADYLNGGTALHLAALNGHSRCIRLLLSDYIPSIPNCWNILRKRSKNKESIAELDECALREVINRPADGGVTALHMAALNGHVDSVQLLLDLGASVTEVTMEDGTTIDLIGAGSTALHYAACGGNAQCCQILIARGASVTATNANGWTALMVARSWRKNWLDEILSTQPESQSQVLPSPFLSLPLMSIVKIARECGWRTGDSLPSCQDPCAVCLERKCTVAAEGCDHEFCTQCALYLCSTNNTSNVAQGPTGSIACPLCRHGIVSFVKLPGTKPTIKAVARTSLSLSFCTCSSEIPESTSMTTPLCKPEVHCTRISPLGSSFRNLSCQSFPSMKIHSSLCMGAPNTSPSLVPCPADRNLQNHLVRCSRSGLRRSASEGRRSWFSALNQCVTTASGC >EOY31607 pep chromosome:Theobroma_cacao_20110822:9:10392632:10402545:1 gene:TCM_038574 transcript:EOY31607 gene_biotype:protein_coding transcript_biotype:protein_coding description:XB3 in isoform 1 MRFLSLVGNSFGCSASGERLVSAARDGDLQEAKALLEYNPRLARYSTFGVRNSPLHYSAAQGHQEIVSLLLESGVDINLRNYRGQTALMQACQYGHWEVVQTLILFGANIHRADYLNGGTALHLAALNGHSRCIRLLLSDYIPSIPNCWNILRKRSKNKESIAELDECALREVINRPADGGVTALHMAALNGHVDSVQLLLDLGASVTEVTMEDGTTIDLIGAGSTALHYAACGGNAQCCQILIARGASVTATNANGWTALMVARSWRKNWLDEILSTQPESQSQVLPSPFLSLPLMSIVKIARECGWRTGDSLPSCQDPCAVCLERKCTVAAEGCDHEFCTQCALYLCSTNNTSNVAQGPTGSIACPLCRHGIVSFVKLPGTKPTIKAVARTSLSLSFCTCSSEIPESTSMTTPLCKPEVHCTRISPLGSSFRNLSCQSFPSMKIHSSLCMGAPNTSPSLVPCPADRNLQNHLVRCSRSGLRRSASEGRRSWFSALNQCVTTASGC >EOY31608 pep chromosome:Theobroma_cacao_20110822:9:10392411:10402098:1 gene:TCM_038574 transcript:EOY31608 gene_biotype:protein_coding transcript_biotype:protein_coding description:XB3 in isoform 1 MRFLSLVGNSFGCSASGERLVSAARDGDLQEAKALLEYNPRLARYSTFGVRNSPLHYSAAQGHQEIVSLLLESGVDINLRNYRGQTALMQACQYGHWEVVQTLILFGANIHRADYLNGGTALHLAALNGHSRCIRLLLSDYIPSIPNCWNILRKRSKNKESIAELDECALREVINRPADGGVTALHMAALNGHVDSVQLLLDLGASVTEVTMEDGTTIDLIGRECGWRTGDSLPSCQDPCAVCLERKCTVAAEGCDHEFCTQCALYLCSTNNTSNVAQGPTGSIACPLCRHGIVSFVKLPGTKPTIKAVARTSLSLSFCTCSSEIPESTSMTTPLCKPEVHCTRISPLGSSFRNLSCQSFPSMKIHSSLCMGAPNTSPSLVPCPADRNLQNHLVRCSRSGLRRSASEGRRSWFSALNQCVTTASGC >EOY34706 pep chromosome:Theobroma_cacao_20110822:9:41842726:41849482:-1 gene:TCM_042290 transcript:EOY34706 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-level expression of sugar-inducible gene 2, putative isoform 1 MGSKICMNSSCGTASTHEWKKGWPLRSGGFAHLCYRCGSAYEDSVYCDTFHLEESGWRECRLCGKRLHCGCIASKYLLELLDYGGVGCTSCANSSRLHSVRRIQTHGDEIPNGFSAMPMNNAGSSSVESKAVGDHVDERTLAQLCKIMEANECNLLPQSQRGDPNASLGQHRGEEAMCSVGEVGAGFSNSMQPYVRPPNFAQTDNARPALDIRDIHDSLSQPSLSMTLGGPSGNPNFVLPFSSGLAEGKEQSKMSSSFQQGQRSRPILPKPSKNGLATSSEVNKSMVPQARIARPPVEGRGKNHLLPRYWPRITDQELQQLSGDLKSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQSEGLPLRIQDVKGKEWTFQFRFWPNNNSRMYVLEGVTPCIQSMQLRAGDTVTFSRIDPGGKLVMGFRKATNSDTQEGQTSSLPNGAHSGETSNSGGIENLSTVSAYSGLFQTPKAGKDPLVNSLSEHLSLADGTISWGRGQNHGDGANEDPVQQPAVNAEKKRTRNIGSKSKRLLMHSEDALELRLTWEEAQDLLRPPPSVKPSIVTIEDHEFEEYDEPPVFGKRTIFAAQPSGGQEQWAQCDDCSKWRRLPVDVLLPPKWTCSDNVWDSSRCSCSASEEISPKELENLLRVGRDLKKRKILESPKLATEREPSGLDALASAAVLGDKMGDVGESSIGATTKHPRHRPGCTCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMLRKKKRQSEREAEISQKDNNGHKDESELNDTRLDHSENEGSHSRIQAEVAETSTGQIDLNCHPNREDLQLEEQGLNMMSLVQAAGMPMENYIKQNGLPSLISEQQGSLGSHALSQANKENERHLSDEEFLASVGWEHDNRSDEGHKEPSLERNGLQ >EOY34705 pep chromosome:Theobroma_cacao_20110822:9:41842711:41849732:-1 gene:TCM_042290 transcript:EOY34705 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-level expression of sugar-inducible gene 2, putative isoform 1 MGSKICMNSSCGTASTHEWKKGWPLRSGGFAHLCYRCGSAYEDSVYCDTFHLEESGWRECRLCGKRLHCGCIASKYLLELLDYGGVGCTSCANSSRLHSVRRIQTHGDEIPNGFSAMPMNNAGSSSVESKAVGDHVDERTLAQLCKIMEANECNLLPQSQRGDPNASLGQHRGEEAMCSVGEVGAGFSNSMQPYVRPPNFAQTDNARPALDIRDIHDSLSQPSLSMTLGGPSGNPNFVLPFSSGLAEGKEQSKMSSSFQQGQRSRPILPKPSKNGLATSSEVNKSMVPQARIARPPVEGRGKNHLLPRYWPRITDQELQQLSGDLKSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQSEGLPLRIQDVKGKEWTFQFRFWPNNNSRMYVLEGVTPCIQSMQLRAGDTVTFSRIDPGGKLVMGFRKATNSDTQEGQTSSLPNGAHSGETSNSGGIENLSTVSAYSGLFQTPKAGKDPLVNSLSEHLSLADGTISWGRGQNHGDGANEDPVQQPAVNAEKKRTRNIGSKSKRLLMHSEDALELRLTWEEAQDLLRPPPSVKPSIVTIEDHEFEEYDEPPVFGKRTIFAAQPSGGQEQWAQCDDCSKWRRLPVDVLLPPKWTCSDNVWDSSRCSCSASEEISPKELENLLRVGRDLKKRKILESPKLATEREPSGLDALASAAVLGDKMGDVGESSIGATTKHPRHRPGCTCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMLRKKKRQSEREAEISQKDNNGHKDESELNDTRLDHSENEGSHSRIQAEVAETSTGQIDLNCHPNREDLQLEEQGLNMMSLVQAAGMPMENYIKQNGLPSLISEQQGSLGSHALSQANKENERHLSDEEFLASVGWEHDNRSDEGHKEPSLERNGLQ >EOY33059 pep chromosome:Theobroma_cacao_20110822:9:35269243:35279223:1 gene:TCM_041068 transcript:EOY33059 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO, putative MAAGEGAASTRTLQQTPTWAVATVCFVFIALSILIEHLIHLISKWLKGHKKTALFEAVEKLKSVLMVLGFMSLILTVAQSSLSKICIPNKLANTMLPCHKSQSTKTTKAIGYDQIWSHQLHERTLAVDASESSSYCDSKGMTSLISQDGANQLSIFLFVLAAMQIVYSVVTMALGRAKMRRWKAWEKETQTVEYQAANDPNRFRFTRQTTFARRHMNSCAETSILLWIKCFFQQFFSSVAKVDYLTLRHGFISAHLSTNTTFNFQKYIQRSLEDDFKVVVGISPLMWFLVVIFLLVDVHGWNVFLWVSFLPLTLVLVLGTKLQVIVAKMAHQVKDQNSVIQGAPLVQPNDNFFWLSQPKLFLTLLHYTLFMNAFEAAFFVWVTSQYGIKSCYHEHPGIIATRMVLAVTVQVLCSYITLPLYALVTQMGSNFKSALFEEHTANAIRQWHAGVKQKRKNQGGASRVGNDNSNSNRSISSDLSTQHRAPTSSEIASFPSRTEIVEEDTEIQEEAAGPAVNKIPTVVDIEMPSTGKTLANQ >EOY29514 pep chromosome:Theobroma_cacao_20110822:9:1424807:1427187:1 gene:TCM_037027 transcript:EOY29514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase, putative isoform 1 MKITINGSTMVRPARDTPNRRLWNSNLDLVISRYHVALVYFFYKSDGSSNFFDTQLLKESLSNLLVPFYPMAGRLGYDENGRLEIVCNAEGVLFIESETAATLDDLGDFAPSSKLRQLVPTVDYSGDISSFPLVLLQVTSFKHGGVSLGAAFQHTLVDGSSVCYFMNSWSNTTRGLSITVTPSIDRTLLRARVPSAPAFHHVEYDQSPPLNTSISALNSQVGPKPSSTSIFKITADQLKTLKSKATMNGNTTKTNRTTYDILTAHVWHCVCKARGLSDDQATKLYIPIDGRSKLHPPLPPGYLGNVIFIAATTALSGDLQSEPFADTIQRINRMVKRMDDKYMRSALDYIESVPDMKTLMRGAHTFQSPNLSINSWMRLPLYAADFGWGRPMHVGLANVIHEGKICIVPSPVDDGSLSLVVCLETSHMKQFEKCLYDFLLPFAKLNARY >EOY29515 pep chromosome:Theobroma_cacao_20110822:9:1424807:1427187:1 gene:TCM_037027 transcript:EOY29515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase, putative isoform 1 MKITINGSTMVRPARDTPNRRLWNSNLDLVISRYHVALVYFFYKSDGSSNFFDTQLLKESLSNLLVPFYPMAGRLGYDENGRLEIVCNAEGVLFIESETAATLDDLGDFAPSSKLRQLVPTVDYSGDISSFPLVLLQVTSFKHGGVSLGAAFQHTLVDGSSVCYFMNSWSNTTRGLSITVTPSIDRTLLRARVPSAPAFHHVEYDQSPPLNTSISALNSQVGPKPSSTSIFKITADQLKTLKSKATMNGNTTKTNRTTYDILTAHVWHCVCKARGLSDDQATKLYIPIDGRSKLHPPLPPGYLGNVIFIAATTALSGDLQSEPFADTIQRINRMVKRMDDKYMRSALDYIESVPDMKTLMRGAHTFQSPNLSINSWMRLPLYAADFGWGRPMHVGLANVIHEGKICIVPSPVDDGSLSLVVCLETSHMKQFEKCLYDFLLPFAKLNARY >EOY33397 pep chromosome:Theobroma_cacao_20110822:9:37317208:37320488:1 gene:TCM_041374 transcript:EOY33397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 family protein MSRRKVREPKEDNLNLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETMVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >EOY30385 pep chromosome:Theobroma_cacao_20110822:9:4432315:4452935:-1 gene:TCM_037614 transcript:EOY30385 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MDGSQKQLHIVMFPWLAYGHIMPFLQVSKFLAQKGHKIYYISTPKNISRLPKLPPDLCSNISFIQLPLPHVYGLPPGAESTSDLPIHQVPYLKKAYDKLETQLTEFLKKSSQVKWIIHDFAPYWLPPVATQRGINLVFISILSASSIAFFGPPSELLSGRRQRPEDFTMAPVWLDYPNNLAFKLHEMVGHQECMDSVSDFERVGTLLLSCKIVALRSCYEVEADALRVLSKIHQKPIIPLGLLPPSLPSNEDKENENWEAMKTWLDTRRDKSVFYVALGSEVSLSQEFMHELAFGIEKSGLPFIWAVRNRPFVEGQLVQDILPSGFEERVSGRGLVLRGWAPQLRVLAHSSVGGFLTHCGWSSVIEALGFGLPLILFPGASSDLGLVARLMHGKKFGLEIERNDLDGSFTSDSVAKLMHGKKFGLEIERNDLDGSFTSDSVAKSIKRVMVDPEGEPLRANAYAVKEIFSSVELSNKYLNEFTRSIEEFPPSDTEV >EOY31265 pep chromosome:Theobroma_cacao_20110822:9:7907710:7912955:-1 gene:TCM_038236 transcript:EOY31265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-dependent monooxygenase 1, putative MEKRVAIVGAGVSGLLACKYALEKGFQVNVFEAENTIGGVWAHTIDSTKLQNIKEAYQFSDFPWPSSVKEIYPSRSQVMEYIESYARNFGISSYIKFNSKVLSIDYVGEPYEQIESWHLWGGTGKPFGSKGKWHLKVRDVKSCNIEVYQAEFVILCIGQFSGLPNIPDMPPNQGLQVFNGKVLHFMDYAAMNSSSAEELVKNKRVAIIGSGKSAMDLAAHCANINGARYPCTMIQRTARWVLPNDNISGIALGLLYCNRISEFSIHKPGEKFLLELLATLLSPLRWGISKLVECYLRWKLPLKKYGMVPKYSFLQNGFSCQIAALPENFFNKLEDGSIVIKNSPVFGFCRKGLFLDNKARPLETDIVIFATGFKGDQKLKNIFASPIFQQYIMGSPTSILPLYRQIIPPRIPQLAIIGYAESLSNLATSEIRCQWLAHFLGENMDLPSVREMEKDVKIWDKYIKQNANKYFRRSCIAGVQIWYNDQLCKDMGCKSRRKKGMFAELFEPYGAADYACLTAEIPVRIH >EOY32540 pep chromosome:Theobroma_cacao_20110822:9:31004038:31005660:1 gene:TCM_040516 transcript:EOY32540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVEGLLEKNLPPDILDDRSQKKVRRCDLGIATSSLGDLGIATSSLGDLGIAHDNPSSKDVLMASDGEAFFSDDNMESNNKGFFEKESIDGSEGKNVDLEMENFDEFRVQIVDGVPSITISNRKHEKLSRRWQRSVVVRLHGSPLSYRLLCRKIETLCRPKGRFTIMDLDEVNFLVKSSKEGDYLKALLERPWTI >EOY32322 pep chromosome:Theobroma_cacao_20110822:9:26998360:27026309:-1 gene:TCM_040106 transcript:EOY32322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease family protein isoform 1 MMQVEIQSTNPGPGLEQHENLSKTPNHSSQQLPISTNPKTPKKLTLIPLIFLIYFEVAGGPFGEEPAVQAAGPLFALLGFLVFPFIWSVPEALITAELSTAFPGNGGFVIWADRAFGPFFGSLMGSWKFFSGVINIASFPVLCIDYLKKIIHPLESGWPRHIAILISTLVLSFVNYTGLTIVGWAAVLLGIVSLSPFILMSLIAIPKIQPHRWLSLGQKGVKRDWNLFFNTLFWNLNFWDSASTLAGEVDKPQKTYPRALLVAVIFTCSAYLVPLFAVTGAVSVDQSAWESGFHAEAAGMIAGKWLKYWIEVGAVLSAIGLFEAQLSSCAYQLVGMADLAILPKFFSSRSKWFNTPWLGILLSSFIAIGMSYMTFTDIISSANFLYSLGMLLEFASFIWLRRKLPEIKRPYRVPLRIPGLVIMCLIPSAFLIVVMVIATKIVFLVSGLMTVGAIGWYFLMKFCRKKKIFRYSNVEVEER >EOY32321 pep chromosome:Theobroma_cacao_20110822:9:26998429:27026309:-1 gene:TCM_040106 transcript:EOY32321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease family protein isoform 1 MMQVEIQSTNPGPGLEQHENLSKTPNHSSQQLPISTNPKTPKKLTLIPLIFLIYFEVAGGPFGEEPAVQAAGPLFALLGFLVFPFIWSVPEALITAELSTAFPGNGGFVIWADRAFGPFFGSLMGSWKFFSGVINIASFPVLCIDYLKKIIHPLESGWPRHIAILISTLVLSFVNYTGLTIVGWAAVLLGIVSLSPFILMSLIAIPKIQPHRWLSLGQKGVKRDWNLFFNTLFWNLNFWDSASTLAGEVDKPQKTYPRALLVAVIFTCSAYLVPLFAVTGAVSVDQSAWESGFHAEAAGMIAGKWLKYWIEVGAVLSAIGLFEAQLSSCAYQLVGMADLAILPKFFSSRSKWFNTPWLGILLSSFIAIGMSYMTFTDIISSANFLYSLGMLLEFASFIWLRRKLPEIKRPYRVPLRIPGLVIMCLIPSAFLIVVMVIATKIVFLVSGLMTVGAIGWYFLMKFCRKKKIFRYSNVEVEER >EOY34238 pep chromosome:Theobroma_cacao_20110822:9:40432269:40444015:-1 gene:TCM_041978 transcript:EOY34238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin 1 isoform 7 MDPTEKSSKQSSSFPPLPRDPRGSLEVFNPSTFSTRPINPAFRSQPTWQSLIEPRGSPEADPSKLGSKSGRVEEIKSWMALTEKSSAPSPPPPSSSLSQSPLVHTITSDNGGTASPNPSDEAGVAAKRAAEWGLVLKTDDETGKPQGVVVRNSGGDDPNIKPGTSRRNSNNSVRSSEESDNEFSKERGFPRVSEDLKDALSTFQQTFVVADATKPDYPILYASAGFFKMTGYTSKEVIGRNCRFLQGAGTNPEDVAKIREALQAGTNYCGRLLNYKKDGTPFWNLLTISPIKDENGKVLKFIGMQVEVSKHTEGAKEKALRPNGLPESLIRYDARQKDMAAGSVTELVEAVRKPRSLSESTNHPFIRISGGGGEREGSGGLARRNSENVPPQRRSSGGPRISMERISEVPEKKQRRSSRLSFMGLMRKSQSTTESFDNSLLLDADEDESDDDERPDSVDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQAEVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSAKVDPLHNRLPDSAAQESEQLVKKTAENVDEAVRELPDANMNPEDLWMNHSKVVHPKPHRKDSPFWKAIQKIHDSGERIGLKHFRPVKPLGSGDTGSVHLVELYGTGLYFAMKAMDKGVMLNRNKVHRACAERQILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFVLLDRQPMKVMKEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQSNGHVTLTDFDLSCLTSCKPQLLIPTTDEKKKRHKSQQNPIFMAEPVRASNSFVGTEEYIAPEIISGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANVLQKDLKFPRSIQVSLHGKQLMYRLLHKDPKNRLGSREGASEIKGHPFFKGVNWALVRCMNAPELEAPLFATEAGEEDKVVGPELQDLQTNVF >EOY34239 pep chromosome:Theobroma_cacao_20110822:9:40431968:40444013:-1 gene:TCM_041978 transcript:EOY34239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin 1 isoform 7 MDPTEKSSKQSSSFPPLPRDPRGSLEVFNPSTFSTRPINPAFRSQPTWQSLIEPRGSPEADPSKLGSKSGRVEEIKSWMALTEKSSAPSPPPPSSSLSQSPLVHTITSDNGGTASPNPSDEAGVAAKRAAEWGLVLKTDDETGKPQGVVVRNSGGDDPNIKPGTSRRNSNNSVRSSEESDNEFSKERGFPRVSEDLKDALSTFQQTFVVADATKPDYPILYASAGFFKMTGYTSKEVIGRNCRFLQGAGTNPEDVAKIREALQAGTNYCGRLLNYKKDGTPFWNLLTISPIKDENGKVLKFIGMQVEVSKHTEGAKEKALRPNGLPESLIRYDARQKDMAAGSVTELVEAVRKPRSLSESTNHPFIRISGGGGEREGSGGLARRNSENVPPQRRSSGGPRISMERISEVPEKKQRRSSRLSFMGLMRKSQSTTESFDNSLLLDADEDESDDDERPDSVDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQAEVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSAKVDPLHNRLPDSAAQESEQLVKKTAENVDEAVRELPDANMNPEDLWMNHSKVVHPKPHRKDSPFWKAIQKIHDSGERIGLKHFRPVKPLGSGDTGSVHLVELYGTGLYFAMKAMDKGVMLNRNKVHRACAERQILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFVLLDRQPMKVMKEDAVRFYAAEVVVALEYLHCQGGILLYEMLYGYTPFRGKTRQKTFANVLQKDLKFPRSIQVSLHGKQLMYRLLHKDPKNRLGSREGASEIKGHPFFKGVNWALVRCMNAPELEAPLFATEAGEEDKVVGPELQDLQTNVF >EOY34236 pep chromosome:Theobroma_cacao_20110822:9:40432814:40443986:-1 gene:TCM_041978 transcript:EOY34236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin 1 isoform 7 MDPTEKSSKQSSSFPPLPRDPRGSLEVFNPSTFSTRPINPAFRSQPTWQSLIEPRGSPEADPSKLGSKSGRVEEIKSWMALTEKSSAPSPPPPSSSLSQSPLVHTITSDNGGTASPNPSDEAGVAAKRAAEWGLVLKTDDETGKPQGVVVRNSGGDDPNIKPGTSRRNSNNSVRSSEESDNEFSKERGFPRVSEDLKDALSTFQQTFVVADATKPDYPILYASAGFFKMTGYTSKEVIGRNCRFLQGAGTNPEDVAKIREALQAGTNYCGRLLNYKKDGTPFWNLLTISPIKDENGKVLKFIGMQVEVSKHTEGAKEKALRPNGLPESLIRYDARQKDMAAGSVTELVEAVRKPRSLSESTNHPFIRISGGGGEREGSGGLARRNSENVPPQRRSSGGPRISMERISEVPEKKQRRSSRLSFMGLMRKSQSTTESFDNSLLLDADEDESDDDERPDSVDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQAEVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSAKVDPLHNRLPDSAAQESEQLVKKTAENVDEAVRELPDANMNPEDLWMNHSKVVHPKPHRKDSPFWKAIQKIHDSGERIGLKHFRPVKPLGSGDTGSVHLVELYGTGLYFAMKAMDKGVMLNRNKVHRACAERQILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFVLLDRQPMKVMKEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQSNGHVTLTDFDLSCLTSCKPQLLIPTTDEKKKRHKSQQNPIFMAEPVRASNSFVGTEEYIAPEIISGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANVLQKDLKFPRSIQVSLHGKQLMYRLLHKDPKNRLGSREGASEIKGHPFFKGVNWALVRCMNAPELEAPL >EOY34240 pep chromosome:Theobroma_cacao_20110822:9:40433413:40442178:-1 gene:TCM_041978 transcript:EOY34240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin 1 isoform 7 MDPTEKSSKQSSSFPPLPRDPRGSLEVFNPSTFSTRPINPAFRSQPTWQSLIEPRGSPEADPSKLGSKSGRVEEIKSWMALTEKSSAPSPPPPSSSLSQSPLVHTITSDNGGTASPNPSDEAGVAAKRAAEWGLVLKTDDETGKPQGVVVRNSGGDDPNIKPGTSRRNSNNSVRSSEESDNEFSKERGFPRVSEDLKDALSTFQQTFVVADATKPDYPILYASAGFFKMTGYTSKEVIGRNCRFLQGAGTNPEDVAKIREALQAGTNYCGRLLNYKKDGTPFWNLLTISPIKDENGKVLKFIGMQVEVSKHTEGAKEKALRPNGLPESLIRYDARQKDMAAGSVTELVEAVRKPRSLSESTNHPFIRISGGGGEREGSGGLARRNSENVPPQRRSSGGPRISMERISEVPEKKQRRSSRLSFMGLMRKSQSTTESFDNSLLLDADEDESDDDERPDSVDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQAEVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSAKVDPLHNRLPDSAAQESEQLVKKTAENVDEAVRELPDANMNPEDLWMNHSKVVHPKPHRKDSPFWKAIQKIHDSGERIGLKHFRPVKPLGSGDTGSVHLVELYGTGLYFAMKAMDKGVMLNRNKVHRACAERQILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFVLLDRQPMKVMKEDAVRFYAAEVVVALEYLHCQGGILLYEMLYGYTPFRGKTRQKTFANVLQKDLKFPRSIQVSLHGKQLMYRLLHKDPKNRLGSREGASEIKGHPFFKGVNWALVRCMVNFQILEPPSFRSTFVALPYGTFDSLSR >EOY34237 pep chromosome:Theobroma_cacao_20110822:9:40433413:40442178:-1 gene:TCM_041978 transcript:EOY34237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin 1 isoform 7 MDPTEKSSKQSSSFPPLPRDPRGSLEVFNPSTFSTRPINPAFRSQPTWQSLIEPRGSPEADPSKLGSKSGRVEEIKSWMALTEKSSAPSPPPPSSSLSQSPLVHTITSDNGGTASPNPSDEAGVAAKRAAEWGLVLKTDDETGKPQGVVVRNSGGDDPNIKPGTSRRNSNNSVRSSEESDNEFSKERGFPRVSEDLKDALSTFQQTFVVADATKPDYPILYASAGFFKMTGYTSKEVIGRNCRFLQGAGTNPEDVAKIREALQAGTNYCGRLLNYKKDGTPFWNLLTISPIKDENGKVLKFIGMQVEVSKHTEGAKEKALRPNGLPESLIRYDARQKDMAAGSVTELVEAVRKPRSLSESTNHPFIRISGGGGEREGSGGLARRNSENVPPQRRSSGGPRISMERISEVPEKKQRRSSRLSFMGLMRKSQSTTESFDNSLLLDADEDESDDDERPDSVDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQAEVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSAKVDPLHNRLPDSAAQESEQLVKKTAENVDEAVRELPDANMNPEDLWMNHSKVVHPKPHRKDSPFWKAIQKIHDSGERIGLKHFRPVKPLGSGDTGSVHLVELYGTGLYFAMKAMDKGVMLNRNKVHRACAERQILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFVLLDRQPMKVMKEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQSNGHVTLTDFDLSCLTSCKPQLLIPTTDEKKKRHKSQQNPIFMAEPVRASNSFVGTEEYIAPEIISGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANVLQKDLKFPRSIQVSLHGKQLMYRLLHKDPKNRLGSREGASEIKGHPFFKGVNWALVRCMVNFQILEPPSFRSTFVALPYGTFDSLSR >EOY34235 pep chromosome:Theobroma_cacao_20110822:9:40434735:40442178:-1 gene:TCM_041978 transcript:EOY34235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin 1 isoform 7 MDPTEKSSKQSSSFPPLPRDPRGSLEVFNPSTFSTRPINPAFRSQPTWQSLIEPRGSPEADPSKLGSKSGRVEEIKSWMALTEKSSAPSPPPPSSSLSQSPLVHTITSDNGGTASPNPSDEAGVAAKRAAEWGLVLKTDDETGKPQGVVVRNSGGDDPNIKPGTSRRNSNNSVRSSEESDNEFSKERGFPRVSEDLKDALSTFQQTFVVADATKPDYPILYASAGFFKMTGYTSKEVIGRNCRFLQGAGTNPEDVAKIREALQAGTNYCGRLLNYKKDGTPFWNLLTISPIKDENGKVLKFIGMQVEVSKHTEGAKEKALRPNGLPESLIRYDARQKDMAAGSVTELVEAVRKPRSLSESTNHPFIRISGGGGEREGSGGLARRNSENVPPQRRSSGGPRISMERISEVPEKKQRRSSRLSFMGLMRKSQSTTESFDNSLLLDADEDESDDDERPDSVDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQAEVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSAKVDPLHNRLPDSAAQESEQLVKKTAENVDEAVRELPDANMNPEDLWMNHSKVVHPKPHRKDSPFWKAIQKIHDSGERIGLKHFRPVKPLGSGDTGSGSVHLVELYGTGLYFAMKAMDKGVMLNRNKVHRACAERQILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFVLLDRQPMKVMKEDAVRDFMLRRLLLHWSTFTVKELYTEI >EOY34234 pep chromosome:Theobroma_cacao_20110822:9:40432725:40443884:-1 gene:TCM_041978 transcript:EOY34234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin 1 isoform 7 MDPTEKSSKQSSSFPPLPRDPRGSLEVFNPSTFSTRPINPAFRSQPTWQSLIEPRGSPEADPSKLGSKSGRVEEIKSWMALTEKSSAPSPPPPSSSLSQSPLVHTITSDNGGTASPNPSDEAGVAAKRAAEWGLVLKTDDETGKPQGVVVRNSGGDDPNIKPGTSRRNSNNSVRSSEESDNEFSKERGFPRVSEDLKDALSTFQQTFVVADATKPDYPILYASAGFFKMTGYTSKEVIGRNCRFLQGAGTNPEDVAKIREALQAGTNYCGRLLNYKKDGTPFWNLLTISPIKDENGKVLKFIGMQVEVSKHTEGAKEKALRPNGLPESLIRYDARQKDMAAGSVTELVEAVRKPRSLSESTNHPFIRISGGGGEREGSGGLARRNSENVPPQRRSSGGPRISMERISEVPEKKQRRSSRLSFMGLMRKSQSTTESFDNSLLLDADEDESDDDERPDSVDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQAEVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSAKVDPLHNRLPDSAAQESEQLVKKTAENVDEAVRELPDANMNPEDLWMNHSKVVHPKPHRKDSPFWKAIQKIHDSGERIGLKHFRPVKPLGSGDTGSVHLVELYGTGLYFAMKAMDKGVMLNRNKVHRACAERQILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFVLLDRQPMKVMKEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQSNGHVTLTDFDLSCLTSCKPQLLIPTTDEKKKRHKSQQNPIFMAEPVRASNSFVGTEEYIAPEIISGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANVLQKDLKFPRSIQVSLHGKQLMYRLLHKDPKNRLGSREGASEIKGHPFFKGVNWALVRCMNAPELEAPLFATEAGEEDKVVGPELQDLQTNVF >EOY33034 pep chromosome:Theobroma_cacao_20110822:9:35029440:35033678:1 gene:TCM_041042 transcript:EOY33034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, putative MDVQRVVVIQDASKEACSSAVRWALHGLFLKPGDLLVLLGVLHDKNNPSRLSFKGTRKLLGYRTKVDSSSKFGSNHRIVDREAARKEAYENNAEIIDLSKLYKAEKVEFRIEVAAGASPAVVALKSAEDLKATWVILDRKMKKNKKIFLEKLSCGISRMKRNNRIELWRGPKEKFNEFSVIYDDMMSGAPEEEDLFSIELFPTCCFTEKMGTNSYSVDNYSVGQAEANQVSQNQKLTHTSSYADSIIGLQAEETFQNPACTICDNRKQNMELRKGFTYVELHAATDGFSSKNNLSEGGAQSAFRGQLENKLSIVIKPANNNIYFQEPIKFKSEMDTLSRVRHNNLVMLLGFCAEGSHRLLVYEYVCNGSLNQHLSPEYYPMPLTWTERLRVALGASRGLNHLHENNIIHRDIRPSNILLNHDSEPLLGDLGLATMQSDRYLKQENIQTSYYLAPEYLENGTVSTQTDVYSYGVVLLELITGQRTMEKKQGQKGFLTWARALLKQRRYLELLDPRIANSHDVFQLYRMAQLAQKCISKNPKKRLPMDKVNVRALQATPNYRNCKAIMNLIRTGTRKDDKYLKSSGRLNVVSTLEYIIESKPSSLNEDHSLLKSYLPHNYVKTVEHESCNEGNLDVSVTRQMRSRSFSANVWKFSSNDGCFKYMRAKSGRARQAQYGEMLT >EOY33009 pep chromosome:Theobroma_cacao_20110822:9:34936421:34940009:-1 gene:TCM_041020 transcript:EOY33009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKISCQDLSHSLFTGGLYSWSSSFIVLNRISLRYPKKKERKRGKISITHLLLHFHSPFLCSPLKPSTLSLSFKCGRNPRLSASYPCIKAVDLDQHTIPSLSSTIVAASVGLVSVAIGISIPIFYKSQIDSVVKYENTQPNGTSAHKQFILEYNN >EOY29757 pep chromosome:Theobroma_cacao_20110822:9:2295940:2298793:-1 gene:TCM_037200 transcript:EOY29757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein isoform 3 MENPAGTFPGSSNLFRKVVAVSAGEAHTLALSGDGCVYSWGRGMFGRLGTGSESDGHFPVRVKFQNSELKFVAVAAGAYHSLALADDGSYLFSLLSVFMYFGISLDCHMDGQLGVHGENSLAPQLLERFLELGSPDQSKDELEINTKTPLKICAVKAGGMTSLAIDNLGALWMWGLCPQENSSSDGGLTFVSSFSPIPVWDFHGHTVVKVACGNEHVVALVSAGETYKGDDLVCYSWGGNGHGQLGLGDRESRVHPEIVETFNQDIPWTVYEVACGAFHTALLTHRKRPSETLESMCWTFGLGDKGQLGHGTTQSTLVPEPVKELPQPVYLVSVDCGLFHTSVVSSAGDVWSWGMEKGLGLCPDASFTGTDAGDALSPLQISGDGLHEPKFRDPVQISCGAAHTVVVAHDGYKLWSWGRGRSGVLGNGKTIDCFTPSMVLWPPLNEDFQQEELNSTVGEGDKIVDHKNSDAGSEMDKKLSLAMEEMKLLQSKLSIMERYASVLHGSIFGKPFEEQDIPISLQNSGTFDVAREWENMLESSDRSKLVRLELFYRNMLAGVKDKMMKKRIQEIIKEYLPSSTQEK >EOY29759 pep chromosome:Theobroma_cacao_20110822:9:2296245:2298710:-1 gene:TCM_037200 transcript:EOY29759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein isoform 3 MYFGISLDCHMDGQLGVHGENSLAPQLLERFLELGSPDQSKDELEINTKTPLKICAVKAGGMTSLAIDNLGALWMWGLCPQENSSSDGGLTFVSSFSPIPVWDFHGHTVVKVACGNEHVVALVSAGETYKGDDLVCYSWGGNGHGQLGLGDRESRVHPEIVETFNQDIPWTVYEVACGAFHTALLTHRKRPSETLESMCWTFGLGDKGQLGHGTTQSTLVPEPVKELPQPVYLVSVDCGLFHTSVVSSAGDVWSWGMEKGLGLCPDASFTGTDAGDALSPLQISGDGLHEPKFRDPVQISCGAAHTVVVAHDGYKLWSWGRGRSGVLGNGKTIDCFTPSMVLWPPLNEDFQQEELNSTVGEGDKIVDHKNSDAGSEMDKKLSLAMEEMKLLQSKLSIMERYASVLHGSIFGKPFEEQDIPISLQNSGTFDVAREWENMLESSDRSKLVRLELFYRNMLAGVKDKMMKKRIQEIIKEYLPSSTQEK >EOY29758 pep chromosome:Theobroma_cacao_20110822:9:2296224:2298755:-1 gene:TCM_037200 transcript:EOY29758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein isoform 3 MENPAGTFPGSSNLFRKVVAVSAGEAHTLALSGDGCVYSWGRGMFGRLGTGSESDGHFPVRVKFQNSELKFVAVAAGAYHSLALADDGSVWSWGYNIYGQLGVHGENSLAPQLLERFLELGSPDQSKDELEINTKTPLKICAVKAGGMTSLAIDNLGALWMWGLCPQENSSSDGGLTFVSSFSPIPVWDFHGHTVVKVACGNEHVVALVSAGETYKGDDLVCYSWGGNGHGQLGLGDRESRVHPEIVETFNQDIPWTVYEVACGAFHTALLTHRKRPSETLESMCWTFGLGDKGQLGHGTTQSTLVPEPVKELPQPVYLVSVDCGLFHTSVVSSAGDVWSWGMEKGLGLCPDASFTGTDAGDALSPLQISGDGLHEPKFRDPVQISCGAAHTVVVAHDGYKLWSWGRGRSGVLGNGKTIDCFTPSMVLWPPLNEDFQQEELNSTVGEGDKIVDHKNSDAGSEMDKKLSLAMEEMKLLQSKLSIMERYASVLHGSIFGKPFEEQDIPISLQNSGTFDVAREWENMLESSDRSKLVRLELFYRNMLAGVKDKMMKKRIQEIIKEYLPSSTQEK >EOY29760 pep chromosome:Theobroma_cacao_20110822:9:2296245:2298710:-1 gene:TCM_037200 transcript:EOY29760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation family protein isoform 3 MYFGISLDCHMDGQLGVHGENSLAPQLLERFLELGSPDQSKDELEINTKTPLKICAVKAGGMTSLAIDNLGALWMWGLCPQENSSSDGGLTFVSSFSPIPVWDFHGHTVVKVACGNEHVVALVSAGETYKGDDLVCYSWGGNGHGQLGLGDRESRVHPEIVETFNQDIPWTVYEVACGAFHTALLTHRKRPSETLESMCWTFGLGDKGQLGHGTTQSTLVPEPVKELPQPVYLVSVDCGLFHTSVVSSAGDVWSWGMEKGLGLCPDASFTGTDAGDALSPLQISGDGLHEPKFRDPVQISCGAAHTVVVAHDGYKLWSWGRGRSGVLGNGKTIDCFTPSMVLWPPLNEDFQQEELNSTVGEGDKIVDHKNSDAGSEMDKKLSLAMEEMKLLQSKLSIMERYASVLHGSIFGKPFEEQDIPISLQNSGTFDVAREWENMLESSDRSKLVRLELFYRNMLAGVKDKMMKKRIQEIIKEYLPSSTQEK >EOY33471 pep chromosome:Theobroma_cacao_20110822:9:37689301:37692040:-1 gene:TCM_041444 transcript:EOY33471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase, putative MPKSVEPEDGSESNTDTFKIHTFYLFWPYLAPIYRSTNLIASMKRPVRPLISILMLVALAATLSCRIAIRRRGVFTVSTELESTRVIIQPPPMQIFNSSLLKFAATDIGEEKSKHEIEQLLEGNFASQGRYRTFATWNRFNRHDIKARNSNGLSVMLRSPKFYRYWLDFRRNLQDWARKKMFQPEIMMDLVRLVKVPIDNHNGLISSDKAYKSCAVVGNSGILLSSDHGALIDGHEVVIRLNNARTERFEKNVGSKTSISFVNSNILHLCARREGCFCHPYGGNVPMVMYICQPVHFMDYLVCNSSHKAPLLITDPRFDMLCARIVKYYSVKRFVQETGKPLGEWGSTHDGSMFHYSSGMQAVMLALGICDKVSIFGFGKSTSAKHHYHTNQKAELRLHDYEAEYAFYHDLVNNPQAIPFISDKFRFPPVVIYQ >EOY34605 pep chromosome:Theobroma_cacao_20110822:9:41570677:41572577:-1 gene:TCM_042221 transcript:EOY34605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MANSMYRASILQSQMHIQPSLSSRNFVPKKSNLLCFASSQFNPVRSEVSFCIGTHLIPHPNKVERGGEDAFFVSNFNGGVIAVADGVSGWAEQNVDPSLFSKELMANASSLVGDEEVNFDPQILIKKAHAATSSKGSATAIVAMLERNGMMKVANVGDCGLRVIRKGQIIFSTSPQEHYFDCPYQLSSELVGQTYLDAVVSSVELVEGDTIIMGSDGLFDNLFDREIVSTLSIHSDVVNAGIG >EOY34604 pep chromosome:Theobroma_cacao_20110822:9:41570210:41572587:-1 gene:TCM_042221 transcript:EOY34604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 1 MANSMYRASILQSQMHIQPSLSSRNFVPKKSNLLCFASSQFNPVRSEVSFCIGTHLIPHPNKVERGGEDAFFVSNFNGGVIAVADGVSGWAEQNVDPSLFSKELMANASSLVGDEEVNFDPQILIKKAHAATSSKGSATAIVAMLERNGMMKVANVGDCGLRVIRKGQIIFSTSPQEHYFDCPYQLSSELVGQTYLDAVVSSVELVEGDTIIMGSDGLFDNLFDREIVSTLSIHSDVVNAAKALANLASNHSMDSSFDSPYSLEARSKGFDVPFWKKILGMKLTGGKLDDITVIVGQVVTSPSTGISDEIPKTEVQGTDGVWST >EOY33385 pep chromosome:Theobroma_cacao_20110822:9:37245072:37247192:-1 gene:TCM_046933 transcript:EOY33385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene cyclase MGTLVRPFSSPFATRKDHLLFPSPTASFPSAKPHLRTSRRAHHGINSSKFGSFLDLKPETKPKCLDFDLTWFNPSDRPCFDVIIIGTGPGGLRLAEQVSRYGIKVCCIDPSPLSMWPNNYGVWVDEFESLGLGDCLDKTWPMTCVYIDDNMTKYLDRPYGRVCRKKLKTKLLENCVSNSVKFHKAKVWKVKHEEFESAIECDDGSELKASLIVDASGFASSFIEYDKPRNHGYQIAHGILAEVDSHPFDLDKMVLMDWRDSHLGNEPYLRANNSKIPTFLYAMPFDSSLIFLEETSLVSRPVLSYMEIKKRMVARLRHLGIRVKTVIEDEKCLIPMGGPLPRIPQNVMAIGGISGVVHPSTGYMVARTMALAPVVAEAIAECLGSTRMIRGRPLYHKVWNGLWPIERRCTREYCCFGMETLLKLDLKGTRGFFQAFFDLDPYFWHGFLSSRLSLTELAWLSASLFGHASNPSRLDIVSKCPVPLVRMLGNLALETI >EOY30007 pep chromosome:Theobroma_cacao_20110822:9:3039646:3042763:1 gene:TCM_037362 transcript:EOY30007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWEIHDGPQQGVVRSCPRLQSDEVKSGVANAMSRGTQLRRQAFRIDEGVRREKPGGRIETERESSILKAHVTEAGRCHWRDAGRWFTCPGSGPYITPKWRSSRAPREQRVKPYQPLISGARAKIMALAVSAQGTERAEDVASMLACVLEMDVHDQTRNRETSDLDRDYRGRSLLTRPCYLKGILFSTLNDVAA >EOY31545 pep chromosome:Theobroma_cacao_20110822:9:9540126:9548834:1 gene:TCM_038473 transcript:EOY31545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein MQIRRTLPTIDIQPDIHPSGFLFLVNFSIGQPPIPQLAIMDTGSSLLWVQCQPCRRCFKQYSPIYDSRSSSTYTILPCTSVYCIYLQPPTASCTSSDPCQYHQQYLNFVDSIGTLAKEQISFRTSDDGLAVLHDVIFGCSSNNGDLQRFNKLMSGVFGLGFQHTSLATRLAKFSYCISNVSDPNYIHNKLVLGMEMGSKEIQQLWKSWFEIGVKLVPAQTIAFSRDMLRGLVEFGFLFCGRFGPSDLFSRLAALCGYLFCFSFVYVPIIRAIPSDPRRLVLNLTHRDSIHSPYHNRSEDFTTRLKRTMQIRRTLSTTDIEADLVPSNYLFLVNFSIGQPPIPQLAIMDTGSSLLWVQRQPCRRCSNQYSPIYDSRSSSTYKVLPCTCEDCISSKRPNTSCTSSDPCSYRQKYRRGVGSKGNLAKEQLSFRTSDDGLIVLHDVIFGCGSNNGDLQRSNRLMSGVFGLGYLRTSLATRLAKFSYCIGGNVSDPSYIYNKLVLGDGAGVEGDSTRLEVIDGDYHVLLEGISVGEEKLPINPNIFRRTGRDSGVVIDSGSVSTWMVKEGYDALFNKVQSLLNPWLTQSSIQLDPDLDLVAVCYNGTINQDLEGFPTVTFHFVGEAELVLDTSSLFAQIEPDRFCLAVLPIDGNQTIIGLMAQQNYNVAYDINENKLSFLRIDCQLLED >EOY31345 pep chromosome:Theobroma_cacao_20110822:9:8271140:8276562:-1 gene:TCM_038295 transcript:EOY31345 gene_biotype:protein_coding transcript_biotype:protein_coding description:ArfGap/RecO-like zinc finger domain-containing protein, putative MGNKKIKEDDKTERTIRALLKLPENKRCINCNLLGPQYVCTTFWTFVCTTCSGIHREFTHRVKPVSMAKFSEEEVNALQAAGNERARQIYFKAWDPQRNSLPDGSNLHRLRDFIKHVYVERKYAGERRDRLPSVRLGHRAGSPESRKVSVFSGISKSPLYEDRHEWSSNEGSSPAGRSDAVRGYYNERSSPRFAQEDSRYGGFRRNPLCIEIVDNRLRSDGCGSARRQNKHIFSHREPMARSGSSDHQKNMDRSVSPVVRPVRDILGENAPTLQVGEHSKANAGRDPDGSAINQKIASSGGMESLIDFSTDSEPSNAVAAPDMQQVPPSNDAGDQSSDELSSKDKAPPASSANSLEFLLFDLSTPSVEPVDNVSAVPGTTGAPSTASGQSISVDSVSPAATAEQILALTSIGFSTMPPVINVLQKPSNVGPLQATTHINGDYRVKAPEGQQKHQSSMFSVSDNCFTSQQSNTTVEASHSQLGTSLLMLNAQQSSNVSIEQSFQGPSKSAEETSYEVRARSLSAETKSSGRKELPEDLFTTSYASAPAAVPGWQYGLQHGMGFGMQYYPNAVHAAAFRSTAKANPFDLNSETTPEQARSFPSMASLPGGLPSVQAPTGLSDTTSFDAHSLGMTSHSSYLASLMTPESPCTLAMPSNAYMGDQPHIGVPPSRPQVIGGLGSDEFSRGSVCTTQEPTGGHSASNSPLSFPKMGANPFG >EOY30908 pep chromosome:Theobroma_cacao_20110822:9:6213653:6217484:-1 gene:TCM_037953 transcript:EOY30908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1767) [Source:Projected from Arabidopsis thaliana (AT5G19950) TAIR;Acc:AT5G19950] MEESTTSASTEAVVEALGARGWCFGDWDQVKALIVIHTALSDDVGTCFVADLVESELVNMDLRSIGGKSLPEPNLRKSSFVVGPKVLQISSVRDISRSSISEFSGNSSSLRLLRLCLTDGHSEITAIEFSHVPAIPDNVVPGTKIRLENKAIIHGGILCLNPKVVSLLGGVVQSLYEEWEMNQKYSGFSRSSLRSSQSGTGGPPPFEKLQIEAPSSGRLVQQGRSSHPESTLKTAGPTAANSAGNIESCWSRRNQTVEAKPDNVDNGLRAASVTEKTEGNPSSSETRPKGTKNAWHRGREH >EOY30907 pep chromosome:Theobroma_cacao_20110822:9:6212989:6217543:-1 gene:TCM_037953 transcript:EOY30907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1767) [Source:Projected from Arabidopsis thaliana (AT5G19950) TAIR;Acc:AT5G19950] MSETNRPLFITLRRKIKGAKEPNMEESTTSASTEAVVEALGARGWCFGDWDQVKALIVIHTALSDDVGTCFVADLVESELVNMDLRSIGGKSLPEPNLRKSSFVVGPKVLQISSVRDISRSSISEFSGNSSSLRLLRLCLTDGHSEITAIEFSHVPAIPDNVVPGTKIRLENKAIIHGGILCLNPKVVSLLGGVVQSLYEEWEMNQKYSGFSRSSLRSSQSGTGGPPPFEKLQIEAPSSGRLVQQGRSSHPESTLKTAGPTAANSAGNIESCWSRRNQTVEAKPDNVDNGLRAASVTEKTEGNPSSSETRPKEVVESVPLQNQAASQKLLQKMSHSNLDGQHSRGRKYRGKGKQEEPVVLTLDEWEKRKAGARPQMRNELPETSGDEDLAWRLQTQLDLEDYHVQRMHGTEAENIKRSMFNYEREDGRNRQEERGGKGRGRGRGRGRGRGRGRGRFG >EOY31355 pep chromosome:Theobroma_cacao_20110822:9:8324898:8342558:1 gene:TCM_038299 transcript:EOY31355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2410 isoform 1 MSLKLNSPFLAIPLGSSLNGKKGHGHCFGFDRGKLVRRAIRKRVSAEKQNDWISQAIKFSHFCGKNIDLFRKTIGLRNGFVVKSVKEPFAGSKALVRSLSPLWNEGLLLVRCSVLTAVISGVCLLVWYGQKKAKGFVEATLLPSVCSVLSEYVQREIDFGKVRRVSPLSITLEACSIGPYSEEFSCGEVPTMKIRVRPFASLRRGKIVIDAILSHPSVLIAQKKDYTWLGIPFCDNGLQRHLSTEEGIDYRTKRRRIAREEAGACWARERDDDARKAAEMGYIVSEGSLDISEDDSVKGIGLSAEIASSKSFSCMDEKMHWRDHHCVDTGVDYDTKHAELEKSFGVKIPGSGLTLLPKGPKGNKFKKKFNRSDTSTAGVAAKRRILERSASVALAYFQGLSQEDSGDYSEASGSYDISDLNTLLVKSEVDSNAEASIGINTGGGSLLSYTHYGEQCEETENLHIITHCNDNGTLGNFNFIRDPFLMTVERLSGVRKIGKSFPYDVNAAGAAKTMSSNVNGEDLVVDVVVTGNMNENVSEGERSHASQSFTSIKSDLTPSASHSVTFWPLGLKFTLPSFPDNMGERVSNFLAGSLQKLKNGVALKVEDIVAELVDGVDVAQTEGIEKMLPVIVDSVHFKGGTLMLLAFGDREPREMENANGYVKFQNHYGRVHIQLSGNCKTWRSDLASEDGGWLSTDVFVDTLDQKWHANLNISNLFVPLFERILEIPITWLKGRATGEVHLCMSTGETFPNLHGQLDVTGLAFQIYDAPSWFSDISAHLCFRGQRIFLHNTSGWFGSVPLDASGDFGIHPEEGEFHLMCQVPCVEVNALMKTFKMKPLLFPLAGSVTAVFNCQGPLDAPTFVGSGMVSRKISYSVDVPASSASEAMLKNKESGAVAAFDRVPFSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEEDDTAMDVNFSGNLSFDKIMQRYIPRYLHLMPLKLGDLSGETKLSGSLLKPRFDIKWTAPKAEGSFSDARGDIMISHDCITVNSSSVAFDLFTKVQTSYPEEYWLNRKEFNVKSAVPFIVEGVELDLRMRGFEFFSLVSSYTFDSPRPTHLKATGKIKFHGKVLKPCITSEQDFGPEGKPEKMTDERSRQSLVGDLSVSGLRLNQLMLAPQLVGQLSISRNSVKLDALGRPDESLAVEVVQPLQPGSEENLQNGKLFSFSLQKGQLRANICFRPLHSATLEIRHLPLDELELASLRGTIQRAEIQLNFQKRRGHGVLSVLHPKFSGVLGEALDVAARWSGDVITLEKTVLEQISSRYELQGEYVLPGTRDRNLSEKGRGGLFKRAMTGHLGSVISSMGRWRMRLEVPRAEVAEMLPLARLLSRSTDPAVLSRSKDLFIQSLQSVGVYTESLQDLLEVIRGHYAASNEVILEGLSLPGLAELKGRWHGSLDASGGGNGDTMAEFDFHGEDWEWGSYNTQRVVAVGAYSNDDGLRLEKIFIKKDDATIHADGTLLGPKTNLHFAVLNFPVSLVPTLVQIIESSATEAVHSLRQLLAPIKGILYMEGDLRGSLAKPECDVQVRLLDGAIGGIDLGRAEVVASLTSSSRFLFNAKFEPIIQNGHVHVQGSVPVTFVQSSMSEEEETETERSGTTLVPGWVKERDKESSDKASEKKMFRERTEEGWDTQLAESLKGLNWNILDVGEVRVDADIKDGGMMLLTALSPYANWLHGSADVMLQVRGTVEQPVLDGSASFHRASISSPVLRKPLTNIGGTVHVKSNQLCIAFLESRVSRKGKLFVKGNLPLRTSEASLGDKIDLKCEVLEVRAKNILSGQVDTQLQLTGSILQPNISGNIKLSHGEAYLPHDKGSGAAPFNKLASNQSRLPGAGVSQAVASRYVSRFFSSEPASSRTKLPQSSVKSAEVEKEMEQVNIKPSVDVRLSDLKLVLGPELRIVYPLILNFAVSGELELNGLAHPKWIKPKGILTFENGDVNLVATQVRLKREHLNIAKFEPEHGLDPMLDLALVGSEWQFRIQSRASNWQDKLVVTSIRSVEQDVLSPTEVIL >EOY31354 pep chromosome:Theobroma_cacao_20110822:9:8324615:8343996:1 gene:TCM_038299 transcript:EOY31354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2410 isoform 1 MSLKLNSPFLAIPLGSSLNGKKGHGHCFGFDRGKLVRRAIRKRVSAEKQNDWISQAIKFSHFCGKNIDLFRKTIGLRNGFVVKSVKEPFAGSKALVRSLSPLWNEGLLLVRCSVLTAVISGVCLLVWYGQKKAKGFVEATLLPSVCSVLSEYVQREIDFGKVRRVSPLSITLEACSIGPYSEEFSCGEVPTMKIRVRPFASLRRGKIVIDAILSHPSVLIAQKKDYTWLGIPFCDNGLQRHLSTEEGIDYRTKRRRIAREEAGACWARERDDDARKAAEMGYIVSEGSLDISEDDSVKGIGLSAEIASSKSFSCMDEKMHWRDHHCVDTGVDYDTKHAELEKSFGVKIPGSGLTLLPKGPKGNKFKKKFNRSDTSTAGVAAKRRILERSASVALAYFQGLSQEDSGDYSEASGSYDISDLNTLLVKSEVDSNAEASIGINTGGGSLLSYTHYGEQCEETENLHIITHCNDNGTLELVDGVDVAQTEGIEKMLPVIVDSVHFKGGTLMLLAFGDREPREMENANGYVKFQNHYGRVHIQLSGNCKTWRSDLASEDGGWLSTDVFVDTLDQKWHANLNISNLFVPLFERILEIPITWLKGRATGEVHLCMSTGETFPNLHGQLDVTGLAFQIYDAPSWFSDISAHLCFRGQRIFLHNTSGWFGSVPLDASGDFGIHPEEGEFHLMCQVPCVEVNALMKTFKMKPLLFPLAGSVTAVFNCQGPLDAPTFVGSGMVSRKISYSVDVPASSASEAMLKNKESGAVAAFDRVPFSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEEDDTAMDVNFSGNLSFDKIMQRYIPRYLHLMPLKLGDLSGETKLSGSLLKPRFDIKWTAPKAEGSFSDARGDIMISHDCITVNSSSVAFDLFTKVQTSYPEEYWLNRKEFNVKSAVPFIVEGVELDLRMRGFEFFSLVSSYTFDSPRPTHLKATGKIKFHGKVLKPCITSEQDFGPEGKPEKMTDERSRQSLVGDLSVSGLRLNQLMLAPQLVGQLSISRNSVKLDALGRPDESLAVEVVQPLQPGSEENLQNGKLFSFSLQKGQLRANICFRPLHSATLEIRHLPLDELELASLRGTIQRAEIQLNFQKRRGHGVLSVLHPKFSGVLGEALDVAARWSGDVITLEKTVLEQISSRYELQGEYVLPGTRDRNLSEKGRGGLFKRAMTGHLGSVISSMGRWRMRLEVPRAEVAEMLPLARLLSRSTDPAVLSRSKDLFIQSLQSVGVYTESLQDLLEVIRGHYAASNEVILEGLSLPGLAELKGRWHGSLDASGGGNGDTMAEFDFHGEDWEWGSYNTQRVVAVGAYSNDDGLRLEKIFIKKDDATIHADGTLLGPKTNLHFAVLNFPVSLVPTLVQIIESSATEAVHSLRQLLAPIKGILYMEGDLRGSLAKPECDVQVRLLDGAIGGIDLGRAEVVASLTSSSRFLFNAKFEPIIQNGHVHVQGSVPVTFVQSSMSEEEETETERSGTTLVPGWVKERDKESSDKASEKKMFRERTEEGWDTQLAESLKGLNWNILDVGEVRVDADIKDGGMMLLTALSPYANWLHGSADVMLQVRGTVEQPVLDGSASFHRASISSPVLRKPLTNIGGTVHVKSNQLCIAFLESRVSRKGKLFVKGNLPLRTSEASLGDKIDLKCEVLEVRAKNILSQTSQGILN >EOY31353 pep chromosome:Theobroma_cacao_20110822:9:8324354:8344322:1 gene:TCM_038299 transcript:EOY31353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2410 isoform 1 MSLKLNSPFLAIPLGSSLNGKKGHGHCFGFDRGKLVRRAIRKRVSAEKQNDWISQAIKFSHFCGKNIDLFRKTIGLRNGFVVKSVKEPFAGSKALVRSLSPLWNEGLLLVRCSVLTAVISGVCLLVWYGQKKAKGFVEATLLPSVCSVLSEYVQREIDFGKVRRVSPLSITLEACSIGPYSEEFSCGEVPTMKIRVRPFASLRRGKIVIDAILSHPSVLIAQKKDYTWLGIPFCDNGLQRHLSTEEGIDYRTKRRRIAREEAGACWARERDDDARKAAEMGYIVSEGSLDISEDDSVKGIGLSAEIASSKSFSCMDEKMHWRDHHCVDTGVDYDTKHAELEKSFGVKIPGSGLTLLPKGPKGNKFKKKFNRSDTSTAGVAAKRRILERSASVALAYFQGLSQEDSGDYSEASGSYDISDLNTLLVKSEVDSNAEASIGINTGGGSLLSYTHYGEQCEETENLHIITHCNDNGTLELVDGVDVAQTEGIEKMLPVIVDSVHFKGGTLMLLAFGDREPREMENANGYVKFQNHYGRVHIQLSGNCKTWRSDLASEDGGWLSTDVFVDTLDQKWHANLNISNLFVPLFERILEIPITWLKGRATGEVHLCMSTGETFPNLHGQLDVTGLAFQIYDAPSWFSDISAHLCFRGQRIFLHNTSGWFGSVPLDASGDFGIHPEEGEFHLMCQVPCVEVNALMKTFKMKPLLFPLAGSVTAVFNCQGPLDAPTFVGSGMVSRKISYSVDVPASSASEAMLKNKESGAVAAFDRVPFSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEEDDTAMDVNFSGNLSFDKIMQRYIPRYLHLMPLKLGDLSGETKLSGSLLKPRFDIKWTAPKAEGSFSDARGDIMISHDCITVNSSSVAFDLFTKVQTSYPEEYWLNRKEFNVKSAVPFIVEGVELDLRMRGFEFFSLVSSYTFDSPRPTHLKATGKIKFHGKVLKPCITSEQDFGPEGKPEKMTDERSRQSLVGDLSVSGLRLNQLMLAPQLVGQLSISRNSVKLDALGRPDESLAVEVVQPLQPGSEENLQNGKLFSFSLQKGQLRANICFRPLHSATLEIRHLPLDELELASLRGTIQRAEIQLNFQKRRGHGVLSVLHPKFSGVLGEALDVAARWSGDVITLEKTVLEQISSRYELQGEYVLPGTRDRNLSEKGRGGLFKRAMTGHLGSVISSMGRWRMRLEVPRAEVAEMLPLARLLSRSTDPAVLSRSKDLFIQSLQSVGVYTESLQDLLEVIRGHYAASNEVILEGLSLPGLAELKGRWHGSLDASGGGNGDTMAEFDFHGEDWEWGSYNTQRVVAVGAYSNDDGLRLEKIFIKKDDATIHADGTLLGPKTNLHFAVLNFPVSLVPTLVQIIESSATEAVHSLRQLLAPIKGILYMEGDLRGSLAKPECDVQVRLLDGAIGGIDLGRAEVVASLTSSSRFLFNAKFEPIIQNGHVHVQGSVPVTFVQSSMSEEEETETERSGTTLVPGWVKERDKESSDKASEKKMFRERTEEGWDTQLAESLKGLNWNILDVGEVRVDADIKDGGMMLLTALSPYANWLHGSADVMLQVRGTVEQPVLDGSASFHRASISSPVLRKPLTNIGGTVHVKSNQLCIAFLESRVSRKGKLFVKGNLPLRTSEASLGDKIDLKCEVLEVRAKNILSGQVDTQLQLTGSILQPNISGNIKLSHGEAYLPHDKGSGAAPFNKLASNQSRLPGAGVSQAVASRYVSRFFSSEPASSRTKLPQSSVKSAEVEKEMEQVNIKPSVDVRLSDLKLVLGPELRIVYPLILNFAVSGELELNGLAHPKWIKPKGILTFENGDVNLVATQVRLKREHLNIAKFEPEHGLDPMLDLALVGSEWQFRIQSRASNWQDKLVVTSIRSVEQDVLSPTEAARVFESQLAESILEGDGQLAFKKLATATVETLMPRIEGKGEIGQARWRLVYAPQIPSLLSVDPTADPLKSLASNISFGTEVEVQLGKRLQASIVRQLKDSEMAMQWTLIYQLTSRLRVLLQSAPSKRLLFEYSATSQD >EOY31352 pep chromosome:Theobroma_cacao_20110822:9:8324354:8344322:1 gene:TCM_038299 transcript:EOY31352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2410 isoform 1 MSLKLNSPFLAIPLGSSLNGKKGHGHCFGFDRGKLVRRAIRKRVSAEKQNDWISQAIKFSHFCGKNIDLFRKTIGLRNGFVVKSVKEPFAGSKALVRSLSPLWNEGLLLVRCSVLTAVISGVCLLVWYGQKKAKGFVEATLLPSVCSVLSEYVQREIDFGKVRRVSPLSITLEACSIGPYSEEFSCGEVPTMKIRVRPFASLRRGKIVIDAILSHPSVLIAQKKDYTWLGIPFCDNGLQRHLSTEEGIDYRTKRRRIAREEAGACWARERDDDARKAAEMGYIVSEGSLDISEDDSVKGIGLSAEIASSKSFSCMDEKMHWRDHHCVDTGVDYDTKHAELEKSFGVKIPGSGLTLLPKGPKGNKFKKKFNRSDTSTAGVAAKRRILERSASVALAYFQGLSQEDSGDYSEASGSYDISDLNTLLVKSEVDSNAEASIGINTGGGSLLSYTHYGEQCEETENLHIITHCNDNGTLGNFNFIRDPFLMTVERLSGVRKIGKSFPYDVNAAGAAKTMSSNVNGEDLVVDVVVTGNMNENVSEGERSHASQSFTSIKSDLTPSASHSVTFWPLGLKFTLPSFPDNMGERVSNFLAGSLQKLKNGVALKVEDIVAELVDGVDVAQTEGIEKMLPVIVDSVHFKGGTLMLLAFGDREPREMENANGYVKFQNHYGRVHIQLSGNCKTWRSDLASEDGGWLSTDVFVDTLDQKWHANLNISNLFVPLFERILEIPITWLKGRATGEVHLCMSTGETFPNLHGQLDVTGLAFQIYDAPSWFSDISAHLCFRGQRIFLHNTSGWFGSVPLDASGDFGIHPEEGEFHLMCQVPCVEVNALMKTFKMKPLLFPLAGSVTAVFNCQGPLDAPTFVGSGMVSRKISYSVDVPASSASEAMLKNKESGAVAAFDRVPFSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEEDDTAMDVNFSGNLSFDKIMQRYIPRYLHLMPLKLGDLSGETKLSGSLLKPRFDIKWTAPKAEGSFSDARGDIMISHDCITVNSSSVAFDLFTKVQTSYPEEYWLNRKEFNVKSAVPFIVEGVELDLRMRGFEFFSLVSSYTFDSPRPTHLKATGKIKFHGKVLKPCITSEQDFGPEGKPEKMTDERSRQSLVGDLSVSGLRLNQLMLAPQLVGQLSISRNSVKLDALGRPDESLAVEVVQPLQPGSEENLQNGKLFSFSLQKGQLRANICFRPLHSATLEIRHLPLDELELASLRGTIQRAEIQLNFQKRRGHGVLSVLHPKFSGVLGEALDVAARWSGDVITLEKTVLEQISSRYELQGEYVLPGTRDRNLSEKGRGGLFKRAMTGHLGSVISSMGRWRMRLEVPRAEVAEMLPLARLLSRSTDPAVLSRSKDLFIQSLQSVGVYTESLQDLLEVIRGHYAASNEVILEGLSLPGLAELKGRWHGSLDASGGGNGDTMAEFDFHGEDWEWGSYNTQRVVAVGAYSNDDGLRLEKIFIKKDDATIHADGTLLGPKTNLHFAVLNFPVSLVPTLVQIIESSATEAVHSLRQLLAPIKGILYMEGDLRGSLAKPECDVQVRLLDGAIGGIDLGRAEVVASLTSSSRFLFNAKFEPIIQNGHVHVQGSVPVTFVQSSMSEEEETETERSGTTLVPGWVKERDKESSDKASEKKMFRERTEEGWDTQLAESLKGLNWNILDVGEVRVDADIKDGGMMLLTALSPYANWLHGSADVMLQVRGTVEQPVLDGSASFHRASISSPVLRKPLTNIGGTVHVKSNQLCIAFLESRVSRKGKLFVKGNLPLRTSEASLGDKIDLKCEVLEVRAKNILSGQVDTQLQLTGSILQPNISGNIKLSHGEAYLPHDKGSGAAPFNKLASNQSRLPGAGVSQAVASRYVSRFFSSEPASSRTKLPQSSVKSAEVEKEMEQVNIKPSVDVRLSDLKLVLGPELRIVYPLILNFAVSGELELNGLAHPKWIKPKGILTFENGDVNLVATQVRLKREHLNIAKFEPEHGLDPMLDLALVGSEWQFRIQSRASNWQDKLVVTSIRSVEQDVLSPTEAARVFESQLAESILEGDGQLAFKKLATATVETLMPRIEGKGEIGQARWRLVYAPQIPSLLSVDPTADPLKSLASNISFGTEVEVQLGKRLQASIVRQLKDSEMAMQWTLIYQLTSRLRVLLQSAPSKRLLFEYSATSQD >EOY33106 pep chromosome:Theobroma_cacao_20110822:9:35454152:35458822:-1 gene:TCM_041095 transcript:EOY33106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFLQSKLFFMLLIGMASLSGWSWEIGKICCCTCYGCDTHQCSNCCWAEAISLCDFLCGSFLLKANRCVSHIVPFLSIAVGRLAKHASALIMAGKSLIPDLSFRKEAMTQHVS >EOY29714 pep chromosome:Theobroma_cacao_20110822:9:2139788:2143522:1 gene:TCM_037168 transcript:EOY29714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase isoform 1 MASIRRTLSPVPRQGTLVTGEAERSVPSPLSKSSSCNQNYPPSGGLLSSLFGLADSQSLVLRVFSPRSSRPLDRSKQKGQVWRRALCHFFICFIVGFFIGLTPFISMDFSYMNPISKHQAFSFEVVSTAGNFQTLNSSARNVTSIMDKAEVESNVTLEALVQKQKVIEGNLDNAFTNQSLPQDIDLESRKLLIVVTPTYARPLQAYYLNRLAYTLRLVQPPLLWVVVEMTSQSEEAADILRRSSVMYRHLVCKKNLTDIKDRNVHQRNVALSHIETHHLDGIVYFANEDNIYSIDLFEQMRHIRRFGTWTVAKQTWDKSSAILEGPVCNGTQVIGWHLNGLSRRFRRFHAEMSGFAFNSTILWDPKRWHRPTLEPVRQLDTVKDGFQASLFIEQVVEDESQMEGLLQDCSRVMVWELNAESSNSFYPQKWSMKNNLDIIAPLA >EOY29715 pep chromosome:Theobroma_cacao_20110822:9:2139598:2143246:1 gene:TCM_037168 transcript:EOY29715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase isoform 1 MASIRRTLSPVPRQGTLVTGEAERSVPSPLSKSSSCNQNYPPSGGLLSSLFGLADSQSLVLRVFSPRSSRPLDRSKQKGQVWRRALCHFFICFIVGFFIGLTPFISMDFSYMNPISKHQAFSFEVVSTAGNFQTLNSSARNVTSIMDKAEVESNVTLEALVQKQKVIEGNLDNAFTNQSLPQDIDLESRKLLIVVTPTYARPLQAYYLNRLAYTLRLVQPPLLWVVVEMTSQSEEAADILRRSSVMYRHLVCKKNLTDIKDRNVHQRNVALSHIETHHLDGIVYFANEDNIYSIDLFEQMRHIRRFGTWTVAKQTWDKSSAILEGPVCNGTQVIGWHLNGLSRRFRRFHAEMSGFAFNSTILWDPKRWHRPTLEPVRQLDTVKDGFQASLFIEQVVEDESQMEGLLQDCSRVMVWELNAESSNSFYPQKWSMKNNLDIIAPLA >EOY29713 pep chromosome:Theobroma_cacao_20110822:9:2139493:2143894:1 gene:TCM_037168 transcript:EOY29713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase isoform 1 MASIRRTLSPVPRQGTLVTGEAERSVPSPLSKSSSCNQNYPPSGGLLSSLFGLADSQSLVLRVFSPRSSRPLDRSKQKGQVWRRALCHFFICFIVGFFIGLTPFISMDFSYMNPISKHQAFSFEVVSTAGNFQTLNSSARNVTSIMDKAEVESNVTLEALVQKQKVIEGNLDNAFTNQSLPQDIDLESRKLLIVVTPTYARPLQAYYLNRLAYTLRLVQPPLLWVVVEMTSQSEEAADILRRSSVMYRHLVCKKNLTDIKDRNVHQRNVALSHIETHHLDGIVYFANEDNIYSIDLFEQMRHIRRFGTWTVAKQTWDKSSAILEGPVCNGTQVIGWHLNGLSRRFRRFHAEMSGFAFNSTILWDPKRWHRPTLEPVRQLDTVKDGFQASLFIEQVVEDESQMEGLLQDCSRVMVWELNAESSNSFYPQKWSMKNNLDIIAPLA >EOY29716 pep chromosome:Theobroma_cacao_20110822:9:2135601:2143766:1 gene:TCM_037168 transcript:EOY29716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase isoform 1 MASIRRTLSPVPRQGTLVTGEAERSVPSPLSKSSSCNQNYPPSGGLLSSLFGLADSQSLVLRVFSPRSSRPLDRSKQKGQVWRRALCHFFICFIVGFFIGLTPFISMDFSYMNPISKHQAFSFEVVSTAGNFQTLNSSARNVTSIMDKAEVESNVTLEALVQKQKVIEGNLDNAFTNQSLPQDIDLESRKLLIVVTPTYARPLQAYYLNRLAYTLRLVQPPLLWVVVEMTSQSEEAADILRRSSVMYRHLVCKKNLTDIKDRNVHQRNVALSHIETHHLDGIVYFANEDNIYSIDLFEQMRHIRRFGTWTVAKQTWDKSSAILEGPVCNGTQVIGWHLNGLSRRFRRFHAEMSGFAFNSTILWDPKRWHRPTLEPVRQLDTVKDGFQASLFIEQVVEDESQMEGLLQDCSRVMVWELNAESSNSFYPQKWSMKNNLDIIAPLA >EOY33094 pep chromosome:Theobroma_cacao_20110822:9:35389009:35392053:-1 gene:TCM_041085 transcript:EOY33094 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein, putative MGKGLSLLLLVLVVCHGVTMAMAYQGEKEWEREGETERREEKGGEAGKGEEWFLFPDSKPVMKTDAGEMRVVKSLGGRIIEKPLHIGFITMEPKTLFIPQYLDSSLILFVRRGEARVGCIYNDQMVERRMKIGDVYHIPAGSTFYILNPGEGQRLHIICSIDPSESSQLGTFQSFFIGGGTYPTSILAGFGPETLSTAFNVSVSKLTGILSQQQEGPIVYLTESRAPSIWTKFSQLQEQDRLKHLKKLIQEEPNQEEEQPTEWSWRKLFDSFFGSEDKNIKDKAPDCYNIYKRSPDFKNNYGWSIALDGSDDNPLKYSGIGIYLVSLTAGSMLAPHVNPIATEYGIVLRGTGRIQIVYPNGTLALDAKVKEGDVFRVPRYFAFCQIASRAGPFEFFGFTTSSCKNRPQFLVGSNSLLHTFSSPELAAAFGVTQKRMRRVINAQREAVILPSAEAAPAGEEDEKKRVNFEAVPKVIKNLGSEMIMGFDEGEV >EOY31403 pep chromosome:Theobroma_cacao_20110822:9:8594985:8600939:1 gene:TCM_038342 transcript:EOY31403 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein phosphatase 1 isoform 1 MMEENNGIDCLENASTSEGKPPNPRTPSYMQQCPTSDGVLKPCKKSLVRHPSLVKSKASDIAVENGHAIENHGADFIPIVRSGAWADIGFRTSMEDVYLCVDDFMHDYGLKNFADGPSAFYGVFDGHGGKHAADFACYHLPRFIVEDADFPGEIERVLASAFLQTDTAFAEACALDSALASGTTALAALILGRMLVVANAGDCRAVLCRRGKAIEMSRDHKPICNREKKRIEASGGYVCDGYLNGQLNVARALGDWHVEGMKGADGGSLSAEPELMTANLTEEDEFLIIGCDGLWDVFRSQNAVDFARRRLQEHNDPVMCSKDLVDEALKRKSGDNLAVVVVCFQSHPPPNLVAPRARVQRSFSAEGLRELQSFLDSLGN >EOY31404 pep chromosome:Theobroma_cacao_20110822:9:8595203:8600700:1 gene:TCM_038342 transcript:EOY31404 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein phosphatase 1 isoform 1 MMEENNGIDCLENASTSEGKPPNPRTPSYMQQCPTSDGVLKPCKKSLVRHPSLVKSKASDIAVENGHAIENHGADFIPIVRSGAWADIGFRTSMEDVYLCVDDFMHDYGLKNFADGPSAFYGVFDGHGGKHAADFACYHLPRFIVEDADFPGEIERVLASAFLQTDTAFAEACALDSALASGTTALAALILGRMLVVANAGDCRAVLCRRGKAIEMSRDHKPICNREKKRIEASGGYVCDGYLNGQLNVARALGDWHVEGMKGADGGSLSAEPELMTANLTEEDEFLIIGCDGLWDVFRSQNAVDFARRRLQEHNDPVMCSKDLVDEALKRKSGDNLAVVVVCFQSHPPPNLVAPRARVQRSFSAEGLRELQSFLDSLGN >EOY31405 pep chromosome:Theobroma_cacao_20110822:9:8595103:8600474:1 gene:TCM_038342 transcript:EOY31405 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein phosphatase 1 isoform 1 MMEENNGIDCLENASTSEGKPPNPRTPSYMQQCPTSDGVLKPCKKSLVRHPSLQVKSKASDIAVENGHAIENHGADFIPIVRSGAWADIGFRTSMEDVYLCVDDFMHDYGLKNFADGPSAFYGVFDGHGGKHAADFACYHLPRFIVEDADFPGEIERVLASAFLQTDTAFAEACALDSALASGTTALAALILGRMLVVANAGDCRAVLCRRGKAIEMSRDHKPICNREKKRIEASGGYVCDGYLNGQLNVARALGDWHVEGMKGADGGSLSAEPELMTANLTEEDEFLIIGCDGLWDVFRSQNAVDFARRRLQEHNDPVMCSKDLVDEALKRKSGDNLAVVVVCFQSHPPPNLVAPRARVQRSFSAEGLRELQSFLDSLGN >EOY33221 pep chromosome:Theobroma_cacao_20110822:9:35965362:35969517:1 gene:TCM_041173 transcript:EOY33221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MELPNQMGSGGGKEMDQKCQIQWRRKCLMKGQRPDPKLSLEKQNADPRSRPHDEDMEVGYEQNLLSKTLEGLEKKFLDDITKLAREQNDAEDAENARHREKINTINTQYQEQLAALRTRHASHRDEFLRKESLARQQQYQQAVIDHYPRSSMGPADTMPIGNPHPYTGVAGSAAAGDARRGYNSDNFDSYRERARFLGGARDHGFEPRGSYPGGRVYDTGSRYY >EOY33220 pep chromosome:Theobroma_cacao_20110822:9:35965431:35969702:1 gene:TCM_041173 transcript:EOY33220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MRRHGQYADSGGANAYVSAQMQHMPAQRMEHKSGHFQGQLEAFTPERDQPYGTPKSDGQWRWERDGSKVSNPMAAKVFNEGQGTDGSRTYFQGQRPDPKLSLEKQNADPRSRPHDEDMEVGYEQNLLSKTLEGLEKKFLDDITKLAREQNDAEDAENARHREYQEQLAALRTRHASHRDEFLRKESLARQQQYQQAVIDHYPRSSMGPADTMPIGNPHPYTGVAGSAAAGDARRGYNSDNFDSYRERARFLGGARDHGFEPRGSYPGGRVYDTGSRYY >EOY33219 pep chromosome:Theobroma_cacao_20110822:9:35965362:35969477:1 gene:TCM_041173 transcript:EOY33219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MRRHGQYADSGGANAYVSAQMQHMPAQRMEHKSGHFQGQLEAFTPERDQPYGTPKSDGQWRWERDGSKVSNPMAAKVFNEGQGTDGSRTYFQGQRPDPKLSLEKQNADPRSRPHDEDMEVGYEQNLLSKTLEGLEKKFLDDITKLAREQNDAEDAENARHREKINTINTQYQEQLAALRTRHASHRDEFLRKESLARQQQYQQAVIDHYPRSSMGPADTMPIGNPHPYTGVAGSAAAGDARRGYNSDNFDSYRERARFLGGARDHGFEPRGSYPGGRVYDTGSRYY >EOY29150 pep chromosome:Theobroma_cacao_20110822:9:231659:234397:1 gene:TCM_036784 transcript:EOY29150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine zipper 9, putative isoform 2 MHELVEEMMKQSASELALEEFIRKTMTFSDAKPFPDFDPDTWVAHLSNSFDVFKDHLLHQEGEAETHVLLLQNLTPNQSSVTATLDSQSSICENLSSGSPLSGNNPKIRENEVRGATSGSSHEQSDDEEDIETDPGQCEQSLDPTHLKRLRRKLSNRESARRSRKRKQEHLADLELQAEQLRGENDSLYKQLTNAHQQFRDADTNNRVLKSDVEALRAKVKLEEDRLARRTLTCNLNLLQSHLTSPQPIATHNLRMVANVSPTITVHGEDSSYAGLTVSGNSALGLGNVDISNGNLNSGIGSDAVSGVSEIWR >EOY29151 pep chromosome:Theobroma_cacao_20110822:9:231774:234397:1 gene:TCM_036784 transcript:EOY29151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine zipper 9, putative isoform 2 MHELVEEMMKQSASELALEEFIRKTMTFSDAKPFPDFDPDTWVAHLSNSFDVFKDHLLHQNLTPNQSSVTATLDSQSSICENLSSGSPLSGNNPKIRENEVRGATSGSSHEQSDDEEDIETDPGQCEQSLDPTHLKRLRRKLSNRESARRSRKRKQEHLADLELQAEQLRGENDSLYKQLTNAHQQFRDADTNNRVLKSDVEALRAKVKLEEDRLARRTLTCNLNLLQSHLTSPQPIATHNLRMVANVSPTITVHGEDSSYAGLTVSGNSALGLGNVDISNGNLNSGIGSDAVSGVSEIWR >EOY29077 pep chromosome:Theobroma_cacao_20110822:9:21196:36870:1 gene:TCM_036743 transcript:EOY29077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWPNLATKELDRCFPKTGSSRGMSNPTVRSSREAPDPVVVAAVASIATVVATVAIAVVGVECQLERE >EOY31267 pep chromosome:Theobroma_cacao_20110822:9:7940033:7941785:1 gene:TCM_038239 transcript:EOY31267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlororespiratory reduction 6 MATAINPLLPLSPSLKHTIIPSSTPWISCKPISGSVAALTITRFSRQRGQVAVSVSFNPSGNFDLSLYGDEDDSPQVEPPLPPSEGRFDVVIDNDAIRRLDLSPFQIATGITSPSSAEAKEFLERTIGFTINYTRDDPHDPRELSEFPDIRLWFVRLDATYPWLPVLLDWRAGELARYAAMLVPHQMSMRMGVVFNPEALELFIMKKVFIVYAWLKQQGIPKPRLKTSDMARMLGFGIGDELFDLIDQHALDSS >EOY31408 pep chromosome:Theobroma_cacao_20110822:9:8626105:8629229:1 gene:TCM_038346 transcript:EOY31408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATVQGYSGGISIVQAFRGQFCVEGFNFRAIFSQIILVRDSKASFHSLSYNMKCMDEMGTQWDSNQVYEMSKLRVATWAKAKWPHDYGVVLNTYKCPNVRIEAAKVKIVRQVKRWSKPAYGWMKFNVDGATQGCLKEVRIQGVLRDDT >EOY34298 pep chromosome:Theobroma_cacao_20110822:9:40618171:40624177:-1 gene:TCM_042019 transcript:EOY34298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative isoform 1 MQRVNLVFLLFLVVTALGQSDFEALLELKKGIEEDPSGKVLASWDSKSLASDGCPKNWFGVICTGGHVTSITLNDLGLVGNFSFPVIVGLKMLQNLSISSNQWTGTISNIGSILSLEFLDLSSNAFHGAIPSGIVNLKNLVLLNLSLNHFEGTFPSGFSNLKRLKYLDLRSNGFSGDIMNLLSQLESVVHVDLSSNQLSGSLDLGLGSSSFVSSIQYLNISHNLLVGELFAHDGMPYFDSLEVFDAGNNQLVGTIPSFNFIVSLRILRLGNNQLSGSLPEALLQESSMILSELDLSLNQLEGPVGSITSATLKKLNISSNKLSGSLPVKIGHCAILDLSSNMLSGDLSRIQGWGNYVEIIELSSNSLTGTLPNQTSQFLRLTTFKVSDNSLQGALPAVLGTYPELKVIDLSRNHLTGALLPSFFTSTKLTDLNLSGNNFTGSIPLQKIQNIPSVSSAENLSLVTLDLSFNSLSGHLPQEIAKFHNLEFLNLSNNKFEGSIPDSLPDKLKGFNVSFNNFSGAIPDNLRRFPDSAFHPGNSFLRFGSFPLSPKGSSNLNLNERSSQMKPVTRIALIIGLVGGAAIIALVCVMIYYRTNWQETRSDHLKRNVGKETVQGEYSLPHTSAPYKSKDSSSSSFSFRQELLSSSKKDSVYDHGNRSSVLNDPKYFGHPESMRRDEELASPMSILSSSNASPSKSQFQFESPGALKVRSPDKLAGDLHLFDGSLALTAEELSRAPAEVMGRSCHGTLYKATLDSGNILAIKWLKEGIAKSKKEFAREVKKLGYIKHPNLVSLQGYYWGPKEHEKLIVSNYINAQCLAFYLQETEPRKLPPLSLDERLRVAIDVARCLNYLHNERAIPHGNLKSTNILLESPNMTARLTDYSLHRILTSAGTAEQVLNAGALGYRPPEFASSSKPCPSLKSDVYAFGVILMELLTGKSSGEIVSGSTGVVDLTDWVRYLAAENRAGECFDPMISERDNVEHTHRTLDAMLQVALRCILPAQERPDMKSVYEDLSVLVL >EOY34299 pep chromosome:Theobroma_cacao_20110822:9:40618171:40624297:-1 gene:TCM_042019 transcript:EOY34299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative isoform 1 MQRVNLVFLLFLVVTALGQSDFEALLELKKGIEEDPSGKVLASWDSKSLASDGCPKNWFGVICTGGHVTSITLNDLGLVGNFSFPVIVGLKMLQNLSISSNQWTGTISNIGSILSLEFLDLSSNAFHGAIPSGIVNLKNLVLLNLSLNHFEGTFPSGFSNLKRLKYLDLRSNGFSGDIMNLLSQLESVVHVDLSSNQLSGSLDLGLGSSSFVSSIQYLNISHNLLVGELFAHDGMPYFDSLEVFDAGNNQLVGTIPSFNFIVSLRILRLGNNQLSGSLPEALLQESSMILSELDLSLNQLEGPVGSITSATLKKLNISSNKLSGSLPVKIGHCAILDLSSNMLSGDLSRIQGWGNYVEIIELSSNSLTGTLPNQTSQFLRLTTFKVSDNSLQGALPAVLGTYPELKVIDLSRNHLTGALLPSFFTSTKLTDLNLSGNNFTGSIPLQKIQNIPSVSSAENLSLVTLDLSFNSLSGHLPQEIAKFHNLEFLNLSNNKFEGSIPDSLPDKLKGFNVSFNNFSGAIPDNLRRFPDSAFHPGNSFLRFGSFPLSPKGSSNLNLNERSSQMKPVTRIALIIGLVGGAAIIALVCVMIYYRTNWQETRSDHLKRNVGKETVQGEYSLPHTSAPYKSKDSSSSSFSFRQELLSSSKKDSVYDHGNRSSVLNDPKYFGHPESMRRDEELASPMSILSSSNASPSKSQFQFESPGALKVRSPDKLAGDLHLFDGSLALTAEELSRAPAEVMGRSCHGTLYKATLDSGNILAIKWLKEGIAKSKKEFAREVKKLGYIKHPNLVSLQGYYWGPKEHEKLIVSNYINAQCLAFYLQETEPRKLPPLSLDERLRVAIDVARCLNYLHNERAIPHGNLKSTNILLESPNMTARLTDYSLHRILTSAGTAEQVLNAGALGYRPPEFASSSKPCPSLKSDVYAFGVILMELLTGKSSGEIVSGSTGVVDLTDWVRYLAAENRAGECFDPMISERDNVEHTHRTLDAMLQVALRCILPAQERPDMKSVYEDLSVLVL >EOY34297 pep chromosome:Theobroma_cacao_20110822:9:40617762:40624286:-1 gene:TCM_042019 transcript:EOY34297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative isoform 1 MQRVNLVFLLFLVVTALGQSDFEALLELKKGIEEDPSGKVLASWDSKSLASDGCPKNWFGVICTGGHVTSITLNDLGLVGNFSFPVIVGLKMLQNLSISSNQWTGTISNIGSILSLEFLDLSSNAFHGAIPSGIVNLKNLVLLNLSLNHFEGTFPSGFSNLKRLKYLDLRSNGFSGDIMNLLSQLESVVHVDLSSNQLSGSLDLGLGSSSFVSSIQYLNISHNLLVGELFAHDGMPYFDSLEVFDAGNNQLVGTIPSFNFIVSLRILRLGNNQLSGSLPEALLQESSMILSELDLSLNQLEGPVGSITSATLKKLNISSNKLSGSLPVKIGHCAILDLSSNMLSGDLSRIQGWGNYVEIIELSSNSLTGTLPNQTSQFLRLTTFKVSDNSLQGALPAVLGTYPELKVIDLSRNHLTGALLPSFFTSTKLTDLNLSGNNFTGSIPLQKIQNIPSVSSAENLSLVTLDLSFNSLSGHLPQEIAKFHNLEFLNLSNNKFEGSIPDSLPDKLKGFNVSFNNFSGAIPDNLRRFPDSAFHPGNSFLRFGSFPLSPKGSSNLNLNERSSQMKPVTRIALIIGLVGGAAIIALVCVMIYYRTNWQETRSDHLKRNVGKETVQGEYSLPHTSAPYKSKDSSSSSFSFRQELLSSSKKDSVYDHGNRSSVLNDPKYFGHPESMRRDEELASPMSILSSSNASPSKSQFQFESPGALKVRSPDKLAGDLHLFDGSLALTAEELSRAPAEVMGRSCHGTLYKATLDSGNILAIKWLKEGIAKSKKEFAREVKKLGYIKHPNLVSLQGYYWGPKEHEKLIVSNYINAQCLAFYLQETEPRKLPPLSLDERLRVAIDVARCLNYLHNERAIPHGNLKSTNILLESPNMTARLTDYSLHRILTSAGTAEQVLNAGALGYRPPEFASSSKPCPSLKSDVYAFGVILMELLTGKSSGEIVSGSTGVVDLTDWVRYLAAENRAGECFDPMISERDNVEHTHRTLDAMLQVALRCILPAQERPDMKSVYEDLSVLVL >EOY34200 pep chromosome:Theobroma_cacao_20110822:9:40239981:40242417:-1 gene:TCM_041942 transcript:EOY34200 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT motif family protein MFGHPTFPRASPEFLSDSQTSPPDVMSQFNFPSCPLTQLTVAESFDSVTEALHSGSSSGSYSSPSSLASCCCTTQKPSSMQRSVSSHSLQKNGLHCHFASTLNEFIDSASGPVRRVFSTGDLDQGISMGQHSRRSESPLSSESNAIIEGMSRACRYSPEEKKERIERYRSKRNLRNFNKKIKYVCRKTLADSRPRIRGRFARNEEAEKNPQGEWSHMGGEEEEEDEESWINFLDSLSTNLINP >EOY34344 pep chromosome:Theobroma_cacao_20110822:9:40742760:40743334:-1 gene:TCM_042045 transcript:EOY34344 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRIP and coiled-coil domain-containing protein 1 MAKRVGTSRDMGKGRKGWTLTAAVNSVFEFFRLAEFEILFFLFFIIAFLIFKDLTSRPEYNQLLVKKPGGVDLWPY >EOY33401 pep chromosome:Theobroma_cacao_20110822:9:37330732:37332369:-1 gene:TCM_041378 transcript:EOY33401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory peroxidase, putative MNMRMSAVAAFALALGLILVNFTRQCHAALQVGYYKDKCMLKDVEGIVFSAVARRFDRDPTIAAALIRLHFHDCFVNGCDASILLDGNSSEKTAPPNRSVRGYDVIDEAKGEVEKACKGVVSCADIIAMAARDAVALSGGGRYSVETGRRDGFVSLASNVDLPSPSFSVSQSVDAFAKKGLDPTDMVLLLGGHTVGVAHCSLFQDRLYNFQNSGEPDSTMDLSLLRKLKSICRQNSPADRSVDLDQNPLSSLTMDNSFYKQIILKRGILQIDQELALDPLTNGTVASIATSNDFPAKFGQAMVKLGAVDVLTGSQGEIRKSCGVTNSYPNFLHF >EOY31752 pep chromosome:Theobroma_cacao_20110822:9:13598312:13608036:-1 gene:TCM_038871 transcript:EOY31752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 3 MIAAISPAPRTATPDPGRIRFPNPNPNPNPNPNRHSIRFSKLGLPLWKPKLNWLSYERVQPMQMAWVRSMSGGCFKSTEPPPKQGIKVEEDSGGQDVYDADAMNSRVSPEHLVIMVNGLIGSAADWRYAAEQFVKKLPDKVIVHRSECNYLKLTFDGVDLMGERLAQEVLAVVKRRPEVQKISFVAHSLGGLVARYAIGRLYEHSSKLDPLVLYGNSSTEKHTNSSLQYFEQPCEDRIAGLEPMNFITFATPHLGSRGHKQLPLLCGLPFLEKRASQTAHLVAGRSGKHLFLTDNDDGKPPLLLRMVDDSDDLKFISALRAFKRRVAYANANYDHMVGWRTSSIRRQNELPKSNLLVIDEKYPHVVYVERETTNKIQNKAFFVAGAQTSDVEEVMIQGLTQVPWERVDVSFQKSKQRYVAHNTIQVKSYWLNSDGADVVFHMIDNFLL >EOY31753 pep chromosome:Theobroma_cacao_20110822:9:13597662:13608302:-1 gene:TCM_038871 transcript:EOY31753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 3 MIAAISPAPRTATPDPGRIRFPNPNPNPNPNPNRHSIRFSKLGLPLWKPKLNWLSYERVQPMQMAWVRSMSGGCFKSTEPPPKQGIKVEEDSGGQDVYDADAMNSRVSPEHLVIMVNGLIGSAADWRYAAEQFVKKLPDKVIVHRSECNYLKLTFDGVDLMGERLAQEVLAVVKRRPEVQKISFVAHSLGGLVARYAIGRLYEHSSKLDPLVLYGNSSTEKHTNSSLQYFEQPCEDRIAGLEPMNFITFATPHLGSRGHKQLPLLCGLPFLEKRASQTAHLVAGRSGKHLFLTDNDDGKPPLLLRMVDDSDDLKFISALRAFKRRVAYANANYDHMVGWRTSSIRRQNELPKSNLLVIDEKYPHVVYVERETTNKIQNKAFFVAGAQTSDVEEVMIQGLTQVPWERVDVSFQKSKQRYVAHNTIQVKSYWLNSDGADVVFHMIDNFLL >EOY31754 pep chromosome:Theobroma_cacao_20110822:9:13599488:13607841:-1 gene:TCM_038871 transcript:EOY31754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 3 MIAAISPAPRTATPDPGRIRFPNPNPNPNPNPNRHSIRFSKLGLPLWKPKLNWLSYERVQPMQMAWVRSMSGGCFKSTEPPPKQGIKVEEDSGGQDVYDADAMNSRVSPEHLVIMVNGLIGSAADWRYAAEQFVKKLPDKVIVHRSECNYLKLTFDGVDLMGERLAQEVLAVVKRRPEVQKISFVAHSLGGLVARYAIGRLYEHSSKLDPLVLYGNSSTEKHTNSSLQYFEQPCEDRIAGLEPMNFITFATPHLGSRGHKQLPLLCGLPFLEKRASQTAHLVAGRSGKHLFLTDNDDGKPPLLLRMVDDSDDLKFISALRAFKRRVAYANANYDHMVGWRTSSIRRQNELPKSNLLVIDEKYPHVVYVERETTNKIQNKAFFVAGAQTSDVEEVMIQGLTQVPWERVDVS >EOY29569 pep chromosome:Theobroma_cacao_20110822:9:1581338:1594559:1 gene:TCM_037068 transcript:EOY29569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 protein MYTNKLTNSLALSILSNQIIVVKSFWFSVSNNKYCKKRNTPTKPFPSTARLKRFNLIRITVTSQRIKQIEFSLFNFQQTPFFQGQGFWSKDMALFRKLFYRKPPDGLLEICERVYVFDCCFTTDAWEEENYKVNITGIVSQLQDHFPDASFLVFNFREGETQSQMAEFLSKYDMTIMDYPRQYEGCPLLAMEVFHHFLRSCESWLSLGQQNLLLMHCERGGWPVLAFMLAALLLYRKQYSGEQKTLDMIYRQAPRELLQLLSPLNPTPSQLRYLQYVSRRNVASEWPPLDRALTLDCVILRYIPNFDGEGGCRPIFRIYGQDPLLVADKTPKVLYSTPKRSKFVRHYKQKECALVKIDINCHIQGDVVVECINLNDDMEREVMIFRVVFNTAFIRANILMLNRDEIDILWDAKELFPKEFRAEILFSEMDAASSIISMDFPSFEEKEGLPMEAFAKVHEIFSHVDWSDPRADVAFNMLQHIGASNIVQEKSDSDRNSSVDLSPRLRRLSSKTLQDETKLTVSPRSPRSPASIGMKILSAFSQISSLDSDTSKEAKPQDSRIEPFSQSDVMHQQNNQSTAGPLLHDSVSSVCQVSHLTAASADAVNDSPAVSHKPKAVETKSISVSPQTPLSAPVQPPSTSSATKALPHPPPPPPFPTPLSSASEPPKSSPAIEIGTYLQEGQSALAKDALVDKTPFAATTSKASSSSTSPALAIASTVPPPLTPPRKDPGIRGSPPAAPPPLPAPPSKENQTISGVHLEASPSLEENLALSARIPAAPTPPPASPLNEIAAVRDGPLAVPPPHSPPATVRAGSPAAPPPPPPPPMPPLKENLASGSAPPPPPPPPLHSRQAASPAASSSAPPPPPQPAPVLSSSSSQVPAAPPPPALFPKKTNGVSLEPQAIGRGSSAPAPPPFSPSTNSKSRLLSRTISSKSHQTKKLKPLHWLKLSRAVQGSLWAEAQKLGEASKAPEIDMSELENLFSAATPNTDRGGKSSSHTTRGPKSDKVQLIDHRRAYNCEIMLSKVKVPLADLMSSVLALEDSALDVDQVDNLIKFCPTKEEMEVLKGYTGEKEKLGKCEQFFLELMKVPRVESKLRVFSFKIQFGSQVSDLRCSLNVVNSAAEEIRNSVKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLTETRAQNNKMTLMHYLCKVLADKLPDLLDFSKDVSSLEPASKIQLKYLAEEMQAISKGLEKVVQELSSSENDGPVSENFRKTLKEFLCFAEAEVRSLASLYSGVGRNVDALILYFGEDPARCPFEQVISTLLNFVRMFNKAHEENCKQLEQEMKKLAESEKLKMNASQKESENLLQTSIASSNVK >EOY29837 pep chromosome:Theobroma_cacao_20110822:9:2489539:2500439:-1 gene:TCM_037241 transcript:EOY29837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MARFHSRFLHFFSFVFYTTLISLSSLALESDFLVIDSDSEALLFHQDYSPPAPPPPPPHAPSVSCTEDLGGVGSLDSTCKIVADVNLTRDVYIEGKGNFYILPGVRFHCPSAGCSLTLNISGNFSLGENSTIVTGTFELAAYNSSFSNGSAVNTTGWAGDPPPQTSGTPQGVEGAGGGHGGRGACCLVEDGKLPEDVWGGDAYSWSSLQEPWSYGSKGGTTSKEVDYGGGGGGRVKMEIKGLLEVNGSLLSDGGDGGSKGGGGSGGSIYIKAHKMTGSGRISACGGNGFAGGGGGRVSVDVFSRHDEPKIYVHGGISHGCPDNAGAAGTFYDAVPRSLTVNNHNMSTDTETLLLEFPYQPLWTNVYIRNHARATVPLLWSRVQVQGQISLLCSGVLSFGLAHYASSEFELLAEELLMSDSVLKVYGALRMTVKIFLMWNSEMLIDGGEDATVATSWLEASNLVVLKESSVIHSNANLGVHGQGLLNLSGPGDKIQAQRLVLSLFYSIHVGPGSVLRGPLENASSDAVTPKLYCELQDCPIELLHPPEDCNVNSSLAFTLQICRVEDITVEGLIKGSVVHFHRARTISVQSSGIISASGMGCTGGVGKGNFLDNGIGSGGGHGGKGGLGCYNGSYVEGGISYGNSELPCELGSGSGNESSSDSAAGGGVIVMGSVEHPLSSLSVEGALRADGESFEETVWQQEYSVSNDSSIAPGGGSGGTVLLFLHTLTLGESALLSSVGGYGSPKGGGGGGGGRIHFHWSDIPTGDVYQPIASVKGSIYARGGFGGGESGGGENGTVTGKACPKGLYGTFCMQCPVGTYKNVSGSDSSLCYPCPASELPHRAIYIAVRGGIAETPCPYECISDRYHMPQCYTALEELIYTFGGPWLFCLLLVGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRVEESRSHVHRMYFMGPNTFSEPWHLPHTPPEEIKEIVYEGAFNTFVDEINSIAAYQWWEGAIYTILSILVYPLAWSWQQCRRRMKLQRLREFVRSEYDHACLRSCRSRALYEGLKVSATSDLMLAYVDFFLGGDEKRTDLPPGLPQRFPMSIIFGGDGSYMAPFSLQNDNILTSLMSQLVQPTTWYRLVAGLNAQLRLVRRGRLRVTFRSVLQWLETHANPALRVHGVRIDLAWFQATPGGYRQYGLLVYSIEEENEPISLGNTDGGIRTELLSRMKTTYRQNQSGYRREDALLTQGHRSSEGFARRKRSYRGLIDTNSLQMLEEKRDMFYLLSFIVHNTKPVGHQVAHMN >EOY29836 pep chromosome:Theobroma_cacao_20110822:9:2487499:2500814:-1 gene:TCM_037241 transcript:EOY29836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MARFHSRFLHFFSFVFYTTLISLSSLALESDFLVIDSDSEALLFHQDYSPPAPPPPPPHAPSVSCTEDLGGVGSLDSTCKIVADVNLTRDVYIEGKGNFYILPGVRFHCPSAGCSLTLNISGNFSLGENSTIVTGTFELAAYNSSFSNGSAVNTTGWAGDPPPQTSGTPQGVEGAGGGHGGRGACCLVEDGKLPEDVWGGDAYSWSSLQEPWSYGSKGGTTSKEVDYGGGGGGRVKMEIKGLLEVNGSLLSDGGDGGSKGGGGSGGSIYIKAHKMTGSGRISACGGNGFAGGGGGRVSVDVFSRHDEPKIYVHGGISHGCPDNAGAAGTFYDAVPRSLTVNNHNMSTDTETLLLEFPYQPLWTNVYIRNHARATVPLLWSRVQVQGQISLLCSGVLSFGLAHYASSEFELLAEELLMSDSVLKVYGALRMTVKIFLMWNSEMLIDGGEDATVATSWLEASNLVVLKESSVIHSNANLGVHGQGLLNLSGPGDKIQAQRLVLSLFYSIHVGPGSVLRGPLENASSDAVTPKLYCELQDCPIELLHPPEDCNVNSSLAFTLQICRVEDITVEGLIKGSVVHFHRARTISVQSSGIISASGMGCTGGVGKGNFLDNGIGSGGGHGGKGGLGCYNGSYVEGGISYGNSELPCELGSGSGNESSSDSAAGGGVIVMGSVEHPLSSLSVEGALRADGESFEETVWQQEYSVSNDSSIAPGGGSGGTVLLFLHTLTLGESALLSSVGGYGSPKGGGGGGGGRIHFHWSDIPTGDVYQPIASVKGSIYARGGFGGGESGGGENGTVTGKACPKGLYGTFCMQCPVGTYKNVSGSDSSLCYPCPASELPHRAIYIAVRGGIAETPCPYECISDRYHMPQCYTALEELIYTFGGPWLFCLLLVGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRVEESRSHVHRMYFMGPNTFSEPWHLPHTPPEEIKEIVYEGAFNTFVDEINSIAAYQWWEGAIYTILSILVYPLAWSWQQCRRRMKLQRLREFVRSEYDHACLRSCRSRALYEGLKVSATSDLMLAYVDFFLGGDEKRTDLPPGLPQRFPMSIIFGGDGSYMAPFSLQNDNILTSLMSQLVQPTTWYRLVAGLNAQLRLVRRGRLRVTFRSVLQWLETHANPALRVHGVRIDLAWFQATPGGYRQYGLLVYSIEEENEPISLGNTDGGIRTELLSRMKTTYRQNQSGYRREDALLTQGHRSSEGFARRKRSYRGLIDTNSLQMLEEKRDMFYLLSFIVHNTKPVGHQDLVGIVISMLLLGDFSLVLLTFLQLYSISLVDVFLVLFILPLGIILSFPAGINALFSHGPRRSAGLARFYALWNITSLINVGVAFLCGYIHYKSQSSSSKQIPNIQPLNINMDESEWWIFPAGLVLCKLFQSQLINWHVANLEIQDRSLYSNDFELFWQS >EOY31111 pep chromosome:Theobroma_cacao_20110822:9:7089637:7090574:1 gene:TCM_038114 transcript:EOY31111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MANRLGLVTSLIVVVMALFKTTAADTYTVGDELRWTIPPGGPIAYSTWARSKNFEINDTIVFNWSDTHDVAEVSEADYDNCTKTNPIGTIQQTSPANFTLDSNRTRYFICTINTHCELGQKVTINIGEWNSASSLTVGALSLLLSTIVISLLSYQI >EOY31110 pep chromosome:Theobroma_cacao_20110822:9:7089647:7090508:1 gene:TCM_038114 transcript:EOY31110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MANRLGLVTSLIVVVMALFKTTAADTYTVGDELRWTIPPGGPIAYSTWARSKNFEINDTIVFNWSDTHDVAEVSEADYDNCTKTNPIGTIQQTSPANFTLDSNRTRYFICTINTHCELGQKVTINIGEWNSASSLTVGALSLLLSTIVISLLSYQI >EOY31085 pep chromosome:Theobroma_cacao_20110822:9:6929575:6933120:1 gene:TCM_038093 transcript:EOY31085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ein3-binding f-box protein 4 MHSSFQGLYLVCLFLAFCAVGFCVLGLEASQKSFFFEIQPCLLLLITVILFIGISGDDDFYSGGSFYTNSTDLGRLCSISSQVDVYCPPRKRARICAPFLFGETEFEQNKQPSIDVLPEECLFEIFKRLPGGRERSSCACVSKHWLMLLTSIRKSEYESSKVVKENTDLVSEDVEMISSDEDDGYLTRCLEGKKATDMRLAAVAVGTSGHGGLGKLSIRGSSSSCGVTNFGLSAIARGCPSLKALSLWNIPCVGDEGLSEIAKECHLLEKLDLCQCPLVSNKGLIAIAENCPNLTSLSIESCPKIGNEGLQAIGKLCPKLQSISIKDCPLVGDHGVSSLLASASSVLSKVKLQGLNITDFSLAVIGHYGKSVTNLMLSGLQNVSEKGFWVMGNAQGLQKLASLMITSCWGVTDVSLEAMGKGCTNLKQMCLRRCCFLSDDGLVAFAKSAGSLECLQLEECNRVTQSGIIRVLSNCGLKSLTLVKCLGIKDMSLGAPLSSTCNSLKSLSVRNCPGFGTASLAMVGKLCPQLQHVDLSGLYGITDAGLLPLLESCEAGLVKVNLSGCLNLTDEVVLALTRLHGGTLELLNLDGCRRITDASLVAVADNCVFLSDLDVSRCAITDSGVAALSHAEQLNLQVLSFSGCSGVSNKSMPFLKKLGKTLVGLNLQHCNSISSRTVELLVESLWRCDTLF >EOY31458 pep chromosome:Theobroma_cacao_20110822:9:8928907:8933487:1 gene:TCM_038389 transcript:EOY31458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Overexpressor of cationic peroxidase 3, putative MALRLLPFFSCSGRVFITQPRPFDVLLPRQSPRPSILAFSRRRSNTPSTTSSVKKKKVKKEKKGLVEEDDFDGDPFEALFSQLEEDLKNDNSDIEDDDDYDEIKEEDVDRLASELADALGNFDLEAFTSTPDDAEEEEEERPVKLKNWQLRRLAAAVKVGRRKTSIKSLATELCLDRHVVLELLREPPPELLMLSATLPDEPPKREPVLETKPVEPVALETTVDSVKHEPKEPKVKEPVHVMQHRWSAQKRLKKVQVETLEKVYRRSKRPTNAMISSIVQVTNLPRKKVVKWFEDKRNEDGIPEHHQPYQSLKQQRFCVSYCTTSNRVQKNMATLQRSAVSFRREGSSGSVWDDLYVLGEDGTVHYRDQLRPCQSTRERSSSTPVPNACPRSMSTPAMDPSSLIKVFGKLSREDKPFPEVPKLPQSKSKKHKA >EOY33329 pep chromosome:Theobroma_cacao_20110822:9:36751303:36758517:-1 gene:TCM_041288 transcript:EOY33329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MSPPCDLCFLSFLLCTFLIISSALHLPLAQLQKNPSPDPYQTLNRLASSSLKRAHHLKNPQPTATKGGASPTTTTTPLFSHSYGGYTISLSFGTPPQTLPFVMDTGSDFVWFPCTHHYLCKNCSFSSSNIPSFIPKQSSSSKILGCQNPKCSWIHHTNATQCDECGNNSTPQNCSQICPPYFIFYGLGTTAGFALSETLNLGDRIEPDFLVGCSLLSSHQPAGVAGFGRGLPSLPTQLKLDKFSYCLISHRFDDSTSSSPLILDSNSDFDKKKIGLTYTPFLKNPIVQGKEAFKVYYYLGLRKISVGGRHVKVPYKYLSPGNDGNGGSIVDSGTTFTFMAREVFEPVAEEFVKQVKKYSRARDVEDLTGLRPCFHVKGREKVELPELRLHFKGGAEIALPPNNYFVLVDGGAACLTVVTGGGVGGGEGEVGQSGPAVILGNFQMQNYYVEYDLRNERLGLRPQLCI >EOY32808 pep chromosome:Theobroma_cacao_20110822:9:33778795:33785045:-1 gene:TCM_040834 transcript:EOY32808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indigoidine synthase A family protein isoform 1 MASSSSSSSASSSALKRLSNLSNHLRPTNSNALVKVSAEVSEALSSGHPVVALESTIISHGMPYPQNFETAKEVEAIVRDNGAVPATIAILDGIPCAGLNLEQLERLAQLGKSAQKTARRDIAHVVATKRNGATTVSATMFFAAMVGIPVFVTGGIGGVHRHGEHTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVGAYKTNEFPAFFTETSGCKVPCRVDSPEDCARLIDANRKLNLGNGVLIAVPIPKEHSASGSLIESAIQRALTEAREKNVTGNAETPFLLARVSELTGGASLASNIALVKNNAAVGAKISVALAQLT >EOY32807 pep chromosome:Theobroma_cacao_20110822:9:33778114:33784890:-1 gene:TCM_040834 transcript:EOY32807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indigoidine synthase A family protein isoform 1 MASSSSSSSASSSALKRLSNLSNHLRPTNSNALVKVSAEVSEALSSGHPVVALESTIISHGMPYPQNFETAKEVEAIVRDNGAVPATIAILDGIPCAGLNLEQLERLAQLGKSAQKTARRDIAHVVATKRNGATTVSATMFFAAMVGIPVFVTGGIGGVHRHGEHTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVGAYKTNEFPAFFTETSGCKVPCRVDSPEDCARLIDANRKLNLGNGVLIAVPIPKEHSASGSLIESAIQRALTEAREKNVTGNAETPFLLARVSELTGGASLASNIALVKNNAAVGAKISVALAQLT >EOY32809 pep chromosome:Theobroma_cacao_20110822:9:33779403:33785066:-1 gene:TCM_040834 transcript:EOY32809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indigoidine synthase A family protein isoform 1 MASSSSSSSASSSALKRLSNLSNHLRPTNSNALVKVSAEVSEALSSGHPVVALESTIISHGMPYPQNFETAKEVEAIVRDNGAVPATIAILDGIPCAGLNLEQLERLAQLGKSAQKTARRDIAHVVATKRNGATTVSATMFFAAMVGIPVFVTGGIGGVHRHGEHTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVGAYKTNEFPAFFTETSGCKVPCRVDSPEDCARLIDANRKLNLGNGVLKLTGGASLASSILKSLIILYFVLYRVDKFFVSSGIAHTSKLIYSNLNSLCVQINYYC >EOY31140 pep chromosome:Theobroma_cacao_20110822:9:7192088:7203013:-1 gene:TCM_038132 transcript:EOY31140 gene_biotype:protein_coding transcript_biotype:protein_coding description:RabGAP/TBC domain-containing protein isoform 1 MQEPELHDLSDDADYAASQQQGSASMMRCDRGKRSSSSEPEGAEIVYLKDNVTIHPTQFASERISGRLKLIKQGSSLFMTWIPYKGQSTNARLSEKDRNLYTISPVPFADVRSIRRHTPALGWQYIIVVLSSGLAFPPLYFYNGGVREFLATVKQHVFLVRSAEDANVFLANDFENPLRRTLSSLELPRAVSIASVPSTPVSVRESTSRENEERTDVGVRDENASTSRYNGRQRQKVHDPARDLSIHVLEKFSLVTKFARETTSQLFWETHSNGFDTFERTNTNQSVLDYNHKVDEDEELPVKSPVAPDPLEFDKLSLVWGKPRQPPLGLEEWATFLDSEGRVVDSKALRKRIFYGGIEHKLRREVWAILLGYHTYESTYAERKYLRSIKKTEYETIKNQWQSISPEQAKRFTKFRERKGLIEKDVVRTDRSLFFYEGDDNPNVNLLRDILLTYSFYNFDLGYCQGMSDLLSPILFVMEEESESFWCFVALMERLGPNFNRDQNGMHTQLFALSKLVELLDIPLHNYFKQNDCLNYFFCFRWILIQFKREFEYEKTMRLWEVLWTHYLSEHLHLYVCVAVLKRYRGKIMGEQMDFDTLLKFINELSGQIDLDATLRDAEALCICAGENGAACIPPGTPPSLPVDNGLFYSQQDEVL >EOY31139 pep chromosome:Theobroma_cacao_20110822:9:7191633:7202989:-1 gene:TCM_038132 transcript:EOY31139 gene_biotype:protein_coding transcript_biotype:protein_coding description:RabGAP/TBC domain-containing protein isoform 1 MQEPELHDLSDDADYAASQQQGSASMMRCDRGKRSSSSEPEGAEIVYLKDNVTIHPTQFASERISGRLKLIKQGSSLFMTWIPYKGQSTNARLSEKDRNLYTISPVPFADVRSIRRHTPALGWQYIIVVLSSGLAFPPLYFYNGGVREFLATVKQHVFLVRSAEDANVFLANDFENPLRRTLSSLELPRAVSIASVPSTPVSVRESTSRENEERTDVGVRDENASTSRYNGRQRQKVHDPARDLSIHVLEKFSLVTKFARETTSQLFWETHSNGFDTFERTNTNQSVLDYNHKVDEDEELPVKSPVAPDPLELERFQVLCQDHPMPTSTLVKQKAPYRKHNHDDEAATNVGTFELIDFKEFDKLSLVWGKPRQPPLGLEEWATFLDSEGRVVDSKALRKRIFYGGIEHKLRREVWAILLGYHTYESTYAERKYLRSIKKTEYETIKNQWQCITCFFRGMGGGEGGAKIVVLLGGFFHKPFVLRLLVAFESISPEQAKRFTKFRERKGLIEKDVVRTDRSLFFYEGDDNPNVNLLRDILLTYSFYNFDLGYCQGMSDLLSPILFVMEEESESFWCFVALMERLGPNFNRDQNGMHTQLFALSKLVELLDIPLHNYFKQNDCLNYFFCFRWILIQFKREFEYEKTMRLWEVLWTHYLSEHLHLYVCVAVLKRYRGKIMGEQMDFDTLLKFINELSGQIDLDATLRDAEALCICAGENGAACIPPGTPPSLPVDNGLFYSQQDEVL >EOY31439 pep chromosome:Theobroma_cacao_20110822:9:8841841:8850453:1 gene:TCM_038375 transcript:EOY31439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP19-2 isoform 1 MIIGYAGLSSDSGENMAKKKNPLVFMDVSVDGDPVERMIFELFPDIAPKTAENFRALCTGEKGIGPKTGKPLHYKGSFFHRVIKGSMAQGGDFVRRDGTSGESIYDGKFPDESPRLKHDGPGLLSMAIADRDTVGSQFIITFKANHNLDRKYVVFGKLVQGHEVLKKIENVGDEEGILTVTVKIINCGEVGEEKKKSKLKTGKDASSDANSHEVRRKGKHKKSSRDKRKKRRRYYSSDSESSSDSETESSESESDSDSYLSSSTDISSSSDDRHKKRKRSSKREKYRRGKRRDKRRDKKRKRRDKRSKRRSRRASDSLTDDDSDSKGESSTDNDVDVQGKAQKHKELSQKSVGSQSPSPVEKEIRLRKREDANLLLKEHEAPKENGGRRSNGIEEDAQSDRSADRQPDVVDDRPSKSRSRSMSPKRAMSKSMSISPRRSPSRSPSLSPRRSLSRGQGVSRSPPRCPERSVSRSRSPVRSRSRSPARSVSRSPLRGRKSTSFSRSPVRAHSRKSISRSPVRSRSRRSLSRSPPRSTRKSISRSPVRLSKRSISRSPARSSRRSISRSPVRSPRRSVSRSPVRSSRRSISRSSGRAPPRRSISRSPLREPSKNYRRSYSRSPARAPPKRSISRSPLREPSRNYRRSYSRSPNPARRVRSPPSNRGRSLSRSISPDASPKRIRRGRGFSERYSYARRYRTPSPDRSSVRSYRYGGRIDRERYSSYRRYSPRRYRSPPRGRTPPRYRGRRSRTRSPSISRSPRYRNRHYSRSHSHSPSRSRTPIRSRSPVDVSRSHSSPKAGRRRSPSQSRSQSESRSSLDSQSPKQASKAGSRSRSRSSSGSPDGKKGLVSYDDGSPDSGR >EOY31438 pep chromosome:Theobroma_cacao_20110822:9:8841842:8850453:1 gene:TCM_038375 transcript:EOY31438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP19-2 isoform 1 MAKKKNPLVFMDVSVDGDPVERMIFELFPDIAPKTAENFRALCTGEKGIGPKTGKPLHYKGSFFHRVIKGSMAQGGDFVRRDGTSGESIYDGKFPDESPRLKHDGPGLLSMAIADRDTVGSQFIITFKANHNLDRKYVVFGKLVQGHEVLKKIENVGDEEGILTVTVKIINCGEVGEEKKKSKLKTGKDASSDANSHEVRRKGKHKKSSRDKRKKRRRYYSSDSESSSDSETESSESESDSDSYLSSSTDISSSSDDRHKKRKRSSKREKYRRGKRRDKRRDKKRKRRDKRSKRRSRRASDSLTDDDSDSKGESSTDNDVDVQGKAQKHKELSQKSVGSQSPSPVEKEIRLRKREDANLLLKEHEAPKENGGRRSNGIEEDAQSDRSADRQPDVVDDRPSKSRSRSMSPKRAMSKSMSISPRRSPSRSPSLSPRRSLSRGQGVSRSPPRCPERSVSRSRSPVRSRSRSPARSVSRSPLRGRKSTSFSRSPVRAHSRKSISRSPVRSRSRRSLSRSPPRSTRKSISRSPVRLSKRSISRSPARSSRRSISRSPVRSPRRSVSRSPVRSSRRSISRSSGRAPPRRSISRSPLREPSKNYRRSYSRSPARAPPKRSISRSPLREPSRNYRRSYSRSPNPARRVRSPPSNRGRSLSRSISPDASPKRIRRGRGFSERYSYARRYRTPSPDRSSVRSYRYGGRIDRERYSSYRRYSPRRYRSPPRGRTPPRYRGRRSRTRSPSISRSPRYRNRHYSRSHSHSPSRSRTPIRSRSPVDVSRSHSSPKAGRRRSPSQSRSQSESRSSLDSQSPKQASKAGSRSRSRSSSGSPDGKKGLVSYDDGSPDSGR >EOY31437 pep chromosome:Theobroma_cacao_20110822:9:8842128:8849791:1 gene:TCM_038375 transcript:EOY31437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP19-2 isoform 1 MAIADRDTVGSQFIITFKANHNLDRKYVVFGKLVQGHEVLKKIENVGDEEGILTVTVKIINCGEVGEEKKKSKLKTGKDASSDANSHEVRRKGKHKKSSRDKRKKRRRYYSSDSESSSDSETESSESESDSDSYLSSSTDISSSSDDRHKKRKRSSKREKYRRGKRRDKRRDKKRKRRDKRSKRRSRRASDSLTDDDSDSKGESSTDNDVDVQGKAQKHKELSQKSVGSQSPSPVEKEIRLRKREDANLLLKEHEAPKENGGRRSNGIEEDAQSDRSADRQPDVVDDRPSKSRSRSMSPKRAMSKSMSISPRRSPSRSPSLSPRRSLSRGQGVSRSPPRCPERSVSRSRSPVRSRSRSPARSVSRSPLRGRKSTSFSRSPVRAHSRKSISRSPVRSRSRRSLSRSPPRSTRKSISRSPVRLSKRSISRSPARSSRRSISRSPVRSPRRSVSRSPVRSSRRSISRSSGRAPPRRSISRSPLREPSKNYRRSYSRSPARAPPKRSISRSPLREPSRNYRRSYSRSPNPARRVRSPPSNRGRSLSRSISPDASPKRIRRGRGFSERYSYARRYRTPSPDRSSVRSYRYGGRIDRERYSSYRRYSPRRYRSPPRGRTPPRYRGRRSRTRSPSISRSPRYRNRHYSRSHSHSPSRSRTPIRSRSPVDVSRSHSSPKAGRRRSPSQSRSQSESRSSLDSQSPKQASKAGSRSRSRSSSGSPDGKKGLVSYDDGSPDSGR >EOY31440 pep chromosome:Theobroma_cacao_20110822:9:8845973:8850224:1 gene:TCM_038375 transcript:EOY31440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP19-2 isoform 1 KRSSKREKYRRGKRRDKRRDKKRKRRDKRSKRRSRRASDSLTDDDSDSKGESSTDNDVDVQGKAQKHKELSQKSVGSQSPSPVEKEIRLRKREDANLLLKEHEAPKENGGRRSNGIEEDAQSDRSADRQPDVVDDRPSKSRSRSMSPKRAMSKSMSISPRRSPSRSPSLSPRRSLSRGQGVSRSPPRCPERSVSRSRSPVRSRSRSPARSVSRSPLRGRKSTSFSRSPVRAHSRKSISRSPVRSRSRRSLSRSPPRSTRKSISRSPVRLSKRSISRSPARSSRRSISRSPVRSPRRSVSRSPVRSSRRSISRSSGRAPPRRSISRSPLREPSKNYRRSYSRSPARAPPKRSISRSPLREPSRNYRRSYSRSPNPARRVRSPPSNRGRSLSRSISPDASPKRIRRGRGFSERYSYARRYRTPSPDRSSVRSYRYGGRIDRERYSSYRRYSPRRYRSPPRGRTPPRGRRSRTRSPSISRSPRYRNRHYSRSHSHSPSRSRTPIRSRSPVDVSRSHSSPKAGRRRSPSQSRSQSESRSSLDSQSPKQASKAGSRSRSRSSSGSPDGKKGLVSYDDGSPDSGR >EOY31436 pep chromosome:Theobroma_cacao_20110822:9:8841841:8850453:1 gene:TCM_038375 transcript:EOY31436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP19-2 isoform 1 MAKKKNPLVFMDVSVDGDPVERMIFELFPDIAPKTAENFRALCTGEKGIGPKTGKPLHYKGSFFHRVIKGSMAQGGDFVRRDGTSGESIYDGKFPDESPRLKHDGPGLLSMAIADRDTVGSQFIITFKANHNLDRKYVVFGKLVQGHEVLKKIENVGDEEGILTVTVKIINCGEVGEEKKKSKLKTGKDASSDANSHEVRRKGKHKKSSRDKRKKRRRYYSSDSESSSDSETESSESESDSDSYLSSSTDISSSSDDRHKKRKRSSKREKYRRGKRRDKRRDKKRKRRDKRSKRRSRRASDSLTDDDSDSKGESSTDNDVDVQGKAQKHKELSQKSVGSQSPSPVEKEIRLRKREDANLLLKEHEAPKENGGRRSNGIEEDAQSDRSADRQPDVVDDRPSKSRSRSMSPKRAMSKSMSISPRRSPSRSPSLSPRRSLSRGQGVSRSPPRCPERSVSRSRSPVRSRSRSPARSVSRSPLRGRKSTSFSRSPVRAHSRKSISRSPVRSRSRRSLSRSPPRSTRKSISRSPVRLSKRSISRSPARSSRRSISRSPVRSPRRSVSRSPVRSSRRSISRSSGRAPPRRSISRSPLREPSKNYRRSYSRSPARAPPKRSISRSPLREPSRNYRRSYSRSPNPARRVRSPPSNRGRSLSRSISPDASPKRIRRGRGFSERYSYARRYRTPSPDRSSVRSYRYGGRIDRERYSSYRRYSPRRYRSPPRGRTPPRYRGRRSRTRSPSISRSPRYRNRHYSRSHSHSPSRSRTPIRSRSPVDVSRSHSSPKAGRRRSPSQSRSQSESRSSLDSQSPKQASKAGSRSRSRSSSGSPDGKKGLVSYDDGSPDSGR >EOY31704 pep chromosome:Theobroma_cacao_20110822:9:12506360:12516732:1 gene:TCM_038768 transcript:EOY31704 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 3 MKARTLTNAKGPSKVNSQQVVFELKSKVNLALNKLADRDTYQIGVDELEKTAECLTPDKISPFLSCILDTDSEHKSAVRKESIKLMATLARFHQGLIGPYLSKMVASIVKRLKDPDSVVRDACQETFGVLASKLSNQELDNNGVFVALVKPLFEALGEQNKQVQSGAALCLVRVIDKTHNPPASILQRMLTRTTKLLKNPHLMAKSSVIELNRSIIQAGGATTQSLLTAAIASIQEGLKNSDWTTRKAASMALGEIASSGASFLGTFRASCIRSLESCRFDKVKPVRDTVLHALHYWRSVPGPDTSKPSEAGSCIKENFCGGDYSDITSKSDSGWKDVSLKKVTANSAIRRIPLSVRKTSQNYVQSPQHCKEDDWHIEIAVSENHNVSLSDLHNEESEGSTVTKTLERMTTDTTSTQDIGYEFVPMDDKQECSSMSNLLRDNFGPKFVNFSHDHTGEGHLLNSLGRNQRFAAEENSNEDEEVSSTKIRDRRSLDSTVTESSPQTVSGCCSQMANEIVGIQKQLLEIENKQSNLMDLLQVFSTGIMDSLSMLQSKVLSLEHVVDRMVQDLMQGVKHPDLVSSRVKKHSQGVSSPRLSLCTPRPSAEIGNRQPSLLSVKNSDVWEEKALGGNRSANYVKQGMEMWSNNTVKICRNPTGKDVHKSSGQGAQVIGQIRKNEAASASASVPSVSGRQNYPDSNNGLWQHVKSLLCEGDLDSAYAEALSSGNELVLVELLDRTGPVLESLSHKTVCDILSTLASFLLEQRFMNCIIPWLQQGKINGHSYQMVERKVVRRFGWQNTYMLSLCLYRGPS >EOY31703 pep chromosome:Theobroma_cacao_20110822:9:12506375:12516980:1 gene:TCM_038768 transcript:EOY31703 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 3 MKARTLTNAKGPSKVNSQQVVFELKSKVNLALNKLADRDTYQIGVDELEKTAECLTPDKISPFLSCILDTDSEHKSAVRKESIKLMATLARFHQGLIGPYLSKMVASIVKRLKDPDSVVRDACQETFGVLASKLSNQELDNNGVFVALVKPLFEALGEQNKQVQSGAALCLVRVIDKTHNPPASILQRMLTRTTKLLKNPHLMAKSSVIELNRSIIQAGGATTQSLLTAAIASIQEGLKNSDWTTRKAASMALGEIASSGASFLGTFRASCIRSLESCRFDKVKPVRDTVLHALHYWRSVPGPDTSKPSEAGSCIKENFCGGDYSDITSKSDSGWKDVSLKKVTANSAIRRIPLSVRKTSQNYVQSPQHCKEDDWHIEIAVSENHNVSLSDLHNEESEGSTVTKTLERMTTDTTSTQDIGYEFVPMDDKQECSSMSNLLRDNFGPKFVNFSHDHTGEGHLLNSLGRNQRFAAEENSNEDEEVSSTKIRDRRSLDSTVTESSPQTVSGCCSQMANEIVGIQKQLLEIENKQSNLMDLLQVVDLNTIHGPNHFILSAKARRELLYAIQEAMNMEFSNPAERRSVTQLGMRLRQVWGK >EOY31702 pep chromosome:Theobroma_cacao_20110822:9:12503612:12516839:1 gene:TCM_038768 transcript:EOY31702 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative isoform 3 MKARTLTNAKGPSKVNSQQVVFELKSKVNLALNKLADRDTYQIGVDELEKTAECLTPDKISPFLSCILDTDSEHKSAVRKESIKLMATLARFHQGLIGPYLSKMVASIVKRLKDPDSVVRDACQETFGVLASKLSNQELDNNGVFVALVKPLFEALGEQNKQVQSGAALCLVRVIDKTHNPPASILQRMLTRTTKLLKNPHLMAKSSVIELNRSIIQAGGATTQSLLTAAIASIQEGLKNSDWTTRKAASMALGEIASSGASFLGTFRASCIRSLESCRFDKVKPVRDTVLHALHYWRSVPGPDTSKPSEAGSCIKENFCGGDYSDITSKSDSGWKDVSLKKVTANSAIRRIPLSVRKTSQNYVQSPQHCKEDDWHIEIAVSENHNVSLSDLHNEESEGSTVTKTLERMTTDTTSTQDIGYEFVPMDDKQECSSMSNLLRDNFGPKFVNFSHDHTGEGHLLNSLGRNQRFAAEENSNEDEEVSSTKIRDRRSLDSTVTESSPQTVSGCCSQMANEIVGIQKQLLEIENKQSNLMDLLQVFSTGIMDSLSMLQSKVLSLEHVVDRMVQDLMQGVKHPDLVSSRVKKHSQGVSSPRLSLCTPRPSAEIGNRQPSLLSVKNSDVWEEKALGGNRSANYVKQGMEMWSNNTVKICRNPTGKDVHKSSGQGAQVIGQIRKNEAASASASVPSVSGRQNYPDSNNGLWQHVKSLLCEGDLDSAYAEALSSGNELVLVELLDRTGPVLESLSHKTVCDILSTLASFLLEQRFMNCIIPWLQQVVDLNTIHGPNHFILSAKARRELLYAIQEAMNMEFSNPAERRSVTQLGMRLRQVWGK >EOY29106 pep chromosome:Theobroma_cacao_20110822:9:141504:150487:1 gene:TCM_036760 transcript:EOY29106 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHK1 binding protein 1 isoform 2 MPLGERGGWDKSESRYCGVETEFEDDVPRLLSSNISSGGFDFVVAPLMDPTYRPSLLEVDIDSFHVLPFAGSDLVLTPAQWSSHVVGKISSWIDLDSEDEILQRDSETTLKQEIAWAAHLSLQACLLPSPKGASCANYARCVNQIVQGLSNMQLWLRIPLLKSDDDSLDVDSDHWMDSWELWNSFRLICEHHSQLCLVLDILSTLPSANSIGRWFGEPVRAAIIHTDSFLKNARGYPCLSKRHQKLITGFFNHSVQMVISGKPVHGIPGATTGAAANHIDNNVNSMQRHPLRSYLDYVGYLYQRMEPLSEQDRIELGYRDFLQAPLQPLMDNLEAQTYETFEKDSVKYIQYQRAICKALLDRVPDGEASTLTTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVVTLHSLVKLEGWENVVTIVSCDMRLWNAPEKADILVSELLGSFGDNELSPECLDGAQRFLKQDGISIPSSYTSFIQPITASKLYNDVKSHKDIVHFETAYVVKMHSIAKLAPSKPVFTFNHPDYSTKKSNQRYKKLQFVIPRDTGSAIVHGFAGYFDATLYKDVHLGIEPSMATPNMFSWFAIFFPLRKPICAQPGSPLEVHFWRCCGSTKVWYEWCVTSPCPSPIHNSNGRSYWVGL >EOY29107 pep chromosome:Theobroma_cacao_20110822:9:141686:149562:1 gene:TCM_036760 transcript:EOY29107 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHK1 binding protein 1 isoform 2 MDPTYRPSLLEVDIDSFHVLPFAGSDLVLTPAQWSSHVVGKISSWIDLDSEDEILQRDSETTLKQEIAWAAHLSLQACLLPSPKGASCANYARCVNQIVQGLSNMQLWLRIPLLKSDDDSLDVDSDHWMDSWELWNSFRLICEHHSQLCLVLDILSTLPSANSIGRWFGEPVRAAIIHTDSFLKNARGYPCLSKRHQKLITGFFNHSVQMVISGKPVHGIPGATTGAAANHIDNNVNSMQRHPLRSYLDYVGYLYQRMEPLSEQDRIELGYRDFLQAPLQPLMDNLEAQTYETFEKDSVKYIQYQRAICKALLDRVPDGEASTLTTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVVTLHSLVKLEGWENVVTIVSCDMRLWNAPEKADILVSELLGSFGDNELSPECLDGAQRFLKQDGISIPSSYTSFIQPITASKLYNDVKSHKDIVHFETAYVVKMHSIAKLAPSKPVFTFNHPDYSTKKSNQRYKKLQFVIPRDTGSAIVHGFAGYFDATLYKDVHLGIEPSMATPNMFSWYAILFFLNSCVKGS >EOY33142 pep chromosome:Theobroma_cacao_20110822:9:35629120:35633245:1 gene:TCM_041125 transcript:EOY33142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKINFNKSYLLRVGVVENQLRKWAGKIACKLDSLPTTYLGMPLEARHNSIAVWRPVIDKFKSRLAGWKTKLLFLGGRITLLRFGQEKESLWPKVWVEKEDGDHLSLIPRGKSSRNASILWKNIISPLSSTNIFFLQVNSNIGMVIGNGCNVLFWTDEWVEGGVLKNMFLIIYALAENKEGRVVEFGEWAGNEWRWDVFLSGSVKTVEHLFMTCFKVWEVWTKWCNYWNYTWITPNNIKVTLEAWNEAYVRNSDMRIWQMGFFTISWTIWLSRNELTFKGKSWDPEQIFDLVKLRVASWAAAKWPEEHPNVLSLFCQPKVQVTKKDKKKTRVSIEWKKPEHGWMKFNVDGAARGSLGEASIGGVLRNCQGEIKVIFSKLIGVSDANTAEFLAIREAFLIFSATEWRKQISLVVESDSVNAVNWTNQPQTAPWN >EOY30094 pep chromosome:Theobroma_cacao_20110822:9:3337145:3340497:1 gene:TCM_037418 transcript:EOY30094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein MAIQYAIRQLWLNKSGKTQRLRSNHHQMFGLLPVRRNLYQEPLFGWFQNRTMTSSRRVQDRSKNKRIHHLEIVKEKWKISSKVLFLMEVLKKEHEMIIPVRSLDQYRKQINLPKPHKITDFIRKCPKLFELYKDQRGTLWCGMTKEAEDLLEEEERLIEEQSTKAVEFVTKILMMSVDKRIQLDKIAHFRRDFGLPIEFRAKWVNQYPQHFRVVKSKDGVEFLELVNWNPAWAITELEKKALGLNEGIGREPGLLSLPFPLKFPPNYKKLYRHGGKIAHFQKRSYLSPYADARELKAGSLEFDKRAIAVMHELLSFTIEKRLVTDHLTHFRRELVMPQKLMRLLLKHFGIFYVSERGKRFSVFLTEAYEGSELIEKCPLVLWKEKVLSLVGYRGKKKEIPTFSDLSDMDEKDLIEVDTENDSICAEFEEEETMGGLEAVSLASNDELEMAHVRSAYKDIDKT >EOY29706 pep chromosome:Theobroma_cacao_20110822:9:2108386:2118507:1 gene:TCM_037163 transcript:EOY29706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryonic flower 1, putative isoform 2 MERTIAVEDIHQSSSSNIVAKSVESPIKIDSISIDLINANDDIDTGKCEHFSIRGYASEMRKKDWKKCWPFALDGGQKISEEQNCKLPPLLVPKFRWWCCQSCLQEIGAEGSVNEEGAVANNSSELKSFGICPHVSSHGDAAMWLSDLQQAAKINAESRQHDANACVNVKTSDCHPLFSDKSEKNAEIANLPIIGQTDVLENNINKEIHISNYAGIEVIASLMQQTLHIDDKVASMQPQKPDLKDNEIAGVKLPDSKLKCTVKDASETHQTGKHASVFDQQMDLVKVCGSFGITSMVNRVPAATKTHRAEHPSLELDDCDYASSESAEVLLGTTSGSLHRRKNRKVRLLTELLGKNGDEKTDFTSTEDSPSNAIPDASIAVDSISAPQGQVSLQGNVTSSLGHSRKRKLPQDEEWRPAEMSSPNNEHKNLRTFNRGAETANGIASSDSEGTVNGSGSQTGAKSHLVNLKVDRSPIFGKKKNKMTQNFDECLSLSLSRENLQKERQKKTGDASKSNATDIVLYKSNAVSTGSGLDPFPESAQKAEKKSSLSKKKIKMHQDHDGHASPIPWNHGMFREGLTSRKDVEIKQIGSIAVPLKVNQDASAEKGLHYSLNNCLPAKRYDGKYIAPIRDGLPSLLPWQGGVLREDENGRKDPKLDYVGDSSFPSKSELDAYLWKEMHVDLNSNRTMYRIPFLNEKQKHSSHAEVRSCSLIQQMDYSGTRNNGKTVELQEHSAAARKQYDQRAEMSSEQGALDDIPMEIVELMAKNQFERCRPDTEIDNQLSETTNNTRNHQRVDLNKVFGNEKMSLFHETTQKPKPRVKNGRIGKILRGDNVGSSRQKSVDYFSHMDRNQYNMSQMEHNYSPAGFRPFPLCGEKPLNGIQFSATNSSRQNSAQNCQWIGNMVGQRSSHASMQTLGVCNTCQSAPQQNKEVAHLWPSVITNNMPYLYGIPQKCANQVANVDVLSHCPGSLPKGNINGNDDRNFLNLASNFEKHSRKFDPEALRRTHADYPFACKHNGMGSLDLYSNETIPAMHLLSLMDARLPSGAPVDVDGNQRCVTKTSFLHGHHSKEFAGLPSGGHRTNSMKHPSYDCNGKNHQPESFCECMSATPTVGPSTSFQHDRRFKKAMDFTGQFSLKSREKEKKKCSDSQRQNRNRRSQKPASLSSGLNITCGSIPVHSMPKMVHDTSDFMMLPVQFHAMESATKHKQEAHTMSATLFHPKSGSENEICSINRNPADFTVPEAGNMYMIGGEDLKFGREAPSSGLIKLIGHKRQRKLTGRKEQSRNRTS >EOY29705 pep chromosome:Theobroma_cacao_20110822:9:2108386:2118507:1 gene:TCM_037163 transcript:EOY29705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryonic flower 1, putative isoform 2 MERTIAVEDIHQSSSSNIVAKSVESPIKIDSISIDLINANDDIDTGKCEHFSIRGYASEMRKKDWKKCWPFALDGGQKISEEQNCKLPPLLVPKFRWWCCQSCLQEIGAEGSVNEEGAVANNSSELKSFGICPHVSSHGDAAMWLSDLQQAAKINAESRQHDANACVNVKTSDCHPLFSDKSEKNAEIANLPIIGQTDVLENNINKEIHISNYAGIEVIASLMQQTLHIDDKVASMQPQKPDLKDNEIAGVKLPDSKLKCTVKDASETHQTGKHASVFDQQMDLVKVCGSFGITSMVNRVPAATKTHRAEHPSLELDDCDYASSESAEVLLGTTSGSLHRRKNRKVRLLTELLGKNGDEKTDFTSTEDSPSNAIPDASIAVDSISAPQGQVSLQGNVTSSLGHSRKRKLPQDEEWRPAEMSSPNNEHKNLRTFNRGAETANGIASSDSEGTVNGSGSQTGAKSHLVNLKVDRSPIFGKKKNKMTQNFDECLSLSLSRENLQKERQKKTGDASKSNATDIVLYKSNAVSTGSGLDPFPESAQKAEKKSSLSKKKIKMHQDHDGHASPIPWNHGMFREGLTSRKDVEIKQIGSIAVPLKVNQDASAEKGLHYSLNNCLPAKRYDGKYIAPIRDGLPSLLPWQGGVLREDENGRKDPKLDYVGDSSFPSKSELDAYLWKEMHVDLNSNRTMYRIPFLNEKQKHSSHAEVRSCSLIQQMDYSGTRNNGKTVELQEHSAAARKQYDQRAEMSSEQGALDDIPMEIVELMAKNQFERCRPDTEIDNQLSETTNNTRNHQRVDLNKVFGNEKMSLFHETTQKPKPRVKNGRIGKILRGDNVGSSRQKSVDYFSHMDRNQYNMSQMEHNYSPAGFRPFPLCGEKPLNGIQFSATNSSRQNSAQNCQWIGNMVGQRSSHASMQTLGVCNTCQSAPQQNKEVAHLWPSVITNNMPYLYGIPQKCANQVANVDVLSHCPGSLPKGNINGNDDRNFLNLASNFEKHSRKFDPEALRRTHADYPFACKHNGMGSLDLYSNETIPAMHLLSLMDARLPSGAPVDVDGNQRCVTKTSFLHGHHSKEFAGLPSGGHRTNSMKHPSYDCNGKNHQPESFCECMSATPTVGPSTSFQHDRRFKKAMDFTGQFSLKSREKEKKKCSDSQRQNRNRRSQKPASLSSGLNITCGSIPVHSMPKMVHDTSDFMMLPVQFHAMESATKHKQEAHTMSATLFHPKSGSENEICSINRNPADFTVPEAGNIAITKSNLMKLLSLYMLQAKSEAPSLLLQKKKLKSFMKCQTSNQSHKLIFHSRTNIVLFAPAFFHFQENMKNIARCKVRPVNICLCLDPAPPPLCTN >EOY29707 pep chromosome:Theobroma_cacao_20110822:9:2111721:2117442:1 gene:TCM_037163 transcript:EOY29707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryonic flower 1, putative isoform 2 MERTIAVEDIHQSSSSNIVAKSVESPIKIDSISIDLINANDDIDTGKCEHFSIRGYASEMRKKDWKKCWPFALDGGQKISEEQNCKLPPLLVPKFRWWCCQSCLQEIGAEGSVNEEGAVANNSSELKSFGICPHVSSHGDAAMWLSDLQQAAKINAESRQHDANACVNVKTSDCHPLFSDKSEKNAEIANLPIIGQTDVLENNINKEIHISNYAGIEVIASLMQQTLHIDDKVASMQPQKPDLKDNEIAGVKLPDSKLKCTVKDASETHQTGKHASVFDQQMDLVKVCGSFGITSMVNRVPAATKTHRAEHPSLELDDCDYASSESAEVLLGTTSGSLHRRKNRKVRLLTELLGKNGDEKTDFTSTEDSPSNAIPDASIAVDSISAPQGQVSLQGNVTSSLGHSRKRKLPQDEEWRPAEMSSPNNEHKNLRTFNRGAETANGIASSDSEGTVNGSGSQTGAKSHLVNLKVDRSPIFGKKKNKMTQNFDECLSLSLSRENLQKERQKKTGDASKSNATDIVLYKSNAVSTGSGLDPFPESAQKAEKKSSLSKKKIKMHQDHDGHASPIPWNHGMFREGLTSRKDVEIKQIGSIAVPLKVNQDASAEKGLHYSLNNCLPAKRYDGKYIAPIRDGLPSLLPWQGGVLREDENGRKDPKLDYVGDSSFPSKSELDAYLWKEMHVDLNSNRTMYRIPFLNEKQKHSSHAEVRSCSLIQQMDYSGTRNNGKTVELQEHSAAARKQYDQRAEMSSEQGALDDIPMEIVELMAKNQFERCRPDTEIDNQLSETTNNTRNHQRVDLNKVFGNEKMSLFHETTQKPKPRVKNGRIGKILRGDNVGSSRQKSVDYFSHMDRNQYNMSQMEHNYSPAGFRPFPLCGEKPLNGIQFSATNSSRQNSAQNCQWIGNMVGQRSSHASMQTLGVCNTCQSAPQQNKEVAHLWPSVITNNMPYLYGIPQKCANQVANVDVLSHCPGSLPKGNINGNDDRNFLNLASNFEKHSRKFDPEALRRTHADYPFACKHNGMGSLDLYSNETIPAMHLLSLMDARLPSGAPVDVDGNQRCVTKTSFLHGHHSKEFAGLPSGGHRTNSMKHPSYDCNGKNHQPESFCECMSATPTVGPSTSFQHDRRFKKAMDFTGQFSLKSREKEKKKCSDSQRQNRNRRSQKPASLSSGLNITCGSIPVHSMPKMVHDTSDFMMLPVQFHAMESATKHKQEAHTMSATLFHPKSGSENEICSINRNPADFTVPEAGNMYMIGGEDLKFGREAPSSGLIKLIGHKRQRKLTGRKEQSRNRTS >EOY31693 pep chromosome:Theobroma_cacao_20110822:9:12271273:12272854:-1 gene:TCM_038745 transcript:EOY31693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein isoform 2 MGMASTTTTMTMTTRLQFPGAVNHNHSSFLGVSLSPRLPCPHPSLPSILLNVQAKARTRREDCQARHSRIRKKVEGTPDRPRLCVFRSNKHLYVQVIDDSKMHTLASASTMQKPICEEFDYSSGPTIVLWVCFSVFSLG >EOY31692 pep chromosome:Theobroma_cacao_20110822:9:12270583:12272897:-1 gene:TCM_038745 transcript:EOY31692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein isoform 2 MGMASTTTTMTMTTRLQFPGAVNHNHSSFLGVSLSPRLPCPHPSLPSILLNVQAKARTRREDCQARHSRIRKKVEGTPDRPRLCVFRSNKHLYVQVIDDSKMHTLASASTMQKPICEEFDYSSGPTIEVAKKIGECIAKSCLEQGITKVAFDRGGYPYHGRIEALADSAREHGLQF >EOY33879 pep chromosome:Theobroma_cacao_20110822:9:39242416:39246136:-1 gene:TCM_041721 transcript:EOY33879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MEVWSLWYFVIPFLVVSVATWISWWRNPSCNGRLPPGSMGLPLIGETLNFLVTSKSIDIHPFIKERMKRYGPLFKTSLAGRPVVVSSDPDFNFFVLQQEEKLVELYYMDSFANLVHKENINEGGYVHKYLRHAILSHFGFEALKEKLLCQAENVINHGFHEWTKQTEVAVKGQSAAMIFGFTSKILMSYESEKSEENLSEYLCSFLQGLMTFPLYIPGTAFYKCIKTQRKAVKVMSQLLEERRKSYSQGCNKGDFLGQIVEDMEKEAFLTKEFVVNVMFGLLLASVETVSSTAALAIKCLLDNPSALQQLTEEHEEILKKRESTNLGLSWEEYKSMTFTHYVINEALRIGSVAPGILRRVIADIHINGYTIPKGWNLLIVPATLQLNPNTYEDPLTFNPSRWKNMGSISTAKNFIPFGGGNRSCAGAEFSKVLMAVLLHVWVTKYRFTKIRGGDVGRTPILEFTNGFFIKVSEKHP >EOY29078 pep chromosome:Theobroma_cacao_20110822:9:41846:44774:1 gene:TCM_036744 transcript:EOY29078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MGHNKAYCCLLLLFMLTCLVGASWGETEATILLRFKNSLSNHESALSDWNDSAPSPCTGDNSNWTGLRCSNGTIFGLRLESMGLMGVIDIDTLTELPLLRTLSFMNNSFDGQLPDVNKLTSLRALYLSYNRFSGEIRENGFAAMNSLQKVYLARNNFSGKIPKSLAALPRLSELSLEGNRFEGKIPDFQQKDLIMVNLADNQLEGRIPDSLTKMNSSLFAGNKGLCGKPLPQCKSSKKRNIIIITVVAGSVVSLAAIAAVSYIRSGPTTTSQVKNFQEKNAGNIVGRKEAQSSNHYRKAENAKLYFIRNERGRFELQDLLRASAEVLGSGSFGSSYKAVLLDGRAMVVKRFRQMNNVGKEEFRAHMGRLGMLFHPNLLSLVAFYYRKEEKLLVSDFVPNGSLAGHLHARRAPDQSGLDWPTRLKIIKGVAKGLAYLYKELPSLTLPHGHLKSSNVLLDHNFEPLLTDYGLMPVINKEHAQQFMVAYKSPEFTQYDRTTTKTDVWSLGILILELLTGKFPANYLKQGKGGNVDLATWVNSVVREEWTGEVFDKDMKSTKNGEGEMLKLLKIGMCCCEWNTERRWELKDAVEKIEELKERDSDMEDCSSCGSEGDAYSSRAITEDGFSFSVNG >EOY33146 pep chromosome:Theobroma_cacao_20110822:9:35650987:35653568:1 gene:TCM_041130 transcript:EOY33146 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 2 MAFECQESNEVVKNSANCTESNHFSSDQLTEDFEVSKRSLDCQPKKGISSFCDSKQLAPNDVFSNVRRSITDLPPALISEILNCLDPKELGIVSCVSTTLHNIASEHQVWKEFYCERWGLPIAPAPLGAGFSDEKSWKELFVEREYRSKTFLGRYSIDVLYGHTEAVRTVFLLASAKLILTAGYDSVVRMWDMAEGLSIASSRPLGCTIRAVAADTKLLVAGGTDGFIHCWKAVDGLQHLFDLKGVEKQNTEFRLWEHEGPITSLALDLNRIYSGSWDMTVRVWDRSSLKCTQVLRHGDWVWGLVPHDMTVASTSGSDVFVWDTNSGILLNVIHNAHVGNTYALARSRTGDFLFTGGEDGAIHMFEIINESEEPTVLQVATWFPHSGAVYSLAFEFPWLVSASSDGKLALIDVRKLLRASRRFSGKRVSRVKNIDRNDVEPPQRMLHGFGCNLFSVDIGADRIVCGGEEGVVRIWNFSQAFEIEQRVRAQRGIRLENRMRRRRLQIEMNSKGGRSDQCSVAAKKNPVNGVWHSKRSISSKVKT >EOY31951 pep chromosome:Theobroma_cacao_20110822:9:18125380:18130978:1 gene:TCM_039317 transcript:EOY31951 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein family MGVFTFVCKGSAGEWTAKQLEGELEGSAASAYELQRKLVQCATAVDSSGGVSSSFSLITPKSAVFQVIIGGGGGGGFLGGGAAAPAGGAAPAAEAPAAEEKKKEEKVEESDDEDMGFSLFD >EOY30782 pep chromosome:Theobroma_cacao_20110822:9:5762119:5768125:1 gene:TCM_037871 transcript:EOY30782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-1 protease, putative isoform 1 MITIQSSFPLKSSLFILLLSLSLLHFKLSSDPTVNQSLTLTQNRTQPQPQTTTTRNNYIIRFTVYKPASDHRSYLESSLRSDGWEWIERRNPASKFPTDFGLVSIKDSVKEALIGKIERLGLVKDVNVDLSYNRGLLGAAFENGKKRPGKIFTSMSFSEEKNCHDSGLSNSSINWSRHLLMQRSQVTSLFGADALWGKGYTGAKVKMAIFDTGIRANHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGEDAECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDYLDLPFVEKVWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHIASFSSRGMSTWEIPHGYGRVKPDVVAYGREIMGSKISTGCKSLSGTSVASPVVAGVVCLLVSVIPENKRKEILNPASMKQALVEGAAKLAGPNIYEQGAGRVDLLESYEILKSYQPRASIFPSVLDYTDCPYAWPFCRQPLYAGAMPVIFNATILNGMGVIGYVQSPPTWHPSDEEGNLLSIHFTYSEVIWPWTGYLALHMQIKEEGAHFSGVIEGNVTVRIYSPPAQGERATRSSTCVLQLKLNVVPTPQRSKRVLWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNYHIMFNMLRDAGYYVETLGSPFTCFEANQYGTLLLVDLEDEYFQEEIAKLRDDVINTGLGLAVFSEWYNVDTMVKMRFFDDNTRSWWTPVTGGANIPALNDLLAPFGIAFGDKILNGDFSIDGEQSRYASGTDIVRFPRGGYVHSFPFLDSSESGATQNVLLNSGMTKADSPILGLLEVGEGRIAVYGDSNCLDSSHMVTNCYWLLRKILDFTGSNIKDPVLFSESVKQDMPLYEDDNNLPSRRTDVNFSMYSAVMGKDLICQSDSRFEVWGTKGYNLHVRGRNRRLPGYHVIDLGRGLNSTVDTTKSRRPKVMGKNKGDSLGNRYLGLLYRDELDVPELVASHWLVPAVVAVTGFLLFLSIWRIRQKRRRRRRSGSGRLANL >EOY30781 pep chromosome:Theobroma_cacao_20110822:9:5762122:5768340:1 gene:TCM_037871 transcript:EOY30781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-1 protease, putative isoform 1 MITIQSSFPLKSSLFILLLSLSLLHFKLSSDPTVNQSLTLTQNRTQPQPQTTTTRNNYIIRFTVYKPASDHRSYLESSLRSDGWEWIERRNPASKFPTDFGLVSIKDSVKEALIGKIERLGLVKDVNVDLSYNRGLLGAAFENGKKRPGKIFTSMSFSEEKNCHDSGLSNSSINWSRHLLMQRSQVTSLFGADALWGKGYTGAKVKMAIFDTGIRANHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGEDAECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDYLDLPFVEKVWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHIASFSSRGMSTWEIPHGYGRVKPDVVAYGREIMGSKISTGCKSLSGTSVASPVVAGVVCLLVSVIPENKRKEILNPASMKQALVEGAAKLAGPNIYEQGAGRVDLPSIRSSDYFYFLVRLESYEILKSYQPRASIFPSVLDYTDCPYAWPFCRQPLYAGAMPVIFNATILNGMGVIGYVQSPPTWHPSDEEGNLLSIHFTYSEVIWPWTGYLALHMQIKEEGAHFSGVIEGNVTVRIYSPPAQGERATRSSTCVLQLKLNVVPTPQRSKRVLWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNYHIMFNMLRDAGYYVETLGSPFTCFEANQYGTLLLVDLEDEYFQEEIAKLRDDVINTGLGLAVFSEWYNVDTMVKMRFFDDNTRSWWTPVTGGANIPALNDLLAPFGIAFGDKILNGDFSIDGEQSRYASGTDIVRFPRGGYVHSFPFLDSSESGATQNVLLNSGMTKADSPILGLLEVGEGRIAVYGDSNCLDSSHMVTNCYWLLRKILDFTGSNIKDPVLFSESVKQDMPLYEDDNNLPSRRTDVNFSMYSAVMGKDLICQSDSRFEVWGTKGYNLHVRGRNRRLPGYHVIDLGRGLNSTVDTTKSRRPKVMGKNKGDSLGNRYLGLLYRDELDVPELVASHWLVPAVVAVTGFLLFLSIWRIRQKRRRRRRSGSGRLANL >EOY31737 pep chromosome:Theobroma_cacao_20110822:9:13453458:13463467:-1 gene:TCM_038853 transcript:EOY31737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLTFPIFCPFTTLTGWLPVNHRTPSTPSCWSAPSHTTPNTNQPPLHHKHPQAIMAKNLQPPSPRALKKSFLTVTTGEKPPVIPPSKDLSVYKDKPATTFYEDEIQILAHPFSHSLMGKFNRMPKLQNIRQAFSGIAKTVGKPLFVDDATVNGSCPSVAWVCVEYDCRKSPVKEVWIMIRNREIGAVRGGYSQRVEFAQMLDYCGYYYHVGHKENECIVLGNKLKQSGYGNPQTKGKVEINKMDGGRKMGHKALNGTEEQPETEVAKPTAVERAIKGTVHVHGERSLSKNSTGERKKGFDASMGPKSPHANTNDVVDQNQKEPITMEGTSQDPLLVHGKRVKTAKHEANNESHAQCKMERESKVTDRNNKKKKSQQKPTSRVAESSLHGGGTQFPESAFDREPLPIVTAPAAGRSNHTSSLLYA >EOY33862 pep chromosome:Theobroma_cacao_20110822:9:39190596:39191927:-1 gene:TCM_041707 transcript:EOY33862 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerases I and III subunit RPAC2 isoform 1 MEHGSFTDNSAATFSLTEEDHTLANAVRFTLNQDPRVTFCGYSIPHPSNARVNIRVQTTGDPAREVLKDACQDLMLMCRHVRSGFDKAVEDFKASNAVKAMKIDSQDSDSEESE >EOY33861 pep chromosome:Theobroma_cacao_20110822:9:39190789:39192038:-1 gene:TCM_041707 transcript:EOY33861 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerases I and III subunit RPAC2 isoform 1 MEHGSFTDNSAATFSLTEEDHTLANAVRFTLNQDPRVTFCGYSIPHPSNARVNIRVQTTGDPAREVLKDACQDLMLMCRHVRSGFDKAVEDFKASNAVKAMKIDSQDSDSEESE >EOY30144 pep chromosome:Theobroma_cacao_20110822:9:3556333:3561953:-1 gene:TCM_037455 transcript:EOY30144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH69, putative isoform 1 MDDYLDQYFSSSSWSDVNVNERSSWVHCEPDQPNALLPSSIGVYQDDKTSSPVRMISSNHTMGCLAAQDRIPSGESGCGVELGLLSGEGEPQMDGQNCSSNSTKEMLNGSLAFANVELQFNTAVHSSGSLSLGSPKDLSVVGDLTPSLSFNERGHVICNEGESSEFRRSLTGLETLSPIPKLWHPQPYDGVSSLPTLMGQTRMEISCLQGENGTVNNDGNINRFVEIDKILQPENLSASISAKGKQDMKNSLYSSFPAEHQITKTMIGLPSLLQSASPTPNNGCNGIGKPRVRARRGQATDPHSIAERLRREKIAERMKNLQELVPNSNKTDKASMLDEIIEYVKFLQLQVKVLSMSRLGAAGAVVPLITDCQAEGSNGLSLSPLAGQGVDFSASPDQVVFEQEVVKLMESNVTMAMQYLQSKGLCLMPIALANAISNGKASSSSSSSSGPASEERKKFGFTKGLVNNDIVHNTCTSNGLVQNSNCSSSSSSSSGSLPGVGIHYLSSDGHFMIGKLSGGLLANGCNGSFKQEEMNTLCTAK >EOY30145 pep chromosome:Theobroma_cacao_20110822:9:3557072:3562091:-1 gene:TCM_037455 transcript:EOY30145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH69, putative isoform 1 MDDYLDQYFSSSSWSDVNVNERSSWVHCEPDQPNALLPSSIGVYQDDKTSSPVRMISSNHTMGCLAAQDRIPSGESGCGVELGLLSGEGEPQMDGQNCSSNSTKEMLNGSLAFANVELQFNTAVHSSGSLSLGSPKDLSVVGDLTPSLSFNERGHVICNEGESSEFRRSLTGLETLSPIPKLWHPQPYDGVSSLPTLMGQTRMEISCLQGENGTVNNDGNINRFVEIDKILQPENLSASISAKGKQDMKNSLYSSFPAEHQITKTMIGLPSLLQSASPTPNNGCNGIGKPRVRARRGQATDPHSIAERLRREKIAERMKNLQELVPNSNKTDKASMLDEIIEYVKFLQLQVKVLSMSRLGAAGAVVPLITDCQAEGSNGLSLSPLAGQGVDFSASPDQVVFEQEVVKLMESNVTMAMQYLQSKGLCLMPIALANAISNGKASSSSSSSSGPASEERKKFGFTKGLVNNDIVHNTCTSNGLVQNSNCSSSSSSSSGSLPGVGIHYLSSDGHFMIGKLSGGLLANGCNGSFKQEEMNTLCTAK >EOY32392 pep chromosome:Theobroma_cacao_20110822:9:28651988:28652953:-1 gene:TCM_040285 transcript:EOY32392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREMRKEKIRKGLKNYDFENKEKGFSGNNKRRKLDCGNETVFENEAIRSEAVATLIVSNNLRLEYMDDVEELLWVYTEINVGKRQS >EOY31670 pep chromosome:Theobroma_cacao_20110822:9:11629775:11633817:1 gene:TCM_038694 transcript:EOY31670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MADVHFSRGWTRRGVLLVVFCLLGSISLSCAARPGVSRQRLQVQKHLNRLNKPAVKTIESPDGDIIDCVHISHQPAFDHPFLKDHKIQMRPNYHREGLFDENKVSEKPKPHSNPITQLWHVNGKCPEGTIPIRRTKEQDVLRASSVKRYGRKKHRAIPQPRSADPDLINESGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSEIAMGASISPVSAYRNSQYDISILVWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGHHTSTQMGSGRFPEEGFGKSSYFRNIQVVDGSNNLKAPKGLGTFTEQSNCYDVQTGSNGDWGHYFYYGGPGKNPNCP >EOY31671 pep chromosome:Theobroma_cacao_20110822:9:11629903:11633407:1 gene:TCM_038694 transcript:EOY31671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MRPNYHREGLFDENKVSEKPKPHSNPITQLWHVNGKCPEGTIPIRRTKEQDVLRASSVKRYGRKKHRAIPQPRSADPDLINESGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSEIAMGASISPVSAYRNSQYDISILVWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGHHTSTQMGSGRFPEEGFGKSSYFRNIQVVDGSNNLKAPKGLGTFTEQSNCYDVQTGSNGDWGHYFYYGGPGKNPNCP >EOY32958 pep chromosome:Theobroma_cacao_20110822:9:34653630:34655569:-1 gene:TCM_040971 transcript:EOY32958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein MSESCNSRHFSWLMKSCFPNPNHKSLIITPQHLHPAVSLTLSSLPDDLLLECLSRVPSSSLPSLSLVCRRWFYLLLSPSFLLLRRQLHLLYPSVFAFSATDSGVFAATLSFSSPDHGPTWKLSLCLPMNTPSHHGIPRLVSIGPRVYIIGRNSMLRYNAWTQHVIAKSPVLFPRKKFASAVVFNKIYVAGGGGSKATSAVEEYDPETDTWRVVAHSQRRRYGCIGAAVDGIFYVIGGLKIGGACGNGGGGVEAHVYASSMDLYDVEARVWLRSRAVPGGGCVVAACAVAGYIYVLASHAVELSFWRFDARRKYDGSGGGEGFGEWCRMKSPPMPTQIRLDGTVRFCCVGVEDKVILVQVVGCIDDLLRRSGRSQRGLKEGLVLVYDSVGGEWSRAADLPEVIRRAACVSVEC >EOY29103 pep chromosome:Theobroma_cacao_20110822:9:134564:137947:-1 gene:TCM_036758 transcript:EOY29103 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein isoform 1 MASHESLGFGGLLVLLLHMALSISPASGIGVNWGTQSTHPLPPSTIVRMLKDIGFQKVKLFDADATTLKALGKSGIQVMVGIPNDLLPTLANSVQAAQDWVAQNVSSHVSSNAVDIRYVAVGNEPFLGAYNGSYIGLTLPALENVQSALIKAGLAGQVKVTVPLNADVYQSSTNLPSDGDFRVYENVFDANHDTLVWALQKNGYGNLSIIVGEIGWPTDGDKNANPKYAQQFNQGFMSRVIAGKGTPMRSGPVDAYLFSLIDEDAKSIQPGNFERHWGLFYFDGQPKYPLRLGTTSSNGLVPATDVRYMAKKWCVMSPSANLDDPQVGPSVSYACSHADCTSLGFSIMIQSLPAAQGTASSLRLNVLVLRREYSAFQILNLQSGSQVLCQIGTG >EOY29102 pep chromosome:Theobroma_cacao_20110822:9:134777:137731:-1 gene:TCM_036758 transcript:EOY29102 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein isoform 1 MASHESLGFGGLLVLLLHMALSISPASGIGVNWGTQSTHPLPPSTIVRMLKDIGFQKVKLFDADATTLKALGKSGIQVMVGIPNDLLPTLANSVQAAQDWVAQNVSSHVSSNAVDIRYVAVGNEPFLGAYNGSYIGLTLPALENVQSALIKAGLAGQVKVTVPLNADVYQSSTNLPSDGDFRSDIRQPMLQIVKFLNDNGAPFTVNIYPFISLHNDANFPIDFAFFDGSSSAINDGDRVYENVFDANHDTLVWALQKNGYGNLSIIVGEIGWPTDGDKNANPKYAQQFNQGFMSRVIAGKGTPMRSGPVDAYLFSLIDEDAKSIQPGNFERHWGLFYFDGQPKYPLRLGTTSSNGLVPATDVRYMAKKWCVMSPSANLDDPQVGPSVSYACSHADCTSLGFSIMIQSLPAAQGTASSLRLNVLVLRREYSAFQILNLQSGSQVLCQIGTG >EOY34482 pep chromosome:Theobroma_cacao_20110822:9:41267652:41269934:-1 gene:TCM_042150 transcript:EOY34482 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein isoform 3 MEKVMNIIKPKPNPQQQLRDWQRRLRQECRNIERQIRDIQREERNVQKAIREAAKRNDMVSAKALAKEIVRSRRTVNRLYENKAQMNSISMHLGESVAIALTVGHLSKSAEVMKLVNNLMKAPEVAVTMQEFSKEMTKAGVIEEFVDDALDNALDSEDIEEEIEEEVDKVLTSIAGETAAQLPEAVRKEKMKQPAQTTPQEA >EOY34480 pep chromosome:Theobroma_cacao_20110822:9:41267364:41269960:-1 gene:TCM_042150 transcript:EOY34480 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein isoform 3 MEKVMNIIKPKPNPQQQLRDWQRRLRQECRNIERQIRGNSDIQREERNVQKAIREAAKRNDMVSAKALAKEIVRSRRTVNRLYENKAQMNSISMHLGESVAIALTVGHLSKSAEVMKLVNNLMKAPEVAVTMQEFSKEMTKAGVIEEFVDDALDNALDSEDIEEEIEEEVDKVLTSIAGETAAQLPEAVRKEKMKQPAQTTPQEACLIQMFFNEEAIAEGVDDEEELEEIRARLAKVRS >EOY34483 pep chromosome:Theobroma_cacao_20110822:9:41267652:41269811:-1 gene:TCM_042150 transcript:EOY34483 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein isoform 3 IKPKPNPQQQLRDWQRRLRQECRNIERQIRDIQREERNVQKAIREAAKRNDMVSAKALAKEIVRSRRTVNRLYENKAQMNSISMHLGESVAIALTVGHLSKSAEVMKLVNNLMKAPEVAVTMQEFSKEMTKAGVIEEFVDDALDNALDSEDIEEEIEEEVDKVLTSIAGETAAQLPEAVRKEKMKQPAQTTPQEACLIQMFFNVLLPNLD >EOY34481 pep chromosome:Theobroma_cacao_20110822:9:41267652:41269925:-1 gene:TCM_042150 transcript:EOY34481 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein isoform 3 MEKVMNIIKPKPNPQQQLRDWQRRLRQECRNIERQIRDIQREERNVQKAIREAAKRNDMVSAKALAKEIVRSRRTVNRLYENKAQMNSISMHLGESVAIALTVGHLSKSAEVMKLVNNLMKAPEVAVTMQEFSKEMTKAGVIEEFVDDALDNALDSEDIEEEIEEEVDKVLTSIAGETAAQLPEAVRKEKMKQPAQTTPQEEEAIAEGVDDEEELEEIRARLAKVRS >EOY31296 pep chromosome:Theobroma_cacao_20110822:9:8032575:8037451:1 gene:TCM_038257 transcript:EOY31296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 14 isoform 2 MAASSVPLHHVGGCFSYPRREREYHHGRGWSPTPRASYPLRPINASVETPFPLFQPPPLQQSPSQLEPADPDFYKIGYVRSMRAYGIEFKDGPDGFGVYASKDVEPLRRARVIMEIPLELMLTIRQKQPWMFFPDIVPIGHPIFDIINSTNPETDWDLRLACLLLYAFDRDDNFWQLYGDFLPSADECTSLLLATEDDLSELQDPGLASTMRKQQQRALEFWEKNWHSGVPLKIKRLARDAERFIWAVSIAQSRCINMQVRIGALVQDANMLIPYADMLNHSFQPNCFLHWRFKDRMVEVMINAGQRIKKGDEMTINYLSGQQNHMLMQRYGFSSAVNPWDLIPFSGNAHIHLDSFLSVFNISGLPEEYYHNSQLADNGDNFVDGAVIATARTLPTWSDGDVPPIPSIERKAVKELQEECQQMLAQFPTTSEQDQKFLDSLPEARTLETVIKYRLHRKLFIEKVIQALDIYQERILF >EOY31297 pep chromosome:Theobroma_cacao_20110822:9:8032575:8037451:1 gene:TCM_038257 transcript:EOY31297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 14 isoform 2 MAASSVPLHHVGGCFSYPRREREYHHGRGWSPTPRASYPLRPINASVETPFPLFQPPPLQQSPSQLEPADPDFYKIGYVRSMRAYGIEFKDGPDGFGVYASKDVEPLRRARVIMEIPLELMLTIRQKQPWMFFPDIVPIGHPIFDIINSTNPEDDLSELQDPGLASTMRKQQQRALEFWEKNWHSGVPLKIKRLARDAERFIWAVSIAQSRCINMQVRIGALVQDANMLIPYADMLNHSFQPNCFLHWRFKDRMVEVMINAGQRIKKGDEMTINYLSGQQNHMLMQRYGFSSAVNPWDLIPFSGNAHIHLDSFLSVFNISGLPEEYYHNSQLADNGDNFVDGAVIATARTLPTWSDGDVPPIPSIERKAVKELQEECQQMLAQFPTTSEQDQKFLDSLPEARTLETVIKYRLHRKLFIEKVIQALDIYQERILF >EOY31298 pep chromosome:Theobroma_cacao_20110822:9:8032575:8037451:1 gene:TCM_038257 transcript:EOY31298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 14 isoform 2 MAASSVPLHHVGGCFSYPRREREYHHGRGWSPTPRASYPLRPINASVETPFPLFQPPPLQQSPSQLEPADPDFYKIGYVRSMRAYGIEFKDGPDGFGVYASKDVEPLRRARVIMEIPLELMLTIRQKQPWMFFPDIVPIGHPIFDIINSTNPETDWDLRLACLLLYAFDRDDNFWQLYGDFLPSADECTSLLLATEDDLSELQDPGLASTMRKQQQRALEFWEKNWHSGVPLKIKRLARDAERFIWAVSIAQSRCINMQVRIGALVQDANMLIPYADMLNHSFQPNCFLHWRFKDRMVEVMINAGQRIKKGDEMTINYLSGQQNHMLMQRYGFSSAVVS >EOY31762 pep chromosome:Theobroma_cacao_20110822:9:13800386:13801979:1 gene:TCM_038893 transcript:EOY31762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVYLPRSKNRGIAKCAFVKIRNEEELWRAFNARKGARIKGRTLVVKLADKAQNTVDVIKEKVGPRSYRDVLRAGVNDNYSIKQRSNKEENNADEKAELVVACKESKKDSERPKELAWKMGKQKDGNEVAPLKSWTECKVQIVEVENEWLKSSAVGRPRAGLSPEEIQRVFIISTAGIINADSEANKSSMEKRKNGVLEQTAPSLADEEEADSGGVKAS >EOY33889 pep chromosome:Theobroma_cacao_20110822:9:39270159:39270929:1 gene:TCM_041730 transcript:EOY33889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transporter, putative MNHDHGMGGMAPATQSTNGTSGMHHHGMMMMMHMTFFWGKNAEILFPGWPGSRAWMYGLALILIFVLAFLVEWLSHSNHLIKRGSSNVSAGLLQTFLHAIRVALAYLVMLAVMSFNVGVFLMAVAGHTLGFLLFGSTMFKKNTEVLSYEKTSDLPPMSC >EOY29490 pep chromosome:Theobroma_cacao_20110822:9:1335953:1349721:-1 gene:TCM_037008 transcript:EOY29490 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 6 MESQGSEKDTVVTQVSVGGFGRHVAAKDLMEYFDNEVGVVWRCRLKTSWTPPESYPNFEILDSTVIQRTDDYKKVEPHAFVHFASPLTATWAVDAAGRTELVFNNQLLKVSLGPENPYYLNRRRRNTTPFKLSDVCLDIGGMVSRDEFFVGWRGPPFGVDFLVDPFDGTCKFCFSRDTAFSFKGTTEYAVIKCDFKVEFLVRDINEIKQYTEPSGLVVFLQLASSPRVWYRTADDEIEESVPFDLLDDDDQWIRTTDFTPSGAIGRCNTYRVVIRPRHGAKLKKALDYLREQRVPMDYVRWPLRISDEPDFGRSMSDPFYCIDYKEGIPFEIMFLVNAVMHKGIFNQHQLSEDFFNLLRDQPREVNVAALKHIYSYRRPVFDAYKRLKTVHDWLLRNPILFKSPKQLDDIVEIRRLVITPTKAHCLLPEVELSNRVLRKYKEVADRFLRVTFMDEGMQTINANVLTYYAASIVRDVTSTFFSQKTGVFKRVRSILTDGFYLCGRKYSFLAFSANQLRDSSAWFFAEDGKTSVLQILRWMGKFTNRNIAKCAARMGQCFSSTYATVEVPSTEVNPFLPDIERNGYVFSDGIGKITPDLAKEVAQKLKLDLNPPCAYQIRYAGCKGVVACWPEEGDGVRLSLRCSMNKFFSDHTTLEICSWTRFQPGFLNRQIITLLSTQYVPDEVFWEMQNTMVSKLNKILVDTDVAFEVLTSSCSEQGNAAAIMLSAGFKPQTEPHLRGILTCVRASQLCGLREKARIFVPSGRWLMGVLDELGVLEQGQCFIQVSNPSVENCFLKHGSRFAETKKNFEVIQGLVVIAKNPCLHPGDIRILEAVDAPGLHHLYDCLVFPQKGERPHTNEASGSDLDGDLYFVTWEDLLIPPGKKSWPPMQYDPGETKEIQREVNHKDIIDFFAKNMVNEHLGTICNAHVVHADLSEYGALDEKCIHLAELAATAVDFPKTGKIVSMPANLKPKLYPDFMGKEEYQSYKSRKILGRLYRHIKDVYDEDLSESSEINLDSPDINYDTDLEVTGSADYIDDAWVKKCSYDRQLIGLLGQYKVKREEEVVTGHIWSMPKYTSRKLGDLKEKLGHSYGALRKEFRQIFESMDSEIEQLNEDERNELYERKASAWYQVTYHPKWVEKKLELQKSDGADPVVMLSFAWIAADYLARIKIRSQGTGNVDFSKPVNSLVKYLADKI >EOY29606 pep chromosome:Theobroma_cacao_20110822:9:1738958:1741618:1 gene:TCM_037102 transcript:EOY29606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein with chitinase insertion domain MKKITKILDLKSTYLFIFKSNFIILLNKINNLIFCKNKSYRFYLLNLSGSIATTERVYGLKESYELSEVIFKHRPLYIFGGIQASCYTRQGAFATFAMAAKLVSFLFLITFHFLFRLQFSAGQNVVRAAYWSAGSEFPVSDIDSSLFTHLFCAFADLDPQTNQVAVSSANQPRFSTFTETVQQKNPSIVTLLSIGGGNSRASDFASMASQASRRQSFIDSSINLARSYGFHGLDLDWEYPSTATEMTNLGLLLNEWRAAVDDEFASTGYSPLLLSAALFRSSDYYTLDYPIQAIENSLNWINVMAYDFYGPRWSNITGPPAALYNPGTQVSGDHGIRSWIQAGIPSNKLVLGFPFYGYAWRLVDANNHGFFAPTSGPAISQDGDLGYGQIKDFISQNSATEVYNSTVVSNYCYSGTTWIGFDDTQSITAKVSYAKDNGLLGYFAWHVGADEGWTLSQAASETWGS >EOY34598 pep chromosome:Theobroma_cacao_20110822:9:41552792:41555833:1 gene:TCM_042215 transcript:EOY34598 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MEPTKAEHTCKCHVVAVPYPGRGHVNPMMNLCKLLCSKSTDILITFVVTEEWFGFIGSDNKPANVRFRTIPNVIPSEHVRAKDFPGFVAAVLTKMEAPFEELLDRLESPVTAIVADTYMSWTVEVGKRRKIPVASLWTMSASVLSVFHHFNLLVENHHFPADLSEQGNELVDYIPGLPHTHVADLPTIFYGNGRQTLQLALDCVSSVPKAQYLLFTSVYELELQVIDAFKARLPFPVYPIGPSIPYLDLKENSSKSTSFGDPDYLQWLDSQPKGSVLYVSLGSFLSVSAAQMDEIVSGVHDSGVRYLWVSRGDSSRFKDCCGGRGRVIPWSDQLRVLCHSSVGGFWTHCGWNSTLEAAYAGVPMLTFPIFWDQVPNSKQIVEDWKIGWGVKKDKVGEHLMTREEIAELVRKFMDFDSNEGKEMRRWAGKLRETCQLAIAKGGSSDINLDSFIKNISERHTH >EOY32447 pep chromosome:Theobroma_cacao_20110822:9:29749588:29750721:-1 gene:TCM_040384 transcript:EOY32447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microsomal oleic acid desaturase isoform 1 MPDPSNKNEEKESPLHRVPYEKPPFTLSQIKNAVPPHCFQRSILRSFSFVVCDLCLVFLFYHIATSYFHLLPYSLSNIAWPIFWVLQGCILTGVWVIAHECGHHAFSDYQWVDDTVGLILHSALLVPYFSWKYSHRRHHSNTGSMERDEVFVPKPKSRVSWYTRYLNNPLGRVVSLAITLTLGWPLYLAFNVSGRNYDRFACHFDPHGPIYSNRDRLQIYISDAGILAATYVLYNIAATKGLAWLVCIYGVPLLIVNGFLVLITYLQHTHPALPHYGSSEWDWLRGALATVDRDYGVLNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGKYYRFDGTPVYKAMWREAKECLYVEPDEDAGSKGVFWYRNKF >EOY32446 pep chromosome:Theobroma_cacao_20110822:9:29749254:29752907:-1 gene:TCM_040384 transcript:EOY32446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microsomal oleic acid desaturase isoform 1 MPDPSNKNEEKESPLHRVPYEKPPFTLSQIKNAVPPHCFQRSILRSFSFVVCDLCLVFLFYHIATSYFHLLPYSLSNIAWPIFWVLQGCILTGVWVIAHECGHHAFSDYQWVDDTVGLILHSALLVPYFSWKYSHRRHHSNTGSMERDEVFVPKPKSRVSWYTRYLNNPLGRVVSLAITLTLGWPLYLAFNVSGRNYDRFACHFDPHGPIYSNRDRLQIYISDAGILAATYVLYNIAATKGLAWLVCIYGVPLLIVNGFLVLITYLQHTHPALPHYGSSEWDWLRGALATVDRDYGVLNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGKYYRFDGTPVYKAMWREAKECLYVEPDEDAGSKGVFWYRNKF >EOY32445 pep chromosome:Theobroma_cacao_20110822:9:29749340:29753023:-1 gene:TCM_040384 transcript:EOY32445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microsomal oleic acid desaturase isoform 1 MPDPSNKNEEKESPLHRVPYEKPPFTLSQIKNAVPPHCFQRSILRSFSFVVCDLCLVFLFYHIATSYFHLLPYSLSNIAWPIFWVLQGCILTGVWVIAHECGHHAFSDYQWVDDTVGLILHSALLVPYFSWKYSHRRHHSNTGSMERDEVFVPKPKSRVSWYTRYLNNPLGRVVSLAITLTLGWPLYLAFNVSGRNYDRFACHFDPHGPIYSNRDRLQIYISDAGILAATYVLYNIAATKGLAWLVCIYGVPLLIVNGFLVLITYLQHTHPALPHYGSSEWDWLRGALATVDRDYGVLNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGKYYRFDGTPVYKAMWREAKECLYVEPDEDAGSKGVFWYRNKF >EOY29246 pep chromosome:Theobroma_cacao_20110822:9:477956:482131:-1 gene:TCM_036841 transcript:EOY29246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose-synthase-like C5 MAPRLDFSNWWAKDTRKGTPVVVKMENPNYSVVEIDGPDAAFRPVEKSRGKNAKQVTWVLLLKAHRAVGCVAWIATLFWALLGTIKRRLIFRQDVALASEKLGKGKLLFTVIKVFLATSLTILAFEVAAYFKGWHYFQNPGLHIPRTSDIQGLLHLVYVTWLSFRAEYIAPLIQALSKFCVALFLIQSADRMILCLGCFWIKYKKIKPRIVGDPFKSDDVEGSGYEYPMVLVQIPMCNEREVYEQSISAVCQLDWPKDRLLIQVLDDSDDKSTQCLIKAEVATWNQRGINIIYRHRLVRTGYKAGNLKSAMSCEYVQAYEFVAIFDADFQPNPDFLKQTVPHFKDNPELGLVQARWAFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMHLFRLCLPAIITSKIPIWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPVWVICYVPVFMSFLNILPAPKSFPFIAPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKAGRSSESDLLAAVERESKTTNQLQIQRGASESELTELNRLKEQKEVAPTPVKRVNKIYRKELTLAFLLLTASIRSLLAAQGVHFYFLLFQGVTFLLVGLDLIGEQMS >EOY31365 pep chromosome:Theobroma_cacao_20110822:9:8371815:8376498:-1 gene:TCM_038306 transcript:EOY31365 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MDVPSAELHSEHRTDTCPLLMERSENFSEHIIDIPRSGETSSSNLSHDRTSNGLDVLQHEDRPSGSARVLGSQPSSFSSNGTNSRTSSAVRRGDARRRRSPLNSGLWISIELVLTVSQIVASIVVLTLSRNEHPHAPLFAWIVGYASGCIATLPLLYWRYRHRNQASEQDPVQHHHTSHINVPAGPFSLSVTRTSDGGDHRPATMSPRGGQNAGVTSARIKALVEYFKMALDCFFAVWFVVGNVWIFGGHSSASEAPNMYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISVLGFREDLSQARGATPESIDALPTYKFKVKKSRNCDDREIISGAGEGGVVAAGTEKERAISGEDAVCCICLAKYANNDELRELPCSHFMHKECVDKWLKINASCPLCKSEVGENILDAISGTSASILSSLSGTHDNQRRGERRVGNSYTSSVF >EOY31916 pep chromosome:Theobroma_cacao_20110822:9:17761211:17763517:1 gene:TCM_039279 transcript:EOY31916 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-kinase anchor protein 9, putative isoform 3 MQGFVRCNWQGMGTKLECAVNPLATSQNSNSCSFTVHFVDDYFRTRTLKENCQKIGLERSGSCMDRMLERHNMESIRKTMEMHEDTFKHQVRELHRLYSVQKMLMDEQQLTAQTTCGYNFHVQGDPSSRERSGSCSGDTMKVARGFDLERPAEEDISAEVSAVDHEDQVGRSSLMHSRINQMSIEGSDEDSEVELTLSIGGSSSKKTPTNSKPHSQELGCNNSQSIHKETRELHSSASFKSERREDCSGPNTPMSSSSATFDQDRKRPHWLFQGLSINRT >EOY31919 pep chromosome:Theobroma_cacao_20110822:9:17760258:17763517:1 gene:TCM_039279 transcript:EOY31919 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-kinase anchor protein 9, putative isoform 3 MGTKLECAVNPLATSQNSNSCSFTVHFVDDYFRTRTLKENCQKIGLERSGSCMDRMLERHNMESIRKTMEMHEDTFKHQVVRELHRLYSVQKMLMDEQLTAQTTCGYNFHVQGDPSSRERSGSCSGDTMKVARGFDLERPAEEDISAEVSAVDHEDQVGRSSLMHSRINQMSIEGSDEDSEVELTLSIGGSSSKKTPTNSKPHSQELGCNNSQSIHKETRELHSSASFKSERREDCSGPNTPMSSSSATFDQDRKRPHWLFQGLSINRT >EOY31917 pep chromosome:Theobroma_cacao_20110822:9:17760701:17763554:1 gene:TCM_039279 transcript:EOY31917 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-kinase anchor protein 9, putative isoform 3 MGTKLECAVNPLATSQNSNSCSFTVHFVDDYFRTRTLKENCQKIGLERSGSCMDRMLERHNMESIRKTMEMHEDTFKHQVRELHRLYSVQKMLMDEQQLTAQTTCGYNFHVQGDPSSRERSGSCSGDTMKVARGFDLERPAEEDISAEVSAVDHEDQVGRSSLMHSRINQMSIEGSDEDSEVELTLSIGGSSSKKTPTNSKPHSQELGCNNSQSIHKETRELHSSASFKSERREDCSGPNTPMSSSSATFDQDRKRPHWLFQGLSINRT >EOY31918 pep chromosome:Theobroma_cacao_20110822:9:17760258:17763517:1 gene:TCM_039279 transcript:EOY31918 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-kinase anchor protein 9, putative isoform 3 MGTKLECAVNPLATSQNSNSCSFTVHFVDDYFRTRTLKENCQKIGLERSGSCMDRMLERHNMESIRKTMEMHEDTFKHQVVRELHRLYSVQKMLMDEQQLTAQTTCGYNFHVQGDPSSRERSGSCSGDTMKVARGFDLERPAEEDISAEVSAVDHEDQVGRSSLMHSRINQMSIEGSDEDSEVELTLSIGGSSSKKTPTNSKPHSQELGCNNSQSIHKETRELHSSASFKSERREDCSGPNTPMSSSSATFDQDRKRPHWLFQGLSINRT >EOY31794 pep chromosome:Theobroma_cacao_20110822:9:14041893:14042580:1 gene:TCM_038922 transcript:EOY31794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility protein S1 family, putative MSSLKLNNILAIFLVASLARFEPSLGFYLGRRHLHIVNWLDNQEPLMVRCWCNGGSLGTHNLKYKEEFRWEFLEIIPFQLMKIECDMEFNDGGKPRRGHFVVFDSTQEVRRRECYKWCNWSVGIYGLYAYDEVDERWDYEIPWPSKNTF >EOY30948 pep chromosome:Theobroma_cacao_20110822:9:6386880:6391236:-1 gene:TCM_037985 transcript:EOY30948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MDKKRIAVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAVWSVCLDTNALRAASGSADFTAKVWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGIEKVLRIYDLNCPDAPPREVDKSPGSVRTVAWMHSDQTILSSCTDMGGVRLWDVRSGKIVQTLETKSSVTSAEVSLDGRYITTADGSTVKFWDANHFGLVKSHNMPCTVESASLEPKYGNKFVAGGEDMWVRVFDFHTGDEIACNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQTGPLTHDDAESMAANGSVGQVKVSAEEVSRKIEGFHIAEEVKTKEKEAGNE >EOY30949 pep chromosome:Theobroma_cacao_20110822:9:6386885:6390917:-1 gene:TCM_037985 transcript:EOY30949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein isoform 2 MDKKRIAVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAVWSVCLDTNALRAASGSADFTAKVWDAPPREVDKSPGSVRTVAWMHSDQTILSSCTDMGGVRLWDVRSGKIVQTLETKSSVTSAEVSLDGRYITTADGSTVKFWDANHFGLVKSHNMPCTVESASLEPKYGNKFVAGGEDMWVRVFDFHTGDEIACNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQTGPLTHDDAESMAANGSVGQVKVSAEEVSRKIEGFHIAEEVKTKEKEAGNE >EOY30631 pep chromosome:Theobroma_cacao_20110822:9:5206082:5209262:1 gene:TCM_037769 transcript:EOY30631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MSKFQPFFYITILLLLLNAGQTLCHTKGIRLRSSTGKQTKINMTRTQVSEQQFMQWVKFVGSLKHSVFRTAKNKLFPSYTLTVNKNPAAGDFTKIQDAIDSLPFINLVRVVIKVHAGVYTEKVNIPPLKSFITIEGAGADKTIVQWGDTAQTPGPRGQPLGTFGSATFAVNSPYFIAKNITFKNTTPVPPPGAVGKQAVAFRISADTATFLGCRFLGAQDTLYDHLGRHYYKDCYIEGSVDFIFGNALSLFEGCHVHAIARLTGALTAQGRGSILEDTGFSFVNCMVTGSGALYLGRAWGPFSRVVFAYTYMDNIIIPKGWYNWGDPNREM >EOY30633 pep chromosome:Theobroma_cacao_20110822:9:5204342:5211760:1 gene:TCM_037769 transcript:EOY30633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 NIPFCYTCSHSHSFLDMSKFQPFFYITILLLLLNAGQTLCHTKGIRLRSSTGKQTKINMTRTQVSEQQFMQWVKFVGSLKHSVFRTAKNKLFPSYTLTVNKNPAAGDFTKIQDAIDSLPFINLVRVVIKVHAGVYTEKVNIPPLKSFITIEGAGADKTIVQWGDTAQTPGPRGQPLGTFGSATFAVNSPYFIAKNITFKNTTPVPPPGAVGKQAVAFRISADTATFLGCRFLGAQDTLYDHLGRHYYKDCYIEGSVDFIFGNALSLFEGCHVHAIARLTGALTAQGRGSILEDTGFSFVNCMVTGSGALYLGRAWGPFSRDGVLWAVQMH >EOY30632 pep chromosome:Theobroma_cacao_20110822:9:5204342:5211760:1 gene:TCM_037769 transcript:EOY30632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MSKFQPFFYITILLLLLNAGQTLCHTKGIRLRSSTGKQTKINMTRTQVSEQQFMQWVKFVGSLKHSVFRTAKNKLFPSYTLTVNKNPAAGDFTKIQDAIDSLPFINLVRVVIKVHAGVYTEKVNIPPLKSFITIEGAGADKTIVQWGDTAQTPGPRGQPLGTFGSATFAVNSPYFIAKNITFKNTTPVPPPGAVGKQAVAFRISADTATFLGCRFLGAQDTLYDHLGRHYYKDCYIEGSVDFIFGNALSLFEGCHVHAIARLTGALTAQGRGSILEDTGFSFVNCMVTGSGALYLGRAWGPFSRVVFAYTYMDNIIIPKGWTVFYGQYKCTGPGSNFAGRVSWSRELTDEEAKPFISLGFIDGSEWIKL >EOY30630 pep chromosome:Theobroma_cacao_20110822:9:5206132:5209384:1 gene:TCM_037769 transcript:EOY30630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MSKFQPFFYITILLLLLNAGQTLCHTKGIRLRSSTGKQTKINMTRTQVSEQQFMQWVKFVGSLKHSVFRTAKNKLFPSYTLTVNKNPAAGDFTKIQDAIDSLPFINLVRVVIKVHAGVYTEKVNIPPLKSFITIEGAGADKTIVQWGDTAQTPGPRGQPLGTFGSATFAVNSPYFIAKNITFKNTTPVPPPGAVGKQAVAFRISADTATFLGCRFLGAQDTLYDHLGRHYYKDCYIEGSVDFIFGNALSLFEGCHVHAIARLTGALTAQGRGSILEDTGFSFVNCMVTGSGALYLGRAWGPFSRVVFAYTYMDNIIIPKGWYNWGDPNREMTVFYGQYKCTGPGSNFAGRVSWSRELTDEEAKPFISLGFIDGSEWIKL >EOY32992 pep chromosome:Theobroma_cacao_20110822:9:34798376:34826677:1 gene:TCM_041002 transcript:EOY32992 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MAESSSAPAPAAAAKSDAETEELLDRMLTRLALCDDSKLQALLSKLLPLTISSLSSSSQLVRNKVLEILSHVNKRVRHQPEIGLPLPELWKMYIEANANPMVKNFCIVYIEMAFERGPLKEKENMAPMLVVNISKVPQQHQEILMRIVAKVIGECHASHIDDEIAAKYKLVNDSQDRDLFLEFCLHAILYQSPAQGGGSSPGLSIAQANRVAGKVPLKGDMLLTRKLGILNVIEAMELSPELVYPLYVAASADSQEPVVKRGEELIKRKASGANLDDPRLINRLFLLFTGTAGAENVAVDSRVNPGNATLKVKLMAVFCRSITAANSFPSTLQCIFGCIYGTGTTSRLKQLGMEFTVWVFKHSKLDQLKLMGPLILNGILKLLDGYSNSESDSVARDTRTFSFQAIGLLAQRLPQLFRDKIDMATRLFDALKLESQSLRFVIQEATNSLAAAYMGASAAVLMQLETLLLNNCQVEQSEVRFCAVRWATSVFDSQHCPSRFICMLGAADSRLDIREMALEGLFLGKDVGRIISQNLDHRYPKLGDMLEYVLKQQPRLLDSFEMREQKLLFPSKMYVAMIKFLLKCFESELVQNNSLGRSSEFLSSVERMCLLLEHAMAFEGSVELHSTTSKALVTIGSYLPEMVASHFASRISWLKQLLSHVDMDTRESVARLLGIASSSLSLAASSGLIGELVSSFTGTNKRFEAQHGALCATGYVTADCVSRSPSIPKELLQNTLKCLVGVVNSESATLASIAMQALGHIGLYAPLPSLVSNSSSVSILEVLNEKLSKLLSGDDIKAIQKIVISIGHMCVKETSASHMKIALDLIFSLCRSKVEDILFAAGEALSFLWGGIPVTADVILKTNYTSLSMTSNFLMGDMKFSLSKYISDEKSEANEDCHIMVRDTITRKLFDALLYSNRKEERCAGTVWLLSLTIYCGHNPTIQHMLPEIQEAFSHLLGEQHELTQELASQGMSIVYELGDASMKKNLVEALVTTLTGSGKRKRAIKLVEDSEVFQEGTIGENLSGGKLSTYKELCNLANEMGQPDLIYKFMDLANYQASLNSKRGAAFGFSKIAKQAGDALQPHLRTLIPRLVRYQYDPDKNVQDAMAHIWKSLVAEPKRTIDENLDYIFDDLLIQCGSRLWRSREASCLALADVIQGRKFDQVGKHLKKIWVAAFRAMDDIKETVRNAGDKLCRAVTSLTIRLCDVSLTEASDASQSMDIVLPFLLAEGILSKVDSIRKASIGVVMKLAKGAGIAVRPHLSDLVCCMLESLSSLEDQGLNYVELHAANVGIQTEKLENLRLSIAKGSPMWETLDLCINVVDSKSLEMLVPRLANLVRSGVGLNTRVGVATFINLLVQKVGVDIRPFTNTLSKLLFPVVREEKSTAAKRAFAGALAIVLKYATPSQAEKLIEDTAALHTGDRNAQVSCAFLLKSYSSTASDVLSGYNTVIIPVIFISRFEDDKHVSGVFEELWEESTSGERMALQLYLGEIISLVGESITSSSWASKRKSAKAICKLSEVLGDSLSSYHHVLLKSLMKEIPGRLWEGKETLLHAIGALSTSCHEAISTEDPALPGTILSLVSSACTKKVKKYCEAAFSCLEQVIKSFGNPEFFNLVFPMLFEMCNSASLNKTGRAPLGSDIPRAESDDAEDVSVPIDKLMNCITACIQVASVTDMLEHKVKLMDVFSISLSPGFQWIVKMSAFSSIKELCSRLRTILDDSQETSLYAGATAFVQELFYSASPKVVECISTIKISQVHVAASECLVEITELAGRISAVNWTDTGMKGELLHLLEMEKNEQAKSLLRKCIDALEKLEQVNAQAS >EOY32993 pep chromosome:Theobroma_cacao_20110822:9:34804036:34826485:1 gene:TCM_041002 transcript:EOY32993 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MEFTVWVFKHSKLDQLKLMGPLILNGILKLLDGYSNSESDSVARDTRTFSFQAIGLLAQRLPQLFRDKIDMATRLFDALKLESQSLRFVIQEATNSLAAAYMGASAAVLMQLETLLLNNCQVEQSEVRFCAVRWATSVFDSQHCPSRFICMLGAADSRLDIREMALEGLFLGKDVGRIISQNLDHRYPKLGDMLEYVLKQQPRLLDSFEMREQKLLFPSKMYVAMIKFLLKCFESELVQNNSLGRSSEFLSSVERMCLLLEHAMAFEGSVELHSTTSKALVTIGSYLPEMVASHFASRISWLKQLLSHVDMDTRESVARLLGIASSSLSLAASSGLIGELVSSFTGTNKRFEAQHGALCATGYVTADCVSRSPSIPKELLQNTLKCLVGVVNSESATLASIAMQALGHIGLYAPLPSLVSNSSSVSILEVLNEKLSKLLSGDDIKAIQKIVISIGHMCVKETSASHMKIALDLIFSLCRSKVEDILFAAGEALSFLWGGIPVTADVILKTNYTSLSMTSNFLMGDMKFSLSKYISDEKSEANEDCHIMVRDTITRKLFDALLYSNRKEERCAGTVWLLSLTIYCGHNPTIQHMLPEIQEAFSHLLGEQHELTQELASQGMSIVYELGDASMKKNLVEALVTTLTGSGKRKRAIKLVEDSEVFQEGTIGENLSGGKLSTYKELCNLANEMGQPDLIYKFMDLANYQASLNSKRGAAFGFSKIAKQAGDALQPHLRTLIPRLVRYQYDPDKNVQDAMAHIWKSLVAEPKRTIDENLDYIFDDLLIQCGSRLWRSREASCLALADVIQGRKFDQVGKHLKKIWVAAFRAMDDIKETVRNAGDKLCRAVTSLTIRLCDVSLTEASDASQSMDIVLPFLLAEGILSKVDSIRKASIGVVMKLAKGAGIAVRPHLSDLVCCMLESLSSLEDQGLNYVELHAANVGIQTEKLENLRLSIAKGSPMWETLDLCINVVDSKSLEMLVPRLANLVRSGVGLNTRVGVATFINLLVQKVGVDIRPFTNTLSKLLFPVVREEKSTAAKRAFAGALAIVLKYATPSQAEKLIEDTAALHTGDRNAQVSCAFLLKSYSSTASDVLSGYNTVIIPVIFISRFEDDKHVSGVFEELWEESTSGERMALQLYLGEIISLVGESITSSSWASKRKSAKAICKLSEVLGDSLSSYHHVLLKSLMKEIPGRLWEGKETLLHAIGALSTSCHEAISTEDPALPGTILSLVSSACTKKVKKYCEAAFSCLEQVIKSFGNPEFFNLVFPMLFEMCNSASLNKTGRAPLGSDIPRGI >EOY32994 pep chromosome:Theobroma_cacao_20110822:9:34798667:34822250:1 gene:TCM_041002 transcript:EOY32994 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 SSSSQLVRNKVLEILSHVNKRVRHQPEIGLPLPELWKMYIEANANPMVKNFCIVYIEMAFERGPLKEKENMAPMLVVNISKVPQQHQEILMRIVAKVIGECHASHIDDEIAAKYKLVNDSQDRDLFLEFCLHAILYQSPAQGGGSSPGLSIAQANRVAGKVPLKGDMLLTRKLGILNVIEAMELSPELVYPLYVAASADSQEPVVKRGEELIKRKASGANLDDPRLINRLFLLFTGTAGAENVAVDSRVNPGNATLKVKLMAVFCRSITAANSFPSTLQCIFGCIYGTGTTSRLKQLGMEFTVWVFKHSKLDQLKLMGPLILNGILKLLDGYSNSESDSVARDTRTFSFQAIGLLAQRLPQLFRDKIDMATRLFDALKLESQSLRFVIQEATNSLAAAYMGASAAVLMQLETLLLNNCQVEQSEVRFCAVRWATSVFDSQHCPSRFICMLGAADSRLDIREMALEGLFLGKDVGRIISQNLDHRYPKLGDMLEYVLKQQPRLLDSFEMREQKLLFPSKMYVAMIKFLLKCFESELVQNNSLGRSSEFLSSVERMCLLLEHAMAFEGSVELHSTTSKALVTIGSYLPEMVASHFASRISWLKQLLSHVDMDTRESVARLLGIASSSLSLAASSGLIGELVSSFTGTNKRFEAQHGALCATGYVTADCVSRSPSIPKELLQNTLKCLVGVVNSESATLASIAMQALGHIGLYAPLPSLVSNSSSVSILEVLNEKLSKLLSGDDIKAIQKIVISIGHMCVKETSASHMKIALDLIFSLCRSKVEDILFAAGEALSFLWGGIPVTADVILKTNYTSLSMTSNFLMGDMKFSLSKYISDEKSEANEDCHIMVRDTITRKLFDALLYSNRKEERCAGTVWLLSLTIYCGHNPTIQHMLPEIQEAFSHLLGEQHELTQELASQGMSIVYELGDASMKKNLVEALVTTLTGSGKRKRAIKLVEDSEVFQEGTIGENLSGGKLSTYKELCNLANEMGQPDLIYKFMDLANYQASLNSKRGAAFGFSKIAKQAGDALQPHLRTLIPRLVRYQYDPDKNVQDAMAHIWKSLVAEPKRTIDENLDYIFDDLLIQCGSRLWRSREASCLALADVIQGRKFDQVGKHLKKIWVAAFRAMDDIKETVRNAGDKLCRAVTSLTIRLCDVSLTEASDASQSMDIVLPFLLAEGILSKVDSIRKASIGVVMKLAKGAGIAVRPHLSDLVCCMLESLSSLEDQGLNYVELHAANVGIQTEKLENLRLSIAKGSPMWETLDLCINVVDSKSLEMLVPRLANLVRSGVGLNTRVGVATFINLLVQKVGVDIRPFTNTLSKLLFPVVREEKSTAAKRAFAGALAIVLKFEDDKHVSG >EOY30775 pep chromosome:Theobroma_cacao_20110822:9:5744404:5749356:-1 gene:TCM_037868 transcript:EOY30775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leaf protein isoform 2 MNILIGKGKPQEAHSIFNSLTEEGHKPTLVTYTTLVAALTRQKHYKAIISLISKVEESGMKPDSILFNAMINAFSESGNVKEAMKIFQKMKKSGCKPTTSTFNTLIKGYGNIGKAEESSKLLETMLQDENVQPNDRTYNILIRAWCNKKNIQEAWNVVYRMVASGMHPDVVTYNTIARAYAQTGETYRAEQMVFEMQNNKVAPNERTCGIIVTGYSKEGNMTEALRFVYRMKELGVQPNLVVFNSLIKGFLDVTDTDGVDEALTLMEEFGVKPDVITFSTIMNAWSSAGLMEKCQEIFDDMVQAGIEPDAHAFSILAKGYVRAGETGEAESLLDLMSKFGVHPNVVIFTTIISGWCTAGKMDHAARVYEKMCEIGVSPNLTTYETLIWGYGEAKQPRKAEELLQIMDEKGITPDRNTMQLVADAWRAIGLLSEARRIVKNIDRGVASNNIDEIPAESLEKIYKKQNLSACYSNVLQVPGVTTSDQNGSSTAKTRSQMVLKKYDSSSMYLAHASVLGVQPGIICRKQLIRRDNMHVQFVNSCKSVFIN >EOY30774 pep chromosome:Theobroma_cacao_20110822:9:5744309:5749590:-1 gene:TCM_037868 transcript:EOY30774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leaf protein isoform 2 MGKHQERTIMSKKPVKASAVVLSHVDEKDQSNSVTPSADSEVKSKCIGCLGNNGCRTVHARTKLMNILIGKGKPQEAHSIFNSLTEEGHKPTLVTYTTLVAALTRQKHYKAIISLISKVEESGMKPDSILFNAMINAFSESGNVKEAMKIFQKMKKSGCKPTTSTFNTLIKGYGNIGKAEESSKLLETMLQDENVQPNDRTYNILIRAWCNKKNIQEAWNVVYRMVASGMHPDVVTYNTIARAYAQTGETYRAEQMVFEMQNNKVAPNERTCGIIVTGYSKEGNMTEALRFVYRMKELGVQPNLVVFNSLIKGFLDVTDTDGVDEALTLMEEFGVKPDVITFSTIMNAWSSAGLMEKCQEIFDDMVQAGIEPDAHAFSILAKGYVRAGETGEAESLLDLMSKFGVHPNVVIFTTIISGWCTAGKMDHAARVYEKMCEIGVSPNLTTYETLIWGYGEAKQPRKAEELLQIMDEKGITPDRNTMQLVADAWRAIGLLSEARRIVKNIDRGVASNNIDEIPAESLEKIYKKQNLSACYSNVLQVPGVTTSDQNGSSTAKTRSQMVLKKYDSSSMYLAHASVLGVQPGIICRKQLIRRDNMHVQFVNSCKSVFIN >EOY29676 pep chromosome:Theobroma_cacao_20110822:9:2027061:2029417:1 gene:TCM_037150 transcript:EOY29676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVFYFKARPEAGDYTIFMGLDKYENEELIRYGFPEDIWFHVDKMSSAHVYLRLQKGQTIDDISEGVLEDCAQLVKANSIQGNKVNNIDVVYTPWSNLKKTASMDVGQVGFHNPKMVRTVRVEKRINEIVNRLNRTKVERKPDLKAEREAVNAAERAERKQQLREKKRCEELEKLEKERQAEIRSYKGLMVSEKMTSNKQIAETSKSLQELEEDFM >EOY29079 pep chromosome:Theobroma_cacao_20110822:9:45766:48389:1 gene:TCM_036745 transcript:EOY29079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase C-like zinc finger protein MAEPDYQAKSMPSDDFTTMLASQGVDFLLSVGGEVPLTSLDLEKTVCLFFSANWCRPCKTFIPELVQLYNTLKSRGEGLEIVFISFDHDEDGFNEHFKSMPWLTVPFNANLQNKLRERFNVVRIPSLLPLNSDGQSMEEDLIGLVEDYGEDAFPFTRKRRAELKASDDSKRQGGKVEQLLAHQGRNYVVSRDSGKILVSELVGKTIGLYFGAHWCPPCRAFTAQLVEAYKQLLNSRGGCFEVILVSTDRDQKEFDLNISGMPWLALPFEDRTRHDLCRIFNIKAIPALVLIGPDGKTISTNGKTILSLYGAKAFPFTQSSIAEIETTLKKEGDALPHQIQDTRHEHVLKLDMARAYVCDYCKRPGRFWAFSCDVCDYDLHPTCVEEAC >EOY30059 pep chromosome:Theobroma_cacao_20110822:9:3234549:3237862:-1 gene:TCM_037400 transcript:EOY30059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase 9A4 isoform 1 MGTFARTYAAAVVAWLAVFQGHLVSVACHDSNYKDALTKSIIFLEAQRSGKLPPNHRPSWRGDSALDDGKEANVDLVGGYYDAGDNVKYGLPMAFTTTTLAWSAIAYKSHLQAAGELENVRAAIRWGTDYFLKAAARRDRLYVQVGDPVKDHECWVRPEKMKTPRTVLQINASAPGTEIAAETAAAMAASSMVFRGTDRAYARRLLNKAKLLFEFAKSHKGTYDGECPFYCSYSGYNDELLWAAAWLYHATFKSAYLHYIKEEAVSAVVDEFNWDLKYAGVQVLLSQFFFKGDQCLKSYKDQADSYICSVLPESPYFKIPMTPGGMIHLRDGANTQYVTGAAFLFTIYGDMLQKFNQKVQCGDKQFDSTHLLAFAKQQMDYILGKNPEGRSYMVGFGNNPPKQAHHRGASVPVSEANLEVGCPMSFVRWYNKDVPNPNELTGAILGGPDKQDHFTDLRWTSVYTEPCTYVNSQAVAPLAKLTCPSIKP >EOY30058 pep chromosome:Theobroma_cacao_20110822:9:3234209:3237831:-1 gene:TCM_037400 transcript:EOY30058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase 9A4 isoform 1 MGTFARTYAAAVVAWLAVFQGHLVSVACHDSNYKDALTKSIIFLEAQRSGKLPPNHRPSWRGDSALDDGKEANVDLVGGYYDAGDNVKYGLPMAFTTTTLAWSAIAYKSHLQAAGELENVRAAIRWGTDYFLKAAARRDRLYVQVGDPVKDHECWVRPEKMKTPRTVLQINASAPGTEIAAETAAAMAASSMVFRGTDRAYARRLLNKAKLLFEFAKSHKGTYDGECPFYCSYSGYNDELLWAAAWLYHATFKSAYLHYIKEEAVSAVVDEFNWDLKYAGVQVLLSQFFFKGDQCLKSYKDQADSYICSVLPESPYFKIPMTPGGMIHLRDGANTQYVTGAAFLFTIYGDMLQKFNQKVQCGDKQFDSTHLLAFAKQQMDYILGKNPEGRSYMVGFGNNPPKQAHHRGASVPVSEANLEVGCPMSFVRWYNKDVPNPNELTGAILGGPDKQDHFTDLRWTSVYTEPCTYVNSQAVAPLAKLTCPSIKP >EOY29480 pep chromosome:Theobroma_cacao_20110822:9:1299312:1303817:1 gene:TCM_046912 transcript:EOY29480 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 family protein, putative MDSDNLLSAGGLEIAHRNGVYPQLRVSGDDSEISDNVNGNVEKAAKSYVQNGMDDNGATGEAREGSNDFVENNGLIDSKEGELKDNAKQSKPQKVQGKTKNEKPSGPKNVSSTLVKKSKDGKSADVMLTTSNGGSVATNSRLKQPLKSMSFNERQANASKQSEKPDAAFSEGTMEKPKLKPLKKGPVNKAEGDTESFPTAADAKPRRVGTLPNYGFSFKCDERAEKRREFYTKLGEKIHAREVEKSNLQAKSKETQEAEIKMLRKSLNFKATPMPSFYQEPPPPKVELKKIPPTRAKSPKLGRKKGSTPSESDGNSNSGHQSGRLSLDEKASQSISGKVISPVHARKPQRKSLPKLPSQKTSLSSAANEEKTSKGSNQEKVTASKATTEGKIASSKATNEENTTLSDVTNEELSPVQQQEAVSTADSGESQLYMDQAPVIGEEGQLDLVQEPIALQH >EOY31833 pep chromosome:Theobroma_cacao_20110822:9:16055853:16063297:1 gene:TCM_039111 transcript:EOY31833 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance-like protein MGGLLSTKGKNASEWKRLCNSLNAQLDSSPHLTYVKKILSLSYLDLPSYLKSCFLYLCVFPEDHSINCARLIRLWAADGFSKRKQGMTLEEVAREYLIKLNHRNLIQVAQIDSIGRVRECRVHDLMREVILSKSEELSLIQTQPGYLTATDGIGRHLSISKRANNSSMSVGNFQTHSIMFF >EOY33675 pep chromosome:Theobroma_cacao_20110822:9:38549322:38550752:-1 gene:TCM_041581 transcript:EOY33675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATSPPSTTPNGRSARVFSESDEIQILKCLIRATKSIPPPITTVGTRTINRIIKRLNYKFTPSQINDKVRRLRLKYHKHARSKSLVRTHHDRRIFKLSKRIWGKKISATNNEKVEEEMGSGERNWVRSLEKFPYLVAEFSRVLPENEVWKERMKGLEEEKLRKMDQEWIFIKVEEAKLVAKKADLMQQQIMEIMGETVSANGVSSPFFQGGSSFRIQTRKKGFTLTPSA >EOY30126 pep chromosome:Theobroma_cacao_20110822:9:3500188:3503187:1 gene:TCM_037446 transcript:EOY30126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, putative MFLFVVVQEINNKTVNRVAAGQPFQEEHVQYNKNNHMDSTKSFKSYSKVDELEEQAYRKKTRKRMIIITLSTIILIAIVIGAVLGILFPVKSSKSQENPANYTAENIDAICIMTRYPDSCDSSIASLRSSSNDTDPSPSPGKIFTLSMQVARDELIRLSSLPQKIISSNVTSDPLVRGALDNCETLFKDAVEYINDSIASMQVGQAEKIVLLKAKINDIRTWLSSAITNQETCLDGLIEAANHTFIPQEVEYAMRNSTEFSSNSLAIASNLMTILHHFQVSVHRRLLKLDNHHGHESDSDFPGWVHSGERRLLQEENPRPDLTVAQDGSGDFRTISEAVESIPKKNKSRFVIYIKEGVYLENVKIDKDCWNVMVYGDGMYKTIVSGSLNKVDGTPTFSSGTFIAAGRGFIARDMGFRNIAGPVKEQAVALRSSSDQSVFYRCYFDAYQDTLYTHANRQFYRDCHIAGTIDFIFGNAAVVLQNCSIQPRQPGPDQFNTITAQSKTDPNQNTGISIQRCHITPFDNLTATTYLGRPWKDYATTIFMQSYIGEFVDPAGWTQWTQGVDPPNTIFYAEYQNIGPGSRTGQRINWPGVRPNLTNEEATRFTVEPFIRGRQWLPKANIIYESSLE >EOY30232 pep chromosome:Theobroma_cacao_20110822:9:3867673:3871218:1 gene:TCM_037508 transcript:EOY30232 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein isoform 2 MCHSRGAAARNENTVTAESSKGGAPFHSFHKPQKYLAFSKTQSMAPDYKSSDGLVVDNTLQSICYKCGSLQLLDQRKLPLETVYLDIQDATDGWNAIRDMVVRGAPAIAIAAALSLAVEVANLKDFNGTCEDAASFLEMKLEYLVSSRPTAVNLSDAATKLRKIISKAATTATVPGNVFQAYIEAAEVMLEDDVASNKAIGSYGASFLQHQQKNSKRFSVLTHCNTGSLATAGYGTALGVIRALHAEGVLERAYCTETRPFNQGSRLTAFELVHEKIPATLIADSAAAALMKEGRVSAVIVGADRVAANGDTANKIGTYSLAICAMYHHIPFYVAAPLTSIDLSLSSGQEIIIEERSAKELLHTRGGLGEQVAASGISVWNPAFDVTPANLIAGIITEKGVITKNTDAFDIKNFMEKATGKSTV >EOY30233 pep chromosome:Theobroma_cacao_20110822:9:3867673:3870560:1 gene:TCM_037508 transcript:EOY30233 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein isoform 2 MCHSRGAAARNENTVTAESSKGGAPFHSFHKPQKYLAFSKTQSMAPDYKSSDGLVVDNTLQSICYKCGSLQLLDQRKLPLETVYLDIQDATDGWNAIRDMVVRGAPAIAIAAALSLAVEVANLKDFNGTCEDAASFLEMKLEYLVSSRPTAVNLSDAATKLRKIISKAATTATVPGNVFQAYIEAAEVMLEDDVASNKAIGSYGASFLQHQQKNSKRFSVLTHCNTGSLATAGYGTALGVIRALHAEGVLERAYCTETRPFNQGSRLTAFELVHEKIPATLIADSAAAALMKEGRVSAVIVGADRVAANGDTANKIGTYSLAICAMYHHIPFYVAAPLTSIDLSLSSGQEIIIEERSAKELLHTRGGLGEQVAASGISVWNPAFDVTPANLIAGIITEKVSLSLFWCVCIEIHITPVHMNLFVFVPYPTSFSRCESHQPKVKTEEKDLSILLVVLSNK >EOY32736 pep chromosome:Theobroma_cacao_20110822:9:33261311:33264634:-1 gene:TCM_040763 transcript:EOY32736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeic acid 3-O-methyltransferase 1 isoform 2 MESSAEQNQESFSYAIQIVTSNALPMSMHAAIQLQLFEIIAKAGPDAKLSPKEIAAQLRTKNPDAPSMLDRLLRVLASHNIVGCSVADDEQGNNPQRLYSLTPVSKFFVPNEDGVSLGPLMSLLQDKVFLDSWSQLKDAILEGGIPFDRVHGTNAFEYPGKDPRFNQVFNTAMINHTTIIVKKILETYKGFEQLNRVVDVGGGLGVTLSIITSKYPSIRGINFDLPHVIQHAPAYPGVEHVGGDMFKSVPKGDAIFMKWILHDWSDEHCLKLLKNCYNAIPDDGKVIVADAVLPIVPEANAFVRGTSMMDVLMMTQNPGGKERTKPEFEALATKAGFAGIRYECSVCNFWVMEFFK >EOY32737 pep chromosome:Theobroma_cacao_20110822:9:33262742:33264477:-1 gene:TCM_040763 transcript:EOY32737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeic acid 3-O-methyltransferase 1 isoform 2 MESSAEQNQESFSYAIQIVTSNALPMSMHAAIQLQLFEIIAKAGPDAKLSPKEIAAQLRTKNPDAPSMLDRLLRVLASHNIVGCSVADDEQGNNPQRLYSLTPVSKFFVPNEDGVSLGPLMSLLQDKVFLDSWSQLKDAILEGGIPFDRVHGTNAFEYPGKDPRFNQVFNTAMINHTTIIVKKILETYKGFEQLNRVVDVGGGLGVTLSIITSKYPSIRGINFDLPHVIQHAPAYPGNNLPQSRMR >EOY31788 pep chromosome:Theobroma_cacao_20110822:9:14008475:14011198:-1 gene:TCM_038916 transcript:EOY31788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTGDRSWMYRRLTSDGFIKDEFVNGVNEFINFARSKSTFMWDNKIRCPCFRCNNNKFLNSDTVTKHILRKAFTGAYTIWSLHGKDDVGQSSRSRDRIEPYASNEEHEDYREPTCEEEIENPYTRMVRDAIGPEVAFNHGYENESRFVEEDPNPNAFSFYSLLSNVEEPLWSGCTKYTTLSAVS >EOY31787 pep chromosome:Theobroma_cacao_20110822:9:14008445:14011312:-1 gene:TCM_038916 transcript:EOY31787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTGDRSWMYRRLTSDGFIKDEFVNGVNEFINFARSKSTFMWDNKIRCPCFRCNNNKFLNSDTVTKHILRKAFTGAYTIWSLHGKDDVGQSSRSRDRIEPYASNEEHEDYREPTCEEEIENPYTRMVRDAIGPEVAFNHGYENESRFVEEDPNPNAFSFYSLLSNVEEPLWSGCTKYTTLSAVS >EOY31461 pep chromosome:Theobroma_cacao_20110822:9:8944995:8952845:1 gene:TCM_038394 transcript:EOY31461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase 1 MEASAGMVAGSHRRNELVRIRHDSDSGPKPLKNLNGQTCQICGDNVGLTAAGDVFVACNECAFPVCRPCYEYERKDGTQCCPQCKTRYKRHKGSPRVEGDDDEDDVDDLENEFDYAQGHSKARRQWQGEDVDLSSSSRHESQQPIPLLTNGHSVSGEIPCATPDNESVRTTSGPLGPSEKNVSSSPYIDPRQPVPVRIVDPTKDLNSYGLGNVDWKERVESWKLKQEKNVMQMSSRYPEGKGDIEGTGSNGEELQMADDARQPLSRVVPISSSHLTPYRVVIILRLIILGFFLQYRATHPVKDAYPLWLTSVICEIWFALSWLLDQFPKWSPINRETYLDRLALRYDRDGEPSQLAPVDVFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVACYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLIYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAFLLNVDCDHYFNNSKALKEAMCFLMDPLLGKKTCYVQFPQRFDGIDFHDRYANRNVVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIIVKSCCGSRKKGKSGNKKYIDKKRAAKRTESTIPIFNMEDIEEGVEGYDDERSLLMSQKSLEKRFGQSPVFIAATFMEQGGIPPSTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPMWYGYNGRLKLLERLAYINTIVYPLTSIPLLAYCMLPAFCLLTGKFIIPEISNFASMWFILLFVSIFATGILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDDDGDFAELYVFKWTTLLIPPTTVLIVNLVGIVAGVSYAINSGYQSWGPLFGKLFFAIWVIAHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSDATKSAANGQCGINC >EOY33179 pep chromosome:Theobroma_cacao_20110822:9:35784236:35785358:-1 gene:TCM_041151 transcript:EOY33179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQERGWAKSGPHVSFWILVEAIAVAYAWTDRPVTILPIVKDIVASGVSVWLYRQSKLNDGDTDGRVPVAATRIDISYNLRSGASGPKLPARTCPNLDLIIPSRDSPSFLTMTHRIADKKTER >EOY33103 pep chromosome:Theobroma_cacao_20110822:9:35434706:35444220:-1 gene:TCM_041093 transcript:EOY33103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative isoform 2 MTKTIGIFLAMATTGKEDSNADPEDLHSFISQQLHELMAAHRLESDLDLAFHLQLQEALSASLSLHSSQPPPPPPPPRPSQNDSVISLTSQELSKLQQESLDHKHCLLETQKLKEDLKRQVHDEKFARQLAGIPEGQWAEWGDCFERPLGEGCSKGLQWEETDSEDNDVDVSDDGECEFRIYFKGLIGEEKVKNVKSNLAGIGVAICDFRDNLIYEIKKPLVGVGLSKQAAELKALIEGLSAALSLGLTRVEVFCDYYPIYQYVIRRWPPKQRKISMLVDQVLLLQSKFAYCSPTHVARNDIKYAFKLAREAIDSQVTRPVDQNHGKTVKETCVICLEDTDLGCMFSVDGCMHRYCFSCMKQHVEVKLLHGMVPKCPHENCKSELNVDSCKKFLTPKMIETFKQRLKEASIPVGDKVYCPYPRCSALMSRSEVSEYTKDVLVGAERSGASKCLKCHSLFCINCKVPWHSNMTCLDYKRKNPNPPAEDLKLKSLATMNLWRQCVKCNHMIELSEGCYHMTYVGMSFAIIVGLHGGIRRQLVLVHSGRRIAFGMKIGTPMKRRKRKRRRMTGSRKRRRMTGFISEDSQLLVDSTLTLLSYLSG >EOY33102 pep chromosome:Theobroma_cacao_20110822:9:35435137:35438017:-1 gene:TCM_041093 transcript:EOY33102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative isoform 2 MTKTIGIFLAMATTGKEDSNADPEDLHSFISQQLHELMAAHRLESDLDLAFHLQLQEALSASLSLHSSQPPPPPPPPRPSQNDSVISLTSQELSKLQQESLDHKHCLLETQKLKEDLKRQVHDEKFARQLAGIPEGQWAEWGDCFERPLGEGCSKGLQWEETDSEDNDVDVSDDGECEFRIYFKGLIGEEKVKNVKSNLAGIGVAICDFRDNLIYEIKKPLVGVGLSKQAAELKALIEGLSAALSLGLTRVEVFCDYYPIYQYVIRRWPPKQRKISMLVDQVLLLQSKFAYCSPTHVARNDIKYAFKLAREAIDSQVTRPVDQNHGKTVKETCVICLEDTDLGCMFSVDGCMHRYCFSCMKQHVEVKLLHGMVPKCPHENCKSELNVDSCKKFLTPKMIETFKQRLKEASIPVGDKVYCPYPRCSALMSRSEVSEYTKDVLVGAERSGASKCLKCHSLFCINCKVPWHSNMTCLDYKRKNPNPPAEDLKLKSLATMNLWRQCVKCNHMIELSEGCYHMTCRCGYEFCYNCGAAWRDKKATCSCPLWEEDRIWHENRDSDEEEEEEEEEDDWFEEEEEDDWLHF >EOY33104 pep chromosome:Theobroma_cacao_20110822:9:35435294:35438133:-1 gene:TCM_041093 transcript:EOY33104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative isoform 2 MTKTIGIFLAMATTGKEDSNADPEDLHSFISQQLHELMAAHRLESDLDLAFHLQLQEALSASLSLHSSQPPPPPPPPRPSQNDSVISLTSQELSKLQQESLDHKHCLLETQKLKEDLKRQVHDEKFARQLAGIPEGQWAEWGDCFERPLGEGCSKGLQWEETDSEDNDVDVSDDGECEFRIYFKGLIGEEKVKNVKSNLAGIGVAICDFRDNLIYEIKKPLVGVGLSKQAAELKALIEGLSAALSLGLTRVEVFCDYYPIYQYVIRRWPPKQRKISMLVDQVLLLQSKFAYCSPTHVARNDIKYAFKLAREAIDSQVTRPVDQNHGKTVKETCVICLEDTDLGCMFSVDGCMHRYCFSCMKQHVEVKLLHGMVPKCPHENCKSELNVDSCKKFLTPKMIETFKQRLKEASIPVGDKVYCPYPRCSALMSRSEVSEYTKDVLVGAERSGASKCLKCHSLFCINCKVPWHSNMTCLDYKRKNPNPPAEDLKLKSLATMNLWRQCVKCNHMIELSEGCYHMTCRSFILVMV >EOY30207 pep chromosome:Theobroma_cacao_20110822:9:3786068:3789915:-1 gene:TCM_037490 transcript:EOY30207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 7 MGFINSFFVAIIFSFFIFHANATNVTHDGRAIIIDGVHRVLISGSIHYPRSTAQASMWPDLIRKAKEGGLDTIETYVFWNAHEPVHRQYDFSGNLDLVRFIKTIQDEGLYAVLRIGPYVCAEWNYGGFPVWLHNMPGVSFRTNNDVYMNEMQNFTTLIVDMMKKENLFASQGGPIILAQIENEFGNVMGPYGEGGKEYVQWCSDMADSLGVGVPWIMCQQKDAPKPMIDTCNGFYCDEFKPNNPSSPKMWTENWTGWFKSWGGADPHRTPEDLAYAVARFYQKGGTFQNYYMYHGGTNFGRSSGGPYITTTYDYNAPLDEYGNPNQPKWGHLKQLHDVLRSMEYTLTRGDVKNIDLGNSIWATVYSTKEKSSCFLSNSDNVTDAEVRFGGTDYSVPAWSVSILPDCGDEAYNTAKVYAQTSVMVKKSNKAEDEPNSLEWEWRPENIEKTAVQGKGDHHANQIIDQKAMANDASDYLWYMTRVELANDDPLVTEKATLRVTDSGHVLHAYVNGKYIGSQWANYSSQKYVFEHEVKLNPGKNLISLLSATVGLHNYGPMFDLNVTGVLSPVELVAHKEDGKVVKDLSSQKWSYKVGLDGVANKLYETDCPSKLKWASDSIPVDRNLTWYKTTFKAPLGKAPVVVDLLGLGKGHAWVNSHSLGRYWPSYIADQHACKAEACDYRGPYSDKKCVSKCGEPTQRWYHVPRSFLKDGDNTLVLFEEFGGNPSRVQFQTVEIGTACINAHVGKKVELSCHDRPISRINFASFGDPQGVCGAFKKSECESTVDAVSILEKECVGRESCSFQVSEDKFGKAYCAVNRLAVEAIC >EOY31866 pep chromosome:Theobroma_cacao_20110822:9:16833576:16840950:-1 gene:TCM_039194 transcript:EOY31866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vernalization5/VIN3-like, putative isoform 2 MDEKRELVYELSKRTHRASEMLQSWSRQEILQILCAEMGKERKYTGLTKLKIIENLLKIVAEKNSGEHEGVTDPESQSSPTNGQRTSKRQRKADNPSRLPVPVNDLAITTGGNDMSNAIYCKNSACKATLRQEDAFCKRCSCCICYKFDDNKDPSLWLICSSEPPCQGNSCGMSCHLECALKHEKSGIGKDRRHAGLDGSFCCVACGKVNDLLGCWRKQLMAAKDTRRVDILCYRVSLGQKLLNGTEKYRKLSEIVDKAVKKLEAEVGPLTGLPVKMGRGIVNRLSSGPEVQKLCSSAVESLDKILFDTISHSSPNHSIPAGSSSIPPAIVRFEDVCPTSLSVIVGSEEPLPGSSVGYTLWHRKVHDRDYPVKSTCTLCVPDRRFVVTGLTPATEYHFKIVSFNGTREFGPWEVSISTACSGDEVPSCPVMERSQSPATNCSSLSNPSSVEDETNNITPYSDQNDDRADNYVTYCKDTDKIVSTNLSKGAINCTVLGEDGVPADAVSLLGEVRAMEIVGPMPDSVVLNVEKKHTSEDPITEETSTDDGSDAPVQTGTECVPFVGCSEAGLPITPCRMEIIKDGPGRSGRSKSSNKDLENGAGKGEDPQDGSTSKKRSGERRDEECVENGLSETDFEHCVKVIRWLECKGHIEKNFRQKFLTWYSLRATPQEVRIVKVFVDIFITDPASLAEQLVDTFADCISSKKSSVVPAGFCMKLWH >EOY31865 pep chromosome:Theobroma_cacao_20110822:9:16833752:16842016:-1 gene:TCM_039194 transcript:EOY31865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vernalization5/VIN3-like, putative isoform 2 MDSCFEGVALDPSKCSKLSMDEKRELVYELSKRTHRASEMLQSWSRQEILQILCAEMGKERKYTGLTKLKIIENLLKIVAEKNSGEHEGVTDPESQSSPTNGQRTSKRQRKADNPSRLPVPVNDLAITTGGNDMSNAIYCKNSACKATLRQEDAFCKRCSCCICYKFDDNKDPSLWLICSSEPPCQGNSCGMSCHLECALKHEKSGIGKDRRHAGLDGSFCCVACGKVNDLLGCWRKQLMAAKDTRRVDILCYRVSLGQKLLNGTEKYRKLSEIVDKAVKKLEAEVGPLTGLPVKMGRGIVNRLSSGPEVQKLCSSAVESLDKILFDTISHSSPNHSIPAGSSSIPPAIVRFEDVCPTSLSVIVGSEEPLPGSSVGYTLWHRKVHDRDYPVKSTCTLCVPDRRFVVTGLTPATEYHFKIVSFNGTREFGPWEVSISTACSGDEVPSCPVMERSQSPATNCSSLSNPSSVEDETNNITPYSDQNDDRADNYVTYCKDTDKIVSTNLSKGAINCTVLGEDGVPADAVSLLGEVRAMEIVGPMPDSVVLNVEKKHTSEDPITEETSTDDGSDAPVQTGTECVPFVGCSEAGLPITPCRMEIIKDGPGRSGRSKSSNKDLENGAGKGEDPQDGSTSKKRSGERRDEECVENGLSETDFEHCVKVIRWLECKGHIEKNFRQKFLTWYSLRATPQEVRIVKVFVDIFITDPASLAEQLVDTFADCISSKKSSVVPAGFCMKLWH >EOY31867 pep chromosome:Theobroma_cacao_20110822:9:16833576:16841944:-1 gene:TCM_039194 transcript:EOY31867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vernalization5/VIN3-like, putative isoform 2 MDEKRELVYELSKRTHRASEMLQSWSRQEILQILCAEMGKERKYTGLTKLKIIENLLKIVAEKNSGEHEGVTDPESQSSPTNGQRTSKRQRKADNPSRLPVPVNDLAITTGGNDMSNAIYCKNSACKATLRQEDAFCKRCSCCICYKFDDNKDPSLWLICSSEPPCQGNSCGMSCHLECALKHEKSGIGKDRRHAGLDGSFCCVACGKVNDLLGCWRKQLMAAKDTRRVDILCYRVSLGQKLLNGTEKYRKLSEIVDKAVKKLEAEVGPLTGLPVKMGRGIVNRLSSGPEVQKLCSSAVESLDKILFDTISHSSPNHSIPAGSSSIPPAIVRFEDVCPTSLSVIVGSEEPLPGSSVGYTLWHRKVHDRDYPVKSTCTLCVPDRRFVVTGLTPATEYHFKIVSFNGTREFGPWEVSISTACSGDEVPSCPVMERSQSPATNCSSLSNPSSVEDETNNITPYSDQNDDRADNYVTYCKDTDKIVSTNLSKGAINCTVLGEDGVPADAVSLLGEVRAMEIVGPMPDSVVLNVEKKHTSEDPITEETSTDDGSDAPVQTGTECVPFVGCSEAGLPITPCRMEIIKDGPGRSGRSKSSNKDLENGAGKGEDPQDGSTSKKRSGERRDEECVENGLSETDFEHCVKVIRWLECKGHIEKNFRQKFLTWYSLRATPQEVRIVKVFVDIFITDPASLAEQLVDTFADCISSKKSSVVPAGFCMKLWH >EOY31726 pep chromosome:Theobroma_cacao_20110822:9:13298406:13304230:-1 gene:TCM_038835 transcript:EOY31726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteinyl-tRNA synthetase MDPSKRETNLKKAPKTMTKKETEEFQFVVYSTMTQQKEVFKPKIPGKVGMYVCGVTAYDFSHLGHARAAVAFDVLYRYLQHLGYEVTYVRNFTDVDDKIIRRANETGEDPLSLSDRYCKEYNVDMADLQCLSPTHEPRVSDHLEQIKDMITQIINKDFGYVVDGDVFFAVDKFPNYGKLSGQKLENNRAGERVAVDSRKRNPSDFALWKAAKPGEPSWDSPWGHGRPGWHIECSAMSAHYLSFKFDIHGGGLDLIFPHHENEIAQSCAACQESDVSYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRYFLISAHYRSPLNYSVVQLEGASEAVFYIYQTLKDCQDALLQLQEERPKDGKPARTTPDAQECISKLCSEFQAKMSDDLSTSLILTGAFLEALKLINNLLTMLKKKQQKQQRLSVIQSLTEVEKEVKKVLDVLGLQPPCSYAEVLLQLRDRALTRAGLVEDDVLRLISERVEVRRNKDFLKSDQMRADLQAKGIALMDVGTETIWRPCVPVQQELEVVPPGG >EOY33052 pep chromosome:Theobroma_cacao_20110822:9:35135348:35138340:-1 gene:TCM_041056 transcript:EOY33052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 71 isoform 1 MTVIDILFRVDEICKKYEKYDPEKHCEVNAFGDDSFARLYALVEADIDKALHKSEMVSMEKNRAAAVAMSAEIRRLKARLMEEVPKLQKLAKKKVKGISKEDQETRFDLVLALPERIKAISDGSTTAANQTAGWGTSSSRKNIKFDSSEERFDSDFSQQTEESNQFRQEYEMRKMKQDEGLDIISEGLNALKNIARDMNEELDRQVPLMDEIDTKVDKATSDIKRTNVRLKKTVTEIRSSRNFCIDIILLCVILGIASSLYNVLH >EOY33051 pep chromosome:Theobroma_cacao_20110822:9:35135047:35138170:-1 gene:TCM_041056 transcript:EOY33051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 71 isoform 1 MTVIDILFRVDEICKKYEKYDPEKHCEVNAFGDDSFARLYALVEADIDKALHKSEMVSMEKNRAAAVAMSAEIRRLKARLMEEVPKLQKLAKKKVKGISKEDQETRFDLVLALPERIKAISDGSTTAANQTAGWGTSSSRKNIKFDSSEERFDSDFSQQTEESNQFRQEYEMRKMKQDEGLDIISEGLNALKNIARDMNEELDRQVPLMDEIDTKVDKATSDIKRTNVRLKKTVTEIRSSRNFCIDIILLCVILGIASSLYNVLH >EOY33487 pep chromosome:Theobroma_cacao_20110822:9:37781145:37786139:-1 gene:TCM_041460 transcript:EOY33487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aceous RNase P 3 isoform 1 MLPKDCLKPSPNLYVVNTSFPCNPSNQCKVGFFLLLIWESLGFISGKPLSMATPSHKGNPTNSTKRKKNHKNPEANFLYELNSCSKSKDLKAAISLYESAISNKTRLNQHHFNTLLYLCSTISTDPESKSLALTYGFRVFDHMMTLNIHPNEASITAIARLAAAKGDGDYAFEMIKKLGDYRVLPRLRTYEPALFCFCQKLEAEKAYEVEADINKMGLSLEEPQIAALLKLSAETGRGEGVYEYLQKLRRGVRWVSEETGKVLEDWFSREGSEVGFEGATYEVSFVKEAVLRNGGGWHGLGWIGKGKWVVRKGKVEPNGRCCCCGERLGCVDIDDVETEKFAQSVAGLAMEREVKANFREFQEWLEENADYEAIVDGANIGLYQQNFAEGGFSILQLDAVIKEMYGRSGNKWPLIILHNKRVRALLENPSYRKLVEEWMNNGVLYTTPHGSNDDWYWLYAAVKLRCLLVTNDEMRDHIFELLGSSFFLKWKERHQVRYTFPKGILKLQMPPSYSVVIQESEKGSWHVPIVCDGNEESARTWLCITRPGACEDLGKTASNIETCENGNGPCCKSEMSNTGDFEILPSDNRNQASQDFFQMSYAETPSITGKRKERSP >EOY33488 pep chromosome:Theobroma_cacao_20110822:9:37781576:37785742:-1 gene:TCM_041460 transcript:EOY33488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aceous RNase P 3 isoform 1 MATPSHKGNPTNSTKRKKNHKNPEANFLYELNSCSKSKDLKAAISLYESAISNKTRLNQHHFNTLLYLCSTISTDPESKSLALTYGFRVFDHMMTLNIHPNEASITAIARLAAAKGDGDYAFEMIKKLGDYRVLPRLRTYEPALFCFCQKLEAEKAYEVEADINKMGLSLEEPQIAALLKLSAETGRGEGVYEYLQKLRRGVRWVSEETGKVLEDWFSREGSEVGFEGATYEVSFVKEAVLRNGGGWHGLGWIGKGKWVVRKGKVEPNGRCCCCGERLGCVDIDDVETEKFAQSVAGLAMEREVKANFREFQEWLEENADYEAIVDGANIGLYQQNFAEGGFSILQQLDAVIKEMYGRSGNKWPLIILHNKRVRALLENPSYRKLVEEWMNNGVLYTTPHGSNDDWYWLYAAVKLRCLLVTNDEMRDHIFELLGSSFFLKWKERHQQVRYTFPKGILKLQMPPSYSVVIQESEKGSWHVPIVCDGNEESARTWLCITRPGACEDLGKTASNIETCENGNGPCCKSEMSNTGDFEILPSDNRNQASQDFFQMSYAETPSITGKRKERSP >EOY30171 pep chromosome:Theobroma_cacao_20110822:9:3655572:3657446:-1 gene:TCM_037470 transcript:EOY30171 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MDDQGGSKLTGIRQIVRLKEILQKWQTVTLGSRPNAPQLEENQGGVSGPVNKRLTNVMCCDSDEESCHSPEPPPDVPKGYLAVYVGPELRRFIIPTSYLSHPVFKILLEKAEEEFGYNHNGGLTLPCEIETFKYLLKCIENNHKGHPIDSSDSEQLEIQ >EOY30719 pep chromosome:Theobroma_cacao_20110822:9:5541811:5546299:-1 gene:TCM_037833 transcript:EOY30719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPCSVGKVEAINALQWRVGFEILAKLNFERDNPFQVLGFEKMGSSYFGEPNMGNERGGSSSRKGKKSNSDKPKQPQRGLGVAQLEKIRLHGQMGCSYHPSLHGPYPGNFNQEDMRVQTAYPSMPSSSFSYSSTSSTSSASYGFHPSMMMGLGEYDQRANIRYGDSQPSTAASWNPGSGILDTQHFAQPNMTRQLLNLHVEDSQPKKSKKHRSNSLGSSSQNSESSDTQELDLELRLSL >EOY31212 pep chromosome:Theobroma_cacao_20110822:9:7565219:7567182:-1 gene:TCM_038180 transcript:EOY31212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein C3 isoform 2 MVYHSSFVDEEGITKACGCPLLPLKSHIKGPAPVSDQDRTDIVDEAITFFRANVFFRNFDIKSPADKLLIYLTFYINVALKRLEGCRTLAEGTKAIINLGLEKVPVPGESGFPFPGLFALPQSQKEAELLRNYLKQIREETSGRLLSVAYRPNGTPNK >EOY31211 pep chromosome:Theobroma_cacao_20110822:9:7565129:7567058:-1 gene:TCM_038180 transcript:EOY31211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein C3 isoform 2 MVYHSSFVDEEGITKACGCPLLPLKSHIKGPAPVSDQDRTDIVDEAITFFRANVFFRNFDIKSPADKLLIYLTFYINVALKRLEGCRTLAEGTKAIINLGLEKVPVPGESGFPFPGLFALPQSQKEAELLRNYLKQIREETSGRLLSVAYRPNGTPNKWWLAFAKRKFMNNIAS >EOY31213 pep chromosome:Theobroma_cacao_20110822:9:7565219:7566799:-1 gene:TCM_038180 transcript:EOY31213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein C3 isoform 2 MVYHSSFVDEEGITKACGCPLLPLKSHIKGPAPVSDQDRTDIVDEAITFFRANVFFRNFDIKSPADKLLIYLTFYINVALKRLEGCRTLAEGTKAIINLGLEKVPVPGESGFPFPGLFALPQSQKEAELLRNYLKQIREETSGRLLSVAYRPNGTPNK >EOY33468 pep chromosome:Theobroma_cacao_20110822:9:37651305:37652747:1 gene:TCM_041439 transcript:EOY33468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centroradialis MAKLSDPLVVGRVIGDVIDAITPSVKMTVTFNANKQVYNGHELFPSSVTNKPKVDVHGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDATFGREVVNYEMPRPNIGIHRFVFLLFKQKRRQAVISTPSSRDHFNTRKFAEENELGLPVAAVYFNAQRETAARRR >EOY32240 pep chromosome:Theobroma_cacao_20110822:9:24090579:24096018:1 gene:TCM_039863 transcript:EOY32240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATNRPLDPTSNFQLDNVPPPMPIWVAHNPTKEKPPVIPPTRNLSTYKDRPAAMFYDDEIQILAHPFSHSLVGKFTRMPKLQDIQQAFRGIGLLREYEIRWMDYKHVLIHLSNEQDFNHLWVKEQWFILNQKMRVFKWTPDFEAEKELALVPVWISFPNLRAHLYEKSALMLIAKTVGKPLYVDEATTNGSRSSVARVYVEFQTISGDGEKAQNRIEKQGQTKHMNSNHAGQNIFLGMSLGSSDRGENAAVEIGETYAVRRTSNNASNDDQQQQIMHEKKKEIITAQNEARLDGVEKATIIAAKTSPMEDPVQVHEVQEHNGINNSVKEMELTELMSAEMEVHPLVRHRRHSDTAATLGKIISLALEEIVDIGENDGIFDDDSISAIVLDYGLVDGGFEGNLYTWTNNHMFQRLDRVVYNHHWLNFLPITWVQHLNRDGSDHCPLLISCLRQTEKSPSSFHFLHAWVHHHGFKQCVEANWQQPIQGKGFIAFWQKQLRLKQHLKWWNKQFDFCSQWIGMIKRCIANCWFSVSINGKAAGYFKFERRLR >EOY29591 pep chromosome:Theobroma_cacao_20110822:9:1688479:1693063:-1 gene:TCM_037090 transcript:EOY29591 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHV3-like 5 MIRCLLFISLLIHPSLAKKQAPQAAGAQAPVKKWQTLSGQPPLVIARGGFSGLFPESSSFANDMANQLKSTDVALFCNLQLTKDGIGICLSDIRLDNSTTIGMIFPKDSKTYNINGQQVKGWFAVDYTSDVIFNNVSLVQSVLSRTNAFDGQLPVSTLEDVTGVRPSSFWVNVQYDAFYTEHKLSVSGYLEKAMRFMGINFISSPEIGFLKNMNGKVNRARTKLIFQVLDPDVVEPTTKQTYGAILKDLAAIKTYASGILVPKGYIWPVNTNKYLGNPTTLVADAHKLGLEVYASGFANDMPASYNYSYDPTTEYLQFIDSPQFSVDGLLTDFSPTASATIACFAANETAKPKKGKALIITHNGASGMYPGCTDLAYEQAVNDGADIIDCSVQMSEDGVAFCLDSADLTGDTTAMPTFMSRSSSIPEVQKEKGIFSFDLTWSEIQTLKPQIVSPFGQNAGYQRNPEAQNKGKFMTLTDFLEFAKAKAVSGILINIENAAYLASKKGLDMVDSVTKALGNATFDKQQTQQVLIQSDDTSVLSKFQGVPAYKRLLRIKEEIGDAPKLTVDEIKKYADGVVVARPSLISTDNGFAKAQTNVLQEMHAGNISVYVFVLRNEFVALPFDFYADPMVELATYVAALEVDGVITDFPGTASRYMRSPCVDLNGEIAIIPAEPGALLKQVPPMALSPANPPNPPLEVADVVDPPLPPVANVSSSTADSPGAPAPSSSGMAITADFGLGLAAITALSLLSRGY >EOY34736 pep chromosome:Theobroma_cacao_20110822:9:41977034:41980091:-1 gene:TCM_042320 transcript:EOY34736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGVLSASSLTLGLGGAPAPFTAQCGNGGAAKTLALPTTSNNNRTQLLIKSSFTSTSRTTPSFRTAVAAVDSDQLTPDQQQAKKYYFVVANAKFMLDEEEHFQELLFERLRNYGEHNKEQDFWLVIEPKFLEKFPDITKRLRRPAVALVSTNGPWITFMKLRLDRVLSDSYEADSLEEALASNPTTLEFEKPENWVAPYPKYEFGWWEPFLPNAVKESKV >EOY33050 pep chromosome:Theobroma_cacao_20110822:9:35130105:35134865:1 gene:TCM_041055 transcript:EOY33050 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 25, putative MSNYIHSKQTLEKKTQGPNKEPQRLVILLVLLHFPCLSTISYGKNEQKSISMEREPNLNFHFPPGFRFHPSDEELIIHYLQNKVTSRPLPASVIAEIDLYKYNPWELPKKALFGEDEWYFFSPRDRKYPNGERPNRAAASGYWKATGTDKPILTSSGSKNIGVKKALVFYTGKPPKGVKTEWIMSEYRLLKTIIKPSRLKGSMRLDDWVLCRVRKKGNITKNTFEVQDSCSTELMRYSPTIEELHPTFTDCRTDMITNCLNQDCQVLALLLASQALSPMETNSTATFHGSNESNSFISVYEEGSDKVNLPTLNPSLDNYFNPLTRKLSLGNREENLLPSDKKLNNDKRNEDFLPMKILSKNDMNYSSQNQSQDGIYNPCPSDSIIEFQDLNNWPSHTYS >EOY31185 pep chromosome:Theobroma_cacao_20110822:9:7427866:7435303:-1 gene:TCM_038162 transcript:EOY31185 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 isoform 2 MVCWLRGFLFLSQSQAKAEKSIINQSQSPHYFCSTAEQIFANSEKRSENSKQMADRAVSEEASMAGHAPKLTSIPASDNTVWADASPLLEAACRDLRDGELIHGDNFNLFAAMSALEIMDPKMDSGIVCRYYSIDEAIENGAAPIPISLDSTIDVQCTIDIMDHLLACEAAWHKGHSLAQTVFSCIYLLRLDRTTSHALLHSYCRVIRATCKAVVSVVSDARTHEEEDLFTMTYGLPLNGDGDEKCLSMLTAVEETISRQLRACKATSSKRRVSEELEPLQSNTNLEDGLCKALLCRLRFRKHFFHVLTCMKRPQGRGLELARKHIASCILELESILKSAEFLRFCSDEFCEDDIEDKTTASGREPIGFDATLNSRLSAPTPPRAIKILSWKKAVEYFVKLLHDLDAMCSYSLNPHLESLLCFVVQFQKSQPDLVARAHLQLLLVQDGKLYGRDPIFAVITKAAALPEATKNHDIQKNEYIVQLGQLVVNLLKILCTNAAWQRRKLGKILQDWRVIYVQLELAFRNEFGDVSSSSNEELNGRERRK >EOY31182 pep chromosome:Theobroma_cacao_20110822:9:7425812:7435370:-1 gene:TCM_038162 transcript:EOY31182 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 isoform 2 MVCWLRGFLFLSQSQAKAEKSIINQSQSPHYFCSTAEQIFANSEKRSENSKQMADRAVSEEASMAGHAPKLTSIPASDNTVWADASPLLEAACRDLRDGELIHGDNFNLFAAMSALEIMDPKMDSGIVCRYYSIDEAIENGAAPIPISLDSTIDVQCTIDIMDHLLACEAAWHKGHSLAQTVFSCIYLLRLDRTTSHALLHSYCRVIRATCKAVVSVVSDARTHEEEDLFTMTYGLPLNGDGDEKCLSMLTAVEETISRQLRACKATSSKRRVSEELEPLQSNTNLEDGLCKALLCRLRFRKHFFHVLTCMKRPQGRGLELARKHIASCILELESILKSAEFLRFCSDEFCEDDIEDKTTASGREPIGFDATLNSRLSAPTPPRAIKILSWKKAVEYFVKLLHDLDAMCSYSLNPHLESLLCFVVQFQKSQPDLVARAHLQLLLVQDGKLYGRDPIFAVITKAAALPEATKNHDIQKNEYIVQLGQLVVNLLKILCTNAAWQRRKLGKILQDWRVIYVQLELAFRNEFGDVSSSSNEENICMKIFQHILIWVEEQTYWIACRFLILGFELDLYSASEYCMVYWCLYAVLIKLAEKTHLRMAFSYDTAKRKGKKKRDSPKDLARESRIPPAVLFLQCYICLAEGLTMMLAALRNEIMVLQSPSPFNTEQEKFVQHFELLQRACIPDHASYPSFKESTTQARFSTLVMYNYFKDAQRIAKEVKSSFAADPDRLAELRRLEQVAEHNSVALNLICRLGALDPSLKVSFEFSHHPFFATAVVRRS >EOY31183 pep chromosome:Theobroma_cacao_20110822:9:7426540:7435303:-1 gene:TCM_038162 transcript:EOY31183 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 isoform 2 MVCWLRGFLFLSQSQAKAEKSIINQSQSPHYFCSTAEQIFANSEKRSENSKQMADRAVSEEASMAGHAPKLTSIPASDNTVWADASPLLEAACRDLRDGELIHGDNFNLFAAMSALEIMDPKMDSGIVCRYYSIDEAIENGAAPIPISLDSTIDVQCTIDIMDHLLACEAAWHKGHSLAQTVFSCIYLLRLDRTTSHALLHSYCRVIRATCKAVVSVVSDARTHEEEDLFTMTYGLPLNGDGDEKCLSMLTAVEETISRQLRACKATSSKRRVSEELEPLQSNTNLEDGLCKALLCRLRFRKHFFHVLTCMKRPQGRGLELARKHIASCILELESILKSAEFLRFCSDEFCEDDIEDKTTASGREPIGFDATLNSRLSAPTPPRAIKILSWKKAVEYFVKLLHDLDAMCSYSLNPHLESLLCFVVQFQKSQPDLVARAHLQLLLVQDGKLYGRDPIFAVITKAAALPEATKNHDIQKNEYIVQLGQLVVNLLKILCTNAAWQRRKLGKILQDWRVIYVQLNGRERRKGILLKIWLGNLGSHQLFCSFNAIYVLQKDLQ >EOY31184 pep chromosome:Theobroma_cacao_20110822:9:7427484:7435303:-1 gene:TCM_038162 transcript:EOY31184 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 isoform 2 MVCWLRGFLFLSQSQAKAEKSIINQSQSPHYFCSTAEQIFANSEKRSENSKQMADRAVSEEASMAGHAPKLTSIPASDNTVWADASPLLEAACRDLRDGELIHGDNFNLFAAMSALEIMDPKMDSGIVCRYYSIDEAIENGAAPIPISLDSTIDVQCTIDIMDHLLACEAAWHKGHSLAQTVFSCIYLLRLDRTTSHALLHSYCRVIRATCKAVVSVVSDARTHEEEDLFTMTYGLPLNGDGDEKCLSMLTAVEETISRQLRACKATSSKRRVSEELEPLQSNTNLEDGLCKALLCRLRFRKHFFHVLTCMKRPQGRGLELARKHIASCILELESILKSAEFLRFCSDEFCEDDIEDKTTASGREPIGFDATLNSRLSAPTPPRAIKILSWKKAVEYFVKLLHDLDAMCSYSLNPHLESLLCFVVQFQKSQPDLVARAHLQLLLVQDGKLYGRDPIFAVITKAAALPEATKNHDIQKNEYIVQLGQLVVNLLKILCTNAAWQRRKLGKILQDWRVIYVQLNGRERRKGILLKIWLGNLGSHQLFCSFNAIYVLQKDLQ >EOY31186 pep chromosome:Theobroma_cacao_20110822:9:7427761:7435216:-1 gene:TCM_038162 transcript:EOY31186 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 isoform 2 MVCWLRGFLFLSQSQAKAEKSIINQSQSPHYFCSTAEQIFANSEKRSENSKQMADRAVSEEASMAGHAPKLTSIPASDNTVWADASPLLEAACRDLRDGELIHGDNFNLFAAMSALEIMDPKMDSGIVCRYYSIDEAIENGAAPIPISLDSTIDVQCTIDIMDHLLACEAAWHKGHSLAQTVFSCIYLLRLDRTTSHALLHSYCRVIRATCKAVVSVVSDARTHEEEDLFTMTYGLPLNGDGDEKCLSMLTAVEETISRQLRACKATSSKRRVSEELEPLQSNTNLEDGLCKALLCRLRFRKHFFHVLTCMKRPQGRGLELARKHIASCILELESILKSAEFLRFCSDEFCEDDIEDKTTASGREPIGFDATLNSRLSAPTPPRAIKILSWKKAVEYFVKLLHDLDAMCSYSLNPHLESLLCFVVQFQKSQPDLVARAHLQLLLVQDGKLYGRDPIFAVITKAAALPEATKNHDIQKNEYIVQLGQLVVNLLKILCTNAAWQRRKLGKILQDWRVIYVQLNGRERRKGILLKIWLGNLGSHQLFCSFNAIYVLQKDLQWYR >EOY33844 pep chromosome:Theobroma_cacao_20110822:9:39148886:39158691:-1 gene:TCM_041699 transcript:EOY33844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDTISLKALVDKTNNRVVFVESNEDFVDVLFSFLTMPIGAIVRLTCNQQPTTRIGCMNNLYKSVENLEMIHLRTEACKTMLLHPQNGAEDQCKMLRLKIDESKPLMCFVCRTGCKLLSHYETAICNCGERMDCMSLEMNESTRTDLDTRDRGVFVKGPNRLIVSDELQVMPSSTAASFSLFSKLGIIDTSSIEEKTFSMGVDKALNLLKCLLVSKQPLTEAFLEQNPVSMPSKEDFEQVRFTKSKLETETNDCGKIYVKLMVSQSKNRVCCAEASVDFIDLLFSFLPIPLGFIVKEMQGDTSKGNIYNLYDSIQDLDAKQYLKSKENMEMLVSPKLAPGFGYEKQPLNIKEYMQQPYYLYIGAYNHRRLTSDKTRLSFADVRSSVALTVMDPKSHSKDSTSGRGYVTGPAIFTITDDLIVTPMSPITGPAALSKLKVPFSDIEERTVHVGEKEALRLLLVSYYNSESALTRTFLLKEPNQAM >EOY32914 pep chromosome:Theobroma_cacao_20110822:9:34455949:34457604:-1 gene:TCM_040941 transcript:EOY32914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral root primordium protein-related isoform 2 NFVIIIVIVATTRRQLPSDSGAFADWATSSSTAIRAGPDDLSLGFNANAAAAAAAPGSTTAQWPPSARQINYGLSHEMGMVGLRDVFVVAPASSFNHHHHHHHHHTQDPIMANDQINGQNAATALGVGVIPLLTATPCLASQNVEDSDLLSNNGRNKLSGMQLWQNQNSSSHYLKKPSSVPDNNNSSSMNLIQSSGGGGMGGGSGGSGSSSGTTCQDCGNQAKKDCTHRRCRTCCKSRGFDCPTHVKSTWVSAARRRERQLMVAAATAGAGSSGSTSGAKKPRLITSQTTTTSHTSTSNTTPPRSFDTSSSHQDAGFKESLPGQVRAPAVFKCVRVTAVEDGEDEYAYQAVVKIGGHVFKGFLYDQGVEGRDGFPNISELHLGGGGGGGNGGGSGRHGGSSSSPVLDPSEVYAATGGGLLAGSSYGNPIN >EOY32913 pep chromosome:Theobroma_cacao_20110822:9:34456443:34457372:-1 gene:TCM_040941 transcript:EOY32913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral root primordium protein-related isoform 2 MGMVGLRDVFVVAPASSFNHHHHHHHHHTQDPIMANDQINGQNAATALGVGVIPLLTATPCLASQNVEDSDLLSNNGRNKLSGMQLWQNQNSSSHYLKKPSSVPDNNNSSSMNLIQSSGGGGMGGGSGGSGSSSGTTCQDCGNQAKKDCTHRRCRTCCKSRGFDCPTHVKSTWVSAARRRERQLMVAAATAGAGSSGSTSGAKKPRLITSQTTTTSHTSTSNTTPPRSFDTSSSHQDAGFKESLPGQVRAPAVFKCV >EOY32912 pep chromosome:Theobroma_cacao_20110822:9:34454283:34458551:-1 gene:TCM_040941 transcript:EOY32912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral root primordium protein-related isoform 2 MMTAMMMVMKTEQDWKRKLPSDSGAFADWATSSSTAIRAGPDDLSLGFNANAAAAAAAPGSTTAQWPPSARQINYGLSHEMGMVGLRDVFVVAPASSFNHHHHHHHHHTQDPIMANDQINGQNAATALGVGVIPLLTATPCLASQNVEDSDLLSNNGRNKLSGMQLWQNQNSSSHYLKKPSSVPDNNNSSSMNLIQSSGGGGMGGGSGGSGSSSGTTCQDCGNQAKKDCTHRRCRTCCKSRGFDCPTHVKSTWVSAARRRERQLMVAAATAGAGSSGSTSGAKKPRLITSQTTTTSHTSTSNTTPPRSFDTSSSHQDAGFKESLPGQVRAPAVFKCVRVTAVEDGEDEYAYQAVVKIGGHVFKGFLYDQGVEGRDGFPNISELHLGGGGGGGNGGGSGRHGGSSSSPVLDPSEVYAATGGGLLAGSSYGNPIN >EOY32915 pep chromosome:Theobroma_cacao_20110822:9:34456442:34458606:-1 gene:TCM_040941 transcript:EOY32915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral root primordium protein-related isoform 2 MGMVGLRDVFVVAPASSFNHHHHHHHHHTQDPIMANDQINGQNAATALGVGVIPLLTATPCLASQNVEDSDLLSNNGRNKLSGMQLWQNQNSSSHYLKKPSSVPDNNNSSSMNLIQSSGGGGMGGGSGGSGSSSGTTCQDCGNQAKKDCTHRRCRTCCKSRGFDCPTHVKSTWVSAARRRERQLMVAAATAGAGSSGSTSGAKKPRLITSQTTTTSHTSTSNTTPPRSFDTSSSHQGFKESLPGQVRAPAVFKCV >EOY30640 pep chromosome:Theobroma_cacao_20110822:9:5239998:5245627:1 gene:TCM_037776 transcript:EOY30640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexokinase 2 isoform 3 MGKVAVGAAVVCAAAVCAAAALVVRHRIKSSGKWARTLAILKEFEEKCGTPISKLKQVADAMTVEMHAGLASEGGSKLKMIISYVDNLPTGDEKGLFYALDLGGTNFRVLRVQLGGKESRVVKQEFEEVSIPPHLMTGSSDALFDYIASALAKFVATESEGLHVSPGRQRELGFTFSFPVRQTSISSGTLIKWTKGFSIDDTVGQDVVGELTKAMERVGLDMRVAALVNDTIGTLAGGRYNNPDVVAAVILGTGTNAAYVERAHAIPKWHGLLPKSGDMVINMEWGNFRSSHLPLTEYDQELDADSLNPGEQIFEKMISGMYLGEIVRRVLYKMAEEAEFFGDTVPPKLKIPFILRTPHMSAMHHDTSPDLKVVATKLKDILEISNTSLKMRKVIVELCDIVATRGARLSAAGIVGILKKLGRDIVKDGEKLKSVVALDGGLYEHYTKFRTCMENTLTELLGDEVSDNIVIEHSNDGSGIGATLLAACHSQYIEVEES >EOY30641 pep chromosome:Theobroma_cacao_20110822:9:5239998:5245417:1 gene:TCM_037776 transcript:EOY30641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexokinase 2 isoform 3 MGKVAVGAAVVCAAAVCAAAALVVRHRIKSSGKWARTLAILKEFEEKCGTPISKLKQVADAMTVEMHAGLASEGGSKLKMIISYVDNLPTGDEKGLFYALDLGGTNFRVLRVQLGGKESRVVKQEFEEVSIPPHLMTGSSDVGQDVVGELTKAMERVGLDMRVAALVNDTIGTLAGGRYNNPDVVAAVILGTGTNAAYVERAHAIPKWHGLLPKSGDMVINMEWGNFRSSHLPLTEYDQELDADSLNPGEQIFEKMISGMYLGEIVRRVLYKMAEEAEFFGDTVPPKLKIPFILRTPHMSAMHHDTSPDLKVVATKLKDILEISNTSLKMRKVIVELCDIVATRGARLSAAGIVGILKKLGRDIVKDGEKLKSVVALDGGLYEHYTKFRTCMENTLTELLGDEVSDNIVIEHSNDGSGIGATLLAACHSQYIEVEES >EOY30639 pep chromosome:Theobroma_cacao_20110822:9:5239998:5245792:1 gene:TCM_037776 transcript:EOY30639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexokinase 2 isoform 3 MGKVAVGAAVVCAAAVCAAAALVVRHRIKSSGKWARTLAILKEFEEKCGTPISKLKQVADAMTVEMHAGLASEGGSKLKMIISYVDNLPTGWDVSVVEHCHILISELFVLGIKHDEKGLFYALDLGGTNFRVLRVQLGGKESRVVKQEFEEVSIPPHLMTGSSDALFDYIASALAKFVATESEGLHVSPGRQRELGFTFSFPVRQTSISSGTLIKWTKGFSIDDTVGQDVVGELTKAMERVGLDMRVAALVNDTIGTLAGGRYNNPDVVAAVILGTGTNAAYVERAHAIPKWHGLLPKSGDMVINMEWGNFRSSHLPLTEYDQELDADSLNPGEQIFEKMISGMYLGEIVRRVLYKMAEEAEFFGDTVPPKLKIPFILRTPHMSAMHHDTSPDLKVVATKLKDILEISNTSLKMRKVIVELCDIVATRGARLSAAGIVGILKKLGRDIVKDGEKLKSVVALDGGLYEHYTKFRTCMENTLTELLGDEVSDNIVIEHSNDGSGIGATLLAACHSQYIEVEES >EOY30976 pep chromosome:Theobroma_cacao_20110822:9:6535725:6539036:-1 gene:TCM_038015 transcript:EOY30976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 1 isoform 1 MFLRKNFDFVRILAPNSNRKSLCFFYRSLEVEVADSSATTPQDPQQEGAQLATTNLSPAPAATATSDSTVTDLQEERSEEVSARSEEGPSTPSTVSCENQVLSKLVCPLCRGEIKDWVVVEPARHFMNAKSRSCSSETCNFTGAYKDLRKHARLEHPSVRPTEADPERQRNWRRLERQRDLGDLLSTLQSSFGEERADDSNSPIDDGSLLTVFFLFRVFRPGSSPRSSSWSGTSRAGAHMSIRRTSTRHWGESYDGDTGSTRDEDNESSDGGSFPWRLRVRRWTTPDNQP >EOY30977 pep chromosome:Theobroma_cacao_20110822:9:6535434:6539008:-1 gene:TCM_038015 transcript:EOY30977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 1 isoform 1 MPKERRDRSVSFDRYRRSPFSCSTSCSRRCSPKLPSETEENLKEWEEARCPVCMEHPHNAILLICSSHEKGCHPYMCDTSYRHSNCFDQFRKSFADSSATTPQDPQQEGAQLATTNLSPAPAATATSDSTVTDLQEERSEEVSARSEEGPSTPSTVSCENQVLSKLVCPLCRGEIKDWVVVEPARHFMNAKSRSCSSETCNFTGAYKDLRKHARLEHPSVRPTEADPERQRNWRRLERQRDLGDLLSTLQSSFGEERADDSNSPIDDGSLLTVFFLFRVFRPGSSPRSSSWSGTSRAGAHMSIRRTSTRHWGESYDGDTGSTRDEDNESSDGGSFPWRLRVRRWTTPDNQP >EOY30975 pep chromosome:Theobroma_cacao_20110822:9:6535993:6537048:-1 gene:TCM_038015 transcript:EOY30975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 1 isoform 1 MPKERRDRSVSFDRYRRSPFSCSTSCSRRCSPKLPSETEENLKEWEEARCPVCMEHPHNAILLICSSHEKGCHPYMCDTSYRHSNCFDQFRKSFADSSATTPQDPQQEGAQLATTNLSPAPAATATSDSTVTDLQEERSEEVSARSEEGPSTPSTVSCENQVLSKLVCPLCRGEIKDWVVVEPARHFMNAKSRSCSSETCNFTGAYKDLRKHARLEHPSVRPTEADPERQRNWRRLERQRDLGDLLSTLQSSFGEERADDSNSPIDDGSLLTVFFLFRVFRPGSSPRSSSWSGTSRAGAHMSIRRTSTRHWGESYDGDTGSTRDEDNESSDGGSFPWRLRVRRWTTPDNQP >EOY31086 pep chromosome:Theobroma_cacao_20110822:9:6933124:6934286:-1 gene:TCM_038094 transcript:EOY31086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLADGIQPPASNMGIMRRLHPLSPPHTTIQLFFLPFIDDTFCHTPMTQSAPPSSINVQPPKESKHTTIK >EOY34288 pep chromosome:Theobroma_cacao_20110822:9:40587926:40588557:1 gene:TCM_042013 transcript:EOY34288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHHFTDAQVTLTICISILAKMTLLSSKGNKRKPKCQKISFDPLLISNFHNSLHENKLFLNRRNLKGSKKKLLIKHEFSIKVLETKIKKLNARKAGL >EOY32674 pep chromosome:Theobroma_cacao_20110822:9:32773790:32782324:1 gene:TCM_040704 transcript:EOY32674 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MPSATVNNHRPSELLSRLASSEPEVKLRALREVKNQIIGNRTKKLSFLKLGAVPAVAGILADSADDVIDCNNNNCNVNNNINNILVQSAAALGSFACGFDAGVQAVLDAGAFPNLLRLLSNSNEKVVDAGARALRMIYQSKLAPKYDFLQQKNMEFLISLLNSENENVSGLGASIITNSCETSLEQKALFDAGILRRLNSLLECGSLSQKDASLESLASIFKNNSEVVSKFAGPEIERPLGSIIDLLKDRYPRTRLLACMCLIVIRSTSPNLLQDIGIKSKLIHILIELLDDPGQVGDEAPFAFSSLIAQKEDLQKLALEANAIDKLHHHLQKGSLHPRRYEGILLALADMCSKLESCRSKFLSLQVLNLVTDALTVDSADVRTAACICLKSVTRSIKNLSAGYFINEMVVVPLVQLFLDPSTSVQVAALGAISNVVVDFTTHKCIFVQCGGMKQLVQLATSMESAVRSNALWALKNFVFLADNRLKESVFSELTASLLSSLICDPEPSVQEQALALVRNLVDGCINSVEFMFAEDGLILGAIGRQLQSTVKAEIGIQGMYALCNVASGNEFHKEAVMHQLFPQMVDKNQSFMINFLQSNDSQLRTATVWTIVNLTCRSSPGAFGRLVKLRNAGIVSQIKNMVNDPCVDVKVIKFMFWSFFFIFFFTFLINLISIFVEQLRVRTVLGQSMAFGDN >EOY32675 pep chromosome:Theobroma_cacao_20110822:9:32773790:32782324:1 gene:TCM_040704 transcript:EOY32675 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MPSATVNNHRPSELLSRLASSEPEVKLRALREVKNQIIGNRTKKLSFLKLGAVPAVAGILADSADDVIDCNNNNCNVNNNINNILVQSAAALGSFACGFDAGVQAVLDAGAFPNLLRLLSNSNEKVVDAGARALRMIYQSKLAPKYDFLQQKNMEFLISLLNSENENVSGLGASIITNSCETSLEQKALFDAGILRRLNSLLECGSLSQKDASLESLASIFKNNSEVVSKFAGPEIERPLGSIIDLLKDRYPRTRLLACMCLIVIRSTSPNLLQDIGIKSKLIHILIELLDDPGQVGDEAPFAFSSLIAQKEDLQKLALEANAIDKLHHHLQKGSLHPRRYEGILLALADMCSKLESCRSKFLSLQVLNLVTDALTVDSADVRTAACICLKSVTRSIKVAALGAISNVVVDFTTHKCIFVQCGGMKQLVQLATSMESAVRSNALWALKNFVFLADNRLKESVFSELTASLLSSLICDPEPSVQEQALALVRNLVDGCINSVEFMFAEDGLILGAIGRQLQSTVKAEIGIQGMYALCNVASGNEFHKEAVMHQLFPQMVDKNQSFMINFLQSNDSQLRTATVWTIVNLTCRSSPGAFGRLVKLRNAGIVSQIKNMVNDPCVDVKSSE >EOY32676 pep chromosome:Theobroma_cacao_20110822:9:32773924:32780539:1 gene:TCM_040704 transcript:EOY32676 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MPSATVNNHRPSELLSRLASSEPEVKLRALREVKNQIIGNRTKKLSFLKLGAVPAVAGILADSADDVIDCNNNNCNVNNNINNILVQSAAALGSFACGFDAGVQAVLDAGAFPNLLRLLSNSNEKVVDAGARALRMIYQSKLAPKYDFLQQKNMEFLISLLNSENENVSGLGASIITNSCETSLEQKALFDAGILRRLNSLLECGSLSQKDASLESLASIFKNNSEVVSKFAGPEIERPLGSIIDLLKDRYPRTRLLACMCLIVIRSTSPNLLQDIGIKSKLIHILIELLDDPGQVGDEAPFAFSSLIAQKEDLQKLALEANAIDKLHHHLQKGSLHPRRYEGILLALADMCSKLESCRSKFLSLQVLNLVTDALTVDSADVRTAACICLKSVTRSIKVCNVGNSFAVLVLIFNANRAYEMPIQNLSAGYFINEMVVVPLVQLFLDPSTSVQVAALGAISNVVVDFTTHKCIFVQCGGMKQLVQLATSMESAVRSNALWALKNFVFLADNRLKESVFSELTASLLSSLICDPEPSVQEQALALVRNLVDGCINSVEFMFAEDGLILGAIGRQLQSTVKAEIGIQGMYALCNVASGNEFHKEAVMHQLFPQMVDKNQSFMINFLQSNDSQLRTATVWTIVNLTCRSSPGAFGRLVKLRNAGIVSQIKNMVNDPCVDVKLRVRTVLGQSMAFGDN >EOY32673 pep chromosome:Theobroma_cacao_20110822:9:32773790:32782324:1 gene:TCM_040704 transcript:EOY32673 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 1 MPSATVNNHRPSELLSRLASSEPEVKLRALREVKNQIIGNRTKKLSFLKLGAVPAVAGILADSADDVIDCNNNNCNVNNNINNILVQSAAALGSFACGFDAGVQAVLDAGAFPNLLRLLSNSNEKVVDAGARALRMIYQSKLAPKYDFLQQKNMEFLISLLNSENENVSGLGASIITNSCETSLEQKALFDAGILRRLNSLLECGSLSQKDASLESLASIFKNNSEVVSKFAGPEIERPLGSIIDLLKDRYPRTRLLACMCLIVIRSTSPNLLQDIGIKSKLIHILIELLDDPGQVGDEAPFAFSSLIAQKEDLQKLALEANAIDKLHHHLQKGSLHPRRYEGILLALADMCSKLESCRSKFLSLQVLNLVTDALTVDSADVRTAACICLKSVTRSIKNLSAGYFINEMVVVPLVQLFLDPSTSVQVAALGAISNVVVDFTTHKCIFVQCGGMKQLVQLATSMESAVRSNALWALKNFVFLADNRLKESVFSELTASLLSSLICDPEPSVQEQALALVRNLVDGCINSVEFMFAEDGLILGAIGRQLQSTVKAEIGIQGMYALCNVASGNEFHKEAVMHQLFPQMVDKNQSFMINFLQSNDSQLRTATVWTIVNLTCRSSPGAFGRLVKLRNAGIVSQIKNMVNDPCVDVKLRVRTVLGQSMAFGDN >EOY31583 pep chromosome:Theobroma_cacao_20110822:9:9934432:9942653:1 gene:TCM_038525 transcript:EOY31583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase superfamily MVAPLSTWPWENLGLFKYLLYGPLAAKVLYSWIYEDTLKDLWCLHILLICALRGLIHQLWSSYGSMLFLTRNRRIKQQGVDFKQIDREWDWDNFIILQALLASMACLIFPSLDGLPLWNSKGFIALFLLHVTISEPVYYWAHRVFHESYLFTHYHSLHHSSPVPHPFTAGHATFLEHLVLCVVIGIPMTGSVLMGYGSTSMIYSYVLAFDFLRCLGHSNVEVVPHEIFNKLPFLRYLIHTPTYHSLHHTEMGTNFCLFMPLFDAMGKTLKSNSWQIHEKISSDSAKYGRVPDFVFLAHVVDITSAMHVPFVFRSFSSIPFSTRIFLLPLWPITFMVMLIMWAWSKTFLITFYNLRGRLHQTWAVPRFGFQYFLPFATDGINKHIEEAILRADRMGVKVISLAALNKNEALNGGGTLFVDKHPDLKVRVVHGNTLTAAVILNEIPKDVKEVFLTGATSKLGRAIALYLCRRRVRVLMLTLSTERFQKIQKEAPADCQNYLVQVTKYQAAQNCKTWIVGKWITPREQSWAPSGAHFHQFVVPPILAFRRDCTYGDLAAMRLPDDVEGLGSCEYTMERGVVHACHAGGVVHKLEGWTHHEVGAIDVDRIDLVWEAALKHGLRPVSSTVNKQDNSL >EOY30093 pep chromosome:Theobroma_cacao_20110822:9:3325520:3326653:-1 gene:TCM_037415 transcript:EOY30093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MVKPNCTASSTSSTEHVSDSTSSSSKYKGVRKRKWGKWVSEIRLPNSRERIWLGSYDSAEKAARAFDAALYCLRGRDAKFNFPENPPEIVGGRSLSPQEIQAVAARFANEVEADGTAGNHNHINNINNSIITSNESHSRNNNVGTEQNTSSSSVSVSGWGTPAIQVESTEMMDYSSFFSMLDTDHGMSDYGFYSGLLPGDQLYPPPLPSPPVDDNVDDQNGGAGFSQSSFLWNF >EOY33119 pep chromosome:Theobroma_cacao_20110822:9:35510019:35510943:1 gene:TCM_041106 transcript:EOY33119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISSQTRECVGPQTILNLDWPMAKHSMKAGRAGAVPGMHFAAVSWDWGGGWTTDHLINVVAFVEVDSILLPKF >EOY32763 pep chromosome:Theobroma_cacao_20110822:9:33424193:33434969:1 gene:TCM_040792 transcript:EOY32763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ with tetratricopeptide repeat, putative isoform 1 MSNLRIGKSCSFDDQSLVSKLPDDIRKLNIEDGLKVNQSNENDGNVGSCGGRGVETEKLPNELRSKLNIKGSEDVDGGAKKDFVFKGSGKSSDSLVGSSTDSLHDGIKNSNIKGSHDSNANERDGFVSRSSKITSHLGREREKVLSTEMERKLNIGSLMGDSTGQTDRGFSSSLVFEKDLQTEKLGDKKLHEFGKSVHRKSTFQVATPGLYPSSKVPMDQLINDIGPGEAAASTTLFSSSSMHFQPGANVFGMTSDQPDKKDEFGFTAKQDHIETPFVEFKTPNPRTNIFSGLNKKLEFNAKREAGTSTKVKKRKGKLKQPAPVQLRHGQDFVSSKTTPQDNAEAPESYSPMDVSPYQETLADTQCSRESSVASDECFSLDKKFTSCDSQPAVSSDAIDEDLVAATQHMNINEREEKDEKTEEEGSGNVFDKSVAAEAPQEDSVSGAETESFISAAEEIDYNIDIVVSSAESEASTRSNIERQDSDAQMYSASPSNLEHISGFGFTFAASSSAQSQLSSSKRHQKKKNLAKIAFDSPNSSLNVRIPYASSSVQFSPYPGASLHVFPGQDQKPDVSTLQSKVRENSVVDKGPKVKHEPYLTGARTAAQESCEKWRLRGNQAYANGDSSKAEEYYTQGINCITPNETSRSCLQALMLCYSNRAATRMSLGRMKDAVGDCMMAVAIDPNFSRVQLRLANCYLALGEVENAMQYFTKCLQSGSDICVDRKIAVQASDGLQKAQKVSACMHQSTELLQRRTSDDAESALKLIAESLQISLYSEKLLEMKAEALFILRKYEEVIQLCEQTFDSAEKNSLSFNINGQLANLDGSGLSKDSTFRSWRCCLIFKSYFHLGKLEEAIASLEKQEELQSATDSLSRDGSNSLESSIPLTGTVHELLHHKAAGNEAFQSGRHSEAVEHYTAALSCNVESRPFAAICFCNRAAAYKALGQVTDAIADCSLAIALDGNYLKAISRRATLYEMIRDYGQAANDLERLLSLLMKQMEAKTNQIGTSDRSMNLANDLRQARMWLSEIEEEAKKEIPLDLYLILGVEPSVSAAEIKRAYRKAALRHHPDKAVQSLVRNEHGDDKLWKEIREEAHKDADKLFKIIGEAYAVLSDPIKRSRYDLEEEMRSLQKKHTGGTSRAATDAQSYSFDRSGSRRPWREVWRSYGYSSSKGSEATRSNRYY >EOY32761 pep chromosome:Theobroma_cacao_20110822:9:33424490:33434937:1 gene:TCM_040792 transcript:EOY32761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ with tetratricopeptide repeat, putative isoform 1 MSPALLDPGGAAPSTPKGQASSVPFTVNSFGSFSVSQSKLSPEKMNPSSSFGVGGDFSSGFSNSTPNNPNFSFNTSSLQQPSGGLARPRLVKIRKQLNSHTLKSSGNLETRVGPGFNPFRPVSSVPHLNPSDGSGLGGNLDGGVVEKMSNLRIGKSCSFDDQSLVSKLPDDIRKLNIEDGLKVNQSNENDGNVGSCGGRGVETEKLPNELRSKLNIKGSEDVDGGAKKDFVFKGSGKSSDSLVGSSTDSLHDGIKNSNIKGSHDSNANERDGFVSRSSKITSHLGREREKVLSTEMERKLNIGSLMGDSTGQTDRGFSSSLVFEKDLQTEKLGDKKLHEFGKSVHRKSTFQVATPGLYPSSKVPMDQLINDIGPGEAAASTTLFSSSSMHFQPGANVFGMTSDQPDKKDEFGFTAKQDHIETPFVEFKTPNPRTNIFSGLNKKLEFNAKREAGTSTKVKKRKGKLKQPAPVQLRHGQDFVSSKTTPQDNAEAPESYSPMDVSPYQETLADTQCSRESSVASDECFSLDKKFTSCDSQPAVSSDAIDEDLVAATQHMNINEREEKDEKTEEEGSGNVFDKSVAAEAPQEDSVSGAETESFISAAEEIDYNIDIVVSSAESEASTRSNIERQDSDAQMYSASPSNLEHISGFGFTFAASSSAQSQLSSSKRHQKKKNLAKIAFDSPNSSLNVRIPYASSSVQFSPYPGASLHVFPGQDQKPDVSTLQSKVRENSVVDKGPKVKHEPYLTGARTAAQESCEKWRLRGNQAYANGDSSKAEEYYTQGINCITPNETSRSCLQALMLCYSNRAATRMSLGRMKDAVGDCMMAVAIDPNFSRVQLRLANCYLALGEVENAMQYFTKCLQSGSDICVDRKIAVQASDGLQKAQKVSACMHQSTELLQRRTSDDAESALKLIAESLQISLYSEKLLEMKAEALFILRKYEEVIQLCEQTFDSAEKNSLSFNINGQLANLDGSGLSKDSTFRSWRCCLIFKSYFHLGKLEEAIASLEKQEELQSATDSLSRDGSNSLESSIPLTGTVHELLHHKAAGNEAFQSGRHSEAVEHYTAALSCNVESRPFAAICFCNRAAAYKALGQVTDAIADCSLAIALDGNYLKAISRRATLYEMIRDYGQAANDLERLLSLLMKQMEAKTNQIGTSDRSMNLANDLRQARMWLSEIEEEAKKEIPLDLYLILGVEPSVSAAEIKRAYRKAALRHHPDKAVQSLVRNEHGDDKLWKEIREEAHKDADKLFKIIGEAYAVLSDPIKRSRYDLEEEMRSLQKKHTGGTSRAATDAQSYSFDRSGSRRPWREVWRSYGYSSSKGSEATRSNRYY >EOY32764 pep chromosome:Theobroma_cacao_20110822:9:33424490:33434937:1 gene:TCM_040792 transcript:EOY32764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ with tetratricopeptide repeat, putative isoform 1 MSPALLDPGGAAPSTPKGQASSVPFTVNSFGSFSVSQSKLSPEKMNPSSSFGVGGDFSSGFSNSTPNNPNFSFNTSSLQQPSGGLARPRLVKIRKQLNSHTLKSSGNLETRVGPGFNPFRPVSSVPHLNPSDGSGLGGNLDGGVVEKMSNLRIGKSCSFDDQSLVSKLPDDIRKLNIEDGLKVNQSNENDGNVGSCGGRGVETEKLPNELRSKLNIKGSEDVDGGAKKDFVFKGSGKSSDSLVGSSTDSLHDGIKNSNIKGSHDSNANERDGFVSRSSKITSHLGREREKVLSTEMERKLNIGSLMGDSTGQTDRGFSSSLVFEKDLQTEKLGDKKLHEFGKSVHRKSTFQVATPGLYPSSKVPMDQLINDIGPGEAAASTTLFSSSSMHFQPGANVFGMTSDQPDKKDEFGFTAKQDHIETPFVEFKTPNPRTNIFSGLNKKLEFNAKREAGTSTKVKKRKGKLKQPAPVQLRHGQDFVSSKTTPQDNAEAPESYSPMDVSPYQETLADTQCSRESSVASDECFSLDKKFTSCDSQPAVSSDAIDEDLVAATQHMNINEREEKDEKTEEEGSGNVFDKSVAAEAPQEDSVSGAETESFISAAEEIDYNIDIVVSSAESEASTRSNIERQDSDAQMYSASPSNLEHISGFGFTFAASSSAQSQLSSSKRHQKKKNLAKIAFDSPNSSLNVRIPYASSSVQFSPYPGASLHVFPGQDQKPDVSTLQSKVRENSVVDKGPKVKHEPYLTGARTAAQESCEKWRLRGNQAYANGDSSKAEEYYTQGINCITPNETSRSCLQALMLCYSNRAATRMSLGRMKDAVGDCMMAVAIDPNFSRVQLRLANCYLALGEVENAMQYFTKCLQSGSDICVDRKIAVQASDGLQKAQKVSACMHQSTELLQRRTSDDAESALKLIAESLQISLYSEKLLEMKAEALFILRKYEEVIQLCEQTFDSAEKNSLSFNINGQLANLDGSGLSKDSTFRSWRCCLIFKSYFHLGKLEEAIASLEKQEELQSATDSLSRDGSNSLESSIPLTGTVHELLHHKAAGNEAFQSGRHSEAVEHYTAALSCNVESRPFAAICFCNRAAAYKALGQVTDAIADCSLAIALDGNYLKAISRRATLYEMIRDYGQAANDLERLLSLLMKQMEAKTNQIGTSDRSMNLANDLRQARMWLSEIEEEAKKEIPLDLYLILGVEPSVSAAEIKRAYRKAALRHHPDKAVQSLVRNEHGDDKLWKEIREEAHKDADKLFKIIGEAYAVLSDPIKVCLILILYVYALL >EOY32762 pep chromosome:Theobroma_cacao_20110822:9:33424193:33434969:1 gene:TCM_040792 transcript:EOY32762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ with tetratricopeptide repeat, putative isoform 1 MSPALLDPGGAAPSTPKGQASSVPFTVNSFGSFSVSQSKLSPEKMNPSSSFGVGGDFSSGFSNSTPNNPNFSFNTSSLQQPSGGLARPRLVKIRKQLNSHTLKSSGNLETRVGPGFNPFRPVSSVPHLNPSDGSGLGGNLDGGVVEKMSNLRIGKSCSFDDQSLVSKLPDDIRKLNIEDGLKVNQSNENDGNVGSCGGRGVETEKLPNELRSKLNIKGSEDVDGGAKKDFVFKGSGKSSDSLVGSSTDSLHDGIKNSNIKGSHDSNANERDGFVSRSSKITSHLGREREKVLSTEMERKLNIGSLMGDSTGQTDRGFSSSLVFEKDLQTEKLGDKKLHEFGKSVHRKSTFQVATPGLYPSSKVPMDQLINDIGPGEAAASTTLFSSSSMHFQPGANVFGMTSDQPDKKDEFGFTAKQDHIETPFVEFKTPNPRTNIFSGLNKKLEFNAKREAGTSTKVKKRKGKLKQPAPVQLRHGQDFVSSKTTPQDNAEAPESYSPMDVSPYQETLADTQCSRESSVASDECFSLDKKFTSCDSQPAVSSDAIDEDLVAATQHMNINEREEKDEKTEEEGSGNVFDKSVAAEAPQEDSVSGAETESFISAAEEIDYNIDIVVSSAESEASTRSNIERQDSDAQMYSASPSNLEHISGFGFTFAASSSAQSQLSSSKRHQKKKNLAKIAFDSPNSSLNVRIPYASSSVQFSPYPGASLHVFPGQDQKPDVSTLQSKVRENSVVDKGPKVKHEPYLTGARTAAQESCEKWRLRGNQAYANGDSSKAEEYYTQGINCITPNETSRSCLQALMLCYSNRAATRMSLGRMKDAVGDCMMAVAIDPNFSRVQLRLANCYLALGEVENAMQYFTKCLQSGSDICVDRKIAVQASDGLQKAQKVSACMHQSTELLQRRTSDDAESALKLIAESLQISLYSEKLLEMKAEALFILRKYEEVIQLCEQTFDSAEKNSLSFNINGQLANLDGSGLSKDSTFRSWRCCLIFKSYFHLGKLEEAIASLEKQEELQSATDSLSRDGSNSLESSIPLTGTVHELLHHKAAGNEAFQSGRHSEAVEHYTAALSCNVESRPFAAICFCNRAAAYKALGQVTDAIADCSLAIALDGNYLKAISRRATLYEMIRDYGQAANDLERLLSLLMKQMEAKTNQIGTSDRSMNLANDLRQARMWLSEIEEEAKKEIPLDLYLILGVEPSVSAAEIKRAYRKAALRHHPDKAVQSLVRNEHGDDKLWKEIREEAHKDADKLFKIIGEAYAVLSDPIKRSRYDLEEEMRSLQKKHTGGTSRAATDAQSYSFDRSGSRRPWREVWRSYGYSSSKGSEATRSNRYY >EOY32765 pep chromosome:Theobroma_cacao_20110822:9:33424726:33433218:1 gene:TCM_040792 transcript:EOY32765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ with tetratricopeptide repeat, putative isoform 1 MSPALLDPGGAAPSTPKGQASSVPFTVNSFGSFSVSQSKLSPEKMNPSSSFGVGGDFSSGFSNSTPNNPNFSFNTSSLQQPSGGLARPRLVKIRKQLNSHTLKSSGNLETRVGPGFNPFRPVSSVPHLNPSDGSGLGGNLDGGVVEKMSNLRIGKSCSFDDQSLVSKLPDDIRKLNIEDGLKVNQSNENDGNVGSCGGRGVETEKLPNELRSKLNIKGSEDVDGGAKKDFVFKGSGKSSDSLVGSSTDSLHDGIKNSNIKGSHDSNANERDGFVSRSSKITSHLGREREKVLSTEMERKLNIGSLMGDSTGQTDRGFSSSLVFEKDLQTEKLGDKKLHEFGKSVHRKSTFQVATPGLYPSSKVPMDQLINDIGPGEAAASTTLFSSSSMHFQPGANVFGMTSDQPDKKDEFGFTAKQDHIETPFVEFKTPNPRTNIFSGLNKKLEFNAKREAGTSTKVKKRKGKLKQPAPVQLRHGQDFVSSKTTPQDNAEAPESYSPMDVSPYQETLADTQCSRESSVASDECFSLDKKFTSCDSQPAVSSDAIDEDLVAATQHMNINEREEKDEKTEEEGSGNVFDKSVAAEAPQEDSVSGAETESFISAAEEIDYNIDIVVSSAESEASTRSNIERQDSDAQMYSASPSNLEHISGFGFTFAASSSAQSQLSSSKRHQKKKNLAKIAFDSPNSSLNVRIPYASSSVQFSPYPGASLHVFPGQDQKPDVSTLQSKVRENSVVDKGPKVKHEPYLTGARTAAQESCEKWRLRGNQAYANGDSSKAEEYYTQGINCITPNETSRSCLQALMLCYSNRAATRMSLGRMKDAVGDCMMAVAIDPNFSRVQLRLANCYLALGEVENAMQYFTKCLQSGSDICVDRKIAVQASDGLQKAQKVSACMHQSTELLQRRTSDDAESALKLIAESLQISLYSEKLLEMKAEALFILRKYEEVIQLCEQTFDSAEKNSLSFNINGQLANLDGSGLSKDSTFRSWRCCLIFKSYFHLGKLEEAIASLEKQEELQSATDSLSRDGSNSLESSIPLTGTVHELLHHKAAGNEAFQSGRHSEAVEHYTAALSCNVESRPFAAICFCNRAAAYKALGQVTDAIADCSLAIALDGNYLKAISRRATLYEMIRDYGQAANDLERLLSLLMKQMEAKTNQIGTSDRSMNLANDLRQARMWLSEIEEEAKKEIPLDLYLILGVEPSVSAAEIKRAYRKAALRHHPDKVSYICCYMHFMKFGANLTGFYLCRLSNPW >EOY31384 pep chromosome:Theobroma_cacao_20110822:9:8423581:8428331:1 gene:TCM_038315 transcript:EOY31384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 3-oxidase 3, putative MTTLSEVYREHAIHLRHIVPLDFHSVRTVPDSHVWPKSDDFSSDDQLSIPIIDLKDPLAVKLAGHACETWGAFQVINHGIPSNLVEEVESEARRLFSLPAQQKMKALREPAGATGYGLARISPFFPKYMWHEGFTVMGSPVDHARALWPNDNARFCDVIERYQKKMKVLAEQLTHLILESLDVSLEDLSWDVGSPSTALQLNSYPSCPDPNRTMGLAPHTDTSFLTLLHQSSISGLQIFKEGVGWLSVRPIAGALVVNVGDLLHILSNARFPSVLHRAVVNRDGCHRLSVAYFYGIPTDCNVSPLAKLLNSGHTPRYRSVTVKEYVILKAKNFEEALSLIRI >EOY29582 pep chromosome:Theobroma_cacao_20110822:9:1656102:1658011:-1 gene:TCM_037080 transcript:EOY29582 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S12 MSGEEVAVATPEVPVAAGEPMDINTALPLVVRKSQAHGGLARGLHEAAKAIEKNTAHLCVIAEDCDQPDYVKLVKALCADHNVKVLVAPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEQHEGVEVVQQHKD >EOY29841 pep chromosome:Theobroma_cacao_20110822:9:2529517:2531277:-1 gene:TCM_037246 transcript:EOY29841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSEVRLILFSISVRTDLLWLRPCLVRGTHHTLKQSKLNASTLCFPLILMFRTITHARVNIFIFLLPDYLSGNTGTSFLVHIFRSKVSFKNRK >EOY34034 pep chromosome:Theobroma_cacao_20110822:9:39751871:39753652:1 gene:TCM_041836 transcript:EOY34034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger protein MDKNMLAGLEGLPEEDKIRMSAMIDHLQFRDSLRMYNSLVERCFNDCVDNFTRKTLQKQEETCVMRCAEKFLKHSMRVGLRFAELNSQAATQD >EOY32394 pep chromosome:Theobroma_cacao_20110822:9:28655724:28663258:-1 gene:TCM_040287 transcript:EOY32394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 2 MPELRKGVRRGRATIAQQQQQREQKQTPQRNQGRKRAAAAAAAEGGRPRTRLAAKRLKEEDHRQLVAAATAREDHNHQVIVISERDSDIEKKEFVKGDLEKKGAVMGDDSGGLSANKAAGQEEEGSTAPFPERVQVGGSPLYKIERKLGKGGFGQVFVGRRVNGGNERATGSAALEVALKFEHRNSKGCNYGPPYEWQVYNALGGSHGVPKVHYKGKQGDYYVMVMDMLGPSLWDVWNSSGQAMSAEMVACIAVESLSILEKMHSKGYVHGDVKPENFLLGQPSTPQEKKLFLVDLGLATKWKDSSSGLHVDYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSPEMLCCFCPPPLRQFLEIVVNMKFDEEPNYSKLISLFEGLMGPNPAIRPINTDGAQKIIYQVGQKRGRLNIDEEDGQPKKKVRLGVPATQWISVYNARLPMKQRYHYNVADARLAQHVEKGMADGLLISCVASCTNLWALIMDAGTGFTHQVYELSPSFLHKWEKNYYISAIAGSSSGSSLVVMSKGTQYTQQSYKVSDSFPFKWINKKWREGFYVTSMATAGSRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWDNGYRITSTAATSDQAALILSIPKRKPGDETQETLRTSQFPSTHVKEKWAKNLYLACLCYGRTVS >EOY32395 pep chromosome:Theobroma_cacao_20110822:9:28655861:28663258:-1 gene:TCM_040287 transcript:EOY32395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 2 MPELRKGVRRGRATIAQQQQQREQKQTPQRNQGRKRAAAAAAAEGGRPRTRLAAKRLKEEDHRQLVAAATAREDHNHQVIVISERDSDIEKKEFVKGDLEKKGAVMGDDSGGLSANKAAGQEEEGSTAPFPERVQVGGSPLYKIERKLGKGGFGQVFVGRRVNGGNERATGSAALEVALKFEHRNSKGCNYGPPYEWQVYNALGGSHGVPKVHYKGKQGDYYVMVMDMLGPSLWDVWNSSGQAMSAEMVACIAVESLSILEKMHSKGYVHGDVKPENFLLGQPSTPQEKKLFLVDLGLATKWKDSSSGLHVDYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSPEMLCCFCPPPLRQFLEIVVNMKFDEEPNYSKLISLFEGLMGPNPAIRPINTDGAQKIIYQVGQKRGRLNIDEEDGQPKKKVRLGVPATQWISVYNARLPMKQRYHYNVADARLAQHVEKGMADGLLISCVASCTNLWALIMDAGTGFTHQVYELSPSFLHKEWIMDQWEKNYYISAIAGSSSGSSLVVMSKGTQYTQQSYKVSDSFPFKWINKKWREGFYVTSMATAGSRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWDNGYRITSTAATSDQAALILSIPKRKPGDETQETLRTSQFPSTHVKVMVLLLV >EOY32393 pep chromosome:Theobroma_cacao_20110822:9:28655211:28668712:-1 gene:TCM_040287 transcript:EOY32393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein isoform 2 MEVASPQGLGRTSKRSTHQWTPSKDGVLIDRCIDLVNEGSWATRVASEAFSVLNVDKDDNGDDDVSPAQATNSEGSTAARRRTIEQGDKEVSCKKTKTKSNGDNIVHAFQSSVDKIGEICQGAREGIDKLTSCFQFMAEDVRLKKRVAEIVHGVEGLTLEEIVKKKVYNDRITGVSFQLHGVYAMPELRKGVRRGRATIAQQQQQREQKQTPQRNQGRKRAAAAAAAEGGRPRTRLAAKRLKEEDHRQLVAAATAREDHNHQVIVISERDSDIEKKEFVKGDLEKKGAVMGDDSGGLSANKAAGQEEEGSTAPFPERVQVGGSPLYKIERKLGKGGFGQVFVGRRVNGGNERATGSAALEVALKFEHRNSKGCNYGPPYEWQVYNALGGSHGVPKVHYKGKQGDYYVMVMDMLGPSLWDVWNSSGQAMSAEMVACIAVESLSILEKMHSKGYVHGDVKPENFLLGQPSTPQEKKLFLVDLGLATKWKDSSSGLHVDYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSPEMLCCFCPPPLRQFLEIVVNMKFDEEPNYSKLISLFEGLMGPNPAIRPINTDGAQKIIYQVGQKRGRLNIDEEDGQPKKKVRLGVPATQWISVYNARLPMKQRYHYNVADARLAQHVEKGMADGLLISCVASCTNLWALIMDAGTGFTHQVYELSPSFLHKEWIMDQWEKNYYISAIAGSSSGSSLVVMSKGTQYTQQSYKVSDSFPFKWINKKWREGFYVTSMATAGSRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWDNGYRITSTAATSDQAALILSIPKRKPGDETQETLRTSQFPSTHVKEKWAKNLYLACLCYGRTVS >EOY31626 pep chromosome:Theobroma_cacao_20110822:9:10588857:10596737:1 gene:TCM_038600 transcript:EOY31626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated channel 17 isoform 1 MELKKEKLVRFYSDGKRQKETPWGKNDPSLLEKSSSGYKISFSSVLKPENGIVGGRNRLPEIGKIGRTKAFPQANAPWYKRILDPGSEIVLQWNWVFIISCLVALFIDPLYFYLPAVGGPATNSCVKTDTSLRIVVTCFRTIADIFYLLHIIIKFRTGYVAPNSTTRVFGRGELVMDPKKIAQRYLRSDFFVDLIATLPLPQIVIWFIIPATRSARTDHNNNALALIVLLQYIPRLYLIFPLSSQIIKATGVVTKTAWAGAAYNLLLYMLASHVVGAAWYLLSVDRYTSCWKKICKTEFAPVRCSLYYLDCDTFGSDDRKMWADVTDVFKSCDPRSDINFQYGIFESAVKKNVVSSGFLEKYFYCLCSYGQNLSTTTFIGETLFAILIAILGLVLFAHLIGNMQTYLQSLTVRLEEWRLKRRDTEEWMRHRQLPEDLKHRVRRFVQYKWLATRGVNEEAILRGLPADLSHDIQRHLCLDLVRRVPFFAQMDDQLLDAICERLVSSLSTEGTYLVREGDPVTEMLFIIRGRLESSTTNGGRTGFFNSIVLRPGDFCGEELLAWALLPKSTMNLPSSTRTVRALVEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRRYKRRMMENNLTMTDSFTLDEKGDDETGQEEEKIFPAGSNSSQTKLNLGVTILASRFAANTRRGAQKIKDVDLPKLQKPEEPDFSTEPDDD >EOY31625 pep chromosome:Theobroma_cacao_20110822:9:10588558:10596458:1 gene:TCM_038600 transcript:EOY31625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated channel 17 isoform 1 MELKKEKLVRFYSDGKRQKETPWGKNDPSLLEKSSSGYKISFSSVLKPENGIVGGRNRLPEIGKIGRTKAFPQANAPWYKRILDPGSEIVLQWNWVFIISCLVALFIDPLYFYLPAVGGPATNSCVKTDTSLRIVVTCFRTIADIFYLLHIIIKFRTGYVAPNSTTRVFGRGELVMDPKKIAQRYLRSDFFVDLIATLPLPQIVIWFIIPATRSARTDHNNNALALIVLLQYIPRLYLIFPLSSQIIKATGVVTKTAWAGAAYNLLLYMLASHVVGAAWYLLSVDRYTSCWKKICKTEFAPVRCSLYYLDCDTFGSDDRKMWADVTDVFKSCDPRSDINFQYGIFESAVKKNVVSSGFLEKYFYCLWWGLQQLSSYGQNLSTTTFIGETLFAILIAILGLVLFAHLIGNMQTYLQSLTVRLEEWRLKRRDTEEWMRHRQLPEDLKHRVRRFVQYKWLATRGVNEEAILRGLPADLSHDIQRHLCLDLVRRVPFFAQMDDQLLDAICERLVSSLSTEGTYLVREGDPVTEMLFIIRGRLESSTTNGGRTGFFNSIVLRPGDFCGEELLAWALLPKSTMNLPSSTRTVRALVEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRRYKRRMMENNLTMTDSFTLDEKGDDETGQEEEKIFPAGSNSSQTKLNLGVTILASRFAANTRRGAQKIKDVDLPKLQKPEEPDFSTEPDDD >EOY30835 pep chromosome:Theobroma_cacao_20110822:9:5960597:5961081:-1 gene:TCM_037910 transcript:EOY30835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiprotein bridging factor 1C MPSRFGGAVTQDWEPVVLHKSKPKAQELRDPKAVNQALRSGPPVQTIKKFDAGSNKKTEGPVLNPRKLDEGTEPAAIDRVSADVRQAIQKARLEKKSS >EOY31358 pep chromosome:Theobroma_cacao_20110822:9:8350202:8353304:1 gene:TCM_038301 transcript:EOY31358 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 5, putative isoform 1 MKPGVSSLNPYAASYIPLAKREGNDNVAAKDIKRGNETAWYEPSSHLAHNPHHSNTSLDSVTHGTGKHQIPEACALKSHPAHGSLMQNLGEMTDKQIMDEEFDMDLEYLCMIFPGLSNESLLDVYWVNNRDLEATVDMLNQLEPWEDECLSSSSAVLSHTSPNILL >EOY31357 pep chromosome:Theobroma_cacao_20110822:9:8349197:8353465:1 gene:TCM_038301 transcript:EOY31357 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 5, putative isoform 1 MKPGVSSLNPYAASYIPLAKREGNDNVAAKDIKRGNETAWYEPSSHLAHNPHHSNTSLDSVTHGTGKHQIPEACALKSHPAHGSLMQNLGEMTDKQIMDEEFDMDLEYLCMIFPGLSNESLLDVYWVNNRDLEATVDMLNQLEMYTVESSETLPDTLDIGDVSESGSSATCGALKLKNVAGEASASSSGSAEPAVAS >EOY31359 pep chromosome:Theobroma_cacao_20110822:9:8349278:8350717:1 gene:TCM_038301 transcript:EOY31359 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 5, putative isoform 1 MKPGVSSLNPYAASYIPLAKREGNDNVAAKDIKRGNETAWYEPSSHLAHNPHHSNTSLDSVTHGTGKHQIPEACALKSHPAHGSLMQNLGEMTDKQIMDEEFDMDLEYLCMIFPGLSNESLLDVYWVNNRDLEATVDMLNQL >EOY34121 pep chromosome:Theobroma_cacao_20110822:9:39998480:39998925:-1 gene:TCM_041894 transcript:EOY34121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWDPRSDLTARSTGSGASQLDPHGRIWHSTTRSNIVKCRSDALVARSGYMVRQIGVSLHWSHFRWQAHGEEERKKEKKKNKREKIIYKF >EOY34202 pep chromosome:Theobroma_cacao_20110822:9:40253613:40257457:-1 gene:TCM_041944 transcript:EOY34202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTSSTKYEIEKFNGRNDFSLWCVKMCALLVQQGLLKALKEKEHLLSNLSNGEKDNLMEKAHSAILLALSDEVIREVTDEESAIAVWLKLKSIYMTKSLMNRLYIKQRLYTLKMSEGTSVNTHIDEFNRVILDLKNIDVKIEDEDLALILLCSLPPSYENFMDTMLYGRDTFTFEDVRASLNSKELKKKVGHFRQDCTKFKDDEKINKFVNTVNVVGDDFDTFEETDNVLTITNDNLMDTWILDLACCFHICLRRDWFSTYQSVDMGTVQLEDDFSLSVVGIGTIRIKMFDGMVRSLEGKLSNDLYCLVGNTVIETVSVVSSNDPEDDVLTEKQTKKRIESFQIDKGLEFCKGEFGLFYKNEKIVRYCTVVKTPHQNGIVEWMKKTLLERAKYMFSNVGLTKVFWTKAINKACYLVNRFSSTTIEFKTLKKVWSGKPADYSILRVFGCLVHARVSDGKLELRATECIFLGYAYEVKDYRLWCTDCKFPKFMVSRDVTFNKFALLCGIKSRIANTDQEVGKQMELEINALVIVRDDIEIDEPYFYHEEITYVESSKKIEFIHWDQTWELVKTLKGTIEVGLVCEGGANISRNVVGFSKSDFASDLDSKRSRMGYMFTFSRSAISWKVVVALFTTEVKYMAVTEAVKEALWLRDLVSDLCFGQESRLLEALWGSGGVDRDWFVRWSLVNASLRSSKDRTT >EOY31264 pep chromosome:Theobroma_cacao_20110822:9:7899789:7904381:-1 gene:TCM_038234 transcript:EOY31264 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MAIASPSLRPLHKSLFPKYPCLSQCSRFRPSLHFSSQPLRFTVRASSAVALEPDLSSQRNQTVDTDLFSCPVCYEPLIRKGPSGLNLEAIYRSGFKCKKCNKSYSSKDVYLDLTITAGLRDYTEVKPVGTELFRSPFVSFVYERGWRQNFNRSGFPGPDEEFRMAQEYFKPAEGGILVDVSCGSGLFSRKFAKSGTYSGVIALDFSENMLRQCNDFIEQDASLLASNIALVRADVSRLPFSSGSIDAVHAGAALHCWPSTSNAVAEISRILRSGGVFVGSTFLRYSSTTPWIVRPFRERILQNYNYLTEEEIEDVCTSCGLTNFTKKVQQSFIMFSAQKP >EOY33949 pep chromosome:Theobroma_cacao_20110822:9:39447760:39453831:1 gene:TCM_041776 transcript:EOY33949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional regulator SUPERMAN, putative METDQSAPENPDQGSSDEQRTSPARSYECTFCKRGFSNAQALGGHMNIHRRDKAKLKQASSPGETTQQSLDIVPKIIPSSYSPNHPSCTTVLLPIADAKSSQEIRSSPGKWPWVIPEEDDANKRDKTHVGEIRQLPLFDEKPSTTDQNYPSSQAQGGIGKGMSSSQGSSGLDQLDLELRLGPEPPDSSPTMTTKKFF >EOY32400 pep chromosome:Theobroma_cacao_20110822:9:28796187:28796769:1 gene:TCM_040303 transcript:EOY32400 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family MGIQLIGLVHAKQKLQRTLSARIRNAEATSNVPKGHIAVYVGEGNRKRFVIPISFLNHPLFQDLLNRAEEEFGFNHPMGGLTIPCSEEYFISITTILNCS >EOY33910 pep chromosome:Theobroma_cacao_20110822:9:39313182:39314989:1 gene:TCM_041747 transcript:EOY33910 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase A2B MAHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVSEEDGHALAEKEGLSFLETSALDATNVEKAFQTILNEIYHIISKKALAAQEAAASTTLPGQGTTINVADASGNTKKGCCST >EOY29467 pep chromosome:Theobroma_cacao_20110822:9:1265295:1265701:1 gene:TCM_036988 transcript:EOY29467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSVRQQAQAPSDTSAEIAASHQISYIRPNGPDLSTSPDPNPLFKSTFLSFISGSFFPPPPPPPLSPSSSPLFFLHRERKNLEKKEETVAVLLLNWLEKRSTNPVPL >EOY31795 pep chromosome:Theobroma_cacao_20110822:9:14043536:14044989:1 gene:TCM_038923 transcript:EOY31795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglycosylase 6 MDTVSSHPNALEMFLITFLIVETFMVASAGNFYQDVDITWGDGRGKILNSGQLLTLSLDKASGSGFQSRNEYLYGKFDMQLKLVPGNSAGTVTAYYVKSQGSSWDEIDFEFLGNLSGDPYIVHTNVYTKGKGDREQQFYLWFDPTTDFHTYSILWNPEHIIFYVDGRPIREFKNLKPAGVPYPKNQPMKLYSSLWNADDWATRGGLVKTDWSQAPFTASFRNFNANACIWSNGVSSCSSNSSSNNAWFSQELDSSGQKVLKWVQRNYMVYNYCKDAKRFPQGFPVECTVTNRK >EOY34286 pep chromosome:Theobroma_cacao_20110822:9:40583154:40589852:-1 gene:TCM_042011 transcript:EOY34286 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 zinc-finger protein SERRATE isoform 1 NNNNNNNNRQPPSSDDPNSSPPPLPPPRRRDRDSRERRDREYYDRNRSPPPPPPRERDYKRRSSVSPPPPPLNYRDRRHSPPPRRSPPYKRSRREDGGYEGRRGSPRGGFGPGDRRFGYDYGGGYDREMMGRPGYPEERPHGRYFGRTSDWDSSRGYGDAANSGSTQREGLMSYKQFIQELEDDILPAEAERRYQEYKSEYISTQKRAFFDAHKDEEWLRDKYHPTNLVTVIERRNELARKVAKDFLLDLQSGTLELSPGVNALSSNKSGQISDPNSEDEADIGGKRRRHGRGPAKETDLSAAPKAHPVSSEPRRIQIDIEQAQGLVRKLDSEKGIEENILSGSDNDKINRDKSHGGLTGPVIIVRGLASVKGLEGVELLDTLITYLWRVHGLDYYGMIETSEAKGLRHVRAEGKNSDVTNNGSEWEKKLDSRWQERLRGQDPLVLMTAKDKIDAAAVEALDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVMELTSKVREELYFQNYMNDPDAPGGTPVMQQSVPKDKPQRRKILENRLKDERGPRRERDNRANGSDRYDRSENPQSSDFTSNNDGPDGGNRDDTMFDAFGGQGMRVAAPFSSDIAPPPVLMPVPGAGPLGPFVPAPPELAMQVFRERGGPPPFEGNSRGGRPGPNLSGPAPFLLPPGFRQDPRRLRSYQDLDAPEDEVTVIDYRSL >EOY34285 pep chromosome:Theobroma_cacao_20110822:9:40583869:40590223:-1 gene:TCM_042011 transcript:EOY34285 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 zinc-finger protein SERRATE isoform 1 MAEVINMLVDSLDRRRGDRKDNNNNNNNNNNNNNNRQPPSSDDPNSSPPPLPPPRRRDRDSRERRDREYYDRNRSPPPPPPRERDYKRRSSVSPPPPPLNYRDRRHSPPPRRSPPYKRSRREDGGYEGRRGSPRGGFGPGDRRFGYDYGGGYDREMMGRPGYPEERPHGRYFGRTSGGYQDWDSSRGYGDAANSGSTQREGLMSYKQFIQELEDDILPAEAERRYQEYKSEYISTQKRAFFDAHKDEEWLRDKYHPTNLVTVIERRNELARKVAKDFLLDLQSGTLELSPGVNALSSNKSGQISDPNSEDEADIGGKRRRHGRGPAKETDLSAAPKAHPVSSEPRRIQIDIEQAQGLVRKLDSEKGIEENILSGSDNDKINRDKSHGGLTGPVIIVRGLASVKGLEGVELLDTLITYLWRVHGLDYYGMIETSEAKGLRHVRAEGKNSDVTNNGSEWEKKLDSRWQERLRGQDPLVLMTAKDKIDAAAVEALDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVMELTSKVREELYFQNYMNDPDAPGGTPVMQQSVPKDKPQRRKILENRLKDERGPRRERDNRANGSDRYDRSENPQSSDFTSNNDGPDGGNRDDTMFDAFGGQGMRVAAPFSSDIAPPPVLMPVPGAGPLGPFVPAPPELAMQVFRERGGPPPFEGNSRGGRPGPNLSGPAPFLLPPGFRQDPRRLRSYQDLDAPEDEVTVIDYRSL >EOY31433 pep chromosome:Theobroma_cacao_20110822:9:8813093:8816613:1 gene:TCM_038370 transcript:EOY31433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MRGVILVSVLLCATCHLALSATNGTAERNGTVADGLLDNGNFERAPNATNMKGTVVVGRYAIPGWINEGFVEYIKSGQKQGDMLLVVPEGAYAVRLGNDASIKQAIKVIVGLYYSITFSAARTCAQEERLNVTVAPDSGVLPIQTVYSSNGWDSYAWAFKAIAAVIELIIHNTGKEEDPACGPLIDAVAIKALYPPRATNKNILKNAGFEEGAYIFPNTPWGVLIPPNIEDDHSPLPGWMVESLKAVKYIDSDHYFVPQGRRAVELVAGKESAIAQVARTIIGKTYTLSFSVGDANNSCAGSMVVEAFAGKDTLKVPYESKGTGGFKRAVLTFKAVSTRTRIMFLSTFYTMRSDDFSSLCGPVVDDVKLLSVRKP >EOY31432 pep chromosome:Theobroma_cacao_20110822:9:8811615:8816921:1 gene:TCM_038370 transcript:EOY31432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGVKPCDIEQFLSLTSTRATQGAITSSEKPHVKIHSRIPQQLEVSVLLCATCHLALSATNGTAERNGTVADGLLDNGNFERAPNATNMKGTVVVGRYAIPGWINEGFVEYIKSGQKQGDMLLVVPEGAYAVRLGNDASIKQAIKVIVGLYYSITFSAARTCAQEERLNVTVAPDSGVLPIQTVYSSNGWDSYAWAFKAIAAVIELIIHNTGKEEDPACGPLIDAVAIKALYPPRATNKNILKNAGFEEGAYIFPNTPWGVLIPPNIEDDHSPLPGWMVESLKAVKYIDSDHYFVPQGRRAVELVAGKESAIAQVARTIIGKTYTLSFSVGDANNSCAGSMVVEAFAGKDTLKVPYESKGTGGFKRAVLTFKAVSTRTRIMFLSTFYTMRSDDFSSLCGPVVDDVKLLSVRKP >EOY34312 pep chromosome:Theobroma_cacao_20110822:9:40670125:40672059:-1 gene:TCM_042030 transcript:EOY34312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 20, putative MNKKEKEMHAHLPIPVRKKKPNVFGKMDGLKKILIRLMMVAMSMKMVHGRDPVRHDVGGGRYGWKPDVNFSEWSNHQLFYVGDWLYFGFDKNLYSVLEVNKTSYEKCNETDFMTNITRGGRDVFELKEARPYYFISGRGFCFDGMKVAVRVEDTPPAPAPSPGKNSGWTSPGFTRKQTVCRIRAKSTYTWCCCRVDEHTLNVTMDLSMIRTIMGNDPKLGRLAFSPAVVADPLSITLGVSGRLIEVAFAVTRNIS >EOY31391 pep chromosome:Theobroma_cacao_20110822:9:8465456:8468550:-1 gene:TCM_038324 transcript:EOY31391 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate-dependent dioxygenase MDGAKIPVLDFSGEHLDRERGGECWELLCGKVREACETHGCFLLMYDKIPTSLREDMLVAMKALFDLPEETKSKYQNPKPYRSYQGKCPVVPLHESFGIDDATRLEAAQEFTQLMWPQGNPAFSEILNSMSSKLLKLNFTILEMIFESFRMEKKNYDALVRDSTSIFRIMKYKVPPRKDENLGLVAHTDKNALTVLCQNDVQGLEVMTKEGRWEHVVVPREAFVVIVGDALKAWSNGRLVAVKHRVVMKADRERYSFGLFSMPKEGAMIEVPRELVDKEHPLLYRPFKFADYFSYFVSNISDDALEIYAGV >EOY34044 pep chromosome:Theobroma_cacao_20110822:9:39784060:39788324:1 gene:TCM_041847 transcript:EOY34044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pol-like 5 isoform 2 MGNGFGKLTVCFTGGGGYGGEEARRRKEISMFLSDPLDEGLGHSFCYVRPDPTRLSSSKVHSEESTTTFRTISGASVSANTYTPLSTALVDPYVSYNNSCFDRAAAFESTTSFSSIPLQPIPKNLMNSSGPMSGSLVPGSGPLERGFMSGPIERGFMSGPLDNINNNNYNRGLFSGPLEKGFSDQFQRSFSHGAFAFKTRSKKGSLIRVLQRAISKTMSRGQNSIVAPIKGVVSVKVPEWAIGSEKNPIHPHNENLTVSSLNLSSEGSLDDDESMESQNLQWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDAPDYLLTNLYSNVHKELKGLLWDDGFEQAPASSPEEEKQSSEREPARGCSDDACSRCMEQEYYPCEKEVDSDLISSSKKRKGRNLKGKYKGAAKRWEENQRRWKCEWDRERLELDRKLKEQLSRNKSDGSRSMINHGDVLKALSQALQKTEESYLDIADKMLMENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKAEPDYWLGKVRQDLERIKEETLHDLEGFDGERSSAIPDLTAFQLSVDHSTSVEEEVQRIINEHPDDAYAVMNDRVKGSLKVTRAFGAGFLKQPKWNNALLEMFRIDYKGTSPYITCVPSLHHHKLGPKDRFLILSSDGLYQYLTNEEAVSEVELFITLQPEGDPAQHLVEEVLFRAAKKAGMDFHELLEIPQGDRRRYHDDVSIIVISLEGRIWRSCV >EOY34045 pep chromosome:Theobroma_cacao_20110822:9:39784164:39788289:1 gene:TCM_041847 transcript:EOY34045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pol-like 5 isoform 2 MGNGFGKLTVCFTGGGGYGGEEARRRKEISMFLSDPLDEGLGHSFCYVRPDPTRLSSSKVHSEESTTTFRTISGASVSANTYTPLSTALVDPYVSYNNSCFDRAAAFESTTSFSSIPLQPIPKNLMNSSGPMSGSLVPGSGPLERGFMSGPIERGFMSGPLDNINNNNYNRGLFSGPLEKGFSDQFQRSFSHGAFAFKTRSKKGSLIRVLQRAISKTMSRGQNSIVAPIKGVVSVKVPEWAIGSEKNPIHPHNENLTVSSLNLSSEGSLDDDESMESQNLQWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDAPDYLLTNLYSNVHKELKGLLWDDGFEQAPASSPEEEKQSSEREPARGCSDDACSRCMEQEYYPCEKEVDSDLISSSKKRKGRNLKGKYKGAAKRWEENQRRWKCEWDRERLELDRKLKEQLSRNKSDGSRSMINHGDVLKALSQALQKTEESYLDIADKMLMENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKAEPDYWLGKVRQDLERIKEETLHDLEGFDGERSSAIPDLTAFQLSVDHSTSVEEEVQRIINEHPDDAYAVMNDRVKGSLKVTRAFGAGFLKQPKWNNALLEMFRIDYKGTSPYITCPEGDPAQHLVEEVLFRAAKKAGMDFHELLEIPQGDRRRYHDDVSIIVISLEGRIWRSCV >EOY29959 pep chromosome:Theobroma_cacao_20110822:9:2914738:2918689:1 gene:TCM_037328 transcript:EOY29959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 3 MSTVYVLEPPTKGKVILNTTYGPLDIELWPKEAPKAVRNFVQLCLEGYFDNTIFHRIIKGFLVQGGDPTGSGTGGGSIYGSVFSDEFHSRLRFNHRGIVACANAGSPHSNGSQFFMSLDKCEWLDKKNTIFGKVTGDSIFNLLTIGEVDTDQNDRPLDPPPRIKSVEVLWNPFEDIVPRISSKPLIQPTAESERKDLKKKAVKKLNLLSFGEEAEEEEKELAAVKQKIKSSHDVLSDPRLLKQEQDSSNVKTTKDVQLSVRGALSSKREGAEKDVDAELSDSLDHSDDDEASFDARMRRQILNRRKELGDHPSKQKMQNGSSKPNDREISAPRSNDESIDDDQPRVEKLSLKKKGMGSEARAERMANADADLQLFSVAERGRLLQKQKKRRLQGREDELLEAVGLQVMKMRICLTGKQFG >EOY29958 pep chromosome:Theobroma_cacao_20110822:9:2914738:2919780:1 gene:TCM_037328 transcript:EOY29958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 3 MSTVYVLEPPTKGKVILNTTYGPLDIELWPKEAPKAVRNFVQLCLEGYFDNTIFHRIIKGFLVQGGDPTGSGTGGGSIYGSVFSDEFHSRLRFNHRGIVACANAGSPHSNGSQFFMSLDKCEWLDKKNTIFGKVTGDSIFNLLTIGEVDTDQNDRPLDPPPRIKSVEVLWNPFEDIVPRISSKPLIQPTAESERKDLKKKAVKKLNLLSFGEEAEEEEKELAAVKQKIKSSHDVLSDPRLLKQEQDSSNVKTTKDVQLSVRGALSSKREGAEKDVDAELSDSLDHSDDDEASFDARMRRQILNRRKELGDHPSKQKMQNGSSKPNDREISAPRSNDESIDDDQPRVEKLSLKKKGMGSEARAERMANADADLQLFSVAERGRLLQKQKKRRLQGREDEVLAKLEKFKQSISTKPAARSSGPAVQLQQWNHREFNQWFSSNHHAGWYVSQG >EOY29960 pep chromosome:Theobroma_cacao_20110822:9:2914738:2919780:1 gene:TCM_037328 transcript:EOY29960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 3 MSTVYVLEPPTKGKVILNTTYGPLDIELWPKEAPKAVRNFVQLCLEGYFDNTIFHRIIKGFLVQGGDPTGSGTGGGSIYGSVFSDEFHSRLRFNHRGIVACANAGSPHSNGSQFFMSLDKCEWLDKKNTIFGKVTGDSIFNLLTIGEVDTDQNDRPLDPPPRIKSVEVLWNPFEDIVPRISSKPLIQPTAESERKDLKKKAVKKLNLLSFGEEAEEEEKELAAVKQKIKSSHDVLSDPRLLKQEQDSSNVKTTKDVQLSVRGALSSKREGAEKDVDAELSDSLDHSDDDEASFDARMRRQILNRRKELGDHPSKQKMQNGSSKPNDREISAPRSNDESIDDDQPRVEKLSLKKKGMGSEARAERMANADADLQLFSVAERGRLLQKQKKRRLQGREDEDGMSRKDDPNDYVVVDPLLEKGKEKFNRMQAKQKRREREWAGKSLT >EOY29957 pep chromosome:Theobroma_cacao_20110822:9:2914751:2918569:1 gene:TCM_037328 transcript:EOY29957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 3 MSTVYVLEPPTKGKVILNTTYGPLDIELWPKEAPKAVRNFVQLCLEGYFDNTIFHRIIKGFLVQGGDPTGSGTGGGSIYGSVFSDEFHSRLRFNHRGIVACANAGSPHSNGSQFFMSLDKCEWLDKKNTIFGKVTGDSIFNLLTIGEVDTDQNDRPLDPPPRIKSVEVLWNPFEDIVPRISSKPLIQPTAESERKDLKKKAVKKLNLLSFGEEAEEEEKELAAVKQKIKSSHDVLSDPRLLKQEQDSSNVKTTKDVQLSVRGALSSKREGAEKDVDAELSDSLDHSDDDEASFDARMRRQILNRRKELGDHPSKQKMQNGSSKPNDREISAPRSNDESIDDDQPRVEKLSLKKKGMGSEARAERMANADADLQLFSVAERGRLLQKQKKRRLQGREDELLEAVGLQVMKMRICLTGKQFG >EOY29956 pep chromosome:Theobroma_cacao_20110822:9:2914551:2919782:1 gene:TCM_037328 transcript:EOY29956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein isoform 3 MSTVYVLEPPTKGKVILNTTYGPLDIELWPKEAPKAVRNFVQLCLEGYFDNTIFHRIIKGFLVQGGDPTGSGTGGGSIYGSVFSDEFHSRLRFNHRGIVACANAGSPHSNGSQFFMSLDKCEWLDKKNTIFGKVTGDSIFNLLTIGEVDTDQNDRPLDPPPRIKSVEVLWNPFEDIVPRISSKPLIQPTAESERKDLKKKAVKKLNLLSFGEEAEEEEKELAAVKQKIKSSHDVLSDPRLLKQEQDSSNVKTTKDVQLSVRGALSSKREGAEKDVDAELSDSLDHSDDDEASFDARMRRQILNRRKELGDHPSKQKMQNGSSKPNDREISAPRSNDESIDDDQPRVEKLSLKKKGMGSEARAERMANADADLQLFSVAERGRLLQKQKKRRLQGREDEVLAKLEKFKQSISTKPAARSSGPAGHENEDLSDWKAVRLAFAPDTKDGMSRKDDPNDYVVVDPLLEKGKEKFNRMQAKQKRREREWAGKSLT >EOY32163 pep chromosome:Theobroma_cacao_20110822:9:22527823:22531231:-1 gene:TCM_039738 transcript:EOY32163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MLCRLKISCFAFQTLHFLCGSYLQLWSLRAMSSANDDSVPLPPMGTASMQPTSHFFSLGASEEMSKIGIV >EOY32162 pep chromosome:Theobroma_cacao_20110822:9:22527605:22531130:-1 gene:TCM_039738 transcript:EOY32162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MLCRLKISCFAFQTLHFLCGSYLQLWSLRAMSSANDDSVPLPPMGTASMQPTSHFFSLGASEGETLSSKSKAEKNKGVWLRAKSWNRFTRDVKDWDCG >EOY32161 pep chromosome:Theobroma_cacao_20110822:9:22529785:22531083:-1 gene:TCM_039738 transcript:EOY32161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 3 MLCRLKISCFAFQTLHFLCGSYLQLWSLRAMSSANDDSVPLPPMGTASMQPTSHFFSLGASEGETLSSKSKAEKNKGVWLRAKSWNRFTRL >EOY29794 pep chromosome:Theobroma_cacao_20110822:9:2420513:2423108:1 gene:TCM_037224 transcript:EOY29794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-associated protein 1, putative isoform 2 MEEYPGLLNLNPMNKEWPVNHKVSEDRKLELRLGPPGEFLGCNNSSTHGTKRAFQHTAETRIAEKDRWRDGSENQCQKLSCFAKTGDAVNCTPSPWSSGSIPYSAFQRDSQMESQHSKASFFQNLPIPKNLAGMAEDFSQPCSSRGAEVQFPHRKACSSLATADADTATNNTSNKRIAYSPVVGWPPIRSFRKNLASSSSSKPASESPNEKDTGGKPENSKNQLFVKINMEGIPIGRKVNLSAYNSYEELSLAIDELFSGLLAAQRDSSATQNENKIEEFAKADAGTLAGSGEYTLIYEDDEGDRMLVGDVPWHMFVSTAKRLHVLKSSELSTLRIGSNDQEKTPLAPAVQI >EOY29795 pep chromosome:Theobroma_cacao_20110822:9:2420611:2422983:1 gene:TCM_037224 transcript:EOY29795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-associated protein 1, putative isoform 2 MEEYPGLLNLNPMNKEWPVNHKVSEDRKLELRLGPPGEFLGCNNSSTHGTKRAFQHTAETRIAEKDRWRDGSENQCQKLSCFAKTGDAVNCTPSPWSSGSIPYSAFQRDSQMESQHSKASFFQNLPIPKNLAGMAEDFSQPCSSRGAEVQFPHRKACSSLATADADTATNNTSNKRIAYSPVVGWPPIRSFRKNLASSSSSKPASESPNEKDTGGKPENSKNQLFVKINMEGIPIGRKVNLSAYNSYEELSLAIDELFSGLLAAAQRDSSATQNENKIEEFAKADAGTLAGSGEYTLIYEDDEGDRMLVGDVPWHMFVSTAKRLHVLKSSELSTLRIGSNDQEKTPLAPAVQI >EOY29730 pep chromosome:Theobroma_cacao_20110822:9:2183622:2185663:-1 gene:TCM_037181 transcript:EOY29730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MEFYGRNTLHGFMDDENGSTQSPPRLSIRSQLDMYEQDLQSSPGRTSSPRASATMHSVLPPGSPESPWTLSPLQTPSPALLYHCIASLHRQEGNIYSIGLSKGLVFTGSDSNRIRAWRQPDCTERGYIKASSGEVRAIFAYGNMLFSTHRDCKIRIWNYTVTDNFKFKKISTLPKRSSFLLFSKTSGQQHKDCVSCMAYYHAEGLLYTGSYDRTVKAWRLLDKKCVDSFVAHESNVNAIVVNQDDGCVFTCSSDGSVKIWRRVYRENSHTLTMTLKFQQSPVNALALSTTFNNCFLYSGSSDGTINFWEKEKMSGRFNHGGFLQGHRFAVLCLTAIEKLIFSGSEDTTIRVWRREEGSCFHECLAVLDGHRGPVRCLAASLEMEKIVMGFLVYSASLDQTFKVWRVKVMPEEKVCFDFADRNDSKSTKTMEYEMSPVLSPSWVEKKLQGNHFQ >EOY33829 pep chromosome:Theobroma_cacao_20110822:9:39115106:39117013:1 gene:TCM_041691 transcript:EOY33829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proliferating cell nuclear antigen MLELRLVQGSLLKKVLEAIKDLVTDANFDCSATGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNISMGMNLNNMSKMLKCAGNDDIITIKADDGSDTVTFMFESPTQDKISDFEMKLMDIDSEHLGIPEAEYHAIVRMPSAEFARICKDLASIGDTVVISVTKEGVKFSTRGDIGTANIVLRQNTTVDKPEEATIIEMNEPVSLTFALRYMNSFTKATPLSNTVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEDETKPQV >EOY32946 pep chromosome:Theobroma_cacao_20110822:9:34599243:34600076:1 gene:TCM_040961 transcript:EOY32946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGSELTLSNILRRKSIADCLLGWLLVFPLQVKILRGKYSLGSYLIPESINRTEASFLWSSLSRLWQTFRQNIKWAIRDGRTTRFWIDTWIGERLLLKAAQSLGCFIDDNSHVRDLVQPNGAWDVERVVDCLWRRC >EOY31392 pep chromosome:Theobroma_cacao_20110822:9:8490743:8491303:-1 gene:TCM_038326 transcript:EOY31392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 3-beta-dioxygenase, putative MKAWSNGRMKAAKHRVMMSGDRDRYSLGAFAIPLVGTIIKAPKELVNEEHPQVFKEFEFMDFLFYANSEEAKRIDSAEQIYAYASQLRNY >EOY29534 pep chromosome:Theobroma_cacao_20110822:9:1482096:1484444:1 gene:TCM_037041 transcript:EOY29534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein isoform 3 MYSCSSFCIYWFCFPLFSGHPKPRSSATLPFLPFSNRKGAYFGYSLCKRATLRNSCNSAQNNFLRISRTHDGVSRCYFNQKEGLNRSYYNSKLHNENKLSRYIVARSEFAGTGTSDAAYSLSEIKPGSKVRGVCFYAVTAIAAILLIWFMLVLHPFVLLFDRYRRKAQHFIAKLWAMATVAPFFKIEFEGLENLPPQDVPAVYVSNHQSFLDIYTLLTLGRSFKFISKTGIFLYPIIGWAMSMMGLIPLKRMDSRSQLDCLKRCMDLIRNGASVFFFPEGTRSKDGKLGSFKKGAFSVAAKTGVPVVPMTLIGTGKIMPLGLEGVINSGSVKVVIHKPIKGSDPEILCNEARNTIADTLKHQC >EOY29533 pep chromosome:Theobroma_cacao_20110822:9:1482042:1485407:1 gene:TCM_037041 transcript:EOY29533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein isoform 3 MYSCSSFCIYWFCFPLFSGHPKPRSSATLPFLPFSNRKGAYFGYSLCKRATLRNSCNSAQNNFLRISRTHDGVSRCYFNQKEGLNRSYYNSKLHNENKLSRYIVARSEFAGTGTSDAAYSLSEIKPGSKVRGVCFYAVTAIAAILLIWFMLVLHPFVLLFDRYRRKAQHFIAKLWAMATVAPFFKIEFEGLENLPPQDVPAVYVSNHQSFLDIYTLLTLGRSFKFISKTGIFLYPIIGWAMSMMGLIPLKRMDSRSQLDCLKRCMDLIRNGASVFFFPEGTRSKDGKLGSFKKGAFSVAAKTGVPVVPMTLIGTGKIMPLGLEGVINSGSVKVVIHKPIKGSDPEILCNEARNTIADTLKHQC >EOY29535 pep chromosome:Theobroma_cacao_20110822:9:1482036:1485407:1 gene:TCM_037041 transcript:EOY29535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein isoform 3 PSLPFLLSRYVRYFSNRKGAYFGYSLCKRATLRNSCNSAQNNFLRISRTHDGVSRCYFNQKEGLNRSYYNSKLHNENKLSRYIVARSEFAGTGTSDAAYSLSEIKPGSKVRGVCFYAVTAIAAILLIWFMLVLHPFVLLFDRYRRKAQHFIAKLWAMATVAPFFKIEFEGLENLPPQDVPAVYVSNHQSFLDIYTLLTLGRSFKFISKTGIFLYPIIGWAMSMMGLIPLKRMDSRSQLDCLKRCMDLIRNGASVFFFPEGTRSKDGKLGSFKKGAFSVAAKTGVPVVPMTLIGTGKIMPLGLEGVINSGSVKVVIHKPIKGSDPEILCNEARNTIADTLKHQC >EOY29532 pep chromosome:Theobroma_cacao_20110822:9:1481765:1485407:1 gene:TCM_037041 transcript:EOY29532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein isoform 3 MELSSLPSVSSLSLCHPKPRSSATLPFLPFSNRKGAYFGYSLCKRATLRNSCNSAQNNFLRISRTHDGVSRCYFNQKEGLNRSYYNSKLHNENKLSRYIVARSEFAGTGTSDAAYSLSEIKPGSKVRGVCFYAVTAIAAILLIWFMLVLHPFVLLFDRYRRKAQHFIAKLWAMATVAPFFKIEFEGLENLPPQDVPAVYVSNHQSFLDIYTLLTLGRSFKFISKTGIFLYPIIGWAMSMMGLIPLKRMDSRSQLDCLKRCMDLIRNGASVFFFPEGTRSKDGKLGSFKKGAFSVAAKTGVPVVPMTLIGTGKIMPLGLEGVINSGSVKVVIHKPIKGSDPEILCNEARNTIADTLKHQC >EOY29531 pep chromosome:Theobroma_cacao_20110822:9:1481774:1485368:1 gene:TCM_037041 transcript:EOY29531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein isoform 3 MELSSLPSVSSLSLCHPKPRSSATLPFLPFSNRKGAYFGYSLCKRATLRNSCNSAQNNFLRISRTHDGVSRCYFNQKEGLNRSYYNSKLHNENKLSRYIVARSEFAGTGTSDAAYSLSEIKPGSKVRGVCFYAVTAIAAILLIWFMLVLHPFVLLFDRYRRKAQHFIAKLWAMATVAPFFKIEFEGLENLPPQDVPAVYVSNHQSFLDIYTLLTLGRSFKFISKTGIFLYPIIGWAMSMMGLIPLKRMDSRSQLDCLKRCMDLIRNGASVFFFPEGTRSKDGKLGSFKKGAFSVAAKTGVPVVPMTLIGTGKIMPLGLEGVINSGSVKVVIHKPIKGSDPEILCNEARNTIADTLKHQC >EOY31557 pep chromosome:Theobroma_cacao_20110822:9:9587233:9590236:-1 gene:TCM_038481 transcript:EOY31557 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MDQEKPTVCVLDASTYVGFWILKGLLSRGYTVHAALQRKGSETEIEEKIKEMERVEDRLAVFTVDILDYQSILVALKGCSALFCCLDSPDGYDDVIVDLEVRGAINVVEACAQTDTIEKIVFSSSLTAAVWRENICLEKDVDERCWSDQDFCRKMKLWYALAKTLSEQAAWALAMDRMLNMVSVNAGLVLGPGVAQQNPRSTMSYLKGAAQMFENGVLAFVDVGFLADVNIRAFEDSSTCGRYFCFNQIVNTEEEAVKLAQSLSPLLSLPSKYECQGSEVYAERLRTKKLNKLVEGTA >EOY32660 pep chromosome:Theobroma_cacao_20110822:9:32629850:32641013:-1 gene:TCM_040690 transcript:EOY32660 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein MSCFPVSQLLFSKSLTHLSPVPGLSPFPLLPIRSAFSPPLLLSFSTLPSLPRCRCSSAPSPSPHEEEFQDLLELEDEEEEEEESDEDYALDVDALESEAKDAVRRYSSSLSRHLTIEDEADDSKELSGKQKRRKSASKSIPDQLLPRVAIVGRPNVGKSALFNRLVGGDRAIVVDEPGVTRDRLYGRSFWGDHEFVVVDTGGVLNVSKSQANVMEDLAITTTIGMDGIPLVSREAAVARMPSMIERQATAAVEEASVIIFLVDGQAGLTAADVEITDWLRKSYSSKYIVLAVNKCESPRKGIMQASEFWSLGFSPVPISALSGTGTGELLDLVCSGLKKIEDPEYKDEEENYVPAIAIVGRPNVGKSSILNALVGEDRTIVSPISGTTRDAIDTEFIGPDGQKFRLIDTAGIRRRASIASSGSATEALSVNRAFRAIRRSDIVALVIEAMACITEQDTRIAERIEKEGKGCLIVVNKWDTIPNKNQQTATYYEQDVREKMRILDWAPIVYSTAIAGQSVEKIIVAAITVEKERSRRLSTAILNQVIQEAIAFKPPPRTRGGRRGRVYYCTQAAIRPPTFVFFVNDAKLFPETYRRYMEKQLRTDAGFAGTPIRLLWRSRRKVEKGKGRAATRTRSNLRPLDGKVALTT >EOY32088 pep chromosome:Theobroma_cacao_20110822:9:20690187:20703217:1 gene:TCM_039576 transcript:EOY32088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonic acid kinase isoform 8 MAAMSWPTQDELDKIRGIVSEMAGKGTEDVRVVVSPYRICPLGAHIDHQGGIVSAMTINKGILLGFVPSGNTQVALRSGQFKGEVRFRVNETQQPRHRISKGEEIKVDKSSPSPQECYWGRYAIGALYALQSRGNHLAQGIIGYICGSEGLDSSGLSSSAAVGVAYLLALESANNLTVSPTENIEYDRVIENEYLGLRNGILDQSAILLSSHGCLTYMNCKTTEHKLIHPLNFLKDHETEPQKGYKILLAFSGLRQALTSNPGYNSRVAECQEAAKILLHASGNGELEPFLCNVEPESYEAHKVKLEPNLARRAEHYFSENMRVSKGLEAWASGELRQFGQLMSASGLSSIKNYECGCEPLIQLYEVLLRAPGVFGARFSGAGFRGCCVALVDTDCVAEAAKFVREEYPKLQPVLASQLNPDTAVLICEAGDCARVI >EOY32091 pep chromosome:Theobroma_cacao_20110822:9:20691142:20703238:1 gene:TCM_039576 transcript:EOY32091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonic acid kinase isoform 8 DLYLLATLRVNETQQPRHRISKGEEIKVDKSSPSPQECYWGRYAIGALYALQSRGNHLAQVFNKFHSYLQGIIGYICGSEGLDSSGLSSSAAVGVAYLLALESANNLTVSPTENIEYDRVIENEYLGLRNGILDQSAILLSSHGCLTYMNCKTTEHKLIHPLNFLKDHETEPQKGYKILLAFSGLRQALTSNPGYNSRVAECQEAAKILLHASGNGELEPFLCNVEPESYEAHKVKLEPNLARRAEHYFSENMRVSKGLEAWASGELRQFGQLMSASGLSSIKNYECGCEPLIQLYEVLLRAPGVFGARFSGAGFRGCCVALVDTDCVAEAAKFVREEYPKLQPVLASQLNPDTAVLICEAGDCARVI >EOY32087 pep chromosome:Theobroma_cacao_20110822:9:20690121:20703716:1 gene:TCM_039576 transcript:EOY32087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonic acid kinase isoform 8 MAAMSWPTQDELDKIRGIVSEMAGKGTEDVRVVVSPYRICPLGAHIDHQGGIVSAMTINKGILLGFVPSGNTQVALRSGQFKGEVRFRVNETQQPRHRISKGEEIKVDKSSPSPQECYWGRYAIGALYALQSRGNHLAQVFNKFHSYLQGIIGYICGSEGLDSSGLSSSAAVGVAYLLALESANNLTVSPTENIEYDRVIENEYLGLRNGILDQSAILLSSHGCLTYMNCKTTEHKLIHPLNFLKDHETEPQKGYKILLAFSGLRQALTSNPGYNSRVAECQEAAKILLHASGNGELEPFLCNVEPESYEAHKVKLEPNLARRAEHYFSENMRVSKGLEAWASGELRQFGQLMSASGLSSIKNYECGCEPLIQLYEVLLRAPGVFGARFSGAGFRGCCVALVDTDCVAEAAKFVREEYPKLQPVLASQLNPDTAVLICEAGDCARVI >EOY32089 pep chromosome:Theobroma_cacao_20110822:9:20690121:20703716:1 gene:TCM_039576 transcript:EOY32089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonic acid kinase isoform 8 MAAMSWPTQDELDKIRGIVSEMAGKGTEDVRVVVSPYRICPLGAHIDHQGGIVSAMTINKGILLGFVPSGNTQVALRSGQFKGEVRFRVNETQQPRHRISKGEEIKVDKSSPSPQECYWGRYAIGALYALQSRGNHLAQVFNKFHSYLQGIIGYICGSEGLDSSGLSSSAAVGVAYLLALESANNLTVSPTENIEYDRVIENEYLGLRNGILDQSAILLSSHGCLTYMNCKDHETEPQKGYKILLAFSGLRQALTSNPGYNSRVAECQEAAKILLHASGNGELEPFLCNVEPESYEAHKVKLEPNLARRAEHYFSENMRVSKGLEAWASGELRQFGQLMSASGLSSIKNYECGCEPLIQLYEVLLRAPGVFGARFSGAGFRGCCVALVDTDCVAEAAKFVREEYPKLQPVLASQLNPDTAVLICEAGDCARVI >EOY32092 pep chromosome:Theobroma_cacao_20110822:9:20690183:20703238:1 gene:TCM_039576 transcript:EOY32092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonic acid kinase isoform 8 MAAMSWPTQDELDKIRGIVSEMAGKGTEDVRVVVSPYRICPLGAHIDHQGGIVSAMTINKGILLGFVPSGNTQRVIENEYLGLRNGILDQSAILLSSHGCLTYMNCKTTEHKLIHPLNFLKDHETEPQKGYKILLAFSGLRQALTSNPGYNSRVAECQEAAKILLHASGNGELEPFLCNVEPESYEAHKVKLEPNLARRAEHYFSENMRVSKGLEAWASGELRQFGQLMSASGLSSIKNYECGCEPLIQLYEVLLRAPGVFGARFSGAGFRGCCVALVDTDCVAEAAKFVREEYPKLQPVLASQLNPDTAVLICEAGDCARVI >EOY32090 pep chromosome:Theobroma_cacao_20110822:9:20690121:20703716:1 gene:TCM_039576 transcript:EOY32090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonic acid kinase isoform 8 MAAMSWPTQDELDKIRGIVSEMAGKGTEDVRVVVSPYRICPLGAHIDHQGGIVSAMTINKGILLGFVPSGNTQVALRSGQFKGEVRFRVNETQQPRHRISKGEEIKVDKSSPSPQECYWGRYAIGALYALQSRGNHLAQGIIGYICGSEGLDSSGLSSSAAVGVAYLLALESANNLTVSPTENIEYDRVIENEYLGLRNGILDQSAILLSSHGCLTYMNCKDHETEPQKGYKILLAFSGLRQALTSNPGYNSRVAECQEAAKILLHASGNGELEPFLCNVEPESYEAHKVKLEPNLARRAEHYFSENMRVSKGLEAWASGELRQFGQLMSASGLSSIKNYECGCEPLIQLYEVLLRAPGVFGARFSGAGFRGCCVALVDTDCVAEAAKFVREEYPKLQPVLASQLNPDTAVLICEAGDCARVI >EOY32093 pep chromosome:Theobroma_cacao_20110822:9:20690183:20703238:1 gene:TCM_039576 transcript:EOY32093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonic acid kinase isoform 8 MAAMSWPTQDELDKIRGIVSEMAGKGTEDVRVVVSPYRICPLGAHIDHQGGIVSAMTINKGILLGFVPSGNTQRVIENEYLGLRNGILDQSAILLSSHGCLTYMNCKDHETEPQKGYKILLAFSGLRQALTSNPGYNSRVAECQEAAKILLHASGNGELEPFLCNVEPESYEAHKVKLEPNLARRAEHYFSENMRVSKGLEAWASGELRQFGQLMSASGLSSIKNYECGCEPLIQLYEVLLRAPGVFGARFSGAGFRGCCVALVDTDCVAEAAKFVREEYPKLQPVLASQLNPDTAVLICEAGDCARVI >EOY32543 pep chromosome:Theobroma_cacao_20110822:9:31109120:31110496:1 gene:TCM_040526 transcript:EOY32543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyubiquitin 3 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGA >EOY32272 pep chromosome:Theobroma_cacao_20110822:9:25578347:25584606:1 gene:TCM_039970 transcript:EOY32272 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative isoform 1 MNSKKSIHNLAETIHSLLGFKSRLNSTWVKSVCDIIKNIPHEGSIGNKCEESATDSSKIKEELAALTDCINQLNIQRRQVLNDLLDLKGNIRVFCRVRPFTLGEHIERSGPVVALDTGSLLLKLADNKSKRYTFDKVFHPGSSQDEVFSEVEPVIKSVVDGYNACIFAYGQTGTGKTFTMEGTSDSPGIVPRTIEALFKQTAESNHTFLVTFSMLEIYLGNLKDLLVPQPMRPTDPLPPCLSIQSDPKGAIEIENLVTIQVSDFNQALKLYRLGCRLRSTASTNSNATSSRSHCMIRISITCFNAPERRRETNKIWLVDLGGSERVMKTKAWGRRLDEGKAINLSLSALGDVINALQRNKNHIPYRNSKLTRVLKDSLGDDSKTLMLVHVSPKEEDLCETICSLNFATRVKSVHLGHEESNEVRSHKEAVMKNLQQKIEKIEDERQHMRRKIKRLKDKLEGLTRTGLSLEEQVQLSQLSTEEPPPDLDFTKNRIGNVITALPSQLPRFMRSTICSRRKSGKEHQTSVGRDPVHSRRRRQSSQRAESVTFPVKNNSECNSDRSISRSTCAVELNMKASIDNDTEYSQDTSEFDIKMVVFPEQNISQVTSNHQMTAQVGHLEKNGSRKSNKCCSTKILKVDQWLDLRKSEPSISGYAPRNDWVLDIPISEKKQRSNGQKKTEILWNEKVSTYDFATQRIHSKKEKRATLEVAGRSVSERVVDKPPTLKDLFVEESISNFISPPHATRGQTTEHPQDSLDGLLIEDNQGNK >EOY32271 pep chromosome:Theobroma_cacao_20110822:9:25560928:25584647:1 gene:TCM_039970 transcript:EOY32271 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein, putative isoform 1 MNSKKSIHNLAETIHSLLGFKSRLNSTWVKSVCDIIKNIPHEGSIGNKCEESATDSSKIKEELAALTDCINQLNIQRRQVLNDLLDLKGNIRVFCRVRPFTLGEHIERSGPVVALDTGSLLLKLADNKSKRYTFDKVFHPGSSQDEVFSEVEPVIKSVVDGYNACIFAYGQTGTGKTFTMEGTSDSPGIVPRTIEALFKQTAESNHTFLVTFSMLEIYLGNLKDLLVPQPMRPTDPLPPCLSIQSDPKGAIEIENLVTIQVSDFNQALKLYRLGCRLRSTASTNSNATSSRSHCMIRISITCFNAPERRRETNKIWLVDLGGSERVMKTKAWGRRLDEGKAINLSLSALGDVINALQRNKNHIPYRNSKLTRVLKDSLGDDSKTLMLVHVSPKEEDLCETICSLNFATRVKSVHLGHEESNEVRSHKEAVMKNLQQKIEKIEDERQHMRRKIKRLKDKLEGLTRTGLSLEEQVQLSQLSTEEPPPDLDFTKNRIGNVITALPSQLPRFMRSTICSRRKSGKEHQTSVGRDPVHSRRRRQSSQRAESVTFPVKNNSECNSDRSISRSTCAVELNMKASIDNDTEYSQDTSEFDIKMVVFPEQNISQVTSNHQMTAQVGHLEKNGSRKSNKCCSTKILKVDQWLDLRKSEPSISGYAPRNDWVLDIPISEKKQRSNGQKKTEILWNEKVSTYDFATQRIHSKKEKRATLEVAGRSVSERVVDKPPTLKDLFVEESISNFISPPHATRGQTTEHPQDSLDGLLIEDNQGGSLSPPDFCCDGLEQFDNENEFYATPMVQAINDTAQSSDSSMLKNSRYQFSPPDMDNWISNSQEIFDVSALASELEWYHEKAPSKMATEVGGNEDVSPLSQSSQKEIRQNLCRLKSQRALSMDDIKQKDLKMPLIESQEITQNKGACYILKQKVQISWASALLGLGFLDLGFDHDFFYGLVL >EOY29631 pep chromosome:Theobroma_cacao_20110822:9:1823827:1829493:-1 gene:TCM_037119 transcript:EOY29631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase family protein MEISQWIYFNLLFSSMLIAVVIAADPYSDALLSLKSEIDDYNSLDDWLVPPGGNPSGKVYACSWSGVKCNKNSTIVIGLNLSMKNLAGELPGKQFSVFTELVDLNISQNSFSGELPVEIFNLTSLRSLDISRNNFSGHFPGGISGLRNLVVLDAFSNSFSGPLPVELSELEFLKILNLAGSYFNGPIPLAYGSFKSLEFLHLAGNFLTGNIPPELGNLKTVTHMEIGYNSYEGNIPWQLGNMSELQYLDIAGANLSGSIPKHLSNLTKLQSLFLFMNHLTGLIPWEFSRIVPLTNLDLSDNLISGPIPESFSELKNLRLLSLMYNEMNGTVPEDIADLPSLDTLFIWNNYFTGSLPRNLGRNSKLRWLDVSTNSFIGSIPPDICAGGELYKLILFSNEFTGTLSPLSNCSSLVRIRLEDNSFSGEIPFRFNHLPDITYIDLSRNRFAGGIPSDISQASELQYFNISNNPELGGMIPAQTWSLPLLQNFSASSCNISGNLPPFRSCKSLLVVELQMNNMSGAVPKSISNCQALAMINLAMNKLIGHIPQELASLPALGVVDLSRNNFSGPIPAEFGKSSSLVLLNVSFNEISGAIPSEKRLQSMGRSAYVGNPELCGAPLKSCSGSMAILGSKGRGKLRLVLLLCAGAVIFIAASVFWLIYLRKGSKGQWRMDSFIGLPQFTANDVLRSFNSTDSMEELPPLSAAVCKAVLPTGITVLVKKIEWDAKRMKGASEFITQMGNARHKNLIRLLGFCYNKHLAYLLYDYLPNGNLTEKVRMRRDWATKYRIIIGIAKGLCFLHHDCNPAISHGDLKSNNVVFDDNLEPRLADFGFKYLIRLIKGTVPATTSRMGTGQSNDAIKEELYMDIYNFGEIILEVLTNGRLTNAGASIQSKPKDVLLREVYNDSEAGSANSLQEEVKPVLDVAMLCTRSRPADRPSMEEALKLLSGLKPQGK >EOY31611 pep chromosome:Theobroma_cacao_20110822:9:10443227:10444281:-1 gene:TCM_038577 transcript:EOY31611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant natriuretic peptide A MGIEMRVFVVVSMVLCLTSVVRAIQGKAVFFAPPYVPSACFGNQNNGKMVAGVSDALWKNRGACGKRYRVKCVGGANEAPHPCKNGKSVVVKVVDYCKAGCQGIINLSQDAFSAIADPDAGIIQVEFHEV >EOY33411 pep chromosome:Theobroma_cacao_20110822:9:37356653:37358043:-1 gene:TCM_041386 transcript:EOY33411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAACGSLQHIFENPLPENPTLLESLSSWNQIKPAIKPIEPSSFTEIFGELHFKENSKSSSASSFPISPFSSTSIIDLSPQNSAFKLSKNDTFNENDENNNRSSPPEIFPSTPEITKYTNCHKKSESFSWNSESLQLCTEGLGFESSDDVEDLKNEMKEGWQSKEEKESSINTTKHTTTENLCGEFRRPRTRGGAFPPPISCIGKSGKPWVCFKSYRQDGRFVLKEVRIPTQEFLHACREDGRLKLHFVQPEDEILEEEGFEEEEEEEDELEDLEEGTHGSIDEDKEKSQA >EOY32826 pep chromosome:Theobroma_cacao_20110822:9:33906083:33907797:1 gene:TCM_040847 transcript:EOY32826 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MSSKPEEDSYSDNFDRLPDALLLFIFNKLQDAKSLGNCLLVSKRFFSLTPFTDTIFVSIPTPHHLSKPWTAASYINTLRLLVHSLFLKPLKCFHHITAPKSATRSFDSSHHQPNAVLKNFKEIKSLHLELPSHGDDLGSDCGASFLKWKAEFGSNLETCIILGATSFERSNKFSSSSFCFQQKEQECMEQTLTDDELKLRVIWTISCLIAASTRHCWFKKILADHPIPILQRLLISDANKQGKLYMGTEKLVQLRNSMNSQKETLESSTLERTPVPELSMKLWYLPVLELPESGYVMRGATLVLIRPVAWTTERRNSDLTVGKLDLEGDGKAFSEAVREMIKVKKNYLMTMSSF >EOY34459 pep chromosome:Theobroma_cacao_20110822:9:41196207:41199021:1 gene:TCM_042136 transcript:EOY34459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKAVKGKGRFMVIAKGRPESPTCSVLQNWLSTLSELAHKYLNCTMSKKDGKKSDSDTDDQSANVPDDVKEGHFAVIAMDKGDQKRFVDTLNHPNNAIFLRLLEQAAEEYDFVHEGAVTVPCQPRELERLLT >EOY32488 pep chromosome:Theobroma_cacao_20110822:9:30246011:30247570:-1 gene:TCM_040435 transcript:EOY32488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKLSNSFIKLKINIKPWLPLLNDLMEGNKRMGWEGREPHAYWKRNPNVPTTGQVLFNCNFSDKQEWGALEVAKNDKLRRIAKTKQGPVQKSIKNVTVNANISNEELEWVRSSAIEKLCKSLGCEFIQGMMLKEGVSAQVKVWKACLSL >EOY31177 pep chromosome:Theobroma_cacao_20110822:9:7394188:7399094:1 gene:TCM_038158 transcript:EOY31177 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPOC domain / Transcription elongation factor S-II protein, putative isoform 1 MAAVEDKQNSLIGCVVWRRNHGPSNSVKKELERKHSSSTEQPLSSHSEQKVLGKKNDMACMQPAQESLPLTPIADCIGIGSAIINRNEGENVESSDIQLALHNSPSSANLLFATSALSNLVGLQTSSFSDSVCHFGPKGQSSEREMSLTANSESGKPKSSLGLQNPVLSLPSVITKEHIPAADDDDLPEFDFGTACDISQTPRNKVLDNAEFHKNVLVEGLKKIVGSLPLTSTIQSLPSSNKRRAENFPLPEFSFDTILNLPPRKKVYEHDQTPVLPILEPKQTTKSRTTFTPIITTIVAPQKNIFDDDDDMPEWCPPNVELWKQTVLESAKVVTASIHPTLSNSELGSPSPGHPSPLSSSLAAQLPVSTQRSNYASHCPITASVKPAQPRQTDRYIQKAPSSLTGSNSIPLLRPPVHPPGWRGRE >EOY31176 pep chromosome:Theobroma_cacao_20110822:9:7394188:7399094:1 gene:TCM_038158 transcript:EOY31176 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPOC domain / Transcription elongation factor S-II protein, putative isoform 1 MGKREGSGSGNLKLARPTVAEKLWDGSLQLNSSVTVSVVAFFKSGERMPCVQWSGLVEVKGKVRLEAFEKYIQDLARSRNRGLMVVSLCWKEGSSESGLAGLKEVAKGYKKGERVGFAKLSPGIDLYICPRSDAIITILAKHGFFKGMAAVEDKQNSLIGCVVWRRNHGPSNSVKKELERKHSSSTEQPLSSHSEQKVLGKKNDMACMQPAQESLPLTPIADCIGIGSAIINRNEGENVESSDIQLALHNSPSSANLLFATSALSNLVGLQTSSFSDSVCHFGPKGQSSEREMSLTANSESGKPKSSLGLQNPVLSLPSVITKEHIPAADDDDLPEFDFGTACDISQTPRNKVLDNAEFHKNVLVEGLKKIVGSLPLTSTIQSLPSSNKRRAENFPLPEFSFDTILNLPPRKKVYEHDQTPVLPILEPKQTTKSRTTFTPIITTIVAPQKNIFDDDDDMPEWCPPNVELWKQTVLESAKVVTASIHPTLSNSELGSPSPGHPSPLSSSLAAQLPVSTQRSNYASHCPITASVKPAQPRQTDRYIQKAPSSLTGSNSIPLLRPPVHPPGWRGRE >EOY32230 pep chromosome:Theobroma_cacao_20110822:9:23575098:23577088:-1 gene:TCM_039840 transcript:EOY32230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNHLNSIPICSKHKTETVSFLSIIKQHNPTLLLLIILLPYISCSNTVFKSFQHQRHKAIPYADVSSIIDSNIRAVFPEEGRAAYEDFLYNPQSAAKNREGHCFVLCVYWFCWCLDDTEVVVH >EOY33450 pep chromosome:Theobroma_cacao_20110822:9:37561996:37567777:1 gene:TCM_041421 transcript:EOY33450 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein isoform 3 GSSKSGGESTDSGSAVNTLSSKTENQLEPESPISKKASSSDHQAFDQKPLQHQQHLRGSTSEKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLETSRIKLTQLEQDLQRARSQGVFLGGCSATVGNISSGAAIFDMEYSRWLEDDQRHMSELRTGLHAHLSDSDLRVIVEGYISHYDEIFRLKGVAAKTDVFHLITGMWTTQAERCFLWMGGFRPSELIKMLISQLDPLTEQQVMGIYSLQHSSQQAEEALTQGLEQLQQSLIDTVAGGPGIDAMQQMAVALGKLANLEGFVRQADNLRQQTLHQLPRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRESLMSDDHSCQTTTDLHMVQPSQNHFSNF >EOY33449 pep chromosome:Theobroma_cacao_20110822:9:37555990:37567635:1 gene:TCM_041421 transcript:EOY33449 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein isoform 3 MANHRVGETGLSDSGPSNHHHHIPYAVLHGMNAPTSFIHQEGSAFDFGELEEAIVLQGVKIRNDEAKGPLFTTGRPAATLEMFPSWPIRFQQTPRGSSKSGGESTDSGSAVNTLSSKTENQLEPESPISKKASSSDHQAFDQKPLQHQQHLQQHQQQQQQRLEMASDTSRTGISQNQSAKPTQEKRRGSTSEKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLETSRIKLTQLEQDLQRARSQGVFLGGCSATVGNISSGAAIFDMEYSRWLEDDQRHMSELRTGLHAHLSDSDLRVIVEGYISHYDEIFRLKGVAAKTDVFHLITGMWTTQAERCFLWMGGFRPSELIKMLISQLDPLTEQQVMGIYSLQHSSQQAEEALTQGLEQLQQSLIDTVAGGPGIDAMQQMAVALGKLANLEGFVRQADNLRQQTLHQLPRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRESLMSDDHSCQTTTDLHMVQPSQNHFSNF >EOY33448 pep chromosome:Theobroma_cacao_20110822:9:37560415:37567777:1 gene:TCM_041421 transcript:EOY33448 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor family protein isoform 3 MANHRVGETGLSDSGPSNHHHHIPYAVLHGMNAPTSFIHQEGSAFDFGELEEAIVLQGVKIRNDEAKGPLFTTGRPAATLEMFPSWPIRFQQTPRGSSKSGGESTDSGSAVNTLSSKTENQLEPESPISKKASSSDHQAFDQKPLQHQQHLQQHQQQQQQRLEMASDTSRTGISQNQSAKPTQEKRRGSTSEKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLETSRIKLTQLEQDLQRARSQGVFLGGCSATVGNISSGAAIFDMEYSRWLEDDQRHMSELRTGLHAHLSDSDLRVIVEGYISHYDEIFRLKGVAAKTDVFHLITGMWTTQAERCFLWMGGFRPSELIKMLISQLDPLTEQQVMGIYSLQHSSQQAEEALTQGLEQLQQSLIDTVAGGPGIDAMQQMAVALGKLANLEGFVRQADNLRQQTLHQLPRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRESLMSDDHSCQTTTDLHMVQPSQNHFSNF >EOY30337 pep chromosome:Theobroma_cacao_20110822:9:4255740:4257648:1 gene:TCM_037583 transcript:EOY30337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYLSLFVYVVQTVKYLLNETAVEVNAVNQNGFTALDIIANMPRDLKGMEIHESLLNAIALRSRRIPASESGITQFIEASEKPKVPVNSEAIAASGAGNNKKKHLKNDDRLNKKYDTLMVAASVIAAMAYQEGFSPPGGVWDHDSDYFHTVQSVLATYDSKLYSIFWIFNTVSFISSISTLFFLVSGLPLKQRIYVWILMATMWVTLTSMVVTYIASMLTITPDNEQTVQMVIAIAMLAWLDVIGIVFLAHTARFIYLDCPEAKKTHKEAAFIVQKREARSGSRQCLNTYIINMKFLSETKLAISNYHSFLEDALLEPNVALVLIFVSDLFCLTRMVVHFSFPTFIRDDVINYHWKLEY >EOY30161 pep chromosome:Theobroma_cacao_20110822:9:3596479:3600057:-1 gene:TCM_037462 transcript:EOY30161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit K isoform 2 MGRETPKQQQQQQQQVSYTVEQLVAVNPYNPDILPDLENYVNEQVSSQTYSLDANLCLLRLYQFEPERMSTQIVARILVKALMAMPAPDFSLCLFLIPERVQMEEQFKTLIVLSHYLETGRFRQFWDEAAKSRHIVEAVPGFEQAIQTYAIHVLSLTYQKIPRPVLAEAINIEGLSLDKFLEHQAANSGWILEKGHGRGQLIILPRNEFNHPELKKSAADSVPLEHITRIFPILG >EOY30162 pep chromosome:Theobroma_cacao_20110822:9:3596643:3599871:-1 gene:TCM_037462 transcript:EOY30162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit K isoform 2 MGWIISFYLCHLQTGRFRQFWDEAAKSRHIVEAVPGFEQAIQTYAIHVLSLTYQKIPRPVLAEAINIEGLSLDKFLEHQAANSGWILEKGHGRGQLIILPRNEFNHPELKKSAADSVPLEHITRIFPILG >EOY33801 pep chromosome:Theobroma_cacao_20110822:9:39026614:39028788:-1 gene:TCM_041672 transcript:EOY33801 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIV1A protein MKWEMEILSPTSYISSTNWFLEESKSTKWTPEENKMFENALAVHDKDNPDRWQKVAAMIPGKTVPDVIKQYRKLEADVSSIEAGLVPIPGYSTSPFTLDWVNSHGYDGLKHSYGLGGKRPSSGRPAEQERKKGVPWTEEEHKLFLMGLKKYGKGDWRNISRNFVVTRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLNDTRTPSPDNKGTPSPEQSSVLPQQPTSAAMPRTHFQWNQPCSGATMAFNSTQGNMLMSSPYGIPSYGVKMQGQSLHRSAAHESYFGPQNLVFQMQSAQQYPHG >EOY30485 pep chromosome:Theobroma_cacao_20110822:9:4756792:4759087:1 gene:TCM_037682 transcript:EOY30485 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal, putative MEPWVPLFDIFMNSPTPETEASLWLQQSFNATTSSSSTTTPITTSSFLSLLTKPCNPIVKDSSFSSPPTTKRIMFIETLPSMIQSRILSFLALEKKRFDGKELSKLARNLLSESQELEFWVKRAARNLLDAVSESNINEWISGFSLDSGEEFESLPDWLKDVDATNDPVLSWLPLSVADFGSRLCDDSLEKDEGLFSQVEENGENDLKEVGEAIEVDQALNVPLEPEIEKMALSLRSRVMNFESSLKAVALANEIRQLCLDKGRDSLQVLNLIEPWKAEDETASVLISHLSCGDEEELAWPSQVLCSIVLPKFLVIEEPASRLLVTSTMEYCKLHQRASVHGLLFPLVLRSEGINNPICDVITRIVRECLHPGHVSAYCQKLLCGGEEERSFILLPCHQYLVSNELVWTESLFNLFQNILNHNVHLTEDSVDCLVYHVRQMAERFSKSLKFGNFVLCLVSKCASLLKSHKNVLTEAVECTNTLVTKSILSRLASL >EOY29971 pep chromosome:Theobroma_cacao_20110822:9:2940065:2944358:1 gene:TCM_037332 transcript:EOY29971 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI1 isoform 6 MEEMSPTVAVPFRLGNSVCENATFATRMDITRLKLMANPAGILTDSATEATNQPVTGEDVDCNCAAMGTEESSIEVTLPEEVKGEEATSLDMLSDSKVSWIASNDVIAQESEEEEDSFSLEGDHVLDLDSSCSLSVASETSSLYGEDFLGFDVTSEVGTPSSVDIEKSICSVDFIAKATKFVESNVETEVASEPLAVAVSLEEEIGDGSEQKPSAVVLQLAVEKELSTTVPVPRSVFEVEYVPLWGYTSICGRRPEMEDAVAAVPRFLKVPIQMLIGDRVLDGTSRGFAHQTAHFFGVYDGHGGSQVANYCRERIHSALAEEIEFVKECWTNESITDSCQELWKKAFTNCFVKVDAEVGGQASQEPVAPETVGSTAVVALICSSHIVVANCGDSRAVLCRGKEPMALSVDHKPNREDEYERIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFVPRAKEDECLILASDGLWDVMTNEEACDLARRRILQWHKKNGATLTSERGDTIDPAAQAAAEYLSNRALQKGSKDNITVTVVDLKAQRKFKSKT >EOY29970 pep chromosome:Theobroma_cacao_20110822:9:2940113:2942911:1 gene:TCM_037332 transcript:EOY29970 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI1 isoform 6 MEEMSPTVAVPFRLGNSVCENATFATRMDITRLKLMANPAGILTDSATEATNQPVTGEDVDCNCAAMGTEESSIEVTLPEEVKGEEATSLDMLSDSKVSWIASNDVIAQESEEEEDSFSLEGDHVLDLDSSCSLSVASETSSLYGEDFLGFDVTSEVGTPSSVDIEKSICSVDFIAKATKFVESNVETEVASEPLAVAVSLEEEIGDGSEQKPSAVVLQLAVEKELSTTVPVPRSVFEVEYVPLWGYTSICGRRPEMEDAVAAVPRFLKVPIQMLIGDRVLDGTSRGFAHQTAHFFGVYDGHGGSQVANYCRERIHSALAEEIEFVKECWTNESITDSCQELWKKAFTNCFVKVDAEVGGQASQEPVAPETVGSTAVVALICSSHIVVANCGDSRAVLCRGKEPMALSVDHKPNREDEYERIEAAGGKVIQWNGHRVFGVLAMSRSIG >EOY29969 pep chromosome:Theobroma_cacao_20110822:9:2940065:2944358:1 gene:TCM_037332 transcript:EOY29969 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI1 isoform 6 MEEMSPTVAVPFRLGNSVCENATFATRMDITRLKLMANPAGILTDSATEATNQPVTGEDVDCNCAAMGTEESSIEVTLPEEVKGEEATSLDMLSDSKVSWIASNDVIAQESEEEEDSFSLEGDHVLDLDSSCSLSVASETSSLYGEDFLGFDVTSEVGTPSSVDIEKSICSVDFIAKATKFVESNVETEVASEPLAVAVSLEEEIGDGSEQKPSAVVLQLAVEKELSTTVPVPRSVFEVEYVPLWGYTSICGRRPEMEDAVAAVPRFLKVPIQMLIGDRVLDGTSRGFAHQTAHFFGVYDGHGGSQVANYCRERIHSALAEEIEFVKECWTNESITDSCQELWKKAFTNCFVKVDAEVGGQASQEPVAPETVGSTAVVALICSSHIVVANCGDSRAVLCRGKEPMALSVDHKPNREDEYERIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFVPRAKEDECLILASDGLWDVMTNEEACDLARRRILQWHKKNGATLTSERGDTIDPAAQAAAEYLSNRALQKGSKDNITVTVVDLKAQRKFKSKT >EOY29975 pep chromosome:Theobroma_cacao_20110822:9:2940489:2943942:1 gene:TCM_037332 transcript:EOY29975 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI1 isoform 6 MEEMSPTVAVPFRLGNSVCENATFATRMDITRLKLMANPAGILTDSATEATNQPVTGEDVDCNCAAMGTEESSIEVTLPEEVKGEEATSLDMLSDSKVSWIASNDVIAQESEEEEDSFSLEGDHVLDLDSSCSLSVASETSSLYGEDFLGFDVTSEVGTPSSVDIEKSICSVDFIAKATKFVESNVETEVASEPLAVAVSLEEEIGDGSEQKPSAVVLQLAVEKELSTTVPVPRSVFEVEYVPLWGYTSICGRRPEMEDAVAAVPRFLKVPIQMLIGDRVLDGTSRGFAHQTAHFFGVYDGHGGSQVANYCRERIHSALAEEIEFVKECWTNESITDSCQELWKKAFTNCFVKVDAEVGGQASQEPVAPETVGSTAVVALICSSHIVVANCGDSRAVLCRGKEPMALSVDHKPNREDEYERIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWII >EOY29968 pep chromosome:Theobroma_cacao_20110822:9:2940065:2944358:1 gene:TCM_037332 transcript:EOY29968 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI1 isoform 6 MEEMSPTVAVPFRLGNSVCENATFATRMDITRLKLMANPAGILTDSATEATNQPVTGEDVDCNCAAMGTEESSIEVTLPEEVKGEEATSLDMLSDSKVSWIASNDVIAQESEEEEDSFSLEGDHVLDLDSSCSLSVASETSSLYGEDFLGFDVTSEVGTPSSVDIEKSICSVDFIAKATKFVESNVETEVASEPLAVAVSLEEEIGDGSEQKPSAVVLQLAVEKELSTTVPVPRSVFEVEYVPLWGYTSICGRRPEMEDAVAAVPRFLKVPIQMLIGDRVLDGTSRGFAHQTAHFFGVYDGHGGSQVANYCRERIHSALAEEIEFVKECWTNESITDSCQELWKKAFTNCFVKVDAEVGGQASQEPVAPETVGSTAVVALICSSHIVVANCGDSRAVLCRGKEPMALSVDHKPNREDEYERIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFVPRAKEDECLILASDGLWDVMTNEEACDLARRRILQWHKKNGATLTSERGDTIDPAAQAAAEYLSNRALQKGSKDNITVTVVDLKAQRKFKSKT >EOY29976 pep chromosome:Theobroma_cacao_20110822:9:2940489:2944149:1 gene:TCM_037332 transcript:EOY29976 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI1 isoform 6 MEEMSPTVAVPFRLGNSVCENATFATRMDITRLKLMANPAGILTDSATEATNQPVTGEDVDCNCAAMGTEESSIEVTLPEEVKGEEATSLDMLSDSKVSWIASNDVIAQESEEEEDSFSLEGDHVLDLDSSCSLSVASETSSLYGEDFLGFDVTSEVGTPSSVDIEKSICSVDFIAKATKFVESNVETEVASEPLAVAVSLEEEIGDGSEQKPSAVVLQLAVEKELSTTVPVPRSVFEVEYVPLWGYTSICGRRPEMEDAVAAVPRFLKVPIQMLIGDRVLDGTSRGFAHQTAHFFGVYDGHGGSQVANYCRERIHSALAEEIEFVKECWTNESITDSCQELWKKAFTNCFVKVDAEVGGQASQEPVAPETVGSTAVVALICSSHIVVANCGDSRAVLCRGKEPMALSVDHKVIDI >EOY29972 pep chromosome:Theobroma_cacao_20110822:9:2940113:2942911:1 gene:TCM_037332 transcript:EOY29972 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI1 isoform 6 MEEMSPTVAVPFRLGNSVCENATFATRMDITRLKLMANPAGILTDSATEATNQPVTGEDVDCNCAAMGTEESSIEVTLPEEVKGEEATSLDMLSDSKVSWIASNDVIAQESEEEEDSFSLEGDHVLDLDSSCSLSVASETSSLYGEDFLGFDVTSEVGTPSSVDIEKSICSVDFIAKATKFVESNVETEVASEPLAVAVSLEEEIGDGSEQKPSAVVLQLAVEKELSTTVPVPRSVFEVEYVPLWGYTSICGRRPEMEDAVAAVPRFLKVPIQMLIGDRVLDGTSRGFAHQTAHFFGVYDGHGGSQVANYCRERIHSALAEEIEFVKECWTNESITDSCQELWKKAFTNCFVKVDAEVGGQASQEPVAPETVGSTAVVALICSSHIVVANCGDSRAVLCRGKEPMALSVDHKPNREDEYERIEAAGGKVIQWNGHRVFGVLAMSRSIG >EOY29973 pep chromosome:Theobroma_cacao_20110822:9:2940489:2943942:1 gene:TCM_037332 transcript:EOY29973 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI1 isoform 6 MEEMSPTVAVPFRLGNSVCENATFATRMDITRLKLMANPAGILTDSATEATNQPVTGEDVDCNCAAMGTEESSIEVTLPEEVKGEEATSLDMLSDSKVSWIASNDVIAQESEEEEDSFSLEGDHVLDLDSSCSLSVASETSSLYGEDFLGFDVTSEVGTPSSVDIEKSICSVDFIAKATKFVESNVETEVASEPLAVAVSLEEEIGDGSEQKPSAVVLQLAVEKELSTTVPVPRSVFEVEYVPLWGYTSICGRRPEMEDAVAAVPRFLKVPIQMLIGDRVLDGTSRGFAHQTAHFFGVYDGHGGSQVANYCRERIHSALAEEIEFVKECWTNESITDSCQELWKKAFTNCFVKVDAEVGGQASQEPVAPETVGSTAVVALICSSHIVVANCGDSRAVLCRGKEPMALSVDHKPNREDEYERIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWII >EOY29974 pep chromosome:Theobroma_cacao_20110822:9:2940489:2943942:1 gene:TCM_037332 transcript:EOY29974 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI1 isoform 6 MEEMSPTVAVPFRLGNSVCENATFATRMDITRLKLMANPAGILTDSATEATNQPVTGEDVDCNCAAMGTEESSIEVTLPEEVKGEEATSLDMLSDSKVSWIASNDVIAQESEEEEDSFSLEGDHVLDLDSSCSLSVASETSSLYGEDFLGFDVTSEVGTPSSVDIEKSICSVDFIAKATKFVESNVETEVASEPLAVAVSLEEEIGDGSEQKPSAVVLQLAVEKELSTTVPVPRSVFEVEYVPLWGYTSICGRRPEMEDAVAAVPRFLKVPIQMLIGDRVLDGTSRGFAHQTAHFFGVYDGHGGSQVANYCRERIHSALAEEIEFVKECWTNESITDSCQELWKKAFTNCFVKVDAEVGGQASQEPVAPETVGSTAVVALICSSHIVVANCGDSRAVLCRGKEPMALSVDHKPNREDEYERIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWII >EOY34132 pep chromosome:Theobroma_cacao_20110822:9:40009610:40011380:-1 gene:TCM_041898 transcript:EOY34132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MFCWFMDHWSFTSKQLLSDCFWQSFQNKSFWMAKGPAHISDGDAAFDNPSRIEPKRSHNWFVDAEPQLFPSKKQAIQAPNNKSSSGISNLNVSPWENVSSFQSVPSQFIDRLFGSDSERPENFTERNISPVEVDNIRRKAIEDHFGEDASVGSSISHTMEDPETCFNYGGIRKVKVNQVKDSANSMHAPKEHSFSRENNSDMTTIEAYDRENESSFISMGHSYDKEYDNVALMGHTYNRGDTHIRTATPAYGKGDEIPISMGDTYGKEDANILSFGGFHEEHEIIPVGRPLSSFEPSYTPSSNPSSEGASEKQLDASTAVVVASTTRTPKLRPESASRTKPELKSSKKEAPNSFPSNVRSLISTGMLDGVPVKYISLSREELRGVIKGSGYLCGCQSCNFSKVLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTPESLLFDTIQTVFGAPINQKSFRIWKESFQAATRELQRIYGKEELNL >EOY34133 pep chromosome:Theobroma_cacao_20110822:9:40009274:40012348:-1 gene:TCM_041898 transcript:EOY34133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAKGPAHISDGDAAFDNPSRIEPKRSHNWFVDAEPQLFPSKKQAIQAPNNKSSSGISNLNVSPWENVSSFQSVPSQFIDRLFGSDSERPENFTERNISPVEVDNIRRKAIEDHFGEDASVGSSISHTMEDPETCFNYGGIRKVKVNQVKDSANSMHAPKEHSFSRENNSDMTTIEAYDRENESSFISMGHSYDKEYDNVALMGHTYNRGDTHIRTATPAYGKGDEIPISMGDTYGKEDANILSFGGFHEEHEIIPVGRPLSSFEPSYTPSSNPSSEGASEKQLDASTAVVVASTTRTPKLRPESASRTKPELKSSKKEAPNSFPSNVRSLISTGMLDGVPVKYISLSREVLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTPESLLFDTIQTVFGAPINQKSFRIWKESFQAATRELQRIYGKEELNL >EOY34135 pep chromosome:Theobroma_cacao_20110822:9:40009153:40011675:-1 gene:TCM_041898 transcript:EOY34135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAKGPAHISDGDAAFDNPSRIEPKRSHNWFVDAEPQLFPSKKQAIQAPNNKSSSGISNLNVSPWENVSSFQSVPSQFIDRLFGSDSERPENFTERNISPVEVDNIRRKAIEDHFGEDASVGSSISHTMEDPETCFNYGGIRKVKVNQVKDSANSMHAPKEHSFSRENNSDMTTIEAYDRENESSFISMGHSYDKEYDNVALMGHTYNRGDTHIRTATPAYGKGDEIPISMGDTYGKEDANILSFGGFHEEHEIIPVGRPLSSFEPSYTPSSNPSSEGASEKQLDASTAVVVASTTRTPKLRPESASRTKPELKSSKKEAPNSFPSNVRSLISTGMLDGVPVKYISLSREELRGVIKGSGYLCGCQSCNFSKVLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTPESLLFDTIQTVFGAPINQKSFRIWKESFQAATRELQRIYGKEELNL >EOY34134 pep chromosome:Theobroma_cacao_20110822:9:40009153:40012348:-1 gene:TCM_041898 transcript:EOY34134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MFCWFMDHWSFTSKQLLSDCFWQSFQNKSFWMAKGPAHISDGDAAFDNPSRIEPKRSHNWFVDAEPQLFPSKKQAIQAPNNKSSSGISNLNVSPWENVSSFQSVPSQFIDRLFGSDSERPENFTERNISPVEVDNIRRKAIEDHFGEDASVGSSISHTMEDPETCFNYGGIRKVKVNQVKDSANSMHAPKEHSFSRENNSDMTTIEAYDRENESSFISMGHSYDKEYDNVALMGHTYNRGDTHIRTATPAYGKGDEIPISMGDTYGKEDANILSFGGFHEEHEIIPVGRPLSSFEPSYTPSSNPSSEGASEKQLDASTAVVVASTTRTPKLRPESASRTKPELKSSKKEAPNSFPSNVRSLISTGMLDGVPVKYISLSREELRGVIKGSGYLCGCQSCNFSKVLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTPESLLFDTIQTVFGAPINQKSFRIWKESFQAATRELQRIYGKEELNL >EOY34131 pep chromosome:Theobroma_cacao_20110822:9:40009038:40012388:-1 gene:TCM_041898 transcript:EOY34131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSFQNKSFWMAKGPAHISDGDAAFDNPSRIEPKRSHNWFVDAEPQLFPSKKQAIQAPNNKSSSGISNLNVSPWENVSSFQSVPSQFIDRLFGSDSERPENFTERNISPVEVDNIRRKAIEDHFGEDASVGSSISHTMEDPETCFNYGGIRKVKVNQVKDSANSMHAPKEHSFSRENNSDMTTIEAYDRENESSFISMGHSYDKEYDNVALMGHTYNRGDTHIRTATPAYGKGDEIPISMGDTYGKEDANILSFGGFHEEHEIIPVGRPLSSFEPSYTPSSNPSSEGASEKQLDASTAVVVASTTRTPKLRPESASRTKPELKSSKKEAPNSFPSNVRSLISTGMLDGVPVKYISLSREELRGVIKGSGYLCGCQSCNFSKVLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTPESLLFDTIQTVFGAPINQKSFRIWKESFQAATRELQRIYGKEELNL >EOY30445 pep chromosome:Theobroma_cacao_20110822:9:4627318:4633871:1 gene:TCM_037653 transcript:EOY30445 gene_biotype:protein_coding transcript_biotype:protein_coding description:CwfJ-like family protein / zinc finger (CCCH-type) family protein isoform 2 MSMVHIFQTWATGLGPFESVLIRQACPTSLPALPHHSSEHQSRFSLSTVSLSLSLMAPPRILLCGDVLGRPNQLFKRFNSVNKSAGPFDSLFCVGQFFPDETDRLDELMDYIEGRAQIPLPTYFIGDYGVGAAKVLSAASKNSANQGFKMDGFKICDNLFWLKGSGKFTLHGLSVAYLSGRQLSSGQQFGSYSEDDIDALRAFAEEPGIVDFGVTNRATASDIPAGMSNSSGSDSTVSQLVAEIKPRYHIAGTKGAFYAREPYSNVDAVHVTRFLGLASVGNKEKQKFLHALSPTPSSAMSAAEISAKPPNTTLSPYTLADQVDRPKEATMRAGDNAPDSQYWRYDVSNKRQKHGNGDGNKVCFKFISSGSCPRGEKCNFQHDIDAREQFLRGVCLDFIIKGKCEKGPDCNFKHSLQDEGESYSRKRPGSGNNSANRLKECWFCLSSPNVEIHLVTSIGENFYCALAKGPLVQDHVLLIPVEHLPNTLCLSADSEIELGKFQNSLKMYYKNQGKEAVFFEWISKRGTHANLQAVPVPSSKAAALKDIFNLAAEKLGFEFVTKKFNNNSEGRKWLKTQFDKNFSCFYVELPEGSILSHLVEENEKFPAQFGREVLAGLLNMADRADWRNCTLGKEEETKLAENFKKLFDVFDPSQ >EOY30446 pep chromosome:Theobroma_cacao_20110822:9:4627510:4633497:1 gene:TCM_037653 transcript:EOY30446 gene_biotype:protein_coding transcript_biotype:protein_coding description:CwfJ-like family protein / zinc finger (CCCH-type) family protein isoform 2 MAPPRILLCGDVLGRPNQLFKRFNSVNKSAGPFDSLFCVGQFFPDETDRLDELMDYIEGRAQIPLPTYFIGDYGVGAAKVLSAASKNSANQGFKMDGFKICDNLFWLKGSGKFTLHGLSVAYLSGRQLSSGQQFGSYSEDDIDALRAFAEEPGIVDLFLSNEWPSGVTNRATASDIPAGMSNSSGSDSTVSQLVAEIKPRYHIAGTKGAFYAREPYSNVDAVHVTRFLGLASVGNKEKQKFLHALSPTPSSAMSAAEISAKPPNTTLSPYTLADQVDRPKEATMRAGDNAPDSQYWRYDVSNKRQKHGNGDGNKVCFKFISSGSCPRGEKCNFQHDIDAREQFLRGVCLDFIIKGKCEKGPDCNFKHSLQDEGESYSRKRPGSGNNSANRLKECWFCLSSPNVEIHLVTSIGENFYCALAKGPLVQDHVLLIPVEHLPNTLCLSADSEIELGKFQNSLKMYYKNQGKEAVFFEWISKRGTHANLQAVPVPSSKAAALKDIFNLAAEKLGFEFVTKKFNNNSEGRKWLKTQFDKNFSCFYVELPEGSILSHLVEENEKFPAQFGREVLAGLLNMADRADWRNCTLGKEEETKLAENFKKLFDVFDPSQ >EOY30901 pep chromosome:Theobroma_cacao_20110822:9:6205268:6207060:1 gene:TCM_037949 transcript:EOY30901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFIDNLHPKANQGISTLVHKLYKNLPSGTFCRRELRKCHSGIIFGAATRKKALSMPSCLHVVAVSAATRLWSSSWTMGRVSCLSFKPMFSLHFVFAFGKMT >EOY32646 pep chromosome:Theobroma_cacao_20110822:9:32417182:32426480:-1 gene:TCM_040666 transcript:EOY32646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysophosphatidyl acyltransferase 2, putative isoform 2 MEISAIVTSVPLSLMFIFIGTIINLIQVACYLTIRPLSKSTFRRINGAVSEVLWLELVWLMEWWSGYLKTYRLMGKEHALLMPNHVADADTMLVWLLAQRMGCLRSALIISKKSTQYLPIFGWTTWFYEFIFVDRNWAKDGSKLKSSFQALKDFPIPFWVTIFAEGTRLTPDKLLEAQTFASTKGLAIPKNVLIPRTKGFVTAVQSLRSFVPALYDVTIAIQKGHPSPSLLRFLKRQPCKIKVHIKRYSMKELPESDEGIAQWCRNRFIAKDALLDKFAATGRFDEEEITDFRRSTKSLIVFLITLFLFSVGAWISCQKFSLLSNRRGYTILATIVGTAAIFAHIFLEFTKLPPQKCKATLQTNGDT >EOY32647 pep chromosome:Theobroma_cacao_20110822:9:32417332:32426542:-1 gene:TCM_040666 transcript:EOY32647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysophosphatidyl acyltransferase 2, putative isoform 2 MEISAIVTSVPLSLMFIFIGTIINLIQVACYLTIRPLSKSTFRRINGAVSEVLWLELVWLMEWWSGCEVKLHTDLKTYRLMGKEHALLMPNHVADADTMLVWLLAQRMGCLRSALIISKKSTQYLPIFGWTTWFYEFIFVDRNWAKDGSKLKSSFQALKDFPIPFWVTIFAEGTRLTPDKLLEAQTFASTKGLAIPKNVLIPRTKGFVTAVQSLRSFVPALYDVTIAIQKGHPSPSLLRFLKRQPCKIKVHIKRYSMKELPESDEGIAQWCRNRFIAKDALLDKFAATGRFDEEEITDFRRSTKSLIVFLITLFLFSVGAWISCQKFSLLSNRRGYTILATIVGTAAIFAHIFLEFTKLPPQKCKATLQTNGDT >EOY32648 pep chromosome:Theobroma_cacao_20110822:9:32417387:32426516:-1 gene:TCM_040666 transcript:EOY32648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysophosphatidyl acyltransferase 2, putative isoform 2 MEISAIVTSVPLSLMFIFIGTIINLIQVACYLTIRPLSKSTFRRINGAVSEVLWLELVWLMEWWSGCEVKLHTDLKTYRLMGKEHALLMPIFGWTTWFYEFIFVDRNWAKDGSKLKSSFQALKDFPIPFWVTIFAEGTRLTPDKLLEAQTFASTKGLAIPKNVLIPRTKGFVTAVQSLRSFVPALYDVTIAIQKGHPSPSLLRFLKRQPCKIKVHIKRYSMKELPESDEGIAQWCRNRFIAKDALLDKFAATGRFDEEEITDFRRSTKSLIVFLITLFLFSVGAWISCQKFSLLSNRRGYTILATIVGTAAIFAHIFLEFTKLPPQKCKATLQTNGDT >EOY30470 pep chromosome:Theobroma_cacao_20110822:9:4717056:4722234:1 gene:TCM_037670 transcript:EOY30470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MPGTIQVTAVLDFNGLDSSSTASKTSIKVSMGKREYQTWDKGDFSFPLTTLRDNLIITLQDAEGNEISHTGVETRLVVEKGVWDDIFFLEGGGHVHMKLQFVLSEEERQRIRTMRESALKKKHDELCNSGHGSPISASVSYNEVSGSEESFSQSGLVANEASLVSVPFNFFKDAKFDIDSRDRSDSVEKQKSTNDRDEKEANSSAKSMSQAANVHLTELCPQDSVPSELEKANNSKKLGAAGKAHSNVRKMISAFEDGLNQDMKSSIKPLPKKPQTRNIGMDSFLANSQLNEVETEKIIPPKANLGRINTKEFEQTNIYFREKVQTIGCVKPIYEAASSKETQQLKESNAACIQTERKNLDLKNKFKVIQKESDEKEEKKYSEEFKRALEKAAFSRRMLDKHSKGNQSWNLFSKKQHSSRNLVAKEGGDEIFLKDPRGAEGNLNEKLKSVAIWSDDHCSIGSSGLWIFPGEAKCLCITTGGKQIMDLTGGFWDETNTHQIKLSARDPKNTGEVNADAGTGNEANGDAKSSSQKLRPKLENSRDPEHTIGPVGQQVIRAIIMVSFATLVLLTRKRTYR >EOY30468 pep chromosome:Theobroma_cacao_20110822:9:4716912:4722241:1 gene:TCM_037670 transcript:EOY30468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MPGTIQVTVLDFNGLDSSSTASKTSIKVSMGKREYQTWDKGDFSFPLTTLRDNLIITLQDAEGNEISHTGVETRLVVEKGVWDDIFFLEGGGHVHMKLQFVLSEEERQRIRTMRESALKKKHDELCNSGHGSPISASVSYNEVSGSEESFSQSGLVANEASLVSVPFNFFKDAKFDIDSRDRSDSVEKQKSTNDRDEKEANSSAKSMSQAANVHLTELCPQDSVPSELEKANNSKKLGAAGKAHSNVRKMISAFEDGLNQDMKSSIKPLPKKPQTRNIGMDSFLANSQLNEVETEKIIPPKANLGRINTKEFEQTNIYFREKVQTIGCVKPIYEAASSKETQQLKESNAACIQTERKNLDLKNKFKVIQKESDEKEEKKYSEEFKRALEKAAFSRRMLDKHSKGNQSWNLFSKKQHSSRNLVAKEGGDEIFLKDPRGAEGNLNEKLKSVAIWSDDHCSIGSSGLWIFPGEAKCLCITTGGKQIMDLTGGFWDETNTHQIKLSARDPKNTGEVNADAGTGNEANGDAKSSSQKLRPKLENSRDPEHTIGPVGQVIRAIIMVSFATLVLLTRKRTYR >EOY30469 pep chromosome:Theobroma_cacao_20110822:9:4717056:4722234:1 gene:TCM_037670 transcript:EOY30469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MPGTIQVTAVLDFNGLDSSSTASKTSIKVSMGKREYQTWDKGDFSFPLTTLRDNLIITLQDAEGNEISHTGVETRLVVEKGVWDDIFFLEGGGHVHMKLQFVLSEEERQRIRTMRESALKKKHDELCNSGHGSPISASVSYNEVSGSEESFSQSGLVANEASLVSVPFNFFKDAKFDIDSRDRSDSVEKQKSTNDRDEKEANSSAKSMSQAANVHLTELCPQDSVPSELEKANNSKKLGAAGKAHSNVRKMISAFEDGLNQDMKSSIKPLPKKPQTRNIGMDSFLANSQLNEVETEKIIPPKANLGRINTKEFEQTNIYFREKVQTIGCVKPIYEAASSKETQQLKESNAACIQTERKNLDLKNKFKVIQKESDEKEEKKYSEEFKRALEKAAFSRRMLDKHSKGNQSWNLFSKKQHSSRNLVAKEGGDEIFLKDPRGAEGNLNEKLKSVAIWSDDHCSIGSSGLWIFPGEAKCLCITTGGKQIMDLTGGFWDETNTHQIKLSARDPKNTGEVNADAGTGNEANGDAKSSSQKLRPKLENSRDPEHTIGPVGQVIRAIIMVSFATLVLLTRKRTYR >EOY30687 pep chromosome:Theobroma_cacao_20110822:9:5420161:5441503:1 gene:TCM_037809 transcript:EOY30687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MRVRQKLRQAIDSLYIGGQATAEAYTRLVLGCVRANDCDQAKRLQSHMDLHYFTPSDTFLHNRLLHLYAKSGKILDARNLFDKMPQRDVISWNAMLSAYAKSGSIENLIAVFDQMPFRLRDSVSYNTVIAGLAGSGFSNKALEVFARMNKEEFEPTEYTHVSVLNACSRLLDLRKGKQIHGRIVVGDLGKNVFVWNALTDMYAKCGEIDRARWLFDRIRDKNIVSWNSMISGYLKNGQPEKCIDLFRKMQVGGLKPDEVTVSNVLGAYFQRGLIDEASKIFGMIKNKDKVCWTTMIVGYAQNGKEEDALNLFGKMLLEGVNPDNFTISSVISSCARLASLCHGQVVHGKAIHFGVDDDLLVSSALVDMYCKCGVTRDAWVVFDMIPSRNVVSWNAMIAGYAQNGQDLKALALYEKLLQENLKPDSITFVAVLSACSHAGLIEEGRRYFDSISEQHSLIPTLDHYACMINLLGRSGCMREAVDLIKNMPHEPNSLIWSTLLSVCAIKGDIKHGEMAAKCLFGLEPHNAGPYIMLSNMYATCGRWEDVASVRSLMKIKNVKKFAAYSWIEIDNEVHKFVSEDRSHPETEIIYEELSRLIKKLKEAGFMPDTKLVLHNVVEEEKFASICYHSEKLALAFGLIKKPQATKPIRIMKNIRVCGDCHLFMKFVSKIIGRPIILRDSNRFHHFVGGSCSCKDYW >EOY33460 pep chromosome:Theobroma_cacao_20110822:9:37599218:37601239:-1 gene:TCM_041432 transcript:EOY33460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-hydrolases family protein isoform 1 MATRLPAPQETDGSLEDPPVKSPRVKLSDGRHLAYRERGVPKAKSNCKIIIVHGFGSSKEMNFQVSQELTEKLGIYFLLYDRAGYGESDPNPRRSVKSEAFDIQELADQLQIGPKFYVIGVSMGSYPIWSCLKYIPQRLAGVAMVVPVINYRWASFPDSLTREDYRRALVRLLYWIAKYAPTLLHWWVTHKWFPSPSIMEREPVFFNKRDIEALKKTEGFPMLTKERLRERCVFNTLRNDFLVCYGDWDFDPMDLSNPFPPNETSVHIWQGYEDKIVPFELQRCISRKLPWIQYHEVPDGGHLLVHYNGLCEAILRALLLGEEHHPYRPNADKIVP >EOY33459 pep chromosome:Theobroma_cacao_20110822:9:37599232:37601530:-1 gene:TCM_041432 transcript:EOY33459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-hydrolases family protein isoform 1 MLLGILFSSKKVVILLIDFLGIISQATRLPAPQETDGSLEDPPVKSPRVKLSDGRHLAYRERGVPKAKSNCKIIIVHGFGSSKEMNFQVSQELTEKLGIYFLLYDRAGYGESDPNPRRSVKSEAFDIQELADQLQIGPKFYVIGVSMGSYPIWSCLKYIPQRQTTQMLAGVAMVVPVINYRWASFPDSLTREDYRRALVRLLYWIAKYAPTLLHWWVTHKWFPSPSIMEREPVFFNKRDIEALKKTEGFPMLTKERLRERCVFNTLRNDFLVCYGDWDFDPMDLSNPFPPNETSVHIWQGYEDKIVPFELQRCISRKLPWIQYHEVPDGGHLLVHYNGLCEAILRALLLGEEHHPYRPNADKIVP >EOY34095 pep chromosome:Theobroma_cacao_20110822:9:39921041:39926757:1 gene:TCM_041877 transcript:EOY34095 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-hydroxybenzoic acid efflux pump subunit aaeB MPTAPQQPNRARALWRTCLASASRTALACIIVGIATLYGPASLQRQVEFPAFSYVTVILIMTDATLGDTLHGCWLALYASVQSLGPAMLSLWLIGPAKLTDGTTALAVALGGMVVVLPESTHLVAKRIALGQIVIVYVIGFINGGQTEPIMHPVHVAASTAAGVLACVLALLLPYPRLACCEAKRNCKLLAENGSQRLKLFVKALCAEDNAAASASISQAKMLTAAGTKLLQRIKRFQGSMKWEKLPFKFLRPYYMNSGEKLQDIEIALRGMEMALESTPSFPGRMFDGELKDGLLKLEEHISLTIKQAKSFLPGDSLTIPESNAEDITKFLQTLQTIPPTHQDLHFFFFLFCMKLLHSKSLPNPTTKNPVQKDGGSSPISSKENGFSSKEVSSSCGLKIKRLIPAFKFSLSLGFSVLFGLIYSKPNGFWSGLSVAVSFAAAREATFKVANVKAQGTVLGTVYGVIGCFLFERFLAIRFLSLLPWFLFSSFLRQSKMYGQAGGISAVIGAVLILGRENFGPPSDFAIARIMETFIGLSCSIVVELLFQPTRASTLAKIELSKSLETLHECVGSVSLQVSEANLVENQKKLKIHVNQLGKFIGEAEVEPNFWFWPFHSACYGRLLGSLSKMVDLLLFGAHAIGFLEQESQKLETSWKETVNKLNGDLNLFKESVGSLVQYLAKISSIKSLTILDKELEKNNISYDIEMGKSPSPNFFRVSGSDEDDEMNKILSSFLQHSQEVVDIIHGIEGGKELKSQMVLSLSALGYCMESLIRETRQIEEGIRELVQWENPSSHVNLHEISCKIRALYSYLVVP >EOY32916 pep chromosome:Theobroma_cacao_20110822:9:34477235:34478121:-1 gene:TCM_040942 transcript:EOY32916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNSISPCFHPNSRSLVKLIFWEGNTRILTGKHIAGEVMFEFPDRMVCHADSFFIGHPIPALGIDDELMPGQTYFVLPLDRFACNVLSASSLAALNSSPKPSPINFGDCPFEYIKGSNGRVLIKVVPEFITRLINRSREEEIGSPGNSFLCSTPELKKHYEMLVGSKEQVWSPKLETISEYKIRFSPCRFIGLEWKQKEKQ >EOY31725 pep chromosome:Theobroma_cacao_20110822:9:13292980:13967156:1 gene:TCM_038834 transcript:EOY31725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosylmethionine-8-amino-7-oxononanoate transaminases MLLSLLHRRSHHHLHRLRLLLLLRHLPQQSKPLSTLTIPLSHPTYIIWSSNTSLGKTLVSTGLSSSFLLSPPSSNTKKFLYLKPLQTGFPSDSDSRFLFQKLSSLSLRRNLPLVSSHSILLSSLPAANSFKPNNFSFNELQGLCELGFYEERKVLVEGSVTPELVSETLYAWEGALSPHLAAEKEGGAVGDSEVVKHVERRLREGLLEGGVERGRLDGFCVIETAGGVASPGPTGTLQCDLYRPLRFPGVLVGDGRLGGISATISAYESLKLRGYDVVAIVIEDHGLVNEIPLMSYLRNRVPVLVLPPIPQDPSNNLLEWFDESHNVFNSLKNILLEAYLERILGFNEMARRAGDVFWWPFTQHKLVPPCSVTVIDSRCGENFSVYKVQNKEFITQQFDACASWWTQGPDAALQTELARDMGYAAARFGHVMFPENVYEPALECAELLLDGVGKGWASRVYFSDNGSTAIEIALKMAFRKFSSDHGILPELFENNSAERCIELMVLALKGSYHGDTLGAMEAQAPSSYTGFLQQPWYTGKGLFLDPPTVFMHNGKWNLSLPEVFHSETLRPEDMTFRSRDEIFLKSRDKSNLAGLYSSFASQQLSQCSGLSSIKQIGALIIEPVIQGAGGMHMVDPLFQRMLVNECRRCRIPVIFDEVFTGFWRLGVEAAAELLGCVPDIACFAKLMTGGIIPLAATLATAAVFDSFTGDSKLKALLHGHSYSAHAMGCTAAAKSIIWFKDPTTNLNITSEKSQKMLLRELWDAELVQQISSHPSVSRVVTLGTLFALELQADGSNAGYASVYASSLLQMLREDGVYMRPLGNVIYLMCGPCTSPEICRQQVIKLYRRLEEFTNQNQLGK >EOY31877 pep chromosome:Theobroma_cacao_20110822:9:16950933:16957199:-1 gene:TCM_039208 transcript:EOY31877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Afadin/alpha-actinin-binding protein isoform 4 MPATDAALDLRPAPHSAFSIGEYTFADAGNLEHCAKYLNQTLVTFGFPASLDLFANDPISIARTCNCIYSLLQQRQRDIEFRESANEQRQRLLSDISRLEAKVERLEAQLQAKDREIATITRTEAKNTAAFKAQIEKLQQERDEFQRMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMSLLQKEGRQRGTWNGKKADNDFYKKIVDAYEAKNQELMAENADLRALLRSMQVDMRDFFNAPNGLSKQSLPVSERQENDPSQSPLGGRTDVFDLPFHMARDQIEESLRTKMASIKERMVQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASIMSKHLAKAERPRESIISSPAERGYNLGSPTAMNFRIKIN >EOY31879 pep chromosome:Theobroma_cacao_20110822:9:16950933:16957199:-1 gene:TCM_039208 transcript:EOY31879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Afadin/alpha-actinin-binding protein isoform 4 MPATDAALDLRPAPHSAFSIGEYTFADAGNLEHCAKYLNQTLVTFGFPASLDLFANDPISIARTCNCIYSLLQQRQRDIEFRESANEQRQRLLSDISRLEAKVERLEAQLQAKDREIATITRTEAKNTAAFKAQIEKLQQERDEFQRMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMSLLQKEGRQRGTWNGKKADNDFYKKIVDAYEAKNQELMAENADLRALLRSMQVVDMRDFFNAPNGLSKQSLPVSERQENDPSQSPLGGRTDVFDLPFHMARDQIEESLRTKMASIKERMVQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQGIHYVQTSC >EOY31881 pep chromosome:Theobroma_cacao_20110822:9:16950933:16957199:-1 gene:TCM_039208 transcript:EOY31881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Afadin/alpha-actinin-binding protein isoform 4 MPATDAALDLRPAPHSAFSIGEYTFADAGNLEHCAKYLNQTLVTFGFPASLDLFANDPISIARTCNCIYSLLQQRQRDIEFRESANEQRQRLLSDISRLEAKVERLEAQLQAKDREIATITRTEAKNTAAFKAQIEKLQQERDEFQRMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMSLLQKEGRQRGTWNGKKADNDFYKKIVDAYEAKNQELMAENADLRALLRSMQVDMRDFFNAPNGLSKQSLPVSERQENDPSQSPLGGRTDVFDLPFHMARDQIEESLRTKMASIKERMVQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQAGIHYVQTSC >EOY31882 pep chromosome:Theobroma_cacao_20110822:9:16953104:16957199:-1 gene:TCM_039208 transcript:EOY31882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Afadin/alpha-actinin-binding protein isoform 4 MPATDAALDLRPAPHSAFSIGEYTFADAGNLEHCAKYLNQTLVTFGFPASLDLFANDPISIARTCNCIYSLLQQRQRDIEFRESANEQRQRLLSDISRLEAKVERLEAQLQAKDREIATITRTEAKNTAAFKAQIEKLQQERDEFQRMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMSLLQKEGRQRGTWNGKKADNDFYKKIVDAYEAKNQELMAENADLRALLRSMQVDMRDFFNAPNGLSKQSLPVSERQENDPSQSPLGGRTDVFDLPFHMARDQIEESLRTKMASIKERMVQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQVVYFPSFPIRKWMHCVNELI >EOY31880 pep chromosome:Theobroma_cacao_20110822:9:16950933:16957199:-1 gene:TCM_039208 transcript:EOY31880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Afadin/alpha-actinin-binding protein isoform 4 MPATDAALDLRPAPHSAFSIGEYTFADAGNLEHCAKYLNQTLVTFGFPASLDLFANDPISIARTCNCIYSLLQQRQRDIEFRESANEQRQRLLSDISRLEAKVERLEAQLQAKDREIATITRTEAKNTAAFKAQIEKLQQERDEFQRMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMSLLQKEGRQRGTWNGKKADNDFYKKIVDAYEAKNQELMAENADLRALLRSMQVDMRDFFNAPNGLSKQSLPVSERQENDPSQSPLGGRTDVFDLPFHMARDQIEESLRTKMASIKERMVQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASIMSKHLAKAERPR >EOY31878 pep chromosome:Theobroma_cacao_20110822:9:16952608:16957062:-1 gene:TCM_039208 transcript:EOY31878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Afadin/alpha-actinin-binding protein isoform 4 MPATDAALDLRPAPHSAFSIGEYTFADAGNLEHCAKYLNQTLVTFGFPASLDLFANDPISIARTCNCIYSLLQQRQRDIEFRESANEQRQRLLSDISRLEAKVERLEAQLQAKDREIATITRTEAKNTAAFKAQIEKLQQERDEFQRMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMSLLQKEGRQRGTWNGKKADNDFYKKIVDAYEAKNQELMAENADLRALLRSMQVDMRDFFNAPNGLSKQSLPVSERQENDPSQSPLGGRTDVFDLPFHMARDQIEESLRTKMASIKERMVQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQI >EOY34016 pep chromosome:Theobroma_cacao_20110822:9:39704839:39708743:-1 gene:TCM_041824 transcript:EOY34016 gene_biotype:protein_coding transcript_biotype:protein_coding description:XH/XS domain-containing protein, putative isoform 2 MFVHPWMGIIANIPTTVQGGKHVGDSGRKLREEFTEKGFNPVRVHPLWNRHGHSGFAIVEFSKEWDGFNNAIMFERSFEADHCGKKDFYRSRRRRDKLYGWVAREDDYHSRGLIGDYLHRNGDLKTVSEKEAEDQRKDSKLLTTLANTLETKNMHLKEMENKCNEVSQSISTLMEQKDEMIKAYNEETKNMQQNAHDYLKKISLEHERTTRHLYDQKRKLEQREKELFHRKAQNGAETRKVKHEKMMNERATLEQKKADENMLRLAEEQKRETEKLHREIIELEKQLDAKQALELEIQRMKGALQVMQHMEGDGEPEMKTKMEAIEEELKEKEEELDDLEELNQALIVKERKTNDELEGARKEIINANNKKQQKDISVNSVTEVTMSVCSGCFSLQLLTQLMVDANAIGHDGKLASTCLSLKDVSTPAIHWCRENKKTAKQCLLWQEYLRDPSWHPFKIITDKEGNTKEILDKEDEKLTTLKTEFGDEVYTSVTTAFAQMNEYKTSGSYTAPALWNFNEGRMATLAELVEHLLKQWKQQKRKRCRR >EOY34018 pep chromosome:Theobroma_cacao_20110822:9:39704645:39708605:-1 gene:TCM_041824 transcript:EOY34018 gene_biotype:protein_coding transcript_biotype:protein_coding description:XH/XS domain-containing protein, putative isoform 2 MFVHPWMGIIANIPTTVQGGKHVGDSGRKLREEFTEKGFNPVRVHPLWNRHGHSGFAIVEFSKEWDGFNNAIMFERSFEADHCGKKDFYRSRRRRDKLYGWVAREDDYHSRGLIGDYLHRNGDLKTVSEKEAEDQRKDSKLLTTLANTLETKNMHLKEMENKCNEVSQSISTLMEQKDEMIKAYNEETKNMQQNAHDYLKKISLEHERTTRHLYDQKRKLEQREKELFHRKAQNGAETRKVKHEKMMNERATLEQKKADENMLRLAEEQKRETEKLHREIIELEKQLDAKQALELEIQRMKGALQVMQHMEGDGEPEMKTKMEAIEEELKEKEEELDDLEELNQALIVKERKTNDELEGARKEIINSLKDVSTPAIHWCRENKKTAKQCLLWQEYLRDPSWHPFKIITDKEGNTKEILDKEDEKLTTLKTEFGDEVYTSVTTAFAQMNEYKTSGSYTAPALWNFNEGRMATLAELVEHLLKQWKQQKRKRCRR >EOY34017 pep chromosome:Theobroma_cacao_20110822:9:39704985:39708255:-1 gene:TCM_041824 transcript:EOY34017 gene_biotype:protein_coding transcript_biotype:protein_coding description:XH/XS domain-containing protein, putative isoform 2 MFVHPWMGIIANIPTTVQGGKHVGDSGRKLREEFTEKGFNPVRVHPLWNRHGHSGFAIVEFSKEWDGFNNAIMFERSFEADHCGKKDFYRSRRRRDKLNGDLKTVSEKEAEDQRKDSKLLTTLANTLETKNMHLKEMENKCNEVSQSISTLMEQKDEMIKAYNEETKNMQQNAHDYLKKISLEHERTTRHLYDQKRKLEQREKELFHRKAQNGAETRKVKHEKMMNERATLEQKKADENMLRLAEEQKRETEKLHREIIELEKQLDAKQALELEIQRMKGALQVMQHMEGDGEPEMKTKMEAIEEELKEKEEELDDLEELNQALIVKERKTNDELEGARKEIINSLKDVSTPAIHWCRENKKTAKQCLLWQEYLRDPSWHPFKIITDKEGNTKEILDKEDEKLTTLKTEFGDEVYTSVTTAFAQMNEYKTSGSYTAPALWNFNEGRMATLAELVEHLLKQWKQQKRKRCRR >EOY34169 pep chromosome:Theobroma_cacao_20110822:9:40149296:40153370:1 gene:TCM_041923 transcript:EOY34169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase isoform 1 MEKEAIDGCSSLAYKLLFSCPFGLSPPQLSVVFDESYDRIPHSDISLENSISEIWDLRVQKNASLFNGKKFRYGGYSQKSGDGSNQESYVCLHLGLTDYRTFVGTNLNPSWEKFLVASEDDSTRCQHTSSPLGNGAIVETSDKKIVVLQRSNNVGEFPGHFVFPGGHPEPQEVGIETHEYGKDSKDSELVNKRVAQEMFDSIIREVVEEIGVPTTSLSDPLFIGISRRVLNVRPAIFFFIKCSLQSKEIQQLYSNAQDGYESTQLYTVSMIELERMALKMPGCHQGGFALYKLMVGASMNI >EOY34167 pep chromosome:Theobroma_cacao_20110822:9:40149577:40153186:1 gene:TCM_041923 transcript:EOY34167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase isoform 1 MEKEAIDGCSSLAYKLLFSCPFGLSPPQLSVVFDESYDRIPHSDISLENSISEIWDLRVQKNASLFNGKKFRYGGYSQKSGDGSNQESYVCLHLGLTDYRTFVGTNLNPSWEKFLVASEDDSTRCQHTSSPLGNGAIVETSDKKIVVLQRSNNVGEFPGHFVFPGGHPEPQEVGIETHEYGKDSKDSELVNKRVAQEMFDSIIREVVEEIGVPTTSLSDPLFIGISRRVLNVRPAIFFFIKCSLQSKEIQQLYSNAQDGYESTQLYTVSMIELERMALKMPGCHQGGFALYKLMVGASMNI >EOY34168 pep chromosome:Theobroma_cacao_20110822:9:40149296:40153310:1 gene:TCM_041923 transcript:EOY34168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase isoform 1 MEKEAIDGCSSLAYKLLFSCPFGLSPPQLSVVFDESYDRIPHSDISLENSISEIWDLRVQKNASLFNGKKFRYGGYSQKSGDGSNQESYVCLHLGLTDYRTFVGTNLNPSWEKFLVASEDDSTRCQHTSSPLGNGAIVETSDKKIVVLQRSNNVGEFPGHFVFPGGHPEPQEVGIETHEYGKDSKDSELVNKRVAQEMFDSIIREVVEEIGVPTTSLSDPLFIGISRRVLNVRPAIFFFIKCSLQSKEIQQLYSNAQDGYESTQLYTVSMIELERMALKMPGCHQGGFALYKLMVGASMNI >EOY32709 pep chromosome:Theobroma_cacao_20110822:9:32991688:32997180:-1 gene:TCM_040737 transcript:EOY32709 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain transcriptional regulator superfamily protein, putative METDEKPKPTLQSLVRKQLRPLNESSSDASTVEVLKKEDSIKDSEENGDGGGDEYLDSFPPGYRFCPLDEELVLHYLKKKVKNERLPHNRIVEVNLYHHNPETLAEKYKQYGEKEWYFFTPRDKKYRNGTRPNRAAGDGYWKATGADRQVIYKGHTVGFRKALVFYKGKPPKGDKTNWIMHEYRVNDPPPCKRVYNDMRLDDWVLCRIYKKVDKSISRTRSKEENQSPLIDNEDTNELMNMDFDYNGGVDSMDYSSFLHQSLSDGSYNNLQPVSDQFPTLTLSYGAHSKIVDSQSLRSLSMKYPIDKGGQDDIWSVVNLDFASQLELYGVSCVEPLINLDNNFSVDASNYSSANSKTDGTGVGEASLKASGEFERIWYYILLFQVTQNSAEKSMIALDNWVL >EOY34698 pep chromosome:Theobroma_cacao_20110822:9:41816582:41825782:-1 gene:TCM_042283 transcript:EOY34698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein isoform 3 MCVGFGSLSDPPEAQGLAHFLEHMLFMGSTEFPDENEYDSYLSKHGGSSNAYTEAEHSCYHFEVEREFLKGALRRFSQFFISPLVKLEAMEREVLAVDSEFNQALQNDSFRLQQLQCHTSEHGHPFNTFSWGNKKSLVDAVEKGIDLRKQILELYKDYYHGGLMKLVVIGGEPLDLLQQWVVELFLDVRQGSLGRPEFTVEGPVWRAGKLYRLQAVKDVHILELRWTLPCLLQEYLKKPEAYLAHLLGHEGKGSLHYFFKAKGWATSLSAGVSDDGMQRSSVAYIFSMSIHLTDSGLEKILDVIGYVYQYLKLLHLLSPQEWIFKELQEMGNLDFRFAEEEPQDDYASELADVKYEPWFGSHYVEEEISPSLMELWRDPPDIDVSLHLPLKNEFIPCDFSIRADNMQIDPANESLPVCILDEPLMKFWYKLDSTFKLPRANTYFQINLKGAYLNLKSCLLTELYIHLLKDELNEIIYQASVAKLETSVAMYSDKLGLKLYGFNDKLPVLLCTVLAIANSFLPTNDRFKVIKENVERTLKNANMKPLRHSSYLRLQILCKSFYDVDEKLAFLKDLSLSDLKAFIPELRSQIHIEGLCHGNLLEKEVLDISNIFKSNFSVQPMPVTMRHREQVICFPSGANFVRDVSVKNKSETNSVLELYFQIEPEVGVEAVKLKALIDLFDEIVEEPHYNQLRTKEQLGYVVQCSPRVTYRVYGFCFCIQSSKYSPVWEVGKQQHSFNLLRTRGNAESVTDQNTGIIDLFTLYLNVVFDH >EOY34697 pep chromosome:Theobroma_cacao_20110822:9:41817105:41825627:-1 gene:TCM_042283 transcript:EOY34697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein isoform 3 MCVGFGSLSDPPEAQGLAHFLEHMLFMGSTEFPDENEYDSYLSKHGGSSNAYTEAEHSCYHFEVEREFLKGALRRFSQFFISPLVKLEAMEREVLAVDSEFNQALQNDSFRLQQLQCHTSEHGHPFNTFSWGNKKSLVDAVEKGIDLRKQILELYKDYYHGGLMKLVVIGGEPLDLLQQWVVELFLDVRQGSLGRPEFTVEGPVWRAGKLYRLQAVKDVHILELRWTLPCLLQEYLKKPEAYLAHLLGHEGKGSLHYFFKAKGWATSLSAGVSDDGMQRSSVAYIFSMSIHLTDSGLEKILDVIGYVYQYLKLLHLLSPQEWIFKELQEMGNLDFRFAEEEPQDDYASELAENLLVYPAEHVIYGDYVFEFWDEEMIRKILGFFTPENMRIDVVSKSFKSQDVKYEPWFGSHYVEEEISPSLMELWRDPPDIDVSLHLPLKNEFIPCDFSIRADNMQIDPANESLPVCILDEPLMKFWYKLDSTFKLPRANTYFQINLKGAYLNLKSCLLTELYIHLLKDELNEIIYQASVAKLETSVAMYSDKLGLKLYGFNDKLPVLLCTVLAIANSFLPTNDRFKVIKENVERTLKNANMKPLRHSSYLRLQILCKSFYDVDEKLAFLKDLSLSDLKAFIPELRSQIHIEGLCHGNLLEKEVLDISNIFKSNFSVQPMPVTMRHREQVICFPSGANFVRDVSVKNKSETNSVLELYFQIEPEVGVEAVKLKALIDLFDEIVEEPHYNQLRTKEQLGYVVQCSPRVTYRVYGFCFCIQSSKYSPVWEVGKQQHSFNLLRTRGNAESVTDQNTGIIDLFTLYLNVVFDH >EOY34696 pep chromosome:Theobroma_cacao_20110822:9:41816333:41826332:-1 gene:TCM_042283 transcript:EOY34696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein isoform 3 MVAGGLKYSSDSVVIKPPNDRRLYRVIELHNGLVALLVHDPQIYPDGLSQDSHLLVKSEPEAEEDEDDEDEDGDEDDDEEDEDEDEEDEEEEEEEKQIEDKSSQTKKAAAAMCVGFGSLSDPPEAQGLAHFLEHMLFMGSTEFPDENEYDSYLSKHGGSSNAYTEAEHSCYHFEVEREFLKGALRRFSQFFISPLVKLEAMEREVLAVDSEFNQALQNDSFRLQQLQCHTSEHGHPFNTFSWGNKKSLVDAVEKGIDLRKQILELYKDYYHGGLMKLVVIGGEPLDLLQQWVVELFLDVRQGSLGRPEFTVEGPVWRAGKLYRLQAVKDVHILELRWTLPCLLQEYLKKPEAYLAHLLGHEGKGSLHYFFKAKGWATSLSAGVSDDGMQRSSVAYIFSMSIHLTDSGLEKILDVIGYVYQYLKLLHLLSPQEWIFKELQEMGNLDFRFAEEEPQDDYASELAENLLVYPAEHVIYGDYVFEFWDEEMIRKILGFFTPENMRIDVVSKSFKSQDVKYEPWFGSHYVEEEISPSLMELWRDPPDIDVSLHLPLKNEFIPCDFSIRADNMQIDPANESLPVCILDEPLMKFWYKLDSTFKLPRANTYFQINLKGAYLNLKSCLLTELYIHLLKDELNEIIYQASVAKLETSVAMYSDKLGLKLYGFNDKLPVLLCTVLAIANSFLPTNDRFKVIKENVERTLKNANMKPLRHSSYLRLQILCKSFYDVDEKLAFLKDLSLSDLKAFIPELRSQIHIEGLCHGNLLEKEVLDISNIFKSNFSVQPMPVTMRHREQVICFPSGANFVRDVSVKNKSETNSVLELYFQIEPEVGVEAVKLKALIDLFDEIVEEPHYNQLRTKEQLGYVVQCSPRVTYRVYGFCFCIQSSKYSPVYLQERADNFINGLEELLEGLDDESFESYRSGLTAKLLEKDSSLSYETSRFWNQIVDNRYMFDLPKREAEELRSIQKVDIVNWYKMYLQQSSPKCRRLAVRVWGCNADLKEAESKQDCVQVIEDVEAFRVSSNWEVGKQQHSFNLLRTRGNAESVTDQNTGIIDLFTLYLNVVFDH >EOY31520 pep chromosome:Theobroma_cacao_20110822:9:9264003:9267236:-1 gene:TCM_038440 transcript:EOY31520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heptahelical transmembrane protein2 MQNHHHQHRNRKVAAVKNDDKKLERVDSAEYDKEEKKRKLMKYEDLPEYLKDNEFILDHYRCEWPLKDILLSLFSLHNETLNIWTHFGGFLIFVGLTVMSSMEEFGVGGLITSFSRAQVSGPLMMMMMKNDVNVSDNNHSSITPDSHLRHIPQPSLYELQKEDKYDSIPKWPWFVFLSGAMGCLVCSSVSHLFACHSRRFNLFFWRLDYAGISLMIVCSFFAPIYYAFFCHTYSRLFYLTSITVLGILAIITLLAPALSAPRFRSFRATLFLTMGFSGVIPAAHAVALHWGHPHIFVSLGYELAMAFLYAAGAAVYTSRIPERWKPGAFDIAGHSHQIFHVFVVLGALAHGAATLVIMDFRRETATCGY >EOY31107 pep chromosome:Theobroma_cacao_20110822:9:7083938:7085035:1 gene:TCM_038112 transcript:EOY31107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein, putative isoform 2 MGRRLNIVFLATIAIAAMLQSSSAQTAYVVGDALGWAIPPGGAVAYTTWAANKTFRVGDTLVFNFSSGSHDVARVTRANFDACNSANPLLLLSNGPANFTLNETGDHYFLCAFPGHCSAGQRLAINVSAAASSPAPQPSTPPPQPSSPAPQPSTSPPASTPQPSAPVPAPQASSPSPISPPTSPATPPSSSSPPPSTATPPSSTTSPPPSTATPPSSTTSPPPPAGDNGPTPPPPGNSATSLGVAGLSATCLSFIVALFMC >EOY31108 pep chromosome:Theobroma_cacao_20110822:9:7083870:7084751:1 gene:TCM_038112 transcript:EOY31108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein, putative isoform 2 MGRRLNIVFLATIAIAAMLQSSSAQTAYVVGDALGWAIPPGGAVAYTTWAANKTFRVGDTLVFNFSSGSHDVARVTRANFDACNSANPLLLLSNGPANFTLNETGDHYFLCAFPGHCSAGQRLAINVSAAASSPAPQPSTPPPQPSSPAPQPSTSPPASTPQPSAPVPAPQASSPSPISPPTSPATPPSSSSPPPSTATPPSST >EOY32612 pep chromosome:Theobroma_cacao_20110822:9:32059906:32062844:1 gene:TCM_040620 transcript:EOY32612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factors MENSGLGGGFLSGPNGGLFDLESSINRQQKPQLGQPSLIPHHNMVLMSENDHRSTGVMEAKGCNPKGFPMNFGKGKGVSPISAMNNGSMSEEDEPSYIEDGNGENSIGGKGKKGSPWQRMKWTDNVVRLLIAVVACVGDDGMIEGVEGPKRKSGILQKKGKWKTVSKIMISKGCHVSPQQCEDKFNDLNKRYKKLNDILGRGTSCRVVENPSLMDSMPHLSAKAKDDVKKILSSKHLFYPEMCAYHNGQRIPNCQDLDLQGCFVPLDRCLKDNNGSDEEEAEGNDDSEDDDEMDNEDDNNADGDDERIGELNKRKKASAEEGHFWSQSAEQDSLKVEMAGIFHDPTRSSLERKEWIKRQILQLQEERVNLQVEGFELEKQRFKWLRYCNKKGRELERLRLENERMRLENERSLLQLRQKELEVGFRSSDASLDPTSLGIDRLQSRDQIDLGRHQ >EOY32599 pep chromosome:Theobroma_cacao_20110822:9:31862709:31869622:1 gene:TCM_040601 transcript:EOY32599 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family isoform 3 MDSQVMVALALSLVGGFSTSLGALFVILNQAPNLKMLGLLQGFAAGLMLSISFLDLAHNAMNSIGFLKGNLWFFAGVIFFAVVANFIPEPILSQSSEKNSDEGGKDMMKKHRRQVLFSGIITAIGISLHNFPEGMAVFLGSMKGLRVGFNLALAIALHNIPEGVAVALPVYFATQSKWQAFKLATLSGFAEPLGVVIVAYLFPSNLSPEILEGLLGSVGGVMAF >EOY32598 pep chromosome:Theobroma_cacao_20110822:9:31862709:31869622:1 gene:TCM_040601 transcript:EOY32598 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family isoform 3 MDSQVMVALALSLVGGFSTSLGALFVILNQAPNLKMLGLLQGFAAGLMLSISFLDLAHNAMNSIGFLKGNLWFFAGVIFFAVVANFIPEPILSQSSEVKSKKKNSDEGGKDMMKKHRRQVLFSGIITAIGISLHNFPEGMAVFLGSMKGLRVGFNLALAIALHNIPEGVAVALPVYFATQSKWQAFKLATLSGFAEPLGVVIVAYLFPSNLSPEILEGLLGSVGGVMAF >EOY32597 pep chromosome:Theobroma_cacao_20110822:9:31862768:31870509:1 gene:TCM_040601 transcript:EOY32597 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family isoform 3 MDSQVMVALALSLVGGFSTSLGALFVILNQAPNLKMLGLLQGFAAGLMLSISFLDLAHNAMNSIGFLKGNLWFFAGVIFFAVVANFIPEPILSQSSEVKSKKKNSDEGGKDMMKKHRRQVLFSGIITAIGISLHNFPEGMAVFLGSMKGLRVGFNLALAIALHNIPEGVAVALPVYFATQSKWQAFKLATLSGFAEPLGVVIVAYLFPSNLSPEILEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFLGMAFMSASLYFLELSLPEEMSL >EOY33046 pep chromosome:Theobroma_cacao_20110822:9:35085932:35088646:1 gene:TCM_041051 transcript:EOY33046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, putative MASSKKPTEEENKKKKKKSNSSPAKETKTALKKPDKKASTTEKANKSKPKPKPKPKPDSNKNKSSNGTLVESEVIAVPSSSTDTKEQEDENKTNKNVKSNKRKQKEKVEENEGEEEEEEEEEAKMCRFPMNRIKRIMKSEDSRVAIPQDVVFLVNKATEKFLEQFCEDGYKSSVKDRKKSLSYKHLSTVVHERRRYDFLSDYVPEKIKAEDALKERNLTETGAG >EOY32347 pep chromosome:Theobroma_cacao_20110822:9:27762310:27768887:-1 gene:TCM_040183 transcript:EOY32347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dead box ATP-dependent RNA helicase, putative isoform 1 MTTRTSWADLAANSAAENVGVGSSSASNGAVGTTSAAGRPVYVPPHLRNRPSSSDPPAPTSTGAASSNDRPGYGGSRWAAPRTDYYNRSGYSGGGGGGGGRPGGWGGRSGGWDRGRDREVNPFGNDDDTEQVFSEQENTGINFDAYEDIPVETSGDNVPPPVNTFAEIDLGEALNLNIRRCKYVKPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGQVPQRPLRGARTVYPLALILSPTRELSMQIHEEAKKFSYQTGVKVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGVRQTMLFSATFPKEIQRLACDFLANYVFLAVGRVGSSTDLIVQRVEFVHESDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADSLEHWLCMNGFPATTIHGDRSQQEREQALRSFKSGATPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNDNNASLARPLADLMQEANQEVPAWLTRYAARSFGGRNRRPGGGRFGGRDFRRDSSFNRGNLDYYGGGNSAGGYAASGGYGGGSGYGPGVTSAWD >EOY32348 pep chromosome:Theobroma_cacao_20110822:9:27763161:27768998:-1 gene:TCM_040183 transcript:EOY32348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dead box ATP-dependent RNA helicase, putative isoform 1 MCTDWFWKDSCLLFSNYQWNYERPGSTKTLTWGAYCIPTSSYSLSYQGALNIHEEAKKFSYQTGVKVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGVRQTMLFSATFPKEIQRLACDFLANYVFLAVGRVGSSTDLIVQRVEFVHESDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADSLEHWLCMNGFPATTIHGDRSQQEREQALRSFKSGATPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNDNNASLARPLADLMQEANQEVPAWLTRYAARSFGGRNRRPGGGRFGGRDFRRDSSFNRGNLDYYGGGNSAGGYAASGGYGGGSGYGPGVTSAWD >EOY29689 pep chromosome:Theobroma_cacao_20110822:9:2064656:2067886:1 gene:TCM_037156 transcript:EOY29689 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacid aminotransferase-like PLP-dependent enzymes superfamily protein isoform 2 MASLSASLPKYMSLFPPRHTHHLHDLCVFPRNLSLMKEGSVRELRIATSSNQTEPLIDSTDKLSDIPLLSCSEAIEKLKQNRENHKGKQQFLAMYSSIFGGIITDAAAMVIPVDDHMVHRGHGVFDTAAIMDGYLYELDQHIDRIIRSASMAKIVLPFDRETIRRILVKTVCASKCIKGSLRYWISAGPGDFQLSPSGCCQPALYAIVIEDQSLFDLEGIKVVTSSVPMKPPQFATMKSVNYLPNVLSKMEAEEKGAYAAIWLDNDGFVAEGPNMNVAFVTKEKELLMPNFDKILSGCTAKRVLALAEGMVRDGKLHGIRVDNVSVEEGKRADEMMLIGSGVLVRPVVQWDEQVIGDGKEGPISQMLLNFILEDMKSGPSSVRVPVPY >EOY29690 pep chromosome:Theobroma_cacao_20110822:9:2064661:2067672:1 gene:TCM_037156 transcript:EOY29690 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacid aminotransferase-like PLP-dependent enzymes superfamily protein isoform 2 MASLSASLPKYMSLFPPRHTHHLHDLCVFPRNLSLMKEGSVRELRIATSSNQTEPLIDSTDKLSDIPLLSCSEAIEKLKQNRENHKGKQQFLAMYSSIFGGIITDAAAMVIPVDDHMVHRGHGVFDTAAIMDGYLYELDQHIDRIIRSASMAKIVLPFDRETIRRILVKTVCASKCIKGSLRYWISAGPGDFQLSPSGCCQPALYAIVIEDQSLFDLEGIKVVTSSVPMKPPQFATMKSVNYLPNVLSKMEAEEKEGMVRDGKLHGIRVDNVSVEEGKRADEMMLIGSGVLVRPVVQWDEQVIGDGKEGPISQMLLNFILEDMKSGPSSVRVPVPY >EOY29691 pep chromosome:Theobroma_cacao_20110822:9:2064662:2067672:1 gene:TCM_037156 transcript:EOY29691 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacid aminotransferase-like PLP-dependent enzymes superfamily protein isoform 2 MASLSASLPKYMSLFPPRHTHHLHDLCVFPRNLSLMKEGSVRELRIATSSNQTEPLIDSTDKLSDIPLLSCSEAIEKLKQNRENHKGKQQFLAMYSSIFGGIITDAAAMVIPVDDHMVHRGHGVFDTAAIMDGYLYELDQHIDRIIRSASMAKIVLPFDRETIRRILVKTVCASKCIKGSLRYWISAGPGDFQLSPSGCCQPALYAIVIEDQSLFDLEGIKVVTSSVPMKPPQFATMKSVNYLPNVLSKMEAEEKGQRRSYIPDALEFHPRGHEIWSLFSPSSCSLLSKLNSASWYQKVWLQIVRGRCHESASCQ >EOY32542 pep chromosome:Theobroma_cacao_20110822:9:31075267:31077630:1 gene:TCM_040523 transcript:EOY32542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MLSALHSNLCNRIKHFTLYHSDQVSDHRVDESLESSLDVMALDLNEDFVVKVLQTLFISGENLIRKNDAYDLWDLVKDIGDKENGVLTMDVLNELIALFSTLGQGKATIEPVCERMLDGESLPDGEQVGKIISWFCRGGKVENVYTVYLLAKEKNKQLTWSSVNFLISSLCKKDETVSLALEMLDGFSREARKYAIKPFSSVIRVFNSVVNGYSKVGDMDKAKEMIKLMEDKGLKPDVYTYIVVMNGYANGGQMDEACEVLSEARKKHMKLSPMTYHTLIRGYCKIEEFDKALKLLAEMKDFEVQPNVDEYNKLIQSLCLKALDWQIVEKLLDEMKENGLYLNGIMQGLIKAVKELEAEEVDSREALTIEV >EOY33724 pep chromosome:Theobroma_cacao_20110822:9:38791525:38795768:-1 gene:TCM_041624 transcript:EOY33724 gene_biotype:protein_coding transcript_biotype:protein_coding description:PfkB-like carbohydrate kinase family protein MVTTNPQNQNNPVQSVLIVGNYCHDVLLQRGSVVAETLGGAASFISNILNGLSVSFQLTSKVGLDFKYPVSYDPIVVPTSKTTVFHAYFDLGFSENAHQDRVLKRVEACDPINPSDLPDKRFDFGMAVGVGGEILPETLAKMVEICDAVFVDIQALIRAFEKNGTVRLVGLKESGFYHLLPRVKFLKASEEEALFMDVEEVRKWCCVVVTHGKDGCEVFWKDGEMKIGPFVANQVDPTGAGDSFLGGFIGGLVHGLVVPDAALLGNLFGSLTVAQIGLPKFDLRLLQRVKDEVQRRKLHSTCSFETRDDKLSFSKPPGHEEFHASLASTKLVSTCSIQECQRDQPRSPRTVEQVICPQCNGQPKMLVNSVFDEPIQTVQGRP >EOY32596 pep chromosome:Theobroma_cacao_20110822:9:31844583:31852724:1 gene:TCM_040599 transcript:EOY32596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQVRISSAALCVFFAAGLLLLGVVRAQDNELAPSPSIEAGAGSLVTVSSVLVCSSLLFSVLALLRH >EOY33345 pep chromosome:Theobroma_cacao_20110822:9:36889413:36889937:-1 gene:TCM_041312 transcript:EOY33345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDPTESGKSPTDLANHFPIEIINTNSIQTQVYQGVLPHLLGTISSNVEVTAKKLGIFLFLLLKELKSVSIFL >EOY30411 pep chromosome:Theobroma_cacao_20110822:9:4540257:4543637:-1 gene:TCM_037635 transcript:EOY30411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock transcription factor A2, putative MVVPDSGGGEEGFGLSCTTSMLKESKKMEDEPDNENQSESNIVVKEEPVAAPAAVTESSAATCGGEDQVALLKSVKEEHGEEDDEEMGMVDDMMNGGDCNKISNNGSSSSSSSDVSPNPIEGLHESGPPPFLRKTFEMVEDPETDPIVSWSVNRNSFIVWDSHKFSENLLPKYFKHKNFSSFIRQLNTYGFRKIDSDRWEFANKGFQGGKKHLLKNIERRSRYNKQQQGGVICANSSTSFGLETELEILKKDQSALQLEVLKLRQQQEESNHQLSVFEERIRFSECRQQQMCNFFVKIAKFPNFIQQLIQKRKQQKKELDEGEFSKKRKLLETQVTKSLPEAMGTDQSVKCSNQVDQERLESMQPDEFSKYLPDGMENNNQMENEFSASMEDGLCCSLQDQKSSVPEMSSVYHVMSENLLGESSIVDNVTNEELSVNDSKIYLELEDLINWKPCSWGGFASELVEQTGCV >EOY33792 pep chromosome:Theobroma_cacao_20110822:9:39007321:39010123:1 gene:TCM_041668 transcript:EOY33792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter 2, putative MAGGVIASSGNRELPGKLTKQVLFCTFIASFGGFLFGYDIGISGGVTSMDDFLEKFFPKVYARKHRARENNYCKFNDEYLQLFTSSLYLAAIFASFLSSMFSRKYGRRWTIAVSSIFFLAGAFLNLGAKNLGMLIAGRLFLGLGLGCANQSIPVFISEISPAKYRGGLNIVFQLLITVGIFIANLVNYGTSKIKNHGWRISLGGAAVPAAILLVGSFFIVDTPASLVERGKKEEGLNTLKKIRDSDNVTEEFNEIVRATEVANKIKHPFLELAMKRHNWPPLISATVLHFFQQFTGMNVIMFYAPVLFQTMGFGGDAALMSAMITGAVNMFSTLISNFTVDVVGRKRLLVAGALTMLTGQCVVGGLLKQYLLSTNSVSHIVSIVVVIFICLFVFAYAWSWGPLGWLIASEVFPLETRNAGYFFAVGMNMLCTFIIAQAFLTMLCNMRAYIFFFFATILVIMTCAVIAMLPETKGIPMEEMMDRTWKVHWFWKRFYQNEVDAEVQLEKGKPAA >EOY32501 pep chromosome:Theobroma_cacao_20110822:9:30465839:30470906:1 gene:TCM_040458 transcript:EOY32501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 17 MESEGYQCLYILLLVLGSITHINAVTPLNLIASLNRTSFPDGFIFGTASSAYQYEGAASEGGRGPSIWDTYTHKYPDKIADGRNGDVAVDSYNRYKEDVGIMKEMGLDAYRFSISWSRILPNGKLSGGVNLEGIRYYNNLIDELLANGLQPYVTLFHWDLPQALEDEYGGFLSSHIVDHFRDYVEVCFDEFGDRVKNWITLNEPWSYSNWGYAVGSLAPGRCSDWQQLNCTGGDSGIEPYLVSHHQLLAHATAVKLYRQKYQATQKGVIGITLIAHWFVPFSKERNDKDAAQRALDFMFGWFMDPITSGEYPKSMQSLVGDRLPRFSKEESKMLKGSFDFLGLNYYTANYAADAPKHGPGKPSYLTDASAKLSTERDGVPIGPTTASDWLYVYPKGFYELLLYTKSKYNNPIIYITENGVDEASNATLSLEEALVDNMRIEYYDQHLSFLRNAIGVGVDVKGYFAWSLLDNFEWANGYTVRFGINYVDYEHGLKRYPKLSARWFKNFLKTTTTHNAV >EOY32073 pep chromosome:Theobroma_cacao_20110822:9:20318483:20318929:1 gene:TCM_039538 transcript:EOY32073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKFSFKLIFLATLLVFALGLQVGVEARNINTPCNTVKDCADPIKCECRMNLCFCHPAMPDFITNTADKNIKV >EOY32180 pep chromosome:Theobroma_cacao_20110822:9:22744546:22758565:-1 gene:TCM_039769 transcript:EOY32180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 2 MARRNNGEKRDESVAVAIDKDKSSQYALKWVVDHLMSRGQTITLLHVKTKPSSIPTPTGNHVNISDVNDDVAKAYKQQLDNQAKEVFLPFRCFCSRKDIKCNEIILEDTDIAKALIDFVLSSSIETLVLGAPSKSGFVRRFRTSDVPTNVSKGAPDYCTVYVIGKGKISSVRSASAPPPTRPLPPRAHSQTQPANVLEPTDAPSATAYNPRYRGDRPQHPPRNMPEDMEIRSPFTRGHIKYEPSIPDCDISFVSSGRPSTDRMFPSLYDNLEYGTPRLSVSSDYDNRSFGSSYSGNRSMDFSSSQYELSSSSLESGRTSWSSQNMIQDRKSCSTVQMPQDDVEAEMRRLRQELKQTMDMYSAACKEALTAKQRAKELHSWKLQEEQKIEEARLSEEAALSLAEKEKAKCKAAIEAAQAAQRIAELEAQKRINAEKKASKEADERKMAKKSLGHDLRYRRYTIEEIEAATEHFSPSRKIGEGGYGPVYKCNLDHTPVAIKVLRPDAAQGESQFHQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGNLEDRLFRRNNTPVLPWQVRFRIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVANSVTQYHMTSTAGTFCYIDPEYQQTGMLGTKSDIYSLGILLLQIITAKPPMGLTHHVEHAIENGSFTGILDPAVLDWPVEEALIFAKLALKCSELRRKDRPDLGQVVLPEFNRLRALGEENMPFITPGGSAGPSPNHSQASTSSNQDFLSDPQSLQSGYDSSRSRSNTSSFIGRR >EOY32181 pep chromosome:Theobroma_cacao_20110822:9:22743956:22748486:-1 gene:TCM_039769 transcript:EOY32181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative isoform 2 MPEDMEISRSPFTRGHIKYEPSIPDCDISFVSSGRPSTDRMFPSLYDNLEYGTPRLSVSSDYDNRSFGSSYSGNRSMDFSSSQYELSSSSLESGRTSWSSQNMDDVEAEMRRLRQELKQTMDMYSAACKEALTAKQRAKELHSWKLQEEQKIEEARLSEEAALSLAEKEKAKCKAAIEAAQAAQRIAELEAQKRINAEKKASKEADERKMAKKSLGHDLRYRRYTIEEIEAATEHFSPSRKIGEGGYGPVYKCNLDHTPVAIKVLRPDAAQGESQFHQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGNLEDRLFRRNNTPVLPWQVRFRIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVANSVTQYHMTSTAGTFCYIDPEYQQTGMLGTKSDIYSLGILLLQIITAKPPMGLTHHVEHAIENGSFTGILDPAVLDWPVEEALIFAKLALKCSELRRKDRPDLGQVVLPEFNRLRALGEENMPFITPGGSAGPSPNHSQASTSSNQDFLSDPQSLQSGYDSSRSRSNTSSFIGRR >EOY34089 pep chromosome:Theobroma_cacao_20110822:9:39904886:39908340:1 gene:TCM_041873 transcript:EOY34089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carrier protein 7 isoform 2 MASQASLLLQKQLKDLCKNPVDGFSAGLVDETNIFEWSVTIIGPPDTLYEGGFFNAIMSFPPNYPNSPPTVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAVRYLISAFACNVPSECLMKLPLTSTNMLSTLLLWTKESKPCSFIHVSYDQYFCVNCRRNGERGEMNSRGRSAAASDGHKKCCDLLLALLLYICTQGMP >EOY34090 pep chromosome:Theobroma_cacao_20110822:9:39904903:39908226:1 gene:TCM_041873 transcript:EOY34090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carrier protein 7 isoform 2 MASQASLLLQKQLKDLCKNPVDGFSAGLVDETNIFEWSVTIIGPPDTLYEGGFFNAIMSFPPNYPNSPPTVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRERRDEFKRKVSRCVRRSQEML >EOY31163 pep chromosome:Theobroma_cacao_20110822:9:7301676:7321046:1 gene:TCM_038148 transcript:EOY31163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITMADQFLSDLRSFQPTTSVKGRIVRLWESIDPNRPNTLLSLDFLATDAQLNARIWYNAILTDFIEALKSMTNIVKVRLHNKTYDIKKRDLYIEVLSGNNLKVVLYADKAEEINEDHLLDEVITSVIVLAATTVKKYMNTFYLAFCSATKIYIDPDIPKTAAFKQRREGGRICRLTPGFAADARGRRTERRNRERGAAAGARERRPSRHQIRHRLGESRLVPRRKEKFAGGEGTRPSTPPDLSPEGRADPGQLRRHRLGKPAVRVRWREIACRYSIQLIMQDSTGQMGLVTFGKVAKKLVGASITKLATLNTIHRMTLLGPVKALINQTRIFVIGLTLKAVKTRILNYKLFNCDVIANPEPPTPTSTSIVASLTPPLTIEQPEKQLFPSPISTQVVRELFSKNTPQEGSIQKTRAYVREQDSLFERGDPDPPIQQQRWDLFDSNEAFPSLRSVTLAFSRNSHAMVSSEPEELLVWVETTLAQDFYHNSEREQVRHLLEKRESTSDNHASARQRVEWPRADLFGYIIQVESGVTGTAV >EOY29662 pep chromosome:Theobroma_cacao_20110822:9:1981683:1984937:-1 gene:TCM_037141 transcript:EOY29662 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MVLTQLPRFQYLVFKGPPPNLNFFPKPKLPIKVTCPTLFSAPKSTLSTTEPIPISQFSVSEEPRVEISLDKLFVPPETEVSFDDPNLSTRILKGSNIVVSKYAREAQVLQAEFVKSSVRTEDCPSDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFRINDNWYLVDLPGYGYAAAPQELRTDWSKFTKDYFLNRSTLVSVFLLIDASITAKKIDLEYASWLGQNQIPMTLIFTKCDKRKKKKNGGKRPEENVSDFQELIRGFFQTAPPWIMTSSVTNQGRDEILLHMAQLRNYWLKH >EOY29663 pep chromosome:Theobroma_cacao_20110822:9:1982157:1984823:-1 gene:TCM_037141 transcript:EOY29663 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein isoform 2 MVLTQLPRFQYLVFKGPPPNLNFFPKPKLPIKVTCPTLFSAPKSTLSTTEPIPISQFSVSEEPRVEISLDKLFVPPETEVSFDDPNLSTRILKGSNIVVSKYAREAQVLQAEFVKSSVRTEDCPSDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGGVLEATNFCTQFYTGRFSKDLSSSSLVLSFLVFNGLPILGKTQCINHFRINDNWYLVDLPGYGYAAAPQELRTDWSKFTKDYFLNRSTLVSVFLLIDASITAKKIDLEYASWLGQNQVNFLRPITRETYMEMQPTLICQPYHLLRTAGESLLILSTDFFV >EOY31990 pep chromosome:Theobroma_cacao_20110822:9:18680379:18689002:1 gene:TCM_039376 transcript:EOY31990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMSDREKTLSKVEIALKQLSIEESRSFGDGKQVFNLMKVGIMRKKAKESRESNVNLKVKEIDYLVD >EOY34405 pep chromosome:Theobroma_cacao_20110822:9:40936923:40942324:-1 gene:TCM_042086 transcript:EOY34405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein MESKWRKAKLALGLNMCLYVPHQKLDDSSPSSSSTSSIKHSHDAANVPSRFSSDAIPLSPVSPSGNECRPTTPTPSSSGLRLSKSSSKSSKLRILYFRHVSIIFSHACPSKLQKTCAICLTAMKPGQGHAIFTAECSHSFHFHCITSNVKHGNQICPVCRAKWKEIPFQSPASDLPNGRSRISPVDWPRDDAWLTVVRRLPSPRLDSTRQISSLFHASEPGTFDDDEVLDQQVETTEENVFAKDITKINSIGAIEVKTYPEVSAVPRATCHNNFAILIHLKAPHASGGQNSRNQTVIPPTNQNSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQHLGPSDRLSVIAFSSTARRLFPLRRMTETGRQEALQAVNSLKSNGGTNIAEGLRKGAKVILDRKSKNPVGSIILLSDGQDTYTVTSPSGAHSRADYKSLLPISIHRDGGAGLRIPVHAFGFGADHDAASMHSISEISGGTFSFIEAEAVIQDAFAQCIGGLLSVVVQEACVKVECSHPNLRINSIKAGSYRTSMTADARTGSIDVGDLYAEEERDFLVTVSVPVDESSDEMSLLKVRCIYRDPISKEMVSLEEANEVKIQRATIIGQPVVSMEVDRQRNRLRAAEAMAEARAAAEHGDLTGAVSLLESCRRALSETICAQAGDRLCVALCAELKEMQERMANRHVYESSGRAYVLSGLSSHSWQRATARGDSTDSTSLVQAYQTPSMTDMVTRSQTMFFGNPPQRKLRQAQSFPARPQPR >EOY33906 pep chromosome:Theobroma_cacao_20110822:9:39305048:39305878:-1 gene:TCM_041744 transcript:EOY33906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein, putative MDKSKNPDGEIAYGAGHINPVKAIHPGLVYEAFKEDYIKLMCSLGYSPDNVKLVSGGNSSCPKRSENVPPKDLNYPSPTASVPTNKSFTVTFHRTVENVGLANSTYKAEVSPNPKLEVKVVPEILSFKALNETKSFKVTVSGGDLKSHSLLSTTLIWSDGTHIVRSPIVVHT >EOY33793 pep chromosome:Theobroma_cacao_20110822:9:39011126:39012067:1 gene:TCM_041669 transcript:EOY33793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative MYRSTFIAIRSVDKAGRKTLLIPGALCMLAAQSWCVICFFVNNYAWSSVPIGWLIASEIFPLEITFIIAQAFMTMLCYMRANIFFIFAAILSTMTIGVIAILPETKAIPLDEMVERAWMQHWFWKRFTNYLVAMTLKNKCKGSLSNRKLSALIKLYIFY >EOY33586 pep chromosome:Theobroma_cacao_20110822:9:38170816:38173031:-1 gene:TCM_041525 transcript:EOY33586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:Projected from Arabidopsis thaliana (AT4G25315) TAIR;Acc:AT4G25315] MSVEKESSTSHRRLSCSKCFDALWFCYSPVHQMQQYYRLGVLDNCSGKWNALWDCLSLKTKPSSQLQEILETRERAKSHIWTFRTPEEAQSHWKQQFGHLDDMD >EOY29447 pep chromosome:Theobroma_cacao_20110822:9:1218205:1227645:1 gene:TCM_036978 transcript:EOY29447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox domain-containing protein isoform 1 MMQRRSPPKHRHDGTSPLPLGMDWSPPPRKWNGRETVWPHDPRTGWSYCVTIPSWIFLPKSRDSDPVVFYRVQVGVQSPEGVTTTRGVLRRFNDFLKLFTDLKKTFPKKSLPPAPPKGLMRLKSRTLLEERRCSLEEWMTKLLSDIDLSRSVTVASFLELEAAARSSFQEVNDHSSEANVAGNNTISSLEIPPSSSISHLAGSSSITSDYGSDTAYETSELGTPRLGRDDSSEIGLGDLTLDEDLTGSIEKFVKYGMSNIDEGLFMGQTILEQLEDFPKHKSHTRNINNTLGKDSCNGNGSRVSFLAGNGLELFSEPEPAKMAGHTRKLSTESVGSDVSSLRGSDMSNSGIPNLSVDGSLDLPGTAEVLCTMGTLGNSVSQFSGDTQIVIPLDQRHKMNRVLLTMQRRLVTAKTDMEDLIARLNQEIAVKGYLKTKVKDLEVELESTKQKNKENLQQAILIERERFTQVQWEMEELRRKSLEMELKLNPKQDEKQITETIIRSADEEKDAMLQELNATKEQLKNISRQYEELEAKSKADIKLLVKEVKSLRKSQKQLKQEAGQSLSEKSEAEVQLQQERQFSKHVRTARENLLNECRHLHDRLLQCTVNLSADDDENLIKNYSLVEDALDLLTTSDDKITTLLAEAQLLAQEGSTAADDHYDTRIDDELRKIIADIFTDNAKLRKQVNSLIRHRLKCDIMSKNNNKELNKSSER >EOY29448 pep chromosome:Theobroma_cacao_20110822:9:1217936:1225100:1 gene:TCM_036978 transcript:EOY29448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox domain-containing protein isoform 1 MMQRRSPPKHRHDGTSPLPLGMDWSPPPRKWNGRETVWPHDPRTGWSYCVTIPSWIFLPKSRDSDPVVFYRVQVGVQSPEGVTTTRGVLRRFNDFLKLFTDLKKTFPKKSLPPAPPKGLMRLKSRTLLEERRCSLEEWMTKLLSDIDLSRSVTVASFLELEAAARSSFQEVNDHSSEANVAGNNTISSLEIPPSSSISHLAGSSSITSDYGSDTAYETSELGTPRLGRDDSSEIGLGDLTLDEDLTGSIEKFVKYGMSNIDEGLFMGQTILEQLEDFPKHKSHTRNINNTLGKDSCNGNGSRVSFLAGNGLELFSEPEPAKMAGHTRKLSTESVGSDVSSLRGSDMSNSGIPNLSVDGSLDLPGTAEVLCTMGTLGNSVSQFSGDTQIVIPLDQRHKMNRVLLTMQRRLVTAKTDMEDLIARLNQEIAVKGYLKTKVKDLEVELESTKQKNKENLQQAILIERERFTQVQWEMEELRRKSLEMELKLNPKQDEKQITETIIRSADEEKDAMLQELNATKEQLKNISRQYEELEAKSKADIKLLVKEVKSLRKSQKQLKQEAGQSLSEKSEAEVQLQQERQFSKHVRTARENLLNECRHLHDRLLQCTVNLSADDDENLIKNYSLVEDALDLLTTSDDKITTLLAEAQLLAQEGSTAADDHYDTRIDDELRKIIADIFTDNAKLRKQVNSLIRHRLKCDIMSKNNNKELNKSSER >EOY29446 pep chromosome:Theobroma_cacao_20110822:9:1217936:1225100:1 gene:TCM_036978 transcript:EOY29446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox domain-containing protein isoform 1 MMQRRSPPKHRHDGTSPLPLGMDWSPPPRKWNGRETVWPHDPRTGWSYCVTIPSWIFLPKSRDSDPVVFYRVQVGVQSPEGVTTTRGVLRRFNDFLKLFTDLKKTFPKKSLPPAPPKGLMRLKSRTLLEERRCSLEEWMTKLLSDIDLSRSVTVASFLELEAAARSSFQEVNDHSSEANVAGNNTISSLEIPPSSSISHLAGSSSITSDYGSDTAYETSELGTPRLGRDDSSEIGLGDLTLDEDLTGSIEKFVKYGMSNIDEGLFMGQTILEQLEDFPKHKSHTRNINNTLGKDSCNGNGSRVSFLAGNGLELFSEPEPAKMAGHTRKLSTESVGSDVSSLRGSDMSNSGIPNLSVDGSLDLPGTAEVLCTMGTLGNSVSQFSGDTQIVIPLDQRHKMNRVLLTMQRRLVTAKTDMEDLIARLNQEIAVKGYLKTKVKDLEVELESTKQKNKENLQQAILIERERFTQVQWEMEELRRKSLEMELKLNPKQDEKQITETIIRSADEEKDAMLQELNATKEQLKNISRQYEELEAKSKADIKLLVKEVKSLRKSQKQLKQEAGQSLSEKSEAEVQLQQERQFSKHVRTARENLLNECRHLHDRLLQCTVNLSADDDENLIKNYSLVEDALDLLTTSDDKITTLLAEAQLLAQEGSTAADDHYDTRIDDELRKIIADIFTDNAKLRKQVNSLIRHRLKCDIMSKNNNKELNKSSER >EOY30132 pep chromosome:Theobroma_cacao_20110822:9:3527257:3534328:1 gene:TCM_037450 transcript:EOY30132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec34-like family protein isoform 3 MNVGNANFLPLLKRLDECISYVENNPQYAESSVYLLKFRQLQSRALGMIRSHVLSVLKSASSQVQAAIRSSGGNKASLSEGVEASVIYVRFKAAASELKPVLEEIESRASRKEYIHVLAECHKLYCEQRLSLIKGIVHQRISEFAKKEGLPSLTRSGCAYLMQVCQLEHQLFDHFFPSSSEDVSSLAPLIDPLSTYLYDTLRPKLIHETNVDFLCELVDILKVEVLGEQLSRRSESLAGLRPTLERILADVHERLTFRARTHIRDEIANYIPSDEDLNYPAKLEQSADVKSETASPDANPDVFKTWYPPLEKTISVLSKLYRCLEPAVFTGLAQEAVEVCSVSIQKASKLIVKRSTPMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLEHLRRILRGQASLFDWSRSTSLARTLSPRVLESQVDAKKELEKSLKATCEEFIMAVTKLVVDPMLSFVTKVTAVKVALSSGTQNQKIDSVMAKPLKEQAFATPEKVAELVQKVKSAIQQELPVVMGKMKLYLQNPSTRTILFKPIKTNIVEAHVQVQSLLKAEYSPEEKRTINMVSIPELEAELDNLL >EOY30131 pep chromosome:Theobroma_cacao_20110822:9:3525840:3534328:1 gene:TCM_037450 transcript:EOY30131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec34-like family protein isoform 3 MATNPAATPPNLPKSGAISKGYNFASTWEQNAPLTDQQQGAIVMLSHAVAESPFPANLAQERTSGQDNGLSVSTKDNNFGNSEAIEAILVNTNQFYKWFTDLESAMRSETEEKYQHYVNTLTDRIQTCDDILRQVDETLDLFNELQLQHQAVATKTKTLHDACDRLVIEKQRLIEFAEALRSKLKYFDELENITSNFYSPSMNVGNANFLPLLKRLDECISYVENNPQYAESSVYLLKFRQLQSRALGMIRSHVLSVLKSASSQVQAAIRSSGGNKASLSEGVEASVIYVRFKAAASELKPVLEEIESRASRKEYIHVLAECHKLYCEQRLSLIKGIVHQRISEFAKKEGLPSLTRSGCAYLMQVCQLEHQLFDHFFPSSSEDVSSLAPLIDPLSTYLYDTLRPKLIHETNVDFLCELVDILKVEVLGEQLSRRSESLAGLRPTLERILADVHERLTFRARTHIRDEIANYIPSDEDLNYPAKLEQSADVKSETASPDANPDVFKTWYPPLEKTISVLSKLYRCLEPAVFTGLAQEAVEVCSVSIQKASKLIVKRSTPMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLEHLRRILRGQASLFDWSRSTSLARTLSPRVLESQVDAKKVTAVKVALSSGTQNQKIDSVMAKPLKEQAFATPEKVAELVQKVKSAIQQELPVVMGKMKLYLQNPSTRTILFKPIKTNIVEAHVQVQSLLKAEYSPEEKRTINMVSIPELEAELDNLL >EOY30130 pep chromosome:Theobroma_cacao_20110822:9:3525570:3534734:1 gene:TCM_037450 transcript:EOY30130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec34-like family protein isoform 3 MATNPAATPPNLPKSGAISKGYNFASTWEQNAPLTDQQQGAIVMLSHAVAESPFPANLAQERTSGQDNGLSVSTKDNNFGNSEAIEAILVNTNQFYKWFTDLESAMRSETEEKYQHYVNTLTDRIQTCDDILRQVDETLDLFNELQLQHQAVATKTKTLHDACDRLVIEKQRLIEFAEALRSKLKYFDELENITSNFYSPSMNVGNANFLPLLKRLDECISYVENNPQYAESSVYLLKFRQLQSRALGMIRSHVLSVLKSASSQVQAAIRSSGGNKASLSEGVEASVIYVRFKAAASELKPVLEEIESRASRKEYIHVLAECHKLYCEQRLSLIKGIVHQRISEFAKKEGLPSLTRSGCAYLMQVCQLEHQLFDHFFPSSSEDVSSLAPLIDPLSTYLYDTLRPKLIHETNVDFLCELVDILKVEVLGEQLSRRSESLAGLRPTLERILADVHERLTFRARTHIRDEIANYIPSDEDLNYPAKLEQSADVKSETASPDANPDVFKTWYPPLEKTISVLSKLYRCLEPAVFTGLAQEAVEVCSVSIQKASKLIVKRSTPMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLEHLRRILRGQASLFDWSRSTSLARTLSPRVLESQVDAKKELEKSLKATCEEFIMAVTKLVVDPMLSFVTKVTAVKVALSSGTQNQKIDSVMAKPLKEQAFATPEKVAELVQKVKSAIQQELPVVMGKMKLYLQNPSTRTILFKPIKTNIVEAHVQVQSLLKAEYSPEEKRTINMVSIPELEAELDNLL >EOY30133 pep chromosome:Theobroma_cacao_20110822:9:3527496:3534328:1 gene:TCM_037450 transcript:EOY30133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec34-like family protein isoform 3 MNVGNANFLPLLKRLDECISYVENNPQYAESSVYLLKFRQLQSRALGMIRSHVLSVLKSASSQVQAAIRSSGGNKASLSEGVEASVIYVRFKAAASELKPVLEEIESRASRKEYIHVLAECHKLYCEQRLSLIKGIVHQRISEFAKKEGLPSLTRSGCAYLMQVCQLEHQLFDHFFPSSSEDVSSLAPLIDPLSTYLYDTLRPKLIHETNVDFLCELVDILKVEVLGEQLSRRSESLAGLRPTLERILADVHERLTFRARTHIRDEIANYIPSDEDLNYPAKLEQSADVKSETASPDANPDVFKTWYPPLEKTISVLSKLYRCLEPAVFTGLAQEAVEVCSVSIQKASKLIVKRSTPMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLEHLRRILRGQASLFDWSRSTSLARTLSPRVLESQVDAKKVTAVKVALSSGTQNQKIDSVMAKPLKEQAFATPEKVAELVQKVKSAIQQELPVVMGKMKLYLQNPSTRTILFKPIKTNIVEAHVQVQSLLKAEYSPEEKRTINMVSIPELEAELDNLL >EOY30920 pep chromosome:Theobroma_cacao_20110822:9:6255083:6259746:-1 gene:TCM_037960 transcript:EOY30920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane domain protein isoform 1 LSTDRIKSLFVIKDCNLHTYDAVHRRPWSLIPARRLQAAHLDVRAGISVPENGKGWAIERKPSSPLVSLAAPSSIIPASRNLQVMCKAATNVSGDIPTPNGMNQYERIIEILTTLFPVWVILGTIIGIYKPAAVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPMLGFAIAMALKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLVPTVVGVLANEFFPKFTSKIISVTPLIGVILTTLLCASPLKQV >EOY30919 pep chromosome:Theobroma_cacao_20110822:9:6254659:6259869:-1 gene:TCM_037960 transcript:EOY30919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane domain protein isoform 1 MASLSRFVIKDCNLHTYDAVHRRPWSLIPARRLQAAHLDVRAGISVPENGKGWAIERKPSSPLVSLAAPSSIIPASRNLQVMCKAATNVSGDIPTPNGMNQYERIIEILTTLFPVWVILGTIIGIYKPAAVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPMLGFAIAMALKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLVPTVVGVLANEFFPKFTSKIISVTPLIGVILTTLLCASPIGQVSEVLKAQGGQLILPVALLHAAAFALGYWVSKISFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSGLAVYWRNSPIPVDDKDDFKE >EOY33810 pep chromosome:Theobroma_cacao_20110822:9:39064236:39068326:1 gene:TCM_041682 transcript:EOY33810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 1 MKKALHPSNLQSSRGIQGHDLPGKNSDKNQHKTHSVRNYFKAASRKLAGVFTGSLFRRRKKAIADINRKNNQVTRISFSTSTDRSVGSDVRSSGMFKSFDSYGSSNSVSGHIPTPDFSFEEICKATGNFSPGNKIGEGGFGTVYRGRLKDGSLVAVKRAKKDEYNQGFSLQFKNEILTLSKIEHLNLVRLLGFLEHGDVQIIVVEYVGNGNLREHLDGVRGNGLEIAERLDIAIDVAHAITYLHSYTDPPIIHRDIKASNILITEKLRAKVADFGFARLASEDPSATHISTQVKGTAGYVDPEYTRTYQLTEKSDVYSFGVVLVELMTGRYPVESKRPVKERVMIRWAMKRLKEGEFVIAMDPRLRRSPASNMVVERVLKLAHQCLAPMRQSRPSMKKCAEVLWGIRKDFKEKASSSASHHSANFPDRDAKTNRQLFGIEEDESYGFISA >EOY29909 pep chromosome:Theobroma_cacao_20110822:9:2773931:2776119:-1 gene:TCM_037293 transcript:EOY29909 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing 22-like protein MQRPKGNNCSAGSPACAACKYQRRKCTRNCLLAPFFPANHQKDFLNAHKLFGVSNILKIIRNLDPPQRLIAMKSIVFEANTRANDPVGGCYGIISDLKMQIDWVKAERDLVLHQLAICKAQTAAASQQQSGQQQMVQVGDHEANALQCLEGLTVYDAMPVHCREGEVNLVQGNYDDVGEDIKPLLPVFDDKGAGSFPFDSKVSIQCSDKLVSKEEVGSIQHELKHDLKSAASLFTLTNGKSR >EOY31558 pep chromosome:Theobroma_cacao_20110822:9:9598127:9610081:-1 gene:TCM_038484 transcript:EOY31558 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IG, 5'-nucleotidase isoform 1 MASFRRLLPLCSSLNKTSFSSPRVREGLGANVRGYSGIAPSEETISKFEEGIRRAEADLVVNDDIDKIRREFDAAKRSFLKIPEALKAMPKTNPEGIYVNRSIRLDLIQVYGFDYDFTLAHYSANLQSLIYDLAKEHMVNEFRYPEVCMSFKYDPSFPIRGLYYDKQNGCLLKLDFFGSIEPDGCFYGRRQLGEKEIEEIYGTRHIGRDQARELVGLMDFFCFSEACLIADIVQYFVDAKLEFDACYIYQDVNRAIEHVHRSGLVHRGILSDPHRYLVKNGQLLHFLRLLKEKGKKLFLLTNSPYYFVDGGMQFMLEDSTGGRDSWRELFDVVIAKANKPEFYTSERPFRCYDTEKDTLAFTKVDKFLQNKIYYHGCLKSFLQITKWNGPEVIYFGDHLFSDLRGPSKAGWRTAAIIQELESEIRMQNEDSYRFEQAKFHILQELLGRLHATVANNKRSEAYKLLMDELNEERQKARSMMKRMFNRSFGATFLTDKGQESSFAYHIHQYADVYTSKPENFLFYSPETWLHAPFDIKIMPHHVKVPSSLFKNQ >EOY31560 pep chromosome:Theobroma_cacao_20110822:9:9597137:9609797:-1 gene:TCM_038484 transcript:EOY31560 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IG, 5'-nucleotidase isoform 1 MASFRRLLPLCSSLNKTSFSSPRVREGLGANVRGYSGIAPSEETISKFEEGIRRAEADLVVNDDIDKIRREFDAAKRSFLKIPEALKAMPKTNPEGIYVNRSIRLDLIQVYGFDYDFTLAHYSANLQSLIYDLAKEHMVNEFRYPEVCMSFKYDPSFPIRGLYYDKQNGCLLKLDFFGSIEPDGCFYGRRQLGEKEIEEIYGTRHIGRDQARELVGLMDFFCFSEACLIADIVQYFVDAKLEFDACYIYQDVNRAIEHVHRSGLVHRGILSDPHRYLVKNGQLLHFLRLLKEKGKKLFLLTNSPYYFVDGGMQFMLEDSTGGRDSWRELFDVVIAKANKPEFYTSERPFRCYDTEKDTLAFTKVDKFLQNKIYYHGCLKSFLQITKWNGPEVIYFGDHLFSDLRGPSKAGWRTAAIIQELESEIRMQNEDSYRFEQAKFHILQELLGRLHATVANNKRSEAYKLLMDELNEERQKARSMMKRMFNRSFGATFLTDKGQESSFAYHIHQYADVYTSKPENFLFYSPETWLHAPFDIKIMPHHVKVPSSLFKNQ >EOY31561 pep chromosome:Theobroma_cacao_20110822:9:9602170:9609785:-1 gene:TCM_038484 transcript:EOY31561 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IG, 5'-nucleotidase isoform 1 MASFRRLLPLCSSLNKTSFSSPRVREGLGANVRGYSGIAPSEETISKFEEGIRRAEADLVVNDDIDKIRREFDAAKRSFLKIPEALKAMPKTNPEGIYVNRSIRLDLIQVYGFDYDFTLAHYSANLQSLIYDLAKEHMVNEFRYPEVCMSFKYDPSFPIRGLYYDKQNGCLLKLDFFGSIEPDGCFYGRRQLGEKEIEEIYGTRHIGRDQARELVGLMDFFCFSEACLIADIVQYFVDAKLEFDACYIYQDVNRAIEHVHRSGLVHRGILSDPHRYLVKNGQLLHFLRLLKEKGKKLFLLTNSPYYFVDGGMQFMLEDSTGGRDSWRELFDVVIAKANKPEFYTSERPFRCYDTEKDTLAFTKVDKFLQNKIYYHGCLKSFLQITKWNGPEVIYFGDHLFSDLRGPSKAGWRTAAIIQELEAKFHILQE >EOY31559 pep chromosome:Theobroma_cacao_20110822:9:9598903:9609786:-1 gene:TCM_038484 transcript:EOY31559 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IG, 5'-nucleotidase isoform 1 MASFRRLLPLCSSLNKTSFSSPRVREGLGANVRGYSGIAPSEETISKFEEGIRRAEADLVVNDDIDKIRREFDAAKRSFLKIPEALKAMPKTNPEGIYVNRSIRLDLIQVYGFDYDFTLAHYSANLQSLIYDLAKEHMVNEFRYPEVCMSFKYDPSFPIRGLYYDKQNGCLLKLDFFGSIEPDGCFYGRRQLGEKEIEEIYGTRHIGRDQARELVGLMDFFCFSEACLIADIVQYFVDAKLEFDACYIYQDVNRAIEHVHRSGLVHRGILSDPHRYLVKNGQLLHFLRLLKEKGKKLFLLTNSPYYFVDGGMQFMLEDSTGGRDSWRELFDVVIAKANKPEFYTSERPFRCYDTEKDTLAFTKVDKFLQNKIYYHGCLKSFLQITKWNGPEVIYFGDHLFSDLRGPSKAGWRTAAIIQELESEIRMQNEDSYRFEQAKFHILQELLGRLHATVANNKRSEAYKLLMDELNEERQKARSMMKRMFNRSFGATFLTDKGQESSFAYHIHQYADVYTSKPENFLFYSPETWLHAPFDIKIMPHHVKVPSSLFKNQ >EOY33151 pep chromosome:Theobroma_cacao_20110822:9:35668257:35674091:-1 gene:TCM_041134 transcript:EOY33151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase 4 MASSYSFRLSFWISICQNPLLNMLSISMLAIAFLLWFLALNSNLAMCSNFSELFHCNWAPDHIISDGDQTKLTLDNISGCGFESKKRYLFGQASVQIKLIDGDSAGTVTAFYMASEGASHDELDFEFLGNASGEPYLVQTNVYVNGTGDREQRHTLWFDPTVDFHAYSFFWNRRFIVFLVDGIPIRVFTNKEENGVLFPENQPMTIRGSVWNADDWATQGGRVKTNWSHAPFVSTFRSFIVDACELLPETEDIMAKCGKLGQFWWDKPSFSGLNRHRSHQLKWVRRKHLVYDYCQDPARFTELPRECIS >EOY33303 pep chromosome:Theobroma_cacao_20110822:9:36591359:36594467:1 gene:TCM_041260 transcript:EOY33303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum activated malate transporter family protein, putative MHMAAASSETASSGGLSRGCQWLKALRNKFNARVTEIARKTKQIGQQDPRRVYHSLKMGLALTLVSVFYYFQPVYDGFGDNAMWAVLTVVVVFEFSVGATLGKGLNRMLATLTAGALALGAHRIATLSGRTGEPILISTFVFITGSIVTFMKFIPKLKARFDYGMTIFILTFCLVSVSGYRDDQVLEMAHERVTTIIIGSCISIFVCVCIYPVWIGEDLHKLVANNMEKLGNYLEGFGDEYFKVSEEETQTNDSEPFLQAYKSVLTSKSSEETMANLARWEPGHGRFRFHHPWKNYLKIGNLTRECAYKVQALNSYLNSEIQTPIEIRSKIQESSTKISLESSKALKELASTIRKMTRTRSADPHIANSKTAAEELKTLLKTTFWEEKELLQILPAVSVASLLLEIVECAEKIAEAVYELAKEASFKRTDATFHRGVVQPAPNNIDASNHTITIAE >EOY32427 pep chromosome:Theobroma_cacao_20110822:9:29144901:29147787:-1 gene:TCM_040342 transcript:EOY32427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 11 isoform 2 MKQSKDPFEAALEEQEESPPDSPIGQDELDSQTQNQTPTGHHTLVDDDDYDEIGTNTNNPSHPSSNSTPMLLTPSVNANVASAAAASKNKEYDDDEEEENVDVELGKFPSSADPAKMAKMQAILSQFTEDQMSRYESFRRSALQKSNMRRLLVSITGSQKISLPMTIVVCGIAKMYVGELVETARMVMTERKESGPIRPCHIREAYRRLKLEGKVPKKSVQRLFH >EOY32428 pep chromosome:Theobroma_cacao_20110822:9:29144931:29146509:-1 gene:TCM_040342 transcript:EOY32428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 11 isoform 2 MKQSKDPFEAALEEQEESPPDSPIGQDELDSQTQNQTPTGHHTLVDDDDYDEIGTNTNNPSHPSSNSTPMLLTPSVNANVASAAAASKNKEYDDDEEEENVDVELGKFPSSADPAKMAKMQAILSQFTEDQMSRYESFRRSALQKSNMRRLLVSITGSQKISLPMTIVVCGIAKMYVGELVETGMCTFLQQ >EOY32429 pep chromosome:Theobroma_cacao_20110822:9:29145517:29147742:-1 gene:TCM_040342 transcript:EOY32429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 11 isoform 2 MKQSKDPFEAALEEQEESPPDSPIGQDELDSQTQNQTPTGHHTLVDDDDYDEIGTNTNNPSHPSSNSTPMLLTPSVNANVASAAAASKNKEYDDDEEEENVDVELGKFPSSADPAKMAKMQAILSQFTEDQMSRYESFRRSALQKSNMRRLLVSITGSQKISLPMTIVVCGIAK >EOY32129 pep chromosome:Theobroma_cacao_20110822:9:21656042:21661965:-1 gene:TCM_039651 transcript:EOY32129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNARVLFDPGATHSFISPCFASRLGRGRVRREEQLVVSTPLKEIFVAEWEYESCVVRVKDKDTSVNLVVLDTLDFDVILGMNWLSPCHASVDCYHKLVRFDFPGEPSFSIQGDRSNALTNLISVISARRLLRQGCIGYLAVVKDSQAKIGDVTQVSVVKEFVDVFPEELPGLPPEREVEFCIDLIPDTRPISIPPYRMAPAELKELKDQLEDLLDKGFIRPSTLMNFYNYVE >EOY33827 pep chromosome:Theobroma_cacao_20110822:9:39108053:39108633:1 gene:TCM_041689 transcript:EOY33827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVAHHLLSKFQSRAFCTLAHNGIVVLHIQWCLSLNKGLTQVFQSKTCCTNQNNSTNQYHWIFKKMLV >EOY30045 pep chromosome:Theobroma_cacao_20110822:9:3183060:3184109:-1 gene:TCM_037389 transcript:EOY30045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPIAMCRSSRSCSYEKWVAIVLTILAVVSPLYVNRKSVSEAEFEEQSMDLASWLRLLLVLLILAFAFLLYLNQRFTRSDRHWIRSSSSSSYERLVAIGLVVLGVVSPLYTNRATVSELEPDEQPINFASWLPLLLLILILAIALSLYCDRSFTRFDPNWIHRVGGSSAGILLILLVLAFVLKCKASGLN >EOY31960 pep chromosome:Theobroma_cacao_20110822:9:18174995:18182997:1 gene:TCM_039323 transcript:EOY31960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSALTWLQNVIDTLMWDYHVNILLKKNGVLSIYVKHGDNAQQGTLMPKALNEDVKFLSSDDEFGNGDSQTNENEEKAIGNVGGPTAKPSRTEQHGDYIDSSDLKSYISTSFRSEADMAQRVRLSERFCTLDRPISNFFIGQSFKDPGHFKVVLSEYSMAK >EOY29157 pep chromosome:Theobroma_cacao_20110822:9:267231:270228:-1 gene:TCM_036791 transcript:EOY29157 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 38 MEQSVGKKKSLKEMAMEGQGKEETLPPGFRFHPTDEELITYYLVNKISDASFTGRAIADVDLNKCEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSVTSELVGMKKTLVFYRGRAPRGEKTNWVMHEYRIHSKSSFRTTKQDEWVVCRVFQKSAGVKKYPSNQSRAVNPYNLEIGASVMPPAMMQAENYQFSMGRNYAELAELSRVLRGGPSTTVNLPIQSQINYPGGFTISGLNLNLGGASTQQVIRPMQPPPPPATHQQDVTATMMSATSFTAENGYGTAELNNANGPSNRFLSMDHCMDLDNYWATY >EOY32718 pep chromosome:Theobroma_cacao_20110822:9:33076766:33083251:1 gene:TCM_040745 transcript:EOY32718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3411) [Source:Projected from Arabidopsis thaliana (AT5G12470) TAIR;Acc:AT5G12470] MSIAAGLFTLTPSPSFSPLPKTTPKFSNTFPRHLPLDLSSSSSSYTTSLRHRQPPPFLAFSTLPGGDGGNINNNNNSGGGGGNNDNGRGKGGGENAGDENREEAMILLAEAGRSLESLPKDLAAAIQAGRIPGSVIERFLGLEKSGLMQWLMQFGGFKERLLADDLFLAKVAMECGVGIFTKTAAEYERRRENFFKELEIVFADVVMAIIADFMLVYLPAPTVSLRPPLAVSAGAISKFFYSCPDNAFQVALHGTSYSLLQRLGAVMRNGAKLFAVGTTSSLVGTAVTNALINARKAVDKSSAAEVENVPILSTSVAYGVYMAVSSNLRYQVLAGVIEQRILEPLLHQHKLMLSAICFAVRTGNTYLGSLLWVDYARLVGIQKAHEENTALE >EOY30799 pep chromosome:Theobroma_cacao_20110822:9:5814969:5815825:1 gene:TCM_037882 transcript:EOY30799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLRNSISNTKKFFQKTLQSFRSLFSGGGVDYQKLPKTSPYNPSSFSTTAGFDINGPTSYQQDLENFYTDFTDRWESDKGKEKKRNKKKIVSTPTEQQKEGDKGGFAHFTKTSPTKKNTTQTREGNDDQSTKTRSAKVEKRQEDPYMKSNREARSLSVAQKLKELEMMDMSNVDHVLDIEEVLHYYSRLTCPAYLDILDKFFMDMCTEFLGPPASPKSVNSRPKFRSVRA >EOY32339 pep chromosome:Theobroma_cacao_20110822:9:27535706:27538694:1 gene:TCM_040155 transcript:EOY32339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEHQLEARISALERNQEEFGHDLREMKGQIAKLMEMVKCLNRTNGIHPQEFQSLQTKPRLKQPLKEGQFVLDMTNISLSDPIPNPLARNYDLNAKCDYHMGAIEHSIEKCRQLKEKIENLIKDGSLTLELMERWKSVVP >EOY33013 pep chromosome:Theobroma_cacao_20110822:9:34967134:34972207:1 gene:TCM_041024 transcript:EOY33013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 26A isoform 3 MVALFQSQENIVGEVVIEPIQGKKVEHNGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGELYERKTYPFEFSTVEMPYESYNGVNVRLRYILKVTISRNYVSNIVEYQDFVVRNYTPPPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIIGKIYFLLVRIKLKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYRNINNKFCVKYYLNLVLVDEEDRRYFKQQEITVYRLLET >EOY33010 pep chromosome:Theobroma_cacao_20110822:9:34966632:34972211:1 gene:TCM_041024 transcript:EOY33010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 26A isoform 3 MLGKLLIQDSTKASWNYLVGAFKPPCNIFISFADGRSRKQVPLKKENGKTVMVALFQSQENIVGEVVIEPIQGKKVEHNGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGELYERKTYPFEFSTVEMPYESYNGVNVRLRYILKVTISRNYVSNIVEYQDFVVRNYTPPPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIIGKIYFLLVRIKLKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYRNINNKFCVKYYLNLVLVDEEDRRYFKQQEITVYRLLET >EOY33011 pep chromosome:Theobroma_cacao_20110822:9:34966642:34972171:1 gene:TCM_041024 transcript:EOY33011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 26A isoform 3 MNYLVGAFKPPCNIFISFADGRSRKQVPLKKENGKTVMVALFQSQENIVGEVVIEPIQGKKVEHNGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGELYERKTYPFEFSTVEMPYESYNGVNVRLRYILKVTISRNYVSNIVEYQDFVVRNYTPPPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIIGKIYFLLVRIKLKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYRNINNKFCVKYYLNLVLVDEEDRRYFKQQEITVYRLLET >EOY33012 pep chromosome:Theobroma_cacao_20110822:9:34966620:34972211:1 gene:TCM_041024 transcript:EOY33012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 26A isoform 3 MVALFQSQENIVGEVVIEPIQGKKVEHNGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGELYERKTYPFEFSTVEMPYESYNGVNVRLRYILKVTISRNYVSNIVEYQDFVVRNYTPPPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIIGKIYFLLVRIKLKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYRNINNKFCVKYYLNLVLVDEEDRRYFKQQEITVYRLLET >EOY34252 pep chromosome:Theobroma_cacao_20110822:9:40468037:40471645:1 gene:TCM_041988 transcript:EOY34252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide synthase, enoylreductase family protein isoform 1 MAVARTVVVKAVNAGLWSLQRSRSRSLQQAQTVRALSTIMSPPSKAVVYEHHGPPDSVTRVIELSPVEVKENQVCVKMLAAPINPSDINRIEGVYPVRPQVPAVGGYEGVGEVYSVGSAVKGLSAGDLVIPSPPSSGTWQTYVVKDQDMWHKISKDSPIEYAATVTVNPLTALRMLEDFVTLNSGDSIVQNGATSIVGQCVIQLARFRGIHSINIIRDRAGSEEVKERLKAFGADEVFPESQLEVKNVKGLLVSSFMDTLLFISNIPEPALGFNCVGGNAASLVLKFLRQGGTMVTYGGMSKKPITVSTSSFIFKDLSLRGFWLQKWLSADKAKECRDMVDYLLCLAQEGKLKYEMELVPFDNFHTALDKALGKLGSQPKQVIKF >EOY34253 pep chromosome:Theobroma_cacao_20110822:9:40468560:40471585:1 gene:TCM_041988 transcript:EOY34253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide synthase, enoylreductase family protein isoform 1 MLAAPINPSDINRIEGVYPVRPQVPAVGGYEGVGEVYSVGSAVKGLSAGDLVIPSPPSSGTWQTYVVKDQDMWHKISKDSPIEYAATVTVNPLTALRMLEDFVTLNSGDSIVQNGATSIVGQCVIQLARFRGIHSINIIRDRAGSEEVKERLKAFGADEVFPESQLEVKNVKGLLSNIPEPALGFNCVGGNAASLVLKFLRQGGTMVTYGGMSKKPITVSTSSFIFKDLSLRGFWLQKWLSADKAKECRDMVDYLLCLAQEGKLKYEMELVPFDNFHTALDKALGKLGSQPKQVIKF >EOY34251 pep chromosome:Theobroma_cacao_20110822:9:40468037:40471300:1 gene:TCM_041988 transcript:EOY34251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide synthase, enoylreductase family protein isoform 1 MAVARTVVVKAVNAGLWSLQRSRSRSLQQAQTVRALSTIMSPPSKAVVYEHHGPPDSVTRVIELSPVEVKENQVCVKMLAAPINPSDINRIEGVYPVRPQVPAVGGYEGVGEVYSVGSAVKGLSAGDLVIPSPPSSGTWQTYVVKDQDMWHKISKDSPIEYAATVTVNPLTALRMLEDFVTLNSGDSIVQNGATSIVGQCVIQLARFRGIHSINIIRDRAGSEEVKERLKAFGADEVFPESQLEVKNVKGLLSNIPEPALGFNCVGGNAASLVLKFLRQGGTMVTYGGMSKKPITVSTSSFIFKDLSLRGFWLQKWLSADKAKECRDMVDYLLCLAQEGKLKYEMELVPFDNFHTALDKALGKLGSQPKQVIKF >EOY30409 pep chromosome:Theobroma_cacao_20110822:9:4535000:4540252:1 gene:TCM_037633 transcript:EOY30409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory protein isoform 1 MDNRNGFSDSNEISNNSSTCCIAAATNSETLASSEPLNTPDIAALQILSRNLESVFESTDSDSLYSDAKIGLSSGREVPVHRCILSARSSVFKTVFSGLKDRGAKFELKELARDYEIGYDSLVAVLAYLYSGRVRSLPRGVCVCVDDDCSHLACRPAVDFVAEVLYAAFTFQVSELISLYQRHLLDIIDKVEMDDILVVLYVANMCGNTCERLLAKCIETLVKSDVDIVTLDKALPYHIVKQIMDSRLELGLDKPENTGFPDKHVKRIHRALDSDDVELVRMLLKEGHTNLDEASALHYAVAYCDAKTTTELLDLGLADVNRRNSRGYTVLHVAAMRKEPKIIVSLLTKGARPSDLTLDGRKAFQISKRLTRAADYYMSTEEGKASPKDRLCVEILEQAERRDPLLGEASLSLAMAGDDLRMKLLYLENRVGLAKLLFPMEAKVAMDIAKVDGTSEFTLASINSNKLNDAQRTTVDLNEAPFRIQEEHLNRLKALSRTVELGKRFFPRCSEVLNKIMDADDLSQLACGGNDTPEERLVKKQRYVELQDVLSKAFNEDKVEFDRSTISSSSSSKSIGVSRPNGKLTGSGRGG >EOY30410 pep chromosome:Theobroma_cacao_20110822:9:4535048:4537848:1 gene:TCM_037633 transcript:EOY30410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory protein isoform 1 MDNRNGFSDSNEISNNSSTCCIAAATNSETLASSEPLNTPDIAALQILSRNLESVFESTDSDSLYSDAKIGLSSGREVPVHRCILSARSSVFKTVFSGLKDRGAKFELKELARDYEIGYDSLVAVLAYLYSGRVRSLPRGVCVCVDDDCSHLACRPAVDFVAEVLYAAFTFQVSELISLYQRHLLDIIDKVEMDDILVVLYVANMCGNTCERLLAKCIETLVKSDVDIVTLDKALPYHIVKQIMDSRLELGLDKPENTGFPDKHVKRIHRALDSDDVELVRMLLKEGHTNLDEASALHYAVAYCDAKTTTELLDLGLADVNRRNSRGYTVLHVAAMRKEPKIIVSLLTKGARPSDLTLDGRKAFQISKRLTRAADYYMSTEEGKASPKDRLCVEILEQAERRDPLLGEASLSLAMAGDDLRMKLLYLENRGDAVGFNQSYLLVQQNSFIQTKSR >EOY33930 pep chromosome:Theobroma_cacao_20110822:9:39371963:39375994:-1 gene:TCM_041761 transcript:EOY33930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Highly ABA-induced PP2C gene 2 isoform 2 MCRLHATPAPPVSTSNFACQLDVTRVPLSTGYLTCGPIIPYCPLFVVMAEICCGMVSEGEASAPCEPSSRAARKRRMEIRRIKFVTDVAPSEAENGRKRQKLQIYTASLSLDCENAVDNSVSDEDGNKQKVKVKNGRSKTKGAIVKSHSSPSLLSPVTDSELYPKFGVASVCGRRRDMEDAVAIHPSFQRQGQFSAATGFHYFGVYDGHGCSHVAMRCRERLHELVKEELQGEEEEWKGAMERSFTRMDKEVIKWNEGVVGANCRCELQSPECDAVGSTAVVAIVTPDKIIVANCGDSRAVLCRNGKPVPLSSDHKPDRPDELNRIQAAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPYVSCEPEVMITDRAAEDDCLILASDGLWDVVSNDTACGVARMCLRGKGNLHAPPYSPAEGVEREAVLGSTMGEISDKACTDASMLLTKLALARHSTDNVSVVVVDLRRAT >EOY33931 pep chromosome:Theobroma_cacao_20110822:9:39371960:39374502:-1 gene:TCM_041761 transcript:EOY33931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Highly ABA-induced PP2C gene 2 isoform 2 MAEICCGMVSEGEASAPCEPSSRAARKRRMEIRRIKFVTDVAPSEAENGRKRQKLQIYTASLSLDCENAVDNSVSDEDGNKQKVKVKNGRSKTKGAIVKSHSSPSLLSPVTDSELYPKFGVASVCGRRRDMEDAVAIHPSFQRQGQFSAATGFHYFGVYDGHGCSHVAMRCRERLHELVKEELQGEEEEWKGAMERSFTRMDKEVIKWNEGVVGANCRCELQSPECDAVGSTAVVAIVTPDKIIVANCGDSRAVLCRNGKPVPLSSDHKPDRPDELNRIQAAGGRVIYWDGPRVLGVLAMSRAIGKSFGFILLPTGARLHDCTISLSYAAHLKTLSANTGDNYLKPYVSCEPEVMITDRAAEDDCLILASDGLWDVVSNDTACGVARMCLRGKGNLHAPPYSPAEGVEREAVLGSTMGEISDKACTDASMLLTKLALARHSTDNVSVVVVDLRRAT >EOY29910 pep chromosome:Theobroma_cacao_20110822:9:2777713:2779720:-1 gene:TCM_037294 transcript:EOY29910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid/sphingolipid desaturase MAESRRYISQAELEEHKKPGDLWISLQGKVYDVTQWSQDHPGGALPLQNLAGQDATDAFVAYHPGSAWQYLDKFFTGYYLKDYAVSEVSKDYRKLVGEFSKMGLFEKKGHGTCISLCFIALLFFISVYGVLCCSTTWAHLCSGGLMGFLWIQSGWMGHDSGHYQVMCNRKFNRLAQILTGNCLAGISIGWWKWNHNAHHIACNSLDFDPDLQHMPFFVVSSKFFHSLTSYFYERKMNFDSVARFLVSYQHWTYYPVMCFARINLFAQSFALLLSKRKVPNRGQEILGLLVFWTWYPLLVSCLPNWGERVMFVVASFSVTGIQHIQFCLNHFSSSVYVGPPSGNDWFEMQTAGTLDILCSSWMDWFHGGLQFQIEHHLFPRLPRCHLRKISPFVKELCKKHSLPYNSASFWKANAMTIGTLRSAALQARDLTNPVPKNLVWEAVNTHG >EOY31145 pep chromosome:Theobroma_cacao_20110822:9:7226041:7230544:-1 gene:TCM_038138 transcript:EOY31145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor serine/threonine-protein kinase MDDNNCGCWAVLKRGVCKSSVSRDSANTIPRTSLVYDAATETRYLNASNRELCPPNEAHLSSDNPDPPPSENKSPCQLLQFSFQELKSATGNFRPDSILGEGGFGYVFKGWIEENGTAPAKPGSGITVAVKSLKPDGLQGHREWVAEVDVLGQLHHPNLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRRTIPLPWSNRIKIALGAAKGLAFLHGGPEPVIYRDFKTSNILLDSEYNAKLSDFGLAKAGPRGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVAWARSYLADKRKLYQLVDPRLELHYSLKGVQKVSQLAYNCLHRDPKSRPTMDEVVKVLTPLQDLNDLAILSYQSRLSQQGRRKKKPEGSQQLSNAQSKSIRDSPLNTGKQRCR >EOY31195 pep chromosome:Theobroma_cacao_20110822:9:7479358:7484645:1 gene:TCM_038169 transcript:EOY31195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 3 MEVECINLPRMAASMFSLLLFMQLSRFFASGLEVKSKICGADHIAYSNLYGHELLYLNGNLVDKVLFCKALRLHYADDCVFEGYTGTDYCGLDLSLGGGRELLQETLKEHDKSAQKPKDKNKKGKKRATAHTVLEKDPNSMDSASALEMSVHSPPAKVPASPLRVPPSPSRFSMSPKLSRIGSVHLNMTQVARATCNFSSALQIGEGGFGTVYKAQLDSGQVVAIKRAKKEHFENLRTEFSSEVELLAKIDHRSLVKLLGYVDKGNERLIITEYVPNGTLRDHLDGQRGRILDFNQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLTESMRAKVADFGFARLGPMDSDQTHISTKVKGTVGYLDPEYMKTYQLTPKSDVYSFGILLVEILTGRRPVELRRPVEERVTLRWAFRKYNDGHVVELVDPAMGEVVDAEILVKIFALAFQCAAPVRNDRPDMKSVAEQLWAIRADYLKGSRQSDRFYCPRFGGLPFHFNWLDHLWYGNLLQLPQYRLFMLRRL >EOY32810 pep chromosome:Theobroma_cacao_20110822:9:33785099:33793480:1 gene:TCM_040835 transcript:EOY32810 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MATKLSVAFPFPLERSSKPFQRISVLALPQLNPCHGFCFSKRNSGFRGLSVNALKEEVIQSANSETTLHSQTTLPTSSKLVLVIGASGGVGQLVVASLLNRNIKSRLLLRDPEKATTLFGNQDEEKLQVFEGDTRNPADLDPSIFEGVTHVICCTGTTAFPSKRWDGDNTPERVDWEGVRNLVSALPSSLKRVVLVSSVGVTKFNELPWSIMNLFGVLKYKKKGEDFLRKSGLPFTIIRAGRLTDGPYTSYDLNTLLKATAGQRRAVLIGQGDKLVGEVSRLVVAEACIQALEIEFTEGKIYEINSVEGEGPGTDPEKWQELFKTAQA >EOY32811 pep chromosome:Theobroma_cacao_20110822:9:33785256:33793260:1 gene:TCM_040835 transcript:EOY32811 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MATKLSVAFPFPLERSSKPFQRISVLALPQLNPCHGFCFSKRNSGFRGLSVNALKEEVIQSANSETTLHSQTTLPTSSKLVLVIGASGGVGQLVVASLLNRNIKSRLLLRDPEKATTLFGNQDEEKLQVFEGDTRNPADLDPSIFEGVTHVICCTGTTAFPSKRWDGDNTPERVDWEGVRNLVSALPSSLKRVVLVSSVGVTKFNELPWSIMNLFGVLKYKKKGEDFLRKSGLPFTIIRAGRLTDGPYTSYDLNTLLKATAGQRRAVLIGQGDKLVGEVSRLVVAEACIQALEIEFTEGKIYEINSVELLYFAGGRTWY >EOY32711 pep chromosome:Theobroma_cacao_20110822:9:32997439:33004605:-1 gene:TCM_040738 transcript:EOY32711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl alcohol dehydrogenase, 82967-79323, putative isoform 2 MSIGVGKTVCVTGASGYIASCLVKLLLLRGYTVKASVRDPNDPRKTQHLLALEGAEGRLKLFKATLLEEDSYDAVVEGCEGVFHTASPFYHDVTDPQAELLDPAVKGTLNVLNSCAKTSSVKRVVLTSSMAAVAYNGKPRTPDVVVDETWFTDPDYCKGLKLWYVVSKTMAEDAAWKFAKEKGIDMVAINPAMVIGPLLQPTLNTSAAAVLSLIKETFPNATFGWVNVKDVANAHIQAFEIPSASGRYCLVERVAHYSEIVKVLQELYPSFQLPEKCADDKPYVPTYQVSKEKAKCLGIDFIPLDVSLKETVESLKEKGFVNF >EOY32710 pep chromosome:Theobroma_cacao_20110822:9:32997663:33003388:-1 gene:TCM_040738 transcript:EOY32710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl alcohol dehydrogenase, 82967-79323, putative isoform 2 MSIGVGKTVCVTGASGYIASCLVKLLLLRGYTVKASVRDPNDPRKTQHLLALEGAEGRLKLFKATLLEEDSYDAVVEGCEGVFHTASPFYHDVTDPQAELLDPAVKGTLNVLNSCAKTSSVKRVVLTSSMAAVAYNGKPRTPDVVVDETWFTDPDYCKGLKLWYVVSKTMAEDAAWKFAKEKGIDMVAINPAMVIGPLLQPTLNTSAAAVLSLIKGAETFPNATFGWVNVKDVANAHIQAFEIPSASGRYCLVERVAHYSEIVKVLQELYPSFQLPEKCADDKPYVPTYQVSKEKAKCLGIDFIPLDVSLKETVESLKEKGFVNF >EOY32713 pep chromosome:Theobroma_cacao_20110822:9:32997382:33003608:-1 gene:TCM_040738 transcript:EOY32713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl alcohol dehydrogenase, 82967-79323, putative isoform 2 MSIGVGKTVCVTGASGYIASCLVKLLLLRGYTVKASVRDPNDPRKTQHLLALEGAEGRLKLFKATLLEEDSYDAVVEGCEGVFHTASPFYHDVTDPQAELLDPAVKGTLNVLNSCAKTSSVKRVVLTSSMAAVAYNGKPRTPDVVVDETWFTDPDYCKGLKLWYVVSKTMAEDAAWKFAKEKGIDMVAINPAMVIGPLLQPTLNTSAAAVLSLIKGAETFPNATFGWVNVKDVANAHIQAFEIPSASGRYCLVERVAHYSEIVKVLQELYPSFQLPEKCQRKKQNVWV >EOY32712 pep chromosome:Theobroma_cacao_20110822:9:32997439:33003534:-1 gene:TCM_040738 transcript:EOY32712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl alcohol dehydrogenase, 82967-79323, putative isoform 2 MSIGVGKTVCVTGASGYIASCLVKLLLLRGYTVKASVRDPNDPRKTQHLLALEGAEGRLKLFKATLLEEDSYDAVVEGCEGVFHTASPFYHDVTDPQAELLDPAVKGTLNVLNSCAKTSSVKRVVLTSSMAAVAYNGKPRTPDVVVDETWFTDPDYCKGLKLWYVVSKTMAEDAAWKFAKEKGIDMVAINPAMVIGPLLQPTLNTSAAAVLSLIKGAETFPNATFGWVNVKDVANAHIQAFEIPSASGRYCLVERVAHYSEIVKVLQELCADDKPYVPTYQVSKEKAKCLGIDFIPLDVSLKETVESLKEKGFVNF >EOY32890 pep chromosome:Theobroma_cacao_20110822:9:34274373:34277277:1 gene:TCM_040907 transcript:EOY32890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A2 family protein isoform 1 MMNFGFLSIPWFGLDPKRDSELTLASTSALLEQPKQKAGLEIRLWGWTLVSVPPCAVNGNDKNRAPTTINKGLKRRARQRGAIEPPNGGTSIRFRPYVCKVPWHTGARAFLSQLFPRYGHYCGPNWSSGKDGGSLVWDRRPIDWLDFCCYCHDIGYDTHDQEKLLKADLAFLECLEKPHMSTKGDVRIAHLYRTMCTTAGLKNILIPYRRHLVKLQYGQPLIDFGWLRNVRRRNWNFQKT >EOY32893 pep chromosome:Theobroma_cacao_20110822:9:34274411:34277027:1 gene:TCM_040907 transcript:EOY32893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A2 family protein isoform 1 MMNFGFLSIPWFGLDPKRDSELTLASTSALLEQPKQKAGLEIRLWGWTLVSVPPCAVNGNDKNRAPTTINKGLKRRARQRGAIEPPNGGTSIRFRPYVCKVPWHTGARAFLSQLFPRYGHYCGPNWSSGKDGGSLVWDRRPIDWLDFCCYCHDIGYDTHDQEKLLKADLAFLECLEKPHMSTKGDVRIAHLYRTMCTTGMPSIKCALIWYIILEGKNIRKFDFYFSFFYLLIIFPCLRSAL >EOY32892 pep chromosome:Theobroma_cacao_20110822:9:34274259:34276014:1 gene:TCM_040907 transcript:EOY32892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A2 family protein isoform 1 MMNFGFLSIPWFGLDPKRDSELTLASTSALLEQPKQKAGLEIRLWGWTLVSVPPCAVNGNDKNRAPTTINKGLKRRARQRGAIEPPNGGTSIRFRPYVCKVPWHTGARAFLSQLFPRYGHYCGPNWSSGKDGGSLVWDRRPIDWLDFCCYCHDIGYDTHDQEKLLKADLAFLECLEKPHMSTKGDVRIAHLYRTMCTTVLS >EOY32889 pep chromosome:Theobroma_cacao_20110822:9:34274411:34277027:1 gene:TCM_040907 transcript:EOY32889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A2 family protein isoform 1 MMNFGFLSIPWFGLDPKRDSELTLASTSALLEQPKQKAGLEIRLWGWTLVSVPPCAVNGNDKNRAPTTINKGLKRRARQRGAIEPPNGGTSIRFRPYVCKVPWHTGARAFLSQLFPRYGHYCGPNWSSGKDGGSLVWDRRPIDWLDFCCYCHDIGYDTHDQEKLLKADLAFLECLEKPHMSTKGDVRIAHLYRTMCTTGLKNILIPYRRHLVKLQYGQPLIDFGWLRNVRRRNWNFQKT >EOY32891 pep chromosome:Theobroma_cacao_20110822:9:34274261:34277327:1 gene:TCM_040907 transcript:EOY32891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A2 family protein isoform 1 MMNFGFLSIPWFGLDPKRDSELTLASTSALLEQPKQKAGLEIRLWGWTLVSVPPCAVNGNDKNRAPTTINKGLKRRARQRGAIEPPNGGTSIRFRPYVCKVPWHTGARAFLSQLFPRYGHYCGPNWSSGKDGGSLVWDRRPIDWLDFCCYCHDIGYDTHDQEKLLKADLAFLECLEKPHMSTKGDVRIAHLYRTMCTTGLKNILIPYRRHLVKLQYGQPLIDFGWLRNVRRRNWNFQKT >EOY34745 pep chromosome:Theobroma_cacao_20110822:9:41992957:41993385:-1 gene:TCM_042325 transcript:EOY34745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTPEAKILRAMQLMTDNRIRHIPVIVEKGMVGMGRFLEDDEILCVSPVMPHTCRT >EOY32248 pep chromosome:Theobroma_cacao_20110822:9:24537749:24540143:-1 gene:TCM_039895 transcript:EOY32248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVSHLAFANDVIIFYNGAKSSLQRILSFLQEYEGLQIGPKLISWHKPLIGEFKLNADGSSKDAFQNAAGGGLLRDHTGNLIFGFSENFGPANLLQAKLMALHRGLFLCIEYNISSIWIEMDAKIVVQMIHEGHQGSYQTRYLLAFIRKCLSGFTFRFSHIHREGNQAADYLFNQGHMHHNLQVFAQAEGKLRGILRLGKLNLPYV >EOY29305 pep chromosome:Theobroma_cacao_20110822:9:701489:704480:1 gene:TCM_036888 transcript:EOY29305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MVEEEDTEACSKKALEEDNEPKGLCYCFWGPMYWFKMLAVEMHWSFVFGVVSVYGINQGFGGALARIGTEYYMKDVQKVQPSEAQVYAGITSIPWMIKPIWGLLTDVLPIMGYRRRPYFILSGLLGVVSMLLISLLSKLHLVFALLALTAGNTAVAVADVTVDACVAQNSITHPSRAADMQSLCASSYSVGGLLGFSISGVFVHLIGPKGVFGLLTVPAALVSLVGILLSEPQVSNFAYSEVNQKFLDAAKAMWTTLKCPDVWRPCLYMYLSSAVSLNINEGLFYWYTDSKDGPSFSKETVGYIFSMGAIGALLGAILYQNLLKDHHLRDMLFWTQLLFGLGGMLDLMLVQRMNLKFGIPDYIFVVIGEAVSQMISRLKWMPLLVLSSKLCPVGIEGTFFALLMSIDNIGGLTSTWGGGLLLHLLNVTRTKFDYLWLAVLIRNVLRVSPLCLLFLVPRGDPNSFVLPTELLSSKEETETQEPDNMELVSLVNSVDGR >EOY33484 pep chromosome:Theobroma_cacao_20110822:9:37773435:37778775:1 gene:TCM_041457 transcript:EOY33484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jojoba acyl CoA reductase-related male sterility protein, putative MRWGLDEADFPFHCSEDLWPQKRKLYDGKCNNLESGNRSVIPMESGSVLQFLDNKSIFVIGATGFLAKIFVEKILRVQPNVKKLYLLLRAEDSKSATQRFHNEIIGKELFKVLKEKWGNNFNSFISEKIAVVPGDISHEDLGLKNSKLEKELRREVDVVVNSAATTNFDERYDVALGLNVLGAKHVLDFAKKCAKLKVFVHVSTAYVAGEKSGLILESSFSMGKTLNGVSGLDINVEMKVAEEELKQLQAQGASEKEITLVMKDLGAERARLFGWPNTYVFTKAMGEMLVGNFKGDLPLVIVRPTIVTSTFKEPFPGWIEGLRTIDSVIVGLGKGKMTCFLGNPKVTIDLIPADMVINAMIVTMMAHANQSCDDAIYHVGSSLRNPMNSLNVHNFSYHYFTKNPLIDRNGKPTKTRKLLILSTMSRFRLYMKIRYSLPLKGLYLLSKLCPRYFTKVYNINDHKIKSVMRLAELYRPYVFFKGIFDDINLERLRMVAKESGMDLEVFNFDPRFIEWEEYFTKIHIPGLTRHVIRC >EOY32631 pep chromosome:Theobroma_cacao_20110822:9:32232641:32235990:-1 gene:TCM_040643 transcript:EOY32631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich extensin-like family protein [Source:Projected from Arabidopsis thaliana (AT1G26250) TAIR;Acc:AT1G26250] MAKIWPHLAYAWALWLLINNAAADDEPYNAPPPYYQEKPPPYYYKSPNLPPYMYMSPPPPPYKYKSPPPPPYVYKSPPPPPYVYKSPPPPPYKYESPPPPPYKYESPPPPPYKYESPPPPPYKYESPPPPPYKYESPPPPPYKYESPPPPPYMYKSPPPPPYVYKSPPPPPYIYKSPPPPPYVYESPPPPPYIYKSPPPPPYVYKSPPPPPYEYKSPPPYIYKSPPPPPYIYKSPPPPPYVYKSPPPPPYEYKSPPPPPYIYKSPPPPPYIYKSPPPPPYIYKSPPPPPYVYKSPPPPPYEYNSPPPLPYIYKSPPPPPYMYKSPPPPPYVYTSPPPPPYIYKSPPPPYYK >EOY30509 pep chromosome:Theobroma_cacao_20110822:9:4826832:4829495:-1 gene:TCM_037695 transcript:EOY30509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Grave disease carrier protein, putative MEKKGEERELGMFFDGIIESMPLFAKQFIAGGVAGGLAKTVVAPLERVKILLQTRRGEFHSIGIFGSIKKIATTEGILGFYRGNGASVARIVPYAALHYMAYEQYRRWIINGFPDFGRGPVLDLVAGSFAGGTAVLFTYPLDLIRTKLAYQVVGPPKINVKGVVSTEQIYRGILDCFSKTYKGSGLRGLYRGVAPSLYGIFPYAGLKFYFYEEMKRHVPGEQKKKIMVNLVCGSVAGLLGQTFTYPLDVVRRQMQVQRVSASNNPELKGTMGSLIMIAQNQGWKQLFSGLSINYLKVVPSTAIGFTVYEIMKSSLRVPSHDEAVIEVVTNKRNLHS >EOY34257 pep chromosome:Theobroma_cacao_20110822:9:40482821:40483682:-1 gene:TCM_041991 transcript:EOY34257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEFNASSNTKKQKQTLPPRRGQVKIRIFKSFLKSVSSIASMAKAMPRKREESGPDVSSNSTPAAPTPTSYNSD >EOY33890 pep chromosome:Theobroma_cacao_20110822:9:39275377:39277746:-1 gene:TCM_041732 transcript:EOY33890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMAVDLNNSELLLPSQFFTPQDLHGLSLDNSNSSSNKPINQNAFYYVFGSTDSGSEFSSPIGSELSSSSTESSEEEDDYMGELTRQMAQYMLQDEDKHEKSWGLAGSPESTLWSLLWSNLDSPAGPSREPSPPLKPMGGNFEKMKINEETARYNQGERFISTSTSIQVSRSNPYARFQSKRTLIDDQLRAIQFHRLKQEQAMKQMEQKPRVKHYQSKGRVFSDNGQKAATNSNNPWYTRQQQQQQQTNQQAGSDMRAVFLNASGSRNGSCGTGVFLPRGIGTPCESRKKQGCATVLIPARVLQALKLHFEKTGVPSRFDSGFPLEHDASVSGRNSMYSQQKRQSRTVPALNHQDVGLPQEWTY >EOY33892 pep chromosome:Theobroma_cacao_20110822:9:39276053:39278073:-1 gene:TCM_041732 transcript:EOY33892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMAVDLNNSELLLPSQFFTPQDLHGLSLDNSNSSSNKPINQNAFYYVFGSTDSGSEFSSPIGSELSSSSTESSEEEDDYMGELTRQMAQYMLQDEDKHEKSWGLAGSPESTLWSLLWSNLDSPAGPSREPSPPLKPMGGNFEKMKINEETARYNQGERFISTSTSIQVSRSNPYARFQSKRTLIDDQLRAIQFHRLKQEQAMKQMEQKPRVKHYQSKGRVFSDNGQKAATNSNNPWYTRQQQQQQQTNQQAGSDMRAVFLNASGSRNGSCGTGVFLPRGIGTP >EOY33891 pep chromosome:Theobroma_cacao_20110822:9:39276059:39278158:-1 gene:TCM_041732 transcript:EOY33891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MMAVDLNNSELLLPSQFFTPQDLHGLSLDNSNSSSNKPINQNAFYYVFGSTDSGSEFSSPIGSELSSSSTESSEEEDDYMGELTRQMAQYMLQDEDKHEKSWGLAGSPESTLWSLLWSNLDSPAGPSREPSPPLKPMGGNFEKMKINEETARYNQGERFISTSTSIQVSRSNPYARFQSKRTLIDDQLRAIQFHRLKQEQAMKQMEQKPRVKHYQSKGRVFSDNGQKAATNSNNPWYTRQQQQQQQTNQQAGSDMRAVFLNASGSRNGSCGTGVFLPRGIGTPCESRKKQVSKI >EOY32497 pep chromosome:Theobroma_cacao_20110822:9:30409125:30409712:1 gene:TCM_040453 transcript:EOY32497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRSVLPDTCFHDNYSIVMETACMIDQEAKRSRVVAVVLGFEGFSGVACSSSFKYTQREHVVAAFILPKMCICLYCIRKQCFLPNGHK >EOY30114 pep chromosome:Theobroma_cacao_20110822:9:3428426:3435611:-1 gene:TCM_037435 transcript:EOY30114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLCLKAEALDEDHHPQSPITNSTNENENCNGGNNGGFILPINWASSINRYLQWKSGKVWSRSFSAGDDPCNSCSELQDTKPQERSGQGKSQQMCHYKYQLEQDVKKLQQQLQEETDLHLALASAVEHSGSPSPNSPSKLPDKVQELLDSIAVLEITVSKLEQEFVSLQYQLSQERNERRLAEYRFKHLPCAAPSVFDSSLAYLTEPIARHCNEEEAEENMDDMPLPQAVIDKDHFVENLWHHPNQLSEEMVLRMRDIFIFLADSSKLSSAQYLVSQASPHCHLANFLESFSDSSIATSLVKSPSRGGAYDPYGVSSKVDWTSCIGAYSAAVEVSWLSVGKKELEYAAMALERFRLLVEQLARVNPSQMSCSEKLAFWVNLYNALIMHAYLAYGVPRNDIKLFSLMQKAAYIVGGLSVSAADIECTILKMNPAAYRPQIAGFLALHKFKASDEQQKYSIDHPEPLLYFALSCGLHSSPAVRIFKPGNVNELLKRSLKDYIQASVGISNKGKLLVPKLLHSFAKGVVEDSLLPDWICKFLSPQQASMVRDCLSRNKWRILGARSFSVQPFDSRFRFLFLLDNMNSFTSPPNS >EOY30115 pep chromosome:Theobroma_cacao_20110822:9:3431563:3435611:-1 gene:TCM_037435 transcript:EOY30115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLCLKAEALDEDHHPQSPITNSTNENENCNGGNNGGFILPINWASSINRYLQWKSGKVWSRSFSAGDDPCNSCSELQDTKPQERSGQGKSQQMCHYKYQLEQDVKKLQQQLQEETDLHLALASAVEHSGSPSPNSPSKLPDKVQELLDSIAVLEITVSKLEQEFVSLQYQLSQERNERRLAEYRFKHLPCAAPSVFDSSLAYLTEPIARHCNEEEAEENMDDMPLPQAVIDKDHFVENLWHHPNQLSEEMVLRMRDIFIFLADSSKLSSAQYLVSQASPHCHLANFLESFSDSSIATSLVKSPSRGGAYDPYGVSSKVDWTSCIGAYSAAVEVSWLSVGKKELEYAAMALERFRLLVEQLARVNPSQMSCSEKLAFWVNLYNALIMHAYLAYGVPRNDIKLFSLMQKAAYIVGGLSVSAADIECTILKMNPAAYRPQIVCITSPFMCSYWPVEKDLLMMQYS >EOY30113 pep chromosome:Theobroma_cacao_20110822:9:3430541:3435611:-1 gene:TCM_037435 transcript:EOY30113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLCLKAEALDEDHHPQSPITNSTNENENCNGGNNGGFILPINWASSINRYLQWKSGKVWSRSFSAGDDPCNSCSELQDTKPQERSGQGKSQQMCHYKYQLEQDVKKLQQQLQEETDLHLALASAVEHSGSPSPNSPSKLPDKVQELLDSIAVLEITVSKLEQEFVSLQYQLSQERNERRLAEYRFKHLPCAAPSVFDSSLAYLTEPIARHCNEEEAEENMDDMPLPQAVIDKDHFVENLWHHPNQLSEEMVLRMRDIFIFLADSSKLSSAQYLVSQASPHCHLANFLESFSDSSIATSLVKSPSRGGAYDPYGVSSKVDWTSCIGAYSAAVEVSWLSVGKKELEYAAMALERFRLLVEQLARVNPSQMSCSEKLAFWVNLYNALIMHAYLAYGVPRNDIKLFSLMQKAAYIVGGLSVSAADIECTILKMNPAAYRPQIAGFLALHKFKASDEQQKYSIDHPEPLLYFALSCGLHSSPAVRIFKPGNVNELLKRSLKDYIQASVGISNKGKLLVPKLLHSFAKGVVEDSLLPDWICKFLSPQQASMVRDCLSRNKWRILGARSFSVQPFDSRFRFLFLLDNMNSFTSPPNS >EOY32194 pep chromosome:Theobroma_cacao_20110822:9:22952129:22973439:1 gene:TCM_039791 transcript:EOY32194 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALG6, ALG8 glycosyltransferase family isoform 2 MAKHESRSMSIAQLLWYMGIATTIKLLVIPSYHSTDLEVHRHWLAITHSLPLSQWYFDETSQWTLDYPPFFAYFQRFLSVFAHLVDPQIVHLHHGLNYKASSAIYFQRISVIVSDLFFMYGVYRCTSNLPSLKRNLVWILAVWSPGLVIVDHLHFQYNGFLFGWLLLSISFLAQGRDLMGGFLFAVLLCFKHLFAVAAPVYFVYLLRHYCRGGLVKGFARLSVMGAVVVAVFAAAYGPFVYHGQIQQVIRRMFPFGRGLCHAYWAPNFWVFYIMLDKGIAFLLTKFGFNIPSPAASFTGGLVGDASPFVILPQITPMTTFILVLLALSPCLIKTWMDPRPRLITRSVAYAYTCGFLFGWHVHEKASLHFVIPLAIVAVESMEDAKHYFMLSIVSSYSLFPLLYEAQEYPIKVLLLLLHSILIWFCFSAQFTKNKAMKSTLQADKKAAQFELKGSSRTAAVNGGFVLGWLAKSYLFGVLVVEIWGQFLHPYLLGDKFPFVPLMLISIYCALGILYSWIWQLTQIMILS >EOY32195 pep chromosome:Theobroma_cacao_20110822:9:22969748:22972765:1 gene:TCM_039791 transcript:EOY32195 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALG6, ALG8 glycosyltransferase family isoform 2 MYGVYRCTSNLPSLKRNLVWILAVWSPGLVIVDHLHFQYNGFLFGWLLLSISFLAQGRDLMGGFLFAVLLCFKHLFAVAAPVYFVYLLRHYCRGGLVKGFARLSAAAYGPGLCHAYWAPNFWVFYIMLDKGIAFLLTKFGFNIPSPAASFTGGLVGDASPFVILPQITPMTTFILVLLALSPCLIKTWMDPRPRLITRSVAYAYTCGFLFGWHVHEKASLHFVIPLAIVAVESMEDAKHYFMLSIVSSYSLFPLLYEAQEYPIKVLLLLLHSILIWFCFSAQFTKNKAMKSTLQADKKAAQFELKGSSRTAAVNGGFVLGWLAKSYLFGVLVVEIWGQFLHPYLLGDKFPFVPLMLISIYCALGILYSWIWQLTQIMILS >EOY29575 pep chromosome:Theobroma_cacao_20110822:9:1620094:1626893:-1 gene:TCM_037073 transcript:EOY29575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRYFLFIIEGLAAGKFLMQVTVIQSVDFSLIITLFGIGFGREQTVFLICTG >EOY31034 pep chromosome:Theobroma_cacao_20110822:9:6726210:6730224:1 gene:TCM_038058 transcript:EOY31034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ isoform 2 MDGNKDDALKCLKIGKEALDAGDRARALKFLNKARRLDPTLPIDNLLSAAAEKDSDDRPAPESPGSTKDPSGASHSKPSDQPSIRQRNPSTGSAASSSSSASYTEEQITIVKQIKKKKDYYDILGLEKTCSVEDVRKAYRKLSLKVHPDKNKAPGAEEAFKLVSKAFQCLSNEESRKKYDLVGSDEPVYERRASAYRGGGGNGFNGFYDTDFDADEIFRNFFFGGMPPATTQFRSFNFGPGMGARMGDHGSTGFNIRMLIQLLPVLVILLLNFLPSSEPVYSVSRSYPYEYKFTTQKGVNYYVRSTKFEQDYPLNSPERIKIEERVERDYYSVLAQNCRFELQRQQWGFIRETPHCDLLQKFQSAA >EOY31035 pep chromosome:Theobroma_cacao_20110822:9:6726801:6730500:1 gene:TCM_038058 transcript:EOY31035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ isoform 2 MDGNKDDALKCLKIGKEALDAGDRARALKFLNKARRLDPTLPIDNLLSAAAEKDSDDRPAPESPGSTKDPSGASHSKPSDQPSIRQRNPSTGSAASSSSSASYTEEQITIVKQIKKKKDYYDILGLEKTCSVEDVRKAYRKLSLKVHPDKNKAPGAEEAFKLVSKAFQCLSNEESRKKYDLVGSDEPVYERRASAYRGGGGNGFNGFYDTDFDADEIFRNFFFGGMPPATTQFRSFNFGPGMGARMGDHGSTGFNIRMLIQLLPVLVGH >EOY31803 pep chromosome:Theobroma_cacao_20110822:9:14168641:14182039:1 gene:TCM_038941 transcript:EOY31803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglycosylase 6 MLPQSIQPPMVTAKIIFCSQAHTTRTYIKKMAAFHSPSSASARVVLLLLINFLVAAFADNFYQNCDTVWGDGRAQIHDGGNLLTLSLDKTSGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGETWDEIDFEFLGNVSGQPYILHTNVFCQGKGNREQQFYLWFDPTADFHNYSILWNPQRILFYVDGIPIREFKNLEFLGVPFPNKQKMRLYSTLWNADDWATRGGLVKADWSQAPFKASYRNFNADACVWSSGSSYCRPNKNAWFWEELDFAKKGQMRWVQDNYMVYNYCTDTKRFPEGLPTECAFANIL >EOY29735 pep chromosome:Theobroma_cacao_20110822:9:2196165:2199607:-1 gene:TCM_037184 transcript:EOY29735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid 9,10(9',10')-cleavage dioxygenase 1, putative isoform 1 MLCILAKALMGAGLLFITTDPLKQKHSSWKNNGTSRCFFLNMLRFGKINKQISNTNVFEHAGEFYSIAENHEPQEINIVTLETLHDWDVNGAWNRPFSSHPKKAPGTGELVIMGINATKPFVEVGVISADGKKLLHRADLKLNRCSLCHEIGVTERYNVFMDHPLSIDLNRLVCGGQLVKYEKEGDARIGIMPRYGDEDSIQWFKVKPNCTFHLFNCFENGDEVVIWGCRALDSLIPGPDQGKNKFDWFSEKFRSVKSTAEGSADAVPEEQLVFPRPYEWRMNMRTGDIKERNLAGTEFPMDFPLINGDFTGVKNKYGYCQVRDCIASSASGMAKYGGLAKLYFEEQNTGFSLGENQEKGLIKVEYHMFGKNTFGTGAAFVPKEGGAEEDDGWVITFAHNEDTNISQVLVIEAKNFSSEPVAKITLPFRVPYGFHGAFAPMQLPNETMRIVPSLNPKISESISWPLASAVRPQ >EOY29734 pep chromosome:Theobroma_cacao_20110822:9:2195482:2200073:-1 gene:TCM_037184 transcript:EOY29734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid 9,10(9',10')-cleavage dioxygenase 1, putative isoform 1 MASSRLAVPLHCSVQRPSFSRNFDHFKASLSSAFKPLLRQIQQLPLRVEVDVSKAVKNTSVKLLDAFVDSVFEFVDQPLLPSQSNFAPVDELKEAVRVTNIQGEIPDVFPEGVYIRNGPNPIFGALTSTISMFGRSNHIWVEGEGMLHALYFSKGLDGSWAVVYNNRPVETETFKLEKQRNKPLFLPAIEGDSPAVLSAYLLNMLRFGKINKQISNTNVFEHAGEFYSIAENHEPQEINIVTLETLHDWDVNGAWNRPFSSHPKKAPGTGELVIMGINATKPFVEVGVISADGKKLLHRADLKLNRCSLCHEIGVTERLVKYEKEGDARIGIMPRYGDEDSIQWFKVKPNCTFHLFNCFENGDEVVIWGCRALDSLIPGPDQGKNKFDWFSEKFRSVKSTAEGSADAVPEEQLVFPRPYEWRMNMRTGDIKERNLAGTEFPMDFPLINGDFTGVKNKYGYCQVRDCIASSASGMAKYGGLAKLYFEEQNTGFSLGENQEKGLIKVEYHMFGKNTFGTGAAFVPKEGGAEEDDGWVITFAHNEDTNISQVLVIEAKNFSSEPVAKITLPFRVPYGFHGAFAPMQLPNETMRIVPSLNPKISERPLASAVRPQGV >EOY29733 pep chromosome:Theobroma_cacao_20110822:9:2196157:2199966:-1 gene:TCM_037184 transcript:EOY29733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid 9,10(9',10')-cleavage dioxygenase 1, putative isoform 1 MASSRLAVPLHCSVQRPSFSRNFDHFKASLSSAFKPLLRQIQQLPLRVEVDVSKAVKNTSVKLLDAFVDSVFEFVDQPLLPSQSNFAPVDELKEAVRVTNIQGEIPDVFPEGVYIRNGPNPIFGALTSTISMFGRSNHIWVEGEGMLHALYFSKGLDGSWAVVYNNRPVETETFKLEKQRNKPLFLPAIEGDSPAVLSAYLLNMLRFGKINKQISNTNVFEHAGEFYSIAENHEPQEINIVTLETLHDWDVNGAWNRPFSSHPKKAPGTGELVIMGINATKPFVEVGVISADGKKLLHRADLKLNRCSLCHEIGVTERYNVFMDHPLSIDLNRLVCGGQLVKYEKEGDARIGIMPRYGDEDSIQWFKVKPNCTFHLFNCFENGDEVVIWGCRALDSLIPGPDQGKNKFDWFSEKFRSVKSTAEGSADAVPEEQLVFPRPYEWRMNMRTGDIKERNLAGTEFPMDFPLINGDFTGVKNKYGYCQVRDCIASSASGMAKYGGLAKLYFEEQNTGFSLGENQEKGLIKVEYHMFGKNTFGTGAAFVPKEGGAEEDDGWVITFAHNEDTNISQVLVIEAKNFSSEPVAKITLPFRVPYGFHGAFAPMQLPNETMRIVPSLNPKISERPLASAVRPQGV >EOY29745 pep chromosome:Theobroma_cacao_20110822:9:2230918:2233973:1 gene:TCM_037190 transcript:EOY29745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MGSFFVTWMGVFRLLCQAESRRLLLLMAITFALVLAVQYFELPYTEVFTSLFAAGKNGRFPTGGSSSKSGMVDNVTLSNGLNSTHNYADNDTENGTAVLNIDKETAQGNESEENDRDLKNVYVSESNAGSNNSFGLLFNGSSSDTPIAPSISSTLENGDNVVNGPVLHAAPEQNVTQDYNPSSSSGSSGRYFAAPASPPLNSPSILPDTKLRSNMSSVNASSVGKNTTILPEKDKDPNFLISTPLSGNVYSENTVPAVRKNGSKKPKKKSKKQPQIFVSISEMNDLLLQSHTSPHSVAPPLSSKVQQEVIFAKSQIVHAPVMTNASGLHPSLYRNVSMFKRLGCTAILCGSNMLKGLHLPRGRKIIFHQGILEDMIAAKHPFWNRTGGADHFLVACHDWAPADTRGRLLNSIRALCNADIGVGFTIGKDVSLPET >EOY29421 pep chromosome:Theobroma_cacao_20110822:9:1135332:1136468:-1 gene:TCM_036965 transcript:EOY29421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKPKSPRNRSRKRMEPNKPIGSCQSSTIRSSPVITTIEEAISCHHYQSLTNLRKIVDPNILEKQGDNCLLIKGNSLRGFSTVSFAYAWDPPFLLSPAGSIIEPC >EOY33223 pep chromosome:Theobroma_cacao_20110822:9:35971597:35981789:1 gene:TCM_041174 transcript:EOY33223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 8 isoform 3 MVGLPSVDSSDSTGRVDSDNIINDNNNSDQRVYFVPFRWWKDAQDSTSGESDVKRGILYTATPGTSYAGPMKLINNIFNSDLAFNLRKEEDSLHNGENGEVGVSGRDYALVPGEMWLQALKWHSDAKVAAKGGKSFSAAEDDMADVYPLQLRLSVPRETNSLGVKISKKDNAVELFRRACKIFSVDSEQLRIWDFSGQTTLYFVNDRNRFLKDCQRQSDQEILLELQVYGLSDSMKGREGKKDEMSGQHPANCSSGASVMINGCIGTANSTSFGTNASTFCGRSGEAGSLGLTGLQNLGNTCFMNSAIQCLAHTPKMIDYFLGDYCREINHDNPLGMNGEIASAFGDLLRKLWAPGATPVAPRTFKAKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKSKPYVEVRDGEGRQDEEVANEYWQNHLARNDSIIVDVCQGQFKSTLVCPECKKVSITFDPFMYLSLPLPSTTLRTMTVTVISTDGTSQPSPFTITVPKSGRFEDLIQALSVACSLGANETLLVAEIYNNRIIRFLEEPADSLSLIRDDDRLVAYQLNKDMVKAPSVVFMHQQMEEQYIHGKLTSSWKTFGIPLVARLSNVVNGSDIHGLYLKLLNPFQIRAEEVLDDCDTSESTAVEDISQKEHGSSPVSNGFEKHPDANGVVSPSECELQFYLTDEKGIVKESQIIMGETVPAAGVSGRLHVLASWPEKYVKEYDTQLLSSLPQIFKSCFFTKRPQESVSLYKCLQAFLMEEPLGPEDMWYCPGCKEHRQASKKLDLWRLPEILVIHLKRFSYSRFLKNKLETFVDFPIDDLDLSNYIAYRNGELSNRYMLYAVSNHYGSMGGGHYTAFVHHGGGRWYEFDDSHVYPIGLEKIKTSAAYLLFYRRVVE >EOY33222 pep chromosome:Theobroma_cacao_20110822:9:35971198:35981979:1 gene:TCM_041174 transcript:EOY33222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 8 isoform 3 MVGLPSVDSSDSTGRVDSDNIINDNNNSDQRVYFVPFSFGSIGFLLKYVALFGFFKNWVLKWWKDAQDSTSGESDVKRGILYTATPGTSYAGPMKLINNIFNSDLAFNLRKEEDSLHNGENGEVGVSGRDYALVPGEMWLQALKWHSDAKVAAKGGKSFSAAEDDMADVYPLQLRLSVPRETNSLGVKISKKDNAVELFRRACKIFSVDSEQLRIWDFSGQTTLYFVNDRNRFLKDCQRQSDQEILLELQVYGLSDSMKGREGKKDEMSGQHPANCSSGASVMINGCIGTANSTSFGTNASTFCGRSGEAGSLGLTGLQNLGNTCFMNSAIQCLAHTPKMIDYFLGDYCREINHDNPLGMNGEIASAFGDLLRKLWAPGATPVAPRTFKAKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKSKPYVEVRDGEGRQDEEVANEYWQNHLARNDSIIVDVCQGQFKSTLVCPECKKVSITFDPFMYLSLPLPSTTLRTMTVTVISTDGTSQPSPFTITVPKSGRFEDLIQALSVACSLGANETLLVAEIYNNRIIRFLEEPADSLSLIRDDDRLVAYQLNKDMVKAPSVVFMHQQMEEQYIHGKLTSSWKTFGIPLVARLSNVVNGSDIHGLYLKLLNPFQIRAEEVLDDCDTSESTAVEDISQKEHGSSPVSNGFEKHPDANGVVSPSECELQFYLTDEKGIVKESQIIMGETVPAAGVSGRLHVLASWPEKYVKEYDTQLLSSLPQIFKSCFFTKRPQESVSLYKCLQAFLMEEPLGPEDMWYCPGCKEHRQASKKLDLWRLPEILVIHLKRFSYSRFLKNKLETFVDFPIDDLDLSNYIAYRNGELSNRYMLYAVSNHYGSMGGGHYTAFVHHGGGRWYEFDDSHVYPIGLEKIKTSAAYLLFYRRVVE >EOY33225 pep chromosome:Theobroma_cacao_20110822:9:35975913:35982349:1 gene:TCM_041174 transcript:EOY33225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 8 isoform 3 MKGREGKKDEMSGQHPANCSSGASVMINGCIGTANSTSFGTNASTFCGRSGEAGSLGLTGLQNLGNTCFMNSAIQCLAHTPKMIDYFLGDYCREINHDNPLGMNGEIASAFGDLLRKLWAPGATPVAPRTFKAKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKSKPYVEVRDGEGRQDEEVANEYWQNHLARNDSIIVDVCQGQFKSTLVCPECKKVSITFDPFMYLSLPLPSTTLRTMTVTVISTDGTSQPSPFTITVPKSGRFEDLIQALSVACSLGANETLLVAEIYNNRIIRFLEEPADSLSLIRDDDRLVAYQLNKDMVKAPSVVFMHQQMEEQYIHGKLTSSWKTFGIPLVARLSNVVNGSDIHGLYLKLLNPFQIRAEEVLDDCDTSESTAVEDISQKEHGSSPVSNGFEKHPDANGVVSPSECELQFYLTDEKGIVKESQIIMGETVPAAGVSGRLHVLASWPEKYVKEYDTQLLSSLPQIFKSCFFTKRPQESVSLYKCLQAFLMEEPLGPEDMWYCPGCKEHRQASKKLDLWRLPEILVIHLKRFSYSRFLKNKLETFVDFPIDDLDLSNYIAYRNGELSNRYMLYAVSNHYGSMGGGHYTAFVHHGGGRWYEFDDSHVYPIGLEKIKTSAAYLLFYRRVVE >EOY33224 pep chromosome:Theobroma_cacao_20110822:9:35975913:35982349:1 gene:TCM_041174 transcript:EOY33224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 8 isoform 3 MKGREGKKDEMSGQHPANCSSGASVMINGCIGTANSTSFGTNASTFCGRSGEAGSLGLTGLQNLGNTCFMNSAIQCLAHTPKMIDYFLGDYCREINHDNPLGMNGEIASAFGDLLRKLWAPGATPVAPRTFKAKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKSKPYVEVRDGEGRQDEEVANEYWQNHLARNDSIIVDVCQGQFKSTLVCPECKKVSITFDPFMYLSLPLPSTTLRTMTVTVISTDGTSQPSPFTITVPKSGRFEDLIQALSVACSLGANETLLVAEIYNNRIIRFLEEPADSLSLIRDDDRLVAYQLNKDMVKAPSVVFMHQQMEEQYIHGKLTSSWKTFGIPLVARLSNVVNGSDIHGLYLKLLNPFQIRAEEVLDDCDTSESTAVEDISQKEHGSSPVSNGFEKHPDANGVVSPSECELQFYLTDEKGIVKESQIIMGETVPAAGVSGRLHVLASWPEKYVKEYDTQLLSSLPQIFKSCFFTKRPQESVSLYKCLQAFLMEEPLGPEDMWYCPGCKEHRQASKKLDLWRLPEILVIHLKRFSYSRFLKNKLETFVDFPIDDLDLSNYIAYRNGELSNRYMLYAVSNHYGSMGGGHYTAFVHHGGGRWYEFDDSHVYPIGLEKIKTSAAYLLFYRRVVE >EOY33201 pep chromosome:Theobroma_cacao_20110822:9:35897025:35917632:-1 gene:TCM_041166 transcript:EOY33201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes (SMC) family protein isoform 2 MFIKQIIIEGFKSYREQIATEPFSPKVNCVVGANGSGKTNFFHAIRFVLSDLFQNLRSEDRHALLHEGAGHQVLSAFVEIVFDNFDNRIPVDKEEVRLRRTIGLKKDEYFLDGKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQETGNKRKQIIQVVQYLDERLKELDEEKEELRKYQQLDKQRRSLVYTIYDKELQDARRKLEELEEARTKVSETSAKMYNAVLDSHERFKELDKMSKDVTKELQSLNKDKEALEIQQAEALKKQTALDLDVIDLEERMSGNMQAKDDAVKQLQMLQKEIQDSTEELNRIKPLYDSQVKKEENITKGIMEREKQLSILYQKQGRATQFSSKAARDKWLQKEIDDLQRVLSSNLMQEQKLQDEIGRLNADLKDLDVSIERRKTEIKELESSISQSRFNTQKTERDKLQDERKSLWEKESKLSAEIDKLKAEVEKAEKSLDHATPGDVRRGLNSIRRICREYNIGGVFGPIIELLNCDEKFFTAVEVTAGNSLFHVVVEKDEISTQIIRHLNSLKGGRVTFIPLNRVKAPHVTYPQSSDVIPLLKKLNFSPKFTPAFAQVFGRTVICRDIDVATRVARTDGLDCITLEGDQVSKKGGMTGGFYDYRRSKLKFMNVIMQNTMSINKKEEELKGVGSELQNILLPSQLEQKITAFVTEQQQLDAKRVLDKSLLEQHKQDIANANKQKQYICKALENKEKSLADVQTQIDQLRASMAMKHAEMGTELIDHLTPEEKDLLSRLNPEITDLKEQLISCRSDRIETETRKAELETNLTTNLKRRKQELEAIISAAEADTLLDEAELKRHELMDAKLLVQDATQELKRVSDRIDERTKQLRAIKDEKNNLKGLEDAYEGTLQDEAKELEQLLSKRSNLLAKQEEFSKKIRELGPLSSDAFETYKRKQVKELQKMLHRCNEQLQQFSHVNKKALDQYVNFTEQREELQKRQAELDSGDEKIKELIAVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMKKKDGDRADDDDHDDDGPREVDLEGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMANTQFITTTFRPELVKVADQIYGVTHKNRVSRVNVVSKEDALDFIEHDQSHNTD >EOY33202 pep chromosome:Theobroma_cacao_20110822:9:35897167:35917664:-1 gene:TCM_041166 transcript:EOY33202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes (SMC) family protein isoform 2 MFIKQIIIEGFKSYREQIATEPFSPKVNCVVGANGSGKTNFFHAIRFVLSDLFQNLRSEDRHALLHEGAGHQVLSAFVEIVFDNFDNRIPVDKEEVRLRRTIGLKKDEYFLDGKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQETGNKRKQIIQVVQYLDERLKELDEEKEELRKYQQLDKQRRSLVYTIYDKELQDARRKLEELEEARTKVSETSAKMYNAVLDSHERFKELDKMSKDVTKELQSLNKDKEALEIQQAEALKKQTALDLDVIDLEERMSGNMQAKDDAVKQLQMLQKEIQDSTEELNRIKPLYDSQVKKEENITKGIMEREKQLSILYQKQGRATQFSSKAARDKWLQKEIDDLQRVLSSNLMQEQKLQDEIGRLNADLKDLDVSIERRKTEIKELESSISQSRFNTQKTERDKLQDERKSLWEKESKLSAEIDKLKAEVEKAEKSLDHATPGDVRRGLNSIRRICREYNIGGVFGPIIELLNCDEKFFTAVEVTAGNSLFHVVVEKDEISTQIIRHLNSLKGGRVTFIPLNRVKAPHVTYPQSSDVIPLLKKLNFSPKFTPAFAQVFGRTVICRDIDVATRVARTDGLDCITLEGDQVSKKGGMTGGFYDYRRSKLKFMNVIMQNTMSINKKEEELKGVGSELQKLEQKITAFVTEQQQLDAKRVLDKSLLEQHKQDIANANKQKQYICKALENKEKSLADVQTQIDQLRASMAMKHAEMGTELIDHLTPEEKDLLSRLNPEITDLKEQLISCRSDRIETETRKAELETNLTTNLKRRKQELEAIISAAEADTLLDEAELKRHELMDAKLLVQDATQELKRVSDRIDERTKQLRAIKDEKNNLKGLEDAYEGTLQDEAKELEQLLSKRSNLLAKQEEFSKKIRELGPLSSDAFETYKRKQVKELQKMLHRCNEQLQQFSHVNKKALDQYVNFTEQREELQKRQAELDSGDEKIKELIAVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMKKKDGDRADDDDHDDDGPREVDLEGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMANTQFITTTFRPELVKVADQIYGVTHKNRVSRVNVVSKEDALDFIEHDQSHNTD >EOY29632 pep chromosome:Theobroma_cacao_20110822:9:1823906:1824973:1 gene:TCM_037120 transcript:EOY29632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMPIPNLTPLSSSTVKPTVIFTTPPNYAARLSNLLTLKGHTPLWCPTITTHPTPHSLSTHLSPHSLSLLSAITFPSRASITSFSLAALSLPKPLLPSHGPTFILAALGKDSELINTPFISQICSNLQRIKVLVPPTATPNSLALSLGKGYGRRVLCPVPKVVGLNEPPVVPDFLKDLESGGWVPIRVDAYETRWVGPSCAEEVVRKGEEHEEEVNAVVFTSSGEVEGFLKSLREFGWDWGMVRRRWSRLVVAAHGPVTAVGAKRLGVDVDVVSSNFDSFQGVVDALDVCLNALGQEQDCM >EOY32257 pep chromosome:Theobroma_cacao_20110822:9:25072454:25076428:-1 gene:TCM_039944 transcript:EOY32257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGTLENSTDNTEIELLVELGINSPISRNVQRSVATKQSTAAIGHADRPRRSISSALRRDSFPPESIE >EOY32406 pep chromosome:Theobroma_cacao_20110822:9:28851110:28857086:-1 gene:TCM_040310 transcript:EOY32406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance-like protein MADTTVNLAIDKLIVLLTQEVNLLIGVHREVAELKDELESIRSFLKDADSKLEKENVSSSVKIWVKQVREVAYRIEDVIDEYMLCMAKHRDQRCFKAFLHTIACLVKTVRPRHEITSKIQDIKRSIREIKERSQRYSFNSLELGGSSSNAETNHTWNDPRVGSLFLKEDELVGIEFAKNELVSKLVNGASQRTVVSMGDVEHALLDNNKSSRVMITSRIKDVAEFYKKSSLLYVHQLDPLPIEEAQKLLCRKAFQFDVERQCSPTLEKLSFKIVKRCKRLPLAIVAIGGLLSTRGKDLSQWQNLLDSLTTELDCNPHLINIKRILSFNYLELFSHLKPCFLYLGMFSEDCAINCVKLVWLWMAKGLVKEKHGITLKKVVEGYLTELIHRNLVQVVGHFFDVKVRYCGVHDLVVRDTRIKMLPKFIGKLLNLETLDLKRSLVHELLDEINRLHRLQYLLAYFVNYDGELSVDTRQGVKIQNGIRCLNGLQKLCSLDVEHSKSLSRVCLFWSKLLEDPLKVLELLPNLLDLWLYAGYDGDQLHFKEGHFEKLKVLGLRCLNGLTTLEIEKGALPSLQGLAIGGSPQLKEVPCDISCMDNLKSLEFWDMPIEFKENMLPTKG >EOY30744 pep chromosome:Theobroma_cacao_20110822:9:5642766:5647321:-1 gene:TCM_037849 transcript:EOY30744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MWGRQREALSMYKRLSSRDHSTVMDVEENSALLQNSMDVESTNPSWRLSFPHVLVATISSFLFGYHLGVVNEPLESISLDLGFSGNTLAEGLVVSTCLGGALIGSLFSGWIADGVGRRRAFQLCAVPMIIGASMSATTKNLVGMLIGRFLVGTGMGLGPPVAALYVTEVSPASVRGTFGSFIQIATCLGLMGALFIGIPVKDIAGWWRICFWVSTIPAGLLAFAMMFCAESPHWLYKQGRSAEAEAEFERLLGGSHVKYAMLELSKFDRGDDADTVKLSELLYGCHFRVVFIGSTLFALQQLSGINAVFYFSSSVFKSAGVPSDLANVFIGVANLTGSIIAMLLMDKLGRKVLLLWSFFGMVNIILLTNFLLESPFMYK >EOY30743 pep chromosome:Theobroma_cacao_20110822:9:5641670:5648354:-1 gene:TCM_037849 transcript:EOY30743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MDVESTNPSWRLSFPHVLVATISSFLFGYHLGVVNEPLESISLDLGFSGNTLAEGLVVSTCLGGALIGSLFSGWIADGVGRRRAFQLCAVPMIIGASMSATTKNLVGMLIGRFLVGTGMGLGPPVAALYVTEVSPASVRGTFGSFIQIATCLGLMGALFIGIPVKDIAGWWRICFWVSTIPAGLLAFAMMFCAESPHWLYKQGRSAEAEAEFERLLGGSHVKYAMLELSKFDRGDDADTVKLSELLYGCHFRVVFIGSTLFALQQLSGINAVFYFSSSVFKSAGVPSDLANVFIGVANLTGSIIAMLLMDKLGRKVLLLWSFFGMTISMVLQVTAANTYVSGSGSLYLSVGGMLMFVFTFALGAGPVPGLLLPEIFPSRIRAKAMAICMSVHWVINFFVGLLFLRLLEQLGPQLLYSMFASVCMMAVVFVKRNVMETKGKSLQEIEIALLPQE >EOY30741 pep chromosome:Theobroma_cacao_20110822:9:5642280:5646739:-1 gene:TCM_037849 transcript:EOY30741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MDVESTNPSWRLSFPHVLVATISSFLFGYHLGVVNEPLESISLDLGFSGNTLAEGLVVSTCLGGALIGSLFSGWIADGVGRRRAFQLCAVPMIIGASMSATTKNLVGMLIGRFLVGTGMGLGPPVAALYVTEVSPASVRGTFGSFIQIATCLGLMGALFIGIPVKDIAGWWRICFWVSTIPAGLLAFAMMFCAESPHWLYKQGRSAEAEAEFERLLGGSHVKYAMLELSKFDRGDDADTVKLSELLYGCHFRVVFIGSTLFALQQLSGINAVFYFSSSVFKSAGVPSDLANVFIGVANLTGSIIAMLLMDKLGRKVLLLWSFFGMTISMVLQVTAANTYVSGSGSLYLSVGGMLMFVFTFALGAGPVPGLLLPEIFPSRIRAKAMAICMSVHWVINFFVGLLFLRLLEQLGPQLLYSMFASVCMMAVVFVKRNVMETKGKSLQEIEIALLPQE >EOY30742 pep chromosome:Theobroma_cacao_20110822:9:5641670:5648354:-1 gene:TCM_037849 transcript:EOY30742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MDVESTNPSWRLSFPHVLVATISSFLFGYHLGVVNEPLESISLDLGFSGNTLAEGLVVSTCLGGALIGSLFSGWIADGVGRRRAFQLCAVPMIIGASMSATTKNLVGMLIGRFLVGTGMGLGPPVAALYVTEVSPASVRGTFGSFIQIATCLGLMGALFIGIPVKDIAGWWRICFWVSTIPAGLLAFAMMFCAESPHWLYKQGRSAEAEAEFERLLGGSHVKYAMLELSKFDRGDDADTVKLSELLYGCHFRVVFIGSTLFALQQLSGINAVFYFSSSVFKSAGVPSDLANVFIGVANLTGSIIAMLLMDKLGRKVLLLWSFFGMTISMVLQVTAANTYVSGSGSLYLSVGGMLMFVFTFALGAGPVPGLLLPEIFPSRIRAKAMAICMSVHWVINFFVGLLFLRLLEQLGPQLLYSMFASVCMMAVVFVKRNVMETKGKSLQEIEIALLPQE >EOY30740 pep chromosome:Theobroma_cacao_20110822:9:5642280:5647285:-1 gene:TCM_037849 transcript:EOY30740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 1 MWGRQREALSMYKRLSSRDHSTVMDVEENSALLQNSMDVESTNPSWRLSFPHVLVATISSFLFGYHLGVVNEPLESISLDLGFSGNTLAEGLVVSTCLGGALIGSLFSGWIADGVGRRRAFQLCAVPMIIGASMSATTKNLVGMLIGRFLVGTGMGLGPPVAALYVTEVSPASVRGTFGSFIQIATCLGLMGALFIGIPVKDIAGWWRICFWVSTIPAGLLAFAMMFCAESPHWLYKQGRSAEAEAEFERLLGGSHVKYAMLELSKFDRGDDADTVKLSELLYGCHFRVVFIGSTLFALQQLSGINAVFYFSSSVFKSAGVPSDLANVFIGVANLTGSIIAMLLMDKLGRKVLLLWSFFGMTISMVLQVTAANTYVSGSGSLYLSVGGMLMFVFTFALGAGPVPGLLLPEIFPSRIRAKAMAICMSVHWVINFFVGLLFLRLLEQLGPQLLYSMFASVCMMAVVFVKRNVMETKGKSLQEIEIALLPQE >EOY32567 pep chromosome:Theobroma_cacao_20110822:9:31511002:31521270:-1 gene:TCM_040560 transcript:EOY32567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATSSTFSYQTPLLREFEVLKMKQNEAVKDYRDKAHAYNKSDEDIVEKRVMKKILISILERLEAKISSLEESKQERLNNLKGLKLNIQIRPFFFLLLNAYCR >EOY30302 pep chromosome:Theobroma_cacao_20110822:9:4157847:4159178:-1 gene:TCM_037560 transcript:EOY30302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress responsive alpha-beta barrel domain protein, putative isoform 2 MLCLKARPLFSSPFPLTYSSPKYLTRPLTLSTKTPKSSITMSIIEHVVLFKVKDDTEQAKVNAMVNGLNGLVSLDPVLHITAGPVLRTRSPISNFTHMLHSRYKSKEDLSAYSAHPDHMRVVKENVLPICDDIMAVDWVADNDPAPLSPPPSSAIKLTFMKLKENVPSDAQGEILGVIKGIKEGISGIQQITCGENFSPARAKGFSLASIAVFTGVEEMEAAEGNEEFVNLQKQKLTHGNGKSVRVKPHTIQSIGAQNVLGWRWHLNGLDLVDEVWVFNFLN >EOY30301 pep chromosome:Theobroma_cacao_20110822:9:4157731:4159737:-1 gene:TCM_037560 transcript:EOY30301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress responsive alpha-beta barrel domain protein, putative isoform 2 MLCLKARPLFSSPFPLTYSSPKYLTRPLTLSTKTPKSSITMSIIEHVVLFKVKDDTEQAKVNAMVNGLNGLVSLDPVLHITAGPVLRTRSPISNFTHMLHSRYKSKEDLSAYSAHPDHMRVVKENVLPICDDIMAVDWVADNDPAPLSPPPSSAIKLTFMKLKENVPSDAQGEILGVIKGIKEGISGIQQITCGENFSPARAKGFSLASIAVFTGVEEMEAAEGNEEFVNLQKQKLE >EOY34102 pep chromosome:Theobroma_cacao_20110822:9:39939533:39940073:1 gene:TCM_041880 transcript:EOY34102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >EOY31208 pep chromosome:Theobroma_cacao_20110822:9:7550926:7555086:1 gene:TCM_038178 transcript:EOY31208 gene_biotype:protein_coding transcript_biotype:protein_coding description:EamA transporter family isoform 1 GRSPGCSWDLRSGHQQGVFEDYRHPFAVTYLGTSLLALYLPIAFFKDWLVKHLRGRSCNSTKGPKGVDKSSVELNSPVKPDDNHGNFQIEHQLSVPDQCVLDLCIKEEGNPLVSRHKDILEEPKRVRMLSAKEIAAFGFCMGPIWFATEYLTNAALARTSVASTTLLSSTSGLFTLLIGASLGQDSISTVKVVSVVISIAGVAMTTLGNTWAADEPKSGINKSGNQALLGDLFAILSAMCYGLFTVLLKKFSGEEGERVDMQKFFGYIGLFVLVALWWLAWPLTAIGVEPKFTLPQSAKVQEIILVNSFVGSFLSDYFWALGVVWTSPLVAALGVSLTIPIAMLEDMLIHGQQYSIIYMIGSAQVCIPGISNS >EOY31206 pep chromosome:Theobroma_cacao_20110822:9:7549894:7555193:1 gene:TCM_038178 transcript:EOY31206 gene_biotype:protein_coding transcript_biotype:protein_coding description:EamA transporter family isoform 1 MGWKYRGGLLLIIGVVIMWVTSAEVTQGVFEDYRHPFAVTYLGTSLLALYLPIAFFKDWLVKHLRGRSCNSTKGPKGVDKSSVELNSPVKPDDNHGNFQIEHQLSVPDQCVLDLCIKEEGNPLVSRHKDILEEPKRVRMLSAKEIAAFGFCMGPIWFATEYLTNAALARTSVASTTLLSSTSGLFTLLIGASLGQDSISTVKVVSVVISIAGVAMTTLGNTWAADEPKSGINKYCFPPPHLTVTCYHNLAWTISYAKFPPLFRSGNQALLGDLFAILSAMCYGLFTVLLKKFSGEEGERVDMQKFFGYIGLFVLVALWWLAWPLTAIGVEPKFTLPQSAKVQEIILVNSFVGSFLSDYFWALGVVWTSPLVAALGVSLTIPIAMLEDMLIHGQQYSIIYMIGSAQVFLGFLIANISDWVSEKLRW >EOY31207 pep chromosome:Theobroma_cacao_20110822:9:7550368:7554484:1 gene:TCM_038178 transcript:EOY31207 gene_biotype:protein_coding transcript_biotype:protein_coding description:EamA transporter family isoform 1 MGFFLYFWIQFFFSGHIFNFAIVETDDFISSALFANGIGRSPGCSWDLRSGHQGVFEDYRHPFAVTYLGTSLLALYLPIAFFKDWLVKHLRGRSCNSTKGPKGVDKSSVELNSPVKPDDNHGNFQIEHQLSVPDQCVLDLCIKEEGNPLVSRHKDILEEPKRVRMLSAKEIAAFGFCMGPIWFATEYLTNAALARTSVASTTLLSSTSGLFTLLIGASLGQDSISTVKVVSVVISIAGVAMTTLGNTWAADEPKSGINKSGNQALLGDLFAILSAMCYGLFTVLLKKFSGEEGERVDMQKFFGYIGLFVLVALWWLAWPLTAIGVEPKFTLPQSAKVQEIILVNSFVGSFLSDYFWALGVVWTSPLVAALGVSLTIPIAMLEDMLIHGQQYSIIYMIGSAQV >EOY33340 pep chromosome:Theobroma_cacao_20110822:9:36785359:36786469:-1 gene:TCM_041297 transcript:EOY33340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKDIVCSHYGKKGHTKEKCYRLIGFLEDFKFTKTKTGYKKGKSVANNVTHTNKDQCAEIQPDQDDETTGNGFVFQLNVIKQQVSKLFELLNENGITCTNGKNPSQNSHQSKSSLVNLAFAGELTKSKKTCLFFTDEYCVIQDLPSWTVTGVARASLGWYMVQDSNIK >EOY30893 pep chromosome:Theobroma_cacao_20110822:9:6160988:6162102:-1 gene:TCM_037942 transcript:EOY30893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein MGLNKKMMVFCIVVASWAMASSMGTMQDDEKECADQLANLASCIAFVSGTATKPTLQCCQDTQKVKATKPKCLCVLIKESTDPSMGLPVNTTLALQMPSACSIDAKVSDCPTILNLPPDSPDAKIFEEADQGSTTTSTTNSPPTSSSSSSSAGTSSSSVSNATPSSNNGAKVKVFLGGNWLVLMASIAWMLIRRLQLLL >EOY33399 pep chromosome:Theobroma_cacao_20110822:9:37324293:37326613:-1 gene:TCM_041376 transcript:EOY33399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 2 member 2 precursor MKEAFETICKRSVADICSAELLATLCDNILKKGEIRKWWRKHRRRWFSFLTMSMTWTFWQNSTGSRIFCGYMELVRKTLACEVSNVLQSVTGVRRVGFYFVLLPWLDVLCIGDPDITSDFLVPPDVNNIDGNFFTFTGMRALVDGDFPTNFTVMKASMVEFPALNGQSVSYAALQFPAGSTNPPHTHPRSAELLFLVAGSLEVGFVDTTNKLFTQSLQAGDMFIFPKGLVHFQYNADAKNMAFAFSAFGSANPGTVSLPKTLFATDIDDNILAKSFKTDVATIQSLKSGLASK >EOY29424 pep chromosome:Theobroma_cacao_20110822:9:1139737:1144609:-1 gene:TCM_046901 transcript:EOY29424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein MEGLPTSTKAERRWRSKNLQTSKPSLVMAFFSCVAWLYVAGRLWQDAENRTLLANLLKKNIEQRPKVLTVEDKLMVLGCKDLERRIVEAEMDLTLAKSQGYLKHQLRQSGSSDQKLLAVIGVYTGFGSHLKRITFRGSWMPRGDALKKLEERGVVIRFVIGRSANRGDSLDRNIDEENRKTKDFFILDGHEEAQEELPKKAKFFFTAAVQNWDAEFYVKVDDNIDIGLEGLIGLLEQRRGQDSAYIGCMKSGEVVAEEGRPWFEPEWWKFGDEKSYFRHASGSLLILSKNLAQYINVNSASLKTYAHDDISVGSWMMGVQATYIDDNRLCCSSIRQDKVCSVA >EOY29213 pep chromosome:Theobroma_cacao_20110822:9:367926:369673:-1 gene:TCM_036816 transcript:EOY29213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MDSLRPFFPIFLFFLLTPSHARLRVDYYQNSCPHAESIVRSAVTHMFQQTFVTAPATLRLFFHDCFVRGCDASVMLASWNNTAEKDNPDNLSLAGDGFDTVIKAKAAVDSVPECRNKVSCADILAMATRDVIVLTGGPSYAVELGRLDGRISRKASVRHHLPGPDFKLDKLKAMFAPHGLTVTDLVALSGAHTIGFSHCSRFSRRIYNFKSKSRIDPTLNLEYARLLRQMCPKNVDPRVAIEMDPGTPGTFDNMYYKNLQQGKGLFTSDQALFTDAMSRNIVNVFASNNTAFEEAFVAAITKLGRVGVRTGKQGEIRHDCALVN >EOY30318 pep chromosome:Theobroma_cacao_20110822:9:4213847:4219035:-1 gene:TCM_037573 transcript:EOY30318 gene_biotype:protein_coding transcript_biotype:protein_coding description:BREVIS RADIX-like 4 isoform 4 MLTCIPRSKQAGDDSLSQPEEIDSSTTPSTKQNQAIKSLTSQLKDMALKASGAYRHCNPCTAQSRLGNSNESDADSDRFRWSYRRTGSSSSATPRTWGKEMEARLKGISSSSGEATPKSVSGRRVESIVFVEESEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSREMFNKWQAQRWWAENYDRVMELYNVQRFNRHAFPLPTPPRSEDESSKMESAEESPVTPPLTRERLPRNLYRPTGMGMGYSSSDSLDQHPMQARHYCDSGLTSTPKLSSISGAKTETSSMDASMRSSSSREADRSGELSISNASDLETEWVEQDEPGVYITIRALAGGKRELRRVRFSRERFGEMHARLWWEENRARIHEQYL >EOY30319 pep chromosome:Theobroma_cacao_20110822:9:4214202:4218145:-1 gene:TCM_037573 transcript:EOY30319 gene_biotype:protein_coding transcript_biotype:protein_coding description:BREVIS RADIX-like 4 isoform 4 MALKASGAYRHCNPCTAQSRLGNSNESDADSDRFRWSYRRTGSSSSATPRTWGKEMEARLKGISSSSGEATPKSVSGRRVESIVFVEESEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSREMFNKWQAQRWWAENYDRVMELYNVQRFNRHAFPLPTPPRSEDESSKMESAEESPVTPPLTRERLPRNLYRPTGMGMGYSSSDSLDQHPMQARHYCDSGLTSTPKLSSISGAKTETSSMDASMRSSSSREADRSGELSISNASDLETEWVEQDEPGVYITIRALAGGKRELRRVRFSRERFGEMHARLWWEENRARIHEQYL >EOY30317 pep chromosome:Theobroma_cacao_20110822:9:4213755:4218630:-1 gene:TCM_037573 transcript:EOY30317 gene_biotype:protein_coding transcript_biotype:protein_coding description:BREVIS RADIX-like 4 isoform 4 MLTCIPRSKQAGDDSLSQPEEIDSSTTPSTKQNQAIKSLTSQLKDMALKASGAYRHCNPCTAQSRLGNSNESDADSDRFRWSYRRTGSSSSATPRTWGKEMEARLKGISSSSGEATPKSVSGRRVESIVFVEESEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSREMFNKWQAQRWWAENYDRVMELYNVQRFNRHAFPLPTPPRSEDESSKMESAEESPVTPPLTRERLPRNLYRPTGMGMGYSSSDSLDQHPMQARHYCDSGLTSTPKLSSISGAKTETSSMDASMRSSSSREADRSGELSISNASDLETEWVEQDEPGVYITIRALAGGKRELRRVRFSRERFGEMHARLWWEENRARIHEQYL >EOY30316 pep chromosome:Theobroma_cacao_20110822:9:4213941:4218979:-1 gene:TCM_037573 transcript:EOY30316 gene_biotype:protein_coding transcript_biotype:protein_coding description:BREVIS RADIX-like 4 isoform 4 MLTCIPRSKQAGDDSLSQPEEIDSSTTPSTKQNQAIKSLTSQLKDMALKASGAYRHCNPCTAQSRLGNSNESDADSDRFRWSYRRTGSSSSATPRTWGKEMEARLKGISSSSGEATPKSVSGRRVESIVFVEESEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSREMFNKWQAQRWWAENYDRVMELYNVQRFNRHAFPLPTPPRSEDESSKMESAEESPVTPPLTRERLPRNLYRPTGMGMGYSSSDSLDQHPMQARHYCDSGLTSTPKLSSISGAKTETSSMDASMRSSSSREADRSGELSISNASDLETEWVEQDEPGVYITIRALAGGKRELRRVRFSRERFGEMHARLWWEENRARIHEQYL >EOY29886 pep chromosome:Theobroma_cacao_20110822:9:2698544:2701954:1 gene:TCM_037279 transcript:EOY29886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 10 MDSTNRNKSFGSSSLTMGERICAAFMPFIAVIDFLIFSMANCFEYRPCFKKCRYGFKDLARLANESHFTVNEVEALYELFKKLSSSIIDDGLIHKEELQLALLQTPYVENLFLDRVFDLFDEKKNGVIEFEEFVHSLNVFHPSAPVEDKIDFAFRLYDLRQTGFIEWDEVKQMVIAILMESDMKLSDDLLEAIIDKTFADADADKDGRINKEEWKAFVLRNPSLLKNMTLPHLKDITTVFPSFVFNTEIEDSIV >EOY30822 pep chromosome:Theobroma_cacao_20110822:9:5927332:5927799:1 gene:TCM_037901 transcript:EOY30822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinogalactan protein 22, putative MRSMRFPVLPVIGFLFFALLQLSYGQSMGSSPAPAPALPNDGTAVDQGIAYMLLLVALAITYLIH >EOY29618 pep chromosome:Theobroma_cacao_20110822:9:1777647:1779310:1 gene:TCM_037111 transcript:EOY29618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein with chitinase insertion domain MAGLIKTYIQLFSILIVFLIVPTRVVMALPLAVKAAYWPSWTTTFPPSAIDTSLFTHIYYAFLMPSNITYTFEIPSSTAHLLSNFTTTLDHKKPLVKTLFSIGGGGADPLVFSRMASEAKTRKAFINSAIEVARKFGFDGMDLDWEFPKNPKDMQDLGVLFTEWRQAINYEAKASHRAPLLLTAAVYFSVDFFLDEVYRKYPVGSINQNLDWVSAMCFDYHGGWNTSKTGAHAALYDPNSNVSTSYGLRSWIKAGVPRSKMVMGLPLYGRTWQLKDPNSHGIGSAAVAVGPGDSGVLTFVQVEEFNKKNGAKVIHDRETVSTYSYVGSTWIGYDDALSTTLKIGFAQALGIRGYIFWALSYDNEWKISRQASRAWILGE >EOY32988 pep chromosome:Theobroma_cacao_20110822:9:34765315:34768433:1 gene:TCM_040995 transcript:EOY32988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-6 tubulin isoform 2 MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYIGNSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPEGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEELEYEEEEEEEEEGVHEM >EOY32989 pep chromosome:Theobroma_cacao_20110822:9:34765501:34768156:1 gene:TCM_040995 transcript:EOY32989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-6 tubulin isoform 2 MDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPEGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEELEYEEEEEEEEEGVHEM >EOY30386 pep chromosome:Theobroma_cacao_20110822:9:4440156:4441708:-1 gene:TCM_037615 transcript:EOY30386 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MDGKEKLHIAMFPWLAYGHIMPFLEVSKFLARKGHRISYISTPKNISRLPKLPAHLSSNISFIEISLPQVHGLPPGVESTAEIPIQKIPYLKKAYASWKSL >EOY30359 pep chromosome:Theobroma_cacao_20110822:9:4329087:4337077:1 gene:TCM_037597 transcript:EOY30359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPS5, putative isoform 3 MACRKNLREWRHTVELLHTHPSEIAGMGGYVFPLLKFSYDNLNEASAQNCFLYCSLFPEAYNIRIDELIDLWIGEGFLDGADPCGQGEYIVGTLKLAYLLESDESKQCVRMHDIVRHMALWLARDQGKNKNKVLVAKSGRITDQELTKWEEANWISLFGGSSRVKIDYSPSCHYLSTLLLRDAQLESFPKGFFDSMPALKVLDLSGNRGLVELPSNIGNVTTLRYLNLSLTSIAKLPTGLGNLRNLRCLLLDYTVNLKWIPKELISNLLCLQVYSKINGVTEDFLSVKVPPSAAEIAFLEVLECLGDIKKIGITLLCAPSFEKILRSCTLRSCIRKLTFIECTCLISIYFTEELSNLERLEIFHCSSLKQFKVSEGCKLCNLSKVYIGVCPLLLNLNCLAYVRNLEILTIVDCKSLKEVTSETMAFPGLKTISLTRLKNLKSICPSPSCFPSLLEIEVSKCPSLRQLPFDVESANFLQKIRGETEWWDGLVWDDEAVKDACLLKFISTPFELLQIKKDDAFPKTSSFKDGVQIVSQTEAETPPPAKLSKTDTYNQLNLEDTEALEYHNSRKEMMKKGKGTLRPNLKLFLPSPADISFPKFPTKSGSFKDGDLLVNKDGVRIVSQSEPEARPPIKPSEADMDNQLNLEDIDAIKVISNGNGRIVQLVQHKWTGQFFALKIIQMNIEESARKQIAIELKINQSSQCPYVVVCYQSFYTNGAISIILEYMDGGSLADFLKKVKSIPEPYLAAICKQVLKGLMYLHHEKHIIHRDLKPSKLLINHRGEVKITDFHVSAILASPSERANTFVGTYTCMSPERIVGASYGIKADIWSLGLVLLECATGKFPYTPPEQAEGWTNLYELMERIVEESPPCAPSEQFSPEFCSFISACLQKDPKERKSARELLVHSFLNMYDDLDVDLSSYFSNAKSPLESL >EOY30358 pep chromosome:Theobroma_cacao_20110822:9:4327675:4336869:1 gene:TCM_037597 transcript:EOY30358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPS5, putative isoform 3 MDCLTPILDIGTRLWDCSSKHVAFIHNLEENLQKLRTEMEALNCRRHDVWCRVEAAEQQPRMRRTEEVDRWLESAKTMDNELHEIIQEGDQELQNKCVGSCCPKNLKSSYKIGKRIIKKISSVEVLLKQGEPYCSDSAVAVKLPRKRLLIPEWPVENTVGLDSTLERVWRCIEDENIRIIRFCGIGGVGKTTLLKKVSNEFHRRSHDFDAVIWAMVPRQENYIEKVQEVIRKKLEIPDSIWDQCSGEDEKGAYIFSVLKSKKFVLLLDNVWEQFNLLTLGIHPRNDQNQNKVIYTARSLGLSFDVEALVTIEVERLPPEQALSLFRTTVGESILSTDPDLSDLANTFVRRCGGLPLALLTVAGAMACRKNLREWRHTVELLHTHPSEIAGMGGYVFPLLKFSYDNLNEASAQNCFLYCSLFPEAYNIRIDELIDLWIGEGFLDGADPCGQGEYIVGTLKLAYLLESDESKQCVRMHDIVRHMALWLARDQGKNKNKVLVAKSGRITDQELTKWEEANWISLFGGSSRVKIDYSPSCHYLSTLLLRDAQLESFPKGFFDSMPALKVLDLSGNRGLVELPSNIGNVTTLRYLNLSLTSIAKLPTGLGNLRNLRCLLLDYTVNLKWIPKELISNLLCLQVYSKINGVTEDFLSVKVPPSAAEIAFLEVLECLGDIKKIGITLLCAPSFEKILRSCTLRSCIRKLTFIECTCLISIYFTEELSNLERLEIFHCSSLKQFKVSEGCKLCNLSKVYIGVCPLLLNLNCLAYVRNLEILTIVDCKSLKEVTSETMAFPGLKTISLTRLKNLKSICPSPSCFPSLLEIEVSKCPSLRQLPFDVESANFLQKIRGETEWWDGLVWDDEAVKDACLLKFISTPFELLQIKKDDAFPKTSSFKDGVQIVSQTEAETPPPAKLSKTDTYNQLNLEDTEALEYHNSRKEMMKKGKGTLRPNLKLFLPSPADISFPKFPTKSGSFKDGDLLVNKDGVRIVSQSEPEARPPIKPSEADMDNQLNLEDIDAIKVISNGNGRIVQLVQHKWTGQFFALKIIQMNIEESARKQIAIELKINQSSQCPYVVVCYQSFYTNGAISIILEYMDGGSLADFLKKVKSIPEPYLAAICKQVLKGLMYLHHEKHIIHRDLKPSKLLINHRGEVKITDFHVSAILASPSERANTFVGTYTCMSPERIVGASYGIKADIWSLGLVLLECATGKFPYTPPEQAEGWTNLYELMERIVEESPPCAPSEQFSPEFCSFISACLQKDPKERKSARELLVHSFLNMYDDLDVDLSSYFSNAKSPLESL >EOY30360 pep chromosome:Theobroma_cacao_20110822:9:4329087:4337077:1 gene:TCM_037597 transcript:EOY30360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPS5, putative isoform 3 MACRKNLREWRHTVELLHTHPSEIAGMGGYVFPLLKFSYDNLNEASAQNCFLYCSLFPEAYNIRIDELIDLWIGEGFLDGADPCGQGEYIVGTLKLAYLLESDESKQCVRMHDIVRHMALWLARDQGKNKNKVLVAKSGRITDQELTKWEEANWISLFGGSSRVKIDYSPSCHYLSTLLLRDAQLESFPKGFFDSMPALKVLDLSGNRGLVELPSNIGNVTTLRYLNLSLTSIAKLPTGLGNLRNLRCLLLDYTVNLKWIPKELISNLLCLQVYSKINGVTEDFLSVKVPPSAAEIAFLEVLECLGDIKKIGITLLCAPSFEKILRSCTLRSCIRKLTFIECTCLISIYFTEELSNLERLEIFHCSSLKQFKVSEGCKLCNLSKVYIGVCPLLLNLNCLAYVRNLEILTIVDCKSLKEVTSETMAFPGLKTISLTRLKNLKSICPSPSCFPSLLEIEVSKCPSLRQLPFDVESANFLQKIRGETEWWDGLVWDDEAVKDACLLKFISTPFELLQIKKDDAFPKTSSFKDGVQIVSQTEAETPPPAKLSKTDTYNQLNLEDTEALEYHNSRKEMMKKGKGTLRPNLKLFLPSPADISFPKFPTKSGSFKDGDLLVNKDGVRIVSQSEPEARPPIKPSEADMDNQLNLEDIDAIKVISNGNGRIVQLVQHKWTGQFFALKIIQMNIEESARKQIAIELKINQSSQCPYVVVCYQSFYTNGAISIILEYMDGGSLADFLKKVKSIPEPYLAAICKQVLKGLMYLHHEKHIIHRDLKPSKLLINHRGEVKITDFHVSAILASPSERANTFVGTYTCMSPERIVGASYGIKADIWSLGLVLLECATGKFPYTPPEQAEGWTNLYELMERIVEESPPCAPSEQFSPEFCSFISACLQKDPKERKSARELLVVHSFLNMYDDLDVDLSSYFSNAKSPLESL >EOY29511 pep chromosome:Theobroma_cacao_20110822:9:1410163:1415716:1 gene:TCM_037024 transcript:EOY29511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl-CoA shikimate/quinate hydroxycinnamoyl transferase, putative MEITTKKSTMVYPAEETQKHRLWSSNLELLMTLHHVPTVYFFRPNGSSNFFNAQVLMEALSKVLVPFYPMAGRLARDEKGRVEINCNAEGALFVEAETDVVIDDLIGDTIRSSELWRLVPTVDYAADISSYPLTLLQVTKFKCGGVCLGIGLQHTLADGPAGIHFINSWAESARGLPLSAEPHIDRSLLRARDPPTPTFHHVEYDPPLSMNTDQEPQSDPETTVSIFKLTRDQLNTLKDKANKDGNAGNYSTYNSLAAHIWRCVKSFPDTAKRIQTILKRMDDEYLRSAIDHMETVPNVRAPVLGARGFQCPNLCINSWVRLPIYEADFGWGCPIWLGPAKGSQDGKTYVLPSPVKDGSLSLATCLDTAHMKKQVCCPFSSKRMDHAISRVLALVASQLMSRRETFKFGGSVKVERKRERQSDRDPFSSETPMKWWDVGRSG >EOY31745 pep chromosome:Theobroma_cacao_20110822:9:13548872:13551663:-1 gene:TCM_038867 transcript:EOY31745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEGMSVLLFKAKKADRDELKRMKWFFRIYQAMSGSKVNFHKSELFGVAMDKDEEEEWSREIKCKGWEIKIWRMRFYMQLHGLSDYLRMQLFFMGNNGRKIRLYIEKDEKNRKKVERGRELSGANQ >EOY30231 pep chromosome:Theobroma_cacao_20110822:9:3858712:3864315:-1 gene:TCM_037506 transcript:EOY30231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trichome birefringence-like 16 MPTRPMSHKPPFFPLLFYFFYLLILLPHSPFYFIFQFLCGSSSFITIKIIKRKTKNIRFFQIFMRFRMKGGPYRLRWRGKELSLILIVLVCATILVWTWDRSPVLTSSLPSKNQLLQLSPEHKSHVREDISTSIQREVINNEEEHHVDKMPSTDTAESSSEFETFPIQKKEEETEKHKYSPKREKTGVVKSGSSSTQIEETNNVILGEKASEQEDKKVENQACNYAKGKWVIDDRRPLYSGFGCKQWLAPMWACRLMQRQDFAFEKLRWQPKDCEMEEFEGSKFFKRMQDKTLAFVGDSLGRQQFQSLMCMITAGKDSPNVQDVGKEYGLVIPPGGKRPNGWAYRFPSTNTTVLYYWSASLCDLEPLNVRDPHTEYAMHLDRPPAFLRQFLHKIDVLVLNTGHHWNRGKLKANRWVMYVGGVPNNNRKIADMGGAKNFTIHSTIKWINSQLPKHPHLKAFYRSISPRHFVNGDWNTGGSCDNTSPMSIGKEVLQEESSDYSAASAVRGTGVELLDVTALSQVRDEGHISRFSITASPGVQDCLHWCLPGVPDTWNEILFAQI >EOY30376 pep chromosome:Theobroma_cacao_20110822:9:4371949:4374700:-1 gene:TCM_037603 transcript:EOY30376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIGSFTFLSPEDFSYNNNSNFSWFQDMDNGFNGNGKKRGRKDDESLVNEESKKSAYGDILSSILLLDEEAKQEQLQWVTDSEEEKAFFEANYKEKVQEMNGYFDQLQNQYTEMDELDNSRNKRARKSSIAVAAAVAASSSGSDNVGPSQTGSGSGQQRRLWVKDRSKDWWEKCNHPDFPDEEFKRAFRMSKATFDMICEELEHVVMKKNTMLRDAIPVRQRVAVCIWRLATGEPLRMVSKRFGLGISTCHKLVLEVCTAIKTVLMPKFVQWPDENNMKRIKEDFESATGIPNAGGSMYTTHVPIIAPKVSVAAYFNKKHTERNQKTSYSITLQGVVDQRGVFTDVCIGWPGSMSDDQVLEKSAFYQRAARGQLKDVWVVGGKGYPLMDWVLVPYTHQNLTWAQHAFNEKIGEIEKVAKDAFARLKGRWSCLQKRTEVKLQELPVVLGACCVLHNICEMRNEEIDPELRFELFDDEMIPENNLRSMAAEQARDHIAHNLLHHGLGGIGFL >EOY32791 pep chromosome:Theobroma_cacao_20110822:9:33547639:33555106:1 gene:TCM_040806 transcript:EOY32791 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative MKILLLKDTLSWRLLSGKLRRNFVPLPSSTSLLHSHATSFGFKEVSEEQKSQMVGHVFDSVASKYDLMNDIMSAGLHRLWKDRLASKLNPFPGIKHIDMAGGTGQTRLCRNPVKPLDVHSLENLVKDTFNNPLSSLVDSQLAGDVAFRILDAINRIKSWPMHQLHDDLLEEAQIYVCDINPNMLDVGKKRAMERGFGEDKSLLWLEEDAEALHFGDISMDSYTIACGIRNVTHMEKALAEAYRVLNLGGRFLCLELTRMEIPVIKELYDFYSFTVVPAVGKLVTGDRDSYQYLVESIGSFPPQETFASMLAEAGFKKVEFENLLGGVVAIHSGLEL >EOY30444 pep chromosome:Theobroma_cacao_20110822:9:4625683:4627135:1 gene:TCM_037652 transcript:EOY30444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEHLRPAAHGMLRTMYSCFHLEPTFYVDWLGQHIRTHSSQEDPYTQNCCRPYHNRQPPIPIPTIAGDDLSPSCPRR >EOY33884 pep chromosome:Theobroma_cacao_20110822:9:39258271:39261478:-1 gene:TCM_041726 transcript:EOY33884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MSLKPALAKRVNRDGFSPIHMASANGYTEIVKELLMSNKELSRQQSADGRTAIHFAAITGRVDVLRALINTCPECKADLTDQDESALHVALKNNQVEAFEILLGMLKEINTEEITRLVNAKDHDGNTILHIAVARKQLRAAKLLLDQEGVCSIFKVEVNSNNGSGYTALDMLFHSGRIWDDPIDHKIRKMLQRAGAFRSEDIIVAVTSAVATQQVDQQTNFDSYQAGENNSTSHHVPENNLAEKLCCKCDPLMPWKFIYTEVSSLFIWKIWSTFTQEIENSTPEMRNSLMVVAVLIANVTYQSVLSPPGGYRELNAKEDPDPIHSNGIAIIASDALMFFFVIFFSSIGFFLSVVIILMLTSQFPLKLLLRLAVLAVSADFVCTILYIAPIEFSTIYVVTMVMFVLVGIHLLYFISWMFRRSRMASRRKQAQG >EOY32352 pep chromosome:Theobroma_cacao_20110822:9:27850549:27852742:1 gene:TCM_040196 transcript:EOY32352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 isoform 1 MCITFSLASKHCIGASNGNLHSRKSMSTTAREEVITAPKIPITLPGLTKHGIPHTNLQVQEIVRRQSWPNNLAKEGNCRKPRFHPTFLEEAYNKCRNICAEYAKTFYLGTLLMTEERQKAIWAIYVWCRRTDELVDGPNADYMSSAVLERWEERLQDIFDGRPYDMFDAALSDTVYNFPLDIKPFRDMIEGMRMDTRKSRYKNFQELYLYCYYVAGTVGLMSVPVMGIAPESSVSAHSIYNAALYLGIGNQLTNILRDVGEDALRGRVYLPQDELAQFGLCDKDVLSKKVTDAWREFMKEQITRARFFFNLAEEGASQLDKASRWPVYTLTTVGHPPYTDTKF >EOY32351 pep chromosome:Theobroma_cacao_20110822:9:27850549:27853174:1 gene:TCM_040196 transcript:EOY32351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 isoform 1 MCITFSLASKHCIGASNGNLHSRKSMSTTAREEVITAPKIPITLPGLTKHGIPHTNLQVQEIVRRQSWPNNLAKEGNCRKPRFHPTFLEEAYNKCRNICAEYAKTFYLGTLLMTEERQKAIWAIYVWCRRTDELVDGPNADYMSSAVLERWEERLQDIFDGRPYDMFDAALSDTVYNFPLDIKPFRDMIEGMRMDTRKSRYKNFQELYLYCYYVAGTVGLMSVPVMGIAPESSVSAHSIYNAALYLGIGNQLTNILRDVGEDALRGRVYLPQDELAQFGLCDKDVLSKKVTDAWREFMKEQITRARFFFNLAEEGASQLDKASRWPVWSSLLLYRKILDAIEDNNYDNLTKRAYVGRTKKLLTLPLAYTRALSKPGLSSR >EOY32486 pep chromosome:Theobroma_cacao_20110822:9:30168063:30171459:1 gene:TCM_040428 transcript:EOY32486 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCHC-type integrase, putative MALALLRLFSFLVLLPILAAAQTVGNVTVGASLSAVENSSWISPSGDFAFGFNQLNNKDLFLLAIWYNKIPEKTIVWYANGERPAPRGSRLLLTADRGFVLTSPQGEQLWNTETINGVVRSGVLDDTGNLMLRGSNSILWESFKNPADTMLPSQKLDKGVALSSRQSDSNFTEGRFRMVLQSDGNLVLTTINLPSDHFNEPYYKSDTAGDFNSSSPGFQVVFNESGYLFVLRENEERFLLTTTITGSAKNFYYRATLNFDGIFSLYSHPKASTGNSRWTTVWSNPDNICTASLVTASSGVCGFNSICSLNAERRPNCGCPRGYTLVDPNDQYGSCKPNFTQSCEEEPAPVEDLYDFEVLTNVDWPLADYALLEPFTEEKCRESCLHDCMCAVAIFRLGDRCWKKKLPLSNGRVDPSLDGAKALLKVRKGDPPPLGPYFPNQEMKKKNQESLILALLVILGSSVIFNCIFVAAICLGFYFIYQKKLKTLPQFEGVVGTNLRSFTYKELVYATNEFKEELGRGAFGIVYKGALDMSSSSPVAVKRLNTMVHDTEKEFKTEVNVIGQTHHKNLVRLLGFCDDGDNRLLVYEYLSNGTLASFLFGDSRPSWSQRTQIAFGIARGLLYLHEECSTQIIHCDIKPQNILLDEHYNAKISDFGLAKLLLLNQSHTNTAIRGTKGYVAPEWFRNLPITVKIDVYSFGVVLLELTCCRRSVDRNCDLEERAILTDWAYDCYREGILDALVENDTDALNDRGKVERFVQVAIWCIQEDPSLRPTMRKATQMLEGVVEVPIPPCPYTTTVKFSL >EOY30390 pep chromosome:Theobroma_cacao_20110822:9:4476161:4480189:1 gene:TCM_037620 transcript:EOY30390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like/winged-helix DNA-binding family protein, putative MGNPKQKWTAEEEEALRAGVAKYGKGNWKSIQRDPELSPFLFARSNIDLKDKWRNLSGGSGGHGSREKSRTSKPKANSDGSTPQSSVPVKRNALSKPVADDSSKSFASKYAFLLSLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXMHLFGHFFFVITICVLCCLTLLYNCSRYNEMIIEAVSALKEPNGSDNRAIISYIEIDFEILSLIIPEQRQEVPQSFRKQLCSRLKRLVAVEKLEKVQNRYKMRKDETFGTKTPSPNQKGMRLKHVTCDSVEEASVTAAYLIAEAENKSFVAAEAVKEAERVSKMAEDMDSLLQLAKEIFETCSRGEILLMA >EOY32744 pep chromosome:Theobroma_cacao_20110822:9:33337455:33345056:1 gene:TCM_040774 transcript:EOY32744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSIFHFIIFIWVRLSISDLVLLTSASCCPHFVQQTSRQFEQKTDRFWEFREQSNSWVEVKPPVDLVSCVNDNCTKVGLIDQTTKAKEEDLQKEKDPSKQKKHLKTKEGDIGEIEENCWTVLPQRKRISLTKMSETSIWVTGESGSIYERFWNGVQWVIAPHDLQMSAGRAISVLIVNQTILAISEEGNLYQMQLGDSSQPIWVEFKPAFNQSTNKEAEQSSVVQIKSGTVTNDGLRVYFCTKNGLLLELSEVEPLRWENHGRPPGADVAAIADAVTVRTEVVYTISSTGDLYEYDKSSRPSWKKHLHSEETAEDGSLIPLKGCTIHGFSGDHSVSLFLLTQGGMLVERRLHQRKWKWISHGSPEAHHLTSITPPLEDEPKERFLPLFLTTSTGLVFEYRIQKHSGTAQENQISEAWLNHMHPPNTKVARGIAGLKFQLGRTMFALDDGRLAELHIPGLGGENSGPTHQFNMRKKSSSKYVWSILDAPETEGWNAEYCTEERGPMNCIAGIKDEPNDSGTTRLLTRRRKGNKAQQEYLSLRTSRSRLVKTSEENNFPDNWINSNFRLRVMYGGISFFVITDGGLTFEYLYTESVWLWLRHDHSTPMRGALGNYNGSLFFVDMYGTLLIRERSNNELTWINCTAMRKGRQVIGGPPWDGMPGKNMKVTAEDALFFVSKSGRLLQFTVALRQFKWKDCGNPPETKLACIIDQEIFRENIVFVVGRNGRLYQYNKVTELWHEHDQSQHLVLSRLPGTAMRPSLLSLTGSLFMLSEDGGLVEYHWNAWDGWNWVEHGTPCKDVTLVGPPGPCFEGNQLFLIGSDGNLYLRYMDQLTWRWKNCGFPRNGDKDQTETGAHDAQQEVCIDNDITASLGNNMENPNDPHRNCDPKVAATRPIPFSEDTVIFELKDGRLAEIQNVEDTQWVWVRIIGTPTSLCTASYWTALAA >EOY32745 pep chromosome:Theobroma_cacao_20110822:9:33337455:33345056:1 gene:TCM_040774 transcript:EOY32745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSIFHFIIFIWVRLSISDLVLLTSASCCPHFVQQTSRQFEQKTDRFWEFREQSNSWVEVKPPVDLVSCVNDNCTKVGLIDQTTKAKEEDLQKEKDPSKQKKHLKTKEGDIGEIEENCWTVLPQRKRISLTKMSETSIWVTGESGSIYERFWNGVQWVIAPHDLQMSAGRAISVLIVNQTILAISEEGNLYQMQLGDSSQPIWVEFKPAFNQSTNKEAEQSSVVQIKSGTVTNDGLRVYFCTKNGLLLELSEVEPLRWENHGRPPGADVAAIADAVTVRTEVVYTISSTGDLYEYDKSSRPSWKKHLHSEETAEDGSLIPLKGCTIHGFSGDHSVSLFLLTQGGMLVERRLHQRKWKWISHGSPEAHHLTSITPPLEDEPKERFLPLFLTTSTGLVFEYRIQKHSGTAQENQISEAWLNHMHPPNTKVARGIAGLKFQLGRTMFALDDGRLAELHIPGLGGENSGPTHQFNMRKKSSSKYVWSILDAPETEGWNAEYCTEERGPMNCIAGIKDEPNDSGTTRLLTRRRKGNKAQQEYLSLRTSRSRLVKTSEENNFPDNWINSNFRLRVMYGGISFFVITDGGLTFEYLYTESVWLWLRHDHSTPMRGALGNYNGSLFFVDMYGTLLIRERSNNELTWINCTAMRKGRQVIGGPPWDGMPGKNMKVTAEDALFFVSKSGRLLQFTVALRQFKWKDCGNPPETKLACIIDQEIFRENIVFVVGRNGRLYQYNKVTELWHEHDQSQHLVLSRLPGTAMRPSLLSLTGSLFMLSEDGGLVEYHWNAWDGWNWVEHGTPCKDVTLVGPPGPCFEGNQLFLIGSDGNLYLRYMDQLTWRWKNCGFPRNGDKDQTETGAHDAQQEVCIDNDITASLGNNMENPNDPHRNCDPKVAATRPIPFSEDTVIFELKDGRLAEIQNVEDTQWVWVRIIGTPTSLCTASYWTALAA >EOY31924 pep chromosome:Theobroma_cacao_20110822:9:17902573:17905378:-1 gene:TCM_039289 transcript:EOY31924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase family protein MENKSIIVVSFILLPLLFTIASAQTRLRPDFYRTTCPNVESIVRSAVQTKFQQTFVTAPGTLRLFFHDCFVRGCDASVMLTGNGNAEKDHADDISLAGDGFDTVIKAKAALDSNPQCRNKVSCADILALATRDVVALTGGPNYRVELGRRDGRISTKASVENQLPHPDFNLDQLNSMFARHGLTQTDMIALSGAHTLGFSHCGRFSNRIYNFSPRRKIDPTLNFAYALQLRQMCPRNVDPRIAINMDPTTPRTFDNVYYKNLQQGKGLFTSDQILFTDRRSRNTVKLFASNNGAFQNAFVAAITKLGRAGVLTGNQGEIRRDCTQPN >EOY33396 pep chromosome:Theobroma_cacao_20110822:9:37295077:37317032:-1 gene:TCM_041373 transcript:EOY33396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF584 [Source:Projected from Arabidopsis thaliana (AT3G15040) TAIR;Acc:AT3G15040] MCCHQSPMNNPTRPRPTMEINGPSRFRHPKSPSTDRFLGVYSHAPPFDQPSSSSAAAEELNEDDIFFSGDFSDNSNGYNSAGGFQNSLHQISPSSSPRNHKSFPHSENFGILAAIRDPSRPHFHFYQKASISTSASSSSSATSVSSSSSSSSSRLIPSIPKPPQERIPIVSSYSSSLGRFHQSAPVNVPILAKTMGRNREFDNDYDLDVEEEREMLPPHEIVARSLAQSPMLACSVLEGVGRTLKGRDLRQVRNAVWRQTGFID >EOY30280 pep chromosome:Theobroma_cacao_20110822:9:4052575:4055968:-1 gene:TCM_037546 transcript:EOY30280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin protein subfamily 3 member 3 MDKPRLGKLLRTLVCLRAAVINLDIGWELRSMLADNPRLHIRVDTGLVTHCLRAQPKTLKHAYRIVAKMLLHILFIFSFLFSSSNALVGDFCVADLKGLQSPAGYSCKTAVTVDDFVFSGLGAAGNTSNLIKAAVTPAFDAQFPGVNGLGVSIARLDLAVGGVVPMHTHPGGSEILLVVQGSICAGFISSANKVYFKSLKRGDIMVFPQGLLHFQINAGKTLALAFASFSSPNPGLQILDFALFGNDLPTEIIKQVTFLDDAQVKKLKRVLGGIN >EOY29524 pep chromosome:Theobroma_cacao_20110822:9:1450363:1458365:-1 gene:TCM_037034 transcript:EOY29524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MAATGQSKAFVISISYLFFSSLIGIILAAVPKQQELDRISSLPGQPPVTFSQFSGYVTVNEKHGRALFYWLTEAITVPEKKPLVLWLNGGPGCSSVAYGASEEIGPFRINKTGSSVYLNKYSWNKEASILFLESPAGVGFSYTNISSNLEDSGDSRTAQDALMFLIRWMSRFPQYKHREFYIAGESYAGHYVPQLAKKIHDYNKAYSHPIINLKGFIVGNAVTDNYYDSIGTVAFWWSHSMISDRTYKAIMKHCNFTAEKSSQKCDDAVSYAMNHEFGDIDQYSIYTPSCLALTNNSARHIRLKNTLLHRRVSGYDPCTENYAEKYYNRPDVQKAMHANTTGIPYKWTACSDVLIKNWKDSENSVLPIYKELIAAGLRIWVFSGDTDSVVPVTATRFSLSHLNLHIKTPWYPWYSGNQVGGWTEVYQGLTFATVRGAGHEVPLFQPKRAFILYKSFLAGKELPKS >EOY29584 pep chromosome:Theobroma_cacao_20110822:9:1662332:1664071:1 gene:TCM_037083 transcript:EOY29584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein MSILPKSESIHIREVWEENLGSEIELIKNTVDEYPYIAMDTEFPGIVLRPIGNFKSSFEYNYQTLKANVDLLKLIQLGLTFSDDKGNLPTCGTDKFCVWQFNFREFDPNEDVYANDSIELLSQSGIDFKKNKEKGVSAHRFSELLMSSGVVLNDSVHWVTFHSGYDFGYLLKLLTCKNLPETQAEFFSLIDIYFPTLYDIKHLMKFCNSLHGGLNKLAELLDVERIGICHQAGSDSLLTSCTFMKLKENFFSGSTEKYAGVLYGLGVENGQNTH >EOY34562 pep chromosome:Theobroma_cacao_20110822:9:41444880:41447856:1 gene:TCM_042190 transcript:EOY34562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MAFARRFTSLAELSLPFQSHRFLAPQFYQTFFWRHSLRRISTRICNHQNPSFVLRKIQPKTRECRLFKSVELDQFLTSDDEDEMSEGFFEAIEELERMTREPSDILEEMNDRLSSRELQLVLVYFSQEGRDSWCALEVFEWLKKENKVDNETMELMVSIMCSWVKKLIEGEGDVGDVVDLLVDMDCVGLKPGFSMIEKVISMYWEMEKKDRAVVFVKEVLRRGISYEDEDGEGQKGGPTGYLAWKMMVEGNYRDAIKLVIELRESGLKPEIYSYLIAMTAIVKELNEFAKALRKLKGFARSGLVAELDMENVELIKKYQSDLLADGLRLSNWAIQEGTSSLFGLVHERLLAMYICAGRGLEAERQLWEMKLAGKEADGDLHDIVLAICASQKEASAISRLLTRMEVSSSLRRKKTLSWLLRGYIKGGHISDAAETVIKMLDLGLHPEYLDRAAVLQELRKRIQQPGNIETYVNLCKRLYDASLIGPCLIYLYIKKYKLWVIKML >EOY32803 pep chromosome:Theobroma_cacao_20110822:9:33734753:33737569:1 gene:TCM_040829 transcript:EOY32803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRTFGRSCYPWIRSYNTNKMQPKLKKYVFVGYSLHQIAYLCYDPVSYKTYVSRHVVFYESRFPYMHLDNTLSRVQLSTTSSWISITTSPPKYSVPFTSSNTNLPLRFAQNQTRNITNLTAKLPFSLSLEPSSVSQALTDHNWCKVMFDEFDALIHNGTWELYLIILIKTLLAINGCFASKDTLMVVLNATIRIVLTIALSQGWQLRQMDVNNAYLLRTLSKDVSMTQPPGFIDSTHPTCVRKALYGLKQAPREWYKALSSFLIHFGFIQSKSDASLFIHLDGTAIAYLLVYADDIILTSNSNSFTDEKYIHDTLDRFHVTAAKEVSTPMATKCSLSSRDHTELGPLLPSSPTVYYDNVGTTYVFENPIFQSKMKHIAIDFHFVHNKVTKGDLNVSLISSRDQLADVLTKPLPHSSSCLLRSKLGVCNGITSLRGHVKDNDT >EOY30026 pep chromosome:Theobroma_cacao_20110822:9:3092764:3095327:1 gene:TCM_037375 transcript:EOY30026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRIPFPGKFPSLEKIENLLVKKRNETFFLRCEVFGRVIEQYCSKTSHRILCQSCICKASSRCNYYSIRTYVENYCGGIWLQ >EOY29286 pep chromosome:Theobroma_cacao_20110822:9:645825:649597:-1 gene:TCM_036873 transcript:EOY29286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein METKITVFSSTSNLCTLLILVVFSFAVSPSHASLSFNFYAISCPGAEFMVSNTVRSASSADPTIPGKLLRLLFHDCFVEGCDASVLLQGNGTERTDPANTSLGGFSVIDSAKRVLEIFCPGTVSCADIIALAARDAVAIAGGPSVQIPTGRRDGRISNAANVRPNIVDTSFTMDEMTKLFSSKGLSLDDLVTLSGAHTIGLAHCNAFSDRFRQDSKGKLTLIDTSLDSTYAEELMKKCPAGASTSTTVSNDPETSLAFDNQYYTNLLAHRGLFQSDSVLVKDGRTRKQVEAFANDQESFIRSWGESFLKLTTIGVKTDDEGEIRQSCSFAH >EOY31624 pep chromosome:Theobroma_cacao_20110822:9:10582300:10586692:1 gene:TCM_038599 transcript:EOY31624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose phosphatase/synthase 11 MVSSYCLDQLNLLSTDDFGIMKRIPRVMKVPGVISEFEEDQQTKSLKRVIIVSNQLPLRASRDLFSNEWRFEFDENDLLALLKEAVPPDTEVRYVGTLKADIDVADQDEVAHVLREKFSCETIFLPVDMQNKFYHGFCKHYLWPLFHYMMPRTGSDGVRFDRSQWQAYVSANKIFADKVLEVTDRDDEDEDHVWVHDYHLMALPTFLRRRSHRVKLGFFLHSPFPSSDIYKALPVRDDILRALLNCDLIGFHTFDYARHFLSSCRRILGLHSESNRGYIALEYYGRTVTIKILPAGIHMGQLESVMSEDSTVKKAKELKEKYEGKIMMVGVDDLDLFKGITQKFSAMGELLETNQELRGKVVLVQITNPARSSGQDVQEVLDEANRIAKEVNNKYGQPGYEPIVFIKGPVTTQEKFAYYAIAECCVVTPVRDGMNLVPYKYTACKQGCPVLDKALGVDENSPPKNSVILVSEFIGCSPSLSGAIRVNPWNTSEMASAMYSAIDLPETEKQMRHEKHYKYISSHDVAYWARSFIQDLERACKDHYHKRYWRIGLGLAFRLVALEPNFRKLLGDTLNSAYKRTNSRLILLDYDGTMMPPTSVNKGPSHEVISVLNRLCDDPKNIVFIVSGRDRDTLSKWFSSCEKLGIAAEHGYFTRWTRDSPWETNRSMDLSWKEVVEPIMQLYMEATDGSSIENKETALVWHHQDADPDFGLFQAKELHDHLENVLANEPVVVKRGQQIVEVKPQGLSKGIVVENLISTMRGRGKSPDFLLCIGDDRSDEDMFESIARSSANPTLPTIAEIFACTVGQKPSMAKYYVDDIVEVIGLLRGIAEASVQPN >EOY31617 pep chromosome:Theobroma_cacao_20110822:9:10519188:10524719:1 gene:TCM_038589 transcript:EOY31617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSETHDHDQHQRKPTKSFAFAPSPFFLKLFSDTFKIFFRNKHILFPILFFFTLPLSLLLFSLSFSSRPLKRHIFQLESVALHSSTRFEARHVLKESREEALSLLRLKLLFSIPSSVLSLLSFISTVNVASLSPPHRPSFLSTASAIKFAWKRVVVTSICSYALFLFYIHLPQLFTAAFRSHPRLSLSILVIGSSFEVYLMGVLGLGLVVSALEETYGWDAIRVGSDIMAERRFCWWLVTGMLVAVSGWTGNQFEKLTDGEDSVKSGIWTVVKGWETVGLSWFYGVVVIWSFIVTAVFYCDCKKRLINKDVNEAPSVAG >EOY30575 pep chromosome:Theobroma_cacao_20110822:9:5024875:5026857:1 gene:TCM_037734 transcript:EOY30575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol oxygenase 2 isoform 2 MRKEYSKLNRMEMSIWECCELLNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPDEDWLHLTALIHDLGKVLLLPKFGGLPQWAVVGDTFPLGCAFDEANIHHKYFKENPDCNNPAYNTKNGIYSDGCGLDNVTISWGHDDYMYLVAKENGTTLPSAGLFIIRYHSLYPLHKEEAYMHLLNDEDKENLKWLRIFNKYDLYSKSKVLVDVEKVKPYYLSLIEKYFPSKLKW >EOY30574 pep chromosome:Theobroma_cacao_20110822:9:5024377:5026888:1 gene:TCM_037734 transcript:EOY30574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol oxygenase 2 isoform 2 MTILIEKPEIESQAECQKHVEENNELVLDGGFPVPTCLSDDAFLAPEINSFGHSFRDYDAESERQKSVEEFYRQQHINQTYDFVQQMRKEYSKLNRMEMSIWECCELLNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPDEDWLHLTALIHDLGKVLLLPKFGGLPQWAVVGDTFPLGCAFDEANIHHKYFKENPDCNNPAYNTKNGIYSDGCGLDNVTISWGHDDYMYLVAKENGTTLPSAGLFIIRYHSLYPLHKEEAYMHLLNDEDKENLKWLRIFNKYDLYSKSKVLVDVEKVKPYYLSLIEKYFPSKLKW >EOY31180 pep chromosome:Theobroma_cacao_20110822:9:7415892:7420966:-1 gene:TCM_038161 transcript:EOY31180 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein isoform 1 MSGAGTQKSLRKALGAIKDTTTVSLAKVNSDYKELDIAIVKATNHYERPAKEKHIRAIFAAISATRPRADVAYCIQALARRLSRTHNWAVALKTLIVIHRALREVDPTFHEEVINYGRSRSHMLNMSHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVMKYDIEMDRPRTKDLDTAELLEQLPALQQLLFRVLGCQPQGAAVHNFVIQLALSMVATESVKVYQAISDGTVNLVDKFFEMQRPDAIKALDIYRRSGQQAERLSEFYEVCKSLDVGRGERFIKIEQPPASFLQAMEEYVREAPRASTVRKDQVDKPKEVLAIEYKKTPEVQEECKRSPSPPPPEPEKVEKVEEPIVEPPDLLGLNNSVPVASELDEKNALALAIVPAEQMTSAAAPVQTNGTTGWELALVTAPSSNDSATAASKLAGGLDKLTLDSLYDDAIRRSNQSVTYNPWEPAPMSGAMMQQPAHDPFYASNMVPAPPSVQMAAMANQQQAFMLQQQVMMMGPQQQASNPFGNPYGASVHPYGSGKKKYANCQL >EOY31181 pep chromosome:Theobroma_cacao_20110822:9:7415494:7422041:-1 gene:TCM_038161 transcript:EOY31181 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein isoform 1 MSGAGTQKSLRKALGAIKDTTTVSLAKVNSDYKELDIAIVKATNHYERPAKEKHIRAIFAAISATRPRADVAYCIQALARRLSRTHNWAVALKTLIVIHRALREVDPTFHEEVINYGRSRSHMLNMSHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVMKYDIEMDRPRTKDLDTAELLEQLPALQQLLFRVLGCQPQGAAVHNFVIQLALSMVATESVKVYQAISDGTVNLVDKFFEMQRPDAIKALDIYRRSGQQAERLSEFYEVCKSLDVGRGERFIKIEQPPASFLQAMEEYVREAPRASTVRKDQVDKPKEVLAIEYKKTPEVQEECKRSPSPPPPEPEKVEKVEEPIVEPPDLLGLNNSVPVASELDEKEEICQLSAVNSEHGKCLKE >EOY34009 pep chromosome:Theobroma_cacao_20110822:9:39674200:39676586:1 gene:TCM_041819 transcript:EOY34009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab5-interacting family protein MKETKSIKFNAQQHQQHENGHFSPFKFAKLLDPEASWDKDQLGDVLHWIRQVVALFCGLLWGAIPVVGGIWIFLFLAISTGIIYGYYAMILKIDEEEFGGHAALLQEGLFASITLFLLAWILVYSLAHF >EOY34393 pep chromosome:Theobroma_cacao_20110822:9:40885432:40890980:-1 gene:TCM_042075 transcript:EOY34393 gene_biotype:protein_coding transcript_biotype:protein_coding description:T6D22.12 isoform 3 MGTVHRSGVFKKTNDSARIIIITILGVVFGFFIGVSFPYASLNKIHLPSSLISSLDVALPDDNDFPRDRSPETTGGNVPKIYVPTNPRGAELLPPGIVVAESDLYLRRLWGEPGEDLKKKPKYLVTFTVGIAQRNNIDACVKKFSEDFQILLFHYDGHTTEWDQFEWSKNAIHVSVRKQTKWWYAKRFLHPDIVASYEYIFIWDEDLGVEHFNGERYIELVKKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKITEEKPGWCSDSHLPPCAAFVEIMAPVFSREAWRCVWYMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGSQQGKQEDGKAPWEGVRARCKNEWSMFQNRLASADKAYLAQLGKE >EOY34392 pep chromosome:Theobroma_cacao_20110822:9:40885306:40891100:-1 gene:TCM_042075 transcript:EOY34392 gene_biotype:protein_coding transcript_biotype:protein_coding description:T6D22.12 isoform 3 MGTVHRSGVFKKTNDSARIIIITILGVVFGFFIGVSFPYASLNKIHLPSSLISSLDVALPDDNDFPRDRSPETTGGNVPKIYVPTNPRGAELLPPGIVVAESDLYLRRLWGEPGEDLKKKPKYLVTFTVGIAQRNNIDACVKKFSEDFQILLFHYDGHTTEWDQFEWSKNAIHVSVRKQTKWWYAKRFLHPDIVASYEYIFIWDEDLGVEHFNGERYIELVKKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKITEEKPGWCSDSHLPPCAAFVEIMAPVFSREAWRCVWYMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGSQGKQEDGKAPWEGVRARCKNEWSMFQNRLASADKAYLAQLGKE >EOY34394 pep chromosome:Theobroma_cacao_20110822:9:40885306:40891100:-1 gene:TCM_042075 transcript:EOY34394 gene_biotype:protein_coding transcript_biotype:protein_coding description:T6D22.12 isoform 3 MGTVHRSGVFKKTNDSARIIIITILGVVFGFFIGVSFPYASLNKIHLPSSLISSLDVALPDDNDFPRDRSPETTGGNVPKIYVPTNPRGAELLPPGIVVAESDLYLRRLWGEPGEDLKKKPKYLVTFTVGIAQRNNIDACVKKFSEDFQILLFHYDGHTTEWDQFEWSKNAIHVSVRKQTKWWYAKRFLHPDIVASYEYIFIWDEDLGVEHFNGERYIELVKKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKITEEKPGWCSDSHLPPCAAFVEIMAPVFSREAWRCVWYMIQIHNGLFIK >EOY34391 pep chromosome:Theobroma_cacao_20110822:9:40885625:40889816:-1 gene:TCM_042075 transcript:EOY34391 gene_biotype:protein_coding transcript_biotype:protein_coding description:T6D22.12 isoform 3 MGTVHRSGVFKKTNDSARIIIITILGVVFGFFIGVSFPYASLNKIHLPSSLISSLDVALPDDNDFPRDRSPETTGGNVPKIYVPTNPRGAELLPPGIVVAESDLYLRRLWGEPGEDLKKKPKYLVTFTVGIAQRNNIDACVKKFSEDFQILLFHYDGHTTEWDQFEWSKNAIHVSVRKQTKWWYAKRFLHPDIVASYEYIFIWDEDLGVEHFNGERYIELVKKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKITEEKPGWCSDSHLPPCAAFVEIMAPVFSREAWRCVWYMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGSQGKQEDGKAPWEGVRARCKNEWSMFQNRLASADKAYLAQLGKE >EOY29516 pep chromosome:Theobroma_cacao_20110822:9:1429028:1431092:1 gene:TCM_037028 transcript:EOY29516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase, putative MEITVKGSSLVRPAKETPKESHKVSNLDMVMAPYYATQVYFYKPNGSSDFFKGQVLKEALSKTLVPFYPMAGRLGSDENGRFEIICNAEGVLWVEAETTCAVDDLGNFAPSLKLRQLVPTVDYSKDTFSHPLFMAQVTVFKCGGVSLGIRIHHNFVDGTTSLHLINSWSEIARGLPLISRAPLIDRTLLRARVPPTPKFHHAEYDPPPPLNTSMSIFKSHANPKPLSVSVFKITLNQLNTLKAMSFKNENSTKYSTYTILAAYIWRCACKARGLLDDQPTKLYMPTNGRPRLHPPHPSAYLGNVIFTASSIALSGNL >EOY34656 pep chromosome:Theobroma_cacao_20110822:9:41701647:41703605:1 gene:TCM_042255 transcript:EOY34656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative isoform 2 LAFASDAGKLFGWLSGIAAKYLPIWLVLILGSAVGLIGYGLQYLFLIGRISNLPYGLIFFLTILAGNSICWINTVSYLVIIRNFPLDRQLAIGLTGSYLGLSAKIYTDIVDVVVPSSLVQRARAYLFLSSVLPFTVSIIAAPVVRVINVGKTKRTKVALILILVITVATGVFAVMGSLGSASSWLSPFTSLVGIVALLLAPLLIPLGQQIRQLIVARLGYNRAEKVYVEENVSMEKMERGVKETESVSETRENTFELSFRENIRETDELSESGDDDISETKEISVKEEIGVKLMVRRLNFWLYFFVYLFGITLGLVFFNNLGQIAESRGCSPSALVSLSSSFGFFGRLIPSLLDYFFSSINGPNRRSFLLTSQHQQRLSLHQHRHHRSLYRSNHFHFRTSNYRALRDKKFRREP >EOY34655 pep chromosome:Theobroma_cacao_20110822:9:41701221:41703860:1 gene:TCM_042255 transcript:EOY34655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative isoform 2 MCGSDAKTTSFLVQKPRPRFILHRPRYRFHLSPNHFTLPLNTPITALQKSFFQFYNSLALAMPRTALQWLSLVGIIWLQSINGTNTNFPAYSSQLKNLLSISQIQLNNLAFASDAGKLFGWLSGIAAKYLPIWLVLILGSAVGLIGYGLQYLFLIGRISNLPYGLIFFLTILAGNSICWINTVSYLVIIRNFPLDRQLAIGLTGSYLGLSAKIYTDIVDVVVPSSLVQRARAYLFLSSVLPFTVSIIAAPVVRVINVGKTKRTKVALILILVITVATGVFAVMGSLGSASSWLSPFTSLVGIVALLLAPLLIPLGQQIRQLIVARLGYNRAEKVYVEENVSMEKMERGVKETESVSETRENTFELSFRENIRETDELSESGDDDISETKEISVKEEIGVKLMVRRLNFWLYFFVYLFGITLGLVFFNNLGQIAESRGCSPSALVSLSSSFGFFGRLIPSLLDYFFSRRKYMIPRTAFLVALMVPTGGAFFLLLSTNNVSLYISTAIIGACTGAITSISVPLTTELFGTKNFAVNHNVVVVNIPIGSLLFGYLAALVYRKEENADGKCVGTECYGKTFILWGSLGFLGTFLAFILYARTRKFYSSQRS >EOY33065 pep chromosome:Theobroma_cacao_20110822:9:35321658:35333394:1 gene:TCM_041074 transcript:EOY33065 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal isoform 1 MAAAAGQDRASPPSKPSKFSVYQNPTLSAALTATSLQPSKSTILCIFFLLSASAFALLSITSRGNLLADKLKFGDLSHEVACIFAKAIQTALGVVFIGTIFALFKAISLHRARSIGCVPAVSPSKGTKDQPCLTKRQLGLLGIKPKVEQVVLESSKKPPKSKPLVTSSPSDVLVPLHLPINGSDRKSRVSSNKSNTSGGNKMNSFATPTRSQGSPSSLYLVPASTSALSSVQTSPGQEHVAKTPWSIKRASSTKEITTEEQLELFLAEVDEKITESAGKLATPPPTVSGFGVASPNTVASSVNTSGTTRSTPLRPVRMSPSSQKFTTPPKKGEGDLPPPMSMEESIEGFEHLGIYPQIEQWCDRLRQWFASVLLNPLLNKIETSHIQVMQAAAKLNISVTISQVGSDQPTNGSPATMSPPDRMKEWQPTFTLEEEGLLHQLRATLVQALEASMSKPLANQQQSPQQNPLIPVMQECVDAITEHQRLHALMKGEWMKGLLPQSSVRADYTVQRIRELAEGTCLKNYEYLGSGEVYDKKNKKWTRELPTDSHLLLYLFCAFLEHPKWMLHVDPNSYAGAQSSKNPLFLGVLPPKDRFPEKYIGIISGVPLTLHPGACILAVGKQSLPIFALYWDKKLQFSLQGRTALWDSILLLCHRIKVGYGGMVRGMHIGSSALNILPVLDPENED >EOY33066 pep chromosome:Theobroma_cacao_20110822:9:35328175:35333541:1 gene:TCM_041074 transcript:EOY33066 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal isoform 1 MAAAAGQDRASPPSKPSKFSVYQNPTLSAALTATSLQPSKSTILCIFFLLSASAFALLSITSRGNLLADKLKFGDLSHEVACIFAKAIQTALGVVFIGTIFALFKAISLHRARSIGCVPAVSPSKGTKDQPCLTKRQLGLLGIKPKVEQVVLESSKKPPKSKPLVTSSPSDVLVPLHLPINGSDRKSRVSSNKSNTSGGNKMNSFATPTRSQGSPSSLYLVPASTSALSSVQTSPGQEHVAKTPWSIKRASSTKEITTEEQLELFLAEVDEKITESAGKLATPPPTVSGFGVASPNTVASSVNTSGTTRSTPLRPVRMSPSSQKFTTPPKKGEGDLPPPMSMEESIEGFEHLGIYPQIEQWCDRLRQWFASVLLNPLLNKIETSHIQVMQAAAKLNISVTISQVGSDQPTNGSPATMSPPDRMKEWQPTFTLEEEGLLHQLRATLVQALEASMSKPLANQQQSPQQNPLIPVMQECVDAITEHQRLHALMKGEWMKGLLPQSSVRADYTVQRIRELAEGTCLKNYEYLGSGEVYDKKNKKWTRELPTDSHLLLYLFCAFLEHPKWMLHVDPNSYAGAQSSKNPLFLGVLPPKDRFPEKYIGIISGVPLTLHPGACILAVGKQSLPIFALYWDKKLQFSLQGRTALWDSILLLCHRIKVGYGGMVRGMHIGSSALNSEDNLDQNL >EOY34749 pep chromosome:Theobroma_cacao_20110822:9:42024757:42035115:-1 gene:TCM_042329 transcript:EOY34749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAGRPPDPSNPPPPPVSSFSMLQGTNQNTKDPKNSQPPVNNDGLQAIDFQKTPVSPRAQKKSFLSVAAGEKLQIIPTNREPFWYRDRPAVAFFEDEIVALAQPFKHSMVGKFSRMPKLNDIRAAFKGISLVGVYEIRWLDYKHILIHLSNEQDLNRLWMRQAWFIANQKMRVFKWTPDFQPEKESSLVPVWISFPNLRAHLYEKSALLMIAKSVGRPLFVDEATANGTRPSVARVCVEYDCQQPPLEQIWIVTRDRRTGDITGGFQQKVDFAKLPNYCTHCCHVGHSASTCLVMGHRMEKAENSNAQPYTGRKQAENERKEVANKPTGDPMSSKGTDRKNIEKRPTAADTVPGGDVAAAVEKKKKNPSREIPTKVFPRWQVVGSLDRPAVQVSIGAKTVLENVGKEQYSSLNRFTVLGSVEKEENEEQQQMEKQGQKDDENSAPERKNLLSGPPVEVEDRQNNGAVKTCGFQEGRRLASVNPKPQQQQFFSSKKVEDTADVVHITAVEEQTMVAVYKKDDRISVGAEFGADFPVKPQAVEGGKQEVFHVNRVHGQRENFFGKRETPPADKSYAIVPPVAPAAGKSDVRCSLKGERDMKLTTPAEVVPAFAVTVMHGDGQQKAESGSGAKNESGASNKNNFLGALQCTAERQDNATFGISEMQEDLKMGSNAFTANMQQQIMDDKGEKLFTVGKEAISSISATSRFSGHGRLDDVAGTGYVLDDSELLNGDQQNIQRGEENTQKITVRKHKLKKKAKPVLTGLVPVMVIENDEVFLKEAEPAASPQLVSCHSSLAEENVHVSKIAAEKYERQEGHDENDPNKGLNLTVCGFNKELSFVPSNAGTSSFTSHAAHAKKDDPGGDNLEISSMQPLADSDSVNLEVHPCIARRRKSESSLDHEYVRMRLGFETVISNVSHKIWIFCSEEIGCEILLDHVQYLHVKITVPWLSHPIFSSLVYAKCTRQERLELWNCLRSISWDMQGPWMVGGDFNSILSSAERLHGAHPHSGSMEDFATMLLDCGLLDAGYEGNNFTWTNNHMFQRLDRVVYNHEWADCFNNTRIQHLNRDGSDHCPLLISCNNTVQRGPSNFRFLHAWTHHHDFIPFVERSWRVPMQATGMLVFWQKQQRLKRDLKWWNKQIFGDIFHNLKLAEAEAAERELHFQQDPSILNRNLMHKAYAKLNRQLSIEESFWQQKSGVKWLVEGERNTKFFHMRMKKKRVRGHIFRIQDQEGNIIEEPSLIKYSAVDFFQNLLKAENCDLSRNMNRSLASRLIIKKVVLSPPMVVHCQEGKSYPIPQIRDRISGWENKILSPGGRITLLRSVLSSQPMYLLQVIKPPVTVIEKIERLFNSFLWGDSNDGKKLHWTAWSKITFPVSEGGLGIRNLRDVFEAFSLKLWWRFQTCNSLWTRFLKTKYCLGRIPHFVQPKLHDSQVWKRMIFGRDVALQNIRWGIGKGELFFWHDCWMGDLPLSNLFPSFHNDMSHVHKFYNGDGWDIVKLNSCLPMSLIDEILQIPFDRSQEDIAYWALTSNGDFSLWSAWEAELQALLRGLLLCKERNITNLWIEMDALVAVQMIQQSQKGSHDLRVAGPCENFQYKKKKKKTKKESMQNALNQHDFPSLSTTHGLLSGRPPEPPHLPPAAPAPPAAETTTLLTTNPPSIWTKNSRLPLSHGCQQTTPTQIQPPPSPRSQKKSFLSIVSGDKPPVIPLSRDPLVFKDRPAAAFFEDEIQTLAQPLKLSLVGKFSRMPKLQDVRSAFKGIGLTGAYEVRWLDYKHVLIHLSNEQDCNRVWTKQVWFIANQKMRVFKWTPEFEPEKESAVVPVWIAFPNLKAHLFEKSALLLIAKTVGKPLFVDEATANGSRPSVARVCIEFDCRRPPIDQVWIVVQNRETGTVTSGYPQRVEFSQMPAYCDHCCHVGHKENDCIVLGNKDKSLGLSKSQSLRTLAVEKKTGYGGGSEKNLEKRKNPEKEKIVRPEEPASLRWQQVSKAGISGTKDQQGKEIVPVLNRFQAISEDRDESQNRDVRQTEGTIQGIEVVADARVQAGKPQADMRKALVMEEQYNAKVNIEQQNGIEKVELSTTKQSSPSGGKVTGIPEVGEVLFRDRIEEQRAGKEGQNGSSRQGGTENEAVFSVNSQTSEDATHATIHENRKQKQNEKTEGDGEMEESTGADVQDCIVGPGKMTTDGLNKKKKESQKLFSRPQDKDKYVLNPGLGHEQLASVPTAGQQKPRPPTALHGRQVQTSHVSPDVQTLFHGNEIQGQPDNAADVEGSPTKLKKGNEQEPFDVHGLHGQKGGFTSEKTWTDPTRGETPVKVAVGRTATDPFPHVTEQRDPTNSEASKKGREQIESAGTDMTSGQQKMQEATGENSNKYFSNSPLHGSVRTGENLALKNSSPPPRLSEAPRKILLKQRNATKANGVENMAAQHETVDPVVATPRVGIDERPSDSYGGANTPNQATNISTNTINQKGNRSGRQKKGIAKTTLHGDESLTPTKPTGTREVEMTPPIEGEGTAAGGFTRSPPRHELEDHILGLKAQETTLAIQHDGTLLQKAEYAGTSQNLNNDILEPSTQTTARTQKKEHRIAQSGSRLQNLLSDILEGSGEHVPIEEEGTS >EOY31316 pep chromosome:Theobroma_cacao_20110822:9:8096503:8097266:1 gene:TCM_038268 transcript:EOY31316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTNSEEKPIFMHKHSPLQGEDVDDHEEEVWDKGCDWFSLFCLKWRRNDIEETDSLVHQRGEPTQTWWKSKLKKVKEVSEKLAGPKWKNFIRKMSGYCNKRRTRKNRFQYDSYSYALNFDDGADKEGDDLLQDFSDRFVAPFSDERQRAGSGM >EOY32766 pep chromosome:Theobroma_cacao_20110822:9:33435328:33442323:-1 gene:TCM_040793 transcript:EOY32766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Saccharopine dehydrogenase isoform 2 MAPSLLHLKTAAVAVESVKAAEHDNITRVQLPDKTRNSRVLVLGGTGRVGGSTATALSKLCPDLRIVLGGRNRENGAAMVATLGNNSEFAEVNIDNKDSLEAALSDVDLVVHAAGPFQQAQKCNVLEAAIETKTAYLDVCDDTSYAFRAKSFKDIAVEANIPAITTGGIYPGVSNGNIPVRCPQFKCTKLVGALKTLMAAELVRAARSESKGEPERLRFSYYTAGSGGAGPTILATSFLLLGEEVVAYNRGQKIKLKPFTGMLNVDFGKGIGKRDVYLLNLPEVRSAHEVLEVPTVSARFGTAPFFWNWGMEAMTNLLPTEFLRDRSKVQQLVQLFDPLVRAVDGIAGERVSMRVDLECSDGRNTLALFSHRRLSVRHWVLHNSQKCFIGCFELDMMYAEFIYSRLVGSATAAFALAILEGSTQPGVWFPEEPEGIAIEAREVLLERAAQGTISFVMNKPPWMVETDPKELGLGIYV >EOY32767 pep chromosome:Theobroma_cacao_20110822:9:33435451:33442360:-1 gene:TCM_040793 transcript:EOY32767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Saccharopine dehydrogenase isoform 2 MAPSLLHLKTAAVAVESVKAAEHDNITRVQLPDKTRNSRVLVLGGTGRVGGSTATALSKLCPDLRIVLGGRNRENGAAMVATLGNNSEFAEVNIDNKDSLEAALSDVDLVVHAAGPFQQAQKCNVLEAAIETKTAYLDVCDDTSYAFRAKSFKDIAVEANIPAITTGGIYPGVSNVMAAELVRAARSESKGEPERLRFSYYTAGSGGAGPTILATSFLLLGEEVVAYNRGQKIKLKPFTGMLNVDFGKGIGKRDVYLLNLPEVRSAHEVLEVPTVSARFGTAPFFWNWGMEAMTNLLPTEFLRDRSKVQQLVQLFDPLVRAVDGIAGERVSMRVDLECSDGRNTLALFSHRRLSVLVGSATAAFALAILEGSTQPGVWFPEEPEGIAIEAREVLLERAAQGTISFVMNKPPWMVETDPKELGLGIYV >EOY32705 pep chromosome:Theobroma_cacao_20110822:9:32958653:32963597:1 gene:TCM_040732 transcript:EOY32705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-related, putative MERISMGTTITRRAKWQYPPAQPTPRILHLPRRPRRKAPKPSPSKLPSSQKERKGKLESLFDQERSFTRGVVPVVLVSPRESDAERRRERVEEEKRENSAVVLVEEEKWRFQAEMLRAECNLLRMEREIAGRKSIYEGKNVSLVLEEQINDLVEKLEKMQKRSGIKDLDVKKCSNFDKQASFLQRRLEKFGGISDEEICVKEIREMAEASLSVKVSSEADENFVSNRSSNVEILRRRMEGLSKGILLERMKEEYGSMLSTANSSATSSASSSKRIDFSDLSLSPIQQSYKESMPHEARVCSGHCKAIVQGIVEEVRAETEQWSQMQEMLGQVRDEMEELQASRDFWEDRALDSDYQIQSLQSAVKEWRQKALSSEAKANELQEQISVLNEEIERLRKERERKIVRARNRSPINQEAQNETEKRILVCHLKEHRCANDDGCNQKELVRDGRRKTQTCTAGLLPRRSPLREIGNISAMMKQHGEGILPLFCLHKEEMKRSF >EOY32677 pep chromosome:Theobroma_cacao_20110822:9:32780853:32788101:-1 gene:TCM_040705 transcript:EOY32677 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR and NB-ARC domains-containing disease resistance protein, putative MNKLPIMRKRIKYIYIYYNKNMAAELVGGAFLSAFLQVLFDRMASPDVLDFIRGERLNRQLFQKLEATLLSVNAVLDDAEGKQIVHHNVRKWLNELKDAVYDAEDLLDEVATEAWRCKLEAEFQSSTTKVRKFFSSLNPFNMRIESKLQEILERVEYLERQKDILSLREGFGEKKLRKLPATSLVDESSVYGRDDDKEAIIKLLLSDDSSRDGVGVVSIVGMGGIGKTTLAQIVYNDNRVKEFFDLKMWVCVSEDFDVFRVTKAILVAITSLSCEVGELNLLQVKLTECLMDKKFLLVLDDVWNENYVHWEALKRPLTHGAQGSKIIVTTRNESVASIMRTVPTYHLKQLADEQCWLLFANHAFDNINSSSQVPNLETIGRHIVKKCRGLPLAAKTVGGLLRSKGDVTEWHNVLESNMWDLPTGDGNILPALILSYHHLPSHLKRCFAYCALFPKDYDFEMENLVLLWMAEGLLPQRRRNKSVEEVGVEYFNNLLSRSFFQQSSCNGKCFVMHDLIHDLAKFVSDGFCLHLEVDDSNEIPKTVRHFSYVRTGFDDFQKFNAFHETRCLRTFLPMKPFFWVEMLPYEVYHDLLPTLKCLRVLSLSKYDNIKELPSTIGELKLLRYLNLSCTAIERLPESICSLHNLLTLLLADCGSLNQLPTQMGRLVNLRQLDVSGTLRIKEMPLQMSRLKNLQVLSAFILGNHSGCSISELGELQQLRGRLTIVNLQNVANPRDALEAKFKDKEHLTELVLKWSGHTLSTSNERDVLSMLQPHINLKKLSIESYGGTKFPDWLGDCSFSNIVSLRLSHCKYCFFLPPLGQLPSLKSLFIIGLDAVERVGVEFYRNSSSTIKPFRCLEVLWFERMLEWQEWLPSEQDAEGGHFPCLRELHIRKCPKLSGMMPNYLPSLRKLMIIDCRQLMVSLPQAPTIYELHLGYSNKVLLKNALPGLHKFTIRGCNTIESLPEGIMHSLCLEELKIDDCPSLLSLPQDVVLATLKRLDIMKCKRLELPAWSSYASLQRLLISYSCYSLKSLQLQLFPKLTHLIIRGCKLNSLSVSEGPNQVLPSLEFLKISLCPNFLSFPVGGLHAPNLRCLEVSDSVDLKSLPEKMHSLLPSLRSLQIRNCPELESFPEGGLPSNLHSLFVSFCNKLAASLMDWDLKRLCSLKLLSIQGKCQGMESFPEEGFLPSTLTSLHILEIPNLRSLNNRGLQHLTSLQKLEISGCPQLQSMSGPELPESLSVLRIEDCPLLKQRLQKNKGEDWPKVAFVTVIEIDDEMVIS >EOY34383 pep chromosome:Theobroma_cacao_20110822:9:40862614:40866448:-1 gene:TCM_042070 transcript:EOY34383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosylprotein sulfotransferase isoform 1 MDPTLKSTVLLMLLGLVVNVLSITDSEHCQNVVKKWAFTSLDHEVKEDKHTLNDLLFFLHVPRTGGRTYFHCFLKKLYSNSLECPRSYDKLRFDPSKAKCRLLTTHDDYSITSKLPRDRTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATQMTGRLRSKTNGVSTLDIWPWKYLVPWMREDLFARRDARKARVINDINSSDPYNMEDMVMPLLDYIDNPIAHEIVHNGATFQIAGLTNNSYLPEAHEVRHCVEKYKKLGEYVLQVAKKRLDNMLYVGLTEDHRKSATMFANVVGAQVISQLVESNAIGEGAAVNKSAEQSTSFSDSEVDGNDHQNITSDEKGDEAATSSDDNEVKQETMSVGKLMETYEVCISGLRKTQARRRIASLKRISPANFTKEARLQVPQMVLQQIQRLNNLDLELYEYAQGIFAKQHEQAAEKMFDPDTLGSMFIYSGGTKLWDVILWTMPFVLLFIFIVFVNAKRRTLKVKI >EOY34382 pep chromosome:Theobroma_cacao_20110822:9:40861890:40867020:-1 gene:TCM_042070 transcript:EOY34382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosylprotein sulfotransferase isoform 1 MDPTLKSTVLLMLLGLVVNVLSITDSEHCQNVVKKWAFTSLDHEVKEDKHTLNDLLFFLHVPRTGGRTYFHCFLKKLYSNSLECPRSYDKLRFDPSKAKCRLLTTHDDYSITSKLPRDRTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATQMTGRLRSKTNGVSTLDIWPWKYLVPWMREDLFARFYPFLGTHFSRHQFSLPSLKDSIVLSVLRASQFAMTKTYQICIHFRDARKARVINDINSSDPYNMEDMVMPLLDYIDNPIAHEIVHNGATFQIAGLTNNSYLPEAHEVRHCVEKYKKLGEYVLQVAKKRLDNMLYVGLTEDHRKSATMFANVVGAQVISQLVESNAIGEGAAVNKSEQSTSFSDSEVDGNDHQNITSDEKGDEAATSSDDNEVKQETMSVGKLMETYEVCISGLRKTQARRRIASLKRISPANFTKEARLQVPQMVLQQIQRLNNLDLELYEYAQGIFAKQHEQAAEKMFDPDTLGSMFIYSGGTKLWDVILWTMPFVLLFIFIVFVNAKRRTLKVKI >EOY34384 pep chromosome:Theobroma_cacao_20110822:9:40862465:40867228:-1 gene:TCM_042070 transcript:EOY34384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosylprotein sulfotransferase isoform 1 MDPTLKSTVLLMLLGLVVNVLSITDSEHCQNVVKKWAFTSLDHEVKEDKHTLNDLLFFLHVPRTGGRTYFHCFLKKLYSNSLECPRSYDKLRFDPSKAKCRLLTTHDDYSITSKLPRDRTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATQMTGRLRSKTNGVSTLDIWPWKYLVPWMREDLFARRDARKARVINDINSSDPYNMEDMVMPLLDYIDNPIAHEIVHNGATFQIAGLTNNSYLPEAHEVRHCVEKYKKLGEYVLQVAKKRLDNMLYVGLTEDHRKSATMFANVVGAQVISQLVESNAIGEGAAVNKSEQSTSFSDSEVDGNDHQNITSDEKGDEAATSSDDNEVKQETMSVGKLMETYEVCISGLRKTQARRRIASLKRISPANFTKEARLQVPQMVLQQIQRLNNLDLELYEYAQGIFAKQHEQAAEKMFDPDTLGSMFIYSGGTKLWDVILWTMPFVLLFIFIVFVNAKRRTLKVKI >EOY34340 pep chromosome:Theobroma_cacao_20110822:9:40731882:40734792:1 gene:TCM_042043 transcript:EOY34340 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHBP synthase RibB-like alpha/beta domain isoform 2 MFFSRCLGQIGGQTVRQKGRTKITLTEPNSLHFQSTRELRGRNNSPAKSMNLSTRLGGKLPLFFNTRVPLRGVPKLGFVPFLQQKRRFRGGFSKKMGSNVERCEVGVESKLGLVHPATKFYADEAVIALKAGKVIAVPTDTLYGFACDACSSEAVNKIYEIKGRKHTSPLAICVGDVPDIKRFAVTDHLPYGLLDSLLPGPVTVVLGRGESSLLEKSLNPGLDSIGVRVPDSDFIRIIARDAESALALTSANLSGQPSSVSINDFENLWEHCAYVYDGGVLPAGRAGSTVVDLTKPGKYKILRPGSAKEETVAILEKYSLLEEAASR >EOY34341 pep chromosome:Theobroma_cacao_20110822:9:40732138:40734708:1 gene:TCM_042043 transcript:EOY34341 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHBP synthase RibB-like alpha/beta domain isoform 2 SCLFFSTLVFLSEVLLNPQFNRVPKLGFVPFLQQKRRFRGGFSKKMGSNVERCEVGVESKLGLVHPATKFYADEAVIALKAGKVIAVPTDTLYGFACDACSSEAVNKIYEIKGRKHTSPLAICVGDVPDIKRFAVTDHLPYGLLDSLLPGPVTVVLGRGESSLLEKSLNPGLDSIGVRVPDSDFIRIIARDAESALALTSANLSGQPSSVSINDFENLWEHCAYVYDGGVLPAGRAGSTVVDLTKPGKYKILRPGSAKEETVAILEKYSLLEEAASR >EOY32678 pep chromosome:Theobroma_cacao_20110822:9:32788969:32791301:-1 gene:TCM_040706 transcript:EOY32678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-like RbcX protein isoform 1 MVGALSVMGSSVIDSHTGPCLCLDALPSTNMNLKGGGELVLQRNSMKRKHVARPGSLELGSSFVDSWQDWRLSGKMIPGIVNRCSRKQRKDRRLVVVNEVAGQYEDSFEDVKTQLLNYFTYKAVRTVLNQLYEMNPPQYTWFYQFVATNKPSEGKRFIRILGKERQELAERVMITRLHLYGKWVKKCDHAQIYKEISDENLELMRERLMDTVVWPSDDTNTEKIG >EOY32679 pep chromosome:Theobroma_cacao_20110822:9:32789502:32791456:-1 gene:TCM_040706 transcript:EOY32679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-like RbcX protein isoform 1 MVGALSVMGSSVIDSHTGPCLCLDALPSTNMNLKGGGELVLQRNSMKRKHVARPGSLELGSSFVDSWQDWRLSGKMIPGIVNRCSRKQRKDRRLVVVNEVAGQYEDSFEDVKTQLLNYFTYKAVRTVLNQLYEMNPPQYTWFYQFVATNKPSEGKRFIRILGKVVLKSLVLHSFCFQENVGQESFHSKTVLN >EOY34575 pep chromosome:Theobroma_cacao_20110822:9:41480175:41486537:-1 gene:TCM_042199 transcript:EOY34575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-activating enzyme E1 1 isoform 2 MLPRKRAGEGEVVEGESENNNNSNNIKDIAVTSPIKKHRFSAAAAADLTANNNTVAIGNNSSNHSSGSVLEPTIMAPGDANHNDIDEDLHSRQLAVYGRETMRLLFASNILISGMNGLGAEIAKNLILAGVKSVTLHDEGVVELWDLSSNFVFSENDVGKNRALASVQKLQELNNAVVISTLTTKLTKQQLSHFQAVVFTDISLEKAFEFDDYCHNHRPPISFIKTEVRGLFGSVFCDFGPEFTVFDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKIKSARPYSFTLEEDTTNFGTYFKGGIVTQVKQPKVLNFKPLREALKDPGDFLLSDFSKFDHPPILHIAFQALDKFVSELGRFPVAGSEEDAQKLTSIAANVNECLGEGKIEDINPKLLRHFSFGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPAEPLDPSDFKPLNSRYDAQISVFGSKLQKKLEDSKVFIVGSGALGCEFLKNVALMGVSCGSQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPQLKIEALQNRVGPETENVFNDTFWENLTVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPVEYAASMRDAGDAQAKDNLERILECLDREKCETFQDCVAWARLRFEDYFVNRVKQLIYTFPEDAATSTGAPFWSAPKRFPHPLQFSSTDPSHLHFIMAASILRAETFGIAVPDQVKNPKMLAEAIENVIVPDFQPKEGVKINTDEKDTSLSTASVNDEAMINELFYKLELCKNNLPSGFRLKPIQFEKDDDTNYHMDLIAALANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGAHKVEDYRNTFANLALPLFSMAEPVPPKVMKHREMSWTVWDRWILRDNPTLRELIQWLKDKGLNAYSISYGSCLLFNSMFPKHKERLDKKVVDVAREVAKAELPPYRSHLDVVVACEDDEDNDIDIPQISIYYR >EOY34576 pep chromosome:Theobroma_cacao_20110822:9:41475422:41486334:-1 gene:TCM_042199 transcript:EOY34576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-activating enzyme E1 1 isoform 2 MLPRKRAGEGEVVEGESENNNNSNNIKDIAVTSPIKKHRFSAAAAADLTANNNTVAIGNNSSNHSSGSVLEPTIMAPGDANHNDIDEDLHSRQLAVYGRETMRLLFASNILISGMNGLGAEIAKNLILAGVKSVTLHDEGVVELWDLSSNFVFSENDVGKNRALASVQKLQELNNAVVISTLTTKLTKQQLSHFQAVVFTDISLEKAFEFDDYCHNHRPPISFIKTEVRGLFGSVFCDFGPEFTVFDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKIKSARPYSFTLEEDTTNFGTYFKGGIVTQVKQPKVLNFKPLREALKDPGDFLLSDFSKFDHPPILHIAFQALDKFVSELGRFPVAGSEEDAQKLTSIAANVNECLGEGKIEDINPKLLRHFSFGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPAEPLDPSDFKPLNSRYDAQISVFGSKLQKKLEDSKVFIVGSGALGCEFLKNVALMGVSCGSQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPQLKIEALQNRVGPETENVFNDTFWENLTVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPVEYAASMRDAGDAQAKDNLERILECLDREKCETFQDCVAPKRFPHPLQFSSTDPSHLHFIMAASILRAETFGIAVPDQVKNPKMLAEAIENVIVPDFQPKEGVKINTDEKDTSLSTASVNDEAMINELFYKLELCKNNLPSGFRLKPIQFEKQLPLPRLWLQALSANVFDDTFWENLTVVVNALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAFLSSPVEYKTAQRNAGDAQARDNLERVLECLEKEKCETFQDCITWARLRFEDYFVNRVKQ >EOY32555 pep chromosome:Theobroma_cacao_20110822:9:31438745:31441282:1 gene:TCM_040549 transcript:EOY32555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Matrixin family protein, putative MASKALPFFSFTLLLFLPMLFQAVLADSNEKKPSPFEFLQHLQGCHKGEKVKDIHKLKKYLESFGYLSYSKNETHANDDDFDDFLESAIRTYQLNYNLKTTGTLDSKTVSKMMTSRCAVADITNGTTGMRSGKKRQHPAGSKSFHTVAHYAFFPGNPKWPDSKSHLTYAFLPGTRADAINPVVRAFQTWAANTHFSFSRTQDYTNADITISFESRDHADGNPFDGPDGTLAHAFAPTNGRFHYDADEQWSVSVTPGAYHLETIALHEIGHLLGLHHSSVEGAIMYPTFMAGESKGLHGDDIQGIRALYNK >EOY30461 pep chromosome:Theobroma_cacao_20110822:9:4677464:4684543:-1 gene:TCM_037663 transcript:EOY30461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase-like protein MQFGLPPWSVSILPDCKNAIFNTVKVIVCKMVPVNGGTFSWQSYAEATAFVVKRNHLQLMGVNMEASEGFPKSGQLPILTFEPAGHCLDVFINGTACGNLENPKSTFSDKVNLRARTNKVAVEGEALSVYTFEGSSSWYKVKKPLTWYKGPSLMAWLSALVCTGVRFPSFIGGGFGKIYQNGRRKREQEVDWSALLKLIKLDCGEVGGLGNGDPHLSVYEIRQGLGDREVCDMHNHQRVIEAHKRQKRQQVGHSSFSGGEPGKSHKSQ >EOY31765 pep chromosome:Theobroma_cacao_20110822:9:13839716:13845030:-1 gene:TCM_038899 transcript:EOY31765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLSTPIIQNGQDLVMVEDITKLQEEEKESLQELLEILQGVVADDKKDDMLIWELDKKGKFSVKEAISALGYQAHGKIIVWIMSHACGVDK >EOY30173 pep chromosome:Theobroma_cacao_20110822:9:3658875:3662195:1 gene:TCM_037472 transcript:EOY30173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ferredoxin 2 MLVCRLSRLGFRVARELSRGGYKYISRTRYKQRPCGRYQRSLLELLPETRAFQGAIFQQHHDFSTSASNNASEGGDEQKETISVTFVSKDGEEMHIQVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDMEQYNKLEDPTDEENDMLDLAFGLTETSRLGCQIIARPELDGIRLAIPAATRNFAVDGYVPKPH >EOY29293 pep chromosome:Theobroma_cacao_20110822:9:671207:673208:1 gene:TCM_036880 transcript:EOY29293 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein MKSCASCYSPVIVGKSIDKKDMSFLSTNRVAFGAGVVPQGSTTTARSKGSRGLSVRASAVDSYESSSDFVKRMEKAWVISQQPRPVACSSCKAKGHVECKWCGGTGFFILGDNMLCQVPSRNTSCVICAGKGSKCCSDCKGTGFRAKWLGEPPISK >EOY30928 pep chromosome:Theobroma_cacao_20110822:9:6313588:6316476:1 gene:TCM_037970 transcript:EOY30928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein MASPSELTLDCKPHSYSMLLKSFGDQQIDQTQKLEEFLSRLEEERLKIDAFKRELPLCMQLLTNAVEASRQQLLACRANHGSRPVLEEFMPLKNSSSENSEKSQNISDKANWMTTAQLWSQAGNETKPQSSITSPKETEIGFNVSPKLALDTKPRNGGAFLPFTKERNSCPGSALQALPDLALASANKDMEDKRCSDTENGMSCQRRENSGKVSNGVVVIEQGRGTANTIDGQTANTNPSANTTQPHRKARRCWSPDLHRRFVNALQLLGGSQVATPKQIRELMKVEGLTNDEVKSHLQKYRLHTRRPSPSPQATGAPTPPLVVLGGIWVPPEYATAAAAAYSGAPTLYGTHHPAAAHAPPHFCAPPVPQEFYTAAATPAPPPPQLHHHAIHHQLHMYKANSQAHSSPESDVRGAGDRSESIEDGKSESSSWKGESGDNGGAGERKGLAALREEGEESNGSEITLKF >EOY34403 pep chromosome:Theobroma_cacao_20110822:9:40929966:40935678:-1 gene:TCM_042085 transcript:EOY34403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase, putative isoform 1 MKLEVEVSLAFVISLIWIQHGLCDELSNTSGVNNWTCTCSSLNQGNQTSVLKSNCSRSCDCSPVEPSGDRWTCLCATDGLPKVAVNNHDTTCFTACNCTAGSLPQAPASRNHYSNRVVVIILLLSVILTTLAFLASVTCYFCRKDKCAKCPIQPPIFSSDKETSCNSATNLISHKTSLVSETIVNVSFPTKPVAGCFQKASFLCRGKKGTILGTICQFAYSELENATNKFSNSNLIGLGGSSFVYRGQLKDGRIVAVKRLKLQGGPDVDSIFSTEVELLSRLHHCHVVPLLGYCSEFSGKHAERLLVFEYMPNGNLRDCLDGNLGENMTWETRVGIAIGAARGLEYLHEAAAPRILHRDVKSTNILLDNNWRAKITDLGLAKHVRADGVPSCSSSPARMQGTFGYFAPEYAIVGKASLMSDVFSFGVVLLELITGRQPIQESNNKEESLVIWATPRLQDSKRVTSELPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRMNIPVNFFQMSSAHNVKNEALVERHQSVIEALYDTEEHMPAASISSAESSPPLSTDGIEIIGKEIGPLPAECMERLILLSSNARSWRVNDDEAVDLTEPRFESFRMANVKSP >EOY34404 pep chromosome:Theobroma_cacao_20110822:9:40930355:40935523:-1 gene:TCM_042085 transcript:EOY34404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase, putative isoform 1 MKLEVEVSLAFVISLIWIQHGLCDELSNTSGVNNWTCTCSSLNQGNQTSVLKSNCSRSCDCSPVEPSGDRWTCLCATDGLPKVAVNNHDTTCFTACNCTAGCFQKASFLCRGKKGTILGTICQFAYSELENATNKFSNSNLIGLGGSSFVYRGQLKDGRIVAVKRLKLQGGPDVDSIFSTEVELLSRLHHCHVVPLLGYCSEFSGKHAERLLVFEYMPNGNLRDCLDGNLGENMTWETRVGIAIGAARGLEYLHEAAAPRILHRDVKSTNILLDNNWRAKITDLGLAKHVRADGVPSCSSSPARMQGTFGYFAPEYAIVGKASLMSDVFSFGVVLLELITGRQPIQESNNKEESLVIWATPRLQDSKRVTSELPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSKRMNIPVNFFQMSSAHNVKNEALVERHQSVIEALYDTEEHMPAASISSAESSPPLSTDGIEIIGKEIGPLPAEC >EOY32490 pep chromosome:Theobroma_cacao_20110822:9:30256919:30259918:1 gene:TCM_040439 transcript:EOY32490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase 1 MASVVPLFLSFYLLLLLPCLVVAQTTGNVSLGIPIIASDNAEPWLSPSTDFAFGFRQLEKKDLFLLAIWYYQIPDRTIVWYANGDKPAPRGSKVELTAERGLVLSNPQDEVIWRSNWATGEVAYAVMNDTGNFVVFDRNSRPLWESFKYPTDTMLPTQIMEIDGLLTSRQKENNFSRGRFQFRLLQDGNAVLNSINLPSNYTYDAYYISGTYDPGNSSNSGYQVIFDEDGYLYVRRRNNVTFFLTPEDIVPSRDHYHRATLNFDGVFSISQHPKNFDGNQSWAVIRTFPDNICIRMNGAMGSGACGFNSICTLNNNKRPTCGCPTGYSILDPDDNYGSCKPDFQQGCEADGQISPEDIYNLEELPSTDWPQNDYELLKPCSLEDCRTSCLNDCLCVVAVLRGDGCWKKKLPLSNGRQDREVNGRAFLKVRKPEFTHQNPQPSFPSTKREQGTVITVVSVLLGGSVFVNLILVGLLCVGLYFFYHNKLTKFHRNENAIQSSLRHFAFMELEEVTNGFKEELGRGSFGIVYKGLIQNDPADPTAIAVKKLDGVVQDRDKEFKTEVSVIAQTHHRNLVKLLGYCHEGQNRMLVYEYLSNGTLASFLFGDLKPSWNQRTQIALGIARGLFYLHEECSPQIIHCDIKPQNILLDDYYDARISDFGLAKLLRIDQSHTNTAIRGTKGYVAPEWFKTVPVTTKVDVYSFGVLLLEIICCRRSVEMEIGLEKAILTDWACDCLREGNLDALVDYDVEALNDRKKLERFVMVAIWCIQEDLSLRPTIKKAMLMLEGIVQVSAPPCPCPFNSIS >EOY31553 pep chromosome:Theobroma_cacao_20110822:9:9566741:9573058:1 gene:TCM_038478 transcript:EOY31553 gene_biotype:protein_coding transcript_biotype:protein_coding description:AFG1-like ATPase family protein isoform 2 MRAAVRPVRNIITAFRHQTSSYSGAVIRRRSHLIGSNASSVYRLPGDAEIHRFLFPAIFVSKAFSTDTAAKVNISEVNRAGPLVEYERRIAAGELVDGDACQVGTLRELQRLYDEIVESADACRLDRYAVPEKTVRNQWFWSRLMPQSSYSPVKGLYLYGGVGTGKTMLMDLFFDQLPGNWRKKRIHFHDFMLNVHSRLQRHKGVADPLEVVAGEISDEAILLCLDEFMVTDVADALILNRLFRHLFSNGVILVATSNRAPDNLYEGGLQRDLFLPFIATLKERCVAHEIGSAVDYRKLTSAEQGFYFIGKDLSGILEQKFQQLIGEHVASPQVVEVVMGRTLQVPFGANGCAYFSFEELCDKPLGAADYFGLCKNFHTLALEGVPKFGLHNRTAAYRFVTLVDVMYENRSRLLCTAEGSPQELFENIVTVSDAQQMAPRTSSRSRRNDDSDLCVDNELGFAKDRTISRLTEMNSKEYLEQHAEERQLSQEVANADAVQA >EOY31554 pep chromosome:Theobroma_cacao_20110822:9:9566690:9573034:1 gene:TCM_038478 transcript:EOY31554 gene_biotype:protein_coding transcript_biotype:protein_coding description:AFG1-like ATPase family protein isoform 2 MRAAVRPVRNIITAFRHQTSSYSGAVIRRRSHLIGSNASSVYRLPGDAEIHRFLFPAIFVSKAFSTDTAAKVNISEVNRAGPLVEYERRIAAGELVDGDACQVGTLRELQRLYDEIVESADACRLDRYAVPEKTVRNQWFWSRLMPQSSYSPVKGLYLYGGVGTGKTMLMDLFFDQLPGNWRKKRIHFHDFMLNVHSRLQRHKGVADPLEVVAGEISDEAILLCLDEFMVTDVADALILNRLFRHLFSNGVILVATSNRAPDNLYEGGLQRDLFLPFIATLKERCVAHEIGSAVDYRKLTSAEQGFYFIGKDLSGILEQKFQQLIGEHVASPQVVEVVMGRTLQVRARLLICIQYRYQLIDLSFFFSCDQVPFGANGCAYFSFEELCDKPLGAADYFGLCKNFHTLALEGVPKFGLHNRTAAYRFVTLVDVMYENRSRLLCTAEGSPQELFENIVTVSDAQQMAPRTSSRSRRNDDSDLCVDNELGFAKDRTISRLTEMNSKEYLEQHAEERQLSQEVANADAVQA >EOY34486 pep chromosome:Theobroma_cacao_20110822:9:41280091:41281051:-1 gene:TCM_042152 transcript:EOY34486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLKFTTVFKQFSSLIKSCLVARAARGGTKSNSMTRGQGRLLFCLMSVSKKKSRLCFFEVVKTVLTVWNMELSLLGWPRAGGRQYKKSDLPDSNQRPKDLPVGFLPTTVLRSTN >EOY32698 pep chromosome:Theobroma_cacao_20110822:9:32891062:32934959:1 gene:TCM_040725 transcript:EOY32698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLPSPISSFSICHQKLHYVISLNFRLVDYKGQLATKAGLCGGFDQKKTPSETKGSSFHKFGSIWVGLAAPIQYPSYPNSYSMQWKCLSINILSIASLLELLSSILD >EOY31505 pep chromosome:Theobroma_cacao_20110822:9:9217217:9218841:1 gene:TCM_038432 transcript:EOY31505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNCFVLAFFMAFSFSSINVGLAARYLLQMPPLPPMPTLPRATLPPLPSIPNLPQPTTPTLPTTQPSLPKPGTLPPLPSMPTLPTIPNTPKITLPPLPNMPSIPTIPTTIPSIPFFSPPPAKTSP >EOY32492 pep chromosome:Theobroma_cacao_20110822:9:30292417:30325076:1 gene:TCM_040442 transcript:EOY32492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 1 MVIWFSMIQQASRSGLLVWLELGVSYAAMLDTGNFVLAREDSRILWQSFDNPTDTILPTQVMNQDSQLIARYTETNYSSGRFKFILQRDGNLLLYTTNFPFDDNVAAYWSAQTSIGSGFQVISNQSGNIYLTARKGSILNMVFSTQSSTQDFYLKAIVDYDGVFRQYAYPKSVSTSNGRWPRSWTTLSLIPSNICMRIGRDNGSGACGYNSYCILGDDQRPICDCVPGYSFIDTNDIRKGCRPNFTFCEETSQETDLYQFILMNNADWPDSSYESFKEVTEDWCRLACLNDCFCAVATFRDGECRKKKTPLANGRVDPEIGGKALLKVRNNSTASKNSAKDKKDQSIVIRVVSVLLGGFVFVNFLLLLVTLTLIFRLKRKQAEVQPQKVMPPMNLLSFPCSELDKATNGFQEELGCGAFGTVYKGELASEPTELVAAKKLNKMERDGEQEFQAEVRAIGRTNHKNLVQLLGFCNEGQNRLLVYEYMSNGSLAKFLFANARPNWYQRIQIAFGIAIRLFYLHEECSSQIIHCNIKPQNILLDDSFSAKISDFGLAKLLKKDQTRTTTAIRGTKGYVAPEWFRNMPITVEVDVYSFGILLLELICCRKNFEPNVKEEDQMILVDWAYDCFMERKLQLLVENDEEATDEIKKVKKFVMIAVWCIQEDPSLRPTMKKVVQMMEGVAETKRVMLQADALYIVYDIRKRKIISIIEMCLQYPNGANQQNVRPSSTNDCFKQIGNDGFLLAIWFNKIPGKTIVWSANRNNLLQSGSKVDLTRVGWLVLRDQTGRQIWTPISTGIGVSYAAMLDTGNFVLAGQDSTILWQSFDDPTDTILPTGFQVIFNLSGSIYLTSRNGSILNTVFPTALSTEYFYLRATVDYDGVFRQYAYPKSATTGNATFRDGEYKKKIPLANGRVDPNIGGKALIKRKQTKIQPQKVMSATNLQSSTYNELEKATNGFKEELGHGAFGTVYKGMLASKGWLCRKTDLDFIQESLIEKGITMRIREVEGLLSLVTFESYDEMVILLENYWEEFEQWFENLIPVDIARSEGEGEFIGVDRSTYKRDKLDRAMVLVQVRSRLMILTKAMLEAAGRIHFIIVSIDGSESTKEMTVYELGKELLWEEETLTENGKAGHITTFDKIAMVEMPVRGKYVGGTIENYGVNKRRMVSRRGFQCHS >EOY29260 pep chromosome:Theobroma_cacao_20110822:9:546469:551851:-1 gene:TCM_036851 transcript:EOY29260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor, putative MMRSSTKPIFHLFCLFIFLLEGVLTQNTTTEVNVGVVLDMDSLVGKIGLSCINMALSDFYDTHAHYKTRMVLNIRDSKEDVVAAADAALDLMKNVQVQAIIGPRSSMQANFVINLGNKSQVPIISLSATSPSLTSLQSPYFFLAAQSSSNQVKAISAIVQAFGWREAVPIYVDNHYGESLIPYMTDALQEINTRVPYLSAIATSATDDKIAEELYKLMTMQTRVFIVHMTICLTHRFHAGVVGVKSYVAKSKKIENFTVRWKRKFQQENPDSINAELSIVGLWAYDATFALAMAIEKAGIENLRFIKPTISSSGETDLETLGVSQNGPRLIQELSSTKFKGLTGDFHFVKGQLQSSVFQIVNVIGSGEKEVGFWTPENGLVRQLNSTNTNASTNSTSKPKLGPIIWPGDTNLVPKGWQIPTNGKTLRIGVPVKVGFTEFLDVVWDPITQKAKSIEGYCIDVFDAVMENMPYSVPYEYVPFATPDGEPAGSYNDLIDQLYYGNYDAVVGDTTIVANRSLFVDFTLPYTESGVSMIVPIKDNKEKNAWVFLKPLTWDLWVTSGCFVVFIGFVVWILEHRINEDFRGPPAHQIGTSFWFSFSTMVFAYREKVVSNLARFVVIVWCFVVLVLTQSYTANLTSLLTVEQLQPTVTDINELVKRRESVGLLNGCFVEGILLGLKFENFQLKIYNSPEELHELFTKGSANGGISAALDENPYIKLFLSKYCGKYTTVEPTFKTAGFGFAFPKGSPLVADVSRAILNVTQSDKMEQIENFWLKKGTVCPDVDPSVSSSSLGLESFWGLFLIAGTASMSALIIYSAMFLHEQRHVLFQFHDTSVWRRIRIMSRIFDQKDLSSHTFRKSEVRDASSTHSVHSIGVVGSSPNTNCPPSPSSYSSQTHSSQFVFFVDQGRFTGHGDLTPSGSASPETFPSPERSSIELANRNDP >EOY34276 pep chromosome:Theobroma_cacao_20110822:9:40566728:40572703:1 gene:TCM_042007 transcript:EOY34276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate excretion transporter 1, putative MGKNSIFSHPYRNQDQHDSSPISSIGLSPWAQFQDPSWIHRSRGPNLLSQLHCLVGSVLFPTWQKLTGQSLTPLQRIGIGHVFNILSMAISALVESKRLKIAHDHHLQEQQGAIVPMLSLWLFPQLVVVRIGEAFHFPGNVSLYYQEFPVSMKSTATAMISIVVGIAFYVSTALVDLIRNVTRWFPADINDGRLDNSVVAEAAECKQFPGDSEAQMSDSRSKKGGLITLFFIAGTLSGVMLSGFGWLANLIVYLVEEFNVDSIDATQISNVVHGSINLIPILGAVIADSSLGTFSVVAISSFISSLGMILLTLTAKVGSLKPPPCETGSSLCQTPSKLQLTILYVSMAMASAGLGGSRYTLATMGANQLDKPKDKESFFNWFFFTIFASCIISSTALVYVEESISWGLGYGICSAANFIALAVFLAGYRFYRYDKPQGSPFTGLLRVAVAAVWKRKVELSARSDDYYHEHDGTNKVMPATPKQSFGFLNRAALKTEGDIHSDGSIARPWKLCSLQQVEDLKTLIKLFPIWSSGIFLTTPIAIQSSITVIQALSMDRHLGSNFKIPAASIIVVILVSSSIFVALIDRFLLPTWQRLIGRPLTLLQRIGVGHVVNVLSMGISALVESKRLKTAHALHLEAQHGAIVPMLVWWLFPQLVVVGIGDAFHFPGHVALYYQEFPASLRSTATAMVSLVVGIAFYVSTALVDLIRNVTGWLPESINSGRLDNLYWILVTAGSLNFTYFLVCAKLYKYRNIEKEVDDASSFDI >EOY34645 pep chromosome:Theobroma_cacao_20110822:9:41680942:41683201:-1 gene:TCM_042248 transcript:EOY34645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSTIYLFLHALEAERDNIRMTTSAPVHPANSPIPIDLFVSKKQPGLPRGVLGFADSSGKIVFRINRQSSQSSADDRTVLLDSAGNPLISIYGHHDGSWQGFNGDDGKKDLVFKVQRVSNKFTRTELEVFLGAENQGELTCDFKVKGCHFQRSCTIYKGDSLVAQTSLMHKLRQIILTIMPQKSGWREIWLQGDDGGMAAEMVICDGGQLAMILGGWCNRTKLKTETEIFWRKEREQGVLIEGNLFSSMQGSILSKVGGQNWQMLMAKRRIGQFFDW >EOY34243 pep chromosome:Theobroma_cacao_20110822:9:40448126:40450571:1 gene:TCM_041982 transcript:EOY34243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF604) [Source:Projected from Arabidopsis thaliana (AT1G07850) TAIR;Acc:AT1G07850] MTSTQQRMQQSSFRGIVIYFFIAMALLYILYSNLLLSNDRKDCPDVTNLSTKIEAAVPFNYSPTTSSQVEKIVEEKKVEPVLEPAPGYHRYDLELKHIAFGIAASSNLWDIRKEYIKVWWRPTETRGVVWMDKQVRTRRNEGLPEIRVSQDTSKFKYTNKQGSRSALRISRVVSETLKLGMKDIRWFVMGDDDTVFIVDNVVRVLSKYDHTQFYYVGSASESHIQNIFFSYAMAFGGGGFAISYPLAKELAKMQDRCIQRYPALYGSDDRIQACMAELGVPLTRELGFHQYDVYGELLGLLGAHPVTPLVSLHHLDVVEPIFPGMKRARALAHLLEAAKEDSASLVQQSICYDSKRFWSISVSWGYVVQISRGVMSPRELEMPTRTFLNWYRRADYTAYAFNTRPVERHPCQKPFVFYMSKIKYDRARKQTIGVYYRHKTRSRYCRWRMASPERIYSVVVLKKRDDLRWQKSPRRDCCRVLPSRKNNTMVLSVGNCREGEVNELQTKRGSS >EOY30756 pep chromosome:Theobroma_cacao_20110822:9:5696930:5699726:1 gene:TCM_037857 transcript:EOY30756 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI-1-like 1, putative isoform 1 MSQTRAFALTSLSRFELRDLRSQLHFAADYCETTFLNSEEKKVVVENTKEYICKAVVTFVDHLGNVSANLNNCISKTNEFSEAELRINCLKQRLLSCEQFAHKLALTRVKWNPNLPNHHRRYLSAPMSTVGRSNEDSRVSIAPRPAKLKDKHELDTEGVPLFFFTCTDKPSLSKSPILRSNFDESDYNSALVPVRDGLSIPSKGSNPTFHFQQGNKKHGRKGSYRKPLQSADILSFIRRARRSAA >EOY30755 pep chromosome:Theobroma_cacao_20110822:9:5696867:5699263:1 gene:TCM_037857 transcript:EOY30755 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI-1-like 1, putative isoform 1 MHITEIFSPPKKEDESDEGFRFDKSLQELRDLRSQLHFAADYCETTFLNSEEKKVVVENTKEYICKAVVTFVDHLGNVSANLNNCISKTNEFSEAELRINCLKQVGRLLSCEQFAHKLALTRVKWNPNLPNHHRRYLSAPMSTVGRSNEDSRVSIAPRPAKLKDKHELDTEGVPLFFFTCTDKPSLSKSPILRSNFDESDYNSALVPVRDGLSIPSKGSNPTFHFQQGNKKHGRKGS >EOY30754 pep chromosome:Theobroma_cacao_20110822:9:5696930:5699739:1 gene:TCM_037857 transcript:EOY30754 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI-1-like 1, putative isoform 1 MHITEIFSPPKKEDESDEGFRFDKSLQELRDLRSQLHFAADYCETTFLNSEEKKVVVENTKEYICKAVVTFVDHLGNVSANLNNCISKTNEFSEAELRINCLKQRLLSCEQFAHKLALTRVKWNPNLPNHHRRYLSAPMSTVGRSNEDSRVSIAPRPAKLKDKHELDTEGVPLFFFTCTDKPSLSKSPILRSNFDESDYNSALVPVRDGLSIPSKGSNPTFHFQQGNKKHGRKGSYRKPLQSADILSFIRRARRSAA >EOY33510 pep chromosome:Theobroma_cacao_20110822:9:37901383:37906954:1 gene:TCM_041476 transcript:EOY33510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, putative MDFVPSTSEIVESTDELDAELKMSEHAKNHCMPKSGNKYSIEDDINRLFEAIDIRTSSRVSGFSSEIGRDALRKSAMKRPVRVGSSQASGIGISEPVSLKQALRRLCISQASEMAAMKKRLSKPAGSSGVSEAGTIKRLYRAVVVEANGSGIPLNEGKGNVVEISLVPEKITSNSSDKMPESLPVPNKEVFNQNSNLLDNATTEKAIITRLPSPDQIVPLVTESESEVSKAELKKLNSIDSSSVNHAAEEVEIGSSSIQVCVETPVPGKEPKGKLHAESCLSVSSAAGRVKSVCETPRLIKPVFRNKSFMRKKAKHESTPAVSSSNSCNGCVSNDLGPSTSYTDSQAQKHASDNGRKENMKVSPVSSSTNRSIEVNSSIVGTSSKSTLSSNCNNKSKAMLTKADDRSRSREKGEFSQSSKSSIGEYSSSTTTSEESNVSGSSRTGSRPHMSKDLRWEAIRTVQKQHGSLLSLRHFKLLKKLGCGDIGTVYLAELTGTNCLFALKVMDNDFLLSRKKMPRAQTEKEIMQMLDHPFLPTLYAYFATEKLSCLVMEYCPGGDLHVLRQKQPGRSFSEQAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCAVNPVLLKSASPVAEPAEKMSSPCSESSCIEPFCLNPSFQVPCFTPRLLSLASKSRKIKSDLATQISPMPQLVVEPTSARSNSFVGTHEYLAPEIIKGEGHGNAVDWWTFGIFLFELLYGKTPFKGSGNDETLSNVVSHSLRFPSSPIVSFHARDLIRGLLVKEPENRLGSVKGAAEIKQHPFFEGLNWALIRCATPPEMPRFFETGICVPTAALQKKDSSRGEELQGTEDHMEFDMF >EOY29211 pep chromosome:Theobroma_cacao_20110822:9:360145:367865:1 gene:TCM_036815 transcript:EOY29211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase 4 isoform 2 MGGDKGISLDEIKNESVDLERIPIEEVFEQLKCTRAGLTTEEGANRLQVFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIIVLLFINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWSEQEAAILVPGDIITIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPSDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGIVVEIIVMYPIQHRKYRQGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDRNLIEVFAKGVEKEQVILYAARASRTENQDAIDTAIVGMLADPKEARAGIREIHFLPFNPVDKRTALTYIDSDGNWHRASKGAPEQIITLCNCKEDVKKKVHAVIDKFAERGLRSLGVARQEVPEKTKEAPGAPWQFIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQDKDASIAALPIDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLKEIFCTGIVLGGYLALMTVLFFWAMHDTDFFTDKFSVRSLRGSDKEMMAALYLQVSIVSQALIFVTRSRSWSYVERPGLLLVSAFVIAQLVATLIAVYANWGFARIKGMGWGWAGVIWLYSVVTFVPLDLIKFATRYVLSGKAWDNLLENKTAFTTKKDYGKEEREAQWAAAQRTLHGLQPPETSNIFSERSSYRELSEIAEQAKRRAEVARLRELNTLKGHVESVVKLKGLDIDTIQQHYTV >EOY29212 pep chromosome:Theobroma_cacao_20110822:9:360715:367360:1 gene:TCM_036815 transcript:EOY29212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase 4 isoform 2 MWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIIVLLFINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWSEQEAAILVPGDIITIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPSDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGIVVEIIVMYPIQHRKYRQGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDRNLIEVFAKGVEKEQVILYAARASRTENQDAIDTAIVGMLADPKEARAGIREIHFLPFNPVDKRTALTYIDSDGNWHRASKGAPEQIITLCNCKEDVKKKVHAVIDKFAERGLRSLGVARQEVPEKTKEAPGAPWQFIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQDKDASIAALPIDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLKETRTSTSQCFCNCSAGGHFDSCLCKLGFRKD >EOY29462 pep chromosome:Theobroma_cacao_20110822:9:1250556:1252400:1 gene:TCM_036985 transcript:EOY29462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTAQGKFLPQLLFLPFDFKQLFILEIKGLRKKGRKKLDEKEWRAGSDSHGTLDAAIWSRLQLNEAQQQVKQSPWLKGQYYKP >EOY30225 pep chromosome:Theobroma_cacao_20110822:9:3838722:3841271:-1 gene:TCM_037502 transcript:EOY30225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein isoform 2 TSLATYLDTNVVLEGACERVIVGDLYCDIPLGLYVIRGENVVLIGELDLEKEELPSHMTPVSAAEIKRAQKAEREATDLKGSMRKRMEFLDFD >EOY30223 pep chromosome:Theobroma_cacao_20110822:9:3838677:3841316:-1 gene:TCM_037502 transcript:EOY30223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein isoform 2 MSWAGPDDIFLSTSLATYLDKKLLVLLRDGRKLLGLLRSFDQFANVVLEGACERVIVGDLYCDIPLGLYVIRGENVVLIGELDLEKEELPSHMTPVSAAEIKRAQKAEREATDLKGSMRKRMEFLDFD >EOY30224 pep chromosome:Theobroma_cacao_20110822:9:3839099:3841269:-1 gene:TCM_037502 transcript:EOY30224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein isoform 2 LLLPPILTLLVLLRDGRKLLGLLRSFDQFANVVLEGACERVIVGDLYCDIPLGLYVIRGENVVLIGELDLEKEELPSHMTPVSAAEIKRAQKAEREATDLKGSMRKRMEFLDFD >EOY34119 pep chromosome:Theobroma_cacao_20110822:9:39983081:39993435:-1 gene:TCM_041892 transcript:EOY34119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MAPKAEKKPAEKKPAEEKKMTVAEKAPAEKKPKAGKKLPKEGGAAAGDKKKKRVKKSTETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >EOY32336 pep chromosome:Theobroma_cacao_20110822:9:27529537:27532047:1 gene:TCM_040152 transcript:EOY32336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT5G19860) TAIR;Acc:AT5G19860] MASNNLNSNLGLALISIFLLLSNPISLSEAEPEPAPAPPTVWDILPKYGLPSGLLPSTVTNYSLQNDGRFIVVLESPCYVQFEYLVYYEKTITGKLGYGSITDLKGIQVQRFFLWFDVDEIKVDLPPSDSIYFQVGFINKKLDVDQFKTIHSCRDGVTGSCGYSWKSVLQLPMPTNDIQMLITE >EOY32337 pep chromosome:Theobroma_cacao_20110822:9:27529726:27532017:1 gene:TCM_040152 transcript:EOY32337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT5G19860) TAIR;Acc:AT5G19860] LSEAEPEPAPAPPTVWDILPKYGLPSGLLPSTVTNYSLQNDGRFIVVLESPCYVQFEYLVYYEKTITGKLGYGSITDLKGIQVQRFFLWFDVDEIKVDLPPSDSIYFQVGFINKKLDVDQFKTIHSCRDGVTGSCGYSWKSVLQTFLVV >EOY30759 pep chromosome:Theobroma_cacao_20110822:9:5713724:5718906:1 gene:TCM_037860 transcript:EOY30759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPQDSLRSVVYRSFVTCDDPKGVVECGTIRRSKSGSEKMEHKNEGRKARNRSNLCAARKAEREELVTKGAMEELHSSSSCQLLEVSKGAHKLNQVIDSWSKGLWYDGHSKDIAKDLLKGALDLQESLHMLGKLQEASHYMARLKKKEKEKSNRVINDQLIRRTNSSAAGEQIHPTRFQNPRLSTDGSSRDCIEELRKVIRDSLARQNLLPNINAEEKRCFSGRYSDSASDIPSTSSSQSSTVQTDNFTSMDSSISSAALEKKARRPSLIAKLMGLEEIPSKSLQTISQRELGSKKIFSQQRPIYEIDMPKGRKSQSVSQKEDPERRTLKDILETMHFKGLLKSNSMKEIKSDSHQLIDFFSEQMLVNDSPPIVLIKPRHDPHLQPKEKFVPAFQEERSLNAETKLKKLKVKEEPPSRIIDSKNRGLNFKEMSRRVEAEAEETPVKRLSQQEGAKDSQEKETRPVNKEVKTKQKLSTKMKSSGPVTQPLLKKEANDKKIDKIPKPAISSRKPVEKEVTKAKNLSRPKDQAKVTTPKPTKPENGSNVTKNKVSSQRSPTANSHSNRIPQAVVHGPSDRKKSPTKKEKAVSKATAAKITAEKLECKGGDKMIDLTSEKDTVLEGCSTETADQIPTKGATEHSDIQIGEHHDKSEGSVSDVIPVTTDDQNNIVPIGEVDDDPIIPIGTDNESFTIGTSLKALLLSSPAFLNHAEKLFDLNVNVPTTPQKFGISDFTDANAQLSMDCANEIVQRRSFPDAQMVYPPLLTLVGNFKSYICLDHLLKKTCDGVEALRSYSELAGENYPIDSLYAMLERDIKRSEVLSGIWDLGWRKGFSVDDAMHVVDDIEKQLLSGLIEEICA >EOY30762 pep chromosome:Theobroma_cacao_20110822:9:5713771:5719456:1 gene:TCM_037860 transcript:EOY30762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPQDSLRSVVYRSFVTCDDPKGVVECGTIRRSKSGSEKMEHKNEGRKARNRSNLCAARKAEREELVTKGAMEELHSSSSCQLLEVSKGAHKLNQVIDSWSKGLWYDGHSKDIAKDLLKGALDLQESLHMLGKLQEASHYMARLKKKEKEKSNRVINDQLIRRTNSSAAGEQIHPTRFQNPRLSTDGSSRDCIEELRKVIRDSLARQNLLPNINAEEKRCFSGRYSDSASDIPSTSSSQSSTVQTDNFTSMDSSISSAALEKKARRPSLIAKLMGLEEIPSKSLQTISQRELGSKKIFSQQRPIYEIDMPKGRKSQSVSQKEDPERRTLKDILETMHFKGLLKSNSMKEIKSDSHQLIDFFSEQMLVNDSPPIVLIKPRHDPHLQPKEKFVPAFQEERSLNAETKLKKLKVKEEPPSRIIDSKNRGLNFKEMSRRVEAEAEETPVKRLSQQEGAKDSQEKETRPVNKEVKTKQKLSTKMKSSGPVTQPLLKKEANDKKIDKIPKPAISSRKPVEKEVTKAKNLSRPKDQAKVTTPKPTKPENGSNVTKNKVSSQRSPTANSHSNRIPQAVVHGPSDRKKSPTKKEKAVSKATAAKITAEKLECKGGDKMIDLTSEKDTVLEGCSTETADQIPTKGATEHSDIQIGEHHDKSEGSVSDVIPVTTDDQNNIVPIGEVDDDPIIPIGTDNESFTIGTSLKALLLSSPAFLNHAEKLFDLNVNVPTTPQKFGISDFTDANAQLSMDCANEIVQRRSFPDAQMVYPPLLTLVGNFKSYICLDHLLKKTCDGVEALRSYSELAGENYPIDSLYAMLERDIKRSEVLSGIWDLGWRKGFSVDDAMHVVDDIEKQLLSGLIEEICA >EOY30760 pep chromosome:Theobroma_cacao_20110822:9:5713691:5718855:1 gene:TCM_037860 transcript:EOY30760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPQDSLRSVVYRSFVTCDDPKGVVECGTIRRSKSGSEKMEHKNEGRKARNRSNLCAARKAEREELVTKGAMEELHSSSSCQLLEVSKGAHKLNQVIDSWSKGLWYDGHSKDIAKDLLKGALDLQESLHMLGKLQEASHYMARLKKKEKEKSNRVINDQLIRRTNSSAAGEQIHPTRFQNPRLSTDGSSRDCIEELRKVIRDSLARQNLLPNINAEEKRCFSGRYSDSASDIPSTSSSQSSTVQTDNFTSMDSSISSAALEKKARRPSLIAKLMGLEEIPSKSLQTISQRELGSKKIFSQQRPIYEIDMPKGRKSQSVSQKEDPERRTLKDILETMHFKGLLKSNSMKEIKSDSHQLIDFFSEQMLVNDSPPIVLIKPRHDPHLQPKEKFVPAFQEERSLNAETKLKKLKVKEEPPSRIIDSKNRGLNFKEMSRRVEAEAEETPVKRLSQQEGAKDSQEKETRPVNKEVKTKQKLSTKMKSSGPVTQPLLKKEANDKKIDKIPKPAISSRKPVEKEVTKAKNLSRPKDQAKVTTPKPTKPENGSNVTKNKVSSQRSPTANSHSNRIPQAVVHGPSDRKKSPTKKEKAVSKATAAKITAEKLECKGGDKMIDLTSEKDTVLEGCSTETADQIPTKGATEHSDIQIGEHHDKSEGSVSDVIPVTTDDQNNIVPIGEVDDDPIIPIGTDNESFTIGTSLKALLLSSPAFLNHAEKLFDLNVNVPTTPQKFGISDFTDANAQLSMDCANEIVQRRSFPDAQMVYPPLLTLVGNFKSYICLDHLLKKTCDGVEALRSYSELAGENYPIDSLYAMLERDIKRSEVLSGIWDLGWRKGFSVDDAMHVVDDIEKQLLSGLIEEICA >EOY30761 pep chromosome:Theobroma_cacao_20110822:9:5713724:5719088:1 gene:TCM_037860 transcript:EOY30761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MPQDSLRSVVYRSFVTCDDPKGVVECGTIRRSKSGSEKMEHKNEGRKARNRSNLCAARKAEREELVTKGAMEELHSSSSCQLLEVSKGAHKLNQVIDSWSKGLWYDGHSKDIAKDLLKGALDLQESLHMLGKLQEASHYMARLKKKEKEKSNRVINDQLIRRTNSSAAGEQIHPTRFQNPRLSTDGSSRDCIEELRKVIRDSLARQNLLPNINAEEKRCFSGRYSDSASDIPSTSSSQSSTVQTDNFTSMDSSISSAALEKKARRPSLIAKLMGLEEIPSKSLQTISQRELGSKKIFSQQRPIYEIDMPKGRKSQSVSQKEDPERRTLKDILETMHFKGLLKSNSMKEIKSDSHQLIDFFSEQMLVNDSPPIVLIKPRHDPHLQPKEKFVPAFQEERSLNAETKLKKLKVKEEPPSRIIDSKNRGLNFKEMSRRVEAEAEETPVKRLSQQEGAKDSQEKETRPVNKEVKTKQKLSTKMKSSGPVTQPLLKKEANDKKIDKIPKPAISSRKPVEKEVTKAKNLSRPKDQAKVTTPKPTKPENGSNVTKNKVSSQRSPTANSHSNRIPQAVVHGPSDRKKSPTKKEKAVSKATAAKITAEKLECKGGDKMIDLTSEKDTVLEGCSTETADQIPTKGATEHSDIQIGEHHDKSEGSVSDVIPVTTDDQNNIVPIGEVDDDPIIPIGTDNESFTIGTSLKALLLSSPAFLNHAEKLFDLNVNVPTTPQKFGISDFTDANAQLSMDCANEIVQRRSFPDAQMVYPPLLTLVGNFKSYICLDHLLKKTCDGVEALRSYSELAGENYPIDSLYAMLERDIKRSEVLSGIWDLGWRKGFSVDDAMHVVDDIEKQLLSGLIEEICA >EOY32753 pep chromosome:Theobroma_cacao_20110822:9:33381731:33384293:1 gene:TCM_040782 transcript:EOY32753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGSSGSSYNPPALAKPVSELETLQKQHEEKTLKIQELKRQIETVKLQLEKKKKKDIPDARKEAFHNLSEKYNSLREEYNALLAERSRE >EOY34275 pep chromosome:Theobroma_cacao_20110822:9:40562638:40566598:1 gene:TCM_042006 transcript:EOY34275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate excretion transporter1, putative MESQQSAGSNMDNGYVSGDNEAQMLSSGAKRGGWITFFFVSATLTGLMIAGWGWLTNLIVYLIEEFNVESIDATQIANVVNGSINMIPIIGAVLADSFLGSFHVVSISSGFSLLGMVLLTLTATLSSLRPQQCETGSSFCHGPSKLQSASLYAGITMASIGLGVVRFTLATLGANQFDNPKDQGIFFNWFFFTFYAACVISSLVIVYVQDSISWGLGFGLCAAANFIGLIIFLLGNRFYRHDKPQGSPFTSLARVIVAAVQKRNILLPSESKDYYHEQDGTSKTVATTPKRSFRFLNRAALKTEGDIHSDGSIAKSRRICTVQQVEDLKTLIRIFPVWASTVFLATPIAIQTNMTVLQALAMDRHLGPNFKIPAGSIVVVVLISTAIFIALLDRFLFPTWQKLTGRSITPLQRIGIGHVFNIMSMAISALVESRRLKIAHDHHLQEQQGAIVPMLSLWLFPQLVIVGIGEAFHFPGNVSLYYQEFPVSMKSTATAMISIVVGIAFYVSTALVDLIRNVTRWLPADINDGRLDNLYWTFVVLGLLNFGYYLVCAKLYKYQNPEEEVER >EOY30394 pep chromosome:Theobroma_cacao_20110822:9:4483653:4488582:1 gene:TCM_037622 transcript:EOY30394 gene_biotype:protein_coding transcript_biotype:protein_coding description:DWD hypersensitive to ABA 1 MCGDATNWDEEAYRESILRDREIQTRTVFRTVWAPSLNPNPECVVVASSDGSIASYSISSCVSKLPIGFCSARAQRLLPAEPEGFLEGHDGPAYDVKFYGNGEDSLLLSCGDDGKIKGWRWKEFTESEVPITFQGNHMKPVLDLVNPQHKGPWGALSPIPENNAIAVDPQGGSIFSAAGDSCAYCWDVEKSEIKMVFKGHSDYLHCIVARASSNQIITGSEDGTARIWDCKSGKCIKVIDPLKDNKLKGLISCVSCIALDASESWLACGSGRSLSVWNLPASECISNISSRASIQDVVFDDNQILAVGADPVLGRFDMNGMILSQIQCAPQSAFSVSLHPSGVTAIGGYGGLVDVISQFGSHLCTFHSHCV >EOY33986 pep chromosome:Theobroma_cacao_20110822:9:39572161:39577229:1 gene:TCM_041802 transcript:EOY33986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFTVNLGLNGRRVGAHGRQWTFTPSTYPIICLSVSSLNPFSIGKFPGFVTVIQPLASTALNRPHEASSDGPFAEILHQPEDDHEDPPYDILSRGQGGYMIRPVGPTFIFLSYLSPPVHSLPIKNQTLIPRAVDTWSNVYRPRGILPFGKSTFEGMMCRDFAEAAPIKFLPITQLSLFWFFVHLFISFIDSIFRSHVRTCEVQSARYGRGHVVGLGDTRRWWRWWKGQAERRESVTNFRWIELRWR >EOY30112 pep chromosome:Theobroma_cacao_20110822:9:3415470:3427255:1 gene:TCM_037433 transcript:EOY30112 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPM interactor 7 isoform 1 MAAGGFVSRAFESMLKECAGKKYPDLQKAIQTYSDSPKQAKQHSSSSETNQVASLAGDGSSLETETGAEKTGIEPDGSSTLSQSVVDTEHVSKPTGGSGTITTALANAGYTLEGAEVELVLNPLRLAFETKNLKILEPALDCLHKLIAYDHLEGDPGLDGGRNVPLFTDILNMVCSCVDNSSPDSTILQVLKVLLTAVASTKFRVHGEPLLGVIRVCYNIALHSKSPINQATSKAMLTQMISIIFRRMEADPVSTSSGSSDHTEAASSENSTSKAEEASSGDQDENEMTLGDALNRVKDTTLASVEELQSLAGGADIKGLEAALDKVVHVEDGKKITRGIDLESMSIGKRDALLVFRTLCKMGMKEDTDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSPVIFQYATGIFAVLLLRFRESLKGEIGVFFPLIVLRPLDGSDFLINQKSSVLRMLEKVCKDPQMLVDVYVNYDCDLEAPNLFERMVNTLSKIAQGMQNADPNSVAVTQTTSIKGSSLQCLVNVLKSLVDWEKSRRQPERKRGRNQSPEEDSTRESVEIKSREDVTSNFEKAKAHKSTMESAISEFNRHPVKGVGYLISNILVENNPVSVAQFLRNTPSLDKAMIGDYLGQHEEFPLAVMHAYVDSITFSGMKFDTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKPDFIRMNATNDPEECAPTELLEDIYDSIVKEEIKMKDDAAGIGKSGRQKPEGEERGRLVSILNLALPKTKSATDAKSESEAIIKQTQAIIRNQEAKRGVFYIAQEIELVRPMVEAVGWPLLATFSVTMEEGENKPRVVLCMEGFRAGIHITYVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLGLCDLEPDSLQDTWNAVLECVSRLEFITSTPAIAATVMHGSNQISKDAVVQSLKELAGKPAEQVFVNSEKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWTVLANHFISAGSHADEKIAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVVLMRNSRSATIRSLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDDLESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNKTSHRISLKAVALLRICEDRLAEGRIPGGALKPIDVDADTAFDVTEHYWFPMLAGLSDLTSDSRPEVRSCALEVLFDLLNERGSKFSTPFWESIFHRVLFPIFDHVRHAGKESLISSGDESLRESSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKTDQTVVSISLGALVHLIEVGGHQFSESDWDMLLKSIRDASYTTQPLELLNTLGLENPKNPSILIRDLEVQTGGEGYQFDASDNGKISPLASPSAGSDSSTRNSNASVSQYHNQESGLQSNPDGSEGVPSP >EOY30111 pep chromosome:Theobroma_cacao_20110822:9:3415675:3432873:1 gene:TCM_037433 transcript:EOY30111 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPM interactor 7 isoform 1 MAAGGFVSRAFESMLKECAGKKYPDLQKAIQTYSDSPKQAKQHSSSSETNQVASLAGDGSSLETETGAEKTGIEPDGSSTLSQSVVDTEHVSKPTGGSGTITTALANAGYTLEGAEVELVLNPLRLAFETKNLKILEPALDCLHKLIAYDHLEGDPGLDGGRNVPLFTDILNMVCSCVDNSSPDSTILQVLKVLLTAVASTKFRVHGEPLLGVIRVCYNIALHSKSPINQATSKAMLTQMISIIFRRMEADPVSTSSGSSDHTEAASSENSTSKAEEASSGDQDENEMTLGDALNRVKDTTLASVEELQSLAGGADIKGLEAALDKVVHVEDGKKITRGIDLESMSIGKRDALLVFRTLCKMGMKEDTDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSPVIFQYATGIFAVLLLRFRESLKGEIGVFFPLIVLRPLDGSDFLINQKSSVLRMLEKVCKDPQMLVDVYVNYDCDLEAPNLFERMVNTLSKIAQGMQNADPNSVAVTQTTSIKGSSLQCLVNVLKSLVDWEKSRRQPERKRGRNQSPEEDSTRESVEIKSREDVTSNFEKAKAHKSTMESAISEFNRHPVKGVGYLISNILVENNPVSVAQFLRNTPSLDKAMIGDYLGQHEEFPLAVMHAYVDSITFSGMKFDTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKPDFIRMNATNDPEECAPTELLEDIYDSIVKEEIKMKDDAAGIGKSGRQKPEGEERGRLVSILNLALPKTKSATDAKSESEAIIKQTQAIIRNQEAKRGVFYIAQEIELVRPMVEAVGWPLLATFSVTMEEGENKPRVVLCMEGFRAGIHITYVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLGLCDLEPDSLQDTWNAVLECVSRLEFITSTPAIAATVMHGSNQISKDAVVQSLKELAGKPAEQVFVNSEKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWTVLANHFISAGSHADEKIAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVVLMRNSRSATIRSLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDDLESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNKTSHRISLKAVALLRICEDRLAEGRIPGGALKPIDVDADTAFDVTEHYWFPMLAGLSDLTSDSRPEVRSCALEVLFDLLNERGSKFSTPFWESIFHRVLFPIFDHVRHAGKESLISSGDESLRESSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKTDQTVVSISLGALVHLIEVGGHQFSESDWDMLLKSIRDASYTTQPLELLNTLGLENPKNPSILIRDLEVQTGGEGYQFDASDNGKISPLASPSAGSDSSTRNSNASVSQYHNQESGLQSNPDGSEGVPSPSGRSQKSAEAGSLQRSQTIGQRIMGNMMDNLFRRSLTSKSKSRASEISVPSSPPKLPEAVEPEAKDEEESPLMATVRGKCITQLLLLGAVDSIQKKYWDNLKAAQKIAIMDILLSLLEFAASYNSYSNLRTRMHHIPAERPPLNLIRQELAGTSIYLDILQKTTSGFNDKNGQHLEPNGSQDTDISSDNNGSRLAVQSFTEMKLEGIAEEKLVSFCEQVLRDASDLQSTIGETSNVDIHRVLELRSPIIVKVLKGMCFMNNVIFRKHLREFYPLLTKLVCCDQMDVRGALGDLFRAQLKALLP >EOY30110 pep chromosome:Theobroma_cacao_20110822:9:3415467:3430799:1 gene:TCM_037433 transcript:EOY30110 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPM interactor 7 isoform 1 MAAGGFVSRAFESMLKECAGKKYPDLQKAIQTYSDSPKQAKQHSSSSETNQVASLAGDGSSLETETGAEKTGIEPDGSSTLSQSVVDTEHVSKPTGGSGTITTALANAGYTLEGAEVELVLNPLRLAFETKNLKILEPALDCLHKLIAYDHLEGDPGLDGGRNVPLFTDILNMVCSCVDNSSPDSTILQVLKVLLTAVASTKFRVHGEPLLGVIRVCYNIALHSKSPINQATSKAMLTQMISIIFRRMEADPVSTSSGSSDHTEAASSENSTSKAEEASSGDQDENEMTLGDALNRVKDTTLASVEELQSLAGGADIKGLEAALDKVVHVEDGKKITRGIDLESMSIGKRDALLVFRTLCKMGMKEDTDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSPVIFQYATGIFAVLLLRFRESLKGEIGVFFPLIVLRPLDGSDFLINQKSSVLRMLEKVCKDPQMLVDVYVNYDCDLEAPNLFERMVNTLSKIAQGMQNADPNSVAVTQTTSIKGSSLQCLVNVLKSLVDWEKSRRQPERKRGRNQSPEEDSTRESVEIKSREDVTSNFEKAKAHKSTMESAISEFNRHPVKGVGYLISNILVENNPVSVAQFLRNTPSLDKAMIGDYLGQHEEFPLAVMHAYVDSITFSGMKFDTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKPDFIRMNATNDPEECAPTELLEDIYDSIVKEEIKMKDDAAGIGKSGRQKPEGEERGRLVSILNLALPKTKSATDAKSESEAIIKQTQAIIRNQEAKRGVFYIAQEIELVRPMVEAVGWPLLATFSVTMEEGENKPRVVLCMEGFRAGIHITYVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLGLCDLEPDSLQDTWNAVLECVSRLEFITSTPAIAATVMHGSNQISKDAVVQSLKELAGKPAEQVFVNSEKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWTVLANHFISAGSHADEKIAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVVLMRNSRSATIRSLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDDLESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNKTSHRISLKAVALLRICEDRLAEGRIPGGALKPIDVDADTAFDVTEHYWFPMLAGLSDLTSDSRPEVRSCALEVLFDLLNERGSKFSTPFWESIFHRVLFPIFDHVRHAGKESLISSGDESLRESSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKTDQTVVSISLGALVHLIEVGGHQFSESDWDMLLKSIRDASYTTQPLELLNTLGLENPKNPSILIRDLEVQTGGEGYQFDASDNGKISPLASPSAGSDSSTRNSNASVSQYHNQESGLQSNPDGSEGVPSPSGRSQKSAEAGSLQRSQTIGQRIMGNMMDNLFRRSLTSKSKSRASEISVPSSPPKLPEAVEPEAKDEEESPLMATVRGKCITQLLLLGAVDSIQKKYWDNLKAAQKIAIMDILLSLLEFAASYNSYSNLRTRMHHIPAERPPLNLIRQELAGTSIYLDILQKTTSGFNDKNGQHLEPNGSQDTDISSDNNGSRLAVQSFTEMKLEGIAEEKLVSFCEQVLRDASDLQSTIGETSNVDIHRVLELRSPIIVKVLKGMCFMNNVIFRKHLREFYPLLTKLVCCDQMDVRGALGDLFRAQLKALLP >EOY33845 pep chromosome:Theobroma_cacao_20110822:9:39158786:39164002:1 gene:TCM_041700 transcript:EOY33845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative isoform 2 MEMWSLWLFLISFLIVSVTTWIYWWRNPSCNGRLPPGSMGLPLIGETFNFLVTSKSIDIHPFIKERMERYGPLFKTSLAGRSVVVSSDPDFNYFVLQQEDKLVELYYMDTFAKLVHKENVNEGGYLHKYLKRVLLSCIGPECLKEKLLSQSEEIINHELHEWTKQSEVEVKAQSAAMTHGFISKILMGCELENSEENLSEYFCSFLQRLLTFPLYIPGTDFYRCIKKQQKVLTLISQLLEERRKSYSQGCNNGDFLGQLVEGMGKEAFLTPEFVSNVIFGLLAATIDTISSAVTLAIKCVLDDPSALQQLTEEHEEILKKREGTNLGLSWEEYKSMTFTHYVIKEALRLASVAPGIFRRVITDIHIDGYTIPKGWILLIIPAALQLNPNIYEDPLTFNPSRWKNMGGISIAKNFVPFGGGNRTCAGAELSKVLMAVLLHVWVTKYRLTRIRGGDVARTPVLEFTNGFFVKVSEKQP >EOY33846 pep chromosome:Theobroma_cacao_20110822:9:39159033:39161892:1 gene:TCM_041700 transcript:EOY33846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative isoform 2 MEMWSLWLFLISFLIVSVTTWIYWWRNPSCNGRLPPGSMGLPLIGETFNFLVTSKSIDIHPFIKERMERYGPLFKTSLAGRSVVVSSDPDFNYFVLQQEDKLVELYYMDTFAKLVHKENVNEGGYLHKYLKRVLLSCIGPECLKEKLLSQSEEIINHELHEWTKQSEVEVKAQSAAMTHGFISKILMGCELENSEENLSEYFCSFLQRLLTFPLYIPGTDFYRCIKKQQKVLTLISQLLEERRKSYSQGCNNGDFLGQLVEGMGKEAFLTPEFVSNVIFGLLAATIDTISSAVTLAIKCVLDDPSALQQLTEEHEEILKKREGTNLGLSWEEYKSMTFTHYIR >EOY31106 pep chromosome:Theobroma_cacao_20110822:9:7080291:7082074:1 gene:TCM_038111 transcript:EOY31106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin 16, putative MARSSSLAILVIALLAILQATAAQTTHVVGDELGWLVPPGGPIAYSTWAAMQTFTVGDVLVFNFTTGEQDVAMVTREAYETCNSTNPISLKTTGPANFTLDSIREYYFIGTMDQRCSLGQKLAINVPAPGPRPSSVPPPPRGPITYTVGDDLGWLVPPAGPLAYETWAFNKTFLLGDTLVFNFINGTQDVAVVTKEAYETCDTNNTITVLTTSPANISLTTTGEHFFTSTYARHCDLGQKLAINVTAITSTSNPPSSSTEPPSSGSNPVSEGPSAAPPPSLVSSAPSPLISGFFITLFSLAMAFF >EOY30905 pep chromosome:Theobroma_cacao_20110822:9:6210386:6212680:-1 gene:TCM_037952 transcript:EOY30905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein-like isoform 1 MEKTLIQPLIAMLISFLIAIRSYGRKSLDLSGALSGFIVMTIHFAVGYRFGAMLLAFFFTSSKLTKVGEEKKRRVDADFKEGGQRNWIQVLFNSGVATVLSVIIWSLTGGEDKCLDSKESVLITSLIGGIIGHYSCCNGDTWSSEIGVLSDAQPRLITTFKTVRRGTNGGVTKTGLLAALAAGSVIGLTFVLVGFFTTTCSTGMAMKQLLVIPLSAVAGLLGSIIDSLLGATLQFSGFCSVRNKVNLK >EOY30904 pep chromosome:Theobroma_cacao_20110822:9:6210227:6212813:-1 gene:TCM_037952 transcript:EOY30904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein-like isoform 1 MEKTLIQPLIAMLISFLIAIRSYGRKSLDLSGALSGFIVMTIHFAVGYRFGAMLLAFFFTSSKLTKVGEEKKRRVDADFKEGGQRNWIQVLFNSGVATVLSVIIWSLTGGEDKCLDSKESVLITSLIGGIIGHYSCCNGDTWSSEIGVLSDAQPRLITTFKTVRRGTNGGVTKTGLLAALAAGSVIGLTFVLVGFFTTTCSTGMAMKQLLVIPLSAVAGLLGSIIDSLLGATLQFSGFCSVRNKVVGKPGPTVKKISGLSILDNNAVNLVSVLLTTVLTSIACVYIF >EOY30903 pep chromosome:Theobroma_cacao_20110822:9:6208864:6212557:-1 gene:TCM_037952 transcript:EOY30903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein-like isoform 1 MEKTLIQPLIAMLISFLIAIRSYGRKSLDLSGALSGFIVMTIHFAVGYRFGAMLLAFFFTSSKLTKVGEEKKRRVDADFKEGGQRNWIQVLFNSGVATVLSVIIWSLTGGEDKCLDSKESVLITSLIGGIIGHYSCCNGDTWSSEIGVLSDAQPRLITTFKTVRRGTNGGVTKTGLLAALAAGSVIGLTFVLVGFFTTTCSTGMAMKQLLVIPLSAVAGLLGSIIDSLLGATLQFSGFCSVRNKVVGKPGPTVKKISGLSILDNNAVNLVSVLLTTVLTSIACVYIF >EOY31522 pep chromosome:Theobroma_cacao_20110822:9:9292611:9296167:1 gene:TCM_038442 transcript:EOY31522 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 domain-containing transcription factor MGKLSLQNQKNNAQNNNFNNTTSNGVTKVKRTRRSVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKYCWNESQNKKGRQGAYDDEEAAAHAYDLAALKYWGQDTILNFSLSTYQKELKEMEDQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLKPNQTNTESNPNPKIESTSTVTTSPTQELNLNFVNNNQLQASNPSDQSLLTQPRPVNATSALGLLLQSSKFKEMMEMTSAADHCQLTPAVSEPVPCSFPEDIQTYFGCQDSSSYGDVDDIIFGELNSFMPPMFQCDFDA >EOY33262 pep chromosome:Theobroma_cacao_20110822:9:36164953:36172757:1 gene:TCM_041212 transcript:EOY33262 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 5 isoform 4 MGVSGKWIKALVGLKKSEKSQSSEKEENGAAASKFRHRRKHPVEFDTDKFQEELDQNAASPARDANTHATADASGSPSGSLEVHDTPLNEHAREEWASTRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRLALESQTTQQKLQQQLANEARVKEIEEGWCDSIGSVEEIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQQSVPAGFEPDKSSWGWNWLERWMAVRPWENRFLDINLRDGVMIREDGPAEGKNGVNSQIKPAIKKPAALNLHANLSSQKTGPSYSNGSDSPPGKSASVLEAVNALSSKPKSKPNLEDLGDEAGSRPVISSRSHSNPKERATQSDKQAKKRLSLPNSGVSGSQTTKPGRSGVKVTQGSHKQMKERSKFNGRGDSNPTKAVAQAVEL >EOY33263 pep chromosome:Theobroma_cacao_20110822:9:36164953:36173066:1 gene:TCM_041212 transcript:EOY33263 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 5 isoform 4 MGVSGKWIKALVGLKKSEKSQSSEKEENGAAASKFRHRRKHPVEFDTDKFQEELDQNAASPARDANTHATADASGSPSGSLEVHDTPLNEHAREEWASTRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRLALESQTTQQKLQQQLANEARVKEIEEGWCDSIGSVEEIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQQSVPAGFEPDKSSWGWNWLERWMAVRPWENRFLDINLRDGVMIREDGPAEGKNGVNSQIKPAIKKPPKSKPNLEDLEAVVSA >EOY33265 pep chromosome:Theobroma_cacao_20110822:9:36166861:36172761:1 gene:TCM_041212 transcript:EOY33265 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 5 isoform 4 MQALVRVQARVRARRVRLALESQTTQQKLQQQLANEARVKEIEEGWCDSIGSVEEIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQQSVPAGFEPDKSSWGWNWLERWMAVRPWENRFLDINLRDGVMIREDGPAEGKNGVNSQIKPAIKKPAALNLHANLSSQKTGPSYSNGSDSPPGKSASVLEAVNALSSKPKSKPNLEDLGDEAGSRPVISSRSHSNPKERATQSDKQAKKRLSLPNSGVSGSQTTKPGRSGVKVTQGSHKQMKERSKFNGRGDSNPTKAVAQAVEL >EOY33264 pep chromosome:Theobroma_cacao_20110822:9:36164953:36173066:1 gene:TCM_041212 transcript:EOY33264 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 5 isoform 4 MGVSGKWIKALVGLKKSEKSQSSEKEENGAAASKFRHRRKHPVEFDTDKFQEELDQNAASPARDANTHATADASGSPSGSLEVHDTPLNEHAREEWASTRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRLALESQTTQQKLQQQLANEARVKEIEEGWCDSIGSVEEIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQQSVPAGFEPDKSSWGWNWLERWMAVRPWENRFLDINLRDGVMIREDGPAEGKNGVNSQIKPAIKKPPKSKPNLEDLEAVVSA >EOY32575 pep chromosome:Theobroma_cacao_20110822:9:31569453:31571348:1 gene:TCM_040566 transcript:EOY32575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein MLNCPSSVFTPLNQGFLLFFLNKFQDKNIICFEPNNVRMKRVFSIILLLLVTVISTVTSCPPSDRAALLRFKGALHEPFLGIFNSWTGTDCCHNWYGISCDPESHQVADINFRGESEDPIFERAGRSGFMTGVISAEICKLPRLSSIIIADWKGITGEIPKCIATLPFLRILDLIGNKISGEIPSDIGRLQRLTVLNIADNQISGRIPSSLTNLSSLMHLDLRNNKISGPIPRAFGRLRMLSRALLSGNQISGPIPGSISQIYRLADFDLSMNKISGVIPPSIGRMAVLATLNLDCNNITGTIPTTLLTSAISNLNLSRNALGGKIPDVFGARSYFTVIDLSHNNFRGPVPRSLSAASYIGHLDLSYNHLCGRIPAGAPFDHLEASSFVYNDCLCGKPLRAC >EOY29413 pep chromosome:Theobroma_cacao_20110822:9:1094402:1098075:1 gene:TCM_036957 transcript:EOY29413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ion binding protein, putative isoform 1 MSSLTALRRSSPSADRLFLIQQRLRTCQFSSLPPINASKIDSDDRKDSVASSFVKWISGIAVGSSLGLVYWYSTSGSDWRSAFLKKPFWSFAEWSTATAESTVDGSRNAFRKLALPDYSSKFIFGEVHRRKVFFNYEKRLRLRSPPEKVFVYFASFRTPEGELLMRPADLMRAVVPVFPPSESNLVRDGYLSGERSPGELRCDPSEFFMLFDTNSDGLISFKEYIFFVTLLSIPQSSFSTAFKMFDVDNSGEIDKEEFKKVMALMRANNRQGAVHSDGLRIGLKVTGSVENGGLVEHFFGKDGKARLQHDKFVEFLQKLQDEMLRLEFDHYDYKGRGTISAKDFALSMVASADMSHLGRLLEQVDELNDEPQLREIRINLDEFKHFAELRRKLQPFSLALFSYGKINGLLTKDDFKRAASHVCGIDLTDNVVEIIFHVFDSNRDGHLSADEFVRVLLKRERDIAQPVESGILGLLSCCWNCSNNSSIGRVIS >EOY29414 pep chromosome:Theobroma_cacao_20110822:9:1094402:1098075:1 gene:TCM_036957 transcript:EOY29414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ion binding protein, putative isoform 1 MSSLTALRRSSPSADRLFLIQQRLRTCQFSSLPPINASKIDSDDRKDSVASSFVKWISGIAVGSSLGLVYWYSTSGSDWRSAFLKKPFWSFAEWSTATAESTVDGSRNAFRKLALPDYSSKFIFGEVHRRKVFFNYEKRLRLRSPPEKVFVYFASFRTPEGELLMRPADLMRAVVPVFPPSESNLVRDGYLSGERSPGELRCDPSEFFMLFDTNSDGLISFKEYIFFVTLLSIPQSSFSTAFKMFDVDNSGEIDKEEFKKVMALMRANNRQGAVHSDGLRIGLKVTGSVENGGLVEHFFGKDGKARLQHDKFVEFLQKLQDEMLRLEFDHYDYKGRGTISAKDFALSMVASADMSHLGRLLEQVDELNDEPQLREIRINLDEFKHFAELRRKLQPFSLALFSYGKINGLLTKDDFKRAASHDFHQRRSF >EOY31327 pep chromosome:Theobroma_cacao_20110822:9:8172587:8175553:1 gene:TCM_038278 transcript:EOY31327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endomembrane protein 70 protein family MGNFGIWVLTICLLFQSGYGFYLPGSYPHKYVVGDYLSVKVNSLTSIDTEMPFSYYSLPFCKPTEGVKDSAENLGELLMGDRIENSPYRFKMYTNETEIFLCQSNKLSADDFKLLKKRIDEMYQVNLILDNLPAIRYTRKEGFMLRWTGYPVGVKVQDVYYVFNHLKFKVLVHKYEETNVARVMGTGDAAEVIPTVGNGGSDAPGYMVVGFEVVPCSVLHNGNSVKNLNMYEKYPSPIKCESTTVSMPIKEGEPIVFTYEVVFEESDIKWPSRWDAYLKMEGSKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPSNPALLCIMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYMILGIVAGYVAVRLWRTIGCGDHKGWVSVAWKAACFFPGIAFLILTTLNFLLWGSHSTGAIPFSLFVILLLLWFCISVPLTLVGGYFGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLVLLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVAIYIFLYSINYLIFDLKSLSGPVSATLYLGYSLFMVLAIMIATGTIGFLSSFWFVHYLFSSVKLD >EOY33926 pep chromosome:Theobroma_cacao_20110822:9:39341783:39361876:1 gene:TCM_041757 transcript:EOY33926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3G1 MATQQTKIRWGELEEDDNEDLDFLLPPRQVIGPDENGIKKVIEYKFNDEGNKVKITTTTRVRKLANARLSKRAVERRSWPKFGDAVREDVGSRLTMVSTEEILLERPRAPGSKVEETKVAGDSLAQLGKGGAVLMVCRTCGKKGDHWTSRCPYKDLAPPAEGFIDKPAASDTATAAAGSGKSTYVPPGMRAGAERSGTDMRRRNDENSVRVTNLSEDTREPDLLELFRPFGAVSRVYVAVDQKTGMSRGFGFVNFVNKEDAQRAINKLNGYGYDNLILRVEWATPRTN >EOY32451 pep chromosome:Theobroma_cacao_20110822:9:29815279:29820171:1 gene:TCM_040389 transcript:EOY32451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLWTDLVALKAQIHEPWILCGDFNVAFKMNERHGAPVTLYEIATFKAGVKEIDRVPTSGGAEFDWVCNIKGKNAIYEVFRDTFVFILSYLWRDRNKFVFEQKNLCVQANVGVIVLAIHMRSAAAKNFIREHVSTYLDRFM >EOY31094 pep chromosome:Theobroma_cacao_20110822:9:6976496:6978767:-1 gene:TCM_038101 transcript:EOY31094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleoside transporter MENPKEPANQPEPRDTYKIAYIIHFLLGAGNLLPWNAFITAVDYFGYLYPAKHVEKVFSVGYMSSSMLVLVVMMSSGCCSRKLTHRFRMNMGFSMFIFSLLVAPTIDWAWHSSWSKEKQNAAYFVTVAAVVICGLADGLIAGSLIGSAGKLPKQYMQAIFSGTASSGVLVSILRIITKASLPQTPQGLRASAHFYFIVSTTILLCCILCCNLLYKLPVMQQHYRLLRDDPFCSRPQFWTVAKKVCWPALGILMIYVVTLSIFPGFIAEDLESKLLQDWYPILLITMYNVADFMGKSLTALYVLQSIKKATWAAIARLLFYPLFTACLHGPKWLKGEVPVVVLTFMLGLTNGYLTSVLMILGPKTVPVSEAELSAIVLIVFLGIGLVSGSLLGWFWII >EOY30896 pep chromosome:Theobroma_cacao_20110822:9:6186072:6191389:1 gene:TCM_037945 transcript:EOY30896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-ACP thioesterases B isoform 1 MVATAATSAFFPVTSSPDSSDSKNKKLGSGSTNLGGIKSKPSTSSGILQVKANAQAPPKINGTTVVTTPVESFKNEDTASSPPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGIGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETAINHCRSAGLLGEGFGSTPEMCKKNLIWVVTRMQVVVDRYPTWGDVVQVDTWASASGKNGMRRDWLVSDSKTGEILTRASSVWVMMNKLTRRLSKIPEEVRGEIEPYFMNSDPVVAEDSRKLVKLDDSTADYVRKGLTCILTLQPRWGDLDVNQHVNNVKYIGWILESAPLPILETHELSSMTLEYRRECGRDGVLQSLTAVSDSGVGNLVNFGEIECQHLLRLEDGSEIVRGRTEWRPKYAKSFGNVGQLPAESA >EOY30897 pep chromosome:Theobroma_cacao_20110822:9:6186085:6191371:1 gene:TCM_037945 transcript:EOY30897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-ACP thioesterases B isoform 1 MVATAATSAFFPVTSSPDSSDSKNKKLGSGSTNLGGIKSKPSTSSGILQVKANAQAPPKINGTTVVTTPVESFKNEDTASSPPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGIGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETAINHCRSAGLLGEGFGSTPEMCKKNLIWVVTRMQVVVDRYPTWGDVVQVDTWASASGKNGMRRDWLVSDSKTGEILTRASSVWVMMNKLTRRLSKIPEEVRGEIEPYFMNSDPVVAEDSRKLVKLDDSTADYVRKGLTPRWGDLDVNQHVNNVKYIGWILESAPLPILETHELSSMTLEYRRECGRDGVLQSLTAVSDSGVGNLVNFGEIECQHLLRLEDGSEIVRGRTEWRPKYAKSFGNVGQLPAESA >EOY31650 pep chromosome:Theobroma_cacao_20110822:9:10833090:10841247:1 gene:TCM_038627 transcript:EOY31650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein isoform 2 MRVYFNFMVILLVLCVASCFRSASCAVKHPFLGISPQDEKYYKSSSDSIKCKDGSKKFSKSQLNDDFCDCPDGTDEPGTSACPTAKFYCQNAGHLPQFLFSSRVNDGICDCCDGSDEYDGQVKCPNTCWEAGKVARDRLIKKITTYKEGVTLRKLEIEQAKIAIAKNKAELTKLKDEEKILKGLVQELKEQIEKAEEKERLQKEKEEKEKRKAEEALREKTKAEEEGKVENEKVEQEARSEDKPTESTHDDTIGNIEDSSLNEDLKVHDSYDDPTPEVENDDTSKTEGAPLNRIEEHVLENEHGPASAKHEDDSAVAADIDHDAGSKVSPDEVKKVESEASENTEGLSREELGRLVASRWTGESNENQGGTNDNSDDSHEEMLKDTHDEQYGGYASDTDEDIGKYDDSGKYDDDNDIDDEVDEGYEEENHDDMPSYKSDLDDEPDLSDTTSTNNPSWLGKIQQTVRNILQAVNLFQKPVNISEAAHVRKEYDESSAKLSKIQSRISSLTQKLKHDFGPEKEFYSFYDRCFESKQNKYVYKVCPYKQASQEEGHATTRLGRWDKFEDLYRMMVFSNGDKCWNGPDRSMKVKLRCGLKNEITDVDEPSRCEYVALLSTPALCVEDKQRELQQKLDLMNKEQPREHDEL >EOY31649 pep chromosome:Theobroma_cacao_20110822:9:10833090:10841227:1 gene:TCM_038627 transcript:EOY31649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein isoform 2 MRVYFNFMVILLVLCVASCFRSASCAVKHPFLGISPQDEKYYKSSSDSIKCKDGSKKFSKSQLNDDFCDCPDGTDEPGTSACPTAKFYCQNAGHLPQFLFSSRVNDGICDCCDGSDEYDGQVKCPNTCWEAGKVARDRLIKKITTYKEGVTLRKLEIEQAKIAIAKNKAELTKLKDEEKILKGLVQELKERKEQIEKAEEKERLQKEKEEKEKRKAEEALREKTKAEEEGKVENEKVEQEARSEDKPTESTHDDTIGNIEDSSLNEDLKVHDSYDDPTPEVENDDTSKTEGAPLNRIEEHVLENEHGPASAKHEDDSAVAADIDHDAGSKVSPDEVKKVESEASENTEGLSREELGRLVASRWTGESNENQGGTNDNSDDSHEEMLKDTHDEQYGGYASDTDEDIGKYDDSGKYDDDNDIDDEVDEGYEEENHDDMPSYKSDLDDEPDLSDTTSTNNPSWLGKIQQTVRNILQAVNLFQKPVNISEAAHVRKEYDESSAKLSKIQSRISSLTQKLKHDFGPEKEFYSFYDRCFESKQNKYVYKVCPYKQASQEEGHATTRLGRWDKFEDLYRMMVFSNGDKCWNGPDRSMKVKLRCGLKNEITDVDEPSRCEYVALLSTPALCVEDKQRELQQKLDLMNKEQPREHDEL >EOY31651 pep chromosome:Theobroma_cacao_20110822:9:10836407:10841333:1 gene:TCM_038627 transcript:EOY31651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein isoform 2 EKAEEKERLQKEKEEKEKRKAEEALREKTKAEEEGKVENEKVEQEARSEDKPTESTHDDTIGNIEDSSLNEDLKVHDSYDDPTPEVENDDTSKTEGAPLNRIEEHVLENEHGPASAKHEDDSAVAADIDHDAGSKVSPDEVKKVSEASENTEGLSREELGRLVASRWTGESNENQGGTNDNSDDSHEEMLKDTHDEQYGGYASDTDEDIGKYDDSGKYDDDNDIDDEVDEGYEEENHDDMPSYKSDLDDEPDLSDTTSTNNPSWLGKIQQTVRNILQAVNLFQKPVNISEAAHVRKEYDESSAKLSKIQSRISSLTQKLKHDFGPEKEFYSFYDRCFESKQNKYVYKVCPYKQASQEEGHATTRLGRWDKFEDLYRMMVFSNGDKCWNGPDRSMKVKLRCGLKNEITDVDEPSRCEYVALLSTPALCVEDKQRELQQKLDLMNKEQPREHDEL >EOY32316 pep chromosome:Theobroma_cacao_20110822:9:26659137:26660552:-1 gene:TCM_040074 transcript:EOY32316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucoanthocyanidin dioxygenase, putative MWASATQVIPEESIPIIDISNWEDPKVAKPVCDASEKWGFFQIMNHAVPIQVLENVQDTTHRFFGLPAEEKNKYSKEHSASSNVRFGTSFSPKAEKALEWKDFLSLFLVSEEESCAFWPPVCRTNLNYYPICFNPELTVGVGRHSDVSSLSILLQDEIGGLYVKGNQDALQIPSNGRYRSVENRVIASGSKNRISVPIFVNPRPGDIIGPLPQVLENGEKPIYKQVLYSDYVRHFFRKAHDGKKTVEFAEILIYICNGSAPFFPTILMPSFLAVIG >EOY34150 pep chromosome:Theobroma_cacao_20110822:9:40069833:40070972:1 gene:TCM_041910 transcript:EOY34150 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOX high mobility group box family member 4-A, putative isoform 3 MLFPKTSFGLHVPTEAIGLIFDELKLKLQYTTIELESVKMEASEQIWKYREEVKHLLNLLKLAYQERDEARDQLQKLLNKLLPSSPTELQPILPHPQSESPLMVAAKANSSITESNSLSDTYNHHSHGSSQVDSFFDAVTSPEFSSINMADSGSLGFVNQPFVQEYNNGSMPTGLVSSGVTKIDPATSVIDNLAKGKPLPQKGKLLQAVMEAGPLLQTLLVAGPLPRWRNPPPMQTFKIPPVSIKGCDSERANQKPGANPNRTIVQKRLNSSSYPEMSRGSRQMCSAAILNFAGSASASGLSSAGAGVSTQIIA >EOY34149 pep chromosome:Theobroma_cacao_20110822:9:40068546:40071228:1 gene:TCM_041910 transcript:EOY34149 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOX high mobility group box family member 4-A, putative isoform 3 MEELGSLGNFQEIFDELKLKLQYTTIELESVKMEASEQIWKYREEVKHLLNLLKLAYQERDEARDQLQKLLNKLLPSSPTELQPILPHPQSESPLMVAAKANSSITESNSLSDTYNHHSHGSSQVDSFFDAVTSPEFSSINMADSGSLGFVNQPFVQEYNNGSMPTGLVSSGVTKIDPATSVIDNLAKGKPLPQKGKLLQAVMEAGPLLQTLLVAGPLPRWRNPPPMQTFKIPPVSIKGCDSERANQKPGANPNRTIVQKRLNSSSYPEMSRGSRQMCSAAILNFAGSASASGLSSAGAGVSTQIIAGKRQRSQ >EOY34151 pep chromosome:Theobroma_cacao_20110822:9:40068546:40071228:1 gene:TCM_041910 transcript:EOY34151 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOX high mobility group box family member 4-A, putative isoform 3 MEASEQIWKYREEVKHLLNLLKLAYQERDEARDQLQKLLNKLLPSSPTELQPILPHPQSESPLMVAAKANSSITESNSLSDTYNHHSHGSSQVDSFFDAVTSPEFSSINMADSGSLGFVNQPFVQEYNNGSMPTGLVSSGVTKIDPATSVIDNLAKGKPLPQKGKLLQAVMEAGPLLQTLLVAGPLPRWRNPPPMQTFKIPPVSIKGCDSERANQKPGANPNRTIVQKRLNSSSYPEMSRGSRQMCSAAILNFAGSASASGLSSAGAGVSTQIIAGKRQRSQ >EOY34152 pep chromosome:Theobroma_cacao_20110822:9:40069057:40071238:1 gene:TCM_041910 transcript:EOY34152 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOX high mobility group box family member 4-A, putative isoform 3 FFIFCFYLSGLSFLFTWCGSCLILRHQVHFILSCSLYLFFFPLKLSYWFLSFIFQIFDELKLKLQYTTIELESVKMEASEQIWKYREEVKHLLNLLKLAYQERDEARDQLQKLLNKLLPSSPTELQPILPHPQSESPLMVAAKANSSITESNSLSDTYNHHSHGSSQVDSFFDAVTSPEFSSINMADSGSLGFVNQPFVQEYNNGSMPTGLVSSGVTKIDPATSVIDNLAKGKPLPQKGKLLQAVMEAGPLLQTLLVAGPLPRWRNPPPMQTFKIPPVSIKGCDSERANQKPGANPNRTIVQKRLNSSSYPEMSRGSRQMCSAAILNFAGSASASGLSSAGAGVSTQIIAGKRQRSQ >EOY29263 pep chromosome:Theobroma_cacao_20110822:9:575895:589292:-1 gene:TCM_036856 transcript:EOY29263 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MSSMALRLIHSRLSLSRLYAVGGSKNGRFLSTESDKVDDPFNVEEAETVHVPPPPSEKLLVLGGNGFVGSHICREALNRGLTVASLSRSGRSSLHDSWAKNVTWHQGNLLSSDSWKEALNGVTSVISCVGGFGSNSYMYKINGTANINAIRAAAEKGVKRFIYISAADFGLANYLLQGYYEGKRAAETELLTKFPYGGVILRPGFIYGTRSVGSVKLPLGVVGSPLEMVLQHAKPLNQLPLVGPLFTPPINVTSVAKVAVRVAADPVFPPGIVDIYGIQRYSRQMSR >EOY29264 pep chromosome:Theobroma_cacao_20110822:9:575950:579605:-1 gene:TCM_036856 transcript:EOY29264 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 2 MSSMALRLIHSRLSLSRLYAVGGSKNGRFLSTESDKVDDPFNVEEAETVHVPPPPSEKLLVLGGNGFVGSHICREALNRGLTVASLSRSGRSSLHDSWAKNVTWHQGNLLSSDSWKEALNGVTSVISCVGGFGSNSYMYKINGTANINAIRAAAEKGVKRFIYISAADFGLANYLLQGYYEGKRAAETELLTKFPYGGGDFEAWLHLRDSQCWKCEVTSRCCWLSTGNGSPTCKTTEPASTCWPTVHSSH >EOY31146 pep chromosome:Theobroma_cacao_20110822:9:7235562:7238856:1 gene:TCM_038139 transcript:EOY31146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin system component Cue protein, putative isoform 1 MSAIVSGKRSFFEELSATPPVSKRIRCSSRFASSSFSPSSSSPPPSPSSSFLIDQLIAIFPEMDKQVLERALEECGDDLDSAIRSLNELRLGSAYRNAAPAADKTAVALEGNVQHQAQGVATANGDVPTKEQTAPEVLSLDGSDWVELFVREMLNASNIDDARARASRALEVLEKSIHVRAGAEVAQNFHQENRMLKEQLEALIQENSILKRAVAVQHERQKEYEDQSQELQHLKQLVSQYQEQLRTLEVNNYALTMHLKQAQQSSSIPGRFNPDVF >EOY31148 pep chromosome:Theobroma_cacao_20110822:9:7235473:7237998:1 gene:TCM_038139 transcript:EOY31148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin system component Cue protein, putative isoform 1 MSAIVSGKRSFFEELSATPPVSKRIRCSSRFASSSFSPSSSSPPPSPSSSFLIDQLIAIFPEMDKQVLERALEECGDDLDSAIRSLNELRLGSAYRNAAPAADKTAVALEGNVQHQAQGVATANGDVPTKEQTAPEVLSLDGSDWVELFVREMLNASNIDDARARASRALEVLEKSIHVRAGAEVAQNFHQDAERTTGSTYSGKFYSQASCCCSA >EOY31147 pep chromosome:Theobroma_cacao_20110822:9:7235517:7238802:1 gene:TCM_038139 transcript:EOY31147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin system component Cue protein, putative isoform 1 MSAIVSGKRSFFEELSATPPVSKRIRCSSRFASSSFSPSSSSPPPSPSSSFLIDQLIAIFPEMDKQVLERALEECGDDLDSAIRSLNELRLGSAYRNAAPAADKTAVALEGNVQHQAQANGDVPTKEQTAPEVLSLDGSDWVELFVREMLNASNIDDARARASRALEVLEKSIHVRAGAEVAQNFHQENRMLKEQLEALIQENSILKRAVAVQHERQKEYEDQSQELQHLKQLVSQYQEQLRTLEVNNYALTMHLKQAQQSSSIPGRFNPDVF >EOY34042 pep chromosome:Theobroma_cacao_20110822:9:39766973:39769527:-1 gene:TCM_041844 transcript:EOY34042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGREFHEYSDDVEFESYHPGCMQGIFNVLDYHHWNNVKKMFAHRKCIRGRNARCCANPKTMSMEREAGGTQRFLDGEAGHIQVQQRTRKTGSTNKSSGKAYTKGLTAKEKSKEENHKHWILGFLARSQLQQTNSTHHLQPSGFGLGYLNPVILVRKRANNSETSLTSYMSEFLRKQVTKSKKSDIRDRVNADSQLEHKEIEKHTLFQKKFDKKGGTRTLINQKPMAKKLNISDNQVNEGLDVLEIFKVSKNLFLDILQDTEVGISQQFPGKPTSKTVRLTKSGSFPISGSARTRYLRRSKLFIPRI >EOY32541 pep chromosome:Theobroma_cacao_20110822:9:31039158:31052992:1 gene:TCM_040521 transcript:EOY32541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein, putative MTGWRVCMDYRKLNKAIMKDHFPLIFNDQMLDRLVGKEFYYFLDGYSAYNQIAIAPSDQERLPLHALMALLPLEGCFAMHLVIKRSEKTNLVLNWEKCHFMVQEGIVLGYKILNRVLEVDKAKIERIEKLPPPTSVKGFRRFLGHGRFYKMFIKDFSKISNLCAIC >EOY31697 pep chromosome:Theobroma_cacao_20110822:9:12443094:12444214:1 gene:TCM_038760 transcript:EOY31697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFESVNSNQSRSQRSQTSSKLLPVGDPQSSYYLHHTDHPSSVVINPKLTTNNYVAWSRSFLLALSIRNKLGFINGSIPKPQVTNDLYPSWIKCNNLIVAWLLDSINPPIASIVFYMDSAMEIWSALKQSYAQLDDTRVCNLQYTLGMLVRGLDGWIAILLNSRESAKN >EOY30846 pep chromosome:Theobroma_cacao_20110822:9:6012055:6012846:-1 gene:TCM_037918 transcript:EOY30846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPRDKCTYETAVANSGLGNDVGMLPRLQPGIDQGTSITAATKALSDGACARKARHEFSRDDPLKKEYQAGMPGGVPANNFGKKWRNRGQQSTAPATNRGI >EOY31984 pep chromosome:Theobroma_cacao_20110822:9:18463100:18489424:1 gene:TCM_039355 transcript:EOY31984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHKHIEPELGAIEEESTASTIRAAPAAEQTETLPHPPPPLPLTSILAMPLEAVQALAAFFTAIAGQAQASQAVPTVPLAAPSVPPSPPPVPPLVLDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXWLKRGEKGKKKTRILPEKFGENQEKSRNQALKRW >EOY30732 pep chromosome:Theobroma_cacao_20110822:9:5605782:5615314:1 gene:TCM_037843 transcript:EOY30732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MTTAATATTSSRILHHTTAFTSEILSQSELRHRILSTLRRKLLPSDQLTLKPLNLAAETLENAVSASNASIQSSSLRVAQKLLLSYPEATFSSFLLSLIYSLSNQPIKSSLSLLQVFYLDPSLARSELAPTLFEDLFLVHFLPVLQRFNEQRSTILSSLSPNVNHDADDYSICDVSVVVPCSKLLSKMSGDQALELKELERNYEEVLDENCRVLVKYFKEVLENSDDGNRLISPPALVLKQTEKDEELDYYQEDKNIKTKELGLKNGRYNPIWAEGERSVEFYSSSSSTSKSPFFPQRVSVKILKKQNSRTLTTSSNLNSDSELESISEANSCSSEPEAEMEENNREIALLENGKSLTQKQKQPVFADSSRSLDYLMADNGNPPGSGKHTPPKDFVCPITSHIFDDPVTLETGQTYERRAIQEWLDRGNSTCPITRQNLQSTQLPKTNYVLKRLIGSWQEKNPGPVPHQSENHQDIESKPMVKSIVPATSPNSVISQATMDRTINELRQAITNLCMSEILKESERAVLQIERFWQDMNIEPDILTMLSKPPVINGFVEILFNSVDLQVLKATFFLLCELGSRDDAVIHTLTRVDSDVERIVALFKEGLEEAVVLIYLLQPSTTGLVAMDVVESLLAIIKKRDDDMPKMCMKPKTASVLLLRQILQSNEENVASSIISIIVSSKVIESIVSSLEAEWAVERIAAVGILRRCIQEDGKCRNIIADKAQLAPVLESFLGTSGEERFEIVYFFYELVKLHRRTFNEQVLNVIRDEGAFSTMHSLLVYLQTALQDQCPIVAGLLLQLDLLVEPRKMSIYREEAIDTLISCLRNSEFPAAQIAAAETIVSLQGRFTGSGKPLTRPFLLKRAGLEKNYRNLMRMEQLHNNPGKFEDISQEEKAADAWERKMAFVLVSHEFGLLFEALAEGLKSRSAELCSACFVAATWLVHMLSVIPDTGIRGAARVCLLKRFISIFKTAKDIEDRTLSLLALKSFIHDPEGLRDLASYMKDILKGLRELRKSSPLAFEIIKVLSKGQESSADMWNHKELVQVDSSENGEVLSMVSFKDKIFSGHSDGTIKVWTGRGSILHLVQEIREHSKPVTSLYILQSGERLYSGSLDKTARVWSIGDELIHCVQVHDMKDQVHNLVVANSISCFIPQGAGVKVHAWNGQSKLLNQNKYIKCLALVHGRLYCGCHDNSIQELDLASGTLSTIQSGSRKLLGKAHPVHALQVHNGLIYSASPPLDGVAVKIWSAANYSMVGSLPTTSEVRSMALSSELIYLGCRGGIVEVWDQKKHTRIEILQTGTNSKVLCMTLDANEEVLVIGTSDGRIQAWGLS >EOY29444 pep chromosome:Theobroma_cacao_20110822:9:1214573:1215703:1 gene:TCM_036976 transcript:EOY29444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVWFGQMGVTPSPRESTSWAQSGYTVQRYVMGSERLCNSKPLSPPPPSPPPHVALISVIPEDALLSKLQRIQQNTSSLPRHTPFASAFAPFVPLKLQLHFPIRENEI >EOY30679 pep chromosome:Theobroma_cacao_20110822:9:5386055:5393623:-1 gene:TCM_037805 transcript:EOY30679 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDC27 family protein isoform 1 MEAILTDCVQNSLRHFMFRNAIFLCERLCAEFPSEANLQLLAACYLQNNQAYSAYHILKGTQTAQSRYLFAVSCFQMDLLNEAETALCPANEPGGEIPNGAAGHYLLGLIYRYTDRRKSAIHHFRLALSIDPLLWAAYEELCVLGAAEEATVVFGEAAALCIQKQYLHHGVTSPNLHTSNEDYNLVSSRNFGSEDVSPRQLKHAQGNNLRDIPGNYHGTAGLSGAASQPQNGGPSNMPFYNTPSPMASQLSGVAPPPLCRNVQPNGPNLNTVNTDGSPRSVVNSTIQAPRRKFVDEGKLRKISGRLFSDSGPRRSTRLAGDAGVNTNANTTAVAGNGTNSSSKYLGSSKFGSVALRSVTVRKGQSWANENIEEGIKNEAFDDSRANMTSSSFPSGDVKSLDQDGATVPIGGVVISSSKIISGASEVLGLLRTLGEGYRLSCLYRCQDALDTYLKLPHKHYNTSWVLSQVGKAHFELVDYLEADRTFSLARRMSPYSLEGMDIYSTVLYHLKEDMKLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNSRFAYAHTLCGHEYVALEDFENGIKSYQNALRIDSRHYNSWYGLGMIFLRQEKFEFSEHHFGMAFHINPRSSVIMSYLGTAMHALKVGLLSVLFIILCLEQYHLEEFF >EOY30678 pep chromosome:Theobroma_cacao_20110822:9:5386027:5394719:-1 gene:TCM_037805 transcript:EOY30678 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDC27 family protein isoform 1 MEAILTDCVQNSLRHFMFRNAIFLCERLCAEFPSEANLQLLAACYLQNNQAYSAYHILKGTQTAQSRYLFAVSCFQMDLLNEAETALCPANEPGGEEENASGTLVGFIMIGDLIHGLIETSIPNGAAGHYLLGLIYRYTDRRKSAIHHFRLALSIDPLLWAAYEELCVLGAAEEATVVFGEAAALCIQKQYLHHGVTSPNLHTSNEDYNLVSSRNFGSEDVSPRQLKHAQGNNLRDIPGNYHGTAGLSGAASQPQNGGPSNMPFYNTPSPMASQLSGVAPPPLCRNVQPNGPNLNTVNTDGSPRSVVNSTIQAPRRKFVDEGKLRKISGRLFSDSGPRRSTRLAGDAGVNTNANTTAVAGNGTNSSSKYLGSSKFGSVALRSVTVRKGQSWANENIEEGIKNEAFDDSRANMTSSSFPSGDVKSLDQDGATVPIGGVVISSSKIISGASEVLGLLRTLGEGYRLSCLYRCQDALDTYLKLPHKHYNTSWVLSQVGKAHFELVDYLEADRTFSLARRMSPYSLEGMDIYSTVLYHLKEDMKLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNSRFAYAHTLCGHEYVALEDFENGIKSYQNALRIDSRHYNSWYGLGMIFLRQEKFEFSEHHFGMAFHINPRSSVIMSYLGTAMHALKRSEDAIKIMDRAILADRKNPLPMYQKANILMSLEKFDDALKVLEALKEYAPRESSVYALMGKIYKRRNMHEKAMLHFGIALDLKPSAADVATIKAAIEKLHVPDELEDNL >EOY30946 pep chromosome:Theobroma_cacao_20110822:9:6381417:6382275:-1 gene:TCM_037983 transcript:EOY30946 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding protein, putative MYLPSCLAEKHLSGVSGFIKLQLPDGKQWPVRCLYRGGRAKFSQCWYEFTLENNLGEGDVCVFELLRSREFVLKVTVFRVLESAGLMNRPQ >EOY32046 pep chromosome:Theobroma_cacao_20110822:9:19358985:19361640:-1 gene:TCM_039453 transcript:EOY32046 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRNA, clone: RTFL01-18-A18 MPTLNIYTNVPVDAVTTSDILKDATKAVAKTIGKPESYVMILVNGGVPMAFAGTEAPAAYGELISIGGLGPSVNSKLSSTIADILQTKLSIDASRFYIKFYDVQRSYFGFNGSTF >EOY33383 pep chromosome:Theobroma_cacao_20110822:9:37236661:37237740:-1 gene:TCM_041359 transcript:EOY33383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casparian strip membrane protein 3, putative METDRSGETAINIIPGTKANGKGKAPVVVSSTKAVEQPKGGLKKGIAICDFLLRLCAIGAALGATATMGTTAETLPFFTQFLQFQAQYNDIPAFQFFVIANAIVAGYLLLSLPFSIICIIRPYATAPRLLLVIFDTVMAGLTIASASASASIVYLAHYGNPNTNWLAICQQFGDFCRSVSGAVVGSFIAGAILMVIIVLSAFALKRS >EOY29993 pep chromosome:Theobroma_cacao_20110822:9:2986755:2989677:-1 gene:TCM_037348 transcript:EOY29993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MRLVSLLDEEKEEEKLREKAKVKRLNEAAMKGSVASLLELIQEDPNILNKANSSLSDTPLQVAALLGHSAFAKQLLTQKPELATDSNSHGSSPLHVAAAKGYVEIVKELLLVNPDMCLVKDRDGRTPLHLAAIKGRIEVLTELVRVRPEATRVLTGGGESALHLSVKNNHFGALKVVVESVGKDDQFANWRDSEGSSVLHLAVAKKQLEMIKYLLTNTKMEVNGRNANGFTALDLLLHSQRDLRDMEIKECLQKAGALRMTKVHSIAKNQEIEEVLPPIQAQPLIPKETNSKPVVEKHKHTDWLGRKRSALMVVASLLATVAFQASLSPPGGVWSDDYLVDSDGNPAENPHKAGLSVMAYYDPRKYEAFMILNTIAFLASLSVILLLISGLPMKRRRFMWIQMVTMWLAISAFTSTYFVALMQITPGQVRTTLFHVSRISLMIWLVMMGLVFVGNIIRMTLWLLRKYGYIKEKEKEFSLYVEEEE >EOY30955 pep chromosome:Theobroma_cacao_20110822:9:6454474:6455043:1 gene:TCM_037996 transcript:EOY30955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATHLLTFRPTGIQACAIPGYRKPDPNRRKSTSSSSSANWWAPMFGWSSEPDYIDSDQKTEAKEKRDGESERDLGQQSARTKFSPGCFTEEKARQLRMMTTKTSSFHDVMYHSAIASRLASNFKDPSDL >EOY34458 pep chromosome:Theobroma_cacao_20110822:9:41189559:41195051:1 gene:TCM_042135 transcript:EOY34458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase family protein MAFSPLKVMNGERAVVLLFVFRVLFSLPLSLLPHALSFSLLSLFSLFVEIRADDSVSLFKTRPGASSGIMLGAVTLPTVMLSKLIQLSRAFSLQQIELGELEHMTMQFWATSACCCGVLIFLSIVMWCAANNKNPHFSCSVWDAKFSLSCVILYSVICCISLATISHTGFNTALKLLWLLCHGFAAVKLIQHLLNTFPCCASVGEALLVTSGLVLYFGDMLACTISKVCRLLISPELVSIRYGIKRSEIGIVIQGVLLGLLIFSAVFKFVIRLWEYFWGADNSESRKNKEIGRSLIFFTSLGFTMIAVAPSWMMIVLDFDVHPVLWIFQFVFSEPYKRLSLCIYWLGLIYASVLRFYKISKNSKIERILLRKYYHLLAVSMFLPALIYQPKFLDLAFGAALAVFLVLEIIRVWRIWPLGQLVHQFMSAFTDHRDSDLLIVSHFSLLLGCALPIWMSSGFNDRPLTPFAGVLSLGIGDTMASMVGHKYGVLRWSKTGKKTIEGTAAGITSVLAACSVLLPLLASKGYVLTQHWFPLLIAVTTSGLLEAYTAQLDNAFIPLVFYSLLCL >EOY31361 pep chromosome:Theobroma_cacao_20110822:9:8354080:8358900:1 gene:TCM_038303 transcript:EOY31361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein isoform 2 MPFCFSPAVTYSYRICRSFRFFESFATSCALKTVDFFEQADTHVANYSELQRRMQSYAISGDFSNALYTLSLMKNIHGKPTVYDCNALMYCYLKSKNVCWKELVEMYIGMKSFGPQPNASTFNTLLNGMLRLGNLKDAIFTVEEMCRNHFVPSFSSLSKTLKKAVEEGNLLDCLTVFELMLRYDYHPSEPTLNRFISMLCEAGMISGACFVFSLLLRKGYVFSVYCYNPILWALCKCGRSSIALRLFYLMKKRGFVHNVCSYTALIYGFSREGLQEDVFQCLDFMQRDWCKPNVITYTIIIKFLFDNGKFEQAMDFVSKMEAEGCDADLVTYNVVLRELCHRGRVADISEFIQVMNQKGLSPDSYSYAALAGGLLKIGKVGFACELLLDVISKGCTLDAAVYNIYFRCLCQVNRSREALSLLKSMMEAGFKPNNVSYNTILSGFCRERNINEALELLDHFEWDANGPDVVSFNTILSTACRLGNSAIIQSILCRMEYEHIKLDVFSLTCLIQYFCTIGKFSECLKLLESIMHNDPSSIVIPFNILLHNLCKNWLLGTAYRIFKNLRNTKFLPDVTSYNILIHACIRDHNHLLVGQLLREMNRQKLKPDAFIYGSLISGLCKEGKISVALQLWNQTLESGLIPSVLIYNTILQAKFQRGNFGDILSLLKVMIVEGFQPDEATLGILNEAVSNGWMKRFPKVAKVLGWVISNDFQKETDKGQ >EOY31360 pep chromosome:Theobroma_cacao_20110822:9:8354075:8359414:1 gene:TCM_038303 transcript:EOY31360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein isoform 2 MPFCFSPAVTYSYRICRSFRFFESFATSCALKTVDFFEQADTHVANYSELQRRMQSYAISGDFSNALYTLSLMKNIHGKPTVYDCNALMYCYLKSKNVCWKELVEMYIGMKSFGPQPNASTFNTLLNGMLRLGNLKDAIFTVEEMCRNHFVPSFSSLSKTLKKAVEEGNLLDCLTVFELMLRYDYHPSEPTLNRFISMLCEAGMISGACFVFSLLLRKGYVFSVYCYNPILWALCKCGRSSIALRLFYLMKKRGFVHNVCSYTALIYGFSREGLQEDVFQCLDFMQRDWCKPNVITYTIIIKFLFDNGKFEQAMDFVSKMEAEGCDADLVTYNVVLRELCHRGRVADISEFIQVMNQKGLSPDSYSYAALAGGLLKIGKVGFACELLLDVISKGCTLDAAVYNIYFRCLCQVNRSREALSLLKSMMEAGFKPNNVSYNTILSGFCRERNINEALELLDHFEWDANGPDVVSFNTILSTACRLGNSAIIQSILCRMEYEHIKLDVFSLTCLIQYFCTIGKFSECLKLLESIMHNDPSSIVIPFNILLHNLCKNWLLGTAYRIFKNLRNTKFLPDVTSYNILIHACIRDHNHLLVGQLLREMNRQKLKPDAFIYGSLISGLCKEGKISVALQLWNQTLESGLIPSVLIYNTILQAKFQRGNFGDILSLLKVMIVEGFQPDEATLGILNEAVSNGWMKRFPKVAKVLGWHLIIAGKAQLQNRMWDSHRIRLAQRLLNPSGDLILKGKLEFHPRYGKVYMWNTCV >EOY31940 pep chromosome:Theobroma_cacao_20110822:9:18066015:18068589:1 gene:TCM_039308 transcript:EOY31940 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative isoform 1 MASKLKLFWMLPVSFLLLSNCLHNGANAAPQVPCYFIFGDSLSDNGNNNNLKTLAKVNYLPYGIDFPEGPTGRFSNDRNMQDVIVEYLGFQNYMPPFARAQGRSILKGVNYASGSAGIRDESGKQLVLYGHGARKFALYGIGLIGCTPYAISVYGTNGSPCVDKLNTNATLFNERLMPLVKELNTNLTDAKFTYLNPSPSPQDVLSFVTNSTCCEVGGGGGELCVRNSKPCSDRSRFVFWDAVHPTDAWNELLAESAYRTNSTSEAHPFNIQTLAKLK >EOY31939 pep chromosome:Theobroma_cacao_20110822:9:18066056:18068899:1 gene:TCM_039308 transcript:EOY31939 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative isoform 1 MASKLKLFWMLPVSFLLLSNCLHNGANAAPQVPCYFIFGDSLSDNGNNNNLKTLAKVNYLPYGIDFPEGPTGRFSNDRNMQDVIVEYLGFQNYMPPFARAQGRSILKGVNYASGSAGIRDESGKQLGDRIPLNEQLKNHKIIISRIRQILRNDTSTRKLLNQCIYSIQIGSNDYINNYFVPEFYNTSRQYTPEQYAAVLVEQYSHQIKVLYGHGARKFALYGIGLIGCTPYAISVYGTNGSPCVDKLNTNATLFNERLMPLVKELNTNLTDAKFTYLNPSPSPQDVLSFVTNSTCCEVGGGGGELCVRNSKPCSDRSRFVFWDAVHPTDAWNELLAESAYRTNSTSEAHPFNIQTLAKLK >EOY34073 pep chromosome:Theobroma_cacao_20110822:9:39867488:39869311:1 gene:TCM_041864 transcript:EOY34073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQAKNKGITIYLIQPVAAKARLVLHLYNSRINRLKEIVIKPEKKKKNGADVVEMGKARSYISNPDSTTAKEPILKNLKKRTKGHFPKNLSSTNKSSRIQNLKNKDVSSIKSCKERNGDVKVGANFRPNRVITMTFLVV >EOY31036 pep chromosome:Theobroma_cacao_20110822:9:6730636:6734994:1 gene:TCM_038059 transcript:EOY31036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ, N-terminal with domain of unknown function (DUF1977), putative MIINIWKADFSFKEMPFATFRFLSFSICVLIQSLLALLILILLLNILPSSEHLYSLSRSYPYEYKMKTQKGVSYYVESTKFEQKYPANNPDRVRFEDRVNFFPSSEPVYSVSRSYPYEYKFTTQKGVNYYVRSTKFEQDYPLNSPERIKIEERVERDYYSVLAQNCRFELQRQQWGFIRETPHCDLLQKFQSAA >EOY30105 pep chromosome:Theobroma_cacao_20110822:9:3396788:3399155:-1 gene:TCM_037429 transcript:EOY30105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate-zim-domain protein 12, putative isoform 1 MEGEAESRDEVKPNVAVKETNGDIIGDNDVGKLGSVEAPDFLGKKNVRNCSLAMPGSGLNTTSHAPSQLTIFYGGSVCVFDAIPVEKVQEIMLIASTAAAANSADMKNAATDCATTSPVLTRSPSLQSTATALASPQAPVYPLQRTSLCKLQAELPIARRHSLQRFFEKRRDRLVNRNPYPNPSTPKMADDTKANLSAATSLESGCFEKSPVPQEDLQPKVPTHVA >EOY30106 pep chromosome:Theobroma_cacao_20110822:9:3396695:3399164:-1 gene:TCM_037429 transcript:EOY30106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate-zim-domain protein 12, putative isoform 1 MEGEAESRDEVKPNVAVKETNGDIIGDNDVGKLGSVEAPDFLGKKNVRNCSLAMPGSGLNTTSHAPSQLTIFYGGSVCVFDAIPVEKVQEIMLIASTAAAANSADMKNAATDCATTSPVLTRSPSLQSTATALASPQAPVYPLQRTSLCKLQAELPIARRHSLQRFFEKRRDRLVNRNPYPNPSTPKMADDTKANLSAATSLESGCFEKSPVPQEDLQPKVPTHVA >EOY34512 pep chromosome:Theobroma_cacao_20110822:9:41335328:41336802:1 gene:TCM_042164 transcript:EOY34512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNVRWIVGDGASISCWFAIWLGDLPLFNIAAEEGFLVDMTDWVRDFMSPNGEWDKERLTAALLMEVVKKVLYLIPPNLAACLDEPYWALTSFGHFTISSAYDHLKSPSDSIRLENSKLWSWPGNGVALREYASSFFIVFITGF >EOY30227 pep chromosome:Theobroma_cacao_20110822:9:3848525:3852275:1 gene:TCM_037504 transcript:EOY30227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G23070) TAIR;Acc:AT1G23070] MAASDVVLAYGDTYRDLHHPAVIIAGSFALVAVVLSLYLILQHLRSYTNPHEQKWIVAVLFMVPVYAAESIISLGNPRLSLVCDILRNCYEAFALYSFGSYLIACLGGERRVVELLENESRNQLAKPFLDGAEEDQQVHQKSFSNFFFRPRVIGKDLLTIEKFGLVQYMILKTFCAFLALLLEVFGVYGDGEFKWYYGYPYITVVINFSQMWALFCLVQFYNVTHERLEHIKPLAKFISFKAIVFATWWQGVGIALLCAFGVLPKEGKLQTALQDFLICIEMAIAAVAHIFVFSAESYHFLPVSEYGKVTTETTKETLTVEEGNEEKPAVLEKTETQVKAPGTRITESVQDIVLEGGQRVVEDVVLTINQAVGPMEKGVTKIQETFHLKKTDSDDDHEESELEVEKHVEQHLSENDSHPELQV >EOY30228 pep chromosome:Theobroma_cacao_20110822:9:3848050:3851608:1 gene:TCM_037504 transcript:EOY30228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G23070) TAIR;Acc:AT1G23070] MAASDVVLAYGDTYRDLHHPAVIIAGSFALVAVVLSLYLILQHLRSYTNPHEQKWIVAVLFMVPVYAAESIISLGNPRLSLVCDILRNCYEAFALYSFGSYLIACLGGERRVVELLENESRNQLAKPFLDGAEEDQQVHQKSFSNFFFRPRVIGKDLLTIEKFGLVQYMILKTFCAFLALLLEVFGVYGDGEFKWYYGYPYITVVINFSQMWALFCLVQFYNVTHERLEHIKPLAKFISFKAIVFATWWQGVGIALLCAFGVLPKEGKLQTALQDFLICIEMAIAAVAHIFVFSAESYHFLPVSEYGKVTTETTKETLTVEEGNEEKPAVLEKTETQVKAPGTRITESVQDIVLEGGQRVVEDVVLTINQAVGPMEKGVTKIQETFHLKKTDSDDDHEESELEVEKHVEQHLSENDSHPELQV >EOY33425 pep chromosome:Theobroma_cacao_20110822:9:37433040:37436109:1 gene:TCM_041400 transcript:EOY33425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein MASSRGYSSHSYAPSAPELPPQSYGQQQQQDNYSYNHHGGSPPSHSYYGQHQQQPSYGQASFPAGTHPEVIRAFEMVDRDRSGFIDENELQRALSSGYQRFNIRTIRLLMFLFKNPHDSLKIGPREFAALWSCLGQWRAIFERFDRDRSGKIDLMELRDALYSLGYAIPPSVLQVLISKYDNGSGRKVELNFDSFVECGMIVKGLTEKFKEKDPRYTGYNYPLEVYPLINIVMSVKNLPLFATKTSTTNKHLGLNHPLSLRALIVAAKGRENSTMLLKLREPSAPQAKKASIWCSLGSSAESSIVEW >EOY34631 pep chromosome:Theobroma_cacao_20110822:9:41643864:41648918:-1 gene:TCM_042240 transcript:EOY34631 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 33 isoform 2 MASSCSSLDTSANSHPQNAFSFAAHPFMTTSFSDLLASGGADDENPSATFDGGVDAKRGGGGGLSLSDRIAERTGSGVPKFKSLPPPSLPIFPPPVSPSSYFAIPAGLSPAELLDSPVLLNASNVLPSPTTGTFPAQAFNWKSNSGNNLQNVKQEDKNYSNFTFQTQPRPATSSSSMFQSSTNTIQTAQQQAWSFQESVKQDDFSSGKSMVKPEYTQMQSFSPEIANIQGNSQSNSGFQSEYTKFQQQPQSIRENRRSDDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRKSLSSSSSSIVIQTSSAASAEIPDQSFATHGSGQMDSVATPENSSISVGDDDFDQGSQKSKSGGDEFDEDEPEAKRWKSEGENEGISAPGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCPVRKHVERASHDHRAVITTYEGKHNHDVPAARGSGSHSVNRPLPDNNNNNNCNNNAAMAIRPSAVNNHSDHSVTNPLRSLRPPTAEGQAPFTLEMLQGTGNFGFSGFSNSMGSFMNQPQHVNNMFSRTKEEPRDEVFIESLLC >EOY34632 pep chromosome:Theobroma_cacao_20110822:9:41643627:41647593:-1 gene:TCM_042240 transcript:EOY34632 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 33 isoform 2 MASSCSSLDTSANSHPQNAFSFAAHPFMTTSFSDLLASGGADDENPSATFDGGVDAKRGGGGGLSLSDRIAERTGSGVPKFKSLPPPSLPIFPPPVSPSSYFAIPAGLSPAELLDSPVLLNASNVLPSPTTGTFPAQAFNWKSNSGNNLQNVKQEDKNYSNFTFQTQPRPATSSSSMFQSSTNTIQTAQQQAWSFQESVKQDDFSSGKSMVKPEYTQMQSFSPEIANIQGNSQSNSGFQSEYTKFQQQPQSIRENRRSDDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRKSLSSSSSSIVIQTSSAASAEIPDQSFATHGSGQMRSYYKCTHPGCPVRKHVERASHDHRAVITTYEGKHNHDVPAARGSGSHSVNRPLPDNNNNNNCNNNAAMAIRPSAVNNHSDHSVTNPLRSLRPPTAEGQAPFTLEMLQGTGNFGFSGFSNSMGSFMNQPQHVNNMFSRTKEEPRDEVFIESLLC >EOY34290 pep chromosome:Theobroma_cacao_20110822:9:40595916:40598318:1 gene:TCM_042015 transcript:EOY34290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESFTAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTLLVTAFGAVLHVISTSLLGITAITITNTIAGEETVHKLASLLLIVLGGSYILLFLSGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFSTITVMTSLVALSFYGASQLKFHWAERYDKVLVGSVLCLVGILTLIFHDHDGDEGLHGHHVHRKIIGL >EOY31390 pep chromosome:Theobroma_cacao_20110822:9:8441751:8443583:1 gene:TCM_038318 transcript:EOY31390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKLQEIHQAFKGIGLTGAYAIPWLDYKHVLVHLSNEQDFNRIWIKQQWFIVNKKMRVFKWSPDFEAEKESAIVPVWIFFPNLKAHLYEKLALLLIAKTMGKPLFIDKATTNGSRPSVARVYVEYDCKKASVNQVWIVVKDWVIGAVIRGYTQWVEFSKMLKYCDHCCHVGHSVSNYLVLGNRPAKQGKPIGNKPLKDDGRES >EOY32304 pep chromosome:Theobroma_cacao_20110822:9:26281573:26290591:1 gene:TCM_040040 transcript:EOY32304 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein isoform 1 MILERCLGSMLLVLIGMFANVLGAFVGINIGTDVSSMPSASEVVAVVKAHQITHIRLYDADSHMLKAFADSGIEVTVGVTNEEVLGIGESASAAAVWINKNVASYVPSTNITAIAVGSEVLTSIPHAASVLVTAMNNLHKALVASNLNFQVKVSTPQSMDIIPKPFPPSTAAFNSSWNSTIYQLLQFLKNTNSYYMLNAYPYYGYTNGNGIFPIDYALFKPLPSVKQIVDPNTLFHYNSMFDAMVDATYYSIDALNFSGIPIVVTETGWPWQGGSNEPDATVENAQTFINNLIRRVSNNSGPPSQPTIPINTYIYELFNEDKRPGPASEKNWGVLFLNGTAVYPLSLSGSSQITGNSTTVFCVAKDDTSEDKLQDGLNWACGQGQANCSAIQSGQPCYLPNNIKNHASYAYNDYYQKMHSLGGTCDFDGTATTTTNNPSYGSCIFTGSLNSTTATGGGISPPEAFGPISPFGKSTKLPVSKIQFLISAACLAVVLL >EOY32305 pep chromosome:Theobroma_cacao_20110822:9:26283054:26290524:1 gene:TCM_040040 transcript:EOY32305 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein isoform 1 MILERCLGSMLLVLIGMFANVLGAFVGINIGTDVSSMPSASEVVAVVKAHQITHIRLYDADSHMLKAFADSGIEVTVGVTNEEVLGIGESASAAAVWINKNVASYVPSTNITAIAVGSEVLTSIPHAASVLVTAMNNLHKALVASNLNFQVKVSTPQSMDIIPKPFPPSTAAFNSSWNSTIYQLLQFLKNTNSYYMLNAYPYYGYTNGNGIFPIDYALFKPLPSVKQIVDPNTLFHYNSMFDAMVDATYYSIDALNFSGIPIVVTETGWPWQGGSNEPDATVENAQTFINNLIRRVSNNSGPPSQPTIPINTYIYELFNEDKRPGPASEKNWGVLFLNGTAVYPLSLSGSSQITGNSTTVFCVAKDDTSEDKLQDGLNWACGQGQANCSAIQSGQPCYLPNNIKNHASYAYNDYYQKMHSLGGTCDFDGTATTTTNNPSYGSCIFTGSLNSTTATGGGISPPEAFGPISPFGKSTKLPVSKIQFLISAACLAVVLL >EOY32621 pep chromosome:Theobroma_cacao_20110822:9:32143686:32154660:-1 gene:TCM_040630 transcript:EOY32621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEENSGHEMEGQESRDVMVVEICRARDEMVVGTYKRNEEVEICRARDVMVVVGTCKRNEEEMLCKVWDVEVVLCSVWDVVGEGTCRHNEEEVLCRVWDVVEGTYRCNEEEVLCRVWDVLVVGTCRHNEEVVNGPAVGVMGVVEIYKHNEVVVTLDEEVGVMGVVETYRRNEVVVTWDKEVEIYSEVMELGILLEEVVTYNGIGVEDNVPRVVETCNDTMVDVVGALEVVVTCNGRVADMGAWEVMVIRSGLVDDMGALVVEEMHTCKILIFGGFCCCRIGKLWGLLPPVRKQILGALCRRWRCQSLGLSAVAKGRRGRGERFEKCQEREGTWVVGERKEISDREDTGGRERRRKKEGRRLGLERGRKEPHLRERKENRRLESENERKKWKERVKKKVL >EOY31900 pep chromosome:Theobroma_cacao_20110822:9:17283386:17292656:-1 gene:TCM_039236 transcript:EOY31900 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein, putative MEEDKHVLCDNTSDMGDGCSMLLELSDDEPFFDKKKRLLNDQGFGVKEIVHIKSSLDPASLSTILNQMLQIARIIHLDEVELYFGQFDGMGFYSPRNEMEALNSMLSLINTSFSCQVHMQTHALHDLQDAIVNRIHEFGAKYKVENKIDKSYKCDKEKCLVEWAENNGVKTRLQIAYIEGAGRGAIAMEDLEVGDIAMEIPASIIISEDLVHKSDMYQTLEKIDGMSSETMLLLWTMKEKHNCNSQFKIYFDTLPENFNTGLSFGVEAIMALDGTLLFEEIMQAKEHLRVQYDEVFPALSKDHPDIFPPKHYTWEQFLWACELWYANSMKIMFADGKLRTCLIPVAGFLNHSLHPHIVHYGKVDSATNSLKFSLSSPCSVGEQCCLSYGRFSGSHLVTFYGFLPQGDNLYDVIPLDFDVDSIDDCPLSNWTTHMVRGTWLSNNHSIFYYGLPSPLLDFLRRARSPMPSTKTLIQANLEVDKQVLEDLQSTFNDMLENLGDTDLVDRKNASWDVKLALEFKEIQRRIFSSIITSCSTGVKLVEYELSKCKAEE >EOY34635 pep chromosome:Theobroma_cacao_20110822:9:41649864:41654333:-1 gene:TCM_042242 transcript:EOY34635 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein isoform 1 MKGQNKEKLRALSPDSSSNPFDVLTEEVVLKILDYLDNDEYPSARKSFSLTCKTFYFIESGHRKTIKPLSAELLPRTLHRYPFVSHLDLSLCPCVDDSTLNMISSTWKATLHSINLSRSRFFTNVGLSSLFVNCSGLVEVDLSNGTELTDLAASAIAEAKNLERLRLARCKLITDMGIGCIAVGCRKLRLLCLKWCLRVGDLGVELIALKCKGIRSLDLSYLPITEKCVKSVLQLQHLEDLVLEGCHCIDDDGLSTLEQSCKSLKMLNLSNCQNVTHTGLSSLTNGAQQLQQLILAYGSVVTSDVAKCLHTFSKLQSIKLDGCLITSSGIKALASLHASIKELSFSKCLGVTDEGLSFLVQSHKELRKLDITCCRKIKYMSIDSITNSCTSLTSLRMESCSLVPKEAFILIGARCSCLEELDATDNEIDDEGLKSISRCSKLSILKLGICSNISDEGLANVGSYCSMLTELDLYRSMAISDAGIAAVADGCPALEMINIAYNGKVTDNSLISLSKCLMLKALEIRGCPGVSSIGLSAIAVGCKQLTVLDIKKCFNINDNGMLPLAQFSQNLKQINLSYCSVTDVGLVALASLNRLQNMTILHLAGLTPNGLAAALLACRGLTKVKLHASFKPLLPQSFLKYMEARGCVFHWRDKAFQKEMDPKGWKLHFGRSTEVP >EOY34637 pep chromosome:Theobroma_cacao_20110822:9:41648967:41654519:-1 gene:TCM_042242 transcript:EOY34637 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein isoform 1 MKGQNKEKLRALSPDSSSNPFDVLTEEVVLKILDYLDNDEYPSARKSFSLTCKTFYFIESGHRKTIKPLSAELLPRTLHRYPFVSHLDLSLCPCVDDSTLNMISSTWKATLHSINLSRSRFFTNVGLSSLFVNCSGLVEVDLSNGTELTDLAASAIAEAKNLERLRLARCKLITDMGIGCIAVGCRKLRLLCLKWCLRVGDLGVELIALKCKGIRSLDLSYLPITEKCVKSVLQLQHLEDLVLEGCHCIDDDGLSTLEQSCKSLKNVTHTGLSSLTNGAQQLQQLILAYGSVVTSDVAKCLHTFSKLQSIKLDGCLITSSGIKALASLHASIKELSFSKCLGVTDEGLSFLVQSHKELRKLDITCCRKIKYMSIDSITNSCTSLTSLRMESCSLVPKEAFILIGARCSCLEELDATDNEIDDEGLKSISRCSKLSILKLGICSNISDEGLANVGSYCSMLTELDLYRSMAISDAGIAAVADGCPALEMINIAYNGKVTDNSLISLSKCLMLKALEIRGCPGVSSIGLSAIAVGCKQLTVLDIKKCFNINDNGMLPLAQFSQNLKQINLSYCSVTDVGLVALASLNRLQNMTILHLAGLTPNGLAAALLACRGLTKVKLHASFKPLLPQSFLKYMEARGCVFHWRDKAFQKEMDPKGWKLHFGRSTEVP >EOY34634 pep chromosome:Theobroma_cacao_20110822:9:41649864:41654333:-1 gene:TCM_042242 transcript:EOY34634 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein isoform 1 MKGQNKEKLRALSPDSSSNPFDVLTEEVVLKILDYLDNDEYPSARKSFSLTCKTFYFIESGHRKTIKPLSAELLPRTLHRYPFVSHLDLSLCPCVDDSTLNMISSTWKATLHSINLSRSRFFTNVGLSSLFVNCSGLVEVDLSNGTELTDLAASAIAEAKNLERLRLARCKLITDMGIGCIAVGCRKLRLLCLKWCLRVGDLGVELIALKCKGIRSLDLSYLPITEKCVKSVLQLQHLEDLVLEGCHCIDDDGLSTLEQSCKSLKMLNLSNCQNVTHTGLSSLTNGAQQLQQLILAYGSVVSLKLPKVTSDVAKCLHTFSKLQSIKLDGCLITSSGIKALASLHASIKELSFSKCLGVTDEGLSFLVQSHKELRKLDITCCRKIKYMSIDSITNSCTSLTSLRMESCSLVPKEAFILIGARCSCLEELDATDNEIDDEGLKSISRCSKLSILKLGICSNISDEGLANVGSYCSMLTELDLYRSMAISDAGIAAVADGCPALEMINIAYNGKVTDNSLISLSKCLMLKALEIRGCPGVSSIGLSAIAVGCKQLTVLDIKKCFNINDNGMLPLAQFSQNLKQINLSYCSVTDVGLVALASLNRLQNMTILHLAGLTPNGLAAALLACRGLTKVKLHASFKPLLPQSFLKYMEARGCVFHWRDKAFQKEMDPKGWKLHFGRSTEVP >EOY34638 pep chromosome:Theobroma_cacao_20110822:9:41650171:41654713:-1 gene:TCM_042242 transcript:EOY34638 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein isoform 1 MKGQNKEKLRALSPDSSSNPFDVLTEEVVLKILDYLDNDEYPSARKSFSLTCKTFYFIESGHRKTIKPLSAELLPRTLHRYPFVSHLDLSLCPCVDDSTLNMISSTWKATLHSINLSRSRFFTNVGLSSLFVNCSGLVEVDLSNGTELTDLAASAIAEAKNLERLRLARCKLITDMGIGCIAVGCRKLRLLCLKWCLRVGDLGVELIALKCKGIRSLDLSYLPITEKCVKSVLQLQHLEDLVLEGCHCIDDDGLSTLEQSCKSLKNVTHTGLSSLTNGAQQLQQLILAYGSVVSLKLPKVTSDVAKCLHTFSKLQSIKLDGCLITSSGIKALASLHASIKELSFSKCLGVTDEGLSFLVQSHKELRKLDITCCRKIKYMSIDSITNSCTSLTSLRMESCSLVPKEAFILIGARCSCLEELDATDNEIDDEGLKSISRCSKLSILKLGICSNISDEGLANVGSYCSMLTELDLYRSMAISDAGIAAVADGCPALEMINIAYNGKVTDNSLISLSKCLMLKALEIRGCPGVSSIGLSAIAVGCKQLTVLDIKKCFNINDNGMLPLAQFSQNLKQINLSYCSVTDVGLVALASLNRLQNMTILHLAGLTPNGLAAALLACRGLTKVKLHASFKPLLPQSFLKYMEARGCVFHWRDKAFQVSVSPNLNKSLMDQKC >EOY34636 pep chromosome:Theobroma_cacao_20110822:9:41648967:41654519:-1 gene:TCM_042242 transcript:EOY34636 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein isoform 1 MKGQNKEKLRALSPDSSSNPFDVLTEEVVLKILDYLDNDEYPSARKSFSLTCKTFYFIESGHRKTIKPLSAELLPRTLHRYPFVSHLDLSLCPCVDDSTLNMISSTWKATLHSINLSRSRFFTNVGLSSLFVNCSGLVEVDLSNGTELTDLAASAIAEAKNLERLRLARCKLITDMGIGCIAVGCRKLRLLCLKWCLRVGDLGVELIALKCKGIRSLDLSYLPITEKCVKSVLQLQHLEDLVLEGCHCIDDDGLSTLEQSCKSLKMLNLSNCQNVTHTGLSSLTNGAQQLQQLILAYGSVVTSDVAKCLHTFSKLQSIKLDGCLITSSGIKALASLHASIKELSFSKCLGVTDEGLSFLVQSHKELRKLDITCCRKIKYMSIDSITNSCTSLTSLRMESCSLVPKEAFILIGARCSCLEELDATDNEIDDEGLKSISRCSKLSILKLGICSNISDEGLANVGSYCSMLTELDLYRSMAISDAGIAAVADGCPALEMINIAYNGKVTDNSLISLSKCLMLKALEIRGCPGVSSIGLSAIAVGCKQLTVLDIKKCFNINDNGMLPLAQFSQNLKQINLSYCSVTDVGLVALASLNRLQNMTILHLAGLTPNGLAAALLACRGLTKVKLHASFKPLLPQSFLKYMEARGCVFHWRDKAFQEMDPKGWKLHFGRSTEVP >EOY33894 pep chromosome:Theobroma_cacao_20110822:9:39281657:39282708:-1 gene:TCM_041734 transcript:EOY33894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7, putative MQQVHRKIDKKTFQALELNPVRKKIPVLVHNGKPTVESPVILEYIDETRNNNPLLPQDPYERAMARFWAKFIGEKIQPTARKANLAEGKEREQAVGECCQQLEILENELHGRVFFGGDSIG >EOY29192 pep chromosome:Theobroma_cacao_20110822:9:320390:323650:-1 gene:TCM_036807 transcript:EOY29192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replicon protein A2, putative isoform 2 MVFNKNVRTSDVRFHLDDGTGRVECIRWVTENLDTREMDALEDGTYVRVNGHLQSFQGKKQLSAFSVRPVTNFDEVTCHFIECIHFHLHSKLQSQGGALSQPQMDSSLSTPVRGASTGIQPALVNDFSVQHSADGLKGFDKMVLNYLQQPSNIDREMGVHVEELSQQLKAPIEKIKDAIDVLEKEGLVYSSIDDYHYKAVEGC >EOY29191 pep chromosome:Theobroma_cacao_20110822:9:320259:323650:-1 gene:TCM_036807 transcript:EOY29191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replicon protein A2, putative isoform 2 MYSSSQFDATSAFSGGGFMPSQPSQFANSTPSPARSRETQGLISVTVKQISEASQSGDEKSNFVIEGVDVTNVTVVGMVFNKNVRTSDVRFHLDDGTGRVECIRWVTENLDTREMDALEDGTYVRVNGHLQSFQGKKQLSAFSVRPVTNFDEVTCHFIECIHFHLHSKLQSQGGALSQPQMDSSLSTPVRGASTGIQPALVNDFSVQHSADGLKGFDKMVLNYLQQPSNIDREMGVHVEELSQQLKAPIEKIKDAIDVLEKEGLVYSSIDDYHYKAVEGC >EOY34448 pep chromosome:Theobroma_cacao_20110822:9:41143197:41145579:1 gene:TCM_042127 transcript:EOY34448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter 2:1 MSDIEGSPGSSMHGVTGREPAFALSVASPMVPTDTTANFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKQDIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLIMLSAPTVFCMSFVADAGGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLLMPLVYDIIRRAGSTPFTAWRIAFFIPGSLHVIMGIMVLTLGQDLPDGNLSTLQKKGDVAKDKFGKVLWYAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFYDRFNLKLHTAGIIAATFGMANLVARPFGGFASDRAARLFGMRGRLWVLWILQTLGGVFCIWLGRANTLPIAILAMILFSIGAQAACGATFGIIPFISRRSLGIISGLTGAGGNFGSGLTQLIFFSTSRFSTAAGLSWMGVMIVACTLPVTLVHFPQWGSMFLPPSKDVTTSTEEHYYSSEYNEDEKKKGMHSASLKFAENSRSERGRRVASAPTPPNTTPSHV >EOY32399 pep chromosome:Theobroma_cacao_20110822:9:28762753:28775074:-1 gene:TCM_040300 transcript:EOY32399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDCNRLILTEEEFRLIYQSLGPNYNEFFHGEVDILKEEIAVWGRCDDLDMGELFALVTKECDGCKEHGEPVGNISVTKRKKGRQKGRKNFENKSKLVDGGRILHINSRMLVGDVKYHLEGIKKAASRAKWILREIKIYITFMQWHLRGGVLIMWVV >EOY33707 pep chromosome:Theobroma_cacao_20110822:9:38731833:38732860:1 gene:TCM_041609 transcript:EOY33707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein MTIIEMRVHMDCAGCESKVKSALQKVKGVDEVDIDISMQKVTVTGWADQKKVLKTVRKTGRRAELWQLPYNPEHHSFANHYYNQHQCNGPLTYYAPQPSSSYNYYKHGYDSNDPSYYHHPAHSTIFGNQNGSAFSDENPHACSIM >EOY30439 pep chromosome:Theobroma_cacao_20110822:9:4611133:4615042:-1 gene:TCM_037649 transcript:EOY30439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor SUI1 family protein isoform 1 MAEKPQPIVVQYCQVCGLPAEYCEFGSEFDKCKPWLIQNAPDLYPDLLQAANAKEADKVADQLQSTGISSAAAGGSSEPKQEEVKRLPGGKIKKKEKQEVVIEKVVRNKRKCITTVKGLDLFGVKLSDASKKLGKKFATGASVVKGPTDKEQIDVQGDISYDIVEFITDTWPDVPETAIFFIEDGKKVPAA >EOY30440 pep chromosome:Theobroma_cacao_20110822:9:4610694:4615078:-1 gene:TCM_037649 transcript:EOY30440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor SUI1 family protein isoform 1 MAEKPQPIVVQYCQVCGLPAEYCEFGSEFDKCKPWLIQNAPDLYPDLLQANAKEADKVADQLQSTGISSAAAGGSSEPKQEEVKRLPGGKIKKKEKQEVVIEKVVRNKRKCITTVKGLDLFGVKLSDASKKLGKKFATGASVVKGPTDKEQIDVQGDISYDIVEFITDTWPDVPETAIFFIEDGKKVPAA >EOY32798 pep chromosome:Theobroma_cacao_20110822:9:33651073:33655601:-1 gene:TCM_040817 transcript:EOY32798 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain-containing protein, putative MLADMVKNEGIAVASFKAYGKVASSHPSKELKNEDKVVDSLVAQNAYAYRNPNEEFADGKAPTYNDAFFLESELHPGRKMKLKMLTKKASKATFVPRPVAESIPFSTQKFPEILKYFSLEAKSAEANLLKQTIENCERPALDGEEKYCATSLESFVDSGVSKLGKSMQLLSNELEKETGNQEFTIGQGVKMMGGSEIVCHKMKYAYAVFLCHSIHETAVYTVPLVGAD >EOY29717 pep chromosome:Theobroma_cacao_20110822:9:2144378:2145061:1 gene:TCM_037169 transcript:EOY29717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPNLDLILAALLYGSFIACVLLVVAFVILITLLLAFSFSTFSIVFLDLYSVYSLLSRYVGSIEANLELGFLLLLCSLMRYAISAAMASKPSVDRLVSQLRVKAQRAMNRVDASLLVHTRRL >EOY34092 pep chromosome:Theobroma_cacao_20110822:9:39909986:39914084:1 gene:TCM_041875 transcript:EOY34092 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein, putative isoform 1 MEEKRGYKGNLGVSSPKTYPKSICRQITGISNGRDLKGAAGHVAIFLLKVAALETVRRVSKAKCPFLWRGIQALQVVCYPPVSCIQKLAPFKGLVKGMQMLSRPLLVLSIATAFSDQEECKDEAPDGTSASPAYSESRPEEYAVQSDLDTRISNEASQNLECESWLDQLHKDLENQGISLPERINDEDLRRFHAAANGDFLVLLSSIKKTIRWRETYRILSQEELETWANMVFWHGYDVMHRPCLIVRLGLACSSLPSHDRPRFAQAVISQVEHGVMHLVSPEKPEVTVLVDCAGLTPFRIPMQIMRSCSSLLQDHYPNRLGCLFVIRLPPVVRVIAQTFIQVLKPVTRKKLKIGGEMYRKVLFENLQTLPSYLGGDCRCTKCLTIGVHDVKRSHRDQINKIQASEDVSNSEDIPTTSMICQDDIHESGSCDQVLRTAIVGLLMFWVLIALIAGLYDPESHPFQSQ >EOY34093 pep chromosome:Theobroma_cacao_20110822:9:39909948:39914123:1 gene:TCM_041875 transcript:EOY34093 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein, putative isoform 1 MEEKRGYKGNLGVSSPKTYPKSICRQITGISNGRDLKGAAGHVAIFLLKVAALETVRRVSKAKCPFLWRGIQALQVVCYPPVSCIQKLAPFKGLVKGMQMLSRPLLVLSIATAFSDQEECKDEAPDGTSASPAYSESRPEEYAVQSDLDTRISNEASQNLECESWLDQLHKDLENQGISLPERINDEDLRRFHAAANGDFLVLLSSIKKTIRWRETYRILSQEELETWANMVFWHGYDVMHRPCLIVRLGLACSSLPSHDRPRFAQAVISQVEHGVMHLVSPEKPEVTVLVDCAGLTPFRIPMQIMRSCSSLLQDHYPNRLGCLFVIRLPPVVRVIAQTFIQICGFSQVLKPVTRKKLKIGGEMYRKVLFENLQTLPSYLGGDCRCTKCLTIGVHDVKRSHRDQINKIQASEDVSNSEDIPTTSMICQDDIHESGSCDQVLRTAIVGLLMFWVLIALIAGLYDPESHPFQSQ >EOY31536 pep chromosome:Theobroma_cacao_20110822:9:9382223:9392066:1 gene:TCM_038454 transcript:EOY31536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 9 isoform 2 MTNMGRDNDQLESQEVELAMASSSSSTDDANVATFGEGNAQVDISKLGDQERHVFIEKLIKNIEKDNLQLLQKIRKRLDRVGVKLPTVEVRYRNLCVEAECDVIHGEPLPTLWNYIQSTLSYPAVKLFRSKSKQAKISIINNMSGIIKPGRMTLLLGPPGCGKTSLLKALSGNLNKSLKVTGEVSYNGYKLEAFVPQKTSAYISQDDLHIPEMTVRETLDFSARCQGLGSREEIMMEVSKREKQAGIVPDPEIDTYMKATSVKGLRGTLQTDYILKILGLDICAGTIVGDVLRRGISGGQKKRLTTGEIIVGPIKTLFMDEITNGLDSSTAFQIVACLQQLVHVTDATLLVSLLQPAPETFDLFDDIILMAEGKILYHGPRDHILEFFESCGFRCPQRKGIVISRKDQAQYWYNTELPYSFISVDMFSGKFRASPLGEMIDKDLLGPYDKSQCNKNALSFNEHSVSKWEIFKACMSRELLLMSRNSFFYIFKVIQLVIIAFVTMTMFLRTGMNVDILHANYYLGALFYALLILIVDEFPELHMTVSRLSIFYKQKMLCFYPAWAYAIPAIVLKIPISFIQSLVWTSLTYYVMGYSPEVGRFFRQFAMYFAVQLSSASMFRFLASVFQTMDCSVAVGTLILFLQLIFCGFIIPQSSMPSWLRWVFWVSPLTYATIGLSGNEFHAPRWQKVQAMNATIGKETLKSHGFYFDEYFFWISFGALLGIALVWNIGFTLALSFLKPPGSSRVVISHEWLSKMRKRDSLKGAYRENVSSSSHSCNKEGSIKGRTVLPFDPSTLTFQNVQYYVDTPLEMRKRGYSQKTLQLLSDITGVVRPGVLTALMGPSGAGKTTLLDVLAGRKTIGCIEGEIRVGGYPKVQETFARISGYCEQTDIHSPQITVKESLIFSAWLRLPACSDSKIKTEFVKEVIETIELDEVKDALVGIPGHSGLSTEQRKRLTIAVELVANPSIIFMDEPTSSLDARAAAIVMRAVKNVADTGRTIVCTIHQPSIDIFEAFDELIFLKTGGSLIYFGPLGQHSRRVIEYFESIPGVPKIKDNCNPATWMLEVTSTSVEAELGIDLAKIYKSSALYESNKELVRHLSAPPPDSRDVHFPTRYSQNCWGQFKYCLWKLHLSYWRSPSYNLMRLLHTTVISFTLGTLFWNQGTKINNQQNLFNMFGSMYAAVIFLGTNSGSSVQPFVATERIVMYRERFAGMYSSWVYALAQVAIEVPYLFSQAIVFVIITYSMIGYYGTAYKLFWYFYAMFSTLLYFNFLGMLLVSLTPDVAIAGALTSVCYPMLNLFSGFLIPQLKIPRWWIWLYYAMPTSWTLNCLLTSQYGDVNDKIMVFTETRTIASLLEDYFGFRHDHLPISTLVLFFYPFIFSSLFAFFITRLNFERR >EOY31537 pep chromosome:Theobroma_cacao_20110822:9:9384079:9391970:1 gene:TCM_038454 transcript:EOY31537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 9 isoform 2 MFSGKFRASPLGEMIDKDLLGPYDKSQCNKNALSFNEHSVSKWEIFKACMSRELLLMSRNSFFYIFKVIQLVIIAFVTMTMFLRTGMNVDILHANYYLGALFYALLILIVDEFPELHMTVSRLSIFYKQKMLCFYPAWAYAIPAIVLKIPISFIQSLVWTSLTYYVMGYSPEVGRFFRQFAMYFAVQLSSASMFRFLASVFQTMDCSVAVGTLILFLQLIFCGFIIPQSSMPSWLRWVFWVSPLTYATIGLSGNEFHAPRWQKVQAMNATIGKETLKSHGFYFDEYFFWISFGALLGIALVWNIGFTLALSFLKPPGSSRVVISHEWLSKMRKRDSLKGAYRENVSSSSHSCNKEGSIKGRTVLPFDPSTLTFQNVQYYVDTPLEMRKRGYSQKTLQLLSDITGVVRPGVLTALMGPSGAGKTTLLDVLAGRKTIGCIEGEIRVGGYPKVQETFARISGYCEQTDIHSPQITVKESLIFSAWLRLPACSDSKIKTEFVKEVIETIELDEVKDALVGIPGHSGLSTEQRKRLTIAVELVANPSIIFMDEPTSSLDARAAAIVMRAVKNVADTGRTIVCTIHQPSIDIFEAFDELIFLKTGGSLIYFGPLGQHSRRVIEYFESIPGVPKIKDNCNPATWMLEVTSTSVEAELGIDLAKIYKSSALYESNKELVRHLSAPPPDSRDVHFPTRYSQNCWGQFKYCLWKLHLSYWRSPSYNLMRLLHTTVISFTLGTLFWNQGTKINNQQNLFNMFGSMYAAVIFLGTNSGSSVQPFVATERIVMYRERFAGMYSSWVYALAQVAIEVPYLFSQAIVFVIITYSMIGYYGTAYKLFWYFYAMFSTLLYFNFLGMLLVSLTPDVAIAGALTSVCYPMLNLFSGFLIPQLKIPRWWIWLYYAMPTSWTLNCLLTSQYGDVNDKIMVFTETRTIASLLEDYFGFRHDHLPISTLVLFFYPFIFSSLFAFFITRLNFERR >EOY34467 pep chromosome:Theobroma_cacao_20110822:9:41214686:41216997:-1 gene:TCM_042141 transcript:EOY34467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative MGEKKNGNKKGGDGEKKEKVSVVLKVDCLCDGCAEKITKHIRGFEGVETVQADSSSNKVTVVGAVDPAAIKEKLEKKTKKKVDLISPQPKKDDNKEEKKEKKADKEKNPDSNNKQEKKPKEAPVTTADLKVQMKCQCQGCRDKVNKIVSETKGVQESKIDKQKGLVTVKGTMDVKALAEALKGKLKKNVEIVPPKKEKDGNKEGGEKGDGGGKNKTNNNKGGNGGGDGNGGPKMEGSRMESIVQPESGYMPGYPGYMAGYPGYGYGYGHPHPYPLQHGHGYPGYVPGYPVSVHPPHQMFNDENPNACTIL >EOY30602 pep chromosome:Theobroma_cacao_20110822:9:5140869:5143770:-1 gene:TCM_037753 transcript:EOY30602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1005) [Source:Projected from Arabidopsis thaliana (AT4G29310) TAIR;Acc:AT4G29310] MDPCPFVRLIVESLALKLPQATKPAGSGVYPTATPCFCKLRIKNFPSQTALLPLSNSSGDSPPESSTSAAGFHLDALTLRRLSGKPVTLRIEVYTGRMGRTCGVSCGKLVGRVQVSVDLGVSQTRPSVFQNGWMKLGKEPDKPTAKLHLTVRAEPDPRFVFQFGGEPECSPVVFQIQGNIRQPVFSCKFSADRSRSRSLPPDFTNKNRGWMRTLSGERERQGRERKGWMIMIYDLSGSPVAAASVITPFVPSPGSDRVSRSNPGAWLILRPHGFSVSSWKPWGRLEAWRERGPIDGLGYKFELVTENGPTNGIPIAESTMSVKKGGQFCIDKRVSRDSALSLRSPVKGFVMGSTVEAEGKVSKPVVQVGMQHVTCMADAALFIALSAAIDLSMDACRLFSRKLRKELCHDEQDSSS >EOY33511 pep chromosome:Theobroma_cacao_20110822:9:37907320:37911261:1 gene:TCM_041477 transcript:EOY33511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low molecular weight protein-tyrosine-phosphatase slr0328 MRPSLSNTTTRLSSSTVPLYLQFCHHSHLLSPRASFFIPLFPSTKYPSLQNPKSPAYPHQDPSISPAFGSLVKASMASSEPSTGAATETKPFSVLFVCLGNICRSPAAEGVFRDIVKKKGLDSKFNIDSAGTINYHEGNLSDPRMRAASKRRGIEITSISRPIRPSDFRDFDLILAMDKQNREDILEAFNRWKFRDTLPADAHKKVRLMCSYCKKHDETEVPDPYYGGPQGFEKVLDLLEDACESLLDNILAENSDIRGS >EOY30831 pep chromosome:Theobroma_cacao_20110822:9:5934638:5935597:1 gene:TCM_037904 transcript:EOY30831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 ISSSSNKKVYIVSLRFICLSFGFVETKAIKTIKKTQ >EOY32657 pep chromosome:Theobroma_cacao_20110822:9:32567160:32576729:1 gene:TCM_040682 transcript:EOY32657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLVKDVVRVNSEIDEIELHALISTPGELSQPIIKDDEDVALILLEQRNVPTVYVSIKGRQTNVMSREEVEQHGNQLNQNEIYNASHIPQHSIRNPQQWQFRYAQESVQPECVMPLSNENTTLEDNTVSLEGDTTTLEDNIAFDEGNEDLFAADEDRFDDNSDDGLEQWHDDSLNDDWLYDSDIPICNNVEGEMEPVRGVDVGDVQCDDPIYNNPIVGENKIRSLNTLLDDSYQERGNARISRMWLIVVLKEKFAIRVKRSCKGRYEVGCKDKACKFNVRATKLPDREEYWQVRTFHKVHTCTIDGLQGRYPTTSVKIIGELMSHKLRANGLALRPMDIICEMRVQWGLECLESEGIEFIVFFSKCKREAIEFYADYYKTTVLVEGCSGSIRSIGHPSEWAIPPYVRQIVVLPTPWRGQAGKPKRRRIPSAGEGIPSTNPTPSPSQSMPPQVCKPKACSSCKQTDHTRNNCPIRRTMFENVSLVGCHGRGQLW >EOY30590 pep chromosome:Theobroma_cacao_20110822:9:5110882:5114562:-1 gene:TCM_037746 transcript:EOY30590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIILNVRQQVWTKSKEGWDNLRRNQEIKSKELLIAWKPLSPGYVKLNADGSARGQPGLTASGGLIRDEAGHWILGFNYKLGISFALNSELWGLYRGLKICWNKSYRKVQVESDSLLAIQKNVNPSSV >EOY31257 pep chromosome:Theobroma_cacao_20110822:9:7850817:7855881:-1 gene:TCM_038227 transcript:EOY31257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MVNLKAGTRPPWLGLGAAVWVQIASGNAYNFPLYSHSLKSVLGFNQRQLTMLGVANDIGENVGLLPGIACNRFPPWIVLMIGAFACFLGYGVLWLAVSRTVLYMPYWLLWIALCVATNSSAWLSTAVLVTNMRNFPVSRGTVAGILKGYAGLSAAVFTEIYSTLLQKSSSKLLMFLSFGVPILCFVMMYFVRACTPASGEDAAEHGHFRFIQAASVVLGLYVLSTTILDHMLHFSAEISSVIVAVMVVLLMAPLAIPVKMTINPTRINKPVIPDQPVASSDEMLQGEGNADKTEPLLKPSESATYLGSFREGDEASEVAMLLAVGEGAVKKKRRPKRGEDFRFSEAIIKADFWLLFLVYFFGVGSGVTVLNNLAQIGIAQGVQNTTILLSLFSFCNFVGRLGGGTVSEHFVRSKTVPRTIWMTCTQIVMVVTYLLFASAIDGTLYAATALLGICYGVQFSIMIPTVSELFGLKHFGIFYNFMSLGNPLGAFLFSGLLAGYIYDTEAAKQYGLNLVNSSVSCVGPNCFRLTFLVLAGVSGVGSIASIILTKRIWPVYQMLYGGGSFRLPQTSNH >EOY31258 pep chromosome:Theobroma_cacao_20110822:9:7851085:7854677:-1 gene:TCM_038227 transcript:EOY31258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MRNFPVSRGTVAGILKGYAGLSAAVFTEIYSTLLQKSSSKLLMFLSFGVPILCFVMMYFVRACTPASGEDAAEHGHFRFIQAASVVLGLYVLSTTILDHMLHFSAEISSVIVAVMVVLLMAPLAIPVKMTINPTRINKPVIPDQPVASSDEMLQGEGNADKTEPLLKPSESATYLGSFREGDEASEVAMLLAVGEGAVKKKRRPKRGEDFRFSEAIIKADFWLLFLVYFFGVGSGVTVLNNLAQIGIAQGVQNTTILLSLFSFCNFVGRLGGGTVSEHFVRSKTVPRTIWMTCTQIVMVVTYLLFASAIDGTLYAATALLGICYGVQFSIMIPTVSELFGLKHFGIFYNFMSLGNPLGAFLFSGLLAGYIYDTEAAKQYGLNLVNSSVSCVGPNCFRLTFLVLAGVSGVGSIASIILTKRIWPVYQMLYGGGSFRLPQTSNH >EOY32120 pep chromosome:Theobroma_cacao_20110822:9:21427624:21431088:1 gene:TCM_039631 transcript:EOY32120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligosaccharyltransferase complex/magnesium transporter family protein MAPKPDSQSASAATPADASSQFSMDPMFHILKVIPYSFLRPPRLRLKFPSLSLPSPMTVFSLLLLTYFMVVSGIVYDVIVEPPGIGSTQDPATGSVRPVVFLPGRVNGQYIIEGLSSGFMFVLGGIGIVLLDLALDKNRAKSVKASYATAGISSIVIAYVMSTLFIRIKIPAYLR >EOY30181 pep chromosome:Theobroma_cacao_20110822:9:3701428:3709560:1 gene:TCM_037479 transcript:EOY30181 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 4 MQNPKTFFPLQNPSSPSPLTNRLGFSVTTEEESKKRARNQHRLLAMEGIDMEGPDLFPDSMGGDFCDSILAHFSKSDQEDSQRLCATIGSMSQELREQNLPLTPIAYFGATCSSLDRLSSQPDSPPHVIQSLTTILSLLLPRIHVAVLKKKGDFVSTTALTVLRLNSVTEVTQTSGLKCLAHLLITGEKVNWSDLSQNYGVMLGYLTDSRPKVRRQSHVCLRGVLQSFRGTPVLAPASEAITNLFERFLLLAGGSNTNSNEGSKGAQEVLYVLDALKDSLPLMSMKCGTTILKYYKTLLELRQPLVTRRVTDSLNLVCTYPNEVSAETLLELLSSLALSVSANETSAVSMTFNARLLSSGMIKVYSLNRQLCVIKLPIVFSALKDILGSEHEEAIFAATEAFKNTINGCVDEGLIKQGVDQIINSISDDRKAGPTIIEKVCATIESLLDYHYGAVWDMAFQVVSAMFDKLGYYSSYFMKGTLKNLAEMQRLPDEDFPYRKQLHECVGSALGALGPETFLGILPLNLEANDLSDVNVWLFPILKQHIVGANLSFFSETLLGLIGEMGQRSRKLELQGKIFSSRSADALVYSLWSLLPSFCNYPLDTAKSFKDLLRPLCTALHEERDVRGIICSSLQILIQQNKKIKEGKDDLDGSDISPARQRAMSHYTPEIAGDNLNVLTASAPQLLSLLSGIFMESTVDEGGFLRSTIGELASIAHENVVRTLFKKTMHRLLKVTQEAGLAEASRNNNSMQVDDSSTESSLSLERVRLFDLAVSLLPGLDEPALDVLFSAIKPALQDVDGLIQKKAYKVLSIILRVSPFYINQEGFLSAKLEELLKLMIEVLPSFHFSAKRQRLDCLYHLIVHVSKDDSEQRRHEILSSFLTEIILALKEANKKTRNRAYEVLVQIGREYGDEDDSGQREDLFNMVARGLAGETPHMISAAVKGLARLAYEFSDLVSSAYKLLPSTFLLLQRKNREIIKANLGLLKVLVAKSKAEGLQAHLASLVEGLLRWQDYTKNHFKAKVKLLLEMLVRKCGIDAVKAVMPEEHMKLLTNIRKIKERKERKQAASSVESRSHLSKATTSRLSRWNHTKIFSDFGDDDTDDSDGEMASGRQSKGSSRLKSKASSPRSKKTRKADKSLPEDLFDQFEDEPLDLLDQHKTRSALRSSSHLKRKQDSDDEPEFDPDGRLIIHERGKPKKKVPPSDPDSDARSEARSHFSVGSSRNTQKRRKTSDSGWAYTGNEYASKKAGGDVKKKDKLEPYAYWPLDRKMMSRRPEHRAAARKGMASVVKMTKKLEGKSASNALSVKFMKFKKAQKKGGKRKR >EOY30182 pep chromosome:Theobroma_cacao_20110822:9:3701754:3709398:1 gene:TCM_037479 transcript:EOY30182 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 4 MEGIDMEGPDLFPDSMGGDFCDSILAHFSKSDQEDSQRLCATIGSMSQELREQNLPLTPIAYFGATCSSLDRLSSQPDSPPHVIQSLTTILSLLLPRIHVAVLKKKGDFVSTTALTVLRLNSVTEVTQTSGLKCLAHLLITGEKVNWSDLSQNYGVMLGYLTDSRPKVRRQSHVCLRGVLQSFRGTPVLAPASEAITNLFERFLLLAGGSNTNSNEGSKGAQEVLYVLDALKDSLPLMSMKCGTTILKYYKTLLELRQPLVTRRVTDSLNLVCTYPNEVSAETLLELLSSLALSVSANETSAVSMTFNARLLSSGMIKVYSLNRQLCVIKLPIVFSALKDILGSEHEEAIFAATEAFKNTINGCVDEGLIKQGVDQIINSISDDRKAGPTIIEKVCATIESLLDYHYGAVWDMAFQVVSAMFDKLGYYSSYFMKGTLKNLAEMQRLPDEDFPYRKQLHECVGSALGALGPETFLGILPLNLEANDLSDVNVWLFPILKQHIVGANLSFFSETLLGLIGEMGQRSRKLELQGKIFSSRSADALVYSLWSLLPSFCNYPLDTAKSFKDLLRPLCTALHEERDVRGIICSSLQILIQQNKKIKEGKDDLDGSDISPARQRAMSHYTPEIAGDNLNVLTASAPQLLSLLSGIFMESTVDEGGFLRSTIGELASIAHENVVRTLFKKTMHRLLKVTQEAGLAEASRNNNSMQVDDSSTESSLSLERVRLFDLAVSLLPGLDEPALDVLFSAIKPALQDVDGLIQKKAYKVLSIILRVSPFYINQEGFLSAKLEELLKLMIEVLPSFHFSAKRQRLDCLYHLIVHVSKDDSEQRRHEILSSFLTEIILALKEANKKTRNRAYEVLVQIGREYGDEDDSGQREDLFNMVARGLAGETPHMISAAVKGLARLAYEFSDLVSSAYKLLPSTFLLLQRKNREIIKANLGLLKVLVAKSKAEGLQAHLASLVEGLLRWQDYTKNHFKAKVKLLLEMLVRKCGIDAVKAVMPEEHMKLLTNIRKIKERKERKQAASSVESRSHLSKATTSSRLSRWNHTKIFSDFGDDDTDDSDGEMASGRQSKGSSRLKSKASSPRSKKTRKADKSLPEDLFDQFEDEPLDLLDQHKTRSALRSSSHLKRKQDSDDEPEFDPDGRLIIHERGKPKKKVPPSDPDSDARSEARSHFSVGSSRNTQKRRKTSDSGWAYTGNEYASKKAGGDVKKKDKLEPYAYWPLDRKMMSRRPEHRAAARKGMASVVKMTKKLEGKSASNALSVKFMKFKKAQKKGGKRKR >EOY30183 pep chromosome:Theobroma_cacao_20110822:9:3701754:3709398:1 gene:TCM_037479 transcript:EOY30183 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 4 MEGIDMEGPDLFPDSMGGDFCDSILAHFSKSDQEDSQRLCATIGSMSQELREQNLPLTPIAYFGATCSSLDRLSSQPDSPPHVIQSLTTILSLLLPRIHVAVLKKKGDFVSTTALTVLRLNSVTEVTQTSGLKCLAHLLITGEKVNWSDLSQNYGVMLGYLTDSRPKVRRQSHVCLRGVLQSFRGTPVLAPASEAITNLFERFLLLAGGSNTNSNEGSKGAQEVLYVLDALKDSLPLMSMKCGTTILKYYKTLLELRQPLVTRRVTDSLNLVCTYPNEVSAETLLELLSSLALSVSANETSAVSMTFNARLLSSGMIKVYSLNRQLCVIKLPIVFSALKDILGSEHEEAIFAATEAFKNTINGCVDEGLIKQGVDQIINSISDDRKAGPTIIEKVCATIESLLDYHYGAVWDMAFQVVSAMFDKLGYYSSYFMKGTLKNLAEMQRLPDEDFPYRKQLHECVGSALGALGPETFLGILPLNLEANDLSDVNVWLFPILKQHIVGANLSFFSETLLGLIGEMGQRSRKLELQGKIFSSRSADALVYSLWSLLPSFCNYPLDTAKSFKDLLRPLCTALHEERDVRGIICSSLQILIQQNKKIKEGKDDLDGSDISPARQRAMSHYTPEIAGDNLNVLTASAPQLLSLLSGIFMESTVDEGGFLRSTIGELASIAHENVVRTLFKKTMHRLLKVTQEAGLAEASRNNNSMQVDDSSTESSLSLERVRLFDLAVSLLPGLDEPALDVLFSAIKPALQDVDGLIQKKAYKVLSIILRNQEGFLSAKLEELLKLMIEVLPSFHFSAKRQRLDCLYHLIVHVSKDDSEQRRHEILSSFLTEIILALKEANKKTRNRAYEVLVQIGREYGDEDDSGQREDLFNMVARGLAGETPHMISAAVKGLARLAYEFSDLVSSAYKLLPSTFLLLQRKNREIIKANLGLLKVLVAKSKAEGLQAHLASLVEGLLRWQDYTKNHFKAKVKLLLEMLVRKCGIDAVKAVMPEEHMKLLTNIRKIKERKERKQAASSVESRSHLSKATTSSRLSRWNHTKIFSDFGDDDTDDSDGEMASGRQSKGSSRLKSKASSPRSKKTRKADKSLPEDLFDQFEDEPLDLLDQHKTRSALRSSSHLKRKQDSDDEPEFDPDGRLIIHERGKPKKKVPPSDPDSDARSEARSHFSVGSSRNTQKRRKTSDSGWAYTGNEYASKKAGGDVKKKDKLEPYAYWPLDRKMMSRRPEHRAAARKGMASVVKMTKKLEGKSASNALSVKFMKFKKAQKKGGKRKR >EOY30184 pep chromosome:Theobroma_cacao_20110822:9:3701712:3707996:1 gene:TCM_037479 transcript:EOY30184 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 4 MEGIDMEGPDLFPDSMGGDFCDSILAHFSKSDQEDSQRLCATIGSMSQELREQNLPLTPIAYFGATCSSLDRLSSQPDSPPHVIQSLTTILSLLLPRIHVAVLKKKGDFVSTTALTVLRLNSVTEVTQTSGLKCLAHLLITGEKVNWSDLSQNYGVMLGYLTDSRPKVRRQSHVCLRGVLQSFRGTPVLAPASEAITNLFERFLLLAGGSNTNSNEGSKGAQEVLYVLDALKDSLPLMSMKCGTTILKYYKTLLELRQPLVTRRVTDSLNLVCTYPNEVSAETLLELLSSLALSVSANETSAVSMTFNARLLSSGMIKVYSLNRQLCVIKLPIVFSALKDILGSEHEEAIFAATEAFKNTINGCVDEGLIKQGVDQIINSISDDRKAGPTIIEKVCATIESLLDYHYGAVWDMAFQVVSAMFDKLGYYSSYFMKGTLKNLAEMQRLPDEDFPYRKQLHECVGSALGALGPETFLGILPLNLEANDLSDVNVWLFPILKQHIVGANLSFFSETLLGLIGEMGQRSRKLELQGKIFSSRSADALVYSLWSLLPSFCNYPLDTAKSFKDLLRPLCTALHEERDVRGIICSSLQILIQQNKKIKEGKDDLDGSDISPARQRAMSHYTPEIAGDNLNVLTASAPQLLSLLSGIFMESTVDEGGFLRSTIGELASIAHENVVRTLFKKTMHRLLKVTQEAGLAEASRNNNSMQVDDSSTESSLSLERVRLFDLAVSLLPGLDEPALDVLFSAIKPALQDVDGLIQKKAYKVLSIILRNQEGFLSAKLEELLKLMIEVLPSFHFSAKRQRLDCLYHLIVHVSKDDSEQRRHEILSSFLTEIILALKEANKKTRNRAYEVLVQIGREYGDEDDSGQREDLFNMVWPAW >EOY30180 pep chromosome:Theobroma_cacao_20110822:9:3701429:3709560:1 gene:TCM_037479 transcript:EOY30180 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 4 MQNPKTFFPLQNPSSPSPLTNRLGFSVTTEEESKKRARNQHRLLAMEGIDMEGPDLFPDSMGGDFCDSILAHFSKSDQEDSQRLCATIGSMSQELREQNLPLTPIAYFGATCSSLDRLSSQPDSPPHVIQSLTTILSLLLPRIHVAVLKKKGDFVSTTALTVLRLNSVTEVTQTSGLKCLAHLLITGEKVNWSDLSQNYGVMLGYLTDSRPKVRRQSHVCLRGVLQSFRGTPVLAPASEAITNLFERFLLLAGGSNTNSNEGSKGAQEVLYVLDALKDSLPLMSMKCGTTILKYYKTLLELRQPLVTRRVTDSLNLVCTYPNEVSAETLLELLSSLALSVSANETSAVSMTFNARLLSSGMIKVYSLNRQLCVIKLPIVFSALKDILGSEHEEAIFAATEAFKNTINGCVDEGLIKQGVDQIINSISDDRKAGPTIIEKVCATIESLLDYHYGAVWDMAFQVVSAMFDKLGYYSSYFMKGTLKNLAEMQRLPDEDFPYRKQLHECVGSALGALGPETFLGILPLNLEANDLSDVNVWLFPILKQHIVGANLSFFSETLLGLIGEMGQRSRKLELQGKIFSSRSADALVYSLWSLLPSFCNYPLDTAKSFKDLLRPLCTALHEERDVRGIICSSLQILIQQNKKIKEGKDDLDGSDISPARQRAMSHYTPEIAGDNLNVLTASAPQLLSLLSGIFMESTVDEGGFLRSTIGELASIAHENVVRTLFKKTMHRLLKVTQEAGLAEASRNNNSMQVDDSSTESSLSLERVRLFDLAVSLLPGLDEPALDVLFSAIKPALQDVDGLIQKKAYKVLSIILRNQEGFLSAKLEELLKLMIEVLPSFHFSAKRQRLDCLYHLIVHVSKDDSEQRRHEILSSFLTEIILALKEANKKTRNRAYEVLVQIGREYGDEDDSGQREDLFNMVARGLAGETPHMISAAVKGLARLAYEFSDLVSSAYKLLPSTFLLLQRKNREIIKANLGLLKVLVAKSKAEGLQAHLASLVEGLLRWQDYTKNHFKAKVKLLLEMLVRKCGIDAVKAVMPEEHMKLLTNIRKIKERKERKQAASSVESRSHLSKATTSRLSRWNHTKIFSDFGDDDTDDSDGEMASGRQSKGSSRLKSKASSPRSKKTRKADKSLPEDLFDQFEDEPLDLLDQHKTRSALRSSSHLKRKQDSDDEPEFDPDGRLIIHERGKPKKKVPPSDPDSDARSEARSHFSVGSSRNTQKRRKTSDSGWAYTGNEYASKKAGGDVKKKDKLEPYAYWPLDRKMMSRRPEHRAAARKGMASVVKMTKKLEGKSASNALSVKFMKFKKAQKKGGKRKR >EOY31268 pep chromosome:Theobroma_cacao_20110822:9:7942256:7949522:1 gene:TCM_038240 transcript:EOY31268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pol polyprotein-like protein MHSHVDNVATTVPNATSVPTATTTVNGSPIAPTSMTIPLIPSVSYAKPFPDISKIEVFDGRNFKRWQERIFSIFDVHGVAFALIDSKPDDVKMLKPWMHANKVCRHIIISTLSNELFDVYSPYKKAKQIWESMIVKYTAKDVEKQNCKASYGVSKCHIWCYDPYRAILRQSGWGLMELVGCLCMLVAVYCVTVNLRSGEERRIDSGMVYYCQRKDYDSLRNALSR >EOY29377 pep chromosome:Theobroma_cacao_20110822:9:982591:983334:1 gene:TCM_036934 transcript:EOY29377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L39 family protein MPSHKTFIIKKKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >EOY30388 pep chromosome:Theobroma_cacao_20110822:9:4464054:4469938:-1 gene:TCM_037617 transcript:EOY30388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene beta/epsilon cyclase protein MIMECVGARYFAAMAVSTCAAWRSRRGNLMRTKTVLSKNHGFISFKVRASGRGSESCVAVKEDFADEEDFIKAGGSELVFVQMQQNKEMDKQSKLADKLPPISIGDNVLDLVVIGCGPAGLALAAESAKLGLNVGLIGPDLPFTNNYGVWEDEFKDLGLEGCIEHVWRDTIVYLDDDKPIMIGRAYGRVSRRLLHEELLRRCVESGVMYLSSKVETIIEATDGHNLVACEHNRVVPCRLVTVASGAASGKLLQYEVGGPRVSVQTAYGVEVEVENNPYDPSLMVFMDYRDYAKQEVPCSEAQYPTFLYAMPLSSTRVFFEETCLASKDAMPFDLLKKKLMSRLETMGITILKVYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPNYASVIANILKKDHSKGMRTSERNNGNISMQAWKTLWPQERKRQRAFFLFGLALILQLDIDGIRTFFNTFFRLPSWMWQGFLGSNLSSADLVLFAFYMFVIAPNDLRMCLVRHLLSDPTGATMIRTYITL >EOY32883 pep chromosome:Theobroma_cacao_20110822:9:34237757:34239463:-1 gene:TCM_040904 transcript:EOY32883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MRRLTCSFLGKTCGTIRLLLHDTLTENQRLALVRFYIPGSASSPSLSIWRRKKEMTKEGLIAAKELKRVQSNPVRLDRFIRSNISRLLKSDLVSVLAEFQRQDQVFLSMKLYDVVRKEIWYRPDMFFYRDMLMMLARNRKVDESRQVWEDLKREEVLFDQHTFGDLVRAYLDSGLPSEAMDIYEEMRRSPDSPLSLPFRVILKGLLPYPELREKVKDDFLELFPDMIVYDPPEDLFGDEESKRESESE >EOY32459 pep chromosome:Theobroma_cacao_20110822:9:29937910:29941047:1 gene:TCM_040401 transcript:EOY32459 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 5 ATAASSLQIAAARPCISSPHRAFPTQFYIISSKVQKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDESRVLPDGSLMEITKVYPLDAVFDNLDAVPEDIKTNKRYAGSSNWTVQEVAESVKQDFGSIDILVHSLANGPEVSKPLLETSRNGYLAALSASSYSYVSLLKHFLPLMKPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKNKIRVNTISAGPLRSRAAKAIGFIDTMIEYSMANAPLQKELSADEVGNTAAFLASPLASAITGAVIYVDNGLNAMGVGIDSPIFKDLNIPSDKH >EOY32456 pep chromosome:Theobroma_cacao_20110822:9:29936616:29941148:1 gene:TCM_040401 transcript:EOY32456 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 5 MAATAASSLQIAAARPCISSPHRFVEAGVSILGANSKSVSWTKLTSACNISSLEPFRRSFTSSPAKFKKVVTKAMSESSEKKPVSGLAIDLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDESRVLPDGSLMEITKVYPLDAVFDNLDAVPEDIKTNKRYAGSSNWTVQEVAESVKQDFGSIDILVHSLANGPEVSKPLLETSRNGYLAALSASSYSYVSLLKHFLPLMKPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKNKIRVNTISAGPLRSRAAKAIGFIDTMIEYSMANAPLQKELSADEVGNTAAFLASPLASAITGAVIYVDNGLNAMGVGIDSPIFKDLNIPSDKH >EOY32460 pep chromosome:Theobroma_cacao_20110822:9:29937923:29941014:1 gene:TCM_040401 transcript:EOY32460 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 5 SSLQIAAARPCISSPHRFVEAGVSILGANSKSVSWTKLTSACNISSLEPFRRSFTSSPAKFKKVVTKAMSESSEKKPVSGLAIDLKGKRAFIAGVADDNGYGWAIAKSLAAAGKTNKRYAGSSNWTVQEVAESVKQDFGSIDILVHSLANGPEVSKPLLETSRNGYLAALSASSYSYVSLLKHFLPLMKPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKNKIRVNTISAGPLRSRAAKAIGFIDTMIEYSMANAPLQKELSADEVGNTAAFLASPLASAITGAVIYVDNGLNAMGVGIDSPIFKDLNIPSDKH >EOY32458 pep chromosome:Theobroma_cacao_20110822:9:29938127:29940675:1 gene:TCM_040401 transcript:EOY32458 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 5 MSESSEKKPVSGLAIDLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDESRVLPDGSLMEITKVYPLDAVFDNLDAVPEDIKTNKRYAGSSNWTVQEVAESVKQDFGSIDILVHSLANGPEVSKPLLETSRNGYLAALSASSYSYVSLLKHFLPLMKPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKNKIRVNTISAGPLRSRAAKAIGFIDTMIEYSMANAPLQKELSADEVGNTAAFLASPLASAITGAVIYVDNGLNAMGVGIDSPIFKDLNIPSDKH >EOY32457 pep chromosome:Theobroma_cacao_20110822:9:29936695:29941061:1 gene:TCM_040401 transcript:EOY32457 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 5 STNFAWLSCRKTQQNKFLCENTSISLNSLKIFFSSCSLSSSSVSALALSASRRILLSAVKYNFLRMAATAASSLQIAAARPCISSPHRFVEAGVSILGANSKSVSWTKLTSACNISSLEPFRRSFTSSPAKFKKVVTKAMSESSEKKPVSGLAIDLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDESRVLPDGSLMEITKVYPLDAVFDNLDAVPEDIKTNKRYAGSSNWTVQEVAESVKQDFGSIDILVHSLANGPEVSKPLLETSRNGYLAALSASSYSYVSLLKHFLPLMKPAGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVYLLLKQEEKTKLGSTQYLRVL >EOY34712 pep chromosome:Theobroma_cacao_20110822:9:41874829:41876033:-1 gene:TCM_042297 transcript:EOY34712 gene_biotype:protein_coding transcript_biotype:protein_coding description:LURP-one-related 1, putative MEQGPPPPAEAPSAYPYPYPPSGLAYANPGSVIGPQYCCPQPVDLAIVRKVLTITEGNFAVTDMNGNIMFKIKGKFLSIHDRRLLLDAAGNPICTLRPKIMTVHDRWQVFRGESTEEKDVIFTVKRSSLIQLKTKLYVFLANNQKEDVCDFRVEGSWLERSCVVYSGDSNTILAQMHKKHSVEGVLLGKDKFMVTVYPNVDYAFIVALIAILDGINKESDSE >EOY32384 pep chromosome:Theobroma_cacao_20110822:9:28589634:28590128:1 gene:TCM_040278 transcript:EOY32384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGTEISMKPSNNSQSQFRLKTEKPPFRPAKDDTKPPLQDPILRSVPNETEEAVLRLPPLPSLN >EOY34569 pep chromosome:Theobroma_cacao_20110822:9:41467867:41470081:-1 gene:TCM_042196 transcript:EOY34569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSADTVKEAQFLPHPSLQLLTLPLSQWLMQNLQGRKSGFTAEKLGVGKQKETGEIICNEGGDSHLSE >EOY34452 pep chromosome:Theobroma_cacao_20110822:9:41166877:41167423:1 gene:TCM_042131 transcript:EOY34452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSATVYCIISIYLLLLFSSSPHVAMAGREIPSSVPSTMRPASVDYVKMNPQVMNHKHKAFNEKEAKGCMPKGSTHSSAPSRYVNYQTLGSFCGSKTHPDKKP >EOY30456 pep chromosome:Theobroma_cacao_20110822:9:4654328:4656452:1 gene:TCM_037657 transcript:EOY30456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPPAKAITSPVPDAWYPTLAVFMLAIGLVLTASFFIYEATSSKRNRSLAKELTTGGVASVFLGFGSLFLLLSAGVYV >EOY29731 pep chromosome:Theobroma_cacao_20110822:9:2188307:2191996:1 gene:TCM_037182 transcript:EOY29731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MDRLLRESVLRGDVPSFLKLIQEDEDIIKQTAPGSLKTILHLAARFGHVELASEITKLSPEMVGAEDEKLETPLHEACREGRVEIVRLLVGTDPWVVYKVNQEEESALFVACERGQVDVVKLLLNYPSKMLMLEVDASTTSLHVAASAGHTEGKVDFLSETASGWCVYFFDGFLFLSLLSPVVLTKFHYEKIVDVAKEILKARQEFSWKKDKHGCTPLHLSCSKGHLEITRELLRLDADLSSLQDNEGRTPLHWAAVKGRVNIIDEILSISIESAEMITKHGETVLHLAVKNNQYEAVRYLVENLNITKLVNLPDNDGNTVLHIATAGKLTTMVIYLLKLGLDVNAINRKGFTALDVVESDASNSGALAIVPALLEAGAKRCDQLPPGSPETQNIQESSPRNVPSVRPKETPETPTQHQPRRRRHRHRRDKQLELQNEGLRNARNTITVVAVLIATVTFAAGINPPGGFNQITGKSIVGRQPSFKVFMACNIVALFLSLGIVIFLVSIIPFRRKSMMKLLAVTHKVMWVSTSFMAAAYIAATWTIMPRAQGSIWVLVAVVSIGGGCTMAIFMGLAVLLARHLLRKWEWRKRRAKNGSPSSSISRVEEMRIMKKGSHESTSNSDIDSSDQGGYHLYN >EOY31653 pep chromosome:Theobroma_cacao_20110822:9:10847727:10851108:1 gene:TCM_038629 transcript:EOY31653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase family protein isoform 1 MEMNNHHQGTAEMEKKSKTKIVCTLGPASRSVPMIEKLLRAGMNVARFNFSHGSHAYHQETLDNLRAAMLNTGILCAVMLDTKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMVYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICVEAESTLDYGDVFKRVMEHSPVPMSPLESLASSAVRTANSAKAALILVLTRGGSTAKLVAKYRPGMPILSVVVPEIKTDSFDWSCSDESPARHSLIFRGLIPVLYAGSARASHEETTEEALDFAIQHAKAKGLCENGDS >EOY31652 pep chromosome:Theobroma_cacao_20110822:9:10848143:10851689:1 gene:TCM_038629 transcript:EOY31652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase family protein isoform 1 MEMNNHHQGTAEMEKKSKTKIVCTLGPASRSVPMIEKLLRAGMNVARFNFSHGSHAYHQETLDNLRAAMLNTGILCAVMLDTKGPEIRTGFLKDGKIQLKQGQEITITTDYSIKGDEKMISMSYKKLAEDVKPGMVILCADGTISFTVLSCDKQKGLVHCRCENSAVLGERKNVNLPGVIVDLPTLTDKDKEDILEWGVPNQIDMIALSFVRKGSDLVEVRKLLGKYAKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMVYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICVEAESTLDYGDVFKRVMEHSPVPMSPLESLASSAVRTANSAKAALILVLTRGGSTAKLVAKYRPGMPILSVVVPEIKTDSFDWSCSDESPARHSLIFRGLIPVLYAGSARASHEETTEEALDFAIQHAKAKGLCENGDSVVALHRIGTASVIKILTVK >EOY34140 pep chromosome:Theobroma_cacao_20110822:9:40029525:40033181:-1 gene:TCM_041902 transcript:EOY34140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of Uncharacterized protein function, putative MAVSSRGRMTEFGIVSKKWKEREISPERNKVWIEPKPHTTTNSSKSSNTERKVAVVYYLSRNGQLEHPHFMEVPLSSNDGLYLKDVINRLNLLRGKGMASLYSWSSKRSYKNGFVWHDLAENDFIYPSHGQEYVLKGSEILDHSISPQPVEIASSSFRLTKLPESLKSENDHDLPLARRRRNQSWSSIDLHEYKVYKSESSSESTRRLAADASTQTDDKRRRRKPVKEPEIEELQSQSQELEQNQTTELSREEISPPPSDSSPETLESLMKADGRLRLCNGSGNEENVNRTAESCPSGRMKASSVLMQLISCGSISFKDCGPSLERDQGFSLIGHYKSRLPRGAANCSQVGKEAGIEREIGSFSRVRLEDKEYFSGSLIETKKEEVPALKRSSSYNADRSSQLQLAEKEIEGVRTKCIPRKPKTLSTKKEGNVNPDVCGESGSNNQMGSKRHDV >EOY31932 pep chromosome:Theobroma_cacao_20110822:9:17968451:17969918:1 gene:TCM_039300 transcript:EOY31932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin domain-containing protein, putative isoform 1 MNNQQKEMRRGYLFKNSIAFVLLVVSLCCFLVVIISMLNVPDAALGNAAMPLYKNLSILKVSDNDNPLSTFGNMMIQMLPQDLAFTVFIPSETAFTRDLRLRKNESLVGEKMNDAYAVISRVLGFSAIPRILDSATVPAGGEVSCDSLSGFTLFVSKDVGGVLMVNGVKSERVDIRRGKHVVHVMDGVIMDAEFEQSVEPDFDVDD >EOY31933 pep chromosome:Theobroma_cacao_20110822:9:17968451:17969918:1 gene:TCM_039300 transcript:EOY31933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin domain-containing protein, putative isoform 1 MNNQQKEMRRGYLFKNSIAFVLLVVSLCCFLVVIISMLNVPDAALGNAAMPLYKNLSILKVSDNDNPLSTFGNMMIQMLPQDLAFTVFIPSETAFTRDLRLRKNESLVGEKMNDAYAVISRVLGFSAIPRILDSATVPAGGEVSCDSLSGFTLFVSKDVGGVLMVNGVKSERVDIRRGKHVVHVMDGVIMDAEFEQSVEPDFDVDD >EOY29469 pep chromosome:Theobroma_cacao_20110822:9:1270413:1270893:1 gene:TCM_036991 transcript:EOY29469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLGKKKTVRVDVLRFGQDKKIESCSNMRFTVVEPTSARDSCCYCYSVVNCKKGAFASAAGTLIGNH >EOY33655 pep chromosome:Theobroma_cacao_20110822:9:38411440:38412578:1 gene:TCM_041560 transcript:EOY33655 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein isoform 1 RSSYHDVVRVAEIQKVLDISGVQTYVINSARVLFLNERPLPKSSSSSSKGVSHLCEICSRSLLDPFRFCSLGCKLVGTRNNGDTSFLLSNKDEEEVTIRRREGIAPNRLPSKEEEELREGSPQEMYRGTPNPAHSNSRRRKGIPHRAPLGS >EOY33654 pep chromosome:Theobroma_cacao_20110822:9:38410867:38412475:1 gene:TCM_041560 transcript:EOY33654 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein isoform 1 MEEMKVPPWLESLLSSAFFTICPRHRDTPRNECNMYCLDCMNDAFCFYCRSSRHKDHLVIQIRRSSYHDVVRVAEIQKVLDISGVQTYVINSARVLFLNERPLPKSSSSSSKGVSHLCEICSRSLLDPFRFCSLGCKLVGTRNNGDTSFLLSNKDEEEVTIRRREGIAPNRLPSKEEEELREGSPQEMYRGTPNPAHSNSRRRKGIPHRAPLGS >EOY32273 pep chromosome:Theobroma_cacao_20110822:9:25584935:25587373:-1 gene:TCM_039972 transcript:EOY32273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MTMRELGCLLKRCSNLKHVEQAHGFMVRTALDHDEILLSQFIEACSSLGFPGYAYSVFAFNLQPRIYVFNTMIKALTLSHSAFEALHVYKSIARAKLRPDSYSFPFALKAVVDLQALRFGTQIHSQTVCAGLNANIYVATALIQMYSSCACVSDARKLFDQLTSVSRSIQDVALWSATIAGYAKVGDPDAAWDLLERMPQTQTNAEAVMVCWTTVIAGYAQMDRPNEAIAVFRRMQLENVKPDEIAMLAVLTACAHLGAIQFLLGECIHGYIHKHGFNQMIPLKNALIEMYAKSGDICKALQVFENMNHKTVITWTTIISALAFHGLGTLALQMFSRMERAQVQPNDITFLAVLSACTHAGLVDLGGCFFNTMSSRYGIQPKIQHYGCMIDLLGRAGYLREALELVSRMPFKPNAAIWGSLLAASNIHANAELGELALLHLVELEPWNSGNYALLSNIYASGGRWDKSVITRKMMRDQGVPKMPGRSFIQVSNRAHEFIAGDVSHPQYDRIHGILFNLNEQLKIVHHLLSEFDELLDFDG >EOY32274 pep chromosome:Theobroma_cacao_20110822:9:25579982:25587447:-1 gene:TCM_039972 transcript:EOY32274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative isoform 1 MTMRELGCLLKRCSNLKHVEQAHGFMVRTALDHDEILLSQFIEACSSLGFPGYAYSVFAFNLQPRIYVFNTMIKALTLSHSAFEALHVYKSIARAKLRPDSYSFPFALKAVVDLQALRFGTQIHSQTVCAGLNANIYVATALIQMYSSCACVSDARKLFDQLTSVSRSIQDVALWSATIAGYAKVGDPDAAWDLLERMPQTQTNAEAVMVCWTTVIAGYAQMDRPNEAIAVFRRMQLENVKPDEIAMLAVLTACAHLGAIQFLLGECIHGYIHKHGFNQMIPLKNALIEMYAKSGDICKALQVFENMNHKTVITWTTIISALAFHGLGTLALQMFSRMERAQVQPNDITFLAVLSACTHAGLVDLGGCFFNTMSSRYGIQPKIQHYGCMIDLLGRAGYLREALELVSRMPFKPNAAIWGSLLAASNIHANAELGELALLHLVELEPWNSGNYALLSNIYASGGRWDKSVITRKMMRDQGVPKMPGRSFIQVSNRAHEFIAGDVSHPQYDRIHGILFNLNEQLKIVHHLLSEFDELLDFDG >EOY34088 pep chromosome:Theobroma_cacao_20110822:9:39901626:39902582:1 gene:TCM_041872 transcript:EOY34088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPWSVVLLMFLFLGRAGVLEEETMETGSRKARSTKIMMHREYEKTHLRFFFLFLFSGLFSQKFFLLFRACATVPTREVFAFLLASENSSCPLTFALGTPSQR >EOY31610 pep chromosome:Theobroma_cacao_20110822:9:10434636:10442945:1 gene:TCM_038576 transcript:EOY31610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein isoform 2 MALLSLPSPSFSPYCVSISFSSWRQSSVAVTTAHPIRFLPVAAAITTHHHQQSIPIDKSSLIVAETASEDQLWAAACLRVRSFYDFQASSYGIQDHKMYLAEREFEALKERIAGKREGFKKVSCINATLPLSQLSNSADELCAACKGIEADFARAYLSNVCVARELHRNGLGYEIVMKSKIVAQEWGITDLYVHVAVDNEPAKNLYTKSGFVHENDEPAWQARFLDRPRRILLWIGLPCTNDL >EOY31609 pep chromosome:Theobroma_cacao_20110822:9:10434636:10442570:1 gene:TCM_038576 transcript:EOY31609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases superfamily protein isoform 2 MALLSLPSPSFSPYCVSISFSSWRQSSVAVTTAHPIRFLPVAAAITTHHHQQSIPIDKSSLIVAETASEDQLWAAACLRVRSFYDFQASSYGIQDHKMYLAEREFEALKERIAGKREGFKKVSCINATLPLSQLSNSADELCAACKFTDNGEDRLVVGTLDLNQCLWLPEEIAGTKPEGIEADFARAYLSNVCVARELHRNGLGYEIVMKSKIVAQEWGITDLYVHVAVDNEPAKNLYTKSGFVHENDEPAWQARFLDRPRRILLWIGLPCTNDL >EOY34180 pep chromosome:Theobroma_cacao_20110822:9:40192112:40193516:1 gene:TCM_041932 transcript:EOY34180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHCTVVLPSCFRDLRNNSLDGPIPDFLGKLPNLSDLRDNRFTGDVLQSITGNKQLKYMIGNKGMNQSRGERLALIVGLAVGLPIFLVFVIFLVYFLVRKQKTLTQGQVTEVEVGGGQPQEGMVSTTLSTEALFRPSSLAIEHTSSMSNGDGVATDPGSSHIHHLPLENDDPGSMSTVEETSMDANAGSVYYHTPFVQMIDEEELNDLLRQHRQN >EOY31192 pep chromosome:Theobroma_cacao_20110822:9:7450212:7453212:-1 gene:TCM_038166 transcript:EOY31192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heptahelical transmembrane protein1 isoform 2 MTFIEDQAVVVLKRKNRKMDQTLDSKLVSQPVQVENRKKGDDEDDDDDDQSLNKARRRYALVSYKELPDYMKDNEFILNYYRANWSIKEALFSIFRWHNETLNVWTHLLGFVLFLGLTMANLVEVPQVADLITFLASRSFPISADSNVSHDSKELFMGATNLIDLKRITTPELDITPPVSPVTRWPFYVFLGGSMFCLLSSSICHLFSCHSHHLNLTLLRIDYAGITTMIITSFFPPIYYIFQCDPQWHFVYLGGITALGLFTIVTLLSPSLSTSKFRAFRALLFSSMGLFGIVPGIHAAIVNWSNPRRSITLAYEAAMAIFYLTGTMFYVSRIPERLKPGWFDLAGHSHQIFHILVVMGALAHYGASLVFLEWRDRNGC >EOY31191 pep chromosome:Theobroma_cacao_20110822:9:7450242:7453613:-1 gene:TCM_038166 transcript:EOY31191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heptahelical transmembrane protein1 isoform 2 MTFIEDQAVVVLKRKNRKMDQTLDSKLVSQPVQVENRKKGDDEDDDDDDQSLNKARRRYALVSYKELPDYMKDNEFILNYYRANWSIKEALFSIFRWHNETLNVWTHLLGFVLFLGLTMANLVEVPQVADLITFLARSFPISADSNVSHDSKELFMGATNLIDLKRITTPELDITPPVSPVTRWPFYVFLGGSMFCLLSSSICHLFSCHSHHLNLTLLRIDYAGITTMIITSFFPPIYYIFQCDPQWHFVYLGGITALGLFTIVTLLSPSLSTSKFRAFRALLFSSMGLFGIVPGIHAAIVNWSNPRRSITLAYEAAMAIFYLTGTMFYVSRIPERLKPGWFDLAGHSHQIFHILVVMGALAHYGASLVFLEWRDRNGC >EOY32278 pep chromosome:Theobroma_cacao_20110822:9:25682146:25683313:1 gene:TCM_039979 transcript:EOY32278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYQNTGYYNRDGENEIDLNEVYQENDVSIPINVTPSKEVDIPTVLVSGDYEEVNLLIEDEEDDMHGDENEEDGMEEVKDEDEETFSDDSDDNKEHDLAYSEKQVDNVTSAHNSHGSISIPLGASGDGTSSRSRGKGPSVGLQTPTDPFERLLITPIG >EOY31837 pep chromosome:Theobroma_cacao_20110822:9:16191205:16236804:-1 gene:TCM_039121 transcript:EOY31837 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein MPPLHGRSSLTRSVGRGRGRSELHQPNTVEEESTASTIRVAPAAEQADSPPHPPSLQPPTGIPAMPTEAAQALTAFFAAMSSQAQTGQVPPVVPPATPLVPPPVQDVSISKKLKEARQLSCASYFEEGLHNEIRERMTVTGREPHKEVVQMALRAEKLVIENRRIRIEFEKRRNPGMSSNQPVKSGKDSATSGSTTSVSVTSPRPPFPPSQQTGHIRSNCPQLGRATVAASSPPARTDIQRRDSSELPPRQGVAIRSGVEINTPSHPPSRPQTRTATRVFAVTEDEARVRPGAVTSAMSLFDKDAYVLIDSVSRPDTPLQPVTTVAREVNPKLYPKRYPTRLSVRDFRSTLSTSPRMQFPCLYRRTHHLDTIQNREFYQVGEHRVLPSCVISAIKASKLVQKGYPTYLAYVIDTSKGEPKLEDVSIVSEFSDVFPDDLPGLPPDRELEFPIDLLPGTAPISIPPYRMALAELKELKVQLQDLADKGFIRPSISPWGAPVLFLRIKEQDVPKTAFRTRYGHYEFLVMPFGLTNAPAVFTDLMNRVFHPYLDKFVIVFIDDILVYSKNDDEHAAHLRIVLQTLRERQLYAKFSKCEFWLKEVVFLGHVVSEAGIYGDPKKIEAILQWEQPRTVTEIRSFLGLAGFHDELNSEKMGGESSDKGKEIASEDQ >EOY34715 pep chromosome:Theobroma_cacao_20110822:9:41879587:41881492:1 gene:TCM_042299 transcript:EOY34715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEYRRSLWLLTGILLLLRHAAAQNKTDIPKHVNLSPIWGWISAAECLLKYQQTSGCKGKQVLTLTGVINVDVSEFNDYCKTNGCRGRTEDVLDCIHSVKRDFRFTNKAGVMFVRNAITEGCTNLADIDTTVYPSSSATKLYSSSRILFLSMVAFMILLASMNISDHA >EOY33872 pep chromosome:Theobroma_cacao_20110822:9:39218815:39219401:1 gene:TCM_041713 transcript:EOY33872 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein MSMIPSFFGNRRSNSLDPFSLEIWDPFKNFPSLPQESSAFVNTRVDWKETPEAHVFKADLPGLKKEEVKVEIEDDRVLQISGERNVEKEDRNDTWHRVERSSGKFMRRFRLPENAQMDQVKASMENGVLTVTVPKVEAKKPDIKAIQISG >EOY34738 pep chromosome:Theobroma_cacao_20110822:9:41980436:41983948:1 gene:TCM_042322 transcript:EOY34738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fragile histidine triad isoform 2 MTKLQLLSSLGLGRNCFIRISWGGFRTISSSTAALTAKMSTECYTFGPYKIDPKEVFYSSPLSYAMVNLRPVVPGNVLVCPRREVKRFVDLTVDETSDLWQTAQRVGGGLECHHKASSLTFTIQDGPQSGQTVPHVHIHILPRREGDFERNDEIYDAIDVKEKEMQETLDLDKERKDRSPEEMSREADEYRSLFL >EOY34737 pep chromosome:Theobroma_cacao_20110822:9:41980255:41983719:1 gene:TCM_042322 transcript:EOY34737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fragile histidine triad isoform 2 MTKLQLLSSLGLGRNCFIRISWGGFRTISSSTAALTAKMSTECYTFGPYKIDPKEVFYSSPLSYAMVNLRPVVPVIFFLIIRCKLSCSYGNDVNLRLQLGGEECRWLAHAQTCMREVKRFVDLTVDETSDLWQTAQRVGGGLECHHKASSLTFTIQDGPQSGQTVPHVHIHILPRREGDFERNDEIYDAIDVKEKEMQETLDLDKERKDRSPEEMSREADEYRSLFL >EOY34198 pep chromosome:Theobroma_cacao_20110822:9:40233409:40236787:1 gene:TCM_041940 transcript:EOY34198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polymerase/histidinol phosphatase-like, putative isoform 1 MGFFDLNIPYADSTPPNNATSAAAKSTRIKIVIKAMELGYTGIAYDRTIKGVMSDRDRCSIPLLTLSSLLKIAPFLSSSVNLHRDLLGVPRSSPFRQYTRLTVSVDTASQSQALNSGNPILKTYDIVAVRPLNQNAFDNACEKAEVDIISIDFSDKVPFRLKLPMVKAAIKRGVYFEITYSGLIVDVQLRRQMISNAKLLVDWTRGKNLIFSSAAPSVCEVRGPNDVANLASLLGLSIERAKSAISKNCRSLLINALRRKNFFKEVIRVEAVSSSGPFDSEKPGSVDWLNWDPISSGEGDLLLDDMAKSFSASGNVSKAVKAIDFDSVIDNMPSDGFQVKDLISGTKTASQSLAKFKNILSTTVPVELSITTDRLSEKPSKLDLLRETNKASLDDTPSEHLTSLYRDSQKLHLAKDATKTSTDSEEVVTNTTTIEEEPETHNGSDVVFASVETESLGLQSDNCIPGYEQNAALVNENLRIEASGDALNAVMLNENVTSQTSAMDIESDAACNAATLEISPPSEDNNLPSIQKKDSKSLKGSDVNFGAETIKVDDVVVHMDVDMEHQENASLVDNISESISSRGPEDDGVIADQISFQWSNDEMGVKDDSVVKNHENQVELVMEEQKLAEDGDRMNDPSSVISDESFPKEVLGRELTTVPEDDGGLADLNPFPESNEEMKAKDITSTTTNEIQEVALEGRKHGEHDSKSNELILGQRRLGKLSASRRTPHRVHLFPLRRNLYPVSFKRKAIKSKRRVKME >EOY34197 pep chromosome:Theobroma_cacao_20110822:9:40233409:40237764:1 gene:TCM_041940 transcript:EOY34197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polymerase/histidinol phosphatase-like, putative isoform 1 MGFFDLNIPYADSTPPNNATSAAAKSTRIKIVIKAMELGYTGIAYDRTIKGVMSDRDRCSIPLLTLSSLLKIAPFLSSSVNLHRDLLGVPRSSPFRQYTRLTVSVDTASQSQALNSGNPILKTYDIVAVRPLNQNAFDNACEKAEVDIISIDFSDKVPFRLKLPMVKAAIKRGVYFEITYSGLIVDVQLRRQMISNAKLLVDWTRGKNLIFSSAAPSVCEVRGPNDVANLASLLGLSIERAKSAISKNCRSLLINALRRKNFFKEVIRVEAVSSSGPFDSEKPGSVDWLNWDPISSGEGDLLLDDMAKSFSASGNVSKAVKAIDFDSVIDNMPSDGFQVKDLISGTKTASQSLAKFKNILSTTVPVELSITTDRLSEKPSKLDLLRETNKASLDDTPSEHLTSLYRDSQKLHLAKDATKTSTDSEEVVTNTTTIEEEPETHNGSDVVFASVETESLGLQSDNCIPGYEQNAALVNENLRIEASGDALNAVMLNENVTSQTSAMDIESDAACNAATLEISPPSEDNNLPSIQKKDSKSLKGSDVNFGAETIKVDDVVVHMDVDMEHQENASLVDNISESISSRGPEDDGVIADQISFQWSNDEMGVKDDSVVKNHENQVELVMEEQKLAEDGDRMNDPSSVISDESFPKEVLGRELTTVPEDDGGLADLNPFPESNEEMKAKDITSTTTNEIQEVALEGRKHGEHDSKSNELILGQRRLGKLSASRRTPHRVHLFPLRRNLYPVSFKRKAIKSKRRVKME >EOY32276 pep chromosome:Theobroma_cacao_20110822:9:25641324:25647300:1 gene:TCM_039976 transcript:EOY32276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MISKTLFELYKGRKPNISHPRSFGCKYFVLNIGKHTLEKFDARSDEVVLDDDDDADDIEKKMEKMSLDNKENDGESSKEKDDNEPLLEDLPTNHPIVGTKWVFKNKMDELGNVSIGTPMSPSTKLDKDDKGKDMDQKLYRGMIGSLLYLTANRPDILFSVCLCARFQSCPKESHLIAVKRIFRYLLDTQSLGLWYPKGSSFNLVGYSDADFAGTKTNQKSTSGTCQFLGNMLVSWSCKKQNSVALSTVEAKYISLGSCCAQILWIRQQLNDFGMAIHKVPIYCDNMSAINISKNPIQHSKTKHIKIKHHFIRDHVLRGDIEIDFVYTLCQLDDIFTKPLNEE >EOY31809 pep chromosome:Theobroma_cacao_20110822:9:14715922:14719908:-1 gene:TCM_038990 transcript:EOY31809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 2, putative MDYQPNTSLRLSLPSSQLNLELVLEPSSSSSSPHSLAEPRIFSCNYCQRKFYSSQALGGHQNAHKLERTLAKKSRELNSAVRTHGGSNSQSGSSSVSGSDHAQRMLSPIAPFEQHGRAGRFAGEISLGRRDIHYGSREGIASWSKGYRPENVQEEFSQLDLSLRL >EOY34054 pep chromosome:Theobroma_cacao_20110822:9:39801827:39802617:-1 gene:TCM_041852 transcript:EOY34054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 KKFSIRVLNLKKFIISDLPFSFSFGTQRAAGDTRWQMA >EOY34432 pep chromosome:Theobroma_cacao_20110822:9:41075212:41082401:-1 gene:TCM_042114 transcript:EOY34432 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter family protein MVLIIELANYEDDDFDDDISTDGQNQNLNNNPAAVQLFSDLAFSRFSNISYVFKDDIKKQFGFCITDVDADWNEAFNFSKNTNFLANCAKTTKGDMLQRLCTAAEIKFYFNSFYQSGGQAKKAFLKPNKNCNLSSWLSGCEPGWACSAGKDKKVDLKNSKDMPVRVQTCLPCCEGFFCPRGITCMIPCPLGSYCPTAKLNKTTGVCDPYRYQLPPGKPNHTCGGADVWADITSSSEVFCSAGSYCPSTIQKLPCSSEHYCRTGSTAQQKCFRLATCNPMSSNQNITAYGLMLFAGLSFLVVIIYNCSDQVLATREKRKEQSREKAVQSVRETAQAREKWKSAKDIAKKHAIGLQTQLSRTFSKRKSQKQPDLTRGVSQAKPGTDAALPPMPFGASQQSKNKEKGNLTKMLHEIEDNPESHDGFNIDIGDKQVKKNAPRGKQLHTQSQMFRYAYGQIEKEKALQEQNKNLTFSGVISMANDIEITKRLTIEVAFKDLTITLKGKNKHLMRSVTGKLSPGRVSAVMGPSGAGKTTFLSALTGKAPGCIMTGRVLINGKDEPIQAYKKIIGFVPQDDIVHGNLTVAENLWFSARCRLAADLPKPEKVLVVERVIESLGLQAVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRREALEGVNICMVVHQPSYTLFRMFDDLILLAKGGLTVYHGSVKKVEEYFASLGITVPERVNPPDYFIDILEGIVKLNTSTGLTTKQLPVRWMLHNGYPVPMDMLKSIEGMAASGENSAHGGSSHGGTSDAQSFADDFWQDVKCSVETKKDNLQHNILKSIDLSQRETPGVFKQYRYYLGRVGKQRLRDARTQAVDFLILLLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIAALRSFSLDKLHYWRERSSGMSSLAYFLAKDTIDHFNTIVKPLVYLSMFYFFNNPRSSVTDNYFVLVCLVYCVTGIAYVLAILFQPGPAQLWSVLLPVVLTLIATHDGNSKAVDVIADLCYTKWALEAFVVSNAKRYSGVWLITRCGSLLQNGYDLNHFGRSLIFLVLTGILSRTVAFFCMVTFIKK >EOY31162 pep chromosome:Theobroma_cacao_20110822:9:7299302:7310996:1 gene:TCM_038147 transcript:EOY31162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKDADSMGPEVAAGRWMMGGVLKIGKYSFADQTRMGWEREVLSLSFETRRKVLHGDDEWAGFICGVQSDSAAISGLIVIRLPCEKLLT >EOY34464 pep chromosome:Theobroma_cacao_20110822:9:41206515:41210218:1 gene:TCM_042139 transcript:EOY34464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein isoform 1 MTVLKRYVLRLFISLKYITANVVDRNNGRIVATSSTVEHAIKNSLECGRSCNAKAATIVGEVLAMRLKVEGLEQGQGRGIHVDVNKEVEKKGFKNRTKVWAVVNALKNNGVKVVLEDNEDNPSQPSY >EOY34463 pep chromosome:Theobroma_cacao_20110822:9:41208704:41210046:1 gene:TCM_042139 transcript:EOY34463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein isoform 1 MTVLKRYVLRLFISLKYITANVVDRNNGRIVATSSTVEHAIKNSLECGRSCNAKAATIVGEVLAMRLKVEGLEQGQGRGIHVDVNKEVEKKGFKNRTKVWAVVNALKNNGVKVVLEDNEDNPSQPSY >EOY34462 pep chromosome:Theobroma_cacao_20110822:9:41206567:41210117:1 gene:TCM_042139 transcript:EOY34462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein isoform 1 MTVLKRYVLRLFISLKYITANVVDRNNGRIVATSSTVEHAIKNSLECGRSCNAKAATIVGEVLAMRLKVEGLEQGQGRGIHVDVNKEVEKKGFKNRTKVWAVVNALKNNGVKVVLEDNEDNPSQPSY >EOY34465 pep chromosome:Theobroma_cacao_20110822:9:41206447:41210029:1 gene:TCM_042139 transcript:EOY34465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein isoform 1 MTVLKRYVLRLFISLKYITANVVDRNNGRIVATSSTVEHAIKNSLECGRSCNAKAATIVGEVLAMRLKVEGLEQGQGRGIHVDVNKEVEKKGFKNRTKVWAVVNALKNNGVKVVLEDNEDNPSQPSY >EOY32297 pep chromosome:Theobroma_cacao_20110822:9:26250884:26255170:-1 gene:TCM_040035 transcript:EOY32297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein, putative MGVTFSYPFAKCSDVENGLESVIVKSISFGDDEVKTPVRSISFKSIDSEPTILKSVGSGKMILEGSVSFKGIELERMLSGKTQLGRAENLSIKAIGLKSKAIDIQTPKPDTSIETPKQLPVLDPSNPQHEAAIRLQKVYKSFRTRRKLADCAVLVAQSWWELLDFAELKRSSISFFDIDKHETAISRWSRARTKAAKVGKGLSKNDKAQKLALQHWLEAIDPRHRYGHNLHFYYNQWLQSQSQEPFFYWLDIGEGKEVNLEKCPRLKLQQQCIKYLGPMERKPYEVIVVDGKFIYKQTRKLLHTTGEDRDAKWIFVLSTSKILYVGMKKKGKFQHSSFLAGGATIAAGRLVVDSGVLKAVWPHSGHYRPTEENFNDFISYLRENNVDLTDVKMTPLDEDESLANKQRSSNHLRCNSSEEDFILETEEVRVKDSIKVVVDSRVQETRAALERPRSSRLLNLSRKLTNLEIPRRSELFEMSDVDHRAVVSCNNDNSVDSPLEDGYETEEETIASEQDSMVPKQKIDKEVEDIPEESILQRINSKKGLKSYQLGKQLSCKWTTGAGPRIGCVRDYPSELQFRALEQVNLSPRSASDTKSYFSPRSASSPSPKVSTPAGAREEMRTLSLPVLKKENLLQRSIHSRMQSSALLRGALVCNIP >EOY29728 pep chromosome:Theobroma_cacao_20110822:9:2172065:2176811:-1 gene:TCM_037179 transcript:EOY29728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase/Bleomycin resistance protein/Dioxygenase superfamily protein isoform 1 MLMGTLPIASASSLSFSRKRPSFPSNSVTYSSVCYIRNCYNHGPSRRLALFQLGTAIPQSQLFGSKAAKLLRAEGNSIESTSGNMATAVKEQDALNWVQNDKRRMLHVVYRVGDLAKTIKFYTECLGMKLLRKRDIPEEKYSNAFLGYGPEDSHFVVELTYNYGVDKYDIGNGFGHFGIAVEDVAKTVDLIKAKRGNVTREPGPVKGGYTIIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLDRSINFYKKAFGMELLRKKDNPEYKYTIAMMGYGPEDKNAVLELTYNYGVTEYDKGNGYAQIAIGTDDVYKTAEAIKLCGGKITREPGPLPGINTKITACLDPDGWKSVFVDNIDFLKELE >EOY29727 pep chromosome:Theobroma_cacao_20110822:9:2173808:2176863:-1 gene:TCM_037179 transcript:EOY29727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase/Bleomycin resistance protein/Dioxygenase superfamily protein isoform 1 MLMGTLPIASASSLSFSRKRPSFPSNSVTYSSVCYIRNCYNHGPSRRLALFQLGTAIPQSQLFGSKAAKLLRAEGNSIESTSGNMATAVKEQDALNWVQNDKRRMLHVVYRVGDLAKTIKFYTECLGMKLLRKRDIPEEKYSNAFLGYGPEDSHFVVELTYNYGVDKYDIGNGFGHFGIAVEDVAKTVDLIKAKRGNVTREPGPVKGGYTIIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLDRSINFYKKAFGMELLRKKDNPEYKYTIAMMGYGPEDKNAVLELTYNYGVTEYDKGNGYAQIAIGTDDVYKTAEAIKLCGGKITREPGPLPGINTKITACLDPDGWKSVFVDNIDFLKELE >EOY29277 pep chromosome:Theobroma_cacao_20110822:9:620708:621960:1 gene:TCM_036867 transcript:EOY29277 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAB-dependent poly(A)-specific ribonuclease subunit PAN3 MCPTKQKHRASAAESASQYSRSGSTATTTTTTTTASSIPDWIAESINGGSLRHVDLDKGTNGWASPPGDHFSLRSKNYLTKRQKSPAGDYLLVPLGMDWLKSTSKLDDVLSRPDNRVSHALSKAQSQGKSMKSFIFAINLQVPGKDHYSAVFYFAAEDPIPSGSLLYRFINGDDAFRNQRFKIVNRIVKGPWIVKKAVGNYAACLLGKALTCNYHRGANYLEIDVDIASSAIANAILHLALGYVTSVTIDMGFVVEGQTENELPEKLIGAVRVCQMEMSSATLIDPLTPPIQTAAARGMGCSKVNHHKSGDEDDNQI >EOY33875 pep chromosome:Theobroma_cacao_20110822:9:39224365:39225138:-1 gene:TCM_041716 transcript:EOY33875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPMAGPHGPKLGTTIHIDLDHHTVPSVSPGISVLPISDDLFDVDNSPTDFIAVMSHPNISCKALIQDVINERLQEKEKRSMI >EOY32482 pep chromosome:Theobroma_cacao_20110822:9:30049748:30068788:-1 gene:TCM_040415 transcript:EOY32482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGRGAPPCTLLGRFNVTLSVIDRAQSHSADGQGLIMCGGAPTAHVMSRKDGSPDTPHSTSKRSLDSTAQSRYMLKGESITSNLTQFLSGSVPPRGKPLFKSLMSLARLVRNEINPRKKNTNKMEKKRIISNYRPTKVSVSVVRNFPPGCGRGGTPVSKEEFERNSFSRIPWLGHPTESTKAVKEKFIYA >EOY32481 pep chromosome:Theobroma_cacao_20110822:9:30030303:30033958:1 gene:TCM_040413 transcript:EOY32481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRIRKRLPSFPTIPSSVDSSEDVPSQKEEMEVSSLPAPGDRTAREAPEEVDTEWQSIMTRAHRLLGCSSYGSNHTIQSHVQEEHSSTNPVNNGEIGIEIQSTNGRNKKRLLEQENPRQTMAIEQGGGNARKQISNLFSNSQMMGNCSGTTICNTKGTTVSSSSCQGDAKRIFLGNSKHEGAETTMRTGGGRWKEWTDNLMAGSPRLACPKGSGGYQDEGEEDEEYSSGPIKKKVKTRKLTSIYADIRSLMPSSSKVNESEEADGKSSGED >EOY31674 pep chromosome:Theobroma_cacao_20110822:9:11878777:11886790:-1 gene:TCM_038716 transcript:EOY31674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRVGTKVQLSKLMKLKPLTFSRSNVSHDAQDFFDDIEEARAHEFEMLVQTLSMTVLEYNIKFIELSRYASYLVAIEEIKVMRFVNGLPLKLEERLKELGVEVLVVHPKAKDLEPKGQLTNRQSKKTIQILEDMLRACVIDFGVSWDRQLPLIEFAYNNSFQASIQMAPYEALYGHRCRLPICWFETGTSVETCYFSSCVSCFGTTKVPIGSIACDTTGVPTIGRCLSYGKVLVDILDEQVKKLRSKEMASVKVQWCNHSSEEVTWEIEDDMRAMYKHLFHEDQ >EOY32198 pep chromosome:Theobroma_cacao_20110822:9:23054779:23063810:-1 gene:TCM_039796 transcript:EOY32198 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein isoform 1 MGWVSARDRVNFWLVCLLCMLCSVSGIGVNWGTQSSHSLPPDIIVNLLKDNGFQRVKLFDADYGTLKALGKSGIEVMVGIPNDMLASVGGSMKAAEKWVAKNVSAHITSNNVNIRYVAVGNEPFLETYNGSYLNITYPALRNIQSALVKAGLGSQVKVTVPLNADVYASSSGYPSGGDFRADIHDLMLMIVNFLSVSSAPFTKNGFGNLPIIVGEIGWPTDGDRNADVEYARRFNQGFMSHISGGRGTPMRPGPIDAYLFSLIDEDDKSSAPGNFERHWGIFTFDGEAKYPLNLGTTNSGALVPAKGVKYLERKWCVMKPSARLDDPQVEPSVSYACGLADCTSLGYGTSCGNLDARGNISYAFNSYFQKNNQLEVACKFPNISMITKTDPTPTAGNCKFEIMIQPYYESAGRDLSVPRSLWTWFMFLFIFC >EOY32197 pep chromosome:Theobroma_cacao_20110822:9:23055145:23063752:-1 gene:TCM_039796 transcript:EOY32197 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein isoform 1 MGWVSARDRVNFWLVCLLCMLCSVSGIGVNWGTQSSHSLPPDIIVNLLKDNGFQRVKLFDADYGTLKALGKSGIEVMVGIPNDMLASVGGSMKAAEKWVAKNVSAHITSNNVNIRYVAVGNEPFLETYNGSYLNITYPALRNIQSALVKAGLGSQVKVTVPLNADVYASSSGYPSGGDFRADIHDLMLMIVNFLSKNGFGNLPIIVGEIGWPTDGDRNADVEYARRFNQGFMSHISGGRGTPMRPGPIDAYLFSLIDEDDKSSAPGNFERHWGIFTFDGEAKYPLNLGTTNSGALVPAKGVKYLERKWCVMKPSARLDDPQVEPSVSYACGLADCTSLGYGTSCGNLDARGNISYAFNSYFQKNNQLEVACKFPNISMITKTDPTPTAGNCKFEIMIQPYYESAGRDLSVPRSLWTWFMFLFIFC >EOY33312 pep chromosome:Theobroma_cacao_20110822:9:36650996:36656170:1 gene:TCM_041268 transcript:EOY33312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MECDPQRFCLGVLFCFFTLVYSATDPNDLKILNDFKKGLDNPELLKWPDNGDDPCGPPPWPHVFCSGDRVSQIQVQNLGLSGPLPQNLNQLTKLFNLGLQKNHFNGKVPTFSGLSELEFAYLDNNEFDTIPADFFDGLSIVRVLALDYNPFNKSTGWSIPKELENSVQLTNLSLVNCNVVGPLPDFLGKLPSLVALKLSYNRLSGEIPASFGESLMQILWLNDQDGGGMTGPIDVVAKMVSLTQLWLHGNQFTGTIPENIGNLTSLKDLNLNRNQLVGLIPESLANMELDNLVLNNNQLMGPIPKFKAGNISYASNSFCQSEPGISCAPEVTALLDFLSGMTYPLNLASQWSGNEPCAGPWMGLSCNPKSQVSIINLPRHNLSGTLSPAVAKLDSLIEIRLGGNSIHGTVPDNFTELESLRTLDLSGNNLEPPFPKFRDSVKVVIEGNPLLTANQTKEPASPTGSPPPASSESPPNHQSGDTESPPSSRSPSPDRDKNSHSSTATAKQVESQSNGFQRFKLVIVAGSAAIAIMVLLVILFSICCCKKRKRASEASSSIVVHPKDPSDPENMVKIAVSNNTTGSLFSKTATSSGSSNSSATQNSHVIEAGNLVISVQVLRKGTKDFAQENELGRGGFGTVYKGELEDGTKLAVKRMEAGVISSKALDEFQSEIAVLSKVRHRHLVSLLGYSIEGNERLLVYEYMPQGALSKHLFHWKNLKLEPLSWRRRLTIALDVARGMEYLHNLARQTFIHRDLKSSNILLDDDFRAKVSDFGLVKLAPDGEKSVATRLAGTFGYLAPEYAVMGKITTKVDVFSYGVVLMELLTGLTALDEDRSEESRYLAEWFWRIKSNKEKLMAAIDPALEVNDETYESIATIAELAGHCTAREPYHRPDMGHAVNVLAPLVEMWKPVHDESECHSGIDYSQPLSQMLKVWQAAESQGLSYASLDDSKGSIPAKPTGFADSFTSADGR >EOY31474 pep chromosome:Theobroma_cacao_20110822:9:9018437:9023172:1 gene:TCM_038405 transcript:EOY31474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 3 protein isoform 4 MAGITTSFPFKPLPTRPTKTIPLLRSSLESKLFGLHFRNANSFSSSSSSLHCSAAITARYGGDSRFSGPGRSRRNDEDQALDISGIRSDSVRLIDEQQNMVGIVSKSQAVEMAEDAELDLVILSPDADPPVVRIMDYNKYKYEQQKKKKLQQKKTNRMDLKELKMGYNIDQHDYSVRLKAARKFLKDGDKVKVIVNLKGRENEFRNMAMELIRRFQNDVGELAAEESKNFRDRNIFIVLVPNKALLQKAQDPGKKKDKPTKNEVSAGV >EOY31475 pep chromosome:Theobroma_cacao_20110822:9:9018437:9023172:1 gene:TCM_038405 transcript:EOY31475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 3 protein isoform 4 MAGITTSFPFKPLPTRPTKTIPLLRSSLESKLFGLHFRNANSFSSSSSSLHCSAAITARYGGDSRFSGPGRSRRNDEDQALDISGIRSDSVRLIDEQQNMVGIVSKSQAVEMAEDAELDLVILSPDADPPVVRIMDYNKYKYEQQKKKKLQQKKTNRMDLKELKMGYNIDQHDYSVRLKAARKFLKDGDKVVKVIVNLKGRENEFRNMAMELIRRFQNDVGELAAEESKNFRDRNIFIVLVPNKALLQKAQDPGKKKDKPTKNEVSAGV >EOY31477 pep chromosome:Theobroma_cacao_20110822:9:9018449:9022223:1 gene:TCM_038405 transcript:EOY31477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 3 protein isoform 4 SHFRVCQAPHSTQKSQSIAMAGITTSFPFKPLPTRPTKTIPLLRSSLESKLFGLHFRNANSFSSSSSSLHCSAAITARYGGDSRFSGPGRSRRNDEDQALDISGIRSDSVRLIDEQQNMVGIVSKSQAVEMAEDAELDLVILSPDADPPVVRIMDYNKYKYEQQKKKKLQQKKTNRMDLKELKMGYNIDQHDYSVRLKAARKFLKDGDKVKVIVNLKGRENEFRNMAMELIRRFQNDVGEVAYSELNK >EOY31476 pep chromosome:Theobroma_cacao_20110822:9:9018507:9021881:1 gene:TCM_038405 transcript:EOY31476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 3 protein isoform 4 MAGITTSFPFKPLPTRPTKTIPLLRSSLESKLFGLHFRNANSFSSSSSSLHCSAAITARYGGDSRFSGPGRSRRNDEDQALDISGIRSDSVRLIDEQQNMVGIVSKSQAVEMAEDAELDLVILSPDADPPVVRIMDYNKYKYEQQKKKKLQQKKTNRMDLKELKMGYNIDQHDYSVRLKAARKFLKDGDKVVSVFLL >EOY29146 pep chromosome:Theobroma_cacao_20110822:9:222272:223173:1 gene:TCM_036781 transcript:EOY29146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQKSINIQSNYRCLQRKITSKKKKKKKQKVVIRELLIASEVSFLSPFVIISNTIGDQKRYHSALVYNFMIAAAWLASLAREWLANHPRNYLFYGPTGHHLSQLNHSRFVTIKS >EOY34417 pep chromosome:Theobroma_cacao_20110822:9:41010917:41018861:-1 gene:TCM_042098 transcript:EOY34417 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain 8, putative MDMSKFRPESHVAQQSRRDKLRVQQSSNLVQYLEDFPNSLEQGSSVHPELNPDLVQVRNVRNANLLYDPTLVSSSVIHFSTNSNILTPQRDAMLQQELQTAQQNRQNPAEESSFSGMSHTILSKLNASSKVSGDPQGCGNWKSVDSQHSCDWMVGYASGLADRESNQNPMFVGEVLSNNARESNMSAATQYLKPNYSAYQDVQSTLSNPGSEISSHESKKHYGDLHFVSPSLYQNALQDVVTTSSIATQGLEVASLVQPNVRETARGSWIDYCGNQSSSLHFDNAGAWMNRPLVEHCQQWGGELGFLASKSSEELRTGASDATTQGLSLSLSSNPTPKICGAGQFAEEYGSDHGFNSKPGEFRDSQDSKSSKPGYLYSMQKPSVTSKSSGKSHQDTGGTSTYAYRHTGPLGPFTGYATILKNSRFLKPAQELLDEFCHMTNAKIVKICDASEGISGELSVSASADAANAVDMEAGASKGNNSGASSSSFYSSNEIRVDVGIGSSSGEPCRPEYQQKKAKLLYLQEEVCRRYKLYHQQMQMAVSSFESVAGLNAATPYISLALKTVTRNFRCLRNAISDQIRHISRALGEEFLSPTTGTSSSKGDINMSRLKFVGQKSGGVNMGFLEPQQHGWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLESKGLAEGQNSSKNDGKSGEGGPSWLNEDQSINRSCINVLSDKQLACSDMHVEGITGEEHWNHEKRSRMDFHIPTTMEGSLMGFAPYQPSRLEMGGLGAVSLTLGLRHGVESAQQHQQQYQRQEDQLRPQFGGQMIHDFAG >EOY31084 pep chromosome:Theobroma_cacao_20110822:9:6923251:6923913:-1 gene:TCM_038092 transcript:EOY31084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQECLMCMNISSIILCKKIMQKDFPHGGLDFFKDNLCPPNIFPKSSSVTCGLSLMYPARVTFNIYEIYTIGWLISSYTPSFTKSWPPKNF >EOY31366 pep chromosome:Theobroma_cacao_20110822:9:8379786:8383560:1 gene:TCM_038307 transcript:EOY31366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A regulatory B subunit family protein MLRQFLSKLPRKSGKSDSPELARSNSCTTAGSTPQTHRSNSLNSGSVRPCAAKRTSSAVFPASVVAGIEPLLPFKDVPNSEKMNLFVSKVSLCCVTFDFTDPAKSSIEKDVKRQTLLELLDFVASGSVRFSEPAILAMCRMCAVNLFRVFPPNYRSSVSNGAENDDDEPMFDPAWPHLQIVYDLLLKFITSSCLDAKLAKKYIDHSFILRLLDLFDSEDPRERECLKTILHRVYGKFMVHRPFIRKAISNIFYRFVFETERHNGIAELLEIFGSIISGFALPLKEEHKIFLWRVLIPLHKPKTLGVYFQQLSYCVTQFIEKEPKLSSTVIRGLLKYWPITNSQKEVMFLGELEEILEAINMVEFQRVMVPLFWRIGCCINSFHFQVAERALFFWNNDQIVNLIAHNRHVILPVILPFLEKNAQNHWNQAVLNLTLNVRKIFMEMDDVLFMSCHTHFKEEEAKLSILAEKRKEAWERLENAASLQPITGNTAVLVTPLATSIAC >EOY32035 pep chromosome:Theobroma_cacao_20110822:9:19321674:19328718:1 gene:TCM_039448 transcript:EOY32035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis related homeodomain protein A, putative isoform 1 MRTVATSFSFDTAEISMRGTGKKVVDHESAKSSSSKKEAGSKLIATLQFKKRSKISHGRVRKPKYHVKKVGSALLKTKVNASISKGTGNDVSSSKKVGTKTNLHKANKKGSSKKLDSCKLQGKNAASGSSEENSKKANEDVRIKNLTKKKKKRQKDKVELDEASRLQRRTRYLLIKMKLEQNLIDAYSGEGWKGQSREKIKPEKELQRAKKQILKCKLGIREAIRQLDSLSSVGSIEGSVIAPDGSVYHEHIFCAKCKLHEAFPDNDIVLCDGTCNCAFHQKCLDPPLDTENIPPGEQGWFCKFCDCKMEIIEAMNAHIGTHFSVDSHWQDIFKDEAAFPDGVIASLNPEEEWPSDDSEDDDYDPERRENSCGISGAALDGDDTDDTDSSTSLSWSVDGEDFSGSGRRENHSVDSGADSYETSDGEIISGRRRRRAVDYKKLYDEMFGKDAPSYEQVSEDEDWGPGKRKRREKESDAASTLMTLYESETKYPNVETTEMRGQLPSDPKSRRPIFRIPPTAVEKLRQVFAENELPSRVIKENLSKELGLEPEKVNKWFKNARYLALKSRKVERADHLQSSSPGVSKESELEASKRKDPNIPQLEDTSKKTLLHTPKRLKKKVRKSPNSKSLHSSLRRSAQNGLSLVSPANSNKVRKELSDDVILKKLLKGKKKREKKRVNITVGGGLQQFELEMERLCRVKVRLENMRQTLLRLETSKARKLNKNRLQEESVIYIPIAEVKQKV >EOY32036 pep chromosome:Theobroma_cacao_20110822:9:19321674:19328514:1 gene:TCM_039448 transcript:EOY32036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis related homeodomain protein A, putative isoform 1 MPPLPLYAEQRTVATSFSFDTAEISMRGTGKKVVDHESAKSSSSKKEAGSKLIATLQFKKRSKISHGRVRKPKYHVKKVGSALLKTKVNASISKGTGNDVSSSKKVGTKTNLHKANKKGSSKKLDSCKLQGKNAASGSSEENSKKANEDVRIKNLTKKKKKRQKDKVELDEASRLQRRTRYLLIKMKLEQNLIDAYSGEGWKGQSREKIKPEKELQRAKKQILKCKLGIREAIRQLDSLSSVGSIEGSVIAPDGSVYHEHIFCAKCKLHEAFPDNDIVLCDGTCNCAFHQKCLDPPLDTENIPPGEQGWFCKFCDCKMEIIEAMNAHIGTHFSVDSHWQDIFKDEAAFPDGVIASLNPEEEWPSDDSEDDDYDPERRENSCGISGAALDGDDTDDTDSSTSLSWSVDGEDFSGSGRRENHSVDSGADSYETSDGEIISGRRRRRAVDYKKLYDEMFGKDAPSYEQVSEDEDWGPGKRKRREKESDAASTLMTLYESETKYPNVETTEMRGQLPSDPKSRRPIFRIPPTAVEKLRQVFAENELPSRVIKENLSKELGLEPEKVNKWFKNARYLALKSRKVERADHLQSSSPGVSKESELEASKRKDPNIPQLEDTSKKTLLHTPKRLKKKVRKSPNSKSLHSSLRRSAQNGLSLVSPANSNKVRKELSDDVILKKLLKGKKKREKKRVNITVGGGLQQFELEMERLCRVKVRLENMRQTLLRLETSKARKLNKNRLQEESVIYIPIAEVKQKV >EOY30035 pep chromosome:Theobroma_cacao_20110822:9:3136449:3137932:-1 gene:TCM_037383 transcript:EOY30035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 5 isoform 2 MPKAPISTKCTRKEVNRGAWTAEEDQKLAQVIEIHGPKRWKSVAAKAAGLNRCGKSCRLRWMNYLRPNIKRGNISDQEEDLIVRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKIKQNEKQNRSSRMQEPVLENSKVSDMDTVPKESEEGTFKRDDNDSKSCSIGDIFFNFHSEEPLNLDWMSPFLEMDETWFNFAYI >EOY30034 pep chromosome:Theobroma_cacao_20110822:9:3136448:3137792:-1 gene:TCM_037383 transcript:EOY30034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 5 isoform 2 MPKAPISTKCTRKEVNRGAWTAEEDQKLAQVIEIHGPKRWKSVAAKAGLNRCGKSCRLRWMNYLRPNIKRGNISDQEEDLIVRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKIKQNEKQNRSSRMQEPVLENSKVSDMDTVPKESEEGTFKRDDNDSKSCSIGDIFFNFHSEEPLNLDWMSPFLEMDETWFNFAYI >EOY31136 pep chromosome:Theobroma_cacao_20110822:9:7178877:7179741:-1 gene:TCM_038129 transcript:EOY31136 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA transcriptional regulator family protein, putative isoform 1 MCMELQLGLALPSTPPIPIKMFDLNSYGYEPREALGSNILSWPLLKLGPCDINNINSSSSSSSSSSGGSRKRGFDEVVLFDENRAVPKTLPLLLWSNQPNDEDDPKDLEDNSSSAIFKNDGEGLVGWPPVKTWRKKQRRQIPDGGAQNNRVAAAADNGCGVRASNSTFVKVKIEGVAIARKIDLSVHHSFETLTSTLMRMFGICK >EOY31135 pep chromosome:Theobroma_cacao_20110822:9:7178203:7179965:-1 gene:TCM_038129 transcript:EOY31135 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA transcriptional regulator family protein, putative isoform 1 MCMELQLGLALPSTPPIPIKMFDLNSYGYEPREALGSNILSWPLLKLGPCDINNINSSSSSSSSSSGGSRKRGFDEVVLFDENRAVPKTLPLLLWSNQPNDEDDPKDLEDNSSSAIFKNDGEGLVGWPPVKTWRKKQRRQIPDGGAQNNRVAAAADNGCGVRASNSTFVKVKIEGVAIARKIDLSVHHSFETLTSTLMRMFGISDENWKCFRLTYLDREGDWLLAEDVPWRTFIRSLKCLKLIRIRG >EOY33977 pep chromosome:Theobroma_cacao_20110822:9:39540556:39543675:1 gene:TCM_041796 transcript:EOY33977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein, putative MSSTSSYWCYSCTRLVRVLAVDNGTNGNVACPYCDGGFIEEIESSDNHNRRFPAMYMITSNDNSRQNSNRTRNLVFRRNRRSSVDRSNINPIIVLRGAADATDDENNNTNGSNNSGFEFFYDDGSGSGLRPIPTSMSESLMGLGFDRLLEQLSQIEITGLGQPENPPASKSAIESMPTIQISSTHVCSETHCAVCKEPFELGTEAREMPCKHIYHEDCIIPWLSLRNSCPVCRHEMPSDRIESNDDASETVGLSIWRLPGGVFAVGRFRGEREVPVVYTEMDGAFGESGSGHGAPRRVSWVGVRRRESGLRRVVRNVTSFLRGLRSQSRRGSEESGGLTRSGSTSMLSSFTRSRSRSSSSVLE >EOY29333 pep chromosome:Theobroma_cacao_20110822:9:779296:780982:-1 gene:TCM_036905 transcript:EOY29333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPFNIDSTLKKLKSKGIQPNLAPFRALTLIRLPEKIFHQAGTNQRQLRKTNLVEAKLLHIGGFIKWEVVKDLTGSEVKTVSSGVSRMFCSLNKKSEASPVSATNNRNLPVQADSNERNKVETVTSVVSSMFCSLNKKSEACPVSATNNGNLPVQGDSNERNMLKSAFDECNNIVCKSCRLSAALAAMQNHLSPCNKIPSSQERLVGATRDGSNFLFNPFTRQQIRLPFHPRLADFSNSFSFINFPFLTSCIRTAVILPPSSLQVITMVLQTLTWRALCHCKAQDEFLTHFRDMERLSSRMLLTTRGKLHSVSSDFELLLEFGTSSESSERLSLLSPSGLFWRHIAVSYIWSNLVVNCRC >EOY31246 pep chromosome:Theobroma_cacao_20110822:9:7712380:7718844:-1 gene:TCM_038205 transcript:EOY31246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated (UBA)/TS-N domain-containing protein MAEEERSRRLARVKTAATALSKRHVDGSLPIEDFNIELEDQGGQTVQLGTETDQRAVMMGLMLHANAKNLIRRQLYKDALEVLTMGEEAFSLCDPKVLELVDNVPMLQIDMVWCYFLLRDISWLSVAGIRIEKAREGLERCHGKDLSRVRLLQAGCQPELALHMRLELLEGVVAYHNGQFDESRKALTSAQAKFSQLQVPDEALSHVMSMGFKEHDARRALRLNNQDIGSAVDFLFDEKAKRAQKREDDIRHRMEIMEQKQYGVTPLKKAVNLEKLKELVAIGFEKNLAAEALRRNENDFQKALDDLTSPETNSAIQLDIESRKRKRMQRTANARIEELVSMGFDRSRVVAAVQDGETMEQTISRLLSESEQLPTVDANSNGNLASEPNNVNPDSLTNNDTDEGPSTAGEEERDVEMEDEIAKEIEIADALSDYDIEITKEGEAINEYLALLASTDNGNKALSS >EOY31937 pep chromosome:Theobroma_cacao_20110822:9:17970299:17985498:-1 gene:TCM_039301 transcript:EOY31937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin 4 isoform 1 MSVSMRDLDSAFQGAGQKAGIEIWRIENFLPVPVPKSSYGKFFMGDSYVILKTTTLKSGALRHDIHYWLGKNTTQDEAGAAAVKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFKHVEEEEHKTRLFVCRGKHVVHVKEVPFARSSLNHDDIFILDTKAKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAIEDGKLMADAETGEFWGFFGGFAPLPRKTASEEDKTVGSHPTKLLSVEKGQAVPVEADSLTRELLETNKCYILDCGLEVFVWMGRSTPLDERKSASGAAEELIRASDRVKSHIIRVIEGFETVMFRSKFESWPLATNVAVSEDGRGKVAALLQRQGVNVKGLLKAAPVKEEPQPYIDCTGNLQVWLVNGQEKVLLPAADQSKFYSGDCYIFQYSYPGEDKEEYLIGTWFGKQSVEEERVSAVSLASKMVESMKFLAAQACIHEGSEPIQFFSIFQSFIVFKGGHSDGYKNYIAEKEIPNGTYTEDGVALFRVQGSGPENMQAIQVEAVGSSLNSSYCYILHSASTVFTWAGNLTSPDDQELVERQLDLIKPNLQSKPQKEGSESELFWELLGGKSEYPSQKISREPEGDPHLFSCTFAKGNLKVMEIYNFTQDDLMTEDIFILDCHSDIFVWVGQQVDTKTKLQALTIGEKFLEQDFLLENLSRETPIYIVMEGSEPPFFTRLFTWDSAKFTMHGNSFQRKLTIVKNGGTPVMDKPKRRTPVSYGGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAATFENPNARNLSTPPPMVRKLYPKSVTPDSGKLASKSAAIAALTASFEQPPSARETIIPRSVKVSPPAPKSTPEPNLKENSMSSRLESLTIQEDVKEGEAEDEEGLPVYPYERLKVTSTDPVSEIDVTKRERLTCHPRSSRRSLG >EOY31936 pep chromosome:Theobroma_cacao_20110822:9:17970290:17985776:-1 gene:TCM_039301 transcript:EOY31936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin 4 isoform 1 MSVSMRDLDSAFQGAGQKAGIEIWRIENFLPVPVPKSSYGKFFMGDSYVILKTTTLKSGALRHDIHYWLGKNTTQDEAGAAAVKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFKHVEEEEHKTRLFVCRGKHVVHVKEVPFARSSLNHDDIFILDTKAKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAIEDGKLMADAETGEFWGFFGGFAPLPRKTASEEDKTVGSHPTKLLSVEKGQAVPVEADSLTRELLETNKCYILDCGLEVFVWMGRSTPLDERKSASGAAEELIRASDRVKSHIIRVIEGFETVMFRSKFESWPLATNVAVSEDGRGKVAALLQRQGVNVKGLLKAAPVKEEPQPYIDCTGNLQVWLVNGQEKVLLPAADQSKFYSGDCYIFQYSYPGEDKEEYLIGTWFGKQSVEEERVSAVSLASKMVESMKFLAAQACIHEGSEPIQFFSIFQSFIVFKGGHSDGYKNYIAEKEIPNGTYTEDGVALFRVQGSGPENMQAIQVEAVGSSLNSSYCYILHSASTVFTWAGNLTSPDDQELVERQLDLIKPNLQSKPQKEGSESELFWELLGGKSEYPSQKISREPEGDPHLFSCTFAKGNLKVMEIYNFTQDDLMTEDIFILDCHSDIFVWVGQQVDTKTKLQALTIGEKFLEQDFLLENLSRETPIYIVMEGSEPPFFTRLFTWDSAKFTMHGNSFQRKLTIVKNGGTPVMDKPKRRTPVSYGGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAATFENPNARNLSTPPPMVRKLYPKSVTPDSGKLASKSAAIAALTASFEQPPSARETIIPRSVKVSPPAPKSTPEPNLKENSMSSRLESLTIQEDVKEGEAEDEEGLPVYPYERLKVTSTDPVSEIDVTKRETYLSSEEFKEKFGMTKDAFYKLPKWKQNKLKMALQLF >EOY31934 pep chromosome:Theobroma_cacao_20110822:9:17970188:17984861:-1 gene:TCM_039301 transcript:EOY31934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin 4 isoform 1 MSVSMRDLDSAFQGAGQKAGIEIWRIENFLPVPVPKSSYGKFFMGDSYVILKASYFVGESVRGFNDKLCQTTTLKSGALRHDIHYWLGKNTTQDEAGAAAVKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFKHVEEEEHKTRLFVCRGKHVVHVKEASFWTSVPFARSSLNHDDIFILDTKAKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAIEDGKLMADAETGEFWGFFGGFAPLPRKTASEEDKTVGSHPTKLLSVEKGQAVPVEADSLTRELLETNKCYILDCGLEVFVWMGRSTPLDERKSASGAAEELIRASDRVKSHIIRVIEGFETVMFRSKFESWPLATNVAVSEDGRGKVAALLQRQGVNVKGLLKAAPVKEEPQPYIDCTGNLQVWLVNGQEKVLLPAADQSKFYSGDCYIFQYSYPGEDKEEYLIGTWFGKQSVEEERVSAVSLASKMVESMKFLAAQACIHEGSEPIQFFSIFQSFIVFKGGHSDGYKNYIAEKEIPNGTYTEDGVALFRVQGSGPENMQAIQVEAVGSSLNSSYCYILHSASTVFTWAGNLTSPDDQELVERQLDLIKPNLQSKPQKEGSESELFWELLGGKSEYPSQKISREPEGDPHLFSCTFAKGNLKVCIYLSATFQSHISLQVMEIYNFTQDDLMTEDIFILDCHSDIFVWVGQQVDTKTKLQALTIGEKFLEQDFLLENLSRETPIYIVMEGSEPPFFTRLFTWDSAKFTMHGNSFQRKLTIVKNGGTPVMDHCIINLDIQISECKMRDQYNEAFVKPKRRTPVSYGGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAATFENPNARNLSTPPPMVRKLYPKSVTPDSGKLASKSAAIAALTASFEQPPSARETIIPRSVKVSPPAPKSTPEPNLKENSMSSRLESLTIQEDVKEGEAEDEEGLPVYPYERLKVTSTDPVSEIDVTKRETYLSSEEFKEKFGMTKDAFYKLPKWKQNKLKMALQLF >EOY31935 pep chromosome:Theobroma_cacao_20110822:9:17970290:17984858:-1 gene:TCM_039301 transcript:EOY31935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin 4 isoform 1 MSVSMRDLDSAFQGAGQKAGIEIWRIENFLPVPVPKSSYGKFFMGDSYVILKTTTLKSGALRHDIHYWLGKNTTQDEAGAAAVKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFKHVEEEEHKTRLFVCRGKHVVHVKEVPFARSSLNHDDIFILDTKAKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAIEDGKLMADAETGEFWGFFGGFAPLPRKTASEEDKTVGSHPTKLLSVEKGQAVPVEADSLTRELLETNKCYILDCGLEVFVWMGRSTPLDERKSASGAAEELIRASDRVKSHIIRVIEGFETVMFRSKFESWPLATNVAVSEDGRGKVAALLQRQGVNVKGLLKAAPVKEEPQPYIDCTGNLQVWLVNGQEKVLLPAADQSKFYSGDCYIFQYSYPGEDKEEYLIGTWFGKQSVEEERVSAVSLASKMVESMKFLAAQACIHEGSEPIQFFSIFQSFIVFKGGHSDGYKNYIAEKEIPNGTYTEDGVALFRVQGSGPENMQAIQVEAVGSSLNSSYCYILHSASTVFTWAGNLTSPDDQELVERQLDLIKPNLQSKPQKEGSESELFWELLGGKSEYPSQKISREPEGDPHLFSCTFAKGNLKVMEIYNFTQDDLMTEDIFILDCHSDIFVWVGQQVDTKTKLQALTIGEKFLEQDFLLENLSRETPIYIVMEGSEPPFFTRLFTWDSAKFTMHGNSFQRKLTIVKNGGTPVMDKPKRRTPVSYGGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAATFENPNARNLSTPPPMVRKLYPKSVTPDSGKLASKSAAIAALTASFEQPPSARETIIPRSVKVSPPAPKSTPEPNLKENSMSSRLESLTIQEDVKEGEAEDEEGLPVYPYERLKVTSTDPVSEIDVTKRETYLSSEEFKEKFGMTKDAFYKLPKWKQNKLKMALQLF >EOY29294 pep chromosome:Theobroma_cacao_20110822:9:673605:675693:-1 gene:TCM_036881 transcript:EOY29294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGHRNHQEQSHHQAADNLVNLFSKANHDLLVVHYRLEKEFQQIYPDNANPMKLVSRIKKIQEELSSLTEQCRELLSAKQDLIDKARTTLVGNRNLLQHMQAPMGIPVTSDSDDPAFANFNQVSLHFFPQFQAVLPIFQVVSKVVAPLASKQPRSNHWWALLKITPMLRCFIIEEWTVQVRSRIGVSEWDIRPKFSFLLFLDFRSEGILVKETRHKSQSLRMSTNYCSQLLFKATEHLSPGTPGMMSEEIHNELNIPVLPRTLCL >EOY29725 pep chromosome:Theobroma_cacao_20110822:9:2165051:2169184:1 gene:TCM_037175 transcript:EOY29725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase I-like 3 isoform 1 MERIVGEKYKLGRKIGSGSFGEIFLATHIDTGETVAVKIENRQTKHPQLLYEAKLYNILQGGSGIAHMKWCGVNGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEFMHAKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDPTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFQDLFAREGYEFDYIFDWTILKYQQTQRTKTRLQSSDLQPFSGTSNSQAMPMDKLKGIKDASYSGEAMEQRGPRNLGRPDVRMQFRPSVGQNMSEKHMGGSSVMLPTSVALPGSLKKNLPKAEGPTEAANNGRGANKTGASSSWMPSFHRISSAK >EOY29724 pep chromosome:Theobroma_cacao_20110822:9:2164778:2170232:1 gene:TCM_037175 transcript:EOY29724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase I-like 3 isoform 1 MERIVGEKYKLGRKIGSGSFGEIFLATHIDTGETVAVKIENRQTKHPQLLYEAKLYNILQGGSGIAHMKWCGVNGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEFMHAKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDPTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFQDLFAREGYEFDYIFDWTILKYQQTQRTKTRLQSSDLQPFSGTSNSQAMPMDKLKGIKDASYSGEAMEQRGPRNLGRPDVRMQFRPSVGQNMSEKHMGGSSVMLPTSVALPGSLKKNLPKAEGPTEAANNGRGANKTGASSSWMPSFHRISSAK >EOY30818 pep chromosome:Theobroma_cacao_20110822:9:5919353:5922960:1 gene:TCM_037898 transcript:EOY30818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) hydroxyproline-rich glycoprotein family isoform 1 MSKRDGRDSSVPYAALPSNPNQQNVIVLPVYYSRPNQNYRCLRRCLIFTGIVVLLSAAVFFLYPSDPTLQLVRLQLNHVRVNSSPALTLDLSFSLTIRVRNRDFFSLDYDKLVVSVGYRGRELGVVSSEGGRVRARGSSYVNATLDLNGFEVVHDVIYLIADWAKGVIPFDTNTKVDGDLGLFLFKAPIKAEVSCEVYVNTNNQTIVRQDCYAE >EOY30819 pep chromosome:Theobroma_cacao_20110822:9:5919381:5922892:1 gene:TCM_037898 transcript:EOY30819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) hydroxyproline-rich glycoprotein family isoform 1 LTCNSNEYKTTTWYTEEASTPYFRPIHSKISTRNKIQTPTITTKMSKRDGRDSSVPYAALPSNPNQQNVIVLPVYYSRPNQNYRCLRRCLIFTGIVVLLSAAVFFLYPSDPTLQLVRLQLNHVRVNSSPALTLDLSFSLTIRVRNRDFFSLDYDKLVVSVGYRGRELGVVSSEGGRVRARGSSYVNATLDLNGFEVVHDVIYLIADWAKGVIPFDTNTKVDGDLGLFLFKAPIKRQKYHVRYM >EOY33681 pep chromosome:Theobroma_cacao_20110822:9:38569183:38571827:1 gene:TCM_041586 transcript:EOY33681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L9 isoform 1 MATATAASTLSWLHSFGGTQNETTKVTDRNRVFVVFAQKKAKKTRKIILKEDVEYLGKKGELLDVKAGYFRNYLLPMGKAQIITPVLLKEMKMEEERIEAEKQRVKEEAQQLALIFETVGAFKVKRKGGKGKQIFGSVTSQDLVDIIKAQLQRDVDKRIVSLPEIRETGEYVAELKLHPEVTARVRLNVYAN >EOY33680 pep chromosome:Theobroma_cacao_20110822:9:38569257:38571540:1 gene:TCM_041586 transcript:EOY33680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L9 isoform 1 MATATAASTLSWLHSFGGTQNETTKVTDRNRVFVVFAQKKAKKTRKIILKEDVEYLGKKGELLDVKAGYFRNYLLPMGKAQIITPVLLKEMKMEEERIEAEKQRVKEEAQQLALIFETVGAFKVKRKGGKGKQIFGSVTSQDLVDIIKAQLQRDVDKRIVSLPEIRETGEYVAELKLHPEVTARVRLNVYAN >EOY30972 pep chromosome:Theobroma_cacao_20110822:9:6519643:6522357:-1 gene:TCM_038012 transcript:EOY30972 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPPDE thiol peptidase family protein, putative isoform 1 MGTGEVSNSGSWNQNVSNNNEVDVILNVYDLTPLNNYSYWVGFGIFHSGIEVHGKEYGFGAHDFPVSGVFEVEPKSCPGFIYRCSISLGRTNMSFSEFRAFIESVASEYHGDTYHLISKNCNHFTDDMSHRLTGKNIPGWVNRLARLGALCSCLLPENLQVTTVKQLPEYHDIEDGTESLSTATPRDSTEIDDTEQEKHLLSPKDGNLDVAFVKEAQK >EOY30973 pep chromosome:Theobroma_cacao_20110822:9:6519607:6522338:-1 gene:TCM_038012 transcript:EOY30973 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPPDE thiol peptidase family protein, putative isoform 1 FFIFFFLFSVYLLQKRKSFQSLLASTATWIFEAISLSNILRNKEKISGDFGKSFLWQTLFWLIKRKKMGTGEVSNSGSWNQNVSNNNEVDVILNVYDLTPLNNYSYWVGFGIFHSGIEVHGKEYGFGAHDFPVSGVFEVEPKSCPGFIYRCSISLGRTNMSFSEFRAFIESVASEYHGDTYHLISKNCNHFTDDMSHRLTGKNIPGWVNRLARLVGALCSCLLPENLQVTTVKQLPEYHDIEDGTESLSTATPRDSTEIDDTEQEKHLLSPKDGNLDVAFVKEAQK >EOY30873 pep chromosome:Theobroma_cacao_20110822:9:6080079:6085191:1 gene:TCM_037928 transcript:EOY30873 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic protease/crotonase family protein isoform 1 MKCFSVVSVCKLATKSSSTFPLFLFPNNPATSAATATARFPSRAPRSLRFPIYSDTSRSSLGNVSLSSRDRNFSTMAGAEELVKGNVYPNGVAVITLDRPKALNAMNLDMDIKYKQILEEWESDPKVKCVLIEGSSPRAFCAGGDVKQITYKNTLSDMIEVFTAEYTLICKISEYKKPYISFMDGITMGFGIGLSGHGRYRVVTERTVLAMPENGIGLFPDVGFSYIAAQTPGEGSVGAYLGMTGKRISTPSDALFVGLGTHYVPSGNLGSLKEALLASTFSEDPHKVVTPLLAKYSSNPESEAQLKLLLPQITSCFRSDKSVKEIIAELKKHQQSTEASVVEWANEALQGLGKAAPFSLCLTQKYFSRVASGYGKHGNEFTTLKGVMKTEYRIALRSSLRNDFAEGVRAVLVDKDQNPKWNPPSLDEVNEKEVEAVFEPLNPGIEELKV >EOY30872 pep chromosome:Theobroma_cacao_20110822:9:6080073:6085169:1 gene:TCM_037928 transcript:EOY30872 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic protease/crotonase family protein isoform 1 MKCFSVVSVCKLATKSSSTFPLFLFPNNPATSAATATARFPSRAPRSLRFPIYSDTSRSSLGNVSLSSRDRNFSTMAGAEELVKGNVYPNGVAVITLDRPKALNAMNLDMDIKYKQILEEWESDPKVKCVLIEGSSPRAFCAGMDIKGVVGEIQKDRNTPLVPKVFTAEYTLICKISEYKKPYISFMDGITMGFGIGLSGHGRYRVVTERTVLAMPENGIGLFPDVGFSYIAAQTPGEGSVGAYLGMTGKRISTPSDALFVGLGTHYVPSGNLGSLKEALLASTFSEDPHKVVTPLLAKYSSNPESEAQLKLLLPQITSCFRSDKSVKEIIAELKKHQQSTEASVVEWANEALQGLGKAAPFSLCLTQKYFSRVASGYGKHGNEFTTLKGVMKTEYRIALRSSLRNDFAEGVRAVLVDKDQNPKWNPPSLDEVNEKEVEAVFEPLNPGIEELKV >EOY30871 pep chromosome:Theobroma_cacao_20110822:9:6079711:6085178:1 gene:TCM_037928 transcript:EOY30871 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic protease/crotonase family protein isoform 1 MKCFSVVSVCKLATKSSSTFPLFLFPNNPATSAATATARFPSRAPRSLRFPIYSDTSRSSLGNVSLSSRDRNFSTMAGAEELVKGNVYPNGVAVITLDRPKALNAMNLDMDIKYKQILEEWESDPKVKCVLIEGSSPRAFCAGMDIKGVVGEIQKDRNTPLVPKITYKNTLSDMIEVFTAEYTLICKISEYKKPYISFMDGITMGFGIGLSGHGRYRVVTERTVLAMPENGIGLFPDVGFSYIAAQTPGEGSVGAYLGMTGKRISTPSDALFVGLGTHYVPSGNLGSLKEALLASTFSEDPHKVVTPLLAKYSSNPESEAQLKLLLPQITSCFRSDKSVKEIIAELKKHQQSTEASVVEWANEALQGLGKAAPFSLCLTQKYFSRVASGYGKHGNEFTTLKGVMKTEYRIALRSSLRNDFAEGVRAVLVDKDQNPKWNPPSLDEVNEKEVEAVFEPLNPGIEELKV >EOY29317 pep chromosome:Theobroma_cacao_20110822:9:728923:732533:-1 gene:TCM_036894 transcript:EOY29317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSPIVIINSSSGMTTNVAAWGLAQLVGPPPFPQGWSSGLPQILHFPFSLHIYIYIRPQTYIFKGCTSFQCQCNTPHKIQSTDSQKGLISGKKKDKGEGKRLLIKATKRIFGFAT >EOY33603 pep chromosome:Theobroma_cacao_20110822:9:38230505:38232239:-1 gene:TCM_041533 transcript:EOY33603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTEASFLLAIQQIPICLLLKDSKTLERSSKFTVPSQAQCIICFGLLGFPNCSIGPDSPTSRRDFSSDSTKAFHPASAKLQTEGKSQFNGKTS >EOY34260 pep chromosome:Theobroma_cacao_20110822:9:40496019:40496713:-1 gene:TCM_041994 transcript:EOY34260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATASTTPQRKKERNLPPRRGQVKAQIFESVVKTVVSAASKAKQSLGKNKGEGSDGKSTSSTTTTPPQSGYNSEGNGDIS >EOY32756 pep chromosome:Theobroma_cacao_20110822:9:33393078:33395318:-1 gene:TCM_040786 transcript:EOY32756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein, putative MDFLSAISNKSFMVVTYLILGIFISCSHTITGAGAIGVCNGGIADNLPNEQEVVDLYTSNGIGKMRIYDPNQATLQALRGTNIELILGVPNGDLQSVATASAANDWVQKNVVAFSPDVRFRYIAVGNEVKPTDAAAQFVLPAMMNIYNALVSAKLDGQMKVSTSVDTTLLGNSYPPSAGSFSASASSYITPIINFLASTGAPLLANIYTYFAYISDPKTIDPSYALFTAQGTVVQDGALGYQNLFDATLDAFYSAVEAAEGANVEIVVSESGWPSAGETAATVDNASTYYKNLVDHVNKGTPKRPGKPIETYLFAMFDENQKGPAETERHFGLFSPNKQPKYKISFS >EOY29391 pep chromosome:Theobroma_cacao_20110822:9:1023590:1031089:1 gene:TCM_036945 transcript:EOY29391 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRS120 isoform 1 MEPDVSIETSCMIRIAVLPIGDVPPPLLRDYHSMLLRHHAIPLSTISSFYTEHQKSPFAHQPWDSGSLRFKFVLGGAPPSPWEDFQSNRKILAVIGICHCPSSPDLDFVIDQFNAACKGYTSALVERCFAFCPGDSQLEDGKKRENLVLFPPSDRATQEFHLQTMMQDIAASLLMEFEKWVLQAESAGTILKTPLDSQATLSSEEVIKAKKRRLARAQKTIGDYCLLAGSPVDANAHYSTALELARLTADYFWYAGALEGSVCAILVDRMGQKDSVVEDEVRYRYNSVIVHYRKSFIQDNAQRVSPLTFELEATLKLARFLCRRDLAKEVVELLTSAADGAKSLIDASDRLILYVEIARLFGTLGYQRKAAFFSRQVAQLYLQQENRLAAISAMQVLAMTTKAYRVQSRASISRHPLSNETESGHADGGKMHHQSVVSLFESQWSTLQMVVLREILLSAVRAGDPLAAWSAAARLLRSYYPLITPAGQNGLASALSNSAERLPSGTRCADPALPFIRLYSFPLHPSQMDIVKRNPAREDWWAGSAPSGPFIYTPFSKGEPNDNSKQDLIWIVGEPVQVLVELANPCGFDLKVDSIYLSVQSGNFDSFPLSVDLPPNSSQVIMLSGIPTSVGPVVIPGCTVHCFGVITEHLFRDVDNLLLGAAQGLVLSDPFRCCGSPRLRNVSVPNISVVPPLPLLVSHVVGGDGAVVLYEGEIRDVWINLANAGTVPVEQAHISLSGRNQDSVISIAYETLKSALPLKPGAEVTLPVTLKAWRLGLGESDTAAGKSASGSTGRNVKDGSSPSLLIHYAGPLGDAGDLETNKSSVPPGRRLVVPLQICVLQGLSFVKARLLSMEIPAHVGESLSNLANVDGNPLDETVGYGNKIERLVKIDPFRGSWGLRFLELELSNPTDVVFEISVSVQLEKSSNGDDLSVDYAAEYGYPKTRIDRDYFARVLIPLEHFKLPFLDDSIFSKDWQSDGYTGGRNPIFSERNTKAELNASIKNLISRIKVRWQSGRNSSGELNIKDAIQAALQSSVMDVLLPDPLTFGFRLARNGSENASKLDLPKELNTSIQPSASKNFVIAHDMTPMEVLVRNNTKETIKMNLSVTCRDVAGENCVEGTKATVLWAGVLSGITMEVPPLQESKHCFSLYFLVPGEYTLVAAAVIDDANDVLRARAKSKSPDEPIFCRGPPFHVHVDGTA >EOY29392 pep chromosome:Theobroma_cacao_20110822:9:1023971:1030579:1 gene:TCM_036945 transcript:EOY29392 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRS120 isoform 1 MMQDIAASLLMEFEKWVLQAESAGTILKTPLDSQATLSSEEVIKAKKRRLARAQKTIGDYCLLAGSPVDANAHYSTALELARLTADYFWYAGALEGSVCAILVDRMGQKDSVVEDEVRYRYNSVIVHYRKSFIQDNAQRVSPLTFELEATLKLARFLCRRDLAKEVVELLTSAADGAKSLIDASDRLILYVEIARLFGTLGYQRKAAFFSRQVAQLYLQQENRLAAISAMQVLAMTTKAYRVQSRASISRHPLSNETESGHADGGKMHHQSVVSLFESQWSTLQMVVLREILLSAVRAGDPLAAWSAAARLLRSYYPLITPAGQNGLASALSNSAERLPSGTRCADPALPFIRLYSFPLHPSQMDIVKRNPAREDWWAGSAPSGPFIYTPFSKGEPNDNSKQDLIWIVGEPVQVLVELANPCGFDLKVDSIYLSVQSGNFDSFPLSVDLPPNSSQVIMLSGIPTSVGPVVIPGCTVHCFGVITEHLFRDVDNLLLGAAQGLVLSDPFRCCGSPRLRNVSVPNISVVPPLPLLVSHVVGGDGAVVLYEGEIRDVWINLANAGTVPVEQAHISLSGRNQDSVISIAYETLKSALPLKPGAEVTLPVTLKAWRLGLGESDTAAGKSASGSTGRNVKDGSSPSLLIHYAGPLGDAGDLETNKSSVPPGRRLVVPLQICVLQGLSFVKARLLSMEIPAHVGESLSNLANVDGNPLDETVGYGNKIERLVKIDPFRGSWGLRFLELELSNPTDVVFEISVSVQLEKSSNGDDLSVDYAAEYGYPKTRIDRDYFARVLIPLEHFKLPFLDDSIFSKDWQSDGYTGGRNPIFSERNTKAELNASIKNLISRIKVRWQSGRNSSGELNIKDAIQAALQSSVMDVLLPDPLTFGFRLARNGSENASKLDLPKELNTSIQPSASKNFVIAHDMTPMEVLVRNNTKETIKMNLSVTCRDVAGENCVEGTKATVLWAGCSKWNHHGGSSTSRKQTLFFFVLSCPWCSSKWTEMLSIFEQRPWLHVLNCFPNHHFLSPPSTMLFHFRKLICFYQNPVTAEIRDLVLVNGIH >EOY34539 pep chromosome:Theobroma_cacao_20110822:9:41387250:41395473:1 gene:TCM_042176 transcript:EOY34539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-2,6-bisphosphatase isoform 1 MGTGASRNAEGGAHGGEDREESLDQAGGQLYVSLKMENYKKKGDLIPHVYGSVPLVGSWDSSKALSMERESASMWELSFVVPPNHETLDFKFLLKPKYGYTPCIVEEGPNRLLTGGTLQGDARLALFKLDNEEVLEYRVFIKADRVSPFDLAASWRAYQDNLRPSTVRGIPDVSIDAVPESGRGNGCSASLELDLEHYVVPAPSTSANSGLVYAANMAENPRLLSLAGVSVDRPATIKEMEAVIPDPSKVYSGFGMVESKSVGTFSPLQKQDSHRGLFVDRGVGSPRLVKSASATTFSFDLKLDPETKNSMPAAAGAVAAAAVADQMLGPKEDRHLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTNQTADFFRADNPEGVEARNEVAALAMDDMTAWMQEGGQVGIFDATNSSRRRRNMLMKMAEGKCKIIFLETICNDERIIERNIRLKIQQSPDYAEELDFEAGLRDFRNRLANYEKVYEPVEEGSYIKMIDMVSGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESSDNVRGRIGGDGVLSDTGEIYAKKLVNFVEKRLKSERAASIWTSTLQRTILTASPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQQAVLRALYAYFADRPLKEIPHIEVPLHTIIEIQMGVTGVQEKRYKLMD >EOY34538 pep chromosome:Theobroma_cacao_20110822:9:41387206:41395884:1 gene:TCM_042176 transcript:EOY34538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-2,6-bisphosphatase isoform 1 MGTGASRNAEGGAHGGEDREESLDQAGGQLYVSLKMENYKKKGDLIPHVYGSVPLVGSWDSSKALSMERESASMWELSFVVPPNHETLDFKFLLKPKYGYTPCIVEEGPNRLLTGGTLQGDARLALFKLDNEEVLEYRVFIKADRVSPFDLAASWRAYQDNLRPSTVRGIPDVSIDAVPESGRGNGCSASLELDLEHYVVPAPSTSANSGLVYAANMAENPRLLSLAGVSVDRPATIKEMEAVIPDPSKVYSGFGMVESKSVGTFSPLQKQDSHRGLFVDRGVGSPRLVKSASATTFSFDLKLDPETKNSMPAAAGAVAAAAVADQMLGPKEDRHLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTNQTADFFRADNPEGVEARNEVAALAMDDMTAWMQEGGQVGIFDATNSSRRRRNMLMKMAEGKCKIIFLETICNDERIIERNIRLKIQQSPDYAEELDFEAGLRDFRNRLANYEKVYEPVEEGSYIKMIDMVSGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESSDNVRGRIGGDGVLSDTGEIYAKKLVNFVEKRLKSERAASIWTSTLQRTILTASPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPHIEVPLHTIIEIQMGVTGVQEKRYKLMD >EOY34137 pep chromosome:Theobroma_cacao_20110822:9:40019109:40021758:1 gene:TCM_041900 transcript:EOY34137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl binding domain-like protein MKCKSSPSMKNPPPAGEEREAHDPSKTQLQIVDTRPTSSMIRSSVELPRGWVVEQRPRVNSAARPGRVDWVAYSSSSFSFTQNFENFPSYFLQYYCEAGSGRQFRSLTAAQEYLCGDMEYTRRPPRVKPGNENSQIVPHVFRSASPFMLRHDWIFEEKPRTNVRYAGVIDRYYIEPGTGQRFRSMRSVERYLSEMEASGAASEVLKGRRAICRNTFLMGTFPYKIVLKYPEDAKRITTPGPSRPPGSRKKLKSAVEVDPMLDFTSPTAKVKWVLGGSGGSPWNPFMGESVVPEFVQQTWSDTFILFMKDKFCRV >EOY30619 pep chromosome:Theobroma_cacao_20110822:9:5173718:5180202:-1 gene:TCM_037764 transcript:EOY30619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl-tRNA synthetase, class Ic isoform 3 MATGEDECVGNVKVQLAKLFEASLKVTVPNEPDVEPLVAACTGKFGDYQCNNAMGLWSKIKGKGTQFRGPPAVGEAIKNNLPTSEMIESCSVAGPGFVNVVLSKNWMAKSIQKMLIEGIDTWAPMPPVKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVEVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDANETAIGDLQAFYKASKQRFDADPAFKEKAQQAVVRLQGGEDKYRRAWAQICEISRNEFHKVYQRLGVHLEEKGESFYNPYIPEVIEALTKQGLVEESQGARVIFIEGVNIPLIVVKSDGGFNYASTDLAALWYRLNEEKADWIIYVTDVGQQQHFDMVFKAAKRAGWLPHGDGSYPKTSHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKSRSKAALIERGKGEEWTEEEIESTAEAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRRSGKDIEELKNKGTVVLGHKDERDLGLHLLQFAEVVEEACTNLLPNVLCDYLYNLSEIFSKFYSNPECKVIGSDMETGRLLLCEATAVVMRKCFNLLGITPIYKI >EOY30618 pep chromosome:Theobroma_cacao_20110822:9:5173551:5180598:-1 gene:TCM_037764 transcript:EOY30618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl-tRNA synthetase, class Ic isoform 3 MLASLTLLVPPASPSQFLSFNRLSHFHFSPSITVSDLLRVTSRRLAWAAKTQSITTMATGEDECVGNVKVQLAKLFEASLKVTVPNEPDVEPLVAACTGKFGDYQCNNAMGLWSKIKGKGTQFRGPPAVGEAIKNNLPTSEMIESCSVAGPGFVNVVLSKNWMAKSIQKMLIEGIDTWAPMPPVKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVEVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDANETAIGDLQAFYKASKQRFDADPAFKEKAQQAVVRLQGGEDKYRRAWAQICEISRNEFHKVYQRLGVHLEEKGESFYNPYIPEVIEALTKQGLVEESQGARVIFIEGVNIPLIVVKSDGGFNYASTDLAALWYRLNEEKADWIIYVTDVGQQQHFDMVFKAAKRAGWLPHGDGSYPKTSHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKSRSKAALIERGKGEEWTEEEIESTAEAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKGNTAVYLLYAHARICSIIRRSGKDIEELKNKGTVVLGHKDERDLGLHLLQFAEVVEEACTNLLPNVLCDYLYNLSEIFSKFYSNPECKVIGSDMETGRLLLCEATAVVMRKCFNLLGITPIYKI >EOY30620 pep chromosome:Theobroma_cacao_20110822:9:5174043:5179145:-1 gene:TCM_037764 transcript:EOY30620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl-tRNA synthetase, class Ic isoform 3 MDCFSISNREFLWCSNNAMGLWSKIKGKGTQFRGPPAVGEAIKNNLPTSEMIESCSVAGPGFVNVVLSKNWMAKSIQKMLIEGIDTWAPMPPVKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVEVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDANETAIGDLQAFYKASKQRFDADPAFKEKAQQAVVRLQGGEDKYRRAWAQICEISRNEFHKVYQRLGVHLEEKGESFYNPYIPEVIEALTKQGLVEESQGARVIFIEGVNIPLIVVKSDGGFNYASTDLAALWYRLNEEKADWIIYVTDVGQQQHFDMVFKAAKRAGWLPHGDGSYPKTSHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKSRSKAALIERGKGEEWTEEEIESTAEAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKVPKQLLFICCMLMLESVQSSGDLVKTLRN >EOY30623 pep chromosome:Theobroma_cacao_20110822:9:5174043:5178949:-1 gene:TCM_037764 transcript:EOY30623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl-tRNA synthetase, class Ic isoform 3 MGLWSKIKGKGTQFRGPPAVGEAIKNNLPTSEMIESCSVAGPGFVNVVLSKNWMAKSIQKMLIEGIDTWAPMPPVKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVEVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDANETAIGDLQAFYKASKQRFDADPAFKEKAQQAVVRLQGGEDKYRRAWAQICEISRNEFHKVYQRLGVHLEEKGESFYNPYIPEVIEALTKQGLVEESQGARVIFIEGVNIPLIVVKSDGGFNYASTDLAALWYRLNEEKADWIIYVTDVGQQQHFDMVFKAAKRAGWLPHGDGSYPKTSHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKSRSKAALIERGKGEEWTEEEIESTAEAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKVPKQLLFICCMLMLESVQSSGDLVKTLRN >EOY30621 pep chromosome:Theobroma_cacao_20110822:9:5173931:5178949:-1 gene:TCM_037764 transcript:EOY30621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl-tRNA synthetase, class Ic isoform 3 MGLWSKIKGKGTQFRGPPAVGEAIKNNLPTSEMIESCSVAGPGFVNVVLSKNWMAKSIQKMLIEGIDTWAPMPPVKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVEVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDANETAIGDLQAFYKASKQRFDADPAFKEKAQQAVVRLQGGEDKYRRAWAQICEISRNEFHKVYQRLGVHLEEKGESFYNPYIPEVIEALTKQGLVEESQGARVIFIEGVNIPLIVVKSDGGFNYASTDLAALWYRLNEEKADWIIYVTDVGQQQHFDMVFKAAKRAGWLPHGDGSYPKTSHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKSRSKAALIERGKGEEWTEEEIESTAEAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKVPKQLLFICCMLMLESVQSSGDLVKTLRN >EOY30622 pep chromosome:Theobroma_cacao_20110822:9:5174043:5178949:-1 gene:TCM_037764 transcript:EOY30622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl-tRNA synthetase, class Ic isoform 3 MGLWSKIKGKGTQFRGPPAVGEAIKNNLPTSEMIESCSVAGPGFVNVVLSKNWMAKSIQKMLIEGIDTWAPMPPVKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVEVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDANETAIGDLQAFYKASKQRFDADPAFKEKAQQAVVRLQGGEDKYRRAWAQICEISRNEFHKVYQRLGVHLEEKGESFYNPYIPEVIEALTKQGLVEESQGARVIFIEGVNIPLIVVKSDGGFNYASTDLAALWYRLNEEKADWIIYVTDVGQQQHFDMVFKAAKRAGWLPHGDGSYPKTSHVGFGLVLGEDGKRFRTRNTEVVRLVDLLDEAKSRSKAALIERGKGEEWTEEEIESTAEAVGYGAVKYADLKNNRLTNYTFNFDQMLNDKVPKQLLFICCMLMLESVQSSGDLVKTLRN >EOY32769 pep chromosome:Theobroma_cacao_20110822:9:33461080:33468448:1 gene:TCM_040796 transcript:EOY32769 gene_biotype:protein_coding transcript_biotype:protein_coding description:THUMP domain-containing protein 1 isoform 2 MAIENKGKPNTATTKGKKRKQYLPHNKAVKKKGAYPLRPGVQGFFITCDGGRERQAAHEAINVIDTRYEIFGCIVGGGSYARLNRVKALIFETISVRPQGAQAIPCKLVMGLHIELDFFEELVHGKDLGEEPARLPNKPLNKKITFSYSDDEDDVDEEEGDDEGEEKEQNEVTKSDISRENDASQERLTNKDLDHYNLDDVCHGKATEETKGTKEDGVNIENQADNFMEPPAKKQCRETDTSKCETNGKEKSIDKLIEAELKELGDKSKRRFINLDSGCNGVVFVQMRKKEGDPGPKDIAQHMMTSAASTRKHMSRFILRVLPVEVACYASEEEITRAIKPLIAQYFPVETQNPWKFAVLYEARANSGIDRMKIINSVAKSIPAPHKVDLSNPDMTIVVEIIKTVCLIGVVEKYKELAKFNLRQLTSPKQ >EOY32770 pep chromosome:Theobroma_cacao_20110822:9:33460989:33468448:1 gene:TCM_040796 transcript:EOY32770 gene_biotype:protein_coding transcript_biotype:protein_coding description:THUMP domain-containing protein 1 isoform 2 MAIENKGKPNTATTKGKKRKQYLPHNKAVKKKGAYPLRPGVQGFFITCDGGRERQAAHEAINVIDTFFEELVHGKDLGEEPARLPNKPLNKKITFSYSDDEDDVDEEEGDDEGEEKEQNEVTKSDISRENDASQERLTNKDLDHYNLDDVCHGKATEETKGTKEDGVNIENQADNFMEPPAKKQCRETDTSKCETNGKEKSIDKLIEAELKELGDKSKRRFINLDSGCNGVVFVQMRKKEGDPGPKDIAQHMMTSAASTRKHMSRFILRVLPVEVACYASEEEITRAIKPLIAQYFPVETQNPWKFAVLYEARANSGIDRMKIINSVAKSIPAPHKVDLSNPDMTIVVEIIKTVCLIGVVEKYKELAKFNLRQLTSPKQ >EOY32771 pep chromosome:Theobroma_cacao_20110822:9:33461035:33468222:1 gene:TCM_040796 transcript:EOY32771 gene_biotype:protein_coding transcript_biotype:protein_coding description:THUMP domain-containing protein 1 isoform 2 MAIENKGKPNTATTKGKKRKQYLPHNKAVKKKGAYPLRPGVQGFFITCDGGRERQAAHEAINVIDTFFEELVHGKDLGEEPARLPNKPLNKKITFSYSDDEDDVDEEEGDDEGEEKEQNEVTKSDISRENDASQERLTNKDLDHYNLDDVCHGKATEETKGTKEDGVNIENQADNFMEPPAKKQCRETDTSKCETNGKEKSIDKLIEAELKELGDKSKRRFINLDSGCNGVVFVQMRKKEGDPGPKDIAQHMMTSAASTRKHMSRFILRVLPVEVACYASEEEITRAIKPLIAQYFPVETQNPWKFAVLYEARANSGIDRMKIINSVAKSIPAPHKVDLSNPDMTIVTVCLIGVVEKYKELAKFNLRQLTSPKQ >EOY33095 pep chromosome:Theobroma_cacao_20110822:9:35393145:35396958:1 gene:TCM_041086 transcript:EOY33095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial, putative MAESPPNPQELNIPNIPVEFVSEEEMALIEAACAAAHSSLSSSLVSSSICSPSLLPSKMRSIQSISLLSKRGLNGSREPDIEDSCNLRSTQKRSRVAQPFLLRFRRDRGLSVTDLTAADWCEKQLEFDLHFGKRKINKAMKAGRARHLKLEEEVVKKVKVRGQSVEDTWAIKFINFVTGGTQLLFEGLTRELPLIGFVEGVWLVGVIDEIRMSEKGSGRNPILVDTKTRVRDSLPSKPQRRNGRFQLMCYKYLWDTLVADNFPSGQFFNFFSLNPNYILSEDIREKTANSGFPTKTLDDIVGYYRNTCSMLPPSQDQLLLRYELQKDQSVLGEDQFAYDPDWLKKQIQGSLEFWLGEREASYTPEEERWKCRYCQFASVCPGNPTPDSSRGSTSSDTSQSFELEQNTDLAP >EOY34111 pep chromosome:Theobroma_cacao_20110822:9:39956217:39959545:-1 gene:TCM_041885 transcript:EOY34111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MEFRKCLFFCPTFNYQIKQAQVVALQSWSSIQNVVSIESQSTSPMDFYNIATFFSVLGICSFLVYLFHVLWLNGESRRMILRRQGIAGPLPSFLLGNIPQMKQMLPLSPNQSNHENMKGHHSLMHLFPYFKQWTKQYGQSFLFALGRSQFLYIADNNFVREINCFTSLDMGKPAYLQKDRGALLGKGLITTNGAVWSHQRKSIAPHLFVDKVKDTLKLMVESGEALVNSWGNIIEANGGIADIRVDDYVRRYTSYIISNVVFGDEWETGMEMFPKSLSLIKAMCSPTILSGVPFYRYLPTETSREIWRLTKEIHSTIMGIVKKHTESASKDLLQVIIEGSKNGDLGPSTPDQFIVDNCKDICIPASEVTAVTAIWGLMLLASHPEWQTRIRVEVLEVCKDGILNFDMLHKMKALRMVVLEVLRLYPVTAFVSRQALQNLKLGHIEIPKGVNIWLWLLELHFDPKFWGPDAEKFNPERFAHGISRACRSSQAYIPFGVGARVCPGQSLAMAELQVLFAIILSNFSVTISPSYRHSPQYALLIEPEFGVNLLVQKI >EOY29098 pep chromosome:Theobroma_cacao_20110822:9:106649:118411:-1 gene:TCM_036755 transcript:EOY29098 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDB1-CUL4 associated factor 1 MEDMSNQGQAPNPNPNQNENQNEAEEQPPAEGEEQQQEEEEEEDEEEEGRREDEELMAKAQALMEKITSSPDNPNPTVLYALASLLEAQESLYLQENSPSSSSGRASHNIGRLGNLVKENDEFFDLISSKFLSESRYSTSLQAAAARLLLSCSLTWIYPHVFEEPVLENIKVWVMNETARYSIEDNNCKHDLARKEASDAEILKTYSTGLLAVCLTGGGQVVEDVLTSGLSAKLMRYLRVRVLGEITAGQNDACHLTEGKSLSSAASFRSRDEGRGRVRQVLETTHIDDPRIIDEKSLDDQCAEWDRDRSTNRQLRGEECWVADRQPPDGVAEAVDMHDVDADSEERWHVRDVRDGKMRFRDVDENGRDDSSRRRINRGSARSRGKGRTTEGAMENEQSLTSPGSGSRFGQARSMRDRSSSKNLDGRKVLEPKKCVGKTNADDLVAEREDNDECFQGCRIGSKDFSDLVKKAVRAAEAEARAANAPVEAVKAAGDAAAEVVKCAALEEFKTTNNEEAALSAASKAATTVVDAANAIEVSRNSTSTSADPINESAAETEVNEDAEEYSIPNAEQLAQLREKYCIQCLETLGEYVEVLGPVLHEKGVDVCLALLQRSSKLDEASKAMSLLPDVMKLICALAAHRKFAALFVDRGGMQKLLAVPRVAQNFFGLSSCLFTIGSLQGIMERVCALPSDVVHQVVELAIQLLECSQDQARKNAALFFAAAFVFRAVLDAFDAQDGLQKLLGLLNDAASVRSGANSGALGLSGTTSFRNDRSPSEVLTSSEKQIAYHACVALRQYFRAHLLLLVDSVRPNKSNRSGARNIPSTRAAYKPLDISNEAMDAVFLQLQKDRKLGPAFVRTRWPAVEKFLSCNGHITMLELCQAPPVERYLHDLLQYALGVLHIVTLVPVSRKMIVNATLSNNRAGIAVILDAANSASSLVDPEIIQPALNVLINLVCPPPSISNKPSLLAQGQQFVSGQTTNGPAVETRDRNAERNVSDRVLYMANQSDMRERSGESNLVDRGTAAGTQSISSNAQTPVSAAPSGLVGDRRISLGAGAGCAGLAAQLEQGYRQAREVVRANNGIKVLLHLLQPRIYSPPAALDCLRALACRVLLGLARDETIAHILTKLQVGKKLSELIRDSGGQTPGTEQGRWQSELAQVAIELIAIVTNSGRASTLAATDAATPTLRRIERAAIAAATPITYHSRELLLLIHEHLQASGLAETAGSLLKEAQLTPLPSLAAPSSLAHQASTQDTPSIQLQWPSGRISGGFLCSRPKIAGRDEDVNLKCDSALSLKKKSLVFSPTFGLQSRNPFQSQDLQPSSARKVLTSSKPCPLLASVSETPTDSMLKSNLDMESQCKTPLVLPMKRKLSDLKDTGLALSGKRFNTGDHGSRSPVCLTPNTTRRNCLLADAAAFTPTSTLRDQHVRATPSSIIDLSDDNLSGNSHGGHMTPSSQVGFLNDPQPSNSERLSLDTIVVQYLKHQHRQCPAPITTLPPLSLLHPHVCPEPKRSLDAPSNITSRLGTREFRSVYGGVHGNRRDRQFVYSRFRPWRTCRDDAGTLLTCVSFLGDGSHVAVGSHAGELKIFDSNSNNVLDSCTGHQLPVTLVQSYFSGETQMVLSSTSQDVRLWDASSVSGGAMQSFEGCKAARFSNSGSIFAALSADSTQREILLYDIQTYQLELKLSDATTNSTARGHVYSLIHFSPSDTMLLWNGVLWDRRVPGPVHRFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFRLLRSVPSLDQTAITFNARGDVIYAILRRNLEDVMSAVHTRRVKHPLFAAFRTLDAINYSDIATIPVDRCVLDFATEPTDSFVGLITMDDQEEMFSSARVYEIGRRRPTDDDSDPDDAESDEDEDDDDDDGDIDPILGPDLNGDGESDADISNEDDDSVSELDDDDGDFMMDDVDFDGGGGILEIVTEGEDDDDDSQLVESFSSGDEEDFAGNGFGF >EOY33938 pep chromosome:Theobroma_cacao_20110822:9:39400206:39403079:-1 gene:TCM_041766 transcript:EOY33938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated gene 13 isoform 1 MEVRMAQSDNSRKDNRWSLQGMTALVTGGTKGIGHAIIEELAGLRARIHTCSRTETDLNKCLLDWAAKGFQVTGSVCDVSSQAQREKLINTVSSEFGGKLNILINNVGTAILKPTPDITTEDFSFMMGTNFESAYNLCQLAYPLLKASGAGSIVFLSSIAGVVSVSFGSLYGVTKVHSKVFREYHLSNSNGTHWRARGSVILGGIPVSTSILLYNRADFLHRWGHVSECLLLP >EOY33937 pep chromosome:Theobroma_cacao_20110822:9:39400202:39403425:-1 gene:TCM_041766 transcript:EOY33937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-associated gene 13 isoform 1 MEVRMAQSDNSRKDNRWSLQGMTALVTGGTKGIGHAIIEELAGLRARIHTCSRTETDLNKCLLDWAAKGFQVTGSVCDVSSQAQREKLINTVSSEFGGKLNILINNVGTAILKPTPDITTEDFSFMMGTNFESAYNLCQLAYPLLKASGAGSIVFLSSIAGVVSVSFGSLYGVTKGAMNHLAKYLACEWAKDNIRVNSVAPWFIRTPLTEDYIQKFSENIISRTPMGRIGEPEEVSSLVGFLCLPASSYITGQTFCIDGGMSVNAFFYHETLLGMSSQANSLS >EOY31629 pep chromosome:Theobroma_cacao_20110822:9:10657526:10663934:-1 gene:TCM_038607 transcript:EOY31629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double Clp-N motif-containing P-loop nucleoside triphosphate hydrolases superfamily protein MRAGLSTIQQTLTPEAASVLNHSIAEASRRNHGQTTPLHVAATLLASPTGFLRQACIKSHPNSSHPLQCRALELCFSVALERLPTAQNANSSSPGLDPPISNALMAALKRAQAHQRRGCPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKATIEQSLNSTSSNSANTAGPIGLGFRPVVAAASAVAAPSANRNMYLNPRLQQGAAGQSGQQRSEEVKRVIDILMRSKKRNPVLVGEPEPELVVKEILRRIESKEIDGVLRNVEVVHLEKDFALDKTQMVAKIKELGTQVGAKIGNLDCGGVILDLGDLKWLVENNQQVGLGVGVQQQQVVSEAGRAAVAEMGKLLGRFGEGSGRVWLIGTATCETYLRCQVYHPSMENDWDLQAVPIAARAPLPGIFARLGSNGILSSSVESLSPLKGFATTAAQPRQLSENLDPARKIGCCPQCMQNYDQELVKLVAAKEFEKSSSDIKSESTRPALPQWLQNAKAHDGDVKTDQTQTKDQETIWKQKTQELQKKWNDTCLRLHPNFHQPSLVSERFASTALSMTSLCNSPLLGRQPFQPKLQLNRNIGETLQLNPNLVASQPMERTSSPPGSLVRTDLVLGRPKITETSPERMHKERVRDLLGCIPSEPQNKFQDLQSGKLLNTLDADLLKKLLKGLIEKVWWQQDAASAVATTVTQCKLGNGKRRGAGAKGDIWLLFTGPDRVGKKKMALALSDQVCGAHPVVICLGSRHDDMESDVSVRGKTVLDRIAEAVRRNPFSVVMLEDIDEADMLVRGSIKRAMERGRLADSHGREISLGNVIFILTANWLPDNLKFLSNGISLDEKKLASLASGSWQLRLSLSEKTAKRRASWLHEDRATKPRKETGSPLSFDLNEAADVEDDKADGSHNSSDLTVDHEEEHGLTNRLLCNSTSSVSRELLNSVDDAIVFKPVDFGPIRRDIANSIMKKFSSIIGDRLTIEILDEALEKITSGVWIGRTGLEEWTEKALVPSLQQLKTRLPASDESLVVRLELDGESGNRSYGDWLPSSVKVVVDGF >EOY33407 pep chromosome:Theobroma_cacao_20110822:9:37343138:37346349:1 gene:TCM_041383 transcript:EOY33407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion binding isoform 1 MATRMAVRYISRRFSSGGKILSEEEKAAENVYIKKIEQEKLEKLARKGPKPEDTTAAGSGGSVTDAKPSGSTSTSGASTEKVSTDKYKNYAVLAGAITLAGALGWYFTSKEKKQEVQD >EOY33408 pep chromosome:Theobroma_cacao_20110822:9:37342974:37346240:1 gene:TCM_041383 transcript:EOY33408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion binding isoform 1 MATRMAVRYISRRFSSGGKILSEEEKAAENVYIKIEQEKLEKLARKGPKPEDTTAAGSGGSVTDAKPSGSTSTSGASTEKVSTDKYKNYAVLAGAITLAGALGWYFTSKEKKQEVQD >EOY33406 pep chromosome:Theobroma_cacao_20110822:9:37342304:37346146:1 gene:TCM_041383 transcript:EOY33406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion binding isoform 1 MATRMAVRYISRRFSSGGKILSEEEKAAENVYIKKIEQEKLEKLARKGPKPEDTTAAGSGGSVTDAKPSGSTSTSGASTEKVSTDKYKNYAVLAGAITLAGALGWYFTSKEKKQEVQD >EOY33833 pep chromosome:Theobroma_cacao_20110822:9:39125113:39129606:-1 gene:TCM_041694 transcript:EOY33833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic enolase isoform 4 MSVQEYLDKHMLSRKIEDAVNAAVRAKTPDPVLFISSHMKKAVPSVITKVKARQILDSRGIPTVEVDLFTNKGMFRASVPSGDSTGMYEAVELRDGDKGTYLGNAVTKAVKNINEKISEALVGMDPTVQLQIDQAMIDLDKTEKKSELGANAILAVSIAACKAGAAEKEVPLYKHIADLSGKTNSILPVPAFTVIRGGKHSGNSMPIQDITILPTGASRFDEALQMGSETYHHLKAVIAEKYGTNGCNVGEDGGFTPNISSVREGLDIVKEAIGRTGYNDRIKIGMDVAATDFCIGTKYDLEFKSPNKSGQNFKSGEDMIQMYKELCSEYPIASIEDPFDKEDWEHSKRFCSLGLCQVVGDDLLMSNPKRMERAMHDSACNCLLLKVGSDKSDWNSDRSY >EOY33836 pep chromosome:Theobroma_cacao_20110822:9:39124533:39129872:-1 gene:TCM_041694 transcript:EOY33836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic enolase isoform 4 MSVQEYLDKHMLSRKIEDAVNAAVRAKTPDPVLFISSHMKKAVPSVITKVKARQILDSRGIPTVEVDLFTNKGMFRASVPSGDSTGMYEAVELRDGDKGTYLGNAVTKAVKNINEKISEALVGMDPTVQLQIDQAMIDLDKTEKKDITILPTGASRFDEALQMGSETYHHLKAVIAEKYGTNGCNVGEDGGFTPNISSVREGLDIVKEAIGRTGYNDRIKIGMDVAATDFCIGTKYDLEFKSPNKSGQNFKSGEDMIQMYKELCSEYPIASIEDPFDKEDWEHSKRFCSLGLCQVVGDDLLMSNPKRMERAMHDSACNCLLLKVGSDKSDWNSDRSY >EOY33832 pep chromosome:Theobroma_cacao_20110822:9:39124523:39130174:-1 gene:TCM_041694 transcript:EOY33832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic enolase isoform 4 MSVQEYLDKHMLSRKIEDAVNAAVRAKTPDPVLFISSHMKKAVPSVITKVKARQILDSRGIPTVEVDLFTNKGMFRASVPSGDSTGMYEAVELRDGDKGTYLGNAVTKAVKNINEKISEALVGMDPTVQLQIDQAMIDLDKTEKKSELGANAILAVSIAACKAGAAEKEVPLYKHIADLSGKTNSILPVPAFTVIRGGKHSGNSMPIQDITILPTGASRFDEALQMGSETYHHLKAVIAEKYGTNGCNVGEDGGFTPNISSVREGLDIVKEAIGRTGYNDRIKIGMDVAATDFCIGTKYDLEFKSPNKSGQNFKSGEDMIQMYKELCSEYPIASIEDPFDKEDWEHSKRFCSLGLCQVVGDDLLMSNPKRMERAMHDSACNCLLLKINQIGTVTEAIEVVKLAKEAHWGVVVSHRCGETDDSFIADLAVALGAGQIKAGAPCRGERVAKYNQLLRIEEELGDQAVYAGEDWRPSC >EOY33835 pep chromosome:Theobroma_cacao_20110822:9:39125113:39129606:-1 gene:TCM_041694 transcript:EOY33835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic enolase isoform 4 MSVQEYLDKHMLSRKIEDAVNAAVRAKTPDPVLFISSHMKKAVPSVITKVKARQILDSRGIPTVEVDLFTNKGMFRASVPSGDSTGMYEAVELRDGDKGTYLGNAVTKAVKNINEKISEALVGMDPTVQLQIDQAMIDLDKTEKKSELGANAILAVSIAACKAGAAEKEDITILPTGASRFDEALQMGSETYHHLKAVIAEKYGTNGCNVGEDGGFTPNISSVREGLDIVKEAIGRTGYNDRIKIGMDVAATDFCIGTKYDLEFKSPNKSGQNFKSGEDMIQMYKELCSEYPIASIEDPFDKEDWEHSKRFCSLGLCQVVGDDLLMSNPKRMERAMHDSACNCLLLKVGSDKSDWNSDRSY >EOY33834 pep chromosome:Theobroma_cacao_20110822:9:39124554:39129872:-1 gene:TCM_041694 transcript:EOY33834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic enolase isoform 4 MSVQEYLDKHMLSRKIEDAVNAAVRAKTPDPVLFISSHMKKAVPSVITKVKARQILDSRGIPTVEVDLFTNKGMFRASVPSGDSTGMYEAVELRDGDKGTYLGNAVTKAVKNINEKISEALVGMDPTVQLQIDQAMIDLDKTEKKSELGANAILAVSIAACKAGAAEKEDITILPTGASRFDEALQMGSETYHHLKAVIAEKYGTNGCNVGEDGGFTPNISSVREGLDIVKEAIGRTGYNDRIKIGMDVAATDFCIGTKYDLEFKSPNKSGQNFKSGEDMIQMYKELCSEYPIASIEDPFDKEDWEHSKRFCSLGLCQQVVGDDLLMSNPKRMERAMHDSACNCLLLKINQIGTVTEAIEVVKLAKEAHWGVVVSHRCGETDDSFIADLAVALGAGQIKAGAPCRGERVAKYNQLLRIEEELGDQAVYAGEDWRPSC >EOY32728 pep chromosome:Theobroma_cacao_20110822:9:33134523:33141329:-1 gene:TCM_040752 transcript:EOY32728 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein isoform 1 MAEVEIEEVDGRAGGAQPHQEGLPRGRDKGNMYLVWEDLTVLLPNFGNGPTRRLLNGLTGCAEPGRIMAIMGPSGSGKSTLLDALAGRLSGNVVMTGNVLLNGKKKRLDYGSVAYVTQEDVLLGTLTVRETITYSAHLRLPPSVSGEEIDGIVEGTIMEMGLQECADRLIGNWHLRGVSGGEKKRLSIALEILTRPHLLFLDEPTSGLDSASAFFVIQTLRNVGRDGRTVISSIHQPSSEVFSLFDDLFLLSGGEQVYFGDAKLAAKFFAESGFPCPSRRNPSDHFLRCINSDFDIVAETLKGSHIISEFQNTLDPLTNLPTAKIKALLVMKYRSSDYAAQARARIQEISTIEGLVIEKKLRSEAKWLKQLSTLTQRSFVNMSRDLGYYWLRIAIYVALSICVGTIFFNIGTNYNAILARGACAGFVSGFMTFLSIGGFPSFIEELKVFYRERRNGHYGIAVYILSNFLSSFPFLTLMTLATSSITYYMVKFRPGISHFTYVSLDLISSIAAVESCMMMIASLVPNFMMGVIIGSGYIGVMLMTAGYFRLLPDLPKIFWRYPVSYINYGAWALQGAYKNDMVGLEFDGFIPGGPKLKGDVVLTTMLGIQLDHSKWWDLAAVIMILIAYRFLFFVILKFKERASPFFRTLYAKRTIQHLKKRPSFRKTSAFPSKRHQVLHSLSSQEGLNSPIH >EOY32727 pep chromosome:Theobroma_cacao_20110822:9:33134597:33141351:-1 gene:TCM_040752 transcript:EOY32727 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein isoform 1 MAEVEIEEVDGRAGGAQPHQEGLPRGRDKGNMYLVWEDLTVLLPNFGNGPTRRLLNGLTGCAEPGRIMAIMGPSGSGKSTLLDALAGRLSGNVVMTGNVLLNGKKKRLDYGSVAYVTQEDVLLGTLTVRETITYSAHLRLPPSVSGEEIDGIVEGTIMEMGLQECADRLIGNWHLRGVSGGEKKRLSIALEILTRPHLLFLDEPTSGLDSASAFFVIQTLRNVGRDGRTVISSIHQPSSEVFSLFDDLFLLSGGEQVYFGDAKLAAKFFAESGFPCPSRRNPSDHFLRCINSDFDIVAETLKGSHIISEFQNTLDPLTNLPTAKIKALLVMKYRSSDYAAQARARIQEISTIEGLVIEKKLRSEAKWLKQLSTLTQRSFVNMSRDLGYYWLRIAIYVALSICVGTIFFNIGTNYNAILARGACAGFVSGFMTFLSIGGFPSFIEELKVFYRERRNGHYGIAVYILSNFLSSFPFLTLMTLATSSITYYMVKFRPGISHFTYVSLDLISSIAAVESCMMMIASLVPNFMMGVIIGSGYIGVMLMTAGYFRLLPDLPKIFWRYPVSYINYGAWALQGAYKNDMVGLEFDGFIPGGPKLKGDVVLTTMLGIQLDHSKWWDLAAVIMILIAYRFLFFVILKFKERASPFFRTLYAKRTIQHLKKRPSFRKTSAFPSKRHQVLHSLSSQEGLNSPIH >EOY29677 pep chromosome:Theobroma_cacao_20110822:9:2030331:2033369:1 gene:TCM_047089 transcript:EOY29677 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein, putative MATASLGEDTAKAVLRQVEFYFSDSNIPRDDFLKKKISESEDGMVSLALICSFSKMRAHLNLGAVKAEDVPELTLKAVAETLRASSSLKVSEDGKKVGRSTELLEHEELIEQLDSRTIAASPFECNVKREDVEAFFGQYAKVNSVRLPRHVAHRKYFCGTALIELSAEEDAQKVLEQSLVFAGAELELKPKKDFDAIREQEAEELEDYRQSTGSNGDYNSNGGEKYPKGLLVGFTLKSISAGDSAEQNGSDELAKDGPNACKIEVGLDSGKATGSSEKKTTENDNDNKEKIEEKHVVWSEGMNTKNPIQKVEEKEDKSTASIYKNDMNVVLREDLKGVFQKFGTVKYVDFKVGEDKGYIRFDAPEAAQKARAAAVLAKEGGLVVKNFVATLEPVTGDAEREYWSLLRGNQEKHRESKRYPAKGGKHYRGGKHSHGRENASPGGRPNKARKTGSA >EOY29231 pep chromosome:Theobroma_cacao_20110822:9:423078:424409:-1 gene:TCM_036829 transcript:EOY29231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVLVGSTFGIEVAASATYVRDRPQEKISSSSSAAACLFIKEHDAGGADPTGEGTGSDLGSPELSSESSSSIGAPGDSDDEEEEGVVSSGGSGGLASLVSIEDSLPIKRGLSNHYAGKSKSFANLSDISSVEDLQKPENPFNKRRRVLLANKWSRKSSFYSWRNPNSMPLLALNEDDEEDGEDKETPSISSSSSSSSSNDKLSVAAQKPKLQQSKLKATFKSQSCFSLTDLQVEHQ >EOY34067 pep chromosome:Theobroma_cacao_20110822:9:39840433:39845935:1 gene:TCM_041859 transcript:EOY34067 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 13 isoform 1 MEEEKGALVQSLIDAVNQIASIGDYRCPVKKQYCNLARRLKLLTPMFEEIRESKEQIPEETVKALVSLKEALVSAKELLRFGSEGSKIYLVLQREEIMHKFHELTAQLEQALSAIPYENLDISDEVKEQVELVLAQFRRAKGRVDAPDVELYEDLLSLYNKTNDAVADPDVLRRLTEKLQLVGITELTQESLALHEMVSASGGDPGETFEKMSNLLKKIKDFVQTENPNLDAPAREKNLPPSSCGQTTSDGNHKTPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIEKWLQQGHGTCPKTQQTLSSPALTPNYVLRSLIAQWCEANGIEPPKRPSSSRPSKTTSTCSPAERTKIDILLRKLTSSNPEDQRMAAGEIRLLAKRNADNRVAIAEAGAIPFLVSLLSTPDSRTQEHAVTALLNLSICEENKGSIISSGAVPGIVQVLKKGSMEARENAAATLFSLSVVDENKVTIGASGAIPPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVVPTLMRLLTEPGGGMVDEALAILAILASHPEGKAAIGAAEAVPVLVDVVGNGSPRNRENAAAVLVHLCAGDQQHLAEAQELGVMGPLVALAQNGTDRGKRKAAQLLERMSRFLEQQKLAQAQAEAQAPQSQAQQPQPPSVANAVDI >EOY34066 pep chromosome:Theobroma_cacao_20110822:9:39840122:39845977:1 gene:TCM_041859 transcript:EOY34066 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 13 isoform 1 MEEEKGALVQSLIDAVNQIASIGDYRCPVKKQYCNLARRLKLLTPMFEEIRESKEQIPEETVKALVSLKEALVSAKELLRFGSEGSKIYLFALVIYGLESNLCHHSNSNLRLAVVNLVLQREEIMHKFHELTAQLEQALSAIPYENLDISDEVKEQVELVLAQFRRAKGRVDAPDVELYEDLLSLYNKTNDAVADPDVLRRLTEKLQLVGITELTQESLALHEMVSASGGDPGETFEKMSNLLKKIKDFVQTENPNLDAPAREKNLPPSSCGQTTSDGNHKTPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIEKWLQQGHGTCPKTQQTLSSPALTPNYVLRSLIAQWCEANGIEPPKRPSSSRPSKTTSTCSPAERTKIDILLRKLTSSNPEDQRMAAGEIRLLAKRNADNRVAIAEAGAIPFLVSLLSTPDSRTQEHAVTALLNLSICEENKGSIISSGAVPGIVQVLKKGSMEARENAAATLFSLSVVDENKVTIGASGAIPPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVVPTLMRLLTEPGGGMVDEALAILAILASHPEGKAAIGAAEAVPVLVDVVGNGSPRNRENAAAVLVHLCAGDQQHLAEAQELGVMGPLVALAQNGTDRGKRKAAQLLERMSRFLEQQKLAQAQAEAQAPQSQAQQPQPPSVANAVDI >EOY30560 pep chromosome:Theobroma_cacao_20110822:9:4971579:4974869:1 gene:TCM_037724 transcript:EOY30560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 32 isoform 1 MFNRLFGKPKQETNALTTLDKLNETLEMLEKKEKVLVKKAAAEVEKAKEFAKGRNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSAPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPIQVPAGRQPARPVPQKRTAEEDELAALQAEMAL >EOY30559 pep chromosome:Theobroma_cacao_20110822:9:4971457:4974870:1 gene:TCM_037724 transcript:EOY30559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 32 isoform 1 MFNRLFGKPKQETNALTTLDKLNETLEMLEKKEKVLVKKAAAEVEKAKEFAKGRNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSAPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPIQVPAGRQPARPVPQKRTAEEDELAALQAEMAL >EOY31015 pep chromosome:Theobroma_cacao_20110822:9:6679257:6683475:1 gene:TCM_038048 transcript:EOY31015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGGTPGGGGYMRQRHSQGYASGNEDLEDDACSRLHPLSPATPRSRTWTEILENVLWVGSALFIIYFGDRHSNLIYLLWHDERIRRMPLYLGLVGIGLNIAIFFYTSMLAWSVRRFDEKWELLSISALPIITLLGLVSFCLLCFALWPIWGFLTLPLLFTLFMAGLVVYPHIMIETFRPQNEFFRTD >EOY31262 pep chromosome:Theobroma_cacao_20110822:9:7876580:7889491:-1 gene:TCM_038232 transcript:EOY31262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLCFRSSPCALFLLFFFSLFLFRHEVTSEASNVRTLHISGYYKSFVFGEREHTEMLPWKKIERRNLAEGSEGDNSSLILAGERTRRRDPLDNFKKYTGGWNISNEHYWASVGFTAAPFFAIAAVWFVIFALCLFIICIRHCCCQLDNYGYSRTAYALSLILLILFTIAAIVGCVVLYTGQGKFHGSTKNTLDYAVNKADVTAESLRNVSDYLSAAKKISVDSVFLAPDIQKSIDDIEKKINSSATTLSTQTGDNKDKIQNGLDSMRLALIIVAAAMLFLAFLGFLFSILGLQFLVYTLVILGWILVAGTFILCGVFHLLHNVVGDACVAMDQWVQNPTAHTALDDILPCVDNATAQETLLRTKNVSYQLVNVVNGVLNNVANKNFPPALAPLYYNQSGPLVPVLCNPFNSNLTNRQCASGEVDLYRSSEVWRNYTCQVSSSGICSTPGRLTPQFYTQMLAAVNVSYALYRYGPFLVNLQDCTFVRDTFTDISHEYCPGLRRYSQWIYIGLVLVSAAVMLSLIFWVIYARERRHRVYTKQYDARSEGLDKGR >EOY34616 pep chromosome:Theobroma_cacao_20110822:9:41594493:41596175:1 gene:TCM_042228 transcript:EOY34616 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein isoform 2 MDDENSNPFRRMSSRTRKVAPKMAAALASSDNRTQAALARLEALENDNAGIETIEPNDDDEASLDDDDQAYMQKRSKGTKRKTRQAKALENARKAPRTFLELLHEANLESLPAHVPSYLRAAVGPPSSTSRRHFCSVCGFTANYTCVTCGMRFCSGRCQNIHNDTRCMKFVA >EOY34617 pep chromosome:Theobroma_cacao_20110822:9:41594349:41596385:1 gene:TCM_042228 transcript:EOY34617 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein isoform 2 QNCHYPPHSSSGVDGRRLLQNHRPLPLVSVAFAAMDDENSNPFRRMSSRTRKVAPKMAAALASSDNRTQLWPGLKLWRMITQGLKQLNRTMMMKLLLMTMIKHTCKRGPRAQNVKPDRQKHLKMLGRLREHFLSSYTRQTWNPCLLMFHPI >EOY31266 pep chromosome:Theobroma_cacao_20110822:9:7936546:7939860:1 gene:TCM_038238 transcript:EOY31266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance family protein / LRR family protein MDISRSVDPITTKTFPIMDISHSHSNNPCSSFMVYFFLLLFHLLLLASSCSGNGNVSDKCIETERKALVYFKEGLIDPLGRLSSWVGLDCCRWQGVECNNRTAHVTELDLHSYSLSGEIPSHLGNLSALEFLDLTMNRGLHAKSLLWLSSLYSLEYLNLGWVNLVDVGGHWLKAVNMLPSLSSLYLYDCRLTSLDLTLPSVNFTSLEVLNLFGNSIKSPLPHWFSNLTNLEVLNLATNIRFGGTIPGWLGNLCKLRVLSLNSNHFHGGIVEFLDRLSACHKNSLEYLDLGGNDLEGILPASLGALKNLQELDLNTNFFWGSIPASIGNLSSLSLLELSHNHLNGTIPERFGQLTELSIVDLQSNQMEGVLTEAHLANLTKLDSFRLTTYPNKSLIFNVKHDWVPPFRLRTLTLINCLVGPSFPVWLQVQSNLSYVIISNAGISDTVEEEWFARLFSKCWYVDLSNNNIKAKLPRQIYSEWLDIIDLSRNSFEGQIPLWLTNARQLYLQRNSFSGSIPQNIGELMPGLQTFFLSRNQISGSLPSSLCKMKGLKFLSAGHNRFSGELPNCWNELPSLKVLDISNNSLSGKIPSSLSSLCALVLLILNNNNLRGNIPCPLHLCRNVPRLYILQLRSNLLEGNIPEQLFRLSHIHDLDLSDNNFNGSIPKCFHNFTSLKYGNTSLDYEELFDLQDSTINEQTLLVGTKGRELEYSRTLLQVKNIDLSKNDLTGEMPDGIFRLAFLDTLNLSRNHLNGSIPNNIGDLRLLESLDLSQNNLSGTIPPSLASLSLLAHLNLSYNNLSGRIPTGNQLQTLNDSSNYEGNPLLCGVPLQTRCAGDNSPSTPSSHGADGSKDKLWLYLSIATGFAVGFWSVCGTLVLKESWRHAYFRYVDNLKEKMLLWISLKEARLRRKFGKGNN >EOY32069 pep chromosome:Theobroma_cacao_20110822:9:20012031:20034867:-1 gene:TCM_039513 transcript:EOY32069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MWFSFWRSRDRFSLDELRYLTDQLQKVQIVNEVNKKDFVIEALRSIAELLTYGDQHDSSFFEFFMEKQVMGEFIRILKISKTVTVSLQLLQTISIMIQNLKSEHAIYYMFSNEHVNYLITYSFDFHNEELLSYYISFLRAISGKLDRNTISLLVKTRDEEVVSFPLYVEAIRFSFHEESMVRTAVRALTLNVYHVGDEFVNKFVTSASHSDYFSNLVSFFREQCINLSKLVSDCPKNPCSESVSAILATVDEIEDNLYYFSDVISAGIPVVGRLITDNIMQLLILPLLFPALQMDNDSNMKIGAVTSLYLLCCILRIVKIKDLANTIAAALFCPLEAFVPDSEAKLNGYVSGNDFTHENEESGTDSVTPVNAGQLCLDIPNKDCSSQVHPEDIITEKNFCSSHLPLRETLLSYITDGDDVRALGSLSVLATLLQTKELDESMLDVLGILPQRKQHKKLLLQALVGEGLGEEQLFSFESGSIRDGVASEIDGYLQKLKEEYGVSCSFAGAGTRASPRIYRHQVLDALVSLLCRSNISAETLWDGGWLLRQLLPYSEAEFKSHHLKLLKDSYRNCTSFLLQETKGIWPDLLITVLCDEWKKCKRAIEASSPRKEPKCILLPFQKLTSEDIPAESSLASGERMSELVKVFVLLHQLQIFSLGRALPEQPSILPPIDIPEMSRATAAGLDVSGPRPGTEIRLVNALPCRIAFERGKERHFCFLAVSMGTSGWVLLSEELPLKQNYGVVRVSAPLAGTNPRIDDKHSRWLHLRIRPSTLPFSDPPKSGGLGKMRIKTLVDGRWTLAFRDNESCKTALSMILEEINLQSSEAERRLKPVLDLEL >EOY32067 pep chromosome:Theobroma_cacao_20110822:9:20008882:20035092:-1 gene:TCM_039513 transcript:EOY32067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MWFSFWRSRDRFSLDELRYLTDQLQKVQIVNEVNKDFVIEALRSIAELLTYGDQHDSSFFEFFMEKQVMGEFIRILKISKTVTVSLQLLQTISIMIQNLKSEHAIYYMFSNEHVNYLITYSFDFHNEELLSYYISFLRAISGKLDRNTISLLVKTRDEEVVSFPLYVEAIRFSFHEESMVRTAVRALTLNVYHVGDEFVNKFVTSASHSDYFSNLVSFFREQCINLSKLVSDCPKNPCSESVSAILATVDEIEDNLYYFSDVISAGIPVVGRLITDNIMQLLILPLLFPALQMDNDSNMKIGAVTSLYLLCCILRIVKIKDLANTIAAALFCPLEAFVPDSEAKLNGYVSGNDFTHENEESGTDSVTPVNAGQLCLDIPNKDCSSQVHPEDIITEKNFCSSHLPLRETLLSYITDGDDVRALGSLSVLATLLQTKELDESMLDVLGILPQRKQHKKLLLQALVGEGLGEEQLFSFESGSIRDGVASEIDGYLQKLKEEYGVSCSFAGAGTRASPRIYRHQVLDALVSLLCRSNISAETLWDGGWLLRQLLPYSEAEFKSHHLKLLKDSYRNCTSFLLQETKGIWPDLLITVLCDEWKKCKRAIEASSPRKEPKCILLPFQKLTSEDIPAESSLASGERMSELVKVFVLLHQLQIFSLGRALPEQPSILPPIDIPEMSRATAAGLDVSGPRPGTEIRLVNALPCRIAFERGKERHFCFLAVSMGTSGWVLLSEELPLKQNYGVVRVSAPLAGTNPRIDDKHSRWLHLRIRPSTLPFSDPPKSGGLGKMRIKTLVDGRWTLAFRDNESCKTALSMILEEINLQSSEAERRLKPVLDLEL >EOY32066 pep chromosome:Theobroma_cacao_20110822:9:20011197:20034851:-1 gene:TCM_039513 transcript:EOY32066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MWFSFWRSRDRFSLDELRYLTDQLQKVQIVNEVNKDFVIEALRSIAELLTYGDQHDSSFFEFFMEKQVMGEFIRILKISKTVTVSLQLLQTISIMIQNLKSEHAIYYMFSNEHVNYLITYSFDFHNEELLSYYISFLRAISGKLDRNTISLLVKTRDEEVVSFPLYVEAIRFSFHEESMVRTAVRALTLNVYHVGDEFVNKFVTSASHSDYFSNLVSFFREQCINLSKLVSDCPKNPCSESVSAILATVDEIEDNLYYFSDVISAGIPVVGRLITDNIMQLLILPLLFPALQMDNDSNMKIGAVTSLYLLCCILRIVKIKDLANTIAAALFCPLEAFVPDSEAKLNGYVSGNDFTHENEESGTDSVTPVNAGQLCLDIPNKDCSSQVHPEDIITEKNFCSSHLPLRETLLSYITDGDDVRALGSLSVLATLLQTKELDESMLDVLGILPQRKQHKKLLLQALVGEGLGEEQLFSFESGSIRDGVASEIDGYLQKLKEEYGVSCSFAGAGTRASPRIYRHQVLDALVSLLCRSNISAETLWDGGWLLRQLLPYSEAEFKSHHLKLLKDSYRNCTSFLLQETKGIWPDLLITVLCDEWKKCKRAIEASSPRKEPKCILLPFQKLTSEDIPAESSLASGERMSELVKVFVLLHQLQIFSLGRALPEQPSILPPIDIPEMSRATAAGLDVSGPRPGTEIRLVNALPCRIAFERGKERHFCFLAVSMGTSGWVLLSEELPLKQNYGVVRVSAPLAGTNPRIDDKHSRWLHLRIRPSTLPFSDPPKSGGLGKMRIKTLVDGRWTLAFRDNESCKTALSMILEEINLQSSEAERRLKPVLDLEL >EOY32068 pep chromosome:Theobroma_cacao_20110822:9:20012031:20034867:-1 gene:TCM_039513 transcript:EOY32068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MWFSFWRSRDRFSLDELSRYLTDQLQKVQIVNEVNKDFVIEALRSIAELLTYGDQHDSSFFEFFMEKQVMGEFIRILKISKTVTVSLQLLQTISIMIQNLKSEHAIYYMFSNEHVNYLITYSFDFHNEELLSYYISFLRAISGKLDRNTISLLVKTRDEEVVSFPLYVEAIRFSFHEESMVRTAVRALTLNVYHVGDEFVNKFVTSASHSDYFSNLVSFFREQCINLSKLVSDCPKNPCSESVSAILATVDEIEDNLYYFSDVISAGIPVVGRLITDNIMQLLILPLLFPALQMDNDSNMKIGAVTSLYLLCCILRIVKIKDLANTIAAALFCPLEAFVPDSEAKLNGYVSGNDFTHENEESGTDSVTPVNAGQLCLDIPNKDCSSQVHPEDIITEKNFCSSHLPLRETLLSYITDGDDVRALGSLSVLATLLQTKELDESMLDVLGILPQRKQHKKLLLQALVGEGLGEEQLFSFESGSIRDGVASEIDGYLQKLKEEYGVSCSFAGAGTRASPRIYRHQVLDALVSLLCRSNISAETLWDGGWLLRQLLPYSEAEFKSHHLKLLKDSYRNCTSFLLQETKGIWPDLLITVLCDEWKKCKRAIEASSPRKEPKCILLPFQKLTSEDIPAESSLASGERMSELVKVFVLLHQLQIFSLGRALPEQPSILPPIDIPEMSRATAAGLDVSGPRPGTEIRLVNALPCRIAFERGKERHFCFLAVSMGTSGWVLLSEELPLKQNYGVVRVSAPLAGTNPRIDDKHSRWLHLRIRPSTLPFSDPPKSGGLGKMRIKTLVDGRWTLAFRDNESCKTALSMILEEINLQSSEAERRLKPVLDLEL >EOY34543 pep chromosome:Theobroma_cacao_20110822:9:41401257:41403265:-1 gene:TCM_042180 transcript:EOY34543 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family MASCVSDTARTLGCRDSAAATHLKLISLSVIFLTSVLGISSPVILARYFQGKPVYDKAILIIKCFAAGVILSTSLVHVLPDAFGALYDCHVASRHPWKDFPFAGLVTLVGALLALFVDLTASSHVEHSHKPSGDYLPVGTQDGTVGKKVGNLSNEELVKLKQKLVSQVLEIGIIFHSVIIGMTMGMSQNQCTIRPLVAALAFHQVFEGMGLGGCIAQAGFSVGTVAYMCFMFSVTTPMGILLGMIVFSLTGYDDSSPNALIMEGLLGSLSSGILIYMALVDLIAVDFFHNKLMNSQTWLKKASFVALALGSTSMSILALWA >EOY29602 pep chromosome:Theobroma_cacao_20110822:9:1715890:1720639:-1 gene:TCM_037098 transcript:EOY29602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type-b response regulator, putative MAVEEKMGGSNGEDGGKDWFPLGMRVLAVDDDPICLKVLENLLRKCQYHVTTTNQAITALKMLRENRNKYDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSAHSDTKLVMKGITHGACDYLLKPVRIEELKNIWQHVVRRKKTDSKDQIKAPNQDKARAGNGEFGQTSTGSSDQKVNKKRKDQSEDEEEEGEDNGHENDDPSTQKKPRVVWSVELHRKFVAAVNQLGLDKAVPKKILDLMNVEGLTRENVASHLQKYRLYLKRLSSVATQQANMAAALGSKDPSYLRMSSLDGFGDFRTLTGPGRLSSASLSSYQPAGMFGRLNSSAALSLRGISSGVIQQGHSQTLNNSINGLGKIQPAVLPANQNQNGTLFQGIPTSIELNQLSQNKSTNHFGEFNRVNDPNVFGVATNFSDARVPGGSSSNSLSTASGNPLLLQANTQQTHASGSFGNQSSHGVASLNQESFDMGVRGSSNFLDHGRCSENWQGAVQLSSFPSSSLSTSEAFNHEQLPPNNLQENLSWTSSHVSNSPIDLSSSMANSARLDDSRGDMQCQVGLNNNVIQNMGYTAKQQWGDRRHDYNGNLNNSFSRLDSLISASGAMMDQSNAVTSKRTDVSLFSQLSGDAPYVVQHPEGEKSAFDAKLRSNEDYLFEQTKPQSGFSQNNFESLEDIMSSMIKQGPNNETALMDGEFGFDAYPLGSCI >EOY33436 pep chromosome:Theobroma_cacao_20110822:9:37463738:37465000:-1 gene:TCM_041407 transcript:EOY33436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGKCFARLGSHRCRPQYAKRAASPNLRGGGKKENLDLISKKIPIKQPKQPSHHEKKNGSFEPSQKTLKV >EOY34456 pep chromosome:Theobroma_cacao_20110822:9:41179919:41185772:1 gene:TCM_042133 transcript:EOY34456 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein isoform 2 MEEIQSQSEHYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPEWEDTDVDVRVEEGGDSINAATTPVSPSLSKLNSGSLPSPQLPEGAAVARKIAGASVVWKDLTVTIKGKRKYSDKVVKSSNGCALPGTMTVIMGPAKSGKSTLLKAIAGRLHPSAKMYGEVFINGAKTHMPYGSYGFVDRETTLIGSLTVREYLYYSALLQLPGFFCQKKSVVEEAIHAMSLGDYANKLIGGHCYMKGLPSGERRRVSIARELVMRPRILFIDEPLYHLDSVSALLMMVTLKKLASTGCTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSSVNMDTAVAIRTLEATYKSSADAAAVETIILRLTEKEGPLLKSKGKASDATRIAVLTWRSLLIMSREWKYYWLRLILYMLLTLCVGTVFSGLGHSLSSVVTRVAAIFVFVSFTSLLSIAGVPALMKEIKIYASEESNQHSGALVFLFGQLLSSIPFLKRRIDAGCCFFVAKCFLECLNPCNHTLVMMLAAGYFRIRNELPGPVWTYPLSYIAFHTYSI >EOY34455 pep chromosome:Theobroma_cacao_20110822:9:41179919:41186944:1 gene:TCM_042133 transcript:EOY34455 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein isoform 2 MEEIQSQSEHYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPEWEDTDVDVRVEEGGDSINAATTPVSPSLSKLNSGSLPSPQLPEGAAVARKIAGASVVWKDLTVTIKGKRKYSDKVVKSSNGCALPGTMTVIMGPAKSGKSTLLKAIAGRLHPSAKMYGEVFINGAKTHMPYGSYGFVDRETTLIGSLTVREYLYYSALLQLPGFFCQKKSVVEEAIHAMSLGDYANKLIGGHCYMKGLPSGERRRVSIARELVMRPRILFIDEPLYHLDSVSALLMMVTLKKLASTGCTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSSVNMDTAVAIRTLEATYKSSADAAAVETIILRLTEKEGPLLKSKGKASDATRIAVLTWRSLLIMSREWKYYWLRLILYMLLTLCVGTVFSGLGHSLSSVVTRVAAIFVFVSFTSLLSIAGVPALMKEIKIYASEESNQHSGALVFLFGQLLSSIPFLFLISISSINEGLMLAVASLWQNVFWSVLTLVTIHVVMMLAAGYFRIRNELPGPVWTYPLSYIAFHTYSIQGLLENEYLGTNFAVGQVRTISGFQALHSAYDISPSSNSKWENLLVLFLMAVGYRILVFFLLHFRVRKNVSLHRLCQCNRNSTT >EOY34454 pep chromosome:Theobroma_cacao_20110822:9:41179667:41186502:1 gene:TCM_042133 transcript:EOY34454 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein isoform 2 MEEIQSQSEHYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPEWEDTDVDVRVEEGGDSINAATTPVSPSLSKLNSGSLPSPQLPEGAAVARKIAGASVVWKDLTVTIKGKRKYSDKVVKSSNGCALPGTMTVIMGPAKSGKSTLLKAIAGRLHPSAKMYGEVFINGAKTHMPYGSYGFVDRETTLIGSLTVREYLYYSALLQLPGFFCQKKSVVEEAIHAMSLGDYANKLIGGHCYMKGLPSGERRRVSIARELVMRPRILFIDEPLYHLDSVSALLMMVTLKKLASTGCTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSSVNMDTAVAIRTLEATYKSSADAAAVETIILRLTEKEGPLLKSKGKASDATRIAVLTWRSLLIMSREWKYYWLRLILYMLLTLCVGTVFSGLGHSLSSVVTRVAAIFVFVSFTSLLSIAGVPALMKEIKIYASEESNQHSGALVFLFGQLLSSIPFLFLISISSSLVFYFLIGLRDEFSLLMYFVLNFFMCLLVNEGLMLAVASLWQNVFWSVLTLVTIHVVMMLAAGYFRIRNELPGPVWTYPLSYIAFHTYSIQGLLENEYLGTNFAVGQVRTISGFQALHSAYDISPSSNSKWENLLVLFLMAVGYRILVFFLLHFRVRKNVSLHRLCQCNRNSTT >EOY32201 pep chromosome:Theobroma_cacao_20110822:9:23077363:23095998:1 gene:TCM_039797 transcript:EOY32201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MKMDGIRSMLLVCIILLLVAKGTVGSRKSGKSSSSSVFSLFNLKEKSRFWSEAIIREDFHDLETTSPASMGVHNYTKAGNIANYLNLMEVESLYLPVPVNFIFIGFEGKGNQEFKLHPEELERWFTKIDHIFAHTRVPRIGELLTPFYKISIDKMQHHHLPIISHINYNFSVHAIQMGEKVTSIFEHAINVLARRDDVSGDRDGTDSLWQVDADMMDVLFTSLVEYLQLEDAYNIFILNPHPDAKRAKYGYRRGLSESEIAFLKEDKSLQSKILQSGRIPDSVLALDKIKKPLYGKHPMAKFAWTVTEETDTVEWYNICLDALTNVEKLYQGKDTAETIQSKVLQLLNGKNEDMKLLLESELRSGEFSDHHAECLTDTWIGKDRWAFIDLTAGPFSWGPAVGGEGVRTELSLPNVGKTIGAVEEISEDEAEDRLQDAIQEKFAVFGDKDHQAIDILLAEIDIYELFAFKHCKGRRVKLALCEELDERMRDLKDELQSFEGEEYDENHRRKAIDALKRMENWNLFSDTHEDFQNYTVARDTFLAHLGATLWGSVRHIISPSVADGAFHYYEKISYQLFFITQEKVRHIKQLPVDLKALQDGLSSLLIPSQKVMFSQDVLSLSEDPALAMAFSVARRAAAVPLLLVNGTYRKTIRSYLDSSILQYQLQRLNNHGSLKGSHAHSRSTLEVPIFWFIHTDPLLLDKHYQAKALSDMAIVVQSESSSWESHLQCNGKSLLWDLRRPVKPALAAVSEHLAGLLPLHFVYSHAHETAIEDWIWSVGCNPFSITSQGWHISKFQSDAMARSYIITTLEESIQLVNSAIHLLLWERTTEKTFKLFQSQERDLVNKYNYVVSLWRRVSTIAGELRYVDAMRLLYTLEEATKGFVDQVNATISLLHPIHCTKERKVHVEFDVTTIPAFLIVLGVLYIVLKPRRPKPKIN >EOY32200 pep chromosome:Theobroma_cacao_20110822:9:23077411:23093403:1 gene:TCM_039797 transcript:EOY32200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MKMDGIRSMLLVCIILLLVAKGTVGSRKSGKSSSSSVFSLFNLKEKSRFWSEAIIREDFHDLETTSPASMGVHNYTKAGNIANYLNLMEVESLYLPVPVNFIFIGFEGKGNQEFKLHPEELERWFTKIDHIFAHTRVPRIGELLTPFYKISIDKMQHHHLPIISHINYNFSVHAIQMGEKVTSIFEHAINVLARRDDVSGDRDGTDSLWQVDADMMDVLFTSLVEYLQLEDAYNIFILNPHPDAKRAKYGYRRGLSESEIAFLKEDKSLQSKILQSGRIPDSVLALDKIKKPLYGKHPMAKFAWTVTEETDTVEWYNICLDALTNVEKLYQGKDTAETIQSKVLQLLNGKNEDMKLLLESELRSGEFSDHHAECLTDTWIGKDRWAFIDLTAGPFSWGPAVGGEGVRTELSLPNVGKTIGAVEEISEDEAEDRLQDAIQEKFAVFGDKDHQAIDILLAEIDIYELFAFKHCKGRRVKLALCEELDERMRDLKDELQSFEGEEYDENHRRKAIDALKRMENWNLFSDTHEDFQNYTVARDTFLAHLGATLWGSVRHIISPSVADGAFHYYEKISYQLFFITQEKVRHIKQLPVDLKALQDGLSSLLIPSQKVMFSQDVLSLSEDPALAMAFSVARRAAAVPLLLVNGTYRKTIRSYLDSSILQYQLQRLNNHGSLKGSHAHSRSTLEVPIFWFIHTDPLLLDKHYQAKALSDMAIVVQSESSSWESHLQCNGKSLLWDLRRPVKPALAAVSEHLAGLLPLHFVYSHAHETAIEDWIWSVGCNPFSITSQGWHISKFQSDAMARSYIITTLEESIQLVNSAIHLLLWERTKLLLDCL >EOY32199 pep chromosome:Theobroma_cacao_20110822:9:23076907:23096486:1 gene:TCM_039797 transcript:EOY32199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MKMDGIRSMLLVCIILLLVAKGTVGSRKSGKSSSSSVFSLFNLKEKSRFWSEAIIREDFHDLETTSPASMGVHNYTKAGNIANYLNLMEVESLYLPVPVNFIFIGFEGKGNQEFKLHPEELERWFTKIDHIFAHTRVPRIGELLTPFYKISIDKMQHHHLPIISHINYNFSVHAIQMGEKVTSIFEHAINVLARRDDVSGDRDGTDSLWQVDADMMDVLFTSLVEYLQLEDAYNIFILNPHPDAKRAKYGYRRGLSESEIAFLKEDKSLQSKILQSGRIPDSVLALDKIKKPLYGKHPMAKFAWTVTEETDTVEWYNICLDALTNVEKLYQGKDTAETIQSKVLQLLNGKNEDMKLLLESELRSGEFSDHHAECLTDTWIGKDSRWAFIDLTAGPFSWGPAVGGEGVRTELSLPNVGKTIGAVEEISEDEAEDRLQDAIQEKFAVFGDKDHQAIDILLAEIDIYELFAFKHCKGRRVKLALCEELDERMRDLKDELQSFEGEEYDENHRRKAIDALKRMENWNLFSDTHEDFQNYTVARDTFLAHLGATLWGSVRHIISPSVADGAFHYYEKISYQLFFITQEKVRHIKQLPVDLKALQDGLSSLLIPSQKVMFSQDVLSLSEDPALAMAFSVARRAAAVPLLLVNGTYRKTIRSYLDSSILQYQLQRLNNHGSLKGSHAHSRSTLEVPIFWFIHTDPLLLDKHYQAKALSDMAIVVQSESSSWESHLQCNGKSLLWDLRRPVKPALAAVSEHLAGLLPLHFVYSHAHETAIEDWIWSVGCNPFSITSQGWHISKFQSDAMARSYIITTLEESIQLVNSAIHLLLWERTTEKTFKLFQSQERDLVNKYNYVVSLWRRVSTIAGELRYVDAMRLLYTLEEATKGFVDQVNATISLLHPIHCTKERKVHVEFDVTTIPAFLIVLGVLYIVLKPRRPKPKIN >EOY32202 pep chromosome:Theobroma_cacao_20110822:9:23077201:23096491:1 gene:TCM_039797 transcript:EOY32202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MEVESLYLPVPVNFIFIGFEGKGNQEFKLHPEELERWFTKIDHIFAHTRVPRIGELLTPFYKISIDKMQHHHLPIISHINYNFSVHAIQMGEKVTSIFEHAINVLARRDDVSGDRDGTDSLWQVDADMMDVLFTSLVEYLQLEDAYNIFILNPHPDAKRAKYGYRRGLSESEIAFLKEDKSLQSKILQSGRIPDSVLALDKIKKPLYGKHPMAKFAWTVTEETDTVEWYNICLDALTNVEKLYQGKDTAETIQSKVLQLLNGKNEDMKLLLESELRSGEFSDHHAECLTDTWIGKDSRWAFIDLTAGPFSWGPAVGGEGVRTELSLPNVGKTIGAVEEISEDEAEDRLQDAIQEKFAVFGDKDHQAIDILLAEIDIYELFAFKHCKGRRVKLALCEELDERMRDLKDELQSFEGEEYDENHRRKAIDALKRMENWNLFSDTHEDFQNYTVARDTFLAHLGATLWGSVRHIISPSVADGAFHYYEKISYQLFFITQEKVRHIKQLPVDLKALQDGLSSLLIPSQKVMFSQDVLSLSEDPALAMAFSVARRAAAVPLLLVNGTYRKTIRSYLDSSILQYQLQRLNNHGSLKGSHAHSRSTLEVPIFWFIHTDPLLLDKHYQAKALSDMAIVVQSESSSWESHLQCNGKSLLWDLRRPVKPALAAVSEHLAGLLPLHFVYSHAHETAIEDWIWSVGCNPFSITSQGWHISKFQSDAMARSYIITTLEESIQLVNSAIHLLLWERTTEKTFKLFQSQERDLVNKYNYVVSLWRRVSTIAGELRYVDAMRLLYTLEEATKGFVDQVNATISLLHPIHCTKERKVHVEFDVTTIPAFLIVLGVLYIVLKPRRPKPKIN >EOY32203 pep chromosome:Theobroma_cacao_20110822:9:23077366:23092667:1 gene:TCM_039797 transcript:EOY32203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 5 MKMDGIRSMLLVCIILLLVAKGTVGSRKSGKSSSSSVFSLFNLKEKSRFWSEAIIREDFHDLETTSPASMGVHNYTKAGNIANYLNLMEVESLYLPVPVNFIFIGFEGKGNQEFKLHPEELERWFTKIDHIFAHTRVPRIGELLTPFYKISIDKMQHHHLPIISHINYNFSVHAIQMGEKVTSIFEHAINVLARRDDVSGDRDGTDSLWQVDADMMDVLFTSLVEYLQLEDAYNIFILNPHPDAKRAKYGYRRGLSESEIAFLKEDKSLQSKILQSGRIPDSVLALDKIKKPLYGKHPMAKFAWTVTEETDTVEWYNICLDALTNVEKLYQGKDTAETIQSKVLQLLNGKNEDMKLLLESELRSGEFSDHHAECLTDTWIGKDRWAFIDLTAGPFSWGPAVGGEGVRTELSLPNVGKTIGAVEEISEDEAEDRLQDAIQEKFAVFGDKDHQAIDILLAEIDIYELFAFKHCKGRRVKLALCEELDERMRDLKDELQSFEGEEYDENHRRKAIDALKRMENWNLFSDTHEDFQNYTVARDTFLAHLGATLWGSVRHIISPSVADGAFHYYEKISYQLFFITQEKVRHIKQLPVDLKALQDGLSSLLIPSQKVMFSQDVLSLSEDPALAMAFSVARRAAAVPLLLVNGTYRKTIRSYLDSSILQYQLQRLNNHGSLKGSHAHSRSTLEVPIFWFIHTDPLLLDKHYQAKALSDMAIVVQSESSSWESHLQCNGKSLLWDLRRPVKPALAAVSEHLAGLLPLHFVYSHAHETAIEVCQF >EOY29484 pep chromosome:Theobroma_cacao_20110822:9:1315047:1317210:-1 gene:TCM_037002 transcript:EOY29484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDHIHVHCRISGVGRKTLLGNMAGWDLGNVLGRLHQARLPKKLTTFSPNFKTLSKRTRTITGFKKIHLN >EOY33976 pep chromosome:Theobroma_cacao_20110822:9:39532338:39532975:1 gene:TCM_041795 transcript:EOY33976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERLMSGSQNRFYRKSTSIGAFVFSFFQLCQKKTQPSALAYCTKFCHNQNILNIISIMLS >EOY33413 pep chromosome:Theobroma_cacao_20110822:9:37370257:37371989:1 gene:TCM_041388 transcript:EOY33413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S25 family protein MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYDKLLSEVPKYKLVTPSILSDRLRINGSLARRAIKDLMARGSIRIISAHASQQIYTRATNT >EOY32643 pep chromosome:Theobroma_cacao_20110822:9:32373918:32375652:1 gene:TCM_040661 transcript:EOY32643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDNLGKKHLIAAHRILKYLKGLPGQGILMKSEFDLKISSYVDSDKVECPDTRRLVTRFIIFIKDSLCYASRLSPFPSRFLRPLSVPTFSFLFIFVSSFSTAIFIF >EOY32971 pep chromosome:Theobroma_cacao_20110822:9:34690459:34691163:1 gene:TCM_040980 transcript:EOY32971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVKQIATLKAETLNRLSNWGRYYSTFDGSCDPRTTFSGKLDKEQLDFIRCETMATTLAMSRARETNRDYETTLMEVQLEVGIELAKLLAETIDPAFAGTNAVRIEEDGEEVCGICLENMEKGEEARAMGYCSHKFHAFCIF >EOY30037 pep chromosome:Theobroma_cacao_20110822:9:3163158:3171597:1 gene:TCM_037385 transcript:EOY30037 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 15 MRIWCCLCFSDDEEDDNQRKGSMKEGFLENVDDLEGNIVNDDDDDREEEEEATATAAAQLALNLNRQKQGDETLLLFEEMVTAMRCGGNWDGATWRPLIRCSRPSEGETSASASIAVEGCDHHDSHHKRAKVYSASHEMTSCSSAETDFSINQGSSILPNNGMFYHNFMLNNGGDGHPFDANGGNDEGGLRTEDFEIRMDLTDDLLHMVFSFLDHRNLCHAAMVCRQWRAASAHEDFWRCLNFEYRNISLEQFEDMCQRYPNATEVNLSGTPNIHLLVMKAVSSLRNLEALTLAKGQLGDAFFHALSECSMLSSLDVTDAILGNGIQEIPINHERLRDLKVTKCRVMRISIRCPQLKNLSLKRSNMAQAALNCPLLHLLDISSCHKLTDAAIRSAVTSCSQLESLDMSNCSCVSDETLREIALTCANLHVLNASYCPNISLESVRLPMLTVLKLDNCEGITSASMAAIAHSYMLEELELDNCHMLTLVSLDLPRLQKIRLVHCRKFADLNVQCFMLSSITVSNCAALHRINISSNSLQKLALQKQENLTMLALQCQCLQEVDLTDCASLTNSVCNIFSDGGGCPMLKSLVMDNCESLTAVQLSSTSLVSLSLVGCRAITTLDLACPCLEKICLDGCDHLERASFCPAALRSLNLGICPKLNTLRIDAPYMVSLELKGCGVLSEASINCPLLTSLDASFCSQLKDDCLSATTSSCRLIESLILMSCPSIGSDGLFSLRWLLNLTTLDLSYTFLTNLQPVFVSCLQLKVLKLQACKYLADSSLEPLYKECALQELQELDLSYGTLCQSAIEELLAYCTHLTHVSLNGCINMHDLNWGSTGGRLFESLSTDNASSMFSLEDINEPVEQANRLLQNLNCVGCPNIRKVLIPPPARCFHLSSLNLSLSANLKEVDLACFNLSFLNLSNCCSLEVLKLECPRLTSLFLQSCNIGEEAVETAISQCSMLETLDVRFCPKICTMSMGRLRAVCQSLKRIFSSLSPA >EOY32295 pep chromosome:Theobroma_cacao_20110822:9:26238801:26242855:-1 gene:TCM_040033 transcript:EOY32295 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACD1-like MDVLRASSGIVPSPYIPTATINNSQFTKPLFLNFLFKPLHHRNVSISKLFTTLSSSPASTESVDPPQPEVEADSELGKFDWYAQWYPVMPVCDLDKRVPHAKKVLGLDLVVWWDRNENEWKVFDDTCPHRLAPLSEGRIDQWGRLQCVYHGWCFGGAGDCKFIPQAAPDGPPVHTFKKACVAAYPSTVQHDILWFWPNADPEYKDIIMKKKPPYLPELEDPSFSKLMANRDIPYGYEVLVENLMDPAHVPYAHYGIMQTRSPKIKADREGGRPLEMSVKKLDVNGFTGKQDWGCSKFIAPCIFHAYADPAVDQGNGSETPAETKKASPANRKIALIFMCVPVSPGNSRLIWTFPRNFGIWIDKIVPRWMFHIRQNLILDSDLYLLHVEERKIMDIGATNWQKACFVPTKSDALVVGFRRWLNKYAGSKIDWRGKFSGALPPSPPREQLMDRYWSHVVNCKSCNAAYKGLNALEVILQVISLISIGIVAATKQGVMSMVTRTTVVSMAIICFAASRWLAYFIYKNFHYHDYNHAFR >EOY30947 pep chromosome:Theobroma_cacao_20110822:9:6382752:6384536:-1 gene:TCM_037984 transcript:EOY30947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A protein 9 MSGKGAKGLIMGKTSAAANKDKDKKKPISRSSRAGLQFPVGRIHRLLKSRTTAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKD >EOY30938 pep chromosome:Theobroma_cacao_20110822:9:6358848:6362444:1 gene:TCM_037978 transcript:EOY30938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MITQRRLQVFHFIYRHYFLSKPRLFSFHSRSPPLTKQSCIFLLKNCKSMNHLKQIQAQTFLLGLHQDCHTLNKLIAFCTDSSIGNFRYAEKVFSLIRNPSLFIYNVMIKTFVKKGSYKNAILVFGKLREQGLWPDNFTYPFVFKAIGSLGEVFEGEKIHGVVAKSGLEFDAYVINSLMDMYVQLGRVVYSKKIFDKMPERDVVAWNVLISGLVRCGIFEDAVNVFGLMIKEGLVKPNEATIVSTLSACTALRRLELGNEIDRYVRKELELTTIMGNALLDMYCKCGCLDIAIKVFDEMPIKNVNCWTSMVSGYVNCGLLDEARELFDRSPVRDVVLWTAMINGYVQFNRFDESMELFKEMQIQRVKPDNFVVVSLLTGCAQMGALGQGKWIHAYLNENRIVVDTIVGTALIEMYAKCGCVEEALEIFYGLSKKDTASWTSVICGLAVNGEASKALELFSQMKQTEEKPDDITFIGVLSACNHGGLVEEGRQFFDSMSKVYQIEPKLEHYACLIDLLGRAGRLAEVEKLIDDIPSQDNELVVPLYGSLLSACRTYVNVEMGEWVAQRLVEIKSSDSSIHTLLANIYASADRWGDVIRVRAKMKDLGVKKVPGCSSIDVNGVNHGAIT >EOY34497 pep chromosome:Theobroma_cacao_20110822:9:41313906:41321757:-1 gene:TCM_042160 transcript:EOY34497 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 1 isoform 1 MLTFRPCHLLRHTCLSRPLNLRFPPSSSHFLPSKPSFFRPPPLFLSSLNPYSNMSSRPSAFDALMSNARRLAAKKNSSSSSPTKKRKSLDSSPSKTQSPNSTKTQDSTEVKPDQESDINGIEKPIEDSPKPNVDETQKPPDSKKMKVVSVSERNAELKGKIGSLKKKPADFDPKMVACWEKGERVPFLFLSLAFDLISNETGRIVITDIVCNMLRTVIDTTPDDLVAAVYLAANKVAPAHEGLELGIGDASIIKALAEACGRTESQVKSQYKDKGDLGLVAQASRSSQSMMRKPDPLTVVKVFDTFRLIAKESGKDSQEKKKNRIKALLVAATDCEPQYLIRLLQTKLRIGFSEQTLLAALGQAAVHNEQHSKPPPNIQSPLEEAAKIVKQVFSILPVYDKVVPALLTGGIWNLSKTCSFTLGIPVGPMLAKPTKGVAEIVNKFQDIDFICEYKYDGERAQIHYMENGIVEIYSRNAERNTGKFPDVVAAISRFKKSSVKSFVLDCELVAYDRVQKKILPFQILSTRARKNVVVSDIKVDVCIFAFDILYLNGQPLLQEQLKVRKERLYDSFEEEAGFYQFATALTSNELEEIQAFLDSAVSLSCEGLIIKTLDRDATYEPSKRSLNWLKLKKDYMESIGDTLDLVPIAAFHGRGKRTGFYGAFLLACYDDRNEEFQSICKIGTGFTESELEERSASLRTKVIPEPKSYYRYGEMMKPDVWFEATEEVWEVKAADLTISPVHRAAIGIVDPDKGISLRFPRLVRVREDKAPEQASSSEQAGC >EOY34499 pep chromosome:Theobroma_cacao_20110822:9:41314813:41321685:-1 gene:TCM_042160 transcript:EOY34499 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 1 isoform 1 MLTFRPCHLLRHTCLSRPLNLRFPPSSSHFLPSKPSFFRPPPLFLSSLNPYSNMSSRPSAFDALMSNARRLAAKKNSSSSSPTKKRKSLDSSPSKTQSPNSTKTQDSTEVKPDQESDINGIEKPIEDSPKPNVDETQKPPDSKKMKVVSVSERNAELKGKIGSLKKKPADFDPKMVACWEKGERVPFLFLSLAFDLISNETGRIVITDIVCNMLRTVIDTTPDDLVAAVYLAANKVAPAHEGLELGIGDASIIKALAEACGRTESQVKSQYKDKGDLGLVAQASRSSQSMMRKPDPLTVVKVFDTFRLIAKESGKDSQEKKKNRIKALLVAATDCEPQYLIRLLQTKLRIGFSEQTLLAALGQAAVHNEQHSKPPPNIQSPLEEAAKIVKQVFSILPVYDKVVPALLTGGIWNLSKTCSFTLGIPVGPMLAKPTKGVAEIVNKFQDIDFICEYKYDGERAQIHYMENGIVEIYSRNAERNTGKFPDVVAAISRFKKSSVKSFVLDCELVAYDRVQKKILPFQILSTRARKNVVVSDIKVDVCIFAFDILYLNGQPLLQEQLKVRKERLYDSFEEEAGFYQFATALTSNELEEIQAFLDSAVSLSCEGLIIKTLDRDATYEPSKRSLNWLKLKKDYMESIGDTLDLVPIAAFHGRGKRTGFYGAFLLACYDDRNEEFQSICKIGTGFTESELEERSASLRTKVIPEPKSYYRYGEMMKPDVWFEATEVKLPPLLS >EOY34495 pep chromosome:Theobroma_cacao_20110822:9:41313677:41321993:-1 gene:TCM_042160 transcript:EOY34495 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 1 isoform 1 MLTFRPCHLLRHTCLSRPLNLRFPPSSSHFLPSKPSFFRPPPLFLSSLNPYSNMSSRPSAFDALMSNARRLAAKKNSSSSSPTKKRKSLDSSPSKTQSPNSTKTQDSTEVKPDQESDINGIEKPIEDSPKPNVDETQKPPDSKKMKVVSVSERNAELKGKIGSLKKKPADFDPKMVACWEKGERVPFLFLSLAFDLISNETGRIVITDIVCNMLRTVIDTTPDDLVAAVYLAANKVAPAHEGLELGIGDASIIKALAEACGRTESQVKSQYKDKGDLGLVAQASRSSQSMMRKPDPLTVVKVFDTFRLIAKESGKDSQEKKKNRIKALLVAATDCEPQYLIRLLQTKLRIGFSEQTLLAALGQAAVHNEQHSKPPPNIQSPLEEAAKIVKQVFSILPVYDKVVPALLTGGIWNLSKTCSFTLGIPVGPMLAKPTKGVAEIVNKFQDIDFICEYKYDGERAQIHYMENGIVEIYSRNAERNTGKFPDVVAAISRFKKSSVKSFVLDCELVAYDRVQKKILPFQILSTRARKNVVVSDIKVDVCIFAFDILYLNGQPLLQEQLKVRKERLYDSFEEEAGFYQFATALTSNELEEIQAFLDSAVSLSCEGLIIKTLDRDATYEPSKRSLNWLKLKKDYMESIGDTLDLVPIAAFHGRGKRTGFYGAFLLACYDDRNEEFQSICKIGTGFTESELEERSASLRTKVIPEPKSYYRYGEMMKPDVWFEATEVWEVKAADLTISPVHRAAIGIVDPDKGISLRFPRLVRVREDKAPEQASSSEQVAEMYNAQKHNQANNEDDGDDD >EOY34498 pep chromosome:Theobroma_cacao_20110822:9:41313906:41321757:-1 gene:TCM_042160 transcript:EOY34498 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 1 isoform 1 MLTFRPCHLLRHTCLSRPLNLRFPPSSSHFLPSKPSFFRPPPLFLSSLNPYSNMSSRPSAFDALMSNARRLAAKKNSSSSSPTKKRKSLDSSPSKTQSPNSTKTQDSTEVKPDQESDINGIEKPIEDSPKPNVDETQKPPDSKKMKVVSVSERNAELKGKIGSLKKKPADFDPKMVACWEKGERVPFLFLSLAFDLISNETGRIVITDIVCNMLRTVIDTTPDDLVAAVYLAANKVAPAHEGLELGIGDASIIKALAEACGRTESQVKSQYKDKGDLGLVAQASRSSQSMMRKPDPLTVVKVFDTFRLIAKESGKDSQEKKKNRIKALLVAATDCEPQYLIRLLQTKLRIGFSEQTLLAALGQAAVHNEQHSKPPPNIQSPLEEAAKIVKQVFSILPVYDKVVPALLTGGIWNLSKTCSFTLGIPVGPMLAKPTKGVAEIVNKFQDIDFICEYKYDGERAQIHYMENGIVEIYSRNAERNTGKFPDVVAAISRFKKSSVKSFVLDCELVAYDRVQKKILPFQILSTRARKNVVVSDIKVDVCIFAFDILYLNGQPLLQEQLKVRKERLYDSFEEEAGFYQFATALTSNELEEIQAFLDSAVSLSCEGLIIKTLDRDATYEPSKRSLNWLKLKKDYMESIGDTLDLVPIAAFHGRGKRTGFYGAFLLACYDDRNEEFQSICKIGTGFTESELEERSASLRTKVIPEPKSYYRYGEMMKPDVWFEATEGISLRFPR >EOY34496 pep chromosome:Theobroma_cacao_20110822:9:41315996:41321658:-1 gene:TCM_042160 transcript:EOY34496 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 1 isoform 1 MLTFRPCHLLRHTCLSRPLNLRFPPSSSHFLPSKPSFFRPPPLFLSSLNPYSNMSSRPSAFDALMSNARRLAAKKNSSSSSPTKKRKSLDSSPSKTQSPNSTKTQDSTEVKPDQESDINGIEKPIEDSPKPNVDETQKPPDSKKMKVVSVSERNAELKGKIGSLKKKPADFDPKMVACWEKGERVPFLFLSLAFDLISNETGRIVITDIVCNMLRTVIDTTPDDLVAAVYLAANKVAPAHEGLELGIGDASIIKALAEACGRTESQVKSQYKDKGDLGLVAQASRSSQSMMRKPDPLTVVKVFDTFRLIAKESGKDSQEKKKNRIKALLVAATDCEPQYLIRLLQTKLRIGFSEQTLLAALGQAAVHNEQHSKPPPNIQSPLEEAAKIVKQVFSILPVYDKVVPALLTGGIWNLSKTCSFTLGIPVGPMLAKPTKGVAEIVNKFQDIDFICEYKYDGERAQIHYMENGIVEIYSRNAERNTGKFPDVVAAISRFKKSSVKSFVLDCELVAYDRVQKKILPFQILSTRARKNVVVSDIKVDVCIFAFDILYLNGQPLLQEQLKVRKERLYDSFEEEAGFYQFATALTSNELEEIQAFLDSAVSLSCEGLIIKTLDRDATYEPSKRSLNWLKLKKDYMESIGDTLDLVPIAAFHGRGKRTGFYGAFLLACYDDRNEEFQSICKIE >EOY32381 pep chromosome:Theobroma_cacao_20110822:9:28466474:28467688:1 gene:TCM_040266 transcript:EOY32381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKTRDQAIYFGSLSKMGYKLDGERFIKTLKAGPRKESTLPAQLEGVLSQFSNKIIFNLLMRIDGKLTNQGAIIQKIEERLTEIERAMKEKEKMSSEPAVADTSVTPSNAPAQ >EOY31954 pep chromosome:Theobroma_cacao_20110822:9:18160465:18164239:1 gene:TCM_039321 transcript:EOY31954 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MSSRPELQAPPEIFYDDTEARKYTSSSRIAEIQTKLSERALELLALPDDGVPRLLLDIGCGSGLSGETITENGHQWIGLDISQSMLNVALEKEVEGDLLLGDMGQGLGLRPGVIDGAISISAVQWLCNADKSSHEPRLRLKAFFGSLYRCLARGARAVLQVYPENLAQRELILSYAMRAGFAGGVVVDFPHSTKSRKEYGLHFRQAQTEEKAENKQERQGQRMGVEEEGTDEKER >EOY31953 pep chromosome:Theobroma_cacao_20110822:9:18160260:18164390:1 gene:TCM_039321 transcript:EOY31953 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MSSRPELQAPPEIFYDDTEARKYTSSSRIAEIQTKLSERALELLALPDDGVPRLLLDIGCGSGLSGETITENGHQWIGLDISQSMLNVALEKEVEGDLLLGDMGQGLGLRPGVIDGAISISAVQWLCNADKSSHEPRLRLKAFFGSLYRCLARGARAVLQVYPENLAQRELILSYAMRAGFAGGVVVDFPHSTKSRKEYLVLTCGPPSLSTTIPNGKGEDGESCSDDESSGDEENQTVCISDRHRPRKKQKINKRGKAREWVLRKKEQMRRKGNVVPPDTKYTARKRKSRF >EOY31955 pep chromosome:Theobroma_cacao_20110822:9:18160631:18164299:1 gene:TCM_039321 transcript:EOY31955 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 2 MLNVALEKEVEGDLLLGDMGQGLGLRPGVIDGAISISAVQWLCNADKSSHEPRLRLKCLARGARAVLQVYPENLAQRELILSYAMRAGFAGGVVVDFPHSTKSRKEYLVLTCGPPSLSTTIPNGKGEDGESCSDDESSGDEENQTVCISDRHRPRKKQKINKRGKAREWVLRKKEQMRRKGNVVPPDTKYTARKRKSRF >EOY32143 pep chromosome:Theobroma_cacao_20110822:9:22069711:22075192:1 gene:TCM_039691 transcript:EOY32143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CPG-binding domain protein 02, putative isoform 2 MQSPPEKAFVMLKREGTDFAGSSYASHLDGTFQEAIAAAAASSSSSASSSSSSSSDDDSQATQNDDDLDNGNASKQLVLYDPAANGTVAIDSTPPGPIQCRPPPCPRFSSSRVLPSVGAFTVQCANCFKWRLIPTKEKYEEIREHILENPFVCETAREWRPDISCDDPTDISQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSTKFADIYYQAPSGKRLRSMVEVQKYLIEHPEYATEGVTLSRFSFQIPKPLQEDYVRKRPAPARLTGSHDNARPLEPGEVNPLAWADPDDTDLQLGRPALPPPSVEAPVSDSPNRPAKQARRTPSEQMYKSNPVSNQHGGKVEKRRSV >EOY32142 pep chromosome:Theobroma_cacao_20110822:9:22069745:22075237:1 gene:TCM_039691 transcript:EOY32142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CPG-binding domain protein 02, putative isoform 2 MQSPPEKAFVMLKREGTDFAGSSYASHLDGTFQEAIAAAAASSSSSASSSSSSSSDDDSQATQNDDDLDNGNASKQLVLYDPAANGTVAIDSTPPGPIQCRPPPCPRFSSSRVLPSVGAFTVQCANCFKWRLIPTKEKYEEIREHILENPFVCETAREWRPDISCDDPTDISQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSTKFADIYYQAPSGKRLRSMVEVQKYLIEHPEYATEGVTLSRFSFQIPKPLQEDYVRKRPAPARLTGSHDNARPLEPGEVNPLAWADPDDTDLQLGRPALPPPSVEAPVSDSPNRPAKQARRTPSEQMYKSNPVSNQHGGKVEKRRSV >EOY32141 pep chromosome:Theobroma_cacao_20110822:9:22069711:22075192:1 gene:TCM_039691 transcript:EOY32141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CPG-binding domain protein 02, putative isoform 2 MLKREGTDFAGSSYASHLDGTFQEAIAAAAASSSSSASSSSSSSSDDDSQATQNDDDLDNGNASKQLVLYDPAANGTVAIDSTPPGPIQCRPPPCPRFSSSRVLPSVGAFTVQCANCFKWRLIPTKEKYEEIREHILENPFVCETAREWRPDISCDDPTDISQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSTKFADIYYQAPSGKRLRSMVEVQKYLIEHPEYATEGVTLSRFSFQIPKPLQEDYVRKRPAPARLTGSHDNARPLEPGEVNPLAWADPDDTDLQLGRPALPPPSVEAPVSDSPNRPAKQARRTPSEQMYKSNPVSNQHGGKVEKRRSV >EOY33674 pep chromosome:Theobroma_cacao_20110822:9:38544653:38548796:1 gene:TCM_041580 transcript:EOY33674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fiber protein Fb17 MSLPSQESQSELNQCPNLAAMVHNVETTPLAISPDDLTQRSLNSQFHPDVEVETGSVARNGDLSLILTSKTDMPRPGGGNANELPQQFDSSDQPLNSLADNLTADQLVNSHGNVETGVRCSEPNQSHLDHEERPSDQASNGRKQRGRKPRVIPVEKSKNQLDQAGTSAKAGNGQKRRSRKPIIRTEEQENERKKIKKEIDRRHRRGKKNELTELRKLEQDLDRVMTIASGFGRIDQMVSQINRISCELQKVQQEEVGNGMFLQKIIDSADRVPPIRANEVQVYAWSDKCKEMEAECHRFHLMKSKYGKIEEVESMLDKFKNLEAESHRLKLMKSKYGEIEEIESRLDKFKNLEAEFNKLELMKSNYREIEEIESMLDKFKNLEAEFNRLELMKSMYGEIEENESMLDKFKNLETKSRRLELIKSKYEEIEEIESMLDKFKNLEAEFNRLELMKSKYGEIEEIESMLNKFKSLKTKSYRLELMKSKYGEIEEIESMLDKFKNLEAESHRFEQIKLLFGGVDEIESEIIRLKETELQLDKYKQMEENRKEMEFMLDTFHRMEAKLQRFEQIKSEFGGIDEIKAGMYRLKKIESQRDQLKELQFFTESPGSLQGHHGTQSSDLDRVNGTHSWLLTEVSNDEINLRLPAAVRGANTMHDMQYSDASVTKFMAKLDDDKAMSNVDLSSFKDLDGECEKVGNYNIPLCLVSTAQDIIKAKDDITKQSRFGQCVIEPAYILLCAAIKEMMDLPLEQATEEIMLKWRDAINDAKGLRFETEFAMEYMRKFARGYFGLKAKNDRESLELGMTILKTEEEVLKMELEKKTNEMKVLKAKEKDLTSEQCKDCQKFADQFFKKSVSVF >EOY30944 pep chromosome:Theobroma_cacao_20110822:9:6371026:6373161:1 gene:TCM_037981 transcript:EOY30944 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding family protein isoform 1 MATAQLSTQILRPIPAACVSFRQVTTTGLPSTSPSPSTTIFKTRKEAITTNQKLKWARRLSLVDQSSPTKPTVDVEGLVSFLYDDLPHLFDDQGIDRTAYDEQVTFRDPITKHDTISGYLFNISLLKTGPYEITTRWTMGMKFMLLPWKPELAFTGTSVMGINPKNGKFCSHLDFWDSIENNDYFSLEGLWDVFRQLRIYKTPDLETPRYQILKRTANYEVRKYTPFIVVETDGDKLSGSTGFNTVAGYIFGKNSTMEKIPMTTPVFTQALDPELSEFAKS >EOY30943 pep chromosome:Theobroma_cacao_20110822:9:6371081:6373005:1 gene:TCM_037981 transcript:EOY30943 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding family protein isoform 1 MATAQLSTQILRPIPAACVSFRQVTTTGLPSTSPSPSTTIFKTRKEAITTNQKLKWARRLSLVDQSSPTKPTVDVEGLVSFLYDDLPHLFDDQGIDRTAYDEQVTFRDPITKHDTISGYLFNISLLKVLFRPLFQLHWVKQTGPYEITTRWTMGMKFMLLPWKPELAFTGTSVMGINPKNGKFCSHLDFWDSIENNDYFSLEGLWDVFRQLRIYKTPDLETPRYQILKRTANYEVRKYTPFIVVETDGDKLSGSTGFNTVAGYIFGKNSTMEKIPMTTPVFTQALDPELSEVSIQIVLPLEKDISR >EOY30941 pep chromosome:Theobroma_cacao_20110822:9:6371026:6374710:1 gene:TCM_037981 transcript:EOY30941 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding family protein isoform 1 MATAQLSTQILRPIPAACVSFRQVTTTGLPSTSPSPSTTIFKTRKEAITTNQKLKWARRLSLVDQSSPTKPTVDVEGLVSFLYDDLPHLFDDQGIDRTAYDEQVTFRDPITKHDTISGYLFNISLLKVLFRPLFQLHWVKQTGPYEITTRWTMGMKFMLLPWKPELAFTGTSVMGINPKNGKFCSHLDFWDSIENNDYFSLEGLWDVFRQLRIYKTPDLETPRYQILKRTANYEVRKYTPFIVVETDGDKLSGSTGFNTVAGYIFGKNSTMEKIPMTTPVFTQALDPELSEVSIQIVLPLEKDISSLPNPSQETVNLRKVEEGIAAALKFSGKPTEEVVREKEKALRSSLIRDGLKPKKGCLLARYNDPGRTWSFTMRNEVLIWLEEFTLE >EOY30942 pep chromosome:Theobroma_cacao_20110822:9:6371026:6374640:1 gene:TCM_037981 transcript:EOY30942 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding family protein isoform 1 MATAQLSTQILRPIPAACVSFRQVTTTGLPSTSPSPSTTIFKTRKEAITTNQKLKWARRLSLVDQSSPTKPTVDVEGLVSFLYDDLPHLFDDQGIDRTAYDEQVTFRDPITKHDTISGYLFNISLLKTGPYEITTRWTMGMKFMLLPWKPELAFTGTSVMGINPKNGKFCSHLDFWDSIENNDYFSLEGLWDVFRQLRIYKTPDLETPRYQILKRTANYEVRKYTPFIVVETDGDKLSGSTGFNTVAGYIFGKNSTMEKIPMTTPVFTQALDPELSEVSIQIVLPLEKDISSLPNPSQETVNLRKVEEGIAAALKFSGKPTEEVVREKEKALRSSLIRDGLKPKKGCLLARYNDPGRTWSFTMRNEVLIWLEEFTLE >EOY31857 pep chromosome:Theobroma_cacao_20110822:9:16611821:16613699:1 gene:TCM_039168 transcript:EOY31857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase MSSPSTGAAGVETAVIIATVVVLVIKAIIVAYVCSKRARIKSSDVCPDSQFLTLTMDKFLNDMEREKPIRFTTQQLRIATDNFTNLLGSGGFGAVYKGIFSNGTIVAVKVLHGTSDKRIEEQFMAEVSTIGRVHHFNLVRLYGFCFERNLRALVYEFMTNGSLDKFLFSEDKKLGFEKLHEIAVGTAKGIAYLHEECQQRIIHYDIKPGNILLDAKYFPKVADFGLAKLCNRENTHVTMTGGRGTPGYAAPELWMPYPITHKCDVYSFGMLLFEIIGKRRNLDRNLPETQEWFPRWVWKKIENGNLVELMIVCGVEEKDGDMAERMIKTALWCVQYRPESRPLMSIVVKMLEGALETPAPSNPFAYLWMEPSEPNNNIPRHTIWTGTPCDSESSSVVTRSSLECATPIMRKYGIEMAST >EOY33896 pep chromosome:Theobroma_cacao_20110822:9:39283748:39286426:1 gene:TCM_041735 transcript:EOY33896 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 GTPRLQYLQELVSQFQNTTDEETKEKIAANLANFAYDPYNYSFLRQLNVLELYLDCLTEPNEKLVEFGIGGICNSCVDPANAAILTQCDGIPLVIQCLSSPVRNTVNYALGALYYLCNKSNREEILKPEVVDVIERYAAAQTVNVSFSNLAKAFLDKHVSKDK >EOY33895 pep chromosome:Theobroma_cacao_20110822:9:39283433:39286389:1 gene:TCM_041735 transcript:EOY33895 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MFTNDQRQGERTGKYGTPRLQYLQELVSQFQNTTDEETKEKIAANLANFAYDPYNYSFLRQLNVLELYLDCLTEPNEKLVEFGIGGICNSCVDPANAAILTQCDGIPLVIQCLSSPVRNTVNYALGALYYLCNKSNREEILKPEVVDVIERYAAAQTVNVSFSNLAKAFLDKHVSKDK >EOY33897 pep chromosome:Theobroma_cacao_20110822:9:39283748:39286426:1 gene:TCM_041735 transcript:EOY33897 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 2 MKLNVLELYLDCLTEPNEKLVEFGIGGICNSCVDPANAAILTQCDGIPLVIQCLSSPVRNTVNYALGALYYLCNKSNREEILKPEVVDVIERYAAAQTVNVSFSNLAKAFLDKHVSKDK >EOY29510 pep chromosome:Theobroma_cacao_20110822:9:1407101:1407744:1 gene:TCM_037023 transcript:EOY29510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRRVVQWLFFITCCNALLHSFCESSAFTSPDAAAHDGIKPPLMKEKQPKNVYRSQRTFKDLHEALYLRDVREEKEVIDHNKKGKGTYGGGDLLRPRSKRSGANSFLLKPSSLLSVVLRYGTLGLLSSMFLY >EOY29518 pep chromosome:Theobroma_cacao_20110822:9:1435767:1436355:-1 gene:TCM_037030 transcript:EOY29518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low temperature and salt responsive protein family isoform 1 MPSRCEICCELLIAVLLPPLGVCLRHGCCSVEFCICLLLTILGYVPGIIYALYAIVFVDRDEYFDEYRRPLYYSSA >EOY29519 pep chromosome:Theobroma_cacao_20110822:9:1434434:1436340:-1 gene:TCM_037030 transcript:EOY29519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low temperature and salt responsive protein family isoform 1 MPSRCEICCELLIAVLLPPLGVCLRHGCCSVEFCICLLLTILGYVPGIIYALYAIVFVDRDEYFDEYRRPLYYSSA >EOY31589 pep chromosome:Theobroma_cacao_20110822:9:10024963:10026397:-1 gene:TCM_038538 transcript:EOY31589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYSPVMDMITFCFLISLTIFEKLKMRLKDVVMAYLYGLLDSDTCMIIPKGFKIPKAYTSCNLFSIKLQRSLYELKQSSHMRYQHLSDYQIKEGYKNNSICPCVFIKKSKIGFVMVVVYVDNMNLIRTLEKLSKTTEYLKKEFEVKDFGKIKLCLGLEREHKANGILIHPFRDCLDILTWTWLTH >EOY32382 pep chromosome:Theobroma_cacao_20110822:9:28476434:28479830:1 gene:TCM_040267 transcript:EOY32382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMSTLEGRVAKMEVVVGETSNKLEGFEANMDELRSKDDELCGEFHKMIETLNHRNATLKELVKSLWQEVWGLRDKLVTLEATIKGGAQATQWGTRLKGATNNSKMLYSPKDEDQWQRDYHKRMPRSPRHRHRNVKTCQALMRPKAQGKGGLVRNKCPRRQRIPKNYVEAKNAQNEVV >EOY30125 pep chromosome:Theobroma_cacao_20110822:9:3496749:3500053:1 gene:TCM_037445 transcript:EOY30125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase MVFQDFDLIQERRRLERQRKFRKRVGIAVVTTCVVIGLVAAGIFVIVSTKNKEQQGTSANNKAHQAPSTKQVSRSEKLINTICNGTSYKESCESTLKKAVKEHPNTAQPKDLLKSSISATADELDKAFTKASSFQFNSPGEKQAFNVCKEVMANAKEELATAIGKVGDKDTGKLLSSGELNNWLSAVMSYQETCIDSFADGKLKTDIKSTLNSSQELTSNSLAMARQLSSHVSSVMEMPAASRHLLESTSPSVDKDGLPNWLNHDERRLLKGADVEKPTPNVTVAKDGSGNFSTISEALAAMPQKYDGRYVIYVKAGIYEETVLVTKKMVNLTIYGDGSQKTIVTGNKNYVDGVPTYLTATFVASGDGFLAKAMGFRNTAGPEKHQAVAARVDADRAIFLNCRFEGYQDTLYVQTHRQFYRSCVVAGTIDFIFGDAAAVFQNCLIYVRKPMDNQKNIVTAQGRKDKFETTGIVLQNCKILPDDSFTSFKSQFKSYLGRPWKEYSRTIVMESLIEDLIDPAGWLEWEGNFALNTLYYAEYNNNGPGAKTDARVKWPGRKVINKDEAMKFTVETFLQGTWIKATGAPVRMGLGN >EOY32241 pep chromosome:Theobroma_cacao_20110822:9:24125408:24130041:1 gene:TCM_039865 transcript:EOY32241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 2 isoform 1 MAATVAPPADRRLGLVIGCEFDRWDYSQIAKICISFTLCFLIETAELLQKLSLDSQAKPLDIPEPTKKPSVYQYESVDSGNAGNTQIPSFERCATPLLPDFMDPTMCYLPNGYPSAYYYGGFEGTGNDWDEYSRYVNPDGVDMTSGVYGDNGSLMYHHGYGYAPYGPYSPAGSPVPTVGNDGQLYGPQHYQYPPYFQPLTPSNGPFTPNPAAPSQGELSTSAAADQKPLPVETANTNSNGVAGGASLKGNNGSAAIKPSYPSSFNSNNSYGRGAMPGGIPASGYQDPRFGFDGFRSPIPWLDGSMFSDGQHRPVTSTGINSSFSKANNIPSLRNQNYRSNSHYVGLHHPGPMSGMGTAHGFINRMYPNKLYGQYGNTFRSGLGFGSNGYDLRANGRGWLAADNKYKPRGRGNGYFGSGNDNMDGLNELNRGPRAKGPKNQKGATPIATAVKGQNVPSDGTNREEKEKACVVPDRERYNREEFPVDYTDAKLFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAAYQEAQQKSGGCPVYLFFSVNTSGQFVGLAEMVGPVDFQKNVEYWQQDKWTGCFPVKWHIIKDVPNNSLKHITLENNENKPVTNSRDTQEIKLEQGLKLIKIFKEHSSKTCILDDFEFYEVRQKAIQEKKAKQQQQQLQKQVWEGKPVDDKKDVANGSQKSMDAGSDLIKESSVIAHSNGDLKPSENGSVTKSRDAPKGAKPVVVSEKRVLSNGIANGC >EOY32242 pep chromosome:Theobroma_cacao_20110822:9:24125409:24130333:1 gene:TCM_039865 transcript:EOY32242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 2 isoform 1 MAATVAPPADQTAELLQKLSLDSQAKPLDIPEPTKKPSVYQYESVDSGNAGNTQIPSFERCATPLLPDFMDPTMCYLPNGYPSAYYYGGFEGTGNDWDEYSRYVNPDGVDMTSGVYGDNGSLMYHHGYGYAPYGPYSPAGSPVPTVGNDGQLYGPQHYQYPPYFQPLTPSNGPFTPNPAAPSQGELSTSAAADQKPLPVETANTNSNGVAGGASLKGNNGSAAIKPSYPSSFNSNNSYGRGAMPGGIPASGYQDPRFGFDGFRSPIPWLDGSMFSDGQHRPVTSTGINSSFSKANNIPSLRNQNYRSNSHYVGLHHPGPMSGMGTAHGFINRMYPNKLYGQYGNTFRSGLGFGSNGYDLRANGRGWLAADNKYKPRGRGNGYFGSGNDNMDGLNELNRGPRAKGPKNQKGATPIATAVKGQNVPSDGTNREEKEKACVVPDRERYNREEFPVDYTDAKLFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAAYQEAQQKSGGCPVYLFFSVNTSGQFVGLAEMVGPVDFQKNVEYWQQDKWTGCFPVKWHIIKDVPNNSLKHITLENNENKPVTNSRDTQEIKLEQGLKLIKIFKEHSSKTCILDDFEFYEVRQKAIQEKKAKQQQQQLQKQVWEGKPVDDKKDVANGSQKSMDAGSDLIKESSVIAHSNGDLKPSENGSVTKSRDAPKGAKPVVVSEKRVLSNGIANGC >EOY30200 pep chromosome:Theobroma_cacao_20110822:9:3748598:3750960:-1 gene:TCM_037485 transcript:EOY30200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 20 isoform 2 MATFHLTASSVTVSARNLASFEGLRPSTVKFSSFGTLKPGALTQRSFKSLVVKAATVVAPKYTSIKPLGDRVLVKIKETEQKTEGGILLPTTAQSKPQGGEVIAVGEGKTIGKTKLEISTGAQVIYSKYAGTEVEFNGSNHLILKEDDIVGILETDDVKDLKPLNDRVLIKVAEAEEKTAGGLLLTEASKEKPSIGSVIAVGPGTLDEEGNRKPLSVAPGNTVLYSKYAGNDFKGSDGTNYIALRASDVMAVLS >EOY30202 pep chromosome:Theobroma_cacao_20110822:9:3748598:3750844:-1 gene:TCM_037485 transcript:EOY30202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 20 isoform 2 MATFHLTASSVTVSARNLASFEGLRPSTVKFSSFGTLKPGALTQRSFKSLVVKAATVVAPKYTSIKPLGDRVLVKIKETEQKTEGGILLPTTAQSKPQGGEVIAVGEGKTIGKTKLEISTGAQVIYSKYAGTEVEFNGSNHLILKEDDIVGILETDDVKDLKPLNDRVLIKVAEAEEKTAGGLLLTEASKEKPSIGSVIAVGPGTLDEEGNRKPLSVAPGNTVLYSKYAGNDFKGSDGTNYIALRASDVMAVLS >EOY30201 pep chromosome:Theobroma_cacao_20110822:9:3748738:3750897:-1 gene:TCM_037485 transcript:EOY30201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 20 isoform 2 MATFHLTASSVTVSARNLASFEGLRPSTVKFSSFGTLKPGALTQRSFKSLVVKAATVVAPKYTSIKPLGDRVLVKIKETEQKTEGGILLPTTAQSKPQGGEVIAVGEGKTIGKTKLEISVKTGAQVIYSKYAGTEVEFNGSNHLILKEDDIVGILETDDVKDLKPLNDRVLIKVAEAEEKTAGGLLLTEASKEKPSIGSVIAVGPGTLDEEGNRKPLSVAPGNTVLYSKYAGNDFKGSDGTNYIALRASDVMAVLS >EOY30199 pep chromosome:Theobroma_cacao_20110822:9:3748712:3750905:-1 gene:TCM_037485 transcript:EOY30199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 20 isoform 2 MATFHLTASSVTVSARNLASFEGLRPSTVKFSSFGTLKPGALTQRSFKSLVVKAATVVAPKYTSIKPLGDRVLVKIKETEQKTEGGILLPTTAQSKPQGGEVIAVGEGKTIGKTKLEISVKTGAQVIYSKYAGTEVEFNGSNHLILKEDDIVGILETDDVKDLKPLNDRVLIKVAEAEEKTAGGLLLTEASKEKPSIGSVIAVGPGTLDEEGNRKPLSVAPGNTVLYSKYAGNDFKGSDGTNYIALRASDVMAVLS >EOY30820 pep chromosome:Theobroma_cacao_20110822:9:5923361:5923951:-1 gene:TCM_037899 transcript:EOY30820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCYASVPSVGKQGLVAQRRWFVLQASMMAAARHAPRAGVARETGGAGSTSMKQCLCSPTKHPGSFRCRHHHAEYVWGGRFISKK >EOY31677 pep chromosome:Theobroma_cacao_20110822:9:11982513:12007087:1 gene:TCM_038725 transcript:EOY31677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family isoform 5 MEGDGVALACVISGTLFAVLGSASFSILWAVNWRPWRIYSWIFARKWPSILQGPQLGMLCGFLSLLAWVVVVSPVLVLIMWGCWLIIILGRDIVGLAVIMAGTALLLAFYSIMLWWRTRWQSSRAVAFLLLLAVALLCAYELCAVYVTAGSSASERYSPSGFFFGVSAIALAINMLFICCMVFNGNGLDVDEYVRRAYKFAYSDSIEMGPVSCIPEPPDPNELYPREFSRASHLGLLYLGSLAVLLVYSILYGLTAKDAHWLGAITSAAVIILDWNMGACLYGFQLLKSRVAALFVAGTSRVFLICFGVHYWYLGHCISYAVVASVLLGAAVSRHFSATNPLAARRDALQSTVIRLREGFRRKEQNSSSSSSDGCGSSVKRSSSVEAGHLNNIIEDSSRSIVQCSVDANNWNNLVTCPTASFQEGINSDKSIDSGRPSLALHSSSHRSVVQEHEVGSDKNFDPYNSLVVCSSSGLDSQGCESSTSTSANQQMLDMNLALAFQERLSDPRITSMLKRRARHGDRELTSLLQDKGLDPNFAMMLKEKSLDPTILALLQRSSLDADRDHRDNTDITIVDSSSVDNAMPVQISLSEELRLQGLEKWLQLSRLVLHHIASTPERAWVLFSFVFIIETIVVAVFRPKTIKIISATHQQFEFGFAVLLLSPVVCSIMAFIRSLQGEDSALTPKPRRYGFVAWLLSTCVGLLLSFLSKSSVLLGLSLTVPLMVACLSVAIPKWIHNGYQFWVPQVQCVGHAGNHRPPGTKEVVVLTLCITVFAGSVLALGAIVSAKPLEDLRYKGWTGEQNNFSSPYASSAYLGWAMASAVALAVTGVLPIISWFATYRFSASSAVCVGIFSVVLVAFCGASYLKIVKSRDDQVPTTGDFLAALLPLVCIPALLALCSGLLKWKDDDWKLSRGVYVFVTIGLLLLLGAISAVIVVIKPWTIGAAFLLVLLLIVLAIGVIHHWASNNFYLTRTQMFLVCFLAFLLGLAAFFVGWFQDKPFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSAAFLVLYGIALATEGWGVVASLKIYPPFAGAAVSAVTLVVAFGFAVSRPCLTLKMMEDAVHFLSKDTVVQAIARSATKTRNALSGTYSAPQRSASSAALLVGDPAATLDKGGNFVLPRDDVMKLRDRLRNEELVAGSFFHRMRYRRRFHHEPTSDVDYRREMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLNLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYIREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLISSIPNAGGREAAAMAAAVRAVGGDSVLEDSFARERVSSIARRIRTAQLARRALQTGITGAVCILDDEPTTSGRHCGQIDPSMCQSQKVSFSIAVMIQPESGPVCLLGTEFQKKVCWEILVAGSEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTIDADIGEATCYLDGGFDGYQTGLPLCVGSSIWEQETEVWVGVRPPIDMDAFGRSDSEGAESKMHVMDVFLWGRCLNEDEIASLHAAISLTEFNLIDFPEDNWHWADSPPRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSEREGFVVHVDSFARRYRKPRIETQEEINQRMLSVELAVKEALSARGEMHFTDNEFPPNDQSLFIDPGNPPSKLQVVSEWMRPAEIVKEGRLDSRPCLFSGTANPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGEWVPVVVDDWIPCESPGKPSFATSRKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQMLRFKQEGFLLGAGSPSGSDVHVSSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDTSSEWTDRMRHKLKHVPQSKDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYNSWHQNPQFRLRASGPDASYPIHVFITLTQGVSFSRTAAGFRNYQSSHDSLMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREISCEMVLEPDPKGYTIVPTTIHPGEEAPFVLSVFTKASIILEPL >EOY31678 pep chromosome:Theobroma_cacao_20110822:9:11983006:12005734:1 gene:TCM_038725 transcript:EOY31678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family isoform 5 MEGDGVALACVISGTLFAVLGSASFSILWAVNWRPWRIYSWIFARKWPSILQGPQLGMLCGFLSLLAWVVVVSPVLVLIMWGCWLIIILGRDIVGLAVIMAGTALLLAFYSIMLWWRTRWQSSRAVAFLLLLAVALLCAYELCAVYVTAGSSASERYSPSGFFFGVSAIALAINMLFICCMVFNGNGLDVDEYVRRAYKFAYSDSIEMGPVSCIPEPPDPNELYPREFSRASHLGLLYLGSLAVLLVYSILYGLTAKDAHWLGAITSAAVIILDWNMGACLYGFQLLKSRVAALFVAGTSRVFLICFGVHYWYLGHCISYAVVASVLLGAAVSRHFSATNPLAARRDALQSTVIRLREGFRRKEQNSSSSSSDGCGSSVKRSSSVEAGHLNNIIEDSSRSIVQCSVDANNWNNLVTCPTASFQEGINSDKSIDSGRPSLALHSSSHRSVVQEHEVGSDKNFDPYNSLVVCSSSGLDSQGCESSTSTSANQQMLDMNLALAFQERLSDPRITSMLKRRARHGDRELTSLLQDKGLDPNFAMMLKEKSLDPTILALLQRSSLDADRDHRDNTDITIVDSSSVDNAMPVQISLSEELRLQGLEKWLQLSRLVLHHIASTPERAWVLFSFVFIIETIVVAVFRPKTIKIISATHQQFEFGFAVLLLSPVVCSIMAFIRSLQGEDSALTPKPRRYGFVAWLLSTCVGLLLSFLSKSSVLLGLSLTVPLMVACLSVAIPKWIHNGYQFWVPQVQCVGHAGNHRPPGTKEVVVLTLCITVFAGSVLALGAIVSAKPLEDLRYKGWTGEQNNFSSPYASSAYLGWAMASAVALAVTGVLPIISWFATYRFSASSAVCVGIFSVVLVAFCGASYLKIVKSRDDQVPTTGDFLAALLPLVCIPALLALCSGLLKWKDDDWKLSRGVYVFVTIGLLLLLGAISAVIVVIKPWTIGAAFLLVLLLIVLAIGVIHHWASNNFYLTRTQMFLVCFLAFLLGLAAFFVGWFQDKPFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSAAFLVLYGIALATEGWGVVASLKIYPPFAGAAVSAVTLVVAFGFAVSRPCLTLKMMEDAVHFLSKDTVVQAIARSATKTRNALSGTYSAPQRSASSAALLVGDPAATLDKGGNFVLPRDDVMKLRDRLRNEELVAGSFFHRMRYRRRFHHEPTSDVDYRREMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLNLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYIREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLISSIPNAGGREAAAMAAAVRAVGGDSVLEDSFARERVSSIARRIRTAQLARRALQTGITGAVCILDDEPTTSGRHCGQIDPSMCQSQKVSFSIAVMIQPESGPVCLLGTEFQKKVCWEILVAGSEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTIDADIGEATCYLDGGFDGYQTGLPLCVGSSIWEQETEVWVGVRPPIDMDAFGRSDSEGAESKMHVMDVFLWGRCLNEDEIASLHAAISLTEFNLIDFPEDNWHWADSPPRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSEREGFVVHVDSFARRYRKPRIETQEEINQRMLSVELAVKEALSARGEMHFTDNEFPPNDQSLFIDPGNPPSKLQVVSEWMRPAEIVKEGRLDSRPCLFSGTANPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGEWVPVVVDDWIPCESPGKPSFATSRKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQMLRFKQEGFLLGAGSPSGSDVHVSSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDTSSEWTDRMRHKLKHVPQSKDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYNSWHQNPQFRLRASGPDASYPIHVFITLTQVCLVTNL >EOY31679 pep chromosome:Theobroma_cacao_20110822:9:11982513:12007087:1 gene:TCM_038725 transcript:EOY31679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family isoform 5 MEGDGVALACVISGTLFAVLGSASFSILWAVNWRPWRIYSWIFARKWPSILQGPQLGMLCGFLSLLAWVVVVSPVLVLIMWGCWLIIILGRDIVGLAVIMAGTALLLAFYSIMLWWRTRWQSSRAVAFLLLLAVALLCAYELCAVYVTAGSSASERYSPSGFFFGVSAIALAINMLFICCMVFNGNGLDVDEYVRRAYKFAYSDSIEMGPVSCIPEPPDPNELYPREFSRASHLGLLYLGSLAVLLVYSILYGLTAKDAHWLGAITSAAVIILDWNMGACLYGFQLLKSRVAALFVAGTSRVFLICFGVHYWYLGHCISYAVVASVLLGAAVSRHFSATNPLAARRDALQSTVIRLREGFRRKEQNSSSSSSDGCGSSVKRSSSVEAGHLNNIIEDSSRSIVQCSVDANNWNNLVTCPTASFQEGINSDKSIDSGRPSLALHSSSHRSVVQEHEVGSDKNFDPYNSLVVCSSSGLDSQGCESSTSTSANQQMLDMNLALAFQERLSDPRITSMLKRRARHGDRELTSLLQDKGLDPNFAMMLKEKSLDPTILALLQRSSLDADRDHRDNTDITIVDSSSVDNAMPVQISLSEELRLQGLEKWLQLSRLVLHHIASTPERAWVLFSFVFIIETIVVAVFRPKTIKIISATHQQFEFGFAVLLLSPVVCSIMAFIRSLQGEDSALTPKPRRYGFVAWLLSTCVGLLLSFLSKSSVLLGLSLTVPLMVACLSVAIPKWIHNGYQFWVPQVQCVGHAGNHRPPGTKEVVVLTLCITVFAGSVLALGAIVSAKPLEDLRYKGWTGEQNNFSSPYASSAYLGWAMASAVALAVTGVLPIISWFATYRFSASSAVCVGIFSVVLVAFCGASYLKIVKSRDDQVPTTGDFLAALLPLVCIPALLALCSGLLKWKDDDWKLSRGVYVFVTIGLLLLLGAISAVIVVIKPWTIGAAFLLVLLLIVLAIGVIHHWASNNFYLTRTQMFLVCFLAFLLGLAAFFVGWFQDKPFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSAAFLVLYGIALATEGWGVVASLKIYPPFAGAAVSAVTLVVAFGFAVSRPCLTLKMMEDAVHFLSKDTVVQAIARSATKTRNALSGTYSAPQRSASSAALLVGDPAATLDKGGNFVLPRDDVMKLRDRLRNEELVAGSFFHRMRYRRRFHHEPTSDVDYRREMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLNLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYIREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLISSIPNAGGREAAAMAAAVRAVGGDSVLEDSFARERVSSIARRIRTAQLARRALQTGITGAVCILDDEPTTSGRHCGQIDPSMCQSQKVSFSIAVMIQPESGPVCLLGTEFQKKVCWEILVAGSEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTIDADIGEATCYLDGGFDGYQTGLPLCVGSSIWEQETEVWVGVRPPIDMDAFGRSDSEGAESKMHVMDVFLWGRCLNEDEIASLHAAISLTEFNLIDFPEDNWHWADSPPRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSEREGFVVHVDSFARRYRKPRIETQEEINQRMLSVELAVKEALSARGEMHFTDNEFPPNDQSLFIDPGNPPSKLQVVSEWMRPAEIVKEGRLDSRPCLFSGTANPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGEWVPVVVDDWIPRASGPDASYPIHVFITLTQGVSFSRTAAGFRNYQSSHDSLMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREISCEMVLEPDPKGYTIVPTTIHPGEEAPFVLSVFTKASIILEPL >EOY31681 pep chromosome:Theobroma_cacao_20110822:9:11992715:12007168:1 gene:TCM_038725 transcript:EOY31681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family isoform 5 MVACLSVAIPKWIHNGYQFWVPQVQCVGHAGNHRPPGTKEVVVLTLCITVFAGSVLALGAIVSAKPLEDLRYKGWTGEQNNFSSPYASSAYLGWAMASAVALAVTGVLPIISWFATYRFSASSAVCVGIFSVVLVAFCGASYLKIVKSRDDQVPTTGDFLAALLPLVCIPALLALCSGLLKWKDDDWKLSRGVYVFVTIGLLLLLGAISAVIVVIKPWTIGAAFLLVLLLIVLAIGVIHHWASNNFYLTRTQMFLVCFLAFLLGLAAFFVGWFQDKPFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSAAFLVLYGIALATEGWGVVASLKIYPPFAGAAVSAVTLVVAFGFAVSRPCLTLKMMEDAVHFLSKDTVVQAIARSATKTRNALSGTYSAPQRSASSAALLVGDPAATLDKGGNFVLPRDDVMKLRDRLRNEELVAGSFFHRMRYRRRFHHEPTSDVDYRREMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLNLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYIREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLISSIPNAGGREAAAMAAAVRAVGGDSVLEDSFARERVSSIARRIRTAQLARRALQTGITGAVCILDDEPTTSGRHCGQIDPSMCQSQKVSFSIAVMIQPESGPVCLLGTEFQKKVCWEILVAGSEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTIDADIGEATCYLDGGFDGYQTGLPLCVGSSIWEQETEVWVGVRPPIDMDAFGRSDSEGAESKMHVMDVFLWGRCLNEDEIASLHAAISLTEFNLIDFPEDNWHWADSPPRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSEREGFVVHVDSFARRYRKPRIETQEEINQRMLSVELAVKEALSARGEMHFTDNEFPPNDQSLFIDPGNPPSKLQVVSEWMRPAEIVKEGRLDSRPCLFSGTANPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGEWVPVVVDDWIPCESPGKPSFATSRKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQMLRFKQEGFLLGAGSPSGSDVHVSSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDTSSEWTDRMRHKLKHVPQSKDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYNSWHQNPQFRLRASGPDASYPIHVFITLTQGVSFSRTAAGFRNYQSSHDSLMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREISCEMVLEPDPKGYTIVPTTIHPGEEAPFVLSVFTKASIILEPL >EOY31676 pep chromosome:Theobroma_cacao_20110822:9:11982513:12007087:1 gene:TCM_038725 transcript:EOY31676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family isoform 5 MEGDGVALACVISGTLFAVLGSASFSILWAVNWRPWRIYSWIFARKWPSILQGPQLGMLCGFLSLLAWVVVVSPVLVLIMWGCWLIIILGRDIVGLAVIMAGTALLLAFYSIMLWWRTRWQSSRAVAFLLLLAVALLCAYELCAVYVTAGSSASERYSPSGFFFGVSAIALAINMLFICCMVFNGNGLDVDEYVRRAYKFAYSDSIEMGPVSCIPEPPDPNELYPREFSRASHLGLLYLGSLAVLLVYSILYGLTAKDAHWLGAITSAAVIILDWNMGACLYGFQLLKSRVAALFVAGTSRVFLICFGVHYWYLGHCISYAVVASVLLGAAVSRHFSATNPLAARRDALQSTVIRLREGFRRKEQNSSSSSSDGCGSSVKRSSSVEAGHLNNIIEDSSRSIVQCSVDANNWNNLVTCPTASFQEGINSDKSIDSGRPSLALHSSSHRSVVQEHEVGSDKNFDPYNSLVVCSSSGLDSQGCESSTSTSANQQMLDMNLALAFQERLSDPRITSMLKRRARHGDRELTSLLQDKGLDPNFAMMLKEKSLDPTILALLQRSSLDADRDHRDNTDITIVDSSSVDNAMPVQISLSEELRLQGLEKWLQLSRLVLHHIASTPERAWVLFSFVFIIETIVVAVFRPKTIKIISATHQQFEFGFAVLLLSPVVCSIMAFIRSLQGEDSALTPKPRRYGFVAWLLSTCVGLLLSFLSKSSVLLGLSLTVPLMVACLSVAIPKWIHNGYQFWVPQVQCVGHAGNHRPPGTKEVVVLTLCITVFAGSVLALGAIVSAKPLEDLRYKGWTGEQNNFSSPYASSAYLGWAMASAVALAVTGVLPIISWFATYRFSASSAVCVGIFSVVLVAFCGASYLKIVKSRDDQVPTTGDFLAALLPLVCIPALLALCSGLLKWKDDDWKLSRGVYVFVTIGLLLLLGAISAVIVVIKPWTIGAAFLLVLLLIVLAIGVIHHWASNNFYLTRTQMFLVCFLAFLLGLAAFFVGWFQDKPFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSAAFLVLYGIALATEGWGVVASLKIYPPFAGAAVSAVTLVVAFGFAVSRPCLTLKMMEDAVHFLSKDTVVQAIARSATKTRNALSGTYSAPQRSASSAALLVGDPAATLDKGGNFVLPRDDVMKLRDRLRNEELVAGSFFHRMRYRRRFHHEPTSDVDYRREMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLNLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYIREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLISSIPNAGGREAAAMAAAVRAVGGDSVLEDSFARERVSSIARRIRTAQLARRALQTGITGAVCILDDEPTTSGRHCGQIDPSMCQSQKVSFSIAVMIQPESGPVCLLGTEFQKKVCWEILVAGSEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTIDADIGEATCYLDGGFDGYQTGLPLCVGSSIWEQETEVWVGVRPPIDMDAFGRSDSEGAESKMHVMDVFLWGRCLNEDEIASLHAAISLTEFNLIDFPEDNWHWADSPPRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSEREGFVVHVDSFARRYRKPRIETQEEINQRMLSVELAVKEALSARGEMHFTDNEFPPNDQSLFIDPGNPPSKLQVVSEWMRPAEIVKEGRLDSRPCLFSGTANPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGEWVPVVVDDWIPCESPGKPSFATSRKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQMLRFKQEGFLLGAGSPSGSDVHVSSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDTSSEWTDRMRHKLKHVPQSKDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYNSWHQNPQFRLRASGPDASYPIHVFITLTQGVSFSRTAAGFRNYQSSHDSLMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREISCEMVLEPDPKGYTIVPTTIHPGEEAPFVLSVFTKASIILEPL >EOY31680 pep chromosome:Theobroma_cacao_20110822:9:11992715:12007168:1 gene:TCM_038725 transcript:EOY31680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family isoform 5 MVACLSVAIPKWIHNGYQFWVPQVQCVGHAGNHRPPGTKEVVVLTLCITVFAGSVLALGAIVSAKPLEDLRYKGWTGEQNNFSSPYASSAYLGWAMASAVALAVTGVLPIISWFATYRFSASSAVCVGIFSVVLVAFCGASYLKIVKSRDDQVPTTGDFLAALLPLVCIPALLALCSGLLKWKDDDWKLSRGVYVFVTIGLLLLLGAISAVIVVIKPWTIGAAFLLVLLLIVLAIGVIHHWASNNFYLTRTQMFLVCFLAFLLGLAAFFVGWFQDKPFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSAAFLVLYGIALATEGWGVVASLKIYPPFAGAAVSAVTLVVAFGFAVSRPCLTLKMMEDAVHFLSKDTVVQAIARSATKTRNALSGTYSAPQRSASSAALLVGDPAATLDKGGNFVLPRDDVMKLRDRLRNEELVAGSFFHRMRYRRRFHHEPTSDVDYRREMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLNLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYIREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLISSIPNAGGREAAAMAAAVRAVGGDSVLEDSFARERVSSIARRIRTAQLARRALQTGITGAVCILDDEPTTSGRHCGQIDPSMCQSQKVSFSIAVMIQPESGPVCLLGTEFQKKVCWEILVAGSEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTIDADIGEATCYLDGGFDGYQTGLPLCVGSSIWEQETEVWVGVRPPIDMDAFGRSDSEGAESKMHVMDVFLWGRCLNEDEIASLHAAISLTEFNLIDFPEDNWHWADSPPRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSEREGFVVHVDSFARRYRKPRIETQEEINQRMLSVELAVKEALSARGEMHFTDNEFPPNDQSLFIDPGNPPSKLQVVSEWMRPAEIVKEGRLDSRPCLFSGTANPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGEWVPVVVDDWIPCESPGKPSFATSRKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQMLRFKQEGFLLGAGSPSGSDVHVSSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDTSSEWTDRMRHKLKHVPQSKDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYNSWHQNPQFRLRASGPDASYPIHVFITLTQGVSFSRTAAGFRNYQSSHDSLMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREISCEMVLEPDPKGYTIVPTTIHPGEEAPFVLSVFTKASIILEPL >EOY29787 pep chromosome:Theobroma_cacao_20110822:9:2399467:2404596:-1 gene:TCM_037220 transcript:EOY29787 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent protease La (LON) domain protein, putative isoform 1 MEDEVERRQIEQILELDYEELQIEEVEGLAESSDDDRDATGVPSIDKFTFNTDLTSLHSYLGEVDDTHHSFAFWEGGAILNLPLFYLEGVVLFPGATLPLRVIKPNFVAAVNRALTQADAPYTMGVVRVYRDYNNGPLRLAKIGTTAEIRQFRSLEDGTINVVTRGQQRFRLRRHWIDAEGAPCGEIQIIEEDVPLRTPRDAYAKLVPFSNLQSQQMISLNASSRKDGNEENNSEANSEESFENELSQTERRIHQSAIGACYESDRTDESTNSDDNNKLSESDIQSGSPCRNDSSFMGSSPSKHKKLVRNAGLGSIAHKVARPRSHLSCTVSRAFWPYWVYRMYDSYCLAQKAADMWKQIVGIPSMDGFVKKPDLLSFYIASKIPISEPTRQELLEIDGISYRLRREIELLERLDRIRCKICQNLLARRRDMLVMSSDGPLGAFVNPDGFVHEVMTFYKANGLALRSRPAKEFSWFPGYAWTIINCASCETHMGWLFTATNEKLKPKSFWGIRSCQVTDEMR >EOY29788 pep chromosome:Theobroma_cacao_20110822:9:2399454:2404267:-1 gene:TCM_037220 transcript:EOY29788 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent protease La (LON) domain protein, putative isoform 1 MEDEVERRQIEQILELDYEELQIEEVEGLAESSDDDRDATGVPSIDKFTFNTDLTSLHSYLGEVDDTHHSFAFWEGGAILNLPLFYLEGVVLFPGATLPLRVIKPNFVAAVNRALTQADAPYTMGVVRVYRDYNNGPLRLAKIGTTAEIRQFRSLEDGTINVVTRGQQRFRLRRHWIDAEGAPCGEIQIIEEDVPLRTPRDAYAKLVPFSNLQSQQMISLNASSRKDGNEENNSEANSEESFENELSQTERRIHQSAIGACYESDRTDESTNSDDNNKLSESDIQSGSPCRNDSSFMGSSPSKHKKLVRNAGLGSIAHKVARPRSHLSCTVSRAFWPYWVYRMYDSYCLAQKAAVKFPSLNLQGRSFWKLMAFHIDCVGKLNYLKDLIVFDVRSVRIYLLGGEICW >EOY31791 pep chromosome:Theobroma_cacao_20110822:9:14020303:14023775:-1 gene:TCM_038919 transcript:EOY31791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase family protein MGSTSSFNFTLLMSLVIGCLMAASASNFYQDFDITWGDGRGKIDNNGEVLSLSLDKASGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGSTWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNSQRIIFSADGTPIREFKNMESLGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWTQAPFTASYRNFNANACVWSNGASSCKSNSPSSSSTNNAWLSQELDSTSQQRLQWVQKNYMIYNYCTDAKRFPQGLPPECNMS >EOY30377 pep chromosome:Theobroma_cacao_20110822:9:4375926:4378076:1 gene:TCM_037604 transcript:EOY30377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein MASLLGPSAAISLQYKLNRTSFSAVSTNTPSLFPHTVLGNGRYQGLCFKTKATGSVEGSVLEKESASISDKIDYGVIGVHHVGILCENLDRSLEFYQNILGLEINEARPHDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHACIAIRDVSKLQAILDKAGIPYTLSRSGRPAIFTRDADANALEFTQVDA >EOY34021 pep chromosome:Theobroma_cacao_20110822:9:39721475:39722719:1 gene:TCM_041828 transcript:EOY34021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNFQLHLLEFDKSMKSNKLPGGTKSNLQADWNASPVCCVTLSNHSILDWVSETWRKDGYPFSYQIEQIDQETID >EOY33227 pep chromosome:Theobroma_cacao_20110822:9:35989356:35994027:-1 gene:TCM_041175 transcript:EOY33227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein isoform 1 MGTSLQTVPIQDAQKEQINTDQDHSNKECGSSSKCNKFSAFDSAEHEAPRMPPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNVMGTFSSHSLWPKAGWQQASKTGPGICRGYSKVYWVLLKHNGNL >EOY33226 pep chromosome:Theobroma_cacao_20110822:9:35989331:35996249:-1 gene:TCM_041175 transcript:EOY33226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein isoform 1 MSLDLSSERVCYVHCNFCNTILAVSVPCTSLFNIVTVRCGHCANLLSVNMGTSLQTVPIQDAQKEQINTDQDHSNKECGSSSKCNKFSAFDSAEHEAPRMPPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDGNKQAKLDQAFAEGTQKSTGFY >EOY33112 pep chromosome:Theobroma_cacao_20110822:9:35470075:35473240:-1 gene:TCM_041101 transcript:EOY33112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin3 MRASNHLIGLLNFLTFLLSVVILGGGIWLSSRANNTDCLKFLQWPLIVIGASIMVVSLAGFAGACYRNTFLMWLYLFVMFFIIGALVGFIIFAYAVTDKGSGRPVTNKGYLEYYLQDYSGWLRDRVVDENYWAKISSCIRDSKVCSKMGRNFNGVPETYDMFSLRKLSPIESGCCKPPTDCGYTYVNETLWNPGGGLVGTDLDCSRWSNDQQQLCYQCDSCKAGVLGSLKKSWRKVSVINIVVLILLVIFYVIGCAAFRNNKRIDNDEPYGEARMTKAQPSRIQL >EOY32342 pep chromosome:Theobroma_cacao_20110822:9:27603876:27604361:1 gene:TCM_040163 transcript:EOY32342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERCCEVSLHDRNERKDKNTNTNKKERMSRRLIVEEERGDVNELADAFIKNFRNQLKIQREESFKCFQEMINRGV >EOY30025 pep chromosome:Theobroma_cacao_20110822:9:3091792:3095670:1 gene:TCM_037374 transcript:EOY30025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNPNRCCIFYGRRQNQIKSKQRIIGNWKAQSKTVYGKSTGLKHFFNLNLSNLNRSKLRCHLSFSFQVAAAIETAAVGNCVSRAHLYQANN >EOY29149 pep chromosome:Theobroma_cacao_20110822:9:229913:231173:1 gene:TCM_036783 transcript:EOY29149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPWRLRKCLLHIERLREKLNDWKIQHVNREANQRADALAKEGVDRQSDSLRVFLETGPRWIAVFLIAGMRVLSAFGSLQTGVELNGGKEFLFLSFWVLDGFGTLFFVMLDYWNWQRCLYRFSGKV >EOY32286 pep chromosome:Theobroma_cacao_20110822:9:26050201:26051422:-1 gene:TCM_040015 transcript:EOY32286 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor, putative MEHRVERQQKGVSSNKHGKFKERIADNISRSKFVGVRQRPSGKWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFATPVPSNSHLSSKIRNLLNHKKSLRQGASAINSKKTTIKANTIISSNSSSSSNASSNDSFLSNSGNDSFLVCNSMKQDTQIFDGAYRPDMSYRIGELEPATSQFGQSWPISTAFDQIPLNQQEVQLPQTLGPLSNAIDPEPLEFERLKVERQIAASLYAMNGVDEYLENAFDLGDALWDLPTHSQLFCPS >EOY31812 pep chromosome:Theobroma_cacao_20110822:9:14817097:14819557:1 gene:TCM_038999 transcript:EOY31812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKRLYLKSMGRIGLVDFEVRNRALSNKWLWRYGNEAGSLRGRLWQLRTNMILVPCFLKLRCLINALKCGNGCLIYFWTKPWLNDMILKDEFPRLFALAVNKNGKLNEFGVWTEVVWQWRIELRRNLFGWEPNQWSNLWGHLQVHTLSKELDDKVIWKATTSGRLRTFLEKQMRSLIVEQRLASTELQVWFNSLDKIRLPFYLNRKRRLHGSGGGGGDGFDLKVFDFNRVLDELVRTYKKADS >EOY32232 pep chromosome:Theobroma_cacao_20110822:9:23714843:23718390:-1 gene:TCM_039850 transcript:EOY32232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASHSLYSESLRYLGSCHIELLDVIKYRYFMDIILLIVSDCSTDYRSGFKISPQSRASLRATSSEGSSSGQRSASTDSTGAGGPTLKNVTLDELVARLQNLTQQFTEFTQRWVNVVEKYMDLDSQDLDYDPNTNIIEEEGSEEEDENDSNDDGGDDSISLFTFIKLKPPSFTGSTVGKDPRRFLDTMERICDALGASRTRSSDAGPLGWKEFDKAFMDKFMPRTIRNESEPILWLDYLSNLFRVVVPQRFNSYSDVVDCARLIEGCSMEARALRKSTRKIKAEGQTSQRHTGQETTFGRSSRSGQRENMQSRGQVSTGSQGSRRNPQFSSPPRCGNFFTASNRRGTDNDMTSSNS >EOY34419 pep chromosome:Theobroma_cacao_20110822:9:41026096:41026953:1 gene:TCM_042100 transcript:EOY34419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kiwellin, putative MTARVSSFAYEAGVLMILMSGLTSAKEAAPHLRETSASPRALSIVTGRVMSLTHAHLQSHPPTKARLTNNDFSKGGDGGDPSECDRKYHNNSELIVALSTGWFKGRSRCGRMIRITASNRRSVTAKVVDECDSMHGCDKGHAYQPPCGNNIVDGSDAVWSRLGLNKAVGVVDVTWSMA >EOY32824 pep chromosome:Theobroma_cacao_20110822:9:33892209:33897115:1 gene:TCM_040844 transcript:EOY32824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine:glyoxylate aminotransferase isoform 1 MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAIPAMTKTLLEDVKMIFKTTTGTPFMIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFNVDVVESEWGQGANLEILAEKLASDYTHTIKAICIVHNETATGVTNNLATVRKLLDHYRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGIGLVCASPKALEASKSAKSVRVFFDWNDYLKFYKLGTFWPYTPSVQLLYGLRAALDLIFEEGLDNVITRHSRLGKATRLAVEAWGLKNCTQKEEWYSDTVTAVLVPPYIDSTEIVRRAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNTIPMIPSRI >EOY32823 pep chromosome:Theobroma_cacao_20110822:9:33892209:33897115:1 gene:TCM_040844 transcript:EOY32823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine:glyoxylate aminotransferase isoform 1 MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAIPAMTKTLLEDVKMIFKTTTGTPFMIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFNVDVVESEWGQGANLEILAEKLASDYTHTIKAICIVHNETATGVTNNLATVRKLLDHYRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGIGLVCASPKALEASKSAKSVRVFFDWNDYLKFYKLGTFWPYTPSVQLLYGLRAALDLIFEEGLDNVITRHSRLGKATRLAVEAWGLKNCTQKEEWYSDTVTAVLVPPYIDSTEIVRRAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNTIPMIPSRI >EOY32825 pep chromosome:Theobroma_cacao_20110822:9:33894024:33897044:1 gene:TCM_040844 transcript:EOY32825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine:glyoxylate aminotransferase isoform 1 MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAIPAMTKTLLEDVKMIFKTTTGTPFMIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFNVDVVESEWGQGANLEILAEKLASDYTHTIKAICIVHNETATGVTNNLATVRKLLDHYRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGIGLVCASPKALEASKSAKSVRVFFDWNDYLKFYKLGTFWPYTPSVQLLYGLRAALDLIFEEGLDNVITRHSRLGKATRLAVEAWGLKNCTQKEEWYSDTVTAVLVPPYIDSTEIVRRAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNTIPMIPSRI >EOY31870 pep chromosome:Theobroma_cacao_20110822:9:16921025:16924881:-1 gene:TCM_039203 transcript:EOY31870 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor AIL5, putative MDMSMGNMDSSSTQNWLAFSLSNQQQQHLNLPTSSSSSHHSSHLCLFEAFTTPNPTAPTSTINSSALEEDAAASGTTTAGATDLSMFRGGPKLEDFLGGSSTTTGAGDAPHPHFSAETPVTVSDTEIYDSELKTIAASFLRGFSSEQTDTHKQQQQQQQQLVPTAEPAPRRAVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTTTNFPISNYEKELGEMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIANSNLPIGGLSNKSKNCSDSASDGKSTDDDRDHSSASSAVTFASQPAPSSALSFAVPIKQDPSDYWSNIFGYNNTAASLSSAKNPSVAPTLFHQSSNNGSAFQDPSAFSMAFGVNSLVNESSNGLFNGGGYVQQQSGVSTSTSSLPLATPTALNNSGNNNYESSSGYGSWIAQSLHSYQTAKPSLSVFQTPIFGME >EOY33888 pep chromosome:Theobroma_cacao_20110822:9:39267086:39267766:1 gene:TCM_041729 transcript:EOY33888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transporter, putative MENMNHDHMNGMNDESAAGGTMHHHMMMMHMTFFWGKNAEILFSGWPGTRTGMYVLALIVVFVLGFMVEWISHSQLIKPGSTHVAAGVVQTLLHALRVGLAYLVMLAVMSFNGGVFLAAVAGHTLGFLLFGSRVFKKSSAPAPGKTSDLPPTSC >EOY29651 pep chromosome:Theobroma_cacao_20110822:9:1913990:1918714:-1 gene:TCM_037133 transcript:EOY29651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo-adjacent domain-containing protein, putative isoform 1 MADFVFYRTFHVGHWIISDKIGDKIAGIDVKFFFNRAGSQKPCSVCNLGIDNTHARENAMATNERDILCKLNSSDDQFPEDDEQEGQKPVVGEKLAANDRQENVFVCKTASPKVEENSDVNVRSVKPNFSLGEKPVSVVATESIELTNINDRQENTSGEKIDLSCNVKENAYLKVLLVKQESTSSEKIGRVGKSFVNQVKVDENFKSTKDSGEVDQRSQKKAKLDSTVKVSNDKNTNKDSGEVHERPQKKAKLDSTVKVFTDKNMNKDSGEVDEGPHKKSKLASAVKVSNDKNMKKDSGEVDERPHKKAKLASAAKVSCEKNMNNVLNPNHDFDGNNSKPSVLNFTASEDKSRRAIDPLGTTGNSSKKVKVDDKFTKPSNGKQSKECPAWPPKDGIKTGDKAVGVTSRPDSDRSKWFAELPWEERMQDAHEHGRLVLFQNLDPCCTSAEVEDIVWSVFKETCRAKVVQRTAYSSPHSAQALAIFKTSEVAEGVVTKLDEGCLLLPNRRPLVASMANPCFPRKQSTFVGHLILDKLKPQREMKEAVSTSHSSQPNTLEYDMAMEWCLLVERSDQFWKRLYKGKSWKN >EOY29649 pep chromosome:Theobroma_cacao_20110822:9:1913990:1918714:-1 gene:TCM_037133 transcript:EOY29649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo-adjacent domain-containing protein, putative isoform 1 MADFVFYRTFHVGHWIISDKIGDKIAGIDVKFFFNRAGSQKPCSVCNLGIDNTHARENAMATNERDILCKLNSSDDQFPEDDEQEGQKPVVGEKLAANDRQENVFVCKTASPKVEENSDVNVRSVKPNFSLGEKPVSVVATESIELTNINDRQENTSGEKIDLSCNVKENAYLKVLLVKQESTSSEKIGRVGKSFVNQVKVDENFKSTKDSGEVDQRSQKKAKLDSTVKVSNDKNTNKDSGEVHERPQKKAKLDSTVKVFTDKNMNKDSGEVDEGPHKKSKLASAVKVSNDKNMKKDSGEVDERPHKKAKLASAAKVSCEKNMNNVLNPNHDFDGNNSKPSVLNFTASEDKSRRAIDPLGTTGNSSKKVKVDDKFTKPSNGKQSKECPAWPPKDGIKTGDKAVGVTSRPDSDRSKWFAELPWEERMQDAHEHGRLVLFQNLDPCCTSAEVEDIVWSVFKETCRAKVVQRTAYSSPHSAQALAIFKTSEVAEGVVTKLDEGCLLLPNRRPLVASMANPCFPRKQSTFVGHLILDKLKPQREMKEAVSTSHSSQPNTLEYDMAMEWCLLVERSDQFWKRLYKRQGEELEKLKAKFTSK >EOY29650 pep chromosome:Theobroma_cacao_20110822:9:1913990:1918714:-1 gene:TCM_037133 transcript:EOY29650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo-adjacent domain-containing protein, putative isoform 1 MADFVFYRTFHVGHWIISDKIGDKIAGIDVKFFFNRAGSQKPCSVCNLGIDNTHARENAMATNERDILCKLNSSDDQFPEDDEQEGQKPVVGEKLAANDRQENVFVCKTASPKVEENSDVNVRSVKPNFSLGEKPVSVVATESIELTNINDRQENTSGEKIDLSCNVKENAYLKVLLVKQESTSSEKIGRVGKSFVNQVKVDENFKSTKDSGEVDQRSQKKAKLDSTVKVSNDKNTNKDSGEVHERPQKKAKLDSTVKVFTDKNMNKDSGEVDEGPHKKSKLASAVKVSNDKNMKKDSGEVDERPHKKAKLASAAKVSCEKNMNNVLNPNHDFDGNNSKPSVLNFTASEDKSRRAIDPLGTTGNSSKKVKVDDKFTKPSNGKQSKECPAWPPKDGIKTGDKAVGVTSRPDSDRSKWFAELPWEERMQDAHEHGRLVLFQNLDPCCTSAEVEDIVWSVFKETCRAKVVQRTAYSSPHSAQALAIFKTSEVAEGVVTKLDEGCLLLPNRRPLVASMANPCFPRKQSTFVGHLILDKLKPQREMKEAVSTSHSSQPNTLEYDMAMEWCLLVERSDQFWKRLYKRQGEELEKLKAKFTSK >EOY29652 pep chromosome:Theobroma_cacao_20110822:9:1914567:1918643:-1 gene:TCM_037133 transcript:EOY29652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo-adjacent domain-containing protein, putative isoform 1 MADFVFYRTFHVGHWIISDKIGDKIAGIDVKFFFNRAGSQKPCSVCNLGIDNTHARENAMATNERDILCKLNSSDDQFPEDDEQEGQKPVVGEKLAANDRQENVFVCKTASPKVEENSDVNVRSVKPNFSLGEKPVSVVATESIELTNINDRQENTSGEKIDLSCNVKENAYLKVLLVKQESTSSEKIGRVGKSFVNQVKVDENFKSTKDSGEVDQRSQKKAKLDSTVKVSNDKNTNKDSGEVHERPQKKAKLDSTVKVFTDKNMNKDSGEVDEGPHKKSKLASAVKVSNDKNMKKDSGEVDERPHKKAKLASAAKVSCEKNMNNVLNPNHDFDGNNSKPSVLNFTASEDKSRRAIDPLGTTGNSSKKVKVDDKFTKPSNGKQSKECPAWPPKDGIKTGDKAVGVTSRPDSDRSKWFAELPWEERMQDAHEHGRLVLFQNLDPCCTSAEVEDIVWSVFKETCRAKVVQRTAYSSPHSAQALAIFKTSEVAEGVVTKLDEGCLLLPNRRPLVASMANPCFPRKQSTFVGHLILDKLKPQREMKEAVSTSHSSQPNTLEYDMAMEWCLLVERSDQFWKRLYKRQGEELEKLKAKFTSK >EOY29648 pep chromosome:Theobroma_cacao_20110822:9:1913298:1920518:-1 gene:TCM_037133 transcript:EOY29648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bromo-adjacent domain-containing protein, putative isoform 1 MFYYSGQGEACYESMVEVDEVENLEFKWGKKRGLGGGKKDVQFYESFTYDGLEYTLYDNVFIRKEGDPKPYLGKLIEIWENPDTSKEVKVLWFFRPCEISNFLVVKLTHSNEIFLASGEGVGLADINPLEAISGKCNIVCVSKDSRNPEHSNEELQMADFVFYRTFHVGHWIISDKIGDKIAGIDVKFFFNRAGSQKPCSVCNLGIDNTHARENAMATNERDILCKLNSSDDQFPEDDEQEGQKPVVGEKLAANDRQENVFVCKTASPKVEENSDVNVRSVKPNFSLGEKPVSVVATESIELTNINDRQENTSGEKIDLSCNVKENAYLKVLLVKQESTSSEKIGRVGKSFVNQVKVDENFKSTKDSGEVDQRSQKKAKLDSTVKVSNDKNTNKDSGEVHERPQKKAKLDSTVKVFTDKNMNKDSGEVDEGPHKKSKLASAVKVSNDKNMKKDSGEVDERPHKKAKLASAAKVSCEKNMNNVLNPNHDFDGNNSKPSVLNFTASEDKSRRAIDPLGTTGNSSKKVKVDDKFTKPSNGKQSKECPAWPPKDGIKTGDKAVGVTSRPDSDRSKWFAELPWEERMQDAHEHGRLVLFQNLDPCCTSAEVEDIVWSVFKETCRAKVVQRTAYSSPHSAQALAIFKTSEVAEGVVTKLDEGCLLLPNRRPLVASMANPCFPRKQSTFVGHLILDKLKPQREMKEAVSTSHSSQPNTLEYDMAMEWCLLVERSDQFWKRLYKWSSWWKPDSNSVLRCSPTRFCLLELIEYAQSKARAPVLGSGGKFCDERM >EOY32330 pep chromosome:Theobroma_cacao_20110822:9:27368614:27370281:-1 gene:TCM_040136 transcript:EOY32330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHCKLEWKRSIMELGNFLELYIKYLITRIQAENLNFNGESQDPIREKDDNTSIEILDDMPPSKNIKESSPLLCLQP >EOY29967 pep chromosome:Theobroma_cacao_20110822:9:2930967:2938853:1 gene:TCM_037331 transcript:EOY29967 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC (cAMP-dependent, cGMP-dependent and protein kinase C) kinase family protein MEGIEEEESGEKEVLGSSLTMEKVAAAKQFIENHYRAQTKNIKERKERRWILERKLAASDVPKEEQINLIKDLERKETEFMRLKRHKICADDFDLLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMLMRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLMREDTLTENVAKFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCMTLPAIHENKPMDDENMTEPMDIDGCIPDADNKSSWRSPREQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLIGYPPFYSDDPITTCRKIVHWRNHLRFPEDSRLSHEAKDLICRLLCDVEHRLGTGGANQIKAHPWFKDVVWDKLYEMEAAFKPEVNGELDTQNFMKFDELDNPAPARTGSGPSRKMLLTPKDLSFVGYTYKNFDAVKGLRHSFDFKNPSMGQPSVDSIYGDSGVGYSTKCPAEETEVQMLASTGDPMLP >EOY31527 pep chromosome:Theobroma_cacao_20110822:9:9332072:9334137:-1 gene:TCM_038448 transcript:EOY31527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWMGWGAWGFGSPLGLWSNNCYIYCFLVGTPLFGAWDQSGRTGLFGLLALRRFNLEGSTKVVWGYGLESIRIMSNVYGDAWKNQRERWGGREVPEEKVRQLLTQDKYESGISDQTVLIKASSLICKRKPENKETILRKQEQWENDW >EOY29409 pep chromosome:Theobroma_cacao_20110822:9:1077156:1079825:-1 gene:TCM_036952 transcript:EOY29409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flotillin-like protein 2 MYRVASASQYLAITGVGIDDIKLAKKSWILPGQSCTRFDVTPGVIEGETRVLAASMTMEEIFKGTKEFKQEVFEKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTRMEAANQAKVDVPEAKMKGEMGAKLREGQTVQNAAKINAETKIVSTQRQGEGTKEEIKVRTEVKVYENQREAELAEANAVLAKKKAGWAKETQVAEVEASKAVALRDAELQKEVEKMNAVTQTEKLKAERLSKASVEYEIKAYRAMHQLGQDLKYLREANWELYQKQKEAEAVLYEKEKQAAAQKALADAAFYSRQKVADGELYAKQKEAEGLVALGKAQGLYLHRLLNALGGNYAALRDYMMINAGTFQDIAKINAEAVRGLNPKISIWTNGGEATDGTGASPAGGNAMKEVAGVYRMLPPLFQTVYDQTGMLPPPFMGTLSASKQPTMD >EOY33585 pep chromosome:Theobroma_cacao_20110822:9:38164358:38173070:-1 gene:TCM_041524 transcript:EOY33585 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif DNA-binding family protein MEDKTTTPSHDSASDDGKESQVNAEKVNSAESQEVQGELQVAADETGASEPGEGSGGGATGKENINKKKKGKGRLKTTDGVSNIGSLEPLPPPTASSPPSSMPSSSSKRARGRPKGTGKLQTLASHGEYMDTAGASFTPHVLSVFPGEDLVSKIGSFCVTGPRSVCVLTASGAVSSVTLHKPGTPVGSVTYEGWFEILTLAGSSVVSGELGTRRRNGLLSVSLANNHGQVFGGTVAGPLVAAGPGAIQLVVGSFKQTICRGIKRKYSAGRSTSFNDSASSKMVNLPIQVTGTADDDDENCNPAPVPVRARPMESDKVVAENHILNSASLKRVDPNNSQKDDPVRAGTVIAENQDFNPTSPQSVSPDYLQTLPASQPKSDEMITPETDLNVPEMQ >EOY32008 pep chromosome:Theobroma_cacao_20110822:9:19089923:19090734:1 gene:TCM_039416 transcript:EOY32008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCSFSRGSSSTVKNIIRVVHLNGYVEDFEYPVSVGQLTGKPPKQFLCTPAQLISGCSQGLQPDTILEAGRIYFLLPYSTLHPDVSPADLASLARKLSAKAKSTNCKAKSPTSSQYSHGSSPLWNSLGRSPNRLRESDSGMKSHGAQSSSRVRTWRPVLDTIREKSFNRRSESDLQET >EOY31067 pep chromosome:Theobroma_cacao_20110822:9:6851364:6854612:-1 gene:TCM_038079 transcript:EOY31067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MGAFWLVSIVNLMMVLLVQGQGGLQNGFYSSSCPKAEATVRSTVESHFNKDPTIAAGLLRLHFHDCFVQGCDGSVLISGSSAERSALPNLGLRGFDVIDDAKAQLEALCPGVVSCADILALAARDAVDLSDGPSWTVPTGRRDGRVSSSSQTSSLPSPLESIAAQRQKFAAKGLDDRDLVTLVGAHTIGQTDCLFFKYRLYNFTTTGSADPTINQSFLAQLQTLCPKNGDGSKRVALDIDSQTKFDVSFFKNVRDGKGVLESDQRLWGDAATRSIVDNYAGNIRGLLGLRFDFEFRKAMIKMSSIEVKTGSEGEIRKICSKIN >EOY31729 pep chromosome:Theobroma_cacao_20110822:9:13348914:13353811:-1 gene:TCM_038842 transcript:EOY31729 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose-5-phosphate synthase MASSVLRTGFLPLLQSWDGCNSIPSLHNFTTTHHRGDRKCQCKRVAAAQLKTGNASDDRNNMLKRGQPTIHNEHFNRYLNFSGEKPSTPVLDTINHPIHMKNLSIEELRKLADELREEVVYTVSKTGGHLSSSLGVVELTVALHHVFNTPEDKIIWDVGHQAYPHKILTGRRSRMHTIRQTWGLAGFPKREESKHDAFGAGHSSTSISAGLGMAVGRDLLGKNNHVIAVIGDGAMTAGMAYEAMNNAGYLDTNLIIILNDNKQVSLPTATIDGPAPPVGALSEALTKLHSSRKFRQLREAAKGITKQIGGQTHEIAAKLDSYMRGMAGGSGASLFEELGLYYIGPVDGHNVEDLVDVLNKIKSMPAPGPVLIHAITEKGKGYAPAEMAPDKMHGVVKFDPKSGKQLKSKPETLAYTQYFAESLIAEAGQDDKIVGIHAAMGGGTGLNLFQKRFPDRCFDVGIAEQHAVTFAAGLASEGLKPFCAIYSSFLQRGFDQVAHDVDLQKLPVRFAIDRAGLVGADGPTHCGAFDTTFMACLPNMVVMAPSNETELMHMVATAAAIDDRPSCLRYPRGNGIGSILPPNNKGTPLEVGKGRVLREGSRVAILGYGTIMQSCMKAAELLQVNGISPTVADARFCKPIDEDLLRQLAQEHEILITAEEGSIGGFSTHVSHFLGLNGLLDGKLKWRPMMLPDRYIDHGSQKDQIEEAGLSSKHIAATALSLLGKCREGFQLLNLC >EOY33669 pep chromosome:Theobroma_cacao_20110822:9:38468671:38472559:1 gene:TCM_041572 transcript:EOY33669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSPNESTQQLNSQRQIDVEVEAGSVARNEDSICSWRPFSPVMSPNEMNHSLNSIFENLIAEQQLKGPENFEVETSSVAQTKDFSPIWISFVTDMLPPGEGKPNEVPQQINSPDQQLNSLAENLTADLRSNSQRDVEVGLRCLQPNRSHLDHEERPSDQASNGRKQRGRKPRVTPVDTAKIQLDQAGASAEACNGQKRIRTEEQENERKRKKNESDRKYRADVRNELKELRKIKPVYDTLMTIASSFGGIDQLESLINGMNSDIHKLQEKEVEYDMFQQGIEIPDRVPSMRANEVQVCGIEEMKSLSDKFKEMQAECHRLQLLKSKYGEIEDIESMLDKFKNMEAESQRLEHIKMLFGGIDEIELEIRRLQNMELQLERHKQMVNQKELDSFQASPGSLQQLELDHCYRLQKEADLDRFEQLKSKFGGTEELEFKLDKFHWMEAELHKLEQIKSEFGGVDEMEAEIYRLKEIESQHDKQKELQFFPESPGSLQEELGAQSLDLNGSSDAVSADGISLMSPAAVRGTNTMHDMQYSDVLVTKFMAKLDDDSVVGNVDRSSFKDLDGEPKKVGQYCLPPSLVSTAEDIIKAYGDITKKCKFSPRIIEDIYVLFCAAIKEMGDLSLEQVTEEVMLKWRDAITDADRSACDVEFAMKHLEKIAYGYFGLKAYNDRNSLKQRMTILKAEEEVLRKELEKKANEMKAVKAKEGDLTSKRCKVCQEFADQFLDKTISVF >EOY33670 pep chromosome:Theobroma_cacao_20110822:9:38468683:38471882:1 gene:TCM_041572 transcript:EOY33670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSPNESTQQLNSQRQIDVEVEAGSVARNEDSICSWRPFSPVMSPNEMNHSLNSIFENLIAEQQLKGPENFEVETSSVAQTKDFSPIWISFVTDMLPPGEGKPNEVPQQINSPDQQLNSLAENLTADLRSNSQRDVEVGLRCLQPNRSHLDHEERPSDQASNGRKQRGRKPRVTPVDTAKIQLDQAGASAEACNGQKRIRTEEQENERKRKKNESDRKYRADVRNELKELRKIKPVYDTLMTIASSFGGIDQLESLINGMNSDIHKLQEKEVEYDMFQQGIEIPDRVPSMRANEVQVCGIEEMKSLSDKFKAECHRLQLLKSKYGEIEDIESMLDKFKNMEAESQRLEHIKMLFGGIDEIELEIRRLQNMELQLERHKQMVNQKELDSFQASPGSLQQLELDHCYRLQKEADLDRFEQLKSKFGGTEELEFKLDKFHWMEAELHKLEQIKSEFGGVDEMEAEIYRLKEIESQHDKQKELQFFPESPGSLQEELGAQSLDLNGSSDAVSADGISLMSPAAVRGTNTMHDMQYSDVLVTKFMAKLDDDSVVGNVDRSSFKDLDGEPKKVGQYCLPPSLVSTAEDIIKAYGDITKKCKFSPRIIEDIYVLFCAAIKEMGDLSLEQVTEEVMLKWRDAITDADRSACDVEFAMKHLEKIAYGYFGLKAYNDRNSLKQRMTILKAEEEVLRKELEKKANEMKAVKAKEGDLTSKRC >EOY29274 pep chromosome:Theobroma_cacao_20110822:9:608256:614492:-1 gene:TCM_036864 transcript:EOY29274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 5 MLLAAVHHDRPVGTILRHSVSLETQVDIGIAGSQDTWHSSCLLRRYQFSRGFFSVHGESPSAEYAKLRKESLESEFGHIVGTHSSKSVSVVYRFGPFLALYRAAIISFHVLKLTIWQFFFRDVKKRAAKFREVLIRLGPFYIKLGQALSTRPDILPPVYCQELAKLQDQIPPFPTHTAIKSIETELGVPVSEIFADISPEPIAAASLGQVYKAHLHSGELVAVKVQRPGMSLLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEVVRHMFDEIDYILEARNAERFASLYGGYPSNGQTYNQNAKDGNTIKNKKAKGIKVPKIYWDLTRKGVLTMEWVDGIKLTDEIGLKKARLNRRELIDQGVYCSLRQLLEVGFFHADPHPGNLFAISSGSLAYLDFGMMGDIPRHYRVGLIQVLVHFVNRDSLGLANDFLSLGFIPEGVDIQSVADALQASFGDGTRQSRDFQVAAISEQASESSKEPPNFEENDSHPLEWKSFDIRAVVAATEDLLLFILSEQGLMVRVFLLRDIIRAVDIFLQDEVLGCRLDAESKARKTSESEDQATITRVVNGFRSLHQAIKLAPEVWTAMFIRMALKPETHGFSLDIISALLKHLSNKFPETFWVCMSTLIRKFAKNHGPNDHIR >EOY29270 pep chromosome:Theobroma_cacao_20110822:9:607675:614757:-1 gene:TCM_036864 transcript:EOY29270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 5 MRNVFATLNRCRRLALLSTAVHHDRPVGTILRHSVSLETQVDIGIAGSQDTWHSSCLLRRYQFSRGFFSVHGESPSAEYAKLRKESLESEFGHIVGTHSSKSVSVVYRFGPFLALYRAAIISFHVLKLTIWQFFFRDVKKRAAKFREVLIRLGPFYIKLGQALSTRPDILPPVYCQELAKLQDQIPPFPTHTAIKSIETELGVPVSEIFADISPEPIAAASLGQVYKAHLHSGELVAVKVQRPGMSLLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEVVRHMFDEIDYILEARNAERFASLYGGYPSNGQTYNQNAKDGNTIKNKKAKGIKVPKIYWDLTRKGVLTMEWVDGIKLTDEIGLKKARLNRRELIDQGVYCSLRQLLEVGFFHADPHPGNLFAISSGSLAYLDFGMMGDIPRHYRVGLIQVLVHFVNRDSLGLANDFLSLGFIPEGVDIQSVADALQASFGDGTRQSRDFQSIMNQLYDVMYGFNFSLPPDYALVVRALGSLEGTAKALDPDFKVIESAYPFVIGRLLEDPNPDMRKILRELLICNNGSIRWNRLERLVAAISEQASESSKEPPNFEENDSHPLEWKSFDIRAVVAATEDLLLFILSEQGLMVRVFLLRDIIRAVDIFLQDEVLGCRLDAESKARKTSESEDQATITRVVNGFRSLHQAIKLAPEVWTAMFIRMALKPETHGFSLDIISALLKHLSNKFPETFWVCMSTLIRKFAKNHGPNDHIR >EOY29272 pep chromosome:Theobroma_cacao_20110822:9:608256:614752:-1 gene:TCM_036864 transcript:EOY29272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 5 MRNVFATLNRCRRLALLSTAVHHDRPVGTILRHSVSLETQVDIGIAGSQDTWHSSCLLRRYQFSRGFFSVHGESPSAEYAKLRKESLESEFGHIVGTHSSKSVSVVYRFGPFLALYRAAIISFHVLKLTIWQFFFRDVKKRAAKFREVLIRLGPFYIKLGQALSTRPDILPPVYCQELAKLQDQIPPFPTHTAIKSIETELGVPVSEIFADISPEPIAAASLGQVYKAHLHSGELVAVKVQRPGMSLLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEVVRHMFDEIDYILEARNAERFASLYGGYPSNGQTYNQNAKDGNTIKNKKAKGIKVPKIYWDLTRKGVLTMEWVDGIKLTDEIGLKKARLNRRELIDQGVYCSLRQLLEVGFFHADPHPGNLFAISSGSLAYLDFGMMGDIPRHYRVGLIQVLVHFVNRDSLGLANDFLSLGFIPEGVDIQSVADALQASFGDGTRQSRDFQSIMNQLYDVMYGFNFSLPPDYALVVRALGSLEGTAKALDPDFKVIESAYPFVIGRLLEDPNPDMRKILRELLICNNGSIRWNRLERLQQYQNRLLNHPRSLQTLKRMIHIPWNGSHLISVLLLLPQKIFCFSFYLSRV >EOY29273 pep chromosome:Theobroma_cacao_20110822:9:608256:614752:-1 gene:TCM_036864 transcript:EOY29273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 5 MRNVFATLNRCRRLALLSTAVHHDRPVGTILRHSVSLETQVDIGIAGSQDTWHSSCLLRRYQFSRGFFSVHGESPSAEYAKLRKESLESEFGHIVGTHSSKSVSVVYRFGPFLALYRAAIISFHVLKLTIWQFFFRDVKKRAAKFREVLIRLGPFYIKLGQALSTRPDILPPVYCQELAKLQDQIPPFPTHTAIKSIETELGVPVSEIFADISPEPIAAASLGQVYKAHLHSGELVAVKVQRPGMSLLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEVVRHMFDEIDYILEARNAERFASLYGGYPSNGQTYNQNAKDGNTIKNKKAKGIKVPKIYWDLTRKGVLTMEWVDGIKLTDEIGLKKARLNRRELIDQGVYCSLRQLLEVGFFHADPHPGNLFAISSGSLAYLDFGMMGDIPRHYRVGLIQVLVHFVNRDSLGLANDFLSLGFIPEGVDIQSVADALQASFGDGTRQSRDFQVAAISEQASESSKEPPNFEENDSHPLEWKSFDIRAVVAATEDLLLFILSEQGLMVRVFLLRDIIRAVDIFLQDEVLGCRLDAESKARKTSESEDQATITRVVNGFRSLHQAIKLAPEVWTAMFIRMALKPETHGFSLDIISALLKHLSNKFPETFWVCMSTLIRKFAKNHGPNDHIR >EOY29271 pep chromosome:Theobroma_cacao_20110822:9:608540:614216:-1 gene:TCM_036864 transcript:EOY29271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 5 MLLAAVHHDRPVGTILRHSVSLETQVDIGIAGSQDTWHSSCLLRRYQFSRGFFSVHGESPSAEYAKLRKESLESEFGHIVGTHSSKSVSVVYRFGPFLALYRAAIISFHVLKLTIWQFFFRDVKKRAAKFREVLIRLGPFYIKLGQALSTRPDILPPVYCQELAKLQDQIPPFPTHTAIKSIETELGVPVSEIFADISPEPIAAASLGQVYKAHLHSGELVAVKVQRPGMSLLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEVVRHMFDEIDYILEARNAERFASLYGGYPSNGQTYNQNAKDGNTIKNKKAKGIKVPKIYWDLTRKGVLTMEWVDGIKLTDEIGLKKARLNRRELIDQGVYCSLRQLLEVGFFHADPHPGNLFAISSGSLAYLDFGMMGDIPRHYRVGLIQVLVHFVNRDSLGLANDFLSLGFIPEGVDIQSVADALQASFGDGTRQSRDFQSIMNQLYDVMYGFNFSLPPDYALVVRALGSLEGTAKALDPDFKVIESAYPFVIGRLLEDPNPDMRKILRELLICNNGSIRWNRLERLVAAISEQASESSKEPPNFEENDSHPLEWKSFDIRAVVAATEDLLLFILSEQGLMVRVFLLRDIIRAVDIFLQDEVLGCRLDAESKARKTSESEDQATITRVVNGFRSLHQAIKLAPEVWTAMFIRMALKPETHGFSLDIISALLKHLSNKFPETFWVCMSTLIRKFAKNHGPNDHIR >EOY33876 pep chromosome:Theobroma_cacao_20110822:9:39226463:39252477:-1 gene:TCM_041717 transcript:EOY33876 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein MAMIPSFFGNRSSSVFDPFSLDVWDPFKDFPFPSSLTRHAPETSAVANTRIDWKETPEAHVFKADLPGLKKEEVKVEIEDDRVLQISGERKIEKEDKNDTWHRVERSSGMFSRRFRLPENVKMDQVKASMENGVLTVTVPKVEVKKPDVKAIDISG >EOY31839 pep chromosome:Theobroma_cacao_20110822:9:16260243:16268790:-1 gene:TCM_039125 transcript:EOY31839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGALWICSHLNALLQLKDGLELSFIDKSLFFEMISLAQPTSVPPISKLGLDALFEPVTVDEFTKSLSKKKIFIKALLLDQPFLPHFVSFGQGNKFIPFDINEKLCVIARNISPIDVITHVPIRCEEAGILYVCVPLKELDDEQTSNFEVIPLLK >EOY33196 pep chromosome:Theobroma_cacao_20110822:9:35868528:35882858:1 gene:TCM_041162 transcript:EOY33196 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA aldehyde oxidase MGEVAAETRKQSLVFAVNRQRFELSDVDPSTTLLEFLRYQTPFKSVKLGCGEGGCGACIVLLSKYDPALDQVHDSTVSSCLTLLCSLNGCSITTAEGVGNSKDGFHPIQERFAGFHASQCGFCTPGMCVSLFSALVNADKTNRPEPRPGFSKLTVTEAEKAISGNLCRCTGYRPIADACKSFAADVDMEDLGFNSFWKKGESDEVKLSRLSSYNPNNASSKFPEFLKKEIKAGACLASKDYHWYSPASLEQLQSLLQENEANNGNSVKIIVGNTGVGYYKELELYEKYIDLKYIPELSIIRKDQTGIEIGAAVTISKAIEALKGENQGDYHLESKTVFKKLADHMEKIASDFVRNSGSVGGNLIMAQRKRFPSDIATILLPVGTIMNITTGQKLGKLTLEEFFARPPLDSKTILLSIKIPCWESRRDISSETDTKLLFETYRAAPRPLGNALPYLNAAFLAEVSFCRSSTRVMLNDCQLAFGAYGTKHPIRARKVEDFLTGKLLNVDVLFEAIKLLETTVIPEDGTSSPAYRSSLAVGFLYEFLSSLVHTPAEIPGGWRNGYSIAVLNGDSNSENYNKFNGIKFPTLLSSSKQVIQSSKEYHPVGQPITKAGAAIQASGEAVFVDDIPSPSNCLYGAFICSTEPLARVRSIKFKSGSPPVGVTALISVKDIPGKNVGCTSIFGLEPLYADEHTQCAGERIAFVVADTQRHADLAANLAVIDYDKENLEPPILSVEEAVERQSFFEVPPFLNPEQVGDFSKGMAESDHQILCAEIKLGSQYYFYMETQTALAVPDEDNCMTVYSSNQCPEFAQDTIAQCIALPANNIRVITRRVGGGFGGKAIKAIPVAAACAVAAYKLQCPVRTYLNRKTDMIMAGGRHPMKITYSVGFKTSGKITALKLDILIDAGAFADASILMPSLILGTVRRYDWGALNFDIKVCKTNLPSRSAMRAPGEVQGSFIVEAIIEHVASTLSIEVDSVRNINLHTYNSLGFFYKSIAGEPLEYTLPSIWDKLATSSDFYQRSEMIKEFNRCNIWRKRGISRVPIVHEVNVRPTPGKVSILKDGSIVVEVGGIELGQGLWTKVKQMTAYALSLIKCGGTEELLEKVRVVQSDTLSLIQGGFTGGSTTSESSCEAVRLCCNVLVERLTALKERLLEQMGSIEWEALVLQAHLTSVNLSASSLFIPEFSSTHYLNYGAAVSEVEVNLLTGETTILQTDIIYDCGQSLNPAVDLGQIEGAYVQGIGFFMLEEYPTNSDGLVTTNGTWTYKIPTMDTIPKQFNVEILSSGHHKKRVLSSKASGEPPLTLAVSVHCATRAAIAEARQQLLSWSGLDGSNSTFQLEAPATMPVVKELCGLDSIQKFLKWTMGTK >EOY29197 pep chromosome:Theobroma_cacao_20110822:9:330644:337202:1 gene:TCM_036809 transcript:EOY29197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1A/initiation factor IF2gamma family protein isoform 2 MPRKVNYGVDYDDDDDYDDYDEYDYGYEVEENVETPSEEETINHGVWRCSICTYDNDATLSACDICGVLRSPLVNNSTYDGKKTAPFKFDVPSPDDVVSNGLRSSKLGLKANIFDSKSSKVSSSVVGKNEAAKVQLSTKRSGSSYDSTAKDKHNRLNEIISSKNLEVDALSSSRNSDNSSASMPKGRIDIVDESIVADNLRSSVKRSSLMPKEIHNMVDDSSSSRNGGEVHSLTSNVKNMSLAAKSGHSKDTSAGRANSYAQYKPEEWMLPEKAEDSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEKESKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSKRYHVVVLDSPGHKDFVPNMITGATQADAAILVVDASIGSFEAGMDGAKGQTREHAQLIRSFGVDQIIVAVNKMDTVEYSKNRFDFLKSQLWTFLRSCGFKDSSVSWIPLSVVENQNLVAAPSDVRLSWYHGPYLLDAIDSFQPPTRDCSKPLLIPICDVIRSPSQGQVSACGKLEAGAVRSGSKVLVMPSANIATVRSLERGSQACTIARAGDNVAVNLHGIDGNLVLAGGVLCHPDFPVAIAKHLELKVLVLDGATPILIGSQLEFHIHHAKEAARVAKISSLLDSKTGKVTKKAPRCIVAKQSAVVEVILHEPVCAEEFSKCKALGRVFLRTLGRTVAVGIVTRIV >EOY29198 pep chromosome:Theobroma_cacao_20110822:9:332890:337202:1 gene:TCM_036809 transcript:EOY29198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1A/initiation factor IF2gamma family protein isoform 2 TAPFKFDVPSPDDVVSNGLRSSKLGLKANIFDSKSSKVSSSVVGKNEAAKVQLSTKRSGSSYDSTAKDKHNRLNEIISSKNLEVDALSSSRNSDNSSASMPKGRIDIVDESIVADNLRSSVKRSSLMPKEIHNMVDDSSSSRNGGEVHSLTSNVKNMSLAAKSGHSKDTSAGRANSYAQYKPEEWMLPEKAEDSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEKESKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSKRYHVVVLDSPGHKDFVPNMITGATQADAAILVVDASIGSFEAGMDGAKGQTREHAQLIRSFGVDQIIVAVNKMDTVEYSKNRFDFLKSQLWTFLRSCGFKDSSVSWIPLSVVENQNLVAAPSDVRLSWYHGPYLLDAIDSFQPPTRDCSKPLLIPICDVIRSPSQGQVSACGKLEAGAVRSGSKVLVMPSANIATVRSLERGSQACTIARAGDNVAVNLHGIDGNLVLAGGVLCHPDFPVAIAKHLELKVLVLDGATPILIGSQLEFHIHHAKEAARVAKISSLLDSKTGKVTKKAPRCIVAKQSAVVEASDIA >EOY29195 pep chromosome:Theobroma_cacao_20110822:9:330323:337232:1 gene:TCM_036809 transcript:EOY29195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1A/initiation factor IF2gamma family protein isoform 2 MPRKVNYGVDYDDDDDYDDYDEYDYGYEVEENVETPSEEETINHGVWRCSICTYDNDATLSACDICGVLRSPLVNNSTYDGKKTVDGICKDSGVSTMAKSLFASLPQQMSKKAVDSQQQNDGFVVKEGNNFHPLGNIQGQFHEFHKAYSSPTHSRINIAPFKFDVPSPDDVVSNGLRSSKLGLKANIFDSKSSKVSSSVVGKNEAAKVQLSTKRSGSSYDSTAKDKHNRLNEIISSKNLEVDALSSSRNSDNSSASMPKGRIDIVDESIVADNLRSSVKRSSLMPKEIHNMVDDSSSSRNGGEVHSLTSNVKNMSLAAKSGHSKDTSAGRANSYAQYKPEEWMLPEKAEDSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEKESKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSKRYHVVVLDSPGHKDFVPNMITGATQADAAILVVDASIGSFEAGMDGAKGQTREHAQLIRSFGVDQIIVAVNKMDTVEYSKNRFDFLKSQLWTFLRSCGFKDSSVSWIPLSVVENQNLVAAPSDVRLSWYHGPYLLDAIDSFQPPTRDCSKPLLIPICDVIRSPSQGQVSACGKLEAGAVRSGSKVLVMPSANIATVRSLERGSQACTIARAGDNVAVNLHGIDGNLVLAGGVLCHPDFPVAIAKHLELKVLVLDGATPILIGSQLEFHIHHAKEAARVAKISSLLDSKTGKVTKKAPRCIVAKQSAVVEVILHEPVCAEEFSKCKALGRVFLRTLGRTVAVGIVTRIV >EOY29196 pep chromosome:Theobroma_cacao_20110822:9:330644:337202:1 gene:TCM_036809 transcript:EOY29196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1A/initiation factor IF2gamma family protein isoform 2 MPRKVNYGVDYDDDDDYDDYDEYDYGYEVEENVETPSEEETINHGVWRCSICTYDNDATLSACDICGVLRSPLVNNSTYDGKKTAPFKFDVPSPDDVVSNGLRSSKLGLKANIFDSKSSKVSSSVVGKNEAAKVQLSTKRSGSSYDSTAKDKHNRLNEIISSKNLEVDALSSSRNSDNSSASMPKGRIDIVDESIVADNLRSSVKRSSLMPKEIHNMVDDSSSSRNGGEVHSLTSNVKNMSLAAKSGHSKDTSAGRANSYAQYKPEEWMLPEKAEDSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKEMHKYEKESKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSKRYHVVVLDSPGHKDFVPNMITGATQADAAILVVDASIGSFEAGMDGAKGQTREHAQLIRSFGVDQIIVAVNKMDTVEYSKNRFDFLKSQLWTFLRSCGFKDSSVSWIPLSVVENQNLVAAPSDVRLSWYHGPYLLDAIDSFQPPTRDCSKPLLIPICDVIRSPSQGQVSACGKLEAGAVRSGSKVLVMPSANIATVRSLERGSQACTIARAGDNVAVNLHGIDGNLVLAGGVLCHPDFPVAIAKHLELKVLVLDGATPILIGSQLEFHIHHAKEAARVAKISSLLDSKTGKVTKKAPRCIVAKQSAVVEVILHEPVCAEEFSKCKALGRVFLRTLGRTVAVGIVTRIV >EOY33594 pep chromosome:Theobroma_cacao_20110822:9:38202929:38216130:-1 gene:TCM_041529 transcript:EOY33594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-adaptin isoform 5 MAMHGMRGLSVFISDIRNCQNKEQERLRVDKELGNVRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLLSSSCRPLVRKKAALCLLRLYRKNPDVVNVDGWADRMSQLLDERDLGVLTSSMSLLVALVSNNHEAYWTCLPKCVKTLERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRTLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKDIVEELLQYLSTADFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFISDDIWFRVVQFVTNNEDLQPYAAAKVKEYLDKPAVHETMVKVSAYILGEYSHLLGRRPGCSPKEIFSIIHEKLPTVSTTTIPILLSAYAKILMHGQPPDQELQNQIWAIFNKYESCIDAEIQQRAVEYFALSQKGAALMDILAEMPKFPERQSALIKRAEDAEVDAAEQSAIKLRAQQQTSNALVVTDQLPANGAPPPVPVGALTLVKVPSMTSDEDHSSTDLALSHENGILSKVDPQPPSADLLGDLLAPLAIEGPPGATVQSEHNSVSGLEGGPDAVDGSAIVAIEEQTNTVQPIGNIAERFHALCLKDSGVLYEDPYIQIGIKAEWRAHHGRLVLFLGNKNTAPLVSVQALILPPAHLKMELSLVPDTIPPRAQVQCPLEVVNLRPSRDVAVLDFSYKFATNMVDVKLRLPAVLNKFLQPISVSAEEFFPQWRSLSGPPLKLQEVVRGVRPMPLPEMANLLNSFRLMISPGLDPNPNNLVASTTFYSESTRAMLCLVRIETDPADRTQLRMTLASGDPTLTFELKEFIKEQLVSIPAAPQAPIAAAPPAPPAAQPTPQIPANDPAALLAGLLG >EOY33595 pep chromosome:Theobroma_cacao_20110822:9:38202929:38216092:-1 gene:TCM_041529 transcript:EOY33595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-adaptin isoform 5 MAMHGMRGLSVFISDIRNCQNKEQERLRVDKELGNVRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLLSSSCRPLVRKKAALCLLRLYRKNPDVVNVDGWADRMSQLLDERDLGVLTSSMSLLVALVSNNHEAYWTCLPKCVKTLERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRTLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKDIVEELLQYLSTADFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFISDDIWFRVVQFVTNNEDLQPYAAAKVKEYLDKPAVHETMVKVSAYILGEYSHLLGRRPGCSPKEIFSIIHEKLPTVSTTTIPILLSAYAKILMHGQPPDQELQNQIWAIFNKYESCIDAEIQQRAVEYFALSQKGAALMDILAEMPKFPERQSALIKRAEDAEVDAAEQSAIKLRAQQQTSNALVVTDQLPANGAPPPVPVGALTLVKVPSMTSDEDHSSTDLALSHENGILSKVDPQPPSADLLGDLLAPLAIEGPPGATVQSEHNSVSGLEGGPDAVDGSAIVAIEEQTNTVQPIGNIAERFHALCLKDSGVLYEDPYIQIGIKAEWRAHHGRLVLFLGNKNTAPLVSVQALILPPAHLKMELSLVPDTIPPRAQVQCPLEVVNLRPSRDVAVLDFSYKFATNMVDVKLRLPAVLNKFLQPISVSAEEFFPQWRSLSGPPLKLQEVVRGVRPMPLPEMANLLNSFRLMISPGLDPNPNNLVASTTFYSESTRAMLCLGQN >EOY33596 pep chromosome:Theobroma_cacao_20110822:9:38202929:38216092:-1 gene:TCM_041529 transcript:EOY33596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-adaptin isoform 5 MAMHGMRGLSVFISDIRNCQNKEQERLRVDKELGNVRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLLSSSCRPLVRKKAALCLLRLYRKNPDVVNVDGWADRMSQLLDERDLGVLTSSMSLLVALVSNNHEAYWTCLPKCVKTLERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRTLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKDIVEELLQYLSTADFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFISDDIWFRVVQFVTNNEDLQPYAAAKVKEYLDKPAVHETMVKVSAYILGEYSHLLGRRPGCSPKEIFSIIHEKLPTVSTTTIPILLSAYAKILMHGQPPDQELQNQIWAIFNKYESCIDAEIQQRAVEYFALSQKGAALMDILAEMPKFPERQSALIKRAEDAEVDAAEQSAIKLRAQQQTSNALVVTDQLPANGAPPPVPVGALTLVKVPSMTSDEDHSSTDLALSHENGILSKVDPQPPSADLLGDLLAPLAIEGPPGATVQSEHNSVSGLEGGPDAVDGSAIVAIEEQTNTVQPIGNIAERFHALCLKDSGVLYEDPYIQIGIKAEWRAHHGRLVLFLGNKNTAPLVSVQALILPPAHLKMELSLVPDTIPPRAQVQCPLEVVNLRPSRDVAVLDFSYKFATNMVDVKLRLPAVLNKFLQPISVSAEEFFPQWRSLSGPPLKLQEVVRGVRPMPLPEMANLLNSFRLMISPGLDPNPNNLVASTTFYSESTRAMLCLN >EOY33598 pep chromosome:Theobroma_cacao_20110822:9:38204856:38215827:-1 gene:TCM_041529 transcript:EOY33598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-adaptin isoform 5 MAMHGMRGLSVFISDIRNCQNKEQERLRVDKELGNVRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLLSSSCRPLVRKKAALCLLRLYRKNPDVVNVDGWADRMSQLLDERDLGVLTSSMSLLVALVSNNHEAYWTCLPKCVKTLERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRTLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKDIVEELLQYLSTADFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFISDDIWFRVVQFVTNNEDLQPYAAAKVKEYLDKPAVHETMVKVSAYILGEYSHLLGRRPGCSPKEIFSIIHEKLPTVSTTTIPILLSAYAKILMHGQPPDQELQNQIWAIFNKYESCIDAEIQQRAVEYFALSQKGAALMDILAEMPKFPERQSALIKRAEDAEVDAAEQSAIKLRAQQQTSNALVVTDQLPANGAPPPVPVGALTLVKVPSMTSDEDHSSTDLALSHENGILSKVDPQPPSADLLGDLLAPLAIEGPPGATVQSEHNSVSGLEGGPDAVDGSAIVAIEEQTNTVQPIGNIAERFHALCLKDSGVLYEDPYIQIGIKAEWRAHHGRLVLFLGNKNTAPLVSVQALILPPAHLKMELSLVPDTIPPRAQVQCPLEVVNLRPSRDVAVLDFSYKFATNMG >EOY33593 pep chromosome:Theobroma_cacao_20110822:9:38202838:38217250:-1 gene:TCM_041529 transcript:EOY33593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-adaptin isoform 5 MAMHGMRGLSVFISDIRNCQNKEQERLRVDKELGNVRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLLSSSCRPLVRKKAALCLLRLYRKNPDVVNVDGWADRMSQLLDERDLGVLTSSMSLLVALVSNNHEAYWTCLPKCVKTLERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRTLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKDIVEELLQYLSTADFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFISDDIWFRVVQFVTNNEDLQPYAAAKVKEYLDKPAVHETMVKVSAYILGEYSHLLGRRPGCSPKEIFSIIHEKLPTVSTTTIPILLSAYAKILMHGQPPDQELQNQIWAIFNKYESCIDAEIQQRAVEYFALSQKGAALMDILAEMPKFPERQSALIKRAEDAEVDAAEQSAIKLRAQQQTSNALVVTDQLPANGAPPPVPVGALTLVKVPSMTSDEDHSSTDLALSHENGILSKVDPQPPSADLLGDLLAPLAIEGPPGATVQSEHNSVSGLEGGPDAVDGSAIVAIEEQTNTVQPIGNIAERFHALCLKDSGVLYEDPYIQIGIKAEWRAHHGRLVLFLGNKNTAPLVSVQALILPPAHLKMELSLVPDTIPPRAQVQCPLEVVNLRPSRDVAVLDFSYKFATNMVDVKLRLPAVLNKFLQPISVSAEEFFPQWRSLSGPPLKLQEVVRGVRPMPLPEMANLLNSFRLMISPGLDPNPNNLVASTTFYSESTRAMLCLVRIETDPADRTQLRMTLASGDPTLTFELKEFIKEQLVSIPAAPQAPIAAAPPAPPAAQPTPQIPANDPAALLAGLLG >EOY33597 pep chromosome:Theobroma_cacao_20110822:9:38203219:38216130:-1 gene:TCM_041529 transcript:EOY33597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-adaptin isoform 5 MAMHGMRGLSVFISDIRNCQNKEQERLRVDKELGNVRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLLSSSCRPLVRKKAALCLLRLYRKNPDVVNVDGWADRMSQLLDERDLGVLTSSMSLLVALVSNNHEAYWTCLPKCVKTLERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRTLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKDIVEELLQYLSTADFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFISDDIWFRVVQFVTNNEDLQPYAAAKVKEYLDKPAVHETMVKVSAYILGEYSHLLGRRPGCSPKEIFSIIHEKLPTVSTTTIPILLSAYAKILMHGQPPDQELQNQIWAIFNKYESCIDAEIQQRAVEYFALSQKGAALMDILAEMPKFPERQSALIKRAEDAEVDAAEQSAIKLRAQQQTSNALVVTDQLPANGAPPPVPVGALTLVKVPSMTSDEDHSSTDLALSHENGILSKVDPQPPSADLLGDLLAPLAIEGPPGATVQSEHNSVSGLEGGPDAVDGSAIVAIEEQTNTVQPIGNIAERFHALCLKDSGVLYEDPYIQIGIKAEWRAHHGRLVLFLGNKNTAPLVSVQALILPPAHLKMELSLVPDTIPPRAQVQCPLEVVNLRPSRDVAVLDFSYKFATNMVDVKLRLPAVLNKFLQPISVSAEEFFPQWRSLSGPPLKLQEVVRGVRPMPLPEMANLLNSFRLMISPGLDPNPNNLVASTTFYSESTRAMLCLVRIETDPADRTQLRMTLASGDPTLTFEYVAKLDTKQVYFKFFGLADFSYI >EOY30008 pep chromosome:Theobroma_cacao_20110822:9:3045046:3047223:1 gene:TCM_037363 transcript:EOY30008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYRLEKTLREERYRHERDNPSFSSTLLDKIYRSIDDGEANHEDLKFYRETMQKKQSKGNMKSSRSRGGGGGEEMSSFQRACLIEKWMEKKVSEKANAERKQVFSEFERKSHHEHDHDHDVLFFSSTSSSSDSSSGGFSSSDTESMYGTRTIASCFVPPRPKPVRTSASARSDKPLKAEKTGRSERALFYEQRELHMFDDYHYNSASDHTPKLDESLFKSKSRAMKIYGNLKKVKQPISPGGRLASFINSLFTTGNTKKTKSSSSIVSCDDERKLKSGQVSTCSSASSFSRSCLSKNSPSTRERLRNGVKRTVRFCPVSVIVDEDCRPCGQKCLYEEEDSSLLSVSVPTAWKIGKTSSRKCEEELKLQIMEKTRRVEEMAREFLKEYHLNQKKNDYIPRDSRSNYVDEMDEDEDDAASYSSSDLFELDHLVLIGNDRYREELPVYETTHVETNRAIANGLIV >EOY34407 pep chromosome:Theobroma_cacao_20110822:9:40960535:40980338:-1 gene:TCM_042089 transcript:EOY34407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit, putative isoform 2 MVNGTRSSRKAKDDEDNNSKGGQSSGKKSVNSGASTAEVSGFRRSLRETLSKKSMNPLSSSGTRKSERLEKQTSNSNTMTRPSKRKSERIEKQKHRCPLRRSERGKMPSLSGSSGSKKSDKSLDSLDAKRKKEKKEKSVKQLTMETVEVNKIENKDGQVDEAQKKRMDARAYRAMFRKQLKSANGTDHGDDLNRTDSERRDEDPLKVHAERTCEITMARGTSQSVEEAPENDNEHTLFPTSQKDSCKDMSSNGDGLRVSKSGLVAIEMNDDAEKAVQDPELVNSMLHERILDCNISLEMVQEVVFSERKRHDIDIDSVASPITSSKDICTSMAGAETLLTSGCKRKDCSETCGTCSKRQRVDCDSTKQEICFSNKKLNQLFQSSDIKDRWKLDAGVSTGHVEKCCNDMQKHMSTDLRTDPDQNTCIVCKLVGKLLCCEGKGCRRSYHLSCLEHPLEEVPVGVWHCPVCMSKKIESGVHSVSEGIEAILDSREVEASEDGLQRQKQYFVKYKGLAHVHNRWVPENQALLEAPSLVAKYNRRNQGAVWKQQWAVPHRVLQKRFLVTPEECDESHLKGHDGEKLNSHVEWLVKWRGLGYEHASWELENASFFSCPEGQSLIRDYETRHKKAKSASKFDKERGEVACLKLSQLSAGASPGLDANLDAFNKMCNYWRKGQNAIIFDDQERILNVISFILSFSSNISQPFLIISTSSSQYSWDEEFLHLAPSVDVVVYSGSKEIRKSIRTLEFYEEGGCIMFQVLITSPEVISEDLDVLASIGWEAIIVDECQRPRIASCFEQIKMLTASKRLLIVSGQLKDNVAEYLNLLSLLDSQSNLNGSDSLLMNSSDNIGTLKERLAKYIAYECKLESSRFVEYWVPVLLSNVQLEQYCFALLSNSFSLCSPSKTDPVGALRNILISSRKCCDHPYVVDQSLQMLLTKSLKEIEFLDVGIKASGKLQLLDAMLSEIKKRELKVLILFQSIGGSGRDLLGDILDDFLRQRFGADSYERIDGGVFLSKKQSALNKFNNERERFVFLLETRACLPSIKLSAVGTVIIFGSDWSPMNDLRALQRITLDSQFEQIKIFRLYSSFTVEEKVLMLSKQDKTLDSNTHSVSPSSCHMLLKWGASHLFNQLDKFHGIPTSDAGTLSEQSHLIDVIKECFIILDQTGIDNDASKLSLILLAKQKQGTYRTEMPLFGEQKIQVMNEDPPYIFWTKLLEGKNPQWKYSSCSSQRNRKRVQNFDGLLKKPEAESSEVVKRRKKVVSDCNDHLSPKAGLREGKMAAGDREGSLGISANAFNMVEWERRRKQRDSQKNLHVLLMPQIAQLCEVFHLSEVVKAMVERFLEYVMNNHLVYREPETLLQAFQISLCWSAASLLKQKIDHKESLALAKQHLGFTCKKDEADYVYSLLRCLKTMFRYRTGYLKVPNSPKASELSSKALGRDYSNARSYHQSAKAKIEDLLGFQEGSAVQVCAESGVAPEFHLAQRDLLKSIKEIQKKCDKHMTKLREKQREEMKQFNQKYEEEKAQLENKKRTEAAVIRLLSNVSMRTDKLKKLDIEYAGKFDELKLQMDVHLKNLEAVQVRARSSVLESKTRWVEAVKNWAQAEFVRPPVSEVNLSEGRSSTGIIHSVSGNEVRVSKSIHIVSDDIMACSDPICRVTCLARPFKENSEGASVEECNVTVCSGGGEEQAVYKASYAREGVSGGEIPYGGVALDVPVTVSSGYVTESFPSMRCSDEDKISDGSKLNMSNGDPETVPPTDGPENLICVEAPSCEEIPDGATLSKPIPFRAADGVSFCEDQEKLASLQAPSSEKISNRDSLRKIDEDVPLRESVTVISGEGQEDLISLEAPSSVEVPDGTNLRKVDGQVPLGEPLIAISGEGQENLGSAEAPSSEEIPDGAALSMADVVLPSSAAEAVGSSEGQENIISGNSSSEKQIPGGATFIVSDGEVPKSTSEIETSSHGMVCQNPSSKEQITDTAEEGSLAESETAPSEVLEGGSIHRENVQTSATGIDQQDVEVCTMNQEPEFEEPSLADLPPVQRVPIVDQGGPFPPDEVSPNAGFLPSAIQARDVVNSETQNASQVAETSSPNATIDVRYNEPNPDTPVLELSERTQLLRSGESTSYLSPPNLPSVSAIEHHSNNEGQTANQISQALRQSVANHIELSNQDVLQPLHSPIDGTIGGLVRQASETRTASLPPVSSGLPVQTAPAVSSRMPLPLYNDPLQNEMERIRKETDQTIKIHEDMKLQLKSECEKQIEEAVAQIRRNYKAKLKEKEAEFLLQKKELDVNYNKVLLNKILAEAFRSKCMDIRASGLAGAHQETSSSFMQQLVQLSSQQTVQQPSTASGLPPTGSPSTQPVSPAVVNAQTMGPPLQAVNPSAFFSGTPTRPPHISSISPSAGNLQMSSEIRAPAPHLQPFRPSTSISPSSLPSQSRGMLNQQAHGNHPVAPPLRGQSYGNPLAHRPISTACQSGRIPPETAGGLAPPPSSSLPSLDVLMGINNLSGANTNPLSNLLPGVSSSLATLVCQESSLPRIQSNPAQQSGATDIVCLSDDD >EOY34408 pep chromosome:Theobroma_cacao_20110822:9:40960619:40980329:-1 gene:TCM_042089 transcript:EOY34408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit, putative isoform 2 MVNGTRSSRKAKDDEDNNSKGGQSSGKKSVNSGASTAEVSGFRRSLRETLSKKSMNPLSSSGTRKSERLEKQTSNSNTMTRPSKRKSERIEKQKHRCPLRRSERGKMPSLSGSSGSKKSDKSLDSLDAKRKKEKKEKSVKQLTMETVEVNKIENKDGQVDEAQKKRMDARAYRAMFRKQLKSANGTDHGDDLNRTDSERRDEDPLKVHAERTCEITMARGTSQSVEEAPENDNEHTLFPTSQKDSCKDMSSNGDGLRVSKSGLVAIEMNDDAEKAVQDPELVNSMLHERILDCNISLEMVQEVVFSERKRHDIDIDSVASPITSSKDICTSMAGAETLLTSGCKRKDCSETCGTCSKRQRVDCDSTKQEICFSNKKLNQLFQSSDIKDRWKLDAGVSTGHVEKCCNDMQKHMSTDLRTDPDQNTCIVCKLVGKLLCCEGKGCRRSYHLSCLEHPLEEVPVGVWHCPVCMSKKIESGVHSVSEGIEAILDSREVEASEDGLQRQKQYFVKYKGLAHVHNRWVPENQALLEAPSLVAKYNRRNQGAVWKQQWAVPHRVLQKRFLVTPEECDESHLKGHDGEKLNSHVEWLVKWRGLGYEHASWELENASFFSCPEGQSLIRDYETRHKKAKSASKFDKERGEVACLKLSQLSAGASPGLDANLDAFNKMCNYWRKGQNAIIFDDQERILNVISFILSFSSNISQPFLIISTSSSQYSWDEEFLHLAPSVDVVVYSGSKEIRKSIRTLEFYEEGGCIMFQVLITSPEVISEDLDVLASIGWEAIIVDECQRPRIASCFEQIKMLTASKRLLIVSGQLKDNVAEYLNLLSLLDSQSNLNGSDSLLMNSSDNIGTLKERLAKYIAYECKLESSRFVEYWVPVLLSNVQLEQYCFALLSNSFSLCSPSKTDPVGALRNILISSRKCCDHPYVVDQSLQMLLTKSLKEIEFLDVGIKASGKLQLLDAMLSEIKKRELKVLILFQSIGGSGRDLLGDILDDFLRQRFGADSYERIDGGVFLSKKQSALNKFNNERERFVFLLETRACLPSIKLSAVGTVIIFGSDWSPMNDLRALQRITLDSQFEQIKIFRLYSSFTVEEKVLMLSKQDKTLDSNTHSVSPSSCHMLLKWGASHLFNQLDKFHGIPTSDAGTLSEQSHLIDVIKECFIILDQTGIDNDASKLSLILLAKQKQGTYRTEMPLFGEQKIQVMNEDPPYIFWTKLLEGKNPQWKYSSCSSQRNRKRVQNFDGLLKKPEAESSEVVKRRKKVVSDCNDHLSPKAGLREGKMAAGDREGSLGISANGLSHSLSRSTASESDEIHATSNSLHLANNISKIPAFNMVEWERRRKQRDSQKNLHVLLMPQIAQLCEVFHLSEVVKAMVERFLEYVMNNHLVYREPETLLQAFQISLCWSAASLLKQKIDHKESLALAKQHLGFTCKKDEADYVYSLLRCLKTMFRYRTGYLKVPNSPKASELSSKALGRDYSNARSYHQSAKAKIEDLLGFQEGSAVQVCAESGVAPEFHLAQRDLLKSIKEIQKKCDKHMTKLREKQREEMKQFNQKYEEEKAQLENKKRTEAAVIRLLSNVSMRTDKLKKLDIEYAGKFDELKLQMDVHLKNLEAVQVRARSSVLESKTRWVEAVKNWAQAEFVRPPVSEVNLSEGRSSTGIIHSVSGNEVRVSKSIHIVSDDIMACSDPICRVTCLARPFKENSEGASVEECNVTVCSGGGEEQAVYKASYAREGVSGGEIPYGGVALDVPVTVSSGYVTESFPSMRCSDEDKISDGSKLNMSNGDPETVPPTDGPENLICVEAPSCEEIPDGATLSKPIPFRAADGVSFCEDQEKLASLQAPSSEKISNRDSLRKIDEDVPLRESVTVISGEGQEDLISLEAPSSVEVPDGTNLRKVDGQVPLGEPLIAISGEGQENLGSAEAPSSEEIPDGAALSMADVVLPSSAAEAVGSSEGQENIISGNSSSEKQIPGGATFIVSDGEVPKSTSEIETSSHGMVCQNPSSKEQITDTAEEGSLAESETAPSEVLEGGSIHRENVQTSATGIDQQDVEVCTMNQEPEFEEPSLADLPPVQRVPIVDQGGPFPPDEVSPNAGFLPSAIQARDVVNSETQNASQVAETSSPNATIDVRYNEPNPDTPVLELSERTQLLRSGESTSYLSPPNLPSVSAIEHHSNNEGQTANQISQALRQSVANHIELSNQDVLQPLHSPIDGTIGGLVRQASETRTASLPPVSSGLPVQTAPAVSSRMPLPLYNDPLQNEMERIRKETDQTIKIHEDMKLQLKSECEKQIEEAVAQIRRNYKAKLKEKEAEFLLQKKELDVNYNKVLLNKILAEAFRSKCMDIRASGLAGAHQETSSSFMQQLVQLSSQQTVQQPSTASGLPPTGSPSTQPVSPAVVNAQTMGPPLQAVNPSAFFSGTPTRPPHISSISPSAGNLQMSSEIRAPAPHLQPFRPSTSISPSSLPSQSRGMLNQQAHGNHPVAPPLRGQSYGNPLAHRPISTACQSGRIPPETAGGLAPPPSSSLPSLDVLMGINNLSGANTNPLSNLLPGVSSSLATLVCQESSLPRIQSNPAQQSGATDIVCLSDDD >EOY29481 pep chromosome:Theobroma_cacao_20110822:9:1304574:1305583:-1 gene:TCM_036999 transcript:EOY29481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLRSSSPPVLTTCIPQSSPAVDSSRRLPSKPIALTASSINKIQRTSPECNMRQMAIPRQKLPSGGVGVRGDLLKAEEYCERAILVKPDDGEVLSMYGDLIWINHGDGARALYYSHRAAQASPDDYHVLASYARLEWAAGKEEEEEEEDKAKER >EOY33008 pep chromosome:Theobroma_cacao_20110822:9:34876111:34879793:-1 gene:TCM_041016 transcript:EOY33008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGCDCNFYNNCFSSMWTWVSNYVRFSKGALFISGLLMSDAFVDNAFRAFLISYIQFIWEKSSLRKAAAFANAFEGLTMFTSIVLDHIAADRIGRFKLLVCTVPALIIALLVLWLSSWLLASHVSNIIFYVALTLFMLGIAGQDASLKPFLCDQFRATMDIDETEIMEDQFSQKQHTEKYEDTFRIRANFWNYIAVFLGTVVSSTFASSLEWDETFKVSLIVVVVAYLVFCSGKCCYDHEDPAGKTVAERMNQAKPLVKLLPLWITFIVYSLVEATGYTLFIEQSENLDDRIDLRIPIHSFNRIPLTSFYVLQSFTTFIISLLCDFLIIKFWSSEESIQHRVFFAIGCCITAWRVEVRRLGSISEFENRISISEFENRIGQRISEGDTSIFLIRSCERNLGEGTKAFVL >EOY34040 pep chromosome:Theobroma_cacao_20110822:9:39763250:39764892:1 gene:TCM_041842 transcript:EOY34040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer plastid envelope protein 16-1 MPPSMFSGSLSAPSVNVTIDTGNPFLNQTVDGFLKIGTVAATRAVAEDTFTAIKRGKLSQECLEHALKRMCKEGAYWGSVAGVYVGMEYGVGRIRGSRDWKNAMIGGALTGALVSAVGNNNKDRIVTDALTGGAIAAASVFLNNLT >EOY33997 pep chromosome:Theobroma_cacao_20110822:9:39619492:39623385:-1 gene:TCM_041812 transcript:EOY33997 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor, putative MDTLLPNNGSEVVFLNQNPVNGSNPNNILVDPAKSNHPGHVASSVGSNSSDGDSPYGSDNLDFSNAVLKFINDVLMEEDVEGRPCMLQDCLALQAAEKSFYDVQVQKYPPLPDHFYQEVDVDNECPYDPLICSNRADGNDSQAAANNMVESSWNYHQHGLDSPLMQSSSNYSTELTSFLPRLCGEILRSEPVESYTFKLPELQKGVAGREAEKEVWKHISNRSMGRKNHPREFEDSLEGRRNSKQFALITEEDSSEEHMFDEVFLVKGGNVSCPLYEALQNRANRKLQDNGQVKADSGRKSRVKKQGNGKEMVDLWSLLNQCAQAVAIYDQRAATELLGKIRRHSSPFGDGTERLAHYFANGLEVRLNGTGAPLYTPIPRNGTLASDIIKAYILYVSACPFRRISNLFANRNIAKLAEKATTVHLIDFGISYGFQWPCLIQRLSTRRGGPPKLRISGIDFPQPGLRPAERIEATGRRLRRCCENVDVPFEYNSIAKRWEFVRVEDLKIERDELIVVNCMYRLRNLPDDSVVLNSPRNIVLKLIKRINPDLFIHGVVNGSYNVPFFVTRFREAFFHFSAMFDILEANVPREDPDRLLLEREMFGRDAMNVIAYEGLERIDRPETYKQWQFRNQKAGFRQLPLDEKILNRARTVMKSNYHEDFAIEVDCQWILQGWKGRVIHALSCWKPVQE >EOY33187 pep chromosome:Theobroma_cacao_20110822:9:35808359:35821242:1 gene:TCM_041157 transcript:EOY33187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97 / Spc98 family of spindle pole body component, putative isoform 4 MNLSGNSYSLGKSWENSQAADNQNHGGREVQEEEGPRYSLVNQAFSVAVGKVLEGYICALDTLYASVNLRRSAKSVEVSSCVSSGCLTSVVYSEITLLEVYLHTKELRTQIEALGNICNLHNLSLCFSESSFAELVYKATMEFHNFYRGGDLLSYLYTQLKVADPAHCSLLKFLFLRSCEPYCEFIRSWIFKAEINDPYKEFVVEYVDTLQHYSFGKAGISIDFLVASIKERDGAAVPGFLKDVLIPLVRAGQQLQVLMKLLEMRKYVDPGDHTHSDFLPYWSGFAGSNPFYASSITFGKENIETLVLMRNSYYERMQEKLESFLTGLEFSYQQGILHCNGGGSLNTADSLTVDDKLVITSTQQSCSNVSLDDNDLDDSNTKDGSSHVADIFESSECSSMSSFEEQTESEQLIEQSNNSVWPKQNYFSALSFSVNPPIDSSLQQAFQNENSYHVESSSQEFSERTGHHGNFIGSESNGTMYDHISLHLESNWLCAEAECANILPYKGWPVDSARSNAFYIDGGCREDKRLHLSDSVIKMRKGNMQFFDKVMQHLGESIVSNNTSTVAASNKDQLLKDSTLGLFPLQQFKLTYNGSLLSKNPMLTKNVFCHLMSKCGDASSIDYQQTLPCFDFSSVDDPCKVCVERLEAGFTHKLSEDTSSSVTNGTSYQSGERGYGGDGLLVDNAKVSYAAPPLELKNQNQGVISTTASGGSYWECLLGSSSTPNSNGIEDVKLNTSSVFEIPLDFVIDKCLLQEILLQYNYVSKLTIKLLEEGFDLQEHLLALRRYHFMELADWADLFIMYLSYHKWCVTEVDRRVSEIQGLLELSVQRSSCERDHHKDRLYVYAKGHGMMPLSTSTIGVRSFDFLGLGYRVDWPVSIILTHGALKIYADIFNFLIQLKLAIFSLTDVWCSLKDVMHLIRQKRHSPLHEREVGHYNMLMKLRHQVNHFVSTLQQYVQSQLSHVSWCKLLHSFKHKVKDMMDLESVHMAYLIDSLHICFLSDETRSIASIIENILQCALDFRSCLTGALWNVGLAEDDLSDKLSRINISQVLTIKQKFDKNLKELHLLYIKSPKHGEFGLSCFWGYLNYNEFYSNGNEMGRYAFPI >EOY33188 pep chromosome:Theobroma_cacao_20110822:9:35808090:35821242:1 gene:TCM_041157 transcript:EOY33188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97 / Spc98 family of spindle pole body component, putative isoform 4 MALETNFASLFGKLKVEDPWLPPRTWESIPSQSGRPPLPSSQAPISSSSSVSEASLVRLALNALQGVESSLISVEKLSAAFCSDPADRTFHQTPSLWNRSLSTHALGKILISIGRLGFLVFLLHKFVDYFKNMNLSGNSYSLGKSWENSQAADNQNHGGREVQEEEGPRYSLVNQAFSVAVGKVLEGYICALDTLYASVNLRRSAKSVEVSSCVSSGCLTSVVYSEITLLEVYLHTKELRTQIEALGNICNLHNLSLCFSESSFAELVYKATMEFHNFYRGGDLLSYLYTQLKVADPAHCSLLKFLFLRSCEPYCEFIRSWIFKAEINDPYKEFVVEYVDTLQHYSFGKAGISIDFLVASIKERDGAAVPGFLKDVLIPLVRAGQQLQVLMKLLEMRKYVDPGDHTHSDFLPYWSGFAGSNPFYASSITFGKENIETLVLMRNSYYERMQEKLESFLTGLEFSYQQGILHCNGGGSLNTADSLTVDDKLVITSTQQSCSNVSLDDNDLDDSNTKDGSSHVADIFESSECSSMSSFEEQTESEQLIEQSNNSVWPKQNYFSALSFSVNPPIDSSLQQAFQNENSYHVESSSQEFSERTGHHGNFIGSESNGTMYDHISLHLESNWLCAEAECANILPYKGWPVDSARSNAFYIDGGCREDKRLHLSDSVIKMRKGNMQFFDKVMQHLGESIVSNNTSTVAASNKDQLLKDSTLGLFPLQQFKLTYNGSLLSKNPMLTKNVFCHLMSKCGDASSIDYQQTLPCFDFSSVDDPCKVCVERLEAGFTHKLSEDTSSSVTNGTSYQSGERGYGGDGLLVDNAKVSYAAPPLELKNQNQGVISTTASGGSYWECLLGSSSTPNSNGIEDVKLNTSSVFEIPLDFVIDKCLLQEILLHKLTIKLLEEGFDLQEHLLALRRYHFMELADWADLFIMYLSYHKWCVTEVDRRVSEIQGLLELSVQRSSCERDHHKDRLYVYAKGHGMMPLSTSTIGVRSFDFLGLGYRVDWPVSIILTHGALKIYADIFNFLIQLKLAIFSLTDVWCSLKDVMHLIRQKRHSPLHEREVGHYNMLMKLRHQVNHFVSTLQQYVQSQLSHVSWCKLLHSFKHKVKDMMDLESVHMAYLIDSLHICFLSDETRSIASIIENILQCALDFRSCLTGALWNVGLAEDDLSDKLSRINISQVLTIKQKFDKNLKELHLLYIKSPKHGEFGLSCFWGYLNYNEFYSNGNEMGRYAFPI >EOY33186 pep chromosome:Theobroma_cacao_20110822:9:35808090:35821242:1 gene:TCM_041157 transcript:EOY33186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97 / Spc98 family of spindle pole body component, putative isoform 4 MALETNFASLFGKLKVEDPWLPPRTWESIPSQSGRPPLPSSQAPISSSSSVSEASLVRLALNALQGVESSLISVEKLSAAFCSDPADRTFHQTPSLWNRSLSTHALGKILISIGRLGFLVFLLHKFVDYFKNMNLSGNSYSLGKSWENSQAADNQNHGGREVQEEEGPRYSLVNQAFSVAVGKVLEGYICALDTLYASVNLRRSAKSVEVSSCVSSGCLTSVVYSEITLLEVYLHTKELRTQIEALGNICNLHNLSLCFSESSFAELVYKATMEFHNFYRGGDLLSYLYTQLKVADPAHCSLLKFLFLRSCEPYCEFIRSWIFKAEINDPYKEFVVEYVDTLQHYSFGKAGISIDFLVASIKERDGAAVPGFLKDVLIPLVRAGQQLQVLMKLLEMRKYVDPGDHTHSDFLPYWSGFAGSNPFYASSITFGKENIETLVLMRNSYYERMQEKLESFLTGLEFSYQQGILHCNGGGSLNTADSLTVDDKLVITSTQQSCSNVSLDDNDLDDSNTKDGSSHVADIFESSECSSMSSFEEQTESEQLIEQSNNSVWPKQNYFSALSFSVNPPIDSSLQQAFQNENSYHVESSSQEFSERTGHHGNFIGSESNGTMYDHISLHLESNWLCAEAECANILPYKGWPVDSARSNAFYIDGGCREDKRLHLSDSVIKMRKGNMQFFDKVMQHLGESIVSNNTSTVAASNKDQLLKDSTLGLFPLQQFKLTYNGSLLSKNPMLTKNVFCHLMSKCGDASSIDYQQTLPCFDFSSVDDPCKVCVERLEAGFTHKLSEDTSSSVTNGTSYQSGERGYGGDGLLVDNAKVSYAAPPLELKNQNQGVISTTASGGSYWECLLGSSSTPNSNGIEDVKLNTSSVFEIPLDFVIDKCLLQEILLQYNYVSKLTIKLLEEGFDLQEHLLALRRYHFMELADWADLFIMYLSYHKWCVTEVDRRVSEIQGLLELSVQRSSCERDHHKDRLYVYAKGHGMMPLSTSTIGVRSFDFLGLGYRVDWPVSIILTHGALKIYADIFNFLIQLKLAIFSLTDVWCSLKDVMHLIRQKRHSPLHEREVGHYNMLMKLRHQVNHFVSTLQQYVQSQLSHVSWCKLLHSFKHKVKDMMDLESVHMAYLIDSLHICFLSDETRSIASIIENILQCALDFRSCLTGALWNVGLAEDDLSDKLSRINISQVLTIKQKFDKNLKELHLLYIKSPKHGEFGLSCFWGYLNYNEFYSNGNEMGRYAFPI >EOY33189 pep chromosome:Theobroma_cacao_20110822:9:35808359:35821242:1 gene:TCM_041157 transcript:EOY33189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97 / Spc98 family of spindle pole body component, putative isoform 4 MNLSGNSYSLGKSWENSQAADNQNHGGREVQEEEGPRYSLVNQAFSVAVGKVLEGYICALDTLYASVNLRRSAKSVEVSSCVSSGCLTSVVYSEITLLEVYLHTKELRTQIEALGNICNLHNLSLCFSESSFAELVYKATMEFHNFYRGGDLLSYLYTQLKVADPAHCSLLKFLFLRSCEPYCEFIRSWIFKAEINDPYKEFVVEYVDTLQHYSFGKAGISIDFLVASIKERDGAAVPGFLKDVLIPLVRAGQQLQVLMKLLEMRKYVDPGDHTHSDFLPYWSGFAGSNPFYASSITFGKENIETLVLMRNSYYERMQEKLESFLTGLEFSYQQGILHCNGGGSLNTADSLTVDDKLVITSTQQSCSNVSLDDNDLDDSNTKDGSSHVADIFESSECSSMSSFEEQTESEQLIEQSNNSVWPKQNYFSALSFSVNPPIDSSLQQAFQNENSYHVESSSQEFSERTGHHGNFIGSESNGTMYDHISLHLESNWLCAEAECANILPYKGWPVDSARSNAFYIDGGCREDKRLHLSDSVIKMRKGNMQFFDKVMQHLGESIVSNNTSTVAASNKDQLLKDSTLGLFPLQQFKLTYNGSLLSKNPMLTKNVFCHLMSKCGDASSIDYQQTLPCFDFSSVDDPCKVCVERLEAGFTHKLSEDTSSSVTNGTSYQSGERGYGGDGLLVDNAKVSYAAPPLELKNQNQGVISTTASGGSYWECLLGSSSTPNSNGIEDVKLNTSSVFEIPLDFVIDKCLLQEILLQYNYVSKLTIKLLEEGFDLQEHLLALRRYHFMELADWADLFIMYLSYHKWCVTEVDRRVSEIQGLLELSVQRSSCERDHHKDRLYVYAKGHGMMPLSTSTIGVRSFDFLGLGYRVDWPVSIILTHGALKIYADIFNFLIQLKLAIFSLTDVWCSLKDVMHLIRQKRHSPLHEREVGHYNMLMKLRHQVNHFVSTLQQYVQSQLSHVSWCKLLHSFKHKVKDMMDLESVHMAYLIDSLHMTC >EOY33006 pep chromosome:Theobroma_cacao_20110822:9:34850093:34850791:1 gene:TCM_041012 transcript:EOY33006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLLIPWSQQALPWPSGLHSNHTCRQAKCIQTRYKCVQWLALAGTCQDEVSAMASPIQGGASKNENKLKSLPIPTTDTQETSAKRVLRLNPFGSHEILMGNG >EOY31504 pep chromosome:Theobroma_cacao_20110822:9:9208357:9217170:1 gene:TCM_038431 transcript:EOY31504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 11 MSSSITENLVPEGKLLVHIAENGHSFELDCDETTLVEAVMQSIQPVSGIHFNDQLVLCSDMKLEPQRPLSAYKLPSSDREVFIFNKSRLQTNSPPPIPEQVDIDEVSEPRPPASSSDPHPLDDAPDPALKALPSYERQFRYHYHRGHVIYNRTLAKLNNCERLLREQKVQERALEVARSNLDQYYRMIHQNCSEFMKRYKQQYRFHSDLLANFDKDMQKLRSTKLHPTLQTATRKCLLDFLKEDNLRKSADDCNSSHKQFENKVVDFNQTFGEVKRKVEELFTWRATLPIKNLELTIKEHHRYLNEQKSIMQSLSKDVNTVKKLVDDCLSCQLSSSLRPHDAVSALGPMYDVHDKSHLPRMLACERAISKLLDFFKDKKNEMNIFVHNYMQKTTYVTYYIKDVKLQFPVFREAMIRQDDLFTDLKSVRGIGPAYRACLAEIVRRKASMKLYMGMAGQLAERLATKREVEVRRREEFLKAHGRFVPKDVLASMGLCDTPSQCDVNIAPFDTTLLDIDIPDLDHYAPEYLAGLPTKAEKPGSLRASISMSNESSNLADTEEVGVDTLEKDDSDDFLGCELVEIAGTSKMEVENAKLKAELASAIALICSMGPEFEYESLDDSKVNNLLKDAAEKTAEALHLKDEYGKHLQSMLKAKQMQCVSYEKRIQELEQRLSDKYSQGQKLSTTNDGTDFGLLASKAVDCKPEISGCEVNMPRISTSEPMDEVSCISNSLDAKLGLFTRQSSKGREGVDENMMDSSGILNPQLDSSMQEPHREELQVGEKDGKDKIVGHSGMSLTNSSTAESMPEPLNALPCGTAAELIFDSKVREDLVLELQSALAEKSNQLSVTETKLRDALDEVAMLRREMETSSKLLDESQMNCAHLENCLHEAREEAQSHRCAADRRASEYSALRASAVKMRGIFERLRNCVYAPGGMAGFADSLRALAQSLANSISDSEDDGTAEFRKCIRVLAEKVGFLSRHREELHEKYTNIEAVKEQLRKELEEKNELVKTLYTKHQLEKQANKEKISFSRLQVHEIAAFVLNSAGHYEAITRNCSNYYLSTESVALFTDHLPIQPSFIVGQIVHIERQTVKSLPPSSTRPEHGRADPVDQMTFDSGTERLTLNSGSSLNPYGLPIGCEYFIVTVAMLPDTTIHSAPPS >EOY32828 pep chromosome:Theobroma_cacao_20110822:9:33950830:33957093:-1 gene:TCM_040853 transcript:EOY32828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLVNQIQLMGENMTDAKVVEMVEKVLISLPKKFDATVSSLGQSQDVSQLTITELASALQADEQGKTARRDEKIDNALVAKSKGKAHVESLQKKNIFEAKDKGKVEKAETVEEQPFMATCGQASDDSQWLLDSGPMSVDSLNGSIYFVCFIDDYSKMAWKYFLKFKGEYTSSKFTTNLHDHGIHHQLTVPYSPQQNGFSERKNRTILEMVRCLLFAKDLLKRFWAKVASTAVYLLNLLPTRALFAITVYEAWHGIKPAVNHLKVFWCLCYHLTLESSRDKLEHVSTCNERTDANQNDLLEIESDEDNVDDFPIRGIQEELCVEQPEGFQFKSDSNKVYRLHKPLYALKQTPRSWYSKIEAYLLKQGFVKSPNEATLYVCKVKGEPQLIISLYVDDLLVTGNYEKEILEKFHLIDCNSIATPFVANQKISANEACPLKDPTSFRSLIGSLSYICSTRPELMYLVSLLSRFMQQPTDVRLIAAKRILRYVKGNMSCGNYFGRMKKLKLIGYSDSDWVGSMKDSRSSCRYSFSFGNATNQAIWLRKVLNELNFVQDSITDLFVGNKSIIAITKNPVFHGKTKHIRVKFPAIRDVERNEDIVIKQCSSGDQLADIMTKTLQRPKFEYLRSKQNVYDARIMEQC >EOY34011 pep chromosome:Theobroma_cacao_20110822:9:39677676:39680407:-1 gene:TCM_041820 transcript:EOY34011 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; BEST Arabidopsis thaliana protein match is: PGR5-like B (TAIR:AT4G1196 /.../Has 97 Blast hits to 97 proteins in 19 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 97; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G59400) TAIR;Acc:AT5G59400] MAGTCHSIRPHVIGSTVKLPSSTATRTKPPFSVRVSGFSTKSQGLPTAKDEGPSCIFVGPIETASQETLEALYRQARDAYYSGEPLIVDDMFDRVELKLRWYGSKSVVKYPRCSIRRHSTYADAEEDISQVLALASIWILILAVGSTFCLVPLIYTISLAYQDPFSSDFSYGSQASNFEYLATVNGILFVAIGSVIGYSVASAAVRVLQRLWRKDLVALKGACPNCGEEQSVSRVGRRWVYGRVYLVSRRGRSRRWM >EOY34010 pep chromosome:Theobroma_cacao_20110822:9:39677045:39680390:-1 gene:TCM_041820 transcript:EOY34010 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; BEST Arabidopsis thaliana protein match is: PGR5-like B (TAIR:AT4G1196 /.../Has 97 Blast hits to 97 proteins in 19 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 97; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G59400) TAIR;Acc:AT5G59400] MAGTCHSIRPHVIGSTVKLPSSTATRTKPPFSVRVSGFSTKSQGLPTAKDEGPSCIFVGPIETASQETLEALYRQARDAYYSGEPLIVDDMFDRVELKLRWYGSKSVVKYPRCSIRRHSTYADAEEDISQVLALASIWILILAVGSTFCLVPLIYTISLAYQDPFSSDFSYGSQASNFEYLATVNGILFVAIGSVIGYSVASAAVRVLQRLWRKDLVALKGACPNCGEEVFAFLKSDKFSDSPHRADCHVCECTLEFRAKAEQSVSRVGRRWVYGRVYLVSRRGRSRRWIRAAFCAIDVFGSEVGKLRASP >EOY30152 pep chromosome:Theobroma_cacao_20110822:9:3578021:3582290:-1 gene:TCM_037459 transcript:EOY30152 gene_biotype:protein_coding transcript_biotype:protein_coding description:RED family protein isoform 1 MSSSKKYYKEKIARRKEEKAEEPEQPKYRDRAKERREDQNPDYEPTELGSFHAVAPPGTVDLRSADAHKISIEKSKYLGGDVEHTHLVKGLDYALLNKVRSEIDKKPDAGEDGDGKSRKSKEDQQISFRTATAKSVYQWIVKPQTVMKTNEMFLPGRMAFIFNMEGGYSNDIPTTLHRSKADCPVPDEMVTVSVDGSVLDRIAKILSYLRLGSSGKVLKKKKKERDAKGKVLALGNEYDEEDKPSKPNGGMSNGRTEKEILPPPPPPPRKNYLDSREKQGPTVARAEEDDIFVGDGIDYDSPRKDMNPSPLSEDMEESPRHKERVSYFAEPAYGPVQPSAAPQEWQELSGYDALQTQALAGGYQGEWQDYQYTEQMAYPEQYLQANMQGYDVQAGLNIPQDPRFMTQEEKDRGLGSVFKRDDQRLQQLREKDAREKDPNFISESYSECYPGYQEYNREIVDSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLNNELHKINKILARKKMEKDSGGEGGHHNDDVQPGKKLRISG >EOY30154 pep chromosome:Theobroma_cacao_20110822:9:3578073:3582110:-1 gene:TCM_037459 transcript:EOY30154 gene_biotype:protein_coding transcript_biotype:protein_coding description:RED family protein isoform 1 MSSSKKYYKEKIARRKEEKAEEPEQPKYRDRAKERREDQNPDYEPTELGSFHAVAPPGTVDLRSADAHKISIEKSKYLGGDVEHTHLVKGLDYALLNKVRSEIDKKPDAGEDGDGKSRKSKEDQQISFRTATAKSVYQWIVKPQTVMKTNEMFLPGRMAFIFNMEGGYSNDIPTTLHRSKADCPVPDEMVTVSVDGSVLDRIAKILSYLRLGSSGKVLKKKKKERDAKGKVLALGNEYDEEDKPSKPNGGMSNGRTEKEILPPPPPPPRKNYLDSREKQGPTVARAEEDDIFVGDGIDYDSPRKDMNPSPLSEDMEESPRHKERVSYFAEPAYGPVQPSAAPQEWQELALAGGYQGEWQDYQYTEQMAYPEQYLQANMQGYDVQAGLNIPQDPRFMTQEEKDRGLGSVFKRDDQRLQQLREKDAREKDPNFISESYSECYPGYQEYNREIVDSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLNNELHKINKILARKKMEKDSGGEGGHHNDDVQPGKKLRISG >EOY30153 pep chromosome:Theobroma_cacao_20110822:9:3578073:3582049:-1 gene:TCM_037459 transcript:EOY30153 gene_biotype:protein_coding transcript_biotype:protein_coding description:RED family protein isoform 1 ILQGENCSSEEKAEEPEQPKYRDRAKERREDQNPDYEPTELGSFHAVAPPGTVDLRSADAHKISIEKSKYLGGDVEHTHLVKGLDYALLNKVRSEIDKKPDAGEDGDGKSRKSKEDQQISFRTATAKSVYQWIVKPQTVMKTNEMFLPGRMAFIFNMEGGYSNDIPTTLHRSKADCPVPDEMVTVSVDGSVLDRIAKILSYLRLGSSGKVLKKKKKERDAKGKVLALGNEYDEEDKPSKPNGGMSNGRTEKEILPPPPPPPRKNYLDSREKQGPTVARAEEDDIFVGDGIDYDSPRKDMNPSPLSEDMEESPRHKERVSYFAEPAYGPVQPSAAPQEWQELSGYDALQTQALAGGYQGEWQDYQYTEQMAYPEQYLQANMQGYDVQAGLNIPQDPRFMTQEEKDRGLGSVFKRDDQRLQQLREKDAREKDPNFISESYSECYPGYQEYNREIVDSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLNNELHKINKILARKKMEKDSGGEGGHHNDDVQPGKKLRISG >EOY32582 pep chromosome:Theobroma_cacao_20110822:9:31645302:31652490:1 gene:TCM_040572 transcript:EOY32582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTSTPNITHQPPPLFDDVNYSVWAIKMKAYLRGYNLWNMVENDIEISTLKDNASAAQVKQYEEDVAKHYKAMSFLHSAVSETIFSRIMGCETAKETWDTLMLGEKLFETSVVQKILISILEKFEATIASLEQSKDPIKLTITEIVSALQAVEQRRIALQNPSAETAFVTKHRGKAVTDSGNKKNFRDKDKNAFNSSQIANRKGKFKVCMYCKKCSHFEDNCWFKPDAKCKVCNELGHIDKVCKNKSSGTEKADQSTEKAQVAEETLFMALTAPNSDVHNDQWLLDSGSSNHITPLESMFVDLDKNYKSKVKIGNKFHLDAIGKGTVSIYASAGISVCQLGKLSRKLFPISTSRAKGKLDLVHFDVAGPMTKVELESGVKIKCLRTDNGGEYTFGEFTHYLSSEGINHQLTAYTPQQNGGEECLSRLRSGCHGPDGEFWVVIDMTPFETWFGHKPSLNHLRVFGWKWEPESEDNNRFSAASINPESIFQEDNDVLESSDNHNIDDVPVRGTRSIDDIYHRSLFVAEEPTTFAEATKCLEWRAAMCEELDMISKNQTWSLVKRQSNHHVIGVKWIFQRKLNPDGSVNKFKARLVAKGFSQRLGIDYMETSALVARFDTIRLLLALSSAFCWNPAGFIQNPNEDKVFKLHKALYGLKQAPRTCSSTKPSMIVLLYVDDLPVTGGDNIALQRFKEKTHNEFDMTDLGLMSYFLGLEINQSADGIKLSQKKYISDVLKGFHMEHCKPAVTPLPIHASTNKSSSNSCKTCFEIFESHLESCDSFHQNYSSNGPFSWNSYKQTLVAQSSVEAKYIAASAASNQAIWLRKVLADLRLSQCHPTPLLVDNKSAIAI >EOY30393 pep chromosome:Theobroma_cacao_20110822:9:4480672:4483505:-1 gene:TCM_037621 transcript:EOY30393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myristoyl-CoA:protein N-myristoyltransferase isoform 1 MSDNNSPPGSPKVNPDQNSEAIQLPKDDSSLETIVRRFQDTMSLAKKHKFWETQPVGQFKDVGDTSLPEGPIEPATPLSEVKQEPYNLPSPYEWTTCDMDSEETCTEVYNLLKNNYVEDDENMFRFNYSKEFLRWALRPPGYYKSWHLGVRAKASKKLVAFITGVPARIRVRDEVVKMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDSPATPGFRKMELRDVPAVTRLLRNYLSQFAVAPDFDENDVEHWLLPTQDVIDSYLVESPETHDITDFCSFYTLPSSILGNQNYPILKAAYSYYNVSTKTPLLQLMNDALIVAKQKDFDVFNALDVMHNESFLKELKFGPGDGQLHYYLFNYRIRSALRPSELGLVLL >EOY30391 pep chromosome:Theobroma_cacao_20110822:9:4480672:4483545:-1 gene:TCM_037621 transcript:EOY30391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myristoyl-CoA:protein N-myristoyltransferase isoform 1 MSDNNSPPGSPKVNPDQNSEAIQLPKDDSSLETIVRRFQDTMSLAKKHKFWETQPVGQFKDVGDTSLPEGPIEPATPLSEVKQEPYNLPSPYEWTTCDMDSEETCTEVYNLLKNNYVEDDENMFRFNYSKEFLRWALRPPGYYKSWHLGVRAKASKKLVAFITGVPARIRVRDEVVKMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDSPATPGFRKMELRDVPAVTRLLRNYLSQFAVAPDFDENDVEHWLLPTQDVIDSYLVESPETHDITDFCSFYTLPSSILGNQNYPILKAAYSYYNVSTKTPLLQLMNDALIVAKQKDFDVFNALDVMHNESFLKELKFGPGDGQLHYYLFNYRIRSALRPSELGLVLL >EOY30392 pep chromosome:Theobroma_cacao_20110822:9:4480810:4483545:-1 gene:TCM_037621 transcript:EOY30392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myristoyl-CoA:protein N-myristoyltransferase isoform 1 MSDNNSPPGSPKVNPDQNSEAIQLPKDDSSLETIVRRFQDTMSLAKKHKFWETQPVGQFKDVGDTSLPEGPIEPATPLSEVKQEPYNLPSPYEWTTCDMDSEETCTEVYNLLKNNYVEDDENMFRFNYSKEFLRWALRPPGYYKSWHLGVRAKASKKLVAFITGVPARIRVRDEVVKMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDSPATPGFRKMELRDVPAVTRLLRNYLSQFAVAPDFDENDVEHWLLPTQDVIDSYLVESPETHDITDFCSFYTLPSSILGNQNYPILKAAYSYYNVSTKTPLLQLMNDALIVAKQKDFDVFNALDVMHNESFLKELKFGPGDGQLHYYLFNYRIRSALRPSELGLVLL >EOY32576 pep chromosome:Theobroma_cacao_20110822:9:31571140:31590598:-1 gene:TCM_040567 transcript:EOY32576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase of Uncharacterized protein function (DUF1680), putative isoform 1 MKGLVLFRVFGIFLLCGSVASKECTNIPTQLSSHSVRYELLKSQNETWKEEMFAHYHLIPTDDSAWSNLLPRKILREEDEFSWSMMYKTMKNPGSFKLAGDFLKEVSLHDVSLDPNSIHGRAQRTNLEYLLMLDVDNLVWSFRKTAGLPTPGKPYGGWEAPDVELRGHFVGHYLSATAQMWASTHNITLKQKMSAVVSALSACQKKMGRGYLSAFPSEFFDRFEAIKPVWAPYYTIHKILAGLLDQFILADNAQALNMTRWMVDYFYNRVQDVITKHSVERHWLSLNEETGGMNDVLYRLFTITGDPKHLLLAHLFDKPCFLGLLAVQADDISGFHANTHIPVVIGSQMRYEVTGDPLYKTIATFFMDIVNSSHSYATGGTSVSEFWSDPKRLASTLQTENEESCTTYNMLKVSRHLFRWTKEVAYADYYERALTNGVLGIQRGTEPGVMIYMLPQGRGVSKATSYHKWGTPFDSFWCCYGTGIESFSKLGDSIYFEEEGSDPGLYIIQYISSNLDWKSGKIVLNQKVDPVVSWDPYLRVTLTSSLKEGAGQSSTLNLRIPIWTWSEGAKATLNAQNLDLPAPGSFLPVKWSAGDKLTLQLPISLRAEPIKDDRPEHASVQAILYGPYLLSGYSSGDWDIKTGSDADWIAPVPSAYNNHLVTFSQESGDSTFVLTNSNQSIRMEKFPKAGTDAALHATFRLVFDETSEKISNIREAIGKTVMLEPFDFPGMVLVHQGTENNLAVTDSPNDEATSGFRLVAGLDGKADSVSLESESEEGCYVYSGVNYSSSVDMKLSCNSASSEAGFNQASSYIMNKGVAEYHPISFVAKGARRNFLMVPLQSFRDESYTIYFNIQP >EOY32577 pep chromosome:Theobroma_cacao_20110822:9:31582519:31590872:-1 gene:TCM_040567 transcript:EOY32577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase of Uncharacterized protein function (DUF1680), putative isoform 1 MKGLVLFRVFGIFLLCGSVASKECTNIPTQLSSHSVRYELLKSQNETWKEEMFAHYHLIPTDDSAWSNLLPRKILREEDEFSWSMMYKTMKNPGSFKLAGDFLKEVSLHDVSLDPNSIHGRAQRTNLEYLLMLDVDNLVWSFRKTAGLPTPGKPYGGWEAPDVELRGHFVGHYLSATAQMWASTHNITLKQKMSAVVSALSACQKKMGRGYLSAFPSEFFDRFEAIKPVWAPYYTIHKILAGLLDQFILADNAQALNMTRWMVDYFYNRVQDVITKHSVERHWLSLNEETGGMNDVLYRLFTITGDPKHLLLAHLFDKPCFLGLLAVQADDISGFHANTHIPVVIGSQMRYEVTGDPLYKTIATFFMDIVNSSHSYATGGTSVSEFWSDPKRLASTLQTENEESCTTYNMLKVSRHLFRWTKEVAYADYYERALTNGVLGIQRGTEPGVMIYMLPQGRGVSKATSYHKWGTPFDSFWCCYGIESFSKLGDSIYFEEEGSDPGLYIIQYISSNLDWKSGKIVLNQKVDPVVSWDPYLRVTLTSSLKEGAGQSSTLNLRIPIWTWSEGAKATLNAQNLDLPAPGSFLPVKWSAGDKLTLQLPISLRAEPIKDDRPEHASVQAILYGPYLLSGYSSGDWDIKTGSDADWIAPVPSAYNNHLVTFSQESGDSTFVLTNSNQSIRMEKFPKAGTDAALHATFRLVFDETSEKISNIREAIGKTVMLEPFDFPGMVLVHQGTENNLAVTDSPNDEATSGFRLVAGLDGKADSVSLESESEEGCYVYSGVNYSSSVDMKLSCNSASSEAGFNQASSYIMNKGVAEYHPISFVAKGARRNFLMVPLQSFRDESYTIYFNIQP >EOY33359 pep chromosome:Theobroma_cacao_20110822:9:37069203:37075742:1 gene:TCM_041338 transcript:EOY33359 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-malic enzyme 4 isoform 3 MISLHKSCFLNNTGISGSSSPFSYKLKKLPPASLKVAALGPNRDRNNSVVIENSNTLKEIREEGTSSVADVNSNSTVTGGDRDVYYDDAATEDQLVTPWSISVASGYSLLRDPHHNKGLAFTEKERDAHYLRGLLPPTVISQELQVKKMMRSIRQYQVPLQKYMAMMDLQETNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLFISLKEKGKIHEVLRNWPQKNIQVIVVTDGERILGLGDLGCQGMGIPIGKLSLYTALGGVRPSACLPVTIDVGTNNEQLLNDEFYIGLRQRRATGQEYAELMHEFMTAVKQTYGEKVLIQFEDFANHNAFDLLAKYGTTHLVFNDDIQGTASVVLAGLVAALKVVGGTLADHRFLFLGAGEAGTGIAELIALEISKQTNMPLDEARKKIWLVDSKGLIVKSRIDSLQHFKKPWAHDHEPIKKLVDAVNGIKPTVLIGTSGVGRTFTKEVVESMAALNDKPIIFSLSNPTSQSECTAEEAYTWSQVIQSCSLVVPFLLVEVHLTLLNTREDFLCLGRQIMHTSSLALVWV >EOY33360 pep chromosome:Theobroma_cacao_20110822:9:37069400:37074516:1 gene:TCM_041338 transcript:EOY33360 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-malic enzyme 4 isoform 3 MISLHKSCFLNNTGISGSSSPFSYKLKKLPPASLKVAALGPNRDRNNSVVIENSNTLKEIREEGTSSVADVNSNSTVTGGDRDVYYDDAATEDQLVTPWSISVASGYSLLRDPHHNKGLAFTEKERDAHYLRGLLPPTVISQELQVKKMMRSIRQYQVPLQKYMAMMDLQETNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLFISLKEKGKIHEVLRNWPQKNIQVIVVTDGERILGLGDLGCQGMGIPIGKLSLYTALGGVRPSACLPVTIDVGTNNEQLLNDEFYIGLRQRRATGQEYAELMHEFMTAVKQTYGEKVLIQFEDFANHNAFDLLAKYGTTHLVFNDDIQGTASVVLAGLVAALKVVGGTLADHRFLFLGAGEAGTGIAELIALEISKQTNMPLDEARKKIWLVDSKGLIVKSRIDSLQHFKKPWAHDHEPIKKLVDAVNGIKPTVLIGTSGVGRTFTKEVVESMAALNDKPIIFSLSNPTSQSECTAEEAYTWSQGRAIFASGSPFDPVEYEGRLFVPGQVILVPKVVLTPSLVKFCAEG >EOY33358 pep chromosome:Theobroma_cacao_20110822:9:37069203:37075780:1 gene:TCM_041338 transcript:EOY33358 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-malic enzyme 4 isoform 3 MISLHKSCFLNNTGISGSSSPFSYKLKKLPPASLKVAALGPNRDRNNSVVIENSNTLKEIREEGTSSVADVNSNSTVTGGDRDVYYDDAATEDQLVTPWSISVASGYSLLRDPHHNKGLAFTEKERDAHYLRGLLPPTVISQELQVKKMMRSIRQYQVPLQKYMAMMDLQETNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLFISLKEKGKIHEVLRNWPQKNIQVIVVTDGERILGLGDLGCQGMGIPIGKLSLYTALGGVRPSACLPVTIDVGTNNEQLLNDEFYIGLRQRRATGQEYAELMHEFMTAVKQTYGEKVLIQFEDFANHNAFDLLAKYGTTHLVFNDDIQGTASVVLAGLVAALKVVGGTLADHRFLFLGAGEAGTGIAELIALEISKQTNMPLDEARKKIWLVDSKGLIVKSRIDSLQHFKKPWAHDHEPIKKLVDAVNGIKPTVLIGTSGVGRTFTKEVVESMAALNDKPIIFSLSNPTSQSECTAEEAYTWSQGRAIFASGSPFDPVEYEGRLFVPGQANNAYIFPGFGLGLIMSGAIRVHDDMLLAASEALAAQVTPENFDKGLIYPPFKNIRKISAHIAANVAAKAYELGLATRMPQPKDLVKYAESCMYSPGYRSYR >EOY29496 pep chromosome:Theobroma_cacao_20110822:9:1356370:1357656:-1 gene:TCM_037012 transcript:EOY29496 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein isoform 2 SAKEVANARQNRTLISLPKWPLLHARQRIHLPLYPLKSHAFSNHGAFQDSSIAKFLPVKAKATPRNRNTKPNSVICADCDGNGAVLCSQCQGSGVNSVDFFNGQFKAGDSCWLCGGKKQMLCGNCNGAGFIGGFMSTDDE >EOY29494 pep chromosome:Theobroma_cacao_20110822:9:1356367:1357653:-1 gene:TCM_037012 transcript:EOY29494 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein isoform 2 MASASCSAAHSLAFISTQKPRTISHAATYNHKAFSNHGAFQDSSIAKFLPVKAKATPRNRNTKPNSVICADCDGNGAVLCSQCQGSGVNSVDFFNGQFKAGDSCWLCGGKKQMLCGNCNGAGFIGGFMSTDDE >EOY29495 pep chromosome:Theobroma_cacao_20110822:9:1356367:1357666:-1 gene:TCM_037012 transcript:EOY29495 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein isoform 2 MASASCSAAHSLAFISTQKPRTISHAATYNHKAFSNHGAFQDSSIAKFLPVKAKATPRNRNTKPNSVICADCDGNGAVLCSQCQGSGVNSVDFFNGQFKAGDSCWLWAKSRCCVETAMVLASLGVL >EOY31510 pep chromosome:Theobroma_cacao_20110822:9:9237761:9240580:-1 gene:TCM_038436 transcript:EOY31510 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35 isoform 3 MASSLNLTTMSFNLPFCHSYHSSSTRLSRDSLQLPQFNKLGKALKLSSTQNISGFPSFVSYKLCTITPAPQRLQSLTVFAAKGYKMKTHKASAKRFRVTGRGKIVRRRAGKQHLLAKKNTKRKLRLSKMHAVSRNDYDNVIEPSLRHHTNKYYMHQMPIPWMVDALGFKKKA >EOY31511 pep chromosome:Theobroma_cacao_20110822:9:9237830:9241271:-1 gene:TCM_038436 transcript:EOY31511 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35 isoform 3 MASSLNLTTMSFNLPFCHSYHSSSTRLSRDSLQLPQFNKLGKALKLSSTQNISGFPSFVSYKLCTITPAPQRLQSLTVFAAKGYKMKTHKASAKRFRVTGRGKIVRRRAGKQHLLAKKNTKRKLRLSKMHAVSRNDYDNVIGALPYLKVNRNAK >EOY31512 pep chromosome:Theobroma_cacao_20110822:9:9237270:9241387:-1 gene:TCM_038436 transcript:EOY31512 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35 isoform 3 MASSLNLTTMSFNLPFCHSYHSSSTRLSRDSLQLPQFNKLGKALKLSSTQNISGFPSFVSYKLCTITPAPQRLQSLTVFAAKGYKMKTHKASAKRFRVTGRGKIVRRRAGKQHLLAKKNTKRKLRLSKMNPVYDITQINITCIKCRFLGWWMLWGLKKRLRPSIRILNHFCSKQKG >EOY32561 pep chromosome:Theobroma_cacao_20110822:9:31461431:31462775:-1 gene:TCM_040553 transcript:EOY32561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MHPNSLPLVAAHYWNHQFPSTFSVDKHSFDNLFHLSSQITMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >EOY30730 pep chromosome:Theobroma_cacao_20110822:9:5603686:5606609:1 gene:TCM_037841 transcript:EOY30730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphorylase superfamily protein MVLCLRMVLLLVVLVLGFGSNGVYGAVSSSTLSKISRINEEGPYLGIIVPNSFEMNPLMQSGSFVADLELPYLDFSGRRFRIGRLKNEKVIIVMTGLSMLNAGIATQLLLSLFKVKGVLHYGVAGSANPQLQIGDVTIPQYWAHTGLWNWQRYGDGPEDELALESNGDYTRKIGYLKFSDYNNGTECSKSSENLLNNVWYQPEEIFPVNGIPEQRQHAFWVPVNKHYFAIAERVQGLSLGRCVNTTCLPRNPMVVRVKRGISANVFTDNRAYREFLYSKFNATAIDMETAAIALVCHQQKMPFIAFRSLSDLAGGGSALSNEAAVFATLAAQNSVSVLLGFISLLSS >EOY29331 pep chromosome:Theobroma_cacao_20110822:9:773758:779867:1 gene:TCM_036904 transcript:EOY29331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 2 MKFMKLGSKPDAFQADGKCIRYVTSDLATDVTITVGEVKFYLHKFPLLSKSNRLQKLVLKASEENCDEINMVDFPGGPKAFEICSKFCYGMTVTLNAYNVVAARCAAEYLEMTEDVDRGNLIFKIEVFLNSSIFRSWKDSIIVLQTTKSLIPWSENLKIVGRCIDSIASKTSVDPANITWSYTYNRKLSVPDKIVDDGMKFREKVESVPKDWWVEDICELEIDLYKRVMTAVKSKGRMDGAVIGEALKTYAVRWLPDSVNALVSDAHSWRNKLLVETIVCLLPSDKAVGCSCGFLLKLLKVAILVGVDDSAKEDLVKRISLKLHEASVKDLLIPARSPQTTLYDVEMVQSIVNRYIMNEKRTQDLDVEKNEMGCADFVLGHGSLLSVGKLIDGYLEEIARDPNLSLARLIDLSQSIPECARPVHDGLYKAIDMYLKEHPSLTKAERKKLCGLMDVKKFTMDASMHAAQNERLPLRVVVQVLFFEQVRAAAGVQSLANNPRDISHSTTNTDEEWEKTAAEDCNSLKKQMSQMKVKEDGFQKNGKLAKKNSKNSKSGMQLLPSRSRRIFDKLWVVGKGHTENRSSETSGSSQSPTSMVPGDTKSSGSSSRHRRHSIS >EOY29330 pep chromosome:Theobroma_cacao_20110822:9:773958:779867:1 gene:TCM_036904 transcript:EOY29330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 2 MKFMKLGSKPDAFQADGKCIRYVTSDLATDVTITVGEVKFYLHKFPLLSKSNRLQKLVLKASEENCDEINMVDFPGGPKAFEICSKFCYGMTVTLNAYNVVAARCAAEYLEMTEDVDRGNLIFKIEVFLNSSIFRSWKDSIIVLQTTKSLIPWSENLKIVGRCIDSIASKTSVDPANITWSYTYNRKLSVPDKIVDDGMKFREKVESVPKDWWVEDICELEIDLYKRVMTAVKSKGRMDGAVIGEALKTYAVRWLPDSVNALVSDAHSWRNKLLVETIVCLLPSDKAVGCSCGFLLKLLKVAILVGVDDSAKEDLVKRISLKLHEASVKDLLIPARSPQTTLYDVEMVQSIVNRYIMNEKRTQDLDVEKNEMGCADFVLGHGSLLSVGKLIDGYLEEIARDPNLSLARLIDLSQSIPECARPVHDGLYKAIDMYLKEHPSLTKAERKKLCGLMDVKKFTMDASMHAAQNERLPLRVVVQVLFFEQVRAAAGVQSLANNPRDISHSTTNTDEEWEKTAAEDCNSLKKQMSQMKVKEDGFQKNGKLAKKNSKNSKSGMQLLPSRSRRIFDKLWVVGKGHTENRSSETSGSSQSPTSMVPGDTKSSGSSSRHRRHSIS >EOY29332 pep chromosome:Theobroma_cacao_20110822:9:773206:779233:1 gene:TCM_036904 transcript:EOY29332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 2 MKFMKLGSKPDAFQADGKCIRYVTSDLATDVTITVGEVKFYLHKFPLLSKSNRLQKLVLKASEENCDEINMVDFPGGPKAFEICSKFCYGMTVTLNAYNVVAARCAAEYLEMTEDVDRGNLIFKIEVFLNSSIFRSWKDSIIVLQTTKSLIPWSENLKIVGRCIDSIASKTSVDPANITWSYTYNRKLSVPDKIVDDGMKFREKVESVPKDWWVEDICELEIDLYKRVMTAVKSKGRMDGAVIGEALKTYAVRWLPDSVNALVSDAHSWRNKLLVETIVCLLPSDKAVGCSCGFLLKLLKVAILVGVDDSAKEDLVKRISLKLHEASVKDLLIPARSPQTTLYDVEMVQSIVNRYIMNEKRTQDLDVEKNEMGCADFVLGHGSLLSVGKLIDGYLEEIARDPNLSLARLIDLSQSIPECARPVHDGLYKAIDMYLKEHPSLTKAERKKLCGLMDVKKFTMDASMHAAQNERLPLRVVVQVLFFEQVRAAAGVQSLANNPRDISHSTTNTDEEWEKTAAEDCNSLKKQMSQMKVKEDGFQKNGKLAKKNSKNSKSGMQLLPSRSRRIFDKLWVVGKGHTENRSSETSGSSQSPTSMVPGDTKSSGSSSRHRRHSIS >EOY29329 pep chromosome:Theobroma_cacao_20110822:9:773294:779269:1 gene:TCM_036904 transcript:EOY29329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein isoform 2 MKFMKLGSKPDAFQADGKCIRYVTSDLATDVTITVGEVKFYLHKFPLLSKSNRLQKLVLKASEENCDEINMVDFPGGPKAFEICSKFCYGMTVTLNAYNVVAARCAAEYLEMTEDVDRGNLIFKIEVFLNSSIFRSWKDSIIVLQTTKSLIPWSENLKIVGRCIDSIASKTSVDPANITWSYTYNRKLSVPDKIVDDGMKFREKVESVPKDWWVEDICELEIDLYKRVMTAVKSKGRMDGAVIGEALKTYAVRWLPDSVNALVSDAHSWRNKLLVETIVCLLPSDKAVGCSCGFLLKLLKVAILVGVDDSAKEDLVKRISLKLHEASVKDLLIPARSPQTTLYDVEMVQSIVNRYIMNEKRTQDLDVEKNEMGCADFVLGHGSLLSVGKLIDGYLEEIARDPNLSLARLIDLSQSIPECARPVHDGLYKAIDMYLKECIGKREMLIGREGRIVVSPTQVELGPCNMEHPSLTKAERKKLCGLMDVKKFTMDASMHAAQNERLPLRVVVQVLFFEQVRAAAGVQSLANNPRDISHSTTNTDEEWEKTAAEDCNSLKKQMSQMKVKEDGFQKNGKLAKKNSKNSKSGMQLLPSRSRRIFDKLWVVGKGHTENRSSETSGSSQSPTSMVPGDTKSSGSSSRHRRHSIS >EOY34640 pep chromosome:Theobroma_cacao_20110822:9:41659684:41661349:1 gene:TCM_042244 transcript:EOY34640 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MPAQVIPEKIIQGVGFVEKNTISQFSLRRLEEKVAIITGGAKGIGEAAVRLFVKHGAKVVIADIADAAGIALANSLAPSAAYVHCDVSSEEDIEMLINKTISLFGKLDILFNNAGVLGNQSKRKSIIDFDAGEFDRVMQVNVRGAALGMKHAAKVMVPGRSGCIISTASVAGRYGIRVNCISPFGVATSMLINAWRSCEVDEECTDLEATGYDHKEAEKMEEFVRGLANLKGVTLKAKDVAEAALYLASEESKYISGHNLVVDGGFTSSINCVGL >EOY30745 pep chromosome:Theobroma_cacao_20110822:9:5654689:5659715:1 gene:TCM_037850 transcript:EOY30745 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein-related MGSRDKDQKLSHHQPLLSSLVVRPSASDGADGGGGGGGGRGGGSDYEPGEVRREPPPYSRSDRYNDEPGYRIRAGSSSPVRRRDADHRYGSNFDRSGGPSRSRDFGNGRDPGRYRDSSPPYNRGPGGGRPLGRGFDGPGYGPGPFRGEGMTRNNPNVRPREGDWVCPDPLCRNLNFARREYCNNCRRFRYAPVGSPRGGYPGPPPSHAPPRRFPGSPMDLSPPGRNMNGFRSPPRGWAREGPREFGAGGPPPPRHEGRFSDHSMRRDRLDFLDDDYRGRNRFDRPMPMDWGHRDRGRENFFNERKGYERRPPSPPPPPPQLPPRGRWPRDVRERSRSPVRGAPPPKEYRRDMYLERGREDRRGVGRDRMGDAY >EOY31049 pep chromosome:Theobroma_cacao_20110822:9:6781437:6783521:-1 gene:TCM_038070 transcript:EOY31049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Slow growth 1-like protein MTLLFLAHGGYWGFKSLFFKRNAGENAIMGALSQVSDFKEVISLFRRMQQVGTEPNESTLVSVLTACPRLGAVIWARRGLQWFEQMSSVYGVEPQLEQTACIVDLLDRAGMVEEAENFIEEKMGGLGGGDANIWGALLGCCRTNVNVEIGNRVWKKLAEMGISDCGVHIVSYNMFREAGLDMEAKRVRKLISEAGMKKKLGCSMIEVDGLVKKFLAGDLSHPYALGGVYRTLEFLLKIMDFGGNLMWNADLFGELLNFLFLECYRLSL >EOY29590 pep chromosome:Theobroma_cacao_20110822:9:1683958:1687965:1 gene:TCM_037088 transcript:EOY29590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein, putative MKRSTSWLCTLATQLSLCFALYIVINLGQPEKLVYNDNSGPLDLYFISVRGGFRPLKEQNHLLKLMENVAKAYDVKLVVNISELGEGDPLLQNVTRLSPLLKVPWYTTGVSKQDGLGCFLEQIKLPNGRTLAIVSLNTASLQDTMLVGSSSGMMDNLLNWLTRTLETTISSWRMVVGFHPLVACEESEEQMVVKQIHEPLHQIFMKFGVNLYLSQQGCSSYALQDSVAYIGNPGLIKENSHLPSANGRYLVRKEMSNGFLLHRLSLLEMVTYFVTSEGKVVNKIVVQERGKGVM >EOY33125 pep chromosome:Theobroma_cacao_20110822:9:35537941:35541382:-1 gene:TCM_041111 transcript:EOY33125 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase B8 subunit, putative MAWRGQLSRNLKELRILFCQKSDSSASARSFVEKNYKDLKTLNPKFPILVRECSGIEPQMWARYDMGVERGIRLEGLTEPQILKALEDLVKAGASLKA >EOY34087 pep chromosome:Theobroma_cacao_20110822:9:39900122:39901490:1 gene:TCM_041871 transcript:EOY34087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Osmotin-like protein MASPLCASLFCFATLFLLCSFAAATQPGLILTLVNNCPFTVYPAIQPNAGHPVLERGGFALQTLTHRSFPAPTAHWSGRIWARTGCTHSNGHFYCATGDCGHRIECNGLGGATPVTLAQFSLHHGGHKDLSSYAVSLVDGFNVPMTVTPHEGKGLCPVVGCRANLLATCPDKLQLRSPPGHGPVVGCKSGCEAFGTDELCCRNHYNSPQTCRASSFSEFFKHACPATFTYAHDSPSLTHECASPRELKIIFCH >EOY30801 pep chromosome:Theobroma_cacao_20110822:9:5829882:5833303:-1 gene:TCM_037885 transcript:EOY30801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-binding monooxygenase family protein isoform 1 MLFMDYSREVEGKKVHDHCNKNMTTLARCLWMPGPVIIGAGPSGLATAACLKERGVPSLILERADCIASLWKIKTYDRLRLHLPKQFCELPLMPFPVNFPTYPTKEQFVAYLEAYKNHFGLEPVFNQTAVSAEFDHRCGFWRVKTVGLKQEETEYMSQWLIVATGENAEEVVPQIEGMDGFGGPIVHTSSYKSGGLFRGKAVLVVGCGNSGMEVCLDLCNYNARPSLVVRDSVHVLPQEMLGRSTFGLSMWLLKWFPMRLVDRFLLLVSRFMLGDTEKFGLRRPEFGPLELKSRSGKTPVLDVGTLAKIKSGNIKVYPGIKRLTYRAAEFVNGRKENFDAIVLATGYKSNVPQWLKETDFFSETDGLPRKSFPNGWKGECGLYAVGFTKRGLLGASIDAKRIAEDMEHHWKAEPRKFHGHQLGRSPLPPQS >EOY30802 pep chromosome:Theobroma_cacao_20110822:9:5829877:5832851:-1 gene:TCM_037885 transcript:EOY30802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-binding monooxygenase family protein isoform 1 MLFMDYSREVEGKKVHDHCNKNMTTLARCLWMPGPVIIGAGPSGLATAACLKERGVPSLILERADCIASLWKIKTYDRLRLHLPKQFCELPLMPFPVNFPTYPTKEQFVAYLEAYKNHFGLEPVFNQTAVSAEFDHRCGFWRVKTVGLKQEETEYMSQWLIVATGENAEEVVPQIEGMDGFGGPIVHTSSYKSGGLFRGKAVLVVGCGNSGMEVCLDLCNYNARPSLVVRDSVHVLPQEMLGRSTFGLSMWLLKWFPMRLVDRFLLLVSRFMLGDTEKFGLRRPEFGPLELKSRSGKTPVLDVGTLAKIKSGNIKVYPGIKRLTYRAAEFVNGRKENFDAIVLATGYKSNVPQWLKETDFFSETDGLPRKSFPNGWKGECGLYAVGFTKRGLLGASIDAKRIAEDMEHHWKAEPRKFHGHQLGRSPLPPQS >EOY29669 pep chromosome:Theobroma_cacao_20110822:9:1999176:2002635:1 gene:TCM_037144 transcript:EOY29669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MDLQNQSHCSICTTKESCQTVRSQTKLMNILIERGKPQEAQSIFDSLVEGGHKPSLVTYTTLLAALTIQKHFSSIHFIISQVEKNGMKPDSIFFNAVINAFSESGNIEKAMETFWKMRENGLKPTTSTYNTLIKGYGIAGKPEESLKLVDLMSQQGNVKPNLRTYNVLVRAWCKKKNMTEAWNVVNKMIASGMQPDAVTYNTIATAYSQNNETDRAEGMILEMQKNGVQPNERTCGIIISGYRKEGRIKDALRFVYRMKELRLHPNLVIFNSLIKGFVDIMDRDGVDEVLTLMEEFRVKPDVITFSTIMNAWSSAGFMGKCREIFDDMVKAGIQPDIHAYSILAKGYVRAQEPEKAEELLTIMIKTGSRPNVVILTTVISGWCSTGRMDSAIKIFDQMCESGISPNLKTFETLVWGYSEAKQPWKAEEILQIMKEFEVEPEKSTIFLIAEAWRAIGLTKEANRILWTFKSKEQKMETKEDIPIESLEKLYHKQTMAASNSNVLQIPSVVAGDQKGSAVSLRKGRMVLRDADFPLDCSWLATKSMYLPLGCKFGARYPIVCRKQCQGQLGMYGQLTHSCTVVFLN >EOY29670 pep chromosome:Theobroma_cacao_20110822:9:1999236:2002446:1 gene:TCM_037144 transcript:EOY29670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 1 MDLQNQSHCSICTTKESCQTVRSQTKLMNILIERGKPQEAQSIFDSLVEGGHKPSLVTYTTLLAALTIQKHFSSIHFIISQVEKNGMKPDSIFFNAVINAFSESGNIEKAMETFWKMRENGLKPTTSTYNTLIKGYGIAGKPEESLKLVDLMSQQGNVKPNLRTYNVLVRAWCKKKNMTEAWNVVNKMIASGMQPDAVTYNTIATAYSQNNETDRAEGMILEMQKNGVQPNERTCGIIISGYRKEGRIKDALRFVYRMKELRLHPNLVIFNSLIKGFVDIMDRDGVDEVLTLMEEFRVKPDVITFSTIMNAWSSAGFMGKCREIFDDMVKAGIQPDIHAYSILAKGYVRAQEPEKAEELLTIMIKTGSRPNVVILTTVISGWCSTGRMDSAIKIFDQMCESGISPNLKTFETLVWGYSEAKQPWKAEEILQIMKEFEVEPEKSTIFLIAEAWRAIGLTKEANRILWTFKSKEQKMETKEDIPIESLEKLYHKQTMAASNSNVLQIPSVVAGDQKGSAVSLRKGRMVLRDADFPLDCSWLATKSMYLPLGCKFGARYPIVCRKQCQGQLGMYGQLTHSCTVVFLN >EOY29441 pep chromosome:Theobroma_cacao_20110822:9:1202888:1207772:1 gene:TCM_036972 transcript:EOY29441 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 6 isoform 1 MEEVCLNSEPVFDEVDEYEGEGDCSVVGHDDETGAKLSKKEPLPPTVGLEFDSFDEAYDFYNVFAKEQGFGIRVSNSWFRSKRKERYRAKLSCSSAGFKKKSEANNPRPETRTGCPAMVVIKLVDSKRWRIVEVELDHNHQVSPQIKRFYKSHKKMILAASMAQPPAEPVTEIHTIKMYRTAALDAGSNGYSNVSEREGIHPADHSNHLELKEGDAYAVYNYFCRMKLTNLNFFYLMDFDDDGSLKNVFWADARSRTACGYFCDTIVIDTTCLANKYEIPLISFVGVNHHGQSVLLGCGFLGHESVEYFVWIFRAWLKCMQGHAPQVIVTDQCKPLQIAVSEVFPKAHHCYCVWYIMHRVPEKLGGLKGFEAIKRQLNKAVYNSLRIVEFETSWAEVIKQHGLGDNKWLQTLYEDRKQWVPVYLKDTFFAGTIPIQENESLNAFFDGYVHKHTSFKEFVDKYDLALHRKHLKEAVADMQSRNSCFELKTRCNFEVQLSKVYTKEIFNKFQSEVEGMYSCFNTRQVSVNGPIITYIVKERVETEGNEKEVRNYEVLFETSQVDIRCICSLFNYKGYLCRHALNVLNYNGVEEIPSRYILPRWCKDFKYRHVDRGSCDIDVYNPVYWHNHLHKCAIPVVEGGAQSQEHYKIALHELQELLNRFNLVEDSLV >EOY29440 pep chromosome:Theobroma_cacao_20110822:9:1203014:1207755:1 gene:TCM_036972 transcript:EOY29440 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 6 isoform 1 MEEVCLNSEPVFDEVDEYEGEGDCSVVGHDDETGAKLSKKEPLPPTVGLEFDSFDEAYDFYNVFAKEQGFGIRVSNSWFRSKRKERYRAKLSCSSAGFKKKSEANNPRPETRTGCPAMVVIKLVDSKRWRIVEVELDHNHQVSPQIKRFYKSHKKMILAASMAQPPAEPVTEIHTIKMYRTAALDAGSNGYSNVSEREGIHPADHSNHLELKEGDAYAVYNYFCRMKLTNLNFFYLMDFDDDGSLKNVFWADARSRTACGYFCDTIVIDTTCLANKYEIPLISFVGVNHHGQSVLLGCGFLGHESVEYFVWIFRAWLKCMQGHAPQVIVTDQCKPLQIAVSEVFPKAHHCYCVWYIMHRVPEKLGGLKGFEAIKRQLNKAVYNSLRIVEFETSWAEVIKQHGLGDNKWLQTLYEDRKQWVPVYLKDTFFAGTIPIQENESLNAFFDGYVHKHTSFKEFVDKYDLALHRKHLKEAVADMQSRNSCFELKTRCNFEVQLSKVYTKEIFNKFQSEVEGMYSCFNTRQVSVNGPIITYIVKERVETEGNEKEVRNYEVLFETSQVDIRCICSLFNYKGYLCRHALNVLNYNGVEEIPSRYILPRWCKDFKYRHVDRGSCDIDVYNPVYWHNHLHKCAIPVVEGGAQSQEHYKIALHELQELLNRFNLVEDSLV >EOY29439 pep chromosome:Theobroma_cacao_20110822:9:1203014:1207755:1 gene:TCM_036972 transcript:EOY29439 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 6 isoform 1 MEEVCLNSEPVFDEVDEYEGEGDCSVVGHDDETGAKLSKKEPLPPTVGLEFDSFDEAYDFYNVFAKEQGFGIRVSNSWFRSKRKERYRAKLSCSSAGFKKKSEANNPRPETRTGCPAMVVIKLVDSKRWRIVEVELDHNHQVSPQIKRFYKSHKKMILAASMAQPPAEPVTEIHTIKMYRTAALDAGSNGYSNVSEREGIHPADHSNHLELKEGDAYAVYNYFCRMKLTNLNFFYLMDFDDDGSLKNVFWADARSRTACGYFCDTIVIDTTCLANKYEIPLISFVGVNHHGQSVLLGCGFLGHESVEYFVWIFRAWLKCMQGHAPQVIVTDQCKPLQIAVSEVFPKAHHCYCVWYIMHRVPEKLGGLKGFEAIKRQLNKAVYNSLRIVEFETSWAEVIKQHGLGDNKWLQTLYEDRKQWVPVYLKDTFFAGTIPIQENESLNAFFDGYVHKHTSFKEFVDKYDLALHRKHLKEAVADMQSRNSCFELKTRCNFEVQLSKVYTKEIFNKFQSEVEGMYSCFNTRQVSVNGPIITYIVKERVETEGNEKEVRNYEVLFETSQVDIRCICSLFNYKGYLCRHALNVLNYNGVEEIPSRYILPRWCKDFKYRHVDRGSCDIDVYNPVYWHNHLHKCAIPVVEGGAQSQEHYKIALHELQELLNRFNLVEDSLV >EOY30680 pep chromosome:Theobroma_cacao_20110822:9:5394558:5395430:1 gene:TCM_037806 transcript:EOY30680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSHPLSRLNIPKFLTGTHQTPPYHHICLHWHLLHHLPGFLEQPGSTKQINHAAIMLQGWPYPITILHHIKVKFPFLHLPTVHASAQHPNEGYMVRPHPNLSHAKKTIKRFLNMTMHCIANNHGRPRRNILFLHP >EOY31050 pep chromosome:Theobroma_cacao_20110822:9:6785414:6789229:-1 gene:TCM_038071 transcript:EOY31050 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-dependent alkenal double bond reductase P1 MEVINRYVTIKTHVDGAPEESDFELKASAVALSLEPGSNEVIVKNLCVSIDPYQLNRMKSYSSSQKSSDFAVGIIPGEAIDTYGVGKVVISENSEFEKDDIVAGLLNWGEYTVIKPGGMLNKLNPMGFPLSYHVGILGFSGLTAYAGFFEVCKPKRGEKVFVSAASGSVGNLVGQYAKLIGCYVVGCAGSKDKVALLKEKLGFDEAFNYKEETDLKSTLKRYFPDGIDIYFDNVGAEMQEAAIVNMKIHGRIAVCGVISEYTDAGKRAAPSMIEVIYKRIKIQGFLAGDYLNIFTDFLSTTRDHLRTGKIKPLEDISDGVESIPCAFISLYRGQNIGKKIVKIAEE >EOY30804 pep chromosome:Theobroma_cacao_20110822:9:5862513:5864141:-1 gene:TCM_037888 transcript:EOY30804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock transcription factor C1, putative MESNSNNNIVAPFVVKTYQMVNDPTTDTLIAWGKANNSFIVMDPLDFSQRILPAYFKHSNFSSFVRQLNTYGFRKVDPDKWEFANECFLRGQKHLLKNIVRRKQNKNPYMQMKAEDLDDKQIVMEIARLKEEQKSLEEELQGMNKRLEATERRPQQMMAFLCKVVEDPDLLPRMMLEKERTRQLNAEKKQRLTIMPSSYSSSSSLAVSNNSVKSEDEEEVNPGVISPPETGFDVDNNFCRSNYQSSPSPEDSRELLLGHRPITGQVMNYGCATVTSQMPAVVLAPSVIKNGTAMSSPGTSSLGGYGDNNGQLGYFGEMAAAGMEARPPPPYPFSLLGGGF >EOY33570 pep chromosome:Theobroma_cacao_20110822:9:38112524:38114141:1 gene:TCM_041515 transcript:EOY33570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNSKNNRKEPEKNRRGRLSEKASSFHGRIPTIAPAELRRPKTLPDLLSERTISISQDARPKLTKLLLNVTIQGSLGAVQVLMSPENTVGDLINAAVRQYSKEGRRPILPSTNASLFDLHYSQFSLERLEREEKLMALGSRNFFLCTKKAASKDGENSETTSCSKEAEKVTKSVIPWLKFMDFLF >EOY31603 pep chromosome:Theobroma_cacao_20110822:9:10339899:10342003:-1 gene:TCM_038570 transcript:EOY31603 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL13 MDWIFHEIKQRSLLSPSEQPYYLPQSSPLMPPPQNLHSDSFNLNNKVSPSILLIIIILAIIFFVSGLLHLLVRFLLRPPNREPEDIDNVTALQGQLQQLFHLHDAGVDQSFIDTLPIFHYKAIIGVKNPFDCAVCLCEFEPEDKLRLLPKCSHAFHMECIDTWLLSHSTCPLCRASLLPDFSPNNICSPIVLVLESGSESSREIVTDREGALGRTNSVVRSNSHLGLCGDTELGSSQRKSCEILEKDEVNPTVAVDSGEKVVPVRLGKFRNVDGGESSNNNVDARRCFSMGSFEYVMDENSFLQVPIRTPMKKPSGKRICLPLTPGHRPAMSECDCESRRGFNGFEIMRNFETKGSSSASDNNGKAIGKSKKESFSISKIWLRGKKEKQNITEDSSRRAFSFRFPLHQNVAATAAAAAADDDSMKVKNGIGGARDTISEIDSGRWGNGGSELGFDEENQSCYSLDSQAKTPSFARRTLLWLAGRQNKVVHSSFTPKV >EOY32185 pep chromosome:Theobroma_cacao_20110822:9:22794244:22796237:1 gene:TCM_039778 transcript:EOY32185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF247) [Source:Projected from Arabidopsis thaliana (AT2G36430) TAIR;Acc:AT2G36430] MGSNKQTGEEQGNDEVVNAWEINKDRIACMQKQISEPPRLLSKAAGRSSCCIFRVPQSLVEVNGRSYQPRIVSIGPFHRGSAQLKMIEEHKWRYLGSLLNRIQSKGLGLEDLLKAVQPLEMKAKDCYSEAIHLDTDDFIEMMVLDGCFIIELFRKVGKLVSCQPDDPLFSMAWILAFFYRDLLRLENQIPYFVLECLFDLTQMPGEESGPSLSSIALEFFNNAMLRPDADIAKYHDLKGKHLLDLVRMSYIPSDQGEPHRRETPTHVIHCVSKLRRAGIKLNPGKADSFLVVKFRGGVIEMPTITIDDFMSSFLMNCVAYEQCHGSCSKHFTTYATLLDCLVNTYKDAEYLIDRNILENYFGTDAEVARFINNLGKDIAFDIDICYLSRLFDDVNQYYKNSWHVQWASFKYTYFKTPWSFLSALAALILLLLTVAQTFYTIYSTYK >EOY33322 pep chromosome:Theobroma_cacao_20110822:9:36713588:36719254:-1 gene:TCM_041279 transcript:EOY33322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bystin, putative isoform 1 MAKNKRERNQNPQPFLADDDSVASTKKRSKAAKHHQKQDKMISSGMSSKILKEALLQQREIDEEDSAGNFNGAFGSVEEERNKHEEEEDIDDFGGFSETQSQFGNYEEEIDEEDEKLLEAFLSKNAGPKRTLADLIIQKIKESDANVASETRPLPKLDDSLIDLYKGVGKFLNKYTAGKMPKVFKHIPSMQLWEDVLYLTEPENWSPNAMFQATRIFASNLGAKKAERFYRLVLLPRVRDDIRKNKCLHFALYQSLKKALYKAAAFNKGILLPLCKSGTCSLREAVIIGSVLTKVTIPRLHSSVALMKLAEMEYCGTTRYKNELRKEDKDNLRILVETQKHKSVTPEIIRELDNSRNRGEKEDDPMLMASPVSVINKAIEEDRFDIPDVPMEED >EOY33320 pep chromosome:Theobroma_cacao_20110822:9:36713247:36719204:-1 gene:TCM_041279 transcript:EOY33320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bystin, putative isoform 1 MAKNKRERNQNPQPFLADDDSVASTKKRSKAAKHHQKQDKMISSGMSSKILKEALLQQREIDEEDSAGNFNGAFGSVEEERNKHEEEEDIDDFGGFSETQSQFGNYEEEIDEEDEKLLEAFLSKNAGPKRTLADLIIQKIKESDANVASETRPLPKLDDSLIDLYKGVGKFLNKYTAGKMPKVFKHIPSMQLWEDVLYLTEPENWSPNAMFQATRIFASNLGAKKAERFYRLVLLPRVRDDIRKNKCLHFALYQSLKKALYKAAAFNKGILLPLCKSGTCSLREAVIIGSVLTKVTIPRLHSSVALMKLAEMEYCGTTSYFIKLLLEKKYALPYRVVDAVVSHFMRFLEDTRIMPVIWHQSLLAFVQRYKNELRKEDKDNLRILVETQKHKSVTPEIIRELDNSRNRGEKEDDPMLMASPVSVINKAIEEDRFDIPDVPMEED >EOY33321 pep chromosome:Theobroma_cacao_20110822:9:36713337:36719230:-1 gene:TCM_041279 transcript:EOY33321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bystin, putative isoform 1 MAKNKRERNQNPQPFLADDDSVASTKKRSKAAKHHQKQDKMISSGMSSKILKEALLQQREIDEEDSAGNFNGAFGSVEEERNKHEEEEDIDDFGGFSETQSQFGNYEEEIDEEDEKLLEAFLSKNAGPKRTLADLIIQKIKESDANVASETRPLPKLDDSLIDLYKGVGKFLNKYTAGKMPKVFKHIPSMQLWEDVLYLTEPENWSPNAMFQATRIFASNLGAKKAERFYRLVLLPRVRDDIRKNKCLHFALYQSLKKALYKAAAFNKGILLPLCKSGTCSLREAVIIGSVLTKVTIPRLHSSVALMKLAEMEYCGTTSYFIKLLLEKKYALPYRVVDAVVSHFMRFLEDTRIMPVIWHQSLLAFVQRYKNELRKEDKDNLRILVETQKHKSVTPEIIRELDNSRNRGEKEDDPMLMASPVSVINKAIEEDRFDIPDVPMEED >EOY34725 pep chromosome:Theobroma_cacao_20110822:9:41919597:41922151:1 gene:TCM_042310 transcript:EOY34725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L16p/L10e family protein MGRRPARCYRQIKNKPYPKSRYCRGVPDSKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKYAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKYSRTDYLKYKSENRIVPDGVNAKLLGCHGPLANRQPGRAFLHASA >EOY33370 pep chromosome:Theobroma_cacao_20110822:9:37180582:37181745:-1 gene:TCM_041351 transcript:EOY33370 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein, putative isoform 1 MQGWSKFEIPDMVTMDILSKLPVKSLARFRCVCKPWSSSFRTSDFITKHHQNNLTNNNLNLLLKRCHGNTRNDIHYFSALSTEKGENFSVTENIHLPFFENCWYAPVVSGPCNGLLCLHDAGKAALWNPSTREFKILPRSSVNRPPSVDSTSFGCLGFGFDSITDDYKVVRFVTNYFDENEEEGGLADWIHQVELYSLKSDSWKEISVPEAHPYASPLFNNYVNGSYYWQATGNSDYLILSFDMANEKFSTLPLPTFGGSLAQYYLQLLDFNGSLGAIVYPREGTEKSIDLWVMNGSWTRQFSIESVSGVERPLGFWKNGELFLESSNHELVLFDPATRELKNLGIHAYQNTMQLIAYVESLVPINGRSEQEEHIIRRRAGDASNRY >EOY33369 pep chromosome:Theobroma_cacao_20110822:9:37177962:37182021:-1 gene:TCM_041351 transcript:EOY33369 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein, putative isoform 1 MQGWSKFEIPDMVTMDILSKLPVKSLARFRCVCKPWSSSFRTSDFITKHHQNNLTNNNLNLLLKRCHGNTRNDIHYFSALSTEKGENFSVTENIHLPFFENCWYAPVVSGPCNGLLCLHDAGKAALWNPSTREFKILPRSSVNRPPSVDSTSFGCLGFGFDSITDDYKVVRFVTNYFDENEEEGGLADWIHQVELYSLKSDSWKEISVPEAHPYASPLFNNYVNGSYYWQATGNSDYLILSFDMANEKFSTLPLPTFGGSLAQYYLQLLDFNGSLGAIVYPREGTEKSIDLWVMNGSWTRQFSIESVSGVERPLGFWKNGELFLESSNHELVLFDPATRELKNLGIHAYQNTMQLIAYVESLVPINGRSEQEEHIIRRRAGDASNRY >EOY32754 pep chromosome:Theobroma_cacao_20110822:9:33386604:33390128:-1 gene:TCM_040784 transcript:EOY32754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLRTKGFKLCLLSISRVPAQEVLGLLVAARKERRCCTACGSIYVSLDKPKESANNAEVNCICDDIITPRPNRLSSNRDQAWEASNNLHDNLSCCDSCFGDREATLFCSMLRQYLVKKNSSVYVAPVHVI >EOY31252 pep chromosome:Theobroma_cacao_20110822:9:7780605:7785065:-1 gene:TCM_038213 transcript:EOY31252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase MGVGSKKKKLSCYLNLSSIILMVYTAGWRENKLSTSDCCKWERVECNTATGRVIRLFLNLTMTETEYDLDYRSYMVDFNEKFSQSTDTKRDYWYLNASLFLSFEELKSLYLNGNSIAGCVHSRGLERLSSKLNKLEILDLSDNNLNDSTLLSLSELSSLKSLYLANNQFTKSNPTNGINKLSKLNNLEILNLHGNELGNDILSRLNGFTSLKTLRLQNCALEETIHMLGNSSIEKRQLRLIKTEVLGLSENLFNNSIFSFLGAISNLKSLHIRDNILAGPIDIKALNALSNLEKLYMEYNAVNDFLPSRENETKLRLINLKVLDLSSNRFSSSILSSLGKLSNRKSFYFGGSKLKGSIDLRELDGLSYLEEMYLDCSFDDSNFQGVTKFCLPQFFHV >EOY33802 pep chromosome:Theobroma_cacao_20110822:9:39029768:39039417:-1 gene:TCM_041673 transcript:EOY33802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase/Diphenol oxidase family protein MEMAPWIRVLMFLACLLFPASVECMVRHYKFNVVLENTTRLCSSKPIVTVNGRYPGPTLVAREDDTVLVKVVNHVKYNLSIHWHGIRQIRTGWADGPGYITQCPIQPGQNFVYNFTITGQRGTLWWHAHILWLRATVHGAIVILPKRGVPYPFPKPHKEETIILGEWWKSDVEAVINEALKSGMAPNVSDAHTINGHPGPVSSCPTQGGYTLRVKPGKTYMLRIINAALNEELFFKIAGHLLTVVEVDATYVKPFKTETIVITPGQTTNVLLTAHRGAGKYLVAASPFMDAPIVVDNVTATATLHYSGSLTSTATTLTSLPPKNATSVATNFTNALRSLNSKQYPANVPLKIDHSLLFTVGLGINPCPSCVNGSRVVASINNVTFVMPKISLLQAHFFNISGVFTTDFPGNPPVPFNYTSTQLTNFQTKQGTKLYRLAYNSTVQLVLQDTGMITPENHPLHLHGFNFFEVGRGLGNFNPKKDTKKFNLVDPVERNTIGVPSGGWTAIRFRADNPGVWFMHCHLEVHTTWGLKMAFVVDNGNGPNETLLPPPSDLPKC >EOY32715 pep chromosome:Theobroma_cacao_20110822:9:33028828:33042018:-1 gene:TCM_040741 transcript:EOY32715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MECLRTDMHEACLKIDKECQVLTVHGSTNEIIPIEDVLEIAKIKLNHKLHIMEGANHGYTSHQTKLASIVMNFIRTVLEQDKVALK >EOY30355 pep chromosome:Theobroma_cacao_20110822:9:4316269:4318783:1 gene:TCM_037594 transcript:EOY30355 gene_biotype:protein_coding transcript_biotype:protein_coding description:CFIM-25 isoform 2 MGDDTGAAAAATVNDHSSSGDHRKEVDIYPLSCYYFGSKETIVFKDETLSDRIKRMKSNYAAHGLRTSVEAVILVELFKHPHLLLLQVRNSIFKLPGGRLRPGESDIDGLRRKLSRKLSASEDDSETEWEVGECLGMWWRHDFETLLYPYLPPNVKKPKTYGPIISGVPQLLSKFSINIIDP >EOY30354 pep chromosome:Theobroma_cacao_20110822:9:4316408:4318544:1 gene:TCM_037594 transcript:EOY30354 gene_biotype:protein_coding transcript_biotype:protein_coding description:CFIM-25 isoform 2 VNDHSSSGDHRKEVDIYPLSCYYFGSKETIVFKDETLSDRIKRMKSNYAAHGLRTSVEAVILVELFKHPHLLLLQVRNSIFKLPGGRLRPGESDIDGLRRKLSRKLSASEDDSETEWEVGECLGMWWRHDFETLLYPYLPPNVKKPKECTKLFLVRLPESRKFIVPKNLKLLAVPLCQVHENHKVQVTPTIGVNGAWILNETNLTTMFYITFDAISLPWFRCHFNKAFWISIDSLLFMVASL >EOY30353 pep chromosome:Theobroma_cacao_20110822:9:4316285:4318490:1 gene:TCM_037594 transcript:EOY30353 gene_biotype:protein_coding transcript_biotype:protein_coding description:CFIM-25 isoform 2 MGDDTGAAAAATVNDHSSSGDHRKEVDIYPLSCYYFGSKETIVFKDETLSDRIKRMKSNYAAHGLRTSVEAVILVELFKHPHLLLLQVRNSIFKLPGGRLRPGESDIDGLRRKLSRKLSASEDDSETEWEVGECLGMWWRHDFETLLYPYLPPNVKKPKECTKLFLVRLPESRKFIVPKNLKLLAVPLCQVHENHKTYGPIISGVPQLLSKFSINIIDP >EOY30117 pep chromosome:Theobroma_cacao_20110822:9:3440676:3443841:1 gene:TCM_037437 transcript:EOY30117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein MLKKQSSGVRFLFNQISSFNRDGKVRFMAILARNDLFSKQLHTLPNDQRRCFQYLGKLDSLENHNVGRGFKFNRPAAGFNLTLKSCFQDSPGTWDQKARAFAPQLARHLEIIRDNEPPKMAKSTTDPGGACQRGKPLDFNDKPLPEKIAVAVDVDEVLGNFVSALNRFIADRYSLNRSVSEYHVYEFFKIWNCSRDEADIRVHEFFKTPYFKKGIHPIPGAQAALHKLSRLCNLSVVTSRQNVIKEHTIEWLERHFPGLFQEIHFGNHFALDGESRPKSEICRLLGAKILIDDNPRYAVECAQVGIRVLLFDYENSYPWSKTESIDQHPLVTRVHNWEEVEQQIVSWILSSSIL >EOY31892 pep chromosome:Theobroma_cacao_20110822:9:17077496:17087162:-1 gene:TCM_039220 transcript:EOY31892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYTYMYKIDNKHGKPLIVNTLLEEVFIATYVYKFGVARFKDKDTLANLTLLLILDFNVIMRMDWLASCYA >EOY33159 pep chromosome:Theobroma_cacao_20110822:9:35715491:35720889:1 gene:TCM_041141 transcript:EOY33159 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related sequence 11 MMSEDAGQMLVVYDDPSDQRSLSLDETSSTEESPDETRLSLETTDDAIPYIGQRFATHDAAYEFYSEFAKRCGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNTPLKTSNDGKPQRNRKSSRCGCQAYLRISKVTDLGPAEWRVTGFVNHHNHELLEPNQVRFLPAYRTISDTDKSRILMFAKTGISVQQMMRLMELEKCVEPGYLPFTEKDVRNLLQSFRKLDQEDESIDLLRMCKNIKEKDSNFKFDHMISLCAVVFDTTHRLTAFDMPLGIWVGMNNYGMPCFFGCVLLREENLRSLSWALKAFLGFMDGKAPQTILTDQNMCLKEAIAIEMPTTKYALCIWMIVAKFPSWFNAVLGEHYNEWKAEFYRLYNLESIEDFELGWKDMVNSFGLHTNRHIANLFALRSLWALPYLRSHFFAGMTTTGHSKAINAFIQRFLSAQTRLAHFVEQVAVAVDFKDQAAEQQTMQQNLQNICLKTGAPMESHAASILTPFAFSKLQEQLVLAAHYASFQMDDGFLVRHHTKLEGGRKVYWVPQEGIISCSCHQFEFSGILCRHALRVLSTGNCFQIPERYLPLRWRRFCTSPAKLLHSSPTDQTERIQLLQSMVSSLVAESAKSKERLDIATEQVSILLSRIREQPVTSQGVRDISPIHRNL >EOY29398 pep chromosome:Theobroma_cacao_20110822:9:1060063:1063149:1 gene:TCM_036948 transcript:EOY29398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-arabinosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G25265) UniProtKB/Swiss-Prot;Acc:Q8W4E6] MGCGNVFYTLIITFSVALITYNILISANAPLKQELPGPSRTSIVDPIIKMPVERSRRYGSTAKKRLFHTAVTASDSVYNTWQCRVMYYWFKKFKKGPNSDMGGFTRILHSGKPDKYMDEIPTFIAQPLPAGMDQGYIVLNRPWAFVQWLEKADIKEDYILMSEPDHIIVKPIPNLSKDGLGAAFPFFYIEPKKYESVLRKYFPAEKGPITNIDPIGNSPVIVGKESLKKIAPTWMNVSLAMKKDPETDKAFGWVLEMYAYAVSSALHGVGNILYKDFMIQPPWDTEIGNKFIIHYTYGCDYDLKGRLTYGKIGEWRFDKRSFDTVAPPRNLPLPPPGVAQSVVTLVKMVNEATSNIPNWGS >EOY29399 pep chromosome:Theobroma_cacao_20110822:9:1060446:1063149:1 gene:TCM_036948 transcript:EOY29399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-arabinosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G25265) UniProtKB/Swiss-Prot;Acc:Q8W4E6] MGCGNVFYTLIITFSVALITYNILISANAPLKQELPGPSRTSIVDPIIKMPVERSRRYGSTAKKRLFHTAVTASDSVYNTWQCRVMYYWFKKFKKGPNSDMGGFTRILHSGKPDKYMDEIPTFIAQPLPAGMDQGYIVLNRPWAFVQWLEKADIKEDYILMSEPDHIIVKPIPNLSKDGLGAAFPFFYIEPKKYESVLRKYFPAEKGPITNIDPIGNSPVIVGKIAPTWMNVSLAMKKDPETDKAFGWVLEMYAYAVSSALHGVGNILYKDFMIQPPWDTEIGNKFIIHYTYGCDYDLKGRLTYGKIGEWRFDKRSFDTVAPPRNLPLPPPGVAQSVVTLVKMVNEATSNIPNWGS >EOY34647 pep chromosome:Theobroma_cacao_20110822:9:41687048:41689416:-1 gene:TCM_042250 transcript:EOY34647 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MATQNNISFSVDRKDVVLVKPLEPTPSEVLSFSTIDNDRNLELICHSVFVYKANDDYCNGDSPDEAKPKPGVRKDPACIIEEALSKLLVYYYPLAGKLKRQADGKLRITCNADGVPFLVATANCQLSSLNYLDGVDVQTAKQFAFDFPSESDDGYHPLVLQVTKFSCGGFTIAMSLSHSVCDGFGASQIFRALAELASGKSEPSVKPVWERKKLVAEPIKEIAQFTVDKDSLATSPYLPTTDIVHECFYITSENIKILKTNLMKESGDEFLKGSVTSLEVLGAYIWRARFRALKLNSDGKTVFNLAAGIRRILNPPLPEGFYGNAFTSANTAMTGRDLNEGSLTKAVKQIKESKKLASSNDYIWKLLSICEKFFELNMKFDPVPGATMTLTDWRQLGLMEDVDFGWKGSVNVIPLPWNMFGYVDLVLLLPPCKLDQSMKGGVRVLVSLPRAAIAKFREEMDALKHGDEAAGA >EOY31170 pep chromosome:Theobroma_cacao_20110822:9:7348007:7349547:1 gene:TCM_047111 transcript:EOY31170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRLRSLSLRVVHRQTAASSSTSPERTGQHSFTTGSPITMCTNPPRRSTQAPSFSVSIGQVAVAGGGGQDFPLGGVVIGGTVTGGRLMGGFTIGGINGGRLIGGFTTGGSVTGGTVTGGFTIGGTVTGGKLIGGLTMGGMVMGPLGDFPLGFLCFGGGLPQVPQPRIGVAAEMKSMQMRSRAEILVLSILIVEWSGVEWNGMS >EOY32317 pep chromosome:Theobroma_cacao_20110822:9:26828714:26832629:-1 gene:TCM_040086 transcript:EOY32317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease family protein MGDSSLCSQHLLSNQETLPTAAAAAAASAVASKNSKKLSIIPLIFLIYFEVSGGAYGEEAAVGAAGPLWAILGFLIFPFIWSIPEALITAELATAFPGNGGYVIWAHQAFGPFWGSLMGSWKFLSGVINLASYPVLCVDYLKLVIPLLSSGVPRYVAILLSTLLLSFLNYTGLVIVGYTAVCLGVISLLPFIILTLISIPKIDPSRWISLGQKEVKKDWSLFFNTLFWNLNFWDNASTLAGEVEQPQKTFPKALFSAGLLTCLAYLVPLLAATGAIPLEQKNWVDGYFANVAEIIAGKWLKIFFEIGAVLSIIGLYEAQLSSCVYQLLGMADLGLFPQCFSVRSKWFNTPWLGILVSTLITVAVSYMDFVDLISSVNFLYSLGMLLEFASFLWLRRKFPTIKRPFKVPVELPALIVMCLIPSGFLVYVMSVATGTVYMMSSILTVFSIAWYFMMKFCKSKKWPEGNNAGENLDEEDLE >EOY32140 pep chromosome:Theobroma_cacao_20110822:9:22056530:22060827:1 gene:TCM_039688 transcript:EOY32140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MSLEVIQAMAAFFTAMSGQAQTSQVPPIVPPVTPSVPLAHDVSISKKLKEARQLCCVSFVGELDATAAKDWINQVLETLSDMRLEDEMKLIVAMRLLEKRARTWWNSVKSSSIILLTWSDFLREFDSQYYTHFHQKEKKREFLSLKTKQDQADYFEEGLRNEIRERMTMTGREPHKEVVQRALRVEKLTNENRRMRAELAKRKNSNMSSNQPLKRSKGSFVSRSAPSVSVTSSQPSFSQMQQRPPRFSEFAVTTFEKSFGGSDKCRECGRFHGRVCWGPLRCFHCGQTGHFKTNCPQLG >EOY32562 pep chromosome:Theobroma_cacao_20110822:9:31466795:31474617:1 gene:TCM_040554 transcript:EOY32562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEADPTKDPPMLVRKNKELNAIKVGSERGRFHLDSNADSTQQNISHDADQALDAGNTARSFYCKILMQKDQETLQPDKESEKEGDLIDDFDSNEETNEVVIKGLYIQLNREEKKRIQGPWRNTLIVKLLGRGISYTYLCNRVKQLWSLIGDFQVKDFDNGYHCFRFNSRVDYNYVLSKEKNSILNNAAKIQNNIGPLDVVTLSHELMNKEAGNGKQYRRKIVTLKREDDTWCYDQVELENLILQFYKRLYADDGVKFSLLKSSWQRLDEFDVLPIHIVHMIAVTFIDPLSDDSDIEVWLMTNDGEFSVKTAY >EOY34154 pep chromosome:Theobroma_cacao_20110822:9:40074842:40076777:-1 gene:TCM_041912 transcript:EOY34154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23AB isoform 1 MAPKVEKKADPKAQALKAAKAVKSGATFKKKAKKIRTKVTFHRPKTLKKDRNPKYPRISAPPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGVI >EOY34155 pep chromosome:Theobroma_cacao_20110822:9:40074703:40076803:-1 gene:TCM_041912 transcript:EOY34155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23AB isoform 1 MAPKVEKKADPKAQALKAAKAVKSGATFKKKAKKIRTKVTFHRPKTLKKDRNPKYPRISAPPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGVI >EOY34430 pep chromosome:Theobroma_cacao_20110822:9:41058616:41067827:1 gene:TCM_042111 transcript:EOY34430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKDWIAQYRFSILVLVEPRVNGGMAGRIISRLRFAISHRVEAIGFAGGIWILWQDDVQVSVIRNHWHCVHMSIEYPARSTWILSAIYGSPNKAVRRDLWKELSIFARGIETPWMLIGNFNVFFVEHEKAGVAFFQKLYSKDIGPLPSHPIKGAFPTFCDEDYLRLVKAIESAEVYDALFEMKPLKALGLDGLRALCFQSQWAIVGQSLVQYVSHVMEVAKPQASFILGRQIVDNNMHEYGLDMGNKRLFAERMGGLSLLVKLNELQLCSCFLAGGYTCEVGRSFGVVFLERDWVYLQYLVRVLWVWISGYFVHDVLVLAFWALSWSLVMYVGCLFWFKCY >EOY34591 pep chromosome:Theobroma_cacao_20110822:9:41535536:41538093:-1 gene:TCM_042210 transcript:EOY34591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAGRNRLPPQSSLARAIPERHITHHHLEDRISIQHREIQSLLLDNQRLAATYVALKQDLALAQQELRHLCAAAANVKAERDAEVREVYERSLKMNAEARAVDSMSAELAHVRADVQKFAVDRQELTAQLEAVNNELAKARAETKQAAVIKAEMEAVRQEIHKGRSAIELEKKTHASNLEQRQILEKNIILVTREREKLHAELASAEKKARAAAAANPSPAYNGNYKNVDTKYGGSTYPDTYSMPLVCPCSYFVFIGIHWIRGGSGPESVPGTRFTAAFEGQGSHTPYENQTSKQFVPNSVPIYTVPNSKTE >EOY34592 pep chromosome:Theobroma_cacao_20110822:9:41535826:41538084:-1 gene:TCM_042210 transcript:EOY34592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MAGRNRLPPQSSLARAIPERHITHHHLEDRISIQHREIQSLLLDNQRLAATYVALKQDLALAQQELRHLCAAAANVKAERDAEVREVYERSLKMNAEARAVDSMSAELAHVRADVQKFAVDRQELTAQLEAVNNELAKARAETKQAAVIKAEMEAVRQEIHKGRSAIELEKKTHASNLEQRQILEKNIILVTREREKLHAELASAEKKARAAAAANPSPAYNGNYKNVDTKYGGSTYPDTYSMPLGGSGPESVPGTRFTAAFEGQGSHTPYENQTSKQFVPNSVPIYTVPNSKTE >EOY33200 pep chromosome:Theobroma_cacao_20110822:9:35893571:35897324:1 gene:TCM_041165 transcript:EOY33200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein MARVSVPLVGLFLLFSFLAFTAQARTEHMKYKDPSLPTNIRIRDLMKRMTVEEKIGQMAQIDRAVATPKIMRDYGIGSILSGGGSVPRPKATPQDWIKMVNNFQNGSLSTRLGIPMIYGIDAVHGQNNVYKATIFPHNIGLGATRDPELVRRIGAATALEVRATGINYAFAPCVAVCRDPRWGRCYESYSEDPEIVREMTEIINGLQGEIPQNSRKGVPYIGGKDKVAACAKHFVGDGGTTNGINENNTVIDRHGLLSIHMPGYYESIIKGVSTVMVSYSSWNGEKMHAHHDLITNFLKGTLRFRGFVITDWEGIDKITATPHSNYTYSVLAGVQAGIDMFMIPFNHTEFIHTLTKLVRDKFIPMSRIDDAVRRILRVKYKLGLFENPMADESFVAELGSQAHRELAREAVRKSLVLLKNGENADDAVLPLPKKASRILVAGSHANDLGNQCGGWTISWQGLSGNHTAGTTILEGISAAVDPDTKIAFKENPDMDFVKSKNFSYAIVVVGEPPYAEGQGDSMNLTIPEQSQYTIYNVCANIKCVVVLISGRPLEVMPHLDQVDAFVAAWLPGSEGQGVADVLFGDYGFTGKLPRTWFKTVDQLPMNVGDPHYDPLYPLGYGLTTKPV >EOY32455 pep chromosome:Theobroma_cacao_20110822:9:29908094:29910057:1 gene:TCM_040398 transcript:EOY32455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMPCGAVMPLSSMSQLSTLKILYEAFPFTSTAALLSSTLRCSTLQIFYEALVHHDAKALSNSRRMCRSTYAKIVALEHLAHWLVHDPPA >EOY30882 pep chromosome:Theobroma_cacao_20110822:9:6109289:6112057:1 gene:TCM_037934 transcript:EOY30882 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein MSPKRMLDCRYLLVLLFLALMPLVPNGNLVGVNWGTMATHQLPPNKVVNMLRDNGVDKLKLFEYNEGIMTALTGTDIEVMVGIPNSMLKEMSEDPAAAASYVYNNISGYCYDGGVNIKYIAVGNEPFLQTYNGTYLPYTLPALKNIQKALDESGVKCHYKATVPFNADIYNSPESNPVPSAGDFRPEVKDLAIEIIQFLYLHEAPFTVNIYPFLSLYGNDYFPVEFAFFDGASKPLRDGTNVYRNAFDANLDTLIYALSKAGFSDMEVIVGEVGWPTDGDKNANIQNAKRFNQGLIKHALSGTGTPARKGKIEVYLFSLLDENAKSIEPGGFERHWGIFEFDGKPKYELDLTGLEVDKGLAPVEDVKYQQKRWCVLNTQATDMGELPKSIDYACSLSDCTALGYGSSCNHLTAEGNASYAFNMYYQVNNQHFWDCDFSGLATVTDENPSEEGCQFPVMIAYGSSMLLHGGDLLDVSLRLVGVFVVLFSFLL >EOY31663 pep chromosome:Theobroma_cacao_20110822:9:11104995:11116533:-1 gene:TCM_038655 transcript:EOY31663 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCHC-type integrase MINLKLKREETCETLSSSIKRRFEKLKACLTTAPVLSLPQGTGGYTVFCDASGIGLGCVLMQHGKVIAYASRQLKRHEQNYPIHDLEMAAIVFALKIWRHYLYGETCEIYTDHKSLKYIFQQRDLNLRQRRWMELLKDYDCTILYHPGKANVVADALSRKSMGSLAHISIGRRSLVREIHSLGDIGVRLEVAETNALLAHFRVRPILMDRIKEAQSKDEFVIKALEDPQGRKGKMFTKGTDGVLRYGTRLYVPDGDGLRREILEEAHMAAYVVHPGATKMYQDLKEPLPVPEWKWEHIAMDFVTGLPRTSGRYDSIWIVVDRLTKSAHFLPVKTTYGAAQYARVYVDEIVRLHGIPISIVSDRGAQFTSRDKTVILPFDDKMEIFSQDLITFDQE >EOY31836 pep chromosome:Theobroma_cacao_20110822:9:16088674:16090095:1 gene:TCM_039118 transcript:EOY31836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQFCCTLREEKRAFCCNFWRKKKLKILRKIGAVCCQFVQKNWTALCCQFEGEKVAWCCQIFEEKRAGSAATRGGRK >EOY34170 pep chromosome:Theobroma_cacao_20110822:9:40160025:40165569:1 gene:TCM_041926 transcript:EOY34170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 1 MEAPATEQPSVPARRKKMTKQLTGKREDTPLHSAARAGNLAVVTEILTGTPEDELKELLPKQNQSGETALYVAAEYGYVDLVKEMINYYDLADAGIKARNGFDAFHIAAKQGDLDILAVLLAVHPELAMTVDLSNTTALHTAATQGHIGIVNFLLEAGSSLATIARSNGKTALHSAARNGHVEVVKALLASEPGIASRTDKKGQTALHMAVKGQNVEVVEELIRVDPSLTINMVDTKGNTSLHIATRKGRAQIVKLLLGYKETDTKAVNRSGETAIDTAEKTGHPEIATILQQHGVQSARTIKPAAPNPARELKQTVSDIKHEVHYQLEHTRQTRKRVQGIAKRLNKMHAEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYVDDPNDIPPGHSLGEANIAPNPAFIIFFIFDSIALFISLAVVVVQTSVVVIESKAKKQMMAIINKLMWLACVLISVAFLALSFVVVGTEERWLAIGVTIIGTSIMATTLGTMCYWVIRHRIEASNMRSIRRSSLGSRSRSWSLSVMSDSEILNNEFKKMYAI >EOY34171 pep chromosome:Theobroma_cacao_20110822:9:40160316:40164104:1 gene:TCM_041926 transcript:EOY34171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein isoform 1 MEAPATEQPSVPARRKKMTKQLTGKREDTPLHSAARAGNLAVVTEILTGTPEDELKELLPKQNQSGETALYVAAEYGYVDLVKEMINYYDLADAGIKARNGFDAFHIAAKQGDLDILAVLLAVHPELAMTVDLSNTTALHTAATQGHIGIVNFLLEAGSSLATIARSNGKTALHSAARNGHVEVVKALLASEPGIASRTDKKGQTALHMAVKGQNVEVVEELIRVDPSLTINMVDTKGNTSLHIATRKGRAQIVKLLLGYKETDTKAVNRSGETAIDTAEKTGHPEIATILQQHGVQSARTIKPAAPNPARELKQTVSDIKHEVHYQLEHTRQTRKRVQGIAKRLNKMHAEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYVDDPNDIPPGHSLGEANIAPNPAFIIFFIFDSIALFISLAVVVVQTSVVVIESKAKKQMMAIINKLMWLACVLISVAFLALSFVVVGTEERWLAIGVTIIGTSIMATTLGTMCYWVIRHRIEASNMRSIRRSSLGSRSRSWSLSVMSDSEILNNEFKKMYAI >EOY34621 pep chromosome:Theobroma_cacao_20110822:9:41601254:41609719:-1 gene:TCM_042230 transcript:EOY34621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MIEVDKLRIQGKLLARAGDYAAAANVYQKILELCADDWEAFLHYLGCLLEDDSSWSTENINAPFHPPKFVECKLTHFTDEVFDSCVSNASAFVQKLQAEESNNSLRNPYLAYLEIERRKCLFGKNNHDDLIEALLQYYSRFGHLACFTSDVEAFLQVLSPEKKMEFLDKLMENSNSLSAVPTKALGQSITLLKTQELIGNMFTLSVGELEGSALQMAELYCKNLPLSKDLDPQESMHGEELLSIVCNVLVQLFWRTRNLGYFVEAVMVLEFGLTIRRYVWQYKILLLHLYSHFCALSLAYERYKSLDVKNILMETVSHHILPQMLVSPLWADLSDLLKDYLKFMDDHFRESADLTFLAYRHRNYSKVIEFVQFKERLQHSNQYLVARVEAPILQLKQSADNIEEEESILGSLKCGIDFVELSNEIGSKSLTFNEDFQSRPWWTPTTEKNYLLGPFEGISYYPKENLEREANVRGTIGRKSLLPRMIYLSIQSASVLHKDNSEINGSLADPKTSTELRTLLERYAKLLGFSLNDAIDVVVGVSRGLKPFQAFGSDVIDWLNFAVFLNAWNLNSHELEQHGGECMHGGWHLVNFLLENYILGKVRSMEPLIHSPQGDFPILVQIATEPLAWHGLVIQSCVRSCLPSGKKKKKSGYVDQSISSLSHAIRDAIQSLCGTLEEVGKWLQDQINSPEDKKMDSLVSSLQRKGQGEGPGQVLHLLETLMSSPNETVLGNRISEALRSWSPVDVARKIVTGQCTVLSEFCRICESKIKSLRALKQQLAQV >EOY34619 pep chromosome:Theobroma_cacao_20110822:9:41598989:41611313:-1 gene:TCM_042230 transcript:EOY34619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MASKFGLAGGIPERRVRPIWDAIDSRQFKNALKITTTLLSKHPHSPYALALKALILERMGKSDEALSVCLNAKELLYKNESLLMDDLTLSTLQIVFQRLDHLELATSCYEHACGKFPNNLELMMGLFNCYVREYSFVKQQQTAIKMYKLVGEERFLLWAVCSIQLQVLCGDGGEKLLLLAEGLLKKHVASHSLHEPEALIVYISILEQQSKFGDALEILTGKLGSLLMIEVDKLRIQGKLLARAGDYAAAANVYQKILELCADDWEAFLHYLGCLLEDDSSWSTENINAPFHPPKFVECKLTHFTDEVFDSCVSNASAFVQKLQAEESNNSLRNPYLAYLEIERRKCLFGKNNHDDLIEALLQYYSRFGHLACFTSDVEAFLQVLSPEKKMEFLDKLMENSNSLSAVPTKALGQSITLLKTQELIGNMFTLSVGELEGSALQMAELYCKNLPLSKDLDPQESMHGEELLSIVCNVLVQLFWRTRNLGYFVEAVMVLEFGLTIRRYVWQYKILLLHLYSHFCALSLAYERYKSLDVKNILMETVSHHILPQMLVSPLWADLSDLLKDYLKFMDDHFRESADLTFLAYRHRNYSKVIEFVQFKERLQHSNQYLVARVEAPILQLKQSADNIEEEESILGSLKCGIDFVELSNEIGSKSLTFNEDFQSRPWWTPTTEKNYLLGPFEGISYYPKENLEREANVRGTIGRKSLLPRMIYLSIQSASVLHKDNSEINGSLADPKTSTELRTLLERYAKLLGFSLNDAIDVVVGVSRGLKPFQAFGSDVIDWLNFAVFLNAWNLNSHELEQHGGECMHGGWHLVNFLLENYILGKVRSMEPLIHSPQGDFPILVQIATEPLAWHGLVIQSCVRSCLPSGKKKKKSGYVDQSISSLSHAIRDAIQSLCGTLEEVGKWLQDQINSPEDKKMDSLVSSLQRKGQGEGPGQVLHLLETLMSSPNETVLGNRISEALRSWSPVDVARKIVTGQCTVLSEFCRICESKIKSLRALKQQLAQV >EOY34620 pep chromosome:Theobroma_cacao_20110822:9:41601286:41610858:-1 gene:TCM_042230 transcript:EOY34620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 2 MASKFGLAGGIPERRVRPIWDAIDSRQFKNALKITTTLLSKHPHSPYALALKALILERMGKSDEALSVCLNAKELLYKNESLLMDDLTLSTLQIVFQRLDHLELATSCYEHACGKFPNNLELMMGLFNCYVREYSFVKQQQTAIKMYKLVGEERFLLWAVCSIQLQVLCGDGGEKLLLLAEGLLKKHVASHSLHEPEALIVYISILEQQSKFGDALEILTGKLGSLLMIEVDKLRIQGKLLARAGDYAAAANVYQKILELCADDWEAFLHYLGCLLEDDSSWSTENINAPFHPPKFVECKLTHFTDEVFDSCVSNASAFVQKLQAEESNNSLRNPYLAYLEIERRKCLFGKNNHDDLIEALLQYYSRFGHLACFTSDVEAFLQVLSPEKKMEFLDKLMENSNSLSAVPTKALGQSITLLKTQELIGNMFTLSVGELEGSALQMAELYCKNLPLSKDLDPQESMHGEELLSIVCNVLVQLFWRTRNLGYFVEAVMVLEFGLTIRRYVWQYKILLLHLYSHFCALSLAYERYKSLDVKNILMETVSHHILPQMLVSPLWADLSDLLKDYLKFMDDHFRESADLTFLAYRHRNYSKVIEFVQFKERLQHSNQYLVARVEAPILQLKQSADNIEEEESILGSLKCGIDFVELSNEIGSKSLTFNEDFQSRPWWTPTTEKNYLLGPFEGISYYPKENLGKGSKCTGNHREEVSSSSDDIFVYSKCISLTQG >EOY29938 pep chromosome:Theobroma_cacao_20110822:9:2862592:2865766:1 gene:TCM_037315 transcript:EOY29938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase family protein, putative MEEGEARSKFKRVCVFCGSNSGHRQVFSDAALELGNELVERKINLVYGGGSVGLMGLISQTVYDGGCHVLGIIPKALMPLEISGQTVGEVRTVSDMHERKAAMAQEADAFIALPGGYGTMEELLEMITWSQLGIHKKTVGLLNVDGYYNNLLALFDNGVEEGFIKPSARHIVVSAPTAKELLMKMEQYTPSHEHVAPHESWQMEQLGDYPKHLNAQ >EOY29244 pep chromosome:Theobroma_cacao_20110822:9:471175:477183:1 gene:TCM_036840 transcript:EOY29244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated channel 14 isoform 1 MESRKEKLVRFNNNGSTHLEKPLPVFKATAPLLKTEGGLSDRDSGSRIPKFGKFKVFPEDHEPRRERILDPGSEIFLQWNRIFLFWCLVALFVDPLFFYLPSVINKDKSSCIDTDLNLGIIVTCFRTLADAFYVLHLIIKFRTAYVSPISRVFGRGELVTDPDMIARRYLRSDFFIDLVAALPLPQIVIWFIIPAIRSTNADYTNNALVLIVLLQYVPRLYLIFPLSSQIIKATGVVTKTAWAGAAYNLLLYMLASHVLGASWYLLSIERHATCWKSECRNETSPLRCNPHYLDCATLNDGHRQIWESSTLVFSNCDPSNNISFDYGIFQNALTKKVFSSGFLQKYFYCLWWGLQNLSSYGQTLNTSTFVWETLFAILIAILGLVLFAHLIGNMQTYLQSITVRLEEWRLKRRDTEEWMRHRQLPQDLRERVQRFVQYKWLATRGVDEESILRALPPDLRRDIQCHLCLDLVRRVPFFSQMDDQLLDAICERVVSSLSTQGTYIVREGDPVTEMLFIIRGRLESSTTNGGRTGFFNSINLRPGDFCGEELLSWALLPKSSLNLPSSTRTVRALVEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRYHSYHWRTWGACFIQAAWRRHKKRMMARNLSAMESLSYPPDEQAAYETEQEEEHTLAPSNSSQVIQNLGVTILASRFAANTRRGAQKMKDVEMPKLQKPEEPDFSEEPDDD >EOY29245 pep chromosome:Theobroma_cacao_20110822:9:470646:477157:1 gene:TCM_036840 transcript:EOY29245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated channel 14 isoform 1 MESRKEKLVRFNNNGSTHLEKPLPVFKATAPLLKTEGGLSDRDSGSRIPKFGKFKVFPEDHEPRRERILDPGSEIFLQWNRIFLFWCLVALFVDPLFFYLPSVINKDKSSCIDTDLNLGIIVTCFRTLADAFYVLHLIIKFRTAYVSPISRVFGRGELVTDPDMIARRYLRSDFFIDLVAALPLPQIVIWFIIPAIRSTNADYTNNALVLIVLLQYVPRLYLIFPLSSQIIKATGVVTKTAWAGAAYNLLLYMLASHVLGASWYLLSIERHATCWKSECRNETSPLRCNPHYLDCATLNDGHRQIWESSTLVFSNCDPSNNISFDYGIFQNALTKKVFSSGFLQKYFYCLWWGLQNLSSYGQTLNTSTFVWETLFAILIAILGLVLFAHLIGNMQTYLQSITVRLEEWRLKRRDTEEWMRHRQLPQDLRERVQRFVQYKWLATRGVDEESILRALPPDLRRDIQCHLCLDLVRRVPFFSQMDDQLLDAICERVVSSLSTQGTYIVREGDPVTEMLFIIRGRLESSTTNGGRTGFFNSINLRPGDFCGEELLSWALLPKSSLNLPSSTRTVRALVEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRYHSYHWRTWGACFIQAAWRRHKKRMMARNLSAMESLSYPPDEQAAYETEQEEEHTLAPSNSSQVIQNLGVTILASRFAANTRRGAQKMKDVEMPKLQKPEEPDFSEEPDDD >EOY33317 pep chromosome:Theobroma_cacao_20110822:9:36693142:36694233:1 gene:TCM_041273 transcript:EOY33317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSTANSSLTLSITSQNSHALQPNNNISRNSLLVRSLILSILSLFAIISLLDFVVWRIIHPLPPVFEVDSFCISTTPPKHSPLTSTAYDIQFGITNPNKKLSVLMDNFEILVFHGKNMISRERMRPVYLKKMTKESNNIPRDLPHLHGKMIKFKSIQWSKRGVNFDVKVKFQVRYMVWSWLPKRADVEISCRDLSVKFLTAEGKGDLMGGRRECSAHFQ >EOY32815 pep chromosome:Theobroma_cacao_20110822:9:33818991:33831739:-1 gene:TCM_040839 transcript:EOY32815 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MAPCHKNLVCFSFSCQKTKMGNSDTKEDQMKENIGEEGIGLDHQGAALFLNELPEECVAKILSATTPADACRLSCLSATFRSAAASDSVWNSFLPPDYQTILSRWAEADSSSLTFSSKKDLFFHLCHVPILVDEGRKSFSLDKWSGKKSYMIAPRDLLIAWGNTPAYWAWISHPESRFKEVAKLNYVWWLEIRGMISTSKLSPDTNYAAYLVFKLRHAFGFNDYPAEVSLTLGADKVCTKSVALDPMIESRPCRRRVGHWYEPSLIDHSVMCRLERSKERADGWLEIELGDFFNRYGEDEVEMSVMEAKAGIAKGGLIVQGIEIRPKKV >EOY32050 pep chromosome:Theobroma_cacao_20110822:9:19498734:19500192:1 gene:TCM_039465 transcript:EOY32050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKKIRDNKFLFSFDVKEDFERVKDGKPWSFERCLVVLKDFDGEIMDPEEIKFGMEEFWVHVIELPLKLMTKEIVVTTGKNLKVDGEGENLKDSFTRIKVQDNFDGNKVSSRLEDLHGLSNKDGPPSRNQEVSSPCNIKRSDYV >EOY34597 pep chromosome:Theobroma_cacao_20110822:9:41550612:41552745:1 gene:TCM_042214 transcript:EOY34597 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MDHVTKEPTTVCHVLAVPFPSRGHINPMMNLCKSLLSKNMDILVTFVVSEEWFGFIGSEPKPDNIRFGTIPNDFTSELDRSGNYSPDFFEEVLRKMEAPVEQLIDRLEPPVTTIVYDAYLTFAVRVGNRRKIPLASFWTMPALVYSVFHHFDLLVQNRHFPINLLEQGEDRVDCIPGISSIRKVDLPTCLYGKGLDVLHRGLEAVSGVAKVQYLLLVSVYELESQIIEALKERISTPVYHIGPPIPYFKLEDNSAVNPSDKSYFQWLDSQPESSVLYVSQGSLHSATSAQLDEIAAGLRISGVRYFWVARKETPRFEDECGEKGLIVPWCDQLRVLCHPSIGGFWSHCGWNSTSEAIFAGVPMLTFPIYWDQTPNSKQIVEDWKIGWRVKNKSEAHSLVPREEIAGIIRRFMNLDSEEGKEMRKRAKKLSQVCRQAVQKGGSSDTDLQAFVNDIAQCHK >EOY29793 pep chromosome:Theobroma_cacao_20110822:9:2415120:2418194:1 gene:TCM_037223 transcript:EOY29793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphotransfer protein isoform 1 MGRNRLQHQVACMRRSLFDQGFLDEQFIQLEELQDDASPNFVQEIVTLFYTDSARLIQNIEQALNNGPIDFSKLDDYMHQFKGSSSSIGAKKVTDECKVFREYCSAENAQGCIRTFQQVKQEYAILRRKLEVYFQMVRQADVAHTP >EOY29792 pep chromosome:Theobroma_cacao_20110822:9:2414999:2418170:1 gene:TCM_037223 transcript:EOY29792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphotransfer protein isoform 1 MGRNRLQHQVACMRRSLFDQGFLDEQFIQLEELQDDASPNFVQEIVTLFYTDSARLIQNIEQALNNGPIDFSKLDDYMHQFKGSSSSIGAKKVTDECKVFREYCSAENAQGCIRTFQQVKQEYAILRRKLEVYFQMVRQADVAHTP >EOY31321 pep chromosome:Theobroma_cacao_20110822:9:8147245:8148819:-1 gene:TCM_038275 transcript:EOY31321 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain protein 8, putative MATGAAAEMMLRCVLEGSLVMQEIEIERRPYHRNCSCALHNLKGVCSSACSRTRSISFSKKKTWSDCTLSMATSHFSSQSSLPGGSSVRSMESKTSRGFCCTDGENMSEV >EOY32454 pep chromosome:Theobroma_cacao_20110822:9:29882173:29883656:1 gene:TCM_040397 transcript:EOY32454 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3 transcription factor family protein, putative MDEDESSMVSNAKWKVAAAETSDCGNSNYPLRASKRSRHETNASLARFKGVVPQQNGHWGAQIYANHQRIWLGTFKSEKEAAMSYDSAAIKLRSVDSHRNFPWAEHNIQEPNFQSLYSTEDVLNMIRAGSYQAKFAEFVNILSERNGILGSKSVNKNLVHGDIHFSCVQLFQKELTPSDVGKLNRLVIPKKYAVKYFPYICENDEENVAGVGVEEMELVFYDRLMRTWKFRYCYWRSSQSFVFTRGWNRFVKEKKLKERDIITFHTCECPALVEKDALNFFLIDVNYNGEQRCINEDKVLNGLESSPQDLQVELELNLGKSFYCRIDNCNSLFNEDKGLSGLKSSHDVEEKRVTLFGVQIN >EOY32363 pep chromosome:Theobroma_cacao_20110822:9:28201181:28216432:1 gene:TCM_040232 transcript:EOY32363 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 1 MMSTKVDHTSAVETRIVNMSATTTSSTASTTGPKVSMFAAKTGFVIPKNKLSGSLVPIFRGGKKPGVNDTATEDNLNQVQRKTKWGPDLTQDAAVIKGRALAYQTRVDQIMQQLKSGNLDVGDHEDSPFAAQNLVKRSSDTQLDSEKLELLEIERREAIGEILKLNPSYKAPADYKPLLKEAVVPIPVKEYPGYNFAGLIFGPGSDTKKRLEKETGAKIQVHGIKANTRQKVEISSPDGNEAQDAYEELYVHLSADTFEKVDGAVALIELLVSSISETLGTSLAPTSVSGNNVNVLSQALDIAVSCATDSALNQEVPQFTQASLQGQFQYHNSWFPAGPTPLNFTAPIFNSPVSAQSSPSNLPSLFGPQPAPAAGYNSILQNSSFISSSPQLPRQVLSQPYTPQMHPLVHTGPPRNLILLNPNPASAQPSVLSSLPFSGSQPQALGLLPSTRPSMPLFPQTASTVSSRPLQDQLEVPARSSTGWSGTSASLGLNNVGQLAPPVALSQLPHTVVSQPVVASSSTAPQNMSVTFATGQSGPQLTNVPINHPSMSFAPGPPLGSSPAISAPLRPTAASLPMPVPTQMRSSSPVISMAPSPSPSINPAMVSRPILAPVPSTSLSLSLPGGISGNMANFTSINQPANIAPRPLHASSGDFTFQSQQAQVPASPMVPRPGSQAANPHALPPRSAVQQQAPTAPSFQFGVPNSTPQPVMHVFPRPQSGNQMGLTQTHISSSLLSANPNVMSAPPRPPAFPNTGPVATGLRNFVPSPQTPNMAGPFPSRPGHPLQLQQHYPALPTRPGNFMSPNPGFASGVFLHSNRPASGHSTGHQVYDPFAPTSVPLVSQQQGGGKAKAGKQESDPEYEDLMASVGVK >EOY32364 pep chromosome:Theobroma_cacao_20110822:9:28201660:28216334:1 gene:TCM_040232 transcript:EOY32364 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 1 MQQLKSGNLDVGDHEDSPFAAQNLVKRSSDTQLDSEKLELLEIERREAIGEILKLNPSYKAPADYKPLLKEAVVPIPVKEYPGYNFAGLIFGPGSDTKKRLEKETGAKIQVHGIKANTRQKVEISSPDGNEAQDAYEELYVHLSADTFEKVDGAVALIELLVSSISETLGTSLAPTSVSGNNVNVLSQALDIAVSCATDSALNQEVPQFTQASLQGQFQYHNSWFPAGPTPLNFTAPIFNSPVSAQSSPSNLPSLFGPQPAPAAGYNSILQNSSFISSSPQLPRQVLSQPYTPQMHPLVHTGPPRNLILLNPNPASAQPSVLSSLPFSGSQPQALGLLPSTRPSMPLFPQTASTVSSRPLQDQLEVPARSSTGWSGTSASLGLNNVGQLAPPVALSQLPHTVVSQPVVASSSTAPQNMSVTFATGQSGPQLTNVPINHPSMSFAPGPPLGSSPAISAPLRPTAASLPMPVPTQMRSSSPVISMAPSPSPSINPAMVSRPILAPVPSTSLSLSLPGGISGNMANFTSINQPANIAPRPLHASSGDFTFQSQQAQVPASPMVPRPGSQAANPHALPPRSAVQQQAPTAPSFQFGVPNSTPQPVMHVFPRPQSGNQMGLTQTHISSSLLSANPNVMSAPPRPPAFPNTGPVATGLRNFVPSPQTPNMAGPFPSRPGHPLQLQQHYPALPTRPGNFMSPNPGFASGVFLHSNRPASGHSTGHQVYDPFAPTSVPLVSQQQGGGKAKAGKQESDPEYEDLMASVGVK >EOY32362 pep chromosome:Theobroma_cacao_20110822:9:28201550:28216334:1 gene:TCM_040232 transcript:EOY32362 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein, putative isoform 1 MMSTKVDHTSAVETRIVNMSATTTSSTASTTGPKVSMFAAKTGFVIPKNKLSGSLVPIFRGGKKPGVNDTATEDNLNQVQRKTKWGPDLTQDAAVIKGRALAYQTRVDQIMQQLKSGNLDVGDHEDSPFAAQNLVKRSSDTQLDSEKLELLEIERREAIGEILKLNPSYKAPADYKPLLKEAVVPIPVKEYPGYNFAGLIFGPGSDTKKRLEKETGAKIQVHGIKANTRQKVEISSPDGNEAQDAYEELYVHLSADTFEKVDGAVALIELLVSSISETLGTSLAPTSVSGNNVNVLSQALDIAVSCATDSALNQEVPQFTQASLQGQFQYHNSWFPAGPTPLNFTAPIFNSPVSAQSSPSNLPSLFGPQPAPAAGYNSILQNSSFISSSPQLPRQVLSQPYTPQMHPLVHTGPPRNLILLNPNPASAQPSVLSSLPFSGSQPQALGLLPSTRPSMPLFPQTASTVSSRPLQDQLEVPARSSTGWSGTSASLGLNNVGQLAPPVALSQLPHTVVSQPVVASSSTAPQNMSVTFATGQSGPQLTNVPINHPSMSFAPGPPLGSSPAISAPLRPTAASLPMPVPTQMRSSSPVISMAPSPSPSINPAMVSRPILAPVPSTSLSLSLPGGISGNMANFTSINQPANIAPRPLHASSGDFTFQSQQAQVPASPMVPRPGSQAANPHALPPRSAVQQQAPTAPSFQFGVPNSTPQPVMHVFPRPQSGNQMGLTQTHISSSLLSANPNVMSAPPRPPAFPNTGPVATGLRNFVPSPQTPNMAGPFPSRPGHPLQLQQHYPALPTRPGNFMSPNPGFASGVFLHSNRPASGHSTGHQVYDPFAPTSVPLVSQQQGGGKAKAGKQESDPEYEDLMASVGVK >EOY30101 pep chromosome:Theobroma_cacao_20110822:9:3386308:3388291:-1 gene:TCM_037426 transcript:EOY30101 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MESFSSSSDASLKQQLEELQKQLGKKQRFEDALSSINSLLKLHYPSASASLRKSFYSAVCRVATILKTRYTAPGFWLAGLRLFELLESLVSDPSEKGHLRKCISQAKEHLNEIENPVPSSESSQNRGYLFEGHLTVDPEPPQPQWLVQSNLMNAFASAATAESSRGLAGNVNTVENAANVLQELINHLDTVIPEILENEGGVRKVPPASKEVVAKLPVITLTEEILAKLGSDAECAICKENLVVGDNMQELPCKHTFHPPCLKPWLDEHNSCPICRYELQTDDHDYESWKEREKEAEEERKGAANAVRGGEYMYV >EOY30067 pep chromosome:Theobroma_cacao_20110822:9:3244621:3249317:-1 gene:TCM_037402 transcript:EOY30067 gene_biotype:protein_coding transcript_biotype:protein_coding description:G protein alpha subunit 1, ALPHA 1,ATGPA1 isoform 1 MLSTVLENMGLLCSKNRHYTEADAEENAQAAEIERRIEQETKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELKSYIPVIHANIYQTIKILYDGSKEFAQNGACSSKYVLSSENKVIGEKLSEIGGRLDYPRLNRELAHDIETVWKDSAIQETYARSNELQVPDCAHYFMENLQRLSDANYIPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCVAISEYDQTLFEDEQKNRMMETKELFDWVLKQPCFENTSFMLFLNKFDIFEKKVLKVPLNVCEWFKDYQPVSTGKQEIEHAYEFVKMKFEELYFQSTAPDQVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >EOY30066 pep chromosome:Theobroma_cacao_20110822:9:3244787:3249646:-1 gene:TCM_037402 transcript:EOY30066 gene_biotype:protein_coding transcript_biotype:protein_coding description:G protein alpha subunit 1, ALPHA 1,ATGPA1 isoform 1 MLSTVLENMGLLCSKNRHYTEADAEENAQAAEIERRIEQETKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELKSYIPVIHANIYQTIKILYDGSKEFAQNGACSSKYVLSSENKVIGEKLSEIGGRLDYPRLNRELAHDIETVWKDSAIQETYARSNELQVPDCAHYFMENLQRLSDANYIPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCVAISEYDQTLFEDEQKNRMMETKELFDWVLKQPCFENTSFMLFLNKFDIFEKKVLKVPLNVCEWFKDYQPVSTGKQEIEHAYEFVKMKFEELYFQSTAPDQVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >EOY32111 pep chromosome:Theobroma_cacao_20110822:9:21124540:21126278:1 gene:TCM_039611 transcript:EOY32111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNVKLMITYSGHWVDDTYKSSETRVRGVGSDLSFSGLMKLVKYVVRVNSQNHKIELHALLNHVARVSRLVISDDEDLAKTDIAHWNDEMEDDCADNYIVRHDDCLEEDKCENKNIPECNHANEDVECNDPIYNNPIIGDNGIHSPDDSDQERGKCKGILSMDYSEGKYDIFPNSCDRKV >EOY30334 pep chromosome:Theobroma_cacao_20110822:9:4245677:4249297:1 gene:TCM_037580 transcript:EOY30334 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALF domain class transcription factor isoform 2 MDGGTQYNLRTVEEVFRDFKGRRAGMIKALTTDVGEFYEQCDPEKENLCLYGFPDEHWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKADRKRLFTMINDLPTIFEIVTGSAKKQAKEKSSVSNHSSNKSKSSSKPAKDEEEGLDEEDEEEHGETLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >EOY30333 pep chromosome:Theobroma_cacao_20110822:9:4245572:4249747:1 gene:TCM_037580 transcript:EOY30333 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALF domain class transcription factor isoform 2 MDGGTQYNLRTVEEVFRDFKGRRAGMIKALTTDVGEFYEQCDPEKENLCLYGFPDEHWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKADRKRLFTMINDLPTIFEIVTGSAKKQAKEKSSVSNHSSNKSKSSSKPRGSESAKYSKSLQAKDEEEGLDEEDEEEHGETLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >EOY31006 pep chromosome:Theobroma_cacao_20110822:9:6659400:6660894:1 gene:TCM_038043 transcript:EOY31006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMTGLQRSTTSFRRQGSSGLVWDDKFLSGDLDQMKLNNNNNQSDGMMRRTRSDGGGGHMYRVTKAASPSIDPPSPKVSGCGFCGVFGKPDAAKNRKSNKRHSAWLFPRSPLDGLCCNMEAMITWEMCKLIKVTSVGIGHGASAAYL >EOY31293 pep chromosome:Theobroma_cacao_20110822:9:8022568:8024711:-1 gene:TCM_038253 transcript:EOY31293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRPWHCCQWQATAALQVSGWSVFPALLADCLGSSRPPAASQSASSAARHFPARLRTPSACWPGHTACLLAHVPNLITGLRAHPPMGADVSSPLAPNRGSSPRCSSLFELARSLPNLKPLPSGCPTLLHKRGVTIVYKCLKLMEQERECRATAAANPGAGGNAVAWGNAQRRDRKGRGNNAVRLIVLETMLVWLIKGQCCCSRQYSRP >EOY31489 pep chromosome:Theobroma_cacao_20110822:9:9093758:9097308:-1 gene:TCM_038415 transcript:EOY31489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein MAMYIRVKRSKTTYFIQCDPTETALDIKQKLHTLIDQPVNDQRLILVNTGEILEDSKTLADQKVENDAVVALTLRKDDNEFEDVNIVQPNDFYQSRDADSGNW >EOY33653 pep chromosome:Theobroma_cacao_20110822:9:38400248:38401234:-1 gene:TCM_041559 transcript:EOY33653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDVSLAETQELLEAFILFIASKLGQAHSLVIESDSCNAVKWVNQPSDGPWRLRKWILHIDRLKMDVKSWEIKHIYRQNNQLADRLVKQGSNAQRSSSMSWNNRVLFFFLGVLMEGKSGTQKRIKREGMRSYNCGAFMLRNCDCWVRTMSNRFEHEAGIGCVFVMMIFVISYVMHVQVGGMNHVDQMNVFILGMGVVKLCKVYVNEARGV >EOY29374 pep chromosome:Theobroma_cacao_20110822:9:957378:960277:1 gene:TCM_036931 transcript:EOY29374 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPPDE thiol peptidase family protein, putative MLCRMVLMPRKKKVGSVPVYLNVYDLTPINGYAYWFGLGIYHSGVQVHGVEYGFGAHEHSTTGIFEVEPRQCPGFTFRKSILIGRTDLGPKDVRAFMEKLAREYSGNTYHLITKNCNHFCNDVCIQLTGKPIPRWVNRLARLGFLCNCVLPAGLNETKVRQVRTEGSAMSSRQRRCIPSSSSLIHTSSTSSLSLKL >EOY32840 pep chromosome:Theobroma_cacao_20110822:9:34030999:34033103:-1 gene:TCM_040863 transcript:EOY32840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGARRESFWWVDGRGYRDHPGSLERRRRSLFTVFVDNISHRLSWREVKASFDEFEMLKAIRYRSGISLDGKRLKVKQADYPRGRLVNNTGRPNDEQCEGGKRNGASSYKEVFTGAKGNREREHQEAKTVENNKNSKKNIASQGQTSVETIQSAMFLEGVTARVRPAGGLDVLVTFGDKEEMETLLESYSEIFELWFDSISPYNADIETRSYMVWVKVEEIPIHLWSMKLFKALGNSWGKFIRIDHATAEKDRLDHALLQVEVSSKNKIPAYPQIQFNGKIIILSAFIADVEKVEIKRQILATKKGKEVVEENFSSLENQSWWKEGDNDSFFEGNWDKTKEAFVQENSCKKTSSERMLKKSKVMGTLFFFFNRTCRTFVKRHKKDQLL >EOY33053 pep chromosome:Theobroma_cacao_20110822:9:35138949:35140154:-1 gene:TCM_041057 transcript:EOY33053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport protein SEC61 subunit beta PIFHKEPSSSALIINQRPLIVQIITLLPPVFPPSPPSPIPLAENRKNITIYFSKTMARGSSQSQSSTSSSTSRPGVMAPRGSAAATAGMRRRKLVGGSGSASATSTAASGSGSNMLRFYTDDAPGLKISPTVVLVMSLCFIGFVTALHVFGKLYRAKNGAGP >EOY29853 pep chromosome:Theobroma_cacao_20110822:9:2567332:2573616:-1 gene:TCM_037254 transcript:EOY29853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEKDSLRTNNNGNNTNHCRDRTGSDGGLLRSSSDPAKQTPSSSDFVLQWGNRKRLRCMKIQVKDDQSGPVHRTTVRVDRRVVRADKDSSSQPSNNNHGNGCFNLRQRPPSPQAPPPQRVLRNSENPSAMRGQSNGGVRGFASPDRGARDKRGGNHNNNNHYSNNDNNHQHNNKSAASSETAHDSKKGGSSSGSGEAVPPVWPPKFVIALTNKEKEEDFLAIKGSKLPQRPKKRAKFIQRTLNEFIHFIKGEIFSQKVGGRMSNVCAETK >EOY29852 pep chromosome:Theobroma_cacao_20110822:9:2566671:2573544:-1 gene:TCM_037254 transcript:EOY29852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEKDSLRTNNNGNNTNHCRDRTGSDGGLLRSSSDPAKQTPSSSDFVLQWGNRKRLRCMKIQVKDDQSGPVHRTTVRVDRRVVRADKDSSSQPSNNNHGNGCFNLRQRPPSPQAPPPQRVLRNSENPSAMRGQSNGGVRGFASPDRGARDKRGGNHNNNNHYSNNDNNHQHNNKSAASSETAHDSKKGGSSSGSGEAVPPVWPPKFVIALTNKEKEEDFLAIKGSKLPQRPKKRAKFIQRTLNLVSPGAWLCDLTLERYEVREKKISKKRPRGLKAMGNMESDSE >EOY31068 pep chromosome:Theobroma_cacao_20110822:9:6854930:6857914:-1 gene:TCM_038080 transcript:EOY31068 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain gene 2 MKFGKSLSNQIEETLPEWRDKFLSYKGLKKKLKLIEPKSGERQNKRPRLDENFGDVVDAGDKVGDGMSREESDFIRLLEDELEKFNTFFVEKEEEYIIRLKELQDSVAEAKASNEELTNIRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQRVLQQPFFTTDLLYKLVKECEAMLDHLFPKNEKPASTEAKNGDASRDGEDDGCDPSTSSTSKSEDLLRMPKELAEIEYMESLYMKSTISALRVLKEIRSRSSTVSVFSLPPLQISGLDKTWKKIPVLEQAAK >EOY33334 pep chromosome:Theobroma_cacao_20110822:9:36762131:36763955:1 gene:TCM_041290 transcript:EOY33334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGNKPVKQEQREEILLKIVPPLDQAYVRWLARDIERIHGFTPRNPRAVKPPDHYIEYMRLNGWLDVDLDDPDLAHLFK >EOY33333 pep chromosome:Theobroma_cacao_20110822:9:36762108:36764192:1 gene:TCM_041290 transcript:EOY33333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MGNKPVKQEQREEILLKIVPPLDQAYVRWLARDIERIHGFTPRNPRAVKPPDHYIEYMRLNGWLDVDLDDPDLAHLFK >EOY34004 pep chromosome:Theobroma_cacao_20110822:9:39652999:39659500:-1 gene:TCM_041816 transcript:EOY34004 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRF-like 2, putative isoform 2 MVLQKRLDYGFNGYRVPATPRATRSARKRVPFRKRFEDNQISAFDLLATVAGNLLLGKESTPTSSNKSSGEDQSAVVKNTVKEERRDGDQSLKVETSNQDRTDRKFFVSELVPQTNDLNYSSKESPSLQNDAHFGFASVITTSDCSERYDAQKLMNGKTENEMGSFPCKVETGPFVCGTSGDCIKPESQNKGLMYEELDRTDKISIGEVADTCPLEDPVLLDGKPPALVSSDDSGKARSCGDCSPRSSFPAKQDDVNVVSRDDDENSSGCTHPSPIKRPFRPTPRIGDRRIRKILASKYWKVAPRLKDVTFSNSDENVKPACCGRNSTYKRLRSERNYPFKKRKFLHCSSVSSSAGGISNEGISDSPEKSINGNASGVYSKMHGGTGESSSLADQRKSFHSMDSHVKLRIKSFRVPELFIEIPESATVGSLKRTVMEAVTAILGGGLHVGVLLQGKKVRDDNKTLLQTGISRDNQMDALGFSLEPNPSQTSPLLCRGGSPLTLPCDSPLPLARYPTTPRLANQVICDPSPLPHMANLGNFVESDHDSAPSPTDMSLEKSTTDSKALVAVPAMSVEALAVVPAHRKSKRSEVVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSQQQAKQQLKPQQPETCLLLYNSTIRGGSTCDVVEKTLG >EOY34005 pep chromosome:Theobroma_cacao_20110822:9:39652935:39659500:-1 gene:TCM_041816 transcript:EOY34005 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRF-like 2, putative isoform 2 MVLQKRLDYGFNGYRVPATPRATRSARKRVPFRKRFEDNQISAFDLLATVAGNLLLGKESTPTSSNKSSGEDQSAVVKNTVKEERRDGDQSLKVETSNQDRTDRKFFVSELVPQTNDLNYSSKESPSLQNDAHFGFASVITTSDCSERYDAQKLMNGKTENEMGSFPCKVETGPFVCGTSGDCIKPESQNKGLMYEELDRTDKISIGEVADTCPLEDPVLLDGKPPALVSSDDSGKARSCGDCSPRSSFPAKQDDVNVVRDDNKTLLQTGISRDNQMDALGFSLEPNPSQTSPLLCRGGSPLTLPCDSPLPLARYPTTPRLANQVICDPSPLPHMANLGNFVESDHDSAPSPTDMSLEKSTTDSKALVAVPAMSVEALAVVPAHRKSKRSEVVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSQQQAKQQLKPQQPETCLLLYNSTIRGGSTCDVVEKTLG >EOY32902 pep chromosome:Theobroma_cacao_20110822:9:34329734:34332574:-1 gene:TCM_040920 transcript:EOY32902 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein MATCFQQQPSNVEDDSVILFSTSSSPEGSTSLSSSFYHSPLSTDNSKTSHKLTVRNLSYTIHLKRSIPDTFFHLGQMPKPVEILKSVSFVARSSEILAIVGPSGTGKSSLLRIISGRVNDKDFDPCSISINDHRMTSPVQLRKICGFVAQEDNLLPLLTVRETLMFSAKFRLKEMTSKEKEERVESLMQELGLSHVADSFVGDQENRGISGGERKRVSIGVDMIHDPPILLLDEPTSGLDSTSALQVIELLASMAKAKRRTVLLSIHQPSYRILRYISNFLVLSHGSVVHNGSLGLLEETIQKLGFQIPTQLNALEFAMEIMPALEASSFKFSVAEDGDSYSYPVWSDEECIRIQQHNDDKQMGNFWFSSLLEIMFLCSRFWKIIYRTKQLFLARTMQAVVGGFGLASVYVKVRKDEEGVAERLGLFAFSLSFLLSSTVEALPIYLQERRVLMKEASRGAYKISSYMIANTIVFMPFLFAVAILFAGPVYWIVGLNPSISAFTFFTFVVWLIILMASSLVLFLSAVSPDFISGNSLICTVLGAFFLFSGYFIPKDNIPKYWLFMYYVSLYRYPLDTLLTNEYWSVRGECFSWHPPDYSHCLLTGNDVLESRGLDKDTRWMNVGIMFGFFLFYRVLCWIILARKASRTTI >EOY30003 pep chromosome:Theobroma_cacao_20110822:9:3027234:3029662:-1 gene:TCM_037359 transcript:EOY30003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MHSEPNLENAQASMRNELNLENSPTGMRKEPNLENSQVETGFGIQRLDRNKGKLSVGLSRPPPSGCSGCQMLREITHRKGSLVKKLQIHGELSRGRFFHALNNVLDDDTTVVLDAENIDFYDKGYRDVEKFLSQYFIKQEQEGWSMHDDPRAVFFKVLCFGPGGVQTGEAANTSNREKNPVRQTARVLATAPAEASNHSNAEAANTSISQAGQVAATGSHETTNPNNSGRRSINLSEQRKRIKMLTFDDLKPFFEMLRKDAARRLNLSETVLHNIFDEATGKKGRRWPYREIAANRRKIAKLTAIADSTDNPAASDRARDEIRTLEEQIDALYRP >EOY30002 pep chromosome:Theobroma_cacao_20110822:9:3026389:3029878:-1 gene:TCM_037359 transcript:EOY30002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MADPNANDPDDDPHYVPLVDEALNFMNNMEPILPDDAMNIFMGNVNHPFMDDSRNNFLNAINPTLFGNSSSDNSDVLNLRVNSQSDPSMNNLRTSTQMQQNFENEDLNNLHVPTRVNSTVQEPSQHPFIPQSEQEATNPLQIATNGEGMHSEPNLENAQASMRNELNLENSPTGMRKEPNLENSQVETGFGIQRLDRNKGKLSVGLSRPPPSGCSGCQMLREITHRKGSLVKKLQIHGELSRGRFFHALNNVLDDDTTVVLDAENIDFYDKGYRDVEKFLSQYFIKQEQEGWSMHDDPRAVFFKVLCFGPGGVQTGEAANTSNREKNPVPPTAGVLATAPAEAANTSNREKNPVPPTARVLATAPAEAANTSNREKNPVRQTARVLATAPAEASNHSNAEAANTSISQAGQVAATGSHETTNPNNSGRRSINLSEQRKRIKMLTFDDLKPFFEMLRKDAARRLNLSETVLHNIFDEATGKKGRRWPYREIAANRRKIAKLTAIADSTDNPAASDRARDEIRTLEEQIDALYRP >EOY34074 pep chromosome:Theobroma_cacao_20110822:9:39869579:39871212:-1 gene:TCM_041865 transcript:EOY34074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTSFSGLGIGLSLVFGCLLLALVAELYYLLWWKKRIASSEVEDDYSNYAKELIHLFCWKKSAALHATTTTTNNQDLVKDQDTNGVELDPELGSGKDLLLKGFGEEGVESELMRLHNLAGPPRFLFTIKEETKEDLESEDGRSRCDRSRKGSRTRSLSDLILTVDTPFISPLASPPLKSPPLNPLDSYHRQGFNPLFESSTDAELNKLRSSPPPKFKFLRDAEEKLLRRLMLEAEKRVQRNGGSLQDSGVKAVNSTASVTEETEGSFLKFIVGKNREPLQYLPQYPSSSSQVLPLASSPTAFRPLDKKDSVH >EOY34075 pep chromosome:Theobroma_cacao_20110822:9:39869339:39871113:-1 gene:TCM_041865 transcript:EOY34075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MTSFSGLGIGLSLVFGCLLLALVAELYYLLWWKKRIASSEVEDDYSNYAKELIHLFCWKKSAALHATTTTTNNQDLVKDQDTNGVELDPELGSGKDLLLKGFGEEGVESELMRLHNLAGPPRFLFTIKEETKEDLESEDGRSRCDRSRKGSRTRSLSDLILTVDTPFISPLASPPLKSPPLNPLDSYHRQGFNPLFESSTDAELNKLRSSPPPKFKFLRDAEEKLLRRLMLEAEKRVQRNGGSLQDSGVKAVNSTASVTEETEGSFLKFIVGKNREPLQYLPQYPSSSSQVLPLASSPTAFRPLDKKDSVH >EOY32865 pep chromosome:Theobroma_cacao_20110822:9:34102385:34106359:-1 gene:TCM_040879 transcript:EOY32865 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 2 MLLYFFITCFPFIFFLKSLTLKPLPPWATEMRLLSLWFWKEVPFFSISKSIKNSLSLSFFTTIPSKMSLKKISFTSKVDNFEEIEEGVMSVLDLPELVLECILEKLPPAGLCSMAGVCSSLRSRCISDHFWEKHMKEKWGRVIGPAAYREWQWHIASRKDSSHVKQGKPKGLMRILSIIRPFWWIKLKVDDSSKQSSLPDDSIMSWYLALETGRFWFPAQVYNRENGHVGFMLSCYDAELSYDPGTETFQARYPPHGRRAVAIENCVPWERLRAPPIDTSPHDLHISDCLNELRPGDNIEIQWRRNKEFPYGWWYGVVGHLESCDGNENYCRCHNSVFMVELTGKMKDVVLTGSVFL >EOY32864 pep chromosome:Theobroma_cacao_20110822:9:34101685:34105932:-1 gene:TCM_040879 transcript:EOY32864 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein isoform 2 MLLYFFITCFPFIFFLKSLTLKPLPPWATEMRLLSLWFWKEVPFFSISKSIKNSLSLSFFTTIPSKMSLKKISFTSKVDNFEEIEEGVMSVLDLPELVLECILEKLPPAGLCSMAGVCSSLRSRCISDHFWEKHMKEKWGRVIGPAAYREWQWHIASRKDSSHVKQGKPKGLMRILSIIRPFWWIKLKVDDSSKQSSLPDDSIMSWYLALETGRFWFPAQVYNRENGHVGFMLSCYDAELSYDPGTETFQARYPPHGRRAVAIENCVPWERLRAPPIDTSPHDLHISDCLNELRPGDNIEIQWRRNKEFPYGWWYGVVGHLESCDGNENYCRCHNSDTVVLEFNHYTPGSRWRRTSINRKDHREEGNEADGFYGGIRKLSSEEEITTWKRLWPAEILE >EOY30712 pep chromosome:Theobroma_cacao_20110822:9:5512289:5512972:1 gene:TCM_037827 transcript:EOY30712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPREDSDDTKLCPRERKQYVIFDCSNHFITAFCSRREDHFGISKPVATDALANSRATKRTKSLILDRVSGDPSGLEDFSDAESLACFRTDVSNDEGCLNNLFHDDGTRKKLKLLAGIVGANSIEPGLVLAKVVTVLKGLEMRNGY >EOY29999 pep chromosome:Theobroma_cacao_20110822:9:3016872:3022887:1 gene:TCM_037356 transcript:EOY29999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase/cyanide hydratase and apolipoprotein N-acyltransferase family protein MKTAVSSLFNSKNLSHSAISRSLLQHSSKPFSQRAFFVPTIPRNTKNLYNQRYQKLQIRTKSTSIHLFDIDISGKITFMEPKTLIAGETTTIVDTGAHLLCYPGALNMTTGPCIGSCCTEQDAGAGYVAWGRSTLIGPFGEVLATFEHDENIIIAEIDYSILEQQRASLPSAKQRDKDSIYACGGGHDVLLREEIHNYPQVVMVMVQISTYTQEDVVGAGMIYFVKRRWCEGGGGDLYLYMKGGGGDLYVYGGNGRRVAICVRGDMEEEETCR >EOY33556 pep chromosome:Theobroma_cacao_20110822:9:38026103:38037629:1 gene:TCM_041503 transcript:EOY33556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 12 isoform 1 MSSSDPKTRPKPGPWPPAPDAAPVPPTSWAKRTGFRPKFSGETNASESGQIALPPRARENENQPDIEAGRVRPAPPVANGEQPVSEKGPVEKDQTVKKRREADGANKGGSGAGANGHTGTNGAAETGASSQPQQPTRRPLRNEEVVDVLPQNVDDQGFVGRHSHMKYELRDTPGLVPIGLYGFQHFLSMLGSLILIPLVIVPPMGGTYEDTANVVSTVLFVSGVTTLLHSFFGSRLPLIQGPSFVFLAPALAIINSPEFQGLNGNNFKHIMKELQGAIIIASAFQTILGYSGLMSLFLRLINPVVVAPTIAAVGLSFYSYGFPLVGTCLEIGAAQILLVIIFSLYLRKISVLGHRIFLIYAVPLGLGITWAAAFLLTEAGAYSYKGCDTNVPASNIISEHCRKHVSRMKHCRVDTSHALKSSPWFRFPYPLQWGTPVFNWKMALVMCVVSVIASVDSVGSYHASSLLVASRPPTPGVVSRGIGLEGLSSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVELGACVLIVLSLVGKVGGFIASIPEVMVAALLCFMWAMLAALGLSNLRYSEAGSSRNIIIVGLSLFFSLSIPAYFQQYGISSNSNLSVPSYYQPYIVASHGPFHSKFGGLNYIMNTLLSLHMVIAFLVAVILDNTVPGSRQERGVYVWSESEAARREPAVVKDYELPFQFLCCVLRF >EOY33557 pep chromosome:Theobroma_cacao_20110822:9:38026103:38036977:1 gene:TCM_041503 transcript:EOY33557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 12 isoform 1 MSSSDPKTRPKPGPWPPAPDAAPVPPTSWAKRTGFRPKFSGETNASESGQIALPPRARENENQPDIEAGRVRPAPPVANGEQPVSEKGPVEKDQTVKKRREADGANKGGSGAGANGHTGTNGAAETGASSQPQQPTRRPLRNEEVVDVLPQNVDDQGFVGRHSHMKYELRDTPGLVPIGLYGFQHFLSMLGSLILIPLVIVPPMGGTYEDTANVVSTVLFVSGVTTLLHSFFGSRLPLIQGPSFVFLAPALAIINSPEFQGLNGNNFKHIMKELQGAIIIASAFQTILGYSGLMSLFLRLINPVVVAPTIAAVGLSFYSYGFPLVGTCLEIGAAQILLVIIFSLYLRKISVLGHRIFLIYAVPLGLGITWAAAFLLTEAGAYSYKGCDTNVPASNIISEHCRKHVSRMKHCRVDTSHALKSSPWFRFPYPLQWGTPVFNWKMALVMCVVSVIASVDSVGSYHASSLLVASRPPTPGVVSRGIGLEGLSSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVELGACVLIVLSLVKLEGLLLQFLKSWLLLSCASCGQCLQHWVCQIYVIVRLEALEIS >EOY33555 pep chromosome:Theobroma_cacao_20110822:9:38026086:38037544:1 gene:TCM_041503 transcript:EOY33555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 12 isoform 1 MSSSDPKTRPKPGPWPPAPDAAPVPPTSWAKRTGFRPKFSGETNASESGQIALPPRARENENQPDIEAGRVRPAPPVANGEQPVSEKGPVEKDQTVKKRREADGANKGGSGAGANGHTGTNGAAETGASSQPQQPTRRPLRNEEVVDVLPQNVDDQGFVGRHSHMKYELRDTPGLVPIGLYGFQHFLSMLGSLILIPLVIVPPMGGTYEDTANVVSTVLFVSGVTTLLHSFFGSRLPLIQGPSFVFLAPALAIINSPEFQGLNGNNFKHIMKELQGAIIIASAFQTILGYSGLMSLFLRLINPVVVAPTIAAVGLSFYSYGFPLVGTCLEIGAAQILLVIIFSLYLRKISVLGHRIFLIYAVPLGLGITWAAAFLLTEAGAYSYKGCDTNVPASNIISEHCRKHVSRMKHCRVDTSHALKSSPWFRFPYPLQWGTPVFNWKMALVMCVVSVIASVDSVGSYHASSLLVASRPPTPGVVSRGIGLEGLSSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVELGACVLIVLSLVGKVGGFIASIPEVMVAALLCFMWAMLAALGLSNLRYSEAGSSRNIIIVGLSLFFSLSIPAYFQQYGISSNSNLSVPSYYQPYIVASHGPFHSKFGGLNYIMNTLLSLHMVIAFLVAVILDNTVPGSRQERGVYVWSESEAARREPAVVKDYELPFQVGRVFRWVKWVGL >EOY33154 pep chromosome:Theobroma_cacao_20110822:9:35700335:35701140:-1 gene:TCM_041138 transcript:EOY33154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVHGWCSHCLNFCRLALDGGFISCSTCGKVLGQVSVKTASNMKRNTRFKRSKRVTRRQRQTCFGRS >EOY31720 pep chromosome:Theobroma_cacao_20110822:9:13188077:13191983:1 gene:TCM_038825 transcript:EOY31720 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase MVTSAPAKILIGIPLDPDVSKELLSWAIRVIAHPNDDIVALHVLGFFLFFSCQSKLTIFLSVGEESKKRKLITRDQAKLRQAKAHVISVLGEFARTCQSKQVNLEARVGFSSSVRRGLIEDAKSISADFLLLLGSRNRSNKTSHKVTRYCFEHAPEGCTVVSVGKSRQPQQNSSTNSTSSHFDETRQWSSSWSNRSDRSGEAICPVQNSIVSKRKLQKPSPRTVLKALEGESNSTEDETSSFGDSTISGSPPRAPKFKRQFCIMKQMSPYKLISSVFSSPLRKRKASLSNKEKEEPLIKCFSYEEISNATNNFHPDNIVGRGGYSEVYRGDLSDGRAVAVKRLAKDNKDENKEKEFLTELGIIGHVYHPNTANLVGCCIENGLYLIFNFSENGTLSSALHGKTSASLDWPVRYKIAIGVARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQISDFGLAKWLPNKWTHHAVIPIEGTFGYLAPEYFMHGIVDEKTDVFAFGILLLEIITGRRPVDSSKQNLLLWAKPLMESGNITELADPKLKGKYDEDQMHRSVLTACYCVRQSAVWRPSMSEVLELLVTGHDSDVAKSWRMPKFTSDELDDYSMVFGYEVPTDISLEEFL >EOY32057 pep chromosome:Theobroma_cacao_20110822:9:19723632:19727925:1 gene:TCM_039493 transcript:EOY32057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 28 MQAPYQASMEVLKKREREEGRRRQAVGKWEKKLLEEGYLEAKLIHSLKITKMPLTIYLTFIYAILYLLHTNLRLDNRPIRLKKWPHLPLRFRHDGTFKILQVADMHFGTGVLTSCRDVLPSEFTYCSDLNTTRFLKTLIQLEKPDFIAFTGDNIFGPSTTDAAESLLGAFGPVMESGLPWAAVLGNHDQESTMTREELMSFISLLDYSVSQTNPPSIDIDGFGNYNLSVYGAPGSHLANSSILNLFFLDSGDRETVQGVRTYGWIKESQLHWLRSVSQGLQGQNQEFNYITENLPVATSPALAFFHIPIPEVRQLYYQKIIGQFREGVACSSVNSGVLKTLVSIKDVKAVFLGHDHTNDFCGNLEGIWFCYGGGFGYHGYGRAGLPRRARIILAELRKGDMAWMGVERIKTWKRLDDENLSKIDEQVLWEFQPSR >EOY30478 pep chromosome:Theobroma_cacao_20110822:9:4734571:4737479:1 gene:TCM_037675 transcript:EOY30478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Atypical CYS HIS rich thioredoxin 2, putative isoform 1 MADVVRLSASSFHSSSSSLLTSFASTLNSRQPGLPPNFNLRRKSCSLPSSSSSVAGLSRLPLTSRKQLLSFKVHATITEMNKPKWWEKNAGPNMLDIHSTEEFLSALSEAGDRLVIVEFYGTWCASCRALFPKLCRTAQEHPEILFLKVNFDENKPMCKSLNVKVLPYFHFYHGAHG >EOY30477 pep chromosome:Theobroma_cacao_20110822:9:4734823:4738415:1 gene:TCM_037675 transcript:EOY30477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Atypical CYS HIS rich thioredoxin 2, putative isoform 1 MADVVRLSASSFHSSSSSLLTSFASTLNSRQPGLPPNFNLRRKSCSLPSSSSSVAGLSRLPLTSRKQLLSFKVHATITEMNKPKWWEKNAGPNMLDIHSTEEFLSALSEAGDRLVIVEFYGTWCASCRALFPKLCRTAQEHPEILFLKVNFDENKPMCKSLNVKVLPYFHFYHGAHGQLESFSCSLAKFQKIKDAIQMHSQSHRNVGPPKGLGDLNLESVSAPIEKPAVST >EOY31422 pep chromosome:Theobroma_cacao_20110822:9:8746978:8748335:-1 gene:TCM_038363 transcript:EOY31422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant natriuretic peptide A MSKQQPWPFIILLLTTLFHLSYGDVGAAAHYSPPYIPTACFGNDASQFPSSNLFAAAGEGIWDNGASCGRQYLVRCISAATPRTCKPGQTIQVRIVDRAETSVSRPSSNGATIVLSTTAFGAIANPSAASVNIEFQQV >EOY32991 pep chromosome:Theobroma_cacao_20110822:9:34776828:34779635:1 gene:TCM_040997 transcript:EOY32991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 18 MIKQRGYTSTSVLQFFTISCLLLLSFSGSVTAYKNYTVGDSLGWYDTLEKPAVNYQKWAAAKNFSLGDFLIFNTDNNHSVVQTYNFTIYKLCDDNDALQNDTIEWSAANPSATVPYPVSVPVPLVKEGMNYFFSSDYDGEQCQNGQQFKINVTHGQGLPKSLEEPDDAPAPNSPDFGSDDSAPDTIVPANFNHPIEEESDKDEDSGSFSLLFNSLDRKLNGFLILLGIFCIF >EOY32789 pep chromosome:Theobroma_cacao_20110822:9:33523221:33537571:-1 gene:TCM_040804 transcript:EOY32789 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MSSWKSLLLRIGDKCPEYSSSSEFKDHIETCYGALRRELEHSSNDILPFLLQCAEQLPHKIPLYGTVVGLLNLEDEDFVKTIVENTQTSFQEALDSGNCDRIRILMRFLTVLMCSKVLQPASLVVVFETLLSSAATTVDEEKGNPSWQACADFYVTCILSCLPWGGAELMEQVPEEIERVMVGIEAYLSIRRHTSDSGLSFFEDDEPGGDLVEKDFLEDLWERIQVLSSNGWKVESVPRPHLSFEAQLVAGKSHEFGPISCPEQPDPPSTISAVAYGKQKHEAELKYPQRTRRLNIFPASKTEDLQPIDRFVVEEYLLDVLLFLNGCRKECASFMVGLPVPFRYEYLMAETIFSQLLLLPQPPFRPIYYTLVIMDLCKALPGAFPAVVAGAVRALFDKIADLDMECRTRLILWFSHHLSNFQFIWPWEEWAYVLDLPNWAPQRVFVQEVLEREVRLSYWDKIKQILRNAVSKTYNRITDLRKEISSLKKGVISAEEAASKAKAALEAAESKLTLVEGEPVLGENPARLKSLKTQAEKAKEEEVSIHDSLQAKEALLARALDENEVLFLSLYKNFSNVLVERLPDASRAGTLQALKSIHGDSMAVDLEESSTMEVDDENGRPKKSQPNGSKATNIYNVGEKEQWCLSTLGYVKAFSRQYASEIWPHIEKLDVEVLTEDAHPLFRKAVYSGLCRLSNEM >EOY32788 pep chromosome:Theobroma_cacao_20110822:9:33524656:33537571:-1 gene:TCM_040804 transcript:EOY32788 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MSSWKSLLLRIGDKCPEYSSSSEFKDHIETCYGALRRELEHSSNDILPFLLQCAEQLPHKIPLYGTVVGLLNLEDEDFVKTIVENTQTSFQEALDSGNCDRIRILMRFLTVLMCSKVLQPASLVVVFETLLSSAATTVDEEKGNPSWQACADFYVTCILSCLPWGGAELMEQVPEEIERVMVGIEAYLSIRRHTSDSGLSFFEDDEPGGDLVEKDFLEDLWERIQVLSSNGWKVESVPRPHLSFEAQLVAGKSHEFGPISCPEQPDPPSTISAVAYGKQKHEAELKYPQRTRRLNIFPASKTEDLQPIDRFVVEEYLLDVLLFLNGCRKECASFMVGLPVPFRYEYLMAETIFSQLLLLPQPPFRPIYYTLVIMDLCKALPGAFPAVVAGAVRALFDKIADLDMECRTRLILWFSHHLSNFQFIWPWEEWAYVLDLPNWAPQRVFVQEVLEREVRLSYWDKIKQSIENAPALEELLPPKGGPNFKYSVEDGGERTEQHAISAEISNKVKGRQTAHEIISLIEENIYPAHGLEITLSVVVQTLLDIGSKSFTHLITVLERYGQVIAKICPDQDKQVMLIAEVSSYWKNNAQMTSIAIDRMMGYRLISNLAIVRWVFSPENIGQFHISDRPWEILRNAVSKTYNRITDLRKEISSLKKGVISAEEAASKAKAALEAAESKLTLVEGEPVLGENPARLKSLKTQAEKAKEEEVSIHDSLQAKEALLARALDENEVLFLSLYKNFSNVLVERLPDASRAGTLQALKSIHGDSMAVDLEESSTMEVDDENGRPKKSQPNGSKATNIYNVGEKEQWCLSTLGYVKAFSRQYASESNSTRPSFWLLVGISLLTP >EOY32787 pep chromosome:Theobroma_cacao_20110822:9:33523208:33537583:-1 gene:TCM_040804 transcript:EOY32787 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MSSWKSLLLRIGDKCPEYSSSSEFKDHIETCYGALRRELEHSSNDILPFLLQCAEQLPHKIPLYGTVVGLLNLEDEDFVKTIVENTQTSFQEALDSGNCDRIRILMRFLTVLMCSKVLQPASLVVVFETLLSSAATTVDEEKGNPSWQACADFYVTCILSCLPWGGAELMEQVPEEIERVMVGIEAYLSIRRHTSDSGLSFFEDDEPGGDLVEKDFLEDLWERIQVLSSNGWKVESVPRPHLSFEAQLVAGKSHEFGPISCPEQPDPPSTISAVAYGKQKHEAELKYPQRTRRLNIFPASKTEDLQPIDRFVVEEYLLDVLLFLNGCRKECASFMVGLPVPFRYEYLMAETIFSQLLLLPQPPFRPIYYTLVIMDLCKALPGAFPAVVAGAVRALFDKIADLDMECRTRLILWFSHHLSNFQFIWPWEEWAYVLDLPNWAPQRVFVQEVLEREVRLSYWDKIKQSIENAPALEELLPPKGGPNFKYSVEDGGERTEQHAISAEISNKVKGRQTAHEIISLIEENIYPAHGLEITLSVVVQTLLDIGSKSFTHLITVLERYGQVIAKICPDQDKQVMLIAEVSSYWKNNAQMTSIAIDRMMGYRLISNLAIVRWVFSPENIGQFHISDRPWEILRNAVSKTYNRITDLRKEISSLKKGVISAEEAASKAKAALEAAESKLTLVEGEPVLGENPARLKSLKTQAEKAKEEEVSIHDSLQAKEALLARALDENEVLFLSLYKNFSNVLVERLPDASRAGTLQALKSIHGDSMAVDLEESSTMEVDDENGRPKKSQPNGSKATNIYNVGEKEQWCLSTLGYVKAFSRQYASEIWPHIEKLDVEVLTEDAHPLFRKAVYSGLCRLSNEM >EOY30601 pep chromosome:Theobroma_cacao_20110822:9:5139096:5140006:-1 gene:TCM_037752 transcript:EOY30601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferases, transferring glycosyl groups, putative isoform 1 AAIRLLFLINGKFSTISYFPARRPPSRCPRQPQIPPRRTHLHHLRLRHSSRHCSSRLRHQLLCYVYILQRRAALDESLPQESQRSTSIQGLLEKDSIAFVMMQGEFAE >EOY30600 pep chromosome:Theobroma_cacao_20110822:9:5138963:5140524:-1 gene:TCM_037752 transcript:EOY30600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferases, transferring glycosyl groups, putative isoform 1 MENSQRSHTFPLEDRRADALGNLKSLPDELICTILDYVTPRDIARLACVISFCVMYIFCNEEQLWMSLCLKKVNDPLQYKVSWKKTALHLENLPNEYVEYCRKPLQFGYSVCDGLFSGFTMMGGLSSVVPPRDASGLTEML >EOY31490 pep chromosome:Theobroma_cacao_20110822:9:9116703:9117145:1 gene:TCM_038417 transcript:EOY31490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFNVYIAFGVLVIMTSGAVMARDVDPIKANNCETKMTTHCVIEVFASIFKTEIVSNNCCHELTRLGLLCHNALVKKTLQNPLFKNNDTSVILSRAAQVWNKCTLIGEDVSPTPSP >EOY32265 pep chromosome:Theobroma_cacao_20110822:9:25469558:25475611:-1 gene:TCM_039964 transcript:EOY32265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras GTPase-activating-like protein rng2 isoform 1 MMVCCCSQNLRDGIHACIRDYDRLQYVAVLLIYIQIGCALIGSLGALYNGVLLIDLGIALFALVAIESSSQSLGRTYAVLLFCAILLDISWFILFSHDIWNISSESESHGMLFIFSVRLTLAMEIIGFFVRLWSSLLWIQIYRLGVSYVDSGAGPRDPDFDLRNSFLNPATPSNSVLRQCSHNDSDDVLGGAIYDPAYYSSLFEDRQQHNRNSFLGHNDAISGNESASGADASQLKFSPVRTLQAIDEENATKVPQTV >EOY32266 pep chromosome:Theobroma_cacao_20110822:9:25473996:25475608:-1 gene:TCM_039964 transcript:EOY32266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras GTPase-activating-like protein rng2 isoform 1 MMVCCCSQNLRDGIHACIRDYDRLQYVAVLLIYIQIGCALIGSLGALYNGVLLIDLGIALFALVAIESSSQSLGRTYAVLLFCAILLDISWFILFSHDIWNISSESESHGMLFIFSVRLTLAMEIIGFFVRLWSSLLWIQIYRLGVSYVDSGAGPRDPDFDLRNSFLNPATPSNSVLRQCSHNDSDDVLGGAIYDPAYYSSLFEDRQQHNRNSFLGHNDAISGNESASGADASQLKFSPVRTLQAIDGYALLFFSFLSSVLNFLLE >EOY30563 pep chromosome:Theobroma_cacao_20110822:9:4976655:4979260:-1 gene:TCM_037726 transcript:EOY30563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 isoform 1 KFPPKEHTLYLEPYFKHRSFDYRSEFPILAESPFRKRIVVAMSKKRGLSLEEKREKMLQIFYESQDFFLLKELEKLGPKKGVITQSVKDVVQSLVDDDLVSKDKIGTSVYFWSLPSCAGNQLRNVRRKLESDRQSSKKRFTELVDQCNELKKGREESEEREEALAELKAIELKYNELKEEMGQYADNDPAAFEAMSLVLILH >EOY30564 pep chromosome:Theobroma_cacao_20110822:9:4976655:4979260:-1 gene:TCM_037726 transcript:EOY30564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 isoform 1 KFPPKEHTLYLEPYFKHRSFDYRSEFPILAESPFRKRIVVAMSKKRGLSLEEKREKMLQIFYESQDFFLLKELEKLGPKKGVITQSVKDVVQSLVDDDLVSKDKIGTSVYFWSLPSCAGNQLRNVRRKLESDRQSSKKRFTELVDQCNELKKGREESEEREEALAELKAIELKYNELKEEMGQYADNDPAAFEAMKKAIEVAHGAANRWTGSYLSHNGFPFLFLLFMSHIDVYTCAFTVMHNVCWSS >EOY30562 pep chromosome:Theobroma_cacao_20110822:9:4976579:4979438:-1 gene:TCM_037726 transcript:EOY30562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 isoform 1 MNNQFIELYYSDKFPPKEHTLYLEPYFKHRSFDYRSEFPILAESPFRKRIVVAMSKKRGLSLEEKREKMLQIFYESQDFFLLKELEKLGPKKGVITQSVKDVVQSLVDDDLVSKDKIGTSVYFWSLPSCAGNQLRNVRRKLESDRQSSKKRFTELVDQCNELKKGREESEEREEALAELKAIELKYNELKEEMGQYADNDPAAFEAMKKAIEVAHGAANRWTDNIFTLRQWCSNNFPEAKEQLEHMYKEVGITDDFDYVELSQAIPLRAVSDQMLERQFSNDIM >EOY29626 pep chromosome:Theobroma_cacao_20110822:9:1796241:1799029:1 gene:TCM_047086 transcript:EOY29626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought sensitive 1, putative MASQNLTEYERKRLENIKRNDEMMAALKIHSKAATLSATAKRQRAKTYKVSPVKKPKAEIPIVIRRSLRTRGMPPDSKGLADDFCDNFDKNPKSVSPSKPHSPRVLGPISMSDAFSGDEMASNKMLIETILSISKKTQVGISVVEEFDDVKEEILSDIDEKGKSGSCKIEVSECPVKVEEVKEEFEGGKSESVSCGLVKGMVKNEYFDGSVNIEKSDPWLESLDLKPENVARLLPGRIIVVKFFPCSSMRMIAAGNKFGNVAFWNVDCEDEKEDGIYLYRPHTGPISGILIPQYSMSKIFTSGYDGFLRMMDAEKEVFDLVHYGDDIFSISQQPDNFTSLYFGEGRGGLNIWDIRTGKSSKNWMLHEDRINTIDFNSQNPNIMATSSTDGTACIWDLRSMSAHKPKTLKTVSHSRAVHSAYFSPSGSSLATTSLDNKVGIISGLNFEDISMIYHDNHTGRWLSSFRAIWGWDDSYIFIGNMKRGVDVIFPAQRRVVMTLQSPHMSAIPCRFHSHPSEVGMLAGATSGGQVYIWTPR >EOY29628 pep chromosome:Theobroma_cacao_20110822:9:1803262:1808572:-1 gene:TCM_047088 transcript:EOY29628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein MSGWDEGAVYYSNQAQFTEASSEAEAAAASSTASRHSVLLKFKEFIRNFEKEKNVFPYRESLVNNPKFLVVHLEDLLSFDSDLPSLLRSSPSDYLPLFETAAAEVLAGLKMKVAGDSGEMVEPQTGEVQILLTSKEDPVSMRSLGAQYISKLVKISGITIAASRVKAKATYVQLICKNCKSPRTVPCRPGLGGAIVPRSCDHVPQPGEEPCPIDPWLVVPDRSKYVDQQTLKLQENPEDVPTGELPRNMLLSVDRHLVQTIVPGTRLTIMGIYSIFQAANSSTNHKGAVAIRQPYIRVVGMEETNQASSRGPATFTQEEVEEFKKFASNQDTYEVICSKIAPSIFGHDDVKKAVACLLFGGARKNLPDGVKLRGDINVLLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVIRDGSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDIRMYEQDKIIASHIIKVHASAETASSDSRASKEENWLKRYIQYCRAECHPRLSEAACAKLQSDYVDIRRAMRQQSNETGEAAAIPITVRQLEAIIRLSEALAKMKLSHVATEGDVAEALRLFKVSTMDAARSGINQQINITPDMANDIKQAENQIKRRLGIGNRISERRLIDDLIRMGMNESMVRRAILIMHQRGEVEYQRERHIIVRKV >EOY33229 pep chromosome:Theobroma_cacao_20110822:9:36004854:36006278:1 gene:TCM_041182 transcript:EOY33229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTSSALASQSSKGTKRKWNFHEDVALVTALIDLHNIGKYNADTGFRGGYLIELENMLATKLPDANLKAKPHIESRIKTLKKEWAIIYDMMQGTHTSGFGWDDQRNMIVADDSVWEAYIQSHKEAAPFRRKSFPFFNELSIIYARDRATGKDAQTAADILEEMQDCNDTINEEIEGENLAGYNFEDEDFSNIQPQTSAPRSDTTSTRKRKRLNETGDPITSESIIAAATILGENIKEAGIEFSRSVGAEVNIQQKAQELDGILSQVEGLTAMERVLASIKLPESPTLMFVFFSIDPDRRLEWLRTFLADR >EOY31860 pep chromosome:Theobroma_cacao_20110822:9:16677446:16707605:1 gene:TCM_039176 transcript:EOY31860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MIRGGRSFVSSAPAFSNDARKLLVCTANTVSIFSTATGLQITSLEGHTALVTSVIVVPASNPAAKVLCYCWTASLDGTIRYWDFSVPELMKTVDIRMPIFSMVIPSFLDVPAENNEKRRDLFAYVSAEDTKETKEKPKGLRRQIRKCNLSKSRLVGRLILGETKKPEVLTVSPSGKFFGIQNKCKLHVWKVPDPGSEHAAVTKITLHHTKKITVIAFHPTQRIVAAGDVTGRILIWRGFGNRTFAIGNRVVNERLIDVQEDKPGVRDNDDADSCSTWHWHPTVVNVLSFSSDGAYLYSGGKEGVLVVWQLDTGKKKFLPRIGSPLLYFVDSLDPTLSSISCADNQIHLLKMPSMGILKTISGIKARCSYPEMYKGLGNGIAFDQTAGLVALRTENYCIQFYSLFDDRGISEVQVCERNHQPGDDVTVIVTAVALSLDGSMMSTAEMKLAEEGIGGLVSLKFWASGSQNKEFSLSTIVYEPHRDAGISAVAFQPSGDMAVSSSYGGDFKVWICSNESPQNDQMRQNSSWTCHAIGSYKKKPMTAAAFSADGSVLAVAAETLITLWNPYTNVLLAVLGETLTPIVTLSFVGRSDNLVAASRGSKPQLSVWSMSKLSLSWSYKLRTEAVASAVDLSYFAALALLPESSNETTFEERDGVIFLYNVTDPVPTAIWSVRKAKGGALGFLQVNPSSVEEISIDGKTPQVMLAYMNGDHEYVLFDPYSKDVHEVSMSRREGLAALEQKGQAGQFGYASIYGELLEFDTKRTQTSWVPSFPSARPWESIFTGPSHNLPPLTKLCSGFLESLLEKRTAAVE >EOY31621 pep chromosome:Theobroma_cacao_20110822:9:10537712:10539846:1 gene:TCM_038593 transcript:EOY31621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNEQPNKCQQSGDMFIQPNGQPNKFQQPGDMIEGSGEHSPTDGQFTSQTKNINAHHRLISSEACSRERMEGQADIPLTQEFASGKCMHNKELNDVPSFSSFSETKFTKIEVHPRIRCRRHSDTEVSIDKILSFASDKAVDMGENDEDSDEDAISISMDIANREIVHMGAMERYESKGVLSPLDGRAPRMEMTIDKVWDNFEHIEISNEQLWSNNDELQRDGYTNPSRCKAQ >EOY33356 pep chromosome:Theobroma_cacao_20110822:9:37064486:37067837:1 gene:TCM_041336 transcript:EOY33356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQIGLNPVAIIKIPKGMRQRKAYADINVQEKPDMQVYSDLCATIDGVNMPSRSGNDFLSWRKLWSLTLPRKIIIFIWRVLNGCLPTREALIRRRINIDIGCPLCDAQPEPDFHILCGCLFARVVWLVSKWAFRNIAQDFSSLGAWIMALLQHVDKADVEEILSIMWARWKSRNAAIFKHEKKAPREVVELGTDMCFQYQQAMGGGRPNLLTTAPDAKRWRLTVGIKLKCDASIYKLDGARWAGAGFILRNSNGDFLLAGGVKFDYLSNVAMAELKSLLWALLICSKEYVLVTQIEIDCKQVVNWIKSKQFNGEMGHVIEDCCMVMNQINCSSVEHCKRGGKWDGS >EOY30279 pep chromosome:Theobroma_cacao_20110822:9:4051686:4052354:1 gene:TCM_037545 transcript:EOY30279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSCLLSSSSSIVAYMLLLSTPNKIEHMRQLGFVDITLEGESPIRSTSSLATSEKAQVTYLEISSLVGANGVLWIQRLNGSFLVKVKFRVYPIACKFQANLLTAVTYGN >EOY30017 pep chromosome:Theobroma_cacao_20110822:9:3065904:3068848:-1 gene:TCM_037368 transcript:EOY30017 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MGEAAEIFYPNGFSKICNGTTKQNLDETHQFDSSWFEETIDDDLKWSFALKSVLYKGASEYQDIALLDTKRFGKVLVIDGKMQSAEVDEFIYHECLIHPPLSFHSNPKTVFIMGGGEGSAAREVLRHKSIEKLVMCDIDEEVVSFCRRFLTVNRDAFCNKKLNLVINDAKAELEKRNEKYDIIVGDLADPVEGGPCYQLYTKSFYAKILKPKLNENGIFVTQAGPAGIFTHKEVFSSIYNTIRQVFKYVLAYTAHVPSFADTWGWVMVWKYNVKCVRFMD >EOY30016 pep chromosome:Theobroma_cacao_20110822:9:3065805:3068897:-1 gene:TCM_037368 transcript:EOY30016 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MGEAAEIFYPNGFSKICNGTTKQNLDETHQFDSSWFEETIDDDLKWSFALKSVLYKGASEYQDIALLDTKRFGKVLVIDGKMQSAEVDEFIYHECLIHPPLSFHSNPKTVFIMGGGEGSAAREVLRHKSIEKLVMCDIDEEVVSFCRRFLTVNRDAFCNKKLNLVINDAKAELEKRNEKYDIIVGDLADPVEGGPCYQLYTKSFYAKILKPKLNENGIFVTQAGPAGIFTHKEVFSSIYNTIRQVFKYVLAYTAHVPSFADTWGWVMASDQPFSIGAEEIDRRIAERVDGELLYLNGASFLSSATMNKTVYLSLLNETHVYTEEDARFIPGHGLAHRL >EOY30166 pep chromosome:Theobroma_cacao_20110822:9:3616731:3622518:1 gene:TCM_037464 transcript:EOY30166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 4 isoform 2 MAERVITRVHSLRERLDETLTAHRNEILALLARIEGKGKGILQHHQIILEFEAIPEENRKKLADGAFFEILRASQEAIVFPPWVALAVRPRPGVWEYIRVNVHALVVEELTVAEYLHFKEELVDGSSNGNFVLELDFEPFNASFPRPTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLRVHCHKGKNMMLNDRIQNLNSLQHVLRKAEEYLSTLPAGTPYAEFEHKFQEIGLERGWGDTAERVLEMIQLLLDLLEAPDPCTLEKFLGRIPMVFNVVILTPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLHRIKQQGLNITPRILIITRLLPDAVGTTCGQRLEKVYGTEYSDILRIPFRTEQGIVRRWISRFEVWPYLETYTEDVAHEISKELQGKPDLIIGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLEDKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFSYTEEKRRLKHFKPEIEDLLYSKVENEEHLCVLNDRTKPILFTMARLDRVKNLTGLVEWYGKNAKLRELVNLVVVGGDRRKESKDLEEKAEMKKMFEMIEKYKLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYHGDQAAEILVDFFEKCKADPSYWNKISEGGLKRIQEKYTWQIYSERLLTLTGVYGFWKHVSNLDRRESRRYLEMFYALKYRKLAESVPLAVEE >EOY30165 pep chromosome:Theobroma_cacao_20110822:9:3617395:3622520:1 gene:TCM_037464 transcript:EOY30165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 4 isoform 2 MNVGSIFTVSDGASPSINFLKTLASPIPFSFISLNPSFRYFRLVQRMAERVITRVHSLRERLDETLTAHRNEILALLARIEGKGKGILQHHQIILEFEAIPEENRKKLADGAFFEILRASQEAIVFPPWVALAVRPRPGVWEYIRVNVHALVVEELTVAEYLHFKEELVDGSSNGNFVLELDFEPFNASFPRPTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLRVHCHKGKNMMLNDRIQNLNSLQHVLRKAEEYLSTLPAGTPYAEFEHKFQEIGLERGWGDTAERVLEMIQLLLDLLEAPDPCTLEKFLGRIPMVFNVVILTPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLHRIKQQGLNITPRILIITRLLPDAVGTTCGQRLEKVYGTEYSDILRIPFRTEQGIVRRWISRFEVWPYLETYTEDVAHEISKELQGKPDLIIGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLEDKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFSYTEEKRRLKHFKPEIEDLLYSKVENEEHLCVLNDRTKPILFTMARLDRVKNLTGLVEWYGKNAKLRELVNLVVVGGDRRKESKDLEEKAEMKKMFEMIEKYKLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYHGDQAAEILVDFFEKCKADPSYWNKISEGGLKRIQEKYTWQIYSERLLTLTGVYGFWKHVSNLDRRESRRYLEMFYALKYRKLAESVPLAVEE >EOY32060 pep chromosome:Theobroma_cacao_20110822:9:19795376:19798538:-1 gene:TCM_039500 transcript:EOY32060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGTSDTYTDSLLSGFDQIYNVGITTLFENAGFAYVNTFPIPKQSSSHYQMSSSSSVLRFVDIVFWVIQDFKRGIQEIQFLKSNWNAEIKRREALEITCHSLKQDNARLTKLYTESLRNLAEQLENHTKCQRFKEELLRRNDEYHAKEEEYKKAMELLKRDCVKKIGDLEAKVRGLLLEKATNEATTNHLRKDLATQRGHAQVLSKRLDQLHSDEESKYLPEILDLKDCLLIEQEEKNDLNKKLQEMEKELLISRTKLAEQQQDSASSRQVETLKLKIMKLRKENEILKRKLSSLDDG >EOY30875 pep chromosome:Theobroma_cacao_20110822:9:6085726:6088595:-1 gene:TCM_037929 transcript:EOY30875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase, putative isoform 1 MACNPYHHSHKIRHSISSLRKVKSAHALPNSSITAFPFRNSPFHPIKFSNFRSLSASSTAAGTSTPTTFSWDDVVRVSQPEYNPHDSSGLRGFFDKIKACNRGSEMQSEFLPFVIEDQIVGYIHGSFAENLRNFQNVFILSKDNCDGGHVTLHRMLKSAEDRSRAVGEVIKCLAEKELIPGIRNELYPVTSSFGAPVFFSLERAAAPYFGIKAYGVHMNGYVEKDGQKFLWIGKRSPVKPTFPGMLDHLVAGGLPHGIACGENLMKECEEEAGIPISLSSRAIPVGAVSYSDIDGHRFKRDVLFCYDLKLPEGFVPNNQGKYMEASLLSVENRTRVQILSNW >EOY30874 pep chromosome:Theobroma_cacao_20110822:9:6085111:6088616:-1 gene:TCM_037929 transcript:EOY30874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase, putative isoform 1 MACNPYHHSHKIRHSISSLRKVKSAHALPNSSITAFPFRNSPFHPIKFSNFRSLSASSTAAGTSTPTTFSWDDVVRVSQPEYNPHDSSGLRGFFDKIKACNRGSEMQSEFLPFVIEDQIVGYIHGSFAENLRNFQNVFILSKDNCDGGHVTLHRMLKSAEDRSRAVGEVIKCLAEKELIPGIRNELYPVTSSFGAPVFFSLERAAAPYFGIKAYGVHMNGYVEKDGQKFLWIGKRSPVKPTFPGMLDHLVAGGLPHGIACGENLMKECEEEAGIPISLSSRAIPVGAVSYSDIDGHRFKRDVLFCYDLKLPEGFVPNNQDGEVEGFKLIPVAHVANVIKRTHFFKSNCSIVIIDFLVRHGFVSPENFGYLDLLQSLKSGDCS >EOY31640 pep chromosome:Theobroma_cacao_20110822:9:10783272:10784322:-1 gene:TCM_038621 transcript:EOY31640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANCFGGLLYLLCFFLWVQSEVSSETRGIEENSSIQVGVILDLKSPLGAMAEICISMAVSDFYDDHSGYRTRLELHTRDANDTVAIASSG >EOY33235 pep chromosome:Theobroma_cacao_20110822:9:36044015:36045026:-1 gene:TCM_041188 transcript:EOY33235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRQVLIRSPPLTRKQPFLLSEDQKTARKGKKFGEVAGGTAAECAAVCCCCPCTVLELLVLGLYRVPTGLYRKAWKRRKRQRLTKKNQGLLGQSKGGPTREELEAELDRMVGKVGQDGNDESKGAVDLEKEMWDRFYGTGFWRSPSQRDT >EOY31495 pep chromosome:Theobroma_cacao_20110822:9:9141816:9147464:-1 gene:TCM_038422 transcript:EOY31495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein MVSLFHYKERMGFCKFSKFSVLFLISILFVATAEENARIGKDRVSLLLFMSGIVLDPEPALEDWNSSVHVCNWTGVQCNQARDQVVQLDLSGRSLKGTISPALANLSSLAVLDLSKNFFEGHIPGELGSLVQLKQLSLSWNLLEGNIPSELGFLHQLVYLDLANNGLVGDIPAPLFCNGSYSLQYIDLSNNSLSGRIPLKNECALHELRFLLLWSNRLVGPVPQALSNSSRLQWLDLESNMLNGELPSDMVRQMPQLQFLYLSYNEFVSHDGNTNLEPFFASLLNSSNLQELELAGNNLGGEIPPIIGYLSTNLVQIHLDDNLIYGSIPPRISNLMNLTLLNLSSNLLNGTIPHELCRMEKLERVYLSNNSLSGEIPAALGNITHLGLLDLSKNKLSGSIPDSFANLSQLRRLLLFGNQLSGTIPPSLGKCVNLEILDLSHNKLSGIIPGEVAGLRSLKLYVNLSSNHLQGPLPLQLSKMDMVLGIDLSSNNLSGTIPSQLGSCIALEYLNLSGNLLEGELPAFIGQLPYLKELDVALNQLTGDIPLTFQASSTLKEMNFSFNKFHGNISGKGAFSLLTVDSFLGNDGLCGSIKGMPNCRRKHPSHLVIILPIIMSLFATPLLFMFGYPLVLKSKFRSRLAVFNGGDFEDEEKERKELKYPRISYRQLIEATGGFRASSLIGSGRFGHVYKGTLGDNTRIAVKVLDTKTAGEISGSFKRECEVLKRTRHRNLIRIITICSKPDFKALVLPLMPNGSLERHLYPSHGLSYGLNLSQLVNICSDVAEGVAYLHHHSPVKVVHCDLKPSNILLDEDMTALVTDFGIARLVRGVDESISANDSISYSSADGLLCGSVGYIAPEYGMGKRASTQGDVYSYGVLLLEIVSGMRPTDHEGTSLHEWVKSHYPHKLEAIVEQALIRCSPAEMPTKYDEMWRDVILELIELGLMCTQYNPLTRPSMLDVALEMGRLKQYLANPASMLTGEASSTADAF >EOY30081 pep chromosome:Theobroma_cacao_20110822:9:3287276:3297422:1 gene:TCM_037410 transcript:EOY30081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease III, putative isoform 1 MNYFKFSNHLEKLDFVERIVTAKVIERFVKKVTYLSHFTVSYLTGSNTSVDSLAPKMQKETLDSFRSGKVNLLFATDVVEEGIHVPNCSCVIRFDLPKTVRSYVQSRGRARLSNSQFIMMLERGNVQQRNQLYDIIRSEYSVTTTAINRDPDLCLLKNPTVKETNVYIVDATGASVTADSAVSLLQRYCEKLPGDKYYVPKPSFKLTFSGGLYECKLLLPVNAALQTIVGPLSRNSHLAKQLVCLEACKQLHQMGALDDHLLPTIEEPSQNHCVAKGKDSGSGAGTTKRKELHGTTCIHALSGSWGEKPDAAVFFAYKFNFSCNIISVVYSGFVLLIESKLADDVGNIELDLYLIRKMVKTCVSSCGQVHLDADQMMKAKRFQEFFFNGLFGRLFVGSKSSGTRREFLLQNKTSSLWSPSNMYLLLPLEDSLSNELRINWPAVTACTFAVEFLNKNSLLGTEQSDGDGGNLSLNRTGSSVTECKGTNIIHFANRSVDVNNLRNMVVVAIHTGRIYSILELVSNTSADSSFNEIVDSVSSEFATFSEYFHKKYGIVLKHPGQPLLLLKQSHNPHNLLVNFNDEGVSAKASQAGLVNEKPRNHVHMPPELLLVLDVPIDVLKAFYLLPSLMHRLESLMLASQLREEINFHSSNFDIPSSLILEALTTLRCCESFSMERLELLGDSVLKYAVSCHLFLRYPKNHEGQLSAKRSWAVCNSTLHKLGTDRRLQGYIRDSAFDPRRWVAPGQLSLRPVPCKCGVDSLEVPLDKKFQTEDPKVKVGKSCDRGHRWMCSKTISDCVEALIGAYYISGGLIAALHMMKWLGIDAEVDPALVVEAIRCASVRTYVPTDEIHIIELKMGYNFSSKFFLQEALTHASVGEFYCYQRLEFLGDSVLDLLITQYLYHNHTDIDPGELTDLRSASVNNESFAQVAVRHDLHKHLQHCSTLLSNQISEYVQSFPESHDTIRSGPGIKGPKALGDLVESIVGAILIDTNLNLNEVWRIVEPLLSPIVTPDKLELPPLRELNELCDSLGYFIKEKCIYNGEIVHAKLQLQLDDILLIGEGFDRIRKVAKGKAASYLLKELENRGISRKRRKQGCADSTQTTDDDSLEPTNHKRQRRAKIQLLEDSTTVPSPTHDSSKRACSTTPPTPVIATINTKKGGPRTALFELCKKLLWPMPTFTATEHKSSTLVEIGEGTERKKGFISFVSNITLNIPRFGTIECTGDARADKKSSLDSAALVMLYELEQRGKLIIGES >EOY30080 pep chromosome:Theobroma_cacao_20110822:9:3284849:3296809:1 gene:TCM_037410 transcript:EOY30080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease III, putative isoform 1 MMVLDNNDPAKEDPSSPSNPKDLNPRGYQLEVYEVAKKRNIIAVLDTGGGKTMIAVMLINDFGQAIRSFDNKKLIIFLAPTVHLVNQQFEYIKLHTNLEVEQYYGAKGVDEWNAGCWKKEIKEHDVLVMTPQILLDALRKAFLSLEMVSLMIIDECHRATGNHPYTKIMKEFYHKSNNKPKIFGMTASPVISKGVSSSSDCEAQISELESVLDCLIYTIQDRTEMEAYVPSAKESCRFYDPTQFSSLDLKAMAEAYWLKIDASLSKLQGSPQTSFKDMDDKLKTLRKRLSNDHAKILHCLDNLGLICAYEAVKVCLENIPDAKEECETYKEIILQCKNFLEEVLRIIGESLPLGDENFLNSGFDYFKAVDLGYISPKLHELLQVFQSFGETREVLCLIFVERIVTAKVIERFVKKVTYLSHFTVSYLTGSNTSVDSLAPKMQKETLDSFRSGKVNLLFATDVVEEGIHVPNCSCVIRFDLPKTVRSYVQSRGRARLSNSQFIMMLERGNVQQRNQLYDIIRSEYSVTTTAINRDPDLCLLKNPTVKETNVYIVDATGASVTADSAVSLLQRYCEKLPGDKYYVPKPSFKLTFSGGLYECKLLLPVNAALQTIVGPLSRNSHLAKQLVCLEACKQLHQMGALDDHLLPTIEEPSQNHCVAKGKDSGSGAGTTKRKELHGTTCIHALSGSWGEKPDAAVFFAYKFNFSCNIISVVYSGFVLLIESKLADDVGNIELDLYLIRKMVKTCVSSCGQVHLDADQMMKAKRFQEFFFNGLFGRLFVGSKSSGTRREFLLQNKTSSLWSPSNMYLLLPLEDSLSNELRINWPAVTACTFAVEFLNKNSLLGTEQSDGDGGNLSLNRTGSSVTECKGTNIIHFANRSVDVNNLRNMVVVAIHTGRIYSILELVSNTSADSSFNEIVDSVSSEFATFSEYFHKKYGIVLKHPGQPLLLLKQSHNPHNLLVNFNDEGVSAKASQAGLVNEKPRNHVHMPPELLLVLDVPIDVLKAFYLLPSLMHRLESLMLASQLREEINFHSSNFDIPSSLILEALTTLRCCESFSMERLELLGDSVLKYAVSCHLFLRYPKNHEGQLSAKRSWAVCNSTLHKLGTDRRLQGYIRDSAFDPRRWVAPGQLSLRPVPCKCGVDSLEVPLDKKFQTEDPKVKVGKSCDRGHRWMCSKTISDCVEALIGAYYISGGLIAALHMMKWLGIDAEVDPALVVEAIRCASVRTYVPTDEIHIIELKMGYNFSSKFFLQEALTHASVGEFYCYQRLEFLGDSVLDLLITQYLYHNHTDIDPGELTDLRSASVNNESFAQVAVRHDLHKHLQHCSTLLSNQISEYVQSFPESHDTIRSGPGIKGPKALGDLVESIVGAILIDTNLNLNEVWRIVEPLLSPIVTPDKLELPPLRELNELCDSLGYFIKEKCIYNGEIVHAKLQLQLDDILLIGEGFDRIRKVAKGKAASYLLKELENRGISRKRRKQGCADSTQTTDDDSLEPTNHKRQRRAKIQLLEDSTTVPSPTHDSSKRACSTTPPTPVIATINTKKGGPRTALFELCKKLLWPMPTFTATEHKSSTLVEIGEGTERKKGFISFVSNITLNIPRFGTIECTGDARADKKSSLDSAALVMLYELEQRGKLIIGES >EOY31169 pep chromosome:Theobroma_cacao_20110822:9:7347935:7349643:-1 gene:TCM_038152 transcript:EOY31169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein isoform 3 PSSRDLLLPWQFFVFLLSALFLYNTFFSTKSLLIPFHSTPLHSTIKMDNTKISALLLICMLFISAATPILGCGTCGKPPPKHRKPKGKSPKGPITIPPIVKPPINLPPVTVPPIVKPPVTVPPVTLPPVVKPPINLPPLIPPIVKPPINLPPVTVPPITTPPSGKSCPPPPATATCPIDTLKLGACVDLLGGLVHIVIGDPVVNECCPVLSGLVELEAAVCLCTTLKLKLLNLNIYVPLALQLLITCGKTPPPGYTCSL >EOY31167 pep chromosome:Theobroma_cacao_20110822:9:7348002:7349547:-1 gene:TCM_038152 transcript:EOY31167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein isoform 3 MDNTKISALLLICMLFISAATPILGCGTCGKPPPKHRKPKGKSPKGPITIPPIVKPPINLPPVTVPPIVKPPVTVPPVTLPPVVKPPINLPPLIPPIVKPPINLPPVTVPPITTPPSGKSCPPPPATATCPIDTLKLGACVDLLGGLVHIVIGDPVVNECCPVLSGLVELEAAVCLCTTLKLKLLNLNIYVPLALQLLITCGKTPPPGYTCSL >EOY31168 pep chromosome:Theobroma_cacao_20110822:9:7348863:7349642:-1 gene:TCM_038152 transcript:EOY31168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein isoform 3 PSSRDLLLPWQFFVFLLSALFLYNTFFSTKSLLIPFHSTPLHSTIKMDNTKISALLLICMLFISAATPILGCGTCGKPPPKHRKPKGKSPKGPITIPPIVKPPINLPPVTVPPIVKPPVTVPPVTLPPVVKPPINLPPLIPPIVKPPINLPPVTVPPITTPPSGKSCPPPPATATCPIDTLKLGACVDLLGGLVHIVIGDPVVNECCPVLSGLVELEAAVCLCTTLKLKLLNLNIYVPLALQLLITCGKTPPPGYTCSL >EOY34564 pep chromosome:Theobroma_cacao_20110822:9:41454810:41459600:-1 gene:TCM_042192 transcript:EOY34564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative isoform 1 MDGRWGFKLFKFRMMLMLVLSLFDQNMSFSSASNSEGLALLRFRERVVIDPFGALWNWKENDGEIDPCSWFGVECSDGKVVILNLKDLCLVGTLGPEVGKLGYLKSIILRNNSFSGTVPEEIWELKELEVLDLGYNNFSGPFPSNFGNNLSLTTLLLDNNEFLGSLAPELNEVKKLSEFQVDENQLTNGASRPSCKNGGFPRNIAQPEDVVHWRRLQQVVDTSNAVKANERDSQLSPSPSIAPNHLSSPSESPFSPSVSPSPSSLSPSLSPLESPFSSPAASPSSIFLPPSPSPALAPTPASQPPVDPPVFISEPPQSHGVPTNFPASTPSQIADESSDIKHHMVLVLVASIGGSVFVLISVLGIVLFRSSKVVTVKPWATGLSGQLQKAFVTGAPKLKRSELEAACEDFSNIIGTFSDGTVYKGTLSSGVEIAVTSTAVASREDWSKNLETQFRKKIDTLSKVNHKNFVNLIGYCEENVPFTRMMVFEYAPNGTLFEHLHIQEAEHLDWGTRLRIAMGVAYCLEHMHQLTPPIAHRNLQSCSVYLTEDYAAKISDFSFMNNATAAKVGSATMELLESPSADADSNVYSFGVILFEMITGRIPYSVDNGSLADWASDYLRRDQPLKEMVDPTLKSFQEDELEKLFEVIKSCVHPDPKERPTMREIAAKLKEITAMGPDGATPKLSPLWWAELEILSTEAS >EOY34565 pep chromosome:Theobroma_cacao_20110822:9:41454138:41459191:-1 gene:TCM_042192 transcript:EOY34565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative isoform 1 MDGRWGFKLFKFRMMLMLVLSLFDQNMSFSSASNSEGLALLRFRERVVIDPFGALWNWKENDGEIDPCSWFGVECSDGKVVILNLKDLCLVGTLGPEVGKLGYLKSIILRNNSFSGTVPEEIWELKELEVLDLGYNNFSGPFPSNFGNNLSLTTLLLDNNEFLGSLAPELNEVKKLSEFQVDENQLTNGASRPSCKNGGFPRNIAQPEDVVHWRRLQQVVDTSNAVKANERDSQLSPSPSIAPNHLSSPSESPFSPSVSPSPSSLSPSLSPLESPFSSPAASPSSIFLPPSPSPALAPTPASQPPVDPPVFISEPPQSHGVPTNFPASTPSQIADESSDIKHHMVLVLVASIGGSVFVLISVLGIVLFRSSKVVTVKPWATGLSGQLQKAFVTGAPKLKRSELEAACEDFSNIIGTFSDGTVYKGTLSSGVEIAVTSTAVASREDWSKNLETQFRKKIDTLSKVNHKNFVNLIGYCEENVPFTRMMVFEYAPNGTLFEHLHIQEAEHLDWGTRLRIAMGVAYCLEHMHQLTPPIAHRNLQSCSVYLTEDYAAKISDFSFMNNATAAKVGSATMELLESPSADADSNVYSFGVILFEMITGRIPYSVDNGSLADWASDYLRRDQPLKEMVDPTLKSFQEDELEKLFEVIKSCVHPDPKERPTMREIAAKLKEITAMGPDGATPKLSPLWWAELEILSTEAS >EOY34294 pep chromosome:Theobroma_cacao_20110822:9:40606383:40613303:1 gene:TCM_042017 transcript:EOY34294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630 and DUF632) [Source:Projected from Arabidopsis thaliana (AT2G27090) TAIR;Acc:AT2G27090] MGAAGSKIEEDKALQLCRERKKYVRQALDGRCSLAAAHVAYIETLKSSGTALRKFVEFEAPVESSLYTSTNATPEPLALTEKSLSQFSYSSPSFSQRVDAAETFSPTPSPPTSSHFQANHMKFGFMSSKIVEEKPPQPDIRSVNSSSTPQNITPRFSEKPESSPFEASSLPPGSPQWDFFGLWHPIDNQLSFKDGKELNQGLETVDDLRRLREEEGLPELEDEEGASFCEKEETQGSEDEFDDEPPADTLVRSFENLNRVNDHKIANASSAIPSMGGVALETDFLNGEKSNSPDLSPLGATPSTVSVPTEIKKTPVNEDGSENKVAPKDFLSVMKDIEFLFIKASDSGKEVPRMLEANKLHFRPIFPGKEGGLTASTFFKACFSCGEDPSQVKEEPPQTAQKYLTWHRTTSSRSSSSGNPLGLNSKDDAEDLNNNFLYNTCMLSGSHASTLDRLYAWERKLYDEVKASETVRNEYDLKCKILRQLESKGESSNKIDKTRAVVKDLHSRIRVAIHRIHSISKRIEDLRDNELQPQLEELIEGLSRMWEVMFECHRRQFQIISVADKTGNMKISVLSESHRQITTHLEVELSMLSSSFTKWIGAQKAYLKAINSWLGKCASIQQKTSKKSKRKILEIQFLRKFGPPMYVTCGAWLEKLDTLEPSIKDVSESIKRLAADTSGFLPHHESNEGKDANHPYSASGKDDNSDSAINMSRDDALKERMSVSRKNDDINESEYNMLRNNALKDSFGRSLVCFLGQLKTYAEASVKMYLELDKEIQEAKRNYDLSVQGAENGSEGNNDQSNDQSSNQSNNHSKDQSMSQA >EOY34293 pep chromosome:Theobroma_cacao_20110822:9:40606383:40613303:1 gene:TCM_042017 transcript:EOY34293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630 and DUF632) [Source:Projected from Arabidopsis thaliana (AT2G27090) TAIR;Acc:AT2G27090] MGAAGSKIEEDKALQLCRERKKYVRQALDGRCSLAAAHVAYIETLKSSGTALRKFVEFEAPVESSLYTSTNATPEPLALTEKSLSQFSYSSPSFSQRVDAAETFSPTPSPPTSSHFQANHMKFGFMSSKIVEEKPPQPDIRSVNSSSTPQNITPRFSEKPESSPFEASSLPPGSPQWDFFGLWHPIDNQLSFKDGKELNQGLETVDDLRRLREEEGLPELEDEEGASFCEKEETQGSEDEFDDEPPADTLVRSFENLNRVNDHKIANASSAIPSMGGVALETDFLNGEKSNSPDLSPLGATPSTVSVPTEIKKTPVNEDGSENKVAPKDFLSVMKDIEFLFIKASDSGKEVPRMLEANKLHFRPIFPGKEGGLTASTFFKACFSCGEDPSQVKEEPPQTAQKYLTWHRTTSSRSSSSGNPLGLNSKDDAEDLNNNFLYNTCMLSGSHASTLDRLYAWERKLYDEVKASETVRNEYDLKCKILRQLESKGESSNKIDKTRAVVKDLHSRIRVAIHRIHSISKRIEDLRDNELQPQLEELIEGLSRMWEVMFECHRRQFQIISVADKTGNMKISVLSESHRQITTHLEVELSMLSSSFTKWIGAQKAYLKAINSWLGKCASIQQKTSKKSKRKILEIQFLRKFGPPMYVTCGAWLEKLDTLEPSIKDVSESIKRLAADTSGFLPHHESNEGKDANHPYSASGKDDNSDSAINMSRDDALKERMSVSRKNDDINESEYNMLRNNALKDSFGRSLVCFLGQLKTYAEASVKMYLELDKEIQEAKRNYDLSVQGAENGSEGNNDQSNDQSSNQSNNHSKDQSMSQA >EOY29429 pep chromosome:Theobroma_cacao_20110822:9:1153834:1155697:-1 gene:TCM_046906 transcript:EOY29429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDQTPNQDDRQLFTLLKSLQRASKDLQKNPIFATKKTQSTIEPFLDLEKSENTVFANDPNLFKLSQLLLNLKTLLEKVQKYQGYSLPCILRRQIINYKIYQVACAMETEIQAYIDRENVQNLVETLEDSDDEDEKVKVLIEFEKRLSQGFDLYFQDLILKARVFLTLELLLCDSSCSIRIQDQVALAIAALVRFNKDVFVGLVLMGPTVRALISMSSCCSIRVLSLLVKFIRIPLVDELEAYREIPRIISLLSSENVSIQVEAIDCILGIAYYGRREAIEAMLGAGLIEKLVELQRLENHGNGNENRTTDEEGAKSETKIESDEEGAKSETKIESDEEDYVGNSPFENCVARFAVQLDAGEVLSNKERREFKIEILRRVREASVSEAESVIIVAEVLWGSSS >EOY30940 pep chromosome:Theobroma_cacao_20110822:9:6366002:6366600:-1 gene:TCM_037980 transcript:EOY30940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein QANQSLLCFLQVKVPFKKKRKQHYFYIPIMSSSKCIMHDEDNIKKIGSSSKNIMNDDVHDDKGRRDGYVSNSKSLVQGGNSYTHVPSASVDGDGDDDDDDDYDFAPAA >EOY32866 pep chromosome:Theobroma_cacao_20110822:9:34102238:34103539:-1 gene:TCM_040880 transcript:EOY32866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNIKLTFMEDTQFRIGRYHESHFSNTWAIACAVGLVPKTNHCQHVIISTSIVITSVSAEYLWPTTLKEPCVSYGLCLFAYLESFGYVGLQRLVYTS >EOY31160 pep chromosome:Theobroma_cacao_20110822:9:7292543:7301139:-1 gene:TCM_038146 transcript:EOY31160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein isoform 3 MSNTCYSSRILAINRRFSFVYRHSKSNLILSLQFSRNISKQNFQKRFSSGISGVGVSPNPALSVLSFKRSDLKPPLKKLYKEEEGKVEEMTIKPAVRIAERKLIVKDRTILTGVPENVIATSGSESGHVEGVFLGAVFDEENSRHVVPIGTLRDVRFMSCFRFKLWWMAQKMGDQGKDVPLETQFLLVETKEGSHLDSTQENQIVYTVFLPLIEGSFRAVLQGNQNDQLELCLESGDADTKASSFTHAVFLHAGTDPFSAITEAIRAVKLHVKTFRQRHEKKLPGIIDYFGWCTWDAFYQDVTQEGVESGLESLASGGTPPKFLIIDDGWQSVGADPREENNPSSTSDQTDTKQQPLLRLTGLKENEKFQKKDDPTVGIKNIVNIAKEKHGLNYVYVWHAITGYWGGVRPGVEEMEEYGSKIRYPMVSKGVVDNEPGWKTDAIAVQGLGLVNPKNVYKFYNELHSYLASAGIDGVKVDVQCILETLGAGLGGRVEASDDFYPRDPVSHTIHIAAVAYNSVFLGEFMLPDWDMFHSLHPAAEYHASARAISGGPLYVSDAPGRHNFEVLKKLVLPDGSILRGRLPGRPTRDCLFTDPARDGVSLLKIWNMNKYTGVLGVYNCQGAAWNSAARKNTFHQTKDESITGHVKGRDVHLIAEASVDPDWTGDCAVYSHRTGELITLPYNAAMPVSLKVLEHEIFTVTPIKVLAPGFSFAPLGLINMYNSGGAVEGLKYEVKDGAKFSELDDGYEGESSGLGGVRAENCSNELVGTVRIEIKGCGNFGAYSSAKPRKCTVGSSKVEFDYDSSSGLVKFSLEKLPEEGQKVHVLEVEL >EOY31158 pep chromosome:Theobroma_cacao_20110822:9:7292877:7296122:-1 gene:TCM_038146 transcript:EOY31158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein isoform 3 MSNTCYSSRILAINRRFSFVYRHSKSNLILSLQFSRNISKQNFQKRFSSGISGVGVSPNPALSVLSFKRSDLKPPLKKLYKEEEGKVEEMTIKPAVRIAERKLIVKDRTILTGVPENVIATSGSESGHVEGVFLGAVFDEENSRHVVPIGTLRDVRFMSCFRFKLWWMAQKMGDQGKDVPLETQFLLVETKEGSHLDSTQENQIVYTVFLPLIEGSFRAVLQGNQNDQLELCLESGDADTKASSFTHAVFLHAGTDPFSAITEAIRAVKLHVKTFRQRHEKKLPGIIDYFGWCTWDAFYQDVTQEGVESGLESLASGGTPPKFLIIDDGWQSVGADPREENNPSSTSDQTDTKQQPLLRLTGLKENEKFQKKDDPTVGIKNIVNIAKEKHGLNYVYVWHAITGYWGGVRPGVEEMEEYGSKIRYPMVSKGVVDNEPGWKTDAIAVQGLGLVNPKNVYKFYNELHSYLASAGIDGVKVDVQCILETLGAGLGGRVELTTQYHQALDASVGRNFPDNGIIACMSHNTDALYCSKQTAVVRASDDFYPRDPVSHTIHIAAVAYNSVFLGEFMLPDWDMFHSLHPAAEYHASARAISGGPLYVSDAPGRHNFEVLKKLVLPDGSILRGRLPGRPTRDCLFTDPARDGVSLLKIWNMNKYTGVLGVYNCQGAAWNSAARKNTFHQTKDESITGHVKGRDVHLIAEASVDPDWTGDCAVYSHRTGELITLPYNAAMPVSLKVLEHEIFTVTPIKVLAPGFSFAPLGLINMYNSGGAVEGLKYEVKDGAKFSELDDGYEGESSGLGGVRAENCSNELVGTVRIEIKGCGNFGAYSSAKPRKCTVGSSKVEFDYDSSSGLVKFSLEKLPEEGQKVHVLEVEL >EOY31161 pep chromosome:Theobroma_cacao_20110822:9:7293821:7296322:-1 gene:TCM_038146 transcript:EOY31161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein isoform 3 MSNTCYSSRILAINRRFSFVYRHSKSNLILSLQFSRNISKQNFQKRFSSGISGVGVSPNPALSVLSFKRSDLKPPLKKLYKEEEGKVEEMTIKPAVRIAERKLIVKDRTILTGVPENVIATSGSESGHVEGVFLGAVFDEENSRHVVPIGTLRDVRFMSCFRFKLWWMAQKMGDQGKDVPLETQFLLVETKEGSHLDSTQENQIVYTVFLPLIEGSFRAVLQGNQNDQLELCLESGDADTKASSFTHAVFLHAGTDPFSAITEAIRAVKLHVKTFRQRHEKKLPGIIDYFGWCTWDAFYQDVTQEGVESGLESLASGGTPPKFLIIDDGWQSVGADPREENNPSSTSDQTDTKQQPLLRLTGLKENEKFQKKDDPTVGIKNIVNIAKEKHGLNYVYVWHAITGYWGGVRPGVEEMEEYGSKIRYPMVSKGVVDNEPGWKTDAIAVQGLGLVNPKNVYKFYNELHSYLASAGIDGVKVDVQCILETLGAGLGGRVELTTQYHQALDASVGRNFPDNGIIACMSHNTDALYCSKQTAVVRASDDFYPRDPVSHTIHIAAVAYNSVFLGEFMLPDWDMFHSLHPAAEYHASARAISGGPLYVR >EOY31159 pep chromosome:Theobroma_cacao_20110822:9:7293738:7296292:-1 gene:TCM_038146 transcript:EOY31159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein isoform 3 MSNTCYSSRILAINRRFSFVYRHSKSNLILSLQFSRNISKQNFQKRFSSGISGVGVSPNPALSVLSFKRSDLKPPLKKLYKEEEGKVEEMTIKPAVRIAERKLIVKDRTILTGVPENVIATSGSESGHVEGVFLGAVFDEENSRHVVPIGTLRDVRFMSCFRFKLWWMAQKMGDQGKDVPLETQFLLVETKEGSHLDSTQENQIVYTVFLPLIEGSFRAVLQGNQNDQLELCLESGDADTKASSFTHAVFLHAGTDPFSAITEAIRAVKLHVKTFRQRHEKKLPGIIDYFGWCTWDAFYQDVTQEGVESGLESLASGGTPPKFLIIDDGWQSVGADPREENNPSSTSDQTDTKQQPLLRLTGLKENEKFQKKDDPTVGIKNIVNIAKEKHGLNYVYVWHAITGYWGGVRPGVEEMEEYGSKIRYPMVSKGVVDNEPGWKTDAIAVQGLGLVNPKNVYKFYNELHSYLASAGIDGVKVDVQCILETLGAGLGGRVELTTQYHQALDASVGRNFPDNGIIACMSHNTDALYCSKQTAVVRASDDFYPRDPVSHTIHIAAVAYNSVFLGEFMLPDWDMFHSLHPAAEYHASARAISGGPLYVSDAPGRHNFEVLKKLVLPDGSILRGRLPGRPTRDCLFTDPARDGVR >EOY32594 pep chromosome:Theobroma_cacao_20110822:9:31822970:31825304:-1 gene:TCM_040596 transcript:EOY32594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger A20 and AN1 domain-containing stress-associated protein 8 isoform 1 MDHEKTGCQAPPERPILCINNCGFFGSAATMNMCSKCHKDMILKQEQAKLAASSIDNIVNGSSTGNGNETVIATGVDVPNSVEPKTILVQTSCPSGSGESIEAKLKEGPIRCSTCKKRVGLTGFKCRCGNLFCTSHRYSDKHDCPFDYRTAARDAIAKANPVVKAEKLDKI >EOY32593 pep chromosome:Theobroma_cacao_20110822:9:31822554:31825348:-1 gene:TCM_040596 transcript:EOY32593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger A20 and AN1 domain-containing stress-associated protein 8 isoform 1 MDEIIFACMSRRRGEEMDHEKTGCQAPPERPILCINNCGFFGSAATMNMCSKCHKDMILKQEQAKLAASSIDNIVNGSSTGNGNETVIATGVDVPNSVEPKTILVQTSCPSGSGESIEAKLKEGPIRCSTCKKRVGLTGFKCRCGNLFCTSHRYSDKHDCPFDYRTAARDAIAKANPVVKAEKLDKI >EOY32243 pep chromosome:Theobroma_cacao_20110822:9:24137722:24140398:-1 gene:TCM_039866 transcript:EOY32243 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase (Reverse transcriptase), Ribonuclease H MADALATLAAMFKVGTNVKIQPIMINLRECPAHYSSVEEEIDGKPWYHDIVHYLKFQQYLDQSLENDKKTIRRLAMNFFLDGNILYKRSRDQTFLRCVDSTEARRIVEEVHEGVCGAHASGHKLARQAMRAGYYWLTLEKDCIDFARKCHKCQIYADRIHTPANSLHVLASPWPFSMWGMDVIGLITPKASNGHRFILVAIDYFTKWVEAASYANVTQKVVCKFIQKEIICRYGLPERIITDNASNLNGSMMKEVCAKFKIKHHSSTPYRPKMNGAVEAANKNIKRIIEKMTDIYKDWHEKLPFALHAYRTTVRTSTGATPFSLVYGMEAVLPIEVEIPSLRVLKEVQLEEAEWPFAPNNALISILPKEEI >EOY32706 pep chromosome:Theobroma_cacao_20110822:9:32970789:32975900:1 gene:TCM_040733 transcript:EOY32706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHAKWPNLVVPITNLARSSNECLIPTLINKSKIFVPCSRPRAGCRKFNTDGSLRSCHRDSGISGVLQNKTGDILVMSSKTISVTDSTTAELLAVKEATIIYAVSRWCFSCMLILECDNCSVMKWLTDPNDLKWRLRALIFKGICDDLVAIRKLVNDRTKVFQLLRGLGLGYESFVTTMMKPPIPSFREIVPLLQGHETMKARFNQLYQAEIPSQALAALTIANIQDEAWHPDTGASSHMTADVGKLDHIVAYKGPDKIMDKETKQVVATGNRKGGLYALHPSKSSKQEAMFSNRFQFATAKVWHARLGHPHMRVIDTLRKQNLISSKDKCIDMEHTYTSCQMAKACRLPFLLRTEVCKEPLSANHCDLWGKAPILSHQKFNYYVIFIDEYTRFTWHFPLKNKSDFLQCFIDFHKYIENQFTKKLKVFQSDGGGEFSDTRFHAYLSKYGIKHRMSCPTIYE >EOY29572 pep chromosome:Theobroma_cacao_20110822:9:1602129:1603731:-1 gene:TCM_037070 transcript:EOY29572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRTATCLNGRELPLVTSQLSHMERFIIRQVWCCSIFETDAFNDSISEAKIEGVPRLPVLQEAGTIALDYKLPKDDNNIYVPAQSLNNAFPIRLNNLISMIDWGDLALWKPLNVVIASRLHATRWDKRFWQIINSDYVCTDCPDTVFKGHGF >EOY29722 pep chromosome:Theobroma_cacao_20110822:9:2153535:2154513:-1 gene:TCM_037172 transcript:EOY29722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRASLKIARGTCRELHLKSFYFKARARHNTSQSHSTSPSKIIKKKIRKTCRSVLLAFMQNKRQGSKSNKRQKKDMQLLPTFYAFTNLFLYSSISPS >EOY29179 pep chromosome:Theobroma_cacao_20110822:9:297146:299123:-1 gene:TCM_036798 transcript:EOY29179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein isoform 1 MATWRHGIDIIIIIISILLSEGEDRQEHLSFKHNQTLRIVSSSVSISNLGLRTGPDDLVASILSKVVQTNGGVSLTKKEHEEVAEVADELQKYCVDEPVKCPLIFGEWDVVYCSRPTSPGGGYRSALGRLFFRTKEMIQAVEAPDTVRNKVSFSVFGFLDGEVSLKGKLKVLDGQWIQVIFEPPELKVGALDFRYGGESEVKLQITYIDEKVRLGKGSRGSLFVFQRRG >EOY29180 pep chromosome:Theobroma_cacao_20110822:9:297206:299119:-1 gene:TCM_036798 transcript:EOY29180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein isoform 1 MAAYSFTLSSFFTQPTPSIYGSKRFNLSLQSSFPLKYQHNQTLRIVSSSVSISNLGLRTGPDDLVASILSKVVQTNGGVSLTKKEHEEVAEVADELQKYCVDEPVKCPLIFGEWDVVYCSRPTSPGGGYRSALGRLFFRTKEMIQAVEAPDTVRNKVSFSVFGFLDGEVSLKGKLKVLDGQWIQVIFEPPELKVGALDFRYGGESEVKLQITYIDEKVRLGKGSRGSLFVFQRRG >EOY30723 pep chromosome:Theobroma_cacao_20110822:9:5564314:5566113:1 gene:TCM_037836 transcript:EOY30723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic rpb5 RNA polymerase subunit family protein isoform 1 MVLSDDEIKRLFRIRKTVMQMLKDRGYFVGDFEITMTKAQFISKYGENMKREDLVINKTKRNDNSDQIYVFFPDEAKVGVKTMKTYTNRMKSENVFRAVLVVQQNLTPFARTCINEISSKFHLEVFQESELLVNVKEHSLVPEHQVLTNEEKKTLLQRYTVKETQLPRIQVSDPIARYYGLKRGQVVKIIRPSETAGRYVTYRYVV >EOY30724 pep chromosome:Theobroma_cacao_20110822:9:5563993:5566482:1 gene:TCM_037836 transcript:EOY30724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic rpb5 RNA polymerase subunit family protein isoform 1 ISIRRRLKENFLPRVSAVRRSWYHSPSLAGKRTTKMVLSDDEIKRLFRIRKTVMQMLKDRGYFVGDFEITMTKAQFISKYGENMKREDLVINKTKRNDNSDQESELLVNVKEHSLVPEHQVLTNEEKKTLLQRYTVKETQLPRIQVSDPIARYYGLKRGQVVKIIRPSETAGRYVTYRYVV >EOY31862 pep chromosome:Theobroma_cacao_20110822:9:16824572:16830151:1 gene:TCM_039191 transcript:EOY31862 gene_biotype:protein_coding transcript_biotype:protein_coding description:U4/U6.U5 small nuclear ribonucleoprotein 27 kDa protein isoform 1 MSDRDWPRDRDRERERDRDRDRERERRRDREDRERDRDRERTRSKRSRTPERTRSRHTRSRTRSPDRNRYRSRSRSHTRSPERSSHRHHRHRHRTPSAEPPRKRHRHESEERDKEKDRQKAVSDFVDEIAKEQQQKKENNSNENENGDGGEEDEMEMMKKLGIPVDFDSTKGKHVPGADISGVRAVTKRQPRQYMNRRGGFNRPLPAERNR >EOY31863 pep chromosome:Theobroma_cacao_20110822:9:16819860:16830163:1 gene:TCM_039191 transcript:EOY31863 gene_biotype:protein_coding transcript_biotype:protein_coding description:U4/U6.U5 small nuclear ribonucleoprotein 27 kDa protein isoform 1 MSDRDWPRDRDRERERDRDRDRERERRRDREDRERDRDRERTRSKRSRTPERTRSRHTRSRTRSPDRNRYRSRSRSHTRSPERSSHRHHRHRHRTPSAEPPRKRHRHESEERDKEKDRQKAVSDFVDEIAKEQQQKKENNSNENENGDGGEEDEMEMMKKLGIPVDFDSTKGKHVPGADISGVRAVTKRQPRQYMNRRGGFNRPLPAERNR >EOY29636 pep chromosome:Theobroma_cacao_20110822:9:1851221:1852017:1 gene:TCM_037123 transcript:EOY29636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATAILLPEECVGNRLRHETLSLARPLRSRGNPNPNTIKPKSGNDGSSSRGRKRSSARFQSNNRRQSESMVVKSPGKNLVMGQVKILKRGEKLIPVAEKTNRGRIHGSSGEEESDLALGSTNRLGPDPETMQKQIKVKEFKIRNDLFAGSNFVSPPPSSLPVPGFLGKTERTSS >EOY31499 pep chromosome:Theobroma_cacao_20110822:9:9175771:9180609:-1 gene:TCM_038425 transcript:EOY31499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MASLKLPISLDTVDSKKLNFYVNPSHVPDHCSIFSFTSCIHVTKAASNLTSLTRLKHFKVSRFETEFPNIPEPSPVDKDIHFSSKIDLVNENPKFVEGQKGQNPKKGIRKNVGFKFRFRRNRNEIEREDLFVHNNSGLDVDYSAIKPNLNLPHCNFILKRLERSNDSNALRFFEWMRSNGKLKGNVTAYRLVLRVLGRREDWDAAEMMLRQANGDSGCKLNFQVFNTIIYACSKKGLVELGAKWFRMMLEHGFRPNVATFGMLMGLYQKGWNASEAEFTFSQMRNSGIVCQSAYSAMITIYTRLSLYDKAEDIIGFMRKDKVILNLENWLVMLNAYSQRGKLEEAEQVLVSMQEAGFSPNIVAYNTLITGYGKSSNMDAAQLVFLSIQQVGLEPDETTYRSMIEGWGRADNYKEVKWYYKELKQLGFKPNSSNLYTLITLQAKHGDEEGATKTLDDMLKMRCQHSSILGTVLQAYERVGRIDKVPLILIGSFYEHVLKDQTSCSILVMAYVKNGLVDYAIKVLGSKKWKDPVFEDNLYHLLICSCKELGDLDNAVKIFSQMPNAEIKPNLHIMCTMIDIYSVMGHFTEAETLYLKLKSSGVALDMIGFSIVVRMYVKAGSLKDACSVLQIMEKQKEIVPDIYLFRDMLRIYQKCNMKDKLAELYYKILKSGVTWDQEMYNCVINCCARALPVDELSKIFDRMLLHGFAPHTITFNVMLDVYGKAKLFKKVKKLFWMAKTRGLVDVISYNTVIAAYGQNKDLKNMSSTVREMQFNGFSVSLEAYNCMLDTYGKDGQMEKFRSVLQRMKESNCALDRYTYNIMINIYGEQRWIDEVAAVLTELKECGLGPDLCSYNTLIKAYGIAGMVEDAVGLIKEMRENGVEPDNITYNNLITALRKNDKFLEAVKWSLWMKQMGM >EOY29930 pep chromosome:Theobroma_cacao_20110822:9:2842376:2845964:1 gene:TCM_037310 transcript:EOY29930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thromboxane-A synthase MGLVYETPQSLIGAEGFYPSVLMVSTISTVLAMVAGVLVYLYGPYWGVRRVPGPPALPLVGHLPLMAKYGPDVFSVLAKRYGPVFRFHMGRQPLIIVADPELCKEVGIKKFKDIPNRSIPSPIAASPLHQKGLFFTRDATWSTMRNTILSVYQPSHLASLVPTMQNIIESATENLHASEEGITFSNLSLKLATDVIGQAAFGVNFGLSKPKSTSESTRTVDDQGSQNDEVSDFINQHIYSTTQLKMDLSGSLSIIIGLLVPILQEPFRQILKRIPGTMDWKVDRTNKKLSGRLNEIVSIRMKEKNRGSKDFLSQILSARESENVAKNAFTPDYISAVTYEHLLAGSVTTSFTLSSIVYLVAGHPEVEKKLLEEIDGFGPHDRVPTAYDLQHKFPYLDQVIKEAMRFYTVSPLVARETSKEVEIGGYLLPKGTWVWLAPGVLAKDPKNFPEPDKFKPERFDPNCEEEKRRHPYALIPFGIGPRACVGQKFSLQEIKLSLIHLYRNYTFRHSFSMEKPLELEYGIVLNFKHGVKVTAIKRT >EOY31460 pep chromosome:Theobroma_cacao_20110822:9:8940227:8942248:1 gene:TCM_038392 transcript:EOY31460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein, 5 MQEQPTSSIAASSLPSSSERSSSSALQVEVKEGMESDEEIRRVPEIGGEASAVPAAGREAGSVAGPDRLQPLGEGGQRKRGRSPADKENKRLKRLLRNRVSAQQARERKKAYLNELETRVKDLEKKNSELEERLSTLQNENQMLRQIVKNTTASRRGGNGSSNAAADGTL >EOY30230 pep chromosome:Theobroma_cacao_20110822:9:3851747:3857958:-1 gene:TCM_037505 transcript:EOY30230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inter-alpha-trypsin inhibitor heavy chain-related isoform 2 MAEDFAQAVEDGLKLAKRIYLGKDRGAVGPPKPPPPMERSPYRYLPSAPMVYAVISDPRIVDNPDIPSYQPHVHGRCDPPALIPLQMNGVDLDVDCYADTAFIQVSGSWRVHCVMGSRSCDCRIAVPTGPQGSILGVEVDLPTKSYSTELIGVEDSKGIEKIALPEDGWFLKPHIFTLTIPQIDGGTNISIKLRWSQKLSYNDGQFSLTVPFTFPEYVTPAIKKISKKEKIQLNVNSVIATGILSKATSHPLKEIRRSAGKFGFLYEVEVLTWSNTDFSFSYSVSASNIFGGVLLQSPSLYDYDQRDMFCVYLFPGSQQSRKVFKKEIIFVVDISDSMEGRPLESTKNAISAALSKLNPEDSFNIIAFSSETFLFSTSMELASEEAIERASEWISTKHSEGGGTNIFIPLEKATEMLSNTHGSIPMIFLVTDGAVEDERNICDWIKKRLTNGGSLCPRIHTFGIGSFCNHYFLRMLAMIGRGQYDAAFDLDSIEVQMQKLFSRGLSTILANITFDAFDDHEQIEVYSSFIPDLSSESPLTICGRYQGSFPDTLKAKGVLGDLSSNVTDLKIERAKDILLDRVLARQQIDLLTAQAWLSENKQLEEKVVAKLSIQTCNISEYTRMVLLEKNKIYQASESSGSLKVPYKGDPHEIGDSGAPKKMLLQSLTVGFGDLIATAENIRPGSQEPKLPEVAEILVKATSNCCGQICNQCCCMLCIQCCSKMNNQCATVLTQLCTALACFGCLDCCLQLCCPGQDGR >EOY30229 pep chromosome:Theobroma_cacao_20110822:9:3851855:3858247:-1 gene:TCM_037505 transcript:EOY30229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inter-alpha-trypsin inhibitor heavy chain-related isoform 2 MAEDFAQAVEDGLKLAKRIYLGKDRGAVGPPKPPPPMERSPYRYLPSAPMVYAVISDPRIVDNPDIPSYQPHVHGRCDPPALIPLQMNGVDLDVDCYADTAFIQVSGSWRVHCVMGSRSCDCRIAVPTGPQGSILGVEVDLPTKSYSTELIGVEDSKGIEKIALPEDGWFLKPHIFTLTIPQIDGGTNISIKLRWSQKLSYNDGQFSLTVPFTFPEYVTPAIKKISKKEKIQLNVNSVIATGILSKATSHPLKEIRRSAGKFGFLYEVEVLTWSNTDFSFSYSVSASNIFGGVLLQSPSLYDYDQRDMFCVYLFPGSQQSRKVFKKEIIFVVDISDSMEGRPLESTKNAISAALSKLNPEDSFNIIAFSSETFLFSTSMELASEEAIERASEWISTKHSEGGGTNIFIPLEKATEMLSNTHGSIPMIFLVTDGAVEDERNICDWIKKRLTNGGSLCPRIHTFGIGSFCNHYFLRMLAMIGRGQYDAAFDLDSIEVQMQKLFSRGLSTILANITFDAFDDHEQIEVYSSFIPDLSSESPLTICGRYQGSFPDTLKAKGVLGDLSSNVTDLKIERAKDILLDRVLARQQIDLLTAQAWLSENKQLEEKVAKLSIQTCNISEYTRMVLLEKNKIYQASESSGSLKVPYKGDPHEIGDSGAPKKMLLQSLTVGFGDLIATAENIRPGSQEPKLPEVAEILVKATSNCCGQICNQCCCMLCIQCCSKMNNQCATVLTQLCTALACFGCLDCCLQLCCPGQDGR >EOY31906 pep chromosome:Theobroma_cacao_20110822:9:17588914:17597653:-1 gene:TCM_039262 transcript:EOY31906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPPRRGRPPLTRSVGRGRGRSQRHQPDTVEEESAASTIRAAPAAEQADSPPHPPSPQPPTGIPAMPTEAAQALAAFFAAMAGQAQTGQVPPVVPPATHLVPPPIQDVSISKKLKEARQLGCVSFTGELDATVAKDWINQVFETLSDMGLDDDMKLMVATRLLEKRARTWWNSVKSRSATPHTWSDFLREFDGQYFTYFHQKEKKREFLSLKQGNLTVEEYETRFNELMLYVPDLVKSEQDQASYFEEGLRNEIRERMTVIGREPHKEVVQMALRAEKLATENRRIRTEFAKRRNPGMSSSQPVKRGKDSATSGSTTSVSVTSPRPPFPPSQQRPSRFSRSAMIGSGKSLGGSDRCRNCGNYHSGLCRGPTRCFQCGQTGHIRSNCPQLG >EOY31821 pep chromosome:Theobroma_cacao_20110822:9:15796829:15802723:-1 gene:TCM_039087 transcript:EOY31821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, putative MTHHSKRYVALKDMYFGKKFYDFALEARMDPTMRYVSFLNICIILMTLQSEIFKAFGKTRLLVGDKKESLYSRCGRIDKGVSAVVQVIALYLWSNLIEADMNNKTSRKLISETRTSQPVILS >EOY31728 pep chromosome:Theobroma_cacao_20110822:9:13313133:13337281:1 gene:TCM_038839 transcript:EOY31728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein, putative MLPRRGLPPLTRSARRGKGRPRQNRPDPMEEESVASIIRVASAAEQPESPPHPPPPTGTPAMPPEMVQALAAFLTAMAGQAQAGQIRPIVSSDTSTILPMPDIPISKKLKEARQLGCVSFTVKSEQDQANYFEEGLCNEIRERMTVIGREPHKEVVQIALRVEKLANENKRMRAEIVKRRNPSGFSNQPLKKGHYRSDCSQLGRATIAISSPPARTNIQRKDSTKVQPRPGVTIRSDVESNAPAYPPPRPQTHMSTRIFAVAEDEARVQPGAVTGTTILIDKDAYALIDSDLDRKFEESLKDDIAPNGTIKSIKPRTSSNRNFKVKLRVSQFRDDSK >EOY29991 pep chromosome:Theobroma_cacao_20110822:9:2982530:2983711:-1 gene:TCM_037346 transcript:EOY29991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat-shock protein, putative MDWRVMGFDPSVIDTLHELLDFPDDADKSQPHPSRAYIRDAKAMAATPADVKDCPNAYVVVIDMPGLKQDQLKVQVEEGNFLVVSGERKREKEKDQGVKFIKMERRLGKYLKKFQLPEMADTEKISASYQDGVLTVTVEKKPPPEPKKPRTVEVQVS >EOY31635 pep chromosome:Theobroma_cacao_20110822:9:10700715:10706314:-1 gene:TCM_038610 transcript:EOY31635 gene_biotype:protein_coding transcript_biotype:protein_coding description:BNR/Asp-box repeat family protein MGKSVGTILLVLLLSSFMFLVLLLFSVSSFSDFHVSFPGHRSSFKPKPVVWDTRRLLQSFHQDFSRCRKATTSSTGSFHKKQGHSISGPLKEDFTFPAGSAPFTSCHASTIVEVGKGHFLVAYFGGTSEGAPDVKIWLQKYKGGRWYPPIVTDEEPNVPMWNPVLFKLPSEELLLFYKVGLDVQKWSGCMKRSYDKGVTWTQREQLPPGILGPSKNKPLLLGNGLLLCGSSVESWNSWGAWAEVTADSGRTWKKYGPIYVKNKTLSVIQPVPFLTAKGTLRLLLRSFNSIGRVCMAESFDCGQNWGYAIPTELPNPNSGIDGVKLRDGHLLLAYNTISRGILKVSLSKDDGDSWQDTLTLEETQGMEFSYPAVIQASDGLVHITYTYNRTQIKHVVLQPN >EOY32738 pep chromosome:Theobroma_cacao_20110822:9:33307006:33308832:1 gene:TCM_040768 transcript:EOY32738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein, putative MAFFSARNNKSFSMAVMFLLLGIFMSSLEFTGAKSVGVCYGRIGDNLPSEQEVLELYKASGIEKMRIYDPNQATLRALGMFPDIELILGVPNGDLEALATDASSATDWVQRNILPYAPAANIRYISVGNEVRPMDPAAQFVLPAMQNICNALESANNAMEVLQIKVSTSVDATLLGSSYPPSAGAFSDSASSYIIPIVQFLADKGAPLLANIYPYFSYIGDPTSIDLNYALFTSPGVVVQDGAFGYQNLFDAILDAFYSAIEKAGFSNMEVVVSETGWPSDGGTAATIENASTYYQNLINHIQNGTPKRPGQPTQTYRFAMFDENQKGPAETERHFGLFSPDKQPKYPISFL >EOY34296 pep chromosome:Theobroma_cacao_20110822:9:40613757:40616331:-1 gene:TCM_042018 transcript:EOY34296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) hydroxyproline-rich glycoprotein family, putative isoform 1 MAEQVHPGDSPNVTNEQSAPKLAPPSPEKPVPQPGTYVIQIPKDQIYRVPPPENARRYAHLSKRKASGGTCRSCCCCLLTVILVLLLSAAIAAAVVYFVFKPESPNYSVESVAIKGLNLTSASPLSPEFDVTVRAHNPNDKIGIYYEKGSSVKVYYEDVNLCNGALPAFYQPTNNVTVFQTALKGSGIELTNTALRALSDAQNKGTVPFTLKLRAPVKIKVGSIKTWKITAKVTCKITVDNLTATSKIVSKDCDYGVDLW >EOY34295 pep chromosome:Theobroma_cacao_20110822:9:40613685:40616377:-1 gene:TCM_042018 transcript:EOY34295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) hydroxyproline-rich glycoprotein family, putative isoform 1 MAEQVHPGDSPNVTNEQSAPKLAPPSPEKPVPQPGTYVIQIPKDQIYRVPPPENARRYAHLSKRKASGGTCRSCCCCLLTVILVLLLSAAIAAAVVYFVFKPESPNYSVESVAIKGLNLTSASPLSPEFDVTVRAHNPNDKIGIYYEKGSSVKVYYEDVNLCNGALPAFYQPTNNVTVFQTALKGSGIELTNTALRALSDAQNKGTVPFTLKLRAPVKIKVGSIKTWKITAKVTCKITVDNLTATSKIVSKDCDYGVDLW >EOY33809 pep chromosome:Theobroma_cacao_20110822:9:39059336:39064056:1 gene:TCM_041681 transcript:EOY33809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 4,3 isoform 1 MNSTLSLNRPIRSFSPASFQNGKFVEAEQFKPQLTSYLIESSKLKCFGNVNSRTSLRVHQQLRDDSGESSRILSRRVRVSSNDTQFGSLPNKGGTESSSFAEFITSERVKVVAMLALALALCNADRVVMSVAIVPLSLAHGWSRSFSGIVQSSFLWGYLISPIAGGTLVDYYGGKVVMAWGVALWSLATFLTPWAAENSLWALLFARAMLGVAEGVALPCMNNMVARWFPPTERARAVAMAMAGFQLGNAIGLTLSPILMSQGGIFGPFVIFGLCGFLWVLVWLSATSSTPARSSQISKYELDYIMNKRQKSHAVENKPKTKMIPPFGRLLSKMPTWSLILANAMHSWGFFVILSWMPIYFNLVHHVDLRQAAWFSAVPWSMMALTGYLAGLWSDTLIRNGTSITLTRKIMQSIGFIGPAIALIGLTAAKSPSTASAWLSVAVGLKAFSHCGFLVNLQEIAPHYSGVLHGISNTAGTFAAILGTVGAGFFVELVGSFQGFLLLTSLLYFLAALFYNIFSTGERVNFDETVS >EOY33808 pep chromosome:Theobroma_cacao_20110822:9:39059013:39063373:1 gene:TCM_041681 transcript:EOY33808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 4,3 isoform 1 MNSTLSLNRPIRSFSPASFQNGKFVEAEQFKPQLTSYLIESSKLKCFGNVNSRTSLRVHQQLRDDSGESSRILSRRVRVSSNDTQFGSLPNKGGTESSSFAEFITSERVKVVAMLALALALCNADRVVMSVAIVPLSLAHGWSRSFSGIVQSSFLWGYLISPIAGGTLVDYYGGKVVMAWGVALWSLATFLTPWAAENSLWALLFARAMLGVAEGVALPCMNNMVARWFPPTERARAVAMAMAGFQLGNAIGLTLSPILMSQGGIFGPFVIFGLCGFLWVLVWLSATSSTPARSSQISKYELDYIMNKRQKSHAVENKPKTKMIPPFGRLLSKMPTWSLILANAMHSWGFFVILSWMPIYFNLVHHVDLRQAAWFSAVPWSMMALTGYLAGLWSDTLIRNGTSITLTRKIMQSIGFIGPAIALIGLTAAKSPSTASAWLSVAVGLKAFSHCGFLVNLQEIAPHYSGVLHGISNTAGTFAAILGTVGAGFFVELVGSFQGFLLLTSLLYFLAALFYNIFSTGERVNFDETVS >EOY33445 pep chromosome:Theobroma_cacao_20110822:9:37546759:37552494:-1 gene:TCM_041419 transcript:EOY33445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endomembrane protein 70 protein family isoform 2 MEALKISLILLLLTNLRSSTSSADNHRYNVGDPAPLFVNKVGPLNNPSETYQYYELPFCRPDPVVHKKESLGEVLSGDRLTSALYKLNFRENRIAETLCHKKLEGDDVARFRDAVINDFYFQMYYDDLPFWGFVGKIEEDSWTLEENTPKYFLFKHVQFDVLYNGNQVIEIRAFSDPDQVVDITEDVGIDVKFTYSVTWNATSAAFETRMDRYSRASSLPILQQIHWFSFINSIVIIMLLMGLLTLLFMRRLKNDLRKCSTGDEEEEDKEVGWKYIHGDVFRCPQNLSLFCAVLGTGTQLLTLVCCLFVLVCFGVLYPYNRGTLGTALVTLYSVTSVVAGYTAASFHCQFAETGWERSVLLAGILYAGPFFVIVSILNVVAVSYGVTAALPSGTIMAIILIYAFLTIPLLALGGVLGYLFRSEFYAPCATKRYPREIPPLEWYRKTPFQMFLGGFLSFSAIVLELQHLYASLWGYKICTLPSILFLTFIILILLTTMLSVGLTYIQLSVEDHEWWWRSVLCGGSTAMFMLAYSIYFYLRSSMSGLLQLSFVIGYNGCMCYAFFLMLGTIGFCASLMFVRYIYRAVKSE >EOY33446 pep chromosome:Theobroma_cacao_20110822:9:37546851:37551988:-1 gene:TCM_041419 transcript:EOY33446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endomembrane protein 70 protein family isoform 2 MEALKISLILLLLTNLRSSTSSADNHRYNVGDPAPLFVNKVGPLNNPSSETYQYYELPFCRPDPVVHKKESLGEVLSGDRLTSALYKLNFRENRIAETLCHKKLEGDDVARFRDAVINDFYFQMYYDDLPFWGFVGKIEEDSWTLEENTPKYFLFKHVQFDVLYNGNQVIEIRAFSDPDQVVDITEDVGIDVKFTYSVTWNATSAAFETRMDRYSRASSLPILQQIHWFSFINSIVIIMLLMGLLTLLFMRRLKNDLRKCSTGDEEEEDKEVGWKYIHGDVFRCPQNLSLFCAVLGTGTQLLTLVCCLFVLVCFGVLYPYNRGTLGTALVTLYSVTSVVAGYTAASFHCQFAETGWERSVLLAGILYAGPFFVIVSILNVVAVSYGVTAALPSGTIMAIILIYAFLTIPLLALGGVLGYLFRSEFYAPCATKRYPREIPPLEWYRKTPFQMFLGGFLSFSAIVLELQHLYASLWGYKICTLPSILFLTFIILILLTTMLSVGLTYIQLSVEDHEWWWRSVLCGGSTAMFMLAYSIYFYLRSSMSGLLQLSFVIGYNGCMCYAFFLMLGTIGFCASLMFVRYIYRAVKSE >EOY29158 pep chromosome:Theobroma_cacao_20110822:9:273974:277710:-1 gene:TCM_036792 transcript:EOY29158 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein MASNNNSKDETSISVRAEPPASDAPSPSPPATTAAMAEQVTAKQEEGEEEEEEEEEEELEIKKATVEKWPGWPGCNVFRLIVAVSKVGSIIGRKGELVKKMCDETRARIRILEGPLGTPDRIVLISGKEEPDFSLSPAMDAAVRVFKRVVGLSTNEGDVAGGAFCSIRLLVASSQAINLIGKQGSTIKSIQEATDASVRVLSEDEVPSYASSDERIVEIHGEALKVLKALEAVLGQLRKFLVDQSVIPIFEKTYHAKISQERPVDAWTDRSQSSLHSASAYQTGIGSDYSLSLKRDPLYDREAHLEPKIPASGLSLYGQDHGIGAIRSSGVSRAAAPIVTQMMQTMQVPLSYAEDIIGVGGSNIAYIRRASGAILTIQESGGLPDEITVEIKGTTSQVQMAQQLIQEFISSHKEPAPSIYSKVDTGLSAYSQLPETTYSSTFASSHIGGYGSSGVGGYRSSSSSNLGGYSSFRY >EOY31251 pep chromosome:Theobroma_cacao_20110822:9:7747278:7749962:-1 gene:TCM_038211 transcript:EOY31251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPIGPMRRSWFENLDCDKPLLTLQESRDKFNVRGKREEAVEPRGRRGRKWRRTRGREERGEGREKGFKGEALGWEEKEIVTEEEEMGGGVIEIFRVALARLAPAAMAVDVQWKTGKKASSEPVLDLRLSLFVIPGSLK >EOY33994 pep chromosome:Theobroma_cacao_20110822:9:39610478:39612222:1 gene:TCM_041809 transcript:EOY33994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like transcription factor 11, putative isoform 1 MAKRELSSTLRGLKFMQRAAQREEKVKKEEEVNPEGSNTITKKCVVIMEGDPHPGAIVGRMSFQSFNPSIDMHQMCVSRMPLVEELCLVKTDLNLKELTARRSAHINTKVMETLKENSLT >EOY33993 pep chromosome:Theobroma_cacao_20110822:9:39610452:39612779:1 gene:TCM_041809 transcript:EOY33993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like transcription factor 11, putative isoform 1 MAKRELSSTLRGLKFMQRAAQREEKVKKEEEVNPEGSNTITKKCVVIMEGDPHPGAIVGRMSFQSFNPSIDKLNEDASNVCQPDASGGRTLSSENRSESEGADCSKVSTYKYEGNGDLKRKQSDVVSEPEYPNKSPKNGHGVQSSPSSSKASSQKQSKREKLDWKLLRPPKSKSLS >EOY34225 pep chromosome:Theobroma_cacao_20110822:9:40370875:40371885:-1 gene:TCM_041964 transcript:EOY34225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo-specific protein 3, putative MEMKKRRGLIIACCLFSLALLAGGANLPQNKKGNCTYTVTIQTSCTKGAETSDYVSLRFGDIKSNDIVVQHLNSKHVRKLDPLQPAVLDDIPTKPFQACLVDEFQVTGQCVESPICYLYLKLSGNDDWRPGFAQVESLEESHLSSRYFYFRRYLPRNVWHGSDLCDKEVTPFGIKHKRKVLAKKPAAERLMP >EOY30579 pep chromosome:Theobroma_cacao_20110822:9:5057973:5063965:1 gene:TCM_037739 transcript:EOY30579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon-like protein MVHGPCGTMKPNAICMIKNQCSKHYPIKFQPRTLLPEDGVPLYRRRNQSKAILLDVKSGFEFDNRYIVPHNRNLLVKYQSHINVEICNKARSIKYLFKYLSKGPNRIRVAIEQSATANASNIHMTSTAVDEIKNYLDCRYVSAHEACWRLFSFELHYRDPSVERLPIHFPNQQRVSFCDNDCLLRVLSRPGIRDTKFTKWMEANALHEDARCLTYVEFPTKWVWKDNXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIGRISYIHPAVGELFYLRMLINVVKGPSDFKDLCTVDEILYESFQAACNALGLLGDDKEWDYALAQASQWAMPYQLRQLFTTIVLFCQVTDARHFWEKHWEAMSEDIRHRFQRLYQLQNYVIPDEHIKDYTLSAVELLFSNNSTSWQRKTFLWKTIICALKGIGQVVLTVTSSGIASLLLPRGRTAHSRFKIPIDVDDLSTCEIKKRTQLARLLQKTALILWDEAPMIHRNCLEALHRSLQDIMLDDTRHENNKTFGKKTVFLGDISYLQHRAIVTPYNDTITLINEFMLSELPGDIKTYLSCDTISKSSLSTRDEDFLGIIIYATLWGDLAYCVDDDIIGLKSKPIIILTAMTIGEYQGQPSVASCSASKIYVDLNIPIVADMKARFDEKNAPVLLLDVRQRPQIPPDQQENHNRVTIKQLLQIDHSKAQTETYTCIATIKEFESTEGWYYIGCKICMKTLQQISDTFWCPDAKHGEQLPHLCYKLIITVEDNIGNATFVVFGDDGEKVIGASIPKLALLNHLDKYVLPEPITKLIDQEKLFSISLVTKSLDTGNLTFKINSCKAVNEAQKPTMMLGQSSTCESTLHLNKKKSNLEVQECPTSSPENQIQQDLFPEESPIKKVKMR >EOY32192 pep chromosome:Theobroma_cacao_20110822:9:22814261:22818422:1 gene:TCM_039780 transcript:EOY32192 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoinositide-dependent protein kinase-1, putative isoform 3 MSGAVWADIERSESLLVCSMYEEAASLASSVIKQRGPNLSIENDFELYEAMEAAGMVLVQSLKQLSRACFQISEGSAFGLREFLEEFLNKWSYVDEQYYVLASAERNLNFKEGCDSHFVLGIDKYIEIVELYVVLLLGTVSSDVNLAISWVEQAALPEKKRQELLRRLHSLYSTKETNLSQGTLLHLPVAKHECHSSLKGPDVPGGTPKGSKTSHLLNGENDSKEAILKLYKQPYGFLGWFRNITLKFSNYQLVISNGKILIGCLIFLIYYLFRRKGVSIQRIVGRQVLFWKKSLVDLWQLAFSYQVNPLAAIQPLPAAT >EOY32190 pep chromosome:Theobroma_cacao_20110822:9:22814089:22819043:1 gene:TCM_039780 transcript:EOY32190 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoinositide-dependent protein kinase-1, putative isoform 3 MSGAVWADIERSESLLVCSMYEEAASLASSVIKQRGPNLSIENDFELYEAMEAAGMVLVQSLKQLSRTSTILNELKTLFVSVEAIPVQVLLSGACFQISEGSAFGLREFLEEFLNKWSYVDEQYYVLASAERNLNFKEGCDSHFVLGIDKYIEIVELYVVLLLGTVSSDVNLAISWVEQAALPEKKRQELLRRLHSLYSTKETNLSQGTLLHLPVAKHECHSSLKGPDVPGGTPKGSKTSHLLNGENDSKEAILKLYKQPYGFLGWFRNITLKFSNYQLVISNGKILIGCLIFLIYYLFRRKGVSIQRIVGRQVLFWKKSLVDLWQLAFSYQVNPLAAIQPLPAAT >EOY32191 pep chromosome:Theobroma_cacao_20110822:9:22814633:22818129:1 gene:TCM_039780 transcript:EOY32191 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoinositide-dependent protein kinase-1, putative isoform 3 MYEEAASLASSVIKQRGPNLSIENDFELYEAMEAAGMVLVQSLKQLSRTSTILNELKTLFVSVEAIPVQVLLSGACFQISEGSAFGLREFLEEFLNKWSYVDEQYYVLASAERNLNFKEGCDSHFVLGIDKYIEIVELYVVLLLGTVSSDVNLAISWVEQAALPEKKRQELLRRLHSLYSTKETNLSQGTLLHLPVAKHECHSSLKGPDVPGGTPKGSKTSHLLNGENDSKEAILKLYKQPYGFLGWFRNITLKFSNYQLVISNGKILIGCLIFLIYYLFRRKGVSIQRIVGRQVLFWKKSLVDLWQLAFSYQVNPLAAIQPLPAAT >EOY33037 pep chromosome:Theobroma_cacao_20110822:9:35048705:35051496:1 gene:TCM_041045 transcript:EOY33037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein-related MKSYILVVFFVILLCTEAAMVHGDDKGNKGNGNKGNGNNKGNSNGKGNGDDDNDNGKGKNKGNEKDKNKDKQKKNDGELDYDNLSPSERGQERAYCKGKGACYQKTLVCPSECPQRKPKKNKKIKACHVNCGSKCEAICKWRKPKCEGYGSLCYDPRFVGGDGVMFYFHGSKDGNFAIVSDDKLQINAHFIGTRPQGRTRDFTWVQALAVMFDTHTLVIAANRVSHWDDNVDALSVRWNGEAVNIPYDGEAEWRTNGEEREVLVERTDDKNSVRVKVSGLVEIDIRVRPIGKEENRVHNYQLPDDDAFAHLETQFKFINLSYLVEGVLGKTYRPDYVSPVKRGVPMPMMGGEDKYLTPSLFSPFCNACRFQRPSGVASI >EOY29353 pep chromosome:Theobroma_cacao_20110822:9:864902:874570:-1 gene:TCM_036919 transcript:EOY29353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein, putative isoform 1 MAASDKELELQLMEAGNRLVDPPSSVDELIHLLDQVENCLIRVEQSPSQSMQNALSPSLKALVAEQLFRHPDDDVKVAVASCVSEITRITAPDAPYEDDQMKEVFQLIVSSFENLSDKSSRSFIKRTSILETVAKVRSCVVMLDLECDALIIEMFQHFLKAIRDYHAEAVFTSMVTIMTLVLEESEDISTELLSPVLACVKKDNEEVLPVARRLAERVLESCASKLKPYLTQAVENLGISFDDYSSVVSSICQATPVAVEQNDAATDKHVDGESKPAEAPLDETAQEDKETPKEAGSTEQVDVANDKSPKSVVSNGIVQTAEDDSLADSNSLKKQEDDHLADKSKNADISSVAEPDRLEAEKVVNSDSKSEQSTQEKGSKSDLKSTEPSDSSHVDEKEPETLTDHKNEVKDDAGSHHDDPSVDGAVSSENKRETSVQPSSPKAAENESTDVASPTPSGTIPDESHSKKAARPKKKESLNKETTPSVDDVSKKASEGTSDSEAKTNKRSGKKVSTVVSNEDNAPADVDETKTESGTASDSEAKSLKQLSKKVDANSNADGSSLKQLEDKKRRARRKLVSEKDGTKTSTKNDDEEKVASQKSVKPNKDDSLMEETPKTNSKRKHTPSKDKASGSIEYDENLVGSKVKVWWPKDRAFYEGIIHSFDSVKKKHKVLYNDGDQEILNLKREKWEFIEDESGSDEEEAADHPSPDGSSEMPQKKKAKSSDQPTKKIKMDDSTKRGGGASSGKPKGAAAKSGRKMKEESKVDGKSKDGSKSVSKPENENAKAKDHTPKSFSKSGDLVLKLGNKSKKEDSGDTPKSTKSKDDGGVTPKASTKSKPDSSKATKSKQETPKISSSSKGKPLKSGGKSNNANGTGKSKSGSSKVKESESLKENSTDSAKVLESAKRKVPSSSKAQGSDSKSGKKQRRR >EOY29354 pep chromosome:Theobroma_cacao_20110822:9:865408:874375:-1 gene:TCM_036919 transcript:EOY29354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein, putative isoform 1 MMLPLINMWMVRANQQKHLWMRQPRHDKETPKEAGSTEQVDVANDKSPKSVVSNGIVQTAEDDSLADSNSLKKQEDDHLADKSKNADISSVAEPDRLEAEKVVNSDSKSEQSTQEKGSKSDLKSTEPSDSSHVDEKEPETLTDHKNEVKDDAGSHHDDPSVDGAVSSENKRETSVQPSSPKAAENESTDVASPTPSGTIPDESHSKKAARPKKKESLNKETTPSVDDVSKKASEGTSDSEAKTNKRSGKKVSTVVSNEDNAPADVDETKTESGTASDSEAKSLKQLSKKVDANSNADGSSLKQLEDKKRRARRKLVSEKDGTKTSTKNDDEEKVASQKSVKPNKDDSLMEETPKTNSKRKHTPSKDKASGSIEYDENLVGSKVKVWWPKDRAFYEGIIHSFDSVKKKHKVLYNDGDQEILNLKREKWEFIEDESGSDEEEAADHPSPDGSSEMPQKKKAKSSDQPTKKIKMDDSTKRGGGASSGKPKGAAAKSGRKMKEESKVDGKSKDGSKSVSKPENENAKAKDHTPKSFSKSGDLVLKLGNKSKKEDSGDTPKSTKSKDDGGVTPKASTKSKPDSSKATKSKQETPKISSSSKGKPLKSGGKSNNANGTGKSKSGSSKVKESESLKENSTDSAKVLESAKRKVPSSSKAQG >EOY29355 pep chromosome:Theobroma_cacao_20110822:9:865408:874370:-1 gene:TCM_036919 transcript:EOY29355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein, putative isoform 1 MMLPLINMWMVRANQQKHLWMRQPRHDKETPKEAGSTEQVDVANDKSPKSVVSNGIVQTAEDDSLADSNSLKKQEDDHLADKSKNADISSVAEPDRLEAEKVVNSDSKSEQSTQEKGSKSDLKSTEPSDSSHVDEKEPETLTDHKNEVKDDAGSHHDDPSVDGAVSSENKRETSVQPSSPKAAENESTDVASPTPSGTIPDESHSKKAARPKKKESLNKETTPSVDDVSKKASEGTSDSEAKTNKRSGKKVSTVVSNEDNAPADVDETKTESGTASDSEAKSLKQLSKKVDANSNADGSSLKQLEDKKRRARRKLVSEKDGTKTSTKNDDEEKVASQKSVKPNKDDSLMEETPKTNSKRKHTPSKDKASGSIEYDENLVGSKVKVWWPKDRAFYEGIIHSFDSVKKKHKVLYNDGDQEILNLKREKWEFIEDESGSDEEEAADHPSPDGSSEMPQKKKAKSSDQPTKKIKMDDSTKRGGGASSGKPKGAAAKSGRKMKEESKVDGKSKDGSKSVSKPENENAKAKDHTPKSFSKSGDLVLKLGNKSKKEDSGDTPKSTKSKDDGGVTPKASTKSKPDSSKATKSKQETPKISSSSKGKPLKSGGKSNNANGTGKSKSGSSKVKESESLKENSTDSAKVLESAKRKVPSSSKAQG >EOY29352 pep chromosome:Theobroma_cacao_20110822:9:864611:874734:-1 gene:TCM_036919 transcript:EOY29352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein, putative isoform 1 MAASDKELELQLMEAGNRLVDPPSSVDELIHLLDQVENCLIRVEQSPSQSMQNALSPSLKALVAEQLFRHPDDDVKVAVASCVSEITRITAPDAPYEDDQMKEVFQLIVSSFENLSDKSSRSFIKRTSILETVAKVRSCVVMLDLECDALIIEMFQHFLKAIRDYHAEAVFTSMVTIMTLVLEESEDISTELLSPVLACVKKDNEEVLPVARRLAERVLESCASKLKPYLTQAVENLGISFDDYSSVVSSICQATPVAVEQNDAATDKHVDGESKPAEAPLDETAQEDKETPKEAGSTEQVDVANDKSPKSVVSNGIVQTAEDDSLADSNSLKKQEDDHLADKSKNADISSVAEPDRLEAEKVVNSDSKSEQSTQEKGSKSDLKSTEPSDSSHVDEKEPETLTDHKNEVKDDAGSHHDDPSVDGAVSSENKRETSVQPSSPKAAENESTDVASPTPSGTIPDESHSKKAARPKKKESLNKETTPSVDDVSKKASEGTSDSEAKTNKRSGKKVSTVVSNEDNAPADVDETKTESGTASDSEAKSLKQLSKKVDANSNADGSSLKQLEDKKRRARRKLVSEKDGTKTSTKNDDEEKVASQKSVKPNKDDSLMEETPKTNSKRKHTPSKDKASGSIEYDENLVGSKVKVWWPKDRAFYEGIIHSFDSVKKKHKVLYNDGDQEILNLKREKWEFIEDESGSDEEEAADHPSPDGSSEMPQKKKAKSSDQPTKKIKMDDSTKRGGGASSGKPKGAAAKSGRKMKEESKVDGKSKDGSKSVSKPENENAKAKDHTPKSFSKSGDLVLKLGNKSKKEDSGDTPKSTKSKDDGGVTPKASTKSKPDSSKATKSKQETPKISSSSKGKPLKSGGKSNNANGTGKSKSGSSKVKESESLKENSTDSAKVLESAKRKVPSSSKAQGSDSKSGKKQRRR >EOY32239 pep chromosome:Theobroma_cacao_20110822:9:24055817:24067197:1 gene:TCM_039861 transcript:EOY32239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein MATYHLKCFWGLWLAFSLYFIHSTSTSHVYIVYLGINQFHDPILTSKFHLQVLSDVFASEEDAKRCILYSYRNSFSGFSAKLNSSQATTLAKMENVVSVFRSKILKLHTTRSWDFLGLPLYDSEVTPLQLTYGHDVVVGIFDTGIWPESESFQEKPGMGPIPSSWKGVCVKGEKFEPARACNRKLIGARYYLKGFEQAFGPLNTSGNPEYRSARDFLGHGTHTASTAAGSIVKSAGFFGIGEGIARGGAPWARVAVYKICWGKNRVGRCTEADILAAFDDALHDGVHVISASFGATPPLSPFFASSADIGSFHAMQLGITAVFSAGNDGPDPSLVQNVAPWSVCVAASTIDRSFPTEIVLDSNFSIMGESIISKEIKGKLANAVTYFVNGVCNLGNWKQKLATGKIVLCFYIPGLVFEMAQEAVKTANGLGLIFAEPLTKPIAEVEDTIPTVHVDISQGTIIGNYLAESPKLPLVRIAPSKTIIGKSPAPTVVYFSSRGPSSISPDILKPDITAPGINILAAWPCQTPPTLLPGDNRSVKWNFQSGTSMSCPHVSGVVALVKSVHPDWSPAAIRSAIMTSAYTRDTSHDSILAGGSVAGSSPFDLGAGHINPLRAIDPGLIYDMKTSDYILFLCNMGYTQERIKRMVLPSPGVDTSCEHVVKTNANVNYPSISISNLQSTMTIKRTLRNVGWKKNAIYFGTTKEPDGVAVVIWPRVLIFSPLKQDISYYVTLKPLKKSQGRYDFGEIVWSDGFHYVRSPLVVLVNTAADSTVRSTI >EOY33381 pep chromosome:Theobroma_cacao_20110822:9:37222756:37224653:-1 gene:TCM_041357 transcript:EOY33381 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein, putative MAASNLGGSILKYVYHSSSLYNKNPGQLFFFRGFASTLFVKGISFSTTEDVLANSFAQFGKVVEAKVMMDSVRNRSKGYGYVTFAEEDEARKALTVMNGQLLDGRVIFVDKVRPGSIPRTPSQAADE >EOY29505 pep chromosome:Theobroma_cacao_20110822:9:1388378:1394680:-1 gene:TCM_037019 transcript:EOY29505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MAFLVRKKECRRFMAMLEVEAGRGPQGLWLHLLSTSVYTSLFQDPNIGLLKVEYANIESCSLNIAERRLLGLPPLFLFYFICSNSYKTMAEKMSLTVADAMDYKGFPADKSRTGGWVPAALSLGIEICERLSTMGIAVNLVTYLGGTMHLPSATSANVVTDFMGTSFLLCLLGGFLADSYLGRYRTIAIFAIIQTLGTCMLAVSTRLPELRPPPCQSTEVAQCKQANNFQMGILYLSLYLIALGTGGLKSSVSGFGTDQFDEKDEKEKAQMAYFFNRFFFVISIGTLLAVTVLVYIQDEVGRSWGYGICSASMFVAILIFLSGTKRYRYKKCVGSPVVHICQVFAAAIGKKNVKVPSDIALSYEDLPEALRSHHTDQFCFLDKASVVIEDDYGDDGSFTVNPWKLCPVTRVEEVKKMIRLLPIWATTIIFWTTYAQMMTFSVEQATTMERTIGHFQIPAGSLTVFFVGAILITLAVYDRLVMPLWKKWKGKPGFTNLQRIAIGLFLSAVGMAAAAVAEVKRLSVAKQSSETTTLPITVFLLIPQFFLVGSGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLALGFFLSSLLVSIVKTVTGSSGKQGWVGENINEGRLDCFYGLLAALSFINFALFLVCAAWYRKKTVKRDPELEIIAKESTIEEKC >EOY29506 pep chromosome:Theobroma_cacao_20110822:9:1388380:1391141:-1 gene:TCM_037019 transcript:EOY29506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MLAKHGVDCIEICERLSTMGIAVNLVTYLGGTMHLPSATSANVVTDFMGTSFLLCLLGGFLADSYLGRYRTIAIFAIIQTLGTCMLAVSTRLPELRPPPCQSTEVAQCKQANNFQMGILYLSLYLIALGTGGLKSSVSGFGTDQFDEKDEKEKAQMAYFFNRFFFVISIGTLLAVTVLVYIQDEVGRSWGYGICSASMFVAILIFLSGTKRYRYKKCVGSPVVHICQVFAAAIGKKNVKVPSDIALSYEDLPEALRSHHTDQFCFLDKASVVIEDDYGDDGSFTVNPWKLCPVTRVEEVKKMIRLLPIWATTIIFWTTYAQMMTFSVEQATTMERTIGHFQIPAGSLTVFFVGAILITLAVYDRLVMPLWKKWKGKPGFTNLQRIAIGLFLSAVGMAAAAVAEVKRLSVAKQSSETTTLPITVFLLIPQFFLVGSGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLALGFFLSSLLVSIVKTVTGSSGKQGWVGENINEGRLDCFYGLLAALSFINFALFLVCAAWYRKKTVKRDPELEIIAKESTIEEKC >EOY33479 pep chromosome:Theobroma_cacao_20110822:9:37720297:37726871:1 gene:TCM_041451 transcript:EOY33479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone chaperone HIRA isoform 3 MIAEKPSWVRHEGMQIFSIDVQPGGLRFATGGGDHKVRIWNMKSVGRDLENDESTQRLLATLRDHFGSVNCVRWAKHGRFVASGSDDQVILIHERKPGSGTTEFGSGEPPDVENWKVAMTLRGHTADVVDLNWSPDDSILASGSLDNTIHIWNMSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTEGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWAATFDFLGHNAPVIVVKFNHSMFRRNLANSQEAKATPVGWANGAAKIGGKESQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFGQSVVDLSWSPDGYSLFACSLDGTVATFHFEVKELGHRLSDAELDELKRSRYGDVRGRQANLAESPAQLLLEAASAKQTTSKKVALDVQQNLKSSVELGVTNKNSEPQNNDGKKSRAAASDGSNKAVSAARISSPVKQREYRRPDGRKRIIPEAVGVPTQEEIISGSAQSQVLDFPVISSDHGKNDNGVVPTDGTVREVSVRGTIGRSSDLKERSGFTARATVTDSLVIEKVPVSAGQDHSINVEQSGSMKPSGSTASSTTSLSIRVFDKKEGEDMTPVCLEARPREHAVNDIIGVGNACMMKETEILCTRGAQTLWADRISGKVSVLAGNANFWAVGCEDGCLQVYTKCGRRALPTMMMGSAATFIDCDESWKLLLVTRKGSLYLWDLFNRNCLLHDSLASLISLDLSSSVKGTIKVISAKLSKSGSPLVVLATRHAFLFDMSLMCWLRVADDCFPASNFASSWNLGSIQTGELAALQVDVRKYLARKPGWSSRVTDDGVQTRAHLEAQLASSLALKSPNEYRQSLLSYIRFLARETDESRLREICESFLGPPTGMASDSKNPAWDPYVLGNEKAQTFKRRHSSCNGFK >EOY33478 pep chromosome:Theobroma_cacao_20110822:9:37719786:37726916:1 gene:TCM_041451 transcript:EOY33478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone chaperone HIRA isoform 3 MIAEKPSWVRHEGMQIFSIDVQPGGLRFATGGGDHKVRIWNMKSVGRDLENDESTQRLLATLRDHFGSVNCVRWAKHGRFVASGSDDQVILIHERKPGSGTTEFGSGEPPDVENWKVAMTLRGHTADVVDLNWSPDDSILASGSLDNTIHIWNMSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTEGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWAATFDFLGHNAPVIVVKFNHSMFRRNLANSQEAKATPVGWANGAAKIGGKESQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFGQSVVDLSWSPDGYSLFACSLDGTVATFHFEVKELGHRLSDAELDELKRSRYGDVRGRQANLAESPAQLLLEAASAKQTTSKKVALDVQQNLKSSVELGVTNKNSEPQNNDGKKSRAAASDGSNKAVSAARISSPVKQREYRRPDGRKRIIPEAVGVPTQEEIISGSAQSQVLDFPVISSDHGKNDNGVVPTDGTVREVSVRGTIGRSSDLKERSGFTARATVTDSLVIEKVPVSAGQDHSINVEQSGSMKPSGSTASSTTSLSIRVFDKKEGEDMTPVCLEARPREHAVNDIIGVGNACMMKETEILCTRGAQTLWADRISGKVSVLAGNANFWAVGCEDGCLQVYTKCGRRALPTMMMGSAATFIDCDESWKLLLVTRKGSLYLWDLFNRNCLLHDSLASLISLDLSSSVKGTIKVISAKLSKSGSPLVVLATRHAFLFDMSLMCWLRVADDCFPASNFASSWNLGSIQTGELAALQVDVRKYLARKPGWSRVTDDGVQTRAHLEAQLASSLALKSPNEYRQSLLSYIRFLARETDESRLREICESFLGPPTGMASDSKNPAWDPYVLGMRKHKLLREDILPAMASNRKVQRLLNEFMDLLSEYGSVENNLDKKNQSLPTTSQPVVYLMDATPSEAGQTNSAMLATDKKENPSLGTDQMDCAPSLTDQVNSGTPSTDQVNEAPISEDAGS >EOY33480 pep chromosome:Theobroma_cacao_20110822:9:37721616:37726712:1 gene:TCM_041451 transcript:EOY33480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone chaperone HIRA isoform 3 MSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTEGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWAATFDFLGHNAPVIVVKFNHSMFRRNLANSQEAKATPVGWANGAAKIGGKESQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFGQSVVDLSWSPDGYSLFACSLDGTVATFHFEVKELGHRLSDAELDELKRSRYGDVRGRQANLAESPAQLLLEAASAKQTTSKKVALDVQQNLKSSVELGVTNKNSEPQNNDGKKSRAAASDGSNKAVSAARISSPVKQREYRRPDGRKRIIPEAVGVPTQEEIISGSAQSQVLDFPVISSDHGKNDNGVVPTDGTVREVSVRGTIGRSSDLKERSGFTARATVTDSLVIEKVPVSAGQDHSINVEQSGSMKPSGSTASSTTSLSIRVFDKKEGEDMTPVCLEARPREHAVNDIIGVGNACMMKETEILCTRGAQTLWADRISGKVSVLAGNANFWAVGCEDGCLQVYTKCGRRALPTMMMGSAATFIDCDESWKLLLVTRKGSLYLWDLFNRNCLLHDSLASLISLDLSSSVKGTIKVISAKLSKSGSPLVVLATRHAFLFDMSLMCWLRVADDCFPASNFASSWNLGSIQTGELAALQVDVRKYLARKPGWSRVTDDGVQTRAHLEAQLASSLALKSPNEYRQSLLSYIRFLARETDESRLREICESFLGPPTGMASDSKNPAWDPYVLGMRKHKLLREDILPAMASNRKVQRLLNEFMDLLSEYGSVENNLDKKNQSLPTTSQPVVYLMDATPSEAGQTNSAMLATDKKENPSLGTDQMDCAPSLTDQVNSGTPSTDQVNEAPISEDAGS >EOY29099 pep chromosome:Theobroma_cacao_20110822:9:125597:129481:-1 gene:TCM_036756 transcript:EOY29099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprotein, related, putative MYDKVSTFSHAVTASSTNRFKQKVSDLVGFRTVVSCKSLSIIATMYLCGFNFVPTMAAASGTLRELVSDFVKLDQFNGGNFLRWQKKMHFLLSTLKVVYVLTIQRPEEGENDSVAITRKREKWDNVDYMSKGHILNGLVDGLFDTYQNEATTR >EOY31120 pep chromosome:Theobroma_cacao_20110822:9:7121577:7123350:-1 gene:TCM_038119 transcript:EOY31120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 6 isoform 4 MSNVVVLDNGGGLLKAGQGGERNPAVVIPNCLYRPLTSKKFLHPTTTLSSTTEDLTSAAIRRPIDRGYLINPDLQRDIWSHLFTSLLHVTPSSSSLLLTEALFSLPSIQRSTDELVFEDFGFNSLYVADSPSLVHLYEASRRPYGLVSEAQCSLVVDCGFSFTHAAPVFQNFTVNYGVKRIDLGGKALTNYLKELVSYRSVNVMDETFIMDDVKEKLCFVSVDVERDLQVARKRGNDNLFRCTYVLPDGVTHTKGYVKDPEAAQRHRILTDGATPSRAVETKKETDHLEVMEKSEERKRVDLTKNEFDLTNERFLVPEMIFQPADLGVYHMLFS >EOY31117 pep chromosome:Theobroma_cacao_20110822:9:7120793:7123350:-1 gene:TCM_038119 transcript:EOY31117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 6 isoform 4 MSNVVVLDNGGGLLKAGQGGERNPAVVIPNCLYRPLTSKKFLHPTTTLSSTTEDLTSAAIRRPIDRGYLINPDLQRDIWSHLFTSLLHVTPSSSSLLLTEALFSLPSIQRSTDELVFEDFGFNSLYVADSPSLVHLYEASRRPYGLVSEAQCSLVVDCGFSFTHAAPVFQNFTVNYGVKRIDLGGKALTNYLKELVSYRSVNVMDETFIMDDVKEKLCFVSVDVERDLQVARKRGNDNLFRCTYVLPDGVTHTKGYVKDPEAAQRHRILTDGATPSRAVETKKETDHLEVMEKSEERKRVDLTKNEFDLTNERFLVPEMIFQPADLGMNEAGLAECIVRAVNACHPCLHPVLFQSIILTGGSTLFPRFAERLEKDLRPLVPDDYQVKITTQEDPILGVWRGGSLLASSPEYESMCVTKAEYEELGSARCRRRFFH >EOY31119 pep chromosome:Theobroma_cacao_20110822:9:7120190:7123350:-1 gene:TCM_038119 transcript:EOY31119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 6 isoform 4 MSNVVVLDNGGGLLKAGQGGERNPAVVIPNCLYRPLTSKKFLHPTTTLSSTTEDLTSAAIRRPIDRGYLINPDLQRDIWSHLFTSLLHVTPSSSSLLLTEALFSLPSIQRSTDELVFEDFGFNSLYVADSPSLVHLYEASRRPYGLVSEAQCSLVVDCGFSFTHAAPVFQNFTVNYGVKRIDLGGKALTNYLKELVSYRSVNVMDETFIMDDVKEKLCFVSVDVERDLQVARKRGNDNLFRCTYVLPDGVTHTKGYVKDPEAAQRHRILTDGATPSRAVETKKETDHLEVMEKSEERKRVDLTKNEFDLTNERFLVPEMIFQPADLGNVCHQG >EOY31118 pep chromosome:Theobroma_cacao_20110822:9:7120425:7123575:-1 gene:TCM_038119 transcript:EOY31118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 6 isoform 4 MSNVVVLDNGGGLLKAGQGGERNPAVVIPNCLYRPLTSKKFLHPTTTLSSTTEDLTSAAIRRPIDRGYLINPDLQRDIWSHLFTSLLHVTPSSSSLLLTEALFSLPSIQRSTDELVFEDFGFNSLYVADSPSLVHLYEASRRPYGLVSEAQCSLVVDCGFSFTHAAPVFQNFTVNYGVKRIDLGGKALTNYLKELVSYRSVNVMDETFIMDDVKEKLCFVSVDVERDLQVARKRGNDNLFRCTYVLPDGVTHTKGYVKDPEAAQRHRILTDGATPSRAVETKKETDHLEVMEKSEERKRVDLTKNEFDLTNERFLVPEMIFQPADLGMNEAGLAECIVRAVNACHPCLHPVLFQSIILTGGSTLFPRFAERLEKDLRPLVPDDYQVKITTQEDPILGVWRGGSLLASSPEYESMCVTKAEYEELGSARCRRRFFH >EOY32947 pep chromosome:Theobroma_cacao_20110822:9:34603374:34605508:1 gene:TCM_040962 transcript:EOY32947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein, putative MRKKLDTRFPAARIKKIMQADEDVGKIAMAVPVLVSKALELFLQDLCDRTYEITLMRGARTMNSLHLKQCVRAFNDFDFLGEIVGKVPDLGGSDAAGNDHSGAKRRKVVDDDDNASGDESKRRRIHETSHFTSCGRGRGRGRGRGRGRGSKTAERETATHCEKFEDDPDISHCQEKHTLSIQRLDDREEPDELKNHNSAGKNVEAPVGSFDLNVDLNENRDSTISVAPASPITDSIPEKQEEYPGYSFSEIEKMAIDPIELANLNRGIDEEDYDEEG >EOY30534 pep chromosome:Theobroma_cacao_20110822:9:4896892:4899781:1 gene:TCM_037710 transcript:EOY30534 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 3 MDNEKKESTSFSKGLLQSEELYQYILGTSVYPRESEHLKELRDITATHPRAVMATAPDAGQLIALLLKLINAKRTIEVGVFTGYSLLLTALTIPEDGKIVAIDMNREAYEIGLPIIRRAGVDNKIDFIESEALPVLDQLLEDPGNENGFDFAFIDADKINYWNYHERLMKLVKVGGIVVYDNTLWGGTVALSEQSTPEAMREGRQRTLDVNKLLAADSRVQISLAPLGDGITICRRIL >EOY30535 pep chromosome:Theobroma_cacao_20110822:9:4896892:4899894:1 gene:TCM_037710 transcript:EOY30535 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 3 MDNEKKESTSFSKGLLQSEELYQYILGTSVYPRESEHLKELRDITATHPRAVMATAPDAGQLIALLLKLINAKRTIEVGVFTGYSLLLTALTIPEDVQIVAIDMNREAYEIGLPIIRRAGVDNKIDFIESEALPVLDQLLEDPGNENGFDFAFIDADKINYWNYHERLMKLVKVGGIVVYDNTLWGGTVALSEQSTPEAMREGRQRTLDVNKLLAADSRVQISLAPLGDGITICRRIL >EOY30533 pep chromosome:Theobroma_cacao_20110822:9:4897957:4899760:1 gene:TCM_037710 transcript:EOY30533 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 3 MDNEKKESTSFSKGLLQSEELYQYILGTSVYPRESEHLKELRDITATHPSSEGIKSIIRALKISPTMKIDLFLDLLTNGAKDNKWAVMATAPDAGQLIALLLKLINAKRTIEVGVFTGYSLLLTALTIPEDGKIVAIDMNREAYEIGLPIIRRAGVDNKIDFIESEALPVLDQLLEDPGNENGFDFAFIDADKINYWNYHERLMKLVKVGGIVVYDNTLWGGTVALSEQSTPEAMREGRQRTLDVNKLLAADSRVQISLAPLGDGITICRRIL >EOY29307 pep chromosome:Theobroma_cacao_20110822:9:705629:708454:1 gene:TCM_036889 transcript:EOY29307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MLLISLHSKLHLVFALMALTAGSAGVAIADVTVDACVAQNSISHPSLAADMQSLCALTSATGALVGFSISGVFVHLIGPKGVFGLLTIPAALVFSVGIVLAEPNMPNFAYKEVSQKFLHAGKAMWTTLKCPEVWRPCLYMYLSFAVSLNINEGLFYWYTDSKQGPSFSQETIGYIFSIGAVGAILGAILYQNMLKDHPFRDLLFWIQLFYGLAGMLDLMLVLRVNLKFGIPDYLFVVIGEAVSQMIARLKWMPLLVLSAKLCPTGIEGTFFALLMSIDNVGLLSSSWGGGLLLHMLNVTRTKFDNLWLAILIRNILRLSPLSILFLVPRGDPNSSILPTEMLSSKDETEADEPKDIELVSLVNSVDGR >EOY29308 pep chromosome:Theobroma_cacao_20110822:9:705629:708454:1 gene:TCM_036889 transcript:EOY29308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MLLISLHSKLHLVFALMALTAGSAGVAIADVTVDACVAQNSISHPSLAADMQSLCALTSATGALVGFSISGVFVHLIGPKGVFGLLTIPAALVFSVGIVLAEPNMPNFAYKEKFLHAGKAMWTTLKCPEVWRPCLYMYLSFAVSLNINEGLFYWYTDSKQGPSFSQETIGYIFSIGAVGAILGAILYQNMLKDHPFRDLLFWIQLFYGLAGMLDLMLVLRVNLKFGIPDYLFVVIGEAVSQMIARLKWMPLLVLSAKLCPTGIEGTFFALLMSIDNVGLLSSSWGGGLLLHMLNVTRTKFDNLWLAILIRNILRLSPLSILFLVPRGDPNSSILPTEMLSSKDETEADEPKDIELVSLVNSVDGR >EOY29306 pep chromosome:Theobroma_cacao_20110822:9:704977:708424:1 gene:TCM_036889 transcript:EOY29306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein isoform 2 MVEEGNFEPCNKAVEEDNEPKGLCYFFWGPIYWLKMLAVETHWSFVFGVVSVYGISQGLGGALARVGTEYYMKDVQKVQPSESQVYSGITSIPWIVKPIWGLLTDVVPIRGYRRRPYFILSGLLGLVSMLLISLHSKLHLVFALMALTAGSAGVAIADVTVDACVAQNSISHPSLAADMQSLCALTSATGALVGFSISGVFVHLIGPKGVFGLLTIPAALVFSVGIVLAEPNMPNFAYKEVSQKFLHAGKAMWTTLKCPEVWRPCLYMYLSFAVSLNINEGLFYWYTDSKQGPSFSQETIGYIFSIGAVGAILGAILYQNMLKDHPFRDLLFWIQLFYGLAGMLDLMLVLRVNLKFGIPDYLFVVIGEAVSQMIARLKWMPLLVLSAKLCPTGIEGTFFALLMSIDNVGLLSSSWGGGLLLHMLNVTRTKFDNLWLAILIRNILRLSPLSILFLVPRGDPNSSILPTEMLSSKDETEADEPKDIELVSLVNSVDGR >EOY31930 pep chromosome:Theobroma_cacao_20110822:9:17941986:17942994:1 gene:TCM_039297 transcript:EOY31930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSSSWSFGNGGFNQNLPAPLSIMQIPCVLCDEVLYGFDALVDHYVSHMLAYEGLPINIHHNGRNCPLIPLVQNFILPPLRLIPSSVQLRNYLLAQNWAYLRNYALRYRQTSQSRVRALENHAPHAVPLAALVRIGSSSTIGPQRWLSNPTMEILQRENQLPTFLFQQRRYHNLRRRNLNQREENQILANGVMLAPPTQQRDNRDPTDDIVIVPPAQQRDNRNGNLTDGIVLTHPAQQRDNRNLIDGIMLSHLAQQKDN >EOY32672 pep chromosome:Theobroma_cacao_20110822:9:32749985:32754617:-1 gene:TCM_040702 transcript:EOY32672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVDPSLIKKDVARHFEKLYGYRSVVAIKDWKVDFRRLTKQAVGELKSQFSEEEKFHDMGNFDERINSFFITLIPKCNNPTTLNEFGPISLVTSVYKMVAKVLTNRLQMVIGDSRQGEVFFKVDFEKAYDNVNWCFLDVMMRKGALMNKAVLNGMCQGVEIGNRGMSSLYGIKYGDGVESWASRIPCLVRSLPMTYLGLPLGMGYLIGNGANINFRDDEWMDGIILHLRRRVLGWETNAWGQFNECIKHIHLDYEKWRCTTTSQMWTHGAVYGLALTFKGGAVRVAND >EOY29390 pep chromosome:Theobroma_cacao_20110822:9:1018190:1021909:-1 gene:TCM_036944 transcript:EOY29390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aberrant lateral root formation 4, putative isoform 1 MSAEKTELDGSSSANPLLLQLQQILTSCSESIDGGGDLGQSQTSVAELVNFLDSLSDAAISEPENEDASANALEILSETYNFLCSPSLDQEVFDALSFELPKSVSKFSGVSLKCLEIADNIIDRFIQTCSPRDMLSILCEALDSPNKTVKASVYVSPLLSGLSKVFLSIQRRHFEQIKVAVPVVVKVVNTISSESDYEDSELETLFDRAVDIGHSIQVVSTKLEAGVNEKLQALLGLYVLQILALVSVSSRCNSSNHLPFAVRLACFLPYCGLSYCGLITGSDVDKISGIVIGENEDDSMIFSSHVYLGASISVIWAQMCDEVAQVAKEDLSAVKGELQIIQTKRWQAIGMLKHIFSSVDLPWEFKRHAVDFLLDITNGNNSKTLDDEHNDCSLYMTSLFSALQAITMIIIYASDTVLRKNAFEALKRVLADIPNSQRFDILKALIEKSESSSMVAILLDCVRGEMHMESTLRTSIGKNEVLGADDKACKNTLFWSTSILELVESVLRPLNGGPPILPENGDAGKPTTLEC >EOY29388 pep chromosome:Theobroma_cacao_20110822:9:1018192:1021723:-1 gene:TCM_036944 transcript:EOY29388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aberrant lateral root formation 4, putative isoform 1 MSAEKTELDGSSSANPLLLQLQQILTSCSESIDGGGDLGQSQTSVAELVNFLDSLSDAAISEPENEDASANALEILSETYNFLCSPSLDQEVFDALSFELPKSVSKFSGVSLKCLEIADNIIDRFIQTCSPRDMLSILCEALDSPNKTVKASVYVSPLLSGLSKVFLSIQRRHFEQIKVAVPVVVKVVNTISSESDYEDSELETLFDRAVDIGHSIQVVSTKLEAGVNEKLQALLGLYVLQILALVSVSSRCNSSNHLPFAVRLACFLPYCGLSYCGLITGSDVDKISGIVIGENEDDSMIFSSHVYLGASISVIWAQMCDEVAQVAKEDLSAVKGELQIIQTKRWQAIGMLKHIFSSVDLPWEFKRHAVDFLLDITNGNNSKTLDDEHNDCSLYMTSLFSALQAITMIIIYASDTVLRKNAFEALKRVLADIPNSQRFDILKALIEKSESSSMVAILLDCVRGEMHMESTLRTSIGKNEVLGADDKACKNTLFWSTSILELVESVLRPLNGGPPILPENGDAVLSALNLYRFVLMTESAGKTNYTGV >EOY29387 pep chromosome:Theobroma_cacao_20110822:9:1017620:1021858:-1 gene:TCM_036944 transcript:EOY29387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aberrant lateral root formation 4, putative isoform 1 MSAEKTELDGSSSANPLLLQLQQILTSCSESIDGGGDLGQSQTSVAELVNFLDSLSDAAISEPENEDASANALEILSETYNFLCSPSLDQEVFDALSFELPKSVSKFSGVSLKCLEIADNIIDRFIQTCSPRDMLSILCEALDSPNKTVKASVYVSPLLSGLSKVFLSIQRRHFEQIKVAVPVVVKVVNTISSESDYEDSELETLFDRAVDIGHSIQVVSTKLEAGVNEKLQALLGLYVLQILVGALMLNQSSRYILDVQALVSVSSRCNSSNHLPFAVRLACFLPYCGLSYCGLITGSDVDKISGIVIGENEDDSMIFSSHVYLGASISVIWAQMCDEVAQVAKEDLSAVKGELQIIQTKRWQAIGMLKHIFSSVDLPWEFKRHAVDFLLDITNGNNSKTLDDEHNDCSLYMTSLFSALQAITMIIIYASDTVLRKNAFEALKRVLADIPNSQRFDILKALIEKSESSSMVAILLDCVRGEMHMESTLRTSIGKNEVLGADDKACKNTLFWSTSILELVESVLRPLNGGPPILPENGDAVLSALNLYRFVLMTESAVMGRINTILIDLGPKTQLLVLHQSSSILLFIFDLVANGTGKTNYTGVLSKNNLQKAYNEWLLPLRTLVTGMMAENKSDYDQLAIDTVCALNPVELVLYRCIELVEEKLKHSNMTSVQHA >EOY29389 pep chromosome:Theobroma_cacao_20110822:9:1018888:1021723:-1 gene:TCM_036944 transcript:EOY29389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aberrant lateral root formation 4, putative isoform 1 MSAEKTELDGSSSANPLLLQLQQILTSCSESIDGGGDLGQSQTSVAELVNFLDSLSDAAISEPENEDASANALEILSETYNFLCSPSLDQEVFDALSFELPKSVSKFSGVSLKCLEIADNIIDRFIQTCSPRDMLSILCEALDSPNKTVKASVYVSPLLSGLSKVFLSIQRRHFEQIKVAVPVVVKVVNTISSESDYEDSELETLFDRAVDIGHSIQVVSTKLEAGVNEKLQALLGLYVLQILALVSVSSRCNSSNHLPFAVRLACFLPYCGLSYCGLITGSDVDKISGIVIGENEDDSMIFSSHVYLGASISVIWAQMCDEVAQVAKEDLSAVKGELQIIQTKRWQAIGMLKHIFSSVDLPWEFKRHAVDFLLDITNGNNSKTLDDEHNDCSLYMTSLFSALQAITMIIIYASDTVLRKNAFEALKRVLADIPNSQRFDILKALIEKSESSSMVAILLDCVRGEMHMESTLRTSIGKNEVLGADDKACKNTLFWSTSILELVESVLRPLNGGPPILPENGDAVFLLHLLPVDV >EOY30479 pep chromosome:Theobroma_cacao_20110822:9:4739328:4741554:1 gene:TCM_037676 transcript:EOY30479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2752 MASYLWRKYADYVYTKWERTLLWDMLEPYRRPKSFTPLVTIYIAAFYTGVIGSAITEQRYKEKYWEDHPGEAVPLMKPKFYGGPWKVLKGIEPTASDAAPIHLKAINKIQTRCQHS >EOY31929 pep chromosome:Theobroma_cacao_20110822:9:17919097:17931996:1 gene:TCM_039295 transcript:EOY31929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGFQLISTTSSPRSVDLGKENGRNLSIRVDYKLHIVNPSRDGGFVPLVIHAYCTMMIYGAYKDGAMRHHKTSLFALAMGRDMTPSFAATMVCDTILYVVCRLATVHDYATSRDDHSIIRCDDYVVACLSI >EOY32511 pep chromosome:Theobroma_cacao_20110822:9:30682619:30687701:-1 gene:TCM_040483 transcript:EOY32511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MLKPQVYQSYSSKTLLPLQKPFLNGTGPFSLPVASRLSSFNKTCKNVKVGFFSTNIEAAFSFTQKAVGVKATVTVKQTVTGSLANLGISRGLDDIQDLLGRTLLLELVSTDLDPKTGLEKERIKGYARQVSKEDEEVKHEAKIEVPADFGEIGAVVVENEHHKEMFVQDIVLDGLKNGPVNVNCVSWVHSKYDNPQKRVFFTDKSYLPSETPSGLKKLRRGELELLRGDGQGERKSFDRIYDYDVYNDLGDPDNDLSKKRPILGGKEFPYPRRCRTGRPQCDPDPISEKRTTILPYVPRDEVFSDVKLLTFSTNYLYCLLHGMIPSLESAIVDANVGFPSLAAIEELFNEGINLPLQGKGFLKSVMPRLIKTITDGGDSVLRFETPPTVHKDKLFWYRDEEFARQTLAGCNPYGIRLVTEWPLKSKLDPKVYGPAESAITKDLIERQIKGYMTVDKAIKEKKLFILDYHDWLLPYVQKVRELEGTTLYGSRTLFFLNPDETLRPLAIELTRPPMDGKPQWKEVYTPSWNSTDVWLWRLAKAHVLAHDSYHHQLVSHWLRTHCCTEPYILAANRQLSEMHPIYRLLHPHFRYTMETNALGRQFLINADGIIESSFTPSKYSMELNSLAYDQEWRFDNQGLPADLISRGMATEDPTAPHGLRLAIKDYPFANDGLILWDAIKQWISEYVTYYYPKASLVESDEELQAWWTEVRTVGHIDKKDEPWWPVLKTPQDLIDTLTTIVWVCSGHHASVNFGQYAYAGYFPNRPTIARTKMPTEDSTEEEWKFFLDNPEAVLLECFPSQMQAARVMAVWDVLSSHSPDEEYIGEKVEPSWAENPVIKAAFERFNGKLKELGRIVDERNAETNLKNRRGAGIVPYEFLKPFSEPGVTGKGVPNSISI >EOY32289 pep chromosome:Theobroma_cacao_20110822:9:26106874:26119244:-1 gene:TCM_040022 transcript:EOY32289 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MDQQSQLAVILGPDPAPFETLISHLMSSSNEQRSHAEVLFNLCKQSDPDALCLRLAHLLQVCAQPETRAMAAILLRKLLTRDDSYIWPRLNISTQSSLKSVLLAQIQVENTKTLSKKLCDTVAELASSILPENGWPELLPFMFQCVSSDSPRLQESAFLIFAQLSQYIGDVLTPFIKDLHAVFLRCLSESSNADVKIAALNAVINFIQCLTSLSDRDRFQDLLPAMMRTLTEALNNGNEATAQEALELLIELAGTEPRFLRRQLVDVVGSMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFAILMGMLLDIEDDPAWYTAETEDEDAGETSNYSVGQECLDRLAISLGGNTIVPVASEQLPAYLAASEWQKHHAALIALAQIAEGCAKVMIKNLEQVVSMVLNSFHDSHPRVRWAAINAIGQLSTDLGPDLQNQYHQRVLPALAAAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKTILVNATDKSNRMLRAKSMECISLVGMAVGKEKFRDDAKQVMEVLMSLQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMRVVMPPLLQSAQLKPDVTITSADSDNDIEDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGMAQGRNETYVKQLSDFIIPALVEALHKEPDTEICASMLDALNECLQQITGPLLDEGQVRSIVDEIKQVITASASRKRERAERAKAEDFDAEEGEFVKEENEQEEEVFDQVGEILGTLIKTFKASFLPFFDELSSYLTPMWGKDKTAEERRIAICIFDDIAEQCREAALKYYETYLPFILEACNDENPDVRQQAAVYGLGVCAEFGGPVFKPLVGEALSRLNVVIRHPNALQPENVMAYDNAVSALGKICLFHRDRIDAAQVVPAWLNCLPIKGDLIEAKVVHEQLCSMVERSDNEVLGPNHQYLPKIVAVFAEVLCGKDLATEQTASRMVNLLRQLQQTLPPATLASTWSSLQPQQQLALQSILS >EOY32288 pep chromosome:Theobroma_cacao_20110822:9:26106874:26119244:-1 gene:TCM_040022 transcript:EOY32288 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MDQQSQLAVILGPDPAPFETLISHLMSSSNEQRSHAEVLFNLCKQSDPDALCLRLAHLLQVCAQPETRAMAAILLRKLLTRDDSYIWPRLNISTQSSLKSVLLAQIQVENTKTLSKKLCDTVAELASSILPENGWPELLPFMFQCVSSDSPRLQESAFLIFAQLSQYIGDVLTPFIKDLHAVFLRCLSESSNADVKIAALNAVINFIQCLTSLSDRDRFQDLLPAMMRTLTEALNNGNEATAQEALELLIELAGTEPRFLRRQLVDVVGSMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFAILMGMLLDIEDDPAWYTAETEDEDAGETSNYSVGQECLDRLAISLGGNTIVPVASEQLPAYLAASEWQKHHAALIALAQIAEGCAKVMIKNLEQVVSMVLNSFHDSHPRVRWAAINAIGQLSTDLGPDLQNQYHQRVLPALAAAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKTILVNATDKSNRMLRAKSMECISLVGMAVGKEKFRDDAKQVMEVLMSLQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMRVVMPPLLQSAQLKPDVTITSADSDNDIEDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGMAQGRNETYVKQLSDFIIPALVEALHKEPDTEICASMLDALNECLQITGPLLDEGQVRSIVDEIKQVITASASRKRERAERAKAEDFDAEEGEFVKEENEQEEEVFDQVGEILGTLIKTFKASFLPFFDELSSYLTPMWGKDKTAEERRIAICIFDDIAEQCREAALKYYETYLPFILEACNDENPDVRQAAVYGLGVCAEFGGPVFKPLVGGKFCVIVEKVIYLFLI >EOY32287 pep chromosome:Theobroma_cacao_20110822:9:26106414:26120660:-1 gene:TCM_040022 transcript:EOY32287 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein isoform 3 MDQQSQLAVILGPDPAPFETLISHLMSSSNEQRSHAEVLFNLCKQSDPDALCLRLAHLLQVCAQPETRAMAAILLRKLLTRDDSYIWPRLNISTQSSLKSVLLAQIQVENTKTLSKKLCDTVAELASSILPENGWPELLPFMFQCVSSDSPRLQESAFLIFAQLSQYIGDVLTPFIKDLHAVFLRCLSESSNADVKIAALNAVINFIQCLTSLSDRDRFQDLLPAMMRTLTEALNNGNEATAQEALELLIELAGTEPRFLRRQLVDVVGSMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFAILMGMLLDIEDDPAWYTAETEDEDAGETSNYSVGQECLDRLAISLGGNTIVPVASEQLPAYLAASEWQKHHAALIALAQIAEGCAKVMIKNLEQVVSMVLNSFHDSHPRVRWAAINAIGQLSTDLGPDLQNQYHQRVLPALAAAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKTILVNATDKSNRMLRAKSMECISLVGMAVGKEKFRDDAKQVMEVLMSLQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMRVVMPPLLQSAQLKPDVTITSADSDNDIEDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGMAQGRNETYVKQLSDFIIPALVEALHKEPDTEICASMLDALNECLQITGPLLDEGQVRSIVDEIKQVITASASRKRERAERAKAEDFDAEEGEFVKEENEQEEEVFDQVGEILGTLIKTFKASFLPFFDELSSYLTPMWGKDKTAEERRIAICIFDDIAEQCREAALKYYETYLPFILEACNDENPDVRQAAVYGLGVCAEFGGPVFKPLVGEALSRLNVVIRHPNALQPENVMAYDNAVSALGKICLFHRDRIDAAQVVPAWLNCLPIKGDLIEAKVVHEQLCSMVERSDNEVLGPNHQYLPKIVAVFAEVLCGKDLATEQTASRMVNLLRQLQQTLPPATLASTWSSLQPQQQLALQSILS >EOY33893 pep chromosome:Theobroma_cacao_20110822:9:39279883:39281654:1 gene:TCM_041733 transcript:EOY33893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein MTKERKIGVAVDFSKGSKLALQWAIDNLLDKGDTLYLIHVKPQQGDESRNLLWSTTGSPLIPLAEFREKEVMQKYEVNLDPEVLDLVDTASRQKQVTIVAKIYWGDARDRICEAVGDLKLDCLVMGSRGLGTIQRVLIGSVSNYVMVNATCPVTIVKDPSAHGF >EOY29520 pep chromosome:Theobroma_cacao_20110822:9:1437611:1440500:1 gene:TCM_037031 transcript:EOY29520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEFKFRAVDKRPPNCFSYSSSSFNYLSGQAFRPNPRFDFNRSRPDQVQRELEKAQIREEIIASEIARRRALEAEVRREMMAEREMAAMHMARETGLSFEHRLTMRLDPRRPFMHHFSNHHRWRSEERLDLLPPLPPPPPPMLPSRVTEVLDTQMKATSEGNKNKLIILAQLFHFLFTYSIQLTTMWGSLSTMVVTEVVYVFIRCDVIPSRRLPSVFVENLYASLVSSNMAKPDPNRVVGAKRKTPPLAGELPLPLINLKKKPDEEWSCAVCQVSATSEKGLTEHLQGRKHKAKEARLRAERMEKNSNTNTTRLPKKPRKRPKVAETETDKKLTQLNKNVDGSDQKLEEREKLKNKEDELPVQKKEAERFRKKNGNANSLMKKHGLMAVDKVERTPEFRKKKRFKFWCKMCLVGAYSEVVMETHKKGKRHIARVQEHDENNAAAPATTTTTNTVTEQASPDFTQMPNDTDVVANEAKDKQMDVSETDFCNYSPVVN >EOY33504 pep chromosome:Theobroma_cacao_20110822:9:37879817:37882712:-1 gene:TCM_041473 transcript:EOY33504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine-containing phosphotransfer protein, putative MVGTTLSQELKNYIQSMHDQGVLDHHFDHVKALQNEENPQFVMEVISMFCQDAEIGVAEITRFLNAPVVDFAKVINFVHQLKGSSSSIGGQRMALACRELRQASENRDKERCLEIFERMKQEYNTLRGCLNAISQMERTILENETRRRNP >EOY33064 pep chromosome:Theobroma_cacao_20110822:9:35311360:35316527:-1 gene:TCM_041072 transcript:EOY33064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isovaleryl-CoA-dehydrogenase isoform 1 MQRISAARSLCGKILRKERQRAFFSSTSLLFDDTQLQFKESVRQFAQENIAPHASKIDQSNSFPKDVNLWKLMGDFNLHGITAPEEYGGLGLGYLYHCIALEEISRASGSVGLSFGAHSNLCINQLVRNGSPAQKEKYLPKLISGEHVGALAMSEPNSGSDVVSMKCKADRVDGGYVLNGNKMWCTNGPVAQTLVVYAKTDVTAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGKEGKGVYVLMSGLDLERLVLAAGPLGIMQACLDVVLPYIRERVQFGRPIGEFQFIQGKVADMYTSLQSSRSYVYSVARDCDNGRVDPKDCAGVILWAAERATQVALQVKDLFIFIHIFHFILFMQ >EOY33063 pep chromosome:Theobroma_cacao_20110822:9:35310842:35316861:-1 gene:TCM_041072 transcript:EOY33063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isovaleryl-CoA-dehydrogenase isoform 1 MQRISAARSLCGKILRKERQRAFFSSTSLLFDDTQLQFKESVRQFAQENIAPHASKIDQSNSFPKDVNLWKLMGDFNLHGITAPEEYGGLGLGYLYHCIALEEISRASGSVGLSFGAHSNLCINQLVRNGSPAQKEKYLPKLISGEHVGALAMSEPNSGSDVVSMKCKADRVDGGYVLNGNKMWCTNGPVAQTLVVYAKTDVTAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGKEGKGVYVLMSGLDLERLVLAAGPLGIMQACLDVVLPYIRERVQFGRPIGEFQFIQGKVADMYTSLQSSRSYVYSVARDCDNGRVDPKDCAGVILWAAERATQVALQAIQCLGGNGYVNEYTTGRLLRDAKLYEIGAGTSEIRRMVIGRELFKQQ >EOY30646 pep chromosome:Theobroma_cacao_20110822:9:5263487:5279259:-1 gene:TCM_037782 transcript:EOY30646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein with leucine-rich repeat domain, putative isoform 1 MPPHAVPSQESIFLRGYDTGKYQSDVWVCVLVNHASSQLHDAQEQAILIELKRHWQNPSSINHWIPSSNSSYHCSWPEITCINNSVAELHLANKTIGVTIPSSICDLKKLTSIDLNYNNLVGKFPKTLYNCSKLEYLDLSQNYFVGTIPDDIDSLGQLQNLNLMGNNFSGQIPVAIGRLQDLRSLQLSSNQFNGSFPPEIGNLSKLEFLGLAYHTNLLPSKLPSSFKQLKKLKTLWMRKANLIGDIPDMIGAMTALEVLDLSENELTGKIPNALFSLKNLKGMYLFRNNLSGEIPQVIRASNLSVIDLSGNKLTGRIPDDIGKLENLLGLVLFFNQLSGEIPESIGRISTLTDVRLFSNNLSGTLPPDFGRYSMLEYFEVASNRLTGMLPEHLCYGGKLKGVVAFDNNLTGELPKSLGNCNSLIMFDIRNNSLTGNIPGGLWTSLNLSQLRISDNFFTDELPRKVAHSLVRLEISNNRFFGKIPVEVNLWSDLSVFIASNNFFNGAIPRELTALPSLTMLLLDQNQLHGFLPSDIISWKSLQTLNLSRNKLSGQIPETIGFLPNLKGLDLSENQFSGQIPPQLGRLRFTPFNLSSNHLIGSIPREFENAAYSNSFLNNPGLCATNANVNLVICGSRKNSSVSYPHNLIWISSVVIAVLALSFSFLFFMTKFYWKRNRALVSSIWELTAFQTLNFTVEIILSALKDQTNKIGEGGSGEVYRVGITGNNDFVAVKRICNNKKLGQELEKEFRAEVMTLSRTRHLNIVKLMCCISSEYSKLLVYEYMENGSLYLWLDKHRASAITLDWPKRFKIAIGAAQGLCYMHHGCSPPIIHRDVKSSNILLDSEFNAKIADFGLAKMLIKQGEPTSISVVAGSFGYMAPEYARTKRINEKIDVYSFGVVLLELTTGREANYGDEYRSLAEWAQDYFQGGNSIVDALDEGIREACHLNQMCNVFKLGIYCTRTLPSERPCMRTVLQMLLQSAHLPNHQDKNAEEEYVTAHLLQNLMDGSTSGSNNVDSKPTVKVVNIADLIKLTFRKRKLHQELPSILPNHTRVHDFLNIPASGKKTKMPSSKGCLYSVWSVIPKKKEQILKNQRKR >EOY30647 pep chromosome:Theobroma_cacao_20110822:9:5273159:5279259:-1 gene:TCM_037782 transcript:EOY30647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein with leucine-rich repeat domain, putative isoform 1 MPPHAVPSQESIFLRGYDTGKYQSDVWVCVLVNHASSQLHDAQEQAILIELKRHWQNPSSINHWIPSSNSSYHCSWPEITCINNSVAELHLANKTIGVTIPSSICDLKKLTSIDLNYNNLVGKFPKTLYNCSKLEYLDLSQNYFVGTIPDDIDSLGQLQNLNLMGNNFSGQIPVAIGRLQDLRSLQLSSNQFNGSFPPEIGNLSKLEFLGLAYHTNLLPSKLPSSFKQLKKLKTLWMRKANLIGDIPDMIGAMTALEVLDLSENELTGKIPNALFSLKNLKGMYLFRNNLSGEIPQVIRASNLSVIDLSGNKLTGRIPDDIGKLENLLGLVLFFNQLSGEIPESIGRISTLTDVRLFSNNLSGTLPPDFGRYSMLEYFEVASNRLTGMLPEHLCYGGKLKGVVAFDNNLTGELPKSLGNCNSLIMFDIRNNSLTGNIPGGLWTSLNLSQLRISDNFFTDELPRKVAHSLVRLEISNNRFFGKIPVEVNLWSDLSVFIASNNFFNGAIPRELTALPSLTMLLLDQNQLHGFLPSDIISWKSLQTLNLSRNKLSGQIPETIGFLPNLKGLDLSENQFSGQIPPQLGRLRFTPFNLSSNHLIGSIPREFENAAYSNSFLNNPGLCATNANVNLVICGSRKNSSVSYPHNLIWISSVVIAVLALSFSFLFFMTKFYWKRNRALVSSIWELTAFQTLNFTVEIILSALKDQTNKIGEGGSGEVYRVGITGNNDFVAVKRICNNKKLGQELEKEFRAEVMTLSRTRHLNIVKLMCCISSEYSKLLVYEYMENGSLYLWLDKHRASAITLDWPKRFKIAIGAAQGLCYMHHGCSPPIIHRDVKSSNILLDSEFNAKIADFGLAKMLIKQGEPTSISVVAGSFGYMAPEYARTKRINEKIDVYSFGVVLLELTTGREANYGDEYRSLAEWAQDYFQGGNSIVDALDEGIREACHLNQMCNVFKLGIYCTRTLPSERPCMRTVLQMLLQSAHLPNHQDKNAEEEYVTAHLLQNLMDGSTSGSNNVDSV >EOY33410 pep chromosome:Theobroma_cacao_20110822:9:37346845:37351982:1 gene:TCM_041385 transcript:EOY33410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase-like protein 1 isoform 2 MEKGKRHVVVSALQFACTDDIPTNLATAERLVRAAHEKGANIILIQELFEGYYFCQAQREDFFQRAKPYKDHPTIQRMQKLAKELGVVIPVSFFEEANNAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKVFQTKFAKIGVGICWDQWFPEAARAMVLQGAEILFYPTAIGSEPQDEGLDSCDHWQRVMQGHAGANVVPLVASNRIGKEMIETEHGNSEITFYGNSFIAGPTGEIVAAANDKEEAVLIAHFDLGKIKSKRSSWGVFRDRRPDLYKVLLTSDGSKPSSIH >EOY33409 pep chromosome:Theobroma_cacao_20110822:9:37346908:37352784:1 gene:TCM_041385 transcript:EOY33409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase-like protein 1 isoform 2 MEKGKRHVVVSALQFACTDDIPTNLATAERLVRAAHEKGANIILIQELFEGYYFCQAQREDFFQRAKPYKDHPTIQRMQKLAKELGVVIPVSFFEEANNAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKVFQTKFAKIGVGICWDQWFPEAARAMVLQGAEILFYPTAIGSEPQDEGLDSCDHWQRVMQGHAGANVVPLVASNRIGKEMIETEHGNSEITFYGNSFIAGPTGEIVAAANDKEEAVLIAHFDLGVLLISPLFSSPRYHRPPPKNFAAPPGQGDDGTLPFCSNEAVSRYWLPPGGPELSMRGYYSIGSSCLVDHFFRRRVVQSSTFCSFDIQIYFLFFYLSLLQPKIGSSLIPNICLLTIHQR >EOY33961 pep chromosome:Theobroma_cacao_20110822:9:39490962:39493925:-1 gene:TCM_041784 transcript:EOY33961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein, putative MAADLKSLLILVTFFMFLNRFALPQEQNQFIFNGFKGANLHLNGIAKILPNGLLELTNTSNIQVGRAFFPFPLKFNKSSSNSSDSLSFSTNFVFAMVPELANPGGHGIAFTISPTMELAGAVASQYFGLFNSSSTGLSANHVFAIELDTNQSPEFGDMEGSHVGIDVNNLRSLKSAPATYFTEGITKSLDFLSGSPMQLWIDHDEVEKLLNVTLAPVGSNKPSQPLLSTSIDLSTVLLDSMYVGFSSSTGELASDHYILGWSFRKFGKAQSLDYSKLPSLPPRRKPKSKADLIIILPSVAVIAMLVAISGGAYVIRRKKYEEIREDWEKEYGPQRFSYKDLYKATKGFKERELLGRGGFGSVYRGVLPSSNEHIAVKKISHDSKQGMKQFVSEIVSMGRLRHRNLVQLLGYCRRKGELLLVYDCMPNGSLDKFLFSNSKPNLSWVQRFRILKDIASALLYLHEEWEQLVLHRDVKASNILLDANFNGRLGDFGLAKLYDHGTNPQTTCVVGTLGYMAPELARTGKATTSTDVFAFGTFMLEIACGRKPLELQLDPEGTILADWVLQLWKAGAILDASDHRLEGEYVAEEMELVLRLGLLCTNPRASNRPSMRQLVQYLDGNVTLPEIPLDGARIEMM >EOY29175 pep chromosome:Theobroma_cacao_20110822:9:292125:295408:-1 gene:TCM_036796 transcript:EOY29175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferases isoform 1 MAMAISACGACLQWKNSSSHSSGKGCKYTGQSVRALPVRILSVGKKRSPGVQLLVDEYIAKLKCYCHVDDVQIRSNPKNARNARAQVDDEDTAVVNLIRSDDWVVMLDEHGLDIGSEHMAELLGDAGNTGALRLSFCIGGPYGHGQQIQERANVSIKLSSMVLNHQIALVVLLEQLYRSWTILKGQKYHH >EOY29176 pep chromosome:Theobroma_cacao_20110822:9:292166:295478:-1 gene:TCM_036796 transcript:EOY29176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferases isoform 1 MAMAISACGACLQWKNSSSHSSGKGCKYTGQSVRALPVRILSVGKKRSPGVQLLVDEYIAKLKCYCHVDDVQIRSNPKNARNARAQVDDEDTAVVNLIRSDDWVVMLDEHGLDIGSEHMAELLGDAGNTGALRLSFCIGGPYGHGQQIQERANVSIKLSSMVLNHQIALVVLLEQLYRSWTILKGQKYHH >EOY34262 pep chromosome:Theobroma_cacao_20110822:9:40508786:40512815:1 gene:TCM_041998 transcript:EOY34262 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein isoform 1 MANKMISEIPLPKSIANVFAARNISTAKDALSLTEFELMELLDVGLTEVRSALSQISEIVAPPYQTALSLMEQRFQNEHLGGHFPTGLKGLDAALCGGIPFGVLTELVGPAGIGKTQFCLKLSLLASLPTNYGGLDGRVIYIDVESKFSSRRLLEIGLRSFPEIFQMKEMAQEMAGRIFVLRPSSLSEFTQSLQQIKVSLLQNQVKMLVIDSMAALVSGEHEQGVRRQQTLSWHISFIKSLAEFSRIPVVVTNQVRSQSHDEASQYSFQAQSRDGIVETPTRYDSHLVAALGIHWAHAVTTRLVLEAKSGQRFIKVAKSPISPPLAFPFHITSSGISLLSDEGMELMGPEINSIHCQGHNEIINFRMESLV >EOY34263 pep chromosome:Theobroma_cacao_20110822:9:40508786:40512815:1 gene:TCM_041998 transcript:EOY34263 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein isoform 1 MANKMISEIPLPKSIANVFAARNISTAKDALSLTEFELMELLDVGLTEVRSALSQISEIVAPPYQTALSLMEQRFQNEHLGGHFPTGLKGLDAALCGGIPFGVLTELVGPAGIGKTQFCLKLSLLASLPTNYGGLDGRVIYIDVESKFSSRRLLEIGLRSFPEIFQMKEMAQEMAGRIFVLRPSSLSEFTQSLQQIKVSLLQNQVKMLVIDSMAALVSGEHEQGVRRQQTLSWHISFIKSLAEFSRIPVVVTNQVRSQSHDEASQYSFQEYLSCAAQSRDGIVETPTRYDSHLVAALGIHWAHAVTTRLVLEAKSGQRFIKVAKSPISPPLAFPFHITSSGISLLSDEGMELMGPEINSIHCQGHNEIINFRMESLV >EOY34264 pep chromosome:Theobroma_cacao_20110822:9:40508786:40513038:1 gene:TCM_041998 transcript:EOY34264 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein isoform 1 MANKMISEIPLPKSIANVFAARNISTAKDALSLTEFELMELLDVGLTEVRSALSQISEIVAPPYQTALSLMEQRFQNEHLGGHFPTGLKGLDAALCGGIPFGVLTELVGPAGIGKTQFCLKLSLLASLPTNYGGLDGRVIYIDVESKFSSRRLLEIGLRSFPEIFQMKEMAQEMAGRIFVLRPSSLSEFTQSLQQIKVSLLQNQVKMLVIDSMAALVSGEHEQGVRRQQTLSWHISFIKSLAEFSRIPVVVTNQVRSQSHDEASQYSFQAQSRDGIVETPTRYDSHLVAALGIHWAHAVTTRLVLEAKSGQRFIKVAKSPISPPLAFPFHITSSGISLLSDEGMELMGPEINSIHCQGHNEIINFRMESLV >EOY32799 pep chromosome:Theobroma_cacao_20110822:9:33668289:33670477:-1 gene:TCM_040819 transcript:EOY32799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVMTIMRFGSILCGSYPFHQKCNASCGLQSSMPSLRKSFSTLVVCFLVLTNYVVHGVVSLKKTALTFCLHATSAGLFEVSFSNGGVLLGVLDLPFLILSKLGIAAHLLEIWDKLQILFLIKLRSMFWIRVSKGDDAIDDIGWWSEPSNCLIRRAPVHHRLGVSWVSPPFGEFKFNINGSAKGKLGPTRCSGVLRNSNGFVLGLFFILLGMCDSNYAKLMVVLHTLRLFSASSYVPSPLSIESDSRIAFLWINCVDQRPWDTWHIFNEIDSPLSSLGNVSLTHIFREANLFADSLAKYGVEVSSLLFAWWRDHGVLSMLSSCYYDFCSNAAAIF >EOY31462 pep chromosome:Theobroma_cacao_20110822:9:8945055:8945751:-1 gene:TCM_038395 transcript:EOY31462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSTDQEGERGFRRKCKCGKGLDKSCENLRPFNTPSLSSLHSFQLLDLHRVNQLLLPTT >EOY33987 pep chromosome:Theobroma_cacao_20110822:9:39579811:39584957:1 gene:TCM_041803 transcript:EOY33987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid 8'-hydroxylase 2 MQLFFSWPASPPQTFLATFTTFRHCPFLITPILLFSLFLLLLVVMLLLLSIHQWRHSKDRRLPPGSMGWPYIGETLKIYTENPNSFFANRQKRYGDIFKTHILGCPCVMISSPEAARIVLVTQAHLFKPTYPPSKEKMIGPEALFFHQGAYHSRLKKFVQASFLPSAIRGSVSEIEQIVLKFLPTWENTTINTLQEMKRYAFDVAMISALGHKQDSEIKGIKHLYQCLEKGYNSMPLDLPGTPFHKAMKARKLLNETLTRLIQGRRKNEKQGGGLLGVLLGSKNQKVDQLSDSQIADNVIGVIFAAHDTTASVLTWVLKYLHDNRDLLEAVTREQEGIQQEIIEANRRLTWDDTRHMPLTTRVIQETLRTASILSFTFREAVQDVEFEGYYIPKGWKVLPLFRSIHHCADFFPHPEKFDPSRFEVPPRPNTFMPFGNGVHSCPGSELAKLEMLVLLHHLTISYRWQVIGDKDGIQYGPFPVPKRGLPVKVTPRKIKAA >EOY30309 pep chromosome:Theobroma_cacao_20110822:9:4187186:4190424:1 gene:TCM_037567 transcript:EOY30309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 1A MPVNLTRNAIASINAGDVNSKPLVQVVDIKLIGNSQERYRFLLSDSESSQDAMLATQLNEQVRTGRVKKGSIIQLIDYVCSTVQNRRIIVVLNMETIIPEYEIIGNSKLLTDSDSTTNKSLPNSNSEPSVRSSNYKYRANVPTNNVQSFRPTIQPPYQPPPNYKIQGPIMKNEAPARIIPIAALNPYQGRWAIKARVTAKGDLRRYNNARGDGKVFSFDLLDSDGGEIRVTCFNAVVDRFYDVIEVGRVYLISKGSLKPAQKNFNHLKNEWEIFLESNSTVELCPNEDGSIPRQQFSFRPISEIDSAENNSILDVIGIVITVNPSVPILRKNGMETQRRILNLKDASGKSVELTLWGDFCNKEGQKLQEMVDSGFFPVLAVKAGKVSDFNGKSIGTISSTQLFINPDCPEAQGLRDWFEKGGRNTASISISKENLPGGSKNEIRKTLSQIRDEGLGRSDKPDWVTARATVVFIKTDNFCYTACPLMIGDRQCNKKVARSGNKRWLCDRCNQEFEECEYRYLLQVQIQDHTGLTWVTAFQESGEEILGCSAKELYSLKYELQDDTRFGEIICSRLFNQYVFKLKIKEELYGDEQRVKITVVKAEKVNYSAESRYLLDLISKNLQIRKKF >EOY31175 pep chromosome:Theobroma_cacao_20110822:9:7391071:7392993:-1 gene:TCM_038157 transcript:EOY31175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNEHCAKKMERRLFEAAMDGSVISLLLQDALVLDRFIAGCYAETPLHVASMLGHVEFVEQVDPDMCLACDRDGRNPPAYCSHQGSHYCLERVGSSEAPSGSNDDEQSGSEFSKCKWIYTARSFIPEWKRLEIAASLQSAGAVSARGSLSEHELEAMRTRILSSPASNRIHPLVPPNNLHGKKPVKRHSDWLEKKRNALMVVASLIATMAFQAGINPPSGGISDRDVNLGQFDDATFSWSFYSTVNQDDQIPEKINTSEAIFRFINGHEQSGVLSEQSCVDMF >EOY32357 pep chromosome:Theobroma_cacao_20110822:9:28079071:28092885:1 gene:TCM_040220 transcript:EOY32357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFITSPSSNQIMVETILEESKLQQTLHRKGNLESFRVEVPILASILSLQVKEFGIITPTPWCCSTKKIAA >EOY30245 pep chromosome:Theobroma_cacao_20110822:9:3909953:3916787:-1 gene:TCM_037519 transcript:EOY30245 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-box 1 MATLDSDVPMVPVGEASSSTAPSSSTKKPKRFEIKKWSAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >EOY31587 pep chromosome:Theobroma_cacao_20110822:9:10016122:10017504:1 gene:TCM_038536 transcript:EOY31587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANNSIFSHQASPLNEFKVLKMKDNEVMKVYRDKLMQTTNQVRLLDENILVEYMVAVIATNQATWIRNVPLHFGMPKTKPTELFVVNKSTITVAKNAVFYGRAKHISVKYYLSSDTKKCEEVLIPHFSFEEQFIDMLTKSLSKPKIN >EOY33002 pep chromosome:Theobroma_cacao_20110822:9:34844774:34845600:-1 gene:TCM_041009 transcript:EOY33002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYTHSRQQKDVSQPLSQNATDEHYVDVLKKPKKVQLKSRVNSFWNPQSRPNVSCSYMI >EOY32462 pep chromosome:Theobroma_cacao_20110822:9:29941527:29944751:-1 gene:TCM_040402 transcript:EOY32462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-structural maintenance of chromosome element 4, putative isoform 2 MRSVKKEKRADKANEEAKPLRTRVARPARHDSADVESDQLSRQEDAANRRLLRSKYLAVMTKINDARDEISKVDSNKFNIIINEVDNLHQQVSKPREQVADAEALLDIANTLATSVKSISCEGVSLADYVNCLVREFGKSNRSLDTQENEQISVNWKDIGMAVSPFFTTCKGICTMLGPMKNELKQRKSIVTRKRAIRPTDTARPEEVDDTGAEEKTDTDKNMATMFEILRRKRQVKLESLILNRSSFAQTVENLFALSFLVKDGRAEIVVDKSGSHIVCKYISRQLICNLTEIFVCVLHRLSYWLIYFFLSAPKNAPGTMSVTTGEVTYSHFVFRFDFKDWKLMMSGVPVGEELMPHREESHSILSKAESAANNSEGALTTTPIRKLSRNRGLVLQESIVEDSPEIDDGSKGPGIRRCRRKLN >EOY32461 pep chromosome:Theobroma_cacao_20110822:9:29941241:29944950:-1 gene:TCM_040402 transcript:EOY32461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-structural maintenance of chromosome element 4, putative isoform 2 MRSVKKEKRADKANEEAKPLRTRVARPARHDSADVESDQLSRQEDAANRRLLRSKYLAVMTKINDARDEISKVDSNKFNIIINEVDNLHQQVSKPREQVADAEALLDIANTLATSVKSISCEGVSLADYVNCLVREFGKSNRSLDTQENEQISVNWKDIGMAVSPFFTTCKGICTMLGPMKNELKQRKSIVTRKRAIRPTDTARPEEVDDTGAEEKTDTDKNMATMFEILRRKRQVKLESLILNRSSFAQTVENLFALSFLVKDGRAEIVVDKSGSHIVSPKNAPGTMSVTTGEVTYSHFVFRFDFKDWKLMMSGVPVGEELMPHREESHSILSKAESAANNSEGALTTTPIRKLSRNRGLVLQESIVEDSPEIDDGSKGPGIRRCRRKLN >EOY33325 pep chromosome:Theobroma_cacao_20110822:9:36737170:36737728:1 gene:TCM_041283 transcript:EOY33325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKRYLIVILFMARLSTHILHEPSFLGANKAGQAQGLLLSRTRPFSNNSSTCLCTSARCIGGILYAGRFGNAAPGTKSIACWMLRKGGRLFGKSSLNNSPNSFNNC >EOY31479 pep chromosome:Theobroma_cacao_20110822:9:9024910:9030182:1 gene:TCM_038407 transcript:EOY31479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase family protein isoform 1 MEASAGLVAGSHNRNELVVIHGHEEPKPLKNLDGQVCEICGDEIGLTVDGDLFVACNECGFPVCRPCYEYERREGTQQCPQCKTRYKRLKGSPRVEGDEDEEDVDDIEHEFNIDDEQNKHRNVAESMLHGKMSYGRGPEDDESPQIPPVITGVRSRQVSGEFPIGGALAYGENMSNSSLHKRVHPYPMSEPGSARWDEKKEGGWKERMDDWKMQQGNLGPETDDANDPDMALLDEARQPLSRKVPIASSKINPYRMVIVARLVILGFFLRYRILNPVHDAIGLWLTSVICEIWFAFSWILDQFPKWLPIDRETYLDRLSLRYEREGEPNMLVPVDIFVSTVDPMKEPPLVTANTVLSILAMDYPVDKISCYISDDGSSMLTFEALSETAEFARKWVPFCKKFAIEPRAPEMYFTLKVDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKVPPEGWIMQDGTPWPGNNTKDHPGMIQVFLGQSGGHDTEGNELPRLVYVSREKRPGFLHHKKAGAMNALVRVSGVLTNAPFMLNLDCDHYINNSKAVREAMCFMMDPQIGRKVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYEPPKGPKRPKMVSCDCCPCFGRRRKDNKHSKNGGNANGPSLEATEDDKELLMSQMNFEKKFGQSAIFVTSTLMDEGGVPPSSSPAALLKEAIHVISCGDKHLCKSILHCPVSFNLCNWYSRAKVEWSEH >EOY31478 pep chromosome:Theobroma_cacao_20110822:9:9023649:9030578:1 gene:TCM_038407 transcript:EOY31478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase family protein isoform 1 MEASAGLVAGSHNRNELVVIHGHEEPKPLKNLDGQVCEICGDEIGLTVDGDLFVACNECGFPVCRPCYEYERREGTQQCPQCKTRYKRLKGSPRVEGDEDEEDVDDIEHEFNIDDEQNKHRNVAESMLHGKMSYGRGPEDDESPQIPPVITGVRSRQVSGEFPIGGALAYGENMSNSSLHKRVHPYPMSEPGSARWDEKKEGGWKERMDDWKMQQGNLGPETDDANDPDMALLDEARQPLSRKVPIASSKINPYRMVIVARLVILGFFLRYRILNPVHDAIGLWLTSVICEIWFAFSWILDQFPKWLPIDRETYLDRLSLRYEREGEPNMLVPVDIFVSTVDPMKEPPLVTANTVLSILAMDYPVDKISCYISDDGSSMLTFEALSETAEFARKWVPFCKKFAIEPRAPEMYFTLKVDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKVPPEGWIMQDGTPWPGNNTKDHPGMIQVFLGQSGGHDTEGNELPRLVYVSREKRPGFLHHKKAGAMNALVRVSGVLTNAPFMLNLDCDHYINNSKAVREAMCFMMDPQIGRKVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYEPPKGPKRPKMVSCDCCPCFGRRRKDNKHSKNGGNANGPSLEATEDDKELLMSQMNFEKKFGQSAIFVTSTLMDEGGVPPSSSPAALLKEAIHVISCGYEDKTEWGSELGWIYGSITEDILTGFKMHCRGWRSIYCMPKLPAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHCPAWYGFKGGNLRWLERFAYVNTTIYPFTSLPLLAYCTLPAICLLTDKFIMPPISTFASLFFIALFLSIFATGILELRWSGVSIEEWWRNEQFWVIGGISAHLFAVVQGLLKVLAGIDTNFTVTSKATDDEEFGELYAFKWTTLLIPPTTVLIINLVGVVAGVSDAINNGYQSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVLKTKGPDTTQCGINC >EOY33248 pep chromosome:Theobroma_cacao_20110822:9:36110383:36121175:-1 gene:TCM_041201 transcript:EOY33248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, putative isoform 2 MPFISDTASAIKSRFGFHDRSSSSESVSMLSVRSPPDLPPKSAARENPVHSSAVTSAIRSIGDWDDDATAENSSAAPPSESFEFREDPSFWKDHNVQVIIRIRPLSSSEISLQGYGKCIRQESCQTITWTGHPESRFTFDIVADEYVSQENLFKVAGLPMVDNCMGGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRVFEYLFTRIQKEKEARKDEKLRFSCKCSFLEIYNEQILDLLDPSSTNLQIREDIKKGVYVDNLKEIEVTTARDVIQQLIQGAANRKVAATNMNRASSRSHSVFTCIIESKWESQGVTHHRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVNISNGKSLHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSNCCSLETLSTLKFAQRAKFIKNNAVVNEDASGDVVAMRLQIQQLKKEVSRLRGFVNGRVENLDNDILASSFPPSPGPFKWEGGLHGSFSPLTSDKRMSQKKDYEVALVGAFKREREKEAALEALNAENQAAMQLAKQREDEIQSLKMRLRFREAGIKRLEAVASGKISGETHLLKEKEECLKEIEVLRAQVDRNQEVTRFAMENLRLKEEIRRLKSLCDEGQQEMMNEQIKVLHNKLLEALDWKLMHEADSLIIEKTNSKVVSGIKDDGNQLISSQAIHNKAEMNALQKKLEFCLEEKEELERYVSDLLKKLEEERSTRPVKEEIQQSELHSLSVDVPMINLNDQMELKTMVDAIAAASQREAEALERAFKLSQENDELRLKLKGYVEDNKQLLDLYEQKAAESNYKSLNEEDSIHENDTKDRSDTGLDEHSEEKEVDLKKNVENLEQQLMEMHEENEKLMGLYERAMQERDEFKRMFSSGSQNRREARELECPEKLVEVDGGEHGFDKPDNQFEAKDLERESDLLGSQMHDAGESLNLNRLDHIEVISNVEVHADLAPETGNQIDDTTASCMEIEPVDTTAAKMLEDLNSARAILGRAQEKLSDSAKTVTEFGYLEKAFCEFDKLSREIEVMEGGIKEKHQHLKSVALLSSKTKERKALTDNKLSAVKYSLSSFSSSVAYFEQREARARTRLSASLSHLDKKKEELAHLNKSKGEIEASLVKMRESEAEARSNLVLLKSKLEEESKRQETDKVLFAIDNLDKLDSSQRNLCLAGKATELLKTEEEKSKMQNEMKLSRESLGAIKMSLQDLNKKLVKVENDMEAVLVEVQKGSKSVEELELALQGVVLEKGTLVEIGENGKTEIESLILEYQQHVFDIDLTEAEMKVMDEELQLDLRRLELLQTLRATAAKKVKQLASSGFLSEKLEADIQSVCANFEEARILLGVDHSSDS >EOY33247 pep chromosome:Theobroma_cacao_20110822:9:36111377:36120921:-1 gene:TCM_041201 transcript:EOY33247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin, putative isoform 2 MPFISDTASAIKSRFGFHDRSSSSESVSMLSVRSPPDLPPKSAARENPVHSSAVTSAIRSIGDWDDDATAENSSAAPPSESFEFREDPSFWKDHNVQVIIRIRPLSSSEISLQGYGKCIRQESCQTITWTGHPESRFTFDIVADEYVSQENLFKVAGLPMVDNCMGGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRVFEYLFTRIQKEKEARKDEKLRFSCKCSFLEIYNEQILDLLDPSSTNLQIREDIKKGVYVDNLKEIEVTTARDVIQQLIQGAANRKVAATNMNRASSRSHSVFTCIIESKWESQGVTHHRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVNISNGKSLHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSNCCSLETLSTLKFAQRAKFIKNNAVVNEDASGDVVAMRLQIQQLKKEVSRLRGFVNGRVENLDNDILASSFPPSPGPFKWEGGLHGSFSPLTSDKRMSQKKDYEVALVGAFKREREKEAALEALNAENQAAMQLAKQREDEIQSLKMRLRFREAGIKRLEAVASGKISGETHLLKEKEECLKEIEVLRAQVDRNQEVTRFAMENLRLKEEIRRLKSLCDEGQQEMMNEQIKVLHNKLLEALDWKLMHEADSLIIEKTNSKVVSGIKDDGNQLISSQEPDSAWCSSLNEENEFLRMQAIHNKAEMNALQKKLEFCLEEKEELERYVSDLLKKLEEERSTRPVKEEIQQSELHSLSVDVPMINLNDQMELKTMVDAIAAASQREAEALERAFKLSQENDELRLKLKGYVEDNKQLLDLYEQKAAESNYKSLNEEDSIHENDTKDRSDTGLDEHSEEKEVDLKKNVENLEQQLMEMHEENEKLMGLYERAMQERDEFKRMFSSGSQNRREARELECPEKLVEVDGGEHGFDKPDNQFEAKDLERESDLLGSQMHDAGESLNLNRLDHIEVISNVEVHADLAPETGNQIDDTTASCMEIEPVDTTAAKMLEDLNSARAILGRAQEKLSDSAKTVTEFGYLEKAFCEFDKLSREIEVMEGGIKEKHQHLKSVALLSSKTKERKALTDNKLSAVKYSLSSFSSSVAYFEQREARARTRLSASLSHLDKKKEELAHLNKSKGEIEASLVKMRESEAEARSNLVLLKSKLEEESKRQETDKVLFAIDNLDKLDSSQRNLCLAGKATELLKTEEEKSKMQNEMKLSRESLGAIKMSLQDLNKKLVKVENDMEAVLVEVQKGSKSVEELELALQGVVLEKGTLVEIGENGKTEIESLILEYQQHVFDIDLTEAEMKVMDEELQLDLRRLELLQTLRATAAKKVKQLASSGFLSEKLEADIQSVCANFEEARILLGVDHSSDS >EOY33554 pep chromosome:Theobroma_cacao_20110822:9:38017182:38021853:-1 gene:TCM_041501 transcript:EOY33554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate/bisphosphoglycerate mutase family protein isoform 1 MISLAQAPPLLHGRLHHLPTKRRSPFTVRSSSTLQEINKAEERELRPELYASVPFPPIKAAKRVVLVRHGQSTWNEEGRIQGSSNFSVLTKKGEAQAETSRYMLFDDSFDVCFSSPLIRSKRTAEIIWGIREEEIITDSDLREIDLYSFQGLLKHEGKAKFGPAYRQWQVDAANFNIDGHYPVRELWGRARNCWTKILAHESKSVLVVAHNAVNQALVATAIGLGTEYFRVLLQSNCGVSVLDFTPRIEGGSPYICLNRLNQTPSSPVATGSSGGRKTSKRIILICQGSTQDTEPSLSNSGDQPMNMLGVIQAQKNAELLLDLKVSAIVSSPSNACAETATIISRVQEAADCLGADCVPRYVEMKNIQDLDVAEILRQSTKDTIDVSSLRPGWLNGLDDGVMSTLWEQSGRAWSSLLTELSNESEKEKIVVAVGHPSVYVAMMGHCLNLTKEWLGSFHLDAGSVSVVDFPDGPTGRGIIRCINYTAHLGRWSIPITRSTVDDESF >EOY33553 pep chromosome:Theobroma_cacao_20110822:9:38016152:38021853:-1 gene:TCM_041501 transcript:EOY33553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate/bisphosphoglycerate mutase family protein isoform 1 MISLAQAPPLLHGRLHHLPTKRRSPFTVRSSSTLQEINKAEERELRPELYASVPFPPIKAAKRVVLVRHGQSTWNEEGRIQGSSNFSVLTKKGEAQAETSRYMLFDDSFDVCFSSPLIRSKRTAEIIWGIREEEIITDSDLREIDLYSFQGLLKHEGKAKFGPAYRQWQVDAANFNIDGHYPVRELWGRARNCWTKILAHESKSVLVVAHNAVNQALVATAIGLGTEYFRVLLQSNCGVSVLDFTPRIEGGSPYICLNRLNQTPSSPVATGSSGGRKTSKRIILICQGSTQDTEPSLSNSGDQPMNMLGVIQAQKNAELLLDLKVSAIVSSPSNACAETATIISRVQEAADCLGADCVPRYVEMKNIQDLDVAEILRQSTKDTIDVSSLRPGWLNGLDDGVMSTLWEQSGRAWSSLLTELSNESEKEKIVVAVGHPSVYVAMMGHCLNLTKEWLGSFHLDAGSVSVVDFPDGPTGRGIIRCINYTAHLGRWSIPITRSTVDDESF >EOY33744 pep chromosome:Theobroma_cacao_20110822:9:38877831:38880114:-1 gene:TCM_041640 transcript:EOY33744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase, putative MKKIELIFVPIPGIGHLASTIEFAKRLIHHDDRIWITILSMTWFSPAFVDAYTKSLDASRPDRIQLIDLPQVDPPSFDLLKSPEGYIYAFIESYIPAVRNAVRNIVSLESSSGSGRVAGLVLDLFCAPMTDIAAELGLPSYIYLTSNAAFLSLMLYLPTRHNQNSSEFEITDPEQLIPGFVNPVPVCVLPSPVFNKYGGYTTFIKVAERLKDAKGIMVNTFEDIEPSALNYFLNGPNPPIYPVGPVIDLKALPHPELDLDQRNKVMTWLDDQPQSSVIFLCFGSMGSFGAPQVQEIALGLEQSGYRFLWSLRFSSPLQSDAALLDKNTEETLPEGFLERIQGRGMICGWAPQVEVLANKAIGGFVSHSGWNSILESLWFGVPIVTWPMYAEQQLNAYLMKELGLAVVMRLDYRLGTSDLVMADEVEKGVRLVMDGGSEVRKKVKEMAEMARKSVMKDGSSFISMGRLIEDMIGSN >EOY30244 pep chromosome:Theobroma_cacao_20110822:9:3902544:3906668:-1 gene:TCM_037518 transcript:EOY30244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein MASSTFLLGFLFLVLSLTITAKKTYIVHMKHHDKPLSFETHHDWYSSSLQALSAAPDSLLYSYTTAFNGFAASLDPEQVELLRKSDSVLGVYEDTLYTLHTTRTPQFLGLDTEFGLWAGHNTQQLEQASRDVIIGVLDTGVWPESKSFDDSDMPDLPSKWRGECESAPDFSPKFCNKKLIGARSFSKGYHMATGGGGIYQKPREVESPRDKDGHGTHTASTAAGAHVANASLLGYASGTARGMATHARVASYKVCWETGCFGADILAGMDRAIQDGVDVLSLSLGGGSAPYYRDTIAIGAFAAMEKGIFVSCSAGNSGPTKATLANVAPWIMTVGAGTLDRDFPAYAVLGNKIRYNGVSLYSGQGMGNKPVGLVYNKGNMSSNLCLPGSLDPAFVRGKVVICDRGTNARVEKGAVVRDAGGVGMILANTPVSGEELVADSHLLPAVAVGRKVGDLIREYARSDPKPTAVLVFGGTVLNVRPSPVVAAFSSRGPNMVTPQILKPDVIGPGVNILAAWSEAIGPTGLAKDTRKTKFNIMSGTSMSCPHISGLAALLKAAHPEWSTSAIKSALMTTAYTEDNTNSSLRDAADGSLSNPWAHGAGHVDPQKALSPGLVYDISTEEYISFLCSLGYTIDHVKTIVKRPNVTCSTKFKDPGELNYPSFSVLFGDKRVVRYTRELTNVGPSRSIYKVTVNGPSTVGISVRPTTLIFRSAGEKKRYTVTFVAKRGTSPMARSEFGSIVWSNAQNQVKSPVSFSWTLL >EOY29815 pep chromosome:Theobroma_cacao_20110822:9:2455038:2457105:-1 gene:TCM_037232 transcript:EOY29815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin 5 isoform 1 MSWQQYVDEHLMCDVEGQGIHLTAAAIIGQDGSVWAQSAPFPAFTPEEITAIMNDFAEPGSLAPTGLFIGGVKYMVIQGEPGAVIRGKKGSGGVTVKKTNQALIIGIYDEPLTPGQCNMIVERLGDYLIDQGL >EOY29816 pep chromosome:Theobroma_cacao_20110822:9:2455085:2457114:-1 gene:TCM_037232 transcript:EOY29816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin 5 isoform 1 SQKKEEKNHQSKEELAKAEAKQNQAKMSWQQYVDEHLMCDVEGQGIHLTAAAIIGQDGSVWAQSAPFPAFTPEEITAIMNDFAEPGSLAPTGLFIGGVKYMVIQGEPGAVIRGKKGSGGVTVKKTNQALIIGIYDEPLTPGQCNMIVERLGDYLIDQGL >EOY33490 pep chromosome:Theobroma_cacao_20110822:9:37801525:37807811:1 gene:TCM_041462 transcript:EOY33490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cucumisin protein MGLNIVIFSLFVSATLLLVSSGHAADDSERKAYIVYMGDALESKSLAVEHHHSLLSEVTQDEEVARQSIIHSYGKSFNGFAAYLTPDEAARLQENENVVSVFPNSFRQLQTTRSWDFIDMPLSVKRNTQKESDIIVGMLDSGIYIDAPSFDDKGFGPPPSKWKGVCQTGVNFTGCNNKVIGARAYSMGSRKNESAADDVGHGSHTASIVAGVPVQDASFYGLGQGTARGGVPSARIAVYKVCDDSGCSDVAILAAFDDAIDDGVDIISMSVGGPAPDYFADPIAIGSFHAMKKGILTSCSAGNDGPQLSSLTNGAPWILTVGATATDRVLRTPVHIGKNIKTLGVSFNTFNLEKKMYPLTSGLRAIKKGEEPNNFDTCDSTTLDENKVKGKILYCDGTFQHSTIKKMGATGAILRCGSSFFDAGSTYSLPVACVVDEIGSRIEQYLNSTKDPQGVILRTIPINATAPFVAAFSSRGPYYRSHSFLKPDIVAPGVAILAAYTKLKSVSGSSLDDRFNVFKFLSGTSMSCPHASAAAAYVKSFHPEWSTSAIKSALMTTASEIRVHDENVEFAYGAGQIDPQRAVNPGLVYELSKNDYIRFLCNEGYSGTRLRMVIGEHSNCSSIPKFGGQDDLNYPSMLIMQKDLVSTVSAVFNRTVTYVGNGTSTYQAFVKAPTSLKIKVSPDTLVFNEVNEKKSFTVEVNGPPLKHKSTLLSASLEWTDSVHRVRSPILVYPISILDQ >EOY31612 pep chromosome:Theobroma_cacao_20110822:9:10444095:10444714:1 gene:TCM_038578 transcript:EOY31612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFISLSTFTHLISSSASFSSFKPDLESPTDVFPILGTAHKMLIATSRESVTTRTLHSGRVYSYSCSKHMKAVEQLINGRRREGL >EOY31707 pep chromosome:Theobroma_cacao_20110822:9:12621030:12626314:1 gene:TCM_038777 transcript:EOY31707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVYIYILMFLICNISILGLFPIFALLMEPMIIESMRLTPLSSLFFLQISDQLACITLTHFDSLQHR >EOY32565 pep chromosome:Theobroma_cacao_20110822:9:31496415:31500367:-1 gene:TCM_040557 transcript:EOY32565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin subfamily A member 4, putative MEEADSTDMAPPSLVSLSPFSPCPRRLSTNFLQPSRPVVSSARQLAWVSLQGRLINAEEASSARAIGGGFSRDEAVAWELFSPIERFLIVAVIGVATAESKKNRLLCQLKNSIELRDQVLSSMQQKVDNLCEQLNTAGKQQGTGAKANVESPLNENFGSGSIKFVDCGCWICDQHREQFKGNSIMKTSGGDETLQYKMALSNETEQEERRMSDLSDWASSVTSAAEIQFSNLAIEQDIFNLKRECEEKDAVIKELTTFVQSSNLANSERISELEDIIRRKNTIITRLKKDMVVLEQKVVHLTRLQRPSSTSSSNCWQIPVMTDNLLYDMDSTTSPSSSDSDCSPENHSQAPVPKVEEGTFQNGDFVLKREHKSAPGKVSSSFMGQSEWHSNSRPATPLKEISINHKPSASSAQRHVSASRDSNRIRRQTQSASQNPTRKKRWA >EOY33314 pep chromosome:Theobroma_cacao_20110822:9:36660607:36665884:-1 gene:TCM_041270 transcript:EOY33314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MINKGTVQSDILLSKMLQAELKENNEVVTGSLHGSTSSTGNIENFVGWELRTVPSGPDPLHHNGVLFGNFVPLVHYAQLLFLPKQYYLASLPLMHVPAKTIPFFASLLDQCSSTKSLQKLKQIHARTIHLNISSHSFIRTKLLSAYASCSQMREAHVLFLFFTSRQPTFLYNSLIRAYTSLNQFSQSLSIFHHMIIAQKPFDGFTLPLVLKCCGQLSHVTLGRQLHGAVLVHGYSSDLANNNSLISMYGKCGELQCARKVFDEMPEKTYVSWSALMTGYEKLGMAWDVFALFEKMVEMGMEVDGVTLTTVLTTCSREGMVEKGKDVFEMMEDRFGVKPGLEHYTCMVDMLGKAGLVEEAEELVMGMELEKDEALWNALLVACRIHGKVEVAERVERRFLGRA >EOY33942 pep chromosome:Theobroma_cacao_20110822:9:39415531:39422040:-1 gene:TCM_041770 transcript:EOY33942 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MARDGTSSCRDSQWSLKGATALVTGGTKGIGHTVVEELAALGATVYTCSRNEDQLNECLKEWRTKGLQVVGSVCDVASPAERERLMSKVSSLYRKTQHPSSGAGCIVFVSSVAGVLSLNIGSIYGATKGAVNQLTMNLACEWAKDNIRSNCILSSKEFLAALNSRTPLGRPGQPKEVARLVAFLCLPAASYITGKLFVLMVG >EOY34233 pep chromosome:Theobroma_cacao_20110822:9:40428155:40431702:-1 gene:TCM_041977 transcript:EOY34233 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein MASREVSTMIKQGFISDPTFSLSFSPSRTTPTTTLSRLYSPSSPPPPPPPPPTVSTRPNHNPTLFEMMSEEHLRDSKTIEESRRKTNRVAKFLTELKICSFNSWGLGSGDVRLTVVSRDGYRVSMDVHRRVLSEKSRFFAEKLSQGREKGVSHTVEISECDDVEVYVETVVLMYCDDLKKRLIGEDVNKVLALLKVSAAIMFDAGISSCLEYLEAVPWSEEEEEKVISHLSQLELHSSMTEVLQRVSSEPSTSARADDIFLQLLSGVLQAKDDKARREMKTLISQLLREDSFDDDHRLDVSKETLYHLCHRCLSSLVLCLSEATGMDNSRRDRGVLMAEIAREADNLQWIVDILIDKRMANDFVKLWADQRELAALHSKIPIMYRHEISRITAQLCIAIGRGNILVPKDSRFSLLSTWLEALYEDFGWMRRASRSVDKKLVEDGLSKTILTLSLRQQQVILLSWFDRFLNKGDDCPNIQTAFEVWWRRAFIRQYTDVQDSSQLQITVCSYPT >EOY30258 pep chromosome:Theobroma_cacao_20110822:9:3963384:3966023:1 gene:TCM_037528 transcript:EOY30258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Damaged dna-binding 2, putative isoform 2 MECISVYGSPEEKNEGRRRLSSADEREEEDSRSCSSSSIGRNSDVSDGSSSDGEDSTEAEAQSELKGPLDTMDALEEVLPVRRGISKFYNGKSKSFTSLADAAAASSIKDFAKPDNPYNKKRKNLLAHSSLLFKNHNHPLRSSGSEISKRLTNSSRSTVALGTTLGSSDSNSISSLPSTCLPPLHPQCKKSTTIRSSSPTTRPNPPCRSFSLSDLQFVAAATPNITGLAVHSGDKDKKLR >EOY30257 pep chromosome:Theobroma_cacao_20110822:9:3963336:3965610:1 gene:TCM_037528 transcript:EOY30257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Damaged dna-binding 2, putative isoform 2 MCTSPDINTPLFCTLLLSLLFSFPKTMSLVFERNDNTNSIRRSGFIHGMECISVYGSPEEKNEGRRRLSSADEREEEDSRSCSSSSIGRNSDVSDGSSSDGEDSTEAEAQSELKGPLDTMDALEEVLPVRRGISKFYNGKSKSFTSLADAAAASSIKDFAKPDNPYNKKRKNLLAHSSLLFKNHNHPLRSSGSEISKRLTNSSRSTVALGTTLGSSDSNSISSLPSTCLPPLHPQCKKSTTIRSSSPTTRPNPPCRSFSLSDLQFVAAATPNITGLAVHSGDKDKKLR >EOY33400 pep chromosome:Theobroma_cacao_20110822:9:37328816:37330133:-1 gene:TCM_041377 transcript:EOY33400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 2 member 2 precursor MALRTSTLKFLFLLLSSFAICQMALAGDPDITSDFLVPAKQKKVDGNFFTFTGMRVLINEDFPTNFTVLKASMVEFPALNGQSVSYAVLQYPIGSLNPPHTHPRSAELLFLVDGCLEVGFVDTTNKLFTQSLQAGDMFIFPKGLVHYQYNADPNNPAIAISAFGSANAGTVSLPKTLFSTNIDDTILAKSFKTDVTTIQALKAGLTPR >EOY31542 pep chromosome:Theobroma_cacao_20110822:9:9443597:9458531:1 gene:TCM_038463 transcript:EOY31542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPCLHVTTLMHLVCNKSIEYGMIRNMFFDVPYVRLVITHDGHWVNETYKSEDDWCDEADISNCNHVEGNTKHVRGIDFGDVQCDDPIYNSPIINDNGIRSLETLPR >EOY30876 pep chromosome:Theobroma_cacao_20110822:9:6088681:6095321:1 gene:TCM_037930 transcript:EOY30876 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 3 MRSLLFKPLIHDSFHIRSQFLNVPAGSPSSPNQRFCHPITCRSMSCSSSSQTSFPTLRTVTISYSELTDKDADLSMKIEEGFGPNGLGILSITDVPGFTTLRRNLLDLAPRLASLPEEVKKELEDPQSRYSFGWSHGKEQIESGKQDLLKGSFYANPLLDVPTTDAYLIERYPSYSRANIWPQAALPELEVAFKVLGKLIFDVGLMVIYHCDQYVSRAMKMQKDEGLKEVLLRSRCHKGRLLYYFPAHLSDHGKDGDSTSSWCGWHTDFASLTGLTCGMFKRDGVEVSFPDSAAGLYIRTRTGEIVKAIFGEDEIAYQIGETTEILSQGYLCATPHCVRAPKGKEASGVDRSTFALFMQPDWDEKLNFPEQVHIHKELIPAKGTLTFGEYSEMLLDKYYKKT >EOY30878 pep chromosome:Theobroma_cacao_20110822:9:6089072:6095340:1 gene:TCM_037930 transcript:EOY30878 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 3 MKIEEGFGPNGLGILSITDVPGFTTLRRNLLDLAPRLASLPEEVKKELEDPQSRYSFGWSHGKEQIESGKQDLLKGSFYANPLLDVPTTDAYLIERYPSYSRANIWPQAALPELEVAFKVLGKLIFDVGLMVIYHCDQYVSRAMKMQKDEGLKEVLLRSRCHKGRLLYYFPAHLSDHGKDGDSTSSWCGWHTDFASLTGLTCGMFKRDGVEVSFPDSAAGLYIRTRTGEIVKAIFGEDEIAYQIGETTEILSQGYLCATPHCVRAPKGKEASGVDRSTFALFMQPDWDEKLNFPEQVHIHKELIPAKGTLTFGEYSEMLLDKYYKKT >EOY30877 pep chromosome:Theobroma_cacao_20110822:9:6088980:6095340:1 gene:TCM_037930 transcript:EOY30877 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein isoform 3 MKIEEGFGPNGLGILSITDVPGFTTLRRNLLDLAPRLASLPEEVKKELEDPQSSFGWSHGKEQIESGKQDLLKGSFYANPLLDVPTTDAYLIERYPSYSRANIWPQAALPELEVAFKVLGKLIFDVGLMVIYHCDQYVSRAMKMQKDEGLKEVLLRSRCHKGRLLYYFPAHLSDHGKDGDSTSSWCGWHTDFASLTGLTCGMFKRDGVEVSFPDSAAGLYIRTRTGEIVKAIFGEDEIAYQIGETTEILSQGYLCATPHCVRAPKGKEASGVDRSTFALFMQPDWDEKLNFPEQVHIHKELIPAKGTLTFGEYSEMLLDKYYKKT >EOY30800 pep chromosome:Theobroma_cacao_20110822:9:5825182:5826380:-1 gene:TCM_037884 transcript:EOY30800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCKGSSFTQLQRRMKDLLVQQGLMHPLLGKEEGQPDDMKDVEWVEEEEKALLFLASLPDSYEKSEEGDRDLSGLALVTEVRRRKSTGIGSKGGKSRSNNVYVVTCGFGNPIARRK >EOY34414 pep chromosome:Theobroma_cacao_20110822:9:40999717:41000791:-1 gene:TCM_042095 transcript:EOY34414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MNDASSRLSHLNLRLSKPFVLQVLSYGHSSSQGDVLSCLKFFDWAGRQPGFHHTRATFHHIFKILSKAKLKSVTLEQFLQDYMAHRFPSGVWRMTSLTPSCSSAGASRISWMRRRPTSAAWRRVGTMLLGIPSVYDPGCALQV >EOY32632 pep chromosome:Theobroma_cacao_20110822:9:32264908:32266245:1 gene:TCM_040647 transcript:EOY32632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRASLIAKSLVVFTFVIPVALKNIIFTTPSLFLKTFLIFAYLGEPQITPFTLNLIVSITGLTQLVVSLAFLPFFLNCI >EOY30821 pep chromosome:Theobroma_cacao_20110822:9:5925295:5926161:1 gene:TCM_037900 transcript:EOY30821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRPFILYIRKVTRIKLYQAFQPKRTKAHYPVCILQYEIASRFNLTWFLVSYLVTWYELLDLPLLLTRQWKAKAMWRKEERFLSGPSLVASLIL >EOY29483 pep chromosome:Theobroma_cacao_20110822:9:1308744:1312903:-1 gene:TCM_037001 transcript:EOY29483 gene_biotype:protein_coding transcript_biotype:protein_coding description:EIN3-binding F box protein 1 MHSCFQATYGDVLAFFLVGSLFFALFGGFLGGEQCRFDLPGFFELYLCQSSFLSVGSDDFCPGGSIYPNPKESSHFLSLGHHVDVYFPLRKKSRISAPFVFSGERFEQKKPSIDVLPDECLFEIFRRLPGGQERSACACVSKRWLTLVSNIRKDEITTQALNLKDESTDKKGGVVSEDEDQDVEGDGYLSRSLEGKKATDVRLAAIAVGTASRGGLGKLFIRGSNSSRGVTAVGLRAISRGCPSLRVLSLWSLSYVGDEGLCQIADGCHQLEKLDLCHCPAITDKSLIAVAKSCPNLTDLTIEGCANIGNEGLQAVASCCPNLKSVSIKDCPLVGDQGIASLLSSASYSLTKVKLHALKITDVSLAVIGHYGNAVTDLSLISLPNVSEKGFWVMGNGHGLQKLKSFTVTSCRGVTDLGLEAVGKGCPNLKQFCLRKCAFLSDNGLVSFAKAAGSLESLQLEECHRITQFGFFGSLLNCGAKLKAISFVNCLGIKDLNLGLPSLSPCESLRSLSIRDCPGFGDSSLATLGKLCPQLQNVELSGLHGITDAGILPLLESCEAGLVKVNLSGCVNLSDKAVCVMADLHGWTLEMINLDGCKISDGSVVAIAENCLLLSDLDVSKCSITDSGIAALARSNQINLQILSVSGCTMVSDKSLPSLGKLGQTLLGLNLQQCKAISSSAVDLLVEQLWRCDILF >EOY32746 pep chromosome:Theobroma_cacao_20110822:9:33344422:33347403:1 gene:TCM_040775 transcript:EOY32746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVSGDSTSSGLKTTTFWGWLLVGIGSVVLPGFLFTAMISKLLPPSNNHIFAAIQNDRYYCYLVPLTLPVLVVAVYFHWLSMKLFKHA >EOY32236 pep chromosome:Theobroma_cacao_20110822:9:23991379:23998512:-1 gene:TCM_039855 transcript:EOY32236 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein MASTFTAMSSVGSFVAPNGRVMDKKLASSSNRLSSFASISSTAFGMRQNVVLRRTRLPKISAAKELHFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTSKALVSELKAISKEVEDSELADVAAVSAGNNYEVGNMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDGEKMAVEYENCKLLLVDKKITNARDLINILEDAIKSGYPILIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVIRDEVGLSLDKAGSEVLGHASKVVLTKDTTTIVGDGSTQGAVNKRVAQIRNLIEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASEVDSIKDSLDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSSDNPKYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHASSVAKTFLMSDCVVVEIKEPEPVPAGNPMDTSGYGY >EOY32773 pep chromosome:Theobroma_cacao_20110822:9:33468435:33470548:-1 gene:TCM_040797 transcript:EOY32773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme19 isoform 1 MATVNGYQGNTPVATSTGTKQPAPTAKTVDSQSVLKRLQSELMALMMSGDSGISAFPEEDNIFCWKGTITGSKDTVFEGTEYRLSLAFPNDYPFKPPKVKFETGCFHPNVDVYGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNTQAAQLWSNQEEYRKMVEKLYKAPNA >EOY32772 pep chromosome:Theobroma_cacao_20110822:9:33468427:33470252:-1 gene:TCM_040797 transcript:EOY32772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme19 isoform 1 MATVNGYQGNTPVATSTGTKQPAPTAKTVDSQSVLKRLQSELMALMMSGDSGISAFPEEDNIFCWKGTITGSKDTVFEGTEYRLSLAFPNDYPFKPPKVKFETGCFHPNVDVYGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNTQAAQLWSNQEEYRKMVEKLYKAPNA >EOY31420 pep chromosome:Theobroma_cacao_20110822:9:8709760:8712251:1 gene:TCM_038359 transcript:EOY31420 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase MSESNPKLPSNKLPDFKQSVKLKYVKLGYHYLMTHGMYIFLTPLVVVIAAQLSTFSLQDLHELWDHLRFNLMSVILCSTLLVFLSTLYFLTRPRPVYLVDFSCYKPNDARKCTGQIFMERSVMTGTFTEENLEFQRKILERSGLGESTYLPEAVIRVPPNPCMAEARKEAEAVMFGALDQLFEKTSLKPKDVGILIVNCSLFNPTPSLSAMVINHYKLRGNIISYNLGGMGCSAGLISIDLAKDLLQAHANSYALVITMENITLNWYFGNERSMLVSNCLFRMGGAAILLSNKRSDGRRSKYRLVHTVRTHKGADDKCFTCVTQKEDSTGRIGVSLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVARKLFKMKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIKKGDRTWQIAFGSGFKCNSAVWKALRTINPAKERSPWMDEIHQFPVDVPKVSAI >EOY32606 pep chromosome:Theobroma_cacao_20110822:9:31948493:31962378:-1 gene:TCM_040613 transcript:EOY32606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein of 80 kDa isoform 2 MHPNDGVSFTSSSLKIPLPSSSPSLSQALASQLARTGHSVFQLIDSLRNRSNYVRNPLSRSTESTQSDLGISSLFRSSPLLFSLSLSLTRSTDPTQNHNIAKSPLLCSASLSLTQPASTDSTQSGSELPQKGQSATAGRHDEERVLISEVLVRNKDGEELEMKDLEMEALTALKACRANSALTVREVQEDVHRIIDSGYFSSCMPVAVDTRDGIRLVFQVEPNQEFHGLVCEGANVLPSKFLEDAFRDGHGKVVNLKRLDEVINSINGWYMERGLFGLVSGVDILSGGIIRLQVAEAEVNNISIRFLDRKTGEPCKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVSTMGLMEDVSIIPQPAGDAGKVDLIMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFAYSHRNLFGRNQKLNISLERGQIDSIFRINYTDPWIEGDDKRTSRTIIVQNSRTPGTLVHGNLHDNSSLSIGRVTAGVEFSRPIRPKWNGTAGLIFQHAGARDEKGNPIIKDFYGSPLTASGKPYDDMLLAKFESVYTGSGDQGSSMFAFNMEQGLPVMPEWLFFNRVNARARKGVEIGPARLLLSLSGGHVVGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYVVGSSEVSFPMVGPVEGVMFADYGHDLWSGPNVPGVILQGQGLSLEVDMDMGLASEWSHH >EOY32605 pep chromosome:Theobroma_cacao_20110822:9:31948002:31962528:-1 gene:TCM_040613 transcript:EOY32605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein of 80 kDa isoform 2 MHPNDGVSFTSSSLKIPLPSSSPSLSQALASQLARTGHSVFQLIDSLRNRSNYVRNPLSRSTESTQSDLGISSLFRSSPLLFSLSLSLTRSTDPTQNHNIAKSPLLCSASLSLTQPASTDSTQSGSELPQKGQSATAGRHDEERVLISEVLVRNKDGEELEMKDLEMEALTALKACRANSALTVREVQEDVHRIIDSGYFSSCMPVAVDTRDGIRLVFQVEPNQEFHGLVCEGANVLPSKFLEDAFRDGHGKVVNLKRLDEVINSINGWYMERGLFGLVSGVDILSGGIIRLQVAEAEVNNISIRFLDRKTGEPCKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVSTMGLMEDVSIIPQPAGDAGKVDLIMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFAYSHRNLFGRNQKLNISLERGQIDSIFRINYTDPWIEGDDKRTSRTIIVQNSRTPGTLVHGNLHDNSSLSIGRVTAGVEFSRPIRPKWNGTAGLIFQHAGARDEKGNPIIKDFYGSPLTASGKPYDDMLLAKFESVYTGSGDQGSSMFAFNMEQGLPVMPEWLFFNRVNARARKGVEIGPARLLLSLSGGHVVGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYVVGSSEVSFPMVGPVEGVMFADYGHDLWSGPNVPGDPAGARFKPGSGYGYGFGIRVESPLGPLRLEYAFNDRQAKRFHFGVGHRN >EOY32607 pep chromosome:Theobroma_cacao_20110822:9:31947752:31962582:-1 gene:TCM_040613 transcript:EOY32607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein of 80 kDa isoform 2 MHPNDGVSFTSSSLKIPLPSSSPSLSQALASQLARTGHSVFQLIDSLRNRSNYVRNPLSRSTESTQSDLGISSLFRSSPLLFSLSLSLTRSTDPTQNHNIAKSPLLCSASLSLTQPASTDSTQSGSELPQKGQSATAGRHDEERVLISEVLVRNKDGEELEMKDLEMEALTALKACRANSALTVREVQEDVHRIIDSGYFSSCMPVAVDTRDGIRLVFQVEPNQEFHGLVCEGANVLPSKFLEDAFRDGHGKVVNLKRLDEVINSINGWYMERGLFGLVSGVDILSGGIIRLQVAEAEVNNISIRFLDRKTGEPCKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVSTMGLMEDVSIIPQPAGDAGKVDLIMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFAYSHRNLFGRNQKLNISLERGQIDSIFRINYTDPWIEGDDKRTSRTIIVQNSRTPGTLVHGNLHDNSSLSIGRVTAGVEFSRPIRPKWNGTAGLIFQHAGARDEKGNPIIKDFYGSPLTASGKPYDDMLLAKFESVYTGSGDQGSSMFAFNMEQGLPVMPEWLFFNRVNARARKGVEIGPARLLLSLSGGHVVGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYVVGSSEVSFPMVGPVEGVMFADYGHDLWSGPNVPGDPAGARFKPGSGYGYGFGIRVESPLGPLRLEYAFNDRQAKRFHFGVGHRN >EOY32604 pep chromosome:Theobroma_cacao_20110822:9:31947752:31962582:-1 gene:TCM_040613 transcript:EOY32604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein of 80 kDa isoform 2 MHPNDGVSFTSSSLKIPLPSSSPSLSQALASQLARTGHSVFQLIDSLRNRSNYVRNPLSRSTESTQSDLGISSLFRSSPLLFSLSLSLTRSTDPTQNHNIAKSPLLCSASLSLTQPASTDSTQSGSELPQKGQSATAGRHDEERVLISEVLVRNKDGEELEMKDLEMEALTALKACRANSALTVREVQEDVHRIIDSGYFSSCMPVAVDTRDGIRLVFQVEPNQEFHGLVCEGANVLPSKFLEDAFRDGHGKVVNLKRLDEVINSINGWYMERGLFGLVSGVDILSGGIIRLQVAEAEVNNISIRFLDRKTGEPCKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVSTMGLMEDVSIIPQPAGDAGKVDLIMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFAYSHRNLFGRNQKLNISLERGQIDSIFRINYTDPWIEGDDKRTSRTIIVQNSRTPGTLVHGNLHDNSSLSIGRVTAGVEFSRPIRPKWNGTAGLIFQHAGARDEKGNPIIKDFYGSPLTASGKPYDDMLLAKFESVYTGSGDQGSSMFAFNMEQGLPVMPEWLFFNRVNARARKGVEIGPARLLLSLSGGHVVGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYVVGSSEVSFPMVGPVEGVMFADYGHDLWSGPNVPGDPAGARFKPGSGYGYGFGIRVESPLGPLRLEYAFNDRQAKRFHFGVGHRN >EOY32603 pep chromosome:Theobroma_cacao_20110822:9:31944410:31968746:-1 gene:TCM_040613 transcript:EOY32603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein of 80 kDa isoform 2 MHPNDGVSFTSSSLKIPLPSSSPSLSQALASQLARTGHSVFQLIDSLRNRSNYVRNPLSRSTESTQSDLGISSLFRSSPLLFSLSLSLTRSTDPTQNHNIAKSPLLCSASLSLTQPASTDSTQSGSELPQKGQSATAGRHDEERVLISEVLVRNKDGEELEMKDLEMEALTALKACRANSALTVREVQEDVHRIIDSGYFSSCMPVAVDTRDGIRLVFQVEPNQEFHGLVCEGANVLPSKFLEDAFRDGHGKVVNLKRLDEVINSINGWYMERGLFGLVSGVDILSGGIIRLQVAEAEVNNISIRFLDRKTGEPCKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVSTMGLMEDVSIIPQPAGDAGKVDLIMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFAYSHRNLFGRNQKLNISLERGQIDSIFRINYTDPWIEGDDKRTSRTIIVQNSRTPGTLVHGNLHDNSSLSIGRVTAGVEFSRPIRPKWNGTAGLIFQHAGARDEKGNPIIKDFYGSPLTASGKPYDDMLLAKFESVYTGSGDQGSSMFAFNMEQGLPVMPEWLFFNRVNARARKGVEIGPARLLLSLSGGHVVGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYVVGSSEVSFPMVGPVEGVMFADYGHDLWSGPNVPGDPAGARFKPGSGYGYGFGIRVESPLGPLRLEYAFNDRQAKSSLGCQLPRVHIDIPPLMHNYQGHPGLPYLHMLWRCRSSLPSMHVNISLF >EOY31179 pep chromosome:Theobroma_cacao_20110822:9:7410166:7414880:-1 gene:TCM_038160 transcript:EOY31179 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD domain-containing protein, putative MSWLLKSLQSDVPDSPTSSPDHSPTNRNAGVKEDLSVLGETIGRQLRGVAAFLAPPPSSPPSVTAAEREQQHQEEEEQEQPSDKLVGIRNDLAEIGGSFKSGLSLLSSNKAVTEISKFASSFLQFPSQDDHGQNEDDDEDDDDGVPGITEDVVEFVKEISNRPEFWTDFPLTLHNDFKMSEAQREHAENIEHLVPSFEDLKVNLHSHMGDERFWMIYFILLLPRLNEHDFELLSTPEVVKTRDALLQKLQNNNNARVANSSLDASEESSEVSETKRENASSEEKVSEIVNAEGLEITDEENAGQWLEEGDISSGSCVSVKKNLEDEEDVSFSDLEDDDNYLSNKVSVPKSKQDIKASSPSGSNDWVQLNKSPETRGGLQKAGQSNSRDKESEGEESNDWLTDDDFVDVASGH >EOY31975 pep chromosome:Theobroma_cacao_20110822:9:18378223:18381934:1 gene:TCM_039345 transcript:EOY31975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKSISADMSSSDYVAMQSKAEIFYKAVEKLESSSADEATREYCVDIDIPLSKGHHASYHHDGEHHNGANDGQHNELGVHIDHDVVGTDGENVTHVDNVLDNIVAGDVTLQSVAMGGDGHFASVQAERDHVPQSTPKGNVLQLSSPKLFDVHNREALISDPTELAQVKMASKYMARSYVDLLVSRRDLKNLMVKAYLAFKKDEHAR >EOY32849 pep chromosome:Theobroma_cacao_20110822:9:34081165:34085737:1 gene:TCM_040872 transcript:EOY32849 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-associated protein-related isoform 2 KGSNDDDNATISDVSVEVNPSNSSPTPDSQSNNDPSDNDSTEALAKALSSMLTSLIKDFDSKAHDTLTSQDLLSSSIDRLTRELDQLLEDAPLPFIMQHAAKFSNVRKRVFSLNLLLKSIQRRIDNIDRMLSMGLQHEKTVTEDS >EOY32850 pep chromosome:Theobroma_cacao_20110822:9:34081052:34085354:1 gene:TCM_040872 transcript:EOY32850 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-associated protein-related isoform 2 FPFEYKLNCSGIFTLPNCLPEGSFLSFVFSNKTQKMESKGSNDDDNATISDVSVEVNPSNSSPTPDSQSNNDPSDNDSTEALAKALSSMLTSLIKDFDSKAHDTLTSQDLLSSSIDRLTRELDQLLEDAPLPFIMQHAAKFSNVRKRVFSLNLLLKSIQRRIDNIDRMLSMGLQHEKTVTEDS >EOY32851 pep chromosome:Theobroma_cacao_20110822:9:34080995:34083367:1 gene:TCM_040872 transcript:EOY32851 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-associated protein-related isoform 2 MESKGSNDDDNATISDVSVEVNPSNSSPTPDSQSNNDPSDNDSTEALAKALSSMLTSLIKDFDSKAHDTLTSQDLLSSSIDRLTRELDQLLEDAPLPFIMQHAAKFSNVRKRVFSLNLLLKSIQRRIDNIDRMLSMGLQHGEYTLKSQ >EOY32848 pep chromosome:Theobroma_cacao_20110822:9:34081067:34085697:1 gene:TCM_040872 transcript:EOY32848 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-associated protein-related isoform 2 MESKGSNDDDNATISDVSVEVNPSNSSPTPDSQSNNDPSDNDSTEALAKALSSMLTSLIKDFDSKAHDTLTSQDLLSSSIDRLTRELDQLLEDAPLPFIMQHAAKFSNVRKRVFSLNLLLKSIQRRIDNIDRMLSMGLQHEKTVTEDS >EOY31415 pep chromosome:Theobroma_cacao_20110822:9:8669066:8671470:-1 gene:TCM_038354 transcript:EOY31415 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta' MSLCCSLSTPKSMSPFPKRSKIFSHGFIRASSSSSADVPDFLSADWLESRRKRPFGPRLTFSAEEAVKHQLDALKYNDQPRQDYGIEVMYRFAGFDPFERSKYFGPFFDLGQFERFRRIFHHSTYRVLLGHKERKILSSLFVKENRFKQRVWILGSRPEEEEIFEFTMVQRIGGSWDGYWLTESLLHDGDAFAGGLAY >EOY32970 pep chromosome:Theobroma_cacao_20110822:9:34687539:34689310:1 gene:TCM_040979 transcript:EOY32970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MKQYYMEMEVVSPALPPMLPSNKLTFRSQATPAPPISQLHSQLPLRITKQSSKTPPPPTPISTSKPISSNPCSSHTTSDILRLMDSLSLPIPPDIYASLVKECTVTRHSRRALELHSHIRNSRIKPSLPLLNRLLLMHVSCGHLDIARHLFDQMLLRDFNSWAIMIVACLHAGDSEQAIAYFVRMERHNLLFKCPSWIIVCLLKSCVVTKNMGLGKQVHGQLLKLGASNDSSLSGSLINFYGKFRCLDDADFVFNQLSRRNTVTWTARIVNSCREDQFGKVIDDFNEMGRQGIKKNNFTFSGVFKACARMDDDGMSGRQVHANALKLGLESDVFVQCGLIHLYGKCGSVRDAEKAFEIVGDKRNIACWNAMLMGYVHNELCLRAIKLLYRMKEAGIKVQESLINDVRIACATT >EOY29568 pep chromosome:Theobroma_cacao_20110822:9:1576307:1580963:-1 gene:TCM_037066 transcript:EOY29568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase 6 isoform 1 MACKIADSMFLCLGPCQRPIRSQRIATHSSPASICHLKWDSLLLKGISNKKDLIPMKRTKVIQAVAVPVTPSSADSAEYRKQLAERYGFRQIGEPLLDNVTLKDVLDTLPKKVFEIDDVKAWTSVLISVTSYALGIFMISKAPWYLLPLAWAWTGTAITGFFVIGHDCAHKSYSKNKLLEDVVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLSEDTAWHPVWEEEFETSPFLRKAIIFGYGPFRPWMSIAHWLIWHFDLNKFRPNEVKRVKTSLACVFAFMAIGWPLIIYKTGIIGWIKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKSSDEWNAAQAQLNGTVHCDYPRW >EOY29567 pep chromosome:Theobroma_cacao_20110822:9:1575628:1581277:-1 gene:TCM_037066 transcript:EOY29567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase 6 isoform 1 MNGSHQCTTRGLLSTERGGLLKKGREGRCALKLGAFCISVPMACKIADSMFLCLGPCQRPIRSQRIATHSSPVSVFRCTCYELHSRLTMAIQFQSFQFACLKIFYSLRGFRLLTSSICHLKWDSLLLKGISNKKDLIPMKRTKVIQAVAVPVTPSSADSAEYRKQLAERYGFRQIGEPLLDNVTLKDVLDTLPKKVFEIDDVKAWTSVLISVTSYALGIFMISKAPWYLLPLAWAWTGTAITGFFVIGHDCAHKSYSKNKLLEDVVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLSEDTAWHPVWEEEFETSPFLRKAIIFGYGPFRPWMSIAHWLIWHFDLNKFRPNEVKRVKTSLACVFAFMAIGWPLIIYKTGIIGWIKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKSSDEWNAAQAQLNGTVHCDYPRWIEILCHDINVHIPHHVSSRIPSYNLRAAHKSIQENWGPYLNEATWNWRLMKTIMTVCHVYHKEQNYIAFDELAPQESSPITFLKRVMPDYA >EOY29346 pep chromosome:Theobroma_cacao_20110822:9:824894:827211:-1 gene:TCM_036913 transcript:EOY29346 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor, putative MESAWVDTNLDLNINIFNHRNKALKRESHGEVAESEVKVPLKQETGVLVEELNRVSAENKKLTEMLTVVCEHYNSLQNKFMELVSKNCENEAATSKKRKAEFEDYTNMIGFNGNAESSCSDEESSKRPKESIKAKISRVYVRTNPSDSSLIVRDGYQWRKYGQKVTRDNPSPRAYFKCSFAPSCPVKKKVQRSAEDPSILVATYEGEHNHAHSSPAELSLSTSSIPDPCSAPVSAPTKCSAPTVTLELMQPGFMGDDAKKPVQQIDAPGIQQILAQQMAASLTRDPNFTAALAAAISGRVVDQTRLEKW >EOY31451 pep chromosome:Theobroma_cacao_20110822:9:8896794:8899082:1 gene:TCM_038383 transcript:EOY31451 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus lectin protein kinase family protein, putative MSGNTPVGLSQTRTNMAYFLKLHRENEQEVPLFSFASIETATNYFGCKQAWVNWQQGQEIAVKRLSKISRRGITEFKNEITLKCKLQRRNLARLLGSCIGAEEGLLVYEYMPNNSLDSFIFDSTKGALLDWRKCVNIIQGIAQGLLYLHSGYMSSEYAMDDLFLKKLDVFSFEIIILEIVSAKENIAFFETDHSLNLLGRAWDLCKQDRCMDLIRFNVARFLVRKPRSSYCSARALT >EOY34573 pep chromosome:Theobroma_cacao_20110822:9:41473468:41479821:-1 gene:TCM_042198 transcript:EOY34573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin activating enzyme 2, 2 isoform 1 MLPRKRAADDGEVVIETDTETTTTNNNNNNNNNAAASSFKKHRLDNCIIAADAATESTAKNGDNGARIGGNSDQTNSRVVESSPSIMALGDANHTEIDEDLHSRQLAVYGRETMRRLFASNILVSGMQGLGAEIAKNLILAGVKSVTLHDEGLVDLWDLSSNFVFSESDVGKNRAFASVQKLQELNNAVIISTLTTKLTKEKLSDFQAVVFTDISFEKAIEFNDYCHNHQPPISFIKAEVRGLFGSIFCDFGPEFTVIDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKIKSARPYSFTLEEDTSNFGMYIKGGIVTQVKQPKVLNFKPFREALKDPGDFLLSDFSKFDRPPLLHLAFQALDKFVSDLGRFPVAGSEEDANKLISIAGNINESLGDGRVEDVNLKLLRHFAFGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTEPLDPSDFRPLNSRYDAQISVFGSKLQEKLEDAKVFIVGSGALGCEFLKNIALMGVSCGEQGKLTITDDDVIEKSNLSRQFLFRDWNIRQAKSTVAASAAASINPRLNIEALQNRVGPETENVFDDTFWENLTVVVNALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAFLSSPVEYKTAQRNAGDAQARDNLERVLECLEKEKCETFQDCITWARLRFEDYFVNRVKQLIYTFPEDAATSTGAPFWSAPKRFPRPLQFSAADPSHLQFVMAASILRAETFGIPIPDFVKHPKMLAEAVEKVIVPDFEPLKDAKIVTDEKATTLSTASVDDAAVINELIFKLELCMENLPQGFKMKPIQFEKDDDTNYHMDFIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKALDGGHKLEDYRNTFANLALPLFSMAEPVPPKVIKHGDMSWTVWDRWILRDNPTLRELIKWLKDKGLNAYSISYGSCLLYNSMFPRHRERMDKKVLDLAREVAKAELPPNRRHLDVVVACEDDEDNDIDIPQISIYFS >EOY34574 pep chromosome:Theobroma_cacao_20110822:9:41473468:41479548:-1 gene:TCM_042198 transcript:EOY34574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin activating enzyme 2, 2 isoform 1 MLPRKRAADDGEVVIETDTETTTTNNNNNNNNNAAASSFKKHRLDNCIIAADAATESTAKNGDNGARIGGNSDQTNSRVVESSPSIMALGDANHTEIDEDLHSRQLAVYGRETMRRLFASNILVSGMQGLGAEIAKNLILAGVKSVTLHDEGLVDLWDLSSNFVFSESDVGKNRAFASVQKLQELNNAVIISTLTTKLTKEKLSDFQAVVFTDISFEKAIEFNDYCHNHQPPISFIKAEVRGLFGSIFCDFGPEFTVIDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKIKSARPYSFTLEEDTSNFGMYIKGGIVTQVKQPKVLNFKPFREALKDPGDFLLSDFSKFDRPPLLHLAFQALDKFVSDLGRFPVAGSEEDANKLISIAGNINESLGDGRVEDVNLKLLRHFAFGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTEPLDPSDFRPLNSRYDAQISVFGSKLQEKLEDAKVFIVGSGALGCEFLKNIALMGVSCGEQGKLTITDDDVIEKSNLSRQFLFRDWNIRQAKSTVAASAAASINPRLNIEALQNRVGPETENVFDDTFWENLTVVVNALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAFLSSPVEYKTAQRNAGDAQARDNLERVLECLEKEKCETFQDCITWARLRFEDYFVNRVKQLIYTFPEDAATSTGAPFWSAPKRFPRPLQFSAADPSHLQFVMAASILRAETFGIPIPDFVKHPKMLAEAVEKVIVPDFEPLKDAKIVTDEKATTLSTASVDDAAVINELIFKLELCMENLPQGFKMKPIQFEKDDDTNYHMDFIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKALDGGHKLEDYRNTFANLALPLFSMAEPVPPKVIKHGDMSWTVWDRWILRDNPTLRELIKWLKDKGLNAYSISYGSCLLYNSMFPRHRERMDKKVLDLAREVAKAELPPNRRHLDVVVACEDDEDNDIDIPQISIYFS >EOY30971 pep chromosome:Theobroma_cacao_20110822:9:6513679:6518825:1 gene:TCM_038007 transcript:EOY30971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MSFTGGRTVRRALEFGRTYVVRPKGRHQVTIVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTQPITVFGGFPSTAWFDVGDLSEDAPDDIEGLDAAAAHVANLLSTEPADIKLGVGGFSMGAATSLYSATCFTHGKYGNGNPYPANLNAVVALSGWLPCSKTLKDKIEAQGEAVTRARHLPILLCHGKGDDVVPYKFGEKSSRALSSNGFEDTTFKSYNGLGHHTIPEEMEEVCAWLTSKLGLEGRST >EOY30970 pep chromosome:Theobroma_cacao_20110822:9:6513896:6518976:1 gene:TCM_038007 transcript:EOY30970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 1 MSFTGPSVGPGGRTVRRALEFGRTYVVRPKGRHQVTIVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTQPITVFGGFPSTAWFDVGDLSEDAPDDIEGLDAAAAHVANLLSTEPADIKLGVGGFSMGAATSLYSATCFTHGKYGNGNPYPANLNAVVALSGWLPCSKTLKDKIEAQGEAVTRARHLPILLCHGKGDDVVPYKFGEKSSRALSSNGFEDTTFKSYNGLGHHTIPEEMEEVCAWLTSKLGLEGRST >EOY33717 pep chromosome:Theobroma_cacao_20110822:9:38769085:38770357:1 gene:TCM_041618 transcript:EOY33717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGSPIIYFQRLTSRQMLAETWKSAFSMALRGYNLNASMTMTASSSGFPSLADPFLPGFSAFVSAWRLLNCKD >EOY34744 pep chromosome:Theobroma_cacao_20110822:9:41987268:41992574:-1 gene:TCM_046941 transcript:EOY34744 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM, LAG1 and CLN8 (TLC) lipid-sensing domain containing protein, putative isoform 4 MVDLPSFPPAFTPIVLRLSSSKEFQWLASCSFSIITCKLVYKITGFISHFCFKGYRKLSNEKKVEWNNRGFSTFHALIAALASLYLLFVSDLFHEDSSDELIVNRTSIISNTILGVKKIFPLGFYSLLTVPAMLALMNVAWFWKIIKGLIKTVSKARHSE >EOY34742 pep chromosome:Theobroma_cacao_20110822:9:41986886:41992671:-1 gene:TCM_046941 transcript:EOY34742 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM, LAG1 and CLN8 (TLC) lipid-sensing domain containing protein, putative isoform 4 MVISSCSSSNKQDFAASPRVGMVDLPSFPPAFTPIVLRLSSSKEFQWLASCSFSIITCKLVYKITGFISHFCFKGYRKLSNEKKVEWNNRGFSTFHALIAALASLYLLFVSDLFHEDSSDELIVNRTSIISNTILGFSIGYFLSDLAMIFWHFPALGGLEYVLHHGLSMFSIFLSLISSQGQIYILMVLFSESTTPFVNLRWYLDVAGQKSSNIYIYNGIALFFGWLIARIFLFIYFFAHMLNHFDQVKKIFPLGFYSLLTVPAMLALMNVAWFWKIIKGLIKTVSKARHSE >EOY34741 pep chromosome:Theobroma_cacao_20110822:9:41987443:41992530:-1 gene:TCM_046941 transcript:EOY34741 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM, LAG1 and CLN8 (TLC) lipid-sensing domain containing protein, putative isoform 4 MVDLPSFPPAFTPIVLRLSSSKEFQWLASCSFSIITCKLVYKITGFISHFCFKGYRKLSNEKKVEWNNRGFSTFHALIAALASLYLLFVSDLFHEDSSDELIVNRTSIISNTILGFSIGYFLSDLAMIFWHFPALGGLEYVLHHGLSMFSIFLSLISSQGQIYILMVLFSESTTPFVNLRWYLDVAGQKSSNIYIYNGIALFFGWLIARIFLFIYFFAHMLNHFDQVKKIFPLGFYSLLTVPAMLALMNVAWFWKIIKGLIKTVSKARHSE >EOY34743 pep chromosome:Theobroma_cacao_20110822:9:41987567:41991972:-1 gene:TCM_046941 transcript:EOY34743 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM, LAG1 and CLN8 (TLC) lipid-sensing domain containing protein, putative isoform 4 MVDLPSFPPAFTPIVLRLSSSKEFQWLASCSFSIITCKLVYKITGFISHFCFKGYRKLSNEKKVEWNNRGFSTFHALIAALASLYLLFVSDLFHEDSSDELIVNRTSIISNTILGFSIGYFLSDLAMIFWHFPALGGLEYIARIFLFIYFFAHMLNHFDQVKKIFPLGFYSLLTVPAMLALMNVAWFWKIIKGLIKTVSKARHSE >EOY34657 pep chromosome:Theobroma_cacao_20110822:9:41704020:41711958:1 gene:TCM_042256 transcript:EOY34657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNDHEQNKGKLINEGSAFGRNVIHDEYPKEQENMEKFCFKKFFYYCRRTRRIGLSFPFFKPIDLFLDEDLFQVFSCRCFSGC >EOY29903 pep chromosome:Theobroma_cacao_20110822:9:2752891:2756065:-1 gene:TCM_037288 transcript:EOY29903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 4 precursor isoform 1 MFFIEEIWPLLHLNRFGSKTRLFSESLLGTVTDSMTNTSCQSSELEGCRDESAALKLKLIAIASILLAGVAGIAIPLIGKHRKFLRTDGSLFVAAKAFAAGVILATGFVHMLSGGTDALTDPCLPKYPWSKFPFSGFFAMIASLVTLLVDFVGTQYYERKQGLARGSMEEQGSVGPVEPDSESAIVPIVEGKDWNGGKVFGEEEGGGMHIVGMHAHAAHHRHSHPHGQDGCDGLVRSRGHEHDHGHGHSHGHGHGHEHSHGHGHEHGLGGGDDDSGVRHVVVSQILELGIVSHSVIIGLSLGVSQSPCTIRPLIAALSFHQFFEGFALGGCISQAQFKTLSTTIMACFFVITTPAGIGIGTAIASFYNPYSPAALVVEGILDSLSAGILVYMALVDLIAADFLSKRMSCNFRLQVASYFMLFLGAGLMASLAIWA >EOY29904 pep chromosome:Theobroma_cacao_20110822:9:2752472:2755920:-1 gene:TCM_037288 transcript:EOY29904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 4 precursor isoform 1 MFFIEEIWPLLHLNRFGSKTRLFSAESLLGTVTDSMTNTSCQSSELEGCRDESAALKLKLIAIASILLAGVAGIAIPLIGKHRKFLRTDGSLFVAAKAFAAGVILATGFVHMLSGGTDALTDPCLPKYPWSKFPFSGFFAMIASLVTLLVDFVGTQYYERKQGLARGSMEEQGSVGPVEPDSESAIVPIVEGKDWNGGKVFGEEEGGGMHIVGMHAHAAHHRHSHPHGQDGCDGLVRSRGHEHDHGHGHSHGHGHGHEHSHGHGHEHGLGGGDDDSGVRHVVVSQQILELGIVSHSVIIGLSLGVSQSPCTIRPLIAALSFHQFFEGFALGGCISQAQFKTLSTTIMACFFVITTPAGIGIGTAIASFYNPYSPAALVVEGILDSLSAGILVYMALVDLIAADFLSKRMSCNFRLQVASYFMLFLGAGLMASLAIWA >EOY32383 pep chromosome:Theobroma_cacao_20110822:9:28485477:28491222:-1 gene:TCM_040268 transcript:EOY32383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peripheral-type benzodiazepine receptor-associated protein 1 MAAAPRSRSSTFTPSEQWLLMTATILVCGFLGYVVYDAIMATASELLQRLLVISPLLLVVVVHWLSTGSTLNFPMPGSEPGAIHRAGGSPWGVASVLLLLFFLICYQPSFHDLIF >EOY33418 pep chromosome:Theobroma_cacao_20110822:9:37400314:37407047:1 gene:TCM_041394 transcript:EOY33418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/sec24 transport family protein isoform 2 MAVRATVSRFPVDSDAQEASGVPWGITLTPFTAKDENGQSPVYGSDGHLLPRCENCYAYFNTYCELDQWAWNCSLCGTLNGLSSQAIARYSHPQSCAEMMSSFIDLELPLEGSDEEMLHARPVYVAAVDLSSSEEFLELTKSALQAALEALAPGSLFGLATFSHKLGLYDVQGPIPVVKNVFIPQDTEGTMPIELEDVMPLLQFLAPVETCKDRITSALETLRPTTSWERTPGAGEGLDGVLMGGRGFGAAMEALCNYLGSEYGNTFALARVFAFLSGPPDYGPGQLDTRRYGEQYASKGEDADRALLPEQTPFYKDLAAVAVQAGVCVDIFAVTNEYTDLASLKFLSIESGGSLFLYTNADDSTLPQDMYRMLSRPYAFNCVLRLRTSTEFKPGHSYGHFFPDPQYENVQHIICCDSYATYAYDFDFANNVGFSRHASEQPMVQIAFQYTVVVPPEELSTSGLVSSTRGKHSLKRRLRIRTLQYGTAQNPNELYDGVDPEAVLSLLVHKVILASLEQGVREGRMLLHDWLVILTAQYNEAFKLIQYKSGSSSITAQIDVAFSQCPQLQPFPRLVFALLRNPLLRFHEEGVHPDYRIYVQCLFSALEPSSLHHAIYPVLTSYSTPDKQAYPRHSLSRAALITSGSPIFFLDAFTTLIVFYSSTADPSLPFPPPQDCLLRATINKLKQDRCITPKLLFIQGGQDDATAFENYLIEEQDVDGSGLTSVMGFVSFLEDITQSVLEYIK >EOY33419 pep chromosome:Theobroma_cacao_20110822:9:37400361:37406651:1 gene:TCM_041394 transcript:EOY33419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/sec24 transport family protein isoform 2 MAVRATVSRFPVDSDAQEASGVPWGITLTPFTAKDENGQSPVYGSDGHLLPRCENCYAYFNTYCELDQWAWNCSLCGTLNGLSSQAIARYSHPQSCAEMMSSFIDLELPLEGSDEEMLHARPVYVAAVDLSSSEEFLELTKSALQAALEALAPGSLFGLATFSHKLGLYDVQGPIPVVKNVFIPQDTEGTMPIELEDVMPLLQFLAPVETCKDRITSALETLRPTTSWERTPGAGEGLDGVLMGGRGFGAAMEALCNYLGSEYGNTFALARVFAFLSGPPDYGPGQLDTRRYGEQYASKGEDADRALLPEQTPFYKDLAAVAVQAGVCVDIFAVTNEYTDLASLKFLSIESGGSLFLYTNADDSTLPQDMYRMLSRPYAFNCVLRLRTSTEFKPGHSYGHFFPDPQYENVQHIICCDSYATYAYDFDFANNVGFSRHASEQPMVQIAFQYTVVVPPEELSTSGLVSSTRGKHSLKRRLRIRTLQYGTAQNPNELYDGVDPEAVLSLLVHKVILASLEQGVREGRMLLHDWLVILTAQYNEAFKLIQYKSGSSSITAQIDVAFSQCPQLQPFPRLVFALLRNPLLRFHEEGVHPDYRIYVQCLFVYCGLQLTN >EOY29644 pep chromosome:Theobroma_cacao_20110822:9:1902736:1906013:1 gene:TCM_037130 transcript:EOY29644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hemoglobin 3 isoform 2 MQSLQEKASEWSGVDAADAFAIDDTNLFQKLGLQTFINLSTNFYTRVYDDEEEWFRSIFANSKKEEAIQNQYEFFVQRMGGPPLYSQRKGHPALIGRHRPFPVTHQAAERWLHHMEKALESTPDIDADSKIKMMNFFRHTAFFLVAGDELKNQNQRVPLFQIIALVMDMFEALFARKCAFCHGKCLD >EOY29645 pep chromosome:Theobroma_cacao_20110822:9:1902558:1906027:1 gene:TCM_037130 transcript:EOY29645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hemoglobin 3 isoform 2 KKKKEGAFLPRDHHEPPLNSQQLELNWFSVAPRFARRTEHIQNKKQREMQSLQEKASEWSGVDAADAFAIDDTNLFQKLGLQTFINLSTNFYTRVYDDEEEWFRSIFANSKKEEAIQNQYEFFVQRMGGPPLYSQRKGHPALIGRHRPFPVTHQAAERWLHHMEKALESTPDIDADSKIKMMNFFRHTAFFLVAGDELKNQNQRVPLFQIIALVMDMFEALFARKCAFCHGKCLD >EOY32910 pep chromosome:Theobroma_cacao_20110822:9:34442226:34448178:1 gene:TCM_040939 transcript:EOY32910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein MGMEANGVEQTSTETMQENVEELLEAARYDDIDDLIRLASTGVSLDSKDSQCRTALHMAAANGHLGIVEYLIGSGVDVNASNVEKNTPLHWACLNGHVEVVKKLVLSGANVSLLNIHERTPIDEAVSMGKMDVINAINVTSAELELTGINVS >EOY31063 pep chromosome:Theobroma_cacao_20110822:9:6839917:6843240:1 gene:TCM_038077 transcript:EOY31063 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein isoform 2 MANSLCFSSVCSFNSPSKPGIIIDNSYPRKVLRVSEVFKSSRSARIQSLEAKVANNNQSAKPNSIVCADCDGNGTGVNSVDHFNGQFKAGGLCWLCRGKREILCGNCNGAGFIGGFMSTFDE >EOY31062 pep chromosome:Theobroma_cacao_20110822:9:6839936:6843234:1 gene:TCM_038077 transcript:EOY31062 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein isoform 2 MANSLCFSSVCSFNSPSKPGIIIDNSYPRKVLRVSEVFKSSRSARIQSLEAKVANNNQSAKPNSIVCADCDGNGAKQCTQCKGTGVNSVDHFNGQFKAGGLCWLCRGKREILCGNCNGAGFIGGFMSTFDE >EOY30935 pep chromosome:Theobroma_cacao_20110822:9:6352769:6354503:-1 gene:TCM_037976 transcript:EOY30935 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor family protein, putative MDECQNSDTNSLGFCHLPSELIQNILFSLALPEIIRMKLVNKFLAYVISDQNFIRECNLRSRSATWLFVYKKRWRRDATIHGFSDQSNRWFKISIDDLMKQVIFYPGEDIYLLTASGNIFLFASNTQKAVIAVNLVSKAVKKIPPCPLGPRGTSSWRRSGMKLVPEPSGSGHFRFVFVELLENRPVLFEYNSETDEWQSTEAREIYGNFLGIDCMFLNALNGPYESLVVAVGSEYYNSPMILRPRLRNAGQQPSTVFSWVNISDRKHVYGDGHMMIMRSRGIDNNTDRRVKMLSSMEMWGISLNSGNWEYTSNVPSDIMKQLGKPYGVMIGCLEARGGIIRVVLMSNFEGLWDIVWLTYEKERGVWTLVPLPDCKMKGSNLAGITFSSGLSLA >EOY32726 pep chromosome:Theobroma_cacao_20110822:9:33126837:33131987:1 gene:TCM_040751 transcript:EOY32726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Set1/Ash2 histone methyltransferase complex subunit ASH2 MDSLQATYKDEDDEETVPQPPPSTTTDSTAPLPPNTVEPKKETEPQNDITTTKQETTQLAAASSDSLSEEDPTTTTTTASDDNQNPLKVPQNDDEFYDDEEEPPPKKQRQLSSLTIPSQGPNSVPRINDDNPIAAAAENNNNNGNAPSNPSSATKTTTTKKSKKKNNNVWVTKTSRKGKKKSKVNNQNAGNAEDTVLITPVPRFPDKGDDTPELSICLSKVYKAEKVELSEDRMSAGSTKGYRMVRATRGVEEGAWYFEIKVVKLGETGHTRLGWSTEKGDLQAPVGYDGNSFGYRDIDGSKVHKALREKYGEEGYKEGDVIGFYINLPEGGSFAPKPPHLVWYKGQRYVCAPDVKEEPPKVVPGSEISFFKNGVCQGVAFKDLYGGRYYPSASMYTLPNQPNCVVKFNFGPDFECFPEDFGGCPIPRPMVEVPYHGFDNRVENGESIEKKQ >EOY30217 pep chromosome:Theobroma_cacao_20110822:9:3825488:3828313:1 gene:TCM_037497 transcript:EOY30217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I light harvesting complex gene 6 isoform 1 MALAIASTALSSLPIRDRPQKPFPGKITTFLPGSTHLRATKGVSSVCEPLPPDRPLWFPGSTPPEWLDGSLPGDFGFDPLGLGSDPETLKWFAQAELMHARWAMLAVAGILIPECLERLGFIENFSWYDAGAREYFADPTTLFVVQMALMGWVEGRRWVDMINPGSVDIQLKIPNKKNPTPDVGYPGGLWFDPMMWGRGSPEPVMVLRTKEIKNGRIAMLAFVGFCFQAIYTGEGPIENLMAHIADPGHCNVFSVLLFFLFEL >EOY30216 pep chromosome:Theobroma_cacao_20110822:9:3825488:3828222:1 gene:TCM_037497 transcript:EOY30216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I light harvesting complex gene 6 isoform 1 MALAIASTALSSLPIRDRPQKPFPGKITTFLPGSTHLRATKGVSSVCEPLPPDRPLWFPGSTPPEWLDGSLPGDFGFDPLGLGSDPETLKWFAQAELMHARWAMLAVAGILIPECLERLGFIENFSWYDAGAREYFADPTTLFVVQMALMGWVEGRRWVDMINPGSVDIQLKIPNKKNPTPDVGYPGGLWFDPMMWGRGSPEPVMVLRTKEIKNGRIAMLAFVGFCFQAIYTGEGPIENLMAHIADPGHCNVFSAFTTR >EOY33465 pep chromosome:Theobroma_cacao_20110822:9:37633339:37638658:-1 gene:TCM_041437 transcript:EOY33465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MDELGVYVLICDRAGYGDSDPNRNSMVKSEALDIQELADQLQLGPKFYVIGVSLGTYAIWSCLKYIPHRHVHGFCFFRP >EOY33677 pep chromosome:Theobroma_cacao_20110822:9:38554604:38558996:1 gene:TCM_041583 transcript:EOY33677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase family protein with Rieske [2Fe-2S] domain MAVFLATSTSTANIAASFIASLQSSKSHPFIYPRKNLTPRRITKLTPLNVAAPPSSSAASPTSDRKLEEEYKVGDEFGEESSEAKFSWKDHWYPVSLVEDLDPTLPTPFQLLGLDLVLWFDKGRNEWVAFDDKCPHRLAPLSEGRIDENGHLQCSYHGWSFDGCGSCTRIPQAASQGPEARAVQSPRACATRFPTMVSQGLLFVWPDENGQERASATKPPMLPDDFEKPEFATVNIQRDLFYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLPFKVESSGPWGFGGSNEGNPKISAKFVAPCYAMNKIEIDTKLPIVGEQKWKIWICSFNVPMAPGKTRSIVCSARNFFQFTVPGSAWWQVVPRWFEHWTSNKVYDGDMIVLQGQEKILLSKSMESSEDINKQYSKLTFTPAQADRLVLAFRNWLRRHGNSQPEWLSSSPQQPLPSTVLSKRQMLDRFEQHTLKCSSCKQAYTSFRTWQKILIGATVAFCAAAGIPSDIQFRIVLAVLALVSAGLAYALHELQKNFVFVDYVHAEID >EOY30457 pep chromosome:Theobroma_cacao_20110822:9:4657213:4664351:1 gene:TCM_037659 transcript:EOY30457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein MESPQSQQQQQQQQQGPKKRGRKPKPKDEKEQQQQQQQQSAGKMKEGKKAQQPSVDEKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVEAQPNRHAVLGATSSRPDLILTGHQDNAEFALAMCPTEPYVLSGGKDKSVVWWSIQDHITTTATDATKSPGSGGSIIKQNDKAADGPSVGPRGIFCGHEDTVEDVTFCPSGAQEFCSVGDDSCLILWDARVGTSPTVKVEKAHNADLHCVDWNPHDDNLILTGSADNTVRMFDRRNLTSNGVGSPIYKFEGHKAAVLCVQWSPDKSSVFGSSAEDGLLNIWDYDKVGKKVERASRSPSTPAGLFFQHAGHRDKVVDFHWNASDPWTVVSVSDDCDTTGGGGTLQIWRMSDLIYRPEEEVLAELEKFKSHVISCASKP >EOY32022 pep chromosome:Theobroma_cacao_20110822:9:19173867:19175625:-1 gene:TCM_039429 transcript:EOY32022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWCISKRQGWWGSLTCAWASNCEKSYNLQSPISNLVFPAPDTRSKTSFSLFVSTKISVRPFLSNQKIGHLQFIEI >EOY29772 pep chromosome:Theobroma_cacao_20110822:9:2324936:2331636:1 gene:TCM_037207 transcript:EOY29772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class III HD-Zip protein 8 isoform 2 MMAVTSSCKEGNKIAMDNGKYVRYTPEQVDALERLYHECPKPSSMRRQQLIRECPILANIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENSYFRQQTQNATLATTDTSCESVVTSGQHHLTPQHPPRDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLDPTRVAEILKDRPSWFRDCRAVDVMNVLSTGNGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLNNTQNGPSIPPAANFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTLLAQKTTMAALRHLRQISQEISQPNVTGWGRRPAALRALSQKLSKGFNEAVNGFTDEGWSMLESDGVDDVTLLVNSSPGKMMGINLSYSNGFPSMGNAVLCAKASMLLQNVPPAILLRFLREHRSEWADSGIDAYSAAAVKAGPCSLPVSRGGSFGGQVILPLAHTIEHEEFMEVIKLENMGHYRDDMIMPGDIFLLQLCSGVDENAVGTCAELIFAPIDASFSDDAPIIPSGFRIIPLDSGMDASSPNRTLDLASTLEVGAAGNRATGDHSGRCGSTKSVMTIAFQFVYEIHLQENVATMARQYVRSIIASVQRVALALSPSRFGSLADFRTPPGTPEAQTLGRWICDSYRCYLGVELLKNEGSESILKMLWHHTDAVLCCSLKALPVFTFANQAGLDMLETTLVALQDISLEKIFDENGRKALFAEFPQVMQQLIIVSPLFWFLVEQGFMCLQGG >EOY29773 pep chromosome:Theobroma_cacao_20110822:9:2325513:2332081:1 gene:TCM_037207 transcript:EOY29773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class III HD-Zip protein 8 isoform 2 MMAVTSSCKEGNKIAMDNGKYVRYTPEQVDALERLYHECPKPSSMRRQQLIRECPILANIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENSYFRQQTQNATLATTDTSCESVVTSGQHHLTPQHPPRDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLDPTRVAEILKDRPSWFRDCRAVDVMNVLSTGNGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLNNTQNGPSIPPAANFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTLLAQKTTMAALRHLRQISQEISQPNVTGWGRRPAALRALSQKLSKGFNEAVNGFTDEGWSMLESDGVDDVTLLVNSSPGKMMGINLSYSNGFPSMGNAVLCAKASMLLQNVPPAILLRFLREHRSEWADSGIDAYSAAAVKAGPCSLPVSRGGSFGGQVILPLAHTIEHEEFMEVIKLENMGHYRDDMIMPGDIFLLQLCSGVDENAVGTCAELIFAPIDASFSDDAPIIPSGFRIIPLDSGMDASSPNRTLDLASTLEVGAAGNRATGDHSGRCGSTKSVMTIAFQFVYEIHLQENVATMARQYVRSIIASVQRVALALSPSRFGSLADFRTPPGTPEAQTLGRWICDSYRCYLGVELLKNEGSESILKMLWHHTDAVLCCSLKALPVFTFANQAGLDMLETTLVALQDISLEKIFDENGRKALFAEFPQVMQQGFMCLQGGICLSSMGRPVSYERAVAWKVVNDEENAHCICFMFINWSFV >EOY29771 pep chromosome:Theobroma_cacao_20110822:9:2320850:2332471:1 gene:TCM_037207 transcript:EOY29771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class III HD-Zip protein 8 isoform 2 MLIAAEGTGKTKAKATKNLEWIFEVSPVIRSCPKVPEENEVMMAVTSSCKEGNKIAMDNGKYVRYTPEQVDALERLYHECPKPSSMRRQQLIRECPILANIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENSYFRQQTQNATLATTDTSCESVVTSGQHHLTPQHPPRDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLDPTRVAEILKDRPSWFRDCRAVDVMNVLSTGNGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLNNTQNGPSIPPAANFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTLLAQKTTMAALRHLRQISQEISQPNVTGWGRRPAALRALSQKLSKGFNEAVNGFTDEGWSMLESDGVDDVTLLVNSSPGKMMGINLSYSNGFPSMGNAVLCAKASMLLQNVPPAILLRFLREHRSEWADSGIDAYSAAAVKAGPCSLPVSRGGSFGGQVILPLAHTIEHEEFMEVIKLENMGHYRDDMIMPGDIFLLQLCSGVDENAVGTCAELIFAPIDASFSDDAPIIPSGFRIIPLDSGMDASSPNRTLDLASTLEVGAAGNRATGDHSGRCGSTKSVMTIAFQFVYEIHLQENVATMARQYVRSIIASVQRVALALSPSRFGSLADFRTPPGTPEAQTLGRWICDSYRCYLGVELLKNEGSESILKMLWHHTDAVLCCSLKALPVFTFANQAGLDMLETTLVALQDISLEKIFDENGRKALFAEFPQVMQQGFMCLQGGICLSSMGRPVSYERAVAWKVVNDEENAHCICFMFINWSFV >EOY29704 pep chromosome:Theobroma_cacao_20110822:9:2099831:2103980:-1 gene:TCM_037162 transcript:EOY29704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative isoform 1 MEQGETVSDFAPKKLARQLDFTTMCRASANATLPDHPMHLQSQSQPQPQWPSQTPLQTKHQQQPQPQELQLRLYFQPQTVQSPPQPQLKPQSPPMPQVQTRPPPPPPPQQQQVAVVHRVPHPVQKLSLPTFQLSKQESPRSGPRGHVDGKDGTPKKQKQCNCKNSRCLKLYCECFAAGIYCNGCNCINCHNNVENEAARQEAVGATLERNPNAFRPKIASSPHRPQDARDDARDVQMVGKHNKGCHCKKSGCLKKYCECYQANILCSENCKCMDCKNFEGSEERRALFHGDHNSMAYMQQAANAAISGAIGSSGYGTPLASKKRKSEEFLFGVAVNDQFNQKIVQHQQENHLKNPVATSFPFSAPVSRAANTASLGSSKLTYRSPLADILQPQDVKELCSVLVLVSSEAERALAAEKSSKMDSQTEKGSIETIVSSGQAGEMCQQGNGVHRGATNDRTSENQADADRSGNSGADGDDVQNGRPLSPGTRALMCDEEDAMFMAAGSSNVLADHSQNMTQKSSNGHECT >EOY29703 pep chromosome:Theobroma_cacao_20110822:9:2098243:2110122:-1 gene:TCM_037162 transcript:EOY29703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative isoform 1 MEQGETVSDFAPKKLARQLDFTTMCRASANATLPDHPMHLQSQSQPQPQWPSQTPLQTKHQQQPQPQELQLRLYFQPQTVQSPPQPQLKPQSPPMPQVQTRPPPPPPPQQQQVAVVHRVPHPVQKLSLPTFQLSKQESPRSGPRGHVDGKDGTPKKQKQCNCKNSRCLKLYCECFAAGIYCNGCNCINCHNNVENEAARQEAVGATLERNPNAFRPKIASSPHRPQDARDDARDVQMVGKHNKGCHCKKSGCLKKYCECYQANILCSENCKCMDCKNFEGSEERRALFHGDHNSMAYMQQAANAAISGAIGSSGYGTPLASKKRKSEEFLFGVAVNDQFNQKIVQHQQENHLKNPVATSFPFSAPVSRAANTASLGSSKLTYRSPLADILQPQDVKELCSVLVLVSSEAERALAAEKSSKMDSQTEKGSIETIVSSGQAGEMCQQGNGVHRGATNDRTSENQADADRSGNSGADGDDVQNGRPLSPGTRALMCDEEDAMFMAAGSSNVLADHSQNMTQKSSNGHECTDVYAEQERLVLTRFRDFLNQLITCGSIKETMCSPLAISEKRSQQQPVENGAVKSGNQGGGQKEPYRNGIVKSSIPAPVEIGQTVSAACSALHTDLPSKHRLPIGNGDTNVEIKGWN >EOY29256 pep chromosome:Theobroma_cacao_20110822:9:519572:519983:1 gene:TCM_036847 transcript:EOY29256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGVKVREGHVDAAQPNLPKLCIQVKNHPHINRDAIVVLCNNHMHQQNLARNDHEVEKMEERAGYCGSFLHLH >EOY32818 pep chromosome:Theobroma_cacao_20110822:9:33853670:33865480:-1 gene:TCM_040841 transcript:EOY32818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochromobilin:ferredoxin oxidoreductas isoform 3 MIALDGKTRLEMLSFEAPRIRLLRSISIEGEAMQVLDFAAFPKPEFDLPIFCGNFFTAANTNIVVLDLNPLHDVSSRRGYKERYYDSLMPLGLKYTELLPWGGKLTSESIKFFSPIVIWTKFSSSKSKHEVLYSAFMEYYKTWMELTEQAVEDTDPSQIRCNREAQHRYLTWRAEKDPGHGVLKRLIGEKLAKDLLRNFLFSGIDELGSKTFLDYFPEYRSEDGAINERRSIIGKSFETRPWDAKGEFVGNDLRK >EOY32817 pep chromosome:Theobroma_cacao_20110822:9:33853276:33866539:-1 gene:TCM_040841 transcript:EOY32817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochromobilin:ferredoxin oxidoreductas isoform 3 MEHSSSSSVSSLSLKLKPPPSLMTSNLNANSYWRKRKKGRNCLLQASAISYQKFIHFALNETKRHSLLLPSPLQERYGSMIALDGKTRLEMLSFEAPRIRLLRSISIEGEAMQVLDFAAFPKPEFDLPIFCGNFFTAANTNIVVLDLNPLHDVSSRRGYKERYYDSLMPLGLKYTELLPWGGKLTSESIKFFSPIVIWTKFSSSKSKHEVLYSAFMEYYKTWMELTEQAVEDTDPSQIRCNREAQHRYLTWRAEKDPGHGVLKRLIGEKLAKWH >EOY32816 pep chromosome:Theobroma_cacao_20110822:9:33853889:33866466:-1 gene:TCM_040841 transcript:EOY32816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochromobilin:ferredoxin oxidoreductas isoform 3 MEHSSSSSVSSLSLKLKPPPSLMTSNLNANSYWRKRKKGRNCLLQASAISYQKFIHFALNETKRHSLLLPSPLQERYGSMIALDGKTRLEMLSFEAPRIRLLRSISIEGEAMQVLDFAAFPKPEFDLPIFCGNFFTAANTNIVVLDLNPLHDVSSRRGYKERYYDSLMPLGLKYTELLPWGGKLTSESIKFFSPIVIWTKFSSSKSKHEVLYSAFMEYYKTWMELTEQAVEDTDPSQIRCNREAQHRYLTWRAEKDPGHGVLKRLIGEKLAKDLLRNFLFSGIDELGSKTFLDYFPEYRSEDGAINERRSIIGKSFETRPWDAKGEFVGNDLRK >EOY31741 pep chromosome:Theobroma_cacao_20110822:9:13495202:13496742:1 gene:TCM_038858 transcript:EOY31741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGETRWLDSLFSIYVYNLSKGVMCRAKIPNRVWLQAADQSSIIFVNIVGIEGSKDLEVYVKGKVKQQVSGEAINNKGGAGDLNGEEREDKTVGQQNGEIEVGYFLRKGEITTRQSDKPEDFELKLEHRTLTTEDQGMRHIFQRRLSNTL >EOY29235 pep chromosome:Theobroma_cacao_20110822:9:441256:454602:1 gene:TCM_036833 transcript:EOY29235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere-associated protein E, putative isoform 1 MDKNKSRTDLLAAGRKKLQQYRQKKDGKGSSSKGKSSKKSNKSEQHESDADAASSVAKPTVSSQVSEGETADVDFSVSQSMESSLPSGLDTAAVVTSLEPIESETDNVDTVLAHNGGLPIEVIAPGEHDVDSSVPNGGESTQSVDSELCTEITSSTADIPVFEGETKHDDAPHPSASVGATEGTVVKLDVVGVNEERGQNPLLSEDDFPDMSLSQARGDQEANGMGLNQFDRGGETEFEVDGRFPSSEHGECAEPLEGATSEVTRMDGPSSEAEQAIGRDDASVFTGAASSSQADGSLAVSPQMKKLAEDVIPCSPVEEEQEICSSFDAIGGEKTAEGNQQYLPGGSFVSRGRSHETSLQTEAASSSDLTLFLARDGSLKLSQLAEVIRGLDEDEYRLLLNSQELVSIANVGTDTLAPSFHPDLFEKLKEELYLTSFTKDIFYLQLSEQSDLQMESDRHCHQLIDEIPVLRSSINEVHMKNACLVEELAQCRSELQVCANAREELQNQFHTALAQAEEFSAKANELHVSLVRSQEDLSSLLSELADYKNLVAAIQVDNDNLNRTLHSLTEERKTLAEEKESSLYENEKLSMELARYKDLVVTFQEESEQLNVTLASLTEERKALVDEKLLSLQENEKLLAELADCKGLIAALQVEHSDISKNLALMTGERMKLEEEKELLACGKEKAALDLEECKGLLAALQDEKSNLNGNLTLVTEERKKLEEDKEYLFHENERLASELLVLQEQLTTEREEHMQLEAELKEVTVRLEQLMEENSFLSASLDMQKAKIVEIDGRENRDVEAGSQVQSLDVGSRVQENAVDNEHSCQIPSKQDPEASVVVLEKTLPVDVVGGPSLALLEQEVFDDSSGFLVLKGHLKEAEGILQNLEKSFEQMHFHSALLQRSSSKLAAPGVSKLIQAFESKVQHDEPEVEEGDLTEYKSLADQFNSTKEVTENLRAVLKLLGQDTDNASALYRRERDCRKSANFTFGELKVQHEALKDYGDNLEATNIELGILYEAAKQHAFAIEAKNNELEVLYEALKYQESSLSSENAELGEKLSEYHLRITEMQSHFSDLQQRSDEMASALNHQLESLQKEAAERALMLELEWKSTVTQIVETVRRLDESIGRVSNSTFSNNSNDLLDVNSLVTTSVSFAINIIQDLQEKLEAAYTGHDALSGSYKEVNEKYDDLLRKNELMVGILNEFYNDLKKLVIDSCVLVGEPEINPQVEELPDPLDYSKYKNFIEQLEYVLGERLQLQSVTDQLNSELMNKTRDFEEMRRECLNSNAIQKLIEYVESVVEPESNETDSDKTPGSRLEFLVSLLVKKYKDIGEQVTDCREEFGSKVMELTEVEEKIHQLDALRLQRELEILALKESLRQEQEALMTARSELQEKISELEQSEQRVSSLREKLSIAVAKGKGLVVQRDGLKQSFAETSAELDRCSQELQVKDSQLHELEIKLKTYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPEHFHSRDIIEKVDWLARSTTGNSLPPTDWDQKSSVGGSYSDAGFVTVDTWKEDAQPSSTVGEDLRRKYEDLQSKFYGLAEQNEMLEQSLMERNHLVQRWEELLDGIDMPSQLRSMEPEERIEWLGGALSEAYHDRNSLQEKIDNLENYCASLTADLEASEKRIYDLEVGLQSVTLEREHLSERLETLTSDHHNHAAKAAEFELENENLQNKVSGLQEELVKRIEEEEGLLKMEGEIRRLQDLVCDVLRDPELKDLVPGDSSIACLEGLLKKLIENYTSLNSMNTELVNIEMDQTKLGDEARSREALTTTQEDVASLKKELEEVLHDLMQVKEERDGHFRKHQSLLHEVQELERKREELQDLLNQEEQKSASVREKLNVAVRKGKSLVQQRDTLKKTIEEMNTELENLKSELSYRENALADYELKIRDLSSYPERLQALEADNLFLRNHLTETERVLEEKGHLLHRVFNSIADIDVGVEIDTFDPVEKLGRIGKVCHDLHAAVASSEQESRKSKRAAELLLAELNEVQERNDGLQEDLAKVASELTEVMKERDVAEAAKLEVLSQLEKLSTVHSEENRKQYSELMILQSSVNELRKGFNDIHNLLSDVSSKDLEFLQNLEVNIKSCLEGDDARDVAGSPYITSSNLENKNFQSMDTWSVTNMQDLMDDDAIVKVCSLIRHHLQGLMTEIAALKEKFIVHSKLLHEQGHSISNVLGILHRERNSLKESFEAMRRNIMHIESIGKEKDLEIVVLRRNIALLYEACANSVLEIENRKAELLGNNLATADQGTYLKHVTLADGGLPLSGQDSVSSEEHIRTVADKLLSTMKDFSSMKAEIAEGSQREMKITIANLQKELQEKDIQKERICMELVGQIKLAEAAATNYSRDLQSSKTLVHDLEKEVEVMREEQKSLQQRVKELQERLKSLTDVLSSKDQEIEALTQALDEEEIQMEELTKKIEELEKVLQQKNTDLENLEASRGKVVKKLSITVSKFDELHNLSESLLAEVEQLQSQLQDRDAEISFLRQEVTRCTNDVLVTSQMSNKRDSDEIYEFLTWIEAIFSRVGVPVLHFDTKNSKVPEYKEIIQKKISSVISELEDLRGVAQSRDELLQAERSKVEELTRREETLKKTLREKESQLDLLEAEGDVGQAASLNSEIVEVEPVSIIIFYLSEHGPLIHYLAQPGNFDLLFLSVCISDCLVMSNKKKSSLQLHRT >EOY29236 pep chromosome:Theobroma_cacao_20110822:9:441256:454602:1 gene:TCM_036833 transcript:EOY29236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere-associated protein E, putative isoform 1 MDKNKSRTDLLAAGRKKLQQYRQKKDGKGSSSKGKSSKKSNKSEQHESDADAASSVAKPTVSSQVSEGETADVDFSVSQSMESSLPSGLDTAAVVTSLEPIESETDNVDTVLAHNGGLPIEVIAPGEHDVDSSVPNGGESTQSVDSELCTEITSSTADIPVFEGETKHDDAPHPSASVGATEGTVVKLDVVGVNEERGQNPLLSEDDFPDMSLSQARGDQVTDLGAMQEANGMGLNQFDRGGETEFEVDGRFPSSEHGECAEPLEGATSEVTRMDGPSSEAEQAIGRDDASVFTGAASSSQADGSLAVSPQMKKLAEDVIPCSPVEEEQEICSSFDAIGGEKTAEGNQQYLPGGSFVSRGRSHETSLQTEAASSSDLTLFLARDGSLKLSQLAEVIRGLDEDEYRLLLNSQELVSIANVGTDTLAPSFHPDLFEKLKEELYLTSFTKDIFYLQLSEQSDLQMESDRHCHQLIDEIPVLRSSINEVHMKNACLVEELAQCRSELQVCANAREELQNQFHTALAQAEEFSAKANELHVSLVRSQEDLSSLLSELADYKNLVAAIQVDNDNLNRTLHSLTEERKTLAEEKESSLYENEKLSMELARYKDLVVTFQEESEQLNVTLASLTEERKALVDEKLLSLQENEKLLAELADCKGLIAALQVEHSDISKNLALMTGERMKLEEEKELLACGKEKAALDLEECKGLLAALQDEKSNLNGNLTLVTEERKKLEEDKEYLFHENERLASELLVLQEQLTTEREEHMQLEAELKEVTVRLEQLMEENSFLSASLDMQKAKIVEIDGRENRDVEAGSQVQSLDVGSRVQENAVDNEHSCQIPSKQDPEASVVVLEKTLPVDVVGGPSLALLEQEVFDDSSGFLVLKGHLKEAEGILQNLEKSFEQMHFHSALLQRSSSKLAAPGVSKLIQAFESKVQHDEPEVEEGDLTEYKSLADQFNSTKEVTENLRAVLKLLGQDTDNASALYRRERDCRKSANFTFGELKVQHEALKDYGDNLEATNIELGILYEAAKQHAFAIEAKNNELEVLYEALKYQESSLSSENAELGEKLSEYHLRITEMQSHFSDLQQRSDEMASALNHQLESLQKEAAERALMLELEWKSTVTQIVETVRRLDESIGRVSNSTFSNNSNDLLDVNSLVTTSVSFAINIIQDLQEKLEAAYTGHDALSGSYKEVNEKYDDLLRKNELMVGILNEFYNDLKKLVIDSCVLVGEPEINPQVEELPDPLDYSKYKNFIEQLEYVLGERLQLQSVTDQLNSELMNKTRDFEEMRRECLNSNAIQKLIEYVESVVEPESNETDSDKTPGSRLEFLVSLLVKKYKDIGEQVTDCREEFGSKVMELTEVEEKIHQLDALRLQRELEILALKESLRQEQEALMTARSELQEKISELEQSEQRVSSLREKLSIAVAKGKGLVVQRDGLKQSFAETSAELDRCSQELQVKDSQLHELEIKLKTYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPEHFHSRDIIEKVDWLARSTTGNSLPPTDWDQKSSVGGSYSDAGFVTVDTWKEDAQPSSTVGEDLRRKYEDLQSKFYGLAEQNEMLEQSLMERNHLVQRWEELLDGIDMPSQLRSMEPEERIEWLGGALSEAYHDRNSLQEKIDNLENYCASLTADLEASEKRIYDLEVGLQSVTLEREHLSERLETLTSDHHNHAAKAAEFELENENLQNKVSGLQEELVKRIEEEEGLLKMEGEIRRLQDLVCDVLRDPELKDLVPGDSSIACLEGLLKKLIENYTSLNSMNTELVNIEMDQTKLGDEARSREALTTTQEDVASLKKELEEVLHDLMQVKEERDGHFRKHQSLLHEVQELERKREELQDLLNQEEQKSASVREKLNVAVRKGKSLVQQRDTLKKTIEEMNTELENLKSELSYRENALADYELKIRDLSSYPERLQALEADNLFLRNHLTETERVLEEKGHLLHRVFNSIADIDVGVEIDTFDPVEKLGRIGKVCHDLHAAVASSEQESRKSKRAAELLLAELNEVQERNDGLQEDLAKVASELTEVMKERDVAEAAKLEVLSQLEKLSTVHSEENRKQYSELMILQSSVNELRKGFNDIHNLLSDVSSKDLEFLQNLEVNIKSCLEGDDARDVAGSPYITSSNLENKNFQSMDTWSVTNMQDLMDDDAIVKVCSLIRHHLQGLMTEIAALKEKFIVHSKLLHEQGHSISNVLGILHRERNSLKESFEAMRRNIMHIESIGKEKDLEIVVLRRNIALLYEACANSVLEIENRKAELLGNNLATADQGTYLKHVTLADGGLPLSGQDSVSSEEHIRTVADKLLSTMKDFSSMKAEIAEGSQREMKITIANLQKELQEKDIQKERICMELVGQIKLAEAAATNYSRDLQSSKTLVHDLEKEVEVMREEQKSLQQRVKELQERLKSLTDVLSSKDQEIEALTQALDEEEIQMEELTKKIEELEKVLQQKNTDLENLEASRGKVVKKLSITVSKFDELHNLSESLLAEVEQLQSQLQDRDAEISFLRQEVTRCTNDVLVTSQMSNKRDSDEIYEFLTWIEAIFSRVGVPVLHFDTKNSKVPEYKEIIQKKISSVISELEDLRGVAQSRDELLQAERSKVEELTRREETLKKTLREKESQLDLLEAEGDVGQAASLNSEIVEVEPVSIIIFYLSEHGPLIHYLAQPGNFDLLFLSVCISDCLVMSNKKKSSLQLHRT >EOY30735 pep chromosome:Theobroma_cacao_20110822:9:5628298:5631123:1 gene:TCM_047093 transcript:EOY30735 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO-related protein from plants 9 MSASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGSVVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLREDRAYLADQMGSNIITSAQGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKEVARKKRHRRSGCSIASLVCGGCDA >EOY31223 pep chromosome:Theobroma_cacao_20110822:9:7616593:7618794:1 gene:TCM_038187 transcript:EOY31223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRDKSKAKRKNLQVKGANMEETGVRGREGREKVRRDEKGQAFTGGEEKRRSLDGGWAAAQVEHTCPCSSVAPCLHGELHALLLTELERDLGRLRGGERGARTGLYWAHSSSGEFTVASAYDNLSNLSLGMDVTNKTTSTEGRLIIIQSWSLDLHLANLSSNQEGYCFPRRKEEISVGWSPPITGGIALNVDGAFKKSQRKAAAAGVLRDEHGNWLCGFSMKLEKCSAFRAELWGIFKGLSLAWELGYRNIDLQIDNRVAVQSISLASPHPC >EOY34603 pep chromosome:Theobroma_cacao_20110822:9:41567545:41570050:1 gene:TCM_042220 transcript:EOY34603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein MATEATTTKFQNSDFRQVPQPPDFHPGGDLSPHDGLHFWQFMIAGSIAGCVEHMAMFPVDTVKTRMQALGSCPIKSVGVGHALRSIVKSEGLAGFYRGIGAMGLGAGPAHAVYFSVYEVSKKYFSGGNPNNSAAHAVSGVFATVTSDAVLTPMDMVKQRLQLGNSAAYRGVLDCVKKVLKEEGFGAFYASYRTTVLMNAPFTAVHFATYEAAKRGLIEISPESADDERVIVHATAGAFAGASAAVITTPLDVVKTQLQCQGVCGCDRFKSSSISEVIKTIVQKDGYRGLMRGWIPRMLFHAPAAAICWSTYEAGKSFFQELNDSTESGTVT >EOY33539 pep chromosome:Theobroma_cacao_20110822:9:37954578:37956247:-1 gene:TCM_041488 transcript:EOY33539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGLRANHLGLHKSLCFLMGWNSVAASNGLWRQKTLPDVEALAMKEDLVIWPPIVILHNSSIATTNSDHRIIVSIEEIEAFLRDMGFGWGISKVCRGKPANQSIMTVIFHGTFSGLKEAERLHKLYAENKHGRAEFQQINCSSGETKKAPLDKVKDVLYGYLGIAGDLDKLDFETKSCALVKSKKEIYATADALLNIE >EOY30978 pep chromosome:Theobroma_cacao_20110822:9:6540734:6546687:-1 gene:TCM_038016 transcript:EOY30978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase DDB_G0267514, putative MKESSPSRLRKNCSNPPRKLKLVCSFNGAFHPRPPSGKLRYTGGETRIISVDRNIGFLKLRAEIVDLCPNVMSFWLKYQVAVAYVDVHMGLVVIESNEDVKCMVEEYEKLELYGKRARLWVFVCSNGLEGHFYKGQVDDKVTKNVGNGGNGLRYGDDSLRKMVLKQQLLAKQTGRIGGIQGVSVFDVNESGKGLASCGKNQKFDHPLPDLGSEERRVFLSEEDPYGKNLLDCEMRNLRSQMCPLKPRDGNLRVENNCSMQFLPGQSSGVLCNGLGISGQPDAASQGLKPPNNSGVISMCNGFDAKHDLWNSEQGSLSNFNTENIMPWAANSNPVNTHLEPVYYNNPWAESPYSIKAREAAWGFQNVLRNHKFSKNSISNQGTYPYYFQNHRNNLLGLRNHRIVKLDGRLSSGKFYLGPKPNSNISKQGHFLRSCCSSLWKPSPGLPEHASLEVASMMNPGFSDPDFRYEYSNPKACHLAYYSAWASIGSQFLFTNNDSNGPTPGIKMMHAKQYLMVGQNHGLEVPYRTPFENCHAAPIFCGPIHCNLQSSRSAADSQDVSTNSALSNDMGYSNGKEFVCNTQLSDAEACKNVKSNYKDGDGTHNLQGEVASSVDLLCNLSLSLSKGVQPRAHSSHGSNNVSDALITPQSKPLALMDEVQIDKGPQVDQSSGNGSNPSPKNMDGLEKDHIQGEAMQHDLLSDLKIDEKKEANEGRKCFKVIGRISSGMTAFFTHLATRELQTIKSSDLEYIKELGAGAYGTVFYGKWKGSDVAIKREAYILRQLHHPNIVAFYGVVTDGPLTSLATVVEYMVNGSLKQVLRRKDRTIDRRRRLIIAMDAAFGMEYLHEKNIAHFDLKSHNFLVNMRDPHQPMCKIGDLGLSKIKQKTLISGGVRGTIPWMAPELLNTKNNMVTEKVDVYSFGIVMWELLTGEEPYADLHSEEVLAGIIKVTLRPKIPSWCDPAWRSLMERCWSTDLDSRPVFSEIAKELHTMSAAMKI >EOY33120 pep chromosome:Theobroma_cacao_20110822:9:35510974:35516138:-1 gene:TCM_041107 transcript:EOY33120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase/prephenate dehydratase 2 isoform 4 MATATSVVRSPKAPLSGKFTFADKKTTLPVHIPQFPPQRRRFTPLSASLHDSENNNGCNKNAQAVELEKLFDDAPYDDVSKDSPLHPRPLSSTQFSNSVSNGNRLRVAYQGVRGAYSEAAAEKAYPNCEAVPCDQFDSAFQAVEKWLVDRAVLPIENSLGGSIHRNYDLLLRHSLHIVGEVKLAVRHCLLANHGVKVEDLKRVLSHPQALSQCENTLTKLGLGREAVDDTALAAKYVAFRKLNDTGAIASSSAATIYGLNILAQDIQDDCDNITRFLMLAREPIIPGIERPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRNQPLRASDDSNNGLKYFDYLFYVDFEASMADPRAQNALRHLKEFATFLRVLGSFAVDTTMT >EOY33123 pep chromosome:Theobroma_cacao_20110822:9:35513478:35516263:-1 gene:TCM_041107 transcript:EOY33123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase/prephenate dehydratase 2 isoform 4 MATATSVVRSPKAPLSGKFTFADKKTTLPVHIPQFPPQRRRFTPLSASLHDSENNNGCNKNAQAVELEKLFDDAPYDDVSKDSPLHPRPLSSTQFSNSVSNGNRLRVAYQGVRGAYSEAAAEKAYPNCEAVPCDQFDSAFQAVEKWLVDRAVLPIENSLGGSIHRNYDLLLRHSLHIVGEVKLAVRHCLLANHGVKVEDLKRVLSHPQALSQCENTLTKLGLGREAVDDTALAAKYVAFRKLNDTGAIASSSAATIYGLNILAQDIQDDCDNITRFLMLAREPIIPGIERPFKVSPSIQQQKAIYKYSFLIRGGSWCSF >EOY33122 pep chromosome:Theobroma_cacao_20110822:9:35510974:35516263:-1 gene:TCM_041107 transcript:EOY33122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase/prephenate dehydratase 2 isoform 4 MATATSVVRSPKAPLSGKFTFADKKTTLPVHIPQFPPQRRRFTPLSASLHDSENNNGCNKNAQAVELEKLFDDAPYDDVSKDSPLHPRPLSSTQFSNSVSNGNRLRVAYQGVRGAYSEAAAEKAYPNCEAVPCDQFDSAFQAVEKWLVDRAVLPIENSLGGSIHRNYDLLLRHSLHIVGEVKLAVRHCLLANHGVKVEDLKRVLSHPQALSQCENTLTKLGLGREAVDDTALAAKYVAFRKLNDTGAIASSSAATIYGLNILAQDIQDDCDNITRFLMLAREPIIPGIERPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRNQPLRASDDSNNGLNFNG >EOY33121 pep chromosome:Theobroma_cacao_20110822:9:35511183:35516002:-1 gene:TCM_041107 transcript:EOY33121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase/prephenate dehydratase 2 isoform 4 MATATSVVRSPKAPLSGKFTFADKKTTLPVHIPQFPPQRRRFTPLSASLHDSENNNGCNKNAQAVELEKLFDDAPYDDVSKDSPLHPRPLSSTQFSNSVSNGNRLRVAYQGVRGAYSEAAAEKAYPNCEAVPCDQFDSAFQAVEKWLVDRAVLPIENSLGGSIHRNYDLLLRHSLHIVGEVKLAVRHCLLANHGVKVEDLKRVLSHPQALSQCENTLTKLGLGREAVDDTALAAKYVAFRKLNDTGAIASSSAATIYGLNILAQDIQQDDCDNITRFLMLAREPIIPGIERPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRNQPLRASDDSNNGLKYFDYLFYVDFEASMADPRAQNALRHLKGVCNILASSRKFCSGHYHDMNELLTMGLSMKYRCYMDQNFTLHRYGYSLYNGRIFWYIEKTLIQLCTAAINSQATALQRRNADKKSLQAVWPMTFCN >EOY29646 pep chromosome:Theobroma_cacao_20110822:9:1905400:1907378:-1 gene:TCM_037131 transcript:EOY29646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIKVWHRHNSGRETITLGRSYSQRGKDASRPKWRTFWKKFRRERKKIFSSPVAFQASYDPDEYSQNFDQGTGWAEPDNLSRSFSARFADPSRISKKVALMQPQLIPLTFGDNAIWILLYVNTVALTRNLGRWSAQSVMDPEPASTSLGERQCPQEWVDM >EOY32158 pep chromosome:Theobroma_cacao_20110822:9:22400049:22404693:-1 gene:TCM_039725 transcript:EOY32158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trans-cinnamate 4-monooxygenase MARLLFSKATLGTTLALLLILALTKNYSNFSTQTLLSVTIVPVLATIYFLLHTISTSSAALPPGPLSIPIFGNWLQVGNDLNHRLLASMAQTYGPVFLLKLGSKNLAVVSEPELATQVLHTQGVEFGSRPRNVVFDIFTGNGQDMVFTVYGDHWRKMRRIMTLPFFTNKVVHHYSSMWEEEMELVVSDLKKDEELVKSKGMVIRKRLQLMLYNIMYRMMFDAKFESQEDPLFIEATRFNSERSRLAQSFEYNYGDFIPLLRPFLRGYLNKCRDLQRRRLAFFNNYYVEKRREIMAANGEKHKISCAIDHIIDAEMKGEISEENVLYIVENINVAAIETTLWSMEWAIAELVNHPNVQHKIRQEISQVLKGEAVTESNLHELPYLQATVKETLRLHTSIPLLVPHMNLEEAKLGGFTIPKESKVVVNAWWLANNPKWWKRPEEFRPERFLEEECGTDAVAGGKVDFRYLPFGMGRRSCPGIILALPILGLVIAKLVTNFEMKAPMGTDKIDVSEKGGQFSLHIANHSTVAFSPIVV >EOY33177 pep chromosome:Theobroma_cacao_20110822:9:35777987:35781157:-1 gene:TCM_041150 transcript:EOY33177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 40, putative isoform 4 MKLAGYTWMLLFLCLWGLLTCGEANQAETLRSFLKSRLSRKPAAAHSLAWFHNKTGYSPNYVQPQDGSMEADKIGALPGQPNAVDFDHYAGYVTVDSQAGRALFYYFAESPENSSTNPLVLWLNGGPGCSSLTGAMTELGPFRVNSDGKTLFRNIYAWNNVANVIFLESPAGVGFSYSNTSSDYDRTGDKSTAEDAYTFLVNWLERFPQYKTRDFYITGESYAGHYVPQLAYTILLNNKNSNQTAINLKGIAVGNGLIEDITFYLGRFDFLWTHALNSDETNKGIHTYCHYFNGKDPKQCDDFQNRAYDEAGDIDGYNIYAPLCQEYSVSNKGSQGSVNSFDPCSGAYMISYLNRPEVQTALHAKLSEWHQCSSFDWADSPATVLPIIKSLMASGLRVWLYSGDVDAVVPITTTRYGINILNPPIKTAWRSWSNNDE >EOY33176 pep chromosome:Theobroma_cacao_20110822:9:35778338:35781089:-1 gene:TCM_041150 transcript:EOY33176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 40, putative isoform 4 MKLAGYTWMLLFLCLWGLLTCGEANQAETLRSFLKSRLSRKPAAAHSLAWFHNKTGYSPNYVQPQDGSMEADKIGALPGQPNAVDFDHYAGYVTVDSQAGRALFYYFAESPENSSTNPLVLWLNGGPGCSSLTGAMTELGPFRVNSDGKTLFRNIYAWNNVANVIFLESPAGVGFSYSNTSSDYDRTGDKSTAEDAYTFLVNWLERFPQYKTRDFYITGESYAGHYVPQLAYTILLNNKNSNQTAINLKGIAVGNGLIEDITFYLGRFDFLWTHALNSDETNKGIHTYCHYFNGKDPKQCDDFQNRAYDEAGDIDGYNIYAPLCQEYSVSNKGSQGSVNSFDPCSGAYMISYLNRPEVQTALHAKLSEWHQCSSFDWADSPATVLPIIKSLMASGLRVWLYSGDVDAVVPITTTRYGINILNPPIKTAWRSWSNNDEVCLIR >EOY33175 pep chromosome:Theobroma_cacao_20110822:9:35777556:35782995:-1 gene:TCM_041150 transcript:EOY33175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 40, putative isoform 4 MSKATSSQRSQENMKLAGYTWMLLFLCLWGLLTCGEANQAETLRSFLKSRLSRKPAAAHSLAWFHNKTGYSPNYVQPQDGSMEADKIGALPGQPNAVDFDHYAGYVTVDSQAGRALFYYFAESPENSSTNPLVLWLNGGPGCSSLTGAMTELGPFRVNSDGKTLFRNIYAWNNVANVIFLESPAGVGFSYSNTSSDYDRTGDKSTAEDAYTFLVNWLERFPQYKTRDFYITGESYAGHYVPQLAYTILLNNKNSNQTAINLKGIAVGNGLIEDITFYLGRFDFLWTHALNSDETNKGIHTYCHYFNGKDPKQCDDFQNRAYDEAGDIDGYNIYAPLCQEYSVSNKGSQGSVNSFDPCSGAYMISYLNRPEVQTALHAKLSEWHQCSSFDWADSPATVLPIIKSLMASGLRVWLYSGDVDAVVPITTTRYGINILNPPIKTAWRSWSNNDEVGGYVVEYEGLTLVTVREAGHFVPSYQPARALTMISSFLQGTLPPP >EOY33178 pep chromosome:Theobroma_cacao_20110822:9:35778945:35781011:-1 gene:TCM_041150 transcript:EOY33178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 40, putative isoform 4 MKLAGYTWMLLFLCLWGLLTCGEANQAETLRSFLKSRLSRKPAAAHSLAWFHNKTGYSPNYVQPQDGSMEADKIGALPGQPNAVDFDHYAGYVTVDSQAGRALFYYFAESPENSSTNPLVLWLNGGPGCSSLTGAMTELGPFRVNSDGKTLFRNIYAWNNVANVIFLESPAGVGFSYSNTSSDYDRTGDKSTAEDAYTFLVNWLERFPQYKTRDFYITGESYAGHYVPQLAYTILLNNKNSNQTAINLKGIAVGNGLIEDITFYLGRFDFLWTHALNSDETNKGIHTYCHYFNGKDPKQCDDFQNRAYDEAGDIDGYNIYAPLCQEYSVSNKGSQGSVNSFDPCSGAYMISYLNRPEVQTALHAKLSEWHQCRQFI >EOY34343 pep chromosome:Theobroma_cacao_20110822:9:40736561:40743349:1 gene:TCM_042044 transcript:EOY34343 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-3-methylbut-2-enyl diphosphate synthase isoform 1 MATGAVPASFSGLKHRDSSLGFAKSMDFVRVCDLKRIKSGRTRISVIRNQNPGPDIAELQPASEGSPLLVPRQKYCESIHKTVRRKTRTVMVGNVALGSEHPIRIQTMTTTDTKDVAGTVEQVMRIADKGADIVRITVQGKREADACFEIKNTLVQKNYNIPLVADIHFAPSVALRVAECFDKIRVNPGNFADRRAQFETIEYTDEEYQKELEHIEKVFTPLVEKCKKHGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFAKICRKLDYHNFVFSMKASNPVVMVQAYRLLVAEMYVHGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRRMANLGMRAAQLQKGVAPFEEKHRHYFDFQRRSGQLPTQKEGEEVDYRGVLHRDGSVLVSVSLDQLKAPELLYKSLASKLVVGMPFKDLATVDSIILRELPPVDDIDARLALKRLIDISMGVITPLSEQLTKPLPTAMALVNLKELSTGAYKLLPEGTRLVVSVRGDEPYEELEILKGIDATMLLHDIPYNEDKIGRVHAARRLFEYLSENALDFPVIHHIQFPNGIHRDDLVINAGTNAGALLVDGLGDGVLLEAPDQDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEHATDALIQLIKDHGRWVDPPAEE >EOY34342 pep chromosome:Theobroma_cacao_20110822:9:40736561:40743530:1 gene:TCM_042044 transcript:EOY34342 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-3-methylbut-2-enyl diphosphate synthase isoform 1 MATGAVPASFSGLKHRDSSLGFAKSMDFVRVCDLKRIKSGRTRISVIRNQNPGPDIAELQPASEGSPLLVPRQKYCESIHKTVRRKTRTVMVGNVALGSEHPIRIQTMTTTDTKDVAGTVEQVMRIADKGADIVRITVQGKREADACFEIKNTLVQKNYNIPLVADIHFAPSVALRVAECFDKIRVNPGNFADRRAQFETIEYTDEEYQKELEHIEKVFTPLVEKCKKHGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFAKICRKLDYHNFVFSMKASNPVVMVQAYRLLVAEMYVHGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRRMANLGMRAAQLQKGVAPFEEKHRHYFDFQRRSGQLPTQKEGEEVDYRGVLHRDGSVLVSVSLDQLKAPELLYKSLASKLVVGMPFKDLATVDSIILRELPPVDDIDARLALKRLIDISMGVITPLSEQLTKPLPTAMALVNLKELSTGAYKLLPEGTRLVVSVRGDEPYEELEILKGIDATMLLHDIPYNEDKIGRVHAARRLFEYLSENALDFPVIHHIQFPNGIHRDDLVINAGTNAGALLVDGLGDGVLLEAPDQDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEHATDALIQLIKDHGRWVDPPAEE >EOY33233 pep chromosome:Theobroma_cacao_20110822:9:36035516:36042350:-1 gene:TCM_041186 transcript:EOY33233 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 group F2A isoform 2 MEGMEDTKSEAHLTSAAAFVEGGIQDACDDACSICLEAFYESDPSTVTSCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRLNPARNATIFHHPTLGDFELQHLPVGANDAELEERIIQHLAAAAAMGRARHIARREGLRSRSSAQGRPQFLVFSTHPNAPSTGPISSSPTQREGEPAPSITVGTPSSPARTVGEESSASITPLPSAQADQQSASASGSSVLLVNDQGNSLNNRRSPNQCSPNSQDRAGPSEFQSFSESLKSRFNAVSMRYKESISKSTRGWKERFFSRNTSMADIGSEVRREVNAGIATVSRMMERLETRDNRTSTSTVSSSLEDRSDTESDNHPISNAGGETPLTDTSAQASCAASSGSK >EOY33234 pep chromosome:Theobroma_cacao_20110822:9:36036141:36041725:-1 gene:TCM_041186 transcript:EOY33234 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 group F2A isoform 2 MEDTKSEAHLTSAAAFVEGGIQDACDDACSICLEAFYESDPSTVTSCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRLNPARNATIFHHPTLGDFELQHLPVGANDAELEERIIQHLAAAAAMGRARHIARREGLRSRSSAQGRPQFLVFSTHPNAPSTGPISSSPTQREGEPAPSITVGTPSSPARTVGEESSASITPLPSAQADQQSASASGSSVLLVNDQGNSLNNRRSPNQCSPNSQDRAGPSEFQSFSESLKSRFNAVSMRYKESISKSTRGWKERFFSRNTSMADIGSEVRREVNAGIATVSRMMERLETRDNRTSTSTVSSSLEDRSDTESDNHPISNAGGETPLTDTSAQASCAASSGSK >EOY32979 pep chromosome:Theobroma_cacao_20110822:9:34725683:34729296:1 gene:TCM_040987 transcript:EOY32979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MHVKPCHCNLTKTLLSLCSKSNSFLHIKQTHALAILHGVLPTNVPLSAALILRYATFNSPSNCHLLFQQTLPYSQTPFLWNTFIRALSVARIYHDGFQFHIYNTMLRTGIKPDDHTFPFILKACADAFCFQKGLEIHGTVIKTGFGSDILLGNTLLLFHGNFGGLKEARKVFDEMRDRDVVSWNTVLGVVSINGSYLEALNLFSQMNLNSGIRPNTVTFVSLLPVCGGVGDDGLVRQIHGTVVKVGLEFEVGIGNALVDAYGKCRNAKDSERVFDEMVEKNVVSWNARITSLAYVGLNKDALDMFRLMMKVGLTPDSITISSMIPVLVELEYFNLAKEIHGFSLRIAIEHDVFISNSLIDMYAKSGHSSAASNVFQKMKVRNVVSWNAMVANFAQNRLELEAIALLREMQTHGEFPDSITLTNVLPACGRVGFLQNGKEIHGRTVRLGSNFDLFVSNALTDMYAKCGYLNLAENVFKNSLKDVVSYNILIVSYSQTSDWSKSVSLFSEMGLISINHDVVSFMGVISACANQAAFKQGKEIHGLAVRKHAHTHLFVANSLLDFYVKCGRIDLASKVFDQIQCKDIVSWNAMILGYGMLGQLNIAISLFEAMRKDGIEYDSVSYIAILSACSHGGLVEKGREYFEEMKAQKIKPTHMHYACMVDLLGRAGLLQEAAELIKSLPITPDANIWGALLGACRIFGNVELGCWAAENLFKLKPQDSGYYTILSNLFAEAGKWDDANRIRELMKLRGARKNPGCSWVHIQDQVHAFVAGQRLEKLDPALWLAEYS >EOY32525 pep chromosome:Theobroma_cacao_20110822:9:30879047:30879833:1 gene:TCM_040500 transcript:EOY32525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHGLIILHIFTVLRFVATSISFFLFLVFSLSFLHYQTPFHSPSITIIFQNIANISYLIMLFIKYHSNFMTNAMQCTHISSLGRMEMMTMLGAERLFFIRKKGSFYYQA >EOY34612 pep chromosome:Theobroma_cacao_20110822:9:41578288:41581049:-1 gene:TCM_042224 transcript:EOY34612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MVSLCLNSLPLPPSSHPKRLTETSLSSSSFSNGSVGTALKPIVVSGNPPTFVSAPGHRIVAVGDLHGDLDQARSALEMAGVLSSDGEDLWTGGDAVLVQLGDVLDRGDDEIAILSLLRSLDIQAKANGGAVFQVNGNHETMNVEGDFRYVESGAFDECADFLEYLNDYQYDWEGALAGWCSMSRRWTDEQKMSRNNWGPWNLVKRQKGVISRSVLFRPGGPLACELARHAVVLKVNDWIFCHGGLLPHHVSYGIERMNMEVSKWMRGLIDKDSSPHMPFIATKGYDSVVWNRLYSRDISDLEACRINQINAILEETLQAVGAKAMVVGHTPQYLGANCAYNCSIWRIDVGMSSGVLNSRPEVN >EOY34611 pep chromosome:Theobroma_cacao_20110822:9:41577249:41581070:-1 gene:TCM_042224 transcript:EOY34611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MVSLCLNSLPLPPSSHPKRLTETSLSSSSFSNGSVGTALKPIVVSGNPPTFVSAPGHRIVAVGDLHGDLDQARSALEMAGVLSSDGEDLWTGGDAVLVQLGDVLDRGDDEIAILSLLRSLDIQAKANGGAVFQVNGNHETMNVEGDFRYVESGAFDECADFLEYLNDYQYDWEGALAGWCSMSRRWTDEQKMSRNNWGPWNLVKRQKGVISRSVLFRPGGPLACELARHAVVLKVNDWIFCHGGLLPHHVSYGIERMNMEVSKWMRGLIDKDSSPHMPFIATKGYDSVVWNRLYSRDISDLEACRINQINAILEETLQAVGAKAMVVGHTPQYLGANCAYNCSIWRIDVGMSSGVLNSRPEVLEIRDDKARVIRSRRDTLSELRIVDSI >EOY31646 pep chromosome:Theobroma_cacao_20110822:9:10819065:10819951:1 gene:TCM_038624 transcript:EOY31646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGIRLIDFIILHPFLYFSFHLKTKILKYVSPLSPNNQLKKQRIIGAKGRVHTEEGCAYKTHHFGLTIDPLIHSIQKIKGLQLLGH >EOY31234 pep chromosome:Theobroma_cacao_20110822:9:7667123:7667735:-1 gene:TCM_038195 transcript:EOY31234 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0463 transmembrane protein C6orf35, putative MSKKAETTICWLLLATCTAVLIQPGLAQLPPPLGILPSPISGLLPPRTPEVQQCWSALINIQGCIWEIYRSFFSAQFGNIGPDCCKAFATVNGNCWPKMFPLNPFFPPLLKDSCARFGAVAPAHK >EOY32854 pep chromosome:Theobroma_cacao_20110822:9:34087076:34093671:1 gene:TCM_040875 transcript:EOY32854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein isoform 5 MCCVSQRETMEVKSEVSTFLEEEKMVRQEEEEEEDIVCLDEAFFINDNYHLTTYTFGSHVLQLFCLQSASTDFDLTGQLVWPGAMLLNDYLSKNAEMLQGCSMIELGSGVGITGMLCSRFCHQILLTDHNDEVLKILRRNIELNTSSENPSCCAALEAEKLEWGNSDHINSILHKYPGGFDLILGADICFQQSSVPLLFDTVEQLLRNRGSGHCKFILAYVSRAKMMDSMVITEATRHGMLINEVSGTRSVVANLEGVIFEITLC >EOY32853 pep chromosome:Theobroma_cacao_20110822:9:34087128:34090206:1 gene:TCM_040875 transcript:EOY32853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein isoform 5 MCCVSQRETMEVKSEVSTFLEEEKMVRQEEEEEEDIVCLDEAFFINDNYHLTTYTFGSHVLQLFCLQSASTDFDLTGQLVWPGAMLLNDYLSKNAEMLQGCSMIELGSGVGITGMLCSRFCHQILLTDHNDEVLKILRRNIELNTSSENPSCCAALEAEKLEWGNSDHINSILHKYPGGFDLILGADI >EOY32856 pep chromosome:Theobroma_cacao_20110822:9:34087051:34093226:1 gene:TCM_040875 transcript:EOY32856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein isoform 5 NKKCTPGAGPFLQVWSAYVYFCLFTMCCVSQRETMEVKSEVSTFLEEEKMVRQEEEEEEDIVCLDEAFFINDNYHLTTYTFGSHVLQLFCLQSASRQLVWPGAMLLNDYLSKNAEMLQGCSMIELGSGVGITGMLCSRFCHQILLTDHNDEVLKILRRNIELNTSSENPSCCAALEAEKLEWGNSDHINSILHKYPGGFDLILGADICFQQSSVPLLFDTVEQLLRNRGSGHCKFILAYVSRAKMMDSMVIT >EOY32855 pep chromosome:Theobroma_cacao_20110822:9:34087051:34093284:1 gene:TCM_040875 transcript:EOY32855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein isoform 5 NKKCTPGAGPFLQVWSAYVYFCLFTMCCVSQRETMEVKSEVSTFLEEEKMVRQEEEEEEDIVCLDEAFFINDNYHLTTYTFGSHVLQLFCLQSASTDFDLTGQLVWPGAMLLNDYLSKNAEMLQGCSMIELGSGVVGITGMLCSRFCHQILLTDHNDEVLKILRRNIELNTSSENPSCCAALEAEKLEWGNSDHINSILHKYPGGFDLILGADICFQQSSVPLLFDTVEQLLRNRGSGHCKFILAYVSRAKMMDSMVITEATRHGMLINEVSGTRSVV >EOY32857 pep chromosome:Theobroma_cacao_20110822:9:34087076:34093244:1 gene:TCM_040875 transcript:EOY32857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein isoform 5 MCCVSQRETMEVKSEVSTFLEEEKMVRQEEEEEEDIVCLDEAFFINDNYHLTTYTFGSHVLQLFCLQSASTDFDLTGQLVWPGAMLLNDYLSKNAEMLQGCSMIELGSGVGITGMLCSRFCHQILLTDHNDEVLKILRRNIELNTSSENPSCCAALEAEKLEWGNSDHINSILHKLPAVKCSFAF >EOY32852 pep chromosome:Theobroma_cacao_20110822:9:34087076:34093671:1 gene:TCM_040875 transcript:EOY32852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein isoform 5 MCCVSQRETMEVKSEVSTFLEEEKMVRQEEEEEEDIVCLDEAFFINDNYHLTTYTFGSHVLQLFCLQSASTDFDLTGQLVWPGAMLLNDYLSKNAEMLQGCSMIELGSGVGITGMLCSRFCHQILLTDHNDEVLKILRRNIELNTSSENPSCCAALEAEKLEWGNSDHINSILHKYPGEQLLRNRGSGHCKFILAYVSRAKMMDSMVITEATRHGMLINEVSGTRSVVANLEGVIFEITLC >EOY31961 pep chromosome:Theobroma_cacao_20110822:9:18223977:18233386:-1 gene:TCM_039331 transcript:EOY31961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFSITFHLPSLTLRYPSWFMTGYQILIFVTEYRSFVTTNGSFRLLTSSIKDYETKKMRRDCHILLLENKNQY >EOY29542 pep chromosome:Theobroma_cacao_20110822:9:1511047:1511898:1 gene:TCM_037049 transcript:EOY29542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIQMVGEFWYLLAGNVHAGRSDKCNKNFLYCGKQQMDGSSIWDGIRDSYHVPTAGRGVSIIARVKKHMCRVLDHKEKQSLLMNNFISNVG >EOY31695 pep chromosome:Theobroma_cacao_20110822:9:12304507:12352058:-1 gene:TCM_038749 transcript:EOY31695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycloartenol synthase 1 MWKLKLSQGNEPWLKSVNNHIGRQYWEFDPNLGTPEERARVEKARNEFTKNRFQTKQSSDMLMRFQFARENNPAGERKLPDKVNVKTSQEVSEEMVRTTLRRALRLYSTLQCEDGFWPGDYGGPLFLLPGLVIGLYVTGALEIILPTHHRQEIRRYLYNHQNKDGGWGLHIEGESTMFGTALSYVTLRLLGETKDGGAGAIADARTWILHHGGLTFVPSWGKMWLSVLGVYEWSGNNPLLPELWLLPYFLPIHPGRMWCHCRMVYLAMSYLYGKKFVGPINSLVLSLRRELYTLPYHLIDWDQARNLCAKREVNPKLYPRRYPTRLSVRGVKIEVADFYEKLHAEDYLDLEQLKPIYAEYNVEIEEIDVHLTQDESLVVRRMMITTINEEAEGLKLDPKKEDLYYPHPMIQNILWGCLQKVGEPFLMKWPFSKLRQKALDIVMQHIHYEDENSRYICLGPVNKVLNMVCCWVEDPNSEAYKCHLSRIKDYLWVAEDGMKMQGYNGSQQWDVAFSVQAILATNLVEEYGPMLKKAHNFIKNTQVKEKSGSNLNSWYRHISKGGWPFSTPDNGWIVSDCTSEGLKAALLLSRMPSDVVDVKITPDQLYDAVNVILSLQNNSGGFASYELTRSYAWLEMFNPAELFRDIIIDYQYVECTSAAIQSLKLFLKLHPSYKKKEIEACVAKAINFIESIQLPDGSWYGSWGVCFVYGTWFGIKGLVAGDRTYHNCHSIRKACDFLLSKQLESGGWGESYLSSQNKHIYVQELLGLFFEEQEYTNLEGNREHLVTTAWAMLALMEAGQAERDPTPLHRAAKVLLNSQLENGEFPQQDIIGVFNKNCMISYSAYRNIFPIWALGHYLNRLLLPSPSQLKQA >EOY33131 pep chromosome:Theobroma_cacao_20110822:9:35555092:35567407:1 gene:TCM_041113 transcript:EOY33131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar proton ATPase A1 isoform 1 MERMEKFIDNLPPMDLMRSEKMTLVQLIIPVESAHRAISYLGELGLLQFRDLNAEKSPFQRTFVNQVKRCGEMSRKLRFFKDQISKAGLLSSLHPVVEPDVELEELEIQLAEHEHELIEMNSNSEKLRQTYNELLEFKIVLQKAGGFLVSSNNHAVDEERELSENVYSNDGYVETASLLEQEMRPADQSGLRFISGIICKSKALRFERMLFRATRGNMLFNHAPAGEEIMDPVSAEMVEKTVFVVFFSGEQAKTKILKICEAFGANCYPVPDDISKQRQITREVLSRLSELETTLDAGIRHRNKALTSVGYHLTHWMSMVRREKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKAQIQEALQRATFDSNSQVGIIFHVMDAVESPPTYFRTNRFTNAYQEIVDAYGVARYQESNPAVYTVITFPFLFAVMFGDWGHGICLLLGALVLIARESRLSTQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPFHIFGGSAYKCRDATCRDAQSAGLIKFRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNARFFRNSLDIRYQFVPQMIFLNSLFGYLSLLIIIKWCTGSQADLYHVMIYMFLSPTDDLGDNELFWGQRPLQIVLLLLALVAVPWMLFPKPFILKKRRAMVFSV >EOY33129 pep chromosome:Theobroma_cacao_20110822:9:35555112:35569485:1 gene:TCM_041113 transcript:EOY33129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar proton ATPase A1 isoform 1 MERMEKFIDNLPPMDLMRSEKMTLVQLIIPVESAHRAISYLGELGLLQFRDLNAEKSPFQRTFVNQVKRCGEMSRKLRFFKDQISKAGLLSSLHPVVEPDVELEELEIQLAEHEHELIEMNSNSEKLRQTYNELLEFKIVLQKAGGFLVSSNNHAVDEERELSENVYSNDGYVETASLLEQEMRPADQSGLRFISGIICKSKALRFERMLFRATRGNMLFNHAPAGEEIMDPVSAEMVEKTVFVVFFSGEQAKTKILKICEAFGANCYPVPDDISKQRQITREVLSRLSELETTLDAGIRHRNKALTSVGYHLTHWMSMVRREKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKAQIQEALQRATFDSNSQVGIIFHVMDAVESPPTYFRTNRFTNAYQEIVDAYGVARYQESNPAVYTVITFPFLFAVMFGDWGHGICLLLGALVLIARESRLSTQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPFHIFGGSAYKCRDATCRDAQSAGLIKFRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNARFFRNSLDIRYQFVPQMIFLNSLFGYLSLLIIIKWCTGSQADLYHVMIYMFLSPTDDLGDNELFWGQRPLQIVLLLLALVAVPWMLFPKPFILKKLHSERFQGRTYGMLGTSEFDLDVEPDSARQHHEEFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSPTTCLGV >EOY33126 pep chromosome:Theobroma_cacao_20110822:9:35555112:35569485:1 gene:TCM_041113 transcript:EOY33126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar proton ATPase A1 isoform 1 MERMEKFIDNLPPMDLMRSEKMTLVQLIIPVESAHRAISYLGELGLLQFRDLNAEKSPFQRTFVNQVKRCGEMSRKLRFFKDQISKAGLLSSLHPVVEPDVELEELEIQLAEHEHELIEMNSNSEKLRQTYNELLEFKIVLQKAGGFLVSSNNHAVDEERELSENVYSNDGYVETASLLEQEMRPADQSGLRFISGIICKSKALRFERMLFRATRGNMLFNHAPAGEEIMDPVSAEMVEKTVFVVFFSGEQAKTKILKICEAFGANCYPVPDDISKQRQITREVLSRLSELETTLDAGIRHRNKALTSVGYHLTHWMSMVRREKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKAQIQEALQRATFDSNSQVGIIFHVMDAVESPPTYFRTNRFTNAYQEIVDAYGVARYQESNPAVYTVITFPFLFAVMFGDWGHGICLLLGALVLIARESRLSTQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPFHIFGGSAYKCRDATCRDAQSAGLIKFRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNARFFRNSLDIRYQFVPQMIFLNSLFGYLSLLIIIKWCTGSQADLYHVMIYMFLSPTDDLGDNELFWGQRPLQIVLLLLALVAVPWMLFPKPFILKKLHSERFQGRTYGMLGTSEFDLDVEPDSARQHHEEFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNIVIRLVGLAVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYHD >EOY33127 pep chromosome:Theobroma_cacao_20110822:9:35555112:35569485:1 gene:TCM_041113 transcript:EOY33127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar proton ATPase A1 isoform 1 MERMEKFIDNLPPMDLMRSEKMTLVQLIIPVESAHRAISYLGELGLLQFRDLNAEKSPFQRTFVNQVKRCGEMSRKLRFFKDQISKAGLLSSLHPVVEPDVELEELEIQLAEHEHELIEMNSNSEKLRQTYNELLEFKIVLQKAGGFLVSSNNHAVDEERELSENVYSNDGYVETASLLEQEMRPADQSGLRFISGIICKSKALRFERMLFRATRGNMLFNHAPAGEEIMDPVSAEMVEKTVFVVFFSGEQAKTKILKICEAFGANCYPVPDDISKQRQITREVLSRLSELETTLDAGIRHRNKALTSVGYHLTHWMSMVRREKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKAQIQEALQRATFDSNSQVGIIFHVMDAVESPPTYFRTNRFTNAYQEIVDAYGVARYQESNPAVYTVITFPFLFAVMFGDWGHGICLLLGALVLIARESRLSTQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPFHIFGGSAYKCRDATCRDAQSAGLIKFRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNARFFRNSLDIRYQFVPQMIFLNSLFGYLSLLIIIKWCTGSQADLYHVMIYMFLSPTDDLGDNELFWGQRPLQIVLLLLALVAVPWMLFPKPFILKKLHSERFQGRTYGMLGTSEFDLDVEPDSARQHHEEFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSPTTCLGV >EOY33130 pep chromosome:Theobroma_cacao_20110822:9:35555135:35567471:1 gene:TCM_041113 transcript:EOY33130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar proton ATPase A1 isoform 1 MERMEKFIDNLPPMDLMRSEKMTLVQLIIPVESAHRAISYLGELGLLQFRDLNAEKSPFQRTFVNQVKRCGEMSRKLRFFKDQISKAGLLSSLHPVVEPDVELEELEIQLAEHEHELIEMNSNSEKLRQTYNELLEFKIVLQKAGGFLVSSNNHAVDEERELSENVYSNDGYVETASLLEQEMRPADQSGLRFISGIICKSKALRFERMLFRATRGNMLFNHAPAGEEIMDPVSAEMVEKTVFVVFFSGEQAKTKILKICEAFGANCYPVPDDISKQRQITREVLSRLSELETTLDAGIRHRNKALTSVGYHLTHWMSMVRREKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKAQIQEALQRATFDSNSQVGIIFHVMDAVESPPTYFRTNRFTNAYQEIVDAYGVARYQESNPAVYTVITFPFLFAVMFGDWGHGICLLLGALVLIARESRLSTQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPFHIFGGSAYKCRDATCRDAQSAGLIKFRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNARFFRNSLDIRYQFVPQMIFLNSLFGYLSLLIIIKWCTGSQADLYHVMIYMFLSPTDDLGDNELFWGQRPLQQIVLLLLALVAVPWMLFPKPFILKKLHSERFQGRTYGMLGTSEFDLDVEPDSARQHHEEFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNIVIRLVGLAVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYHGDGYKFKPFAFALITEDDD >EOY33128 pep chromosome:Theobroma_cacao_20110822:9:35555112:35569485:1 gene:TCM_041113 transcript:EOY33128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar proton ATPase A1 isoform 1 MERMEKFIDNLPPMDLMRSEKMTLVQLIIPVESAHRAISYLGELGLLQFRDLNAEKSPFQRTFVNQVKRCGEMSRKLRFFKDQISKAGLLSSLHPVVEPDVELEELEIQLAEHEHELIEMNSNSEKLRQTYNELLEFKIVLQKAGGFLVSSNNHAVDEERELSENVYSNDGYVETASLLEQEMRPADQSGLRFISGIICKSKALRFERMLFRATRGNMLFNHAPAGEEIMDPVSAEMVEKTVFVVFFSGEQAKTKILKICEAFGANCYPVPDDISKQRQITREVLSRLSELETTLDAGIRHRNKALTSVGYHLTHWMSMVRREKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKAQIQEALQRATFDSNSQVGIIFHVMDAVESPPTYFRTNRFTNAYQEIVDAYGVARYQESNPAVYTVITFPFLFAVMFGDWGHGICLLLGALVLIARESRLSTQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPFHIFGGSAYKCRDATCRDAQSAGLIKFRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNARFFRNSLDIRYQFVPQMIFLNSLFGYLSLLIIIKWCTGSQADLYHVMIYMFLSPTDDLGDNELFWGQRPLQIVLLLLALVAVPWMLFPKPFILKKLHSERFQGRTYGMLGTSEFDLDVEPDSARQHHEEFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNIVIRLVGLAVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYHGDGYKFKPFAFALITEDDD >EOY29365 pep chromosome:Theobroma_cacao_20110822:9:911751:928887:1 gene:TCM_036924 transcript:EOY29365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling factor CHD3 isoform 2 MSSLVERLRVRSDRKPIYNMDESDDDADFISGKSGKTEEKLERIVRTDAKENSCQACGESENLLSCATCTYAYHLKCLLPPLKAPPHPDNWRCPECVSPLNDIEKILDCEMRPTVADNNDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFQKAFKSNPRLRTKVNNFNRQMGPNNSSEDDFVAIRPEWTTVDRILARRGDSNNIEYLVKYKELPYDECYWEFESDISAFQPEIERFKNIQSRSHKSSASKQKSSHRDAVESKKKSKEFQPFEHTPEFLSGGSLHPYQLEGLNFLRYSWSKQTHVILADEMGLGKTIQSIAILASLFEENHTPHLVVAPLSTLRNWEREFATWAPKLNVVMYVGSAQARAIIREYEFYLPKSHKKIKKKKSGQIVSESKQDRIKFDVLLTSYEMINLDTASLKPIKWECMIVDEGHRLKNKDSKLFLSLKQYTSNHRTLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDISQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRVELSSKQKEYYKAILTRNYQLLTKRCGPQISLINVVMELRKLCCHPYMLEGVEPDIEDANEAYKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKNWQYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLITRGSIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDDAAIDRLLDREQVGDEVASVDDEEEDGFLKAFKVANFEYIEEAETVAEEEVQKEAMENKNTVNNSERTSYWEELLRDRYEVHKNEEYNSLGKGKRSRKQMVSVEEDDLAGLEDVSSDNEDDNFEAELTDGDTTSSGNQSGRKPYRKRVRVDSTEPIPLMEGEGKSFRVLGFNQSQRAAFVQILMRFGVGDYDFKEFVPRLKQKTYEEIKDYGVLFLSHIVEDMNDSPTFSDGVPKEGLRIQDVLVRIATLLLIGQKVKSASENPGTSLFTDDILTRYPTLRGGKSWNEEHDLLLLRAVLKHGYGRWQAIVDDKDLRIQEIICQELNLPFLNFPVPGQAGSQVQNGANTTNLEATGNQTQGNVSGNDVGGEVAQGVTDAVNQAQLYPDPAAMYQFRDLQRRQVEYIKKRVLLLEKGMNAEYQKEYEDELKANEMTSEEPENGQNVADMPNASSTEIPFQVVDHLIPIEVIASEQISAAACNDDADRLELPLHFNKMCKILEGNALEAVCSVNLKNKFSPLEEICEDISRILSPTQHYPHTSKPPVLGTQSTVAAGSRPPPNQHPAIVTGVEMEDSPKGTKRKKPATVEEIDLDSGPGLMKKVSYRS >EOY29364 pep chromosome:Theobroma_cacao_20110822:9:911770:928887:1 gene:TCM_036924 transcript:EOY29364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling factor CHD3 isoform 2 MSSLVERLRVRSDRKPIYNMDESDDDADFISGKSGKTEEKLERIVRTDAKENSCQACGESENLLSCATCTYAYHLKCLLPPLKAPPHPDNWRCPECVSPLNDIEKILDCEMRPTVADNNDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFQKAFKSNPRLRTKVNNFNRQMGPNNSSEDDFVAIRPEWTTVDRILARRGDSNNIEYLVKYKELPYDECYWEFESDISAFQPEIERFKNIQSRSHKSSASKQKSSHRDAVESKKKSKEFQPFEHTPEFLSGGSLHPYQLEGLNFLRYSWSKQTHVILADEMGLGKTIQSIAILASLFEENHTPHLVVAPLSTLRNWEREFATWAPKLNVVMYVGSAQARAIIREYEFYLPKSHKKIKKKKSGQIVSESKQDRIKFDVLLTSYEMINLDTASLKPIKWECMIVDEGHRLKNKDSKLFLSLKQYTSNHRTLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDISQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRVELSSKQKEYYKAILTRNYQLLTKRCGPQISLINVVMELRKLCCHPYMLEGVEPDIEDANEAYKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKNWQYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLITRGSIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDDAAIDRLLDREQVGDEVASVDDEEEDGFLKAFKVANFEYIEEAETVAEEEVQKEAMENKNTVNNSERTSYWEELLRDRYEVHKNEEYNSLGKGKRSRKQMVSVEEDDLAGLEDVSSDNEDDNFEAELTDGDTTSSGNQSGRKPYRKRVRVDSTEPIPLMEGEGKSFRVLGFNQSQRAAFVQILMRFGVGDYDFKEFVPRLKQKTYEEIKDYGVLFLSHIVEDMNDSPTFSDGVPKEGLRIQDVLVRIATLLLIGQKVKSASENPGTSLFTDDILTRYPTLRGGKSWNEEHDLLLLRAVLKHGYGRWQAIVDDKDLRIQEIICQELNLPFLNFPVPGQAGSQVQNGANTTNLEATGNQTQGNVSGNDVGGEVAQGVTDAVNQAQLYPDPAAMYQFRDLQRRQVEYIKKRVLLLEKGMNAEYQKEYEDELKANEMTSEEPENGQNVADMPNASSTEIPFQVVDHLIPIEVIASEQISAAACNDDADRLELPLHFNKRCARYWRAMLLKLCVASI >EOY29367 pep chromosome:Theobroma_cacao_20110822:9:914674:928189:1 gene:TCM_036924 transcript:EOY29367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling factor CHD3 isoform 2 MRPTVADNNDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFQKAFKSNPRLRTKVNNFNRQMGPNNSSEDDFVAIRPEWTTVDRILARRGDSNNIEYLVKYKELPYDECYWEFESDISAFQPEIERFKNIQSRSHKSSASKQKSSHRDAVESKKKSKEFQPFEHTPEFLSGGSLHPYQLEGLNFLRYSWSKQTHVILADEMGLGKTIQSIAILASLFEENHTPHLVVAPLSTLRNWEREFATWAPKLNVVMYVGSAQARAIIREYEFYLPKSHKKIKKKKSGQIVSESKQDRIKFDVLLTSYEMINLDTASLKPIKWECMIVDEGHRLKNKDSKLFLSLKQYTSNHRTLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDISQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRVELSSKQKEYYKAILTRNYQLLTKRCGPQISLINVVMELRKLCCHPYMLEGVEPDIEDANEAYKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKNWQYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLITRGSIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDDAAIDRLLDREQVGDEVASVDDEEEDGFLKAFKVANFEYIEEAETVAEEEVQKEAMENKNTVNNSERTSYWEELLRDRYEVHKNEEYNSLGKGKRSRKQMVSVEEDDLAGLEDVSSDNEDDNFEAELTDGDTTSSGNQSGRKPYRKRVRVDSTEPIPLMEGEGKSFRVLGFNQSQRAAFVQILMRFGVGDYDFKEFVPRLKQKTYEEIKDYGVLFLSHIVEDMNDSPTFSDGVPKEGLRIQDVLVRIATLLLIGQKVKSASENPGTSLFTDDILTRYPTLRGGKSWNEEHDLLLLRAVLKHGYGRWQAIVDDKDLRIQEIICQELNLPFLNFPVPGQAGSQVQNGANTTNLEATGNQTQGNVSGNDVGGEVAQGVTDAVNQAQLYPDPAAMYQFRDLQRRQVEYIKKRVLLLEKGMNAEYQKEYEDELKANEMTSEEPENGQNVADMPNASSTEIPFQVVDHLIPIEVIASEQISAAACNDDADRLELPLHFNKVHQCARYWRAMLLKLCVASI >EOY29366 pep chromosome:Theobroma_cacao_20110822:9:914674:928280:1 gene:TCM_036924 transcript:EOY29366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling factor CHD3 isoform 2 MRPTVADNNDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFQKAFKSNPRLRTKVNNFNRQMGPNNSSEDDFVAIRPEWTTVDRILARRGDSNNIEYLVKYKELPYDECYWEFESDISAFQPEIERFKNIQSRSHKSSASKQKSSHRDAVESKKKSKEFQPFEHTPEFLSGGSLHPYQLEGLNFLRYSWSKQTHVILADEMGLGKTIQSIAILASLFEENHTPHLVVAPLSTLRNWEREFATWAPKLNVVMYVGSAQARAIIREYEFYLPKSHKKIKKKKSGQIVSESKQDRIKFDVLLTSYEMINLDTASLKPIKWECMIVDEGHRLKNKDSKLFLSLKQYTSNHRTLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDISQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRVELSSKQKEYYKAILTRNYQLLTKRCGPQISLINVVMELRKLCCHPYMLEGVEPDIEDANEAYKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKNWQYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLITRGSIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDDAAIDRLLDREQVGDEVASVDDEEEDGFLKAFKVANFEYIEEAETVAEEEVQKEAMENKNTVNNSERTSYWEELLRDRYEVHKNEEYNSLGKGKRSRKQMVSVEEDDLAGLEDVSSDNEDDNFEAELTDGDTTSSGNQSGRKPYRKRVRVDSTEPIPLMEGEGKSFRVLGFNQSQRAAFVQILMRFGVGDYDFKEFVPRLKQKTYEEIKDYGVLFLSHIVEDMNDSPTFSDGVPKEGLRIQDVLVRIATLLLIGQKVKSASENPGTSLFTDDILTRYPTLRGGKSWNEEHDLLLLRAVLKHGYGRWQAIVDDKDLRIQEIICQELNLPFLNFPVPGQAGSQVQNGANTTNLEATGNQTQGNVSGNDVGGEVAQGVTDAVNQAQLYPDPAAMYQFRDLQRRQVEYIKKRVLLLEKGMNAEYQKEYEDELKANEMTSEEPENGQNVADMPNASSTEIPFQVVDHLIPIEVIASEQISAAACNDDADRLELPLHFNKVHQCARYWRAMLLKLCVASI >EOY30911 pep chromosome:Theobroma_cacao_20110822:9:6220832:6222463:-1 gene:TCM_037955 transcript:EOY30911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSEDKISRTSNKTDEKYFGLFIITTWANRVLEKQGFREDNRGAQRLLPMGDFPRSHVEEYQLALKQSPMVSLVDKWKRPPQGMVKVSSDGAVDLANRSGGLGVIIRDEEGFELGTFYARLTMEVVPLVIEAIATDTELEFAKQHGIHMYRGGR >EOY33357 pep chromosome:Theobroma_cacao_20110822:9:37069103:37069428:1 gene:TCM_041337 transcript:EOY33357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein VEREKPDTFFRPPSLPFPPFFPLCVACALSLCHIKSAGRERREQSKPSFIIVYYIRTPQQRLFLFFLCFLCSFFRERERFEGCTKIAEDFRLSTYFFHNDLLA >EOY30163 pep chromosome:Theobroma_cacao_20110822:9:3600202:3606337:-1 gene:TCM_037463 transcript:EOY30163 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein isoform 2 MVGTPATPLCKIPRTPAATPGGLKTQEEKILVTVRMRPLSRREQAMYDLIAWNCVDDHTIHFKHPNHERPATHYSFDRVFDPSCSTRKAYEEGAKDVALSALTGINATIFAYGQTSSGKTFTMRGITENAVKDIFEHIKNTQERDFVLKFSALEIYNETVVDLLNRDSGCLRLLDDPEKGTIVEKLVEEVVKDSQHLKHLIGICEAQRQVGETALNDKSSRSHQIIRLTIESSLRENSECVKSFLASLNLVDLAGSERVSQTNADGARLKEGSHINRSLLTLTTVIRKLSGGKRSGHIPYRDSKLTRILQNSLGGNARTAILCTISPALSHVEQTRNTLSFATSAKEVTNNAQVNMVIADKRLVKHLQKEVARLEAELRSPEPSSASCLKSLLMEKELKIQEMVREMEELKRQRDTAQSQLEQERKARKVQKGLNQGGPSGQVVRCLSFSVDNEPVPGAPDARPRKTIGRHSTLRQSATSTDPSMLVHEIRKLEQRQRQLGEEANRALEVLHKEVASHKFGNQETAETIAKMLSEIKDMQAANSIPEDIVIGDGTNLKEEITRLNSQGSTIASLEKKLENVQKSIDMLVSNLSNGEETPEFKTQLKKKKILPFTLNNSANMQNIIRAPCSPLSSSRKTMEYEIENKAPEDNEMVSRSHRSPGRFKTTPPKADDKHASSREGTPSTRQTNSVDVKKMQRMFKNAAEENIRSIRAYVTELKERVAKLQYQKQLLVCQVLELEESNEVGTDETDRILQSPLPWHLVFEDQRKQIVMLWHLCHVSIIHRTQFYLLFRGDPADQIYMEVELRRLTWLEQHFAELGNASPALLGDEPAGSVASSIRALKQEREYLAKRVSSKLSAEEREILYMKWEVPPVGKQRRLQLVNKLWTDPLNMQHVQESAEIVAKLVGFCESGEHISKEMFELNFVNPCDKKSWMGWNLISNLLHL >EOY30164 pep chromosome:Theobroma_cacao_20110822:9:3600290:3606329:-1 gene:TCM_037463 transcript:EOY30164 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein isoform 2 MVGTPATPLCKIPRTPAATPGGLKTQEEKILVTVRMRPLSRREQAMYDLIAWNCVDDHTIHFKHPNHERPATHYSFDRVFDPSCSTRKAYEEGAKDVALSALTGINATIFAYGQTSSGKTFTMRGITENAVKDIFEHIKNTQERDFVLKFSALEIYNETVVDLLNRDSGCLRLLDDPEKGTIVEKLVEEVVKDSQHLKHLIGICEAQRQVGETALNDKSSRSHQIIRLTIESSLRENSECVKSFLASLNLVDLAGSERVSQTNADGARLKEGSHINRSLLTLTTVIRKLSGGKRSGHIPYRDSKLTRILQNSLGGNARTAILCTISPALSHVEQTRNTLSFATSAKEVTNNAQVNMVIADKRLVKHLQKEVARLEAELRSPEPSSASCLKSLLMEKELKIQEMVREMEELKRQRDTAQSQLEQERKARKGLNQGGPSGQVVRCLSFSVDNEPVPGAPDARPRKTIGRHSTLRQSATSTDPSMLVHEIRKLEQRQRQLGEEANRALEVLHKEVASHKFGNQETAETIAKMLSEIKDMQAANSIPEDIVIGDGTNLKEEITRLNSQGSTIASLEKKLENVQKSIDMLVSNLSNGEETPEFKTQLKKKKILPFTLNNSANMQNIIRAPCSPLSSSRKTMEYEIENKAPEDNEMVSRSHRSPGRFKTTPPKADDKHASSREGTPSTRQTNSVDVKKMQRMFKNAAEENIRSIRAYVTELKERVAKLQYQKQLLVCQVLELEESNEVGTDETDRILQSPLPWHLVFEDQRKQIVMLWHLCHVSIIHRTQFYLLFRGDPADQIYMEVELRRLTWLEQHFAELGNASPALLGDEPAGSVASSIRALKQEREYLAKRVSSKLSAEEREILYMKWEVPPVGKQRRLQLVNKLWTDPLNMQHVQESAEIVAKLVGFCESGEHISKEMFELNFVNPCDKKSWMGWNLISNLLHL >EOY32658 pep chromosome:Theobroma_cacao_20110822:9:32598474:32615708:-1 gene:TCM_040687 transcript:EOY32658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase family 3 member F1 MDKVMIDLETDLKVMRECCRSGKTKELSWRRSQLKGLQTFLKENEVEIFRALRDDLGKHYVEAFRDEVGLIKKSLNLALKDLQKWMSSREAKLPIFALLSHAELVPEPLGLVLVISPWNFPLALSLEPLLGAIAAGNAVVLKPSELAPACSSLLFNTLPNYLDNETIKVIEGGPAVGEQLLFKKWDKIFFTGSARVGRIVMSAAAKHLTPVTLELGGKCPAVLDSLSWSWDKEVAVNRIIGAKYGSCAGQACVSVDYLLVEKAFSSAVVELMKVLIKKMYGDNPRESQSVGRIVNKRHFLRLKNLLTDKMVKDSIVYGGSMDEDSLFIEPTILVDPPRESTIMTEEIFGPLLPIITLDKIEDSIDFINARPKPLAIYAFTKNETFRRRMVSQTSSGAVVFNDAIIQYAADTIPFGGIGESGIGNYHGKFSFDTFSHYKAVARRSFLTDFWFRFPPWNNYKLELFETAYNYDYFGMLLIILGLKRSRRRFDRRVVKSVEWKRLENLRLTKADDSQLQIGINNGSSSSKYSNDYCLLGIVWKGKKIYREAMIKMLGNLWITKGELTAKEIGENKYFFSFSDKEDFDRIKAGRPCCFDRNLVVLKEFDMDSMEPKEVNFKHEELWIHVIGLPIKLMERETAKVIGNTTDWFITVDGDGGDLKGKYTRIRVLIDLTKPLKRGIMIHMDDGHIKWISFHYERLAKFCFRCGIHEQSCNQPCLDENGTEVQKQYGAFLIASQRRKAVEIKFEGHGQSQWKNSDVHS >EOY32496 pep chromosome:Theobroma_cacao_20110822:9:30406359:30408093:1 gene:TCM_040452 transcript:EOY32496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRGRGKGKKLTVSNHEDAGSGEEEKIPAQKRRGRPQKPLKDEIDEEEVEKLEEEDGENGKAGITSKERKSPSAAENGKKRKRNSQVKEKPDLVKEENGVGTRSSIDDSTKSTGFRQNGSRRKSKPRRAAGAVVECK >EOY29384 pep chromosome:Theobroma_cacao_20110822:9:1013081:1016655:1 gene:TCM_036942 transcript:EOY29384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMMTMVKDEWVRAAMTDDNVVVELLVRLKQAQAVPSAPKSAVAALRWGMRQPRSKSMSMRCDAKKDGDFNVGTRGSPTTPLSWSGGGGAASPSTAGGFEETSRHALRSPPAAPSRSKGTAAANETTSTSTKRTRRKKTFAELKEEETLLLKERVYLKKEIASMRATCKEQRVRNENLKRIKLDLNFHTAKNSSLIVDEPEEKVPCSKFCQRVPSSLDYIPTTTLPSHSLDDRKPLLDSCDAGKADSSGDSYFLLPDLNMMPAEDDSGTEMLYGTS >EOY29385 pep chromosome:Theobroma_cacao_20110822:9:1013211:1018098:1 gene:TCM_036942 transcript:EOY29385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMMTMVKDEWVRAAMTDDNVVVELLVRLKQAQAVPSAPKSAVAALRWGMRQPRSKSMSMRCDAKKDGDFNVGTRGSPTTPLSWSGGGGAASPSTAGGFEETSRHALRSPPAAPSRSKGTAAANETTSTSTKRTRRKKTFAELKEEETLLLKERVYLKKEIASMRATCKEQRVRNENLKRIKLDLNFHTAKNSSLIVDEPEEKVPCSKFCQRVPSSLDYIPTTTLPSHSLDDRKPLLDSCDAGKADSSGDSYFLLPDLNMMPAEDDSGTEMLYGTS >EOY32544 pep chromosome:Theobroma_cacao_20110822:9:31110713:31123429:1 gene:TCM_040527 transcript:EOY32544 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 3 MSAASTVTTISTPTLDSESPALLQSITSHGGYAYAGMATLAAAGDQRAAEAAREMAWEQLHSGPWHSVLPVWRDAYSMACLHMAKFHFSNGEFRDALRALDMGIIMGGPLLRKDLDSAIEAVSAAKARRDHNGGADADNEDPEKARCRLLVCPEQLDKSELLRILPIRSLSSKIVGRRSALSLEGFLREYFLSGSPVIITDCMTHWAARTRWNDMDYLRRVAGDRTVPVEVGKNYLCSEWKQELITFSQFLERVQSNGCRSKVPTYLAQHQLFDQINELRKDISIPDYCYAGGGELRSLNAWFGPAGTVTPLHHDPHHNVLAQVVGKKYVRLYSASYSEELYPYSETMLNNSSQVDLDNMDDVEFPKVRDLEFLDCILEEGEMLYIPPKWWHYVRSLTTSFSVSFWWSDSGSSAVS >EOY32547 pep chromosome:Theobroma_cacao_20110822:9:31116036:31123434:1 gene:TCM_040527 transcript:EOY32547 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 3 MSAASTVTTISTPTLDSESPALLQSITSHGGYAYAGMATLAAAGDQRAAEAAREMAWEQLHSGPWHSVLPVWRDAYSMACLHMAKFHFSNGEFRDALRALDMGIIMGGPLLRKDLDSAIEAVSAAKARRDHNGGADADNEDPEKARCRLLVCPEQLDKSELLRILPIRSLSSKIVGRRSALSLEGFLREYFLSGSPVIITDCMTHWAARTRWNDMDYLRRVAGDRTVPVEVGKNYLCSEWKQELITFSQFLERVQSNGCRSKVPTYLAQHQLFDRLI >EOY32546 pep chromosome:Theobroma_cacao_20110822:9:31116036:31123434:1 gene:TCM_040527 transcript:EOY32546 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 3 MSAASTVTTISTPTLDSESPALLQSITSHGGYAYAGMATLAAAGDQRAAEAAREMAWEQLHSGPWHSVLPVWRDAYSMACLHMAKFHFSNGEFRDALRALDMGIIMGGPLLRKDLDSAIEAVSAAKARRDHNGGADADNEDPEKARCRLLVCPEQLDKSELLRILPIRSLSSKIVGRRSALSLEGFLREYFLSGSPVIITDCMTHWAARTRWNDMDYLRRVAGDRTVPVEFEMLFRLEKTTYVQNGSKNLLHFPSFLRGFNLMAAEVRSPPILHNTSCLIG >EOY32545 pep chromosome:Theobroma_cacao_20110822:9:31115974:31123434:1 gene:TCM_040527 transcript:EOY32545 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate and Fe(II)-dependent oxygenase superfamily protein isoform 3 MSAASTVTTISTPTLDSESPALLQSITSHGGYAYAGMATLAAAGDQRAAEAAREMAWEQLHSGPWHSVLPVWRDAYSMACLHMAKFHFSNGEFRDALRALDMGIIMGGPLLRKDLDSAIEAVSAAKARRDHNGGADADNEDPEKARCRLLVCPEQLDKSELLRILPIRSLSSKIVGRRSALSLEGFLREYFLSGSPVIITDCMTHWAARTRWNDMDYLRRVAGDRTVPVEFEMLFRLEKTTYVQNGSKNLLHFPSFLRGFNLMAAEVRSPPILHNTSCLIR >EOY32371 pep chromosome:Theobroma_cacao_20110822:9:28281690:28288826:-1 gene:TCM_040245 transcript:EOY32371 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 2 isoform 2 MESLESEEPEKKRPHLDSPTMARNSSTAPHHTKVDAAVLQYQNQKLVQQLESRKNELLCLEITIKELEDKQASYDDTLISVNQLWNQLVDDLILLGVQAGGGHNALESLDLADTSRGSVPSCPMEEMFLCRLLETDSIDSIGDDAIVNYVEKVLSSRHSFTSELIKSLEDTIAAERVKTESMALALQGKLYVEDNIMQLSKIDDIFKEEAKNLREVIDTLHLKHKEYADRIQTYISSHSTDQSEIKRLRGELEEIMAELEESRRKLVSLKMQKNLASGMHASTPFAVNGSLSPEKPADKIMGFREIKDSIEETKILAADRLSELQDAREEILHYSEQQQDLQNELKDEKFVQSSRLYTLLSDQLQHWNAEVEQYKALTDALQTDRFLVMRREKELNLKAESADAARNIIDNADSRIEELELQLQKCIIERNDLEIKMEEAIQDAGRNDIKAEFRVMASALSKEMGMMEAQLNRWKETAHEAISLREEAQTLKDVLSDKTNQGKRLAEECAEQIVEIKSLKGLIEKLQKEKLELQIFLDMYGQEGYDNRDVMEIREAENRAHSQAEVLKNALDEHSLELRVKAANEAEAACQERLSVAEAEIAELRAKLDASERDVLELKEAIKSKDLESEAYISEIETIGQAYEDMQTQNQHLLQQMTERDDYNIKGALPHISPVHSGLYFWSAPYKDQALADTERSSSPHQLD >EOY32370 pep chromosome:Theobroma_cacao_20110822:9:28279272:28291525:-1 gene:TCM_040245 transcript:EOY32370 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 2 isoform 2 MESLESEEPEKKRPHLDSPTMARNSSTAPHHTKVDAAVLQYQNQKLVQQLESRKNELLCLEITIKELEDKQASYDDTLISVNQLWNQLVDDLILLGVQAGGGHNALESLDLADTSRGSVPSCPMEEMFLCRLLETDSIDSIGDDAIVNYVEKVLSSRHSFTSELIKSLEDTIAAERVKTESMALALQGKLYVEDNIMQLSKIDDIFKEEAKNLREVIDTLHLKHKEYADRIQTYISSHSTDQSEIKRLRGELEEIMAELEESRRKLVSLKMQKNLASGMHASTPFAVNGSLSPEKPADKIMGFREIKDSIEETKILAADRLSELQDAREEILHYSEQQQDLQNELKDEKFVQSSRLYTLLSDQLQHWNAEVEQYKALTDALQTDRFLVMRREKELNLKAESADAARNIIDNADSRIEELELQLQKCIIERNDLEIKMEEAIQDAGRNDIKAEFRVMASALSKEMGMMEAQLNRWKETAHEAISLREEAQTLKDVLSDKTNQGKRLAEECAEQIVEIKSLKGLIEKLQKEKLELQIFLDMYGQEGYDNRDVMEIREAENRAHSQAEVLKNALDEHSLELRVKAANEAEAACQERLSVAEAEIAELRAKLDASERDVLELKEAIKSKDLESEAYISEIETIGQAYEDMQTQNQHLLQQMTERDDYNIKLVSESVKTKQAQSFFLTEKQTLARQLEQVNSSIKSVKMRIAHSEEQMKVCLTEAIKSTQEDRHFMISLETAKWELADAEKELKWLKSAVTSSDKDYEQVQRKVDEFQVKLDKERSQRKKLEEELMELNSMVAELTSETGETAIQKLQDEIKNCKNILKCGVCFDRPKEVVIVKCYHLFCNPCIQRNLEIRHRKCPGCGTAFGQNDVRFVNI >EOY32373 pep chromosome:Theobroma_cacao_20110822:9:28279817:28289012:-1 gene:TCM_040245 transcript:EOY32373 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 2 isoform 2 MAELEESRRKLVSLKMQKNLASGMHASTPFAVNGSLSPEKPADKIMGFREIKDSIEETKILAADRLSELQDAREEILHYSEQQQDLQNELKDEKFVQSSRLYTLLSDQLQHWNAEVEQYKALTDALQTDRFLVMRREKELNLKAESADAARNIIDNADSRIEELELQLQKCIIERNDLEIKMEEAIQDAGRNDIKAEFRVMASALSKEMGMMEAQLNRWKETAHEAISLREEAQTLKDVLSDKTNQGKRLAEECAEQIVEIKSLKGLIEKLQKEKLELQIFLDMYGQEGYDNRDVMEIREAENRAHSQAEVLKNALDEHSLELRVKAANEAEAACQERLSVAEAEIAELRAKLDASERDVLELKEAIKSKDLESEAYISEIETIGQAYEDMQTQNQHLLQQMTERDDYNIKLVSESVKTKQAQSFFLTEKQTLARQLEQVNSSIKSVKMRIAHSEEQMKVCLTEAIKSTQEDRHFMISLETAKWELADAEKELKWLKSAVTSSDKDYEQVQRKVDEFQVKLDKERSQRKKLEEELMELNSMVAELTSETGETAIQKLQDEIKNCKNILKCGVCFDRPKEVVIVKCYHLFCNPCIQRNLEIRHRKCPGCGTAFGQNDVRFVNI >EOY32372 pep chromosome:Theobroma_cacao_20110822:9:28279817:28286551:-1 gene:TCM_040245 transcript:EOY32372 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 2 isoform 2 MAELEESRRKLVSLKMQKNLASGMHASTPFAVNGSLSPEKPADKIMGFREIKDSIEETKILAADRLSELQDAREEILHYSEQQQDLQNELKDEKFVQSSRLYTLLSDQLQHWNAEVEQYKALTDALQTDRFLVMRREKELNLKAESADAARNIIDNADSRIEELELQLQKCIIERNDLEIKMEEAIQDAGRNDIKAEFRVMASALSKEMGMMEAQLNRWKETAHEAISLREEAQTLKDVLSDKTNQGKRLAEECAEQIVEIKSLKGLIEKLQKEKLELQIFLDMYGQEGYDNRDVMEIREAENRAHSQAEVLKNALDEHSLELRVKAANEAEAACQERLSVAEAEIAELRAKLDASERDVLELKEAIKSKDLESEAYISEIETIGQAYEDMQTQNQHLLQQMTERDDYNIKLVSESVKTKQAQSFFLTEKQTLARQLEQVNSSIKSVKMRIAHSEEQMKVCLTEAIKSTQEDRHFMISLETAKWELADAEKELKWLKSAVTSSDKDYEQVQRKVDEFQVKLDKERSQRKKLEEELMELNSMVAELTSETGETAIQKLQDEIKNCKNILKCGVCFDRPKEVVIVKCYHLFCNPCIQRNLEIRHRKCPGCGTAFGQNDVRFVNI >EOY29743 pep chromosome:Theobroma_cacao_20110822:9:2224393:2229715:1 gene:TCM_037189 transcript:EOY29743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein, putative isoform 2 MGHELKSLFQLDTRKLIWLIGMTFVVIITFQYLELPYGNVLSTLFPSGKVSVEGQSSFLASGPSSAEADMAHNVTHSIGLDNKGTHAGNEMVHDNEFSKGNVTDLNNDVISETDVGLNKSSTFDEGSESQKETSTGELVEINKNSTVDYAESSKNKTIAEENGDLSWESEEEGNLTSLNSFNQTGAANETPSDFGTSEGNKDQNNVIISEGEIGLNRSSILDEGSTSSRESSTEQFVDLNKNSTVDYAESFNKTVAEEASKTEESFSLKNDTIDVNTSNNNIGNGNFTSSAESTGSSDTGLGSPLPALTPTNSSTNKTLENDVETNIQTPVVSVNSSTSSLEQHVTPSFDKNEKVEEIKNNFTTSSDNSSPTNTPKVGKKPEMPPALTTIADMNNLFYQSRVSYYSKTPRWSSGADQVLLNARSQIENAPIVKNDPRLYAPLFRNVSMFKSQVHNVYTICIINFRSYELMESTLKVYVYQEGKRPIVHTPILKGIYASEGWFMKQLEANKKFVTKNPREAHLFYLPFSSRMLEETLYVPDSHNHKNLIEYLKNYVGIIAAKYPFWNRTEGADHFLVACHDWAPSETRKHMANCIRALCNSDIREGYIFGKDVSLPETYVRNPQKPLRDLGGKPPSKRSILAFFAGSMHGYLRPILLEQWGNKDPDMKIFGKMPNVKGKMNYIQHMKSSKYCLCPRGYEVNSPRVVEAIFYGCVPVIISDNFVPPFFEVLNWESFAVFVLEKDIPNLKKILLSIPEKRFRQMQLRVKKIQQHFLWHPRPEKYDIFHMILHSVWYNRVFQMKPR >EOY29744 pep chromosome:Theobroma_cacao_20110822:9:2224618:2229603:1 gene:TCM_037189 transcript:EOY29744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein, putative isoform 2 MGHELKSLFQLDTRKLIWLIGMTFVVIITFQYLELPYGNVLSTLFPSGKVSVEGQSSFLASGPSSAEADMAHNVTHSIGLDNKGTHAGNEMVHDNEFSKGNVTDLNNDVISETDVGLNKSSTFDEGSESQKETSTGELVEINKNSTVDYAESSKNKTIAEENGDLSWESEEEGNLTSLNSFNQTGAANETPSDFGTSEGNKDQNNVIISEGEIGLNRSSILDEGSTSSRESSTEQFVDLNKNSTVDYAESFNKTVAEEASKTEESFSLKNDTIDVNTSNNNIGNGNFTSSAESTGSSDTGLGSPLPALTPTNSSTNKTLENDVETNIQTPVVSVNSSTSSLEQHVTPSFDKNEKVEEIKNNFTTSSDNSSPTNTPKVGKKPEMPPALTTIADMNNLFYQSRVSYYSKTPRWSSGADQVLLNARSQIENAPIVKNDPRLYAPLFRNVSMFKRSYELMESTLKVYVYQEGKRPIVHTPILKGIYASEGWFMKQLEANKKFVTKNPREAHLFYLPFSSRMLEETLYVPDSHNHKNLIEYLKNYVGIIAAKYPFWNRTEGADHFLVACHDWAPSETRKHMANCIRALCNSDIREGYIFGKDVSLPETYVRNPQKPLRDLGGKPPSKRSILAFFAGSMHGYLRPILLEQWGNKDPDMKIFGKMPNVKGKMNYIQHMKSSKYCLCPRGYEVNSPRVVEAIFYGCVPVIISDNFVPPFFEVLNWESFAVFVLEKDIPNLKKILLSIPEKRFRQMQLRVKKIQQHFLWHPRPEKYDIFHMILHSVWYNRVFQMKPR >EOY32642 pep chromosome:Theobroma_cacao_20110822:9:32372519:32376434:1 gene:TCM_040660 transcript:EOY32642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8, putative MTSELQTLNDNGTWTIVHLPSNSHVIGYRRVYKVKLNTNGDVERFKTHWVAKGYNKKPSFDYQETLSLVAKQLIVRVFLALTVACNWQLSQLDINNACLNGDLEEEVYMELPEGYFIKGEYFVDSKMVVVFVFEI >EOY29239 pep chromosome:Theobroma_cacao_20110822:9:459352:460691:1 gene:TCM_036836 transcript:EOY29239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase, putative MALQVKNTPPYMPSACYGFEDQGVMIAAASEAIWNNGAACGQLYQVNCLSGTNAGTPMPCQGRGVVVVKIVDHCPAGCRGTIDLSQEAFALIADPNSGVINISYQQ >EOY32581 pep chromosome:Theobroma_cacao_20110822:9:31642591:31643478:1 gene:TCM_040571 transcript:EOY32581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHHSLSPNLSILLPLLVLRAEACCCQSPCVTHFPQISLLCFPFLCREQRLVATNLHASLTVPKSLDFTSPSCAESRGLLLPISVHRSLSPNLSTLLPLLASRPKAFCCES >EOY34273 pep chromosome:Theobroma_cacao_20110822:9:40556868:40559705:1 gene:TCM_042004 transcript:EOY34273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 3 MAEVVPENAGGQLGDFPAIHTHGGQYIQYSIFGNLFQITSKYRPPIMPIGRGAYGIVCSVLNSETNEMVAVKKIANAFDNHMDAKRTLREIKLLRHLDHENVIAIRDVIPPPLRREFTDVYIATELMDTDLHQIIRSNQGLSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLNICDFGLARPAAENEFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMNRKPLFPGKDHVHQMRLLTELLGTPTESDLGFLRNEDARRYLRQLPAHPRQPLANVFPHVHPLAIDLIERMLTFDPTRRITVEEALAHPYLERLHDIADEPVSAEPFSFDFEQQPLGEEQMKDMIYKEAIALNPNYA >EOY34291 pep chromosome:Theobroma_cacao_20110822:9:40599227:40601635:1 gene:TCM_042016 transcript:EOY34291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1379, putative isoform 1 MAVLNWKHHALIQSLLSRGPLKEKEFHSIFTGITGQNPGTHQEKFNDYLLKINRELSFVQLDLRASRDQYDGQVYYGVVNNVSDEQSKLGTRYSVPQIAFFKAIIEAIAQDVTAQGTISNIDALNIKLENQVLNSLGSQSQDGSLNVPAAFRNFTLSQKEKTLDQLVQDKWLCFTEDGNVGVGVRSILDLRSWFRNAEVPSCEVCNEAGLKAKLCPNEGCTVRIHQYCLKRRVCQRGVIVCPSCDTQWQYQPPKAEPIELEDEASGPTQSQPPSRSTQSQPPLRSRRKRQRLSQNDDAETAGCSSQAASQADSDMRRVTRSSARLR >EOY34292 pep chromosome:Theobroma_cacao_20110822:9:40599499:40601665:1 gene:TCM_042016 transcript:EOY34292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1379, putative isoform 1 SSLASLVKIQLDLRASRDQYDGQVYYGVVNNVSDEQSKLGTRYSVPQIAFFKAIIEAIAQDVTAQGTISNIDALNIKLENQVLNSLGSQSQDGSLNVPAAFRNFTLSQKEKTLDQLVQDKWLCFTEDGNVGVGVRSILDLRSWFRNAEVPSCEVCNEAGLKAKLCPNEGCTVRIHQYCLKRRVCQRGVIVCPSCDTQWQYQPPKAEPIELEDEASGPTQSQPPSRSTQSQPPLRSRRKRQRLSQNDDAETAGCSSQAASQADSDMRRVTRSSARLR >EOY30888 pep chromosome:Theobroma_cacao_20110822:9:6128791:6134884:1 gene:TCM_037938 transcript:EOY30888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGGGNSRRDESLVINSTNVFAALGSLKKKKKKGSEKEHPGSSSKTKGKKGGEKEAEKKEVFWAPSPLKTKSWADVDDEDDDDYYATMAPPVSSWDTHKEPEPALEESESEEECLDEADDDVEEEHENEAEAQVEVQPVVKKPPEASMVTKETERQLSKKELKKKGLEELDAVLAELGLTKPETSGPNDSHGIAQGKKSESNGEMEKKENAPAESKSAKKKKKKDKSSKEVTESSQGQPEGIDTGNDAEETGETEKPEETSAVDVKERLKKVVSMKKKKSSKEMDAAARGAANEAAARSARFAAAKKKEKNHYNQQPMRLFSELSSKSKAGNSLPTVDQFLSIYDVVVKYTVLVESVAASHNSDTENASSEHSKSSSLRVEVALAADLEITSFLTPQNNGSPSALQRKFVERAISSCFRQKILKKLLHRCNVE >EOY34361 pep chromosome:Theobroma_cacao_20110822:9:40784735:40785575:1 gene:TCM_042056 transcript:EOY34361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSKSYYSRPNCRFLSGDQQLQATRRHDSAAAFEFEESDIYSNSASTRSDSPELRTSSRVAKKTSTKRGGGGGGVVGGDSGVGGTPSSLPVNIPDWSKILREEYRDNRRRSESDDDDVEGDDWSEGGVRIPPHEFLAKQMARTRIASFSVHEGIGRTLKGRDLRRVRNAIFEKTGFED >EOY32122 pep chromosome:Theobroma_cacao_20110822:9:21508415:21512607:1 gene:TCM_039636 transcript:EOY32122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant intracellular ras group-related LRR 6 MMYEQQQQQQQQQVRMAMGLSDKGDHRRRCIEEERLEIVDLSGMSLDSLPNPSLNLATICKLDLSNNNLQSIPESLTARLLNVLVFDVHSNQLKFLPNSIGCLSKLKILNVSGNLLQTLPKTIENCRSLDELNANFNKLTMLPDTIGFELINLKKLSVNSNKLIFLPQSVTHLTSLRVLDARLNCLGSLPEDLENLINLEVLNVSQNFQYLENLPYSVGLLVSLVELDVSYNKITSLPDSIGCLKKLQKLCVEGNPMVSPPMEVFEQSLHAVKEYLSEKMNAGHKSPQKKKYSWVGKLVKCGTFNGHMMRGGTHGEREGFVMSEYRSIEGLASPRYMGMFSPRRLFSPRTYFSG >EOY32071 pep chromosome:Theobroma_cacao_20110822:9:20172501:20205646:-1 gene:TCM_039524 transcript:EOY32071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRRSILKFRFERGKFSLFATKLGSNSQFVHSWDEWVNKVLKNPTYVKLLITTRILNVVRVTSKLNICKEKTMNVRHAILTRKKNANEKDVASHAPPHRTKHAHHKRETIVRVELNLVKEEETSEVETKEESCDSKCSDKSNDKPVDVDEVEIEDVVTSFRTLNILLGLFDDFVDLDALFPFHTQSSNINQVKTYYYATPIDVVSFFIETKIILTPQIAQDKVILDTDAILVVDIIFDIGVDVRSTLNVEVINVVLDVTVDVEGALNVEVIHDVKVNVGAISSIEVVHDVETSTNDVKATLINPHASSSKVPKHKGANSAFGTQVVHIE >EOY30965 pep chromosome:Theobroma_cacao_20110822:9:6502151:6507648:-1 gene:TCM_038005 transcript:EOY30965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine methyltransferase 10 isoform 1 MGSRANGVVGGSSGNGAVDKGVDFANYFCTYAFLYHQKEMLCDRVRMDAYYNAIFQNKHHFQGKAVLDVGTGSGILAIWSAQAGARKVYAVEATKMSEHARTLVKANNLQDIVEVIEGSMEEVVLPEKVDVIISEWMGYFLLRESMFDSVIFARDHWLKSTGVMYPSHARMWVAPIRSGLVDQKKNDYEGAMDDWFGFIEDTKNYYGVEMSVLTKPFSEEQEKYYLQTSLWSNLHPHQVIGTAAVIKEIDCLTATVNDILQVRSTFSSAISMEHTRFCGFGGWFDVHFRGRREDPAQQEIELTTAPSTNNGTHWGQQVFLLHPPTHVDEGSNFNVSFSMNRSKENHRLMEAMDFLFCRFQCFFKCLHVRAWHWIPKEYILVAASLGHSVFSFSGWFEVQNRA >EOY30968 pep chromosome:Theobroma_cacao_20110822:9:6501319:6507501:-1 gene:TCM_038005 transcript:EOY30968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine methyltransferase 10 isoform 1 MLCDRVRMDAYYNAIFQNKHHFQGKAVLDVGTGSGILAIWSAQAGARKVYAVEATKMSEHARTLVKANNLQDIVEVIEGSMEEVVLPEKVDVIISEWMGYFLLRESMFDSVIFARDHWLKSTGVMYPSHARMWVAPIRSGLVDQKKNDYEGAMDDWFGFIEDTKNYYGVEMSVLTKPFSEEQEKYYLQTSLWSNLHPHQVIGTAAVIKEIDCLTATVNDILQVRSTFSSAISMEHTRFCGFGGWFDVHFRGRREDPAQQEIELTTAPSTNNGTHWGQQKQIGKA >EOY30966 pep chromosome:Theobroma_cacao_20110822:9:6504037:6507545:-1 gene:TCM_038005 transcript:EOY30966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine methyltransferase 10 isoform 1 MGSRANGVVGGSSGNGAVDKGVDFANYFCTYAFLYHQKEMLCDRVRMDAYYNAIFQNKHHFQGKAVLDVGTGSGILAIWSAQAGARKVYAVEATKMSEHARTLVKANNLQDIVEVIEGSMEEVVLPEKVDVIISEWMGYFLLRESMFDSVIFARDHWLKSTGVMYPSHARMWVAPIRSGLVDQKKNDYEGAMDDWFGFIEDTKNYYGVEMSVLTKPFSEEQEKYYLQTSLWSNLHPHQVIGTAAVIKEIDCLTATVNDILQVRSTFSSAISMEHTRFCGFGGWFDVHFRGRREDPAQQEIELTTAPSTNNGTHWGQQVFLLHPPTHVDEGSNFNVSFSMNRSKENHRLMEVDFDVKISQPSGLILPPIKKKFYVE >EOY30967 pep chromosome:Theobroma_cacao_20110822:9:6502353:6507619:-1 gene:TCM_038005 transcript:EOY30967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine methyltransferase 10 isoform 1 MGSRANGVVGGSSGNGAVDKGVDFANYFCTYAFLYHQKEMLCDRVRMDAYYNAIFQNKHHFQGKAVLDVGTGSGILAIWSAQAGARKVYAVEATKMSEHARTLVKANNLQDIVEVIEGSMEEVVLPEKVDVIISEWMGYFLLRESMFDSVIFARDHWLKSTGVMYPSHARMWVAPIRSGLVDQKKNDYEGAMDDWFGFIEDTKNYYGVEMSVLTKPFSEEQEKYYLQTSLWSNLHPHQVIGTAAVIKEIDCLTATVNDILQVRSTFSSAISMEHTRFCGFGGWFDVHFRGRREDPAQQEIELTTAPSTNNGTHWGQQVFLLHPPTHVDEGSNFNVSFSMNRSKENHRLMEVDFDVKISQPSGLILPPIKKKFYVE >EOY34689 pep chromosome:Theobroma_cacao_20110822:9:41802678:41804730:-1 gene:TCM_042279 transcript:EOY34689 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26-2 MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVYETYTLPKLYAKMQYCVSCAIHSHVVRVRSRTNRRIRDPPQRFRRREDLPKAGAPGQAARTAGAPAAART >EOY30771 pep chromosome:Theobroma_cacao_20110822:9:5737688:5742164:-1 gene:TCM_037867 transcript:EOY30771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic type i signal peptidase 1 isoform 3 MVISLHSLPPLLSFQNPNPNSTYFSKPFKDTHVTSIAFFKPLRTPNLTILNLHQTSKSLFLSHSNPTFPFKIPNCLGFKIENATTSSLRNRFQRLSCYGVKGSGEETKVVLDSGDGGEGGDGGGDGDDGEVKKKLPEWLNITSDDAKTVLAAVAISLAFRSFVAEPRYIPSLSMYPTFDAGDRIVAEKVSYYFRKPCANDIVIFKSPPVLQEVGYTDEDVFVKRIVAKEGDIVEVHNGKLIVNGVVRDEDFINEAPSYEMTPVRVPENSVFVMGDNRNNSYDSHVWGPLPAKNIIGRSVFRYWPPKRIGGTVLERGCAVAKQESVKASE >EOY30772 pep chromosome:Theobroma_cacao_20110822:9:5737682:5741967:-1 gene:TCM_037867 transcript:EOY30772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic type i signal peptidase 1 isoform 3 MVISLHSLPPLLSFQNPNPNSTYFSKPFKDTHVTSIAFFKPLRTPNLTILNLHQTSKSLFLSHSNPTFPFKIPNCLGFKIENATTSSLRNRFQRLSCYGVKGSGEETKVVLDSGDGGEGGDGGGDGDDGEVKKKLPEWLNITSDDAKTVLAAVAISLAFRSFVAEPRYIPSLSMYPTFDAGDRIVAEKVSYYFRKPCANDIVIFKSPPVLQEVGYTDEDVFVKRIVAKEGDIVEVHNGKLIVNGVVRDEDFINEAPSYEMTHSCCSEYRRTQSL >EOY30773 pep chromosome:Theobroma_cacao_20110822:9:5737553:5742204:-1 gene:TCM_037867 transcript:EOY30773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic type i signal peptidase 1 isoform 3 MVISLHSLPPLLSFQNPNPNSTYFSKPFKDTHVTSIAFFKPLRTPNLTILNLHQTSKSLFLSHSNPTFPFKIPNCLGFKIENATTSSLRNRFQRLSCYGVKGSGEETKVVLDSGDGGEGGDGGGDGDDGEVKKKLPEWLNITSDDAKTVLAAVAISLAFRSFVAEPRYIPSLSMYPTFDAGDRIVAEKVSYYFRKPCANDIVIFKSPPVLQEVGYTDEDVFVKRIVAKEGDIVERVPENSVFVMGDNRNNSYDSHVWGPLPAKNIIGRSVFRYWPPKRIGGTVLERGCAVAKQESVKASE >EOY29225 pep chromosome:Theobroma_cacao_20110822:9:412968:413355:1 gene:TCM_036826 transcript:EOY29225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionyl-tRNA synthetase MCLVFVCDEDERVIARQPAAGACPYCGGMVQAMDVESQWRFCFLPLYFKTKRKYYCSLCARRLVIQ >EOY33909 pep chromosome:Theobroma_cacao_20110822:9:39308478:39310551:-1 gene:TCM_041746 transcript:EOY33909 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein MKKEDTVKLISAEGMEFVIDKEAAMVSQTIRNMLTSPGSFAETEHGEVTFPEISAVILEKICQYFYWSLQYSRGKETEFYIEPELTLELMMAANYLHT >EOY34303 pep chromosome:Theobroma_cacao_20110822:9:40640009:40645099:-1 gene:TCM_042024 transcript:EOY34303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant L-ascorbate oxidase MGCKCRDSRAFVFWCIVLSSLIHVSLGSKSRHFKWEVEYMYSTPDCLEHVVMGINGQFPGPTIRAKAGDTIVVELTNKLHTEGVVIHWHGIRQHGTPWADGTASISQCAINPGETFVYRFKVDRPGTYFYHGHYGMQRSAGLYGSLIVDVADGKKEPFHYDGEFNLLLSDWWHRDVHEQEVSLSSKPFRWIGEPQSLLINGRGQFNCSLAAKFSSNASVSQCKFRGNEQCAPQILHVDPKKTYRLRLASTTALASLNLAVGGHKMVVVEADGNYVQPFAVDDLDIYSGESYSVLLRTDQLPSRNYWISVGVRGREPKTPQGLTILNYIPTSASKLPTSPPPVTPRWDDYNHSKAFTKSIFALMGSPQPPRTHDRRIILLNTQNRINGFTKWSINNISLALPPTPYLGSIKFGLNYAFDQRQPPDNYDNSYDIMNPPVNPNSTHGNGVYTIKFNTSVDVILQNANALANNVSEIHPWHLHGHDFWVLGYGEGKFKEEDEQNFNLKNPPFRNTAVIFPYGWTALRFVADNPGVWAFHCHIEPHLHMGMGVVFAEGVHRVGKIPRAALACGLTGNKLN >EOY32830 pep chromosome:Theobroma_cacao_20110822:9:33973672:33975587:-1 gene:TCM_040856 transcript:EOY32830 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein MGCFHLGCFGEFDLVAQIWSYVSSYLYYNNPISHFSRLCDNVIKPYLKDYTSPKFKQFNGKISDARENAMKFVEILKVAGLDDDLKLKELSESLTEKPYTWYVSHTLGFVESWSQMCPMFEKFFSTQEKVTLVDLGKEYRKSRKDLMKYIQCFRERVLDIQESQCEKKLVKVCIEGMFNEYRLHV >EOY32574 pep chromosome:Theobroma_cacao_20110822:9:31557607:31563520:1 gene:TCM_040565 transcript:EOY32574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 4 isoform 1 MDSSISTSDTILEPHSDLEFESHEAAYTYYKEYAKSVGFGTAKLSSRRSRVSKEFIDAKFTCIRYGNKQQSDDAINPRPSPKIGCKASMHVKRRQDGKWYVYSFIKDHNHELLPAQAHFFRSHRNVDPLKNDVGRRRKNLAAVSKLFGAYQNIEFLEGYMRNQHDKGRSLVLEEGDAQVLLELFMNMQEENPKFFYAVDLNEEHRLRNVFWVDAKGMEDFSNFGDVVSFDISYFTNKYKIPLVLFIGVNHHIQPTLLGCALIADETVYTFLWLMQTWFIAMGERAPRVMLTDQNNAIKAAVAAVFPNTRHCFCLWHVLEKLPRHLEYLSLWHESLMLKFDKCIYRSWNEEQFEKRWWKMVDKFHLREMQWVQSLYEDRKQWVPTFMRDISFAGLSTALRSDSLSSSFDKYVHGETSLRAFIEQYRVILEDRYEEEAKADFNAWHETPELKSPSPFEKQLSIVYTHEIFKKFQAEVLGAAACHLKKENEDQASMTYSVKDFEDNQNYMVEWNESKSDIYCSCHSFEYKGYLCRHAIVVLQMSGIFSIPSKYILQRWTNAALSRRPMSQKLDEVQSKVRRYSDLCRRAIILSEEGSLSQESYNLALAAIKGARKQCASVNNSVENDARPNTSVIYAVSGVEGQNQCVNAPEEKDPDPRMANTIKTSRSVEAVLERQINENNPTRNGKVSLVGAANVGSQDGFHQMELSDMRPTPMHNVMPTQLHNMVPTMLTNVASTHFHNVAATHLHDNRLQR >EOY32573 pep chromosome:Theobroma_cacao_20110822:9:31557607:31563520:1 gene:TCM_040565 transcript:EOY32573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 4 isoform 1 MDSSISTSDTILEPHSDLEFESHEAAYTYYKEYAKSVGFGTAKLSSRRSRVSKEFIDAKFTCIRYGNKQQSDDAINPRPSPKIGCKASMHVKRRQDGKWYVYSFIKDHNHELLPAQAHFFRSHRNVDPLKNDVGRRRKNLAAVSKLFGAYQNIEFLEGYMRNQHDKGRSLVLEEGDAQVLLELFMNMQEENPKFFYAVDLNEEHRLRNVFWVDAKGMEDFSNFGDVVSFDISYFTNKYKIPLVLFIGVNHHIQPTLLGCALIADETVYTFLWLMQTWFIAMGERAPRVMLTDQNNAIKAAVAAVFPNTRHCFCLWHVLEKLPRHLEYLSLWHESLMLKFDKCIYRSWNEEQFEKRWWKMVDKFHLREMQWVQSLYEDRKQWVPTFMRDISFAGLSTALRSDSLSSSFDKYVHGETSLRAFIEQYRVILEDRYEEEAKADFNAWHETPELKSPSPFEKQLSIVYTHEIFKKFQAEVLGAAACHLKKENEDQASMTYSVKDFEDNQNYMVEWNESKSDIYCSCHSFEYKGYLCRHAIVVLQMSGIFSIPSKYILQRWTNAALSRRPMSQKLDEVQSKVRRYSDLCRRAIILSEEGSLSQESYNLALAAIKGARKQCASVNNSVENDARPNTSVIYAVSGVEGQNQCVNAPEEKDPDPRMANTIKTSRSVEAVLERQINENNPTRNGKQVSLVGAANVGSQDGFHQMELSDMRPTPMHNVMPTQLHNMVPTMLTNVASTHFHNVAATHLHDNRLQR >EOY32572 pep chromosome:Theobroma_cacao_20110822:9:31558628:31563362:1 gene:TCM_040565 transcript:EOY32572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Far1-related sequence 4 isoform 1 MDSSISTSDTILEPHSDLEFESHEAAYTYYKEYAKSVGFGTAKLSSRRSRVSKEFIDAKFTCIRYGNKQQSDDAINPRPSPKIGCKASMHVKRRQDGKWYVYSFIKDHNHELLPAQAHFFRSHRNVDPLKNDVGRRRKNLAAVSKLFGAYQNIEFLEGYMRNQHDKGRSLVLEEGDAQVLLELFMNMQEENPKFFYAVDLNEEHRLRNVFWVDAKGMEDFSNFGDVVSFDISYFTNKYKIPLVLFIGVNHHIQPTLLGCALIADETVYTFLWLMQTWFIAMGERAPRVMLTDQNNAIKAAVAAVFPNTRHCFCLWHVLEKLPRHLEYLSLWHESLMLKFDKCIYRSWNEEQFEKRWWKMVDKFHLREMQWVQSLYEDRKQWVPTFMRDISFAGLSTALRSDSLSSSFDKYVHGETSLRAFIEQYRVILEDRYEEEAKADFNAWHETPELKSPSPFEKQLSIVYTHEIFKKFQAEVLGAAACHLKKENEDQASMTYSVKDFEDNQNYMVEWNESKSDIYCSCHSFEYKGYLCRHAIVVLQMSGIFSIPSKYILQRWTNAALSRRPMSQKLDEVQSKVRRYSDLCRRAIILSEEGSLSQESYNLALAAIKGARKQCASVNNSVENDARPNTSVIYAVSGVEGQNQCVNAPEEKDPDPRMANTIKTSRSVEAVLERQINENNPTRNGKQVSLVGAANVGSQDGFHQMELSDMRPTPMHNVMPTQLHNMVPTMLTNVASTHFHNVAATHLHDNRLQR >EOY32425 pep chromosome:Theobroma_cacao_20110822:9:29041442:29076055:-1 gene:TCM_040336 transcript:EOY32425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 10 isoform 2 MSRVEELWERLVRAALRRERFGMRTIGQPVGGIASGIAGYVPSSLAKNRDIDAILRAADEIQDDDPNVARILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREVGTIDRSQDIARLQEFYKLYREKNNVDKLREEEMKLRESGVFSSNLGELEQKTLKRKKVFGTLRVLGMVLEQLTEEIPEELKRVIDSDAAMTEDLIAYNIIPLDAPTITDAIASFPEVRAAVSELKYFRGLPRLPADFSIPDTRSADLLDFLHYVFGFQKDNVSNQREHIVLLLANEQSRLGIPEETEPKLDEAAVQKVFLKSLKNYIEWCNYLCIQPVWSNLDAVSREKKLLFVSLYFLIWGEAANIRFLPECLCYIFHHMVREMDEILRQQMAQPANSCCSESGVSFLDQVITPLFEVVAAEAANNGNGRAPHSAWRNYDDFNEYFWSLHCFELSWPWRKSSSFFQKPKPRSKNPLKSGGGQHRGKTSFVEHRTFFHLYHSFHRLWIFLAMMFQGLTIIAFNDGHLNSKTLREVLSLGPTFVVMKFIESVLDVFMMYGAYSTTRRLAVSRILLRFVWFSVASVVISFLYVKALQEESKPNSDSVVFRLYLIVIGIYAGIQFFISFLMRIPACHRLTNQCDRWSLIRFIKWMRQERYYVGLGMYERTTDFIKYMVFWLIILSGKFSFAYFFQIKPLVKPTRTIVTMDAIQYSWHDFVSKNNHNALTVATLWAPVIAMYLLDIYLFYTVLSAVWGFLLGARDRLGEIRSLGAVQKLFEEFPAAFMKTLHPVRTSTSSTNQVVEKNKFDAARFSPVWNEIIKNLREEDYLTNLEMELLLMPKNTGSLPLVQWPLFLLASKIFLANNCAAERIIDSQDELWERISRDDHMKYAVQECYHALRFILTEILEAEGRMWVERIYEGIEASIEKKSIHVDFQLNKLQLVISRVTALLGILNQAEKPEHEKGAVKAVQDLYDVVRHDVLAINMREHYEQWNNISKARTEGRLFANLKWPRDPELKAQVKRLYSLLTIKDSASNVPKNLEAGRRLEFFTNSLFMDMPPPRPVHEMLSFSVFTPYYSEIVLYSMNELLKKNEDGISILFYLQKIYPDEWKNFLARIGRDENSAETELFDSPSDILELRFWASYRGQTLARTVRGMMYYRKALMLQTYLERDNSGDTEAALSRLDTTDTQGFELSPEARARADLKFTYVVTCQIYGKQKEEQKPEAADIALLMQRNEALRVAFIDVVEILKDGNVHTEYFSKLVKADINGKDKEIYAIKLPGNPKLGEGKPENQNHAIVFTRGNAIQTIDMNQDNYFEEALKMRNLLEEFHRDHGIRPPTILGVREHVFTGSVSSLASFMSNQESSFVTLGQRVLANPLKVRMHYGHPDVFDRVFHITRGGISKASRIINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGYYFCTMLTVLTVYFFLYGKAYLALSGVGETMQDRAQITDNTALETALNTQFLFQIGIFSAVPMILGFILEQGFLRAVVSFVTMQIQLCTVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKG >EOY32424 pep chromosome:Theobroma_cacao_20110822:9:29036896:29076210:-1 gene:TCM_040336 transcript:EOY32424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 10 isoform 2 MSRVEELWERLVRAALRRERFGMRTIGQPVGGIASGIAGYVPSSLAKNRDIDAILRAADEIQDDDPNVARILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREVGTIDRSQDIARLQEFYKLYREKNNVDKLREEEMKLRESGVFSSNLGELEQKTLKRKKVFGTLRVLGMVLEQLTEEIPEELKRVIDSDAAMTEDLIAYNIIPLDAPTITDAIASFPEVRAAVSELKYFRGLPRLPADFSIPDTRSADLLDFLHYVFGFQKDNVSNQREHIVLLLANEQSRLGIPEETEPKLDEAAVQKVFLKSLKNYIEWCNYLCIQPVWSNLDAVSREKKLLFVSLYFLIWGEAANIRFLPECLCYIFHHMVREMDEILRQQMAQPANSCCSESGVSFLDQVITPLFEVVAAEAANNGNGRAPHSAWRNYDDFNEYFWSLHCFELSWPWRKSSSFFQKPKPRSKNPLKSGGGQHRGKTSFVEHRTFFHLYHSFHRLWIFLAMMFQGLTIIAFNDGHLNSKTLREVLSLGPTFVVMKFIESVLDVFMMYGAYSTTRRLAVSRILLRFVWFSVASVVISFLYVKALQEESKPNSDSVVFRLYLIVIGIYAGIQFFISFLMRIPACHRLTNQCDRWSLIRFIKWMRQERYYVGLGMYERTTDFIKYMVFWLIILSGKFSFAYFFQIKPLVKPTRTIVTMDAIQYSWHDFVSKNNHNALTVATLWAPVIAMYLLDIYLFYTVLSAVWGFLLGARDRLGEIRSLGAVQKLFEEFPAAFMKTLHPVRTSTSSTNQVVEKNKFDAARFSPVWNEIIKNLREEDYLTNLEMELLLMPKNTGSLPLVQWPLFLLASKIFLANNCAAERIIDSQDELWERISRDDHMKYAVQECYHALRFILTEILEAEGRMWVERIYEGIEASIEKKSIHVDFQLNKLQLVISRVTALLGILNQAEKPEHEKGAVKAVQDLYDVVRHDVLAINMREHYEQWNNISKARTEGRLFANLKWPRDPELKAQVKRLYSLLTIKDSASNVPKNLEAGRRLEFFTNSLFMDMPPPRPVHEMLSFSVFTPYYSEIVLYSMNELLKKNEDGISILFYLQKIYPDEWKNFLARIGRDENSAETELFDSPSDILELRFWASYRGQTLARTVRGMMYYRKALMLQTYLERDNSGDTEAALSRLDTTDTQGFELSPEARARADLKFTYVVTCQIYGKQKEEQKPEAADIALLMQRNEALRVAFIDVVEILKDGNVHTEYFSKLVKADINGKDKEIYAIKLPGNPKLGEGKPENQNHAIVFTRGNAIQTIDMNQDNYFEEALKMRNLLEEFHRDHGIRPPTILGVREHVFTGSVSSLASFMSNQESSFVTLGQRVLANPLKVRMHYGHPDVFDRVFHITRGGISKASRIINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGYYFCTMLTVLTVYFFLYGKAYLALSGVGETMQDRAQITDNTALETALNTQFLFQIGIFSAVPMILGFILEQGFLRAVVSFVTMQIQLCTVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKGLEVVLLLVVYLAYGNNEGGALSYILLTVSSWYMALSWLFAPYLFNPSGFEWQKIVEDFRDWTNWLLYRGGIGVKGEESWEAWWDEEMAHIRTMRGRILETILSLRFFIFQYGIVYKLHLQKSNTSLTVYGLSWIVLAVLILLFKVFTFSQKISVNFQLLLRFIQGLSFLVAIAGLAAAVVFTDLTIPDIFASILAFVPTVWGILCIAAAWKPLVKKLGLWKSIRSIALLYDAGMGMLIFVPIAFFSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNTGL >EOY33572 pep chromosome:Theobroma_cacao_20110822:9:38117940:38121250:1 gene:TCM_041517 transcript:EOY33572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2C, putative isoform 1 MEFWGVEVKAGKPIKADPGANHVIHLSQATLGESKNKAESVTLHVNADGQKLVLGTLSHQNFPQLSFDLVFDQEFELSHNWKNGSVYFLGYKTFVPDEDMSSDEESSEDEELPVAAAENGKAKTDVKTSKANAGKPDAVKQAVKIEEPSNNKKTEGDDDESDSEDESGSDEEDDSEDEDESDEMSMDESSDDEDEETPKKVEASKKRPAEAATPVSAKKAKSAATPQKTDGKKGGHTATPHPSKQAGKSSAKSPKSGGQFSCGSCNKSFGSEGGRVLLWFW >EOY33573 pep chromosome:Theobroma_cacao_20110822:9:38117966:38121071:1 gene:TCM_041517 transcript:EOY33573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2C, putative isoform 1 MEFWGVEVKAGKPIKADPGANHVIHLSQATLGESKNKAESVTLHVNADGQKLVLGTLSHQNFPQLSFDLVFDQEFELSHNWKNGSVYFLGYKTFVPDEGDEFDMSSDEESSEDEELPVAAAENGKAKTDVKTSKANAGKPDAVKQAVKIEEPSNNKKTEGDDDESDSEDESGSDEEDDSEDEDESDEMSMDESSDDEDEETPKKVEASKKRPAEAATPVSAKKAKSAATPQKTDGKKGGHTATPHPSKQAGKSSAKSPKSGGQFSCGSCNKSFGSEGGLESHKKAKHGGK >EOY33575 pep chromosome:Theobroma_cacao_20110822:9:38118092:38120387:1 gene:TCM_041517 transcript:EOY33575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2C, putative isoform 1 MEFWGVEVKAGKPIKADPGANHVIHLSQATLGESKNKAESVTLHVNADGQKLVLGTLSHQNFPQLSFDLVFDQEFELSHNWKNGSVYFLGYKTFVPDEGDEFDMSSDEESSEDEELPVAAAENGKAKTDVKTSKANAGKPDAVKQAVKIEEPSNNKKTEGDDDESDSEDESGSDEEDDSEDEDESDEMSMDESSDDEDEETPKKVEASKKRPAEAATPVSAKKAKSAATPQKTGSSLISSHESLLPLFSVVIVNGYLFSMLF >EOY33574 pep chromosome:Theobroma_cacao_20110822:9:38117966:38121071:1 gene:TCM_041517 transcript:EOY33574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2C, putative isoform 1 MEFWGVEVKAGKPIKADPGANHVIHLSQATLGESKNKAESVTLHVNADGQKLVLGTLSHQNFPQLSFDLVFDQEFELSHNWKNGSVYFLGYKTFVPDEGYPFLNMSSDEESSEDEELPVAAAENGKAKTDVKTSKANAGKPDAVKQAVKIEEPSNNKKTEGDDDESDSEDESGSDEEDDSEDEDESDEMSMDESSDDEDEETPKKVEASKKRPAEAATPVSAKKAKSAATPQKTDGKKGGHTATPHPSKQAGKSSAKSPKSGGQFSCGSCNKSFGSEGGLESHKKAKHGGK >EOY29289 pep chromosome:Theobroma_cacao_20110822:9:654305:657677:-1 gene:TCM_036876 transcript:EOY29289 gene_biotype:protein_coding transcript_biotype:protein_coding description:EamA-like transporter family isoform 2 MGWRYNAGLGLIGTVVFIWVASAEITQRIFAEYKQPFALTYLGVSLMVVYIPIAVLKDWIWNLFDANLFRNLYEGSSVISTSIGPDISLKINDLPHSAEADLRSCLITDKDLSEREEGQPLNSSNEKDEPQLPEHGGGLSSWEIAKCSLYLTPIWFITEYLSNSALANTSVASTTVLTSTSGLFTLFFGALLGQDTINVAKVVAVFISMAGVAMTTVGKTWASDEMLSVSETRRHCITGDIFGLLSAISYGLFTVLLKKSAGSEGEKVDVQKFFGYIGLFTLLGLWWLVWPLNAVGIEPPFSFPHSASVGEVVLFNGIVGSVLSDYFWALSVVWTTPLVATLGMSLTIPIAMLADMVIHGRHFSAVYIFGCIQVFAGFVLANISDKFSGKPEL >EOY29290 pep chromosome:Theobroma_cacao_20110822:9:654427:657708:-1 gene:TCM_036876 transcript:EOY29290 gene_biotype:protein_coding transcript_biotype:protein_coding description:EamA-like transporter family isoform 2 MGWRYNAGLGLIGTVVFIWVASAEITQRIFAEYKQPFALTYLGVSLMVVYIPIAVLKDWIWNLFDANLFRNLYEGSSVISTSIGPDISLKINDLPHSAEADLRSCLITDKDLSEREEGQPLNSSNEKDEPQLPEHGGGLSSWEIAKCSLYLTPIWFITEDTINVAKVVAVFISMAGVAMTTVGKTWASDEMLSVSETRRHCITGDIFGLLSAISYGLFTVLLKKSAGSEGEKVDVQKFFGYIGLFTLLGLWWLVWPLNAVGIEPPFSFPHSASVGEVVLFNGIVGSVLSDYFWALSVVWTTPLVATLGMSLTIPIAMLADMVIHGRHFSAVYIFGCIQVFAGFVLANISDKFSGKPEL >EOY33678 pep chromosome:Theobroma_cacao_20110822:9:38559008:38559602:-1 gene:TCM_041584 transcript:EOY33678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MAPKAEKKPAEKKPAEEKKAEKAPAAEKKPRAEKKLPKEAGDKKKKRAKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >EOY31210 pep chromosome:Theobroma_cacao_20110822:9:7555147:7560917:-1 gene:TCM_038179 transcript:EOY31210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase isoform 2 MSSKISGQVSPQPCPHILDFRSRNGSKPFRALQDCIRVKPPGGGAAIRREPSEVPRCGTCEESSRPRLYACVACAAVFCHAPPLHSHASSHALSIPGHEIAVDVDRAELFCCACRDQVYDRDFDAAVVLAQTLTATATTSTSGSTGMQCHIAGSQPENLRKRRRVDYRPWAPDSREHVIIGNHSIPLNDTTNASSISSTELPWGLRGLNNLGNTCFMNSVLQALLHTPPLRNYFLSDRHNRYYCQQKNGALNGTKNSRLCLACDMDAMFSAVFSGDRTPYSPAKFLYSWWQHAANLASYEQQDAHEFFISMLDGIHEKVEKDKRKSQSPGSGDCCIAHRVFSGILRSDVMCMACGFTSTTYDPCVDISLDLEPNQGGSGKSSSTKSHNSFNVEADFVGSSQNCGISTLKGCLERFTRAEKLGSDQKFFCQKCQVRQESLKQMSIRKLPLVSCFHIKRFEHSSIRKMSRKVDRYLQFPFSLDMAPYLSSSILRSRFGNRIFPFDADEQDASNELSSEFELFAVVTHSGRLDAGHYVTYLRLSNLWYKCDDAWITRVDENIVRAAQGYMMFYVQKMLYYKASEKQAASGYDVQR >EOY31209 pep chromosome:Theobroma_cacao_20110822:9:7555358:7561013:-1 gene:TCM_038179 transcript:EOY31209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase isoform 2 MSSKISGQVSPQPCPHILDFRSRNGSKPFRALQDCIRVKPPGGGAAIRREPSEVPRCGTCEESSRPRLYACVACAAVFCHAPPLHSHASSHALSIPGHEIAVDVDRAELFCCACRDQVYDRDFDAAVVLAQTLTATATTSTSGSTGMQCHIAGSQPENLRKRRRVDYRPWAPDSREHVIIGNHSIPLNDTTNASSISSTELPWGLRGLNNLGNTCFMNSVLQALLHTPPLRNYFLSDRHNRYYCQQKNGALNGTKNSRLCLACDMDAMFSAVFSGDRTPYSPAKFLYSWWQHAANLASYEQQDAHEFFISMLDGIHEKVEKDKRKSQSPGLEFRDPDSFELDEVWASYLQGSGDCCIAHRVFSGILRSDVMCMACGFTSTTYDPCVDISLDLEPNQGGSGKSSSTKSHNSFNVEADFVGSSQNCGISTLKGCLERFTRAEKLGSDQKFFCQKCQVRQESLKQMSIRKLPLVSCFHIKRFEHSSIRKMSRKVDRYLQFPFSLDMAPYLSSSILRSRFGNRIFPFDADEQDASNELSSEFELFAVVTHSGRLDAGHYVTYLRLSNLWYKCDDAWITRVDENIVRAAQGYMMFYVQKMLYYKASEKQAASGYDIGVSSEPKFLRHSNIQENQFYKDHLWHVES >EOY31546 pep chromosome:Theobroma_cacao_20110822:9:9548964:9549911:-1 gene:TCM_038474 transcript:EOY31546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASKRFLYSIIFQCFLYAIVFLLIHRPQTSINETNTSQHVSTESDGLSWDQLYSNFKPRPDSPKVVIQVDKITKALWRTGFKLMALILDRNLPSLIPYADSDSGFRTRNDGIYEKNNNTITLYNGSKITIFAPPDEAISFKEWERTRYHYQIVAMKVDSGDFSDCLRLPSFSRHGGTGMLMADYADEIPMINLVRITHWNIYNDGNVIVHGVEENFNPLVALDMLFFTCKRG >EOY30197 pep chromosome:Theobroma_cacao_20110822:9:3739226:3747535:1 gene:TCM_037484 transcript:EOY30197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein / auxin-responsive factor AUX/IAA-related isoform 2 MKAPPNGFLANSAEGERKSINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKETDFIPSYPNLPSKLICMLHNVTLHADPETDEVYAQMTLQPVNKYDKEALLASDMGLKQSRQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDNTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKSQLLLGIKRANRQQPALSSSVISSDSMHIGILAAAAHAAANNSPFTIFYNPRASPSEFVIPLAKYNKAMYTQVSLGMRFRMMFETEESGVRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTAGERPSRVSIWEIEPVVTPFYICPPPFFRPRFPKQPGMPDDESDIENAFKRAMPWLGDDFGMKDAPSSIFPGLSLVQWMSMQQNNQFPAAQSGCFPSMVSSNPLHNNLSTDDPSKLLNFQAPVSPASNMPFNKANANQVNQLPQAPMTWPQQQQLQQLLQTPLSQHQQQQQQTQQQLQRQQPQQPQQPQQQPQQHLLHQQQPQSQPQQQQQQQQQQQQQQQRQQPQLQQQLQQQAFLPAQVNNGIIAPTQISNQNLHQPAVYSQLQQQQLLTGNSQSTQAILSANKTSYPLTSLPQDTQIQQQMEQQTNLIQRQQQQTQLQQQQTQLQQQQTQLQQSPLQLLQQSLSQRTQQQPQIQQLSPQGLSDQQLQLQLLQKLQQQQQQQQQQQSSQQLLSPAGSLLQPPMVQQQQTHQQNQPLQQLPLSQSQPQPLGSNGFSTSTLMQPQQLSMNQPQSQNKPLVAMRTHSGLTDGDAPSCSTSPSTNNCQVSPSNFLNRSQQVPSILVTDPVVEPASTLVQELQNKSDIRIKHELPTSKGPDQSKYKSTVTDQLEASSSGTSYCLDAGTIQHNFSLPPFLEGDVQSHPRNNLPFTANIDGLAPDTLLSRGYDSQKDLQNLLSNYGGTPRDIDTELSTAAISSQSFGVPNIPFKPGCSNDVAINDTGVLNGGLWASQTQRMRTYTKVQKRGSVGRSIDVTRYKGYDELRHDLARMFGIEGQLEDPQSSDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGDLGNVAVPNQACSGTDSGNAWRGHYDDTSAASFNR >EOY30198 pep chromosome:Theobroma_cacao_20110822:9:3739226:3747535:1 gene:TCM_037484 transcript:EOY30198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein / auxin-responsive factor AUX/IAA-related isoform 2 MKAPPNGFLANSAEGERKSINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKETDFIPSYPNLPSKLICMLHNVTLHADPETDEVYAQMTLQPVNKYDKEALLASDMGLKQSRQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDNTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKSQLLLGIKRANRQQPALSSSVISSDSMHIGILAAAAHAAANNSPFTIFYNPRASPSEFVIPLAKYNKAMYTQVSLGMRFRMMFETEESGVRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTAGERPSRVSIWEIEPVVTPFYICPPPFFRPRFPKQPGMPDDESDIENAFKRAMPWLGDDFGMKDAPSSIFPGLSLVQWMSMQQNNQFPAAQSGCFPSMVSSNPLHNNLSTDDPSKLLNFQAPVSPASNMPFNKANANQVNQLPQAPMTWPQQQQLQQLLQTPLSQHQQQQQQTQQQLQRQQPQQPQQPQQQPQQHLLHQQQPQSQPQQQQQQQQQQQQQQQRQQPQLQQQLQQQAFLPAQVNNGIIAPTQISNQNLHQPAVYSQLQQQQLLTGNSQSTQAILSANKTSYPLTSLPQDTQIQQQMEQQTNLIQRQQQQTQLQQQQTQLQQQQTQLQQSPLQLLQQSLSQRTQQQPQIQQLSPQGLSDQQLQLQLLQKLQQQQQQQQQQQSSQQLLSPAGSLLQPPMVQQQQTHQQNQPLQQLPLSQSQPQPLGSNGFSTSTLMQPQQLSMNQPQSQNKPLVAMRTHSGLTDGDAPSCSTSPSTNNCQVSPSNFLNRSQQVPSILVTDPVVEPASTLVQELQNKSDIRIKHELPTSKGPDQSKYKSTVTDQLEASSSGTSYCLDAGTIQHNFSLPPFLEGDVQSHPRNNLPFTANIDGLAPDTLLSRGYDSQKDLQNLLSNYGGTPRDIDTELSTAAISSQSFGVPNIPFKPGCSNDVAINDTGVLNGGLWASQTQRMRTYTKKVQKRGSVGRSIDVTRYKGYDELRHDLARMFGIEGQLEDPQSSDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGDLGNVAVPNQACSGTDSGNAWRGHYDDTSAASFNR >EOY31093 pep chromosome:Theobroma_cacao_20110822:9:6974551:6976094:1 gene:TCM_038100 transcript:EOY31093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MLLRSASTPVLNSWGPHLKEPSPEPEFSHQISRTRSISFTVSCSSSISEGSSDDSSRRMTRALSETDLRDMVVPKMKTVKNNNGILNGICVEEEEEVEKEEAGFEWWRTASFGVEEGCGIGGGGGKICGGGGGGGAGGSAGGNNEWGYSDSNSGNDSTDLYYQKMIEANPGNSLLLSNYARFLKEVRGDFVKAEEYCGRAILANPNDGNVLSMYADLIWQTHKDCSRAETYFDQAVKAAPDDCFVLASYARFLWDAEEEEEEEEEAGESLSKVSVQSSFNGATVTPPPFAAAS >EOY34326 pep chromosome:Theobroma_cacao_20110822:9:40690714:40702154:1 gene:TCM_042035 transcript:EOY34326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc induced facilitator-like 2 MEESTTSLLEREVEYYENCPGCKTDRLKQEQTGVPYKHLSFIWIVSLCTALPISSLFPFVYFMIRDFHIAKREEDIGFYAGFVGSSFMVGRALTSLFWGVVADRYGRKPIILMGIFSVVVFNTLFGLSMSFWMALSMRFLLGCFNSLLGTIRAYASEVCREEYRALALSIVSTSRGIGLIIGPAIGGFFAQPAEKYPNVFTESSIFGRFPYFLPCLIISVYSVGVLIACRWLPETLHRHGGKGNQKHDPYDMSEPCLNESGRKNNIVELEDRQTHKLNLLKNWPLMSTIIVYCVFSLQEMAYSEIFSLWAVSDKKYGGLSFSSQEVGEVLAISGFGLLLFQLLLYPPVEKILGPLMVTRLSAAISIPLLSCYPYIAMLSGVVLHLVINCASILRNTLSVSLVTGLFILQNNAVPQSQRGAANGISITAMSVFKAFGPAGGGALFSWAQKRQVTNFLPGDQMVFFVLNLVQVVGLMLTFKPFLTEPPLPISSLFPFVYFMIRDFHIAKREEDIGFYAGFIGSSFMVGRALTSLFWGVVADQYGRKPIILMGIFSVVVFNTLFGLSTSFWMALSMRFLLGCFNSLIGTARAYASEVCREEYQALALSVVSTSWGIGLIIGPAIGGFFAQPAEKYPNIFAESSIFGRFPYFLPCLIISVYSIGVLIACRWLPETLHRHGGKGNQKHDPYDMSEPSLNESGQKNNIVELEERQTHKPNLLRNWPLMSTIIVYCVFSLQEMAYSEIFSLWAVSDKKYGGLSFSSQDVGEVLAISGFGLLLFQLLLYPPVEKILGPLMVTRLSAAISIPLLSCYPYIAMLSAVVLHLVINCASILRNALSVSLVTGLFILQNNAVPQSQRGAANGISITAMSVFKAFGPAGGGALFSWAQKRQVATFLPGDQMVFFVLNLVQVVGLMLTFKPFLAEPRS >EOY31716 pep chromosome:Theobroma_cacao_20110822:9:13083143:13085090:1 gene:TCM_038812 transcript:EOY31716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine dumper 4 MAAREPFNVTAKTPSGVTTPHSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLENGEGGQGERDLEAGESKGDETQKGRAPVMEQKFLVVMAGEVNPTFLATPISSSKSSSFADKSEKSCCSEKGEKLEGGEKQDSAGDDQMGSFRKRELKVLLLELFEVGGCRSVYQLACLIGIFAKVPFAKSRKLPNFDRREFDKLRLSPEKANVRRKARVRLRSLVSVQVLDAAG >EOY31633 pep chromosome:Theobroma_cacao_20110822:9:10693199:10700931:1 gene:TCM_038609 transcript:EOY31633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MFTFFLSVFIYRKKWVESDSKVKELQKSLNSALEKCAAERQGRIRAQQALRKAVVAQPKCENSEMTSYPMAPIGIIQSCFSTRNGTPRQPLLVPLARACLVFDSARVPPASLEGLEEYSHCWIIYVFHLNTDLEKLWKHPSKSKFKAKVRVPRLKGGRMGVFATRSPHRPCPIGLTVAKVEAVQGNMLLLSGVDLVDGTPVLDIKPYVPYCDSIEGAVIPNWVMVDSMLSVASVSFSDDFPSSLLDCWKAAAKNSLYSSPDELKSLVKQVLSWDIRSLSQRTRPHDTLIKIGNGDTSDNTSDMNDFEDGEASGHGSELAPSGEIIYHLILDGMDFSYKIDCNGNVIVEKVDLSSRIPFGNQKRCNYLMWKDKLM >EOY31632 pep chromosome:Theobroma_cacao_20110822:9:10692830:10700582:1 gene:TCM_038609 transcript:EOY31632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASSGCSILTLALAAVFSASSAISFFIYRKKWVESDSKVKELQKSLNSALEKCAAERQGRIRAQQALRKAVVAQPKCENSEMTSYPMAPIGIIQSCFSTRNGTPRQPLLVPLARACLVFDSARVPPASLEGLEEYSHCWIIYVFHLNTDLEKLWKHPSKSKFKAKVRVPRLKGGRMGVFATRSPHRPCPIGLTVAKVEAVQGNMLLLSGVDLVDGTPVLDIKPYVPYCDSIEGAVIPNWVMVDSMLSVASVSFSDDFPSSLLDCWKAAAKNSLYSSPDELKSLVKQVLSWDIRSLSQRTRPHDTLIKIGNGDTSDNTSDMNDFEDGEASGHGSELAPSGEIIYHLILDGMDFSYKIDCNGNVIVEKVDLSSRIPFGNQKRCNYLMWKDKLM >EOY31634 pep chromosome:Theobroma_cacao_20110822:9:10692830:10701006:1 gene:TCM_038609 transcript:EOY31634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MASSGCSILTLALAAVFSASSAISFFIYRKKWVESDSKVKELQKSLNSALEKCAAERQGRIRAQQALRKAVVAQPKCENSEMTSYPMAPIGIIQSCFSTRNGTPRQPLLVPLARACLVFDSARVPPASLEGLEEYSHCWIIYVFHLNTDLEKLWKHPSKSKFKAKVRVPRLKGGRMGVFATRSPHRPCPIGLTVAKVEAVQGNMLLLSGVDLVDGTVDSMLSVASVSFSDDFPSSLLDCWKAAAKNSLYSSPDELKSLVKQVLSWDIRSLSQRTRPHDTLIKIGNGDTSDNTSDMNDFEDGEASGHGSELAPSGEIIYHLILDGMDFSYKIDCNGNVIVEKVDLSSRIPFGNQKRCNYLMWKDKLM >EOY32837 pep chromosome:Theobroma_cacao_20110822:9:34013823:34018052:1 gene:TCM_040860 transcript:EOY32837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MEAKIGKFFESVTNFFSASDPLPWCDADIVAGYEKEVAEAEKGSVELKNEYIMCLSWALVHSRRAEDVQRGIAMLEASSDSTTSPLKMREKLYLLAVGYFRSSDYPKSREIVEQCLKMEPDRRQAQSLKKAIENRITKDGVIGIGIAVTAAGLIAGGIAAALARKN >EOY34641 pep chromosome:Theobroma_cacao_20110822:9:41662476:41664859:-1 gene:TCM_042245 transcript:EOY34641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence associated gene 20, putative MRSREYVSQVHRKLHGCHLQISRIEKPRMTLNFSQEWRVSQLSNSRSIPYLPPQSILKIKHHPSFPFSGGLALLAEELANNQARLEGQEEEYSNERVVRALYDALNSRDVETVHRLLAADLEWWFHGPPSHQHLMRLLTGSTEPFIFVPLRVAAFGSLVIVEGYNKERDVSWVHAWTVTNGIITQVREYFNTSLTVARLSNSEGGPPSISSAPTVLANCQSIWQSKLCDDKAVPGLVLAL >EOY31731 pep chromosome:Theobroma_cacao_20110822:9:13406799:13410761:-1 gene:TCM_038845 transcript:EOY31731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKPKKAMVATTWSNSDSSSLEEEKKIGRRANFCLMENEKEIEDQCFEAQLKKRQPWYLDNGCSRHMTSNENLFAKLDRKKCGSVSFGDDSKGIIQGIRTIGNISQTQIKHVLFVKGLKHNLLSISQFCDREKTLVIEESIHIIFYETNAVQRKVVLDDDDANVIEKKMEKIEFG >EOY31797 pep chromosome:Theobroma_cacao_20110822:9:14099321:14100715:1 gene:TCM_038930 transcript:EOY31797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVDVRLFEFRGLFCSPTAYAFGCVYLSALTFITRSQAPPNPLASDHVNDLRGMEQPSDLDHFCLPILCPCPAVYNFALHSALCTPSPERKS >EOY31662 pep chromosome:Theobroma_cacao_20110822:9:11035608:11040232:1 gene:TCM_038650 transcript:EOY31662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRHCSHARVPRDQVTASCSYVQYMRCSEHRGTGQLLLRWCNCQKLLPQGSSLIFKVTTSILLNHMAFL >EOY32443 pep chromosome:Theobroma_cacao_20110822:9:29672990:29676802:-1 gene:TCM_040376 transcript:EOY32443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDWLSPIRSHISLAESQVKVFNPQECPAFAFFAIFIPMAENFNHCNSISSVGLGLGNQTIGARLFLSPTDSNIGLNRVIRDGDLIDSNIVLGQIGLISQRVTEVKKTYVSPNRVQLVHSFVDSVPLQNRPAFPLSDVTVTEVVKTTRFLTSTYSVERIFASSPTVTDQGIFPTSGVFVQAQPAVRNQPNQGLNPLVSQNPSIYQEALNILYHDSIRGKFKRETVFNPTSAYISEQPRYNQGPSFDQMAAIFQNPNNPIKSNYNTNQERSPSYVNPAVRVPSSRVRVPSPYENQPRFHGSTFEEISNENDYDYPLGYDGRTHSLRDYPEGVYGCPKCFVAFHTSQTFAAHIQAHYRHESKEERRRRMAVKCRRKDLRLVRSRHGLTAVPAESFKGTAKGKKNGKSKIENLEEAVYQGLVLDTPGAPAMKGPLHGVIIKQEPI >EOY30476 pep chromosome:Theobroma_cacao_20110822:9:4733106:4734168:-1 gene:TCM_037674 transcript:EOY30476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGLGSLCKPVNSFSFAGKVGIRRSVSNIACSVKKKGAYYMVMKETKKTCTIEQLFVFFNCNLVPPIQRHRWFSRGLQPHFILHPLLGFQGALNIPISVLEILLWF >EOY32869 pep chromosome:Theobroma_cacao_20110822:9:34144039:34153686:1 gene:TCM_040890 transcript:EOY32869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEICWLLSKLLWLDANTNLVARGKFARICVEVDLAKPLIPRIKIGDLIQKVKYEAINTVCFRSGVVAHRVDNYLLENIGVVSECLSDGITVAKERGLMVTRTTKDELRRFLTTVNPQWVVPSDFNNISRCEEKSGRSRFCVNRSQYFMDRWDACSLLDIEARGGFSTCVSVVSPPIKARELHPMTSSLSISKISTLDIKCGVIMKIDLHKAYDSVDWEFLRQVLIDFNFPFNHHQITELTVTTVVDFDWLLACNRPVLCPTHNHLMSWDTMCLLKAHSGLGLHLARDSNLFLLIKVGWRLEINLSSLSCEILQVLHLFRDCPYVRKVEKNKWIFHHLDLCPNNLWNKPQHLVREIRHAMERKQSSNMVAKWVKWNPSKLNCFIFNIDDAYKSISRCAFVGG >EOY31851 pep chromosome:Theobroma_cacao_20110822:9:16500056:16506722:-1 gene:TCM_039154 transcript:EOY31851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRESMVSMNEKSQVNACLTSKEVDIGGTLVQFDVKEKPKIFLGDCRAVEAMTIDGVSDDEVEDMIKEIEPCAARTTSIVRQKINKGRVEGLDTRTDQPLYSSDYPIPTTVPVLLYRGFFI >EOY29513 pep chromosome:Theobroma_cacao_20110822:9:1419215:1424257:1 gene:TCM_037026 transcript:EOY29513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase, putative MKTTINGSTMVRPARDTPNRRLWNSNLDLVISRYHVTSVCFYKSDASSNFFDTQLLKESLSNILVPFYPMAGRLGYDENGRLEIVCNAEGVSFIEAETATTIDDLGDFAPRSKLGQLVPTVDYSGDISSFPLVLLQVTSFKHGGVCLGAAFQHTLVDARSVIYFMKSWSNITRGLPINVTPFIDRTLLRARVPPAPAFHHVEYDPSPPLNTSISTPNCQAGPKPSSTSIFKITADQLKTLESKATMNGNTTRANRTTYHVLTAHLWRCVCKARGLSDDQVTKLYIPIDGRSKLHPPIPPGYLGNVIFIAATTALSGVLQSEPFADTIQRINRTVKRMDDKYMRSALEYIESVPDMETLVRGAHTFQSPNLNINGWMRLPVYAADFGWGRPMHVGLANVIDEGQIYIIPSPVDDGSLSLVRLSFQEDLVKTGNLLVSIITGRSLEINHLSVWRLLLFTAFTLGMGTPKKPGVLHSFVLFRALLSLLEICQGSPRASPLNYQAFNKDHNGSRIPCSPQKPENCLTSAPPAEKYRRGCNKINGCRS >EOY31416 pep chromosome:Theobroma_cacao_20110822:9:8686526:8690185:1 gene:TCM_038357 transcript:EOY31416 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein, putative isoform 2 MLHKPPTPPLSYNLLSLGSLKLNKNQRGKVFPLPSIAMTAIFLLLAIFLHLFTTTTALGVNYGMAADNLPSPSVVANFIKTQTIFDSVKMFDANPEVLRAFANTGISVTVTVGNGDIPALTNTVVARRWVAQHISPFYPQTKIKYICAGSEVLFSNITDWINNLVPAMRSLHYALVKAGIQDIKVTTSHALNIFRRETLPSLTRFMVGYDLSFFAPILQFHRRTKSPFMVNPYPYFSPDLSRRLNYALFKPNRGVYDKYTGKTYTNMFDALMDSTYSAMKALGYGDVEIVIGETGWPTQGDSSSPFATMDNSISYNGHVIKEILSGKGTPLMPNRRFETYMFALFNENQKPGPLAEKYWGLFKPDLSPIYNVGLLRHGQSVPTPTNPSPSTPAPSGKSYCVPQKEATYAQLQSNLDYACGQGIDCTPIQPGGLCYEPNTIQSHAAFAMNSYYRTKGQSYLSCDFAGTGQITAVDPSYINCHYL >EOY31417 pep chromosome:Theobroma_cacao_20110822:9:8686397:8689730:1 gene:TCM_038357 transcript:EOY31417 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein, putative isoform 2 MLHKPPTPPLSYNLLSLGSLKLNKNQRGKVFPLPSIAMTAIFLLLAIFLHLFTTTTALGVNYGMAADNLPSPSVVANFIKTQTIFDSVKMFDANPEVLRAFANTGISVTVTVGNGDIPALTNTVVARRWVAQHISPFYPQTKIKYICAGSEVLFSNITDWINNLVPAMRSLHYALVKAGIQDIKVTTSHALNIFRRETLPSLTRFMVGYDLSFFAPILQFHRRTKSPFMVNPYPYFSPDLSRRLNYALFKPNRGVYDKYTGKTYTNMFDALMDSTYSAMKALGYGDVEIVIGETGWPTQGDSSSPFATMDNSISYNGHVIKEILSGKGTPLMPNRRFETYMFALFNENQKPGPLAEKYWGLFKPDLSPIYNVGLLRHGQSVPTPTNPSPSTPAPSGKSYCVPQKEATYAQLQSNLDYACGQGIDCTPIQPGGLCYEPNTIQSHAAFAMNSYYRTKGQSY >EOY33817 pep chromosome:Theobroma_cacao_20110822:9:39079934:39095178:1 gene:TCM_041685 transcript:EOY33817 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein isoform 4 MYVVKVEALVVQIVDTIGSLARLEQEVASQDIHTASKVPAKQAPRSAKCTVALRKLYFQLHSKPAKKLSNRNQKPNRHTKRSIPGTSRYRLMKPIQAANGFSFRVGFSGHSGHLRVEPLYTEERDNPIKTLPDFVLPPAFPRETPESIKEHIKEKYLLPRLDDEAFSPEKAGRQWDFDWFERVKIPLEPSLPRTVMVPVWELPFRRCKGGSVEGKWEPNSLQVDVSELIVGGQASGSFPHTVGGAAKDFVRGSINNRPFRPGGLEDQSVERILPDGACNGEWVSEVLNGGPVQTIPPGFKQGLNLGDLTAHPCLWNVYKDRTSLNNTSVEKVSELSVQFDDLFKKAWEEDVTEFEKDGHSTESDSVKSEAESNQADVLNSLDTGSSALDEILSVEAERLDEKSDGGGQQQKETIYWQAWAVSGGSEGIADHFYELVPDMAIEYPFELDTFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPKHINIILLSATVPNTIEFADWIGRTKQKKIRVTGTTKRPVPLEHCLFYSGELYKICESETFISLGLKAAKDAYKKKNSNAISGGTASYTGSSAVHDGARGQKREISNRGKQNKHSGPQNLGHYSGTGWGNQGSGGGQNSWGSRRSAWLMLIDKLSKQSLLPVVIFGFSKNQCDKSADSISGTDLTSSSEKSEIRVFCDKAFSRLKGSDRNLPQVVRVQNLLCRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEFRQLLPGEYTQMAGRAGRRGLDKTGTVIVMCRDEIPEERDLKHVITGTPTNLESQFRLTYIMILHLLRVEELKVEDMLKRSFSEFHAQKKLPEQQQRLLRKLAQPKKTIECIKGEPAIEEYYEMHAEAEEHYRQISNAVMQSPVAQQFLTVGRVVVVKSQSAQDHLLGVVVKSPSANNKQYIVQVLKPDVPLMTQTPSSSSNLQDKRSADFQQGYVLLPKAKRGLEEDYRLSTGPRKGSGIINIKLPHHGAAAGVSFEVRETDNTEFLCICNSKIKVEQVGILEYGSDTAFSNAVQQLLKLKSNGNKYPPALDPIKDLKLKDMDLVQKYYKWTHLLQKMSENKCHECIKLEEHIKLAREIKKHKDEVNALEFQLSNEALQQMPEFQGRIDVLKEIGCIDEDHVVQLKGRVACEMNSGEELICTECLFENQLDDLEPEEAVALMSAFVFQQKNTSEPSLTSKLSQAKKRLYDTAIRLGNLQAGFKLQITPEEYAKENLKFGLVEVVYEWAKGTPFAEICELTDVPEGLIVRTIVRLDETCREFKSAAAIMGNSSLYKKMESASNAIKRDIVFAASLYITGV >EOY33821 pep chromosome:Theobroma_cacao_20110822:9:39081341:39095832:1 gene:TCM_041685 transcript:EOY33821 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein isoform 4 MKPIQAANGFSFRVGFSGHSGHLRVEPLYTEERDNPIKTLPDFVLPPAFPRETPESIKEHIKEKYLLPRLDDEAFSPEKAGRQWDFDWFERVKIPLEPSLPRTVMVPVWELPFRRCKGGSVEGKWEPNSLQVDVSELIVGGQASGSFPHTVGGAAKDFVRGSINNRPFRPGGLEDQSVERILPDGACNGEWVSEVLNGGPVQTIPPGFKQGLNLGDLTAHPCLWNVYKDRTSLNNTSVEKVSELSVQFDDLFKKAWEEDVTEFEKDGHSTESDSVKSEAESNQADVLNSLDTGSSALDEILSVEAERLDEKSDGGGQQQKEAWAVSGGSEGIADHFYELVPDMAIEYPFELDTFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPKHINIILLSATVPNTIEFADWIGRTKQKKIRVTGTTKRPVPLEHCLFYSGELYKICESETFISLGLKAAKDAYKKKNSNAISGGTASYTGSSAVHDGARGQKREISNRGKQNKHSGPQNLGHYSGTGWGNQGSGGGQNSWGSRRSAWLMLIDKLSKQSLLPVVIFGFSKNQCDKSADSISGTDLTSSSEKSEIRVFCDKAFSRLKGSDRNLPQVVRVQNLLCRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEFRQLLPGEYTQMAGRAGRRGLDKTGTVIVMCRDEIPEERDLKHVITGTPTNLESQFRLTYIMILHLLRVEELKVEDMLKRSFSEFHAQKKLPEQQQRLLRKLAQPKKTIECIKGEPAIEEYYEMHAEAEEHYRQISNAVMQSPVAQQFLTVGRVVVVKSQSAQDHLLGVVVKSPSANNKQYIVQVLKPDVPLMTQTPSSSSNLQDKRSADFQQGYVLLPKAKRGLEEDYRLSTGPRKGSGIINIKLPHHGAAAGVSFEVRETDNTEFLCICNSKIKVEQVGILEYGSDTAFSNAVQQLLKLKSNGNKYPPALDPIKDLKLKDMDLVQKYYKWTHLLQKMSENKCHECIKLEEHIKLAREIKKHKDEVNALEFQLSNEALQQMPEFQGRIDVLKEIGCIDEDHVVQLKGRVACEMNSGEELICTECLFENQLDDLEPEEAVALMSAFVFQQKNTSEPSLTSKLSQAKKRLYDTAIRLGNLQAGFKLQITPEEYAKENLKFGLVEVVYEWAKGTPFAEICELTDVPEGLIVRTIVRLDETCREFKSAAAIMGNSSLYKKMESASNAIKRDIVFAASLYITGV >EOY33818 pep chromosome:Theobroma_cacao_20110822:9:39081341:39096036:1 gene:TCM_041685 transcript:EOY33818 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein isoform 4 MKPIQAANGFSFRVGFSGHSGHLRVEPLYTEERDNPIKTLPDFVLPPAFPRETPESIKEHIKEKYLLPRLDDEAFSPEKAGRQWDFDWFERVKIPLEPSLPRTVMVPVWELPFRRCKGGSVEGKWEPNSLQVDVSELIVGGQASGSFPHTVGGAAKDFVRGSINNRPFRPGGLEDQSVERILPDGACNGEWVSEVLNGGPVQTIPPGFKQGLNLGDLTAHPCLWNVYKDRTSLNNTSVEKSELSVQFDDLFKKAWEEDVTEFEKDGHSTESDSVKSEAESNQADVLNSLDTGSSALDEILSVEAERLDEKSDGGGQQQKEAWAVSGGSEGIADHFYELVPDMAIEYPFELDTFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPKHINIILLSATVPNTIEFADWIGRTKQKKIRVTGTTKRPVPLEHCLFYSGELYKICESETFISLGLKAAKDAYKKKNSNAISGGTASYTGSSAVHDGARGQKREISNRGKQNKHSGPQNLGHYSGTGWGNQGSGGGQNSWGSRRSAWLMLIDKLSKQSLLPVVIFGFSKNQCDKSADSISGTDLTSSSEKSEIRVFCDKAFSRLKGSDRNLPQVVRVQNLLCRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEFRQLLPGEYTQMAGRAGRRGLDKTGTVIVMCRDEIPEERDLKHVITGTPTNLESQFRLTYIMILHLLRVEELKVEDMLKRSFSEFHAQKKLPEQQQRLLRKLAQPKKTIECIKGEPAIEEYYEMHAEAEEHYRQISNAVMQSPVAQQFLTVGRVVVVKSQSAQDHLLGVVVKSPSANNKQYIVQVLKPDVPLMTQTPSSSSNLQDKRSADFQQGYVLLPKAKRGLEEDYRLSTGPRKGSGIINIKLPHHGAAAGVSFEVRETDNTEFLCICNSKIKVEQVGILEYGSDTAFSNAVQQLLKLKSNGNKYPPALDPIKDLKLKDMDLVQKYYKWTHLLQKMSENKCHECIKLEEHIKLAREIKKHKDEVNALEFQLSNEALQQMPEFQGRIDVLKEIGCIDEDHVVQLKGRVACEMNSGEELICTECLFENQLDDLEPEEAVALMSAFVFQQKNTSEPSLTSKLSQAKKRLYDTAIRLGNLQAGFKLQITPEEYAKENLKFGLVEVVYEWAKGTPFAEICELTDVPEGLIVRTIVRLDETCREFKSAAAIMGNSSLYKKMESASNAIKRDIVFAASLYITGV >EOY33820 pep chromosome:Theobroma_cacao_20110822:9:39081341:39097607:1 gene:TCM_041685 transcript:EOY33820 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein isoform 4 MKPIQAANGFSFRVGFSGHSGHLRVEPLYTEERDNPIKTLPDFVLPPAFPRETPESIKEHIKEKYLLPRLDDEAFSPEKAGRQWDFDWFERVKIPLEPSLPRTVMVPVWELPFRRCKGGSVEGKWEPNSLQVDVSELIVGGQASGSFPHTVGGAAKDFVRGSINNRPFRPGGLEDQSVERILPDGACNGEWVSEVLNGGPVQTIPPGFKQGLNLGDLTAHPCLWNVYKDRTSLNNTSVEKVSELSVQFDDLFKKAWEEDVTEFEKDGHSTESDSVKSEAESNQADVLNSLDTGSSALDEILSVEAERLDEKSDGGGQQQKEAWAVSGGSEGIADHFYELVPDMAIEYPFELDTFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPKHINIILLSATVPNTIEFADWIGRTKQKKIRVTGTTKRPVPLEHCLFYSGELYKICESETFISLGLKAAKDAYKKKNSNAISGGTASYTGSSAVHDGARGQKREISNRGKQNKHSGPQNLGHYSGTGWGNQGSGGGQNSWGSRRSAWLMLIDKLSKQSLLPVVIFGFSKNQCDKSADSISGTDLTSSSEKSEIRVFCDKAFSRLKGSDRNLPQVVRVQNLLCRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEFRQLLPGEYTQMAGRAGRRGLDKTGTVIVMCRDEIPEERDLKHVITGTPTNLESQFRLTYIMILHLLRVEELKVEDMLKRSFSEFHAQKKLPEQQQRLLRKLAQPKKTIECIKGEPAIEEYYEMHAEAEEHYRQISNAVMQSPVAQQFLTVGRVVVVKSQSAQDHLLGVVVKSPSANNKQYIVQVLKPDVPLMTQTPSSSSNLQDKRSADFQQGYVLLPKAKRGLEEDYRLSTGPRKGSGIINIKLPHHGAAAGVSFEVRETDNTEFLCICNSKIKVEQVGILEYGSDTAFSNAVQQLLKLKSNGNKYPPALDPIKDLKLKDMDLVQKYYKWTHLLQKMSENKCHECIKLEEHIKLAREIKKHKDEVNALEFQLSNEALQQMPEFQGRIDVLKEIGCIDEDHVVQLKGRVACEMNSGEELICTECLFENQLDDLEPEEAVALMSAFVFQQKNTSEPSLTSKLSQAKKRLYDTAIRLGNLQAGFKLQITPEEYAKENLKFGLVEVVYEWAKGTPFAEICELTDVPEGLIVRTIVRLDETCREFKSAAAIMGNSSLYKKMESASNAIKRDIVFAASLYITGV >EOY33819 pep chromosome:Theobroma_cacao_20110822:9:39081341:39096036:1 gene:TCM_041685 transcript:EOY33819 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein isoform 4 MKPIQAANGFSFRVGFSGHSGHLRVEPLYTEERDNPIKTLPDFVLPPAFPRETPESIKEHIKEKYLLPRLDDEAFSPEKAGRQWDFDWFERVKIPLEPSLPRTVMVPVWELPFRRCKGGSVEGKWEPNSLQVDVSELIVGGQASGSFPHTVGGAAKDFVRGSINNRPFRPGGLEDQSVERILPDGACNGEWVSEVLNGGPVQTIPPGFKQGLNLGDLTAHPCLWNVYKDRTSLNNTSVEKSELSVQFDDLFKKAWEEDVTEFEKDGHSTESDSVKSEAESNQADVLNSLDTGSSALDEILSVEAERLDEKSDGGGQQQKEAWAVSGGSEGIADHFYELVPDMAIEYPFELDTFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPKHINIILLSATVPNTIEFADWIGRTKQKKIRVTGTTKRPVPLEHCLFYSGELYKICESETFISLGLKAAKDAYKKKNSNAISGGTASYTGSSAVHDGARGQKREISNRGKQNKHSGPQNLGHYSGTGWGNQGSGGGQNSWGSRRSAWLMLIDKLSKQSLLPVVIFGFSKNQCDKSADSISGTDLTSSSEKSEIRVFCDKAFSRLKGSDRNLPQVVRVQNLLCRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEFRQLLPGEYTQMAGRAGRRGLDKTGTVIVMCRDEIPEERDLKHVITGTPTNLESQFRLTYIMILHLLRVEELKVEDMLKRSFSEFHAQKKLPEQQQRLLRKLAQPKKTIECIKGEPAIEEYYEMHAEAEEHYRQISNAVMQSPVAQQFLTVGRVVVVKSQSAQDHLLGVVVKSPSANNKQYIVQVLKPDVPLMTQTPSSSSNLQDKRSADFQQGYVLLPKAKRGLEEDYRLSTGPRKGSGIINIKLPHHGAAAGVSFEVRETDNTEFLCICNSKIKVEQVGILEYGSDTAFSNAVQQLLKLKSNGNKYPPALDPIKDLKLKDMDLVQKYYKWTHLLQKMSENKCHECIKLEEHIKLAREIKKHKDEVNALEFQLSNEALQQMPEFQGRIDVLKEIGCIDEDHVVQLKGRVACEMNSGEELICTECLFENQLDDLEPEEAVALMSAFVFQQKNTSEPSLTSKLSQAKKRLYDTAIRLGNLQAGFKLQITPEEYAKENLKFGLVEVVYEWAKGTPFAEICELTDVPEGLIVRTIVRLDETCREFKSAAAIMGNSSLYKKMESASNAIKRDIVFAASLYITGV >EOY33185 pep chromosome:Theobroma_cacao_20110822:9:35805306:35806728:-1 gene:TCM_041156 transcript:EOY33185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small heat-shock protein, putative MDSKPGSVFEDIDVYTEWVHEAALDTLIAYLPGFRKEQLKVQLASSGNLRISGQRPIGDNKVIRFNKEIPIPSNCDQNNIRAHFVNGMLHVKLLKLIIPAEKQEEAKPAAEVLKSIQSPAPQPQSPAHVPQKQKNGSEQVADQQAPPKAPMEKQSGDQKKDGVAKEADNVSQKAPDKEKGMKDEKDTAKEDAQKPMENEKKGSDQEEKATSSEKLETLGDSVQDAARKENIEPKKCDGADIYQLGINYKQVLDGLVKELKDPRKMMNMVLAVLLVVVLAVYLRNAIRWFGNY >EOY29347 pep chromosome:Theobroma_cacao_20110822:9:835651:837708:-1 gene:TCM_036914 transcript:EOY29347 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 25 MEERHVLFGKYEMGRLLGKGTFAKVYYGKELTSGESVAMKVISKDQVKKEGMMEQIKREISVMRLVRHPNIVELKEVMATKTKIFFVMEYVRGGELFAKVAKGKLKEDVARKYFQQLISAIDFCHSRGVSHRDLKPENLLLDENENLKISDFGLSALPEQLRNDGLLHTQCGTPAYVAPEVLRKKGYDGSKADIWSCGVILYVLLAGFLPFQDENLMKMYRRVFKAEFEFPPWFSTEAKRLISKLLVADPERRITIPAIMRVPWFRNGFTRPLAFSIEETMSDNIEDDDSASKTNKQSSPKFFNAFEFISSMSSGFDLSSLFENKRKSGTMFTSRCSASAIMAKIEGVAKGLNFKVGKVRDFKMRLQGSSEGRKGRLSLTAEVFEVAPEVAVVEFSKSSGDTLEYAKFCDDDVRPALKDIVWTWQGDSFNNGSNVKIEGEACENQVPQTA >EOY34423 pep chromosome:Theobroma_cacao_20110822:9:41042197:41045346:-1 gene:TCM_042104 transcript:EOY34423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSFFEERLVAMPDSVLDSPIAGVPSGREDLCANVQDLLPPPYDVREQEAVFSGEAEQNEGKMKQNTSEGSKNETKTTKAQGHYLEKNLTETRTDDKGKDENFFVLQARVDDSLLPENERRYVTLKGFMGRPLTLFLQGRAGRLGSGKARFQESPKGENG >EOY33518 pep chromosome:Theobroma_cacao_20110822:9:37927480:37933327:-1 gene:TCM_041481 transcript:EOY33518 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein isoform 3 MFLSCIRRKAGTVGVNKYLVHGLTCFTSPLLHSGDMSLKVEKRDADNDKPITRVLFCGPHFPASQNYTREYLEKYPYIQVDDVPLKDVPDHIGNYHLCVVKNMRLDSNVISRANQMKLIMQFGVGLEGVDIDAATKHGIKVARIPSDATGNAASCAEMAIYLMLGLLRKQNEMQISVKQKKLGEPVGETLLGQTVFIMGFGNIGIDLAKRLKPFGVKIIATKRSWASNLQVPVLKACPIQNGVVDDLVDKKGSHEDIYEFAHQADIVVCCLSLNKETVGIVNKSFISSMKKGALLVNIARGSLLDYEAVVHHLESGHLGGLGIDVAWTEPFDPDDPILKFKNIIITPHVAGVTEHSYRSMAKIVGDVALQLHAGTPLTGIELVN >EOY33520 pep chromosome:Theobroma_cacao_20110822:9:37928072:37933204:-1 gene:TCM_041481 transcript:EOY33520 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein isoform 3 MFLSCIRRKAGTVGVNKYLVHGLTCFTSPLLHRSFSGDMSLKVEKRDADNDKPITRVLFCGPHFPASQNYTREYLEKYPYIQVDDVPLKDVPDHIGNYHLCVVKNMRLDSNVISRANQMKLIMQFGVGLEGVDIDAATKHGIKVARIPSDATGNAASCAEMAIYLMLGLLRKQNEMQISVKQKKLGEPVGETLLGQTVFIMGFGNIGIDLAKRLKPFGVKIIATKRSWASNLQVPVLKACPIQNGVVDDLVDKKGSHEDIYEFAHQADIVVCCLSLNKETVGIVNKSFISSMKKGALLVNIARGSLLDYEAVVHHLESGHLGGLGIDVAWTEPFDPDDPILKFKNIIITPHVAGVTEHSYRSMAKIVGDVALQLHAGTPLTGIELVN >EOY33522 pep chromosome:Theobroma_cacao_20110822:9:37928028:37933330:-1 gene:TCM_041481 transcript:EOY33522 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein isoform 3 MRTTTNPSLVFSFVDHIFPRIPSDATGNAASCAEMAIYLMLGLLRKQNEMQISVKQKKLGEPVGETLLGQTVFIMGFGNIGIDLAKRLKPFGVKIIATKRSWASNLQVPVLKACPIQNGVVDDLVDKKGSHEDIYEFAHQADIVVCCLSLNKETVGIVNKSFISSMKKGALLVNIARGSLLDYEAVVHHLESGHLGGLGIDVAWTEPFDPDDPILKFKNIIITPHVAGVTEHSYRSMAKIVGDVALQLHAGTPLTGIELVN >EOY33519 pep chromosome:Theobroma_cacao_20110822:9:37928072:37932184:-1 gene:TCM_041481 transcript:EOY33519 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein isoform 3 MSLKVEKRDADNDKPITRVLFCGPHFPASQNYTREYLEKYPYIQVDDVPLKDVPDHIGNYHLCVVKNMRLDSNVISRANQMKLIMQFGVGLEGVDIDAATKHGIKVARIPSDATGNAASCAEMAIYLMLGLLRKQNEMQISVKQKKLGEPVGETLLGQTVFIMGFGNIGIDLAKRLKPFGVKIIATKRSWASNLQVPVLKACPIQNGVVDDLVDKKGSHEDIYEFAHQADIVVCCLSLNKETVGIVNKSFISSMKKGALLVNIARGSLLDYEAVVHHLESGHLGGLGIDVAWTEPFDPDDPILKFKNIIITPHVAGVTEHSYRSMAKIVGDVALQLHAGTPLTGIELVN >EOY33521 pep chromosome:Theobroma_cacao_20110822:9:37928117:37933329:-1 gene:TCM_041481 transcript:EOY33521 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein isoform 3 MSLKVEKRDADNDKPITRVLFCGPHFPASQNYTREYLEKYPYIQVDDVPLKDVPDHIGNYHLCVVKNMRLDSNVISRANQMKLIMQFGVGLEGVDIDAATKHGIKVARIPSDATGNAASCAEMAIYLMLGLLRKQNEMQISVKQKKLGEPVGETLLGQTVFIMGFGNIGIDLAKRLKPFGVKIIATKRSWASNLQVPVLKACPIQNGVVDDLVDKKGSHEDIYEFAHQADIVVCCLSLNKETGALLVNIARGSLLDYEAVVHHLESGHLGGLGIDVAWTEPFDPDDPILKFKNIIITPHVAGVTEHSYRSMAKIVGDVALQ >EOY29142 pep chromosome:Theobroma_cacao_20110822:9:212759:215700:-1 gene:TCM_036776 transcript:EOY29142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein, putative MGAYAVAVFLSVTLSVSFVLSHRTLSPTRDTISSSACHPSNQPHPRSLRSSQFTVLINGYSESRLPLLQSIATTYSASPLVYSVLVLWGNPSTPPLTLAQLAYNLSVSSTGSAAISLVPQSSSSLNARFLPRSSIRTRAVLVCDDDVEVDPKTVEFAFRMWRWNPERLIGIFVRSHDIDMTRKEWIYTVHPDKYSVVLTKFMMMKTEYLFKYSCEGGAPMREMKRMVDEMRNCEDILMNFVVAEETNAGPLMVEAARARDWGDPRNEGEDGDGGGIRVMREVGLSSRRAEHRKRRGHCINEFHRVLGRMPLRYSYAKLVSSVAEQGLCRKGANLVPCDH >EOY29658 pep chromosome:Theobroma_cacao_20110822:9:1973675:1974528:1 gene:TCM_037138 transcript:EOY29658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLGPNPQQCVIIFSVVVFFFLLLLLLFSMTLIPPLAFFLKLIFGRKIICERGEYYSLTLRSMNREIA >EOY29187 pep chromosome:Theobroma_cacao_20110822:9:309824:310792:-1 gene:TCM_036803 transcript:EOY29187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVASYPAKQFCRITVNLSRGSCNNLLEISDLLIKFLCNILNLSLEAEHKNQIYSCQKENDPTHHHKNYFY >EOY30714 pep chromosome:Theobroma_cacao_20110822:9:5513389:5519824:-1 gene:TCM_037828 transcript:EOY30714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase, putative isoform 1 MERIVGGKYKLGRKIGSGSFGEIFLATHIDTFEIVAVKMENNKTKHPQLLYEAKLYNILQGGSGIPSIKWSGVNGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHAKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFLREGYELDYIFDWTIIKYQQAQKTRSQPRLSPVTGGSSSHPLPVDVDHHQGFNATYSTDVTERIRSSNVSGPGVRMQFKSATGKNLISDATVDKNIFDSHTPSSSFAPAGTSKRNGQKLPTEAANSGHGNGNKIGPSSSWISSLQRISSAK >EOY30713 pep chromosome:Theobroma_cacao_20110822:9:5514529:5519824:-1 gene:TCM_037828 transcript:EOY30713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase, putative isoform 1 MERIVGGKYKLGRKIGSGSFGEIFLATHIDTFEIVAVKMENNKTKHPQLLYEAKLYNILQGGSGIPSIKWSGVNGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHAKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFLREGYELDYIFDWTIIKYQQAQKTRSQPRLSPVTGGSSSHPLPVDVDHHQAGFNATYSTDVTERIRSSNVSGPGVRMQFKSATGKNLISDATVDKNIFDSHTPSSSFAPAGTSKRNGQKLPTEAANSGHGNGNKIGPSSSWISSLQRISSAK >EOY31070 pep chromosome:Theobroma_cacao_20110822:9:6872504:6873322:1 gene:TCM_038082 transcript:EOY31070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTQSLTTNACQYIRLLLLSWLNQNHSITPKLKHIERVRETPCLYLTHHQLIIPVTSPTKLTQEVEKITMYYLDLLG >EOY31849 pep chromosome:Theobroma_cacao_20110822:9:16463808:16464902:1 gene:TCM_039150 transcript:EOY31849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPRCQNCQRIDYEIEIEELRYQVQELQEQLVRHDATQINNSSSNHEDRPTILAARVGLKDRLLHALDLNGGRVKIEVVDFHGSYM >EOY30380 pep chromosome:Theobroma_cacao_20110822:9:4384565:4393775:-1 gene:TCM_037607 transcript:EOY30380 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MKGNFILFSLRYCISNCCRMSVPHQHYHVIIVVSHGSTTSSHRCHVAFHIIMNKSPQVLILFLFSPKHLHSRNAMDRKEKLHIAMFPWLAYGHIMPFLEVSKFLAQKGHRISYISTPKNISRLPKLPAHLSSNISFIEISLPQVHGLPPGVESTAEIPIQKVPYLKKACDKLEVPLTEFLKTSHVNWIIHDFMPHWLPGVAIPFGINLVFFSIFNATLLAFFGPPSALIGDHRKRPEDFTVVPEWIDYPCNIAFKLHEMVSHQECMDDVSDSQRLGQVIQGCQFVILRSCTEFESDQIQLLKKLYQKPVVPVGLLPPSLPSNEEKSHESWEDIKKWLDRKGEKSVFYVALGSEVSLSQESMHELAFGIYKSNLPFIWVVRNRPLVEGQMGQDIIPQGFEERVSDRGLVLKDWAPQLRILAHSSVGGFLTHCGWSSIIEALKFGRPLILFSGASSDQGLNARLLHGKKVGLEIERNELDGSFTSDLVAQTIRQVMVEPEGEPVRANAWAMRDICNEELSENYLDEFTRFIEDFAPSTGEVLSY >EOY30981 pep chromosome:Theobroma_cacao_20110822:9:6553992:6556255:1 gene:TCM_038019 transcript:EOY30981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyol/monosaccharide transporter 5, putative MAFSNVQKHSDYCHLPDSAMAPLENNSSNEVPGPRKSRLNKYAFAAALLASTTSVLLGYDIGVMSGAIIYIKEYIHISTIQVEILVGSLNACSLIGSLLAGKTSDWIGRRYTIVLAAATFFIGAILMGLAPSFAFLMAGRVIAGIGVGYSLMIGPVYTTELSPATSRGFLSSLPELFITIGILIGYLSNYFLASLPDHINWRIMLGLAGFPAIAIGFGVVLMPESPRWLVMKGRYDEANKILGKTSDTEEEAELRLEEMKRAASNLGCENSSHFSGKGVWKELLLRPSRPLRRILVAAIAINFFMQASGNDAVVYYSPEVFKAAGIHNKKKLFGITVIMGLSKTFFVLVSALFLDRFGRRPLLMIGTIGMVVSLVGLGWGSKFLGEIDIKPTWAIVLSIIAVCADLSFFSIGLGPITWVYSSEIFPIKYRAQGSSIAVSVNRLVSGVVSMTFLTIAHKITFAGVFFILAGIMTVATIFFFFFLPETKGKTLEEIEALFEDKVSS >EOY31968 pep chromosome:Theobroma_cacao_20110822:9:18324705:18325693:-1 gene:TCM_039339 transcript:EOY31968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGHTSGLSWEGSVVDGKVLRDALKRRNRLKVPHGKLSYDNGKGFLAPFGGQRYHLNEWRQGHEPSSLEEFFNRKHAVARNVIEMCFGLLKIREMSFDPIKVDLGEYIETNIAVDDDFISTMDPTDVWGNLRMELANQMFNEWQASRQNDD >EOY29276 pep chromosome:Theobroma_cacao_20110822:9:618124:619435:-1 gene:TCM_036866 transcript:EOY29276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIATRGKTVDILKKKDIDDGKWFGQANGNGCNAMSPCGFHCHDTRQHVITTHVNRIKETMIESVGL >EOY34485 pep chromosome:Theobroma_cacao_20110822:9:41275809:41277767:1 gene:TCM_042151 transcript:EOY34485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase 32 isoform 2 QGFRNLWGPQHQRIDQGSLTIWLDKSSGSGFKSLRPYQSGYFGAAIKLQPGYTAGVITSFSNNEDHPGNHDEIDIEFLGTTPDKPYTLQTNVYIRGTGDGNIIGREMRFHLWFDPTQDYHNYAILWTPSEIMFFVDDVPIRRYPRKSDATFPTRPMWVYGSIWDASSWATENGKYKADYNYQPFIARYTNFKLSGCNADGPTSCRPPSASPSGSDGLSQQQASAMNWVQGNYLVYDYCHDSKRDHTQTPEC >EOY34484 pep chromosome:Theobroma_cacao_20110822:9:41275437:41277915:1 gene:TCM_042151 transcript:EOY34484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase 32 isoform 2 MVGPSIPLFIPTVLPHSSIHKPNLQNLSIFWSQSPNLQLSIQSFFVSLLVVCCFPMPSHFCVPLMAPIFSLFLAFLIISSGNAQGPPSPGYSPSSRVSSVDFDQGFRNLWGPQHQRIDQGSLTIWLDKSSGSGFKSLRPYQSGYFGAAIKLQPGYTAGVITSFYLSNNEDHPGNHDEIDIEFLGTTPDKPYTLQTNVYIRGTGDGNIIGREMRFHLWFDPTQDYHNYAILWTPSEIMFFVDDVPIRRYPRKSDATFPTRPMWVYGSIWDASSWATENGKYKADYNYQPFIARYTNFKLSGCNADGPTSCRPPSASPSGSDGLSQQQASAMNWVQGNYLVYDYCHDSKRDHTQTPEC >EOY30845 pep chromosome:Theobroma_cacao_20110822:9:6007061:6011819:1 gene:TCM_037917 transcript:EOY30845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein MKKKTHIQLHSSHLSLLLISLFIGFCSCEQHSISSHGGLTDQEVLYIKQRQLLYYRDEFGDRGERVTVDPSLVFENPRLRNAYIALQAWKQAILSDPFNLTGNWVGSEVCNYTGVFCAAAPDNKKIKTVAGIDLNHGDIAGYLPEELGLLTDLALFHINSNRFCGTVPHKFINLKILFELDLSNNRFAGKFPDVVLKLPKLKFLDLRFNEFEGTVPKELFDKDLDAIFINHNRFRFNIPDNFGNSPVSVIVLANNKFHGCVPSSLGNMSGLEEIILMNNGFRSCLPEEIGMLKNLTVFDVSFNELMGPLPDKIGEMVSLEQLNVAHNMLSGEIPASICKLPKLQNFTFSYNFFTGEPPVCLSLQDFDDRRNCLPARPLQRSAAQCKSFLSKPVDCSSFRCAPFVPSLPAPPPPSPPPVVLPSPSPPPPSPVFTPQSPPPPVYSPPPPVYSPPPPPPSPPPPPPVYSPPPPPPSPPPPIYSPPPPPPSPPPPSPPPPPPPVYSPPPPPPSPPPPSPPPPPPPPPPSPTSSPPYCVRSPPPPPPNSPPPPPPLFSPPPPVPYYHSSPPPPPPPHYSPPPPLHSPPPPPHSPPPPIYPYLSPPPPPPVYSPPPPVHSPPPPSPPPCIEPPPPPPPCVEYSPPPPSPPPPIHYKPPPSPSPPPPPVVTYSSPPPPPPPPPPVHYHSPPPTSPPPPVPCENPPPSPPPPPPIVYESPPPPAPVYEGPLPPVIGVSYASPPPPPFY >EOY33847 pep chromosome:Theobroma_cacao_20110822:9:39163979:39167408:-1 gene:TCM_041701 transcript:EOY33847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQYLSRQRNPKLVSMDNNNISLKAVVDKTNNRVVFVESDEKFVDILFSFLTMPMGTIVRLTRNRPPTASIGCLNNLYNSVEVLDNRYLRTEACMTMLLYPRNGAGAQCKNLKLAIDDGAPLRYFLCGRSDCSRSNYKLLSHYMNAICGCGQLMNCKRDLKEKESTKAVFDDQDGGVFVKGPIRMTISDELQVIPSSTPASHSLFSKLGIKDTTTTEERPFSMGVIQALKLLKCLLVSKTPLTEALLEYNPVLGLNNMEDVEQVSFRKLKGEETASNEDGKIYVKLMVSKSKNMVCYAEASENFVDLLFSFLTIPLGFLVKEMKEGSSKGCINHLYDSIQDLDAKQYLVSKETTAMLVSPKLAPGFRYDAQPLDIEEYMHQPYYLTWSQCQWFPEPTSIETLILSDETIASSVLTVMDPKSHYNDNSSGRGFLKGPAMFTITDDLIITPLSSVTGLSAVRKLKVPFSDVEERIVYVGKEEASRLMVASLVSESALTHAFLLKESKQGS >EOY29990 pep chromosome:Theobroma_cacao_20110822:9:2981740:2982377:-1 gene:TCM_037345 transcript:EOY29990 gene_biotype:protein_coding transcript_biotype:protein_coding description:17.6 kDa class II heat shock protein MDFRIMGLDSPLIHTLQHIMDLPDEMEKTTNVPSRTYVRDAKAMAATPADIKEYPNSYVFIVDMPGLKSGDIKVQVEDDNVLLISGERKREEEKEGAKYVRMERRVGKLMRKFVLPENANADAISALCQDGVLTVTVEKLPPPEPKKPKTIEVKIA >EOY32580 pep chromosome:Theobroma_cacao_20110822:9:31626752:31630539:1 gene:TCM_040570 transcript:EOY32580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFGMALYHQGRNVKMRLIKDFYFMHQPIRGKTHFWSDERIKGIIFWFVFARINALAINKVVTLNEFGRWENNVYINLRRDCLIENLMNELNCLIWGNVNMVELLTIGEAFLLFVVSNWKDKYDLVIESDSMNIVRWISKPCEASWRFQYIVMQIEKLKSKMNRWQLVHHLRSGNEISNNLAKEGVNRDRDFFMINPF >EOY33194 pep chromosome:Theobroma_cacao_20110822:9:35854582:35856810:1 gene:TCM_041160 transcript:EOY33194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein isoform 1 MYHDNSSINHNPFLTQPQPSTQVLTSRWTRLEDKLFEQALVMLPEGIPDRWQKIAERVPGKSAKEVKEHYEMLLYDVYEIDAGRIEIPSYADDSSLLSSSWDSDTQISFEASKPKQHGENERKKGTPWTEEEHKLFLIGLHKFGKGDWRSISRNVVVTRTPTQVASHAQKYFLRQSSVKKERKRSSIHDITTVDSNTMDAPVDQNWIPVLGGPVQQVPVRHQLPPGSHLPAQGGSLGYQNYSYPM >EOY33193 pep chromosome:Theobroma_cacao_20110822:9:35854390:35859373:1 gene:TCM_041160 transcript:EOY33193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein isoform 1 MYHDNSSINHNPFLTQPQPSTQVLTSRWTRLEDKLFEQALVMLPEGIPDRWQKIAERVPGKSAKEVKEHYEMLLYDVYEIDAGRIEIPSYADDSSLLSSSWDSDTQISFEASKPKQHGENERKKGTPWTEEEHKLFLIGLHKFGKGDWRSISRNVVVTRTPTQVASHAQKYFLRQSSVKKERKRSSIHDITTVDSNTMDAPVDQNWIPVLGGPVQQVPVRHQLPPGSHLPAQGGSLGYQNYSYPM >EOY33261 pep chromosome:Theobroma_cacao_20110822:9:36161488:36163097:-1 gene:TCM_041211 transcript:EOY33261 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ isoform 2 MFGRAPKKSDNTRYYEILGVSKNASQDDLKKAYKKTAFKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKDGMGATGAHDPFDIFSSFFGGSPFGGGSSRGRRQRRGEDVIHNMKVSLEDLYLGTSKKLSLSRNILCSKCNGKGSKSGASMQCPGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCNGEKVVQEKKVLEVIVEKGMQHGQKITFPGEADEVPDTITGDIVFVLQQKEHPKFKRKGEDLFVEHTLSLTEALCGFQFVLTHLDGRQLLIKSNSGEIVKPGKYLGIFTPVS >EOY33260 pep chromosome:Theobroma_cacao_20110822:9:36160808:36163369:-1 gene:TCM_041211 transcript:EOY33260 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ isoform 2 MFGRAPKKSDNTRYYEILGVSKNASQDDLKKAYKKTAFKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKDGMGATGAHDPFDIFSSFFGGSPFGGGSSRGRRQRRGEDVIHNMKVSLEDLYLGTSKKLSLSRNILCSKCNGKGSKSGASMQCPGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCNGEKVVQEKKVLEVIVEKGMQHGQKITFPGEADEVPDTITGDIVFVLQQKEHPKFKRKGEDLFVEHTLSLTEALCGFQFVLTHLDGRQLLIKSNSGEIVKPDSFKAINDEGMPLYQRPFMKGKLYIHFTVDFPDSLSPDQVQALEAILPPKPTSQLTDMELDECEETTLHDVNIEEEMRRKQQAAQETLDEEEMHGGAQRVQCAQQ >EOY33259 pep chromosome:Theobroma_cacao_20110822:9:36160746:36163659:-1 gene:TCM_041211 transcript:EOY33259 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ isoform 2 MFGRAPKKSDNTRYYEILGVSKNASQDDLKKAYKKTAFKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKDGMGATGAHDPFDIFSSFFGGSPFGGGSSRGRRQRRGEDVIHNMKVSLEDLYLGTSKKLSLSRNILCSKCNGKGSKSGASMQCPGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCNGEKVVQEKKVLEVIVEKGMQHGQKITFPGEADEVPDTITGDIVFVLQQKEHPKFKRKGEDLFVEHTLSLTEALCGFQFVLTHLDGRQLLIKSNSGEIVKPDSFKAINDEGMPLYQRPFMKGKLYIHFTVDFPDSLSPDQVQALEAILPPKPTSQLTDMELDECEETTLHDVNIEEEMRRKQQAAQETLDEEEMHGGAQRLIFIVVELGVYGLLQDMSCMGGG >EOY30747 pep chromosome:Theobroma_cacao_20110822:9:5668136:5678480:-1 gene:TCM_037853 transcript:EOY30747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase/isomerase family MAQIRVTMEVGNDGVAVITMSNPPVNALAIPIISGLKEKFDEATRRSDVKAIVLTGNGGRFSGGFDINVFQKVHGTGDISIMPDVSIDLVVNAMEDCKKPLVAAVEGLALGGGLELAMGCHARIAAPRAQLGLPELSLGVIPGFGGTQRLPRLIGLSKAIEMMLLSKPIMSEEGKKLGLIDAIVPSEELLKVSCRWALDIAERRKPWLRSLHRTDKIGSLSEAREVLRTARQQAKKTAPNLPQHQACLDVIEEGIVHGGYDGVLKEAKVFKELVLSDTAKGLVHVFLAQRATSKVPNVTDVGLKPRQTKKVAVIGGGLMGSGIATALIVSNIFVVLKEVNSEYLLKGIKMIEANVQGLVSRGKLTKDKAEKALSMLKGVLDYSEFRDVDMVIEAVIENVPLKQKIFSEIEKACPPHCILATNTSTIDLNVIGEKIKSQDRVIGAHFFSPAHVMPLLEIVRTQKTSPQAILDLMTVGKIIKKVPVVVGNCTGFAVNRTFFPYTQGAHILVNLGVDVFRIDRVISNFGLPMGPFQLQDLAGYGVALAVGKEFANAFPDRTFRSPLIELLVKSGRNGKNNGKGYYIYEKGSKPKPDPSVLPIIEECRKHTKIMPNGKPISVSDKEILEMVLFPVVNEACRVLDEGVVVRASDLDIASVLGMSFPSYRGGLVFWADTVGANHVYKSLKKWSEMYGSFYKPSKFLEERALKGIPLSAAATSSSSSMSRL >EOY29849 pep chromosome:Theobroma_cacao_20110822:9:2554435:2557679:1 gene:TCM_037251 transcript:EOY29849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVNFMLKIAADLENLTNLQPQGGCDDPSFSYLFKLKCGRCGELSQRETCVSLGESIPLPVGKGTTNLIQKCKFCLREGTITMIPGQGRPLTHKDSEAGSYAPLMLLDCRGHEPVGFIFGGGWKVESLEGTKFEDVDLSGDDFAEYDEKGECPVMISNLRATFDVVK >EOY29848 pep chromosome:Theobroma_cacao_20110822:9:2554421:2558621:1 gene:TCM_037251 transcript:EOY29848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVNFMLKIAADLENLTNLQPQGGCDDPSFSYLFKLKCGRCGELSQRETCVSLGESIPLPVGKGTTNLIQKCKFCLREGTITMIPGQGRPLTHKDSEAGSYAPLMLLDCRGHEPVGFIFGGGWKVESLEGTKFEDVDLSGDDFAEYDEKGECPVMISNLRATFDVYLGMFLIHLKTQGCSIICWCCSASHISGNHRLI >EOY33948 pep chromosome:Theobroma_cacao_20110822:9:39444528:39447460:-1 gene:TCM_041775 transcript:EOY33948 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MKQALISASSVLINTQNPFCSSFKPQHNTHIILSFNPKTSFSFQYKSPILNQSMAPRNTKSNRWSLQGMTALVTGGTRGVGRAIVEELAGLGARVYTCSRNENELDKCLVDWKSLGFEVSGSICDVSMGVERERLMENVSSLFDSKLNILINNVGTNIRKPMVEFTAEEVSTLLATNFESVFNLCQLAYPLLKASGVGSVVFTSSVSGFVSLKSMSLQGATKGAINQLTRNLACEWAKDNIRSNAVAPWYIRTSMVEQVLSNQEYLEEVYSRTPLQRLGDPTEVSSLVAFLCLPASSYITGQIICVDGGMSVNGFYPKNY >EOY34583 pep chromosome:Theobroma_cacao_20110822:9:41517002:41519916:-1 gene:TCM_042205 transcript:EOY34583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 1 MAASRPAVALSLLCSLFLLCPFSSASAITLPSDSHKVSLTLYYESLCPYSANFIVNYLGKLFEDDLLSIVDLRLVPWGNAKLKGNDTFACQHGPGECLLNTIEACAIDAWPQLNDHFPFIYCVETLVYELKYLEWESCYGKLGLDSKPISDCYSNGLGLKLELQYAAETNALEPPHKYVPWVVVDGQPLYEDYENYISYVCKAYKGAAVPKACSGLSFNLIYGEKLIHPVCYEEIPAPTLLSRAISSVMSWIWKMATSM >EOY34585 pep chromosome:Theobroma_cacao_20110822:9:41517113:41519789:-1 gene:TCM_042205 transcript:EOY34585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 1 MAASRPAVALSLLCSLFLLCPFSSASAITLPSDSHKVSLTLYYESLCPYSANFIVNYLGKLFEDDLLSIVDLRLVPWGNAKLKGNDTFACQHGPGECLLNTIEACAIDAWPQLNDHFPFIYCVETLVYELKYLEWESCYGKLGLDSKPISDCYSNGLGLKLELQYAAETNALEPPHKYVPWVVVDGQPLYEVRSLNYQINGKDYENYISYVCKAYKGAAVPKACSGLSFNLIYGEKLIHPVCYEEIPAPTLLSRAISSVMSWIWKMATSM >EOY34584 pep chromosome:Theobroma_cacao_20110822:9:41517694:41519776:-1 gene:TCM_042205 transcript:EOY34584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 1 MAASRPAVALSLLCSLFLLCPFSSASAITLPSDSHKVSLTLYYESLCPYSANFIVNYLGKLFEDDLLSIVDLRLVPWGNAKLKGNDTFACQHGPGECLLNTIEACAIDAWPQLNDHFPFIYCVETLVYELKYLEWESCYGKLGLDSKPISDCYSNGLGLKKITTDKTGLFVCLEDIILIFRGLNYNMQLKLMPLNLLINMCLGLLWMDSHFMRTMKTI >EOY31401 pep chromosome:Theobroma_cacao_20110822:9:8527615:8530410:-1 gene:TCM_038333 transcript:EOY31401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase-like protein floozy MGSCKDQQDHHQFQANCVGVHGPIIVGAGPSGLATSACLTQQGVPSLILEKSDCIAALWQHRTYDRLKLHLPKQFCELPLLGFPENFPKYPTKHQFISYMESYASHFSILPRFKQAVQSAEFDHVLGFWRVKTQDCEYISRWLIVATGENAEPVIPDISGIDKFNGPVVHTSLYKSGSEFRNQRVLVIGCGNSGMEVSLDLCRHNATPHMVVRNTVHVLPREMFGFSTFGIAMALLRWFPLRLVDKFLLLVANFTLGNTDQIGLRRPKTGPIELKNVTGKTPVLDVGALSQIKSGKIKVMEGVKEITRNGAKFMDGQEKEIDSIILATGYKSNVPTWLKGCDFFTKDGMPKTPFPNGWKGEKGLYTVGFTRRGLQGTASDAVKIARDVAEHWRTIKDCNNNSCNSHVILLKET >EOY31685 pep chromosome:Theobroma_cacao_20110822:9:12120688:12135207:-1 gene:TCM_038736 transcript:EOY31685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRIVCRGFLWISLLEGYGKPHYILTSNGEGRRVSPGIADLIEDYSGICIFQTVVSTRTPRRLSRARGEYRVVTIRLIIMPPRRGRPPLTRSVGRGRGRSQRHQPDTVEEESAASTIRAAPAAEQADSPPHPPSPQPPTGIPAMPTEAAQTLAAFFAAIAGQAQTGQVSPVVPPATPLVPPPIQDVSISKKLKEARQLGCVSFTGELDATVAKDWINQVSETLSDMGLDDDMKLMVAMRLLEKRARTWWNSVKSRSATPQTWSDFLREFDGQYFTYFHQKEKKREFLSLKQGNLTVEEYETRFNELMLYVPDLVKSEQDQASYFEEGLRNEIRERMTVIGREPHKEVVQMALWAEKLATENRRIRTEFAKRKNPGRATVAASSPPARTDIQRRDSSGLPPRQGVAIRSGVESNTSSHPPSRPQTCTATRVFAVTEDEARVRPGAVTGTVSLFDKDAYVLIDSGSDRSYVSTTFASITDRNLSPLEEEIVVHTPLGEQLIRNTCYRDCGVRVGEDEFRGMDWLTAHRANVDCFRKEVVLRNSEGVEIVFVGERRVLPSCVISAIKASKLVQKGYSTYLAYVIDTSKGEPKLEDVLIVSEFPEVFPDDLPGLPPNRELEFPIDLLPGTAPISIPPYRMAPAELKELKAQLQDLVDKGFIRPSISPWGAPVLFVKKKDGTLRLCIDYCQLNRLRIKEQDVPKTAFRTRYGHYEFLVMPFGLTNAPAVFMDLMNMVFHPYLDKFVIVFIDDILVYSKNDDEHAAHLRIVLQTLRERQLYAKFSKCEFWLKEVVFLGHVVFGAGIYVDPKKIEAILQWEQPRTVTEIRSFLGLAGYYRRFVQGFSLIAAPLTRLTRKGVKYEWDDVCENRFQELKNRLTSAPVLTLPVSGKEFVVYSDASKLGLGCVLMQDEKVIAYASRQLKKHETNYPTHDLELAAVVFALKIWRHYLYGERCRIFSDHKSLKYLLTHKELNLRQRRWLELIKDYDLVIDYHPGKANVVADALSRKSSSSLATLRSSYFPMLLEMKSLGIQLNNGEDGTLLSSFVVRPSLLNQIRELQKFDDWLKQEVQKLQDGKASEFRLSDDAKCLTCQQIKAEHQKPSGTLQPLPIPEWKWEHVTMDFVLGLPRTQSGKDAIWVIVDRLTKSAHFLAIHSTYSIERLARLYIDEMEALGTKLRFSTAFHPQTDGQSERTIQTLEDMLRACVIDFIGSWDRHLPLVEFAYNNSFQSSIGMAPYDALYRRKCRTPLCWDEVGERKLVNVELIDLTNDKVKVIRERLKTAQDRQKNYSDKRRKDLEFEVEDKVFLKVSPWKGVIRFAKRGKLNPRYIGPFRIIERIGPVAYRLELPPEFDRIHNVFHVSMLKKYVPDPSHILETPPIELHEDLKFEVQPVRILDRKDRVLRNKSIPMVKVVSPPIKVRKLHPRTSRQSASKICILDINTIFDNGCSQGNRETDSKALRGFGLTFRAISVYRDTAAVVTGSRGVPGRDKIY >EOY29371 pep chromosome:Theobroma_cacao_20110822:9:947547:948855:1 gene:TCM_036928 transcript:EOY29371 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein, putative MGSLRKEPSPSESSCIISAPDEVKDRDQQEARPSNLQLDLRLTTTSDCGDHRFNQELNLIDSLKTAGSSDSSTAPETPQPTDAEQRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRGQRMGAHIAASATAFGHPYFHHNHYSSLASLPLHGAYNRSLGIQVHSMIHKPSHISSSTGFGSVYGHHSWSRPPIDQQPAIGKLLTENPHVIPTGPTSRASVGRFNITRSMMGSPADDEVIGNCWWSGGGSLKTKQEDQMQKVDLSLKL >EOY31190 pep chromosome:Theobroma_cacao_20110822:9:7445281:7448453:-1 gene:TCM_038165 transcript:EOY31190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MGTSSCHSSSFLLPALLLLLLGLLCFSPFNSNHFTQFVTSFSPFSLGQTNHTTTPHVSLDGFLSTSMYKSSKHKAAIIKKKSNSERIEEDLARTRAAILKAVQLQNFTSEKEDIFVPRGSIYRNANAFYQLSTFMSHTEMIKRFKVWTYREGEIPLVHNGPLNDIYAIEGQFIDEMESKNNPFRARHPDEAHVFFLPISVTGVIHYVYKPITSVKEYSRDRLQRLVLDYINTVASKHPYWNRSNGADHFMVSCHDWAPEVSQANPELFKNFIRVLCNANTSEGFRPKIDVSLPEIYLPFGKLGPPNLSQGPNNRPILAFFAGSAHGYIRKILLEQWKDKDNEVQVHSRLPTGVNYTKMMGQSKFCLCPSGFEVASPREIEAIYAGCIPVVISANYTLPFSDVLKWSQFSVQIPVEKIPEIKTILQGIPNRKYLMMHERVKRVRRHFELNRPAKPFDVIHMVLHSVWLRRLNFRLSS >EOY33255 pep chromosome:Theobroma_cacao_20110822:9:36152870:36154864:-1 gene:TCM_041208 transcript:EOY33255 gene_biotype:protein_coding transcript_biotype:protein_coding description:MD-2-related lipid recognition domain-containing protein / ML domain-containing protein, putative MELVADQFQLTIVLFFTIFLLLAFNQAQNVTYCDDSRHYSVNVQKFEVSPDPMLVGQPISDGKVVIDVSFLGLHVRAETRSLCEEASCPIAAGNFKLSQSQTLPVFTPPGSNALQMILNDQNNLELTCIGINFTIGIASLASDI >EOY29719 pep chromosome:Theobroma_cacao_20110822:9:2145116:2150157:1 gene:TCM_037170 transcript:EOY29719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tocopherol cyclase, chloroplast / vitamin E deficient 1 (VTE1) / sucrose export defective 1 (SXD1) isoform 2 MEPNIYSVNELHHFSSCCIGFRPLNSKPTVKFSQSSTFNGFSPRGLRPLRVGFRSNSRIIACSSVAEPETGTSSPAAESSAVSVNPVYVPTPANRNARTPHSGYHFDGTTRQFFEGWYFKVAIPEQRQSFCFMYSVENPAFRRKLTPLEMLQHGPRFTGVGAQILGAYDKYICQYTEESQNFWGSRHELILGNTFLANKDSRPPSKEVPPQEFNRKVLEGFQVSPLWHQGFIRDDGRTTYANIVKTARWEYSTRPIYGWGNVGSKQKSTAGWLAALPIFEPHWQICMAGGLSTGWIEWDSERFEFQNAPSYSEKNWGGGFPRKWFWAQCNVFEGASGEVSLTAGGGLRQLPGLTETFENAALIGVHYDGVFYEFVPWNGVVSWEIAQWGSWYMEAKNETHMAELEATTNDPGTTLRAPTTVAGLAPACKDTCFGDLRLKIWEKKSGGTKGKH >EOY29718 pep chromosome:Theobroma_cacao_20110822:9:2145198:2150477:1 gene:TCM_037170 transcript:EOY29718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tocopherol cyclase, chloroplast / vitamin E deficient 1 (VTE1) / sucrose export defective 1 (SXD1) isoform 2 MEPNIYSVNELHHFSSCCIGFRPLNSKPTVKFSQSSTFNGFSPRGLRPLRVGFRSNSRIIACSSVAEPETGTSSPAAESSAVSVNPVYVPTPANRNARTPHSGYHFDGTTRQFFEGWYFKVAIPEQRQSFCFMYSVENPAFRRKLTPLEMLQHGPRFTGVGAQILGAYDKYICQYTEESQNFWGSRHELILGNTFLANKDSRPPSKEVPPQEFNRKVLEGFQVSPLWHQGFIRDDGRTTYANIVKTARWEYSTRPIYGWGNVGSKQKSTAGWLAALPIFEPHWQICMAGGLSTGWIEWDSERFEFQNAPSYSEKNWGGGFPRKWFWAQCNVFEGASGEVSLTAGGGLRQLPGLTETFENAALIGVHYDGVFYEFVPWNGVVSWEIAQWGSWYMEAKNETHMAELEATTNDPGTTLRAPTTVAGLAPACKDTCFGDLRLKIWEKKSGGTKGKLILDVKSDMAALEVGGGPWFNTWKGETTTPEVIKNALQVDVDVEGIFGLAPFFKPPGL >EOY30406 pep chromosome:Theobroma_cacao_20110822:9:4527850:4529387:1 gene:TCM_037629 transcript:EOY30406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQKLMLSLAGFRSAFGVMSAYRDVATVVTGLMGVPGRDMIYQMLTFHHETFQNHLMFFFSWNTSKFFFLMHDILNDFWWCRGFFWLERDDVLQ >EOY29708 pep chromosome:Theobroma_cacao_20110822:9:2119068:2122811:-1 gene:TCM_037164 transcript:EOY29708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRASILTQPVWLAVAVDAGVVLEERIDEVEESGLSLAAAPSWERVTLSTAKSGNSLLITTAKYDSNIEIHWSNLSEVMNVAGLISTGEHGRSWWGNAGAIHDHVIGISLIVPTTESEDYGKIIQIGPQDIIWYPSRHAAAYRYDSTVSMDTPGDGLNDSIDIQPSSILFSLSVRATGFKAISRSIHKDSVVADIDCHRADDASTPRLNQDSLRMGLGHTGPRIGTWHSSMCKKRKSDGVDRVHDVAAKELFLLCSYGYRLHRPAHLTSSKAQKFHAVLNE >EOY30028 pep chromosome:Theobroma_cacao_20110822:9:3107402:3116838:1 gene:TCM_037377 transcript:EOY30028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 4, putative MIMQFFSLQSFNCKIINCSFGDLSFNGEESTSGAPREGAPDRCYFPGEGSTDQCSLLLGAPLPPSLGSTRSVLLRVLPFLREHQIGALVRTTCTFNWNFQLSQSLAQGGETESRAVMGRRPCCSKEGMNRGAWTLTEDKILTDYVKVHGEGKWRNIPKEAGLKRCGKSCRLRWMNYLRPDIKRGNISPDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTHLSKRGQGKERGPKSNHSKVGKKPITVKVDEDLVSSRVIRTKALRFKEVFITPDAQQHRIENHHRNVAIPPSMDPNLVQNVPAGSESSDRSLITLSSNEEYPVDFMVDISAGEISVPEIFASDFTSFSHDLGASEMDKVMRGDDADNYMSKIAPSQAFLLPEEMEQNWSGSDYYIQANLDSDFGSLTAFLESAEEWLI >EOY30024 pep chromosome:Theobroma_cacao_20110822:9:3085173:3090644:-1 gene:TCM_037373 transcript:EOY30024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSVRFKFRSSPYYDWVDIGDRPSISVCDLKSRIVQNKKLNLCQDFDLLFSDPISGQEYVDDDFQIPRGSSVIIKRVPAGERAGSLENFPTRDANISKTSHPENVETVNFDDFGAELCPVPDANLSGIGLDIEHKFCVGDEEINIKLKRCTEQPVVECHKFEVSDISEAIPQGHKESETKSKPDIELNTKQSDLRAMQTADFPSELKCSLCDTFFKEAVMIPCCQHSFCMKCICHVLVEKARCPKCFSTKCKVEDLLPNVSLRLAIERFLKSQILVNGSENALHRDAPDGESGIQENDVSRVVSVLQRGAELPPSPSATGRGSNQYPTESRLPVGLEGFADFQGENQPINEEAESNVKRKKVLGVTTADAEKGYVETGRLKKGDRVCYMCGSPGHLRRDCPAVSSPHPMLQRGAMPGYVSPYWNGPPFPNIRPFANPYGNSGMMPFNATVVPASPFHVPTYVPSMFGGLPAFRGFTRMGGIAPAVKNNVDHQLFHFELDVKDYDKGPQFTSENVMRKHFYDEDDVKGRQCDEAKRRRDKNFYPERERSASYSEDSFTKKSLMKRRHPHTIDDDVYSDDERHEKSSHIAGQNRRPYHHSERSRSEVDDLPGSSSWHSEERHKHGHRSSKKHNDCREHCDSDSSWSHYPTNKEKEAKRTVKHDAERQHQKHCSNSESSLEPNHSTDQKKKRREKGSSRSCRHSGYKTKTTRCDNLSHDRWQMARRSDEDNREDYHHYKRKRVY >EOY30023 pep chromosome:Theobroma_cacao_20110822:9:3084656:3090596:-1 gene:TCM_037373 transcript:EOY30023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSVRFKFRSSPYYDWVDIGDRPSISVCDLKSRIVQNKKLNLCQDFDLLFSDPISGQEYVDDDFQIPRGSSVIIKRVPAGERAGSLENFPTRDANISKTSHPENVETVNFDDFGAELCPVPDANLSGIGLDIEHKFCVGDEEINIKLKRCTEQPVVECHKFEVSDISEAIPQGHKESETKSKPDIELNTKQSDLRAMQTADFPSELKCSLCDTFFKEAVMIPCCQHSFCMKCICHVLVEKARCPKCFSTKCKVEDLLPNVSLRLAIERFLKSQILVNGSENALHRDAPDGESGIQENDVSRVVSVLQRGAELPPSPSATGRGSNQYPTESRLPVGLEGFADFQGENQPINEEAESNVKRKKVLGVTTADAEKGYVETGRLKKGDRVCYMCGSPGHLRRDCPAVSSPHPMLQRGNGMFPGAMPGYVSPYWNGPPFPNIRPFANPYGNSGMMPFNATVVPASPFHVPTYVPSMFGGLPAFRGFTRMGGIAPAVKNNVDHQLFHFELDVKDYDKGPQFTSENVMRKHFYDEDDVKGRQCDEAKRRRDKNFYPERERSASYSEDSFTKKSLMKRRHPHTIDDDVYSDDERHEKSSHIAGQNRRPYHHSERSRSEVDDLPGSSSWHSEERHKHGHRSSKKHNDCREHCDSDSSWSHYPTNKEKEAKRTVKHDAERQHQKHCSNSESSLEPNHSTDQKKKRREKGSSRSCRHSGYKTKTTRCDNLSHDRWQMARRSDEDNREDYHHYKRKRVY >EOY30022 pep chromosome:Theobroma_cacao_20110822:9:3084759:3097665:-1 gene:TCM_037373 transcript:EOY30022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MPAGGDDKEHQAEERSRKKKGETNEERGKVGKGRQNRGEIALLYPSYLLLSNRETESTIQLPASNSAMSVRFKFRSSPYYDWVDIGDRPSISVCDLKSRIVQNKKLNLCQDFDLLFSDPISGQEYVDDDFQIPRGSSVIIKRVPAGERAGSLENFPTRDANISKTSHPENVETVNFDDFGAELCPVPDANLSGIGLDIEHKFCVGDEEINIKLKRCTEQPVVECHKFEVSDISEAIPQGHKESETKSKPDIELNTKQSDLRAMQTADFPSELKCSLCDTFFKEAVMIPCCQHSFCMKCICHVLVEKARCPKCFSTKCKVEDLLPNVSLRLAIERFLKSQILVNGSENALHRDAPDGESGIQENDVSRVVSVLQRGAELPPSPSATGRGSNQYPTESVGGTGSLVNSNHFLKDKISKLPVHKMQRLPVGLEGFADFQGENQPINEEAESNVKRKKVLGVTTADAEKGYVETGRLKKGDRVCYMCGSPGHLRRDCPAVSSPHPMLQRGNGMFPGAMPGYVSPYWNGPPFPNIRPFANPYGNSGMMPFNATVVPASPFHVPTYVPSMFGGLPAFRGFTRMGGIAPAVKNNVDHQLFHFELDVKDYDKGPQFTSENVMRKHFYDEDDVKGRQCDEAKRRRDKNFYPERERSASYSEDSFTKKSLMKRRHPHTIDDDVYSDDERHEKSSHIAGQNRRPYHHSERSRSEVDDLPGSSSWHSEERHKHGHRSSKKHNDCREHCDSDSSWSHYPTNKEKEAKRTVKHDAERQHQKHCSNSESSLEPNHSTDQKKKRREKGSSRSCRHSGYKTKTTRCDNLSHDRWQMARRSDEDNREDYHHYKRKRVY >EOY31069 pep chromosome:Theobroma_cacao_20110822:9:6870173:6872017:-1 gene:TCM_038081 transcript:EOY31069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDQAAILMSGRNAKTNFPISQTPSGDPKAGTENSHSSPPNELSELLHAKLRKCSKAPSPSMTCLRLDTENSHIGVWQKRAGESSDANWVMTVQLEKGNAEMSANTLPSKSPGLAGPELRGEMDEEERLALQMIDELLHRNCSSIGVQEGEGNLFL >EOY32797 pep chromosome:Theobroma_cacao_20110822:9:33599438:33602041:-1 gene:TCM_040814 transcript:EOY32797 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain-containing protein, putative MPKVLQDILPRPGQNTIFRGQVAPDQKGINDPEFGAYWYGDNSGKNSKGEDAPSNKGVNDPEFGAYWYGDNSNKESKGEDAPTNKGVNDPEFGAYWYGDNSGKESKIENTPTNKGVNDPEFGAYWYGDSSKESRGENAPSNKGPNDPEFGNYAYDSEYKGSVKESKGVNDPESRGENAPSNKGPNDPEFGNYAYDSEYKGSVKESKGNINSSGRKSNTDNTAVKETIYFFPKDLLPGKKVNLPRLVQKRDRGTFLPHHIAESMPLSSDKLPEILKNFSLKAESRDANNVKITVKNCERAEMKGEEKYCATSLESFVDMSVSMLGKEIRLLSNELRKETKNPLFTIARGVRNKGENDIVCHKMKYPYAVYLCHSIKKTAVYKVPLVGTDGTKANAVAVCHKDTSAWSPNHIAFKVLKVKPGTVPICHFLGRDTLVWVPN >EOY33996 pep chromosome:Theobroma_cacao_20110822:9:39615995:39618918:1 gene:TCM_041811 transcript:EOY33996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFGTPGALLCLERLWVYTLGTPLGIYGLGTPLGIYVLGTPLSAFALGTPRRFHGTPLDASAFKTPLGVSALETPLMLRFSAISKLFTVPSHCWLYSNFARRLKSTSGVKWAKKLTMKLAIDIDLFYTSIDVAH >EOY32034 pep chromosome:Theobroma_cacao_20110822:9:19297634:19313086:-1 gene:TCM_039447 transcript:EOY32034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrovirus-related like polyprotein MRKLMLSLAGFRSAFGVMSAYRTVYNAFLNGNLEETVHMDLPQGYDVLGGVFPKTNCSPINVAADVRDFLKSRFKLKDFGEPKYFLSKLTKAGDGFVLVDATSYRQLVGRLLYLTPTRADITYVVQCLSQFVDKPTDIHMQAACRDVIILGNQLQVLVCFLDIFLSIGGLRSNLLLLTVPLRLSIVLWPLLVVSLFDFIFAMGFWHSSCQVSIFFCDNQSTLSISKNPVFHECTKRIEIDCYFIRNRVLEGVTSLQYISSKDQLAVLCSKALLPT >EOY30090 pep chromosome:Theobroma_cacao_20110822:9:3315972:3322423:1 gene:TCM_037414 transcript:EOY30090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein isoform 5 MLSRSSASQFLFTDDDDDDFQVPPTQTLSASIKPTSHKNPLKPSNTPRPPSKKPKRPDNPPGKENTAVVTIPITRSNDQPDLDETCSLDLIPSSINCSFNLTSAQDRDSDYVKCDEKKKELLELNKGYLCNSIESRLIRPRSELSEEFGEDFDEDNELDALLKLCNDVEEEKEEDSGDEKESNVLDNSLVQCPLCGVNISGLNEEHRLVHINDCLDKVENPGQNVVFPPSVDREFQCVPEVVDGPPLSPRQVVDVSPVVKWLSNLGLARYADAFVREEVDWDTLKWLTEEDLFSIGVTALGPRKKIVHALSELRKSYSCAAERHMGHPSHGNGSAKSSRAKTQTEISNFIDDETTKPAANKLITDFFPGLVSDRKKVCTPPRGQHISSKSHSDPGRRRVQTNHVKNGKLKDIPAWCCIPGTPFRVDAFKYLRGDCSHWFLTHFHMDHYQGLTRSFRHGKIYCSSITAQLVNVKLGIPWEKLQVLPLNQKINIAGIEITCLDANHCPGSIMILFVPPNGKAVLHTGDFRFCEEMASMSLWHACPIHTLILDTTYCNPQYDFPKQEAVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLFLEVARVLRRKVYITAAKFRLLDCLGFSEEDMRWFTLNEQESQIHVVPMWTLASFKRLKHISNQYAGKKKAPGRRWQQGTIIRYEVPYSEHCSFTELKEFVKILSPENIIPSVNNDGPDSTKAMISLLLP >EOY30087 pep chromosome:Theobroma_cacao_20110822:9:3316009:3324139:1 gene:TCM_037414 transcript:EOY30087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein isoform 5 MLSRSSASQFLFTDDDDDDFQVPPTQTLSASIKPTSHKNPLKPSNTPRPPSKKPKRPDNPPGKENTAVVTIPITRSNDQPDLDETCSLDLIPSSINCSFNLTSAQDRDSDYVKCDEKKKELLELNKGYLCNSIESRLIRPRSELSEEFGEDFDEDNELDALLKLCNDVEEEKEEDSGDEKESNVLDNSLVQCPLCGVNISGLNEEHRLVHINDCLDKVENPGQNVVFPPSVDREFQCVPEVVDGPPLSPRQVVDVSPVVKWLSNLGLARYADAFVREEVDWDTLKWLTEEDLFSIGVTALGPRKKIVHALSELRKSYSCAAERHMGHPSHGNGSAKSSRAKTQTEISNFIDDETTKPAANKLITDFFPGLVSDRKKVCTPPRGQHISSKSHSDPGRRRVQTNHVKNGKLKDIPAWCCIPGTPFRVDAFKYLRGDCSHWFLTHFHMDHYQGLTRSFRHGKIYCSSITAQLVNVKLGIPWEKLQVLPLNQKINIAGIEITCLDANHCPGSIMILFVPPNGKAVLHTGDFRFCEEMASMSLWHACPIHTLILDTTYCNPQYDFPKQEAVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLFLEVARVLRRKVYITAAKFRLLDCLGFSEEDMRWFTLNEQESQIHVVPMWTLASFKRLKHISNQYAGRFSLIVAFSPTGWALGKGKKKAPGRRWQQGTIIRYEVPYSEHCSFTELKEFVKILSPENIIPSKIGWNLTRPTSSPAPHCPCCSGNIRCGPVALESICIASRFCCSWSSIMGRSLVIFSLRATILSHRNMELDSVKLLRRPGYLILQWNWVEPDYIFFNDKFACRFAIVKLNGA >EOY30091 pep chromosome:Theobroma_cacao_20110822:9:3315972:3322423:1 gene:TCM_037414 transcript:EOY30091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein isoform 5 LPKRRMLSRSSASQFLFTDDDDDDFQVPPTQTLSASIKPTSHKNPLKPSNTPRPPSKKPKRPDNPPGKENTAVVTIPITRSNDQPDLDETCSLDLIPSSINCSFNLTSAQDRDSDYVKCDEKKKELLELNKGYLCNSIESRLIRPRSELSEEFGEDFDEDNELDALLKLCNDVEEEKEEDSGDEKESNVLDNSLVQCPLCGVNISGLNEEHRLVHINDCLDKVENPGQNVVFPPSVDREFQCVPEVVDGPPLSPRQVVDVSPVVKWLSNLGLARYADAFVREEVDWDTLKWLTEEDLFSIGVTALGPRKKIVHALSELRKSYSCAAERHMGHPSHGNGSAKSSRAKTQTEISNFIDDETTKPAANKLITDFFPGLVSDRKKVCTPPRGQHISSKSHSDPGRRRVQTNHVKNGKLKDIPAWCCIPGTPFRVDAFKYLRGDCSHWFLTHFHMDHYQGLTRSFRHGKIYCSSITAQLVNVKLGIPWEKLQVLPLNQKINIAGIEITCLDANHCPGSIMILFVPPNGKAVLHTGDFRFCEEMASMSLWHACPIHTLILDTTYCNPQYDFPKQEAVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLFLEVARVLRRKVYITAAKFRLLDCLGFSEEDMRWFTLNEQESQIHVVPMWTLASFKRLKHISNQYALLRVGHLVRGRK >EOY30092 pep chromosome:Theobroma_cacao_20110822:9:3315867:3325091:1 gene:TCM_037414 transcript:EOY30092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein isoform 5 SPVRFISLPKRRMLSRSSASQFLFTDDDDDDFQVPPTQTLSASIKPTSHKNPLKPSNTPRPPSKKPKRPDNPPGKENTAVVTIPITRSNDQPDLDETCSLDLIPSSINCSFNLTSAQDRDSDYVKCDEKKKELLELNKGYLCNSIESRLIRPRSELSEEFGEDFDEDNELDALLKLCNDVEEEKEEDSGDEKESNVLDNSLVQCPLCGVNISGLNEEHRLVHINDCLDKVENPGQNVVFPPSVDREFQCVPEVVDGPPLSPRQVVDVSPVVKWLSNLGLARYADAFVREEVDWDTLKWLTEEDLFSIGVTALGPRKKIVHALSELRKSYSCAAERHMGHPSHGNGSAKSSRAKTQTEISNFIDDETTKPAANKLITDFFPGLVSDRKKVCTPPRGQHISSKSHSDPGRRRVQTNHVKNGKLKDIPAWCCIPGTPFRVDAFKYLRGDCSHWFLTHFHMDHYQGLTRSFRHGKIYCSSITAQLVNVKLGIPWEKLQVLPLNQKINIAGIEITCLDANHCPGSIMILFVPPNGKAVLHTGDFRFCEEMASMSLWHACPIHTLILDTTYCNPQYDFPKQEAVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLFLEVARVLRRKVYITAAKFRLLDCLGFSEEDMRWFTLNEQESQIHVVPMWTLASFKRLKHISNQYALLRVGHLVRGR >EOY30089 pep chromosome:Theobroma_cacao_20110822:9:3315993:3323456:1 gene:TCM_037414 transcript:EOY30089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein isoform 5 MLSRSSASQFLFTDDDDDDFQVPPTQTLSASIKPTSHKNPLKPSNTPRPPSKKPKRPDNPPGKENTAVVTIPITRSNDQPDLDETCSLDLIPSSINCSFNLTSAQDRDSDYVKCDEKKKELLELNKGYLCNSIESRLIRPRSELSEEFGEDFDEDNELDALLKLCNDVEEEKEEDSGDEKESNVLDNSLVQCPLCGVNISGLNEEHRLVHINDCLDKVENPGQNVVFPPSVDREFQCVPEVVDGPPLSPRQVVDVSPVVKWLSNLGLARYADAFVREEVDWDTLKWLTEEDLFSIGVTALGPRKKIVHALSELRKSYSCAAERHMGHPSHGNGSAKSSRAKTQTEISNFIDDETTKPAANKLITDFFPGLVSDRKKVCTPPRGQHISSKSHSDPGRRRVQTNHVKNGKLKDIPAWCCIPGTPFRVDAFKYLRGDCSHWFLTHFHMDHYQGLTRSFRHGKIYCSSITAQLVNVKLGIPWEKLQVLPLNQKINIAGIEITCLDANHCPGSIMILFVPPNGKAVLHTGDFRFCEEMASMSLWHACPIHTLILDTTYCNPQYDFPKQEAVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLFLEVARVLRRKVYITAAKFRLLDCLGFSEEDMRWFTLNEQESQIHVVPMWTLASFKRLKHISNQYAGRFSLIVAFSPTGWALGKGKKKAPGRRWQQGTIIRKLGGT >EOY30088 pep chromosome:Theobroma_cacao_20110822:9:3316009:3321986:1 gene:TCM_037414 transcript:EOY30088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif domain-containing protein isoform 5 MLSRSSASQFLFTDDDDDDFQVPPTQTLSASIKPTSHKNPLKPSNTPRPPSKKPKRPDNPPGKENTAVVTIPITRSNDQPDLDETCSLDLIPSSINCSFNLTSAQDRDSDYVKCDEKKKELLELNKGYLCNSIESRLIRPRSELSEEFGEDFDEDNELDALLKLCNDVEEEKEEDSGDEKESNVLDNSLVQCPLCGVNISGLNEEHRLVHINDCLDKVENPGQNVVFPPSVDREFQCVPEVVDGPPLSPRQVVDVSPVVKWLSNLGLARYADAFVREEVDWDTLKWLTEEDLFSIGVTALGPRKKIVHALSELRKSYSCAAERHMGHPSHGNGSAKSSRAKTQTEISNFIDDETTKPAANKLITDFFPGLVSDRKKVCTPPRGQHISSKSHSDPGRRRVQTNHVKNGKLKDIPAWCCIPGTPFRVDAFKYLRGDCSHWFLTHFHMDHYQGLTRSFRHGKIYCSSITAQLVNVKLGIPWEKLQVLPLNQKINIAGIEITCLDANHCPGSIMILFVPPNGKAVLHTGDFRFCEEMASMSLWHACPIHTLILDTTYCNPQYDFPKQEAVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLFLEVARVLRRKVYITAAKFRLLDCLGFSEEDMRWFTLNEQESQIHVVPMWTLASFKRLKHISNQYAGRFSLIVAFSPTGWALGKGKKKAPGRRWQQGTIIRYEVPYSEHCSFTELKEFVKILSPENIIPSVNNDGPDSTKAMISLLLP >EOY29268 pep chromosome:Theobroma_cacao_20110822:9:597972:598769:1 gene:TCM_036861 transcript:EOY29268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGIWTWREEPGRSEGAFHVMTCSMFQLHFHSGYKILRMMLCLMESKLHGALSTPGSPGGKMLKHLPFRQPTTTNWHIIGLS >EOY33703 pep chromosome:Theobroma_cacao_20110822:9:38697953:38701631:-1 gene:TCM_041605 transcript:EOY33703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein MCSGSKRKPTQTGFVMEGESHKEDMLPQKFSVLLEFSASNDLIGFKSAIEEEGHDIDEPSLWYGRRIGLKKMGFEERTPLLIASMFGSKDVVNYIIGSGRVDVNRACGSDGATALHCAAAGGSFDSAEVVKILLDASADINSVDANGNRPGDLITPACNSAFSLRKKMLEALLRGSGSVGEMEALPAQKGNEMEGQEQQDNSIARVLKDGTEKKEYPVDLTLPDIKNGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCRQGDNCEYAHGIFECWLHPAQYRTRLCKDETNCSRRVCFFAHKPEELRPLYASTGSAVPSPRSYAANGSSLDMGSMSPLALGSPSVMIPPTSTPPLTPTGTSSPMGGAMWPNQLSIVPPTLQLPGSRLKTALSARDMDLDMELLGLESHRRRQQQQLIDEISGLSSPTSWNNPLSSASAFSATGDRTGELNRFGGVKPTNLEDIFGSLDTTILPQLQGISLDGTAPQLQSPTGVQMRQNINQQLRASYPTNLASSPVRASPSFGIDASSGPTAAAVLSSRSAAFAKRSQSFIERTAVNRHAGFSSPTSSASAMPSNLSDWGSPDGKLDWGIQGEELSKLRKSASFGFRSSGSNLANAAPSMLPTADEPDVSWVQSLVKDTPSAGQFGFDEEQQQCHLNSGGAEMLPAWVEQLYMEQEQMVA >EOY31308 pep chromosome:Theobroma_cacao_20110822:9:8074237:8083829:-1 gene:TCM_038266 transcript:EOY31308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHRFGRADERNRCIHMREKIMRLFVKQQHHQAKPITIHHTFDNMGESFRDVDKIGLSEEGGEEGAWALLPWAMLLGFGFWVS >EOY34373 pep chromosome:Theobroma_cacao_20110822:9:40821291:40823973:-1 gene:TCM_042063 transcript:EOY34373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNVSHASVHPVEDPPTTDGGGGGNGGGGGNNNNEVPRVRMKDTRGMPGTPGGLALRICQFVFAVAGLCVMATTSDFPSVTAFCYLVAATGLQSLWSLSLAIIDIYALLVRRSLQNYRVVTLFTLGDGGGSSFLPVLLRRSHKITSTLTFAA >EOY34372 pep chromosome:Theobroma_cacao_20110822:9:40820987:40824245:-1 gene:TCM_042063 transcript:EOY34372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MNVSHASVHPVEDPPTTDGGGGGNGGGGGNNNNEVPRVRMKDTRGMPGTPGGLALRICQFVFAVAGLCVMATTSDFPSVTAFCYLVAATGLQSLWSLSLAIIDIYALLVRRSLQNYRVVTLFTLGDGITSTLTFAAACASAGITVLIDNDLGSCAKNHCAQFETATAMAFISWCTALPSFLLNFWSLASR >EOY29530 pep chromosome:Theobroma_cacao_20110822:9:1480832:1481345:1 gene:TCM_037040 transcript:EOY29530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGPHQAAQHSTEVLHQTRKGPCPVKMAVGGFVMAATIGYFVLWSKKKPEASALDVAKVTTGVAKPENTHPR >EOY31328 pep chromosome:Theobroma_cacao_20110822:9:8176544:8186914:1 gene:TCM_038279 transcript:EOY31328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase family protein isoform 1 MLATSTPTTTASLPSLHPLTYDAIPAPSLNPSFLSFSSSSSSSSSYSSSYSYLSPLSFGLHSKTHVRINPKLKGLRVSCSKSEPLKVMISGAPASGKGTQCELIVQKFGLVHISTGDLLRDEVSSGTEIGNKVKEFMNAGKLVPDEIVTAMVTARLSLQDAKEKGWLLDGYPRSFEQAQALEELSVRPDIYIVLDVPDEILIDRCVGRRLDPETGKIYHVKNFPPDSDEIKARLVTRADDTEEKVKSRLEVYKKNAAAISSTYTSITNKIDGNRSKEIIFEDIDSLLSQVQKDKTAKSVKPVLQTESRLNLASSSRDKWRGIPTRLNNIPHSREIRNYFYDDVLQATQRAVNDGRTRLKVEINIPELNPEMDVYRIGTLMELVRVIALSFADDGKRVKVCVQGSMGEGALAGMPLQLAGTRKILEFMDWGDYGAMGTFIKIGSIGAKEVDEQDDMFILVAPQNAVGNCIIDDLKAMTDAAGNRPVILINPRLKDLPGSSGIMQTMGRDKRLEYAASFESCYFFRLLYYAGTQYPIMGAIRMTYPSVYELHKRVDEPSGKEKYIPLSTFTARPTIDEINDAFLGKPRNKDKKASGIWGFLSGVF >EOY31329 pep chromosome:Theobroma_cacao_20110822:9:8176544:8187220:1 gene:TCM_038279 transcript:EOY31329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase family protein isoform 1 MLATSTPTTTASLPSLHPLTYDAIPAPSLNPSFLSFSSSSSSSSSYSSSYSYLSPLSFGLHSKTHVRINPKLKGLRVSCSKSEPLKVMISGAPASGKGTQCELIVQKFGLVHISTGDLLRDEVSSGTEIGNKVKEFMNAGKLVPDEIVTAMVTARLSLQDAKEKGWLLDGYPRSFEQAQALEELSVRPDIYIVLDVPDEILIDRCVGRRLDPETGKIYHVKNFPPDSDEIKARLVTRADDTEEKVKSRLEVYKKNAAAISSTYTSITNKIDGNRSKEIIFEDIDSLLSQVQKDKTAKSVKPVLQTESRLNLASSSRDKWRGIPTRLNNIPHSREIRNYFYDDVLQATQRAVNDGRTRLKVEINIPELNPEMDVYRIGTLMELVRVIALSFADDGKRVKVCVQGSMGEGALAGMPLQLAGTRKILEFMDWGDYGAMGTFIKIGSIGAKEVDEQDDMFILVAPQNAVGNCIIDDLKAMTDAAGNRPVILINPRLKDLPGSSGIMQTMGRDKRLEYAASFESCYFFRLLYYAGTQYPIMGAIRMTYPSVYELHKRVDEPSGKEKYIPLSTFTARPTIDEINDAFLGKPRNKDKKASGIWGFLSGVF >EOY30661 pep chromosome:Theobroma_cacao_20110822:9:5308198:5309234:1 gene:TCM_037794 transcript:EOY30661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGSVWVSAHEWDASPSFNAPSGPAVIIDAGKGLFVACWCGAIHRRHCRLRAGFIKGFTRGSGYYYTTAFVGRQRPWVLLLVCNRVKMMSKQSRECLINDLA >EOY33242 pep chromosome:Theobroma_cacao_20110822:9:36082183:36084185:-1 gene:TCM_041195 transcript:EOY33242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nine-cis-epoxycarotenoid dioxygenase 4 MSYAMPSSFQLPHHSSKIPLWPSPNLKHGKPIFCSTNTTSFPTKTSKEKTFEETCNKTKTTSPPSLPQSIFSHVSTMSKNLFLNTLNIIDPPLHPSIDPNLVFTGNFAPVSELDPTDCQVIEGELPLSLNGVYIRNGPNPQLQPRRALHLFDGDGMLHSLRLSNGNATYCSRYVKTYKYMLEQDAGFPIIPNFFSGFYGLVDVIRFLMDIGKVLTGHIDLMKGFGVANTSIAFFSNKLLALTDSDLPYLINVTQTGDIETLGRWEFTKKLLANMSAHPKVDIETKETFAFTTSLTFPHLSFFRFDSNGVKQKEVPISSVRKPTFLHDLAITKTFAIFCETQLGLAPAKVVMGRGALVDYKRDKVTRIGIIPRYSTDDSDMEWFQVPNFNAIHIFNAWESGEDEIVLVASNIISIENIYDRTCDIKLEKVKINMRTGQVSRNILSPRNLEFGSINPCYVGKKSRFAYMGVFEEIPKMLGVVKIDLETGCEVGKRFYGHGCFGGEPLFVTKDRENNFDSDDEEDEGYVMSYVHNEETGESKFLVMDAKSPELNIVAAVKLPRRVPYGFHGLFLSKQDLLHF >EOY32176 pep chromosome:Theobroma_cacao_20110822:9:22682793:22687197:1 gene:TCM_039758 transcript:EOY32176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFIYPPPNDKGNSSYTKLSFFDRVLHFIITHTIHPHSSNYSTITQEDLWFLFHIKYNCRIDVGKFIMDDMLHTIQGGIKNLFYGMLINEIINYFKVDNCCDLPKNHSLFNFIDEHSIKKLGFELKNNTWVKKRLINNSVFNDKRNEGEPSTYPNGLSSAQLSAPMSTTFNVRQAFTRLISFVETMDSRLTARMIVIEA >EOY30259 pep chromosome:Theobroma_cacao_20110822:9:3967616:3968714:1 gene:TCM_037529 transcript:EOY30259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPPSFVSQEEFNAFHTIDRKLYSLLVINLWRDPVESMQVIALWLWLERVGFKNVVQKILSLPYILTNELADEAVTCLDVINNDQLASLSEGNDIPLMQSLIDRDLSLQFFNKHRLIATQGIARIVNEVCIRALRDIMQHAIERNATRSLADSQKVMSHSLQQPTVQPGLPQVGFGPGADVELPWTQESEVPPDDRTMFVTFSKGYPVHEWEVREFFTRAYGDCIESLHMQEVPPDEQSLFARIVFRSESAIEIILSGMSKAKFTINGKHVWARKFVPKRLKPSLPAAPPVNLPV >EOY33097 pep chromosome:Theobroma_cacao_20110822:9:35397298:35398099:1 gene:TCM_041087 transcript:EOY33097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein isoform 1 MFLRMFVKSSVLVNVSSLRYLSSSSSASPLLKAGDVLRHRRIFSDKDVVEYSKVTHDANPLHLDSGSARAAGFEDRLVHGMLVASLFPRIISSHFPGAIYVSQSLHFRSPVYIGDEIVGEVHAVNIKDTKNRYLAKFSTKCFKNGQLLVLDGEAMTILPTLAVEQVQYEE >EOY33096 pep chromosome:Theobroma_cacao_20110822:9:35397228:35398325:1 gene:TCM_041087 transcript:EOY33096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein isoform 1 MFVKSSVLVNVSSLRYLSSSSSASPLLKAGDVLRHRRIFSDKDVVEYSKVTHDANPLHLDSGSARAAGFEDRLVHGMLVASLFPRIISSHFPGAIYVSQSLHFRSPVYIGDEIVGEVHAVNIKDTKNRYLAKFSTKCFKNGQLLVLDGEAMTILPTLAVEQVQYEE >EOY33288 pep chromosome:Theobroma_cacao_20110822:9:36314374:36317437:-1 gene:TCM_041231 transcript:EOY33288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGDDGAPPKLSSDDDWEAIADCEPNELLSSKCLPRVSSLSLDDSKVEAPKRRGRGTFSYRKSELYSDQLSDNVSIAKDTEDEDVCINSEIKTVETKYGTRHVLVLADFSPSTRTTYLEKLFEDFRDRGVVICWVNDKTALAVLRTTFIGKEVELNYQ >EOY33137 pep chromosome:Theobroma_cacao_20110822:9:35587888:35594332:-1 gene:TCM_041120 transcript:EOY33137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative MGDELSGNEEAQVLPDSAPKQGGWTTFPLIAGTLMGSSIAVSGWANSLIVYMIEKFHVRSIDATQTFNMAAASTSLLPVIAAILADSILGCYSVIWMSSLVSVLGIILIALTAMLEPLKPQPCQNGSSMCPTPSKVQFSVLYSGIALASIGVAGTRFTIAAMGANQFENPKDQRVFFNWFAVTLYTSMVLGATVIVYIEENVSWALGYCLCVAVNVVGLAIFLLGKRYYRHVKPQGSPFTSLARVIVSTFLKRKVPLSSRAEDYYHHKDGQMDKRYSMPTKSFGFLNHAALETEGDTKVDGSIAKSWRLCSVQQVEDLKTQLRIFPLLSSGIILCTTLPVVSTLTILQALTLDRHLGPNLKVPAGSMIVFILTSTAINLTFIDRFLFPMWQKITHRSLMPLQRIGLGHVFNMTGMAVAALIESKRLKFAQIHHVSDHPGSIVPMLVLWLVPQLVLVGVGEAFHYPGQVTLYYQEFPKPLRSTATAMISVVVGIAYYLSTAVIDLVRTVTAWLPDDINKGRLGNVYLMFAVMQMFNFLYFLICAKCYKYQSLEEGNKGNNESCNAEK >EOY30412 pep chromosome:Theobroma_cacao_20110822:9:4543490:4544764:1 gene:TCM_037636 transcript:EOY30412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGEDYGELVVVFSVKDGEFFACSIVSVFFLFFCWGKLSTVCDCYLQIFTVQSPPPSSPISSRGIVSGHDHAVATRQAFGLPSACSPRVGIPIHQSVLTVGRFWVRPQSINFA >EOY29327 pep chromosome:Theobroma_cacao_20110822:9:759424:761748:-1 gene:TCM_036902 transcript:EOY29327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 11 MLLPGMSVLRVSNPCGYLGNIKGSGFTLFSVVQHGVPYGGSDEEAANGHGSHGGGKLASLVQHFTRVVAWWPLGCFHACCSYLFRAHLVGASGMEQPMLPAFPVEETRRVFRRLHVIVCHRHAFTPRRRHHNSRPRSRSRSQNKTQQAPKRHTQPNFVKSTNILSSKRVCDPFGSPMAAFARTLTSSLLLLFLFLNYIEAKEILVGGKTDAWKIPSSESDSLNKWAENSRFRIGDSLAWKYDGSKDSVLQVTKEDYASCNTSNPTAEYKDGNTKVKLEKSGPFYFISGAKGHCEQGQKLVVVVLSSRHRYTGISPAPSPAEIEGPAIAPTSNAAGLKAGFLVTLGVLVLGLFEM >EOY29770 pep chromosome:Theobroma_cacao_20110822:9:2317653:2319978:1 gene:TCM_037206 transcript:EOY29770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 1 MEKKQSNPKEWLNQMVGEPYYLTHFLAFFSYFIVRSSASLALSAHITQLLFYRVIVFWKVVFSVIYVLTQQPPYQELGTASKLTPLQLEALLTEGNTSRFWLVEFRASFSSGCRRASRCFPELSITYSNKNLSFGIVDLGLFPNAAEKFGISPGGSMGQLPTYILFENATEVTRFPEFNFEARPTPPITKRLLSRHFEL >EOY29769 pep chromosome:Theobroma_cacao_20110822:9:2317771:2320402:1 gene:TCM_037206 transcript:EOY29769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein isoform 1 MEKKQSNPKEWLNQMVGEPYYLTHFLAFFSYFIVRSSASLALSAHITQLLFYREIQAILAFFMLIAYKMVREETWEAFVADTLFFGKIFLVALTLIMDYHLTLWYIVVFSVIYVLTQQPPYQELGTASKLTPLQLEALLTEGNTSRFWLVEFRASFSSGCRRASRCFPELSITYSNKNLSFGIVDLGLFPNAAEKFGISPGGSMGQLPTYILFENATEVTRFPEFNFEARPTPPITKRLLSRHFELDRHLLEYVNGK >EOY32997 pep chromosome:Theobroma_cacao_20110822:9:34834843:34838509:1 gene:TCM_041006 transcript:EOY32997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MDEQEFRRLLNLFPVVRPRDYHAELDSLRQSTSCSASNKALKDWQDAWNDGERKEMENQEIDLHDKFWQKLKLAAERKVGAAEADKFCKAFQHVHKKLVLEGLSFDAAQKFLNS >EOY32998 pep chromosome:Theobroma_cacao_20110822:9:34834712:34838706:1 gene:TCM_041006 transcript:EOY32998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 RDKTHKSKDYSAKHSSKMDEQEFRRLLNLFPVVRPRDYHAELDSLRQSTSCSASNKALKDWQDAWNDGERKEMENQEIDLHDKFWQKLKLAAERKVLEGLSFDAAQKFLNS >EOY33838 pep chromosome:Theobroma_cacao_20110822:9:39130467:39136274:-1 gene:TCM_041695 transcript:EOY33838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutral/alkaline non-lysosomal ceramidase isoform 2 MMEVLASFCCYFQSPLRTIWLWISLVLVLQYSKTVLSDSNYLIGLGSYDITGPAADVNMMGYANTEQIASGIHFRLRARSFIVAEPQGKRVVFVNLDACMASQLVTIKVLERLKARYGDLYTEQNVAISGIHTHAGPGGYLQYVVYLVTSLGFVRQSFDVLVDGIEKSIIQAHENLRPGSIFVNKGELLDAGVNRSPSAYLNNPASERSKYKYDVDKEMTLLKFVDNQWGPVGTFNWFATHGTSMSRTNSLISGDNKGAAARFTEDWFEQNGIKSSYINDLGTDGIPRRVSNIIPNLHNNHHELLELAASFQSSPGRPATRTLSVARRVRGALRQADKPGFVSAFCQTNCGDVSPNVLGAFCLDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFRKAVDLFNKASEQLKGKVDYRHTYLDFSQLEVTVPKQGGGSEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNPFWRLVRNLLKTPDKKQVDCQHPKPILLDTGEMKQPYDWAVSCKYILGDIQALLDLHKPSILPIQIFRIGQLVILSVPGEFTTMSGRRLRDAVKTVLTSIGNGEFGSNIHVVIAGLTNTYSQYVTTFEEYEVQRYEGASTLYGPHTLSAYIQEFQKLASALIKSQPVEPGPQPPDLLNKQISLLTPVVMDSTPAGKNFGDVSSDVPANSTFKIGNTVTVVFWSACPRNDLMTEGTFSLVEILQGKDTWVPRYDDDDFCLRFKWSRPSKLSPRSQATIEWTIPPSASPGVYRIRHFGAAKALLGSIRHFTGSSSAFVVA >EOY33837 pep chromosome:Theobroma_cacao_20110822:9:39130823:39135240:-1 gene:TCM_041695 transcript:EOY33837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutral/alkaline non-lysosomal ceramidase isoform 2 MMEVLASFCCYFQSPLRTIWLWISLVLVLQYSKTVLSDSNYLIGLGSYDITGPAADVNMMGYANTEQIASGIHFRLRARSFIVAEPQGKRVVFVNLDACMASQLVTIKVLERLKARYGDLYTEQNVAISGIHTHAGPGGYLQYVVYLVTSLGFVRQSFDVLVDGIEKSIIQAHENLRPGSIFVNKGELLDAGVNRSPSAYLNNPASERSKYKYDVDKEMTLLKFVDNQWGPVGTFNWFATHGTSMSRTNSLISGDNKGAAARFTEDWFEQNGIKSSYINDLGTDGIPRRVSNIIPNLHNNHHELLELAASFQSSPGRPATRTLSVARRVRGALRQADKPGFVSAFCQTNCGDVSPNVLGAFCLDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFRKAVDLFNKASEQLKGKVDYRHTYLDFSQLEVTVPKQGGGSEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNPFWRLVRNLLKTPDKKQVDCQHPKPILLDTGEMKQPYDWAPSILPIQIFRIGQLVILSVPGEFTTMSGRRLRDAVKTVLTSIGNGEFGSNIHVVIAGLTNTYSQYVTTFEEYEVQRYEGASTLYGPHTLSAYIQEFQKLASALIKSQPVEPGPQPPDLLNKQISLLTPVVMDSTPAGKNFGDVSSDVPANSTFKIGNTVTVVFWSACPRNDLMTEGTFSLVEILQGKDTWVPRYDDDDFCLRFKWSRPSKLSPRSQATIEWTIPPSASPGVYRIRHFGAAKALLGSIRHFTGSSSAFVVA >EOY33840 pep chromosome:Theobroma_cacao_20110822:9:39130473:39136431:-1 gene:TCM_041695 transcript:EOY33840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutral/alkaline non-lysosomal ceramidase isoform 2 MASQLVTIKVLERLKARYGDLYTEQNVAISGIHTHAGPGGYLQYVVYLVTSLGFVRQSFDVLVDGIEKSIIQAHENLRPGSIFVNKGELLDAGVNRSPSAYLNNPASERSKYKYDVDKEMTLLKFVDNQWGPVGTFNWFATHGTSMSRTNSLISGDNKGAAARFTEDWFEQNGIKSSYINDLGTDGIPRRVSNIIPNLHNNHHELLELAASFQSSPGRPATRTLSVARRVRGALRQADKPGFVSAFCQTNCGDVSPNVLGAFCLDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFRKAVDLFNKASEQLKGKVDYRHTYLDFSQLEVTVPKQGGGSEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNPFWRLVRNLLKTPDKKQVDCQHPKPILLDTGEMKQPYDWAPSILPIQIFRIGQLVILSVPGEFTTMSGRRLRDAVKTVLTSIGNGEFGSNIHVVIAGLTNTYSQYVTTFEEYEVQRYEGASTLYGPHTLSAYIQEFQKLASALIKSQPVEPGPQPPDLLNKQISLLTPVVMDSTPAGKNFGDVSSDVPANSTFKIGNTVTVVFWSACPRNDLMTEGTFSLVEILQGKDTWVPRYDDDDFCLRFKWSRPSKLSPRSQATIEWTIPPSASPGVYRIRHFGAAKALLGSIRHFTGSSSAFVVA >EOY33841 pep chromosome:Theobroma_cacao_20110822:9:39130701:39136274:-1 gene:TCM_041695 transcript:EOY33841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutral/alkaline non-lysosomal ceramidase isoform 2 MMEVLASFCCYFQSPLRTIWLWISLVLVLQYSKTVLSDSNYLIGLGSYDITGPAADVNMMGYANTEQIASGIHFRLRARSFIVAEPQGKRVVFVNLDACMASQLVTIKVLERLKARYGDLYTEQNVAISGIHTHAGPGGYLQYVVYLVTSLGFVRQSFDVLVDGIEKSIIQAHENLRPGSIFVNKGELLDAGVNRSPSAYLNNPASERSKYKYDVDKEMTLLKFVDNQWGPVGTFNWFATHGTSMSRTNSLISGDNKGAAARFTEDWFEQNGIKSSYINDLGTDGIPRRVSNIIPNLHNNHHELLELAASFQSSPGRPATRTLSVARRVRGALRQADKPGFVSAFCQTNCGDVSPNVLGAFCLDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFRKAVDLFNKASEQLKGKVDYRHTYLDFSQLEVTVPKQGGGSEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNPFWRLVRNLLKTPDKKQVDCQHPKPILLDTGEMKQPYDWACLHTVWSTHTQCLHSGVPEACKCSYQKSTC >EOY33839 pep chromosome:Theobroma_cacao_20110822:9:39131344:39136274:-1 gene:TCM_041695 transcript:EOY33839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutral/alkaline non-lysosomal ceramidase isoform 2 MMEVLASFCCYFQSPLRTIWLWISLVLVLQYSKTVLSDSNYLIGLGSYDITGPAADVNMMGYANTEQIASGIHFRLRARSFIVAEPQGKRVVFVNLDACMASQLVTIKVLERLKARYGDLYTEQNVAISGIHTHAGPGGYLQYVVYLVTSLGFVRQSFDVLVDGIEKSIIQAHENLRPGSIFVNKGELLDAGVNRSPSAYLNNPASERSKYKYDVDKEMTLLKFVDNQWGPVGTFNWFATHGTSMSRTNSLISGDNKGAAARFTEDWFEQNGIKSSYINDLGTDGIPRRVSNIIPNLHNNHHELLELAASFQSSPGRPATRTLSVARRVRGALRQADKPGFVSAFCQTNCGDVSPNVLGAFCLDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFRKAVDLFNKASEQLKGKVDYRHTYLDFSQLEVTVPKQGGGSEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNPFWRLVRNLLKTPDKKQVDCQHPKPILLDTGEMKQPYDWAPSILPIQIFRIGQLVILSVPGV >EOY31412 pep chromosome:Theobroma_cacao_20110822:9:8657691:8659798:1 gene:TCM_038351 transcript:EOY31412 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0/V0 complex, subunit C protein MSGASMVGDSSSWSTALVKISPYTFSAVGIAIAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSAQIYAPESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPAKSV >EOY30795 pep chromosome:Theobroma_cacao_20110822:9:5800457:5802162:1 gene:TCM_037878 transcript:EOY30795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyol/monosaccharide transporter 5 isoform 1 MGLAPSFSFLMAGRVVAGIGVGYSIMIGPVYSAEISPAMTRGFLSSLPEVFINVGILLGYISNYALSSLPEHINWRLMLGLAALPAFAVALGVTVMPESPRWLVMKGRFVEAKRVLIKTSDSEEEADMRLAEMAKAAATLEQEPSSRSWHGQGVWKELLLRPSRPIRRILIAAIGVNFFMQASGNDAVIYYSPEVFKDAGIHGRKQQVGVTVIMGIAKTCFVFISALFLDHFGRRPLLLLGSTGMAISLAGLGLGSEYLRQCYEKPAWAIALCIVAVCADVSFFSIGLGPITWVYSSEIFPMRLRAQGSSLAISVNRVVSGIVSMTFLSISEKITFGGMFFALSGIMAVGTVFFYFFLPETKGKSLEEIEILFEDKPPDGNNKGLAS >EOY30793 pep chromosome:Theobroma_cacao_20110822:9:5799846:5802200:1 gene:TCM_037878 transcript:EOY30793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyol/monosaccharide transporter 5 isoform 1 MRFSSSQELDKQHHYHLLPDSDLQNDRTGRKWQKEVTKSETPKNKSQPDVSGRRRGRFNKYALAGAILASTNSILLGYDIGVMSGAALYIRDNLKINSTQVEILVGSLNVCSLIGSLASGKTSDCIGRRYTIVLAAATFLIGAILMGLAPSFSFLMAGRVVAGIGVGYSIMIGPVYSAEISPAMTRGFLSSLPEVFINVGILLGYISNYALSSLPEHINWRLMLGLAALPAFAVALGVTVMPESPRWLVMKGRFVEAKRVLIKTSDSEEEADMRLAEMAKAAATLEQEPSSRSWHGQGVWKELLLRPSRPIRRILIAAIGVNFFMQASGNDAVIYYSPEVFKDAGIHGRKQQVGVTVIMGIAKTCFVFISALFLDHFGRRPLLLLGSTGMAISLAGLGLGSEYLRQCYEKPAWAIALCIVAVCADVSFFSIGLGPITWVYSSEIFPMRLRAQGSSLAISVNRVVSGIVSMTFLSISEKITFGGMFFALSGIMAVGTVFFYFFLPETKGKSLEEIEILFEDKPPDGNNKGLAS >EOY30794 pep chromosome:Theobroma_cacao_20110822:9:5799848:5802155:1 gene:TCM_037878 transcript:EOY30794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyol/monosaccharide transporter 5 isoform 1 MRFSSSQELDKQHHYHLLPDSDLQNDRTGRKWQKEVTKSETPKNKSQPDVSGRRRGRFNKYALAGAILASTNSILLGYDIGVMSGAALYIRDNLKINSTQVEILVGSLNVCSLIGSLASGKTSDCIGRRYTIVLAAATFLIGAILMGLAPSFSFLMAGRVVAGIGVGYSIMIGPVYSAEISPAMTRGFLSSLPEVFINVGILLGYISNYALSSLPEHINWRLMLGLAALPAFAVALGVTVMPESPRWLVMKGRFVEAKRVLIKTSDSEEEADMRLAEMAKAAATLEQEPSSRSWHGQGVWKELLLRPSRPIRRILIAAIGVNFFMQASGNDAVIYYSPEVFKDAGIHGRKQQVGVTVIMGIAKTCFVFISALFLDHFGRRPLLLLGSTGMAISLAGLGLGSEYLRQCYEKPAWAIALCIVAVCADVSFFSIGLGPITWVYSSEIFPMRLRAQGSSLAISVNRVVSGIVSMTFLSISEKITFGGMFFALSGIMAVGTVFFYFFLPETKGKSLEEIEILFEDKPPDGNNKGLAS >EOY31370 pep chromosome:Theobroma_cacao_20110822:9:8394994:8398868:1 gene:TCM_038310 transcript:EOY31370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein isoform 1 MGSYGKLATRVLDTDVPVMVEMPELTKGATDPIPLGKGAVYWQPPEQALEKVKQIAWEPSTSNYGTHEGLPELKDALTKKGFLNLVLTLCDVTDSVVLFAPYFFNAHMSFRMTGITNILVGPSNPKTLQPDADWLERILSETKPTPKLVSIVNPGNPSGNCIPEPLLKRISDICKKAGSWLVVDNAYEYFIYGSSKHSCVEGNHVINLFSFSKAYGMMGWRVGYIAYPLEAEDLRIQLLKVQDNVAICAPMISQHVALYCLDAGHEWVKNKVKTLAKNKELIMKALSPLGEDAVIGGEGAIYLWVKLPERYLNDYEVVSWLARKYGVVVLPGSACGVNGCIRITYGAVIEEKCDIAAKRLRNGLEELVTYGMVE >EOY31369 pep chromosome:Theobroma_cacao_20110822:9:8394994:8398514:1 gene:TCM_038310 transcript:EOY31369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent transferases superfamily protein isoform 1 MGSYGKLATRVLDTDVPVMVEMPELTKGATDPIPLGKGAVYWQPPEQALEKVKQIAWEPSTSNYGTHEGLPELKDALTKKLCQENKLCNSSVMVTAGSNQGFLNLVLTLCDVTDSVVLFAPYFFNAHMSFRMTGITNILVGPSNPKTLQPDADWLERILSETKPTPKLVSIVNPGNPSGNCIPEPLLKRISDICKKAGSWLVVDNAYEYFIYGSSKHSCVEGNHVINLFSFSKAYGMMGWRVGYIAYPLEAEDLRIQLLKVQDNVAICAPMISQHVALYCLDAGHEWVKNKVKTLAKNKELIMKALSPLGEDAVIGGEGAIYLWVKLPERYLNDYEVVSWLARKYGVVVLPGSACGVNGCIRITYGAVIEEKCDIAAKRLRNGLEELVTYGMVE >EOY30312 pep chromosome:Theobroma_cacao_20110822:9:4196370:4198334:-1 gene:TCM_037569 transcript:EOY30312 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box associated ubiquitination effector family protein, putative MKPCDLSSDVMFEILSRMPLKMLRRCRLLSRECNSLTYESSFMRLHCQRTNTIAGYFIQTSKKSRGYSTFCSIDNPGVASDQLTLDFLPETVHIRATADQGLMLCESQRSQNRYYVCKPGTRQWETIPNPNPRYFTCKTAMLVIGSDPLRFKLVRLSDPEPKEAELESEYSEPELDNYRRYPCEIFDSEIWAWKQLDDVMLSYDEFFDLGHAISAYGGLHWLTSTGDKKNILSFNEDKESWESVSLPESFCHGDHCYHVNLAEYEGKLALIYQRPEIPLLELWVMKDYYGKLWSKKHRVNLADFCKENGFYSAYTLYNADMIFMQGYYTAIFYNFKNGQFDRLRLPSTHMFSESAFFFQTDYEPIILRQPREKLSMPHCYSTLIFMLFLLFVPLLISFTLGV >EOY34367 pep chromosome:Theobroma_cacao_20110822:9:40799785:40803918:1 gene:TCM_042059 transcript:EOY34367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein 2 MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGETFESPHYCSTLRQAEHSAAEVALQSLSNRGPSHSLAARILDETGVYKNLLQEIAQRVGAPLPQYTTFRSGLGHLPVFTGTVELAGITFTGEPAKNKKQAEKNAAMAAWTSLKQLAKETASSSSEPENNDELEQITIARALLNYRIKEKMAMANSSSAPIPFTKRFPIQNPRPTSPQPPATTSKILPLICPKVVPRNRSMSATANDKHILPQSQASIPESRGTRPQKFPAAGAAPYVPIRQFRTPCHGIAPPVTIRTAVPVFSAPPRPAPSAVSSQPPASAVPTQPAQSVLPAQPPQSAVPRLQSPSTLPTQVLRAPPVRIAPPVTIRQVVPVFAAPPVRKEDIPSVRNEDVPTVIASALPNKSPAQVEEAASTIAKNLREIETVQSIEQLKI >EOY33160 pep chromosome:Theobroma_cacao_20110822:9:35720249:35735169:-1 gene:TCM_041142 transcript:EOY33160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSYLRVPPSQYVGMALPKGSHPKSDLLNRRRRRLPFPFLPSIGDPSFPFHRCRSNLSPNHRSVLLVSPSSFFDRRQVHQSNLLSATKSFLFYRCWLPRSDLSSPSSTVGRLSLFAFSYRRSALALDLSSLQLLDVASVAPFLPSVAKALDYPSTTVQIPPKIQNKKKVPAPKFDYSPSIVKLGFPLVAVLWFLGCLGIFLGLPIASASTTLPDAIFSRLVGRVVPSRVRTHPARLFTDGSSKNRQLVAGGGVLRDHTSMLVFGFSENIGSSNSLQAELQVLLRGLLLCQEYNINNLWIEVDALVVIQMIQYSQKGSHDIRYLLESIRKYLQNISYRNSHIYRESNQAANFCLIKATVIRIFVYLLKHEANYVILVHR >EOY33565 pep chromosome:Theobroma_cacao_20110822:9:38091352:38092027:-1 gene:TCM_041511 transcript:EOY33565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMAGVKRKQVKKLRSGGQKREKFFLVMYPHLMRVCIKYKGIEASENGMVQFWIQQ >EOY31601 pep chromosome:Theobroma_cacao_20110822:9:10307926:10319267:1 gene:TCM_038568 transcript:EOY31601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGMLRGSQIEIKLKVTGVKSIRKQMEVKLEEIPLHVWHENGFKAIGDMWGRFINAEKDTMESWRLDQALICVKVKSLKHITTNTHLKVNGRDYFIRATIVDVVKSEPRVRVSNFGEGTSDSKLESRWSEEGWCEDWVEFDGCTPIEENCRRTVARIKKEECLQSLLTRLDNNGYFSEKWECDRIGLVDLEVKNQALLNKWIWRYKKKRDSLWREVLVQKTSCDPNELLPNMMKKSGKITKFSSWEEGIWVWNVQIRRQLLDYEVEQWEQFEGSLKEFHLSKDFKDEMSLSCEDLAISFR >EOY30010 pep chromosome:Theobroma_cacao_20110822:9:3051595:3055102:1 gene:TCM_037365 transcript:EOY30010 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like protein tyrosine kinase family protein MNSAGTPEQKKATLSYYHQALVTSSQVKEEKSSTATPSSRQFCCRFTDWFRMGSVVSTNPQQQRQQQQQGKQQRLERPTSKLEEQVTDLEKEVEKQKEVHAMYKKRMESTQDYLRYCLQVAQENGFLDLIVNNKYPISPNVINGNVSPRVPAFVSLQSDLAILINQAKMDGWYIDPVEIKLQEIIGQGSTANIYRGIWRGLDVAVKCIHPDFFHKNENGVTFFAQEVETLARQRHRFILQLMGACLDPPTHGWVLTEFLSMTLKDWLHGPGNRRRERVKPIPPLQERLTIALEIAQAMQHLHEQKPRVIHRDLKPSNIFLDDAKHVRVADFGHARFLSEEEMALTGETGTYVYMAPEVIRCEPYNEKCDVYSFGIILNELITGNHPYVETDYGPAKIALEVGEGKLRPALPEDNGKLGELIDLICLSWDGDASVRPTFANITSTLREIQNRIKETV >EOY30282 pep chromosome:Theobroma_cacao_20110822:9:4061323:4063183:-1 gene:TCM_037548 transcript:EOY30282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMGDGVPTPLIEGAYQQTNMKKYPTLRPTHLAFLVQQCRASILSTSPVPRDKSRLPNRRFTFISLAWLGQ >EOY34354 pep chromosome:Theobroma_cacao_20110822:9:40755187:40760385:-1 gene:TCM_042049 transcript:EOY34354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase, putative isoform 2 MLLFWWQRLPLLLLVQLLEPNCLSYKLYTDGLLICKSTAPSPQQTSSRFGRFPCLRTWAYVNCYQPEDVNVVSLRIAASVDGENGVPTLTPLQAGDKKPRKQTIAAIIGGASAALLVVIILVLVYICLMRVKRLMRRTSETASSMPSPTVELGRVNTSHYADAPSPQNLRQLTMSELEHATQNFSQSNIIGEGRFGLVYKGLLQDGAIVAIKRCLNTRHHCFLHEVKQIAQVNHKHLVKLVGYCGNSHQQFLVYDYIPNGNVGNHLYDSEGSPTGKLNMRQRLLIALGAAKGLEYLHSLAPPLLHMHFKSSNVLLDENITAKVSNYGLSKLVSEDRLHASSSAIDCFLDPELYSSKKFSVQSDVYSFGVFLLELASGREAHCRDQSNPEPSLIMQAMENNNLVEFVDETLGDKSMSGAKQVVELALQCLDISPRRPSMKRIVQDLERIQEKEIGRLHLELGEEIGAVTLGSELFK >EOY34353 pep chromosome:Theobroma_cacao_20110822:9:40755312:40758949:-1 gene:TCM_042049 transcript:EOY34353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase, putative isoform 2 MLLFWWQRLPLLLLVQLLEPNCLSYKLYTDGLLICKSTAPSPQQTSSRFGRFPCLRTWAYVNCYQPEDVNVVSLIPGISYISLPSRLIQFFSFAPNFIPSNSPIQSQEKLSKSRNRRIAASVDGENGVPTLTPLQAGDKKPRKQTIAAIIGGASAALLVVIILVLVYICLMRVKRLMRRTSETASSMPSPTVELGRVNTSHYADAPSPQNLRQLTMSELEHATQNFSQSNIIGEGRFGLVYKGLLQDGAIVAIKRCLNTRHHCFLHEVKQIAQVNHKHLVKLVGYCGNSHQQFLVYDYIPNGNVGNHLYDSEGSPTGKLNMRQRLLIALGAAKGLEYLHSLAPPLLHMHFKSSNVLLDENITAKVSNYGLSKLVSEDRLHASSSAIDCFLDPELYSSKKFSVQSDVYSFGVFLLELASGREAHCRDQSNPEPSLIMQAMENNNLVEFVDETLGDKSMSGAKQVVELALQCLDISPRRPSMKRIVQDLERIQEKEIGRLHLELGEEIGAVTLGSELFK >EOY34355 pep chromosome:Theobroma_cacao_20110822:9:40755464:40759279:-1 gene:TCM_042049 transcript:EOY34355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase, putative isoform 2 MGVCQLLSTRRCECCFPLSKSRNRRIAASVDGENGVPTLTPLQAGDKKPRKQTIAAIIGGASAALLVVIILVLVYICLMRVKRLMRRTSETASSMPSPTVELGRVNTSHYADAPSPQNLRQLTMSELEHATQNFSQSNIIGEGRFGLVYKGLLQDGAIVAIKRCLNTRHHCFLHEVKQIAQVNHKHLVKLVGYCGNSHQQFLVYDYIPNGNVGNHLYDSEGSPTGKLNMRQRLLIALGAAKGLEYLHSLAPPLLHMHFKSSNVLLDENITAKVSNYGLSKLVSEDRLHASSSAIDCFLDPELYSSKKFSVQSDVYSFGVFLLELASGREAHCRDQSNPEPSLIMQAMENNNLVEFVDETLGDKSMSGAKQVVELA >EOY29607 pep chromosome:Theobroma_cacao_20110822:9:1742221:1746075:1 gene:TCM_037103 transcript:EOY29607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 34, putative MVPKFVIVLLHIFLSLGFHPSRAQNWIQAGYWFSGSEFPAADINSALFTHLICAFAGLNSSSYQLSISSSDEQYFSVFTNTVKHKNPSITTLLSIGGGSANHSVVVSMVSNSSNRKSFIDSSIKMARLYGFQGLDFSWVSANTSSDMSNIATLFDEWRSAIDSETRNTSQSQLILTAAVPENFTRVHAALYDPASNVNADFGIESWINGGLPASKLVLSLPFYGYAWTLVNPKDNIIGAPASGPAISNDGAVSYKDIRNYMQRYGANSVYNATYVVNYCTVGSTWIGFDDVEVVKIKVSYSKEKKLLGYVVWQVPYDDNWVLSKAAYANGGNRQKKGRLFLISILIPIALVMILLGVLTYYFRRVKRKREGVVDVAKKFKYKASHMAAAGDFNSNVPNLMVYTFSDIEVATDRFSFEKKLGEGGYGPVYKGVLADGQEIAVKKLSKTSTQGFEEFKNEVMLTAKLQHVNLVRLLGFCIDREEQMLVYEYMPNKSLDYYLYDPVRRYLLDWKKRVEIIEGVTQGLLYLQEYSRLTIIHRDLKASNILLDEDMKPKISDFGMARIFTKDEVEANTGRIVGTYGYVPPEYVKKGIYSTKSDVYSFGVLLLQIISGKRNAHLHGSHENLSLLDFAYELWTEGKGMEFMDPTLDDTASSCKLLRCMQIALLCVQENANDRPTMLEVSSMLRNETTPVAIPKRPAFSTKHSEDERKKSNLQLEICSIDSSPITQVVGR >EOY32704 pep chromosome:Theobroma_cacao_20110822:9:32927458:32928087:1 gene:TCM_040730 transcript:EOY32704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVQCSPQLTVLLPVLPPVQRGSIFLIYPCHRYSNHTRYQEVHTFVIIEELLKNVSE >EOY34433 pep chromosome:Theobroma_cacao_20110822:9:41082553:41087527:1 gene:TCM_042115 transcript:EOY34433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein MITYEQEHDFVKWGLQLFNSDPYANYGYGGELTQEDGDYYPGNYFKEEYYNAEECCNVENDEAIAHTLQLQELSQLAVVGSPNQGEEEEEEAVEEEEEEEEEEEEALQLQVSGYPQDCIDQSVGDFGSGQDCGQEEQDDTAPSSSCSSPEEKLLCEEEDWSYILELTDEYALDGEVGKRLNQMVPVPHVPKINGEIPSVDQATLDHQRLLERLQVYNLVELKVEGDGNCQFRALSDQVYRTPEHHEFVRQEVVNQLKSYPDIYEGYVPMAYGDYLQKMSKSGEWGDHVTLQAAADAYGVKIFVITSFKDTCYIEILPNVQRSKRVIFLSFWAEVHYNSIYPFGETCLHLGLRRRKGGECFGTSSIWSHQMNINERADSLNYRVFYVAACCFCKKLAHLSRQLFFDHAKFSVDFKGQTSNQGQRHHLASMLHLIVNSLGSKSILI >EOY29912 pep chromosome:Theobroma_cacao_20110822:9:2785624:2788713:1 gene:TCM_037296 transcript:EOY29912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfoquinovosyldiacylglycerol 1 MAHLLSTTCSVKISSSYRPCGQPLNQVRTALPTSFTIQTSKSPFKRLVFQGDRGGRSFVVRATAAPVRQEVSAQPGSGSNQSPNEPSKPQRVMIIGGDGYCGWATALHLSNRGYDVAIVDSLVRRLFDQQLGLDSLTPISSIHNRLRCWKATTGKTIDLYIGDICDFEFLSETFNSFEPDAVVHFGEQRSAPYSMIDRSRAVFTQKNNVIGTLNVLFAIKEFREQCHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVRTDETSMHEDLYNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAQPGEFRVFNQFTEQFSVNELAALVTKAGEKLGLDVQTISVPNPRVEAEEHYYNAKHTKLIELGLKPHLLSDSLLDSLLNFTVKYKDRVDRKQIMPNVSWRKIGVKPQTVPAN >EOY33900 pep chromosome:Theobroma_cacao_20110822:9:39291734:39293018:-1 gene:TCM_041738 transcript:EOY33900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7, putative MAEIHVIISSCDRNVGIATALRRKPVLVNFTSKLEQTMADEDEVKLFGMWASPYSRRVELALKLKGIPYEYVEEDLSSKSCLLLKYNPVHQKIPVLVHNGKPIAESLVILEYIDETWTNNPILPQDPYDRAMARFWAKFIDEKLLMTTRKASVASGKEKEQAIEEITEQLKLLESELQGKEYFSGPSIGYLDIVASVLVFWFGNLQEALGVDMFTKEKFPIIFGWIGKVAEIDAVEVCRIPKEKHLEYIRTRLEAFKSASK >EOY33351 pep chromosome:Theobroma_cacao_20110822:9:36998697:37000844:-1 gene:TCM_041329 transcript:EOY33351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short chain alcohol dehydrogenase, putative MHICIYNAIHKSSVSHTQVIISTYARLSYCIGNGGVTVPFQPSRGTMTFIWSSLVHLRASSKSIKILFNCSISQYGKLDIMLNNAGIIEVPKPNILDNDKSDFERVLNVNLVRAFLGTKHAARVMKPASRGSIITTASICSKVGGIASHAYTSSKHGVVGLMRNAAVELEQFDIRVNCISPYVVATPLAKNFLNLDDEGVHGIYANLKGAILEREDVAGAALFLGSDESKYVSGLNLIIDGGVTITNSGFSMFRKSE >EOY32255 pep chromosome:Theobroma_cacao_20110822:9:24946229:24948734:1 gene:TCM_039932 transcript:EOY32255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKWILLSRSCGTFAVWDPLDHDTRTSIIDDFVFYDNQERALKEKKARDPAFSPVDASYLCAGDSVNQMSHALAQTLRLNEDREVPSREMGCSSGTDALASLANESINENFEFILVLQLEDKLNSKEVSVGDNGRDHQNSAC >EOY33953 pep chromosome:Theobroma_cacao_20110822:9:39468013:39472775:1 gene:TCM_041779 transcript:EOY33953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIA subunit 1 MASSTTSAVYIHVIEDVINKVRDEFINNGGPGETVLNELQGIWEMKMMQAGVVCGPIERSSGQKQPTPGGPITPVHDLNVPYEGPEEYETPTADMLFPPTPLQTPVPTPLPGSADGSMYNIPTGSSDYPTPVTDGGGNTDAKGARPSPYMQSPSPWPNQRTPLSVDVNVAYVEGRDEVDRGPSHQPLTQDFFMMSSGKRKREDFTTQYHNGGGYIPQQDGAGDAIYEVAKAEGSKGSDFLDRRDSTTTAKSEILAHLARSSSKIPQLDGPIPDPYDDMLSTPNIYNYQGVVNEDYNVVNTPAPNDLQAATPAPVAQNDTGDDDDDEPLNEDDDDDELDDVDQGEELNTQHLVLAQFDKVTRTKSRWKCTLKDGIMHINNKDILFNKATGEFDF >EOY33338 pep chromosome:Theobroma_cacao_20110822:9:36776926:36777644:1 gene:TCM_041294 transcript:EOY33338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPQANNESKKLAFTAKIESNNFPKTDRQSSYYAALDSELDSSSPLISTYTSLKDLMPSSKGSQSQNNPYSAGSTIASAYEIPITNLLVKKAAWVYLQPMALPPNSSSTTPKEHILCRAWVEVKSPVKACFRFIRRTIIHKIACAFEKFSGAILFGRSSSLGMNHN >EOY33859 pep chromosome:Theobroma_cacao_20110822:9:39187713:39189917:1 gene:TCM_041706 transcript:EOY33859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MATTLANRAATNQTIGLSRLVSNFFRNLSTASSTQNPRASSSSASKKPKRKKKKNLFEVAQFLPNWGLGYHMAKTHWTDVSYQITKINLYKDGRHGKAWGVVHKDGMPAADAPKKVSGVHKRCWRYLPHLSKPEKMTPHLMKPTENAPKPEAQVA >EOY33860 pep chromosome:Theobroma_cacao_20110822:9:39187692:39189809:1 gene:TCM_041706 transcript:EOY33860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MATTLANRAATNQTIGLSRLVSNFFRNLSTASSTQNPRASSSSASKKPKRKKKKNLFEVAQFLPNWGLGYHMAKTHWTDVSYQITKINLYKDGRHGKAWGVVHKDDAPKKVSGVHKRCWRYLPHLSKPEKMTPHL >EOY30667 pep chromosome:Theobroma_cacao_20110822:9:5335629:5339998:-1 gene:TCM_037799 transcript:EOY30667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 ACVIDSISRHTCRIPISLFPSPFISFIPLLFLPSFSSFFFSVTHMEPLLEEHEEQRFKRVGSLREPDLDSTSSSLSSILSAEDSRSTTSSGDISGTSGSSGEILTAEAVVPRLLEPAPACEELTVTVAAKQKCVGRNNKGVTWGFTSVIGRRREMEDAIAVIPAFMSRTCDHVGGCTAPGSKTSAEISPIHFFGVYDGHGGSQVAKFCAERMHGVIAEEWDHEMVESSGWQRRWEVAFSSSFERADDEVRTAAVAPEMVGSTAVVVVLSGCQIITSNCGDSRAVLCRGTETIPLTVDQKPDRQDELMRIEREGGRVINWNGARVFGVLAMSRAIGFPLSLLLYFISNFLFSNYRSFLNHMCS >EOY30666 pep chromosome:Theobroma_cacao_20110822:9:5332975:5339946:-1 gene:TCM_037799 transcript:EOY30666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MEPLLEEHEEQRFKRVGSLREPDLDSTSSSLSSILSAEDSRSTTSSGDISGTSGSSGEILTAEAVVPRLLEPAPACEELTVTVAAKQKCVGRNNKGVTWGFTSVIGRRREMEDAIAVIPAFMSRTCDHVGGCTAPGSKTSAEISPIHFFGVYDGHGGSQVAKFCAERMHGVIAEEWDHEMVESSGWQRRWEVAFSSSFERADDEVRTAAVAPEMVGSTAVVVVLSGCQIITSNCGDSRAVLCRGTETIPLTVDQKPDRQDELMRIEREGGRVINWNGARVFGVLAMSRAIGDRYLRPWIIPVPEVTFMTRTDEDECLILASDGLWDVMTNEEAGEVARRLLRRRRRSLMADGISPAQAVADNLTEIAIARNSSDNISIIVVDLKPKRKRQPRQ >EOY32438 pep chromosome:Theobroma_cacao_20110822:9:29418967:29426132:1 gene:TCM_040362 transcript:EOY32438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLAFKSLVEEDKRNTKSESENEDNMAMLARKFDRFMKDFRARKPTKRDVAKVEYLINYLIWLDYDFTQKEIHLKNLLTKENEKYDGSSRCICCFKFRHLTSSCPLRIVTQRDKVSKNVWVLKGNKSSQYKIVESDMVHKRTKVTNVLEIFNNALGEISTNGVLRY >EOY30736 pep chromosome:Theobroma_cacao_20110822:9:5632130:5635048:1 gene:TCM_047094 transcript:EOY30736 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDK-activating kinase 1AT MERPPPKSWSIHTRPEIVAKYEIMERVGSGAYSDVYRARRLSDNLIVALKEVHDYQSAFREIEALQMLQNCPNIVVLHEYFWREDEDAVLVLEFLRTDLAAVIREAKRKEGGVRLGEVKRWMLQILCGVDACHRNMIVHRDLKPGNLLVSDDGVLKLADFGQARMLMEPRFVADNDNQQPYEQNTGYHENISPPLDAVPGTDSLQNPGYNNQVEEDMSREEYFRQFVELKAKRHALDETDKETNINDGNTSCLATGTISDIEDDPLKSSYSYEAEEGGDDEHGALTSCVGTRWFRAPELLYGSTDYGLEVDLWSLGCIFSELLTLEPLFPGISDIDQLGRIISVLGNLTEEVWPGCCKLPDYRTISFAKIENPTGLEACLPNRSPYEISLVKRLVCYDPANRATAMELLNDKYFNEEPLPVPISELHVPLTKNDHDEDSPGGWNDYNDMGSDSDFDDFGPVNVRTTSSGFSMQFS >EOY31178 pep chromosome:Theobroma_cacao_20110822:9:7400394:7410762:1 gene:TCM_038159 transcript:EOY31178 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein, putative MSDPSSHSPPPESPVTVDRRTDHSCLTKWTVCYNSKLKTKKTVYSPYFDVGGFDFRLLLYPRGDSLAPPSYLSLYIQINDPRCSSKFDCFASYKLSILNHEDKSKSLTRESYYRFCSKKKTIGWGDFGVATSVFDPKSGFLNNGSLLVSVEIAILDESVSFSRDNNNTNNNEGEYSISSLGCDSVVLNGKFTWKVKNFSLFKEMIRTQKMVSSGFQVGQVVVHINVNKTKVDGVECLSLFLEANEAHKCRKCVALVMSSWSLFRISVLNQRPGLNHMHRDSYGRLSWDNSNGDDTTLGWTDYMKMFDFIGSDKGFVVDDTVVLTVSFNAIKESNITLSAGLRNSSVTKKGDTYMGKYSWKIENFTRLKDILKKKKMKGVFAKSKKFQIGNHEFRLVVYPRGLSQKPSHFSMFLEVSDPRNATTDWSCFASYQLAIMNQKMRDNSIVKESQERCSKATKELGWSEFVTLTSLFDKDSGYLVQDTVIFGADVLILKETFKMQDIPESSNKPARKDGDKKRWSITWKVENFLSFKRILATQNIYSKYFQVDKLELRMGVHVLSDTIYAYLECDPSVVNDPDKDFWLMKLSNMLEADAGFVIGEMVTFVCEILDYCPWFDFSELEVLGDVTPTKLHKTTSSETCKVMNGCNVDISRQLLETARDHLNVEHDTSLILVILKEELKKDLGTFAGVLVGMRLYLDNPVKLNYFFRQLSSSMDTGQVNVNADKFPSKLAASIMDADFLRQKIDNALLDVMVECCQRLNSKSGEDPYDPSSKPCLDTNEASSQSKFHWQSTLPHFLRSLIYERFFGIDDCMDNGSNTSVKANLGQHDSSSESSEEILAFIVNSLKDLDDDVTQDPSEPSRGCQFVEKILILLDEAPKHLLPDLVSLLPKLAYWFEHKVVASALLDQLLQPGAESSMRLPFVRANWQTKGVKVLEAMCKLHFGTDVWECAFSQASEVVGDLNGEALGAAICLLFKAASQCRQIPQAVSIIHQRLESLGTEVSLYVLDLLGKTLNSSGDLAVTMLGEIDSVFSLDQKHLTHCISPSSSGENGLATRSLHAGDHHFSDIFMLLEMLAMPAIAMETTQAFEKGIANGFIMDHSVKMVLEKCASILSVNVVSSEKHQFGDTETAGKGRMDFLYPEVFTLLSGLADKLFLSRHSQVRGFLRKFYSLILTLFADENYQKKVLRRLVDHATSAANNCCETSLDVLVFLVHKECKVARLVLDMIRDDFQLANSDRSALQSQLCAREDENIRAEEELRTELSKMRIEKATLLERLHESEATILDYKSEMRLEMDRSAQEKKELSKQVQAVHRQFQHICSKQNDKLVKLSNEKKVYQDHLRGLEMQLSQLKTQKDRELKKVVKEKNVLAERLRNAEAERKRFDEERRRCALQVVAQEAVQQSLLDEVQQLKQNLGQIKREKQEKEEEVEHCKTYNDELKVALNTCQQYIQSLRASLQEEMLRHAPLYGYGLENLSMKELETLSGIHEDGLREIRAIQHRVRSNSESLPPYMTADTLQNFDGDVPSSPLSCCFSQPK >EOY31594 pep chromosome:Theobroma_cacao_20110822:9:10291510:10292458:-1 gene:TCM_038564 transcript:EOY31594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSLSSKNTTCLPTTSSNPTLLFANLQNPLININAATYLPIKLTLLNFPSWRTQLKSFLVGYKLLGYVNGSTPCLLTTIPQKESVVPFFTSAETSFDARAKINKLYANKYRSQMMNLREKLKKSKGTKIVAKYFQNLISIANELALVNSLVSGDELVIHALNGIGFDFRKLQVV >EOY33195 pep chromosome:Theobroma_cacao_20110822:9:35859422:35868485:1 gene:TCM_041161 transcript:EOY33195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde oxidase 2 MGEVTETRKDSLVFAVNGQRFELSNVDPSTTLIEFLRYQTPFKSVKLSCGEGGCGSCVVLLSKYDPVLDQVEDFTVSSCLTLLCSVNGCSITTAEGVGNSKDGFHAIQERFAGFHASQCGFCTPGMCVSLFSALVSADKTNRPEPRPGFSKLTVAEAEKAISGNLCRCTGYRPIADACKSFAADVDMEDLGFNSFWKKGESDEVKLSRLPSYNHTNASSKFPEFLKKEIKASATLVSEGYRWYSPVSLEQLQSLLQMSEDNDGTSIKIVVGNTGTGYFKELLCYESYIDLKYIPELSIIRKDQIGIEIGAAVTISKAIKALKEENEYEFHQGKIVFKKIADHMEKIASAFIRNSGSVGGNLVMAQRKQFPSDLATILLSVGTLVNIMTGQKVEQLSLEELLEMPPLHSRSVLLSIKIPCRESTKDISSATDTNLVFETYRAAPRPMGNALPYLNAAFLAEVSLCSNSTRVTLNNCQLAFGAFGTKHSIRARKIEEFLTGKLLTVGVLYEAIKLLETTIIPEDGTSNPAYRSSLAVGFLFEFLSPLVDTPTTISSCWLNGYNDAEWFMDSKIKQNNDQFGEIKLPTLLSSGRQVIHSSKEYHPVGEPIPKTGAAIQASGEAVYVDDIPSPSNCLHGAFIYSTEPLARVKGISFKAGLSRDGVTALISVKDIPGENVGCTSILGDEPLYADEVTQCAGDRIAFVVADTQKQADLAANLAVIDYDKENLEPPILSVEEAVARCSFFKVPPFLCPEQVGDFSKGLAEADHQILSAELKLGSQYYFYMETQTALAVPDEDNCIVVYSSNQCPEFAHDTIAKCLGLPGHNVRVITRRVGGGFGGKAIKSIPVATACALAAYKLKRPVRIYLNRKTDMIMAGGRHPMKITYTVGFKSNGKITALKLDILLDAGIYSDVSVVIPQHMLGTLKKYDWGALSFDIKVCKTNLPSRSAMRAPGEVQAAFITEAIIEHVASTLSIEVDSVRNINLHTYNSLDLFYKSNAGELLEYTLPSIWDKLASSSSFYQRTEMIKEFNRSNKWRKRGISRVPTVHEVLVRPTPGKVSILKDGSIVVEVGGVELGQGLWTKVKQMTAYALSLVQCGGTEELLEKVRVIQADSLSLIQGGVTAGSTTSESSCEAVRLCCNVLVERLTALKDSLLEQMRSIEWETLILQAYLSSVNLSASSLFIPGISTATYLNYGAAVSEVEINLLTGETTTLRTDITYDCGQSLNPAVDLGQIEGAYVQGLGFFMLEEYPTNSDGLVIANGTWSYKIPTVDTIPKQFNVEILNSGHHQNRVLSSKASGEPPLTLAVSVHCATRAAIREARKQLVSWSGQNELSESTFHLEVPATMPAVKELCGLDSIQTFLRWTMRKK >EOY31740 pep chromosome:Theobroma_cacao_20110822:9:13494848:13496797:-1 gene:TCM_038857 transcript:EOY31740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLVKSLPLVCVFRRQPRIFPKILKSSINQAFLDGFTSHSCFKSPYCITHHPGHFIIKNISGNCRHTVTILLVSTLLSVTTFLSSALINFPVVIFHHSL >EOY34043 pep chromosome:Theobroma_cacao_20110822:9:39772110:39778484:1 gene:TCM_041845 transcript:EOY34043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan family protein MAISFPISNITPLTFFYLLLLSSSSSTITTTALNITTILSSFQNLTSFSSLLSSTSVASDLTGRDSITLLAVPNSWLSLSPSSDLTRRLPPSSLGDILRYHILLQYFSWTDLLQIPPSGVLVTTLLQTTGRAHSNFGAVNITRNPLTNTITIQSPAPYSPSNATVLSLVKTLPYNITILAVNSLLVPYDFNLMASETRPPLGLNITKALIDGHNFNVAASMLSASGVVAEFEADEGGAGITLFVPTDDAFADLPGNLKLQSLPADKKAVVLKFHVLHSYYPLGSLESIVNPVQPTLATEDNGAGSFTLNISRVNGSVAIDTGILQASVTQTVFDQNPVAIFGVSKVLLPREIFGKDPAGVTSKPGNAVVGTTVQPPEISPPPENSLGLSGPASHLSSPPGFRAEIKSDGENYRVQSCIVALCCIGLLNKLEANVLGLPILGLLLNEPIDSYPTPLNIYNPLNCFRAEPMAINLGLNNKLTPICEEEEEPRPGTL >EOY33473 pep chromosome:Theobroma_cacao_20110822:9:37699082:37702516:-1 gene:TCM_041447 transcript:EOY33473 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MGKRDKKQRHQQRTSQRGASYYTAQHDDDYEDETYNFQQQSQDSEERQQEEEEEPEEENDPNPSTEMPSKFLLYQQSVQSPKGDISYLQKFFLMYVGGRLPLHLQEDFCGTALLRCKATAVLITEAPVALLIFTSDIWLKNMVALLVGIFVNASDHLYISPKCTEWLRTDSRRTAVGLDLDLEALQWCLENNINKVGADGYSRISLFHGNVLKPRQAKLVSFKPQELIRNIQLEESDDNSKIAAIEPNMHEGSTASSNEEFIEAESEIPARDIVCAFNYSCCCLHKRVELVLYFKHVLEALSRKGGIFVMDLYGGTSSEQSLRLQRRFPNFTYTWEQAEFDIIERKTRISLHFHLQKQQKKLRHAFSYSWRLWSLPEIKDCLEEAGFQSVHFWLRKMPDTSEIRCTEGFGIGRDVKYEEVKSFQQEDAWNAYIVAHSSQCAGKFSSMPVLQTCCSNNSHNPEIK >EOY33474 pep chromosome:Theobroma_cacao_20110822:9:37699534:37702531:-1 gene:TCM_041447 transcript:EOY33474 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein isoform 1 MGKRDKKQRHQQRTSQRGASYYTAQHDDDYEDETYNFQQQSQDSEERQQEEEEEPEEENDPNPSTEMPSKFLLYQQSVQSPKGDISYLQKFFLMYVGGRLPLHLQEDFCGTALLSTEWLRTDSRRTAVGLDLDLEALQWCLENNINKVGADGYSRISLFHGNVLKPRQAKLVSFKPQELIRNIQLEESDDNSKIAAIEPNMHEGSTASSNEEFIEAESEIPARDIVCAFNYSCCCLHKRVELVLYFKHVLEALSRKGGIFVMDLYGGTSSEQSLRLQRRFPNFTYTWEQAEFDIIERKTRISLHFHLQKQQKKLRHAFSYSWRLWSLPEIKDCLEEAGFQSVHFWLRKMPDTSEIRCTEGFGIGRDVKYEEVKSFQQEDAWNAYIVAVTN >EOY29609 pep chromosome:Theobroma_cacao_20110822:9:1747855:1751153:1 gene:TCM_037104 transcript:EOY29609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 34, putative isoform 1 MVYKIIIVLLYIFLCLVLHPTKAQTWIKAGYLLGGEFPLADINTDLYTHLICAFADLNSSSYQLSISSANEQYFSDFTNTVKQKNPSITTLLSVGGGNADFSSMVNNSSHRKSFIDSSIKVARLFDFQGLDFSWVSVETSSDISNMASLYEEWRAAIDSETRNLSQSQLILTASVPYSPHISESLSFPVDSMKENLNWVHVMAYDYHTPLRDRLTGAHAALYDPDSNADTDYGIGEWINSGLPATKMVLSLPFYGYAWTLANPVNNAIGARANGPANRTANGPSETKDGDVSYKDIRKYIQEHGANTEYNATYVVNYCTVGATWICFDDVQAVKTKVKYAKEKKLLGYVVWRVPNDDNWVLSQAANSIGNRRKNRLLLILIILIPIVAVVVLLGAVSYYFRTTKSKSKGMVQKQTAKESKSKPKGTAANGDFYSGVPNLTVYAFSAIEVATDGFLNENKLGEGGYGPVYKGMLPDGQEIAVKKLSKTSNQGFEEFKNEVMLTAKLQHTRSESFYWTGENVLRSLKGLLKGFCIFKNTLDLRLFTET >EOY29610 pep chromosome:Theobroma_cacao_20110822:9:1747855:1750524:1 gene:TCM_037104 transcript:EOY29610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 34, putative isoform 1 MVYKIIIVLLYIFLCLVLHPTKAQTWIKAGYLLGGEFPLADINTDLYTHLICAFADLNSSSYQLSISSANEQYFSDFTNTVKQKNPSITTLLSVGGGNADFSSMVNNSSHRKSFIDSSIKVARLFDFQGLDFSWVSVETSSDISNMASLYEEWRAAIDSETRNLSQSQLILTASVPYSPHISESLSFPVDSMKENLNWVHVMAYDYHTPLRDRLTGAHAALYDPDSNADTDYGIGEWINSGLPATKMVLSLPFYGYAWTLANPVNNAIGARANGPANRTANGPSETKDGDVSYKDIRKYIQEHGANTEYNATYVVNYCTVGATWICFDDVQAVKTKVKYAKEKKLLGYVVWRVPNDDNWVLSQAANSIGNRRKNRLLLILIILIPIVAVVVLLGAVSYYFRTTKSKSKGMVQKQTAKESKSKPKGTAANGDFYSGVPNLTVYAFSAIEVATDGFLNENKLGEGGYGPVYKGMLPDGQEIAVKKLSKTSNQGFEEFKNEVMLTAKLQHVNLVRLLGFCMDREEHMLIYEYLPNKSLDYYLYGLPRSCFA >EOY29608 pep chromosome:Theobroma_cacao_20110822:9:1747571:1752188:1 gene:TCM_037104 transcript:EOY29608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 34, putative isoform 1 MMLQMKRKNAPEMTLVFALTSASIPPNQPSVLKYLVLHPTKAQTWIKAGYLLGGEFPLADINTDLYTHLICAFADLNSSSYQLSISSANEQYFSDFTNTVKQKNPSITTLLSVGGGNADFSSMVNNSSHRKSFIDSSIKVARLFDFQGLDFSWVSVETSSDISNMASLYEEWRAAIDSETRNLSQSQLILTASVPYSPHISESLSFPVDSMKENLNWVHVMAYDYHTPLRDRLTGAHAALYDPDSNADTDYGIGEWINSGLPATKMVLSLPFYGYAWTLANPVNNAIGARANGPANRTANGPSETKDGDVSYKDIRKYIQEHGANTEYNATYVVNYCTVGATWICFDDVQAVKTKVKYAKEKKLLGYVVWRVPNDDNWVLSQAANSIGNRRKNRLLLILIILIPIVAVVVLLGAVSYYFRTTKSKSKGMVQKQTAKESKSKPKGTAANGDFYSGVPNLTVYAFSAIEVATDGFLNENKLGEGGYGPVYKGMLPDGQEIAVKKLSKTSNQGFEEFKNEVMLTAKLQHVNLVRLLGFCMDREEHMLIYEYLPNKSLDYYLYDPLRKFLLDWRKRVEIIEGVTQGLLYLQEYSRFTIIHRDLKASNILLDAGMKPKISDFGMARIFAKDGLEANTSRIVGTYGYVPPEYVREGLYSLKSDVYAFGVLLLQIISGKRNAYEYGVDKSLSLLDFAYDLWMEGKGLEFMDPSLDDRTSPCKLVRCMQIALLCVQENANDRPTMLDVSSMLRNETAQMAAPKRPAFSTKSNEDEEKRPELQVAICSVDDSTISEVVAR >EOY31844 pep chromosome:Theobroma_cacao_20110822:9:16326630:16334943:1 gene:TCM_039130 transcript:EOY31844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLDLLHDHSCSSYFISGRIPLFGRLKVMVIRLQDLLQEEQCFLVFHIECSSMYHTAAEVGCQFEADFNFLMATILKFRELKRNKGWGIPRIELGTSHTQSENQTTRPNAQLFMIVVFFMYFWFSPMVSVNFNGLVLSGPTLSGLAR >EOY32669 pep chromosome:Theobroma_cacao_20110822:9:32737179:32738619:1 gene:TCM_040699 transcript:EOY32669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix (bHLH) DNA-binding superfamily protein MCALTPFPFPKWPLINPIGYEQDYIYGGSQCVESFLHFPPPQEEILLDHQSPSFTAQNSPDPSTVKKLNHNASERDRRKKVNSLYSSLRSLLPVADQMKKLSFPATVSQALKYIPELQEQVEGLVQKKEKLLLRISERGGLKREEQQRKSRLGRSLSSAISINRLTDSEIAIQISMRKVDQKNQLSKILQYLEQDGLFHLLSATSFESFGGMDVFYNIHLQAETTYKLESEALSEKLLSILFDNREDLLP >EOY29265 pep chromosome:Theobroma_cacao_20110822:9:589753:590710:1 gene:TCM_036858 transcript:EOY29265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine dumper 4, putative MRPVSSFNAMKTGAKASLSPPAMAQPRSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWRLSGRLDNNSEGGAEGDVESGEKDQGDSNKQVKVYEEKILVIMAGEEKPTFLATPVSTKAPSFGDKNGKVEDKEGSEKAESGEKVKEEMGDDHEQLPTVTENPENHESQQIQYQNQNQ >EOY30297 pep chromosome:Theobroma_cacao_20110822:9:4109793:4111278:-1 gene:TCM_037555 transcript:EOY30297 gene_biotype:protein_coding transcript_biotype:protein_coding description:GHMYB10, putative MGRNPCCSKEGLNRGAWTAHEDKILTEYIKAHGEGSWRNLPKRAGLKRCGKSCRLRWLNYLRPDIKRGNISADEEELIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTNLGKKVQHHQNPSAAPGHNKSNGRVTRKREAQLATSAGTPSSHVVRTRAIRCSKVFINPHQKIEPFDRNREAKPSIDGECRPMTQTSAPSPIKALSENEDQNVHNKPFDFMVDFNMGEFCLSELLNSDFSDLVGLNYSNDSSNAISPSSDQPLIFSEEILQDWTSSHHAQQNVASNPHSLASFLDYGEEWLAE >EOY33355 pep chromosome:Theobroma_cacao_20110822:9:37057408:37061221:-1 gene:TCM_041335 transcript:EOY33355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSAWFGDIELKLNRSMVSLVGVETRSSSAVKVDHGALMRGRCHPHTLPLPLPLRIDGPTTTLHFTSLNLQQQFVGKLGPDPKTLKCNPESQPSLWAELNLFPLSQRRCEKGYLPPLVRTTEKRATWSKMRVILNGRGLSVTKVKLTSVLETCYVPKRKSLK >EOY29147 pep chromosome:Theobroma_cacao_20110822:9:224987:227169:1 gene:TCM_046898 transcript:EOY29147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF599 [Source:Projected from Arabidopsis thaliana (AT4G31330) TAIR;Acc:AT4G31330] MEWRSCYLDIILVPLGLLMTVAYHFWLWHKVRTQPLTTIIGTNASGRRVWVSAMMKDNEKKNILAVQTLRNSIMGSTLMATTSILLCAGLAAVISSTYSVKKPLNDSIFGAHGEFMMALKYVTILCIFLFSFFCHSLSIRFINQVNILINTPQGQDPSSIVTQDYVSLLLEKGFLLNTVGNRLFYAALPLLLWIFGPVLVFLCSITMVPVLYNLDFVFGTGENKGKPRVLDGCRDCQSAV >EOY29538 pep chromosome:Theobroma_cacao_20110822:9:1492372:1497796:1 gene:TCM_037044 transcript:EOY29538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein MVLFLIRQSSLSFRVSFFFTLLLLSSFHVFVSVSVSNSSIPRDESTGVKEANALLKWKASLDHQSQSVLSSWVGNDTCYWIGIICDKSGRVSPLNLSNSGLIGVPCKFDGELSPKWGQCHNLTSRKLSNNNISGQIPSEFVKATKLQVCDLSSNNLVGEIPKELGELQLLFNLTLNDNHLSGSIPPEIGMLSDLMSLNLAANNLNSSIPGQLSMCEKLIELNLSNNILSGEIPSELGSLSFLEILDLSQNFLVGKIPEQVGNLKTLEKLNLSHNKLLGFIPSTFADMLSLTSVDISYNQLKGLLPDIKAFHEASFEAFRNNKALCGNITGLEPCPSNVTHSLAHKRTKKMVVAIVVSVLCSLLLVFVVFGILSCIKQRERNTENKPRTVKSQNLFAICNYDGKRIYENIVEATEEFDSKYCIGVGGYGSVYKAQLSSGQIVAVKKLDPLAEGGVVDQKAFHSEIRALTEIRHRNVVKLYGSALIPDTQFWCVANAVSYMHHDCSPPIVHRDISSKNILLDSEYEAHVADFGAARLLKPDSSNWTSFQGTFGYSAPELAYTMRVNEKCDVFSFGVTTLETLMGRHPGDLISSLSHHFLPPRHHAHHQQPSIMYC >EOY34493 pep chromosome:Theobroma_cacao_20110822:9:41310839:41312171:1 gene:TCM_042158 transcript:EOY34493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESQRGQFFSWAYFCQGKTMEELRHSLLYTTLELEQTRLAVQEELRKRDDQLIQLKELLSKAMRERDEAQEKCQRLFLEKLLLHQQQQQQQQQQVAPLSGVSSIEDEPRRGIDSNNGFSSSDCEESIVSSPVLDPIQQPQLPPAPPQAPTPPQSMPQATIELVPEKPLPEKGKLLQAVMKAGPLLQTLLLAGPLPQWRHPPPPLESFEIPPVTIPSPPPPPPQLLHQDSLISINGCNTLNTCGKVNRKRGLYDASDSPAETKYQRLLLH >EOY33443 pep chromosome:Theobroma_cacao_20110822:9:37540760:37546240:-1 gene:TCM_041418 transcript:EOY33443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin/FtsZ family protein, putative isoform 4 MAACLSPCFVPFDSRASVGVFALSRKYSLKERWINGASFLKGFSKRGSLGACQRQRDFPSFTKFKCSVNSNNASPNHSKASFLDLHPEVSMLSGEENDALSNLRNENYSKNITKSLSEPSSPSNYSEAKIKVVGVGGGGSNAVNRMIESSMKGVEFLIVNTDAQAMKMSPVLPKNRLQIGKELTRGLGAGGNPETGMNAASESRAAIEDAVHGADMVFVTAGMGGGTGTGGAPVIAGIAKSKGILTVGIVTTPFSFEGRKRAIQAQEGIATLRENVDTLIVVPNDKLLTAVSQSTPVTEAFNLADDILWQGVRGISDIITVPGLVNVDFADVHAIMKDTGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPNANLIFGAVIDPSLSGQVSITLIATGFKHQEDSEGSSACAC >EOY33442 pep chromosome:Theobroma_cacao_20110822:9:37542336:37545641:-1 gene:TCM_041418 transcript:EOY33442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin/FtsZ family protein, putative isoform 4 MAACLSPCFVPFDSRASVGVFALSRKYSLKERWINGASFLKGFSKRGSLGACQRQRDFPSFTKFKCSVNSNNASPNHSKASFLDLHPEVSMLSGEENDALSNLRNENYSKNITKSLSEPSSPSNYSEAKIKVVGVGGGGSNAVNRMIESSMKGVEFLIVNTDAQAMKMSPVLPKNRLQIGKELTRGLGAGGNPETGMNAASESRAAIEDAVHGADMVFVTAGMGGGTGTGGAPVIAGIAKSKGILTVGIVTTPFSFEGRKRAIQAQEGIATLRENVDTLIVVPNDKLLTAVSQSTPVTEAFNLADDILWQGVRGISDIITVPGLVNVDFADVHAIMKDTGSSLMGIGTATGQEQGKRCCIECHPVTFARHWD >EOY33444 pep chromosome:Theobroma_cacao_20110822:9:37540760:37546240:-1 gene:TCM_041418 transcript:EOY33444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin/FtsZ family protein, putative isoform 4 MAACLSPCFVPFDSRASVGVFALSRKYSLKERWINGASFLKGFSKRGSLGACQRQRDFPSFTKFKCSVNSNNASPNHSKASFLDLHPEVSMLSGEENDALSNLRNENYSKNITKSLSEPSSPSNYSEAKIKVVGVGGGGSNAVNRMIESSMKGVEFLIVNTDAQAMKMSPVLPKNRLQIGKELTRGLGAGGNPETGMNAASESRAAIEDAVHGADMVFVTAGMGGGTGTGGAPVIAGIAKSKGILTVGIVTTPFSFEGRKRAIQAQEGIATLRENVPGLVNVDFADVHAIMKDTGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPNANLIFGAVIDPSLSGQVSITLIATGFKHQEDSEGKGAQLVPAEVSIGFNRRTPDTDDGSPEIPEFLRKKGR >EOY33441 pep chromosome:Theobroma_cacao_20110822:9:37541079:37545760:-1 gene:TCM_041418 transcript:EOY33441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin/FtsZ family protein, putative isoform 4 MAACLSPCFVPFDSRASVGVFALSRKYSLKERWINGASFLKGFSKRGSLGACQRQRDFPSFTKFKCSVNSNNASPNHSKASFLDLHPEVSMLSGEENDALSNLRNENYSKNITKSLSEPSSPSNYSEAKIKVVGVGGGGSNAVNRMIESSMKGVEFLIVNTDAQAMKMSPVLPKNRLQIGKELTRGLGAGGNPETGMNAASESRAAIEDAVHGADMVFVTAGMGGGTGTGGAPVIAGIAKSKGILTVGIVTTPFSFEGRKRAIQAQEGIATLRENVDTLIVVPNDKLLTAVSQSTPVTEAFNLADDILWQGVRGISDIITVPGLVNVDFADVHAIMKDTGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPNANLIFGAVIDPSLSGQVSITLIATGFKHQEDSEGKGAQLVPAEVSIGFNRRTPDTDDGSPEIPEFLRKKGR >EOY30588 pep chromosome:Theobroma_cacao_20110822:9:5101514:5110047:1 gene:TCM_037745 transcript:EOY30588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase protein isoform 1 MSVLKTDCNPFLLSRVSGKPARGKILVGQSNPRISDAEKNINGSNQFLSFRTKAFGVQTERSFTASAESSSSQRPPITMYRTAASRLRALKGRTCCGVPARFASSSAVATTSSSSGGFFSWLTGSQSKSMPPLDFPLPGVALPAPLPDYVEPGKTTITTLPNGLKIASETSTNPAASIGLYVDCGSIYESPVSLGVSHLLERMAFKSTTNRSHLRIVREVEAIGGNVHAGVSREQIGYTFDALKTYVPEMVELLIDCVRNPVFLDWEVNEQLQKLKEEIAEAAKNPHGLLSEAIHSAGYSGALANPLLASESAVNLLNGTILEDFVAENFAASRMVLAASGVEHEELLSVAEPLLSDLPNVPRPQEPKSVYTGGDYRCQADSGDQTHFALAFELPGGWHKEKEAIILTVLQILMGGGGSFSAGGPGKGMYSRLYVRVLNEYPQVQSFSAFNNIYNHTGIFGIQATTGSDFVPTAIDVAVKELIAVATPGQVGQKQLDRAKQSTKSAILMNLESRMVASEDIGKQVLTYGERKPLEYFLKAVDEITLKDISSVAQKLLSSPLTMASYGNVINVPSYDSVSSKFK >EOY30589 pep chromosome:Theobroma_cacao_20110822:9:5103682:5108417:1 gene:TCM_037745 transcript:EOY30589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase protein isoform 1 MYRTAASRLRALKGRTCCGVPARFASSSAVATTSSSSGGFFSWLTGSQSKSMPPLDFPLPGVALPAPLPDYVEPGKTTITTLPNGLKIASETSTNPAASIGLYVDCGSIYESPVSLGVSHLLERMAFKSTTNRSHLRIVREVEAIGGNVHAGVSREQIGYTFDALKTYVPEMVELLIDCVRNPVFLDWEVNEQLQKLKEEIAEAAKNPHGLLSEAIHSAGYSGALANPLLASESAVNLLNGTILEDFVAENFAASRMVLAASGVEHEELLSVAEPLLSDLPNVPRPQEPKSVYTGGDYRCQADSGDQTHFALAFELPGGWHKEKEAIILTVLQILMGGGGSFSAGGPGKGMYSRLYVRVLNEYPQVQSFSAFNNIYNHTGIFGIQATTL >EOY29326 pep chromosome:Theobroma_cacao_20110822:9:756993:759376:1 gene:TCM_036901 transcript:EOY29326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/Utp3/C1D family MEGGSSVIPESVMDSVQTTLANVEDVRTHLLQFLSLSDPDVLAEMPPLQRAQALFSLAKATATLFTLRLRCSGVHPDDHPIKSELERLSLYEDKLQRFIDLSKAPLRPSTTLNSQAATRFIEHSLPDLTPEQRLSMRQISRGEGPKIKYSDSSVKKRKYQSSEKQSVQAAAKEFLEKAASELFGDNKGGFKGPLQADTSDDDLPLS >EOY32238 pep chromosome:Theobroma_cacao_20110822:9:24021182:24030081:-1 gene:TCM_039859 transcript:EOY32238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MGNCLCRWEPSIYRVSSNAKSESPKDRSPSEKQRRDDSKLPSNPKEVEDLRRDSAANPLIAFTFEELKIITGNFRQDGVLGGGGFGSVYKGLISEDLREGLQPLAVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDDHRVLIYEYMARGSVENNLFSRVLLPLPWSTRMKIAFGAAKGLAFLHEAEKPVIYRDFKTSNILLDLEYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTPRSDVYSFGVVLLELLTGKKSLDKSRPAREQNLTDWALPLLKEKKKLLNIIDPRLQGDYPIRGVHKAAMLAYHCLNRNPKARPLMRDIVDSLEPLQGSDEDPTDKTVITVITEVPKEELNNKGHSHCN >EOY32444 pep chromosome:Theobroma_cacao_20110822:9:29741788:29749110:-1 gene:TCM_040383 transcript:EOY32444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGMLVISVQVAQRQFSLWGIDNRTTLTPTLITLGGGTIQTSLGNRAYGTLLSDTEANSKKESKEHVKTITLRSGKEVESNSKQVEQKEALEQMPLYAKFLKDILSKKKRLGEFETVALTKVCSAIIQNKLSSKLKNLGIVEDVLVKVDKFIFLTDFIILNMEEDREVPIILKRPFLRTTRALIDVEKRELTLRVQDQEDRGYFMVQEGIVLGHKVSSKGLNVAKAKIETIEKLQSPSSIKGIRSFLSHVELKKKLVSVPIIIVPNWTLPFELMCDARDYAIGAVLVGTKAIVYINHATIKYLIGKKDAKPRLI >EOY31838 pep chromosome:Theobroma_cacao_20110822:9:16253281:16253900:1 gene:TCM_039124 transcript:EOY31838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDMALALSAEGFAWSHALKDELQLYVNVARFQSSLAYHQLPSFKISSSTYSPDCGNMIQNEVDDHQNAMLLKKISSKPDHEPTAKKSKKAKRTEMQPESMKEEEVESGEENQIRRRLTYLRTLLP >EOY30381 pep chromosome:Theobroma_cacao_20110822:9:4405057:4408065:-1 gene:TCM_037610 transcript:EOY30381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLERPKVYCKEKTHLLRIGLGYFYIYIYIYIYIYIYIYIYIYIYIXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRNICYIEQAKVRYKDQKNDKGVGNSWWQCPGRGNRVSGALFLLCMIVFSLSIISMVILACGDDNSEKPRRNDGAGGFLGGGGGGGGGGCGGGDGRGGGIVGAVAVAEHI >EOY33267 pep chromosome:Theobroma_cacao_20110822:9:36181951:36183953:1 gene:TCM_041214 transcript:EOY33267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTILKTQHLLVPLLILLTSATFPSYIKASTNTKGVYQPCSDTKVQISDEFTFGIAFSSRDSFLFNQSHQLSPCDRRLSLSSLNSQLAVFRPKLDEISLLTINTSSFFPDSYGGYMVAFAGRKYAARSIPAFVANSTYTVTSFTLVLEFKKGRLQNLFWKRDGCASCAGNSNFVCLNKQDCAIKTSDCKNRGGSVDCSLGIQLAFSGTDKHLAVLNSWYEVENLRQYSLYHLYSNLRDSLTSQYNNFF >EOY34578 pep chromosome:Theobroma_cacao_20110822:9:41489414:41499969:1 gene:TCM_042200 transcript:EOY34578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab3 GTPase-activating protein catalytic subunit isoform 1 MASTSKANLTEEEEEEEEEDEGQEVQHFDDFTLASSWERFISEIEAICRQWLADGPKNLIEKGAVHLVSSKNMYKVKSELKHATKIYSMEYYFEINNNGKIADWNSTLHDMQLCFGVKEFLVILPQSASGVVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHDPSRKAYIGIQNMGTVFTRRFEADRIGSQVPIKFMHLEGLYELFVSKFAYSTLDHSMHLFKVHLIMKLTYQTLPDDDDDDIQEADAENAESEASAGGDNRNRKHWDDDCPWSEWYSAENPVKGFDLITTWSEKMVESSLEMAEMENASPHDAEKWILAPNIDCSKEERIGFASQLQLLVNALDMSFEAQFMEDFVSVENSGSDNLKSSMVIPPPTVLDRVLKDLFHEGLQFPDSAKGERKSSRAIKGAPVECLFAQFCLHSLWFGNCNIRAIAVLWIEFVREIRWCWEESQPLPKMSANGSIDLTTCLINQKLQMLAICIEKKRELNEEFQDCIGSNDDVSTHMEEDIQVREESTSFYAQSQGFDGKRDSPSDSIRRGSAGPVGSMKLLKSYQSLHAPFTQDAPLMTEDMHEERLRAVEAFGDSFDFSAQLERDTLSSDMSSFKAANPDTVFEDFIRWHSPGDWENDESEANGPSKNLTEGMKDDWPPRGRLSQRMSDHGNLWRQIWNDAPVLPAYEQKPLLDPNREGEKILHYLETVRPHQLLEQMVCTAFRASADTLNQTNFGSLSQMTTKMDQLYVTMASALRPLQVNLLSGNSETIEDLRRLCVVFEHVEKLLTLAASLHRKFLQAPRIAEAIFSDYYNFYLPTMGVGSADVDVQKIDAEKEFDMKLQLRMNERQVVSNMFSQPSANQSWRKVLSMGNLLNGHEPILREIIFSMSDSATGSHYAASSPRGYQQDIETYRMYICGTSNDLRVALSVTSYD >EOY34577 pep chromosome:Theobroma_cacao_20110822:9:41489191:41500626:1 gene:TCM_042200 transcript:EOY34577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab3 GTPase-activating protein catalytic subunit isoform 1 MASTSKANLTEEEEEEEEEDEGQEVQHFDDFTLASSWERFISEIEAICRQWLADGPKNLIEKGAVHLVSSKNMYKVKSELKHATKIYSMEYYFEINNNGKIADWNSTLHDMQLCFGVKEFLVILPQSASGVVLDAPEASKLLSAVAIALSNCSSLWPAFVPVHDPSRKAYIGIQNMGTVFTRRFEADRIGSQVPIKFMHLEGLYELFVSKFAYSTLDHSMHLFKVHLIMKLTYQTLPDDDDDDIQEADAENAESEASAGGDNRNRKHWDDDCPWSEWYSAENPVKGFDLITTWSEKMVESSLEMAEMENASPHDAEKWILAPNIDCSKEERIGFASQLQLLVNALDMSFEAQFMEDFVSVENSGSDNLKSSMVIPPPTVLDRVLKDLFHEGLQFPDSAKGERKSSRAIKGAPVECLFAQFCLHSLWFGNCNIRAIAVLWIEFVREIRWCWEESQPLPKMSANGSIDLTTCLINQKLQMLAICIEKKRELNEEFQDCIGSNDDVSTHMEEDIQVREESTSFYAQSQGFDGKRDSPLTPEVLHKSNTVVSKFSKNSEDVVSADKSPSDSIRRGSAGPVGSMKLLKSYQSLHAPFTQDAPLMTEDMHEERLRAVEAFGDSFDFSAQLERDTLSSDMSSFKAANPDTVFEDFIRWHSPGDWENDESEANGPSKNLTEGMKDDWPPRGRLSQRMSDHGNLWRQIWNDAPVLPAYEQKPLLDPNREGEKILHYLETVRPHQLLEQMVCTAFRASADTLNQTNFGSLSQMTTKMDQLYVTMASALRPLQVNLLSGNSETIEDLRRLCVVFEHVEKLLTLAASLHRKFLQAPRIAEAIFSDYYNFYLPTMGVGSADVDVQKIDAEKEFDMKLQLRMNERQVVSNMFSQPSANQSWRKVLSMGNLLNGHEPILREIIFSMSDSATGSHYAASSPRGYQQDIETYRMYICGTSNDLRVALSVTSYD >EOY29181 pep chromosome:Theobroma_cacao_20110822:9:300907:307106:1 gene:TCM_036799 transcript:EOY29181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 1 MKTTRAWQLGVKDILVMSSSRQRPHLKRPVWIIVLVTFVSIFLITAYVYPPTSSAACYIFSSRGCTLYNQPPTFPSRELSDDETISQVVIREILKTPPILSKKSKIAFLFLTPGTLPFEELWDKFFRGHEHRFSVFVHASKGKPVHTSRYFIGRDIHSEKVVWGKISMVDAERRLLAHALLDPDNQQFVLLSDSCIPLHNFDYVYNYLMLTNVSFIDSFVDLGPHGTGRYSEHMMPEVEKSAFRKGSQWFSLKRQHAIIIMADSLYYTKFRLYCKPNMDGRNCYADEHYLPTFFNMIDPGGIANRSVTYVDWSEAKWHPRSFRAQDITYEFLRNLTSIDKSVHFTSDPKRRVITGPCLWNTVKRPCYLFARKFYPETLDRLMIHFSNYTTV >EOY29183 pep chromosome:Theobroma_cacao_20110822:9:300907:307282:1 gene:TCM_036799 transcript:EOY29183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 1 MKTTRAWQLGVKDILVMSSSRQRPHLKRPVWIIVLVTFVSIFLITAYVYPPTSSAACYIFSSRGCTLYNQPPTFPSRELSDDETISQVVIREILKTPPILSKKSKIAFLFLTPGTLPFEELWDKFFRGHEHRFSVFVHASKGKPVHTSRYFIGRDIHSEKVVWGKISMVDAERRLLAHALLDPDNQQFVLLSDSCIPLHNFDYVYNYLMLTNVSFIDSFVDLGPHGTGRYSEHMMPEVEKSAFRKGSQWFSLKRQHAIIIMADSLYYTKFRLYCKMIDPGGIANRSVTYVDWSEAKWHPRSFRAQDITYEFLRNLTSIDKSVHFTSDPKRRVITGPCLWNTVKRPCYLFARKFYPETLDRLMIHFSNYTTV >EOY29185 pep chromosome:Theobroma_cacao_20110822:9:300907:307282:1 gene:TCM_036799 transcript:EOY29185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 1 MKTTRAWQLGVKDILVMSSSRQRPHLKRPVWIIVLVTFVSIFLITAYVYPPTSSAACYIFSSRGCTLYNQPPTFPSRELSDDETISQVVIREILKTPPILSKKSKIAFLFLTPGTLPFEELWDKFFRGHEHRFSVFVHASKGKPVHTSRYFIGRDIHSEKVVWGKISMVDAERRLLAHALLDPDNQQFVLLSDSCIPLHNFDYVYNYLMLTNVSFIDSFVDLGPHGTGRYSEHMMPEVEKSAFRKGSQWFSLKRQHAIIIMADSLYYTKFRLYCKMIDPGGIANRSVTYVDWSEAKWHPRSFRAQDITYEFLRNLTSIDKSVHFTSDPKRRVITGPCLWNTVKRPCYLFARKFYPETLDRLMIHFSNYTTV >EOY29182 pep chromosome:Theobroma_cacao_20110822:9:300907:307106:1 gene:TCM_036799 transcript:EOY29182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 1 MKTTRAWQLGVKDILVMSSSRQRPHLKRPVWIIVLVTFVSIFLITAYVYPPTSSAACYIFSSRGCTLYNQPPTFPSRELSDDETISQVVIREILKTPPILSKKSKIAFLFLTPGTLPFEELWDKFFRGHEHRFSVFVHASKGKPVHTSRYFIGRDIHSEKVVWGKISMVDAERRLLAHALLDPDNQQFVLLSDSCIPLHNFDYVYNYLMLTNVSFIDSFVDLGPHGTGRYSEHMMPEVEKSAFRKGSQWFSLKRQHAIIIMADSLYYTKFRLYCKPNMDGRNCYADEHYLPTFFNMIDPGGIANRSVTYVDWSEAKWHPRSFRAQDITYEFLRNLTSIDKSVHFTSDPKRRVITGPCLWNTVKRPCYLFARKFYPETLDRLMIHFSNYTTV >EOY29184 pep chromosome:Theobroma_cacao_20110822:9:300907:307282:1 gene:TCM_036799 transcript:EOY29184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein isoform 1 MKTTRAWQLGVKDILVMSSSRQRPHLKRPVWIIVLVTFVSIFLITAYVYPPTSSAACYIFSSRGCTLYNQPPTFPSRELSDDETISQVVIREILKTPPILSKKSKIAFLFLTPGTLPFEELWDKFFRGHEHRFSVFVHASKGKPVHTSRYFIGRDIHSEKVVWGKISMVDAERRLLAHALLDPDNQQFVLLSDSCIPLHNFDYVYNYLMLTNVSFIDSFVDLGPHGTGRYSEHMMPEVEKSAFRKGSQWFSLKRQHAIIIMADSLYYTKFRLYCKPNMDGRNCYADEHYLPTFFNMIDPGGIANRSVTYVDWSEAKWHPRSFRAQDITYEFLRNLTSIDKSVHFTSDPKVKGSFFFLALCFLEEVNILTSNNYSICFSWLWVQRRVITGPCLWNTVKRPCYLFARKFYPETLDRLMIHFSNYTTV >EOY33199 pep chromosome:Theobroma_cacao_20110822:9:35887591:35893472:1 gene:TCM_041163 transcript:EOY33199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein isoform 2 MARFSIPKLGFLLLCCLAAVTDATYMKYKDPKLPLGVRIKDLMSRMTLAEKIGQMTQIERSVATPDVMKKYFIGSVLSGGGSVPAAKATPETWIKMVNSIQKGALSTHLGIPMLYGIDAVHGHNNVYKSTIFPHNVGLGVTRDPQLIKKIGDATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQLMTEIIPGLQGDLPANAKKGVPFVAGKKKVAACAKHYLGDGGTTKGINENNTVISLNGLLSIHMPAYINSIRKGVATVMVSYSSWNGKKMHANRDLVTGFLKNKLKFRGFVISDWQGLDRITSPPHANYSYSVEAGVSAGIDMIMVPYNFTEFIDDLTYQVKHNIIPMSRIDDAVKRILRVKFVMGLFENPIADYSLVNQLGSQEHRELAREAVRKTLVLLKNGESADEALLPLPKKTTKILIAGSHADNLGCQCGGWTITWQGLGGNDLTSGTTILQAIKKTVDPTTQVVYSENPDAVFVKSNEFSYAIVVVGEPPYAETFGDSLNLTISEPGPSTIYNVCGAVKCVVVVISGRPVVMQPYLSSIDALVAAWLPGTEGQGVADVLFGDYGFTGKLARTWFKTVDQLPMNVGDPHYDPLFPFGFGLTTKPTKQN >EOY33197 pep chromosome:Theobroma_cacao_20110822:9:35887591:35893472:1 gene:TCM_041163 transcript:EOY33197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein isoform 2 MARFSIPKLGFLLLCCLAAVTDATYMKYKDPKLPLGVRIKDLMSRMTLAEKIGQMTQIERSVATPDVMKKYFIGSVLSGGGSVPAAKATPETWIKMVNSIQKGALSTHLGIPMLYGIDAVHGHNNVYKSTIFPHNVGLGVTRDPQLIKKIGDATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQLMTEIIPGLQGDLPANAKKGVPFVAGKKKVAACAKHYLGDGGTTKGINENNTVISLNGLLSIHMPAYINSIRKGVATVMVSYSSWNGKKMHANRDLVTGFLKNKLKFRGFVISDWQGLDRITSPPHANYSYSVEAGVSAGIDMIMVPYNFTEFIDDLTYQVKHNIIPMSRIDDAVKRILRVKFVMGLFENPIADYSLVNQLGSQEHRELAREAVRKTLVLLKNGWTITWQGLGGNDLTSGTTILQAIKKTVDPTTQVVYSENPDAVFVKSNEFSYAIVVVGEPPYAETFGDSLNLTISEPGPSTIYNVCGAVKCVVVVISGRPVVMQPYLSSIDALVAAWLPGTEGQGVADVLFGDYGFTGKLARTWFKTVDQLPMNVGDPHYDPLFPFGFGLTTKPTKQN >EOY33198 pep chromosome:Theobroma_cacao_20110822:9:35887776:35893220:1 gene:TCM_041163 transcript:EOY33198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein isoform 2 MARFSIPKLGFLLLCCLAAVTDATYMKYKDPKLPLGVRIKDLMSRMTLAEKIGQMTQIERSVATPDVMKKYFIGSVLSGGGSVPAAKATPETWIKMVNSIQKGALSTHLGIPMLYGIDAVHGHNNVYKSTIFPHNVGLGVTRDPQLIKKIGDATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQLMTEIIPGLQGDLPANAKKGVPFVAGKKKVAACAKHYLGDGGTTKGINENNTVISLNGLLSIHMPAYINSIRKGVATVMVSYSSWNGKKMHANRDLVTGFLKNKLKFRGFVISDWQGLDRITSPPHANYSYSVEAGVSAGIDMIMVPYNFTEFIDDLTYQVKHNIIPMSRIDDAVKRILRVKFVMGLFENPIADYSLVNQLGSQEHRELAREAVRKTLVLLKNGESADEALLPLPKKTTKILIAGSHADNLGCQCGGWTITWQGLGGNDLTSGTTILQAIKKTVDPTTQVVYSENPDAVFVKSNEFSYAIVVVGEPPYAETFGDSLNLTISEPGPSTIYNVCGAVKCVVVVISGRPVVMQPYLSSIDALVAAWLPGTEGQGVADVLFGDYGFTGKLARTWFKTVDQLPMNVGDPHYDPLFPFGFGLTTKPTKQN >EOY33984 pep chromosome:Theobroma_cacao_20110822:9:39556527:39557911:-1 gene:TCM_041800 transcript:EOY33984 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROTUNDIFOLIA like 4 ALRILLSHISVSPSLFLCSSFPRADKEVIRLGSRDQEVIRFCSFQAYYMDEKWKISKKEASGIHCSSSSSKFSSLTRSFSTKSISSKSPLLRSSSQKSSCSSSSSSSKYPLPRSFSQKSSSISRKCSSLAKEQKARFYIMRRCVAMLVCWHKHGDS >EOY32795 pep chromosome:Theobroma_cacao_20110822:9:33578377:33580102:-1 gene:TCM_040810 transcript:EOY32795 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain-containing protein, putative MNAANLIIGKRDGVLSKEFEGNKNSLGANHDNGAINETVYFFQEDLYPGKMVKLPLLTRTRDMTTFLPYQLAESVPFSSDKLPEILNRYSLEAGSREANAMKETIKLGKNIELLSNELGKEKKNPVFTIDREIQNMGEEELVCHKMKYPYAVFLCHSIDRTAVYKVPLVSIDGTKANALAICHEDTSAWNPDHPAFWILKVKPGTVPICQFIVRDTLVWVSK >EOY33699 pep chromosome:Theobroma_cacao_20110822:9:38677875:38684421:1 gene:TCM_041602 transcript:EOY33699 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein MTSPAPPSTAAAAAESIHRSLAELTSSSSDSFDNPRRFTAFVSRLRLLLNHNHFLNPDSLPPALQTALKGIASDLSKATETVSVYLNRSKIFVLINCKSLSSSLQQHSSAIASWLALIESSLSDNLPELRKKTSDLSRDMKQSHFTVTENEERVHRTLQKEGEGRQTSKAVQSAIIMDLARCLGIDSDNHGELNNQVKLLKTDLSNANSVPARRILVSLEKILDNWSIVPGMSTSNVDRDFEEEAHILPFKNFLCPLTKEVMKEPVVLESSQTYERTAIKYWFERCLEDGREPTCPVTGQVLKSLEMKPNIGLAGAIEEWVNRNVEIQVKGAVEQLSKEVEVEGVERVLDVVYKISEEHPSNRFRVRNAGVVVMIVTLLRNCSKSIGTVLRGKALAALLSMAKDEESKKIMLEEGITRLAIHSLIGSSEKEREYAVKLLLEFSSDEACCTRIASEKGALVLLSSMAGNLEHPALANLAEGVLTQMEKVEGSVQHLAAAGRFEPLLSRLHEGPDDVKIEMASIIGRMTLTNNSKERIARQCAQALVELLSKTEGRTPSLQALNNLSGLDDNATILVDSAVLPALIAILLQDQGASTEWKELAASTIANIVSNPGHWELAAIDKKGNSMQSESVVFSLLGLLFVASPQCQASILRILYGMASSPQAAESVATHIKNSADGIKTIIPFLEYPEDEHRIYAFKLIRVLTERFGHDLALELKPSDKLSLLKEKLLDDQSTDSEKSDAACILANLPLSEDEVKTILGASFFQWTVMTLKKQQRISNGRTSRRTSSMAEGLLGLLLHFTMSLDQETIDVVMEYQLMTIFCEQLSFAAKPKVKELAAVGLKNLSEAGRLLAPADSEPLPPQGCCASLMFLFRRASPEPSTCPIHNAPCENNSQLCLLNSNCIRPLVDILHDEDMNVQIAAIEALSTLVLDTSNGYKRAVDELEKHDVIASVIELFTELRPGLLQERALWIIERALRVDGPAHKYSLNQSLVRALVEAFKHGNANAKRHAQDALTNLKQLSGVSGKASSQSRPRSCRRR >EOY33964 pep chromosome:Theobroma_cacao_20110822:9:39501057:39504175:-1 gene:TCM_041786 transcript:EOY33964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MKLTSSSRLLCSFLKFSKPTVHHLSRSLTTQNAHNPRNNPLSCQTQIFNYKENQSNSLHLEFFSQFQQDGSFSSPFTFNKIISVCAKSASLSTGIQLHSTVIKMGFTSNLYICSGLIFMYGKNGEIFSAQNLFDELPERNAVCWNSLISGYIHVNSPEIAVKHFIKMLRTGIKVTPFTISDVLVGCSLLEKEELGAQVHCFCLKVGFFYNVVIGTGLLDMYSKCWNIENSRRVFDKMIDKNVITWTAMVSGCAQNGLPNEAMALVKEMLGLGLRLNHVTYNSLLSSFLSPDYLDCCRQVHCRIIQDGLESNVYIAVTLLTVYSKCSCSLEDFQKLCEGVSWWDQVSWNAVIAGFCNLSYDDKAMKCFVEMRQAGINIDFFTFTSMLGAIGNSSALEGGKQMHALILKTGNALNPYVQNGLVSMYARCGVINDSKMVFSLMEEHDVISWNSLLSGCAHHGYGREALELFEQMRKTEITPDSTTFLAVLSACSHVGFLDKGLEYFELMKNDALLELPGVEHYAAVINLFGRAAYLNEAEAFINSMPIEPGPSVYKALLSACEVYGNIEIATRSANRLLELWPNDPATYVLLSKVLKMGNDWDDAAGVCKLMSDRGVRKNPGCSWI >EOY32510 pep chromosome:Theobroma_cacao_20110822:9:30654848:30656003:1 gene:TCM_040478 transcript:EOY32510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFGELDFNMLGRTSVSRFWQMVRMSRFVGRELLCDSRELLCLLWCLAEFDQKWNGLQKQEEPTMA >EOY34746 pep chromosome:Theobroma_cacao_20110822:9:41993458:41995914:-1 gene:TCM_042326 transcript:EOY34746 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerases N / 8 kDa subunit MIIPVRCFTCGKVIGNKWDTYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKSETS >EOY32503 pep chromosome:Theobroma_cacao_20110822:9:30482065:30487219:1 gene:TCM_040461 transcript:EOY32503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRINQFMIILHVMTSTWKRRRLESKRSSDYPLRKVVYVVEREEEDDEIFYGLNGDDDEYEDYNNDQNYVLADVQARILDSLSKLAAILINEVPCQNW >EOY32888 pep chromosome:Theobroma_cacao_20110822:9:34261621:34263150:-1 gene:TCM_040906 transcript:EOY32888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MPFTSPFTIRSSLYSFVASLDSVSIPKSVHEALSHLGWRAAMVEEMVALDVARPKAYLVAKGYAQTNGVDYSDTFSPMTKLTSQPPGFVAQGEYGKVCHFLKSLYGLKQSPRAWFGRFSEAVQEFGMKKNADCAGSKSDRRSTTGCCVFIGGNPVSWKSRKQNVVSRSSAESKYRAMAQTVSEVVWMYQLLSEVGLKCSLPAKLWCDNQAAPHIASNPVFHEQTKHIEIDLSFCL >EOY29712 pep chromosome:Theobroma_cacao_20110822:9:2130090:2135372:-1 gene:TCM_037167 transcript:EOY29712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytics isoform 2 MAIAMAIRSFLFLLLLFFSSLNPILSLYEDQVGLMDWHQQFIGKVKQAVFHTQKTGRKRVVVSTEENVIASLDLRHGEIFWRHVLATNDVIDGIDIAIGKYVITLSSGGSILRAWNLPDGQMVWESSLQGPKHSKSLLLVLTNLKVDKDNVVIVFTNGRLHAVSSIDGEVLWKKDFEAESLDVQQVIQPPGSDLVYVVGFAASSQFEMYQINARNGELLKHESAAFSGGFLGEVSLVSSETLVALDSTGSILLTISSHNGKISFQQTPISNLVGDSLGPAVITPSSVTGIFSLKVNAITIFIRVIGEGKLEVLEKTNLKTAVSDALSISEGKQAFALIQHAGSEIHLTVKPADDWDGNLLKESIKMDRQRGLVHKVFINNYIRTDRSYGFRVLIVMEDHSLLLLQQGEIVWSREDGLASIIDVTTSELPVEKDGVSVAKVEHNLFEWLKGHMLKLKGTLMLASPEDMAAIQSMRLKSSEKSKMTRDHNGFRKLLIVLTRAGKLFALHTGDGRIVWSHLLQSLHKPQACQHLIGLNLYQWQVPHHHAMDENPSVLVVGRCGPSLDAPGVLSFVDTYTGKELSSLSLAHSVAQVIPLPYTDSTEQRLHLLIDADQHAHLYPKTPEAIGIFQREFSNIYWYSVEDDNGIIKGYALKSKCTSEVADEFCFDSRELWSVVFPSESEKIIATVTRKLNEVVHTQAKVIADQDVMYKYLSRNLLFVATAAPKASGEIGSVTPEESWLVAYLIDTVTGRILHRVTHHGSQGPVHAVFSENWVVYHYFNLRAHRYEMSVIEIYDQSRADDKDVWKLVLGKHNLTSPISSYSRPEVITKSQSYFFTHSLKSIAVTSTAKGITSKQLLIGTIGACFTGFGT >EOY29711 pep chromosome:Theobroma_cacao_20110822:9:2129227:2135861:-1 gene:TCM_037167 transcript:EOY29711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytics isoform 2 MAIAMAIRSFLFLLLLFFSSLNPILSLYEDQVGLMDWHQQFIGKVKQAVFHTQKTGRKRVVVSTEENVIASLDLRHGEIFWRHVLATNDVIDGIDIAIGKYVITLSSGGSILRAWNLPDGQMVWESSLQGPKHSKSLLLVLTNLKVDKDNVVIVFTNGRLHAVSSIDGEVLWKKDFEAESLDVQQVIQPPGSDLVYVVGFAASSQFEMYQINARNGELLKHESAAFSGGFLGEVSLVSSETLVALDSTGSILLTISSHNGKISFQQTPISNLVGDSLGPAVITPSSVTGIFSLKVNAITIFIRVIGEGKLEVLEKTNLKTAVSDALSISEGKQAFALIQHAGSEIHLTVKPADDWDGNLLKESIKMDRQRGLVHKVFINNYIRTDRSYGFRVLIVMEDHSLLLLQQGEIVWSREDGLASIIDVTTSELPVEKDGVSVAKVEHNLFEWLKGHMLKLKGTLMLASPEDMAAIQSMRLKSSEKSKMTRDHNGFRKLLIVLTRAGKLFALHTGDGRIVWSHLLQSLHKPQACQHLIGLNLYQWQVPHHHAMDENPSVLVVGRCGPSLDAPGVLSFVDTYTGKELSSLSLAHSVAQVIPLPYTDSTEQRLHLLIDADQHAHLYPKTPEAIGIFQREFSNIYWYSVEDDNGIIKGYALKSKCTSEVADEFCFDSRELWSVVFPSESEKIIATVTRKLNEVVHTQAKVIADQDVMYKYLSRNLLFVATAAPKASGEIGSVTPEESWLVAYLIDTVTGRILHRVTHHGSQGPVHAVFSENWVVYHYFNLRAHRYEMSVIEIYDQSRADDKDVWKLVLGKHNLTSPISSYSRPEVITKSQSYFFTHSLKSIAVTSTAKGITSKQLLIGTIGDQVLALDKRFLDPRRSVNPTQAEKEEGIIPLTDSLPIIPQSYVTHALRVEGLQGIVTVPAKLESTTLVFAHGVDLFFTQLAPSRTYDSLTEDFSYALLLITIVALVAAIFVTWILSERKELQEKWR >EOY29721 pep chromosome:Theobroma_cacao_20110822:9:2150552:2152442:1 gene:TCM_037171 transcript:EOY29721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphorylase superfamily protein isoform 2 MAMTLRHAFVMLLALMLVLDERQADGAVSAEVQKLIHEAKLNGPYVGVVIPNLFELSPLLHNPNFTSANFTIPFSGRRFRFGAIGEKRVILVMTGLSLINAGITTQLLLTLFKIEGVVHYGIAVNANPSLNIGDVTIPKYWSQTSLWYWQRYGDGPSDELTLESNGDYTRELGFLKFANFTTNDLKLEGCLNSTTCLDEQPRVVTVQRGTSGSVFLDNAAYRSFIYSKFNVSPVDMESASVALICLQQRVPFIIIRALSDLAGGGSAESNEADIFTSLAANNAVTVVMEFIKQLSA >EOY29720 pep chromosome:Theobroma_cacao_20110822:9:2150553:2152642:1 gene:TCM_037171 transcript:EOY29720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphorylase superfamily protein isoform 2 MAMTLRHAFVMLLALMLVLDERQADGAVSAEVQKLIHEAKLNGPYVGVVIPNLFELSPLLHNPNFTSANFTIPFSGRRFRFGAIGEKRVILVMTGLSLINAGITTQLLLTLFKIEGVVHYGIAVNANPSLNIGDVTIPKYWSQTSLWYWQRYGDGPSDELTLESNGDYTRELGFLKFANFTTNVTASPSHDNLLNNVWYQPEEVFFIDGTPEQRQHAFWVPVDSKYLRIAKTLADLKLEGCLNSTTCLDEQPRVVTVQRGTSGSVFLDNAAYRSFIYSKFNVSPVDMESASVALICLQQRVPFIIIRALSDLAGGGSAESNEADIFTSLAANNAVTVVMEFIKQLSA >EOY33673 pep chromosome:Theobroma_cacao_20110822:9:38522683:38530060:1 gene:TCM_041578 transcript:EOY33673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fiber protein Fb17 MSFPSQESQSEFNHCPDLTAMVHNVDQTPLAISPDDLTQRSMNSQFHPDVEVETGSVAQNGDGSSSWGLSSSDMPLDSYWKDLDVDLSSVAQTEDLSPISTSKTDTPRPGGGNANELPQQFNSSDQPLNSLAGNLTADQLVNSHGDVETGVRCSEPNQSHLDHEERPSDQASNGQKQRGRKPRVTPVEKAKNQLDQAGTSAKARNGQKRKSRQPIIRTEEQEHERKRKKNEYDRHYRADIKNELTELRGLKEKIVTIVSELEGIDQKGSQIDRVSPDLQKGQQEVVGNDMSQQVIIDSADRVPPIWANEVPVSISSDKYEEMEADCRRLQRMKSKYGEIEKIESMLDKFKNLEAESRRFKQIKLLFGGVDEIEREINRLKKIELQLDKYKQMETTREMDSFQASPGSLQQKVADLDRFEQMKPMNNGIKKMEFMLDKFPKIEAALQEFEQIKSDFGGIYKIKAGIYGLKKIESQRDKQKEPQFFTKSPGSLQEQRGMHSLDLNSDSDVASDDGINLKPPAAVGCSKTIHDMQYSDALVTKFMAKLDDDNAVSNVDHSSFKDLDGECEKVGKYNIPLSLVSTARDIIKAKDDITKQSRFGHCVIEPAYILLCATTKEMRNLPPEQVTEEIMLKWRDAINDAKGLRCDTEFAMKYLRKFAQGYFGLKANNDRKNLEQRMTILKTEEEVLKKELQKKTSEMKFLKSKQEDLTSEQCKDCLEFKNQILTKTIRLFD >EOY32609 pep chromosome:Theobroma_cacao_20110822:9:32024464:32045263:1 gene:TCM_040618 transcript:EOY32609 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein MYSKLATKADALTLVVVKLSPKGYTNPELKQFKGKVDDAKEHVMKFVKTLGVIGLNDNLKLKEFSKSLIEKVYNWIVLIPLRKAHSKKESSFNSHNSQTHSEEMDKKFQNMKCEHNSRSNNRHAVAFITLASKIQIQVEDQSTISVLKRKLSCPSSELL >EOY30278 pep chromosome:Theobroma_cacao_20110822:9:4047292:4050026:-1 gene:TCM_037544 transcript:EOY30278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mob1/phocein family protein MSLFGLGSRNQKTFRPKKNAPSGSKGAQLQKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNILYGTLTEFCTPNNCPTMTAGPKYDTQSLSQILFIIFRAELGVVHVISRPGVVKLGERRGDKEKRERDGYEYRWADGVTVKKPIEVSAPKYVEYLMDWIEAQLDDEAIFPQRLGAPFPPNFGDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFVLFAWEFRLIDKGELAPLSDLVDSILQL >EOY30128 pep chromosome:Theobroma_cacao_20110822:9:3513982:3522022:1 gene:TCM_037448 transcript:EOY30128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily MFNFSNIRMLELTMFWLIGCALVVTPVSWAAKDSYQKHVHEQCSFTRYPGLCVDAVARLRSGNQQVDIMSALINKTILETNLPTSYYTKFSSNLDIEEAQHVNSITGYCQELLSMSLKRLDQSLLALQNSQNTNKQDVQTWISAALTYQQACKDSADGHSFSNSDLVGQISRKMDYLSQLGSNSLALVNRMTGKLTDSTARLLSEDHVFPSWVSAKDRKLLQAPTIKANAVVAKDGSGNYKTISEAINAASGGRFVIYVKAGVYNEKIRTKKDGITLIGDGKYRTIIVGDDSVNGGSSMPGSATFTVTGDGFIARDIGFQNTAGPQGEQALAVYVASDHSVFYRCSMAGYQDTLYALALRQFYRECDIYGTVDFIFGNAAAVFQSCSLTLRRPHGSYNVILANGRSDPGQNTGFSIQNCRIVPSSDFSPVKHSFASYLGRPWKQYSRAVVMESTIDDAIASRGWIEWPGAGAYSKSLYFAEYANAGPGAGTSGRVTWPGFHVIGRDEAVKFTVDKFIAGTSWLPSTGVTFVSGLQ >EOY33092 pep chromosome:Theobroma_cacao_20110822:9:35383652:35387627:-1 gene:TCM_041084 transcript:EOY33092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disulfide-isomerase 5-1 isoform 3 ESGSRSNLRNLESENLQAFYISLQKGNEEIKKTSFKNEVEKSRSPAMKSDRRFTLLLLLLFLISITVHTEAEVITLTPDTFSDKVKEKDTAWFVKFCVPWCKHCKNLGTLWDDLGKAMEGEDEIEIGEVDCGVSKPVCAKVDIHSYPTFKLFYDGEEVAKYQGPRDVESLKTFAVEEAEKAAENAQLGIDKEL >EOY33093 pep chromosome:Theobroma_cacao_20110822:9:35383946:35387613:-1 gene:TCM_041084 transcript:EOY33093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disulfide-isomerase 5-1 isoform 3 SNLRNLESENLQAFYISLQKGNEEIKKTSFKNEVEKSRSPAMKSDRRFTLLLLLLFLISITVHTEAEVITLTPDTFSDKVKEKDTAWFVKFCVPWCKHCKNLGTLWDDLGKAMEGEDEIEIGEVDCGVSKPVCAKVDIHSYPTFKLFYDGEEVAKYQGPRDVESLKTFAVEEAEKAAENAQLGIDKEL >EOY33089 pep chromosome:Theobroma_cacao_20110822:9:35383599:35387673:-1 gene:TCM_041084 transcript:EOY33089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disulfide-isomerase 5-1 isoform 3 MWPLFFNSWLSRWPESGSRSNLRNLESENLQAFYISLQKGNEEIKKTSFKNEVEKSRSPAMKSDRRFTLLLLLLFLISITVHTEAEVITLTPDTFSDKVKEKDTAWFVKFCVPWCKHCKNLGTLWDDLGKAMEGEDEIEIGEVDCGVSKPVCAKVDIHSYPTFKLFYDGEEVAKYQGPRDVESLKTFAVEEAEKAAENAQLGIDKEL >EOY33090 pep chromosome:Theobroma_cacao_20110822:9:35384446:35387627:-1 gene:TCM_041084 transcript:EOY33090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disulfide-isomerase 5-1 isoform 3 ESGSRSNLRNLESENLQAFYISLQKGNEEIKKTSFKNEVEKSRSPAMKSDRRFTLLLLLLFLISITVHTEAEVITLTPDTFSDKVKEKDTAWFVKFCVPWCKHCKNLGTLWDDLGKAMEGEDEIEIGEVDCGVSKPVCAKVDIHSYPTFKLFYDGEEVAKYQGPRDVESLKTFAVEEAEKAAENAQLGIDKEL >EOY33091 pep chromosome:Theobroma_cacao_20110822:9:35384040:35387613:-1 gene:TCM_041084 transcript:EOY33091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disulfide-isomerase 5-1 isoform 3 SNLRNLESENLQAFYISLQKGNEEIKKTSFKNEVEKSRSPAMKSDRRFTLLLLLLFLISITVHTEAEVITLTPDTFSDKVKEKDTAWFVKFCVPWCKHCKNLGTLWDDLGKAMEGEDEIEIGEVDCGVSKPVCAKVDIHSYPTFKLFYDGEEVAKYQGPRDVESLKTFAVEEAEKAAENAQLGIDKEL >EOY31413 pep chromosome:Theobroma_cacao_20110822:9:8661158:8663980:-1 gene:TCM_038352 transcript:EOY31413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monooxygenase, putative MIEKKKKKNKAKAIIVGGSIAGVSCAHALTSAGWEVVVLEKTRTAPTGSPTGAGLGLDPTAQQLIGSWLGHPQLLQQATLPLTIDQNQATDSATKMSWTLTRDEEFNFRAAHWADLHGLLYNALPRDIFFWGHQFLCFTISEDKSSVKVKAKVLRNDEIIEIDGNLLVAADGCLSLIRQSCLPDIKLRYSGYSAWRGVLDFSGKEASETITGIRKVYPDLGKCLYFDLSSGTHSALYELPNKRLNWIFYINQPEPDIKGNSVTMKVNEDMINDMIQEAEKVWVPEFVRVMKETKNPFLNAIYDCDPLRQIFWDNVVLIGDAAHPTSPHGLRSTNMSILDAAVLGKSLDKWGLENLQSAREEYQSIRLPVTSKQVLHSRQLGRIKQGLDLPNREPFDPKKATPEDCTDLQQKNMPFFSVIPSLIDSMLRSV >EOY34259 pep chromosome:Theobroma_cacao_20110822:9:40489157:40489927:1 gene:TCM_041993 transcript:EOY34259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSHLIYSEEVVTCVNHEPTDVCKSVVHGLHGTMQELEARPAYFTGVNFGSPKGPQPPNPQAAGFILHLF >EOY29673 pep chromosome:Theobroma_cacao_20110822:9:2007246:2008323:1 gene:TCM_037146 transcript:EOY29673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSRPCGMKASAESIQGEKQKSHGLVCLLAFVRLPEPGRQEFEKNLIMLREEIWLLIANIATDVMKSKASGQLSPFKLIQVCSVLGV >EOY29785 pep chromosome:Theobroma_cacao_20110822:9:2386695:2389875:-1 gene:TCM_037218 transcript:EOY29785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-containing protein T09A5.8, putative isoform 1 QYPPLIYRGKTFKVSIWGKKRKILFLECLMDRLRPRQRQRPVFSGFTKAEIEKMEKFLMESRELLQSKEFCQKIARSFNSSSGRAGKPIVKWTEVQNWFIARQQESTSKVASLTDTSKHKSKIPETCPLNDGHQSTQILKGVVSKVGGKVPDLSELEFEAKSSKDGAWYDVDNFLTHRFLGSGEAEVRVRFVGFGAEEDEWVNVKKAVRERSIPFEHTECDKVKVGDLVLCLQERRDQAIYYDAHIIEIERKMHDIRGCRCLFFIRYEHDGSEERVRLRRLCYIPSQQIR >EOY29784 pep chromosome:Theobroma_cacao_20110822:9:2386206:2389825:-1 gene:TCM_037218 transcript:EOY29784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-containing protein T09A5.8, putative isoform 1 MDRLRPRQRQRPVFSGFTKAELSSLDLQKLSSSSCLDSGLYYVIEKMEKFLMESRELLQSKEFCQKIARSFNSSSGRAGKPIVKWTEVQNWFIARQQESTSKVASLTDTSKHKSKIPETCPLNDGHQSTQILKGVVSKVGGKVPDLSELEFEAKSSKDGAWYDVDNFLTHRFLGSGEAEVRVRFVGFGAEEDEWVNVKKAVRERSIPFEHTECDKVKVGDLVLCLQERRDQAIYYDAHIIEIERKMHDIRGCRCLFFIRYEHDGSEERVRLRRLCYIPSQQIR >EOY30341 pep chromosome:Theobroma_cacao_20110822:9:4268806:4272332:1 gene:TCM_037585 transcript:EOY30341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSSSDEVVEDMNVDGDSSGTLKLRFKDRSEKVAKTKEMLSKQAVQTKEMLSKQAVKIAKQAEEHERFINKVTHLLGVLGFGGFCFLLGARPQDIPYVYCVFYVTFVPLRWIYYRFKKWHYYLLDFCYYANTIFLVDLLLYPRNEKFFMVCFSFAEGPLAWALIVWRCSLVFSSVDKIISVLIHLLPGIVFFTIRWWNPATFEAMHPEGTTHRASWPYVGDKSYLWTWLFGVPLVAYTLWQVLYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDQNRMLMYILLQAIFTVATMALTVPIFLSYKFHVIFQILKVSASVWNGGSFLLEVMPRQVIVKEKKKMQPVLKSQQDQPSASMENAMTENEYSEIHQS >EOY30342 pep chromosome:Theobroma_cacao_20110822:9:4268802:4272577:1 gene:TCM_037585 transcript:EOY30342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 LVHVFTQRPPPFSLRLSSSVIGISFQLATKKKQEMSSSDEVVEDMNVDGDSSGTLKLRFKDRSEKVAKTKEMLSKQAVQTKEMLSKQAVKIAKQAEEHERFINKVTHLLGVLGFGGFCFLLGARPQDIPYVYCVFYVTFVPLRWIYYRFKKWHYYLLDFCYYANTIFLVDLLLYPRNEKFFMVCFSFAEGPLAWALIVWRCSLVFSSVDKIISVLIHLLPGIVFFTIRWWNPATFEAMHPEGTTHRASWPYVGDKSYLWTWLFGVPLVAYTLWQVLYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDQNRFCLCMEWRKLSTRSDA >EOY33737 pep chromosome:Theobroma_cacao_20110822:9:38842035:38843757:-1 gene:TCM_041632 transcript:EOY33737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter 1 member 2 MEISWEQSVTYSINTIYLLFSAYLVFVMQLGFAMLCAGSVRAKNAMNIMLTNVVDAVVGSISYYLFGFAFAFGDSSNPFIGTNFFALKDIPNSSYDYSFFLYQWAFAIAVAGITSGSIAERTQFSAYLVFSFFLSGFVYPIVVHWVWSSGGWLSASSSNPLFASGAIDFAGSGVVHLVGAVAGLWGSFIEGPRVGRFDAFGKVMPMRGHNATLVVLGTFLLWFGWFGFNPGSFDKILVAYPSTTDQGNWTAIGRTAVTTTLAGSTAGIVTLFGRRLLVGHWDALDVCNGLLGGFVAITSGCSVVEPWAAIVCGFFAAWVLIGLNFLAVKLNFDDPLEAAQLHGGCGAWGLIFTGLFAKEEFVIQAYDSGESGVVRPHGLFMGGGWGLLGCQVIEVLVIVSWVTVTMGPLFFALHRLRILRISVEEEIAGLDISSHGGYAYNTHQEDNQPRFNADYMSMQDQS >EOY31660 pep chromosome:Theobroma_cacao_20110822:9:10931876:10962096:1 gene:TCM_038639 transcript:EOY31660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPKTRAASRRAGEQDVPIEMADRPRASTQRGRGRRGRVTRPVGLDTPVSRQEEGQSSGDVDRHPARGITIEDLAAGLQGVNRVVEMMATRMEDIQRVVEGRPTVQESPSSQGQADHQHHEEERGHLDISLPDFLKLKPPTFSGSDASEKPQVFLDKMEKICKALGCSSVRSVELATFQLEDVAQEWYSSLCRGRPTNATPLAWSEFSVAFLDRFLPLSVTHWMARGGRKETFGA >EOY34116 pep chromosome:Theobroma_cacao_20110822:9:39979196:39979963:1 gene:TCM_041890 transcript:EOY34116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A 12 isoform 1 MDTGGKVKKGAGGRKGGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYSQRVGTGAPVYMAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTDKATKEPKSPSKATKSLKKA >EOY34117 pep chromosome:Theobroma_cacao_20110822:9:39979143:39980024:1 gene:TCM_041890 transcript:EOY34117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A 12 isoform 1 MDTGGKVKKGAGGRKGGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYSQRVGTGAPVYMAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTDKATKEPKSPSKATKSLKKA >EOY30143 pep chromosome:Theobroma_cacao_20110822:9:3556328:3557537:1 gene:TCM_037454 transcript:EOY30143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVQPRDKGRKVKAKHKEKITNGENKKPTKKAFQQFDINKSYIYLVNQNLTFSVQI >EOY34231 pep chromosome:Theobroma_cacao_20110822:9:40416699:40417391:1 gene:TCM_041974 transcript:EOY34231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSPMSESDPDSDGAVSSLYDENVCLISQLTTPATDAASGEGLLTPSFDVQMSSKKAFRAAMLKSRYADTILKAKHILDHGEKADPVKMQQQKGKLERRQREEKAKIEAQIRA >EOY33309 pep chromosome:Theobroma_cacao_20110822:9:36644010:36646192:1 gene:TCM_041266 transcript:EOY33309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 8 isoform 7 MSVPGQPFPTSSTGCIHVFWHEGMLRHDTGRGVFDTGIDPGFLEVLEKHPENSDRVRNIVSILKRGPISPHVSWHTGRPANIPELLSFHSQGYINTLVEADKNGGKEICGGTFLNPGSFDAALLAAGTTLSAMKHILDGHGKLAYALVRPPGHHAQPTQADGYCFFNNAGLAVQLALDSGCRKVTVIDIDVHYGNGTAEGFYRSDKVLTVSLHMNHGSWGPSHPQTGTVDELGEGEGFGYNLNIPLPNGTGDKGYEYAMRELVAPAVQKFEPDVIVLVIGQDSSAFDPNGRQCLTMDGYREIGRIVRSLADRHCGGCLLIVQEGGYQRMRLLLL >EOY33310 pep chromosome:Theobroma_cacao_20110822:9:36644034:36645835:1 gene:TCM_041266 transcript:EOY33310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 8 isoform 7 MSVPGQPFPTSSTGCIHVFWHEGMLRHDTGRGVFDTGIDPGFLEVLEKHPENSDRVRNIVSILKRGPISPHVSWHTGRPANIPELLSFHSQGYINTLVEADKNGGKEICGGTFLNPGSFDAALLAAGTTLSAMKHILDGHGKLAYALVRPPGHHAQPTQADGYCFFNNAGLAVQLALDSGCRKVTVIDIDVHYGNGTAEGFYRSDKVLTVSLHMNHGSWGPSHPQTGTVDELGEGEGFGYNLNIPLPNGTGDKGYEYAMRELVAPAVQKFEPDVIVLVIGQDSSAELKMYESGTKSGLLRDKNGMLNFTNTGGITRDYLCFSSTPFLPPSPSDYTHNLSLPICLLSVLVKQ >EOY33307 pep chromosome:Theobroma_cacao_20110822:9:36644010:36645973:1 gene:TCM_041266 transcript:EOY33307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 8 isoform 7 MSVPGQPFPTSSTGCIHVFWHEGMLRHDTGRGVFDTGIDPGFLEVLEKHPENSDRVRNIVSILKRGPISPHVSWHTGRPANIPELLSFHSQGYINTLVEADKNGGKEICGGTFLNPGSFDAALLAAGTTLSAMKHILDGHGKLAYALVRPPGHHAQPTQADGYCFFNNAGLAVQLALDSGCRKVTVIDIDVHYGNGTAEGFYRSDKVLTVSLHMNHGSWGPSHPQTGTVDELGEGEGFGYNLNIPLPNGTGDKGYEYAMRELVAPAVQKFEPDVIVLVIGQDSSAELKMYESGTKSGLLRDKNGMLNFTNTGGITRDYLCFSSTPFLPPSPSDYTHNLSLPIF >EOY33306 pep chromosome:Theobroma_cacao_20110822:9:36644116:36646451:1 gene:TCM_041266 transcript:EOY33306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 8 isoform 7 MSVPGQPFPTSSTGCIHVFWHEGMLRHDTGRGVFDTGIDPGFLEVLEKHPENSDRVRNIVSILKRGPISPHVSWHTGRPANIPELLSFHSQGYINTLVEADKNGGKEICGGTFLNPGSFDAALLAAGTTLSAMKHILDGHGKLAYALVRPPGHHAQPTQADGYCFFNNAGLAVQLALDSGCRKVTVIDIDVHYGNGTAEGFYRSDKVLTVSLHMNHGSWGPSHPQTGTVDELGEGEGFGYNLNIPLPNGTGDKGYEYAMRELVAPAVQKFEPDVIVLVIGQDSSAELKMYESGTKSGLLRDKNGMLNFTNTGGITRDYLCFSSTPFLPPSPSDYTHNLSLPIFDPNGRQCLTMDGYREIGRIVRSLADRHCGGCLLIVQEGGYQRMRLLLLKSLNQLSSTKGILYQY >EOY33308 pep chromosome:Theobroma_cacao_20110822:9:36644034:36645978:1 gene:TCM_041266 transcript:EOY33308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 8 isoform 7 MSVPGQPFPTSSTGCIHVFWHEGMLRHDTGRGVFDTGIDPGFLEVLEKHPENSDRVRNIVSILKRGPISPHVSWHTGRPANIPELLSFHSQGYINTLVEADKNGGKEICGGTFLNPGSFDAALLAAGTTLSAMKHILDGHGKLAYALVRPPGHHAQPTQADGYCFFNNAGLAVQLALDSGCRKVTVIDIDVHYGNGTAEGFYRSDKVLTVSLHMNHGSWGPSHPQTGTVDELGEGEGFGYNLNIPLPNGTGDKGYEYAMRELVAPAVQKFEPDVIVLVIGQDSSAMYESGTKSGLLRDKNGMLNFTNTGGITRDYLCFSSTPFLPPSPSDYTHNLSLPIF >EOY33311 pep chromosome:Theobroma_cacao_20110822:9:36644064:36645611:1 gene:TCM_041266 transcript:EOY33311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 8 isoform 7 MSVPGQPFPTSSTGCIHVFWHEGMLRHDTGRGVFDTGIDPGFLEVLEKHPENSDRVRNIVSILKRGPISPHVSWHTGRPANIPELLSFHSQGYINTLVEADKNGGKEICGGTFLNPGSFDAALLAAGTTLSAMKHILDGHGKLAYALVRPPGHHAQPTQADGYCFFNNAGLAVQLALDSGCRKVTVIDIDVHYGNGTAEGFYRSDKVLTVSLHMNHGSWGPSHPQTGTVDELGEGEGFGYNLNIPLPNGTGDKGYEYAMRELVAPAVQKFEPDVIVLVIGQDSSAMYESGTKSGLLRDKNGMLNFTNTGGITRDYLCFSSTPFLPPSPSDYTHNLSLPICKQNVVSLNTL >EOY33305 pep chromosome:Theobroma_cacao_20110822:9:36643839:36646732:1 gene:TCM_041266 transcript:EOY33305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 8 isoform 7 MSVPGQPFPTSSTGCIHVFWHEGMLRHDTGRGVFDTGIDPGFLEVLEKHPENSDRVRNIVSILKRGPISPHVSWHTGRPANIPELLSFHSQGYINTLVEADKNGGKEICGGTFLNPGSFDAALLAAGTTLSAMKHILDGHGKLAYALVRPPGHHAQPTQADGYCFFNNAGLAVQLALDSGCRKVTVIDIDVHYGNGTAEGFYRSDKVLTVSLHMNHGSWGPSHPQTGTVDELGEGEGFGYNLNIPLPNGTGDKGYEYAMRELVAPAVQKFEPDVIVLVIGQDSSAELKMYESGTKSGLLRDKNGMLNFTNTGGITRDYLCFSSTPFLPPSPSDYTHNLSLPICKQNVFDPNGRQCLTMDGYREIGRIVRSLADRHCGGCLLIVQEGGYQVTYSAYCVHATLEGVLNLPLPLLSDPIAYYPEDEAFAVKVIESIKQYQRNTVPILKED >EOY30127 pep chromosome:Theobroma_cacao_20110822:9:3504228:3505051:1 gene:TCM_037447 transcript:EOY30127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein, putative MLLIISSATLATSSRLQGKDLVSNACSHTQFYEVCVSTLKSDPQSEKSDIRGLADIALNVSIAYGMETLSHINSLKFSPGNYTPFASRCLSDCMEEYSDAVDNLQESAQALRTKSYTTVNTLVAAAMTDSDTCEGGFGEMPGNEPPLTQRNQYFYKLCSNFLAITTLLS >EOY32109 pep chromosome:Theobroma_cacao_20110822:9:21116437:21117206:-1 gene:TCM_039609 transcript:EOY32109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESNKERREKGEAEQVRDKRTKEDAAASFESTTKTTGMDPMAKVVINETIVSDAAADGAQNPDDVLALSRSLHKIDSSLQ >EOY33746 pep chromosome:Theobroma_cacao_20110822:9:38885972:38890034:1 gene:TCM_041642 transcript:EOY33746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MAVSCLPVSMRIGSTLFSFSRVAILQWWICLLLAGSFPSSTNGITITSFREYERDRQALLAIKSQIHDPLGVTSSWNNSVSLCRWRGVTCGRKNQRVTQLDLKNQNLRGSLSPYIGNLSFLRFIDLRHNLLSGGIPPEIGRLSRLKILMLRNNSFGGTLPANLSRCSKLVHLVAGQNSLVGNIPAEFGNLLKLEKLGIDTNFLTGQLPVSLGNLSSLRLLNVGVNRLQGRLPDTLGQLKRLVNLTLDQNNFFGLLPPPIYNISSIEMFSLPSNQFHGSLPDDLGFTLVNVKKIYIGINNFSGTLPESLSNASKLEELDVSDNFFTGKVSIDFSRINMTWVNMENNNLGSGLVGDLDFVTSLTNCSNLDTLSLADNQFGGMLPNSLTNLSTMITVIHLGTNRVTGTIPSGIANLVNLISIGLEENRLTGPIPHAIGKLKNLQGLTLGENKLAGRIPTSFGNLTRLNVLALYYNELEGGIPPGLGNCQNLVRMVLSGNRLTGVVPRSIFSITTLSTSLELSNNLLRGSLPSEVGNLKNILNLDLSGNQLSGQVPSALGGCTSLENLNLGNNNFYGSIPDSLSSLRSIALLDLSHNNFSGQIPEYLENLSYLKYLNLSYNHFEGKVPSKGVFSNATSTSLIGNDKLCGGIAELHLPLCHFNEQKKSRTSHSLKIILIVCGVLGFLSLSFSLLFYWLRNNREVLSEPSSVFPLRTSIPRISYQQLLKATNGFSPANLIGQGSFGSVYRGMFNQNQEQQVIAVKVMNLQETRASKSFIAECKTLGHLKHRNLVKIISACSSVDFQGNAFKALVYEFMPNGSLEGWLHPPTEADSLAHREPKILNFLQRLNIAIDVACALDYLHHHCQVPVFHCDLKPSNILLDHDMVAHLGDFGLARFFPKSTNKFSGYSTSTLDLKGTVGYAAPEYGIGTEATTSGDMYSFGILLLEMYTSKRPTDDMFKDGLTLHHFAKLASPDQLHEVVDPLLLAGDNEEENASSSRNPSRAHMRETKMKECLISILRVGIACSVESPKDRMDIVDAAKELHFIRDKFLGARIRTQS >EOY29900 pep chromosome:Theobroma_cacao_20110822:9:2733939:2739054:-1 gene:TCM_037285 transcript:EOY29900 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein, putative MTPVYLNPPPLPFPLVKLKEEQHLQLFLSPQQAATSLSASTFLNSNTASHQDQTVTKPEESKPHDHKGNQFMTHEGSIDQQASSSSSLQSAVDQSTANGYNLSFSRKEDGDCESASGNGSSVKWMSSKVRLMKKMMNSNCSGADDKPPKFTQRFQYPVHDSDETNSFSKANNTVRVCSDCNTTTTPLWRSGPRGPKSLCNACGIRQRKARRAMEAAAAAAAENGAAAAADASSMKIKVHIHKEKKSRTSHVAQCKKQVKPPYYSPQSQKKLCFKEFALSLSKNSALQRVFPQDVEDAAILLMELSCGLVHS >EOY31109 pep chromosome:Theobroma_cacao_20110822:9:7087291:7088222:1 gene:TCM_038113 transcript:EOY31109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 14, putative MTNHRVGLSLIGLLIVAMTFLEGAIAADYTVGDDYGWDVPPNNSSDFYASWANRFEFKIGDVAVFNWTGDHTAAQVTNKADFDSCNKSGAGIRLIGEAGVRVPITWEGFHYFICTVHTHCEQGQKVALNVTADVSSAPGPHPTVFAFSMLLCALAIVLLIH >EOY33874 pep chromosome:Theobroma_cacao_20110822:9:39221366:39223743:-1 gene:TCM_041715 transcript:EOY33874 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyltransferase, putative MGLDNCSGKQVLTLRPVSLTTFHREEEMEKQGWGLRKLVLVPCPFQGHINPMLQLGNLLHAIGFSITVAHTRFNFPNPDNHPDFTFLPIQDTLFDRDASSMDVTDVISCLNISCKAPLKNSLTQIMEREKEDHSHKLPCIIYDGSMYFAEAVAHELELPSIMLRTTSAATFLTYYSFPQLLREGYLPLQEAMSLALVPGLYPLRFKDLPIANFKNKNLDILLQQTTRTSDIRSSSAIICNTIDCLEQSSLARLQQQCKVPVFPIGPLHTIVPTASSGLLKDDRSCIEWLDKQTHNSVLYVSLGSIASMNKNELREMAKGLTNSRQPFLWVLRPGSLLPDDFNELIGERGLIVKWAPQKEVLAHKAIGGFWSHCGWNSTLESICAGVPMICQPCFGDQRVNARLLTHVWKVGLAMDSSLVSIEIEKAIRRLMLDVEGHELRRKIINLKEKIELCTQEGASFYNSLSELRKCILSC >EOY31315 pep chromosome:Theobroma_cacao_20110822:9:8084166:8095077:1 gene:TCM_038267 transcript:EOY31315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein with octicosapeptide/Phox/Bem1p domain, putative isoform 4 MAFDQNSVPKDLRPLNVAGLAEEPRVGAAVAATTNASCRNVEGFFPNPARESGSPGSMPVFYPATVPDAGFVGLGYANAVPLAPGVPAWRPQVPLPMPVPVPVPVGHPSMNPVVGFGYNPNFSNRVVANAVDEVSNDVVAGHNFSPSLGNRGSGNGSDQVSNDALPTGFGHSSDMGNRGSGNGSDQLSNELMSGLGYEPNLGLRSNGSGADQVSDEGGDDSVSGKKVKFLCSFGGKILPRPSDGMLRYVGGQTRIISLRRDVSFNDFVQKMVDAYGQPVVIKYQLPDEDLDALVSISCSDDLDNMMDEYEKVVERSSDGSAKLRVFLFSASELDASGMVQFGDLHDNAQKYVEAVNGIMDGAAGGITRKESIASVASTQNSDFSGTEAVDSIGAGQGDVSGLPSANMLSSSGNSATSHDTAPKMMAVDPNPAGHLGIPVVKSGPPQTLTSQPEVELERTVPLSSTQQQLGYDLQQHYASTYIDPHHDGMNRTDYVRLGPQMGFSSPKLVGNTGSVFSQQQFSDNAPGITPHQFIPALHMTMTPPTSHVSIRPAVVQPLLQPQQTPLERYHDENSFGARVVQLPADRSHNVYQAQIPAIVAGGYAWHHIPQTEHVVFSDGSFPRHQVTIPEKIPRLEDCHMCQKALPHTHSDPLLQDQRDSGVTPIVNTNPSYHSLRPEDAMRISSVNRVVVPGPFGDGIVEQGAGIRQPGQLDHQFGVLKSEAVGFSQGLEAQYEHDRNIFPKTDNSDHPRIPASQGVMGLAGELQLQYGLPTQYQFKQEVPHVGAMGIQVAEQPAHEVSRQYNGKLPAVPKEDIIDPNHLMPIDGMMETLRVSNEQSKSPVDKTRKGDILEDRSLQTAGREVLLDSIFSKPLDSNEMVILGNVVAHAQPKVGAPNLDSVEVRYGNPPFSGVETAHKLDNVSWLEQKIVQDDTESVPLNGNSQTSLSPSNRGGDALDSSNSLFSNQDPWNLRQDTHFPPPRPNKIQSKREGTATRDPFGENQVAISGESNTQLEDEVYQPLGQLNKDFSSDHTQSTKGSAEELIKKELQAVAEGVAASVFQSSTPSNPDIPAEVNVAGYEANQDTDVSTSNIEMQHKAKLEEIKTKQPDRTNFGFRVSDGIAGRLQIIKNSDLEELRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMIDDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRNALQKNERNLDKRKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVVL >EOY31314 pep chromosome:Theobroma_cacao_20110822:9:8084166:8095077:1 gene:TCM_038267 transcript:EOY31314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein with octicosapeptide/Phox/Bem1p domain, putative isoform 4 MAFDQNSVPKDLRPLNVAGLAEEPRVGAAVAATTNASCRNVEGFFPNPARESGSPGSMPVFYPATVPDAGFVGLGYANAVPLAPGVPAWRPQVPLPMPVPVPVPVGHPSMNPVVGFGYNPNFSNRVVANAVDEVSNDVVAGHNFSPSLGNRGSGNGSDQVSNDALPTGFGHSSDMGNRGSGNGSDQLSNELMSGLGYEPNLGLRSNGSGADQVSDEGGDDSVSGKKVKFLCSFGGKILPRPSDGMLRYVGGQTRIISLRRDVSFNDFVQKMVDAYGQPVVIKYQLPDEDLDALVSISCSDDLDNMMDEYEKVVERSSDGSAKLRVFLFSASELDASGMVQFGDLHDNAQKYVEAVNGIMDGAAGGITRKESIASVASTQNSDFSGTEAVDSIGAGQGDVSGLPSANMLSSSGNSATSHDTAPKMMAVDPNPAGHLGIPVVKSGPPQTLTSQPEVELERTVPLSSTQQQLGYDLQQHYASTYIDPHHDGMNRTDYVRLGPQMGFSSPKLVGNTGSVFSQQQFSDNAPGITPHQFIPALHMTMTPPTSHVSIRPAVVQPLLQPQQTPLERYHDENSFGARVVQLPADRSHNVYQAQIPAIVAGGYAWHHIPQTEHVVFSDGSFPRHQVTIPEKIPRLEDCHMCQKALPHTHSDPLLQDQRDSGVTPIVNTNPSYHSLRPEDAMRISSVNRVVVPGPFGDGIVEQGAGIRQPGQLDHQFGVLKSEAVGFSQGLEAQYEHDRNIFPKTDNSDHPRIPASQGVMGLAGELQLQYGLPTQYQFKQEVPHVGAMGIQVAEQPAHEVSRQYNGKLPAVPKEDIIDPNHLMPIDGMMETLRVSNEQSKSPVDKTRKGDILEDRSLQTAGREVLLDSIFSKPLDSNEMVILGNVVAHAQPKVGAPNLDSVEVRYGNPPFSGVETAHKLDNVSWLEQKIVQDDTESVPLNGNSQTSLSPSNRGGDALDSSNSLFSNQDPWNLRQDTHFPPPRPNKIQSKREGTATRDPFGENQVAISGESNTQLEDEVYQPLGQLNKDFSSDHTQSTKGSAEELIKKELQAVAEGVAASVFQSSTPSNPDIPAEVNVAGYEANQDTDVSTSNIEMQHKAKLEEIKTKQPDRTNFGFRVSDGIAGRLQIIKNSDLEELRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMIDDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRNALQKNERNLDKRKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVVL >EOY31309 pep chromosome:Theobroma_cacao_20110822:9:8087957:8096033:1 gene:TCM_038267 transcript:EOY31309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein with octicosapeptide/Phox/Bem1p domain, putative isoform 4 MAFDQNSVPKDLRPLNVAGLAEEPRVGAAVAATTNASCRNVEGFFPNPARESGSPGSMPVFYPATVPDAGFVGLGYANAVPLAPGVPAWRPQVPLPMPVPVPVPVGHPSMNPVVGFGYNPNFSNRVVANAVDEVSNDVVAGHNFSPSLGNRGSGNGSDQVSNDALPTGFGHSSDMGNRGSGNGSDQLSNELMSGLGYEPNLGLRSNGSGADQVSDEGGDDSVSGKKVKFLCSFGGKILPRPSDGMLRYVGGQTRIISLRRDVSFNDFVQKMVDAYGQPVVIKYQLPDEDLDALVSISCSDDLDNMMDEYEKVVERSSDGSAKLRVFLFSASELDASGMVQFGDLHDNAQKYVEAVNGIMDGAAGGITRKESIASVASTQNSDFSGTEAVDSIGAGQGDVSGLPSANMLSSSGNSATSHDTAPKMMAVDPNPAGHLGIPVVKSGPPQTLTSQPEVELERTVPLSSTQQQLGYDLQQHYASTYIDPHHDGMNRTDYVRLGPQMGFSSPKLVGNTGSVFSQQQFSDNAPGITPHQFIPALHMTMTPPTSHVSIRPAVVQPLLQPQQTPLERYHDENSFGARVVQLPADRSHNVYQAQIPAIVAGGYAWHHIPQTEHVVFSDGSFPRHQVTIPEKIPRLEDCHMCQKALPHTHSDPLLQDQRDSGVTPIVNTNPSYHSLRPEDAMRISSVNRVVVPGPFGDGIVEQGAGIRQPGQLDHQFGVLKSEAVGFSQGLEAQYEHDRNIFPKTDNSDHPRIPASQGVMGLAGELQLQYGLPTQYQFKQEVPHVGAMGIQVAEQPAHEVSRQYNGKLPAVPKEDIIDPNHLMPIDGMMETLRVSNEQSKSPVDKTRKGDILEDRSLQTAGREVLLDSIFSKPLDSNEMVILGNVVAHAQPKVGAPNLDSVEVRYGNPPFSGVETAHKLDNVSWLEQKIVQDDTESVPLNGNSQTSLSPSNRGGDALDSSNSLFSNQDPWNLRQDTHFPPPRPNKIQSKREGTATRDPFGENQVAISGESNTQLEDEVYQPLGQLNKDFSSDHTQSTKGSAEELIKKELQAVAEGVAASVFQSSTPSNPDIPAEVNVAGYEANQDTDVSTSNIEMQHKAKLEEIKTKQPDRTNFGFRVSDGIAGRLQIIKNSDLEELRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMIDDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRNALQKNERNLDKRKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYADLHYGAIIGGIVSNTLRPPVPESCDQEWRSLMERCWSSEPSERPSFIEIANELRSMAAKVPPRGQNPP >EOY31310 pep chromosome:Theobroma_cacao_20110822:9:8083924:8096033:1 gene:TCM_038267 transcript:EOY31310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein with octicosapeptide/Phox/Bem1p domain, putative isoform 4 MAFDQNSVPKDLRPLNVAGLAEEPRVGAAVAATTNASCRNVEGFFPNPARESGSPGSMPVFYPATVPDAGFVGLGYANAVPLAPGVPAWRPQVPLPMPVPVPVPVGHPSMNPVVGFGYNPNFSNRVVANAVDEVSNDVVAGHNFSPSLGNRGSGNGSDQVSNDALPTGFGHSSDMGNRGSGNGSDQLSNELMSGLGYEPNLGLRSNGSGADQVSDEGGDDSVSGKKVKFLCSFGGKILPRPSDGMLRYVGGQTRIISLRRDVSFNDFVQKMVDAYGQPVVIKYQLPDEDLDALVSISCSDDLDNMMDEYEKVVERSSDGSAKLRVFLFSASELDASGMVQFGDLHDNAQKYVEAVNGIMDGAAGGITRKESIASVASTQNSDFSGTEAVDSIGAGQGDVSGLPSANMLSSSGNSATSHDTAPKMMAVDPNPAGHLGIPVVKSGPPQTLTSQPEVELERTVPLSSTQQQLGYDLQQHYASTYIDPHHDGMNRTDYVRLGPQMGFSSPKLVGNTGSVFSQQQFSDNAPGITPHQFIPALHMTMTPPTSHVSIRPAVVQPLLQPQQTPLERYHDENSFGARVVQLPADRSHNVYQAQIPAIVAGGYAWHHIPQTEHVVFSDGSFPRHQVTIPEKIPRLEDCHMCQKALPHTHSDPLLQDQRDSGVTPIVNTNPSYHSLRPEDAMRISSVNRVVVPGPFGDGIVEQGAGIRQPGQLDHQFGVLKSEAVGFSQGLEAQYEHDRNIFPKTDNSDHPRIPASQGVMGLAGELQLQYGLPTQYQFKQEVPHVGAMGIQVAEQPAHEVSRQYNGKLPAVPKEDIIDPNHLMPIDGMMETLRVSNEQSKSPVDKTRKGDILEDRSLQTAGREVLLDSIFSKPLDSNEMVILGNVVAHAQPKVGAPNLDSVEVRYGNPPFSGVETAHKLDNVSWLEQKIVQDDTESVPLNGNSQTSLSPSNRGGDALDSSNSLFSNQDPWNLRQDTHFPPPRPNKIQSKREGTATRDPFGENQVAISGESNTQLEDEVYQPLGQLNKDFSSDHTQSTKGSAEELIKKELQAVAEGVAASVFQSSTPSNPDIPAEVNVAGYEANQDTDVSTSNIEMQHKAKLEEIKTKQPDRTNFGFRVSDGIAGRLQIIKNSDLEELRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMIDDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRNALQKNERNLDKRKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYADLHYGAIIGGIVSNTLRPPVPESCDQEWRSLMERCWSSEPSERPSFIEIANELRSMAAKVPPRGQNPP >EOY31311 pep chromosome:Theobroma_cacao_20110822:9:8084166:8095077:1 gene:TCM_038267 transcript:EOY31311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein with octicosapeptide/Phox/Bem1p domain, putative isoform 4 MAFDQNSVPKDLRPLNVAGLAEEPRVGAAVAATTNASCRNVEGFFPNPARESGSPGSMPVFYPATVPDAGFVGLGYANAVPLAPGVPAWRPQVPLPMPVPVPVPVGHPSMNPVVGFGYNPNFSNRVVANAVDEVSNDVVAGHNFSPSLGNRGSGNGSDQVSNDALPTGFGHSSDMGNRGSGNGSDQLSNELMSGLGYEPNLGLRSNGSGADQVSDEGGDDSVSGKKVKFLCSFGGKILPRPSDGMLRYVGGQTRIISLRRDVSFNDFVQKMVDAYGQPVVIKYQLPDEDLDALVSISCSDDLDNMMDEYEKVVERSSDGSAKLRVFLFSASELDASGMVQFGDLHDNAQKYVEAVNGIMDGAAGGITRKESIASVASTQNSDFSGTEAVDSIGAGQGDVSGLPSANMLSSSGNSATSHDTAPKMMAVDPNPAGHLGIPVVKSGPPQTLTSQPEVELERTVPLSSTQQQLGYDLQQHYASTYIDPHHDGMNRTDYVRLGPQMGFSSPKLVGNTGSVFSQQQFSDNAPGITPHQFIPALHMTMTPPTSHVSIRPAVVQPLLQPQQTPLERYHDENSFGARVVQLPADRSHNVYQAQIPAIVAGGYAWHHIPQTEHVVFSDGSFPRHQVTIPEKIPRLEDCHMCQKALPHTHSDPLLQDQRDSGVTPIVNTNPSYHSLRPEDAMRISSVNRVVVPGPFGDGIVEQGAGIRQPGQLDHQFGVLKSEAVGFSQGLEAQYEHDRNIFPKTDNSDHPRIPASQGVMGLAGELQLQYGLPTQYQFKQEVPHVGAMGIQVAEQPAHEVSRQYNGKLPAVPKEDIIDPNHLMPIDGMMETLRVSNEQSKSPVDKTRKGDILEDRSLQTAGREVLLDSIFSKPLDSNEMVILGNVVAHAQPKVGAPNLDSVEVRYGNPPFSGVETAHKLDNVSWLEQKIVQDDTESVPLNGNSQTSLSPSNRGGDALDSSNSLFSNQDPWNLRQDTHFPPPRPNKIQSKREGTATRDPFGENQVAISGESNTQLEDEVYQPLGQLNKDFSSDHTQSTKAEELIKKELQAVAEGVAASVFQSSTPSNPDIPAEVNVAGYEANQDTDVSTSNIEMQHKAKLEEIKTKQPDRTNFGFRVSDGIAGRLQIIKNSDLEELRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMIDDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRNALQKNERNLDKRKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYADLHYGAIIGGIVSNTLRPPVPESCDQEWRSLMERCW >EOY31312 pep chromosome:Theobroma_cacao_20110822:9:8084166:8095077:1 gene:TCM_038267 transcript:EOY31312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein with octicosapeptide/Phox/Bem1p domain, putative isoform 4 MAFDQNSVPKDLRPLNVAGLAEEPRVGAAVAATTNASCRNVEGFFPNPARESGSPGSMPVFYPATVPDAGFVGLGYANAVPLAPGVPAWRPQVPLPMPVPVPVPVGHPSMNPVVGFGYNPNFSNRVVANAVDEVSNDVVAGHNFSPSLGNRGSGNGSDQVSNDALPTGFGHSSDMGNRGSGNGSDQLSNELMSGLGYEPNLGLRSNGSGADQVSDEGGDDSVSGKKVKFLCSFGGKILPRPSDGMLRYVGGQTRIISLRRDVSFNDFVQKMVDAYGQPVVIKYQLPDEDLDALVSISCSDDLDNMMDEYEKVVERSSDGSAKLRVFLFSASELDASGMVQFGDLHDNAQKYVEAVNGIMDGAAGGITRKESIASVASTQNSDFSGTEAVDSIGAGQGDVSGLPSANMLSSSGNSATSHDTAPKMMAVDPNPAGHLGIPVVKSGPPQTLTSQPEVELERTVPLSSTQQQLGYDLQQHYASTYIDPHHDGMNRTDYVRLGPQMGFSSPKLVGNTGSVFSQQQFSDNAPGITPHQFIPALHMTMTPPTSHVSIRPAVVQPLLQPQQTPLERYHDENSFGARVVQLPADRSHNVYQAQIPAIVAGGYAWHHIPQTEHVVFSDGSFPRHQVTIPEKIPRLEDCHMCQKALPHTHSDPLLQDQRDSGVTPIVNTNPSYHSLRPEDAMRISSVNRVVVPGPFGDGIVEQGAGIRQPGQLDHQFGVLKSEAVGFSQGLEAQYEHDRNIFPKTDNSDHPRIPASQGVMGLAGELQLQYGLPTQYQFKQEVPHVGAMGIQVAEQPAHEVSRQYNGKLPAVPKEDIIDPNHLMPIDGMMETLRVSNEQSKSPVDKTRKGDILEDRSLQTAGREVLLDSIFSKPLDSNEMVILGNVVAHAQPKVGAPNLDSVEVRYGNPPFSGVETAHKLDNVSWLEQKIVQDDTESVPLNGNSQTSLSPSNRGGDALDSSNSLFSNQDPWNLRQDTHFPPPRPNKIQSKREGTATRDPFGENQVAISGESNTQLEDEVYQPLGQLNKDFSSDHTQSTKGSAEELIKKELQAVAEGVAASVFQSSTPSNPDIPAEVNVAGYEANQDTDVSTSNIEMQHKAKLEEIKTKQPDRTNFGFRVSDGIAGRLQIIKNSDLEELRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMIDDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRNALQKNERNLDKRKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVAYVEHFHGWHQNF >EOY31313 pep chromosome:Theobroma_cacao_20110822:9:8084166:8095077:1 gene:TCM_038267 transcript:EOY31313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein with octicosapeptide/Phox/Bem1p domain, putative isoform 4 MAFDQNSVPKDLRPLNVAGLAEEPRVGAAVAATTNASCRNVEGFFPNPARESGSPGSMPVFYPATVPDAGFVGLGYANAVPLAPGVPAWRPQVPLPMPVPVPVPVGHPSMNPVVGFGYNPNFSNRVVANAVDEVSNDVVAGHNFSPSLGNRGSGNGSDQVSNDALPTGFGHSSDMGNRGSGNGSDQLSNELMSGLGYEPNLGLRSNGSGADQVSDEGGDDSVSGKKVKFLCSFGGKILPRPSDGMLRYVGGQTRIISLRRDVSFNDFVQKMVDAYGQPVVIKYQLPDEDLDALVSISCSDDLDNMMDEYEKVVERSSDGSAKLRVFLFSASELDASGMVQFGDLHDNAQKYVEAVNGIMDGAAGGITRKESIASVASTQNSDFSGTEAVDSIGAGQGDVSGLPSANMLSSSGNSATSHDTAPKMMAVDPNPAGHLGIPVVKSGPPQTLTSQPEVELERTVPLSSTQQQLGYDLQQHYASTYIDPHHDGMNRTDYVRLGPQMGFSSPKLVGNTGSVFSQQQFSDNAPGITPHQFIPALHMTMTPPTSHVSIRPAVVQPLLQPQQTPLERYHDENSFGARVVQLPADRSHNVYQAQIPAIVAGGYAWHHIPQTEHVVFSDGSFPRHQVTIPEKIPRLEDCHMCQKALPHTHSDPLLQDQRDSGVTPIVNTNPSYHSLRPEDAMRISSVNRVVVPGPFGDGIVEQGAGIRQPGQLDHQFGVLKSEAVGFSQGLEAQYEHDRNIFPKTDNSDHPRIPASQGVMGLAGELQLQYGLPTQYQFKQEVPHVGAMGIQVAEQPAHEVSRQYNGKLPAVPKEDIIDPNHLMPIDGMMETLRVSNEQSKSPVDKTRKGDILEDRSLQTAGREVLLDSIFSKPLDSNEMVILGNVVAHAQPKVGAPNLDSVEVRYGNPPFSGVETAHKLDNVSWLEQKIVQDDTESVPLNGNSQTSLSPSNRGGDALDSSNSLFSNQDPWNLRQDTHFPPPRPNKIQSKREGTATRDPFGENQVAISGESNTQLEDEVYQPLGQLNKDFSSDHTQSTKGSAEELIKKELQAVAEGVAASVFQSSTPSNPDIPAEVNVAGYEANQDTDVSTSNIEMQHKAKLEEIKTKQPDRTNFGFRVSDGIAGRLQIIKNSDLEELRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMIDDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRNALQKNERNLDKRKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVAYVEHFHGWHQNF >EOY31746 pep chromosome:Theobroma_cacao_20110822:9:13582966:13588894:-1 gene:TCM_038869 transcript:EOY31746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVNRGDEEADKGNGNLVLTIVTSNNTVVDIKSPYYLHSSDHLGLIFVTHPLSETGENYFTWRCNFMNALQSKNKARFVDGSIPKLEISSPEFRDWVQCNAMILSWFINALSKELLAGAVHAKTTNEI >EOY31998 pep chromosome:Theobroma_cacao_20110822:9:18872841:18890110:-1 gene:TCM_039394 transcript:EOY31998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSCYAQLAQKNDGLWFPSLIIALCNKVSVQWDSSEELLHSKVPIDVRVIYRYFQPSVGGNFSSVPYPRAFKPKP >EOY32096 pep chromosome:Theobroma_cacao_20110822:9:20857636:20860620:1 gene:TCM_039586 transcript:EOY32096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A21 MITHQFLLFSFSVIAFTLSSVPVEALNVGVQAADSAITVSKECSRKCESEFCSVPPFLRYGKYCGLLYSGCPGEKPCDGLDACCMKHDACVQAKNNDYLSQECSQNFINCMTNFQKSGGRTFKGNKCQVDEVIDVITVVMDAALLAGRVLHKP >EOY33138 pep chromosome:Theobroma_cacao_20110822:9:35594379:35600402:-1 gene:TCM_041121 transcript:EOY33138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate excretion transporter1, putative MDTLHREIQTPNSGRRQGGGWITFPFIIGTLAGLTLAGGGWVANLIVYLIQEFNLKSIDAAQVSNIVNGGSSLFPIVGAIIADSFLGCFSVVSIFSCISLLGTGFLALTATLNSLRPQPCNDGGISFCKAPLKIQFLVLYAGIALATIGLGGTRFSLATMGANQFHKPQEQGSFFNWYFFTLYTASVVSSTAIVYIEDNVSWGLGFGVCVAANFIGLAIFLLGNRFYLHDKPQGSPFMNIVRVPVAAFKKRNLPLSSTSHDYYNEPTNQPTNVEAAAPKKGFRFLNRASLKAEGDIGSDGSIAKPWSLCTVQHVEDLKSLIRIFPLWSSSIFLSIPIAIQGSLTILQALSTDRHLGQHFKIPAGSVPVIVLISTSIFLTLIDRFLCPMWQKLTRKPPSALQRIGVGHVLNVLGMAVSALVESKRLKMVHAHNLRSQPSSIVPMSALWLFPQLVLVGVGEAFHFPGQVALYYQEFPASLRSTATAMIAMILGIAYYLSTAIIDLLRRVTSWLPDNINNGRLDTVYWTLTVVGVLNFGYFLACASLYKYQNAEKEVDDSSA >EOY34478 pep chromosome:Theobroma_cacao_20110822:9:41256956:41262173:1 gene:TCM_042148 transcript:EOY34478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 MNTDSSNSKDNNIRNINRRTQALNSTNPISLRALTILIILFLTFIPHTALKTPPPLPILPLPSAPQLQWQLSSMALFLHFGPNTFTDSEWGTGHASPSVFNPTRLNASQWVHVAEEAGFSRVILTAKHHDGFCLWPSEYTDYSVKASPWRNGKGDVVAELAMAAKEAGVALGLYLSPWDRHENCYGKTVEYNEFYMGQMAELLSRCGDIKEVWLDGAKGEGEKDMEYYFDAWFSLIRQLQPGAVIFSDAGPDTRWIGDEAGVAGSSCWSLFNRSNAEIGGTDPQYSQGGDPHGHDWVPAECDVSIRPGWFWHASEVPKSALKLLDIYYRSAGRNCLLLLNVPPNSSGLISDEDIQVLQEFKELRRSIFSDNLAKNAILNASSIRGGNDNSQFSPYNVLEEGIYTYWAPEEDQSDWVLYLNLQESVSFNVLQVQEPIHMGQRIIEFNLEILNGGGWKKVISGTTVGYKRLLQFPTVKSQYLKFVISESRADPLISFLGIHMDRFSILNHAFDTTSQAHVNGSQVLQQFSIATDTKVLNVVCFDRAAKVIFGCSAQQFFDFATLHPYAAKNASNALVGEMVRITLSKPKRGNAEHLRMTSVVPLRSGFQPVIETLKDLYRVIYGAEGSGGFM >EOY29907 pep chromosome:Theobroma_cacao_20110822:9:2767313:2768639:1 gene:TCM_037291 transcript:EOY29907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic fibroblast growth factor receptor 1, putative MSDRVFPSSKPAAAAAPPAANGGTGGAAAAATTATTNGGTTKSHLYNPTSRPPYRPQPHNRRHHHRPRRNYCCCFCFWTILIILILALLVAITGTVLYILYRPHRPSFTLASLRVHRLNLTTTADSSASHLSTLFNLTLSSKNPNSHLSFSYDPFVVSCVTSNSDAFIGNGTLSAFFSNSKNETTFRGVVVTTSSDLDAETVNNLRSDLKKKNEILLKVRMDTRVTVKMDGLKSKKVGIRVTCDGIKGALPKGKSPSVANVSGAKCKVDLRIKIWKWTF >EOY29232 pep chromosome:Theobroma_cacao_20110822:9:428337:432227:-1 gene:TCM_036831 transcript:EOY29232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit exo70 family protein G1 MEPSANDNDCKNNNCIDNLIAAKKSLKLSLEKSKTLGLALEKAGPRLEEIKQRLPSLEAAVRPIRADKDALAAVGGHINRAVGPAAAVLKVFDAVHGLEKSLLSDPRNDLPGYLSVLKRLEEALRFLGDNCGLAIQWLEDIVEYLEDNRVADGLYLSNLKKSLKGLRELQKDGEKIHIDGGLLDAALDKLESEFRRLLTEHSVPLPMSSPSLGEQACIAPSPLPVTVIQKLQAILGRLIANNRLEKCITIYVEVRSSNVRASLQALDLDYLEISVSEFNDVQSIDGYIGQWGKHLEFAVKHLFEAEFQLCNDVFERIGLDVWMGCFAKIAAQAGILAFLQFGKTVTESKKDPIKLLKLLDIFASLNKLRLDFNRLFGGAACIEIQNLTRDLIRRVIDGAAEIFWELFVQVELQRQSPPPQDGSVPRLVSFITDYCNKLLGDGYKPILTQVLVIHRSWKHEKFQERILVSEVLKIVKAIDLNLETWVKAYDDATLSYLFAMNNHWHLYKHLKGTGLGELMGDSWLKEHEQYKEYYSTVFLRESWGKLPGHLSREGLILFSGGRATARDLVKKRLKTFNEAFDEMYKRQSGWVISERDLREKTCQLIVQTVLPVYRSYMQNYGPLVEQDASSSKYAKYTVQGLEQMLLSLFLPRRERYGSFKGRPTSGKLDNGVDLRRTASAVV >EOY29243 pep chromosome:Theobroma_cacao_20110822:9:468197:471173:1 gene:TCM_036839 transcript:EOY29243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich 22 isoform 1 MSRVYVGNLDPRVSERDLEDEFRCFGVIRSVWVARRPPGYAFIDFDDHRDAEDAIREVDGKNGWRVELSHNSRGRGGRGGGGGRGRSGGSDLKCYECGEPGHFARECRMRGGSGRRRSRSPRYRRSPSYGRRSYSPRGRSPRRRSVSPRARSYSRSPPYRGREELPYANGNGVRERRRSRS >EOY29242 pep chromosome:Theobroma_cacao_20110822:9:468015:471453:1 gene:TCM_036839 transcript:EOY29242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich 22 isoform 1 MSRVYVGNLDPRVSERDLEDEFRCFGVIRSVWVARRPPGYAFIDFDDHRDAEDAIREVDGKNGWRVELSHNSRGRGGRGGGGGRGRSGGSDLKCYECGEPGHFARECRMRGGSGRRRSRSPRYRRSPSYGRRSYSPRGRSPRRRSVSPRARSYSRSPPYRGREELPYANGNGVRERRRSRS >EOY31454 pep chromosome:Theobroma_cacao_20110822:9:8904410:8905946:1 gene:TCM_038384 transcript:EOY31454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein isoform 2 MSRPGDWNCRSCQYLNFQRRDSCQRCGEPRPGERGDYGSFGSRGGSSFGFTGPDVRPGDWYCTVGNCGAHNFASRSSCFKCGAAKDESSGGFEGDMPRMRGYGFGSGSSSRSSWKSGDWICTRWTAVSGESETRQSQLFTLFAPIYLSLSLSFSFVCFFLLLLDITYLFSSARIFR >EOY31452 pep chromosome:Theobroma_cacao_20110822:9:8904238:8905792:1 gene:TCM_038384 transcript:EOY31452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein isoform 2 MSRPGDWNCRSCQYLNFQRRDSCQRCGEPRPGERGDYGSFGSRGGSSFGFTGPDVRPGDWYCTVGNCGAHNFASRSSCFKCGAAKDESSGGFEGDMPRMRGYGFGSGSSSRSSWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGNKSSY >EOY31453 pep chromosome:Theobroma_cacao_20110822:9:8904094:8905413:1 gene:TCM_038384 transcript:EOY31453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein isoform 2 MSRPGDWNCRSCQYLNFQRRDSCQRCGEPRPGERGDYGSFGSRGGSSFGFTGPDVRPGDWYCTVGNCGAHNFASRSSCFKCGAAKDESSGGFEGDMPRMRGYGFGSGSSSRSSWKSGDWICTRLISLGRDAMSTTLPAGWNVSDAMHQGTPATNLHTNRFFIF >EOY31941 pep chromosome:Theobroma_cacao_20110822:9:18068331:18090428:1 gene:TCM_039309 transcript:EOY31941 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein, putative MALASKFQPWWLLPVSFLLATNFHDCANAEPQVPCYFIFGDSLSDDGNNNNLATTAKVNYAPYGIDFPRGPTGRFTNGRTMQDIIVQLLDFEEFIPPFATARGIEILKGVNYASGSAGILNETGEQLGDRISMNKQLSNHQIIISTMGEILGKCSASKLLSKCIYAVQIGSNDYLNNYFIPEFYNTSRLYTPDQYAAYLIEQYSQQIKTLYNDGARMLALFGIGSIGCTPNAMAVYGTNGSLCVEKLDNAAQLFNERLISLVDELNSNLTDATFTYLNPSGTDTANSLGFTVTNASCCQVGSDGELCIPDSEPCADRSQYVFWDAVHPSDAWNLLIATEAYTSQSSTQAYPLNIQKLAQLQSDDEGDDDCPWSVVGESSRIVL >EOY32442 pep chromosome:Theobroma_cacao_20110822:9:29618688:29638471:1 gene:TCM_040374 transcript:EOY32442 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 42, putative MASSLEELLAEEGFGGRRSVTRSRSSIRSEAVSKTDSPFSNRVKTERTRSDISRYNLRGELSRSDSTTGRRPRDYLVRREKLNGELKKENKERLEGRGSSDRQEDRWLNINSSEEFQENEIVEVGVEENGRVNDVFLNEVQSLGRRDKRHNNGFNKPLLGRRSYSDNHRNSVKQRETASDKANRGSQNSKSFKDGTQPQKHDDLVPTVSHPALDEVAVQAIVSILSGYIKRFLQNEEFRTALRHSCFSFLSFTGLEDQNNESKVIFNLEQTIEMVEKAVADSVSPKELKKASLQLSVITGLNSNDLKDGFTCGVPNAMLSACAHLYLSVIYKLQKKDRVSAKHILQVFCDSPFQARTNLLPELWDYLFFPHLSHLKAWYNQEADSLSDAVNSERKLKLLEKVYIDILDSSTYQLAVYYKDWLTEGVEAPSFPSIHIPSVSAGKFQQDDSLAHSPQLASPAGPFSPQPMVSKKFYDAVFGRSNKPGLEEAEDNVEPHNCETCRRSSDGDTVDVKQTLTCSSEAIKHPYQDNGEASSKSPQDDASFSLLAQDDASFLEDGTSSTAEKDWRLPGLSMQKEKDIHCTNNRSKADNIWQTTAQDFDVLHAPVLLIANELMLKRLAKSAFEPQQTECKVDVTLKGLPNPSEELIHNTLENPTKVRSSFEELHESYRFFDEESLLSSIPQDFICPLTGKLFEDPVTLETGQTFERVAIKEWFNQGNRTCPVTGKTLECLSVPLTNFILKRVIDSWKSENCTHTLALAFLIVGNSREPGSPSRGERTTFILQQLLTTLGTEERIMNTKHLISLGGLPFLIQRFESGNLEEKTRVAALLSCCIEADSSCRYHIARDINKQCLVELICSKQDKSRGNAVLLLTELICLSRRKDVPLLLSELKNEEIVNTMHALHVYLQSSPPVQRPLVATLLLNIDHLVEPRKYSLYRQEALDVITEALDNSLIDEEVREKCCRALLILGGRFSLSGKLLTEGWILKLAGFNDGCEVNSIDKEEDVDVDDTILLEDEECANEDWLRNLSVSLVGSGEKSFLKAISKCLSSGNLDLVTACLTTVVWLTSALSSLTDAEVRLSTFCTLISQLKQILENGAQVEHKVLASMSLLNFSKISECRGLLMTIIEEIAIPLRSLADVTWTAKQLYGITCGTDL >EOY29257 pep chromosome:Theobroma_cacao_20110822:9:524647:529372:-1 gene:TCM_036848 transcript:EOY29257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 2.9 MQKATTVTSPLLHFFCLVIIWCRIWLMHVVVAQNSSSIPVNVGVVLDLDTKLGKTGLSCINMALSDFYATHASYRTRLVLNPRDSKGVIGAAAAALDLIKNVQVQAIIGPQTSMQANFLINLGNKSQVPIISFSATSPSLTSLRSPYFFRATQNDSSQVKAISAIVVAFGWREAVPIYIDDEFGEGIIPYLTDALQGINVRVPYQSVIPSPASDDQISKELYKLLTMQTRVFIVHMPPSLGTRLFALAKEVGMMSEGYAWIVTDGMTNLWSLTDPPTIDSMQGVLGVRTYVPGTNELENFKVRWKRKFQQDNPTIINAELNIFGPWAYDATFALAMAIENVSMGNFSFNKTNVSSSGTDLESLDVSQNGDTTSPPKGWEIPTNEKKLRIGVPMKGGFSEFVKVTWDPNSHTATSVTGYCIDVFDAVMAAMPYAVTYEFIPFATPDGKSAGTYDDLIFQVYNGTYDAVVGDTTIVANRSQYVDFTLPYTESGVSMFVPIRDNRRKNAWVFLKPLTWDLWVTSACFFLFIGFVVWVLEHRINEDFRGPPSHQAGTSFWFSFSTMVFAHRERVVSNLARFVVIIWCFVVLILTQSYTASLTSLLTVQQLQPTVTDIQELLKKGEKVGFLKDSFIEGILKGRFRFPESQLIEYQSPEELHDLFTKGSANGGVVATLDEIPYMKLFLAKYCYEYTAVEPKLRTDGFGFVFPRGSPLVADVSRAVLNVTEGEKMNQIEQAWFNKGSSCPDLNTLVSSNSLGVESFGGLFLIAGVASISALIIFAAIFFYEQRHVLLHFDSETPFWRRIQIMSRIFDQKDLSSHTFRKSELEDKSRNDSVHSISVAGDSPNTNCPPSPSSYSNQTEPDFVFLADQRRVAENGDITPSVTASPEIFPFPERHSIELGNTTNGPRE >EOY31700 pep chromosome:Theobroma_cacao_20110822:9:12493339:12497502:1 gene:TCM_038766 transcript:EOY31700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase MDVLVHRIMLQSFVLPCFNGSLIQVFISAVISPSSSKLKTRSSSPMASSSLFFFLGGIVVLIVLLIIIAIYWKIIKPSRMMRFVSKTRRHPAASPDFFSGNLRTISYFDFKTLKRATKNFHPDNLLGRGGFGPVYKGKLRDGRLVAVKRLSGDKSQQGESEFLAEVRMITSIQHKNLVRLLGCCSDGAQRLLVYEFMENGSLDRLIYGKSDVFLNWDTRFQIIVGIARGLQYLHEDSHLRIVHRDIKASNILLDDKFQPRIGDFGLARFFPEDEAYLSTTFAGTLGYTAPEYAIRGELSEKADTYSYGVLVLEIISCRKNTDLTLPSEMQYLPEYAWKLYERSRVLDLVDPRMRQDGLLEKDVLRAINVAFFCLQPHANLRPPMSEIVTMLTCTVEMVGTPMKPTFLDRRRKKKNESQSCSWDTMPEPFPSPLESDQSTQSSQLPH >EOY31801 pep chromosome:Theobroma_cacao_20110822:9:14127221:14129427:1 gene:TCM_038935 transcript:EOY31801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MDGSNERRVKDDKKNNTWSLVDRPRYRHVIGVKWIFKRKLNSDGSLNKYKARLVVKGYSQMAGIDYQETFAPVARMDTIRLITTIAATCKWKVYHLDVKSAFLNGKLNEEIYIEQPLGFEIESDKGKVYRLHKALYGLKQAPRAWYSKIDSFLMNHDFQRSPNEVTLYVLKKTDQPPLILSLYVDDLLITGGNSTQINRLKLLLEKEFSMSDLGLMSYFLGIEVKQEDDGILLCQQKYVKEVLKRFKMTHCKAVSTPMAMNEKLSLHDAVRLENPSEYRSLIGCLLYIYVTRPEIMHTVSFLSRFMQQPCQSHAIAAKRVLRYLNGTITFGLKFIRYGEIKLMGYSDADWAGSVDDAKSTSGYVFSIGNGVFSWCSQKQETVAQSSAEVEYVSAATAANQAIWLRKILHDLGFPQATTSDLFVDNKSAIAMVKDPVFHGRSKHISVKYHSLREAKKQGEITVSHCCSNDQLADIMTKSLSKQKFEYHRERMKLVNASIKGEC >EOY31792 pep chromosome:Theobroma_cacao_20110822:9:14032952:14034484:-1 gene:TCM_038920 transcript:EOY31792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglycosylase 6 MASFFASSVPLPVKSFFLACFFMAASAGNFYQDFDLTWGDGRAKILNNGQLLTLALDKASGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGSTWDEIDFEFLGNLSGDPYILHTNVYSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIIFSVDGTPIREFKNLESNGVPFPKKQPMRIYSSLWNADDWATRGGLVKTDWSQAPFTASYRNFNAKACVWTNGASSCSSSSSSSSSGSKSNAWLSQELDITGQQRLKWVQKNYMIYNYCTDTKRFPQGLPLECKMS >EOY34399 pep chromosome:Theobroma_cacao_20110822:9:40910852:40922993:-1 gene:TCM_042082 transcript:EOY34399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated channel 15 MGFDKSRSVRFQDDLELAKLPTINGDGMIKLKYHINGTQISESSTRRPEKELPSSRTGRSLKTKVLSRVFSEDFERVKKKILDPRGPIIRRWNKIFLVACLVSLFVDPLFFYLPVVSKAVCIDIGIPLEVILTIVRSLADAFYIIQIFIRFRTAYVAPPSRVFGRGELVIDSRKIASRYLQKSFWIDLIAALPLPQVLIWIVIPNLKGSTTTNTKNVLRFIIIFQYLPRLFLIFPLSSQIVNATGVVTETAWAGAAYNLMLYMLASHVLGACWYLLSIERQEACWRSACDLEDSSCQYNYFDCHRVKDPGRDTWFRSSNITSLCSPTSSSYQFGIYSDALTLDVTASPFFNKYFYCLWWGLRNLSSLGQNLDTSTYAGEIIFAIIIATLGLVLFALLIGNMQTYLQSTTVRLEEWRIKRTDTEQWMRHRQLPPELRQSVRKYDQYKWLATRGVDEETLLKGLPLDLRRDIKRHLCLDLVRRVPVFDQMDERMLDAICERLKPALCTEGTFLVREGDPVNEMLFIIRGHLDSYTTNGGRTGFFNSCRIGPGDFCGEELLTWALDPRPSVILPSSTRTVKAISEVEAFALRAEDLKFVASQFRRLHSKQLRHKFRFYSHQWRTWAACFIQAAWRRFKKRREAAELRAKENLMAPAEPAPATSAPGSGLAMYAARLAASNRRGVNLHCGSDSGVVSSLRKPAEPDFSVDEE >EOY31137 pep chromosome:Theobroma_cacao_20110822:9:7183022:7183455:1 gene:TCM_038130 transcript:EOY31137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGSRDGGPPPQPRGSGKAHETDGQGGATRTRRCMMQPTFEQAIRLAPSFGWSWIETHTIHEFHLPPTPWSST >EOY29942 pep chromosome:Theobroma_cacao_20110822:9:2878356:2881601:-1 gene:TCM_037319 transcript:EOY29942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 4A1 MAAAAPEGSQFDARQYDAKMNELEADGQEFFTTYDEVYDTFDSMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYSLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSTGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQKFYNVVVEELPSNVADLI >EOY32145 pep chromosome:Theobroma_cacao_20110822:9:22132471:22133897:-1 gene:TCM_039696 transcript:EOY32145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-rich protein-related MATTSSSRPRLSGPVLRSLSPSGRFHSSQTNKVFSSSSSSSSSFASSTSSSFSSPSSTFFDHHHHHRSASPTRVNLCTSNPVVHPFRFSINRSISPNRSISVSKGSNPLSIPPKRTCMCSPTTHPGSFRCSLHKNSQNADANYTASYPSNRLNIRRSAMTNSLVRIGGVEGDWVKRALTALIRPSSHQQRRRAAFRPRPSRLSVLSES >EOY30868 pep chromosome:Theobroma_cacao_20110822:9:6062663:6070133:1 gene:TCM_037926 transcript:EOY30868 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding isoform 3 QTTKQHLKGGLLSLEKCLQPSAAYCFFQPQKLERSIWVSHKRSNFSCFTPKAASLEAGSSATSIGTAEGDVLKALSQIMDPDFGTDIVSCGFVKDLLIDEALGEVSFRLELTTPACPIKDMFEQQANEVVARLPWVSKVSVTMSAQPAKPIFAGQLPAGLQTISNIVAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVYGPSLPTMVSPENRLLEMNPEKRTIIPTEYLGVKMVSFGFAGQGHAIMRGPMVSGVINQLLTTAEWGELDYLVIDMPPGTGDIQLTLFPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCHFDADGKRYYPFGRGSGSQVVQQFGIPHLFDLPIRPTLSASGDSGTPEVVADPQGEVAKTFQNLGVCVVQQCAKIRQQVSTAVTYDKSIKAIKVKVPDSEEEFLLHPATVRRNDRSAQSVDEWTGEQKLQYGDIPEDIEPEEIRPMGNYAVSITWPDGFNQIAPYDQLQMMERLVDFPLPTSVQS >EOY30867 pep chromosome:Theobroma_cacao_20110822:9:6061522:6068561:1 gene:TCM_037926 transcript:EOY30867 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding isoform 3 MPRIAWSAYISVVWLERKRRLYGAKADTSSATLNRVKETVRYRLQGLQEIAGDSINGMLITNWGLEEDAAEKVLSFPSLSKMQLLHAPSWPHLSFQTTKQHLKGGLLSLEKCLQPSAAYCFFQPQKLERSIWVSHKRSNFSCFTPKAASLEAGSSATSIGTAEGDVLKALSQIMDPDFGTDIVSCGFVKDLLIDEALGEVSFRLELTTPACPIKDMFEQQANEVVARLPWVSKVSVTMSAQPAKPIFAGQLPAGLQTISNIVAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVYGPSLPTMVSPENRLLEMNPEKRTIIPTEYLGVKMVSFGFAGQGHAIMRGPMVSGVINQLLTTAEWGELDYLVIDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCHFDADGKRYYPFGRGSGSQVVQQFGIPHLFDLPIRPTLSASGDSGTPEVVADPQGEVAKTFQNLGVCVVQQCAKIRQQVSTAVTYDKSIKAIKVKVPDSEEEFLLHPATVRRNDRSAQSVDEWTGEQKLQYGDIPEDIEPEEIRPMGNYAVSITWPDGFNQIAPYDQLQMMERLVDFPLPTSVQS >EOY30869 pep chromosome:Theobroma_cacao_20110822:9:6062667:6070134:1 gene:TCM_037926 transcript:EOY30869 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding isoform 3 MDPDFGTDIVSCGFVKDLLIDEALGEVSFRLELTTPACPIKDMFEQQANEVVARLPWVSKVSVTMSAQPAKPIFAGQLPAGLQTISNIVAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVYGPSLPTMVSPENRLLEMNPEKRTIIPTEYLGVKMVSFGFAGQGHAIMRGPMVSGVINQLLTTAEWGELDYLVIDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCHFDADGKRYYPFGRGSGSQVVQQFGIPHLFDLPIRPTLSASGDSGTPEVVADPQGEVAKTFQNLGVCVVQQCAKIRQQVSTAVTYDKSIKAIKVKVPDSEEEFLLHPATVRRNDRSAQSVDEWTGEQKLQYGDIPEDIEPEEIRPMGNYAVSITWPDGFNQIAPYDQLQMMERLVDFPLPTSVQS >EOY33082 pep chromosome:Theobroma_cacao_20110822:9:35360941:35363873:-1 gene:TCM_041078 transcript:EOY33082 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding Elongation factor Tu family protein MGKEKVHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKSAAKKGGK >EOY31550 pep chromosome:Theobroma_cacao_20110822:9:9554498:9558486:-1 gene:TCM_038477 transcript:EOY31550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MQAWLLNNGDFVIFVLVRMLIFHSSFTWKHWVGLVLTSAAYFIPYQQLAQMAKPTYANDGELLDGGFDMSTGGICGYLHDVIYITSFVQLMSIISEKFWYTYLVIPAFGAYKSFGFIRGFLSQGSEGEVEDEKTRKKREKMEKKASRPKFVKTRNR >EOY31551 pep chromosome:Theobroma_cacao_20110822:9:9554589:9557803:-1 gene:TCM_038477 transcript:EOY31551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MLIFHSSFTWKHWVGLVLTSAAYFIPYQQLAQMAKPTYANDGELLDGGFDMSTGGICGYLHDVIYITSFVQLMSIISEKFWYTYLVIPAFGAYKSFGFIRGFLSQGSEGEVEDEKTRKKREKMEKKASRPKFVKTRNR >EOY31549 pep chromosome:Theobroma_cacao_20110822:9:9554319:9558518:-1 gene:TCM_038477 transcript:EOY31549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MANQGAKKRKEENTRHMANLRRIIIACNVIFVLVRMLIFHSSFTWKHWVGLVLTSAAYFIPYQQLAQMAKPTYANDGELLDGGFDMSTGGICGYLHDVIYITSFVQLMSIISEKFWYTYLVIPAFGAYKSFGFIRGFLSQGSEGEVEDEKTRKKREKMEKKASRPKFVKTRNR >EOY31552 pep chromosome:Theobroma_cacao_20110822:9:9554083:9558471:-1 gene:TCM_038477 transcript:EOY31552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 PFSLSSPPLSPSVLQHAGTTLGFHRVTLVDHSPEKEREMANQGAKKRKEENTRHMANLRRIIIACNLAQMAKPTYANDGELLDGGFDMSTGGICGYLHDVIYITSFVQLMSIISEKFWYTYLVIPAFGAYKSFGFIRGFLSQGSEGEVEDEKTRKKREKMEKKASRPKFVKTRNR >EOY32972 pep chromosome:Theobroma_cacao_20110822:9:34697587:34699431:1 gene:TCM_040981 transcript:EOY32972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MEGVEHRTLKVNGINMHIAEKGQGPVILFLHGFPELWYSWRHQINALSSLGYRAVAPDLRGFGDTDAPDRVSSYTCFHVVGDLVQLLDAVAPPQEKVFVVGHDWGAFMAWYLCLFRPDKVKALLNLSVPYIQLGRQMNPIDGWRAFYGNDYYICRFQEPGEIEAEFAEIGTERVVKEFLTYRVPGPILLPEGKLFGHSADTPITLPSWLTQEEVNYYVTQFDKKGFTGGINYYRNFNRNWELLAPWGGCEIKVPAKFIVGDQDLVYHMPGIKEYIHNGGFKKDVPHLQQVVVMEGVGHFINMEKADDINKHIYDFFRQFD >EOY29964 pep chromosome:Theobroma_cacao_20110822:9:2925303:2928751:-1 gene:TCM_037330 transcript:EOY29964 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MQYASSDVQKRDINRLQPWRYGVDDISNSGVYSEPNLGGLSARDTVRSYPSSLGDPKLTAQRWDAPEGVYSSVGVHPEPTFGGVSAGSAIRGYSSALEVPGLVGHRQDAPGISPSSGVHPEPRLGAVSAAATDRSYSSAPEVPNSVGQRWDAPVGISPSAGLQSQPSCGAGSAGASIKGYTSALDDQRRDGPVGISSSAGVQPEPSLGAVSAGASIKGCSSPLEDPNLVGQRQDGTAVMRPGIPDAVDEMPASLRNGDGPQVDAGESNILFVDGLPTDCTRREVGHLFRPFLGYKEIKVIHKEPRHNLMEESTPDQLLFSHEFACKIPITLDFHCKAMYLFNRGPWSIVCNAFWISYTAI >EOY29965 pep chromosome:Theobroma_cacao_20110822:9:2925756:2928519:-1 gene:TCM_037330 transcript:EOY29965 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MQYASSDVQKRDINRLQPWRYGVDDISNSGVYSEPNLGGLSARDTVRSYPSSLGDPKLTAQRWDAPEGVYSSVGVHPEPTFGGVSAGSAIRGYSSALEVPGLVGHRQDAPGISPSSGVHPEPRLGAVSAAATDRSYSSAPEVPNSVGQRWDAPVGISPSAGLQSQPSCGAGSAGASIKGYTSALDDQRRDGPVGISSSAGVQPEPSLGAVSAGASIKGCSSPLEDPNLVGQRQDGTAVMRPGIPDAVDEMPASLRNGDGPQVDAGESNILFVDGLPTDCTRREVGRILVSHAYLCAWICASTSQEYHMLHNIMQN >EOY29966 pep chromosome:Theobroma_cacao_20110822:9:2925304:2928519:-1 gene:TCM_037330 transcript:EOY29966 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 DPNYYRYSAAAAERGSVSRPSFPGYFTSEAPSLASQHADMQYASSDVQKRDINRLQPWRYGVDDISNSGVYSEPNLGGLSARDTVRSYPSSLGDPKLTAQRWDAPEGVYSSVGVHPEPTFGGVSAGSAIRGYSSALEVPGLVGHRQDAPGISPSSGVHPEPRLGAVSAAATDRSYSSAPEVPNSVGQRWDAPVGISPSAGLQSQPSCGAGSAGASIKGYTSALDDQRRDGPVGISSSAGVQPEPSLGAVSAGASIKGCSSPLEDPNLVGQRQDGTAVMRPGIPDAVDEMPASLRNGDGPQVDAGESNILFVDGLPTDCTRREVGQIKVIHKEPR >EOY29963 pep chromosome:Theobroma_cacao_20110822:9:2925756:2928519:-1 gene:TCM_037330 transcript:EOY29963 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MQYASSDVQKRDINRLQPWRYGVDDISNSGVYSEPNLGGLSARDTVRSYPSSLGDPKLTAQRWDAPEGVYSSVGVHPEPTFGGVSAGSAIRGYSSALEVPGLVGHRQDAPGISPSSGVHPEPRLGAVSAAATDRSYSSAPEVPNSVGQRWDAPVGISPSAGLQSQPSCGAGSAGASIKGYTSALDDQRRDGPVGISSSAGVQPEPSLGAVSAGASIKGCSSPLEDPNLVGQRQDGTAVMRPGIPDAVDEMPASLRNGDGPQVDAGESNILFVDGLPTDCTRREVGHLFRPFLGYKEIKVIHKEPRHVSLLLDTFFRVSFAGIARAS >EOY29962 pep chromosome:Theobroma_cacao_20110822:9:2925676:2928523:-1 gene:TCM_037330 transcript:EOY29962 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MGDPNYYRYSAAAAERGSVSRPSFPGYFTSEAPSLASQHADMQYASSDVQKRDINRLQPWRYGVDDISNSGVYSEPNLGGLSARDTVRSYPSSLGDPKLTAQRWDAPEGVYSSVGVHPEPTFGGVSAGSAIRGYSSALEVPGLVGHRQDAPGISPSSGVHPEPRLGAVSAAATDRSYSSAPEVPNSVGQRWDAPVGISPSAGLQSQPSCGAGSAGASIKGYTSALDDQRRDGPVGISSSAGVQPEPSLGAVSAGASIKGCSSPLEDPNLVGQRQDGTAVMRPGIPDAVDEMPASLRNGDGPQVDAGESNILFVDGLPTDCTRREVGHLFRPFLGYKEIKVIHKEPRHSGDRAMVLCFVEFHDSKFARAAMQALQGYKFDDKKPDSPALRVQFAHFPFRYRADRDDQCVEF >EOY31570 pep chromosome:Theobroma_cacao_20110822:9:9664237:9670708:1 gene:TCM_038495 transcript:EOY31570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASRQIRSPYERRSLQAPGVIQHGSLAGSGHPAAHWAMESLPPPELLESKIASQAAEIERLAGDNHKLATTHVALREDLVATRYEAQKLKEHIRSIQTESDIQIRVLLEKIAKLEADIRVGESVKKELQEANIEAQNLVKARQELIAQIQQASQELQKTHADVNSLPELHSELAGLRKEHQRLRVTFQYEKGLNIEQVEQMQAMEKNLIEMAREVEKLRTEVLSAEKRVHGPVPYAGGYMNGDPSYVLPFQGGSNYFEGYGRPGMQMHLGPADSMIPYGSSANVPAANAATVSQAVTGPVWGAPYDPSLAQR >EOY31571 pep chromosome:Theobroma_cacao_20110822:9:9667786:9670721:1 gene:TCM_038495 transcript:EOY31571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASRQIRSPYERRSLQAPGVIQHGSLAGSGHPAAHWAMESLPPPELLESKIASQAAEIERLAGDNHKLATTHVALREDLVATRYEAQKLKEHIRSIQTESDIQIRVLLEKIAKLEADIRVGESVKKELQEANIEAQNLVKARQELIAQIQQASQELQKTHADVNSLPELHSELAGLRKEHQRLRVTFQYEKGLNIEQVEQMQAMEKNLIEMAREVEKLRTEVLSAEKRVHVPIGPVPYAGGYMNGDPSYVLPFQGGSNYFEGYGRPGMQMHLGPADSMIPYGSSANVPAANAATVSQAVTGPVWGAPYDPSLAQR >EOY31569 pep chromosome:Theobroma_cacao_20110822:9:9664431:9670569:1 gene:TCM_038495 transcript:EOY31569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASRQIRSPYERRSLQAPGVIQHGSLAGSGHPAAHWAMESLPPPELLESKIASQAAEIERLAGDNHKLATTHVALREDLVATRYEAQKLKEHIRSIQTESDIQIRVLLEKIAKLEADIRVGESVKKELQEANIEAQNLVKARQELIAQIQQASQELQKTHADVNSLPELHSELAGLRKEHQRLRVTFQYEKGLNIEQVEQMQAMEKNLIEMAREVEKLRTEVLSAEKRVHVPIGPVPYAGGYMNGDPSYVLPFQGGSNYFEGYGRPGMQMHLGPADSMIPYGSSANVPAANAATVSQAVTGPVWGAPYDPSLAQR >EOY33477 pep chromosome:Theobroma_cacao_20110822:9:37714450:37719690:-1 gene:TCM_041450 transcript:EOY33477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MTIGISLGALTKTQTKHSLKTLDNPFYKSLSHLQTNALNRQSSKLYAKSATLPQIPHLFEKSPQPDLAQYNGLLFEYSRNNNNKEALNLFLGIHSFGLPVDASTFSCVFKVCGCMFNEIAGRQVHCQCLKLGLLGNVSVGTSLVDMYMKTENVKDGRRVFDHMGERNVVSWTSLLGGYAQNGMNEEVLKLFVTMQIEGIKPNAYTLAAVLGALADKGMVEKGVQVHSLIIKFGFETTIFVCNSLINMYLKSGMDEDARAVFDGMETKNAVTWNCMIAGYVTNGLDLAAFETFYHMRLAGVKLTRMAFAPLIKLCANHKELSFARQLHCRILKDDFAFDPKIKTALMVAYSKCSEMDDAFKLFSAMHEAQNVVSWTAMISGHLQNGGIEQAANLFSLMNKEGVRPNHFTYSTILAAQPAVSPFQIHAQVIKANYDKSPTVGTAILDAYVKLGNIEEAAKVFELIDERDIVAWSAMLAGYAQIGDSEGASKIFIQLAKEGIKPNEFTFSSVLNACAAPTAPLGQGKQFHAWAIKSKLSEALCVSSALVTMYAKRGNIDNAYQVFKRQPERDPVSWNSMISGYAQHGHANKALIVFEEMQRQNVEMDYITFIGVISACTHSGLVDEGEKYFNMMVKNHMSPTMELYSCMVDLYSRAGLLDKAMDIINRMPFPAGATVWRTLLSACRVHHNLELGKLAAEQLISLQPQDSAAYVLLSNIYAATGNWQERTKVRKLMEKRKVRKEPGYSWIEVKNKTHSFLAGDLSHPMSDHIYSKLDELKTRLKDMGYQPDTNYVLQDIDDEHKETILSQHSERLAIAFGLLVTPPGTALQIVKNLRVCGDCHTVIKLISLIEGREIVVRDTNRFHHFQAGSCSCGDYW >EOY31516 pep chromosome:Theobroma_cacao_20110822:9:9250999:9267182:1 gene:TCM_038439 transcript:EOY31516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MAPKAGKAKPHKAKGEKKKKEEKVLPTVIEITVEAPEESQVTLKGISTDRILDVRKLLGVHVETCHLTNISLSHEVRGPQLKDSVDIASLKPCHLSIIEEDYTEELAIAHIRRLLDIVACTTSFGSSKPSARTVPKEPGSKESAAADNGPSHGSDSSDNSKAKEKTEAAAVTVVSMCPPPQLRQFYDFFSFSHLTPPIQYIRRSTRPFLEDKTEDDFFQIDVRVCSGKPVTIVASQKGFYPAGKRPLMCHSLVTLLQQISRVFDAAYKALMKAFTEHNKFGNLPYGFRANTWVVPPVVADNPSVFPPLPVEDENWGGNGGGQGRDSKHEYRQWAKEFAILAAMPCKTAEERQIRDRKAFLFHSLFVDVSVFEAVAAIKNIIETNQNTLSDPSASILQEEKVGDLIIKVTRDAPDASVKLDCKNDGSRVLGMSEEELAQRNLLKGITADESATVHDTSTLGVVVVRHCGHTAVVKVSAEVNWEGNLIPQDIDIEDQPEGGANALNVNSLRLLLHKSSTPQSSAQRSQSVDFENLHSARASVRKVLEDSLQKLQDEPSKNSTSIRWELGACWVQHLQNQASGKTESKKNEDVKPEPAVKGLGKQGALLKEIKKRTDIKGGKTEHSKEVSPGNNLDMNRKSEVRNQKELEKQDEEMQIMWKKLLPEAAYLRLKKSDTGLHLKSPDELIEMAHKYYADTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMVVRAYKHVLQAVVSAVDSVSDLAASVAACLNILLGTPLIENGDIDIINDDKLKWRWVETFLSKRFGWQWKPESGQDLRKFAILRGLSHKVGLELVPRDYDMDTPSPFRKSDIISMVPLYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEVYSLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKARDAQKKARAKMKGKPGQNWETVTDEYQNDEISSPTYPVMENSSDKENKSEAQFMESSNEKPDSLLPDQPVFIKNDGQELDDTSDEGWQEAVPKGRSPAARKSSVSRRPSLAKLNTNFMNVSQSSRYRGKPNNFTSPRTKPNEPTASAGPSPPASKKFVKSSSFGPKLNNPSKTTGGMERLVNPKSAPASPASTDQATKPTPVASPISVQAAGKLFSYKEVALAPPGTIVKAVAEHLPKGNPLPEQNSQASQETAALDITPSDLATLTVAKDEVLEATGEKEFLGSETEIKSTANEEKKAQTRKSVAIEALEETKDTVIKDINIEAGAVEVKTDVETTKTEAANGFANSDSCKDSNSVSLKIEALETGSLDKCQVTSSNAELLAVVTDNTAQLPQKEASIPSGEVADEDSQELSGGEVSVRQLPTEGEKQDEAETGKETTKKLSAAAPPFNPSTIPVFSSVTVPGFKDHGGILPPPVNIPPMLQVSPVRRSPHQSATTRVPYGPRLSGGYNRSGNRVPRNKSSYNSSEHSGEGNHYSPPRIMNPHAAEFVPAQPWIPNGYPVSPNGFLASPNGMPISPNGYPMSPVTANGYPATPNGVPVTQNGFLATPVGSVELPVVVTVDIGAENKSEAVAGQTPQSSSTEVEGENQPTEQKPQKDQTLDNENMLPEKEGKPADVVPLTGDVTMAKEACCEIQVDEKSSKCWGDYSDGEAEIVEVTS >EOY31517 pep chromosome:Theobroma_cacao_20110822:9:9250999:9263935:1 gene:TCM_038439 transcript:EOY31517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MAPKAGKAKPHKAKGEKKKKEEKVLPTVIEITVEAPEESQVTLKGISTDRILDVRKLLGVHVETCHLTNISLSHEVRGPQLKDSVDIASLKPCHLSIIEEDYTEELAIAHIRRLLDIVACTTSFGSSKPSARTVPKEPGSKESAAADNGPSHGSDSSDNSKAKEKTEAAAVTVVSMCPPPQLRQFYDFFSFSHLTPPIQYIRRSTRPFLEDKTEDDFFQIDVRVCSGKPVTIVASQKGFYPAGKRPLMCHSLVTLLQQISRVFDAAYKALMKAFTEHNKFGNLPYGFRANTWVVPPVVADNPSVFPPLPVEDENWGGNGGGQGRDSKHEYRQWAKEFAILAAMPCKTAEERQIRDRKAFLFHSLFVDVSVFEAVAAIKNIIETNQNTLSDPSASILQEEKVGDLIIKVTRDAPDASVKLDCKNDGSRVLGMSEEELAQRNLLKGITADESATVHDTSTLGVVVVRHCGHTAVVKVSAEVNWEGNLIPQDIDIEDQPEGGANALNVNSLRLLLHKSSTPQSSAQRSQSVDFENLHSARASVRKVLEDSLQKLQDEPSKNSTSIRWELGACWVQHLQNQASGKTESKKNEDVKPEPAVKGLGKQGALLKEIKKRTDIKGGKTEHSKEVSPGNNLDMNRKSEVRNQKELEKQDEEMQIMWKKLLPEAAYLRLKKSDTGLHLKSPDELIEMAHKYYADTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMVVRAYKHVLQAVVSAVDSVSDLAASVAACLNILLGTPLIENGDIDIINDDKLKWRWVETFLSKRFGWQWKPESGQDLRKFAILRGLSHKVGLELVPRDYDMDTPSPFRKSDIISMVPLYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEVYSLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKARDAQKKARAKMKGKPGQNWETVTDEYQNDEISSPTYPVMENSSDKENKSEAQFMESSNEKPDSLLPDQPVFIKNDGQELDDTSDEGWQEAVPKGRSPAARKSSVSRRPSLAKLNTNFMNVSQSSRYRGKPNNFTSPRTKPNEPTASAGPSPPASKKFVKSSSFGPKLNNPSKTTGGMERLVNPKSAPASPASTDQATKPTPVASPISVQAAGKLFSYKEVALAPPGTIVKAVAEHLPKGNPLPEQNSQASQETAALDITPSDLATLTVAKDEVLEATGEKEFLGSETEIKSTANEEKKAQTRKSVAIEALEETKDTVIKDINIEAGAVEVKTDVETTKTEAANGFANSDSCKDSNSVSLKIEALETGSLDKCQVTSSNAELLAVVTDNTAQLPQKEASIPSGEVADEDSQELSGGEVSVRQLPTEGEKQDEAETGKETTKKLSAAAPPFNPSTIPVFSSVTVPGFKDHGGILPPPVNIPPMLQVSPVRRSPHQSATTRVPYGPRLSGGYNRSGNRVPRNKSSYNSSEHSGEGNHYSPPRIMNPHAAEFVPAQPWIPNGYPVSPNGFLASPNGMPISPNGYPMSPVTANGYPATPNGVPVTQNGFLATPVGSVELPVVVTVDIGAENKSEAVAGQTPQSSSTEVEGENQPTEQKPQKDQTLDNENMLPEKEGKPADVVPLTGDVTMAKEACCEIQVDEKSSKCWGDYSDGEAEIVEVTS >EOY31519 pep chromosome:Theobroma_cacao_20110822:9:9251599:9264838:1 gene:TCM_038439 transcript:EOY31519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MCPPPQLRQFYDFFSFSHLTPPIQYIRRSTRPFLEDKTEDDFFQIDVRVCSGKPVTIVASQKGFYPAGKRPLMCHSLVTLLQQISRVFDAAYKALMKAFTEHNKFGNLPYGFRANTWVVPPVVADNPSVFPPLPVEDENWGGNGGGQGRDSKHEYRQWAKEFAILAAMPCKTAEERQIRDRKAFLFHSLFVDVSVFEAVAAIKNIIETNQNTLSDPSASILQEEKVGDLIIKVTRDAPDASVKLDCKNDGSRVLGMSEEELAQRNLLKGITADESATVHDTSTLGVVVVRHCGHTAVVKVSAEVNWEGNLIPQDIDIEDQPEGGANALNVNSLRLLLHKSSTPQSSAQRSQSVDFENLHSARASVRKVLEDSLQKLQDEPSKNSTSIRWELGACWVQHLQNQASGKTESKKNEDVKPEPAVKGLGKQGALLKEIKKRTDIKGGKTEHSKEVSPGNNLDMNRKSEVRNQKELEKQDEEMQIMWKKLLPEAAYLRLKKSDTGLHLKSPDELIEMAHKYYADTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMVVRAYKHVLQAVVSAVDSVSDLAASVAACLNILLGTPLIENGDIDIINDDKLKWRWVETFLSKRFGWQWKPESGQDLRKFAILRGLSHKVGLELVPRDYDMDTPSPFRKSDIISMVPLYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEVYSLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKARDAQKKARAKMKGKPGQNWETVTDEYQNDEISSPTYPVMENSSDKENKSEAQFMESSNEKPDSLLPDQPVFIKNDGQELDDTSDEGWQEAVPKGRSPAARKSSVSRRPSLAKLNTNFMNVSQSSRYRGKPNNFTSPRTKPNEPTASAGPSPPASKKFVKSSSFGPKLNNPSKTTGGMERLVNPKSAPASPASTDQATKPTPVASPISVQAAGKLFSYKEVALAPPGTIVKAVAEHLPKGNPLPEQNSQASQETAALDITPSDLATLTVAKDEVLEATGEKEFLGSETEIKSTANEEKKAQTRKSVAIEALEETKDTVIKDINIEAGAVEVKTDVETTKTEAANGFANSDSCKDSNSVSLKIEALETGSLDKCQVTSSNAELLAVVTDNTAQLPQKEASIPSGEVADEDSQELSGGEVSVRQLPTEGEKQDEAETGKETTKKLSAAAPPFNPSTIPVFSSVTVPGFKDHGGILPPPVNIPPMLQVSPVRRSPHQSATTRVPYGPRLSGGYNRSGNRVPRNKSSYNSSEHSGEGNHYSPPRIMNPHAAEFVPAQPWIPNGYPVSPNGFLASPNGMPISPNGYPMSPVTANGYPATPNGVPVTQNGFLATPVGSVELPVVVTVDIGAENKSEAVAGQTPQSSSTEVEGENQPTEQKPQKDQTLDNENMLPEKEGKPADVVPLTGDVTMAKEACCEIQVDEKSSKCWGDYSDGEAEIVEVTS >EOY31518 pep chromosome:Theobroma_cacao_20110822:9:9251599:9264845:1 gene:TCM_038439 transcript:EOY31518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MCPPPQLRQFYDFFSFSHLTPPIQYIRRSTRPFLEDKTEDDFFQIDVRVCSGKPVTIVASQKGFYPAGKRPLMCHSLVTLLQQISRVFDAAYKALMKAFTEHNKFGNLPYGFRANTWVVPPVVADNPSVFPPLPVEDENWGGNGGGQGRDSKHEYRQWAKEFAILAAMPCKTAEERQIRDRKAFLFHSLFVDVSVFEAVAAIKNIIETNQNTLSDPSASILQEEKVGDLIIKVTRDAPDASVKLDCKNDGSRVLGMSEEELAQRNLLKGITADESATVHDTSTLGVVVVRHCGHTAVVKVSAEVNWEGNLIPQDIDIEDQPEGGANALNVNSLRLLLHKSSTPQSSAQRSQSVDFENLHSARASVRKVLEDSLQKLQDEPSKNSTSIRWELGACWVQHLQNQASGKTESKKNEDVKPEPAVKGLGKQGALLKEIKKRTDIKGGKTEHSKEVSPGNNLDMNRKSEVRNQKELEKQDEEMQIMWKKLLPEAAYLRLKKSDTGLHLKSPDELIEMAHKYYADTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMVVRAYKHVLQAVVSAVDSVSDLAASVAACLNILLGTPLIENGDIDIINDDKLKWRWVETFLSKRFGWQWKPESGQDLRKFAILRGLSHKVGLELVPRDYDMDTPSPFRKSDIISMVPLYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEVYSLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKARDAQKKARAKMKGKPGQNWETVTDEYQNDEISSPTYPVMENSSDKENKSEAQFMESSNEKPDSLLPDQPVFIKNDGQELDDTSDEGWQEAVPKGRSPAARKSSVSRRPSLAKLNTNFMNVSQSSRYRGKPNNFTSPRTKPNEPTASAGPSPPASKKFVKSSSFGPKLNNPSKTTGGMERLVNPKSAPASPASTDQATKPTPVASPISVQAAGKLFSYKEVALAPPGTIVKAVAEHLPKGNPLPEQNSQASQETAALDITPSDLATLTVAKDEVLEATGEKEFLGSETEIKSTANEEKKAQTRKSVAIEALEETKDTVIKDINIEAGAVEVKTDVETTKTEAANGFANSDSCKDSNSVSLKIEALETGSLDKCQVTSSNAELLAVVTDNTAQLPQKEASIPSGEVADEDSQELSGGEVSVRQLPTEGEKQDEAETGKETTKKLSAAAPPFNPSTIPVFSSVTVPGFKDHGGILPPPVNIPPMLQVSPVRRSPHQSATTRVPYGPRLSGGYNRSGNRVPRNKSSYNSSEHSGEGNHYSPPRIMNPHAAEFVPAQPWIPNGYPVSPNGFLASPNGMPISPNGYPMSPVTANGYPATPNGVPVTQNGFLATPVGSVELPVVVTVDIGAENKSEAVAGQTPQSSSTEVEGENQPTEQKPQKDQTLDNENMLPEKEGKPADVVPLTGDVTMAKEACCEIQVDEKSSKCWGDYSDGEAEIVEVTS >EOY32032 pep chromosome:Theobroma_cacao_20110822:9:19276973:19283292:-1 gene:TCM_039441 transcript:EOY32032 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein MGTFKSFRKAYEALKDSTKVGLAKVNSEFKDLDIAIVKATNHVECPPKERHVRKIFSATSVVRPRADVAYCIHALAKRLSKTRSWIVAIKILIVIHRTLREGDPTFREELLNYSHRGHILQISNFKDDSSPLAWDCSAWVRTYALFLEERLECFRVLKYDIEAERLTKSSPGTSKAHSRTRLLASNELLDQLPALQQLLYRLVGCEPEGAAYSNYLVQYALALVLKESFKIYCAINDGIINLVDMFFDMSRHDAVKALNMYKRAGQQAENLAEFYEYCKGLDLARNFLFPTLRQPPPSFLATMEEYIKEAPQTGSVQNRLEYEEREQSPSAPDEPVKTEKKEDKVEEPEPKSLIDQEEEPQPREELEEPQPLISTENTRDLLGLNEINPRALELEESNALALAIVPPGTDSRNHGISEIGGTGWELALVTTPSSHTAPVVESKLAGGFDKLLLDSLYEDEAARRQIQLTNAGYGYGYGYEGMAVPNPFQQQHDPFMLSNNIAPPTNVQMALLQEQMMVQQQQQQMMMVPYQYQSHYPQQPQYLQQQIQNPFGDPFFNLPPASTSQQGNHALL >EOY31199 pep chromosome:Theobroma_cacao_20110822:9:7501730:7503940:-1 gene:TCM_038172 transcript:EOY31199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQAATKGLLSKALSPPILDDRSRKKVRIKGDGQERDQVGIHHNLSFRDVLMSYEGEDLFSEDNRDSEGEIGLEDTNMEDSGSDPSEIERDRDADYTFRTREGEPSLDISDQRRHELARKWKRSVVGQYLIVRPWSPFYHKEMQDFTTIATWIRFPGMPLHLYHNYARGFFLMDDRNRWNMRVYQGFVSIMGFMDMFRRSILNRIVNNQQQLTVRKKEMLHNRNLLLLVFGCWCQGVKIGQANGGKENRVVTQEDNGLFQRALVGEEVMRESSPMDDSTGKRISPLVLKGNEADLNDARKNRDILPQRSILDPNKHIVATMVVNKVEKGLHTRKLMTWNAKVGGVAIHEKDFKTTNKKILTSLQGMSITKWVRAKPIITTMHSNAMSSLLEDSGLNLALEDTVVEAIQQPVAINDLEKPSTILGDDTEENLRPT >EOY32748 pep chromosome:Theobroma_cacao_20110822:9:33350004:33356008:-1 gene:TCM_040777 transcript:EOY32748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSGLINANPVVYEKKERRLRNLATLPDEYAVEPIDQQEIFDILFLLFFLLLISFHYASYCYLIFLTFLNHIRDIKDPEHPYSLEELKVITEDAIEVDHERSYVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPSRYKMDIRVAPGTHATEAAVNKQLNDKERVAAALENPNLLDMVDEWPNLKHQLLENVKIRTSAKKKKTNEMDELISAKSIINVVTLLSDNIRDVGRDLGKSIGIETTKSSRVKWGIS >EOY32290 pep chromosome:Theobroma_cacao_20110822:9:26186775:26196173:1 gene:TCM_040026 transcript:EOY32290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ion binding MKESEFSTEPIGQNLIKLISNLCFSVFVFSVLIFTVIAITYHPPDPWLESAPALTKLFTQTENATFKNDNSILKTGEDLLAVPAPAVPPALAVNPITETVIEKSEEKISNMSLKSDCEEVKVVNCSDPRVLLAVEKFNLKTFKFIVFLEYQTPVIGSKPDECDVAWRFRNKKEKSWRKYRDFRRFRFGIAENCIYKVVHANGWHSGINARRPRNRPNTTRSGGNPKLAPLIRDEEINDTIPTLGSEMNFRKGKYLYYARGGDYCKGMNHYLWSFLCGLGEAMYLNRTFVMDLSICLSATYNPSNKDEEGKDFRFYFDFEHLKEVASIVEESEFLRDWKKWDRGHKRKVPVKKVTTYKVTPMQLKKDKSTILWRQFDAPEPENYWYRVCEGQAAKYLQRPWHALWKSKRLMNIVTEISGQMDWDFDAVHVVRGEKAQNKELWPHLDSDTSPDALLMKLKEIIQPWRNLYIATNEPFYNYFDKLRSQYKVHLLDDYKELWSNTSEWYNETGLLNGGKPVEFDGYMRVAVDTEVLYRSKTRVETFYNLTKDCKDGINTC >EOY33481 pep chromosome:Theobroma_cacao_20110822:9:37742244:37744718:1 gene:TCM_041452 transcript:EOY33481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIRSSRINLESNQNFHYLGQENKGEKKNKNLGGEIQGKIGEISRKQVTKGGEQITLAKVQRLCLKTKVRSISTPRTWWGFPWTTYERGTVTQLYNYLRWEMLDEVSLEVRFECTSRSGHHVRVRLSQRQGTTVCQM >EOY31579 pep chromosome:Theobroma_cacao_20110822:9:9817406:9819049:1 gene:TCM_038513 transcript:EOY31579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKIEKKQEEIMGQLSKILELISTDKGKKVAGSSGTPEDVQQTETNTDPVYPPGFTPPPVRNASIPMPSVGQYPFFGMPIGPPPTYAQQRPIGGASPSDPIFVPDLDDPKEQEKLKCGSFESKDNPDTHKKFDLFEERLRMVEGMGMYCSMDAIELCLVLDVVIPPKFKVPDFEKLPLKSNVVRLKPVMGEDRGALLVRVDDSEERFGNLSSVHKLRSAYRVPRAA >EOY32452 pep chromosome:Theobroma_cacao_20110822:9:29825115:29826090:-1 gene:TCM_040391 transcript:EOY32452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVFHQMVKEKQAAKETTKSKTQKATPARNSSDLVHTTSRSEPTDKMKGKATASAQGVVKPTKKKKKDNGNENWVPQEKNVFQVD >EOY31082 pep chromosome:Theobroma_cacao_20110822:9:6916502:6920785:1 gene:TCM_038091 transcript:EOY31082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVTFNSLISTWISDLLACMGGCFGCCTKATPIIAVDEPSKGLRIQGRIVRKPSISDDFWSTSTYDLENSAIQSQRSLSSISTSNPTLSQCSSTGGIANQSDFVNQGLIVWNQSRLQWIGSSRPRNHTQQSRETGLSLNATYESLLGTRNPFPRPIPLSEMIDFLVEVWEQEGLYDWS >EOY31083 pep chromosome:Theobroma_cacao_20110822:9:6916669:6920722:1 gene:TCM_038091 transcript:EOY31083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVTFNSLISTWISDLLACMGGCFGCCTKATPIIAVDEPSKGLRIQGRIVRKPSISDDFWSTSTYDLENSAIQSQRSLSSISTSNPTLSQCSSTGGIANQSDFVNQGLIVWNQSRLQWIGSSRPRNHTQQSRETGLRK >EOY29133 pep chromosome:Theobroma_cacao_20110822:9:192779:195693:1 gene:TCM_036772 transcript:EOY29133 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein isoform 1 MDLNMNLNAPHSMGTTIIGVTYNGGVVLGADSRTSTGMYVANRASDKITQLTENVYVCRSGSAADSQIVSDYVRYFLHQHTIQLGQPATVKVAANLIRLLSYNNKNMLETGLIVGGWDKYEGGKIYGIPLGGTLIEQPFAIGGSGSSYLYGFFDQAWKEGMTKDEAEQLVVKAVSLAIARDGASGGVVRTVIINSEGVTRNFYPGDKLPLWHEELEPQNSLLDILN >EOY29132 pep chromosome:Theobroma_cacao_20110822:9:192792:196114:1 gene:TCM_036772 transcript:EOY29132 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein isoform 1 MDLNMNLNAPHSMGTTIIGVTYNGGVVLGADSRTSTGMYVANRASDKITQLTENVYVCRSGSAADSQIVSDYVRYFLHQHTIQLGQPATVKVAANLIRLLSYNNKNMLETGLIVGGWDKYEGGKIYGIPLGGTLIEQPFAIGGSGSSYLYGFFDQAWKEGMTKDEAEQLVVKAVSLAIARDGASGGVVRTVIINSEGVTRNFYPGDKLPLWHEELEPQNSLLDILNSSSPEPMNI >EOY31486 pep chromosome:Theobroma_cacao_20110822:9:9070593:9072737:-1 gene:TCM_038412 transcript:EOY31486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 9 MGAAMVVFVLVFLLGGWPLGEGCWEQEKIGLLQLKPFFNYHNALHNWVDVEGKESSHCCRWERVECDAISGRVIRLYLWKEYSDVAQDSENLRQPWYLNASLFLPFEELKSLDLSEHQIAGCLDNEGFEKLWGKLDKLEHLFLSDNQFNNSILSSLIEFSSLKSLELSFNNLTKFIYTDELNKLINLEELSLWGNDIESFGSFGGILQT >EOY29478 pep chromosome:Theobroma_cacao_20110822:9:1287458:1291074:1 gene:TCM_036997 transcript:EOY29478 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-domain-2 5 isoform 2 MNWIDNNGVFLVSNNSEFGFGFTTTSDVTLFLLVIVHMETTKVIWAANRDSPVSNSDDFVFDKNGNVLLREGVSVVWTTNTGDKGVSAMVLQDSGNLVLQGDGGKVVWQSFEHPSDTLISNQEFREGMRLVSNPSASNLSYILEIKSGDMILSAGYSTLQPYWSMGKDTRRTINKNGGEVAVASLDANSWSLFDESKVLLWQFTISDPIDANATWIAVLGSDGRISFFNLHDKGSSSTTKIPADLCGTPEACQPYFVCSGTSDNTRCQCPSGLGNCKTGIASPCSQGKDAVDLVDAGTGLNYFALTYVSPSSKTDLSGCKASCLGNCSCMAVFYDNSSRNCFLFDQIGSFENSQQQSDLVAFVKMSSNANGAGDGGGKKGFPYVVIIVVSTVLVIFGLFFVSYRYYKKKKKMPQSPEETSEEDNFLGSLTGMPARFTYNDLQTATNNFSVKLGHGGFGSVYRGTLPDGTQIAVKKLEHIGQGKKEFRAEVGIIGSIHHLHLVRLKGFCAEGSHRLLAYEFMANGSLDKWIFRRNREEPLLDWETRFNIAVGTAKGLAYLHEDCDAKIVHCDIKPENVLLDDNFLAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMLLLEIIGGRKNFDPEESSEKSYLPSYAFKMLDEGKLRDILDSRLSIQGEDERVFTAGKVALWCIQEDMHLRPSMTKVVQMLEGLSPVPKPPMSSPLGSRLYSNFFKSMTMSGEGTSSASGPSDCNSDAYLSAVRLSGPR >EOY29477 pep chromosome:Theobroma_cacao_20110822:9:1285825:1291334:1 gene:TCM_036997 transcript:EOY29477 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-domain-2 5 isoform 2 MESRAFIRFLCFFALSTLLLSETCMASIQTVGMIKPGFQGSQMNWIDNNGVFLVSNNSEFGFGFTTTSDVTLFLLVIVHMETTKVIWAANRDSPVSNSDDFVFDKNGNVLLREGVSVVWTTNTGDKGVSAMVLQDSGNLVLQGDGGKVVWQSFEHPSDTLISNQEFREGMRLVSNPSASNLSYILEIKSGDMILSAGYSTLQPYWSMGKDTRRTINKNGGEVAVASLDANSWSLFDESKVLLWQFTISDPIDANATWIAVLGSDGRISFFNLHDKGSSSTTKIPADLCGTPEACQPYFVCSGTSDNTRCQCPSGLGNCKTGIASPCSQGKDAVDLVDAGTGLNYFALTYVSPSSKTDLSGCKASCLGNCSCMAVFYDNSSRNCFLFDQIGSFENSQQQSDLVAFVKMSSNANGAGDGGGKKGFPYVVIIVVSTVLVIFGLFFVSYRYYKKKKKMPQSPEETSEEDNFLGSLTGMPARFTYNDLQTATNNFSVKLGHGGFGSVYRGTLPDGTQIAVKKLEHIGQGKKEFRAEVGIIGSIHHLHLVRLKGFCAEGSHRLLAYEFMANGSLDKWIFRRNREEPLLDWETRFNIAVGTAKGLAYLHEDCDAKIVHCDIKPENVLLDDNFLAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMLLLEIIGGRKNFDPEESSEKSYLPSYAFKMLDEGKLRDILDSRLSIQGEDERVFTAGKVALWCIQEDMHLRPSMTKVVQMLEGLSPVPKPPMSSPLGSRLYSNFFKSMTMSGEGTSSASGPSDCNSDAYLSAVRLSGPR >EOY31501 pep chromosome:Theobroma_cacao_20110822:9:9180701:9186484:1 gene:TCM_038426 transcript:EOY31501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSEENPKQLKKRTALVMAWDSNVKASVSEKLLHLLGDFNYNPDSLRVLTEYVVVLVSNGKCQSEVKSALEAFLGDSVTEFVSWLWDILSETSNDLNANMSSSDLENITGASSTEADASGKNQSQKCGSEAPKSHCQFSVFSNTLAEETNKDASTHSCKFNKNFREFENNQDGSLAGCSFKTKPSAEILVADEQHMQYEKVHERSPPSKHCQETNVGRRRLFSKAAGVIFVQKGINGTTRGNVWDRLGKLTENDTSVKVKKVKANEGDNIKRQMLEQNSPRLGQNTLIPTVHDSKVNENVSGNCNVKTYRSNHDRKRQLNDFIPISASTSNSLYHEEEKSRKYRRQAENYTYMLKESGASCKSEKSKSYNKCCTSVFDASVSSRPEKISQEKLRMEAPESTQTLISHSACPATTGGTGPVQAQLVDMKLRLHQLETEISKLKSNPVNKDGNHALSSSFGSIDLLKEGVESRTVSVTNVHVAATQDAVRSYFARCGAIIRVIKLTDTLTVKQKWSAYITFANKESVDKALALNGTNFFSRIIWVRKAGKQQANLLATCQ >EOY31502 pep chromosome:Theobroma_cacao_20110822:9:9180828:9186597:1 gene:TCM_038426 transcript:EOY31502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSEENPKQLKKRTALVMAWDSNVKASVSEKLLHLLGDFNYNPDSLRVLTEYVVVLVSNGKCQSEVKSALEAFLGDSVTEFVSWLWDILSETSNDLNANMSSSDLENITGASSTEADASGKNQSQKCGSEAPKSHCQFSVFSNTLAEETNKDASTHSCKFNKNFREFENNQDGSLAGCSFKTKPSAEILVADEQHMQYEKVHERSPPSKHCQETNVGRRRLFSKAAGVIFVQKGINGTTRGNVWDRLGKLTENDTSVKVKKVKANEGDNIKRQMLEQNSPRLGQNTLIPTVHDSKVNENVSGNCNVKTYRSNHDRKRQLNDFIPISASTSNSLYHEEEKSRKYRRQAENYTYMLKESGASCKSEKSKSYNKCCTSVFDASVSSRPEKISQEKLRMEAPESTQTLISHSACPATTGGTGPVQAQLVDMKLRLHQLETEISKLKSNPVNKDGNHALSSSFGSIDLLKEGVESRTVSVTNVHVAATQDAVRSYFARCGAIIRVIKLTDTLTVKQKWSAYITFANKESVDKALALNGTNFFSIL >EOY31500 pep chromosome:Theobroma_cacao_20110822:9:9180890:9185004:1 gene:TCM_038426 transcript:EOY31500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MSEENPKQLKKRTALVMAWDSNVKASVSEKLLHLLGDFNYNPDSLRVLTEYVVVLVSNGKCQSEVKSALEAFLGDSVTEFVSWLWDILSETSNDLNANMSSSDLENITGASSTEADASGKNQSQKCGSEAPKSHCQFSVFSNTLAEETNKDASTHSCKFNKNFREFENNQDGSLAGCSFKTKPSAEILVADEQHMQYEKVHERSPPSKHCQETNVGRRRLFSKAAGVIFVQKGINGTTRGNVWDRLGKLTENDTSVKVKKVKANEGDNIKRQMLEQNSPRLGQNTLIPTVHDSKVNENVSGNCNVKTYRSNHDRKRQLNDFIPISASTSNSLYHEEEKSRKYRRQAENYTYMLKESGASCKSEKSKSYNKCCTSVFDASVSSRPEKISQEKLRMEAPESTQTLISHSACPATTGGTGPVQAQLVDMKLRLHQLETEISKLKSNPVNKDGNHALSSSFGSIDLLKEGVESRTVSVTNVHVAATQDAVRSYFARCGAIIRVIKLTDTLTVKQKWSAYITFANKESVDKALALNGTNFFSRIIWH >EOY34717 pep chromosome:Theobroma_cacao_20110822:9:41886050:41887398:-1 gene:TCM_042301 transcript:EOY34717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYFVSIWKLNIQTDTCVFIICMYGDGNHSAAAKRGGLYSMMSSSPQCNAVLSLRVETAITNQAIWSRSRRVVGCRRRWRNVPHIPNHVDEIVQKTSQSQA >EOY30347 pep chromosome:Theobroma_cacao_20110822:9:4293795:4294570:-1 gene:TCM_037588 transcript:EOY30347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKVFEHVPSIQLSVKLSIACCLNLASGSLKWIPNFPLEAHLEKRAELIYPSMIHLLVLLPSSMMRIEQKINTPQITSQIFPHLNLNTRVDITPKKKNKIEHDLLHFLVR >EOY31487 pep chromosome:Theobroma_cacao_20110822:9:9080213:9082218:-1 gene:TCM_038413 transcript:EOY31487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYPDRVVWKTMKLLRQLHDGSLLKQWQWKGDKDIAVMWGFLFPPKHGTTPQIIHWVKPFTGEYKLNVDGSSRNRQSATSGALLRDHIGKKSSDCCWRERVKCDATIEPVTELNLSKELVLEESSKGYIENLLHNQYWYLNASLFLPFEELKSFRLKTNGIVGCLDNEGHLIHPFIAA >EOY33145 pep chromosome:Theobroma_cacao_20110822:9:35648139:35650589:1 gene:TCM_041129 transcript:EOY33145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglycosylase 6 MYFRTIKTVLLISGVVFTLLVSALAGNFNQDFDITWGDGRAKILGNGQLLTLSLDKTSGSGFKSKKQYLFGKIDMQLKLVPGNSAGTVTAYYLSSLGSTHDEIDFEFLGNLSGDPYILHTNVFTQGKGNREQQFYLWFDPTKDFHTYSILWNPRSIIFYVDGTPIREFKNLESNGIPFPKSQPMWIYSSLWDAEEWATRGGLVKTDWSQAPFTASYQNFNAQACIWASNSSSCSANSSKNSWLTQSLDSSGQARIKWVQKNYMIYNYCTDAKRFQQGFPPECSLA >EOY33516 pep chromosome:Theobroma_cacao_20110822:9:37916738:37919679:-1 gene:TCM_041480 transcript:EOY33516 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein isoform 2 MSSKVDDKPITRVLFCGPHFPASQNYTREYLEKYPFIQVDEVALKDVPDHIGNYHLCVVLNMRLDSNVISRANQMKLIMQFGVGLEGVDVDAATRLGIKVARIPGDATGNAASCAEMAIYLILGLLRKQNEMQISVKQKKLGEPLGETLFGKTVFIMGFGNIGIALANLLKPFGVKIIATKRSWATNLQVPVPKAAKTQNEIVDKLVDKKGRHGDIYELASYADIVVCCLSLTKETIGVINKSFISSMKKGALLINIARGGLLDYEAVVHHLESEHLGGLGIDVAWTEPFDPDDPILKFKNVIITPHVAGITEQSFRSMAKVVGDVALQLHVGTPLTGIELVN >EOY33517 pep chromosome:Theobroma_cacao_20110822:9:37916690:37933134:-1 gene:TCM_041480 transcript:EOY33517 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein isoform 2 MSSKVDDKPITRVLFCGPHFPASQNYTREYLEKYPFIQVDEVALKDVPDHIGNYHLCVVLNMRLDSNVISRANQMKLIMQFGVGLEGVDVDAATRLGIKVARIPGDATGNAASCAEMAIYLILGLLRKQNEMQISVKQKKLGEPLGETLFGKTVFIMGFGNIGIALANLLKPFGVKIIATKRSWATNLQVPVPKAAKTQNEIVDKLVDKKGRHGDIYELASYADIVVCCLSLTKETGALLINIARGGLLDYEAVVHHLESEHLGGLGIDVAWTEPFDPDDPILKFKNVIITPHVAGITEQSFRSMAKVVGDVALQLHVGTPLTGIELVN >EOY33515 pep chromosome:Theobroma_cacao_20110822:9:37916544:37927173:-1 gene:TCM_041480 transcript:EOY33515 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein isoform 2 MFSHTLACFTSPLPRSTDMSSKVDDKPITRVLFCGPHFPASQNYTREYLEKYPFIQAICSNSHLMHLFAERFVDEVALKDVPDHIGNYHLCVVLNMRLDSNVISRANQMKLIMQFGVGLEGVDVDAATRLGIKVARIPGDATGNAASCAEMAIYLILGLLRKQNEMQISVKQKKLGEPLGETLFGKTVFIMGFGNIGIALANLLKPFGVKIIATKRSWATNLQVPVPKAKTQNEIVDKLVDKKGRHGDIYELASYADIVVCCLSLTKETIGVINKSFISSMKKGALLINIARGGLLDYEAVVHHLESEHLGGLGIDVAWTEPFDPDDPILKFKNVIITPHVAGITEQSFRSMAKVVGDVALQLHVGTPLTGIELVN >EOY31473 pep chromosome:Theobroma_cacao_20110822:9:9013959:9018331:1 gene:TCM_038404 transcript:EOY31473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70B MATKTEGKAIGIDLGTTYSCVGVWMNDRVEIIPNDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPENTVFDAKRLIGRRFSDPSVQSDVKLWPFRVIPGPGDKPMIVVRYKGEEKQFSAEEVSSMVLTKMKEVAEAFLGHTVKNAVVTVPAYFNDSQRQATKDAGAIAGLNVLRIINEPTAAAIAYGLDKKASRKGEQNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVAEFRRKHKKDISGNARALRRLRTACERAKRTLSSTTQTTIEIDSLFEGIDFYATITRARFEELNMDLFRKCMEPVEKCLKDAKIDKGQVHEVVLVGGSTRIPKIQKMLQDFFNGKELCKSINPDEAVACGAAVQAAILTGEGDEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELTGIPPAPRGVPQINVTFDIDANGILNVTAEDKTAGVKNQITITNDKGRLSKEEIEKMVKDAERYKAEDEEVKKKVEAKNALENYAYNMRNTVRDEKFAAKLSPEDREKIEKAVEETIEWLDRNQLAEVDELEDRLKELESICNPIISKMYQGGAADGDVPMGGGGYTGSGSGSGPGPKIEEVD >EOY29501 pep chromosome:Theobroma_cacao_20110822:9:1369585:1370673:1 gene:TCM_037015 transcript:EOY29501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASITLEELHTYHAIDREIFSRLVITLMRDPAESLLVMALWLWLEEKGYPNIIAKMVKLSDPLLEALAGEAVSCLNCLGSGNPTIPPNGVFPLTARIMEKDISFQMFHQNKFSTISGIKNFLNTVCSRIFTDILQRVMGSTSQVISNQPLVIPGFPHSLFGRVTIVPRAMDHGFPVGGLWGWHPCNNVSEDDRTLFLTFSRGFPVSEDEVRELFTKICGDCVDSVHMQENVPCNEQPLFARMVLHSVTNVDQILNRRRIAKFRINGKHIWARKYERRE >EOY31485 pep chromosome:Theobroma_cacao_20110822:9:9064064:9070220:-1 gene:TCM_038411 transcript:EOY31485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, plant-type, putative MNERDALSKLEELHMGENEVNAVVISQELRRLELSNNSLSGHFRLPFHASMKLNYLDISKNIFEGHIPVEIGAYLPQLQFLYVSRNSFNGSIPSSIGDMKFLEELDLSNNSLTGVIPESLSLSCSFLKVLNLSNNSLEGQIFSANFKLTKLRYLRLDGNHFTGMIPNSLSNCSTLSTIDVSHNYLSGEIPGWMRNLSNLKEMIMANNHLEGPMPVEFCQLNMPGVLDLSMNSISGTLPSCFGLPWINHVHLSKNRLRGPIPDKLCKSSNLETMDLSNNHLTGNIPNCFGKLSSLSYLVLSYNNLEGEIPIQLCQLDKLSVIALSHNNLSGRIPHCLNVTPHEEIKGYVHEYDFRYDEGMDTSHISHISFLTEEPIQLTTKTISYSYKGRILTYLCGIDLFYNKMTGEISHEMKNFHEIIILNLSYNSLIGLIPSSFSNFKNIENLYLSYNNLSGCIPLQLVDLSFLASFNVSYNNLSGKPPPRTNQFASDFDENSYWGNPFLCGEPLPKKCSIIEVSSNSTEDGEDVGLIDVTSFYVTFTLSYITVLLSIAVVLFINPYRRGAWFYLVEKCINSCFYFVVGNLPKLFGCGNM >EOY31387 pep chromosome:Theobroma_cacao_20110822:9:8436165:8440526:-1 gene:TCM_038316 transcript:EOY31387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fidipidine, putative isoform 2 MQKGSKEQELNSARASIEILNSNLDQQNQRKVSLLNELQNLQERIRKQGADAKVLKFVPLLETSKTLERQESQIRSDFDAKRSSLEAEVSDLEEKIATGCDDEKLSHGLDDSLNESLHKLNSAKKELAARLRAIVSVKRQLDDVPSQSELIQYERRFSELNAHIQEKLQQTRKFYATYNALLEIKELMLKETSLLNSISSQDAIASPAGRMKLLESMEGIVKGSQQKLEKLQLGLQEEQKVCDSLKERRMCKE >EOY31385 pep chromosome:Theobroma_cacao_20110822:9:8426324:8440687:-1 gene:TCM_038316 transcript:EOY31385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fidipidine, putative isoform 2 MQKGSKEQELNSARASIEILNSNLDQQNQRKVSLLNELQNLQERIRKQGADAKVLKFVPLLETSKTLERQESQIRSDFDAKRSSLEAEVSDLEEKIATGCDDEKLSHGLDDSLNESLHKLNSAKKELAARLRAIVSVKRQLDDVPSQSELIQYERRFSELNAHIQEKLQQTRKFYATYNALLEIKELMLKETSLLNSISSQFQDAIASPAGRMKLLESMEGIVKGSQQKLEKLQLGLQEEQKVCDSLKERYGAEVAEQRRCYSLKNYSRGCARNERLPSQTPA >EOY31388 pep chromosome:Theobroma_cacao_20110822:9:8436165:8440286:-1 gene:TCM_038316 transcript:EOY31388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fidipidine, putative isoform 2 MDESINSPPLLAYLITQNQRKVSLLNELQNLQERIRKQGADAKVLKFVPLLETSKTLERQESQIRSDFDAKRSSLEAEVSDLEEKIATGCDDEKLSHGLDDSLNESLHKLNSAKKELAARLRAIVSVKRQLDDVPSQSELIQYERRFSELNAHIQEKLQQTRKFYATYNALLEIKELMLKETSLLNSISSQQKLEKLQLGLQEEQKVCDSLKERRMCKE >EOY31386 pep chromosome:Theobroma_cacao_20110822:9:8436584:8440337:-1 gene:TCM_038316 transcript:EOY31386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fidipidine, putative isoform 2 MQKGSKEQELNSARASIEILNSNLDQQNQRKVSLLNELQNLQERIRKQGADAKVLKFVPLLETSKTLERQESQIRSDFDAKRSSLEAEVSDLEEKIATGCDDEKLSHGLDDSLNESLHKLNSAKKELAARLRAIVSVKRQLDDVPSQSELIQYERRFSELNAHIQEKLQQTRKFYATYNALLEIKELMLKETSLLNSISSQFQDAIASPAGRMKLLESMEGIVKGSQQKLEKLQLGLQEEQKVCDSLKERRMCKE >EOY33398 pep chromosome:Theobroma_cacao_20110822:9:37322162:37322899:-1 gene:TCM_041375 transcript:EOY33398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALAGDADITSDFLVPPDVKDIDGNFFTFTGNRDLVDSPTNFTVTKASAVEFPALNGQSVSYAVLQFPTGSINPPRTHPRSAELLFLTAGSLQYNSDAKNPAAAVSAFGSANAGTVSLPKTLFATNIEDGILAQSFKTDVATIQALKSGLASK >EOY31465 pep chromosome:Theobroma_cacao_20110822:9:8966196:8967862:1 gene:TCM_038398 transcript:EOY31465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant natriuretic peptide A MALLLVAMVLSLLGREVLGDVGTAASYDPPYIPTKCYGNSEEQFPPGNLFVAVSEGLWDNGAACGRRYRVRCLSGNGRPCKSGNIDVKVVDFCPKSPCPAAMVMSNTAFNAISRPGGRINIEYVQV >EOY34305 pep chromosome:Theobroma_cacao_20110822:9:40651177:40656913:-1 gene:TCM_042026 transcript:EOY34305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein isoform 1 MDHISWMVPNNKRNGLHKDQINKASRQEDWVEKDRAAAAKTSPPPMAAPIWIWAFSVSLTVVPFVLGNSEGDALYTLRRSLTDPDNVLQSWDPTLVNPCTWFHITCNQDNRVTRVDLGNSNLSGHLVSELGKLEHLQYLELYKNNIEGTIPTELGNLKSLISLDLYNNNISGTIPPSLGKLKSLVFLRLNDNWLTGPIPRELAGISSLKVVDVSNNDLCGTIPTSGPFEHIPLNNFERNPRLEGPELLGLASYDTNCS >EOY34306 pep chromosome:Theobroma_cacao_20110822:9:40651287:40655126:-1 gene:TCM_042026 transcript:EOY34306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein isoform 1 MAAPIWIWAFSVSLTVVPFVLGNSEGDALYTLRRSLTDPDNVLQSWDPTLVNPCTWFHITCNQDNRVTRVDLGNSNLSGHLVSELGKLEHLQYLELYKNNIEGTIPTELGNLKSLISLDLYNNNISGTIPPSLGKLKSLVFLRLNDNWLTGPIPRELAGISSLKVVDVSNNDLCGTIPTSGPFEHIPLNNFERNPRLEGPELLGLASYDTNCS >EOY32684 pep chromosome:Theobroma_cacao_20110822:9:32835083:32840107:-1 gene:TCM_040713 transcript:EOY32684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocation protein-related MKKSGGAEKKKARRSSASVQNGSRDPSVDTPPRKQAAKKDVSQLFAEKVRDHKDLVSRWAVLQETRVEYFRGKDFVSFMKNHPELKEMLESNRNLEIEDIANSLLQKNLLVRCDRVVKTVRPGKKKLSTWPAHLEIFPEQVFSETDAFFAWTFVKRRPLWQTLLSFFWPVLTLAICLFPVYPHRCKLLILYSCAGVLLLILSLLFLRAAIFGVIWIILGKRVWLFPNILAEEATLRELFRLWPNKDEEERPKWTARLFYAIVAVLVILLLRHHAPDEAARARYQKRMSNIIDDVLEWSPSLALSGMMEKQTVVNATENSNNFSNESKATTETVNSAEETDQHQDDTM >EOY31989 pep chromosome:Theobroma_cacao_20110822:9:18617299:18627843:1 gene:TCM_039370 transcript:EOY31989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGSCETPKLRVGDTPWWECVTRQRSELIQHRQYEDLDSLLIMQKEKWAFNVAINTHCKWSQLHYITKTLQQKGEYDPVKRTCFGMLLDFYPQGYFCADLLHNIMIRRITERQSMNHELWFSIGDATKMALVLITNNILFGQDYRRRVTPWLLSLVEDIDAWNVFPWGYYVWKLTLDYLLKGFEVPDLSVTKETRLRYNIYGFAWVIQTMEAISAFRKIVAPSGSKDNVHPRMCRWDYNQKPKYFYKTIQKLESSDQLWALETLEPTADEALREYFMDLDVLLSEGNKYLDHGPATSEPPIGPSQMQSGNDPSFTEARTGPQAPIDLAQSQTANEPVFTEAMTGPEALIGSAPPHIVNEPVLTQSRIVNDGAVTTRQLRRIMCKHEKDMLELKASIQSLSVAMHTIEDRIVGWILDGLKSQGGPSHAVGLEHDDANDGQHHEPGVDIGDDVLGADGEHVTHVDDVVEEAMVVDATLQSDDVEGEHLPPADAFVDVAAGAIVLYRESTPDVVEIRSSSPESSVVHHGAAEISDPTERAQLKMASKYMASPFVDPLRNVGILEDQGADFFITLEDPNEEMTSEHIDACLGLFCKRMTGPKSKLYTTRACMVDMIFFDTIRMLHIKFPTEDARAKMRIPSELRGYVKGERPTYAKK >EOY32500 pep chromosome:Theobroma_cacao_20110822:9:30450099:30464406:1 gene:TCM_040456 transcript:EOY32500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 4 isoform 2 MDADDDNENNGSKTNNNNVDSNKPRGKRRLYVGSQALGFRRDHMEVLSPLKDGVVVDWDIVDSIWDHAFKECLLIDPKEHPMLLAEPSFNTQQQRERTAELMFEKYKVPALFLAKNAVLTSFASGRATSVVVDSGGGSTTVAPVHDGYVLQKAVSSSPIGGEFLTDCLMKSLESKGIVIKPRYSFKRKEIQPGVFQTVDIDFPNTTESYKLYSQRVIASDIKECVCRAPDTPYDESAYSNIPMTPYELPDGQTIEIGADRFKIPDVLFNPPLAQTIPGMNNFAEISPSFRGLPQMVIESINRCDVDIRKELFGSILLAGGTASMQQLKERLEKDLLEVS >EOY32499 pep chromosome:Theobroma_cacao_20110822:9:30449533:30464782:1 gene:TCM_040456 transcript:EOY32499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 4 isoform 2 MYGGDEVSAIVIDLGSHTCKAGYAGEDAPKAVFPSVVGSIDQMDADDDNENNGSKTNNNNVDSNKPRGKRRLYVGSQALGFRRDHMEVLSPLKDGVVVDWDIVDSIWDHAFKECLLIDPKEHPMLLAEPSFNTQQQRERTAELMFEKYKVPALFLAKNAVLTSFASGRATSVVVDSGGGSTTVAPVHDGYVLQKAVSSSPIGGEFLTDCLMKSLESKGIVIKPRYSFKRKEIQPGVFQTVDIDFPNTTESYKLYSQRVIASDIKECVCRAPDTPYDESAYSNIPMTPYELPDGQTIEIGADRFKIPDVLFNPPLAQTIPGMNNFAEISPSFRGLPQMVIESINRCDVDIRKELFGSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGASYIQRKCP >EOY29696 pep chromosome:Theobroma_cacao_20110822:9:2077931:2080340:1 gene:TCM_037159 transcript:EOY29696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carrier protein E2 21 isoform 3 NIFKWTALIKLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDVRGYQSMARMYTRLAAMPKKRLAARIILITGQSNPV >EOY29695 pep chromosome:Theobroma_cacao_20110822:9:2077213:2080042:1 gene:TCM_037159 transcript:EOY29695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carrier protein E2 21 isoform 3 MQASRARLFKEYKEVQREKAADPDIQLVCDDSNIFKWTALIKGPSETPYEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDVRGYQSMARMYTRLAAMPKKG >EOY29694 pep chromosome:Theobroma_cacao_20110822:9:2077349:2080340:1 gene:TCM_037159 transcript:EOY29694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carrier protein E2 21 isoform 3 MQASRARLFKEYKEVQREKAADPDIQLVCDDSNIFKWTALIKGPSETPYEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDVRGYQSMARMYTRLAAMPKKRLAARIILITGQSNPV >EOY30894 pep chromosome:Theobroma_cacao_20110822:9:6162849:6166114:-1 gene:TCM_037943 transcript:EOY30894 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MEQPPENLHEKNDHVLDIPNDCQHGNNVVSSSSQQPVIQPTPSGGSSHRSFISSFCFWIYIRLVFNISQIVASVAVLVASRNEKSQAPLHIWIVGYAAGCAATIPILFQHCFLPYPTLSRLFERFKWMLKVYFFIWFVVGNVWLFGGYSSSGAPNLRRLCVMFLVFSYLEFTMPFILCAVLACCCGDLGLMKGATSECINSLPTYTFKLQKDGTGSIMKTNSEVRGVQVAGAEKDSAISGDDAVCCICLASYADNDVLKELPCSHFFHTNCVDKWLKMKALCPLCKCTILSKK >EOY31239 pep chromosome:Theobroma_cacao_20110822:9:7678212:7680716:-1 gene:TCM_038199 transcript:EOY31239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase MEVGRLSPVEKSIWVCSVMEALVAETLVLAGNSLACLLMLTESVLKDMNASHGLTAVDERFPYDELLKMKRPDPENKDASDTEDDDEEEDDDNADDPDDDAADEDFSGEEDPEDDPEANGDGGSDEEDDEEDDDDGDDDDGDEDGEDEEEEDEDDEDDEVRQPPSKKRK >EOY29875 pep chromosome:Theobroma_cacao_20110822:9:2641185:2645627:1 gene:TCM_037271 transcript:EOY29875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MIQSNSNCSTTNPKPPDTYQPMLTESVHRFLSEYRNGATDFSNFTSIFSRLLQTLPDPPLEFVWFYSAVTFHSTKFDSPNSISSSKDLFQLLVSCSASCNPIKRISVLAPVIYELFRLTLDRKVLKREVESLLEGIVSYISICCGMEAEGNDDLSSRFVDLLRVWMVDRGREEEGEKRDYLKVFFPIVSEEVQKMIGGECRVWYLAGVVMCQAFLLRLCLKFGAEIPKLELEKDVHDCAVQMITGFRNFYFLDILFRMLLEPVLPITSLLGHGNEILLREILYDAVITMDHTFLSPQGGFLLPGKQLKELALTWSFVADNAIRSVRENGNQTKAITYINAFSESCLPSQLINWVTSQTGMGDKARSLNVSTPVALIKWLLIIEDQGVRIFECDISKVYAKAVLCKSRVEYEIPVVKTNGKILGENLVYMVHEGREDKKADGDLEMIDSMDTVPLTAPCLMTSTPTDSVRKRKEGSNVEEEIPVKFVKYHFHENLVREKLLSLAKDDGLSSGSEVDNPASDEDMKDMGQ >EOY29876 pep chromosome:Theobroma_cacao_20110822:9:2641185:2645627:1 gene:TCM_037271 transcript:EOY29876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MIQSNSNCSTTNPKPPDTYQPMLTESVHRFLSEYRNGATDFSNFTSIFSRLLQTLPDPPLEFVWFYSAVTFHSTKFDSPNSISSSKDLFQLLVSCSASCNPIKRISVLAPVIYELFRLTLDRKVLKREVESLLEGIVSYISICCGMEAEGNDDLSSRFVDLLRVWMVDRGREEEGEKRDYLKVFFPIVSEEVQKMIGGECRVWYLAGVVMCQAFLLRLCLKFGAEIPKLELEKDVHDCAVQMITGFRNFYFLDILFRMLLEPVLPITSLLGHGNEILLREILYDAVITMDHTFLSPQGGFLLPGKQLKELALTWSFVADNAIRENGNQTKAITYINAFSESCLPSQLINWVTSQTGMGDKARSLNVSTPVALIKWLLIIEDQGVRIFECDISKVYAKAVLCKSRVEYEIPVVKTNGKILGENLVYMVHEGREDKKADGDLEMIDSMDTVPLTAPCLMTSTPTDSVRKRKEGSNVEEEIPVKFVKYHFHENLVREKLLSLAKDDGLSSGSEVDNPASDEDMKDMGQ >EOY31371 pep chromosome:Theobroma_cacao_20110822:9:8398443:8400666:-1 gene:TCM_038311 transcript:EOY31371 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein MASLNLTSITPQPTGFSWNNNSYRNPTIFIPRKRHFSVTLATSSPETNSPTTTEKPEIELEFIGPNPGSDGSYPVDKAKAISGEKLLRNIMLDNKIELYAAYGKVMNCGGGGSCGTCIVEIVDGKDLLNERTNTELRYLKKKPESWRLACQTIVGNKENSGKVVVQRLPQWKNA >EOY33639 pep chromosome:Theobroma_cacao_20110822:9:38353151:38356226:-1 gene:TCM_041554 transcript:EOY33639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase S28 family protein, putative MMEAQRLLLQSSQVLLLLPTVCLAAAYPDHFNYKYASYLTCQQKYIVNSNSRGGANTSSPIFVYTGDKAWIDGVAISAGFIIDLASRFRGLLLYIEHRYYGDSMAFGYKQIAGQNASTLGFFSASQALADYAELIIELKRNLSEENCPVIAIGGSYGGMLASWFRLKYPHLPLVPWHHLLQFSTLTKFLLKMAIMWLLQGILDENCYNTIRLSWFEIDKVAVQPNGLMNLRNIFNSCMPLYSSEELKSYLGFVYVFSARSDNPPYNSVSDACNAIDGAPAGTDILGKVVRGFNASFFGSGGSCNNVIEIKPNNGSGWFWQTCTEIVMPIGHGENVTMFQASPFDLENYTRTCLEIFGVSPRPHWIITEFGGHDIKYVLGNFASNIIFSNGLRNPWSAGGVLQNISDSVVAVYTKEGAHCLDLSNPSSSDPDWLVAQRDKEINIIGSWSEDYKVKLGSKAGKKIHF >EOY30047 pep chromosome:Theobroma_cacao_20110822:9:3185742:3193644:1 gene:TCM_037391 transcript:EOY30047 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-type ATPase of 2 isoform 1 MAMAADLLRLSLSTQPKLSFSYGAKAKIDRFDLLQRRRRSRFYSRPRSTPGFILFNSLETRSQSQESSLQTPKQKPKDSSVLLDVNGMMCGGCVSRVKSVISSDERVESVVVNLLTETAAIKLNQEVIESETVDSVAVSIAQRVSECGFMAKRRVSGLGIGENVRKWKEMLKKKEELLVKSRNRVAFAWTLVALCCGSHASHILHSLGIHIAHGPFLEVLHNSYFKGGLALAALLGPGRDLLVDGLMAFKKGSPNMNSLVGFGSIAAFIISAVSLLNPGLAWDASFFDEPVMLLGFVLLGRSLEEKARIQASSDMNELLSLISTRSRLVITSSDDSSADSVLCSDAICIEVPSDDIRVGDSVLVLPGETIPTDGKVLAGRSVVDESMLTGESLPVFKEKGLMVSAGTINWDGPLRIEATSTGSNSTISKIVRMVEDAQGQEAPVQRLADAIAGPFVYSIMTLSAATFAFWYYAGSHIFPDVLLNDIAGPDGDPLLLSLKLAVDVLVVSCPCALGLATPTAILVGTSLGARQGLLIRGGDVLERLASVDHVAFDKTGTLTEGKPTVSSVASFAYDESEILQIAAAVERTATHPIAKAIVKKAESLNLAFPETRGQLVEPGFGTLAEVNGHLVAVGNLKWVNERFQIKAKPSDLMNLEHATMHHSSSPSNNSKTAVYVGREGEGVIGAIGISDSLRYDAESTVRRLQKKGIKTILISGDREEAVATIAQTVGIGSEFVNASLTPQQKSRVISTLQTAGHRIAMVGDGINDAPSLALADVGISIQTEAQDTAASDAASIILLGNRLSQVVDALDLAQATMAKVYQNLSWAVAYNAVAIPIAAGVLLPQYDFAMTPSLSGGLMALSSIFVVTNSLLLRLHGLEKSRKKNVAKKSQMPAGPS >EOY30048 pep chromosome:Theobroma_cacao_20110822:9:3185903:3192967:1 gene:TCM_037391 transcript:EOY30048 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-type ATPase of 2 isoform 1 MAMAADLLRLSLSTQPKLSFSYGAKAKIDRFDLLQRRRRSRFYSRPRSTPGFILFNSLETRSQSQESSLQTPKQKPKDSSVLLDVNGMMCGGCVSRVKSVISSDERVESVVVNLLTETAAIKLNQEVIESETVDSVAVSIAQRVSECGFMAKRRVSGLGIGENVRKWKEMLKKKEELLVKSRNRVAFAWTLVALCCGSHASHILHSLGIHIAHGPFLEVLHNSYFKGGLALAALLGPGRDLLVDGLMAFKKGSPNMNSLVGFGSIAAFIISAVSLLNPGLAWDASFFDEPVMLLGFVLLGRSLEEKARIQASSDMNELLSLISTRSRLVITSSDDSSADSVLCSDAICIEVPSDDIRVGDSVLVLPGETIPTDGKVLAGRSVVDESMLTGESLPVFKEKGLMVSAGTINWDGPLRIEATSTGSNSTISKIVRMVEDAQGQEAPVQRLADAIAGPFVYSIMTLSAATFAFWYYAGSHIFPDVLLNDIAGPDGDPLLLSLKLAVDVLVVSCPCALGLATPTAILVGTSLGARQGLLIRGGDVLERLASVDHVAFDKTGTLTEGKPTVSSVASFAYDESEILQIAAAVERTATHPIAKAIVKKAESLNLAFPETRGQLVEPGFGTLAEVNGHLVAVGNLKWVNERFQIKAKPSDLMNLEHATMHHSSSPSNNSKTAVYVGREGEGVIGAIGISDSLRYDAESTVRRLQKKGIKTILISGDREEAVATIAQTVGIGSEFVNASLTPQQKSRVISTLQTAGHRIAMVGDGINDAPSLALADVGISIQTEAQDTAASDAASIILLGNRLSQVVDALDLAQATMAKVYQNLSWAVAYNAVAIPIAAGVLLPQYDFAMTPSLSGKKMLRKNHKCLLVRVNESSPVQSIE >EOY30206 pep chromosome:Theobroma_cacao_20110822:9:3774297:3783654:-1 gene:TCM_037489 transcript:EOY30206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 7-like protein MNPILWSGSGDQKILKRRRCKEKETIMLTRLLIKNAMANDASDYLWYMTRIKDSHVDNVAATVPNAAFVPTATVPNVASVPAATAVNGSPIAPTPMTIPPMPSASYAKPFPDISKIEVFDGRNFKRWQERIFSILDVHGVAFALIDSKPDDVKMLEPWMHANKVCRHTIISTLSNELFDATLRVTNSGHVLHAYVNGKYIGSQWANYSSQKYVFEHEVKLNPGKNLISLLSAAVGLHNYGPMFDLNVTGVLSPVELVAHKEGGKVVKDLSSQKWSYKVGLDGVANKLYETDCPSKLKWGSDSIPVDRNLTWYKTTFKAPLGKAPVVVDLLGLGKGHAWVNTHSLGRYWPSYIADQHACKAEACDYRGPYSDKKCVSKCGEPTQRW >EOY34595 pep chromosome:Theobroma_cacao_20110822:9:41547523:41549490:1 gene:TCM_042213 transcript:EOY34595 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT motif family protein isoform 1 MQQQCLKRGVKQEGQGRARYLVKMGYTNSLLRSPKKEEQQVPDASVNEFNDTKESYGRGEIDIMAELEGILGIENGEKLAGSRVHGHLSWDFMDWEGEFHNAEEEDEEDERDGKLYAGKCFEEEIEYDKVVKKENLGFWEDDDEKRVSLNLNLNYQDVLDAWSDRGSLWADDYSISKASNGYYMGEVPVMEEERARREASVLRYKEKRQTRLFSKKIRYQVRKLNADKRPRLKAEIRVYGNIFSIPNFLGPSE >EOY34596 pep chromosome:Theobroma_cacao_20110822:9:41547560:41548711:1 gene:TCM_042213 transcript:EOY34596 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT motif family protein isoform 1 QQQCLKRGVKQEGQGRARYLVKMGYTNSLLRSPKKEEQQVPDASVNEFNDTKESYGRGEIDIMAELEGILGIENGEKLAGSRVHGHLSWDFMDWEGEFHNAEEEDEEDERDGKLYAGKCFEEEIEYDKVVKKENLGFWEDDDEKRVSLNLNLNYQDVLDAWSDRGSLWADDYSISKASNGYYMGEVPVMEEERARREASVLRYKEKRQTRLFSKKIRYQVRKLNADKRPRLKGRFVKRVS >EOY31256 pep chromosome:Theobroma_cacao_20110822:9:7846138:7850000:1 gene:TCM_038226 transcript:EOY31256 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: Sterile alpha motif (SAM) domain-containing protein (TAIR:AT1G15760.1); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Vir /.../ 0; Other Eukaryotes - 9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G12462) TAIR;Acc:AT2G12462] MDWYSWLSKSALEPSLIYEYGLAFSRNELQKEDLAYFNHEFLQSMGISVAKHRLEILKAARKEIGESPNSLSKLILAINKTRKCFNKYVNKLVHHENSAIKPLPEPVRYRDQWRGALTRKCKSEKELRIEQPVLRTRKVAKSGPLDYRAQDKLLVPPRSLKLSGPLDRKMQEKLVFNYRSPITSGPVDIAMAQERLMLTNRSPKLSGPLNYVRPPSPKVHADYNKEKAGGDYGDQTLWATLFQDMKPT >EOY33149 pep chromosome:Theobroma_cacao_20110822:9:35656867:35658539:1 gene:TCM_041132 transcript:EOY33149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase family protein MATSQIQNPLNTPFSQLSIKKHKPWFYKPRHLTITAAHPHLPGRKLRAAVIGGGPAGSSAAEALASGGIETFLFERSPSTAKPCGGAIPLCMIDEFSIPLHLIDRHVTKMKIISPSNNAVDFGSKSLRSHEFIPMLRREVLDSFLRARAQSAGAQLIPSLVTQLEIPSSPFSPYIIHHTTNSNRKTLAVDLIIGADGANSKVAKFIKAGNYTCAIAFQERIRLPDEKMEYYQNLAEMYVGDDVSPDFYAWVFPKCDHVAVGTGTVCAKQDIKLYQRGIKERVKNKINGGKVIKVEAHPIPEHPRPVRVRGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCGEAIVRASDGGERMIGEDDLKREYLKEWDKKYASTFRFLDLLQRVFYGSNVTREALVEVCGNEYVQRMTFDSYLYKKLAKGDRWEDLKLVLGTIGSLMRCKIVGREMEAIKF >EOY34714 pep chromosome:Theobroma_cacao_20110822:9:41877106:41879020:1 gene:TCM_042298 transcript:EOY34714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 17-like protein isoform 1 MASAMEPGAGTKSGVHWKHQTTRLPVLTLKLRLSIHPTPTPSLLSKSIINRLLTSCPTYRRMKSLIWQVILVGHSAGGLSLMYAIHRLANKIHMAIYVAAHMLRHGFVSDQDYKDTVYGLEADQPPTSTIIKEQFQRKILYHLSSKEDSTLAAMLLRPGPLRPFRGVHFTEGPESGADSVPRVFIKTMHD >EOY34713 pep chromosome:Theobroma_cacao_20110822:9:41877106:41879020:1 gene:TCM_042298 transcript:EOY34713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl esterase 17-like protein isoform 1 MASAMEPGAGTKSGVHWKHQTTRLPVLTLKLRLSIHPTPTPSLLSKSIINRLLTSCPTYRRMKSLIWQVILVGHSAGGLSLMYAIHRLANKIHMAIYVAAHMLRHGFVSDQDYKDADPDLSKYGDINQTVYGLEADQPPTSTIIKEQFQRKILYHLSSKEDSTLAAMLLRPGPLRPFRGVHFTEGPESGADSVPRVFIKTMHD >EOY33740 pep chromosome:Theobroma_cacao_20110822:9:38863249:38866528:1 gene:TCM_041636 transcript:EOY33740 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif-containing protein, putative MNPLTLVKRLQNINAKEADLGISEEASWHAKYKDSAYVYVGGLPFDLTEGDLLAVFSQYGEIVDVNLVRDKGTGKSRGFAFLAYEDQRSTILAVDNLNGTQILGRIIRVDHVEKYKKKEEEDEKEEQKKREARGVCRAFQRGECTRGAGCKFSHDEQRAANTGWGPQDQSSRWGHEKFEGSKKNEKSFGMQERAVQEGSQPARSNEKASEKSDGREGKRSRRYDDRDVGPTSREDYDHREEKRSTWHNDNEFEPNSRDDRYRREEKRSRRHNDSDFEPRSGEDRYRRDEKRSIRHDDDEYGARLREDRYRRDEKRSKRHGDDKYEPRSNGDHDRREEKKLVRNESESHPREDYDKRGGEKWSAYNRDSSSHQRGEKDDRGHKSDR >EOY32595 pep chromosome:Theobroma_cacao_20110822:9:31827904:31833321:1 gene:TCM_040597 transcript:EOY32595 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIMA-related kinase 7 MEKKNQEMISKMDDYQVIEQIGRGAFGAAFLVHHKAEKNKYVLKKIRLAKQTEKFKRTAHQEMNLIAKLNNPYIVEYKDGWVEKESYVCIVTSYCEGGDMAEMIKKSRGTFLPEKKLCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNEIRLGDFGLAKLLNKEDLTSTVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMAGLISKINRSSISPLPTVYSSTLKQLIKTMLRKNPEHRPTAAELLRHPHLQPYLVQCQNLSPVFLPVKSEQSIKGKPKGSPFSNKYGTGNPKGERASPSKELGIVHQGKDDAFMVHLLANDASNSRIILECTSSAHHKEAQQEFTSECSEVLQEQEPFQLIEEAGREGKIDNESHYRRLAIVDSIGNGALTSYKKAETNNSFEHDKMLRRCLHAGENPDLCTVSAPQKTTLPSRRILPCGNQVRAKEHNFSSSKQVRKDNMQELSRSTSDFSIMSTLTILHDYERKIKHDPQSQQRAEALESLLEICASLLRQERLEELTGVLRPFGEESVSSRETAIWLTKSLTNAKSKIPMDHRK >EOY34029 pep chromosome:Theobroma_cacao_20110822:9:39735561:39737551:-1 gene:TCM_041832 transcript:EOY34029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CPG-binding domain protein 5, putative isoform 2 MSNPVKSSAADLTPDPLLKSGLFIDANGQNRSSKPTNPPNGSSPYGAQPEARSSPLAVAKGKRRVVPPETWLPAGWLVEDRVRTSGATAGTVDKYYVDPSSGRKFRSKKEVLYFIETGITPTKRKKGMETSGTEESTGISADTKQRKSEKKTKPLNFDFTNVPEKVDWLLTNASVDSWTPFLGDEQVPESTRQDWAAAFTFLTASNRRKPMF >EOY34028 pep chromosome:Theobroma_cacao_20110822:9:39735413:39737582:-1 gene:TCM_041832 transcript:EOY34028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CPG-binding domain protein 5, putative isoform 2 MSNPVKSSAADLTPDPLLKSGLFIDANGQNRSSKPTNPPNGSSPYGAQPEARSSPLAVAKGKRRVVPPETWLPAGWLVEDRVRTSGATAGTVDKYYVDPSSGRKFRSKKEVLYFIETGITPTKRKKGMETSGTEEVSTGISADTKQRKSEKKTKPLNFDFTNVPEKVDWLLTNASVDSWTPFLGDEQVPESTRQDWAAAFTFLTATLITRVLLSLIGLVSHSSEAQQELGPS >EOY33250 pep chromosome:Theobroma_cacao_20110822:9:36127430:36129398:-1 gene:TCM_041203 transcript:EOY33250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumenal P17.1 protein MATLSFSSPNPVSSSFSSWSSTIHFFPQLSLTKQEIPTLFRYSSRRRKLFYQPTRLLLHPVLLFSGFDKPLDTQTFLATISVLAAIALSLVLGLKGDPVPCDRCAGNGGTKCVFCENGKMKQETGLVDCRVCKGAGLILCKKCGGSGYSRRL >EOY29493 pep chromosome:Theobroma_cacao_20110822:9:1355233:1356289:1 gene:TCM_037011 transcript:EOY29493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyesterase 17 MHTHEPLKPQSSSSKFQGLNLSAPKMSIIAEAPGYFQVFSDGCVKRFAPEIAPASQESSGGYKSKDVILDPSKPITGRIFLPDIPGSCTSLPVLVYFHGGGFCIGSTTWLGYHHFLGDFSAASQSIVLSIDYRLAPEHRLPIAYDDCYSSLEWLCSQVTSEPWFKLADLSRVFLSGDSAGGNIVHQVAIRAMRNKALHVKIKGLLMIHPYFGSEERTDRERADGAAGDVAMNDMFWRLSIPDWSNRDYFGCNFEKQVVSEAEWREFPAVVVYVAGLDFLKERGVMYAEFLQRKGVKKLKLVEAERESHVFHVFYPESEATRLLQRQMSEFMKDN >EOY32683 pep chromosome:Theobroma_cacao_20110822:9:32828390:32834974:1 gene:TCM_040712 transcript:EOY32683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit A-9, putative MQSKSEGGSRLEPDLHGVPPTAVYSEPWWRNIGYSAISPGVRGGNTSNSSSMECPNGSESNDGQSLSNGGVNEEDDGNKETQTTASSRSVGNGGQEHHNLQHVASTMPAMHDECLTQPPQLELVGHSIACAANPYQDPFYGGMMAAYGHQPLGYPPFVGMPHARMALPIEMAQEPVYVNAKQYQGILRRRQARAKAELEKKVVKVRKPYLHESRHQHAMRRARGSGGRFAKKSDADTSRKGTGSGPALSSQSASSSGSEPLPADSAETWNSSLSQQEARGSQVHDTHHVNGSGHYQNRGGSISSNQASHRPLAIQ >EOY32757 pep chromosome:Theobroma_cacao_20110822:9:33397519:33398758:-1 gene:TCM_040787 transcript:EOY32757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGNDGEGMVRGWFRVSTIWNGIPTETFIPTRGIRQGDPLSPYLFVLCLETLSQLVNEEVSLGNWKPLVVTTRGPYLTHVCFADDLMLFGEASVKQVQTIMRVLDKFCLASGQKVSLEKSRMLVSSNVPLSKARVLSSDAKIPLTKDFGKYLGSPVIHGRVLKTTYTEVILKVKARLEH >EOY31488 pep chromosome:Theobroma_cacao_20110822:9:9092279:9093391:1 gene:TCM_038414 transcript:EOY31488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLIQELVFQKRVRLFKWNVKSPSYQHHVLQGQILFLIKSRLVSTPATSNVKFSHPISYSFPFHLECLSSTKDVEKLAYEAVLSTQQFLEQRNITALANSLSGYLVKNYSFGLDTLSNPNAPKVLIVVEVNKAWVLKGFQDYGIGEVEVGDRFSGEADTTDEEDILLRLRILLGVPVLSVLEPIDISEPLGLTQVPGLDLFEPCDGGALADQGTCAICLEGLSMDSCRKTPCSHVFHGGCIAQWLWRKRSCPLCRSQLA >EOY29517 pep chromosome:Theobroma_cacao_20110822:9:1432021:1433524:-1 gene:TCM_037029 transcript:EOY29517 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MEGKEGTGETDTESGLISDWAELTHECLVNILSRLTFEHRWTGPMLVCKPWLNACKDPTLNSVFDLETRFDSSAESPRWWTPEFERKIDSMLRSVISWSNGNLTKILTRHCSDLSLNFAAQRCPNLQVLSIKSCPHVTDASIAQVAYHCRNLKQLDISYCYEISHESLVLIGRNCPNLTVLKRNLMNWLDPSQHVGIVPNDYLNACPQDGDTEAAAIGKFMPQLEHLEIRFSKLSAKGLGSICEGCLKLEFLDLFGCANLTSRDIVNATSSLKDLKEVKKPHLYIPRSVFHTERYGHWRLYDERFQTDVFRI >EOY30792 pep chromosome:Theobroma_cacao_20110822:9:5793593:5799196:1 gene:TCM_037877 transcript:EOY30792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSSSLSLISSTSSFPSCSNPDSSSTSTSPRPISFKLSKPSPRFSKTHKYPSLKLLGCSSNEFVEDGSAEQFFKNNSIADFMRFKRGSHRGSGELQTAVVSYRKRFPWSILNPFLRVDLVSTIHIADKEYFETLQKELAPYDCVLYEMVASRESLENRRNPAAAKRLKSSRSRGFNILGCIQRQMAWILKLDFQLDCLDYQADNWCHADLDYETFKLLQLEKGENFFTFARDMTLKSTKALVQPASIPEDLDPWRSKLLWASRVLPMPLVGLLIIGGVCADVGSQASDYPELEALSTLDFGAAMKVFLAKRLTSEFTQVTADVEERSVIIGERNRAAIEALRRAIDDGHIKIAILYGGGHMPDLGRRLREEFDLVPSQVQWITAWSITKRDLESSSLPFLKTMAEVSGWPLNRYQTLALLIFSSVLALDLWFWELFCSTAVNWISEVVSEVAQYIDNAQVPIHFPLCCLFKPKRSVMSELIKVPYGS >EOY30673 pep chromosome:Theobroma_cacao_20110822:9:5352085:5362240:-1 gene:TCM_037800 transcript:EOY30673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin-84, putative isoform 1 MASWLKAAEDLFEVVDRRAKLVVSELSEEQSDSQSQGSSAKETKSRTKAQKRLSATKSPKPSDTVREQTSSKVLQSGITPDKDKGTFSSDNEGNPIAKSLVQTSSEQYSSSEKDTARIPSEPLETNVVIRDADQEEISAIVSNAEASLSTSNGELLNENASDVHAEQPSSPLAAKEMEVVSEDYLANGGQNIDFESADVPMKTDQERSQPVVSDSPVNTEAQVKEDDVKVETPVNQMKPQEQKADTPAMKVQDQLDEAQGLLKTTNPTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLIAERELSKSYEARIKQLQQDLSVSKSEVTRVESNMLEALAAKNSEIEALANSLDALKKQAALSEGNLASVQANMESIMRNRELTETRMMQALREELASAERRAEEERAAHNATKMAAMEREVELEHRAVEASTALARIQRVADERTTKAAELEQKVALLEVECATLNQELQDMEARARRGQKKSPDEANQMIQMQAWQEEVERARQGQRDAESKLSSLEVEVQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLETMASEKAAAEFQLEKEIKRLQEAQVEQADNLAAREVAESMGLAIPNLP >EOY30668 pep chromosome:Theobroma_cacao_20110822:9:5351445:5362240:-1 gene:TCM_037800 transcript:EOY30668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin-84, putative isoform 1 MASWLKAAEDLFEVVDRRAKLVVSELSEEQSDSQSQGSSAKETKSRTKAQKRLSATKSPKPSDTVREQTSSKVLQSGITPDKDKGTFSSDNEGNPIAKSLVQTSSEQYSSSEKDTARIPSEPLETNVVIRDADQEEISAIVSNAEASLSTSNGELLNENASDVHAEQPSSPLAAKEMEVVSEDYLANGGQNIDFESADVPMKTDQERSQPVVSDSPVNTEAQVKEDDVKVETPVNQMKPQEQKADTPAMKVQDQLDEAQGLLKTTNPTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLIAERELSKSYEARIKQLQQDLSVSKSEVTRVESNMLEALAAKNSEIEALANSLDALKKQAALSEGNLASVQANMESIMRNRELTETRMMQALREELASAERRAEEERAAHNATKMAAMEREVELEHRAVEASTALARIQRVADERTTKAAELEQKVALLEVECATLNQELQDMEARARRGQKKSPDEANQMIQMQAWQEEVERARQGQRDAESKLSSLEVEVQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLETMASEKAAAEFQLEKEIKRLQEAQVEVERSRVPRRASSSWEEDTEIKALEPLPLHHRHMATASIQLQKAAKLLDSGAVRATRFLWRYPTARIILLFYLVFVHLFLMYLLHHLQAGKCWNLVANTADGNQ >EOY30669 pep chromosome:Theobroma_cacao_20110822:9:5351512:5362199:-1 gene:TCM_037800 transcript:EOY30669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin-84, putative isoform 1 MASWLKAAEDLFEVVDRRAKLVVSELSEEQSDSQSQGSSAKETKSRTKAQKRLSATKSPKPSDTVREQTSSKVLQSGITPDKDKGTFSSDNEGNPIAKSLVQTSSEQYSSSEKDTARIPSEPLETNVVIRDADQEEISAIVSNAEASLSTSNGELLNENASDVHAEQPSSPLAAKEMEVVSEDYLANGGQNIDFESADVPMKTDQERSQPVVSDSPVNTEAQVKEDDVKVETPVNQMKPQEQKADTPAMKVQDQLDEAQGLLKTTNPTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLIAERELSKSYEARIKQLQQDLSVSKSEVTRVESNMLEALAAKNSEIEALANSLDALKKQAALSEGNLASVQANMESIMRNRELTETRMMQALREELASAERRAEEERAAHNATKMAAMEREVELEHRAVEASTALARIQRVADERTTKAAELEQKVALLEVECATLNQELQDMEARARRGQKKSPDEANQMIQMQAWQEEVERARQGQRDAESKLSSLEVEVQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLETMASEKAAAEFQLEKEIKRLQEAQVEVERSRVPRRASSSWEEDTEIKALEPLPLHHRHMATASIQLQKAAKLLDSGAVRATRFLWRYPTARIILLFYLVFVHLFLMYLLHHLQEQADNLAAREVAESMGLAIPNLP >EOY30672 pep chromosome:Theobroma_cacao_20110822:9:5352573:5361958:-1 gene:TCM_037800 transcript:EOY30672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin-84, putative isoform 1 MASWLKAAEDLFEVVDRRAKLVVSELSEEQSDSQSQGSSAKETKSRTKAQKRLSATKSPKPSDTVREQTSSKVLQSGITPDKDKGTFSSDNEGNPIAKSLVQTSSEQYSSSEKDTARIPSEPLETNVVIRDADQEEISAIVSNAEASLSTSNGELLNENASDVHAEQPSSPLAAKEMEVVSEDYLANGGQNIDFESADVPMKTDQERSQPVVSDSPVNTEAQVKEDDVKVETPVNQMKPQEQKADTPAMKVQDQLDEAQGLLKTTNPTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLIAERELSKSYEARIKQLQQDLSVSKSEVTRVESNMLEALAAKNSEIEALANSLDALKKQAALSEGNLASVQANMESIMRNRELTETRMMQALREELASAERRAEEERAAHNATKMAAMEREVELEHRAVEASTALARIQRVADERTTKAAELEQKVALLEVECATLNQELQDMEARARRGQKKSPDEANQMIQMQAWQEEVERARQGQRDAESKLSSLEVEVQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLETMASEKAAAEFQLEKEIKRLQEAQVEVERSRVPRRASSSWEEDTEIKALEPLPLHHRHMATASIQVFPLLYPKTTLPSHMFFLTLVFQLPCAVTEGGKITGFRGCQGHKISLAISNS >EOY30670 pep chromosome:Theobroma_cacao_20110822:9:5351512:5363038:-1 gene:TCM_037800 transcript:EOY30670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin-84, putative isoform 1 MASWLKAAEDLFEVVDRRAKLVVSELSEEQSDSQSQGSSAKETKSRTKAQKRLSATKSPKPSDTVREQTSSKVLQSGITPDKDKGTFSSDNEGNPIAKSLVQTSSEQYSSSEKDTARIPSEPLETNVVIRDADQEEISAIVSNAEASLSTSNGELLNENASDVHAEQPSSPLAAKEMEVVSEDYLANGGQNIDFESADVPMKTDQERSQPVVSDSPVNTEAQVKEDDVKVETPVNQMKPQEQKADTPAMKVQDQLDEAQGLLKTTNPTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLIAERELSKSYEARIKQLQQDLSVSKSEVTRVESNMLEALAAKNSEIEALANSLDALKKQAALSEGNLASVQANMESIMRNRELTETRMMQALREELASAERRAEEERAAHNATKMAAMEREVELEHRAVEASTALARIQRVADERTTKAAELEQKVALLEVECATLNQELQDMEARARRGQKKSPDEANQMIQMQAWQEEVERARQGQRDAESKLSSLEVEVQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLETMASEKAAAEFQLEKEIKRLQEAQVEVERSRVPRRASSSWEEDTEIKALEPLPLHHRHMATASIQLQKAAKLLDSGAVRATRFLWRYPTARIILLFYLVFVHLFLMYLLHHLQEQADNLAAREVAESMGLAIPNLP >EOY30671 pep chromosome:Theobroma_cacao_20110822:9:5352085:5362240:-1 gene:TCM_037800 transcript:EOY30671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin-84, putative isoform 1 MASWLKAAEDLFEVVDRRAKLVVSELSEEQSDSQSQGSSAKETKSRTKAQKRLSATKSPKPSDTVREQTSSKVLQSGITPDKDKGTFSSDNEGNPIAKSLVQTSSEQYSSSEKDTARIPSEPLETNVVIRDADQEEISAIVSNAEASLSTSNGELLNENASDVHAEQPSSPLAAKEMEVVSEDYLANGGQNIDFESADVPMKTDQERSQPVVSDSPVNTEAQVKEDDVKVETPVNQMKPQEQKADTPAMKVQDQLDEAQGLLKTTNPTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLIAERELSKSYEARIKQLQQDLSVSKSEVTRVESNMLEALAAKNSEIEALANSLDALKKQAALSEGNLASVQANMESIMRNRELTETRMMQALREELASAERRAEEERAAHNATKMAAMEREVELEHRAVEASTALARIQRVADERTTKAAELEQKVALLEVECATLNQELQDMEARARRGQKKSPDEANQMIQAWQEEVERARQGQRDAESKLSSLEVEVQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLETMASEKAAAEFQLEKEIKRLQEAQVEVERSRVPRRASSSWEEDTEIKALEPLPLHHRHMATASIQLQKAAKLLDSGAVRATRFLWRYPTARIILLFYLVFVHLFLMYLLHHLQEQADNLAAREVAESMGLAIPNLP >EOY32353 pep chromosome:Theobroma_cacao_20110822:9:27933662:27934736:-1 gene:TCM_040200 transcript:EOY32353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative MDSAHETLTLSFILLLMLLGFASSDVNQDKTECANQLVGLASCLPYVGGQSKTPTIDCCSGLKQVLDKSRKCLCVLIKDRDDPSLGLKINATLAATLPSTCHAPVNMTECISLLHLAPNSQEAKAFEGYEKLTEGHANTPAANGNSTSSASTAAEKSDGGKGKRWVGVEMAFGVSLWIFTLHQSFGV >EOY33258 pep chromosome:Theobroma_cacao_20110822:9:36156210:36160450:1 gene:TCM_041210 transcript:EOY33258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MKKFSYKDVKRATDGFHRIIYSNSRGAAYKAKFEGGEVALVKEARAFDEGIDNFYREVQFLGRLHHRHLLALRGFSTGHKRLLVFDNIENGSLKEHFNDPLRTPLNWKARLQIAVGVAAALEYLLLFSNPPVYHVSISSSNIMFDENFTAKLSDVGLLSSVGTYVEMPHPSCSEECLGQECGNIIFQLGVLILELITGQSSEQGGTDLIQWVQGSRLSSSIHMMIDPDLGNNYDSRELKKLLSVARLCIKSKNNPKFPIPQVFRYLQKKVDIPY >EOY33257 pep chromosome:Theobroma_cacao_20110822:9:36156033:36160480:1 gene:TCM_041210 transcript:EOY33257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 2 MDPVIRKLRFRLLAWLHRSRSGPISFMKKFSYKDVKRATDGFHRIIYSNSRGAAYKAKFEGGEVALVKEARAFDEGIDNFYREVQFLGRLHHRHLLALRGFSTGHKRLLVFDNIENGSLKEHFNDPLRTPLNWKARLQIAVGVAAALEYLLLFSNPPVYHVSISSSNIMFDENFTAKLSDVGLLSSVGTYVEMPHPSCSEECLGQECGNIIFQLGVLILELITGQSSEQGGTDLIQWVQGSRLSSSIHMMIDPDLGNNYDSRELKKLLSVARLCIKSKNNPKFPIPQVFRYLQKKVDIPY >EOY29378 pep chromosome:Theobroma_cacao_20110822:9:984900:989211:1 gene:TCM_036935 transcript:EOY29378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase MASSLLSLASASPSASLSSHGISKGKLNLGNTSLCFNKEKTNPFIKTKSFGRISMVITSNVSRFEGITMAPPDPILGVSEAFKADNHELKLNLGVGAYRTEELQPYVLNVVKKADKLLLERGENREYLPIEGLAAFNKVTAELLFGADNPVIKQQRVATVQGLSGTGSLRLAAALIERYFPGAKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIADIKAAPEGSFILLHGCAHNPTGIDPTPEQWGIIADVIQEKNHIPFFDIAYQGFASGSLDTDAASVRMFVARGMEVIAAQSYSKNLGLYAERIGAINVVCSSPDAASRVKSQLKRIARPMYSNPPVHGARIVANVVGDPALFKEWNVEMEMMAGRIKNVRQKLFDSLSSKDKSGKDWSFVLKQIGMFSFTGLNKAQCDNMTNKWHVYMTKDGRISLAGLSLAKCEYLADAIIDSYHNVG >EOY33421 pep chromosome:Theobroma_cacao_20110822:9:37422583:37430108:1 gene:TCM_041396 transcript:EOY33421 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MPRPKANTAAESVESEGPSEPEQAVESDERVDLDEDNDPEETMEEEVEYEEVEVEEEEEEIEEEVEEEVEEEDQDNENANGADADETKLEDGDEKKKHAELLDRPPHGSEVYIGGIPHDVSPEDLKDFCESVGEVTEVRIMKGKDSSENKGFAFVTFRSVELASKAIDELNNAEFKGRKIKCSTSQSKHRLFIGNIPRSWGEEDLRKVVSEVGPGVTGLELVKDMKNSSNNRGFAFVEYYNNACAEYSRQKMMNPEFRLGDNAPTVSWADPKNADSSAASQVKAVYVKNLPKDVTQDQLKKLFEHHGKITKVVLPPAKPGQERNRIGFVHFAERSCAMKALKNTEKYELDGQVVECSLAKPQADQKTAGSSSSQNSGFLPGYPPHVGYGLVGGAYGALSPGYGVAGLAQPLIYGRGPTPTGMSMMPMLLPDGRIGYVLQQPGTQPQSPPVHQRSSRGGGKSGGSSSRGKHSNDSRRYRPY >EOY33422 pep chromosome:Theobroma_cacao_20110822:9:37424137:37428109:1 gene:TCM_041396 transcript:EOY33422 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MPRPKANTAAESVESEGPSEPEQAVESDERVDLDEDNDPEETMEEEVEYEEVEVEEEEEEIEEEVEEEVEEEDQDNENANGADADETKLEDGDEKKKHAELLDRPPHGSEVYIGGIPHDVSPEDLKDFCESVGEVTEVRIMKGKDSSENKGFAFVTFRSVELASKAIDELNNAEFKGRKIKCSTSQSKHRLFIGNIPRSWGEEDLRKVVSEVGPGVTGLELVKDMKNSSNNRGFAFVEYYNNACAEYSRQKMMNPEFRLGDNAPTVSWADPKNADSSAASQVKAVYVKNLPKDVTQDQLKKLFEHHGKITKVVLPPAKPGQERNRIGFVHFAERSCAMKALKNTEKYELDGDY >EOY30038 pep chromosome:Theobroma_cacao_20110822:9:3169628:3172369:-1 gene:TCM_037386 transcript:EOY30038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATVNSYAHVSAYPFLSSSSPRSSAPNFTAKPKRFSGVRCAVSPPKWRESRRLVSISLLFSHLLLVPNYAIAGSFLDKYVKRKKLDPLEVYVPAVILTQFQIKDLEKTLEVDKPEYAICRFLLRSGPAASLRINIRAVAQYASDAGNGENAFNDVDQCLRALEELDSLLLQASRNNPEASVKSMKAKIGTALDALDSLLQTVPSDVLNQGKEIADAYRAPEDDVKPEELDPEIKQLESLL >EOY33342 pep chromosome:Theobroma_cacao_20110822:9:36795315:36796557:-1 gene:TCM_041299 transcript:EOY33342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRFLAPQEMESLYRKQTEKTAHPNGFSSLPLVPLLIAYLFSKSRTDTDQADHVSGGNADDYDRIDCVL >EOY31699 pep chromosome:Theobroma_cacao_20110822:9:12472399:12474737:1 gene:TCM_038764 transcript:EOY31699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQQKIIVAKGQSTNRPPLFDGSNYPYWSTGMSIYIKAIDYEMWDVITDGPFMPSIVNVVTNELMPKPRSEWTEAETKKVQINFKAINTLHCALTPTEFNKVSSCTTAKQVWEKLRIIHEGTSQVKESKIALLTHSYEMFKMEHGEDITSMFDRFTNITNKLSQLGKLIPEHELVKRLLRSLPKSWKPKVTVIREAKDLNIITLNEICGSLLTHELELKEEEEEDQREAKEKKKSIALKASIIEEELEELSCDDDEELALFKCPLLKDETPKQNKKSKKAMVVAAWSDSDTSSSETDDKKSKERANICLMAQEDETEVELDLKETCSKAQLKKKQPWYLDSGCSRHMTGHEMLFAQLDKRKGGTVSFGDDSKGRIHGIGTVGKNSQTQISHVLLVKGLKHNLLSISQLCDKGFRVCFDSTKCEVIDMSTNKISL >EOY31081 pep chromosome:Theobroma_cacao_20110822:9:6911657:6915033:1 gene:TCM_038089 transcript:EOY31081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L36, putative MKVRASVKKMCEFCRTVKRRGRVYVLCTSNPKHKQRQGISTFASEGALPPTSTETNVKHAIVPSHSMRPGLASLLPKRQEPSLMLGWRANLASLIFKSGS >EOY31735 pep chromosome:Theobroma_cacao_20110822:9:13419250:13420733:-1 gene:TCM_038849 transcript:EOY31735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferring glycosyl groups MRLKNFLLCVTSSIIFLQSINPSVEWPDPTPWPEQFHALLCMTLYSGGHQITDLWYDWPKGRNVNLQQKQLGVFMYDIEWNNGTSFYYTLGTNGTCETVDFGVGIPRPDFLDGANYLGTEVKDGFLCNVWEKVDFIWYYEDVATKRPVRWDFYDGIITHVMTFEVGATLPDSTVQAPEYCFTAQKDV >EOY30239 pep chromosome:Theobroma_cacao_20110822:9:3887817:3890691:1 gene:TCM_037513 transcript:EOY30239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation calcium exchanger 4, putative MEPKRLLLGGKRSSKFRGAFHSICALVLLFLFYNHENVLGKSFSIKPRVGFVDHVRVFHRRIIETNDTNVKVDDLAANNLTASDPKFCSGLFEHNGYPTRCEYLVAHPECTAGGFFNYIKFLYCGCEKFSFLGFAVLALWLVALFYLLGNTAADYFCCSLEKLSSLLKMSPTVAGVTLLPLGNGAPDVFASIAAFVGKDAGEVGINSVLGGAVFVTCVVVGIVSLCITEKRVQIDRNSFVRDIGFFLFSLIWLFLFLTVGEVTVGTALLFVLLYVIYVLAVALNEIARTNVRVLKLGYLTPLLPVKGGTFSNGNEEGDSIYASLLASDSKSDVPCLENSLPHWMWASQVAIYSSESIDSTKSIWGWNDEETLKDQSSFSCSKFLSFLELPLALPRRLTIPIVEEERWSKGYAVASATLAPILLAFLWNIKDDAGRLSKEIIYFIGVTFGGILGVPAYLYTTSDQPPLQFLLPWVVGGFFMSIVWFYIIANELVALLMAFGVIFGINPSILGLTVLAWGNSMGDLMSNVALAMNGGDSVQIAMSGCYAGPMFNTLAGLGISLVLGAWYKKPAPYIVPRDSSLFCTMGFLAIGLLWSFIVLPQNDMRPNKTLGVGLIAIYLTFLVVRVSTSMGVLSVGLG >EOY33550 pep chromosome:Theobroma_cacao_20110822:9:37999685:38001291:1 gene:TCM_041497 transcript:EOY33550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTSKKLNILHFLLSFVLFFTTSTAQHTPTNHCGKIELQTPFLSQNSTISSPLNRMIKCKSQKLYFRTSIGLFPISSIDYTSKTLTVSHPSCSSSQQYVSPALLSAGFPSPPHPNSLLLFNCSHKRHPKSSLIRNCTRLHICGAAFEVQEPEIKVPYSCLLVQDVEKLDTDFHPNDLSCSDYRRVYRRSLSEEDYEGVELGTRISFDIPDHVPDICNECKKPNGNCGVGLKCICHAKECKDKVISAARSLSTGISFLFPLFSIIVLMNFRRI >EOY30891 pep chromosome:Theobroma_cacao_20110822:9:6145089:6160263:1 gene:TCM_037941 transcript:EOY30891 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein isoform 2 MEHHHPATTPPPQEEPEYLARYIVIKHSWRGRYKRILCISNVAIITLDPSTLSVTNSYDVSTDFEAATPVTSRDENSTEFNMNVRTDGKGKFKAIKFSSRYRASILTELHRIRWNRLGPVAEFPVLHLRRRRAEWAPFKLKVTYVGIELIDLKFGDPRWCLDFRDMSSPAIVLLADAYGKKNVDHGGFVLCPLYGRKSKAFQAASGTTNSAIILNLTKTAKSMVGVSLSVDNSQSLTATEYIKQRAKEAVGAEETPCGGWSVTRLRSAAHGTLNVPGLSFNVGPKGGLGEHGDAVSRQLILTKASLVERRPDNYEAVIVRPLSAVSSLVRFAEEPQMFAIEFNDGCPIHVYASTSRDSLLAAICDVLQTEGQCPVPVLPRLTMPGHRIDPPCGRVTLQFGQQRPLADVDGASMHLKHLAASAKDAVAEGGSIPGSRAKLWRRIREFNACISYGGVPPNIEVPEVTLMALITMLPATPNLPPESPPLPPPSPKAAATVMGFVACLRRLLASKSAASHVMSFPAAVGRIMGLLRNGSEGVAAEAAGLVAALIGGGPGDTNLLTDSKGEQHATIMHTKSVLFSQHGYVIILVNRLKPMSVSPLLSMAVVEVLEAMICDPHGETTQYTVFVELLRQVAGLRRRLFALFGHPAESVRETVAVIMRTIAEEDAIAAESMRDAALRDGALLRHLLHAFFLPAGERREVSQQLVALWADSYQPALDLLSRVLPPGLVAYLHTRSDGVPEDSIQEGSLTSKRQRRLLQQRRGRTGRGITSQEQSLPSVNSYEAGDAVRQINTGIHRVPDNNHKSTVDPNSSQASTQSSAAHTVQSVTSDAYSRGISQNGHSITAASTDAPSANVPGASEANASNSVDSDGNVVGSNNTGLPAPAQVVVENTPVGSGRLLCNWPEFWRAFSLDHNRADLIWNERTRQELREALQAEVHKLDVEKERTEDIVPGGATVESMSDQDSVPRISWNYSEFSVSYPSLSKEVCVGQYYLRLLLESGSSGRAQDFPLRDPVAFFRALYHRFLCDADIGLMVDGAVPDEMGSSDDWCDMGRLDGFGGGGGSSVRELCARAMAIVYEQHCNTIGPFEGTAHITVLLDRTDDRALRHRLLLLLKVLMKILANVESCVLVGGCVLAVDLLTVVHEASERTAIPLQSNLIAATAFMEPLKEWMYTEKDGAQVGPLEKDAIRRLWSKKSIDWTTRCWASGMLDWKRLRDIRELRWALSVRVPVLTPTQVGEAALSVLHSMVSAHSDLDDAGEIVTPTPRVKRILSSPRCLPHIAQAMLSGEPSIVEAAAALLKAVVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAQLFAVTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGHLAFAAAMVSDSDTPEIIWTHKMRAENLIRQVLQHLGDFPQKLSQHCHSLYEYAPMPPVTYPELRDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRKPMDLSEEEACKILEITLEEVSSDDADQKYSHEVTGEISSISKQIENIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAVQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGDVLEPFKYAGYPMLLNAVTVDKEDNNFLSSDRAPLLVAASELVWLTCASSSLNGEELVRDGGIQLLATLLSRCMCVVQPTTPANEPSSIIVTNVMRTFSVLSQFETARIEILEFPGLVEDIVHCTELELVPAAVDTALQTIAHVSVSFDLQDALIKAGVLWYLLPLLLQYDSTAEESDTAESHGVGASVQIAKNMHAVQASQALSRLSGLCSDESSTPYNAPVVNALRALLTPKLASMLRDRVPKDLLSKLNTNLESPEIIWNSSTRAELLKFVDQQRASQGPDGSYDLKDSHIFAYEALSKELFVGNVYLRVYNDQPDFEISEPEAFCVALIDFIASLVHNQCSMDSDVKENLNTSNLSLKFEHRSDTTGASVDEQQVPDDSPAMSDKKVKDKEENVLIKNLQFGLTSLQNLLTTYPNLASIFSTKEKLLPLFECFSVPVASESNIPQLCLNVLSLLTTYAPCLEAMVADGSSLLLLLQMLHSAPACREGALHVLYALASTPELAWAAAKHGGVVYILELLLPLQEEIPLQQRAAAASLLGKLVSQPMHGPRVAITLARFLPDGLVSVIRDGPGEAVVSALEQNTETPELVWTPAMAASLSAQIATMVSDLYREQMKGRIIDWDVPEQASAQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYESQSVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAYEGRRETMSSGEMKDGNNMADRTYESDEQPAQTPQERVRLSCLRVLHQLAASTICAEAMAATSVGTPQVVPLLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVEVLLGLLDWRAGGRNGLCAQMKWNESEASIGRVLAIEVLHAFATEGAHCIKVRDILNASDVWSAYKDQKHDLFLPSNAQSAAAGVAGLIENSSSRLTYALTAPRPTTQVRISAPTVSDSNGTRDELR >EOY30892 pep chromosome:Theobroma_cacao_20110822:9:6147887:6159722:1 gene:TCM_037941 transcript:EOY30892 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein isoform 2 MSSLVRFAEEPQMFAIEFNDGCPIHVYASTSRDSLLAAICDVLQTEGQCPVPVLPRLTMPGHRIDPPCGRVTLQFGQQRPLADVDGASMHLKHLAASAKDAVAEGGSIPGSRAKLWRRIREFNACISYGGVPPNIEVPEVTLMALITMLPATPNLPPESPPLPPPSPKAAATVMGFVACLRRLLASKSAASHVMSFPAAVGRIMGLLRNGSEGVAAEAAGLVAALIGGGPGDTNLLTDSKGEQHATIMHTKSVLFSQHGYVIILVNRLKPMSVSPLLSMAVVEVLEAMICDPHGETTQYTVFVELLRQVAGLRRRLFALFGHPAESVRETVAVIMRTIAEEDAIAAESMRDAALRDGALLRHLLHAFFLPAGERREVSQQLVALWADSYQPALDLLSRVLPPGLVAYLHTRSDGVPEDSIQEGSLTSKRQRRLLQQRRGRTGRGITSQEQSLPSVNSYEAGDAVRQINTGIHRVPDNNHKSTVDPNSSQASTQSSAAHTVQSVTSDAYSRGISQNGHSITAASTDAPSANVPGASEANASNSVDSDGNVVGSNNTGLPAPAQVVVENTPVGSGRLLCNWPEFWRAFSLDHNRADLIWNERTRQELREALQAEVHKLDVEKERTEDIVPGGATVESMSDQDSVPRISWNYSEFSVSYPSLSKEVCVGQYYLRLLLESGSSGRAQDFPLRDPVAFFRALYHRFLCDADIGLMVDGAVPDEMGSSDDWCDMGRLDGFGGGGGSSVRELCARAMAIVYEQHCNTIGPFEGTAHITVLLDRTDDRALRHRLLLLLKVLMKILANVESCVLVGGCVLAVDLLTVVHEASERTAIPLQSNLIAATAFMEPLKEWMYTEKDGAQVGPLEKDAIRRLWSKKSIDWTTRCWASGMLDWKRLRDIRELRWALSVRVPVLTPTQVGEAALSVLHSMVSAHSDLDDAGEIVTPTPRVKRILSSPRCLPHIAQAMLSGEPSIVEAAAALLKAVVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAQLFAVTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGHLAFAAAMVSDSDTPEIIWTHKMRAENLIRQVLQHLGDFPQKLSQHCHSLYEYAPMPPVTYPELRDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRKPMDLSEEEACKILEITLEEVSSDDADQKYSHEVTGEISSISKQIENIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAVQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGDVLEPFKYAGYPMLLNAVTVDKEDNNFLSSDRAPLLVAASELVWLTCASSSLNGEELVRDGGIQLLATLLSRCMCVVQPTTPANEPSSIIVTNVMRTFSVLSQFETARIEILEFPGLVEDIVHCTELELVPAAVDTALQTIAHVSVSFDLQDALIKAGVLWYLLPLLLQYDSTAEESDTAESHGVGASVQIAKNMHAVQASQALSRLSGLCSDESSTPYNAPVVNALRALLTPKLASMLRDRVPKDLLSKLNTNLESPEIIWNSSTRAELLKFVDQQRASQGPDGSYDLKDSHIFAYEALSKELFVGNVYLRVYNDQPDFEISEPEAFCVALIDFIASLVHNQCSMDSDVKENLNTSNLSLKFEHRSDTTGASVDEQQVPDDSPAMSDKKVKDKEENVLIKNLQFGLTSLQNLLTTYPNLASIFSTKEKLLPLFECFSVPVASESNIPQLCLNVLSLLTTYAPCLEAMVADGSSLLLLLQMLHSAPACREGALHVLYALASTPELAWAAAKHGGVVYILELLLPLQEEIPLQQRAAAASLLGKLVSQPMHGPRVAITLARFLPDGLVSVIRDGPGEAVVSALEQNTETPELVWTPAMAASLSAQIATMVSDLYREQMKGRIIDWDVPEQASAQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYESQSVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAYEGRRETMSSGEMKDGNNMADRTYESDEQPAQTPQERVRLSCLRVLHQLAASTICAEAMAATSVGTPQVVPLLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVEVLLGLLDWRAGGRNGLCAQMKWNESEASIGRVLAIEVLHAFATEGAHCIKVRDILNASDVWSAYKDQKHDLFLPSNAQSAAAGVAGLIENSSSRLTYALTAPRPTTQVRISAPTV >EOY32083 pep chromosome:Theobroma_cacao_20110822:9:20454465:20457049:-1 gene:TCM_039555 transcript:EOY32083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVIRSSRINLKSNRNFRYLGAKWHKISPSSTPWPAKPARRERKQKQNPRERKSKKKCEFSRKLSEKGGESSNKGKKIASEDQ >EOY29486 pep chromosome:Theobroma_cacao_20110822:9:1321587:1326637:-1 gene:TCM_037005 transcript:EOY29486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D alpha 1 MATHLLHGKLTVRIYGIDTLKYPSGLSLLSKATGPTQLAKLFIAQLKRIMLCQSEMIGSKLYATVDLDKARVARTRMISKKGSEPIWNESFRIYCGHLISDIIFTVKYANPIGATLIGRAHIPAEDVIQGAAVDRWVDILDENRNPIPGGSKIHVQLQFFNVTQDRNWSQGISNPGFEGVPYTFFSQREGCKVTLYQDAHVQDDFSSPTMSPYQPHRCWEDIFDAINNAKHLIYITGWSVYTEITLIRDPRRQKPEGNLTLGQLLKKKADEGVVVLMLVWDDRTSVKELKRDGLMATHDQETADYFKDTNVHCVLCPRNRDEGESTIQGFEIASMFTHHQKTVVVDSELPRERSGKRAIVSFVGGIDLCDGRYDTQDHPLFKTLGTTHREDFHQPNFAGSSIEKGGPREPWHDIHCKLEGPVAWDVLYNFEQRWQKQGRTDFLIPKSKLDEITIQPSPVTSSTDPETWNVQLFRSIDDGAAAGFPEAPDAAAKLGLVSGKDRTMDRSIQDAYINAIRRAKNFIYIENQYFLGSSFGWKSKDIKVEDISALHLVPKELSLKIVSKIEAGERFTVYIVIPMWPEGKPESESVQAILDWQRRTMEMMYSDVTEALQRKGLDADPREYLTFFCLGNKETKKAGEYVPTEQPDPNSDYARAQQARRFMIYVHSKMMIVDDEYIIIGSANINQRSMDGGRDSEIAMGAFQPHHLDTPFRRAGGQIYGFRMALWHEHLGHIESGFQFPESLQCVRAINSIADKLWGMYASDSPEQDLPGHLLRYPIQISSTGGITAMPNMEFFPDTKAPVLATKARVPATKAEYQLTILTT >EOY31793 pep chromosome:Theobroma_cacao_20110822:9:14037182:14039816:-1 gene:TCM_038921 transcript:EOY31793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglycosylase 6, putative MKFMVFLVLFPWMVAFASNFSQDFDLTWGLDRVRILNNGELLTLSLDKDSGSACQSKNEYLFGKISMQLKLVPGNSAGTVTSYYVSTSKGSTWDEIDFEFVGNLSGDPSTVHTNVITGGKGDREQQFHLWFDPSVDFHTYSILWNPHVIIFYVDGTPIREFKNVDSTKVPFPKSRAMRIHSSIWNADDWATRGGLVKTDWTKAPFTSFLQELQHTSLHLALRGRGLSLHFIFVAGKRAGCTKPGKFAVGAEALHGVTFWHWLWGMNVELDKGVGDAASWEASCLAAALRASRGMTEPRRLYHWFFFS >EOY33968 pep chromosome:Theobroma_cacao_20110822:9:39512095:39514666:1 gene:TCM_041789 transcript:EOY33968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat superfamily protein, putative MTSVSKMYVNKSFPSSFPWSVKDQTVLQICSFPVTSVSSEQNLVKNECLVFAQMPKRISVSTHYHETGMGEKGDLQRNVLDSSHLISIGLDEDEFVGTSLIDMYAKCGDMDSAVVLFNQMPRLDVASCNCLISGYASCGLFDEAFSFFMKFDSFGNKPNPYTYSTMLSICGTLSVIEEGKQLHAQVVKMQHLSETAVSNVLLTMYSKCGAMEEAESLFNRLPQRNLISWTAIINGLYKHEDFEKAMMLFCLMRENGIEPNEYTFTIALACCRSVKNLDNGRLLHALVIKRGMALGEFVGTAIIDMYSELGQMDDAEKHFKGMGTLASKVSWNALTKGLVHNEKAHDALDAFSEMVRKDTACDEFTFSIILKACASLPSFTSCQQIHARVVKANFDTNMHVGSSLIEAYTKCGSVEDAERVFSQISVPDVISWNSVIKAYSQNSNPRRAISLFRGMIDKGFRPTGSTFLAVLSAYSHSGKIQDGQEIFQSMVREFGILPEEAHYSCMVDLLGRSGQLEKALDFINNLPIKPTASIWTPLLAACRCHNNLQMAEFVAKHILALDQNAATVYVTLSNMYAEARQLVDAENQRKLMKLKEVTKEPGCSWIEVKNKIHKFFSLDRTHPESAKIYNKLNQVMIQIKDNASANANPLYPEEDSCLYHSEKLAVCFGLISLPAGKPVRVFKNLRVCTDCHMFMKFASMITERVIVLSDNYRFHHFNKGCCSCRDYW >EOY31834 pep chromosome:Theobroma_cacao_20110822:9:16058633:16063297:1 gene:TCM_039113 transcript:EOY31834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAYLRSLRISSINEDEFLQLKSLSSPPSLLQNLRLHGRLSTLHDWIFNLENLVRVGLQWTRISYHSYKILGALPKLLYPYLYKGYDGGELHLEEGHFQQLKYLGLLALNGLNRLVIDKGALYNTPYFDMVTNKNLVDAN >EOY30922 pep chromosome:Theobroma_cacao_20110822:9:6276159:6279723:-1 gene:TCM_037964 transcript:EOY30922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MASFPFTTPQCSHFRSTKTIKSLSSNEPIHFTEFNGTTRNHRTLSKSYFHSISSLCKDGQIQQAVDLLTEMDSKNFPVGPEIYGEILQGCVYERDLFTGQQIHAQVLKNGAFFARNEYIETKLVIFYAKCGAFDVANNLFSRLRVTNVFSWAAIIGLKCRVGLNEEALMAFSEMQENGFFPDNFVVPNALKACGALLWLGYGKGVHGYVAKVGFDGCVFVASSLIDMYGKCGALEDARKVFDGMVERNVIAWNSMIVGYMQNGRNEEAIGVFYDMRMEGVEPTQVSISSFLSASANLGAIDEGKQGHAIAVVGGLELDNILGSSVINFYSKLGLIEDAELVFVRMLVKDVVTWNLMISSYVRCGLIEKALNMCHLMRLENLRFDCVTLSSILTAAANSSSIEIGKEGHCYCIRNNLQSDVVVSSSIVDMYAKCGRIDCARQVFSSTTNKDVILWNTLLASYADVGHSGEALKLFYQMQLQGVPPNVTSWNSVILGFIRNHQLNEAKELFLQMQSLDVHPNLITWTTLITGLAHNGFQDESVQIFQKMQESGIKPNTISISSVLSACTNVTSLQHGRAIHGYAIRHDLDSQISVSTALVGMYAKCGYLSQAKRVFDNTLSKELPVYNAMISCYALHGQAGEALVVYKHLEEAGIEPDGITFTSVLSACSHTGLINEGLEIFFYMVSKHHFRPSMEHYGCIVSLLSRSGNLDEAIRLIRAMPYEPDAHIIGSLLAACREHNEIELGEHLSKYLLELEPDNSGNYVAISNAYAASGRWDEVIKIRDLMKEKGLKKSPGCSWIQIGEKLHPFLAGDGSHPKTMQIHATLALLGIEMHFSA >EOY30923 pep chromosome:Theobroma_cacao_20110822:9:6276292:6279641:-1 gene:TCM_037964 transcript:EOY30923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MASFPFTTPQCSHFRSTKTIKSLSSNEPIHFTEFNGTTRNHRTLSKSYFHSISSLCKDGQIQQAVDLLTEMDSKNFPVGPEIYGEILQGCVYERDLFTGLKCRVGLNEEALMAFSEMQENGFFPDNFVVPNALKACGALLWLGYGKGVHGYVAKVGFDGCVFVASSLIDMYGKCGALEDARKVFDGMVERNVIAWNSMIVGYMQNGRNEEAIGVFYDMRMEGVEPTQVSISSFLSASANLGAIDEGKQGHAIAVVGGLELDNILGSSVINFYSKLGLIEDAELVFVRMLVKDVVTWNLMISSYVRCGLIEKALNMCHLMRLENLRFDCVTLSSILTAAANSSSIEIGKEGHCYCIRNNLQSDVVVSSSIVDMYAKCGRIDCARQVFSSTTNKDVILWNTLLASYADVGHSGEALKLFYQMQLQGVPPNVTSWNSVILGFIRNHQLNEAKELFLQMQSLDVHPNLITWTTLITGLAHNGFQDESVQIFQKMQESGIKPNTISISSVLSACTNVTSLQHGRAIHGYAIRHDLDSQISVSTALVGMYAKCGYLSQAKRVFDNTLSKELPVYNAMISCYALHGQAGEALVVYKHLEEAGIEPDGITFTSVLSACSHTGLINEGLEIFFYMVSKHHFRPSMEHYGCIVSLLSRSGNLDEAIRLIRAMPYEPDAHIIGSLLAACREHNEIELGEHLSKYLLELEPDNSGNYVAISNAYAASGRWDEVIKIRDLMKEKGLKKSPGCSWIQIGEKLHPFLAGDGSHPKTMQIHATLALLGIEMHFSA >EOY32030 pep chromosome:Theobroma_cacao_20110822:9:19247128:19255285:-1 gene:TCM_039439 transcript:EOY32030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAEESEAPSSTSQPSSSPDSGKKSQVPGYSGLAAFQNGDLQMFPVMYPAIIPGLTSLQNQEQTNRGAGIYAVPVLPFMGHIAGLPSNTLIPLTYNIPTRPAAEAGAAVDEQGQGAQQPQRQQQQGGPQRQVVVRRFQIAFQLDLLLILKLAAVIFLFNQDGSRQRLAVLVFFALLVYLYQTGALTPLVRWLSQGMHRAAAPPHLPRPAARAENVPAAGRQGNDNAALAEGQEGTENENQPADDGNQVVENENENAADPGLGNGGNHWWGIVKEIQMIVFGFITSLLPGFNNID >EOY32208 pep chromosome:Theobroma_cacao_20110822:9:23337338:23339768:1 gene:TCM_039817 transcript:EOY32208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone binding,ATPase activators MEEVSRAQPRKDSRSELGSEKIVGEKSIQMENGGVEKQQGASYTYWVREAKEDAAPLPLPKKLTPQDILCNQSHPATLGSVWNRAGTWEEKNLNNWATQRIKELLKSVVSLDFSCGKAEIADVTNCAGDAFLVTVRNKKRVGYTYELTLKIKGEWLLRDERKTVKGHIDIPEFSFGELDDLQMEVQLSEEKDLLQQDKLQIIQDLKLFLQPVREKLLQFEQELKDR >EOY29537 pep chromosome:Theobroma_cacao_20110822:9:1487315:1492501:1 gene:TCM_037043 transcript:EOY29537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide gated channel 5 isoform 1 GLKKCGFNIEGFNRSGHANSTTSRSFKRGIRKGSEGLKSIGRSLGFGVSRAVFPEDLKASEKRIFDPQDKFLLLCNKLFFVSCILAVSVDPLFFYLPVINNSENCLMMDKKLAVTATTLRTIIDAFYLMRMALQFRTAYIAPSSRVFGRGELVIDPAQIAKRYLQRYFIIDFLAVLPLPQIVGAFWYLLAVERNDTCWRRACRDSGSDKCNKDFLYCGNQQMEGYSTWAGIRSSVLSDKCPADDNNDNPPFDFGIFTNALSSGIVSSTNFFSKYCYCLWWGLQNLSTLGQGLQTSTYPGEVIFSIALAIFGLILFALLIGNMQTYLQSLTIRLEEMRIKRRDSEQWMHHRMLPQDLRERVRRYDQYKWLETRGVDEENLVQSLPKDLRRDIKRHLCLALVRRVPLFESMDERLLDAICERLKPCLFTESTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGDFCGEELLTWALDPKSGANLPSSTRTVKALTEVEAFALIAEELKFVASQFRRLHSRQVQHTFRFHSQQWRTWAACFIQAAWRRYSKRKNMELRRKEEEEAEGMDGTRNNSGGGSYSLGATFLASKFAANALRGIHRNRNAKSAKELVKLQKPPEPDFTAEDAD >EOY29536 pep chromosome:Theobroma_cacao_20110822:9:1485664:1492406:1 gene:TCM_037043 transcript:EOY29536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide gated channel 5 isoform 1 MFDCGYKAQYMSGQREKFVRLDDLDSRLSSPSDAGLKKCGFNIEGFNRSGHANSTTSRSFKRGIRKGSEGLKSIGRSLGFGVSRAVFPEDLKASEKRIFDPQDKFLLLCNKLFFVSCILAVSVDPLFFYLPVINNSENCLMMDKKLAVTATTLRTIIDAFYLMRMALQFRTAYIAPSSRVFGRGELVIDPAQIAKRYLQRYFIIDFLAVLPLPQIIVWRFLHSSNGSDVLATKQALFFVVLLQYIPRFLRVVPLTSEMKRTTGVFAETAWAGAAYYLLLYMLCSHIVGAFWYLLAVERNDTCWRRACRDSGSDKCNKDFLYCGNQQMEGYSTWAGIRSSVLSDKCPADDNNDNPPFDFGIFTNALSSGIVSSTNFFSKYCYCLWWGLQNLSTLGQGLQTSTYPGEVIFSIALAIFGLILFALLIGNMQTYLQSLTIRLEEMRIKRRDSEQWMHHRMLPQDLRERVRRYDQYKWLETRGVDEENLVQSLPKDLRRDIKRHLCLALVRRVPLFESMDERLLDAICERLKPCLFTESTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGDFCGEELLTWALDPKSGANLPSSTRTVKALTEVEAFALIAEELKFVASQFRRLHSRQVQHTFRFHSQQWRTWAACFIQAAWRRYSKRKNMELRRKEEEEAEGMDGTRNNSGGGSYSLGATFLASKFAANALRGIHRNRNAKSAKELVKLQKPPEPDFTAEDAD >EOY31097 pep chromosome:Theobroma_cacao_20110822:9:7004429:7010581:1 gene:TCM_038104 transcript:EOY31097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dedicator of cytokinesis protein 6, putative isoform 2 GTTERGAGGKLRRQPPRRPPATPYTRPQQNQFLRSRLLSKLVDPACRLITSGATRIFPSLFSKPLTNDSLPPPEPQTDVNLDGDIQERCNGEDRTCSSIFEVSKTTGTGGTTDGSKAGSDFAEHRNRDKGEVTDDGFSEIEKLMKGKTFSRDEINRLIEIINSRAVDVPQVDQESKDLTLSAGGDKGAIVAQNLRRSTEEKQDDLSKAVLGLATPIPKPTFAHVQDEGNGFLKSSFSPLPQSRTPVYGQLRSNIVEDGHRSVGPIRRNRNKGMQTPSRVSVYSHSLLNGPSPVENSNVSTKGLFPSSQKTLEPGGTSSSSVFQSVDSKSGSFEMGIPPVHPHSSQMARTILEHLERNLATPKEKSDELRIATSWNRSQSSDSNAGISKGHNSLPYLGLDSSKSKDQIINRSHAQWNEDRGNSFCVASPESTIEAANVNKTTSASGLKVGSTVTMFGNIAGSSLDFGKTQDSQIKTTHKDLLKVADAAVSEGLQKPLSNSFGNKPVLASISVGKPEQRWMCTSDNSFGFTFPVSTSSGVCSEPPTPSIMPSLSGSSLHQPKEGHTVPSYSFGSSRSTPALVFSFPSTSSAPNHVDASDIEFNFRSDRSSRISFGSIGSHTICY >EOY31095 pep chromosome:Theobroma_cacao_20110822:9:7004001:7010941:1 gene:TCM_038104 transcript:EOY31095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dedicator of cytokinesis protein 6, putative isoform 2 MCVLRRRERDRDREETQMERTTETTPSFSMQDPGTTERGAGGKLRRQPPRRPPATPYTRPQQNQFLRSRLLSKLVDPACRLITSGATRIFPSLFSKPLTNDSLPPPEPQTDVNLDGDIQERCNGEDRTCSSIFEVSKTTGTGGTTDGSKAGSDFAEHRNRDKGEVTDDGFSEIEKLMKGKTFSRDEINRLIEIINSRAVDVPQVDQESKDLTLSAGGDKGAIVAQNLRRSTEEKQDDLSKAVLGLATPIPKPTLRDEIGPSPIEIAKAYMGNRTSELNLGSKSIISNDERPSMLGDEFASEPLVSLPSPKPYTCWPGSMVQDQRGYLSPQIQRGRFGLHNFPRTPYSRTIYSKSKSKFAHVQDEGNGFLKSSFSPLPQSRTPVYGQLRSNIVEDGHRSVGPIRRNRNKGMQTPSRVSVYSHSLLNGPSPVENSNVSTKGLFPSSQKTLEPGGTSSSSVFQSVDSKSGSFEMGIPPVHPHSSQMARTILEHLERNLATPKEKSDELRIATSWNRSQSSDSNAGISKGHNSLPYLGLDSSKSKDQIINRSHAQWNEDRGNSFCVASPESTIEAANVNKTTSASGLKVGSTVTMFGNIAGSSLDFGKTQDSQIKTTHKDLLKVADAAVSEGLQKPLSNSFGNKPVLASISVGKPEQRWMCTSDNSFGFTFPVSTSSGVCSEPPTPSIMPSLSGSSLHQPKEGHTVPSYSFGSSRSTPALVFSFPSTSSAPNHVDASDIEFNFRSDRSSRISFGSIGSHTICY >EOY31096 pep chromosome:Theobroma_cacao_20110822:9:7004347:7010460:1 gene:TCM_038104 transcript:EOY31096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dedicator of cytokinesis protein 6, putative isoform 2 MKGKTFSRDEINRLIEIINSRAVDVPQVDQESKDLTLSAGGDKGAIVAQNLRRSTEEKQDDLSKAVLGLATPIPKPTLRDEIGPSPIEIAKAYMGNRTSELNLGSKSIISNDERPSMLGDEFASEPLVSLPSPKPYTCWPGSMVQDQRGYLSPQIQRGRFGLHNFPRTPYSRTIYSKSKSKFAHVQDEGNGFLKSSFSPLPQSRTPVYGQLRSNIVEDGHRSVGPIRRNRNKGMQTPSRVSVYSHSLLNGPSPVENSNVSTKGLFPSSQKTLEPGGTSSSSVFQSVDSKSGSFEMGIPPVHPHSSQMARTILEHLERNLATPKEKSDELRIATSWNRSQSSDSNAGISKGHNSLPYLGLDSSKSKDQIINRSHAQWNEDRGNSFCVASPESTIEAANVNKTTSASGLKVGSTVTMFGNIAGSSLDFGKTQDSQIKTTHKDLLKVADAAVSEGLQKPLSNSFGNKPVLASISVGKPEQRWMCTSDNSFGFTFPVSTSSGVCSEPPTPSIMPSLSGSSLHQPKEGHTVPSYSFGSSRSTPALVFSFPSTSSAPNHVDASDIEFNFRSDRSSRISFGSIGSHTICY >EOY31904 pep chromosome:Theobroma_cacao_20110822:9:17473287:17479594:1 gene:TCM_039249 transcript:EOY31904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAFIQVNDFEVRRIFKDGPLKLTKEISEWDVSDFDMLQLNAKAMHIILSILEDREYNQVAMCNNAQEIWET >EOY33482 pep chromosome:Theobroma_cacao_20110822:9:37748654:37754431:1 gene:TCM_041453 transcript:EOY33482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jojoba acyl CoA reductase-related male sterility protein MELGSALQFLDSKSILVTGATGFLAKIFVEKILRVQPNVKKFYLLLRAADHKSAIHRLHNEIIGKDLFKVLKEKYGKNFSSFISEKITLIPGDISHEDLGVEDSNLVQEMCREVDVVVNLAATTNFDERYDVALGLNTLGAKFVLNFAKKCVKLKVLVHVSTAYVSGERSGLILENSYNMGETLNGVSGLDINIEKKVVEEKLDELRSQGASEKEITRAMKDLGIERARMYGWPNAYVFTKAMGEMLVGYMKGNMPTVIIRPTIITSTYKEPFPGWAEGVRTIDSLAVGYAKGKLTFFLGDLESIVDVIPADMVVNAMIVAMVAHASCQPSETIYQVGSSVRNPMRYYNLQDYGFRYFSKKPWINKDGKPVIVGKVKVMNSMDSFHRYMAIRYLLLLKGLELANTAFCQFFQGVHSDLNRKINFVMRLVDIYRPYLFFKAIFDDINTEKLRMAARSSMAEKDMFYFDPKCLDWDDYFMNIHIPGVVKYICK >EOY31028 pep chromosome:Theobroma_cacao_20110822:9:6710706:6712131:-1 gene:TCM_038053 transcript:EOY31028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRGNVGGGQKRWNAKGLATCVGKEISLEVVTCRDGIGCYYSQLLGLEYGPICSSALSCGEWMKKADHGFRSSLHFRRLSSLLFLT >EOY30864 pep chromosome:Theobroma_cacao_20110822:9:6047792:6049978:1 gene:TCM_037923 transcript:EOY30864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT5G19590) TAIR;Acc:AT5G19590] MKNSLFLFSLLLFIILPFSSQTQTPNIPKPPLTQAHTELTTYGFPVGLLPASVQKYTLNQSSGHFAVELGGTCKITLPPDNYLATYSKRITGKIDQGKIAELDGIRVRALFKWWSITGIRSSGDNLVFEVGMVTAKYPAKNFDESPFCEGQHSSS >EOY33293 pep chromosome:Theobroma_cacao_20110822:9:36381871:36388366:-1 gene:TCM_041242 transcript:EOY33293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLLDVYPQGYFYAGLLHSIMIRQITERQSMDHELWFAIGKSKARLSKQEFCLITVLKSGPMPDVFRRPYEFWAMKAILALRKIVTPSGPKDNVHPRMCKWNCNQKPKNFYKTIQKLESFDQLWALEKLEPTTDEALREYFVDLDVLLSEGNEYVPIWHMEDWSDWGLGARQKRRSLKEKRASGGTKRMRTVVALVDELMDEGDDHGQGSEQPLDHGPIAPEPPTDLSQMQSGNNPSYAKVTTGLEAQIGPAPPQIGNQPPLTQSRTVNDGAVTTRQLRWIMRKHEKDMLELKASIQSLSVAMQTIEDRIVGRILDGLKSQVRYSIFACILMVYI >EOY34358 pep chromosome:Theobroma_cacao_20110822:9:40765027:40765818:-1 gene:TCM_042052 transcript:EOY34358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISILIKAIGKHPKFLTQTPIAAIASTHSNKQQKGKAMSPFASCLLLLLSSLALVSLARAQDRAPHGIAYENPMAFSPSAYEFFHPKTREPDTQNPCAASNCSPLPMAAQVDTAKALEESKVSTQQISGHRLGAGGIAGVVFGLAFAVLLAMGAYYVLTTRRANANRANSVQPDA >EOY29822 pep chromosome:Theobroma_cacao_20110822:9:2471276:2472023:1 gene:TCM_037237 transcript:EOY29822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin MSNDQNKPMTQKLYESAPSSRQAAKFLTATTLGATLLFLSGLTLTGTVMALIMATPLMVIFSPILVPAGVVIFLVITGFLFSGGCGVAAITALSWIYNYVRGKHPPGADQLDYARNTLARTARDMTEKAKEYGQYVQHKAQEVAQGS >EOY32411 pep chromosome:Theobroma_cacao_20110822:9:28913706:28941856:1 gene:TCM_040316 transcript:EOY32411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIEKFELHFVNWKDNGGLGFINLYIKNKSLLNKWLWRFDNEQDSYWRKIIVEMNGLDSNYLLPTCHNLRNFFKIWRNILSPLFSSGCMSNLVRESFGLAVRNGSYIKFWHDNWVDE >EOY34654 pep chromosome:Theobroma_cacao_20110822:9:41696914:41697709:1 gene:TCM_042254 transcript:EOY34654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPTRGAFFLLVNTTNLSLYISTAIIGACTQEQSLPFPYLLTTAFSCLIPGPSPDALDLQMAQARAVTSPIVLRNSDPLHVGASQVKMQQGNPLCGP >EOY30969 pep chromosome:Theobroma_cacao_20110822:9:6507813:6513563:1 gene:TCM_038006 transcript:EOY30969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase MEDKKANILAVTIIISLIIFIIVARVSLKLSRAFFLIAGADIAVILAVFVCLVIRGRYHRRRKLLEKQLVSEGRELRIEYSFLRKVAGVPIKFRHKELEDATDNFRTLLGQGASASVFKGILSDGTSVAVKRIEGEEHGEKEFKSEVAAIASVQHVNLVRLMGYSRLPGGPRFLVYEFIPNGSLDCWIFSRRETRSRPGGCLSWDLRYRVAIDVAKALSYLHHDCRSRILHLDVKPENILLDENFRAIVADFGLSKLIGKDESRVITTIRGTRGYLAPEWLLERGVSEKSDIYSYGMVLLEMIGGRRNVSVIENGTDRSQRKWQYFPKIVRDKLREGNLMEAVDQRLVEAGGIDERQVKRMVYVALWCIQEQAKLRPSMAQVVEMLEGRVPVDEPPETQMLVVDLLSIDEEDSSCHDRPRIGVMGSHVDCNLPSSSSSSFAMSVLSGR >EOY32846 pep chromosome:Theobroma_cacao_20110822:9:34053539:34060042:-1 gene:TCM_040868 transcript:EOY32846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase superfamily protein isoform 1 MSSLEEPLGFDKLPSMSTIDRIQRFSAGACRPRGEDMGMGTCWIEGRSCSTSNSCDEDYEEYTGEAFPWRRHVRDVSEGEAFNRRASSLSKNRMKCRHVCDSRYLPDLQYRSKCNGRGVRGITNKFLKDIPKFVKIVEVGPRDGLQNEKNIVPTSVKVELIRRLVSSGLTVVEATSFVSPKWVPQLADAKDVMKAVRDMEDARLPVLTPNLKGFEAAVAAGAKEVAVFASASESFSKSNINCSIEESLVRYRAVCAAAKELSVPVRGYVSCAVGCPVEGAIPPSKVAYVAKELYNMGCFEISLADTIGVGTPGTVVPMLEAVMAVVSAEKLAVHFHDTYGQSLPNILVSLQMGISTVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGVKTNVDLAKLKLAGDFISDHLGRQSGSKTAIALGRVTADASKI >EOY32847 pep chromosome:Theobroma_cacao_20110822:9:34053102:34061737:-1 gene:TCM_040868 transcript:EOY32847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase superfamily protein isoform 1 MSSLEEPLGFDKLPSMSTIDRIQRFSAGACRPRGEDMGMGTCWIEGRSCSTSNSCDEDYEEYTGEAFPWRRHVRDVSEGEAFNRRASSLSKNRMKCRHVCDSRYLPDLQYRSKCNGRGVRGITNKFLKDIPKFVKIVEVGPRDGLQNEKNIVPTSVKVELIRRLVSSGLTVVEATSFVSPKWVPQLADAKDVMKAVRDMEDARLPVLTPNLKGFEAAVAAGAKEVAVFASASESFSKSNINCSIEESLVRYRAVCAAAKELSVPVRGYVSCAVGCPVEGAIPPSKVAYVAKELYNMGCFEISLADTIGVGTPGTVVPMLEAVMAVVSAEKLAVHFHDTYGQSLPNILVSLQMGISTVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGVKTNVDLAKLKLAGDFISDHLGRQSGSKTAIALGRVTADASKI >EOY29924 pep chromosome:Theobroma_cacao_20110822:9:2832023:2832849:-1 gene:TCM_037307 transcript:EOY29924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQFPLLNSSHMADTIFTTINGAPLRGLLPLSPPPCPSHSEFTMVGPSSHKPKQNQSKAAPCPHPHHRRSMLPIHVSHSHSLYLWAPPQSLSSMEAHSLHA >EOY29953 pep chromosome:Theobroma_cacao_20110822:9:2906059:2906887:-1 gene:TCM_037325 transcript:EOY29953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCMIMLTRVTSEDEMGAPSAGLLCISDCATCPVICSPPPPPLLKSFPPPSPSVHHSPPPVPYYYFPPQSEYHSPPPSEPVSPPSPPRPPPPAPSSYSSKGSPPPPFKYFYNAPPGQGPPTVLGPHEYPYPYYYFYASKASSLPFQASLSLVMLVLFHVVLLHC >EOY29862 pep chromosome:Theobroma_cacao_20110822:9:2605629:2606737:1 gene:TCM_037263 transcript:EOY29862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSKAAQRVEKASVQNKLRNSSPSVLGFFDKRYFSVSHYCSFSSPSALLFNRDDTHLLVFFWVYCEIQLMPKKSSSKTPSKKAEKEEVEEPVLGQKKSSSTPKKAGNEIDEIFAGKKRKKPEQKKADKPNGDEISKPKPLMKKKNKKSEETKEEGSQEPSSRPRKRTADGFAIYTEEELGINKSDVGSTPLCPFDCDCCF >EOY33787 pep chromosome:Theobroma_cacao_20110822:9:38990695:38998553:-1 gene:TCM_041664 transcript:EOY33787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protease ftsH isoform 2 MSSIEFLRPTTITIHNKFSTNSYSNLLYLHGLNFSTNRFRVLQQSTNRFLPNSINIPLHNVTVLRNQDRFNLYGGGKLRFKDSKILANCTDSGDSKASSSENNESEGGQGVKQKKNPQNSGGSTNQRREKSGKSGLWWSKGKKWQWQPIIQAQEVGVLLLQLGIVMFVMRLLRPGIPLPGSEPRTPTTFLSVPYSEFLSKINSNQVQKVEVDGVHIMFKLKSEGSVQESEIGGISYSKLQESESLLRSVAPTKRIVYTTTRPSDIKTPYEKMLENDVEFGSPDKRSGGFLNSALIALFYVAVLAGLLHRFPVSFSQHTAGQIRNRKSGGSGGSKVSEQGETITFADVAGVDEAKEELEEIVEFLRNPDRYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRIGREAILKVHVSKKELPLGEDVDLGDIAAMTTGFTGADLANLVNEAALLAGRNNKIVVERIDFIQAVERAIAGIEKKTAKLKGSERAVVARHEAGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYSPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVVYSGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPLSLAILSGGGMDESGGAVPWGRDQGHLVDLVQREVKALLQSALEVALSVVRANPTVLEGLGAHLEENEKVEGEELQDWLKLVVAPKELTIFVGGKQEPLLPLSSCHDLVNEHVLVTGIISLAHFCIFQQEKEVVKLKIYFLEELQACLAILDVMLISAG >EOY33789 pep chromosome:Theobroma_cacao_20110822:9:38992607:38998239:-1 gene:TCM_041664 transcript:EOY33789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protease ftsH isoform 2 MSSIEFLRPTTITIHNKFSTNSYSNLLYLHGLNFSTNRFRVLQQSTNRFLPNSINIPLHNVTVLRNQDRFNLYGGGKLRFKDSKILANCTDSGDSKASSSENNESEGGQGVKQKKNPQNSGGSTNQRREKSGKSGLWWSKGKKWQWQPIIQAQEVGVLLLQLGIVMFVMRLLRPGIPLPGSEPRTPTTFLSVPYSEFLSKINSNQVQKVEVDGVHIMFKLKSEGSVQESEIGGISYSKLQESESLLRSVAPTKRIVYTTTRPSDIKTPYEKMLENDVEFGSPDKRSGGFLNSALIALFYVAVLAGLLHRFPVSFSQHTAGQIRNRKSGGSGGSKVSEQGETITFADVAGVDEAKEELEEIVEFLRNPDRYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRIGREAILKVHVSKKELPLGEDVDLGDIAAMTTGFTGADLANLVNEAALLAGRNNKIVVERIDFIQAVERAIAGIEKKTAKLKGSERAVVARHEAGHAVKLSILPRSGGALGFTYSPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVVYSGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPLSLAILSGGGMDESGGAVPWGRDQVPFYFLINVVYPFCFQFLHYL >EOY33788 pep chromosome:Theobroma_cacao_20110822:9:38991150:38998167:-1 gene:TCM_041664 transcript:EOY33788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protease ftsH isoform 2 MSSIEFLRPTTITIHNKFSTNSYSNLLYLHGLNFSTNRFRVLQQSTNRFLPNSINIPLHNVTVLRNQDRFNLYGGGKLRFKDSKILANCTDSGDSKASSSENNESEGGQGVKQKKNPQNSGGSTNQRREKSGKSGLWWSKGKKWQWQPIIQAQEVGVLLLQLGIVMFVMRLLRPGIPLPGSEPRTPTTFLSVPYSEFLSKINSNQVQKVEVDGVHIMFKLKSEGSVQESEIGGISYSKLQESESLLRSVAPTKRIVYTTTRPSDIKTPYEKMLENDVEFGSPDKRSGGFLNSALIALFYVAVLAGLLHRFPVSFSQHTAGQIRNRKSGGSGGSKVSEQGETITFADVAGVDEAKEELEEIVEFLRNPDRYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRIGREAILKVHVSKKELPLGEDVDLGDIAAMTTGFTGADLANLVNEAALLAGRNNKIVVERIDFIQAVERAIAGIEKKTAKLKGSERAVVARHEAGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYSPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVVYSGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPLSLAILSGGGMDESGGAVPWGRDQGHLVDLVQREVKALLQSALEVALSVVRANPTVLEGLGAHLEENEKVEGEELQDWLKLVVAPKELTIFVGGKQEPLLPVQAGSG >EOY29653 pep chromosome:Theobroma_cacao_20110822:9:1923683:1938747:1 gene:TCM_037134 transcript:EOY29653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicases,ATP-dependent helicases,nucleic acid binding,ATP binding,DNA-directed DNA polymerases,DNA binding MASGSPRARIDQFFASKKRKTQSPCLKTGRFEKNAKTTVEGSPSAKGTLDNYLRTSQENEIVQPSCTILGQDPVKRSLASEIDKSSENENEQSFLLAEVKSRSCEAFQVTHNGIYLGSSEEGNFDFGDPAEVAAQGRENSELKQFATDFLSLYCSEVQPHVGSPSQLKANDQKRHGSLSMLSEEDKRFKKRYLISHQLQTEVETACSTKKNLKNETGEFILNLSREVNTGSNLIELQASLRKCTTTTKPVLNTTECSTPGSSIVKACAYRTPQSMRGSSMFSPGEAFWNEAIEIADGLFSRSDALSAQVAEETNNPKSQYEINNTYNLGNKIVDDKSKEMPDECESRVKLKGVGTSLESAVKQKKEMDKEVSLLPVKHLDFSFDDKILDGSIPHIIQRDSKVTECGIVNHKGPSIVNTLTDHDELQTIEEVQGEQQERASVHVVPKKEDNLSSQDNNSITSTSAANKANKSIGECNETTTPLSFVALKDRLSLSSWLPLEICRTYKKKGISELYPWQVDCLQVDGVLQRKNLVYCASTSAGKSFVAEILMLRRVILTGKVALLVLPYVSICAEKAEHLEVLLEPLGKQVRSYYGNQGGGTLPKDTSVAVCTIEKANSLINRLLEEGRLSEVGIIVIDELHMVGDQSRGYLLELLLTKLRYAAGEGMSESSSGESSGSSSGKADPAHGLQIVGMSATMPNVEAVADWLQVSETYKAALYQTDFRPVPLEEFIKVGNTIYDKNLDIVRTIPKVVDLGGKDPDHIVELCNEVVQEGHSVLIFCSSRKGCESTAKHVSKFLKKFSVNVHGDNCEFVDISSAIDALRRCPAGLDPILEETLPSGVAYHHAGLTVEEREIIEACYRRGFVRVLTATSTLAAGVNLPARRVIFRQPRVGRDFIDATRYKQMAGRAGRTGIDTKGESMLICKPEEIKRIKGLLNESCPPLQSCLSEDKNGMTHAILEVVAGGMVQTASDINRYVKCTLLNSTKPFQDVVKSAQDSLRWLCHRKFLEWNDETKLYGTTPLGRAAFGSSLCPEESLIVLDDLSRAREGFVLASDLHLVYLVTPINVEVEPDWELYYERFMELSALEQSVGYRVGVAEPFLMRMAHGAPIHISNGLRDGWKRLRGKFENHLGISNNTKLSDEQTLRVCKRFYVALILSRLVQEAPVGEVCEAFRVAKGMVQALQENAGRFASMVSVFCERLGWHDLEGLVAKFQNRVSFGVRAEIVELTTIPYVKGSRARALYKAGLRTPLAIAEASIPDIFKALFESSSWAAQDLSLESSAQRRMQLGVAKKIKNGARKIVLIKAEEARIAAFSAFKSLGYSVPQFSRPLVLNGSPGEQEAAITSVGDDSPGSVVWVEQIEHVLAKPLAERSKNLENVSLANEGLIVTKTSADNLVASAEVNLATTLQCNLGMENPGVSVEGPVTGDEVNAAIDRGRSIVMATVCGYLDQGVHDGLNEDLCVGNVDSACRKGPLNAVNTPGGIDSFLELWETTAEFCFDIHYNRRSEPNSVASFEVHGIAICWENSPVYYVNLPKDLIWSDNRANNFLSTCASSDKNDSLPPQHFLEMAKLRWKRIVDIMGKSGVRKVSWNLKVQIQVLKSPAISVQRFGGMNLGVKDLGLEIIDNSYLLFPPVLINDGIDMSIAAWVVWPDEERSSSPNLEKEVKKRLSSEAAAAANQSAIYSNIMLVLIYQVNVLAEMELWGIGINMEGCLWARNVLGKKLRCLEKEAYKLAGMTFSLYTAADIANVLYGHLKLPVPEGRNKGKQHPSTDKQCLDLLRDEHPIIPVIREHRTLAKLLNCTLGSICSLARLSRSTHKYTLHGRWLQTSTATGRLSMEEPNLQCVEHMVEFSLSKDKNGSDANVDHYKVNVRDFFVPTQDDWLLLTADYSQIELRLMAHFSKDSALIELLSKPQGDVFTMMSAIWTGRAEDSVSSNERDQTKRLIYGILYGMGADTLAEQLNCTTDEAKEKIKSFKSSFPGVASWLCEAISSCRQKGYVETLKGRKRFLSKIKFGNSKEKSKAQRQAVNSICQGSAADIIKIAMIKVYSLIVEGVGRLDSGSSISTKFQMLKGRCRILLQVHDELVLEVDPSVIKEAAWMLRMSMESAVSLLGRFPLRVKLNVGKTWGSLEPFLADQGIEEAVSKS >EOY32690 pep chromosome:Theobroma_cacao_20110822:9:32867485:32873251:1 gene:TCM_040721 transcript:EOY32690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MADKVAERANKKIYGLVYSRASTGRGKPNEIAGDDDLIIGSLPKEMMMKLVSGRSNSVRYRAKESLTENCIENFKGKESTEMITMKGKSKAECSKREESQTGGGVPTGNGQVEVDNTVQKEDNRNVVTPMKQKRGADGEIIRAYFSSSEKSLSRLRPRKEVPAFRMVNLEESDNEFVVGKRVKVYWSGSRRWFIGRIVAFDNKNRLHRILYEDGDEEVLDLRKERFELEVIPTDCFKLKSEPHSAGKADGLDSGNVREAVMKQNSTDLTSAKSMTKSSESKQKKEAKKEGQRTSRKTYRKGTEATLEDLVSNVAVIPEKVDELVTNKASDMEKPGQASPEEDESNFISEVVKEATEEFEAETTVGKSHSEFWSVGSDNSRIKESGKAVEDDSLKISIAELAKKPKGSRKRLMPRAKRMRTNKALSREFGNVEEKLEADMKSHVQCDEVKTTEMDIDINIEAMEVNLQNISSETLGKKASEGDETSNEASFTTLDLTSKDKTAKGSGDASRNAANEVENLTKTENRTAEQAVIPGLLLNPHDVKDEREVLAAHQMELAASINKGEDELISGITSVQRFEHIDSMNTNRETESILEAESMKPCESSYCLQPVVSPEEPKPYVLQGKVKLENSESDKESKEGMDFISVKNESYSSKESQSNNGAAS >EOY32691 pep chromosome:Theobroma_cacao_20110822:9:32867485:32873251:1 gene:TCM_040721 transcript:EOY32691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MADKVAERANKKIYGLVYSRASTGRGKPNEIAGDDDLIIGSLPKEMMMKLVSGRSNSVRYRAKESLTENCIENFKGKESTEMITMKGKSKAECSKREESQTGGGVPTGNGQVEVDNTVQKEDNRNVVTPMKQKRGADGEIIRAYFSSSEKSLSRLRPRKEVPAFRMVNLEESDNEFVVGKRVKVYWSGSRRWFIGRIVAFDNKNRLHRILYEDGDEEVLDLRKERFELEVIPTDCFKLKSEPHSAGKADGLDSGNVREAVMKQNSTDLTSAKSMTKSSESKQKKEAKKEGQRTSRKTYRKGTEATLEDLVSNVAVIPEKVDELVTNKASDMEKPGQASPEEDESNFISEVVKEATEEFEAETTVGKSHSEFWSVGSDNSRIKESGKAVEDDSLKISIAELAKKPKGSRKRLMPRAKRMRTNKALSREFGNVEEKLEADMKSHVQCDEVKTTEMDIDINIEAMEVNLQNISSETLGKKASEGDETSNEASFTTLDLTSKDKTAKGSGDASRNAANEVENLTKTENRTAEQAVIPGLLLNPHDVKDEREVLAAHQMELAASINKGEDELISGITSVQRFEHIDSMNTNRETESILEAESMKPCESSYCLQPVVSPEEPKPYVLQGKVKLENSESDKESKEGMDFISVKNESYSSKESQSNNGAAS >EOY29817 pep chromosome:Theobroma_cacao_20110822:9:2457721:2461906:-1 gene:TCM_037234 transcript:EOY29817 gene_biotype:protein_coding transcript_biotype:protein_coding description:DERLIN-1, putative MSASSVMSIIDGHELTRRYCETLEYDLASRYWTHSLSPVAFDTPTNLLIVLIVLIHAITCCLLFLSFYKSLPPITKAYGSICVVANVAFHLGPYRLEHIAFLHKLVISDFQVWRLLTNFFFLGNFSVNFGIRLLMIARYGVQLEKGPFERWTADFLWMMIVGALSLLALSAIPMLRSPFLGISLVFMLLYIWSREFPNAQVNVYGLVALKAHISYKSVSRLCLNSAIFFHLLLLVVWVCWCKCLYVTAGTQAFYLPWAMLALDVLFGSPLKPDLMGIIAGHIYYFLTVLQPLAGGRNILATPTCVYPFMHKLVEGGRLGDPTNAHVQQDRATGVAFRGRS >EOY30587 pep chromosome:Theobroma_cacao_20110822:9:5095481:5099782:-1 gene:TCM_037744 transcript:EOY30587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase MMEMMAAEVGLQQSSGFYCQQFPRVPRTSWTQNCILPGLSRGFLRKSSLSMSSSAQFRSCHVPSINGGTMLKRKGIHVMSPDLASPGLAVEFLDAWDDEYNGVIIDTECLPSSANAFASALLASLTNWKLKGKSGIWLKILSEQADLVPIAIQEGFDYHHAEPGYVMLTYWIPDEPCLLPGSPSHQIGIAGFVITDKKEVLVVKEKCPCSCSGVWKLPTGYINKYEDIFSGAVREVKEETGVDTIFQEMVAFRHAHRVAFEKSDLLFVCMLKPLSSDIIVDEKEIQDAKWMPLGEFIEQPFYQEDHMSRKMIEICTAAHEDRYDGFTAQQLISKLDGRVTYLYYKG >EOY29134 pep chromosome:Theobroma_cacao_20110822:9:196363:197951:1 gene:TCM_036773 transcript:EOY29134 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG2 MSAMAMASASPSASAAAAHNVFVYGSLLADDVVRVLLNRVPLSSAALLNGFHRFSIKGRVYPAILPVQNHQVIGKVLFGITDPELHILDEFEDVEYQRSLVEVSSLESSDKLQAHAYVWSNANDPNLYGHWDFEEWEGAHKESFMKMTSEFMEELELPESKPRVATYESFYHQDAEK >EOY29893 pep chromosome:Theobroma_cacao_20110822:9:2711241:2714089:-1 gene:TCM_037282 transcript:EOY29893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1230) [Source:Projected from Arabidopsis thaliana (AT5G11840) TAIR;Acc:AT5G11840] MATTLLLHLSPKPPQASAPSFPFPFPFPLISFSKPRFLPLHPIKKAYPITKMLSSNKSGNGSYSNTPPLPETECPVPHEQQPINEYQSLSTSFPFSWASGDLVEYCSRLFVTGASFALFVGLPVAWFGSVGPKSEPMRLVLAAVSSGILVVTVAVLRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTAEVLARDRLLGSFSVKPVLSRLKYTLVTLAVFLLVCILPLINVDGGREGSYMMTKEAGARAIPGVYDDDSARAFEPDAFCGEPGLP >EOY29633 pep chromosome:Theobroma_cacao_20110822:9:1831910:1846353:-1 gene:TCM_037121 transcript:EOY29633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT5G35180) TAIR;Acc:AT5G35180] METMTALKKAGGEGGHEKEGSDGSTGSSSNDSNNGNNSGGHKHGHSRSISYGGDERGIFEYFGWVYHIGVNSIGHEYCHLRFLFIKGKYVEMYKRDPHENPGVRPIRKGVIGPTLMVELGRRPVNNGDLYVIQFYNRLDESKKGEIACSTAGEARKWMEAFDHAKQQAEYELSRGSSTRNKLNMEADIDLEGHRPRVRRYAHGLKKLIRIGQGPEMLLRQTSNLGGNGTSDGYFEGEFGDAIEAHEWKCVRTINGVRIFEDVADLKSGKGALVKAVALVDASADTVFEVILNLDRHKRYEWDMLTGDLELIDSYGGHYDVVHGTYDPKYLTRWQSKRDFVFSRQWFRGQDGAYTILQFPTVHKKRPPRSGYRRTTINPSTWEIRSLNAPMGSNTAKCLVTQMLEIHSAGWFRWKKSSSSKFEKTIPYALLSQVAGLKEYIGANPSLRCESSTIVQSKLSDVSISSGEFEDVEVHDEFYDAIAGDSSSSSEDEESEGGAEKERKIKLKNVSWAISSLALKRASAPDANKELDPSVPPVHIDASQFNGSLHNGKDEADTNCWTSPSGRGFMIRGKTYLEDNAKVMGADPLLKLIAVDWFKVDKATDKIALHPRCLVQSDAGKKLPFILVINLEVPAKPNYGLVLYYAAERPVNKNSLLGKFVDGTDMFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYFRQDNFLEIDVDIGSSSVARSVIGLVLGYVTSLVVDLAILIEAKEEAELPEYILGTVRLNRVTVTMCRVRLSNGLFQISYLFSIQFT >EOY29634 pep chromosome:Theobroma_cacao_20110822:9:1832342:1846181:-1 gene:TCM_037121 transcript:EOY29634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT5G35180) TAIR;Acc:AT5G35180] METMTALKKAGGEGGHEKEGSDGSTGSSSNDSNNGNNSGGHKHGHSRSISYGGDERGIFEYFGWVYHIGVNSIGHEYCHLRFLFIKGKYVEMYKRDPHENPGGVIGPTLMVELGRRPVNNGDLYVIQFYNRLDESKKGEIACSTAGEARKWMEAFDHAKQQAEYELSRGSSTRNKLNMEADIDLEGHRPRVRRYAHGLKKLIRIGQGPEMLLRQTSNLGGNGTSDGYFEGEFGDAIEAHEWKCVRTINGVRIFEDVADLKSGKGALVKAVALVDASADTVFEVILNLDRHKRYEWDMLTGDLELIDSYGGHYDVVHGTYDPKYLTRWQSKRDFVFSRQWFRGQDGAYTILQFPTVHKKRPPRSGYRRTTINPSTWEIRSLNAPMGSNTAKCLVTQMLEIHSAGWFRWKKSSSSKFEKTIPYALLSQVAGLKEYIGANPSLRCESSTIVQSKLSDVSISSGEFEDVEVHDEFYDAIAGDSSSSSEDEESEGGAEKERKIKLKNVSWAISSLALKRASAPDANKELDPSVPPVHIDASQFNGSLHNGKDEADTNCWTSPSGRGFMIRGKTYLEDNAKVMGADPLLKLIAVDWFKVDKATDKIALHPRCLVQSDAGKKLPFILVINLEVPAKPNYGLVLYYAAERPVNKNSLLGKFVDGTDMFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYFRQDNFLEIDVDIGSSSVARSVIGLVLGYVTSLVVDLAILIEDLQAKEEAELPEYILGTVRLNRVRLESALPLQF >EOY32906 pep chromosome:Theobroma_cacao_20110822:9:34405530:34407594:-1 gene:TCM_040932 transcript:EOY32906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding family protein MDSFSRSSGFRFNPNSNTVGDADQDSEFSGILEIYVHHARNIHNICIYDNQDVYAKFALTYNPDDTHSTRIINGGGKNPEFNENLMMKVTQIDAVLKCEIWMLSRARNYMEDQLLGFALVPISQVVGKGKVTQDYSLSSTDLFHSPAGTVKLSLSLNTCIPVNPQTNSFPETTSTNSSISAEVVLLDRKISEVILDPVEYSRIEFPDINVVRENQQMVSEYFDGLKSRPGIASFLHLGASHQHVEDYEMTANSSEENHGGSISPNGSLQNSGFLSSTTTSLSVCPDTPTSKKGGEAGDEKDLNYSSKEEGSSNQEGNMNPVKYGQVFSAPLGNINLEAEQSAMQQQIVDMYMRSMQQFTESLANMKLPMDLDHKPGREDRGDVIQNHTKKIEHEKKKDGSRVFYGSRAFF >EOY33326 pep chromosome:Theobroma_cacao_20110822:9:36744048:36747128:1 gene:TCM_041284 transcript:EOY33326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant hydroxyproline-rich glycoprotein family, putative MQDSSRPVTGYPVQNLNGCAPPPPASSATAYPYVNPNPYPYYPPPPPQNPRPTFFRRLFVAFAILVIIFGTILLIFWLVLRPHLPDFSLESLSLSNFNASNQRVTATWNAQFQVFNPNKKLSISYGDIVSSVFHKDYLLTETRIGPFVQGTRNVSTVQASYSVVDSYVEAKVVDAINGERSRGEVKFSVRVLADVAFRYGGWRGRRRVLRVWCNDVALSGSSGKMTGGPTKCSVD >EOY30677 pep chromosome:Theobroma_cacao_20110822:9:5384025:5385052:1 gene:TCM_037804 transcript:EOY30677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein MANDQHQPKWEGKAFAELAGPKAEQVWPLLQDFFGLDKWFPTLTTCLPVEGVSGQPGCVRFCAGFKTPVNGSDEGTVNWTKQKLLSIDPSEMAFSYSIVDGNVGFNGYVSTVKVLPKEAGCDIDWKYEVEPVKGWTLKDLDFFIASGLQVMAQRMEAALRVFQASMDQ >EOY34613 pep chromosome:Theobroma_cacao_20110822:9:41584744:41586087:1 gene:TCM_042225 transcript:EOY34613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSRDGKRKIGPKAPPRPLLEVGEMEILRESGVWSLESGELDLKPEPGDLRLCHLLCCTVRLTEKG >EOY30959 pep chromosome:Theobroma_cacao_20110822:9:6488736:6491368:-1 gene:TCM_038001 transcript:EOY30959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCFCQKTCLGFDYFSEPDTWTGLSATWDRIEDLMDLKANLPTKLIEKPSLEFKGNSCRLVNMFKTQSVLDSHAPPSRAKAVNTNTPQF >EOY29252 pep chromosome:Theobroma_cacao_20110822:9:492685:499487:-1 gene:TCM_036844 transcript:EOY29252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 19, putative isoform 1 MHVGGITVDLNWFLQFIFTLFIFALGALHLLKNTASKYFEVDANFDRDQTVPSMPMDPDLSPCAVCSNPASKKCSRCKSVRYCSSACQQVHWKAGHKTECKVKSAQTASTKPFLGVALVPASGTSKVIKKPRKVLFPYDEFVKLFNWEKPGFPPCGLLNCGNSCFANVVLQCLVSTRPLVAYLLEKGHRKECRRNDWCFMCEFQTHVERSSQSLHPFSPINILSRLPNIGGNLGYGRQEDAHEFMRFAIDTMQSVCLDEFGGEKAVDPSSQETTLIQHIFGGHLQSQVFCTNCDKISSQYENMMDLTVEIHGDASSLEECLDQFTVKEWLHGDNMYKCDGCNDYVKAWKRLSVRWAPNVLTIALKRFQSGRFGKLNKRVSFPETLDLTPYMSEDGDDSNVYKLYAVVVHLDMLNASFFGHYICYIKDFCGNWYRIDDCKVTRVESEEVLSQGAYMLLYSRVSARPSCLRTSGTQRMDEQKPMKAELEHCPKEQIECVPGKDSVNSRNHSASLSLNGSLHSEIPRHGVESSTGMNGDAFHGRKDGDVVKSNSSLSKEVSICSNESPFQIDSKGSRVNGADMDRVDSTTVRENPENMDTNSAQPCSDTKKVPSCDKDLVVAASSEAVREHPESTKMVEMEQYLSVTREIKSCEQDSQVTIDYVMEDSEDISMADSKPSASIAGDSSSNFSFSDDTSPATRTSGVKRELSLQHERGNGIKRAEIADGSQQ >EOY29251 pep chromosome:Theobroma_cacao_20110822:9:492045:498128:-1 gene:TCM_036844 transcript:EOY29251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 19, putative isoform 1 MHVGGITVDLNWFLQFIFTLFIFALGALHLLKNTASKYFEVDANFDRDQTVPSMPMDPDLSPCAVCSNPASKKCSRCKSVRYCSSACQQVHWKAGHKTECKVKSAQTASTKPFLGVALVPASGTSKVIKKPRKVLFPYDEFVKLFNWEKPGFPPCGLLNCGNSCFANVVLQCLVSTRPLVAYLLEKGHRKECRRNDWCFMCEFQTHVERSSQSLHPFSPINILSRLPNIGGNLGYGRQEDAHEFMRFAIDTMQSVCLDEFGGEKAVDPSSQETTLIQHIFGGHLQSQVFCTNCDKISSQYENMMDLTVEIHGDASSLEECLDQFTVKEWLHGDNMYKCDGCNDYVKAWKRLSVRWAPNVLTIALKRFQSGRFGKLNKRVSFPETLDLTPYMSEDGDDSNVYKLYAVVVHLDMLNASFFGHYICYIKDFCGNWYRIDDCKVTRVESEEVLSQGAYMLLYSRVSARPSCLRTSGTQRMDEQKPMKAELEHCPKEQIECVPGKDSVNSRNHSASLSLNGSLHSEIPRHGVESSTGMNGDAFHGRKDGDVVKSNSSLSKEVSICSNESPFQIDSKGSRVNGADMDRVDSTTVRENPENMDTNSAQPCSDTKKVPSCDKDLVVAASSEAVREHPESTKMVEMEQYLSVTREIKSCEQDSQVTIDYVMEDSEDISMADSKPSASIAGDSSSNFSFSDDTSPATRTSGVKRELSLQHERGNGIKRAEIADGSQQ >EOY31942 pep chromosome:Theobroma_cacao_20110822:9:18091040:18092536:-1 gene:TCM_039313 transcript:EOY31942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRAMPWNDDEENDSSSSDESSSSNSDSEAEDGPPNTKSKKSSQSAKPGKQKSAALHFEALKRHGYKGGLSVLNVPPPKEKPDWSWSNGKEGRETREVKESFEDRQKTRAAILDAEELANVQTRKEKQNVSFSQKEKRKRELGQASRGKNYVEEEKRLLRDRGIYSGFDT >EOY30811 pep chromosome:Theobroma_cacao_20110822:9:5883879:5887156:1 gene:TCM_037891 transcript:EOY30811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 37, putative MTQAARLKLRMQKELKLLLNDPPHGASFPFLSPDSDLSDLSSIDAQIEGPEGTVYAKGVFKIKIQIPERYPLQPPIVTFGTPIYHPNIDNGGRICLDILNLPPKGAWQPSLNISTLLTSIGLLLSEPNPDDGLMCEASREYKYNRQAFDHKARSMTEKHAKAGAGENSCSNQCTETNTDSTMMEVQGFDKESKHGANEFIPCHKKPHGISQKLSLESTSSDKKKDSDQDVPSQHFFPSDSMNQMEGKRKREKENDVLNECNLNHEKVNGNGWKLSLKSSNQFKDDFDNKENVDPNYLSSLCQHRASSGSSLLQASRIQQLDQHQDGKSANSSIKSSSKHCQNQKQPCGSTDPLQTCENVKMLETPQEEFVDRMENVSATTKSEQVSSVGRKLSLGLKGSSHKQQESNKENVTPVHNLILPKPQTPGKSGLGRKLSLGPLTQVQQNCGHNQKQQSHRPQSIETDVEIKQKGGEETEEFPISEMVVVLDSEDSEEEGHASLRSRMPIARKRLGKWSAKT >EOY33382 pep chromosome:Theobroma_cacao_20110822:9:37224970:37236433:-1 gene:TCM_041358 transcript:EOY33382 gene_biotype:protein_coding transcript_biotype:protein_coding description:OTU-like cysteine protease family protein MTRILVQRGSTASSSSNPSRSSSLPGPSSSRAEPYVSTQQVPSAAKDDEVSEEVQEQVATDELLESSGSSENKAVKSDDVLLENLHNDRNETSSNEIVEAEKVNTLDATGAWEMMNDLGGLRISEKVVVESEGSSGDLLPIGTGRQHPPPPPVPPPKPSAANLNSRRFLSGSSSPARSGSSRRAVAWPIVPTRTSPSGSRPSSPRSHGESEGYNSADEQNPCFVSSCSDVERERQFEIDIRRTKGLEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMEQERDHFSQFITEGFTSYCKRKRRDQVYGNNAEIQALSEMYNRPIHIYSYSTEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVDPRRLTIGAGLGFSCLRGANVDKDQVKAAIKAQQDQQIDNALLAEGRFYSDLELTEKEIERMVMEASRAEYLAKDKFKPQVGRRSSTSTAEPSSSGARPSGSETKVEGSKEQGLRDTVLSSGIQILLSMGFSYLQAIEAYSIFGDDVDSMSTKLLNLTVLRRIDPFVEEILITAAHVAFYEFNIDLSQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVENLLGDFEYEVQDKYLLYRNASQEINGIWFYDARELEEVANLFSRILTAYSKVPQKANVTSTKGEFEELEAVSTMAIMDGPMEPPSSTASNADGPDDPAFVNFFSTAISIGSASNARVSGLPYQSSAAMPAPSNPTIVASPTVPALQLPSPLPSSTPLMPLLDTPESSSSHTNLVKPSAFFVPPSSSVQILPPVSTPLPTAPLLNPPLSRQRPYGTPLLQPFPPPTPPPSLTPAPVPIQNYGPSVSREKVRDALLALVQDNQFIDMVHRALLNAHHS >EOY34623 pep chromosome:Theobroma_cacao_20110822:9:41616394:41618368:-1 gene:TCM_042232 transcript:EOY34623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated RAB acceptor 1.B4, putative MIVVQKLNHEKEKHLLERCGFPSCFLPVSFVSQPDNASQKGSLRFERNSIRNRSSHHQRLQALQNNTSNCLYTLLKSQLPWVKTKSKGIIFKFSDFFGLLFDLTFPAMSSTPMLPVSTSEPNVESKQSATTPNLRSILALLSGSARLALSRCRPWTELVDRTAFTKPASFSDATSRVRKNFSYFRANYLTILAGVLSFSLISHPFSLVILLSLLSAWLFLYALRPSDQPVVIWGRTYSDIETLAILVASTVIVIFLTSVGSLLISGILMGLGIVCAHGAFRMPEDLFLDEQEPLGSGLFSFVSGAASSAAAAATPAIISRV >EOY33711 pep chromosome:Theobroma_cacao_20110822:9:38740597:38745548:-1 gene:TCM_041612 transcript:EOY33711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRELHEHLDDVEFESYHPGCMGGIFNVLDYHHWYNVKKMFLHRKYNRGRHVKFCANPQTISMEREPGETQGLLGGEAGQIQVQQQTRNTFSTNKNSSKAYTKGLTSQEKPKEKSNKHRNLGFSARPQVQQTDSTHHLEPSGFGPGWMNPVILVRNRADTSVTSSTSSLPETPRKQVTRSKKPDSNDRVNAENHLEREENSKKHATVQKKFDKKRGTRTLINQKPMATKLNKEVSNNQVKESRDVLEIFKVNKDLFLDILQDPEVGISQHFPARQTYKTLKLTKSGSFPVSDSPRTRYLRSSTLEHKQKEVWSLGKGEKSRSGTRLSKSRALRTDDGLRSTITEEASSSSQGSDSQSWNHLVMSRLKYIKHIIKQALKERRKGINHTMVDGPALQISSRDTLSTNEREMSESLEKTTVEQDSIKTFSRSYQTDASDPDTSDGRRNKIRRTKSINESLERYTQLFEHSVSKEANLHHSKSLTLTNEDKVASRRRAPKFFRRISSLSDLESFCSLLHEVSRDALSSEMPIRSVLNYDANRESDGHNEPNSISFPEDIDKFELVEAVLEAELQEKMIERNNRSSTVLLVDRKPQQIAKPCDFDEDIVELLVEKSSPHLEHESVCAVIPSAEPTQQSSDSDDIISMTEHPISEGLQLNCPNLPTDETDSSTILKDPYNTDSLPGFCDTTSHEIVEYETMGISSSFLFFESDKEADPCYNNIRDNLELPGIIQNEYLQTWYSPNQPLNPSLFKELETLFRPELECSFEEAGSNYDQQLVYDLVNEAFLESNEKSSIYFPKPFSFNCRISPMLKENNVLQETWTKVSRNLASRPEHDQSLDDIVARDFAKDAWMNPQAEEEFVALELEELVLDELLDEVICFETVV >EOY34204 pep chromosome:Theobroma_cacao_20110822:9:40276697:40278099:-1 gene:TCM_041948 transcript:EOY34204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitinase 1 MISVTPTAVIYLVQKTMDLSKLFIALFVFQVLFPCHMSIQATPANSNLFREYIGAEFNNVRFTDLPINSDVEFHFILSFAIDYETTGSPSPTNGKFNVFWDSDNLSPSQVSAIKSRNSNLKVALSLGGDSVGDGYAYFDPSSIDSWVSNAVSSLTDIIQEYNLDGIDIDYEHFQADPHTFAECIGRLITTLKKNGVISFASIAPFDDDDVQSHYKALWKSYGNLIDYVNFQFYAYDQGTTVSQFMNYFNTQSSNYNGGKVLVSFISDGSGGLSPQNGFFTACSRLKSQNKLHGIFVWSADDSKRNGFRYEKQSQALLASSH >EOY34524 pep chromosome:Theobroma_cacao_20110822:9:41365659:41366720:-1 gene:TCM_042169 transcript:EOY34524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVYHVTDFVYICHDLRTVFRNVCCILIGSKIYIVGGCCEVLKANRSYWEVLPQPSEPLWNGQRCDATVKGSCVVGDSKTIVHAKPFPTILFVYDVNHNTWNKVELPDNNLPSNVLFNYCLNVVDTCFFFDKNWNFYFLSTGAIEPSVSFSHPTAFDW >EOY31406 pep chromosome:Theobroma_cacao_20110822:9:8601192:8608976:-1 gene:TCM_046926 transcript:EOY31406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 12 MESGEPYRASSLRIGSSKLWRSNSMEVFSKSFQEEDDEEALKWAAIEKLPTFSRIRTGILAEEGRQLREVDIKNLGLLERRNLVERLVKVAGEDHEKFLLRLRERIDQVGINLPTIEVRFEHLNVEAEAYEGSRALPTVFNYCVNMFEGFLNYLHILPSKKKTLPILKDVSGIIRPQRMALLLGPPSSGKTTLLLALAGKLRKELKISGRVTYNGHEMEEFVPQRTSAYISQYDVHIGEMTVRETLAFSARCQGVGPRYDMLVELARREKAANIKPDPDIDIYMKAAALEGQETSVVTDYILKILGLEVCADTMVGDEMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNALRQSIHILNGTAVISLLQPAPETYDLFDDIILLSDGQIAYQGPRENVLEFFEYMGFKCPERKGVADFLQEVTSRKDQEQYWVHKNERYTFVTAKQFAEEFQSFQIGQKLNEELATPFDKSQSHPAALATEKYGVSQKQLLKACISRDYLLMKRNLFVYAFKVIQLIFTAFITMTLFLKVDMHRNSVIDGGIFMGALFFTLMVIMLNGVSEISMTIIKLPVFYKQRDFLFYPSWAYALTTWIMKIPITLIEVTIWIVMTYYVIGFDPNVGRLLRQYLLLLCLNQMASGLFRLLAAVGRNMIVANTCGSLALLAISAMGGFILSRDGVKKWWLWGYWVSPLMYAQNSIAVNEFLGKSWRHIPPNSTESLGVLVLKSRGIFPEAHWYWIGVGALIGYAFLFNFLFSSALKYLNPFSKPQAVISKETLPEVDSRRQSVELSLRGKKSSDQGKESPRSPSSRPPYARMGSFDEASQPSRRGTVLPFEPFSITFDEIRYAVDMPQEMKEQGVPEDRLELLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGSITISGYPKKQETFARISGYCEQTDVHSPYVTVYESLLFSAWLRLPLKVNSEARKMFAEEVMELVELTPLREALVGSPGVSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLMKRGGEEIYVGPLGRHSCRLIKYFEEIKGIPKIKDGYNPATWMLEITSATQEEIIGINFTNIYKNSELYRRNKALIKELSTPAPGSKDLHFQTRYSQPFQIQCMACLWKQHWSYWRNPPYTAVRLVFTTVIALLLGTIFWDLGSKRTRQQDILNAVGSMYTAVIFLGLQNAVSVQPVVAVERTVFYREKAAGMYSALPYAFGQVVIELPYTLIQTLIYGVIVYAMIGFEWTASKFFWYLFFMYFTLLYYTFYGMMTVAVTANQHNAAIISTFFYRIWNLFSGFIIPRTRIPVWWRWFYWICPVSWTLYGLAASQFGGVKDKFESGETVEHFVRTYFGFRSDFLGVVAVVIVGIPVLIAFIFAFSIKAFNYQRR >EOY30465 pep chromosome:Theobroma_cacao_20110822:9:4714699:4716500:1 gene:TCM_037667 transcript:EOY30465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MEPSHSQMETPPLSSKESRINRYKPIWRILLISNLALGAYMFAKARKKNSSIVDNKPAKIEMEPEKSKTEADLSSAPLTPTPVYEEPPIFPVVATPPKVLEPIPENQR >EOY30466 pep chromosome:Theobroma_cacao_20110822:9:4715355:4716392:1 gene:TCM_037667 transcript:EOY30466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MLYELRGASDLAYMFAKARKKNSSIVDNKPAKIEMEPEKSKTEADLSSAPLTPTPVYEEPPIFPVVATPPKVLEPIPENQR >EOY32179 pep chromosome:Theobroma_cacao_20110822:9:22741872:22744520:1 gene:TCM_039768 transcript:EOY32179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative METQWLVTTTPPLPLFCRTSAKSSLSSSAPTSNNNLPLARRQIIRLWKRDGSILGVGRDNFVDFDSLLQTLASKKMPQPHVVHHLLLQGLIPNNSTLSEIMLWYADNGLFPQAQAIWEEMLNTTSFTPSIQVVSKFMDAYGKMGHFHKVHKILDRVILLRVNLLPEVYPVAISCFGKHGRLDLMENTLKEMVSRGLPVDSATGNAFVRYYSIFGSLSEMEIAYARLKRSRHLIEEEGIRAMSSAYIKEGKFYRLGEFLNDLGLGRRNLGNLLWNLLLLSYAANFKMKTMQRLFLKMMDSGFRPDLTTFNIRAWAFSRMSMFWDLHLSLEHMKHESVVSDLVTYGCVVDAYLDRRLARNLDFALNHMNADDSPLVLTDPLVFEALGKGDFHSSAEAFLEFKRQKKKWTYRQLIAVYLKKQLRRNQIFWNY >EOY30492 pep chromosome:Theobroma_cacao_20110822:9:4792918:4795065:-1 gene:TCM_037687 transcript:EOY30492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like transcription factor family protein, putative MGRKCSHCGNIGHNSRTCTTYRRAAVGGLRLFGVQLDISSSSVAMKKSFSMDCLSSASPSSPSPSSSLSSSRVSIDENSDKTSIGYLSDGLMGRSPERKKGVPWTEEEHRIFLLGLEKLGKGDWRGISRNFVTTRTPTQVASHAQKYFLRQATLNKKKRRSSLFDMVRSSSMAGPHANSSNSRPAHIIPQLGLHHHAMSVNLQHGFSDSQTATSNAAQSISRNVAPDLELTLAAPRPLEENKSSPRTLLIRPISVT >EOY29497 pep chromosome:Theobroma_cacao_20110822:9:1359073:1363797:1 gene:TCM_037013 transcript:EOY29497 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein isoform 2 MGETRDNDAYEEELLDYEEEEEKAPDSVTAKVNGESAKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEGKFLTLLPFFIFVIYHYHTIDLEQYYGLVKVSIKRITEVNILLTTGSLDAIFFGSSSVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIEPSPGQVIALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSELEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >EOY29498 pep chromosome:Theobroma_cacao_20110822:9:1359215:1363691:1 gene:TCM_037013 transcript:EOY29498 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein isoform 2 MGETRDNDAYEEELLDYEEEEEKAPDSVTAKVNGESAKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIEPSPGQVIALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSELEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >EOY29499 pep chromosome:Theobroma_cacao_20110822:9:1359215:1363691:1 gene:TCM_037013 transcript:EOY29499 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein isoform 2 MGETRDNDAYEEELLDYEEEEEKAPDSVTAKVNGESAKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIEPSPGQVIALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSELEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQQVQERFEVDIKELPEQIDTSTYMPS >EOY30700 pep chromosome:Theobroma_cacao_20110822:9:5479404:5483355:1 gene:TCM_037821 transcript:EOY30700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Interactor of JAZ, putative isoform 1 MEDDNGLELSLGLSCGASAKSRGKVGSSSDTRTEEGDRGVKIVDDFKNFFQAGTQKQDQGVGSQRSDSVKPSENFFNDLSKATGDAEASVNLNGRGLWDTNNNRSAELDEDKRSEAGSKRKMFDEINNPKKLEREAHHTDLHEKPKTSHISITTEDGSTAENEDVAESEVEGSTSRLISLHDDGSKRFIGVSGSSEVQKEVKLGNLTYGNPFPVQSVNVMNVPYSLPMKDSNPVGTPSSAGHTLPGMIQIMPTGNSERSSTQPVNPGNLPVMFGYSSVQLPMLDKDSPWGMVSHPPQFHPSYAVRGPPNPDKHGDGLKISQASVHTIARNSSEAAQSRTFERVKGEGKQHATEEGSSTQAEEDVKGSAMNLRANTASDRSTAEGLSLDFSAIKPGIAADLKFGGSGSYPHLPWVSTTGTGPHGRTISGVPYRFSANQIKIVCACHGTHMSPEEFVQHASEECTNPDNNNGLATFPSTNPAASAQS >EOY30698 pep chromosome:Theobroma_cacao_20110822:9:5479404:5483355:1 gene:TCM_037821 transcript:EOY30698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Interactor of JAZ, putative isoform 1 MEDDNGLELSLGLSCGASAKSRGKVGSSSDTRTEEGDRGVKIVDDFKNFFQAGTQKQDQGVGSQRSDSVKPSENFFNDLSKATGDAEASVNLNGRGLWDTNNNRSAELDEDKRSEAGSKRKMFDEINNPKKLEREAHHTDLHEKPKTSHISITTEDGSTAENEDVAESEVEGSTSRLISLHDDGSKRFIGVSGSSEVQKEVKLGNLTYGNPFPVQSVNVMNVPYSLPMKDSNPVGTPSSAGHTLPGMIQIMPTGNSERSSTQPVNPGNLPVMFGYSSVQLPMLDKDSPWGMVSHPPQFHPSYAVRGPPNPDKHGDGLKISQASVHTIARNSSEAAQSRTFERVKGEGKQHATEEGSSTQAEEDVKGSAMNLRANTASDRSTAEGLSLDFSAIKPGIAADLKFGGSGSYPHLPWVSTTGTGPHGRTISGVPYRFSANQIKIVCACHGTHMSPEEFVQHASEECTNPDNNNGLATFPSTNPAASAQS >EOY30699 pep chromosome:Theobroma_cacao_20110822:9:5479404:5483355:1 gene:TCM_037821 transcript:EOY30699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Interactor of JAZ, putative isoform 1 MEDDNGLELSLGLSCGASAKSRGKVGSSSDTRTEEGDRGVKIVDDFKNFFQAGTQKQDQGVGSQRSDSVKPSENFFNDLSKATGDAEASVNLNGRGLWDTNNNRSAELDEDKRSEAGSKRKMFDEINNPKKLEREAHHTDLHEKPKTSHISITTEDGSTAENEDVAESEVEGSTSRLISLHDDGSKRFIGVSGSSEVQKEVKLGNLTYGNPFPVQSVNVMNVPYSLPMKDSNPVGTPSSAGHTLPGMIQIMPTGNSERSSTQPVNPGNLPVMFGYSSVQLPMLDKDSPWGMVSHPPQFHPSYAVRGPPNPDKHGDGLKISQASVHTIARNSSEAAQSRTFERVKGEGKQHATEEGSSTQAEEDVKGSAMNLRANTASDRSTAEGLSLDFSAIKPGIAADLKFGGSGSYPHLPWVSTTGTGPHGRTISGVPYRFSANQIKIVCACHGTHMSPEEFVQHASEECTNPDNNNGLATFPSTNPAASAQS >EOY32729 pep chromosome:Theobroma_cacao_20110822:9:33150534:33151141:1 gene:TCM_040753 transcript:EOY32729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTFESLYVREFTTPEGEWDMDRLTEVLPEDFVQQIASLLPPFGSHCPDVPEWAHSSLGCFTLSSTYDQLRTAAVLSNAVYDDGWNLVWKWQESQCICLFLF >EOY32507 pep chromosome:Theobroma_cacao_20110822:9:30540871:30542032:1 gene:TCM_040467 transcript:EOY32507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTKMEAIEEELKKKEEEVDDLEELNQALIVKECVPFKIITDKEGNTKENLDEEDEKLSTLKIEFGDEIYTSVTTTFAQMKGDKNQW >EOY34642 pep chromosome:Theobroma_cacao_20110822:9:41665321:41668687:1 gene:TCM_042246 transcript:EOY34642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial 28S ribosomal protein S29-related MNEERRTNQTKLGLQLMKFGLSMFASGKNLTSPKTSDSAAQAQKARVYSFTDKEMLRSIAKQATLWKPRRDGIIPQPPTHLRSKPYSSKNTKASLTKAKKADAKSKSKTTGSSSAAASTAGEEFESAGADDFEAARARRLFEDEKDPSLDLGPKGRPLFTSTPSLSQLTRKDACLYMKFSEKGLKEVLPEGLPMGMVTEFKESMRPALLVRQSFLDLRDNFRRIVDPPMWSSHTKAPKARKQVVLDGPVSCGKSIALAMLVHWARDEGWLVLYAPRGREWTHGGFFYKNPGTGLWDTPVQAENVLKDFLKSNESHFQRLPCQIFDPIPLGEGAGVGWMKGVESMALPEGSTLYDLVQMGIKYSHAAVGVVVRLRKELSLVKDVPVLIAIDQYNNWFTFSEYEEPVTVRSCRPIHARELAVVNAFRSMMHDDMMVGAFSHSTAVGKLRKDLPDVPVDARVNLPRYSLDEADTVSHYYLRQRLVRREAFSEENWKKVYYLSNGNGAEMRWLIPLMR >EOY34416 pep chromosome:Theobroma_cacao_20110822:9:41009506:41010877:1 gene:TCM_042097 transcript:EOY34416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISCSLVCSLSPKAINSTYLDRKKKSMGARMRINLALALAILMVVMMSSCLARKVIKVDVLKHDDTIVHGRQLLDEGDKVGAGYPESNVNNHHYIPRQDFNNYPVGPGDESG >EOY30414 pep chromosome:Theobroma_cacao_20110822:9:4545710:4548670:-1 gene:TCM_037637 transcript:EOY30414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase, putative isoform 1 MTTSVKNNFLPPSLISNLQQVLISRNDAVEHQSTNSFDSTSSLSSRSRNAKPNTANVEPDCSKPVLLITNGEGIDSPGLTFLVQALLSDGRFSLHVCAPQSDKSVAGHSVTVRETVAVCSVEMNGATAFEVSGTPVDCVSLALSGALFSWSKPVLLISGINGGSSGGRNMYYSGAVAAAREALICGVPSLCLSFNWKKEVSCESDLKNAANVCLPLIFAAVRDIERRNFPESCLMNIQIPSCPLANKGFKLTRQSLWRSPLSWKAVSANRHPAAGQYLSNQQSLGIKLAQLSRDASAAGAARRLNSHRQNVEIESVGIAGKLNGQQTIKKYFRLEFIHLHLSRSWKRRQ >EOY30413 pep chromosome:Theobroma_cacao_20110822:9:4544843:4548307:-1 gene:TCM_037637 transcript:EOY30413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase, putative isoform 1 MTTSVKNNFLPPSLISNLQQVLISRNDAVEHQSTNSFDSTSSLSSRSRNAKPNTANVEPDCSKPVLLITNGEGIDSPGLTFLVQALLSDGRFSLHVCAPQSDKSVAGHSVTVRETVAVCSVEMNGATAFEVSGTPVDCVSLALSGALFSWSKPVLLISGINGGSSGGRNMYYSGAVAAAREALICGVPSLCLSFNWKKEVSCESDLKNAANVCLPLIFAAVRDIERRNFPESCLMNIQIPSCPLANKGFKLTRQSLWRSPLSWKAVSANRHPAAGQYLSNQQSLGIKLAQLSRDASAAGAARRLNSHRQNVEIESVGIAGKLNGQQTIKKYFRLEVLEKETEDADDNLDFRAVEDGYVAVTPLCLSSTDQSKIETQVSNWITVALGRRQ >EOY30415 pep chromosome:Theobroma_cacao_20110822:9:4545335:4548670:-1 gene:TCM_037637 transcript:EOY30415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase, putative isoform 1 MTTSVKNNFLPPSLISNLQQVLISRNDAVEHQSTNSFDSTSSLSSRSRNAKPNTANVEPDCSKPVLLITNGEGIDSPGLTFLVQALLSDGRFSLHVCAPQSDKSVAGHSVTVRETVAVCSVEMNGATAFEVSGTPVDCVSLALSGALFSWSKPVLLISGINGGSSGGRNMYYSGAVAAAREALICGVPSLCLSFNWKKEVSCESDLKNAANVCLPLIFAAVRDIERRNFPESCLMNIQIPSCPLANKGFKLTRQSLWRSPLSWKAVSANRHPAAGQKETEDADDNLDFRAVEDGYVAVTPLCLSSTDQSKIETQVSNWITVALGRRQ >EOY31778 pep chromosome:Theobroma_cacao_20110822:9:13943819:13946777:1 gene:TCM_038906 transcript:EOY31778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-protease-integrase-RT-RNaseH polyprotein MRDIVAQLKSLKEKWSINELLTTCFQKESKLIVESGESAHMATQGKKQMASQTKEKRLRHISIERIKRLVNDGVLSAQDFIDFDVYVDCIKGKLTDKTKKVAKKNSNISKIIHIDICSPNMDSYGLKYFISFIDDYSRYMYLYLLHNKNEALDAFKVFKAEVERQCKKQIKIVRLDKGREYYGRYTEDGQAPGPFMKFLEEHEIVAQYTLLGSPYHNGVVEKRNQTLMDMVRIPQAADDDIVDLVVQQISEIVEQPVMQHAPQEDANTTIRRLTRMKKLAIPSDYIVYLQEIDYNVGVKNDLETFSQVMSCKESKLWYNAMKDEMNSMAFNGVWNIVELPNGAKAIGCKWVFKTKNDSLGNIERYKARLITKGFIQKEGIDYAKTFYPVSSISFPFQFGIVSNGCENNVP >EOY32303 pep chromosome:Theobroma_cacao_20110822:9:26277348:26281561:1 gene:TCM_040038 transcript:EOY32303 gene_biotype:protein_coding transcript_biotype:protein_coding description:SC35-like splicing factor 33 MRGRSYTPSPPRGYGRRGRSPSPRGRYGGRARDLPTSLLVRNLRHDCRPEDLRRPFERFGPLKDIYLPKDYYTGEPRGFGFVQYVDPADAAEAKYQMDGQILLGRELTVVFAEENRKKPAEMRQRERGRGGRYHDRRRSPPRNSRSPRYSRSPPRHGRSRSRSRDYSPPKERHYSRSISPQDGQYSRDRYSRSPLYNGSRSRSRSLDRGQGRSRSRGRSSRRSRSQSPRQSGSRSHSPRRVESPMRSRSQSPNHEGYKREVNGERSPSQ >EOY33883 pep chromosome:Theobroma_cacao_20110822:9:39255559:39258050:-1 gene:TCM_041725 transcript:EOY33883 gene_biotype:protein_coding transcript_biotype:protein_coding description:UGT protein MEKQQKSGHLVFVLYPFQGHITPMLQLATILHSKGFSISIVHPELNSPDPSNHPEFTFISISDKLTESEVSDGDIASLMLSLNKNCAAPFQQCMKKILHQKDSHDHAAGILYDTLMYCAQTVADDLKLPGISVGTSAAATLLLYPAFPHLDGKDSISEIEMPELQSLQLQHMRALLLQNPTDAMTEVRAAMTNGTKSSSAIIVNTIHFLEQAALSKVREYFPAPIFTIGPFHKFAPTICSSILMEDANCISWLNKQASKSVIYVSFGSLASIDEQALIETAWGLANSKQPFLWVIRPGFVRGSEWIESLPNGFQESLGERGCIVKWAPQKEVLAHCAVGGFWSHCGWNSTIESICEGVPMLCKPFFGDQVLNSNYICHVWRVGLELQNKLERGNIEGAIKKLMVDMEGEEIRKKAMDLKEKADLCLREGGSSCCSLNELTKQIYSFDYKT >EOY34580 pep chromosome:Theobroma_cacao_20110822:9:41502428:41503212:1 gene:TCM_042202 transcript:EOY34580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCIFHTIFFVLSSRRNPSPLLLASGFHLLTVGSVRFHNFLLECRFFQMAVYCWFLSLSRYAYGLFVLAVCCYLAVGKFL >EOY31149 pep chromosome:Theobroma_cacao_20110822:9:7239549:7240674:-1 gene:TCM_038140 transcript:EOY31149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative isoform 1 MVTSILLLAALFIAGVVNVYFYFSPKMFYAWLLSFFRNSSSSAVSSKTAAAPQVISVKERSDSEKVELKRVFATFDKNGDGFITKQELGESLKNMRLFITEKEVEEMVVKVDANGDGLIDFDEFCILCQAMGGQGHQEGARRGEDGNGVYEEGDAEGELKEAFDVFDKDKDGLISVEELGSVLSSLGLKEGNKMEDCKAMIRKVDMDGDGMVSFDEFKRMMKSGGGLVSVSAW >EOY31151 pep chromosome:Theobroma_cacao_20110822:9:7238830:7240674:-1 gene:TCM_038140 transcript:EOY31151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative isoform 1 MVTSILLLAALFIAGVVNVYFYFSPKMFYAWLLSFFRNSSSSAVSSKTAAAPQVISVKERSDSEKVELKRVFATFDKNGDGFITKQELGESLKNMRLFITEKEVEEMVVKVDANGDGLIDFDEFCILCQAMGGQGHQEGARRGEDGNGVYEEGDAEGELKEAFDVFDKDKDGLISVEELGSVLSSLGLKEGNKMEDCKAMIRKVDMDGDGMVSFDEFKRMMKSGGGLVSVSAW >EOY31150 pep chromosome:Theobroma_cacao_20110822:9:7239498:7240657:-1 gene:TCM_038140 transcript:EOY31150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein, putative isoform 1 MVTSILLLAALFIAGVVNVYFYFSPKMFYAWLLSFFRNSSSSAVSSKTAAAPQVISVKERSDSEKVELKRVFATFDKNGDGFITKQELGESLKNMRLFITEKEVEEMVVKVDANGDGLIDFDEFCILCQAMGGQGHQEGARRGEDGNGVYEEGDAEGELKEAFDVFDKDKDGLISVEELGSVLSSLGLKEGNKMEDCKAMIRKVDMDGDGMVSFDEFKRMMKSGGGLVSVSAW >EOY31830 pep chromosome:Theobroma_cacao_20110822:9:15913022:15915850:1 gene:TCM_039098 transcript:EOY31830 gene_biotype:protein_coding transcript_biotype:protein_coding description:FCH domain only protein 1 MSCLALSLQPANGSDILLQTREWFPPARALVALHAFRQTRLAFSNKNPASAAASTSAPSSSSTSECDAATESIGDDPLAASSGQLIVGVESKYRVVYRLVNSIYVLGITTADHDNLINVFECIHIVNQAVSVIVTACRGVDVTPEKLARKYAEVYMALDIVLRGVSNIRLAAMLSAMHGDGIAKMVHSALDTEAKIRGADTWLNVEAHSVEHQSNVEAFSSANFELPPETLAAGDRIASTLVPQSTSEQDEKMVKEENSEAVKDPFAASESINKQEELVGGFKKTKDPSATDLTVALAGLEVTTLPPAEATQSTDITVEGFEGKYGGIEFGNEQATLGEAFEGFSDAWGGGLDASEFLENKKVKKQEGLSGLELLQTGDSAAPPTAAAAGADGGKSLEDLLVKKTEMKGPEMYISEEISAEFRESLLARVGLMGVVYLRTMPPKNSGDKDTEFSFRVEGTSSVKRFVMQSSRVSSLGNGMFHVRTAPSEEPIPILKYSLLPRLTPLPLRIRLIKRQSGTLLSVMIQYISSPELLAPLNDVTFVLKLPVDPTLLKVSPKAVLSRSERELKWHVPEIPLKGTPGKLRVRMPVDSSEDDEDLEVVGYVKFSVQGATSLSGVCLRAASEGKTDFYEVNHRYESGVYMCN >EOY30951 pep chromosome:Theobroma_cacao_20110822:9:6417095:6418058:1 gene:TCM_037991 transcript:EOY30951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQIKKLPLFQLLSFLLFLSNLLHFSHADVGTAARYSPPYLPTACYGDDQSQFPSSNLFAAAGDGIWDNGASCGRQYLVRCISASEPGTCVPDQTIQVKIVDYAPNAQSPPSAQSTIVLSETAFSGITNIAIDSINIEFQQV >EOY32564 pep chromosome:Theobroma_cacao_20110822:9:31474622:31488980:-1 gene:TCM_040556 transcript:EOY32564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRYMTLRGCTSNQEAEKRKKLFFFCFLKNYKSFSVNFDPKMPMDRTLQSESMRSPTVLSIECLRGSSKADEWTGDMLQTGDIVEEIRIGFGSSSGSSLTHKAPFKGGKSGVQKILHSSYKNKETSILVRVRRGGDDFAQLQACIVPNESGGKKHYMLRSIADPNYAVGFSDRTESECFELQASRNSRMVSELSRTRLQDGYVSYPWERRMQEVLSVPNSSSFLSILLLPKASDRVASRYNDLEDTLARANAWLNASQASGVPIVFMNIQTESLLTKISGETASSTVNAGSLSDLSNLANASLYGFEDYHGVDIGVVRAVRLWYAPLAEEIPIEIKLKEDDTKLGFAISRTEEGFIYISSVMDGDENVPSTRSGLSNLYKESVSASRLLVVSRLSNQKVLPWMVSSTGAVRCFDTVSLSQKLSLHRHANVPILMHVFLWDQSLVSRGFGSARLRIPSPSVLPLPPEVRLAHQPNENQILPLPPEEPNESIVTGEQPEVRLQRDTAGEVSFRFHDFSLPNNWV >EOY33718 pep chromosome:Theobroma_cacao_20110822:9:38771781:38775797:-1 gene:TCM_041619 transcript:EOY33718 gene_biotype:protein_coding transcript_biotype:protein_coding description:F10K1.7 protein MGCPHPRIHHPRSTSYLFYSVVALSFLSLAALLIYKVDDFASQTKTVAGHNLEPTPWHIFPAKKFTGETRQARAYKIIQCSYLTCRHATNDAARLSEEQKKQRRRFMSSQVSEKCPNFFKFIYRDLEPWAKTRISINHTMQAKQHAALRVVIVEGRLYVDLYYACVQSRLMFTIWGLLQLLKRYPGMVPDVDMMFDCMDKPTIDRIEHGSFPLPLFRYCTTESHFDIPFPDWSFWGWPETNIQPWDKQFKDIKQGSQAENWTRKLPWAFWKGNPDVEAPIRQELMQCNHSRQWGAQIIRQNWAEEAKGGFAQSKLSNQCKHRYKIYAEGYAWSVSLKYILSCGSLALLISPQYEDIFTRGLIPKLNYWPVSPVDLCHSIKFAVDWGNTNPSEAEAIGKRGQQLMESLSMDQVYDYMFHLISEYSKLQDFKPVPPSSAQEVCEESLLCLAEPKQKEYLKRAAAVGSPTPPCSLAKPPNSNFFNILTEHKKKLIQHVKDMEMRNALRHMI >EOY32410 pep chromosome:Theobroma_cacao_20110822:9:28900323:28913650:-1 gene:TCM_040315 transcript:EOY32410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase CB, putative MPEKMHCFQYIVALLFCAVLLEESLSNGQLSPSFYDETCPNVTSIVRQVLVNAALSDPRIGASLIRLHFHDCFVHGCDASILLGDPVNGEKEALPNKNSARGYEVVIAIVDAIKAALESACPNTVSCADILAIAYEESVCPAWAVPLGRKDGLTTNRTLANAN >EOY32821 pep chromosome:Theobroma_cacao_20110822:9:33872676:33892128:1 gene:TCM_040842 transcript:EOY32821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit isoform 1 MAFFRNYSSDTVSHSVLEEKSQGQNIGRIHSTVGNEDVDGTYEREFDINMDAQYQSDGEPDDAVRLHNEVPADNVAGVSNSNFQPAGRRIAPGKWGSTFWKDCQPMDRQGGSDSGQDSKSDHKNLEVLEYNSSDDRDDRLESDDDEAQKEVGKAQRGHSDVPADEMLSDEYYEQDGEEQSDTMHYRGFSNSVGLNTRPQSKPVCVSTTVSRGSRALNTRNYDDEDDDVNNDDADADYEEEEEEDDDDPDDADFEPDYGVASGHAGNKDKDWDGEDSEEEDNSDGDVDVSDEDDSYYKKKPKGRQQVKVGRNVKPNKERKSSNRQRRGRSSFEEDEYSAEDSDSESDVNFKSMARRGGNLRKHNARSNMLTSMGRNNEVRTSSRSVRKVSYVESEESEEIDEGKKKKTLKDEAEEEDGDSIEKVLWHQPKGMAEDAIRNNRSTEPVLLSHLFDSEPDWNEMEFLIKWKGQSHLHCQWKSFFELQNLSGFKKVLNYSKKVMEDVRYRKALSREEIEVNDVSKEMDLDLIKQNSQVERVIVDRISKDASGSVMAEYLVKWQGLSYAEATWEKDIDIAFAQDAIDEYKAREAAMAVQGKMVDHQRKKGKASLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFYNDKKIGRPIKFNTLLTTYEVVLKDKAVLSKIRWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFKSKDDFVQNYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDISMNDISKLERIILSSGKLVILDKLLVRLHETKHRVLIFSQMVRMLDILAEYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLERKETKKGSYFDKNELSAILRFGAEELFKEERSDEESKKRLLSMDIDEILERAEKVEEKQGEEQENELLSAFKVANFCNAEDDGTFWSRWIKPDAIAQAEEALAPRAARNTKSYAETSQPERSNKRKKKGSDPQEFQERVQKRRKAEYSAPLAPMIEGATAQVRGWSYGNLPKRDALRFSRAVMKFGNESQVTLIAEEVGGAVAAAPADAQIELFKALVEGCREAVEVGNAEPKGPLLDFFGVPVKANDLINRVQELQLLAKRINRYEDPIKQFRVLMYLKPSNWSKGCGWNQIDDARLLLGIHYHGFGNWEKIRLDERLGLTKKIAPVELQHHETFLPRAPNLKERANALLEMEVVAVGGKNTGIKAGRKAAKKEKENSLNVSTSRGRDKKGKPGSPKVSFKMGRDRPQRPQKVEPLVKEEGEMSDNEEVYEQFKEVKWMEWCEDVMIDEIKTLRRLQRLQTTSADLPKDKVLSKIRNYLQLLGRRIDQIVLDHEDELYRQDRMTMRLWNYVSTFSNLSGERLHQIYSKLKQEQEEDGGVGPSHVDGSVTGHVDRDGDSNYFPPFSRSVEKQRGYKNVMAYQTSQPIHKGIDTAKFEAWKRRRRAEADIHPQLQPPTQRPMSNGSRVIDPNSLGILGAGPPDKRLVNNERPYRMRQTGFPQRQGFPSGIK >EOY32820 pep chromosome:Theobroma_cacao_20110822:9:33872676:33892128:1 gene:TCM_040842 transcript:EOY32820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit isoform 1 MAFFRNYSSDTVSHSVLEEKSQGQNIGRIHSTVGNEDVDGTYEREFDINMDAQYQSDGEPDDAVRLHNEVPADNVAGVSNSNFQPAGRRIAPGKWGSTFWKDCQPMDRQGGSDSGQDSKSDHKNLEVLEYNSSDDRDDRLESDDDEAQKEVGKAQRGHSDVPADEMLSDEYYEQDGEEQSDTMHYRGFSNSVGLNTRPQSKPVCVSTTVSRGSRALNTRNYDDEDDDVNNDDADADYEEEEEEDDDDPDDADFEPDYGVASGHAGNKDKDWDGEDSEEEDNSDGDVDVSDEDDSYYKKKPKGRQQVKVGRNVKPNKERKSSNRQRRGRSSFEEDEYSAEDSDSESDVNFKSMARRGGNLRKHNARSNMLTSMGRNNEVRTSSRSVRKVSYVESEESEEIDEGKKKKTLKDEAEEEDGDSIEKVLWHQPKGMAEDAIRNNRSTEPVLLSHLFDSEPDWNEMEFLIKWKGQSHLHCQWKSFFELQNLSGFKKVLNYSKKVMEDVRYRKALSREEIEVNDVSKEMDLDLIKQNSQVERVIVDRISKDASGSVMAEYLVKWQGLSYAEATWEKDIDIAFAQDAIDEYKAREAAMAVQGKMVDHQRKKGKASLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFYNDKKIGRPIKFNTLLTTYEVVLKDKAVLSKIRWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFKSKDDFVQNYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDISMNDISKLERIILSSGKLVILDKLLVRLHETKHRVLIFSQVCIRIFYILLSHLFTGSFYAMLRNLEGKGRKFKGEESRDDERMVRMLDILAEYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLERKETKKGSYFDKNELSAILRFGAEELFKEERSDEESKKRLLSMDIDEILERAEKVEEKQGEEQENELLSAFKVANFCNAEDDGTFWSRWIKPDAIAQAEEALAPRAARNTKSYAETSQPERSNKRKKKGSDPQEFQERVQKRRKAEYSAPLAPMIEGATAQVRGWSYGNLPKRDALRFSRAVMKFGNESQVTLIAEEVGGAVAAAPADAQIELFKALVEGCREAVEVGNAEPKGPLLDFFGVPVKANDLINRVQELQLLAKRINRYEDPIKQFRVLMYLKPSNWSKGCGWNQIDDARLLLGIHYHGFGNWEKIRLDERLGLTKKIAPVELQHHETFLPRAPNLKERANALLEMEVVAVGGKNTGIKAGRKAAKKEKENSLNVSTSRGRDKKGKPGSPKVSFKMGRDRPQRPQKVEPLVKEEGEMSDNEEVYEQFKEVKWMEWCEDVMIDEIKTLRRLQRLQTTSADLPKDKVLSKIRNYLQLLGRRIDQIVLDHEDELYRQDRMTMRLWNYVSTFSNLSGERLHQIYSKLKQEQEEDGGVGPSHVDGSVTGHVDRDGDSNYFPPFSRSVEKQRGYKNVMAYQTSQPIHKGIDTAKFEAWKRRRRAEADIHPQLQPPTQRPMSNGSRVIDPNSLGILGAGPPDKRLVNNERPYRMRQTGFPQRQGFPSGIK >EOY32819 pep chromosome:Theobroma_cacao_20110822:9:33872676:33892128:1 gene:TCM_040842 transcript:EOY32819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin remodeling complex subunit isoform 1 MAFFRNYSSDTVSHSVLEEKSQGQNIGRIHSTVGNEDVDGTYEREFDINMDAQYQSDGEPDDAVRLHNEVPADNVAGVSNSNFQPAGRRIAPGKWGSTFWKDCQPMDRQGGSDSGQDSKSDHKNLEVLEYNSSDDRDDRLESDDDEAQKEVGKAQRGHSDVPADEMLSDEYYEQDGEEQSDTMHYRGFSNSVGLNTRPQSKPVCVSTTVSRGSRALNTRNYDDEDDDVNNDDADADYEEEEEEDDDDPDDADFEPDYGVASGHAGNKDKDWDGEDSEEEDNSDGDVDVSDEDDSYYKKKPKGRQQVKVGRNVKPNKERKSSNRQRRGRSSFEEDEYSAEDSDSESDVNFKSMARRGGNLRKHNARSNMLTSMGRNNEVRTSSRSVRKVSYVESEESEEIDEGKKKKTLKDEAEEEDGDSIEKVLWHQPKGMAEDAIRNNRSTEPVLLSHLFDSEPDWNEMEFLIKWKGQSHLHCQWKSFFELQNLSGFKKVLNYSKKVMEDVRYRKALSREEIEVNDVSKEMDLDLIKQNSQVERVIVDRISKDASGSVMAEYLVKWQGLSYAEATWEKDIDIAFAQDAIDEYKAREAAMAVQGKMVDHQRKKGKASLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFYNDKKIGRPIKFNTLLTTYEVVLKDKAVLSKIRWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFKSKDDFVQNYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDISMNDISKLERIILSSGKLVILDKLLVRLHETKHRVLIFSQMVRMLDILAEYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLERKETKKGSYFDKNELSAILRFGAEELFKEERSDEESKKRLLSMDIDEILERAEKVEEKQGEEQENELLSAFKVANFCNAEDDGTFWSRWIKPDAIAQAEEALAPRAARNTKSYAETSQPERSNKRKKKGSDPQEFQERVQKRRKAEYSAPLAPMIEGATAQVRGWSYGNLPKRDALRFSRAVMKFGNESQVTLIAEEVGGAVAAAPADAQIELFKALVEGCREAVEVGNAEPKGPLLDFFGVPVKANDLINRVQELQLLAKRINRYEDPIKQFRVLMYLKPSNWSKGCGWNQIDDARLLLGIHYHGFGNWEKIRLDERLGLTKKIAPVELQHHETFLPRAPNLKERANALLEMEVVAVGGKNTGIKAGRKAAKKEKENSLNVSTSRGRDKKGKPGSPKVSFKMGRDRPQRPQKVEPLVKEEGEMSDNEEVYEQFKEVKWMEWCEDVMIDEIKTLRRLQRLQTTSADLPKDKVLSKIRNYLQLLGRRIDQIVLDHEDELYRQDRMTMRLWNYVSTFSNLSGERLHQIYSKLKQEQEEDGGVGPSHVDGSVTGHVDRDGDSNYFPPFSRSVEKQRGYKNVMAYQTSQPIHKGIDTAKFEAWKRRRRAEADIHPQLQPPTQRPMSNGSRVIDPNSLGILGAGPPDKRLVNNERPYRMRQTGFPQRQGFPSGIK >EOY29780 pep chromosome:Theobroma_cacao_20110822:9:2363104:2363625:-1 gene:TCM_037214 transcript:EOY29780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein, putative MAEETQTKWEGKATAELKSSTAEQIWPFLEEFCNLDKFFPDVDTCYRVEGTPGQPGLVRYCAGKLGWAKEKLLTIDPTNRCLSYEVLEGSFGFKNYVATVKVLPMEGDGKPAGCKIEWSFIADPFEGWRLEDFGSYLDNCLQFVANKLEDAIKAQI >EOY29905 pep chromosome:Theobroma_cacao_20110822:9:2758454:2760426:1 gene:TCM_037289 transcript:EOY29905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF761) [Source:Projected from Arabidopsis thaliana (AT2G26110) TAIR;Acc:AT2G26110] MALTLHSWLPPSSSLFIFSSLCPSIVVVLFLFFSLGKKQEKQAMLEESMSTAGPSIWASIFSWFTPTVFFVFLNLTIGTIYLTSSLASNKPGVGEGQRQEGEETPKLVRHPSVLQRLKSINLSPYRSQEPVSTTVTAYERIPDVDDAHFSFQQQTPEQDQRQQQPSIFRSPSVLQRLKSVNLYSYLSPERTTVHKNQEIYTHYTPAQAREEEEEQQKQESEEEQENQGGLKEEVIEEEEERIQGQERTLDEIFSQLKDGHVRRTKSDTKPSSGEIPTKLPKNMRKSASVKSAFSHFEEEDIVETRRPATVREGKAKATEEDEEVDAKADDFINKFKQQLKLQRIDSILRYKETVNRGSGR >EOY33302 pep chromosome:Theobroma_cacao_20110822:9:36558777:36579857:1 gene:TCM_041258 transcript:EOY33302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQTTERCPFEVVYGKRPLSPLDLPALPTTREFSADAEEHAKQIKKLHEKVREKTNRQIDRYQKQANKHKKPASFKKGDLVWIHLRKERFPKSRAKLSL >EOY32183 pep chromosome:Theobroma_cacao_20110822:9:22782474:22784477:-1 gene:TCM_039775 transcript:EOY32183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMCVTTDGEQRFKYCFWAFGSCIRGFNAVMRLVVAIDATHLKGRFKGILFVAACKDANEQIYPLAFSIGHVEDEESWSWFLNQLRRAIGCPENAMFISDQHLGIKNAVEKVYKDAHHVSANVQPMNSARTITRLCLGRRDMQLLFAQLGIPVSGTSPMTCNKLSFCHQVGEVKREDLRGKGFHQLRKAADDVDVHNARAMVTIDKIVGLRLHIYRQTGKHHLLSRLLDDVDPRHVQFADNQGTIKTTVQYGLQTLITLSVSYVKIVAL >EOY33513 pep chromosome:Theobroma_cacao_20110822:9:37911702:37914521:-1 gene:TCM_041478 transcript:EOY33513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase isoform 1 MAVSEAFLHLDPMLLFKSGANFGKQRNLGLVEFHYSLGTSQNGIKSYAFSSVGYYSTDRKNRTKRSCFSRCKCQKADSISEVTADDGRPTSLSINGRTNVNNAQEFELNQLLKSDKEGFANGDTNGVGTVIDSRKSIEEEAWDLLKESVVYYCGNPIGTIAASDTSSSSILNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILYTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPRDGDDSVTEDVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCTGDLSVQERVDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLASEDGSADLIRALNNRLVALSFHIREYYWIDMKKLNEIYRYKTEEYSFDAVNKFNIYPDQIPPWLVEFMPARGGYLIGNLQPAHMDFRFFSLGNLWSIVGSLATVDQSHAILDLVEAKWSELVADMPLKICYPALEGQEWRIITGSDPKNTPWSYHNGGSWPTLLWQQLTVACIKMNRPEVAEKAVMLAERRICRDKWPEYYDTRRARFIGKQSRLFQTWSIAGYLVAKLLLANPSAAKILINEEDADLVNAFSCMLSANPRKKRGQKGFKQPFLI >EOY33512 pep chromosome:Theobroma_cacao_20110822:9:37910719:37914964:-1 gene:TCM_041478 transcript:EOY33512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase isoform 1 MAVSEAFLHLDPMLLFKSGANFGKQRNLGLVEFHYSLGTSQNGIKSYAFSSVGYYSTDRKNRTKRSCFSRCKCQKADSISEVTADDGRPTSLSINGRTNVNNAQEFELNQLLKSDKEGFANGDTNGVGTVIDSRKSIEEEAWDLLKESVVYYCGNPIGTIAASDTSSSSILNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILYTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPRDGDDSVTEDVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCTGDLSVQERVDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLASEDGSADLIRALNNRLVALSFHIREYYWIDMKKLNEIYRYKTEEYSFDAVNKFNIYPDQIPPWLVEFMPARGGYLIGNLQPAHMDFRFFSLGNLWSIVGSLATVDQSHAILDLVEAKWSELVADMPLKICYPALEGQEWRIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEVAEKAVMLAERRICRDKWPEYYDTRRARFIGKQSRLFQTWSIAGYLVAKLLLANPSAAKILINEEDADLVNAFSCMLSANPRKKRGQKGFKQPFLI >EOY30120 pep chromosome:Theobroma_cacao_20110822:9:3457155:3458754:-1 gene:TCM_037440 transcript:EOY30120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQESQIHAKLGKGDDLADELGGNVFGAGRVGAVMMMEGQPSFVEGNEKKRRKRNKKSKTPSKYDKIS >EOY29372 pep chromosome:Theobroma_cacao_20110822:9:951897:953200:-1 gene:TCM_036929 transcript:EOY29372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein, putative MAGFIRTARRTILSASKPLTNGSGSRIATASSLLQYEPYATGTVHKSPFKANILRILSNEIEYQHDYAPPHQPATSFNSFMVEDRPGEKWVTMRGKHGDNEEIKIEVTMFDGCVFVPKPGEDSSGEDVLLHISLLVDISKGQGCPELEFLCSAWPGRLEIQRVYLLNRDRIVTNPYMGPDFRKMDGKLKKMLYDYLEARGVNNELCVFLHEYMMNKDRIELIQWLGNVKSIVEK >EOY34622 pep chromosome:Theobroma_cacao_20110822:9:41612204:41616288:1 gene:TCM_042231 transcript:EOY34622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sorting nexin 2A MMGSENQGFEEAHLFASREEMENLVLDEPLSNHSSNNNNHQNNNSYSSYRSATSSLSDTTHHPLSPPILATPADSDPLLSPPLYRNPNASDNNSYIEPPSYADVIFSPFDENSVNEINGLESTSQNPESSLTLSRSPSSSSDYIKITVSNPKKEQETTNSIVPGGNAYYTYLITTRTNIPEFGGSEFSVRRRFKDVVTLSDRLAESYRGFFIPPRPDKNVVESQVMQKQEFVEQRRVALEKYLRRLAEHPVIRLSDELKVFLKVEGRLPLATSTDVASRMLDGAVKLPKQLFGESTAVVAPHEVVQPAKGGMDLLRLFKELKQSVANDWGGSKPPVVEEDKVFMEKKEWIHDLEQQLSNASQQAEALVKAQQDMGETMGELGLAFIKLTKFENEEGRFNSQKVRAADMKCLATAAVKASRFYRELNAQTVKHLDTLHEYLGLMLAVHSAFSDRSSALLTVQTLLSELSTLHSKAEKLEAASSKIFGGDKSRIRKIEELRESIRVTENAKNAAISEYERIKENNKFELERFDKERRTDLFNMLKGFVVNQVGYAEKISNVWAKVAEETSGYANDSS >EOY29210 pep chromosome:Theobroma_cacao_20110822:9:356061:357033:-1 gene:TCM_036814 transcript:EOY29210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGYVKTLARTPYPWEKAPTASMEELQLAKPIYSQAQTEFGGNRHRHKSNRPTANVHISLTNFVILNCVYL >EOY32793 pep chromosome:Theobroma_cacao_20110822:9:33559772:33564788:-1 gene:TCM_040808 transcript:EOY32793 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain-containing-like protein MLDIIIKYIVMMFRRVWFGGSDMELAFGGSHAALPVEVYWNSVFPYTAMPTALKDLLLPPAESMPFSSDKFPGILRLFSLKTESVEAKVMKRTVANCERPAIEKEDKYFASLESFVDFSVSKFGKNIKPLSSEMEIKETEDQEFSIANKGLEMMGEKEMACHKMKYPYAVFLCHSLDKTAVYKVPSVGNDATKVKALAVCHKDTSAWNQSTWPFEFLKLSKEQSHLSFPHEREQCLGSKLNC >EOY29224 pep chromosome:Theobroma_cacao_20110822:9:410586:411381:-1 gene:TCM_036825 transcript:EOY29224 gene_biotype:protein_coding transcript_biotype:protein_coding description:STS14 protein, putative MEIRTKFSCSLLGTFLLLSSLLPLSLSHLSDEVPSHVISRRLFTQTPSGAVRQYLIPHNILRAESGLPPLKWSKKLANFASWWAHKRQGDCALIHSNGNYGENLFWGSGKDWKPGDAVAAWAAEKSNYNYNSNTCTKNRDCLHYTQIIWRNSLKIGCAKVVCKSGDTLIACNYDPHGNVIGQKPF >EOY31623 pep chromosome:Theobroma_cacao_20110822:9:10555021:10556873:-1 gene:TCM_038597 transcript:EOY31623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREERKRERGRDVLRRRKRKRKRRRKREGARRQGEEGEGRKKKKKKKKKERRSSCGEGRKKKEKAKKGEKV >EOY32636 pep chromosome:Theobroma_cacao_20110822:9:32345160:32348224:-1 gene:TCM_040657 transcript:EOY32636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTNIWPGLAYALALCLIINNVAAHDDEPYNALPPYHQGHQNFKAIHSSKVVGEPPFFLASVVFFAIKDAIIVARAETGHTGCFPLNNPTTLERIKMACAV >EOY32498 pep chromosome:Theobroma_cacao_20110822:9:30413070:30415953:-1 gene:TCM_040454 transcript:EOY32498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSRSSSSNRLRLEIKHLDDFFLDCSFFLLYLNLLNFFFLGNLQEDCADKHLICSPCGKAHGALSFLELWAMLAICAVVMVMLLLIEQWMDLFPLALGLGLDHVSLKHHHLAFGHEVGIGAAMQGHEGAWKETQVVGVRV >EOY30914 pep chromosome:Theobroma_cacao_20110822:9:6240160:6243368:1 gene:TCM_037958 transcript:EOY30914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 3-beta hydroxylase, putative MNPTMNSISSETFKTTPSHPEHTIPLDFTNVPKLPDSHAWTLPHQPIDPFTQEPLPIIDLAKPDVSKLVRHACEEWGAFQITNHGIPICLLNEIEYQTRRLFSLPAESKLLAVRSPEGFTGYGLARISPFFSKLMWSEGFSIIGSPVEHASQLWPEDHAKFCEVMEQFQEEMKALSEKMVAIMLSSLGLTHEEDVKWFEPMKEGCDQSTCVLQLNSYPVCPDPGRAMGLAPHTDSSVLTLLYQGNISGLQVQRDDAAGWMPVEPVEGALVVNVGDLMHIVSNGRFKSVLHRALVNNEHHRVSTAYFYGPPRDAKVSPLRKLIDRDHPPLYRPVTWKDYLEAKSKHFSKALESIRL >EOY30382 pep chromosome:Theobroma_cacao_20110822:9:4412624:4434978:-1 gene:TCM_037611 transcript:EOY30382 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MDGSQKQLHIVMFPWLAYGHIMPFLQVSKFLAQKGAESTSDLPIHQVPYLKKAYDKLETQLTEFLKKSSQVKWIIHDFAPYWLPPVATQRGINLVYFSIYSASTFAFLGPPSELLNGSRQRPEDFTVAPVWLDYPNNLAFKLHEMVGHQECMDSVSDFERVGTLLLSCKILALRSCYEVEADALRVLSKIHQKPIIPLGLLPPSLPSNEDKENENWEAMKKWLDSKRDKTVFYVALGSEVSLSQEFMHELASGIEKSGLPFIWAVRNRPLVEGQLVQDVLPSGFEERVSGRGLVLRGWAPQLRVLTHSSVGGFLTHCGWSSVIEALGYGLPLILFPGASSDLGLVARLMHSKKVGLEIERNDLDGSFTSDLVAESIKRVMVDPEGEPLRANASAVKEIFSSVELSNKYLDEFTRSIEEFPPSDAEV >EOY33118 pep chromosome:Theobroma_cacao_20110822:9:35500169:35502165:-1 gene:TCM_041105 transcript:EOY33118 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOF domain class transcription factor, putative MDPSSGQHQEMPNPSLENMLVCTSRGQQERKPRPQPEQALKCPRCDSTNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSSSSKRSQDQPLTPNTNPLTSLPPLSYDTNDLSLAFARLQKQSSGQLGFDDHDLSILGNPTNTQCDILGNPNTSSAANPAFLDALRSGFLGTQNHFQNFYYGFGNENMGEVDNGGGSVGVSGEMMLPYSEEMSNIATTTAVTVTTMKQELCNGRESENRVLWGLPWQLNGDGNNMGDLDSGRESWNGLNPTWHGLLNSPLM >EOY33039 pep chromosome:Theobroma_cacao_20110822:9:35058436:35060367:1 gene:TCM_041047 transcript:EOY33039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) protein-related MGRIYSFVLVTLALVFNSMDASYAQGNGKGNSKKAPYDAASTHYDVLKPSNKGQERVLCQARGACNGKTLTCPAQCPERKPKKNKKNKGCFFDCSSKCEVTCKWRRPNCNGYGSLCYDPRFVGGDGVMFYFHGAKGGNFAIVSDDNLQINAHFIGTRPEGRTRDYTWVQALAVMFDTHTLVLAAKRVSQWDDSFDALTVRWDGEAVNIPTDGEAEWRTNSEEREVVVERTDDTNSVKVTVAGLVELNVKVRAIGERENKVHNYQLPADDAFAHLETQFKFTNVSDRVEGVLGQTYRADYVSPVKRGVPMPMMGGEDKYQTPSLFSPLCKLCRFKGQAGFASI >EOY33534 pep chromosome:Theobroma_cacao_20110822:9:37939326:37940989:1 gene:TCM_041483 transcript:EOY33534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome-c oxidases,electron carriers MSEPPFRAREKLLEKQKYFQSIQKHTYLKGPYDKITSVAIPVALAASSLYLIGRGIYNMSHGIGKKE >EOY30543 pep chromosome:Theobroma_cacao_20110822:9:4941262:4948853:1 gene:TCM_046923 transcript:EOY30543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial-processing peptidase subunit beta, mitochondrial, putative MDLLPTENSQIAKKHGFRSLKLVNVELDQEFQHEPFGVDYGRLDNGLVYYVRCNSKPRMRAALALAVKVGSVLEEEDERGVAHIVEHLAFSATKRYTNHDIVKFLESIGAEFGACQNAVTSADETVYELFVPVDKPELLSQAISVLAEFSSEIRVSKDDLKKERGAVMEEYRGNRNASGRMQDAHWTLLMEGSKYAVRLPIGLEKIIRTVSSETVKQFYKKWYHLHNMAVIAVGDFSDTKSVVELIRTHFGEKNSATDPPIIPLFPVPSHEGPRFSCFVESEAAGSAVMISYKMPADELKTVKDYRDMLAESMFLHALNQRFFKISRRRDPPYFSCSAAADALVHPLKAYIISSSCKEKGTLEAIESMLIEVARVRLHGFSEREISVVRALLMSEVESAYLERDQMQSTSLRDEYIQHFIHNEPVIGIEYEAQLQKSILPYISASEVSKYAEKLQTSCSCVLKTIEPQAFATIDDLKNIVLKLNNLEKEGSISPWDDEYIPEEIVNIKPSPGYIVEQIDYSNIGATELTLSNGMRVCYKCTDFFDDQVLFTGFSYGGLSELPENEYFSCSMGSTIAGEIGVFGHSPSVLMDMLAGKRVEVGTKLGAYMRTFSGDCSPSDLETALQLVYQLFTTNVTPGEEEVKIVMQMAEEAVHAQERDPYTAFANRVKELNYGNSYFFRPIRISDLKKVDPVKACEYFNGCFKDPSTFTVVIAGNIDPTIALPLILQYLGGIPKSPEPIFHYNRDDLKGLPFKFPTTIIREVVRSPMVEAQCSVQLCFPVELKNGTMVEEIHCVGFLSKLLETKILQVLRFKHGQIYSAGVSVFLGGNKPSRTGDVRGDMSINFSCDPEISSKLVDLALDEVVRLQEEGPSDQDVSTVLEIEQRAHENGLQENYYWLERILRSYQSRIYSGDAGTSFKIQEEGRSRVRESLTPSTAQSSLQRIMPYPCKNQYTVVILMPQASRFKSLRSLFQHTAHGRDAKILAGISGLTVLAACLWKYSRKS >EOY32378 pep chromosome:Theobroma_cacao_20110822:9:28403759:28404622:1 gene:TCM_040260 transcript:EOY32378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive (dirigent-like protein) family protein MARILLILASEFIILSILSSSGLIQCSREDDHDFMSILDRKLLGLKEEKLSRFRIYWHDIVSGRNATSIQVVQPSNGSATGFGIISMIDDPLTEGPKLSSKMVGRAQGFYALSSQEEVGLLMSMNFAFTVGKYNGSTITVLGRNTVFSKVREMPVIGGSGLFRFARGYVQARTHTFNLETGDAIVQYTCYVLHY >EOY30352 pep chromosome:Theobroma_cacao_20110822:9:4310145:4313099:-1 gene:TCM_037592 transcript:EOY30352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18ae family MEQSAAVEEVGNLRNNLELSKSVSDKHLDLLRPSARYYSVVKGQAPDATEKGKYTLIKDVEDFQTGIFDKPLPCFGCGIGWFSFLSGFVCPLMWYYATFLYFGNHYRKDPRERAGLAASAIAAMACSVVLLIIIVFILFST >EOY34684 pep chromosome:Theobroma_cacao_20110822:9:41786747:41789086:-1 gene:TCM_042276 transcript:EOY34684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCLATIPGTDETHQGHNHGQGKSYHYQTGRLHMLKPKSVSHIPWCLNFLQLLITAMNFREDWRSKKCLFTLSFWYNQFLFAT >EOY30701 pep chromosome:Theobroma_cacao_20110822:9:5484676:5486642:1 gene:TCM_037822 transcript:EOY30701 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MNRLRVICLVLFFLLFNVDAQSPSSESDATSGDAVSNFRPSLAVVIGVLCVMFSLTLFLLVYAKFCHRGATVHGDRHPGILHRTRSQFSGIDKKVIESLPFFKFSSLKGSKQGLECAVCLSKFEDIEILRLLPKCKHAFHIGCIDRWLERHSSCPLCRQKINTEDPTIFTYSNSMRFLWNQSELREDSNMELYIQREQESHGSSRFSIGSSSRKIEKGNIENEVLIQEEAADEDDDGRVFHKFKHKIIVSDVVLKNRWSSVSSSDLMFLNSEMLNEMSSNRFSSLETNDHGQSTITRPIENKQIMKIKEELEIKRLFESKVSSINKNNPVSAPALPSTSNFSATSSHTSMIVNQAEKRSMSEITALSRFRDVSPRNRTSQSLLSETNTKEERIRRLWLPIARKTVQWFANRESSQQSQNSTQDLDV >EOY33161 pep chromosome:Theobroma_cacao_20110822:9:35751431:35756065:1 gene:TCM_041145 transcript:EOY33161 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase 1 alpha subcomplex subunit 12 isoform 2 MASTVVKSALQAIREKGLRTFLRELKEDGYTKCIFDGNLLQTKIHNIGATLVGVDKFGNKYYEKLGDTQCGRHRWVEYAKKDRYDASQVPPEWHGWLHCITDHTGDELLMLKPKRYGVEHKENLSGGCRFGLRGHWTLSVGAAFVFVLNPSGKLQTVARSYQ >EOY33163 pep chromosome:Theobroma_cacao_20110822:9:35751620:35754950:1 gene:TCM_041145 transcript:EOY33163 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase 1 alpha subcomplex subunit 12 isoform 2 MASTVVKSALQAIREKGLRTFLRELKEDGYTKCIFDGNLLQTKIHNIGATLVGVDKFGNKYYEKLGDTQCGRHRWVEYAKKDRYDASQVPPEWHGWLHCITDHTGDELLMLKPKRYGVEHKENLSGKGNEFIYHSKGHALNPGQRDWTRYQPWQPTKAE >EOY33162 pep chromosome:Theobroma_cacao_20110822:9:35751620:35755550:1 gene:TCM_041145 transcript:EOY33162 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase 1 alpha subcomplex subunit 12 isoform 2 MASTVVKSALQAIREKGLRTFLRELKEDGYTKCIFDGNLLQTKIHNIGATLVGVDKFGNKYYEKLGDTQCGRHRWVEYAKKDRYDASQVPPEWHGWLHCITDHTGDELLMLKPKRYGVEHKENLSGKGNEFIYHSKGHALNPGQRDWTRGMPIWLTRTLDTICRSCICVCVKPIRKASNCSSIISMMRV >EOY33991 pep chromosome:Theobroma_cacao_20110822:9:39605870:39608898:-1 gene:TCM_041807 transcript:EOY33991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin fusion degradation 1 isoform 2 MDRGNSTFEQCYRCYPVSFIDKAHLEKGDKAIMPASALDRLASLHVEYPMLFELTNAPVERVSHCGVLEFIADEGMIYLPYWMMENMLLQEGDIVQVKNASLAKGTYVKLQPHTMDFLDISNPKAILETTLRSYSCLTTGDTIMVPYNNKKYYINIVETKPSPAVSIIETDCEVDFAPPLDYKEPQQPVPSLRSKGMPPEAEEVPPKKVAKFSPFSGSARRLDGKPLTQPAALPVSPLFKQHNSNAGNETVASKSSSSTSSQHSGKLVFGSNGGQPPKETTKVAMKNSREPPKEEEPKFQAFTGKKYSLRG >EOY33992 pep chromosome:Theobroma_cacao_20110822:9:39606381:39608936:-1 gene:TCM_041807 transcript:EOY33992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin fusion degradation 1 isoform 2 MPASALDRLASLHVEYPMLFELTNAPVERVSHCGVLEFIADEGMIYLPYWMMENMLLQEGDIVQVKNASLAKGTYVKLQPHTMDFLDISNPKAILETTLRSYSCLTTGDTIMVPYNNKKYYINIVETKPSPAVSIIETDCEVDFAPPLDYKEPQQPVPSLRSKGMPPEAEEVPPKKVAKFSPFSGSARRLDGKPLTQPAALPVSPLFKQHNSNAGNETVASKSSSSTSSQHSGKLVFGSNGGQPPKETTKVRRSILV >EOY32314 pep chromosome:Theobroma_cacao_20110822:9:26523609:26525211:1 gene:TCM_040058 transcript:EOY32314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucoanthocyanidin dioxygenase, putative MAPTIADQLFNTDSSALTDFVINQGNGVKGLSEMGLKALPKAYIQPLEERMWASATQVIPEESIPIIDMTNWEDPKVAKAVCDAAEKWGFFQIVNHAVPIQVLENVQDATHRFFGLPAEEKKKYSKEHSASSNVRFGTSFSPKAEKALEWKDFLSLFFVSEEEACAFWPPVCREQVLEYMRSSEVVIKQLFQILMNGLNVKEIDETTKSLLMGSVRTNLNYYPICPNPELTVGVGRHSDVSSLTILLQDEIGGLYVKGNQGGNWIHVPPIKGSLVINVGDALQILSNGRYRSVEHRVIANGSKNRISVPIFVNPRPGDIIGPLPQVLENGQKPIYKQVLYSDYVRHFFHKAHDGKKTVEFAEI >EOY34329 pep chromosome:Theobroma_cacao_20110822:9:40708354:40711243:1 gene:TCM_042037 transcript:EOY34329 gene_biotype:protein_coding transcript_biotype:protein_coding description:5\'-AMP-activated protein kinase beta-2 subunit protein isoform 2 MGNANGREDGANGGVDDLSGRSNGGDPVVRGAVSAAGAAVAVRVPSSDSMANTPPQSPSRSRSPLLFAPQAPVAPLPRGDGHSFFNQIWRHDSTGVADCPSEKGIPVIITWNYGGNDVAVEGSWDNWRSRKTLQRAGKDHSILLVLPSGIYHYKFIIDGEWRYTPDLPFVADEMGHVCNILDVHDYVPENLDSVTEFETPGSPNSSYGQALPREEDFAKEPVVVPSQLHLTVLGTDTQDGASSSKPQHVVLNHLFIEKGWASQSVVALGLTHRFESKYVTVVLYKPLKRNFCHLTSTSKLRRLLCYFCYVWFLTALAVDV >EOY34330 pep chromosome:Theobroma_cacao_20110822:9:40708442:40711409:1 gene:TCM_042037 transcript:EOY34330 gene_biotype:protein_coding transcript_biotype:protein_coding description:5\'-AMP-activated protein kinase beta-2 subunit protein isoform 2 MGNANGREDGANGGVDDLSGRSNGGDPVVRGAVSAAGAAVAVRVPSSDSMANTPPQSPSRSRSPLLFAPQAPVAPLPRGDGHSFFNQIWRHDSTGVADCPSEKGIPVIITWNYGGNDVAVEGSWDNWRSRKTLQRAGKDHSILLVLPSGIYHYKFIIDGEWRYTPDLPFVADEMGHVCNILDVHDYVPENLDSVTEFETPGSPNSSYGQALPREEDFAKEPVVVPSQLHLTVLGTDTQDGASSSKPQHVVLNHLFIEKGWASQSVVALGLTHRFESKYVTVVLYKPLKSFGS >EOY30689 pep chromosome:Theobroma_cacao_20110822:9:5441208:5442997:-1 gene:TCM_037811 transcript:EOY30689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MGDSPNSETESSTLSNNSSTLSLPSPSSYVGKKKSADDPTPDPKRQKRPRDSSKHPVYRGVRMRTWGKWVSEIREPRKKNRIWLGTFSTPEMAARAHDVAALSIKGNSAILNFPELAGSLPRPASNSPRDVQAAAAKAASMEFSSSNSNHTTTTSSSSSSSASTSTSSNVDDVSTPEELSEIVELPSLGTSYESAESGSEFVYVDPDDGWLLNPSGVPWYYEENCGYFGDEISMQMQESVITTGFGPLLWDH >EOY32204 pep chromosome:Theobroma_cacao_20110822:9:23086674:23088989:1 gene:TCM_039798 transcript:EOY32204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWQNTANKMHTVWKCYGIYLIFLEFLFLKLKNKRKNKNKKKKLSGERERERGKRGKEEREREKGGGGVVGPRRIGPSALSWPDQ >EOY30096 pep chromosome:Theobroma_cacao_20110822:9:3347871:3361744:1 gene:TCM_037420 transcript:EOY30096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-dependent monooxygenase 1 MACEQGYLKLSRVGIIGAGISGIAAAKQLSHQNPIVFEATDSIGGVWKHCSFASTKLQTPRCDFEFSDYPWPQRDNSSFPSYLEILDYLHNYATHFDVLKFIKFNSRVVEIRYIGDRETTTLDVAPGEFGSLLRGHPVWEVAVETNPSKTVEMYAFELLVVCIGKYGDIPRMPVFPPNKGQEIFSGKVMHTLEYSKLDKEAAGELLKGKKVAVVGYKKSAIDLATECAEANQGPDGQPCTMVIRTLHWTVPAYWIWGLPFFLFYSTRSSQFLHERPNQGLLRNLLCPLLSPMRKAVSKFIESYLVWKLPLDKYGLKPDHPFEEDYASCQMAILPENFFSEADKGKILFKRASKWWFWNGGLEFEDNTKIEADVVLLASGYDGKKKIQDLLPEPFSSLIVDSTGLMPLYRGTIHPLIPNMAFVGYIESVSNLHTAEIRCKWLSRLADGLFKLPSIEKMLDQTTKEMEIMKKTTRFYKRHCISTFSINHSDEICEEMGWKSLRKNNWFLEAFAPYNSQDYGEDK >EOY29929 pep chromosome:Theobroma_cacao_20110822:9:2840805:2841982:1 gene:TCM_037309 transcript:EOY29929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRKIKWLITLLGLGLTDKWSSFTFLIKLLGRYRSGWRNWQGKWNLTYCFLECRGF >EOY31038 pep chromosome:Theobroma_cacao_20110822:9:6737602:6741044:-1 gene:TCM_038061 transcript:EOY31038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein, putative isoform 2 MKSTQIRQFLYQAVSLGMIIASALMVWKGLICITGSESPVVVVLTGSMEPGFKRGDILFLYMSKDPIRAGEIVVFNDGRKVPIVHRVIEVHERRDTREADILTKGDANDMDDRVLYTSSQHWLQQKYIKGRAVGFLPYVGYVTIIMTEKPVIKYILLSALGLLVITSKE >EOY31039 pep chromosome:Theobroma_cacao_20110822:9:6737632:6740825:-1 gene:TCM_038061 transcript:EOY31039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein, putative isoform 2 MVWKGLICITGSESPVVVVLTGSMEPGFKRGDILFLYMSKDPIRAGEIVVFNDGRKVPIVHRVIEVHERRDTREADILTKGDANDMDDRVLYTSSQHWLQQKYIKGRAVGFLPYVGYVTIIMTEKPVIKVWNCWNFKVFMLIKWSFSFFLLNFFKLSVVALIILYCLQWCKQLTKRQGFCRLSIC >EOY32426 pep chromosome:Theobroma_cacao_20110822:9:29043873:29047574:1 gene:TCM_040338 transcript:EOY32426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MDYYCDYKRATENFYTAMPLSTDVARMKKQHERLFVFSWLFGLDSEYDVIRCQLLANKDVSSLFDVVTIALSATKESVFTTSNVSDRFVLVSQATSEFGSGYRGGSGGGRHKFRGNCDGKGTGGCGAVFLINHMPFSILQGQTPYYILSSDQSLFPIPPKKGYHCYSLELGKNLVSRDVTFFEHSPFFAGPTPFVSETKSAHDFLIYTGKCHCTHSISSFVSYGHLSHSSRSFVASLDSVSLPKTLMEALSHNGRRTAMEEEMLALATKDAWDIVSLPSGKQAIGCKWMYAIKMNLDVTIARLKAQLVAKGSATHYCPSHQLDVKNAFLHGDVQEKVYMVQPPVFVAQGEFGKVCRLRKSLYGLKQSPRTWFGRFSDVVLEFGLLQSSDQQGIHDLEVFLQAKFHMKDLGSLKYFLRIEVTCSKKGISLSQRKYVLDLLKNVGLLKAKPCETPMDPSVKLIAREGKAFADLEKYRWKFGIMEEKAVESGSKV >EOY30595 pep chromosome:Theobroma_cacao_20110822:9:5124706:5127054:-1 gene:TCM_037748 transcript:EOY30595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotyrosine protein phosphatases superfamily protein MKIEELDDVENDREIDGVYGVKQLQIVRVDDAKRALVGAGARILFYPTLLYNVFRNKIQSEFRWWDEVDQFLLLGAVPFPKDVRRLKQLGVGGVITLNEPFETLVPTSLYHAYGIDHLVIPTRDYLFAPSISEISRAVDFIHKNASCGRTTYVHCKAGRGRSTTIVLCYLVEHKQMTPAGALEYVRSRRPRVLLAPTQWKAVQEYSRHRQPATIHSPSVDAVMITKADLEGYHSTFDDITGKELVVVPRLVRARPMIARLSCLFASLKVSGVSGPVTGRLPEARAC >EOY33666 pep chromosome:Theobroma_cacao_20110822:9:38441155:38442019:-1 gene:TCM_041568 transcript:EOY33666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) hydroxyproline-rich glycoprotein family, putative MANGNASTAQATQQPPKHINLVRFLATCLLALIVLVGLAVLITWLIIRPKRFVYTMENGSIQNFNLTNNHLNATFDFVLKAYNPNSRISLYYDYMESAVTYEDQTLAFNTVEPFFQPHRNATRVESKLTAQNLALSPSIFKDIKVEKASGEIQVDVHFKSKIRFKVGVWKSRHRILRIVCSSVTVHFSWYKHFDKVPCEVEL >EOY33713 pep chromosome:Theobroma_cacao_20110822:9:38757163:38760570:-1 gene:TCM_041615 transcript:EOY33713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-speciwc phospholipase C4 isoform 1 MGYIGNYSLCICFPKKFGTTEAGPPVDVKEVFMEYAGGGTCMTVEQLRRFLVEVQGDAEASIEDAERIVEEVLQRRHYNVKFTKKALSLEDFHFYLFSVDLNPSLLNQVHQDMTAPLSHYFIYTGHNSYLTGNQISSDCSDVPIIKALKRGLRVVELDLWPNSTKDNVLVLHGWTLTTPVELIKCLKSIKEHAFSASPYPVIITFEDHLTPDLQAKVAQMVTQTFGNMLFYPDSDCVREFPSPEELRYRIIISTKPPKEYLEDKSLSSRRSNSVKEKDSDEDVWGRMSPDLTNDDEKSDCDTSEHSLCDGDNEACDRLLRPLGAPAYKNLISIPAGKPKGKLREKLKVELDKVRRLSLSEQKFEKATISHGTEVVRFTQRNILRIYPRGTRVNSSNYNPLIGWMHGAQMVALNMQGYGKSLWLMHGMFRSNGGCGYVKKPEFLMNVGPNDQVFDPKAKLPVKKILKVKVYMGDGWHLDFKQRHLNHWSPPEFYTRVGIEGVPADKTMRKTKKRNGNWTLVWDEEFIYPLTVPEIALLRVEVHEYNMSEKDYFAGQTCLPVSELRPGIRAVPLFNRKGEKFTSLGLLMRFEFVQVDI >EOY33714 pep chromosome:Theobroma_cacao_20110822:9:38757312:38760328:-1 gene:TCM_041615 transcript:EOY33714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-speciwc phospholipase C4 isoform 1 MGYIGNYSLCICFPKKFGTTEAGPPVDVKEVFMEYAGGGTCMTVEQLRRFLVEVQGDAEASIEDAERIVEEVLQRRHYNVKFTKKALSLEDFHFYLFSVDLNPSLLNQVHQDMTAPLSHYFIYTGHNSYLTGNQISSDCSDVPIIKALKRGLRVVELDLWPNSTKDNVLVLHGWTLTTPVELIKCLKSIKEHAFSASPYPVIITFEDHLTPDLQAKVAQMVTQTFGNMLFYPDSDCVREFPSPEELRYRIIISTKPPKEYLEDKSLSSRRSNSVKEKDSDEDVWGRMSPDLTNDDEKSDCDTSEHSLCDGDNEACDRLLRPLGAPAYKNLISIPAGKPKGKLREKLKVELDKVRRLSLSEQKFEKATISHGTEVVRFTQRNILRIYPRGTRVNSSNYNPLIGWMHGAQMVALNMQGYGKSLWLMHGMFRSNGGCGYVKKPEFLMNVGPNDQVFDPKAKLPVKKILKVKVYMGDGWHLDFKQRHLNHWSPPEFYTRVVGIEGVPADKTMRKTKKRNGNWTLVWDEEFIYPLTVPEIALLRVEVHEYNMSEKDYFAGQTCLPVSELRPGIRAVPLFNRKGEKFTSLGLLMRFEFVQVDI >EOY29445 pep chromosome:Theobroma_cacao_20110822:9:1216243:1216956:1 gene:TCM_036977 transcript:EOY29445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDMPQTSVIFMIYLSRALDTPRQLLHCHELISLFQADERGHIVLCCIDDVLYLTVIRPGYMISSPNQLIQHSRIDS >EOY30650 pep chromosome:Theobroma_cacao_20110822:9:5282152:5283493:-1 gene:TCM_037785 transcript:EOY30650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase inner membrane subunit 17-2 MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFLKGVYNSPTGSRFLGGSQAVRMNAPRVGGSFAVWGGLFSAFDCTMVYARQKEDPWNSIFAGAATGGFLQMRQGLGSSARSALFGGVLLALIEGAGIMLNKVLSAQENMPVMIEDPGPGVGLPMGLPGQTGNEVGSSSDSGWFGGWFDGGKKKEEARNGGSKTEILESFDAPPVPTFELK >EOY33191 pep chromosome:Theobroma_cacao_20110822:9:35821937:35828974:1 gene:TCM_041158 transcript:EOY33191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde oxidase 4 isoform 2 MGEHTNTTTTTKTEQSLVFAVNGQRFELSEVDPSTTLLEFLRSQTSFKSVKLGCGEGGCGACVVLQSKYDPVHDRVEDFTVSSCLTLLCSVNGCSITTAEGVGNSKDGFHPIQERFSGFHASQCGYCTPGMCVSLYSALVNADKTNRPEPRPGFSKLSVSEAEKSIAGNLCRCTGYRPIVDACKTFAADVDMEDLGLNSFWKKGESDEVKLSRLPPYHHNNGTCMFPEFLKKEITAGVNLASEGYYWYSPVCLDQLQSLLQMDEENDGTSTKIVVGNTGMGYYKEVVRHNKYIDLRYIPELSIIRKDLAGIEIGASVPISKAIEALKEVNEGELNQDGNLVFKKLADHMERIASGFIRNSASIGGNLIMAQRKHFPSDIATILLSVDTMVDILTGQRHEKIMLEEFLGRPPLVSKSVLVSIKIPCWKSSRDISYLLYETYRAAPRPIGNALSYLNAAFLAEVSLCKNSAGIILNNCRLAFGAYGTKHSIRARKVEEFLSAKLLNGGVLYEAIKLLESTVLPEDGTSSPAYRSSLAVGFLFEFLSPLINNPDDINSFQRDGYNSTLLFKDSKIKQNFDQFDQIKPSTLLSSAKQVIQLSEEYHPVGKPITKAGATIQASGEAVYVDDIPSPRNCLHGAFIYSTEPLARVKGIKFKPGSSLDGVTTLISFKDIPGENVADTQKNADMAANLAVIDYDKEDLEPILSVEEAFERCSFFEVPPYLYPEQVGDYSKGMAEADHQILSSEIKLGSQYYFYMETQTALAVPDEDNCMVVYSSSQCPETAHDTIAKCLGVPGHDVRVITRRVGGGFGGKAIKAMPVSTACALAAYKLHRPVRMYVNRKTDMIMAGGRHPMKITYSVGFKTNGKITALKLDILIDAGMSLDISPIMPHNILGSLKKYDWGALAFDIKVCKTNLPSRSAMRAPGEVQASFIAEAIIEHVASALPLGVDSVRNINLHNYESLELFFKTGAGEPLEYTLPSIWDKLAMSSSFYHRTEMIKEFNRCNKWRKRGISRVPIVHHVTLRATPGKVSILRDGSIVVEVGGIELGQGLWTKVKQMTAYALSLVQCGGTEELLE >EOY33190 pep chromosome:Theobroma_cacao_20110822:9:35821881:35832884:1 gene:TCM_041158 transcript:EOY33190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde oxidase 4 isoform 2 MGEHTNTTTTTKTEQSLVFAVNGQRFELSEVDPSTTLLEFLRSQTSFKSVKLGCGEGGCGACVVLQSKYDPVHDRVEDFTVSSCLTLLCSVNGCSITTAEGVGNSKDGFHPIQERFSGFHASQCGYCTPGMCVSLYSALVNADKTNRPEPRPGFSKLSVSEAEKSIAGNLCRCTGYRPIVDACKTFAADVDMEDLGLNSFWKKGESDEVKLSRLPPYHHNNGTCMFPEFLKKEITAGVNLASEGYYWYSPVCLDQLQSLLQMDEENDGTSTKIVVGNTGMGYYKEVVRHNKYIDLRYIPELSIIRKDLAGIEIGASVPISKAIEALKEVNEGELNQDGNLVFKKLADHMERIASGFIRNSASIGGNLIMAQRKHFPSDIATILLSVDTMVDILTGQRHEKIMLEEFLGRPPLVSKSVLVSIKIPCWKSSRDISYLLYETYRAAPRPIGNALSYLNAAFLAEVSLCKNSAGIILNNCRLAFGAYGTKHSIRARKVEEFLSAKLLNGGVLYEAIKLLESTVLPEDGTSSPAYRSSLAVGFLFEFLSPLINNPDDINSFQRDGYNSTLLFKDSKIKQNFDQFDQIKPSTLLSSAKQVIQLSEEYHPVGKPITKAGATIQASGEAVYVDDIPSPRNCLHGAFIYSTEPLARVKGIKFKPGSSLDGVTTLISFKDIPGENVGSQTMFGSEPLYADELTQCAGQRIALVVADTQKNADMAANLAVIDYDKEDLEPILSVEEAFERCSFFEVPPYLYPEQVGDYSKGMAEADHQILSSEIKLGSQYYFYMETQTALAVPDEDNCMVVYSSSQCPETAHDTIAKCLGVPGHDVRVITRRVGGGFGGKAIKAMPVSTACALAAYKLHRPVRMYVNRKTDMIMAGGRHPMKITYSVGFKTNGKITALKLDILIDAGMSLDISPIMPHNILGSLKKYDWGALAFDIKVCKTNLPSRSAMRAPGEVQASFIAEAIIEHVASALPLGVDSVRNINLHNYESLELFFKTGAGEPLEYTLPSIWDKLAMSSSFYHRTEMIKEFNRCNKWRKRGISRVPIVHHVTLRATPGKVSILRDGSIVVEVGGIELGQGLWTKVKQMTAYALSLVQCGGTEELLEKVRVIQADTLSLIQGGFTAGSTTSESSCEAVRLCCNILVERLTALKEKLVEQMGSIKWETLILQAYGSSVNLSTNSLYVPDFSSMQYLNYGAAVSEVEVNLLTGQTTILQTDIIYDCGQSLNPAVDLGQIEGAFVQGIGFFMLEEYPTNSNGLVVAEGTWTYKIPTVDTIPKQFNVEILNSGHHKKRILSSKASGEPPLTLAVSVHCAIRAAIKEARRQLHSWGGLDESNSTFQLEVPATMPVVKELCGLDSVQRFLQWTIGSK >EOY33738 pep chromosome:Theobroma_cacao_20110822:9:38844333:38848546:-1 gene:TCM_041633 transcript:EOY33738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C1 MTSGRRIPVTLILFCYLIISSHSLSSNYNFRTKHKIKGPIKTIVILVMENRSFDHILGWLKSTRPDIDGLTGSESNPVNVTDPNSPEISVSDDAFFVDSDPGHSFQAIREQIFGSNDSSANPAPMNGFVQQAESMGDGMGKTVMSGFKPGRLPVYTKLANEFGVFDRWFASVPASTQPNRFYVHSATSFGAMSNVKKDLINGFPQKTIFDSLDENGLSFGIYYQNIPATLFFKSLRKLKHLTKFHSYALKFGLHARLGRLPNYVVVEQRYFDVTAFPANDDHPSHDVARGQKFVKEVYEILRGSPQWKETALLITYDEHGGFYDHVPTPVSGVPNPDGIVGPDPYYFKFNRLGVRVPTILVSPWIDKATVIHEPPGPTPHSQFEHSSIPATVKKLFNLNSNFLTKRDAWAGTFENYFNLRKTPRNDCPETLPEVKTSLRPWGPKEDARLSEFQVELVQLASQLNGDYVLNTYPYIGRSMTVGEANRYVEDAVKRFIEAGKAAIRAGANESAIVTMRPSLTSRIKVDRSSNVGAY >EOY32714 pep chromosome:Theobroma_cacao_20110822:9:33023804:33025962:1 gene:TCM_040740 transcript:EOY32714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MATRLFSLKNHPKCTLDPTFQGALPKCKLNKKTKNFIFQYKPCFPSSSTCIKRSTSLSSLTPQLEEALVLEEDERPLCQIWKEIQGCNDWDGLLDPMNPHLRREIIRYGEFAQACYDSFDFDPHSKYCGSCKYQGAHFFEKLGMADRGYQISRYLYATSNINLPNFFQKSNLSSVWSTHANWMGYVAVCTDEDEIKRLGRRDIVISWRGTVTYLEWIYDLKDILHQANFTKDPSIKMELGFYDLYTKKENACNYCSFSAREQVLAEIKRLLEYYDGEEISITITGHSLGAALALITAYDIAELGLNLVEEGELSNKVPITVYSFAGPRVGNLKFKERCDELGVKVLRAVNVHDKVPTVPGIFANEKLQFQKYLEEAVSFPWSYAHVGVELALDHTCSPFLKSTNDLACAHNLEAHLHLLDGYHGKGRRFCLANKRDIALVNKDSNFLKSDYGVPPYWRQDENKGMVRNSDGRWVLPERPRVEAHPHDISHHLEKILKVASTSSQSKDA >EOY34356 pep chromosome:Theobroma_cacao_20110822:9:40761584:40763506:1 gene:TCM_042050 transcript:EOY34356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISVLAQERLLGAALGSALTGIVVFEQRKRIYESISDHQSQLASQSQMKEPIFGKKSRSEFALLWNKAVDHIFVPVIESISSRGW >EOY34094 pep chromosome:Theobroma_cacao_20110822:9:39914954:39916283:-1 gene:TCM_041876 transcript:EOY34094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEAEKERKETDGKVFGVSFFLKGHWMRQFTLVMLTHGLEKYNEDLKVARINMITYQNLFLLPTSVVCLVLSISNPKGQIYEASHAKNTTATMPRESCKLAEESQN >EOY30015 pep chromosome:Theobroma_cacao_20110822:9:3064743:3065789:1 gene:TCM_037367 transcript:EOY30015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II subunit X MASVSMAMPLSSATQKRVHQPSSDSFIKPLPLKPSKAVISTKPKPSARLQVRASFKEKAVTGLTAAALTASMVIPEVAEAAESGVSPSLKNFLLSIVAGGVVLFALVGAVVGVANFDPVKRS >EOY29525 pep chromosome:Theobroma_cacao_20110822:9:1459827:1461865:1 gene:TCM_037035 transcript:EOY29525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane HRF1 family protein MAIFNTQGEEGCVQIIVQPAGKEQMNPFGDTLYGAGTDLIKTELGAYEEKLFRSGSAYVPGNISRYFSNPQYYFQVSDQYVMNKLKLILFPFLHKGHWMRATETVGGEFSYKPPIHDINAPDLYIPLMAFGTYVVLAGFFLGINGKFSPEAVGLQATNGLLCWLFQVLLLEATLHTLGDGDVPLLDIIAYGGYSFAVVSVVLLCRILWSHCFYVVTLWECFCMGMLLVKIMKRILIAEVRRSENHSSKRHYLLLLVAVAQVPLLFWLGNIAV >EOY34563 pep chromosome:Theobroma_cacao_20110822:9:41450142:41454806:1 gene:TCM_042191 transcript:EOY34563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-containing protein MRGIKLIERFKSTQVHALNPPDTTVVNTTGSSSTAGKLNNHRVKFIGSKLKSNKASSVSAAKTLLPFGLPRADLLEPPIEPHSKQIQLVETLADLYRRFETCLESEKSLICIEQYSFLGSLGDLKLLRRCLRVARQHAFDVHSKVVLSAWLRYERREDELDGVFPMDCSGFILECPKAALVSGYDPNTIYDHCKCYQECTKSADAQISKGNECLTLEEDSDISFYVCNEEINCIRFKIAALSSPFKTMLYGSFIESKSYKIDFSENGISVEGMRAVDLYSRNRRVDLFSPEIVLELLSFANRFCCEEMKSACDIHLASLVSCIEDALVLIEYGLEERANVLVASCLQVLLRELPSSLYNPKVMKIFCSFEARERLASAGHASFFLYYFLSQVAMEENMVSNATVMLLERLRECATEKWQKALALHQLGCVLLERKEYRSAQYCFEAATEAGHVYSLAGIARSRYKQGQQYSAYKLMSSLISEYKAVGWMYQERSLYNVGKDKIADLNIATELDPTLSFPYKYRAVSKAEEKQTRAAISEIDRIIGFKLAPDCLELRAWFFIVIEDYGSALRDITALLTLEPNYRMFNEQISGDDLIELLNHKVQQGSQADCWMQLYERWSSVDDIGSLAVIHQMLVNDPGKSLLRFRQSLLLLRLNCQKAAMRCLRLAHNLSSSEHEKLVYEGWILYDTGNREEALARAEKSILIQRSFEAFFLKAYTLADSSLDPESSSYVIQLLEEALRCPSDGLRKGQALNNLGTINVDCGKLDQAANCYMNALEIKHTRAHQGLARVYLLRNQRKAAYDEMSKLIEKAHNKASAYEKRSEYCDREMAKNDLNMATKLDPLRTYPYRYRAAVLMDDQKETEAVEELSKAIAFKPDLQMLHLRAAFYESIGDLNSALCDCEAALCLDPNHMDTLDLYNRARDRATHPQENVRGMGS >EOY33608 pep chromosome:Theobroma_cacao_20110822:9:38255677:38260910:1 gene:TCM_041538 transcript:EOY33608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELRRRVFRWEEEQWKQFTEIVNDYKLSMGIQDTVIWKGSPLGDFSVKDFCRNHVCNGSGGSNKWRQVWANLAPHRVEVFVWQILHGRVAVKAELVKWGLMSLSSTCYPLCHQVIETINHVFIYCFESWCIWSEWCREWNFNWVQPENLSTFFQSWDVVILKDGEIKVWKMTFFAVTWSLWLARNDIVFGGKTWDRAQTYELVKLRPRLGAVLKCVKKMRPKVEWTNPVDGSMKFNVDGAASGCPGEAGIGGILKNSAGETKMMFSKSIRMGDSNLAKVLAIKQAFMMFSASNWNGSHSLVIESDSSNAVSWIQAPNQAPWRMRKWILQIEMLKRKVKRWEIKDVRRGQTSKQIPL >EOY30616 pep chromosome:Theobroma_cacao_20110822:9:5169777:5170577:1 gene:TCM_037761 transcript:EOY30616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLQFCLKTTHIYHTCLSSYLPEVIGQQNLLRVTFLFFFCGIFLRRFYTNFHFLYIIFFVTLSFCIIQNNISNWCDVKLESPIFCLYWFPFLSLADSICFCCDR >EOY33776 pep chromosome:Theobroma_cacao_20110822:9:38955585:38961364:-1 gene:TCM_041657 transcript:EOY33776 gene_biotype:protein_coding transcript_biotype:protein_coding description:JOSEPHIN-like protein, putative MASEQNQIYHERQRLQYCLLHSLNNLFQQKDAFTRASLNSVAEKLVLDDPNKEIWTPFSLVFKPHHNSITGNYDINVLIAALEGKGKTVIWHDRRNGASAIDLNDEILMGIVINVPVRRYAGLWKSRHWIALRNIDGVWYNLDSDLDAPQCFKDSGEVKDFLDYIITHDGQLLLVKNDRQVCKRKESILQIESLVRKVTQGSNKVSRKKGNNKVNASAMQKSDNKAAGNRGVTRSCGFMLCKRSKFSPVRFLKHLGGKVAKGLHVVSMRIRPSPKVSSSSGRSKPFVTPVDSHRSAAIEDCIEFINSSASLPRSNSVSANSH >EOY30129 pep chromosome:Theobroma_cacao_20110822:9:3522081:3525485:1 gene:TCM_037449 transcript:EOY30129 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS associated with diabetes protein 51 MEQHRNQKMAQQQQQQQQQQEELEEMQHGPFPVEQLQLWISLSSFLYLQSKSSGIASLDVKKLKDAGLCTVESVAYTPRKDLLQIKGISEAKVDKIMEAASKLVPLGFTSASQLHAQRLEIIQITSGSSELDKILEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIAERFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFNGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAIFAGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQISPGGVADVKD >EOY31506 pep chromosome:Theobroma_cacao_20110822:9:9218844:9224576:-1 gene:TCM_038433 transcript:EOY31506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein, putative MAESMSLEYKPTWVVAAVCFVIILICLFAERGLNHLGKWLRRNQQDALFEALQKLKAELMLLGFISLLLNVFQGLISQICIPTHLESSMLPCKKHTESKSHKEFSSLAVNGHLLFSADSSSEHCSREGKVPLLSTEAFHQLHILIFVVAVVHVASCAIILVLGGARIREWKPWEEWISKVEKGKYSIEFPKIISIDTHNHHLQKVLEKHAWGYWRKAAVVSWTMSFFKQFHSPVTLSEYIALRDGFIMTHCPDNREYDFHKYMTLSLERDFRHVVGISWYQWLFVVVFLTLNVEGWHAYFWLSFLPVVLLLLVGAKLEHIIVRLAQDVDEMKKLQGREAAPPVKPSDEYFWFNRPRIVLHFIHFILFQNAFEIAFFFWILWTYGFHSCIMGRKGYIITRLIMGVIAQGLCSYITLPLYALVTKMGTSFEPVQLQLQSSINI >EOY34120 pep chromosome:Theobroma_cacao_20110822:9:39985143:39991703:1 gene:TCM_041893 transcript:EOY34120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPDTWHFICGTHDLLEVFLSFCVFERALWSFPLAFSLRMIFFFLFSFLLFLCFALDGLFLVVCLIDIGIGMAFWSFSTVMLLDFVLAL >EOY33316 pep chromosome:Theobroma_cacao_20110822:9:36673957:36681942:1 gene:TCM_041272 transcript:EOY33316 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative MESRMDHYEIMEQIGRGAFGAAILVHHKSEKKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKSNGVYFPEEKLCKWFAQLLLAVDYLHSNFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPSCYSPSLKTLIKGMLRKNPEHRPSASELLKHPYLQPYVDQYRPSFSCPSTNCSPDKHISASRDNRKNMAESQNSNSSCSDKDSLLSSDRNTATMVSNSNSKATDTDSISIDDEDGTEQHPASEEENGPIICTAKGDEKGIVKPSYMEQGYNVQSKQPKTIKSIMMALKEGKVRENGSPMRGNRTKAVGGLTQRINIEASPKVLKPPAPALGSKSNADTPTVASAKLPLDSAKRITGSHTLKHQLLLIDSSPKTKPRHEGIPPPAPGKHVVEDGLSSKPRQRTPPSNVARRSLITGRMKHAGTDVSNVASNIPRLGSTEMNQEREAIPRQRPNGFLINASREVTQEPEIALSVTTKGVQTDSSNSISSSISIQAFEICDDATTPFIDMTEKTHDREIITHIRSLESHPPFSSPASPQSKMPEVLLRENHGHDHKSVTCSTEESGPAQDLLDFTSVDGRVSLSAPLDLPLPTSEDISVHRDDTADKDDAPISTPTVRDDAPISSLSNRDDAPISRLSSGEDAPVSRPTTGEDSPISRPSSKDETMASRPSSRPDMMLHSNLSSASSGDDKFTVMELLSSVAEAMPCIASPISSSQKNSQPDKGITLHNPTIEKPAATSRPPAFDDVIHVIRHSSFRVGSEQPVIEKVEMGVQNVDVGKLINVVRDEIDMRNMTSPVTLKSSSCSEALSSKSNALDYSSVKEADDRKPISASPKSDSPEPVKTSPSVTEEETSAKETLDVKSFRQRAEALEGLLELSAELLQQNRLEELSVVLKPFGKDKVSPRETAIWLAKSLKGIMIEECGRSS >EOY34008 pep chromosome:Theobroma_cacao_20110822:9:39668933:39673543:-1 gene:TCM_041817 transcript:EOY34008 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 3C isoform 2 MGSPKKNESKGFFAAMTSGLSLFSNAMHRSVNGILGYEGVEVINPEGGKEDAEEEAQRGRWKQDERESYWKMMHKYIGSDVTSMVTLPVLIFEPMTMLQKMAELMEYSYLLDQADECEDPYLRLVYAASWAISVYYAYQRTWKPFNPILGETYEMVNHGGITFISEQVSHHPPMSAGHSENEHFTYDVTSKLKTKFLGNSLDVYPVGRTRVTLKRDGVVLDLVPPPTKVNNLIFGRTWVDSPGEMIMTNLTTGDKVVLYFQPCGWFGTGRYEVDGYVYNADEEPKILMTGKWNESMSYQPCDMEGEPLQGTELKRFGMLLMLQKMTNFSTLILHIK >EOY34006 pep chromosome:Theobroma_cacao_20110822:9:39667709:39673394:-1 gene:TCM_041817 transcript:EOY34006 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 3C isoform 2 MGSPKKNESKGFFAAMTSGLSLFSNAMHRSVNGILGYEGVEVINPEGGKEDAEEEAQRGRWKQDERESYWKMMHKYIGSDVTSMVTLPVLIFEPMTMLQKMAELMEYSYLLDQADECEDPYLRLVYAASWAISVYYAYQRTWKPFNPILGETYEMVNHGGITFISEQVSHHPPMSAGHSENEHFTYDVTSKLKTKFLGNSLDVYPVGRTRVTLKRDGVVLDLVPPPTKVNNLIFGRTWVDSPGEMIMTNLTTGDKVVLYFQPCGWFGTGRYEVDGYVYNADEEPKILMTGKWNESMSYQPCDMEGEPLQGTELKEIWHVADAPENDKFQYTYFAHKINSFDTAPKKLLASDSRLRPDRFALEKGDLSKAGAEKSSLEERQRAEKRTREAKGHQFTPRWFDLTDEVTATPWGDLEIYCYNGKYTDHRAAVDSSGSVNEVDIASIEFDPWQYGNLSTE >EOY34007 pep chromosome:Theobroma_cacao_20110822:9:39667674:39673423:-1 gene:TCM_041817 transcript:EOY34007 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 3C isoform 2 MGSPKKNESKGFFAAMTSGLSLFSNAMHRSVNGILGYEGVEVINPEGGKEDAEEEAQRGRWKQDERESYWKMMHKYIGSDVTSMVTLPVLIFEPMTMLQKMAELMEYSYLLDQADECEDPYLRLVYAASWAISVYYAYQRTWKPFNPILGETYEMVNHGGITFISEQVSHHPPMSAGHSENEHFTYDVTSKLKTKFLGNSLDVYPVGRTRVTLKRDGVVLDLVPPPTKVNNLIFGRTWVDSPGEMIMTNLTTGDKVVLYFQPCGWFGTGRYEVDGYVYNADEEPKILMTGKWNESMSYQPCDMEGEPLQGTELKEIWHVADAPENDKFQYTYFAHKINSFDTAPKKLLASDSRLRPDRFALEKGDLSKAGAEKSSSLEERQRAEKRTREAKGHQFTPRWFDLTDEVTATPWGDLEIYCYNGKYTDHRAAVDSSGSVNEVDIASIEFDPWQYGNLSTE >EOY30721 pep chromosome:Theobroma_cacao_20110822:9:5550049:5556904:1 gene:TCM_037834 transcript:EOY30721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts isoform 1 MTPVIPCSISNITLIPGTACTVRKNTCLTRCSLPRKHTRYALPSQRFILPLSTCVTLFPQYRTGYALHRKPGVHISATGTDVAVEESDSTVTDVSSGGSEIQSDAVETSEKSTSKSDSSPAPTQSRQTRPVRKSEMPPIKNEELIPGAMFTGKVRSIQPFGAFVDFGAFTDGLVHVSQLSDSFVKDVASFVSVGQEVKVRLVEVNTDSGRISLSMRENDDASKRQPRKDGPAATDRARPARKNASKPSQRKEEVKSSKFVKGQDLEGTVKNLTRSGAFISLPEGEEGFLPTSEESDDGLMSMMGGSSLQVGQEVNVRVLRISRGRVTLTMKKEEDDNKLDSQLSQGVVHTATNPFVLAFRENKEIAAFLDQREKSEEIKVQPVEESATVSTAANEIVEKETEIAEKETDTVADTANKAEETTEKETEESSEVLSPEGSAESPSVDEVENDETAGSSGEVVDQVTTSANSVADEISTLKDEVQVETPLAEGKSPSAASAQDEEVGAIPGENGSIASTGVQPDVHVPKDPEDTVENNVTSDPSQESADDQIKSSGSEVIEEAENQVEDTKVEVQIETPVSKVEIPSTSQVEEAEPAPQKNDEVTDSNGSAPKENVTKATISPALVKQLREETGAGMMDCKKALSETGGDIVKAQEFLRKKGLASAAKKASRVTAEGRIGSYIHDSRIGVLVEVNCETDFVSRGDIFKELVDDLAMQVAACSQVQYLVPEDVPEDVVNKEREIEMQKEDLLSKPEQIRSKIVEGRIRKRLEDLALLEQSYIKNDKVVVKDWVKQTIATIGENIKVKRFVRFNLGEGLEKKSQDFAAEVAAQTAAKPVSTAGKEQSGSVEAKEVDQKPTVAVSAALVKQLRDETGAGMMDCKKALTETGGDLEKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQFVSIEEVPESVVSKEKELEMQREDLASKPENIREKIVEGRVSKRLGELALLEQPFIKDDSVLVKDLVKQTVAALGENIKVRRFVRFTLGETVEDTKIGTEE >EOY30720 pep chromosome:Theobroma_cacao_20110822:9:5550049:5556904:1 gene:TCM_037834 transcript:EOY30720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts isoform 1 MTPVIPCSISNITLIPGTACTVRKNTCLTRCSLPRKHTRYALPSQRFILPLSTCVTLFPQYRTGYALHRKPGVHISATGTDVAVEESDSTVTDVSSGGSEIQSDAVETSEKSTSKSDSSPAPTQSRQTRPVRKSEMPPIKNEELIPGAMFTGKVRSIQPFGAFVDFGAFTDGLVHVSQLSDSFVKDVASFVSVGQEVKVRLVEVNTDSGRISLSMRENDDASKRQPRKDGPAATDRARPARKNASKPSQRKEEVKSSKFVKGQDLEGTVKNLTRSGAFISLPEGEEGFLPTSEESDDGLMSMMGGSSLQVGQEVNVRVLRISRGRVTLTMKKEEDDNKLDSQLSQGVVHTATNPFVLAFRENKEIAAFLDQREKSEEIKVQPVEESATVSTAANEIVEKETEIAEKETDTVADTANKAEETTEKETEESSEVLSPEGSAESPSVDEVENDETAGSSGEVVDQVTTSANSVADEISTLKDEVQVETPLAEGKSPSAASAQDEEVGAIPGENGSIASTGVQPDVHVPKDPEDTVENNVTSDPSQESADDQIKSSGSEVIEEAENQVEDTKVEVQIETPVSKVEIPSTSQVEEAEPAPQKNDEVTDSNGSAPKENVTKAATISPALVKQLREETGAGMMDCKKALSETGGDIVKAQEFLRKKGLASAAKKASRVTAEGRIGSYIHDSRIGVLVEVNCETDFVSRGDIFKELVDDLAMQVAACSQVQYLVPEDVPEDVVNKEREIEMQKEDLLSKPEQIRSKIVEGRIRKRLEDLALLEQSYIKNDKVVVKDWVKQTIATIGENIKVKRFVRFNLGEGLEKKSQDFAAEVAAQTAAKPVSTAGKEQSGSVEAKEVDQKPTVAVSAALVKQLRDETGAGMMDCKKALTETGGDLEKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQFVSIEEVPESVVSKEKELEMQREDLASKPENIREKIVEGRVSKRLGELALLEQPFIKDDSVLVKDLVKQTVAALGENIKVRRFVRFTLGETVEDTKIGTEE >EOY30296 pep chromosome:Theobroma_cacao_20110822:9:4098759:4109073:-1 gene:TCM_037554 transcript:EOY30296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MDCFVLVTSSLVSLLLLYGVARLSYSIWLKPKWQERLLKHQGIGGRPYTLLVGDMKEFVKQITEAWSKPIDLTHQIVPRVDPFTLDNVQQYGRQASSFIFIFPLLPIQVLKQVSQTLSSSVFNSGKISFCWAGTRPRVIIKDPELVKEVLANKQGHFQKPPLSPLILILSRGLTTLEGEQWSKRRRMINPVFHLEKLKGMIPVFAVSCGQMIEQWKKMTSLQSSCEIDVWPELQKLTADAISRTAFGSSYEEGKKIFELQKELITLTLEAMQSLYIPGFRFIPTRKNQRRKKLDKDITSILRNVIRRKEHGMRTGQARSDDLLGMLLQHNNQYALLENASGAGGMAIEDIVEECKQFYLAGQETTASWLTWAITVLALHPEWQETAREEVLKVCGKELDYEAISHLKIVTMILYEVLRLYPPVIALYQHTNKDTKIKEISLPAGVDITLPILLLNHDPGLWGDDAQEFKPERFSEGVSKASKDQLAFFPFGWGPRTCIGQNFAMLEAKVALVMILQHFSFKLSPSYSHAPYTVMTLQPQHGAHIILHQI >EOY33367 pep chromosome:Theobroma_cacao_20110822:9:37159195:37162211:-1 gene:TCM_041347 transcript:EOY33367 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein, putative MKTITSTTVDQGGYASNETISTVHPDILHSHILTRLDGPSLAALACSSSHLHALSSEENLWQNICSSTWPSVNHPRLQKIISAFPSGHRSFFSDAFPFLDLQPLKLNVNSLTLPTELISAVDISYRNKIIYTKVEEMETSSSWFLCSPFRVDLLDPKDSAPTPIKYFGGSNKVDTWLKHLEENLTLSWIVIDPSRKKAAVNMSSRRAVSVKRHWLTGDVQVRFGMVMGGGGRRGSSRELVECGVVVTCVGKEGGEMHVREVSMVMEDMEGKGLNGKDSLVILEGVMENGRRKKGNGNEGKERYEEFGERKRERKERRQRKERALDLVCISIGVAGFVTFWSAMLFR >EOY30767 pep chromosome:Theobroma_cacao_20110822:9:5730835:5732608:1 gene:TCM_037864 transcript:EOY30767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHTKSESDATSSVDPSSPRSPKRQLYYVQSPSRDSQDGDKSASMQATPANNSPMESPSDPSYSRHSRASSATCFSGSLKRERKRNEKGWTECNVIEEEGDYGEYFYGRDKGLTRRCQILMGVFGFVVVFSLFCLIIWGASRPYEAQVAFESLTVHNFYFGDGADITGVPSKMLTLNCSVTMTVYNPATFFGIHVSSNPVNLMYSEIAVATGQLKKYYQPRKSHRTVFATLQGDKVPLYGAGASLGASVDVGGVPMELIFEVRSRGHAYTTKFESGGSPTAQPIALRLEMVDLADLNHFQ >EOY33912 pep chromosome:Theobroma_cacao_20110822:9:39315767:39316906:-1 gene:TCM_041748 transcript:EOY33912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein B1, putative isoform 1 MEYDEVSILRSQDQNSIEMPMVSEGSNIISMPPDSQCCSVCAFSRQENTTLESKQRWKSATRLSGLIIFYLMVMVVEIIGGVKANSLAVITDAAHLLTDVAGFSISLFTVWASAWKATSYQSFGYNRLEVLGALSSVQLIWLISALLIYEALDRILHKNGKVNGALMFAIAAFGFIINLVVVLWLGHDHTLDACGDTHHHHHHHHHHHDHEGGKPCDSAEEEDTSLVPRTPEKTKILNINLQGAYLHVMADLIQSVGVMIAGAVIWMKPHWLAVDLLCTLVFSTFALTATLPMLRDIFGILMEKTPKEINIDTLESGIKGIKGVQNIHDLHVWAITVGKLVLSCHVVAEPGASSNEILSKIRDYCEKTYKIYHVTIQIE >EOY33911 pep chromosome:Theobroma_cacao_20110822:9:39315670:39318087:-1 gene:TCM_041748 transcript:EOY33911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein B1, putative isoform 1 MEYDEVSILRSQDQNSIEMPMVSEGSNIISMPPDSQCCSVCAFSRQENTTLESKQRWKSATRLSGLIIFYLMVMVVEIIGGVKANSLAVITDAAHLLTDVAGFSISLFTVWASAWKATSYQSFGYNRLEVLGALSSVQLIWLISALLIYEALDRILHKNGKVNGALMFAIAAFGFIINLVVVLWLGHDHTLDACGDTHHHHHHHHHHHDHEGGKPCDSAEEEDTSLVPRTPEKTKILNINLQGAYLHVMADLIQSVGVMIAGAVIWMKPHWLAVDLLCTLVFSTFALTATLPMLRDIFGILMEKTPKEINIDTLESGIKGIKGVQNIHDLHVWAITVGKLVLSCHVVAEPGASSNEILSKIRDYCEKTYKIYHVTIQIE >EOY33913 pep chromosome:Theobroma_cacao_20110822:9:39315668:39318088:-1 gene:TCM_041748 transcript:EOY33913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein B1, putative isoform 1 MFPYIYPFVNSDQIQFMKQMEYDEVSILRSQDQNSIEMPMVSEGSNIISMPPDSQCCSVCAFSRQENTTLESKQRWKSATRLSGLIIFYLMVMVVEIIGGVKANSLAVITDAAHLLTDVAGFSISLFTVWASAWKATSYQSFGYNRLEVLGALSSVQLIWLISALLIYEALDRILHKNGKVNGALMFAIAAFGFIINLVVVLWLGHDHTLDACGDTHHHHHHHHHHHDHEGGKPCDSAEEEDTSLVPRTPEKTKILNINLQGAYLHVMADLIQSVGVMIAGAVIWMKPHWLAVDLLCTLVFSTFALTATLPMLRDIFGILMEKTPKEINIDTLESGIKGIKGVQNIHDLHVWAITVGKLVLSCHVVAEPGASSNEILSKIRDYCEKTYKIYHVTIQIE >EOY34662 pep chromosome:Theobroma_cacao_20110822:9:41727643:41728570:-1 gene:TCM_042260 transcript:EOY34662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biogenesis of lysosome-related organelles complex 1 subunit 1 MYSTQLPQARGGVLSSSEIHKSQSLSGDLESCLLQIYHHHHQNSLKLRDQTEKAKKDAIKKAGRVSDLLVDAVNGGVQESFMNEKRIELEIRALAATVGRFMKQTDQWLAASHAINTAVKEIGDFENWMKSMDFDCKSINTAIRNIHQQ >EOY30108 pep chromosome:Theobroma_cacao_20110822:9:3404954:3405376:1 gene:TCM_037431 transcript:EOY30108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYTISGIILMRDYNVSVRMIQRWCDLKFTELYKSFTVPTVIKVMLVCYCSWNMSCKAHVDVSLLKGNVNVNIHTVVCNLSSK >EOY33979 pep chromosome:Theobroma_cacao_20110822:9:39543113:39547583:1 gene:TCM_041797 transcript:EOY33979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative isoform 1 MSFVFRGTRGDIESGFSGLIPERPAVRIHAARPVNSNSLAFLVTVLLLFMILNSHQMSPNFLLWVVVGVFLMATSLRMYATCQQLQARARAHAAAASGLLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNTSTTPSMSEEEINALPVHKYKVPGPESAGSSLQQASSSSASVEPKQDSRKADGSMKASEDELTCTICLDQVNRGELVRSLPCLHQFHASCIDPWLRQQGTCPVCKFKMGSVWQENRESESDDSDMV >EOY33980 pep chromosome:Theobroma_cacao_20110822:9:39545265:39548141:1 gene:TCM_041797 transcript:EOY33980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative isoform 1 MATSLRMYATCQQLQARARAHAAAASGLLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNTSTTPSMSEEEINALPVHKYKVPGPESAGSSLQQASSSSASVEPKQDSRKADGSMKASEDELTCTICLDQVNRGELVRSLPCLHQFHASCIDPWLRQQGTCPVCKFKMGSVWQENRESESDDSDMV >EOY33978 pep chromosome:Theobroma_cacao_20110822:9:39543308:39547667:1 gene:TCM_041797 transcript:EOY33978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative isoform 1 MSFVFRGTRGDIESGFSGLIPERPAVRIHAARPVNSNSLAFLVTVLLLFMILNSHQMSPNFLLWVVVGVFLMATSLRMYATCQQLQARARAHAAAASGLLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNTSTTPSMSEEEINALPVHKYKVPGPESAGSSLQQASSSSASVEPKQDSRKADGSMKASEDELTCTICLDQVNRGELVRSLPCLHQFHASCIDPWLRQQGTCPVCKFKMGSVWQENRESESDDSDMV >EOY29625 pep chromosome:Theobroma_cacao_20110822:9:1791028:1795399:1 gene:TCM_047085 transcript:EOY29625 gene_biotype:protein_coding transcript_biotype:protein_coding description:H(+)-ATPase 8 MASDGDTSLEQIKNETVDLERIPVEEVFEQLKCTREGLTTAEGEKRLEIFGPNKLEEKKECKFLKFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWQDFVGIVALLFINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWGEQDAAILVPGDVISVKLGDIIPADARLLEGDALKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAVGMLIEIIVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDRSLIEVFASGIDKDTLMLLAARASRVENQDAIDASIVGMLGDPKEARAGITELHFFPFNPVDKRTAITYIDSDGEWHRCSKGAPEQIIDLCGLTGELRKKALGVIDNFADRGLRSLAVARQTIPEKTKESAGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQSKDEAIAAIPVDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVMGFMLVALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLNEIFATGIVLGAYMAIISVLFFWLAHDTDFFTEKFGVRPIHDNIDELTSALYLQVSIISQALIFVTRSRSWSFVERPGLLLIGAFIAAQLVATLIAVYASWGFARIQGIGWGWAGVIWLFSLITYIPLDILKFIIRYSLSGKAWDNLLQNKTAFTTKKDYGKGEREAQWAAAQRTLHGLSPPETILNDKSYHELSEIAEQAKKRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >EOY30994 pep chromosome:Theobroma_cacao_20110822:9:6605499:6608371:-1 gene:TCM_038032 transcript:EOY30994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino-acid racemase isoform 2 MRIQCILRSDFGLRAGATRILVLKYSTPSLEILTAFKGGMFDGGMTMTFNTTVNCPPVLGNLSKNRSQCRTRPTPSIAVQMYSSLLQTDESGNLPESKKLSGSCSAIFKCQAQNSLLSQANTVGIIGGVSVLSTLIFLEKLVCWSSRNGEECVPFVVCSDPALDEGLISQGSIHSSSGEIAQKEGNHEPIVENLRNKRVFLEQSGARCIVTPCHISHAWHDEISEGCSLPFFHVGECVARELKEAKLKPLDAGSTVRIGVLATYETIGAGFYQEKLQKQPDKGTMEHILIPAIESLNRRDMEGARNLLRVAIQVLLISAVNVVILASDELQNLLPREDPLVKKCIDPMDALARSTIKWAKSAKKNIDPGLDTPALVLNAEPTICMVK >EOY30995 pep chromosome:Theobroma_cacao_20110822:9:6605865:6608505:-1 gene:TCM_038032 transcript:EOY30995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino-acid racemase isoform 2 MFDGGMTMTFNTTVNCPPVLGNLSKNRSQCRTRPTPSIAVQMYSSLLQTDESGNLPESKKLSGSCSAIFKCQAQNSLLSQANTVGIIGGVSVLSTLIFLEKLVCWSSRNGEECVPFVVCSDPALDEGLISQGSIHSSSGEIAQKEGNHEPIVENLRNKRVFLEQSGARCIVTPCHISHAWHDEISEGCSLPFFHVGECVARELKEAKLKPLDAGSTVRIGVLATYETIGAGFYQEKLQKQGFEVVLPDKGTMEHILIPAIESLNRRDMEGARNLLRVAIQVLLISAVNVVILASDELQNLLPREDPLVKKCIDPMDALARSTIKWAKSAKKVHKKT >EOY31342 pep chromosome:Theobroma_cacao_20110822:9:8256157:8258070:1 gene:TCM_038290 transcript:EOY31342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNDPSSLLSTSTARPFSTKSLAFEQDPFLPTMLPNQSHIHRQQTNHPPLYAAPPYHHQFTPAPSTFFHHAPNSSFSNTQHLQPAPPQGNIFQQNYAIPTPRQTTQPSNQAFKYPPAHSYPEAINPPLKTTHRDWQSSLHSVYISNLSRRITRRLDGHYIIVKKAEYGWDQRRVRAQPSHTRRPSQANGSRQPTQSPSKYRTRPSSPRDDRTYLQVLQSSLQSQPPQPPQQQASFNIEVCQEEFEWLNRSAVGTLSSYVHHQILQEIFVEEGYQCVSKPMGGKNVLLTFCLKEDLKACTEEHRAWLNLREIGEQCGSFIMIDEDTYHRRRYDFARMLISVKKTTTIPTSVTFKANGGVYKVHVKEEDVQDIPKPHSFGEAPTTSHPLIQTKQHSDSGQRSIGWDLSEQQGSSRAACKTQSEHCTRTDSLREIVHLEPIKTRSSTTTAPPINLQNKSPTHASSLQSPPYHQLS >EOY31736 pep chromosome:Theobroma_cacao_20110822:9:13453380:13462388:-1 gene:TCM_038852 transcript:EOY31736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGENDGVADDDSISVQKKRIKSHIFKIQQSDGEWVEDPAAIKASTVEFFSSLMKKEQCDLTRFNSSIISTLVSATDNNFLCAALTIQEVKEAVFAIDKDSIAEPDGFSSFFYQHCWDILANDLIAAVLDFFQGTYLPRGITSTTLVLLSKKSNASAWSIFGLLVYDFLVTVLQQFGFCRQWVDMIQRCISNCWFSVLINGMTAGYFKSEWGLRQGDFISVQLFILAAEYLSRGLNALFAQYPSLYYDVGYSFSALWQHSTFPSSKGGLDIRSLNDVFEAFSMKLWWRFQTCTSLWTRFMRAKYCTGRIPRQIQLKLHDSQTWKRMLASCPVTKQHIKWQIGRGELVFWHDCWMDCTSMGFTLSEGIPNSTKNIVLAQTISRSSFQSGAYTSELVGCVGTERDLIPYCLHNIIQMYCFFPSVSHYDCVIPHVYHNNALKYVPWCNLCCKRFEFLLYE >EOY34667 pep chromosome:Theobroma_cacao_20110822:9:41754307:41757806:-1 gene:TCM_042266 transcript:EOY34667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Excinuclease ABC MRKGKTAPNGEEARERKRKAAGSETLINYYRQRRKSRDLQGGKAEEIRESGDDDKGKQGKGFFACYLLTSLSPRHKGHTYIGFTVNPRRRIRQHNGEIGSGAWRTKSKRPWEMVICIYGFPTNVSALQFEWAWQHPQESVAVREAAATFKSLSGVANKIKLAYTMLTLPAWQSLNITVNYFSTKYRKDSACCPSLPEQMKVQVCSMNELPCYTEQDEFEYKDDCDNLDEYDEVNDTCETVWETYPDEVVNASADNFLSSIHEASHEEFEYIEEYKTRKPVDSSTLGVHNIQPQVFIDSPTSKTSSIATGLPMVGPQPWVREKLLTTTYEGYEKTGDSFTFGIYHTQPFDCDYSPVRTSPSFVTSLSDGETAEGANTSIIEKECPSRKQFTAVVAANEDRQPGEKPLTTDVAVMEDQLPSSTVAHEVEVIDLLTPSPACRLISDSKKRRISMFSPEIIDLT >EOY32969 pep chromosome:Theobroma_cacao_20110822:9:34684515:34687053:1 gene:TCM_040978 transcript:EOY32969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase-like protein isoform 2 MRGKRVELESLWEEVREVSLGNSVDHLHSPPTPLQFLRDFVSQNKPCLISNSISHWPALSLWPTPSYLSTSLSSSLVSLHLTPDGQADALAPHPLHPSLCFVSAHVQPTPFPHALDLIRQPPNHLVAYLQQQNDCFRTEYSELESDCDDHIPWASEALGCLPEAVNLWIGNHLSETSFHKDHYENLYAVVSGEKHFLLLPPTDVHRMYIRDYPAARYCYSEESGEFRLELEEPERRVPWCSVDPYPATGSEERSKFPLYFNGPKPFEVTVKAGEILYLV >EOY32967 pep chromosome:Theobroma_cacao_20110822:9:34684569:34687372:1 gene:TCM_040978 transcript:EOY32967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase-like protein isoform 2 MRGKRVELESLWEEVREVSLGNSVDHLHSPPTPLQFLRDFVSQNKPCLISNSISHWPALSLWPTPSYLSTSLSSSLVSLHLTPDGQADALAPHPLHPSLCFVSAHVQPTPFPHALDLIRQPPNHLVAYLQQQNDCFRTEYSELESDCDDHIPWASEALGCLPEAVNLWIGNHLSETSFHKDHYENLYAVVSGEKHFLLLPPTDVHRMYIRDYPAARYCYSEESGEFRLELEEPERRVPWCSVDPYPATGSEERSKFPLYFNGPKPFEVTVKAGEILYLPSMWFHHVKQSPGDGGCTIAVNYWYDMQFDIKYAYFNFLQSLPYQSTDDQTIPESECEDLGYHPPPNHLSRNLRYLKDHEDCCGLPYVNMIKVLTNSQRAVLSAT >EOY32968 pep chromosome:Theobroma_cacao_20110822:9:34684515:34687372:1 gene:TCM_040978 transcript:EOY32968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase-like protein isoform 2 MRGKRVELESLWEEVREVSLGNSVDHLHSPPTPLQFLRDFVSQNKPCLISNSISHWPALSLWPTPSYLSTSLSSSLVSLHLTPDGQADALAPHPLHPSLCFVSAHVQPTPFPHALDLIRQPPNHLVAYLQQQNDCFRTEYSELESDCDDHIPWASEALGCLPEAVNLWIGNHLSETSFHKDHYENLYAVVSGEKHFLLLPPTDVHRMYIRDYPAARYCYSEESGEFRLELEEPERRVPWCSVDPYPATGSEERSKFPLYFNGPKPFEVTVKAGEILYLV >EOY32513 pep chromosome:Theobroma_cacao_20110822:9:30753837:30764560:-1 gene:TCM_040491 transcript:EOY32513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase 2 isoform 2 MLKPHVYHSNTTKTLLPLHKPFFHGTGCAFLPVNSWPSSSFCKTRTTFKPGCVPNRIKAAVASTVIDNVDGSASAVKALVTVKQTVGGFLTNLGLERGLDDIQDLLGKSILLELVSAELDPKTGQEKNTIKAYAHRVKQEGDDVTYEAEFKVGADFGEIGAVVVENEHRKEMFLVDIVLRGFKDNGPISVKCNSWVHSKYDNPQKRIFFTDQSHLPSQTPGGLKRLRTEELEALRGNGVGERKAFERIYDYDVYNDIGDPDSDITKKRPVLGGSQDLPYPRRCRTGRPPCDSDPLSEKKGNLFYVPRDETFSEVKQLTFSAKTVYSVFHAVIPSLQTAIVDSDLGFPYFTAIDQLFNEGIDLPPQDNQGLWRTLLPRLLKAISDGSDNVLRFETPETMERDKFIWFRDEEFARQTLAGINPYAIQLLTEWPMKSKLDPDIYGPPESAITKEMIECEIKGYMTFDEAMKQKKLFVQDYHDLLLPYVKKVRELEGTTLYGSRTLFFLNPDETLRPLAIELTRPPMDGKPQWKEVYRPSWHSSGVWLWRLAKAHVLAHDSGYHQLVSHWLRTHCCTEPYIIATNRQLSAMHPIYRLLHPHFRYTMEINALARQYLISADGIIESCFSPGKYSMELSSVAYGLQWRFDYQALPADLISRGMAVEDPSAPHGLRLTIQDYPFANDGLLFWEILKEWVSDYVNYYYPNASLVESDEELQAWWTEIRTVGHGDKKDEPWWPVLKTPEDLIHIITTIAWVSSGHHASVNFGQYTYAGYFPSRPTIARRNMPTEEATDKDWEFFMNKPEVLLLLSFPSQIQATTVMAILDVLSNHSPDEEYLGELSESAWAENPVIKAAFERFNGRLRELEGIIDARNANKDFKNRNGAGIVPYEFLKPFSEPGVTGKGVPYSISI >EOY32514 pep chromosome:Theobroma_cacao_20110822:9:30754356:30763134:-1 gene:TCM_040491 transcript:EOY32514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase 2 isoform 2 MLKPHVYHSNTTKTLLPLHKPFFHGTGCAFLPVNSWPSSSFCKTRTTFKPGCVPNRIKAAVASTVIDNVDGSASAVKALVTVKQTVGGFLTNLGLERGLDDIQDLLGKSILLELVSAELDPKTGQEKNTIKAYAHRVKQEGDDVTYEAEFKVGADFGEIGAVVVENEHRKEMFLVDIVLRGFKDNGPISVKCNSWVHSKYDNPQKRIFFTDQSHLPSQTPGGLKRLRTEELEALRGNGVGERKAFERIYDYDVYNDIGDPDSDITKKRPVLGGSQDLPYPRRCRTGRPPCDSDPLSEKKGNLFYVPRDETFSEVKQLTFSAKTVYSVFHAVIPSLQTAIVDSDLGFPYFTAIDQLFNEGIDLPPQDNQGLWRTLLPRLLKAISDGSDNVLRFETPETMERDKFIWFRDEEFARQTLAGINPYAIQLLTEWPMKSKLDPDIYGPPESAITKEMIECEIKGYMTFDEAMKQKKLFVQDYHDLLLPYVKKVRELEGTTLYGSRTLFFLNPDETLRPLAIELTRPPMDGKPQWKEVYRPSWHSSGVWLWRLAKAHVLAHDSGYHQLVSHWLRTHCCTEPYIIATNRQLSAMHPIYRLLHPHFRYTMEINALARQYLISADGIIESCFSPGKYSMELSSVAYGLQWRFDYQALPADLISRGRLRELEGIIDARNANKDFKNRNGAGIVPYEFLKPFSEPGVTGKGVPYSISI >EOY31807 pep chromosome:Theobroma_cacao_20110822:9:14374415:14377545:-1 gene:TCM_038960 transcript:EOY31807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin serine protease 2, putative MFHLLSVFIFFLFIDNSNSSNTFIALLDPLSKPSPFLSQKLWYSSIISSLPASSSSSSIIHVYSAPIHGFSATLTPDQVKSIRKLPGVLSLFPDKVLHLHTTRSPSFLGLETPNPWMLNTSESNSIIGFIDTGIWPEHPSFSDHNLGPIPVHWKGQCESGLKFNHTNCNLKMIGARFFSGGYDAWFGQSGKPVKEFRSPRDSDGHGTHVASIAAGSPVPGSGFFGFAVGLARGMAPRARIAVYKVCWASAASAGNEGPTLATVANVPPWITTVGAGTVDRDFPATIHLKNKVSVLGTSITLMHDDILTRKYYPLHFAAKFNSSSGFNFSRQLIKGKIVFCRTEGHVARLSLGAVLKRARAIAMILSHGNIDPNGIVSEPHVIQTISIGALEGKVIEDYILYDENPMAKISSQGTISMHAKPAPIVASFSSRGPNSLVPGILKPDILAPGVNILGAWTDAIGPSGKALDFRRSRFNIMSGTSMACPHVSGAAALIKSTHPDWGPNEIKSALMTTSTIHKHYNYNRNKPFSPISDESTGNAATPFDIGSGHMLPIKAMDPGLVFGLEHQDYVNFLCELNYTKKQLQIVTGKKSHCSNDSTWQLNYPTVTVEAEKVWHGAVVVARKMTNANKGSSEYKAKVVGPKGYYKVDVKPKRLKFSGISDQSLSFRIVLEKESNMHEMKNLWFGALIWSEKRGKHKVKCPIMIFSAKEYSGG >EOY31763 pep chromosome:Theobroma_cacao_20110822:9:13801706:13806072:1 gene:TCM_038894 transcript:EOY31763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTRGDSQLTGVKQGGQISAGSQTRLDPKVSDVDKSKEQGGVEELSNFEKKRENKEGLKGNEGVGLNLGGGPYKIQRLNAEDKRKMIKEVRAKQGQGERKPHLSEAEGSLRDTVVKTQINIIVRPEARSMGKAQNILSNGFKECKSLTNNRSGDETDGVERNSINGITMFGGVGKSIKTETAKTRIRSPIHGEQSRGSQILPQDGKGKLMGRHVKKFRAGRGEKNRALRKLIRAEKPSMVFIQEIKMEKIIGSLFDKLWRGDKVERKAIEAEGRSGGILSLWQKKFFELEEYKTKKKFIMLIGRVKGIDYRCGFINIYDPNDEGKRKELWDELSELTSSMEVWWIIGGDFNTMRFEDGRIRMGNVSHLAAQFDEFINCTGLVDLPLTGPKYTWCNNWQLAAFSRKFEESLKDDIAPNGTIKSIKPRTSSNRNFEVKLRVSQFRDDSKW >EOY29295 pep chromosome:Theobroma_cacao_20110822:9:675812:679100:1 gene:TCM_036882 transcript:EOY29295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein / D111/G-patch domain-containing protein MADEEERVLENQLELQLKEQRDSLSALNDALASDPSDPELLAVHEELVVAIKEAEEGLLNLKRARLLREADSAFNVSKQADEEVKAEALEPSDVEVEPLEEEKERNYRVGSKCRFRYNDGRWYDGQVVALNGSDSAKISFLTPTSENMLICKFFLQQRCRFGANCRLSHGVDVPLSLLKKYAPTMWEPSMVGSSIWALSDSKVGIWREAELESWNDQLRMGKVVFRDNGSSAELGVEALMLSEFAQMTDEEESELSSEESDSSDYEEESPGGLGFLESTALQRGIQTETTIFAKWENHTRGVASKMMANMGYREGMGLGASGQGMLDPISVKVLPAKLCLDHALESHESDESKEKRGKKRSRGGKRKREKKFAEAARAAKDEEESRPDVFSLINNQLAMHNDAVNAASTKKQQNKGSGEEKKVDRKALVAYDDEVKELRLRVAKLEEMVSRNRKEKAVYEAATRKLNETRKALADAEAVHASASNAVVSKEKEKKWLKF >EOY29994 pep chromosome:Theobroma_cacao_20110822:9:2989748:2998341:-1 gene:TCM_037349 transcript:EOY29994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MARMSSESKLYEAAEEGSVTTFLELIQQDRLLLDRVLVNYTTETPLHVAAMLGHTDFVKEIIHRKPEFTRELDSRGSSALHLASAKGYVEIVKALLFVNPDICLARDIEERNPLHLAAMKGQVDVLKELIHARPHAAQVTVAWGQSILHLCVKYGQFECLKLLIEVMDDNEIVSAKDDYGMTILHLAVAYKQIETVKFLLFNTSGEVNALNANGFTAMDVLAQSNRGLKDFDIAESLRDAGALRAAEISYTGPRIGGLRTKATPPIAQIPVTPPSKEYVQKATNNEDWLTRKRDALMVVASLNATMAFQAALTPPGGLWQDDLTGTSQGNNDTQPHEAGTSIIADKNQSYYSQYLSYNTTSFIASLSIILLLITGLPFKRRLFMWILTVIVWIAITSMALTYRISLLVFTPKAQELNVTQVLDYAVRVWSGVMGFLFLGHSIRLAARASPLHLATASGHVEVVKELLLVNPEMCLAQNQDAKNPLHIAVIKDRIEVLKELVPAKPEAAQVPAAEGETILHLCVEHYRLEALRFLVENTSTSDFVNSEYDNGFTVLHLALAKNQHENADMTGSHHGEHEIWPHTPDLKLSWEAQCSIGSTRSHRNVVQSIKIWITNTKVERNPLLTNGTALAQGRRNANNDIDIRGFLQHDEAGSARRTALMVVASIIATMACESRINPPKGLWPEINKATSFRKTSEFLSYNTTGFLASLTVILLLIGGLPLRSKFILWILTVIIWVAIASMLLAYTLGLYILLEPYGSDYPKIQLAVTTWFWYAVLAFLLLGHAIRLALKVARVFR >EOY31555 pep chromosome:Theobroma_cacao_20110822:9:9573173:9581499:1 gene:TCM_038479 transcript:EOY31555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin assembly protein, putative MASTQHSLRKAIGALKDSTKVGLAKVNGDNKGLDVAIVKATNHKEKVPKEKHVRTILIAVSASSPRSDVAFCVHSLFKRLAKTHTWTVALKTLIVVHRALREVDPSFHQELITLGRGRGLMLNLAHFRDESSSQAWDYSAWIRRYALYLEERLECFHELKYDVDKDQSRNGRLDTPHLLRQLPVLQELLHRLLACKPEGAALCNRLIHYVLSIVAGECVNLYIAITEGILNLVDKYFEMQHHHAVKALEIYRKAGNQASQLSEFFEICKGLHYGQGQKYLKIKPLPASFLTAMEDYVKEAPEVLTLPYKAIKDDNKGAAPTEVPTPRSDLLIDHNQDTDVQEKSSPSVTPSDQPQSDPRQAVAKLEIADLLCFDDPPEEGSELNDKNSLALAIVESEGVSSAGNDVSSASATPSWELELFSAPSSNGAALAENNVTGRLDRLTLDSLYDQAIASTTHQDRACNLGQVSTNPFEVDYDQDPICGSSDVTPPTDVQMESMAQQQTYIMQQQQQPPMVGYDSTIPSGNPFVEHSMPSQPPENSYSGLI >EOY33962 pep chromosome:Theobroma_cacao_20110822:9:39498757:39500608:-1 gene:TCM_041785 transcript:EOY33962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAQLDPLHRYPDNHRNPTTPTIEQLLRAEDPQTWSPQSHSSPTSARSQEVNDPEDVHYHPKKLTVLAKVKEKARRWRQSFIKRKHSEGDNTTPSWGVRLEDEDDGDEDVGDPEYLGAPMYESELAPEGYKENARQHPRAVPVISEKHVLPSSVKPVAEQGKKEHTLAEAKAKKQAPANATGTDATQKIASKIQGLSVSAPTASKTEKHATYENNEHAAQKTSKDGTHEADKYATQETDKHAVKADNPISPRENNWDKGVSVKEYIKNKFEPGEDEKALSQAISDAMSPSRTPGDVGVIEKVKGAVNSLLWREEPAQSTIDHSARNSSPHIPISNNAQVVMKEEYSKPTDR >EOY33963 pep chromosome:Theobroma_cacao_20110822:9:39498519:39500880:-1 gene:TCM_041785 transcript:EOY33963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAQLDPLHRYPDNHRNPTTPTIEQLLRAEDPQTWSPQSHSSPTSARSQEVNDPEDVHYHPKKLTVLAKVKEKARRWRQSFIKRKHSEGDNTTPSWGVRLEDEDDGDEDVGDPEYLGAPMYESELAPEGYKENARQHPRAVPVISEKHVLPSSVKPVAEQGKKEHTLAEAKAKKQAPANATGTDATQKIASKIQGLSVSAPTASKTEKHATYENNEHAAQKTSKDGTHEADKYATQETDKHAVKADNPISPRENNWDKGVSVKEYIKNKFEPGEDEKALSQAISDAMSPSRTPGDVGVIEKVKGAVNSLLWREEPAQSTIDHSARNSSPHIPISNNAQL >EOY29221 pep chromosome:Theobroma_cacao_20110822:9:397988:403561:-1 gene:TCM_036822 transcript:EOY29221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide (PPR) repeat-containing protein / CBS domain-containing protein MPQVHAILPLPSKLPLLHSNIFSSCSSSSAPIRRRLRRTFSPTKPPNLRRLTSRVVQLTRRRQLHQIFEEIESARREYGKLNTIVMNAVMEACVHCGDVDMALNIFHQMAQPHGCGVDIVTYATLLKGLGRARRIDEAFQILESVEQGTAAGKPNLSAQLIYGLLNALIEAGDLRRANGLLARYGFLLREGRSLSISTYNLLMKGYIGAGCPQAAVNLHEEILRLGLEPDRLTYNTLIFACVKTENLDAAIRFFEEMKDKAQRLCHRDLYPDVVTYTTLLKGFGHSKDLHSVQKIVLEMKSRHDLFIDRTAFTAIVDALLTCGSIKGALCIFGEIIKWAGANVNLRPKPHLYLSMMRAFADQGDYNMVKNLHERLWPDSSGTISLAVQEEADHLLMESALNDGQVDAAVENLTQIINRWKKGISWTSRGGMVALHIEVLLGFTKSMFSPYLLPQVLPGEPIESIMMPLETVRPVLGTLELEKVVMRFYRDPVVPIIDDWGSCIGLLHREDCYEMNAPLSTMMRSPPPCVTTTTSIGHVVDLILQQKYKMVIVVKHSNLNGTTHGSRAVGVFTAEQLVNLMAPVPEVLKQKHSLWRRLTMF >EOY33424 pep chromosome:Theobroma_cacao_20110822:9:37431005:37433076:1 gene:TCM_041399 transcript:EOY33424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVVWARMVAVLASRMMEDFQNGVLKVNVDAAHYKKDNNMAGCISGNIDSKARLNSGKYKDREPPPPNRKYHTRLRKPDVRATTGPADCNHDVFDVTLT >EOY31992 pep chromosome:Theobroma_cacao_20110822:9:18723529:18724103:1 gene:TCM_039381 transcript:EOY31992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIISVLSKLFKTKKWKKAMDEEMKAIQNDTWSLTSLLEGKKAASVKDRIGGETLHKKADIDYGEVFVSVAWLESITLMISLAAHNVC >EOY32025 pep chromosome:Theobroma_cacao_20110822:9:19230651:19231541:1 gene:TCM_039437 transcript:EOY32025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSFFGRVDMAEGNTCLYFLDHKVWWFRLVDFEGVPLLDEAWVEMGWLGLIPSRTNSSKHDWNSHFHVTLVI >EOY30238 pep chromosome:Theobroma_cacao_20110822:9:3880746:3887425:-1 gene:TCM_037512 transcript:EOY30238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 7B4, putative MTLKMRKPSRANTTCLANMYRTQIGFDRKEYEFLGEIGLGPTNIGCFINGMWKASGSEVSSVNPSNNQAMAKVAEASIGDYEEGMRACSEATKTWMNINLLRLVFLTLSLSFHKTLKSYILLEIIDMCDYVVGLSRQLNGSIVHSERPEHMMFEVWNPLGIVGVITAFNFPCAVLGWNTCIALVCGNSVVWKGAPTTPLVTIAVTKLVSDVLEKNNLPGAMFTAFCGGAEIGQAIATDTRIALVSFTGSSKVGLMVQQTVSQRFGKCLLELSGNKAMIVMDDADISLAYLHESIYENVLDQLIEVYKQFRVKDPLEKGTLVGPLHALASRESFEKGIGIIKSQACILSVYSSLSLSSSVNLLVELYDLNAQADTSRERRFLLSLEEAIEMNNSVPLGLSSSIFTRRPEVIFKWIGPCGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDS >EOY31225 pep chromosome:Theobroma_cacao_20110822:9:7627802:7630016:-1 gene:TCM_038189 transcript:EOY31225 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein MAEPPPPVELQPQAKTVQELAATNRNEVPERYICKGDNGGVLDDSFPVLDVPTIDFGLLASSTAGGEELEKLRSALSSCGCIQAINNGITSAFLDELREVARQFFALPMEEKKKYSREIGSTEGYGNDMILSEHQTLDWTDRLYLILSPEDKRTLKFWPENPEAFRGILHEYSMKLQVVAEVLLKAMARSLNLEENCFLHQYGDQATLQARFNLYPICPRPDLVLGVKPHADGSAITMLLQDKEVEGLQILKDDQWVSVPIIPQALLINVGDQVEIMSNGIFKSPLHRVVTNSERERITLAVFCIPHPDQEIEPVDELITEARPRSYKKVKDYVSIYFQYYQKGRRPIEAALL >EOY34722 pep chromosome:Theobroma_cacao_20110822:9:41903677:41906352:-1 gene:TCM_042307 transcript:EOY34722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein MATPVMSNSRMSSAMERTGQWVFSQEIPTDVVVEAGEANFNLHKFMLVAKSNYIRKLILETKEADLTRINLSDIPGGPEIFEKAAKFCYGVNFEITVHNVAALRCAAEYLQMTDNYCDSNLAGRTEDFLSQVALHSLSGAVVVLKSCEDLLPMAEELKIVQRCIDIASARACSVANFPCRTPPNWWTEELSILDVDLFGRIIAAMKQRGAKALTLASALITYAERWLRDLVRDHSGNGIKCSEPTDSDLRIQQRELLESMVALFPAEKAAFPMHFLCCLLRCAIFLKASSACKNELEKRIAVILEHVAVNDLLVLSFTYDGERLLDLDSVRRIISGFVEKEKSMAVFNGGNFREVTSSAMQRVAKTVDAYLGEIATVDELTIAKFNGIAILVPKGARKVEDDLYRAIDIYLKAHPNLDEIEREKVCSSMDPLKLSYEARVHASQNKRLPVQIVLHALYYDQLKLRSGAVDDRERNAADAVATRNQLQADVSLVKENEALRSELKKMKMYISDLQKNNQGTCSKSAAGNRKPTFFSSMSKTLGKLNPFRHGSKDTSNIDDSLGVDVTKPRRRRFSIS >EOY29291 pep chromosome:Theobroma_cacao_20110822:9:657740:662643:-1 gene:TCM_036877 transcript:EOY29291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monogalactosyl diacylglycerol synthase 1 MRNPSAVTQESSPAFDLVTQLGHLAFNKSFYSSNSDGFYSFKPNYMYFSGFGGSVSQRRRRVIAAASLSLGARNSVSSSVRRIVNEFNRVIKFHCDRIPIGFASVRVGSEDSNGLRVDGGGGVLEVEGLPLNGVGAETPKKVLILMSDTGGGHRASAEAIKAAFYEEFGDDYQVFVTDLWSEHTPWPFNQLPKSYNFLVKHGSLWKMTYYGTAPRVVHQSNFAATSTFIAREVAKGLMKYQPDIIISVHPLMQHVPLRILRAKGLLKKIVFTTVVTDLSTCHPTWFHKLVTRCYCPTAEVAKRALKAGLQPSQIKVYGLPVRPSFVKPVRPKIELRRELGMDEDLPAVLLMGGGEGMGPIEATARALGNALYDENLGEPLGQILVICGRNKKLASKLLSIDWKIPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQEVGNVPYVVENGCGKFSKSPKEIANIVAQWFGPKADELKAMSQNALKLARPEAVFKIVHDLHELVRQRNFVPQFSCTT >EOY32792 pep chromosome:Theobroma_cacao_20110822:9:33556264:33557644:1 gene:TCM_040807 transcript:EOY32792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTECMVPPRAAFVLKNVVRDALPDALLQHTRSHACSSVRSVVPSACVCLLAHMETNNIAHATTTGRPREEGPNALRLLFPLFHCPTVYVSFILLCNQSASWFLPFIRPMMLRRRRKRKRANDDTCGSISF >EOY34522 pep chromosome:Theobroma_cacao_20110822:9:41353701:41364214:1 gene:TCM_042168 transcript:EOY34522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin, heavy chain isoform 1 MAAANAPLSMKETLTLPSIGINPQFISFTHVTMESDKYICVRETAPQNSVVIIDMSMPMQPLRRPITADSALMNPNTRILALKAQLPGTTQDHLQIFNIEMKAKVKSYQMPEQVVFWKWISPQKLALVTQTAAYHWSIEGESEPVKMFERTANLANNQIINYKCDSNEKWLVLIGIAPGSSERPQLVKGNMQLFSVDQQRSQALEAHAASFATFKVPGNENPSTLISFATKTFNAGQITSKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVSMQISQKYGLIYVITKQGLLFVYDLETATAVYRNRISPDPIFLTTEASSIGGFYAINRRGQVLLATVNEATIIPFISGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFSQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERKEFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNVPEHGYLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLYIRALQHYTELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLMVNLRANLQIIVQTAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNCLRLLTQFLEHLVSEGSQDVHVHNALGKIIIESNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELVNVTNKNSLFKLQARYVVERMDADLWEKVLNPDNEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNLNSIDRAVEFAFRVEEDAVWSQVAKAQLRVGDVSEAIESFIRADDATQFLDVIKAAEDANVYQDLVRYLLMVRQKTKEPKVDSELIYAYAKIGGLGEIEEFILMPNVANLQNVGDRLYDEALYEAAKIIFAFISNWAKLAITLVKLQQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFSELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYVQYDEFDNAATTIMNHSPEAWDHMQFKDIVVKVANVELYYKAVHFYLEEHPDLINDVLNVLALRVDHARVVDIMRKRLVTCVLLSHTWLPFRATMWLLLMKL >EOY34518 pep chromosome:Theobroma_cacao_20110822:9:41353658:41364192:1 gene:TCM_042168 transcript:EOY34518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin, heavy chain isoform 1 MAAANAPLSMKETLTLPSIGINPQFISFTHVTMESDKYICVRETAPQNSVVIIDMSMPMQPLRRPITADSALMNPNTRILALKAQLPGTTQDHLQIFNIEMKAKVKSYQMPEQVVFWKWISPQKLALVTQTAAYHWSIEGESEPVKMFERTANLANNQIINYKCDSNEKWLVLIGIAPGSSERPQLVKGNMQLFSVDQQRSQALEAHAASFATFKVPGNENPSTLISFATKTFNAGQITSKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVSMQISQKYGLIYVITKQGLLFVYDLETATAVYRNRISPDPIFLTTEASSIGGFYAINRRGQVLLATVNEATIIPFISGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFSQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERKEFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNVPEHGYLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLYIRALQHYTELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLMVNLRANLQIIVQTAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNCLRLLTQFLEHLVSEGSQDVHVHNALGKIIIESNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELVNVTNKNSLFKLQARYVVERMDADLWEKVLNPDNEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNLNSIDRAVEFAFRVEEDAVWSQVAKAQLRVGDVSEAIESFIRADDATQFLDVIKAAEDANVYQDLVRYLLMVRQKTKEPKVDSELIYAYAKIGGLGEIEEFILMPNVANLQNVGDRLYDEALYEAAKIIFAFISNWAKLAITLVKLQQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFSELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYVQYDEFDNAATTIMNHSPEAWDHMQFKDIVVKVANVELYYKAVHFYLEEHPDLINDVLNVLALRVDHARVVDIMRKAGHLRLVKPYMVAVQSNNVAAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGFAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNHYRDAMETCSQSGDRELAEELLVYFIEQRKKECFAACLFVCYDVIRPDVALELAWINNMIDFAFPYLLQFIREYTGKVDELVKDKIEAMNQLKAKEEEEKDVVAQQNMYAQLLPLALPAPPMPGMGGSFAPPPPPPPMSGMGMPPMAPYGMPPMGSY >EOY34519 pep chromosome:Theobroma_cacao_20110822:9:41351376:41364384:1 gene:TCM_042168 transcript:EOY34519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin, heavy chain isoform 1 MAAANAPLSMKETLTLPSIGINPQFISFTHVTMESDKYICVRETAPQNSVVIIDMSMPMQPLRRPITADSALMNPNTRILALKAQLPGTTQDHLQIFNIEMKAKVKSYQMPEQVVFWKWISPQKLALVTQTAAYHWSIEGESEPVKMFERTANLANNQIINYKCDSNEKWLVLIGIAPGSSERPQLVKGNMQLFSVDQQRSQALEAHAASFATFKVPGNENPSTLISFATKTFNAGQITSKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVSMQISQKYGLIYVITKQGLLFVYDLETATAVYRNRISPDPIFLTTEASSIGGFYAINRRGQVLLATVNEATIIPFISGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFSQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERKEFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNVPEHGYLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLYIRALQHYTELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLMVNLRANLQIIVQTAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNCLRLLTQFLEHLVSEGSQDVHVHNALGKIIIESNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELVNVTNKNSLFKLQARYVVERMDADLWEKVLNPDNEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNLNSIDRAVEFAFRVEEDAVWSQVAKAQLRVGDVSEAIESFIRADDATQFLDVIKAAEDANVYQDLVRYLLMVRQKTKEPKVDSELIYAYAKIGGLGEIEEFILMPNVANLQNVGDRLYDEALYEAAKIIFAFISNWAKLAITLVKLQQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFSELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYVQYDEFDNAATTIMNHSPEAWDHMQFKDIVVKVANVELYYKAVHFYLEEHPDLINDVLNVLALRVDHARVVDIMRKAGHLRLVKPYMVAVQSNNVAAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGFAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNHYRDAMETCSQSGDRELAEELLVYFIEQRKKECFAACLFVCYDVIRPDVALELAWINNMIDFAFPYLLQFIREYTGKVDELVKDKIEAMNQLKAKEEEEKDVVAQQNMYAQLLPLALPAPPMPGMGGSFAPPPPPPPMSGMGMPPMAPYGMPPMGSY >EOY34521 pep chromosome:Theobroma_cacao_20110822:9:41353658:41363851:1 gene:TCM_042168 transcript:EOY34521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin, heavy chain isoform 1 MAAANAPLSMKETLTLPSIGINPQFISFTHVTMESDKYICVRETAPQNSVVIIDMSMPMQPLRRPITADSALMNPNTRILALKAQLPGTTQDHLQIFNIEMKAKVKSYQMPEQVVFWKWISPQKLALVTQTAAYHWSIEGESEPVKMFERTANLANNQIINYKCDSNEKWLVLIGIAPGSSERPQLVKGNMQLFSVDQQRSQALEAHAASFATFKVPGNENPSTLISFATKTFNAGQITSKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVSMQISQKYGLIYVITKQGLLFVYDLETATAVYRNRISPDPIFLTTEASSIGGFYAINRRGQVLLATVNEATIIPFISGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFSQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERKEFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNVPEHGYLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLYIRALQHYTELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLMVNLRANLQIIVQTAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNCLRLLTQFLEHLVSEGSQDVHVHNALGKIIIESNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELVNVTNKNSLFKLQARYVVERMDADLWEKVLNPDNEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNLNSIDRAVEFAFRVEEDAVWSQVAKAQLRVGDVSEAIESFIRADDATQFLDVIKAAEDANVYQDLVRYLLMVRQKTKEPKVDSELIYAYAKIGGLGEIEEFILMPNVANLQNVGDRLYDEALYEAAKIIFAFISNWAKLAITLVKLQQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFSELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYVQYDEFDNAATTIMNHSPEAWDHMQFKDIVVKAGHLRLVKPYMVAVQSNNVAAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGFAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNHYRDAMETCSQSGDRELAEELLVYFIEQRKKECFAACLFVCYDVIRPDVALELAWINNMIDFAFPYLLQFIREYTGKVDELVKDKIEAMNQLKAKEEEEKDVVAQQNMYAQLLPLALPAPPMPGMGGSFAPPPPPPPMSGMGMPPMAPYGMPPMGSY >EOY34523 pep chromosome:Theobroma_cacao_20110822:9:41353658:41363851:1 gene:TCM_042168 transcript:EOY34523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin, heavy chain isoform 1 MAAANAPLSMKETLTLPSIGINPQFISFTHVTMESDKYICVRETAPQNSVVIIDMSMPMQPLRRPITADSALMNPNTRILALKAQLPGTTQDHLQIFNIEMKAKVKSYQMPEQVVFWKWISPQKLALVTQTAAYHWSIEGESEPVKMFERTANLANNQIINYKCDSNEKWLVLIGIAPGSSERPQLVKGNMQLFSVDQQRSQALEAHAASFATFKVPGNENPSTLISFATKTFNAGQITSKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVSMQISQKYGLIYVITKQGLLFVYDLETATAVYRNRISPDPIFLTTEASSIGGFYAINRRGQVLLATVNEATIIPFISGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFSQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERKEFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNVPEHGYLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLYIRALQHYTELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLMVNLRANLQIIVQTAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNCLRLLTQFLEHLVSEGSQDVHVHNALGKIIIESNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELVNVTNKNSLFKLQARYVVERMDADLWEKVLNPDNEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNLNSIDRAVEFAFRVEEDAVWSQVAKAQLRVGDVSEAIESFIRADDATQFLDVIKAAEDANVYQDLVRYLLMVRQKTKEPKVDSELIYAYAKIGGLGEIEEFILMPNVANLQNVGDRLYDEALYEAAKIIFAFISNWAKLAITLVKLQQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFSELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYVQYDEFDNAATTIMNHSPEAWDHMQFKDIVVKVANVELYYKAVHFYLEEHPDLINDVLNVLALRVDHARVVDIMRKAGHLRLVKPYMVAVQSNNVAAVNEALNEIYVEEEDYDRLHAMETCSQSGDRELAEELLVYFIEQRKKECFAACLFVCYDVIRPDVALELAWINNMIDFAFPYLLQFIREYTGKVDELVKDKIEAMNQLKAKEEEEKDVVAQQNMYAQLLPLALPAPPMPGMGGSFAPPPPPPPMSGMGMPPMAPYGMPPMGSY >EOY34520 pep chromosome:Theobroma_cacao_20110822:9:41353658:41364220:1 gene:TCM_042168 transcript:EOY34520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin, heavy chain isoform 1 MAAANAPLSMKETLTLPSIGINPQFISFTHVTMESDKYICVRETAPQNSVVIIDMSMPMQPLRRPITADSALMNPNTRILALKAQLPGTTQDHLQIFNIEMKAKVKSYQMPEQVVFWKWISPQKLALVTQTAAYHWSIEGESEPVKMFERTANLANNQIINYKCDSNEKWLVLIGIAPGSSERPQLVKGNMQLFSVDQQRSQALEAHAASFATFKVPGNENPSTLISFATKTFNAGQITSKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVSMQISQKYGLIYVITKQGLLFVYDLETATAVYRNRISPDPIFLTTEASSIGGFYAINRRGQVLLATVNEATIIPFISGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFSQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERKEFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNVPEHGYLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLYIRALQHYTELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLMVNLRANLQIIVQTAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNCLRLLTQFLEHLVSEGSQDVHVHNALGKIIIESNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELVNVTNKNSLFKLQARYVVERMDADLWEKVLNPDNEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNLNSIDRAVEFAFRVEEDAVWSQVAKAQLRVGDVSEAIESFIRADDATQFLDVIKAAEDANVYQDLVRYLLMVRQKTKEPKVDSELIYAYAKIGGLGEIEEFILMPNVANLQNVGDRLYDEALYEAAKIIFAFISNWAKLAITLVKLQQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFSELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYVQYDEFDNAATTIMNHSPEAWDHMQFKDIVVKVANVELYYKAVHFYLEEHPDLINDVLNVLALRVDHARVVDIMRKAGHLRLVKPYMVAVQSNNVAAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGFAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNHYRDAMETCSQSGDRELAEELLVYFIEQRKKECFAACLFVCYDVIRPDVALELAWINNMIDFAFPYLLQFIREYTGKVDELVKDKIEAMNQLKAKEEEEKDVVAQQNMYAQLLPLALPAPPMPGMGGSFAPPPPPPPMSGMGMPPMAPYGMPPMGSY >EOY29943 pep chromosome:Theobroma_cacao_20110822:9:2884796:2888788:1 gene:TCM_037320 transcript:EOY29943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 4A1 MAGLATDGSQYDAHQYDSKMNELLSDEGKEFFTTYDEVCESFDKMGLKENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYDLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILAAGVHVVVGTPGRVFDMLRRQSLRADAIRMFVLDEADEMLSRGFKDQIYDIFQQLPAKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDQLRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDDRMLTDIQRFYNVVIEELPANVADLI >EOY31231 pep chromosome:Theobroma_cacao_20110822:9:7646464:7647968:-1 gene:TCM_038193 transcript:EOY31231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMAWLINSMEPKIGRTYLFYKIAKEIWDMAHEMYLDLENSAQCFEVRSALRSTKQGNLSVTEYFNTLTKLWQEMDMFYETNWHCPKDSLKYKQMLEKERVFDFLHGLSKELDEVRGRLLETKPFPNLSQSSALVSKKHEPANSSNQRGNRKNDKSMV >EOY29193 pep chromosome:Theobroma_cacao_20110822:9:325042:330572:1 gene:TCM_036808 transcript:EOY29193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MNLIHTTCISKTQFAPSPVSVHGVPQRSKKLSAVRVSNFAASTTEVVVDNGTARRGLTSSTDSKNKSLPPGKSSSAMEQLDIERGVCIPFRKYSPETVRNTVLESRGAVASLILRGVEIVWNLGLYWSSLMYDCLVGRDKEVVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFNIIEEELGQPLEAVFSKISPRTIAAASLGQVYRATLRASGEDVAIKVLRPQIEPIIYRDLFLFRTLASFLNGISIQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKDDPTVKIPRVYKSLSGARVLVMEWIDGIRCTNPQAVKNAGIDVNGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAELSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIIPALEAIWQNSAGKGLSDFNFRSVTGQFNKLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGVFQWKRLENLIVLAKENVAKMSSNPALRVRNAPNSRSWQIERKLDLTDTIKDGARLFLFDEGIRRQLLLALTEDSKLHVQELVDVYRLVEDEIDIPSVAVEIVQDFPNVVRDFLLSWSNSVLYDR >EOY29194 pep chromosome:Theobroma_cacao_20110822:9:325178:330042:1 gene:TCM_036808 transcript:EOY29194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MNLIHTTCISKTQFAPSPVSVHGVPQRSKKLSAVRVSNFAASTTEVVVDNGTARRGLTSSTDSKNKSLPPGKSSSAMEQLDIERGVCIPFRKYSPETVRNTVLESRGAVASLILRGVEIVWNLGLYWSSLMYDCLVGRDKEVVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFNIIEEELGQPLEAVFSKISPRTIAAASLGQVYRATLRASGEDVAIKVLRPQIEPIIYRDLFLFRTLASFLNGISIQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKDDPTVKIPRVYKSLSGARVLVMEWIDGIRCTNPQAVKNAGIDVNGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAELSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIIPALEAIWQNSAGKGLSDFNFRSVTGQFNKLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVVLFKDGVFQWKRLENLIVLAKENVAKMSSNPALRVRNAPNSRSWQIERKLDLTDTIKDGARLFLFDEGIRRQLLLALTEDSKLHVQESWLMSTDWLKMR >EOY33300 pep chromosome:Theobroma_cacao_20110822:9:36537070:36538191:-1 gene:TCM_041255 transcript:EOY33300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASVWWLLLIWDARYICVAVEAHDATDVKCFSVALALYGSLNDRRLFCVGYCSRGPNLDCLVYDHFA >EOY33941 pep chromosome:Theobroma_cacao_20110822:9:39409670:39412513:-1 gene:TCM_041769 transcript:EOY33941 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MFHPGAQREKLVINNVGVYLWKPTVEFTAEEFSTLTSANFESASHLCQFSHPLDLKARGAGSVVFISSMAAVISINIGGSFYSAAKGALNQLTKTLACEWAKDNLRTNCVAPAFIRTPLTKAAFEEEKMSEICNLKNSFGTDWRA >EOY32687 pep chromosome:Theobroma_cacao_20110822:9:32853612:32858122:1 gene:TCM_040717 transcript:EOY32687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 19 isoform 2 MELCEGGELFDRIVARGHYTERAAAGVMRTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPKVSDNAKDLVRKMLNPDPKQRLTAQEVLEHPWLQNAKKAPNVPLGETVKARLKQFSVMNKLKKRALRVIAEHLSLEEVAGIKEAFKMMDTRKQGKINLDELRMGLQKLGQQIPDADLQILMEAADVDGDGTLNYGEFVAVSVHLKKMANDEHLHKAFAFFDLNKSGYLEIEDLRDALNDEVDTSSEEVIDAIMHDVDTDKDGRISYEEFVAMMKAGTDWRKASRQYSRE >EOY32686 pep chromosome:Theobroma_cacao_20110822:9:32853676:32862554:1 gene:TCM_040717 transcript:EOY32686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 19 isoform 2 MGNCCATPGSPVQSNKKGKNKNKANPFFGDDYAVTNGSATTFKLRVLKEPTGHDISAQYDLGRELGRGEFGVTYLCTDVSSGDKFACKSISKKKLRTAVDIEDVRREVQIMKHLPKHPNIVSLKDTYEDDDAVHIVMELCEGGELFDRIVARGHYTERAAAGVMRTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPKVSDNAKDLVRKMLNPDPKQRLTAQEVLEHPWLQNAKKAPNVPLGETVKARLKQFSVMNKLKKRALRVIAEHLSLEEVAGIKEAFKMMDTRKQGKINLDELRMGLQKLGQQIPDADLQILMEAADVDGDGTLNYGEFVAVSVHLKKMANDEHLHKAFAFFDLNKSGYLEIEDLRDALNDEVDTSSEEVIDAIMHDVDTDKDGRISYEEFVAMMKAGTDWRKASRQYSRERFNSLSLKLMRDGSLQLAN >EOY32512 pep chromosome:Theobroma_cacao_20110822:9:30749686:30753790:-1 gene:TCM_040490 transcript:EOY32512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNSVGNFLALGLQQSLSSLHHLSTMFSFLYPCYRYRSLVLRFHFRQMICTFIGLMRNGPTKTEPIFFFQLIQSLKFHPPSPWPYLFYHSPFSTKYKTYGSGKIHRQFFFASGINVYTHWCPRHYRLRYPRLCSDLGIPNRFLQIFSHIFHTIQASVGAHVKDSYVTNVLHFHLTNPPCRLSTTEVTLDYSRDRRSHLSLNKRVKRICCSRSMGVDKPTLTPRTLNYYAELEALSPQSIAVNVPITCAEVDGGGTVVSGGTKVVAIAVDNGTERATTLMDVGINDGGGRCYSIEAVVAFSHSRLLACLNLTIVE >EOY32566 pep chromosome:Theobroma_cacao_20110822:9:31507348:31508157:-1 gene:TCM_040559 transcript:EOY32566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILAAQAAHARNSNAHGLHDNNSANGQHTLNAHAPEGLHTGSVLHSPMAGGCASLGLAHAECPLAVGAGQFHMSVAHQASQFRSRLSIKSDPKENHALAGITLEDLTRTPVRSPRSSTLKCASPNHNRTGPWSFTEIWATHKQVIHTRPAECSSSPACTSRQ >EOY30688 pep chromosome:Theobroma_cacao_20110822:9:5431548:5432461:1 gene:TCM_037810 transcript:EOY30688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAANLEMRKAKKVGPLVRRPFYLPERRDGASSRIARAVTMVCRQSLFIVTAYLSPPQSTVPPEVAGPLRVAASSSI >EOY32585 pep chromosome:Theobroma_cacao_20110822:9:31698739:31703361:1 gene:TCM_040579 transcript:EOY32585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MKAYLRDNNLWDVIQNGSWSVTRQNKQGASWRKSFKGLTVPELFTELDHQHRSNVEIGNGDFLHAIGKELRNKLQPKAQKGIFVGYNLESKAYRVCLIDSGVDYFDTFAPVARLDTIRLLIALFTAFGWKLFHLDIKSAFLNGRPTEEIYVEQPKGFEVEAGKDKVYRLHKALYGLKQAPRAQNARFDSYLQNDILITGVYCHEVEKFKADLQKEFDMSDLGLMRDKLSNPGEYRSLIGCLLYICSTRSEIMFSLSQIAIGEAVLMMLRVLMGTYSLLVMVFFSWNSHKQESVAQSSVEAEYVAAIDDANQALWLKKVLIDLGIPQTKPTKLFMDNKSTISIFQNPIFHGKTKHIHVKYHALRDAQKRGEILVQYCCSADQVADIMTKALHRATFELNRGMLMVKDARIKEGC >EOY32806 pep chromosome:Theobroma_cacao_20110822:9:33761551:33763522:-1 gene:TCM_040833 transcript:EOY32806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like 3 MFQDESSSVTSSPLQVFSMMSLSPNLGSPYPWLRELKSEERGLYLIHLLLTCANHVATGSLENANIALEQISQLASPDGDTMQRMAAYFTEALADRILKAWPGLHKALNSTRITLVSEEVLVGKLFFEMFPFFKVAFVLTNQAIIEAMEGEKMVHIIDLNAAEPAQWIALIQALSARPEGPPHLRITGIHQQKEVLDQMAYRLTEEAEKLDIPFQFNPIVSKLENLDIEKLRVKTGEALAISSVLQLHSLLASDDELLRKKSPLASKNLNGIHLQRSLQMNQSTLGELLEKDVVNGYSPSPDSASSSPLSSTSSVKVDSFLNALWGLSPKLMVVTEQDSNHNGSTLMERLLESLYSYAALFDCLESTVSRASLERLKVEKMLFGEEIKNIIACEGAERKERHEKLEKWIQRLDLASFGNVPLSYYGLLQARRLLQGYNCDGYKMKEENGCVVICWQDRPLFSISAWRCRK >EOY30122 pep chromosome:Theobroma_cacao_20110822:9:3469299:3484141:-1 gene:TCM_037442 transcript:EOY30122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-ketoglutarate reductase/saccharopine dehydrogenase bifunctional enzyme MEWDKSPGVARIIVQPSTKRIFHDKLYEEVGCEISDDLSACGLVLGIKKPRLEMIRRDTAYAFFSHTHKAQEENMPLLDKILAERATLFDYELVDGDNGKRLIAFGNFAGRVALIDLLRGLGERYLNLGYSTPFLSLGASYMYPSVTAAKAAVISVGDEIARQGLPSGICPLVFVFTGSGKGSLGAQEMFKLLPHTFVDPSKLPQLFEKARDPPLPGQASERVFEVYGCVVTSQDMVEHKDNPKVFDKADYYAHPECYKPVFHERIAPYVSVFVNCIYWEKRFPRLLSTQQLQDLVRKGCHLIAISDLTCDMEGSIEILNRTTSIDSPFFRYDAITDSYHNGMEGNGVICSVVDNLPTEFAKEASEHFGGLLLQFIGSLASTADISKLPPVLKKACIAHGGALTPLYEYIPSLRKSNREC >EOY29585 pep chromosome:Theobroma_cacao_20110822:9:1664388:1665583:-1 gene:TCM_037084 transcript:EOY29585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCWSPWEVVMVRDRNAEMRRDMEEESKEKAEALEDSGIFANLKPDFLHYRGWMGIRLIILQLSESRRWKKPFQNAFKKRYPMNEAPGGGCQEALDENDEKEELNEDWVDEVYKAVVPAFKELNECNPSCRYPLREF >EOY31636 pep chromosome:Theobroma_cacao_20110822:9:10718418:10731488:1 gene:TCM_038611 transcript:EOY31636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 7 isoform 1 MDSPTSAGDSSTARIVARSSMMDSIRACGLSGLAGVRIDKEVLRRRLLMPEYLRLAMLDSIKKKDVDGGDQHFRLHGSDDVPCPESPMVVFINPRSGGRYGPILKERIKQLISEEQVFDLHDVKPHEFVRYGLACLEKWANNGDRCAKETRQNMRVVVAGGDGTVGWVLGCLGELHQKGREPVPPVAVIPLGTGNDLSRSFGWGGSFPFAWKSAIKRTLHRATMGPICHLDRCSWHVVLQMPGGEVVDPPYSLKATEECSLDQTLEIDGDVPDKVNCYEGVFYNYFSIGMDAQVAYGFHHLRNEKPYFAQGPITNKIIYSGYSCTQGWFLTPCMSDPSLRGLKNILRMHVRRVNCSEWEQIPIPKSVRAVVALNLHNYGSGRNPWGNLKPEYLEKRGFVEAHADDGLLEIFGLKQGWHASFVMVELISAKHIAQAASVRLEIRGGEWKDAFMQMDGEPWKQPMNKEHSTFVEIKRVPFQSLMINGE >EOY31637 pep chromosome:Theobroma_cacao_20110822:9:10718912:10726136:1 gene:TCM_038611 transcript:EOY31637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 7 isoform 1 MDSPTSAGDSSTARIVARSSMMDSIRACGLSGLAGVRIDKEVLRRRLLMPEYLRLAMLDSIKKKDVDGGDQHFRLHGSDDVPCPESPMVVFINPRSGGRYGPILKERIKQLISEEQVFDLHDVKPHEFVRYGLACLEKWANNGDRCAKETRQNMRVVVAGGDGTVGWVLGCLGELHQKGREPVPPVAVIPLGTGNDLSRSFGWGGSFPFAWKSAIKRTLHRATMGPICHLDSWHVVLQMPGGEVVDPPYSLKATEECSLDQTLEIDGDVPDKVNCYEGVFYNYFSIGMDAQVAYGFHHLRNEKPYFAQGPITNKIIYSGYSCTQGWFLTPCMSDPSLRGLKNILRMHVRRVNCSEWEQIPIPKSVRAVVALNLHNYGSGRNPWGNLKPEYLEKRGFVEAHADDGLLEIFGLKQGWHASFVMVELISAKHIAQAASVRLEIRGGEWKDAFMQMDGEPWKQPMNKEHSTFVEIKRVPFQSLMINGE >EOY30927 pep chromosome:Theobroma_cacao_20110822:9:6300079:6310703:-1 gene:TCM_037969 transcript:EOY30927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCGLLALSSGQGIRSKAHMQEVASAISSFASPYDIYQTLADSSVVIAYDRSAGIEKHCSSFLTSASELKPDDNMGEPHMNPGSSVMMIAFEGIYVETEENGGERLMCLLGSSKSIAPSTNRCDCDEFSEFASSYISAYGLLRSRTCDPFPYQDELMEDGAMMFTDEEFCRGHHHLNGEIINIVPNYRFKGNYLGQIHGKLGSFLLGKEMEATGGMSYDDIKLIVQHVKCEQDTTSNRTGNAKVSAVLRAVPTGSFQHFEVLRTGLSGLTLAAEGIWNSSSGQLSWLDVMGRLTQDWKDVIMKFPSMVKLLLFQYPAIKDAEEPLAQLSLLSSSLATNGYVVPDQLTDGQKSRILIEIEVLSLGPLFRRYEPNMDKRNLKKKPVITEDDLTSCRFLNVSSRLVFKTPREHKQTTYKNVSACPWKILGYSLPLICGAKVLFKSKDPEAYRAGCGHPASGMLKVLESFGNVLLLVALLRTARVFQMVTESRSKPQSKAWRGHAWTKKCAYAADLDDRNSGLSLFSSRLLSASSDS >EOY34156 pep chromosome:Theobroma_cacao_20110822:9:40076949:40080902:-1 gene:TCM_041913 transcript:EOY34156 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHBP synthase RibB-like alpha/beta domain,GTP cyclohydrolase II MWMATSEIPSTTRGGLFFFPWHVICKLWTKKNTDKASRRDSTISNPFFFPRSFHSMECALFPHPLFPHIFLNPRFPRCFGIPRGVEIRLYRKRWLNSSCCALGVSEIGAGNLFDDNSLNGAENGSLLGALDESASAPFGTVDAEITPETIDFFVSDAEGDPDCPSKGFASIEQALNTLRQGKFVIVVDDENEDVEGNLIMAASHATPEQMGFMVKHGSGIVSVGMKEEDLERLKLPLMSPESEDEDSSAPTFTVTVDAKTGTSTGVSASDRAKTVLALSSPESKPDDFRRPGHIFPLKYRNGGVLRRAGHTEASVDLIMLTGLQPVSVLSTVVDPEDGSIASLPFLQKLALEHSIPLISITDLIRYQRKREKLVERTAISRLPTKWGLFQAYCYRSKLDGTEHIAVVKGDIGNGQDVLVRVHSECLTGDIFGSARCDCGNQLDLAMQIIEQAGRGVVVYLRGHEGRGIGLGHKLRAYNLQDQGHDTVQANIELGLAVDAREYGIGAQILRDIGIQTMRLMTNNPAKFTGLKGYGLAVIGRVPVLTPITEENKRYLETKRTKMGHIYGSDLQGPLAEFTKPTVNKKESSEGEYKAD >EOY32319 pep chromosome:Theobroma_cacao_20110822:9:26937536:26946872:1 gene:TCM_040096 transcript:EOY32319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLEMVTTFEKASGKKIPIKLWPRRLRDVAAVCAFIEKAQKELGRVHYGCSSNGISVGNASPMENFIRNFQRNFIGNASPMKNYVAKAFPTELI >EOY33540 pep chromosome:Theobroma_cacao_20110822:9:37961997:37963778:1 gene:TCM_041489 transcript:EOY33540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of unknown function (DUF662) [Source:Projected from Arabidopsis thaliana (AT2G27740) TAIR;Acc:AT2G27740] MATPKQIWEQQQSQMQRVKNSGINSGNGSPIKDDKEEEMAKSALASFRAKEEEIERKKMEVRDKVQAYMGRVEEATRRLADIREELDSLIDPMRKEVANVRKKIDTVNRELKPLGQSCQKKEREYKEALEAFNDKNKEKAQLVSKLMELVSESEKLRMTKLDELSKNIETLR >EOY34567 pep chromosome:Theobroma_cacao_20110822:9:41462867:41465729:-1 gene:TCM_042194 transcript:EOY34567 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor, putative MTPILFAIEMMEMEFNPWWPIYNPIDSQLEEVALYLAEMDAQVSSFEFSTSSVSIEDFSDVSSSSALLSSTLYGSQTHGYPFSGNHLLGILPREQCLPSVDEGDAPSNDQFLLDGGENFSEWMASDSSISSQQIFTEDVGSQNLSPISREVSVDVPSIQASLVLPAEYVEVDDQLVILHLLKAYGDAMGSEQMELAEEIIRRLKDKASPTGNSLERLAFYLAVALDKQANYLGQESSKNYEAAFMAFYQIFPYGRFAHFTANSAILEAIPADATAVHIVDFEIGNGIQWPPLIEALGRKGLRMLRLTSIKWGEEDGSCNPTTFEETKKQLWRHAMNFGLRLEVEEMDIEGLVSEIKKARVRGRKSEWLAFNCMVGLPHMGKGRSVVHVEHFLKTAKALISNEGVIAFGNGIGAEKWIEYRSFSSFFEGQMVYCHALLESMEQFQLLEARIAMECLFVVPHLSSLSNAQQWEETVRECGSLSELGFQPQRLSRENYLEARELVSEGESSYWVRTDGEDNNQMVLGYMGAPLVRVSSWR >EOY30900 pep chromosome:Theobroma_cacao_20110822:9:6200793:6205110:1 gene:TCM_037948 transcript:EOY30900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 57 kDa regulatory subunit B' iota isoform 2 MLKQILSKLPRKSQKSDSLDSAGIDSGNQTSNSGNGVQCTNIGNSISSRLSVVKRVSSAVFPASIMAGVEAVEPNLSFKDVSNPQKQNLFISKLNLCCEVSDFSDPDKTNAEQDLKRQTLIELVDFVSSGSAKFNEPAIAAMCKMCAINLFRVFPPKYRSNSTSGEAEDEEPMFDPAWSNLQLVYDLLLRFISYSSLDAKVAKKYVDHSFILRLLDLFESEDPRERDCLKTILHRIYGKFMVHRPFVRKAVSNIIYRFVFETERHNGIAELLEIFGSIISGFAVPLKEEHKMFLWRALIPLHKPKSVGVYHQQLTYCVVQFIDKDPKLANSVIKGLLKYWPVTNSQKELMFISELEEILEMTSMAEFQKIMVPLFRRIACCLNSFHYQVAERAHLVWNNEHILNLVTHNRQVIFPLIFPALERNSQNHWNQAVLNLTQNIRKMLCEMDEELVLTCQCKLEEENSQLSEAAEKRKLTWERLESAAGFQPAAANMIPPVKPAACPVAC >EOY30899 pep chromosome:Theobroma_cacao_20110822:9:6200785:6205197:1 gene:TCM_037948 transcript:EOY30899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 57 kDa regulatory subunit B' iota isoform 2 MVGLFLGLLGSKYLAKFVCLMMTFFVLAVCLAGSCFVSIMLKQILSKLPRKSQKSDSLDSAGIDSGNQTSNSGNGVQCTNIGNSISSRLSVVKRVSSAVFPASIMAGVEAVEPNLSFKDVSNPQKQNLFISKLNLCCEVSDFSDPDKTNAEQDLKRQTLIELVDFVSSGSAKFNEPAIAAMCKMCAINLFRVFPPKYRSNSTSGEAEDEEPMFDPAWSNLQLVYDLLLRFISYSSLDAKVAKKYVDHSFILRLLDLFESEDPRERDCLKTILHRIYGKFMVHRPFVRKAVSNIIYRFVFETERHNGIAELLEIFGSIISGFAVPLKEEHKMFLWRALIPLHKPKSVGVYHQQLTYCVVQFIDKDPKLANSVIKGLLKYWPVTNSQKELMFISELEEILEMTSMAEFQKIMVPLFRRIACCLNSFHYQVAERAHLVWNNEHILNLVTHNRQVIFPLIFPALERNSQNHWNQAVLNLTQNIRKMLCEMDEELVLTCQCKLEEENSQLSEAAEKRKLTWERLESAAGFQPAAANMIPPVKPAACPVAC >EOY32954 pep chromosome:Theobroma_cacao_20110822:9:34627410:34628072:-1 gene:TCM_040967 transcript:EOY32954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein, putative MKQKVVLKVVMKCQKCRKESLKVAAKQDGVSFVGLEGQEKDKVVVIGDGVDAVKLTRELRKKVGYAEIISLAEQK >EOY31824 pep chromosome:Theobroma_cacao_20110822:9:15867657:15872259:-1 gene:TCM_039094 transcript:EOY31824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNPAESDKLLESMFGREEWQFERIISEADLNQKEDIIVLVPEVHKYFIPLLKNKDAKDLTEGKAIDILLFDEDFKAYYKLNLHFSRPYFLLWDTTEFYQKKRLTVGQRLGFRYEGWFAMLVVKLLK >EOY33282 pep chromosome:Theobroma_cacao_20110822:9:36255150:36262351:-1 gene:TCM_041223 transcript:EOY33282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant U-box 24, putative MDEIDIPQYFLCPISLQIMKDPVTAVTGITYDRESIEQWLKIATDTTCPVTKQPLPSDSDLTPNHTLRRLIQAWCTENESNGIDRIPTPKSPLSKSRVIKLMREFEHTSFYVNALKKMEALGKENEKNRKCMEEAGVAKAVILLLRRCYKERRITGLEEALRLVYLIWTPSSEVKALVNENHDLIDCLTWILGCEMENPVVVKTHTMLVLRKVIEVANTRLVEKLKLEFFKEILRVLKLKISQQATKSALLIMIEVCLWGRNKSKIVEANAIFELIEFELQNPEKNITELNINLLAHLCSCADGRAQFLRHAGSIAMVAKRILRVSPATDDQAVHILSLISKYSATKQVLLEMLRVGAVTKLCMVIQADCAAYLKEKARGVLRLHSNLWNNSPCIAVYLLTRYQR >EOY31894 pep chromosome:Theobroma_cacao_20110822:9:17111161:17117773:-1 gene:TCM_039222 transcript:EOY31894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein isoform 2 MDGLLLDTEKFYTEVQEIILARFNKTFDWSLKAKMMGKKAIEAARVFVEETGISDSLSAEDFLVEREAMLQKLFPTSELMPGASRLIEHLHAKGVPICLATGSHRRHFELKTQRHGDLFKLMHHIVLGDDPEVKQGKPSPDVFLAAARRFEVCGYGSRSEAR >EOY31893 pep chromosome:Theobroma_cacao_20110822:9:17110852:17117944:-1 gene:TCM_039222 transcript:EOY31893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein isoform 2 MAAVSGKRLAITHVIFDMDGLLLDTEKFYTEVQEIILARFNKTFDWSLKAKMMGKKAIEAARVFVEETGISDSLSAEDFLVEREAMLQKLFPTSELMPGASRLIEHLHAKGVPICLATGSHRRHFELKTQRHGDLFKLMHHIVLGDDPEVKQGKPSPDVFLAAARRFEGGPIDSQKFLVFEDAPAGALAAKNAGMSVVMVPDPRLDSSHHAIADQVLSSLTEFNPKTKIVVV >EOY32945 pep chromosome:Theobroma_cacao_20110822:9:34592690:34597947:-1 gene:TCM_040960 transcript:EOY32945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated/translation elongation factor EF1B protein MSPASKSKSKDKKAGKESQKASSKPSGPANAGSGVPASAYNPILGTFHTIETVPSSSASPIQNNGRFRNIDETDEHSGGSLGAGVEYDSVSNNGSWSGESEDHKEKTSNPPVRQEIIPGADNDKREKIRQKNERKHQRQKERRAHELHERCSGYLMSRKLEALAQQLVAMGFSHDRATMALILNEGKVEESVAWLFEGGEEAVKHKEPTIGCGNLKIDISEELARIADMEIRYKCLKQEVERAVVAAEGDLDKAAEALRTLKQEPPSAPPKSEETCDSLTASTNKVSVAASQNLSVRPQPKPNLSPATQQRRDEKDFDYTKAAVTVGGSSESVSKSFQPLKRIQPKLEWAKPQQSAVPAEKRWPSAGSNPSVSYSLASPLQASPPPAKTDTRYVAVGSDFKNLQPSVREPVIMMQRPQSVNTKQVPATSISSSPPGTNSFMYPTSSVEITKSNGFMPHVPSARSLSSNNMSSNQMHHQLYYPQQQHFSSSNGPGDSPGASRGNGLWSRTGASPTIAAASSLGLFTGLGSTSSSGASSPVDWSSGSSMTQLDYNNIDWSLDRGLPSSPRPGGIWLGATSPMKSAHMYYPNTNGLSAKPAMRLTPSNGNVVPIAGLQDGGVAPGETSTAGSHEWTSPFEGKDLFSLPRQFVSSPSL >EOY32839 pep chromosome:Theobroma_cacao_20110822:9:34027180:34030916:-1 gene:TCM_040862 transcript:EOY32839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRGETDSVERQGMTMDEDHTNNSERSLYTKGLSEARGRIKEKLREAEGSVRLWPSKDNEKAKSMEVESTMSRRSRIRRNIRKILEGWHKGQDEKGQALETESNIAFRNSINWNEAIASWEVGNKVGLEFLTDRDAVVRALWNQGKENRGT >EOY34046 pep chromosome:Theobroma_cacao_20110822:9:39788744:39789846:1 gene:TCM_041848 transcript:EOY34046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METLPIKNLGVSAGVISVICDSESQLSISILGQRSKLEMPTAPGLGQFDLRVQSDSSWTGTLDLGLCLKEPNPPQFCLVQPKAIFRPR >EOY29635 pep chromosome:Theobroma_cacao_20110822:9:1849417:1849929:1 gene:TCM_037122 transcript:EOY29635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAERNLTKPREKIHEPGLLSIQKRIQIQTISCAVELCSTVFGSSSLLVKRALTSPQHLDYFCNGYGLIIARQQLSF >EOY29434 pep chromosome:Theobroma_cacao_20110822:9:1171958:1178143:1 gene:TCM_046907 transcript:EOY29434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose phosphate synthase 1F MAGNDWINSYLEAILDVGPGIDVAKSSLLLRERGHFSPTRYFVEEVITGFDETDLHRSWVRAAATRGPKERNTRLENMCWRIWNLARKKKQLEGEEAQRKVKCRLERERGRKEATADMSEDLSEGEKGDIPGDVSAHGDSMRERMPRISSVDMMEDWANQLKEKKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGTMPGVYRVDLLTRQVSAPDVDWSYAEPTEMLGPRTTENSMQDLGESGGAYIIRIPFGPKDKYIPKELLWPHIPEFVDCALSHIRQMSKVLGEQIGGGQPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLMKQGRQSRDEINTTYKIMRRIEAEELSLDASEIVITSTRQEIEEQWRLYDGFDPILERKLRARIRRGVSCHGRFMPRMVVIPPGMEFHHIVLHDGDMDGDTERNEEDTTSPDPPIWSEIMRFFSNPRKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLVMGNRDNIDEMSGTNASVLLSILKLIDKYDLYGQVAYPKHHKQREVPDIYRLAARTKGVFINPAFIEPFGLTLIEAAAHGLPIVATKNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVSDKQLWARCRQNGLKNIHLFSWPEHCKTYLSRIAMCKPRQPQWQRRDVAFENPEPNSPGDSLRDIQDLSLNLKLSLDGEKSEGNGTIDNSLDVEDSADGKSILENAVLKLSKGAIGGAEKASLMEKADPNVGGSRFPGMMMRKNIFVIAVDCDSISDIPKIIRTIKEAAGKANHVGFILSTSLSISDVHTLLISGSISPLDFDAFICNSGSDLYYPSPSSEGPGLPFTADLDYQSHIEYRWGGEGLRKTLVRWAASVNEKKGQIVTEDESRSTAHCYAFKVKDLELVPPVKELRKLMRIQALRCHVIYCQNGTTLNVIPVLASRAQALRYLYIRWGMELSNVIVFAGECGDTDYEGLLGGVHKTVIFKGIGNSALKLHSNRSFPLEHVLPFDSPNILQAEGCSCEDVRASLGKLGVIKE >EOY33708 pep chromosome:Theobroma_cacao_20110822:9:38734528:38739881:-1 gene:TCM_041611 transcript:EOY33708 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein Obg/CgtA, putative isoform 2 MWVHRAKPLLQLQTISRCLRSPCYIFSLLSYSDVPHKKTPLQETRMRDRFTLYAKGGDGGNGSTSFRRSRHDRRGEADGGNGGRGGDVILECSTAVWDFSGLQNHVNAGRGGHGTSKNKIGTRGEDKVLQVPIGTVIHLKKGEIPSMVEHRSSTDLDPWELPGTLANDQSEVDQQTASKNSSMAEKVKSVHVAGQLSSCTEITVEQYSLKNGLTVDQSRGMKQANGPESEALEEIRYNVAELTEQGQRMIVAHGGKGGLGNVCYSSGPMKPKTSKDEVHRDKAFEVEVSNDDRSSLRTGLPGSEAVLVLELKSIADVGLVGMPNAGKSTLLGAISRAKPAIGHYAFTTLRPNLGNLNFDDFSITVADIPGLIKGAHQNRGLGHAFLRHIERTKVLAYVVDLAAALDGRKGIPPWNQLRDLVLELEHHQEGLSNRPSLVVANKIDEAGAEEVYEELERRVQGVPIYCVCAVLEEGISELKAGLRMLVNGDEKSNSLSVENIKCSRNLNLESAEKTTTETIISGVATVKTNFEGTEVSSEGGNGCKCGSNCSCDPCNC >EOY33709 pep chromosome:Theobroma_cacao_20110822:9:38736800:38740088:-1 gene:TCM_041611 transcript:EOY33709 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein Obg/CgtA, putative isoform 2 MWVHRAKPLLQLQTISRCLRSPCYIFSLLSYSDVPHKKTPLQETRMRDRFTLYAKGGDGGNGSTSFRRSRHDRRGEADGGNGGRGGDVILECSTAVWDFSGLQNHVNAGRGGHGTSKNKIGTRGEDKVLQVPIGTVIHLKKGEIPSMVEHRSSTDLDPWELPGTLANDQSEVDQQTASKNSSMAEKVKSVHVAGQLSSCTEITVEQYSLKNGLTVDQSRGMKQANGPESEALEEIRYNVAELTEQGQRMIVAHGGKGGLGNVCYSSGPMKPKTSKDEVHRDKAFEVEVSNDDRSSLRTGLPGSEAVLVLELKSIADVGLVGMPNAGKSTLLGAISRAKPAIGHYAFTTLRPNLGNLNFDDFSITVADIPGLIKGAHQNRGLGHAFLRHIERTKVLAYVVDLAAALDGRKGIPPWNQLRDLVLELEHHQEGLSNRPSLVVANKIDEAGAEEVYEELERRVQGVPIYCVCAVLEEGISELKAGLRMLVNGDEKSNSLSVENIKC >EOY33710 pep chromosome:Theobroma_cacao_20110822:9:38735841:38740088:-1 gene:TCM_041611 transcript:EOY33710 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein Obg/CgtA, putative isoform 2 MWVHRAKPLLQLQTISRCLRSPCYIFSLLSYSDVPHKKTPLQETRMRDRFTLYAKGGDGGNGSTSFRRSRHDRRGEADGGNGGRGGDVILECSTAVWDFSGLQNHVNAGRGGHGTSKNKIGTRGEDKVLQVPIGTVIHLKKGEIPSMVEHRSSTDLDPWELPGTLANDQSEVDQQTASKNSSMAEKVKSVHVAGQLSSCTEITVEQYSLKNGLTVDQSRGMKQANGPESEALEEIRYNVAELTEQGQRMIVAHGGKGGLGNVCYSSGPMKPKTSKDEVHRDKAFEVEVSNDDRSSLRTGLPGSEAVLVLELKSIADVGLVGMPNAGKSTLLGAISRAKPAIGHYAFTTLRPNLGNLNFDDFSITVADIPGLIKGAHQNRGLGHAFLRHIERTKVLAYVVDLAAALDGRKGIPPWNQLRDLVLELEHHQEGLSNRPSLVVANKIDEAGAEEVYEELERRVQGVPIYCVCAVLEEGISELKAGLRMLVNGDEKSNSLSVENIKC >EOY30597 pep chromosome:Theobroma_cacao_20110822:9:5132964:5135531:-1 gene:TCM_037750 transcript:EOY30597 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD superfamily isoform 2 MVENRVLPNGVVSIDIFYLTILMNFKATRRFSPFSQPLHRREGGREEKSRKKKKNLSTKYKSHLPMASHPLSLSFLLLSFLISSVSSSSQPIIQLPTTTDQKSNVDDDLYCASWHLSVETNNAGSWKQIPIRCESFVQDYMTGPRYMSDSEIVANYSLAYASSVEIGRDGKDAWVFDIDETLLTNLPYYQAHGFGSEPFDENSWDVWVDLAEAPAIPASLKLYNELKQMGFKIFVLTGRSENQRNATGKNLLFAGYTDWERLILRGPSDDGTLATVYKSEKRSDLVNEGYRIHGSSGDQWSDLLGFAVAKRSFKLPNPMYYIS >EOY30598 pep chromosome:Theobroma_cacao_20110822:9:5132953:5135365:-1 gene:TCM_037750 transcript:EOY30598 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD superfamily isoform 2 MASHPLSLSFLLLSFLISSVSSSSQPIIQLPTTTDQKSNVDDDLYCASWHLSVETNNAGSWKQIPIRCESFVQDYMTGPRYMSDSEIVANYSLAYASSVEIGRDGKDAWVFDIDETLLTNLPYYQAHGFGSEPFDENSWDVWVDLAEAPAIPASLKLYNELKQMGFKIFVLTGRSENQRNATGKNLLFAGYTDWERLILRGPSDDGTLATVYKSEKRSDLVNEGYRIHGSSGDQWSDLLGFAVAKRSFKLPNPMYYIS >EOY34114 pep chromosome:Theobroma_cacao_20110822:9:39968550:39972590:1 gene:TCM_041888 transcript:EOY34114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MRLTRSPRPLTTPHFFPKQIFSSTSKFSTQDNDDKKKDTQIISNLKLIVRGRQSWKIALNDTVFLKPQHVEEVLIQTLDDPRLALRFFNFLGLHRNFHHSTASFCVLIHALVNVNLFWPASSLLQTLLLRGLSPIEVFEALSKAYEKCKFHSSSGFDLLIQNYVQNKRAFDGLMIFRLMRKFDCYLFPEIRTLSALLNDLAKIRQFGVVLEVFDEILKAGIRPDIFINTVVIRSLCELKDFVRAKEMVCQMESNGSELNVVVYNVFIHGLCKSQRAWEAVEIKNGLVQRGLRADVVTYRTLVLGLCRVEAFDVALELMKEMMELGFLPSEAVVSSLVDGLRRKGKIENAFGLIKKVGELGVAPNLFVYNALINSLCRDGKFDEAELLFNEMESKGLNPNDVTYSILIDSFCRRGKMDIALSFLGKMMVAGIKVTVYPYNSLISGHCKVGNLSLAESFLSEMINKGLQPTVITYTSLLSGYCNEGKLHKAFRLYHEMTGKGIAPNTYTYTALISGLCRANMMAEAIRLFGQMVERNIVPNEVTYNVLIEGRCRDGNMVKAFELLDEMVERGLVPDTYTYRPLISGLCATGRVSEAKEFMDGLHRDHHKLNEMCYSALLHGYCKEGKLEDALSACRDMVARGIDMDLVCYGVLIDGTLRQHDTQKLFGLLKEMDDQGLSPDNVIYTSMIDVHGKAGNLREAFGLWDIMVGEGCIPNVVTYTALINGLCKAGFLDKAELLCKEMLVSNLLPNQTTYGCFVDRLTKEGNMVKAVELHNAIVKGVLANTVTYNILIRGFCKLGRLQEAMELLVGMTDNGIFPDCITYSTIIYEHCRRGSLQEAIQLWGSMLNKGIKPDTLAYNFLIHGCCVAGELKKAFALRDDMLRRGVKPNQITYDTLVHGTRLKNSCMNNDG >EOY32960 pep chromosome:Theobroma_cacao_20110822:9:34666923:34668827:-1 gene:TCM_040974 transcript:EOY32960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin biosynthesis MoaE family protein MNSEGKTLVEIIDENKQIDLAKYINYVSAPQAGAIATFSGTTRDTFEGKTVVELRYEAYVPMAIRNLKSICSSARSSWDLHSIAVAHRLGTVPVGETSVFIAVSATHRADALDACKFLIDELKASVPIWKKEVYSNGEVWKENTEFLERRLELGKDGSCCRRKIETKAHDKKGCCKPKVRVDDAADISTS >EOY30529 pep chromosome:Theobroma_cacao_20110822:9:4888131:4893221:1 gene:TCM_037707 transcript:EOY30529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway-like protein isoform 1 MKNKREAQVKQLKRELAQLLESGQDQTARIRVEHVVREEKTVAAYNLLEIYCELIVARMPIIEAQKNCPIDLKEAISSVIFASARCEDVPELKDVSKHFTAKYGKEFTSAALELRPNCGVGRMLVEKLSVKAPDGPTKLKILTAIAEEHNIKWDPESFGAKESKPYDDLLNGPNTFTEASKTLADPPNAQASPSHYEQRPPGVQVPYYDKGPPNVQAPQHIEKNDAPASFYEHSSISSSHPKNFHYSNSRADNSMSSGTYPPNSKPDGTKNQGIEFRNSYSGNEHSFSSPRQHWNMEFKDATAAAQAAAESAERASLAARAAAELSSRGNISQQYSTESHMSSAQGMKDGEQKYAGSASQNEHLAGHAVNFSLHGRNSRNYEQTDSNEQHNWVEEAENVYSNIVRSGDKSTQGSFKSTAASFNEKPSVNNRTADAYSQRNSSEGRWMEHFAELRMKRNSGENGMQFVNELHDIKNPQNVDYYEVRVREQSSASSSLFQSNTSTDDHDVVSNLNRQKSENYKGNSGKTGALFVNELHDTKNSENADYHEVRIGKQSSYSSSHSRSSTFTDDDDDVVSNSNRQKSGNNSGDDSFLLNDKGSLQRSTKNKDSYDNASAVFDDYGSDNDGCNFDLEEEHKVHEYSMNFSSPGQRSPTHPFTSINSWSIEQNVESSAKPISQSHIFSEQQSTPVFFESSTSSAVPSHGDDLPVTFDNYSPSSESEEEVDKSNFVRNTDPSIGSHKKIIGSHQAENSIFTPQFAEGMEDTEPSKDSSLEESKELNFGNLTGGLRNKGYRHLLPYSKIQQGNALSPIEAANHPSTRSKQSSPPAAVEASVSSGSYSQEPHSQKQEVEVNRKLSTRTSVTYFDSSDDDSEEEQPKQTFSSTQDQYNKIPSFEENKRSSLRVSDPYFGSGNGDFDEDLPKTSLSARSNAGFSRRTKASPSNSRRSSNLKATVSSEPAVVSDYGREKNSSSRSSNADVALPKTQPQKKNSDHWESFQHPRSAAQATSKLVSDTKRSSFDGSLKSSEKEQPSTSVPKIISSGSAKSLKAQTSIGAGPSRENTSHVHPKLPDYDILTAHLNSLRQNRQ >EOY30530 pep chromosome:Theobroma_cacao_20110822:9:4888990:4893221:1 gene:TCM_037707 transcript:EOY30530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway-like protein isoform 1 MLVEKLSVKAPDGPTKLKILTAIAEEHNIKWDPESFGAKESKPYDDLLNGPNTFTEASKTLADPPNAQASPSHYEQRPPGVQVPYYDKGPPNVQAPQHIEKNDAPASFYEHSSISSSHPKNFHYSNSRADNSMSSGTYPPNSKPDGTKNQGIEFRNSYSGNEHSFSSPRQHWNMEFKDATAAAQAAAESAERASLAARAAAELSSRGNISQQYSTESHMSSAQGMKDGEQKYAGSASQNEHLAGHAVNFSLHGRNSRNYEQTDSNEQHNWVEEAENVYSNIVRSGDKSTQGSFKSTAASFNEKPSVNNRTADAYSQRNSSEGRWMEHFAELRMKRNSGENGMQFVNELHDIKNPQNVDYYEVRVREQSSASSSLFQSNTSTDDHDVVSNLNRQKSENYKGNSGKTGALFVNELHDTKNSENADYHEVRIGKQSSYSSSHSRSSTFTDDDDDVVSNSNRQKSGNNSGDDSFLLNDKGSLQRSTKNKDSYDNASAVFDDYGSDNDGCNFDLEEEHKVHEYSMNFSSPGQRSPTHPFTSINSWSIEQNVESSAKPISQSHIFSEQQSTPVFFESSTSSAVPSHGDDLPVTFDNYSPSSESEEEVDKSNFVRNTDPSIGSHKKIIGSHQAENSIFTPQFAEGMEDTEPSKDSSLEESKELNFGNLTGGLRNKGYRHLLPYSKIQQGNALSPIEAANHPSTRSKQSSPPAAVEASVSSGSYSQEPHSQKQEVEVNRKLSTRTSVTYFDSSDDDSEEEQPKQTFSSTQDQYNKIPSFEENKRSSLRVSDPYFGSGNGDFDEDLPKTSLSARSNAGFSRRTKASPSNSRRSSNLKATVSSEPAVVSDYGREKNSSSRSSNADVALPKTQPQKKNSDHWESFQHPRSAAQATSKLVSDTKRSSFDGSLKSSEKEQPSTSVPKIISSGSAKSLKAQTSIGAGPSRENTSHVHPKLPDYDILTAHLNSLRQNRQ >EOY30528 pep chromosome:Theobroma_cacao_20110822:9:4887699:4893509:1 gene:TCM_037707 transcript:EOY30528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway-like protein isoform 1 MLHRSFKPAKCKIALKLAIPRIKLMKNKREAQVKQLKRELAQLLESGQDQTARIRVEHVVREEKTVAAYNLLEIYCELIVARMPIIEAQKNCPIDLKEAISSVIFASARCEDVPELKDVSKHFTAKYGKEFTSAALELRPNCGVGRMLVEKLSVKAPDGPTKLKILTAIAEEHNIKWDPESFGAKESKPYDDLLNGPNTFTEASKTLADPPNAQASPSHYEQRPPGVQVPYYDKGPPNVQAPQHIEKNDAPASFYEHSSISSSHPKNFHYSNSRADNSMSSGTYPPNSKPDGTKNQGIEFRNSYSGNEHSFSSPRQHWNMEFKDATAAAQAAAESAERASLAARAAAELSSRGNISQQYSTESHMSSAQGMKDGEQKYAGSASQNEHLAGHAVNFSLHGRNSRNYEQTDSNEQHNWVEEAENVYSNIVRSGDKSTQGSFKSTAASFNEKPSVNNRTADAYSQRNSSEGRWMEHFAELRMKRNSGENGMQFVNELHDIKNPQNVDYYEVRVREQSSASSSLFQSNTSTDDHDVVSNLNRQKSENYKGNSGKTGALFVNELHDTKNSENADYHEVRIGKQSSYSSSHSRSSTFTDDDDDVVSNSNRQKSGNNSGDDSFLLNDKGSLQRSTKNKDSYDNASAVFDDYGSDNDGCNFDLEEEHKVHEYSMNFSSPGQRSPTHPFTSINSWSIEQNVESSAKPISQSHIFSEQQSTPVFFESSTSSAVPSHGDDLPVTFDNYSPSSESEEEVDKSNFVRNTDPSIGSHKKIIGSHQAENSIFTPQFAEGMEDTEPSKDSSLEESKELNFGNLTGGLRNKGYRHLLPYSKIQQGNALSPIEAANHPSTRSKQSSPPAAVEASVSSGSYSQEPHSQKQEVEVNRKLSTRTSVTYFDSSDDDSEEEQPKQTFSSTQDQYNKIPSFEENKRSSLRVSDPYFGSGNGDFDEDLPKTSLSARSNAGFSRRTKASPSNSRRSSNLKATVSSEPAVVSDYGREKNSSSRSSNADVALPKTQPQKKNSDHWESFQHPRSAAQATSKLVSDTKRSSFDGSLKSSEKEQPSTSVPKIISSGSAKSLKAQTSIGAGPSRENTSHVHPKLPDYDILTAHLNSLRQNRQ >EOY30097 pep chromosome:Theobroma_cacao_20110822:9:3361582:3363956:-1 gene:TCM_037421 transcript:EOY30097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein MKGRSSKQETKEGMEIDKISKLKEEPHLSGAYIRSLVKQLTSSRTKDPMNPKDPGSVDADGFNGQNLAKFGEGFSETPQTQQPQPPQQQKKQVRRRLHTSRPYQERLLNMAEARREIVTALKFHRAAMKQANEQQQQQGLQQQQSSETSHLSSPPPFEQESKKKSRRNPRIYPSNTNNFSTYNLENFSYSSCSQRYPPLPPPPPPNPYSWPASPIPFPSATDTLNFTLPNQPLGLNLNFHDFNNIDTTLYHNSNNPSIYSSSSPSSSSSPTLSVVTEEVASAAISHEVGPTAMADLAESYGGGGLHQAINDEEMAEIRSLGEQHQMEWNDTMNLVTSAWWFKFLKTMELGPEVKAEDDGYQPFDQVMEFPAWLNANDSCLQQHFNDLCPDDYFQDPALPCMDIGEIEGMDGEWLA >EOY32752 pep chromosome:Theobroma_cacao_20110822:9:33372489:33378654:1 gene:TCM_040781 transcript:EOY32752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein, putative isoform 1 MDPCDITNVLFCKIKSLDPENASKIMGYILIQDLADRDLMRLAFGPETLLQSLVFKAKTHLGLSSNSFSTPPSLNPISRPNSSNSNNSPNPLPQSSPRLIPRNGFLEFSKKVPSWSSSSSPNSSPFLSYENIRSASVLVPPTTGDNNTDLIDENQMSDYFSFLNDSSSSRNEDFVGHRRSFSASDACFGTAEEAGGFGGIVGSYKPCLYFAKGFCKNGDNCKFSHGVGGLADNVDVNGVIVGSPSKMDLLYHQHEEMMRMRAAHQQRLAAAQLMAGVSSPLAYEKSMNLLLQQQTDAQRAAALMLGEEICKFAQSRSERNDFLTMGMAEKANSASKQIYLTFPAESTFKDEDVSNYFSMFGPVQDVRIPYQQKRMFGFVTFVHPETVKLILTRGNPHFICDSRVLVKPYKEKGKVPDKRQHLQQQFERGNFSPCSSPSGLDSRELYDHHVGANMVYDAREMLRRKLEEQADVQQAIELQRRRFINLQLPDFKNDGIHHHQRSLSVGASVSLPAYSHASQNVHPSDSIKQEVSEVNGDNTAAAVPLTMTAADQEEVNSACVQKGGVGNTKDCSNPEGCHESSVEHALPDSPFASPTKSAGNHLSNFTALVEVNGSPALCATSSSENDLSLPIISTSDMTSI >EOY32751 pep chromosome:Theobroma_cacao_20110822:9:33372489:33378654:1 gene:TCM_040781 transcript:EOY32751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein, putative isoform 1 MDPCDITNVLFCKIKSLDPENASKIMGYILIQDLADRDLMRLAFGPETLLQSLVFKAKTHLGLSSNSFSTPPSLNPISRPNSSNSNNSPNPLPQSSPRLIPRNGFLEFSKKVPSWSSSSSPNSSPFLSYENIRSASVLVPPTTGDNNTDLIDENQMSDYFSFLNDSSSSRNEDFVGHRRSFSASDACFGTAEEAGGFGGIVGSYKPCLYFAKGFCKNGDNCKFSHGVGGLADNVDVNGVIVGSPSKMDLLYHQHEEMMRMRAAHQQRLAAAQLMAGVSSPLAYEKSMNLLLQQQTDAQRAAALMLGEEICKFAQSRSERNDFLTMGMAEKANSASKQIYLTFPAESTFKDEDVSNYFSMFGPVQDVRIPYQQKRMFGFVTFVHPETVKLILTRGNPHFICDSRVLVKPYKEKGKVPDKRQHLQQQFERGNFSPCSSPSGLDSRELYDHHVGANMVYDAREMLRRKLEEQADVQQAIELQRRRFINLQLPDFKNDGIHHHQRSLSVGASVSLPAYSHASQNVHPSDSIKQEVSEAAAVPLTMTAADQEEVNSACVQKGGVGNTKDCSNPEGCHESSVEHALPDSPFASPTKSAGNHLSNFTALVEVNGSPALCATSSSENDLSLPIISTSDMTSI >EOY30357 pep chromosome:Theobroma_cacao_20110822:9:4320725:4324755:1 gene:TCM_037596 transcript:EOY30357 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase kinase 2 MMKKGKGILSPNLKLSLPSPAEISFAKFLTESGTFKDGDLLVNKDGVRIVSQSEPEAPPPIKPSETDTDNQLNLEDIDAIKVIGKGNGGIVQLVQHKWTGQFFALKIIQMNIEESARKQIAKELKINQSSQCPYVVVCYQSFYTNGAISIILEYMDGGSLADFLKKVKSIPEPYLAAICKQVLKGLMYLHHEKHIIHRDLKPSNLLINHRGEVKITDFGVSAIMTSTSGLANTFVGTYNYMSPERIIGGNYGNKSDIWSLGLVLLECATGKFPYTPPEQAEGWTNFYELMEQIVEQPPPCAPSEQFSPEFCSFISACVKKDPKERKSAHELLAQPFLNMYDDLDVDLTSYFNNAGSPLATL >EOY29376 pep chromosome:Theobroma_cacao_20110822:9:975958:977081:-1 gene:TCM_036933 transcript:EOY29376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRARTNFPYNPNAPQSSTSKLLSATLTAKLHKCYMASLQLTKQQSAHEPHNKAPTPHVFSNNGIAGRDSGIGVRVLEKKPLQAQETEANWVVKKAQVESPQQFKPLEEDHIQQMIEELLDYGSIELCNV >EOY29539 pep chromosome:Theobroma_cacao_20110822:9:1497872:1499982:-1 gene:TCM_037046 transcript:EOY29539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLILQSKYYLGWGITVQRNLNKQLNALIQASMRNPFTSSDCNTTPFELVNVLRGLCLGDSGVGEEEVCDESPTSVMEGVVDVERVMLPKGAYMLECTMKAAVSNRQEKESGEDIGKDFCFSWSEGLSMVVYMRVCLGGFSGFKAMEYFNCDPMISYTLNFEDESCRADKLPFINLTSRLLATPERMTAAKFKRRPQCQSGGREREEVRLPPLPRLPRAAASQSWLSSSGNFSP >EOY30662 pep chromosome:Theobroma_cacao_20110822:9:5315277:5322093:1 gene:TCM_037795 transcript:EOY30662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug/pheromone exporter, MDR family, ABC transporter family MGSPEKNETEKGEMGEKEIRKHNVGIIFRYADWVDILLMVLGTFGAIGDGMSTNWLIVFAGRIMNSMGYGNTQQNNNNFMEEVEKCSIYFTYLGLAAMVVAFMEGYCWSKTSERQVLKIRYKYLEAILRQEVGFFDSQEATTSEVINSISKDTSLIQEVLSEKVPIFVMNSSAFISGLAFSAYLSWRLAIVVFPALLLLIIPGIIYGKYLLYLCKKASKEYSKANTIVEQALSSIKTVYSFTAERSIVERYSAILDRTIKLGLKQGMAKGLAVGSTGVSFAIWAFLAWYGSHLVMYKGESGGRIYAAGVSFILGGLCLGVALADLKYFTEATIAATRIFARIDRTPEIDSEDTKGIVLDTIRGDIEFDHVKFIYPSRPDSVVLKDFNLKVEAGKTVALVGASGSGKSTAIALVQRFYDANDGAVKIDGVDIRRLQLKWIRGKMGLVSQEHALFGTSIRENIMFGKLDATMDEVMAAAMAANAHNFVRQLPEGFETKIGERGALLSGGQKQRIAIARAIIKNPVILLLDEATSALDSESETLVQNALDQASMGRTTLVVAHKLSTIRNADLIAVVNNGCIIEMGSHNDLISMKNGHYAQLAKLQRQFSCDDHEQNPETRLSSVGRMSTGRLSTAKSSPALFATPVHIESPKKPVSHPPPSFSRLLSLNSPEWKQGLVGSLSAIAFGAVQPVYALTVGGMISAFFAKSHQEMQARIRTYALIFSSLTLFSIILNLIQHYNFAYMGERLTRRIRKRMLEKMLSFEAAWFDEEKNSSGALCSSLSNQASMVKTLVADRISLLVQTTSAVTIAMIIGLIVAWKLAVVMIAVQPLTILCFYTRKVLLSSISTNFVKAQNQSTQIAVEAVYNHKIVTSFGSIGKVLQLFDKAQEEPRKEARKISWLAGIGMGSAHCLTFMSWALDFWYGGRLVEKGEISAGDVFKTFFVLVSTGKVIADAGSMTSDLAKGSTAVASVFEILDRQSSIPGSQGEDGTSGTKLERITGKIELKKVDFAYPSRPETLVLRQFSLEVKPGTSVGLVGKSGCGKSTVIGLIQRFYDVEMGSVKVDGIDIRELDVQWYRRQMALVSQEPVIYSGSIRDNIVFGKLDASENEVVEAARAANAHEFVSALKDGYETECGERGVQLSGGQKQRIAIARAIIRNPRILLLDEATSALDVQSEQVVQEALDRTMVGRTTVVIAHRLNTIKKVDLIAFVADGKVVERGTYAQLRNHQGAFSKLASLQT >EOY31815 pep chromosome:Theobroma_cacao_20110822:9:14846042:14852546:-1 gene:TCM_039002 transcript:EOY31815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEQALNSHDFPPLFNPHGLATGLSSDSSNRSPVDPSSLTANRPPTDLASLTLPSANPQGNGASLLIHGSSQAMKAMVQPPVSPILANKTFLLVFTGEKRPLIPPSRNPLRYKDKTVARFLKMRSKLFHCQSNTQSLENFPRCLAYRRFDKPSLKMRTFKQISEFEPKKESSIVSVWISFPNLKAHLYEKSALMMNAKTIGRPLFVDEATANGTGPSVARWAIENVQHIPVRKHKKKKGRKAVMHEKYVVPPMHKLQEFSASSHVDVDSNVGQMEGGDFNSILSRDERLHGADPHDGSMEDFTTALLDCGLVDARSFLAAKIIGKMDGGRGEKCQIFPHAVPTLEEVKEAAFATNKNSVARPDGFSSFFYQYCWHIITKDLLLAVLEWLKAFPSGKQKVWGSRPGELCRSVVWLVNSSQTEQYLDVGPPVGMPQLAT >EOY34141 pep chromosome:Theobroma_cacao_20110822:9:40038459:40042732:-1 gene:TCM_041903 transcript:EOY34141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVICFCSWLTLHHPTVNMNLSAIPGSWSLEPTTPPTLSSRDQKPPLFTLADRHVVGATTPTPLSPLNIVLADYPCPQFGVEFGANNPHHSLPTTPSPVRTSKESYTSVILQKYNDAPSSQLEFDPIAWSKATRGPQTTRTHIYRFSTKMRLSSLFAPTATSKFACGPMLSTVVAQVSSSELEGYQE >EOY33440 pep chromosome:Theobroma_cacao_20110822:9:37534339:37540113:-1 gene:TCM_041417 transcript:EOY33440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BIC2 [Source:Projected from Arabidopsis thaliana (AT3G44450) UniProtKB/Swiss-Prot;Acc:Q9M280] MTTPDQNFASKPVVAPRVPTLSFMSNDKPSFGHDQDQVKSLHDKSCKGGYKVEDGCNKGSSSVLVPRGHASDGNDAVEALQKDSGRERLKRHREEVAGRVLIPDKWGKEELLKDWIDYPSFDSLLAPNGLASARKALMMEGRRNGSQRLRIQSMC >EOY34582 pep chromosome:Theobroma_cacao_20110822:9:41516373:41516747:1 gene:TCM_042204 transcript:EOY34582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQMRVSRTERVGPCRFENLLFWSPTGYQGRRFLGQKRCMLMMRRKKGRRGLGHAMEGSVPGQKVCLNMNKETKLGKGRENG >EOY31733 pep chromosome:Theobroma_cacao_20110822:9:13411871:13416556:-1 gene:TCM_038846 transcript:EOY31733 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor 3 isoform 1 MGILFTRMFSSLFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAKEEFHAILESFSGGGAKRSSSSYFCEQAGWCHSFSWGYCRTNILLFLCVTLRES >EOY31732 pep chromosome:Theobroma_cacao_20110822:9:13411564:13416357:-1 gene:TCM_038846 transcript:EOY31732 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor 3 isoform 1 MGILFTRMFSSLFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAKEEFHAILEEEELKGAVVLIFANKQDLPGALDDAAVTEALELHKIKNRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGSG >EOY33972 pep chromosome:Theobroma_cacao_20110822:9:39522459:39523299:1 gene:TCM_041793 transcript:EOY33972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAITAPMSLPRPPPFTSIFKPRSHHSSRLLASHLTLSTTFSSRYAIHFQDPVGRNHQKKSDTLWITFATPEEVLPSDATPLDNSQQIVSTTGDEGVATVIQALLFVAFVALTILTIGVIYIAVQDFLGKRERDKFEKEEAAKEKNGKKKKVRASARPRGFGQKIDEDDDDDI >EOY31627 pep chromosome:Theobroma_cacao_20110822:9:10596741:10603155:-1 gene:TCM_038601 transcript:EOY31627 gene_biotype:protein_coding transcript_biotype:protein_coding description:SECY isoform 2 MLITVREASSWPLSFNLCTLSHPNPKPSPLFKNYVCRASLTVHRKPSSNQSWKLGLLSNGHKGSVFDPLGINPDVSGLNGVWESFLALLTPTFESTSGTKKGKSSSARGAAAAIEDSSIDFGDFFKGELPGKFLKLLAYLALSRLGVYIPLGGVNREAFVGNLDQNSLLSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQVYPKLQDLQKREGEAGRKKVLQYTRYASVGFAIVQAIGQVLYLRPYVNDFSTQWVLSSVTILTLGAVVTTYIGERISDLKLGNGTSLLIFTNILSYLPASFGRTVVQAYQDGNYIGLVTIIISFFLLVLGIVYVQEAERKIPLNYASRYTSRSGGLQKSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGISVLKKAAVALNPGGSLYLPTNILLIAFFNYYYTFLQLDPDDVSEQLKRQSASIPLVRPGKSTAAFLKTVLSRISVLGSAFLAILAAGPAVIEQTTHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDFDRYGP >EOY31628 pep chromosome:Theobroma_cacao_20110822:9:10597867:10603025:-1 gene:TCM_038601 transcript:EOY31628 gene_biotype:protein_coding transcript_biotype:protein_coding description:SECY isoform 2 MLITVREASSWPLSFNLCTLSHPNPKPSPLFKNYVCRASLTVHRKPSSNQSWKLGLLSNGHKGSVFDPLGINPDVSGLNGVWESFLALLTPTFESTSGTKKGKSSSARGAAAAIEDSSIDFGDFFKGELPGKFLKLLAYLALSRLGVYIPLGGVNREAFVGNLDQNSLLSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQVYPKLQDLQKREGEAGRKKVLQYTRYASVGFAIVQAIGQVLYLRPYVNDFSTQWVLSSVTILTLGAVVTTYIGERISDLKLGNGTSLLIFTNILSYLPASFGRTVVQAYQDGNYIGLVTIIISFFLLVLGIVYVQGFRKQRGRFHSTMPQDTPAEVEGFKNLLTYLLR >EOY32701 pep chromosome:Theobroma_cacao_20110822:9:32922754:32939757:1 gene:TCM_046931 transcript:EOY32701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-related isoform 3 MERISMGTTITRRAKWQYPPAQPTPRILHLPRRPRRKAPKPSPSKLPSSQKERKGKLESLFDQERSFTRGVVPVVLVSPRESDAERRRERVEEEKRENSAVVLVEEEKWRFQAEMLRAECNLLRMEREIAVKKMERRRVQMERTLKSAVQTLLSGRKSIYEGKNVSLVLEEQINDLVEKLEKMQKRSGIKDLDVKKCSNFDKQASFLQRRLEKFGGISDEEICVKEIREMAEASLSVKVSSEADENFVSNRSSNVEILRRRMEGLSKGILLERMKEEYGSMLSTANSSATSSASSSKRIDFSDLSLSPIQQSYKESMPHEARVCSGHCKAIVQGIVEEVRAETEQWSQMQEMLGQVRDEMEELQASRDFWEDRALDSDYQIQSLQSAVKEWRQKALSSEAKANELQEQISVLNEEIERLRKERERKIVRARNRSPINQEAQNETEKRILVCHLKEHRCANDDGCNQKELVEILRRRMEGLSKGILLERMKEEYGSMLSTANSSATSSASSSKRIDFSDLSLSPIQQSYKVRDEMEELQASRDYCEDRALDSDYQIQSLQSAVKEWRQKALSSEAKANELQEQISVLNEEIERLRKERERKIVRARNRSPINQEAQNETEKRILVCHLKENRCANDDGCNQKELVRDGRRKTQTCTAGLLPRRSPLREIGNISALMKQHGEGILPLFCLHKEEMKQRGGGERGAFRRGFGGGRSDRGPRGRRRGRKEEEEKWVPVTKLGRLVKAGKITSLEQIYLHSLPIKEYQIIDQLVGPSLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFECLLKTYGFLTPDFWKETRFTRSPFQEYTDLLGKPVKPLVLEDVERVDV >EOY32703 pep chromosome:Theobroma_cacao_20110822:9:32924937:32933193:1 gene:TCM_046931 transcript:EOY32703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-related isoform 3 MKEEYGSMLSTANSSATSSASSSKRIDFSDLSLSPIQQSYKESMPHEARVCSGHCKAIVQGIVEEVRAETEQWSQMQEMLGQVRDEMEELQASRDFWEDRALDSDYQIQSLQSAVKEWRQKALSSEAKANELQEQISVLNEEIERLRKERERKIVRARNRSPINQEAQNETEKRILVCHLKENRCANDDGCNQKELVRDGRRKTQTCTAGLLPRRSPLREIGNISALMKQHGEGILPLFCLHKEEMKRSF >EOY32702 pep chromosome:Theobroma_cacao_20110822:9:32924544:32933035:1 gene:TCM_046931 transcript:EOY32702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-related isoform 3 SFLQRRLEKFGGISDEEICVKEIREMAEASLSVKVSSEADENFVSNRSSNVEILRRRMEGLSKGILLERMKEEYGSMLSTANSSATSSASSSKRIDFSDLSLSPIQQSYKESMPHEARVCSGHCKAIVQGIVEEVRAETEQWSQMQEMLGQVRDEMEELQASRDFWEDRALDSDYQIQSLQSAVKEWRQKALSSEAKANELQEQISVLNEEIERLRKERERKIVRARNRSPINQEAQNETEKRILVCHLKENRCANDDGCNQKELVRDGRRKTQTCTAGLLPRRSPLREIGNISALM >EOY30074 pep chromosome:Theobroma_cacao_20110822:9:3265961:3268225:-1 gene:TCM_037407 transcript:EOY30074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transporter 5, putative MMHMTFYWSRQVTLLFSSWRTESWLSYALTLLACVLASALYQYLEHVRYQVRRGGKPAEGTAEEPLLLQQKGAGSGNRGKWSVKKIVGGVLFGLSSALGYLLMLAIMSFNGGVFLAIVLGLTVGFLVFRNEEDDQIAGVNSTCACA >EOY33748 pep chromosome:Theobroma_cacao_20110822:9:38891001:38893678:-1 gene:TCM_041645 transcript:EOY33748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinone reductase family protein isoform 2 MICHVKKRLSDYSYVFFEDKPKPQKHHLSVSPGSRHSFFLLLFCNKVSFNIRRVIEISIQHKQLVNRDQMSSPVAAMATTKLYIVYYSLYGHVETMAREVQRGANAVQGVEATLWQVPETLSSVILQKMKAPGKANDVPEIRPEQLIDADGFLFGFPSRFGVMAAQFKAFFDASHELWECQALAGKPAGIFWSTGFHGGGQELTALTAVTQLAHHGMIFVPLGYTFGSGMFEMNEVKGGSSYGAGTYAADGSREPTELELQQAFHQGKYVAEITKKLKNKPLSA >EOY33749 pep chromosome:Theobroma_cacao_20110822:9:38890838:38893644:-1 gene:TCM_041645 transcript:EOY33749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinone reductase family protein isoform 2 MICHVKKRLSDYSYVFFEDKPKPQKHHLSVSPGSRHSFFLLLFCNKVSFNIRYYSLYGHVETMAREVQRGANAVQGVEATLWQVPETLSSVILQKMKAPGKANDVPEIRPEQLIDADGFLFGFPSRFGVMAAQFKAFFDASHELWECQALAGKPAGIFWSTGFHGGGQELTALTAVTQLAHHGMIFVPLGYTFGSGMFEMNEVKGGSSYGAGTYAADGSREPTELELQQAFHQGKYVAEITKKLKNKPLSA >EOY34256 pep chromosome:Theobroma_cacao_20110822:9:40475637:40478028:-1 gene:TCM_041990 transcript:EOY34256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 IRQISLFYPFSTFKFKVSKTTQSNPNQKAKTTNGPSLRFLLLFFSHKSTPFCSFLHLLFFELVFEGSEKKKKMENEAMAVDSRGIPTYGGRYVRYDVLGIIFEVSSKYVPPIQPIGRGAYGIVCCARNSETNEEVAIKRIANAFENRIDAKRTLREIKLLCHMDHDNIVKIKDIILPPEREKFNDVYIAYELMDTDLNQIIRSSQPLTDDHYQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILMEIIRREPLFPGKDYVQQLGLITEVSIALDLFTPKPNTLVSWKST >EOY34255 pep chromosome:Theobroma_cacao_20110822:9:40474759:40477881:-1 gene:TCM_041990 transcript:EOY34255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 1 MENEAMAVDSRGIPTYGGRYVRYDVLGIIFEVSSKYVPPIQPIGRGAYGIVCCARNSETNEEVAIKRIANAFENRIDAKRTLREIKLLCHMDHDNIVKIKDIILPPEREKFNDVYIAYELMDTDLNQIIRSSQPLTDDHYQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILMEIIRREPLFPGKDYVQQLGLITELLGSPEDSDLGFLRSDNARKYVRQLPRFPKRPFAEKFPDVSPVAIDLAEKMLVFDPSKRITVDQALNHPFLSSLHEINEEPTCPSPFIFDFEQTTLNEEDIKELIWRESLNFNQDKMLE >EOY29786 pep chromosome:Theobroma_cacao_20110822:9:2392753:2399388:1 gene:TCM_037219 transcript:EOY29786 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-malic enzyme 4 MRETGRKLALKMCECRRNIGLVEDSLQYSVRSKVFMCLCTRKRPGLPCTIKQQMKKEKSNIQESERVNSLSLSRNAVNKQERERIYFLSLSIGKRGKYIAFGSSSRFHVPLLPNRMLSLNRLTRAVLGGEERKYWSFLLQRTMMNGGDLVDNKSAVGGGVEDMYGEDSATMDQPVTPWTVSVASGYSLMRDPRHNKGLAFTEKERDAHYLRGLLPPAVFSQELQGKRLMHNLRQYKVPLQRYVAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPERSVQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEKLLNDEFYIGLKQRRATGQEYAELLQEFMSAVKQNYGEKVLIQFEDFANHNAFELLAKYSSTHLVFNDDIQGTASVVLAGLIAALKLLGGTLADHRFLFLGAGEAGTGIAELIALEMSKQNGNPIEENRKKIWLVDSKGLIVDSRKESLQHFKKPWAHEHEPVRKLVDAVKAIKPTILIGTSGVGKQFTKEVVEAMASFNEKPLIMALSNPTSQAECTAEEAYTWSEGRAIFASGSPFDPFEYDGKVFVPGQANNAYIFPGFGLGVIISGAIRVHDDMLLAASEALASQVTEEHFAKGLIYPPFSNIRKISANIAAKVAAKAYELGLASHLPQPEDLVKYAESCMYSPVYRTYR >EOY29775 pep chromosome:Theobroma_cacao_20110822:9:2347356:2348209:-1 gene:TCM_037209 transcript:EOY29775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1677) [Source:Projected from Arabidopsis thaliana (AT2G25780) TAIR;Acc:AT2G25780] MSEQRLLRKAVSDVSSEIEKYTALESSTVDDQLLKQAECECCGLKEDCTTDYITRVRGCHCGIWVCGLCSEVVKERLRRAPETAMQDAVRFHREFCQKYNSTTRLNPKLSLTCAMRDIAKRSNENRSFKNWSAPKIARSSSCVPKIDFKH >EOY31431 pep chromosome:Theobroma_cacao_20110822:9:8806263:8807475:-1 gene:TCM_038369 transcript:EOY31431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFAGLIRRFLFLEYDDGNALRATCIYQAGLKNRTRKKCEDPLLGFMSSCMHWVLEPCLRVTVASSCLRSPNSSVGNLDWL >EOY32128 pep chromosome:Theobroma_cacao_20110822:9:21632634:21634428:1 gene:TCM_039649 transcript:EOY32128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCLRQAQFKFWKACKRSFWFKINIHALKHDLKCLKKEKNKQSYWIKINIHALKLDPKFETKKKQGSIEDRH >EOY29266 pep chromosome:Theobroma_cacao_20110822:9:592908:593332:1 gene:TCM_036859 transcript:EOY29266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKHSYLPLLLVFFLIVSPGNDEAAADQPCRTSPIHLPNCSHEACVQSCVETYGESVNGGCIDNQTCCCNIGQTKPNT >EOY29335 pep chromosome:Theobroma_cacao_20110822:9:787137:791961:1 gene:TCM_036907 transcript:EOY29335 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein MQRVKGLVKARHSFQRLGFLSHQRCFSAHPNYAPNYDFQDEVLVEGRAKSRAAILNRPSALNALTAPMAARLKRLYESWEENPDIGFVLMKGSGRAFCSGVDAVTLYHLLNDGKVEECKRFFETLYKFVYVQGTYLKPHVAILDGTTMGCGGGISLPGMFRLVTDKTVFANPETQLGFHPDAGASFYLSRLPGYFGEYLALTGEKLNGVEMIACGLATHYCLNARLAWIEERLGNMTNDDPAAIESSLAQYGDLVYPDRSSVLRRIDTIDKCFSCDTVEEIIDSLENEAAGAYDDWCKTVLKKMKEASPLSLKVTLQSIREGRFQSLDQCLAREYRMSLAGISKRVSNDFSEGIRARLVDKDFAPKWDPPSLEDVSKDMVDCYFSSLGELEPELVLPTALREPYI >EOY29988 pep chromosome:Theobroma_cacao_20110822:9:2972731:2974198:-1 gene:TCM_037343 transcript:EOY29988 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY DNA-binding protein 50, putative MSTVNFKPPDSPESDHANQSNFEFPDDYFTFDSWLEDCPEAISSGTTENPVNQANEVNDSAGASSLLQGPAGESETIRERREFKERYAFRTKSEVEILDDGYRWRKYGKKMVKNSPNPRNYYRCSVEGCTVKKRVERDREDPSYVVTTYEGIHNHQSAS >EOY32184 pep chromosome:Theobroma_cacao_20110822:9:22789174:22792505:-1 gene:TCM_039777 transcript:EOY32184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein, putative MASYHKPMLLIMFLLLSMIFVSSYALSDIEILLKFKSSLSNDTALGNWENNKPPCNGSRANWLGVLCDNGTIWGLQLENMGLSGTIDVNSLTSLSGLRTLSFMNNNFDGPIPELKKLNALKSIYLSKNRFSGEIPGNAFAGMIWLKKLHLSQNEFMGAIPESLATLPKLLELKLDGNQFSGQIPDFKQKDLQIVDLSNNGLEGSIPASLSKMNASMFSGNKGLCGLPLKTCDSPAADSNSGSSSKKPSIGVIVILVIVGVFVLLATLAFVIVNRQKRQPPLSMEAPPSNLRTKAGFKEEEYGSPGCSQHSRNGKKVETVKLSFTSDDRERFDLPDLLKASAEILGSGCFGSSYKAVLPNGPEMVVKRYKQMNNVGKEEFQEHMRRIGRLRHNNLLPLVAYYYRKEEKLLISDFVQNGSLAVHLHGHQSLGQPGLDWPTRLKIVKGVAKGLAYLYKELPSLIAPHGHLKSSNVLLNESFEPLITDYGLIPVINQESAQELMVAYKSPEYVHHGRITKKTDVWGLGILILEILTGKFPANFLQKGKGSEEDLATWVSLVVGEEMSTEEVFDKEMGKASDRDGEMLKLLKIGLSCCEVDAEKRLDIKDAIQRIEELKETDGDEDSYSLVAID >EOY30765 pep chromosome:Theobroma_cacao_20110822:9:5722348:5729180:1 gene:TCM_037862 transcript:EOY30765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGDEKSTIVMASRDRDIRDRELLIPVADSVHDDSSKPSSSSSSHHTGRETFYKVVRSWASKKFMTGCFCSVILFPIAITFYITWWFIHFVDGFFSPIYAQLGIDIFGLGFVTSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISSAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSAVTLQVGAELLW >EOY30764 pep chromosome:Theobroma_cacao_20110822:9:5722115:5727165:1 gene:TCM_037862 transcript:EOY30764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MGDEKSTIVMASRDRDIRDRELLIPVADSVHDDSSKPSSSSSSHHTGRETFYKVVRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGIDIFGLGFVTSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISSAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSAVTLQSYSGEEELCCVYVPTNHLYIGDIFLVNTNDVIRPNLSVREGIEIVVSGGMSMPQILSTLDTRLPLERSRSDRS >EOY30203 pep chromosome:Theobroma_cacao_20110822:9:3758100:3758978:1 gene:TCM_037486 transcript:EOY30203 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/ERF domain-containing transcription factor MVKNISDRPAAERSDLRFKGVRKRKWGKWVSEIRLPNSRERIWLGSYDTAEKAARAFDAALFCLRGGSAKFNFPDNPPDIDGGRSLTPPEIQAAAARFAQMEPPRTQAEQSTSGFQTESSSPSISEGTVQLDSDLPMDGSFMDLLTMGSDNYASDYGIFPGFDDLSSYFLGSSVPNIGCEEDNIEGILVPESFLWNF >EOY31269 pep chromosome:Theobroma_cacao_20110822:9:7949551:7952068:-1 gene:TCM_038241 transcript:EOY31269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGAPALASPYNVNPSFHDLDFVANTFAGSNKIVNRFKTLVRFIILQLIKGFETVLHGIDAIVVIIHADYSLTPTGIIRPVIVEMKLGGSDSSIDRDAVFRILYLIASLDHEGLTCFLAIIVDTSGWNRILVEMKWNTAKIETDQILAEIHDDMFTDGMLDGTDRLCVRRSKKKKHACRFCFPVIGTEYGSMAYFRRPVLYDRSPD >EOY33960 pep chromosome:Theobroma_cacao_20110822:9:39484735:39490915:-1 gene:TCM_041783 transcript:EOY33960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein, putative MAAAFTSFYFLLCSCLALISLARAEDTDQFIYNGFVGANLHLDGNAKIHHNGILQLTNTSKQLAAHAFYPSPIKFNTSSPSTVRSLSFSTNFVIAMVPEWKDTSGHGIVFVISPSMDFSHAVESQYLGLFNTTNNGNSSNHIFAVEFDTILSPEFEDRNDNHVGIDVNGLKSIQSEPAAYFSNEERKNRSLKLISGHPIQVWVDYNDGEKLLNVTLAPMRSPKPDQPLLSTSVDLSDILLDTMYVGFSAATGSIASNHYILGWSFNRSGQARSLEISELPKLPKVGRRIKPDRLILIIAAGLLLVIITGATYFYRRKKYEEVYEAWEQEYGPQRFSYKTLYKATQGFRDKQLVGAGGFGKVYKGTLPSSNEQIAVKKVSHESNQGMKEFVSEIVSMGRLRHKNLVPLLGYCRRKKELLLVYDYMPNGSLDQFLFDDDHPNLNWPQRFQIIRGVASALRYLHEEWEQVVLHRDIKAGNVLLDSNLNGRLGDFGLARFYDHDAGPQTTCLVGTLGYLDPEITRTGKATKSSDVFAFGAFLLEVACGRKPIDPHLPPEEIFLVELVNRCWKRGIILDASDPRLQGNYVVEEMVKVLKLGLLCSDPRPDRRPTIKEVVQYLDGNASLPDIPLDRAESKPSSILETLPLDSTETNLSVANNLASDCIISFSSSLGRGSLNSFSSTDTVLRVGR >EOY31334 pep chromosome:Theobroma_cacao_20110822:9:8196619:8203361:-1 gene:TCM_038282 transcript:EOY31334 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHY-type/CTCHY-type/RING-type Zinc finger protein isoform 1 MEEVEIKHFGSEELGCQQQNETCKNEEKAGGSHSQQSGGPCMLKQESNEAEATELLEKGFMEYGCLHYRRRCRIRAPCCGEIFDCRHCHNEAKNNINVDQKLRHDIPRHQISQVICSLCGTEQEVQQVCINCGVCMGKYFCETCKFFDNDTSKRQYHCDGCGICRIGGRENFFHCYKCGCCYSILLKNSHPCVEGAMHHDCPVCFEFLFESRYDVTVLPCGHTIHTDCFKEMRDHFQYACPLCFKSVCDMSKVWERFDEEIAATPMPEPYQNKMVSILCNDCGTKSEVQFHVLARKCLNCKSYNTRQTRG >EOY31333 pep chromosome:Theobroma_cacao_20110822:9:8196094:8201129:-1 gene:TCM_038282 transcript:EOY31333 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHY-type/CTCHY-type/RING-type Zinc finger protein isoform 1 MEEVEIKHFGSEELGCQQQNETCKNEEKAGGSHSQQSGGPCMLKQESNEAEATELLEKGFMEYGCLHYRRRCRIRAPCCGEIFDCRHCHNEAKNNINVDQKLRHDIPRHQISQVICSLCGTEQEVQQVCINCGVCMGKYFCETCKFFDNDTSKRQYHCDGCGICRIGGRENFFHCYKCGCCYSILLKNSHPCVEGAMHHDCPVCFEFLFESRYDVTVLPCGHTIHTDCFKEMRDHFQYACPLCFKSVCDMSKVWERFDEEIAATPMPEPYQNKMVSILCNDCGTKSEVQFHVLARKCLNCKSYNTRQTRG >EOY30729 pep chromosome:Theobroma_cacao_20110822:9:5598111:5601558:1 gene:TCM_037840 transcript:EOY30729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix DNA-binding superfamily protein MNRGVLQSSTVQQMMAGNPNWWSINSMRPPTHHQQPSPFLPPPPTFFPQYTPTSSSSSSSSSSLPIPSWHDNQELPESWSQLLLGGFVGEEEKGGIGQFQVQVPKKVENWEEQALHQASNASVVDVKQENSASSYVYGHANEDFHQATKPAWSHQIMPASSPKSCVTSFSSNMLDFSGNKADVRHPPPDRSSECNSTATGGTLKKARVQPSATQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLGSGSGNMRQQQSVQGERNCIFPEDPGQLLNDNCMKRKGGPDQQDSHEEPKKDLRSRGLCLVPVSCTLQVGSDNGADYWAPALGAGFR >EOY30817 pep chromosome:Theobroma_cacao_20110822:9:5916606:5917043:1 gene:TCM_037897 transcript:EOY30817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASHGNITVSTSPKGSNSEAAVPTNSPKGGQCLCSPTTHQGSFRCRFHRSSSSAWMKRSKSMPANGSLASLSPKSVEST >EOY29411 pep chromosome:Theobroma_cacao_20110822:9:1084090:1089271:1 gene:TCM_036954 transcript:EOY29411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMMMMVAWSWLPFSLVITFLFLSTFSHGVSVSQSPTPLVRPASGKVNGSEAKYAVEFSWEITRRSVVEGPVGEPIPVVEVSSSLVLAAERTYRKDPLNGFKRYTGGWNIRERHYWASVAFTAVPLFAIAAIWFVGFGLCLLLIFLCYFCCKRPPYGYSRIAYAISLIFLILFAVAAILGCIVLYVGQGRFHDSTTKTLQYVVNQADMTVGKLRDVSDALASAKQIGVDKVFLPSNVLTDIDEIGAKINSSASTLADKTVDNSDDIRDLLDSVRVALIVVAAIMLLLTFLGFLFSVFGMQLLVYILVILGWMLVTGTFILCGTFLLLHNVAADTCVAMHDWVQNPTAQTALDDILPCVDNATAQETLLKSKEVTSQLVEVINQVITNVSNINFSPNFPPMYFNQSGPLVPILCNPFFPDLTDRVCTAGEVNADNATEVWRNYVCQVSATGICITTGRLTPAFYDQMTAAVNVGSALYNYAPFLVQLQDCTFVRETFTGIYVEHCPGLRRYSRWIYVGLVMVSTAVMLSLIFWVIYGRERRHRLYGQQLSEGPEADKDS >EOY32415 pep chromosome:Theobroma_cacao_20110822:9:29003771:29005794:-1 gene:TCM_040331 transcript:EOY32415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein MKRSNSFKGYSLLIICFMHCVAVRSQLTTDFYSKTCPSLLSIVRRQVQSAVKTEMRMAASLLRLHFHDCFVNGCDASVLLDGDNTTEKFALPNLNSARGFEVVDAIKTAVENACSGVVSCADILAIAARDSVVLSGGPTWRVLLGRRDGLISNATLANAALPSPFEALDAIIQKFVNVGLNITDVVSLSGGHTIGLAKCATFSNRLLNFSGTGAPDTTMEASMLSDLQSFCPVNGDGNKTTVLDRNSTDLFDNHYFQNLLNGKGLLGSDQILHSSELATSTTKSLVESYSSNSQLFFQDFANSMIKMGNISPLTGSNGQIRTNCRAVNS >EOY33249 pep chromosome:Theobroma_cacao_20110822:9:36124959:36126699:-1 gene:TCM_041202 transcript:EOY33249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MSNTAASATMTTPPFYEVDECRGVLRVYSDGSIWRSPKPSFNVPVDDDGSVVWKDILFDPVHNLQLTLYKPASSSSAKLPIFYYIHGGGFCIGSRAWPNCQNYCFRLSSELQAVVISPDYRLAPENRLPAAIEDGFMTVKWLQAQALAENPDPWLTDVADFSRVFICGDSAGGNIAHNLAVQLGAGSSDLAPVRVRGYVLLAPFFGGTVRTRSEAEGPKDAFLNWELIDRFWRLSIPIGDTTDHPLINPFGPVSRSLEHLNLDPILVVVGGSDLLKDRAEEYAKRLKDWGKKIDYVEFEGQQHGFFTINPNSEPAKALMLIIKRFITENSS >EOY34718 pep chromosome:Theobroma_cacao_20110822:9:41888226:41891230:-1 gene:TCM_042302 transcript:EOY34718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carrier protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKSDRAKYEATARSWTQKYAMG >EOY32733 pep chromosome:Theobroma_cacao_20110822:9:33191676:33197930:-1 gene:TCM_040757 transcript:EOY32733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeic acid 3-O-methyltransferase 1 isoform 2 MPGISIKPQDFRLQINKAPLMDWRTHVIETPGHAPFNSNIDLLSLSHQSFIGQKSLCNSMGYSVETQFHGNNDHSEQDEESFSCAMQLVNSTALPMSLHAAIQLEIFEIIAKAGPDAKLSPQEIGAHLPTQNPDAPMMLDSILRLLASHSVLACSLAVVEQGDSPQRLYSLTPVTKFFIRNEDGVSLAPLVSLVQDKIFLDSWCQLKDAILEGGIPFNRVHGTHAFEYPGKDPRFNKIFNTAMMNQTTIVMKKILEAYKGFEHLSQLVDVGGGLGVTLRIITSKYPSIKGINFDQPHVIRHAPAYPAGVEHVGGDMFKSVPKGDTVFMKWILHDWSDDHCLKLLKNCYKAIPDDGKVIVIDSVLPVLPESNAASKANSELDVVMMTQNPGGKERTKPELEALATMAGFSGIRYECFVCNCWVMEFFK >EOY32732 pep chromosome:Theobroma_cacao_20110822:9:33191004:33199110:-1 gene:TCM_040757 transcript:EOY32732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeic acid 3-O-methyltransferase 1 isoform 2 MPGISIKPQDFRLQINKAPLMDWRTHVIETPGHAPFNSNIDLLSLSHQSFIGQKSLCNSMGYSVETQFHGNNDHSEQDEESFSCAMQLVNSTALPMSLHAAIQLEIFEIIAKAGPDAKLSPQEIGAHLPTQNPDAPMMLDSILRLLASHSVLACSLAVVEQGDSPQRLYSLTPVTKFFIRNEDGVSLAPLVSLVQDKIFLDSWCQLKDAILEGGIPFNRVHGTHAFEYPGKDPRFNKIFNTAMMNQTTIVMKKILEAYKGFEHLSQLVDVGGGLGVTLRIITSKYPSIKGINFDQPHVIRHAPAYPGVEHVGGDMFKSVPKGDTVFMKWILHDWSDDHCLKLLKNCYKAIPDDGKVIVIDSVLPVLPESNAASKANSELDVVMMTQNPGGKERTKPELEALATMAGFSGIRYECFVCNCWVMEFFK >EOY32538 pep chromosome:Theobroma_cacao_20110822:9:30971734:30974433:-1 gene:TCM_040511 transcript:EOY32538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 706, subfamily A, polypeptide 4 isoform 1 MDLLHSSILSVIAHFSSLVSGSMMILAVTMSIASYFLIPILLGGRSKNWKNAPPGPVGWPILGSLPHLSHRLHEDFFHLAKIHGPLFCLKMGIKPAIVISSPEMASEILKEKEGMFSSRTITEAIRVVSYDAHSIIFSPYGPRWKALRRILITELLSPKAFEQFEPVRTTQVHGLLKYLYILSKSSTQVNIAESAFTALANLVSNILCSKSLFDNSKPEGRKMKEMFWEMIKEMFIAGTETTSSTVEWGMTEILRKPSVHKKLLLELDQVVGKNRFVVESDIPNLPYLQATVKEVFRLHPGVPLIIPRRTNEACEVAGYHIPKHCIVYVNIWGIARDPKVWEDPLEFKPERFIGSSVDVKGQDFNLLPFGTGRRSCVGWPLAHRMVHYYLAALLHAFEWDSPPEILRDMNERVGLTLQKDKSLLGTPKPRLQASVYEH >EOY32537 pep chromosome:Theobroma_cacao_20110822:9:30972406:30974378:-1 gene:TCM_040511 transcript:EOY32537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 706, subfamily A, polypeptide 4 isoform 1 MDLLHSSILSVIAHFSSLVSGSMMILAVTMSIASYFLIPILLGGRSKNWKNAPPGPVGWPILGSLPHLSHRLHEDFFHLAKIHGPLFCLKMGIKPAIVISSPEMASEILKEKEGMFSSRTITEAIRVVSYDAHSIIFSPYGPRWKALRRILITELLSPKAFEQFEPVRTTQVHGLLKYLYILSKSSTQVNIAESAFTALANLVSNILCSKSLFDNSKPEGRKMKEMFWEMIKVLGTPNFSDLIPIVKPFDLQGLKRKISGIFDQLDAFYEKLIEERLAEKGTAELQRGNNETMDMLDVLLSYKSNDKENGLDRFSRAIIKGMLSEMFIAGTETTSSTVEWGMTEILRKPSVHKKLLLELDQVVGKNRFVVESDIPNLPYLQATVKEVFRLHPGVPLIIPRRTNEACEVAGYHIPKHCIVYVNIWGIARDPKVWEDPLEFKPERFIGSSVDVKGQDFNLLPFGTGRRSCVGWPLAHRMVHYYLAALLHAFEWDSPPEILRDMNERVGLTLQKDKSLLGTPKPRLQASVYEH >EOY31048 pep chromosome:Theobroma_cacao_20110822:9:6777397:6781305:1 gene:TCM_038069 transcript:EOY31048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein MSVSVSIAEGSHSANLYSLNSPTHSPTGRKTKEIKSLNSQDFDYGVSARKEKRKELMDSGSANKPQQHKKKSWFLLLTFSLLLSTLLIIISITSTSSTSSLFYYTRTRTTKTQLPQFVESKLHLVPTSPNPVPKLAYLISGSAGDGESLKRTLKALYHPRNQYAVHLDLEASAEERLEVAKFVKTEPLFKKVGNVRMVTKANLVTYRGPTMVTNTLHAAAVLFKEGGDWDWFINLSASDYPLVTQDDLLHTLSDIPRDLNFIEHTSDIGWKENQRAKPVIIDPGLYSRQKSDVFWVSEKRSVPTAYRLFTGSAWMMLSRPFIEYCLWGWDNLPRIVLMYYANFLSSPEGYFHTVICNAKEFQNTTVNHDLHFISWDNPPKQHPHFLTVNDYQKMVDSNAPFARKFGKNEPVLDKIDSELLGCNADGFVPGGWLNNEGNSNGTLLHVRTNTTELRPGTGAERLKLLVSGLLSAEDFHTKQCS >EOY31618 pep chromosome:Theobroma_cacao_20110822:9:10527876:10531318:1 gene:TCM_038590 transcript:EOY31618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMAMCSSQQPQPFFNGSLPFSSPTLQFHRCSAAIFRWRFGRHHYSSLHTSRTKGQAFRILAYPNVSLGKGDPGNDVIMVDPLEAKRLAAKQMEQIKAKEKFQRRRRIEAINGAWAMIGLTAGLVIEGQTGKSILAQLAGYLSAIIHLFVQ >EOY31619 pep chromosome:Theobroma_cacao_20110822:9:10527899:10531721:1 gene:TCM_038590 transcript:EOY31619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MMAMCSSQQPQPFFNGSLPFSSPTLQFHRCSAAIFRWRFGRHHYSSLHTSRTKGQAFRILAYPNVSLGKGDPGNDVIMVDPLEAKRLAAKQMEQIKAKEKFQRRRRIEAINGAWAMIGLTAGLVIEGQTGKSILAQLAGYLSAIIHLFVQ >EOY33058 pep chromosome:Theobroma_cacao_20110822:9:35215661:35216642:1 gene:TCM_041065 transcript:EOY33058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLQSSPPFQGKTQASKPRAVGKPAHASKANAKEPQVSRNPNIPAKTVPDKPKKPSILDKKTEPKSSKSINIASNPPEKSKAQNLNEPETPVGSTSSNKPRIIRTPCHSAENCYKCQFDGLKTASYVIKKQDITDQVMEVFVDT >EOY30992 pep chromosome:Theobroma_cacao_20110822:9:6601460:6605473:1 gene:TCM_038031 transcript:EOY30992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase isoform 2 MLSLSRLLSSSSTSSRFLLCKTLTLTKRTIISSLTTMSMSLNSHAFAGNPLRSKTPKTHDPFSPSSAFESLKTRLLQNPENHPPPESPLFKVLPFRKGRPLASSSVTGDDVVPSWHLGWISLADCEGILGKCGRQLREESLVYLGSRAEEDVVYWAIDVSEEGWLVPELGGRQFCFVELRTLMVATDWADSLAMGELAVAGHARALLEWHNLSRFCGRCGEKTVPKEAGRRKQCSNELCKIRVYPRVDPVVIMLVIDRENDRALLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETYEETGIEVGEVVYHSSQPWPVGPSSIPCQLMVGFFAYAKSLEIDVDKEELEDAQWHSREDVRKALTFAEYKKAQRTAATKVDQMCKGVERGQSLSADFNVESGELAPMFIPGPFAIAYHLISTWANEDPSTSAAALLKQPSSSISNL >EOY30993 pep chromosome:Theobroma_cacao_20110822:9:6601559:6605450:1 gene:TCM_038031 transcript:EOY30993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase isoform 2 MSMSLNSHAFAGNPLRSKTPKTHDPFSPSSAFESLKTRLLQNPENHPPPESPLFKVLPFRKGRPLASSSVTGDDVVPSWHLGWISLADCEGILGKCGRQLREESLVYLGSRAEEDVVYWAIDVSEEGWLVPELGGRQFCFVELRTLMVATDWADSLAMGELAVAGHARALLEWHNLSRFCGRCGEKTVPKEAGRRKQCSNELCKIRVYPRVDPVYHSSQPWPVGPSSIPCQLMVGFFAYAKSLEIDVDKEELEDAQWHSREDVRKALTFAEYKKAQRTAATKVDQMCKGVERGQSLSADFNVESGELAPMFIPGPFAIAYHLISTWANEDPSTSAAALLKQPSSSISNL >EOY32345 pep chromosome:Theobroma_cacao_20110822:9:27750154:27754596:-1 gene:TCM_040180 transcript:EOY32345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein MEQSVSQQSSNTPRGFRVQAPLVDSVSCYCKVDSGLKTVAEARKFVPGSKLCIQPDINPNAHKSKNSRRERTRVQPPLLPGLPDDLAIACLIRVPRAEHRKLRLVCKRWCRLLAGNFFYSLRKSLGMAEEWVYVFKRDRDGKISWNAFDPIHQLWQPLPPVPREYSEALGFGCAVLSGCHLYLFGGKDPLRGSMRRVIFYSARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLRSAEVYDPNRNRWSFIQDMSTAMVPFIGVVYDGKWFLKGLGSHREVMSEAYDPETNSWTSVSDGMVSGWRNPSISLNGRLYALDCRDGCKLRVYDGATDSWNKFIDSKLHLGSSRALEAAALVPLNGKLCIIRNNMSISLVDVSSPDKQVESNPHLWENIAGKGHFRTLFTNIWSSIAGRSGLRSHIVHCQVLQA >EOY31156 pep chromosome:Theobroma_cacao_20110822:9:7284626:7288820:1 gene:TCM_038144 transcript:EOY31156 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain isoform 2 MTEMAEMALMETTPEENNNQLATPETQPNKRRKKKSIVWEYFTIETVSAGCRRACCKRCKQSFAYSTGSKVAGTSHLKRHIAKGTCPALIRDQDNNQLTPYNPRMGGSEPPKRRYRSPSSPYIPFDQDRCRHEIAKMIIMHDYPLHMVEHPGFIAFVQNLQPRFDKVSFNTVQGDCVATYLREKQSLMKFIEGIPGRFCLTLDMWTSNQTLGYVFICGHFIDSDWKLNRRILNVIMEPYPDSDSALSHAVAACLSDWSLEGKFFSLTFNHPPSEAGLEYLRPLLCIKNPLIFNGQLLLGNCIARTLSSMAKDVLGAGQEIIKKIRDSVKYVKASESHDDKFVQVKNQLQVPSEKSLFLDNQTQWNTTYQMLAAASELKEVFSCLDTSDPDYKLAPSMEDWKVVETLCTFLKPLFDAVNILTTTTNPTAITFFHEAWKIHADLGRSITGEDPFISNLAKSMLEKIDKYWKDCSLVLAIAVVMDPRFKMKLVEFSFTKIYGDDAPTYIKIVDDGIHELFLEYVALPLPLTPTYAEEGNAGNNGKPEDSHQGNLLSDHGLTDFDVYIMETTSQQMKSELDQYLEESLLPRVQEFDVLGWWKLNKLKYPTLSKMARDILSIPVSAAAPESVFDIVDKQLDQYRSSLRPETVEALICAKDWLHYGSTEVSNALVKMEF >EOY31155 pep chromosome:Theobroma_cacao_20110822:9:7283051:7289052:1 gene:TCM_038144 transcript:EOY31155 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain isoform 2 MTEMAEMALMETTPEENNNQLATPETQPNKRRKKKSIVWEYFTIETVSAGCRRACCKRCKQSFAYSTGSKVAGTSHLKRHIAKGTCPALIRDQDNNQLTPYNPRMGGSEPPKRRYRSPSSPYIPFDQDRCRHEIAKMIIMHDYPLHMVEHPGFIAFVQNLQPRFDKVSFNTVQGDCVATYLREKQSLMKFIEGIPGRFCLTLDMWTSNQTLGYVFICGHFIDSDWKLNRRILNVIMEPYPDSDSALSHAVAACLSDWSLEGKFFSLTFNHPPSEAGLEYLRPLLCIKNPLIFNGQLLLGNCIARTLSSMAKDVLGAGQEIIKKIRDSVKYVKASESHDDKFVQVKNQLQVPSEKSLFLDNQTQWNTTYQMLAAASELKEVFSCLDTSDPDYKLAPSMEDWKVVETLCTFLKPLFDAVNILTTTTNPTAITFFHEAWKIHADLGRSITGEDPFISNLAKSMLEKIDKYWKDCSLVLAIAVVMDPRFKMKLVEFSFTKIYGDDAPTYIKIVDDGIHELFLEYVALPLPLTPTYAEEGNAGNNGKPEDSHQGNLLSDHGLTDFDVYIMETTSQQMKSELDQYLEESLLPRVQEFDVLGWWKLNKLKYPTLSKMARDILSIPVSAAAPESVFDIVDKQLDQYRSSLRPETVEALICAKDWLHYGSTEVSNALVKMEF >EOY31154 pep chromosome:Theobroma_cacao_20110822:9:7284475:7288820:1 gene:TCM_038144 transcript:EOY31154 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain isoform 2 MTEMAEMALMETTPEENNNQLATPETQPNKRRKKKSIVWEYFTIETVSAGCRRACCKRCKQSFAYSTGSKVAGTSHLKRHIAKGTCPALIRDQDNNQLTPYNPRMGGSEPPKRRYRSPSSPYIPFDQDRCRHEIAKMIIMHDYPLHMVEHPGFIAFVQNLQPRFDKVSFNTVQGDCVATYLREKQSLMKFIEGIPGRFCLTLDMWTSNQTLGYVFICGHFIDSDWKLNRRILNVIMEPYPDSDSALSHAVAACLSDWSLEGKFFSLTFNHPPSEAGLEYLRPLLCIKNPLIFNGQLLLGNCIARTLSSMAKDVLGAGQEIIKKIRDSVKYVKASESHDDKFVQVKNQLQVPSEKSLFLDNQTQWNTTYQMLAAASELKEVFSCLDTSDPDYKLAPSMEDWKVVETLCTFLKPLFDAVNILTTTTNPTAITFFHEAWKIHADLGRSITGEDPFISNLAKSMLEKIDKYWKDCSLVLAIAVVMDPRFKMKLVEFSFTKIYGDDAPTYIKIVDDGIHELFLEYVALPLPLTPTYAEEGNAGNNGKPEDSHQGNLLSDHGLTDFDVYIMETTSQQMKSELDQYLEESLLPRVQEFDVLGWWKLNKLKYPTLSKMARDILSIPVSAAAPESVFDIVDKQLDQYRSSLRPETVEALICAKDWLHYGSTEVSNALVKMEF >EOY31153 pep chromosome:Theobroma_cacao_20110822:9:7284432:7288893:1 gene:TCM_038144 transcript:EOY31153 gene_biotype:protein_coding transcript_biotype:protein_coding description:BED zinc finger,hAT family dimerization domain isoform 2 MPLCNGLYAPHPPPPRCLYRYFGFLCKVSFAVSSRVYFMTEMAEMALMETTPEENNNQLATPETQPNKRRKKKSIVWEYFTIETVSAGCRRACCKRCKQSFAYSTGSKVAGTSHLKRHIAKGTCPALIRDQDNNQLTPYNPRMGGSEPPKRRYRSPSSPYIPFDQDRCRHEIAKMIIMHDYPLHMVEHPGFIAFVQNLQPRFDKVSFNTVQGDCVATYLREKQSLMKFIEGIPGRFCLTLDMWTSNQTLGYVFICGHFIDSDWKLNRRILNVIMEPYPDSDSALSHAVAACLSDWSLEGKFFSLTFNHPPSEAGLEYLRPLLCIKNPLIFNGQLLLGNCIARTLSSMAKDVLGAGQEIIKKIRDSVKYVKASESHDDKFVQVKNQLQVPSEKSLFLDNQTQWNTTYQMLAAASELKEVFSCLDTSDPDYKLAPSMEDWKVVETLCTFLKPLFDAVNILTTTTNPTAITFFHEAWKIHADLGRSITGEDPFISNLAKSMLEKIDKYWKDCSLVLAIAVVMDPRFKMKLVEFSFTKIYGDDAPTYIKIVDDGIHELFLEYVALPLPLTPTYAEEGNAGNNGKPEDSHQGNLLSDHGLTDFDVYIMETTSQQMKSELDQYLEESLLPRVQEFDVLGWWKLNKLKYPTLSKMARDILSIPVSAAAPESVFDIVDKQLDQYRSSLRPETVEALICAKDWLHYGSTEVSNALVKMEF >EOY34287 pep chromosome:Theobroma_cacao_20110822:9:40587857:41243329:-1 gene:TCM_042012 transcript:EOY34287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 36, putative MGRAPCCDKANVKKGPWSPEEDSKLKEYIEKYGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPNIKHGEFTDEEDRIICSLFASIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMAMTAQSQRKPPPFPSSHHTPPVSSQSLSSLYKDCSTSYCGTPNRSFTAFEPMSSVQSDLLNNSNTTNFATNSSLIHTPQSLVSHMQYYPVKENFLMFGSEPSCSSSDGSCSQISYGREIKQEDMSFQGFGASTGYEDNHKFMLDYGTNNGGANVNQWTEKPSGYYGECSLDYDLEDVKRLISSSSTNNCCNNNNNNNNNNQTASVI >EOY32654 pep chromosome:Theobroma_cacao_20110822:9:32532535:32539112:1 gene:TCM_040677 transcript:EOY32654 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MATIAASQHHHHPTSLEEVRTLWIGDLQFWVDESYLNSCFAHTGELVSIKIIRNKITGQPEGYGFVEFVSHAAAERILQTYNGTPMPGTDQMFRLNWASFGIGERRTDPGPEHSIFVGDLAPDVTDYLLQETFRAHYPSVRGAKVVTDPNTGRSKGYGFVKFSDEIERNRAMTEMNGVYCSTRAMRISAATPKKPTGFQQQYAVAKAVYPAPAYTTPVQVLPPDNDSTNTTIFIGNLDPNVTEEELKQLFSPLGDIVYVKIPAAKGCGFVQFATRTSAEEAIQRMQGQMIGQQLVRISWGRSPTAKQDLPGSWGTQADPSQWSAYYGYGQSYDAYAYGATQDPSLYAYGAYAGYAQYPQQARGSQDMAAMAGAFPALEQRDESYDPLATPDVDKLNTTYLSVHGSAILGRPLWLRTFSTSPQA >EOY32653 pep chromosome:Theobroma_cacao_20110822:9:32532867:32538161:1 gene:TCM_040677 transcript:EOY32653 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MATIAASQHHHHPTSLEEVRTLWIGDLQFWVDESYLNSCFAHTGELVSIKIIRNKITGQPEGYGFVEFVSHAAAERILQTYNGTPMPGTDQMFRLNWASFGIGERRTDPGPEHSIFVGDLAPDVTDYLLQETFRAHYPSVRGAKVVTDPNTGRSKGYGFVKFSDEIERNRAMTEMNGVYCSTRAMRISAATPKKPTGFQQQYAVAKAVYPAPAYTTPVQVLPPDNDSTNTTIFIGNLDPNVTEEELKQLFSPLGDIVYVKIPAAKGCGFVQFATRTSAEEAIQRMQGQMIGQQLVRISWGRSPTAKQDLPGSWGTQADPSQWSAYYGYGQSYDAYAYGATQDPSLYAYGAYAGYAQYPQQVEGSQDMAAMAGAFPALEQRDESYDPLATPDVDKLNTTYLSVHGSAILGRPLWLRTFSTSPQA >EOY32652 pep chromosome:Theobroma_cacao_20110822:9:32532680:32538323:1 gene:TCM_040677 transcript:EOY32652 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein isoform 2 MATIAASQHHHHPTSLEEVRTLWIGDLQFWVDESYLNSCFAHTGEAVFICKTYENADAGKLVSIKIIRNKITGQPEGYGFVEFVSHAAAERILQTYNGTPMPGTDQMFRLNWASFGIGERRTDPGPEHSIFVGDLAPDVTDYLLQETFRAHYPSVRGAKVVTDPNTGRSKGYGFVKFSDEIERNRAMTEMNGVYCSTRAMRISAATPKKPTGFQQQYAVAKAVYPAPAYTTPVQVLPPDNDSTNTTIFIGNLDPNVTEEELKQLFSPLGDIVYVKIPAAKGCGFVQFATRTSAEEAIQRMQGQMIGQQLVRISWGRSPTAKQDLPGSWGTQADPSQWSAYYGYGQSYDAYAYGATQDPSLYAYGAYAGYAQYPQQARGFAILALALHLTIPAVEGSQDMAAMAGAFPALEQRDESYDPLATPDVDKLNTTYLSVHGSAILGRPLWLRTFSTSPQA >EOY32814 pep chromosome:Theobroma_cacao_20110822:9:33797412:33798570:-1 gene:TCM_040837 transcript:EOY32814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein MNSSALLKRQKLLIIFQIPYIFRRSCPKLKTLQNPCAQIQNPLRVLGVSQYSTQSTKFPDYQMPSVTWGVVQGKNEKLVNRVKICDYLKTLGIIPHELENLELPSTVEVMSGRVEFLQKLGLTIDDINEYL >EOY29778 pep chromosome:Theobroma_cacao_20110822:9:2358750:2362493:1 gene:TCM_037212 transcript:EOY29778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MKSPSRAINTPIGLPGILSLFLTSGASNRIIPILRTLRIPIIFIQSVILYLLLLLFPRRRRSQTAVLGVEAAAAAPQSPAKTARRRLVWRREEEDTLRRRALAEGLDMGFETGDGEIRCRWSTSLFFGVRRNALFCRSWFPATGELKGILIIIHGLNEHSGRYAQFAKQLTSCNFGVYAMDWIGHGGSDGLHGYVPSLDHVVADTGAFLEKIKSENPGVPCFLFGHSTGGAVVLKAASYPHIEEMLEGIVLTSPALRVKPAHPIVGAIAPLFSLVAPKFQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLMQNFKTVTIPFFVLHGTADKVTDPLASQDLYNEAASRFKNIKLYEGFLHDLLFEPEREEIGQDIIDWMEKRLGAGAEKIGCLW >EOY31605 pep chromosome:Theobroma_cacao_20110822:9:10383104:10384067:-1 gene:TCM_038572 transcript:EOY31605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASQSSFRGISQHMVSYTTGENHIRNFKHCPYLPLSLRHGHNHHYTADGNNKRTNHHSCRRNIHSHKDMDTLNLMIFIIQNSSTDGTCTVNIITLSETIYEWNILLNITH >EOY29593 pep chromosome:Theobroma_cacao_20110822:9:1698235:1699471:-1 gene:TCM_037092 transcript:EOY29593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Temperature-induced lipocalin MSQKPMEVVKHLDINRYMGRWYEIASFPSRFQPRGGVNTRATYTLREDGTVHVLNETFTDGKRGYIEGTAYKADPKSDEAKLKVKFYVPPFLPIIPVVGDYWVLFIDDDYQYALIGQPSRKYLWILCRQPHMDDEIYNQLVQKAKDEGYDVSTLHKTPQSDPPPEGEETPKDTKGIWWIKSIFGK >EOY31719 pep chromosome:Theobroma_cacao_20110822:9:13142093:13144489:1 gene:TCM_038819 transcript:EOY31719 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase MGSALCCCSSDKVDEGVSVVTPGNTTWRIFTYKELHTATNGFRDDNMLGEGGFGSVYLGKTSDGLQIAVKKLKAMTSKAEMEFAVEVEVLGRVRHKNLLGLRGYCVGTDQRLIVYDYMPNLSLLSHLHGQYSREVLLDWKRRMKIAIGSAEGILYLHHEVTPHIIHRDIKASNVLLDSDFEPLVADFGFAKLIPEGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLELLTGRKPIEKLPGGVKRTITEWAEPFIAKGRFKDLVDPKLRGNFDENQLKQAINVAALCVQNEPEKRPSMKEVVGMLKGYDSRGKVMKTRMHSVKYREELLALDQTSDDDDDGGPEESYGVFGAMEVQKMQDPYRRYGDRKTTKNV >EOY30525 pep chromosome:Theobroma_cacao_20110822:9:4872624:4876904:1 gene:TCM_037704 transcript:EOY30525 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-amino-cyclopropane-1-carboxylate synthase 7 MFSLLCTGVCNLLIANLLLVEFLHMGTHDLHPHVVDHRQELKHILLFGFCYEDVVYSLPLFSHSSILYPVLVHTQQLPYRKGLTNSARKIDMAIENEQPAVGLSKVAVSETHGEDSPYFAGWKAYDENPYDESSNQSGVIQMGLAENQVSFDLLEKYLEEHSEASSWGIGAPGFRENALFQDYHGLKSFRQAMANFMEQIRGGRAKFDPDRIVLTAGATAANELLTFILADPGDALLVPTPYYPGLDRDLRWRTGVKIVPIHCDSSNNFQVTPEALEAAYECAESMNLKVRGVLITNPSNPLGATIQRSVLEEILDFVTRKNIHLVSDEIYSGSTFSSSEFISIAEILEAHDYKNSERVHIVYSLSKDLGLPGFRVGTIYSYNDKVVTTARRMSSFTLISSQTQHLLACMLSNKEFTENYIKTNRESLRKRYEMIIKGLKNAGIECLKGNAGLFCWMNLSPLLEESTREGELALWKVILNEVRLNISPGSSCHCSEPGWFRVCFANMSEQTLEVALERIHKLMEQRKSKET >EOY32526 pep chromosome:Theobroma_cacao_20110822:9:30892368:30896151:-1 gene:TCM_040502 transcript:EOY32526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSTELDFSMGEFWIQVTSVPLKLMNGETAKAIGGMIECFVKIDGEEDNLTYCFLHIRILMDLTKPLRKGIMLACEDNQTKWISFQYEHLPSNVETRAICGEGGSLNDVELQGKSLVHVKDNNKVEIRGVFGISGEDGSNLEIKDKVAARVEDENSGQDAVKLINWMVNDGPVLHESDA >EOY30842 pep chromosome:Theobroma_cacao_20110822:9:5978323:5981157:-1 gene:TCM_037914 transcript:EOY30842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase transcription factor Myb/SANT-like family protein, putative isoform 1 MDDIDDDSRCPTKPYFFNRLKHPQYPRSIRTRHVYNYRPEEEDGEEENDDVEDDNDNDPDVYYRRFKESERFERYPKRQKLRTSAPSYQFAADHRDSFTNTYDWTQQEIYVLLEVWGDRFLQLGRRSLRGEDWVDVAEKVSDALKSEKNEGQCRRMIDGLKRKFKKEKLKAESMGLNSSKWVFFRKMEMLMGLGSSFRQPESGLACGVDSGEFVFMNTQVYLDRSNGFDEMRDSPAESEMVEDDEEEEDGGSRMRMEGNDEHSMRMLADSVQRFGKIYEKIESSKGEHMKELEKMRMDFQKELEVQKKQILERAQAEIAKIKEEDDADDEEESDDDDEDNDNSTENISE >EOY30841 pep chromosome:Theobroma_cacao_20110822:9:5978188:5981201:-1 gene:TCM_037914 transcript:EOY30841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase transcription factor Myb/SANT-like family protein, putative isoform 1 MDDIDDDSRCPTKPYFFNRLKHPQYPRSIRTRHVYNYRPEEEDGEEENDDVEDDNDNDPDVYYRRFKESERFERYPKRQKLRTSAPSYQFAADHRDSFTNTYDWTQQEIYVLLEVWGDRFLQLGRRSLRGEDWVDVAEKVSDALKSEKNEGQCRRMIDGLKRKFKKEKLKAESMGLNSSKWVFFRKMEMLMGLGSSFRQPESGLACGVDSGEFVFMNTQVYLDRSNGFDEMRDSPAESEMVEDDEEEEDGGSRMRMEGNDEHSMRMLADSVQRFGKIYEKIESSKGEHMKELEKMRMDFQKELEVQKKQILERAQAEIAKIKEEDDADDEEESDDDDEDNDNSTENISE >EOY31974 pep chromosome:Theobroma_cacao_20110822:9:18371396:18372936:-1 gene:TCM_039344 transcript:EOY31974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDLWKRLAPLKVKELESVAHLFFTCDETWKTWHRWANNWGLMWVNHRDPLGYFLSWNVAGSEVGKGSISGPMEIEITMMQMESFKTQLRGWSFRKIPRSANEVADYLTKIEVQRSKEFIWVTNELDEGVEGASGLQ >EOY33243 pep chromosome:Theobroma_cacao_20110822:9:36088475:36089747:1 gene:TCM_041196 transcript:EOY33243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKPFIRFILPVMILLVATTKRTISPKPNNPENTFGTRGKLASRSSLNLCGRGVSMIYCALSFLPWRVWCLPCWVGGGAFLDALRKDPLGERDHFWDMSSIGVLIL >EOY32148 pep chromosome:Theobroma_cacao_20110822:9:22236271:22239050:1 gene:TCM_039709 transcript:EOY32148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein KENKREECKKEGGGRPCEERKRKREKLSQLVKKKKRRKIKEERNEGKKEEKEPWERKSKENGFAAL >EOY31620 pep chromosome:Theobroma_cacao_20110822:9:10532978:10534414:1 gene:TCM_038592 transcript:EOY31620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENFIPLIFDLLTLLADTYKIYLKNGRLMGFIAALVISLHTVLYLLNVFSVKSLITDLITKQSHLIPTTPGTPELTNLLIGMQKDIKIYAGVEWIFLLIIAVASLFLAISTTHASALIHGGKKISIKDLVLRAVRSLKRPFVTCFYITLFGLGYIFLCLVTLLPLVLILGSEVTSSVFAIPLFISAMVFYSYLSVVWNLSLVISVLEETFGIEALGKAAQIVKGMKLQGFILNLLLTILPPLLLQCLRMITLKQSEAIRIVITLLLLNSIWLVRMFGHTAYTVLYYQCKKTHGEEVELQADMEYTKIPTAPLINENIP >EOY31987 pep chromosome:Theobroma_cacao_20110822:9:18594456:18620029:1 gene:TCM_039368 transcript:EOY31987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cadmium/zinc-transporting ATPase 3 isoform 2 MDANKKLQKSYFDVLGICCSSEVAQIENILKSLEGVKEVSVIVPTRTVIVLHDNLLVSQLQIVKALNQARLEANVRARGEIKYQKKWPSPFAIACGLLLLFSLLKYAYHPLQWLAVGAVAVGIYPMLLKGYAAVRNFRLDINILMLSAVIGSVAMKDYTEAGTIVFLFTTAEWLESRASHKATAVMSSLMSIAPQKAVIAETGEEVDADEVKLSTVLAVKAGEVIPIDGIVVDGKCEVDEKTLTGESLPVTKEKDSTVWAGTINLNGYISVKTTAVAEDCVVAKMAKLVEEAQNNKSRTQRFIDKCAQFYTPAIVIVSAAIAVIPAALRVHNLHNWFYLALVVLVSACPCALILSTPVASFCALTKAATSGLLVKGGDYLEILSKIKITAFDKTGTLTRGEFVVTDFRSLCEDISLNTLLYWVSSVESKSSHPMAAALVEYGRSHSIEPNPETVEDYHNFPGEGIYGRIDGRDIYIGSRKISLRAHGTVPSLEGNMIEGKTIGYVFSGATPAGIFSLSDACRTGAAEAVNELKSMGIKAAMLTGDNQAAAIHVQEQLGNRLDEVHAELLPEDKARIIEELRKEGPTAMIGDGINDAPALATADIGISMGISGSALATETGHVILMSNDIRKIPKAIQLARKAHRKVIENVILSISTKAAILALAFAGHPLVWAAVLADVGTCLLVICNSMLLLRGTHKHAGKCSKSSAASHTDKKGCKTSHCRLSDNHEHASTDKKVQKLCEPKRCLSQRCASKCQSSPFNSDSCSNSCGSNKCADSARTHDGSVSDGSLEAKHCDQGSCCMVNDKRAGKCCRSSTASHTDKHGCKTFHGHSSHNHQHAIIDQKVQKPCAPKKCSSQKCAAKCQSSPFGTDSCSADSARAHNGSVSDRSHEEKHCDQGSCCMVNDKTEAHNLSSNCCSGNRSLGLNTEDKCRKASYCVEDQRETKIGHCHSVHCGENHVKNHTNDKALGNLVEHSSSESLNPKAYSHPHKCCIDYSDQXPHTAIDIPMSSDFEAAKARTTLEKREFGGCCKSYMRECCGKHGHFGPGLGGGLAEITTE >EOY31988 pep chromosome:Theobroma_cacao_20110822:9:18594393:18602373:1 gene:TCM_039368 transcript:EOY31988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cadmium/zinc-transporting ATPase 3 isoform 2 MDANKKLQKSYFDVLGICCSSEVAQIENILKSLEGVKEVSVIVPTRTVIVLHDNLLVSQLQIVKALNQARLEANVRARGEIKYQKKWPSPFAIACGLLLLFSLLKYAYHPLQWLAVGAVAVGIYPMLLKGYAAVRNFRLDINILMLSAVIGSVAMKDYTEAGTIVFLFTTAEWLESRASHKATAVMSSLMSIAPQKAVIAETGEEVDADEVKLSTVLAVKAGEVIPIDGIVVDGKCEVDEKTLTGESLPVTKEKDSTVWAGTINLNGYISVKTTAVAEDCVVAKMAKLVEEAQNNKSRTQRFIDKCAQFYTPAIVIVSAAIAVIPAALRVHNLHNWFYLALVVLVSACPCALILSTPVASFCALTKAATSGLLVKGGDYLEILSKIKITAFDKTGTLTRGEFVVTDFRSLCEDISLNTLLYWVSSVESKSSHPMAAALVEYGRSHSIEPNPETVEDYHNFPGEGIYGRIDGRDIYIGSRKISLRAHGTVPSLEGNMIEGKTIGYVFSGATPAGIFSLSDACRTGAAEAVNELKSMGIKAAMLTGDNQAAAIHVQEQLGNRLDEVHAELLPEDKARIIEELRKEGPTAMIGDGINDAPALATADIGISMGISGSALATETGHVILMSNDIRKIPKAIQLARKAHRKVIENVILSISTKAAILALAFAGHPLVWAAVLADVGTCLLVICNSMLLLRGTHKHAGKCSKSSAASHTDKKGCKTSHCRLSDNHEHASTDKKVQKLCEPKRCLSQRCASKCQSSPFNSDSCSNSCGSNKCADSARTHDGSVSDGSLEAKHCDQGSCCMVNDKRAGKCCRSSTASHTDKHGCKTFHGHSSHNHQHAIIDQKVQKPCAPKKCSSQKCAAKCQSSPFGT >EOY29463 pep chromosome:Theobroma_cacao_20110822:9:1253998:1262641:1 gene:TCM_036986 transcript:EOY29463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase MELQLGLALPTPNIIKGFDLNNLGLHQLKEMGGLEAWNHAGGCLGDKDCVKNKRGFEQAFGNFTDDCKAMPLLLWSGQPNEEEDHRDQKKKTSSSIAENDAEEEQVVGWPPIKPWRKNLFHQHQPGRIENNRTAEKENGRSIYVKVKMEGVAIARKVDVRLYHSYQALTNSLISMFAKDQKCDEDDYTRYTLTYQDKEGDWLIAGDIPWHFNESSPSKSVSFVCKFYGRPGPPYLSSYCLLCLYLVSAQQNTDPNEVAALRKIIDYWNLGSKLNLTVDPCSQNAKWTSEDSNPRVACDCSSNPCHITLLKIYALDIKGEIPRELFELKELMDLNLGQNVLNGSIPAEIGQLSKMQYLSLGINNLTGPVPPELGNLTKLLSLSFSSNNFYGPLPKELGRLTSLQQLYIDSSGVSGPIPPEFANLKSLQILWASDNLLTGKLPEFLGTFTEFRDMRLQGTSLEGPIPSSFAALTKLEDLRVGDLSGEDSSLDFLNDQTRLSTLSLRNCRLSGQLPERLGRFTMLQYLDLSFNELTGQIPISFREFSSLQFLYLGNNNLSGELPEDIITPELIALDVSFNPLSGNLPPQFAKVKSMNVVGTSINANGLQDKKVSETLECLQGKTKCRNKVPSSSFSIKCGGTAQKSASGIEFDDDSEILGAASLYTSTDNQWAVSSAGSFISNPNGNIYIAKTDSQITGTLESELYQTARVSPSSLRYYGLGLKNGKYIVKLHFAEIAMDDSLSWKGLGRRLFDVYIQGEKVLQDFNIQHEAGGSKKALIRTFEANVTNTIMEIHFFWAGKGTCCIPYQSTYGPLVSAIHASQVSEGAAATSNRKRVGLIAGIVIGGAAGIVIVSSIFYLWWKKDPQGHMRIFTDSPRKQLT >EOY30430 pep chromosome:Theobroma_cacao_20110822:9:4585688:4588551:1 gene:TCM_046919 transcript:EOY30430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein METLACNFHPLIFNLSNSKTLSFLKFPLSPDPFISSATTLSLRMAHPHSAQSPVIEQQRVVIPNKHGEKLVGLLHETGSKEIVVLCHGFRSTKADRTMMNLAVALEKEGISAFRFDFAGNGESEGSFEFGNYLREADDLHAVTQHFCGENRIVSAILGHSKGGNVVLLCASKYHDIHTVVNVSGRYDLKKGVEERFGKDAVDRIKKDGFVDIKDKTGSVEFRVTEKSLMERLSTDMHEACLKIPRECRVLTVHGSADEIIPVEDALEFAKIIPNHKLHIVEGADHNYTSHQTELASVVLSYIKVALQQD >EOY32147 pep chromosome:Theobroma_cacao_20110822:9:22224628:22225766:-1 gene:TCM_039708 transcript:EOY32147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKFTNHLVREELKPHHCINRGAVENLIALTMRRKYKFENLKRLYSLVRNKINPWTRFHIITSGSPAPPDPTCHSSLNDVGRHWRNQAFSTVNIIGGLATYISQTMAPIMSSSLLTTQGFSS >EOY33327 pep chromosome:Theobroma_cacao_20110822:9:36744745:36746016:1 gene:TCM_041286 transcript:EOY33327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPIGGLEAPFLLCFLMDRKLNFKVMCKSLTDLQMLFCDFYQEFLADWLPLSKMVITMKDAVYLRVIRCVYA >EOY33336 pep chromosome:Theobroma_cacao_20110822:9:36765282:36773637:1 gene:TCM_041291 transcript:EOY33336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor E2F isoform 1 MSGSQASEQLKQSMQQQQQQLQNQQQLKRQLPFSMKPPFMAPGGDYHRFPSTEPRRIADQDAEAIVVKSPLKRKSDIADREVESSEWTMPPGYTEVVSSPLQTPVSGKGGKAKTSRLTKSSKAGPQTPASNLGSPGNNLTPSGPCRYDSSLGLLTKKFINLIKQAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRPGEVDENVATLQAEVENLSIEERRLDEQIREMQERLRDLSEDENNQKWLFVTEEDIKNLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSSMGPIDVYLVSQFEEKFEEIQGVDPPLNFPSTSGLNENPGTTMVTEESRGKEIEPQGQDTNRMCSDLNASQDFVSGIMKIVPSDVDVMMLIIGFYQILALASLTCGGLNLRLDGMSLVHFMMRIMAWVLLAHHVHKRLHQMQMKCHLLIKLGGEGHICVCGSDEPFACILVRAFLMLWRLV >EOY33335 pep chromosome:Theobroma_cacao_20110822:9:36765343:36773392:1 gene:TCM_041291 transcript:EOY33335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor E2F isoform 1 MSGSQASEQLKQSMQQQQQQLQNQQQLKRQLPFSMKPPFMAPGGDYHRFPSTEPRRIADQDAEAIVVKSPLKRKSDIADREVESSEWTMPPGYTEVVSSPLQTPVSGKGGKAKTSRLTKSSKAGPQTPASNLGSPGNNLTPSGPCRYDSSLGLLTKKFINLIKQAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRPGEVDENVATLQAEVENLSIEERRLDEQIREMQERLRDLSEDENNQKWLFVTEEDIKNLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSSMGPIDVYLVSQFEEKFEEIQGVDPPLNFPSTSGLNENPGTTMVTEESRGKEIEPQGQDTNRMCSDLNASQDFVSGIMKIVPSDVDSDADYWLLSDPSVSITDMWRTEPEVGWNEFGTLHDEDYGMGAVSTPRPQTPPSNANEVPSAN >EOY32620 pep chromosome:Theobroma_cacao_20110822:9:32137245:32143682:1 gene:TCM_040629 transcript:EOY32620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSPGLPGLAYALAFCLIVTTVAATDPTYKYASPPPPNHPYHPPSPYKSPPPLKHSLPPLYQYKSPPPPVKYPPPQYHYKSPPPPSKSPRPPYAYKSPPPPKHTSPPPYVDKSPPPPPYVYKSPPPPVHSPLPPYVYKSPPPPPYIYKSPPPPTYLYKSPLPPAHFPPPPYVYKSPLPPYLYKSPPPPVHSSPPPYHYKSPPPPIHSPPPPYIYKSPPLPYVYKSPPPPTHSPPPPYLYKSPPPPVHFPPPPYVSKSPPPPKHSPPPAYVYKSPPPPTHSPPPTYLYKSPPPPVHSPPPPYVYKSPLPPYLYKSPPPPIHSSPLPYHYKSPPLPYVYKSPPPPTHSPPHPYLYKSPPPPVHFPPLPYVYKSPPPPKHSPPPTYVYKSPPPPTHSPPPTYLYKSPPPPIHSPLPLYVYKFPPPPKHSPPPPYVYKSPPPPMHSPPPPYIYKSPPPPIHSPPPPYLYKSPPSPVHSPPPPSPYVYKSPPPPKHSPPPLYVYKSPPPPVHSPPPPYLYKSPPPPVHSPPSPYVYKSPPPPKHSPPLPYVYKSPPPPPIYSPPPPYLYKSPPPPIHSPHPPYVYKSPPPPSHPMPHPSHPSHPKPHPPHPKTPSTPHPKYLYKSPPPPKAY >EOY31808 pep chromosome:Theobroma_cacao_20110822:9:14480086:14481576:-1 gene:TCM_038971 transcript:EOY31808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSSAGPLNIYRNDYEIELQMRQIQQEKGDCLTQGHISILPERVHLDLQQNDFTEMVGIWEQWRRAHRDNFQNKYGHIAWLLYVPIDDQMLRAIVQFWDPSYRCFVFNKVDMTPTIEEYSSLLRIDHMQPDKIYWRAQKTGHRRKLAKLLGMTTVEVDQHLKKKGDTECLLWSFLNEYIKKYMEDEQGLLAFAMAIYGLVVFPKVLGHVEWVARLRRLMSIEVTWRAPWMPRMQVMYKCGDKPWVPLMGPWGAISYAPIMVRRQFGSEQFVPMTHQLDQLEFTYGEPETLKRIEEIA >EOY33496 pep chromosome:Theobroma_cacao_20110822:9:37841304:37844008:1 gene:TCM_041467 transcript:EOY33496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVDSQPTMEETILVGDDLMMGLPSPVIPQEIASHVLQGVDLCDGILRNLFLCLQINDIEPFCQDELALYRQCAEKRDKELRQRLQDSERKLGLSMPLDQAKERAGQLESEVTSLERRLILASGIEGIEGFRQRWSLHGRLTDTKRRLESLKLGMENRKEDEPSKSPTRKRWFFW >EOY29438 pep chromosome:Theobroma_cacao_20110822:9:1190584:1198279:-1 gene:TCM_047084 transcript:EOY29438 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein MKQSSVEAVSSSCTSTLSLQDQSQTATSASVSENHHPQSNNNGKNLTSITAVDDIAGVGSRDGGGGAQETVVVDRRGEYSAVCRWTVYNLPRTKARALWSKYFEVGGYDCRLLVYPKGDSQALPGYISIYLQIMDPRGTSSSKWDCFASYRLAIVNLIDDSKTIHRDSWHRFSSKKKSHGWCDFTPSATIFDSKLGYLFNNDALLITADILILNESVNFTRDNNDVQSSLSSMISSSVVAGPVSDVLSGKFTWKVHNFSLFKEMIKTQKIMSPVFPAGECNLRISVYQSSVNGQEYLSMCLESKDTEKASSADRSCWCLFRMSVLNQKPGSNHMHRDSYGRFAADNKSGDNTSLGWNDYMKMSDFIGLDAGFLVDDTAVFSTSFHVIKEFSSFSKNGGLISGRTGSGARKSDGHMGKFTWRIENFTRLKDLLKKRKITGLCIKSRRFQIGSRDCRLIVYPRGQSQPPCHLSVFLEVTDSKTTTSDWSCFVSHRLSVVNQRMEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVVFSAEVLILKETSVMQDFTDQDTESANTAPQIERVGKRSAFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSVGSDPDKNFWVRYRMAVVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDMLEADAGFLVRDTVVFVCEILDCCPWFEFSDLEVFASEDDQDALTTDPDELIDSEDSEGISGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKISGSGDGKKVPKTDESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEGGAHGDSSDANSKPSSDGSEAASPLDCDRENGAAESAQFPVYERLDSCVDDGSAASAVQSSDMNGINVSLIAIPGQPISPPETSAGGYSENSSLRSKTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKIALVLDKAPKHLQPDLVALVPKLVEHSEHPLAAYALLERLQKPDAEPALQIPVFGALSQLECGSEVWERVLFRSFELLTDSNDEPLIATIDFILKAASQCQHLPEAVRSVRVRLKSLGPEVSPCVLDFLSKTVNSWGDVAETILRDIDCDDDFVENCSAMGCGFFLFGENGPSSESLHVVDEQAFCAGCHFSDIYVLIEMLSIPCLAVEASQTFERAVARGAIVAQCVAMVLERRLAQKLHLSARYVAESFQHGDAAVEGEASEQLRAQRDDFTSVLGLAETLALSRDLRVRGFVKMLYTILFKWYVDEPYRGRMLKRLVDRATSTTENSREGDLDLDILVILVSEEQEVVRPVLSMMREVAELANVDRAALWHQLCASEDAIIHMGEERKAEISNMVREKATLSQKLSESEATNNRLKSEMKAEMDRFARERKEFFEQIQDIESQLEWHRSERDDEIAKLTAEKKALQDRLHDAETQLSQLKSRKRDELKRVVKEKNALAERLKSAEAARKRFDEELKRYATENVTREEIRQSLEDEVRRLTQTVGQTEGEKREKEEQVARCEAYIDGMESKLQACQQYIHTLEASLQEEMSRHAPLYGAGLEALSMKELETLSRIHEEGLRQIHALQQHKGSPAGSPLVSPHTIPHNHGLYPTTPPPMAVGLPPSLIPNGVGIHSNGHVNGAVGPWFNHA >EOY31843 pep chromosome:Theobroma_cacao_20110822:9:16293555:16307506:1 gene:TCM_039127 transcript:EOY31843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGYTLLGRKDGPSGLGRVEVCLARFLGPLGPLLNAPFWGSGDSLKRRRFGVDPLDSSLCQNDAVLGLGLGVFKEPGCPIFWTTRRGGFCHPLELVVRAPHFTRSGWGMGRRRDGWAVEDLALAPLGAIGITPNSNSEQHGYVIKWSLGIL >EOY32870 pep chromosome:Theobroma_cacao_20110822:9:34157813:34164073:1 gene:TCM_040892 transcript:EOY32870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein / PPIC-type PPIASE domain-containing protein isoform 1 MLRATHLPPVASPTFFALRQSSIPALSVSSLACYSLHRLSTFSPFHSFFSKQLKPTRPYLPPLTLRQVSPMVGHPRLRPSASFNSESGSGDSREILVQHLLLKEDDQKLLLELQQKIAGGEDLSDLAVEYSICPSRENGGMLGWVRKGQMVPEFEEAAFSAPLNKVVKCKTNYGWHLLQVLSEREESLLKDIQPEEFHAKMQDPSFIEEAQLIDVREPEEVSQASLPGFQVLPLRQFGSWGPEITSKLDPMKDTYVMCHHGMRSLQVAKWLQTQGFRKVFNLSGGIHAYATKYARFLGKHVAQAICCLETELVHSFGFALLDTELH >EOY32871 pep chromosome:Theobroma_cacao_20110822:9:34157960:34163906:1 gene:TCM_040892 transcript:EOY32871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein / PPIC-type PPIASE domain-containing protein isoform 1 MLRATHLPPVASPTFFALRQSSIPALSVSSLACYSLHRLSTFSPFHSFFSKQLKPTRPYLPPLTLRQVSPMVGHPRLRPSASFNSESGSGDSREILVQHLLLKEDDQKLLLELQQKIAGGEDLSDLAVEYSICPSRENGGMLGWVRKGQMVPEFEEAAFSAPLNKVVKCKTNYGWHLLQVLSEREESLLKDIQPEEFHAKMQDPSFIEEAQLIDVREPEEVSQASLPGFQVLPLRQFGSWGPEITSKLDPMKDTYVMCHHGMRSLQVAKWLQTQVKRIIITFSLLLYLSFTALVCVPLAFTFSFRGLIMTHSSLCLPRSLFRKTSGQGFRKVFNLSGGIHAYATKVDPSVPTY >EOY29302 pep chromosome:Theobroma_cacao_20110822:9:692698:696520:1 gene:TCM_036886 transcript:EOY29302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Debranching enzyme 1 isoform 2 MKIAVEGCMHGDLDKVYETIKYIETTRNIKIDLLLCCGDFQAVRNEKDMESLSVPPKYREMKSFWKYYSGEEVAPVPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGLAGVVKFGNIRIGGLSGIYNARHYHLGHHERPPYNDRTVRSVYHIREYDVHKLMQVEEPIDIFLSHDWPLGITDFGNWKELVRRKKYFKDEIQEGTLGSKAAAQLLEKLKPSYWFSAHLHCKFAALVQHESGGSVTKFLALDKCLPGRNFLQIVDIESDPGPYEVRYDEEWLAITRKFNSVFPLTIKRGNFGSTQLDMQDCRQWVRSRLEERGAKPCEFSQTVPPYNPSHPVPNTSFSGYPRNPQTVSFLEFLELPYVLDNASESRDGPASLTHRDDYSEDIPIEDEDEFEDVAEVDNTETDNENQ >EOY29303 pep chromosome:Theobroma_cacao_20110822:9:692736:696526:1 gene:TCM_036886 transcript:EOY29303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Debranching enzyme 1 isoform 2 MKIAVEGCMHGDLDKVYETIKYIETTRNIKIDLLLCCGDFQAVRNEKDMESLSVPPKYREMKSFWKYYSGEEVAPVPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGLAGVVKFGNIRIGGLSGIYNARHYHLGHHERPPYNDRTVRSVYHIREYDVHKLMQVEEPIDIFLSHDWPLGITDFGNWKELVRRKKYFKDEIQEGTLGSKAAAQLLEKLKPSYWFSAHLHCKFAALVQHESGGSVTKFLALDKCLPGRNFLQIVDIESDPGPYEVRYDEEWLAITRKFNSVFPLTIKRGNFGLHSLTCKIVVNGLEAGWKREEPNLVNFHKLFHLTIPPIQFQTLLFLGIPAILRLYRSWNF >EOY32315 pep chromosome:Theobroma_cacao_20110822:9:26615590:26620953:1 gene:TCM_040068 transcript:EOY32315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASYAAIWAISCARNDVIYRYLSLKKFKKFKKNKGQSCDLQVESRDPKRRQNMAQGRMFERRGPHI >EOY30237 pep chromosome:Theobroma_cacao_20110822:9:3879569:3880266:1 gene:TCM_037511 transcript:EOY30237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1677) [Source:Projected from Arabidopsis thaliana (AT5G20670) TAIR;Acc:AT5G20670] MAISGSDTQTPSSKVTTPIEIHCVKCESCGFTEECTTTYILRVRERYQGRWICGLCIEAVKDEALRSDTLISTEEALDRHISFCKKFRASSPSDETEHPISVMGRILRRSLDSPRALRSNSSSVLPAFGEVKGSTLPRSESCFPALSG >EOY29204 pep chromosome:Theobroma_cacao_20110822:9:344003:351279:1 gene:TCM_036812 transcript:EOY29204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MATISGASQRREPRSGGKMVRPRRAALPRTPYDRPRLVNPTQQNPNWISRHVFSPTRTIVTGAGRILSSVFGYESSSSSSSSSSSDCDFSSDDTDDNNDDKDVLSQGVHTIEHREPQSFAGKTETKRLIEQLLVQETFSREECDKLTNIIKSRVMDSPMLTGMGDARLNETPNRTGGSDVEIHDLCSAAVMEARKWLEEKKLGSSSKSELDNETSARNPVTFTHGAEEETGSPVDVAKSYMRTRPPWASPSTKNIGFRSSSPIGMPLFKEDTPYSIGGNSFSSSKLKRGSPATGSWNIQEEIRKVRSKATEEMLRTRSSSKIDWSSFSFEHKSGPDSLVAKTLGPAEEDNPQSSKKSGDASVDLGARPVTQIIQDALHNDALPSPATIGCEENQGMEAIQSIEGKKDETLDVEQGLQSTVDIKIASPSDVVAADVDRLKDTNGSIQQFSSTGEEAVQDSQVEDKNCSTLKEVPGIGGAASTTNGFPSSGSSMSAELDKEETHRPINEEDKAVASSDDHQTKVVAEQNCELLSEATMEVPMVNETDASQNSSSMHHETSPQQPNAAGSKRNVAGKSSMGIEKQQGKKVTRYNRRGRGRGR >EOY29207 pep chromosome:Theobroma_cacao_20110822:9:345738:351030:1 gene:TCM_036812 transcript:EOY29207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MDSPMLTGMGDARLNETPNRTGGSGAEEETGSPVDVAKSYMRTRPPWASPSTKNIGFRSSSPIGMPLFKEDTPYSIGGNSFSSSKLKRGSPATGSWNIQEEIRKVRSKATEEMLRTRSSSKIDWSSFSFEHKSGPDSLVAKTLGPAEEDNPQSSKKSGDASVDLGARPVTQIIQDALHNDALPSPATIGCEENQGMEAIQSIEGKKDETLDVEQGLQSTVDIKIASPSDVVAADVDRLKDTNGSIQQFSSTGEEAVQDSQVEDKNCSTLKEVPGIGGAASTTNGFPSSGSSMSAELDKEETHRPINEEDKAVASSDDHQTKVVAEQNCELLSEATMEVPMVNETDASQNSSSMHHETSPQQPNAAGSKRNVAGKSSMGIEKQQGKKVTRYNRRGRGRGR >EOY29205 pep chromosome:Theobroma_cacao_20110822:9:344811:351032:1 gene:TCM_036812 transcript:EOY29205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 YESSSSSSSSSSSDCDFSSDDTDDNNDDKDVLSQGVHTIEHHVDILDSSDDCNDVRGCLSCFFVGHQREPQSFAGKTETKRLIEQLLVQETFSREECDKLTNIIKSRVMDSPMLTGMGDARLNETPNRTGGSDVEIHDLCSAAVMEARKWLEEKKLGSSSKSELDNETSARNPVTFTHGAEEETGSPVDVAKSYMRTRPPWASPSTKNIGFRSSSPIGMPLFKEDTPYSIGGNSFSSSKLKRGSPATGSWNIQEEIRKVRSKATEEMLRTRSSSKIDWSSFSFEHKSGPDSLVAKTLGPAEEDNPQSSKKSGDASVDLGARPVTQIIQDALHNDALPSPATIGCEENQGMEAIQSIEGKKDETLDVEQGLQSTVDIKIASPSDVVAADVDRLKDTNGSIQQFSSTGEEAVQDSQVEDKNCSTLKEVPGIGGAASTTNGFPSSGSRL >EOY29206 pep chromosome:Theobroma_cacao_20110822:9:345660:351276:1 gene:TCM_036812 transcript:EOY29206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MDSPMLTGMGDARLNETPNRTGGSDVEIHDLCSAAVMEARKWLEEKKLGSSSKSELDNETSARNPVTFTHGAEEETGSPVDVAKSYMRTRPPWASPSTKNIGFRSSSPIGMPLFKEDTPYSIGGNSFSSSKLKRGSPATGSWNIQEEIRKVRSKATEEMLRTRSSSKIDWSSFSFEHKSGPDSLVAKTLGPAEEDNPQSSKKSGDASVDLGARPAVTQIIQDALHNDALPSPATIGCEENQGMEAIQSIEGKKDETLDVEQGLQSTVDIKIASPSDVVAADVDRLKDTNGSIQQFSSTGEEAVQDSQVEDKNCSTLKEVPGIGGAASTTNGFPSSGSSMSAELDKEETHRPINEEDKAVASSDDHQTKVVAEQNCELLSEATMEVPMVNETDASQNSSSMHHETSPQQPNAAGSKRNVAGKSSMGIEKQQGKKVTRYNRRGRGRGR >EOY29208 pep chromosome:Theobroma_cacao_20110822:9:346174:351030:1 gene:TCM_036812 transcript:EOY29208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 4 MEARKWLEEKKLGSSSKSELDNETSARNPVTFTHGAEEETGSPVDVAKSYMRTRPPWASPSTKNIGFRSSSPIGMPLFKEDTPYSIGGNSFSSSKLKRGSPATGSWNIQEEIRKVRSKATEEMLRTRSSSKIDWSSFSFEHKSGPDSLVAKTLGPAEEDNPQSSKKSGDASVDLGARPVTQIIQDALHNDALPSPATIGCEENQGMEAIQSIEGKKDETLDVEQGLQSTVDIKIASPSDVVAADVDRLKDTNGSIQQFSSTGEEAVQDSQVEDKNCSTLKEVPGIGGAASTTNGFPSSGSSMSAELDKEETHRPINEEDKAVASSDDHQTKVVAEQNCELLSEATMEVPMVNETDASQNSSSMHHETSPQQPNAAGSKRNVAGKSSMGIEKQQGKKVTRYNRRGRGRGR >EOY34428 pep chromosome:Theobroma_cacao_20110822:9:41050480:41051461:-1 gene:TCM_042107 transcript:EOY34428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLLRNRGDIQIKPMRDDIAKLLETGLEATVRILLGRCYAWIYI >EOY32409 pep chromosome:Theobroma_cacao_20110822:9:28877459:28880616:1 gene:TCM_040313 transcript:EOY32409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQERCIEQKLMPSLFAKLLEGKSIDGLVMNVNFGGGVALVAVVTSVDVGFNAAIIASTVEKKKEDEKEESDDDMGFSLFN >EOY30447 pep chromosome:Theobroma_cacao_20110822:9:4634132:4635411:1 gene:TCM_037654 transcript:EOY30447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFVCCLMDESSDVEFMDNHRCDDPRSPILCNKIEPTPEYTVFEQDPCHLVKPLLYDADLYGIILRHMRHSLSFTPPPTSSSSSSNHSYCYAVKSGFLGYVPSKPLLSSPMEGGYGGGLVPAVGQC >EOY31675 pep chromosome:Theobroma_cacao_20110822:9:11934492:11935564:-1 gene:TCM_038720 transcript:EOY31675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVSLREMSLKTFVMKRGWIIIFMHLEHPDKNGVVEWKNRTLKEMARTMLCENNLPRYFWAEAVNTAAYIFNRVSIRAMISKTLYELYKGRKPNISHLRSFGCKCFVLNNEKYPIRKFDAKSDEAIFLRYALNSKAYRDFNKMTLTVEELIYVVFDEFNALQREIHADEDDMDDLERQMEEMNLDDKKNSGEKSLGREIEPSSLETLQRIENLHNDLPKSWRYIKDHP >EOY31351 pep chromosome:Theobroma_cacao_20110822:9:8305748:8307228:1 gene:TCM_038297 transcript:EOY31351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVSIITQHLKSNEVIELKSDGDVLKLFEVHKGVGRGKIDESSYSVLNNSTLDRNNEDRVGEVKVEIGTSRRGGLDSSRDRRRGKGRVGEGRVSERPSITISINDEKSRDLDRKSSDSVKDIDGEDVFTKDVN >EOY30018 pep chromosome:Theobroma_cacao_20110822:9:3073172:3073956:1 gene:TCM_037369 transcript:EOY30018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNQRRSHFALSTSLSQTTCRVRWGWKVGREQAPHHYLNLERGSLPPLPALAMNCLSPITILSAWVRGFLFGGCGFIAASK >EOY31065 pep chromosome:Theobroma_cacao_20110822:9:6844579:6847833:-1 gene:TCM_038078 transcript:EOY31065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant heme oxygenase family protein isoform 3 MASLTPISQSHALLKKPNLTLTPPPPNFSSSFIAKDYPYFKTQSFKLPPRMATRNGVVSATTAEKRRKRYPGEAKGFVEEMRFVAMKLHTREQAKEGEKEVKEPEERPVVKWEPSVDGYLKFLVDSKLVYDTLEGIIEKAAFPFCSMFSFFHFSHDHADQLSMINFLMLNSETLDWKGLKNCQRIYSGSRSKAMPFQNHLRLVLPMLSILKNYQRRILRHSYATSTIYILPTLLVVA >EOY31066 pep chromosome:Theobroma_cacao_20110822:9:6843651:6847833:-1 gene:TCM_038078 transcript:EOY31066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant heme oxygenase family protein isoform 3 MASLTPISQSHALLKKPNLTLTPPPPNFSSSFIAKDYPYFKTQSFKLPPRMATRNGVVSATTAEKRRKRYPGEAKGFVEEMRFVAMKLHTREQAKEGEKEVKEPEERPVVKWEPSVDGYLKFLVDSKLVYDTLEGIIEKAAFPFYAEFRNTGLERSEKLSKDLQWFKEQGYAIPEPSSPGVTYAEYLKELSEKDPQAFICHFYNIYFAHSAGGRMIGKKVAEKILDNKELEFYKWDGDLSQLLQNVRDKLNKVAEVIKSL >EOY31064 pep chromosome:Theobroma_cacao_20110822:9:6844184:6847952:-1 gene:TCM_038078 transcript:EOY31064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant heme oxygenase family protein isoform 3 MASLTPISQSHALLKKPNLTLTPPPPNFSSSFIAKDYPYFKTQSFKLPPRMATRNGVVSATTAEKRRKRYPGEAKGFVEEMRFVAMKLHTREQAKEGEKEVKEPEERPVVKWEPSVDGYLKFLVDSKLVYDTLEGIIEKAAFPFYAEFRNTGLERSEKLSKDLQWFKEQGYAIPEPSSPGVTYAEYLKELSEKDPQAFICHFYNIYFAHSAGGRMIGKKVAEKILDNKELEFYKWDGDLSQLLQNVRDKLNKVAESWTRDEKNHCLEETEKSFKHSGKILRLILS >EOY29746 pep chromosome:Theobroma_cacao_20110822:9:2233201:2233684:1 gene:TCM_037192 transcript:EOY29746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MHGYVRPVLLNYWLSDPDMKIFGPMPHVKGNMNYIEHMKSSKFCICARGHEVNSPRVVEAIFYECVPVIISDNFVPPIFEVLSWESFAVFVLEKDIPY >EOY30155 pep chromosome:Theobroma_cacao_20110822:9:3582752:3584781:1 gene:TCM_037460 transcript:EOY30155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein, putative MAKLISYINFFKVSVILLLSTTSRCFGTPLEKGSALFIFGDSTVDPGNNNYINTIPENRAHYKPYGQNGFFAEPTGRFSDGRVIVDYVAEYAKLPLIPPFLQPSADFTSGANFASGGGGVLPETNQGLVIDLPTQLKNFEEVQKSLVEKLGEAQTKELISEAVYFISIGSNDYMGGYLGNPKMQEFYPPEAYVGMVIGNLTQTLQVLYEKGARKFGFLSLSPLGCLPALRASNFKDNEGKCLEAASALARAHNDALNAVLTNLEHILPGLKYCNSNFYEWLDDRMNNPSKYGFKDGVNACCGTGPYGGVFTCGGTKNVKDYQLCDNADDHVWWDSFHPTERIHEQFAKALWRGHPSSVGPYNLEDLFFNKEKLTIADIVDSPETDQFQ >EOY34059 pep chromosome:Theobroma_cacao_20110822:9:39818073:39821584:-1 gene:TCM_041855 transcript:EOY34059 gene_biotype:protein_coding transcript_biotype:protein_coding description:XB3 in MGQGLSCATSQENGLFSAVQVGDFETVEALLKRDANLLHHTTVYDRHSAIHIAAANGQIEILAMLLEKSMNPDVVNRHKQTPLMLAAMHGKISCVKKLIEAGANILMFDSLHGRTCLHYAAYYGHSDCLQAILSAAQSSPVAVSWGYVRFVNTRDARGATPLHLAARQRRPDCLHILLDNGALVCASTGGYGCPGSTPLHLAARGGSLDCIRKLLAWGADRLQRDASGRIPYVVALKHKHGACAALLNPSSAEPLVWPAPLKFISELNEEAKVLLEQALMEANREREKNILKGTAYSLPSPSHSDCGLDDSISEASDTEVCCICFEQICTIEVQDCGHQMCAQCTLALCCHNKPNPTTASLTPPVCPFCRSTIVRLVVAKIKNYDDTDQDIGDMSSSKVRKLRKSRNFCEGSSSFKSLSAVGSFSKMGGRGSGRIAAENEWIDKP >EOY33571 pep chromosome:Theobroma_cacao_20110822:9:38114442:38116310:1 gene:TCM_041516 transcript:EOY33571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDERMPCTEIVVATGNWQLATGRCAIYCSLGLAIIIREGASCHIHSYWCPQPLTPSFLSGC >EOY31727 pep chromosome:Theobroma_cacao_20110822:9:13304849:13308088:-1 gene:TCM_038837 transcript:EOY31727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVAPSSFSLHLRLHYSKAIATKYMVVELICRASSMMPMALKYSPKLYRKLSTSLASLLPWNSLGFGTFKRVPH >EOY34215 pep chromosome:Theobroma_cacao_20110822:9:40329889:40330728:-1 gene:TCM_041958 transcript:EOY34215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitinase 1 MVKPSLHLPKSSSSWVHNAVSSLTDMIKHYHIDGIDIDYEHFSTSPELFAECIGQLITSLKRSGTISFASIAPYEDDTVKSHYLALWRKYGQVIDYVNFQFYAYDNVSVPQLITNFKMQASNYGGGQLLASFQSDGGGGLRPSDGYFEACNELKDQGKLGGIFIWCADESKGNKFQYEKKSQDLLAA >EOY32004 pep chromosome:Theobroma_cacao_20110822:9:19035583:19042462:1 gene:TCM_039411 transcript:EOY32004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein MKAGQAWRLGSMGDMQILPGARHRPPLKRPIWIIFLVSLVSLFLVCAYIYPPRGNAACYVFSSRGCKALTDWLPPAPARELTDEEIASRVVFRDILNTPPVQSKNSKIAFMFLTPSSLPFEKLWDMFFRGHEGKFSVYVHASKGKPVHVSRYFLNREIRSDEVVWGKISMVDAERRLLVHALKDPDNQHFLLLSESCVPLHNFDYVYNYLMHANMSFVDCFVDPGPHGNGRYSEHMLPEVEKKDFRKGAQWFSMRRQHALIVIADNLYYSRFRDYCKPGLDGKNCIADEHYLPTFFQMIDPGGIANWSVTHVDWSERKWHPKSYRAQDVTDELLKNITSIDLSVHVTSDEKSEEQVQPCLWNGIRRPCYLFARKFYPETVDELMMLLNF >EOY34058 pep chromosome:Theobroma_cacao_20110822:9:39812195:39814684:1 gene:TCM_041854 transcript:EOY34058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQQEEGWPLGLQPLNVRVGLARNHDYSGSISFNTMLTGSPTSSTDSSSDLDTESTGSFFHDNSITLGSLIGVSSILELSKRSVRGRKAEAATREKRSNNSNKSRIWLFSLCSRDSTDAENVNANNAPSLGHFLAVERRAASEYRRNHIPTIYGPDELALAQPNLESNSLFVNGCVAPPQTSSSENAGLDNGNGHGVPVLLSCMCGQPSI >EOY33054 pep chromosome:Theobroma_cacao_20110822:9:35141392:35144137:-1 gene:TCM_041058 transcript:EOY33054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase 11-like protein MAPSYSIYTCIFLLSLLMIMFMASSRPIDAAEIPAAILIRVDPSGKGDYRKIQDAIDAVPSNNKEVVFILVKPGIYKEKIVNIFESPTFSVLASDFVARYLTIQNTHGAGAKAVALRVSRDRAAFFGSRILFYQDTLLDDTERHYYSSCYIEGAVDFICGMPLLFLRGAICIHPRKEMQLSQPNAGSHLLRTQALLSWVVVFALTYMSHAILAQGWDDRGDSSKQSSVLYRGYKCYGPGANTKKRVEWSRELTGEEAQPCLTKNMIGGKIGSNQRQPVSRKLQLPNLTIPLGMPNHEDLKKARSAFVGRERMEMVKTVQGLLGKLGRHCYAVLCVSLILVSVTIAHMVYAMQDQPKDARERTKRRLRSLHGPFS >EOY33668 pep chromosome:Theobroma_cacao_20110822:9:38458896:38461226:1 gene:TCM_041571 transcript:EOY33668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinases regulatory subunit 1 MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAQQAMLAK >EOY31295 pep chromosome:Theobroma_cacao_20110822:9:8029742:8030319:-1 gene:TCM_038256 transcript:EOY31295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHDHPHGPPPPGIHQHQAPPPPCPQGPPPGHDGHPVGGPGGDHGGHHGPPAGGPGQHGPPPGGPGQHGPPAGGPGHGCGPEGGHGPPAGGPGQHGPPAGGPGHHGPPAGGPGHHGGGSHGGHGGRC >EOY29219 pep chromosome:Theobroma_cacao_20110822:9:388113:395437:1 gene:TCM_036820 transcript:EOY29219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATTKRESSLGKEKRGTSPSNPSVAHGQRRSTPGSTDKQVPNYLKPTISSRNDGVKTVKKTGPEDTSQRPNLLRRRSFDRPPSAARVQKALISPAREKPTTSRSASFSSKPTAAPKATLERVAKKPNAGKPQTTTLSSSRSMKKTTSPTTKKASTSSSGSKNPPVSSHDKKEAQEVETKHENKENVDHQPEEVVKDDQGEIDDSELPKAEESEHPDVVDTSEVKSVEEGKENPGGISAASQEHNVPPPTEEMEDKFQEEKSDHTRHEEDKENRIKEETDDLQEKIPHEEAKTETEDKGEENNVSDEVATTKEIIGEENELESRQENDEGRQQGLQSSKEEVIAEEVEEPKPEAANVVAKSHGRKESATPYNDVIEETASKLLEERKNKLATEIGDENLRPSVNIIICSIARHSLKSSNRTSFVGFLILDAAVQTQAIVKAQALSPLPPGGSKFEEAR >EOY34627 pep chromosome:Theobroma_cacao_20110822:9:41632310:41632956:1 gene:TCM_042236 transcript:EOY34627 gene_biotype:protein_coding transcript_biotype:protein_coding description:6,7-dimethyl-8-ribityllumazine synthase MGNSLRCCLACVLPCGALDLIRIVHLNGYVEEITGPVTAGEILKANPNHVLSKPCSQGVVRKILILSPESELKRGSIYFLIPSSSLPEKKKSGVHHKKHSKTKSKKCSGSDVVVTDYCDRYLSNVVSEKKSSRGDRRSGRVGVWRPHLASISED >EOY31435 pep chromosome:Theobroma_cacao_20110822:9:8817777:8818237:1 gene:TCM_038373 transcript:EOY31435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRWVQEALVRKEILAGGLNVALISVDEGEMVELLKNYKEVFVERFECLYPKEKPLQNSTKLYIANSNCISSQSRTPTLSCIHSHHKPKVTFSLHPQMHGSSTCLVSLQLTAISQYFPRWIETWNALKQ >EOY34469 pep chromosome:Theobroma_cacao_20110822:9:41228524:41230852:-1 gene:TCM_042143 transcript:EOY34469 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 2 KEAPERKEEDFLMEKSGYGRDGIFRSLRPPLVLPKDPNLSMVSFLFRNVSSYPDKPALIDADTEETLSFSQFKSAVIKLSHAFLNLGISKNDRVLIFAPNSIQYPLCFFAVTAIGAIATTANPIYTVNELSKQIKDSIPKLVVTVPELFDKVKDFKLPVIFLGSKRNQQPYDPKDIPKILSFHDLLGLAGNVTEFPAVSVKQTDTASLLYSSGTTGVSKGVVLTHRNFIAASLMVTVDQELAGDMHRVFLCVLPMFHVFGLAVITYGQLQKGNALVSMAKFDFGLFLRNVEKYRATHLWVVPPIVLAMAKQSVVKNFDLSSLRQIGSGAAPLGKELMEECARNFPQAVVLQGYGMTETCGIVSVENPNVGARHTASTGMLASSVEAQIVRTETLKPLPPNQLGEIWVRGPNMMQGNKTYNRW >EOY34468 pep chromosome:Theobroma_cacao_20110822:9:41226307:41230822:-1 gene:TCM_042143 transcript:EOY34468 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein isoform 2 MEKSGYGRDGIFRSLRPPLVLPKDPNLSMVSFLFRNVSSYPDKPALIDADTEETLSFSQFKSAVIKLSHAFLNLGISKNDRVLIFAPNSIQYPLCFFAVTAIGAIATTANPIYTVNELSKQIKDSIPKLVVTVPELFDKVKDFKLPVIFLGSKRNQQPYDPKDIPKILSFHDLLGLAGNVTEFPAVSVKQTDTASLLYSSGTTGVSKGVVLTHRNFIAASLMVTVDQELAGDMHRVFLCVLPMFHVFGLAVITYGQLQKGNALVSMAKFDFGLFLRNVEKYRATHLWVVPPIVLAMAKQSVVKNFDLSSLRQIGSGAAPLGKELMEECARNFPQAVVLQGYGMTETCGIVSVENPNVGARHTASTGMLASSVEAQIVRTETLKPLPPNQLGEIWVRGPNMMQGYFNNPQATKLTIDGKGWVHTGDLGYFDEDGNLYVVDRIKELIKYKGFQVAPAELEGLLVSHPEILDAVVIPYPDAEAGEVPVAYVVRSPSSSLTEVDVQNFIAKQVAPFKRLRRVTFISSVPKSASGKILRRELIEKVRSKM >EOY32935 pep chromosome:Theobroma_cacao_20110822:9:34535219:34539167:-1 gene:TCM_040951 transcript:EOY32935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase, Peptidoglycan-binding LysM, putative MLVEYRKFQSDCHRAWTGLIYIEDIVIKEQSKPSIQVQHALHPNSMGLSLLSHCLYTLMLLSHFHHPSQAQQEYLNNLQLDCSGKTVSISKGYFCNGIQRSCASFLTFRPQPPFDSPESIASLLGSNASYIASINNVSVTHNFSPDKIVVVPTTCSCWGSLFQHIAPYTIRPGDTYFTIANDTYQGLTTCKALDGQNYYGYENLMVGEQLTVPLRCACPSQNQTADGVAFLLTYLVTWGDTLSSIGELFGVDAQSIAAANNVSAEDLIYPFTPLLIPMKSESCSKNPGSLLCSCPNGRYAYELEDGHNCAAQDKRQEGFSLKLVTILGVGIGTGFLCLALIGYNMYLRLRKRKDRIRREKFFKQNGGLLLNQGLSCFGGGEKSKIFAAEELQKATDNFNQSRILGQGGFGTVYKGMLHDGRIVAIKRSKVIDKSQIQQFINEVVILSQINHRNIVKLLGCCLEAEFPILVYEFIPNGTLFHHIHEQDNESSLSWENRLRIACEVAEAVAYMHSAASIPIFHRDIKSSNILLGDKYNAKVSDFGTSKPIPDDKTHLTTLVQGTFGYLDPEYFQSSQFTEKSDVYSFGVVLIELLTGEKPVSFARAEEERNLVAYFIASTKENKLLQILDDQIAKEATKEDIYAVAYLAIRCLRLNSKKRPTMKEVSMALEGLRQSHRCLDIHEQAQLISDEISEHTVIEVEEESIFSLDLDSADME >EOY31969 pep chromosome:Theobroma_cacao_20110822:9:18345863:18349598:1 gene:TCM_039341 transcript:EOY31969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MKLPSLFVRPIAHLRSKTSKFLSPNFSSFSSLQDFSVSNEIHSILDIVNPMEPALEPLLPFLSPDIVTSIIQDQPNPQLGFRFFIWAMQRKRLRSSASDKLVVDMLLRKDNGFDMYWQTLEEIKKCGALIVSDAFKVLISGYSKLGLDEKAVECFGKMKDFDCKPDVFTYNTILYVMVRRKVLLLALAVYNQMLKNNYKPNRATFSILIDGLCKNGKTEDALNMFDEMTQRGIEPNRCSYTIIVSGLCQADRADDACRLLNKMKESGCSPDFVAYNALLNGFCQLGRVDEAFALLQSFQKDGFVLGLRGYSSFINGLFRARRFEEAYAWYTKMFEENVKPDVVLYAIMLRGLSVAGKVEDAMKLLSEMTERGLVPDTYCYNAVIKGFCDTGLLDQARSLQLEISSYDCFPNACTYTILISGMCQNGLVGEAQQIFDEMEKLGCFPSVVTFNALIDGLSKAGQLEKAHLLFYKMEIGRNPSLFLRLSHGSSGVLDSSSLQTMVEQLYESGRILKAYRILMQLADGGNVPDIFTYNILIHGFCKAGNINGAFKLFKELQLKGISPDSVTYGTLINGFQMAGREEDAFRIFDQMVKNGCKPSVAVYRSLMTWSCRRRKVSLAFNLWLMYLRSLPGRQDTVIKEVEKYFDEGQVEKAVRGLLRMDFKLNSFSVAPYTIWLIGLCQAGRVEEALKIFYILEECKVVVTPPSCVRLIVGLCKEGNLDLAVDVFLYTLEQGFKLMPRICNYLLKSLLRSKDKRMHAFGLLSKMNSQRYDLDAYLHKTTKSLLYRHWHTWKMENAAPG >EOY34396 pep chromosome:Theobroma_cacao_20110822:9:40898443:40899586:1 gene:TCM_042078 transcript:EOY34396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQQTHTCKSLDLTHVEMAKNMDHNLSRKIIKPLIEQIFLPLPWSKPYKAPQVKSTLSNPFLQDQLH >EOY29492 pep chromosome:Theobroma_cacao_20110822:9:1351675:1354820:1 gene:TCM_037010 transcript:EOY29492 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain-containing protein 2 MKFWKSLSILIEEALPDWRDKFLSYKDLKKQLKLIYPKDGSEKQVSKRPRLDSADGGDGGSKEESEVPKEVMDFVLLLEDEIEKFNAFFIEKEEDYVIKWRELQDRAAKAKVSKGELMKVGREIVDFHGEMVLLENYSALNYTGLVKIIKKYDKRSGALVRLPFIRKVLQQPFYRTDVLNQLVKECEMVLDHLFSMNEPSASPEATDEKVTSEKECESKTSTRSTERLLKVPKELAEIKNMKSMYMKLTLSALHVLKEIRSGSSTVSMFSLPPLQNHALEEWKKIPVLEQAAK >EOY33664 pep chromosome:Theobroma_cacao_20110822:9:38427872:38435147:-1 gene:TCM_041566 transcript:EOY33664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring/U-Box superfamily protein, putative isoform 1 MAIAGLHNVSVLDNTFLRESQSQASRRQENGSTRASSLLQMWRELEDEHVVSHAQERASERMLQQRSDDLSLTDLLDSRNSEHSGVSEDVSVSENEFGQWSPDRFGSQNGNEDSSNFNFEHSSDLGEVERERVRQIFREWMNSGGRERTSNVSRRNNSSRAQWLGETEQERVRIIREWVQMNSQQRGACVDNREEQAADVGGQIERVLDGLVVNQNEGRTEHVRRGIRKLCGRQALLDMLKKAERERQTELQGLLEHRAVSNFAHRNRIQSLLRGRFLRNDRMAEGDRSTSIAASELGLLRQKQTVSGLREGFFSRLDNSGCGPASGNHSETSSNADTNGNRNEQNQVNNSHEVIDGLNGQSENENEETDNQRFLDGRTDLEGDVVEDLSWQETSARVEEWREQVSESVVRDWQWSASVESNESRDVIGQVLDRDWQQNLANESPLEALQNESGELIHLREDIEASYEHSPQDLERSGASGLMNDIENLESNPVENIDGQESASQVEQWQEEDQENEEVHWQGSSVEYNELMDGNEEASDMPREDGGNEDGGYDHLQEALDAQHEDGGLHETTRNWLEGSSNQEPVTMGRTDAFYFPDDDNVYSMELRELLSRRSVSTLLRSGFRESLDQLIQSYVERQNHASIDWELHETSPTPASLEQDLEQQSRDQNEGQGDAVEGPPLALPSPRMPPTQPLWDQDSHHYNWAPHDMHQRFGIEWEIVNDLRIDMARLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSAGSQGVIDDSLPKDAANWDNVRKGICCICCEGNIDSLLYRCGHMCTCSKCANELVQGGGKCPMCRAPVV >EOY33661 pep chromosome:Theobroma_cacao_20110822:9:38427779:38434523:-1 gene:TCM_041566 transcript:EOY33661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring/U-Box superfamily protein, putative isoform 1 MAIAGLHNVSVLDNTFLRESQSQASRRQENGSTRASSLLQMWRELEDEHVVSHAQERASERMLQQRSDDLSLTDLLDSRNSEHSGVSEDVSVSENEFGQWSPDRFGSQNGNEDSSNFNFEHSSDLGEVERERVRQIFREWMNSGGRERTSNVSRRNNSSRAQWLGETEQERVRIIREWVQMNSQQRGACVDNREEQAADVGGQIERVLDGLVVNQNEGRTEHVRRGIRKLCGRQALLDMLKKAERERQTELQGLLEHRAVSNFAHRNRIQSLLRGRFLRNDRMAEGDRSTSIAASELGLLRQKQTVSGLREGFFSRLDNSGCGPASGNHSETSSNADTNGNRNEQNQVNNSHEVIDGLNGQSENENEETDNQRFLDGRTDLEGDVVEDLSWQETSARVEEWREQVSESVVRDWQWSASVESNESRDVIGQVLDRDWQQNLANESPLEALQNESGELIHLREDIEASYEHSPQDLERSGASGLMNDIENLESNPVENIDGQESASQVEQWQEEDQENEEVHWQGSSVEYNELMDGNEEASDMPREDGGNEDGGYDHLQEALDAQHEDGGLHETTRNWLEGSSNQEPVTMGRTDAFYFPDDDNVYSMELRELLSRRSVSTLLRSGFRESLDQLIQSYVERQNHASIDWELHETSPTPASLEQDLEQQSRDQNEGQGDAVEGPPLALPSPRMPPTQPLWDQDSHHYNWAPHDMHQRFGIEWEIVNDLRIDMARLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSAGSQGVIDDSLPKDAANWDNVRKGICCICCEGNIDSLLYRCGHMCTCSKCANELVQGGGKCPMCRAPVVEVIRAYSIL >EOY33665 pep chromosome:Theobroma_cacao_20110822:9:38429173:38434284:-1 gene:TCM_041566 transcript:EOY33665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring/U-Box superfamily protein, putative isoform 1 MAIAGLHNVSVLDNTFLRESQSQASRRQENGSTRASSLLQMWRELEDEHVVSHAQERASERMLQQRSDDLSLTDLLDSRNSEHSGVSEDVSVSENEFGQWSPDRFGSQNGNEDSSNFNFEHSSDLGEVERERVRQIFREWMNSGGRERTSNVSRRNNSSRAQWLGETEQERVRIIREWVQMNSQQRGACVDNREEQAADVGGQIERVLDGLVVNQNEGRTEHVRRGIRKLCGRQALLDMLKKAERERQTELQGLLEHRAVSNFAHRNRIQSLLRGRFLRNDRMAEGDRSTSIAASELGLLRQKQTVSGLREGFFSRLDNSGCGPASGNHSETSSNADTNGNRNEQNQVNNSHEVIDGLNGQSENENEETDNQRFLDGRTDLEGDVVEDLSWQETSARVEEWREQVSESVVRDWQWSASVESNESRDVIGQVLDRDWQQNLANESPLEALQNESGELIHLREDIEASYEHSPQDLERSGASGLMNDIENLESNPVENIDGQESASQVEQWQEEDQENEEVHWQGSSVEYNELMDGNEEASDMPREDGGNEDGGYDHLQEALDAQHEDGGLHETTRNWLEGSSNQEPVTMGRTDAFYFPDDDNVYSMELRELLSRRSVSTLLRSGFRESLDQLIQSYVERQNHASIDWELHETSPTPASLEQDLEQQSRDQNEGQGDAVEGPPLALPSPRMPPTQPLWDQDSHHYNWAPHDMHQRFGIEWEIVNDLRIDMARLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSAGSQGVFLLSLHIC >EOY33663 pep chromosome:Theobroma_cacao_20110822:9:38427846:38434753:-1 gene:TCM_041566 transcript:EOY33663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring/U-Box superfamily protein, putative isoform 1 MAIAGLHNVSVLDNTFLRESQSQASRRQENGSTRASSLLQMWRELEDEHVVSHAQERASERMLQQRSDDLSLTDLLDSRNSEHSGVSEDVSVSENEFGQWSPDRFGSQNGNEDSSNFNFEHSSDLGEVERERVRQIFREWMNSGGRERTSNVSRRNNSSRAQWLGETEQERVRIIREWVQMNSQQRGACVDNREEQAADVGGQIERVLDGLVVNQNEGRTEHVRRGIRKLCGRQALLDMLKKAERERQTELQGLLEHRAVSNFAHRNRIQSLLRGRFLRNDRMAEGDRSTSIAASELGLLRQKQTVSGLSNIMETVSCSREGFFSRLDNSGCGPASGNHSETSSNADTNGNRNEQNQVNNSHEVIDGLNGQSENENEETDNQRFLDGRTDLEGDVVEDLSWQETSARVEEWREQVSESVVRDWQWSASVESNESRDVIGQVLDRDWQQNLANESPLEALQNESGELIHLREDIEASYEHSPQDLERSGASGLMNDIENLESNPVENIDGQESASQVEQWQEEDQENEEVHWQGSSVEYNELMDGNEEASDMPREDGGNEDGGYDHLQEALDAQHEDGGLHETTRNWLEGSSNQEPVTMGRTDAFYFPDDDNVYSMELRELLSRRSVSTLLRSGFRESLDQLIQSYVERQNHASIDWELHETSPTPASLEQDLEQQSRDQNEGQGDAVEGPPLALPSPRMPPTQPLWDQDSHHYNWAPHDMHQRFGIEWEIVNDLRIDMARLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSAGSQGVIDDSLPKDAANWDNVRKGICCICCEGNIDSLLYRCGHMCTCSKCANELVQGGGKCPMCRAPVVEVIRAYSIL >EOY33662 pep chromosome:Theobroma_cacao_20110822:9:38427872:38435147:-1 gene:TCM_041566 transcript:EOY33662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ring/U-Box superfamily protein, putative isoform 1 MAIAGLHNVSVLDNTFLRESQSQASRRQENGSTRASSLLQMWRELEDEHVVSHAQERASERMLQQRSDDLSLTDLLDSRNSEHSGVSEDVSVSENEFGQWSPDRFGSQNGNEDSSNFNFEHSSDLGEVERERVRQIFREWMNSGGRERTSNVSRRNNSSRAQWLGETEQERVRIIREWVQMNSQQRGACVDNREEQAADVGGQIERVLDGLVVNQNEGRTEHVRRGIRKLCGRQALLDMLKKAERERQTELQGLLEHRAVSNFAHRNRIQSLLRGRFLRNDRMAEGDRSTSIAASELGLLRQKQTVSGLREGFFSRLDNSGCGPASGNHSETSSNADTNGNRNEQNQVNNSHEVIDGLNGQSENENEETDNQRFLDGRTDLEGDVVEDLSWQETSARVEEWREQVSESVVRDWQWSASVESNESRDVIGQVLDRDWQQNLANESPLEALQNESGELIHLREDIEASYEHSPQDLERSGASGLMNDIENLESNPVENIDGQESASQVEQWQEEDQENEEVHWQGSSVEYNELMDGNEEASDMPREDGGNEDGGYDHLQEALDAQHEDGGLHETTRNWLEGSSNQEPVTMGRTDAFYFPDDDNVYSMELRELLSRRSVSTLLRSGFRESLDQLIQSYVERQNHASIDWELHETSPTPASLEQDLEQQSRDQNEGQGDAVEGPPLALPSPRMPPTQPLWDQDSHHYNWAPHDMHQRFGIDSKLQNAFSHF >EOY32700 pep chromosome:Theobroma_cacao_20110822:9:32917905:32921246:1 gene:TCM_040727 transcript:EOY32700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein METTRTHVYGFSTRVPATALLIGTQSNVATSEFACGPLNLNVTSPAIALEEKVEHLSENLGKIRDEIHGPLKPDSSNWTSFAGTIGYTAPDEKCDVYSFGVPTMDILIGSHPGDLISCFLSSSSAPEANDQQILLKDVIDQRLSPPVRQVAKDVVSTTKLAFTCLNGNPKFRPTMR >EOY29507 pep chromosome:Theobroma_cacao_20110822:9:1394816:1397951:-1 gene:TCM_037020 transcript:EOY29507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MQSSTPKTKLLILLRSLTTSATSSYYQRAAAEHGCLTLLQSCNTFTNLLQIQTQILKLGFQNNPLILTNFASKSSDLNSIDYAHCFLFSPHSNTLYYDAFLFNTVIKAYAQTGNLKAKALWVFNFMLECEVLPNNFTYPFVLKACAGIGDLNLGMSVHGSLLKFGFDVNNHVLNTLVHMYGSCKGGIQFGRKVFDEMTKKDSVPWSAMIGGYARLGRSTDAVDLFGQMQIEGICPDEITMVAVLCACTDLGALELGRWVESFIEKKGLNKSVELNNAIIDMFAKCGDVDKALKLFRTMSERSIVSWTSVIVGLAMHGRGLQAVSLFHEMIRAGMVPDDVVFIGLLSACSHSGLVDKGKEFFDLMRKEFGILPKIEHYGCMVDMLCRAGLVREAVEFVQKMPNEPNSIIWRTLINACRTHGELKLGESIARQLIENEPMREANYVLLSNIYAKMFHWEKKTKIREVMDKKGMRKIPGSTMIELNNKIYKFVAGDMSHNQYKEIFEKVDEMGRQMKRAGYVPSTSEVMLDIDEEDKEDNLNRHSEKLAIAFALINTPPGTPIRIVKNLRVCNDCHSATKLISKIYNREIIARDRNRFHHFKDGLCSYMMTVHVFRLKYDLLRWSEITSVDPPGEYPLEIYAEATMILKP >EOY30607 pep chromosome:Theobroma_cacao_20110822:9:5158048:5158720:1 gene:TCM_037758 transcript:EOY30607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMHVVLAKVAVDVNREEFANIIEEYSIPSNCHTAARMRQATKMGMRMYVCADGYCIEHGDKDALWQLTVGWYRQSR >EOY34683 pep chromosome:Theobroma_cacao_20110822:9:41782671:41790956:1 gene:TCM_042275 transcript:EOY34683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovate family protein 4, putative MGNYRFRFSDMMPNAWFYKLKDMSKTRKQYRSHPLKKKPPPSSSSSQKPHDSKPRSYYFTTEPIKAGRFYNSPVHLEASGTRFPDPPRKSLKRRGRRRTVYKPSPRVVSSVSAGFSCHAVLNSVPTNSSLTQSPDYSLSPFESSPEPESLLSESEDDDFFAPAESYDLPVSYSKSYNCKLSSSTTDIIIDMNNESHATKFDMVDGFDAISELELPPILTKPAKCNQKADEVTKLTTSSTRLEETKARRPVSVKIVKEESIRTQKEPRGSPLVRKSFANSPGIRLRANSPRIASKKIQAYARKSVSSSTYLKSRNRSIAGSLAVVKSSLDPQRDFRDSMVEMIVENNIRASKDLEDLLACYLSLNSNQYHDLIIEAFEQIWLDMTNLRL >EOY31596 pep chromosome:Theobroma_cacao_20110822:9:10297516:10298100:-1 gene:TCM_038566 transcript:EOY31596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPKLIIYISSFGKHRISKLQIFLALDMKKACFLSMNQRTQRTRMYRLVLRKKVKFVKVSVHRNLCTLRRIVPGCEEADLETMFQRSIEHIIKLKSLVYVLRSLANSYGV >EOY34385 pep chromosome:Theobroma_cacao_20110822:9:40867120:40877845:-1 gene:TCM_042071 transcript:EOY34385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin (PH) and lipid-binding START domains-containing protein isoform 1 MAGSQNGDLKMEGWLYIIRSNRIGLQYSRKRYFVLEDHLLKSFKSIPISNLQEPGRSAIIDSCIRVTDNGRESIHRKVFFIFTLYNSSNHNDQLKLGASSPEEAARWIHSFQQAALKGGPYPANDVACSKSRWQSFRSSGSSHEIHNNSIDWTLYSSTKMDRVTSDVVAPSSWTIFGCQNGLRLFKEAKDRDSHGKWDDHPAIMAVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGSVVEHLDGHTDIVHKQLYSDWLPWGMRRRDLLLRRYWRREDDGTYVILYHSVFHKKCPPQKSCVRACLKSGGYVISPVNEGKHSVVKHMLAIDWKFWKSYLRTSAARSITIRMLERVAALRELFKAKQGKYPSADLSSRELIRNVRLHQSEEDGMVDMCTQIEAGKIKENMCEVMERAPSEHSSLVGLNDAADEFFDVPEPTDYDQSEDGWASDFGPEGYSQDTRHPKLSTAAVFVKKLHDLAVQKRGYVDLQDMMREDGIFCSYGNTLPKDPTCTLPCSWTAAEPSTFLIRGENYLEDRKKFKATGTLMQMVAADWLRSDKREDDLGGRPGGIVQKYAAQGGPEFFFIINIQVPGSTTYSLALYYMMNTPVEDSPLLQNFINGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEINYFRGKNYLELGIDIGSSTVARGVVNLVLGYLNNLVIEMAFLIQANTEEELPEYLLGTCRLNHLDATKSIPVKAIHFVVMLDVTSTQKQKLSVNTKSDDC >EOY34388 pep chromosome:Theobroma_cacao_20110822:9:40868111:40875587:-1 gene:TCM_042071 transcript:EOY34388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin (PH) and lipid-binding START domains-containing protein isoform 1 MAGSQNGDLKMEGWLYIIRSNRIGLQYSRKRYFVLEDHLLKSFKSIPISNLQEPGRSAIIDSCIRVTDNGRESIHRKVFFIFTLYNSSNHNDQLKLGASSPEEAARWIHSFQQAALKGGPYPANDVACSKSRWQSFRSSGSSHEIHNNSIDWTLYSSTKMDRVTSDVVAPSSWTIFGCQNGLRLFKEAKDRDSHGKWDDHPAIMAVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGSVVEHLDGHTDIVHKQLYSDWLPWGMRRRDLLLRRYWRREDDGTYVILYHSVFHKKCPPQKSCVRACLKSGGYVISPVNEGKHSVVKHMLAIDWKFWKSYLRTSAARSITIRMLERVAALRELFKAKQGKYPSADLSSRELIRNVRLHQSEEDGMVDMCTQIEAGKIKENMCEVMERAPSEHSSLVGLNDAADEFFDVPEPTDYDQSEDGWASDFGPEGYSQDTRHPKLSTAAVFVKKLHDLAVQKRGYVDLQDMMREDGIFCSYGNTLPKDPTCTLPCSWTAAEPSTFLIRGENYLEDRKKFKATGTLMQMVAADWLRSDKREDDLGGRPGGIVQKYAAQGGPEFFFIINIQVPGSTTYSLALYYMMNTPVEDSPLLQNFINGDDAYRNSRFKLIPYISKVDATKSIPVKV >EOY34386 pep chromosome:Theobroma_cacao_20110822:9:40868506:40873571:-1 gene:TCM_042071 transcript:EOY34386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin (PH) and lipid-binding START domains-containing protein isoform 1 MDRVTSDVVAPSSWTIFGCQNGLRLFKEAKDRDSHGKWDDHPAIMAVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGSVVEHLDGHTDIVHKQLYSDWLPWGMRRRDLLLRRYWRREDDGTYVILYHSVFHKKCPPQKSCVRACLKSGGYVISPVNEGKHSVVKHMLAIDWKFWKSYLRTSAARSITIRMLERVAALRELFKAKQGKYPSADLSSRELIRNVRLHQSEEDGMVDMCTQIEAGKIKENMCEVMERAPSEHSSLVGLNDAADEFFDVPEPTDYDQSEDGWASDFGPEGYSQDTRHPKLSTAAVFVKKLHDLAVQKRGYVDLQDMMREDGIFCSYGNTLPKDPTCTLPCSWTAAEPSTFLIRGENYLEDRKKFKATGTLMQMVAADWLRSDKREDDLGGRPGGIVQKYAAQGGPEFFFIINIQVPGSTTYSLALYYMMNTPVEDSPLLQNFINGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEINYFRGKNYLELGIDIGSSTVARGVVNLVLGYLNNLVIEMAFLIQANTEEELPEYLLGTCRLNHLDATKSIPVKV >EOY34387 pep chromosome:Theobroma_cacao_20110822:9:40868233:40875836:-1 gene:TCM_042071 transcript:EOY34387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin (PH) and lipid-binding START domains-containing protein isoform 1 MAGSQNGDLKMEGWLYIIRSNRIGLQYSRKRYFVLEDHLLKSFKSIPISNLQEPGRSAIIDSCIRVTDNGRESIHRKVFFIFTLYNSSNHNDQLKLGASSPEEAARWIHSFQQAALKGGPYPANDVACSKSRWQSFRSSGSSHEIHNNSIDWTLYSSTKMDRVTSDVVAPSSWTIFGCQNGLRLFKEAKDRDSHGKWDDHPAIMAVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGSVVEHLDGHTDIVHKQLYSDWLPWGMRRRDLLLRRYWRREDDGTYVILYHSVFHKKCPPQKSCVRACLKSGGYVISPVNEGKHSVVKHMLAIDWKFWKSYLRTSAARSITIRMLERVAALRELFKAKQGKYPSADLSSRELIRNVRLHQSEEDGMVDMCTQIEAGKIKENMCEVMERAPSEHSSLVGLNDAADEFFDVPEPTDYDQSEDGWASDFGPEGYSQDTRHPKLSTAAVFVKKLHDLAVQKRGYVDLQDMMREDGIFCSYGNTLPKDPTCTLPCSWTAAEPSTFLIRGENYLEDRKKFKATGTLMQMVAADWLRSDKREDDLGGRPGGIVQKYAAQGGPEFFFIINIQVPGSTTYSLALYYMMNTPVEDSPLLQNFINGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEINYFRGKNYLELGIDIGSSTVARGVVNLVLGYLNNLVIEMAFLIQANTEEELPEYLLGTCRLNHLDATKSIPVKV >EOY32294 pep chromosome:Theobroma_cacao_20110822:9:26227102:26234336:1 gene:TCM_040032 transcript:EOY32294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALQKFVVVEGKSIKRLPLFDGPNYPYWSTKMPIYIRVIDYEMWDVIVDGPYIPSTMNVVTNERISKPRVEWTKIRNKNKSNKKKVIICFECKKLGHFISKYPLLKKETPKKNRKSKKAMVAATWSNSDASSSEVDEGKVDERANLCLMAKDDESEVSSILCDISIDEFQEEYECLYDKFEKLASKYKALKRKITSLENDLEKIKYYFNSVFEQRNLLQTELKHSRIDFELLKLELENKNEALQKAIDENIALKGLTEEIQPLGEILMVSHLGVMYVAK >EOY30177 pep chromosome:Theobroma_cacao_20110822:9:3675972:3680858:-1 gene:TCM_037476 transcript:EOY30177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-dependent monooxygenase 1 MAKIAIIGAGVSGIAAAKQLSHHNPVVFEASDSIGGVWKSCSYNSTKLQSSRKDYQFSDFQWRNRDDPSFPSHVEILDYLESYAKHFDVLKFVKFNSKVVEVRFVGERETIELTGNAEEHGSPILPGHPVWEIAVQTNDSENLKWHAFEFLVVCIGKYGDIPKIPSFPPDKGTEMFQGKVLHSIDYCKLDKEAASQLLKGKKVVVVGCRKSAIDLAVECAEANQGPEGQACTMVVRTPHWTVPHYWVWGLPFFLFYSTRASQFLHERPNQSILKTLLCYLLSPMRRGVSKFIESYLLWKLPLQKYGLKPDHPFEEDYASCQMAIMPENFFTEADKGNIVIKRASKWWFWKEGIEFDDNTKMEADVVILATGYDGKKKLKSILPEPFRSLIEYPSGIMPLYRGTIHPLVPNMAFVGYIESVSNLHTSELRSMWLARLVDEKFKLPSVENMLEQISRETEVMRRTSRFYKRHCISTFSINHSDAMCEEMGWNSWRKKSWLSEAFSPYGSQDYGQEN >EOY33514 pep chromosome:Theobroma_cacao_20110822:9:37915067:37915999:-1 gene:TCM_041479 transcript:EOY33514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSVPNYKFQDTKQGIQISVNTISTDLDHLHDSFLHQGREEEEEQDEEEELIRVVLRRNPSGLSTCFDGFRAEKQNRELDGKVRKTFSMRADQEEKQSSSAVERAVRRAFSMRASASVNQVYRRMDHHYDPVPVGDEENTVMLQAEAESDKKRNKILEACRRFFGF >EOY31946 pep chromosome:Theobroma_cacao_20110822:9:18094723:18095528:1 gene:TCM_039315 transcript:EOY31946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKLFQLFCIFLTLLVFISGITLPVLVNGAQCEEDMGPCDDRCNSKHSNGKGCSCDMSSNVARCKSMYESDGLSPLKQKRCNVGIGPCSAACNDQCCEQHCAYLFGGLQDGHGVCSRPGPIVYNQCICYFNC >EOY30929 pep chromosome:Theobroma_cacao_20110822:9:6317727:6321504:-1 gene:TCM_037971 transcript:EOY30929 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein, putative MTIDDDSSVYVGGLPYDATEASIRRVFSLYGAVVAVKIVNDHTTRGKCYGFVTFTNPRSAYDAINDMNGRTIDGRVVRVNEVTTRGGRLNFNRDRSRRGEWDRGRERERDHDRDRERYRDRYSDRSEEHDQSRDHDVGRGRGYERHDHDRAGEYSLDRDLNRDVEDNVRGESRDHIQDWESHVLSLDQNREIDGSGTNGYHRSLDEDKEQQLRWNGSINNDHHSRGLSSDSSDDYNQMKNELEGLIQSREELKQEISLMEGRLEERQEIVLDLQKKSKTLEDSLISAKKLSSRRKMQLTKLHKCFLQVKEYREKLKSCEQELQSLVDSAILESEDDVAVRGGTLANGIA >EOY32450 pep chromosome:Theobroma_cacao_20110822:9:29808330:29814965:1 gene:TCM_040388 transcript:EOY32450 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein / zinc finger family protein isoform 2 MLGSNPALPAASRSSAVLPSFPDGSSPPAVKTRLCNKFNTPEGCKFGDKCHFAHGEWELGKPTGPAYEDPRAMGPMPGRMAGRMEPPSQGLGAAASFGASATAKISIDASLAGAIIGKNGVNSKHICRVTGAKLSIRENESDPSSRNIELEGTFDQIKQASAMVRELILNVGSASGTSMKNPAMSGSGAANNFKTKLCENFSKGSCTFGDRCHFAHGTEELRKPGM >EOY32449 pep chromosome:Theobroma_cacao_20110822:9:29800359:29814968:1 gene:TCM_040388 transcript:EOY32449 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein / zinc finger family protein isoform 2 MEFGGGRKRGRHEAALNGNGGLKKSKQEMESFSTGIGSKSKPCTKFFSTSGCPFGESCHFLHYVPGGIKAVSQMLGSNPALPAASRSSAVLPSFPDGSSPPAVKTRLCNKFNTPEGCKFGDKCHFAHGEWELGKPTGPAYEDPRAMGPMPGRMAGRMEPPSQGLGAAASFGASATAKISIDASLAGAIIGKNGVNSKHICRVTGAKLSIRENESDPSSRNIELEGTFDQIKQASAMVRELILNVGSASGTSMKNPAMSGSGAANNFKTKLCENFSKGSCTFGDRCHFAHGTEELRKPGM >EOY30205 pep chromosome:Theobroma_cacao_20110822:9:3769507:3774043:-1 gene:TCM_037488 transcript:EOY30205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 7, putative MPNNWIEFLPLAALAFLSSCSAAKVEYDATAIVIDGQRKIINAGAIHYPRSTEEYDFSGNLNFIKFFQLIQEAGLYAILRITLDLIGFPMWLHNTPGIQLRNDNEIYKREMEIFTTNIVDMVIRAKLFAPQGGPIILAQIENEYGNIMEGYGEAGKNCIQRCAQMAVAQNVGVPWFMCQQADAPQSMINTCNGFYCDQFTANNPKSPKMWTENWTGWFKLWGGKDPHRAAEEPAFAVARFFHQGGILNNYYMYHGGTNFGRTAGGNLNQHKYGHLKQLHAALRVGEKALTTGTVTTKNYGKDVDLTMYANNTTGERSCFLSNVNGQNDVDVDLDQDGKYFVPAWSVGIIQNCNKEIYNSAKLSSQTSVMIRNLNYKDETEDANGDANEGDADVPETEEQAPKLNWTWAPEAMRDTLHGKGRFRATVLDQKEATLDISDYLWYMTSSNESKFRGMGKGHVWVNGYSIGRYWPSQIADANGCSDTCDYRGSYKDNRCRTNCGNPSQRWYHIPRSFLNSNGANTLILFEEIGGNTTDISFQIVTVGAICGFAYECSTLELSCQGGRTIADIQFASFGDPQGSCGSYKAGSFQATTSLAAVEKAFVGKPSCSIDVSEATFGLRNLGVTKKLAVEAVCG >EOY34581 pep chromosome:Theobroma_cacao_20110822:9:41508530:41509614:1 gene:TCM_042203 transcript:EOY34581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein ZFPM1 MDSASGGGAALNPNSTNEGISLASASAPPPTGTQQGGGGGGGESSSSPAPPSRYESQKRRDWNTFLQYLKNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHITGCPYFGHPNPPAPCSCPLKQAWGSLDALIGRLRAAYEENGGRPESNPFGAKAVRIYLREVREGQAKARGIPYEKKKRKRPTVTTTAVGVNVSVAATQAVDGGGTGAVGGGDDSNNGAGTVANAAAATAAATATTTSV >EOY34241 pep chromosome:Theobroma_cacao_20110822:9:40444227:40445049:1 gene:TCM_041980 transcript:EOY34241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRLFFFFWLIYHVSLETELNLIFQLKTCLNINPISFKGRIIMVDGDFHLTASSNGPVKGALWRSMETLIALHRHWTSQFRVHSGGWEIDLTALHHYWAGRSRVHCSGLKLSPHCIPFGPIN >EOY33241 pep chromosome:Theobroma_cacao_20110822:9:36076749:36079974:-1 gene:TCM_041194 transcript:EOY33241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCSTLWRNIINPLFPTNRIFLYVFSRFSYVVANGISLSGTMSGSKLLGFVSPLSTSGLHVFSTMASFSICPLAEQSLIGKRYFRIFRLADRVG >EOY33461 pep chromosome:Theobroma_cacao_20110822:9:37609035:37619428:1 gene:TCM_041433 transcript:EOY33461 gene_biotype:protein_coding transcript_biotype:protein_coding description:CER1 protein isoform 2 MATKPGILSDWPWQSIGNFKYALLVPGAIYSTYSFITTAENERNLFMFLVFPFLLFRFAHYQLWISVSRYRTAKGNNRIVDKSLDFEQVDRERSWDDQIVLNGILFYAAGVVLRDQFNMPFFKADGTIITLLLHWGPAEFLYYWLHRALHHHYLYARYHSHHHSSIVTEPNTSFVHPFAEVISYYVLLLIPILTSIYIGKASIIGVFTYVTVVDFLNNMGHCNFEFIPEWAFTIFPPLKYIVYTPSFHSLHHTQFRTNYSLFCPFYDYVYGTVDKSTDSLYETTIKREAESPDVVHLTHLTTPDSIYHLPLGFPSLSSKPQASKWYLLFMWPVTLWSVLLTWIYGHAFISERNAFKKLKLQAWVVPKYNMQYFSKWQRETISKLIGEAIQDADKKGAKVLSLGLLNQHEEFSRNIELYIKRHPQLKIKVVDGSSLAAAIVLNCIPKETTQVLLRGRISKDACLLVQALCQKGIQVVTLQEDDYKKLLKYDNKLESNLVPSARYDVKVWLVGDGLTDKEQSKAPKGTLFIPFSIFPPNQIRKDCYYHTTPAMEAPKSVENMHSCEDWLPRRVMSASRVAGIIHASEGWEVNECGGTTFSIDKVWEASLEHGFRPLSIST >EOY33462 pep chromosome:Theobroma_cacao_20110822:9:37609349:37613525:1 gene:TCM_041433 transcript:EOY33462 gene_biotype:protein_coding transcript_biotype:protein_coding description:CER1 protein isoform 2 MFLVFPFLLFRFAHYQLWISVSRYRTAKGNNRIVDKSLDFEQVDRERSWDDQIVLNGILFYAAGVVLRDQFNMPFFKADGTIITLLLHWGPAEFLYYWLHRALHHHYLYARYHSHHHSSIVTEPNCPFYDYVYGTVDKSTDSLYETTIKREAESPDVVHLTHLTTPDSIYHLPLGFPSLSSKPQASKWYLLFMWPVTLWSVLLTWIYGHAFISERNAFKKLKLQAWVVPKYNMQYFSKWQRETISKLIGEAIQDADKKGAKVLSLGLLNQHEEFSRNIELYIKRHPQLKIKVVDGSSLAAAIVLNCIPKETTQVLLRGRISKDACLLVQALCQKGIQVVTLQEDDYKKLLKYDNKLESNLVPSARYDVKVWLVGDGLTDKEQSKAPKGTLFIPFSIFPPNQIRKDCYYHTTPAMEAPKSVENMHSCEVSSYLFDQNFLIQMEFKKFDSQTMGCALSTTFALFFFITCIIALQSQEMNLWKMNGISMVHGDHSFYFSCIIC >EOY29322 pep chromosome:Theobroma_cacao_20110822:9:743259:757549:1 gene:TCM_036898 transcript:EOY29322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MGIYLSTPKTEKSSEDGENDRVRYGLSSMQGWRANMEDAHAAYPDLDASTSFFGVYDGHGGKVVAKFCAKYLHQQVLKHEAYSAGDIGISVQRAFFRMDEMMRGQRGWRELSILGDKINKFTGMIEGLIWSPRSGDGNDQVDDWAFEEGPHSDFSGPTSGCTACVAILRNNQLLVANAGDSRCVISRKGQAYNLSRDHKPDLEAEKERILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQIVTANPDINTVELCDDDDFIVLACDGIWDCMSSQTLVDFIHEQLKSESKLSVVCERVLDRCLAPSTATGEGCDNMTMILVQFKKPIKSASSADEQSSHSNPVDTESKPEASQGK >EOY29323 pep chromosome:Theobroma_cacao_20110822:9:745628:757549:1 gene:TCM_036898 transcript:EOY29323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MDEMMRGQRGWRELSILGDKINKFTGMIEGLIWSPRSGDGNDQVDDWAFEEGPHSDFSGPTSGCTACVAILRNNQLLVANAGDSRCVISRKGQAYNLSRDHKPDLEAEKERILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQIVTANPDINTVELCDDDDFIVLACDGIWDCMSSQTLVDFIHEQLKSESKLSVVCERVLDRCLAPSTATGEGCDNMTMILVQFKKPIKSASSADEQSSHSNPVDTESKPEASQGK >EOY31855 pep chromosome:Theobroma_cacao_20110822:9:16581433:16582497:1 gene:TCM_039163 transcript:EOY31855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYCIFMLLKALFCSNHFSFLRFLYPLSFIYVHEPFETNETIISQVHFVGPDCQILGGLRSTYVNFYWGGNGSCSVSWLYGF >EOY29754 pep chromosome:Theobroma_cacao_20110822:9:2285357:2291347:1 gene:TCM_037198 transcript:EOY29754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF810) [Source:Projected from Arabidopsis thaliana (AT2G25800) TAIR;Acc:AT2G25800] MAHLFRDLSLGHSKRESTPPPPPTQPQPMPTKLTSTDLQSPLGQLASQLSDSDLRLTAYDVFLAVCRTSSSKPLSTSASFNSDSPSYNSPGQNHNHNHSPNSPALQRSLTSAAASKMKKALGLKSPGSSSGSKKSPGSGPGSGQGKSKRPPTVGELMRIQMRVPETVDSRVRRALLRIGGGLVGRRIESVVLPLELLQQLKQSDFTDQQEYDAWQKRNLKVLEAGLLLHPRVPLDKSHNASQRLRQAIHAALDRPIETGKNNESMQVLRSAVMSLASRSDGSFSDSCHWADGIPLNLRLYEMLLDTCFDINDETSIIEEVDELMEHIKKTWVILGINQMLHNLCFTWVLFHRFVATGQVEMDLLYAADSQLAEVAKDAKTTKDPEYSKILSSTLSSILGWAEKRLLAYHDTFDSVNMYTMQGIVSLGVSAAKILVEDVSSEYRRKRRGEVDVARSRIDTYIRSSLRTAFAQRMEKADSSRRASKNQPNPLPVLAILAKDVGDLAIHEKQVFSPILKGWHPLAAGVAVATLHACYANEIKQFISGITELTPDAVQVLRAADKLEKDLVQIAVEDAVDSDDGGKAIIREMPPYEAEAAIANLVKGWIKTRLDRLKEWVDRNLQQEVWNPQANQEGFAPSAVEILRIIDETLDAFFQLPIPTHPALLPDLMAGLDKCLQYYVIKAKSGCGSRNTYIPTMPALTRCETGSKFQGVWKKKEKSQNSQKRNSQVATMNGDNSFGMPQLCVRINTLHRIRTEMEVLEKRIVTHLRNCESAHVEDFSNGLSKKFELTPAACVEGVQQLSEAVAYKIVFRDLSHVLWDGLYIGEPSSSRIDPLLQELERNLLTISETVHERVRTRIITDIMKASCDGFLLVLLAGGPSRSFSRQDSQIIEDDFKALKDLFWANGDGLPADLIDKFSATVGGVLPLFRTDTESLIERFRRVTLETYSSSARSRLPLPPTSGQWNPTEPNTLLRVLCYRNDDTASKFLKKTYNLPKKL >EOY29753 pep chromosome:Theobroma_cacao_20110822:9:2285339:2291676:1 gene:TCM_037198 transcript:EOY29753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF810) [Source:Projected from Arabidopsis thaliana (AT2G25800) TAIR;Acc:AT2G25800] MAHLFRDLSLGHSKRESTPPPPPTQPQPMPTKLTSTDLQSPLGQLASQLSDSDLRLTAYDVFLAVCRTSSSKPLSTSASFNSDSPSYNSPGQNHNHNHSPNSPALQRSLTSAAASKMKKALGLKSPGSSSGSKKSPGSGPGSGQGKSKRPPTVGELMRIQMRVPETVDSRVRRALLRIGGGLVGRRIESVVLPLELLQQLKQSDFTDQQEYDAWQKRNLKVLEAGLLLHPRVPLDKSHNASQRLRQAIHAALDRPIETGKNNESMQVLRSAVMSLASRSDGSFSDSCHWADGIPLNLRLYEMLLDTCFDINDETSIIEEVDELMEHIKKTWVILGINQMLHNLCFTWVLFHRFVATGQVEMDLLYAADSQLAEVAKDAKTTKDPEYSKILSSTLSSILGWAEKRLLAYHDTFDSVNMYTMQGIVSLGVSAAKILVEDVSSEYRRKRRGEVDVARSRIDTYIRSSLRTAFAQRMEKADSSRRASKNQPNPLPVLAILAKDVGDLAIHEKQVFSPILKGWHPLAAGVAVATLHACYANEIKQFISGITELTPDAVQVLRAADKLEKDLVQIAVEDAVDSDDGGKAIIREMPPYEAEAAIANLVKGWIKTRLDRLKEWVDRNLQQEVWNPQANQEGFAPSAVEILRIIDETLDAFFQLPIPTHPALLPDLMAGLDKCLQYYVIKAKSGCGSRNTYIPTMPALTRCETGSKFQGVWKKKEKSQNSQKRNSQVATMNGDNSFGMPQLCVRINTLHRIRTEMEVLEKRIVTHLRNCESAHVEDFSNGLSKKFELTPAACVEGVQQLSEAVAYKIVFRDLSHVLWDGLYIGEPSSSRIDPLLQELERNLLTISETVHERVRTRIITDIMKASCDGFLLVLLAGGPSRSFSRQDSQIIEDDFKALKDLFWANGDGLPADLIDKFSATVGGVLPLFRTDTESLIERFRRVTLETYSSSARSRLPLPPTSGQWNPTEPNTLLRVLCYRNDDTASKFLKKTYNLPKKL >EOY32588 pep chromosome:Theobroma_cacao_20110822:9:31778385:31787500:-1 gene:TCM_040590 transcript:EOY32588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCVDYRDLNRVSPKDNFLLPYIDAFIDNTTKYSLFSFMDGFSGYNQIKMDPKDMEKTIVGITDVLETLAAMFKVGMKVDAEPIRISLQELPVHYSNVDEEVDGKPWYYDSLQYAKYRQYPEKAYENDKKIIRR >EOY29989 pep chromosome:Theobroma_cacao_20110822:9:2975436:2981720:1 gene:TCM_037344 transcript:EOY29989 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MYFLGPMEERRVYTSSLLSKTVRSNASCRGRVQVSLLPPSHSLATMARFKAKQPSGAAEKPKKPRMSSAERSAYFARREAAKVLRSVLQGDARRRAVGSIKSLVYSPSVKNKKATFALVCQTLKHLPIIKDVLEAANVLNSRWKRQEELVYIITYDILFGQEISFVGDAEKFLVQRKVSLQSVLAQLLVRKKVKRIEDLIYLYQTPDVSKPRYVRVNTLKMGVDSALIELGKQYMVQKDDLVPDLLKLPPKCDLHDHPLVMNGSVLMQGKASSMVAAALGPEPGWEVLDACAAPGNKTVHLAALMRGKGRVIACELNKERIKRLEDIVRLSGAHNVEVLHGDFLSLDPKDPLYSKVCAILLDPSCSGSGTVAERLDHLLPSYAAGQVANTDEEERLNKLASFQKKALAHALCFPQVERVVYSTCSIHQIENEDVVKSILPLAASHGFQLATPFPQWNRRGLPVFEGSEHLLRTDLVEDKEGFFIALFVRKDRTVLKPDRPDSSQLSKRKRRLNKNKVPTLFGGMFKMWLYCRPNLRIDQKNTP >EOY30483 pep chromosome:Theobroma_cacao_20110822:9:4748764:4753358:1 gene:TCM_037680 transcript:EOY30483 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein MPHVHATVTQAAAYKSTNLYIHDDMTSKMAIIGLQLQQRLPVLAGVSYSCLTSATAVNSVSRLKKWNNGGRLPRRLVLGLGASFWAQYMNMAGNCKSFIASARQKGAVEQVLQNVEWPEQFPFKDEDFQRFDESPDSLFYEAPRFVTHIDDPAIAALTKYYSEVFPPSNTPGVSILDMCSSWVSHFPKGYKQERVVGMGMNEEELKRNPVLTEYVVQDLNLNPNFPFEDNSFDVITNVVSVDYLTKPLDVFKEMRRILKPGGLAIMSFSNRCFWTKAISVWTSTGDTDHAVIVGSYFHYAGGFEPPQAVDISPNPGRSDPMYIVYSRKLSSA >EOY29292 pep chromosome:Theobroma_cacao_20110822:9:668138:669443:-1 gene:TCM_036879 transcript:EOY29292 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MEKHLNAIASSSPATAPTPTTFVQADANTFRDLVQRLTGVASDVEKLPVTIHGRVCSKPSLPVGGGDPTGPRRRPPFKLQERRQHTMRKLEIKLGLTTLRNSPNSQHQYCSSPGQGRRVESPVPSPVTPLGSESLFYSSPGPLSPSSPVVSEEEKAIAGKGFFLHPSPLNTPRGSNPPELLTLFPLSSPSQEKRD >EOY30936 pep chromosome:Theobroma_cacao_20110822:9:6356514:6358643:-1 gene:TCM_037977 transcript:EOY30936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi nucleotide sugar transporter 4 isoform 1 MSAIRFDSTRQYYATSSLVIGYALCSSLLAVINKFAITKFNYPGLLTSLQYLTSALGVWVLGKFGFLHHDPFTLDIAKKFLPAAIVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAIADTAFRRQPCPSKLTFMSLLIILGGAVGYVATDSDFTLTAYSWAFAYLVTITTEMVYIKHMVMNLGLNTWGFVLYNNLLSLMMAPIFWVLTGEYTEVFAALGANAGNWFEPVAFTAVSLSCLFGLLISFFGFAARRAISATAFTVTGVVNKFLTVVINVLIWDKHATPFGLVCLLFTLAGGVLYQQSVTGPPRELTTPKQTNDENENDDVEENQGKSMLGKHASI >EOY30937 pep chromosome:Theobroma_cacao_20110822:9:6356514:6358667:-1 gene:TCM_037977 transcript:EOY30937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi nucleotide sugar transporter 4 isoform 1 MNVALAFSQPFMSISASTTILFQHSKISLFLFPYLTSALGVWVLGKFGFLHHDPFTLDIAKKFLPAAIVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAIADTAFRRQPCPSKLTFMSLLIILGGAVGYVATDSDFTLTAYSWAFAYLVTITTEMVYIKHMVMNLGLNTWGFVLYNNLLSLMMAPIFWVLTGEYTEVFAALGANAGNWFEPVAFTAVSLSCLFGLLISFFGFAARRAISATAFTVTGVVNKFLTVVINVLIWDKHATPFGLVCLLFTLAGGVLYQQSVTGPPRELTTPKQTNDENENDDVEENQGKSMLGKHASI >EOY33567 pep chromosome:Theobroma_cacao_20110822:9:38096214:38103949:1 gene:TCM_041513 transcript:EOY33567 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORN repeat-containing protein isoform 2 MSNEEEQPTEPQQDPEDEEEQQLQQLTVSQQQYPDSDSDSDYSSSSSDDYIEESDSETLTYTRPGDALPETENTPEANIRKYNRVLESKRFKRIQEEEDQDYIYYEDLWDFPEDPENWREEDLKEYWVDAPLEMTKPGWDPVWADEEDWEIVRDEIKAGRDPGIAPFYVPYRKPYPAIPDNHYDISNPKAVIEELDRIEEFLNWVSYIFPDGSSYEGTVWDDLAHGKGVYVAEQGLVRYEGEWLQNNMEGHGVVEVDIPDIEPVPDSKLEAKMRAEGKIISRDFMSSEDREWLEMDVEDSIRLAGGQYEIPFYESDEWVKHFGRKPEKGRYRYAGQWKHGRMHGCGVYEVNERTIYGRFYFGDLLEDAYGCDENISAMHAGIAEVAAAKARMFVNKPDGMVREERGPYGDPQHPYFYEEDDVWMAPGFINQFYEVPDYWKTYVHEVDQEREMWLNSFYKAPLRLPMPAELEYWWSKDETPEFLLINKEPEPDPEDPSKLIYTEDPLILHTPTGRLINYVEDEKHGVRLFWQPPLKEGEDVDPEKAQFLPLGFDEFYGREVIVKGDNIWKRFITAIENALKPGFDKLEKWTEEKKKAGDMKMKLIEKELDLIEAELCLEEAIEDMDEELRMKEKEEQKKVEMGMQEEEDTSVVANQGKKAITKEEVDEDVEEEEEEEEDDDDAPSSFGSVVADRGPIKNDQKGKKPRESPFSSSSLSFASSSLVSAVPSMLQQSILSLKQCRLPLKPHPPSSVENPNDLLKTIDSVSFPPVLPHKGSLRAFNQAHQKVRPQKCSNGRMSQLHSLCKILKCPSATANTRSNPKKPRKHNSFGPHAAPEECSDSILSLHIPVYYLESYTDTKWCRASL >EOY33568 pep chromosome:Theobroma_cacao_20110822:9:38096390:38103889:1 gene:TCM_041513 transcript:EOY33568 gene_biotype:protein_coding transcript_biotype:protein_coding description:MORN repeat-containing protein isoform 2 MSNEEEQPTEPQQDPEDEEEQQLQQLTVSQQQYPDSDSDSDYSSSSSDDYIEESDSETLTYTRPGDALPETENTPEANIRKYNRVLESKRFKRIQEEEDQDYIYYEDLWDFPEDPENWREEDLKEYWVDAPLEMTKPGWDPVWADEEDWEIVRDEIKAGRDPGIAPFYVPYRKPYPAIPDNHYDISNPKAVIEELDRIEEFLNWVSYIFPDGSSYEGEWLQNNMEGHGVVEVDIPDIEPVPDSKLEAKMRAEGKIISRDFMSSEDREWLEMDVEDSIRLAGGQYEIPFYESDEWVKHFGRKPEKGRYRYAGQWKHGRMHGCGVYEVNERTIYGRFYFGDLLEDAYGCDENISAMHAGIAEVAAAKARMFVNKPDGMVREERGPYGDPQHPYFYEEDDVWMAPGFINQFYEVPDYWKTYVHEVDQEREMWLNSFYKAPLRLPMPAELEYWWSKDETPEFLLINKEPEPDPEDPSKLIYTEDPLILHTPTGRLINYVEDEKHGVRLFWQPPLKEGEDVDPEKAQFLPLGFDEFYGREVIVKGDNIWKRFITAIENALKPGFDKLEKWTEEKKKAGDMKMKLIEKELDLIEAELCLEEAIEDMDEELRMKEKEEQKKVEMGMQEEEDTSVVANQGKKAITKEEVDEDVEEEEEEEEDDDDAPSSFGSVVADRGPIKNDQKGKKPRESPFSSSSLSFASSSLVSAVPSMLQQSILSLKQCRLPLKPHPPSSVENPNDLLKTIDSVSFPPVLPHKGSLRAFNQAHQKVRPQKCSNGRMSQLHSLCKILKCPSATANTRSNPKKPRKHNSFGPHAAPEECSDSILSLHIPVYYLESYTDTKWCRASL >EOY32245 pep chromosome:Theobroma_cacao_20110822:9:24330767:24333965:-1 gene:TCM_039880 transcript:EOY32245 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein MLTNNSCSSVPSSEPFSCIEIGNNNNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVRKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACHMGHIRPESQALQPACLSRTASSPSPSSDTNFSTAPWPSLVLAKTTDTMFLSPTKDNSPKNAHYHNLELQLLTTSNPTELSVSPKTDDKHSTQLQLSIGSSDIGEKIESTVTCTNKDASKKSPHQESEKPTFVASRLKEQAREQLRLAMAEKAFAEEVRQQAKRQIELAEQEFANAKRIRQQAQAELDKAQALKDHAIKQINSTILQITCHACKQQFQARTPPEENSLVGSYISSAITEGEAENDYIRTNLAKATNT >EOY33547 pep chromosome:Theobroma_cacao_20110822:9:37989602:37991666:1 gene:TCM_041494 transcript:EOY33547 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0481 protein, putative MGVEMVGPCCSNLVNGENSHQVVRVMEAADMDETSHQVIRTMEAKINQPPKLLNKYAGNKSCCIFRVPESLVQINEKAYQPHIISIGPYHHGKEHLKMMQEHKWRFLGSLLHRIRRHNVGLFNLFQAIKQMEDSIRECYSETIGMDSHGLIEMMVLDGCFIIELFCIVGRLAETNLDDPIFNMQWILSFLMRDLLRLENQIPFFVLRTLFELTVLGSGQEHIPSLARLTLGFFNYMAQRPIEVLEKHNNLTGRHLLDLFRMSFLPPSSEEASRNSNSSEEVSRNSTSIEETSRKSSSTEETSTFLQLIPSARKLHLAGIQFKLGKGDSFLDVRFSNGVLQIPLLTIDDFTSSVFLNCVAFEQCYNHRSNHITTYATFMGCLINTPSDAGFLRDHKIIENYFGTDEEIARFFNNVGKDVAFDIEKSYLSKLFQDVNEYYRNDWHVRWAGFKHTYFDTPWSFMSALAALILLIFTMIQAFFAIYAYIRPLKNPK >EOY34663 pep chromosome:Theobroma_cacao_20110822:9:41732924:41738678:1 gene:TCM_042261 transcript:EOY34663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 68 MGASPPPSFSVVFMFVLVLLIMRSSSTSAQLSTNFYSKSCPKVFSTVKSAVQSAVSKERRMGASLVRLFFHDCFVNGCDGSILLDDTSSFKGEKTAGPNNNSVRGFNVVDDIKAKVEKVCPGVVSCADIVAIAARDSVVILGGPDWDVKLGRRDSKTASFSAANSGVIPPPTSTLSQLINRFQARGLSAKDMVALSGAHTIGKARCILFRARIYNETNIDSSFAKARRSSCPRTSGSGDNNLAPLDIGTPDSFDTNYFQNLLNQKGLLHSDQILFNGGSTDSLVKTYSSRTKTFYSDFVTAMIKMGDITPLTGSSVKSAVSKEPRMGASLVRLFFHDCFVNGCDGSILLDDTSSFIGEKTAAPNNNSVRGFHVVDDIKSEVEKVCPGIVSCADILAIAARDSVVLLGGPGWEVKLGRRDSKTANFTAAKNGGFIPSPTSNLSALISSFRVQGLSAKDMVALSGAHTIGKARCTVFRSRIYNEANIDSSFAETRRRNCPSASGSGDDNLAPLDVRTPDCFDKKYFQNLMNKQGLLHSDQVLFNGGSTDSLVKKYGSSTKAFNSDFVTAIIKMGDVKPLTGSRGEIRKNCRKPN >EOY31845 pep chromosome:Theobroma_cacao_20110822:9:16331195:16332560:1 gene:TCM_039133 transcript:EOY31845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTMRLKEILQRASIGTKKEQGMWHSEIQTQELLHPKRESHRWIKCLIFNVYHV >EOY30675 pep chromosome:Theobroma_cacao_20110822:9:5377027:5379572:1 gene:TCM_037802 transcript:EOY30675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKKKPPLAVFPKQEKEKEKEKIIKSSVHRRKENYWESVRIVMYFQSTGRNQRPRGFHVNQGFLLMLISAICIWLLYQIKNSNYTKDDRSLLAKFSKGNSVLNLGRKGDVELSDKTMYMSDSKDVILEAGGKQKDRGGVDDELDGSVDEKGEKESLDKRIEYIHGRDKTDAEEGKKMEPERQYHLSTNSENIEIEMRDEDNKIFSEDNSQEGLESLKRIVSNLDGGRYEKDQKRLSSSDERGHKSGANNLVERGDEKDLERHTKELQRDEEISIDALKQGKDEEKGSSRENGEDKDLISKEINKLVNSTENATVFGQNEVGNGLHGFHDENGVPQGSNDLVEFTLAKSRDSQANNILHQETNSSLNHRNNITERPHIKEVESKSDRNATDAETKTRSQKEGSKSDAMLDVGINSDTNF >EOY30812 pep chromosome:Theobroma_cacao_20110822:9:5887823:5889013:1 gene:TCM_037892 transcript:EOY30812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAD25142.1-like protein MASSFCLSLSPPSKTLAPPSTSSTSTSPSRGCRCYFVVSGSNGIQLTRRRRQQVVCMAPEEEKLTRRNPLDFPIEWERPKPGRRPDIFPQFSPMKTILEHGVSGISYFGLRGLCKCLLGELFRSIQGKFGLNSCFCCVRIHKSL >EOY31046 pep chromosome:Theobroma_cacao_20110822:9:6767258:6770457:1 gene:TCM_038067 transcript:EOY31046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative MEENQTQLVSDAVDYKGNSADRNTSGGWVAAALILGVEICERLSTMAIVVNLVTYLVGTMHLPSATSSNVSTNFGGTSYMLCLLGGILADTFLTRYWTIAIFAVINAMGTCLLAISTGLPQLRPPPCGPAQSNECVEANSLQMGVFSCALYLYGLGLGGIKSSVSGFGTDQFDKNDKKEKQQMASFFDMFYLIINIGTLLAVTVLVYIQDKVGRSWGYGICSVTMLLAAFIFLSGTKKYRYKESPGSPVVQILQVLVAAIRKRNVDFPSNISHLYEDPAQELRLSHTNKLRCLDKAAVITSKDAGISESPTPNPWSLCSVTRVEEVKMLTGLLPIWATTIMFWTVYAQMASFSVQQALTMERSIGNFEIPPASFNVFMIGSIMLTLAIYDRLIMPLFRKTRKPQGLTNLQKIGFGLFFSIIAMAAAAFAEMKRLSVAKTKGTTTLTLPVSGFLLLPQFILVGIGDAFIYAGQLDFFITESPRGMKAIGTGLFLTTIALGLFGSSILVEIVRNVTETNGGHDWLAHRINDGRLDYFYGLLAVLSLINLGLFLVCAKRYKPNHGEVALRTNDKVNVDVPPKEESA >EOY33347 pep chromosome:Theobroma_cacao_20110822:9:36921375:36921960:-1 gene:TCM_041318 transcript:EOY33347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb:AAF02129.1, putative MSRRENSPKLELKLNLSPPRANQQVGSPNTSVSSWEMSPESSCVSSEPDDSTSMQYPSSPEATSMVLVGCPRCLMYVMLSEVDPKCPRCKSTVLLDFLNEENAKKARN >EOY32720 pep chromosome:Theobroma_cacao_20110822:9:33091939:33093269:-1 gene:TCM_040747 transcript:EOY32720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSNFDFSNMEYGGGGYALSYSLVEALAPTIDECIERYPHLRVSDQLLSSCLADLGVDLTIEKGFHQIDLHGDISGLLSSHIRSPLVTLHHLDGINPLFPSKSRSESMNHLMEAASFDQSRLLQQTICYHRPTNWTFSVSWGCSVHVYENIIPRSVLRSPLETFAPFKKSVRPPLNMFDTRLPSNDPCQTPRLFFLESVKNTESNQVLTTYSRTSRYNLPPCLSSGNHSADPITKIHVVLQATRHQQVGLLYNFCGCILFL >EOY34537 pep chromosome:Theobroma_cacao_20110822:9:41385034:41386998:-1 gene:TCM_042175 transcript:EOY34537 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L41 [Source:Projected from Arabidopsis thaliana (AT1G56045) UniProtKB/Swiss-Prot;Acc:P62120] MARLDCMGVIASGTCRLDSLSTVFCQSSSATPLTPNPYPFRIRVRYKYQPIRSSALQSGRVKAFSRSDAMRAKWKKKRMRRLKRKRRKMRQRSK >EOY33903 pep chromosome:Theobroma_cacao_20110822:9:39297490:39300505:-1 gene:TCM_041741 transcript:EOY33903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MESKRQVGSSSSFTADLFGSKESSSSTSKGIFSSIFPPPSMVYTLSHAGGCSCYGYRGREELLKLQGVRILAKSVFRRFSLETWNASIGENKFQVYLLVNSSTFVSIGAYPQNFSVFTPLAEGATYNIPNKERNSVFQEERAEPCHLSSSLYYGGQDIYSRSSSTQTSTSYPIFKKDGGEDDPNGNNSQDASRGNWWQGTDVRFIIRACASSAYGFSISFPRNSYSKQIIMEDKKYE >EOY33904 pep chromosome:Theobroma_cacao_20110822:9:39297658:39300193:-1 gene:TCM_041741 transcript:EOY33904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MESKRQVGSSSSFTADLFGSKESSSSTSKGIFSSIFPPPSMAGGRNSSSSKVLESWPNQSLEGSAWRHGMQAPLAEGATYNIPNKERNSVFQEERAEPCHLSSSLYYGGQDIYSRSSSTQTSTSYPIFKKDGGEDDPNGNNSQDASRGNWWQGSLYY >EOY33298 pep chromosome:Theobroma_cacao_20110822:9:36515398:36517816:1 gene:TCM_041253 transcript:EOY33298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum activated malate transporter family protein MDLASAVKNNEVVDGHSTRGWELVQALPGKLRTNVSEFPGKIKKLGQGDPRRIVHSFKVGLAITLVSLFYYFDPLYVGFGSSAIWAVLTVVVVFEFSVGATLGKGLNRGLATFLAGTLGFGAHHLATLPGEKVQPILLGLFVFFLATTVSFIRFFPRMKARYDYGLVIFILTFCLISVSGYRDEEVLEMAHKRVSTILIGGFTALSVCIFICPVWAGEDLHNLAANNIEKLANFLEGFGDEYFKKSGDRESNKASLQGYKSILNSKQTEESLANFARWEPRHGQFKFRHPWKQYLKIGSLTRQCAYRVEALNGYLNSDVQAPPEIHGKIQEACIKMSSESGKALQEIASAIRKMTPPSSDCPYIRKSKNTANSLKSLLKTGWCKDIDLLETVAVATIASLLLDVLSSIEKISESIHELASLAHFNSVEPDVANGKPRLNQQGQVQSSSNSVDLPHHRVITIE >EOY34227 pep chromosome:Theobroma_cacao_20110822:9:40404032:40419258:1 gene:TCM_041969 transcript:EOY34227 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding bromodomain-containing protein MKFPSQSFEFGQQPSSSFDGKRTSSRSAGILKRNSAAYKRGPEGVIVDSRTEKRRKMDRSMAQQCSAHVDESRRSCPKSQLSRYYSFPKKSRPSEEKLFKVPLNARAAEVERPKPAQKCVSRLARKNFQKGASSGGRAHGSINAKPPLSPGACRCSSCGSIKCQCSLPSDSNHASSSDVTSERSLGGDLRVCRTYASKLDCQAKRTMTSPMSESDPDSDGAVSSLYDENVCLISQLTTPATDAASGEGLLTPSFDVQMSSKKAFRAAMLKSRYADTILKAKHILDHGEKADPVKMQQQKGKWERRQREEKAKIEAQIRAAEAAAKMKAEVELKKQREREREAARNALQQMEKTAGIELNVEIEKEFEMLIGFPIYNNWHGSKNGSGKVTGAYGGTLWTPPFASSSAHDVLRYSLDIIADNDNKLHVPTGVWFMHCHLEVHSSWGLKMAWIVLDGNLPNQKVLPPPAALPKCWGTPL >EOY32758 pep chromosome:Theobroma_cacao_20110822:9:33408941:33411258:-1 gene:TCM_040789 transcript:EOY32758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPLSWINIILFIVRSPTFAVICVVTCGSGNPSARRGAKIKIARCGSRHQSFLSRCDWSPINPILNRRSPKLILEISPEELVSTSSSGKSHHRDSRAICKIRVACNDIIKGCLGFFCFLVPCG >EOY30625 pep chromosome:Theobroma_cacao_20110822:9:5185153:5195920:1 gene:TCM_037766 transcript:EOY30625 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 1 MGNKIARTTQVSATEYYLHDLPSSYNLVLKEVLGRGRFFKSIQCKHDEGLVLVKVYFKRGDSIDLREYERRLAHIKETFRLLDHPHVWPFQFWQETDKAAYLLRQYFFNNLHDRLSTRPFLSLVEKKWLAFQLLLAVKQCHDKGICHGDIKCENVLVTSWNWLYLADFASFKPTYIPYDDPSDFSFFFDTGGRRLCYLAPERFYEHGGEMQVAQDAPLKPSMDIFAIGCVIAELFLEGQPLFELSQLLAYRRGQYDPSQHLEKIPDIGIRKMILHMIQLEPESRLCAESYLQNYAAVVFPSYFAPFLHNFYCCWNPIHSDMRIAMCQSVFPEILKQMMSKRSSDEMGRGLSKSRILNGKQSQEIVAKQQSQEIVTKQNLSSTNHLLTKRERIENGSVRDRFKLPGNIDTLLGDVEQSNHYLSEKSMTGDATISALSQDFKQHGMQSPALLLQSISDSFRKNDHPFLKKITMDDLNSLMSEYDSQSDTFGMPFLPLPEDSMKCEGMVLIASLLCSCIRNVKLPHLRRGAILLLKTSSLYIDDEDRLQRVLPYVIAMLSDPAAIVRCAALETLCDILPLVRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNIAKLALTSYGFLIHSIRLSEAGVLNELNLSPKSLASSSESSGRLQRLNSDAQLSQLRKSIAEVVQELVMGPKQTPNIRRALLQDIGKLCCFFGQRQSNDFLLPILPAFLNDRDEQLRAIFYGQIVYVCFFVGQRSVEEYLLPYIEQALGDAIEGVIVNALDCLAILCKSGFLRKRILLEMIERAFPLLCFPSQWVRRSVVAFLASSSECLGAVDSYVFLAPVIRPFLRRQPASLAFEKALLSCLKPPVSRQVFYEVLENARSSNMLERQRKIWYNSSAQSKQWEIADLLKRGTGELDSMKYWPDKQQSTGAHRPIDNVLQQSGLTEFDDDDAKLRAMGGHTCNASSTIGMRDPQCSEKLQFSGLTSPQLNGVNSFMCDKSSEGIPLYSFSMDKRAMGAPPAASDTPLQVNSLGIGSSSMPWMDPVSKSFSLASSVPAPKLVSGSFSITGGSKQFYRVVHEPESRENDQIANVNSKFQDMGFSGTMKGSSVTVEDSSASTDLTGLPSFSRSSSIPDSGWRPRGVLVVHLQEHRSAVNDIAISNDHSFFVSASDDSTVKVWDSRKLEKDISFRSRLTYHLEGSRAICTAMLRNSAQVVVGACDGTIHMFSVDYISRGLGNVVEKYSGIADIKKKDVKEGAILTLLNYPADNYGSQMFMYSTQNCGIHLWDTRSSSNAWTLKAVPEEGYVACLVAGPCGNWFVSGSSRGVLTLWDLRFLIPVNSWQYSLVCPVEKMCLFVPPSSVSVSTTARPLIYVAAGSNEVSLWNAENGSCHQVFRAANYDSDAEMSDLPWALARPSTKTSSKSDLRRNANPKYRVDELNEPPPRLPGIRSLLPLPGGDLLTGGTDLRIRRWDHCSPDRSYCICGPNLKGVGNDDFYETRSSLGAQVVQETKRRPLTTKLTAKAVLAAAATDSAGCHHDSILSLASVKLNQRLLISSSRDGAIKVWK >EOY30626 pep chromosome:Theobroma_cacao_20110822:9:5186206:5195180:1 gene:TCM_037766 transcript:EOY30626 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative isoform 1 MGNKIARTTQVSATEYYLHDLPSSYNLVLKEVLGRGRFFKSIQCKHDEGLVLVKVYFKRGDSIDLREYERRLAHIKETFRLLDHPHVWPFQFWQETDKAAYLLRQYFFNNLHDRLSTRPFLSLVEKKWLAFQLLLAVKQCHDKGICHGDIKCENVLVTSWNWLYLADFASFKPTYIPYDDPSDFSFFFDTGGRRLCYLAPERFYEHGGEMQVAQDAPLKPSMDIFAIGCVIAELFLEGQPLFELSQLLAYRRGQYDPSQHLEKIPDIGIRKMILHMIQLEPESRLCAESYLQNYAAVVFPSYFAPFLHNFYCCWNPIHSDMRIAMCQSVFPEILKQMMSKRSSDEMGRGLSKSRILNGKQSQEIVAKQQSQEIVTKQNLSSTNHLLTKRERIENGSVRDRFKLPGNIDTLLGDVEQSNHYLSEKSMTGDATISALSQDFKQHGMQSPALLLQSISDSFRKNDHPFLKKITMDDLNSLMSEYDSQSDTFGMPFLPLPEDSMKCEGMVLIASLLCSCIRNVKLPHLRRGAILLLKTSSLYIDDEDRLQRVLPYVIAMLSDPAAIVRCAALETLCDILPLVRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNIAKLALTSYGFLIHSIRLSEAGVLNELNLSPKSLASSSESSGRLQRLNSDAQLSQLRKSIAEVVQELVMGPKQTPNIRRALLQDIGKLCCFFGQRQSNDFLLPILPAFLNDRDEQLRAIFYGQIVYVCFFVGQRSVEEYLLPYIEQALGDAIEGVIVNALDCLAILCKSGFLRKRILLEMIERAFPLLCFPSQWVRRSVVAFLASSSECLGAVDSYVFLAPVIRPFLRRQPASLAFEKALLSCLKPPVSRQVFYEVLENARSSNMLERQRKIWYNSSAQSKQWEIADLLKRGTGELDSMKYWPDKQQSTGAHRPIDNVLQQSGLTEFDDDDAKLRAMGGHTCNASSTIGMRDPQCSEKLQFSGLTSPQLNGVNSFMCDKSSEGIPLYSFSMDKRAMGAPPAASDTPLQVNSLGIGSSSMPWMDPVSKSFSLASSVPAPKLVSGSFSITGGSKQFYRVVHEPESRENDQIANVNSKFQDMGFSGTMKGSSVTVEDSSASTDLTGLPSFSRSSSIPDSGWRPRGVLVVHLQEHRSAVNDIAISNDHSFFVSASDDSTVKVWDSRKLEKDISFRSRLTYHLEGSRAICTAMLRNSAQVVVGACDGTIHMFSVDYISRGLGNVVEKYSGIADIKKKDVKEGAILTLLNYPADNYGSQMFMYSTQNCGIHLWDTRSSSNAWTLKAVPEEGYVACLVAGPCGNWFVSGSSRGVLTLWDLRFLIPVNSWQYSLVCPVEKMCLFVPPSSVSVSTTARPLIYVAAGSNEVSLWNAENGSCHQVCRYLGLQIMTVMQKCLIYHGPWQGHQLKQALNQI >EOY31526 pep chromosome:Theobroma_cacao_20110822:9:9331791:9334793:1 gene:TCM_038447 transcript:EOY31526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLSLLSFMFLLIFFDHFCSLQQGLWWGQKRKQREDFAPRMVTAVNPSTKRIGGRLGFSMRIYVKRMGFGGLYKAWGNYRRNFSFFKLQSLQQALFLIFFFSFW >EOY33304 pep chromosome:Theobroma_cacao_20110822:9:36599014:36613961:-1 gene:TCM_041262 transcript:EOY33304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPHQVADCPHKMALSAICTVDIETPPSHLTIEDFVEEPTRMEVLLNEKRTKVMVDMGPSDTFITLGEAKRCGFKVEKDFGQIKVVNSLASVIMENSKDVKVKNGS >EOY31198 pep chromosome:Theobroma_cacao_20110822:9:7495690:7497123:-1 gene:TCM_038171 transcript:EOY31198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKIKTGSWVGERPLINVVAYMGFMLNSIARVRDYIMPNGDWDKERLTASLPIEVVNQILCIIPPTLLASPDTSYWALSPSSTWTTLPLISGDTRRQEEILVGWTPPPKEWIAVNSDGAYKSAVRIASAV >EOY29986 pep chromosome:Theobroma_cacao_20110822:9:2965709:2968930:1 gene:TCM_037341 transcript:EOY29986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-independent protochlorophyllide reductase subunit B, putative isoform 1 MEKMNSKMELDKNNCGSDSNNGQLLRDIEEISRALYLQKPSSKALVTTSNVRSKSVGKTRLSESKSKQDSRNPCADVMQKDKKSSSLWNWKKPLKALTNIRRHRFDICFFFHVHSIEGLPAYLNDFSLCVHWKRKDEVLSTHAARVVGGIAEFEETLMHKCYVHGRSGPHNAAKYEVKLFLIYASIVGAPGNSIGEHWIDLTRLLPLTLEDLEGEKGSGKWTTSFKLSGKAKGATLNVSFSFLVTRDNLVESSGNMNASNFISLTETGSSAMGHGGGLHASNGNGMLHHVGTVPSTVNHRSYLSPLSVDIKFGTEVLPNLGVELSKSISFLYQKLNEGNFHSPSGLDKLSEHVEPPKPNSESTKGIYEYENIDFFVIDQGVEMCQKDPSKCEQSDIQIIDGSAIETINVDEILKDCDSDVDEEAEHVLKVHSSSSCKEVVVDDCRQEKRNIWSKPVTVQELESAFQDMLLTESSISESPSALDEFIEHEKFTEVKSNYKASKVTKKWLSLDDIADTVATDFLKMLEIEHDPFSSNSDSALESPRERLLREFENEALASGDFILDFGAGGEEAEIGSTTPGCEDIYEDFAFSPVILPSEEQKMESLSLKNRRKVNMLENLETEALMLEWGLDEKAFQSSPHVQTDGFGSPIALSPERGELPPLGDGFGHFIPTKDGGVLRSMNRSLFRNCKNVGHLVMQVSRAAVFPARLGTDIMEILQNLASLGIEDLSLQVKTIMPLEDITGKTLQQVVLGATPRAVVRERLSCSKNH >EOY29985 pep chromosome:Theobroma_cacao_20110822:9:2965712:2970785:1 gene:TCM_037341 transcript:EOY29985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-independent protochlorophyllide reductase subunit B, putative isoform 1 MEKMNSKMELDKNNCGSDSNNGQLLRDIEEISRALYLQKPSSKALVTTSNVRSKSVGKTRLSESKSKQDSRNPCADVMQKDKKSSSLWNWKKPLKALTNIRRHRFDICFFFHVHSIEGLPAYLNDFSLCVHWKRKDEVLSTHAARVVGGIAEFEETLMHKCYVHGRSGPHNAAKYEVKLFLIYASIVGAPGNSIGEHWIDLTRLLPLTLEDLEGEKGSGKWTTSFKLSGKAKGATLNVSFSFLVTRDNLVESSGNMNASNFISLTETGSSAMGHGGGLHASNGNGMLHHVGTVPSTVNHRSYLSPLSVDIKFGTEVLPNLGVELSKSISFLYQKLNEGNFHSPSGLDKLSEHVEPPKPNSESTKGIYEYENIDFFVIDQGVEMCQKDPSKCEQSDIQIIDGSAIETINVDEILKDCDSDVDEEAEHVLKVHSSSSCKEVVVDDCRQEKRNIWSKPVTVQELESAFQDMLLTESSISESPSALDEFIEHEKFTEVKSNYKASKVTKKWLSLDDIADTVATDFLKMLEIEHDPFSSNSDSALESPRERLLREFENEALASGDFILDFGAGGEEAEIGSTTPGCEDIYEDFAFSPVILPSEEQKMESLSLKNRRKVNMLENLETEALMLEWGLDEKAFQSSPHVQTDGFGSPIALSPERGELPPLGDGFGHFIPTKDGGVLRSMNRSLFRNCKNVGHLVMQVSRAAVFPARLGTDIMEILQNLASLGIEDLSLQVKTIMPLEDITGKTLQQVVLGATPRAVVRERRVELQQESLCDQDSFYQRKEVEGFQCCWSYDNLSSGLVGGEMSPGCISLENLVPSAMNRIEALTIEGLKIQCGMSDEDAPSTVSPLSSSNKYFITGKDSKFGKFFSLEGAAGSQSLDFRDDVDDVNRLMGLSIALDEWLRLDAGIIGDEDQISDHTMQLLVAHKAKCIDLVSGILTKHVNLGKASCRKHGLLGNNFTLALMVLLRDPLRNYEPVGTSMMALIQVERASVPLEQGICSTESEGDQEENPEEDGEEKKEGTPFFKITEVHLAGLITEPDEQYLWGTKAQQQSGTRWLLSSGTAKSNMNTFSKSKAIVKFYPPVMRKMQARNVL >EOY33203 pep chromosome:Theobroma_cacao_20110822:9:35918969:35920125:-1 gene:TCM_041168 transcript:EOY33203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHFGIFALFNPFKNLHVYCFPFTFLPVFFLLLLPVLWLWFGFDLLCFVGFCMFHFFCFDLLSVFVFLVFCLLFGFVL >EOY32670 pep chromosome:Theobroma_cacao_20110822:9:32738669:32743695:1 gene:TCM_040700 transcript:EOY32670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MLIPFSLGLHFAPKNTHIKDDPQKDWNSLIKNQTNLKNDHAILSTYSRMESLGLTPNRAALPLVLKACVKLNAVETGKRIHLSIRNTNLIEDVRVGTAIIDFYCKCGFIEEARKVFDEMVERDLVSWNAMISGYAGCGEFEEVVFLVMRMQREGFRPNSRTLVAMLLACQEVAEVRLGKEIHGYCLRNGLFDLDPHVGTALIGFYLSFNVRASHTVFDLMAVRNTVCWNAMIKGYFDIGESLKALKLFEKMLMDGVEFDSVTMLALIQACAEFGSLELGSQIHQMAIKCSYSNDLFIVNALLNMYADIGSLKSACKLFDVTPRRDVALWNSMISAYFEYSCNEEATSLFVHMRTEGNKEDDRTIVIMFSLCAESADGLRKGKSLHAYASKSGMRMDVNLGNAMLNMYAQQNCIDSVQKVFSEMSNVDVISFNTVILALARNKLGSEAWEVFGLMWELDVEPNSYTIISILAACKDETCLNIGRSLHGFVIKQGIEVNVSLNTALTDMYINCGDEATARNLFESCPGRDLISWNALIATYVKNNLAHEAFLVFSRMISEVEPNSVTIINILSSCTHLAHLPQGQCFHAYMLRQESSLGHNLSLGNAFITMYARCGSMQSAERIFKTLPRRNIISWNAIITGYGMHGRGSDAILAFSQMLEDGYYPNEVTFISVLSACSHSGMIEEGLRLFDSMVHDFHITPQLAHYGCVVDLLGRAGCLDEARGFIESMPIKPDASVWRALLSAYRDHCYTKEAKAIFEKIVELDPMNPGNYVLVCNAYAAAGLWSDVRQIRTCLKAKGLRKPPGMSWIVVRSQIHSFAAGDRSHPMADKIYANLNSLLHSMKEIGYVPDPHWILHVDEEYIQREANCGL >EOY31799 pep chromosome:Theobroma_cacao_20110822:9:14101061:14101985:1 gene:TCM_038931 transcript:EOY31799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase family protein isoform 2 MSLRSQESTWDEIDFEFLGNLSGEPYIVHTNVFSQGKGDREQQFYLWFDPTKDFHTYSVLWNPQRIIFSVDGIPIREFKNLESIGVPFPKKQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTASYRNFKADACVWSQGKSSCSSTSGSRYAWLSQELDTASQQRLKWVQEKYMIYNYCKDTKRFSQSLPKECTAH >EOY31798 pep chromosome:Theobroma_cacao_20110822:9:14100768:14102032:1 gene:TCM_038931 transcript:EOY31798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase family protein isoform 2 MRSSFGLLVAMLVSFVLVASAANFYQDFDLTWGDARGKILNNGQLLTLTLDKFSGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLRSQESTWDEIDFEFLGNLSGEPYIVHTNVFSQGKGDREQQFYLWFDPTKDFHTYSVLWNPQRIIFSVDGIPIREFKNLESIGVPFPKKQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTASYRNFKADACVWSQGKSSCSSTSGSRYAWLSQELDTASQQRLKWVQEKYMIYNYCKDTKRFSQSLPKECTAH >EOY30654 pep chromosome:Theobroma_cacao_20110822:9:5296802:5298503:-1 gene:TCM_037790 transcript:EOY30654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B5-like protein, n5,ATCB5LP,CB5LP MAIAVIALILGVLLGAFILIPRHGKSAHTNQVQSNGNNSKASKSYSKTEVALHNKRTDCWIIIKDKVYDVTSYVEEHPGGDAILAHAGDDSTEGFYGPQHATSVFDMIDDFYIGDLQK >EOY29723 pep chromosome:Theobroma_cacao_20110822:9:2160307:2164203:-1 gene:TCM_037174 transcript:EOY29723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein METTPSDEKKTIREPLLITPSLSKGGFRTLPFILANEAFERVATLGLSPNMILYLTREYGMETARAAKVIFIWTAANNFTPILGAFVADSYVGKYRMIGLGSILSFLGMVLLWLTAMFPQARPYCDQFSSICESPTAPQLLFLYFSLGLMSIGTGGIRSSSLVFGADQLNKGINPENAETLQSFFSWYYASVSFSALIAVTGIVYIQDNLGWKMGFGVPVMLMFISALSFYLASSLYIKLKARTSLFTGFAQVLVASFRNRHINLPSQATDEVYCLRKGSRLYMPSEKLRFLNKACVIKNPQQDLTSDGTASNPWSLCTVDQVEELKALIRVIPLFSTGIMISVALTQSSFTVIQAGTMDRHITPKFEIPAGSFSMFLMISLIAWIAFYDRIALPLASKMKGKPVRLGLKQRTGIGILCSCASMVALAIVEYIRREIAIQEGLSDEPQAMVHMSALWLLPYNVLSGLAEAFAGVGQIEFFYAELPKTMSSIGSNLFGLGAFVASLVASFITSAVDDVTKRGGESWVSSNVNKGHYDYYYWLLFGLSILNFMYFLACSKAYGPCRGDDEVQSQATRRGNHERIDEC >EOY32098 pep chromosome:Theobroma_cacao_20110822:9:20881204:20881940:1 gene:TCM_039591 transcript:EOY32098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRQSWTFPLVEIVEHEQCNSHPKFTGLSHFCLIRYDKGKNIYLDKRMVNYIGNGILHLLENLLVRCPFIGVAPTSTVFSGLCSSSSLVSSDLHLSPTVAFLSSKDFSTAPRDIH >EOY29412 pep chromosome:Theobroma_cacao_20110822:9:1089550:1091575:1 gene:TCM_036956 transcript:EOY29412 gene_biotype:protein_coding transcript_biotype:protein_coding description:ChaC-like family protein, putative MTIEFEAAVMTIESEMNNRLPAVADIALSFEYEALILYYEIVYITENVNTLNNSYLTFSTVVGALEGENNNNTEEKKKMGMWVFGYGSLIWKAGFNYDDRLVGFIKDYRHVFYQANTDHMGTPEYPGRTVTLEPANGEVCWGAAYKISKKEDKENAITHLEVREKQYDKKAYFDFFTDPTATILAIPGVNIASPDKKLNKNYLGPASLEEIAKQIINAEGPSGPNRLPLPTRKRLFFYLDAKINM >EOY30902 pep chromosome:Theobroma_cacao_20110822:9:6208737:6209289:1 gene:TCM_037950 transcript:EOY30902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYAPPGYQVMSYHHHVRRRHEEKGCLYACLFALCCCFCCFETCECCLEFLCCLMP >EOY33642 pep chromosome:Theobroma_cacao_20110822:9:38358535:38364893:-1 gene:TCM_041555 transcript:EOY33642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 41 isoform 2 MGGSIPSLLSSDAASCIAVAERMIALGTHDGTVHILDFLGNQVKEFAAHSAAVNDLSFDLEGEYIGSCSDDGSVVINSLFTDEKLKFEYHRPMKAIALDPDYTRKKSRRFVAGGLAGHLYFNTKRWLGYRDQVLHSGEGPIHAVKWRTSLIAWANDAGVKVYDAANDQRITFIERPRGSPRPEILLPHLVWQDDTLLVIGWGTSVKIAAIRTNLNKGANGTYREVTMSNVNQVDIVASFQTSYYISGIAPFGDALVVLAYIPGEEDGEKEFSSAIPSRQGNAQRPEVRIVTWNNDELATDALPVYGFEHYKAKDYSLAHAPFSGSSYAGGQWAAGDEPIYYIVSPKDVVIAKPRDAEDHIAWLLQHGWHEKALAAVEAGQGRSELLDEVGSRYLDHLIVERKYAEAASLCPKLLRGSATAWERWVFHFAHLRQLPVLVPYMPTENPRMRDTAYEVALVALATNPSYYKDLLSTVKSWPPVIYSALPVISAIEPQLNTSSMTDALKEALAELYVIDGQYEKAFSLYADLMKPDIFDFIEKHHLHDSLREKVVQLMILDCKHAVSLLIQNRDLITPSEVVSQLLSAGNKCDSRYFLHLYLHSLFEVNPHAGKDFHDMQSFMLNMIQRCYFLFFAVVNITHWRRHMRSVSKKLF >EOY33640 pep chromosome:Theobroma_cacao_20110822:9:38356240:38365251:-1 gene:TCM_041555 transcript:EOY33640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 41 isoform 2 MSPFPSENGVDGDDEREEEEEEDEEEVEDEEEEEEEEEEEEPRLKYQRMGGSIPSLLSSDAASCIAVAERMIALGTHDGTVHILDFLGNQVKEFAAHSAAVNDLSFDLEGEYIGSCSDDGSVVINSLFTDEKLKFEYHRPMKAIALDPDYTRKKSRRFVAGGLAGHLYFNTKRWLGYRDQVLHSGEGPIHAVKWRTSLIAWANDAGVKVYDAANDQRITFIERPRGSPRPEILLPHLVWQDDTLLVIGWGTSVKIAAIRTNLNKGANGTYREVTMSNVNQVDIVASFQTSYYISGIAPFGDALVVLAYIPGEEDGEKEFSSAIPSRQGNAQRPEVRIVTWNNDELATDALPVYGFEHYKAKDYSLAHAPFSGSSYAGGQWAAGDEPIYYIVSPKDVVIAKPRDAEDHIAWLLQHGWHEKALAAVEAGQGRSELLDEVGSRYLDHLIVERKYAEAASLCPKLLRGSATAWERWVFHFAHLRQLPVLVPYMPTENPRMRDTAYEVALVALATNPSYYKDLLSTVKSWPPVIYSALPVISAIEPQLNTSSMTDALKEALAELYVIDGQYEKAFSLYADLMKPDIFDFIEKHHLHDSLREKVVQLMILDCKHAVSLLIQNRDLITPSEVVSQLLSAGNKCDSRYFLHLYLHSLFEVNPHAGKDFHDMQVELYAEYDPKMLLPFLRSSQHYTLEKAYEICVKEALLREQVFILGRMGNSKQALAVIINKLGDIEEAVEFVTMQHDDDLWEELIKQCLHKPEMVGVLLEHTVGNLDPLYIVNMVPNGLEIPRLRDRLVKIITDYRTETSLRHGCNDILKADCVNLLVKYYKEAKRAVCLSIEEDDARAKRDASRTSQAIEKTLSVRNMEVKSKTRGGGRCCMCFDPFSIQNVSVVVFFCCHAYHTTCLMDSTYTNSSKKGTGATSQGLYEYDNDGEDDDAEDDDSQADGPRMRCILCTTAAS >EOY33641 pep chromosome:Theobroma_cacao_20110822:9:38358603:38364944:-1 gene:TCM_041555 transcript:EOY33641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 41 isoform 2 MSPFPSENGVDGDDEREEEEEEDEEEVEDEEEEEEEEEEEEPRLKYQRMGGSIPSLLSSDAASCIAVAERMIALGTHDGTVHILDFLGNQVKEFAAHSAAVNDLSFDLEGEYIGSCSDDGSVVINSLFTDEKLKFEYHRPMKAIALDPDYTRKKSRRFVAGGLAGHLYFNTKRWLGYRDQVLHSGEGPIHAVKWRTSLIAWANDAGVKVYDAANDQRITFIERPRGSPRPEILLPHLVWQDDTLLVIGWGTSVKIAAIRTNLNKGANGTYREVTMSNVNQVDIVASFQTSYYISGIAPFGDALVVLAYIPGEEDGEKEFSSAIPSRQGNAQRPEVRIVTWNNDELATDALPVYGFEHYKAKDYSLAHAPFSGSSYAGGQWAAGDEPIYYIVSPKDVVIAKPRDAEDHIAWLLQHGWHEKALAAVEAGQGRSELLDEVGSRYLDHLIVERKYAEAASLCPKLLRGSATAWERWVFHFAHLRQLPVLVPYMPTENPRMRDTAYEVALVALATNPSYYKDLLSTVKSWPPVIYSALPVISAIEPQLNTSSMTDALKEALAELYVIDGQYEKAFSLYADLMKPDIFDFIEKHHLHDSLREKVVQLMILDCKHAVSLLIQNRDLITPSEVVSQLLSAGNKCDSRYFLHLYLHSLFEVNPHAGKDFHDMQVELYAEYDPKMLLPFLRSSQHYTLEKAYEICVKEALLREQVFILGRMGNSKQALAVIINKLGDIEEAVEFVTMQHDDDLWEELIKQCLHKPEMVSYKMQTGRSHMSFS >EOY29961 pep chromosome:Theobroma_cacao_20110822:9:2921985:2924392:1 gene:TCM_037329 transcript:EOY29961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNNGDNYKRRRKERFADEDGYDDDSCSSDHETKKKDLNGIITSLLLLEEQEKRDRDEQDEASNEEKLILESTHRRKTKTMLEFYSEFQDYYSEIEETDRVKRKKSRAMAASVAAASVNQTENQAPNNHIKQSGSGQQRRLWVKDRSKAWWDDCNRPDYPEEEFKKAFRMSKSTFELICEELNSAIAKEDTTLRNAIPVKQRVAVCIWRLATGEPLRLVSKRFGLGISTCHKLVLEVCSAIRSVLMPKYLQWPDEDSLRKIKEEFEGSSGIPNVVGSMYTTHIPIIAPKISVAAYFNKRHTERNQKTSYSITVQGVVDPRGVFTDVCIGWPGSMNDDQVLEKSALYQRANGGLLKGVWIVGSSGYPLMDWVLVPYTQQHLTWTQHAFNEKIGEIQRVAKEAFGRLKGRWCCLQKRTEVKLQDLPVVLGACCVLHNICEMRNEGLDPDLKIELLDDETVPEVALRSVSSMKVRDAIAHNLLHHGLAGTAFL >EOY34652 pep chromosome:Theobroma_cacao_20110822:9:41693667:41694973:1 gene:TCM_042252 transcript:EOY34652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNFDSILMAVLLALTLGFKLLQGDAGLLGDKVGDIARHAAEGALVYCCCNNFDGVVSQRMWLQPWPEPGLLEKSHGVAKHFNNPGCRIHQRSGLNQIQCHKVEQQSKETVWDTMQTLHVIL >EOY31467 pep chromosome:Theobroma_cacao_20110822:9:8990264:8990784:-1 gene:TCM_038401 transcript:EOY31467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLIRFILALSIVTLLLFSRSLPTSAAADQDGGGVLIPFRRLLLSQPVKAGEKRGDDDDFVSSVPKKFRIHMRKVRGGGVATRARGRTSSAVRTQFSSFHLASFLGCSLFLGLMML >EOY33236 pep chromosome:Theobroma_cacao_20110822:9:36047385:36054029:-1 gene:TCM_041189 transcript:EOY33236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MFNGMMDPELFRIAQEQMSRMSPAELAKIQQQMMSNPDLMRMASESMKNMRPEDLRHAAEQLKHTRPEEMAEIGEKMANASPEEIAAIRARADAQITYELSAAQMLKNQGNELHKQGKFNDASQKYLLAKKNLKGIPSSKGRTVLLACSLNLMSCYLKTRQYDECIKEGSEVLSYDAKNVKALYRRGQAYKELGQLEDAVSDLSKAHEVSPDDETIADVLRDAEERLAREGSSEAPRGVVIEEITEEAASTSSEYSLKQPLESTGFCKSDIGKKVVDLTTNSECVQALKDDPEALRSFQNFVSNADPDTLAAMSGGKVGEVSPDMFKTVSNMISKMSPEGLQKMAQMASSFQGENPYTGPLKNGFGPGSVPPNVTPEMLKTATDMMTKMPPEELQKMFEMAASLKGTDSIPASTAVNGNRLGSDAKVKYPESQTASLTNENDGFGETSSSSHFPNSMNAQSSFPTSTADLQEQMRNQMKDPAMRQMFASMMKNMSPDMMANMSEQFGLKLSREDAAKAQQAMSSLSPEDLDRMMHWVDRIQRGAEVAKKAKNWLLGKPGMILAICMLILAVILHRLGFIGN >EOY33237 pep chromosome:Theobroma_cacao_20110822:9:36047391:36054065:-1 gene:TCM_041189 transcript:EOY33237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein isoform 2 MFNGMMDPELFRIAQEQMSRMSPAELAKIQQQMMSNPDLMRMASESMKNMRPEDLRHAAEQLKHTRPEEMAEIGEKMANASPEEIAAIRARADAQITYELSAAQMLKNQGNELHKQGKFNDASQKYLLVLSYDAKNVKALYRRGQAYKELGQLEDAVSDLSKAHEVSPDDETIADVLRDAEERLAREGSSEAPRGVVIEEITEEAASTSSEYSLKQPLESTGFCKSDIGKKVVDLTTNSECVQALKDDPEALRSFQNFVSNADPDTLAAMSGGKVGEVSPDMFKTVSNMISKMSPEGLQKMAQMASSFQGENPYTGPLKNGFGPGSVPPNVTPEMLKTATDMMTKMPPEELQKMFEMAASLKGTDSIPASTAVNGNRLGSDAKVKYPESQTASLTNENDGFGETSSSSHFPNSMNAQSSFPTSTADLQEQMRNQMKDPAMRQMFASMMKNMSPDMMANMSEQFGLKLSREDAAKAQQAMSSLSPEDLDRMMHWVDRIQRGAEVAKKAKNWLLGKPGMILAICMLILAVILHRLGFIGN >EOY33111 pep chromosome:Theobroma_cacao_20110822:9:35466342:35468009:1 gene:TCM_041099 transcript:EOY33111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing endonuclease 2, putative MKARWKGKGSEAKAIADPMSKIVSQLQSSLIHSNTHGLLSSCSVLVEVDAQLADLLNRACFGRPRITAEKDNEWFQLDMEEAFYLCFSLKCLKVVGEDGCIKSNEELWEYMKSKKAVFPVFYMAYSHLRHKNWVVRPGLQYGVDFVAYRHHPALVHSEYAVLVLSEGDNDLNGRLRVWSAIHGTVRLCGSVAKTLLIVNVNNNCHGAISPSCLEHYTVEEQTITRWNPEQCREDQAVVRI >EOY30586 pep chromosome:Theobroma_cacao_20110822:9:5088620:5093905:-1 gene:TCM_037743 transcript:EOY30586 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphofructokinase 3 MDSVLAPSSTSSFSSPLNNNNNHHHHNKNFYKSNINNSKNKSRFSVPSNSGICNLRKFSTVSFGYGYRYGCGCRLRRSSGCSKIHDSTRGAMASSASPENSKPKVVTGPGGYVLQDVPHLSDYIADLPTYPNPLQDNPAYSVVKQYFVHVDDSVPQKVVVHKDSPRGIHFRRAGPRQKVYFDSDEVHACIVTCGGLCPGLNTVIRELVCGLHHMYGVKKVLGIDGGYRGFYAKNTVQLNPKVVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQRGASVIFEEIRRRGLKVSVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVESESIENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLFEYIERRLKENGHMVIVIAEGAGQELLSESMQSMTKEDASGNKLLQDVGLWISQRIKDYFSKQRKMPINLKYIDPTYMIRAIPSNASDNVYCTLLAQSVVHGAMAGYTGFTSGLVNGRQTYIPFYRIIEKQNKVVTTDRMWARLLSSTNQPSFLSAKDVIEDKVDGEESNQLLDNGNCSEESLVCKEITN >EOY30119 pep chromosome:Theobroma_cacao_20110822:9:3445434:3447128:1 gene:TCM_037439 transcript:EOY30119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPIEASISVQGKRRKTHLQALGGTQETTTEPLPPPPPLDGWGKICTGHIEREALHWLECCIVGTSKNYKEPEVISQQIKESGIQGLTIRRLSGLQRLISFHDRKALDEAKQANWNGLFQWFENLVEWAPSMGMKTRHFWVSVSGIPVHAWNWVTFPRIGSLWGSLICLGDENLKLQSFERATMLIYTESLTKIEESFRLAIGNQVFTAYAREFSPDCMLDFPGWLRHEALDPMPTNAVPDAIGLSPSSSGPREMQDGDGLSDPNNNFPILAAEEDTHQPSRNRLDDLSLLGHGNQQVQHHLGSTEEFNSGFLNADECLSQPDLRLVHGELDIIGLNLGSDAICQPNLPLPEHYQVKEGRVEVGGTVVAEVWDSNGEEGRILSKNDKVLSGWIYLSLPSNLNTKN >EOY32049 pep chromosome:Theobroma_cacao_20110822:9:19490880:19493254:-1 gene:TCM_039464 transcript:EOY32049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSRGVNFSVWVHSFLLPSMIESASPGGDKDSLSVEVYSPYTVVHQFSPGGDKDSLSVEVYSPYTVAIPNTKAILDVEVVLGAETVTKDVEATFEVNVIPNADPNPCASFSLILKHRDVNSALALIWHILSRAV >EOY32777 pep chromosome:Theobroma_cacao_20110822:9:33485465:33490683:1 gene:TCM_040799 transcript:EOY32777 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP domain class transcription factor MACMKLGSKTDAFRRQGQAWFCTTGLPSDIVVEVGEMSFHLHKFPLLSRSGVMERLIAEASEEGEEKCSINLPDIPGGAKTFELVGKFCYGVKLELTASNVVYLRCAAEHLEMTEEYGEGNLITQTETFLNQVVLRNWKDSLRALQTCDDIISHAEELNITKRCIESLAMKASTDPNLFGWPIMEHGGPMQSPGGSVLWNGISTGARPKNTSSDWWYEDSSTLSLPLYKRLIAVMDSRGIRQEIISGSLTFYAKKYLPGLNRRQGANDSNSSARLAPVALGAAPSEEDQKLLLEEIDRLLPIQKGLVPTKFLFGLLRTAMILRASPSCLLNFEKRIGMQLDQATLEDLLMPNFSYSMETLYNVDCVQRILEHFLAMDQITGGASPCSVDDGQIIGSPSLTPITMVAKLIDGYLAEVAPDINLKLPKFQALAASVPDYARPLDDGLYRAIDIYLKSHPWLSESDREQLCRLMDCQKLSLEACTHAAQNERLPLRIVVQVLFFEQLQLRTSIAGCFLVSDNLDGSRQLRSGFAGSTEGGWASAVRENQVLKVGMDNMRMRVSELEKECSNMRQEIEKLGRGKGSSTWGNVSKKFGFRLKSQMCSAQEGSVSNQNNGSGKIEKVKDRQGKLKKN >EOY33552 pep chromosome:Theobroma_cacao_20110822:9:38007072:38008661:-1 gene:TCM_041499 transcript:EOY33552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF868) [Source:Projected from Arabidopsis thaliana (AT2G27770) TAIR;Acc:AT2G27770] MRDIVSCFSENAVNVSQSSCSSYSSHACIPPSLVPSVQNAVTGIYKVILSTEKQLLIKVTWCKNQTGQGLSINFGDDPSTCFKLNTNLRFFRKKKGNKMIESGHSKIEVFWDLSTAKYDAGPEPVDGFYVLVMVDSEIGLILGDMAEEAVIRKFKTTTPVAKVSLICRQEHCSGNTLYSTKAQFCDTGIVHDVLIKCSGEHEGLKHPVLSVCIDKKTVIRVKRLQWNFRGNQTIFVDGLLVDLMWDVHDWFFNPATGSAVFMFRTRSGLDSRLWLEEKLVQKGQDRVEFSLLIYACKST >EOY32493 pep chromosome:Theobroma_cacao_20110822:9:30348567:30353006:1 gene:TCM_040445 transcript:EOY32493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 1 MKEEAEYYVSRILAKPCFVTYCVTAQTYRNITLGSSISARNDNSSWTSPSGDFAFGFQQIGTEMFILAIWFSKIPEKTIIWSANGENLVQEGSKIELTTDGQFVLSDAKGKEVWTATLPVTEVAYAAMLDTGNFVLASQDSTTLWESFNQRTDTILPAQQFNQGNRLVARYSEMNYSSGRFEFILQADGNLVLYTRAFPLDLVNTAYWSSKTVGSGFQVIFNQSGYIYLIARNGSILSLIASNGASTSEFYQRAILEYDGVFRQYIYPKTNGASSGRSMSWSLLSSLPSDICMSITEDTGGGACGFNSYCVLGTDQRPRCECPPGYSFLDPSNQMNGCKQDFVSQDCDGLQEANLFGFRDMPNTDWPLSDYEYFQTVSEDWCRQTCLNDCFCAVAIFRNGNCWKKKIPLSNGRLDPRVGGKALIKIRQENSTCKSVGEGSNNNDPSTLILIGSLLLSSSVFLNFLLLIVTLFVTLRFNSRRRKMLQLCPAMQGMSLRYFTYKELEEASSNFKEELGRGAFSTVYKGVLDLGNKNLVAIKNLEKVVTEGEQEFQAEMNTIGKTNHKNLVQLLGFCNEGQHRLLVYEYMSNGSLADYLFGNSRPNWHKRKQIAFGTARGLVCLHEECSNQIIHCDIKPQNILLDESFTPKNF >EOY34420 pep chromosome:Theobroma_cacao_20110822:9:41027749:41028976:1 gene:TCM_042101 transcript:EOY34420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kiwellin MAKTVFLASLSFFFILISSPWLSNAISQCNGRCRDLNDCDGQLICINGQCNDDPDLGTRICGTPSSPSPGTNCNPSGSLRCNGQTYPTYICSPPITSSTKARLTNNDFSEGGDGGAPSECDDRYHSNSERVVALSTGWYAGGSRCGKMITITASNGRSVTAKVVDECDSMRGCDEEHAYQPPCKNNIVDGSDAVWTALGLDKNVGIVDVTWSTA >EOY33087 pep chromosome:Theobroma_cacao_20110822:9:35375143:35383447:-1 gene:TCM_041083 transcript:EOY33087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nadph-cytochrome P450 oxydoreductase isoform 2 MEIKSKKLLILYATQTGNALDAAERIAREAERRACLVVIRSTDEYDASSLPEEDAVIFVVSTTGQGDAPDSMKAMLVFWRFLLQRNLGSHWLEGVHYAVFGLGDSGYQKYNFVAKKLDKRLSDLGATAVVERGLGDDQHPSGYEAALDPWMSALWSRLSEIKPNFFPEGPNFLVPNVELIGRPKFQVTYHNIDKMDSQLSSATDFEYLEMQIGRACSMYAGKVSHEKCKPDAFLKMIKNFPLTRASHEKDVRHLEFEFVTQVVQYEIGGVLEVLPSQNPAAVDSFIQHCNLDPESFISSGSHSQRSRPVFQQTYTKFVALAQIHPRELENHHLDDNVNTLEVPIKLRTFVELTMDVASASPRRYFFEARSYLCHNDAIYRSNFLVVMSFFATAEHEKERLQYFASPEGRDDLYQYNQKERRTVLEVLEDFPSVQMPFEWLVQLVPPLKKRAFSISSSPLAHPNQVHLTVDVVSWTTPFKRKRRGLCSTWLASLNPEQRIYIPVWFRKGLLPPPPPSLPLILVGPGTGCAPFRGFVDERAAQSLSGSIAPIILFFGCRNEENDFLYRDFWSSHSQNDGVLSEAKGGGFYAAFSRDQPLKVYVQHKMQEQSQRIWNLLCEGAAIYVAGSSTKMPSDVMLAFEEIISKESGAPRESAARWLRSLEKAGKYHVEAWS >EOY33088 pep chromosome:Theobroma_cacao_20110822:9:35374775:35383010:-1 gene:TCM_041083 transcript:EOY33088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nadph-cytochrome P450 oxydoreductase isoform 2 MEIKSKKLLILYATQTGNALDAAERIAREAERRACLVVIRSTDEYDASSLPEEDAVIFVVSTTGQGDAPDSMKVFWRFLLQRNLGSHWLEGVHYAVFGLGDSGYQKYNFVAKKLDKRLSDLGATAVVERGLGDDQHPSGYEAALDPWMSALWSRLSEIKPNFFPEGPNFLVPNVELIGRPKFQVTYHNIDKMDSQLSSATDFEYLEMQIGRACSMYAGKVSHEKCKPDAFLKMIKNFPLTRASHEKDVRHLEFEFVTQVVQYEIGGVLEVLPSQNPAAVDSFIQHCNLDPESFISIHPRELENHHLDDNVNTLEVPIKLRTFVELTMDVASASPRRYFFEVMSFFATAEHEKERLQYFASPEGRDDLYQYNQKERRTVLEVLEDFPSVQMPFEWLVQLVPPLKKRAFSISSSPLAHPNQVHLTVDVVSWTTPFKRKRRGLCSTWLASLNPEQRIYIPVWFRKGLLPPPPPSLPLILVGPGTGCAPFRGFVDERAAQSLSGSIAPIILFFGCRNEENDFLYRDFWSSHSQNDGVLSEAKGGGFYAAFSRDQPLKVYVQHKMQEQSQRIWNLLCEGAAIYVAGSSTKMPSDVMLAFEEIISKESGAPRESAARWLRSLEKAGKYHVEAWS >EOY33743 pep chromosome:Theobroma_cacao_20110822:9:38870710:38873183:-1 gene:TCM_041639 transcript:EOY33743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase, putative MKKVELIFIPTPGIGHLVSTIEFAKRLIHQDDRIWITVLSMKWSPSASVDAYTKSLVASQPDRIQLIDLPQVDPPSLDLRKSAENYIYAFIESYIPPVRDAVRNIVSLRSSSDSGRVAGLVLDFFCAPMIDIATELGLPSYIYLTTNTAFLGLMLYLPTRHSQNSSEFEITDSEQLIPGFVNPVPLCVLPSAVFNKYGGYTAYVKVAERFKDAKGIIVNTFEAIEPCALNYFLNGPNPPIYPVGPVIDLNALPHPELDLDKRSKVMTWLDDQPQSSVIFLCFGSMGCFGAPQVKEIALGLEQSGYRFLWSLRMPPPSQNDDTLIYKNPEEMLPEGFLERIQGRGIICEWAPQVEVLANKAIGGFVSHCGWNSILESLWFGVPIVTWPMYAEQQLNAYLMKELGLAVVMRLDYRRGRSDLVMAEEIEKAIQLVMDGGSDLRKKVKEMAEMARKAVMKGGSSFISIGRLIEDMIGSN >EOY29854 pep chromosome:Theobroma_cacao_20110822:9:2578579:2579599:1 gene:TCM_037256 transcript:EOY29854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAENASRLGADQGTISWKPDKDLLEHDRERRIEVKLVMLEDELVDQAYPSCEVGGGQEGFGSQGKWRIGSFEQQASVAYSGFLDVEMTDKRKEN >EOY32099 pep chromosome:Theobroma_cacao_20110822:9:20892488:20899626:1 gene:TCM_039592 transcript:EOY32099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGSSLAAMDVEISVNKRNETWILVDRSKDQSVIGVKWIYKTKLNLDSSINKYKARLVVKCYSQTYGIDYFETFSYIARHDTIRLLVALSAREAVKRVLRYVKGTVDFGLSGVFSWNSKKLEVVAQSSIEVEYIAIVTATNHAQWLRKVFFDLGFSQVKSQCCMWTTNLRLP >EOY33256 pep chromosome:Theobroma_cacao_20110822:9:36154953:36155849:-1 gene:TCM_041209 transcript:EOY33256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFNGIIIMEQQHSSASTSHAYKQHYLPLSQPQSSTFRYCSLTLVTTQFNYLISTPFHRVTNSPTVLF >EOY30221 pep chromosome:Theobroma_cacao_20110822:9:3834229:3836345:1 gene:TCM_037500 transcript:EOY30221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-11 MDFFENRPIVCDNGSGSVKAGFGGDDAPSVLFPSITGRPRNRHAMVGFGQKDIYFGDEAQARRGILRLSYPIDHGIVRDWEAMERLWEHTFDTELRVTIEEHPVLLTEAPLNPRINREKMVEIMFEAFDVPATYIAIQAVLSLYASGRTTGVVMDSGEGVTHVVPIYEGYALPHAINRLDLAGKDLTDYLTKILTQEGYVFTTSAEKEIVRDIKERLSFVAMDFNKELVTSRESSELDRQYELPDGQVITIGASRFKCPEVLFDPSRVGMESGGLHEILVRSIRRSDMDVRREMFGNVVLSGGTTLMPGLADRLAKEVSSLAPPGVRVRVIAPPERKYSVWIGGSILASLSTFERMWITQEDYMESGSSIVHMKCF >EOY33001 pep chromosome:Theobroma_cacao_20110822:9:34841458:34845222:1 gene:TCM_041008 transcript:EOY33001 gene_biotype:protein_coding transcript_biotype:protein_coding description:20S proteasome alpha subunit G1 MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVMGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEANNYESVYGESIPVKELAARVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMVEPSGISYRYFGAAIGKGKQAAKTEIEKLKLSEMTCREGVIEVAKIIYKVHDEAKDKAFELEMSWVCDESKQQHQKVPDDLLEEAKVAARVALEEMDAD >EOY30474 pep chromosome:Theobroma_cacao_20110822:9:4730284:4733930:1 gene:TCM_037673 transcript:EOY30474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein isoform 1 MTSSDSLSQTKPIPIPTQEEDPSSQSTALLSFNTDSSLDPSAKESPSKPTTTIVFIALILLTCVALSAAFAFAFLFYSSPSSSSSSSATVHNLSRPLKKLEKPVVLLISSDGFRFGYQFKTPTPNIHRLIANGTEAEMGLIPVFPTLTFPNHYSIVTGLYPAYHGIINNYFVDPNTGEVFTMASHEPKWWLGEPLWETVVNHGLKAATYFWPGSEVKKGSWNCPVKFCMDYNGSVPFEDRVDTVLSYFDLPGSEIPAFMTLYFEDPDHQGHQVGPDDPEITEAVARIDRMIGRLIDGLEKRGVFEDVTIIMVGDHGMVGTCDKKLIFLEDLAPWTEIPKEWVQSYSPLLAIRPPPGYAPSDIVAKMKDGLESGKVENGKFLKVYLKEELPSRLHYAASDRIPPIIGLIEESFKVEQKRTKRKECGGSHGYDNAVFSMRTIFIGHGPQFARGKKVPSFENVQIYNLVTSILKIPGAPNNGSSSFPASMLLPSQ >EOY30475 pep chromosome:Theobroma_cacao_20110822:9:4730258:4733015:1 gene:TCM_037673 transcript:EOY30475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein isoform 1 MTSSDSLSQTKPIPIPTQEEDPSSQSTALLSFNTDSSLDPSAKESPSKPTTTIVFIALILLTCVALSAAFAFAFLFYSSPSSSSSSSATVHNLSRPLKKLEKPVVLLISSDGFRFGYQFKTPTPNIHRLIANGTEAEMGLIPVFPTLTFPNHYSIVTGLYPAYHGIINNYFVDPNTGEVFTMASHEPKWWLGEPLWETVVNHGLKAATYFWPGSEVKKGSWNCPVKFCMDYNGSVPFEDRVDTVLSYFDLPGSEIPAFMTLYFEDPDHQGHQVGPDDPEITEAVARIDRMIGRLIDGLEKRGVFEDVTIIMVGDHGMVGTCDKKLIFLEDLAPWTEIPKEWVQSYSPLLAIRPPPGYAPSDIVAKMKDGLESGKVENGKFLKVYLKEELPSRLHYAASDRIPPIIGLIEESFKVEQKRTKRKECGGSHGYDNAVFSMRTIFIGHGPQFARGKKVPSFENVQIYNLVTSILKIPGAPNNGSSSFPASMLLPSQ >EOY34258 pep chromosome:Theobroma_cacao_20110822:9:40487557:40488502:-1 gene:TCM_041992 transcript:EOY34258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPQQNFPLPPKRGRITIRIIKGLWKSAANFLSTGSGRRRARRERGGGLSSSSTTPGHATPIDYNSDGSLDSLG >EOY33551 pep chromosome:Theobroma_cacao_20110822:9:38001849:38006188:-1 gene:TCM_041498 transcript:EOY33551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKQFLSCRVKRLYLNAKNKLLGKKPRFPLLAWLGFSSKTTQGLRHGIRGGEFRKSKGCPSCQSKFKSYFGCLRGECCPETETMLRLSDPWCGNSSCGFFASWKVPCIAFITCGSWDESELNSVPFLFHHSELEALCKGKWMPPSPLHFS >EOY29358 pep chromosome:Theobroma_cacao_20110822:9:885306:889291:1 gene:TCM_036921 transcript:EOY29358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal cell-derived factor 2-like protein precursor isoform 2 MLYILSFLNILLFYNRIYLKEKKNSSTVAPSGPQAVSRRKPSSSSEPPSSSSPGFFGLALFLFLTLDFDQGSPSTASAASSSQNVEITYGTILKLMHEKTKFRLHSHDVPYGSGSGQQSVTSFPNVDDSNSYWIVRPMPDTSTKQGETIPSGTIIRLQHMRTRKWLHSHLHASPISGNLEVSCFGGESESDTGDYWRLIIEGSGKTWKQDQRIRLQHVDTGGYLHSHDKKYARIAGGQQEVCGVREKRADNVWLAAEEGGRSSCTFPEHSPEVLIPSF >EOY29359 pep chromosome:Theobroma_cacao_20110822:9:886441:888759:1 gene:TCM_036921 transcript:EOY29359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal cell-derived factor 2-like protein precursor isoform 2 MAIGFFGLALFLFLTLDFDQGSPSTASAASSSQNVEITYGTILKLMHEKTKFRLHSHDVPYGSGSGQQSVTSFPNVDDSNSYWIVRPMPDTSTKQGETIPSGTIIRLQHMRTRKWLHSHLHASPISGNLEVSCFGGESESDTGDYWRLIIEGSGKTWKQDQRIRLQHVDTGGYLHSHDKKYARIAGGQQEVCGVREKRADNVWLAAEGVYLPITESK >EOY29981 pep chromosome:Theobroma_cacao_20110822:9:2960346:2961232:1 gene:TCM_037337 transcript:EOY29981 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Mitochondrial distribution/morphology family 35/apoptosis (InterPro:IPR007918); Has 214 Blast hits to 214 proteins in 102 species: Archae - 0; Bacteria - 0; Metazoa - 110; Fungi - 69; Plants - 29; Viruses - 0; Other Eukar /.../- 6 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G33100) TAIR;Acc:AT4G33100] MGLGKRDKKSSSTATSPCAHLRAAYHNCFNRWYSEKFVKGQWDKEECISEWQKYRACLSEHLDDKHLSRFLEAEGPVGYVFQEDSGNPVENTAK >EOY34161 pep chromosome:Theobroma_cacao_20110822:9:40100337:40101723:1 gene:TCM_041917 transcript:EOY34161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive (dirigent-like protein) family protein, putative MATSTLLLSITILALTITCAAVARVLDEEDNPVPTTVPDDPSSVAPPVAGAAPVVASSAAVTGTTVTSAADPHHPLTFFMHDILGGSNPSARAVSGIVSNPAVSGQVPFAKPNGANLPVNNGVSVNSNNNGIINNNNVPFLTSLGGTSATNAVQNNGNNPINNGIGGVAVLSGGQVPAGSTIQKFMFGTLTVIDDELTEGHELGSGLIGKAEGFYVASSIDGNSQTMAFTAMFESGGYADSISFFGVHRTAVSESQLAIMGGTGKYLNAKGSAIVKTFPTTNQHETDGFETLLQFTVYLSY >EOY33589 pep chromosome:Theobroma_cacao_20110822:9:38185225:38190858:-1 gene:TCM_041527 transcript:EOY33589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMMTVPMCCFFAIFLRLYGVKFFNGGGYNGSYQTLWNSLLKLGRLPFSGNLEENMIDDLHNMKFNVDGSFKGKLGLLGCDSVIKDKHH >EOY33936 pep chromosome:Theobroma_cacao_20110822:9:39383592:39388375:-1 gene:TCM_041764 transcript:EOY33936 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein, putative MGYFHLGMFQRVWLGGSDMEVTGSICDVSSQAQREKLISTIFSEFGGKLNILINNVGTLISKPTLDFITKDFSLIMGINFESTYNLCQLAYPLLKALVARSIVFLSSVVGVVSVSSGSLYGATKGAMSHLAKCLACKWAKNNIRVNSVAPLVIRTPLVELYIQKFSETIICRTPMGCIGEPEEVSSLVGFLCLLASSYITGQTFYIDGGMSVNGFFYHETLIEKNSQASSQS >EOY34199 pep chromosome:Theobroma_cacao_20110822:9:40236947:40237627:1 gene:TCM_041941 transcript:EOY34199 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein MAMIPNFFGNRRNSIFDPFPLDVWDPFKDFDFPSLSSFPQLSRENSAFVNTRVDWKETPEAHVFKADLPGLRKEEVKVEIEDDRVLQISGERNVEKEDKNDTWHRVERSSGKFSRRFRLPEDVKMDHVKASMENGVLTVTVPKVEMKKPEIKSVVISG >EOY29141 pep chromosome:Theobroma_cacao_20110822:9:208837:211868:-1 gene:TCM_036775 transcript:EOY29141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRKDIDDDFSFLPRSTKSRRLDGGDLLSIMEEDPSTRVPLRLEHQERPLPTTTNNTQSNASFVDTMPSSQVSAILLYNSSNTPFLKSPTSTDLSIVIDSALYPGLKDRLLYPGDSWAVKSMEDKATDNGSSSGPNDCLAVVPWVAPQLPLPSGTETEITAPLQPTEAEDMDSEMMDAEESSVSNFAGKGFQDGEMVEGTEAFQLWQQQQQQHCLMPQFPQNMNTPVTWMNLFNQHNCLYQHSAVYYLAKVLVRGTVEF >EOY29859 pep chromosome:Theobroma_cacao_20110822:9:2598212:2600880:1 gene:TCM_037261 transcript:EOY29859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1000) [Source:Projected from Arabidopsis thaliana (AT2G25950) TAIR;Acc:AT2G25950] MACTHDHSCEDHDCSSNWSLYKHIDLSKVTALNEANPGSVKSVFKAWEERLNSSGEHLESNEGDPELLVYIPFTSDVKIKSISVVGGADGTSPSKMRVFINREGIDFSDAQGMQPVQEWDLVENLQGVLEYQTRYSKFQSVASITLHFPESFGGDTTQIHYIGFKGEATQLKRDVVATIVYEITPNPSDHKTRAETGGGFSQVE >EOY34568 pep chromosome:Theobroma_cacao_20110822:9:41466439:41467811:-1 gene:TCM_042195 transcript:EOY34568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein, putative MWRPWGNKKSPVRIHDTSSPFSCSSFKDIQHLCTEESSLSSATKRTANVFHRVRIANSLLRAWAPDRAGTRPDSCGKIPDSVTDRSISIPGADKRIVVYFTSLRVVRSTFEDCKTVRSILRGFRVSIDERDLSMDSGFLNELQGILGQSKLTLPRVFIGGRYMGGAEEIRQLHEAGELKKFVEGLPAAEPGTCDVCGGYRFVLCHECDGSRKLYTEKSGFKNCTACNENGLVRCPSCSCAPL >EOY32790 pep chromosome:Theobroma_cacao_20110822:9:33538330:33544213:-1 gene:TCM_040805 transcript:EOY32790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRKIDNWEQLEHEFFNHFSNTRLVIGKAVSFHDSDITKILEELLKFKLIQLPDMKQLEETDQVNDPNYCKYHRLVDHPIEKCFVLKDRIMGLYKDGKIEFKKNMVSANVASITNMLSQPNVLSMTIKLGSSNIMLTSNVSPSLEEMNLLTIDKLKEVNIGTTKEPHPTFISSSLSPEEKKSYFDLLTKYRHVFTWTYKEMPRLDPKAVVHHLIVKKGVLPIKQAQQRFYPNLIPQIEVDRASSTVDFHYAIFKFCTLSAFKST >EOY33954 pep chromosome:Theobroma_cacao_20110822:9:39472935:39474196:1 gene:TCM_041780 transcript:EOY33954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALVKPICCCSNKFPPSSIVEMKRRVLSSVPWSPFFHVGTTNSSVSGNGYGDKVTGDSKGIAAGEVPPEVPKRRVPAAAVFIRSSLRDAGACFCSLVGGFPTLVLVMPINCFSNMFPPSSILDMKPPGNFQQKRLSLSLFYLSQHPKPSMV >EOY32051 pep chromosome:Theobroma_cacao_20110822:9:19509744:19518499:-1 gene:TCM_039467 transcript:EOY32051 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal, putative MCAKNWDLVKDLAVNSSFVYLEDMGRYLGLPLLHGREGWGGFAFCLGKCTAYCVELWAVSQGLRLARYSSLRKINLQIDNKLVMQAFTSPLVHPCSNLDLIQAIQDLHCQWEVGIHHVFQQGGFSALNIGRFDLSVSHMESLLANYASSDEEEEQQHRQPPPPTSHVSSLPQPKSSSLFSSLPHPKQTSQAPNIPIDHANQREDVEIPKLSVPHPKTPSNLFSSRPQPKSQAPQQQQPTNVKRIVQFKPPIIPTNHDDDDDEDDDDEKKERRRRRESETLAQGPSVKSFLSSIPAPRNSTTLGVAPTSGSGRRSIIETQVPTSTSAVFEDKNEASINQNAPNYSNYESGIGSNAGNSGNYQTSVSHNAGNYGNYESVVDQNVGHYATYADYGSYQSSSGPNIGSIGGVTSYGTCGDFHGQYENTWVDGSAATTLPEITGMAEIGVKVKGKRGRNELPTEIVEVRQDELMKNRPREDQVKMTGIAFGPSYQPAATKGKPSKLHKRKHQIGSLYFDMKQKEMELQERRSRGLLTKAETQAKYGW >EOY29856 pep chromosome:Theobroma_cacao_20110822:9:2588181:2591273:1 gene:TCM_037258 transcript:EOY29856 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding domain, putative MEEGESILEAIYEEDGLGDGEDVEMLDVEEGELVDNNSGNYREKGGVADANIESEGSQSKNKRRRANKKKNRKKKGGSGPKAFDINRFVLDTCRRLKERKSYMVYTAVGCLGVSALSDLVREVDAIQSCGGQMTADGRRCRTGGGILWNIIKAREPAAYREIMKKAKDFEKQFKQQNVSQALAQNKESSCQGTACTLTNGTSASVPEDSQLIPQNLKEQFSAEGTRKSVHERIRVPVSYDDLLGEEQKEEKYNH >EOY34614 pep chromosome:Theobroma_cacao_20110822:9:41586924:41588131:1 gene:TCM_042226 transcript:EOY34614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGESVKLRRDSAISTRLCFKCSSAGAIYPEFHGFFLLCASGMRFQLSRNDTWQHRFRGFYFQLLPNPKL >EOY29574 pep chromosome:Theobroma_cacao_20110822:9:1616198:1618058:1 gene:TCM_037072 transcript:EOY29574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLRSEGRFLVRLLLYRKWKVKKPNSNLGADKRCCQVGIETIQWPSFHSCEGQRLSHFG >EOY33750 pep chromosome:Theobroma_cacao_20110822:9:38890838:38891676:1 gene:TCM_041644 transcript:EOY33750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMADMFQAERWQSEGLIRKLDEAWYSYIAGSRYGSFLAGLQSDKLNPNRRRALKQSNQKITRCWINNRMQADKGPEHSERIIFNQVAKDPSSSLPMTISKFICLCLFGAVEITFQVDFRIVRFYSTASWSCVLR >EOY30725 pep chromosome:Theobroma_cacao_20110822:9:5567340:5570785:-1 gene:TCM_037837 transcript:EOY30725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-associated protein 2 isoform 2 MSKPIEHDYIGLSEQQLPSMEKSSDAGNKKNGLHLKATELRLGLPGSESPEREDHDHKLLSLKSFVSGAKRGFSDTLNGGGKWVFGGGSGGGSEGLFSPRSGGSNGGNGLSGSDSGVGGPVLKDEAAQKPVVGQEKKPQVAGGSGHGNGNIAPVSKAQVVGWPPIRSFRKNTMASHPPKNDDDAEAKLGSGCLYVKVSMDGAPYLRKVDLKFYGSYKELSSALEKMFSCFTIGQCGSHGVPSRDGLSESRLIDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCKRLRIMKSSEAIGLAPRAMEKCKNRT >EOY30726 pep chromosome:Theobroma_cacao_20110822:9:5567216:5570906:-1 gene:TCM_037837 transcript:EOY30726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-associated protein 2 isoform 2 MSKPIEHDYIGLSEQQLPSMEKSSDAGNKKNGLHLKATELRLGLPGSESPEREDHDHKLLSLKSFVSGAKRGFSDTLNGGGKWVFGGGSGGGSEGLFSPRSGGSNGGNGLSGSDSGVGGPVLKDEAAQKPVVGQEKKPQVAGGSGHGNGNIAPVSNRAQVVGWPPIRSFRKNTMASHPPKNDDDAEAKLGSGCLYVKVSMDGAPYLRKVDLKFYGSYKELSSALEKMFSCFTIGQCGSHGVPSRDGLSESRLIDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCKRLRIMKSSEAIGLAPRAMEKCKNRT >EOY32214 pep chromosome:Theobroma_cacao_20110822:9:23403649:23407688:1 gene:TCM_039821 transcript:EOY32214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative isoform 5 CQYGERCKFLHVIQQQPKSNAFGFGTQASSHQQQKPNPFGFGVQNNVQSKGANDFGNKQNQFKNTWTRSSASSAPSLRQPDNQPQATNHQCTDPELCKRTIIEDFEHERPLWKLTCYSHWKNSPCDIVGDVSFEELRATAYDDAKHGLSLQSIVERERNLLNSKLVEFENLLRNPYTGPVGSTLAQQIPFPTATATAFSPQNTGRSQNGFPPSVSSFSQLGASLNSGSAVRPSIQSNNAFGQPISFSSSAQASSVFATNNIPLANAFSFGNQQPNQSVAAAFTTSMSSFSNSSVTSTALNQFSAPVVSTQNLSLSSVQPPALFNVSNLISKADGQSATDIQLGSNLQRKIVSGDSSVWLKEKWIPGEL >EOY32215 pep chromosome:Theobroma_cacao_20110822:9:23403649:23407688:1 gene:TCM_039821 transcript:EOY32215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative isoform 5 CQYGERCKFLHVIQQQPKSNAFGFGTQASSHQQQKPNPFGFGVQNNVQSKGANDFGNKQNQFKNTWTRSSASSAPSLRQPDNQPQATNHQCTDPELCKRTIIEDFEHERPLWKLTCYSHWKNSPCDIVGDVSFEELRATAYDDAKHGLSLQSIVERERNLLNSKLVEFENLLRNPYTGPVGSTLAQQIPFPTATATAFSPQNTGRSQNGFPPSVSSFSQLGASLNSGSAVRPSIQSNNAFGQPISFSSSAQASSVFATNNIPLANAFSFGNQQPNQSVAAAFTTSMSSFSNSSVTSTALNQFSAPVVSTQNLSLSSVQPPALFNVSNLISKADGQSATDIQLGNLQRKIVSGDSSVWLKEKWIPGEL >EOY32216 pep chromosome:Theobroma_cacao_20110822:9:23399264:23406162:1 gene:TCM_039821 transcript:EOY32216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative isoform 5 MHYKKEPCRNFQRGSCQYGERCKFLHVIQQQPKSNAFGFGTQASSHQQQKPNPFGFGVQNNVQSKGANDFGNKQNQFKNTWTRSSASSAPSLRQPDNQPQATNHQCTDPELCKRTIIEDFEHERPLWKLTCYSHWKNSPCDIVGDVSFEELRATAYDDAKHGLSLQSIVERERNLLNSKLVEFENLLRNPYTGPVGSTLAQQIPFPTATATAFSPQNTGRSQNGFPPSVSSFSQLGASLNSGSAVRPSIQSNNAFGQPISFSSSAQASSVFATNNIPLANAFLT >EOY32213 pep chromosome:Theobroma_cacao_20110822:9:23399253:23407319:1 gene:TCM_039821 transcript:EOY32213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative isoform 5 MHYKKEPCRNFQRGSCQYGERCKFLHVIQQQPKSNAFGFGTQASSHQQQKPNPFGFGVQNNVQSKGANDFGNKQNQFKNTWTRSSASSAPSLRQPDNQPQATNHQCTDPELCKRTIIEDFEHERPLWKLTCYSHWKNSPCDIVGDVSFEELRATAYDDAKHGLSLQSIVERERNLLNSKLVEFENLLRNPYTGPVGSTLAQQIPFPTATATAFSPQNTGRSQNGFPPSVSSFSQLGASLNSGSAVRPSIQSNNAFGQPISFSSSAQASSVFATNNIPLANAFSFGNQQPNQSVAAAFTTSMSSFSNSSVTSTALNQFSAPVVSTQNLSLSSVQPPALFNVSNLISKADGQSATDIQLGSNLQRKIVSGDSSVWLKEKWIPGEIPEEAPPDAYV >EOY32217 pep chromosome:Theobroma_cacao_20110822:9:23399192:23406393:1 gene:TCM_039821 transcript:EOY32217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative isoform 5 MHYKKEPCRNFQRGSCQYGERCKFLHVIQQQPKSNAFGFGTQASSHQQQKPNPFGFGVQNNVQSKGANDFGNKQNQFKNTWTRSSASSAPSLRQPDNQPQATNHQCTDPELCKRTIIEDFEHERPLWKLTCYSHWKNSPCDIVGDVSFEELRATAYDDAKHGLSLQSIVERERNLLNSKLVEFENLLRNPYTGPVGSTLAQQIPFPTATATAFSPQNTGRSQNGFPPSVSSFSQLGASLNSGSAVRPSIQSNNAFGQPISFSSSAQASSVFATNNIPLANASAFTTSMSSFSNSSVTSTALNQFSAPVVSTQNLSLSSVQPPALFNVSNLISKADGQSATDIQL >EOY32212 pep chromosome:Theobroma_cacao_20110822:9:23399172:23407660:1 gene:TCM_039821 transcript:EOY32212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative isoform 5 MHYKKEPCRNFQRGSCQYGERCKFLHVIQQQPKSNAFGFGTQASSHQQQKPNPFGFGVQNNVQSKGANDFGNKQNQFKNTWTRSSASSAPSLRQPDNQPQATNHQCTDPELCKRTIIEDFEHERPLWKLTCYSHWKNSPCDIVGDVSFEELRATAYDDAKHGLSLQSIVERERNLLNSKLVEFENLLRNPYTGPVGSTLAQQIPFPTATATAFSPQNTGRSQNGFPPSVSSFSQLGASLNSGSAVRPSIQSNNAFGQPISFSSSAQASSVFATNNIPLANAFSFGNQQPNQSVAAAFTTSMSSFSNSSVTSTALNQFSAPVVSTQNLSLSSVQPPALFNVSNLISKADGQSATDIQLGSNLQRKIVSGDSSVWLKEKWIPGEIPEEAPPDAYV >EOY32549 pep chromosome:Theobroma_cacao_20110822:9:31128943:31129454:1 gene:TCM_040530 transcript:EOY32549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDILIFEVLMSCSVTETLNSISSKVQQTPRNLLVKSVITLPTFWISSKLQSHFGWCANRIAILHLKPV >EOY34245 pep chromosome:Theobroma_cacao_20110822:9:40452391:40456021:-1 gene:TCM_041984 transcript:EOY34245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MGCFCCAGNSSQRSEKPTNKNRNGSDYSSKRNTHHDHTQASSDALKVSPYESVKKEEVAKDDQLSLDVKNLNLNDEVSKDGKASQLAQSFTFAELAAATGNFRSDCFLGEGGFGKVYKGFLEKTNEVVAIKQLDRNGVQGVREFVVEVLTLSMAEHPNLVKLIGFCAEDEQRLLVYEYMPLGSLENHLHDLPPSRKPLDWNMRMKIAAGAARGLEYLHDKMKPPVIYRDLKCSNILLGEGYHPKLSDFGLAKVGPIGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLELITGRKAIDNTRDHGEQNLVAWARPMFRDRRNFSRMVDPLLQGQYPVRGLYQALAIAAMCVQEQPNMRPAISDVVMALNYLASQQYDPSNPVQSSRRSTATLAVTRDDDRGPVADHEPKTY >EOY34036 pep chromosome:Theobroma_cacao_20110822:9:39754101:39756478:-1 gene:TCM_041837 transcript:EOY34036 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAX interacting protein 4 isoform 1 MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKEDSKNSSNHTAASAEPEGENAYASFQGLLALARITGSNADEARGACKRCGRVGHLTFQCKNFVSLKEEEKDPEAIQAAVLNGLDKLKGGKVNGKEEVESEEEEDESESSDSDEDSEIERIIAQRYGKKVSGKGKKSSKKKKTDDDDGSDSDYGERKTRGRSKKRSGRKRGSSNSDDENESRRKRRKEKRRKRDESSDEDDERRRHSKRKSRKEKRRRRSHRSSDDSESSDVSDDYGKRHRRKSQRHKSPSDSDVSCSDDSRVGRGAKRSEERSRKRRHEDDE >EOY34035 pep chromosome:Theobroma_cacao_20110822:9:39753850:39756475:-1 gene:TCM_041837 transcript:EOY34035 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAX interacting protein 4 isoform 1 MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKEDSKNSSNHTAASAEPEGENAYASFQGLLALARITGSNADEARGACKRCGRVGHLTFQCKNFVSLKEEEKDPEAIQAAVLNGLDKLKGGKVNGKEEVESEEEEDESESSDSDEDSEIERIIAQRYGKKVSGKGKKSSKKKKTDDDDGSDSDYGERKTRGRSKKRSGRKRGSSNSDDENESRRKRRKEKRRKRDESSDEDDERRRHSKRKSRKEKRRRRSHRSSDDSESSDVSDDYGKRHRRKSQRHKSPSDSDVSCSDDSRVGRGAKRSEERSRKRRHEDDE >EOY34206 pep chromosome:Theobroma_cacao_20110822:9:40283527:40288540:1 gene:TCM_041950 transcript:EOY34206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein, putative isoform 2 MAKPGFLSFLAISLILMLSMILSSGAAEEDRKASLHCILGITTRRRILSIISPFHSVANYLIRSYSRSFNGFAAKLTNEEANKLASMRGVVSVFPSKVYHLQTTRSWDFMGLNKTAKRNRTVESDVIIGVIDTGIWPESESFSDEGFSPPPKKWKGSCKGGQNFTCNNKLIGARLYNLDLAVGDSVRDTEGHGTHTASTAAGNNVENASFFGLAKGTARGGVPSARIAAYKVCSAAGCGEADILAAFDDAIADGVDLITISIGTPFSLEFYQDSVAIGAFHAAEKGILTMQSAGNAGTSGLGSVSSVAPWILSVAASSTDRLFVDKVVLGNGTTLNGFSIHPFSLNGTKFPLVYDTDAGLCPVGFLNSSFVKNKLVLCDDFEGIIGAQGAGALGSIVATTFDNVSYVVPFPASALRIDDYFSVKSYLNSTKQPKAEILKSETIKDSAAPMVAPFSSRGPNFIVPDILKPDISAPGVDILAAYSQVASPSDTPTDKRRVKYSFISGTSMACPHAAGVAAYVKTFHPDWSPSAIKSAIMTTAWPMDRSNNPDREFGYGSGHLNPVEAINPGLVYEAVKGDYIRLLCSIGYDEGKVRQITGDNSSCPDTSKKMLPRDLNYPSLTAKVPVGKSFTVVFHRRVTNVGVARSTYKVKVSSNTKLKVKVIPEVLSFKSLKEKKSYNVTVTGEALGGTSMLSTSLV >EOY34207 pep chromosome:Theobroma_cacao_20110822:9:40283594:40292405:1 gene:TCM_041950 transcript:EOY34207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein, putative isoform 2 MLSMILSSGAAEEDRKVYIAYLGSLPEGEYFPSSHHSSMLQAVFKQSSVANYLIRSYSRSFNGFAAKLTNEEANKLASMRGVVSVFPSKVYHLQTTRSWDFMGLNKTAKRNRTVESDVIIGVIDTGIWPESESFSDEGFSPPPKKWKGSCKGGQNFTCNNKLIGARLYNLDLAVGDSVRDTEGHGTHTASTAAGNNVENASFFGLAKGTARGGVPSARIAAYKVCSAAGCGEADILAAFDDAIADGVDLITISIGTPFSLEFYQDSVAIGAFHAAEKGILTMQSAGNAGTSGLGSVSSVAPWILSVAASSTDRLFVDKVVLGNGTTLNGFSIHPFSLNGTKFPLVYDTDAGLCPVGFLNSSFVKNKLVLCDDFEGIIGAQGAGALGSIVATTFDNVSYVVPFPASALRIDDYFSVKSYLNSTKQPKAEILKSETIKDSAAPMVAPFSSRGPNFIVPDILKPDISAPGVDILAAYSQVASPSDTPTDKRRVKYSFISGTSMACPHAAGVAAYVKTFHPDWSPSAIKSAIMTTAWPMDRSNNPDREFGYGSGHLNPVEAINPGLVYEAVKGDYIRLLCSIGYDEGKVRQITGDNSSCPDTSKKMLPRDLNYPSLTAKVPVGKSFTVVFHRRVTNVGVARSTYKVKVSSNTKLKVKVIPEVLSFKSLKEKKSYNVTVTGEALGGTSMLSTSLVWSDGTHSC >EOY33580 pep chromosome:Theobroma_cacao_20110822:9:38135848:38142540:-1 gene:TCM_041521 transcript:EOY33580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like A02 isoform 3 MAQISPKLLIPESFQVSRDDITGQIGLIWELIKAPLIVPLLQLGVYICLIMSLMLFMERVYMGIVIILVKLFWKKPEKRYNFELIQDDVELGSSNFPVVLVQIPMFNEKEVYKISIGAACGLSWPSDRLVIQVLDDSTDPAIKLMVEQECQRWASKGINITYQIRENRTGYKAGALKEGLKRSYVKHCEYVAIFDADFRPEPDFLRRSIPFLARNPDIALVQARWRFVNADECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGIWRIAAINEAGGWKDRTTVEDMDLAVRSSLRGWKFVYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVWEIIQNKKVKFWKKVYVIYSFFFVRKIIAHMVTFFFYCVVLPLTILVPEVKVPIWGAVYIPSVITILNSVGTPRSIHLLFYWILFENVMSLHRTKATFIGLLEAGRANEWVVTEKLGDAVKKAADAAKNKTNAKAPRKFRFKFTDRLNTLELGFAAFLFICGCYDFVHGKNNYFVYLFLQTITFFITGIGYVGTII >EOY33582 pep chromosome:Theobroma_cacao_20110822:9:38135897:38142504:-1 gene:TCM_041521 transcript:EOY33582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like A02 isoform 3 MAQISPKLLIPESFQVSRDDITGQIGLIWELIKAPLIVPLLQLGVYICLIMSLMLFMERVYMGIVIILVKLFWKKPEKRYNFELIQDDVELGSSNFPVVLVQIPMFNEKEVYKISIGAACGLSWPSDRLVIQVLDDSTDPAIKLMVEQECQRWASKGINITYQIRENRTGYKAGALKEGLKRSYVKHCEYVAIFDADFRPEPDFLRRSIPFLARNPDIALVQARWRFVNADECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGIWRIAAINEAGGWKDRTTVEDMDLAVRSSLRGWKFVYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVWEIIQNKKVKFWKKVYVIYSFFFVRKIIAHMVTFFFYCVVLPLTILVPEVKVPIWGAVYIPSVITILNSVGTPRDSTHWSWDLQHSSSFVDAMILSMGRTTTLYTSSSKQLPSSSQELATLAPSSSGRVLVAFVLVYQCFFSPTSKSIKYKWESVCNI >EOY33581 pep chromosome:Theobroma_cacao_20110822:9:38137235:38142504:-1 gene:TCM_041521 transcript:EOY33581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like A02 isoform 3 MAQISPKLLIPESFQVSRDDITGQIGLIWELIKAPLIVPLLQLGVYICLIMSLMLFMERVYMGIVIILVKLFWKKPEKRYNFELIQDDVELGSSNFPVVLVQIPMFNEKEVYKISIGAACGLSWPSDRLVIQVLDDSTDPAIKLMVEQECQRWASKGINITYQIRENRTGYKAGALKEGLKRSYVKHCEYVAIFDADFRPEPDFLRRSIPFLARNPDIALVQARWRFVNADECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGIWRIAAINEAGGWKDRTTVEDMDLAVRSSLRGWKFVYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVWEIIQNKKVKFWKKVYVIYSFFFVRKIIAHMVTFFFYCVVLPLTILVPEVKVPIWGAVYIPSVITILNSVGTPRSIHLLFYWILFENVMSLHRTKATFIGLLEAGRANEWVVTEKLGDAVKKAADAAKNKTNAKAPRKFRFKFTD >EOY29097 pep chromosome:Theobroma_cacao_20110822:9:104882:106601:-1 gene:TCM_036754 transcript:EOY29097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMLESRLPTTISMACEGFSFQKFKIPNIRLPSSKSICTTNASASCSFPRHVCAVPHQEVEPRGSVWGRLGKPCDELSVSSKTELHDAGIREQKVLD >EOY32284 pep chromosome:Theobroma_cacao_20110822:9:26011390:26019294:-1 gene:TCM_040009 transcript:EOY32284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARTSQSKEISKKKKRERPMEEGTGTESLKKKLKIMPGSMKEIYEKLREKKQKTDKKKDTESSLHKVLVSGVYKVVNLLNEDEEGDIQGDEDEKDDMEGDEEEEEEEKEKEEVEDEDEETCCDDSGTMVKRKHSKPRPRATNASAIMSLPSNASVFADLSTRAQMQQVNDMPSEPPSLLATSAEQEDNETFTHDSSRSTSIDLGASVDGSSSRLRGRGLDVGLQTSIDPSERLLITPVGESTFFERRITTTITRIIKNHFNGPWPTWRKVPNDVKELMFKKFQAIKMKRDVSFLEVFNRTHKRMGGQGNVYFCTIAEVW >EOY31250 pep chromosome:Theobroma_cacao_20110822:9:7732707:7767274:-1 gene:TCM_038210 transcript:EOY31250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase, putative MESKWLWMFLVALILLEGWCDGCWEQEKIALLQLKPFFHNIKGLHNWAEGNESISDCCEWERVECNPTTGRVTRLFLNLTTTLTSSDLAYDGYYSDEGYEIYISEWNSGSTGWDYWYLDTSLFLPFEELKSLHLSGNSIAGCVHNGGFERLSLKLDKLEILDLSNNNLNDSILSSLSGLSSLKSLYLARNQFTESNSINGINILSKLKNLETLDLRGNILGNDVLSHLNGFTSLKSLRLQGCGLQGTVPMLEFSHLMNLKELYLGRNKIESFESFREKRELGFIKLEVLGLSENFFNNSIFSFLGVLSNLKSLYIISNILRGPIELDAFSNLENMHIDCSFDSLTYDGIAKLCLHQFVHGRLLDLPVSYCKFILYKGVRSTGCSLPLQSLSLFSSLKTLRLLGFSFNGRITTEIWQNLTSLEDLTVGYSSLPSNFIQDIGTLTSLKNLFLYYCEDTYEDENSNGKRFSK >EOY34228 pep chromosome:Theobroma_cacao_20110822:9:40407648:40408779:1 gene:TCM_041971 transcript:EOY34228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitinase 1 MNSHMLVLPLILQIFIALFSSNPAVAANSKLFREYIGAEDKGVTFSDVPINSQVNFHFILSFAIDYTNSSTPSPTNGDFGVYWDTENLSPSHVFSIKSQHPNVKVAMSLGGDTINDEHVYFSPKTINSWVRNAIHSITEISRKYNLDGIDIDYEHFHADPDTFAECIGRLLLFLKQNGVVSFASIAPYNDDSVQPHYLALWRKYGHLIDYVNFQFYAYHKGTTISQFLKYFHEQSSNYRGGKVLVSFGTDGSGGLSPQNGFFKACRRLKRQGKLHGIFVWSADDSKKAGFLYEKQSQTLLARST >EOY34588 pep chromosome:Theobroma_cacao_20110822:9:41528294:41531544:1 gene:TCM_042208 transcript:EOY34588 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein MLPQNLFLILLLVLTFPCLLGSTAGAIGVNWGAMSSHPLPAPKVVELLKSNNVSKVKLFDADPLVLQALSGTNIAVTAGIPNSMLKALNSSRKAAESWVHDNVTRYFSHGRAGVRIEYVAVGDEPFLQSYGEQFHPFVIGAAMNIQAALTKANLGSEVKVVVPCSFDTFVAESSLPSKGHFRTDLNKTMIELLTFLSKRHSPFFVTISPFISFQQNKNISLDFSLFKENVRTHNDSHRTYKNSFDLSYDTLVTALSKIGFPEMDIVVARIGWPTDGAANANTSVAETFMKGLVDHLHSKTGTPLRPRNPPIETFIFSLLDEDQRSITSGNFERHWGVFTFDGQAKYHVNFGQGSKNLVNAQFVEYLPSKWCVVNNNKDLSNATASALEACSVSDCTVLSPGGSCSNISWPGNISYAFNSYYQQHDQRADSCDFGGLGLVTTVDPSVGNCRFFVELQTSHSTSIHEAYVFQRIILLMPYLFACLLVFHIADPPCF >EOY32058 pep chromosome:Theobroma_cacao_20110822:9:19728699:19732567:-1 gene:TCM_039494 transcript:EOY32058 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter family protein, putative MAMGRIGVTFAVWALQGWYGSTLVTNKAAKGGDVFTAGANVVASRIFEMIQRVPHIDSANQQGKMLSDVKGELEFKDVHFAYPSRPGSLVLRRFSLAVKACQIVGLVGKSGSGKSTIVNLIERFHDPLTGEILLDGVNIKEFQLKWLRSQIGLEKATMEGIIRAAKAADAHNFISQLPDGYETLVGTLGIQMSEGQKQRISIARALLRDPRILLLDEATSALDLHSEKAVQDALNHVSEGRTTVVIAHRISALRNANLIAFIQEGQVVESGSHDQLMQKRNGLYSAMVQLQRTLIYKGASTSAAIEFNSSVAQDEGTDCIPETGDKLVAESSIQEKNIFQWQEDQKGSPSMWQLLRMTAPEWTSTLIGFIAALCYGLIQPMHSFCLGALLSVYFIDNHDEMRSQTKKYCFAFMSFAIFPLITNLIQHYSFGILGECLTKRVRDDLFGKIITFEMEWFDKENNSTGALCSRLATDATMVRTLVADRLSFFSQSISATTLAVILGMVLSWKLAAVSTAMQPLIIGSFYTKAITMRSMSRKILKAQNKSSDLASEAVGNHRIITAFYSQKKVLELYEITQMNPRKESRRQFWIAGFGLFISQFLTAANPALMFWYGGKLLSDGNVSYKHLFQTFFILTTTERVIAEAGSMTVDLTKGTAALNSIFIISNRKSKIDPDDVDGIKPEKINRDIELKHVDFYHLTRPRQIMLKDLSLRIDAGKVVSLLGQSGSGKSTTIRLIERFYDPWKGSVEVDGIDIKSYNLQALRSHIALVSQALRSHIALVSQEPTIFAGTIHDNIAYAKKNVTEAEIIEAATIANAHDFISSMKDGYATYRGERGTQLSGGQKQRIALARANLKNPAILPLDEATSELDINSQNLIQDALEKTMVGRTCLVVAHRLSTIQKSDNISVIDNGKIIEEGTHSELLAKGKKSAYCTLMKLQQLAAMRDYVVQIPGYTK >EOY29108 pep chromosome:Theobroma_cacao_20110822:9:150166:154411:-1 gene:TCM_036761 transcript:EOY29108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1997) [Source:Projected from Arabidopsis thaliana (AT4G31115) TAIR;Acc:AT4G31115] MYASRRDACPFVLRLVTGDDGYTVTASNTASGWTLSSSSRLRNATLPVQLHLHQVQASHIVASANAKQANLSASRIQRVELPVYDDTSKGRQAYHISHFLSHPSGIQAILNTSALQNFQYLDTNAYRCTLPKLAFFNFEATPVLDLRVIPTKEDCTVELFSCKFKGSKVVERQNDYFSASMINHITWDTDISEPFLEVNVKLNLSLEIYTRPFTSLPISAVERPGNLMMQALVDGLVPSLLQQLVQDYNNWVHQQLQNS >EOY31194 pep chromosome:Theobroma_cacao_20110822:9:7478598:7479213:1 gene:TCM_038168 transcript:EOY31194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METAVRYVKTPHHILPSFCFLLSNKRPVRSFLDISHAKTATTTTTTTTLALCPSPLASRPLSLLRGC >EOY32193 pep chromosome:Theobroma_cacao_20110822:9:22886103:22907431:1 gene:TCM_039785 transcript:EOY32193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory particle non-ATPase 13 MGSSSTEAFPAMQETLLEFRAGKMLLEGKRVVPDTRKGLIRITRGEEGLVHFQWLDRTQNAIEDVSYFLYFVLLSHFNISFCLILVIHFHQAEMVSSVAHPCKLQDQIIFPDEAVFEKVNQASGRVYILKFNTDDRKFFFWMQEPKAEDDSQLCSSVNNIINQPLEFLGDEEPDASAPLQVSEDMVEDDISSRAGNLTVTNLGAEAISDVTSSSGPVKLEDLQRILSSIGPAEIAGDPDGGLELGDILKPDLIMPLIETLPLEERLASYLPEGQWTPEDLLELLQSPPFRQQVDSFTYVLRTGQIDLSQFGIDPSKYKFTVLSFLEALEDSVSKMSESGDTWQDDKDLRPQSCNRNDPMDESK >EOY30308 pep chromosome:Theobroma_cacao_20110822:9:4182575:4186229:1 gene:TCM_037566 transcript:EOY30308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication A 1A-like protein MPVNLTRNAIASINTGDVNSKPLVQVVDIKLIGNSQERYRFLLSDSESSQHAMLATQLNEQVRTGRVKKGSIIQLIDYVCSTVQNRRIIVVLNMETIIPEYEIIGNPKLLTDSDSTTNKSLPNLTRNAIVSINAGDVNSKPLVQVVGIKLIGNSQERYRFLLSDSESSQHAMLATQLNEQVRTGRVKKGSIIQLIDYVCSTVQNREKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCTIAQGESSLQASRRSCCPKGLLWCLEIHHGEWCQGMRGYC >EOY34115 pep chromosome:Theobroma_cacao_20110822:9:39972505:39974840:-1 gene:TCM_041889 transcript:EOY34115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin depolymerizing factor 4 MANAASGMAVHDDCKLKFLELKAKRTYRFIVFKIEEKQKQVVVEKLGEPTQSYEDFTASLPADECRYAVYDFDFVTAENCQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIRSRAS >EOY30784 pep chromosome:Theobroma_cacao_20110822:9:5772529:5777412:-1 gene:TCM_037873 transcript:EOY30784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline extensin-like receptor kinase 1 MSSPSPGATPAPSSPPSTNTTAPPPPTTAASPPPTTTPSPPPATPSPPPATASPPPATPSAPPPSTTPSPPPPSTSSPPPSSSTSPPPPATTSPSPPPPSSSTPSPPPPRSLETPSPPPPSGSSGTPSPPPPSSSPDSNNGVSTGLVVGIAIGGVAILLVLSLLFICCKKKRRRRDEESYYVPPPPPGPKDDPYGGQQYRRQQNAPPHADQYGAVPSKPMPPPVTAWRPPSPARSPTPPMPPPPPPPPFMSSSGGSGSNYSGSENPLPPPSPGIALGFSKSTFTYEELARATDGFSEANLLGQGGFGFVHRGVLPNGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGTTRMLVYEFVPNNTLEFHLHGKIVLGVAGHRKGRPTMDWPTRMKLALGSAKGLAYLHEDCHPKIIHRDIKTANILLDFKFEAKVADFGLAKFSSDVNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGHRPVGSSFIEDSLVDWARPLLTRALDDGNFDSLVDPKLQKEYNHNEMVRMVACAAACVRHSARRRPRMSQIVRALEGDASLSDLNEGMRPGQSNVYSSYGSSDYDTSQYNEDMKKFRRMALGTQEYGASSEYSEPTSEYGLYPSGSSNEGQTTREMEMGRRKKNSQGFS >EOY34112 pep chromosome:Theobroma_cacao_20110822:9:39962031:39964333:1 gene:TCM_041886 transcript:EOY34112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLIANSESEKPKSSLGLQNPVLSLPSVITKEHIPAVDDDDLLEFDFGTVCDTSQTPRIRRAENFRLPEFSFDTILNLPPRKKAYEHDQTPVLPILEPKQTTQKSTTFSPIITTMVAPQKNIFDDDDDMPEWCPPNVELSKPTVLNQQSLVKAYRPYAGRDSNWR >EOY33733 pep chromosome:Theobroma_cacao_20110822:9:38823576:38829451:-1 gene:TCM_041629 transcript:EOY33733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Damaged DNA binding 2 MAPQTRRTAFPKVLIGRDSDYEQSSSEEEEEEEEEGGPPSEEDNENEEKMEDFKDAKRKGKIPITISLKKVCKVCRRAGHEAGFKGATYIDCPMKPCFLCKMPGHTTMTCPHRVATEHGVIPAPHKNTQNPVEFIFERQLRPGIASIKPAYVIPDQVNCAAIRYHSRRVTSLEFHPTYNHILLSGDKKGQLGVWDFAKVHEKTVYGNIHSCILNNMRFSPANDGTIYAASSDGTVSCTDLETGISTSLMNLNPDGWQGPGSWRMLYGMDINSEKGAVLVADNFGFLYLVDTRSNSRIGKAIMIHKKRSKVVGLHCNPVQPDLLLSCGNDHFARLWDMRRLEAGSALYNLEHRRVVNSAYFSPLSGSKILTTSQDNRLRIWDSIFGNLDSPSREIVHSHDFNRHLTPFRAEWDPKDPSESLAVIGRYISENYNGTALHPIDFIDINTGHLVAEVMDPNITTISPVNKLHPRDDVLATGSSRSLFIWRPKEKLGVAEPLDARKIIVFGGDGKKRGKKYRDEDDDDSDDDKFTFKGKNVKVKKSEKQTTRYSRKAKR >EOY34203 pep chromosome:Theobroma_cacao_20110822:9:40257188:40263489:-1 gene:TCM_041945 transcript:EOY34203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 17 MGASLLSSPAFLVFFSFFALCLLPGPVLGITRHYKFDIKLHNVTRLCHTKSIVSVNGQFPGPRIVAREGDQLLIKVVNHLSNNISIHWHGIRQLRSGWADGPAYVTQCPIQTGQSYVYNFTIVGQRGTLFWHAHISWLRATVYGPIVILPKRGVPYPFAKPYKEVPITFGEWFNADPEAVISQALRRGGGPNVSDAYTINGLPGPLYNCSAKDTFKLKVRPGKTYLLRLINAALNNELFFSVANHTLTVVDFDAIYVKPFETETLLITPGQTTNVLLKTKPSYPSATFFMTARPYVTGQGTFDNSTVAGILEYELPPDSFHSSIKMLPLFKPILPALNDTSFATNFANKLRSLASAQYPANVPQKVDKHFFFAVGLGTSPCQQNRTCQGPNGTKMAASVNNVSFAMPTTALLQAHFLGQSNGVYTPDFPSNPIIPFNYTGTTPNNTMVSNGTKVVVLPFNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPKKDLANFNLVDPIERNTVGVPSGGWVAIRFLADNPGVWLMHCHLEVHTSWGLKMAWIVLDGKLPNQKLLPPPADLPKC >EOY31263 pep chromosome:Theobroma_cacao_20110822:9:7889685:7891145:-1 gene:TCM_038233 transcript:EOY31263 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein MVIMGIQKPAWLEALYSQKFFVGCSYHETAKKNEKNVYCLDCCISICPHCIPSHRFHRLLQVRRYVYHDVVRLEDLQKLIDCSNVQAYTINSAKVVFIKKRPQNRQFKGAGNYCTSCDRSLQEPFIHCSLGCKVDFVLKHYKDLSAFLRKCNTLTLSPDFLIPQDTGEDEMTNETPHSTIVDSDEPMSWSSGSSGSENMSMAYSSDQIVRKKRSGLYLCGRSANKVSDEDMATSMSRRKGIPHRSPLC >EOY34148 pep chromosome:Theobroma_cacao_20110822:9:40062582:40063529:1 gene:TCM_041908 transcript:EOY34148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVCTRSVWIEQMKKNWGLSSFRFEPQEMRKSNTCSSINPFPPSPSTLIVPYPRLHGIPLAITIITHLHELEDGVSI >EOY29586 pep chromosome:Theobroma_cacao_20110822:9:1665597:1669461:-1 gene:TCM_037085 transcript:EOY29586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport protein particle (TRAPP) component MIGVGKIKQYSNVLDKPLSKGKQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHRDKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNKFISIPKDMGTFNCGAFVAGIVRGVLDSAGFPAVVTAHFVPMEGQQRPRTTILIKFAEEVLQREARLG >EOY29637 pep chromosome:Theobroma_cacao_20110822:9:1853146:1857646:1 gene:TCM_037124 transcript:EOY29637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine-modulated factor 1-binding protein 1 MASSPSQAVAEAESQLSSLVYEMSQHVQTAMENMLKMISEIDQNSSGVREEIEKCKDSALERKKGLEEEKERLQKAAYTILDMLSNRD >EOY29220 pep chromosome:Theobroma_cacao_20110822:9:395824:397660:-1 gene:TCM_036821 transcript:EOY29220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L28 family, putative MAFRGKEMMKKLVKKVGENNLAPGVKEQLKKCIPDSKVVMNRAKRGLYAGRHIQFGNRISEDGGNKYFFLFHSITPCFCPEGICGVVFRRQYWPWLSKNGENDSCSQPLVLGIKALVQSRRSWKPNVQDKRLFSYILDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYQKMDTEMGLFWKAKIEKMYEELGQMEVVFFSPEEEAKFEQGFKDLKLAERAARRDARRQMYGWTGKQEKIEDGKTSQVTDDETASIGEDS >EOY29328 pep chromosome:Theobroma_cacao_20110822:9:761915:765918:-1 gene:TCM_036903 transcript:EOY29328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endomembrane protein 70 protein family MESRSRSKDKSTTAMISAVLFLFLIHGAHCFYLPGVAPEDFQKGDPLKVKVNKLTSIKTQLPYSYYSLPFCLPKKIVDSAENLGEVLRGDRIENSRFVFKMREPQMCAVLCQITLDAKTAKHFKEKIDDEYRVNMILDNLPLVVPIRRLDQEAPTVYQLGYHIGLKGQYSGSKEEKYFIHNHLAFTVKYHRDQQTDSARIVGFEVKPYSVRHEYEGKWSEKTRLTTCDPHTKRTVVNSNTPQEVEAGKDIIFTYDVEYQESEVKWASRWDAYLLMSDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFLGMVLVTMIFAILGFLSPSNRGGLMTAMLLLWVFMGIFAGYASTRLYKMFKGAEWKKIALRTAFMFPAIVFAIFFVLNALIWGQESSGAVPFGTMFALVLLWFGISVPLVFVGGYVGFRKPAIEDPVKTNKIPRQIPEQAWYMNPIFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILLITCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGLLYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >EOY31173 pep chromosome:Theobroma_cacao_20110822:9:7361663:7364117:-1 gene:TCM_038154 transcript:EOY31173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein, putative MERKLYEAAVEGSVISLLSLLQEDALLLDRFITGRYPETPLHVASMLGHLEFVDEILCRKPELSKEVDSRKSSPLHLAAAKGYLDIAKRLLHVNPDMCHVCDFDGRNPLHIAAIKGHINVLRELIRARPWAARSLMDEGETILHACVRYNQLEAMKLLMEIADHEFVNCKNYDGNTILHLAIADKQTETIHYLISSTTIEVNCENADGFTPLDLLSQNERNLKEKEIIESLRRIGAVHVKDKPLSDRQLKAVRIKILSSSSISNQTNASKPKKSKVRKRFKINSNADWLERKRGTLMLVASLLATLAFQVGVNPPSGVWQDTSPSDSSSMAKSPRFSIMADTYPGRYTLFLIANTTGFMASLSIILLLISGLTLRHRFFMWILMNVMWVAITAMAVTYTMSVLAVTPNLADHPGSALIKFRHAVSVWILMMLALLLAQTIRLIITLIKYLLQLVVKLINLISTL >EOY32634 pep chromosome:Theobroma_cacao_20110822:9:32310412:32315988:-1 gene:TCM_040652 transcript:EOY32634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFQTLVQFGGLPNDNPNAYISNFLEISDTFKHNGVMDDAIRLYAFYFAKALSDLETSINMMPQSIYKKLGEIEPTIVALQLVNNTITYLRNIIEDVLVKVDKFIFLVDFIVLDIREVSIADRVAREIFEESHSINPLKGKPSIEEPSNLEVKPLPNHLRYAYMEGMHGLRKLNKSMRKDHFPLSFIDQIVDWLTGKKFTISWIVTLQRCMMAMFSNVVEHSVEVFMDDFSAFGNNFDDCLLNLDRFLRRHEEINLDVPFKFDDACHAAFIELKKRLISVPILVVPDWSLPFELMCDASDYAIGAVPGQRKYKMFHSIYYDSKILIETQIHYTTIVKELLVIVYAFDKFCSYLIHMKVVAYTNHSVIKYLIAKKDTKPRLIMWILLLQKFDLKIKDRKGTENQVAYHLSSLENDNHGRDSILINENFPDEQLLFVGQKKIPWYADYVNYIVSKLFPSNLNFHHKKKFIHDVIIFTWDEPFLFKQCADKMLRRCIPKEKVENILKHYHSLTYG >EOY31220 pep chromosome:Theobroma_cacao_20110822:9:7597755:7602651:1 gene:TCM_038184 transcript:EOY31220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic H pyrophosphatase family protein isoform 1 MGASILTDLGAEILIPVCAVVGIAFSLVQWVLVSKVKLSPGRDSGSPGNNGAGAKNGYADYLIEEEEGLNDHNVVLKCAEIQNAISEGATSFLFTEYQYVGIFMVAFAILIFLFLGSVEGFSTKSQPCMYDQSKMCKPALATAAFSTISFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLFKLYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHELTPMLYPLIISSVGILVCLITTLFATDFFEIKAVKEIEPSLKRQLIISTVLMTVGIGIVSWIALPSSFTIFNFGDQKAVKNWQLFLCVSVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSCIIPIFAIAVSIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGSAKPDYATCVKISTDASIKEMIPPGALVMLTPLLVGIFFGVETLSGVLAGSLVSGVQCRLLSLHRTQGVLGIMPRSILRLVLQNMQDLLGPKDQIHIRQLLLVTPLGTL >EOY31219 pep chromosome:Theobroma_cacao_20110822:9:7597629:7603201:1 gene:TCM_038184 transcript:EOY31219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic H pyrophosphatase family protein isoform 1 MGASILTDLGAEILIPVCAVVGIAFSLVQWVLVSKVKLSPGRDSGSPGNNGAGAKNGYADYLIEEEEGLNDHNVVLKCAEIQNAISEGATSFLFTEYQYVGIFMVAFAILIFLFLGSVEGFSTKSQPCMYDQSKMCKPALATAAFSTISFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLFKLYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHELTPMLYPLIISSVGILVCLITTLFATDFFEIKAVKEIEPSLKRQLIISTVLMTVGIGIVSWIALPSSFTIFNFGDQKAVKNWQLFLCVSVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSCIIPIFAIAVSIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGSAKPDYATCVKISTDASIKEMIPPGALVMLTPLLVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >EOY29619 pep chromosome:Theobroma_cacao_20110822:9:1779359:1781333:-1 gene:TCM_037112 transcript:EOY29619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein with chitinase insertion domain, putative MAKQKMASFVLAVVLILGANISFSAASFPTLLTRNYWQNSRPTKMIGWPPGADPENISPSPSPQPAPTPPPYSDDGIKGGYWPSWLAYSFPPSSIPTLYFTHVFYAFVGIDASTYNLSTTQPDDEWMGNFTATLHAKKPPAKALLSIGGANSGPGTFSGMASDPDNRAAFIKSTIATARKYGFDGLDIDWEFPSNPDDMSNLSVLFKEWRQAVKSEASDSGKPRLLLSAAVYFASSFLFDLPRTYPGDAIAKYVDFVNPMCFDYHGSWDTSVTGEHALLYDKSSNISTSYGISSWIEIGVPSKKLVMGMPLYGRTWELEDPKKHKIGDPAIGVGPGDNGILLYKDIVTYNADHHAHTVYDGDTVSEYSYSGTDWIGYDGPTSVAKKVEYAKAHNLGGYFFWALGYDTNWTLSAAASNAWEGIY >EOY29433 pep chromosome:Theobroma_cacao_20110822:9:1162501:1168655:-1 gene:TCM_036969 transcript:EOY29433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein MSFFFGMLVGLTIGIGLIVAFARFEKIRSSRRSFLAKTVASFARMTVQDSRKILPPQFYPPWVVFSQHQKLVWLNLQLKKIWPSVDQAASELIRASVEPILEQYTPAILSSMKFAKLTLGTVAPQFTGVSIIENESGDGGITMELELQWDGNPNIVLNINTRLGVALPVQVKNIGFTGVFRLIFKPLVDQFPCFGAVSYSLREKKDLSFTLKVVGGDISTIPGISDAIEDTIRNAIEDSITWPVRKIVPILPGDYSFLELKPVGTLDVKLVQAKDLTNKDIVGKSDPFAVLFIRPLRDKMKTSKTINNQLNPVWNEHFEFIVEDASTQHLTIKVYDDEGVQAAELIGCAQVALKDLEPGKVKDIWLKLVKDLVVQRDTKYRGQVHIELLYCPFGTESSFKNPFNPDFSLTSLEKALKNVTVEEAADLKRTMTQRKRDVIVRGVLSVTVIAAENLPAVDFMGKADPFVVLIMKKAETKAKTRVATETLNPVWNQTFDFVVEDALHEMLILEVWDHDTFKKDKIGRCIMTLTRVLLEGELQDGFLLDGAKSGKLFLHLKWNPQLVFRDV >EOY33638 pep chromosome:Theobroma_cacao_20110822:9:38347291:38351048:-1 gene:TCM_041553 transcript:EOY33638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase S28 family protein, putative MGLVQLEEQSWKTLRLCRLHQFQRVSKLFTTLKTLDHFNYKPESYATFQQRYVLNFQHWGGANATAPILVYLGAESPLGGDLSGIGFLHDNAAPFKALCVYIEHRYYGKSIPFRSRKEAFKNASTLGYFNSAQAIADYADIIMHIKKKLHAMSSPVIVIGGSYGGMLASWFRLKYPHVALGALASSAPILYFNNITPSGAYYSIVSKDFREASETCYQTIRKSWSEIDKIASKHHGLSTLSKKFKTCEPLTSSSEFKNYLDTMYTGAAQYDRPPSYPVNEVCRGIDGSKKQDILSKIFDGVVAYYGNRTCYLNQPTSDSETDQGWRWQTCSEMVMPIGVGENTMFQPDPFDLDSFIKNCKGYYGVPARPHWITSYYGGQDIKLILQRFGSNIIFSNGLRDPYSSGGVLENLSDSILAVSTVKGSHCLDIQPKRETDPEWLVEQRKTVVKIIKGWMAKYYADLKAFKRQ >EOY33925 pep chromosome:Theobroma_cacao_20110822:9:39339190:39340203:1 gene:TCM_041756 transcript:EOY33925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFRSFCFCIPGSKKEAKQEESDSSSLENKSHKKSRHSGKKREDPDDGEGGLQGATTTTASSDAGMAAAAAAAVVVGATAAHASAMEGSGCGSSHGGGGGGGDGGG >EOY33831 pep chromosome:Theobroma_cacao_20110822:9:39121313:39123931:-1 gene:TCM_041693 transcript:EOY33831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein MSRLFTVGLITSWYSSNIGVLLLNKFLLSNYGFRYPISLTLCHMLACSMFSYMAIAWLKVAPLQGIRSRLQLMKISALGVIFCLSVVGGNISLRFLPVSFNQAVGATTPFFTAVFAYVMTRKRESWVTYATLVPVVTGVIIASGGEPLFHLFGFIMCIGATAARALKSVLQGILLSSEGEKLNSMNLLMYMAPVAVIFLLPAALFMEEGVVGITIALARDDWKFLLYLIFNSALAYFVNLTNFLVTKHTSPLTLQVLGNAKGALAVVISILIFRNPVSVTGMFGYSLTVVGVILYNEAKKRSV >EOY29908 pep chromosome:Theobroma_cacao_20110822:9:2769394:2773869:1 gene:TCM_037292 transcript:EOY29908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase family protein, putative MTKSKREIVSVSPTRSWNLGLTSGPSYIWTRVTRNQISNMVWSTRLSHAPSSRATFLLSSNINGYLSLTRSTLLVSSILDSFFFFFPPSTFSGNLQRKRKAKGEQMLIAIYFLFFSLGQCSVDFNALLSFKDSVFDPSDSLSSWVNSSNPCNDSWYGVTCNPATHRVTRLVLENLNLSGSTQPLNQLSHLRLLSLKNNRLFSSSSVNLSSWRYIRHLYLSGNHLSGVFPTGMSTLRRLHRLDLAYNDFHGEIPMTELTRLPNLLTLRLEYNSFTGPLNSIASFSFILDFNVSNNNLSGEIPAWMSRFPASSFQGNKDLCGQPLPSDCFNRTALPAPLKQKIRHPESKRLGDGVVLMIVAVDAVAVIAALVTITWCCYRYNCRSGGTHKEVLQTKSGSKLQWRRSGSREQEVEAEEMVVFEGCKGFRKVGDLLKSSAELLGKGSVGTTYKVVMDGGDVVVVKRVRQRRRRKAVDGWLRIIGGLRHANLVSLVAYYNSKDELLLVYKFSPNGSLYSLLHGNRGPGRTPLSWSTRLKLASGAALGLAFIHGYNKAKIFHGHLTSSNIIVDRQGNACISDCGLHQVLHAPSLSNDDYKAPELMLSNGEDGTKLRKYTQKCDVYSFGVILLEILTGKMVSGESGMSLVKWVQSVGKEEWAWEVFDFEMLGDKEMEEEMVGLMQVALLCVAALPKDRPKMSMVHRMIEDIGSKGATNGGTISILNDFSSDSSPALSERTLGFI >EOY33735 pep chromosome:Theobroma_cacao_20110822:9:38838120:38842024:1 gene:TCM_041631 transcript:EOY33735 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MGKSSFKDSLKALEADIQHANTLALDHPREKDGARLQMRLSYSPAAQFFLFLVQWTDCQLAGALGLLRILIYMLLTIGLWQSGELMQTYADGKTTMSVYERKASIREFYAVIFPSLLQLQRGITDLEDRKQKEVCTMRYRRKDEFERGKLSEIDIEREEECGICMEMNSMVVLPNCSHSLCLKCYRDWRGRSQSCPFCRDSLKRVNSGDLWIYTDKSDLVDLSSILRENCKRLFMYIEKLPLVVPDPVFVPYDVHVR >EOY33736 pep chromosome:Theobroma_cacao_20110822:9:38839340:38842070:1 gene:TCM_041631 transcript:EOY33736 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 2 MGKSSFKDSLKALEADIQHANTLALDHPREKDGARLQMRLSYSPAAQFFLFLVQWTDCQLAGALGLLRILIYMTYADGKTTMSVYERKASIREFYAVIFPSLLQLQRGITDLEDRKQKEVCTMRYRRKDEFERGKLSEIDIEREEECGICMEMNSMVVLPNCSHSLCLKCYRDWRGRSQSCPFCRDSLKRVNSGDLWIYTDKSDLVDLSSILRENCKRLFMYIEKLPLVVPDPVFVPYDVHVR >EOY33566 pep chromosome:Theobroma_cacao_20110822:9:38092657:38095211:-1 gene:TCM_041512 transcript:EOY33566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSGYNIYRRTPKRAKFSDAMEEKEGRKEQCGWVPGTPQTKNVYVKKRSRNKLATQEKDFDSLFGSSFAMSSSYEKNLLFTQQEIDSDRPSVTCVTMISADEENVQFGSRVALTGYEKEQNSDRTESTCLDSAAIIDLNMSLDSLGTINFNARKKEEQDCAPGLIDLNVSMKKEHNSVKTRGSCLESADSTGTSKEKEQSFDGVERTFSGSPGLIDLNISIYSLEEVDGSTEQAMQTHESESTCLVSGGVIEGLGILDSGESNKGLSHCDSVLSHNPFKEFLSFPEPKASQASVYADAMSQTFSTDRKIENSPPMKEPDSEKLSSNLNLVQDDGLMQENVTCEQIDAKDCIDSKKTQNKPKRKKHRPKVVTDGEQTKPNPATPKQAREKKPKLATPKQTKEKKPRVSAPKQAKETKSEHIRKRRSSSLKDVIIEGTALNPLETKNLSDIQSNLIIEIKETKAPIARALDFQSESLDAKDVGSASPTVPNKKGRSKRRRKLNLFSFTFMGGCK >EOY33348 pep chromosome:Theobroma_cacao_20110822:9:36931822:36933143:1 gene:TCM_041319 transcript:EOY33348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFWLNIKKYHLHSLHKWSHYYLSHPKRSHLNLISHCHDSHTHSPNSLTTLFFTTRAKILRYTGLSFAPFIHSSYQKDKLSLSPKITPIPLN >EOY31484 pep chromosome:Theobroma_cacao_20110822:9:9054013:9064010:-1 gene:TCM_038410 transcript:EOY31484 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein MVALTMSSPLQCPSKLLFPLPHPYCNSVLPYPTPRLLYPRRQTVRIICAKRRGKQRYPSEKKKLKLKQKEVVSSVSNKFEGIWRLSKLAVSVQNDPGKDFLEVHNGLLQEIAKVLEFPVASMLPAEAFSVVRKSFDARKILKEAKFVYTVDMDVRKLLSLEPRTWDFISRLEPKVGLIEHMPHERSSHDLTSIVRDFKDSNDDTLAREHRHNIDSSVSLKCSPARKPKIAVVGSGPSGLFASLVLAEFGADVTLIERGQTVERRGRDIGALVVRRILESESNFCFGEGGAGTWSDGKLVTRIGRNSGSVLAIMNTLVHFGAPKNILVDGKPHLGTDRLVPLLRNFRQHLQSLGVTIKFGTRVDDLLIQNGHVMGVEVSDSTNKLQLDCKRLGFDAVILAVGHSARDIYQMLLSHNLDLVPKDFAVGFRVEHPQELINSIQYSGLANEVCRGRGKVPVADYKVVQYVSNEDEHSPFKLESTSRSCYSFCMCPGGQVVLTSTSPSEICINGMSFSRRSSRWANAALVVTVSTKDFDALNFHGPLAGVKFQREFERRAALMGGGNFVVPVQTVPDFMENKVSVTSMPPSSYRLGVKAASLHELFPMYMTDAIRYSISMFDKELPGFISKEALLHGVETRTSSPIQIPRHSDTYESMSLRGLYPVGEGAGYAGGIVSAAVDGVYTGFAVAKNFDLFHGDIESVLGKAQGAGFVKNSRWKDKILHVNPSYNRALQTVLLFTKREYRRMPFSFSQSVRGCCAF >EOY31531 pep chromosome:Theobroma_cacao_20110822:9:9360283:9366179:1 gene:TCM_038452 transcript:EOY31531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MSLFLLLLLFFDLFLSSANVSLSYEPRNHEVEALISIKTELNDPHGVLNNWDEDSVDPCSWAMITCSSENLVIGLGAPSQSLSGTLSRTIGNLTNLRQVLLQNNNISGGIPPELGTLPKLQTLDLSNNRFWGAIPGSFGQLNSLQYLRLNNNSLSGPFPASLAKIPQLAFLDLSYNNLSGSVPKFPARTFNIVGNPLICGSSSPELCFGSPNAVPLSVSLGSSNGEQKSKKLAIALGISLSFASLILLTLALLWHRKKRKRLTILNITDKQEKGLISLGNLRNFTFRELQLATDNFSSKNILGTGGFGNVYKGKLGDGALVAVKRLKDMTGSFGESQFQTELEMISLAVHRNLLRLIGYCATSNERLLVYPYMSNGSVASRLRGKPSLDWNARKRIAIGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLIELITGMRALEFGKTVSQKGAMLEWVRQIQQEKKVEVLVDRELGSNYDRIEVGEMLQVALLCTQYLPAHRPKMSEVVRMLEGDGLAEKWAASHNHSNPTMNLFPNSFSNKSMPRPATGSKHDEKSHDQSSNMFGNGMDEDDDEHSLDSYAMELSGPR >EOY31532 pep chromosome:Theobroma_cacao_20110822:9:9360366:9366163:1 gene:TCM_038452 transcript:EOY31532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein isoform 1 MSLFLLLLLFFDLFLSSANVSLSYEPRNHEVEALISIKTELNDPHGVLNNWDEDSVDPCSWAMITCSSENLVIGLGAPSQSLSGTLSRTIGNLTNLRQVLLQNNNISGGIPPELGTLPKLQTLDLSNNRFWGAIPGSFGQLNSLQYLRLNNNSLSGPFPASLAKIPQLAFLDLSYNNLSGSVPKFPARTFNIVGNPLICGSSSPELCFGSPNAVPLSVSLGSSNGEQKSKKLAIALGISLSFASLILLTLALLWHRKKRKRLTILNITDKQEKGLISLGNLRNFTFRELQLATDNFSSKNILGTGGFGNVYKGKLGDGALVAVKRLKDMTGSFGESQFQTELEMISLAVHRNLLRLIGYCATSNERLLVYPYMSNGSVASRLRGKPSLDWNARKRIAIGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLIELITGMRALEFGKTVSQKGAMLEWVRQIQQEKKVEVLVDRELGSNYDRIEVGEMLQVALLCTQYLPAHRPKMSEVVRMLEGDGLAEKWAASHNHSNPTMNLFPNSFSNKSMPRPATGSKHDEKSHDQSSNMFGNGMDEDDDEHSLDSYAMELSGPR >EOY29442 pep chromosome:Theobroma_cacao_20110822:9:1207975:1210177:-1 gene:TCM_036974 transcript:EOY29442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 713 MAILYALVARGSVVLAEFTAATTNASAIARQILEKIPGNNDTHVSYSQDRYIFHVKRTDGLTVLCMADESAGRRIPFTFLEDIHQRFVRTYGRAVFSALPYGMNDEFARVLSQQMEYYSSDPNADRINRLKGEMGQVRNVMIENIDKVLERGDRIELLVDKTANMQGNTFRFRKQARRFRNTVWWRNVKLTVALIILLLIIVYVVLAFVCHGITLPSCLK >EOY31701 pep chromosome:Theobroma_cacao_20110822:9:12499337:12503424:-1 gene:TCM_038767 transcript:EOY31701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSQMLWDKKIIQKRKISRSRTLSRIGYIESIAIGTWVHKRGRQYDVSDDDDDDDDKDAPPYAPSSPLLIGLSSSTMPSTSSALLGNVLSFKDAYNTLLARLDSVLANQATMRSKIDDNARYLDLLQDTLEEKFDAVNHVMTSHFNNIDQRIQLML >EOY29468 pep chromosome:Theobroma_cacao_20110822:9:1265678:1269526:-1 gene:TCM_036989 transcript:EOY29468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTRNKEILWTQTKERIFHVTVELKGIGIQCYHSPRMTAHLLSRQNLRSCSSRRVCSRENQALPSRKTQNSNVTSIYQQGLKVLFISTASLVHIAASKSTQQTRAHRFHSLFIYL >EOY31875 pep chromosome:Theobroma_cacao_20110822:9:16943113:16943867:-1 gene:TCM_039206 transcript:EOY31875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGHENSTAEEKANSLFQVDRMFMKKILGRKSFVGQSMRTSQTESAVSVPFDWEMQPGTPKHPQSEIVAPIKPPPSVQSQALEKPSFVSTHTTMSCFWNKSRKKHRQGKKAKAKGRQGHVDGELASMGNAPESVEISVVNVESTSSSDHSRSSSLSSSSLSTSSSKSNCSSSSSSLRSFAKGLVKWSF >EOY33456 pep chromosome:Theobroma_cacao_20110822:9:37587348:37592420:-1 gene:TCM_041427 transcript:EOY33456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLSGHNLSRGNAGSSSDMPPLPQCLPLEPITLGNQKYTRSGELSRVLGVPFRSSTSEDHTFGVAHPKPSPPVATEELKNFKESVQDASRKARDRVKKLRESISKLERYKEALGSKKQQQSDISSGVNIAKIGSQIHRNPHDIMTQRLEDRPKGVGLNKRVRTSVANLRADNRTALNPRQQGIIEKDGDVLSAVNGGSARIEEKICRLSGEGWETKMKRKRSVAAVGNRVTAGDWDLKRAMQQKLSSESKLRSCDTQGFRSKSSPGVSGINRSDGSLEAAGSDASTVLRNELESTSIPRDCAAMLEQRVLTKTNNKASLQDDNQSSGQTTMLKGKVSRAPRSGSIMVLDSSSKVHLSSGALQGWEQPNLNKIQALGVGSNQKRPMSTGSSSHAITQWGGQRPHKNSRTRRANLVPPVSNAEAQISSQGFATPDFGARASVGTGGSLLGSSIDNATLKIKREPENVSSPFGLSESEESGAGDSKSKEKGIDCSEVTLPAS >EOY33457 pep chromosome:Theobroma_cacao_20110822:9:37587651:37592053:-1 gene:TCM_041427 transcript:EOY33457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MLSGHNLSRGNAGSSSDMPPLPQCLPLEPITLGNQKYTRSGELSRVLGVPFRSSTSEDHTFGVAHPKPSPPVATEELKNFKESVQDASRKARDRVKKLRESISKLERYKEALGSKKQQQSDISSGVNIAKIGSQIHRNPHDIMTQRLEDRPKGVGLNKRVRTSVANLRADNRTALNPRQQGIIEKDGDVLSAVNGGSARIEEKICRLSGEGWETKMKRKRSVAAVGNRVTAGDWDLKRAMQQKLSSESKLRSCDTQGFRSKSSPGVSGINRSDGSLEAAGSDASTVLRNELESTSIPRDCAAMLEQRVLTKTNNKASLQDDNQSSGQTTMLKGKVSRAPRSGSIMVLDSSSKVHLSSGALQGWEQPNLNKIQALGVGSNQKRPMSTGSSSHAITQWGGQRPHKNSRTRRANLVPPVSNAEAQISSQGFATPDFGARASVGTGGSLLGSSIDNATLKIKREPENVSSPFGLSESEESGAGDSKSKEKGIDCSEVTLPAS >EOY30241 pep chromosome:Theobroma_cacao_20110822:9:3892249:3894280:-1 gene:TCM_037515 transcript:EOY30241 gene_biotype:protein_coding transcript_biotype:protein_coding description:LURP-one-related 6 MAVAKASAMPLISKLYCSSSQVVLVVRRRPHVVNGGGFVVTDCSQKVVFRIDGCGVLGTKGELVLRDGNGDALLLIRRKGGMVEALSIHKRWKGYTFDYEGSQKLVFTLKEPRSSCLAKNNAIRIATEPKGSSFEIKGYFPDRNCSIIDSIGNIVAQIGVKKEVDELMASKDLYHVVVNPGIDQAFVFGVISVLDYIYGESTRC >EOY30179 pep chromosome:Theobroma_cacao_20110822:9:3699505:3700385:1 gene:TCM_037478 transcript:EOY30179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily protein MTTIPFFLLTTSLLCLSSAAASKQDAPRDLVRSSCVHASYPSLCLRTLSSYSGPANTPRDLAQAAVKVSLSRARKVSNYLTTSVTGKSKRERGALSDCVEQIAESVEELSRTLGELKHLRGETFEFQMSNAQTWVSAALTNEDTCLDGFEGVDGKVKSDVKRKITNVAKVTSNALYMINRLDESRGRHRSNP >EOY31508 pep chromosome:Theobroma_cacao_20110822:9:9230595:9233260:-1 gene:TCM_038435 transcript:EOY31508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVQLMRSGNLRPEPEPETTSCRDKPPVKLEIVEDTLEEEHGPLSKRSKASPNLQQWNSDVNAFPIPPSLYNPLDEPSPLGLRLRKSPSLLDLIQMRLSQSQSSSSAAEAENLSSGVKKEAKAAVTTDKLKASNFPASILRIGHWEYKSRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDIMALKANCPDNGSGTLNVVLARQPLFFRETNPQPRKHTLWQATSDFTDGQASMHRQHFLQCPQGLLNKHFEKLIQCDMRLNCLSRQPEIILDSPYFESRTSVFEDPDESKGHGFGQGETGKGSTSSGFQNIASPAAAQSSSLEVEKGDSAGITSENMSREAPSPSSVMDSHAIEGSGVCEAVDSKGSRNWDQIKVPGLHPSMSMSDFMSHIGHCLSEQMTSGNPSSENIPDCQEMLEEIAQYLLSDTQFTTASDEKSLMSRVNSLCCLLQKDTTTGTNSQGNGENYGGPDDGKDVQLNGSYESGFNITANGDVKTSEGDTKEVSTSKQAPGMSRKDSFGELLLHLPRIASLPKFLFNISEDDGESQAR >EOY31509 pep chromosome:Theobroma_cacao_20110822:9:9230038:9233542:-1 gene:TCM_038435 transcript:EOY31509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MVQLMRSGNLRPEPEPETTSCRDKPPVKLEIVEDTLEEEHGPLSKRSKASPNLQQWNSDVNAFPIPPSLYNPLDEPSPLGLRLRKSPSLLDLIQMRLSQSQSSSSAAEAENLSSGVKKEAKAAVTTDKLKASNFPASILRIGHWEYKSRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDIMALKANCPDNGSGTLNVVLARQPLFFRETNPQPRKHTLWQATSDFTDGQASMHRQHFLQCPQGLLNKHFEKLIQCDMRLNCLSRQPEIILDSPYFESRTSVFEDPDESKGHGFGQGETGKGSTSSGFQNIASPAAAQSSSLEVEKGDSAGITSENMSREAPSPSSGSGVCEAVDSKGSRNWDQIKVPGLHPSMSMSDFMSHIGHCLSEQMTSGNPSSENIPDCQEMLEEIAQYLLSDTQFTTASDEKSLMSRVNSLCCLLQKDTTTGTNSQGNGENYGGPDDGKDVQLNGSYESGFNITANGDVKTSEGDTKEVSTSKQAPGMSRKDSFGELLLHLPRIASLPKFLFNISEDDGESQAR >EOY31144 pep chromosome:Theobroma_cacao_20110822:9:7221447:7222920:1 gene:TCM_038136 transcript:EOY31144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVRFNPKTDLRMHSVVKYDLRLSRANITFQNSLYELLYLSSTISIHFFTLACPCSRNYVLLFFRFLLLALTQVWSQMHSFYLYFLIFNDGPIIITANKGYGIAPSWHT >EOY33881 pep chromosome:Theobroma_cacao_20110822:9:39252801:39253585:1 gene:TCM_041723 transcript:EOY33881 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein MAMIPNFFGNRRSSIFDPCFLDLRDPLKDFPFSSSSSLTTHTPETSAFVNTRIDWKETPEAHVFKADFPGLKTEEVKVEIEDDRVLQINGERNVEKEDKNDTWHRVERSSGKFMRRFRLPENVKMDQVKASMENGVLTITVPKEELKKPNVKAIEISG >EOY31890 pep chromosome:Theobroma_cacao_20110822:9:17062570:17064154:1 gene:TCM_039218 transcript:EOY31890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSLFVMNGHLLPRKFLPTQYSLVPLLSQATSTSRETFPEQRNDDPFHEPGKKRSTEPWLRNKFYCPITNIDKLWPMVPHDVKDKAKGFKDRSFCLFPMEGSNGSAAVMEEALDAL >EOY31739 pep chromosome:Theobroma_cacao_20110822:9:13482493:13494633:1 gene:TCM_038856 transcript:EOY31739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MDNYYTMDFGIEKAKTTTKQKIARSRIVIRNHKKVLRSTDQLKIVMANSIASRWRDLSGESDWKDLLHPLDLDLRRYIIHYGERCGAVGDLFNDTKASRGFGHSLYPADEFFSRAGLEIGNPFKYSVTNFFYAASDIVQSAWFGYVAVTTDDGKAVLGRRDILVAWRGTMTDSESINDVQFFPTSASELFGEDNDPQVHSGFLSLYTGRSSNSPYSQTSARDQVREAVRKLVDKYQDEDISITVTGYSLGAALATLSAMDIVASGYNKPTGNSEKSCMVTTFTYAGPRVGNEGFKKVFEGLSDLHLLRITNSTDIVPKIPILFGIYTHVGEELEIDTTKSDYLKSNADAHNLDVYLHGVAGVQETNGDFTLEVDHDIALINKHLDGLKDDYKIPPEWWNNENFKNMVQMDDGHWKLVDNAYVPDPPSA >EOY34429 pep chromosome:Theobroma_cacao_20110822:9:41055170:41058521:-1 gene:TCM_042110 transcript:EOY34429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLDKTYFLGMAAYEVICGLTLRQAVSVSVKCTELFPFFYLSVFIFAFLPLFVFFLSFARLFTYFYAIYYYYCYFHNSFFLSSNKFVANKIDTTR >EOY30408 pep chromosome:Theobroma_cacao_20110822:9:4531893:4534342:1 gene:TCM_037632 transcript:EOY30408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADQKPNPPHSRSGDEDVKAPNIFERAKEEIEAVIHSGKIPHFHKETHGKRNDIDENTPLEDVKAPNVFERAKEEIEALVETIHHKKESHTHDDERDHSTKAELNHEKPENGAKAPNLIERAKEEIEAVIHTGKVHFHKETHGKRNDIDENTPLDDVKAPNVFERAKEEIEALVETIHHKKESHTHDDKRDHSPKAESKHKKPGNGIEAPNLTERAKEEIEAILHHEKSPHQHHKETHGRNDDIDETTPLNEVKAPNVFERPKEEIEAIVGAIHPTTESKGFVSSPKEDGGFRHCMGMGLEKLCHPWGSKRD >EOY32953 pep chromosome:Theobroma_cacao_20110822:9:34621986:34626698:1 gene:TCM_040966 transcript:EOY32953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic NIFS-like cysteine desulfurase isoform 2 MRKMMEGVVVKLPSFPLLNPTIPPLSSSSLPLRLGFRRFSASLSAVKEGSVSLGHLSRPDFPILHQEVNGSKLVYLDNAATSQKPTAVLKALHNYYEAYNSNVHRGIHYLSAKATDEYELARKKVAAFINASDSSEIVFTRNATEAINLVAYSWGLLNLKPGDEIVLTIAEHHSAIVPWQIVAQKTGAVLKFVSLDENEIPNVVKLREMISTRTELVVVHHVSNVLGSVLPIEEIVHWVHEVGAKVLVDACQSVPHMVVDVQGLDADFLVASSHKMCGPTGIGFLFGKSNLLSAMPPFLGGGEMISDVFLDHSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSGIGMQKDQVTIVRNLSIAIWE >EOY32952 pep chromosome:Theobroma_cacao_20110822:9:34621958:34627324:1 gene:TCM_040966 transcript:EOY32952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic NIFS-like cysteine desulfurase isoform 2 MRKMMEGVVVKLPSFPLLNPTIPPLSSSSLPLRLGFRRFSASLSAVKEGSVSLGHLSRPDFPILHQEVNGSKLVYLDNAATSQKPTAVLKALHNYYEAYNSNVHRGIHYLSAKATDEYELARKKVAAFINASDSSEIVFTRNATEAINLVAYSWGLLNLKPGDEIVLTIAEHHSAIVPWQIVAQKTGAVLKFVSLDENEIPNVVKLREMISTRTELVVVHHVSNVLGSVLPIEEIVHWVHEVGAKVLVDACQSVPHMVVDVQGLDADFLVASSHKMCGPTGIGFLFGKSNLLSAMPPFLGGGEMISDVFLDHSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSGIGMQKIHDYEMELANYLYDKLCSVPNIRIYGPKPAETVYRAALCSFNVENIHPTDLATFLDQQHGVAIRSGHHCAQPLHRYLGVNASARASLHFYNTEEDVDDFIRALNDTVSFFNSFK >EOY32480 pep chromosome:Theobroma_cacao_20110822:9:30026533:30028440:1 gene:TCM_040412 transcript:EOY32480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELTGEKEEHAIPEKEIEPRIISKQEDVEDKNGSKRTRTTSCPANCEYLIPPDNEGFNGHKIPEGMGLEDTAAELKEKPRREGKGRTVINLEMPTGVLRYKEIGSHQICNEKELLGKQGRLPKRGEHTINWNNCFQTYAIGSCTCTVYEPYLHF >EOY30124 pep chromosome:Theobroma_cacao_20110822:9:3493436:3496095:1 gene:TCM_037444 transcript:EOY30124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily MASSSPKLSVLLFTIFFSSTFALNTSSTTSLNSNLSSIRSFCETTPYPDACFDSLKLSISINISPNILSYLLQTLQSALSEAGKLTNLFSNGGRANIVEKQRGTMKDCKDLHQITLSSLQKSVSRIRSGDSRKLADARAYLSAALTNKNTCLEGLDSASGPLKPILVKSLISTYKHVSNSLSMLPKSGSKKGHRNRRLLGFPSWLSRKARRILHSTDDEYDPTEVLTVAADGTGNFSTISDAIKFAPNNSNDRIIVNVREGIYEENVEIPSYKTNIVLLGDGSDVTFITGNRSVFDGWTTFRSATVAVSGEGFLARDITIENSAGPEKHQAVALRVNADFAALYRCTIDGYQDTLYAHSFRQFYRECDIYGTIDYIFGNAAVVFQACNIISRMPMRGQFTVIAAQSRDSPDENTGISIQNCSVLATDELYGNSSSVKSYLGRPWRLYSTTVYLESYIDDFIDPTGWTKWSGDQGLDTLYYGEYNNYGPGSGTDNRVTWPGYHIMDYDSAYNFTVSEFITGEAWLDSTSFPYDDGI >EOY30513 pep chromosome:Theobroma_cacao_20110822:9:4845279:4849128:-1 gene:TCM_037699 transcript:EOY30513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAVSLKDSANSPKPNFLIGENYQLSLKQSLEDLLAETHKETPNFSVFIDKFYDLMQAKIDPPLESVWVYSALSFRSHNFTNEDPLNQLSIIKDFFQLVSSCSSPCSSSKSIALLAPIVLEVYKLVVEVLGKDLGSKREQKVIKKVKSMVEVIIGYISMCCCKELSEESEGLDVNLVIPFEDLVSIWMDRNANLQSFFPFLSDEICRVISERGFDVNYLAGVVMVEAFLLKLCLDLRLGTEGMVLEKELRSRAVALISSFHNFYFFEILVRVLLQLALPVTSFLGLEDGVLLRNILYDAVILVEYSFLKSETVHLPAEHNKSIAMTRLIVTLEGIEFSRNNGDQKRATRYTSAFANSHLPSQITQCIMRQIGMDEKAGRTSGSSPKALIKWLLNLEKQGIKPFDDSILKCRAKLLVDDSEADYERSVSKLEGKKLEDDPLFYFDNQGKEENEDEENNMKNESLTDAFMTAAHSMRLIDSFRTKRKGNGSADKEKIKYFKYDLCSNSESSKDKVSAVTNDDLSSESEVENPLSDEEA >EOY33768 pep chromosome:Theobroma_cacao_20110822:9:38929641:38934103:-1 gene:TCM_041652 transcript:EOY33768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi nucleotide sugar transporter 2 isoform 2 MFIFSHMQVSDMSNIKLDQVVCHDSEESELTSWNGKVTSDKNRAYEILNSIHQQGQRSINSITANAEPGTLTERFLKGNRAAIGDSFNLPFDGNEKRAHVFGRRSGPLLSGTAYCISSCSMILLNKVVLSSYNFSAGISLMFYQNLISCLVVAILGLCRAVSVEKLTWKLIRVWIPVNIIFVGMLVSGMYSLKYINIAMVTILKNMTNILTAIGEYYVFRKRQNQKVWAAMFMMIISAVSGSITDLSFDATGYTWQILNCILTAGYSLTLRRVMDKAKQTTKSGSLNEVSMVLLNNLLSLPFAIFLIIVLDEWEYVINVDIVKLPMFWVVATASGLLGLAISFTSMWFLHQTGPTTYSLVGSLNKIPISIAGIVLFKVPLSIPNTFSILFGLFAGIFFARAKMS >EOY33769 pep chromosome:Theobroma_cacao_20110822:9:38929641:38934083:-1 gene:TCM_041652 transcript:EOY33769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi nucleotide sugar transporter 2 isoform 2 MSNIKLDQVVCHDSEESELTSWNGKVTSDKNRAYEILNSIHQQGQRSINSITANAEPGTLTERFLKGNRAAIGDSFNLPFDGNEKRAHVFGRRSGPLLSGTAYCISSCSMILLNKVVLSSYNFSAGISLMFYQNLISCLVVAILGLCRAVSVEKLTWKLIRIISAVSGSITDLSFDATGYTWQILNCILTAGYSLTLRRVMDKAKQTTKSGSLNEVSMVLLNNLLSLPFAIFLIIVLDEWEYVINVDIVKLPMFWVVATASGLLGLAISFTSMWFLHQTGPTTYSLVGSLNKIPISIAGIVLFKVPLSIPNTFSILFGLFAGIFFARAKMS >EOY34060 pep chromosome:Theobroma_cacao_20110822:9:39829784:39830425:1 gene:TCM_041856 transcript:EOY34060 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein MSLIPSLFGGRRTNVFDPFSLDVWDPFDGFSNSALANNVPSSARETSAFANARIDWKETPEAHVFKADLPGLKKEEVKVEVEEGRVLQISGERSKEQEEKNDTWHRVERSCGKFLRRFRLPENAKMDQIKASMENGVLTVTVPKEEEKKPEVKAIDISG >EOY34734 pep chromosome:Theobroma_cacao_20110822:9:41970852:41974070:1 gene:TCM_042318 transcript:EOY34734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MELEFYVKIFLALVLLAFFGLLVRLYNGLVVKPTKLRARLGRQGIKGPPPTLLLGNIQEIKKAQSNVVKTPSTQPPATHNCAALLFPFFEQWRKQYGQVFVFSLGNTQILFVTQPDAVREITTCTSLSLGKPSYQHKERGPLLGQGILTSNGTVWAHQRKILAPELYMDKVKGMMNLIIESTFTLLNSWKSRIEAKGGLADIKIDEYMRSFSGDVISRACFGSNYSRGEEIFLKLRALQEAMSKKSLSTGVPGMRYLPTKSNREAWALEKEVRDLILQVVKERKEAAYEKDLLQMVLEGAKNSDLSQEATDRFVVDNCKNIYLAGYETTAVSATWCLMLLAANQEWQDRVRAEVLEVCGGRIPDADMLRKMKQLTMVIHESLRLYPPVAVVSREAFKDMKFGDILVPEGVNIWTMVLTLHTDPEIWGPESYKFKPERFTNGITGACKLPQMYMPFGVGPRVCLGQNLAMVELKVLMSLLLSNFSFSLSPKYNHSPALRLVIEPENGVDLLVKKL >EOY30516 pep chromosome:Theobroma_cacao_20110822:9:4853450:4854518:-1 gene:TCM_037702 transcript:EOY30516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCLLCKTDIQPGVHACIYRLEFQHNICQICHIYGAVCVRYGLEFVIVKWPSRQVAFCGLFLVISYLVYCCATNLQILKEQRQCCVVLSLYPCLSCPFLISTC >EOY32485 pep chromosome:Theobroma_cacao_20110822:9:30138931:30139865:1 gene:TCM_040423 transcript:EOY32485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSIKIVKPSYFPPFLPTLFSSPSSLCPSLPHAYHPSTHLTQTPCPSDPFLSTIQQSDCAFPRIATTLP >EOY34554 pep chromosome:Theobroma_cacao_20110822:9:41422541:41425367:-1 gene:TCM_042184 transcript:EOY34554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional regulation MSGPNEDNTQLSSHFFGDLLDSIIADVASECHRIAKLGLDRNLEEEEEELRLSAQARARVADPSNSGETNNKYVVDIFGQTHPSVASEIFECMNCGRSIVAGRFAPHLEKCMGKGRKARLKVTRSSTAAQNRYSRSSPVSTYSPYSNSTSTNRLSNGTSGVAGEEYSNGTYEEP >EOY31897 pep chromosome:Theobroma_cacao_20110822:9:17277884:17282007:-1 gene:TCM_039235 transcript:EOY31897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin/t-SNARE family protein, putative isoform 3 MMVANSFDLWQKDAFFSAAEEVQESADIMESAYRMWIKERREGLQTAESAELCRELQTALGTAKWQLEEFERAIRLSHGHHRDDITATRHRQFIAAIEIQICRVEDALKEAFIEEGKQPLRWVNLDEEERDDLAMFLSGTSPSLQPSAKNTLLENCHGGMDSNPNFNSTCNGNMCEVNIFKDSGKDAECVIDVEDEESSGRTDEVSCGQDRTTGTRRTWSSPNFGALKIVIADKYEDRSQIRSGIEATPKEKGSKPLFRKQRCGELPQAKGALSLFNQLFGCVGGLQRQLHSPVHLQFSCSLQLTLALMIAIFLIVPFVLYSS >EOY31899 pep chromosome:Theobroma_cacao_20110822:9:17279696:17282377:-1 gene:TCM_039235 transcript:EOY31899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin/t-SNARE family protein, putative isoform 3 MMVANSFDLWQKDAFFSAAEEVQESADIMESAYRMWIKERREGLQTAESAELCRELQTALGTAKWQLEEFERAIRLSHGHHRDDITATRHRQFIAAIEIQICRVEDALKEAFIEEGKQPLRWVNLDEEERDDLAMFLSGTSPSLQPSAKNTLLENCHGGMDSNPNFNSTCNGNMCEVNIFKDSGKDAECVIDVEDEESSGRTDEVSCGQDRTTGTRRTWSSPNFGALKIVIADKYEDRSQIRSGIEATPKEKGSKPLFRKQRCGELPQAKGALSLFNQRQLHSPV >EOY31898 pep chromosome:Theobroma_cacao_20110822:9:17277812:17282443:-1 gene:TCM_039235 transcript:EOY31898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin/t-SNARE family protein, putative isoform 3 MMVANSFDLWQKDAFFSAAEEVQESADIMESAYRMWIKERREGLQTAESAELCRELQTALGTAKWQLEEFERAIRLSHGHHRDDITATRHRQFIAAIEIQICRVEDALKEAFIEEGKQPLRWVNLDEEERDDLAMFLSGTSPSLQPSAKNTLLENCHGGMDSNPNFNSTCNGNMCEVNIFKDSGKDAECVIDVEDEESSGRTDEVSCGQDRTTGTRRTWSSPNFGALKIVIADKYEDRSQIRSGIEATPKEKGSKPLFRKQRCGELPQAKGALSLFNQLFGCVGGLQRQLHSPVHLQFSCSLQLTLALMIAIFLIGAGAISSGLGIPYRVKAVILNLFPVVFKILERVKLPLPMSELGWTKSWVSFWISLPHKL >EOY33140 pep chromosome:Theobroma_cacao_20110822:9:35609690:35612090:1 gene:TCM_041122 transcript:EOY33140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MWVNHFTKLSFLQLIPTPFPALLSELFTSSAVPRFRSIALELKDKLLTSKIVAEVAIRKTINTNAISVVEKFQKEEEDC >EOY33139 pep chromosome:Theobroma_cacao_20110822:9:35609690:35612090:1 gene:TCM_041122 transcript:EOY33139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MWVNHFTKLSFLQLIPTPFPALLSELFTSSAVPRFRSIALELKDKLLTSKIVAEVAIRKTINTNAISVVEKFQKMNMTMPLMRL >EOY30984 pep chromosome:Theobroma_cacao_20110822:9:6576001:6577360:1 gene:TCM_038024 transcript:EOY30984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-93 MAKNVAQSATLASLDQKLAMAKRCSHEGVLAGAKAAVVASIATAIPTLASVRMLPWARANLNHTAQALIISTVAGAAYFIVADKTVLATARKNSFKHVPNMEG >EOY30426 pep chromosome:Theobroma_cacao_20110822:9:4564235:4568963:1 gene:TCM_037642 transcript:EOY30426 gene_biotype:protein_coding transcript_biotype:protein_coding description:RecA DNA recombination family protein MARFLRNISSLKRSLIAPEVCRRGVLGSSSQLCSFSSKGRRKSKSDGSDSCDENMSKKELALKQALDQITSSFGKGSIMWLGRSVSPKDVPVVSTGSFALDIALGTGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKQGGYCVFVDAEHALDSALAEAIGVNTENLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVAALVPKGELDGEMGDAHMAMQARLMSQALRKLSHSLSLSQTILIFVNQVRSKLSTFGGFGGPTEVTCGGNALKFYASVRLNIRRTGLVKKGEETIGSQVLVKIVKNKLAPPFKTAQFELEFGRGISREGEIIDLALKHKFVTKSGAFYSFNDKKIHGKEAFKKFLAENESACEELVMKLREKLLEAERKKERQTDISDGDTSEEIIPPDTTDEEAATAVEA >EOY29915 pep chromosome:Theobroma_cacao_20110822:9:2791648:2799927:-1 gene:TCM_037298 transcript:EOY29915 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 4 isoform 2 MEHLLRIDGLLKVLMRQRQSWKKLSTTFEILRVNDEVACETSFGFFTLVSALGKYYGHCCGGDNLHLNSLHSTNYFMCFTRLGGKFPKGVLLVDPPGTGKTMLARAIAGEAGVPFFSCSGNAIGGSRNPKDQQFMRMTLNQLLVELDDVEGRMQIMESHMSKVLKADDVDLMIIARDTPGFSGADLANLVNIAAVKAAMDGGKAVTMSDLEYAKDKIILGSDRKSGVISDESRKLTAFHKGGHALAAIHTDGALSVHKAAIMLARLDVVLGEWVAEELIFGENKVTSGAWSDLKFQDSNQSWDISGTCSVELIILVCNRLLSGQQRLKSSYVGNLARRVRDIDEASEVAHLRELYHRNDPEAVIRLFESQPSLHSNRSALSEYVKALVKVDRLDESELLKTLQKGIANSAREEESIGGLSALRNVGKSTKDGVLGTASAPIHMVAAEGGHFKEQLWRTIRTIALGFLLISGAGALIEDRGISKGLGLHEEVQPSVESNTKFDDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMRMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRRIIVPNPDVEGRRQIMESHMSKVLKADDVDLMIIARGTPGFSGADLANLVNIAAVKAAMDGAKAVTMADLEYAKDKIILGSERKSAVISDESRKLTAFHEGGHALVAIYTDGALPVHKATIVPRGMALGMVSQLPDKDQTSLSRKQMLARLDVAMGGRVAEELIFGENEVTSGASSDLKHATSLARVMVTKYGMSKEVGLVTHDYNDNGRSMSTETRLLIEKEVKYLLERAYNNAKTILTTHSKEHYALANALLEHETLTGSQIKALLDQLNSQHQQQEQHQEMVAPQNGPQSNPVPPSPSPAASAAAAAAAAAAAAASAAAKAKGVAPVGS >EOY29916 pep chromosome:Theobroma_cacao_20110822:9:2791650:2796336:-1 gene:TCM_037298 transcript:EOY29916 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 4 isoform 2 MAWRHLITQVSRQQSELGHFGNLLSRTHHSCNRLLSGQQRLKSSYVGNLARRVRDIDEASEVAHLRELYHRNDPEAVIRLFESQPSLHSNRSALSEYVKALVKVDRLDESELLKTLQKGIANSAREEESIGGLSALRNVGKSTKDGVLGTASAPIHMVAAEGGHFKEQLWRTIRTIALGFLLISGAGALIEDRGISKGLGLHEEVQPSVESNTKFDDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMRMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRRIIVPNPDVEGRRQIMESHMSKVLKADDVDLMIIARGTPGFSGADLANLVNIAAVKAAMDGAKAVTMADLEYAKDKIILGSERKSAVISDESRKLTAFHEGGHALVAIYTDGALPVHKATIVPRGMALGMVSQLPDKDQTSLSRKQMLARLDVAMGGRVAEELIFGENEVTSGASSDLKHATSLARVMVTKYGMSKEVGLVTHDYNDNGRSMSTETRLLIEKEVKYLLERAYNNAKTILTTHSKEHYALANALLEHETLTGSQIKALLDQLNSQHQQQEQHQEMVAPQNGPQSNPVPPSPSPAASAAAAAAAAAAAAASAAAKAKGVAPVGS >EOY34208 pep chromosome:Theobroma_cacao_20110822:9:40287674:40292567:1 gene:TCM_041951 transcript:EOY34208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein, putative MKSLSNSIEALNCDVKGILLFLGISLILMLSMSLPSGAAEEDRKARQNLLYAFAEVFIAYLGSLPEGEYFPSSHHSSMLQAVLKQSSVANYLIRSYSRSFNGFAAKLTNEEANKLASMKGVVSVFPSKVYHLQTTRSWDFMGFNKTAKRNRAVESNVIVGVIDTGVWPESESFGDEGFGPPPKKWKGSCKGGQNFTCNNKLIGAQFYNLGIPVGDSVRDIIGHGSHTASTAAGNNVENASFFGFAKGTARGGVPSARIAAYKVCGELGCGSAEILAAFDDAIADGVDLITISIGPGFPSEFYEDTIAIGAFHAAEKGILTVQAAGNSGTSGPQSVSSVAPWILTVAASSTDRRFIDKVVLGNGKTLNGFSIHPFSFNGTKFPLVYGIEVTSDCDEISAGMCQIGCLKSSLVKGKLVLCDEFSGHEEARDAGALGSIVPTSLVNVSFVVPFPTSALENDDYGSVKSYLNSIEQPKAEILKSETIKDSAAPMVAPFSSRGPNFIVPDILKPDISAPGVDILAAYSPVASPSDTPTDERRVKYSLISGTSMACPHAAGVAAYVKTFHPDWSPSAIKSAIMTTALPMDRSNNPDREFGYGSGHVNPVEAINPGLVYEAVKGDYIRFLCSIGYDEGKVRQITGDNSSCPETFKNMLPRDFNYPSLTAEVPTGKSFTVGFHRTVTNVGVAGSTYKVKVSSNSKLRVKVIPEVLSFKSLKEKKSYNVTVTGKALDGSSMLSTSLVWSDGTHSVRSPIVVHTFEGFDRGVSIP >EOY29611 pep chromosome:Theobroma_cacao_20110822:9:1752241:1753840:1 gene:TCM_037105 transcript:EOY29611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein with chitinase insertion domain MASQAGSRKSFIDSSIGLARSYGFHGLDLDWEYPSTLTEMANFGALLREWRVAVNNEARNTGRSPLLLAAAVFYSSNYYSLDYPVQTVANSLDWINVMAYDFYGPGWSDVTGPPASLFNPSSQVNADSGIRAWIQSGMPSKKIVLGFPFYGYAWTLADANNHGYFVPTTGSAISKDGSIGYKQIRQFIVQNGATTVHDTAVVGDYCYAGRTWIGYDDYPTIVTKVRYAKDKGCLVTLLGMLPWMTTGDFHEELHKYGVVISMWYLIMNK >EOY31668 pep chromosome:Theobroma_cacao_20110822:9:11488834:11489587:1 gene:TCM_038683 transcript:EOY31668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSGHRSDLRMSGVRYPCDYVHASGMVVMLPIGIFPWTMIVMPSVENTSSDAICWHIALDEDCDVIHEEIAPDDDFDISLDAFALGMICFSVDLPKCVIC >EOY30000 pep chromosome:Theobroma_cacao_20110822:9:3022889:3025272:-1 gene:TCM_037357 transcript:EOY30000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENQCRFFRKKDMGSVQTLVCVKRVKQDEQEEWDESMPLPGDIIEGFAEKDVDELFLPAKARSELSSQLGKIGQKVETIWVKVRRGDATHKLRVRVVAEKGSMLQRKYTIRAARDDRHVAVLGDLTLEECTNLQEMSRRVLNVDFRGFNRKEVKYDWKTKVGTYMPDQGSTVISSILFLPFQGEFSIEATLSRSMAWFTAAVSSGAPLVFVNIQTEQIVTSEKTNPSGKEISRGKQQNHTTTVELLKGIRLWFLPGVDEVSLEMIPEPEEGRFGLDIKRTDEGFICIYSVINGSAADRAGLQQLLEEANAKKHLIVISRLEGKSLMPSSVSSAGLIHCCDHNEIRDTLALAIERMDIVQLHIMAWPNQTRPDTPRAIGAAATLQPPSGYYHSPPL >EOY29941 pep chromosome:Theobroma_cacao_20110822:9:2876656:2878281:1 gene:TCM_037318 transcript:EOY29941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSLRVTRMHFGSPNACLDHFVNHILILKCKHSRDQASQKLSTYHDCRARRLLLRVERGGSGEAKCSPHHMGAKLKSR >EOY32350 pep chromosome:Theobroma_cacao_20110822:9:27825244:27832906:1 gene:TCM_040192 transcript:EOY32350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2A-3 MDSSVSSNSHGNLDEQISQLMQCKPLSEQEVRVLCDKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKVIVLIEAAILCFKCYANFCELFAMRLLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDRACIWLMRASLVRVVSLNYAWVSARGGKRAEERAWAVRGLGYVEGC >EOY33605 pep chromosome:Theobroma_cacao_20110822:9:38242202:38246760:1 gene:TCM_041535 transcript:EOY33605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIVGEKLKQRYVKLNIDGSAKGQSGMAAAGGLIKDENGNWIVGFTFKIGGRVVSSRPKGTMEGERDARVSHDLAKDLFVNLPADYCGVH >EOY31291 pep chromosome:Theobroma_cacao_20110822:9:8018955:8021017:-1 gene:TCM_038251 transcript:EOY31291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11-beta MAEQTEKAFLKQPKVFLSSKKSGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRILAGTCHSAKMMRTIIVRRNYLHYIKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSGGGKKAFTGI >EOY32292 pep chromosome:Theobroma_cacao_20110822:9:26203674:26211955:-1 gene:TCM_040028 transcript:EOY32292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MLMATGSNSLTTLPLSRLEFPLALFSARRTKLSASPLPSISNLSRFRPNGFIVCSLSSDHSTTGDQILDKSSPKPHVQSCTWNWRGYSIRYQCSGSCGPALVLVHGFGANSSHWRKNIPVLAKSHRVYAIDLIGYGYSDKPNPREVGDSFYTFETWASQLNDFCSSVVKDKAFFICNSIGDTGLNAFSNEAAEHPWQCLPSAPMAKKMIPPIKGSKLYFHIPLKTYSGGLIIFALQRHLGGLFCFVEAFWWPCLMYSWTGTLVFGYRGLVGLQAAVTKPQICRGILLLNISLRMLHITKQPWFGRPFITSFQSLLRNTAVGKLFFRSVATPESVRSILCQCYHDTSQVTEELVQSILHPGLEPGAVDVFLEFICYSGGPLPEELLPRVKCPVLIAWGDKDPWERIELGRAYGNFDSVEDFIILPDVGHCPQDEAPHLVNPLVESFVARHYKSPASASTTI >EOY32293 pep chromosome:Theobroma_cacao_20110822:9:26204250:26211814:-1 gene:TCM_040028 transcript:EOY32293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein isoform 2 MLMATGSNSLTTLPLSRLEFPLALFSARRTKLSASPLPSISNLSRFRPNGFIVCSLSSDHSTTGDQILDKSSPKPHVQSCTWNWRGYSIRYQCSGSCGPALVLVHGFGANSSHWRKNIPVLAKSHRVYAIDLIGYGYSDKPNPREVGDSFYTFETWASQLNDFCSSVVKDKAFFICNSIGGLVGLQAAVTKPQICRGILLLNISLRMLHITKQPWFGRPFITSFQSLLRNTAVGKLFFRSVATPESVRSILCQCYHDTSQVTEELVQSILHPGLEPGAVDVFLEFICYSGGPLPEELLPRVKCPVLIAWGDKDPWERIELGRAYGNFDSVEDFIILPDVGHCPQDEAPHLVNPLVESFVARHYKSPASASTTI >EOY29188 pep chromosome:Theobroma_cacao_20110822:9:311226:314319:-1 gene:TCM_036804 transcript:EOY29188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 17, putative MAAKASLLFVFAFLLALSTTSTAFNVTKVLGQYPDYGSFNDLLSQTKLAEEISHRQTITILALDNASISSIASRPSDEVKKILMNHVVLDYFDTFKIQKLGKKSVILTTLYQTTGLAQGQQGFLNITKLGPGEVVFGSAVKGAPLVSKLLGSVVSQPFNLSVLHVSTPIVAPGFGEAVLAPPPPPGSPPPSPAPKKAAAPAPSEEEEADDEESEASDGAPSPAPADAPAADAPTKGKTPPAPKDADEEAAAPSASSRVASSMAVAAVMGLVANLVAF >EOY34101 pep chromosome:Theobroma_cacao_20110822:9:39933973:39935798:-1 gene:TCM_041879 transcript:EOY34101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRRWFDPWPVFFKREFNRNWPFLVGFAITGTIITKFSLGLTEEDAKNSPFVQRHKR >EOY29304 pep chromosome:Theobroma_cacao_20110822:9:697066:700540:1 gene:TCM_036887 transcript:EOY29304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 22 MCARNSLYTNIKPCKHLADYKLRRGFNGYNSLQNCLKTTRYGRSRVDKHDTTIPRCSFCNGYQGRLYKCLMCSSISCSSHVLLHTQSENGHEVAFDIDRSELYCCLCCDQVYDPDFDKVVVSKQVRDLPGGKSNGFEVCSGRSSKRRRLDSGIELDLKKSKQLISMRDRRAKSCYPLGLRGLNNLGSTCFMNSVLQALLHAPPLRNYFLSDRHNRDQCRKRSGEKLCLLCDIDAISSAMFSGDRTPYSPAQFLFSWWQHSSNLASYEEQDAHEFFISVLDGIHEKESKVRNSSKDDGDCQCIAHRAFSGLLRSDVTCITCGFTSTTYDPCIDISLDLEANNFSSSHVANKPIKTDEKMGLSTLSGCLNLFTRAERLGSDQKLYCQNCQELRDSSKQLSIRKLPLVLCLHIKRFEHSLVRKMSRKIDQYLQFPFSLDMTPYLSSSIVRSRFGNRLFAFEYDNSDSSAEYEIFAMIAHSGMLESGHYVTYLRLKNQWYKCDDAWISEVDEGTVRASQCYMLFYVQKMLYYKSNEDLSCMRISPRRDPCVSTAGCC >EOY32237 pep chromosome:Theobroma_cacao_20110822:9:24013537:24021084:1 gene:TCM_039858 transcript:EOY32237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein METTRTYRYGFGTHVPVTTVLNSTHNNATTSESTCGTNISNAIDTAIGLEEKVKNISQDLGKIREDIHDEIKENNVNMMAKMKEVTTKSMKEFMTRIEAMISYGIRLINHIGIGLGFAYGAYKYLSPLFLIYKLPLPSISNSANAILQMLEF >EOY33659 pep chromosome:Theobroma_cacao_20110822:9:38424328:38424987:1 gene:TCM_041564 transcript:EOY33659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MAISSKAMSVINSLINDMFERIADEATKLSKYNERRTLSPREIQGAVRLVLPGELGKHAVAEGSKAVTNYASYNGKRPKLN >EOY30763 pep chromosome:Theobroma_cacao_20110822:9:5719291:5719945:-1 gene:TCM_037861 transcript:EOY30763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein MLEGKAMIKETDMSEKMQSQSMASASQALDLYDVSDCISIAAHIKKEFDKRYGGGWQCVVGSNFGCFFTHTKGTFVYFALGTLNFLIFRGASS >EOY31665 pep chromosome:Theobroma_cacao_20110822:9:11232463:11237258:-1 gene:TCM_038669 transcript:EOY31665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSANIIETTMAVRILWSEAPRLLLRGSGSMGDYYAYLLESLVSFLTQTLLHGGELRLLYSSLYLNKAFSAYLTIMSWFMWLIILPSEILHYDCNDPSLVATGVRDLREISPSPEQALKKFLNNSLYTFTKPY >EOY34571 pep chromosome:Theobroma_cacao_20110822:9:41468150:41473896:1 gene:TCM_042197 transcript:EOY34571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Typical subtype, Leucine-rich repeat, Ubiquitin, Ubiquitin supergroup isoform 1 MSKGEGENCGNINITVKFSGRSIPISIAKDSTIKDLKSHLQSLTNVLPRGQKLIFKGKLLVDAMTLKESEVMNGAKLMLMASQGLHQGGGPLLKEAQTRPISRRSEDEYKKSDARSEVVMDKNRLERWKATGVVALAECNLKAIPDEVWACGSSARVLDVNNNCIQDVPEKISCLNSIQKLLLNANGISDEAIHWEGLTSLKYLTVLSISHNHLTNLPSSLGFLTSLRQLHVANNKLTSLPNEISILAQLEVLKANNNRITTIPARIGECNSLNEVDLSANLLSELPETLGNLHNLKALYLSNNGLKRLPSTLFKECLQLATLDLHNTEITMDVLRQFEGWEEFDERRRSKHQKQLDFRVVSSAAFDEVSKCQFLVEVVLSDCQEN >EOY34570 pep chromosome:Theobroma_cacao_20110822:9:41470850:41473580:1 gene:TCM_042197 transcript:EOY34570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Typical subtype, Leucine-rich repeat, Ubiquitin, Ubiquitin supergroup isoform 1 MSKGEGENCGNINITVKFSGRSIPISIAKDSTIKDLKSHLQSLTNVLPRGQKLIFKGKLLVDAMTLKESEVMNGAKLMLMASQGLHQGGGPLLKEAQTRPISRRSEDEYKKSDARSEVVMDKNRLERWKATGVVALAECNLKAIPDEVWACGSSARVLDVNNNCIQDVPEKISCLNSIQKLLLNANGISDEAIHWEGLTSLKYLTVLSISHNHLTNLPSSLGFLTSLRQLHVANNKLTSLPNEISILAQLEVLKANNNRITTIPARIGECNSLNEVDLSANLLSELPETLGNLHNLKALYLSNNGLKRLPSTLFKECLQLATLDLHNTEITMDVLRQFEGWEEFDERRRSKHQKQLDFRVVSSAAFDEGADKN >EOY34572 pep chromosome:Theobroma_cacao_20110822:9:41470689:41473160:1 gene:TCM_042197 transcript:EOY34572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Typical subtype, Leucine-rich repeat, Ubiquitin, Ubiquitin supergroup isoform 1 MFFLVDKSSSSKLLVDAMTLKESEVMNGAKLMLMASQGLHQGGGPLLKEAQTRPISRRSEDEYKKSDARSEVVMDKNRLERWKATGVVALAECNLKAIPDEVWACGSSARVLDVNNNCIQDVPEKISCLNSIQKLLLNANGISDEAIHWEGLTSLKYLTVLSISHNHLTNLPSSLGFLTSLRQLHVANNKLTSLPNEISILAQLEVLKANNNRITTIPARIGECNSLNEVDLSANLLSELPETLGNLHNLK >EOY29325 pep chromosome:Theobroma_cacao_20110822:9:752629:756842:-1 gene:TCM_036900 transcript:EOY29325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MAVLILCSMTACCNSLSYSCILADSKVSAFSHKYVSFGGRKNGNLEVWPYGCMVSWKKRRKQRLGFYVMKNSCQMVVANGKCKNSLSSEEVLRVLKSFTDTKSALSYFKSVAELPNVVHTTETCNHMLEVLRAHRMVGAMSFVFEFMQKQIIKRDLNTYLTVFKGLDIRGGLRQAPFGLERMRNAGFVLNAYSYNGLIHLLLQSGFSREALEVYRRMVSEGLKPSLKTYSALMVASGKRRDIGTVMDLLEEMETLGLKPNIYTFTICIRVLGRAGKINEAFGILKRMDDLGCGPDVVTYTVLIDALCNTGRLDQAKEIFLKMKASSHKPDRITYITLLDKFSGCGDIDLVKEFWNEMEADGYAPDVVTFTILIEAFCKVGNLDEAFDMLEVMRNQGILPNLHTYNTLICGLLRVNRVDEAFELFTNLESLGIKPTAYTYILFINYYGKSGDHGKALETFEKMKARGIVPNVIACNASLYSLAEAGRLGEAKAIFNGLKSSGLAPDSVTYNMMMKCFSKVGQIDEAIKLLSEMLEDQCDPDVIIINSLIDMLFKAGRADEAWEMFYRMKDMKLAPSVVTYNTLISGLGKEGQVQKAIELFGSMTRHGCSPNTITFNTLLDCLCKNDEVVLALKMLYKMMTRNCSPDVRTYNTVIYGFIKENRVKDAIWVFHQMKKVLYPDYVTLCTLLPGVVKDGQIMDAFKIAQDFVYQDGIDTDRSFWEDLMGGILMEAGMDKAVLFAETLASNKICKDDSILVPLIRSLCRHKKAVLARDLFAKFTKNMGVIPTPGAYNLLIDGLLEVVITEMAWDLFEEMKNIGCSPDVSTYNLLLDACGKSGSINKLFEVYEEMICHGCKPNTITQNIVLSGLVKSNNIDKAMNMYYDLISGDFSPTPCTYGPLIDGLLKLGRLEEAKQLFEEMVDYGCKANCAIYNILMNGYGKTGDVDAACELFKRMVKEGIRPDLKSYTILVDCLCLVGRVDDAMHYFEELKLTGLDPDLVSYNLMINGLGRSGRVEEALSLFDEMWSRGISPDLYTYNSLILNLGTVGMVEQAGKFYEELQLMGLEPNVYTYNALIRGYSVSGNPDHAYAVYKQMMVGGCSPNRGTFAQLPNQS >EOY30320 pep chromosome:Theobroma_cacao_20110822:9:4220248:4226673:1 gene:TCM_037574 transcript:EOY30320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid:diacylglycerol acyltransferase isoform 1 MSFLRRRKVTDSSKSQNSDSNAGKEDDKKKQYKSPKRESYSSKKWSCWDSCCWFIGLICSMWWFLLFLYNAMPASIPQYVTEAITGPLPDPPGVKLRKEGLTVNHPVVFVPGIVTGGLELWEGHHCADGLFRKRLWGGSFGELYKRPLCWAEHMSLDNETGLDPPGIRVRPVSGLVAADYFAAGYFVWAVLIANLAQIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELMVATNGGKKVVVIPHSMGVLYFLHFMKWVEAPVPMGGGGGSDWCAKHIKAVMNIGAPFLGVPKSVSGLFSIEARDIAIARAFAPGFLDKDVFGLQTFQHLMRMTRTWDSTMSMIPKGGDTIWGGLDWSPEGGSFNCSAKKLKNNGTRTGHNANSNLGNMKSVNYGRIISFGKDVAEAHSSKIERVDFRDVMKGGKLANSSNCDIWTEYHEMGNGAIKAVADYKVYTAGSILDLLHFVAPKLMARGGAHFSYGIADNLDDPKYEHYKYWSNPLETKLPSAPDMEIYSMYGVGIPTERAYVYKLTTATDCYIPFQIDTSAEGGSEGSCLKGGVFSVDGDETVPVLSAGFMCAKGWRGKTRFNPSGIRTYIREYNHAPPSNLLEGRGTQSGAHVDIMGNFALIEDIIRVAAGATGQDLGGDRVYSDIFKWSERINLQL >EOY30321 pep chromosome:Theobroma_cacao_20110822:9:4221826:4226466:1 gene:TCM_037574 transcript:EOY30321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid:diacylglycerol acyltransferase isoform 1 MSLDNETGLDPPGIRVRPVSGLVAADYFAAGYFVWAVLIANLAQIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELMVATNGGKKVVVIPHSMGVLYFLHFMKWVEAPVPMGGGGGSDWCAKHIKAVMNIGAPFLGVPKSVSGLFSIEARDIAIARAFAPGFLDKDVFGLQTFQHLMRMTRTWDSTMSMIPKGGDTIWGGLDWSPEGGSFNCSAKKLKNNGTRTGHNANSNLGNMKSVNYGRIISFGKDVAEAHSSKIERVDFRDVMKGGKLANSSNCDIWTEYHEMGNGAIKAVADYKVYTAGSILDLLHFVAPKLMARGGAHFSYGIADNLDDPKYEHYKYWSNPLETKLPSAPDMEIYSMYGVGIPTERAYVYKLTTATDCYIPFQIDTSAEGGSEGSCLKGGVFSVDGDETVPVLSAGFMCAKGWRGKTRFNPSGIRTYIREYNHAPPSNLLEGRGTQSGAHVDIMGNFALIEDIIRVAAGATGQDLGGDRVYSDIFKWSERINLQL >EOY31221 pep chromosome:Theobroma_cacao_20110822:9:7607141:7611229:-1 gene:TCM_038185 transcript:EOY31221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKTCFTLKVRYGEPGRVTLGKKRKGIATTSLLRKLKVLKNSTCVTTLDNAEVLDAVGLFYKKFNIKGKKSPLKYRDHTHELIALLIMWLPQYVLPSCLNDEISSSIIPYAIKITKGISFPFVALYLGLCIRS >EOY34289 pep chromosome:Theobroma_cacao_20110822:9:40593509:40595305:1 gene:TCM_042014 transcript:EOY34289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 family protein MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRTNKGKLIIIANNCPPLRKSEIEYYAMLSKVGVHHYNGNNVDLGTACGKYFRVCCLSIIEPGDSDIIKSLPGDN >EOY29866 pep chromosome:Theobroma_cacao_20110822:9:2615845:2617655:-1 gene:TCM_037267 transcript:EOY29866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ATP synthase subunit G protein MGLAPKLAQLQSKATQASQFVAKHGSAYYKQLLEQNKHYIQEPPTVEKCNLLSKQLFYTRLARSEQEAYAGSDGCRVKGKGRGPLSLFLVIALRLSQPLLQQSCYAQAWQRVEIKGSFAIADIGNIYNIPGRYETFWKEMDYAKHMWKNRKELKIEDAGIAALFGLECFAWFCAGEIVGRGFTLTGYYV >EOY29086 pep chromosome:Theobroma_cacao_20110822:9:68596:73647:1 gene:TCM_036748 transcript:EOY29086 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/surp RNA-binding domain-containing protein isoform 2 MDRPPHNYAAASAMAYAQQQRQAPNIQQQQQFGFHPQHQQFPAAVHGPPFLPPHPSQFPYHPHMQQQPQLHPHPPPHPQLLHLQHQQQPPPAFPSHLPPHLLSSPFHGLYDSPPPHAAPPSDPELQKRIDKLVEYATKNGPEFEAMIREKQQDNPDYSFLFGGEGNGYYRYKLWLSMRPPGGPYPSFSPSSIPMMHPPPNPVMHPSSLSAPPMSAVAAAAAVGAMVTPQLHQPAFPSFFDQQHHHQHPQPFAAHGRAEYDQSFKGLSGPLPSDVAMELTNVLNNLNGTKESIKSAKIWFMQRSPFAPALAEALRDRVFALDDSERQLHVIYLANDILFDSLQRRANPDDLDNEALAFKPVLGSMLARIYHNPQNKEENQSRLQKILQFWASKEVYDQDAIYALESEMMSGPPTISFPGPPKELPAASVEPSTPAGILQQTANNNVSLWQPDKQSSIPSILDQELPDKQGTLALLPSLANQQFVPNSGPTGAFPGSMPLNSNLQPPSQQQANVGEKLPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSNVSPSEILERVSKFFKEIGEVNPSEGSLKSDSRDEDDEYGIEAPVRKGGACIPPPPNLQVDPDTGTYADGSTEHKPGSSGVGRLGLGATANPNEASQYDDVYTSYRKQRSTSYHSSMSARAATR >EOY29087 pep chromosome:Theobroma_cacao_20110822:9:68529:73327:1 gene:TCM_036748 transcript:EOY29087 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/surp RNA-binding domain-containing protein isoform 2 MAYAQQQRQAPNIQQQQQFGFHPQHQQFPAAVHGPPFLPPHPSQFPYHPHMQQQPQLHPHPPPHPQLLHLQHQQQPPPAFPSHLPPHLLSSPFHGLYDSPPPHAAPPSDPELQKRIDKLVEYATKNGPEFEAMIREKQQDNPDYSFLFGGEGNGYYRYKLWLSMRPPGGPYPSFSPSSIPMMHPPPNPVMHPSSLSAPPMSAVAAAAAVGAMVTPQLHQPAFPSFFDQQHHHQHPQPFAAHGRAEYDQSFKGLSGPLPSDVAMELTNVLNNLNGTKESIKSAKIWFMQRSPFAPALAEALRDRVFALDDSERQLHVIYLANDILFDSLQRRANPDDLDNEALAFKPVLGSMLARIYHNPQNKEENQSRLQKILQFWASKEVYDQDAIYALESEMMSGPPTISFPGPPKELPAASVEPSTPAGILQQTANNNVSLWQPDKQSSIPSILDQELPDKQGTLALLPSLANQQFVPNSGPTGAFPGSMPLNSNLQPPSQQQANVGEKLPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSNVSPSEILERVSKFFKEIGEVNPSEGSLKSDSRDEDDEYGIEAPVRKGGACIPPPPNLQVDPDTGTYADGSTEHKPGSSGVGRLGLGATANPNEASQYDDVYTSYRKQRSTSYHSSMSARAATR >EOY33038 pep chromosome:Theobroma_cacao_20110822:9:35052307:35058310:-1 gene:TCM_041046 transcript:EOY33038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSNYSIAAPPVFNGNNYPMWAVKMKAYLKAFDLWEVVEVGGDPPARQANPTIAQMKQYNEEVAKRFKALSCIHSAVTDAIFVRIMACESAKEAWDKIKEEFHEDSKDLTTMSVSELINALQAQEQRRALRQEDHVEAALAARRVDKRTSSGSHKKSEYEKKDKDKRLWHRRLGHINYQFIKNMGSLNLVNDMPIITEVEKTCEIFFLKQKSEAIQYFMKFKVLVEKQTDQKIKALRSDNGSEYTSNEFKALLTQEGIKQFLTVPYSPQQNGVSERKNRTIMEMIRCLLFEQQMPKYFWAEAANFAVTLQNLIPTTALNSMTPFEVWHGYKPSISNVKVFGCIAYAQVPQQKRTKLDSKTQISINLGYSSVSKGYRLFNVETKKVFISRDVVFNEDIHWNWMKNEIAENNNDNVAVNLDVFEEEAGHEPKHQRVISVKWIFRTKLNSDGSINKLKAKLVVRGFSQVHGVDFFETFAPVARHDTIRLLVALAGREKWRIWHMDVKSAFLNGTISEDIYVEQPEGFVEKGKEDKVCKLIKALYGLKQAPRAWYKKIDAYLRSNKFFCSESEPTLYVKSSLGKIQLIVSVYVDDLLITGPNKSDLNSFRNKMKSEFDMSDLGEMSYFLGLEIQQREKFELLRSKLGMGNVDFKEV >EOY33405 pep chromosome:Theobroma_cacao_20110822:9:37341823:37342615:1 gene:TCM_041382 transcript:EOY33405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) hydroxyproline-rich glycoprotein family MGKKVKWSWTSAFIGAASATAVAALLSAKPKDPTFHLISINLTSFKLKLPHIDAELILTVHVTNPNIAPVHYSSTTMSIFYEGSLLGAAQVKAGSQPPRSCQLLKLPTRLDGVELAHHAGKFFADVAKREMLLDAKVDIGGKAKVLWWDHKFNVHVDSHVTVDPVFLDVIDQENKSQLELFVA >EOY34422 pep chromosome:Theobroma_cacao_20110822:9:41035466:41039751:-1 gene:TCM_042103 transcript:EOY34422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF547 [Source:Projected from Arabidopsis thaliana (AT5G60720) TAIR;Acc:AT5G60720] MASQGDLSVNNISLEIRRKKLSGQQKREALEREVSMLQKMLNQEEKMHEILTRVHQQEDGSSISIPNFLPPKMKELLAELAMVEGEITRLESQISQLQLGLKQEQEVTKETKSKQWQPGSLMSHLQGLPSSTSNQNPIKQGGQEKMAFETKALHFISKAIKGDYTLSDFSLNERMGNSRVLSDQKENQYQGEVKFQERIPRKSGLLKAPSPLRDHRYSSPKPRERISESSWDLPPKSLSSTLLSDENSQNWHPNKLSENIMKCLNFIFVRLLRTSRAMELEKSGPLTRSMNTPLSSRSFRVENTLNPKSSLALQKKSRQQDPYGIFDMEESIPRDIGPYKNLVVFTSSSLEPKCISSSSSIPLLKKLRVFMSNLQKVDLRALTYHQKLAFWINMYNACIMHGYLQYGVPNTPEKFLTLMNKATLNVGGNTISAQAMEHYILRKPAPSNIKEAYQKGDKEDQEAIVRKIYGLELTDPNVTFALCRGTRSSPAVRIYTADGVAAELEKSKLEYLQASVVVTNTKKIAFPELLLRNMFDFAMDINSLVQWVCQQLPTSGSLRKSMVDCFRSHNSGKISIGVEKIPYDFEFQYLLAM >EOY32671 pep chromosome:Theobroma_cacao_20110822:9:32744333:32747801:-1 gene:TCM_040701 transcript:EOY32671 gene_biotype:protein_coding transcript_biotype:protein_coding description:C3HL domain class transcription factor, putative MCGGLEQLNMKTTAPSSSLETKTMDCLTGAVGSECSFSVLLEFAADNDVEGFQRSIRDGSLVGEVGLWYGRRKCTKQIVVERRTPLMIAAKYGSVDVVNLILSLPEVEVNLSCGPDKSTALHCAASGGSIRAVEVVKLLLLAGADPCLTDANGHRPFDVIVSPWNMPQMKVALEELLNNAASVCLENLHELTVSLRSSSSSLSTSLGSGSSSLVSDAKISLVTCKPADNNSSSAPDRKEYPVDPSIPDIKSSIYGTDEFRMFSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSGVPCPDFRKGTCKRGDLCEYAHGVFESWLHPAQYRTRLCKDGTTCNRRVCFFAHRPEELRPLYVSSGMCIQSPQSAASAVTTMDRAAAMNLLPGSPSALCAMSMSPFSPAMSPSANGICQSPVGWPQQHVPTLHLAGSNIQASRLKSSFNARDMPAMELNMSPNFDLHQQQHLNSLSCLSQPHLVTKSANLDGLFLTEFSSPQYPDQVTAMPMLSSAHKSVLLNQLHQQQRVLSPIKTNIFSPKNDHPLSQSAFDASLSERFSPWHVEPLSPLNSRFSAHTNLEKQFRGLSSQELEYKLSDDLESKGTVGSPVNSWSKRETPNDKVDWSVQEDELGQFCKSCSLGHHDMEPAVSWVQSLVKESPSALATAAASSQTLSVEGSNSHSDFGDHATFGAWHELLKLDKIVA >EOY32003 pep chromosome:Theobroma_cacao_20110822:9:18980133:18980677:1 gene:TCM_039407 transcript:EOY32003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLNTNLFQQKGVTQLRVKRVGIFSPMGLFCFKEDHLVSFISYYQGNLKKLGSEIRSWTTFCSNRDLICTKLSKSRVVIRICMCVYSRMYVCVYSSLLVV >EOY33395 pep chromosome:Theobroma_cacao_20110822:9:37291153:37291962:-1 gene:TCM_041372 transcript:EOY33395 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box and associated interaction domains-containing protein MIKMAETSNGTLAEQLLIDDSDVPDWLLTEILQRLPVKHIFMFKCVSKRWFTLISDPFFARSYATRINRSLSLSTSQPWNLLSGFPIARIFVKLSSFLTGLPDKDPGIE >EOY32628 pep chromosome:Theobroma_cacao_20110822:9:32214476:32223770:1 gene:TCM_040640 transcript:EOY32628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDQNSLLLPKRMKPHTYITTSNSNPVAAPYLPTRRRLPSLTRHVTLSLNAQYNREDARRRSSSAEYSLARRAVLLTPFLAAAGSCLQQSPYLHSMAEEMTSPSPPSTLPPPKVVQEEEVVSARIYDATAIGEPLAVGKDKTKVWEKLMSARIVYLGEAEQVPIRDDKDLELEIIKNLKKRCVESERPLALAIEAFPSDLQKQLNQFMDKRIDGEELKSYVSHWPAQRWQEYEPLLNYCRDNEFRLVACGTPLKILRTVQAEGIRGLSKAERKVYAPPAGSGFISGFSSISRRSSMDNHFPTQSIPFGPSSFLSAQARVVEEYNMTQIILNEVADEGGTGLLVVVTGANHVQFGSRGTGVPARISMKIQKKNQVVLLLDPERQYIRREGDVPVADFLWYSAARPCSRNCFDRAEIARVMNAAGRQRDALPQDLQKGLDLGLVSPEVLQNFFDLEQYPLISELTHRFQGFRERLLADPKFLHRLAIEEAISITTTLLAQYERRKENFFEELDYVITDTVRGSVVDFFTVWLPAPTISFLSYADGADNMDALKGLLGSIPDNAFQKNLAGKDWNLSHRLASVLVGGLKLASVGFISSIGTVAASNILYAIRKVLNPKLVSEQQIRRSPILKTAAVYGCFLGTSANLRYQIIAGIVEHRISDEFSSQTLLVNMLSFVVRTVNSYWGTQQWVDLARFSGLQTRKNKPPSYQTPDSPNPAALECNTAEEANIDELKNQ >EOY33101 pep chromosome:Theobroma_cacao_20110822:9:35430002:35434188:-1 gene:TCM_041092 transcript:EOY33101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger family protein, putative MENPNRGRGKAKATQFNDDDDDREEFKSVLTLQRQQLMEAKTLDSDLDFAFQLQMEEAVTASLSLHHSSISQDVTLLPAPEMGFDYLTLLLEDIDRFEMERKDREQTEEEMRKLRNDLNRSIHDQNFSSYIMNVPEEEWKEYGDNYERPFDGNVATGVISTEGFRVYVKGLVSEERLREFNVVVGGVGVAICDFRDNLVLEVRKRLEGAEFMSGEMAGVEAVVHGLNAALSLDLERVTIFVDDFLVYQYVTGRVQPGQSKMGTLVNEVALLHKKFTYCQPSLVARNDMKFALKLARDAIVSQIAWSAETSNGRGLKETCVICFEDIDAAQMFSVNGCFHRYCFSCMKQHVEVKLLNGMVASCPHEGCNSEVTIDSCGKFLDPKLVEIMSNRTKEASIAVSEKVYCAFPRCSALMSKNEVLQYTRTVLLGAEQSGARKCVKCHRFFCISCKVPWHYDMTCYDYKKSNPYPTKEDEMLKSLANKKLWRECTKCKNLVELAEGCYHITCRCGYEFCYTCGAQWRNKKPTCSCPIWDERNIIRDRRRRQ >EOY32829 pep chromosome:Theobroma_cacao_20110822:9:33957411:33959264:1 gene:TCM_040854 transcript:EOY32829 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative MAMADITVLCMNAGDKETSYADNSVLQKTILLKTRPILEHTIRDMLSKLLPVTCIKVADLGCASGPNTFFTISQIIDTITGICQHAHCKSPEFQVFLNDLPRNDFNTVFRSVPAFCARLKEEKGDMMGSCFISGIPGSFYERLFPSGSLHFVHSSYGVHWLSKIPGRVENNKGNIYMAKSSPPSVLKAYSDQFQKDFSNFLRLRSEEIICGGRMVLTMVGRSIANPTSKDCCCLWELLTKSLFDLVAEGLIEESDVDSFNMPCYNPCQEEVLEIVEKEGSFDLDKLEKFGVNWDPEDDVCNKNFVFNKYKSGQNVANCIRAITEPLLASHFGETIIHNLFTRYAQHVAEHLSIEKTKFVNIVISMTRKQLATPDALAD >EOY33420 pep chromosome:Theobroma_cacao_20110822:9:37409865:37420778:1 gene:TCM_041395 transcript:EOY33420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5/Elongation factor G/III/V family protein MDDNLYDEFGNYIGPEIESDQESEGEEEEEEEFPDRHAQEEGEESDGEAPPGASNGWITAANDVDMDNQIVLAEDKKYYPTAEEVYGEDVETLVMDEDEQPLEQPIIKPVKNVKFEVGVKDSSTYVSTQFLIGLMSNPGLVRNVALVGHLQHGKTVFMDMLVEQTHHMNTFDENSEKHMRYTDTRIDEQERRISIKAIPMSLVLEDSNSKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLIVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPAKDAYHKLRHTLEVINNHISAASTTAGNVPVIDPAAGNVCFASASAGWSFTLQSFAKLYVKLHGIPFDAEKFASRLWGDIYYHPDTRAFKRKPPAGGGERSFVEFVLEPLYKIYSQVIGEHKKSVESTLAELGVTLSNAAYKLNVRPLLRLACSTVFGSASGFTDMLVQHIPSPKDAAVKKVDHAYTGPKHSMIYKAMVDCDPSGPLMVNVTKLYPKSDCSVFDAFGRVYSGRIQTGQTLRVLGEGYSPDDEEDMTVKEVTKLWIYQARYRIPISSAPPGSWVLIEGVDASIMKTATLCNMDLDEDVYIFRPLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLERGLAEDIENGVVSVDWSRKQLGDFFKTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDKTLLGAVRDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIASEPLHRGSGQIIPTARRVAYSAFLMATPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMVVELAQQAADLHQQMI >EOY29380 pep chromosome:Theobroma_cacao_20110822:9:998176:1002650:-1 gene:TCM_036938 transcript:EOY29380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein MEDGFAASKLFSQGYSYTYDDCIFLPHYIDFPTDAVSLSTNLSRNVRLSIPCVASPMDTVSEAYMAAAMAALGGIAIVHSNCTSAQQASIIRFAKSLRLPITPSVAFASPDTLPAAAPHGRYNFVTDSGTLPSKLLRCVATSDCENLKGEEVKIFDCKRDCKSVEYVPWNYDLEKIEAILDEKKSDIVVLERDGEVVNVVIKEDLKRLKGYPKLGAGTVGPDGEWMVGAAMGTRESDKERLEHVVKAGANVVVLDSSQGNSIYQIEMIKFIKKTYPQLDVIGGNVVTMYQAQNLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSIAAQHGVPVIADGGISNSGHIVKALVLGASTVMMGSFLAGSTEAPGAYEYKNGQRIKKYRGMGSLEAMTKGSDQRYLGDTAKLKIAQGVVGAVADKDSVLKFIPYAMQAVKQGFQDLGASSLPSAHELLRSGTLRLEVRTGAAQVEGGVHGLVSYEKKSF >EOY33023 pep chromosome:Theobroma_cacao_20110822:9:34996782:35001365:-1 gene:TCM_041032 transcript:EOY33023 gene_biotype:protein_coding transcript_biotype:protein_coding description:20S proteasome alpha subunit G1 MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVMGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYESVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYVVEPSGISYRYFGAAIGKGKQAAKTEIEKLKLSEMTCREGVIEVAKIIYKVHDEAKDKAFELEMSWVCDESKQQHQKVPDDLLEEAKAAARTALEEMDAD >EOY29261 pep chromosome:Theobroma_cacao_20110822:9:564351:569983:-1 gene:TCM_036854 transcript:EOY29261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor, putative MMRSSTEHMLFHLFCVFIFLLEGVLTQNSTTQVKVGVVLDLDTPAGKIGLSCINMALSDFYETHAHYKTRLVLNVRDSKEDVVAAADAALDLMKNVQVQAIIGPRSSMQANFVINLGNESKVPIISFSATSPSLTSLQSPYFFLAAQSSSNQVKAISAIVQAFGWKEAVPIYVDNQSGESLIPYLTDALQEINARVPYLSIIAAAATDDQIAEELYKLMTMQTRLFIVHMTMSLGSRILAKAKEIGMMSEGYVWIMTDVMTNLWRSIDASPIDSMQGVVGVKSYVAKSKKIENFTVRWKRKFQQENPDSINAELSIVGLWAYDATFALAMAIEKAGTENLRFNKPTISSSGATDLETLGVSQNGPRLIRELSSKKFKGLSGDFHFVKGQLQSSVFQIVNVIGSGEKEVGFWTPENGLVRQLDSTNTNASTNSTSKPKLGPIIWPGETNLVPKGWQIPTNGKKLRIGVPVKVGFTEFLNVVWDPIAQKAKSIEGYCIDVFDAVMDKMPYAVPYEYVPFATTDGKAAGSYNDLTDQVYYGNYDAVVGDTTIVANRSLFVDFALPFTESGVSMIVPIRDNKEKNAWVFLKPLTWDLWVTSGCFFVFIGFVVWILEHRINEDFRGPPAHQIGTSFWFSFSTMVFAHREKVVSNLARFVVIVWCFVVLVLTQSYTANLTSLLTVEQLQPTVTDINELVKRRESVGLLNGSFVEGILLGLKFENFQLKIYNSPEELHELFTKGSANGGISAALDENPYIKLFLSKYCGKYTTVEPTFKTAGFGFAFPKGSPLVADVSRAILNVTQSDKMEQIENFWLKKGTVCPDVDPSVSSSSLGLESFWGLFLIAGTASMSALIIYSAMFLHEQRHVLFQFHDTSVWRRIRIMSRIFDQKDLSSHTFRKSEVRDASSTHSVHSIGVVGSSPNTNCPPSPSSYSSQTHSSQFVFFVDQGRFTGHGDLTPSGSASPETFPSPERSSIELANRNDP >EOY32903 pep chromosome:Theobroma_cacao_20110822:9:34360038:34362829:1 gene:TCM_040927 transcript:EOY32903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRHRRQASRVLPPELTLEGDEPPPKSTTDSTQAIASPYGRHGSNGSTTDRSAANPSAHRHQDSSGNHSQGPETKPSASTKPS >EOY30458 pep chromosome:Theobroma_cacao_20110822:9:4663985:4666321:1 gene:TCM_037660 transcript:EOY30458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane nine 7-like protein MKGNLRLVSWVLALLENSCGSGRLSLGSLDLSACRSITRSKLLISEVVAHYVLAGCGWNVEQCRRLQLDKDCVTVLTVPFILGSTLIESNSNSSGMLSRLALLFFLLTVTTTLVPGSCSISLGAVAPADFYIGDPLQVEVSNLTSPDTRLSYDFYSIRYCKPSKTLRKFLQGEAFQGSVYTEFGNLPVTQRKQKGFRVGLKGTYYPVEEVYFIHNHLSFRVMYHKNPRTDSAQIAGFEVDPYSSCSTRRALYSFLSIDWVINVLIAILWSAQTEYEGPWNEKNPQLLTCNPRTNGIHQAFAIPQRIGT >EOY30389 pep chromosome:Theobroma_cacao_20110822:9:4474453:4475275:-1 gene:TCM_037619 transcript:EOY30389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAMGGNKQKKSFSFFSFFKAKKDRREDHSYSAAEDVWSTRKVYPSDEDNTLRVVAEPGIDKKASAFIANFHATRVSEAIHHQLAG >EOY29479 pep chromosome:Theobroma_cacao_20110822:9:1291573:1294726:1 gene:TCM_046911 transcript:EOY29479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate peroxidase 6 MMSSSATSSSSPFSSTSTFSSSKFKFKFPSLSQRSSLLTVESRGKPSTACSSTIRDASGQIRHCSLSRRRGLAFTATLPFLFPLHEFVGNGGAKAIESGQREYLRIKEEISKVVTKGKAAGVLRLVFHDAGTFEMAENSGGMNGSIVYELERPENAGLKKSLKVLEKAKKEVDAIQPVSWADMIAVGGAEAVSVCGGPKIPVALGRLDSAEPDPEGKLPQESLDASGLKQSFQRKGFSTQELVALSGAHTLGSKGFGSPVAFDNSYFKILMEKPWKSSAGMSSMIGLPSDHAIVEDDECLRWITKYADNQNIFFKDFKNAYIKLVNSGARWKSM >EOY34192 pep chromosome:Theobroma_cacao_20110822:9:40220777:40223879:-1 gene:TCM_041937 transcript:EOY34192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASTCISNCINDTRVPVRPTYVNLYKWPESDAEFVRSLSSEGRRGGGCHAHPRVVDSISCRQMYLRSYTFHRNETEPEKTKCFGRAKKEKVKSPRRKRKSKTVAKKKKCMVLRRAKEVSCAALLAMFRRLLSCTTKVDVADHGD >EOY31993 pep chromosome:Theobroma_cacao_20110822:9:18742151:18747064:1 gene:TCM_039383 transcript:EOY31993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIATLAWTIHYQQVGHISQQLSRVVLYPSPIRDRRRGRLFPSWPYHLHPLIDPSSGPCKSSSIWTRPLLHLQEPM >EOY30572 pep chromosome:Theobroma_cacao_20110822:9:5017394:5019313:-1 gene:TCM_037732 transcript:EOY30572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol oxygenase 1-like protein MTVIVPGSLSLSFGLSSYSSVETFLLANENVMLFPVIDNANTAATATVVENENIEKGELQEVREMQLDGGFVLPESNALGHSFRDYEKESLRREIAEKGKEEYEKLDKAVAKGNNTTLPPAGLFIIRVHSIYALHRSGSYTYLMNDEDKEMMSGSNKYDLYSKSKVRVNVEEMKPYYLSLIQKYFPKKLKW >EOY31305 pep chromosome:Theobroma_cacao_20110822:9:8065326:8066630:-1 gene:TCM_038262 transcript:EOY31305 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MAGMLPGVECARRRRFHQSGGASDSPSTVPIGWTRRSSFCLYTSNHETHHSSVSSQQRSILNQANQDEKLGEVAREAKERLDERLRSQRKSEPKRQNSKESLRCVDGRSMVQGEFHKEVFGPKKSGTKRFSWAKLSWKASDQEECAICLERFKAGETLVHLPCAHRFHSRCLIPWVENNAHCPCCRMGILS >EOY33402 pep chromosome:Theobroma_cacao_20110822:9:37332659:37334043:-1 gene:TCM_041379 transcript:EOY33402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNYFSTSLAIKGEWLTKHAINYFPSRSSLYAPLVLFLKPGRETLLRTSPVVASRRRNLSKFPFNLNCFLKKKIRCS >EOY32909 pep chromosome:Theobroma_cacao_20110822:9:34409598:34414438:-1 gene:TCM_040934 transcript:EOY32909 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MTSVIKIDAEQIVNALPNLSLQDQEMKNKGAVGEEGCENHSGICAICLDKIVLQETALVKGCEHAYCVTCILRWATYSKMPTCPQCKHPFEFLNVHRSLDGRINDYMFEESVCLLLRAAWFEPLIVEDREELYDDLEDYYPYEEDDDQDEAYYASSPSLRIGNRRWGDNGYVRSGRQEARPVQRSNFQDSGAGSSREPKKKEVAKSTMGRRAKRALKREAADKAAAAKHQEHLMRLGRK >EOY32908 pep chromosome:Theobroma_cacao_20110822:9:34409763:34414057:-1 gene:TCM_040934 transcript:EOY32908 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MTSVIKIDAEQIVNALPNLSLQDQKEMKNKGAVGEEGCENHSGICAICLDKIVLQETALVKGCEHAYCVTCILRWATYSKMPTCPQCKHPFEFLNVHRSLDGRINDYMFEESVCLLLRAAWFEPLIVEDREELYDDLEDYYPYEEDDDQDEAYYASSPSLRIGNRRWGDNGYVRSGRQEARPVQRSNFQDSGAGSSREPKKKEVAKSTMGRRAKRALKREAADKAAAAKHQEHLMRLGRK >EOY30251 pep chromosome:Theobroma_cacao_20110822:9:3940786:3945079:1 gene:TCM_037524 transcript:EOY30251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein MARLTLLSSIVLLVCSAAAATIFEDSNPIRMVPDDLRGFESFVLSVLGHTRHAFSFARFAYRHGKTYESVEEMKLRFQIFKDNLDLIRSTNKKGLPYTLAVNQFADWTWEEFQKHRLGAAQNCSATAKGNHQLTDVILPESKDWREVGIVSPVKNQGSCGSCWTFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKYNGGLDTEEAYPYTAKNGECKFSSENVGVQVIDSVNITLGAEDELKHAVGLVRPVSVAFEVVTSFRFYKNGVYTSDVCGSTSMDVNHAVLAVGYGVENGVSYWLIKNSWGEDWGDDGYFKMEMGKNMCGVATCASYPIVA >EOY30913 pep chromosome:Theobroma_cacao_20110822:9:6237966:6239028:1 gene:TCM_037957 transcript:EOY30913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLGKIGVKEELVKREVQLNSSLLCVLCNLGQETCNHFFVECMDIWKIWSGWCKSWGVTWTFLETVKSCF >EOY31820 pep chromosome:Theobroma_cacao_20110822:9:15789984:15818278:1 gene:TCM_039086 transcript:EOY31820 gene_biotype:protein_coding transcript_biotype:protein_coding description:MA3 domain-containing protein MTSLAISLTSFDMFDFSIFLKAMLKTPSLVRSISTSKDVLRDNEISSLYNVDSTYEDVHRELVRSTSESADPLSASPMQIPISSNSPKSRKSPKSPKVHGEGSPVSYDRHSHSQRDGRPKKGGSGGKGTWGGLLETDYNYSLDSNDPNYDSSEEYGHPNGRKSACDFDAYKKKTTIIVEEYFATDDVVSTTNELRELAMPSYNYYFVKKLVSMAMDRHDQEKEMAAVLLSALYADVIDAPQVYKGFSKLVESADDLIVDIPDTVDVLALFIARAVVDDVLPPAFLKKQIAFLPNGSKGLEVLKRAEKGYLAAPMHAESIERRWGGSKTKTVEDVKARINNLLIEYVVSGDKKEAFRCIKDLKVSFFHHEIVKRALIMAMERHQVEDRILDLLKEATEEGLINSSQITKGFDRMIDTVDDLSLDIPNAQRILKSLISNAASEGWLCASSLKSLSLEPKKKLLEDSFTRTFKIKSQSIIQEYFLSGDISEVCSCLEVENKTSSGELNAIFIKRLITLAMDRKNREKEMASVLLSSLCFPVDDVVNGFAMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPQHLEEVGSQFLGTDSTGSRVLQMAKSLLKARLSGERILRCWGGGGSSRPGWAVEDVKDKIGKLLEEYESGGDVREACRCIKELGMPFFHHEVVKKALVTVMEKKNERLWGLLRHCFGSGLITMNQMTKGFVRVAESLDDVALDVPDAQKQFLNYVERAKTKGWLDSSFYCSNSIHGKENGTCL >EOY34740 pep chromosome:Theobroma_cacao_20110822:9:41983781:41987104:-1 gene:TCM_046937 transcript:EOY34740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 109A isoform 2 MWRRLWWCEAFKQGASAAVGRRPPSSPLLLEGLTVRTNPVFCGSGAELESITFAEAKKLMRLVNVESLKTKLGMEGKEVIGYSELLEACESMGVARSLDEAIAFARVLDEAGVVLLFRDKVYLHPDKVVDLVRRAVPLALTPEDDPIRDELKRLQEKKEEIDVLAHKQVRRILWTGLGLAVVQVGLFFRLTFWEFSWDVMEPIAFFTTTTGIVIGYAYFLFTSRDPTYQDLMKRLFLSRQRKLFKKHNFDVGRLKELHKKCKTPLDASASIRNRVGLELELDDALHKD >EOY34739 pep chromosome:Theobroma_cacao_20110822:9:41984321:41986809:-1 gene:TCM_046937 transcript:EOY34739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein 109A isoform 2 MWRRLWWCEAFKQGASAAVGRRPPSSPLLLEGLTVRTNPVFCGRKLWLSSTSSDPNATSDSKNAGSGAELESITFAEAKKLMRLVNVESLKTKLGMEGKEVIGYSELLEACESMGVARSLDEAIAFARVLDEAGVVLLFRDKVYLHPDKVVDLVRRAVPLALTPEDDPIRDELKRLQEKKEEIDVLAHKQVRRILWTGLGLAVVQVGLFFRLTFWEFSWDVMEPIAFFTTTTGIVIGYAYFLFTSRDPTYQDLMKRLFLSRQRKLFKKHNFDVGRLKELHKKCKTPLDASASIRNRVGLELELDDALHKD >EOY33391 pep chromosome:Theobroma_cacao_20110822:9:37268756:37273859:-1 gene:TCM_041365 transcript:EOY33391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMVLQGFKESGCPIFWTTRRGGSGTPGVGGQNPPSRVVGGGWDGDGMAGRATFFTFLTPLIRQWIALPKPPQALQSVAIGFISKDDGYNVVRMPTADGSSNILQLETFSSETGQWHCSMVHCPVPDYYNKTDCPVVDYNGSLHWLEFRHSKIITYNPDKETIQLHLMNLPNGKESEYLSLLGVSRGHFRYFEVTNTFQGPRNLCIWVL >EOY34431 pep chromosome:Theobroma_cacao_20110822:9:41072846:41075190:1 gene:TCM_042113 transcript:EOY34431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSDFPCFQIAEPLSLEHFNEPLEDIDYDSDFDDDFYSQFDQIAVPEKPIQCNPASMGPLLVEKLPSDAWDKWCKDDVVCFAMGGNDILKVYFADPRDASVYHVTDFDFVKFHLPRFDNTPGPILNSYKPEPFVFSLAGNIYVLSTGVEDPKSSPFEVLKSNSSSWEVLPPPPSALWSQKHCIVGSSIIDGKKIVVRGMDYIFYIYDATDNQWTIMQYPYDHLLNRTGDYCLHVQDNGFLVTGPGHPFVINCGSQSFETFPVDAPNSEEYSKADDLDKDFHICQWNLFHLKDKKFFFVVLCADYMNYKSYARLGTFDMDDDFGSDVSEAKHFKMAGYGNVSDAKRFKMAGYSVTNMKFKSIGLADCIRLLPYMVSCKIGAFSLC >EOY32166 pep chromosome:Theobroma_cacao_20110822:9:22553724:22559013:1 gene:TCM_039741 transcript:EOY32166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat protein isoform 1 MSQIKQMQSHLIVSATLLDPYAAGRIISFCAVSADADLSHAYKLFLSLQHRTTFIWNTIIRAFVERNANATAISLYKNMLQSGFLPNNYTFSFVLRACTTYSSTALASHALVIKLGWESYDFVLNGLIHLYANLSLMDAARKLFNVSTNRDVITWTSLLNGYVQSGQAEFARELFDQMPERNAVSWSAMITGYVQMGMFREAMGLFNDMQLSGLRPNHAGIVGALTACAFLGALDHGRWIHAYVDRNGMELDRVLGTALVDMYAKCGCIEMACSVFDEMPYKDVFAFTSLISGLANHDQSARAIELFARMQSEGVVPNEVTFICVLSACSRMGLVDEGLRIFNYMSKVYGIEPGVQHYGCLVDLLGRAGLFEEANKLVREMPMEPDSYVLGALLNSCRMHGDVELGKETVESLVERGLDHGGVHVLLSNMYASSNQWDWVVKVRKEMGEKKVRKVPGCSLIEIDGRLCEFIVGDGSYLLMEEVVLILLGIDNHLKFLWLDDDNTNCTMTSY >EOY32165 pep chromosome:Theobroma_cacao_20110822:9:22551407:22558771:1 gene:TCM_039741 transcript:EOY32165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat protein isoform 1 MSASSFASRFVKASRRSLALLDRCLTMSQIKQMQSHLIVSATLLDPYAAGRIISFCAVSADADLSHAYKLFLSLQHRTTFIWNTIIRAFVERNANATAISLYKNMLQSGFLPNNYTFSFVLRACTTYSSTALASHALVIKLGWESYDFVLNGLIHLYANLSLMDAARKLFNVSTNRDVITWTSLLNGYVQSGQAEFARELFDQMPERNAVSWSAMITGYVQMGMFREAMGLFNDMQLSGLRPNHAGIVGALTACAFLGALDHGRWIHAYVDRNGMELDRVLGTALVDMYAKCGCIEMACSVFDEMPYKDVFAFTSLISGLANHDQSARAIELFARMQSEGVVPNEVTFICVLSACSRMGLVDEGLRIFNYMSKVYGIEPGVQHYGCLVDLLGRAGLFEEANKLVREMPMEPDSYVLGALLNSCRMHGDVELGKETVESLVERGLDHGGVHVLLSNMYASSNQWDWVVKVRKEMGEKKVRKVPGCSLIEIDGRLCEFIVGDGSYLLMEEVVLILLGIDNHLKFLWLDDDNTNCTMTSY >EOY29879 pep chromosome:Theobroma_cacao_20110822:9:2658720:2660339:-1 gene:TCM_037273 transcript:EOY29879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate phosphatase-related protein MAGVVLVFDFDKTIIECDSDDWVVEGFGVSELFTQLRPTFPLNTLMDFHHDNYQRFTLDAFSRLFFQDRMIMEVQSRGNSTADIAACLRQVPLHPRIVSVIKAAHASGCDLRLISDANVFFIETILKNHGLLDCFSEINTNPSYVDGEGRLRISPYHDFESSSHGCNICPPNMCKGLIMERVQASVSATGKKRFIYLGDGTADFCPGLKLGEDDFLMPRKNFPVWELICSNPKLIRANIHEWNDGEELGDVLSHLVNKISIEENYAVGVDQMVPVHCKFKTSSVPAHAAF >EOY30790 pep chromosome:Theobroma_cacao_20110822:9:5789304:5793198:1 gene:TCM_037876 transcript:EOY30790 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein / ankyrin repeat family protein isoform 2 MQMGRDQRSRSAKPTTIHRFAQSGDLVGLQKLLKDKPFLLNERNPVMAQTPLHVSAGYDRAEIIKFLLDRQGPEKAELEAKNMYGETPLHMAAKNGCNEAAKLLLAHGAFIEAKANNGMTPLHLAVWYSIRSDDYATVKTLLEYNADCTAQDNEGMTPIKHLSNGPGSEKLRQLLHWHLEEQQKRRALEACGETKAKMDELEKELLNIVGLHELKVQLRKWAKGMLFDERRRALGLKVGARRPPHMAFLGNPGTGKTMVARILGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQEAEGGILFVDEAYRLIPMQKADDKDYGLEALEEIMSVMDSGKIVVIFAGYSEPMKRVIASNEGFCRRVTKFFYFSDFNSEELAKILHIKMNSQTEESLLYGFKLHSSCSLDAIARLIEREATEKQRKEMNGGLVDPMLVNARENLDLRLNFDCIDADELCTITLEDLEAGIRLLSQ >EOY30791 pep chromosome:Theobroma_cacao_20110822:9:5791042:5793313:1 gene:TCM_037876 transcript:EOY30791 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein / ankyrin repeat family protein isoform 2 MGRLHCIWQPRMDVMKLQSCFLLMNGMTPLHLAVWYSIRSDDYATVKTLLEYNADCTAQDNEGMTPIKHLSNGPGSEKLRQLLHWHLEEQQKRRALEACGETKAKMDELEKELLNIVGLHELKVQLRKWAKGMLFDERRRALGLKVGARRPPHMAFLGNPGTGKTMVARILGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQEAEGGILFVDEAYRLIPMQKADDKDYGLEALEEIMSVMDSGKIVVIFAGYSEPMKRVIASNEGFCRRVTKFFYFSDFNSEELAKILHIKMNSQTEESLLYGFKLHSSCSLDAIARLIEREATEKQRKEMNGGLVDPMLVNARENLDLRLNFDCIDADELCTITLEDLEAGIRLLSQ >EOY33657 pep chromosome:Theobroma_cacao_20110822:9:38421379:38422513:1 gene:TCM_041562 transcript:EOY33657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNFLSKTQHARGRIVPICKGIGSKCSKRIDKDKTVPGTPEYRNLALTLGLIYGANGIVWQEYASFLALSSIRREGQPRQTALKNENSFSSKSFQSSLCSLSICYDMCVVT >EOY33562 pep chromosome:Theobroma_cacao_20110822:9:38070583:38071521:1 gene:TCM_041507 transcript:EOY33562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokine 3 precursor, putative isoform 2 MYLYPASPPSTIPTPNTFKCSIPFFLLYFSLFSLVSLFVSKCEHTLKKVSQAETMKQSFLSGALLLFFLILISSSHLSARLIANKQGKFLDRYPCPLSFFTNLETKVQQISFAGKEDVELSKITDREDIELINQLMGVEACDTGDDECLKRRIVSEAHLDYIYTQHHKP >EOY33561 pep chromosome:Theobroma_cacao_20110822:9:38070583:38071521:1 gene:TCM_041507 transcript:EOY33561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokine 3 precursor, putative isoform 2 MYLYPASPPSTIPTPNTFKCSIPFFLLYFSLFSLVSLFVSKCEHTLKKVSQAETMKQSFLSGALLLFFLILISSSHLSARLIANKQGKEDVELSKITDREDIELINQLMGVEACDTGDDECLKRRIVSEAHLDYIYTQHHKP >EOY31503 pep chromosome:Theobroma_cacao_20110822:9:9186123:9192777:-1 gene:TCM_038427 transcript:EOY31503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 29 MANPGWVFGLKTWLILTSLYLSLSSCVIATSLEDSVAQQKLDKVPKLPGQNFNVSFAHYAGYVTVNEGSGRALFYWFFEAAEDPDSKPLLLWLNGGPGCSSVAYGEAEEIGPFHIRPDGKTLYLNPYSWNKVANLLFLESPIGVGFSYSNTSFDLLNNGDKRTAKDSLAFLLKWLERFPQYKERDFYIAGESYGGHYVPQLSQAILRYNWATKAKAINLKGYMVGNALTDDYFDHLGVFQFMWSAGLISDETYKLLNVLCDFESFVHSSSSCDKIMEVASEELGNIDPYSIFTPPCSANVSQSKWLLKRRLRVGQVSDKYDPCTEKHSEVYFNLPEVQKALHVLPEVAPSKWETCSDIVSTNWKDSPRTMLDVYRELIHVGLRIWIFSGDADAVIPVTSTRYSIDALKLPTVKPWRAWYDDGQVGGWTQEYAGLNFVSVRGAGHEVPLHRPKLALTLVKAFLSGTSMPGLEQVSDS >EOY33684 pep chromosome:Theobroma_cacao_20110822:9:38587082:38588913:-1 gene:TCM_041588 transcript:EOY33684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper/zinc superoxide dismutase 2 isoform 2 MQAAAIAAMAAHAILTVTTSQHTLLAPFPTNPSTPAVLQSSFRGVSLKLPCQSLSIAATVPKKPLIVFAATKKAVAVLKGDSKVEGVVTLTQDDDGSYNCKCSYYWSYSRASWVPPTRVW >EOY33683 pep chromosome:Theobroma_cacao_20110822:9:38585479:38588903:-1 gene:TCM_041588 transcript:EOY33683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper/zinc superoxide dismutase 2 isoform 2 MQAAAIAAMAAHAILTVTTSQHTLLAPFPTNPSTPAVLQSSFRGVSLKLPCQSLSIAATVPKKPLIVFAATKKAVAVLKGDSKVEGVVTLTQDDDGPTTVNVRITGLTPGPHGFHLHEFGDTTNGCMSTGAHFNPNNMTHGAPEDKVRHAGDLGNIVANADGVAEATIVDKQIPLSGPNAIIGRALVVHELEDDLGKGGHELSLTTGNAGGRLACGVVGLTPI >EOY29681 pep chromosome:Theobroma_cacao_20110822:9:2046141:2053011:-1 gene:TCM_037153 transcript:EOY29681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase 3, putative MTRCGRCCLHYSMKIVNLVMNFLGIAIIVYSLWLQKKWNDGFAGLPFHSSLPKPWFIYTCLGVGIAVCLSTLFSYMVSNYINNSILCIYIFSICSLLFLEVAVIVTTFFKYDWSSLIAKYIDESHEDFKSFIIFHEKMCQLIALMILVPQVSVIALAIILWTVGIERMAQTRHWEIPDFTRSFLVGTGSAGDSLFHNVPPAPDIPVYATTVAYNKDPSTNKLHLGIGVYRTEDGKSHTLNVVRQVEQTLANDLSADKEYLPRTGMAEFNRLSAELIFGSGSPAIKESRVTTAQCVSGCGSLRLGAEFLAQHYRQLVVYLPEPTYGNHPNLLSAAGLALKTYRYYDPKTRGLDFQGLLEDLGSALTGAIVLFQASGHNPTGVDPTCQQWEQIRQLVRLRGLLPFFDCAYQGLVSGSLDADAQSIRMFVCDGGESLVAQSYSKTMGLYGERIGSLSIVCKTADVARRVESQLRLVIRPLYSNPPIHGAAIVTAILKDRDLYNEWTSELKAMSDRLAKVRQQLYDALCNRGTPGDWSHIIRQVGMYTFTGLNEEQVRFMTKEYHIYMSSDGRINMGGLSSKAVPHLADAIHAAVTRII >EOY34109 pep chromosome:Theobroma_cacao_20110822:9:39950790:39954413:-1 gene:TCM_041883 transcript:EOY34109 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein MSSALEMSLDDLIKRNRKSGSGNSRGRGRGSGPGPARRFPNRGANRSGPYTAAKAPETTWQHDMYSDKGAAFQGQAGRASAIETGTKLYISNLDYGVSNDDIKELFAEVGDLKRFTIHYDRSGRSKGTAEVVFSRRTDAMAAVKRYNNVQLDGKPMKIEIVGTNVATPGAPSAGNGAFGNSNGAPRGGHGRGGGFGKQRGGGGGRGFGRGRGRGKGRGEKVSAEDLDAELEKYHSEAMQTN >EOY29091 pep chromosome:Theobroma_cacao_20110822:9:84010:94440:-1 gene:TCM_036751 transcript:EOY29091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein isoform 3 MSSEAEEPNLSKKAAKKEAAKLEKLRRRQEAASLASSASSLSVDEEDPHSSNYGDVPLPELKSCSQADAGNWSRAVASMVRTQVGALTQELKEKEVLIRGRAHTIRPVGKNMAFLVVRKKGFTVQCLAATQSMGVSRQMVKFVAGLNRESIVDVIGVVSVPGNPIKGTTQQAGEQLPRVNQDTRLNFRVIDIRTPANQGIFRIQSQVGNIFRQFLLSEDFVEIHTPKLIAGSSEGGSAVFKLDYKGQPACLAQSPQLHKQMSICGDFDRVFEIGAVYRAEDSYTHRHLCEFTGLDVEMEIEKHYSEVMDIVDRLFVTMFDSLNERCEMELEAVARQYPFEPLKYKPNTLRLTFEEGVQMLKDAGVEVDPLGDLNTEAERKLGQLVLEKYGTEFYILHRYPLAVRPFYTMPCYDDSLYSNSFDVFIRGEEIISGAQRIHVPAFLAERAQACGIDVNTISTYIDSFRYGAPPHGGFGVGLERVVMLFCGLGNIRKTSLFPRDPQRIAP >EOY29090 pep chromosome:Theobroma_cacao_20110822:9:84010:94440:-1 gene:TCM_036751 transcript:EOY29090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein isoform 3 MSSEAEEPNLSKKAAKKEAAKLEKLRRRQEAASLASSASSLSVDEEDPHSSNYGDVPLPELKSCSQADAGNWSRAVASMVRTQVGALTQELKEKEVLIRGRAHTIRPVGKNMAFLVVRKKGFTVQCLAATQSMGVSRQMVKFVAGLNRESIVDVIGVVSVPGNPIKGTTQQVEIQVRKLYCVNKAMPTLPINIEDAARSDVEIENALQAGEQLPRVNQDTRLNFRVIDIRTPANQGIFRIQSQVGNIFRQFLLSEDFVEIHTPKLIAGSSEGGSAVFKLDYKGQPACLAQSPQLHKQMSICGDFDRVFEIGAVYRAEDSYTHRHLCEFTGLDVEMEIEKHYSEVMDIVDRLFVTMFDSLNERCEMELEAVARQYPFEPLKYKPNTLRLTFEEGVQMLKDAGVEVDPLGDLNTEAERKLGQLVLEKYGTEFYILHRYPLAVRPFYTMPCYDDSLYSNSFDVFIRGEEIISGAQRIHVPAFLAERARYGAPPHGGFGVGLERVVMLFCGLGNIRKTSLFPRDPQRIAP >EOY29089 pep chromosome:Theobroma_cacao_20110822:9:83998:94578:-1 gene:TCM_036751 transcript:EOY29089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein isoform 3 MSSEAEEPNLSKKAAKKEAAKLEKLRRRQEAASLASSASSLSVDEEDPHSSNYGDVPLPELKSCSQADAGNWSRAVASMVRTQVGALTQELKEKEVLIRGRAHTIRPVGKNMAFLVVRKKGFTVQCLAATQSMGVSRQMVKFVAGLNRESIVDVIGVVSVPGNPIKGTTQQVEIQVRKLYCVNKAMPTLPINIEDAARSDVEIENALQAGEQLPRVNQDTRLNFRVIDIRTPANQGIFRIQSQVGNIFRQFLLSEDFVEIHTPKLIAGSSEGGSAVFKLDYKGQPACLAQSPQLHKQMSICGDFDRVFEIGAVYRAEDSYTHRHLCEFTGLDVEMEIEKHYSEVMDIVDRLFVTMFDSLNERCEMELEAVARQYPFEPLKYKPNTLRLTFEEGVQMLKDAGVEVDPLGDLNTEAERKLGQLVLEKYGTEFYILHRYPLAVRPFYTMPCYDDSLYSNSFDVFIRGEEIISGAQRIHVPAFLAERAQACGIDVNTISTYIDSFRYGAPPHGGFGVGLERVVMLFCGLGNIRKTSLFPRDPQRIAP >EOY32524 pep chromosome:Theobroma_cacao_20110822:9:30865608:30896943:-1 gene:TCM_040499 transcript:EOY32524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKRWENMHFDRLRKTIFTAFVDNVSLRVSWKDLKAFFNQFGVVVDIYLPRVSRNRITRYAFVRYRYRVELNRAIDMGQNRRLDGRTLSIKEANKPKENSYRTGERPTRIWQEMGVGNGRRTFREVVTNGALYSDYGDTRKLYMEKGNINSFQETKELEGIRVPIEADEVECTQRSAIGNLRETVSCKAIESYLACEGVTARVRPVGGMNVLVTFDDREEMETLLRQYFEIFEKLFQELKPYNLEKDERRYKATEKKSRLDQAIIKVEVTSKRRVLTCLQVIVNGKKCTMRATIIETETIDIDLKTFSVIEKKLEELRPSNSQESQQADGIRAGSTVHGMDMTHVRKMRVEIKHGEDQPDMALENRTSMTRQIEGMYERLWPRDKISGKVVQSVGKSGGVLCMWQDNFFLLKECIINKNYILLIGEFKASRLKCGFGNIYAPNDERERNGIWEEIKQIIGGVEILWILGGDFNVVRNEEERVDSGEIGRVVASFNEFINEVGLVDLPLVGGKFTWCNNRETPAFSRLDHFLVDVEILDSEATMLQKCLLISLSDHNLIVLRPANNQESPGMWRKLKRLKIFLKDWHKQVCDNMAEKISKLEIELQHINERLQEGEVSTDMQLVVGDGSRILFWADRWTDGGILKDLYPRIFALARNKDGYIQEFGRWEEEVWVWKVQLRRPTFGWEEDQQNQLKECIEQYHLSRKLKDSLAWKGSKRLRGIYFCTVQRYERSGQNGVKIGEHGGCSLRNEVVFKGIAWDSNQTYEISKLRVATWARVKWPMKYGEILDTYSDVNFRQRDMGSLVLDTCLLNVQVLEKNNYVGGHEMAFCFICWAGPL >EOY34720 pep chromosome:Theobroma_cacao_20110822:9:41894135:41895453:-1 gene:TCM_042305 transcript:EOY34720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKHLCCYPCHLFVVFKTPFYFTFELYPISYYPSRPFTKLVDNGGFLFQVFSLSRDPSNVILLSLCFIPSNF >EOY32252 pep chromosome:Theobroma_cacao_20110822:9:24923834:24927038:1 gene:TCM_039928 transcript:EOY32252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNYLWPSEQFVSRRVASDYALDAISVLVTQVDALFQKINVWGDNAFPSPFVTCEVFDERRILVKEEEQ >EOY33877 pep chromosome:Theobroma_cacao_20110822:9:39227883:39228572:1 gene:TCM_041718 transcript:EOY33877 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein MAMIPSFFGKRRGSIFDPFSLDLWDPFKDFPCSSSSLTTHAPEISAFANTRFDWRETPEAHVFKADLPGLKKEEVKLEIEDDRVLQISGERNVEKEDKNDTWHRVERSSGKFMRRFRLPENVKMDQVKASMENGVLTVTIPKEEVKKPDVKAIEISG >EOY32600 pep chromosome:Theobroma_cacao_20110822:9:31898631:31900521:1 gene:TCM_040607 transcript:EOY32600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCHAFINLLFSFLKGTKSAHLPPHGRPNQQEERENKNKTLERENQRKSVNFQGN >EOY31602 pep chromosome:Theobroma_cacao_20110822:9:10326568:10329376:1 gene:TCM_038569 transcript:EOY31602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monovalent cation:proton antiporter, putative MNLSQCVKVAQEKSATLLLKDFGLAIAFILLVVFVLRLTMKWMVKLTPKGGQLKDVFLYVVVLGFMSSPRMTGIFNIFLLFGPFILGLVVPDGPPLGSALVEKLDPVVSGLFLPLFASTYGIRIDLSYLKESISLALPLSCKMPVRDSLALAFIMITKGIVEMGLYSFLNDNMDTFAFVSIIIVLLASIVPVLVKSLYDPSRKYVGYHKRSIMHSKLNEELESPIAIDVLHLIKLISPPNLMYDDICNLAPDKLTSFMLLPFHRRWYINRSIESEDQAIGSLNCSILERAPCSIGILVEGRRHLKCSNSRDTSSSESSSYSISVVFLGGKDDREAVVLGKRISQDQRVSLTVIHLKATNRLGAILADSDRMLDDEMLRGVKESGYISLKEWSEFQEIGIIGDLLSSADFGGNYSVLIVQQQLRTTYVRT >EOY31831 pep chromosome:Theobroma_cacao_20110822:9:16008815:16015308:-1 gene:TCM_039106 transcript:EOY31831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFSICFLNHKIFTSSFSRKLCLNLLLLFLYKSSNFLSHSPSNTRKSMGENMASIQYLQDDPAEEAEEALSLCDLALDLDANGNSDNDLGKLPAQSRRSSSEAAPEFFEFLSDVSSDMCPADDIIFCGKLIPLKQQPVSFQRQKGYPSDEKRKNHVLRKRSESLSELRSSSMTRSSSTKNTTLLRNSRSLDYQKLHRYEMERNPSTRSAGKTHVSPKKAVKPRWYVFMFGMVKFPPEMELQDIKSRQFGRSPSVMFPPMEDGGKKFAGNRCSGKGSSWSLLKALSCRDHTSVAVTASFWMPQASE >EOY34110 pep chromosome:Theobroma_cacao_20110822:9:39955392:39956055:-1 gene:TCM_041884 transcript:EOY34110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forkhead box protein G1, putative MFFPYLNSIFRQVMARWPQLLRALTWTVLLTLMVSLASFPPEMAFASAVSPSSSFSQSCQAEGLVRIPLDFPTVKVCLPAHMVKRSKADFFVPTVFAGLVVAGSACVVRSLGLWESGTG >EOY31441 pep chromosome:Theobroma_cacao_20110822:9:8850686:8852131:1 gene:TCM_038376 transcript:EOY31441 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein with ARM repeat domain MSTVRVRETLLEYLFEAQSDSHEVQQKSLQTLASITKVSPQNRTLLAQTNGAISALLTLSKSSSPIIQTLSLSILFNLSLNPDLKQSLADMETINHLNSIILSPSSPESSKLASSLVCSLAMLDKNKAKFGVAGTVRLLVNTVSGPRSPAAHHLLSSLAELVQFHGNCTLAVRAGAVPVLIQLVTSTDGEDLAGTSLAILGLLARFDEGLNALKKTNQVVSSMVDVLKGRCMLSKEGAAEILLLLLDESEDCLRDALRLPEFFTVLADISVRGSARAREKAGQLLKKMMEANLDSYSDGHSSIFEW >EOY32072 pep chromosome:Theobroma_cacao_20110822:9:20205741:20207342:1 gene:TCM_039526 transcript:EOY32072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMAYVDHAFSISDEDIMMETSYAVNNRPPFKEIGLAVALLVFGTLGIILGTFMAYNKVGGDRGHGLFFAILGCILFIPGFYYTRIAYYAYKGYKGFSFSNIPPV >EOY31588 pep chromosome:Theobroma_cacao_20110822:9:10019062:10020701:1 gene:TCM_038537 transcript:EOY31588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNMDSSTNGFWMSSGSDLQADVAECCSLGENYLERLFTLNFDVCCPYTFKTENHQSIESPNAIAEKQPCNGSCPGMSSSDIQTLGRGEMVKHMVYQHCRSSSVSVQIKWLLGSPSG >EOY32804 pep chromosome:Theobroma_cacao_20110822:9:33753050:33753704:1 gene:TCM_040831 transcript:EOY32804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid binding protein, putative MASASFRFLTLAILVIAGTLVFGNHGVSADCKTSIPSLISQCSKYVQVSGPEIPPSQGCCDVMKDLDIPCLCNLVTPEVEKLVSMEKVVFVARTCGLTLEPGMKCGSFTVPPA >EOY32218 pep chromosome:Theobroma_cacao_20110822:9:23407663:23415107:-1 gene:TCM_039823 transcript:EOY32218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLAVECQIQPWDLVGKHQIRCFPLPDLAVECQIWPNLRRNLVRPYSVIKSPSQPLPSQSPSLSLPSPPPLTSSPALMPLRERIREERMGEERERK >EOY29643 pep chromosome:Theobroma_cacao_20110822:9:1897919:1901693:-1 gene:TCM_037129 transcript:EOY29643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MVIEVEEMEKARTRKRPRLGWDVAPSGPEAQRVLVTAQRSSPPKRDDDHEGHYVFNLGENLTPRYKILSKMGEGTFGRVLECWDRQTREYVAIKVVRSIRKYRDAAMIEVDILQHLAKNDKGTSRCVQIRNWFDYRNHICIVFEKLGPSLFDFLKRNKYCPFPVDLVREFGRQLLESVAYMHDLRLIHTDLKPENILLVSSEYVKLPGCKRSSSDETHFRCLPKSSAIKLIDFGSTAFDNQNHSSIVSTRHYRAPEVILGLGWSFPCDLWSVGCILIELCMGEALFQTHENLEHLAMMERVLGPLPEHMIRRANRGAEKYFRRGSRLNWPEGAVSRESIRAVKKLDHLKNMVSQHVESSRYSLADLLEGLLKYDPSERLTAHQALNHPFFKNPS >EOY31590 pep chromosome:Theobroma_cacao_20110822:9:10030445:10030921:1 gene:TCM_038540 transcript:EOY31590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRSKRTPRCRRKVLLMRGRKLRRQSLSSANDSSAESVRTSIERKLQQLQRILPAACSEINMETLFLRTAEYIFLLEAKVSLLQNLSTFYGV >EOY33975 pep chromosome:Theobroma_cacao_20110822:9:39526378:39531978:-1 gene:TCM_041794 transcript:EOY33975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio 2 isoform 2 MLSELGRRPMIGSSEGSFGDDLEKEIGLLLREQRSRQDADDLEQELNLYRSGSAPPTVEGSLSAVGGLFGGGAAAAATGAGGGSGAIAFSAFAGAKNGNGFASEEELRSDPAYHSYYYSNVNLNPRLPPPLLSKEDWKFAQRLKGGGSVIGGIGDRRKANRADNGGSRSLFSMPPGFDSRKQENEVEAEQVHSSADWGGDGLIGLSGIGLGSKQKSLAEIFQDDLGHSAPVTRIPSRPASRNAFDENFENVGSAESELAHLRRELTSGDTLRSSASGQGSSAVHSIGPPSSYSYAAAVGASLSRSTTPDPQLVARAPSPCLTPIGGGRVGNSEKRSINNPSTFGGVTSGVNESADLVAALSGMSLSSNGIIDEDNQLPSQIEQDVENHQNYLFGLQDGQNHIKQQAYLKKSESGHLHMPSAKSNGGRSDLKNPSLLADRQAELQKSAVPSNNSYMKGSPTSTLNGGGSLPAQYQHGDGMNSSFPNYGLSGYSLNPAVASMMASQLGTGNLPPLFENVAAASPMAVPGMDSRVLGGGLGSGQNISNAASESHNLGRVGSQIAGNALQAPFVDPMYLQYLRTSDYAAAQLAALNDPSMDRNFLGNSYMNLLELQKAYLGALLSPQKSQYGVPLGAKSGSSNLHGFYGNPTFGAGMSYPGSPLASPVIPNSPVGPGSPIRHTDLNMRFPSGMRNLAGGVIGPWHLDAGCNMDESFASSLLEEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATTEEKNMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPAQRRELAGKLFGHVLTLSLQMYGCRVIQKAIEVVDLDQKIKMVQELDGSVMRCVRDQNGNHVIQKCIECVPEENIQFIVTTFFDQVVTLSTHPYGCRVIQRILEHCKDPKTQSKVMDEILGSVSMLAQDQYGNYVVQVVSLCWKLIVCMA >EOY33974 pep chromosome:Theobroma_cacao_20110822:9:39524103:39531671:-1 gene:TCM_041794 transcript:EOY33974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio 2 isoform 2 MLSELGRRPMIGSSEGSFGDDLEKEIGLLLREQRSRQDADDLEQELNLYRSGSAPPTVEGSLSAVGGLFGGGAAAAATGAGGGSGAIAFSAFAGAKNGNGFASEEELRSDPAYHSYYYSNVNLNPRLPPPLLSKEDWKFAQRLKGGGSVIGGIGDRRKANRADNGGSRSLFSMPPGFDSRKQENEVEAEQVHSSADWGGDGLIGLSGIGLGSKQKSLAEIFQDDLGHSAPVTRIPSRPASRNAFDENFENVGSAESELAHLRRELTSGDTLRSSASGQGSSAVHSIGPPSSYSYAAAVGASLSRSTTPDPQLVARAPSPCLTPIGGGRVGNSEKRSINNPSTFGGVTSGVNESADLVAALSGMSLSSNGIIDEDNQLPSQIEQDVENHQNYLFGLQDGQNHIKQQAYLKKSESGHLHMPSAKSNGGRSDLKNPSLLADRQAELQKSAVPSNNSYMKGSPTSTLNGGGSLPAQYQHGDGMNSSFPNYGLSGYSLNPAVASMMASQLGTGNLPPLFENVAAASPMAVPGMDSRVLGGGLGSGQNISNAASESHNLGRVGSQIAGNALQAPFVDPMYLQYLRTSDYAAAQLAALNDPSMDRNFLGNSYMNLLELQKAYLGALLSPQKSQYGVPLGAKSGSSNLHGFYGNPTFGAGMSYPGSPLASPVIPNSPVGPGSPIRHTDLNMRFPSGMRNLAGGVIGPWHLDAGCNMDESFASSLLEEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATTEEKNMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPAQRRELAGKLFGHVLTLSLQMYGCRVIQKAIEVVDLDQKIKMVQELDGSVMRCVRDQNGNHVIQKCIECVPEENIQFIVTTFFDQVVTLSTHPYGCRVIQRILEHCKDPKTQSKVMDEILGSVSMLAQDQYGNYVVQHVLEHGKPHERSIIIKELAGKIVQMSQQKFASNVVEKCLTFGGPSERQLLVNEMLGSTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKKGELLLSLHTLLNWCRKECVCTANRSSVGFPSSFHPRI >EOY33973 pep chromosome:Theobroma_cacao_20110822:9:39524787:39531215:-1 gene:TCM_041794 transcript:EOY33973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio 2 isoform 2 MLSELGRRPMIGSSEGSFGDDLEKEIGLLLREQRSRQDADDLEQELNLYRSGSAPPTVEGSLSAVGGLFGGGAAAAATGAGGGSGAIAFSAFAGAKNGNGFASEEELRSDPAYHSYYYSNVNLNPRLPPPLLSKEDWKFAQRLKGGGSVIGGIGDRRKANRADNGGSRSLFSMPPGFDSRKQENEVEAEQVHSSADWGGDGLIGLSGIGLGSKQKSLAEIFQDDLGHSAPVTRIPSRPASRNAFDENFENVGSAESELAHLRRELTSGDTLRSSASGQGSSAVHSIGPPSSYSYAAAVGASLSRSTTPDPQLVARAPSPCLTPIGGGRVGNSEKRSINNPSTFGGVTSGVNESADLVAALSGMSLSSNGIIDEDNQLPSQIEQDVENHQNYLFGLQDGQNHIKQQAYLKKSESGHLHMPSAKSNGGRSDLKNPSLLADRQAELQKSAVPSNNSYMKGSPTSTLNGGGSLPAQYQHGDGMNSSFPNYGLSGYSLNPAVASMMASQLGTGNLPPLFENVAAASPMAVPGMDSRVLGGGLGSGQNISNAASESHNLGRVGSQIAGNALQAPFVDPMYLQYLRTSDYAAAQLAALNDPSMDRNFLGNSYMNLLELQKAYLGALLSPQKSQYGVPLGAKSGSSNLHGFYGNPTFGAGMSYPGSPLASPVIPNSPVGPGSPIRHTDLNMRFPSGMRNLAGGVIGPWHLDAGCNMDESFASSLLEEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATTEEKNMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPAQRRELAGKLFGHVLTLSLQMYGCRVIQKAIEVVDLDQKIKMVQELDGSVMRCVRDQNGNHVIQKCIECVPEENIQFIVTTFFDQVVTLSTHPYGCRVIQRILEHCKDPKTQSKVMDEILGSVSMLAQDQYGNYVVQHVLEHGKPHERSIIIKELAGKIVQMSQQKFASNVVEKCLTFGGPSERQLLVNEMLGSTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSPHPA >EOY32552 pep chromosome:Theobroma_cacao_20110822:9:31151271:31153923:-1 gene:TCM_040533 transcript:EOY32552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase C20, putative MAEKREYVVMFPFMAQGHIIPFLALALHIEKTRNYKITLVNTPLNIKKLRSSLPPTSSIQLLEIPFNSCDHGLPPNTENCDVVPYQFVIRLLEASASLGTVFKDLIEDIIQQQDGQLPLCIIGDIFFGWMAGIAQELGVFHAVFSGAGGFGLACYYSIWLNLPHKGVKADDHFLLPDFQEASKIQFTQLPITMSKADGTDSWSVFHGKYLPEWANSGGILFNTVEEFDHIGLTYFKRKLGTPVWPVGPILLSIENRARVGKEAGITPEFCKAWLDTKPQNSVLYVSFGSMNTISSSQMMQLAKALEVSGKNFIWVVRPPIGFEINSEFKAKEWLPGGFEERIRESKKGLVVHKWAPQLEILSHKSTSAFLSHCGWNSVLESLSHGVPLIGWAMAAEQFFNVKFLVEDVGVCVEVARGKTCEVKHEDIAAKIELVMSDCMKGKEMRRKASKVGEMIKNAMKDEKGFKGSSVKAMDDFFNAARTMRGQNDQKQQKGRTQNLKESKRG >EOY32366 pep chromosome:Theobroma_cacao_20110822:9:28249242:28251174:-1 gene:TCM_040238 transcript:EOY32366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein, putative MSSEGFSGSPPSLAAPVKQDGVTKPISMVDALCVRTSYVNQEHDFFFVLHNSLAEKEEVIGLQLVLDARVPVLKLKFDGISIDLLYARICLLVVPQDVDVSDVLVFYNVDERTV >EOY32010 pep chromosome:Theobroma_cacao_20110822:9:19113971:19121266:-1 gene:TCM_039419 transcript:EOY32010 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 4B MVTRSKDETKVVLTRPLSLDGDSEVDYRAPNLIQRLSSLFKNVRPGSDLTHFKLPPLFNFPKSHLQCYGETVYCIGSDMLSRCSLADNSVDRFTSVVAWSISTLRPPIFGVAPYNPILGETHHVSRANLNVLLEQISHHPPVSALHATDEEQNIELIWCQQCVSKFHGASVETEVRGKRQLKLLSRGETYEMNSPNLLIRFLPIPGVDWAGNVRIRCPESGLEAELRYGPKSFLGLRGSHKSVKGKIYETSTRRTLFEVNGHWDRTVTMKDINSGKLSIIYNAKDVFSGLKTPVVNDLQGVRSSESAVVWSELSQAIMSQDWEKAKEAKNALEEKQRELLRERESKGATWVPQHFCVTYSKDGGWDCSPTQQWVPPAPIVVPLS >EOY29324 pep chromosome:Theobroma_cacao_20110822:9:749330:752001:-1 gene:TCM_036899 transcript:EOY29324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRWIDMSSIVLQPQSSLSKTKKKKLNFPHLPFSSLYPIFHHSVQLKLFPRSSWAFSVKMKRASLNSRQRYNSVVEEDKARLKHQNLLQEFLELQKEFVSKKKKLQTVNQKRETLLAEVRFLRQRFSYLSMIKSQENELQQGSVQSQNPYVQSKMIAKDHGIKEAVGSKPCSLPNLDPNVVHEEGDGRSQVDVQASLRKEKRENCLINGKRVGKKKISWQDQVALKV >EOY32221 pep chromosome:Theobroma_cacao_20110822:9:23512058:23519744:-1 gene:TCM_039837 transcript:EOY32221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MSRSSSVAGASRPVKEAGFFTKIKNVACLKSSSSDKGKGGKGKSKSSSTKVSHGFHLVEGQSGHDMEDYHVAEYRKKRNHTLGLFAIFDGHLGDRVPTYLKDNLFNNILEEPNFWKDPEAAIRNAYRSTDRVILDNSMQLGPGGSTAVTAIVIDGKDLWVANIGDSRAVVCERGSANQITVDHEPHAERRRIEKQGGFVTTLPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPIDSTIDFVILASDGLWKVMKNEEAVNLVKSIKDPQAAAKRLTTEALARKSKDDISCIVIRFG >EOY32222 pep chromosome:Theobroma_cacao_20110822:9:23512354:23519751:-1 gene:TCM_039837 transcript:EOY32222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MSRSSSVAGASRPVKEAGFFTKIKNVACLKSSSSDKGKGGKGKSKSSSTKVSHGFHLVEGQSGHDMEDYHVAEYRKKRNHTLGLFAIFDGHLGDRVPTYLKDNLFNNILEEPNFWKDPEAAIRNAYRSTDRVILDNSMQLGPGGSTAVTAIVIDGKDLWVANIGDSRAVVCERGSANQITVDHEPHAERRRIEKQGGFVTTLPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPIDSTIDFVILASDGLWKVSYEE >EOY30627 pep chromosome:Theobroma_cacao_20110822:9:5195974:5201197:-1 gene:TCM_037767 transcript:EOY30627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M28 family protein isoform 2 MFKAIAATFLAIATSFSILLFSPPPKSYYHSLFISDSLSDNVSISHHLYTLTRRPHVAGSQANAEAAAYVLSTLSSCNMQAHIVSYEVLLTYPASRSLTLTRPPPEPPITFDLKQEIYDGDPYADVANEVLPTSHAYAKSGSVTGAVVYVNYGRLEDYDTLKEMGVNVTGTIVLARYGQIYRGDIVMNAFDAGAIGALVYTDRKDYGGGGGDAKWFPDDKWMPPTGVQVGTVYNGSGDPTTPGWASTQGCERLSVEEVERSGDVPLIPSLPISGADGETILRSIGGQIAKDDWQGSNGAPTYKLGPGPGVVNLNYNGKQVIATIQNVIGVIEGVEEPDRFVILGNHRDAWTFGAVDPNSGTAALLEVAQRLWKLQRIGWKPRRTIILCNWDAEEYGLTGSTEWVEENRELLASRAVAYLNVDCAVSGAGFHAAATPQLDELLKQAAQQIQDPDNSSQTIYEQWVGSTHSPVLTYSLTRTYSVKIGRLGGAGSDYAAFVQHIGVPAADMLFGGGYPVYHSMYDDFVWMENFGDPMFHRHVAVASVWGLVALRLADEEFLPFDYHSYAFELQKSAEELEDEISDKGVTFSHLFKSIEELSEAARKINNQKKEIKEAKGWTSMWRNDHVKVRELNDRLMMAERAFTDRDGLLRRPWYKHLIYAPSRHNDYGSTSFPGINDAIEKAKNLNTVESWDLVQHEVWRVSRAVGHASLVLKGELT >EOY30628 pep chromosome:Theobroma_cacao_20110822:9:5196396:5200938:-1 gene:TCM_037767 transcript:EOY30628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M28 family protein isoform 2 MFKAIAATFLAIATSFSILLFSPPPKSYYHSLFISDSLSDNVSISHHLYTLTRRPHVAGSQANAEAAAYVLSTLSSCNMQAHIVSYEVLLTYPASRSLTLTRPPPEPPITFDLKQEIYDGDPYADVANEVLPTSHAYAKSGSVTGAVVYVNYGRLEDYDTLKEMGVNVTGTIVLARYGQIYRGDIVMNAFDAGAIGALVYTDRKDYGGGGGDAKWFPDDKWMPPTGVQVGTVYNGSGDPTTPGWASTQGCERLSVEEVERSGDVPLIPSLPISGADGETILRSIGGQIAKDDWQGSNGAPTYKLGPGPGVVNLNYNGKQVIATIQNVIGVIEGVEEPDRFVILGNHRDAWTFGAVDPNSGTAALLEVAQRLWKLQRIGWKPRRTIILCNWDAEEYGLTGSTEWVEENRELLASRAVAYLNVDCAVSGAGFHAAATPQLDELLKQAAQQIQDPDNSSQTIYEQWVGSTHSPVIGRLGGAGSDYAAFVQHIGVPAADMLFGGGTPHASLKNKSHCKDTQYIIQCMMTLSGWKILVTQCFIDMLQWQVFGV >EOY30663 pep chromosome:Theobroma_cacao_20110822:9:5323395:5327477:-1 gene:TCM_037796 transcript:EOY30663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphotransfer protein MENRSLREQIAAMRQSFLDEEILDTQFIQLEELSDKDEPSIAEEVVTLYFRETTELLPTLEEDMKAIPIDFPKLDRILHRLKGNSASIGANKVRNEINNTMALLEEGNVEGAKAAFEQVRKEHETLKAKLETYFQALLTQLRLPSEAVALKLEIGAGMKDLASHQAYYSCPMINYI >EOY33564 pep chromosome:Theobroma_cacao_20110822:9:38085993:38089787:-1 gene:TCM_041509 transcript:EOY33564 gene_biotype:protein_coding transcript_biotype:protein_coding description:HhH-GPD base excision DNA repair family protein, putative MITFGKVFCTKKNPNCNACPMRADCRHFASAFASSRLALPGPSDKMNASPAVPEGSTAIFDPLPLVSSSEATPFHCSRSQIKICEPLIEEPIEVLPKLESPQSEEPEIEYDSEGIPIIRLNLENFKASLCSYVNNSNITFEDGESSKAIVALTPEAASIPAPKLKYVSRLRTEHLVYELPRNHFLLEQLEQTESDEEVQYHLAIWRPGETEDSLVPPKKTCNSMEFELCNDQTCFSCNNTREQNANIVRATLLIPCRVAMRRSFPLNGTYFQVNEVFADHETSLRPINISRDLIYNLTTRTAYFGSSATAIFRGLSMEEIQKCCWKGVICVRGFERSTGAPRPLVRRFHCSPSKMEKVKRETREDGMSNRGGKRKAKETRHIIDDRT >EOY32346 pep chromosome:Theobroma_cacao_20110822:9:27755949:27760854:1 gene:TCM_040182 transcript:EOY32346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEILIEQYWEAFAPWFKNLKPFKEERKSINLAIWVKVKNVPWYLWHDDLFIMLSNKWGRFVQMNESMKLRKQFDGALLMVEVQDKSTFPLTTKQYVNNIVYLVQAMVESDALANPTVSNGNHSQSREIFDIGEKEGTQDKTSLDIMHNLTHGNKFQMKEPEPLNHRTSKKLLTPKIEVGEVGLKAKRSIDLEMHGVKGPRNKDEIPHATWHMDKMKMCWEIEWIGVITKWNEPINKKEPSSIQLIRQNGKEIGHSSIDPLECTTRFFEKRSSLICCKGTMDDWNLHSDKSVDELQEVLEDSMGDLPLAAWTAKKGKKGKSKKLRKATANKARNLVEGAKGSTDKNKVGIDGLPPSTLEGGGTNNVTHFDDVETSGHQMWAMRPCKVVARVLANRLKRVIDEVVGPNQFAFTKGRQIIYCALIAIEIVDSLQRIVEDFSALILKAIFEGLCKGEEVGNSGLVIPHLQCTDVTMIFSKPNLESVLNIKKVLRCFQVISSLKINFCICYMIGNGNIIYFWEDEWVDGIIIRAVYPRIYALATNKSGKVRKFGSWVNGKWQWEVELRKRVLDWEIEAWNHFQASLRNASKWPNDNESIMDIMHSPFLAHEFSTPKPIKKVITWERPPKGWLKFNTDGAAKGCPRNLGIGRAATIFAASLRTNSMNPIIKNDSRNTITWINKPKAAPWKTATFDISNHCTQRQSGRMVDSIYSKVK >EOY31672 pep chromosome:Theobroma_cacao_20110822:9:11700532:11710173:1 gene:TCM_038703 transcript:EOY31672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSAMNEEIQRRQYEDLDSLLIVSREKWAFNIGYFCTDLLHSIMIRRITESQSMDHELWFAISKSKAQLSKYWNGQESVKLQTLLDMFRGGNFQQLGDVAKMTLVLIVNNILFGQDYCRRVTRWLLSLVEDIDAWNVFPWGHYAMKAIMALRKIVAPSGSKDNVYPRMCRWDYNQKSKNFFRLCALETLEPTADEAFWEYFVDLDVPLSEGHEYMPIRHMEDRSDWGLGARQKRKSLKEKRAFGGTKWMRTAAALVDELSGPELMDEGDDHGQGNEQSLDHAPVTPKPPTGLPQTQSGNDPSFRKGTTSPQASIVCRSDDRSLSIDSADLEHDDANDGHHHEPGVDIDDDILGADGEHVTNVNDVVDEAMAVDVTFQSDDVEGEHVPLPESIIDASIGGDGELDLIVAEGERLPPVDAFVDAAVGTIVLYRGSTPNAVEIRSSSPESSVVHHGAVEISDPIERAWLKMASKYMKTIEDYEAFKKDESTRRNIGILGDQGVNFFITLKDPNEEMTSEHIDVCLSLLCKTPSVCCTPNFQQKMPEPQCRFQMSYEGMWRVKGQHMPKNGKMWISSSLIATLDNGVRAGQMTPLMTMMPFICHQVDYFNNIRRKRRDLTSIPLDIHLPKVKVYRQNDSVSCGMFMIGSEQFNCYAWRVTTFNLLYMVCQNNSLVIHGGSNAYSMRILTFCLSKRRGSSCSEAFPSIPREAYIGLSTLCMKFGNSLGFRDQAISAEFATLHYMAVDFAKITAPAEMARSLKPREFPNFMQTVDKPMYASLGVLGKLYRATINSIMQARSKFDRPSLWIDI >EOY31121 pep chromosome:Theobroma_cacao_20110822:9:7123471:7123829:1 gene:TCM_038120 transcript:EOY31121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVAYVVRASSCVSAAAALGRQSKLPNNPKLVENYAENKLLPSKTSRTSRQGHGQRMPSDVWTSSSFLEFSL >EOY30075 pep chromosome:Theobroma_cacao_20110822:9:3271641:3272501:-1 gene:TCM_037408 transcript:EOY30075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAAAVSKDAKKMESVKHKNLQTEVEFAECDCCGLTEECTPAYIAHVREKFEGRWLCGLCSEAVKDETVRSEEDITTNEALDRHLKFCEQFKSSSPPANPAEDLISAMKHLLRRTLDSPRKSGPPSASFVRSKGCFSTLPNGEVRSEV >EOY30076 pep chromosome:Theobroma_cacao_20110822:9:3271592:3272496:-1 gene:TCM_037408 transcript:EOY30076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MAAAVSKDAKKMESVKHKNLQTEVEFAECDCCGLTEECTPAYIAHVREKFEGRWLCGLCSEAVKDETVRSEEDITTNEALDRHLKFCEQFKSSSPPANPAEDLISAMKHLLRRTLDSPRKSGPPSASFVRSKGCFSTLPNGEVRSEV >EOY30776 pep chromosome:Theobroma_cacao_20110822:9:5750614:5754113:1 gene:TCM_037869 transcript:EOY30776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa family protein isoform 1 MLRMWKWYQSCLSLHPVKTQVISSGFLWGFGDIAAQYITHSTAKKRLQYKVSPKGNRTTQCIAYSIFFSQFELNSFDEEQEFKVNWKRVAITSMFGFGFVGPVGHFWYILLPCSFPVVLGVGRAFDRYSRFLLRLKNRYEGLDKFIKMRLHLRPKSARFVATKVAMDGLIFGPFDLFVFFTYMGFSTGKSVAQVKEDVMRDFLPALILEGGVWPIVQVANFRYVPVRYQLLYVNIFCLLDSAFLSWIEQQKDAPWKQRFSSFTSLKERGGQGRL >EOY30777 pep chromosome:Theobroma_cacao_20110822:9:5750794:5754225:1 gene:TCM_037869 transcript:EOY30777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa family protein isoform 1 MLRMWKWYQSCLSLHPVKTQVISSGFLWGFGDIAAQYITHSTAKKRLQYKDEEQEFKVNWKRVAITSMFGFGFVGPVGHFWYEGLDKFIKMRLHLRPKSARFVATKVAMDGLIFGPFDLFVFFTYMGFSTGKSVAQVKEDVMRDFLPALILEGGVWPIVQVANFRYVPVRYQLLYVNIFCLLDSAFLSWIEQQKDAPWKQRFSSFTSLKERGGQGRL >EOY32175 pep chromosome:Theobroma_cacao_20110822:9:22679986:22682694:-1 gene:TCM_039757 transcript:EOY32175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENFGGKTRPTINLKLFTTLSESFSSPNPTKSPRNFQDGVVGLGIVAAMTDSSNTQEAICFARSPRSTPIPIVSSAKAAANFRGGGNSESLDELSENYTCVISHFGDNLIKKHVYFGDDKKNSSVFTASSSSPNKSDVGRVKREIWSDDFLSSCHLCKRELHGLDIFMYRGERAYCSAECRDKQITSDDHKEICGREARKQLDCSVSPCSGPQVFFAGVAAA >EOY29190 pep chromosome:Theobroma_cacao_20110822:9:319047:319561:1 gene:TCM_036806 transcript:EOY29190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGHHVKQIATLHPKPRIGATCSNKTCHFTKIFALHVGLLSLSLQGLSMLFSVAFQIPRYLPPHSLITLPKTFACRSKKPGNRH >EOY32725 pep chromosome:Theobroma_cacao_20110822:9:33114838:33116026:-1 gene:TCM_040749 transcript:EOY32725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLSIIDMIIMSNLGSHLKKLKNLKSIVMWLPPPKGMVKFNVDGASRGYPDEVGLPNCYGSTMDKVIVESDLQNTVKWVSEATTTAWKINATLMSMEYFKSQLKNWCVVKILRSANGLVDSFAKLGCKEMKSSFWLLVMMKKEHRLY >EOY32283 pep chromosome:Theobroma_cacao_20110822:9:25998588:25999791:-1 gene:TCM_040006 transcript:EOY32283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSSPTHFNNAFVVKKCTFTSRLSSRMSLLRMTSLCALLALTHFFGMYHLLGHLFWTNPPLPLPLSLTNARLVSMFLCLCLGLFGLYLWQLTITGLGFP >EOY32206 pep chromosome:Theobroma_cacao_20110822:9:23190807:23197074:-1 gene:TCM_039804 transcript:EOY32206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative isoform 1 MDDEELGAYTLDEALAMVGFGKFQGLVLAYAGLGWFAEAMEIMILSFIGAAVKSEWGLSPSQESLLTTVVFAGMLIGAYSWGLISDNYGRRKGFLSTAVVASGAGFLSTFSPNYLSLVITRGLVGFGLGGGPVFLSWFLEFVPASNRGMWMVIFSTFWTFGSIFEASLAWIVMPRLNWRWLLALSSVPSFALLLLYGVAPESPRYLCMKGRTSDALRILEKMTSVNQTKLPPGILVSGRTIGQDEECTPPEVMSPLLPLLRENIMQSKSGFSSFFMLFSSRLIQTTLLLWVLYFGNCFSYYGIILLTSKLSSGQSGCFETILSYGNLHNTSLYVTSFVTSLAELPGLLLSAILIDRVGRKQSMALMFVIAFVFLLPLLTRQSAVLTTCLLFGARMCAMGTFTVACIYTPELYPTPVRATGAGVATAMGRIGGVVCPLVAVGLVTECHQTAAVVIFLVVLILSVVCILLFPYETKGRELSDTMVGSS >EOY32205 pep chromosome:Theobroma_cacao_20110822:9:23190807:23211353:-1 gene:TCM_039804 transcript:EOY32205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein, putative isoform 1 MRIALYKMDDEELGAYTLDEALAMVGFGKFQGLVLAYAGLGWFAEAMEIMILSFIGAAVKSEWGLSPSQESLLTTVVFAGMLIGAYSWGLISDNYGRRKGFLSTAVVASGAGFLSTFSPNYLSLVITRGLVGFGLGGGPVFLSWFLEFVPASNRGMWMVIFSTFWTFGSIFEASLAWIVMPRLNWRWLLALSSVPSFALLLLYGVAPESPRYLCMKGRTSDALRILEKMTSVNQTKLPPGILVSGRTIGQDEECTPPEVMSPLLPLLRENIMQSKSGFSSFFMLFSSRLIQTTLLLWVLYFGNCFSYYGIILLTSKLSSGQSGCFETILSYGNLHNTSLYVTSFVTSLAELPGLLLSAILIDRVGRKQSMALMFVIAFVFLLPLLTRQSAVLTTCLLFGARMCAMGTFTVACIYTPELYPTPVRATGAGVATAMGRIGGVVCPLVAVGLVTECHQTAAVVIFLVVLILSVVCILLFPYETKGRELSDTMVGSS >EOY29761 pep chromosome:Theobroma_cacao_20110822:9:2300166:2301513:-1 gene:TCM_037201 transcript:EOY29761 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIM zinc finger family protein / mitogen-activated protein kinase kinase kinase-related, putative MDSVSSPSSTPPNINDHHHNRLHHRFKPIQPVADRIVRALRHPLFLLHRSDSNLFFILGATGNVYTVALSATPSCTCPDRTTPCKHILFVLIRVLGVSIDDTCLRRRTLRPCRLNRLLSTPTSPEALAGAGIRERFHQLYYQAKKQGESSGGVKEIEEGTTCPVCLEEMEKGEKVLACSTCRNLIHEECLMSWKRSRGRRSASCVICRARWSADQEKYLNLAAFLSQDGGGEGGGGGGGGLCAG >EOY33390 pep chromosome:Theobroma_cacao_20110822:9:37264382:37266419:-1 gene:TCM_041364 transcript:EOY33390 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein, IPR003441 MEVATGFRFFPTEEELVSFYLHNQLEGKRQEMHHVIPVLNIYDVEPWDLPQLAGEVCKADTEQWFYFTPRQEKEARGGRPNRTTASGYWKATGSPSYVYSSDNQVIGMKKTMVFYKGKAPSGRKTKWKMNEYRAIEAVANPSAAATRKLRHEFSLCRVYVVSGSLRAFDRRPLETVPRGTQLHDNRTTISSERPTMMEISSSGTSPSGGNHSELQDSSEDDIWEMVNDLEEHPLVWEQVNWT >EOY30235 pep chromosome:Theobroma_cacao_20110822:9:3871660:3874529:1 gene:TCM_037509 transcript:EOY30235 gene_biotype:protein_coding transcript_biotype:protein_coding description:20S proteasome subunit PAA2 isoform 2 MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFPITKFLGLLATGMTADARTLVQQARNEAAEFRFRYGYELPVDVLAKWIADKSQVYTQHAYMRPLGLLWFWVLMKRRDPNSTSVIRLAIFTVTRQPVLDLKNKRQLTSWKRR >EOY30234 pep chromosome:Theobroma_cacao_20110822:9:3871506:3875200:1 gene:TCM_037509 transcript:EOY30234 gene_biotype:protein_coding transcript_biotype:protein_coding description:20S proteasome subunit PAA2 isoform 2 MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFPITKFLGLLATGMTADARTLVQQARNEAAEFRFRYGYELPVDVLAKWIADKSQVYTQHAYMRPLGVVAMVLGIDEEKGPQLYKCDPAGHFYGHKATSAGSKEQEAINFLEKKMKNDPAFTYEETVQTAISALQSVLQEDFKATEIEVGVVKADNPVFRALSTAEIDEHLTAISERD >EOY33455 pep chromosome:Theobroma_cacao_20110822:9:37569145:37570180:-1 gene:TCM_041424 transcript:EOY33455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHHHACSLFFIYLFLKHKPKKKTLICTVLMGFDGSAIDSSTEASYSNCCLLFSSFRKGGCTVFLTLVHYTNSTT >EOY33281 pep chromosome:Theobroma_cacao_20110822:9:36233014:36249713:1 gene:TCM_041222 transcript:EOY33281 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 23 MDEIEIPPHFLCPISLQLMRDPVTISTGITYDRDSIEKWLFSCKNNTCPVSKQALHDSDLTPNHTLRRLIQAWCILNASHGIERIPTPKPLVDKTQISKLLKDAKKFPEMQLKCLKRLRSITLEGERNRSYLEAAGAVQFLVSMIKSNDSTLLEVESNEGSELLKASDEALSILYHIKVSQSCLKSIISNDQEFVESLVQVMKYGNYQSRAYATMLLKDVFEVADPIQLMSATPVFFAEMVRTLRDQISQQASKASLKLLVELCPWGRNRIKAVEGGAVFVLIEFLLKTSEKRACELALILLDQLCACAEGRAELLKHGAGLAIVSKKIFRVSHVASDRAVRILSSICRCSATSWVLQEMLQVGVVSKLCLVLQLDSSYKTKERAREILKLHSRVWRNPSCIPSHLFSSYPSS >EOY32422 pep chromosome:Theobroma_cacao_20110822:9:29019872:29023616:-1 gene:TCM_040334 transcript:EOY32422 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRLI-interacting factor, putative MNDQSQMMNQPPQMMMNSVQVQVPVQPQMMNQSHQLMAAAAAAHSQAMNQLAAAQAQSQPMSSGPQMMNQPPRPMMLNRSYKPWQSQDPNPNPNLSKKFPSFNRNNNWKGKKVTAGKDSRKFDNKPLPMASVSAISSVSAASGSSTQGYKPPTLNELQSQNRLKARKFYGNKKKFNNNNNRFAPYAPRNTTSFIIRAKKSGGIASLVSPCPVTPAVLPTPIFSPSREVLGDMAKEEWGVDGYGSMKGLIRLRSPEVGHDYEEDEDGGNGGGSSESDVEEHVEVERRLDHDLSRFEMIYPSYGGDYNNVLENRVDDQDTHIAQLEEENLTLKERLFLMERELADLRRRLQFLERQSQVVEDVNEEVVENGSDNESEGGGSDVRLVTGAADHNNAHMVELTAGKSRNVDVMEEDNSSEMPQNEGLRDASTDEIGAEDDVAREGELKKEGRRDDELKGQALREEIFRDKENEVKGDEPGGSEFVGEKIVEEEKNESRCEEARNESADNNETRNKEVHS >EOY33412 pep chromosome:Theobroma_cacao_20110822:9:37358669:37367553:-1 gene:TCM_041387 transcript:EOY33412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase activating protein with PAK-box/P21-Rho-binding domain MSLFIGNSHEKSLDLLRIEIEEVCRRRHHCLIDSRILTAFLSLPTIQLKKPFNQLPSHLPNKTSLTCAQGDRDDFVNGTSCDPEEEEGEEVKEREKQDRDQLSLLALLVTLFRKSLASCKTTDRRELCAMEIGWPTNVRHVAHVTFDRYNGFLGLPVEFEPEVPRRAPSASTTVFGVSTESMQLSYDSRGNSVPSILLLMQRRLYAQGGLQAEGIFRINAENSQEEYVREQLNGGVVPEGIDVHCLAGLIKAWFRELPNGVLDSLSPEQVMQCQTEEQCAELARLLPPTESALLDWAINLMADVVQQEHINKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKTLILRTLREREDSVVEPTLASRLEPFDENGDQSPSLSCIQDTEKDDEERELAFTAEEPLRESFRNYNPNNEIMDGKDHSPIPSVHKLIADADHSDETPAPVETFISDTDAIVANYLKPGTLENDAKSNIGQSSNSSLKKGPNKISGQQSILQITNPAEKTKGISNLSRIDSRIERIEAWR >EOY30879 pep chromosome:Theobroma_cacao_20110822:9:6097786:6098433:1 gene:TCM_037931 transcript:EOY30879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTTSYFLSTSNPCCRSQKPRKPSFRKRCLLMAKQQKTRNSHRVGYGIGSWIQGAIICMPKNKGAKCK >EOY33133 pep chromosome:Theobroma_cacao_20110822:9:35567937:35569585:1 gene:TCM_041115 transcript:EOY33133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein, putative MLKSFVFSCFQFLAISFTTLTKSWILFLAHFGMLLLVDKINLYGELNGHEECVNTVEFNSTGDLLVSGSDDKHVVLWNWATKSKTLSYASGHLDNIFQARIIPFADEKKIVTSPADGQVRLGEILENGQVRTRKLGEHQGRVHNLAVDPGSPHIFYSSGEDGLVQHVS >EOY29386 pep chromosome:Theobroma_cacao_20110822:9:1016253:1018098:-1 gene:TCM_036943 transcript:EOY29386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVEWVHKRNFTSNLGCKETVSKIVGKSFSEIPPSSLQNNLVKGGTGSRVSNNKTCEN >EOY31853 pep chromosome:Theobroma_cacao_20110822:9:16540633:16548140:1 gene:TCM_039159 transcript:EOY31853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein MEWDSNSDLSGDEDEGFLLNDGGPLPFPVQNLLQTAPCGFVVTDALEPDHPIIYVNTVFEMVTGYRAEEVLGRNCRFLQCRGPFAKRRHPLVDSTVVSEIRRCLEEGIEFHGELLNFRKDGSPLMNRLRLTPIYGDDETITHVIGIQIFTEANIDLGPVPGSSIKESIKSSDRSHSGFSAFRPVVVGDRNVCRGVCGILQLSDEVLSLKILSRLTPRDIASVGSVCRRLYELTSNEDLWRMVCQNAWGSETTRILETVPGAKRLGWGRLARELTTLEAAAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSNPEWQHVQVSSPPPGRWGHTLSCVNGSHLVVFGGCGRQGLLNDVFVLDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPVWREIPVAWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEEPCWRCVTGSGMPGAGNPGGIAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYLLDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLTELHELSLASSVI >EOY33132 pep chromosome:Theobroma_cacao_20110822:9:35567047:35570843:1 gene:TCM_041114 transcript:EOY33132 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD domain containing protein, putative MGRFLCEWVLHQNSLAKNRPMPRWNAYPDLINESFDLRSSSATKLFHCFSFEETNRQAQPLKSRRCQLDALNISDTPVNVFCPRHLVGSIDFHITGLTYYSTSELLVSNSDELIYLFQKNMELGLSPLSLNSEARQEPHVNVGHGNSQTVQGVSFFGPNDEYVMSASDCGPIFIWKKKDAKLVRLMVGDPHIVNNLEPHPCMPFLATCGLDKNVKLWAPMASDAPALPNKLEKIMEFNRQRQADQPRVSSDVFLMHVSREQRRQTFAFFERRYGRADLDSDEDGGEDYNNSLLLNDTAFYEDDSSKNSGECKIS >EOY29660 pep chromosome:Theobroma_cacao_20110822:9:1975000:1976074:1 gene:TCM_037139 transcript:EOY29660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding ribosomal protein family protein isoform 1 PEAPRSSRVFAAASTEEMGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCGACGYPASRIRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKTGFREGTQATPRKKAAVAAS >EOY29659 pep chromosome:Theobroma_cacao_20110822:9:1975074:1976195:1 gene:TCM_037139 transcript:EOY29659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding ribosomal protein family protein isoform 1 MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCGACGYPASRIRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKTGFREGTQATPRKKAAVAAS >EOY30636 pep chromosome:Theobroma_cacao_20110822:9:5224032:5227719:-1 gene:TCM_037772 transcript:EOY30636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug and toxin extrusion protein 2 MCNQSTSATLPTISTSTEKSSQTHLYFDLLPLPNSLKDPEMHQKAEEPEYLHPSISEIITETKSLFNLAFPIALTGLILYSRSIISMLFLGHLGDIQLAAGSLAIAFANITGYSVLSGLALGMEPLCSQAFGAQRPKLLSLTLHRYVIFLLFTSILISFLWINMFNILVYLYQDPNITRIGQTYLLFSLPDLFTNSFIHPIRIYLRAQGITHPLTLATLIATILHLPINLLLVSHFNFGVAGVAASASISNFFVLISLVAGIWASGLHEPTWEKPSLECLTGWKPLLKLAGPSCVSVCLEWWWYEIMIVLCGLLVNPKWSVASMGILIQTTSLIYVFPSSLGFAVSTRVGNELGANRPYKARLSAVVAVFVSAMMGLSASMFASGMRDKWARMFTSDSEILRLTSIALPILGLCELGNCPQTVGCGVLRGSARPSTAANVNLGAFYLVGMPVAIGLGFYLGVGFAGLWLGLLSAQVCCAGLMLYVVGSTDWELQAKRAQMLTYVDTRQPEDCNNKKGEEEQPLICIMVTPAA >EOY33704 pep chromosome:Theobroma_cacao_20110822:9:38707465:38713521:-1 gene:TCM_041606 transcript:EOY33704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double Clp-N motif-containing P-loop nucleoside triphosphate hydrolases superfamily protein, putative MPTPATAARQCLTEEAARALDEAVAVARRRSHAQTTSLHAVSALLSLPSSTLRDACARARSSAYPSRLQFRALELCVGVSLDRLPSSKTVEDPPISNSLMAAIKRSQANQRRHPESYHLQQLHSNNNNNNNATGCSQTASLLKVELKYFILSILDDPIVSRVFGEAGFRSCDIKLALVHPPVTQVSPRFSRTRCPPIFLCNLTDSVSGRAAFNFPFPGQEDGVDENCGRIGEVMVKKSGKSPLLVGVCAIEALRGFTESLARGKSGFLDGDLAGLNVISIENEVNELVIGGNEEKLGIKLKETEGVLEKCNGFGGGVVLNFGDLKGLILDGVLSDSVSALVLKLTGLMEVYRRKLWLIGAVASVEMYRKFSDKFPNIEKDWDLQLLPITSSKSSFDGVCSKSSLMGSFVPFGGFFPTTSDLRSPLSGRNQSIPRCKLCNEKYELEVAAILKGGSTASVADQYSENLPSWLRMAAVDTTKGADVTKTKDGETMLNAKVSGLQRKWNDICRRLHHTSPFHKLDITSGRSLVPIVEVPQFATDKKQSSGEDLSISESRFPDQSSSTQMQLQKIFPPKRNIPIPCSEAENINVQSRLLADVSSLAQQTDMDVPWFTHHPQPNLSSCPGRTPLFVPPVTTDLKLGTIYASTSQESNTTKSLDHKSHLQHFSGSISADANSENTSYQFAQSSSCSGLTSGEHFDQGGYKSIRKVLSEKVGWQDEAVNSVSQAVSHLRSRYGSRSGINPKGDIWLTFLGPDRVGKRRIALALAEVLFGSQENLISVDLSMQDKGSHSNSIFECQELNGYDVKFRGKTVSDFIAEELRKKPHSVIFLENVHKADYYVQRSLDQAIRTGKFPDSHGREISLNNTVLIMSAIRKGNINVLCEKKSMKFSEERILGAKRWQMQIVVGSVSDDVSRSNDTNTRVAIIKKASTSATVNKRKMIDTGYSSELEKTDTRVPKASRSCLDLNLPVEETDEGISLGDSDSESLSENSEGWLEELFSQVYKKIVFNPFDFDELANKIVKEVSSQFQSTVGSGVRLEIDEEVMLQILAAAWISDKREAVEDWLEKVLCRSFAEAQQKYDLTSQSVVKLVACEGVGVNEQAPGICLPAKINLN >EOY33283 pep chromosome:Theobroma_cacao_20110822:9:36276915:36278111:-1 gene:TCM_041225 transcript:EOY33283 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding,leucine-tRNA ligases,aminoacyl-tRNA ligases,nucleotide binding,ATP binding,aminoacyl-tRNA ligases, putative MPIVCLSEINLAVKLTEKNYNNSLFREALKTGFYDLQAARDEYRFSCGSSKCMNFDLLLQFMDLQTRLMTPICPHYTEYVWRVIRMKDGYVVKAGWPKVDTPDLTLKVANKYLQETIASMRKFLLKQISGSKKTKANHETSSFAPDEEILDALQQSPVGGVHLQQTQSRYTPFLKFNKDEAIALGVQALDLQLPFSEIEVLQENLELIKRQLDLEQVEVLSITDPEAMSKASHLNLLLNQNLPNPGNPTAIFFSV >EOY32863 pep chromosome:Theobroma_cacao_20110822:9:34099957:34101749:1 gene:TCM_040878 transcript:EOY32863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSMTPQTPNDSFYMSAPASPRRISLEGLCFYSVPTSSTRKTLKAAYDLDTEPTTPRTFEDSNSNVDEFEFETRRRFNVDEYGFESEPKSESKLEDPQENHVRKESLPAMAFADELFSGGKVMPLKPPPRLQYANDNKYDKQSSTLSSPRSPTGVLKLPFQRRSLWNDDFDPFMVALENVKEEKVEKSQAKNRRRARSMSPFREIIPKGTYDLSGSSQQQINQMGLILPTQQSKPNLNKRMESNESAFLMWVPDQNRQMGRQEQLKQVKKTPIQLAEPKGVLFARRARLVKVGHENPRKTNVINPTVEEGESANASGETCTKETNSQKFKKFLFRSGSVRKISNEEKPKSSNATESKPNITRKFSFKSMGLTQYNEEKGVSQVTRMTLVQYRPKLLLCMGYGAKYVQ >EOY32760 pep chromosome:Theobroma_cacao_20110822:9:33416912:33419009:-1 gene:TCM_040791 transcript:EOY32760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase, acidic, putative MASFKPRNTRASMAAVLLLLGLLVSQTEITGAQSIGVCYGRNGDNLPGAAEVVSLYQSNGIGRMRIYDPNQETLNALRGSNIELILDVPLDKLRDLSDAAAANDWVQRNVVSFSPDVKFRYIAIGNEVSPSDQLAAFVLPAMTNVHNALAAAGLQDQIKVSTAVASSVIGTSSPPSAGSFSDTSSPFITPIISFLASNGAPLLANIYPYFSYTGDPTNIGLDFALFTAPGVVVQDGQYSYQNLFDALVDSLYSALEKTEGANVNIVVSESGWPSEGGNAANVDNAGKYYRNLINHVTQGTPKRSGQAIETYLFAMFDENLKAAGFEQHFGLFLPNRQPKYQINLG >EOY29654 pep chromosome:Theobroma_cacao_20110822:9:1940840:1942808:-1 gene:TCM_037135 transcript:EOY29654 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain-containing protein, putative MEFHLSPVLAFIALAVVASHAVLSPGHCWNYVLPNTPMPKAVKVMLHPDFMENKGTSFNVGGGSVNVNTGKEKPGGTAVNVGKGGVSVNTGKRKPGGGTHVNVGGQGVGVNTGKPGGGTFVNVGGKETATFLPRHIAQKILFSSDKLPEILNKFSVKPGSAGAEIMKNTIKECEQPQIQGEDKYCVTSLESMIDFSTSKIGKNAQKQNYAYAVFYCHKSETTRAYMVPLEGADGTKAKAVAVCHTDPSAWNPKHLAFQVLKVEPGTIPICHFLPQDHIVWIPK >EOY32219 pep chromosome:Theobroma_cacao_20110822:9:23417577:23420179:-1 gene:TCM_039824 transcript:EOY32219 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRNA capping enzyme, putative MIASMDLNASQLPEDDEETHERHIGHYSAPEAHVESAVEERRKRLRRYRPDHRPVYVSQPPIHGHFYQNRNPRVYDKSRIPPGWLDCPSVGREIGCTLPSKVPLGESYDDCVPPGKRYSFGQAIHQQKVLGRKLGLVIDLTNTSRYYQTTDLKVADDDDGGGPTALHGNHQTDVVLTKDDILGDEIPHDQQESLRSFCYKMLELNVGARRHSQFPGSHPVSLNRDSLQLLRQRYYYTTWKVDGTRYMMLITVDGCYLIDRSFNFPRVQMRFPSQHQPEVMGDRTDHFTLLDGEMVIDTMPDSQKQERRYLIYDMMALNHVGSLIQWPFCERWKMPEKDVIEPRKYERQNIYQSRNPYYRYDLEPFRVPRKDFWFLSTVNKVLKEIIPRLSHKADGLIFQGWDDPYVPRTHEGLLKWKYAQLNSVDFLFEIGSDDREQLFLYQQGRKKLMEGNRVEFRDVSDPPSSFSGKIIECCWDPDQHVWIYMRIRTDKSTPNDFNTFKKVKRSIKDNITDEILLNEINESIRLPMYADRIKIDSKAHLHTNSARRK >EOY31861 pep chromosome:Theobroma_cacao_20110822:9:16713641:16717257:-1 gene:TCM_039178 transcript:EOY31861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Somatic embryogenesis receptor kinase 1 MKYIRTNSFKRLFSLKRRSFEEDVANTQHGVFEEEEEDNRKESSKIVSVTEHSQRPSWRCFSFQEISLATNAFSSENLVGKGGYAEVYKGVMKDGEEIAVKKLTKASTDERKEKDFLTEIGTIGHVCHPNVLSLLGCCIDNGLYLIFQFSSKGSVASLLHDANLPSMDWKTRYKIAIGTARGLHYLHKGCQRRIIHRDIKSSNILLTADFEPQISDFGLAKWLPSQWTHHSIAPIEGTFGHLAPEYFMHGIVDEKTDVFAFGVFLLEIISGRKPVDASHQSLHCWAKPLLKRDEIEKLVDPRLRGAFDVSQLKRLAFAASLCIRASSAWRPTMNEVLEVLVEGGDTDKERWKMPEEEEDQEEFWGFEDLEYECHSSFSVSPEDSISTSS >EOY30222 pep chromosome:Theobroma_cacao_20110822:9:3836420:3838320:1 gene:TCM_037501 transcript:EOY30222 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like jelly roll fold MASASEIAAKLNLVAHPEGGFYNETFRDTSVFLSKSQLPPEYKVDRAVSSCIYFLLPSGCVSHLHRIPCAETWHFYLGEPLTVLELDEIGQIKLTCLGPDLLDNQQVQYTVPPNVWFGAFPTKDFQISTDGAVTKTESRDAESHYSLVGCTCAPAFQFQDFELAKRSELVAHFPNHERLISLLTYPD >EOY34163 pep chromosome:Theobroma_cacao_20110822:9:40106363:40108297:-1 gene:TCM_041919 transcript:EOY34163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast-targeted copper chaperone protein, putative MKRMDFFCASPASTAICSSVDHRSMVRRGHRPIDRQNSKPYAPCSSQLPIIPRPFHEKNRKSSVKPSDVRRKSSADTHDLNSPPGSARYLLSDRPFIDWLSESDRVSALVPAQPAKPEHVSSNDSPALKSSSSARSSRDQVVVLRVSIHCKGCEGKVRKHISKMEGVTSFSIDLPTKKVTVIGDVTPSSVLASVSRVKNAQLWPSTTPSSSPSSPMVKMSH >EOY29203 pep chromosome:Theobroma_cacao_20110822:9:341738:343532:-1 gene:TCM_036811 transcript:EOY29203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 622 isoform 1 MPGLTCNACNKEFKDDAEQKLHYRSDWHRYNLKRKVAGVPGVTEALFLARQSALAQEKDKRNETPMLYSCGLCGKGYRSSKAHAQHLNSRTHVMRASQGTNNPEEEKAIIKPLPRCAVNKPPQPRDKNDEESEDEWLEVDPEEDLVGQAANSLTDLNVNKEASGDEMDTDDEEEVELDPCCCFMCDIDHDTIESCMVHMHKFHGFFIPDVEYLKDPEGLLTYLGLKVPKSWKLLGNIWQPKLIVKCIMVMAMKRRKQNWKNSMIIAAAMWMRVGSSWLQLVIWATL >EOY29202 pep chromosome:Theobroma_cacao_20110822:9:340931:343683:-1 gene:TCM_036811 transcript:EOY29202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 622 isoform 1 MPGLTCNACNKEFKDDAEQKLHYRSDWHRYNLKRKVAGVPGVTEALFLARQSALAQEKDKRNETPMLYSCGLCGKGYRSSKAHAQHLNSRTHVMRASQGTNNPEEEKAIIKPLPRCAVNKPPQPRDKNDEESEDEWLEVDPEEDLVGQAANSLTDLNVNKEASGDEMDTDDEEEVELDPCCCFMCDIDHDTIESCMVHMHKFHGFFIPDVEYLKDPEGLLTYLGLKVKRDFMCLYCNERCHPFASLEAVRKHMAAKAHCKVHYGDGDEEEEAELEEFYDYSSSYVDESGKQLVAVGDMGNTVELGGGSELIITRRSDQGIMTKTLGSREYLLYYRQKPRPSPANNMAITAALASRYRSMGLATVQSREQIVRMKVMKQMNRSGVEAMRTKVGMKNNVIRNLPKNVPF >EOY29201 pep chromosome:Theobroma_cacao_20110822:9:337925:343654:-1 gene:TCM_036811 transcript:EOY29201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 622 isoform 1 MPGLTCNACNKEFKDDAEQKLHYRSDWHRYNLKRKVAGVPGVTEALFLARQSALAQEKDKRNETPMLYSCGLCGKGYRSSKAHAQHLNSRTHVMRASQGTNNPEEEKAIIKPLPRCAVNKPPQPRDKNDEESEDEWLEVDPEEDLVGQAANSLTDLNVNKEASGDEMDTDDEEEVELDPCCCFMCDIDHDTIESCMVHMHKFHGFFIPDVEYLKDPEGLLTYLGLKVKRDFMCLYCNERCHPFASLEAVRKHMAAKAHCKVHYGDGDEEEEAELEEFYDYSSSYVDESGKQLVAVGDMGNTVELGGGSELIITRRSDQGIMTKTLGSREYLLYYRQKPRPSPANNMAITAALASRYRSMGLATVQSREQIVRMKVMKQMNRSGVEAMRTKVGMKNNVIRNLPKNVPF >EOY32872 pep chromosome:Theobroma_cacao_20110822:9:34164588:34168024:1 gene:TCM_040893 transcript:EOY32872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 17 MGNCCSRGNPAGAPNTNEKGDATPDNNGNNPSSSSLQDSSANNPPRHSQPSPSPGASSKPSKPNPIGPVLGRPMEDIKSTYTIGKELGRGQFGVTHLCTNKATGEQFACKTIAKRKLVNKEDIEDVRREVQIMHHLTGQPNIVELKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIVHTCHSMGVIHRDLKPENFLLLNKDENSPLKATDFGLSVFYKPGEVFKDIVGSAYYIAPEVLKRRYGPEADIWSIGVMLYILLSGVPPFWAESEHGIFNAILRGHIDFTSDPWPSISHQAKDLVRKMLNSDPKQRLTAIQVLSHPWIKEDGEAPDTPLDNAVLTRLKQFKAMNKFKKVALRVIAGCLSEEEIMGLKEMFRGMDTDNSGTITLEELKQGLAKQGTKLSEYEVKQLMEAADADGNGTIDYDEFITATMHMNRMDREDHLYHAFQHFDKDNSGYITTEELEQVLREYGMHDGRDIKEILSEVDSDNDGRINYDEFVAMMRKGNPETNPKKRRDVFV >EOY34409 pep chromosome:Theobroma_cacao_20110822:9:40980425:40983936:1 gene:TCM_042090 transcript:EOY34409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein / peptidoglycan-binding LysM domain-containing protein, putative MLFRCRMIYLLFLIWIVANSSLAQQYYDPSACPEDTRNPGSRYTCNSIQQPCETFLVYRANQHFQVQNLLNISALFQMNSDRLLDLNNITSASEVLKPGREVLVPINCRCSGEYFRARLSYIVPERTSIEEIACGIFEGLLKSLTLLQDNPSQENDVGIGVRLHVPLRCACPDNVTSANGVKYLVTYAILEGDEATALSKKFGISPEDILAANRLEPKSTIFPGTTVLVPLKSDPTINLNIPDSPPPTPGFLPTITVEKTKNTKLRNLYIAGLIVGFFLVVVALLACGLYVKVLKKWKGEKLQSFADRNSIPSFSTARSSPRSGQTGRSSPRSGQTGRSSTNSCLSPDLLAGIKFSMYNYGIEDIRRATKDFDEDSKIGEEVYKGLIDNADVMIKRMKFEDTRQVIDMHSKINHINIVNLHGVCYSENDFSWSYLVFELPSNGCLRDCLSNHSNSLSWSQRTQIAFDVATGLHYLHYCIFPSYAHMSVNSRNIFLTSKWRAKLANIGSSTLAVSSSRRNDNIDTVNGWVAPEFPVHGSASEKVDIFAFGVVLLELISGREATDGNLFKESIGFLGGGASEGGCFEQLRSFIDPSLKDNYLLAEALCLAVLAKACIEDDPLRRPSMDDILKVLGRMV >EOY29998 pep chromosome:Theobroma_cacao_20110822:9:3012563:3018558:-1 gene:TCM_037355 transcript:EOY29998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADPNANDPDDDPHYVPLVDDALNFMNNMEPLLPDDDMNIFTGNMNHPFMDDTRNDFLNNINPSLFGNSSLHNSDARNLRVNSQSNPSMNNLSTTTQIQQNFENEDLNNPHVPTRVNSTVQEPSQHPFIPQAGEKRKQPIKVNHLEELFGIFTHKKDNSNAGCSGSGPSKIHGNTSNQGETNLVNESLNSPSIPTQQDLLPVREPVLRPSSIPPFTEREAINRLRIATNWEGMRNEPDLETSQADMLNESNLRNSQANKDNESNLENSQAASFAEMNSQKETSLETERLDKNKGKLSVTPSRTSPSDCSGCDMLREITHRKGPLVKKLQLHGKLLRGRLFHALGDVLDEDTTVVSDAENIDFYDKGYKDVEKFLSQYFIKQEQEGWNMYDDPRADFFKVLCFRPGGIQTSEATNTNISQVGQAAATGSHEATNPNNSGRRSINLKEQRKRIKMLGRDDLTPYYGLPRREAARRLNVSETILHKIHGEVTGHTGGWPFRQISARKRKIAELTAIVDSTKNPAARNRAINEIQKLEKEIAAYYDR >EOY33697 pep chromosome:Theobroma_cacao_20110822:9:38666402:38674830:1 gene:TCM_041600 transcript:EOY33697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alternative NAD(P)H dehydrogenase 1 MDCFGVKLCFCLLLTSAIFASAARNTIPLSGDKVVLAAEGRSLMASIEDYSEPTANRGHDPPSRTRGRSGKNGGGRRATRPKSPITTNLLLTSLSHFSTANQTPAVAQPSGLGPTKPNEKPRVVVLGSGWAGCRLMKGLDPNLYDIVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPIARIQPSISSAPGSYFFLANCTGVDTETNEVQCETITAGTDALDPWKFRISYDKLVIASGAEASTFGIHGVKEHATFLREVHHAQEIRRKLLLNLMLSDVPGVSEEEKRRLLHCVVVGGGPTGVEFSGELSDFIRRDVHQRYTHVKDFIHVTLIEASEILSSFDDRLRRYAIKQLTKSGVRLVRGIVKDVKPQNLILSDGSEVPYGLLVWSTGVGPSPFVNSLGLPKSPGGRIGIDEWLRVPSVQDVFSIGDCSGFLESTGKPVLPALAQVAERQGKYLANLLNNISKAGGGHANSAKNIDFGDPFEYKHLGSMATVGRFKALVDLRQSKEAKGISLAGFVSWFIWRSAYLTRVVSWRNRFYVAINWLTTFVFGRDISRI >EOY31889 pep chromosome:Theobroma_cacao_20110822:9:17048022:17053026:-1 gene:TCM_039217 transcript:EOY31889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein F MATIPVNPKPFLNNLTGKTVIVKLKWGMEYKGFLASVDSYMNLQLGNAEEYVDGQFTGNLGEILIRCNNVLYLRGVPEDEDIEDADRD >EOY32333 pep chromosome:Theobroma_cacao_20110822:9:27408259:27410154:-1 gene:TCM_040140 transcript:EOY32333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKDRGKAVEVYSNDFFQDYSSSSDLPCKKHPQSSSVGICAYCLKDRLVKLVCSDCGEQRLSSCSCSEISSNPRTSCTGEVGSVGRVSFLIENDNKDQVPNPSSKPKSNSGEKSEEVFFLKRSNSSCVEIKRKNGFWRIGRLFRKKRDKDNCGKSVGGVEEKNDLWVVDYMGVSRSRSLCSFRGGGFFGSEDGGDLMNFSGARSSISAARSSSVNGGLCYDPERKSGFSEAEPRKSGFDSERRDSTFMESDIADIKAVRKGGVLMDLDGGFSSVNRRVFSLKESYFTGGDDSGFIDLKFDFQSESKGEIPALKKGGLSDSHSAFGSTRGGDFVPHESGGSIRNALVGDGAFCNGGSCRITVNERGIKKSRKSFKGWRWIFKHHPNWSGTRKKDEDLMVNH >EOY32876 pep chromosome:Theobroma_cacao_20110822:9:34201933:34203643:-1 gene:TCM_040898 transcript:EOY32876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPGDTSLDFLAQKLNAAEIIKLLEECVEAPDQSQDPTIRVCRYCHREFKSAKALGGHLRIHSQHQSGSKAGIHHLENTEFAFSDLDSQQSSEEDNAGFTCFVCDDGFSSLKLLCQHMRNHREEDYNGIQQPTPPQESTSLSEPQTTVEEGIDEVSPGNDHNQGSSNDLLSYVSNWSATGKRGRKQIDSDKIDGIIYNAVPLRVFYGSQVPWRERRLGDFADSNQSLLKRKKQKTRLSKDVSIESQSGAANSYKTDSEATVEVLGNSEQTTKNSISMATQRGFESRISSKSISRSSHGKLKKPKRAKAVNGVHQCEICGKTFQTGQALGGHKTYHRVKPVVDPSRGVLVQRKAEEQLSGESGQVSDGFSRMPLPRPGELSGEAHPSAQSNQRVTKRLLDFDLNIPYRE >EOY32662 pep chromosome:Theobroma_cacao_20110822:9:32666757:32670318:1 gene:TCM_040692 transcript:EOY32662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar GTP-binding protein MGRISLISSCLGPNGKHQLLSTRDMPFPVSVAALGRMCTVIKRISPSLAYLEQIRQHMARLPSIDPNTQTILICGYPNVGKSSFMNKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDHNIIEMCSTSALAHLRAAVLFFLDISGSCGSSIAQQAALFHSIKLSGEDMKLVMEMKSEAVKTVMGQGGEPSNEEGVLWTMSTLTEDGVIAVKNAACERKRGHLAYLKPVLEAKAKQAVEKEKRKTEKDLEGENGGAGVYSANLRKNYILANDEWKEDILPEILDGHNVYDFIDPDILLRLEELEREEGLRQAEDEDAEFEMHESRPTVPRKFDKNREFTTERMGRQLLDMGLDPSLAINRARSRSRGIKRERSLDRGDNDSGKAMDIDVDRPYKMPRMRFRSLSMSRSTSQLRPPSEVVPGEGFKDSVQKVKAHKLAK >EOY30271 pep chromosome:Theobroma_cacao_20110822:9:4027001:4030422:1 gene:TCM_037539 transcript:EOY30271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing-like protein MASSSSQAPISKPGHRLVALRWKLMETPKIDVVLSGQPRPRSWASKFQYALHVAVGTGKANDFVTKLVKGMSPEDLEIANQSKVTALTIAAAIGNTDAAKLLVSINPNLPHIQDGDEGFPVHRAAQSGHKETLVYLLKVTRDDVQPSPFENNSGVWLLRQIIFVGFYVRSSAFRSSSELTLCQRFLYSLCGAVRQKLHRALWDLIDSLVPQVKHIRQMKFMHGEALRLVKLLCTEAERLDPQKAANIFADPLFQAVSHGIPEIIENILVSFPIAVQFRDNDGRNIIERAVLYRRHNVFNLIYRMNRQTKHALAEDLDRFQTNILHLAGILAPQDQLNLVSSAALQMQRELQWFKEVEKLVPSIYRDARNYEGKTPRMVFTDKHKELVKEGEKWMKDTANSCSVVAALIATVVFAAAITVPGGTNGDSGFPVFSSEKAFVIFAVSDALSLFSSTAAILMFLSILTARYAGDNFLQALPKRLIMGLLTLLISITTMMIAFSATLYLVFGNDETWILTSVAASACLLVILFVYLQFSLLVDMFSSIYGHGIFGQRSEEPLC >EOY34159 pep chromosome:Theobroma_cacao_20110822:9:40086628:40091841:1 gene:TCM_041915 transcript:EOY34159 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein MDIDLTPKLAKKVYGENGGSYHAWCPDELPMLRQGNIGAAKLALEKDGFALPRYSDSSKVAYVLQGAGVGGIVLPESEEKVIAIKKGDAIALPFGVITWWYNKEDTDLVVLFLGDTSKGHKAGQFTDFFLTGTNGIFTGFTTEFVKRAWDVDDDTVKALLGKQTGKGIVKLDANVKMPEPKPEHRQGLALNCEEAPLDTDIKNAGNVVVLNTRNLPLVGQVGLGADLVRLEGNAMCSPGFSCDSALQVTYIVKGSGRLQVVGVDGKRVLETVVKAGNLLIVPRFFVVSKIADPDGLSWFSIITTPNPVFTHLAGSIGTWKALSPEVLRASFNIPDETEKLFRSKRTSDAIFFPPPK >EOY30674 pep chromosome:Theobroma_cacao_20110822:9:5367993:5369497:-1 gene:TCM_037801 transcript:EOY30674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEAGPPVVAKKLWNIVRIVFFMLKTGISKSKIMVDLHFMLKKGKHNAGKAISNLVFHHKVHDHLSSLSCRSNDAHLSFISPREYEFSCSNSPAFYPFYAHKRKHHHHYNYYNNRHHSGKSSNYHYDDVTTVAAVQKVLEMLNNEAAAVEASPMVLPGFGRSPMVRQLRVTDSPFPLKDEGDSQVDKAAEEFIKKFYKDLKSQKRMSALESPAHNTWGR >EOY33246 pep chromosome:Theobroma_cacao_20110822:9:36107868:36108550:1 gene:TCM_041200 transcript:EOY33246 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCR-like 9, putative MAKSIALPMLCLVLLVALPSPSYSQVGLQFCPTELTIPGTCGNNGGFECFEAINAKFGASAMAMKCTCQALQSNERLCKCLIVCRE >EOY31525 pep chromosome:Theobroma_cacao_20110822:9:9323509:9325326:-1 gene:TCM_038446 transcript:EOY31525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLPLSFSSSHPHYDSMVILNKCSRKGALSNSLAVSQIALAVHECQLASTSLLIAKLKRHWLGSLIMGNKPVKQEQREEILLKIVPPLDQAYVRWLARDIERIHGFTPRNPRAVKPPDHYIEYMRLNGWLDVKLDDPDLAHLFN >EOY34461 pep chromosome:Theobroma_cacao_20110822:9:41203006:41206453:-1 gene:TCM_042138 transcript:EOY34461 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter F family member 1 MVSDASKKKAAQKKAAAAAKRGGKAAAAAASSKAAAAAAASDTGVDKVSDGVSALQISDRTCTGVLCSHPLSRDIRIESLSVTFHGHDLIVDSILELNYGRRYGLLGLNGCGKSTLLTAIGLRELPIPEHMDIYHLTREIEASDMSALEAVISCDEERLKLEKEAETLAGQDDGGGEQLERIYERLEAMDASTAEKRAAEILFGLGFNKKMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEENLKKFDRILVVVSHSQDFLNGVCTNIIHMQNKKLKLYTGNYDQYVQTRAELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKVLVFRFVDVGKLPPPVLQFVEVTFGYTPDNLIYKDLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLGPIDGMVRRHNHLRIAQFHQHLAEKLDLEMSALQYMIKEYPGNEEERMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAFRQPHLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAEEIWVCENQTVTRWEGDIMDFKEHLKSKAGLSD >EOY31539 pep chromosome:Theobroma_cacao_20110822:9:9406088:9407928:-1 gene:TCM_038456 transcript:EOY31539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVSKYTLALVLVIVLVHTLPTFQLFEIPTQPREEHILMFKPSDTKAIIEVDGIIKAVWDAGFKIMSLILQNHLRTVVPFGGTYPAGCRLCYATDSVTIYNNNTITIFAPVDESFSDDENWKVLEYQFVTARVDKEAFDSGSVQRGVELLTCDSYCKVLVNGYGSINNVNITHWNIYNDGHIIVHGVQNFFNCNFWKSSKNI >EOY32131 pep chromosome:Theobroma_cacao_20110822:9:21783619:21784126:-1 gene:TCM_039660 transcript:EOY32131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSMHAGMVANKGHGLVSLLIPTCNVVMLLVGRLLRTMPPMRDYFGRCCRLEITTGDANHRKITPIDDKILRQRL >EOY29345 pep chromosome:Theobroma_cacao_20110822:9:818257:820009:-1 gene:TCM_036912 transcript:EOY29345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, putative MDAPNSNEEKVEALQVELEHLKRANEALRLMLEAMSRKCNTLEAYLRESSSQPTGSRLTRIGSYDEHLNKRPRPEVPSMAKPSQVFVKTDPRDKSLIVKDGFQWRKYGQKVTKDNPSPRAYFRCSMAPGCPVKKKVQRCVEDKSFLLATYEGQHNHDVQSSPMESLSSAESSAMLSTTSSPYRVLSNSFRPTITLDLTLSGSNVENSRNPRNLVQETSCNDHDNKRIEDYVASLTKDPNFTVALAAAVARSINGL >EOY31796 pep chromosome:Theobroma_cacao_20110822:9:14085871:14087324:1 gene:TCM_038927 transcript:EOY31796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTGSRRSCPVACSNCFQLVCCHVEGFQLPEFQTSESLISADEEFYPEEPMPEPQQSQFMASNSGVKVEEMDVDEEEAGPFRAQRQSAAPTISKWAERFQEFHASIV >EOY29978 pep chromosome:Theobroma_cacao_20110822:9:2951561:2957540:-1 gene:TCM_037335 transcript:EOY29978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase M1 isoform 3 MDQFKKQPRLPKFAIPKRYDIRLKPDLSACKFAGTVSIDLDIVAGTRFIVLNAADLSINPGSVCFSPRNSSKVFEASEVELVEEDEILVLDFAETLPLGLGVLAIGFEGVLNDRMKGFYRSTYEHNGEKKNMAVTQFEPADARRCFPCWDEPACKATFKITLDVPSELVALSNMPVVEEKVNGPLKTVSYQESPIMSTYLVAVVVGLFDYVEDHTSDGIKVQVYCQVGKTTQGKFALNVAVRTLELYKEYFAVPYALPKLDMIAIPDFAAGAMENYGLVTYRETALLYDEQHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDYLFPEWKIWTQFLDESTDGLRLDGLAESHPIEVEINHAGEIDEIFDAISYRKGASVIRMLQSYLGAECFQRSLASYIKKHACSNAKTEDLWAALEEGSGEPVNKLMNTWTKQKGYPVVSVKVKDQKLEFEQSQFLSSGCHGDGQWIVPVTFCCGSYDKKKSFLLQTKSETHDVKEFFSDSNKSGIAHSWIKLNVDQTGFYRVKYDEELAARVRYAIENKYLTATDRFGILDDSFALCMARQLPLTSLLTLMGAYREELEYTVLSNLISITYKIGRIAADAKPELMDDIKQFFVNLFQYSAEKLGWDAKQGESHLDAMLRGEILTALAMLGHEETLTEAMRRFHAFLNDRNSPLLPPDIRKAAYVAVMQQVNSSDRAGFESLLRVYRETDLSQEKTRILGSLASCPDQGIVLEVLNFVLSPEVRSQDAVFGLAVSKEGREVAWTWFKDNWDLISKTYGSGFLITRFVSAIVSPFASFEKVKEVEEFFATRTKHSIARTLKQSLERVNINANWVQSIQEENNLAEAVLELAYRKY >EOY29980 pep chromosome:Theobroma_cacao_20110822:9:2951576:2957395:-1 gene:TCM_037335 transcript:EOY29980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase M1 isoform 3 MDQFKKQPRLPKFAIPKRYDIRLKPDLSACKFAGTVSIDLDIVAGTRFIVLNAADLSINPGSVCFSPRNSSKVFEASEVELVEEDEILVLDFAETLPLGLGVLAIGFEGVLNDRMKGFYRSTYEHNGEKKNMAVTQFEPADARRCFPCWDEPACKATFKITLDVPSELVALSNMPVVEEKVNGPLKTVSYQESPIMSTYLVAVVVGLFDYVEDHTSDGIKVQVYCQVGKTTQGKFALNVAVRTLELYKEYFAVPYALPKLDMIAIPDFAAGAMENYGLVTYRETALLYDEQHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDYLFPEWKIWTQFLDESTDGLRLDGLAESHPIEVEINHAGEIDEIFDAISYRKGASVIRMLQSYLGAECFQRSLASYIKKHACSNAKTEDLWAALEEGSGEPVNKLMNTWTKQKGYPVVSVKVKDQKLEFEQSQFLSSGCHGDGQWIVPVTFCCGSYDKKKSFLLQTKSETHDVKEFFSDSNKSGIAHSWIKLNVDQTGFYRVKYDEELAARVRYAIENKYLTATDRFGILDDSFALCMARQLPLTSLLTLMGAYREELEYTVLSNLISVMQQVNSSDRAGFESLLRVYRETDLSQEKTRILGSLASCPDQGIVLEVLNFVLSPEVRSQDAVFGLAVSKEGREVAWTWFKDNWDLISKTYGSGFLITRFVSAIVSPFASFEKVKE >EOY29979 pep chromosome:Theobroma_cacao_20110822:9:2952915:2957344:-1 gene:TCM_037335 transcript:EOY29979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase M1 isoform 3 MDQFKKQPRLPKFAIPKRYDIRLKPDLSACKFAGTVSIDLDIVAGTRFIVLNAADLSINPGSVCFSPRNSSKVFEASEVELVEEDEILVLDFAETLPLGLGVLAIGFEGVLNDRMKGFYRSTYEHNGEKKNMAVTQFEPADARRCFPCWDEPACKATFKITLDVPSELVALSNMPVVEEKVNGPLKTVSYQESPIMSTYLVAVVVGLFDYVEDHTSDGIKVQVYCQVGKTTQGKFALNVAVRTLELYKEYFAVPYALPKLDMIAIPDFAAGAMENYGLVTYRETALLYDEQHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDYLFPEWKIWTQFLDESTDGLRLDGLAESHPIEVEINHAGEIDEIFDAISYRKGASVIRMLQSYLGAECFQRSLASYIKKHACSNAKTEDLWAALEEGSGEPVNKLMNTWTKQKGYPVVSVKVKDQKLEFEQSQFLSSGCHGDGQWIVPVTFCCGSYDKKKSFLLQTKSETHDVKEFFSDSNKSGIAHSWIKLNVDQTGFYRVKYDEELAARVRYAIENKYLTATDRFGILDDSFALCMARQLPLTSLLTLMGAYREELEYTVLSNLISITYKIGRIAADAKPELMDDIKQFFVNLFQYSAEKLGWDAKQGESHLDAMLRGEILTALAMLGHEETLTEAMRRFHAFLNDRNSPLLPPDIRKAAYVAVMQQVNSSDRAGFESLLRVYRETDLSQEKTRILGSDKSLPL >EOY30584 pep chromosome:Theobroma_cacao_20110822:9:5081134:5085963:1 gene:TCM_037741 transcript:EOY30584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyl transpeptidase 4 MGRQNMEAPLLDSDHLDLLAANEKRGNKKWNRALCFIFVLLTFSIVGLIFRDNWSYLVVKGGYKYDERIQVRGPDSVESEQGVVAADDGRCSEIGVLMLKKGGHAVDAAVAIALCVGVVNPMSSGIGGGAFMIVRSSSTSQTQAFDSRETAPLAASQDMYMNDIKAKYRGALSMGVPGEIAGLHEAWLRYGRLAWRTLFKPAIKLAKEGFVVAPYLGLCIASNGRMIMNDPGLRRVFAPKGKLLQAGEKCYNVELAQSLEAVAEQGPDALYNGTIGEKLVKDVRQAGGILTMEDLRNYKVEVTDAMVANVMDYTIYGMPPPSSGTLGLSLVMNILGSYGSADAAKGDLGLHRLIEALKHMFAERMNLGDPDFVDITKYTSEMLSVSYAKQIQQKILDNTTFPADYYMYRWSQLRDHGTSHFCVVDAERNAVSMTTTVNYPFGAGVLSPSTGIVVNNEMGDFSAPTEISPDMLPPAPANFIRPKKRPLSSMTPLIITKNNQLAGVIGGSGGMSIIPAVIQVFLNHFFLGTEPLAAVQHPRIYHKLIPNVVSYENWTVIDGDHIELAEETKTFLAEKGHQLQAKSSGAIVQFVVQTLQNPIEMGRKYGKGSNIYHGTLTAVSDPRKDGKPAAL >EOY33902 pep chromosome:Theobroma_cacao_20110822:9:39295273:39297541:1 gene:TCM_041740 transcript:EOY33902 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MAKDQITTIFRFHYFCCIIAVLSAFSFISIFFWCHFSSNCYSINQIMMQNPKEDIDLLTFPSAWNHLSFPSDPPPKLLKIALFVKKWPHKSHAGGLERHALTLHLALAKRGHELHIFTASSPNSSFPRYPISNLIFHLSKPTGAGYLEQAVVWKQFQTQNSTRIPFDVIHTESVGLLHTRAKNLTNLAVTWHGIAYETIHSDIIQELLWTPEEQQAYVLTERAKKVVEEVRFFPRYSHHVATSDHAGDVLKRIYMIPEERVHIILNGVDEEIFKPDFSQGNDFKGKFGISKSRSLILGMAGRLVKDKGHPLIFEALKQIFMENEKFRETVIVLVAGDGPWGARYRDLGANIFVLGPLEQAQLAKFYNAIDIFMNPTLRAQGLDHTLLEAILTGKPVIATRLASITGSVIVGPEMGYTFSPTVASLKKALYRVWNDGREVLEKKGKVARQRGLQLFTATKMAAAYERLFLCILKEEYCKYQNPFN >EOY32489 pep chromosome:Theobroma_cacao_20110822:9:30247625:30249347:1 gene:TCM_040436 transcript:EOY32489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELTVLAEGDGLVGATVGMLVHESVHASAGTNPTKLEVHPLVRHMRHSDTVASIGKIISLASEEVVDIGENDEVSDDDSISVMLLDHVQCLHIKLSMPWLELPLLASFIYAKCTRSERMGLWNCLRSLVVDIHMPWIVGGDCNTILHSGERLNGVMPHGGCMEGFAAALLDCGLMDGGYEGNPFTWTNTRMFQQLDRMAYNHQWVDYFSSTRI >EOY31886 pep chromosome:Theobroma_cacao_20110822:9:16999026:17000905:-1 gene:TCM_039213 transcript:EOY31886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQEELDQFTRNHVWSLEMQGEFEMSMMEELKYFLGLQIKQSEEGTFVNQERYTHDMLKKFDMLKLKSIFTLMSTSTKLDIDEKGKDVDQKLYRGMIGSLLYLTASRPDIQFCVCLCARFQSQPKESHLTAVKRILDTS >EOY29598 pep chromosome:Theobroma_cacao_20110822:9:1707590:1710142:1 gene:TCM_037096 transcript:EOY29598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRRAGTCLRLCLVIFAVVSALGVCGPALYWRFKKTLRLGDSKSSCPPCICDCPPPLSLLKIAPGLANLSVTDCGSSDPDLKQEMEKQFVDLLTEELKLQEAVTAEHARHVNITFGEAKRVASQYQREAEKCIAATETCEGARERAEALLIRERKVTTLWEQRARQMGWEGE >EOY33393 pep chromosome:Theobroma_cacao_20110822:9:37288767:37295042:-1 gene:TCM_041370 transcript:EOY33393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein, putative MALRSVITALFFLLALARIELSACHVLEGKISCLDCTRDYDFSGIKVQVKCAQVKKLATANTQENGSFKVELPSDTSKTPRAETCLAKLLGGPDQLYAWKRNLVSKVVKAHELNSYTISTPLAFSTTCPLASRDAKCGAPTEIGSSKTVNLPLPPEWGLAPSSYYVPFIPIIGIP >EOY31141 pep chromosome:Theobroma_cacao_20110822:9:7209818:7217651:1 gene:TCM_038133 transcript:EOY31141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein isoform 1 MDSTKKEGLPLIDPSKTELRHETAVASAMSNKGAHAALGYMVSAVLLILFNKAVLSSYSFPYANVITLFQMLCSCAFLYAMKRWKIISFTEGEPQSITGNPVTLVPLNTLFHTLPLAVSYLLYMLITMESVRGINVPMYTTLRRTTVAFTMIMEYLLTGRKHSSYVVGSVGIIILGAFVAGARDLSFDAYSYSIVFIANICTAVYLASIARIGKSSGLNSFGLMWCNGIICAPILLFWTSFSGDLEAMMSFPYLYSKGFQVVMFLSCIMAFLINYFVFLNTTLNSALTQTICGNLKDLFTIGLGWLLFGGLPFDLMNVVGQSLGFLGSGLYAYCKIRGK >EOY31142 pep chromosome:Theobroma_cacao_20110822:9:7209796:7217143:1 gene:TCM_038133 transcript:EOY31142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein isoform 1 MDSTKKEGLPLIDPSKTELRHETAVASAMSNKGAHAALGYMVSAVLLILFNKAVLSSYSFPYANVITLFQMLCSCAFLYAMKRWKIISFTEGEPQSITGNPVTLVPLNTLFHTLPLAVSYLLYMLITMESVRGINVPMYTTLRRTTVAFTMIMEYLLTGRKHSSYVVGSVGIIILGAFVAGARDLSFDAYSYSIVFIANICTAVYLASIARIGKSSGLNSFGLMWCNGIICAPILLFWTSFSGDLEAMMSFPYLYSKGFQVVMFLSCIMAFLINYFVFLNTTLNSALTQTICGNLKDLFTIGLGWLLFGGLPFDLMNVVGQSLGFLGSGLYAYCKIRGK >EOY33503 pep chromosome:Theobroma_cacao_20110822:9:37868564:37869633:1 gene:TCM_041471 transcript:EOY33503 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P2B, putative MKVIAAYLLAVLGGNTCPSADDLKDILGSVGAEADDDKIELLLSEVKGKDITELIAAGREKLASVPSGGGAVAVAAPAAGGAAAAPAAEAKKEEKVEEKEESDDDMGFSLFD >EOY31521 pep chromosome:Theobroma_cacao_20110822:9:9265142:9265484:1 gene:TCM_038441 transcript:EOY31521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSRVRHQNYIISSSCPQVSFIIFFVWFLYKIVVLQYASPKAVKRSLKSKQMNLQEGYTSSFKDCPFKTLTFTHFPAKGVLAYHVTCP >EOY30313 pep chromosome:Theobroma_cacao_20110822:9:4200081:4205952:-1 gene:TCM_037570 transcript:EOY30313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 2 MSFLFGKRKTPAELLRENKRMLDKSIREIERERQGLQTQEKKLIAEIKKSAKQGQMGAVKVMAKDLIRTRHQIEKFYKLKSQLQGVSLRIQVLKMLLRVRTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMQEFERQNEKMEMMTEVMGDAIDDALEGDEEEEETEELVNQVLDEIGIDINQELVNAPSAAVAAPAAKGKVAQAETTGNDDSGIDSELQARLDNLRRM >EOY29482 pep chromosome:Theobroma_cacao_20110822:9:1305743:1307447:-1 gene:TCM_037000 transcript:EOY29482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAAPSSYYQNELKLAAILIFYVAFLPSESAALGFPSYKTGGVHEREKLQVGSRPPACLNKCSSCRPCMATLVIQPPQRKTYTTSRPDNHDIYYLLSWRCKCGNKLYRP >EOY29280 pep chromosome:Theobroma_cacao_20110822:9:631841:634033:-1 gene:TCM_036870 transcript:EOY29280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MKHQKEISSMATAKIFPNSFAFLLSASLVSLLLLSYAFQGAKSRMEYSSEDLQHAHHVLHVSSLLPSALCNSSTQALHQKKSSLQVVHRHGPCSQLHQDKATKTPRNAETLFQDQARVRYIRSRLAKNSAGSSDVKETDAANLPAKDGSVVGSGDYVVTVGLGSPKKQLSLIFDTGSDITWTQCQPCDVYCYDQMETIFDPSKSSTYSNISCDSAVCNSLLSATGNSLDCSLSACVYGIQYGDSSSSVGLFAKERLTLTSTDVFDGILFGCGQNNQGTFAGAAGLLGLGRDNLSLPSQTARKYNKFFSYCLPSSPSLTGFLTFGKDSGKGSSKSVKFTPLSTAAGLQDSSFYGLDITGISVGGRRLSIRASVFTAAGAIIDSGTVITRLPPTAYAALRSAFRQRMSQYPMTDALSILDTCYDFSNYKSVAVPKISLFFSGNVEVKITPVGTMYSETVSQVCLAFAPNDDDGEVAIFGNTQQKTVQVVHDGAGRRIGFSAGGCR >EOY32100 pep chromosome:Theobroma_cacao_20110822:9:20902514:20903261:-1 gene:TCM_039593 transcript:EOY32100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLALLCILVGLLIFARVGTTDAVAMKTWPSAGEQGPTKGGLNRYFLTTWRKNITSEGKRVVPTGPNPLHNR >EOY32527 pep chromosome:Theobroma_cacao_20110822:9:30895821:30913589:1 gene:TCM_040504 transcript:EOY32527 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein MLFILSDGVPKLRQERMSIKETEFAKQKEHARSKRGISNINNSEICRLLDGGYRNLSVFKFDCDLSRFHVWRYGVFSFFDAFYNSYSFLHLFRHLVSDSFANFSSKGVVHTVFGNVHAGVEKTSYSAFFIICKSLLVGGLNLSKMDQGKSIEDQFSKLHPCLPTNTSIGIIGAGPSGISAAYALIKLGYNNVTILEKYHTVGGMCESVEIQGKIYDLGGQVLAANSAPVIFHLAKEIGSELEEMDSHKLAHIDSSTGKYQDIKVADDYVSMISLTLELQDKAKASNRIGVNAVSDLAADLTPTYLEGHGFKSVPKSVAYGYTASGYGFVQDMPYAYVHEFTRTSMAGKIRRFKGGYTSFWEKISKSLPVKVICNAEVLAVRRNALGVSIDVKNVNGDSDVMEFDKLIVSGAFPFKNGKTYRSPLSHPAENETGVMDLNDLEKELFSKVMTIDYYTTALKIKGLEDMPVGFYYFGEYMDDPATIGQPVAMQRFFADADIFLFWSYGNSLNIRGATVCELAIKAVETMGGEVEQVVLQRRFKYFPHVSSQDMKNGFYERIESELQGKRNTYYVGGLMAFELTERNSSYAMALVCKHFANNNSPPVLPYVKSLFPLKSDCGNRNPKELGEDPGVQFPDLSTLDGYLKHWGTHEVIQSKTLYTWINEEGAAFGERTYAELHANAFSIAHKILTSRKPAIKPGDRVLLVYVPGLDFIDAFFGCLRAKVLPVPVLPPDPLQRGGQALLKIENIAKSCGAVAILSTIVYHSAVRAGMVKNLLSLTGKNGKSSANWPNLPWLHTDSWIKNFKKVLLNDIADQPEPQPNDICFLQFTSGSTGDAKGVMITHSGLIHNVKLMRKIYKSTSKTVLVSWLPQYHDMGLIGGLFTAMVSGGSAILFSPMTFIRNPLMWLQIMSKYQATHSAGPNFAFELVVRRLEFEDKVWNYDLSSLIFLMVAAEPLRQRTLGRFVELTHPFGLSQEVMAPGYGLAENCVFVSCAYGEGKPILVDWQGRVCCGYVDPGNQDVEIRIVDPETGVELEEVGKEGEIWISSPSAGIGYWGREEYSHQTFRNELKNRTGRKYTRTGDLGRIIDGKLFITGRIKDIIIVAGRNIYSADVEKTVESSSELLRPGCCAVIGVPEEVLSEKGISVPDGSDNVGLVVIAEVRDGKPVDKDIIEQIKTRVTEEHGVNVAAIKLIKPKTISKTTSGKIKRFECLKQFTEGSLNIVQEPTFSKRTLVRSFTTGTCKEGRTPRQLLSSPLPSPRLRNKDIVEFLKGLISELTGIPTKNISATESLASYGIDSIGVVRAAQKLSDYLGVPVGAVDIFTATCIVDLANFSENLIAKSKPELMTTSSLLPEPDLDSDEYLVEVSIYRQVGFWCLQFLALIVVSIMLSVPAYLSVSAFMTFTSVSHTTTGGIHWSTYLIYLAIAPLVWILCMALTCICIAVFGNPFLRPNYALSHDISIWSIDFVKWWALYKVQQISSKVFAEHLRGTVFLNYWFEMLGARIGSSVLLDTVDITDPSLVSIGDGAVVAEGALIQSHEVKNGILSFHSIRIGRNSTIGPYTVIQKGSVLGVGAEILPLQKSEGGTPIIRSAKANNAQKSTGLSNATPNKTMSHFMGIYLVGFLSGFSAAILYFLCVWLSKTPPSAEHFAFVCISGAVHWIPLAVIAYVTMFASITLNPASFAISVAVAYLAHGIILSFLTCALTHLLTERQQSKQSHVKVFLGHRITIACHLRFAKLLSGTEAFCMYLRLLGAKVGQHCSIRAINPISDPELVKIGDGVHLGDFSRIVTGFYTCNGFIRKKVEVQDNSVIGSQSLILPGSLVEKDVILGALSVAPENTVLQRGGVYVGSQTPTMVKNTKHALDDRIEEMDMKYKKIVGNLAASLASTTLKVKSRYFHRIGVGGNGYLKVYDKIEGFPDHKILHPGKCYGVVVRHSNSLSADDDARIDARGAAVRILAENNTPLLDLTLKTGKAFYARTISDFATWLVCGLAAREEHVKRVPHVRNAVWMSLCQANSYTELHYYSNFVRLLRFADGEESYVKFKLRPYDESISEDAGKVEPTGILPPETGAIPRDDKDTRPLLFLAEDFQHRISSGGVRYIFQLQVRPVPQDEATRDIALDCTKPWDETEFPYINVGEIYIEQNLTKEEAEALEFNPFLRCHEVDVIRASTSSQSASIDHGRSLIYEICQRLRNKEPLPEAWKIFLEQSDVKVDLSGCPMAAALEKKETGKVTLERTWYQTSWAIFAQPLLQTVLPYFLLGLAVFAPLSSVLYMKESKKFPLHWLLPLLWVSSGLIAALTCVVAKWILVGKKNEGETVQIWSKGVFMDTIWQAFRTLVGEYFMEMTSGSILFVLWMKLMGSDIELDQGVYVDSMGASLNPEMVEIERGGCVGREAHLFGHIYEGEGGKVKFGKIRIGEGGFIGSRAVVMPGVRVESGGSLCSLSLAMKEEIIKSR >EOY29489 pep chromosome:Theobroma_cacao_20110822:9:1334331:1335339:1 gene:TCM_037007 transcript:EOY29489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MVQTRKFRGVRQRQWGSWVSEIRHPLLKRRVWLGTFETAEAAARAYDQAAILMNGQNAKTNFPVAKTDQPGDTNACDDDSPLPPKALSELLNAKLRKCCKDQSPSLTCLRLDTDNSHIGVWQKRAGTRSSSSWVMRVELGNKKAPALLEDGSALTSGSGSSSPMADEIEAGSVMGEEDRIAMQMIEELLNWNCPMTSSSGGV >EOY31891 pep chromosome:Theobroma_cacao_20110822:9:17066173:17067101:1 gene:TCM_039219 transcript:EOY31891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid inducible 33 MNSFESQRQDSLKRRLQDRRFPNINTTGFVGAVAAAPIPSHHSSAPFLPNPNNGTKVQSFPGLDDDDLVSSVVPPVTVVLEGRSICQRISLHNHASYHSLAKALRQMFVEGNDDGPTSENELDLSNAVPGHLVAYEDMENDLLLAGDLNWKDFVRVAKRIRILPAKGNSRKIRGGA >EOY34525 pep chromosome:Theobroma_cacao_20110822:9:41367408:41370344:1 gene:TCM_042170 transcript:EOY34525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran-binding protein 1 b MASKQPDHEHREDEDAPAAEDEDTGAQVVPIVKLEEVAVSTGEENEDPILDLKSKLYRFDKDGSQWKERGAGTVKLLKHKETGKVRLVMRQSKTLKICANHLVLPSMTVQEHAGNDKSCLWHASDFADGELKDELFCIRFASVEHCKTFMEMFQEVAESQKPKEENKDASAAAGLLEKLSVEEKKTEDKAGEEKPAAAKEENETKKDEEKADTEKKDGESDSST >EOY33437 pep chromosome:Theobroma_cacao_20110822:9:37484271:37488840:-1 gene:TCM_041410 transcript:EOY33437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITSHCPDAWCCAVSGMVTHALPAAEHLKASIGWFASKVIPFGTSIKNWSSYKVIAKSRETVLIFADNLHL >EOY32907 pep chromosome:Theobroma_cacao_20110822:9:34408434:34409230:1 gene:TCM_040933 transcript:EOY32907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDQKLIIVSFSFTFMCNMFNKFDNSLASARVQSKRKIFLLETQNILLNTEIKGHRNVGSQCIEACWDDYEE >EOY31301 pep chromosome:Theobroma_cacao_20110822:9:8048235:8053319:1 gene:TCM_038259 transcript:EOY31301 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MGSSSSRLGSNPSRGRLNHRPKWCSRLSSLFICGGSSSQAPLEMEDYPAEILVKSAEHCGPVGNMVQSPLEESTSICSMETRFSSTGTETGISAESSSAASGDPSIEGGSRDLETSNCRKCLIESTELVAPQVSADYSCGESHRDSSTSASTSFKEQQSSDPISVNLSTNEDAVSGFENANKGASQIHPEPSILSPQGLGDSHLHGIPVENQLSEVTTVHNSDPDPAPHASEPVTFHSPGGESIREAIPSGLGFLVSNREPGRGDGSVLHVDVVSISSNILSGGSADTSNREARRNSRRLFWDAFSRRSSRRLNDSSSIFLSTDDSDDTGFHDRWLLDFSGDFFYDGAGGDSGYLSSRIHSLNERRRHSRSEIWERLRGGHDENSRRTTFCPSGLHPDGTCSCDSLLMTDESSARASISRIVMLAEALFEVLDEIHRQPVSLSLSMVSLPAPESVVDSFPLRSHKKLDAAEGGDAVEQCYICLAEYEEEDKIRALPCQHEFHMSCVDKWLKEIHGVCPLCRGDVRQGVESSVSNSEIPSL >EOY31302 pep chromosome:Theobroma_cacao_20110822:9:8050025:8052762:1 gene:TCM_038259 transcript:EOY31302 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein isoform 1 MEDYPAEILVKSAEHCGPVGNMVQSPLEESTSICSMETRFSSTGTETGISAESSSAASGDPSIEGGSRDLETSNCRKCLIESTELVAPQVSADYSCGESHRDSSTSASTSFKEQQSSDPISVNLSTNEDAVSGFENANKGASQIHPEPSILSPQGLGDSHLHGIPVENQLSEVTTVHNSDPDPAPHASEPVTFHSPGGESIREAIPSGLGFLVSNREPGRGDGSVLHVDVVSISSNILSGGSADTSNREARRNSRRLFWDAFSRRSSRRLNDSSSIFLSTDDSDDTGFHDRWLLDFSGDFFYDGAGGDSGYLSSRIHSLNERRRHSRSEIWERLRGGHDENSRRTTFCPSGLHPDGTCSCDSLLMTDESSARASISRIVMLAEALFEVLDEIHRQPVSLSLSMVSLPAPESVVDSFPLRSHKKLDAAEGGDAVEQCYICLAEYEEEDKIRALPCQHEFHMSCVDKWLKEI >EOY34205 pep chromosome:Theobroma_cacao_20110822:9:40280746:40282012:-1 gene:TCM_041949 transcript:EOY34205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitinase 1, putative MEPYKLFLTLLILQALLICHISTLCVANSKLFREYIGAEFKDVKFSDVPINPNVDFHFILSFAIDYDSLSSPSPTNGKFNVFWDSNNLSPSHVSSIKSKHPNVKVALSLGGYSVGSEFAYFKPSSVKSWVSNAVASLTSIIKEYDLDGIDIDYEHFQADPETFAESIGLLIKTLKRNKVISFASIAPYADDEVQRQYLALWRRYGNIIDYVNFQFYAYDRGTTIPQFLSYFNTQSSNYIGGKVLVSFQSDVSRGLTPKDGFFTACKMLKSQKRLHGIFVWSADDSKANGFIYEKQSQEILAAN >EOY32119 pep chromosome:Theobroma_cacao_20110822:9:21380481:21383245:-1 gene:TCM_039627 transcript:EOY32119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein isoform 2 MLSSREILNFFVNKDVRKLLKRKGSDANETGRALEELRASLFNDFCSSENAKRLQQRLCTPSVALTFNFVIAIGIIFMNKWVLKNAGFQFPVLLSFIHYVVTLALIAILNALSLIPPSPPLWIIPSAISKILWCSLQQRENWTALALMWKTTPISLLCLLIMIPLLDPPGAFSYNWNFINTSMILISGVFGFLLQWSAALALGATSAISHVVLGQFKTCVLLLGNYYIFSSNPGTTSICGALVAISGMSFYTYLNLQNGKLKSEKGSPRKDEHQENKDSRDAFNGESI >EOY32118 pep chromosome:Theobroma_cacao_20110822:9:21380425:21384235:-1 gene:TCM_039627 transcript:EOY32118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein isoform 2 MLSSREILNFFVNKDVRKLLKRKGSDANETGRALEELRASLFNDFCSSENAKRLQQRLCTPSVALTFNFVIAIGIIFMNKWVLKNAGFQFPVLLSFIHYVVTLALIAILNALSLIPPSPPLKSAPLFTLGLVMSLCTGLANVSLKYNSVGFYQMAKIAVTPTIVLAEYIWYKKKVSFPKVVALAVVSIGVAVATVTDLQFNLFGASVALSWIIPSAISKILWCSLQQRENWTALALMWKTTPISLLCLLIMIPLLDPPGAFSYNWNFINTSMILISGVFGFLLQWSAALALGATSAISHVVLGQFKTCVLLLGNYYIFSSNPGTTSICGALVAISGMSFYTYLNLQNGKLKSEKGSPRKDEHQENKDSRDAFNGESI >EOY32231 pep chromosome:Theobroma_cacao_20110822:9:23668188:23668872:-1 gene:TCM_039845 transcript:EOY32231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKLPLIKDIASTFIYEFIKVKVSQRGFRKDQCASWKNRNKIDSNKNEELICYECKKPGHFKSECPLLKDETPKKNKKSKKAMVAAAWSDSDTSSSEIDDEKSEERANICLMAQKDETEVSSSPYINSYDDLQDEYECLYDEFEKLFSKYKSLKKKAALLENDLEQIKQEFTFVFE >EOY29657 pep chromosome:Theobroma_cacao_20110822:9:1963300:1966826:1 gene:TCM_037137 transcript:EOY29657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-binding monooxygenase family protein MDCLREIEGKQAHDPMFIEKMNKSSKPRCIMVPGPVIVGAGPSGLATAACLKEKGVPSVVLERSNCIASLWQLKTYNRLRLHLPKQFCELPLMGFPSDFPTYPTKQQFVDYLEAYARRFDIRPRFNETVSQAEYDDKLKFWRVRSSDLKGKKVEYVCWWLVVATGENAEAMVPAIEGRAEFDGDIRHTSSYKSGEEFRGKKVLVVGCGNSGMEVCLDLCNHNARPSLVVRDTVHVLPREMLGRSTFGLSMWLLRWLPMRLVDRFLLIVSWLMLGDTARFGLDRPRLGPLELKNLSGKTPVLDVGTLAKIKSGDIKVCPSIKRLKRYAVEFENGKTENFDAIILATGYKSNVPSWLKETNMFSEKDGYPRKPFPNGWKGECGLYAVGFTKRGLLGTSMDAKRIAEDIERCWKAEAKQGQR >EOY29604 pep chromosome:Theobroma_cacao_20110822:9:1734778:1738786:-1 gene:TCM_037100 transcript:EOY29604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MLSALIHAPTLSFLCSSPQPKLGSCRSSMAYSSLVRIAVVGDVHDDWDLVEDTKALQFLKPDLVLFTGRFLISHCISTPMSAMCGDFGNENVELVQNVAALNFPKAVILGNHDSWNTQQFSGKRKDRVQLQLECLGQEHVGYKRLDFPLLKLSIVGGRPFSCGGQQIFRKRLVSARYGVQDMEGSAKRIYEAAVGTPEDHLVILLAHNGPTGLGSELNDICGKDWVFEGGDHGDPDLAQAISHLKETSTFSIPLVVFGHMHKELAYGNGLRKMIVVGTDNIIYLNAAIVPRVKRPINEQQASYRSSIDNETSLHASNSDGTKRAFTLVEILNGQVDKISENWVSVVGNETTLTEEHILFKSNGRSSL >EOY29605 pep chromosome:Theobroma_cacao_20110822:9:1734867:1738756:-1 gene:TCM_037100 transcript:EOY29605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein isoform 1 MLSALIHAPTLSFLCSSPQPKLGSCRSSMAYSSLVRIAVVGDVHDDWDLVEDTKALQFLKPDLVLFTGDFGNENVELVQNVAALNFPKAVILGNHDSWNTQQFSGKRKDRVQLQLECLGQEHVGYKRLDFPLLKLSIVGGRPFSCGGQQIFRKRLVSARYGVQDMEGSAKRIYEAAVGTPEDHLVILLAHNGPTAGLGSELNDICGKDWVFEGGDHGDPDLAQAISHLKETSTFSIPLVVFGHMHKELAYGNGLRKMIVVGTDNIIYLNAAIVPRVKRPINEQQASYRSSIDNETSLHASNSDGTKRAFTLVEILNGQVDKISENWVSVVGNETTLTEEHILFKSNGRSSL >EOY30438 pep chromosome:Theobroma_cacao_20110822:9:4599590:4602453:-1 gene:TCM_037648 transcript:EOY30438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin oxidase MAVDFPITTYFTAIFIISRLMSIIGISKPWNISPKFLTLEIAGKLSVDPSAIESASQDFGHIVKAIPEAVLYPSSAEDIATLVKLSYNSLVPFSIAAKGHGHSVRGQAMANNGVVVDMASMKKHRNGSGIRISSDGCYADIGGEQLWIDVLNATLERGLAPVSWTDYLYLTVGGTLSNAGISGQTFRYGPQISNVYEMDVITGTADFHTCSPEKNSELFYAVLGGLGQFGIITRARIPLEPAPKRVKWVRMLYNDFSAFTRDQELLISINGRKDNNALDYLEGSLMMDQGSPDNWRSSFFPPADHPKIMSLITEHRIIYCLEVVKHYDDQTKSTVDKELQQLLKGLSYTPGLMFEKDVLYAEFLNRVRSGELKLKSQGLWDVPHPWLNLFIPKSQIADFNDGVFKGIVLERSITTGPVLLYPMNRKKWDDRMSAVIPDEEIFYTVGILHSSGFDDWEAFDDQNKEIMQFCDKAGIGVKQYLPHYTAKEEWIQHFGSKWKTFQQSKHQFDPKMILSPGQRIFNNN >EOY32873 pep chromosome:Theobroma_cacao_20110822:9:34192559:34196800:-1 gene:TCM_040896 transcript:EOY32873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonoid 3',5'-hydroxylase 2 isoform 2 MALDTLLLRELTAAAILFFITRYFIRSLLRKSTKTLPPGPKGWPAVGALPLLGSMPHVTLAKLAKKYGPVMYLKMGTCSMVVASTPDAARAFLKTLDLNFSNRPANAGATHLAYNSQDMVFADYGPRWKLLRKLSNLHMLGGKALEDWAQVRTVELGHMLRAMCESSQKGEPVVVPEMLTYAMANMIGQVILSRRVFVTKGSESNEFKDMVVELMTSAGFFNIGDFIPSIAWMDLQGIEREMKKLHKRWDVLLTKMMKEHAATAHERKSKPDFLDIIMDNRENSEGEKLSLTNVKALLLVLVHIFNVPSSNSYLSFHCKNLFTAGTDTSSSIIEWALAEIMKNPTILNKAHDEMDKVIGRNRRLQESDIPRLAYFQAICKETFRKHPSTPLNLPRVSSQACEINGYYIPRNSRLSVNIWAIGRDPDVWDNPLDFNPERFMSGKNAKIDPRGNDFELIPFGAGRRICAGTRMGIVLVEYILGTLVHSFEWILPNGGELNMDEAFGLALQKAVPLSAMVRPRLARSAYVS >EOY32874 pep chromosome:Theobroma_cacao_20110822:9:34192552:34194488:-1 gene:TCM_040896 transcript:EOY32874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonoid 3',5'-hydroxylase 2 isoform 2 MPHVTLAKLAKKYGPVMYLKMGTCSMVVASTPDAARAFLKTLDLNFSNRPANAGATHLAYNSQDMVFADYGPRWKLLRKLSNLHMLGGKALEDWAQVRTVELGHMLRAMCESSQKGEPVVVPEMLTYAMANMIGQVILSRRVFVTKGSESNEFKDMVVELMTSAGFFNIGDFIPSIAWMDLQGIEREMKKLHKRWDVLLTKMMKEHAATAHERKSKPDFLDIIMDNRENSEGEKLSLTNVKALLLNLFTAGTDTSSSIIEWALAEIMKNPTILNKAHDEMDKVIGRNRRLQESDIPRLAYFQAICKETFRKHPSTPLNLPRVSSQACEINGYYIPRNSRLSVNIWAIGRDPDVWDNPLDFNPERFMSGKNAKIDPRGNDFELIPFGAGRRICAGTRMGIVLVEYILGTLVHSFEWILPNGGELNMDEAFGLALQKAVPLSAMVRPRLARSAYVS >EOY33842 pep chromosome:Theobroma_cacao_20110822:9:39145613:39146681:-1 gene:TCM_041697 transcript:EOY33842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWRVRANSGQCQAGKTRPLSARLLDLMASGIKSGGWGLVGLDFVVPVAPYVAVEPYVAQLLRRYKVFEIKRTRSCYCTGPRLS >EOY30009 pep chromosome:Theobroma_cacao_20110822:9:3050500:3051500:-1 gene:TCM_037364 transcript:EOY30009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVHTANLKRAWREKEKAARDLMETHLGIANHRSFVIYYQSKSSQKQSGPRQVAGRAG >EOY33693 pep chromosome:Theobroma_cacao_20110822:9:38649453:38653611:-1 gene:TCM_041596 transcript:EOY33693 gene_biotype:protein_coding transcript_biotype:protein_coding description:20G-Fe(II) oxidoreductase, putative MDTGSYPPLFSQRNNPNQNVDLDESIQDVEGLDPIPHIDLQYLDLDKLGEACKDWGLFRLVNHGIPSELLLRIQDQARELFALSFEYKQAILRSPLSYFWGTTARTTSGGILRSSKSISWVEVINFPLSQLPQFQVEDSLLDSFSQAWGVGVHTDSSILSILNQDQLGGLEAFRENRWFPIKPIANTLIINLGDMMQAISNDKYVSVKHRVRVNKQVDRISINYFVFPELDCTIQSSNYKPFTYKDFHAQGQTDIETLGYKVGLEGFKRNRDI >EOY29614 pep chromosome:Theobroma_cacao_20110822:9:1755306:1758206:1 gene:TCM_037107 transcript:EOY29614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 34, putative isoform 2 MASKPMFLLLLLLFLPFKLQCSSPQTWIKAGYWDSSGELPASDINSALFTHLFCAFAYVNSTSYQLLINSSKEQPFSEFTNTVKLKNPSITTLLSIRVGKSESTTFSLMINQTSNRKSFIKSSVRTARLYGFHGLDLVGVMPRNSTNMTSLGTFLDEWRAEVDSESRNSGKTQLLLTMSFGRVPTVNSVSYPIDSAKRNLDWVNIIAYDYYVPTVDRFTGVHAALYDPFGGANTDAGIREWLQRGFSADKLVLGLPYHGFGWTLVNSGENDIGSPASGPAVTIDGSMGYKLIKSFIQNYGYGAESVYNSTYVVSFCKIGSNWINFDDVEAIKAKVSYAKAKGLLGYNVFQLSNDENWLLSQAAYGIGTSQREKQQQLLVIVLVTVAAVILLMGTIVCYLQIKIFKSQGILATLKKSVSRMRPKISSDEKQDNSAPNLQVFSFNSIKAATDNFSSENKLGQGGYGPVYKGMLPKGQQIAVKRLSKTSNQGLEEFKNEVTLTARLQHVNLVRVMGICTEREEKMLIYDFMPNKSLDFYLFDPFNRDLLDWRRRVFIIEGVTQGLLYLQEYSNFTIIHRDIKASNILLDDDMNPKISDFGVARLFRKDELEANTSRIVGT >EOY29613 pep chromosome:Theobroma_cacao_20110822:9:1754711:1759484:1 gene:TCM_037107 transcript:EOY29613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 34, putative isoform 2 MASKPMFLLLLLLFLPFKLQCSSPQTWIKAGYWDSSGELPASDINSALFTHLFCAFAYVNSTSYQLLINSSKEQPFSEFTNTVKLKNPSITTLLSIRVGKSESTTFSLMINQTSNRKSFIKSSVRTARLYGFHGLDLVGVMPRNSTNMTSLGTFLDEWRAEVDSESRNSGKTQLLLTMSFGRVPTVNSVSYPIDSAKRNLDWVNIIAYDYYVPTVDRFTGVHAALYDPFGGANTDAGIREWLQRGFSADKLVLGLPYHGFGWTLVNSGENDIGSPASGPAVTIDGSMGYKLIKSFIQNYGYGAESVYNSTYVVSFCKIGSNWINFDDVEAIKAKVSYAKAKGLLGYNVFQLSNDENWLLSQAAYGIGTSQREKQQQLLVIVLVTVAAVILLMGTIVCYLQIKIFKSQGILATLKKSVSRMRPKISSDEKQDNSAPNLQVFSFNSIKAATDNFSSENKLGQGGYGPVYKGMLPKGQQIAVKRLSKTSNQGLEEFKNEVTLTARLQHVNLVRVMGICTEREEKMLIYDFMPNKSLDFYLFDPFNRDLLDWRRRVFIIEGVTQGLLYLQEYSNFTIIHRDIKASNILLDDDMNPKISDFGVARLFRKDELEANTSRIVGTYGYVPPEYVKKGTYSMKYDVYSFGVLLLQIMSGKRNSSLYGCNENLNLLEYAYELWKQGRGAEFFDASLDDSSSTCKLMRCLQVALLCVQESPADRPSMVEVFTSLKNETVAICIPKQPAFSVIRDGKEGSKEIARDKVFSVNDATITQVGPR >EOY29615 pep chromosome:Theobroma_cacao_20110822:9:1754788:1759539:1 gene:TCM_037107 transcript:EOY29615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 34, putative isoform 2 MASKPMFLLLLLLFLPFKLQCSSPQTWIKAGYWDSSGELPASDINSALFTHLFCAFAYVNSTSYQLLINSSKEQPFSEFTNTVKLKNPSITTLLSIRVGKSESTTFSLMINQTSNRKSFIKSSVRTARLYGFHGLDLVGVMPRNSTNMTSLGTFLDEWRAEVDSESRNSGKTQLLLTMSFGRVPTVNSVSYPIDSAKRNLDWVNIIAYDYYVPTVDRFTGVHAALYDPFGGANTDAGIREWLQRGFSADKLVLGLPYHGFGWTLVNSGENDIGSPASGPAVTIDGSMGYKLIKSFIQNYGYGAESVYNSTYVVSFCKIGSNWINFDDVEAIKAKVSYAKAKGLLGYNVFQLSNDENWLLSQAAYGIGTSQREKQQQLLVIVLVTVAAVILLMGTIVCYLQIKIFKSQGILATLKKSVSRMRPKISSDEKQDNSAPNLQVFSFNSIKAATDNFSSENKLGQGGYGPVYKGMLPKGQQIAVKRLSKTSNQGLEEFKNEVTLTARLQHVNLVRVMGICTEREEKMLIYDFMPNKSLDFYLFVAMFLQNMLRKEPTL >EOY30746 pep chromosome:Theobroma_cacao_20110822:9:5660596:5667762:1 gene:TCM_037852 transcript:EOY30746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tesmin/TSO1-like CXC domain-containing protein MGEGEGEGGDFPPKKVQSDTADFPAKKLARQLDFTAGFGGVSSGGVVLPEHPQSTQGIATAAAAAVTQQHQQQQQQQIKPPVIATTPVAATQPPPLTTASSRVVKPESPKAKPRPNELKDGTPKKQKQCNCKHSRCLKLYCECFASGIYCDGCNCVNCYNNVENEAARRDAVEATLERNPNAFRPKIASSPHGARDCREEAGEGLMLGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDHANNMAYIQQAANAAITGAIGSSGYASLPVSKKRKGQELFFGSTAKDPSVHRLGQFPQANHIRASAPSSSLSSMPVSRAGATAAVGPSKFTYRSLLADIIQKQDLRELCSVLVVLSGEAAKTLADQRSLTEKRAEDQTETSLASSTQDRLQSQKDSDAEKTTADDCSSANQADKAGPEDSSSDGADMPKGRPMSPGTLALMCDEQDTMFMAAASPNGIMGHGCSTSSQLPYGQGMTEIYAEQERIVLTKFRDCLNRLITFGDIKETQCSSLARTEIVSQRGPLSNGTEIVRTEAGNRQGSITNGVAKTVSPLTVKTSQMAAAVVTTANNDLPRVPSLPENGDAKTKAEKQM >EOY32441 pep chromosome:Theobroma_cacao_20110822:9:29546030:29556073:-1 gene:TCM_040369 transcript:EOY32441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 12 isoform 1 MSSSRGSDQSQPLRRITRTQTAGNLGETAFDSEVVPSSLSEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLQGRVKKSDAREMQSFYQHYYKKYIQALTNAADKADRAQLTKAYQTANVLFEVLKAVNLTQSIEVDREILEAQDKVAEQTQILVPYNILPLDPESANQAIMQYPEIQAAVYALRNTRGLPWPKDHKRKKDEDILDWLQEMFGFQKDNVANQREHLILLLANVHIRQFPKPDQQPKLDDHALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLKKVVTPIYDVIRREAEISKRGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFSRPIDQLREKNGDNKPSTNDRWMGKVNFVEIRSFWHVFRSFDRMWSFFILSLQ >EOY32440 pep chromosome:Theobroma_cacao_20110822:9:29545911:29556202:-1 gene:TCM_040369 transcript:EOY32440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 12 isoform 1 MSSSRGSDQSQPLRRITRTQTAGNLGETAFDSEVVPSSLSEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLQGRVKKSDAREMQSFYQHYYKKYIQALTNAADKADRAQLTKAYQTANVLFEVLKAVNLTQSIEVDREILEAQDKVAEQTQILVPYNILPLDPESANQAIMQYPEIQAAVYALRNTRGLPWPKDHKRKKDEDILDWLQEMFGFQKDNVANQREHLILLLANVHIRQFPKPDQQPKLDDHALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLKKVVTPIYDVIRREAEISKRGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFSRPIDQLREKNGDNKPSTNDRWMGKVNFVEIRSFWHVFRSFDRMWSFFILSLQSLVLVRP >EOY31604 pep chromosome:Theobroma_cacao_20110822:9:10377392:10378031:1 gene:TCM_038571 transcript:EOY31604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTVLMLKHEMSKISSSFFLFSLISFLSSRPIAALTPIDHLAIESNHEMPNSTESPQRSGLGSTQLPKEHHRVLLWPKELSAPQAKGARSVLIQRSAAKDLTGSSHA >EOY30511 pep chromosome:Theobroma_cacao_20110822:9:4834373:4838571:-1 gene:TCM_037697 transcript:EOY30511 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-MYC downregulated-like 1 MAESNDSIPLDTEKIYMGGKEHHVRTRCGSVSVIVYGDQDKPPLITYPDLALNHMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAPICPSVSAHCVDDLADQILEVLNFFGLGAVMCMGVTAGAYILTLFAMKYRERVLGLILISPLCRAPSWTEWFYNKVMSNLLYFYGMCGLLKEFLLQRYFSKEVRGNAEVPESDIVQACRRLLDERHGSNVMRFLQAINRRPDLSSGLKRLRCRTLIFVGDNSPFHSEALYMTSKLDRRFSALVEVQACGSMVTEEQPHAMLIPMEYFFMGYGLYRPCQLSDSPRSPLSPSCISPELLSPESMGLKLKPIKTRVSLEV >EOY34513 pep chromosome:Theobroma_cacao_20110822:9:41340150:41342254:1 gene:TCM_042165 transcript:EOY34513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MSCSVAVCNSPVFSPSSSLFCNKPSIISPSPDALNLTLTHLKPSSSPASPSPSSPSSPFRLRLQKPPPGSLLSSSSSSALTASSSSGSGSTAGLGPRSVSTILKRKRPARLDIPVATTAMCFGVPTTPCEVTREVEREGDGYTVYCKRGRREAMEDRFSASVKLQGDSKQAFFGVFDGHGGAKAAEFAAQKLERNIIDEFVRRRDNTKEEEAVKEGYLKTDAEFLKEDVTGGTCCVTALIRNGNLVVSNAGDCRAVMSRGGVAEALTSDHRPSREDEKNRIETLGGYVDLCRGVWRIQGCLAVSRGIGDQHLKQWVIAEPETKIITIKPDCEFLILASDGLWDKVSNQEAVDIARPSCVGINKQNPLFACKKLVDLSVSRGSSDDISVILIQLGRYI >EOY34515 pep chromosome:Theobroma_cacao_20110822:9:41340396:41342009:1 gene:TCM_042165 transcript:EOY34515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MSCSVAVCNSPVFSPSSSLFCNKPSIISPSPDALNLTLTHLKPSSSPASPSPSSPSSPFRLRLQKPPPGSLLSSSSSSALTASSSSGSGSTAGLGPRSVSTILKRKRPARLDIPVATTAMCFGVPTTPCEVTREVEREGDGYTVYCKRGRREAMEDRFSASVKLQGDSKQAFFGVFDGHGGAKAAEFAAQKLERNIIDEFVRRRDNTKEEEAVKEGYLKTDAEFLKEDVTGGTCCVTALIRNGNLVVSNAGDCRAVMSRGGVAEALTSDHRPSREDEKNRIETLGGYVDLCRGVWRIQGCLAVSRGIGDQHLKQWVIAEPETKIITIKPDCEFLILASDGLWDKVG >EOY34514 pep chromosome:Theobroma_cacao_20110822:9:41340150:41342254:1 gene:TCM_042165 transcript:EOY34514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein isoform 2 MSCSVAVCNSPVFSPSSSLFCNKPSIISPSPDALNLTLTHLKPSSSPASPSPSSPSSPFRLRLQKPPPGSLLSSSSSSALTASSSSGSGSTAGLGPRSVSTILKRKRPARLDIPVATTAMCFGVPTTPCEVTREVEREGDGYTVYCKRGRREAMEDRFSASVKLQGDSKQAFFGVFDGHGGAKAAEFAAQKLERNIIDEFVRRRDNTKEEEAVKEGYLKTDAEFLKEDVTGGTCCVTALIRNGNLVVSNAGDCRAVMSRGGVAEALTSDHRPSREDEKNRIETLGGYVDLCRGVWRIQGCLAVSRGIGDQHLKQWVIAEPETKIITIKPDCEFLILASDGLWDKILSFFSLFQVSNQEAVDIARPSCVGINKQNPLFACKKLVDLSVSRGSSDDISVILIQLGRYI >EOY30252 pep chromosome:Theobroma_cacao_20110822:9:3945346:3949373:-1 gene:TCM_037525 transcript:EOY30252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MKSREFNQKLNFESGVPNKKFGHFQVEIFGYLVKPRNGLGLQMTLFSFTTRASRVRAASKVFIPHFHIQFHGGPHPQGNKEVKAIQKHEAWFVKVVCTLFVYSQPLDDSCLSYLSKNLTPLIEFEVVKWLNNPALGLKFLEFSRVNFNIAHSFWTYNLLMRSFCHMGLHDSAKLVFDYMRIDGHLPDTTILGFMISSFGRAGEFGMAKKLLADVQSDEVVISIFALNNLLNMMVKQNKLEEAVSLYKENLGSNFYPDAWTFNILIRGLCRVGKVDQAFELFNDMGSFGCFPDIVTYNTIINGLCKVNEVDRGHKLLNQVQSRDDCSPDVVTYTSVISGYCKLGKMDEASALFHEMISSGTVPTVVTFNVLIDGFGKVGDMVSAKSMYEQMASFGCIADVVTFTSLIDGYCRIGDVNQSLQLWNTMKGRDLSPNVYTFAITINALCKENRLHEARGFLRELQCRNIVPKPFIFNPVIDGFCKAGNLDEANLIVAEMEEKQCHPDKVTFTILIIGHCMKGRMFEAISIFNKMLSVGCTPDDVTVNSLISCLLKAGMPSEASRITKMASEDMKLGSSLLENNSPLRINRGVPVAA >EOY29237 pep chromosome:Theobroma_cacao_20110822:9:452276:454357:-1 gene:TCM_036834 transcript:EOY29237 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein MGYSADEFDVIVVGAGVMGSSTAYQLAKRGQKTLLLEQFDFLHHRGSSHGESRTIRATYPEDYYHDMVNESYQMWEQAQSEIGFRVYFKARHVDMGPADAKSLLAVISTCQRKSMPHQVLDRQQVTEKFSGRIDIPEGWIGVSCEHGGVIKPTKAVSMFQMLALKHGAFLWDNTEVNGVTRDGVKGGVIVSTSNGDKFWGKKCVVTAGSWMRKLVKKVSGVELPIQPLETNVCYWRIKEGHEAKYAIESDFPTFASYGKPYMYGTPSLEYPGLIKVAVHGGYPCDPDKRTWGPGVIPSSLKQWIEETFRGSVDSSGPAATQLCVYSMTPDEDFVLDFLGGEFGKDVVIGGGFSGHGFKMAPVIGRILADLVLTGKAEGIELKHFRIARFKEHPGGNVKDFEDQVGLLTSAL >EOY33380 pep chromosome:Theobroma_cacao_20110822:9:37214988:37222395:1 gene:TCM_041356 transcript:EOY33380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum, plasma membrane, vacuole, EF-Hand 1, calcium-binding site, Nicastrin isoform 1 MAASKKSGGPRDMLESMYSVIALVFILVACVELCDAAAVVDVYRLIQYDMSGSPFGSRLASLNHHAASLHFPPGADLSRTVLIIPLRELNITFVREYINQKKPLGGLLFLLPEVFSFENGGNKQIHEKEKMKNVLAELEQLLIHANIPYPVYFAFENDEIETVLADIKKNDAIGQPATATTGGYKLVIPTPEPKKVASPTITNIQGWLAGLKADGEANQLPTIAIVASYDTFGVAPALSVGSDSNGSGIVALLEIARLFSLLYSNPKTRGRYNLLFGLTSGGPYNYNGTQKWLRSFDQRLRESIDYAICLNSIGSWDNELWIHVSKPPENAYIKQIFEGFSNVAEELDLKVGLKHKKINISNPRVAWEHEQFSRLRVTAATLSELSVAPDILEGTGGLFDSRQFVNETAIIRGVKLVAESLAQVASVTFDLLLLLVLGSYLIVLFSFLVITTRGLDDLISLFRRPPSRKVKTA >EOY33379 pep chromosome:Theobroma_cacao_20110822:9:37215033:37222358:1 gene:TCM_041356 transcript:EOY33379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum, plasma membrane, vacuole, EF-Hand 1, calcium-binding site, Nicastrin isoform 1 MAASKKSGGPRDMLESMYSVIALVFILVACVELCDAAAVVDVYRLIQYDMSGSPFGSRLASLNHHAASLHFPPGADLSRTVLIIPLRELNITFVREYINQKKPLGGLLFLLPEVFSFENGGNKQIHEKEKMKNVLAELEQLLIHANIPYPVYFAFENDEIETVLADIKKNDAIGQPATATTGGYKLVIPTPEPKKVASPTITNIQGWLAGLKADGEANQLPTIAIVASYDTFGVAPALSVGSDSNGSGIVALLEIARLFSLLYSNPKTRGRYNLLFGLTSGGPYNYNGTQKWLRSFDQRLRESIDYAICLNSIGSWDNELWIHVSKPPENAYIKQIFEGFSNVAEELDLKVGLKHKKINISNPRVAWEHEQFSRLRVTAATLSELSVAPDILEGTGGLFDSRQFVNETAIIRGVKLVAESLARHIYGHQGKNVQIFADDSSLAVNPAYVRSWLDLLSQTPRVAPFLSKNDPFVMALKKELVDHTDEVNVQHENLEGMFTFYDSTSARLNIYQVASVTFDLLLLLVLGSYLIVLFSFLVITTRGLDDLISLFRRPPSRKVKTA >EOY34540 pep chromosome:Theobroma_cacao_20110822:9:41396245:41397637:1 gene:TCM_042177 transcript:EOY34540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Toxicos en levadura 2, putative MSVSLDSPEQTTTNPFSHLLNSISSYDGNVMLAAVISLLLVILFVLLLHVYAKWFLAQARQRSRSSSMSVSHVFRPDRFHRFHAFTFDTTFRSSPLKGLDSSVISSIPLFVYTMEEYKHNLECVICLSPFEDDDVGRNLPKCGHCFHVECIDMWLQSHSNCPICRAPVLSNDKVADSEVSSLESVGVLLNREVGVIDGNLRLDNLTELSDSNNRPTAAADDDILSASSSSLTLSSSLGCSLKRMLSRNRSERKVFPSSNASEMEV >EOY29443 pep chromosome:Theobroma_cacao_20110822:9:1213268:1213861:1 gene:TCM_036975 transcript:EOY29443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bimodular protein MASKQICALFLVFSLLLHSTFTSACNSCKPKPTPTPPPPPSPACPPPPSSCPKDTLKLGVCADLLGLVNIVVGSPPSSKCCALLSGLADLEAALCLCTAIKASVLGINLNIPVSLSLILSACQKNVPAGFKCE >EOY34708 pep chromosome:Theobroma_cacao_20110822:9:41859056:41861948:-1 gene:TCM_042294 transcript:EOY34708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamate-4-hydroxylase MDFLLLEKTLLGLFVAVVVAITISKLRGKRFKLPPGPFPVPVFGNWLQVGDDLNHRNLTGLAKKFGDIFLLRMGQRNLVVVSSPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRFGWEDEAGRVVEDVKKNPEAATNGIILRRRLQLLMYNNMYRIMFDRRFESEDDPLFVRLKALNGERSRLAQSFEYNYGDFIPILRPFLRGYLKICKEIKERRLKLFKDYFVEERKKVASTKSMNNEGLKCAIDHILDAQQKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQKKLRHELDTVLGPGHQITEPDTYKLPYLQAVIKETLRFRMAIPLLVPHMNLHDAKLGGYDIPAESKILVNAWWLANNPANWKNPDEFRPERFLEEESKVEANGNDFRYLPFGVGRRSCPGIILALPILGITLGRLVQNFELLPPPGQSKLDTTEKGGQFSLHILKHSTIVAKPRKF >EOY32995 pep chromosome:Theobroma_cacao_20110822:9:34808802:34809586:1 gene:TCM_041003 transcript:EOY32995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCNYCHRLVVSFINAKGILPPSLTLPFQAIRPLFTAIIVATNIIANFGTATDAITPLNLPPPRTPTYH >EOY32583 pep chromosome:Theobroma_cacao_20110822:9:31669555:31670932:-1 gene:TCM_040575 transcript:EOY32583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWDFCKCEGQIGYHGKAQHLFFIFNHKSFNKYSKHITSQEMDVIALYSAFVELLATIVCFLDFQLIKDGPRNMLKPVKKFLLLGHLAQSSST >EOY31564 pep chromosome:Theobroma_cacao_20110822:9:9635205:9639248:-1 gene:TCM_038489 transcript:EOY31564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKFTTCTILLAILSVCKQGITSAALANKDMEPFIAPEPYFDDEVGYISPSAVTDDMAALSPEAQDVEDLYERCAMVLGEDCGGEIFDLIFRNDAVILNGNLGIELVSKNCCGKLMSMGPHCHDQLFNLIAQTPEFSTNSSATAPRSSQVWELCSIS >EOY33626 pep chromosome:Theobroma_cacao_20110822:9:38314171:38316193:-1 gene:TCM_041549 transcript:EOY33626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A) binding protein 7, putative MDQLPIPLVSSMETANLYSLYVGDLDATVTEAQLTDFFSGINGFVFAVLCKDNNTGQSLRHGFVDFADLESARVAMATRNNTLMNGKAIRVMWRHDEYKTNEDANLFVKNLDSSIDNMKLQAIFAKYGNILSCKVQVFGNGNSKGYGYVQFETPESAKKAIEELNGHDIEGKKIYVDHFKKKEDRIQSDVGYTNLYVKNLKQSVTEETLQEKFSVFGNITSLVISRDSNGVSKGFGFVNFERPEDAKKAEESMNGAQLESKVLYVAKALKKQERQHMLQRQLQGMSQEEQIQQYKGFNVYVKHINDDVDEDQLKEFFNICGMVKSVKIMRNDNGISRGFGFVCFSKPEEAERAIRILNGKYAGAAY >EOY29726 pep chromosome:Theobroma_cacao_20110822:9:2170288:2173753:1 gene:TCM_037177 transcript:EOY29726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASFGYTLYSKGCIGRARGPWPLASVTDNAGKRGKLRTKLNCVSWEPFRLSLSESGEADKFKMSSCSIKSSSQRLENIDENGPARWLPLSCPPPETPTESMEFLARSWSLSAMELSTALAKTHVASDGLDQKPSVSSVGNETHDASSTASREPLVQQLPSGGSPPISPRESEDFKELVLLRQALHPEFLSNQQLLKYGIYKSIVRGRTMGRWLKDQKERKKQEIRTHNAQLHAAVSVAGVAAAVAALAASSAMLPEMATTCQKTSSKVTTAIASAAALVASHCIEIAEDMGADHDQILTVVNSAINARTNGDIMTLTAGAATALRGAATLRARLQKTTTIALGEEKGERGIESNISYALNFVATGGELLKRTRKGALHWKQVSFYINSNWQVVAKLKSKHMAGTYTKKKKSVISGVHSDIPAWPRREREDNGEQRAYFGIKTADRIIEFECRSKGDKQMWTDGIQHMLNCCNNLAYF >EOY30816 pep chromosome:Theobroma_cacao_20110822:9:5909579:5917164:-1 gene:TCM_037896 transcript:EOY30816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein MKVVQGGKLKEATRPWLYRTRWGRTQYLSLFVWCVRFPLTFFSVDEMRSPPPSYFNQAALWLMARTSNKNIQAKLVLLGDMGTGKTSLVLRFVKGQFFDYQESTIGAAFFTQVLSLNEATIKFDIWDTAGQERYHSLAPMYYRGAAAAVVVYDITSSDSFERAKKWIQELQRQGNPNLIMFLVANKVDLEEKRKVGSEEGEQCAKENGLTFFETSAKTAQNVNELFYEIAKRVAKAAPSRPTGMKLHSRPQESGRRFFCCS >EOY33289 pep chromosome:Theobroma_cacao_20110822:9:36333535:36336792:-1 gene:TCM_041234 transcript:EOY33289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYCRLTSNGYIRDGFINGVNEFIHFASSKSTFMWENKIICACSRCSNNKFLNFDKVTERIFKRGFTSAYTIWSLHGEHDVGQSSRSRDRVESYASNDEHEEYGDLHMKRK >EOY29129 pep chromosome:Theobroma_cacao_20110822:9:181699:184815:1 gene:TCM_036769 transcript:EOY29129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras guanine nucleotide exchange factor K MEPSANCPACDAGHGNETSYLNVPLLLQPSYSRSKSLLCDELRRFRISLKWCALDHSSRLGKFISYLMFVSLTVFVPIISSLSVQVPSSSLATDTTAFNLLVQFPESGLAFLGFFNLCCFFRRYGLRQLLFLDALQQDTTFVRRGYTRELDKAFRYLACILLPSFFVQVAHKIIFFSTVKISLPYVRSGFPLNSIMFVLVLGSWVYRTGVFLLVCVLFRLTCELQILRFEGLHKMFEGSGSGCDAGEIFQEHVRIRKQLSVTSHRYRFFIIASLVVITVSQFAALLMVLASKSEKSFFNSGDLLVCSAVQQSGFFLCLLGAARMTHRAQGMASVATRWHMSIIISSLAGLGQQQQGKLHNPDTDVTPQASISKCNDESDSDSSSDTLIRLSAQHPSNFQTRQALVLYLQHNNRGITLFGYALDRGLLHTLFAFEFSLVMWILRDSMAQWIRRWSTEPEILGSIPSGVGWLLCLEFLIFGNGHGCHAMNWIMEAGRPHSRLAC >EOY31105 pep chromosome:Theobroma_cacao_20110822:9:7071340:7072596:1 gene:TCM_038110 transcript:EOY31105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper binding protein 5, putative MWMAFNNCPHNGKSLNNVVIIHTWLLINSLKASSTTSKKVFTLHYIYSCRGKRKIYKAHTTEAERDRDLGATVRENTSNTERKMARQISIAALFVVLAAVVLQSTYAATYTVGNSTGWRIPPNTDFYDNWTDDTNFVVGDILVFDFTTGIHDVAGVTETAYDACTTTNAIFTETTGPARIRLNTTGEHYFICTFSNHCASGQKLKVEVQNSTTASTPGSSPTTPSGTSSPPSSASSLVAALSLVLMSIALVLLC >EOY32437 pep chromosome:Theobroma_cacao_20110822:9:29349154:29351312:-1 gene:TCM_040357 transcript:EOY32437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVADVILVMTKVTEHKLNGSNYLDWSKTNRVYLRSIDKIDHIINDPPIDNTRQTWMREDTRLFLQIRNSINSEAVQEFGVKKSTCDHSVLYKQSEASIILLLVYVDIVITRNDTVDGKLFEDLEKYRSLVGKLNYLQVTHPDVAYSVSVIGQVPRVIGDLLQDIVFLLEKIWSHGKARIWMYQLLSEIGLKSSLPAKLWRDNQVALHIASNLVFHERTKHIEIDCHFVCEKIQQEFIPTRYVKTKDQLGDIFTKALQGP >EOY31896 pep chromosome:Theobroma_cacao_20110822:9:17276423:17277526:-1 gene:TCM_039234 transcript:EOY31896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIQMSKPKRYKRVRDAKKLENFFFDIEQYIPAVRTKLEEDKVVMAAIYLVRKVMVVFQICLYRVPHQHLDRT >EOY34190 pep chromosome:Theobroma_cacao_20110822:9:40201938:40209881:-1 gene:TCM_041935 transcript:EOY34190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase protein, putative MATYLLFLIIAASAFSTLNADLSIDCGESDIYADDYSMGWVGDENYIQHGEAVVVQSSKSVSQAMTTLRVFTDRKKNCYSIAAGKGSQVLVRASFFYGNYDKKSSPPSFDLLFDGNHWATVETSGDEVVSHEVIYVTKRDTVSVCVAQTSPNMFPFISAIEVRSLDEKMYGHIDSNYALMLRRRVAYGTKEIIRYSDDLYDRIWVPAINGGDFTVLTSDESFIDVSLDDNPPGAVFKNAFAPNSTSISIQLGTNLPATEVPIYMNMYFSEVSVLDSTQRRSFQLYIDGKSTSNPFIPSYGKAGEMYLANFSASSNTNFSLVATSVSTLPPLINALEVFTVSDELTDGTNRDDVEGLASLQNEFDVLGDWGGDPCLPSPYSWDWINCTSASTPRVTALYLGSFGLSGFLPDFSSMTALEIIDLHNNSLIGSIPEFLGTLPNLKQLNLANNELSGLIPSSISKNTKLKLVVTGNPDLCVSGKSCKTASADGDNPTFSSSSGKKKKNNQPVILGTVIPIFVLLWVIGGVFAVLHHKRKSAATTGANAGQAGGANRPNGTPQAGKMQMPMQMAANNMAQNIVNDFRVNIQEQQPAQDQPVDQNDQQNQQGGGY >EOY30168 pep chromosome:Theobroma_cacao_20110822:9:3640010:3640579:1 gene:TCM_037466 transcript:EOY30168 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein MAKGSNKLTKLKSVLKKLNSFNNKQSRPTSSSVVAASDIDDESSANLQPVYVGKSRRRYLISSDIIDNPLFRELVERSGENDTINVSCEVVLFEHLLWMLENADPQPESLEELVEFYAC >EOY31996 pep chromosome:Theobroma_cacao_20110822:9:18830262:18839010:-1 gene:TCM_039391 transcript:EOY31996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQDFVVNTQFGKTVWELYSCNKIDHEFCIQFIVLDRILFNHITVTTRNSHRARDNRRDVPISTHYPECRSKPRKA >EOY34037 pep chromosome:Theobroma_cacao_20110822:9:39757348:39758400:-1 gene:TCM_041839 transcript:EOY34037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSWTTAWEWILQGFAFVRAAVLFTVWLYYELERRERHRLDRKERELEGCNQTTKQASTSSNLGWYHRCLRESRN >EOY33766 pep chromosome:Theobroma_cacao_20110822:9:38917432:38920764:1 gene:TCM_041650 transcript:EOY33766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MVVKVAATACLQWSQPILPQSPPSSQALASAISSPSLPKRCRSDGALIFKSVQRLNRSALFGSHSTNIQRSRSCEFLKSGSRTLRKACSASLDAFSDEEFSKKIQELALRFQLSDDDGDTNSKNTRNSLDSGRETGAVSDSKTDSLKFNDQPSWLERGEEIIPSSIERKANSVDLPLSLRIIKRKLQWQEGFREAGESAYCSMKKAFSSMVFIIRELQSYTLQMRELLFYEDLQGILVRVQKEMHASFVWLFQQVFSHTPTLMVYVMILLANFSVYSMGSNAAIAAAAANPAPGCIVSVVEVQDQKNQKFDSSSIKSFSVTSSSGKTTSIGGNNGGGGKVRPVASGTDGDGCFDGSDQFRTIVPDGASQLSSPGTTGEAQTESTSGQVTREEELSLWNSIVDEASKMQASFGDESLDRETIQRFISPVIAKIEPDGDYEDYFRTELLYQTGLSQEPNNPLLLANYAQFLYLVAHDYDRAEVYFKKAITVEPADAEAYSKYASFLWRVRNDLWAAEETFLDAISADPSNSYYAANYAHFLWNTGGEDTCFPLSSPDTTQEA >EOY33251 pep chromosome:Theobroma_cacao_20110822:9:36129739:36135798:1 gene:TCM_041204 transcript:EOY33251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein family, putative METLAPSPWKTTEASLVKAMDNLSNLLIFEQELLDGFEEDFRLIQRGLARMHLMAQNVIEEKGLEGYGEEVRFLTTQVEAVTDGFISRKQKSKQPSCSNCIKDSFPFFKGRAEIYKLIDDIEIIRASILRLISKGSELGMSLVKARTGTASQNLEQQKRPFSVDDIKEERAVGLEEGIHILVSRLTDGKGNRKVISITGARGVGKTTLAMEVYKSAAVTNHFPSRAWVTLSQDFELKETLQILAKQLWMGKEEGESSVEAEELSVEELSKDALKNGRHLIVLDNVRTLKQWDALQSLFPVESRILITTRDRAVALSTESDHSQRFIYHMRGLSDEEGWELFTAKLPPVPMELEELGRDIVKKCMGSPRAISAAAEILSSTPATLDHWSIVLEQIDKDQASTYHNLSLVADALPSPLKLCLSYCALFDKNYDISVRRLIVLWVAEGLIEKQNGSKKAAEDIAEKYLKQLENQGMIQVVKWKSNGKIKKCRMHHLLRDQWVSKAKKASLVQVPDEAACSCSSSSTGMIRRVADHLDKQDDSFRHIHGNRTTNNALRSYYGDLRSLLSFDFREGPEPGDDIGNFLRRGILGRFLLRLLIIDLEGVFRPKLPKGIGKLKELRYLGLRGTYLEMLPSSIGFLPELQTLDLKNTHISTLPNTIWKMQRLRHLYLSDRYRCRFVAPPSACSPIDLQTLWGAFLDEESPVEGGLNRLINLRKLGLVIRLTLSQQKSLAKWIARLIYLESLRLRSIDESVQPSTLFLRPLSNLKNLSSIYLMGRLNNPLVVQKLPENLTEITLSLSGLLDDPMPNLGKLPNLRVLELLADSFTGTLMVCSTGGFPLLRVLKLWKLQGLEVLVVQIGALAIVKDIEIRYCENLKMIPNGFLHLVHCRELKLKGMTEQFKARVIRYQGKDWYKIAHIPSVFVED >EOY30958 pep chromosome:Theobroma_cacao_20110822:9:6479335:6483329:1 gene:TCM_038000 transcript:EOY30958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEEVLYWLKMLVVSFMVLVVVLKVAVLLWWRPRKIEDHFSRQGIRGPPYHFFIGNVKELVGMMLKASSQPMPFSHNILPRVLSFYHHWKKIYGATFLVWFGPTVRLTVSDPDLIREIFTSKSEFYEKNEAHPLVKQLEGDGLLSLKGEKWAHHRKIITPTFHMENLKLLVPVVAKRVTDMLDKWSAMSRSSGEIEIEVCEWFQTLTEDVITRTAFGSSYEDGKAICGLQAQQMVLAAEAFQKVFIPGYRFLPTKRNISCWKLDREIKKSLMKLIDRRKRNSGNILQDNGPKDLLGLMMQASISSPSITVHDIIEECKSFFFAGKQTTSNLLTWTTVLLAMHPQWQVQAREEVLKVCGSRDTPTKDDVVKLKTMSMILNESLRLYPPTIATIRRAKADVELGGYMIPRGTELLIPILAVHHDQAIWGNDANEFNPARFSEGVARAAKHPVGFIPFGLGVRTCIGQNLAILQAKLALALILQRFSFRLAPTYQHAPTVLMLLYPQHGAPIIFQPLSEPLSVPQR >EOY34666 pep chromosome:Theobroma_cacao_20110822:9:41750697:41753134:1 gene:TCM_042264 transcript:EOY34666 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS3-interacting protein 1 MENKPSVLTQRYEIGRLLGQGTFAKVYYARSIRTNQSVAIKVIDKEKILRGGLINQIKREISVMRIARHPNIVQLYEVMATKTKIYFVLEYCKGGELFNKVSKGRLKENVARKYFIQLIKAVDFCHSRGVYHRDIKPENLLLDDNENLKVSDFGLSALAECKHQDGLLHTTCGTPAYVAPEVINRKGYDGAAADIWSCGVVLYVLLTGYLPFHDSNLMEMYRKIGRAEFRYPSWFPPEARRLVSKMLDPNPTTRISMSKIRGSSWFKKGLNAEQKKPETENGQVSVDMGASGPCGTSNVDAETKQESVQPPNINAFDIISLSAGFDLSGLFEGMSQKRETRFTSRQPASVIISKLEEAARRLRLKVKKKDAGLLKMEGLKEGRKGILSVDAEIFQVTPTFHLVEIKKSNGDTLEYQKILKEEMRPALQDIVWVWQGDQQQPLQQQELQQEEQQQLQQQNDSSTTSGSSLDNRS >EOY31480 pep chromosome:Theobroma_cacao_20110822:9:9030752:9035013:-1 gene:TCM_046928 transcript:EOY31480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein MALTSGRRRRRRRQMFSKSIASTYSPTRSRNFFLNLLKKSTTLPQLTQTHAQLILNGFRNDLSTITKLTHRLFDLNATSYARDVFLSIPNPDLFLFNVLIKGFSNTHSISLYTHLRKCTRLNPDNFTYAFAIASASTLSDEKVGMFLYEHAVVDGYGFDLFVGTAVVDFYFKIWRVELARKVFDKMPERDTVLWNSMISGLVKNCCFEDAIRVFRDMLEDGGIRLDSTSVAAVLPAFSELQELISGMEVQCLALKLGFHSHVYVLTGLISLYSKGGEIEAAKLLFGEIGRPDLVSCNAMISGYTSNGESECSVRLFKQLLGSGEKVNSSTIVGLIPVLSPFGYLNLTNCIHSFCVKYGFVSQSSVSTALTTAYSRLNEIESARQLFDESSEKTPASWNAMISGYTQNGLTEAAISLFQEMQMSKVGPNPVTLTSILSACAQLGALSLGKWVHGLVKSKSFDSNIYVSTALIDMYAKCGSIREARQLFDLMLGKNVVTWNAMISGYGLHGQGQDALRLFSEMLHSGVSPNGVTFLSLLYACSHAGLVKEGEEIFRSMVHANQFKPLAEHYACMVDILGRAGQLEKAFKFIKEMPVEPGPAEWGALLGACMIHKDKKLAHVASERLFELDPENVGYYVLLSNLYSAERNYPLAASVRQNVKKRMLAKIPGCTLIEIGETPHVFTSGDRSHPQATEIYAMLEKLIRKMKEAGFQTETDTALHDVEEEEKELMVNVHSEKLAIAFGLVVTQPGTEIRIFKNLRVCVDCHTATKFISKITERVIVVRDANRFHHFKDGVCSCGDYW >EOY31804 pep chromosome:Theobroma_cacao_20110822:9:14199903:14203301:1 gene:TCM_038943 transcript:EOY31804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type-b response regulator MEESFLNKSSENSVVEVDDNTVHELQVLAIDAQIFSLQYLCAVLHKCNYKVKTTTSAAEALEILRAKKYEFNIVLVDVDSANINGFKLLEIIGLEMYLPVIMVTGDGSLENIMKGLIYGAVDYIIKPVGVQEIKNTIWHSVTLNKMWDSEQSTDNEETSDHQNLKPLDHSNATITVEDDTDNIRLDDASSSCQKKKRLAWTPELDAKFVKAVQTLSKSSMVHPKRILKIMNEPELTRENIASHLQKYRISLKKRKAEMNQQGFKIKSVTRCSSTRRSNCRNGEAGDVNADRVAVPSFNPFHSFEDMNSIFLDPSRGGNTVMSQHRIPYVGLLDPEKPYQSVPYSCLDDPNFQTPDFRSFSYYNYCLGMNIQPHNLGSEPLSGTTSRSPYFHDMGSEPSTPSSTPFFPFSNEFFAPETDVAFQSPFAVASVPNLFPGSTGLTRNFGETELASNGTTKSREDTVSEMDDNQLSDHGLPGSLRILATYSSQQPEVSCMAESDLAHHAC >EOY34041 pep chromosome:Theobroma_cacao_20110822:9:39765118:39766363:-1 gene:TCM_041843 transcript:EOY34041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVELEMEKRSPHVEQENVCAVNPSIEITQPTLDSDDITSWAEHPISEGLELNHPINLHEDEAESFTILKDRCTISHEYLEHETVGISSRFLFFESEKEADPCYTYVRDVLALSGFIQNEYLQTWCLPDHPLNPSLFKELEILLHPEMECSIEEVGSNCDHQLVFDLVNEALLEITEKPYIYFPNPYFFNRRLGPMLKGNNVLQEVWTKVSKNLASQPETDQSLDDIVAPDFAKDASWMNLQAEAEFVALELEELVFDELLDEVLFL >EOY29821 pep chromosome:Theobroma_cacao_20110822:9:2467874:2470526:-1 gene:TCM_037236 transcript:EOY29821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataurora1 MAIAETHPQQQEKVSSEASAAEKKRWTLGDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLQQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAAKGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVENVEHDASVDIWSLGVLSYEFLYGVPPFEAKEHSDTYRRIMQVDLKFPPKPIVSSAAKDLISQMLVKDSSQRLPLHKLLEHPWIVQNADPSGIYRA >EOY31544 pep chromosome:Theobroma_cacao_20110822:9:9519983:9525462:1 gene:TCM_038471 transcript:EOY31544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 2 MAIWSRFEIGVKLVPAQTIAFCRDMLRGNEKTRIFSKKLQLLSDITGVVRPGVLTALMGPNGAGKTTLLGVLAGRKTIGCIEGEIRVGGCPKVQETFAKISGFCEQTDIHSPQITVEESLIFSAWLRLPACIDSKIKTFGCKCCSIVVRAAKNVADTGRTIVCTIHQPSIDIFEAFDELIFLKTGGSLVYFGPLGQHSSSVIEYFESIPGVPKIKDNCNPETWMLDVTSTSVEAELGIDLAKIYKNSALYGSNKQLVMHLSTPPPDSRDVHFPT >EOY29642 pep chromosome:Theobroma_cacao_20110822:9:1895227:1897893:1 gene:TCM_037128 transcript:EOY29642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MTRLLFPIWSKRQRLANLLRYCSKNSLLDQGVQVHAATLRMGFGFDLMLSNDLIGMYAKCDEMDMACLVFDRMIERNVVSWTALMCGHLQNANARATLSLFCQMVFSCVKPNEFTFSTNFKACGILNVPKIGMQIHGICVISGFESVPVVGNSIVDMYSKCGIINEAAKMFYVLPVKNLISWNTMIAGYTLAGQGEKALVWFHRMQDNGEILDEYTLTTMLKACSGLGKIREGSVIHGYLITSGFPCSAKAAVAGSLIDFYVKCGNLVGARRVFNQIGEKNVISWSALILGYAQEENLGEAIELFRQLQNRSMRVDGFVLSSMMGVFADFALVEQGKQMHAYAVKIPSGLEISVFNSIVDMYLKCGILDEAERLFNEMPARSVVSWTVMITGFGKHGLGKEAIRFFNKMRLDNIDPDAVTYLAILSACSHSGLVKEGEEYFSQLCHNRWVKPGIEHYACMVDLLGRDGRLKEAKDLIESMPLKPNVGIWQTLLSACRVHGDPQMGKEVGESLLTLDSDNPVNYVMISNIYAEAGYWKDYEGVREVAKTKGLRKEAGRSWVEIDKEVHFFYGGDSTHPLIEKIHEVLTEMEKRMKQEMGYVHGVKFALRDIDEESKEESLRVHSEKLAIGLALLHGGWDEGRVIRVFKNLRICGDCHDFIKCLSKILKVAFAVRDANRFHHFKDGLCSCRDYW >EOY31187 pep chromosome:Theobroma_cacao_20110822:9:7435451:7439103:-1 gene:TCM_038163 transcript:EOY31187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein, putative isoform 1 MAEVGCHIHFLLLPASLLSLLVIFILSPLNQSNFTISFSTFTPNCRTKTNQEVPQVSPLTAPLSSAASPLAPAAPLLSLNGTTTGEDVVSRPKKKKSSLERVEDGLTKAREAIREAIRSQNYTSYKEETYIPRGTIYRNPYAFHQSHIEMEKRFKVWVYREGEPPLVHGGPVNNIYGIEGQFIEEMESEKNHFLARHPDEAHAFLIPVSVAKIIKLLYMPLITYSRDQLQRVVTDYVGVIADKYPYWNRSNGADHFLVSCHDWAPDIGDANPELFKNFIRVLCNANTSEKYRPQRDVSMPEIIIPKGELGPPLLDLSPRERSILAFFAGGAHGSIRKVLLEHWKDKDNEVRVHEYLPSNTDYFKLMGESKFCLCPSGYEVASPRVATAISVGCVPVIISDYYALPFSDVLDWSKFSVYIPSKRIPEIKTILKGISDRKYLKMQKRVRQVQRHFVLNRPALPFDVIHMLLHSVWLRRLNFRLPTP >EOY31188 pep chromosome:Theobroma_cacao_20110822:9:7435516:7442615:-1 gene:TCM_038163 transcript:EOY31188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein, putative isoform 1 MEKRFKVWVYREGEPPLVHGGPVNNIYGIEGQFIEEMESEKNHFLARHPDEAHAFLIPVSVAKIIKLLYMPLITYSRDQLQRVVTDYVGVIADKYPYWNRSNGADHFLVSCHDWAPDIGDANPELFKNFIRVLCNANTSEKYRPQRDVSMPEIIIPKGELGPPLLDLSPRERSILAFFAGGAHGSIRKVLLEHWKDKDNEVRVHEYLPSNTDYFKLMGESKFCLCPSGYEVASPRVATAISVGCVPVIISDYYALPFSDVLDWSKFSVYIPSKRIPEIKTILKGISDRKYLKMQKRVRQVQRHFVLNRPALPFDVIHMLLHSVWLRRLNFRLPTP >EOY33491 pep chromosome:Theobroma_cacao_20110822:9:37811034:37827825:1 gene:TCM_041463 transcript:EOY33491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cucumisin protein MGLNIVIFSLFVSATLLLVSSGHAADDSERKAYIVYMGNALESKSLAVEHHHCLLSGVTQDEEVARQSIIHSYGKSFNGFAAYLTPDEAARLQENENVVSVFPNSFRQLQTTRSWDFLGMPLSVKRNTPKESDIIVGVLDTGIYIDAPSFDDKGFGPPPSKWKGVCQTGGNFTGCNNKVIGARAYSIGEATNVSAADDVGHGSHTASIVAGVPVKDASLFGIGQGTVRGGVPSARIAVYRICNDIGCSDIKILAAFDDAIDDGVDIISMSVGGSARDYHSDSIAIGSFHAMKKGVLTSCAAGNDGPDLASLANGAPWILTVGATATDRLFKTPIEIGKDMKTLGVSLNTFNLEKMYPLTSGAKAAKANKKDTYCSCEASLLDANKVKGKIVYCDGGLQDSIIKDLGGIGAVMSCSPNSLIGGTSYVLPGACVSRDTALQILQYLNSTKNPQGVIHKTIPVDTTAPFAASFSSRGPYYTSLSFLKPDIVAPGVDILAAYSKLKSVTGSEGDDRFNAFYIMSGTSMACPHASAAAAYVKSFHPEWSISAIKSALMTTASEMKVGDKFVEFAYGSGQIDPQRAVDPGLVYELSEIDYIRYLCKEGYSGTRLGLIIDEDVNCSSIPKFGGQDVLNYPSMALVHEDPAPNISAVFNRIVTNVGDGNSTYKAIVKGPAGLNITVSPDTLVFNKVNERKSFTVELKGPPLKVPIQKVLLTGFIHSMHSEEIVDDQFYQPQAIKDPACLYCWRRGCIISLSDLPNVDFSNLAALATEIEERSSCIGAEHVRLACLDLMRACDQMQKQNFCQALSWTKNEFAHTCNKLQVLVQWLLNLSALAASNADGAEDYEARSKTAKIECYQVVFLNVQ >EOY29131 pep chromosome:Theobroma_cacao_20110822:9:188173:191608:1 gene:TCM_036771 transcript:EOY29131 gene_biotype:protein_coding transcript_biotype:protein_coding description:ChaC family protein-like MAMAKWQQHCPTATRLLHLLFSSFFLFRPLQTIHRPQKRREKEREKGDAKQDNLNLKAQHCLSVSPLKLPAATVLACCFQIQATHSLLHIYLYIFLSFTTMVFWVFGYGSLVWNPGFEYDEKVIGFIKDYRRVFDLACIDHRGTPENPARTCTLELIEGAVCWGAAYCVRGSPEKERAAMEYLERRECEYDQKTLVDFYKEGDPLQPALTGVIVFTSTPDKVSNKYYLGPAPLDEMARQIATAVGPCGNNRDYLFLLEKAMFDIGHEDDMVIELANEVRKVLGTLGKGISKEKKLVGPPQMPLKSQTPLPSMQLRLLPEAVAMDS >EOY30242 pep chromosome:Theobroma_cacao_20110822:9:3896627:3897844:1 gene:TCM_037516 transcript:EOY30242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MERKVDSKLIPGTILLIMTLYSCNGTRKESHARIQNIDQVVGLSYLGGGRAETAETQSQKNSLGMRALWKKVTVHVLPAPSANIRVEPALGPIPS >EOY31572 pep chromosome:Theobroma_cacao_20110822:9:9670905:9672416:-1 gene:TCM_038496 transcript:EOY31572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin15, putative MADNANVADSVAVTVIEENKPKQAQDVKKHIIPEKIFQMEQVSGMLSVVSFVLSLPILASVTWLLYMKSYDCEWLFKLPSLQIGISIGLICVFLICNAALFLRTRLSPMVGILVVMVPLLAMLIVGIALLGANNMEGRRIPATPSWFQMKIRDNSLWSNVKQCIYDTGVCEDLATTSVDLKSYDFSMKKLSSIESGCCKPPTVCQMQYVNATFWKKDDRLVTQSFSYNNEDCDSWRNDSDILCYDCKSCREGYISTLKSKWLKLGEFLVCMAVLLSTSHLLLFLVTMWELYVS >EOY30716 pep chromosome:Theobroma_cacao_20110822:9:5530189:5530801:1 gene:TCM_037830 transcript:EOY30716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIGGVEKMLAVGLIWGATNAAMRRGALLWDQSLKSTPPPRDPPHKLHQKLLDSLSNWLTLLFFWQYSLPFLINLSASATFFTILSQAPISLAVPVTNATTFAATAVFGILLGEETRVGHALLGTGFIVLGVWLCIT >EOY33417 pep chromosome:Theobroma_cacao_20110822:9:37394091:37399815:1 gene:TCM_041393 transcript:EOY33417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MVNLGDLNNDIPFDVLSRLPTKYMPKLKCVSKGWNRLISDPTFMKVQSQKREPLSGFFFQQKYKWCYDDITTISYIPVEKQDAELHKTVFNFLPEHVVVLASCNGLVCCRSCFPFHDSCLYICNPLNNEWLRLKWDEPDKENSFALAFDPCQDHIGTSTNFKLIRVKQFETNKEALCFSFEIYSPDKGAWRKSEEICKCNSNLYKNRGIFTEGVLHWLTDGDEVLTFNVENELSWLVSVPLPANEFRSIPEACIGDSDGRLHYILVSEYGFQVWFLEDYFESRWSLKLCKTLEEMDEEHSQFLYNLRERVTQRLAVDTEPWIDPLAFKDGIRTFHNHFSILEENLCSKFLTSCTQTSNLLHGQAIHAKFIKGSIPHSLYLQNHILNMYLKCGDLINGHKLFDEMPERNVVSWSAMVSGFTQHRFYNEALSLFVYMMRDGNSRPNEFTFVSVLQACSLHESLALAYQAYAVVLRLGFGSNVFLVNAFLTALMRHGQKEEAFEVFEKCLNKDIVTWNVMLSGYLESPCYELPKFWVQMNNEGVKPDCFTFASVLTGLASLGELNMGLQVHGQTVKSGHGGEICVGNSLVDMYIKSQRLFDGLKAFNEMGEKDVCSWTQMAAGWLEYGQPEKALEVIGEMRMMGVNPNKFTLATAFNACANLAFLEEGKKVHGLRIKLGVEIDVCVDNALIDMYAKCGSMDGAWGVFKVMDDPSIVSWTTMIMGCAQNGQAREALKIFDEMIVKGIKPNYITFVCVLYACSQGMFIDEAWKYFSSMTSDHGISPGEDHYVYMVHVLGRAGHIKEAEELIFSMPFQPGASVWQTLLSACQVHGDIETGKRAAEHAIHLNRKDPSSYVLLSNMFAGFNNWDDVGKLRELMETRDVKKVPGSITGLVAIEATRKATATCSISPRKHRSGHVFRLYKLKLWIQVPGSTAMQNECTQQRQSHHCAKLTPVMETANRRVD >EOY34376 pep chromosome:Theobroma_cacao_20110822:9:40836972:40839052:1 gene:TCM_042065 transcript:EOY34376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein MIEVVFNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYTIYKDHITLKDYEIHDGMGLELYYN >EOY30383 pep chromosome:Theobroma_cacao_20110822:9:4421480:4423755:-1 gene:TCM_037612 transcript:EOY30383 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein MEGKEKLHIAMFPWLAYGHIMPFLEVSKFLARKGHRISYISTPKNISRLPKLPAHLSSNISFIEISLPQVHGLPPGVESTAEIPIQKVPYLKKACDKLEVPLTEFLKTSHVNWIIHDFMPHWLPGVAIPFGINLVFFSICNATLLAFLGPPSALIGDHRKRPEDFTVVPKWIDYPCNIVLKLHEMVSHQECMEDVSDFQRIGQVIQGCQFVTLRSCVEFESDQIQLLKKLYQKPVVPVGLLPPSLPSNEEKSHESWEDIKKWLDRKGEKSVFYVALGSEVSLSQESMHELAFGIYKSNLPFIWVVRNRPLVEGQMGQDIIPQGFEERVSDRGLVLKDWAPQLRILAHSSVGGFLTHCGWSSIIEALKFGRPLILFSGASSDQGLNARLLHGKKVGLEIERNELDGSFTSDLVAQTIRQVMVEPEGEPVRANAWAMRDICNEELSNNYLDEFTRFIEDFDSSTGEV >EOY29334 pep chromosome:Theobroma_cacao_20110822:9:781377:786923:1 gene:TCM_036906 transcript:EOY29334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVRYSIIISLVLFLLVNLSLPRFTESLPRCKAWLVQSIPTDMPHLPRVPGVLSTGDVFKWLAYNSTDKLDIIAQYWQLKAHPEDSRSGDYGYSKDDMQRFGAHQGFSVYTALENAADRDVDIRLLQHSGVYPDYTQEPSSLASRRPNVKSVTLLLDKWWGSGIVHAKVWISDNRDVYIGSANNDWKSLTQVKEVGIYLVGCPKVARKVGVYFQNLWRLAHLNFSAYTTTVLDQQWQIQRKVPCWSHLIESDMRCTPRLPRFVEIPYVAGYPTLSDPKMLKLIIDAPGVGYISSVPQSSYLSFAPPELSFGRFQPDEQAWLDTIKSVGDGGTVRISTMDWLGQSQYTERTVYWSSLSTAVSEVVFSKHAKVKILVAYWAHFIDNTDLYLKSLLYSNVLCSSSKYNKCSGKVEIRYYKVPGYNLTGPATHKGKRTGNIYPAYTRVNHGKYAVSDVRAHIGTSNLVWDYFYATAGVSFGTYNPAIVSQLQEIFDADWNSPYAVPVEELGDGHAYSS >EOY34695 pep chromosome:Theobroma_cacao_20110822:9:41813706:41815128:-1 gene:TCM_042282 transcript:EOY34695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin A8, ALPHA 1.11,EXPA8 MIAQTFSSAMLLSIFLFTSLNLHGITGDYGGWQNAHATFYGGGDASGTMGGACGYGNLYSQGYGTKTAALSTALFNNGLSCGSCYEMRCNDDPKWCLSGTITVTATNFCPPNFALSNDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPVVFRRVPCVKKGGIRFTINGHSYFNLVLITNVGGEGDVHAVSIKGSKTGWQPMSRNWGQNWQSNSYLNGQGLSFQVTTSDGQTVTSYNVVPADWQFGQTFEGGQF >EOY29258 pep chromosome:Theobroma_cacao_20110822:9:531682:536354:-1 gene:TCM_036849 transcript:EOY29258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 2.9 MQKATAVTSPLLHFFCLVIISCRILLMHVVAAQNSSSIPVNVGVVLDLDTKFGKIGLSCINMALSDFYATHASYRTRLVLNPRDSKDVVGAAAAALDLIKNVQVQAIIGPQTSMQANFVINLGNKSQVPIISFSATSPSLTSLRSPYFFRATQNDSSQVKAISAIVEAFGWREAVPIYIDNEFGEGIIPYLTNALQEINAHVPYRSVIPSSASDDQISEELYKLMTMQTRVFIVHMPPSLGTRLFAIAKEVGMMSEGYAWIVTDGMTNLWILTEPPTIDSMQGVLGVRTYVPRTNELEKFRLRWKRKFQQENPTIINAELNIFGKWAYDATFALAMAIENVSMGNFSFNKTNVSNSGTDLESFGVSRNGPHLIQALSSTKVRGLTGDINFVNGQLQSSVFQIVNVNGNGERRVGFWTPKSGLVKELNSAKRSTNSTHEPNLGPIIWPGDTTSPPRGWEIPTNGKKLRIGVPVKSGYTQFINVTWDPNSRTATSVIGYCIDVFKAVVATMPYVVPYEFIPFATLDGKSAGTYNDLIFQVYNGTYDAVVGDTTIVANRSRYVDFTLPYTESGVSMIVPIRDNRRKNAWVFLKPLTWDLWVTSACFFFFIGFVVWVLEHRINEDFRGPPSYQAGTSFWFSFSTMVFAHRERVVSNLARFVVIIWCFVVLILTQSYTASLTSLLTVQQLQPTVTDIQELLKKGEKVGFKKGSFVEGILKGLTFPKSQLIEYQTLEELHDLFTKGSANGGISATLDEIPYMKLFLAKYCDQYTLVEPKFRTDGFGFAFPRGSPLVADVSRAILNVTQGEKMNQIEEAWFKKESSCSDTNTLVSHNSLGVESFWGLFLIAGVTSISALIIFAAMFLYEQRHVLFRFCSETPFWRRILFLSRIFDQRDLSSHTFKRSELGDKSRNDGVSIGVAGDSPNTNCPQSPSSYSNQTELGFVFLADQGRATENGDLTPSAATSPEIFPSSGRHPIELANTANSPREQLE >EOY31363 pep chromosome:Theobroma_cacao_20110822:9:8364189:8370975:-1 gene:TCM_038305 transcript:EOY31363 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPOC domain / Transcription elongation factor S-II protein, putative isoform 2 MSNDLVSQQLTIPGSQMAQLEPISSKLEAPMSMGLMGFGTSGSLQQQIPSNMPIGQMGSVSNDLRSQLSSMSKQQPGQVESQAYTQLSQQYLMSNKPVGEMIPTMLDTLRPHQLPTLSKRKAPMEPISTDSVPQRLPVPNKRVAHMEHRPWLQPISASSKRTVQMQSVSVMPGSQPSPASIKRSVPSKTGSSTSRNQPVQMRSAPKVQTESFESVRSKMRESLAAALALVSQQQGENSKVEKNSNGEAVSSPGKTQESSNPVDSNSGNADAVGSMSAEPRGILLSNQDGAGGGNISDTTQTLKCDGQQFQSSNLLPDEDVPFSDNIFARDELLQGNGLSWVLEPAIDVAENKEIETVGKQNPVNEKIGENAVEKSVQSPQVLAYQIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVVSGEISPERLCSMSAEELASKELSQWRQAKAEELAQMVVLPDTEVDIRRLVRKTHKGEFQVEVEQTDSASVEVSAATSISRRPKTEAKQDPTTGKTVGKKDGAGTAGEKSNIEDPDLTITIPSSEGPDPMQGLMGEDELKDADFLPPIVSLDEFMQSLDSEPPFENLPSDARKAASISNKDDSEAGSDSKSSGRASQDPVDTTPDKLETIDASNVKSDADVKPNDIPVKTETTVSVATLKGEHVWEGLLQLNITAMTSVIGTFKSGEKTCTKEWPSLLEIKGRVRLDAFEKFLQELPMSRSRAVMVVHFLCKEGSAESERGSLVEAADSYILDGRVGFAEPASGVELYFCPPHARTHEMLSKILPKDHLEALNAIDNGLIGVVVWRKAQLISPNSTSHHKHTSKKQHFTSRRHQDKDANMNSNFPSKPTFSHSGPPVYSKPSLDDNEDDDVPPGFGPATSRDEDDLPEFNFSGGSNPSGPQYPTGYQSQRVGIASAHLHSQTSSRPVDQMRELVQKYGQPNTNASLGVSMQPWNDDDDDIPEWQPQISQQQQPQPPTQVHRFQQPMHVPQQLPHQALSTMHVQGLQNTTQSWQEGTWWVPTSGSQGQQFVNGAQFYGAAVGTGQPAWRKDPPHSRGF >EOY31364 pep chromosome:Theobroma_cacao_20110822:9:8364531:8370521:-1 gene:TCM_038305 transcript:EOY31364 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPOC domain / Transcription elongation factor S-II protein, putative isoform 2 MSNDLVSQQLTIPGSQMAQLEPISSKLEAPMSMGLMGFGTSGSLQQQIPSNMPIGQMGSVSNDLRSQLSSMSKQQPGQVESQAYTQLSQQYLMSNKPVGEMIPTMLDTLRPHQLPTLSKRKAPMEPISTDSVPQRLPVPNKRVAHMEHRPWLQPISASSKRTVQMQSVSVMPGSQPSPASIKRSVPSKTGSSTSRNQPVQMRSAPKVQTESFESVRSKMRESLAAALALVSQQQGENSKVEKNSNGEAVSSPGKTQESSNPVDSNSGNADAVGSMSAEPRGILLSNQDGAGGGNISDTTQTLKCDGQQFQSSNLLPDEDVPFSDNIFARDELLQGNGLSWVLEPAIDVAENKEIETVGKQNPVNEKIGENAVEKSVQSPQVLAYQIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVVSGEISPERLCSMSAEELASKELSQWRQAKAEELAQMVVLPDTEVDIRRLVRKTHKGEFQVEVEQTDSASVEVSAATSISRRPKTEAKQDPTTGKTVGKKDGAGTAGEKSNIEDPDLTITIPSSEGPDPMQGLMGEDELKDADFLPPIVSLDEFMQSLDSEPPFENLPSDARKAASISNKDDSEAGSDSKSSGRASQDPVDTTPDKLETIDASNVKSDADVKPNDIPVKTETTVSVATLKGEHVWEGLLQLNITAMTSVIGTCTKEWPSLLEIKGRVRLDAFEKFLQELPMSRSRAVMVVHFLCKEGSAESERGSLVEAADSYILDGRVGFAEPASGVELYFCPPHARTHEMLSKILPKDHLEALNAIDNGLIGVVVWRKAQLISPNSTSHHKHTSKKQHFTSRRHQDKDANMNSNFPSKPTFSHSGPPVYSKPSLDDNEDDDVPPGFGPATSRDEDDLPEFNFSGGSNPSGPQYPTGYQSQRVGIASAHLHSQTSSRPVDQMRELVQKYGQPNTNASLGVSMQPWNDDDDDIPEWQPQISQQQQPQPPTQVHRFQQPMHVPQQLPHQALSTMHVQGLQNTTQSWQEGTWWVPTSGSQGQQFVNGAQFYGAAVGTGQPAWRKDPPHSRGF >EOY32048 pep chromosome:Theobroma_cacao_20110822:9:19431314:19490526:1 gene:TCM_039460 transcript:EOY32048 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase IV MTEEIKLSVVVSLFSWIQKSKTSAKKRSKFRKFLDTFCKPSDYFSAMRLILPSLDRERGTYGLKESVLAICLIDALGMSRDSPDALRLINWRKGGANTGANAGNFALVAAEVLQRRQGTASGGLTIKELNDLLDRLASAESRAEKTTVLSSLINKTNGQEMKWIIMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKMVCEKLRDRSQRHKRQDIEVGKAVRPQLAMRVRDAAAAWKKLHGKEVLVECKFDGDRIQIHKNGTEIHYYSRNFLDHSEYERGMSNIIAQNILVDRCILDGEMLVWDTTLSRFAEFGSNQEIAKAAKDGLDSDRQLCYVAFDILYVGDTSVIHQSLKERHELLQKVVKPLKGRLEILVPYGALNSHHPPGEPCWSCLAYSVDDVERFFKETIENRDEGIVLKDLGSKWEPSDRSGKWLKLKPEYIHAGSDLDVLIIGGYYGSGRRGGEVAQFLVGLADRPDPNAYPRRFVSFCRVGTGLADDELEAVVNKLKPYFRKYEYPKKTQPSFYQVTNNSKERPDVWIESPEKSIILSINSDIRTIRSEVFAAPYSLRFPRIDRVRYDKPWHECLNVQSFVELVHSSNGTTQKGTVQGDVQDGKSRYKEHTRKAEKKAVSIVPSHFIQTDTSSVKGETLIFTNLIFYFVNVPPTYSLDSFHKIIVEHGGMFSMNLNKSVTHCVAAESKGIKYQAAKLHGEIIHYSWVLDCCSQKMLLPLQPKYFLFLSESSKKKLQQEVDEYSDPYYWDLDLADIKQLLNNIQRSENANTIDYYRKKYCPKERWSSFHGCSVYFYCSAQSLKADWQVMLRLTLRRLKLEVLMGGGKISKDLKHATHLVILSVPGLDVDFDSLIKSFSCAEKHLFWKKGLHVVGSQWLEDCLERGQKLQEDLYSLKLSEVEETNLLECELDQNLKEAIPDFNSVQNQVMPVSCESPIKQRGSKVHLQESMPLVTPNYGNRKRRPAGKNAKKGKTVVSQCRRVPRRCGKMSVKINEDESEESGSDDKTNVEEIEKGEGNTEGFGIVGRENSEIRRNQVAEDIELSCSAKPVELEAAENMSKEWSDKAPEVEMCEPNNDQSCKKAEKYNLMELDQENCGAKSEKLEVMVDPVQAMLLDMIPSLGIKHVEATNSFVENEKPPADNNAEIPVVEDYKLDADFIAPPVKKKKVSYKDVAGELLKDW >EOY34162 pep chromosome:Theobroma_cacao_20110822:9:40102313:40106082:-1 gene:TCM_041918 transcript:EOY34162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-responsive (dirigent-like protein) family protein MLPCTLKKDFMLDASCLDHVLLFPWLAHGWMFSTQMGWKWANSSKPLLTQSGLWFESQSVCPSPIASPNKAKMVKELCMKGTLHLLLLAITIKYVHGAMLLPDDSTLPPVPPPETIATNFIPTPVANAAATVGPAVTPPTPSTSSSLAATTLSFFMHDIFGGSAPSVRVVAGIIAIPQVNGIPFSKPNAGVFPKRGGVPLITATKGVIINNNLPFPSGLNGATANTVINNNGNNNLIRTNNKRLPFVTAGQLPQGATLQKLLFGTTTVIDDELTEGHEIGSSIIGKAQGFYLASSMDGSSHTMAFTAMFHYGDDHDAGDDAISFFGVHRTAALESHIAVVGGTGKHENAQGYAVIQTLQHTNEHTTDGIDTLLQFTVFLTH >EOY34680 pep chromosome:Theobroma_cacao_20110822:9:41772212:41778725:-1 gene:TCM_042272 transcript:EOY34680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allergen-related MEWKRYRKLNLSLSHKSHFLYCFSLFFFSVCALFSITSTATTCQQDIRCSLTGQADSICFQEIAEKRKHEDKMGSLDIQGLPLTLARRFLSGPGSSPPRCTSKCGNCTPCKPVHVPVPPGTPVTAEYYPEAWRCKCGNKLYMP >EOY33386 pep chromosome:Theobroma_cacao_20110822:9:37248595:37251589:-1 gene:TCM_041361 transcript:EOY33386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 714 isoform 1 MAILYAVVARGTVVLAEFSAVTGNTGAVARRILEKLPGEADSRLCFSQDRYIFHILRSDGLSFLCMANDTFGRRIPFSYLEDIHMRFMKNYGRVAHYAPAYAMNDEFSRVLHQQMEFFSSNPSADTLNRVRGEVGEIRTIMVENIEKILERGDRIELLVDKTATMQDGAFHFKKQSKRLRRALWMKNAKLLALLTCLIVLLLYIIIAACCGGITLPSCRS >EOY33388 pep chromosome:Theobroma_cacao_20110822:9:37248418:37251784:-1 gene:TCM_041361 transcript:EOY33388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 714 isoform 1 MAILYAVVARGTVVLAEFSAVTGNTGAVARRILEKLPGEADSRLCFSQDRYIFHILRSDGLSFLCMANDTFGRRIPFSYLEDIHMRFMKNYGRVAHYAPAYAMNDEFSRVLHQQMEFFSSNPSADTLNRVRGEVGEIRTIMVENIEKILERGDRIELLVDKTATMQDGAFHFKKQSKRLRRALWMKNAKLLALLTCLIVLLLYIIIAACCGGITLPSCRS >EOY33387 pep chromosome:Theobroma_cacao_20110822:9:37248115:37251419:-1 gene:TCM_041361 transcript:EOY33387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 714 isoform 1 MAILYAVVARGTVVLAEFSAVTGNTGAVARRILEKLPGEADSRLCFSQDRYIFHILRSDGLSFLCMANDTFGRRIPFSYLEDIHMRFMKNYGRVAHYAPAYAMNDEFSRVLHQQMEFFSSNPSADTLNRVRGEVGEIRTIMVENIEKILERGDRIELLVDKTATMQDGAFHFKKQSKRLRRALWMKNAKLLALLTCLIVLLLYIIIAACCGGITLPSCRS >EOY32024 pep chromosome:Theobroma_cacao_20110822:9:19178835:19181706:-1 gene:TCM_039431 transcript:EOY32024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MSLSLRTSTKVTSFIRRHGNSQYCYSFFHGERTSELLFLDQRPRLREKRRRGGEQVFFPCGSSLPLFSLLHSSPYYSLRRVNCQIPFLLPHSSSLHYLQEKLLANSISLARNVLDFNHRNFSSFTDGDTDSEADHESDSSGDSSKSRADPKEVERICKVIDELFGLDRNMEAVLDECGINPTHDLVMDVLERFRHARKPAFRFFRWAGQKPGFEHDSMTYNKMMNVLAKNRQFETMVAMLEEMGAQGVVTMETFIIAIKAFAAAKERKKAIGIFELMKKYKYKAGVDTINCLLDSLVRVKLAKEAQALFEKLRDRFTPNLSTYTILLNGWCRVRNLMEAGRVWNEMLDKGFKPDIVAHNVMIEGLLRSRKRSDAVKLFEVMKAKGPLPNVRSYTIIIRELCKQAKMNEAVGYFDELLDSGCQPDAAVYTCLITGFGNQKRMDVVYRLLKEMQEKGCPPDGQTYNALIKLLTRQRMPEDAMRVYKKMIQSGIQPTIHTFNMIMKSFFQTRNYDMGRAIWDEMNEKGFCPDDNAYAVFIGGLISLGRSGEACKFLEEMMEKGMKAPHLDYNKFGADFSRAGKPDKLEDLAQKMKFSGKFEAANVFTRWAEMMKKRLKRKRPFKTDGRCI >EOY30629 pep chromosome:Theobroma_cacao_20110822:9:5201292:5204338:1 gene:TCM_037768 transcript:EOY30629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKAINYRWGSVVISISEDFTLAVNKNGRIADYGEWAEGRWVWMVNLTRQLFDLEITQWDEFEGLLKEFQLSRDEIVWKNEATGQCTAKSFCRDVLNDNDNANGLWKKVCVNLASRKGHLVTISLLIAMKCGGYGWVGVTGGEYIGLLQEMNGAVFEGKQWNADHCLEVARIRVATWANAKWPKEFPSVLDTYRQPSCKYRPKAREKGRKGIQWEKPRAGYMKCNVDGAARGCVADSNLAEVWAVKEALTIFLASRWKDEFCLIIESDSLNAVKWIVQPDTALWKMRQWLIQFEHIKENLIGWEIRHVLRKANQRADTLAKERCGFTI >EOY34360 pep chromosome:Theobroma_cacao_20110822:9:40777657:40784276:-1 gene:TCM_042055 transcript:EOY34360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 family protein MPPKFDPTQVIDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKTKNIKHNGSISLDDVIEIAKVMRPRSMAKDLRGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGDVDVPLE >EOY33141 pep chromosome:Theobroma_cacao_20110822:9:35624301:35627515:1 gene:TCM_041123 transcript:EOY33141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative MAAKQIVAAVVATIFVLTFCSGTMAQAPAPEPTAEAPGPDCFTNLLSLSDCLTYVEAGSNLTKPEKPCCPELAGLVESTPQCLCYLLDKNATSSYGFNIDMNRALNLPTVCKVSTPPVSLCSVITGAPAESPTGNGGSMSPGFAPQGLAASPSSGNNSGASNIAVSGLASLVALAIAFLPTLFGI >EOY29987 pep chromosome:Theobroma_cacao_20110822:9:2972011:2972661:1 gene:TCM_037342 transcript:EOY29987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKRGGKPLLYDGSKQIVMEPSVESKEAGIGVVARDNTGCTIDGIGRNICAESGIFVEAEALRQGVLLAKEKGFQLVIHMTPKGAYNGIAKEKQ >EOY31103 pep chromosome:Theobroma_cacao_20110822:9:7056030:7058267:-1 gene:TCM_038108 transcript:EOY31103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumenal 16.5 kDa protein MATAFLSTANSFLSSTLSTSTSSSCSSSSSSLTPALIYLNNQNVKRRQTLCKAFNESPPPSPALTKRGFSLGFITSLVLAGNGCFGANAAILEADDDEELLEKVKKDRKKRLERQGVISSSDQEKGYLQDLVYKLSKIGQAIDNNDLPAASSVLGGSTDIDWVKNANKAFNKLTSSPEEKTQVDTFNASLASLISSVTKNDVESSKVAFVASASAFEKWTTLTGLFGQLKGL >EOY32844 pep chromosome:Theobroma_cacao_20110822:9:34039798:34045065:-1 gene:TCM_040866 transcript:EOY32844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole (Corrin/Porphyrin) Methylases isoform 1 MLYIIGLGLGDERDITLRGLEAVKKCETLYMEAYTSLLCFGLSTDGLSTLEKLYGKPIIIADREMVEEKVDNILSEARGSDVAFLVVGDPFGATTHTDLVVRAKTLGVDVKVVHNASVMNAIGICGLQLYRYGETVSIPFFTETWRPDSFYEKIQRNRNLGLHTLCLLDIRVKEPTLESLCRGRKQYEPPRFMTINTAIEQLLEVEQKHGESAYGEDTACVGIARLGSEDQMIVAGTMRQLLMVDFGKPLHCLVMVGQTHPVEDEMLEFYKIRSENSEHKGNGIS >EOY32843 pep chromosome:Theobroma_cacao_20110822:9:34039751:34045092:-1 gene:TCM_040866 transcript:EOY32843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole (Corrin/Porphyrin) Methylases isoform 1 MLYIIGLGLGDERDITLRGLEAVKKCETLYMEAYTSLLCFGLSTDGLSTLEKLYGKPIIIADREMVEEKVDNILSEARGSDVAFLVVGDPFGATTHTDLVVRAKTLGVDVKVVHNASVMNAIGICGLQLYRYGETVSIPFFTETWRPDSFYEKIQRNRNLGLHTLCLLDIRVKEPTLESLCRGRKQYEPPRFMTINTAIEQLLEVEQKHGESAYGEDTACVGIARLGSEDQMIVAGTMRQLLMVDFGKPLHCLVMVGQTHPVEDEMLEFYKIRSENSEHKGNGIS >EOY32981 pep chromosome:Theobroma_cacao_20110822:9:34734722:34738497:-1 gene:TCM_040991 transcript:EOY32981 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S3, putative isoform 1 MVGPPKKHFLAFLLLLSLCIILLLLCSPHPNTISTQTFPLNAHSILNTPTFSLTIKVLTFNRLNSLTRCLTSLSNAYYLPDHPVHLHIFIDHFPNQSKSGIDPKLQDSLGILQFVDGFEWKWGQKIVHYRTTNVGLQAQWLEAWWPTSDNEFAFVVEDDLELSPLFFKYLRALILNYYYNASNFSPFVYGASLQRPRFVPGKHGNKMLLDSTSGLFLYQLVGTWGQLLFPKPWKEFRLWYDDHKGKGIKPFLEGMTSGTVLLVSLFAASEAVTRNLLCHFERLNIWNYIFIGPATDFLFDLARRGHPVIDADQFLNNIRAYKSMGIQDLKARFKNDILLKAYVVKKCLEFSYNTWVVDGNMVLVNNDLFLKSIDSTYNFYAGESLDLFFVKNSPSAHKILADDFLYDVAALLDKNSLPTDSRKFVSVMAKLLEKKGFRVKRIDEKSFGEKLGNQNLNRTLETDKRVVYWSRESDIDSIQMRLQELSLWVIDNDSSCTAVVCHKS >EOY32980 pep chromosome:Theobroma_cacao_20110822:9:34734573:34738616:-1 gene:TCM_040991 transcript:EOY32980 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S3, putative isoform 1 MVGPPKKHFLAFLLLLSLCIILLLLCSPHPNTISTQTFPLNAHSILNTPTFSLTIKVLTFNRLNSLTRCLTSLSNAYYLPDHPVHLHIFIDHFPNQSKSGIDPKLQDSLGILQFVDGFEWKWGQKIVHYRTTNVGLQAQWLEAWWPTSDNEFAFVVEDDLELSPLFFKYLRALILNYYYNASNFSPFVYGASLQRPRFVPGKHGNKMLLDSTSGLFLYQLVGTWGQLLFPKPWKEFRLWYDDHKGKGIKPFLEGMVTTGWYKKMGEKIWTPWFIKFIHSRGYFNIYTKFPDEKSLSVSHRDVGVNYGKTAGPDSQLLDVNSLDSNSREMKSLSTMKWYDFCFREVVPGRVVRNLNDLGSILPSVQTSGTVLLVSLFAASEAVTRNLLCHFERLNIWNYIFIGPATDFLFDLARRGHPVIDADQFLNNIRAYKSMGIQDLKARFKNDILLKAYVVKKCLEFSYNTWVVDGNMVLVNNDLFLKSIDSTYNFYAGESLDLFFVKNSPSAHKILADDFLYDVAALLDKNSLPTDSRKFVSVMAKLLEKKGFRVKRIDEKSFGEKLGNQNLNRTLETDKRVVYWSRESDIDSIQMRLQELSLWVIDNDSSCTAVVCHKS >EOY30051 pep chromosome:Theobroma_cacao_20110822:9:3205452:3209236:-1 gene:TCM_037394 transcript:EOY30051 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 33 MGRRKLKIQRLESAKARQAKYSKRKAGLVKKAKELAILCDVDLALLLFSPTDKPTLFVGQDKDLSTVVERLSKLPLEEREERRDYTMKLLNKFYANSDSEALPRNVSEDRSNVLKLHEDQLKELKEKLAEKSKILRDWKYPQNVEDLSQIKIMEEHLIAYLNGVRNRKSQLAMEQQKGQEGLKGAK >EOY29240 pep chromosome:Theobroma_cacao_20110822:9:460724:464617:-1 gene:TCM_036837 transcript:EOY29240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 714, subfamily A, polypeptide 1 MEFLLLFRTLSSLALAGVVCYVFHQYCKSWLKSRRLRRKLCMQGIRGPPPSFLYGNVAEMQQIQLQAKKTRSHSEIVGHDYTSTLFPYLDHWRKEYGQIYTYSTGLRQHLYVNDLELVKEMNQLNTLHLGKASYMTKALRPMLGNGVIRANGHLWAHQRKIIAPEFFMDKVKGMVGLMVESTEPLLKKWETIIEAEGGLRAEINVDGDLRSVSADVIARACFGSSYAKGKEIFAKLRTLQTTIINKPGIVFGLNNSRFLSTKKKISTLEREVELLIWETVEGRQQECVDKSSCQKDLMQLILEGAVNDAYVGKASSQQFIVDNCKNIYFAGHETTAITASWCLMLLALHPGWQSRIQAEVAQVCKNGLPDADSISRLKTVTMVIQETMRLYPPAAFVSREALKETRIGNILVPKGVFIWTLIPTLHRDPDLWGPDANEFKPERFINGVSKACKSPQGYIPFGLGTRLCLGKNFAMVQLKVLLSLIVSRFSFSLSPSYRHSPAFRMLVEPEHGVCIHIQRI >EOY30020 pep chromosome:Theobroma_cacao_20110822:9:3077538:3081257:-1 gene:TCM_037371 transcript:EOY30020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis factor 10 MSVLYTLPLEKKLDSFGTNPDSKTETEMGLGRNDSPGSGDPGRSGESEPSTTTEPRRFPLAAQPEIMRAAEKDDQYASFVYDACRDAFRHLFGTRVAVAYQNETKLLGQMLYYVLTTGSGQQTLGEEYCDITQVAGPHGLSPTPARRALFIVYQTAVPYIAERISSRIASRGITLAELQSDEFYSNNASDRNQVESSTGIEISLSPESRDSVSALSRLKEKLNGMWLHAVQRWPAVLPIAREVLQLLLRANLMFFYFEGLYYHISKRAAGIRYVFIGKPSNQRPRYQILGVFLLIQLCILAAEGLRRSNLSSIASSIHQTSLGSHQNTTGRGLPVLNEEGILIPVEADQGSWVGESTSESTGGVSKCTLCLSHRQHPTATPCGHVFCWNCIMEWCNEKPECPLCRTPITHSSLVCLYHSDF >EOY32253 pep chromosome:Theobroma_cacao_20110822:9:24932364:24933081:1 gene:TCM_039929 transcript:EOY32253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPPQRRTTAAVEEDGCKLAEREEKTGEGRLKVLRKTEEGERERKQNNTKGGTGISHSHPKDKSEEFLFLRNSYSSPCLTTKQGMRNGNGIEGE >EOY29148 pep chromosome:Theobroma_cacao_20110822:9:228163:230818:1 gene:TCM_046899 transcript:EOY29148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENKEYSGALALLTGLVKELRRLEDKLLMDIDLLEDLQSGILHAEEKDYKTAYSYFFETFESFNALEDRRAVFSLWLIEPFSRVEIAYIAELIDLTIDHVKKKLS >EOY29840 pep chromosome:Theobroma_cacao_20110822:9:2524158:2526595:1 gene:TCM_037245 transcript:EOY29840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 84, putative MGRAPCCDKANVKRGPWSPEEDATLKSYIETHGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPDIKHGGFTEEEDNIICTLYSQMGSRWSLIASQLPGRTDNDVKNYWNTKLKKKLASGKSCFNMSKTINNDLVPAANTNISTQPGSAAALQPCLPKAEPYNLDYSTSHSQISAPLPILSDVGYGFSISSVQNLPLNPVVHFSRPEAVTHLSQSGATMENSLIVTSSQEGSSISDSTSLAMESKPVSMPGNGYVDDTGNILMDQFSYEFPYEFVNGIWSQEKAGEVVPSCYDSLADFPHADIKPQGLNQSVANRY >EOY32627 pep chromosome:Theobroma_cacao_20110822:9:32211010:32212531:-1 gene:TCM_040638 transcript:EOY32627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRFLLLKLCEFAAGNFREKLELFASSLCRKIGQLCAAKIGKICCWKLSWKSTNFRAENDSKKGEKMKLLVTNFER >EOY31218 pep chromosome:Theobroma_cacao_20110822:9:7587884:7591547:-1 gene:TCM_038183 transcript:EOY31218 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MGKRKRSSQPNNLDLPSSSDDMPCSSGTELLSKKQSLNLDAGVDVGDNSVKLLNSNSSVANRQYNLGRSIFLKRSRHYYGHHYSRRNSGSLANASTSHGKFSPLHDDRLPFKFAHYSPESGRHGEGREKAFSRPERIMSSSLVMDAVSLDKVKIVCGICQKHLKRKPYLLGNALASGEFSVVAVLVCGHVYHADCLEERTSLEDRRDPPCPLCSGSLLQV >EOY30964 pep chromosome:Theobroma_cacao_20110822:9:6500272:6502095:-1 gene:TCM_038004 transcript:EOY30964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHRSASSSNAGLSDEFFVNLSQAAMASPLLKAAAASDDLPKYDDYITETTKKETAFHHHKSTGEKAVHLIPVVLILCALTLWLLSRPAGKI >EOY32112 pep chromosome:Theobroma_cacao_20110822:9:21150348:21155225:1 gene:TCM_039613 transcript:EOY32112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLMVGKPSPSLIALKPRQVVLSFNTTMKNKASPQSLNPHQKSLLLLSVAQFLERNSFSKTLKKFLSEAHIQYFVFLEAFNVLLAYTNYAPSFLSSYCSSLCKNDLTDSSLDLEEMCCKYLAMSDLHVDRKLHIELPLSFAFTCDNSSSNLNREQFQDMQVNGNPNGDERVGIASAVDTAMKRKKKRGNESSTDARAGQSEVVDKSANSKNSEEQVFREASKAPADDIIDGSQLDESVKKQKEKKKKSKLDSESCVHNAQCLGGESETYKDAVSMESNRVSDAGMEIKTKGKKKKKIKLTSDSFVDNVEQHGSEDKPQAATTLNASDISLEDKTTKSKAKKKKKDDSEELEKGKSSGVESKNNNSGISKEDSTIMDSKGSKKRKRLDSEVNDSQPVDKMAIEDSKRRKTESSQEQENGNIEKNEKNSEQNSLKKQQNGSVPTKKPFQRVNIDEVVFVDRRLEDNSYWAKDGAGNGYGAKAQEVLGQVRGRDFRHEKTKKKRGSYRGGQIDLQSHSIKFNYSDDE >EOY34164 pep chromosome:Theobroma_cacao_20110822:9:40111732:40113933:1 gene:TCM_041920 transcript:EOY34164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L29 family protein MKIRVLDKMILKPQTLPLLSLQTFFASEREKQRQAAMARIKVHELRNKSKTELLSQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREAYKNKKFLPLDLRPKKTRAIRRRLTKHQQSLKTEREKKREMYFPMRKYAIKV >EOY31850 pep chromosome:Theobroma_cacao_20110822:9:16471370:16475019:1 gene:TCM_039151 transcript:EOY31850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MEGTIFPNKPVYPVPTKRQTQSNKPLQFSSSTLPLPPQSQSPPSLPLDSLLQHLLHLSSPPNTIHKPKTINPPKTNNSHYPSLHISSDSTQKLQQQVHPKKPTSASLLQFDNSKEESQSRDGSLEFLSRKGMLMLNSIKEQPLNSLNDFFNSVKFELLQFDMFSLLKALDLSGDWERALLLFQWVVSDIGSDNFKLDNQVVELMVRVLGRESQHGIALKLFDLIPIEECSLDVRAHTTILHAYSRTGKYKRAISMFEKMKATGLSPTLVTYNVMLDVYGKMGRSWNKILQILDEMRSKGLEFDEFTCSTVISACGREGLLNEAKEFFTGLKSQGYVPGTVTYNSLLQVFGKAGVYTEALSILKEMEDNHCPADSVTYNELVAAYVRAGFYEEGAAVIETMTKKGVMPNAVTYTTVINAYGKAGKEDEALKLFHRMKESGCVPNVCTYNAVLGMLGKKSRSEEMIKILCDMKVSGCSPNRITWNTMLAMCGNKGMHKYVNQVFREMKSCGFEPDRDTFNTLISAYGRCGSEIDATKMYKEMIRVGFTPCVTTYNALLNALARRGDWKAAESVILDMKNKGFRPSETSYSLMLQCYAKGGNVKGIEKIEKDIYDGHIYPSWMLLRTLVLANFRCRALKGMERAFQELRKNGYKPDLVLFNSMLSIFSKNNMYDRAHEMLHLIRESGLTPDLVTYNSLMDMYARAGECWRAEEILIGLRKSGEKPDIVSYNTVIKGFCRKGLMQEAIRIFSEMTTRGIRPCIFTYNTFVAGYASQGMFTEIDDVIGYMIQHNCKPNELTYKIVVDGYCKARRYKEAMDFVSKIKEIDDSFDEQSIDRLAFRVRENLDS >EOY30085 pep chromosome:Theobroma_cacao_20110822:9:3307340:3313306:-1 gene:TCM_037413 transcript:EOY30085 gene_biotype:protein_coding transcript_biotype:protein_coding description:3beta-hydroxysteroid-dehydrogenase/decarboxylase isoform 2 MSGEERWCVVTGGRGFAARHLVEMLIKYEMFAVRIADLPSSIDLEPYEENGLLGEALRTGRARYVSADLRHKAQVLKALEGVEVVFHMAAPNSSINNYQLHHSVNVQGTKNVIEACVELKVKRLIYTSSPSVVFDGVHGIFNGNESLPYPPDHNDSYSATKAEGEALVIKSNGVKGLLTCCIRPSSIFGPGDKLLVPSLVSAARAGKSKFIIGDGNNVYDFTYVENVAHAHICAERALASGGEVAEKAAGQAYFVTNMEPIKFWEFVSQILEGLGYQRPKIKIPAFAIMPIAYLVEWTYRLLGPYGMKVPQLTPSRVRLLSCSRSFDCSKAKDRLGYSPLVSLEDGIQKTIESYSYLRAENPPKREGPSKASVYLGQGRVADTLLWKNKRQTLTVLLVLFAIYYNFIASGSTIITALSKLLLVSLSFLFIHGSLPNRILGYKVEKVPASNFHLSEEKSREVVMLMASSWNGAVNVLKSLCKGKDWILFLKEISGLQEE >EOY30083 pep chromosome:Theobroma_cacao_20110822:9:3302467:3313449:-1 gene:TCM_037413 transcript:EOY30083 gene_biotype:protein_coding transcript_biotype:protein_coding description:3beta-hydroxysteroid-dehydrogenase/decarboxylase isoform 2 MSGEERWCVVTGGRGFAARHLVEMLIKYEMFAVRIADLPSSIDLEPYEENGLLGEALRTGRARYVSADLRHKAQVLKALEGVEVVFHMAAPNSSINNYQLHHSVNVQGTKNVIEACVELKVKRLIYTSSPSVVFDGVHGIFNGNESLPYPPDHNDSYSATKAEGEALVIKSNGVKGLLTCCIRPSSIFGPGDKLLVPSLVSAARAGKSKFIIGDGNNVYDFTYVENVAHAHICAERALASGGEVAEKAAGQAYFVTNMEPIKFWEFVSQILEGLGYQRPKIKIPAFAIMPIAYLVEWTYRLLGPYGMKVPQLTPSRVRLLSCSRSFDCSKAKDRLGYSPLVSLEDGIQKTIESYSYLRAENPPKREGPSKASVYLGQGRVADTLLWKNKRQTLTVLLVLFAIYYNFIASGSTIITALSKLLLVSLSFLFIHGSLPNRILGYKVEKVPASNFHLSEEKSREVVMLMASSWNGAVNVLKSLCKGKDWILFLKVVFALLLLSFLGAMSLRTMFVIGLPLAFIAFYVYEKKEQEIDSLILEAFSVGCKLKSDIARKLVASKKND >EOY30084 pep chromosome:Theobroma_cacao_20110822:9:3307462:3313258:-1 gene:TCM_037413 transcript:EOY30084 gene_biotype:protein_coding transcript_biotype:protein_coding description:3beta-hydroxysteroid-dehydrogenase/decarboxylase isoform 2 MSGEERWCVVTGGRGFAARHLVEMLIKYEMFAVRIADLPSSIDLEPYEENGLLGEALRTGRARYVSADLRHKAQVLKALEGVEVVFHMAAPNSSINNYQLHHSVNVQGTKNVIEACVELKVKRLIYTSSPSVVFDGVHGIFNGNESLPYPPDHNDSYSATKAEGEALVIKSNGVKGLLTCCIRPSSIFGPGDKLLVPSLVSAARAGKSKFIIGDGNNVYDFTYVENVAHAHICAERALASGGEVAEKAAGQAYFVTNMEPIKFWEFVSQILEGLGYQRPKIKIPAFAIMPIAYLVEWTYRLLGPYGMKVPQLTPSRVRLLSCSRSFDCSKAKDRLGYSPLVSLEDGIQKTIESYSYLRAENPPKREGPSKASVYLGQGRVADTLLWKNKRQTLTVLLVLFAIYYNFIASGSTIITALSKLLLVSLSFLFIHGSLPNRILGYKVEKVPASNFHLSEEKSREVVMLMASSWNGAVNVLKSLCKGKDWILFLKDFHLPL >EOY30086 pep chromosome:Theobroma_cacao_20110822:9:3308065:3313406:-1 gene:TCM_037413 transcript:EOY30086 gene_biotype:protein_coding transcript_biotype:protein_coding description:3beta-hydroxysteroid-dehydrogenase/decarboxylase isoform 2 MSGEERWCVVTGGRGFAARHLVEMLIKYEMFAVRIADLPSSIDLEPYEENGLLGEALRTGRARYVSADLRHKAQVLKALEGVEVVFHMAAPNSSINNYQLHHSVNVQGTKNVIEACVELKVKRLIYTSSPSVVFDGVHGIFNGNESLPYPPDHNDSYSATKAEGEALVIKSNGVKGLLTCCIRPSSIFGPGDKLLVPSLVSAARAGKSKFIIGDGNNVYDFTYVENVAHAHICAERALASGGEVAEKAAGQAYFVTNMEPIKFWEFVSQILEGLGYQRPKIKIPAFAIMPIAYLVEWTYRLLGPYGMKVPQLTPSRVRLLSCSRSFDCSKAKDRLGYSPLVSLEDGIQKTIESYSYLRAENPPKREGPSKASVYLGQGRVADTLLWKNKRQTLTVLLVLFAIYYNFIASGSTIITALSKLLLVSLSFLFIHGSLPNRILGYKVEKVPASNFHLSEEKSREVVMLMASSWNGAVNVLKSLCKGKDWILFLKVLSFS >EOY30933 pep chromosome:Theobroma_cacao_20110822:9:6341382:6346503:-1 gene:TCM_037974 transcript:EOY30933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELELELKLMHHACGFSETNRIVKGTKLSEPGTPHRNNETEPPRARDGKERKTTHMRDLSLPANCNVPFPSLFAVENLGDERDTVMFAIHWWCSFQLASIFKLVQLSNVDVYVEL >EOY33698 pep chromosome:Theobroma_cacao_20110822:9:38674934:38677028:-1 gene:TCM_041601 transcript:EOY33698 gene_biotype:protein_coding transcript_biotype:protein_coding description:SELT-like protein precursor MDRAQLLLLGLPLVLFVSDLFSLFTPPPPKPPSHHHHHHHHSQPKPPLIDETLDIPLRKPSALGGIGYGSTVNINFCASCSYRGTAVTMKKMLETQFPGIDVILANYPPPLPKRLLSKVVPVFQFGVIGIIMAGEHIFPMIGIMTPPPWYYSLRANRFGSIASAWLLGNVLQSFLQSSGAFEVYCNDELVFSKLGEGRFPGEIELKDLVSKTLAKSTVTDNVGVVWP >EOY31694 pep chromosome:Theobroma_cacao_20110822:9:12290189:12303545:1 gene:TCM_038748 transcript:EOY31694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein MGIFEPYRAIGYITSGVPFSVQRLGTETFLTVSVGKAFQIYNCSKLNLVLIGPQLPKKIRALASYRDFTFAAYGTDIAVFKRAHQVANWSRHNAKVNLLLLFGDHVLSVDADGNVFIWTFKGIEDNLAPIGHIMLDAKFTPTCIMHPDTYLNKVLIGSQEGSLQLWNISTKKKLYEFKGWNSGICSCVSSPALDVIAVGCTDGIIHVHNIRYDEEVITYKHSARGTVTALSFSTDGQSLLASGGSSGVISIWNLEKRRLQSVIREAHESSIISLHFFANEPVLMSSSADNSIKMWIFDTSDGDPRLLRFRSGHSAPPLCIRFYANGRHILSAGQDRAFRLFSVIQDQQSRELSQRHISKRAKKLRMKEEELKLKPVIAFDCAEIRERDWCNVVTCHMDTEQAYVWRLQNFVLGEHILRPCPENPTPVKACAISACGNFAVLGTAAGWIERFNLQSGSSRGSYLDMSKRSAHDGEVIGVACDSTNTLMISAGYHGDIKVWDFKGRELKSRWEIGCAVVKIVYNRLNGLLATVTDDLLIRIFDIVALRMVRKFEGHTDRITDLCFSEDGKWLLSSSMDGSLRIWDVVLARQIDAINVDVSITALSLSPNMDVLATTHVDQNGVYLWVNQSIFSGASNVDSFASGKEVVKVKLPSISSMDGSQTEDSNEPVVDNSVSKDTSVSATFIKQIPELVTLSLLPKSQWQSLINLDIIKVRNKPIEPPKKPEKAPFFLPSIPSLSGEILFTPSEPADGDNEAKDNEVEKNDGKFDMPPSPFLQLLQSSAEMKNFSAFTDYVKGLSPSTLDMELRMLQIIDDENQQELDSRPEMISIELLLDYFIHEVSCRNNFEFIQALIRLFLKIHGETIRCHSKLQGKARQLLEIQCDVWQRVDTLFQGTRCMVTFLSNSQF >EOY32786 pep chromosome:Theobroma_cacao_20110822:9:33521320:33523028:-1 gene:TCM_040803 transcript:EOY32786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQMLNCKIFFINLYLKNYKVLFIDKSISLYKYNFLYKHNCLDKYKYLNKLNYLNIHNYSKDFLLLLLLKCSTQNILYKIF >EOY30486 pep chromosome:Theobroma_cacao_20110822:9:4759810:4761237:-1 gene:TCM_037683 transcript:EOY30486 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate MEIPVIDLDELHGEKRSQTMALLHEACEKWGFFQVDNHGIDMKLMERVKELVNTYYEENLKESFYESEIVKCLKNKESMSNMDWESTFFIWHRPTSNINEIPNLSEEHCKTMDEYIAQLIKLAEKLSELMCENLGLEKNYIKEAFTGSKGPAVGTKVAKYPQCPNPELVRGLREHTDAGGIILLLQDEQVPGLEFLKDGAWVQIPPSKNNTIFVNTGDQVEVLSNGRYKSVLHRVLAEKNGSRLSIATFYNPAGDALISPAPKLLYPNHYRFQDYLKLYSTTKFSEKDPRFESMKTMANGHRNLHV >EOY31124 pep chromosome:Theobroma_cacao_20110822:9:7135721:7141190:1 gene:TCM_038123 transcript:EOY31124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCETATQAWNKLQEEFLRTNRTRQMKASNVRREFVLMRLKETQTVKEYINQVMRLVNQIRMLGENLPEVRVVEKILISIPEKFKATIASLEQAKDVSDITVTELVGALEAAKQRRSARSNSRTEVAMTKLAPSDKTQSTENAEVEEETLFMASTDSFYDIDNLEWLIDSGCSNHMTPSEDVFIYFRSRFPLFNSEPTECWTNVGALLYSALQGFGICTIYEPNGNYLMTVPMKNRSFSLNWKETCMQATQSPGTDTELWHKRLEHCNYISLKQLGKMSRKPFPSASMHRAKSKLELIHTDLAGPMSVESLSGDKFYFIFIDDMSRFCWICFLKSKSQVFELFLQFKAKVDLETGHKIKTLRSDNGSEYTSNVFTDYLKNAGIHHQLTAPLHLNRMAITVSSNVTFDERSYWNRNTISNANESTSAPFTVNVDLGISEFSNDLEETGDDIDDVPVRGTRSITDVYHRSMLAVDEPSSFAEACGFLEWYEAMREELATINKNQTWSLVDRPANHHVIGVKWVFKRKLNPDGSVNKHKARLVDKGFRTYAPVARFDTIRLLIALSAAFGCKVHHLDVKSTFLNGSLNE >EOY34214 pep chromosome:Theobroma_cacao_20110822:9:40304913:40313704:1 gene:TCM_041954 transcript:EOY34214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHFLLSTLTIVYVLTTPRPEEEENESVAAMRERQKWENADYMCKGHILNGLADGLFDTYQNEATAK >EOY32296 pep chromosome:Theobroma_cacao_20110822:9:26244180:26247900:-1 gene:TCM_040034 transcript:EOY32296 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-type lectin S-receptor serine/threonine-protein kinase SD3-1 MFLAWPNQLEHETPIKHFQGKCLQLGTALVVVLVLGFAYCGFSDELPMASVPLGFEISGFDKTRTWVSQNGLFAFGFLEGRQRADDVDGLFVGIRYNLRDKAANLPVWTVGGGIRVSDNSTVRLSMDGRLILFDNPSGLIVWSSNTSSLGVKKATLLNNGNLVLMDMDDNVLWESFDRPTTTLLPGQSLRFPQTLRAPSTKSVSSYYSFVIRHSGELALVWEANVTYWRIDLSSNDVIMEAKFDSNGALGLVDDKSRTVWSIASKDFEDPSVALRHLRIDSDGNLRIYSWVNSLHAWRVGWRAVENQCNIFGSCGLYSICGFNLTGPICDCLYQDSVAWGSDLPLVDSTGSGCRKMADLENCKMRTSMSTLRRTVLYGLYPPQDVDMMLSEAACKEYCSNDTSCVAATSKNDGSGVCTIKRTSFVSGYRSPSSPAVSFLKVCLLPQAVSARGANPRNSAKSIPLTSTRFLGHGGDKNMFIRAIILIVSVTTMGFITIEMFVLWYIYRRRQIEAQARIPFGKYTQMNHHCSFLIRVSFEEIKQLTNNFTKQLGPCVYKGVFPHKSPIVVKVLNNVVASERDFQVVVSTLGRMYHQHLVPLKGSCLEEEHKCLLYEYISNGSLDKWLFDVEKRKTELNWQQRLDIALGVARALAYLHTECQTCVAHGNLKLENVLLDEKLVPKVTDFGLRTLLGKEAASSSESPIERDIFMFGEMLMQIVTRERDILGGNMHSLITMISEEHKLEDSVASEKLERAVRIALWCLQNQPFLRPSIGEVVKVLEGSLSVDRPPSNIAFKQG >EOY31113 pep chromosome:Theobroma_cacao_20110822:9:7097831:7100157:-1 gene:TCM_038117 transcript:EOY31113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF567) [Source:Projected from Arabidopsis thaliana (AT3G15810) TAIR;Acc:AT3G15810] MIPTSFNKPPAAAAIRQVGNLSSSFRPQSLESVYGTSQTKKDHHNQLKSNTKLGKKAIKLQATNVDLLLPAFLYQFLSLFPISALLYSKIYLFSSGITMARAVLVDDRFCFEEETHLTVHKTSVFFPGDGFVVYNPKGELIFRFDSYYGPDSQAKDELVLMDATGECLLTLIRKKPSLHQRWEGLLGEKMENENPIFCVRRSSIIGQSSVVVGVYGDPGEEYQIEGSFPQRCCTINSTCLERSSRNRWLRSKEKWTLKLIYCGQRCVSALPSATV >EOY33901 pep chromosome:Theobroma_cacao_20110822:9:39294008:39294942:1 gene:TCM_041739 transcript:EOY33901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 60 MFPLTFLFSPPRSSAPLPPTTSTVSRRMISSTTIHIMALDGIVNVNSLFTFALFLGLAWYPTPTLIDPSSPACAADSNVAENLIACHVYSFSSFLFSSLIASAIKQAIKISKDSKDVTGHGVGASLVDVNLVALRVGMLASGFGSVFGCGFLMMALVVMVQIKLGTLSCGSLYTFAAIGPLVVLVPLALLIYISLVIYAFTR >EOY33907 pep chromosome:Theobroma_cacao_20110822:9:39305940:39308205:1 gene:TCM_041745 transcript:EOY33907 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyltransferase, putative isoform 1 MEKQRQRRCRLVLVPLPFRGHINPMLQLGSILLSKGFSITVIHTAFNSPDPSNHPEFHFVSIPHNLSDQVISSGNLVLLISVLNVNCQAPFQECLVRVVEQQDEQEEIACIIYDELMYFSEAAAKYVKLPSIIFRTTSASTYISRSGILQLKAEGILSLQGTCSTSQDLVPGLHPLRFRDLPVSKFGIPENFLRLISNMSKTRTSSAVIWNTIDVLEQSSLPKIQKQCQVPIFPIGPLHKVAPASSSSLLKEDTGCITWLDQQIQNSVLYISLGSVASIDKKELVEMAWGLANSKQPFLWVIRPGLVDDLEWNKLLPEGFIETVGENGCIVKWAPQTEVLAHGAVGGFWTHCGWNSTLESISEAVPMICRPCFGDQRVNARFVSHEWRTGLQLENPFERGKIERAIRSLMVEKEGEEMRQRAWNLKEMVELCVREGGSSYVSLNQLVELIISF >EOY33908 pep chromosome:Theobroma_cacao_20110822:9:39306552:39308922:1 gene:TCM_041745 transcript:EOY33908 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyltransferase, putative isoform 1 MLQLGSILLSKGFSITVIHTAFNSPDPSNHPEFHFVSIPHNLSDQVISSGNLVLLISVLNVNCQAPFQECLVRVVEQQDEQEEIACIIYDELMYFSEAAAKYVKLPSIIFRTTSASTYISRSGILQLKAEGILSLQGSTSQDLVPGLHPLRFRDLPVSKFGIPENFLRLISNMSKTRTSSAVIWNTIDVLEQSSLPKIQKQCQVPIFPIGPLHKVAPASSSSLLKEDTGCITWLDQQIQNSVLYISLGSVASIDKKELVEMAWGLANSKQPFLWVIRPGLVDDLEWNKLLPEGFIETVGENGCIVKWAPQTEVLAHGAVGGFWTHCGWNSTLESISEAVPMICRPCFGDQRVNARFVSHEWRTGLQLENPFERGKIERAIRSLMVEKEGEEMRQRAWNLKEMVELCVREGGSSYVSLNQLVELIISF >EOY31236 pep chromosome:Theobroma_cacao_20110822:9:7669182:7670217:-1 gene:TCM_038197 transcript:EOY31236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGPGTVTYITAFIVAYGFLLQVPALATPPPPPKTTIPFPPLFGQDPDVQMCLTTLQTVQGCLQEVITSFLSLQLQLVGPACCKTFLEIEDKCLPKIFPSDPFFVTLLGNHCSTVVLQAAQTPPATAARKVIGNRNN >EOY31962 pep chromosome:Theobroma_cacao_20110822:9:18224094:18235221:1 gene:TCM_039332 transcript:EOY31962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase-like 4 isoform 1 MSVLIVTSLGDIVIDLYTDKCPLTSKNFLKLCRIKYYNGCLFHTVQKDFTAQTGDPTGTGSGGDSVYKFLYGDQARFFGDEIHLDLKHAKKGTVAMASAGENLNASQFYFTLRDDLDYLDGKHTVFGEVAEGFATLTRINEAYVDEKNRPYKNIRIKHTYILEDPFDDPPQLSELIPDASPEGKPKDEVDDDVRLEDDWVPMDEQLGTAELEEVLRAKDAHSRAVVLESIGDIPDAEIKPPENVLFVCKLNPVTEDEDLHTIFSRFGTVLSAEVIRDYKTGDSLCYAFIEFETKEACEQAYFKMDNALIDDRRIHVDFSQSVAKLWSQYRRKDHQKGQGGCFKCGALDHIAKDCTGGPSTKLQPSKYILKDENIQHGGASSSRYEMVFDGDTPQSPRQGKRHRARDPDDHEYRYKVNKYSSDAIEGKDFNDKDRQRNRRRDNRVDVGQSGGRRDEKYLKDDIKGEMHMDRQRGRDEHSRRKSSSDICGDDQRDDGDHRKRYADNDSPPNRRHNGDHKKSGDRNIYVDKRDERDYWKKNADSNKRDTRNDPSYRKSGSNDHGHKHRRAERTDRHQRMEKDDYDRDGRHRGDRKR >EOY31963 pep chromosome:Theobroma_cacao_20110822:9:18224276:18235225:1 gene:TCM_039332 transcript:EOY31963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase-like 4 isoform 1 MSVLIVTSLGDIVIDLYTDKCPLTSKNFLKLCRIKYYNGCLFHTVQKDFTAQTGDPTGTGSGGDSVYKFLYGDQARFFGDEIHLDLKHAKKGTVAMASAGENLNASQFYFTLRDDLDYLDGKHTVFGEVAEGFATLTRINEAYVDEKNRPYKNIRIKHTYILEDPFDDPPQLSELIPDASPEGKPKDEVDDDVRLEDDWVPMDEQLGTAELEEVLRAKDAHSRAVVLESIGDIPDAEIKPPENVLFVCKLNPVTEDEDLHTIFSRFGTVLSAEVIRDYKTGDSLCYAFIVLCQVHIQRTWVWRFDPPNIGKNLRKKIECTHIQHSLSSLSNIVQESITEFETKEACEQAYFKMDNALIDDRRIHVDFSQSVAKLWSQYRRKDHQKGQGGCFKCGALDHIAKDCTGGPSTKLQPSKYILKDENIQHGGASSSRYEMVFDGDTPQSPRQGKRHRARDPDDHEYRYKVNKYSSDAIEGKDFNDKDRQRNRRRDNRVDVGQSGGRRDEKYLKDDIKGEMHMDRQRGRDEHSRRKSSSDICGDDQRDDGDHRKRYADNDSPPNRRHNGDHKKSGDRNIYVDKRDERDYWKKNADSNKRDTRNDPSYRKSGSNDHGHKHRRAERTDRHQRMEKDDYDRDGRHRGDRKR >EOY34661 pep chromosome:Theobroma_cacao_20110822:9:41718285:41724477:1 gene:TCM_042259 transcript:EOY34661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the inner envelope membrane of chloroplasts 110 isoform 2 MNPSLLTAPPSSRPCPLVSFPPFGLTPNSLPRRRRYRVSFPRNSISTDDQSPMSTSSTETTATTPTAPDIFGGPKELTGIQPVVEKLSPPLRVATSVVILAGALAAGYGIGLRLGGNRNAALGGAAILGAAGAAAAYAVNAAVPEVAAVSLHNYVAGCDGPEAVRKEDIENIAQKYGVSKQDDAFNLELCDLYSLFASSVLPSGSEDLRGDEVETIISFKNALGIDDPDAASMHMEIGRRIFRQRLETGDRDGDLEQRRAFQKLIYVSTLVFGDASNFLLPWKRVFKVTDAQQVEIAIRDNAKQLYASKLSSVGRDVDVKLLVSLREAQLKYKLSDELAKDLLMEHKRKLVEENISVALNILKSRTRTVGGVKQAVEELDKILAFNDLLTSLSNHPDADHFARGVGPVSLVGGEYDSDRKMDDLKLLYRAYVTDSLSGGRMEKNKLTALSQLRNILGLGNKEAEAIILDVTSKVYQKRLSEVFQSGDLEMADSKAAFLQNLCEELHFDPQKASEIHEEIYRKKLQQCVADGELDEKDVAALLKVRVMLCIPQQTVDAAHSDICGSLFEKAVKDAIAAGVDGYDADVRKAVRKAAHGLRLTREAAMSIASKAVRKIFLNYVKRSRSAENRTESAKDLKKMIAFNTLVVTELVADIKGESSDTPTEEPVKEDVEKLYEDDEWESLQTLRKIRPNKELTAKMGKPGQTEITLKDDLSERDRMDLYKTYLLYCLTGEVTRIPFGAQITTKKDDSEYVFLNQLGGILGLTAKETVEVHRSLAEQAFRQQAEVILADGQLTKARVEQLNELQKNVGLPGPYAQKVIKSITTTKMAAAIETAIGQGRLNIKQIRELKEAGVDLDNMISESLRENLFKKTVDEIFSSGTGEFDEEEVYEKIPTDLKVNSQKAKGVVHDLARTRLSNSLIQAVSLLRQRNRQGVVSSLNDMLACDKAVPSETLSWEVPEELADIFGIYAKSNPAPEKLSRLQYLLGISDSVAAAVKEMGDGVLSAGAEEEKFVF >EOY34660 pep chromosome:Theobroma_cacao_20110822:9:41718361:41727412:1 gene:TCM_042259 transcript:EOY34660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the inner envelope membrane of chloroplasts 110 isoform 2 MNPSLLTAPPSSRPCPLVSFPPFGLTPNSLPRRRRYRVSFPRNSISTDDQSPMSTSSTETTATTPTAPDIFGGPKELTGIQPVVEKLSPPLRVATSVVILAGALAAGYGIGLRLGGNRNAALGGAAILGAAGAAAAYAVNAAVPEVAAVSLHNYVAGCDGPEAVRKEDIENIAQKYGVSKQDDAFNLELCDLYSLFASSVLPSGSEDLRGDEVETIISFKNALGIDDPDAASMHMEIGRRIFRQRLETGDRDGDLEQRRAFQKLIYVSTLVFGDASNFLLPWKRVFKVTDAQVEIAIRDNAKQLYASKLSSVGRDVDVKLLVSLREAQLKYKLSDELAKDLLMEHKRKLVEENISVALNILKSRTRTVGGVKQAVEELDKILAFNDLLTSLSNHPDADHFARGVGPVSLVGGEYDSDRKMDDLKLLYRAYVTDSLSGGRMEKNKLTALSQLRNILGLGNKEAEAIILDVTSKVYQKRLSEVFQSGDLEMADSKAAFLQNLCEELHFDPQKASEIHEEIYRKKLQQCVADGELDEKDVAALLKVRVMLCIPQQTVDAAHSDICGSLFEKAVKDAIAAGVDGYDADVRKAVRKAAHGLRLTREAAMSIASKAVRKIFLNYVKRSRSAENRTESAKDLKKMIAFNTLVVTELVADIKGESSDTPTEEPVKEDVEKLYEDDEWESLQTLRKIRPNKELTAKMGKPGQTEITLKDDLSERDRMDLYKTYLLYCLTGEVTRIPFGAQITTKKDDSEYVFLNQLGGILGLTAKETVEVHRSLAEQAFRQQAEVILADGQLTKARVEQLNELQKNVGLPGPYAQKVIKSITTTKMAAAIETAIGQGRLNIKQIRELKEAGVDLDNMISESLRENLFKKTVDEIFSSGTGEFDEEEVYEKIPTDLKVNSQKAKGVVHDLARTRLSNSLIQAVSLLRQRNRQGVVSSLNDMLACDKAVPSETLSWEVPEELADIFGIYAKSNPAPEKLSRLQYLLGISDSVAAAVKEMGDGVLSAGAEEEKFGCDASVLLDDDDSSNFTGEKSAGPNANSLRGFEVIDAIKTQVESICPGVVSCADILAVTARDSVVALGGPSWTVQLGRRDSTTASFSNANTDLASPLMNLDELISLFSNKGFTAKEMVALSGAHTTGQARCLLFRNRIYNETNIDSAFAKSVQSNCPSAGGGDNLSALDVTSPVVFDNAYFKNLVNNKGLLHSDQQLFNGGSVDSQVTTYSNNPITFYADFGDAMVKMGNLSPLTGTNGEIRTNCRKVN >EOY32439 pep chromosome:Theobroma_cacao_20110822:9:29430258:29484363:1 gene:TCM_040363 transcript:EOY32439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan synthase-like 12 MAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLKKVVTPIYDVIWREAERSKRGQSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFSLPIEQVREKNGDNKPSNNDRWMGKVNFVEIRSFWHVFRSFDRMWSFFILSLQAMIIIAWHGSGQPSSIFRGDLFKKVLSVFITAAILKLGQAVLDVILSWKAQQSMSFHVKLRYILKVVSAAAWVIILPVTYAYTWDDPSGFARTIQSWFGNASNSPSLFILAVVIYLSPNMLAAILFLFPFVRRFLESSHYKIVMLMMWWSQPRLYVGRAMHESTFSLFKYTMFWVLLIITKLAFSYYIEIKPLVGPTKAVMSVRISKFQWHEFFPRAKNNIGVVIALWAPIILVYFMDTQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNARLIPEGEIERKKKGVWGFFSRSFGQPPSNKEKEAAKFAQLWNKIISSFRQEDLISNKEMNLLLVPYWADRDLEQIQWPPFLLASKIPIALDMAKDSDSRDKELQKRIEADRYMSCAIRECYASFRSIIKFLVEGPREKKVIDAIFSKVDELIEHGSLIKTYKMSALPSLYDHIVKLIKFLLENKQEERGQVVLCFQDMLETVTKDIMTEDDSSLVDSTHGVLDQHYQLFDQKKLDQLFASAGAIKFPISPVTEAWKEKINRLYLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPAAPKVRNMLSFSVLTPYYTEEVLFSLQELENPNEDGVSILFYLQKIFPDEWNNFLERVKCSSEEELKESPELEEHLRLWASYRGQTLTRTVRGMMYYREALELQAFLDMAKHEDLMEGYKAIELSTEDNKEDRSLKVQCEAVADMKFTYVVSCQQYGIQKRSGEQRAQDILRLMTKCPSLRVAYIDEVEQRNEDRLKKLNGKVNYFTVLVRAVPKSSDSSEPVQNLDQEIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTKHDGVRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYLSTLITVLTVYVFLYGRLYLVLSGLEQGLSEQPAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFADNYRLYSRSHFVKGIEMMILLLVYQIFGHTYRSAVAYVLITVSLWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWINNRGGIGVPPGKSWESWWEEEQEHLQYSGKRGILAEILLALRFFIYQYGLVYHLNVIKENRSFLIYGASWLVIVLILFVMKTVSVGRRKFSASYQLVFRLIKGLIFLTFIAILVTLIALPHMTLQDIIVCILAFMPTGWGMLLIAQALRPFVKKAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKDRTSRNKE >EOY31708 pep chromosome:Theobroma_cacao_20110822:9:12861854:12874545:-1 gene:TCM_038795 transcript:EOY31708 gene_biotype:protein_coding transcript_biotype:protein_coding description:CemA-like proton extrusion protein-related isoform 1 MSTSMVLCDHLKLINQYLPQASSLCVSWRNPSSFIFHLSCKGKRFSGFVANAANDNSNYSKKRKRKRSWWQRFFFDDDGNWLGLKDDDMLEEGKEEFSESEELLEGDKFEAWKRRAEAIIELREAQEDVRNEESRRWEDWIVVGDDDKHSAAKDWDDDGRDDDGLGDYWGKDKGNGLVKSVRDLVLGREDEDILYEDRVFRYASLNSAKFVAALVLIPCALDFVVHDYVLMPFLDRYVKTVPLAAEMLDVRRSQKLEMVKQLKVEKARFRFEVEIGKSPPLSDEELWWELRHKALELREEFRLENRKAFANIWSDMVFGISLFLLLHLNQSKVALMKFAGYKIISNISDTGKAFLIILITDIFLGYHSESGWQTLLEIIVEHYGLQVDQSAITVFVCLIPVVADACVKLWLFKFLPRLSPRVANIFQEMKRH >EOY31709 pep chromosome:Theobroma_cacao_20110822:9:12862261:12874506:-1 gene:TCM_038795 transcript:EOY31709 gene_biotype:protein_coding transcript_biotype:protein_coding description:CemA-like proton extrusion protein-related isoform 1 MSTSMVLCDHLKLINQYLPQASSLCVSWRNPSSFIFHLSCKGKRFSGFVANAANDNSNYSKKRKRKRSWWQRFFFDDDGNWLGLKDDDMLEEGKEEFSESEELLEGDKFEAWKRRAEAIIELREAQEDVRNEESRRWEDWIVVGDDDKHSAAKDWDDDGRDDDGLGDYWGKDKGNGLVKSVRDLVLGREDEDILYEDRVFRYASLNSAKFVAALVLIPCALDFVVHDYVLMPFLDRYVKTVPLAAEMLDVRRSQKLEMVKQLKVEKARFRFEVEIGKSPPLSDEELWWELRHKALELREEFRLENRKAFANIWSDMVFGISLFLLLHLNQSKVSF >EOY33295 pep chromosome:Theobroma_cacao_20110822:9:36429601:36434768:1 gene:TCM_041246 transcript:EOY33295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor-related, putative isoform 2 MGALLKEALKSLCGVSQWCYAVFWKIGCQNTKLLIWEECYYEPTLSAVPPCIAGVENRELPFGEWEGDWGSETSSQLGSQPWDKVHLLINKMMNNRINIVGQGLVGRAAFTGNHQWILANNYITDTHPPEVLNEVHLQFSAGMQTVAVIPVLPHGVVQLGSSTSILENMGFMNDVKSLILHLGWIPGALLSNSYGTSECVEKIGIPISLGKPISMDSAGIYRSTNSMTSVTEGCNQQSNSSQASRVIGQSPSLIKQIQENSQGTASTTQLPGLTQTLDKSHDDHCESKICPEMKPNLIFKSQMDCGVVGAEVIPLNPTLWLNPQVSFCNSQSGFNCQPIIGQSIASRSSIKSMEQQILSDAGLQNHVTDSISASNSQMKPKSIPGIVPILQKLEDVTSSCTQLAGSGVQKVGASRVEVPLSILANQLNNNRMLSGVSNQGHDSEDSKCTQADLVPKKESMDNDLFQALNIPLLHAEDALPFSEQLPSAIHNCLKHETEGLSTRSLNAQPPSGDDLFDVLGADLKSKLLNGKWNHVLAEGPDLKMQNLVKDTSIFRDMQNVFSDLFSANEGVSDRGIYSGVGTDHLLDAVVSSAQSAAKQISDDDVSCRKALTRFSNSSVPSSSPTYGQVSISNQAQGELLAGLPKSLLKGGTLPSSSYRSGCSKDDAGTCSQTTSMYGSQISSWVEQGHNSRRDSSVSTAYSKRNDDMTKPNRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKHRCSARKDHQAYAFLAKCNKACRQAKTNGRV >EOY33294 pep chromosome:Theobroma_cacao_20110822:9:36428549:36436623:1 gene:TCM_041246 transcript:EOY33294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor-related, putative isoform 2 MGALLKEALKSLCGVSQWCYAVFWKIGCQNTKLLIWEECYYEPTLSAVPPCIAGVENRELPFGEWEGDWGSETSSQLGSQPWDKVHLLINKMMNNRINIVGQGLVGRAAFTGNHQWILANNYITDTHPPEVLNEVHLQFSAGMQTVAVIPVLPHGVVQLGSSTSILENMGFMNDVKSLILHLGWIPGALLSNSYGTSECVEKIGIPISLGKPISMDSAGIYRSTNSMTSVTEGCNQQSNSSQASRVIGQSPSLIKQIQENSQGTASTTQLPGLTQTLDKSHDDHCESKICPEMKPNLIFKSQMDCGVVGAEVIPLNPTLWLNPQVSFCNSQSGFNCQPIIGQSIASRSSIKSMEQQILSDAGLQNHVTDSISASNSQMKPKSIPGIVPILQKLEDVTSSCTQLAGSGVQKVGASRVEVPLSILANQLNNNRMLSGVSNQGHDSEDSKCTQADLVPKKESMDNDLFQALNIPLLHAEDALPFSEQLPSAIHNCLKHETEGLSTRSLNAQPPSGDDLFDVLGADLKSKLLNGKWNHVLAEGPDLKMQNLVKDTSIFRDMQNVFSDLFSANEGVSDRGIYSGVGTDHLLDAVVSSAQSAAKQISDDDVSCRKALTRFSNSSVPSSSPTYGQVSISNQAQGELLAGLPKSLLKGGTLPSSSYRSGCSKDDAGTCSQTTSMYGSQISSWVEQGHNSRRDSSVSTAYSKRNDDMTKPNRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLERTIKHMLFLQSVTKHADKLKQTGESKIKENFEGGATWAFEVGSQSMICPIVVEDLNPPRQMLVEMLCEERGFFLEIADLIRGLGLTILKGVMETRNDKIWARFAVEANRDVTRVEIFMSLVRLLEQAVKGSASSANAFDSNNMMVQHSFPQAASIPATGRASSLQ >EOY32323 pep chromosome:Theobroma_cacao_20110822:9:27047804:27051876:1 gene:TCM_040108 transcript:EOY32323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALKANFSSDSSSKKDNSWIIDTRATDHITHQIDSLLNMVENSNIPPVRIPNGDTMLVHALKPIRASKVFLAKGKNNVILWHHRLGHIPLDHLSIVPNLSITYTRKDNFFCNACCRAKQTKVHFLIHKNKSLLAFNLIHCDIWGPYHTTSFSRAHYFLTIVDDYNWATWVFLLKHKLETKNLLPYFFQWVKTQFNAQIKILKTDNGLEFKHEDFMDHYFEYDYTLPPSLDPNSHSSSPSVEHYKARLVTKGYTQIEGVDFHETIAPIVKLVMVHYLLAIVAVRCWELHQLNVNNAFLHGDLKEKVYMKMPQGFAKKRGITYWAGCPTTCRLTMGYIIFLGSSPISWRSKKQSVISCSSIEVEYRAMATTASKIIWLLRLLVDLPISHSTPASLFYDN >EOY30638 pep chromosome:Theobroma_cacao_20110822:9:5235117:5236211:1 gene:TCM_037774 transcript:EOY30638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPDGPEPKRRKVHKPPPRRTVSSPASSKAKDATSPSSLTVPWAQTSGINFRIQQAKNFAVAQAQQDGCTGNYKNFDSQYGNFLIPVVPSRAELTG >EOY33725 pep chromosome:Theobroma_cacao_20110822:9:38797361:38800463:-1 gene:TCM_041625 transcript:EOY33725 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein MSEKLAPEERHSFVHNGEKVFEWDQTLDEVNVYINLPPNVHSKQFYCKIQSKHVEVGIKGNPPYLNHDLFCPVKTDSSFWTLEDDIMHITLQKRDKGQTWPSPILGQGQLDPYATDLEQKRLMLQRFQEENPGFDFSQAQFTGSCPDPRTFMGGIRSD >EOY32584 pep chromosome:Theobroma_cacao_20110822:9:31670930:31679185:-1 gene:TCM_040576 transcript:EOY32584 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 domain-containing transcription factor MGSMNSNNWLSFPLSPTNSSLPTHLHPSQSHQFNLGLVNDNVENPFQAQEWNLINTHDTSEVPKVADFLGVSKSESPSLDLVAFNEIHQANDSDYSFQSNSIVPVQNAVVAANNNYEYQENANNLQSLTLSMGSGKGSTCETSGENSTNTVEAPPRRTLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKELEEMKHMTRQEFVAAIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKAILESNTLPIGGGAAKRLKEAQALESSRKREEMIALGSSFQYGSSTSSRLQAYPLMQTPFEQPQPLLTLQNQDMSQYAQDTSSFHQNYIQTQLQLHQQSGSYLHQPSQNSQFYNSHIQNNPALLHGLMSMGCSSTVVDNNGSSSGSYSGGYLGNGIGMASNSTAGNAVGSTEELALVKVDYDMPSGGYGGWSGDSVQGTNAGVFSMWND >EOY33431 pep chromosome:Theobroma_cacao_20110822:9:37439522:37440841:1 gene:TCM_041402 transcript:EOY33431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCNFVFLLVSAKKSLNKTIVFKFVIKFFCCLESDARLTVFNWMIPCHQKERCIALDFSFHCPFCKKKVSKYDYTTWLCMVGLKSPTASWNSSFFLKRVEELNFAITVLRDGP >EOY30310 pep chromosome:Theobroma_cacao_20110822:9:4189957:4195493:-1 gene:TCM_037568 transcript:EOY30310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive channel of small conductance-like 10, putative isoform 1 MEAGKGLVEKRGTNDVVLHFSSPEDSFVFNKESNDSKTFSSMTEASSYSSPKNLELKQLENLRVQVSTPVTCPSPSSEIARMSPTPNKPPKIPTDKKLTPRKSLGRSAFSKPKSRLVEPAYPNDAKLVEEKSTQIVNVSSSPHRNSPSAASPSNKATTPKENLRSAPVTPKNPLISPSIEEEDDEEVYKTADLKLSENSGKKWKILLLIEFVAFICIMGLLIASLTVHKLEKTMIWGLELWKWCVLILVIFCGRLFTEWMMNIVVFLIEKNYLLKKKVLYFVFGLKGSVRVLVWLGLVLLAWGLLFNHGVKRSKKTNRILNYITRALASCLIGSAIWLVKTLFVKLLASSFQCTRFFDRIQESIFHQYILRALSGPPMMEMAEKVGSSTSMGQLSFKNLIKDRGGEKQEVIDVDRLKKMRQEKVSAWTMKGLINVISGSGLSTIANYIEDVDDEENEQKDKEITSEWEAKAAAYRIFKNVAKSGSKYIEEEDLLRYMKKEEVNNVLPLFEGAVEPGKIKRSTLKNWLVNVYLERKSLAHSLNDTKTAIEELNKLISVILLVVIIIVWLLMMGFLTTQILVFISSQLLLVAFMFGNTAKTVFEAIIFVFVMHPFDVGDRCVIDGIQMVVEEMNILTTVFLRYDNEKIFYPNSVLATKPISNFYRSPEMSDSVEFTVDVSTSVEQIGALKAKIKEYLDSKPQHWRPGHSVQVKDIEDVNKMKMGLYVTHTINFQNYGDKSSRRSELVLELKRIFXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIRYIYIYIYIYNIYIYILINKKIFTRREGVGFRLRARHRRKPMASTSAVSMALPLTRASQKRVPSSEAFFKPPPVKPSRAVATARPNGRLEVKASSLKEKAVAGLTAAALTTSMVIPEVAQAADGVTPSLKNFLLSIVAGGVVLVVLVGAVIGVANFDPVKRS >EOY30311 pep chromosome:Theobroma_cacao_20110822:9:4191879:4195128:-1 gene:TCM_037568 transcript:EOY30311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive channel of small conductance-like 10, putative isoform 1 MEAGKGLVEKRGTNDVVLHFSSPEDSFVFNKESNDSKTFSSMTEASSYSSPKNLELKQLENLRVQVSTPVTCPSPSSEIARMSPTPNKPPKIPTDKKLTPRKSLGRSAFSKPKSRLVEPAYPNDAKLVEEKSTQIVNVSSSPHRNSPSAASPSNKATTPKENLRSAPVTPKNPLISPSIEEEDDEEVYKTADLKLSENSGKKWKILLLIEFVAFICIMGLLIASLTVHKLEKTMIWGLELWKWCVLILVIFCGRLFTEWMMNIVVFLIEKNYLLKKKVLYFVFGLKGSVRVLVWLGLVLLAWGLLFNHGVKRSKKTNRILNYITRALASCLIGSAIWLVKTLFVKLLASSFQCTRFFDRIQESIFHQYILRALSGPPMMEMAEKVGSSTSMGQLSFKNLIKDRGGEKQEVIDVDRLKKMRQEKVSAWTMKGLINVISGSGLSTIANYIEDVDDEENEQKDKEITSEWEAKAAAYRIFKNVAKSGSKYIEEEDLLRYMKKEEVNNVLPLFEGAVEPGKIKRSTLKNWLVNVYLERKSLAHSLNDTKTAIEELNKLISVILLVVIIIVWLLMMGFLTTQILVFISSQLLLVAFMFGNTAKTVFEAIIFVFVMHPFDVGDRCVIDGIQVND >EOY33588 pep chromosome:Theobroma_cacao_20110822:9:38175732:38181907:-1 gene:TCM_041526 transcript:EOY33588 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase protein isoform 2 MGGSKVTEDLISTVRSIVGLEHSEMDIIRALHLAKNDATAAINIIFDSPPNLKPREKQPEPETPNSSSHTTSVSVNPKKSGKDYKNSSFSSNGNVTCGASIVEDEEDATVENDWWLVGSSEVPGLSTSKGRKVKAGDEVCFTFPLKSSSSSPAGPVGKGFGRGRPAAAACSEIVRFSTKNSGEIGRIPNEWARCLLPLVRDKKVKVEGRCKSAPDVLGIMDTIVLSLSVYINSSMFHKYQQTSLKAASNSTEESVFHPLPNLFRLLGLTPFKKAELAPGDLYTKKRPLETKDGSGLHTPLLPTNRFKNQSQSGNEVENEESISDADLDHIVGVGDNSELEEMDPPGTLQCELRPYQKQALHWLIQVEKGHCLDEAATTLHPCWEAYRLADKREPVVYLNVFTGDATIEFPSTNQMARGGILADAMGLGKTIMTIALLVTYSERGGLSDSQSPDQLSDQGGEVSDIFGQSSNSVKNATKFRDFDKLLKQKNKLVNGGNLIICPMTLLGQWKAEIETHVQPGSLSLYVHYGQSRPKDAKLLAQNDVVITTYGVLASEFSAENSEDNGGLYSVWWFRVVLDEAHTIKSSKSQISMAATALVADRRWCLTGTPIQNKLEDLYSLLRFLRVEPWGNWPWWNKLIQKPFEEGDERGLKVVQSILKPIMLRRTKCSTDRDGKPILVLPPADIQVIYCELTEAEKDFYEALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEYSDLNKLAKRFLRGGQNTLEGEAKVLPSRAFVQEVVEELRKGEQAECPICLEAFEDAVLTPCAHRLCRECLLASWRNPNSGLCPV >EOY33587 pep chromosome:Theobroma_cacao_20110822:9:38173187:38181967:-1 gene:TCM_041526 transcript:EOY33587 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase protein isoform 2 MGGSKVTEDLISTVRSIVGLEHSEMDIIRALHLAKNDATAAINIIFDSPPNLKPREKQPEPETPNSSSHTTSVSVNPKKSGKDYKNSSFSSNGNVTCGASIVEDEEDATVENDWWLVGSSEVPGLSTSKGRKVKAGDEVCFTFPLKSSSSSPAGPVGKGFGRGRPAAAACSEIVRFSTKNSGEIGRIPNEWARCLLPLVRDKKVKVEGRCKSAPDVLGIMDTIVLSLSVYINSSMFHKYQQTSLKAASNSTEESVFHPLPNLFRLLGLTPFKKAELAPGDLYTKKRPLETKDGSGLHTPLLPTNRFKNQSQSGNEVENEESISDADLDHIVGVGDNSELEEMDPPGTLQCELRPYQKQALHWLIQVEKGHCLDEAATTLHPCWEAYRLADKREPVVYLNVFTGDATIEFPSTNQMARGGILADAMGLGKTIMTIALLVTYSERGGLSDSQSPDQLSDQGGEVSDIFGQSSNSVKNATKFRDFDKLLKQKNKLVNGGNLIICPMTLLGQWKAEIETHVQPGSLSLYVHYGQSRPKDAKLLAQNDVVITTYGVLASEFSAENSEDNGGLYSVWWFRVVLDEAHTIKSSKSQISMAATALVADRRWCLTGTPIQNKLEDLYSLLRFLRVEPWGNWPWWNKLIQKPFEEGDERGLKVVQSILKPIMLRRTKCSTDRDGKPILVLPPADIQVIYCELTEAEKDFYEALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEYSDLNKLAKRFLRGGQNTLEGEAKVLPSRAFVQEVVEELRKGEQAECPICLEAFEDAVLTPCAHRLCRECLLASWRNPNSGLCPVCRKTVARQDLITAPTESRFQIDIEKNWVESTKVVVLLQELENLRSSGSKSILFSQWTAFLDLLQVPLTRSNIPFLRLDGTLNQQQREKVIKQFSEDSNILVLLMSLKAGGVGINLTAASNAFVLDPWWNPAVEEQAVMRIHRIGQTKRVAIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRTARIEELKMLFT >EOY29155 pep chromosome:Theobroma_cacao_20110822:9:257262:258390:1 gene:TCM_036788 transcript:EOY29155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAQVRQQNCVNIGAYIRLTKVYVESALPGEVENLVQPRMTCRRRQYSNTNYYCIFPASLSLATLVSLLLMQDLLRPPTTRLTCSSDSIERELANTMCAVLCSVMHIPCPWSITNSANDIVLMTVEL >EOY32948 pep chromosome:Theobroma_cacao_20110822:9:34606437:34607769:-1 gene:TCM_040963 transcript:EOY32948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin B MATVGGVTEVEGNANSLEIETLARFAVDEHNKKENAMLEYKKVVNVKQQVVAGTIYYITMEVMDGDKKQIYEAKVWEKPWINFKELQDFKLIGDAPADCASA >EOY33344 pep chromosome:Theobroma_cacao_20110822:9:36859357:36862698:1 gene:TCM_041310 transcript:EOY33344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLSRLACALNQLFAFVQPMQPSSDTIKGLMPFSNDTAMLEDDATFDQSEDDWFPIGENSFDDDFDDGLDDNLEDDCGDNNDILNCNHVKGGTKHA >EOY29145 pep chromosome:Theobroma_cacao_20110822:9:218234:219008:-1 gene:TCM_036780 transcript:EOY29145 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein MDSRKSNKIREIVRLQQILKKWRKLANTSKSSSNCNSGSKSIKFLKRTLSLSDNSVREASSNTVPKGYLAVSVGEEQKRFIIPTEYLSHHAFHLLLREAEEEFGFQQAGVLRIPCEVSIFESIVKMVEEKKDLFFMQESLGYCASESQRTPSHHPQSPLCR >EOY32031 pep chromosome:Theobroma_cacao_20110822:9:19264388:19265182:-1 gene:TCM_039440 transcript:EOY32031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARMLSKSAALRGAILHHHRASFLCHFSSKAKLIEIDLDSSSSTASAINKMEEMFHAIMVHKSTPNWLPFLPDSSFWVPLPPKGSKRVADVIEQLSNQLTREESLSLTTGRGWPCLSFFIRDGEYADVARMDVKVEFPQQAEGEVKVEVLIDSGDKSS >EOY34015 pep chromosome:Theobroma_cacao_20110822:9:39700067:39702949:1 gene:TCM_041823 transcript:EOY34015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin A4, ALPHA 1.6,EXPA4 MAVVSVVCVLLFISSMWMAEAKIPGVYSGGAWQNAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCANDPKWCHSGGPSIFITATNFCPPNYALPNDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKRGGIRFTINGFRYFNLVLISNVAGAGDIVKVSVKGSRTGWMSMSRNWGQNWQSNAVLVGQSLSFRVTGGDRRTSTSWNIVPANWQFGQTFAGKNFRV >EOY29583 pep chromosome:Theobroma_cacao_20110822:9:1658745:1660499:-1 gene:TCM_037082 transcript:EOY29583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHLQFFVRSPNHQTLTLTLEATQSLTLHHLKSSLLPEPQLLSSFYFTLNGKPLSDSTLLPNSQLTPLSTLFLVPRLSGGGGDGGATGAESRDCYLKMYAVKKPDKVDPNEQRLGKWLNCALSNEPLREPCVIDKLGNIFNKEALVQALLGKKLPKEFRHVKGLKDMVNIKLSVIPGKESDAADGATFQCPITGLEFNGKYKFFALKNCGHVVSAKALKEVKSSACLVCHKEFVESDKMVINGNEEEVAVLREMMEEEKAKTVKEKKKRGIDVLDGEKGCGKLEGNGKLENEKALSNGGVKKFRAADLAPANATKEVYASIFTSSNKSNFKETFTCRSLPLGRN >EOY32136 pep chromosome:Theobroma_cacao_20110822:9:21982930:21996477:1 gene:TCM_039682 transcript:EOY32136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 53, putative MSQVFDSSLTQKGDELELLLSFKSSINDPLGFLFNWNSSTPLCLWHGITCNNCSKVKVIELIEKNISGTISSLIFHLTEIEKINLSNNDLSSEIPCDLASSMSLRYLNLRKIPTQIGVCSNLKELNLGANYLVGTIPSTISNISNLQILTLAFNKLIGQIPRALNKIKSLDHFDLGSDNLNGEIPSSFGNLSNLQHVFLFSNKLTGLLPKSIFGLKTLVELDLSYNHLFGEISKLLNELQSLETLHLFNNHFIDKIPNALASLPRLQQVDLSSNNLTGEIPSLICNISSIKVLDLVYNNLSGIIPPCLGNFSKILLALDLRINRFHGTILETFGEDCGLRNVNFNGNKLEGSLARCLPNCRNLEMMDIGNNMINGTFPYWLNSLSKLQVLVLQSNKLCGVLQSSKTIHSFPKLQILDLTNNEFTGPLPKDIIKNMKAMMNLNEQQSSLQYMHQRYYLYYVNLAVKGIYIELPYILTTFTCIYLSNNNFHGKIPRVIGKLSSLIGLNLSHNSLSGHVPTSMGNLTDMEWLDLSSNKLTEQIPNELKDLTFLAFLNLSHNQLTRPIPQGKQFSTFENSSYEGNLALCCFPLSKSCYNDGRKRSSPSFLKEVNDSETKINFSWKVVIMGYRCGLIFGVVAGYVTFRNGEPKWYVTLFQVKHHQIGRKYSRN >EOY33774 pep chromosome:Theobroma_cacao_20110822:9:38948428:38950331:-1 gene:TCM_041655 transcript:EOY33774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 86, subfamily A, polypeptide 1 METLTFVFSLAAAIFAYALWFHLLVRRLTGPRVWPIVGSLPYLVMNRGRIHDWIASNLRATGGSATYQTCTIALPCLARKQGFYTVTCHPKNIEHILRTRFDNYPKGPHWQAAFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMARWVNRTIKNRLWCILDKASNEKKWVDLQELLLRLTFDNICGLTFGKDPETLSPDLPENPFAMAFDTATEATLYRLLYPGLLWRLEKILGIGAERRLKSSLQIVENYMNDAIEARKESPSDDLLSRFMKKRDAEGNLFTSAVLQRIALNFVLAGRDTSSVALSWFFWLIMNHPEIEQKIINEISTVLCDTRGQDRKKWLEEPLVFDEADRLIYLKAALAETLRLYPSVPQDFKYVVQDDVLPDGTFVPAGSTVTYSIYSVGRMKTIWGEDCMEFRPERWLSAEGNKFDPPKDAYKFVAFNAGPRTCLGKDLAYLQMKSAASAVLLRYRLSLVPGHRVEQKMSLTLFMKDGLRVYLQPRILA >EOY34108 pep chromosome:Theobroma_cacao_20110822:9:39945242:39949298:1 gene:TCM_041882 transcript:EOY34108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MQQQEASSRPRSSAYLNALTQEIEKKLQRALASSSQRRNLLQQLFADIALEVDDRAKDIILSREEDAISPAEYGINGRLCFYDVLADYYVQEPESGKPILDLIVQLWSQSFASQNFALLFHKWLLLSRFIFFYNSVEKLESFFKQCPAFPNAFLIGGPADILVIELADQLQKLKVEPVLLHYLSQIKVLQGMELRMATSTRLKTSLYSFTSPGGPMYPTRAVRHAAWDALDLLFPVGRYPRHLISLFFRLLYPWYWPSSCWNFVVSCIKAVLCSLLRLIFSSWGKLPKRA >EOY34107 pep chromosome:Theobroma_cacao_20110822:9:39945242:39949272:1 gene:TCM_041882 transcript:EOY34107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MQQQEASSRPRSSAYLNALTQEIEKKLQRALASSSQRRNLLQQLFADIALEVDDRAKDIILSREEDAISPAEYGINGRLCFYDVLADYYVQEPESGKPILDLIVQLWSQSFASQNFALLFHKWLFEVQLDNADVLLRYSSALVQGATNVFWIDIQSNTRRFQSLFQYLLEEVALEPTRLNKIPVQAQRDLYLLLSRFIFFYNSVEKLESFFKQCPAFPNAFLIGGPADILVIELADQVGRYPRHLISLFFRLLYPWYWPSSCWNFVVSCIKAVLCSLLRLIFSSWGKLPKRA >EOY34105 pep chromosome:Theobroma_cacao_20110822:9:39944752:39949732:1 gene:TCM_041882 transcript:EOY34105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MQQQEASSRPRSSAYLNALTQEIEKKLQRALASSSQRRNLLQQLFADIALEVDDRAKDIILSREEDAISPAEYGINGRLCFYDVLADYYVQEPESGKPILDLIVQLWSQSFASQNFALLFHKWLFEVQLDNADVLLRYSSALVQGATNVFWIDIQSNTRRFQSLFQYLLEEVALEPTRLNKIPVQAQRDLYLLLSRFIFFYNSVEKLESFFKQCPAFPNAFLIGGPADILVIELADQLQKLKVEPVLLHYLSQIKVLQGMELRMATSTRLKTSLYSFTSPGGPMYPTRAVRHAAWDALDLLFPVGRYPRHLISLFFRLLYPWYWPSSCWNFVVSCIKAVLCSLLRLIFSSWGKLPKRA >EOY34106 pep chromosome:Theobroma_cacao_20110822:9:39945496:39949222:1 gene:TCM_041882 transcript:EOY34106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MQQQEASSRPRSSAYLNALTQEIEKKLQRALASSSQRRNLLQQLFADIALEVDDRAKDIILSREEDAISPAEYGINGRLCFYDVLADYYVQEPESGKPILDLIVQLWSQSFASQNFALLFHKWLFEVQLDNADVLLRYSSALVQGATNVFWIDIQSNTRRFQSLFQYLLEEVALEPTRLNKIPVQQAQRDLYLLLSRFIFFYNSVEKLESFFKQCPAFPNAFLIGGPADILVIELADQLQKLKVEPVLLHYLSQIKVLQGHGTENGHKYEVEDQFV >EOY32291 pep chromosome:Theobroma_cacao_20110822:9:26196473:26201062:-1 gene:TCM_040027 transcript:EOY32291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine decarboxylase family protein MGKFKRVCVFCGSNSGNRKIFSDAALDLGRQLVERKMDLVYGGGSIGLMGLVSQTVYDGGRHVLGVIPTALVSVEISGHAVGEVLVVSDMHERKAEMARRADAFIALPGGYGTMEELLEMITWSQLGIHHKPVGLLNVDGYYDCLLGLFDKGVEEGFIKPCARNIVISAKNAEDLIQKMEEYVPERDRVAPSQSWGKHCTNDMNR >EOY31581 pep chromosome:Theobroma_cacao_20110822:9:9865587:9869401:-1 gene:TCM_038519 transcript:EOY31581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKKKGGIVSFEDDSKGKIHGLGTIGKNSHAQINHVLFVKGLKHNLLSISQLCDKGFKVCFDSYKCEVIDISTNKTSFIGKRIKNMYVIFLEDLKLDCETCLMVTDENDSWLWHQRLRHASMHTILKLVRRNLVIGLPKIKFENDQICDAC >EOY33153 pep chromosome:Theobroma_cacao_20110822:9:35694135:35698201:1 gene:TCM_041137 transcript:EOY33153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein, putative MAQNGAAGNNGGGAGAATNNTVEAPQPNSVKEQLPGIQYCINSPPPWPEAIVLGFQHYLLTLGINVLIPSIIVPQMGGGNAEKATVTQNLLFVSGLSTFFQSLFGTQLPTLLLSPLSVVPYVTFTGLGLHHLGFPMVAKCVEVGLTGIIIMAFISQYLPCYIESKQPIFDRFVVLISVAITWLFAQLLTSTTVYKHKPENTQISCRTDPAGFISTAPWWDYENWIYIPCPFQWGSPTFNAGEAFVIMAPAFVSLSESTGTFFAAARYGSAPPVPPSVISRGTGWLGVGVLPNGLLSSVTGTTASVENVGLLAMTRVGSRRVIQVSDGFMIFFSAFGRPELKVLFPFLLSSIDAATDYLSVAILCPLPRKFGAFFASVPQPIVAASCCVLFGCVSSAGLSFLQFCNLNSFKTKFILGFSFFMGLSVPNYFRKYYHGGLRLAHHAG >EOY33463 pep chromosome:Theobroma_cacao_20110822:9:37622581:37623520:-1 gene:TCM_041435 transcript:EOY33463 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMV resistance protein N, putative MSGYFEGKCFLAGVREVAMKFGLVSLQEKLLSKIFPGENFQFTSVYDGIEIISRRLRHKKVLVVIDDADNMQHFKCLAEERDWFGLGSRIIITSRDEQLLRAYGVHDVYKPTTLDDFEALRLLSLKAFKSDTPKDDFMSPSQSVVKYAGGLPLALEVLGSFLCGRDADQWRHAIDRLKSEPEEEIHSRLTISFDGLKETEKNIFLDIAYFFKGWDRYIVTKILDGCGYRAGIGLPVLIERSLITVEDNKIWMHDLLQEMGRNIVRQKSPNEPGKRCRYRKYA >EOY30441 pep chromosome:Theobroma_cacao_20110822:9:4615398:4619343:1 gene:TCM_037650 transcript:EOY30441 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 22, putative MNGEGRGGNPPACAACRHHRRRCHQSCELAPYFPASKYDEFKNALKHFGLSNIVKIMSTVRPDQRQAAAESILMEGNARLDHPAHGCLGIIRNLHSLLEIYESELAAVNQQLAVFKASSSSTPQPSQPEIDPLVAKMFNEGDNSRWVNLEADATINSVPSDSRGKQPVRPDEEARDDEADDIFMEKQKTPSFCRPNLLETKGAISVIRISFFLPTAQLFFPAVHLPLTGRRKLDHKLSV >EOY29301 pep chromosome:Theobroma_cacao_20110822:9:689898:692807:-1 gene:TCM_036885 transcript:EOY29301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the inner envelope membrane of chloroplasts 55-II MALSLSPCLPHTTYLTKPPLSTSKLTTASIIWNYPSVGFRPVNLRNNHTRCHAVTDLRSAPPVDQGKGEGDDDHKVLVGPSGEEETRGDRIVADYDWTEEWYPLYLTKDVPDDAPLGLTVFDQQLVLYKDGSGVLHCYQDRCPHRLAKLSEGQLVDGRLECLYHGWQFEGSGQCVKIPQLPSDAKIPRAACLKTYELKESQGVVWVWMSHKTPPKPEKLPWFENFARPGFQDTSTIHELPYDHSILLENLMDPAHIPISHDRTDWTAKREDAQPLLFKVTERTDRGFAGWWGKEKDGSMPNFLRFDAPCVLQNNRELVDKDGEKHYFTGLFLCRPTGQGKSMLIVRFGGTKRSPLAKLFPEWYFHQNASKVFEQDMGFLSSQNEVLIKEKVPTKELYLNLRSSDTWVAEYRKWMDKVGHGMPYHFGHSTISPPKVPAVVEHAPAGLIAGVSASLPAKGGISTMHAPNLANRYFRHLIHCKGCSNVVKAFTAWKNGLSAAALVFTALAILASSRQWKTFLLVSATFCLGGVYACSTAIAMNTTNFIRVHRRL >EOY31394 pep chromosome:Theobroma_cacao_20110822:9:8506161:8506819:1 gene:TCM_038329 transcript:EOY31394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATVSPLCWLVPKGIALLSLYRDLEVVQRLQLNRRLLLRGCVVQYLSLLTHLWLLLASFAFHSACGLDYLCAFWALFSFFMAAWVVSP >EOY33767 pep chromosome:Theobroma_cacao_20110822:9:38923708:38928178:1 gene:TCM_041651 transcript:EOY33767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 64, putative MGTKIIYRVLIEEEDIHRILIEKKNYKMLCEKVNITKGVVEELQPQGILNRWIDHQLINSNFAMWLLPRLAKEERKNKRKKEKNSYLIFFPVLILLRYLFMEEGGGGFGYGNLLSRSSVYKPSPPLSAIDRFLWGHNHSKISKGTVGSTDGLLRGFSFSSDEIGGYVAGVSWQSNLDESFVDGLFIDGGSFALTGDKNPNMETKEVQVSMKSFPKGVGKRNKKVASAALIKGQWTDDEDRKLIRLVKQYGVRKWAQIAENLVGRAGKQCRERWHNHLRPDIKKDSWSEEEERVLVEAHAKVGNRWAEIAKFIPGRTENAIKNHWNATKRRQNSRKKNKQNDNQNGKPQPSILQDYIKSRNLNSNNRSATSITPSNSSTTSTTPSSSPFSEDLSSQSKYFLPDQPSESNDSQPLITQTYDEELLFMQNFFANNNNIQPCIDYSLTKGLTEVKSVLVDNVSKGPSTIDCSGFNCQVNGVQQCNPADPFGFSSSITDPNSLTSSLQEQEPRTTYLFSDLYLSRLLNGSATTSSFSADYGYTNMNTDLQAEQASSHGRKEMDLIEMVSSSQFFR >EOY32740 pep chromosome:Theobroma_cacao_20110822:9:33314798:33316447:1 gene:TCM_040770 transcript:EOY32740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIVSKDYSEVLCYATISPEKGKKGHHILMLGFRYVSGQPASLLFRHQNCRLDLGEESIDCFQVTTQITKYQIDREVKEECQIVVCRYHYWKM >EOY33245 pep chromosome:Theobroma_cacao_20110822:9:36094077:36095851:1 gene:TCM_041198 transcript:EOY33245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nine-cis-epoxycarotenoid dioxygenase 4 MKNFIFHSLSNIIDPPLHLSVDPMHVFKGNLAPVEEMEPTNCQVIEGQLPLSLNGVYIRNGPNPQFQARRALHLFEGDGMLHSLRLSNGLATYCNRYVKTHKYMLERDAGFPAIPNMLSGFYGLLDVLRFLMVMKRIITGQLGIKHGIGVANTSLALISERWDFDKKLLYNMTAHPKVDMDTKETFAFSWSFAFPHLTFFRIDEKGVKHKEVPIFSMRRPSIIHDFAITKRFAIFHETQLIFSLAKMLMGRGAPLVYERDKSTRIGVISRYAKCDSVIKWFEVPRFNVAHIINAWENGVLDEIPKTTGLVKIDLETRNEVGKRFYGPGCFGGEPLFVRRNSAEDVVCDEDEGYVMTYVHNEHTDESVFLLMDAKSPEFTTIAAIKLPRRVPYGFHGLFLTN >EOY33741 pep chromosome:Theobroma_cacao_20110822:9:38866214:38870882:-1 gene:TCM_041637 transcript:EOY33741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein METLGTRLLSLPRHPTSKTVNNDHDDPVLSRINQCTNLNQLKQIHAQMLRTGLFFNPYSASKLFAASALSPFSSLDYARKVFDQIPKPNLYTWNTLIRVYASGPEPLQGILIFLRMVDESPYYPNKFTFPFVIKAAAEIVSVCVGQALHGMVIKASLGADVFISNSLIHLYLSCGDLDSAYRVFMMIGEKDVVSWNSLITGLAQKGCAEKALELFRRMDAESVKPNDVTMVGVLSACTKKLDLEFGRWVCSYIERNGISVNLTLSNAMLDMYAKCGSLEDAKRLFDMMEEKDIVTWTTMLDGYAKLGEYEAARRVLDIMPRQDIAAWNALISGYEQNGKPKEALAIYHELKLSKIAKPDEITLVSTLSACAQLGAMDIGRGIHAYVKEQGIQLNCHLTTSLIDMYSKCGDVNKALEVFYSVERRDVFVWSAMIAGLAMHGHGRAAIDLFSRMQEATMKPNSVTFTNVLCACSHAGLVKEGKTFLNQMEPVYGIPPEVQHYSCMVDILGRAGRFEEAVEFIEKMPIVPSDSVWGALLGACQIHGNVELAEKACSRLLELDPGNHGAYVLLSNVYAKTGKWDSVSRLRKHMRVTGLKKEQGCSTIEVNGVVHEFLAGDNRHPLSKEIYSKLDEIVARLKSVGYVPKKSHLLQLIEEDDLQEHALKLHSEKLAIAFGLLYMEAPQPIRIIKNLRVCGDCHSVAKLVSRLYNREIILRDRYRFHHFGGGHCSCKDYW >EOY29262 pep chromosome:Theobroma_cacao_20110822:9:572551:575175:-1 gene:TCM_036855 transcript:EOY29262 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA box-binding protein associated factor 10 MNHNPQSGDGKHDDDTALSDFLASLMEYTPTIPDELVEHYLAKSGVQCPDVRLIRLVAVATQKFVAEVASDALQHCKARQASVIKDKRDKQQKDKRLILTMEDLSKSLREYGVNVKHQEYFADSPSTGIDPASRDE >EOY33297 pep chromosome:Theobroma_cacao_20110822:9:36448338:36453372:1 gene:TCM_041248 transcript:EOY33297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum activated malate transporter family protein, putative MDLESASREKQGLFSCGWSRMKALPKNITTKIFEFYSKVKKLAQDDPRRVVHSLKVGLALNLVSLLYYYQPLYNGFGVSAIWAVMTVVVVFEFSVGATLGKGLNRGLATLSGGALAVGAYHLANLSGRIGEPILLGFFVFLQAAVSTFARFYPKIKARYDYGLLIFILTFAFISVSGFRDDEIFEMAHKRLSTVLIGGSTCVMISILLFPVWAGEDLHNLIASNLEKLGCFLEGFGDEYFKMPGDGESKDDKSFLRSYKSVLNSKSNEDTLANFARWEPGHGRFQFRHPWKQYLKIAALTRQCAYRIESLHGHLNADIQAKPEIRSKIQGTCTKMSSESGKALKELASAIKRMVKPISADIHIENSKSAAKNLNSLLKSGPWDDEMDLLEVVPVATVASLLIEVVSCMEEIAESVHELASMANFEGIKPTVSPEKPETGQVGVVKSSNIEDNCSSVIITIGEPIRENGNSSVTMNNGRPTTTEV >EOY34047 pep chromosome:Theobroma_cacao_20110822:9:39791091:39792962:1 gene:TCM_041849 transcript:EOY34047 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein MSTLSKNPSSNMGSPFFHEFKKQASFFLKEKIKTARLALTDVTPAQLLTEEATNGNTWTPDTRTLGSISRAAFELDDYWRIVDILHKKLAKFERKNWRISYNSLIVLEHLMTHGPESTAEEFQGDKDVIVKMEGFQYIDEKGFNWGLAVRKKSERILKLLEKGPVLKEERNRARKLTRGIQGFGSFCQRASSSQGILQESSHVTYGRSNSDFNDHENQENQLPPTNVGGPMQRVEKPQQSNENASSEAGKKQESFKSWSSFSEGQMFEKPEPQTSFKENMVPSKEEFHNWSGTGESNPLLGGDNNEPRTGILIEDDHPFNSSENQTSSLLLARDGILQGC >EOY32075 pep chromosome:Theobroma_cacao_20110822:9:20346315:20348038:1 gene:TCM_039541 transcript:EOY32075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDADPNFMARPVELMGTTKQIAKTEQLINDVLVEVGLVIGKGGETIKNMKARIGARIQVIPLHLPPSDPSIERSFHIDGTSE >EOY34726 pep chromosome:Theobroma_cacao_20110822:9:41925131:41928635:-1 gene:TCM_042312 transcript:EOY34726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNVLIPHHKTVIAEKISQETYGLMSSLPRKLQYLSLFFWTWCTHWLELGTWSDFENCVGMEGALYILVFLSLSLSKPHTE >EOY33558 pep chromosome:Theobroma_cacao_20110822:9:38038206:38038657:-1 gene:TCM_041504 transcript:EOY33558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRNVKSDLDPHDWIWHSTIRSGHREYRSNALVAKFDHKEWQNLTIKSAKSTQHYTDHISNGQSKEKKGK >EOY30109 pep chromosome:Theobroma_cacao_20110822:9:3412933:3413777:1 gene:TCM_037432 transcript:EOY30109 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MGFFVEDPGLIVTHLLYKTAVVLAVLRWVLSWVLRFRDISFFTTSSDSQRNPSSSSPLVSLQMIRDSLVLTTFEDAKERVPWVSDTCAVCLSQLKEGDEVRELRNCCHVFHKDCIDRWVDYDQDHDQDYDHDYNEHEHNHKTCPLCRTPLLTSSQSLGWPKNEPSWAVERLLYLFGDDLLP >EOY34699 pep chromosome:Theobroma_cacao_20110822:9:41826527:41827275:1 gene:TCM_042284 transcript:EOY34699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative MPTILLMENSSSPTTRNCPGPTHALYKKARASPIRGSHNKLSGKNMPKVGLMLLLVSMLVAAVPRHVNAAITCEEVTYYLIPCIGYGVFGGTVAPSCCTGIKTLDAAAKTTEDRREKCNCVKEGAARIPGLNYTRVNEIPGLRGTTCPYKVTPDVDCSKVN >EOY34022 pep chromosome:Theobroma_cacao_20110822:9:39722357:39726064:-1 gene:TCM_041829 transcript:EOY34022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MGICLSARIKAESPSSTGLSSKYVSGDGKDMSSTSSKVSSVSVPLTPRSEGEILLSPNLKSFSFADLKMATRNFRPDSVLGEGGFGSVFKGWIDENSLTAAKPGTGIVIAVKRLNQDGFQGHKEWLAEVNYLGQLYHPHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWSLRLKVALGAAKGLAFLHSAETKVIYRDFKTSNILLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTARSDVYSFGVVLLEMLSGRRAVDKNRPSGEHNLVEWAKPYLANKRKLFRVLDNRLEGQYSMEGAHKAATLALRCLSIDAKFRPRMNEVVTALEQLQNSNESGSNQIDISSTPRVRRRSAGDAIGGKSTVAYPRPSASPLYD >EOY34023 pep chromosome:Theobroma_cacao_20110822:9:39722864:39725960:-1 gene:TCM_041829 transcript:EOY34023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 MGICLSARIKAESPSSTGLSSKYVSGDGKDMSSTSSKVSSVSVPLTPRSEGEILLSPNLKSFSFADLKMATRNFRPDSVLGEGGFGSVFKGWIDENSLTAAKPGTGIVIAVKRLNQDGFQGHKEWLAEVNYLGQLYHPHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWSLRLKVALGAAKGLAFLHSAETKVIYRDFKTSNILLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTARSDVYSFGVVLLEMLSGRRAVDKNRPSGEHNLVEWAKPYLANKRKLFRVLDNRLEGQYSM >EOY34024 pep chromosome:Theobroma_cacao_20110822:9:39722864:39725871:-1 gene:TCM_041829 transcript:EOY34024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein isoform 3 VRLVYREKGFELMGICLSARIKAESPSSTGLSSKYVSGDGKDMSSTSSKVSSVSVPLTPRSEGEILLSPNLKSFSFADLKMATRNFRPDSVLGEGGFGSVFKGWIDENSLTAAKPGTGIVIAVKRLNQDGFQGHKEWLAEVNYLGQLYHPHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWSLRLKVALGAAKGLAFLHSAETKVIYRDFKTSNILLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTARSDVYSFGVVLLEMLSGRRAVDKNRPSGEHNLVEWAKPYLANKRKLFRVLDNRLEGQYSM >EOY32080 pep chromosome:Theobroma_cacao_20110822:9:20412991:20414103:-1 gene:TCM_039547 transcript:EOY32080 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF-hand domain-containing protein 1, putative MGLCGKLKRKDIDQVNDDFSDFSLSSPATKIRRLDAELPPIIEEEESFTENQDKAIVLFNPLLRSPSALSLSLNSDLISGFKNQFLRASHMKSADFGETKTEQSSKATEGCLAVVPWIPCQIPAVESRDGQLELPESMEADEMDIENNIINNNGNSNNARMEQELAYEYGGLKPSETLHHWPQQHCMIHQPPQNPFTPVTWSQ >EOY31586 pep chromosome:Theobroma_cacao_20110822:9:9976165:9978398:-1 gene:TCM_038530 transcript:EOY31586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNKTSTVYGRSNIGLLQTKRCEWTSGLRSLKRRRSLRSYLWISFPNLKAHLYEKSALLLIAKTVGKPLFVDEATTRGSRPSVARKVEFSPMPDYCFHCFHVDHKEADCIVLENKLKQSGLRQPLTKGKGKQPSLHMEEPAKRQQQWQLVNKHKRNSDLAVLSLASKEVVDMREKDGMSDDDSISINYAARTRS >EOY33983 pep chromosome:Theobroma_cacao_20110822:9:39550100:39558261:1 gene:TCM_041799 transcript:EOY33983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 2 MGASSALLSPAVLMTFLVAVGSLMAYPEVVTAKHAGITRHYHFDIKLKSITRLCRTKSIVAVNGKFPGPRLIAREGDRLVVEVVNHVPNNISIHWHGVRQLRSGWADGPSYITQCPIQTGQSYVYNFTISGQRGTLFWHAHISWLRATVYGPLIILPKRNESYPFVKPYKEVPILFGEWFNADPEAVINQSLQTGAGPNVSDAYTLNGLPGPLYNCSSKDTYKLKVKPGKTYLLRLINAALNDELFFSIANHSFTVVEADAVYVKPFVTNTLVIAPGQTTNVLLKTKPQAPNATFFMLARPYFTGMGAFDNTTVAGILEYETPSSSSSSISSKNHPLLKPGLPAINATNFVAKFSSKFRSLATTKFPANVPQKVDKKFFFTVGLGSSPCPKNQTCQGPNGTKFAASMNNISFALPRTALLQSYFFAQSNRGYTTDFPAFPLHPFNYTGTPPNNTLVTNGTKVVVIPFNTSVEVVLQDTSILGAESHPLHLHGYNFYVVGQGFGNFDPNKDPAKFNVVDPAERNTVGVPSGGWVAIRFQADNPGVWLMHCHLDVHLSWGLRMAWIVLDGELPNQKLPPPPSDLPKC >EOY32685 pep chromosome:Theobroma_cacao_20110822:9:32847667:32849002:1 gene:TCM_040716 transcript:EOY32685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLSLHFCLPQSKHPSSFPPLSLSTPKHSTPTSQWIEHGGNYSNAKKRTKLSSSWIIPAVDNDSQQFEVDPEKAKEALQKLDQQLQTLSKKQVSTPKIRASDVKLARDKGVEDTPEISGSFLANLTAVLLILTIFYNVLFYAVIKPSIDGPDDQSAPQPSQPK >EOY31030 pep chromosome:Theobroma_cacao_20110822:9:6716539:6718373:1 gene:TCM_038055 transcript:EOY31030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alba DNA/RNA-binding protein MEEITQGVNNMNLGADSHKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTIAEILKNNGLAVEKKIMTSTVDMKEDSRGRPVQKAKIEILLGKTENFDELMAASAEERDAVDGEEQS >EOY33414 pep chromosome:Theobroma_cacao_20110822:9:37377290:37377829:-1 gene:TCM_041389 transcript:EOY33414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATMLTQAYCILVLVVVLLSVASTSMPQTTHSDHDQPLSQFLQASSSQPMNMVTINSSLPTPTSSTPAESQQLSSESEKERKKEEKQRKKEEKKKKKKNCSSASSVKQSNIGVFLCMFSLTLLFYV >EOY31528 pep chromosome:Theobroma_cacao_20110822:9:9335780:9337488:-1 gene:TCM_038449 transcript:EOY31528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLADVCSLSYIIRIDLSFAVWPRLSRSKTGQEGQVLLPGYFHIQETWHLFNSCRDEMHSRTASFVEFWGCKGATSMDLGGQLKY >EOY33239 pep chromosome:Theobroma_cacao_20110822:9:36058401:36059325:1 gene:TCM_041191 transcript:EOY33239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPSIIIEHLSLDCGASLFMQCEWPVYYCLAHHAYQKNTMISSPTNDLSSYLTILDVEWKFGKLPKELVLEEIGAKILELPLLPR >EOY33286 pep chromosome:Theobroma_cacao_20110822:9:36291385:36312869:-1 gene:TCM_041229 transcript:EOY33286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MVHPDKCRHPQAKEAFGALAKGQQQLLDQQERDYVLSQVTAAKEELRAKRKKQLKKDTASNSKLLVDEEQSNQKQKEKKKMEKGKANWSEEVEDLVTAGDTQGAISFLENLVSKLETTPSSDDLQLASALSDLAALYSSIGYSLKSDQLFSRASLLKQRAHSSSDVGLAKKDLKEDSLPLPNVSLAGNDKPFTHGNIEKGPMTGDDGEPSKLSSDDDWEAIADREPNELLSSEGLPGVSSLSLKDSKVEAPKRRGRGTFSYRKSELYSDQLSDGVFATKDTENEDVCIDSEIKTVETKYGTHHVLVLADFSPSTRTTYLEKLFEDFRDRGVVIRWVNDTTALAVFCTPSIALEACNHVNCPFTVRILDEDDMLLGSISARDLEPPRQRPQTSARTAQRLIAQGMGLKLSSSTFGSRELRNQEEARKNRIIKPVCKAWYTLSHDQHLLRIYDARADNKSLGLILHCDCLKQNKLVLGQSLSAAMCYSDGSIEIWVMGEYNVKESWVKDYVIGAYLPITLNQTTRVVLDNEKEDKLLWERDPKGAFSVKQCIRVMDLHTCLKSHEVLVLHGSPSQKESELKCKANQERQDVVELYEMLWVKVLYMFYGPLGIHDSNYVK >EOY33287 pep chromosome:Theobroma_cacao_20110822:9:36295534:36312789:-1 gene:TCM_041229 transcript:EOY33287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MSKSSIVRHPQAKEAFGALAKGQQQLLDQQERDYVLSQVTAAKEELRAKRKKQLKKDTASNSKLLVDEEQSNQKQKEKKKMEKGKANWSEEVEDLVTAGDTQGAISFLENLVSKLETTPSSDDLQLASALSDLAALYSSIGYSLKSDQLFSRASLLKQRAHSSSDVGLAKKDLKEDSLPLPNVSLAGNDKPFTHGNIEKGPMTGDDGEPSKLSSDDDWEAIADREPNELLSSEGLPGVSSLSLKDSKVEAPKRRGRGTFSYRKSELYSDQLSDGVFATKDTENEDVCIDSEIKTVETKYGTHHVLVLADFSPSTRTTYLEKLFEDFRDRGVVIRWVNDTTALAVFCTPSIALEACNHVNCPFTVRILDEDDMLLGSISARDLEPPRQRPQTSARTAQRLIAQGMGLKLSSSTFGSRELRNQEEARKNRIVTRQKLKDDAWGDD >EOY33777 pep chromosome:Theobroma_cacao_20110822:9:38962956:38970865:-1 gene:TCM_041658 transcript:EOY33777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIVGEQIDSKPEESQEYLQPQTTDPHSFLACSKGKMHPKLAAGRALSQRNRSISQTRTNPGTGKGSSRVKSRQEKQITMQKTSMMMLLPSLNGEASGSRNSNNLPSKYRDMNTVMIKHNSWIVDTRATNYISYSLNNFVSVKSVSNCFVQLPNKARALVAHIGTVKFTSSFIFNGVLYVPSFKFNLISVSKLISSKKIVSGGYVILTVVRIINRMPSKILNNLTPYELLYKQSPSYDHLRAFGSLCFVSTLSQHRKKFDLRASKCIFLGYPNGVKAYKVHVDPIVDFFDSSLVNNINIPTDSIPHRRSDRIRKPAKYLEAAKLATVEDNGIWSIVPQPVDSYAISYKLFSLYIFVYDMDGYVFVKKSAFYIEDGLPCC >EOY32768 pep chromosome:Theobroma_cacao_20110822:9:33454018:33460054:1 gene:TCM_040795 transcript:EOY32768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexokinase-like 1 MGKVALGVALGVAVTTCAVAAVVVGRRVKSRRKWKRVVGVLKELEESCETTVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTFVDNLPSGSEKGTYYALDLGGTNFRVLRVELGGQRSSVLDQDVERQPIPQQLMSGRSEDLLDFIASSLQQFDENANDFEKSSIRKELGFTFSFPVKQTSVSSGILIKWTKGFAIKDMVGEEVAGALQQALDRKGLNMRVAVLVNDTVGTLALGHYHDADTVAAVIIGTGTNACYWERTDAIIKCQGLLTTSGGMVVNMEWGNFWSSHLPRTSYDIELDAESPNSNDQGFEKMISGMYLGEIVRRVILKMSQESDIFGPVSSSLSMPFSLRTPLMAMMHEDDSPELTEVARILKNVLEIPDVPLKARKLVVKVCDVVTRRAARLAAAGIVGILKKIGRDGSSGITGGRSRSDIKMRRTVVAVEGSLYTQYTMFREYLHEALHEILGEDIAQHVILKVTEDGSGIGAALLAASYSSKSVDNVQLL >EOY32905 pep chromosome:Theobroma_cacao_20110822:9:34396651:34400315:1 gene:TCM_040931 transcript:EOY32905 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook DNA-binding family protein MGLGQHTFHRVDSPFFHLLRPQSSSYQNQCTPHSLGTVDPLYFVGLEFDEGPTHGALTSLTIYINKNPNKALLSPFITIILSFSLPPSPPPPMADYSGAISLSQAHTSEDDSSEHSPRSVPTLSTAASGGGGGSSKSKTPSNKIITLDHHHHHHHHHQTPSSSENTARKPRGRPPGSKNKPKPPIVITRDCDSAMKPVILEISAGSDIIDSIINFARRNHVGVSIISATGSVSNVTLRHPVSHAPALSLHGPFGLLSLCGSFIGSSTVSSSNKAPQSSSSSTSPSPSSLSSPLSCSFGVTLAGAQGQVFGGIVGGKVMAATQVIVVAATFINPALHRLPCEGDNEDRHQETKPGVHSNVGGGGGATAAAVGATESCSSAGMSMSVYGVASPSPLSCQISPDVMPWGPSSRPY >EOY30985 pep chromosome:Theobroma_cacao_20110822:9:6577433:6578619:-1 gene:TCM_038025 transcript:EOY30985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MKQTSSASWNAILSGLAMHGLAEKALELFSRMTGGGFKLDDITFVGVLSACNHAGRAVLFDEAEALINMEMKPDGAIWGSLLGTCTVHKRVELVDTLLEKAGFVTDTSEVLQDMVEDWKEEALSHHSEKLAIAFGLISTKPGTTIRIVKNLRVCGNCHSATKLISKIFNKEIIARDRNRFHHFKDGFCSCKDYW >EOY32414 pep chromosome:Theobroma_cacao_20110822:9:28981897:28983607:-1 gene:TCM_040327 transcript:EOY32414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 2, putative MPSFQMTAIVLLLSVMLGGSNAQLSPTFYSNTCSDVVSIVRGVLEQAVQNDIRVGAKIIRAHFHDCMVNGCDGSLLLDDDAANGIVSEKDTPPNETIEAFQIVDDIKTALENSCPGVVSCADILALGSQIGVSLAGGPTWQVPLGRRDSRTANQAGTSGIPSPLDDFDELQRKFRDVGLDDSTDLVALSGAHTFGRARCSTFSHRIGTDTTLDPTFSQVLGQICPEGGNGDVLTNLDSSTADDFDNNYYTNLQNNRGLLQTDQSLFSTAGANTVSIVNRFANSQTDFFDTFVQSMINLGNLSPLTGNNGEIRANCRRIN >EOY31547 pep chromosome:Theobroma_cacao_20110822:9:9551453:9552308:-1 gene:TCM_038475 transcript:EOY31547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASKYTLAFLLAVVFVQTLPTFQSLGIPTEPREELISMFKPSEINAIIEVDGIIDAVWDAGFKIMSLILQNHLHTVVPFGGTYPAGCRLCYATDSVIIYNNNTITIFVPVDESFSDYENWKVLEYQFVIAKVDKEAFNSGSVQRGSELLTCHSYRNVLVTGYGSINNVNITHWNIYNDGHIIVHGVQNFFNCRFGSL >EOY29982 pep chromosome:Theobroma_cacao_20110822:9:2961746:2962472:1 gene:TCM_037338 transcript:EOY29982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRCNEMLYLLEVLIYCMISFLLSVNGYFLLLTKVILVVSSGNQHIPFVKAGCK >EOY29675 pep chromosome:Theobroma_cacao_20110822:9:2017926:2026579:1 gene:TCM_037149 transcript:EOY29675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane protein-like, putative MRETSLPWCLGGDFNAVLWDHERTGLSNKREMEHFRQFVDDGSHIDLPLSGNKFTWCNNKEKVSFSRLNRFLMDVDMLGRFPTLRQHNKPKVSWDCPPNGWFKFNIDRAARDCLGHLSIGRVLRDDFGAVKLVFYKKAGWGDANLAEVLAVREAMILFAASSWVNSTSIIIESDSSKKELKFGWQIVVGTVIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDKKSSTAISKCMIMGAPASTVYYKLRQRHPRLDMPMIDYDLVLIIQPMLLLGISIGVTFNVIFPDWTVTILLILLCTGTSVKAYFKGVETRKRETVLKQVPVSVGINLYEANGLCKGWKVIASKGDEGTNWKGYQLVLCCACGMVAGIVGGLLGIGGGFAMGRLFLELGIPPPVTSATATFGMTCSSSMSVVEYYPLNRFPVPYALYFIVVSVIAALIGQHTVDKLIRLTGREPIIIFVLPFTIFVSAISLGGVGISGTIEKIEQNAYMGFENLCKYEG >EOY31317 pep chromosome:Theobroma_cacao_20110822:9:8098296:8102974:-1 gene:TCM_038269 transcript:EOY31317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein knotted-1-like 3 MAFDGFVSDHTKNMQTLALNGTAASASASAAADVDHWERAKHKAEIMGHPMYDQLLEAHVACLRVATPVDQLAKIDAQLARSQQVLAKYSATAATASDDELDHFMTNYVLLLGFFKDQLQQHVRVHAMEAVMACWDLEQSLQSLTGVSPGEGTGATMSDDEDEVVDSDTSLFDGSLDGIDSMGFGPLIPSETERSLMERVRQELKHELKQGYKEKIVDVREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWQSNPSTSLKSKRKSFRACIIGDCNGKSGYKTTSVGCFILLTWLAIINNKFCGSALQMGWHEHQSVLVNR >EOY34555 pep chromosome:Theobroma_cacao_20110822:9:41426076:41427040:1 gene:TCM_042185 transcript:EOY34555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFHTMEEDIKTWRWTAFSCSGLSIPPSMEPSSSSQPPAELVFSGDKHFALHGKIWLLAVVLTFVLFLAFIIFLLPRLRRRTNYEADVSDSNVNPGRRNCPLMSLRKRRRTDEEEVGEERQYSIRINEKFPL >EOY33702 pep chromosome:Theobroma_cacao_20110822:9:38692303:38696845:1 gene:TCM_041604 transcript:EOY33702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLLSSSSSSSSTVSFDPKLCTSKSATAGCLAGILRRILCSRSLPTHPSDHITEANSVTSYKNQQFNAEDKVDASKFTPGIVARLMGLDSLPEISLLKTRANPNSITRSRSMNSADYKQGTDSIHAKHRRVNSTLSFRDMPTYFELENEEYFVLSFEKESEREELRSKRRKCRGGSGELKQRKEEKHKEKENRVEKVKEEKNKGNQQEASKRVLNVLNEEKLNRRIVDKPNQEVAKCNEVNGVYLEKPIVSIRGLEGSKLVDKKGVPDGAKLRKKKKKIQHRVAENVEPECSSEDSSPVSVLDFDQFVIDHDVPTSEEDSKADGSNSRRILSPDLENYGCKSPSNDGNMMEDELRVKNVEGKSLGSRRKDCHSEINLECWGAICRMTEAEVAKSSWSCSKNEDLEDITADIGSKILDQLLDELVISTFGISPMKNLNL >EOY32589 pep chromosome:Theobroma_cacao_20110822:9:31785549:31787508:-1 gene:TCM_040592 transcript:EOY32589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMDISLIEFYEERDEESINAVIEESSSSVSLQHLPKPMTIFYEEKYQLVCDHIVRNIEVGNPIMFNDEEILLGGRGITVGRGCHIRFSLGNRFQGIKTLLLVVRSKERFSLGYESPRREILEIMFEKKKKRMACLKGMELQDPNIYFLYLYETFRSRGYIHPHLPKGRDHEYEVVVNVVKKEQ >EOY29955 pep chromosome:Theobroma_cacao_20110822:9:2908517:2913387:-1 gene:TCM_037327 transcript:EOY29955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain, putative MAPHFSDESNVPANTTAVAIDRDKNSPHAVRWAIDHLVITNPLIILVHVRHKNQGEGESDYDINQLFIPFRGYCARKGIQMREVILEDTDISKALVDYISKNLINSMVLGAASRNAISRKFKHDVPTTLIKSAPEFCSVYVISKGKILTVRTAQRPVANTATPPKAPSAGMPPQIPLDQIEDDGIRGQYTRGALRNAGSERLSLDNPSKVPIRERDRQRSSPANLSLDSIDIGIRGQSSTSHDSFSNESDFPAKLALGSMDISGQDLDFSMVSGSPSESLPQSTRDIEAEMRRLKLELKQTMDMYSTACKEALTAKRKANELHQWKMEEARKFEEARLAEEAALAIAEMEKAKCKAAIEAAEASQRLAEMEAHRRRQAELKAKKEADEKNRALNALAHNDVRYRKYTIEEIEEATEKFSESMKIGEGGYGPVYKGKLDHTPVAIKVLRPDAAQGKKQFQQEVEVLCCIRHPNMVLLLGACPEYGCLVYEYMIHGSLEDRLFRRGNTPPLSWRKRFKIAAEIATALLFLHQAKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVADSVTQYHMTSAAGTFCYIDPEYQQTGMLTTKSDIYSLGIMLLQIITAKPPMGLAHHVGRAIEKGTFADMLDPAVPDWPVEEALSFAQMALKCVELRKRDRPDLGTVILPELNRLRDLGRRSDSRSYGYSSSCYSHGYSGSNQSQGQSYHSQSRSQARSSVISPLSRPRISSASQDSMSKTSDVETEPTPDSKPDDAE >EOY34489 pep chromosome:Theobroma_cacao_20110822:9:41287848:41294049:1 gene:TCM_042155 transcript:EOY34489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MQSWILPRGHNYPSCHPHPFLYGFTFLVVLCTTVARPTKENTRLEFFLTNSLYSNFHSLRISEELEIMVEEAMGSVSIRRGLKGHELLPFTVQFLRGRWFALFASFLIMAGAGATYLFGTYSKEIKATLGYDQTTLNLLGFFKDLGANVGVLSGLVAEVTPTWFVLLLGAVLNFGGYFMIWLAVTGKIAKPKVWQMCIYICVGANSQNFANTGALVTSVKNFPESRGAMLGLLKGFTGLSGAVMTQLYLAIYGNDSKSLILLIGWLPAAISVIFVYTIRTMRPVRHPNELRVFYHFLYASIVLAVFLMALTLAEKLLTFSKAEYAGATTVVCFLLFVPLFISIREELVVWNIKKQPINPPNEIAVEKPKAEAVESKQEASNLSSPKQADEKAEKSCFLTIFDRPDRGEDYTILQAMTSIDMLTLFLATFCGLGSSLTAVDNLGQIGESLGYPNKTVTSFVSLVSIWNYFGRVFSGFVSETLLAKYKLPRPLMMTAVLLLACIGYLLVAFPAPGSLYIASIIIGFSFGAQLPLLFAIISELFGLKHYSTLFNCGQLASPLGSYIFNVKITGWLYDREALKDLAEKGLTRSSVKELTCIGTHCYRVPFIILAAVTFFGALTSLILVVRTRSFYKSDIYKKFRENAEKL >EOY32697 pep chromosome:Theobroma_cacao_20110822:9:32888415:32891200:-1 gene:TCM_040724 transcript:EOY32697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 family protein isoform 1 MAERGGERGAFRRGFGGGRSDRGPRGRRRGRKDEEEKWVPVTKLGRLVKAGKITSLEQIYLHSLPIKEYQIIDQLVGPSLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFECLLKTYGFLTPDFWKETRFTRSPFQEYTDLLGKPVKPLVLEDAERVDV >EOY32696 pep chromosome:Theobroma_cacao_20110822:9:32889005:32893444:-1 gene:TCM_040724 transcript:EOY32696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 family protein isoform 1 MAERGGERGAFRRGFGGGRSDRGPRGRRRGRKDEEEKWVPVTKLGRLVKAGKITSLEQIYLHSLPIKEYQIIDQLVGPSLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFECLLKTYGFLTPDFWKETRFTRSPFQEYTDLLGKPVKPLVLEDAERVDV >EOY31868 pep chromosome:Theobroma_cacao_20110822:9:16879087:16879937:-1 gene:TCM_039200 transcript:EOY31868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALKKSVVADGQSTNRPPLFDGSNYPYWSTRMSVYIRAIDYEMWDVITDGHFSPSTINVVTNEMILKLRFEWTEVKTKKVLTNFKAINTLHCALTPTEFNKVLSCTTAKQV >EOY33923 pep chromosome:Theobroma_cacao_20110822:9:39331750:39334184:1 gene:TCM_041754 transcript:EOY33923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MWAFHSPQPTQPPSLSNPPRTSPKLPSSSLTLNPSISTSNLNNNQLIQSLCKEGNLKQAFKLLSQEPNPSQRTYELLILSCAHQNSLSLAQSLHSHISQNGFDQDPFLVTKLISMYSALDSLDDARKLFDKTRKRTIFVWNALFRALTLAGFGEEVLGLYRQMNRTGIPSDRFTYTYVLKACVASECLVSLLKKGKEIHAYILRHGYEAHVHIMTTLVDMYARFGCVSCASFVFGEMPVRNVVSWSAMIACYAKNGKSFEALELFREMMVETHDSFPNSVTMVSVLQACAALAALEQGKLIHAYILRRGLDSVLPVISALITMYSRCGKLELGQRIFDQMEKRDVVSWNSLISSYAVHGFGKKAIQIFQEMIHQGVSPSPVTFVSVLGACSHAGLVEEGKWLFDSMHKEHGIYPSVEHYACMVDLLGRANRLEEAARIIDEMRIEPGAKVWGSLLGSCRIHCNVDLAERASSRLFQLEPVSAGNYVLLADIYAEAKMWDEVKRVRKLLETRSLQKVPGRSWIEVKRKIYSFVSVDESNPQIEEIQSFLIKLSAEMKEKGYVPQTKVVLYDLNEGEKERILLGHSEKLAVAFGLINTNKGETIRITKNLRLCEDCHTLTKFISKFANKEILVRDVNRFHHFQNGVCSCDDYW >EOY30515 pep chromosome:Theobroma_cacao_20110822:9:4852070:4856265:-1 gene:TCM_037701 transcript:EOY30515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine methyltransferase 11 MGRRKNSNAAQTSTNSSNGFEGTKIRFQDADDEEAATDSSNLDESIVAIDKATEDVSMGEPDVSFVDCEGDDDKTSADYYFDSYSHFGIHEEMLKDVVRTKTYQNVIYQNKFLFQNKVVLDVGAGTGILSLFCAKAGAAHVYAVECSHMADMAKQIVEKNGVSDVVTVLKGKIEEIELPVAKVDIIISEWMGYFLLFENMLNTVLYARDKWLVNDGIVLPDKASLYLTAIEDAEYKDDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDQNQIVTNCHLLKTMDISKMAPGDASFTAPFKLVAQRDDYIHAFVAYFDVSFTKCHKLMGFSTGPRSRATHWKQTVLYLEDVLTVCEGEAIVGSMTVAPNKKNPRDVDIMVKYSLSGRRCVISKVQFYKMR >EOY29230 pep chromosome:Theobroma_cacao_20110822:9:420057:422051:-1 gene:TCM_036828 transcript:EOY29230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase II family protein MMAALPSLCRFTTILGSCFITPSPRSFKIRVRPHLPICLTTRKLSSSSPMSQPSSQPAGATKTESARAENDQEDMLVQYVVLRRDLIESWPLGSVVTQGCHASVCAIWSHKDDPHTLQYCSPETIDSMHKVTLEVKGETQILNLSEKLTAGGIAHKLWIEQPENIPTCLATKPYPKSIVSVFFKKLKLCK >EOY33483 pep chromosome:Theobroma_cacao_20110822:9:37754326:37755161:1 gene:TCM_041454 transcript:EOY33483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVHHQKLISLLHTSLSQTIRKGVSRLGSVHYRPLLYPSFHIVDEAHNSSQPLGALFWSIAPQHRIAKIRSTTMPWV >EOY31367 pep chromosome:Theobroma_cacao_20110822:9:8384566:8391156:-1 gene:TCM_038308 transcript:EOY31367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic-like cyclin 3B from MHCSYKRQASMNKENEPNEKVEEPIGRITRARSKALGAAGGICSSSKPSFKQDQKRVLRGNSKRAASDENKASVTATVGLQPKRRAVLKDVTIVCAESLYMDRINAKKIQTTKQTIRDPRENKKDMVEDISVEIPLVEEDVKTKLAEDLSKIRMVETQENTLPKILEEREQAEPMCPGARECPVADPMPPIQPSRIPVGLQSHQEKEENEVCKKLGASEGVVDIDSNLKDPQLCGLYAPDIYNNIRVTELNQRPSTNYMEQLQRDITPSMRGILIDWLVEVSEEYKLVPDTLYLTVSLIDRFLSHNFIEKQRLQLLGVACMLIASKYEEICAPRVEEFCFITDNTYTRGEVLKMESKVLNFLCFQLSVPTTKSFLRRFIQAAQATYKVPCMELEFLANYLAELTLVEYNFLKLLPSLIAASAVFLARWTLNQSDHPWNPTLEHYTSFKASELKTTVLALEDLQLNTNGCSLNAIREKYRQQKFKCVATRTAPESVVSLFSR >EOY32633 pep chromosome:Theobroma_cacao_20110822:9:32267007:32268834:-1 gene:TCM_040648 transcript:EOY32633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEENRKVNEARHRKKTKQKTKRFSSSPSISTPNRGKGRKKRWHLLLVGNIKGLNMICRFKNIYAPNNDRERQELWKELQVRLNNCEVSWSLGGISMLLGV >EOY33301 pep chromosome:Theobroma_cacao_20110822:9:36544996:36548481:-1 gene:TCM_041256 transcript:EOY33301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKWYGTMMCVLISISKFLEHVTYVAEQMGCRLHLIIICGAMLWSIWGAINEKVFVNKISERSELESYEYTSIELCGIRIFQHQNKKT >EOY34103 pep chromosome:Theobroma_cacao_20110822:9:39940713:39944722:1 gene:TCM_041881 transcript:EOY34103 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein isoform 1 MGVPSDDVVLIQKGKKPGEPHVITVNCPDKHGLGCDICRIILDFGLYITKGDVSTDGIWCYMVFWVVPHSSSIFVRWPSLKNRLQSICPSCSVTFYLNDQTSHSAASPVYLLKFFCLDRKELLHDVTQVLCELELTIQKVKVTTTPDGRVLDLFFITDNMELLHTKERQDDTYKQLNAVLGESCISCELKLAGPEYECHHGILSLSPAVVEELFRSELSDKETRSQALSPDMTKLKKANVVVDNSLSPAHTLLQLHCVDHKGLFYDVLRTLKDCNIKIAYGRFSPTFNGYRDIDVFILQKDGKKIVGPDKQNGLCSRLKVEMLHPLRVIISNRGPDTELLVANPVELSGKGRPRVFYDVTLALKGLGICIFSAEIGRHSSSDREWEVYRFLLDENCRFLLSSMVARNQIVDRVRRMLMGCLGCNALIPHYIFMHLACILKESLL >EOY34104 pep chromosome:Theobroma_cacao_20110822:9:39941158:39944291:1 gene:TCM_041881 transcript:EOY34104 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein isoform 1 MGVPSDDVVLIQKGKKPGEPHVITVNCPDKHGLGCDICRIILDFGLYITKGDVSTDGIWCYMVFWVVPHSSSIFVRWPSLKNRLQSICPSCSVTFYLNDQTSHSAASPVYLLKFFCLDRKELLHDVTQVLCELELTIQKVKVTTTPDGRVLDLFFITDNMELLHTKERQDDTYKQLNAVLGESCISCELKLAGPEYECHHGILSLSPAVVEELFRSELSDKETRSQALSPDMTKLKKANVVVDNSLSPAHTLLQLHCVDHKGLFYDVLRTLKDCNIKIAYGRFSPTFNGYRDIDVFILQKDGKKIVGPDKQNGLCSRLKVEMLHPLRVIISNRGPDTELLVANPVELSGKGRPRVFYDVTLALKGLGICIFSAEIGRHSSSDREWEVYRFLLDENCRFLLSSMVARNQIVDRVRRMLMGW >EOY31459 pep chromosome:Theobroma_cacao_20110822:9:8931371:8932402:-1 gene:TCM_038390 transcript:EOY31459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTHEVSKVTHLSSNGTKTPQTAGLGNVGIWPNWVVNHSTTIMVKMLATPRSPLTS >EOY29381 pep chromosome:Theobroma_cacao_20110822:9:1005101:1008815:1 gene:TCM_036939 transcript:EOY29381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVAVLKPEDCLKLPLPNPLKQPKNRVPNPARTNRAQPNRKKRSPNTSPPSRPTVDPKVPAKNLVMGQVKILKRGEDLKKSTPEKSVSLEKENVGVDLGSTNRLGPDPGSVPTQIRLTESNSNSNKVVAAAFYAGSAFITSPPPSSVPMPAFFTKKSSVAVKNDDATSDLRRILRLD >EOY29616 pep chromosome:Theobroma_cacao_20110822:9:1759531:1764203:1 gene:TCM_037108 transcript:EOY29616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK 34 MASKTIFILLFNFLSSQLLHFSEAETWVKAGYWYAYGEFPVQDIDSALFTHLLCAFAEVNPSTYQLFIPSASEQDFSTFTSIVKRKNPSVKTLLSVWNGISETGKSITGEKVNDSVLSSMISESSKRKSFIDSSMKTARRYEEMALRGIPSPRITTTAAENFPRGGPYLQVFRFANIKAATNNFSSANKLGEGGFGPIYKGTLPGGQEIAVKRLSASSSQGLEEFQNEVTLTARLQHVNLVRVLGYCTEKEKKMLIYKYMPNKSLDLYLFDPMRRNMLDWEKRVHIIDGVTQGLLYLQEYANITVIHRDLKASNILLDDVMNPKISDFGMARLFRKDAYEANTDRIVGTYGYVPPEFVTKDIYTMKYDVYSFGVLLLQIISGKRNTCYYGPHENLNLLEYAYDLWNDERGTEFIDPSLDDSSSPCKIMRCMQIALLCVQENSGDRPSMLEVFTMLKNDSMAASSHKRPAFSVKADKNTGSTSASQQEICSFNDPQISELEPQ >EOY31244 pep chromosome:Theobroma_cacao_20110822:9:7700268:7703982:-1 gene:TCM_038203 transcript:EOY31244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p domain-containing protein / tetratricopeptide repeat-containing protein MGKHNGKNKKQTGQAGDSNVKQSKVGDSSSKAYDKDTAIFIAMSQELKEEGNKLFQKRDHEGAMLKYEKALKLLPKNHIDVCHLRSNMAACYMQMGLSEYPRAIHECNLALEVTPKYSKALLKRARCYEALNRLELAFRDVHTVLNMEPNNIMALEISERVRSTLDKKGLRVNDTVIELPPEYVEPPSASQSLKVVKEKAKKKNKKKSNKAEENKAVDQIEEKKVDENIDEKKAEDKRVVEEKISSKMEEEPKKIVKLVFDQDIRWAQLPLNCSLLQLREVIHNRFPSSRAVLMKYKDDEGDLVTITSDEELRLAELSAESQGSVRLYIVEVDPEQDPFFERFNCEEVHNLNIKQGKAAENGDVRKGMETGKDSCCIDDWIIEFAQLFKNYVGFDSDAYLNLHELGMKEYSEAMEDTVTSEEAQDLFERAAEKFQEMTALALFNWGNVHMSRARKRVYFTEDGSRESILVQIKATYEWAQEEYSKAGKRYQEALRIKPDFYEALLALGQQQFEQAKLSWYYAIGKNVDPETWPSEEVLHLYNNAEENMDRGMQMWEELEGQRLHELSRSKKEQTQLQKKGLDGLFKDISADEAAEQAVNMSAQINLLWGTILYERSIMEFKLGLPVWQECLEVAVEKFEHAGASPTDIAVMVKNHCSNNNALEGLGFKIDEIIQAWNEMYEAKKCQSKIPSFRLEPLLRRRVSKIYHALEHA >EOY30462 pep chromosome:Theobroma_cacao_20110822:9:4686534:4691065:1 gene:TCM_037664 transcript:EOY30462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA synthetase family member 4, putative MATPQSITDLFARLAFHLQLPISERENEEEALNLAISKLNQSLNLNENHDSRVRVMDTALSLMCFKAPQVFDSVIEYLVKTIVSVLSSSVNCKVFLIQNQEFLLIGSASLGQNSVELVEMCNNVYAQLEGKGVFSHLLLRAVVRGTVLASRYQYSYPFVPILDVKSIEGRSAAVSKLHCHLPTELSLENEELPLRLLFWYLDPLILKQAISKILEDTTGRPFICLSEEFHQRIDWRSIIICLVLSPVMFIETRALLHSWFLKTGLASVLQLLVGLVSAVLDVISRPTWWGISMELGSKLPFSCAYFPNKNHLLRILAGTFSAENFLHLVHATSELVSLGKEQLHPAVKPKSMDVTSIDHKSLWALAIDFPDWFYFASCLLFPENSLREEFHLKCTFAASKVGETHDKELLSISAARYISWILSPISKSNQDLLVDFLTKISESWALKQYDSVMHNKEAAACKKKCKKPKLYDKREHYSLANEYDCQKMGIWLNEIENIYLQYANKTVNSSASADSNASHGSTQQNVLLRRIPLGILIGCPNCITEDACALLLHYAATGNILRPRETVTSGLRHVKQKSERQDLTIWMGKCSKRDVLAGACLVFTLTDAVDSISASLFDTEDSELEYIYQVKVKAAKYLMKCIKRLSQLNIDKDEVLTLRDLCSRLGRWRHQGQETLQVQNDIDDVINDLSQKLSSL >EOY30191 pep chromosome:Theobroma_cacao_20110822:9:3721629:3722560:1 gene:TCM_037482 transcript:EOY30191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSRIKKNKKKNVNESKMGRREKGCSAFQPVHPSPSSATRGMKHGWKAFNSAQPPRLPEPRHGYGEGQSRRHGRKNRLPNGSQKKLSKL >EOY31698 pep chromosome:Theobroma_cacao_20110822:9:12449523:12456597:-1 gene:TCM_038762 transcript:EOY31698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSANIVRLPWHVGSGGARLPEAVTVLGFSATVSLPLSPLEKSPSNYAASLLSSFKFSGSQGCGKLFLASMRVVILRTNAYNARSGAINNCLIHKEGPSNRLGDHHQRRLFIQMSPQSRKGDSDRNDFLKDLGDNGAMPWFVSLVARHEQMAAVVVLMRVEHGCLPTALGVIRGPSVVVVANLAAGRRPNHGWKSMEGIRLS >EOY33692 pep chromosome:Theobroma_cacao_20110822:9:38647437:38649281:-1 gene:TCM_041595 transcript:EOY33692 gene_biotype:protein_coding transcript_biotype:protein_coding description:20G-Fe(II) oxidoreductase, putative MNIKSYPPLFRPHSNLTQNIGPDEPIEHIEDLDPIPVIDLQCLEKLDGACKNWGLFRLVNHGVPSTLLSQIQDQARKLFSLPFEHKQAVISSPLSYVWGTVFLSSSGVAVRSSQDMFNCWAEVINFPVTQLSQFQTQDSLLDSFRHQVEEYGKHLARIARTIFQVMAKNLNLDPRQSESYLAESTAFIRAHRYPQISEGKQAWGAGPHRDGSLFSILSQDQIGGLEVYKDNKWFPVKPVANTLLINLGEMMKAISDDRYVSAKHRVRVYKQEDRISVNYFVFPDFDCVIRSSKYKPFTYNEFRAQGQKDIESLGFIVGLEGFKLSK >EOY34716 pep chromosome:Theobroma_cacao_20110822:9:41883810:41885297:-1 gene:TCM_042300 transcript:EOY34716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related genes transcriptional activator PTI6, putative MMSPQTQYSFSDLVKFTEHVITTNKAPPQPTRNVPQKLLRIILTDADATDSSSDEEHDQPTPTRRRLNTVRRVKRHVKEINFQPLSPSSSASTSTTSKSLVKQQPSTKRERPSPQSDVTRRKKFRGVRQRPWGRWAAEIRDPTQRKRVWLGTFDTPEEAAIVYDKAALLLKGPNAVTNFPNPVSTEKDAAVDQRQGDSLDSSPCSSLSSPTSVIRCEELTLFDGLGYVNVDAFGFQIDVPSYSTDLSLSDSFFAQEDEFSDFNVDDFLVGD >EOY30272 pep chromosome:Theobroma_cacao_20110822:9:4030926:4033520:1 gene:TCM_037540 transcript:EOY30272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing-like protein MASSSEVNADNDAVQDAQRIRHLSQYIPLNKAALKGDRESARRIFDSNPNAIAAGIMEGLSTALHIAVGTGKNIDFVRNLIDLKPVEALELTNDSNSTSLTVAAMVGNLEVARLLVERNPNLPYIRDNNEVVPLHRAVQYGHKNLVSFLLGVTRNEIEPTYPSPYADESGLMLQLLLVFPSIWRTCPTTPLKEITQLTVPKFVCRYAAGFQLQEETI >EOY29104 pep chromosome:Theobroma_cacao_20110822:9:139096:141083:1 gene:TCM_036759 transcript:EOY29104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Keratin-associated protein 10-6 isoform 1 MAVTIKQMALLVSFLGILSFIFGVIAENKKPAAGTPISGKDVVICKYPSDPTVVLGYLSVVFLALSGLAGYISLFYPYKGKSVPQSVLFRSTSFFVFFNIALFTCGLAATLLLWPTITEQLHLTRNVHHNLTTECPTAKTGLLGGGAFVSLDSALFWLVALMLANNAREDHFDEVEKDSKAEPGQVLSAEYHVKGGAV >EOY29105 pep chromosome:Theobroma_cacao_20110822:9:139096:141083:1 gene:TCM_036759 transcript:EOY29105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Keratin-associated protein 10-6 isoform 1 MAVTIKQMALLVSFLGILSFIFGVIAENKKPAAGTPISGKDVVICKYPSDPTVVLGYLSVVFLALSGLAGYISLFYPYKGKSVPQSVLFRSTSFFVFFNIALFTCGLAATLLLWPTITEQLHLTRNVHHNLTTECPTAKTGLLGGGAFVSLDSALFWLVALMLANNAREDHFDEVEKDSKAEPGQVLSAEYHVKGGAV >EOY30104 pep chromosome:Theobroma_cacao_20110822:9:3394019:3395971:1 gene:TCM_037428 transcript:EOY30104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane proteins 14C MADLLVGVSQSSLLRLQPKLGSFRRLQLFNNYGYPRFGNSQLDLKGVTVAGVVSSDSKAPTILTNSVDFAKGGIEIEVDTGNGGGDNFDNRSGGGGGGGGGDNSGGGDSGNKGEGEFSDDGSKKTMALSMSQKLTLGYAALVGVGGVMGYIKSGSQKSLMAGGLSASLLYYVYTQLPAKPVFGSSLGLGTSAALLGVMGFRFKRSGKVFPAGVVSLVSLVMTGGYLHGIMRSLH >EOY31249 pep chromosome:Theobroma_cacao_20110822:9:7721258:7736461:-1 gene:TCM_038208 transcript:EOY31249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 1, putative isoform 2 MHIDCSFDSLTYDGVRSTGCSLPLQSLSLFSSLKTLRLLGFSFNGRITTEIWQNLTSLEDLTVGYSSLPSNFIQDIGTLTSLKNLFLYYCEVNGNLSMHGPLHLKNLESLRIYLTPLENNFLQTIGAMPSLKSLSLWNCGLNGTLATQVWQNLTSLEELSVEFSSFPSNFIQVIGILTSLKRLEVSGWEVNGNLSMHAWQTLTSLEELSVEFLSLPSNFIQDIGAMTSLKNLYVFDCEVNGNLSINGPLHVKNLESLSIDSTPLESNFLQIIGAVPSLKSLSLSNCGLNGTLPTQGFCELTHLRDVDISYNNLKGNLSECFSNFTSLENLDLSSNQFSGNIFFLKSLTSLSTLTLSNNNFQIPSSLGPFFNLSKLKYVYADNNSIYAETEMHHLSPRFQLNSISLSCCGDVGSFPQFLYHQHDLQFVDLSNIYFKGEQFPGWLLGNNKKLQSLILINNSLSGPFRLPFASHLDLSGLDVSINFFNGSIPTEIGAKLPSLTFLNMSKNSFGGSIPASIGGINSLQSLDLSNNQLSGGLPEHLAMGCSLLSVLILSNNRLQGQIFPANFSLTNLGELQVDGNHFSGSIPDCLSNCSFLSILDVSNNQLFDEIPRWMENLSRLSRLDLSNNAIFGGIPKWMGNMSSLEEIIMANNHLGGSIPVEFCQLNLKLKLLDLSANDISGSLPSCFSPLLISQVHLSKNKLQGQLTNAFRNSNLLVTLDLSNNHLTGNIPNWIGKLSQLSYLLLNNNHFEGEIPIQLCKLGHLSLIDLSHNNLSGIIPSCLKITTLNDVSQDYVHYVTTVARVNTSFSTEEPIEFTTKNISYSYKGKILTILSGIDFSCNKLTGEIPHKVENFLKIFALNLSHNSLTGPIPAALSKLRQIESLDLSYNNLSGKIPPQLVGLSFLSFFSVAYNNLSGSTPERTAQFATFEESSYLGNLFLCGPPLQKSCSATEPSLIQKAREEDGSIDMDVFFVSFIVSYIIVLLGFAVVLYINPYWRRAWFYHIEMGITSCFYFVVDNLPKEFHC >EOY31248 pep chromosome:Theobroma_cacao_20110822:9:7720460:7728433:-1 gene:TCM_038208 transcript:EOY31248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 1, putative isoform 2 MPSLKSLSLWNCGLNGTLATQVWQNLTSLEELSVEFSSFPSNFIQVIGILTSLKRLEVSGWEVNGNLSMHAWQTLTSLEELSVEFLSLPSNFIQDIGAMTSLKNLYVFDCEVNGNLSINGPLHVKNLESLSIDSTPLESNFLQIIGAVPSLKSLSLSNCGLNGTLPTQGFCELTHLRDVDISYNNLKGNLSECFSNFTSLENLDLSSNQFSGNIFFLKSLTSLSTLTLSNNNFQIPSSLGPFFNLSKLKYVYADNNSIYAETEMHHLSPRFQLNSISLSCCGDVGSFPQFLYHQHDLQFVDLSNIYFKGEQFPGWLLGNNKKLQSLILINNSLSGPFRLPFASHLDLSGLDVSINFFNGSIPTEIGAKLPSLTFLNMSKNSFGGSIPASIGGINSLQSLDLSNNQLSGGLPEHLAMGCSLLSVLILSNNRLQGQIFPANFSLTNLGELQVDGNHFSGSIPDCLSNCSFLSILDVSNNQLFDEIPRWMENLSRLSRLDLSNNAIFGGIPKWMGNMSSLEEIIMANNHLGGSIPVEFCQLNLKLKLLDLSANDISGSLPSCFSPLLISQVHLSKNKLQGQLTNAFRNSNLLVTLDLSNNHLTGNIPNWIGKLSQLSYLLLNNNHFEGEIPIQLCKLGHLSLIDLSHNNLSGIIPSCLKITTLNDVSQDYVHYVTTVARVNTSFSTEEPIEFTTKNISYSYKGKILTILSGIDFSCNKLTGEIPHKVENFLKIFALNLSHNSLTGPIPAALSKLRQIESLDLSYNNLSGKIPPQLVGLSFLSFFSVAYNNLSGSTPERTAQFATFEESSYLGNLFLCGPPLQKSCSATEPSLIQKAREEDGSIDMDVFFELLQIHHY >EOY33775 pep chromosome:Theobroma_cacao_20110822:9:38953951:38954433:1 gene:TCM_041656 transcript:EOY33775 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOCATED IN: thylakoid, chloroplast thylakoid membrane, chloroplast, chloroplast envelope; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Thylakoid soluble phosphoprotein TSP9 (InterPro:IPR021584); /.../ Blast hits to 37 proteins in 10 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 37; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G47070) TAIR;Acc:AT3G47070] MASLLISFAPSTTRVFAATAAKSAGGSKEEKGLFDWILGQLQKEEQFYETDPILQKVEGKNGDGTTSGRKNSVSVPQKKKGGGFGGLFAKK >EOY29156 pep chromosome:Theobroma_cacao_20110822:9:261103:266657:1 gene:TCM_036789 transcript:EOY29156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related MAASKLVIFSLFVALIFAQVRADASIEPVPESAGADSSARKIELDQLKAKIRLLESNDEEKTRELKTKDELIKQKEKIIQEKSDSIASLNTEISSLQKKGTSDAKEQVGKAHARALELQKQVDTLKSELEAKLKDKDSLEARATDAENKLTELNLKLENLQKINNKQKSKIAKTERALKVAEEELMKAKFEATSKTNELMEVHGAWLPPWLAVKLIHCQAFVQTHWNERGKPAMDMVIQKALEKKALAEKWAEPRMETIKTKWVPAVKDQWLVVTTYAEPHVQLLTAKTVEVYEVSKSAITPHIIKVQEIVDPYFQEAKKFSKPYIDQVATLAKPHVDKVRVVMKPYTKEVVNAYGKFLELATTYHHQVQASVREMLKKHELTRPLATKELEWFAASALLALPIIILFRICSAIFCKKAKKPVRHANTNQGRRKAKRGHPDKQTA >EOY30304 pep chromosome:Theobroma_cacao_20110822:9:4166712:4170333:-1 gene:TCM_037562 transcript:EOY30304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding 6-like protein MGSSIQFKMPNNKILRTTVLSESKTDACQVRLGRSRGPILPFIAAKLHVGLRKVVYTFQAIDSPQRNMALQEEFKESADKAKALPPTTKDADKLILYGLYKQATVGNVNTDRPGIFSPTDRAKWDAWKAVEGKTKEEAMTEYIAKVKELQGAAAA >EOY33378 pep chromosome:Theobroma_cacao_20110822:9:37204579:37207931:-1 gene:TCM_041355 transcript:EOY33378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paxneb protein-related MAAAKTRISSFSRNLSTAAQSQGPGLKCGPNGTVFLSSGIPDLDKILGGGFPLGSLVMVMEARDPRGFLGTLPCPATSKDDKSREHDPDQEKGLRIAWQYKKYFRENQLNFNCQRDGKHEYSNEFDLRKPLERHFLNGQRVDCVSVQDSLNLSALQDRSATFLSQFPRNDGSISCAGRIAIQSFCAPQCAYSNTEWDMLSFIRSLKSMVRSSNAVALITFPPSLLSPSFCKRWQHLADTLLSVRAIPDEDKELSQLLTGYQDMVGFLNVYKVACIHTQVPVILEATTFSIKLQKRRFLVLECLNQAPVDGSSGTSYGTSGSCSGSSKTGNLDF >EOY29209 pep chromosome:Theobroma_cacao_20110822:9:351453:353794:1 gene:TCM_036813 transcript:EOY29209 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9-signalosome 5B MDSAIAQKRWELENNILTVDTPDPSSDSIFYYDGPAQTKFQQEKPWANDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGKTDGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDDPISEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGSGDYVAGQISDLAEKLEQAENQLAHSRIGPLGPPRKKEEESQLAKITRDSAKITVEQVDGLMSQVIKDILFNSVRQSNRCRNDHSGPEPMVEA >EOY32355 pep chromosome:Theobroma_cacao_20110822:9:27957763:27961744:-1 gene:TCM_040203 transcript:EOY32355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGNTDINGLKHAFKSGANDANNVGKRIVLPSSLTGNPRYLLQNYQDAISICRYYGCRDFFITFISNDRWKKIEATLSRNGSYGPWIIKFAIAWYHIGSTCLSGSSLDILMTFVYGHGGVCKTYLWNAITSGIRSIRKIVLFIASSYIASLLLLTGRTTHSRFKIPFTINECFTCQITKGTQLTRLVQASSLIVWDEAPLVHRHCFKDLDKTLRDVIDHEFVQDFEKPFGGKTILFKGDF >EOY32578 pep chromosome:Theobroma_cacao_20110822:9:31613367:31615411:-1 gene:TCM_040568 transcript:EOY32578 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC (cAMP-dependent, cGMP-dependent and protein kinase C) kinase family protein, putative isoform 1 MENPSFSPPFPPELDLDNLKAIKILGKGAMGTVFLAHDTVTDPTARSPFALKVVQRSKHDADRRALWEIGVLTRLSTPDPALHHPFLPRLLGRLETPEFLAWAVPYCPGSDLNVLRYRQNDRVFSAAVIRFYLAEILCALEHLHSLGIVYRDLKPENILIQQSGHATLTDFDLSRNLKRRQLSDILTDETKDQNSLSEIPVRRKYRRNYFRWIPVVPDNKYNKALKKAKSARVSPVSRRKLSFSNGERSNSFVGTEEYVSPEVVRGDGHEFAVDWWALGILTYEMLYGTTPFKGRNRKETFRNILAKEPEFMGQRNALTDLIGRLLQKDPKKRLGYHRGACEIKEQAFFKGVRWDLLTEVLRPPYIPSRDDCDLTEKVTPGRMDIREYFQSLKAPSSMPPSPLPSPSSDHRRNVSFTEY >EOY32579 pep chromosome:Theobroma_cacao_20110822:9:31613610:31615398:-1 gene:TCM_040568 transcript:EOY32579 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC (cAMP-dependent, cGMP-dependent and protein kinase C) kinase family protein, putative isoform 1 MENPSFSPPFPPELDLDNLKAIKILGKGAMGTVFLAHDTVTDPTARSPFALKVVQRSKHDADRRALWEIGVLTRLSTPDPALHHPFLPRLLGRLETPEFLAWAVPYCPGSDLNVLRYRQNDRVFSAAVIRFYLAEILCALEHLHSLGIVYRDLKPENILIQQSGHATLTDFDLSRNLKRRQLSDILTDETKDQNSLSEIPVRRKYRRNYFRWIPVVPDNKYNKALKKAKSARVSPVSRRKLSFSNGERSNSFVGTEEYVSPEVVRGDGHEFAVDWWALGILTYEMLYGTTPFKGRNRKETFRNILAKEPEFMGQRNALTDLIGRLLQKDPKKRLGYHRGACEIKEQAFFKGVRWDLLTEVLRPPYIPSRDDCDLTEKVTPGRMDIREYFQSLKAPSSMPPSPLPSPSSDHRRNVSFTEY >EOY30298 pep chromosome:Theobroma_cacao_20110822:9:4121478:4131322:1 gene:TCM_037556 transcript:EOY30298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MITVCSVRNAMLSPAYENNCLLISRESLFDFLVGGRREMGFDLYRECFLLLTEAEPVAGKATGVTKRRSGAPCGQIWPLRRQIGASPRLDLAVECQIQPWDLVGKHQIRCFPLPDLAVECQIWPNLRRNLGFGPLGGQRKWGCRYRRLEEGDSVFVWEGRICGGWLERGSRRL >EOY29838 pep chromosome:Theobroma_cacao_20110822:9:2503075:2510190:-1 gene:TCM_037242 transcript:EOY29838 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNApolymerase sigma-subunit C, putative MIFKIGGVVLTRESWESSVWILLDSMGLHEAYDLRPFKIKSPCSFTPGNCYQEPANSPWLLHGEDSVAVKVKGQAPNIELQKENEKLWAENEEMITKREEMLEVENSKLHKKPTEQMDQKMNIINQKSKFISEEESGVSMLPCIQFKHEKEFSVCSQCSKNGKLTARTTVGEQQMKRHKTADDIKQEGGEKFIDLDKGETSLKCTSQDMSPRFAIRLRSGSDSARLKKKFSLEEIRASMLGLIQQIWVGPQASSVREETKPNSDRPDQMLQFTCGCVADPNPVGKAIRELGTCVITQKGETVVDTSFGDEEPVAQVLNLKGVCPVPSHFLANSPSRLPSSGVLSLKDPLKAYASSAAPQTLEKGCLEIEEMKVNIGKGSQAHSHSLTMIDKTHELIGGDKSSSRTSPQACKASHYSLLMENLDVLEETFADSDVLTLEREILLQLGRFGALKLFNTCLSRTLKASNILDLSDVPANSGDCNMNLSVDGQKDNIVVCSRKKKQRKKIRERALENPTIISTQLMPSNTLHGRFQKPKVSSAKRVSDSRSRRLRIARNEAEMSKGVKVVANLERIRTTLEEETGRAASLSCWAEAAGVSEKVLQQHLRFGWYCRDELLRSTRSLVLYFARNYRGLGIAHEDLIQAGSLGVLQGAERFDHTRGYRFSTYVQFWIRKSVSRIVARHARGIQVPCTLSRAIVQIQKARKALSKSHGKYPDEDAIAKFTGLSLAKIRSANKCMRVVGSIDQKIGDCMNAKYLEFIPDLSVRSPEKTVMRQHMKEDIHDLLNGLDARERQVMVLRYGLKGSPPKSLEEIGRLFHVSKEWIRRIEMKAMKKLRDKETCRNLSHYLDS >EOY31061 pep chromosome:Theobroma_cacao_20110822:9:6830379:6839711:-1 gene:TCM_038075 transcript:EOY31061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASAFLLTAAPFLPSQENEVSGLLGQLRSSFHGKVRYKQSFLRREFNSPRGGRIVVASVLGRKVQKKETVIPDPDYRIPIVLLGLAGGLAYSNNLLPAAPVGLLGLLLLFQEVKVGDQLDDSGENVFVGGKNRWKYSTFVNWELWWPSFPVLVYFKETQTKPEGQVHFFPVIFNGKQLYDVMVERAGPSKTSGPK >EOY31060 pep chromosome:Theobroma_cacao_20110822:9:6830832:6839687:-1 gene:TCM_038075 transcript:EOY31060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MASAFLLTAAPFLPSQENEVSGLLGQLRSSFHGKVRYKQSFLRREFNSPRGGRIVVASVLGRKVQKKETVIPDPDYRIPIVLLGLAGGLAYSNNLLPAAPVGLLGLLLLFQTTRVRFVFDGDALEVKVGDQLDDSGENVFVGGKNRWKYSTFVNWELWWPSFPVLVYFKETQTKPEGQVHFFPVIFNGKQLYDVMVERAGPSKTSGPK >EOY33927 pep chromosome:Theobroma_cacao_20110822:9:39343401:39362945:-1 gene:TCM_041758 transcript:EOY33927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGLIPLIYRVIIQYRTGEQLTAEGSMFNEPLPAPYARLPGDSGRFKLPPEYSSFFSMNSPSTSSDLIVSKGIKSPLHLSVG >EOY33806 pep chromosome:Theobroma_cacao_20110822:9:39054666:39057417:1 gene:TCM_041678 transcript:EOY33806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVQPHHQHQSQRLSTCHRHPTTKPITGFCASCLRERLAGIQNNSPTVTTPSTSSTSQLRRSKSCSGGPNPSSSSATSEPRRKSCDARAHNTLHDLFAIDDKIKTLNPNHPPSKVEAFQGFEEEEEQGELKTMKDFIDLEWGSKKTSGKSLWEAASVFSKKLRKWRKKQSKKKEKTEGLVLDKVNKRGLRDTQSEIGEYGLFGRRSCDTDPRLSVDFGRLSVDDSRFSFDEPRASWDGYLIGKQNPKVNEEGNVGEERLSVVKEEERSSPGGSAQTRDYYADSLTRRRRSFDRSGSNRKISLGEADEVKSSISNAKVSPETVGLFHGAKLLVTEKELRDSNWYSSVESGSKDVGIVAAGVGQKGFDLKKARKWKNIWNIWGLIQRRKESEFEDEERSIGGDVGDERLAESLQKLRRVANGDEDRGIGGNVGEGTLAESLQKLRGLANGDEVKGIGGIVADRTLAESLQKLRRVANGDANGSVVSQKLMRSYSVSARNSVDGSAFYGMSVTPSKDDGEKRRENFVLQQNRSVRYSPNNHDNGLLRFYLTPMRSYRRSKSGISRLKNPHSVGGSVL >EOY29487 pep chromosome:Theobroma_cacao_20110822:9:1327127:1333880:-1 gene:TCM_037006 transcript:EOY29487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D alpha 2 isoform 2 MGPPYLLHGTLIAKIIGIDVLLYGCKENFCIETMGVSKLQKRCIAKIKRTFLGPQLYATVDLDRARVGRTSVVRHKASSPQWNETFRIYCAHSITNVIFTVKDNSPIGAVLVGRAWLPVEDILVGDTMVREVDILDEERKPIPGDSKIKVELRFLGVSQEGSWSQGIKYPEFGGVPFTFFRQREGCKVTLYQDAHISDGFNPKISLSGGKLYEPQRCWEDIFDAIDKAKNFIYVTGWSVYTEITLIRDPRKEKRGSSDTLGDLLIKKAADGVRVLLLVWDDRTSIDILKEEGLMSTHDEETAHVFRYTAVHCVLCPRNPDNKKGIVEGIKIATMFTHHQKTLVVDSEIPGSEKRTVVGFIGGIDLCDGRYDTQDHPLFQTLNDIHHDDFHQPNFKNASIKKGGPREPWHDIHCKLEGPIAWDVLYNFEQRWLRQARWKKHLLFPLDKLEQMIVRPNQIVHSDPSETWSVQLFRSIDNGAVVGFPEKPEAASKFGLLSGKNNIIERSIQDAYINAIRRAKNFIYIENQYFLGSSFGWKTKGINIEKIPDIAGIDIEEISDIAALNLIPKELSLKIVSKIEAGERFSVYIVIPMWPEGVPDSGPIQAILDWQSRTIEMMYSDVTGALKRKGLTAHPRDYLAFYCLGNRQTKKTEEYIPTEPADPKSDYGRAQQSRRFMIYVHSKMMIVDDEYIIIGSANINERSMAGSRDSEIAMGAFQPYHLATTQPARGQIFGLRMALWYEHLGQLHDFLDNPGSKQCVQLVNSIADKHWELYSSETFDQDLRGHLLPYPIQVGDDGSVSTLPRTRFFPDTNACVLGTKSNILPAIVTT >EOY29488 pep chromosome:Theobroma_cacao_20110822:9:1327416:1330966:-1 gene:TCM_037006 transcript:EOY29488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D alpha 2 isoform 2 MGVSKLQKRCIAKIKRTFLGPQLYATVDLDRARVGRTSVVRHKASSPQWNETFRIYCAHSITNVIFTVKDNSPIGAVLVGRAWLPVEDILVGDTMVREVDILDEERKPIPGDSKIKVELRFLGVSQEGSWSQGIKYPEFGGVPFTFFRQREGCKVTLYQDAHISDGFNPKISLSGGKLYEPQRCWEDIFDAIDKAKNFIYVTGWSVYTEITLIRDPRKEKRGSSDTLGDLLIKKAADGVRVLLLVWDDRTSIDILKEEGLMSTHDEETAHVFRYTAVHCVLCPRNPDNKKGIVEGIKIATMFTHHQKTLVVDSEIPGSEKRTVVGFIGGIDLCDGRYDTQDHPLFQTLNDIHHDDFHQPNFKNASIKKGGPREPWHDIHCKLEGPIAWDVLYNFEQRWLRQARWKKHLLFPLDKLEQMIVRPNQIVHSDPSETWSVQLFRSIDNGAVVGFPEKPEAASKFGLLSGKNNIIERSIQDAYINAIRRAKNFIYIENQYFLGSSFGWKTKGINIEKIPDIAGIDIEEISDIAALNLIPKELSLKIVSKIEAGERFSVYIVIPMWPEGVPDSGPIQAILDWQSRTIEMMYSDVTGALKRKGLTAHPRDYLAFYCLGNRQTKKTEEYIPTEPADPKSDYGRAQQSRRFMIYVHSKMMIVDDEYIIIGSANINERSMAGSRDSEIAMGAFQPYHLATTQPARGQIFGLRMALWYEHLGQLHDFLDNPGSKQCVQLVNSIADKHWELYSSETFDQDLRGHLLPYPIQVGDDGSVSTLPRTRFFPDTNACVLGTKSNILPAIVTT >EOY32959 pep chromosome:Theobroma_cacao_20110822:9:34663609:34666643:1 gene:TCM_040973 transcript:EOY32959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin B1, putative MASRLIAPQRENNGEVKQKTVIADGRNRRVLQDIGNFVNERAAQGKNPITEGVGAVAQAVKGGGRAVTKVVAAQRKVGDKADKPKPETVIVISSDEKTEESRPVSRREGSSRKEVKTLTAILSARSKAACGLTNKHNDSIEDIDGADVGNELAVAEYVDDIYKFYKLTENDCQVHDYMDLQPDINAKMRSILVDWLIEVHRKFDLMPETLYLTMNIVDRILSMKVVQRKELQLVGISAMLIACKYEEIWAPEVNDFVFISDNAYAREQVLAMEKAILDKLEWYLTVPTPYVFLVRYIKASIPSDDKMEDLVFFLAELGLMQYPTVVLCCPSMLAAAAVYAARCTLDKSPLWSETLKHHTGYSEDQLKSWAKLLVKFHATAAESKLKAVYRKFSSPDRGAVALLSPAKSLLPNHHGE >EOY31902 pep chromosome:Theobroma_cacao_20110822:9:17420347:17424351:-1 gene:TCM_039247 transcript:EOY31902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKDRKAFELVYNYYTMEFREYDNLDTLLVVLREKWAFKISINTQYVMVRRITEIKSMNYELWFAIGKSKACLSKQEFCLITKPKFGPHPNIFIRTYELSGDVTKMALILIANNILFGENYRRKVTSWLLSLVEDIDAWNVFLWGQYIWRLIKDYLLKWFKVAAFWAMEIIPVFQKLIATFDPKDDVYPFVGRRNLGAPLKEATREYWVDINVPLSEGCQYMPTRQMEDQANWGIDARIKGRNLKEKRVIGLVK >EOY30676 pep chromosome:Theobroma_cacao_20110822:9:5379637:5380824:1 gene:TCM_037803 transcript:EOY30676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKLVIAFILGVNIALLMLNMISSYHVCWNTIYELEGFGPGGTGPVEVIVVGCSQSWIEMYLSISYLSTIH >EOY32329 pep chromosome:Theobroma_cacao_20110822:9:27348456:27351141:1 gene:TCM_040133 transcript:EOY32329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDRMEKAQEEMREQLAKIMELVASLSKGKRVVEESTPLENPPTQDTGNPRDDPPYPPRINPAKPIHVPDLDGPKEQEKLKKSSSQTGENDKDQKKYDMLEECLRAIEGVDRFGTMDAAELCLVSDVLIPAKFKIISNPSANNYDPNAKCDYHMGAIGHSIEKCRQFEEKIENLIKDGTLTFKLMESWKLTLS >EOY33184 pep chromosome:Theobroma_cacao_20110822:9:35803489:35804779:-1 gene:TCM_041155 transcript:EOY33184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small heat-shock protein, putative MDSKPKPVYEDFEVHTEWVNEASYDALVASVPGFKREQLKVQISSGGNLRISGERPIGDTKFSRFHKEIPIPSNWDQSKINAKVMDGMLQLKHPKLITSAEKQEEAKPSEEVPQSPEDVPHKQKNGPEQAVQESSPKATLENQTGEETNEAAKDVSEKAPNKEDMKDKKADIVAAANEANMTMDGTGIYQQLGIFKQVLDSLVLGLKNPSKVMNMALVAFLAVLLALFVRDGIRSLGNYYN >EOY31214 pep chromosome:Theobroma_cacao_20110822:9:7566140:7574120:-1 gene:TCM_038181 transcript:EOY31214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monogalactosyldiacylglycerol synthase 2 MVMKVSSPKKVASITEKVWQRVYGNYSSSSNSSHYHHHQHHRHQRRCSYESEDEYEDDYGTIQLMQMGAERTKNVLILMSDTGGGHRASAEAIRDAFRIEFGDEYRIIVKDVWKEYTGWPLNDMERSYKFMVKHVQLWKVAFHSTSPKWIHSCYLAAIAAYYAKEVEAGLMEYKPDIIISVHPLMQHIPLWVLKWQGLQKKVVFVTVITDLNTCHPTWFHPGVNRCYCPSKEVAKRALCDGLEESQIQVFGLPIRPSFARAVLSKDDLRIELEMDPDLPAVLLMGGGEGMGPVKKTAIALGESLYDKDQEKPIGQLIIICGRNKSLVSTLESEEWTIPVKVRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIVLNDYIPGQEKGNVPYVVDNGAGVFTRSPKETARIVAEWFSTKTDELKRMSENALKLAQPEAVFDIVKDIHELACQRGPLANVPYVLTSSFTSLI >EOY33447 pep chromosome:Theobroma_cacao_20110822:9:37552888:37555352:1 gene:TCM_041420 transcript:EOY33447 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein MEHLPVEVIGNILSRLGGARDVVIASATCQKWRDACRKHLHTLEFNSGDWPVYRDITPRRLEILITQTIFQTTGLQGLSILMDDVDEFSASIVIAWLMYTRETLRRLSYNVRTSPNVNILEICGRQKLEKLVLAHNSITGVEPNFQRFPCLKSLSLSHVSISALDLSLLLTACPKLESLELVNPEIAMSDAQVTVELSSPTLKSIYVEEISLDKFMLEADSIERLHLRDCALELFELIGKGTLKYFKIDDVSVIHLDIGETVDNLEIVDVSNFTIIWPKFYQMISRSSKLRKLRLWDVAFDDEDEVVDLETIAFCFPQLNHLSLSYELRDGVMHYGVQGFSNLENVRVLELGWTVINDHFSYWVEELLKRCPNLKKMIIFGVVSEAKSREECQILASFTSSIVQLMRKYMHVEVEFEYE >EOY29899 pep chromosome:Theobroma_cacao_20110822:9:2726869:2733801:-1 gene:TCM_037284 transcript:EOY29899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine decarboxylase P-protein 1 MERARRVANRAILKRLVNAAKQSRNGEISSRSPVLYTPSRYVSSLSPFGSKSYSRSDLLGARNVSNNAGFGVGSQIRSISVEALKSSDTFPRRHNSATPEEQIKMAQSCGFDNLDSLIDATVPKAIRIDSMKFSKFDGGLTESQMIEHMQNLASKNKIFKSFIGMGYYNTYVPPVILRNIMENPAWYTQYTPYQAEVSQGRLESLLNFQTMISDLTGLPMSNASLLDEGTAAAEAMAMCNNILKGKKKTFIIANNCHPQTIDICKTRAGGFDLKVVTADLKDIDYSSGDVCGVLVQYPGTEGEILDYGEFVKNAHANGVKVVMATDLLALTMLKPPGELGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKTIAQRVHGLAGAFALGLKKLGTVEVQGLPFFDTVKVTCADAHAIADAAYNSEINLRVVDAKTITVSFDETTTLEDVDKLFKVFSGGKPVSFTAASLAPEVENAIPSGLLRQSPYLTHPIFNTYRTEHELLRYIHRLQSKDLSLCHSMIPLGSCTMKLNATAEMMPVTWPGFTDIHPFAPTEQAQGYQEMFTDLGDLLCTITGFDSFSLQPNAGAAGEYAGLMAIRAYHKSRGDHHRNVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKDKLSALMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVSTGGIPAPDKSSPLGTISAAPWGSALILPISYTYIAMMGSKGLTEASKIAILNANYMAKRLENHYPVLFRGVNGTVAHEFIVDLRAFKNTAGIEPEDVAKRLMDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAQIENGKADIHNNVLKGAPHPPSLLMGDAWTKPYSREYAAFPASWLRTAKFWPTTGRVDNVYGDRNVICTLLPVTQMVEEEAAANA >EOY32047 pep chromosome:Theobroma_cacao_20110822:9:19412762:19416572:-1 gene:TCM_039457 transcript:EOY32047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MFFTKTSKKRTRSYHSSPPRDEESLSKTMDNCSTAFSSNSQTPMLSHPPVSRYNFSISLPQNLFRRSKWGRKLDDDALAQALMDCKNPTEGLENYEEWTVDLKRLVMGVAFAEGTFGKLFRGKYNGEDVAIKILERPADKPEIAQALERQFQQEVMILATLNHPNVVQFIGACRKPMVWGIVTAYAKGGSVRQFLKNRQNRPMPLKLTVKQALDVAKGMAYIHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIQVHSEGMTPHTGTYRWMAPEMIQNWPYTQKVDVYSFGIVLWELITGLLPFQGMTALQAAACVVQNGARPAIPKDCLPILSEIMTRCWDANPEVRPPFSDVVNMLETAETEVMTTVRKARFRCCMTQPMIVD >EOY32556 pep chromosome:Theobroma_cacao_20110822:9:31442876:31443477:1 gene:TCM_040550 transcript:EOY32556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >EOY32207 pep chromosome:Theobroma_cacao_20110822:9:23250284:23268913:-1 gene:TCM_039810 transcript:EOY32207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKSLGVQLRNGEDGSLLANFIVRPSLLNQIKDIQRSDDELRKEIQKLTDGGVSEFRFGEDNVLMFRDRQVKAEHQRPAGTLQSLPVPEWKWEHVTMDFVLGLPRTQRGKDAIWVIVDRLTKSTHFLAVHSTYSIEKLAQLYINEIVRLHGVPVSIVSDRDPRFNSRFWPKFQEALGTKLKFSTAFHPQTDGGEPSGKGKKVVPDE >EOY29189 pep chromosome:Theobroma_cacao_20110822:9:317086:317770:1 gene:TCM_036805 transcript:EOY29189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering promoting factor 1 MAGVWVFNNGVYRLESSPRRKVLVHLPSGEVISSYSSLENILRGLGWERYYGGDPDLYQFDKHSSIDLISLPRDFSKFCSVHMYDIVVKNPNVFHVRDM >EOY33183 pep chromosome:Theobroma_cacao_20110822:9:35800421:35803480:1 gene:TCM_041154 transcript:EOY33183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 3, putative MKKGFSSTTSSFHFFLFAKKAKGKMYKAKLQELCQQKRWALPRYTSMKDGPDHIPRFKASVFLNGMSFHSSTSCKSCKEAQNDAAKSAFLHFISSSPAATPTPAKVVNIGFYKNLLQELTQREDFNTPEYKTMKCGVPHRPTFFSSVEVEGEVFYGKGEKSKKEAEINAAKVAYTTLTERLQGKCSGFCSPELFADEPLKSMFTSDLVTAVENEEHDEESLQGRSSEFYPRDLVADGPLTSMYSSDLATAVENEEHAEEMPEVKAGDNVFSNATTSSDNLSSCSKSVPVSAKESLLSTTSMHLDISALSISDSNMKKGPGSSSYLLNNRFRVYRCFPDIAFPKGITVLPISEDSWVAVSLEFPNEKDN >EOY29918 pep chromosome:Theobroma_cacao_20110822:9:2809764:2814520:1 gene:TCM_037301 transcript:EOY29918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 32 protein MDMDISLLLLVGLSCVLLSNGIKVEDPDHLIDSISFKSFQVLLPAEQPYRTAYHFQPPQNWLNGPMYYKGVYHLFYQYNPDGALFGDSMVWAHSASYDLINWFSLDHALVPSEPFDINSCWSGSATILPGNIPVILYTGIDANNHQVQNLATPKNQSDPLLIEWVKYSGNPLMTPPDGFEGNMFRDPTTAWQGPDGTWRVVIGSWSNNQGMAILYQSEDFVHWTKYQDPLHSSAKTGMWECPDFYPVSINSTNGVDTSVKNPSVRHVMKASFNSHDYYLVGTYVIELEKFLPDADFTGTSLDLRYDYGKFYASKTFFDSKKNRRILWGWVNESDSTEDDLQKGWSGLQSVPRQIWLDRTGKRLVQWPVEEINSLRDNQVNIYGKQLESGSTFEVSGITASQADIEIVFESPELEEAEFMNTSWVDPQLICDREDASVNGRYGPFGLLTLATKDLTEQTAIFFRVFRGHKRYIVLMCSEQRRSSLRNELDKTTYGAFVDIDPREEMISLRSLIDHSIIESFGGKGRTCITTRVYPKLGVNKEAHLYAFNNGTLSVTISRLNAWGMNKARINCRGALENTASC >EOY29701 pep chromosome:Theobroma_cacao_20110822:9:2089037:2093471:-1 gene:TCM_046917 transcript:EOY29701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic, putative MEDNCLCFPLRMRNRISSSSSFPAIWLFFFFSLGLAALEAEHRHSSGVVDDADKIRAQFLHLLRTRRSPQVRLTVEPAKPVLHPLFQGVAPPPLNKSIVSCPKADIENPKERLKEENLYLRTEAAEQGRLPVLILSSKDSNQTRRPAVVFLHATNTNKEWLRPLLEAYASREYIAIAIDSRYHGERAHHQTTYQDALVSSWKKGDTMPFIFDTLWDLIKLADYLSLRKDIDASRIGITGISLGGMHAWFAAFADTRYTVAVPIIGVQGFRWAIDNDKWQARVDTIKAVFEEARIDLGKSAIDKEVVEKVWDRIAPGLASQFDSPYSIPAIAPRPLLILNGADDPHCPLDGIRTPEKRARKAYRKAHSSNNFKLIAQPGIGHQFTPLMVKEACVWMDRFLKQ >EOY29922 pep chromosome:Theobroma_cacao_20110822:9:2823431:2827569:1 gene:TCM_037305 transcript:EOY29922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein MSKEEDDELENRGGLKQSAGRYKWLASFHRDLMAGAVMGGVVHTIVAPIERAKLLLQTQESNLAIVAGGRRKFKGMLDCIFRTVREEGILSLWRGNGSSVLRYYPSVALNFSLKDLYRNILRNGYSQDGHVFSGASANFIAGAAAGCTTLIIIYPLDIAHTRLAADIGRTDVRQFRGIYHFLSTIRQKDGIRGIYKGLPASLQGMIVHRGLYFGGFDTMKEILSEESKTELALWKRWVVAQAVTTSAGLLSYPLDTVRRRMMMQSGLEQPMYHSTLDCWKTIYRTEGVISFYRGALSNVFRSSGAAAILVLYDEVKKFMNWGGL >EOY33368 pep chromosome:Theobroma_cacao_20110822:9:37172909:37176632:-1 gene:TCM_041350 transcript:EOY33368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein, putative MARLTKEQLLKDKQTHDPNSITSLSLDHKALSDVSCLAEFKNLERLDLAFNNLTSLEGLKSCVNLKWLSVVQNKLQTVIGIEGLTKLTVLNAGKNKLRSMEEVRPLVNLRALILNDNEIVSICGLDGMKDLNTLVLSRNPISEIGDSLVKLKSVTKLSVSNCQIQDIKSSLKSCIELKELRLAHNDIKSLPAELSYNKKLQNLDLGNNLITRWSDLKALGSLVNLKNLNLQGNPIAEKDKLAKKVKKLLPTLHIFNARPIDKNIKNKMGEIVNIASHNAANKEEIHKEEKKDQKGKKNPKFQVSVQRQDINLDNATGHDAEKESKRKRKKGNDKLSTEVPVDEDDIIVEKEEKKKKSKGEQENVSLLGLEKEFIQKGRKTNDKLLKKEASVHEGEDGIIVEEEPKKKKLGKRAELDIIDDGGASFTELFTTDVADPKDDGERKIFETSGQVKKSTGSLVTYPVKKKKSKRGVLPELQLAPAVEVGMGGASTWDDE >EOY32681 pep chromosome:Theobroma_cacao_20110822:9:32803431:32804306:1 gene:TCM_040708 transcript:EOY32681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPTSRSSKTRRRASKPRRSNYKKKPVKPKISIQTTSVVEDLPSTSSTISSDNIGKIDGFDFEGVDDMITTSPCSTPKAQRFRIPEISSCPPAPKKQRVLSSCSLQRTPIAFFAPPDLELFFFFALRDISV >EOY30295 pep chromosome:Theobroma_cacao_20110822:9:4094830:4098126:1 gene:TCM_037553 transcript:EOY30295 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit RPC4, putative MEQKDNKTNAPRKMRFAPKAPPRQAPKLEVKTEVVEDTDAVQARDLLQRLNQTSAKTKPKVEKKVASSQVAFGHGGASASMKLFGVSKGASRTSRETLNGVVHTPGLREEKEYREPWDYYSYYPVTLPMRRPYSGNPEFLDEEEFASENITFDENSVEPAVELGLMDENLEPSMFFLQLPPTLPMIKQSGTTAGLEVDSSSKPAARVGSVKKTCGLEELPAGLMGKMLVHKSGAVKLKLGDTLYDVTPGLNCVFAQDVVAVNTAEKQCCVVGELDKRAVLTPDVDSVLNSMADL >EOY34415 pep chromosome:Theobroma_cacao_20110822:9:41000838:41003241:-1 gene:TCM_042096 transcript:EOY34415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase 7 MAKLPTQLLACIFSIVLVLQYSCPATSQEVEDESEFDYNENSPRGPARWGEIHPEWSACSNGSMQSPIDMSNERVNIVSHLGRLKRSYKPSNATLKNRGHDMMLRWDGDAGAIEINGTEYALKQCHWHSPSEHTINGRRYDLEVHLVHESADGKVAVIGIMYKIGRPDSFLSSLLDHLASITDITEGESVAGVIDPRHIKIGSRKYYRYIGSLTVPPCDENVVWSIVRKVRTVTREQVRLLRVAVHDASDTNARPLQPINKRSVQLFRPDDEEEN >EOY33747 pep chromosome:Theobroma_cacao_20110822:9:38890105:38890787:-1 gene:TCM_041643 transcript:EOY33747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin MENLWRAATGQDPNPDDYRGVEFWTDPERAGWLTKQGDYIKTWRRRWFVLKQGKLLWFKDPASVTRGSIPRGVVSVGGCLTVKGAEDVVNKAFAFELSTRDSTMYFVADTEKEKEDWINSIGRSIVQHSRSVTDSEVVDYDSKSR >EOY33706 pep chromosome:Theobroma_cacao_20110822:9:38726123:38729701:1 gene:TCM_041608 transcript:EOY33706 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRINKLY4 related 3, putative MTLTPFSFTISLHLTAIIIISLATECAVVAALGSASTVAVTFGTATVCGIIAGEPTQRVQCYQNGLNISVLPNVSFEAISGGTSFFCGLRSGGFSILCWETKTLMNSSFRPKRIYFKHNVSLTDLAVGDDQVCAREVDSGVARCWRGGGGSPFPSPGLGLKFRAITSGSGFTCGILKNDSTVFCWGGNKIGAEIQRQYGKLSMSNLVAGDSHACGLTRTGFLVCKGNNESGQLDAPSSSAFEFSGLSLGSNFGCGIRRRNGLVLCWGGDNRSKFDYDAVQDVSFELIIAGLNFICGLTTRNLTMICWGPGWSNGSNSTSDLPLGMVIPGPCVQASCNCGTYLNSETLCDGSGNICKSCQTELPIPVPLTPILAPLPSQGLQPFSPARKGINRLSLAFLIVGSIGAFAGICTIFYCLWAGVCGFLLCKTHNSVQPTLTGANIITTVVDNNDSIAPPLRSFSIRRNSSRRLGRQRSGSSSSKHAEKTQFFSLFELSNATNNFSLENRIGAGSFGVVYKGKVPDGREVAIKRGETSEKVKKFQEKETAFDSEIALLSRLHHKHLVGLVGFCQENDERLLVYEYMSNGALYDHLHNKDNIEKGSSILNSWKMRIKIALDAARGIEYLHNYAVPPIIHRDIKSSNILLDANWTARVSDFGLSLMGPESDQEHMSTRAVGTVGYIDPEYYVLNVLTAKSDVYGLGVVLLELLTGRKAVFKNEEGGTGPMGVVEYASPRILAGELRAVVDRRVGVPEIHEAEAVELMAYTAMHCVNLEGKERPNITDIVANLERALSLCEDSPASLSSRTISIPSD >EOY29383 pep chromosome:Theobroma_cacao_20110822:9:1008950:1010592:1 gene:TCM_036941 transcript:EOY29383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLYIKPNMLSRKWRWLVSCNLILKPECHTDQAASGGSLSCSFNMLSCPASSNRATSSVPNIQLYIKNSVEICSIFLQVLFTRHKGEGLAKLPVQPLLHFFLDCKLLNDCSGVCIYY >EOY32070 pep chromosome:Theobroma_cacao_20110822:9:20020511:20023568:1 gene:TCM_039515 transcript:EOY32070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEVSSEGTKTMKRLQLITGDFYTAKPLSSDVAKMKEWRGRLFVYGNLASWKSKKQNVVARYSTESSAMAQTTSELMWIRLCWRNWFCRFISYEEKIQQRLISTSM >EOY30107 pep chromosome:Theobroma_cacao_20110822:9:3404203:3408378:1 gene:TCM_037430 transcript:EOY30107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat and SOCS box protein 9 MPLEPPVFQEAARCDVCKCSFNTFRRRHHCRCCGRTLCHEHSSNQMALPQFGIYSAVRVCADCFNDSSGSGKADPQPSLDGVDSVTDEVSRLNISADMGSKTEATAGHQPVASIPDCKCGMPLCICESPAPTTDALPLQMKNPSTSVASSNPKSKKTATVPKSKGSTSNSKSSLVFNPGLVANGTAADKPQMDYDVNGEGLREAIKNGDTAAVKRLLSEGVDANYRDKQGLSLLHLAALFNRTDIVFALMECGASMDYKNAQGETPLDCAPATLQYKMQMKMKESEQA >EOY34659 pep chromosome:Theobroma_cacao_20110822:9:41714672:41718184:-1 gene:TCM_042258 transcript:EOY34659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein MAISCLRAPLAPWLMKTRNPPPLKLPCPRIQLRFLCSSSTAQPLPPASPKWEPFRKKKVVMRVGYVGTNYRGLQVQRDEHQLSTIENELETAIFKAGGIRDSNYGDLHKIAWARSSRTDKGVHSLATMITLKMEIPEDAWKEDPNGIALAKCVNSYLPDSIKVFSILPSQKSFDPRRECNLRKYSYLLPAEIIGIKSHFSEAEINHHISDFNSILNCFEGEHPFHNYTQRAKYRRQIPPRQTARNGRVPKGAEAHDESSTSELEESDVEEDPRIEETTTLNSEEKSTSFDEPRVDCCDGQGNSLQYQSSNVVVRARWLYEPDETDRITASHFRKIFLCSCGRLENSMGFDYIEISIWGESFMLHQIRKMVGTAVAVKRNLFPRDILTLSLAKFSRIVLPLAPSEVLILRGNTFILRKMPSNITRPEMLTMVESEEILKEVDKFYRSVLLPQVSKFLDPSKSPWKEWVENLDANTSVPDAELDEVRTAWKLWKEKLLNGTAPASAVGE >EOY34516 pep chromosome:Theobroma_cacao_20110822:9:41343281:41344690:-1 gene:TCM_042166 transcript:EOY34516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNPRSKPTNTPLPPPPPPPPPKLLFRRKTAVFLGTCVWGWYNKNKSSLAERFGLSSCATLCGSSCYRKGVLIRQLITTTEDLILSPMPTELSGAILSGIRDFFFFFLLKIWSMRTGFFMIAVLKHVPTASKTLLEGEEGDG >EOY31856 pep chromosome:Theobroma_cacao_20110822:9:16609571:16611158:1 gene:TCM_039167 transcript:EOY31856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase MSSSPGIVTTVIIIITILVIMAVIGFRRRAEALRNNVHHPQFLTLTMDKFLNDMEKEKPIRFTSQQLRIATDNFTNLQGSGGFGAVYKGVFNNETIVAVKVLYGSSDKKIEQQFMAEVSTIGRVHHFNLVRLYGFCFDWNLRALVYEYMTNGSLDKFLFSEEKILGFQKLHEIAIGTAKGITYLHEECQQRIIHYDIKPGNILLDAQFFPKVADFGLAKLCNRENTPITMTGGRGTPGYAAPELWMPYPITHKCDVYSFGMLLFEIIGRRRNLDIQLPESQEWFPRWVWENIENGDLGELMKVCEIDDINRETTERMVKTALWCVQYRPEQRPLMSMVVKMLEGAMEIPAPSNPFAHLRVEAQIPSIPALTTCTETPVDSESSSVITESTLVRTTPTMKKYEIEVAGSST >EOY30710 pep chromosome:Theobroma_cacao_20110822:9:5503656:5507703:1 gene:TCM_037825 transcript:EOY30710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase/Bleomycin resistance protein/Dioxygenase superfamily protein isoform 3 MVRIIPMASSIRPSLSSFRFSGGVPSTRFGVSLSPFHLPRRLLFSHLGSTVPQLQFFGLKASKLLRAEGSRVGTPLVGNVVQASTAAAEETALEWVKKDKRRMLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVIELTYNYGIDKYDIGTAFGHFGIAVEDVAKTVELIKAKGGKVTREPGPVKGGSTVIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLGRSINFYEKAFGMELLRTRDNPEYKYTIAMMGYGPEDKNAVLELTYNYGVTDYDKGNAYAQVFVDNIDFLKELE >EOY30709 pep chromosome:Theobroma_cacao_20110822:9:5503656:5507703:1 gene:TCM_037825 transcript:EOY30709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase/Bleomycin resistance protein/Dioxygenase superfamily protein isoform 3 MVRIIPMASSIRPSLSSFRFSGGVPSTRFGVSLSPFHLPRRLLFSHLGSTVPQLQFFGLKASKLLRAEGSRVGTPLVGNVVQASTAAAEETALEWVKKDKRRMLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVIELTYNYGIDKYDIGTAFGHFGIAVEDVAKTVELIKAKGGKVTREPGPVKGGSTVIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLGRSINFYEKAFGMELLRTRDNPEYKYTIAMMGYGPEDKNAVLELTYNYGVTDYDKGNAYAQIAIGTDDVYKTAEAVKLFGGKITREPGPLPGINTKITACLDPDGWKTVCLFSFWGQIHHLFLSWRTFETLSGFINI >EOY30708 pep chromosome:Theobroma_cacao_20110822:9:5503656:5507703:1 gene:TCM_037825 transcript:EOY30708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase/Bleomycin resistance protein/Dioxygenase superfamily protein isoform 3 MVRIIPMASSIRPSLSSFRFSGGVPSTRFGVSLSPFHLPRRLLFSHLGSTVPQLQFFGLKASKLLRAEGSRVGTPLVGNVVQASTAAAEETALEWVKKDKRRMLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVIELTYNYGIDKYDIGTAFGHFGIAVEDVAKTVELIKAKGGKVTREPGPVKGGSTVIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLGRSINFYEKAFGMELLRTRDNPEYKYTIAMMGYGPEDKNAVLELTYNYGVTDYDKGNAYAQIAIGTDDVYKTAEAVKLFGGKITREPGPLPGINTKITACLDPDGWKTVFVDNIDFLKELE >EOY34153 pep chromosome:Theobroma_cacao_20110822:9:40072824:40073732:1 gene:TCM_041911 transcript:EOY34153 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MPSTPFLAPSSPARPGLCSPWVIASIAIICIIFLVFRYYGELKRLCCAFTAATYSRNQGQRRLLDDGDFDPPPSQNQSNAMESTVIHSLPISQYKKENKEEPQPSNTDCAVCLGEFEEGDLLRHLPNCTHAFHISCIDTWFQSHSSCPLCRSSVSELPIRPECSVSISMFTMLETLRREDFSQDRAAHYQMLRSEVLRHSALRYEPTGGL >EOY33538 pep chromosome:Theobroma_cacao_20110822:9:37954578:37959511:-1 gene:TCM_041487 transcript:EOY33538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSSTRRDSTYSKTRARSPPRRSRIRDRSSGSKLSEAERLSGLEDQQRGKKSNHADDDREICAKKLSEFNESLRRQGQSTSTKFQWDHLLPDNSGKAVAAATTSKQELAVRNERTTRTYSMSPMGIVLTEENRFIDSKPPPLKEMESGFSYNGEDFWYPEVGNRGVTNEAVGFSGEKLGFDSPSVIAEMARNRIRKTERVTELAYRKMERVRSGASLVDSIIDKIDAAGENRPLELDRRFSLPQQYMTGQKGEGLHGERHQPREDCSRHAFTQRYLGFSGQGEDVVGFRMNHSQSANGAFFHGAREQFEEDCGHLLPQIYPDLKEKSHGNETEQENEVLGCRMNHAQSQNGAGFNGKSQQFQYYVHLTEKSHEMEQENEDLVSSMNCQQSQKTAPFLGKRQELPEDFGYSLPQNYLNFGRESHVMEQTNDAFSPRRNRPQAQKKALLTGESQGLTEDYGHSLSQHYLDFNVESQERQPENELLGIRMKHPHKRKEAPLHGEKQEPQEDGGHSLPRAYFGLSGESLVMEKENETKASRMNHPRDQKGANFNGESLQLQQDCALESNSLPKQDDYVHTTEGRTEQISVTEEIHPKKRIIDLRKITESRISRTSDASDDEILDIGYGGEQWSDEDFEQKLLLRNSGSERPQDERATLLDELPSQRNVIDCDYQFSSPHTQEFAHPSNRKSVKQRLGPPCHGHNPYPSTRKSIKQRLGPPCHVHNPNYMPRVERHKMRKLLQENVNDFPEGVQARDVDLRHLKRGRTEPPEDSEEFEQQIHGAFVKFVKILNENPAQRRKYREKGEAGTLKCCVCGRYVTYQPSLSFDLLTHFLGSSLEQISWLYVFILSYVFH >EOY29278 pep chromosome:Theobroma_cacao_20110822:9:625540:627837:1 gene:TCM_036868 transcript:EOY29278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein MTGSSALMAIGHIFFCYILFFSFHANKPFVYGTGVVEKQKSRALPGPARGLKVFHRFGPSSPSGHKKSSATSSRVLVQDERRVKDFYSTIYNRERNVRIRAHDPHFGHTVGAGNYIIEVRLGTPARTFNLILDTGSYATWVRCQPCLQGRCPEQQEKMYYPSCSSTYLKAPCWPSCNYSQSYYDKSYSSGFFVLDTLAIEPFQVPNFAFLCAQNYSESFGEASGILALGQGSTSTSEPFGVYSLVSQTATTFDKVFCHCLPTAENSAGYVYFGKEALEKCQNSGTYTPLLTNPGNPSLYYVNLIAITIGKQRLEMPSVSSPRTIIDSGTVISRLPSSVYSKLSSEFKELMSNYPVASSDGILDTCYDLQGHYNYTIPKMVLHFENLDVNLDQIAVTWRRENSSRVCLAFAGADDLTIIGSEQLQKLNVLYNIQDRKVGIEPGNC >EOY33612 pep chromosome:Theobroma_cacao_20110822:9:38269365:38270612:1 gene:TCM_041541 transcript:EOY33612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDYSLAALKLLCGQLKDARETPSQNALTLGGILFQRAWLQGILISNDDDDRLLLDDGTGIVELSLSGDFRQRQWKKGMYVMVVGGYFVRTGDLPVIKVFIRLLIFLNFRIEKRCGILKFWRLTNCSTSPLLKNSYEESSSLFPTHHKFSWMRSLTVFPMLVLRTAFDYNDI >EOY30542 pep chromosome:Theobroma_cacao_20110822:9:4926041:4941173:1 gene:TCM_046922 transcript:EOY30542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial-processing peptidase subunit beta, mitochondrial, putative MGSLLAEKSSFAKMQSFRSLELLNLSLIQEVNQQPFGVDYGRLDNGLVYYVRCNPKPRTRAALALAVKVGSVLEEENERGVAHIVEHLAFSATKKYTNHNIVKFLESIGAEFGPCHNALTYFDETVYELFIPIDKPELLSEAILVLAEFSSEIRLSKEDLEKERGAVMEEYRGGRNASGRIEDAHWALMMEGSKYAERLPIGLEKVIQMVSSETLKQFYQKWYHLQNMAVIAVGDFPGTKGVVELIRTHFGHKTSMPDLPPIPHFPVPSHEEPRFSYFVEPEAAGSSVMISYKMQAGQLKTIKDYRDMLVESMFEKALNQRFFKISKRKDPPYFSCSAATDYLVRQSKAYMMTSYSKEKGTLEALESMLTEVARVRLHGFSEREISVVRALLLSSIESAYLERDQMESTNLRNEYVQHFIHDKPVVGIEYEAQLQKTILPHISASEVSKYAEKLWTSCSCVIKIVEPQASAKINDLKKVVMKINKLEKERSITPWDEEDVPEEIVNSKPNAGNILQRLEYSNIGATELILSNGMRVCYKCTDFSDDEVLFSGFSYGGLSELPKSKYFSSLMGSRIAGEVGMFGHRPSVLMDMLAGKRVGVDVDVGKYMRTFHGYCSPLSLETALQLVYQLFTTDVTPVEEVVKRVMQVEKEAVLAQERDPFTAFTNRVIEINYGNSYFFKPIRISNLRKVDPLKACEYFNSCFKDPSTFSVVIVGNIDTTVALPLILQYLGGIPKPPEPIFHSNSDYIKELRFAYPTTIAREVVHSPMVEAQCGVHVCIPIELKKGTMVEEIQYIEFLKKLLDNKILQLLRFKHGQIYGASVYDFLDGYLPCIATDVRGDISIYFSCDPKIASKLVDLALDEILRLQEEGPSDQDVSTILEIEQRAHENGLQENGYWLERILYSYQSRIYSGDVGASFKILDEGRSKVRESLTPLTIQLALQRIVPFKNQHTVVILKPQLSWFKWLGSISQWTPHGRDAKILAGIAGFTVLALTFRRYSHRS >EOY31414 pep chromosome:Theobroma_cacao_20110822:9:8665040:8667639:1 gene:TCM_038353 transcript:EOY31414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein MGAGREVAISLDGVRDKNVMQLKKLNTALFPVRYNDKYYADALASGEFTKLAYYSDICVGSIACRLEKKEGGAIRVYIMTLGVLAPYRGLGIGTKLLNHVLDLCSKQNIPEIYLHVQTNNEDAINFYKKFGFEITETIKNYYTNIDPPDCFVVTKFITPSQVKK >EOY31724 pep chromosome:Theobroma_cacao_20110822:9:13216127:13217963:-1 gene:TCM_038829 transcript:EOY31724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein CONSTANS-LIKE 5, putative MRMGMEIASVKGIPGGWGMAAKTCDTCKSAAAAIFCRADSAFMCLNCDSRIHSGNNKLVSSRHERVWMCEVCEQAPAAVTCKADAAALCVTCDADIHSANPLARRHERVPVEPFFDSADTVVKSSPFSFLVPTDRSGACQQEDVEPGSWLLPNPNLISKLSGETNQVKTGDLFFSEIDPFIDFEYQNSFQPHNNAAMDSVVPVQAKPATIPVINNENCFDIDFCRSKLTAFSYQTPSLSQSVSSSSLEVGVVPDGNTLSEISYPFGRTMTDPSVPISATTTNNQAPQACGIDREARVLRYREKRKNRKFEKTIRYASRKAYAESRPRIKGRFAKRAEIDNEVDHMYNSPSAAAAFMSDAQYSVVPSF >EOY30170 pep chromosome:Theobroma_cacao_20110822:9:3647921:3653541:-1 gene:TCM_037468 transcript:EOY30170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 4 MASGSVCEHLGESLATHPEQAKAILSRIESLGRGIHKSQKLLSILDKEDGQQALDGIIVDVLRTTQEAVVSSPLVALAIRPAPGVWEYIAIDVQKLFVEEMQVAEYLRLKEEIVDGSSNGQFTLELDFGAFNNSIPRPSLSKSIGNGMDFLNRHLSAKLFQDEENLNLLLEFLQIHCQKGKGMLLNDRIQGVNSLQHALRKAEEYLTPLPSDTLYSAFEKKFQEIGLERGWGDTAEHVLEMVHLLLDLLQAPDPVTLETFLGRIPLVFNVVIMTPHGYFAQDNVLGYPDTGGQVVYILDQVRALEEEMLHRFKQQGLNITPRILIITRLLPDAVGTTCGQRLEKVYGTKYSDILRVPFRTEQGIVRRWISRFEVWPYLETYTEDVALEISKEFQGKPDLIIGNYSDGNIVASLLAHKFDVTQCTIAHALEKTKYPDSDINWRKLEDKYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTLGQYESHIAFTLPGLYRVVDGIDVFDPKFNIVSPGADMSIYFPYTEEKRRLKKFHPEIEELLYSPVENAEHLCILKDRNKPILFTMARLDRVKNLTGLVEFYAKNSRLRELVNLVVVGGDRRKESKDLEEKAEMKRMYELIEKYKLNGQFRWISSQMNRVRNGELYRHICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCYGGPAEIIVHGKSGFNIDPYNGDLAAETLVHFFEKCKADPSYWDKISQGGLKRIQEKYTWQIYSEKLLTLTGVYGFSKHVCNLEQRGRKRYIEMLHALMYNKRVMTVPLAVE >EOY33990 pep chromosome:Theobroma_cacao_20110822:9:39600223:39605855:1 gene:TCM_041806 transcript:EOY33990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase superfamily protein MYLRIVVLIYPSHSHCQFVQSLFGVSFRSGVKFRFLLVLKFKMEYENKYQLVPAAKYECLLFDVDDTLYPLSSGLSKACTKNIEEFMIQKLGIEGNKVTEINRVLYKNYGTSMAGLRAVGYNFDYDDYHSFVHGRLPYENLKPDPVMRSLLLSLPIRKVIFSNGDDVHVAKVLRKLGLEDCFERVISFETLNPINGSSTSDGEDSLKLRALSAKILDATNLPCQSALPESPIICKPFENAFEQAFKIANINPQKTLFFDDSIRNIQTGKQIGLHTVLVGTSQRTNGADYALESIHNIREALPELWEADNKKSEGVRSKMEYEDQINQAIDPKYECLLFDLDDTLYPLTSGLSREVTKNIQEYMLKTLGIEEEKVHELCVSLYKYYGTTMAGLKAIGYDFDYDDFHGFVHGRLPYTMLKPDPVLRSLLLSLPIRKVIFTNADKNHAARVLNRLGLEDCFEGIICFETLNPANKANSSADGARFFSKAGVFDISSYSARPDSHLDLPSTPVVCKPFEKAFEQVFEIANINPQRTLFFDDSIRNLQSGKNIGLHTVWVGTSQRTDGVDYALESIHNIREALPELWEADEKAENIRYSGKVSVETTVRA >EOY30506 pep chromosome:Theobroma_cacao_20110822:9:4818937:4822437:1 gene:TCM_037692 transcript:EOY30506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQLNFFSKLHSKEDDIIPPFSIRGAFPSVKENMRDNLVQPVDEAEWHIVGKDLVSYVREVFNGADVGNDLCKSIITLIPKIPCPEVISQLRSTSLVPIVFKILMKVITNRLKPIMSHLNADTQASFIPGNWNSGSSQVIWNGSFSNNFFLTRVKRGDPLSFFFFFLCMQCLSHGINEAVTQGLWKPIRFGRGGPALPHLFFVDDLILFAEALVPRMDVIKGVSNHFRKYSDEKVNVEKTSFYFSKNVGMDIIHAISECSGFSHSTNLGKYLGVPLLRGRKKYSLFKYLEEKICNRLSSWKASALSFAGRLTLVKSILLYIPSYAMQTVAIPEKTREKIEMHCRNFLWDGDSKARKIHAMKWKNMCRPKEEGGLGIRCMRKMNNAFLLKACWKLISTPASLWVKVARSKYNIGYQWKSLILLTNTLPPSSSLEPDRPFWMSSSSGVFMVASAYAALGGILEVKSTHNSSLWNLVWK >EOY34118 pep chromosome:Theobroma_cacao_20110822:9:39980303:39982718:1 gene:TCM_041891 transcript:EOY34118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich RNA-binding protein 2 MQSLNGAFRLFRTIPLAGSLSVRNSCSKLFVGGLSYDTNETVLKDAFEKHGEIIEVRVICHHVSGKSRGYGFVRFASEAAANAALKEMHSQVLDGRNIRVEYAHKG >EOY32368 pep chromosome:Theobroma_cacao_20110822:9:28257012:28264572:1 gene:TCM_040241 transcript:EOY32368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNEKWVINVTINTHCKWSQLHYITNTLQQKGEYDAVKRTCFEMLLDVYPQGGSKVPDSSMTKKTRLRYNIYRFAWVIQIISLLGNGGNFDPLKNSCPFWSEGQCLPTNVQMRLQSEAKRLLQGISELWALETLEPTTDEALREYFVDLDVSLSEGHEYVPIGHMEDRLDWSLAPESPTDPPQTHSGNDPLIRSFTKATIGPQAPIGTIPSQTANELPFTEATTGRQAQIGLAPPQTANEPSLTQSRGVNDGAVLLASSDGSCASMKKDGGPSHGASLEHDDADDGQHHELSVHIDDDVLGADGEHVTHVDEAMAVDVTLQSDDVEREHVHLPESIINAFAGREGDPDSVVAKGEHLPLADAFFEATAGAIILYHQSTPDAVETRSSSPKSYAVHHGDQGDNFFITLEDPNEEMPSEHIDVCLSLLCKGMTGLKSKLYTTHACVVDTTFFATMQISNELRGYVEAERPTYGKKWEDVDFILAPCNVGGHWVVAKIDLVRWTIKVLDSVRTSDAKDNGVRAGQMTPLMTMMPIIWDQAGYFNNRCRKRRDLMPMPLDIHLPKAKVHQQNDSVSCSMFMIGSNTDNMKILTIYLSKRRGFTCSEAYKLRNALGGALAKMPRSLKPREFSDFMQRVDKPMCASLEVLGKLYWATINLTLQERSKFDRRSLWIDVHEHSEARTLHCIVLPYLLESPYDVMQLRPLTMGFNIEYG >EOY31463 pep chromosome:Theobroma_cacao_20110822:9:8953288:8955722:1 gene:TCM_038396 transcript:EOY31463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alba DNA/RNA-binding protein MEGITEGVNNLNITDSSASNKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTIAEILKNNGLAVEKKIMTSTVDMREESGGRPVQKAKIEILLGKSEKFDELMAASAEEVLDNEEQS >EOY31157 pep chromosome:Theobroma_cacao_20110822:9:7289202:7292426:1 gene:TCM_038145 transcript:EOY31157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear RNA activating complex (SNAPc), subunit SNAP43 protein, putative MDLSTFKLDIDELIHEFVQSESRTLNDMKRVWLSRKFSYIYEASPSTNVAFFMQSLYAHTISHMVNFDSLSCRLGGLYCLYCLYETQPFKPPFKIYLSLGELKKLKSLVAEANEKGIRVVPTLVKRMLEKNMFLFGFVDFKEGSVSETINSLTKLQDARIQVAYEKLFTDTEIEQYLHMNLGMEVDLNMLKKLSTEYAVAKKQAIEEASEVVDVQNIKHISENKESLSEIVEKIDENWNNQREVFYQRTRLNPQPAEEEQPQQLQLQENEQEDEVADEIFQLLYQNE >EOY30692 pep chromosome:Theobroma_cacao_20110822:9:5457400:5461931:-1 gene:TCM_037815 transcript:EOY30692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MELVNGFKKLFHSENKRWVLLVGVVAITHLLFQSFLLPYGNALRSLLPGDEGSIANDKDVIFGILSSVNSAMVRNPLTINASDTSTRNVVINGVLKDGNSSNVGGSAGNGGGLMGDRREMENGFASEGMESDTRIKIAIDRNIDDDYASENAEDLNEISVLDDIIRDQDNSPLEEVVEPGQLVSADKLLENDASQTPKEFGHVNTSSQTPTLASPVVSSLAMESTDEAGHGFTLETVVKHAQEVSTSKLLETRTSQSPKELGHVNIASPSPTLASPVVSSLVNKTYLRNSTKNADSLGFSTSLLSNHLTSKNNSAMIAKPGRKKVRCEMPPKSVTTIEEMNRILVWHRRSSRAMRPRRSSVRDQETFAARSQIESAPVIVNDQELYAPLFRNVSMFKRSYELMERTLKVYVYKNGKKPIFHLPILKGLYASEGWFMKLMQGNKRFVVKDPRRAHLFYMPFSSRMLEYTLYVRNSHNRTNLRQFLKDYTENIAAKYPYFNRTGGADHFLVACHDWAPYETRHHMEHCIKALCNADVTVGFKIGRDVSLPETYVRSARNPLRDLGGKPPSQRHILAFYAGNMHGYLRPILLKYWKDKDDDMKIFGPMPPGVSSKMNYIQYMKSSKYCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLDWGAFSIILAEKNIPNLKDILLSIPKERYLALQLGVRKVQRHFLWHARPEKYDLFHMTLHSIWYNRVYQIKLR >EOY33560 pep chromosome:Theobroma_cacao_20110822:9:38052482:38054353:1 gene:TCM_041506 transcript:EOY33560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase 6 MQAVSPTQNLNSSIIRPSKPRLNQLTRFAVRCVHRSDSVQFPNGVGSSRADWQSSCAILSSKVFSQDQGSGDKSTPPSASDHLAPAVNGHKTSIDLNLVPIDKNNKPQPPSPLPPQKPLTITDLSPAPMHGSQLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYLTRVISHPQALSQCEHTLTKLGLNVTREAVDDTAGAAEYIATNNLRDTAAIASARAAELYGLQVLADGIQDDSGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVDDANVGTAKHFEYMFYVDFEASMAEVRAQNALAEVQEFTSFLRVLGSYPMDMTPWCPSRED >EOY33228 pep chromosome:Theobroma_cacao_20110822:9:35989513:35993337:-1 gene:TCM_041176 transcript:EOY33228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIIHIAVCYFFIRRNSKQIAICLSGCLHVNRPLLRTLAASLYLPILSKISQAKNVGCLCHQKHLLPFWNKKQSTEFEEEGNSPPFWILWFSTFI >EOY34615 pep chromosome:Theobroma_cacao_20110822:9:41590202:41593380:1 gene:TCM_042227 transcript:EOY34615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 24, putative MVRVFPGRDLFTPHGWQGHPRDYHQPAMEIYKGAKFQTFSHGTAALPLVCMRAHETHSFGIFYGQNPMKFSFNIVLVDLILVILITRTVRFLLRPLRQPRFISELIGGIIIGPSLLGQSKSFSHIVFPLYSNFVMRNVGVMGFMLFLFISGVKMDLGLLKRSGKKNFYIAMISIVVPLVIVTVVAIITRKSMDKELARASSIGIIASSLAITAFPIHYAVLQELNLLSSEVGNMALSISLVSDTIGMNCLVIFEAMKQGEVSGKDALWYMISLVVLLAFTVTAVRRAMMWIIETTPEGEPVDQFYVVAILLGVFVMGFLTDMFGIAIANGSFLLGLVIPNGPPLGATLVEKSETIIMEIIMPFSFVFIGLNTDFSAMTEAGWSTLGPLFAMVISGYLSKFLSTLMAAYMVAMPLRDCLALSLVLSLRGQVELILYVHWVDKNIIKLPAFTMMVFLTMMFTGTLTPLISIFYDPTKPYMVNKRRTIQHTPPDTELGILLCIQDKESVPSLVNLLEVSYPTVNNPLSVYAFHLVELIGRANPLFIDHEDQEQEDLSIKFPDSETIQHALKLYQERRDECVRLHLFTATTAKRTMYQDVCKLALISKAAIIILPFEKERISDIAITEHWGGGQQSLSINVLANAPCSVGVLVDKAHRWHLSLSRSFQGAVHNFIVLFLGGADAREALAYADRMVGNPNVSLTVIRFLSSNSEGDDEREKKLDDGVVTWFWVKNETNERVIYREVVVRNGEETVSAIQAMTEENYYHLWIMGRKQGINPRLLEGLSTWTENQEELGIIGDYVSSTDFGAADSVLVVQQQILRAQGATIATPSSSFLRRLLT >EOY30866 pep chromosome:Theobroma_cacao_20110822:9:6055479:6061153:1 gene:TCM_037924 transcript:EOY30866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fibronectin type III domain-containing protein isoform 1 MTEALRLSNKTIKKPELRKASSTANSQPSSRKQNRKGENPMRVLPASELPPDLGFSNSWICKNSACRAVLSIDDTFCKRCSCCICHLFDDNKDPSLWLVCTSESGEGDYCGLSCHIECALQREKVGVVDLGQLMQLDGSYCCASCGKVSGILGCWKKQLSIAKDARRLDVLCYRIYLSYRLLDETSRFKELHEFVRDAKAKLEKEVGPVNGVHAKMARGIVSRLSVAGDIQKLCSLAIEKADEWLATMSNTSPKCQDSRPAACRFLFEEVTSSSVVIILIELSTASPDDIKGYKLWYFKSRDETHTKEPISVFPRTQRRILISNLQPCTEYTFRIVSYTEAGDLGHSEAKCFTKSVEIVHKNPNPAAVMNQKKENTHIEGSSLGSKELPAVGSSGFKVRDLGKILRLAWAQEQGCFEGFCSADVEKCCGASKIIMPETREDDHMPSVSRGLDLNVVSVPDLNEELTPPFESSRDEDNGCCTLEQAVEADDDAASHEIEKNGSK >EOY30865 pep chromosome:Theobroma_cacao_20110822:9:6054461:6061550:1 gene:TCM_037924 transcript:EOY30865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fibronectin type III domain-containing protein isoform 1 MDLEDKFLAKVSGLQSLSSSVQSTPEKNGHSDDASRSPELLQEFLKSGPRKELLRTCFDKEKKNSASSKSKMTEALRLSNKTIKKPELRKASSTANSQPSSRKQNRKGENPMRVLPASELPPDLGFSNSWICKNSACRAVLSIDDTFCKRCSCCICHLFDDNKDPSLWLVCTSESGEGDYCGLSCHIECALQREKVGVVDLGQLMQLDGSYCCASCGKVSGILGCWKKQLSIAKDARRLDVLCYRIYLSYRLLDETSRFKELHEFVRDAKAKLEKEVGPVNGVHAKMARGIVSRLSVAGDIQKLCSLAIEKADEWLATMSNTSPKCQDSRPAACRFLFEEVTSSSVVIILIELSTASPDDIKGYKLWYFKSRDETHTKEPISVFPRTQRRILISNLQPCTEYTFRIVSYTEAGDLGHSEAKCFTKSVEIVHKNPNPAAVMNQKKENTHIEGSSLGSKELPAVGSSGFKVRDLGKILRLAWAQEQGCFEGFCSADVEKCCGASKIIMPETREDDHMPSVSRGLDLNVVSVPDLNEELTPPFESSRDEDNGCCTLEQAVEADDDAASHEIEKNGLARSHGSGDSTWTNGPTGEVPAVDSHTELCRKRVENSHEETHDCDSTLINGSPFRISNDSGSLDENFESCVKIIRWLECEGYINQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISSKRPRNGFCSKLWH >EOY33723 pep chromosome:Theobroma_cacao_20110822:9:38785483:38791314:-1 gene:TCM_041623 transcript:EOY33723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smr domain-containing protein, putative MKHAATKKKKKKRPRATKLPAVNDSSRETQRQQDQQLKVEDKEEQEKLLCSLMEAFGSISLEEATTAYNQANGDLDKAAVIPSNLTDEDNNSEDPEPSTSSIWSGSSSSGSSGSGFAETGCMQNMNGGRGRCRVGKQQKRVVAATGMVSTVLGKEYVRASPRRGSAPTAAGRSVLVKEEAEQFLCSMLGDECELSMGVVRDVLCQCGYNLEKALDALLDLSASSYEQSKNCKDNVNNRQDTGFLTDCADSVTDRASDCMSNSSESELQDSIWSVGYGCRNYSKVLAGSEALPTCPRSNVSDLPHEVLASLFNIPKSSEQEPSTMNWRNVVKKMQSLGPGIDVSPSSVAEPQQEIYAKGDEYNKFRKTAEEHWDSMRSYYQKAATAYSKGEWEYAAYLSDQGKKQTKLAREADERASQDIFKARNKGFENVITIDLHGQHVNQAMRLLKLHLLFGTHVPSVQTLRVITGCGTHGMGKSKLKQSVIKLLEEEGIQWREENRGTVLVKLDGYREFSFLDSVSDTE >EOY33989 pep chromosome:Theobroma_cacao_20110822:9:39591567:39599435:1 gene:TCM_041805 transcript:EOY33989 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 10 MIFSKLGRSYPRSSRPRNLLYRGGGGGSSGGRSPRLSGNVDGLNRELGFLRGYLTSIGAPKEFNSKAYLSDLNFVLANPRISRFFSSEAPKKKNYENFHPKEKKEIPKQNDQKSDSKENSNTDDQGNFQEMFLKLFQNLISPLLVIALLLSYSPLSASEQQQISFQEFKNKLLEPGLVDHIVVSNKSVAKVYVRSTPYNQTSDDVVQGPVDGTSARGHGGQYKYYFNIGSVESFEEKLEEAQEALRIDPHDYVPVTYVSELMWYQELMRFAPTLLILGTLAFMGRRMQGGLGVGGGGGKGARGIFNIGKAHVTKVDKNSKNKVYFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTPGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGREQIFQIYLKKLKLDHEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEGTQVTMEHFEAAIDRIIGGLEKKNRVISKLERKTVAYHESGHAVTGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMSKPYSNKTGAIIDGEVRKWVGKAYEKTVQLIEEHKEQVAEIAELLLEKEVLHQDDLVRVLGERPFKSSELTNYDRFKQGFEEEANKSMQAPEVGSVENDGSAPLDPQVVPT >EOY31464 pep chromosome:Theobroma_cacao_20110822:9:8957042:8961748:-1 gene:TCM_038397 transcript:EOY31464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein MGKRGIQLFDDKKDGFFSISDLGSEWSLERNHLYPGGLFASVGQMGMGFGVSPSSPNPRDNGGIKAPFSDLFVKYLPSQEEIRVVGLPEGEVALKKKKKEVGLKLKIKVSNPSLRRLISGAIAGAVSRTCVAPLETIRTHLMVGTSGNSTTEVFHNIMQTDGWKGLFRGNLVNVIRVAPSKAIELFAFDTVNKQLSPKPGEEPKVPIPASLIAGACAGVSSTLCTYPLELVKTRLTIEKNMYDGIVDAFLKILQKEGPAELYRGLAPSLIGVIPYAATNYFAYDTLRKVYRKVFKEEKIGNIETLLIGSLAGAISSSATFPLEVARKHMQVGALNGRQVYKNVLHALSSILEQEGIHGLYKGLGPSCMKLVPAAGISFMCYEACKRILVEKDEEA >EOY32421 pep chromosome:Theobroma_cacao_20110822:9:29018392:29020299:-1 gene:TCM_040333 transcript:EOY32421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNTKSSSLREKLAIRFERKNLGELKHFLGLEVSEIKEGLFLSQSYIKKLVEKFDMADCKAMEQNLNLRSNEGCFFVIFDHF >EOY34477 pep chromosome:Theobroma_cacao_20110822:9:41254417:41255630:1 gene:TCM_042147 transcript:EOY34477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDIDKPTTVICKVLAMDQYSFCYKACSNCETPMPDSPSHTFCNTCKGRRYPFKRLFRLLFSIATDTKVLNVVCFDRAAKVIFGCSAQQFFDFATLHPYAGICLAFSNVYVNLQCRP >EOY31578 pep chromosome:Theobroma_cacao_20110822:9:9781474:9783720:-1 gene:TCM_038510 transcript:EOY31578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein, putative MGGWEDYKPAMAMVGLQICYAGVALATRAALLQGMSPRVLVVYRQAVATLAVAPIAYVSRWRISGGSSMGLRSFSLMFLASLIGVTINQNIYYEGLYLATSSMASAMANLVPAITFLMASIVGYEIAQNKLEKVNIRSLRSIAKIVGTVICVAGAIFMALLRGPKLLNAQSLPAKSIFGSEGEHWLLGCLFLFGSASCWSLWLILQVPTSASYPDLVSLSSWMCFFGTLQSAAVTLFLEPDLEAWTLHSNIELFCCLLAGILGSGISFFVQAWCIAQRGPLFSAMFNPLCTVIVTISAALLLHEEIYLGSLIGAVGVIGGLYVVLWGKAKDLQVINRKTDPESQNDQKSSTRKTILIDWSLQTSYKLDLEEPLLCEKATNTNENKP >EOY29751 pep chromosome:Theobroma_cacao_20110822:9:2276191:2279028:-1 gene:TCM_037196 transcript:EOY29751 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0082 protein isoform 2 AVGAILHRFTNGVPSNSFIVSRNGLLKSSRKLLSSASSVSSSTPLYQASINSHEHQLRSISTFPPLCMGRRSSKIAGRKGAQDAKKAKLYSRIGKEVVSAVKKGGPNPVSNMVLAAVLEKARELDVPKEILERNIKRASEKGQEAYIEKVYEVSYYKIVSSAENYATILSKLRDEGINFETDNGSELLPITTIEVDDEAMDLNKELLSKLLELDDVDAVYTDQK >EOY29750 pep chromosome:Theobroma_cacao_20110822:9:2276254:2279120:-1 gene:TCM_037196 transcript:EOY29750 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0082 protein isoform 2 MGSSSTVRAVGAILHRFTNGVPSNSFIVSRNGLLKSSRKLLSSASSVSSSTPLYQASINSHEHQLRSISTFPPLCMGRRSSKIAGRKGAQDAKKAKLYSRIGKEVVSAVKKGGPNPVSNMVLAAVLEKARELDVPKEILERNIKRASEKGQEAYIEKVYEVYGYGGVSMVVEVLTDKITRSWANVREVVKDYGGKIADPGSVMFKFRRVRVVNIKVTDADKDQLLAIALDAGAEDVIEPPAYEDDTDEDRSESYYKIVSSAENYATILSKLRDEGINFETDNGSELLPITTIEVDDEAMDLNKELLSKLLELDDVDAVYTDQK >EOY31576 pep chromosome:Theobroma_cacao_20110822:9:9730383:9735406:-1 gene:TCM_038504 transcript:EOY31576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSSAGPLNIYRNDYEIELQMRQIQQEKGDCLTQGHISILPERVHLDLQQNDFTEMVGIWEQWRRAHRDNFQNKYGHIAWLLYVPVDDQMLRAIVQFWDPSYRCFVFNKVDMTPTIEEYSSLLRIDHMQPDKIYWRAQKTGHRRKLAKLLGMTTVEVDQHLKKKGDIECLPWSFLNGYIKKHMEDEQGLLAFAMAIYGLVVFPKVLGHVEVSVIDFFDQVTRSINPAPSILAETFRSLNFCRRKVTWRAPWMPRMQVMYKCGDKPWVPLMGPWGAISYAPIMVRRQFGFEQFVPMTHQLDQLEFTYGEPETLKRIEEIAQDWKKTCRVDQGRVTDEVTTGYHTWHDQRVKNVIHPPKDPSKHPVNPEPQDVLLESELTRKRLEKEMMNMKRRHEDELEEVKKETARKVRVALKERDEWQSKFEEHEVQRKGQTIQELKNDCDMLETAMKGYKAQYEAVRQEYFQMRERNNSCTQSLQRKEAEMQWILRQMREVAFRARVMADKTEELRREILPKDELSERLISHLKMVRDQYDKVGFSF >EOY31344 pep chromosome:Theobroma_cacao_20110822:9:8268821:8270869:1 gene:TCM_038294 transcript:EOY31344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter, putative MESRSPQVPLHRTAENLTETPPSNPSPTNIVDKVKRNLVFQSKWAELNGAMGDLGTYIPIVLALTLAKDLNLGTTLIFTGVYNIVTGAIYGVPMPVQPMKAIAAVAISNGSDFNIPEIMAAGICAGAILLVLGATGLMQLVYKLIPLSVVRGIQLSQGLSFAMTAVKYIRNVQDFSKSKSKGDRHWLGLDGLVLAIVCACFIIVVNGAGEERNEGEANVAEERNTRRKKIRKVMATIPSAFIIFLLGVVLAFIRGPKVVNDIKFGPSSIEVVKITSHSWKEGFIKGTIPQLPLSVLNSVIAVCKLSSDLFPGREFSATSVSVTVGLMNLVGCWFGAMPCCHGAGGLAGQYKFGGRSGGCVAILGAAKLVLGLILGTSLVTILHQFPVGLLGVLLLFAGIELAMTCRDMNSKEESFVMLICTAVSLVGSSAALGFVCGMLVHVLLKLRNLSRDQPCSTVCMPGTP >EOY32962 pep chromosome:Theobroma_cacao_20110822:9:34676969:34679559:-1 gene:TCM_040976 transcript:EOY32962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 94, subfamily D, polypeptide 1, putative MLPRRWAVRKKLGKELMDNISFMHVVLYRVEGYSFQSPSNTATYIRLDNAKGSVTANPLNVEYMLKTNFHNFPKGDQLTSLGRDFLGEGIFNAHNDTWKIQRKIASHKFSPKSLQNFVMNHVKFEISTSNFGSTEIVPTCACELQNVPKGRYLNRWYICWQGMASYVPDLCNGKDGKHLGHELRYEFQPERWLQNGRFKKENPFRFPVFHAGPRMCLGKDMAYLQMKSIAASVIERFEIHVQDKCPKQLLSLTLKMEGGLHEKMKERSVDM >EOY33915 pep chromosome:Theobroma_cacao_20110822:9:39325724:39326282:1 gene:TCM_041751 transcript:EOY33915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKMLALLSQILLLVLAAESSAFPFATVSNAYERVNDHPAGSAKQVTNRESTLAIAGIGYGQSPPSRGYLPPQSPPSVRYPTPSHRYLPPIQGHPPPIHRHPPPSQYPPPSQRSPTT >EOY30269 pep chromosome:Theobroma_cacao_20110822:9:4021294:4022335:1 gene:TCM_037537 transcript:EOY30269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane lipoprotein MSSSKLRSSFSFPSLLLSCLNFTLFILSATSLAPIILLKMPPTSLGLAFLMVSCISLLSSFVGFYSQLSHFCFITHVSLLIASLIGQVLSILALFTRERSSLSMLKSPRDPKEAKLLVRVECGIFMAMLLMQLVVLALTCTVHTCWVREYEGLEAEREATAKKRSRRIARVQEESMANAAKIAEIKAKEFDEKMKSKYCHWVKTDFEG >EOY34362 pep chromosome:Theobroma_cacao_20110822:9:40788461:40789414:1 gene:TCM_042057 transcript:EOY34362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQKGSLGTQIWKQCQCLELGKLQIMDGKGFWYRTKYEAIWQKRLGKEGAAGKWAYDLIKLEPRTKVGGPSFQPFLCGFTWSPIIDH >EOY29594 pep chromosome:Theobroma_cacao_20110822:9:1699144:1700196:1 gene:TCM_037093 transcript:EOY29594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGRGNRFGSCGDCKEANLRRKKRSKNSQMSRFQFTFTSGKRKDFDGIFHLATDSCILWAFTGLNQILTK >EOY30910 pep chromosome:Theobroma_cacao_20110822:9:6218311:6220289:1 gene:TCM_037954 transcript:EOY30910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MKVYKIYVFNVFLLLSFFRSSEADSIAFSSNLQSVNACAIFNCGQGTCHTDGSLLGFECDCYSGWKKFRIGPVDLPPCIIPNCTLNFGCGTGSPSPPSPPPPPFNLSDPCTFTWCGDGTCKTNGAGYECDCNAGSDNLLNMTALPCFKDCSLGADCHGLGLGTPPPPDSNSSPPGLREHGTASPIEYSLTLLMLAAMFLPWL >EOY30909 pep chromosome:Theobroma_cacao_20110822:9:6218288:6220525:1 gene:TCM_037954 transcript:EOY30909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 MKVYKIYVFNVFLLLSFFRSSEADSIAFSSNLQSVNACAIFNCGQGTCHTDGSLLGFECDCYSGWKKFRIGPVDLPPCIIPNCTLNFGCGTGSPSPPSPPPPPFNLSDPCTFTWCGDGTCKTNGAGYECDCNAGSDNLLNMTALPCFKDCSLGADCHGLGLGTPPPPDSNSSPPGQSSSSHGLREHGTASPIEYSLTLLMLAAMFLPWL >EOY33007 pep chromosome:Theobroma_cacao_20110822:9:34851428:34857526:-1 gene:TCM_041013 transcript:EOY33007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCLHVRLSLPWLVNPIFASFIYAKCTKSKRIPLWDCLKSLSADIHAPWFVGGDFNVILKREKRLYRARPHGGSMEDFTTTLLYCGLVDGGFESNLYTWTNSQIFQRLDRELKQVVFGIDKNSVAGPDGFSSYFYQQCWDILADDLLAAVLDFFK >EOY30249 pep chromosome:Theobroma_cacao_20110822:9:3932005:3934380:-1 gene:TCM_037522 transcript:EOY30249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MTVMKITWRSIIPSCSKGVEKPEPKPKKEVAKQSSFARLAMLDLSYPSSMLSEDLSTSLAGSNLHIFTLGELKVITQSFSSANFLGEGGFGPVHKGFIDDKVRPGLKAQPVAVKLLDLEGLQGHREWLTEVIFLAQLRHPHLVKLIGYCCEEEHRLLVYEYMPRGSLENQLFRRYSVSLPWATRMKIALGAAKGLAYLHQADKPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPEGDDTHVSTRVMGTQGYAAPEYVMTGHLTAMSDVYSFGVVLLELLTGRRSLDKSRSQREQNLAEWARPMLNDPRKLGRIMDPRLEGQYSEMGARKAAALAYQCLSHRPKQRPKMSDVVSTLEPLQDSEDIPIGPFVYTVPTESGSPKEDKDTKECEFKKENGHHHHKNHKLHHGHRHQNRSPRMSPVHWESDTLKQTPRNGLNSPLHHKARGA >EOY30505 pep chromosome:Theobroma_cacao_20110822:9:4815232:4818828:1 gene:TCM_037691 transcript:EOY30505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Effector of transcription2, putative MPMGATELAAVVTRLKREGHKRTKHDSQFSKWKVLIGPDDWVDYSIGKEGVARYRVENLPKNSSSGLYELAIYRSGSSSRENTGKLDRYKVLVVYLGEADNVRTRLQQYGRTGAHLGRSSSGEKGCGYFEDIFSRGYSIVYRWAPMENKADAQRTEAQLLNTFDYAWNKGSNGARRHDNILWKLDKGASNRTPPAIFSRKLLPFHQKQVGIKIKASKLLSQDSEFSKYSDGEGYNFLWQVFKFSRSQPRLVFDRGGSNENDTIICGVVLVDGSICRRPPLEGRKRCAEHKGKKTRGSSVSLSTSEKSHTHKACSDYVFFGNGEYNVNGSKIPSFGTVPSLIAGDCPAIERCSPICEVAMDDGSLCTRQPVSGRKRCDEHKGRKICNSNSETTRYQTVPYVASDSYANDALGFDKKSSETFIRGKVETGVAPHRPVFNDGCDTICGVEFGNGYFCTKQPVRGRVRCEEHKGLRVTSLLSGFDAKSTSHDFDMDPRFNSHNWKYGRSSSSTTICGAPTRNGSSCQRTVNGNGRCWQHSNYGCSSISSSSNFSTKNSNYGDSSTSICGAPTRNGSFCQRKVKGNGRCWQH >EOY30116 pep chromosome:Theobroma_cacao_20110822:9:3436551:3439194:1 gene:TCM_037436 transcript:EOY30116 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein MGQIRLLWFFFLWLVGCGSLAKGVLGLACNWGLQSTHPLPPTIVVKLLKDNGFKKVKLFEADPGALKALGRSGIEVMVGIPNDMLASLASSVRNAEAWVQQNVSNYISNYGTDIRHVAVANEPFLRTYKDMFVRTTFPALQNIQAAIIKAGLGKQVKVTIPLNADVYQTDSGLPSGGNFRPDIYDLMIKIIKFLQDNGGPLTINIYPFLSLQADPNFPKEYAFFNNTASPLVDGSIVYTNVYDANFDTLISALEKNGFGQMPVIIGEVGWPTDCDPSANVNNARRFNQALLNRIIQGQGSPKRRTPPEVYLFSLIDEDNKSVLPGNFERHWGIFNYDGSIKYPLDIGNGRPIVAAKGVKYLARQWCVLSPSASISDPNVAQSIIYACQYADCTTLGYGSSCGNLDVRNNASYAFNMYYQTTNQRKDSCSFNNLSVITTVDPSQAPCRFEIMIDIGKHELARSHGKSSAARIPSLISALMLVLAFVICGVY >EOY31717 pep chromosome:Theobroma_cacao_20110822:9:13095074:13095712:-1 gene:TCM_038814 transcript:EOY31717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKVINKSRKTLHYGMAINAITDSVGINTRCDPPKQHATHTKINKHAINKLAFVYINHSWVYKETFNEPDIVRDKGNEDTYAKPNEALNVDLSVHLSVALSFPLMSTAFDSKQAFTPLLPSMESMDARVVSRLDAFVA >EOY29954 pep chromosome:Theobroma_cacao_20110822:9:2907056:2908509:1 gene:TCM_037326 transcript:EOY29954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGQKYPCLYISILSLSWKGNPKQNLFGNTTPAFFLYLSLNIVHLCSRQDIPASGSAMEESSHVAIILNILGGVQFDYVD >EOY30273 pep chromosome:Theobroma_cacao_20110822:9:4033856:4034524:1 gene:TCM_037541 transcript:EOY30273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVERYGQLVHSCCSAYCNGGVCCAITVPGGNNGDNGFPIFSGEKAFIIFAIADALFPFSSTAAIFMFLSILTARYWEADFLYALPKILGLLTLFISITFMMIAFGATVYIVFVTITSGFSFR >EOY34145 pep chromosome:Theobroma_cacao_20110822:9:40052916:40056034:1 gene:TCM_041905 transcript:EOY34145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine phosphatase-like member B isoform 2 AFGWTISLLRILISIIATNSVNGAYASAGDLICLLQTCAFLEVIHGAIVGIVPSGVLFPLMQWGGRTHFLLAIVRQIDEVQEFPAVFITFFAWSLSEVIRYSHYASNTFGSCPSWLTYLRYTAFIALYPMGLAPGEMWLMYEALPFIKKKNLYEDFFAGLPFSYYNFLRGFLLIYPFLWLKLYLHLFKQRRSKLGKHHEKKKR >EOY34144 pep chromosome:Theobroma_cacao_20110822:9:40052724:40056157:1 gene:TCM_041905 transcript:EOY34144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine phosphatase-like member B isoform 2 MSQLLKLYLFAYNSLQAFGWTISLLRILISIIATNSVNGAYASAGDLICLLQTCAFLEVIHGAIGIVPSGVLFPLMQWGGRTHFLLAIVRQIDEVQEFPAVFITFFAWSLSEVIRYSHYASNTFGSCPSWLTYLRYTAFIALYPMGLAPGEMWLMYEALPFIKKKNLYEDFFAGLPFSYYNFLRGFLLIYPFLWLKLYLHLFKQRRSKLGKHHEKKKR >EOY34599 pep chromosome:Theobroma_cacao_20110822:9:41556738:41559004:1 gene:TCM_042216 transcript:EOY34599 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein, putative MASEKPDLCHVVALPYPGRGHVNPMMNLCKFITRKRENILITFVVTEEWLGFISSNAKHSNIRLASIPNVIPSELVRADDFPGFIQAVRTKMTAPFEELLDRLELPVTTILTDAALVWAIHAGNYRNIPVAALRTSPATVFSMLCYLDLFKQNGHFPVDLSEQGQELVDYIPGIPPIRVADLPTFFSGDSPTLLRGLLETLSSLTTAHYLLFNSVYELEAPVFDTLKEKISIPLYPVGPNIPCFELEDISSANSAQSGPDYLEWLNSQPTGSVLYVSMGSFLSASTAQMDAIFAGVINSGVRYILVARSETFRFTANHSHLGLVVPWCNQMKVLCHSSVGGFLTHCGWNSTLEAVFAGVPMLTFPLFWDQVTNSKRIVEDWKIGWRMKRDAGSNTLTRREEISDLVQRFMDPESSDRMEMVKRARKLQDICQAAVAEGGSSDTNLDAFIGAISNHPPYQEC >EOY30651 pep chromosome:Theobroma_cacao_20110822:9:5283594:5286330:1 gene:TCM_037786 transcript:EOY30651 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRNA/rRNA methyltransferase family protein MRTIYSNLTKSQAFPIVVRFSSQTKCIKQFHSSKTQSLPIGSCPKTSLNPSLIYGSHKVLHFHSKSLEIGCIEKPRSILGGFRMRSAVQCCSSVRARSLSSLHSKRVAEERDLSRAGKSLRWLASEKVNEAKGSHKVAIGKTNNRSSWEESLDRLAKENNHSSLEEIPKRLEKVTVGNTGQSSWEESGASFFGKKAAYPLDIKESRKVSMVRDHRNSSRVGGDESNEEKGVREEDEVVDDPRWDKIKSSFRGMGDIKPGSEKPEFRRWNKQESWGRKTWKEATESTVPKMVGEGVYGVGPVLAALSAGRREFYALYVQEGLDLGSNNRKKKDKKGFEKVFRMAEKFGLSVKEVSKHDLNMVVDNRPHQGLVLDASPLEMVKIKELEPVSIDEEKGSLWIALDEVTDPQNLGAIIRSGYFFGASGVVLCAKNSAPLSGVVSKASAGSLELMELRYCKNMMQFLVSSAENGWRVLGASVSSKAVPLNEVLPGVPTILVLGSEGTGLRPLVERSCTQLVRIPGNIPVDIAAREVDDLGATEVDSGCSGEEFQSFLAVESLNVSVAAGVLLHHLIGKSHGINYLIDDKSTDMHQ >EOY32397 pep chromosome:Theobroma_cacao_20110822:9:28729403:28740136:1 gene:TCM_040294 transcript:EOY32397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMESVIFYWKIIRRPSQRFGEVSKFSSPRDIPGGTRLYKLLGEIPSSGLPHHLQGKYGML >EOY32196 pep chromosome:Theobroma_cacao_20110822:9:22973780:22976864:-1 gene:TCM_039792 transcript:EOY32196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein, putative MKFPTHDVRSRTFCVCRTFMDGAAATIKFVRDRGLDHAVEREKNLRPLLNVKNLIKSEPSKSVPLSIISQHKESLKIPSRPIEFIRKYPSVFREFLPGGIGTGIHPHIMLTPEVLDIDAEEHLVYQSDSYKQLVADSLLKLLMISRTNKIPIRILDILKWDLGLPQNYLKTLVPDFPDYFRLVGSEDSGQLELVCWSDELAVSVLEKKTMKGESGYSKGMPIAFPAKFSKGFEMDKKVKKWWDDWQKLPYVSPYENALHLSPKTDEFDKWAAAVLYEMLYLFVGKKAGRDDLLCVGEYLGIRSRFKRVLLHHPHIFYLSSKTGTYTVVLKEAYKRGLLLESNSLMNIRNRYIHLMHTVKENGKDISIPSGSNQEKKATSSAPTKEEGGGDDDESEEENNWVSADLSVSEIEDGDFNDEEGGYERNQRGARETVAVSRRRTNKRKNVDLKAHSSDGQGERAMGKYHGVTRTKIPPNGSRNVHPKSQRRSPNLHQRSRKSLPE >EOY32622 pep chromosome:Theobroma_cacao_20110822:9:32154940:32157581:-1 gene:TCM_040631 transcript:EOY32622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein, putative MLKLPSILSRMQKPFIFSSANIINYTNTPPKIPHSNYFNNPFDTLELCLSTKQFKQLHALTIKTRPLQTQLLYSKILSSLSPFSSPESLNYARELLSHLKAPHLQVHFHNSIIQALSNSLEAMALYREMITKGIYPDTYTIPYVLKACAKFHALEEGQQIHAHSIKFNLNSNVYVLNTLMRLYAVCGIIDYVRNLFDQSPERDLVSWTTIIQAFVKTGFAKEAIQAYFDMCRENLRPDKMTLVVVLSACSRLGDLSLGTQIHENICNFHDIGSDVFVDNALVDVYMKCGDVDSARQLFNLMPVKNVVSWNSMISGLVQQGQFKEALDVFHDMQKIGLKPDNVTLVAVLNACGNLGKLEFGKWVHAYVDKNRIKADGFIGNALVDMYAKCGSVEQAFGVFHSMKCRDVFSYTAMIVGFAMNGEAERALEIFAEMPVVGVKPDDVTFVGVLSACSHAGLVEEGWKHFEDMSRVYNLQPQTEHYGCMVDLLGRAGLISEAEEFIANMPIEPDAFVWGALLGACSIHGKVELGESVMEKLVDIEPVRDGAYILMSNIYSSANRWKDALKLRKAMKERKLKKTPGCSLIEVNGIVYEFRKGDKSHPRNEELRKLLEEMAIHLQSHGQFPTSNCIS >EOY30315 pep chromosome:Theobroma_cacao_20110822:9:4210952:4213480:-1 gene:TCM_037572 transcript:EOY30315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYRREGSVRETAVPQRRKTPSFSSSLLDAIYRSIDESANGDEATLCHYRETKTTLVKKQNNAPSEEERRVSSLRRAIMIEDWVEKQSGYGSAVHFNSTSSSSDSSSGGIFSSSEAESSYKEKSRRSTPAKPEKSKQFEQRNFDNNNNNQQRAKREGGGFSKTKLKALKIYGELKKVKQPISPGGRITNFLNSIFNANAKKVKMCSVGVSDDVSFDRKSKTTCSSASSFSRSCLSKTPSSRGNKYSNGKKRSVRFCPVSVIVDEDCRPCGHKCIYEDDPSLMPTSTVQKNVKSSSRKEELKNFVKEKESGVSNKARDYLRSYQRRGTGKLDLRGFVDDYEDDDEEEEDDALSYSSSDLFELDHLIGIGRYREELPVYETTSLKTKQAIANGFIL >EOY32936 pep chromosome:Theobroma_cacao_20110822:9:34540144:34543327:1 gene:TCM_040952 transcript:EOY32936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MDTAKLLSLLKTCISSKSLVKGKLLHQKIITFGFQNNIAFCKNLISFYFSCHLHDSAFRVFKTIDYPLDISLWNGLMAAYTKSFLFVEALDLFERLWEYPYLKPNSFTYPSVLKACGGLGTVDYGKMIHTHLMKAGFLYDVVVASSLVSMYANCKMFEQATLVFDEMPDRDVACWNTVISCYYQDGKAEKALELFGKMRDAGFAPNSVTLTVIFSACARLMDLEKGRAIHGELVKHGHVLDGFLGSSLVDMYGKCGCIEMAREVFEQIPEKGVVTWNSMIAGYSSVCNSQSCMELFKRMNMEGIKPSLTSLSSILMACSRSAQLQYGKFIHGYMIRNMVEADIFVNNSLIDLYFKCGNVNSAENIFKMMLKTDLVSWNIMISGYVSIGKFFDALGIYENMMKAGVNPDAVTFTSVLAACSQLAALENGKEIHNSITENKLERNEVVMGALLDMYAKCGAVDEAYKIFCELPERDLVSWTSMITAYGSHGQALDALELFGEMQQSNTKPDGVTFLAVLCACSHGGLVDEGCYYFNQLTNEHSIEPQLEHYSCLIDLLGRAGRLHEAYEFVQSTPKTREDVGLLSTLFSACHLHKCLELGEKIAELLIEKDPDDSSTYVILSNMYASAKKWNKVRKVRLKMRQLGLRKNPGCSWIEVDQRIQLFFVEDNSHPQAKIIYDCLSSLNSYMEKDNVTAAFECSTDQGVL >EOY29693 pep chromosome:Theobroma_cacao_20110822:9:2076102:2077065:-1 gene:TCM_037158 transcript:EOY29693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGSTILSRLSSARLNALALKLKSNKSVLPTISPLKPSSQSQVSSSVKRITGISRLPVELSCLMSMMPLHSAVASARLRSFLAVESQSWGLISQGISLPL >EOY29674 pep chromosome:Theobroma_cacao_20110822:9:2012741:2017572:1 gene:TCM_037147 transcript:EOY29674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfite exporter TauE/SafE family protein MARFGVKRSVLKSIMLNLLNFALAFMLVSAERSLKNGDSAKESETNFFLKAINFLWRSDQSGYQHVWPEMEFGWQIVLGSIIGFFGAAFGSVGGVGGGGIFVPMLNLIIGFDAKSSTAISKCMIMGAAASTVYYNLKLRHPTLDMPIIDYDLALLIQPMLMLGISIGVAFNVIFADWMVTILLIILFIGTSTKAFFKGVETWKKETILKKEAARRLVSNVSPYISHMISDTGSGDVEYKPLPSGPNSDPPKDNTDKEVTVWENVCWKELGLLCFVWVAFLVLQIAKNHTATCSVAYWVLNLLQIPVSFGVSLYEAVSLYKGWRVIASKGDEGTNWRVHQLVTYCAFGVLAGIVGGLLGLGGGFIMGPLFLELGVPPQVSSATATFAMTFSSSMSVVEYYLLKRFPVPYALYFTAVATIAALIGQHIVRKLIIVFGRASLIIFILAFTIFVSAVSLGGVGISNMIGKIERHEYMGFENLCMYEG >EOY29747 pep chromosome:Theobroma_cacao_20110822:9:2234700:2239303:1 gene:TCM_047092 transcript:EOY29747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF-2 MTTETRSNLVKVKTSGQDGSSKGKFDAAMNRKKIESSSKQAPVDSKQKSVSTVITKTQVKSKASSSSSKTTATTKTKVREKKVYTLPGQKHDPPEEREPLRIFYESLSKQIPTSEMAEFWMMEHGLLSPEKARKAYEKKQRRLKELRTGTPIKSSKPSSKPESSQKHQLASKNGDVKAKKRINNDIDDDDDYLILSPKRRKG >EOY33660 pep chromosome:Theobroma_cacao_20110822:9:38426506:38427699:1 gene:TCM_041565 transcript:EOY33660 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MKFYSRKLFLYDDLPYSATSLPSPPLHHHRPTTTNSTSSPLSSSKKLSQQNDPFDSSMALTIVVLLTALFFMGFFSIYIRRFSEEPTAHLSRRRRYRGGSLDTLSLPSDRHHVSTPRKGLDPTTVGSLPVYSYHGDAKYQIDCAICLSEFEEKECVKTIPCCEHVFHVECIDTWLTSHVSCPVCRGTRLLEIKGGAGEGVMQERIDQGVSEFSAVDINDTCMVMGTTSRVMRTSSCPRLGQRAMLQRTFSF >EOY32269 pep chromosome:Theobroma_cacao_20110822:9:25540209:25542138:-1 gene:TCM_039968 transcript:EOY32269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFFYETNAAPRKVVYDDDVDDIEEKMKKMNLENREDDEDYSKKRNEEKPSLENLQRIEEQHNDLPKN >EOY30934 pep chromosome:Theobroma_cacao_20110822:9:6347164:6349883:-1 gene:TCM_037975 transcript:EOY30934 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAI/RGA-like protein MVPYDSATSAGSSSGSSSSSSYKPPQQQQQDIDRLLAGAGYKVRSSELRQVAQRLERLEIAMVNSPADFFHLASDAIHRNPSDLASWVDSLLSECTQPPTCPSEFIMDPVTNQAVVNNAWTTTEPHTPQVHQNISFEQQSLNHQLTVVTAMEEDSGIRLVHMLMMCAECVQRGDLPLATSLIDDMQRLLMRVNTVCGIGKVAGHFIDALSCRIFQGFGGGPVNGGSAYENEILYHHFYEACPYLKFAHFTANQAILEAFDGHDCVHVVDFNLMHGLQWPALIQALALRPGGPPLLRLTGIGPPSPDGRDSLREIGLRLAELARSVNVRFTFRGVAASRLEDVKPWMLQVNPKEAVAVNSIMQLHRLLGADPTRNSPIQTVLSWIRSLNPKIMTVVEQEANHNQPGFLDRFTEALYYYSTMFDSLEACTVQPEKALAEIYIQREIANVVSCEGSARVERHEPLAKWRTRLNGAGFRPLHLGSNAFKQASMLLTLFSAEGYLVEENEGCLTLGWHSRPLISASAWHAVPDAAAEIPAWDGSSTIISRAES >EOY31669 pep chromosome:Theobroma_cacao_20110822:9:11552431:11559805:-1 gene:TCM_038688 transcript:EOY31669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVYKIERNECIEVTKGRPLPLQTRSSSTLFARTSQLPLQNDVMFNLLMRFNGKLTNQIEKIIQIEEKLQ >EOY30214 pep chromosome:Theobroma_cacao_20110822:9:3819258:3825074:-1 gene:TCM_037496 transcript:EOY30214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MVETLPVGRFQLQQHRLELKRWAPAFLSSHKTLFTVLWIAAFASVFLWQRNIVGGGFSIFGKAGPGRPMPKTRPFALNLTDFGAVGDGVTVNTAAFERAVLAISKLGKRGGAQLNVPPGKWLTAPFNLTSHMTLFLAEDAEILGIEDERQWPLMPPLPSYGYGREHHGPRYGSLIHGQNLKDVVITGHNGTINGQGQSWWKKYRQKLLNHTRGPLVQIMWSSDIVISNITLRDSPFWTFHPYDCKNVTVRNVTILAPIFEAPNTDGIDPDSCDDVVIEDCYISVGDDAIAIKSGWDQYGISYGRPSRNILIRNLIVRSMVSAGVSIGSEMSGGVSNVTVENLLVWSSRRAVRIKTAVGRGGYVRHITYRNLTFDNTRVGIVIKTDYNEHPDDDFDRKALPILEDISFIGIHGQGVRVPVRIHGSEDIPVRNVMFRDMSVGITYKKKHIFQCSFVQGRVIGTIFPAPCENLDRYDEEERLVKRSGSQNVTDIDYDI >EOY30215 pep chromosome:Theobroma_cacao_20110822:9:3819761:3824989:-1 gene:TCM_037496 transcript:EOY30215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein isoform 1 MVETLPVGRFQLQQHRLELKRWAPAFLSSHKTLFTVLWIAAFASVFLWQRNIVGGGFSIFGKAGPGRPMPKTRPFALNLTDFGAVGDGVTVNTAAFERAVLAISKLGKRGGAQLNVPPGKWLTAPFNLTSHMTLFLAEDAEILGIEDERQWPLMPPLPSYGYGREHHGPRYGSLIHGQNLKDVVITGHNGTINGQGQSWWKKYRQKLLNHTRGPLVQIMWSSDIVISNITLRDSPFWTFHPYDCKNVTVRNVTILAPIFEAPNTDGIDPDSCDDVVIEDCYISVGDDAIAIKSGWDQYGISYGRPSRNILIRNLIVRSMCWRINRQRDVWWGI >EOY32491 pep chromosome:Theobroma_cacao_20110822:9:30259762:30296855:1 gene:TCM_040440 transcript:EOY32491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 1, putative MDFITPYSLCFLLLFLLFTFFTGAQFYENISLGSFLTAGKDNSFWASHSGEFAFGFKQIQNGDFILAIWFNQIPEKTIVWSANRNKLVQRGSTVRLTEQGWLVLIDQTGRQIWSAYGGTEVAYAAMLNTGNFILANHKSDNLWESFHHPTDTLLPTQTFNQGSKLIACYLEANHSTGRFLLTLESDGNLVLYTTAFPVDSPNYAYWSTETFDGSSQVIFNQSGYVYLVEKNGSMINVLPGGASTEDFFQRAILEYDGAFRHYVYPKNNGSTSGRWPLTWSPLSFIPSNICTSITGQVGCGACGFNSYCTIGNDQRRKCQCPQGYSFFDPNDVMKGCKQDFVPQSCDNASLEAELFEFLEMQNTDWPLSDYEHFELVSEDWCREACLSDCFCVVAIFRDTNCWKKKLPLSNGRMDTSVGGKALIKIRKDSSNLQPADPDEEKKHHSTLFIIGSVLFSSSVSLNFLLLIAAVMSAFHFYNRKNNTFQQYPVMPGINLRCFTYNELQKATNGFKEELGKGAFSTVYKGVLALDDKIFIAVKKLNNMVSENDKEFKTEVTAIGQTNHRNLVQLLGFCSEGQHRHLVYEFMSNGSLRDFLFRGSTPNWYLRIQIALGTARGLSYLHEECSIQIIHCDIKPQNVLLDDALTARICDFGLAKLLKAEQTQTSTAIRGTRGYVAPEWFKNLPITAKVDVYSFGILFLELICCRKNFAPEVKDENQMVLADWAYDSYKEENVHVLVQDDQDAIYDIRRLKKYVMIAIWCIQEDPALRPTMKKVVQMIEGAVEVPVPPDPCSSQYTSSSIDMSRFH >EOY30734 pep chromosome:Theobroma_cacao_20110822:9:5622416:5624700:1 gene:TCM_037846 transcript:EOY30734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLNLEESLLSPVPMTSLKLFRFALSTEVCPFGFEIPSHLVELSFCLYASGLGCGLLYVALDGYGNNRYLSLISFIVCGMMRMLYTCMQCCNWHGKRKCSCKNYDQLAAKYIETVWLLSLSLSLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXVRLSLSLSLSLSLSLVVTVREGRGGEEGEGEDSNLTLWVGKLRINYQVKCLRAPTTESNSQSASLFEW >EOY31233 pep chromosome:Theobroma_cacao_20110822:9:7657706:7661761:-1 gene:TCM_038194 transcript:EOY31233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucuronidase 3 isoform 2 MGCQIWQMGFCFWVCLSLLSHSLSSVSSQADAAVGDATVEGTVFIDGKAPIGSIDDDFICATLDWWPPEKCDYGTCSWGLAGLLNLDLSNNIFLNAVKAFSPLKIRLGGTLQDKVIYDTDDNREPCTPFVKSTSEMFGFTQGCLPMNRWDELNAFFAKAGAKVIFGLNALTGRSIKSDDSAVGAWNYTNAESLIRYTVEKNYTIHGWELGNELCGSGVGTRVSANQYAADTAALQSIVQNIYKDVDFKPLIIAPGGFYDSNWFKEFIDKTTKSLDVVTHHIYNLGPGVDEHLVEKILNPSVLDGESGTFRGLHNIIKSSTTSATAWVGEAGGAYNSGHNLVTNAFVFSFWYLDQLGMASKYDTKTYCRQSLIGGNYGLLNTANFVPNPDYYSALLWHQLMGRNVLSTSFAGTKKIRSYTHCAKQSKGITLLLINLDNSTTVQAKLAFNSTMTLQHKHRSRTLHHKHRSHKKSIIQLPQGTDAEIRREEYHLTAKDGNLHSQTMLLNGNILSVNSSGIIPPLEPLLVNSPKPIMVAPLSIVFIHMPDVIVPACKV >EOY31232 pep chromosome:Theobroma_cacao_20110822:9:7656789:7661761:-1 gene:TCM_038194 transcript:EOY31232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucuronidase 3 isoform 2 MGCQIWQMGFCFWVCLSLLSHSLSSVSSQADAAVGDATVEGTVFIDGKAPIGSIDDDFICATLDWWPPEKCDYGTCSWGLAGLLNLDLSNNIFLNAVKAFSPLKIRLGGTLQDKVIYDTDDNREPCTPFVKSTSEMFGFTQGCLPMNRWDELNAFFAKAGAKVIFGLNALTGRSIKSDDSAVGAWNYTNAESLIRYTVEKNYTIHGWELGNELCGSGVGTRVSANQYAADTAALQSIVQNIYKDVDFKPLIIAPGGFYDSNWFKEFIDKTTKSLDVVTHHIYNLGPGVDEHLVEKILNPSVLDGESGTFRGLHNIIKSSTTSATAWVGEAGGAYNSGHNLVTNAFVFSFWYLDQLGMASKYDTKTYCRQSLIGGNYGLLNTANFVPNPDYYSALLWHQLMGRNVLSTSFAGTKKIRSYTHCAKQSKGITLLLINLDNSTTVQAKLAFNSTMTLQHKHRSRTLHHKHRSHKKSIIQLPQGTDAEIRREEYHLTAKDGNLHSQTMLLNGNILSVNSSGIIPPLEPLLVNSPKPIMVAPLSIVFIHMPDVIVPACKVYGQPLTTITEQGHFPLRHRGKGQIRDTLNTVYFSLDASIKNTNRKHRTTVSHSPKSFEAYC >EOY30553 pep chromosome:Theobroma_cacao_20110822:9:4960111:4960967:-1 gene:TCM_037722 transcript:EOY30553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFHGASNDSWVLSPISSSRNKTLQHPRASTDTISSLSPLSNGLMFATPSPAAKSRKSHASFWKAVKQYLTCYSPARSKPKSKQKSSKGDSVAASKTSSTHSVRASPDHAYSAMSAEERDENLKAVITFCNKSVESVIVSWDEGVIPWLRTATASAVLDGLLGVEN >EOY30693 pep chromosome:Theobroma_cacao_20110822:9:5462563:5466583:-1 gene:TCM_037816 transcript:EOY30693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MEIFALTRRLFHADIRKLLVIIGLAVSIIIVFQCFGLPYGKRFSSSPANKGSIGRLVGNATILNNLNLGKLYVDNVVANNANGSGSKEEVRYRNKTPEANVDSDVASNVDRYLDDSFHKFKDQNSDDMTSKQRITQGKNLMNGYVTSTDDSSTQVNAAEIQHDHLGMVEKTKNSEKITNDPKATTGYGIVPFVSAVVATKGLRNLDPNSATSGSFFGANLSSVSNGKKSMETRHRKSKQPISVSQMNDLLLQSIDSSHSSRPRRYSARDRELLSAKQDIENAHISRKTPALYASVYRNSSKFKKSYEMMEQILKVYIYKEGLKPIFHQPMLRGIYASEGWFMKLIEGNKKFVVRDPRRAHLFYLPFSSNMLRTALYGQDFQHFQDLQKYLGDYVELIAGKYSFWNRTGGADHFFVACHDWALKLTKHLRSCLRVLCNANAAKDFKIGKDTTLPVTYIRSAEAPLENLGGKPPSERNFLAFFAGGMHGYLRPILLQYWQNKEPDMKIFGPMPRDIEGKRTYREHMKSSKYCICARGYEVHTPRVVEAIYYECVPVIISDNYVPPFFEVLNWEAFAVFVQEKDIPNLRNILLSIPEEKYLEMHSRAKLVQQHFLWHKKPVKYDLFHMILHSVWYNRVLHIKTR >EOY30823 pep chromosome:Theobroma_cacao_20110822:9:5928089:5935009:1 gene:TCM_037902 transcript:EOY30823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like superfamily protein MGRNVLGYAFSCRRVQKTDVPFPQRKGCKRISNWKNKMLSAAGREILIKSVAQAIPAFCMNCFKILNSLCHDIDSVIAQFWWSGNNMTQKIHWKNWKSMCVSKFLDGMGFRDTKSFNLAMLAKQGWKLQLQVPTLAYKVLKERYFHTTDFLNALIGTNPSYLWRSIRESQQLIRIWRVSELIDQRTMTWNDVKITEIFPTYERELILSIPLSYRHPNDKQVWFFNRHGHYSVKSGYRMAQSLLDLQVAESSSCNMMAFWKRIWHLELPRKVILFLWKTLNGILPTRQALIYRSIISENNCPSCDNELETDFHCLCCCPLARAVSNFSKWGFTNIEIFQGKSFEPLQIIELAGNLLEQDRLVKGVRSRRRILQINRTCEWRAPVESKLNVDASIFELSSVRRMGVGFIVRNAIGEVELAGVRRMVMGQSVEEAELSALAWSLRCCQRENIMVKEIEMDCKMVVEWIKGRHLSGILSPIVEDCLNLMESINCVDILHCSREGNEVAHMIAKKAKEIREEAIAWFNIFSNAGGFLTSYH >EOY34209 pep chromosome:Theobroma_cacao_20110822:9:40292614:40309871:1 gene:TCM_041952 transcript:EOY34209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin serine endopeptidase family protein, putative MPPDIKHGTIFLRPFIVSPPSHPHLDELQKPLAEFPKMHWLSKERYLSRQEWKSEIHFPEPIIKYPIHHCVDTQVIQRTMAKSVFEMFLGLSFVLMLSMSLSPGAADEDRKVYIAYLGSLPERDYSPSSHHFSMLQAVIKQSSVANYLIRSYKRSFNGFAAKLTNEEANKLASMKEVVALFPNKVYHLQTTRSWDFMGLKDSVKRNPTVESDVIIGVIDSGIWPESESFSDKGFGPAPKKWKGSCSGGKNFTCNSKLIGARFYNSAEPREESARDVDGHGTHTASTAAGNNVEDASFFGLAQGTARGGVPSARIAAYKVCKQNGCASADILAAFDDAIADGVDLITISVGSTTRSDFYQDSIAIGAFHAAEKGILTVQSAGNEGRLGKQGVTSVVPWILSVAASSIDRRFFSKVVLGNGKTLNGLSINSFDLKKTKFPLVYGKEIANLACNEEITARVCAPGCLNSTLVKEKIILCDQFRGNNDALDAGAAGSILKTDIDDVSFVLPLPASALSTDNYESVKSYLNSTKRPVAEILRSETIEDSAAPVVAPFSSRGPNFMEPDIMKPDLSAPGVDILAAFSPIGSPSGNPADKRQVKYSILSGTSMSCPHAAAVAAYVKTFHPEWSPSAIQSSLMTTAFPMDQSTNPDGELAYGSGHVNPVKATDPGLVYEVVKGDYIKFLCSIGYDSEKLRRISGDNSTCSKTSENILPRDLNYPSLTAQILPDKSFTVGFHRTVTNVGIASSTYKAKVSSNSKLEVKVDPEVLSFKALKEKKSFNVTVTGDALSLFSMVSASLEWSDGTHSVKSPIVIHSYKSFRLEGSTL >EOY34633 pep chromosome:Theobroma_cacao_20110822:9:41648228:41649596:1 gene:TCM_042241 transcript:EOY34633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENLMVRILEDYETGLPTNGIHCFNRIFWLIFFVILCNMCSHTVLETMKLGHMFCPNLLTFCIWGCLSTFTVGFSFLDALS >EOY31483 pep chromosome:Theobroma_cacao_20110822:9:9043793:9054982:1 gene:TCM_038409 transcript:EOY31483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMTKPPAYAMPLHVVQVLRHVLFSSFFSIIFKIYFIFSQGTKSETRCPSCLLCFFFSIICFIFFNFIGNLFNFFLGMTIFCRYIIMNIDSHRFYFCFIDGIIKCISHRIPCVHDSLLHKGP >EOY30464 pep chromosome:Theobroma_cacao_20110822:9:4709968:4711630:1 gene:TCM_037666 transcript:EOY30464 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein, putative MTSSNCYSSLYPFPIDLNEDDQHQQHQLFSLKPQPPSLSSSSLTCPILFNPVVQEQAGGHQREPHQHFQYQEDQAKIYVPQDEPLESDSGLNLSLRKKEEGNEHHQIEDSSAKWMSSKMRMMRKMMSSDRADLSNSSTPKLEEPKQQPSSSPDNSSNSSYNNNDNITIRVCADCNTTKTPLWRSGPRGPKSLCNACGIRQRKARRAMAAAAAANGAIVAAQTTPTMKSKVQDKSKRSSNSGCVAQLKKKCKHSSQSQGRKKLCFEDLRIILSKNSAFHRVFPQDEKEAAILLMALSYGLVHG >EOY29527 pep chromosome:Theobroma_cacao_20110822:9:1467437:1468442:-1 gene:TCM_037037 transcript:EOY29527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 15, putative MAASRGLLLSAVLLVSVFLTLTEAGEHLVGGKANAWKIPSSESDSLNKWAGASRFQIGDSLVWSYDPSKDSVLQVSKKDYETCNTSSPIAAHKDGNTKVKLERSGAYYFISGAEGHCQKGQKLIVVVMSARSQFMGVSPAPSPMEFEGPAVAPTSSCVSLKSGLVVMLGVLTALSLF >EOY32613 pep chromosome:Theobroma_cacao_20110822:9:32066282:32069928:1 gene:TCM_040621 transcript:EOY32613 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP/ATP carrier 2 isoform 1 MADRHQHPSVMQKVAGQLHLTPSLSQDVQYRYGGFQRPALHQRRFASGSCNAALRYPMAQACPANYDLSMVGSSSSPICVQAPSEKSFSSFAVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIRAGRLSEPYKGIGDCFKRTIQDEGMVSLWRGNTANVIRYFPTQALNFAFKDYFKKLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGKLQDSFFASFALGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQMIVLGKKYGSGGA >EOY32614 pep chromosome:Theobroma_cacao_20110822:9:32066287:32069928:1 gene:TCM_040621 transcript:EOY32614 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP/ATP carrier 2 isoform 1 MADRHQHPSVMQKVAGQLHLTPSLSQDVQYRYGGFQRPALHQRRFASGSCNAALRYPMAQACPANYDLSMVGSSSSPICVQAPSEKSFSSFAVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIRAGRLSEPYKGIGDCFKRTIQDEGMVSLWRGNTANVIRYFPTQALNFAFKDYFKKLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGKLQDSFFASFALGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQMIVLGKKYGSGGA >EOY34630 pep chromosome:Theobroma_cacao_20110822:9:41643591:41644565:1 gene:TCM_042239 transcript:EOY34630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHRVHHGNVPPPPPHPSLAAAAAVRTHLPFAKCPDSVYLIMSTKDGMVIFDCPGRDPYGMKFLCEKPGVLIAICRPGRWEAKRMRMKMNLFVWKVVGRSSLHFKSIKTAQSCRDSDCSIQSRLLYKRNFPKQVDHYDV >EOY33637 pep chromosome:Theobroma_cacao_20110822:9:38342994:38346251:-1 gene:TCM_046935 transcript:EOY33637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase S28 family protein MNSPVISSQWLRLIIMIVSMAVTAAHFKIPRLSPTLGTILEQPEILSAPVSEDLRTFYYTQTLDHFNYNPESYTTFQQRYVMNSKYWGGANVSAPILAYLGAESPLDGTPAAIGFLNDNAIRFKALIVYIEHRYYGKSIPFGSREEAFQNASTLGYFNSAQAIADYAAIIMHIKKKLQARYSPVIVIGGSYGGMLASWFRLKYPHVALGALASSAPILYFDEIPLQPEGGYYSVVTKDFREASETCYQTIQKSWSEINRVASKPHGLSTLSKKFKTCYPLTSSSELKSFLRLMYAYTAQYNRPPRYPVSVVCGGIDGASFGSQDDILTKIFSGVVAYYGNRSCYVNPETNASEIEIGWSWQRCSEMVIPIGIGNGTMLEASPFNLTSFIKQCESFYGVPSRPHWVTSYYGGHDIKLILHRFGSNIIFSNGLRDPYSSGGVLENISNSILAVSTVNGSHCLDILAERETDPEWLIRQRKIEVKIIKGWIAKYYADLKAFKQ >EOY30974 pep chromosome:Theobroma_cacao_20110822:9:6530104:6535400:-1 gene:TCM_038014 transcript:EOY30974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIRDTIQSKQYEDLDSCACVNVAINTHCKWLQLHYINKTLQEKGEYDTVKRTCFRMLLDVYPQGYFFAGLLHNIMIHRITVTDSMKYELWFAIGKNKVRISKQKFCLITGLKFGRMLDVGNFERLGDATKTTLILIVNNILFGQDYRRRVTPWLLLLVEDIDVRNDFPWGHYVWRLTLDYLLKGFEVPSSNVQKDRLRYNIYEFVWVIQVLSQAIPALRKIVTPFAPKDVYPRMCRWQCNQKPKDFYKAVEMLESFQQLWVVKTLEPTPNEARQDYFVDIDVSLSEGHQYRCTAVAIVNELSVPELMEESDNHGNGSEKSLDHATTTPQPSIGPFQTHSANEESLTHSTRVNDGVVTKGQLQRIMHRYKKDMSELKASIQSLTLTMQTSEDRVIARILDDLKSQVLLWGDPSSHGAGKDLDDADDGHHDEPGVHIHDDITGAEGDPVSEDTIHMLHTSFPTEDARSTMEILDELRAYVEGEKLTYGKKMERCRFHPRALQCEWALGGSED >EOY30082 pep chromosome:Theobroma_cacao_20110822:9:3296745:3302419:-1 gene:TCM_037411 transcript:EOY30082 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing DNA repair protein MGGLNRVEVINSKGCSRLFVGFSPSVPSFRSFRSFEPMSPASTSLGSEPLTVRSTGPFSGLVICVTGLSKEARKQVMEATERLGGQYSPSLHPQCTHLVFEHALKHGSRNGLFVVTLGWFVDSVKRNVRLSESLYTVKGVGEHGTRVDELNRLVRSTATESSCLPAGFHEGKKFGMMEKPNVRFSGRDPNKSMDATLSGHTMYIDSDISDELRSKVLEAVSKEGATVVDRWFIGCSASHVVCEGNSIQRYIGHSNNIVTPLWVLKTAKDRCLQRLVHMSADLARQIGAVLENSHNGIIGEENNVANFTQDTQSFRRNASHEERQQIVHLAKTGVRNRRSRRMQTCQTPIRPISPSSLLDSICWSISEPTSTASIYTDSFSSEDASDHQSVFFDANGDGKDYGASFTNLTRSLTESEKNELIFKNHFLTIQFPVDRFSEMGPSSQTYFSDNGFTCLQVLDYIYAFYQENMSAHEVEAAIHTDSRHADRLRAVYSSKETVDCGYVNFKRIDFLGSRKSFEMLKRVSGDNNSNVYELLIRA >EOY29309 pep chromosome:Theobroma_cacao_20110822:9:708342:713816:1 gene:TCM_036890 transcript:EOY29309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSQIPGTNDRTRTYWTPTMERYFIDLMLEQMHRGNRIGHTFSKQAWTDMLTVFNAKFGSQYDKDVLKSRYTNLWKQFNGVKNLLGQSGFSWDESRQMVVADDYVWNAYIKAHPDARSYKTKAMLNFSDLCLIYGYTTADGRYSRSSHDLDFDDEVQGVNMGDGMCNLPSTNNERPRTEWNADMDQYFIELMLDQVGRGNKVDNTFNKQAWTDMLALFNAKFGPQHGKRVLRHRYKKLWKYYGDVTVILKQNGFSWDETQLMITADNDVWDAYIKAHPHARTYRMKTLPNYNDLVLIYGEAIDEGNVNNVPQEYDISRATAGEGKKSVNPAGDRTRTFWTPPMDRYLIDLLLDQVSRGNKLGQTFITQAWIDMVTSFNVKFGSHYDKDVLKNRYKHLRRLYNDIKILLEQSGFSWDEARDMVSAEDSVWDAYIKSHPDARSYRVKTVPSYHKLCVIFGQESCDGRYNRLAQNVGTDGDVTVLMTGYGNEENDHFPSSIHHHGIEWTAPMDRFFIDLLLEQVHEGNKIDRAFNEQAWIHMVESFNENFALLLNKNELENQYICLMKQYDDISDLLNHSGFVWDEAKQMVVANNDVWEVYIKEHPDAVSYKDKFLGSYSDLCKIFKDEVLDGRPSGQVLGVETDHSAPEVILDRAPGNLQTQGGDFHLFDQHRKRSTATPDIGRASKAPKTDQEMQKMVSRMAGAVTRLASQKGNKNYSIIESAVDALQALPDIDDELLLDACDLLEDEKKAKTFLALDVTLRKKWLLRKLRS >EOY29336 pep chromosome:Theobroma_cacao_20110822:9:791439:798202:-1 gene:TCM_036908 transcript:EOY29336 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase isoform 3 MGASADAKANRADIDLDVDDDVCDEVLSVQQLGEEFLRGFCKQAAVSFFKEYGLISHQLNSYNAFIKYGLQNTFDSFGEFLIHSGYDPSKKGEGDWRHARVRFGKVTVERPTFWAVSGGNELNMLPRHARLQNMTYSSRMKVNVDLQVYTAKSVKSDKFKTGREEFVEEEVVYQDNRDIIIGRIPVMVRSDLCWMNEVEKADCDFDHGGYFLIKGTEKIFIAQEQISMKRLWISNSQGWTIAYRSEVKRNRLIIRLVENSKVEYIKGGEKVLTVYFLSTEIPVWVLFFALGVSSDKEVVNLIDYESNDSSITNILFASIRNADGKCYKFCQGRNAIDYVGKLVKDTRFPPEEGIEECLSTYLFPTLRSFKQKARFLGYMVKCLLQAYTGRLKCDNRDDFRNKRLELAGELLERELKVHIAHARRRMAKTLQRDLYADRTVRPIEHYLDASIVTNGLSRAFSTGAWSHPYKRMERISGVVANLGRANPLQTMVDLRKTRQQVQYTGKVGDARYPHPSHWGKVCFLSTPDGENCGLVKNLATTGLVSTNIMESIVDKLFDSGMEELVNDTCSSLDGKDKVFLNGEWVGVCEDSLSFAAEVRRKRRSKEFPHQVEIKRDEHKGEVRIFSDGGRILRPLLVVDNLNRIKAFKGENYTFQALLEGGIIELVGTEEEEDCRTAWSIKYLLTDVEGKQPVKYTHCELDMSFLLGLSCGIIPFANHDHARRVLYQAQKHSQQAIGFSTTNPNIRVDTLSHQLYYPQRPLFRTMTSDCLGKLGHPLGQKGVLPKPELYNGQNAIVAVNVHLGYNQEDSLVMNRSSLERGMFRSEHVRSYKAEVDNKEIQDKRRKSEDIVNFGKIQSKIGRVDSLDDDGFPYVGANLQCGDIVIGRCAESGADHSIKLKHTERGMVQKVVLSSNDDGKNYAVVSLRQVRSPCLGDKFSSMHGQKGVLGFLESQENFPFTTQGIVPDIVINPHAFPSRQTPGQLLEAALGKGIACGGSMKYATPFSTISVDAITEQLHRAGFSRWGNERVYNGRTGEMVRSLIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRYGGIKFGEMERDCLIAHGASANLHERLVTLSDSSQMHVCRNCKNVANVIERAVPGGRKIRGPYCRGCQSVDDIVRVNVPYGAKLLCQELFSMGINLKFETQLC >EOY29338 pep chromosome:Theobroma_cacao_20110822:9:791390:798175:-1 gene:TCM_036908 transcript:EOY29338 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase isoform 3 MGASADAKANRADIDLDVDDDVCDEVLSVQQLGEEFLRGFCKQAAVSFFKEYGLISHQLNSYNAFIKYGLQNTFDSFGEFLIHSGYDPSKKGEGDWRHARVRFGKVTVERPTFWAVSGGNELNMLPRHARLQNMTYSSRMKVNVDLQVYTAKSVKSDKFKTGREEFVEEEVVYQDNRDIIIGRIPVMVRSDLCWMNEVEKADCDFDHGGYFLIKGTEKIFIAQEQISMKRLWISNSQGWTIAYRSEVKRNRLIIRLVENSKVEYIKGGEKVLTVYFLSTEIPVWVLFFALGVSSDKEVVNLIDYESNDSSITNILFASIRNADGKCYKFCQGRNAIDYVGKLVKDTRFPPEEGIEECLSTYLFPTLRSFKQKARFLGYMVKCLLQAYTGRLKCDNRDDFRNKRLELAGELLERELKVHIAHARRRMAKTLQRDLYADRTVRPIEHYLDASIVTNGLSRAFSTGAWSHPYKRMERISGVVANLGRANPLQTMVDLRKTRQQVQYTGKVGDARYPHPSHWGKVCFLSTPDGENCGLVKNLATTGLVSTNIMESIVDKLFDSGMEELVNDTCSSLDGKDKVFLNGEWVGVCEDSLSFAAEVRRKRRSKEFPHQVEIKRDEHKGEVRIFSDGGRILRPLLVVDNLNRIKAFKGENYTFQALLEGGIIELVGTEEEEDCRTAWSIKYLLTDVEGKQPVKYTHCELDMSFLLGLSCGIIPFANHDHARRVLYQAQKHSQQAIGFSTTNPNIRVDTLSHQLYYPQRPLFRTMTSDCLGKLGHPLGQKGVLPKPELYNGQNAIVAVNVHLGYNQEDSLVMNRSSLERGMFRSEHVRSYKAEVDNKEIQDKRRKSEDIVNFGKIQSKIGRVDSLDDDGFPYVGANLQCGDIVIGRCAESGADHSIKLKHTERGMVQKVVLSSNDDGKNYAVVSLRQVRSPCLGDKFSSMHGQKGVLGFLESQENFPFTTQGIVPDIVINPHAFPSRQTPGQLLEAALGKGIACGGSMKYATPFSTISVDAITEQLHVIERAVPGGRKIRGPYCRGCQSVDDIVRVNVPYGAKLLCQELFSMGINLKFETQLC >EOY29337 pep chromosome:Theobroma_cacao_20110822:9:790099:796938:-1 gene:TCM_036908 transcript:EOY29337 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase isoform 3 MKRLWISNSQGWTIAYRSEVKRNRLIIRLVENSKVEYIKGGEKVLTVYFLSTEIPVWVLFFALGVSSDKEVVNLIDYESNDSSITNILFASIRNADGKCYKFCQGRNAIDYVGKLVKDTRFPPEEGIEECLSTYLFPTLRSFKQKARFLGYMVKCLLQAYTGRLKCDNRDDFRNKRLELAGELLERELKVHIAHARRRMAKTLQRDLYADRTVRPIEHYLDASIVTNGLSRAFSTGAWSHPYKRMERISGVVANLGRANPLQTMVDLRKTRQQVQYTGKVGDARYPHPSHWGKVCFLSTPDGENCGLVKNLATTGLVSTNIMESIVDKLFDSGMEELVNDTCSSLDGKDKVFLNGEWVGVCEDSLSFAAEVRRKRRSKEFPHQVEIKRDEHKGEVRIFSDGGRILRPLLVVDNLNRIKAFKGENYTFQALLEGGIIELVGTEEEEDCRTAWSIKYLLTDVEGKQPVKYTHCELDMSFLLGLSCGIIPFANHDHARRVLYQAQKHSQQAIGFSTTNPNIRVDTLSHQLYYPQRPLFRTMTSDCLGKLGHPLGQKGVLPKPELYNGQNAIVAVNVHLGYNQEDSLVMNRSSLERGMFRSEHVRSYKAEVDNKEIQDKRRKSEDIVNFGKIQSKIGRVDSLDDDGFPYVGANLQCGDIVIGRCAESGADHSIKLKHTERGMVQKVVLSSNDDGKNYAVVSLRQVRSPCLGDKFSSMHGQKGVLGFLESQENFPFTTQGIVPDIVINPHAFPSRQTPGQLLEAALGKGIACGGSMKYATPFSTISVDAITEQLHSICHRAGFSRWGNERVYNGRTGEMVRSLIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRYGGIKFGEMERDCLIAHGASANLHERLVTLSDSSQMHVCRNCKNVANVIERAVPGGRKIRGPYCRGCQSVDDIVRVNVPYGAKLLCQELFSMGINLKFETQLC >EOY31472 pep chromosome:Theobroma_cacao_20110822:9:9002516:9013848:-1 gene:TCM_038403 transcript:EOY31472 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain-containing protein / MA3 domain-containing protein MQQGDQTVLSLRPGGGRGGRLLGGPSSSSSSSSSSSLAFGSLSSDLPLFRPHGGAPPPFSIKAGDTRFEGRERVRYTRDQLLQLREAVEVADEILKIKREIEAELFGEDQNWGRGESNPPNQSQNRYSEPDNRDWRNRSAQFPSAAEERSWESLRDREFGNRYDSRQTEANQFNRQDQLNSQFSRAQVSSNQGGGPAPSLVKAEVPWSARRGTLSEKERVLKTVKGILNKLTPEKYDLLKGQLIDSGITSADILKGVISLIFEKAVLEPTFCPMYALLCSDLNDKLPSFPSDEPGGKEITFKRVLLNNCQEAFEGADKLREEVGLMTAPEQEMERRDKERMVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGHDTKACPAEENVEAICQFFNTIGKQLDESPKSRRINDMYFSRLKELTTNPQLAPRLRFMVRDVLDLRASNWVPRREEVKAKTITEIHSEAEKNLGLRPGVTASIRNSRVVSGGPMSPGPGGFPITRPGTGGLMPGMPGTRRMPGMPGMDNDNWEVPRNRSMPRGDGSGVLPGGRVPSPLINKSTSMNPRLLPQGSGGLMSGRTSALLQGGSNPPARPSNSILGAEPVGQPSLSAKPVPVAVLSPVLEKPPAPAASINPDLQRKTQALLEEYFGVRLLDEALQCVEELKSPSYHPEVVKEAISIALEKSPSCVEPVSRLLEYLLIKKVLTPRDIGTGCLLYGALLDDIGIDTPKAPNNFGEIIGKLVMAGGLDFKVVKEILKKMEDDKYQKAVFDATMRIISSNPSGEALLDAQASEVEACQNLS >EOY33337 pep chromosome:Theobroma_cacao_20110822:9:36773986:36775893:-1 gene:TCM_041293 transcript:EOY33337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein C20orf108 MATITTLTVTSLSSSSFLNTKNCSKLSYFTSTPTSIKPKLKSFRIRAVKEKTEEIQTPSSSSSADEVTKTYGLEAGLWKIFSSKEEGEEKKSKGDQAKELLAKYGGAYLATSITLSLISFALCYALISAGIDVQALLLKVGISTDATGEKVGTFALAYAAHKAASPIRFPPTVALTPIVASWIGKKVEKDK >EOY31245 pep chromosome:Theobroma_cacao_20110822:9:7707182:7712193:1 gene:TCM_038204 transcript:EOY31245 gene_biotype:protein_coding transcript_biotype:protein_coding description:OS-9 MRLFISLVAVFCILWSNVLADQIFPSHVAGTFGRSSREPKYKIEFHTEDSPYHPDDDQESVVMPNKDGKNFLCFLPKVEKAKTGKPVTQQNTSSMIVESEKRVKLKTPDELLEVLKDRCFLRQEGWWSYEFCYQKQLRQLHLEEDKVVQEFVLGVYDEEATAAFNQNLSDISTLKDPRSKDASQRYHAHQYTNGTTCDLTNQPRETEVRFVCSEPRAMISSITELSTCKYALTIQCPMLCKHPLFQEERPVWHTINCNVLPKDYKDSKVEDAHITMIMDSEDQSSYDSRE >EOY32759 pep chromosome:Theobroma_cacao_20110822:9:33411633:33413909:1 gene:TCM_040790 transcript:EOY32759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H protein, putative MNRNFIWGHFGGNKKNHGVSWEKLCSPKEEGGLQLRNLRFLNLALLGKLGWRLLMDTNHLWVDMLQKKYLRDDNLMTVTAKSSDSHVWRSILKARYILEKGLGKVVTNGIATRFWVDSWLDCGPLIDYANRDISNIEANLPVVSFCDESGQWDLEYLNQVLPLHIVLRIVAVLIDPKSDKDDATVWIFTSNGEFTVNSAYKSQQVSTGANSSFWKTIWKLPCSRKVCLFIWRVLHNSLPTGNWLSRRNMSGLGLCPRYELHEETILHAMDLNQWVTSNILNTAEFEGIPWNIIFIYGIWLLWYWRNLQVFNASFVWLNNDWSCGRDNLYDLRTQSIF >EOY32796 pep chromosome:Theobroma_cacao_20110822:9:33591925:33596488:-1 gene:TCM_040813 transcript:EOY32796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFHELLFACYCVCFHLSSYFGSLDKIPNFLAAFVFAGSHAALPEEVHWQSVFPNTPMPKALKDLLPPAGNKNPSIDWNKPNFNTDRNGNKFRVSLMPVRDRSVGIVTSLSLSTTSIATIL >EOY30884 pep chromosome:Theobroma_cacao_20110822:9:6112381:6117208:1 gene:TCM_037935 transcript:EOY30884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 32 isoform 2 MPGKSGQSSYRDRTQEFLSVAETLKKSFPSSNNAALSNSSSSDGGKTEDRRSAVAVHSEFNKRASKIGFGIHQTSQKLANLAKLAKRTSVFDDPTAEIQELTSVIKQDITALNSAVVDLQLLCNSQNESGNISSDTTTHSTTVVDNLKNRLMSTTKEFKEVLTMRTENIKVHENRRQLFSSNASKDSTNPFIRQRPLATRSTSTSSSGPPPWANGPTSSSQLFPSKLADGDSQPLLQQQHQQQQHQQLVPLQDSYMQSRAEALHNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDESLANVEGAQSQLVRYLNSISSNRWLMIKIFFILIVFLMFFLFFVA >EOY30883 pep chromosome:Theobroma_cacao_20110822:9:6112392:6117565:1 gene:TCM_037935 transcript:EOY30883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 32 isoform 2 MPGKSGQSSYRDRTQEFLSVAETLKKSFPSSNNAALSNSSSSDGGKTEDRRSAVAVHSEFNKRASKIGFGIHQTSQKLANLAKLRAEELPLIEFVFYSSGWYLMHVIAAVACLGLQGGFSGCFWVCVYRCYEFGSFLDLRCTAGWSKFPEEMSSKPVAKRTSVFDDPTAEIQELTSVIKQDITALNSAVVDLQLLCNSQNESGNISSDTTTHSTTVVDNLKNRLMSTTKEFKEVLTMRTENIKVHENRRQLFSSNASKDSTNPFIRQRPLATRSTSTSSSGPPPWANGPTSSSQLFPSKLADGDSQPLLQQQHQQQQHQQLVPLQDSYMQSRAEALHNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDESLANVEGAQSQLVRYLNSISSNRLEVDSRLTPVKDVKFQLERWLVPNPEATDTGELPESVNYACSCSLPDCPALGYGSSCNH >EOY33899 pep chromosome:Theobroma_cacao_20110822:9:39290162:39291388:-1 gene:TCM_041737 transcript:EOY33899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase tau 7, putative MAEEVKLFGMWASPFSRRVELALKLKGIPYEYIEEDLSNKSPSLLKYNPIHKKVPLLVHKEKPLAESLVILEYLDETWKNNPILPQDPYERAMARFWAKFIDEKLLPTAAKVSHSTGKEQEQGVEEVHQQLKILENELKGKEFFAGQSIGYLDIVAHVLFWFYSAGEASGVNALTTEKFPIIYEWIEKLIKIDAVNECRIPKEKHQDFIRLRRESLKSASK >EOY33492 pep chromosome:Theobroma_cacao_20110822:9:37828239:37831157:-1 gene:TCM_041464 transcript:EOY33492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MWTLQSRTKMFYSNDVLISSYDFLEMMLLDGCFVVELLRHLGCNENVVDLDDSIFPRPWLIRVLIRDLLREDNQLPHFLLQSLFPWSQCDDGEGTYTSPILALKDFGLSFPTASETINKSRNFEGKHLLDLFRLSFLPFDHASLLERRGVAIQRGRRNLSNSIFRIPYKLVGVNDNAQQPELVPIGRYHRGNEHLQEFEEHKWSFLEKFLSRTRAFGMELRDYLESCHLGSAGDVFDDDDPIFTRAWLIPVLIRDLLKLENQLPLFVLDSLFTGSHGHEIGRIDSLPTMALKVFNLVFPNRWESINKGEDLNAKHFLVLLRLSILPPKPVASYPVQQEYRPSDQSIQCATQLRPSGIKFKPKNSYSFLEVDFRNRVIEIPSITINDFTSTVLINWVALEQCLENSSKYFTDYVSFVNCLINQPRDVAFLCSDGITTRFSQDNQYVANFLNHLGKNIDFNIRHCYLSKQIRDAEAYRSSNWATMMRTYFSSPWSFISLSSAIFLISLTTVQTIMSVLAYKS >EOY30634 pep chromosome:Theobroma_cacao_20110822:9:5211350:5214244:-1 gene:TCM_037770 transcript:EOY30634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iq-domain 31 MYIHKENQILVSALRNMGRATRWLKGLFGLKNSKDYSNSSDRRDQKKCSSGHSGRDASAALCHNPTTIPPNISPAEAAWLRSYYNETEKEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSHGRGTMFGGGRERWAAVKIQTVFRGYLARKALRALKGLVKIQALVRGYLVRKQATATLHSMQALIRAQATIRSQKARGLINHDANRFDIRARKSMERFDDTRSEHTVSFHSRRLSASLDTAINIDESPKIVEVDTGRPKSRSRRTNTSVSDFSDDPPYQTLSSPLPSRVPARLSLPDSRHCQETDWGLTGDECRFSTAQSTPRFINSCGSNAPVTPAKSVCAENLFRHYGNFPNYMASTQSFKAKLRSHSAPKQRPEPGPKKRLSLNEMMESRSSLSGVRMQRSCSQVQEAINFKNAVLGKLDRSSEFGRDPERNYLQRRW >EOY34177 pep chromosome:Theobroma_cacao_20110822:9:40180444:40182993:1 gene:TCM_041929 transcript:EOY34177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTVEETSMDANVGSVYYHPPIMQGGTERELKDIETGQQRKPVRQAILVGTNQETKSNRTECAICLEEFEEEDNWRVLFQCKHKFHKLCIHQWLVKHENCPLCRSCVQDLEPTTEIVGSS >EOY31848 pep chromosome:Theobroma_cacao_20110822:9:16441924:16460315:1 gene:TCM_039145 transcript:EOY31848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase, putative MTGGRGTPGYAAPELWMPYPITHKCDVYSFGMLLFEIIGRRRNLDIQLPENLEWFRRWVWKNIENGDLGELMKVCEIDDISRETAERMVKTALCCVQYRPEQRPLMSMVVKMLEGAVDIPALSNPFPHLLMETQIPRISALTTWTEASVDSESPSVVTESTLVRTTPTMKNYVIEVAGSSS >EOY33882 pep chromosome:Theobroma_cacao_20110822:9:39254923:39256239:1 gene:TCM_041724 transcript:EOY33882 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein, putative MSFRHNIEESCRISLSLLLFHSPHTETSAFFDTRIDWKETPEAHVFKADLPGLKTEEVKVEIEGDRVLQISGERNVEKEDKNDTWHRIERSSRKFMRRFRLPEKGKMDQVKASMENGVLTVTVPKEEVKKPDVKAIEISS >EOY31189 pep chromosome:Theobroma_cacao_20110822:9:7439473:7443163:-1 gene:TCM_038164 transcript:EOY31189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MATFSCSPTLLLFPAFIPLILLCLSPMYQKNLFIFFPSFSITFTYQNSNHSTKQLLAELSFNISPSPSPSTPSYNAVSCIRKKGRSERVEADLASARAAIREAIRTRNYTSYKEEKFIPRGCMYRNEYAFHQSHIEMVERFKIWTYKEGERPLVHTGPMKHIYAIEGQFIEEIEGGKSPFKAQHPDEAHVFFLPVSVAYIVNYIYLPITTYSRDRLVRIFTDYIKVVAKKYPYWSRTKGADHFMVSCHDWAPEVAGQDPELYKNLIRVLCNANSSEGFHPKRDVALPELNLPPRGFSPRRFAQPPDKRTILAFFAGGAHGNIRKILLHHWKDKDNEVQVHEYLSKGQDYSKLMGRSKFCLCPSGFEVASPRVVESFYAGCVPVIISDNYVLPFSDVLDWSKFSVQIPVEKIPQIKTILQSIPGNKYLEMQRRVLKLRRHFELNRPAKPFDIIHMVLHSIWLRRLNLRLQD >EOY31659 pep chromosome:Theobroma_cacao_20110822:9:10918766:10922071:1 gene:TCM_038637 transcript:EOY31659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLICHRTTSRLNTLAQDIMSVVKRETVHTRASKHYEFKDILQALDNRKSHRETVINHKVWSNLKPIEDNVEQPGSNIDELHKGWYNMVDSLICHDVGLDELMAYKY >EOY30027 pep chromosome:Theobroma_cacao_20110822:9:3098019:3099677:-1 gene:TCM_037376 transcript:EOY30027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein MGRSPCCSKEGLNRGAWTALEDKILTAYIKAHGEGKWRNLPKRAGLKRCGKSCRLRWLNYLRPDIKRGNISHDEEELIIRLHNLLGNRWSLIAGRLPGRTDNEIKNYWNTTLGKRAKAKASSPTSTETPHSKSRHKKLTTQPHVTDPSNSPQATETKIQVIRTKATRCSSKVLVPLQPPPIQDIDPSEHQDFQPYLTNHEEMNNNASVQSHHGTEVLDALYSHGQDFLNFEINDQLRASNEDSEESNDINKNPSLDPVQPLSIDEAMFKDWTTNPCLDDNAAMDLDSLAFLLEPDEWP >EOY31591 pep chromosome:Theobroma_cacao_20110822:9:10085134:10092285:1 gene:TCM_038544 transcript:EOY31591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGELKRGIMEMLEDFHKSATFDHKINTSFITLVPKNNNLIAINEYWLIILAASGLKVNFHKSCLFGIVIHQDIVEEWAGRIARKVGKLPITYLGLLLGVVMNSIRLWKLVVDKFETRLSTWKAQSLSLGGIKEMLDKLQR >EOY31205 pep chromosome:Theobroma_cacao_20110822:9:7533996:7538470:-1 gene:TCM_038177 transcript:EOY31205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Avirulence induced gene family protein MKGIRDWVFTQILSKSLDSSRPLSGSGGFFPEAPSSREEQYDDQGSSHTTSSVALSVRPDTSCSSGCIHDNDPYTSQQQILVEDSNLSDDSPYRKKMDPLAKVEDLQIKFLRLLQRLGQFHDNLLVAKVLYRMHLATLIRAGESDLKRVNLRNERAKGIAREQEASGLPELDFSIKILVLGKTGVGKSATINSIFDQPKTETNAFHPATDCIREVVGTVNGIKITFIDTPGFLPSSTSNVRRNRKIMLSVKRYIRRSPPDVVLYFERLDLINMGYSDFPLLKLMTKVFGSAIWFNTILVMTHSSPTLPEDPNGYPVSYESYVNHCTDLVQQYIHQAVSDSRLENPVLLVENDPQCKRNIMGQNILPNGQVWKSQFLLLCICTKVLGDANTLLEFQDSIELGPLSNSRLPSLPHLLSSFLRHRSVSHPAEPENKVDEILLSDVEEEEEYDKLPSIRILTKSQFKKLTKSQKRAYLDELDYRETLYLKKQLKEENLRQKESKLSKEKSFAGDDDANDKVSPEAIPLPDMAVPPSFDSDCPVHRYRCLVTNDQWLARPVLDPHGWDHDVGFDGINLETALEVKKNVFASITGQMSKDKHDFSIQSECAAAYVDPVGPTYSVGLDLQSTGKDLMYTVQSNAKLRSLKHNVTDCGVSFTSFGNKYYVGAKLEDAISVGKRMKFVLNAGRMEGSGQVAYGGSFEATFRGRDYPVRNDSVSLTMTALSFNKETVLGGGFQSEFRPMRGMRLSVSGNINSQKMGQVCVKMASSEHVEIALVAVFSIFRALWRRKENRDIEALEGG >EOY31362 pep chromosome:Theobroma_cacao_20110822:9:8359876:8363580:1 gene:TCM_038304 transcript:EOY31362 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein MGVDYYNILKVNKNATDDDLKKSYRRLAMKWHPDKNPNNKKEAEAKFKQISEAYEVLSDPQKRAVYDQYGEEGLKDMPPPGSSGPSFGTGGPNGFNPRNAEDIFAEFFGSSPFGFGSSGPGRSSRFHSDGGMYGGFGSTDNIFRTYSEGTVPRKPPPVESKLPCSLEELYTGSTRKMKISRTVVNSAGRQVQETEILTIDVKPGWKKGTKITFPDKGNEQPNQLPADLVFVIDEKPHDFYKRDGNDLVVNQRVSLAEALGGTTVNLVTLDGRSLSLPVTDIISPGYELVVAREGMPIAKEPGNRGDLRIKFEVKFPTRLTPEQRAGLKRALGG >EOY33599 pep chromosome:Theobroma_cacao_20110822:9:38217122:38221683:-1 gene:TCM_041530 transcript:EOY33599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulata-related 1 MSISAPLRFKLSTFSGGNDSTTSKVIGIRNFACFKEIISIESRLKLASSASVSSHHIMFTNRRFTLHCVRPDSTGNTVSRSLEGENGDFDGESRVLEVGIDDQLNIDGGGAGGDSGDGDSFGGGGGGGEGDDEEEREFGPILKFEEVMKEAEARGVNLPSDMMEAAKSNGIRKLFLLRYLDLQGSVWPLGFLMKCCSMLRNRMLADPSFLFKVGTEIVIDSCCATFAEMNKRGKDFWSEFELYLADVLVGVVVDIALVGMLAPYARFGQPSASRGVFGRLQHSCAALPSSVFEAERPGCKFSVKQRIATYFYKGVLYGSVGFGCGLIGQGIANLIMTAKRSIRKSDEDIPVPPLVQSAVLWGVFLAVSSNTRYQIINGLERLVEASPVAKRVPPVAMAFTVGVRFANNIYGGMQFVDWAKWSGVQ >EOY29570 pep chromosome:Theobroma_cacao_20110822:9:1596001:1600841:1 gene:TCM_037069 transcript:EOY29570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein, putative isoform 1 MDLGSRRREGAKSSVVAGSVWETRMKSDEVKGGIKVFNGEENSNVEENGDGGNKRLSLKKGQTIGGVAVSGKRKTWKSESFEGFEKNPIQIAKGKTGEQCKELSVSANGIKKSPIRVGKGRSSDEHCKDLSLSVDGIKKTPVQVKKGRSEGIRELSKSVDGFPRSSIPMKKPRSEVAKRSDELSKEVVESSERIEANSVQLRKAKSDSVKASDQSGNGNGNEGDSLQLRRSKSEENKVLVLDDEKQGNNVSTEENEKNPVDTEKNGSEENCKEFGVCQEKVISSSTSNGNTVKSSAEVLVDDDDDGGEDDEEFYEEEEEEEAEEEIEVGNETKSFDIKEMNVPEEKPNKVVDEEKKIPEEKPDKVVNEEKKIHEEKPKKAVNEVKKLQEDKPSKVVNEVKKLSQFHNRTAPLSSTLNKLPPPVVKRSTSVYTTPTKTTKSTPFSASDDYHCQSFPHTQNKLQNLVDLVMWRDISKSALVFGMGTFIIISSSYTQDLNISFISVISYLGLSYLAAIFLYRSIICRGVVDIDEASYVLGEEEAVWLLKLVLPYLNEFLLKLRALFSGDPATTMKLAVLLFVLARCGSSITIWKMAKLGFFGVFTVPKVCSSYSHQLTAYGKFWIRRFRDAWDSCTHKKAVAVAIFTLVWNLSSIVARIWAAFMLFVALRYYQQKMVIDDWVEDEAGPGSKETFQGPTGTQRHGPGPSRVETNKVKKGS >EOY29571 pep chromosome:Theobroma_cacao_20110822:9:1596523:1600201:1 gene:TCM_037069 transcript:EOY29571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein, putative isoform 1 MDLGSRRREGAKSSVVAGSVWETRMKSDEVKGGIKVFNGEENSNVEENGDGGNKRLSLKKGQTIGGVAVSGKRKTWKSESFEGFEKNPIQIAKGKTGEQCKELSVSANGIKKSPIRVGKGRSSDEHCKDLSLSVDGIKKTPVQVKKGRSEGIRELSKSVDGFPRSSIPMKKPRSEVAKRSDELSKEVVESSERIEANSVQLRKAKSDSVKASDQSGNGNGNEGDSLQLRRSKSEENKVLVLDDEKQGNNVSTEENEKNPVDTEKNGSEENCKEFGVCQEKVISSSTSNGNTVKSSAEVLVDDDDDGGEDDEEFYEEEEEEEAEEEIEVGNETKSFDIKEMNVPEEKPNKVVDEEKKIPEEKPDKVVNEEKKIHEEKPKKAVNEVKKLQEDKPSKVVNEVKKLSQFHNRTAPLSSTLNKLPPPVVKRSTSVYTTPTKTTKSTPFSASDDYHCQSFPHTQNKLQNLVDLVMWRDISKSALVFGMGTFIIISSSYTQDLNISFISVISYLGLSYLAAIFLYRSIICRGVVDIDEASYVLGEEEAVWLLKLVLPYLNEFLLKLRALFSGDPATTMKLAVLLFVLARCGSSITIWKMAKLGFFGVFTVPKVCSSYSHQLTAYGKILDTTLS >EOY32901 pep chromosome:Theobroma_cacao_20110822:9:34316199:34318409:-1 gene:TCM_040918 transcript:EOY32901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENFMKSKGKENEKNEGVESFINVTFGSDEEEVEVLMVQEEKKKIQEIYELLILHVPNIFAQGKNGFQSLRSGDLKIIDSLMIVIKEMKNSKNLHELIGSTIKGDGLIFSYQVEKVNVNFINNKASHLCELGEKVELLAQVEYI >EOY29768 pep chromosome:Theobroma_cacao_20110822:9:2311491:2313575:-1 gene:TCM_037205 transcript:EOY29768 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 9, putative MEAPEFYQGSSYCSQFAPEKPAAGDHFIVEDLLDFSNEDAVITDGTFDSSVAGGHSTDSSTVTAVDSCNSSSLSGCEPNFEGDMGCRGFTDGQFAGDLCVPYDDLAELEWLSNFVEESFSSEDLQKLQLISGMKTRPDESSQSGGFQPVITNQMHHVIENGDTEHGNNNNNPSFHPDMSVPAKARSKRSRAAPLNWASRLLVLSPTTSSSEPDIVVPVQPPPPNHPGKKPVKTKKKDGGEGGGLANSDGRKCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTKHSNSHRKVLELRRQKEMLRAQHQHQQQFMQHHHHQNMVFDVPNGDDYLIHQHVGPDFRQLI >EOY31104 pep chromosome:Theobroma_cacao_20110822:9:7063382:7068716:1 gene:TCM_038109 transcript:EOY31104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein MKSFGFHLFFLVFLAPIASVTVKAFTGTYGINYGRIANNIPSPDEVATLLRAAKIKNVRIYDADHSVLKAFSGTGLEIVVGLPNENLRDISANADHAMNWVKDNVLAYLPDTHIRGIAIGNEVLGGSDEFSGFLLGAVKNVYNAVNKLKLSDVVQITTAHSQAVFADSFPPSSCVFRDNVVQYMKPLLEFFSQIGSPFCLNAYPFLAYMYNPEHIDINYALFQPTQGADDPKTKLHYDNLLDAQIDAAYAALENAGFKKMEVIITETGWASHGDENESAATASNARTYNYNLRKRLAKKKGTPLRPKNVVKAYVFAIFNENLKPGPTSERNFGLFKPDGSISYDIGFHGLKSSSADSSLSSLKDIRAGSWYGFYPIILTISTAILLVFSR >EOY33690 pep chromosome:Theobroma_cacao_20110822:9:38628888:38633335:-1 gene:TCM_041593 transcript:EOY33690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPLFPQSPSFHLFKSCLTILFLALFQSDTASSALIMSLRNHHSHPQHRRPMFQTNQSTCALFAGTWVRDDTYPLYQYSSCPIIDAGFNCQMNGRPDSDYLKYRWQPLNCQLPRFDGLVFLSKMRERNVMFVGDSLGRNQWESLICMISAADPQTQTQMIRGDPYSTFKFLEYGLSISFYRAPYLVDIDVVQGRRILKLEDIAGNGNAWRMADVLLFNTGHWWTHKGSLQGWDLIESGGKYYKDMDRLVALEKGLRTWANWVDTNVDITRTRVFFQSISPTHYDPSEWSAGATVAATKNCYGETTPMTEATYPGTYPDQMRVVDEVIREMHVPAYLLDITMLSELRKDGHPSIYSGDLSPVQKANPDRSADCSHWCLPGLPDTWNELFYTVLFY >EOY32000 pep chromosome:Theobroma_cacao_20110822:9:18960092:18965836:1 gene:TCM_039403 transcript:EOY32000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMFHSKESLESSESLESEDIFDIQEVIRNFLWQRSEERFKEKVKEAIAKGDIHPRKISAIRHFPSSCGIGVVPLSKEEYLRIQQAWIKGKMKKSQEVEEDSEEDSSMCSDQGNDDPKDT >EOY29842 pep chromosome:Theobroma_cacao_20110822:9:2531538:2537420:1 gene:TCM_037247 transcript:EOY29842 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein MDFMKALDQTVREIKREVNLKVLKVPEIEQKVLDATDNEPWGPHGTALAELAQATKKFTECQMVMNVLWTRLAETGKDWRYVYKALAVIEYLISHGSERAVDDIIEHTFQISSLTSFEYVEPSGKDVGLNVRKKAETIVGLLNNKEKIQEARNKAAANRDKYVGLSSTGITYKSGAASYTSGGYHGGGDRYGGLSTTRESDSYRDSYKEKDRYGEEKYDTDTYVKSRRGTASGSQGNSSKESTRPGSKDPKNKFSSKLSDSNKYSQSASTPSNNYDADDFDDFDPRGTSSSKPAAGSSNQVDLFGQSLIDDLFDAPASVPTEKSAVNTDSTEVDLFADATFVSAPTKVATEASPKAQEQVDLFASQPAITPAASPTVDLFATTDPVVQPDTIAPKSEPEHANIVDPFAAVPLNNFDGSDIFGSFTSHSDSASKEPTQNPIIDGNLNNLSTKSSQDSKSPQKKDTFQVKSGIWADSLSRGIIDLNISAPKKVSLADVGIVGGLTDVDEREKGPPTTSFYMGRAMGTGSGLGKTGFASTQAAAADDFFSSLGSQQYQFGSFKK >EOY30887 pep chromosome:Theobroma_cacao_20110822:9:6123271:6128225:1 gene:TCM_037937 transcript:EOY30887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine repetitive matrix protein 1 MASLTPGILLKLLQSMNSPTRVTGDHRSALLQVIGIVPALAGSDLWPNHGFYVQLSDSLNSTYVSLSERDTELILSNRLQLGQFVYVDRFHFDSPVPRVSGIRPIAGRHPFVGSPDPLIARISSSKRDFVIQPVSESEYSVDPIAVYLSNKKLEQQQTPTENKDSKIEKPKTRQPLAPRDNVRVNENLESESKVTEKPPQRFSSPATAKRSVSAVKKTNAAVVERDPSPAGKGKRSASPVPSKCVVPSLMAAKEENRKVAREPAIVVPSRYRQPSPNGRKQASPSARRGSLSPGRRLSGVLKVSPAVGDSKKKMATIVAGISKVSEALVGSAKSSRKSWDEQPEKGSGEQKEKGSSKSKPDLQAILRTQAAISRRLSDVHGQKSNDENSSSNEKTTDSPSEDSLATAKLTCAGGALGITIHEKKWTDGSVSWDTLSADLATLGKEAMQRRVLASKAAAEALEEAIATETVIRNLSMFSELSSKSKAGNPIPTIDQFLSIYDDVVKHTGIAESIAASHNSDTENASSKHSKSSSLWVEAAMATDLEIVSLLTPQNNESPSALQRSLSKGRQSHHASAKNQLKATSLPHSEPNAAMWTRGQGMKETVHFAMELKSEMQMWFLRFIEESLDAGFRVLGECGSGSKTLPLDCGSIAAVLSQLKRVNDWLDRAVSNGDEPLVDKVEKLKRKIYGFVIQHVGTTFDNSMHLSSS >EOY34479 pep chromosome:Theobroma_cacao_20110822:9:41262138:41267042:-1 gene:TCM_042149 transcript:EOY34479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 48 MASNFYQNILLLSLFLLFASSFPAKADSLRKHQVHLSPTSSLAKQQAEKLIRGLNLSPQGGVNAGFDDLAVETSKIVEKQFSFPVLGAPGPSIQEFGHHAGYYKLAHAKAARMFYFFFESRNSKNDPVVIWLTGGPGCSSELALFYENGPFHIAKNLSLLWNDYGWDKTSNILYVDQPTGTGFSYTSDDDDIRHDENGVSNDLYDFLQAFFKEHPQYVKNDFYITGESYAGHYIPAFAARVHQGNKAKEGIHVNLKGFAIGNGLTNPEIQYQAYPDYALNTSLITQSEYVRIKKLVPTCVQAIKRCGSSGGNACVMSFSICNNLFNQILSIAGNVNYYDIRKNCEGDLCYDFSDMETFLNLTSVRDALGVGEMDFVSCSSVVYDAMIMDWMKNLEVGIPALLEDGIKVLIYAGEYDLICNWLGNSNWVHAMTWSGQKEFGAAPTVPFVVDGAEAGQLKSHGPLTFLKVHDAGHMVPMDQPKASLQILQNWMQGKLASYETADS >EOY33266 pep chromosome:Theobroma_cacao_20110822:9:36174056:36179347:1 gene:TCM_041213 transcript:EOY33266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein, putative MIIPRARNSSSSRLQRFNISSSSSSSSSSSSPIPCPRLFTIPLSLQGCIRHPPFPPNSSRLLPSFFTAPSSMFSTPFILSFSLLLSLPILFFLVAPSLHPHPLPPISLPDERDDLRLFHRATSPSFASSHLSSSSSPKIAFLFLTNTDLHFAPLWNRFFRAAKTSHYNIYVHADPTVNITRPNDTVFFDRFIPNAKRTFRASATLISATRRLLASAILDDPANAYFAVLSQYCIPLHSFNYIYRSLFTSKKFDLSPNSDHDSSDLTQYGVRVKYKSFIEIISKEPRLWKRYVARGRFVMMPEVPFEEFRAGSQFFVLTRKHALLVVKDRTLWRKFKLPCYRADECYPEEHYFPTLLSMQDPNGVTQYTLTRVNWTGTVAGHPYMYKPKEVSAELIYELRKSNYSSSYLFARKFSPDCLRPLLGIADSVIFRD >EOY31426 pep chromosome:Theobroma_cacao_20110822:9:8764641:8770369:-1 gene:TCM_038365 transcript:EOY31426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHKQNQYSTQQSLHVCPIVMLLRMPPKKTLWPRIIADHYLTIRRWTLGFRSEDASIDSVAAWIPLPGMPLEYYDYDVIARIGNELGRTLKIDQTTYQATRGKFARMCVEIDLKNPFVPKIFIGGRWQKVEYEGLRILCFHCGKFGHNIDCCEQRFKNYRRGPSTKVKVRKQEDTTMARMQSGSRFVVLEHDTDIQDDGEIVPKTLEQPVSDPKAHGFAGRIWIVWNSLDFEIEVMAYSAQLIHLLVNSSNSHKLLSAVLRVRSILGAINEMELEVLTTQFYLKLYTDDGRRNPLPAKLDWSLNDEQRWLPCWPLIDYATEELTEVEAELPIASFCDEYGNWDFGLISQSLPTNIILMIAAAIVDPSNEEKDTCNWTLTSNDDFSIKLAYESQTTYSLQKNNHWEKVWKLSQNAKQQIWSKAKEAWDILGRKVHFMGMEAMITWEKPKENFVKLNIDGSASGRPGLAAAGGLVRDVNGEWLVGFTFNIGISYALSAEPWLSFKDSHFVVTEDLDIFKLKLIPYLPYKVYQNHALP >EOY32502 pep chromosome:Theobroma_cacao_20110822:9:30471657:30476559:1 gene:TCM_040459 transcript:EOY32502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTSSGVPIVRLVIRHDGQWVDGIYKGGESRMRKVKSDLSYEGLMKLVEDVVGVNSEIDEIELHALISTPGELSRPIIKDDEDAALILLEQRNVPVVYVNIKGCQTNVMSHEEVGQHECVMPLSNENTTLEDNNVRLEGDTATLEDKTAFDEGNEDLFVAGEDRFDDTSDDGLEQWQDDSSDDDCLYDSDIPIYNNVEGETESVRGVDIRDVQCDDSDQEKGNAGISRTWVIAGVERFSFQTITIEESTCAEDRLYKGRMFSSKAELKQALNMLVIIEKFAIRVKRSCKARYEVGCKDKACKFSVRAMKLPDRGEYWQVRTFHKVHTCTVDGLQRWFPTTSAKMIGELMSHKLRANGVALRPKDIICEMRVQWGLECLYGKVWQAKEYAERLVFSPLEESFQLLPSYFYMLEQEIPGTVTVMATDEEERFKYCFWSYGACIRGFSDVMHPTVAIDATHLKGRFNGVLFVTVCKDANECVYPVGFGIDHVEDEDSWTWFLSKLRDVVGCHENTMFISNQHLIIKKVIQNAYPEIHLGAHTDLMRIGPEKWARACSPARRYQMMTSNIAECVNLCLKHARQMSITVLIEFIKDMFQRWFHDRYEEAFKVTKPLSPWVARQLSKRFNDAHRFVIEFIVFFSKCNREAIEFCADYYKTIILVERYSRSIRPAKWEDLGEEGFHQLVKAVEHRDVHNARVLAGDTARANCGDTLSASRLIV >EOY32407 pep chromosome:Theobroma_cacao_20110822:9:28857472:28858055:1 gene:TCM_040311 transcript:EOY32407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELQMSLGDSLLMKVGMFVVVQALVYLILSKSSDIFAKNKVRSLSFKPARSVSIRRMLAAISDLPQGVEASPSSKGLRSPTQEYPKIEEHQSY >EOY29781 pep chromosome:Theobroma_cacao_20110822:9:2367824:2368775:1 gene:TCM_037215 transcript:EOY29781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEPSPPFIAKKLCNLARLSFFMIRKGFSKSKLVLDDLHFLMKRGKIMGKALNEVVVANHASLSCRSRDVHLSFVSPMEYEFSCSTSPSYQPYVPFHARKRKSRYPYTRSRTRDGTVFGAMGCRDAGDYESPLVVPKGRRVEIRETPFVLKDDDEDHRVDEAAEEFIQSFYKQLRLQKWLAVLEAADQYG >EOY30577 pep chromosome:Theobroma_cacao_20110822:9:5035016:5036491:1 gene:TCM_037737 transcript:EOY30577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPAKPLKTTPFRPVFPASVCLSETTPFCPPKPRLDLSHSRFDLNKIEIPRLNLGSTYLTTGSI >EOY30921 pep chromosome:Theobroma_cacao_20110822:9:6266008:6271866:1 gene:TCM_037961 transcript:EOY30921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MVVKFGCVFIVSVLILSLGVNSEPVQDKQALLAFLSETKHANRIQWNSSTSACDWFGVKCDANRSFVYTLRLPGVGLVGSIPPNTIGRLNQLRVLSLRANRLSGEIPADFSNLTLLRGLYLQGNEFSGRFPPSVTRLTRLARVDLSSNNFTGPIPFAVNNLNLLTRLFLQNNKFSGSLPSINSDGLFDFNVSNNNLNGSIPDTLSKFPESSFAGNLGLCGGPLRPCNPFFPSPAPSPSEPIPPTTSRKRSKKLSTGAIIAIAVGSAVIALLLLLFLILCLRKRQRRPPKQQKPVTAPTRAVPQAEAGTSSSKDDITGGSTEGERNKLVFFEGGVYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVSKREFETQMEMLGKIKHENVVPLRAFYYSKDEKLLVYDFMRDGSLSALLHGSRGSGRTPLDWDSRMRIALSAARGLTHLHVSGKVVHGNIKSSNILLRPDHEACISDFGLNPLFGNTTPPSRVAGYRAPEVVETRKVTFKSDVYSFGVLLLELLTGKAPNQASLGEEGIDLPRWVQSVVREEWTAEVFDVELMRYHSIEEEMVQLLQIAMTCVSTVPDQRPAMEDVVRMIEDMNRGETDDGLRQSSDDPSKGSDGQTPPTESRTPPRSATP >EOY32009 pep chromosome:Theobroma_cacao_20110822:9:19113011:19113848:1 gene:TCM_039418 transcript:EOY32009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGCCFSSRCRSLSTFNTIRLVHLDGYVKDFDHPISVSEVIGKPPKQFLCTAAQLVSTGFKPLNLDTQLQPGHIYFVLPLSTLQDDISPLDMAPLVRRLTARAKSIRSDAVSPKTSLLWSQNGLGSEGNSSVRSPRRLERRETTHDLQNSCRVRARSWKPILDTIEEISFTRRSESDLREMQFITKKKLPFER >EOY34701 pep chromosome:Theobroma_cacao_20110822:9:41830293:41833728:-1 gene:TCM_042286 transcript:EOY34701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein MEIQDPQAKPGPNFNSSSITRMSGPQPNATAMFGSSSSSNHNNKSSNNNNPSNSSSSSAFMRGGGGHHRRAHSEMSFRLPDDMSIMMMDLSPPSDPINAGGGSSTASFEEMGSEDDLFSTYIDVDKLNSSEATNGRTNCTDHSEGEKLTSSSTTATSSRPRHRHSNSVDGSAYGEVMEAKKAMPPDKLAELWNLDPKRAKRILANRQSAARSKERKARYILELERKVQTLQTEATTLSAQLTLFQRDTTGLSTENTELKLRLQAMEQQAQLRDALNEALKKEVERLKIATGEIMSPSESFSLGMHQMPYTASTFLSLPPQQGAAGPQNMQLPSFTGSQSTMSTYHPPQMNQHHLSDIMQNDPLGRLQGLDISSKGSNLVKTEGPSISASESSFTF >EOY34345 pep chromosome:Theobroma_cacao_20110822:9:40744367:40745508:-1 gene:TCM_042046 transcript:EOY34345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKLGFLTILLLLVLQLAFAADSPTPAPAPSLGADASPELAPTPETGSPDSAPALSPVTAASPPAPMALAPSDLAQGESPASSPAPSPDEASDINHSNVNAQGSEEESGGDGGMSGGKKAGIVVAVVVALCLVIIGGLVYKKRQDNIRRSQYGYAARREIL >EOY29612 pep chromosome:Theobroma_cacao_20110822:9:1753842:1754616:-1 gene:TCM_037106 transcript:EOY29612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGLLFGNRWEPRVRPTYEALNPPRKTKPAPPSQQLHVIKSDDLISGRGMLMLAVVELIVFFWPSIFSNSLD >EOY31059 pep chromosome:Theobroma_cacao_20110822:9:6827623:6830233:1 gene:TCM_038074 transcript:EOY31059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulation protein noeI MANAWKRDNSKTQKLLSPKSLLYLLLLSLLLYLSVSFLSPKNPTFIPTTPSYKTQRTVQNFPLLPYNCHNSPQSHPIVANKVEHLKYPFIYSLADLGSLPDKPHKNIVRLLKGKPFRRPDISATIQDVLEGKNRDGFVVDVGANVGMASFAAAVMGFKVLAFEPVLENLQRICDGVWFNRIEELITVFEAAASDRNGNITFHKLVGRLDNSAVSAIGAKLAFKSNEEIAVQVRSIPLDEVIPELEPVLLLKIDVQGWEYHVLKGAKKLLSRKKGEAPYLIYEEDERLLQASNSTAKEIRDFLSSLGYTHCTQHGTDAHCTKN >EOY29917 pep chromosome:Theobroma_cacao_20110822:9:2803481:2806745:-1 gene:TCM_037300 transcript:EOY29917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indeterminate(ID)-domain 7, putative MMKSKVVEENMSNLTSTSGEVSASSGTRIETGTLYPQQSFASTNQAPPSKKKRSLPGNPDPDAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHKRGHNLPWKLKQRTNKELIRKKVYVCPEPTCVHHDPARALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAITAANPLLPSNQPGSSVSHMTNLQPQLHSDALHALSVKREQDHLFIAGVPADSIPPWLTCPSGVGAGPGPPSTNLSSSSSPLFLDHPLAQNENPSQNPSSTLPPFQAPTASLHMSATALLQKAAQMGVTMSKPLQSAAAMQRPHQQTHMSGTAGFTGSTSASLATSTAGSGLGLSAREELGSGFPHELAFFGNKAAATSGFMEQASATNSTAGAGAGAAAPSLLHDMMSSLSSTSGFDGSSSFEQSLNGILDTKLGNSTFQQATLSKRTEYQLSRSDHEGRGSSASSNIGGNDGLTRDFLGLKAFPYRDFPNLAGLNRINSSSAYGQQNQHSQTPWQG >EOY31222 pep chromosome:Theobroma_cacao_20110822:9:7611285:7616429:1 gene:TCM_038186 transcript:EOY31222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydrogenase MAMPSLSFLHQSPPKPSHVYFCNPSPPPLFLQSPSFVYMTTPLSLHSSKALQIRSSFSSTSTSEPTQDPVRLAFSVNSTLKIAIIGFGNYGQHLAKTLVAQGHSLLAYSRSDHSHIAQELGVSFFLNPSDLCEQHPDVILLCTSIISTEQVLKSLPLKRLKRSTLFVDVLSVKEFAKNLLLDVLPPDFDIICSHPMFGPQSAKQSWENLFFMYEKVRIGNESSRVQRCNDFLAIFEREGCKMVEMSCQEHDKLAAGSQFMTHTVGRVLDMLGLESTPINTKGYETLLELVENTRGDSFDLYYGLFLYNKSALEMLEKLDLGFDELRNELSGRLHDVVKKQLFENAARGKSLQDKSYQNSAGMASILNSLRSQDAIQPYEYKEKISESIDDSSKLKIAIVGFGNFGQFLAKAFVRQGHSVLAYSRTDYCAVAQKLGVSFFSDADDLCEEHPDVILLCTSILSTEKVLNSLPLQRLKRSTLFVDVLSVKEFPRNLFLQNLPSDFDILCTHPMFGPESGKNGWKDLAFVFDKVRVGSDEKRVARCNRVLDVFAREGCRMVEMSCAEHDWHAAGSQFITHTMGRVLEKLKLESTPINTKGYETLLNLVENTAGDSFDLYYGLFMYNVNALEQLEKLDLAFESLKKQLFGRLHGLFQRQLVGNADEKLQVLQNGKGKVFPEKSVVPYGAAMPASPKEKFHVLWENSVLQASPENRLEVVEEKSVRRNGAALATSPESVS >EOY32644 pep chromosome:Theobroma_cacao_20110822:9:32377349:32380279:1 gene:TCM_040662 transcript:EOY32644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MSCPSTRACFISFRSLSSSNLLPASNEPNNLLNKVRLLASRGQLQEALSLFYNTPPELHSRQTYASLFHECARHGYLQQGLHLHHFMLAHFPNNTSDLFVANHLINMYSKCGYLSYAQQLFDAMRERNVVSWTALVSGYAQRGRGLECFRLFLGMLVECRPNEFAVTSVLSSCDCFRGKQVHALESKMGLDASVYVANALITMYSKSYKIEEAWTLFKSMHYWSLVSWNSMIAGFQLAKLGMQGIGVFAKMHDVGIGFDRATLLSVFSSLCGSSGIDVDLGLKFCFQLFCLSVKTGFISEVEVATAFMKAYSDLGGDVSEFYQLFLETTCGQDIVFWTSMITTFAEHDPVEAFFLYRRLLREDLTPDWYTFSIVLKASAGFVTEHQASAIHSQVIKAGFEDETVLKNALIHAYARCGSVALSKQVFEEMGCRDLVSWNSMLKAYGLHGKAKEALQLFPQMDVKPDTATFVALLSACSHSGLVEEGIRIFDSMFKNHGIIPQLDHYACMVDILGRAGRIIEAEELISRMPMEPDSVVWSALLGSCRKHGETRLAKIAAAKLKKMEPKNSLGYVQMSNIYSSGGSFNEAGTIRKEMNGSGVKKEPGLSWIEVGNQVHEFASGGRHHPQREAICTRLEGLIGRLKEIGYVPEISLALQDIEEEHKQEQLFHHSEKMALVFAIMNEGNLHCRGSVIRIMKNIRICVDCHNFMKLASDLLQKEIIVRDSNRFHHFKNKVCSCNDYW >EOY32375 pep chromosome:Theobroma_cacao_20110822:9:28323085:28333269:-1 gene:TCM_040251 transcript:EOY32375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPSLLKYVRLCALSYHNLPLTCLCLEQPRFVHFLLEHISLEYDVSLGICCELIHHCLSFLVLQELDWSQRFYADILGFLALVYGYLVTNDLGLSQTIRHSIVFGCISLMQHDVT >EOY33607 pep chromosome:Theobroma_cacao_20110822:9:38251380:38252531:-1 gene:TCM_041537 transcript:EOY33607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDFLENLKAFLVSITAFLRIRPNLDLPRSQSPPLPPTSVVDLELHQPPAPISPPPSANDMTETLQPESIPTINLEPSQLPTQPSPPPSANDPETLQPESLPPPPTSSTFNNYLLQPPSATNQPESSQQRSHPPPPTPTTPSDLKQLESILAAQQHLKWINSVLSFCFSYALAISLQYAQTDHQSNQLGSSFVLLSFLVLVTFFLILVALFISPSCTKTSQLLEKVAFLVAAAAFCYTTAIPYPFELKCAVLAVFLLSLLLITISEYLNRNTA >EOY31523 pep chromosome:Theobroma_cacao_20110822:9:9298691:9315752:1 gene:TCM_038443 transcript:EOY31523 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein MPDLGNLSHSSSLSLSRCPHLKLLSIDSADSANAFDPGAIKTLALNCGWAQGLVRKGADCNGNGIRGLKRVKKGSNGKVLGDYLRDWVQSKMESGIPESGCVLPFLVGAKKSVECLHCYKLIYPGEEVLCSVRGCQGVYHEICAKESFRMSNPKKFQCPQHVCFVCRQRTQWRCVCCSMASHNKCAPWPDAVTHLEDKPGRAICWRHPTNWRLDKKHAVPATEIEEIFCQLPLPYKDEEFKLDLTWRDLMENKLEPPPYVHIKRNVYLVKKKRDDADDDIGCTSCSSDCSEDCVCRVQCISCSKACRCPETCTNRPFRKEKKIRIVKTELCGWGVEAAEPINKGDFVIEYIGEVIDDAQCEKRLWDMKYKGVKNFYMCEIRKDFTIDATFKGNTSRFLNHSCDPNCVLEKWQVEGETRVGVFAARSIEVGEPLTYDYRFVQFGPEVKCYCGSSNCQGYLGTKRKIVKLDLSWGSKRRRSSAACLAIITV >EOY31756 pep chromosome:Theobroma_cacao_20110822:9:13639036:13639762:-1 gene:TCM_038873 transcript:EOY31756 gene_biotype:protein_coding transcript_biotype:protein_coding description:STS14 protein, putative MENSQTRACYGAIIVFLLLISTTQANYLSLVNQFLAPQNAARAAIRVRPLVWDARLARYAQWYANQRRKDCALRHSNGPYGENIFWGSGNGWTPAQAAVAWVSERKWYNYWSNSCAGGEECGHYTQIVWSRTRRVGCARVTCDGGRGVFMTCNYDPPGNYIGERPY >EOY33742 pep chromosome:Theobroma_cacao_20110822:9:38869422:38870685:1 gene:TCM_041638 transcript:EOY33742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEMTEPSLLLDDQVLAANKNVGVAPSNSNRRISNQESLLAVGTMRVLCSIESPRERMGLKDVVVVVELCAIRRNYLGNDASDMIRLPNLNRN >EOY31592 pep chromosome:Theobroma_cacao_20110822:9:10109877:10111182:-1 gene:TCM_038545 transcript:EOY31592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVMNSLIASIDMMNRLFKLYLDNFVVVFINDILVYSKSKEEHEQHLRIMLQMLRDHQLYAKFSKCEFWLDNISFLGHVVSKDRVMVDPKKIEAIGKWPRPTSMTKICSFLGLEGYYRLFIKDFCKLIAPITRLTQKNVKLH >EOY32707 pep chromosome:Theobroma_cacao_20110822:9:32973292:32978812:1 gene:TCM_040734 transcript:EOY32707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMFHSGVPKYLWNEAFSTAVWLINRQPSRILHWNSLYELLHNRQPDYSSLRTFGCRCFPYLRAYRTSDSMSDTSSQSALRILPTTSELEQNNGPFPSTPTSATSSSSVENTITPSSITPLPTTQLPQLETPLHLSIQLIDSPPKSPLPDSESCPTTCPEQNSLPLSPSTSSTQPTLHPMTITSKNGIVKPNPKYASTFLAHIPSEPKTIKSALQHLGWFQAMQEEITTLKENDTWELVPRTFNMHGLDFSETYSPIIKLVTIRIVLTIALANSWEIKQLDVKNAFLHGKLIEPVYIEQPPGFQDHDWAGCPLTRRSTTSYCTFLGGNCISWSSKKQSTVARSSIEAEYRALASTAAELTWISYVLRDLGLYMDKPPRVLCDNLSALHLIINPIFHARTKHIEIDYHFIREKVALGSLVTQFVPSTHQVADIFTKALPRNIFEGFRLKLGLWDLPMPSLKGSVEADHSSEEQSNSTACTAHIGTHDGVGSSNSKQVNLPYPLDDDN >EOY32123 pep chromosome:Theobroma_cacao_20110822:9:21513885:21515457:1 gene:TCM_039637 transcript:EOY32123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVVDAFTWKSCRTQEPNSRPTFAFVRNWLTEEMRKAILQANYKIVQLVDIHVDVADSDIKWTKRSVVACMKEAFSLKSIQSRFIQEGIPVSLHPLGVFKPLINFSDVEEMKIESYSDIFTI >EOY29529 pep chromosome:Theobroma_cacao_20110822:9:1476877:1480536:1 gene:TCM_037039 transcript:EOY29529 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO protein 2, chloroplast, putative MSAFASFRCWVDCGSTNHLSHVKLVPLPPRIGPSMLSYHSRADFLKLNLYPGLSLLSSLEHRSSKLKLQSEPRAPSRKFHRPCALVVRCDHPQNADFPRYYSRKEKKPFPVPVLELRRAARERAKKSKGQPKKPVPPPKNGLIVKSLVPLAYDVLNARVTLINNLKKLLKVVKVHACGYCNEIHVGPVGHPFKSCRGQHASFRKGLHEWTYATVEDVLLPVDAYHLYDRLGKRIRHDERFSIPRIPAVVELCIQAGVNLPEFLTKRRRKTIIRIGKREFIDADESELPDPVPEVPLKAILTEIPDPEVVAPCDEEETILLAEETLQAWEQMRRGAKKLMRMYPVRVCGYCPEVHVGPSGHKAQNCGAHKHQQRNGQHGWQAAVLDDLIPPRYVWHVPDVKGLPLQRELRSFYGQAPAVVEICVQAGAAVPDQYKPTMRLDIGIPTSLREAEMVV >EOY31622 pep chromosome:Theobroma_cacao_20110822:9:10551597:10559999:1 gene:TCM_038596 transcript:EOY31622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIKWYYLWDERHGVLILSRNQSHLDKKRLVVTPNTSTIFSDRVPEPARYDNYLLLTTPDTLKFTNLLISMQKDVKIYVGVEWIFLLIIAVASSFLAISTTHASALIHGGKKISFKDLVLRTARSLKRPFVTCFYITLFGLSDIFLCLVTLLPLVLILGSEVTSSVFAILLSISAMVFYTYLSVVWNLSLLISVLEEPFGIEALGKAAQTVKGMKLQGFILNLLLTILPLILLQCLRSIMLKQSVAILIVITLLLLNSIWMVRMFGHTSYTVLHYQCKKTDGEEVELQADMEYTKIPTVPLISENIP >EOY34357 pep chromosome:Theobroma_cacao_20110822:9:40763883:40765006:1 gene:TCM_042051 transcript:EOY34357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMMLLFISLNATLCQLSSARALSHRLCTCNSLLSSESSGFDQALLSLDLKFCVCHCLE >EOY30019 pep chromosome:Theobroma_cacao_20110822:9:3074041:3077298:-1 gene:TCM_037370 transcript:EOY30019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S3 family protein MTTQISKKRKFVADGVFYAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFIMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPMTPLPDLVTIHPPKDEEEYKPPEEYQTVVPPTNIEIPVA >EOY33563 pep chromosome:Theobroma_cacao_20110822:9:38081385:38085104:1 gene:TCM_041508 transcript:EOY33563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASLCCNNQVRDSCFSNAHHGRSCSKAQACLQKILHIISTIPPPCQNIPNPFKKGAFDIDLNLRLGSFLNDDESEEKSESLAENSFVGKNPDGEEEETEEIQEKTATATATDVSSDVTEMQKSDEFKTGGGYSKAHMCLQKILSIICSIPPNQQNSKANPSFHRQLLQLDSSSASSSSQDKAQSFGNQGFLIDLNLELTSSPETESNSDAIEANSNQEKNENEEKRNPVPEIDVEDEKKETEPFCRSELGQEGGSLANLLIETGDLSSANGELERGGLSSELETQEINGKESMEKGRDCRVADLYGRSDEASQVVRTKRGRTRVLPCRYKDSVLQPLARNSGSKKRQRLR >EOY30057 pep chromosome:Theobroma_cacao_20110822:9:3233394:3234387:1 gene:TCM_037399 transcript:EOY30057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGQWGSVVPTNQLGFGSGPTGAQMGPRCLIFNIMRKTIRPRVEAVQLIAFTSSFSPSHGDTREMLCMKSHALQIHSYGIHRPGSPLVWIKFPLQR >EOY31201 pep chromosome:Theobroma_cacao_20110822:9:7516585:7524398:-1 gene:TCM_038175 transcript:EOY31201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 26 isoform 3 MQSLLFLLLFTSFIFLNSVNNVNAGITSVFIRDEWPSVDIPLDNEVFAVPNEHNAPQQVHITQGDYDGKAVIISWVTADEPGPSKVQYGTSEKNYEFTADGKMTNYTFYKYNSGYIHHVFVDGLEYDTKYYYKIGTGDSAREFWFQTPPEIGPDVPYKFGIIGDLGQTYNSLSTLEHYMQSGAQTVLFVGDLAYADRYQYNDVGIRWDSWGRFVERSTAYQPWIWAAGNHEIEYMPYMDEVVPFKSYLHRYPTPYLACKSSSPMWYAIRRASAHIVVLSSYSPFVKYTPQWVWLSKELKRVDREKTPWLIVLMHVPIYNSNEAHFMEGESMRAVFEEWFIHHKVDVVFAGHVHAYERSYRISNIRYNVSSGERFPVPDKSAPVYITVGDGGNQEGLAGRFRDPQPEYSAFREASYGHSTLEIRNRTHAFYHWNRNDDGKKVATDSFVLHNQYWSSNLRRRKLKKHYLRSGLGRIASY >EOY31203 pep chromosome:Theobroma_cacao_20110822:9:7516301:7524556:-1 gene:TCM_038175 transcript:EOY31203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 26 isoform 3 MPGVTRMQSLLFLLLFTSFIFLNSVNNVNAGITSVFIRDEWPSVDIPLDNEVFAVPNEHNAPQQVHITQGDYDGKAVIISWVTADEPGPSKVQYGTSEKNYEFTADGKMTNYTFYKYNSGYIHHVFVDGLEYDTKYYYKIGTGDSAREFWFQTPPEIGPDVPYKFGIIGDLGQTYNSLSTLEHYMQSGAQTVLFVGDLAYADRYQYNDVGIRWDSWGRFVERSTAYQPWIWAAGNHEIEYMPYMDEVVPFKSYLHRYPTPYLACKSSSPMWYAIRRASAHIVVLSSYSPFVKYTPQWVWLSKELKRVDREKTPWLIVLMHVPIYNSNEAHFMEGESMRAVFEEWFIHHKVDVVFAGHVHAYERSYRISNIRYNVSSGERFPVPDKSAPVYITVGDGGNQEGLAGRFRDPQPEYSAFREASYGHSTLEIRNRTHAFYHWNRNDDGKKVATDSFVLHNQYWVGTTSSQSYVSLSMILNMANPGIRINWLWH >EOY31202 pep chromosome:Theobroma_cacao_20110822:9:7517343:7523231:-1 gene:TCM_038175 transcript:EOY31202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 26 isoform 3 MPGVTRMQSLLFLLLFTSFIFLNSVNNVNAGITSVFIRDEWPSVDIPLDNEVFAVPNEHNAPQQVHITQGDYDGKAVIISWVTADEPGPSKVQYGTSEKNYEFTADGKMTNYTFYKYNSGYIHHVFVDGLEYDTKYYYKIGTGDSAREFWFQTPPEIGPDVPYKFGIIGDLGQTYNSLSTLEHYMQSGAQTVLFVGDLAYADRYQYNDVGIRWDSWGRFVERSTAYQPWIWAAGNHEIEYMPYMDEVVPFKSYLHRYPTPYLACKSSSPMWYAIRRASAHIVVLSSYSPFVKYTPQWVWLSKELKRVDREKTPWLIVLMHVPIYNSNEAHFMEGESMRAVFEEWFIHHKVDVVFAGHVHAYERSYRISNIRYNVSSGERFPVPDKSAPVYITVGDGGNQEGLAGRFRDPQPEYSAFREASYGHSTLEIRNRTHAFYHWNRNDDGKKVATDSFVLHNQYWSSNLRRRKLKKHYLRSGLGRIASY >EOY29504 pep chromosome:Theobroma_cacao_20110822:9:1384200:1386747:1 gene:TCM_037018 transcript:EOY29504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein MGKGGSLSDSVIKKILLSYTYVAIWIFLSFTVIVYNKYILDKKMYNWPFPISLTMIHMSFCATLAFLLIKVFKVVEPVSMSRELYLSSVVPIGALYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKESFKSDTMFNMLSISFGVGIAAYGEARFDSWGVILQLGAVAFEATRLVMIQILLTSKGITLNPITSLYYVAPCCLVFLLVPWIFVEFPILKETSSFHFDFVIFGTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGLAFLGVAYYNHSKLQALKAKEAQKKTAQADEEAGRLLEEREGEGTGKKSLDLRAKGNAVIRFLLLFWLHLGFGTPSIDGVLILIYSYMFLSSHPVSSLCLWQCDFGMIILQLYDASGLVVDSLCPFASLNFSS >EOY33509 pep chromosome:Theobroma_cacao_20110822:9:37892077:37897746:-1 gene:TCM_041475 transcript:EOY33509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MEPWLDDLADDLQSLSFTSTSTTTINRSTSSGSASNSGSSSLAPSAHGSFSSKPLRSGSLSLADLRFALRLGSGDIGSVYLAELKSPPPPPDTNATNTNKNNGNNNNNNINNNKSEVVFAAKVMDKKELASRSKEGRARTEREILELLDHPFLPSLYAAIDSPKWLCLLTEFCPGGDLHVLRQRQPLKRFPESAVRFYASEVLVALEYLHMLGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDDSTPTPQIISGQKPPVPAPQSDYPIDHPPFTSSSCIIPNCIVPAVSCFHPKRKRKKKTGHRGGPEFVAEPIDVRSMSFVGTHEYLAPEIVSGEGHGSPVDWWTLGIFMYELFYGVTPFKGVDHELTLANIVARALEFPKEPIVPAAAKDLISQLLVKDPARRLGSTMGASAIKHHPFFQGVNWALLRCTHPPYVPPPFSREVVSDESCPETPVDYY >EOY34590 pep chromosome:Theobroma_cacao_20110822:9:41531847:41533728:1 gene:TCM_042209 transcript:EOY34590 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 15B isoform 2 MYGQDGGEAAQPSYGGGYGGGYAGGGGGGGGGGYGGYGGDSGYGGGGGGRGGGPGGRGGGRGGGGGYGGGSQNRGGGGGYQGGDRGGRGGGGRGGGRGGGGRDGDWHCPNPSCGNLNFARRVECNKCGAPSPAGAGDRGSGSGGGYNRGGSSGGYGGNRGGRGDGSRGGYDSGRNNNYDGGRGGNYDNRSGGTRGGSYGGNQGGEDGAYGQVPPPASHSYGGAAGGNYPPAPNAYVGNANYGMDAVPPPTSYTGGPTSYPPSYGGPAGGYGGEGLSDARTGGRGGPPGGYEGGYGSGGPRNQGGGYGGPPVDAPVKIKQCDGNCGDSCDNSRIYISNLPPDVTIEELRELFGGIGQVMANSYLLNQCAV >EOY34589 pep chromosome:Theobroma_cacao_20110822:9:41531588:41534872:1 gene:TCM_042209 transcript:EOY34589 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 15B isoform 2 MYGQDGGEAAQPSYGGGYGGGYAGGGGGGGGGGYGGYGGDSGYGGGGGGRGGGPGGRGGGRGGGGGYGGGSQNRGGGGGYQGGDRGGRGGGGRGGGRGGGGRDGDWHCPNPSCGNLNFARRVECNKCGAPSPAGAGDRGSGSGGGYNRGGSSGGYGGNRGGRGDGSRGGYDSGRNNNYDGGRGGNYDNRSGGTRGGSYGGNQGGEDGAYGQVPPPASHSYGGAAGGNYPPAPNAYVGNANYGMDAVPPPTSYTGGPTSYPPSYGGPAGGYGGEGLSDARTGGRGGPPGGYEGGYGSGGPRNQGGGYGGPPVDAPVKIKQCDGNCGDSCDNSRIYISNLPPDVTIEELRELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDEKGNQKGDAVLSYEDPQAAHSAGGFFNDHVMRGFTISVAMAEKTAPKVYDHGGGKGGYGGGGDRRRDNYRDGGSGPDRHHYGGNRSRPY >EOY30046 pep chromosome:Theobroma_cacao_20110822:9:3184302:3185607:-1 gene:TCM_037390 transcript:EOY30046 gene_biotype:protein_coding transcript_biotype:protein_coding description:YGGT family protein MLSSSSSSSSKEMAVTEPAQESLKSKSPTSVPKSLLLSSAFIQTPFAPPHLRPSKPNLAPVVPQNIIRDLHDAVVSTADKFFNFLKQNPAFKNLLSLSSDFQSFCNEVTTYRINSRKVNNYSPLSNHNFAAVLPGDSVAGIVVANGILNFLNIYNTLLIVRLVLTWFPNSPPAIVSPLSTICDPYLNIFRGIIPPLGGTLDLSPILAFLVLNAFSSTAAALPAELPVAGQPPKSASSPSLFTDLTSSQKKWMRRLNGSRSKSSNGTS >EOY32495 pep chromosome:Theobroma_cacao_20110822:9:30396461:30398955:1 gene:TCM_040451 transcript:EOY32495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MKRTSFCQRTFSLDHIALLLHKCLKAKTLKPGKQLHAWLLVTGTNMEFLSLNSKLVGIYAGCGDLKFAGFMFQKIKTRNVFAVNWMVLASAFNGYFKEAIGYFSLMSESINLCNKFTFSVVLKACVGLMDLDKGKEVHAVVNKLCLESDVSVGNGLIDMYCKCGNVGYARKVFERIVERDVASWTSMISGYFNVGNSENALVLFERMKLEGLQPNEFTWNVMIAGFARRGDINAAFALFDRMNKEGMVPDLVTWNAIISGFAQSQCPFEALNLFGNMLVSGIKPNHVTLTGLLPACGLTGSVQKGREIHGLIYRLGLDVNVFITSALIDMYSKCGSVKDARNVFDNIPSKNVASWNALIGCYGKHGMVESAIELFERMREEGLQANDTTLASVLSACSHSGHVEEGLKIFRSMKQSYGIEGAKEHYACVVDMLCRSGRIVEAYELVKEMPCGVTDSILGAFFNGCKIHGRKDLAKLMGEQILKMELKKPGGFVTLSNIYAADGEWEEVENVRKVMKEKKIHKKPGFSSG >EOY31434 pep chromosome:Theobroma_cacao_20110822:9:8817471:8825175:-1 gene:TCM_038372 transcript:EOY31434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MAESICRGAEEPWRVLEFYSGIGGMRYSLMKAGVNAQVVEAFDINDTANDVYQHNFGHRPYQGNIQSLTDADLDSYKAHVWLLSPPCQPYTRQDTRAKMVEILAKSDFVTQELILSPLQFGVPYSRPRYFCLAKRKPLSFQCQLFNNQLLWSPSPLFGNDENMVIGEYDQSQENWDKLIESCQPIEKFLEFTSSSDQVDVETSSFGTTDVSANGLETSEEFVGGDAFDFSSIDQFVVPLNIVYPDSKRCCCFTKSYYRYVKGTGSLLATVQPKRKGKATSLKEQCLRYFTPREVANLHSFPEDFQFPKHISLRQRPKYLCSNPQTPLPGNPNPNTNFLEKVTSDSHCPSKSISDSDSPGSLIPPTPKDPRLTPSLTQDTSLTRTHVINTLLIHRNNPESALKYFRFVENKRGFVRSIDVFCVLLHILVGSQQTNKQVKYLLNRFVAGDSGPTPIVFLDHLIDIAKRFDFELDSRVFNYLLNSYVRVRIDDAVDCFNGMIEHDIVPMLPFMNILLTALVRGNLIDKARELYDKMVSIGVRGDRVTVLLMMRAFLKDGKPWEAEEFFKEAKARGTELDAAVYSIAIQASCQKPDLNMAGGLLREMRDRGWVPSEGTFTTVIGAFVKQGNLAEALRLKDEMLSCGKQLNLVVATSLMKGYCKQGDIGSALYLFNKIKEDGLTPNKVTYAVLIEWCCRKQNVKKAYELYTEMKLMDIQPTVFNVNSLIRGFLEACSLKEASNLFDEAVESGIANVFTYNVLLYHFCNDGKVNEAHSLWQRMEDNGVVPTYASYNNMILAHCRAGNMDMAHTVFSEMLERGIKPTVITYTILMDGHFKKGNAEQALDVFDEMVGVNITPSDFTFNIIINGLAKVGRTSEARDMLKKFVDKGFVPICLTYNSIINGFVKEGAMNSALAVYREMCESGLSPNVVTYTTLINGFCKSHNIDLALKMQYEMKSKGLRLDVPAFSALIDGFCKEQDMDRACELFSELQQVGLSPNVIVYNSMIRGFRNVNNMEAALDLHKKMINEGILCDLQTYTTLIDGLLREGKLLFAFDLYSEMLAKGIEPDIITYTVLLNGLCNKGQLENARKILEEMDRKGMTPSVLIYNTLIAGQFKEGNLEEALRLHNEMLDRGLVPDAATYDILINGKAKGQTSLSGVSCA >EOY30989 pep chromosome:Theobroma_cacao_20110822:9:6594018:6595163:1 gene:TCM_038029 transcript:EOY30989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein MSWTGGDWMCAACQHQNFKKREACQRCGYPKYGGPDVSTYLYNRTEVLAGDWYCAAMNCGAHNYASRPNCYRCGTIKNDYGGYSMMGSEGSAPPGWKTGDWICTGYGCGVHNYASRMECFKCKTPRDFGGA >EOY32719 pep chromosome:Theobroma_cacao_20110822:9:33083653:33089406:1 gene:TCM_040746 transcript:EOY32719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHVACDRNERAYLHQFQTQNHHGSAMLEDVRAISITTFCKIFPLSTPNRAKKGLNTPLATPSMPSSYLIIRVNEDATKLAVYPKLANPIEARIFRSVLDSFRLGDNKNVRWLLYQTFLSPLIWLMGGAGYALSYSLVEGLAPLMDDCLERYPFMHTSDYLSFLCLSDLGIGLTVESSIHQIDLLGDISGILSSHPQSPIVTLHHFDNIDPLFPSKNCTESINHLMEAAKVDQSRLVLFFFYTFLCSHFCNQSSAGLFSTPFEHRWLTSSTTTSNSPTNISHSRFVLVGCLKSWKHRKPYIEAWWRPNETMGNIFLDSPPTKEFQPWSSSSPPYQVNEDIAKLRTYPILANPVQVRIYRSILDTFRLGDNKNVRWYVMADDDTLFFVDNLVEVLAKYDHTKYYYIGTNSESVKSNYDFSFDMGYGGAGYALSYSLVEALASVMDNCIERYPHFYVSDHLSSSCSADLGVGLTIGKGIHQIDVVGDISGLLSSHPQSSLITLHHFDNINSIFPSKNRSDSINHLMEAAKIDQSRLLQQTICYHRPTNWSLSISWGYSAHIYENIIPRSVLRKPLETFAPWKKGRPPFYMFNTRPPSNDPCEAPHVFFMDSIKKMENNLVLTTYNLTSPRNLQPCSSSGNHSADSITKIQVFLQATTRKEAGRIECCEVKYVTGMNVADINLRGCLKGEIIA >EOY32532 pep chromosome:Theobroma_cacao_20110822:9:30924745:30926917:1 gene:TCM_040507 transcript:EOY32532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEKERASAREKGHQLGIAKASPIQMTPKSQPNLILKSQQPKLKPKSSPTYSRVPAARHDPNLMPPNSIKLKRFHPKHVLGMLAESTRRRSHRVRQKRKPEGEA >EOY34559 pep chromosome:Theobroma_cacao_20110822:9:41432082:41433855:1 gene:TCM_042187 transcript:EOY34559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein, putative MEGIKIENVEQTPDAPLPMLPSSGSGKADHEMAPADQIDQSKTGKHVPDQVRQGEGRGLGNNMDPKKLKRITAGREYSLRYRLKQQQHTAQMETDVRSLQAGLALYSSRIKYADTHNSLLRAENSCMQQKLTALGRQLAFKNAEYQQLKLVREELKEMSLLYHVPYPPIFSQTENYGYQLVNRALNQPGFNQSMEPAGAPDMQNQNWENQFGFGHPNNEGINRGPM >EOY32822 pep chromosome:Theobroma_cacao_20110822:9:33891253:33892133:-1 gene:TCM_040843 transcript:EOY32822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQEPPQNSNLETCSVPDSESCNTSSKFRFSSSFDTRRSVRLEQQLFIPMVKKESQRIFNG >EOY31322 pep chromosome:Theobroma_cacao_20110822:9:8151874:8152619:-1 gene:TCM_038276 transcript:EOY31322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATGAADGLFRSLYEGCIAGCDIGIERRPYHRNCSCALHDKSRGNCPHAFPKCKNVSYPIRRAWSEGCLAMAAASCHSSPSSSPAFSGVHGAGKHRLVSYKEEEEEDKPAPATV >EOY33606 pep chromosome:Theobroma_cacao_20110822:9:38247828:38249181:-1 gene:TCM_041536 transcript:EOY33606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDLLQKLQTFLASIVASVIRILPELLQPRSLPEQAPTLPQTATSSINIESPQPQRPTSSPSPSNDHESPQAQLPSPAPTPTTASNLKLQLESMLCSQRHLQWQNAVLAFCFSYALGVSLQFVGTDRSNHQLPFPLVLLSFLVLLTFIFIMLAYFIHSNCTRTTQAVERISLLLAAAAFCQTLSIPFPFELKCAIWAVFVLFLLIVMIFAYLNRNTARC >EOY33384 pep chromosome:Theobroma_cacao_20110822:9:37239931:37244113:-1 gene:TCM_046932 transcript:EOY33384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission protein MRPIRLPEPPSPTMGMPEIFEGGAYNVVRRAVVIGNGSPGSENQSIGLVRALGLSGKHVLYRVTRPRGGINEWLHWLPVSLHKKLDYLIRLIRIYSRGEKLVPLRSENGGVSVGLASVLEADVKQIVTMARETCEKDGPLLVVASGRDTISIASSIKRLASENVFVIQIQHPRSQLNRFDLVITPRHDFYPLTPQAQEQVPRFLHRWITPCEPPDGHVVLTLGALHQIDSAALRSAAAAWHDEFAPLPKPLLVVNIGWPTRHCRYGADLAKQLTASLLSILTSCGTVQISFSSRTPEKVSKVIVKELADNPKVDIWDGQEPNPHMGHLAWADAFVITADSVSLISEACSTGKPVYVMGAECCKWKLSDFHKSLRERGVVRPFTGSEDIAESWSYPPLNDTAEAANRVHEALAERGWRVRP >EOY31785 pep chromosome:Theobroma_cacao_20110822:9:14002249:14005171:-1 gene:TCM_038913 transcript:EOY31785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFNKKNIARTMVKGKHSKLRPKSTNAPGSISLPINASAFIDISIQPQMQQKYNDVPFQTPSLLGASVEQIENETSTHNSHRSPSTDLGASVDDTSSRSRSRGLSVGLQTPVDPSDRLRITPIGERKHVFGLQIKMFWFDECGIKFVPIGGHGDFINNKSKFTSKYGDDSSSQPEFDPYAWTEAIGGMETTRTHVYGFGTRVPATALLTGTHNNVATSESSCGLINSNVNSLAIALKEKVKNLSKNLDKIREEIHREIHEEIKNAMAESMTEFMARIETMIMSNVRSKQGGVRPLS >EOY34020 pep chromosome:Theobroma_cacao_20110822:9:39718565:39721362:1 gene:TCM_041827 transcript:EOY34020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase APK1B, chloroplast, putative MKCFHFTNGERRGGGEDGGGVSRASSKVTWTRSLSVASSSVDTRRSEFDSESTRDFTSDYSAGSFCEFLIQRRANDLRLFSFAELKSATRGFSRALLIGEGGFGCVYRGVVKVPDEANDGRDLKLDVAIKQLNRHGFQGHKEWINEVNFLGVVKHPNLVRLVGYCAEDDERGIQRLLVYELMRNKSLEDHLLARMPLSFPLPWVTRLKIAQDAARGLAYLHEEMDFQLIFRDFKTSNILLDEDFNAKLSDFGLARQGPAEGLGHVSTTVVGTIGYAAPEYVQTGRLTAKSDVWSFGVVLYELVTGRRALERNLPRSEQKLLEWVRPYVSDSKKFHLIVDPRLEGHYCIKSVQKLASLANKCLMKNPKSRPKMSEVVEMLGNIISETSSQDEGVSQSVSENEDVKEESEVETEAESTKQGHNYLKKVFDIREVISLRNRSVGKLDWRNWTPGLVRTW >EOY33292 pep chromosome:Theobroma_cacao_20110822:9:36379797:36380643:-1 gene:TCM_041240 transcript:EOY33292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLHTEFLTEDAQAKMQISDELRGYVEGERPTYAKKWENVDFILVPCNVGGHWVVAKIDLVRWTIKVVDSARTSDAKDNGVRAGQMTPLKTMMPFICHQAGYFNNIRWKRWDLTPMLLDIHLPKAKVHRQNDNVSCGMFMIGYIEHIL >EOY29315 pep chromosome:Theobroma_cacao_20110822:9:722489:725202:-1 gene:TCM_036892 transcript:EOY29315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of rudimentary protein MANRHTIVLMQTSQNRATRTFMDYDSISQAMDEEDDQTKISALNRSVLWLLLVFTSCWEVFSFMELMRHRLANGICGLYERKLKELNPAIRNITYDIGDLYNFIDGLADMSALVYDHAIQAFLPYDRQWIKQKVFQHLKKLAH >EOY32434 pep chromosome:Theobroma_cacao_20110822:9:29281934:29294157:-1 gene:TCM_040352 transcript:EOY32434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MVDYILINHCSRGFLWTSLLEGHGKPHYILTSNGEARRVSPGNTIFDNGCSQGNRETDSKALRGFGLTFRAISVYRDTAAVVTGSRGVPGRDNSIVPPPIQDVSISKKLKEARQLGCVSFTGELDATVAKDWINQVSETLSDMRLDDDMKLMVATRLLEKRARTWWNSVKSRSATPQTWSDFLREFDGQYFTYFHQKEKKREFLSLKQENLTVEEYETHFNELMLYVPDLVKSEQDQASYFEEGLRNEIRERMTVIGREPHKEVVQMALRAEKLATENRRIQTEFAKRRNPGMSYSQPVKRGKDSATSGSTTSVSVISPRPPFPPSQQRPSRFSRSAMTGSGKSLGGFDIRSTSTPRIPWWSQWDTLTKVPARMILAWGIPSLADFDKDLHLDLNRQKLSAHPFFFLNIRPSKKQKRERRKQNPRERKSKKKCEFSRKLSEKGGESSNKGKEITSEDQ >EOY32095 pep chromosome:Theobroma_cacao_20110822:9:20766384:20771746:-1 gene:TCM_039581 transcript:EOY32095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium transporter hkt1-like protein, putative MRSFVCFGRKLESFCGDSRTKGACFKQSYHGVISSSSRFLLLKLNPFWVQLAYFIVLSLIGYGALNIAKPKTDSFRPKNIDVFFTSVSAATVSSMSTVEMEVFSNTQLIIMTTLMLIGGEVFVSMLGLLLARSRFPKKQHPENNITFFSIPNNSLTSKSITHQIEFGTDSCSTVENDKPDSDLESDIKLSSMTDSLQYSASRYLCYVVLGYLLVVHIGGSSLVSMYVSLVPSARNILKTKAIQIQTFSIFTVVSTFANCGFVPTNENMIIFKKNSGLLLLLIPQILLGNTLYPACLRVLIWLLEKITKRVELGCILKNYKEMGYSHLLSGVHCSLLAATVFGFILVQLILFCSMEWNSEAMDGLSSYQKFVGSLFEVVNSRHTGESIVDLSTISSAILVLFVVMMYLPPYTSLLPTAYQEKNSGKGQASENHGRSILECLLFSQLSYLAIFIILICITEREKIKKDPLNFNVLSIAIEVISAYGNVGFSTGYSCKRQVKPESSCIDTWAGFVGRWSNMGKLILVVVMFFGRLKKFHMKGGKAWKLS >EOY34700 pep chromosome:Theobroma_cacao_20110822:9:41828203:41828948:1 gene:TCM_042285 transcript:EOY34700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein, putative MAWSKVFKVAFGALLVSMLVAAPYVNATISCEQVTNWLTPCITYGLFGGTVPPGCCEGIKTLNAASNTTEDRRAQCNCVKEGAAKIPGLNYDRVNELPGKCGTTCPYKLSYDLDCSKVN >EOY32917 pep chromosome:Theobroma_cacao_20110822:9:34484861:34487044:-1 gene:TCM_040943 transcript:EOY32917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MAVQRLLLRNIPLKTLWPHKFLPLSSLPNQNHYHNFDVRTLQTPSNGAPDSFMVEKILFSLKQGNMNSLRNYRFRLNPLIVAEVLSHCRDDLQLGQRFVDFLVVNCSNFKHSSMSLSAMIHVLVKCRRLSDAQALILRMVRKSGVSRVEIVESLVSTRGNFGSNYSVFDLLIRTYVQARKLREGSEVFRILRRKSFCISINACNSLLGGLVKIGWVALAWDVYREVVRAGVEVNAYTLNIMVNALCKDSKISSVKSFLSEMEEKGVHADIVTYNTIINAYCHEGHVEEAFKLMNSMSDKGLKPGLFTYNAIVYGLCKRGNFEKAKEALDEMLHIGLSPDTATYNTLLVESCRKNNISEVEDIFSEMLHRGFVPDLISFSSLIGVFSRNGHLDQALMYFNNMKRAGLVPDNVIYTILIDGYCRNGIMSEALKMRNEMLEQGCSMDVVTYNAILNGLCREKMLTEADNLLHEMAERGVFPDFYTFTTLIHGHCKDGNMKKALSLFDEMTQRNSKPDIVTYNTLIDGFCKVGEMEKAKQLWVGMISRKILPNHISYGTLINGFCSIGHVSEAFRVWDEMVGNGIKPTLVTCNSIIKGFCRSGDASRADEFLSKMTSEGIIPDSISYNTLINGFVKEENMDKAFVWINEMENQGLLPDVITYNVILNGFCRQGRMQEAEMVLRKMIEKGIDPDRSTYTSLINGHVTQDNLKAAFQFHDEMVQRGFVPDDQF >EOY32918 pep chromosome:Theobroma_cacao_20110822:9:34484286:34487187:-1 gene:TCM_040943 transcript:EOY32918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MAVQRLLLRNIPLKTLWPHKFLPLSSLPNQNHYHNFDVRTLQTPSNGAPDSFMVEKILFSLKQGNMNSLRNYRFRLNPLIVAEVLSHCRDDLQLGQRFVDFLVVNCSNFKHSSMSLSAMIHVLVKCRRLSDAQALILRMVRKSGVSRVEIVESLVSTRGNFGSNYSVFDLLIRTYVQARKLREGSEVFRILRRKSFCISINACNSLLGGLVKIGWVALAWDVYREVVRAGVEVNAYTLNIMVNALCKDSKISSVKSFLSEMEEKGVHADIVTYNTIINAYCHEGHVEEAFKLMNSMSDKGLKPGLFTYNAIVYGLCKRGNFEKAKEALDEMLHIGLSPDTATYNTLLVESCRKNNISEVEDIFSEMLHRGFVPDLISFSSLIGVFSRNGHLDQALMYFNNMKRAGLVPDNVIYTILIDGYCRNGIMSEALKMRNEMLEQGCSMDVVTYNAILNGLCREKMLTEADNLLHEMAERGVFPDFYTFTTLIHGHCKDGNMKKALSLFDEMTQRNSKPDIVTYNTLIDGFCKVGEMEKAKQLWVGMISRKILPNHISYGTLINGFCSIGHVSEAFRVWDEMVGNGIKPTLVTCNSIIKGFCRSGDASRADEFLSKMTSEGIIPDSISYNTLINGFVKEENMDKAFVWINEMENQGLLPDVITYNVILNGFCRQGRMQEAEMVLRKMIEKGIDPDRSTYTSLINGHVTQDNLKAAFQFHDEMVQRGFVPDDQF >EOY32919 pep chromosome:Theobroma_cacao_20110822:9:34483276:34487178:-1 gene:TCM_040943 transcript:EOY32919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein isoform 1 MAVQRLLLRNIPLKTLWPHKFLPLSSLPNQNHYHNFDVRTLQTPSNGAPDSFMVEKILFSLKQGNMNSLRNYRFRLNPLIVAEVLSHCRDDLQLGQRFVDFLVVNCSNFKHSSMSLSAMIHVLVKCRRLSDAQALILRMVRKSGVSRVEIVESLVSTRGNFGSNYSVFDLLIRTYVQARKLREGSEVFRILRRKSFCISINACNSLLGGLVKIGWVALAWDVYREVVRAGVEVNAYTLNIMVNALCKDSKISSVKSFLSEMEEKGVHADIVTYNTIINAYCHEGHVEEAFKLMNSMSDKGLKPGLFTYNAIVYGLCKRGNFEKAKEALDEMLHIGLSPDTATYNTLLVESCRKNNISEVEDIFSEMLHRGFVPDLISFSSLIGVFSRNGHLDQALMYFNNMKRAGLVPDNVIYTILIDGYCRNGIMSEALKMRNEMLEQGCSMDVVTYNAILNGLCREKMLTEADNLLHEMAERGVFPDFYTFTTLIHGHCKDGNMKKALSLFDEMTQRNSKPDIVTYNTLIDGFCKVGEMEKAKQLWVGMISRKILPNHISYGTLINGFCSIGHVSEAFRVWDEMVGNGIKPTLVTCNSIIKGFCRSGDASRADEFLSKMTSEGIIPDSISYNTLINGFVKEENMDKAFVWINEMENQGLLPDVITYNVILNGFCRQGRMQEAEMVLRKMIEKGIDPDRSTYTSLINGHVTQDNLKAAFQFHDEMVQRGFVPDDQF >EOY33371 pep chromosome:Theobroma_cacao_20110822:9:37184079:37187511:-1 gene:TCM_041352 transcript:EOY33371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHSFRMADEVVTYLISKTKTCLVENKPQLIVDEKEDSRYRVASIHPHQYGQITLTVCEVHRYNILAGGAVACLSAAITWYLVDPQAFVLYKLHFQPRLLSTSRDTVSTLYFAFYKLSLQWPPSTCTSAPPQGLNCLGLVPGQFTIHSLWPQDAHDKGTPPYNSSNPCTTDTPVSPENLLPYLQPIQETLKQLWPNLRNSESETENQFFWREEWRKHGMCSDYPDKPLDYFNSALNLKNGFDPAFQLTPGASYTVQQVADEVQRQVGAKPEIVCSKNKVDTKKLQLWEIRLCYNKEMPPTTVRDCPKDFSGRCRSLQDSITFPNPPSSSDEMENHLEGIGSEFRASDEK >EOY34201 pep chromosome:Theobroma_cacao_20110822:9:40252181:40252939:-1 gene:TCM_041943 transcript:EOY34201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRIPRPTICILILSLFASCEYSTATTLVVSNQARPGKVAYITCHVNSTRFSICVAPGNETSIFLPDENISVTSWPPVFCEGTYNNISHGRYHERPYYLYDSHKDYEKCKEKCFIRVTNFTFDRWDEGKKEWEQIFPIMWIP >EOY29423 pep chromosome:Theobroma_cacao_20110822:9:1135414:1139515:1 gene:TCM_036966 transcript:EOY29423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucuronidase 3 isoform 2 MSFVFKLLFLCFWLYWMSQGSVFVTSQRRGWSGNVISGTVVINGTASIGKTDDNFICATLDWWPPEKCDYGTCSWGRASLLNLDLANPILLNAIKAFSPLKIRMGGTLQDNVIYETKGDKNPCVPFPKNSSEIFGFSKGCLPMSRWDELNIFFKKAGAMVVFGLNALSGRTIGPDGSAIGAWNSSNAELLIRYTVNKGYTIYGWELGNELCGSGVGVRVSADQYASDVSSLQNIVQNIYKGFEVKPLVIAPGGFFDANWFTEFVKETPKSLQVVTQHIYNLGAAVAWVGEAGGAYNSGQNLVSNSFVYSFWYLDQLGMASSYDTKTYCRQTLIGGNYGLLDTATFVPHPDYYSALLWHRLMGSNVLSTSFSGTRNLRAYAHCSKQSQGIALLLINLSANTTVQVRVSTESPASNGDVRLQQEDQTPRINFATMPRNSKSNGNTREEYHLTAKDGNLHSKIVLLNGKVLSLNSSGGIPSMDPVNRSMLAPITVAPFSVVFSHIPSIRIPACKQG >EOY29422 pep chromosome:Theobroma_cacao_20110822:9:1135380:1139735:1 gene:TCM_036966 transcript:EOY29422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucuronidase 3 isoform 2 MSFVFKLLFLCFWLYWMSQGSVFVTSQRRGWSGNVISGTVVINGTASIGKTDDNFICATLDWWPPEKCDYGTCSWGRASLLNLDLANPILLNAIKAFSPLKIRMGGTLQDNVIYETKGDKNPCVPFPKNSSEIFGFSKGCLPMSRWDELNIFFKKAGAMVVFGLNALSGRTIGPDGSAIGAWNSSNAELLIRYTVNKGYTIYGWELGNELCGSGVGVRVSADQYASDVSSLQNIVQNIYKGFEVKPLVIAPGGFFDANWFTEFVKETPKSLQVVTQHIYNLGAGNDNQLINKILDPSYLDGGNQPFRDLQAILKNSGTSAVAWVGEAGGAYNSGQNLVSNSFVYSFWYLDQLGMASSYDTKTYCRQTLIGGNYGLLDTATFVPHPDYYSALLWHRLMGSNVLSTSFSGTRNLRAYAHCSKQSQGIALLLINLSANTTVQVRVSTESPASNGDVRLQQEDQTPRINFATMPRNSKSNGNTREEYHLTAKDGNLHSKIVLLNGKVLSLNSSGGIPSMDPVNRSMLAPITVAPFSVVFSHIPSIRIPACKQG >EOY30175 pep chromosome:Theobroma_cacao_20110822:9:3672583:3673167:-1 gene:TCM_037474 transcript:EOY30175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEGLQNLVRSFSKDTKSGSPTSLAEIPYKLGGGGAGASAALSSENTSRVLVTRPPRQAVSLWTCSKLCAICFAAGIVVGYTLKRRVRRWASRLLKRLKDD >EOY33313 pep chromosome:Theobroma_cacao_20110822:9:36658588:36660801:1 gene:TCM_041269 transcript:EOY33313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein MSLLPALTPTSATQPNHLVSRQTPKTQPIFSNPNHQISLKPLDHIVSWTSSISRHCRAGQISEAASEFTRMRLSEVEPNHITFVTLLSGCADFPLKSGVLGVLIHGYVCKLGLDKENVMVGTALVEMYAKCGHVKVAKLVFDVMRVKNLVSWNTMVDGYMRNGEYEKAVEIFDEMPQRDVISWTALINGFARRGFHEEALDWFREMMIFGVKPDYVVIIAVLTACANLGALGVGLWIHRFVLKQSFRDNVRVNNSLIDMYSRCGCIELAREVFDKMQKRTLVSWNSIIVGFAVNGFAEEALKYFDSMQKEGFKPDGVSFTGALTACSHAGLVDEGLRYFGIMKRVYRISPRIEHFGCIVDLYSRAGKLEEALDVIENMPMKPNEVVLGSLLAACRNHGDISLAERIVKNLVALDPGSDSNYVLLANIYAAVGRWEGASKVRRRMKALGIQKKPGFSSIEISGCVHEFVAGDKSHLETECIYKMLELLCFDLLLSGYAPEIVVGESHESD >EOY32563 pep chromosome:Theobroma_cacao_20110822:9:31467831:31473852:1 gene:TCM_040555 transcript:EOY32563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGQYSREQRRVKLKVLIAWEKPWPSYVKLNVDGSAKGQPGEVTGGGIICDDKGNWIVGFTYKVGVLFSLTTELWALYQRLKLCWERGFKKELRMPTVSRSS >EOY32320 pep chromosome:Theobroma_cacao_20110822:9:26965686:26968682:-1 gene:TCM_040101 transcript:EOY32320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISTQQLSKNSKMVIKQTMGKGCLVGFGLANEVQGIKKSVVLKKNEDNFGLGYRPAKEEKMKIIVEKRQKRLDHFRGTKLEIKRLSILYLNETFHSVGCTYPEGSLEDAFIDSQSSSLG >EOY31078 pep chromosome:Theobroma_cacao_20110822:9:6897207:6900912:1 gene:TCM_038087 transcript:EOY31078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D alpha 1 MNCLNLVFPVPDITDTISGFRSLPERQILLVQNIEESVGVGKAFSKLYVTIDLEETRVGRSRLLENEGSNLQWHESFHIYCAHKASNVVFSIKENDPIGASLIGRAYLPVEELLNGEEVDRWLKVVDKEYKPLCRGSRIHVKLQFFDTTRHPNWSQGIKSPKFPGVPYTFFSQREGCRVTLYQDAHVPDKFISGIIPLAGRKYYEPHRCWEDIFTAISNAKHFIYIAGWSVYAKITMIRDLKRPKPDGDLTLGELLIKKANEGVSVLMLVWDDRTSVEFLKEDGVMATHDEDTRHYFHNTKVHCVLCPRNPDHLHSIVQDMEVSTMFTHHQKIVVVDSELPNEESKRRVVSFIGGIDLCDGRYDTPSHPIFRTLGTVHRHDFHQPCFHDASIAKGGPREPWHDMHCRLEGPVAWDVLFNFEQRWRKQGEKDLLVHLREHDDIFIPPSPVTFPEDHETWNVQLFRSIDGGPAFGFPHNPEDAARLGLVSVKDHVIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFSWNSKDLKVQEIGSLHLIPKELSLKIVSKIEAGERFTVYIVIPMWPEGVPHSQSVQVILNWQKRTMEMMYTDVVQALQAKGLEANPKDYLTFFCLGNRERITSGEYEPSERPEHDTDYSRSQQSRRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDTEIAMGAYQPYHLATREPARGQIHGLRMALWYEHLGKLDNCFLQPESLECVRKVNQTADDYWDLYSCDTSDHELPGHLLSYPIRVTHNGEVTEIPGLEHFPDTKARVLGSKNEMLPSILTS >EOY31593 pep chromosome:Theobroma_cacao_20110822:9:10155597:10157503:1 gene:TCM_038548 transcript:EOY31593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sequence-specific DNA binding transcription factors, putative MQAMCLHVLCLSLIDPPLLSFEQINGVSAHSSLSHSSLSLYVSEPLSWILIHFLGSVERLHSLQLLFLILLSRLILALSISVQEKILARMRSPGSLKQEFLKKWIMGFQRCSSSKKSMSVLDRKKAIKLSADIAMASARKGTTCWSRALIASASKDGSDKHLVEQILGPESERLILMKKFSTGALVCSKRIRSKKILKRSCGIRRTRKCAPQAALASSIAKRLVRKRTQVLKSLIPGGEFMNEVSLIEETLDYIISLRAQVDVMRSLAIASALVNHN >EOY33423 pep chromosome:Theobroma_cacao_20110822:9:37430190:37431090:-1 gene:TCM_041398 transcript:EOY33423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y MEKAVPEAEELPKAIVRRVVKDKLSDCSPDYDINVHKDALLAFTESARIFIHYLSATANDICKESRRQTINAEDVFKALEEIEFSEFVKPLRASLAEFRRKNAGKKGGATKEKEVKKKRKVEEPSGKSGSKSKQNKGEDDNEEEREEGEEEAEEDENNGNE >EOY30569 pep chromosome:Theobroma_cacao_20110822:9:4990189:4991850:-1 gene:TCM_037728 transcript:EOY30569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor RAX1, putative MGRAPCCDKANVKRGPWSPDEDNILRNFLEKHGTGGNWIALPRKAGLKRCGKSCRLRWLNYLRPDIKHGGFTDEEDNVICSLYNSIGSRWSVIAARLQGRTDNDVKNYWNTKLKKKLLAGKVGLNKTSNNEITTDSSTSNLNSGQFSASIPSEAEARANGNSDYLNANGSTSSSYMTEMNHRQNYDYPGLILNQIDQFPLPGLMEVPDYSTSTANNNYNMSSSQEVSSLSNSSSFPMENMSFATNWSGNGGAEDQGIVLDLDFEGPHYLFSGSTFQAKSSEEDAPCFGNFQSTASLANS >EOY32845 pep chromosome:Theobroma_cacao_20110822:9:34048187:34049446:-1 gene:TCM_040867 transcript:EOY32845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLSKKFTCFYLLLLLLLLLLFQLESSTDHGSARHASFKPVSASSRHFRPLESSHGGFQGDAHRAKDGDEVFGDEKRKVHTGPNPLHNR >EOY34747 pep chromosome:Theobroma_cacao_20110822:9:42014937:42019874:-1 gene:TCM_042327 transcript:EOY34747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPRMNEIRLAFKGIEGGRATSTAELVAGKSKSHAERAQKNSVIVSHRSPAQGRTSPEHVSAFAEKNTAPGMLLSKNGNQKDDNSLYDGTEQQQKIENTATKKSQNKPVAIEEEKDETGAVKFASGSCTPLQLMQGERRDSGYTSIHAYGDKKIEGKGHDVDHFSEGNELPITRRQHKLQNKAKPILAKLIPSLNVDFDVGPATLLFDKTSDIDGSQQRKAKAAIDDDNRAEYLKNLPSETGKCLLNTETDSVPSLADTCSSSDQVSKLPNPVQYQTNLEDQISIGHPRVHRRRKSDSSLLTSIVWNSAHATDPLECLHVKISLPWLPHPFAATFVYAKCTRQERLELWNCLRYLSLDMQGPWMVGGDFNTIVSCAERLNGAPPHGGSMEDFATTLLDCGLTDAALQKILVFLQEYEAVSGQQVNHQKSCFITSNGCPMTRRQIIAHTTGFQHKTLPVTYLGAPLHKGPRKVALFDSLITKIRDRISGWENKTLSPGGGLDIRRLNDVSDAFTMKLWWRFQTCDGLWTNFLKTKYCMGQIPHYVQSKLHDSQVWKRMVRGRDVAIQNTRWRIGKGNLFFWHDCWMGNKPLVTSFPSFRNDMTFVHKFYNGDNWDVNTLKLYLPMNLIDEILQIPFDRSQDDIAYWALTSDGEFSTWSAWEAVRQRQSPNTLCSFIWHKSIPLTISFFLWRVLNNWIPVELRLKEKGFHLASKCVCCNSEESLIHVLWDNPVAKQVWNFFADFFQINISNPQHVSQIIWAWYYSGDFVRKGHIRTLIPLFICWFLWLERNDAKHRHLGMYSDRVVWKIMKVLRQLQDGSLLKKWQWKGDTDIAAMWGFTLPLKIRESPQIIHWVKPVTGEYKLNVDGSSRHNQSAATGGLLRDHTGTLVFGFSENIGPSNSLQAELRALLRGLLLCKDRNIEKLWIEMDALVVIQMIQQSKKGSHDIRYLLASIRKCLSFFSFRISHIFREGNQAADFLSNKGHTHQNLQVISEAQGKLHGMLKLDRLNLPYVKFH >EOY30032 pep chromosome:Theobroma_cacao_20110822:9:3127699:3131717:-1 gene:TCM_037381 transcript:EOY30032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein, putative MHPVKYGAHHLEQQQLMDDDGSSSAVFSISNPPQYHHQQSPFHPNYPQHSQQQQKQQQNLFQQLSSVPVTHQLFQHQQFQPFEQQAEPAHHHVHQQPFLAVNFKLGLNENSGKKEAALALNHQPNDATFFDGNEQHVHGNRRPQQHSLLMPHCWHPQEDSPIKEPFWKPLNRCEDRQCSGDGAREMEGNKYNKVLQPPGQCPSERSKNLDNKYRLFGELEAIYGLAKGGETTQAGSGSALTGENSPTNVGLSMPLSEFQGHNVGANCGGGNVAHGVDHGSETSIGEEASIRKIEKKKRKRKMKEQLSSMIGFFECLVKQVTDHQEGLHKRFLEVIERMDKERTGKEESWRRQEAEKRNREAFARAHEQALATSREALIVSYLEKITGQSVSLPARTPLLLQPESAIEPFKESMPVKVDNSSRWPRAEVEALIQVRRNFESKFREPGLKGPLWEEVSSFMASLGYQRSAKRCKEKWENINKYFRKSKENGKMRSQQSKTCTYFDQLDQLYSRIPVTCPTSPRPLINKDIEMQEHGDSDFLEAYMPEGDLGTAQDNASGSHKVSEINSQLDFDGAVDENVVVQGCNGKDNEIHEN >EOY29740 pep chromosome:Theobroma_cacao_20110822:9:2215209:2215893:-1 gene:TCM_037187 transcript:EOY29740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSYVPFQVHCSFGKPSVSPNFDLFKTTDLSSSFKSLVRELQQLAFRVDVPKAVKNVSIKLLDAFVDSVFEFVDHPLHPSQVYHRPYIIKYFMNSAFE >EOY33124 pep chromosome:Theobroma_cacao_20110822:9:35535066:35538224:-1 gene:TCM_041110 transcript:EOY33124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein MGKSAADEKILSYNDVVLRQSDLEILSGPYYLNDRIIEFYFSLLSSSHPSQDILLLSPSIAFWIANCPDVGGLKDFLEPLKLPDKKLVIFPVNNNDDVSVAEGGSHWSLLAYCRSANVFVHHDSNGQMNKRHAMRLFKSVVGFVGDSSSACNAKYLECIGTPQQVNGYDCGLYVTVTARTIHCWHESSENKDATDLWLSAVKEQVTPSVVSEMRKEILGLIKDLMAKK >EOY31744 pep chromosome:Theobroma_cacao_20110822:9:13545382:13558025:1 gene:TCM_038866 transcript:EOY31744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor-like protein MLNSKYFLPLFLVFFFSLFSFAFITVKSETAGNVRNGTRDSTRLVYNASVVLEVNSKMGAMANICLSMALSDFYAKHPSYNTRLSLQRWDSLDGLVAASSEYLPQRSAEASFAIKLGGSSSPSQSPCIIRTAIVDFSRLAKATVAILENFEWNEVILVYEDMEYGKAIIPYLTDAIENMDIALSYKSAIPNSAEDFQILEELKVLMTMQGKVFVVHMTTNLASRLFFLANMAGMMNKGFAWLVTDGLSSFVDTMGMGAIALDSMKSVIGVRPYVPKTEALKNFKTRYKTLSLMKQNNEASELNLFGLWAYDTIWALAMTVERIGVVTSGFLKETVSKTSADARIAEIGPKGLLEEILSTSFRGISGDFDLVHGQLQPSAFEIFNLTRKGKGIIGYWTPNRGISRHLASAGNKLKKIIIPEETRRTLNERTMPTVRDKWRIGVPSKRGFTEFVNLHPGSNDNELPGFSIEVFKAVWEAALPGTEYEFKFIEGTYDELCSQVKDEKIDAAVGDISIVASRINCVDFTLPYLESGVAMLIKVSHNGPTDMWIFMKPLSWDLWLTITAICIFIGIVVRVLDRRENAEFSGSPRKQLSSILMFPCLSVATPQRDMVVTNCSRLVLVLWIFLAFILIQSYTANLSSILTVNQLQPTIPSLRELRKHYIGYQNQSFVKNFLINQLGFKESMLKPYGSVDDYQEALCNGSSNEGVAAIFDEIPYIKVFLAEYSTGYMMVGPTYRTDGLGFALPIGSPFVANFSRAILNFTQGKYMSPIEKKYFGKISIYQDETGPISSSSPSLSTKSFAGLFIIIGIIVLLALVVSESHILGRLVQRYIFHNSHDVSGPRVEPTTEMTNTSNLQENHNTNQISNRDESREEAVLEVHSS >EOY33285 pep chromosome:Theobroma_cacao_20110822:9:36286448:36291587:1 gene:TCM_041228 transcript:EOY33285 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA cyclase family protein MGKITYKRLKGSQNMRQRLLLATLKSTPILIEDIHADDTLPGFRPHEISLLRLFEKVTDDCLIEINETGTKLKYKPGIIVGGSNLVHDCGVSRAIGYFLEPLLLLGLFARKPLFIILKGITNDSKDPSVDTFRSTTLPMLKRFGVPSEGLKLEIKSRGVSPHGGGEVHLAVPTVQQLTATMWTDEGMVKRIRGEAFTTRVSVQLGNEMIYAARGIFNRLLPDVYIASDYRKHAEAGNSPGYGISLVAETTSGCCISADTTISYPRGEEADDIEGENKELMPAANVGEQIASVLLEEIEKGGVVDSTHQGLLFLLCALCPKDVSKVRVGKLSPYGIETLRHIKDLLDVQFVIKPDPSTGTLLVKSMRSSLMDADDAEANSEMHKDWVTNRVRNIAYELEDTIDEFTFQVNKQRQWRELSSSMVKQVYKQRKEEVPMKVCVEQNFGRRSTSPFRKSLGEDEAWELSGKRAFPSDLGGCPSYLDSLARNLVERCEGLPKAIVALGSSMSSKKSIAEWKRT >EOY32695 pep chromosome:Theobroma_cacao_20110822:9:32887584:32888264:-1 gene:TCM_040723 transcript:EOY32695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II 5 kD protein, putative MASMSMTASLLPSTSSTWTRLPSTTARRGVIVAKASEAAEGEKGSRELKQGSNNRRRELVFAAAAAAACSIANVAMGAEDPKAGTPEAKKKYAPICVTMPTARVCHNLNFNYIGLELIRGRW >EOY30766 pep chromosome:Theobroma_cacao_20110822:9:5728680:5729691:-1 gene:TCM_037863 transcript:EOY30766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAASTLLSLSCLFLLLLLVNSLDPLSSPPFLLCSAPNPDSKQKNVLTENEDSSDCPLRFHMRVTDRS >EOY33035 pep chromosome:Theobroma_cacao_20110822:9:35034018:35040267:-1 gene:TCM_041043 transcript:EOY33035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geminivirus rep interacting kinase 2 MFSKSFSFAKAMGCCGCFGFTTKPKRLSRPNCGSNLRMSQEFLLDEEIEEEDDCSYNGEVTSTAHGDEVENLSRAKRSEEILRFKLDNGFICRQFPVKETNKIIRSEDENGNKMVNEYVREYKIGSGSYGKVVLYRNSVDGKHYAIKAFHKSHLLKLRVAPSETAMTDVLREVLIMKMLEHPNIVNLIEVIDDPSTDQFYMVLEYVEGKWVCEGSGPPGGIGEDTARKYLRDIVSGLKYLHAHNIVHGDIKPDNLLITSTGTVKIGDFSVSQVFEEDNDELRRSPGTPVFTAPECCLGLTYHGKAADTWAVGVTLYCMVLGKYPFLGETLQDTYDKIVNNPLNLPNDMNPELRNLLEGLLCKDPKQRMTLNVVAEHSWVIGEDGPIPQYLCWCKRNSYQRGEVILT >EOY30281 pep chromosome:Theobroma_cacao_20110822:9:4056382:4060451:-1 gene:TCM_037547 transcript:EOY30281 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase 3 MAETQSQGAPTLFSPYKMGKFNLSHRVVLAPMTRCRALNGIPRPALAEYYTQRSTPGGFLITEGTLVSNTGAGFPHVPGIYNEEQVEAWKNIVDAVHAKGSFIFCQLWHVGRASHSVYQPDGAAPISSTGKPISKRWRILMPDGSYGIYPKPRALEIPEIQEAVEHYCRAALNAIRAGFDGIEIHGAHGYLIDQFLKDGINDRTDEYGGSLANRCKFLMQIVQAVAAAIGADRVAVRISPAIDHLDATDSNPLNLGLAVIERLNQLQLQLGSKLAYLHVTQPRYHAYGQTESGQHGSEDEEAHLMRTLKRGYQGTFMCSGGFTRELGMQAVAEGDADLVSYGRLFISNPDLVLRLKVNAPLSRYIRKTFYTQDPVVGYTDYPFLSEEKGTQFQSRL >EOY33486 pep chromosome:Theobroma_cacao_20110822:9:37780146:37781489:-1 gene:TCM_041459 transcript:EOY33486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQITFSSINHEYTATSHVSIGPTQTSGTHVEQAHISNSSTRPVKTGDATIRWTQPSSVQPFEPMHAGPLPLELSTSQDKTHLDLVPEFIRLPSPALESSPSPISTEQFGPPTIEPHAVTSKRIRQVTNNLFGSSISHSSHPSVNSTVYLLSHNISYFKFSMTYTTFSATISSVDEPRRDAMAKEISTLEANHTWILVLLPLGKCAIDSKWIFKVKFNPNGIVKRHKV >EOY34586 pep chromosome:Theobroma_cacao_20110822:9:41520860:41523642:-1 gene:TCM_042206 transcript:EOY34586 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MSTHGEVVCVTGASGSIGSWLVKLLLARGYTVHGTVKNLRDEKETKHLESLEGAESRLRLFQIDLLDYDSIATAINGCAGVFHLASPCIVDPVHDPQKELLDPAIKGTLNVLTAAKELGVKRVVVTSSISAITPSPNWPADKIKAEDCWSDIEYCKHNELWYSISKTLAEKAAWEFSKEKGLDVVVVNPGTVMGPNIPPTLNASMFLLLRLLQGCTDTYQDIFMGSVHFKDVALAHILVYENSSATGRHLCVEAISHYGDFVAKVAELYPEYNVPRLPRDTQPGLLRAKNGSQKLMDLGLEFLPMEQIIKDAVESLKSKGFIS >EOY30939 pep chromosome:Theobroma_cacao_20110822:9:6363025:6364230:-1 gene:TCM_037979 transcript:EOY30939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLRKMRFRWIPGCCHDDGTTLWLVPNHMKKIMSLTFPTSPPPCQKISHLTPRCLYYTSKAINNSTNAGEFAALSAYVAGPRIATYARRWVVHKIAQPLVFIPHSSRACLVAERAIHHLDQDHLLCNHFWHPFKNIRYGVTNLIPKQATVTSALLIFLFYRSSRKKMSLLSKVIVASWYKKHPDGDDDGNDGYDYAPAACIEGNGDDDDGDYDCTPAASLEGDDDDDDDDDGSYDYASAA >EOY34629 pep chromosome:Theobroma_cacao_20110822:9:41640957:41643259:-1 gene:TCM_042238 transcript:EOY34629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase MTHRRRRLVASWTPTSYQNVPCEDTSDSHFTLRSFFYFLLLILYLAPKHFFQFGLILYQFISRSRTMDPFALKPNILKNILVRILSFGVLIFAVRFAYFVAIRGQSCVAGDDFCLFNVRRVSSVPVKSQKGIDYYSSVFQDLIAEGFLSPTSKSLCIVETQTGEEVHALKRIGVSESIGISKKASPPLILRGQAWNQPFKGNTFDFEFSSGLERLGSPVELGSEICRTLKPGGFLVVHKTAKDAYSLQSFLDLFNCLRLIRSRETDDPDSSTTIQEIVMKKESKERQHSPLDGDSGGKCSVRELRREIIRNAEPLIKQEPLKPWITLKRNIRNIKYLTSMVDISFKRKYVYVDVGARSYGSSIGSWFKKQYPKQNKTFEIYAIEADKAFHEEYKMKESVKLLPYAAWIRNETLFFEITRDPRKKNAVEKGRGMGRIHPVQSSISYVEDVDKVQGFDLAEWLKNVVSERDFVVMKMDVEGTEFHLMPRLIETGAICLIDEVFLECHYNRWQRCCPGQRSPKYQNTYDQCVDLFDSLRARGVLVHQWW >EOY31928 pep chromosome:Theobroma_cacao_20110822:9:17911105:17912658:-1 gene:TCM_039292 transcript:EOY31928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRISYLKKPIPSYLVPVKLPYYIHIAVHLLWCTMNLAKLGLSILRKKAINLFRFLQVFYKVDILIMTNTTFGHVGLRFNIQNGKPVDEYINKTRA >EOY33887 pep chromosome:Theobroma_cacao_20110822:9:39260934:39265199:1 gene:TCM_041728 transcript:EOY33887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transporter, putative MAGLKIPDKPEVVHFSSSTYMKIKCKEFSKFKLPQEHDAMIIAVESSKDHAEKQSKMKMGQMTFFWGKNTEDIFPGWPGRSLCSYFLGLIWVFLLSLMVERLSHTRFIKPGSNHVTAGLLQTVMYAIRVALAYLVMLAVMSFNVGVFVASVAGYAVGFLVYGSRVFRKSEFGPFEEPSDIPPLNC >EOY34748 pep chromosome:Theobroma_cacao_20110822:9:42020331:42024679:-1 gene:TCM_042328 transcript:EOY34748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISGLIWNVRGISGRVIQRRLKKLQLMHKIKILAILEPMVDISKAEFFRRKLGFEKVIVNSSQKIWLFHSLELHSDIILDHPQCLHVRLTSPWLEKSFFATFVYAKCTRSERTFLWDCLRRLAADIEVPWLVGGDFNIILKREERLYGSAPHEGSMEDFASVLLDCGLLDGGFEGNPFTWTNNRMFQRLDRVVYNHQWINMFPITRIQHLNRDGSDHCPLLISCFISNEKSPSSFRFQHAWVLHHDFKTSVEGNWNLPINGSGLQAFWSKQHRLKQHLKWWNKAVFGDIFSKLKEAEKRVEKCEILHQQEQTIIAQATGFNHQLLPITYLGAPLYKGHKKVILFNDLVAKIEERITGWENKILSPGGRITLLRSVLASLPIYLLQVLKPPVCILERVNSLAEVFEAFSMKLWWRFRTIDSLWTRFMRMKYCRGQLPMQTQPKLHDSQTWKRMLTSSATTEQHMRWRVGQGNLFFWHDCWMGDAPLISSNQEFTSSMVQVCDFFMNNSWNVEKLKTVLQQEVVDEIAKIPIDTMSKDEAYWTPTPNGDFSTKSAWQLIRKRKVVNPVFNFIWHKTVPLTTSFFLWRLLHDWIPVELKMKSKGLQLASRCRCCKSEESIMHVMWDNPVAMQVWNYFAKLFQICIINPCTINQIIGAWFHSGDYCKPGHIRTLVPLFILWFLWVERNDAKHRNLGMYPNRVVWRVLKLIQQLSLGQQLLKWQWKGDKQIAQEWGIILQAESLAPPKVFSWHKPTTGEFKLNVDGSAKHSHNAAGGGILRDHAGVMVFGFSENLGIQNSLQAELLALYRGLILCRDYNIRRLWIEMDAISVIRLLQGNHRGPHAIRYLMVSLRQLLSHFSFRFSHIFREGNQAADFLANRGHEHQNLQVFTVAQGKLRGMLRLDQTSFPYVRFK >EOY29919 pep chromosome:Theobroma_cacao_20110822:9:2815050:2816614:1 gene:TCM_037302 transcript:EOY29919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell wall invertase-like protein MFIKMQVDCAAYLMKTRRSWLELTFKYLYLSSLKVCTNIELFTMAESVIWLVGLFFLLGGNVVQGSQGNDKNPKPSSTGQPCRTAYHFQPPKNWRNDPNGPMYFNGVYHLFYQYNPYAAVWGNMTWGHSVSYDLVNWIHLERALYPGILMTSMVAGLAQPHSFPRENLSFYTLELILKIVKLKAWHCLRTFLTPSLGNGSNLLIIL >EOY30715 pep chromosome:Theobroma_cacao_20110822:9:5525426:5529680:1 gene:TCM_037829 transcript:EOY30715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA splicing Prp18-interacting factor, putative MAMQQLKKRYQWSSSWDKLSERQVEYDRAGRVIKGMETLFPKSKYEEDVFIKNHTSVWGSRWKGHRWGYKCCKQKNFEIAPTTPLPSASGCKRTIRNSYCTGAAVIEAAEAATDLMKANIARKAVSEDVPPPAEEKKLATWGTDVPYDLVIDEKLLTEALKEEDERKRKYNIRWNDEVTAEDMEAYWMKKIHHDDPMKDFLNYCVILYM >EOY30348 pep chromosome:Theobroma_cacao_20110822:9:4294798:4297078:-1 gene:TCM_037589 transcript:EOY30348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein MAKSMKLAGLKSVDNAHDESVWAATWVPATDTRPALLLTGSLDETVKLWRPDELELVRTNTGHCLGVVSVAAHPSGVIAASASLDSLVRVFDVDTNATIATLEAPPSEVWQMQFDPKGTTLAVAGGGSASIKLWDTATWRLISTLSIPRPEGPKPSDKSSSKKFVLSVAWSPDGRRLACGSMDGTISVFDVARAKFLHHLEGHYMPVRSLVFSPEPDSRKLYSASDDGHVHIYDAEGKALIGAMSGHTSWVLSVDVSPDGEAIATGSSDKTVRLWDFKMRAAIQTMSNHTDQVWAVAFRPGGGGRLASVSDDKSISLYHCS >EOY30833 pep chromosome:Theobroma_cacao_20110822:9:5946791:5951253:1 gene:TCM_037907 transcript:EOY30833 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein MSTELAISTDRVQKFIDDLEAHKTILSTCTQLFTALTKHFSSLQDSLSQKSQSLDSKFLSLSSTSSQTLESLSLRESSIPDRESAVTARIETQKESALAEFQNPNTSAQLSESLKSLSRRMDSTGLVKFIVSKRKESVSLRAEISNALYEAVDPPRLVLEAVDDFVSQKIGKTGGLTDKRWACGMLVQALFPDANWKGKKGEGPEFARSIGERAAKVVEKWKGQLDGEGEVVGAAEAVMFLQMVVGFELKERFEEGFLRKLVVDFASRRDMAKLAAALEFGDKMGDIIDELVKNGKEIEAVYFAMEAGLTERFSPVSLLKSYLRNSKKNATTILKNENYSAAATEESNNVELNSIKAIIKCVEDHKLESEFSVDSLRKRATQLEKVKADRRKSSSATGKPQNKRGHNAGSSRGSGPSAFRPAKAAKFSNSYPPFSRRNPASSAQHSPAARYSGPYNYAGQNVFEGPTAPYAAAYGVAHSQSPAAVTQQHYPHPVDNVGAAGYRNSGAFGGQTSYGAYDYVSAPPSAYQPSSYTQ >EOY30748 pep chromosome:Theobroma_cacao_20110822:9:5678527:5687780:-1 gene:TCM_037854 transcript:EOY30748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase/isomerase family MAVTVVTMEVGNDGVAVISISNPPLNVLTVAIIAAMKEKFSEATRRSDVKAIVLTGKGGRFSGGFDISAFQKVHKSGDVSDSPNESFDLIVNTIEGFLLYSGIRVLLQSVVLYVIVKIAEPVVAAVEGLALGGGLELAMGCHARIAAPKTQLGLPELTLGVIPGLGGTQRLPRLVGVSKAVEMLLFSKPIMSEEGKFQGLIDDIASSEELLRASRLWALGIVERRKPWVRSLHRTDKIGSLSEAQGLLRNAREQAKRTAPNMPQHLACLDVVEEGILHGGYAGLLKEATVAKELVLSNTSKALAHLFFAQRTTAKVPNITDVGLKPRHVKKVAIIGGGIMGSGIATALILSNISVFLKEINSEYLLKGMKTIEANIQGLVTRGKVTQDKGQKALSMLKGVSDYSEFKDVDMVIEAVIEDVPLKQKIFTELEKVCSSCCILATNTSTIDLNVIGEKTNSQDRIIGAHFFSPAHVMPLLEIVRTEKTSPQVILDLLTVGKIIKKVPIVVGNCTGFAVNRTFFPYMQGPHLLVNLGVDLFRIDRVIRNFGLPIGPFQLQDLGGYRLGLAAGKEFANAFPDRTFRSPLTELLLKNGRNGKSNGKGFYIYEKGSRPKPDPSVLPIIEESRQLMNIMPGGKPISVTDKEILEMLLFPAVNEACLVLDEGVVARASDLDVASVLGMSFPSYRGGIMFWADTVGSNHIYTSLKRWSEIYGSFFKPSRFLEERAVKGMPLSAPATSSPKSNSKL >EOY33950 pep chromosome:Theobroma_cacao_20110822:9:39454119:39457440:-1 gene:TCM_041777 transcript:EOY33950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 16 MAPTLTSNSFLLTTTPRSRQTLTNPRFTVFAKRSGPFQLGKAKDSPEEGQTEDSGNSTSFRFNFGKVPDVKTLVPLVSKPSTGISFGNARRKDPATVFVAGATGQAGIRIAQTLLRQGFTVRAGVPELAAAQELARLAAQYKIISNEESKRLNAVESTFEDAESIAKAIGNASKVVVTIGRGENGPTSEVSTADALQVIEAAQLAGVGHVAIVYDGNQAGASTYNVLDGITSFFSNLFSQSQPLSLPEFLQKLIQTDVSYTLLKTTLIEDYSAESPYNIVVSAEGSIGANAYKVAKSQIASLVADVFSNTAVAENKVVEVFTSPSAPLKGVNELFSAIPEDGRRKAYAEALAKAKAEEEATVAAEKAREAAEATKKLEEEVKKLSKQEARATSLAEEAQEKAEAAGASVEGLLSKARGFSSGLSWEKFSSQMATAVQKPSDEEKPKVQIATVRGQAKARTLPSKKAVVKQPAPKSFFPKPKETPKTKAKETEKTEVRKVFGGLFQQETIYVDDD >EOY33315 pep chromosome:Theobroma_cacao_20110822:9:36665577:36669322:-1 gene:TCM_041271 transcript:EOY33315 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein MVAKKKKKNRKEKTHPANFFQKPEQTMDLGGEKRRVVIVGGGIAGSFLAKSIQFNADVTLIDPKEYLEITWANLRNMVEPSFAERSVINHRDYLTNGRIVTSAAINVTETEVLTAEGRLITYDYLVIATGHKDPVPKTKKERLDRYQAENQKIQSAHSILIIGGGPTGVELAGEIATDFPEKKVTLVHKGQRLLEFIGLKAADKSLRWLRSRKVEVKLEQAVDLNSTSDGSQEYQTSTGESIKADCHFLCAGKPLASAWLNETILKTKLDKSGRLMVDEYLRVKGHSNIFAIGDITDIPELKQGYLAHKHALVVAKNLKLLMAGGKESKMSTYEPGSAIAMVSLGRKDAVAQFPFTTISGCVPGLLKSRDLFIGRTRKQMGLQP >EOY34411 pep chromosome:Theobroma_cacao_20110822:9:40987298:40988304:1 gene:TCM_042092 transcript:EOY34411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNKWFPTLRQAAQVGLRLIQLILLAPALEHDGVSDVILQTPLGNLLSNHVNGVKATLLYKSIQKHGVGEGIQVKTVEPHSAELLECHLWFPCNGIVHDQRVVLSDLVNVPMGHIILQELFKRHRLELRFRKNLEDGMEGGSGVVEPRLAACPVEELEAGENVALRGGVAIGEDLEDKGLGESEVEVGQPGGCIVHGDLAVGV >EOY32805 pep chromosome:Theobroma_cacao_20110822:9:33759862:33760699:1 gene:TCM_040832 transcript:EOY32805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein, putative MASCTTKCMALIMGAFFGYLNFIEKDKPRVAASAECYGALNSIGMKCTCKLITKEIEQTISMEKLIYVAGACGQQLPQRTQCGSMTVFH >EOY29901 pep chromosome:Theobroma_cacao_20110822:9:2741954:2746484:-1 gene:TCM_037286 transcript:EOY29901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMMLGEEGSKSPETELYGDIAGKLNVLLSDSDDESEFSNEGLVREEKLEEIMQELYREIQSSPYNTSPPTATLTSPSSSSSSQFHLSPPPFFAVSDVKSESCGASMSDSASTVMAGIEFVGPAGNFPDVKVGLPETRNGPLENELWLMGTGMGMGMGIGMGLGFDDNDGNVVGGGVQGLGEAKMEGCDEGEVGDDQWLARVLGWGPLELEEWT >EOY30832 pep chromosome:Theobroma_cacao_20110822:9:5944194:5945633:1 gene:TCM_037906 transcript:EOY30832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNNLASWCEMVTMMYKNSFICTKTWKEANDFVRPTVTIKTISLFQVSLFGLRAETKLWFSTAMDLRNWCNSGCKTGCLGLVYHEIEPVNSSSTTTGSNKLKWKVLWMKFKKEKRKICESPAQVPYDPYTYSQNFDQGFAWDDPDNLSRSFSMRFADPSSVFLRKVAV >EOY30484 pep chromosome:Theobroma_cacao_20110822:9:4753476:4756197:-1 gene:TCM_037681 transcript:EOY30484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin2 MGVANNITAVLNFVALLCSIPIIAAGIWLASKPDNNCIHLFRWPVVLLGFLILLVSLAGFVGAYWYKETLLAFYLCCMAILIGLLLILLVFAFIVTRPDGSYDVPGRGYKEYRLDGYSSWLRNHVVDSKSWNKIRACLADTDVCPKLTQQYITADQFFAAHITPLQSGCCKPPTICGYTYVNPTLWSNAANPTGDADCYLWSNDQSQLCYNCNSCKAGLLGNLRREWRKANIILIVAVVVLIWVYIIACSAFRNAQTEDLFSRYKRGWT >EOY32061 pep chromosome:Theobroma_cacao_20110822:9:19798550:19813262:1 gene:TCM_039501 transcript:EOY32061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyl-oligosaccharide 1,2-alpha-mannosidase IA MGKKSSSSSSSWWRRGGWRYLHPSCCLKRPKRLAFIIFVFISLNYFLWDRRTLSSAHQRKVTTLNQQVTSLQNQVLELKSKLRGSGVEKKIIEIGDDDDDNNNIKKQVAKDDGDPINIERRQKVKDAMLHAWNSYEKYAWGQDELQPQTKNGVDSFGGLGATLVDSLDTLFIMGLQEQFHRAREWVANSLDFNKDYEASVFETTIRVVGGLLSVFDLSGDDIFLQKARDIADRLLPAWNTASGIPYNRINLAHGHAYNHRWTGGNSILADSGTEQLEFIALSQRIKDAKYQQKVENVIKEVHKIFPSDGLLPIYINPHSGTTAYSTITFGAMGDSFYEYLLKAWIQGNKTEAVKHYRDMWETSMKGLQSLIRRSTPSSFTYICEKTGNSLSDKMDELACFAPGMLALGSFGYGPGEAEKFVALAEELAWTCYNFYQSTPTKLAGENYFFRTGQDMTVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFERNSRTETGYVGLKDVNTGVKDNMMQSFFLAETLKYLYLLFSPPSVIPLDEWVFNTEAHPLKIVARSSDEEK >EOY29864 pep chromosome:Theobroma_cacao_20110822:9:2607885:2608869:-1 gene:TCM_037264 transcript:EOY29864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 3 isoform 2 VVSNCRALASRLVELGYQLVSGGSDNHLVLVDLRPLGIDGARLEKILDMASITLHKNSVPGDKSALVPGGIRIGSPAMTTRGFTEKEFTAIADFIHEGVQITVNAKGLVSGSKLQEFLEFVVSLDFPLTDKVASLRSRVEALTTQFPIPGV >EOY29863 pep chromosome:Theobroma_cacao_20110822:9:2607830:2610901:-1 gene:TCM_037264 transcript:EOY29863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 3 isoform 2 MIFLLPRWVLLPRRRNYQSTECSILSVSNCRALASRLVELGYQLVSGGSDNHLVLVDLRPLGIDGARLEKILDMASITLHKNSVPGDKSALVPGGIRIGSPAMTTRGFTEKEFTAIADFIHEGVQITVNAKGLVSGSKLQEFLEFVVSLDFPLTDKVASLRSRVEALTTQFPIPGV >EOY32494 pep chromosome:Theobroma_cacao_20110822:9:30360070:30360935:-1 gene:TCM_040446 transcript:EOY32494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAPYEALYGRKCRTPLCWDEVGERKLVNVELIDLTNDKVKVIRERLKTAQDRQKNYSDKRRKDLEFEVDDKVFLKVSPWKGVIRFAKRGKLNPRYIGPFRIIERIGPVAYRLELPPELDRIHNVFHVSMLKKYVPDPSHILETPPIELHEDLKFEVQPVRILDRKDRVLRNKSIPMVKVLWKNARMEEMTWEVESQMRNQYPHLLFKSGK >EOY34402 pep chromosome:Theobroma_cacao_20110822:9:40928925:40929684:-1 gene:TCM_042084 transcript:EOY34402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTLRKDGRIPSTYIINSPFKPSSQGLITILNIPGSAMDPPPPPSTPLLASAPPQDGGFWESCLWLLCCCGVFRSCCPPLFEPGPPPP >EOY31995 pep chromosome:Theobroma_cacao_20110822:9:18757417:18758460:-1 gene:TCM_039385 transcript:EOY31995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLTSFIIAIPSKLNTNSQSSLLKVIPPRIDLSHSSPCKSPLKYSKVACLISLWFEIQVPFLRIPDPNMILVGSSCSLGMKELVFLSPFSHH >EOY29368 pep chromosome:Theobroma_cacao_20110822:9:929848:932847:1 gene:TCM_036925 transcript:EOY29368 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein MLFCFSQQKREQNCKETSMATKRHENYPIVRVTKTVSVLPKSLHPQQVLRLSNLDRQCPMLMYMVFFYKSSSAYQSLSLDSVFSSLKSGLEETLSIWYPAAGRLSLNPNDGKLDLWCNNNGAVLVEAVTDAKIIDLGDLSQYNEFFECLSYKPVFHGNFSDMPLLVAQVTRFGCGGYSIGIGASHSLFDGPATYDFLRAWASNSAILKEKRGTELSKPVHQRGTLLVSNQGRTKLPVSGSTATRAAAIDHLYQLIKQAIAGQKNCGPDMKFGTGNLPDMGNSNLVLKTFHLSDAMIESLKRKVFGGRRGSSSCSSFELLAAHLWKARTNALGVRKGAMVCLQFAVDIRNKMVPPLPKGFSGNAYVLASVALTAEELEEASHEAIVGKIKEAKNSISNDYVNAYNQALDGPQSTLPPMNELTLVSDWTRMPFHRIDFLHGEAAYASPLASPIPQVAYFMQNPTDSRGIDVRIGLIPQTLNAFSHYFLTNLQ >EOY29931 pep chromosome:Theobroma_cacao_20110822:9:2845837:2849801:-1 gene:TCM_037311 transcript:EOY29931 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 6 MSQANYSKMPHRLTLFLLQQSNQDLQCTDQRDPLSGKWVKSLIGLKKTEKDDQEKVSGKSKKWRLWSSSGDLGSSWKGFKEKFRADSEGSGSSPRTDAFSAAMAKVVRAPPKDFRVVRQEWAAIRIQTAFRGFLARRALRALKGIVRLQALVRGRQVRKQAAVTLRCMQALVRVQARVRACRVRMSTEGQAVQKMLDERRSKADILKEAEDGWCDSKGTLDDIKAKLQMRQEGAFKRERALAYSLAQKQWRSNPGSTTRTNTSIPYLKNQEFDKNSWGWSWLERWMAARPWETRLMEQSQADPSEATPPSKSCSESLVGKNTKPSEPCSVKVRKNNITTRISAKPPHIGLVTCSSSSPSSEFRFDESSASSSICTSTTPVSGNTILASDRTEESGNSRPNYMNLTESTKARQRAGNHALQRIQRQSMDEFQFKRSAAFYNGDSKSSAGSDPSVHMSRPLYPPTRLDKSSMKPRDRGNCLYD >EOY34668 pep chromosome:Theobroma_cacao_20110822:9:41758943:41759916:-1 gene:TCM_042267 transcript:EOY34668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase-related protein, putative MCPLRLILIFLSATLAGFFVIRNLKSQPQANDEDEPQTNTNINEDDNSLSAFSKVRTAIESGFWTCVDMASGRYLWRHLVSSNSKRST >EOY29563 pep chromosome:Theobroma_cacao_20110822:9:1555993:1560035:-1 gene:TCM_046913 transcript:EOY29563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein MDFGFFGSVLILSLFFKHFTCQLPNTDEFYVSDFLKKMGSNSSLSYNFSASVCSWEGVHCDAKKENVIELKASGLGLSGLIPDTTIGKLTQLQSLDLSNNNITALPSDLWSLGSVKSLNLSSNQISGSLPNNIGNFGLIKVIDLSGNNFSGEIPTAISSLVNLQVLNLNGNGFQWSIPRGILSCQSLVLLDLSSNRLNGSLPDGFGAAFPELKTLNLARNEISGRDTDFAEMKSLTSLNISGNLFKGSVMGVFQGQLEVIDLSKNQFQGHISQVQFNSTYNWSHLVYLDLSENQLSGEIFQNLSQAQNLRHLNLADNRFVRQKFPRIEMLLGLKYLNLSESSLIGHIPGEISLLTNLHTLDISSNHLTGQIPSLANKSLKILDVSHNNLSGEIPISILEKLPWMDRYNFSYNNLTLCASGFSPETFKTAFYGSLNSCPIAANPILFQRRANGHKGFKLALALTFSMVCLLAGLLFLAFGCRRKSRTWVVKQPSYKEEQNISGPFSFQTDSTTWVADVKQATSVQVVIFEKPLLNITFADLLSATSNFDRGTLLAEGKFGPVYRGFLPGGIHVAVKVLVHGSTLTDQEAARELEYLGRIKHPNLVPLTGYCLAGDQRIAIYDYMENGNLQNLLHDLPLGVQAIEDWSTDAWEEDNDGIQNVGSEGLLTTWAFRHKIALGTARALAFLHHGCSPPIIHRDVKASSVYLDLNLEPRLSDFGLAKIFGTGLEDEIARGSPGYVPPEFSQPECDAPTPKSDVYCFGVVLFELITGKKPIRDDYTEEQEATLVSWVRGLVRKNQGSRAIDPKIRDTGPDYQMEEALKIGYLCTADLPTKRPSMQQIVGLLKDIEPRAPQ >EOY29540 pep chromosome:Theobroma_cacao_20110822:9:1500522:1509266:1 gene:TCM_037047 transcript:EOY29540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein, putative MVLFLIRQSSLSFRVSFFFTLLLLSSFHVFVSVSVSNSSVPRDESTREKEANALLKWKASLDHRSQSVLSSWLGNDTCYWTGIICDKSGRVSHLNLSNSGLIGTLHDFSFSSFPTLAVLDLWNNSLNGFIPLYIGKLSRLTYLDLSFNHFNGIIPSDIGNLTNLFFLYLFNNKLSSAIPQQVGMLKSLYKFTLSDNNLVGPLPNSIGNLSNLSDLRLFNNKISGPIPQEIGMLRSLNWLDLSNNSLTGTISSNIGNLSKLTYLSLFSNYFFGNIPFEIGELRSLSELYLEENILIGSIPHSIGNLTNLFFLYLFNNKLSGAIPQQVGMLKSLNELDLSQNNLIGSLPISIKNLINLSYFRLMNNKISGLIPREIGMLRSLHHLYLTNNSLTGEIPTSIGNLKKLSYLYLNHNKLSGFIPSSIGNLTNLIELILNHNKLHGSIPRELGKLQSLVGLMLHNNDLHGFIPAEMNNLTRLQSLQLAENYLAGHLPQQVCLGRALEDFTAHNNLFTGPIPKSLKNCTSLYRVRLEHNQLTGNLSEDLDIYPNLDYLDLSYNKFYGELSPKWGQCHNLTSLKLSNNNISGEIPSELAKATKLHVCDLSSNNLVGEIPKELGELRLLFELMLKDNHLSGSIPPEIGKLFDLTNLHLAANNLNSSIPRQLSLCEKLIELNLSSNRLGGEIPSELGSLSFLEILDLSQNLLIGEIPYQVGNLKTLEKLNLSHNKLLGFIPSTFADMLSLISVDISYNQFEGPLPNNKAFHEASFEAFRNNKALCGNITDLEPCSSNVNHNLDRKIVIATVVSVLCSLLLVFVVFGILSCIKQRERNTENTPKMVESPNLFAICNYDGKMMYENIIEATEEFDSKYCIGVGGYGSVYKAQLSDGQIVAVKKLHPLPEGGVADQKAFHSEIRALTEIRHRNVVKLYGFCSHPRHSILVHEFLEGGSLEKILSTKEQAMEFDWIKRINFIKGVANAVSYMHHDCIPPIVHRDISSKNILLDSEYEAHVADFGAARLLKPDSSNWTSFQGTFGYSAPELAYTMKVNEKCDVFSFGVVTSETLMGRHPGDLISSLSSSFSSYSPSCSSSATVNHLLLKDLLDQRLPPPRKQVAAKLVSIVKLASTCLHASPQSRPSMQQVSQELSIQNPPLANQFHTFALGELLDSSSRTS >EOY32267 pep chromosome:Theobroma_cacao_20110822:9:25490066:25493561:1 gene:TCM_039966 transcript:EOY32267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised conserved protein UCP022280 [Source:Projected from Arabidopsis thaliana (AT4G26410) TAIR;Acc:AT4G26410] MGGEEGGGGGTADSTTTATTGWVEELQRSLQDSKESAIQSARSLHHNSSTHLRSLQDFVPHAFSRYKSYEDAFVNKLKEELMSAKEHPAAAIGITVTAALFLMPGPRRLLFRNTLGRFQSEEAQFLRAEKNVKELNLSVDMMKKESSKLLERAALAEKDMKRGHKELMNAGGQIQHLAKSVYKAEAQAADLMDGLREIPGREALKLRAEVASMASLLRQQRVSLDKRIMKISELGIPV >EOY33274 pep chromosome:Theobroma_cacao_20110822:9:36193286:36198561:1 gene:TCM_041217 transcript:EOY33274 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MGKNEKTGLGRALMKHHNSMIQQSKEKGRFYKSQHKKVLESVTEVSDIDAVIEQAEEADQLFSIQHPTPNLLINLDTSSSTSGMTPEERREQQKKEEALHASSLRVPRRPSWNAGMSVEELDANEKQAFLVWRRSLARLEENEKLVLTPFEKNLDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEAYAREIDEHKRTLLLVNKADLLPVSVREKWAKFFRSHKILFLFWSAKVASATLEGKMLTDPWKTQNSMQKSDDPDTKIYGRDELLARLQSEAEEIVKMRKSGSSTSRSSNIQSPSCNAEGTSAPKNVVVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLIISDELTLCDCPGLVFPSFSSSRYEMIASGVLPIDRMTEHREAVQVVANRVQRHIIEDVYKINLPKPKPYESQSRPPQASEFLRAYCASRGYVASSGLPDETRAARQILKDYIDGKLPHYEIPPGMADEGGVEDDGKPSLSEVHNSDASDVDGSLEDGSETTPVLKHVLDDLSSFDLANGLASKKATVKKSNASHKHHKKPQRTKDRSWRVGNDDDDGMPVVRVFQKPVNSGPLNG >EOY33843 pep chromosome:Theobroma_cacao_20110822:9:39146654:39148140:1 gene:TCM_041698 transcript:EOY33843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galacturonosyltransferase-like 3 MPSSKPLNIFLLLTVTINLCFAELPSFREAPAFRNGGECPKTTWSSLDKKVHNPSLIHIAMTLDTAYLRGSIAGVFSVLHHATCPENIVFHFITTHRRGAELTRAITSTFPYLNFHLYHFDSNLVKGKISSSIRRALDQPLNYARMYLADLLPAGVRRIIYFDSDLIVVDDVNKLWSINLGSHVLGAPEYCHANFTNYFTSKFWSNPVFTASFKGRSRNPCYFNTGVMVIDLWKWREGKYTEKLENWMRIQKRYRIYELGSLPPFLLVFAGNVEGMEHRWNQHGLGGDNLEGLCRALHPGPVSLLHWSGKGKPWLRIDSKKPCPLDSLWAPYDLFRHPSLFSDS >EOY34086 pep chromosome:Theobroma_cacao_20110822:9:39894410:39895108:-1 gene:TCM_041870 transcript:EOY34086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRGQVLIYLLLVWLLLAVSQLQESQINVQAIESVHFKLRPRSSMPKPRTRNALPTWVETKKIHKSPSGPNPVGNHRPPSRE >EOY29379 pep chromosome:Theobroma_cacao_20110822:9:989611:993719:-1 gene:TCM_036937 transcript:EOY29379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase superfamily protein MKLLPLLVFLFSLVSIHETIIVVAVPPVSSPISSPISDSMTAFSPGIQVGSEEHKKMDPPKKMFIALILACSSLGAIISSLCCLWIYCRKNSPKSKKNDAKSSDAEKGNRLAPFFGKFKSIRTVSKEGDASFMDYKILEKATSKFHQGNILGEGGFGCVYKAQFDDGSYAAVKKLDCASHDAEKEFENEVDLLCKFKHSNIISLLGYSSDNETRFIVYELMQNGSLETQLHGPSHGSSLTWQRRMKIALDTARGLEYLHEHCSPPVIHRDLKSSNILLDSDFNAKLSDFGLAVTDAAQNKNNLKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELLLGRKPVEKLAPAQCQSIVTWAMPQLTDRSKLPNIVDPVIRNTIDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPMELGGTLRITQPADP >EOY34735 pep chromosome:Theobroma_cacao_20110822:9:41974126:41976830:1 gene:TCM_042319 transcript:EOY34735 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIRB2-interacting protein 2, putative MLDWADEVNSDFLNKEEENQSSSSDSDMTNTPPYLSPPRSACLVVRSLFMWSLVVDNVTISSQLPIRVICFLESTCHKSCLLSFSAANIILWRNQKISAGIFAGTTVIWLLFEGIGYHLLTFVCHSLTLSFATLFLWSNLASFFNISPPEFPKVTLPEDLFVKIALLLRSEFNRASATFPDVASGNDLKKFLAVIVVLWALSVVGSWFSFLTLFYLVFVMLLTVPMLYEKHEDAGDAYAGKSLAEIKRQYAVIDEKVLQKLPASLFHHKQH >EOY29664 pep chromosome:Theobroma_cacao_20110822:9:1985075:1991369:1 gene:TCM_046915 transcript:EOY29664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin family protein MAPPAQSQRSSSPSQPSGKSEVSDLKLQLRQLAGSRAPGVDDSKRELFKKVISYMTIGIDVSSLFGEMVMCSATSDIVLKKMCYLYVGNYAKVNPDLALLTINFLQRDCHDEDPMIRGLALRSLCSLRVANLVEYLVGPLGSGLKDSNSYVRIVAVIGVLKLYHISVSTCVDADFPSILKHLMLNDSDTQVVANCLSALQEIWSAEASTSEEASREREALISKPVIYYLLNRIKEFSEWAQCLVLELVAKYMPLESDEIFDIMNLLEDRLQHANGAVVLATIKVFLQLTLSLTDVHQQVYERIKAPLLTLVSSGSPEQSYAVLSHLHILVMRAPYIFSSDYKHFYCQYNEPYYVKRLKLEMLTAVANESNTYEIVTELCEYAANVDIPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDYVTAEALVLVKDLLRKYPQWSHDCIAVVGNISSKNVQEPKAKAALIWMLGEYSQDMQDAPYILESLVENWDEEHSAEVRLHLLTAVMKCFFKRPPETQNALGAALAAGIADFHQDVHDRALFYYRILQYNVSVAEHVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLSVVYQKPSYMFTDKEHRGPFEFSDELGNLSIGGEAADNVVSAQRVEANDKDLLLTTSEKEETRGSSNNGTDYTAPYDSSSTSVFASQTRMELEISNPTSAGHAPQASLGIDDLLGLGLPAAPAPSSPQLKLSSKAVLDPSAFQQKWRQLPVALSQECSVSPQGVAAFTSPQALLRHMQSHSIHCIASGGQSPNFKFFFFAQKAEETSNYLVECVINTSSAKAQIKIKADDQSTSSAFSTVFQSALSRFGIP >EOY29267 pep chromosome:Theobroma_cacao_20110822:9:595727:602093:1 gene:TCM_036860 transcript:EOY29267 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONSTANS-like 4 MASKLCDSCKSATATLFCRADSAFLCSNCDSKIHAANKLASRHSRVWVCEVCEQAPAHVTCKADAAALCVTCDRDIHSANPLARRHERVPVTPFYDSVNSVPAVKPNGVVNFLDERYFSEVDGDADVSREEAEAASWLLPNPNHKAVESPDVNTGQYVFSEMDPYLDLDYGHVDPKMEAQEQNSSGTDGVVPVQSKSVQAPMVNDHCFDLDFTGSKPFAYGYNAHCVSHSVSSSSLDVGVVPDGSAMTDISNPYGRGAESTHQTVQLSSADREARVLRYREKRKNRKFEKTIRYASRKAYAEMRPRIKGRFAKRSDIEVEADRRNMYGFGVVPSF >EOY31786 pep chromosome:Theobroma_cacao_20110822:9:14005223:14007545:-1 gene:TCM_038915 transcript:EOY31786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase tnp2 MVPHSIWDVITEISHFVRDLCAIEIHVDHMETLQGKICETICKLEKIFPLGCFDYTEHLPIHLPYKVKVFATFEEESKNRASVEGSICEAYIIEKISSFCSWYFEPAVRTRLNRVPHNDDGGDVDSLGRLSIFIHLGQAFGPLDKSRFLDEDEFYAAELYVLMNCEEVLPYIKIFDDIVKGDVVHISEDELEKVRDARFVKWFKNYVAKRKDEIDPRLLEISYGPGHMIRNRFHIPSGGDRENEIDLNEEVYQENVSTSNTGTILDELDNFTVLASGDYEEINLLIEDEEDDMQRDEDEEDDMEGDENEDQDQDQIEDDDCETFNENSDNNEKYEFVYSGSD >EOY32649 pep chromosome:Theobroma_cacao_20110822:9:32502981:32506267:-1 gene:TCM_040670 transcript:EOY32649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRKLMLSLAEFRSAFGVMVPIETSRWLSQARWEFRVVTIKVVSEHGLKIRDFDFKALDFKGFGFKVVLRNPH >EOY32063 pep chromosome:Theobroma_cacao_20110822:9:19918173:19922059:-1 gene:TCM_039506 transcript:EOY32063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRLLYIQHLLFEALNVVHEIFLGLLTGGITAIEVGASVTSTRELIKSRFTTPIALAVLSPFLLFSLVFTTKRKAPLGALSLRCIG >EOY32251 pep chromosome:Theobroma_cacao_20110822:9:24748162:24749597:1 gene:TCM_039916 transcript:EOY32251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein, putative MNVYRDIAAVVMGSKGVPSRDNSIAIRRGRGRSQHRQLDLVKGESTASTFRATPVAEQTETPPHPPPPPSPTGILAMPPKAVQALVAFHTTITGQAQAGQAPPTIPPVAPLVPSPPPPPVPPQVPNVSISKKLKEARQLGCISFTSDLNATAAKDWIIQVSETVTDMKLMAATRLLEKRARTWWNSMKSRSTTPLTWSNFLREFDDQCYTYFHQKEKKREFLS >EOY31931 pep chromosome:Theobroma_cacao_20110822:9:17946841:17947691:1 gene:TCM_039298 transcript:EOY31931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAGYGWNLRHANMHGLHHETLEHNADLFACRVCGDILMGKKALFDHIELHLLLNESATTRQILLSHLSSAQSALFTNHFNQNTVLPTKTGPFSIETYTEYPDLQWVTTPLPIVLGLGTTTCR >EOY29629 pep chromosome:Theobroma_cacao_20110822:9:1808947:1823443:-1 gene:TCM_037118 transcript:EOY29629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, putative isoform 1 MPDGELSADGVEPPVTAKPKAYASPSPIAEVSEENGAKVEKKEKDPRKIARKYQLELCKKAMEENIIVYLETGCGKTHIAVLLIYELAHLIRKPQQKICIFLAPTVALVQQQGRVIEDSLDFKVGTYCGNCRHLKNHQDWEKEMEQYEVLVMTPQILLRSLYHCFIRMDLIALLIFDECHHAQIKSNHPYAEIMRAFYDKATASTLPRIFGMTASPIVGKDASSQMNLPKSINSLENLLDAKVYSIGDKEELESFVASPVVRVYNYGPVDLGPSSSYMLCCSKLEKMKRQCISTLGRKNGDSQCARSTKKLLRRMHDNIIFCLENLGLWGALQACRLLLTGDNSERNELVEDEGSLSDDSVCDRYLAQAADIFASDCRRDGTAHDISDVEILKEPFFSKKLLRLIGILSTFRLQPNMKCIIFVNRIVTARSLSYILQNLKFLSSLKCHFLVGVHSGLKSMSRKTMKKILEKFRTGERYLLFLKTYLSLSLMLLNAKGVAFERMAVICMGKPCLNLLVATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPLSEYAFLVNSGNERELNLIKNFKNDEDRMNMEISFRTSTEVFTSLEERMYKVDSSGASISSGYSISLLHQYCSKLPHDEYFDPRPSFFYFDDIGGTICNIVLPSNAPINQIASTPQSSVDAAKKDACLKAVEELHKLGALNDYLLPLQKNAFEEETVLESSDSGSSEDEDSRGELHEMLVPAALKEPWTNLEDYVLLNSYYIKFIPDPEDRSYKEFGLFVKSPLPKEAERMELDLHLARRRSVMTKLVPSGVAEFNRKEIMQAQHFQEMFFKVILDRSKFLSEYVPLGNNEVFASSSSTFYLLLPVILHNCENKVMVDWKIIKRCLSSPLFKTPAEAVENGNFPSGVCLELANGCRDVRDVKNSFVYAPHKVAFYFITNIVGEKNGYSPYRDSGTLSHVEHLKMSDIHLKHPEQPLLRAKPLFKLRNLLHNRKPEDSESNELDEYFIDLPPELCQLKIIGFSKDIGSSLSLLPSIMHRLENLLVAIELKHVFSASFPEGAEVTANKVLEALTTEKCQERFSLERLESLGDAFLKFAVGRHLFLLHDALDEGGLTRRRSNAVNNSNLFKLATRSNLQVYIRDQPFDPCQFYALGHPCQIICTKETEGTTHSQYNCQADHANSEVRCSRNHHWLHKKTIADVVEALVGAFIVDRGFKAATAFLRWIGIRVDFQHSQVNNVCAASKRFMPLCSKVDTGALENLLGYQFLHKGLLLQAFVHPSHNKHGGGCYQRLEFLGDAVLDYLITSYLFSVYPKLKPGQLTDLRSVSVNNKSFANVAVDRSLHKFLICDSCPLSEAIGKYVDFITSSPERGLFEGPKCPKVLGDLVESSFGAILLDTGFNLNRVWKIMLSILDPIKSLSTVQLNPIRELQELCQSCNWDLKFLTSKSGRNFSVDAKVKAGDVPLAVSAINPNRKDAIRTASQQIYAKLKALGYAPKSKSLEEVLKTSRKMEAELIGFDETPVDVADPDTNGSAKMKLQQSVENDFNPRIHFINKAINLCKPRNSPVSSPMPSFEVKAGCMPSPIEVKGALPCSSNVDPACGIDTPSRGESLQKTARSRLHEICAINCWKPPLFECCEEEGPSHLRSFTFKVMLVIEEAPDMILECFGSPRTKKKAAAEHAAEGALWYLKHEGYLH >EOY29630 pep chromosome:Theobroma_cacao_20110822:9:1809548:1822350:-1 gene:TCM_037118 transcript:EOY29630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, putative isoform 1 MPDGELSADGVEPPVTAKPKAYASPSPIAEVSEENGAKVEKKEKDPRKIARKYQLELCKKAMEENIIVYLETGCGKTHIAVLLIYELAHLIRKPQQKICIFLAPTVALVQQQGRVIEDSLDFKVGTYCGNCRHLKNHQDWEKEMEQYEVLVMTPQILLRSLYHCFIRMDLIALLIFDECHHAQIKSNHPYAEIMRAFYDKATASTLPRIFGMTASPIVGKDASSQMNLPKSINSLENLLDAKVYSIGDKEELESFVASPVVRVYNYGPVDLGPSSSYMLCCSKLEKMKRQCISTLGRKNGDSQCARSTKKLLRRMHDNIIFCLENLGLWGALQACRLLLTGDNSERNELVEDEGSLSDDSVCDRYLAQAADIFASDCRRDGTAHDISDVEILKEPFFSKKLLRLIGILSTFRLQPNMKCIIFVNRIVTARSLSYILQNLKFLSSLKCHFLVGVHSGLKSMSRKTMKKILEKFRTGELNLLVATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPLSEYAFLVNSGNERELNLIKNFKNDEDRMNMEISFRTSTEVFTSLEERMYKVDSSGASISSGYSISLLHQYCSKLPHDEYFDPRPSFFYFDDIGGTICNIVLPSNAPINQIASTPQSSVDAAKKDACLKAVEELHKLGALNDYLLPLQKNAFEEETVLESSDSGSSEDEDSRGELHEMLVPAALKEPWTNLEDYVLLNSYYIKFIPDPEDRSYKEFGLFVKSPLPKEAERMELDLHLARRRSVMTKLVPSGVAEFNRKEIMQAQHFQEMFFKVILDRSKFLSEYVPLGNNEVFASSSSTFYLLLPVILHNCENKVMVDWKIIKRCLSSPLFKTPAEAVENGNFPSGVCLELANGCRDVRDVKNSFVYAPHKVAFYFITNIVGEKNGYSPYRDSGTLSHVEHLKMSDIHLKHPEQPLLRAKPLFKLRNLLHNRKPEDSESNELDEYFIDLPPELCQLKIIGFSKDIGSSLSLLPSIMHRLENLLVAIELKHVFSASFPEGAEVTANKVLEALTTEKCQERFSLERLESLGDAFLKFAVGRHLFLLHDALDEGGLTRRRSNAVNNSNLFKLATRSNLQVYIRDQPFDPCQFYALGHPCQIICTKETEGTTHSQYNCQADHANSEVRCSRNHHWLHKKTIADVVEALVGAFIVDRGFKAATAFLRWIGIRVDFQHSQVNNVCAASKRFMPLCSKVDTGALENLLGYQFLHKGLLLQAFVHPSHNKHGGGCYQRLEFLGDAVLDYLITSYLFSVYPKLKPGQLTDLRSVSVNNKSFANVAVDRSLHKFLICDSCPLSEAIGKYVDFITSSPERGLFEGPKCPKVLGDLVESSFGAILLDTGFNLNRVWKIMLSILDPIKSLSTVQLNPIRELQELCQSCNWDLKFLTSKSGRNFSVDAKVKAGDVPLAVSAINPNRKDAIRTASQQIYAKLKALGYAPKSKSLEEVLKTSRKMEAELIGFDETPVDVADPDTNGSAKMKLQQSVENDFNPRIHFINKAINLCKPRNSPVSSPMPSFEVKAGCMPSPIEVKGALPCSSNVDPACGIDTPSRGESLQKTARSRLHEICAINCWKPPLFECCEEEGPSHLRSFTFKVMLVI >EOY33739 pep chromosome:Theobroma_cacao_20110822:9:38848797:38862256:-1 gene:TCM_041634 transcript:EOY33739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin-like 1 MAFYSKDVDSALHGAGAKPGLEIWCIEDLRLVPVPKSSHGKFYSGSAYVVLSTSVLKSGPPQHDIHYWMGNDANEVDSALASDKALQLDAALGSCTVQYREVQGQETEKFLSYFKPCIIPVEGVYSSQPGNSNGETNQVTLLTCKGDHVVHVKEVPFSRSSLNHNDVFILETASKIFLFSGCNSSIQERAKALEVVQYIKENKHAGNCEVAIIEDGKLVGDSDVGEFWSFFGGYAPIPRDSALAGEQQVDSPVILFWINLQGKLSQIGSNSLDKDMLEKSKCYMLDCGAEIFVWMGRNTSITERKTSISAAEDFLRKHDRSSRTHLTFLTEGLETSMFKSYFNIWPQTAETKLYDEGREKVAAIFKQQGYEVKELPEEDFQSYINCRGTLKVWRVNGHELSLIPVSEQTKLYSGDCYVVQYTYPGNERDESLFYAWLGHRSVLEDRADAIFHMSAIVDSTRGDPVMAQVTENREPVQFFLIFQTLIVYKGGISAGYKKFIAESGIDDDTYDEKKTALFRVQGTSPENMQAIQVDHVSSSLNSSYCYILQNGTSVFTWIGNLTLSRDHDLLDRMLELINPTWQPISVREGSEPDSFWSSLGGKTEYPREKEMKKFIEDQHLFKFTSTEGDVKVKEIYSFTQDDLTTEDVLVLDCHKEIYVWVGRHSTIKSKQVALNLGLKFLETDILEEELSLETPIYVITEGHEPPFFTCFFEWDPSKANMHGNSFERKLATLKGKTSSGTAPARNARKAYSREATPDGPRSRSGSSNGWERSVSPASSKSGSHLKFSDNHNVSSPTPIVRKLFTGSSPYQDSPVVKPSSPSTNENSNQIDTIETSANALIYPYQRLTVVSTDPVPGIDVTKREAYLSEEEFAERFGMPKGAFYKLPKWRQNKLKMAVDLF >EOY33240 pep chromosome:Theobroma_cacao_20110822:9:36064414:36081505:-1 gene:TCM_041192 transcript:EOY33240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nine-cis-epoxycarotenoid dioxygenase 4 MVNLRFGLLFPSIQCARTAREFELWVGCLLEDFFSYQVIGSQKLLHNAINVIEPPFHSSVDSGQVFSENFAPIVEELEPTDCQVIQGELPLTLNGAYIRNGPDLQNQPHHFLDFFFGDGMLHCLQLSNGRARYCSRFVKTYKHRLERDAGYPIVPSGSSLYRIVDIVRFLKAIGTGYLDFMKGFGVANTSLAFFANKLVALCEYDLPYVINMTENGDIETLGRWEVDGNPKLLASMTAHPKVDSGTKETFAFRWSLIFPHLTFFRFDKNGVKQKEIPIFSIKQPSFFHDFAITKRFAIFHETQLVVAPMKVMMGRGTLLDYKPNKTPRIGVIRRYALNDSEMKWFEIPGFNTIHILNAYENGDDEIVLVASNIVSLENIFDDAVNIELEKVTINMKTKKISRNILSPRNLECGSINPRYVGKKNRFAYLGVNNQAGMKMSGVVKIDMKVGCEVGRRFYEPGCFGGEPLFVPRDSEDILSDEDDGYLMTYVHNEQTNESKFLVMDAKSPELDIVAMVRLPRRVPYGFHGLFLRKDQIPS >EOY32312 pep chromosome:Theobroma_cacao_20110822:9:26365136:26381997:-1 gene:TCM_040051 transcript:EOY32312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMLLVVLLLGWTSLDGSTDDRETKTKPKQKNNHLSTSRNLPLKTKLASKGKILPLRRSYSVTVWITVRGQPFGVFGKLRTADKGKSINTSERKDLVFFPLWLGLGNLELKLMQSDFQGMVALIPPVTLTCSALMPFVEITLADVDVKSLLCKVSMFERKYTCIPFNPRYPIQFTVQDLTGNMELVALGKPVEPSEPSLPARGSSQPLPNAGNVTEREVRLSSCSNIHRNASKEADEAIDFRNSQLNELDTIMEESGVSNDLGGLQDLSSWLNIDEDHGLQHHGFIGLDMPIDDLSDLKFAF >EOY33721 pep chromosome:Theobroma_cacao_20110822:9:38780285:38782485:1 gene:TCM_041621 transcript:EOY33721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin 5 MERSRLLSLTLLCTLVLFGSLTLTQAKKSKEDLKEVTHKVYFDVEIAGKPAGRVVMGLFGKAVPKTAENFRALCTGEKGVGKSGKSLHYKGSAFHRIIPNFMIQGGDFTLGDGRGGESIYGEKFADENFKLKHTGPGLLSMANAGPDTNGSQFFITTVTTSWLDGRHVVFGKVLSGMDVVYKIEAEGRQNGTPKSQVVIADSGEIPL >EOY31410 pep chromosome:Theobroma_cacao_20110822:9:8636856:8644575:-1 gene:TCM_038349 transcript:EOY31410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 12 MESGTDAFRVSSARIGSSSIWMTNTMEAFSKSSREEDDEEALKWAAIEKLPTYLRVRRGILTEEEGQSREVDIKDLGFIERRNLLERLVKIAEEDNEKFLLKLKERIERVGLDMPTIEVRFEHLNVEAEAYVGSRALPTMFNFSANILEGLLSYLRILPSRKKPLPILNDVSGIIKPRRMALLLGPPSSGKTTLLLALAGKLGKDLKFSGRVTYNGHGMEEFVPQRTSAYISQYDLHIGEMTVRETLAFSARCQGVGPRYEMLAELSRREKEANIKPDPDIDIYMKAAALEGQEASVVTDYILKILGLEVCADTMVGNEMIRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSLRQSIHILNGTALISLLQPAPETYDLFDDIILLSDGQIVYQGPRENVLEFFECIGFKCPERKGVADFLQEVTSRKDQEQYWARKDEPYSFVSVKEFAEAFQSFHIGQKLGDDLATPFDKSKSHPAALTKEKYGVSKKELIKACVSREYLLMKRNLFVYVFKMIQLIIMGFITMTLFLRTEMHRDTMTDGVVFMGALFFILIMIMFNGFAELAMTIMKLPIFYKQRDLLFYPSWAYSLPAWILKIPISVLEVTIWVFMTYYVIGFDPDAGRFFKHYLILLCLSQMASGLFRLMGGLGRNIIVANTCGSFALLAVLVMGGFILARDDIKKWWIWGYWISPLMYGQNAIAVNEFLGKSWRQIPPNSKEPLGVSILKSRGIFPEAHWYWIGVGALIGYCFLFNFLFTLTLKYLDPFGKPQAIISKETLAEKIASKTGENAELSLRGKGSSERGIESRRSASSRSLSAKVGSINEATQNRKRGMVLPFEPLSMSFDEIKYAVDMPQEMKAQGVSEDRLELLKGVSGAFRPGVLTALMGISGAGKTTLMDVLAGRKTGGYVEGTIKISGYPKKQETFARISGYCEQTDIHSPHVTVYESLLFSAWLRLPPEVDSETRMMFIEEVMELVELTSLREALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKRGGEEIYVGPLGRHSCHLIKYFEEITGIPRIKDGYNPATWMLEVTSAAQEETLGVNLTNIYKNSELYWRNKALVKELSSPAPGSKDLYFLTRYSQSLITQCMACLWKQYWSYWRNPPYTAVRFLFTTVIALLFGTIFWDLGSKRTRQQDVLNAMGSMYAAVLFIGFQNSASVQPVVAVERTVFYRERAAGMYSALPYAFGQVVVELPYVLVQTVIYGVIVYAMIGFDWTAAKFFWYLFFMYFTFLYFTFYGMMTVAVTPNHNIAAIVSSAFLALWNLFSGFVIPRTRIPVWWRWYYWVCPISWTLYGLIASQYGDIKDRFDSGETVEHFVRNYFGFRDEFVGVVAVVTVGICVLFGFIFAFSIKAFNFQKR >EOY32508 pep chromosome:Theobroma_cacao_20110822:9:30542103:30560084:-1 gene:TCM_040468 transcript:EOY32508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MLKPLIHQSQPIQILLPLHKPFFHGAGPTSLPVKARSLMNKTRKNVKVGFVPSNIKGVTNTTKKAVGFKAVVTVKETVSSFLKTIGVERGLDDIKDLLGKTLLLELVSAELDPKTGLEKARIKGHAHRMSKDGQLVKYEAVFELPVDFGEFGAVLVENAHHKEMFLENIVLDGFPNGPVNVNCNSWVHSKYDNPRKRVFFTNKSYLPSETPRGLRKLREEELVSLQGNGQGERKSFERVYDYDVYNDLGDVDTDPSKKRSVLGGKEFPYPRRCRTGRPLCDTDPRYEKWQANFYVPRDEAFSAVKQLSFATNFIYSMLQAVIPSLEATILDPDLGFPDFNTIDQLFTEGLNLPALDKTELWKSILPRLIKTVSEETHSILRFETPETLDRDKFFWLRDEEFARQTLAGLNPFSIKLVTEWPLKSKLDPNIYGPVESAITADLIEREINDVMTVHKAITQKKLFILDYHDLFLPYVKKVRDLKGRTLYGSRTIFFLNPDETLRPIAIELTRPPMDGKPQWKEVYTPCFHSTGDWLWKLAKTHVLSHDSVYHQLVSHWLRTHACGEPYIIATNRQLSMMHPIYRLLHPHLRYTMEINALARESLICADGPIEKIFTLGKYYLEVGSVFYDQHWRFDQQSLPADLISRGMAVEDPTAPQGLRLTIKDYPYANDGLFIWDALKQWVTEYVNHYYPKANMVDSDQELQAWWKEIRTVGHGDKKDEPWWPVLKTPQDLIEILMTIIWVASGHHAAVNFGQYTYAGYFPSRPSFTRAKMPSEDPTEEDWRLFLRKPEAALLECLPSQIQATRAMAVWDVISHHSPDEEYLGDKVEPSWAENPVIKAVFERFKGKLKELEGIIDERNADCNLRNRNGAGITPYELLKPFSEPGVTGKGVPYSISI >EOY33230 pep chromosome:Theobroma_cacao_20110822:9:36006970:36032474:1 gene:TCM_041183 transcript:EOY33230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strictosidine synthase-like 3 MTPLGILGILFLLLALYCGIDPFKHSAISGFPDFEAYKVDMPSWELSPTVRDKDNLLQKSEIKFLNQIQGPESIAFDPLGRGPYTGVADGRILFWDGEKWKEFAYTSSNRSELCNPKPSPLSYLPNEHICGRPLGLRFDKNTGDLYIADAYLGLFKVGPEGGLATSLVTEAEGVPLRFTNDLDIDDEGNIYFTDSSSIYQRRNFMQLVFSSESSGRLLKYNPHTKEATVLVRNIQFPNGVSLSNDGSFLVFCEGCLGRLHKYWLKGEKAGTSEVFAILPGFPDNVRTNKDGEFWVAIHCRRSIYAHVLGLYPKLRKFLLKLPISSKIQYLLHVGGKLHAIVVKYSPEGKLLQVLEDSEGKVVRAVSEVEERDGKLWMGSVLMPFVAVYDLA >EOY34728 pep chromosome:Theobroma_cacao_20110822:9:41940659:41944493:-1 gene:TCM_042314 transcript:EOY34728 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MDGASANSGNSSTRYGREMASSSFLRAPFAAILEFSGLLGSSSASASVAIANDHSHAQSPHQEVFIRILGIEPGENHDSVSVDLAEQGAGLTAADVSSESAIANGESGSRRDSSTYQRDDVHCLSRWIEHILPFSLLLLVFIRQHLQGFFATIWIAAVILKSNDILQKQAALKEGRKIYILLGITISFMLHVISVYWWYRNDDLLYPLVLLRPKKIPAFWHSIFIITVNDVMAQQAAMAFKCVLLICNRNSKGHNYRKQGQILTLVEYLMLLYRSLLPTPVWYRFFLNKECGRFFSSFTTGLYLTFKLTTTIEKVQLSFAALRALSHKEGHYGSRATSEQVKAAGDLCTICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRTLIKPDGIRSYADGSTNLVFQLF >EOY29776 pep chromosome:Theobroma_cacao_20110822:9:2350694:2352095:-1 gene:TCM_037210 transcript:EOY29776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1677) [Source:Projected from Arabidopsis thaliana (AT5G25840) TAIR;Acc:AT5G25840] MAPNREKNIVSSYSQSHGVNKPRLSMESLQRTISDISFELGKEAIDATLPPISEVEDAKCECCGMSEECTAEYISKVRDKFAGKLVCGLCAEAINEEMEKNGGKREEALNEHMSACVRFNRLGRTHPVLYQAEAMREILKKSSRVRAKSLSPRDKGGPQKGRISRSSSCMPVIAKEIGDRTKVN >EOY33047 pep chromosome:Theobroma_cacao_20110822:9:35090085:35091409:1 gene:TCM_041052 transcript:EOY33047 gene_biotype:protein_coding transcript_biotype:protein_coding description:FASCICLIN-like arabinogalactan-protein 11 MVMMKQHLFILSFLLLSFLRCPQTLAQAPALAPALAPAPPGPTNVTKILEKAGQFTLFIRLLKATAVANQLLGQLNNTNNGMTIFAPTDNAFSSLKSGTLNSLSDEQKVELVQFHIIPTYLSSTQFQTISNPLRTQAGDSADGKFPLNVTTSGNSVNITTGLTNTSVSGTIYTDGQLAVYQVDKVLQPLQIFDPRPPAPAPAPAKSKKKAAVADSPDDTPADNSKAVSTLTMQNVVFWGVSAIVLALSL >EOY30407 pep chromosome:Theobroma_cacao_20110822:9:4530408:4531477:-1 gene:TCM_037631 transcript:EOY30407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILTFNAFQCQQVKNKPHKRITRGVPSAEALGVFQARKHPKSSKRGSAQGVPSVEALRGVPRVKAPKDVSCVKAPKGVPNVEALRGVSSVKTSKSVPSVKVSRGVPSPETSKGVPSVEVSRAF >EOY32308 pep chromosome:Theobroma_cacao_20110822:9:26349234:26355470:1 gene:TCM_040047 transcript:EOY32308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCQLCEKPGHTAKICRLNKSSIKEPVANIATASQFHDNKNWVVYSRASHHVTADLNNLSLYTEYGGLEKIAVGGGTSLSINHIGFSIISTTNKPLKLTNVLHVPVMNRNLISISKFCKTNNVFVEFFPFHFLVKDSSMGAPLVRSLNRNGLYELPRCMSHLSMITTTFLSVKTSFDVWHRRLGHPSSEILTFMLKFFGLPSIASNKAFSCNSCACNESHKLPFGQSTLSSTKHLQLLYTDVWGPAQISFVEGFRYYVIYIDHFTKYIWFFLMKHNSDVYLLLPIFKALVKKAFNTSITCIYSDNGGKYQKMSKYLATHGISHLTTPPYTLELNGAAERRHKHIVETGLTLLHHASMPLKFWSHAFQVAVYPINKLPTPLLNLKSPFEILFETPPNYSKLKVFGCLCYPWLKPYNKHKLQPKSKPCVFLRYSINQSAYKCFDHESQRIFVSRHVMFQEHIFPFTSAKTQTTSRQAMIEEFNALVKNQTWVLVPPSSKQTVIGCKWVFKIKRKPDGSIDRYKARLVAKGFHQREGIDYTDTLIPVIKPTTIKIVLSIALSYGWPIQLSRPKFRAMTGVCLYTTKEYSTSSGGTRTNVQLVNAETPTKRWIQVYKDTSDIAYTSLYESIKVKVSHQQLDVNNAFLQGTLSEEVYMAQPTGFLDKDKPFHVCKLHKAIYGLK >EOY31888 pep chromosome:Theobroma_cacao_20110822:9:17047041:17047955:-1 gene:TCM_039216 transcript:EOY31888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSRNNSFTVFVTKFASRHRPWANLMLTFSYYVWCTSVISDIICHLLEISTTLEVEVPQRLSDFWSIVQKDPNSIFLVVFK >EOY30236 pep chromosome:Theobroma_cacao_20110822:9:3875212:3878878:-1 gene:TCM_037510 transcript:EOY30236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strictosidine synthase-like 4 MLQTFFYSLADILLTFNAMSNPVENSKILLQICWACCLGLLIATALQIIFFSPISPDLLELPPASSSSVSPPNNQLQRVTKLGEGLLKGPEDVVVGEHGVLYTATRDGWIRRLHSNGSWENWKRLHSETLLGITAAKGGGLIVCDCDKGLLKITEDGITVLASHFAGSQIRFADDVIEASDGSLYFSVGSTKFNLNDWYLDVLEAKPHGQLLKYDPSTGQTSMVLDGLCFANGVALSKDEDYLVVCETWRFRCLKYWLKGETKGQTEIFIDNLPAGPDNINLAPDGSFWIALIRMNSEGMEFVHTSKALKHVIANFPRLTKLVNGASKRATVINVDADGNINKRFDDPNGLVISFVTSAVEFEDHLYLGSLSADFIGKLPLK >EOY30769 pep chromosome:Theobroma_cacao_20110822:9:5735445:5737335:1 gene:TCM_037866 transcript:EOY30769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MHTKSDSDVTSSVDPSSPRSPKRQLYYVQSPSRDSHDGDKSSSMQATPAYNSPMESPSHPSYSRHSRASSASRFSGNLKKGRKRNEKGWTECNVIEEEGDYGEYFYGRDKGLTRRCQILMGVFGFVAVFSLFCLIIWGASRPYKAQVTVKSLTVHNFYFGEGADTTGVPSKMLTMNCSVRMTVYNPATFFGIHVSSNPVNLMYSEIAVATGQLKKYYQPRKSHRTVVATLEGDKVPLYGAGASLAVSDDVGGVPMKLIFEVRSRGNVVGKLVRSRHRKLISCSLVIDSHSNKPLKLKNSSCVYD >EOY30770 pep chromosome:Theobroma_cacao_20110822:9:5735317:5737295:1 gene:TCM_037866 transcript:EOY30770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 1 MHTKSDSDVTSSVDPSSPRSPKRQLYYVQSPSRDSHDGDKSSSMQATPAYNSPMESPSHPSYSRHSRASSASRFSGNLKKGRKRNEKGWTECNVIEEEGDYGEYFYGRDKGLTRRCQILMGVFGFVAVFSLFCLIIWGASRPYKAQVTSLTVHNFYFGEGADTTGVPSKMLTMNCSVRMTVYNPATFFGIHVSSNPVNLMYSEIAVATGQLKKYYQPRKSHRTVVATLEGDKVPLYGAGASLAVSDDVGGVPMKLIFEVRSRGNVVGKLVRSRHRKLISCSLVIDSHSNKPLKLKNSSCVYD >EOY33328 pep chromosome:Theobroma_cacao_20110822:9:36749724:36751864:1 gene:TCM_041287 transcript:EOY33328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) hydroxyproline-rich glycoprotein family, putative MMAKDCGHHDRKKQLVKRIVLAIVGFLIVFAIVVFLVWAILHPAKPRFILQDVTIYAFNLTAPNFLTSNMQITLSSRNPNDRIGIYYQKLDMYASYRNQQITLPTLLPRTYQGHQDLTIWSPFLYGDAVPVAPFLEAGLSQDMNAGLVLLNIKVFGQLKWKVGTWISGLIRPQQKTNSRFQQCFSSANFCPHMLGAINRAHGPHQ >EOY31755 pep chromosome:Theobroma_cacao_20110822:9:13637086:13637886:1 gene:TCM_038872 transcript:EOY31755 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAP MKPHFLLFFVLSYTLAVGNFLATSQSVPSPGAHGTIPDNETIYKVSKQLCWGCVGESLEFLFTHNLVRAAKWELPLFWDFQLEKYARWWASQRKADCKLQHSFPEGDFKLGENIYWGSGSTWRPSDAVSAWSEEEKYYNYASNSCQGGQMCGHYTQIVWKNTRRLGCARVVCEDGDVFMTCNYDPPGNYIGERPY >EOY31538 pep chromosome:Theobroma_cacao_20110822:9:9403443:9405152:-1 gene:TCM_038455 transcript:EOY31538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASKRFFYSIIFQCFLYAIIFLLIYRPQTSPNETNTSQHVSTESDGLSWDQLHSNFKPRPDSHKVVIQVDKITKALRLTGFKLMALILDRNLPSLIPHGDSHSDFGIRKSGTYKKNNNTITLYRGRKITIFAPPDEVISFKKWERTHYRYQIVATKVDSGDFSNCLMLPSFDPQWPMLMANYADEIPTINHVRITNWNIYNDGNVIVHGVEKNFDPWVAEDMAFITCSYVVHKLFCAENFDVGLSFAQ >EOY29603 pep chromosome:Theobroma_cacao_20110822:9:1728465:1731489:-1 gene:TCM_037099 transcript:EOY29603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MGNVTSSVAAKFAFFPPDPPTYDVYREENGKLVLPGVSADKNMDVHLLDTKGGNKIVATFWKHPFARFTLLYSHGNAADLGQMHELFIELRAHLRVNIMSYDYSGYGASSGKPTELNTYYDIEAVYNCLKKEYGVKQEDLIVYGQSVGSGPTLHLASHLQRLRGVVLHSAILSGIRVLYPVKMTFWFDIFKNIDKIRRVNCPVLVIHGTNDDIVDWSHGKRLWELSKEKYDPLWVKGGGHCNLETYPEYIKHLRKFINAMEKISITKPTKQLTSTPSLTESKHNKCLRFKKKVAVPKKE >EOY29285 pep chromosome:Theobroma_cacao_20110822:9:642453:645637:-1 gene:TCM_036872 transcript:EOY29285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MRRPLNSLLESSAYAFYKVLTTHCCAIKLGTLADVYTANKILNAYARCKELHVARKLFAEVLHRDTVSWNTMIAGYVNCGNLETAFEIMKDMKRCGFDFDGYTFGSLLKGVASAYRLQVGQQLHSMIVKMGYEENVYAGSALLDMYAKCEKVGDAYMVFECLPEPNSVSWNALIAGFSQMGDRSTVFWLLDCMEKEGVKVDDGTYAPLLTLLDDIEFYKLTIQIHGKIIKRGLACDNTVCNAMITSYSECGSIGDARKVFDDAVGMRDLVTWNSMLAAYLVHEKEELGFKLFLDMQRLGFEPDIYTYTSILSACFEKAHKSHGKSVHAVVIKRGLEYSVPISNALIAMYLKSNSTSMEEALSLFESMELKDRVSWNSILTGFSQIGLSEDALNFFGKMRGFMVEIDHYALSAVLRSCSDLATLQLGRQVHVLAIKLGFETNDFVASALIFMYSKCGIIQDARKSFEETPKDISIAWNSIIFGYAQNGQGNDALDLFFLMRDTKVRLDHITFVAVLTACSHIGLVEEGLNFLKSMESDYGIPPRMEHYACAVDLFGRAGRLDEAKPLIESMPFKPDAMVWKTLLGACRVCGDIELAAQVASHLLDLEPEEHCTYVILSNMYGHLRRWGEKASVTRLMRERGVKKVPGWSWIEIKNQVHAFNAEDQSHPHCKEIYQMLGGLMEEITWLDADTGLDALTSDFDETYGYCDVKLLSAGNF >EOY34451 pep chromosome:Theobroma_cacao_20110822:9:41162267:41164902:-1 gene:TCM_042130 transcript:EOY34451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELSVHSLTFASYSKTFGDSWPKVQSVLDYRVCSLVDAKSKEHRRKLSILSSALPETAASVAIAATVVGAAATFLVRRTKSSDATEVPLRPCEDCEGSGICSECKGEGFVLKKMSEDSAEKARMTAKNMATRYTAGLPKKWSYCTRCSSSRSCSTCGGSGKLSY >EOY30711 pep chromosome:Theobroma_cacao_20110822:9:5508702:5511853:1 gene:TCM_037826 transcript:EOY30711 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein, putative MAVENETKRKKLEKPQKQKEKREIKKGEAKAEAKSSVQMEVKNNPNKKRKQVFPFGNYKNYYGYRIGPGHEVKEDPRIKVLKKEWFEGKDCLDIGCNSGVITIHIAKEYNCHSILGLDIDSGLIEDAFWYLRKITKVESAQKKQARDSSVKVVQGVNSLEQCTDASSNEGTNKSLNYHSSGERDLSDIVSFRRENFVQSHCPPDKHYDTIICLSVTKWIHLNWGDDGLITLFTKIWRLLHPGGVFVLEPQPWESYEKNRRVSETTASNYRSIMFRPESFQEILLDKIGFRMVEDVTSGLSGTRTGFDRPIFAFYK >EOY30538 pep chromosome:Theobroma_cacao_20110822:9:4905758:4907253:1 gene:TCM_037713 transcript:EOY30538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L28e protein family MATVPGQLIWEIVKKNNCFLVKEFGRGNASVQFSKESNNLCNLNSYKHSGLANKKTVTIQYGGKDQSVLLATSKTKKQNKPASLLHKSVMRKEFPRMAKAVVNQVADNYYRPDLKKAALARLSAVHRSLKVAKSGVKKRNRQAVNIPGRK >EOY30605 pep chromosome:Theobroma_cacao_20110822:9:5155758:5157816:1 gene:TCM_037757 transcript:EOY30605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin 1 isoform 1 MSWQTYVDDHLMCEIEGNHLSAAAIIGQEGSVWAQSSNFPQFKPEEINGIMNDFAEPGSLAPTGLYLGGTKYMVIQGEPGAVIRGKKGPGGVTVKKTNQALIIGIYDEPMTPGQCNMIVERLGDYLVDQGL >EOY30606 pep chromosome:Theobroma_cacao_20110822:9:5155597:5157571:1 gene:TCM_037757 transcript:EOY30606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin 1 isoform 1 MSWQTYVDDHLMCEIEGNHLSAAAIIGQEGSVWAQSSNFPQFKPEEINGIMNDFAEPGSLAPTGLYLGGTKYMVIQGEPGAVIRGKKGPGGVTVKKTNQALIIGIYDEPMTPGQCNMIVERLGDYLVDQGL >EOY31639 pep chromosome:Theobroma_cacao_20110822:9:10732079:10733624:1 gene:TCM_038614 transcript:EOY31639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSKVNWSTVCKPKRFGGLGISSLSHRNLALLAKWWWLYGTDKEALWRRLIVGDGNTILIWLDKWVEDLPLSNYPHIFSLVTDKDIRVADANSNGSWKDKLVWKHDPQGIFSIKSFCSRLNAYDDDQTFLQRLSSPLVVFILIFISCDAFGAVLLRRLARTFFSHVILVGLSGVLFFNGGVLIGVALPPFPILSKLGPFIRSLHVKAMMPSMKLVGGLILKITCAREPPSTIDLAFHGHHPSLASSNLMLTAQPENSQDLLVVVGSCATWTVL >EOY29880 pep chromosome:Theobroma_cacao_20110822:9:2661277:2665535:-1 gene:TCM_037274 transcript:EOY29880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox gene 1, putative MMENDMFSVSRAMGNLNPVVVDGIPSRTAPNSIVESHSFSIINQNQTLAEFPVLPSAQGEIISDLCADLHITNRARFFDSNALVTSLGRTVVSDVSLGSSPSEDNMEFQEQFMGRTAISSIPPAKCVPQENLNELAIIAPSIYPLDVRTYSSTSCSDGINSSLVTSVNCVFNEALGNLNNKWDFEKFPSPLELVGKPPLRTACQPYTSIGCPDPNGWISSNGANMSIDYPCGSSKHSNELSLSLATSLPAVISGNNIPDQSSEINCSGANHFCLNTTRLGSEQPSCNAKELSLSFGSYGPVQESHLISGSRYLHAVQEILAQIASYSLENLDQMSVGAGATIPFSSSFLAGRGMALMDPNEHPDIDGNSEVRLEPEVRKRTVEAKKTQLLTLLQVVDDRYSQCLDEIHTVISAFHAATELDPQVHARFALQTISFLYKNLRERISNQILAMGANFDSGYTGGREKSFQNSFIQEQWALQQLKKKDQLWRPQRGLPEKSVSVLRAWMFQNFLHPYPKDAEKHLLAIKSGLTRSQVSNWFINARVRLWKPMIEEMYSEMNRRKARQNEEGTNSNHRSQISINNQRFNIN >EOY32077 pep chromosome:Theobroma_cacao_20110822:9:20373655:20374547:1 gene:TCM_039543 transcript:EOY32077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLGELKCVKDIMYHLCKATKSSLKRIIAPKEIKLLKHLLNIIDFEEQFSAVATAFSPNDEHEARDPKALYTTPKELDKWIKIFLDAYFLNKEKTDVKYAKKMTQPIVRQRLFILKEIIEEEYLDQRTTATKPTKDKTESEKF >EOY34721 pep chromosome:Theobroma_cacao_20110822:9:41900208:41903095:-1 gene:TCM_042306 transcript:EOY34721 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein, putative MDELGSMLGFSSPGSSLPWLWIIEYLSSFSKIDTSIIRGLIEAAPVLPHGLGTNTTEMVSLRCLEELFGPENDFKDVDVPDSRVVFDLSESCKDVLKQILQEVSVSDLKKAGPKLLRWDVHPFIMHKRASQRTCALEQLKDSMFKDIPVIDGDEDAPKDENGDGEGNLVPHGHGNRNDVLQDGLLEGNLIPSKRYITDVDADNLVGLDSGNPNGMFNHNDLQLKEKKFKQDGSCSNQSVGQIPIPLHGNEQVQKDSQANEVDRDGRVTSRTVGQSDGVFHVKFQDNQIGNAQNAGMVGEEMYGDTASQNISMIEPNHFESGSLQKVPSGDSHDNVDQVFPLSSPKPASADVFQQKIDSDEANVDFEHPCTEQLYEDERLDVALKKSLSLSSRCTSSQDPLGAADWIEQNLCFKCTKSGRVLVCSSNDCPIVVHESCFGTPARFDDKGNFRCPYCAYSVSISQYLEAKGKVTLAREELCAFMELFAKILPEEKRKPHGHPRLNGDEGLVGEVNHGFESRTSDKPSGPSTSHVNSDGLCVEEAFMGGKSIHSEENEGEEKVDMDTDI >EOY33280 pep chromosome:Theobroma_cacao_20110822:9:36224131:36232253:-1 gene:TCM_041220 transcript:EOY33280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein MSAPLSKSLRLSSALNITEEAMVTKKQGIVSILGSDTDRPSRAASLRRTLSADMSSKKWLTEYGLSPLKKIASSEEFPFSIIDSSSEGGEEDYEERKDTEARGQFDIWTSIQQEKNKKELEKPGQFDMWTSIISEKAQEDSSKPLTPPYIHPLVKRSASSLSEKSLEICTESLGSETGSDGFSSYPPSETGDMEEDKEEDQELQQQKQERVAQLNSFDGEEPRIVKYNYDVGKKSPHRSFPPPIPSLSRIDGASVRMKTHRDNGRLVLEAVSMPSLNNFLAQRQDGRLVLTFANTTPSEAESMVNEVMNVEEEEEKEVEDLEEEFESFGEEEQESEIDEEEEEEISENEIEGVEDRCYVIEQPPKLSSGAMNVHRLAVMMNKPIWLANRNPTWPKNFDEIVKFGEEREEKVVEPTAPPLAQSLPPRPRVGRLIPSPPSTAAAASFNAYEYYWRPNQPMSKAAVLNPLGQQSTFALDDNSNKELILSKNLMANEQQQLLVLRGNKAMGESNASVDEDLLLKAFFAEVSEVERDNEVIRILSCFKLNPFEFLNLAFDSSPEDVKKQYRKLSLMVHPDKCKHPQAKEAFGALAKAQQHLLDQQERDYILSQVTAAKEELRAKRKKQLKKDTASKLKLLVDEGKSEQQYEQSEEFQQELKLKVRELLTEQEWRRRKMAMRISEEEGRLKKDEEEQKEMWKRKREHEEQWEGTREQRVSSWRDFMKSGKKSKKGELRPPKLKTEDPNKSYVQRPVKRG >EOY31100 pep chromosome:Theobroma_cacao_20110822:9:7032447:7032944:1 gene:TCM_038106 transcript:EOY31100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLCCQRYHLRTNDISNSNGSNGLPRPYTSFFFFVSSGKMHINSALHPISNI >EOY31852 pep chromosome:Theobroma_cacao_20110822:9:16530039:16537373:1 gene:TCM_039158 transcript:EOY31852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANFGVENSRFLLLKLCEFVARNFEGFATIEGQQSAANLCKKIEAVCAKKLELFATNLYRKIRAVYAKKLELFVANLCKKLDSFVLPKLRSFVTGNLLILEQKMAAKRVRK >EOY32412 pep chromosome:Theobroma_cacao_20110822:9:28934952:28936760:-1 gene:TCM_040319 transcript:EOY32412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADIRNGMGIESVACTLDLREARSGRMKRKSLLRDHLSVIETKLTTQEECVLQVALNEARKALTQHDARHEVEAKQLRHEISELRQEREACKALIRAQLYLKYGEDEACTKLRHLTQCVRVREYVKEFIELMLQINDLREQEALFCFLNGPKVEKDIGKGKAKAQATTKHMSRSSTIDEEQLKGKTLRLGTMILIALKQHKLNHTEGLMFTNITMVGKRLNALVDTGASNLFASVDIAKMLGLDTKA >EOY33584 pep chromosome:Theobroma_cacao_20110822:9:38161147:38164853:1 gene:TCM_041523 transcript:EOY33584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 2 MANDILGPRWGRYYVGPIQFMVCFGAVIACTLLGGQCMKAIYLLSDPNGSMKLYEFVIIFGVLMLILAQIPSFHSLRHINLISLILCLAYSACATAGSIYIGNSSKGPKKDYSLKGDTESRIFGIFNAIAIIATTYGNGIIPEIQATIAPPVKGKMFKGLCVCYTVLTVTFFSVAISGYWAFGSESEGLLLSNFLDDGRPLVPKWFILMTNIFTIFQLSAVGVVYLQPTNEVLERTLADPSSKEFSARNVIPRVVSRSLSVVIATTIAAMLPFFGDINSVIGAFGFMPLDFILPVVFFNLTFKPSKRSPIFWLNITIAVVFSALGVIAAVAAVRQIALDAKTYRLFANV >EOY33583 pep chromosome:Theobroma_cacao_20110822:9:38154844:38164721:1 gene:TCM_041523 transcript:EOY33583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein isoform 2 MGTLPPRSVAVHEGEDHVKVSQEQQQKELDAGALFVLKSKGSWLHCGYHLSTSIVAPPLLSLPFAFTFLGWAAGIFCLVIGALVTFYSYNLLSLVLEHHAQLGRRHLRFRDMANDILGPRWGRYYVGPIQFMVCFGAVIACTLLGGQCMKAIYLLSDPNGSMKLYEFVIIFGVLMLILAQIPSFHSLRHINLISLILCLAYSACATAGSIYIGNSSKGPKKDYSLKGDTESRIFGIFNAIAIIATTYGNGIIPEIQATIAPPVKGKMFKGLCVCYTVLTVTFFSVAISGYWAFGSESEGLLLSNFLDDGRPLVPKWFILMTNIFTIFQLSAVGVVYLQPTNEVLERTLADPSSKEFSARNVIPRVVSRSLSVVIATTIAAMLPFFGDINSVIGAFGFMPLDFILPVVFFNLTFKPSKRSPIFWLNITIAVVFSALGVIAAVAAVRQIALDAKTYRLFANV >EOY33805 pep chromosome:Theobroma_cacao_20110822:9:39050290:39052848:-1 gene:TCM_041677 transcript:EOY33805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA thioesterase, putative MEKVKEFLELDKEGAECVSRLTIHPHRAGSECSFYEDFALRGIRVDRVESGFVSCRFKVPPRLTDKSGNLATGAIANLVDEVGWAVVHIEGLPMEVSVDMSISFLGTAKLNDELEITSKVLGRRGGYSGTIVLVRNKETGELIAEGRHSLFGKQASKL >EOY32104 pep chromosome:Theobroma_cacao_20110822:9:20980992:20983584:1 gene:TCM_039595 transcript:EOY32104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTNDTNDAMHNATMQKDFATLVDNIGISRRLPQAQQSHKTSPNLSQPILTLSFIVVSPIEEEYGSRGKDVANTSQSIVSESSLQDALTSDAANSGYTQANV >EOY32311 pep chromosome:Theobroma_cacao_20110822:9:26364515:26420985:1 gene:TCM_040050 transcript:EOY32311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-activating enzyme 18 MMGNKSIAQVGVEDLCKAGGLSKEEAKEIHGVVTEAIAKAGGDAREVWREVVRRRVLKPWHQHSLHQLVYYSVYARWDASVDGPPLYWFPSVYESKLTNLGRTMEIHGPKLLGTSYKDPIASFNLFRDFTVQHPEVYWSIILKELSVRFHESPKCILDTADGSRPGGTWLPGSVLNIAECCLLPSSHPRKEDDSFAVVWRDEGNDDSDVNRMTLKELREQVMLVANALDATFQKGDAIAIDMPMTVNAVIIYLAIVLAGFVVVSIADSFAAKEIATRLRVSKAKAIFTQDYILRGGRKFPLYSRVVEASPCKAIVLPVSGNDVGIQLREQDLSWKDFLACVNQYSRPKYYTPTYQPIDSAINILFSSGTTGEPKAITWTQLSPIRCSSDAWAHIDIGVGDVYCWPTNLGWVMGPILLFSCFLTGAALALYHGSPLGRGFGKFVQDAGVTVLGTVPSLVKAWKNTKCMEGLDWTKIKSFASTGEASNVDDDLWLSSKSYYKPVIECCGGTELASSYIQGSQLQPQAFGAFSTASMTTGLVILDEDGVAYPDDKACVGEVGLFPLYLGATDRLLNADHEKVYFKGMPIHNGMRLRRHGDILKRTVGGYLVVQGRADDTMNLGGIKTSSVEIERVCDRADESVLETAAVSEAPPDGGPELLVMFVVLKKGYDHQPEKLKMIFSKAIQVNLNPLFKVKIVKIIPEFPRTASNKLLRRVLRDQIKHELSVRSRM >EOY29800 pep chromosome:Theobroma_cacao_20110822:9:2430580:2437004:1 gene:TCM_037228 transcript:EOY29800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein with leucine-rich repeat domain, putative MSELPLSLLFLLFFSIPFNVISQDINTERTVLLNLKRQLGNPPSLGHWNSSSSPCDWQEIGCTNNSVTAVILRKIPITVRIPPTICDLKNLIGLDLSFNMIPGEFPTTLYNCSKLKYLDISQNLFVGPIPDDIDRLSTLTYLDICANNFSGNIPPSIGRLPELQTLNIHQNQFNGTFPKEIGDLSNLEALRTAYNDFVPMKIPQEFGQLRKLQYLWMVRNNLIGEIPESFNNLSSLVHFDLSVNNLEGPMPSKLLLFKNLTNLYLFHNKLSGEIPKPIEALNLVEVDLSMNNLTGSIPEDFGKLQSLVFLNLNTNQLTGELPTSIGLLPNLTDFRVFKNKLTGFLPPEFGLHSKLEGFEVSQNQISGPLPENLCAAGVLQGVVAHTNNLSGQVPKSLGNCPTLRTFQLQNNKFSGEIPRGLWTTFNLSSLMLSNNSFSGELPSDLAWNMSRLEISDNKFSGEIPTAVASWSNLVVFKASNNLFSGKIPKEITNLSRLNTLSLDDNDFSGELPSEIISWRSLVTLNVSNNKLSGKIPAAIGSLPDLINLDLSENQLSGEIPLEIGNLKLTFLNLSSNQLTGKIPNQLDNPAYENSFLDNADLCADVPTLKLPDCYSKLDEPEKLSSKYVAMIIALAILVSLVILLMTLFVVRDWRRKKRGHYLATWKLTSFQRLDFTEGNILSNLTDSNLIGSGGSGKVYKIDINRSGKSVAVKKIWNSKKLDHKLEKEFLAEVEILGNIRHSNIVKLLCCISSEDSKLLVYEYMENQSLDRWLHGKKRRSVSGTNSVNRAVLDWPTRLQIAVGAAQGLCYMHHDCPQPIIHRDVKSSNILLDSEFKARIADFGLAKMLSRHATSHTMSAVAGSFGYIAPEYAYTTKVNAKVDVYSFGVVLLELVTGREANSADESTSLVEWAWQRDSEDKPIVEILDPEIKEPSYLDEMIMVYKVGIVCTRASPSTRPSMKEVLHVLSSCGTPHDNGAKNVASDFGVAPLIGSATYLSSYKRSKKESEEDDRIIYSV >EOY32002 pep chromosome:Theobroma_cacao_20110822:9:18967373:18970201:1 gene:TCM_039405 transcript:EOY32002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MELKQMVIQFFKSLYEDDGITEAIALNLNWRLDRATIDKLSLPINSEEVRRALFALMVATVWVDCSSLEQDSIYWNLTSSGDFSVKCAYEIQFDVVCLKLVTGKRFGNLNRLAR >EOY31907 pep chromosome:Theobroma_cacao_20110822:9:17591482:17594294:-1 gene:TCM_039264 transcript:EOY31907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMKGVIRFAKREKLNPRYIGPFRIIERIGPVAYRLQLPPELDRIHNVFHVSMLKKYVPDPSHILETPPIELHEDLKFEVQPVRILDRKDRVLRNKSIPMVKVLWKNARMEEMTWEVESQMRNQYPHLLFESGGESSDKGKEIASEDQ >EOY29348 pep chromosome:Theobroma_cacao_20110822:9:851995:857499:1 gene:TCM_036916 transcript:EOY29348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFLLQIKVKPFIHSTVFEWYSNLVHRCFESIDPILRVCIPLAKPCPCNPPPKLVSFG >EOY31143 pep chromosome:Theobroma_cacao_20110822:9:7217637:7218798:1 gene:TCM_038135 transcript:EOY31143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPDYFFSFVRLQDYFLEIKLGHNSFSLTSNDYKSLFMCCNSDQLSLYCKNLLVPLPVNVSVRSRTYGCHCP >EOY31335 pep chromosome:Theobroma_cacao_20110822:9:8241918:8244696:1 gene:TCM_038288 transcript:EOY31335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein MKKNISNILASFVSFILISFTTAHKISTSSHATALTDSQASFIKQRQLIYYREQFGSGGKFDSVPSQLTFDNSRLRSAYVALQAWKQAIISDPLNLTSNWVGSDVCNYTGVFCSPALDDPSIQTVAGIDLNHGDLAGYLPEELGLLTDIALFHINSNRFCGKVPWSFKKLKHLFELDLSNNHFAGKFPYVVLRLPSLKFLDLRFNEFEGKVPEALFDKDLDAIFINHNRFAFELPDNLGNSPVSVIVLANNRFHGCLPMSLGNMSKTLNEVILMNNGLHSCFPKEIGLLKNLTVFDVSYNKLMGELPDSIGEMVSLEQLNVAHNMFSGTIPESVCSLPNLRNFSFAYNFFTGEPPVCLALEEFDDRRNCLRGRPVQRSRLQCKVFLSRPVDCSVIKCRQVIPPKPLLPPPSPPILSPPPPPLSPLPPPPPSPPPALQPPPPPPTPCIYSPPPPPQNSPPPPPPPPPSPFYFNSPPPPLQPPPPPPTNSPPPPLLPSPPPPPSPFYFNSPPPPLQSPPPPPTNSPPPPLSPSPPPPTYSPPPPLNSQPPPPPPPPLPPCVTPPPPPSPPPCWQLQSPPPPPPVQPSTPPLSPMYYGPLPPIEGVDYASPPPPPFY >EOY33705 pep chromosome:Theobroma_cacao_20110822:9:38722662:38725427:1 gene:TCM_041607 transcript:EOY33705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S18, putative MRIARVLLGSLEGGLSRPRQLLQSVRFLSTGNGFDGQQPNSNSYESADEFERRIFGGYSGDSLKAQSFYEKLDKLGRARNRYSPRVGGEDGPFSSLDDLDESFNTLSDGMDWKLKEAATYFEFDMDEIEREDYAFRPDANFPPGTTYETRDLDLRRPGIRKPVRMPTFEVTTEEVLRKADFRNVRFLANFLTEGGIIIKRSSTGISAKAQRKVAREIKTARAFGLMPFTTMGTKSFVFGRTMESLDEDYQYETFDNSMTADADEKDPFEG >EOY32689 pep chromosome:Theobroma_cacao_20110822:9:32866186:32869257:1 gene:TCM_040720 transcript:EOY32689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKGKHRKSAINPGWNADISNLFKESQPLKEFCDFFRVVRLQKGAGNLMGYDTWLAVDWFCVVCQLSCTPPVALHPMTMSVDCGVVQLTKSQAQLATCHNPTTFPPHFKADMALSVLFVPIQCLHYRKPNKVDTALVTYQQTSPGTL >EOY29627 pep chromosome:Theobroma_cacao_20110822:9:1799457:1802257:-1 gene:TCM_047087 transcript:EOY29627 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein MLLFDSISPLTHSGKLTSPVLLSCLSQRPLRCAVLGAGFAGLSVAWHLLKQSPMDLRLHIDLYDEVGVGGGASGVSGGLLHPYSPKVKLLWKGAECWKECLKLLSIAEQAVSSEELDSETGQFGHDFGGFIVRRRGILRPATNMKTLNVLNDNAQSCLASCKIEIIDKDAAQKLVPHIHVPFNLAFYMPEAVNVNSLRYLKALFLACQNLAKELSASGFGRKKLCLLKKSVCELRELEGEYDAVIICLGAKADLLPELAGRLPLRSCRGVILHLQLPDNMGEDYPDHGPSILSDAWLAIQGNHSLYVGSTWEWKSRNSSPNVSSDEASNALQELLPKASAVYPGITGWTLAGARAGLRAMPPLTPHGSLPLLGCVNNLLSENLKCKYWLLGGLGSRGLFYHGWLGKLTAEAVISCNEQIIPSELTSWKNKR >EOY29774 pep chromosome:Theobroma_cacao_20110822:9:2332473:2343325:-1 gene:TCM_037208 transcript:EOY29774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probably inactive leucine-rich repeat receptor-like protein kinase MAKKGAKACPPVLLFVLMFLFFNFRASHGQELELLLSFKSSIHDPSGFLSKWDSSATFCQWLGITCNNLSHVDTVDLSAKNLSGKLVSPSIFQLPYIQTLNLSSNQLDGEIPLDIFSSSSLRFLNLSNNNFTGQIPSGSISRLEMLDLSNNMLSGRIPQEIGSFYSLKFLDLGGNVLVGKIPISISNITTLQFLTLASNQLVGPIPREVGKMKSLKWIYLGYNNLSGEIPKEIGMLTSLNHLDLVYNNLTGEIPSSLGNLGDLQYLFLYQNKLTGSIPKSIFGLKKLVSLDLSDNSLSGEVPELIIQLQNLEILHLFSNRFTGKIPNALTSLPRLQVLQLWSNSLSGEIPTSLGRHNNLTVLDLSGNNLTGRIPDGLCSSGRLFKLILFSNSLEGVIPKNLSTCTSLQRVRLQSNRLSGELSSEFTKLPLVYYLDISNNNLSGNIGERKWDMPSLEMLNLARNRFSGKLPHSFGRQKIENLDLSGNELSGSIPRSFGSLTELMQLSLCGNKLTGEIPEELSSCKKLVSLDFSHNQLSGHIPSGFSEMPVLGQLDLSENQLSGEVPPKLGKLESLVQVNISYNHLHGSLPSTGAFLAINASAVAGNDLCGGDDTSGLSPCKKVKNPTWRFFVACSLAALVLLSLAAFGLVFIRGRNNLELKRVENEDGIWELQFFDSKVSKSVTIDDIILSAKEVNVISRGQKLGTPFKGKSVVNDLQFVVKEMTDVSSIPPSFWSEIAQIGKLHHPNIVKLIGICRSNKGAYLVYKYIEGKILGEILHNLSWERRRTIAIGIAKALRFLHSYCSPGILVGNMSPERVIIDGKDEPRLTLGLPGLGCVENKRFIASAYVAPEARESKDITEKSDIYGFGLILIELLTGKSPADAEFGVQRSMVEWARYCYSDCHLDVWVDPIIRAHASNNQNQIVVTMNLALHCTAGDPTARPCASDVSKTLESAFRISSCVPGLKFSSPV >EOY34380 pep chromosome:Theobroma_cacao_20110822:9:40857147:40861060:-1 gene:TCM_042068 transcript:EOY34380 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA zinc finger protein regulating nitrogen assimilation, putative MNNSWFDKTFNGVNDDFFDDVIKYLDFPLEDVEANDGSGGGSSGEDVIKDFHLPLEDSGGGGGGEEWDCNFQNLEPPPANVLAGLSSGFYGDFFGDNLAKNLTVSCDGSSQPNQQTSTTKASSSRSITLNSESADLKGSNRFQTSSPVSVLESSSSCSAANPTPIDPNLSFPVKRSRSKRRRVSTFNLHVSLPFISSTSSTSRGSNSLVGSESESESHLTEKSAKKRQKKKRNLTLLSGSSEIKKSPSQQPVVVRKCMHCEVTKTPQWREGPMGPKTLCNACGVRYRSGRLLPEYRPAASPTFVSSLHSNSHKKVVEMRKKAKLPISVMPSMLSIPPENSFG >EOY31007 pep chromosome:Theobroma_cacao_20110822:9:6662422:6664702:-1 gene:TCM_038044 transcript:EOY31007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Axonemal dynein light chain, putative MATKENKLKKGFLSTFYWSSTKSHKPKSEPAAVANIKPVSQNSLLKKLENCHTIEQAGPRKIEKEGVGGGGGGGEAKLVEGRKSVSHVETNLSSVIAFLQVKVLVSDMPGFMQVHAFRCARRTYDSLEKFSSKHMAYNMKKEFDKVYGPAWHCIVGSNFGSFVTHSTGCFLYFSMEKLYILVFKTKVQRVAN >EOY32014 pep chromosome:Theobroma_cacao_20110822:9:19122554:19123646:1 gene:TCM_039421 transcript:EOY32014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLCYKKSWRTMVVKRNIFETLENSLMAELGKFSHLRFISWTLQWRVKVKPTSLRRDFF >EOY34646 pep chromosome:Theobroma_cacao_20110822:9:41683363:41685361:-1 gene:TCM_042249 transcript:EOY34646 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein, putative MAANCNIPFSVERKCTVLVKPSTRTPAEVLSLSTLDNNPNLERIGQIIFSYQANNDLKQKFSQQPDPASIIEEALSKLLVYYYPLAGKLKRQSDGKLQINCNADGVPFSVANADCKLSSLNYLDGIDVAIARQFVLDLPSDFDNGCHPLMFQVTKFACGGFTVAMGVAHTVFDGFGAAHFFRALTEIASKRCEPSVKPVWQRARLVAKPALETPEFLLDKNALATSPYLPTTDVVHEYFYVTAKSIKRLKTSLMEESQDQDEHLTESLTTLEVLGAYVWRSRFRALKLDPNGKTMIRLAVGIRHLLNPPLPDEYYGNAFITINVVLTGRDLVEGPLSKVVKLIKASKKLASTEDYIRTAMSLMEKRRLRSNKPDVATGALMVLTDWRQLGVRGEVDFGWKGFVNMTSLPWKIYGDVDLCILMSPCKLDHFMKGGVKVLVSLPEASMAKFKDEMNALEQGAYLITSLL >EOY32279 pep chromosome:Theobroma_cacao_20110822:9:25694328:25695576:-1 gene:TCM_039980 transcript:EOY32279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MREGRAHFLQLSLERNLKSCIKVALDFVSPENIHECIRLTEEFRVLPHNHKAKEHKLEVKKMILHALNYVVGELEKLTA >EOY29855 pep chromosome:Theobroma_cacao_20110822:9:2582091:2586003:-1 gene:TCM_037257 transcript:EOY29855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma vacuolar processing enzyme MTRLVSGVILLLLSLTGIVSAGRDITGDVLRLPSEASKFFRGSNDDEVEGTRWAVLIAGSNGYWNYRHQADVCHAYQLLKKGGLKDENIIVFMYDDIAFNEENPRPGIIINSPHGDDVYEGVPKDYTGEDVTVNNLLAAILGNKTALTGGSGKVVDSGPNDHIFIYYTDHGGPGVLGMPTFPYLYADDLIEVLKKKHASGTYKSLVFYLEACESGSIFEGLLPEGLNIYATTASNAEESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDSDIHNLQTETLHQQYELVKRRTINGNSAYGSHVMQYGDIGLSKDIVFVYLGTNPANDNFTFVDENSLQPPTKAVNQRDADLVHFWDKYRKAPDGSVRKLEAQKQFVEAMSHRMHIDNSMKLIGKLLFGIEKGPEVMKTVRPAGQPLVDDWKCLKKMVRTFETHCGSLAQYGMKHMRSLANICNAGIQTEQMAEASAQACVSIPSGHWSSVQKGFSA >EOY30356 pep chromosome:Theobroma_cacao_20110822:9:4318541:4320485:-1 gene:TCM_037595 transcript:EOY30356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFVFLFRGWTGRPARASSAQSKRSVSARLAYYPSTNSCAPSRLGAASVDCFTYPKIWPTLKKKQKCSLAHLPTCLPASKGEAKPIKVQNSEEVGFI >EOY31895 pep chromosome:Theobroma_cacao_20110822:9:17202461:17236358:1 gene:TCM_039228 transcript:EOY31895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPPRRGRPPLYRSVGRGRGRAKLSQPDPVEGESAAPTFRAAPAVEPTEIPPPPPPPTATPGVLDMSPEVVQALAAFLNVIMGQAQASRVPHTVPPAVSPVPPPPPLVPPPVPDVSISKKLKEARQLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXIRGYEIG >EOY32680 pep chromosome:Theobroma_cacao_20110822:9:32794693:32798324:1 gene:TCM_040707 transcript:EOY32680 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein MFYRGKYTDGADGREMGAKRQRIIDQGPSFYGTSPGSSFMYNAPPPPYTYVSQPPPFPVVRLRGLPFDCTEADVAEFFHGLDIVDVLFVHKNSKFTGEAFCILGYPLQVDFALQKNRQNMGRRYVEVFRSKRQEYYKAIANEVADARGGSPRRNVPRAKSSDDAKDSAEHTGILRLRGLPFSAGKDDIIEFFKDFVLSEDAIHIIMNSEGRPSGEAFVEFANAEDSKAAMAKDRMTLGSRYIELFPSSPEEMDDAISRGR >EOY31822 pep chromosome:Theobroma_cacao_20110822:9:15808094:15811805:1 gene:TCM_039088 transcript:EOY31822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 8 MPKEKWKGTKQDLLQKDVNNAFLHGNLNEEVYMELPKGYTIKGECPNGSKLVCKIHKSLYGLNQASRQWNAKLTPSILQYGSTSIQAATVIKDYLSSKFKLKDPSTVNHFLSLEVARSPQGISICQRKYALDLLEEHGLLGAKPASTPIDYNVKLRKISKEEEIADLAKYKQLVGKLLYITFTRLDISNAVQTLAQFMDKPSHEHLGPEAPINMVAWAVPTSRENSLKVSDAGSDQGGGRYNWAGCAYTRKSVTGYCIFIGQSLHQNAVRLYYDNQSSIYISRNLVFDERTKHIEIDCHFIHEKILNGMIERVHISTEVQVADVFTKALQLGQFQKFLSKMNIHNIHGSS >EOY33873 pep chromosome:Theobroma_cacao_20110822:9:39220621:39221365:1 gene:TCM_041714 transcript:EOY33873 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein MSLIPSFFGSRRSNIFDPFSLDVWDPFKDFPFPSSLTTHTPETSAFVNTRMDWKETPEAHVFKADVPGLKKEEVKVEVEDDRVLQISGERNIEKEDKNDTWHRVERSSGKFMRRFRLPENAKMDQINASMENGVLTVTVPKQEVKKPDVKAIEISG >EOY34359 pep chromosome:Theobroma_cacao_20110822:9:40769130:40771509:-1 gene:TCM_042053 transcript:EOY34359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane intrinsic protein 2,4 MAKEVETAEQGGGEFSAKDYHDPPPAPLIDAEELFKWSFYRALIAEFIATLLFLYVSVLTVIGYKVQTDTLKNTVDADCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLGRKVSLIRAIMYMVAQCLGAICGCGLVKAFQKTYYNTYGGGANELQPGYNKGTGLGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNREKAWDDQWIFWVGPFIGAAIAAFYHQYILRAAAIKALGSFRSNA >EOY32210 pep chromosome:Theobroma_cacao_20110822:9:23395483:23398996:-1 gene:TCM_039820 transcript:EOY32210 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein, putative isoform 1 MAATASTRIHVGGLGQSVSSDDLRKVFSAVGTVEGLDIIRAKGRSFAYVDILPSSSNSLSKLFNTYNGCVWKGGKLKLGKAKEHYLTRLKREWAKEEEEAHHQPMPSSSDEPYNGNKVHVSQQGHLRIFFPRLTRVKSLPLSGTGKHKYSFQRVEVSALPIHFCDCEEHSGHFNAVRRKEGQNHEEINGVMNEEEVSMMSSVMNKLFERANISNTSSAILADEREDFTKLIEGPLSDEEETDDDDLIINVVSDSNNRAAMSGSREKKAVSTEKTGLGETHISNYGAIRSACKVQENNTLHPRKKRKPLPNKEEDKHQLVSLFHGQRRNSEFDDSNADFEENEANEDNLMINIVSMANKRSGRTKLDKVSLKQRFKSSEKQTSEDGPIQNEHKVQKDDILLPNRNEKGNVQTQSNESVVVAQTTGAECGLKQSNTSCSWSQKSSWRALVGDRSNSAFSLSNILQNVGTTKEKQQISDGCKVNKTLDSRNGNLAKPKNLEGMLGKTEIVDVEPQPNQPKTASSNSGRGSSWLHKSSWMQLVSENSSSFSISEIVPGSTTKQECTKPIYEDVVYSADGNHSNKTKSHKSEPTVYGSPALGVRKEGDTVRSIPESNQQTVVGNTDASVPTVEKCNSEPDKAFGGDTSIGETCSFMRSSASLKEWVKTKAALKGSRKKKR >EOY32211 pep chromosome:Theobroma_cacao_20110822:9:23395626:23399136:-1 gene:TCM_039820 transcript:EOY32211 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding family protein, putative isoform 1 MAATASTRIHVGGLGQSVSSDDLRKVFSAVGTVEGLDIIRAKGRSFAYVDILPSSSNSLSKLFNTYNGCVWKGGKLKLGKAKEHYLTRLKREWAKEEEEAHHQPMPSSSDEPYNGNKVHVSQQGHLRIFFPRLTRVKSLPLSGTGKHKYSFQRVEVSALPIHFCDCEEHSGHFNAVRRKEGQNHEEINGVMNEEEVSMMSSVMNKLFERANISNTSSAILADEREDFTKLIEGPLSDEEETDDDDLIINVVSDSNNRAAMSGSREKKAVSTERFKSSEKQTSEDGPIQNEHKVQKDDILLPNRNEKGNVQTQSNESVVVAQTTGAECGLKQSNTSCSWSQKSSWRALVGDRSNSAFSLSNILQNVGTTKEKQQISDGCKVNKTLDSRNGNLAKPKNLEGMLGKTEIVDVEPQPNQPKTASSNSGRGSSWLHKSSWMQLVSENSSSFSISEIVPGSTTKQECTKPIYEDVVYSADGNHSNKTKSHKSEPTVYGSPALGVRKEGDTVRSIPESNQQTVVGNTDASVPTVEKCNSEPDKAFGGDTSIGETCSFMRSSASLKEWVKTKAALKGSRKKKR >EOY31997 pep chromosome:Theobroma_cacao_20110822:9:18854855:18855852:1 gene:TCM_039393 transcript:EOY31997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTCRLQPLTVRPPKVNVKVLQHVLSSCSYSVSVTTLILCWVAMLAYVGVSSRCKACSCVWKVCC >EOY34146 pep chromosome:Theobroma_cacao_20110822:9:40056377:40057867:-1 gene:TCM_041906 transcript:EOY34146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast intrinsic protein 1,3 MPISRIAVGSPAEAGQADALKAALAEFISMLIFVFAGEGSGMAFNKLTDNGSSTPAGLVAASLAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGHISLVRSILYWIAQLLGSVVACLLLKFSTGGLTTSAFSLSSGVGAWNAVVFEIVMTFGLVYTVYATAVDPKKGNIGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWDNHWVYWLGPFVGSAIAAVVYEIFFIAPNTHEQLPSADF >EOY34436 pep chromosome:Theobroma_cacao_20110822:9:41090530:41099406:-1 gene:TCM_042117 transcript:EOY34436 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 1 MADLKHRILMVSDFFYPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYMTGGLKVYYVPWRSFLMQNTLPTFYGTLPIIRTILIREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADVTRAICVSHTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAQERLGHNEIVIVVISRLVYRKGADLLVEVIPEVCRLYPNVLPDDMIVLAEPDPSDMVQAIKKAISIVPEIDPLVMHDRMKRLYNWNDVAKRTEIVYNRALKCSDQSLLERLSRYLSCGAWAGKLFCLVMIIDFLLWRLLELWQPAKDIEEVPDVVLPRDQDGENIEGFR >EOY34435 pep chromosome:Theobroma_cacao_20110822:9:41090953:41094726:-1 gene:TCM_042117 transcript:EOY34435 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein isoform 1 MADLKHRILMVSDFFYPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYMTGGLKVYYVPWRSFLMQNTLPTFYGTLPIIRTILIREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADVTRAICVSHTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAQERLGHNEIVIVVISRLVYRKGADLLVEVIPEVCRLYPNVRFIVGGDGPKRVRLEEMREKHSLQDRVEMLGAVPHASVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPDPSDMVQAIKKAISIVPEIDPLVMHDRMKRLYNWNDVAKRTEIVYNRALKCSDQSLLERLSRYLSCGAWAGKLFCLVMIIDFLLWRLLELWQPAKDIEEVPDVVLPRDQDGENIEGFR >EOY33084 pep chromosome:Theobroma_cacao_20110822:9:35368435:35370873:-1 gene:TCM_041081 transcript:EOY33084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTATGKILSSTPISVSKATKIISNFAATDNGASQAVSAYLRRASASFNELKQLHRELRKQSKSDRKHKKSKSEAAVEGAGESSLEPSVFNLTRGAVELSQEASHGYGDSEGKKHKNKKKIEKGEVGNVGDGEGKSAIEDGESKRKKEKNEVCNSEEDEEKMVIEEPSEKKKHKREKSGRKIENFQRNGVKIEEGEMRHEAEGQWENKKKRKSREIGEGIENDSSSEPRKKKIKNEVDS >EOY32259 pep chromosome:Theobroma_cacao_20110822:9:25129069:25135038:-1 gene:TCM_039951 transcript:EOY32259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPVNTLELITYLQKENKRGESHRLSDHRLSEQGVDGKQAKDRRFEHQKYKNTCKQFSSKGRFVPYM >EOY32005 pep chromosome:Theobroma_cacao_20110822:9:19042853:19045847:1 gene:TCM_039412 transcript:EOY32005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MDSTPPPFAVLFPLLLLSLTNFLALPNSATRIASTMKPRRLHAKLFHYNSIFSPTYNPSDTVVDHATYMVQNSAARLAYLRSKVRTSLASSNDVQAHLDIDRGIFLVKFSLGQPPVPQLAVMDTGSTLLWVECKRCMGTKDCFDPSKSSSYAATSCEDAKCDSLPRKSCDTDSNQCKFSIAYENHFSTGGVLSTDQFTFETFDEGSVVVPNVSFGCSTTGGLSGRKFNGIFGLGDSPTSLVTKLGNKFSYCIGNMNDPEYSYNRLVIGDGADIEGYSTPFEMYKGLYYVTLEGASLGDIRLHFDPNVFKRTLHGSGGMIIDSGAELSYIPETEYSELYSNIRLLLEPFLQRVLYKTERWSLCYNGSVSRDLVGFPAVTFHLAEGADLVLDTGSLFYYKEPQVFCLAIHPVRSFEDIPEPDILSIIGIRAQQNYNVAYDLTNQTLYLQRMDCQLLDD >EOY30467 pep chromosome:Theobroma_cacao_20110822:9:4716152:4716943:-1 gene:TCM_037669 transcript:EOY30467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKGYITDPTTSKPEGWEAKLLSFVSLRASRRSCLQALYPEVGILLRQLRRRRISNYLSV >EOY29920 pep chromosome:Theobroma_cacao_20110822:9:2816265:2818271:1 gene:TCM_037303 transcript:EOY29920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 32 protein, putative MTPIDGIDPQYFRDPTTAWKGPDGLWRILVGNQMDGHGTALLYRSKDFVSWSRSEDPLHSSKKTDMWECPDFFPVATNSKDGVDTTSLDKSNKHVLKASFNHHDYYVLGKYTPNGDKFSVDIDFTNTSKDLRYDYGKFYASKTFFDSGKKRRILLGWVNESDSESDDIKKGWSGLQSFPRSILLSKDGRQLIQWPIQEIEKLHANKVSIRNKKLKGESFLPVSGLKTSQADVEVSFDLPNLKKVEVMNLSWVDPQLLCSQKKPSVRGKAGPFGLLVLASKCLAEHTSIFFRIFRNHDKYVVLMCSDQSRSSLREGLDKQPTELSLT >EOY30303 pep chromosome:Theobroma_cacao_20110822:9:4161566:4166422:1 gene:TCM_037561 transcript:EOY30303 gene_biotype:protein_coding transcript_biotype:protein_coding description:DREB2A-interacting 1-like protein MSNDYVKVQRRPPMELLTCPLCSNLYREATTVCVCLHSFCRQCKYQKLEEDNRNHCPVCNAYLGSFPEELLRNDHNSRELVMQIFPLGKTSGEESSEASSVKIKNAETAAPTLTEANIQRQDFSMPREAKRIHDDRDVTRYNLHLNETPNGRSNHSVNAIKKQNQLTEMEMPQIAYVSSEPIAHAFFLKKSKKGGPCWEYLAGHEEGETYKSILNETKGHAQVKRRRRTKRKPCKFLTGQREDGSMIWTEKEMPKPGASDLGKSINSGKQFGGTLSLKELPGYSSRPVWFCLLACQEKKGMALPQIPKPFISTRDGDLAVSFVNKYLARKLNLKHESEVEVMCLGHSLVPTLTLNNLIDIWVDAVSNMGPMSVNCNADNGNGGNFVMELTYRRTKKQRALQSNLDLMEP >EOY29996 pep chromosome:Theobroma_cacao_20110822:9:3003923:3005640:1 gene:TCM_037352 transcript:EOY29996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSRQLSFSWLISDSIKSRVVSVVFTTRATGFRNSRIRMACNETKFQPLQSCLFSFGVAVTIYRLLLFLYFFLFGRLQAGSHAFHVPFMVDKASQPRTVYFSLIRLPLLLIPTSK >EOY34733 pep chromosome:Theobroma_cacao_20110822:9:41955924:41969412:-1 gene:TCM_042317 transcript:EOY34733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MEAVAEGLWGLADYHENKGEIGKAVKCLEAICQSHASFLPIVEVKTRLRVATLLLRHSHNVNHAKSHLERSQLLLNAIPSCFDLKCRTYTLLSQCYHLVGAIPPQKQILYKALHLTSAVDPDVSVKLWCCNFNSQLANALIIEGDYQNSISTLESGYVSATQICYPELQMFFVASILHVRLLMQWDDQTAVEVERALHRCDQVWETIPSDRRAHCLGLLFYNELLHIFYGLRISDYKNAVKHVEKLDAAIKQDSDKMHQLHQLTLELNALNQSLSRSDLPSREVSALSARQARLQGQLTHISTTTSSSSAGNDTLEPTYFGNAKRALQDKLLLAPPPINGEWLPKSAVYALVDLMVIIFGRPKGNFKECEKRIQSGMHIIEEELVRLGITDGVREVDLQHSAIWMAGVYLMLLMQFLENKVAVELTRSEFLEAQEALVHMKNWFTRFPTILQACEGIIEMLRGQYAHSVGCYSEAAFHYVEAAKITESKSMQIMCQAYAAVSYFCIGDAESSSQALDLIGPIYRMKDSFVGVREEASILFAYGLLLMKQQDLQEARNRLAKGLQIAHVQMGNLQLVSQYLTILGNLALALHDTGQAREILRSSLTLAKKLGDIPTQIWVLSVLTGLFQQLGERGNEMENDDYRRKKFDDLQKRLADARSSIHHIELVDKVKLEVQQFNELDMKRRMAGQSMRVNLDIPESVGLSVPMPVPSSSRLADLDTGRRGKRKL >EOY30240 pep chromosome:Theobroma_cacao_20110822:9:3891053:3891678:1 gene:TCM_037514 transcript:EOY30240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transporter 5 MMHMTLYWGKDVTLLIDSWKTDSWLSYLLTLVACFLFSSFYQYMEDRRLRFNTLASSNPSQPSSTGAAVPLLSKSRRSAKFATAVLFGINSAIGYLLMLAIMSFNAGVFLAVVSGLAVGYLFFRCADEDTVIVDNACACA >EOY34453 pep chromosome:Theobroma_cacao_20110822:9:41167546:41172432:-1 gene:TCM_042132 transcript:EOY34453 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, CDC48 protein MSHSAESSDSKSGKKDFSTAILERKKSPNRLVVDETVNDDNSVVSMHPDTMEKLQLFRGDTILIKGKKRKDTICIALADETCETAKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPGEYCVVAPDTEIFCEGEPVRREDEDRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNTIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSDDVDLERISKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVTNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRHQIFKACLRKSPISKDVDLRALARYTQGFSGADITEICQRACKYAIRENIEKDIERERRRSENPEAMDEDVEEDVAEINAAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSETGTTAAPDPFSTSAAGADEDDLYS >EOY30696 pep chromosome:Theobroma_cacao_20110822:9:5474162:5476442:1 gene:TCM_037819 transcript:EOY30696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTCFDKDVARHKGILASCVFPTLLLPPGKAAGSNFYGHSLRSEIKRIKSLSERLIRRERYLIVRDDGRQEEAEGAAPSARDSRILAVAANYAMD >EOY30307 pep chromosome:Theobroma_cacao_20110822:9:4179850:4181997:1 gene:TCM_037565 transcript:EOY30307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S3 family protein MAAQISKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFIMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHSPKEDEETFKVKPETTDIEIPVVA >EOY30460 pep chromosome:Theobroma_cacao_20110822:9:4674478:4676860:1 gene:TCM_037662 transcript:EOY30460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase 5 MAKKPRIVIIGAGMAGLTAANKLYTSTGSKDLFELFVVEGGTRIGGRINTSEFGGDRIEMGATWIHGIGGSPVHQIAQEIHALESDKPWECMDGFSDEPKTVAEGGFQLNASIVDPISTLFKNLMDFAQGKLTEDSAGSGDVRYYNFAAKAASKGCTSNGGFGNKSVGAFLRQGLDAYWDSSKDREELRGYGKWSRKLLGEAIFAMHEDTQRTYTSAGDLLTLDFEAESEYRMFPGEEITISKGYLSIIEYLASVLPPGVIQLGRKVSRIEWQPESHQSVEMANGYDSRPVKIHFFDGSVMLADHVIVTVSLGVLKAGTCQDSGMFNPPLPSFKTQAITRLGYGVVNKLFLQLCPTGKRKANDMNKFPSLQMVFHRSDSDLRHKKIPWWMRRTASLSPIYKNSSVLLSWFAGKEALELETLSDEEIINGVTTTVSSLLSQPHAAVKSNSHEFCNGNLKSVESLGDSEVRFAKVLKSKWGRDPLFLGSYSYVAVGSSGDDLDTMAEPLPKVGSSESAGVHPLQILFAGEATHRTHYSTTHGAYFSGLREANRLLQHYHCVGV >EOY30645 pep chromosome:Theobroma_cacao_20110822:9:5256309:5264588:-1 gene:TCM_037781 transcript:EOY30645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein with leucine-rich repeat domain, putative MSTLSAPAFPSFYLKFLLLALFLTHVSPQLHDESEQALLLKLKTYWQNPPSFNHWAPSSNSSSHCSWPEITCLNDSVTGLTLANKGINEAIPPFICDLKNLTSIDLNYNNLVGEFPKTLYNCSKLEYLDLSQNYFVGTIPDDIDSLGQLQSLNLMGNNFSGQIPVAIGRLQDLRSLLLCSNQFNGSFPPEIGNLSKLEFLGLAYNTKFQPSNLPPTFKQLKKLKTLWMTEASLVGEIPDMIGDMTALEVLDLSSNNLTGNIPSALFLLKNLEGVYLFDSKLSGEIPQVIKASNLRVIDLSQNNLTGRIPSDIGKLENLSGLVLFFNQLSGEIPESIGRISTLTDVRLFSNNLWGTLPPDFGRYSMLEYFEVASNRLTGRLPEHLCHGGKLLGLVAFDNNLAGELPKSLGNCNSLIMVNIRNNGLTGSIPSGLWTSLNLSMLMISDNFFTGELPKKVSHNLSRLEISNNKFFGQIPVEVNSWRSLVVFKASNNLFNSTIPKALTALPFLTTLLLDQNQLHGFLPSPIISWRSLVTLNLGQNQLSGQIPEDICVLPSLLELDLSKNQFSGQIPPKLGLLRLTSLNLSSNLLIGNIPKQFENAAYSNSFLHNPGLCASSPYINLENCSSPKKSSIRALQNPTRICGIVIGIFVFISACSFFMIKYNRKREHVLDPELKLTFYQNFNFTESDVLSRLIEENKIGSGGSGNVYRVELTCSDNVNKHANVVAAKVIRNNRKSEHLLGKQFRAEVEILGKIRHLNIVKLICCISSETSNVLVYEYLENRSLDIWLHKHRATTLSCSTHNFILDWPKRLQIAIQAAQGLCYMHHYCSAPIIHRDVKSSNILLDSEFKAKIADFGLAKMSIKRGEEPITVSGVAGSVGYIAPEYARTTRIDEKIDVYSFGVILLELTTGREAHNGDKNRSLAEWAQHYFQDNNSIVDALDEEIKEACYLDQMCNVFKVGIHCTRTSPSRRPCMRKVLQMLQKSSHSRIIENKNENRWDNNGVDSV >EOY32178 pep chromosome:Theobroma_cacao_20110822:9:22728055:22728978:-1 gene:TCM_039766 transcript:EOY32178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MALSHRDGQHMLESGSRGQNVIYDMAEGTGECSPLGGQRACQKGRENGHNRVISYEACSREKMEGHADYLPAQESASSKCLHNKELSYVPSIPSSSGTKFIEIEIHPRVRRRRHSDTEVSIDKILSLASDKAMDMGENDESSDEDAISVNFAASWERKRYY >EOY31686 pep chromosome:Theobroma_cacao_20110822:9:12135305:12137576:1 gene:TCM_038737 transcript:EOY31686 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein, putative MEILSQMWSFLGLLTVLQNILPSQLLSLLHSFYESLQDLFTPYSYFEIPEFNGYCSVDLNDLYRHGSLYLNSVNPSATCRRLTLSRSKSSNCISFTVAPNHTIHDTFNGHSISWTHHVETVQDSLEEKRSFTLKLPKRHRQTLLKPYLDHVISSAEEFERVSRERRLFTNNGHGSYESGWVSVSFRHPSTFDTLALEPELKKQIMEDLTAFAKGREFYHRVGRAWKRGYLLYGPPGSGKSSLIAAMANYLCYDVYDLELTKVTDNSELRALLVQTTNRSVIVIEDIDCSVDLTMDRLSKRSLRSRAQSHATIKGDADQENGRVTLSGLLNFTDGLWSCCGEERIIVFTTNHRDNVDPALVRCGRMDVHVSLGRCGMHAFKVLAKNYLNLDSHPMFQLVETCISSGGSLTPAQIGEVLLRNRWNADVAMKEVVSAMQSQLQTQNNQGGGGADYDEIAMTRSPESALAVGSPELWDSSPTKVGRNGKKWKQGSSTGSAEKKVNFLVRLRSLTKSDCDRKVL >EOY29921 pep chromosome:Theobroma_cacao_20110822:9:2819170:2823252:1 gene:TCM_037304 transcript:EOY29921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 32 protein, putative MIDLKLKVRMKISVIWVIVFCLLLFDHGIQCFHGDGRKVQSASTEQPYRTAYHFQPPKNWMNDPNGPLYYKGIYHLFYQYNPYAAVWGNISWAHSISYDLVNWIHLDHALSPEDPFDINGCWSGSTTILSREKPVILYTGSDTENRQVQNLAVPKNLSDPFLVEWVKSSHNPLMTPVDGIDPQNFRDPTTAWQGPDGLWRVLVGNQMNGHGRALLYRSQDFVSWTRSKDPLHSSTKTDMWECPDFYPVAIDGKYGVDTSSLEKFTKHVLKASFNHHDYYILGNYTPTTENFSVDTDFMDNGSDLRYDYGQFYASKTFFDSAKKRRILWSWIQESENTTYDIKKGWSGLQSIPRSILLSKTGKQLIQWPVEEIEELRAKNVSYQSKQLKGGSVLEVSGITASQADVEISFDLSNLKEAELMDPSWVDPQLVCSQKTASFRGSVGPFGILVLASKDLTEQTAIFFRIFRSHDKYVVLMCSDQSRSSLREGPNKASYGAFIDIDPLYEKISLRSLIDHSIVESFGGEGRVCITARVYPKLATDEQAYLYAFNNGTFDVTISNLNAWNMKKAQIVSATKRRKPHLN >EOY31174 pep chromosome:Theobroma_cacao_20110822:9:7379853:7382416:-1 gene:TCM_038156 transcript:EOY31174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag-pol polyprotein, putative MHANKACRHTIINMPSNELFDVYNPYKEAKQTWDSMVAKYIAKNVEKQKFVIGNFYYWGMTDDKNIKSQINEYHKLVGDLKIKDESKQTKNYQ >EOY34012 pep chromosome:Theobroma_cacao_20110822:9:39680676:39683385:-1 gene:TCM_041821 transcript:EOY34012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein MSSEALMEKPTSGKCRCRSQSWYLVLISFLLWFTLFYLYSSSITFGKKGDNFLGNNHTDFVESSESLHDVARQETGDSTDVTDVSGGDNVEEASNESEKEQKPMIFSVDGAENVDQVLVVDKDLEADNQTMAEENETESVEIFSGKENGDDQLSNEAVNTRDEDNKPFDPSSMKEVTKQKGTEGVSELPREENEDQPVDSFPIVKSRRERAKPSRGRNGNKPADSSPVTEKRIENVAVEIPRRQNVVRAKSDSSSCSGRYIYIHDLPGKFNEDLLDNCRSLSFWTDMCECASNLGLGATLPSNEKLYSRTGWFATNQFLLEVIFHNRMKQYKCLTKDPSVASAIYVPYYAGLDVGRYLWDPDGFMRDYDAVNLVKWLAARPEWKKMWGRDHFLVAGRINWDFRRDPKNESDWGNELLNFPESKNMTMLVIESSPWNNNDFAIPYPTYFHPSRDEDVFQWQNRMRRQKRRFLFSFAGARRPNLHESIRNEIIDQCLASRRKCRFLECDKSQKCHKPVYLMKLFQSSVFCLQPPGDSYTRRSIFDSILAGCIPVFFHPGSAYVQYIWHFPKDYTKYSVLIPANDVKSGNANIERILQRIPREKRVAMREEVIRLIPKVIYADPSSRLGTIEDAFDLTIKGVLDRVETVRNQMRDGQQVNSEFDEQESWKYFTFGKLGVHEWDPFFSSKLGKHGT >EOY34624 pep chromosome:Theobroma_cacao_20110822:9:41618504:41621583:1 gene:TCM_042233 transcript:EOY34624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit exo70 family protein B1 MAENGEEKLLAVARHIAKTLGQNDTMADDILQIFSNFDGRFSREKLSEKMGDDDPRGCATLERTLNSLDRQISQYVAADHPIWADTADSSAFLDAIDELIGTIRDWSPMAAEKSVGACLVRADDLMQQAMFRVEDEFRSLMERGAESFELRRNNHESTGNLLSGSDDENEENEEGILHNGADLDHQIPVAQPITDYDIVIDALPSGTINDLHEIAKRMVAAGFGKECSHVYSACRREFLEESISRLGLQKLSIDEVQKTPWQDLEDEIERWIKAANVALRILFPSERRLCDRVFFGFSSAADLSFMEVCRGSTIQLLNFADAIAIGSRSPERLFKVLDVFETLRDLMPEFDSVFSDQYCLILRNEAVTIWKRLGEAIRGIFMELENLIRRDPAKVAVPGGGLHPITRYVMNYLRAACRSRQTLEQVFDESNVVVPSNKLDDRGSSSSSMSVQMAWIMELLESNLEAKSKIYRDSALCSVFMMNNGRYIVKKVKDSELGSLLGDDWIRKHNAKVRQYCTNYQRSSWNKILGTLKLDNTSLASNAVAKSMKEKIKSFNSQFEEVCKTQSTWIVFDEQLREELRISVSRLLLPAYRNFIGRFQCIPEIGRNADRLIRHGPEDIEARISDLFEGGSASSGGRK >EOY29370 pep chromosome:Theobroma_cacao_20110822:9:938748:944094:-1 gene:TCM_036927 transcript:EOY29370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein with chitinase insertion domain, putative MASLKPVTFLFLVMFCTFVNVSLAIPANHSGPAPDFSPPPPPYSPVPVYAPGPSTPSYLPGPTPSPSSYPAVPAASPIPRGIKAAYWPSFEDFPVSSIDTSYFTHIYYAFLLPEPNTFKLNITPSDQQKLPEFMDGLSAKTPPVRTILSIGGGGNDPDVFARMASAKETRGVFINSTIEVARAYKCDGVDLDWEFPATVDDMANLALLLKEWRKELKTEAKTSGKPRLLLTSAVYYSSEFTNYGLPRSYPAHAMAKYLDWASPMCFDYHGKWDHFTGMHSALYDPNSNISSSYGIGSWIRAGVPPQKLVMGLASYGHTWKLQDPNVNGVGAPATGVGPGDEDGFFNYNAILMFNNINNATVKCDSTTVSYYSYTGDSWIGYDDVRSIKRKVRFAVFKGLAGYFFWALGYDKDWALSRQASKAWEFW >EOY33324 pep chromosome:Theobroma_cacao_20110822:9:36734611:36739699:-1 gene:TCM_041281 transcript:EOY33324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVEEYTSEFNNLSIRVGLAESNEQITSRYLAGLNHSIRDEMGVVRLYNIEDARQYALSAEKRVLRYGARKPLYGTHWQNNSETRLWQWWLIVIIGQKCAEMWRVIPQAEFAYNNSVNRSIKKTPFEAAYGLKPQHVLDLVPLPQEARVSNEGELFADHIRKIHEEVKAALKASNAEYSFTANQHRRKQEFEEGDQVLVHLRQERFPKVTT >EOY29561 pep chromosome:Theobroma_cacao_20110822:9:1549575:1551258:1 gene:TCM_037061 transcript:EOY29561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease 5 isoform 1 MEEEVSSGISFSPLVWVSKFQTMAREAYKSKPISHWVLLLLSSLGMLVAFPASSLLSRVYYANGGTSKWIISWVAVAGWPIPALILFPTYLFFNASPSPLNLYLFLSYVFLGFLSAADNLMYAYAYAYLPASTASLLASSSLVFSALFGFLIVKNKLNASMINAIVIITAAMAIIALDSDSDRYASVSNSQYIWGFVWDILASALHGLIFALSELIFVKFLGRRSFHVVLEQQVMVSFLAFVFTTIGVIVNKDFQGMVSEAKTFKGGESAYNQVLIWGTITFQLGVLGGTAVLYLASTVMAGVLNAVRVPLTSIAAVILLHDPMSGFKILSLVITFWGFASYIYGTAPGTKLS >EOY29560 pep chromosome:Theobroma_cacao_20110822:9:1549147:1551101:1 gene:TCM_037061 transcript:EOY29560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease 5 isoform 1 MQEQLLEEGDRMEEEVSSGISFSPLVWVSKFQTMAREAYKSKPISHWVLLLLSSLGMLVAFPASSLLSRVYYANGGTSKWIISWVAVAGWPIPALILFPTYLFFNASPSPLNLYLFLSYVFLGFLSAADNLMYAYAYAYLPASTASLLASSSLVFSALFGFLIVKNKLNASMINAIVIITAAMAIIALDSDSDRYASVSNSQYIWGFVWDILASALHGLIFALSELIFVKFLGRRSFHVVLEQQVMVSFLAFVFTTIGVIVNKDFQGMVSEAKTFKGGESAYNQVLIWGTITFQLGVLGGTAVLYLASTVMAGVLNAVRVPLTSIAAVILLHDPMSGFKILSLVITFWGFASYIYGTAPGTKLS >EOY31738 pep chromosome:Theobroma_cacao_20110822:9:13466953:13478765:1 gene:TCM_038855 transcript:EOY31738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASKRARASGSSSYDRFRFISAYAEMRYNEEPIAVVMLIIREFFANVKKHHNGSILVRGKFVPFTVDAITTYFEIPDDMINEYFILKLDYEEIINYLCKGNEEWKLFKGSPLSFKSNKLHGVWKCWF >EOY30401 pep chromosome:Theobroma_cacao_20110822:9:4501750:4503343:1 gene:TCM_037625 transcript:EOY30401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDLRSKSCGDGRTQQIGSYSGPTSSYGNGIHSMQDLRCYSASYASTTRPGQTHMPNTDAKFKKGKSTNGSSSKSWSFNDPELKRKKRVASYKVYTVEGKVKGSLKKSFRWLKDRYSRVVYGW >EOY29710 pep chromosome:Theobroma_cacao_20110822:9:2127532:2129081:-1 gene:TCM_037166 transcript:EOY29710 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein, putative MKTHQHHPKLKTHPRPLFSCGFFRNCTQSTLSPTTPHSPSLPLSSSQPPPSSPPPSSTPPHPSPPPPPPARPPKNSFQQRPESSSSSSSSSASHSFTQWKFPIPTSPLHQHPSQPTPHFQPPPPSPPPPPPLSSANLQELFHIAELQLSTGSQSEQLAALQLLDRSLVPNPPSDPICPPELMRGIVSNLKNDAGVKPATKILLALCLAEGNRHVAVEAGAVGAVVEVVAELEGAAAERALAALELMCTVAEGAAEVRAHALAMPAMVSVMGRLAGRGREYAISVISVIYGGGCVGGGGGEEQEEEMVPAPPEEVARAVVLALQGECTARGRRKGAQLLRTLQEYGRLDLTQDGNEGF >EOY29589 pep chromosome:Theobroma_cacao_20110822:9:1681699:1683660:1 gene:TCM_037087 transcript:EOY29589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-intrinsic ABC protein 10 MSLRKPPLPRILLNDVSCMRNAQQILRHVNVSVHDGGALVLTGSNGSGKTTFLRMLAGFSRPSAGQILWNGHDITQSGIFHQYKLQLNWLSLKDAVKEKFTVLDNVQWFEILEGKHGKSLPALELMGLGRLAKEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDEGVRLLEYIIAEHRKKGGIVIVATHLPIHIEDAMNLRLPPRFPRRMTLVDMLDRSDIA >EOY34579 pep chromosome:Theobroma_cacao_20110822:9:41499607:41501411:1 gene:TCM_042201 transcript:EOY34579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGGWGVINKTKATVLHIYRGIPSMLSTKIMHHSCRETFKRTPNLLYYVLTWAISIAIGRTTSSSKLCRETMLANGRAFHFFC >EOY34418 pep chromosome:Theobroma_cacao_20110822:9:41019731:41020821:-1 gene:TCM_042099 transcript:EOY34418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTMPSPSDAYPNLSNQIGRRRWPVRSGLNDDAGITESERGYFSVQRKAGGRKVVTALYLLLLVIVFIGNR >EOY32937 pep chromosome:Theobroma_cacao_20110822:9:34554406:34555768:1 gene:TCM_040955 transcript:EOY32937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLFMSEGSKIPTSRLSKASKMIIKQIVERRCRVGFGLGKGLQGIKRPLSMVKSKEIFGLGYEPIRKERFEIMSKKKKNRMARLKGME >EOY32629 pep chromosome:Theobroma_cacao_20110822:9:32223703:32225964:-1 gene:TCM_040641 transcript:EOY32629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prephenate dehydrogenase, putative MSSSSDSFSSLTLNIGIVGFGTFGQFLAKTMIQQGHTISATSRTDYSQLCHQLGISFFRDVAAFVEADNDVILISTSILSLSKMLKSIPFRCLKRRTLFVDVLSVKEHPRDVLLQVLPEEMDLLCTHPMFGPESGKSGWEDLVLMYDKVRVRDEATCSSFLRIFERQGCRMMQMSCEEHDRLAARSQFLTHAIGRILSETGIESTSINTKSFETLVKLKESTTNDSFDLFSGLFIHNRFAKQELMNLEHAFQMVKKKLLQTANEEQIPNKSNHQMDSC >EOY29579 pep chromosome:Theobroma_cacao_20110822:9:1638483:1642589:-1 gene:TCM_037077 transcript:EOY29579 gene_biotype:protein_coding transcript_biotype:protein_coding description:LJRHL1-like 1 MQPCSREMQAMNSLLNPTQQIPLQDLQNNGNSNGHQQIQNPQFDPTSSHDDFLEQMLSTLPSCSWSDLKSPWDPPKADETGGANLDNNVGFHYDEILASKLRQHQINGGGGSSPATAAMKMMMQQQMMLAGRAAAAAAAGGGGGGGGLTMPLSLGSSAAGSHQNDVVDGSSFKSPNHQGGEGSVQALFNSFGAGSLHGTNQSSNQPQHFHHPQGGTMQQAQNFGAPAGTVMNQSQAIGSTGGTPAQPKQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMPSEGGGDCIQTSANGGSLPRNSNGNQTSSSNDSLTVTEQQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHSRNPMINNGNANNGGNHPLLQSTGEGPSSPSMSVLTVQSATMGNGVVDGSVKDAASVSKP >EOY34600 pep chromosome:Theobroma_cacao_20110822:9:41559168:41561027:1 gene:TCM_042217 transcript:EOY34600 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 87A2 MSSVCMKPISSTHVVAIPYPGRGHINPMMNLCKLLASRKHDLEITFVVTKEWLGFIGSCAKPDNIHFASIPNVLPSELVRGTDFPGFYEAVMTKMEAPFEELLDNLKLPVTAIIADTELQWAIRMGNRRNFSVASLCTTSATVFSILHSIDLTQNCHFLVDLLDKSSELVEHSPGISPGHLADLQVLLEGNAPRVIELTLECISWVPKAKYLLFTSVYELESHVMDALKSKFNIPIYPVGPAIPYFELHENSSESTFPNVPNYLQWLDSHPPCSVLYVSLGSFLSVSNEQMDEIAAGLQDSGVPYVWVARGETSRLRDSCDGMGLVVPWCDQLKMLCHSSIGGFLTHCGWNSTLEAIFAGIPMLTFPIIFDQAPNSKQIVDDWKIGWRVKEQHRDESLVTRARIAELVRCFMDPENNEVKNMRRSARELKEKCRKAIAKGGSSQMNLDAFINHISQGHDAMLID >EOY32127 pep chromosome:Theobroma_cacao_20110822:9:21610369:21637572:-1 gene:TCM_039647 transcript:EOY32127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPMLNDMYRAIPTHGELKLPWQNEKLSIHFGENLNDLSTYRSLIGSLLYIYATQPNIIFLVSLLSRFISYIHFIIAKRILMYLNGIIEFVVHLTQSNIVKKLVIFHLNSESNNSQGLSLDSKGVNLGLYFLFELYL >EOY33688 pep chromosome:Theobroma_cacao_20110822:9:38598534:38600295:-1 gene:TCM_041590 transcript:EOY33688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix protein BHLH20, putative MDASRDYALEHPNDFELHDFIDDPNFDQFNDLIRGENEDSVADFDYDLVNGCFVDKQIRSTPGDAFGFDATSAMVPDPNYIYNALSSFDGDIMKYGEEDNDDDDENSSGTTTTTITTATKKAKLDRSRTLISERRRRGRMKEKLYALRSLVPNITKMDKASIIGDAVRYVQDLQMQAKKLKAEIAGLEASLAGSERYQEPIDKPVKIQVARNSHPMCKKITQMDMFQVEERGFYIRLVCDKGEGVAVSLYKALETLTNFKVQNSNLNTASERFVLTFTLNVRDFELSMNLPNLKLWVTGALLNQGFEFTTPLSS >EOY33144 pep chromosome:Theobroma_cacao_20110822:9:35635619:35636398:1 gene:TCM_041128 transcript:EOY33144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDWARPEEESRGNPGNAGIGVILRNSRGSILALFSKDVGVIDSNMVEALAVKKAVVIFSVSTWKGCNFEIESDSANVVKWILNSHEVPWRMRQLFMLITNLLSLLTRGKIRHIPRSTNSEADSLSKRRALRPLDFIWINHDYEPLP >EOY29661 pep chromosome:Theobroma_cacao_20110822:9:1976761:1981816:-1 gene:TCM_037140 transcript:EOY29661 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MRLIENTMKKKSTNINSLPREMLAEILRHAASNSIVDFRNVKLSCKAFLEASNDNHIFENVSMENISLVPWYKKEKIFLKRCKDAKNAEALYRTGMLKFFNKKKLESGLRYLKKAIKKNHVEAKYVYGIILICLGGELKQKGLQIVSSLDRTGSSTRSKTKKMLRMMWVRVSLERPKESFHNAKFGCNSDDNLSSDQGQAWEAKDNPFDNLSCCNSCFWDSEAILFSDMSYIGLHFLLNRYAAAPQELRTDWSKFTKDYFRNRSTLVSVFLLIDAGIPAKKIDLEYASWLGQDQIPMTLIFTKCDKRKKKNNGGKRPEKT >EOY32358 pep chromosome:Theobroma_cacao_20110822:9:28112852:28124786:-1 gene:TCM_040224 transcript:EOY32358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFQKSIVAEGQSINRPPLFDGSNYPYWNTRMSIYIRAIDYEMWDVITDGPFIPSTLNVVTNEMIPKLRSEWTEAETKRVQTNFKAINTLHCALTPTKFNKVSSCTVAKQVWNKLRIIHERTSQVKEFKIALLTHKYEMFKMELGEDITNMLDRFTNITNKLNQLGKPIPKHDIVKRLLRSLPKNWKPKVTAIHEAKDLNVITLDEICGLFSLTSWNLRKRKKKTREKLKKRRKALP >EOY29382 pep chromosome:Theobroma_cacao_20110822:9:1008682:1009592:-1 gene:TCM_036940 transcript:EOY29382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein PSSPPPTFRKRSRAKDACFSPYLSENGVLSNPLDLAFRLRMKARDCILPTTVHNVFFYCLFIYSKYLAPSRIQGKVASLPIHLGALARHTEKDSHETIMA >EOY29871 pep chromosome:Theobroma_cacao_20110822:9:2625429:2628083:-1 gene:TCM_037269 transcript:EOY29871 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase 20 kDa subunit, mitochondrial MALISRNTANRLPHLLSAQRALSLHTTLPSLSSSSFPTTYARPSPPSTSGPPAGLSKTAEYVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGAARYDFDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWNK >EOY32661 pep chromosome:Theobroma_cacao_20110822:9:32641634:32666598:-1 gene:TCM_040691 transcript:EOY32661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferases,tRNA-methyltransferases MLRLKPRLTPILSLPSTAFFHSHFPNPRFFYSTSLPQTLPISSSSSFLPPKPVFSLNKAATFNNVNLDPRFLSCCMPEQRLKVAVLLSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEDFENYWTACPWEEDLKHARSVCDQVYVPLEVVHLTGEYWKNVVSYIIEEYKCGRTPNPDVLCNTRIKFGAFMDAINSMDYDYIASGHYANVVHPSADQIDKPSILELSKDMVKDQTYFLSHLSQAQLKRLIFPLGCLAKDEVRQLAAKFDLPNKDRKDSQGICFLGKIRFSDFVARHIGEMEGIILEAETGDFLGKHRGFWFYTIGQRQGLRLPGGPWYVVEKDVENNVVFVSRNYFSLDKRRRLFRVGSLKWLSGSPPNHIKQLQCKVLQSYTLLSVTTVVIPISYSPAFQSVCSPSMSCYCPIVAIGQINKFANLARQEAINAQLDELIGDFAASKVNPISTEEGSSNRSRKGKGVARGTGSETTRGGAIILKFTKLDFSRFNGQEDPLRWLSRCEHFFRHQQTPEEEKVSLTSFHLEGNAQLWFLQMEVDTPQPSWNEFKRQCHLRFGPPLRSHKLGELVKLRQSGSMADYQEKFKQLASRAGILTQAQKIELYISGLVEYIAVEVELHNLAEMEERWFKGLCFNCDEPFVRGHQCKNLFWIDSIEEEDEEQTEFEPSANTDQPKISLNAIIGISTPQNMRLQGKLNGNLALTLVDSEHKAGRYNFVVDALSRRDSDGVVLFAISMPQLSLFDEIRHEQQNSPEVQTLISSVQEVMIALHNQGHEGYQKTLVRIAKDFHWNGMKHHIRDFICACSICQRHKTETLQSTGLLQPLPVPKHPYRQLSLANRHHQKLLPRFYGPFTILQRLGPMAYKLEFLSAEPMPLPLAVLDCRVNRNIQEVLIHWQDTSPAEASWETVSSMKERFPHFTFEDKRNLKEGVLVVVFHFMLLEIVSPPYFIVRHGPGFYNCSFELVSGEYGSEDVAVVCLHEDDQGLAAGQFAAFYEGQTCIGSGVILESWDDQGFPVCAKALEIARMEDKSKLGKPVKIKAKAEVPPEISVHNDCIELNRSLISF >EOY33932 pep chromosome:Theobroma_cacao_20110822:9:39374585:39376679:1 gene:TCM_041762 transcript:EOY33932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSHDFFSSRNSNFIRLSLAPQAKEEEPEPLLNLLPYTIMRGEGSIFSGKQGHEMRKERGVYSCIVPRKGGRRKRETSLGGRKGSDA >EOY32124 pep chromosome:Theobroma_cacao_20110822:9:21524717:21554170:1 gene:TCM_039639 transcript:EOY32124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVPITDKKENNSNLTKHFFWRQDDKAFIERSLVDSERVQMEDITLCEGVQRGMESPTYCSGRYAPTVEKAMYHFHCLLYDNLID >EOY32097 pep chromosome:Theobroma_cacao_20110822:9:20868917:20870218:1 gene:TCM_039589 transcript:EOY32097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPVRGCSENKSCINVLLGHNDGEDFPATKEFAKVCAIYMADEILQWLENSMVADSDNIKDLDSMMTQLKECGKVGVEIHHMSRHKS >EOY33244 pep chromosome:Theobroma_cacao_20110822:9:36092323:36093094:-1 gene:TCM_041197 transcript:EOY33244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNNKTQRIGVLPRHARNDSEMKWVQLNSTHIINAWENGDDEIILVTPNVVSLGSTEYMFDRIVNIVLEKVSVNIKTKKSSWKNSFTKECGAFHKHLLCRKGTRYAYLEVLEEVLKMSGVVKLDLETRVQNLTGDPLLES >EOY32875 pep chromosome:Theobroma_cacao_20110822:9:34197641:34198771:1 gene:TCM_040897 transcript:EOY32875 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein MTTISLRKGNTRLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRIGTSKETRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKFDQKKKEEEIARMQEKYGVSTKDK >EOY29882 pep chromosome:Theobroma_cacao_20110822:9:2673199:2674882:-1 gene:TCM_037276 transcript:EOY29882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb transcription factor family protein, putative MGRKCSHCGNKGHNSRTCSSNRGILPSGVKLFGVQLDLSSSSGSFPMIKKCLSMDCLSSPSSSTSSHVTMDENPDKLSTGYLSDDGGLVARTQEKKKGVPWTEEEHRIFLVGLEKLGKGDWRGISRNFVTTRTPTQVASHAQKYFLRKNSLNKRRRRTSLFDVGSEKFANQKFHSRPPDPFNLPEFLLKKTSVVPINLNAATNPVQGNSGDLEFAFSNDVPPLRIKESFHIQSSSLTMATSKSEAPDLELSLAAPKPLDQSEACSHGLLFGPISVT >EOY33238 pep chromosome:Theobroma_cacao_20110822:9:36055761:36057883:-1 gene:TCM_041190 transcript:EOY33238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSIGQRKGRKKGEKEIWKCRNNLIFKQKDECTSRLWLRDRQIAREIGAIMEWKSNGCRINKWIIMWKPPDPGHFSLNTDGAFEFGSGHASVKGLIRNIDGEWVHGFVVNIGITDSLAAKLLGIREGLLLAKRLQIQPFVVELDATMVVKFLT >EOY33700 pep chromosome:Theobroma_cacao_20110822:9:38688706:38691218:1 gene:TCM_041603 transcript:EOY33700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase 8 isoform 2 MSPSMQDASFGTMDRKGEYVNGVSGINDHSDFDPSAPPPFRIADIRAAIPKHCWVKNPWRSMSYVFRDVSVVFALGAAAVYLNSWFFWPLYWLAQGTMFWAIFVLGHDCGHGSFSDDPILNNVVGHILHSSILVPYHGWRISHRTHHQNQGNVEKDESWVPLPENIYKNLDISTRFLRFTLPFPLFAFPLYLWYRSPGKEGSHFNPYSNLFTPQERKLAMTSTACWTVTVILLAYLSFAIGPAQMFKLYGVPYSIFVVWLDLVTYLNHHGHEQKLPWYRGKEWSHLRGGLTTVDRDYGLFNNIHHDIGTHVIHHLFPQIPHYHLVEATKTAKAVIGKYYREPKKSGPIPFHLIKTLVTSLRQDHYVSNTGDIVFYQTDPQLFQLLSPGKSG >EOY33701 pep chromosome:Theobroma_cacao_20110822:9:38688706:38691218:1 gene:TCM_041603 transcript:EOY33701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase 8 isoform 2 MSPSMQDASFGTMDRKGEYVNGVSGINDHSDFDPSAPPPFRIADIRAAIPKHCWVKNPWRSMSYVFRDVSVVFALGAAAVYLNSWFFWPLYWLAQGTMFWAIFVLGHDCGHGSFSDDPILNNVVGHILHSSILVPYHGWRISHRTHHQNQGNVEKDESWVPLPENIYKNLDISTRFLRFTLPFPLFAFPLYLWYRSPGKEGSHFNPYSNLFTPQERKLAMTSTACWTVTVILLAYLSFAIGPAQMFKLYGVPYSEWSHLRGGLTTVDRDYGLFNNIHHDIGTHVIHHLFPQIPHYHLVEATKTAKAVIGKYYREPKKSGPIPFHLIKTLVTSLRQDHYVSNTGDIVFYQTDPQLFQLLSPGKSG >EOY31259 pep chromosome:Theobroma_cacao_20110822:9:7859193:7861781:-1 gene:TCM_038228 transcript:EOY31259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTKVDKLGYSCDGRQQAYGVMGPYHIYFAKQIFQSDVNFILATLSLASWDKVHITCQILTQSQKERSNFPVIYLGDRIQENNGKFLRSCVKFFRCSLPASGGLSPVSVKFWQVFCHSILRVTTLTTPMCMNQPRGMFDDDDDNLIRFVCSFAGEDKDGWMKSPSY >EOY33945 pep chromosome:Theobroma_cacao_20110822:9:39425545:39427845:-1 gene:TCM_041772 transcript:EOY33945 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MAQADNSRKDNRWSLQGMTALVTGGTKGIGYAIVEELAALGARTHTCSRNKTDLNKCLLDWEAKGFQVTGSVCDVSSQAQREKLINTISSEFGGKLNILYIQKFSETIISRTRWDALESQRKCHPWWDSCAYQHPLI >EOY33944 pep chromosome:Theobroma_cacao_20110822:9:39425545:39427572:-1 gene:TCM_041772 transcript:EOY33944 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein isoform 1 MAQADNSRKDNRWSLQGMTALVTGGTKGIGYAIVEELAALGARTHTCSRNKTDLNKCLLDWEAKGFQVTGSVCDVSSQAQREKLINTISSEFGGKLNILINNVGTLISKPTP >EOY30336 pep chromosome:Theobroma_cacao_20110822:9:4254684:4255818:1 gene:TCM_037582 transcript:EOY30336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat-containing protein, putative MLGHLHFAEAVLNSRPELASELDSQGFSPLHLASANGYTEMVKLLLSVNPDMCLAREEDGRTPLHLAVMKGRVDVIQELVHVNSEAAQYTLNKGETLLNLAVEHNRLKTTKLLVELGRDKDIVNIKDRDGNSVLHVAVSVKRIELSFLKHTQK >EOY30174 pep chromosome:Theobroma_cacao_20110822:9:3663601:3673011:1 gene:TCM_037473 transcript:EOY30174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Respiratory burst oxidase protein E MESPRSFSMGNDKVPVRCGFQITQEFRAWKEESGLMKSPASFHSFGRSSSKLSNYSRSFDLPDDLEDLSADDYGVGGAMLPIFLSDLQRNNQQDLVEVTLELENDSIVFCSVTPSTPPITDQKEASSVTASSGILGRSLSATSRIRRKFAWLKSGSSSRASSSAENDQDRRITARDERRIKAKLQRTKSSAQRALRGLRFISKTTGANDAEEMWRRVESRFESLAKDGLLAREDFGDCIGMVDSKEFAVGIFDALARRRRQRMGKITKEELHDFWLQISDQSFDARLQIFFDMADSNEDGRVTREEVQELIMLSASANKLSKLKEQAEEYASLIMEELDPENFGYIELWQLETLLLQRDNYMNNSRPLSTTSVAWSQNISSARPKNMFRRVCFALRCLVLENWRRGWILLLWMMAMATLFAWKFMQYKNRAAFQVMGYCLCTAKGAAETLKLNMALILLPVCRNTLTWLRSTKARSFVPFDDNINFHKIIACAIAIGVLVHAGSHLSCDFIRLTRSSPEKFALIASDFSHGKRPTYLELLTGVLGVTGISMVIFMAIAFILATSHFRRNVLRLPAPFNRLTGFNAFWYSHHLLGLVYVLLVIHGTFLFLAHKWYQRSTWMYISAPLLLYMGERSVRTCRSEHYSVKIFKVSVLPGNVFSMVMSKPPGFKYKSGQYIFLQCPSISPFEWHPFSITSAPGDECLSVHIRTVGDWTQELKRVFTDVNDSPFVIGRARFGQPGHIDQNGQPKLLVDGPYGAPAQDYRNYDVLLLAGLGIGATPFISILRDLLNNSRAEDQMSSTTEMTRSDDSWNSLASSNFTAKSSSTNGGKKKPQRTRNAYFYWVTREPGSFEWFKGVMDEVAEMDHKGQIELHNYLTSVYEEGDARSTLITMVQALNHAKHGVDILSGTRVRTHFARPNWKEVFSKIASKHPHATVGVFYCGMPVLAKELKKLSRELSHKTSTRFEFHKEYF >EOY33056 pep chromosome:Theobroma_cacao_20110822:9:35175785:35181663:-1 gene:TCM_041062 transcript:EOY33056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein isoform 1 MLLGFISLLLTVGQGVISDICISEKVGSTWHPCNKKQEEKTNEDVEDTDYENRRRLLTVSDSGGVFRRSLAGASEDKCAAKGKVPFVSSDGIHQLHIFIFVLAVFHVLYCILTMALGRAKMRSWKRWEKETRTIEYQFSHDPERFRFARETSFGRRHLSFWTKNPVLMWIVCFFRQFVRSVPKVDYLTLRHGFIMAHLAPQSQTQFDFQKYINRSLEEDFKVVVGISSPPIWFLAVLFLLLNTHGWYSYLWLPFIPLIIILLVGTKLQVIITKMGLRIQERGEVVKGVPVVQPGDDLFWFNRPRLVLFLINFVLFQNAFQLAFFAWTWFEFGLKSCFHEHVEDVVIRISMGVLVQILCSYVTLPLYALVTQMGSNMKPTIFNERVATALRKWHHTAKKHIKQNKGSGTPFSSRPNTPSHHTSPVHLLRNYRSEMDSLHASPRRSNFDIEHWDTDSPSPSHPNYGDGSSSHHHNFMAEQVQLDYDRDVVNEPSSSEQHERTQHEINIGPKEFSFDRRTSV >EOY33055 pep chromosome:Theobroma_cacao_20110822:9:35175324:35182121:-1 gene:TCM_041062 transcript:EOY33055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein isoform 1 MAGGGGGRSLEETPTWAVAVVCFVLVLISIIIEHIIHMIGKWLRKKHKRALFEALEKVKSELMLLGFISLLLTVGQGVISDICISEKVGSTWHPCNKKQEEKTNEDVEDTDYENRRRLLTVSDSGGVFRRSLAGASEDKCAAKGKVPFVSSDGIHQLHIFIFVLAVFHVLYCILTMALGRAKMRSWKRWEKETRTIEYQFSHDPERFRFARETSFGRRHLSFWTKNPVLMWIVCFFRQFVRSVPKVDYLTLRHGFIMAHLAPQSQTQFDFQKYINRSLEEDFKVVVGISPPIWFLAVLFLLLNTHGWYSYLWLPFIPLIIILLVGTKLQVIITKMGLRIQERGEVVKGVPVVQPGDDLFWFNRPRLVLFLINFVLFQNAFQLAFFAWTWFEFGLKSCFHEHVEDVVIRISMGVLVQILCSYVTLPLYALVTQMGSNMKPTIFNERVATALRKWHHTAKKHIKQNKGSGTPFSSRPNTPSHHTSPVHLLRNYRSEMDSLHASPRRSNFDIEHWDTDSPSPSHPNYGDGSSSHHHNFMAEQVQLDYDRDVVNEPSSSEQHERTQHEINIGPKEFSFDRRTSV >EOY30176 pep chromosome:Theobroma_cacao_20110822:9:3673675:3675598:1 gene:TCM_037475 transcript:EOY30176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein, Q MATSLTLPNRTLPSLFPCHTPKTQSSVSQCLPLVSKSSESQFYGLKFSYSSSLSIPSSSYFKTSISAKVNKGSVPPSFALKDQDGKNVSLSKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVVGISGDDTSSHKAFAKKYRLPFTLLSDEGNKVRKEWGVPSDLFGALPGRQTYVLDKNGVVQLIYNNQFQPEKHIDETLKLLQSL >EOY29782 pep chromosome:Theobroma_cacao_20110822:9:2372198:2372888:-1 gene:TCM_037216 transcript:EOY29782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein, putative MAEETQPKWEGRATAELKGSTAEQIWPFLEDFCNIDKFYPNLDKCYRVEGTPGQPGLVRHCATKIGWANEKLLTIDRTNRCLNYEVLENTAGFKNYVATLKVLPTEGDGEPAGCKIEWSFIADPFEGWRLEDLVSHRDYCLQFMAKKMEDAIKAQI >EOY32144 pep chromosome:Theobroma_cacao_20110822:9:22115733:22119268:-1 gene:TCM_039694 transcript:EOY32144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSFLLLPTSSITTSPIVASKERSIIEPGKKKDKETIETAKLVTRDKIPNPNSEIVQPNSLPPLVSALKTSAEQNAASFHFPGHNRGRAAPSSLVQLIGLKPFLHDLPELPELDNLFSPEGPILEAQKQAANLFGSSETWFLVGGTTCGIHAAVMATCSPGEYLILPRSSHISAISAMVLSGAIPKYIIPEYDCHWDIAGGVTLSEVEKAIKELQMEGQKIGAVFITSPTYHGICSNVTDISKLCHSYGIPVIVDEAHGAHLGFHHKLPCSALQQGADLAVQSTHKVLSSLTQSSMLHMSGNIVDRERICRCLQTLQSTSPSYLLLASLDAARAQLSENPETIFNNAIDLAFETKNLIRNIPGISVLGTPGISSFPLIDPLRLTFGFWQLGLSGFEADEMLYRDHGVISELVGTRSISFAINLGTSRDHIQRLASGIKKISAASFSFQKIKEKVDHCGSSPFTDSTMSLNPRESFFASKRKVAIGESLGRICGELICPYPPGIPVMVPGEIITKRALDYLLQVRNKGAIISGASDPLLSSIVICDI >EOY31005 pep chromosome:Theobroma_cacao_20110822:9:6654380:6658645:1 gene:TCM_038042 transcript:EOY31005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding protein, putative MQSINIMEEEFLKRNTDCVYFLASPLTCKKGIDCEYRHSEIARLNPRDCWYWLAGNCINPTCGFRHPPLDGHTQVSSESAALPYQCSAPACKTNVPCYFYFNGFCNKGDRCSFLHGPDDNAITGNALKTDALPMDQKKSFENDAGAAPTERHPNPSKMAPKPSKEMGAQLKEDLQQSNPKTMPQKSVSPKMSVIEFEESVFVESGSLLLKDGITESRSPICTDKSSEEQVDDHVDPEERWESSPGFDVLVDNKSEDLDYENDSEYLPVPEEEQMEHFLSYDYEDSVQHEARYPKVELPHDRDVYDAYEGSDNEFISDNARNPPAYPIDRRLDSIFIHKRRRLSPELSDDEQMGDDLRDYLSKRRVVEGNPPNFLSRMSEYSHLIGRSSKRPQRYIMGRKLRGRLASKVGKHSFESIGDQGYFSNGTNRRGWLKRLEPKNSTRRSYREKWLPRRKSVSSEVSRNLISRERKSTHASTAFTGPKTLAQIKEEKKKTEENGGKMRHSGRTALADFLGPKPLSEILKDKGRLDTVRDGNSSSG >EOY32354 pep chromosome:Theobroma_cacao_20110822:9:27950767:27953066:1 gene:TCM_040202 transcript:EOY32354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVAAMVTENVRNSGLYVELKLKSKGNYDHLISPMSANIVETAMAMGIQWSEALGLLLRGSGVTGDYYAYLLESLIVISVACNHRRLWSTVDK >EOY33296 pep chromosome:Theobroma_cacao_20110822:9:36437329:36446304:1 gene:TCM_041247 transcript:EOY33296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPREGAALRPSNQLNMRDEGITITDSPLIMTILRGQTVQAQCYQRGKVVHPWSDTGIVLGIVVLAPFLLSDQGDAITKAITELLSPVDLLLLPIILLLTIRFLSSDRGSFISNIFSTGEPDSIHRVSGSPFGVALFLVAPQTQGSSLPHTTFPSLAVMMTSIFDSASI >EOY30648 pep chromosome:Theobroma_cacao_20110822:9:5264828:5269331:1 gene:TCM_037783 transcript:EOY30648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKFNWKSRGQRGWKIIQLVTTINLQQQKGISGTGVVIVLLGALDGIFGKKCSRFLSQSGSSLDEPALTDRGGKPSWFQGTLNKSRLDNYFPTLAAMVFSQPCFLHFPWDFVSSAGVHQSQFIWF >EOY31409 pep chromosome:Theobroma_cacao_20110822:9:8629260:8630187:-1 gene:TCM_038348 transcript:EOY31409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSQQRAKIDWLKYGDTNSKFYHAIIKGKSSRTSFARVGSISDAGVPKDRLELLKGVSGAFKLGVLSALIKVSGVSRTTLMDVLAGRKTGG >EOY32135 pep chromosome:Theobroma_cacao_20110822:9:21871692:21873324:-1 gene:TCM_039671 transcript:EOY32135 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IG, 5'-nucleotidase, putative MSLVSSTIFPAVLLICFTVTVNNILTYVNIIWLYFQVVDRLDEGAIPADLGPLDYKGLYKCILVSRIIQREIMSKPEHLWSLIQSYFSTFGSKGVGRYMHQASKRLLLITNSDYHYTDKMMRHSFNKLLPKDMGWRELFDIVMVSARKPEFFQMLHPLYEVVTGYYVWIYACIYNSASVV >EOY33438 pep chromosome:Theobroma_cacao_20110822:9:37485922:37491528:-1 gene:TCM_041411 transcript:EOY33438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNLRPWKFCFAYQLSFSINVKNFNLSNMPPEKKSSTNSWQPRWVNGYWLPCMVFAIWDVLWSDYGLGFAVENIEF >EOY34178 pep chromosome:Theobroma_cacao_20110822:9:40185334:40190412:1 gene:TCM_041930 transcript:EOY34178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase protein, putative MEKEMETFSHLGSSDHMLGDDSHLVKEAKARQDLSPFETGSESGSSKHACLIRSQDLEFQSSFCEVKRGFQGTFRKLSPAFLGMGRECKCEGNAIDTWDWVRIDCGSDSTYKDANGDTWKADDDFIKTGNNKQVPQSSSSKVEQLSTLRVFMEQNKNCYTLPTPTSTRYLVRAMFLYGNYDGLSKPPTFDLEFDGNKRASVVTTMTSFTYYEMIYATKGDSISICLARTQDQQFPFISRLESLPLPADMYPQMRRDMAWFNSYRYNYGANDQILGYPDDKYNRIWEPMIPSGLEPVTANFTSLDVTCVNAPPDSAIITAVHAPSSTDTIDLSFPFGNVSHLDHVEMYFTEPFLATNASRSFNVTVNNVFVANATSPEYQNCLNVGTNSLSVGNLDVQLLPTDISTLPPIISAIEVYTVSEPLVTATTPQGDLDGLGEFVDTFEQLEGWSGEPCLPNNSIWQWLNCSSDQPPRVISIYLSGYGLQGFLPDFSHMDALEIIDLRDNDFYGNVPQTITDYKQIKYMIDGNENLGQGKNEKAEKIGLSLGSILVLCLVIFLVLKYGIRKKPTPTGKIETIRDENDPAERLTYRYEWRLWRVERVLKCSTFFPHSVASLTHLIKQVLRPMALKLVPLTNNT >EOY30664 pep chromosome:Theobroma_cacao_20110822:9:5328175:5329191:1 gene:TCM_037797 transcript:EOY30664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multidrug/pheromone exporter, MDR family, ABC transporter family, putative MSNPYILLVLIPLLSKISTSKLKQAKLWLLLVPVEALDATMNEVMVAAMAANAHNFVKQLPEGYETKIGESGALLSGRQKQRIAIARAFIKNPAILLLDEATSALDSESK >EOY34331 pep chromosome:Theobroma_cacao_20110822:9:40711121:40713232:-1 gene:TCM_042038 transcript:EOY34331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLMQICNGYKFLSEDISMFLNVSNIFCMCLPRNDYIKMLKCSRNMQGYFHLLQLDHMISIVNWYFHYWMVPVLHFQFILVQHNGKARSKLKATANAFISRDCSHIQTSFLIRKSNQFIHHKACTYVSFPFMPQTSWQRQVQTLLKVKVSSLLAEYICWCASLAYDICLLSDSSCLSALL >EOY31204 pep chromosome:Theobroma_cacao_20110822:9:7528778:7530644:1 gene:TCM_038176 transcript:EOY31204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDPSSKEDNPRVLKVLEALKQASHELQAHPTYKSANSNSSAIKALLELETESDSILSNDPHLFTLSQHLADLKTHVETLKRTRGYGLRSFLTRRVSTHSISRVAGSIESEIQAWIDRESIESLIKGLKEPGKNEDELVRLLTQFEDRVSQGFNCELQNLVLKSKAFSVLQSVLCDPNCSKRIRESAAFCVAALIRFNKDVFVGQVNMGGTIHALLDMRSTHSLKVLCELIKFTKSPFVDEIVCNGEIPEILTLLETKDLDMKVLAFDCILEIGYFGRKEAGEALLKGGLIKNLVELQRSELGGDLIEMGKFEAENKEIEEKKREKREKRFLENHPFASCVARFAVQLEVGEGLRQREKRAFKLEILERVRGASISDAEAATIIAEVLWGSSP >EOY33624 pep chromosome:Theobroma_cacao_20110822:9:38305366:38313099:1 gene:TCM_041547 transcript:EOY33624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute protein group MSGRGAGGRGRGRGRGGGERGRGQDPGRGQPSPSLSRGGGSGRGRGRDASGGRGPSGPPPYSSYTPPPPQVAPTQPPPSSAPSTSTAPAYHPSSSSGAESLMREVSQKLTLEPEATTTAPSLPPSSSKAIRFPQRPGVGRAGRKCRVRANHFLVQVADKDLHHYDVSITPEVASKKLNRIIVKQFTESYKQSHLGGRCPAYDGGKSLYTAGALPFESKEFLVRLIDEDRGGSSSSSARKERQFKVAVKLASKPDLHYLREFLLRRHFEAPQETIQALDVVLRAKPSENYTVVGRSFFHPHLGGKGGLGNGIEYWMGYYQSLRPTQMGLSLNIDLSARSFYEPLLVTDFVAKHFRQISLSRPLSDQDRVKVKKALKGVKVRLTHMAYAKTCNIVGISRMPISQLTFTLDDKKTNVSVVQYFREKYNIVLKYASLPALQSGSEAKPVYMPMELCQIVDGQRYTKKLNDQQVKALLKATCRRPRDRERDIKRMVNNNNFNGEECVNDFGIQVGQELAFVDARVLPAPMLKYHDTGRERSVNPGYGAWNMINKKMVNGGKVDFWTCVNFSSEYKNISEHFCMELVKMCNSKGMVFYHTASVRIRSARPDRIEQTLLDVHKESTGQKRPLQLLIIILPDQSGSYGKIKRICETELGIVSQCCQPKQASNFRPQYLENLALKINVKVGGRNTVLNDAIERKIPLVTDLPTIIFGADVTHPKPGEDSSPSIAAVVGSMDWPEVTKYRGIVSAQAHREEIIQDLYKIVQDPQKGVVHSGMIRELLMAFYKSTGQKPSRIIFYSSSSSSSSSSSSSSSSSVRGAQLTSFQACTSLQENYMPPVTFVVVQKRHHTRLFPIENALTDKSGNIVAGTVVDTTICHPTEFDFYLNSHAGIQGTSKPTHYHVLYDENKFTADNLQMLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARYYIEDETSDSGSTDVRRSARDRNVEVRPLPSIRENVKEVMFYC >EOY34541 pep chromosome:Theobroma_cacao_20110822:9:41397255:41401284:-1 gene:TCM_042178 transcript:EOY34541 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein MASPGGFKVFEWDLTVLNHTSYHSYSRGLFLLLIFFSLVIFIATLFLYAHWICSQRRPSTAAAENATPAPSPGLDPDFINSLPIVWHRSVVANSKGKNGFIEESECSICLGLFEDEEKLKVLPECNHAYHSECVDKWLSSQSSCPLCRASLSPTTP >EOY31292 pep chromosome:Theobroma_cacao_20110822:9:8021216:8022550:1 gene:TCM_038252 transcript:EOY31292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATGYINNSFGILRRSANKNIHPVMEMYAEPINMEFSDATSSDWSLLTSSDKVSFTIESTRDSFSTVDCADASSGDPLSIFNNSYTPKSSSYNTVSCRILSTSKPQTRYILEEKGYVFDSYLLA >EOY31938 pep chromosome:Theobroma_cacao_20110822:9:18015071:18019010:1 gene:TCM_039303 transcript:EOY31938 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein METKIADYEFSHVELLCFQNYMPPFARAKGGSILKGVNYASGSTGIRDESGSQLVYNTSRQYTPQQYAAALVEQYSQQIKVLYRLGARKFALYGLGLLGCTPIAISVYGTNGARCVDKIKHCLTNGTCCKVGGSGGELCIRNSKPCSDRSRYVFWDAIHPSDAWNELVAKIAYRTNSTKGAHPCNIQTLAKLK >EOY33024 pep chromosome:Theobroma_cacao_20110822:9:35004975:35010349:1 gene:TCM_041033 transcript:EOY33024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase NAK MGICWPKPAKCAHASSTNFSGSAKTHSKTKQESTSATAKSPPEGSNKGFTVSKPHKPVSGNLKADTSVSNNLKSFSFSDLKNATKNFRQDTLLGEGGFGCVFKGWIDENTFAPTKPGTGIVVAIKRLKAESFQGHKEWLAEVNYLGQLHHENLVKLIGYCVESENRLLVYEFMPKGSLENHLFKKGVQPISWATRMLIAMGVAQGLSFLHSLNANVIYRDLKASNILLDSDYNAKLSDFGLARDGPTGDNTHVSTRVVGTRGYAAPEYVATGHLTPKSDVYSFGVVLLELLSGRRATDDERAGFSEETLVDWAKPFLSDNRKVLRIMDTRLGGQYSKKGAQAAAALALQCLHTDPKNRPCMVDVLASIERLHATRDTPRTPQQSQAKLDHHGIKHVNSPHKARATTINSY >EOY30731 pep chromosome:Theobroma_cacao_20110822:9:5604523:5605693:1 gene:TCM_037842 transcript:EOY30731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRTDQFTPYYFVVDILDCFVYGSCRHVTWFSKSYYKNHWNHMCGIHWECNQLQCTNFFFHFKNFLFAIRKIKKVFCLRSANYRYKTFYIYIIVP >EOY30953 pep chromosome:Theobroma_cacao_20110822:9:6424952:6429496:1 gene:TCM_037993 transcript:EOY30953 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCO3-transporter family MDNLRSPFTGVLHDFKGRKSCYKQDWAAGRCTGLRILAPTTYVFFASALPVIAFGAQLNRETDGSLSTVETLASTAICGIIHSIFGGQPLLILGVAEPTVIMYSYLYSFANGRDDLGKELFLAWAGWVCVWTAFLLVLLAIFNACTIINKFTRIAGELFGMLIAVLFIQQAIKGIVSEFEVPDAGNQNSEKDSFQWLYVNGLLGVIFTFGLLITALKSRGARSWRYGTGWCRSLIADYGVPLMVVAWSAMSFIVPGKVPSGVPRRLVSPLPWESASLEHWTVMKDMANVPPVHVFTAFLPAIMIAGLYFFDHTVASQLAQQKEFNLKKPSAYHYDIFILGIMTLICGLIGLPPSNGVLPQSPMHTKSLAVLKRQIIRKKMVKGAKECLKQKASKSIIYGRMQEIFEEMDSTSAPSAAQDLKDLKAAVFKAEDGGNTDCKFDPEKHIEAHLPVRVNEQRVTNLLQSILVGVSLLAMPIIKKIPTSVLWGYFAYMAIDSLPGNQFWERMLLLVIAPSRRYKVLEGAHASFVESVPFKNIAVFTLLQLVYLLMCFGVTWIPIAGILFPLPFFLLISIRQHILPKFFQPLHLHELDAAEYEEIPAGTYNHNHGILFKEQNHSGDEDSEVETDDQELFDELTTSRGELKLRSRSFREDKHGQVHQGEVDPENGM >EOY32431 pep chromosome:Theobroma_cacao_20110822:9:29205559:29207967:-1 gene:TCM_040348 transcript:EOY32431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHIIGNQPTAFCFGDPNYICPFRLAYIWYEERFDISRETRNLTFSLCCQEGIVCIDNFRQTPSFLNDLLSDYTNHRNI >EOY29279 pep chromosome:Theobroma_cacao_20110822:9:629157:631413:-1 gene:TCM_036869 transcript:EOY29279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein, putative MAALVFLLLLYSSKNSYAFQEKMSTLETELLRHSHVSQISSLLPSTVCNSSTKVMNRKSSLEVVHKHGPCFQSSQDRAKVPSHAEILSQDQSRVDSIHSRLSMNSMEEMDVVTLPTKKGISVGTGNYLVTVSFGTPGKKYALIFDTGSHFTWTQCEPCAGFCHDQVEPIFDPSKSRSYANISCRAATCNQISAEGMQQDCSSSTCVYGISYGDSSSSAGFLAKEKLRISRSDVFPGYLFGCGQQNQFLRGNRVSGLIGLGRGKFSFVTQTARKYKKIFSHCLPSDDSLTGYLTFGGTKLPKTIKFTPMSKSFRNTPFYGLDIIGINVGGQRVPIKSSVFTTAGAIIDSGTVITRLPPTAYAQLKSSFQKYMTSYPRAPALSILDTCYDFSGSDVIITPTISIVFNGGVEVDIDFSGIFYIRKVSQACLAFAANKDARDVAIFGNVQQKTYEVVYDDVKGRVGFAPRGCN >EOY30718 pep chromosome:Theobroma_cacao_20110822:9:5533843:5536537:-1 gene:TCM_037832 transcript:EOY30718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxal oxidase-related protein, putative MTMLWRGLCILPLLFVSGNCQFLFPFFHPFNNPGNGLNLGGVVPQNANGFFPFSGGSPSGGSLSAQNKDDGSLNSGSVNVAGGSADNKKWESNAKDKIKTGYKGKWELFVRNAGVSAMHLILLPKIDQALMFDATVWKQSKLKLPGPPCRDVEGTNEQDCFCHSILLDIDTAKIRPLRLKYDTWCSSGALDVNGRLVSTGGYNNGSDTVRILDLCPTCDWKEYPGTLGNGRWYATQVTLGDGRFMVFGGRNFPTYEFVPPEGQRNDKSKVIPFKFLAETHDKVENNLYPFVYLSTDGNLFVFANNRSILLNPNNQKILHEFPVLPGGARNYPASGSSCLLPITLQPDEKRKVIPAEVLICGGTSHDAFTLADLKRPKVFVQASKDCARIDITKRNGKWKIQSMPSPRLMGDAVVLPTGDVLLINGAKSGSAGWDDAREPNFTPVLYNFRVKEGGSKFTELAPSTIPRMYHSSFALLPDGKILIAGSNTNPGYQDNALFPTEVRVEKFSPHYLDPALAKFRPEIVPDQSANKIHYGKRFTVQIRGADRTLDDEDLQVNIYYPAFTTHGISMNQRLVRLGIVQVDKNVSSRTHSIKVQAPINGNIAPPGYYMLFVIQKGVPCRRAMWVQMLP >EOY34079 pep chromosome:Theobroma_cacao_20110822:9:39883038:39886888:-1 gene:TCM_041868 transcript:EOY34079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock cognate protein 70-1 MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDASVQSDMKLWPFKVIAGPGDKPMIVVTYKGEEKQFAAEEISSMVLTKMKEIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKAGSAGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRSLRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERTRTRDNNLLGKFELSGIPPAPRGVPQINVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIKDDKISSKLPAADKKKIEDAIEQAIQWLDGNQLAEADEFEDKMKELESICNPIIAKMYQGAGGDMGGGDMDEDGPSVGGGSGAGPKIEEVD >EOY32313 pep chromosome:Theobroma_cacao_20110822:9:26491323:26492563:1 gene:TCM_040054 transcript:EOY32313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFRDFTFRYQILERKCQSIKTHRHNIETLFSKVKCTSIKTSLSSIKTTSPDMLFKRVSNYVSTPGKRSIETRLSLGIVKEEQVSRWALKYRDINWFWK >EOY32126 pep chromosome:Theobroma_cacao_20110822:9:21604434:21605321:-1 gene:TCM_039646 transcript:EOY32126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 2 member 2 precursor MSTWMQALQANKIVVQPTMASTFFKLLSLFLLASMVSASDLDIILDFLAFANSIALDGNFFTFIGLHNIYDVDYPPNFKVTRASTVEFPALNGQSVSFASLEYLTGTLNPPHIHSRSVELLIVVDGSLEVGFVDTIGKLYTQALQVVDIFVFPKGLVHYQYNPSPDQPAMAISTFGSANAGTVSIPSTVFVTSIDDDVLAKGFKTDVATIQKIKAGLGAKAKI >EOY30294 pep chromosome:Theobroma_cacao_20110822:9:4092584:4094392:1 gene:TCM_037552 transcript:EOY30294 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA-binding related factor (TRF) of subunit 20 of Mediator complex MPLKWVLNWQPNAGSTVNSQILNEVSQCVESINGVKEGRWKATLTFYKPMLRDQALAADFPRDFLGISLPEQPNKYYFILRGHKIVLEADSSIQLIMEKLQSYKSRVALNFEGFQYQLGDFQLRVGKVVPTHSENLRGIVMEVEYLPISSLEKSRQVMDEFVDIWQDTVSKRSLPGHFLHIEPNFSDYGLADHYTSQHTAVQYAHVMAQLIASVQAGQAARN >EOY33031 pep chromosome:Theobroma_cacao_20110822:9:35021556:35025205:1 gene:TCM_041039 transcript:EOY33031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKSLNAYIGSVLEAIRSGSDTRGYFTWSILDVFELQDGYESSFGLYYVDLDGPDLTRYPKLSAKWYA >EOY34530 pep chromosome:Theobroma_cacao_20110822:9:41382143:41382727:-1 gene:TCM_042173 transcript:EOY34530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTDCKLPTAPFLWLFPFQPLCHPSVSLPLGRLESLGQYVLPLYAIDLFILFVEFLVALTCIFAGVCL >EOY31713 pep chromosome:Theobroma_cacao_20110822:9:12981453:12981917:-1 gene:TCM_038806 transcript:EOY31713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MGELDATAAKDWINQVSKTLFDMRLEDEMKLIVATRLLEKRARTWWNSVKSRSTILLTWSDFLREFDRSG >EOY32911 pep chromosome:Theobroma_cacao_20110822:9:34449890:34451219:-1 gene:TCM_040940 transcript:EOY32911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMGPGKRRAKTLVLGVLLLDGKSDGSIHWAADHNVVFAPTDALPFFVQVLLKATNSAFFYFLLFPLVFASY >EOY31567 pep chromosome:Theobroma_cacao_20110822:9:9655558:9656591:-1 gene:TCM_038493 transcript:EOY31567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKYWKCLALVSLLVSTCAMVSESRAVRKDLGIDLGGIGLGLGIGVGLGLGGNGSGSGAGAGSGSGSGSRSSSSSSSSSSSSSSGSGSGAGSQAGSSAGSYAGSRAGSGGRG >EOY34692 pep chromosome:Theobroma_cacao_20110822:9:41808925:41812569:-1 gene:TCM_042281 transcript:EOY34692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 3 MSENRKFQLGTVGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKLFEHKPFDARAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKRFSRNIQLSLAILLLGVGIATVTDLQLNLLGSVLSLLAVITTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQAITLFIIGPFLDGLLTNQNVFAFKYTPQVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLRDPFSWRNILGILIAVLGMVLYSYYCTSETQQQKASEASAQLPQVKESESDPLISVENGSGILSDGVGPKAPVWSSNKDLHA >EOY34693 pep chromosome:Theobroma_cacao_20110822:9:41809385:41811452:-1 gene:TCM_042281 transcript:EOY34693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 3 MLIYGLGSAATTLTSWHLLVTFCSLHVALWMKLFEHKPFDARAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKRFSRNIQLSLAILLLGVGIATVTDLQLNLLGSVLSLLAVITTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQAITLFIIGPFLDGLLTNQNVFAFKYTPQVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLRDPFSWRNILGILIAVLGMVLYSYYCTSETQQQKASEASAQLPQVKESESDPLISVENGSGILSDGVG >EOY34694 pep chromosome:Theobroma_cacao_20110822:9:41809385:41812575:-1 gene:TCM_042281 transcript:EOY34694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein isoform 3 MSENRKFQLGTVGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKLFEHKPFDARAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKRFSRNIQLSLAILLLGVGIATVTDLQLNLLGSVLSLLAVITTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQAITLFIIGPFLDGLLTNQNVFAFKYTPQVLYISGNWKDIPGHLSGPRTSENMPCFGVWLCSAS >EOY33667 pep chromosome:Theobroma_cacao_20110822:9:38450986:38458913:1 gene:TCM_041570 transcript:EOY33667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase with RING/FYVE/PHD-type zinc finger domain, putative MANGTDAEDFVVLSRVRTGLKREFEFALKVQAEICGSLGRTRSRKAQNGPVWSPGNRSNKKSKREVKVEKEKSDLEKSVRVVEESVDLMSEEEAKSDVVDVDEPKREVDGCEEEESKRVEEKEEEVKNGVVEPMCEDEDDKGGKEKSEPEKAVIGSQEEKQKEEEKEEEKEEEQKKEVKEEVKEEEEKESKMDVDIREKESEVENATKNVEEGKRKEDLVIQSEPCEVDMGMPVLVSCEGDSKLEEVVNEEKPLRRFTRSLLKPKVETVKKTAVRDAVIVKVSDMKRAGDDNRAKIVGSPMKQEMNVSTKFVRNFPTKLKDLFDSGMLEGINVRYARSSKVTRGSGSSGLRGVIKGSGILCFCSACKGVNTIAPTLYEIHAGSSNKRPAEYIHLENGNTLRDVMNACKQNSLTTLENALRMVIGSSMKKSSFCLNCRESITGTGSRKAVILCNSCVDVKESQDSSTGVADANDRSPKPTVVAKSPISASKCSSSQTKSQGRVTRKDLRMHKLVFEENGLPDGTELGYFVRGQKMLVGYKRGFGILCTCCNSEISPSQFEAHAGWATRRKPFQHIYTSNGVSLHELSISLLKTRKFSTNENDDLCSICLDGGNLLCCDTCPRAFHKDCVSLPNIPTGTWHCRYCQNNFQKEKFVERNVNALAAGRVAGIDPIEQITKRCIRIIKTPETEVLSVCVLCRGQSFSKSGFGPRTVILCDQCEREYHVGCLRDHDMDDLKELPKGKWFCCTDCNKIHSALQKLIVRGEEKLPESSLLVVKKKHKELSLESNTNLDIRWRVLSGKMTSFNDTRVLLSKAVAIFHDCFDPISDSGSTKGDLIPSMVYGRTVKGQDFGGMYCAILTVNQVVVSAGIFRIFGQEVAEIPLVATSTEYQGQGYFQCLFSCIEKLLGFLKVKNLVLPAADEAESIWTKKFGFSKIPQEELNKYKRDYQMMIFQGTSILQKPVPEIRLIRKAEDE >EOY29638 pep chromosome:Theobroma_cacao_20110822:9:1854796:1862453:-1 gene:TCM_037125 transcript:EOY29638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel in 3 MAETNSPLPLLFRRRSSGEMKNLASVSSSLLPAFGTVVDDGYLHLKKYVIAPYDFRYRWWQTFLVALVLYSAWASTFEVAFKKAAKGALLIIDLVVDVFFATDIILTFFVAYLDKTTYLLVDDHKRIALRYVTRFWFFMDVASTLPFQFIYRIVTGKWHAGEVFSFLNLLRLWRLWRVSELFKRLEKDPRFSYFWTRLLKLVCVTVFAVHSAGCFYYWLAARHRTPAKTWIGSEVEDFKHKSIWVGYTYSIYWSIVTLTTVGYGDLHAVNTGEKVFNMIYMLFNIGLTSYIIGNMTNLIVHAAVRTFAMRDTINELLRYASKNRLPDGLREQMLSHMQLKFKTAELQQEEVLQDLPKAIRSSISQHLFRSTVEKTYLFKGVSEDFVSQLVSEMKAEYFPPKVEIILPNEIPTDFYILVSGAVDMLTYKNGMEQFLSKLGSADMAGEIAVIFNIPQPFMVRTKRLSQVIRISHHHFKQMVQPQSEDGKIIIANFMEHLKGLKEDMLQEIPFLTELLAEQSIEPIAQNEKQQSREALDSTNANPEGTPNASSPSLSASTIRVIIYGHHPTEGTMSSDRLGKLIYLPDSIEDLFRLAEKKFGKRGSTILMADGSEVEELNALRENDHLFIV >EOY29152 pep chromosome:Theobroma_cacao_20110822:9:234398:235318:-1 gene:TCM_036785 transcript:EOY29152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin like 42, putative MVFEQVQRVKQFESLSSHFPLQLKMIGITGACSRLMGELLHGLRRVRSTSAHNIDPIHPAAFKPQEEARRAPVDDSMLRALTAVFGMESNGRITKEKARQVVEKLGLVEGEGDATNFDLPGGGGAAAGGGGLEDEVAVEEVLGGFMEDGSKRNELLRQAFRIFDEDGNGFIDALELKRVLQCLGLDNGWDMGQIEKMLMVVDLNLDGKVDFREFELMMG >EOY29470 pep chromosome:Theobroma_cacao_20110822:9:1272098:1273593:-1 gene:TCM_036992 transcript:EOY29470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein, putative MLSPTPLSLLCALLLCLPLAVIITINSPATTTTTTVIDGSGDNPVPDFVPAAKTHQRFSFKPPPLPLEDDKSLFRVAARVSSKPLPGSPKKIAFLFLTITPLPFAPLWELYFNQTPRNLFNIYVHADPTYPYDLPFSGVFSNRVIPSKPALRFTPTLISAARRLLAHALLHDPSNYMFALFSATCIPIHSFNFAYKTLTRSKKSFIEILNNEIGSYDRWAARGPDAMLPEVKLEDFRIGSQFWSLTRKHARLVVGDHKIWAKFNQPCLVWDTCYPEENYFPTLLHMRDQRGVVPATLTHVDWNGSSDGHPRMYEASEVGPQLIMQLRKDKPRYGDDGTNDSDSSVMQRRDPFLFARKFSPDSIQPLLSIASDVIFKD >EOY34277 pep chromosome:Theobroma_cacao_20110822:9:40573191:40578006:-1 gene:TCM_042008 transcript:EOY34277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase-like family protein MKTIPLILMGCGGVGRQLLQHIVSCRSLHAKLGVHLRVVGVSDSKSLVVASDAKQKELHDNLLTEVCRVKSDGSSLSKVNGVGECQTFSNSESRTEVLGIASLLGKSTGLALVDCSASSETVGVLKQGVDLGCCIVLANKKPLTSTLEDYDKLVSHPRFIRHESTVGAGLPVISSINRMISSGDPIHRIVGSLSGTLGYVMSEVEDGKPLSQVVKSAKSLGYTEPDPRDDLSGMDVARKALILARLLGRRIELSSIRIESLYPEEMGPSKMSVEDFLNGGLVKLDNNIEERVRKASLNGNVLRYVCVIEGTRCEVGIQELTKNSALGRLKGSDNVLEIYTRCYSEQPLVIQGAGAGNDTTAAGVLADILDIQDLFP >EOY34704 pep chromosome:Theobroma_cacao_20110822:9:41839827:41842527:1 gene:TCM_042289 transcript:EOY34704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome p450 79a2, putative MIRIRLMFSLAMANANSSLHGALEGVSSTSFATLLSFSSTLVVMAFALCCFFKFRLAGTEKAKQPPLPPLLLKPWPVVGNLPEMVKNKPTFRWLHELMKQVDAGIACIRFGNVHVIPVTCPEISREFLKKQDAVFASRPISMSTDVTTKGFLTTALVPLGDQWKKMKKVMVTDLLSPTKHRWLHEKRAEEADNLVRYVYNQCKTLDKGRLVNVRVAAQQYCGNLPRKLLFNRRYFGEGKEDGGPGFEEEEHVGALFTILSYLYSFCISDYIPCLRGLDLDGHEKIMDEALQVVGKYHDPIIEERIQQWKNGDKEDEEDLLDILITLRDEHGKPLLTMEEIKAQITEFMIATVDNPSNAVEWALAEMLNQPEILEKATQEIEQVVGRGRLVQESDFAKLNYVKACAREAFRLHPIAPFNVPHVSVADTTVADYFIPKGSHLLLSRTGLGRNPKVWDEPLKYKPERHLKADHGTPLSLTETDLRFISFSTGMRGCKGVLLGTSMTVMLFARLLQCFTWSIPPDQQGQAINLTEAKENLFLGKPLVAVASPRLPSNVYPA >EOY32007 pep chromosome:Theobroma_cacao_20110822:9:19080893:19087635:1 gene:TCM_039415 transcript:EOY32007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEENSLGDPPLSNRNVGVKSDVKEDKEVTSDQDNVEAKGEIIEEEGLNDDFKPITRGLRIRVAREKGVNFINANGLRENNVEPNMVDMDTKTDEDIRGVAFQPAGFSGGIWFLWDNVYVGVEILAYSSQMVYAMIVLSYQAILRIMTVQVDPSNDDEDVGYWMLTSSRMFSVKTTYEVHIDNETPRIFYMPSRIVDPQGYYGYNAVQVLLMIKLEDKFSNLFSL >EOY29088 pep chromosome:Theobroma_cacao_20110822:9:73816:75831:1 gene:TCM_036749 transcript:EOY29088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MVMDASFLSLLPYAILVIAGLSLVYLIHRRIAPVASKNLPPGTTGLPFLGESIQFLRAGQKGHPEKFVYDRMTKFSSKVFKTSLLGETAAVFCGSTGNKFLFSNENKLVTAWWPNSVNKIFPSSLQTSSKQESIKMRKLLPNFLKPEALQRYVSVMDMIAQRHLASSWDNEQEVTVFPLAKRFTFWLACRLFLSIEDPQHVAKFSEPFNALASGIISIPIDFPGTPFNRAIKASNLIRKELRDIIRRRKVDLAENKASPTQDILSHMLLTADENGQYMNEMEIADKILGLLIGGHDTASTAITFVVKYLAELPQVYSEVLKEQMEIAKSKEPGELLNWDDIQKMRYSWNVACEVMRLAPPLQGAFREAMTDFIYAGFSIPKGWKLYWSANSTHRNPECFPEPEKFDPRRFEGSGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHNLVRRFKWEKLVPKEKIIVDPMPMPEKGLPVRLLPHK >EOY30982 pep chromosome:Theobroma_cacao_20110822:9:6561297:6564924:1 gene:TCM_038021 transcript:EOY30982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich RLK (RECEPTOR-like protein kinase) 6 MGSENRKWEKKDSDSNFGLLYVFSVKTYRTGNNLFSFKVELTNYSAFLGSNESTNYYLGIWFKNDRRKKPVWVVNHGNPILDSSGVLFIRCELYYGEKGGLLNNVGKGNCTIYIHGDAAERSGMLGSQGSRTSRVGVLEMQLNTNAAATEDADNGDVIKLSRTKDQELPLLSFSCISTVTDYFSFVNKLGEDGLGLFISGFMSPEYAVYVPLSTKYDVFSFGVILLEIMSGRKNLTSYGSDFYLNLLGYAWDLRKTQRCEELLDPTLANPCSTNELLLCTQVGLLCVQENPENRPNMSDVFSMLSTQGTTLPIPKEPAFRHLSVIDSDLLRCHNPSLNFVIFTAMEAR >EOY32747 pep chromosome:Theobroma_cacao_20110822:9:33346409:33349749:1 gene:TCM_040776 transcript:EOY32747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein, putative MAISSSPDWSLASTDSYTSKTRKFGLFSSCKTLHFVSFPTSNLPLFHIYSSGCPSPILEDASTNVPSTKLELKFQGSQQFSLPDLDNLNSFLRGLLQDTQNERLAYDYYEKAKRRPGFIPEKPMLQLLIRYLVQSKKWDLVMSLSEDFKHYHVLPDSYTCSRLINACIRARKFKVVGTLLQVFKSDKVVALIAFNSAMAGYNKLHMFRSTIAVYETMKFNGIFQDAESYCQIMEAYQHLGDMDKVAAFFDEFESRKLDLTPAAPRVYSILCESLGKSGRAYEALEYFRDMTKKGIPVSSSVYSSLIRSFASIRDLTVVEELFKEAAERRMVRDPEVFLKLVLMYIDEGLLEKTLEIVRVMKDANIKVSDCIFCTVVNGFSKRRGYQSAIVVYEELLSQGCKPGQVTYASIINVYCRSGLNSKAETVFSEMEEKGFDKCVVAYSSMIAMYGKTGRIRDAMKLVAKMKHKGCQPNVWIYNSLMDMHGRVKNLRQVEKLWKEMKRRKTAPDKVSYTTIISAYSRAREYEMCLKCYQEFRLNGGLIDKAMAGIMVGIFSKTSRIDELVKLLQDMKAEGTQLDGRLYHSAMNALRDAGLETQAKWLQKNFDAK >EOY32509 pep chromosome:Theobroma_cacao_20110822:9:30542413:30543527:-1 gene:TCM_040469 transcript:EOY32509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFMFLSLKALHFPSIRSILLPSLSLQSSLYPFSLPLYSPWNCPSSVVSFFTFINFKFFVVFFRSMLQRSWQLVISFAQFRVS >EOY29485 pep chromosome:Theobroma_cacao_20110822:9:1318646:1321221:-1 gene:TCM_037003 transcript:EOY29485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSSGYLPPWICALFACMGGCFGCFTKPTLIVAVDDPSKGLTIQGRKVKKSSLSEDFPSSSACEMEYSAAQSQGSVSSTSLSNQPPDPSGSTSYPSEFVNHGLLLWNQTRQQWRGNKRSEKRAQTQEPTISWDATYESLLGDNKPFPQPIALPEMVDFLVDYWEQEGLYDGVG >EOY31043 pep chromosome:Theobroma_cacao_20110822:9:6751481:6754797:-1 gene:TCM_038064 transcript:EOY31043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein, putative MITRQLRKIIKQRPTSSRNLHSSKHVHQLFDESPYSKPASLYPVNDSLRSFLHVNLPFSSFSKLKVLLKLGNVNAIDEVTVALALKDSCGNTEQGTQVHKFAITSGLTSFITVPNSLMNMYSKSGQFDKALCIFEGLNDPDIVSWNTLLSGFQKSDEALNFVLRMNLSGVVFDAVTCTTALSFCFDVEGFLLGLQLHTLVTKSGLDCEAFVGNALITMYSRWKRLEEARRVFDEMPNRDLVSWNSMLSGYSQESSYGLEAISSFIEMVGQGMKLDNVSFTGAVSACGHQRNLEVGRQIHGLCIKRGYGTHVSVCNILMSMYAKCEVFEDATLVFERMNERNVISWTTMISIDEEDAVRLFNEMRLDGVYPNDVTFVGLIHAITTRELVEEGQMVHACCVKGNFLSESNVCNSLITMYAKFMLVQDSIKVFEELECREIVSWNALISCYAKNGMCLEALRTFLSAVTECKPNQYTFGSALNAIGSGEDISLKHGQQCHSHLIKVGFSTDPIVSNALLDMYAKRGSISESEKVFSETPQKSQFAWTSIISAHARHGDYDSVMTSFKEMEREKVQPDSITFLSVLTACGRNGMVDMGRKLFDSMLAEYQIEPSSVHYSCMVDMLGRAGRLKEAEKLMGRSPGGPGLSMLQSLLGACTIHGNVEMGERVADALMEMEPSESGPYVLMSNLYAEKGNWEKVAKLRKRMRERGVRKEVGFSWVDVGDINSSLSLHGFSSGDKSHPQSEEICKMAECLGLEMELLREKDRQKDTAITV >EOY32103 pep chromosome:Theobroma_cacao_20110822:9:20961181:20968329:1 gene:TCM_039594 transcript:EOY32103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein isoform 3 MLCSREIFSFLVRKDVRKILKRKDSDAGERGKALEDLRASLFNKFHSSESAKRQQQRSCGPVAALTFNFMVAVGIIFMNKWVCMPNFPYLKATICCNLGFRRSRIIHLTGLLFFSVQVLKNVGFQFPVFLTFIHYAVSWALMAILNSFSLLPASPPSKSTPLSLFTLGIVMSLSTGLANVSLKYNSVGFYQMAKIAVTPSIVLAEFIWYKKKVTFSKVIALTVVSIGVAIATVTDLQFSLFGACVALAWIIPSAVNKILWSNMQQQENWTALSLMWKTTPITLLFLVSMIPFLDPPGVLAFHWSFNNTSAILMSAFLGFLLQWSGALALGATSAISHVVLGQFKTCVLFLGSYYLFGSNPGTTSICGAFVAIGGMSFFTYLNLHAKQQPSPLKVSSSLPKSKLSESNGENRDGFGAESV >EOY32102 pep chromosome:Theobroma_cacao_20110822:9:20961181:20968329:1 gene:TCM_039594 transcript:EOY32102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein isoform 3 MLAKEEKPWRTCVLLCLTNSIHLKVQSVNSNEVVALLLLLHLTLWLQLVLKNVGFQFPVFLTFIHYAVSWALMAILNSFSLLPASPPSKSTPLSLFTLGIVMSLSTGLANVSLKYNSVGFYQMAKIAVTPSIVLAEFIWYKKKVTFSKVIALTVVSIGVAIATVTDLQFSLFGACVALAWIIPSAVNKILWSNMQQQENWTALSLMWKTTPITLLFLVSMIPFLDPPGVLAFHWSFNNTSAILMSAFLGFLLQWSGALALGATSAISHVVLGQFKTCVLFLGSYYLFGSNPGTTSICGAFVAIGGMSFFTYLNLHAKQQPSPLKVSSSLPKSKLSESNGENRDGFGAESV >EOY32101 pep chromosome:Theobroma_cacao_20110822:9:20961134:20968230:1 gene:TCM_039594 transcript:EOY32101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein isoform 3 MLCSREIFSFLVRKDVRKILKRKDSDAGERGKALEDLRASLFNKFHSSESAKRQQQRSCGPVAALTFNFMVAVGIIFMNKWVLKNVGFQFPVFLTFIHYAVSWALMAILNSFSLLPASPPSKSTPLSLFTLGIVMSLSTGLANVSLKYNSVGFYQMAKIAVTPSIVLAEFIWYKKKVTFSKVIALTVVSIGVAIATVTDLQFSLFGACVALAWIIPSAVNKILWSNMQQQENWTALSFKLLGRRWGLWDQGGLHLVVLMWKTTPITLLFLVSMIPFLDPPGVLAFHWSFNNTSAILMSAFLGFLLQWSGALALGATSAISHVVLGQFKTCVLFLGSYYLFGSNPGTTSICGAFVAIGGMSFFTYLNLHAKQQPSPLKVSSSLPKSKLSESNGENRDGFGAESV >EOY32139 pep chromosome:Theobroma_cacao_20110822:9:22025523:22028784:-1 gene:TCM_039685 transcript:EOY32139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRAFSENPRPAENLSPNFAAARMPFRCSEDQSFRSTCLNAAKIKRQSVTRSRCSRRFVSLVASVPVMVNGTLSHHLQMCRGLHQGCPLSPYLFNLVIESLGAIISKASSFGLCRGIFMGRNGILRYFQLISGLKINVGKSNLFGIAIDDQTLANWAALITCNLSSLPSTYLACRFTSCPFFRIPVGIKDEDWLANVVKKAIGFIPSQGNKIKFWTDEWVNGSILVVSPNGSYDAYSLYKFTMGNLSRDQWFWKLVWSNLVPPQVELFCWLALKGRIAVKTFKLAKLRVSQWAKAKWPATCSLIVDLIYDPSYISVAKGKPSAKSSCAWVRPPMNILKFNVDGAARCCLGPAGMGDVLRDSASFWASSRSLIIESDSMNAVRWCNNLETAPWRIRVGYYLPKAGVDKPFDLIQVF >EOY32275 pep chromosome:Theobroma_cacao_20110822:9:25623238:25632301:1 gene:TCM_039973 transcript:EOY32275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor Pur-alpha 1 MEGNSGGGGGGGSGGADRGGGGGGGGGGGERGGNDVELVCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPSSGISWFLDLFNYYVNSDDHDLFSKELQLDTKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSTRDEGWAAFRNILAEINEASRLFILPNQQTSEPSERLVGLSDDVGAGFISGHSSQPASTSELNVDRSVDLPAQDEIGNMGVSKVIRADQKRFFFDLGSNNRGHFLRISEVAGSDRSSIILPLSGLKQFHEIVGHFVEITKDRIEGMTGANVRTVDPPQREISELLVKLSLIGITDNNWVLVIVSLIAWHPHYLFNNAYSDVCFIQSQPWKQTLMEC >EOY31734 pep chromosome:Theobroma_cacao_20110822:9:13418310:13419130:1 gene:TCM_038848 transcript:EOY31734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGSSSSWWRSPTQPKPSMDDKREARNSTSSNMGLTKCTFDSSGRGRLDFFDIWLVDEFTVPMDFSPISGGCRGIRCSTDCNEQCPNLLRDPEYSGFYKQRCLDVIATPRMKHLHACPGGTNYRVIFCP >EOY34160 pep chromosome:Theobroma_cacao_20110822:9:40092617:40100289:1 gene:TCM_041916 transcript:EOY34160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MAPLKNLEQDYTIIDSNFLNFCASHGIFSVEDFLIHDLYKLAAFAEQNISSERLKEGITQVLSIIDDMHQPWLNGMELLEDAKRNKHSLPTGIQGIDFLLGGGIRVGQLTELVGPSSSGKTQVCLQTASNVVSNHMGTVVYLDTGNSFSPQRIAHFLGVTNDLASSEIKNQILQRVMSNILCHSVFDIFEMFDVLHKLEYQLRSQDRGGCQMRLLIIDSISLLITPVLGGTSSQGRALMVSAGYLLKKLADQHNLAVLVTNHTVGGEGGTSKPALGESWKSIPHSRLLLSCDRGSNVCNVSILKHPSMASGEAARSELRGRDCNHEARVDVKGQHFQLLPFGTGRRDCLGISLALQELATVVAAIIQCFDLKVFTQVVTPDGMKSCGRDVVDMTDRPGLTAPRSLESQDSLSNLKKWKKPDPNWPTPGSITTLILCFFFSSSSYSFLFSFWDNSALHILFPIFSQFFMGIKTFFSLLMFHSSSRVSRPINQIQRILVKHFVIIHRHSKHYHTFGPKKPTTKPDHETPQKTTKNRRRKWPPNPFVTNLKEIQEPDEALSLFHEYYQMGYKHDYPSYSSLIYKLAKSRNFEAVETLLGYLQNLNIHCQETLFNALFESYGKARLIEKAIELFHKMPSFNCVRTVQSLNSVLNALVDNDRFFDAKVIFDKSPKMGFRPNSTTFNVMIKGLLKREAFDEACCLFDEMLERNVEPSVVTYNSFIGFLCKKGEMENAEGLVEDMVKKGKYPNAVTYALLMEGLCSLGKYKEAKKLMFDMEYKGCKTKVINFGVLMTYLGKRGEIEEAKSLLREMKKRRFKPDVVIYNILVNYLCKEGRVGEAYKVLIEMQVKGCEPNAATYRMMVDGFCMVGDLEGALKVLNVMFTSGHCPRLETLCQLVTGLLKCGKIDGACFVLEEMEKRKMSFDLEAWGALVRDACGGDDTNDLQTQLILVASD >EOY31783 pep chromosome:Theobroma_cacao_20110822:9:13984335:13986245:1 gene:TCM_038911 transcript:EOY31783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MENTTKPLLADGNFSDLVVSGYLKLIWTGLAPYKIEIFCWQLLQGRLAVEDVLVKKGILSVHAWGALWNVQWVIHHEPIVCFLAWFGFAAFTYNNLIWKTAWYVIICAIWIARNEVVFKGKEWDEEHFELTKFQVAWGVEVWFRPQNGSLKFNTDGASRDCPDELGIGGNLRNDLGDTFAYFSKPIGICDSNKAELMAIREATLIYATSRWNEAFRHILECDNSNIVKWISIPRHLVIQTSKLLGKIKEW >EOY31722 pep chromosome:Theobroma_cacao_20110822:9:13202377:13204071:-1 gene:TCM_038827 transcript:EOY31722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDVGGMHVDLLLTKGKVRPWIIAEFEEKQSQVKFFELFERTYKQQKGTEEFVDNMSKTICKSYTSTFSNKYDDELSIRPEFDHEAWIEAIRRPNNTWTHVYGFGTRVLVSRLLALNAVSKFACGLDVARPSLALVPELEGYRQLISNVYSLMMSMGRINNLFEVIANRLSPSDTFESSSSQQSGLPHPP >EOY31577 pep chromosome:Theobroma_cacao_20110822:9:9743436:9753722:1 gene:TCM_038506 transcript:EOY31577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLLMMKSYMWYKSSLATDYRNDKDSDSIMESLKENRIEGLEVRRIIELEKRPGAPEEMAKPDQTSQSRSNNAIVDPLRSEKQKDVDWGQFGSLGSTSDDLNGHISNFLEICNMFKHNGVPDDAIRLRFFPLPLRDKAKAWLNAFLTGSITIWDDLAKKFLPNSSFVVDRVTSEVFIKDHSNDPLEITLISEAKPINDEVVECVNVLNAPFRPITGWRVCMDYRKLNKATRKDNFPLPVIDQMSRAILRGAEAVERHMHSLVHKVLIPECGIDLHLELYSSVHKVFAERQWEQFSEQPDPTVLPVVREFYANAAEHIRDIENDDYGKFLMSDIDLDRVLEVLCDEGAESKMAKGILVSFKASIMKIVHKLWMSINVGQVIFTSIFQAARLPHDGLWYPSLITALCKKVGIHWDKNEEILQPKAPLDADIMNWFYDHELLTIGGSSSLVPRRLPP >EOY32978 pep chromosome:Theobroma_cacao_20110822:9:34717166:34725567:1 gene:TCM_040985 transcript:EOY32978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYCKSYQRCRSNAQEKGSYFQWSSEMDSCLAKILAEQVKKGNKTDNALKPAAYIGALTVINKKFGFDLTEEHLRNRLKTWKKQFGILKELLAQKGFQWDEKQRMVVADASVWNDYIKAQPDARQFQGRTIDNYDELCIIIGNDQDIAGCLENGAVTHMQSAANIDGLDTASSSDIQSDDNHIKNLRWTVEMDYYLGKSLVEKVKEGYKLDGTLQREAYDAALSTLNEKIGLELTKDHIRNRLRTWKKQYVVLKQLFSHPGFKWDKTRKMIIADGSVWTTYVKAHPDARIYRGRVIENYDNLCTIFGSDNEVAEGVDISPLQNGVKVKDQAKNMMWTYEMDQYLSKVLVEQVKLGNKSKLDNKLRPLAYEAAVSALSKRFQLDLTKEHIRNRLKTWKKQYEILKELLHHSEFEWDKTQNMVIANDSAWNRYIKITPDARSFRGRVIRNYYELFAIFGCDDLPESSLNSSNDDVNLTANNEAADTEELFYGQSDVAKDKGKYILWTDEMDQCLTEQLVQQVTIGNKHQKSFKPVAFRAALSVLNKKFSLDLTTENIGNRLRTWKKQYRLVKELLSQRGFEWDEGQKMVIANDSEWRECIKRNPDVSRIRGRCIDNFSELNIIVGNELAVGHWSEAGDRVVNPIQNNEEPVDAPVQVVVDEEMGHDNTDDDMQVSSQQTRARPSSSSHAKEALKRRRTSDVMLEMMSDMAANIGRIADALTESKAVCLDELFQMVQSIPEFDDDLIIDACEYLSFDEKRAMMFVKLDERLRKKWLLKRLRG >EOY32391 pep chromosome:Theobroma_cacao_20110822:9:28642421:28653830:-1 gene:TCM_040284 transcript:EOY32391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGVRVSDWMLREIVESIDCRMGNFPMVYFRLSLGRNTNLVQMRRPKVEKVETRLVGWKTKFLSIAKKDTLLRFILASLSIFYMFIFLMLKGVIRELEKIDRRFLRVSSNMQMAVSDGSRIMFWVGRWMDGRVMKEVFPKIYALARNKQRLIEKYGSWAGENWI >EOY32380 pep chromosome:Theobroma_cacao_20110822:9:28457204:28457761:1 gene:TCM_040264 transcript:EOY32380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MARYYRDSFLDYLSLPPLHLCFFVSILFFVLGFSWYINYESMFEDFINQLKFFLMLSPVVLLLLIHCFSGSVPFLIPLPEQDSLHRTGGSPWGVALLLVFLLYMISYQSSFHERWFPLGTK >EOY34445 pep chromosome:Theobroma_cacao_20110822:9:41116699:41125312:-1 gene:TCM_042124 transcript:EOY34445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein, putative MWKREIMVGTCHIGIAIIFSESVSCFVSAEKSFWALSLESFMCMILALAEWTQKANNGPPLLRVRGPSDRSSGFSRGKHASSKFAVLFRAPLLQMTLQNFLKTLKTVKKSYPSGLSSKPYQPLPDFISQFLTTSKPLDASTISDLNPTTFHDILTNPDLKASKCFRFFNLVIKNQSLVSFKPDLQAHLTLTCRLLKARLFSDAEAMLKSVSVDESLRYPFLVIASAVENCCFESKVITKFYNLMLKVYSDNGKFGEVLKTFDYMKNNGIKIDERTCTVHLIALKGADELGLALHFFYLMVETGIEISVYSLTAVVDGLCRNGDVKKGREIVEEMADRGIKANVITYNIMIDACAKRWDFEELDLVLGLMEKAGVEFNVETFKFLIDGYTSYGKINEAGRLIGEMHDKGLKVDTYLYNLMINGYCKLGSIENVLLLFDRMSNRGVKPNADTYWPLINGYSKVGEMEMAMKYVNEMQKKGFELDKVMYDMLIDRFCQNGMVDEAFELRIEMERKGFHSDMYLCNQMGKLLCEIYQTEKAKMLLNIMIKRGVCPKAVSFTSAIS >EOY34250 pep chromosome:Theobroma_cacao_20110822:9:40466497:40467715:1 gene:TCM_041987 transcript:EOY34250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEERERRYYSGGSCRRVTNEGGEMLRCSRGKVGLFHQSMCEPLMEDDVDKIKSWEHSVEEPNLKSILSDLQTGHVAPSTAP >EOY29554 pep chromosome:Theobroma_cacao_20110822:9:1535172:1536047:1 gene:TCM_037056 transcript:EOY29554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKLCMKEEVVCFLLAQTQKKNSNCLLLEFSSPACLGQWPAWNRYTKLIAIVFLGKYICPTGQKTNGFALVF >EOY33361 pep chromosome:Theobroma_cacao_20110822:9:37076455:37082570:1 gene:TCM_041339 transcript:EOY33361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNERMKKGERYDWQGADAPCQAPLSPARREFFASGRVVERPLQSPEIGYKWEGDPSNEGGRERACSRENLQQREPAAERTGSKERTCSKGENRQQGENLQQGEPAARREPAAERTGSKERTCSKGENRQQGENLQQGEPAARREPAAQRTGSKERTCSTENRQQGENLQQGELNQKKRE >EOY32800 pep chromosome:Theobroma_cacao_20110822:9:33686043:33697176:-1 gene:TCM_040820 transcript:EOY32800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEIGLYYFEERKKIWANTEFLFKEKLSYDHLRVFRCLCYVYVKLKPNDKFAARSKKCVFIGYVSKKKRL >EOY30570 pep chromosome:Theobroma_cacao_20110822:9:5001073:5004086:-1 gene:TCM_037730 transcript:EOY30570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein, putative MMLGETHRPNPTVLVPPWPNLEDDQTPEVYSPLKYNVADNHLNNSGTPFYLQEALAALQRYLPSNEPDVESDSELSGLDNPDSPVDAYSCDHFRMFEFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKYHYSGTACPDFRKGNCRKGDSCEFAHGVFECWLHPARYRTQPCKDGPNCRRRVCFFAHTPDQLRVVNSADSYDVSPSCAKTLTFWSSPGSGSPPVSPRAESSPPVSPMAQSLSRSLGSASINEMVASLRNLQLGKVKSLPSSWPTQVGCCSPSGFGSPRGAVIRPGFCSLPSTPTRNLTRPGIGYLDFWDNGCEEEPVMERVESGRDLRAKMFEKLSKENSLGRVNPGQCSGGPDVDWVSDLVKAAYALVILQQMNAVYILFKIDLRMTDYICE >EOY32209 pep chromosome:Theobroma_cacao_20110822:9:23390551:23395479:1 gene:TCM_039819 transcript:EOY32209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-like HTH transcriptional regulator family protein MTIFSLSGLVEVLFRASFLLLLLVLCHHLIESEKHNYHEQSVKGAMQTSRSGPSSVYKLLSGEPIGLSSANGNSSFTILQPCYEQSEAPASAPQASVSQPRDLIPNPVPHNSYIICHKSTRLCTGFHSSTSESSNTEKHVGNSRCSSPLPMFDHLIPATNTPDSSLLFGEGKTTTCDKEDFEKFMVFPHVPAENSTVIPEHSAYPKGTLTLKEQLQLQYLTRELEIEMNGNGSENENPGLAETHKVPRVATVPVIQLEHNRNCLSSVVNLDGCIHSTHQHPEAVAANKQRIRWMPKLHELFLNAVDKLGGPESATPKNILKLMNVQGLHICHVKSHLQKYRLAKNVSELKHDKRSSRFEEKETLTETHGDGNIAKERDTQVLETLRMQVEVQKLLHEQLKVRSCAALCW >EOY33998 pep chromosome:Theobroma_cacao_20110822:9:39626202:39633886:-1 gene:TCM_041813 transcript:EOY33998 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor, putative MNPSSYSTVADPADESNFPNAFYITTPIFTEDANDNTFLLQDSTVGAAESSFADILAEKYPLSTTLPFPICSDLDWSSCITVANNLVQSDFICDLARFEVSSMLSPQVEHAFQDYPQPVSQSLFPDNSFGEAVDVPVIDPVNKSLVPDLVNQDLCCESLYDCQFLEGGVKETQKLIAYGDHMSAEEESPVAAERETSEEMDHSFCKTRKVETRLQICGEGEIGREHGRVVCPEQFEQPDMFKNDVSAAATADIAWRNEARMIMNACGEPKRNSAGTKKRRRSVNKRNLVDLRTLLIQCAEAVGSNDFRNANDFLMQIRNHSSPFGNASQRKAHYFAKALEARLAGTGSEEYAALVSKRIPTASVEACKLLISACPFMKVSNFFTTEMIMKLAKKATRIHIIHFGVPYGLKWSSLIQRLSTRPGNPPTLRITGIDLPQPGVESAGRVEEFGHFLANCCKQFNIPFEYNGITQKWESIQLEDLKIAKDEVVVVNCLYRLRHIVDEMADLSSPRDTVLNLVRKINPNIFIHGIVNGAYNAPFFVSRFREALYYFSTMFDMLEEIAPSEDQERMVLEENMYGKEILNVVACEGSERIERPETYKQWQVRNLRAGLRQLPLKQEILNSAKAHVKLHYHKDFLVDEDKNWILQGWKGRILFALSFWRPSKEP >EOY33731 pep chromosome:Theobroma_cacao_20110822:9:38809121:38810985:1 gene:TCM_041627 transcript:EOY33731 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MVQSLDRAKLAELQLMADRECNREAEAASNNVAIIFGVTGLVGRELAKRLISKSKWKVYGIARNPERIPIKSSGRYHFISCDLLDPLETKQKLSVLQDVTHMFWITWASQYPLDSPECCEQNKAMMSNALSAILPTAKALKHFCLQTGMSHYVPVKGETAHLFDEEWPRAANASCNFYYVLEDLLHETLAGKVAWSVHRPGLIMGSSHRTVFNFIGSLCVYGAICKHLNLPFVFGGTMECWEESYMDSSDARLVAEQQIWAATTDEIPSTDGQAFNAINGPSFTWKQIWPTLGKKFGVTVPEDMFWEDFWFTTAMRDKKQAWEEIVIKESLIQTKMEDLANWQFLDILFRFPVKLLGSRGKVDRLGFTMRSKTLDSILYWIDFMRDEKFIP >EOY34447 pep chromosome:Theobroma_cacao_20110822:9:41136450:41140504:1 gene:TCM_042126 transcript:EOY34447 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase beta-2 subunit protein MNNQFGEDQDEATVMGFEVPKSPDSSYNNVYPGNEDDARDPPVVPAHLHRTLLSYPTSMNASGNLPLPENVILNHLYIENREAPRSVVALGFTHRFRSKYVTVVLYKPVPRRGSAST >EOY33807 pep chromosome:Theobroma_cacao_20110822:9:39058217:39059097:-1 gene:TCM_041679 transcript:EOY33807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIRKRYQETKAGFQLLKSINADKYLKKIGLGKEDYHFWKQVGKALLCTYTLFGVAWLYNETSPLGWWTLKPRPKEERELAHLYERREFPYPGDAEAMEEFVAKGGMIGTTIGPKGIIETDKDSFNYQKEMQNKKFEQEALKLWLRMRNEVVSELQEKGYDVE >EOY32246 pep chromosome:Theobroma_cacao_20110822:9:24467290:24469681:-1 gene:TCM_039890 transcript:EOY32246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKNKGIIAKLKVENENLVKTRIELENNVKNMQVKMNEMKKKDRSLHDTFVRFKDSQQKLNDIMELQKAFFNEEGLGYDFTQKETHLKNFLVKDNEIISQPRIKNLDRSTISRMGYTKNVETKRLVHKRERQSEGDGNDNDDEDMPQQASIEPSSSVMPFSLVGHMSTDARIDAMREMLEENEW >EOY31712 pep chromosome:Theobroma_cacao_20110822:9:12974131:12978528:-1 gene:TCM_038803 transcript:EOY31712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein MPPRRERPLPTRSAKRGRGRLRQSQPDLRGEESTVSPFRATLAAEPVEIPPPATSIPTVSPEVIQAMAALFTAMVGQAQTSQVPPIVPPVTSSVPLAHEVSISKKLKEARQLGCVSFMGELDATTAKDWINQVSKTLFDMRLEDEMKLIVATRLLEKRARTWWNSVKSHSTILLT >EOY29255 pep chromosome:Theobroma_cacao_20110822:9:514833:519806:-1 gene:TCM_046900 transcript:EOY29255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor MALSDFYATHASYRTRIVLNPRDSKDVIGAAAAALYLIKNVQVQAIIGPHTSMQANFLINLGNKSQVPIISFSATSPSLTFLRSPYFFRATQNDSSKVKAISTIVVAFGWREAVPIYIDDEFGEGIIPYLTDALQGINVRVPYRSVIPSPASDDQISEELYKLLTMQTRVFIVHMPPSLGTRLFALAKEVGMMSEGYVWIVTDGMTNLWSLTNPPTIDSMQGVLGVRTYFPGTNELENFKVRWKRKFQQDNPTIINAELNIFGPWAYDATFALAMAIENVSMGNFSFNKTNVSSSGTDLESLGVSQNGPQLIQALSSTKFRGLTGDINFVNGQLQSPVFQIVNVNGNGERRVGFWTPKSGLVKELNLANRSTNSTHKPNLGPIIWPGDTISPPKGWKIPTNEKKLRIGVPVNGGFSEFVKVTWDPNSHTAASVTGYCIDVFYAVMVAMPYVVTYEFIPFATPDGKSAGTYDDLIFQVYNGTYDAVVGDTTIVANRSQYVDFTLPYIESGVSMFVPIRDNRRKNAWVFLKPLTWDLWLTSACFFLFIGFVVWRVVSNLARFVVIIWCFVVLILTQSYTASLTSLLTVQQLQPTVTYIQELLKKEKKVGFLKGSFIEGILKGRFRFPESQLIEYQSPEELHDLFTKGSANGGVAATLNEIPYMKLFLAKYWFKYTAVEPKLRTNGFGFVFPRGSPLVADVFKAVLNVTQGDKMNQIE >EOY31715 pep chromosome:Theobroma_cacao_20110822:9:13039529:13049720:1 gene:TCM_038810 transcript:EOY31715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEVNIKKKSRKSLGRILLKGDNITLMMNSGK >EOY33152 pep chromosome:Theobroma_cacao_20110822:9:35687814:35690146:-1 gene:TCM_041136 transcript:EOY33152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFMSTPGNGNQVAVRDEAALSKEGIVALQILVEEPGCRCWVGGCATQTGWNINGTTGGERVQISDLNHPLLLDCDCVTT >EOY31810 pep chromosome:Theobroma_cacao_20110822:9:14768139:14776595:-1 gene:TCM_038994 transcript:EOY31810 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:CoA ligase 2 MEANQVQQEFIFRSRLPDIYIPKHLPLHTYCFEKISQFKDRPCLINGPNGRIYSYAEVELTARRVATGLKNLGIQQGDVIMLLLQNSPEFVFAFLGASFRGAISTTANPFYTPAEIVKQATASKTRLLITQAVYAEKVKNFAKENDIKIITIDTPPEGCMHFSELTQADESEIPAVKINPDDVVALPYSSGTTGLPKGVMLTHKSLVTSVAQQVDGENPNLYFHEKDVILCVLPLFHIYSLNSVLLCSLRVGAAILIMQKFEIVTLMELVQNYKVTIAPFVPPIVLAIAKTPDVDKYDLSSIRTVMSGAAPMGKELEDAVRARLPNAKLGQGYGMTEAGPVLAMNLAFAKEACETKSGSCGTVVRNAEMKIVNPDTGASLPRNQSGEICIRGSQIMKGYLNDPEATQRTIDKDGWLHTGDIGYIDDDDEVFIVDRLKELIKYKGFQVAPAELEAMLIGHPNISDAAVVPMKDEAAGEVPVAFVVRSNGSKITEDEIKQFISKQVVFYKRLGQVFFTEAIPKAPSGKILRKDLRARLAADIPK >EOY29679 pep chromosome:Theobroma_cacao_20110822:9:2037073:2043983:1 gene:TCM_047091 transcript:EOY29679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 3r-4, putative MEGDRTISTPSVGLSISDGAQTMRALHGRTSGPTRRSTKGQWTAEEDEILRKAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDELIIELVNKIGPKKWSTIAQHLPGRIGKQCRERWHNHLNPAINKEAWTQEEELALIRAHQIFGNRWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYIASGLLDQFQFPLLANQSQPMPSSSSRVQSNVDDSGAKSRTEAEDISECSQESSMIGCSQSASDMANAAVNTREQQFHLSEMPGVEKEKNSSPALCSEEYYPSLEDVNFSIPEISCEAGYSASGDYQFSLPNLPNISSIELGQESSGLPTHCIDASESHEMMNAAFQTSVGLNAPTSFVNMVTTSDKPEHMLITDDECCRVLFSEAVNDGCFASENFTQGSNIVELGGCTSSSLCQASDIQISETGRTPASQSNCPSRSEVLATSCCQYFVSPSVASVEYGSLMSGREPSQLNGQPFGTQEQEFTMNAYDGFIYTNDDHTGNTDLQEQSYLAKDSLKLVAVNSFGSESDAMQTCPTMDDKPNLPEEQDVGALCYEPPRFPSLDIPFFSCDLIPSGSDMQQEYSPLGIRQLMMSSMNCITPFRLWDSPSRDDSPDAVLKSAAKTFTGTPSILKKRHRDLLSPLSERRSDKKLETDMTSSLTKDFSRLDVMFDESGTGSTSQPSQSEPKTHSGASVEEKENLCQAFDGERDNGGDRTESLDDKAQKKDSNGINSHGNMKKEACDIDTKAKTDADASNKVVQRPSAVLIEHNINDLLLFSPDQVGLKVDRPLLASSTRTPRNQYHKSFGAISNQGFASECLSGNACIVVSSPTLKIKNSEGHSIAVTTVQCVTSSATAENLVDNAGIDAAIENHNIFGETPFKRSIESPSAWKSPWFINSFVPGPRIDTEITIEDIGYLMSPGDRSYDAIGLMKQLSEHTAAAYADALEVLGNETPESIVKGRRSNNPNVNEDKENNQLESRSHLASNILAERRTLDFSECGTPGKGTENGKSSTSMSSFSSPSYLLKGCR >EOY31575 pep chromosome:Theobroma_cacao_20110822:9:9686085:9689261:-1 gene:TCM_038499 transcript:EOY31575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN21 /EamA-like transporter family protein, putative MGRTDYYKPAWAMIGLQFIYAGVALFTRAALLKGLSPRVFVVYRQGIATLLIAPIAFASRRKNSSRCSLGLKSFAWIFLASLLGVTANQNAYFEGLYLSSSTIASAMTNLIPAVTFVMTAILGLEKVHIQSLTSLAKILGTVICVGGAISMTLLKGPKLLNTELLPPKSSFSPGGENWLLGCLLLLVSSFFWSLWMVLQVPISASCPDHLYSSSWMCFLATLESATVAFLVEKDLEAWSLNSYLELSCCLFTGIALAVSFFVQAWCISRTGPLFSAMFNPLCTVIVTIVAAVFQHEETYIGSLVGAFAVIIGLYIVLWGKAKDVEEIKEGMDPKPLNNQTKIVQVIMDESSEKTSKIDLEEPLLSDKSTKDDDTWMNHK >EOY31903 pep chromosome:Theobroma_cacao_20110822:9:17459710:17465037:-1 gene:TCM_039248 transcript:EOY31903 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein MQKGWFLAVLFFSLLAIQKGSPNSFKSFFWRLMDDALLQGWVVTARKSLLQVIFPPELRFACLKFFNWIFHLFSSYCYFDITEIDGVNTNELYNAVQLYLSSSVSINGSRLSLTRALNSSAITFGLSNNDCIIDTFNGVTVLWEHVVTQRQSQTFSWRPLPEEKRGFTLRIKKRDKSLILDSYLDYIMEKAIEIRRKNQDRLLYTNSRGGSLDSRGHPWESVPFKHPSTFDTLAMDPVKKQEIMDDLKDFANGQSFYQKTGRAWKRGYLLYGPPGTGKSSMIAAMANYLSYDIYDLELTEVHNNSELRKLLMKTSSKSIIVIEDIDCSINLTNRKKITNNPSTRNYYDPEIRCGSGSICGEDGGNSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHIYMSYCSYPALKILLKNYLGYEESDLDYDVLKELEEVVDKAEMTPADISEVLIKIRRHKQKAVSELSEILKTRAERNLKSGSLREKNSDDMEDEEQEKRALESPKEGSEFEEPCKKEEEEDEEKLK >EOY33985 pep chromosome:Theobroma_cacao_20110822:9:39569016:39569490:-1 gene:TCM_041801 transcript:EOY33985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent protein kinase inhibitor SMR5 [Source:Projected from Arabidopsis thaliana (AT1G07500) UniProtKB/Swiss-Prot;Acc:Q9LNX4] MKMEGEMGSYEDVMSLVTMMQEEQEAWSTPTRGECRIPAVKVCPPPPPKKRPFLFGRKREPPKNGYFQPPDLEMLFAMEPKRQAYA >EOY32550 pep chromosome:Theobroma_cacao_20110822:9:31138900:31143020:1 gene:TCM_040531 transcript:EOY32550 gene_biotype:protein_coding transcript_biotype:protein_coding description:General regulatory factor 7, NU MSPTESSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVAIIKEYRGKIEAELSKICDGILSLLESHLIPSASSAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLLAYKSAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITGSCEGGYFSKSSLGEKMSSGPTSMMGGLENHL >EOY34625 pep chromosome:Theobroma_cacao_20110822:9:41621703:41623476:-1 gene:TCM_042234 transcript:EOY34625 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase family protein, putative MAPTLIFTLVLIIINTCNLTRAATLPNFTSILIFGDSTVDTGNNNFINTVFRGDHLPYGQNFPGHIPTGRFSNGKLIPDFVASFLGIKQTVPPFLDPNLSNNDLRTGVSFASAGSGLDDLTTVATGVIPMSKQLDLFKSYKARLGGIVGEREAENIIRNSLVVISAGTNDFGFNYYLLPVRRSQFDVRGYQDFLQNAMQDYVKALYDQGCRRIAIAGLPPMGCLPVLITARLKPLLDRKCLEDENADARSYNQKLVNLLPRLQASLPGSRIVYADVYTTVIDMVNNPQKYGFTVTNRGCCGTGLLEASFLCNLETPACTAPSQFLFWDSIHPSEAAYKALAVVLEKQLVQSLQ >EOY31761 pep chromosome:Theobroma_cacao_20110822:9:13798046:13799820:1 gene:TCM_038892 transcript:EOY31761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MADNSNGKKYAYCGVVVPKDYGGKQTSSYNYQSQQGGQSSCNQTTSKTSYVDKQTGSYTRATNKEVVSTGETFKERSTGRVGYKDEYKTTSTYKVGDKSGYTEYQVEERFRNVKYSGNSSSNKGNNSGGWNNKYLK >EOY30665 pep chromosome:Theobroma_cacao_20110822:9:5329625:5331850:-1 gene:TCM_037798 transcript:EOY30665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphotransfer protein MDSRSLREQIAVMRQSFFDEEILDAQFIQLEELTDKDEPNFVEDVVTMYFRESTDNLIPTIEEHMKSIPIDIAKLDRVMHKLKGSSASIGANKVRNEINNTMVLLEGGNVEGAKAAFEQVRKEHETLKAKLETYFQQLRQAGPVDPATPSK >EOY33350 pep chromosome:Theobroma_cacao_20110822:9:36962031:36964316:-1 gene:TCM_041323 transcript:EOY33350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase G MSRPMLLVFLLLILIITSQFEWRQQLVVDIDTNPSVTQKQQQISRREEAVKEKIILSQEKNIQRLNEVVRSLQQQLLQCKGNNKTTNGTASHLTEHVIELERQQILED >EOY30599 pep chromosome:Theobroma_cacao_20110822:9:5137283:5138329:-1 gene:TCM_037751 transcript:EOY30599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MRAKYLSLSDDMLIKLWDWEKVWCVLFLYSSYFIWCNHAKFVLALFEIPTFGTLAPRPKVCFEICVKIIDAAIVEAEGDIETQVRFLLQERRINVILIPSPILLDSYSMG >EOY32247 pep chromosome:Theobroma_cacao_20110822:9:24482104:24485858:1 gene:TCM_039891 transcript:EOY32247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTILTHNPPSCIHHTNLNPYFTLCHMMMVILLVCMMWGDVHDGINCARCGGMHAMTSAMCTMWGDAHELGEMGWYTN >EOY31200 pep chromosome:Theobroma_cacao_20110822:9:7507235:7516569:1 gene:TCM_038173 transcript:EOY31200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein MGFIVGLLIGLVVGLGIIVLFVRSENSRSKLRNELAKTVAAFARMTVEDSRKILPAQFYPSWVVFSQRQKLNWLNQHLTKIWPYVDKAASDLIKASVEPVLEQYRPIILSSLKFSKFTLGTVAPQFTGVSIVEDGADSVTMEMEMQWDANSSIILDIKTYLGVSLPVQVKDIGFTGVFRFIFKPLVNEFPCFGAVCFSLRKKKKLDFTLKVIGGDISTIPGLSDAIESTIRDAIEDSIMWPVRKIIPILPGDYSDLELKPVGMLEVKLVQARDLTNKDIIGKSDPYAVLHVRPLPSRTKKSKTINNELNPIWNEHYEFIIEDATTQHLVVKIYDDEGVQAAELIGCAQVQLKELEPGKVKDVWLNLVKDLEIQRDTKYRGEVHLELLYCPFGTENSFTNPFSSNFSMTSLEKALKSGVNGTEVIENEKTVTQKKKEVIIRGVLSVSVISAEDLPVVDLMGKADPYVVLTMKKSEARNKTRVVNDSLNPVWNQTFDFVVEDGLHDMLILEVWDHDTFGKDYMGRCILTLTRVILEGEYKDSLQLEGAKSGKLNLYLKWMPQPIFRDS >EOY29814 pep chromosome:Theobroma_cacao_20110822:9:2452608:2454462:-1 gene:TCM_037231 transcript:EOY29814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-93, putative MHVAARRRPIKIKQKMGIPSEMRDVFIQRRRNSFVIPSPAEEEKRSRAERFSQEGVRAGVKAAAIAAVVSAVPTLIAVRKIPWAKANLNHTAQALIISGASIAAYFITVDKTVLESARRNSRAQFDKTV >EOY33343 pep chromosome:Theobroma_cacao_20110822:9:36797216:36798787:-1 gene:TCM_041300 transcript:EOY33343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein MGFQDSHRSSKPVMIREVWSDNLESEFELISQVIDEYPFISMDTEFPGLVIKPKVDPSRPYHSQLQPSDHYKFLKSNVDALNLIQVGLTLSDSSGNLPNLGTDTQFIWEFNFSDFDVERDAHAPDSIELLRRQGIDFEKNREKGIDSVRFAELMMSSGLVCNDSVSWVTFHSAYDFGYLVKILTRRELPGDLDEFLRILRVFFGNRVYDLKHMMRFCKSLYGGLDRVARTLDVNRAVGKCHQAGSDSLLTWHAFQKMRDVYFVKDGPEKHAGVLYGLEVY >EOY30912 pep chromosome:Theobroma_cacao_20110822:9:6226411:6237078:1 gene:TCM_037956 transcript:EOY30912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 4 MWNSAENVFSRSASFREEDDDEEALRWAALERLPTYARVRRGIFRNMVGDSKEVDVSELESTDRRLLLERLVNSVDDDPERFFDRMRKRFDAVDLEFPKIEVRFQNLTVESFVHVGSRALPTIPNFIFNMTEALLRQLRIYQGRRSKLTILDECSGIIRPSRLTLLLGPPSSGKTTLLLALAGRLGTHLQMSGKITYNGHGLKEFVPPRTSAYVSQQDWHVAEMTVRETLEFAGRCQGVGSKHDMLLELARREKNAGIKPDEDLDIFMKSLALGGKETSLVVEYIMKVLSKFSAIGFPFQAALTTLTKIHLTKILGLDICADTLVGDEMLKGISGGQKKRLTTGELLVGPARVLFMDEISNGLDSSTTYQIIRYLRHSTCALDGTTVISLLQPAPETYELFDDVILLCEGQLVYQGPREAALDFFAFMGFSCPERKNVADFLQEVLSKKDQEQYWSVPFRPYRYIPPGKFAEAFRSYQAGKNLHEELSIPFDRRYNHPAALSTSRYGMKRIALLKTSFDWQMLLMKRNSFIYVFKFIQLLIVALITMSVFMRTALHHNTIDDGGLYLGALYFSMVIILFNGFTEVSMLVAKLPVLYKHRDLHFYPSWAYTIPSWVLSIPTSLYESGFWVAVTYYVIGYDPNITRFLRQFLLYFCLHQMSIALFRVIGSLGRNMIVANTFGSFAMLVVMALGGYIISRDHIPSWWIWGYWVSPLMYAQNAASVNEFLGNSWDKNAGNYTNFSLGEALLRARSYFPESYWYWIGVGALLGYTVLLNILFTFFLANLKPLGKQQAVFSKEELQERDTRRKGENVITELRHYLQNSGSLSGKYFKQRGMVLPFQPLSMSFSNINYFVDIPVELKQQGITEDRLQLLVNVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGVIEGSIQISGYPKKQETFARISGYCEQSDIHSPCLTVLESLLFSAWLRLPSDVDLETQRAFVEEVMELVELTPLSGALIGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGLKSCELIKYFEAVEGVPKIKPGYNPAAWMLEVTSPAEENRLGVDFAEIYRRSNLFQHNRELVENLSKPSSNSKELNFPSKYSQSFFEQFLTCLWKQNLSYWRNPQYTAVKFFYTVVISLMLGTICWKFGSERESQQDLFNAMGSMYAAVLFIGITNGTAVQPVVSIERFVSYRERAAGMYSGLAFAFAQVAIEFPYVFAQSVIYCSIFYSLASFEWTALKFIWYIFFMYFTLLYFTFYGMMTTAVTPNHNVAAIIAAPFYMLWNLFSGFMIPHKRIPIWWRWYYWANPIAWSLYGLLISQYADDNRMVKLSDGVHSMATRQILQEVFGYRHDFLGIAAIMVTFFVIFFALIFAFAIKAFNFQRR >EOY34179 pep chromosome:Theobroma_cacao_20110822:9:40190866:40192061:1 gene:TCM_041931 transcript:EOY34179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIYVAKGDTTSICLARTHDKQFPFITLLKSWPVPDKIYAQMTTDRAWFNGYRYNYGAAPEEWILEYPVDTHNREWKPMTPPGSVAITATFASLTATTANDSPVLAIIQAVQALSPSDRIELPFTFSKTNHLNHVELYFTESLDTTVNRSFNKRE >EOY31814 pep chromosome:Theobroma_cacao_20110822:9:14842770:14844696:-1 gene:TCM_039001 transcript:EOY31814 gene_biotype:protein_coding transcript_biotype:protein_coding description:H0502G05.11 protein, putative MLETISQLASSTPTFFQAQSVHLNADENASNGSIPLVVNTNVNGGNGENATDVVGFVIMEKLQKLLDQKNKRFSFFKFDLKFPYLANIAVESYPKDCTSPKFKQFNGCLEKSSYSLLKKRLILLIWEENIKSSEKMSWNISNALEKWCWIYKSLMVRRSW >EOY32033 pep chromosome:Theobroma_cacao_20110822:9:19291823:19297066:1 gene:TCM_039444 transcript:EOY32033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fiber protein Fb15 MAMRKVYNEIKGMKVKEVPNYVKPMLSMDYVKKAFQRGLDNYHAKYIQTDSIDPLYHVCFGGMIFSYLVALPEERRHLEHQQHAKEHGHH >EOY30123 pep chromosome:Theobroma_cacao_20110822:9:3486346:3489929:1 gene:TCM_037443 transcript:EOY30123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase/pectinesterase inhibitor PPE8B MLPKNMPNFSSHSLLVLLVALYLCCTSYATSSSDDFLGAECLNVPAAEFVGSLRTTIDAVRKVTSIVSQLGGFFGDFRLSNAISDCLDLLDFSADELSWTLSASQNPKAKNNSTGDLSSNLRTWLSAAMANQATCIDGFEGTSSVIKPVVAGSLNQVTSLVSDLLTMVHPGPSSKSNGGTSNGGNKEGGGAAAGGHPGQKLANNKNQFPSWVKREDRKLLEVNGVSADVVVAADGTGNFTSIMDAVAAVPDYSMSRYVIYIKKGLYKENVEIKKKKWNLMMVGDGMDVTVISGNRSFIDGWTTFRSATFAVSGRGFIARDITFENTAGPQKHQAVALRSDSDLSVFFRCAIRGYQDSLYTHTMRQFFRECKITGTVDFIFGDATVVFQYCQILAKQGLPNQKNTITAQGRKDPNQPTGFSIQFCNISADTDLLPFVNSTATYLGRPWKLYSRTIIMQSYISNAIRPEGWLEWNQDFALDTLFYAEFLNFGPGAGLGSRVKWPGYHALNNSAQAANFTVAQFIEGNLWLPSTGVKYTAGLAV >EOY34301 pep chromosome:Theobroma_cacao_20110822:9:40630544:40634589:-1 gene:TCM_042022 transcript:EOY34301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene insensitive 3 family protein MMIFEEMGICGDMDFFSAPLGEKDVAASQTEPEATVEDDYTDEEIDVDELERRMWRDKMRLKRLKEQNKGKEGIDIAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQADNLIPGKNDGCNSIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPSGNEEWWPQLGLPKEQGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEEALARELYPDSCPPLSSGGGSGSLVINDCSEYDVEGAEDEQNFDVQERKPGNLNSSNLGIERMRAVQQPPYPIKGEVVNSLDFRRKRKPSNDLNVMEHKIYTCEFIHCPYSELRLGFHDRTLRDNHQLTCPFRNSSAQFGGSNFNINEVKPVIFPQTFAQSKPAAPPITSVPTSFDLSALGVPEDGQKMISELMSIYDNNIQGTKNMNPGNNPVTEGQNLLQPKIQQQQDEYFRGQGVIMEGNFFEESSMPNNNQMFSQGEGQFDRFKALNTPQFETNHNNNSFQLMFGSPFDLASFDYKEDLQAVGMDTLPKQDVSMWFQ >EOY33914 pep chromosome:Theobroma_cacao_20110822:9:39320048:39324897:1 gene:TCM_041749 transcript:EOY33914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type one serine/threonine protein phosphatase 2 MGSVSIEPAVLDDIICRLLEFKQGRPGKQVQLMEGEIRQLCNVSREIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPTANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPVAALIDDKILCMHGGLSPDLTNLNQIRSLQRPTDVPDSGLLCDLLWSDPGRDIKGWGVNDRGVSFTFGPDRVSDFLMKNDMDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPADKSSRFI >EOY34466 pep chromosome:Theobroma_cacao_20110822:9:41212207:41213799:1 gene:TCM_042140 transcript:EOY34466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKANHSFSFLLQCQRIFIRKMDVDNCANYSKPYNKGDGNIRHENLASGTLPVSLFLRCKHPPTTGTGKRLGTFQENAAFAHPVFDNRTKAKPFAIS >EOY29144 pep chromosome:Theobroma_cacao_20110822:9:217168:218168:-1 gene:TCM_036779 transcript:EOY29144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVLVFVLAVSSSDAGARFRVCQRGIGSERVWLSTPLASLLAAGFFKVCCRGAFFLFDGFWGCFILTP >EOY32955 pep chromosome:Theobroma_cacao_20110822:9:34635977:34640404:-1 gene:TCM_040968 transcript:EOY32955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPKGGAKGGDCAGRPTTQQYKTQQKEQPVTFSFQSTETEWQWWYFLDLKRHVAAIVIRLRRAVEGMWGNKDCYISWSQSGRFGCYSLLTNQPKTLLLQSIHPPTLPMPILVFHLS >EOY31723 pep chromosome:Theobroma_cacao_20110822:9:13211323:13216047:1 gene:TCM_038828 transcript:EOY31723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGGKMPSSLFGNPKSKDDLGQSSEWHVFFSWKAKAVTTMSSPIPIRQRNFQKNWKDLSFKTPHVLL >EOY33602 pep chromosome:Theobroma_cacao_20110822:9:38228443:38229937:-1 gene:TCM_041532 transcript:EOY33602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILKQIPKPVQCLKSSAELRLNLQEERFDQGNNDSSPYGFAMFCQYHIVSKRTTAPVHAQLKTNQHIYQSFPVRKGPKT >EOY30552 pep chromosome:Theobroma_cacao_20110822:9:4958577:4959559:1 gene:TCM_037721 transcript:EOY30552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAYKRMQYNRRFVTAVVGFGKKRGPNSSEK >EOY32801 pep chromosome:Theobroma_cacao_20110822:9:33698177:33700820:-1 gene:TCM_040821 transcript:EOY32801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase, putative MEFRFLPIFALSLVLALAVSHAALPAEMYWRSVFPNTAMPKALRNLLLPAAVNKNMLTDMAKSKDKMVDAFGNYGYSNPSKEFPDGNTPTNNDVYFFESELHPGRKMKLKELAKKVSKATFVPRPVAESIPFSIQKFPEILKYFSLEAKSAEANLLKQTVENCERPAIDGEEKYCAASLESFIDSGVSKFGKNIQLLSNELEKETGNQEFTIGQGVKMMGESEIVCHKMKYAYAVFLCHSIDETAVYTVPLVGADGTRARALAVCHKDTSAWNPKHLAFHILKVKPGTVPICHFLARETLVWVPN >EOY29748 pep chromosome:Theobroma_cacao_20110822:9:2247466:2248751:1 gene:TCM_037194 transcript:EOY29748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein MTEPQNSETESSSNSFSSPPLSPSSPGSTHSPPNSVPSSTTTLNAKPETTSSDSNKKIKRMRDSSKHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGNSAILNFPELANSLPRPVSLAPRDVQAAAAKAAQMDKFDSPSPFTTSSATLSSSSSLSSLVSQMELSSGSDELSQIVELPSLETSYDSVELKNEFVFVDSVDGWFYTPPWLQSVDDCGYVCDQLGVPESVLQNGFDEGLLWDY >EOY30055 pep chromosome:Theobroma_cacao_20110822:9:3231029:3232602:-1 gene:TCM_037398 transcript:EOY30055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Damaged dna-binding 2, putative isoform 1 MSIALERIETSGFGRVMKCACDTSRLEPTPVKERKEVEEDDWRTASSSTTSSSIGRNSDDASGRSSDGGACEENEVQSSYKGGLDMMDSLEQVLPMRRGISNFYNGKSKSFTSLADASSTSSIKDIAKPENAYTRRRRNLLAINHAWDKNRNKRLIRPISSSKSTLALAVAMSSSESISSTSEDSTSTSSPRLPPLHPQTRTSFNNTPSSPPKSSRNFSNWRSFSLADVREYATNPDCSSIHDRTNS >EOY30056 pep chromosome:Theobroma_cacao_20110822:9:3231171:3232602:-1 gene:TCM_037398 transcript:EOY30056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Damaged dna-binding 2, putative isoform 1 MSIALERIETSGFGRVMKCACDTSRLEPTPVKERKEVEEDDWRTASSSTTSSSIGRNSDDASGRSSDGGACEENEVQSSYKGGLDMMDSLEQVLPMRRGISNFYNGKSKSFTSLADASSTSSIKDIAKPENAYTRRRRNLLAINHAWDKNRNKRLIRPISSSKSTLALAVAMSSSESISSTSEDSTSTSSPRLPPLHPQTRTSFNNTPSSPPKSSRNFSNWRSFSLADVREYATNPDCSSIHDRTNS >EOY34230 pep chromosome:Theobroma_cacao_20110822:9:40410118:40413059:1 gene:TCM_041973 transcript:EOY34230 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase MLLLDYNAHAAAACMNRLAKLSARWIGNHGFSIGIDDVQPGKRLNDEKAVKNSGGHKKCDEEIQMVNEGKLEPKPGCDAAQTLEANVCMKELHWRNSPLIMSQCGSKGSAINISQMIACVGQQSVGGCRAPNGFVDRSRPHFHRGSKTPAVSLFYIALALKT >EOY30507 pep chromosome:Theobroma_cacao_20110822:9:4822832:4824527:1 gene:TCM_037693 transcript:EOY30507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine acetyltransferase 1,1 MPAGDLGYPSPPPSQATETPVEEDDAWVWAQIKAEARRDAESEPALASYLYSTILSHSSLERSLSFHLGNKLCSSTLLSTLLYDLFLNTFSSDPSLRAAAVADLRAARVRDPACVSFSHCLLNYKGFLACQAHRVAHKLWTQSRRPLALALHSRISDVFAVDIHPAAKIGKGILFDHATGVVIGETAVVGNNVSILHHVTLGGTGKACGDRHPKIGDGVLIGAGATILGNVKIGEGAKIGAGSVVLIDVPPRTTAVGNPARLVGGKEKPSRHEECPGESMDHTSFISEWSDYII >EOY29521 pep chromosome:Theobroma_cacao_20110822:9:1441111:1443133:-1 gene:TCM_037032 transcript:EOY29521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKKLKGVAAAVAAMEYSPYATYEDQRTRFKHQSLMQDFEDLHKETEAMRKKLQMMKEQKLTLLAEVRFLKRRHKFLMQNQSSNTPAQKNIVQPQNLVIRSKSNMKEKKSTGKERTMQRLATGFDLNQKGKTYSEKETTFTHPSLMFDLNQKQQKILNGKEVTLLRSSLPVLDLNQRERVYSGKEATARSMTPIFDLNQISREEEELQASDNSMRIEEFKKSSMRIGADEQHNDIKISACRNTGNGPNRVAKRKITWQDPVALRV >EOY32473 pep chromosome:Theobroma_cacao_20110822:9:29982757:30001640:1 gene:TCM_040408 transcript:EOY32473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MIFHFSPISPLLALSSSSLTSLCLRSFLRSSPKSPFSPSLFLKPRLSLACHLSTGGSLRMDSPSPDPPSSLSVESIAEGLKNQSLTEQDNDNINNKIKNKNVKLGLEDLNWDHSFVRELPGDPRSDSIPRQVLHACYTKVLPSAEVENPKLVAWSDSVADLLDLNPKEFERPDFPLKFSGVSPLAGAVPYAQCYGGHQFGTWAGQLGDGRAITLGEILNSKLERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMHFLGIPTTRALCLVTTGKFVTRDMFYDGNPKEEPGAIVCRVAQSFLRFGSFQIHASRGEEDLGIVRDLADYAIRHHFPHIENISKSESLSFSTGDDDHSVVDLTSNKYAAWIVEVAERTASLVARWQGVGFTHGVLNTDNMSILGLTIDYGPFGFLDAFDPSYTPNTTDLPGRRYCFANQPDIGLWNIAQFASTLMAAHLINDKEANYAMERYGTKFMDDYQAIISQKLGLQKYNKQLVNKLLNNLAVDKVDYTNFFRSLSNIKADPGIPEDELLVPLKAVLLDIGRERKEAWVSWVQSYIQELVASGISDEERKASMDSVNPKYVLRNYLCQSAIDAAELGDFREVRRLLKVMERPYDEQPGMEKYARLPPAWAYRPGVCMLSCSS >EOY31909 pep chromosome:Theobroma_cacao_20110822:9:17654115:17658508:1 gene:TCM_039269 transcript:EOY31909 gene_biotype:protein_coding transcript_biotype:protein_coding description:La-related protein 6 isoform 1 MISQNLEPKFSCKEGNMSITPSQQSFLTNAFTFTHKPKTNRLSSATFISCRYQSDDHKDSAWRNEKQLAKLAIVTLAAGVLTLGSVGDASAAKTGGRVGGQAFRSSAPRSAPRANSNSRTNIYINPPVAPPLVGPSVAIGVGGGFETIVFFLFLGAVAAVVRRFLGSRDDEDEY >EOY31908 pep chromosome:Theobroma_cacao_20110822:9:17654012:17658553:1 gene:TCM_039269 transcript:EOY31908 gene_biotype:protein_coding transcript_biotype:protein_coding description:La-related protein 6 isoform 1 MISQNLEPKFSCKEGNMSITPSQQSFLTNAFTFTHKPKTNRLSSATFISCRYQSDDHKDSAWRNEKQLAKLAIVTLAAGVLTLGSVGDASAAKTGGRVGGQAFRSSAPRSAPRANSNSRTNIYINPPVAPPLVGGYGYGFGVPFYGGWGWSPFSFFAPGPSVAIGVGGGFETIVFFLFLGAVAAVVRRFLGSRDDEDEY >EOY30473 pep chromosome:Theobroma_cacao_20110822:9:4726212:4730206:-1 gene:TCM_037672 transcript:EOY30473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome family protein MAYPSMGEAHRRITDYLNNFSDAVSDQDVASLAQLFSFSSNSPSLLSLADALNFFQDANRLIKQSDKFSQFGEILAPLFRLLQSYRLGNLVDAYHAFEKFANAFIQEFRNWESSWALQALYVIAYEIRVLAERADRELASNGKSPEKLKGAGSFLMKVFGVLAGKGPKRVGALYVTCQLFKIYFKLGTVHLCRSVIRSIETARIFDFEEFPRRDKVTYMYYTGRLEVFNENFPAADHKLSYALTHCNPLREANIRMILKYLIPVKLSLGILPNAWLLEKYNLLEYSNVVQALKRGDLRLLRHALQEHEDRFLRSGVYLVLEKLELQVYQRLVKKIYIIQKQKDPSKAHQLKLEVIVKALKWLEMDMDLDEVECIMTILIYKNLVKGYFAHKSKVVVLSKQDPFPKLNGKPVNS >EOY30789 pep chromosome:Theobroma_cacao_20110822:9:5783492:5784137:1 gene:TCM_037875 transcript:EOY30789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSTEKAKFHLKTENPSQEYKQAQLTTGFHLNFNASSQKTASLINHIHCTKRPFQQLDTKVCIHVNKIFVLHTLI >EOY34678 pep chromosome:Theobroma_cacao_20110822:9:41766365:41768681:-1 gene:TCM_042270 transcript:EOY34678 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS3-interacting protein 4 MPEIEHFPENALFGKYELGRLLGCGAFAKVYHARNVRSGQSVAIKVINKKKLSSTNLMSNIKREISIMSRLNHPYIVKLYEVLATKTKIYFVMEFIKGGELFAKVAKGRFSEDLSRKYFQQLISAVGYCHSRGVFHRDLKPENLLVDENGNLKVSDFGLSAVTDQIRPDGLLHTLCGTPAYVAPEILTKKGYDGAKVDVWSCGVILFVLNAGFLPFNDPNLMVMYKKIYKGEFRCPKWMSSDLRRFLSRLLDTNPETRITIHEILKDPWFRKGYKEPKFHEEYDKVDKDEPQVTSLNAFDLISFSSGLDLSGLFDNSHGSVSDGERFISRESPEKLVEKVEEVAKAEKFRVRRKKEWGVELEGQNGSFAIAVVVYRLTDELVVVEAKRTGGDAQFYNDTWNNKIRPALTENQVTGN >EOY32548 pep chromosome:Theobroma_cacao_20110822:9:31123435:31138805:-1 gene:TCM_040529 transcript:EOY32548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSNYSIAAPPVFNGNNYPMWAVKMKAYLKAFDLWEVVEVGGDPPTRQANPTIAQIKQYNEEVAKRFKALSCIHSAVTDAIFVRIMACESAKEAWDKIKEEFHGSDRTRQIQILNLLREFEVLKMKDEETMKDYSDKVLRVVNQLRLFGENITERRVVNKFLVSLPEKFESKISSLEDSKDLTTMSVSELINVLQAQEQRRALRQEDHVEAALAARRVDKRTSSGSHKKSEYEKKDKDKRYEEKKQGKKGQFPPCSYCKKKNHIERYCWYRPHVKCRACNQKGHVEKVCKNKENRVEEKVAIVEQKEDAEETLFMVIESNDSKKDSIWLIDSACSTHITGKIKNFLDLNKAYKSTVEIGDGNLLKIEGRGTIGITTKKGIKTIANVCFAPEVTQNLLSVGQLVKEKNSLLFKDELCTIFDPSGREIATVKMRNKCFPLDLNEAGHMAYKCVSNEARLWHRRLGHINYQFIKNMGSLNLVNDMPVITEVEKTCEVCLQGKQSRHPFPKQSQTRATNRLQLIHTDICGPIGTLSLNGNKYFILFIDDFSRFCWIFFLKQKSEAIQYFMKFKVLVEKQTDQKIKALRSDNGSEYTSNEFKALLTQEGIKQFLTVTYSPQQNGVSERKNRTIMEMIRCLLFEQQMPKYFWAEAANFAVTLQNLIPTTALNSMTPFEVWHGYKPSISNVKVFGCIAYAQVPQQKRTKLDSKTQISINLGYSSVSKGYRLFNVKTKKVFISRDVVFNEDIHWNWMKNEIAENKNDNVAVNLDVFEEEAGHELDDNIDDIPVRGIRSLQDIYEQCNVAITDPCSYIEAASDEQWKLAMEAEMTMIKRNQTWILVDRPKHQRVISVKWIFRTKLNSDGSINKLKARLVVRGFSQVHGVDFFETFAPVARHDTIRLLVALAGREKWRIWHMDVKSAFLNGTISEDIYVEQPEGFVEKGKEDKVCKLIKALYGLKQAPRAWYKKIDAYLRSNKFFCSESEPTLYVKSSLGKIQLFVSVYVDDLLITGPNKSDLNSFRNKMKSEFDMSDLGEMSYFLGLAIQQRKLIGCLLYLSASRPDIMYTTSLLSRFMQSPTKTHLTAAKRVLRYVKGTLNYGLLNGQVENKELEGYSDSDWAGSYDDSKSTSGYCFSFGSAMFSWNSKKQDIVAQSSAEAEYVAAASATNQALWLRKVLLDLKFEQINPTVLWLDNQSAIALAKNPINHSRTKHIRIKFHVIREVVTNNEVVVNYYGTDDQIVDIFTKGLCREKFELLRSKLGMGNVDFKEV >EOY33905 pep chromosome:Theobroma_cacao_20110822:9:39300264:39304848:-1 gene:TCM_041743 transcript:EOY33905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat superfamily protein MPYVKRIVSHLLGLGSIFLHQNPSHSRVLPALMAIRVFLKSKRPILTSILLQNLAKSPITRSPFPVNPRIPHVQSNSHIPISGLKQYHDGRPRGPLWKGKKLIGKEALFVILGLKRFKDDDDKLQKFIKTHVLRLLKMELIAVLTELERQEETSLAVKVFQVIQKQDWYKPDVYLYKDLIIALARFKKMDEAMKLWEYMRKEELFPDSQTYTEIIRGFLRDGSPADAMNIYEDMIKSPDPPEELPFRILLKGLLPHPLLRNKVKKDFEELFPEKHAYDPPEEIFGKY >EOY33966 pep chromosome:Theobroma_cacao_20110822:9:39508776:39511980:-1 gene:TCM_041788 transcript:EOY33966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 1 MNTHGLVRSLTPDPLLFIPHFSARSFLVLQKQANLAIPSFRYCQRGRFGFGFAPRGPRPFAPSLVRYHRKRNGCTLLRASWRESPYEVLGVSPSATPNEIKRAYRKLALKYHPDVNKEANAQEKFMRIKHAYNTLLNSESRRRYNPDRTSDFSYSGPQRGQSTNAQEEEFYGFGNFLRDAQISLEDFFKDLQEEFRNWEASVSSQEKPKSLWEELAAIGEEFVEFLEKELNITDEEVEANNRDDYSNSEKTGSSFQNEAKKGSNIEENIDEIEATLAKLKKELGL >EOY33967 pep chromosome:Theobroma_cacao_20110822:9:39508777:39511991:-1 gene:TCM_041788 transcript:EOY33967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein, putative isoform 1 MNTHGLVRSLTPDPLLFIPHFSARSFLVLQKQANLAIPSFRYCQRGRFGFGFAPRGPRPFAPSLVRYHRKRNGCTLLRASWRESPYEVLGVSPSATPNEIKRAYRKLALKYHPDVNKEANAQEKFMRIKHAYNTLLNSESRRRYNPDRTSDFSYSGPQRGQSTNAQEEEFYGFEDFFKDLQEEFRNWEASVSSQEKPKSLWEELAAIGEEFVEFLEKELNITDEEVEANNRDDYSNSEKTGSSFQNEAKKGSNIEENIDEIEATLAKLKKELGL >EOY31047 pep chromosome:Theobroma_cacao_20110822:9:6772363:6774547:-1 gene:TCM_038068 transcript:EOY31047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein MGASSTATATTPSSTLSPSILKRILLSYTYVAIWIFLSFTVIVYNKYILDRKMYNWPYPISLTLIHMGFCSSIAILLVRIFQVVDLPSSMSPRLYLSSVVPIGALYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFRKDSFKSSTMANMLTISFGVAVAAYGEAKFDTWGVFLQLGAVAFEATRLVLIQILLTSKGINLNPITSLYYVAPCCFLFLLIPWVTVELPSLKENSSFHFDYFIFGTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPVNLFGYGLAFLGVAYYNHSKLQGLREREAQRRAEEADDEESGRLLGQREGDGTTRRNESQG >EOY32081 pep chromosome:Theobroma_cacao_20110822:9:20426789:20427901:1 gene:TCM_039550 transcript:EOY32081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSESFGFWDLVLTFWILGLMVAFGFCTVNQHVRLDMGFASRLFGFVISPTTLDLLSSNHSIFSIFSSFDHSEFPLI >EOY34626 pep chromosome:Theobroma_cacao_20110822:9:41624047:41627079:-1 gene:TCM_042235 transcript:EOY34626 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase family protein, putative MLGRSKLIMQKQLPGSKTVYAVVLRNALFIRYSDRNTRNSLWKVFIGEKRDVHFKSHIPSEHLLEIIMLSRRMWPHSVTYVPSIIHFLSSHQIHQLIISPWKVGMATTNHFILTVILIWMILINTCSPIKPTPQPKFPAIIIFGDSTVDTGNNNYISTLFKANKPPYGKNFPGHIPTGRFSDGLLVPDFVASFLGIKEAVPPFLEPKLSEDELCTGVSFASAGSGYDDLTTKLSNVIPVSKQIQLFKSYIVKLKGIVGEKEVKNITGEALVIVSAGSNDLVFNFFDIPTRRYEFNISGYQHFLLQRLENFVKELYHLGCRKMVVAGLPPIGCLPIQMTAKFENPKDRKCLEDQNSIAQSYNKKLAKLLPQIQAMRPRSKIVYADVYESLIDMINHPRRYGFAETKLGCCGTGLLEASFLCNPTTPICGKPSEYLFWDSVHPTQEAYRYLAKYLEKEVVPQFLDDHGHSFTESDTSEQLLA >EOY31923 pep chromosome:Theobroma_cacao_20110822:9:17901253:17902006:1 gene:TCM_039288 transcript:EOY31923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLVLAVTAMNFEACMPEFLPFARDVEGSLTASLEANASTIICPWQPFRASPMMNMRLYDLFGTMLRKWAMAFSLPAIRATAAFPAGTLECFESMNFAALSD >EOY30459 pep chromosome:Theobroma_cacao_20110822:9:4668457:4669313:1 gene:TCM_037661 transcript:EOY30459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKEEEERKRASEETGDVGFLASKRLRETVTDELADDVKAWLSVEDSETDSVSELLKLLDDSPEDTTSASSSTSSPTLFGMRVRFSDNPYSSALIFQSSSSYITINGNEESCGSSFSESESSVMASVDMGGILNANVKVGSRLEGIRGWVEAEGGGGAWDRSEEEARGWMMDWEWEWDEKQLVRFLGEEEELRKYLF >EOY29418 pep chromosome:Theobroma_cacao_20110822:9:1110475:1118093:-1 gene:TCM_036961 transcript:EOY29418 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 1, putative MRKWTDQGRRRLEGVSAFADSRRDYLLEFEFLKSKRGGFMATEKRSTLNKELLFLILQFCNDEGYTRTAHMLERESGCYFDMEFVEDMVHTGKWDKVEKYLSGFTNVDSNKYSTKIYFEIRKQNFLEALDNNDRAKALDILMKDLKVFAAGNEELFREMTQLLTLDDFREHESLSMYGDVESSRKVLMDEIKKLIEANPNFHGKLKFPSIKSQRLRRLINQGLNWQHIQCKYPQPNPDVNTLFEDHVCQWQQNHLFMQSTENLVPSQDASVPDFPASWISGPSALTHAVSNEDMYLSGPITLATTSNNIGDSHTMSQKSLLGTEDEVTSAIPHLGRNHSPSPNIFDDLSIISMNNDMLQNIVPISHPDLPKTVARILNEVNSPMSMEFHPVYQTFLLVGTNIGDIVLWDVNSGEKLLSRNFMVWNTGACSMIFKTAMMKDPCMSVNRVAWSPTGSLFGVAYSKHIVQLYSYLGDTDVQQKLEIDAHVGGVNDLAFSTPQKQLMVITGGDDKIIKVWDAITGVQMHNLEGHEAPVYSLCPHYKEDIHFIFSTSVDGKIKAWLYDNLRARVHFDAPGLACTTMAYSADSRRLFSCGTNKNGESFLVEWNESEGGAKRTYQGLCKNSSAVVQFGLTKDKFLAAADDHVIKIWDMDKVELLTTIDAEGGLPANPHIRFNKEGTLLAVAANENKIKILAADYGLQLLNALEKDFVDMSSDVSDALRKLVINPSSTVASSGEADGEVPSNCNEESFKDVNNKFMDNINNKTDVWKIVQINRPSQCQSLQLSGHGKADKISRLIYTNAGNAILALASNATHLLWKWPQNDLNLSGKATTNVPPQLWQPRSCSQPMTNDLTGRKLEEAVPCLALSKNNSYLLSASGGTISLFNMLTFKTMMSIMPPSPATTSLAFHPQDNNIVAIGMDDCTILIYNVRLTKIKSRLKGHSGKVTGLAFSKTMNVLVSSGADAQIFTWNPDGWEKCKSKSLQLPDERMPVLESNTQVQFHLDQIHFLVVHETQLSIHEANDLTCVQQWVPEDSTRISQATYSCDSQMVYACFTDGTVAIFGASDLELKCQIIPTAYLPTSARLSVYPFAIAAHPQKSTQFAVGLTDGGVIVLEPPQHGNTWYVGENGSAIANSMLEE >EOY31759 pep chromosome:Theobroma_cacao_20110822:9:13760725:13784261:-1 gene:TCM_038888 transcript:EOY31759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILLCFLEFLSHWGLVLLMSNVKLKITYGGHGVDDTYKSVRYTHKVSANVVCTIMICASNQKLRSCQQMQSSLENALGPLPFAKDIVTVVSDDDASDQMDDDYVEDDIADWNDDDYVSGMMIVQRRIGVTIMTF >EOY31832 pep chromosome:Theobroma_cacao_20110822:9:16054676:16056170:1 gene:TCM_039110 transcript:EOY31832 gene_biotype:protein_coding transcript_biotype:protein_coding description:NBS resistance-like protein MAEVAVSIVIALFDEKSELLRVLHKEVEDIKVELEFIASFLKEADARASKADHTDSVLKTWVKYVREAAFQIEDIVDEYMLHLEEHRDQRGCMASFQRIARLIKNLKKRHGIASRIQDMKRLVLELGRKRERYGFNLLEQGERSRSGAENNTMRDPRSYKPKEFLKSMIKQFFEARKEPPLTGIEAMEESMLISISRAFLKEKRYLMVFDDVWKEIFWLEVEYASMDNNKGSRIMITTRNVQAAKFCKKSSLAHVHELKSLSPRSAQQLLCKIASQFDQEKQCSLGLESVFRHYQKV >EOY31306 pep chromosome:Theobroma_cacao_20110822:9:8069470:8072205:1 gene:TCM_038264 transcript:EOY31306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVEWATDLRRNVLGWEDEQWEAFMCLLLNQELDQEPEHLAHWLGRLVMRKELARRSIIRQEATYCLLCKTGNETLNHLVFLCLETWKLWH >EOY29512 pep chromosome:Theobroma_cacao_20110822:9:1416095:1418739:1 gene:TCM_037025 transcript:EOY29512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl CoA shikimate/quinate hydroxycinnamoyltransferase, putative MQMGSVKKLDNVLVLVCSPPIRKTLTKCPVNTVALYHITRRNCDENGRLEITCNEEGVLFMEAETASVMDDLIQDFGDSSEVSQLVPIVDYPGGISSYPLLLLQVYRGDSFLLLILSMNIGCVTQFKCGGVCLGVGFQHTLGDGLCALHFINSWADTTRGLTPSITPHNDRTLLQARVPPTPTFHHVEYDPPPSLNNSASTSEYQSHLKPSAVSIFKLTVDQLNTLKAKPNENGDSTKYSTYKILPAHLWRCASKVRGLSDDQETKLSIPVDGRSRLHPPLPPGYFGNAILLATPIVLAGDLQSEPLIDTVKRIHELISNTDFGWGRPIYMRPAKISQEGKICILPSPTGDGSLFLATCLEASHIELFEKLLYEF >EOY30482 pep chromosome:Theobroma_cacao_20110822:9:4744962:4746326:-1 gene:TCM_037679 transcript:EOY30482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine deaminase MDGPRFVIDSAEAEQMAKQSGQTVLQLLPSLVKSAQSLARPPISNYHVGAVGLGSSGRIFFGVNLEFPGLPLNHSVHAEQFLITNLSLNAEPLLKYLAVSAAPCGHCRQFLQELRGAPDVKLLITSSDDEKENKTNNNYNDKDQEFTPLSHFLPHRFGPDDLLEKDVPLLLEPHRNGLSFYSDLCNGKINGEDDLKYAALDAANASHAPYSRCPSGVALVDVEGKIYKGSYMESAAYNPSLPPAQAAIVAYVASGGGGGYERIVGAVLVEKADAVIKQEHTARLLLQCISPKCEFKVFHCKKTC >EOY30204 pep chromosome:Theobroma_cacao_20110822:9:3761814:3762635:1 gene:TCM_037487 transcript:EOY30204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein, putative MVRPGRGRDNGVNNNSSARYKGVRMRKWGKWVAEVRQPNSRRRIWLGSYKTAEEAARAYDAAVFCLRGSSGKLNFPDNPPDIADASELTPAQIQEAAFRHARKDITEEAEVKEEMAAGGNSNGECYFGGGSVGDRSGGTYYHSSGFWTF >EOY31466 pep chromosome:Theobroma_cacao_20110822:9:8967963:8970283:-1 gene:TCM_038399 transcript:EOY31466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVPILWNFFMQRFIPAYVQARPIMGMTPLDPISIPNLDDPREQEKVKSCSSKTHQKEMIESAIKQWKLARNEAMNLKKEWASKKKDGETQVISLEQSQYELHNPYHPYPSYPYYPTVNNTSQGLYPYPLMSNDLHNPFPYSLVSQTPYFAST >EOY34406 pep chromosome:Theobroma_cacao_20110822:9:40947795:40960479:1 gene:TCM_042087 transcript:EOY34406 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHE ammonia lyase 1 MDVSHGDENSGGVSLEKLCQNDPLNWVSAAESWKGSHLDEVKRMVNEYRKPVVKLVGETLTVAQVAAVAARVDGVMVELSESARAGVKASSDWVMDSMNKGTDTYGVTTGFGATSRRGTKQGAALQKELIRFLNAGVFGSGTESCHTLPHTAARAAMLVRINTLLQGYSGIRFEILEAITKLLNHNITPSLPLRGSISASGDIVPFSYIAGFLMGRPNSKAVGPKGEALGANEAFHLAGINGGFFELQPKEGLALVNGTGVGSGLASIVLFEANILTVLSEVLSAIFAEVMQGKPEFTDHMTHKLKHHPGQIEAAAIMQHILDGSAFVKAAQKLHEIDPLQKPKKDRYALSTSPQWLGPQVEVIQSSTKSIEREINSVNDNPLIDVSRDKALHCGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMAAYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVDILKLMSSTYMIALCQAIDLRHLEENLKNAVKNAMSQVARKVLTMGVNGELQPSRFCEKDLLKVVDREHVFAYVDDPCSATYPLMQKLRQVLVEHALTNSDDLSNANASIFLKIGAFEEELKTVLPEEVETARTAFESGNPAIPNKIKECRSYPLYKFVREGLGTEFLTGEKVRSPGEECDKVFVGMCEGKLIDPLLECLKDWDGNPLPIC >EOY31092 pep chromosome:Theobroma_cacao_20110822:9:6959501:6961876:1 gene:TCM_038099 transcript:EOY31092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTTTQQEMIGGKKDDDKKRISGDSSKGLAMEGPRKKVFRVSKGANLMRKFGSGGKEVSPAIEPTKSLNSRLKPTPQLALKNRAGCGRQRWFWCASWANAKLSNEYGSILETFIHPAAGSFPKKRAKVRVRVKWEASRQSQMNFNVDGATKGCPRLAGIEGLLRNAAGEVKISFLK >EOY33658 pep chromosome:Theobroma_cacao_20110822:9:38423544:38424329:1 gene:TCM_041563 transcript:EOY33658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSLSSHVQDWYELLPCNQSSTFEFDLQKSEEKRILQEKEKERKVTLDKRMAPKRRANVVVRSTKKIVQETVQVAVVQQPEGDRGEQEPMKTVPVEDIAYDEERIITEISVGRVKQRQSAKRTAHSSNSSGSARQKQSAKRTGARASSY >EOY34300 pep chromosome:Theobroma_cacao_20110822:9:40626264:40629276:1 gene:TCM_042020 transcript:EOY34300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESQVKICYQMSHDTLRGRLPDSHCHFLSTLSRKWEKWFCHLHNERFPLWSTAKAFFHGGLLTWVMLLSFHNPKVFFGPNGEIYTSN >EOY32285 pep chromosome:Theobroma_cacao_20110822:9:26045929:26049231:1 gene:TCM_040014 transcript:EOY32285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha-5 MREIISIHIGQAGIQVGNSCWELYCLEHEIHPDGMMPSDTSVGVAHDAFNTFFSETGSGKHVPRAIFVDLEPTVIDEVRTGPYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAEKAFHEQLSVPEITNAVFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVSTIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVEDEEEGEDY >EOY30814 pep chromosome:Theobroma_cacao_20110822:9:5894558:5902642:1 gene:TCM_037894 transcript:EOY30814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein, putative MLDGILGRGFTSKCKSLIKVIKSRIDVIRRKKSATLKFLKKDIADLLANGLDINAYGRVEGYIAELTLSCCYDFVEKCCDFVSKHVSVMQKLSDCPEDCREAVASLMFAAARFSDLPELRDLRQIFHERYGNSLELFVNKQLVENSASNPSKMEKKVKVMHDIASEFSINWNSEAFERRMSKPTVIPQDQPKNDGSFNVNGDKHKSNDGKSADPQGDKPKVSAGKLEFWNGKDDVALKTARLSSSSYVKRMERVEGEVKLKDSRENRVPRIDNQDVLPQGKPDLDPSYAAPQLKSHDKDLFAANNYAGQYNVQNSTRKAHEEDEPKWKPYFNSGIPPPYVKPNIKPKHLKHGASEGSSHAGFDSNGVSADPSTHNRSYAVNRTDKYQEGLYYPDKEGQIIPPTKVISHDCKKDYYYNHDGSNTPIPRQRSSRRRHLKSPSGHNGVDNVEDTEFARRKPRSRRRDDSRRGLQILFDDEQHRNDEEERIIDKLLMHYSKKPSSCDEGKVRRKSKSRHAHHMGSDVGEVPQKASRDRSDDMSKTISHPARSISLPHEQTAQSEGTKVYARAISFQQDSSNAARHVHPKLPDYDDLAAHFAAMKGR >EOY32041 pep chromosome:Theobroma_cacao_20110822:9:19336286:19342449:1 gene:TCM_039451 transcript:EOY32041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKWFRPWEDVGESLELTTNPFVYLNLAKDLERKGGNEVDLPGSLQKLGMHSSIMFGASMNGNTHEWHTNESSNVRPLAMLKPPSNMELSITHIFHYMKPTVHPRASL >EOY30537 pep chromosome:Theobroma_cacao_20110822:9:4902877:4905181:1 gene:TCM_037712 transcript:EOY30537 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein, putative MEDLPQPLVVEILNRLTDSTDLARCRLASKTLNSLSHEVRSVNLLCNLSRYLKSRSPETKAHVTPFKAIFNNLVRESRCLNSVSIGVDKSLREISYDDVDDESDDLYLSDVGFVKEWLPKICGDLRKLSISDFWIQSCWRKSDVLSLISSCCNNLMELELKNAWLSVDGLNPMTRLTNLTLEFIRLEDEDLSKINDCFPSLRVLNLVGVGGLKDPKIHLLHLKACLWTVSNAPLSLTISAPNLVKLRLKCVKPKSLVLDTPLLSDFHLSVEEVYDFRVKEFCNLENFLLESSSLCSLLGMFTSGKSIKKLTVDSLKWNEALETRKFGLEALFDVFPNVISLNLGPGAWSEAEICFRKGGLKDRNAMKELTEIVAHLVVYDIEVTLSFIYSILDKCTNLSDMALLLHPKEDSRAASSLISRCTTYGPRVRWRWGMWKEGSKDTWVFVGI >EOY29215 pep chromosome:Theobroma_cacao_20110822:9:378462:381706:1 gene:TCM_036818 transcript:EOY29215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQPQQGSRIDLGELKAQIVKKIGAERSKRYFSNLSRFLSQKLSKSEFDKSCYRILGRENLPLHNQLIRSILKNACQAKTPPPFHEAGPAKSLIQTVKSFPGREDGHEQTGSLVPNQNPNMVSWSNGVLPVSSPRKVRSGIRDRKLRDRPSPLGPNGKVEIVSLQSMGTEDNGNKLGIENGGLTPYDYQRPVQHLQAVAEQLEIEREGLVRSTEKPRVPTKDQTEGAIVEDGEEVEQANHINLSRSPLLAPLGIPFCSASVGGTRKAIPLVSSGDFISYYDSGGLYDTETLKKRMEQIAAAQGLGGVSVESASMLNNMLDVYLKKLIRSCVDLAGSRSTHQLRKHSAHKQQPQGKLVNGMWPSNHLHMQSSSGPAEVMQEQGQRCSISLLDFKVAMELNPQQLGEDWPLLLEKTCMHSMEE >EOY29363 pep chromosome:Theobroma_cacao_20110822:9:897320:904559:-1 gene:TCM_036923 transcript:EOY29363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein lytic proteinase B4 MASRRLTRSAFSAIKAAKASAPCLSRARAIADSASTLCTSLTSPFQPPNFDRVAENNGGFFSLTRSFHSSTPRYNSATSPAQINQSEYTDMAWEGLVGAVEAARDSKQQMVESEHLMKALLEQKDGLARRIFTKAGLDNTSVLQATDDFISKQPKVMDTSNPVMGSHLSSLLDNSRKHKKEMGDNFVSVEHFVLAFMSDKRFGQQLYKNLQLSEQALKDAIKAVRGNQRVTDQNPEGKYEALDKYGNDLTELARRGKLDPVIGRDDEIRRCIQILSRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLLNRKLISLDMGSLLAGAKFRGDFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGATSGAMDAGNLLKPMLGRGELRCIGATTLNEYRKYIEKDPALERRFQQVFCGQPSVEDTISILRGLRERYELHHGVKISDSALVSAAVLADRYITERFLPDKAIDLVDEAAAKLKMEITSKPTELDEIDRAVLKLEMEKLSLKNDTDKASKERLSKLESDLSSLKQKQKELTEQWDHEKALMTRIRSIKEEIDRVNQEMEAAEREYDLNRAAELKYGTLMSLQRQLEEAEKNLAEFQKSGKSLLREEVTDLDIAEIVSKWTGIPLSNLQQSERDKLVLLEKELHKRVVGQDIAVKSVADAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAGFLFNTENALVRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTEVVRRRPYSVVLFDEIEKAHHDVFNILLQLLDDGRITDSQGRTVSFTNCVVIMTSNIGSHYILETLQSTHGGKDAVYDVMKKQVVELARQTFRPEFMNRIDEYIVFQPLDSKEISKIAEIQMRRLKERLRHKKIDLHYTKEAVDLLGTLGFDPNFGARPVKRVIQQLVENEVAMGVLRGDFKEEDSIIIDANTSPSAKDLPPQDRLCIKKLESNSPIDVMVAND >EOY29143 pep chromosome:Theobroma_cacao_20110822:9:214054:215966:1 gene:TCM_036777 transcript:EOY29143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MANCMTIPPSMLNLVRTIVMPMPAAAGAVGSGTRRFFKPLYCAPHQQLQPEVILTLCFPPSILFFVLKVDGGIMCEPCNGKGWLLCDFCKGQKTNVKADNKRIYRRCPSCRAVRIPEFLISSPLNTFFLTYQFMILIVWLPFRLRYTPCFLPNYKLLWIHPKQPDDINNKNPSFEIIMQIGYLLCSKCKVFKCVTFPNSSDGEELTF >EOY33472 pep chromosome:Theobroma_cacao_20110822:9:37696905:37698085:-1 gene:TCM_041446 transcript:EOY33472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger A20 and AN1 domain-containing stress-associated protein 3, putative MAEEYRSQAPQLCANNCGFFGIPATQNLCSKCYRDLQLKKQQSSSAKQAINQTLVPLSSPSSSLPSSSSISSSPFSGSLPMKEVETDEEVKVEEIQIQVRPNRCLACKKRVGLTGFTCRCGMVFCGTHRHPEQHDCTFDFKEMGKEQIAKANPVVKGEKLQKI >EOY30121 pep chromosome:Theobroma_cacao_20110822:9:3465741:3469243:1 gene:TCM_037441 transcript:EOY30121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin methylesterase 3 MSISVARFVASGSKKKLFLALFASVLLVTAIVSIATTLSVSKRKSSNNNSNNAAHSIIKSSCSSTLYPELCLSTISAAPDAETKIKSPKDVIVTSMNLTITAVQSNYLSIKKLISTNKNLPKREKTALNDCLELEDETLDELYKAEQDLSDYPTFNKSISQHADELKTLLSAAMTNQETCLDGFSHDSADKKVRQALIDGQMHVFHMCSNALAMIKNLTDTDMASQGYPSGRQLEEQDETEWPEWLSAGDRRLLQAPTVTPDVTVAADGSGDFRTVSEAVAAAPERSTRRYIIKIKAGVYKENVDVPRGKTNLMFVGDGRVNTIITASRNVVDGSTTFNSATVAAVGDGFLARDITFQNTAGPSKHQAVALRVGSDLSAFYRCDMLAYQDTLYVHSLRQFYVQCLVAGTVDFIFGNAAAVFQNCDIHARRPNPGQRNMVTAQGRDDPNQNTGIVIQKCRIGATSDLLAVKGSFASYLGRPWKQYSRTVIMQSNISDIIHPAGWFEWNGPFALDTLTYREHQNTGAGAGTSNRVTWKGYKVIASVTEAQGYTAGNFIAGGSWLAATGFPFSLGL >EOY32116 pep chromosome:Theobroma_cacao_20110822:9:21263512:21356316:1 gene:TCM_039622 transcript:EOY32116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein MITGKDIYDVLAAIVPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMNYHFIAADTLQKVVILVALFLWQAFTKHGSLEWMITLFSLSTLPNTLVMGIPLLKAMYGDFSGTLMVQIVVLQSVIWYTLMLFLFEYRGAKLLINEQFPETAGSITSFRVESDVVSLNGRERLQADAEIGDDGKLHVVVRRSSASSMVSSFNKSHGLNSLTSMTPRASNLTGVEIYSVQSSREPTPRASSFNQTDFYAMFASKAPSPKHGCTNSFQGAVGDVYSLQSSKGATPRTSNFDEEMMKIAKRRGGRSMSGELFNGGVVSSYPPPNPIFSGSTSGGSKKKESGGAGAMPNKELHMFVWSSSASPVSEGNLRHAVNRAASTEFGAVDSSKGALQHENAASRAMHELIEHMTPTAKVSGDKDLEIEEGSKFPTSGSPFSCQKKANMEEGDGSRKQQMPPASVMTRLILIMVWRKLIRNPNTYSSLFGLTWSLISFRWNIQMPTIVSGSISILSDAGLGMAMFSLGLFMALQPKIIACGKSVATFSMAVRFLTGPAVIAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITILYYVLLGL >EOY34639 pep chromosome:Theobroma_cacao_20110822:9:41655287:41657831:-1 gene:TCM_042243 transcript:EOY34639 gene_biotype:protein_coding transcript_biotype:protein_coding description:U2 small nuclear ribonucleoprotein B MLTADIPPNQTIYIKNLNEKISKEELKRSLYALFSQYGRILDVVALKTPKLRGQAWVVFNELTAASNAFRQMQNFPFYDKPMRIQYAKTKSDCVAKDDGSYVPREKKKKQEEKAERKRRAEEAQQSAMSNGMGSQYGGPKAPFHHGNLNAQEQAVPNNILFIQNLPHETTSMMLQLLFEQYPGFREVRMIEAKPGIAFVEYEDEEQSSTAMQHLQGFKIAPQYPMAITFAKK >EOY32138 pep chromosome:Theobroma_cacao_20110822:9:22011100:22018218:-1 gene:TCM_039684 transcript:EOY32138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGMANTRPLLKYYLFCDRGNKFEILASTLASDSTHIEKVASFDPFYANITMTASHPGKAVMFEFSTIAKGLEEADVNGMMKPIPDMFHKLWLKATRMESEGHEESMSPPKP >EOY30361 pep chromosome:Theobroma_cacao_20110822:9:4334849:4336744:-1 gene:TCM_037598 transcript:EOY30361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MEDKIVWRKTASGKYTVKSFCKEVIPVTNNNKGAWKQIWSNLAPFRIEVFCWQLLQEKVAIKQELVRRGLMTSDSAVCVLCHKEYETGEAQSLENAFYAIAWSVWLHKNEMVFKGVVWDAKKVYELSKLRVATWAKAKWPQNYGMVLHTYQNPMLGKISTRLPWRGGDLGSVERYDGQVQAIFSKTIGVGDASLAEVRTIREAFLVFAASKWSQTHKLIIESDSKNAVKWINGPAGPPWRLRKWTMHVESLKRNIKGGSQPCLQRKESIRR >EOY31543 pep chromosome:Theobroma_cacao_20110822:9:9511448:9514042:-1 gene:TCM_038470 transcript:EOY31543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant U-box 22-like protein MTITEQFEIPTFDHERETGKEMPKNEDTDNKKMGTSENKEAELEEKIRKDQTKQGQEKEDGKGEKELEQREKVEKELQREKEHSIGGKSGASPLRKFKEYQSLTLSEIFSRRMGLTRQNNKQRCIKKFSQLHKRQNKLQEKQIQARVRRSKSKQGMDEIDVLLFFIYLVSLEIMKDLVTVSTEITYDRESIEKWLFSGKNTTCPVTKQVIVDCDLTPNHTLKRLIQSWCMLNASHGIERIPTPKPPISKAQITKLLNDALLFCHMFDL >EOY30118 pep chromosome:Theobroma_cacao_20110822:9:3444026:3456293:-1 gene:TCM_037438 transcript:EOY30118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-ketoglutarate reductase/saccharopine dehydrogenase bifunctional enzyme MLGNGVVGILSESVNKWERRVPLTPSHCARLLHSGREKTGIARIIVQPSTKRIHHDSLYEDVGCEISDDLSECGLILGIKQPKLDMILPDRAYAFFSHTHKAQKENMPLLYKILAERASLYDYELIVGGHGKRLLAFGKYAGRAGIIDFLCGLGQRYLSLGYSTPFLSLGSSYMYPSLAAAKAAVISVGEEIASQGLPSGICPLVFVFTGSGNVSLGAQEIFKLLPHSFVEPSRLPELFGKGRNLNSPVRTSKRVFQVYGCVVTSRDMVEHKDPSKTFDKADYYAHPEHYNPVFHEKIAPYATAVVNCMYWEKRFPRLLSTQQVQDLMRKGCPLVGISDITCDIGGSIEFVNQTTSIDLPFFRYDPLTDSYHHDIEGNGIICSAVDILPTEFAKEASQHFGDILSQFVGGLASTTDITKLPAHLKRACIAHRGALTSLYEYIPRMRNSDTEDISYNLANGQSNKKYSVLVSLSGHLFDQFLINEALDIIEAAGGSFHLVKCQVGQSTSAMSYSELEVGADDRDVLDQIIDSLTSIANPSENHGIVSQEMNKIFLKVGKLQETGVKKEFDTKKRTSVLILGAGRVCQPAAELLASIGSSSSRQWYKACLETDFEEQHDVHVIVASLYLKDAEEIIQGIPNATAVELDVTDHRTLCEYISQVEVVVSLLPSSCHVVVANVCIELKKHLVTASYVDNSMSMLDEKAKSAGITILGEMGLDPGIDHMMAMKMINQAHVRKGKIKSFTSYCGGLPSPAAANNPLAYKFSWNPAGAIRAGRNPATYKSQDETVHVNGDDLYDSAVRFRIPELPAFALECLPNRNSLTYGEMYGIGHEASTIFRGTLRYEGFSEIMGTLVRIGLFDAEAHPLLEHGSRPTFRAFLCELLEINTEAMGEALVGEKDITERIVKLGHCKERRTAIQAAKTIMFLGLHEQTEIPVSCQSAFAVTCHRMEEKLAYSSTEQDMVLLHHKVEVDYPASQQTEHHTATLLEFGKAKNGKMISAMALTVGVPVAIGALLLLVNKTTTRGVLRPIDPEVYVPALDILQAYGIKLTEKTE >EOY30768 pep chromosome:Theobroma_cacao_20110822:9:5733201:5735340:-1 gene:TCM_037865 transcript:EOY30768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic type i signal peptidase 1, putative MHVVSYSFRKPCANDIVIFESPPVLQEVGYTDEDVFIKRIVAKEGDIVEVHNGKLIVNGVVRDEDFINEAPSYEMTPIQVPENSVFVMGDNPELMILHLYLFADFLRYVLFRGPPPCQEYHRKISIPLLASKEDRRHST >EOY30480 pep chromosome:Theobroma_cacao_20110822:9:4741248:4743126:1 gene:TCM_037677 transcript:EOY30480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAIRKALQMVAACRWCSTDEVIIESDLENAVKWAKDPPAAAWRIRGILMQMELYKTKLKACNFSAGSSLLLRSDWLSFALSLLALATISLQIKLENDNIWNYSSRVATIIKLHFPCKGTDPIRPWQVTTYGTIPAESPPSSNFIFRAREQIRSGLGR >EOY30300 pep chromosome:Theobroma_cacao_20110822:9:4143092:4145575:-1 gene:TCM_037559 transcript:EOY30300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein MGRKPCCAKVGLNRGAWSAREDKILTNYIKIHGEGKWRDLPQRAGLKRCGKSCRLRWLNYLRPDIKRGNISSEEEELIVRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTNLSKRVQSNKNVTPKGTQTSNMQLNKLRKLGCIKSSQMEITTAEHKVIRTKAVKCTKAVNVNVPHLSDCQTAENNSVPKSESTTASESPSSSAINEDNSMDFLIDFDINELLVSDAPISDLHQTQQVDENGENVVDNWECRNGFNLNIDDVELYSSVDELARPDNWRASTDLFQTSETLDLLIVLKRKEAETARTPKIHEEYRKASALSNENGEDEIELFLCTSCVLGGVCSLSAWLLL >EOY33826 pep chromosome:Theobroma_cacao_20110822:9:39106677:39111171:-1 gene:TCM_041688 transcript:EOY33826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 MLMRILIILFRPFIKQILYLSFLFRSFYCLTVTQPIHFLLFAPKSLLPISHFQFPMADLKFSFAETFLCSAFAACFAEFCTIPLDTAKVRLQLQKKAVGDEVNLPKYNGLLGTMATIAKEEGISALWKGIIAGLHRQCIYGGLRIGLYEPVKTFLVGNDYVGDIPLYQKILAALLTGAIAIAVANPTDLVKVRLQAEGKFPVGVARRYYGTLDAYYTIVRQEGLGALWTGLGPNIARNAIVNAAELASYDQVKQTVLKIPGFSDNVLTHLLAGLGAGFFAVCIGSPIDVVKSRMMGDSGYKNTLDCFIKTLKNEGFLAFYKGFLPNFSRLGSWNVVMFLTLEQAKKAFRGELYYD >EOY29545 pep chromosome:Theobroma_cacao_20110822:9:1519639:1520677:-1 gene:TCM_037052 transcript:EOY29545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFYFLIYGIHINKTPWLKEIYNMEMDLPTSSCTRCWVLHFPSLGGHSHSLTIRIASHCLVCGDLKKNGIP >EOY29417 pep chromosome:Theobroma_cacao_20110822:9:1107560:1110759:1 gene:TCM_036960 transcript:EOY29417 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: UPF0103/Mediator of ErbB2-driven cell motility (Memo), related (InterPro:IPR002737); Has 1074 Blast hits to 1072 proteins in 474 species: Archae - 213; Bacteria - 366; Metazoa - 159; Fungi - 135; Plants - 54; Viruses - 0; /.../ Eukaryotes - 147 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G25280) TAIR;Acc:AT2G25280] MDKIRRASHAGSWYTDNPRKLAEELDGWIRAAGLAKSSDVRGVIAPHAGYSYSGRAAAYAFGNIDPMNISRVFLLGPSHHYYTTKCELSIATVYKTPIGDLPIDLEVIEELKATGKFELMDLRVDEAEHSMEMHLPYLSKVFEGHPVKIVPILVGALSAENETMYGKLLAKYVDDPNNFFSVSSDFCHWGSRFNYMHYEKKYGAIHKSIEALDKMGMDIIETGDADAFKQYLLEYDNTICGRHPISVFLHMLKHSSTKIKLKFLRYEQSSQCKTMRDSSVSYASAAAKVEA >EOY30889 pep chromosome:Theobroma_cacao_20110822:9:6137546:6142587:1 gene:TCM_037939 transcript:EOY30889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVGGGNSRRDEPLVINSTNVFAALGSLKKKKKKGSEKEHQGSSSKTRGKKGGEKEAEKKEVFWAPSPLKTKSWADVDDEDDDDYYASMAPPGSAWDTHKEPEPALEESESEEEGLDEVDDDVEEEHENEAKAQVEEQPVMKKPPEAAMVIKETERQLSKKELKKKGLEELDAVLAELGYTKQETSSPDDSHAIAQGEKSESNGEMEKKENAPAESKSAKKKKKKDKLSKEVKESSQGQPEGIDAGNHTEETGETEKPEGTSAVDVKERLKKVVSTKKKKSSKEMDAAARAAASEAAARTARLAAAKKKVKSHYNQQPVR >EOY30169 pep chromosome:Theobroma_cacao_20110822:9:3646251:3647883:1 gene:TCM_037467 transcript:EOY30169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYGIVALKLDETESELLSDDSIPSGGLLLLVGLGCRVYEIALSRISGALENGSDLKAKKWACISSKTATLKALLISSLEMANPFIWEDVGSDSGFTFLYCKITRAGNSTTYLGRPWKLRPRVIFTFTYMGTIINSEGWSTEGHPERNHNQ >EOY29288 pep chromosome:Theobroma_cacao_20110822:9:650981:653740:-1 gene:TCM_036875 transcript:EOY29288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein 85 MEKGKGVMGSGRRWAVDFSDNSTAPSSRDIPDPPGFSRASMDQDDSAVSRQKKDAEANWKAQKAWEVAQAPFKNLLMMGFMMWMAGSTVHLFSIGITFSALWQPISALQGVGKVFEPYKDNKVDLLGPKLLFIALNLGGLALGVWKLNTLGLLPTHASDWVSSLPPAQEVEYSGGVIPL >EOY33878 pep chromosome:Theobroma_cacao_20110822:9:39229092:39235526:-1 gene:TCM_041719 transcript:EOY33878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, putative MEVWSLWLFVVSFLVVSVTTWISWWRNPSCNGRLPPGSMGLPLIGETLNFLVTCKSIDIHPFIKERMERYGSLFKTSLAGRPVVVSLDPDFNYFVLQQEEKLVELYYMDSFANLVHKGNANEGGYVHKYLRRVILSHFGPEALKEKLLWQAENVINHGLHEWTKQTEVAVKGQSAAMILGFTSKILMSYELEKSKQNLSEYLCSFLQGLMTFPLYIPGTAFYKCIKTQRKVEKILSELLEERRKSYSQGCNNGDFLGQIVEDMGKEAFLTKEFAVSLMFGLLLASVETISSTATLAIKYLLDNPSALQQLTEEHEEILKKREDTSLGLSWEEYKSMTFTHYVINETLRIGSVAPGILRRVIADIHINGYTIPKGWNLLVVPAALQLNPNTYKDPLTFNPSRWKNMGSISTAKNFIPFGGGNRTCAGAEFSKVLMAVLLHVWVTKYSIKASMENGVLTVTVPKLEVKKPDDVKAIEISG >EOY31664 pep chromosome:Theobroma_cacao_20110822:9:11144337:11149300:1 gene:TCM_038659 transcript:EOY31664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMALAMCDVWVHTMALAVRDVGVHTMVLAVRNVGVHTMTLVMCGVGVHMSWVRRWWHTWMALTLALDFTAAKMDSCCNEKLLGG >EOY29544 pep chromosome:Theobroma_cacao_20110822:9:1516134:1519802:-1 gene:TCM_037051 transcript:EOY29544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endomembrane protein 70 protein family MAGHRFHVPWIFSLFALFVLRAHCFYLPGVAPEDFKKGDPLLVKVNKLTSTKTQLPYSYYSLPYCRPENIVDSAENLGEVLRGDRIENSPYLFKMREPQMCNIVCRKILDKKTAKAFKEKIDDEYRVNMILDNLPLVVPIRRPDQENAVVYQHGFHVGLRGQYAGSKEEKHFINNHLTFTVKFHKDPLADSARIVGFEVKPFSVKHEYEGEWNEKTRLTTCDPHAKRAVTSSESPQEVEETKEIIFTYDVEFQESEIKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRSPANSDLLCVYVGTGVQFFGMILVTMIFALLGFLSPSNRGGLMTAMLLLWVFMGLFAGYSSARLYKMFKGTEWKKITLKTAFMFPATVFVIFFVLNALIWGQKSSGAVPFGTMFALVLLWFGISVPLVFVGSYFGFKKPTIEDPVKTNKIPRQIPEQAWYMNPAFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFIVFVILIVTCAEITVVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYAAFYFFTKLEITKPVSGVLYFGYMLIVSYAFFVLTGTIGFYACFWFTRLIYSSVKID >EOY30274 pep chromosome:Theobroma_cacao_20110822:9:4034613:4039247:-1 gene:TCM_037542 transcript:EOY30274 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein rhp7, putative MPVLRSREIPAVPPNPRKTRAHVDPPATPTQGREHPATFSSSPSLTPSPKTPSPGSSSAPILRRSLRLASKASSNGDKVGVSEEEKRIERRDVDVLKKRKLSLVSDGGVESVGSEGFLSLRLGKRVTKMTSLADGGGDEEVGSEEKGKGVLRKENEDIEKLERNSEKENANCRRRFSAEGKGKGKLVVETILESKAKSSVDGSVSGVNLSAEKVRLPDEKRTKKNKKRGYGGRTEHFRDVARQNASRYAHFDAQEEDDNIFSVEAEREISPENEQPEETGVEDWPGPFSTAMKIIRDRAEKLNLQRGRSSSGNVQSVQIMWVPQKGKGKDRSKRLPPSLLDMCFRILVNNADAIASLDHVPDALRHKLCQMLCDSRRMNSNFLDLLVSGSPSEIRLRDCSWLTEEQFTRCFDGCDTTKLTVLQLDQCGCCIPDYILLSTLAQSSNSLPALINLSLTGAFRLSDAGLNALVSSAPALRSINLSQSSLLTASAFDTLANSLASVLLELYINDCQSIDAKLILPALKKLEHLEVLSVAGLESVTDCFIKEFIIARGHGIKELILTGCRKLSDSSLKIIAETCPNLRALDVGNLSKLTDSTLGYLANGCQSLQLLKFCRNAFSDDAIAAFLETSGEVLKELSLNNVGKVGHNTALSLARRSKNLLSLDLSWCRNLTDEAVGLIVDSCLSLRVLKLFGCTQITNVFLDGHSNSKVEIIGLKFSPLLEHIKVPDSQEGPLRYSPVCQL >EOY33389 pep chromosome:Theobroma_cacao_20110822:9:37252472:37253832:-1 gene:TCM_041362 transcript:EOY33389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein, putative MIRSNNDSPSSWSWHQDKLFERALIMFPEDSPDRWEKIAAQLPGKSAMEVRKHYADLEHDVMEIESGRVQMPSYEGELESASWVNESGGSQGWVGWKKDRESERRKGVPWTEEEHRLFLIGLQKYGKGDWRSISRNAVVSRTPTQVASHAQKYFLRLNSITKKDKKRSSIHDITMADDITNQNGVGTMGDHSSIELMDQSKFFNEQGRSFWE >EOY32904 pep chromosome:Theobroma_cacao_20110822:9:34361024:34361888:1 gene:TCM_040928 transcript:EOY32904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 IFSLLLLSLHLFQPHCSLPPFLAPPIATTSLLSTFPEREQALKS >EOY29287 pep chromosome:Theobroma_cacao_20110822:9:649647:650343:-1 gene:TCM_036874 transcript:EOY29287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-associated progesterone binding protein 2 MDLTPQQLSQFNGTDPSKPIYLAVKGVIYDVTAGKSFYGPGGAYALFAGKDASRALGKMSKNEEDVCPNIDGLSDKEIDVLNDWVKKFEAKYPVVGRVVVF >EOY29254 pep chromosome:Theobroma_cacao_20110822:9:508312:515161:1 gene:TCM_036845 transcript:EOY29254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase cytokinin receptor isoform 1 MVCEMETDQIEDMDVEVLSSMWPEDISNDPGKQFNVEKPGGDQDMLEEVTIVEEPTIVDFKHLLELTNYTDKGSSQLAYLVKHWEYKQANAVRLLREELDNLSRQRQESELKKLEILEEHRFEEERYGGDKRPISILDEVYDIWLEVPRRKNDVVVPSKRVEIDAEYDTVIYWKQRALHFEKLLEASIQREQLLKEKLQESIKNLERQSSPVEELSQILKRADNFLHFVLQNAPVVIGHQDKELRYRFIYNHFPSLQEEDILGKTDVEIFTGSGVKESQEFKKEVLEKGLPAKREITFETELFGSKTFLIYVEPVFSKAGETIGINYMGMDVTDQVRKREKMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILSTTKLDREQRQLLDVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLQKILTLEGHVTDDVPIEVIGDVLRIRQILTNLISNAIKFTHEGKVGIKLYVVPEPPFAKEGSQHVSDGSTANQSTTNVAKEETCISTSQASSDQKGFHGKKREGPCQNHSLSDKPGTPVMNGTIDGDEEQEELPETTVWIRCDVYDTGIGIPENALPTLFKKYMQVSADHARKYGGTGLGLAICKQLVELMGGRLTVSSRVHCGSTFTFILPYKVSLACDHSDDLDDLSDMPEHDAATDDATAGFFQFQPRTLGSLFSSNGSSRTQKLMPHNIGYANSHKLNGFADNSYSFPTNNGPTKELAPVEDACSVAEAVGTSSEPEGSFSHSPDPDNESAICRDRHHQNDANAQNKVSTTELSSCSEASREVDAKMKISEPQLSPKQEKSDTGSQCTSNSSREVSNLTSKPKILLVEDNKINVMVTQSMMKQIGHTIDVVNNGIEAVHAVQRCSYDLVLMDVCMPVMDGLQATRLIRSFEETGNWDAAEKAGIEQPLPSSDSLQYLPGCTPPTKRIPIIAMTANALSESADECYANGMDSFVSKPVTFQKLKECLEQYLP >EOY29253 pep chromosome:Theobroma_cacao_20110822:9:508014:515131:1 gene:TCM_036845 transcript:EOY29253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase cytokinin receptor isoform 1 MVCEMETDQIEDMDVEVLSSMWPEDISNDPGKQFNVEKPGGDQDMLEEVTIVEEPTIVDFKHLLELTNYTDKGSSQLAYLVKHWEYKQANAVRLLREELDNLSRQRQESELKKLEILEEHRFEEERYGGDKRPISILDEVYDIWLEVPRRKNDVVVPSKRVEIDAEYDTVIYWKQRALHFEKLLEASIQREQLLKEKLQESIKNLERQSSPVEELSQILKRADNFLHFVLQNAPVVIGHQDKELRYRFIYNHFPSLQEEDILGKTDVEIFTGSGVKESQEFKKEVLEKGLPAKREITFETELFGSKTFLIYVEPVFSKAGETIGINYMGMDVTDQVRKREKMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILSTTKLDREQRQLLDVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLQKILTLEGHVTDDVPIEVIGDVLRIRQILTNLISNAIKFTHEGKVGIKLYVVPEPPFAKEGSQHVSDGSTANQSTTNVAKEETCISTSQASSDQKGFHGKKREGPCQNHSLSDKPGTPVMNGTIDGDEEQEELPETTVWIRCDVYDTGIGIPENALPTLFKKYMQVSADHARKYGGTGLGLAICKQLVELMGGRLTVSSRVHCGSTFTFILPYKVSLACDHSDDLDDLSDMPEHDAATDDATAGFFQFQPRTLGSLFSSNGSSRTQKLMPHNIGDRHHQNDANAQNKVSTTELSSCSEASREVDAKMKISEPQLSPKQEKSDTGSQCTSNSSREVSNLTSKPKILLVEDNKINVMVTQSMMKQIGHTIDVVNNGIEAVHAVQRCSYDLVLMDVCMPVMDGLQATRLIRSFEETGNWDAAEKAGIEQPLPSSDSLQYLPGCTPPTKRIPIIAMTANALSESADECYANGMDSFVSKPVTFQKLKECLEQYLP >EOY34032 pep chromosome:Theobroma_cacao_20110822:9:39745761:39746598:-1 gene:TCM_041834 transcript:EOY34032 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL5F, putative MSMPPTSSNPGDNGEAAFLNIVAILYMIAAFPIMLFIGYFLRICYVKIFGVQPPLDIEMGRPSYLSQAAMQGQQPRQQQQTPKRVPAGTVATYKNINQETEANCTADECAMCLEEFIDRDLCKVLSKCKHMYHQRCIDRWLLDHSHCPLCRGSVHGSGLTPQNTGTA >EOY33291 pep chromosome:Theobroma_cacao_20110822:9:36360937:36363148:-1 gene:TCM_041236 transcript:EOY33291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAFSASCYSASLYTLDFWLSVSSHCFAKREFFASGKESGDAPCQSKRLTVKEALVNRGIISANTATCLLCRLNMELSWWIKTKWPEINTSISNLAKLVNEGTIANPSNSKMNKKVEVWSGPVARSLKFNIDGSVRGYPGDSSIGYILRDEFGSVFIRFLKSIGISNSNRVELLAVKEATIILVASKWCSNHTLNLECDNSNVVKWISNLVNVHL >EOY32277 pep chromosome:Theobroma_cacao_20110822:9:25651469:25662483:-1 gene:TCM_039977 transcript:EOY32277 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 13 MGGVILMLFLCFSAGAFISEVRGEDPYLFFTWNVTYGTISPLGVPQQAILINGQFPGPNINSTTNNNIVINVFNNLDEPFLLTWSGIQHRKNSWQDGVLGTNCPIPPGKNYTYHFQVKDQIGSYIYYPSTAMHRAAGGFGGLRVNSRLLIPVPYSDPEADYTVLIGDWYTKSHTMLKKFLDSGRSLGRPDGVLINGKVAKGNGKDKPLFTMKPGKTYKYRICNVGLKNSLNFRIQGHRMKLVEMEGSHTVQNVYESLDVHVGQCFAVLVTADQGPKDYFMVASTRFTKNVLTATGIIRYTNGKGAAASPKLPPAPVGWAWSLNQFRTFRWNLTASAARPNPQGSYHYGAINITRTIKLVNTASRAGGKLRYGINGVSHINPPTPLKLAEYYNVANKVFKYDVIGDEPPAKITGVTVQPNVLNMTFRNFVEIILENHEKSIQSYHLDGYSFFAVAIEPGRWTPEKRRNYNLLDAVSRHTIQVFPKSWAAILLTFDNAGMWNIRSEIWERTYLGQQLYASVASPVRSLRDEYNIPDNAPLCGVVTNLPKPPPYTI >EOY32137 pep chromosome:Theobroma_cacao_20110822:9:22010453:22025148:1 gene:TCM_039683 transcript:EOY32137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQRKKKKEREGCNIGQSAHFKERNILFFYFLSKTRTLKLPSMSFELDNIKSELEIQAKSKLVFWLEKKLHSSARALSPLHGSLSLQTSILGPGNLQPRKFETYLELKELLMVKVDNLAAGGKARHQDSA >EOY30733 pep chromosome:Theobroma_cacao_20110822:9:5615893:5619492:1 gene:TCM_037845 transcript:EOY30733 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase EXL3, putative MDCITSAVEKLKGFVKSSSRSNPIEILKRLQRESFSDLMKLRDRQDKVERLLSFYRTSKGNPFQESSTHLRGEVDLLGAVLLMSNVDEEHWDGVGRAGIRTGVGSRFRFETTVRDKDSVGVEFVANQKRDTSTDGDVYGTPLSLSKLFYKANAGDWFSAIAIPFGAQFRDFDVASDISLQEEKGLTDLSFGPPLLHQHNGGAIGMTVRKSNIIASLAQSVSGMRYEHCCSTFVQVVCQLPIGLKFSFLGLHRGPKLASRNVRLGAFAMPIGFSRHLEDADTIIEASSPPFTTNILETGSIALKLESELDEYKRLAGWIEMKQTNSKHLQWAVNLTDFSEDVFGWGMSLGGIVEVPGNWDKFQIESYIKFNLGERFNLKPGVAYVVDGNSRTLALMLQSNWSL >EOY31784 pep chromosome:Theobroma_cacao_20110822:9:13989141:13990545:-1 gene:TCM_038912 transcript:EOY31784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase family protein MGSTSSFKFSLLMSLVIGCLMAASASNFYQDFDITWGDGRGKIDNNGEVLSLSLDKASGSGFQSKDEYLFGKIDTQLKLVPGNSAGTVTAYYLSSKGSTWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFHLWFDPTADFHTYSILWNPQRIIFSVDGTPIREFKNMESLGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWTQAPFTASYRNFNANACVWSNGASSCKSNSPSSASTNNAWFSQELDSSSQQRLQWVQKNYMIYNYCTDAKRFPQGLPPECNMS >EOY33392 pep chromosome:Theobroma_cacao_20110822:9:37272818:37277131:1 gene:TCM_041366 transcript:EOY33392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MESSWLSSSYDGIYQVTQHMASTQQSEGDCLSKDHFSSLPDRVHLDLKQNDFTDLVNIWDKWGATTRANFDRKYGHIARLLKVQVDEQLLKAIVQFWDPSYRCFVFNKVDMVPTIEEYSALLQIDLDNPDKIYWRGQKTGHRRKLAKMMGITSAEVDQNLRKKGDNECIPWSFLRSYIMKQRDTEQGQLVMALAIYGLVIFPKVLGHIEVGIIDFFEQIVNKANPSPSILAETLRSLNYCRRKGEGRFVGCAQLLSIWIVSHFECKVDKFKKPFHPQTAPIREFCESEWPENRTKEQWISRFRELMSVEVTWRAPWMPHHPVLYKCGNEPWVPLMGPWGAIKFAYGEPGFLKRIEEITQAWKKTSRVDQGRYTDEVTTGYQIWHDQRVKDVVYPKEDALRGPVDPEPRDALLESELARKKSEAENASWKQRYEDLQKECEKMKREVSEQRKKVRKMEGKYESLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTCRHASLYREVQPDGLLGLANEGGGLQGEKHGLEDGHIKKPDLSSWKTRATVDQVFR >EOY33682 pep chromosome:Theobroma_cacao_20110822:9:38575120:38576762:-1 gene:TCM_041587 transcript:EOY33682 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-type zinc finger family protein, putative MQAQEEFVGSNDQALIMKGKRTKRQRSASPFGVAVTSSSSSASGGGNSGGPGAAAGGGGGGVVEEYNSISSPTTSSEIYESTEEEEDMANCLIMLAQSDGPKRDMEEKVKIEKFGTRKFSEIATTTNKAGFYIYECKTCNRSFPSFQALGGHRASHKKPKGATAEEKKPLALAVKGDVEEGQFNRESPVLALQVSNNNKACHGNKGKIHECSICGSEFTSGQALGGHMRRHRAAAAAAAAAAAAASNPAGMTMSADTTSTTIDSSNGDDIKPRNILSLDLNLPAPEDDLRDSKFQFGAVPQQAIVFSTPALVDCHY >EOY34410 pep chromosome:Theobroma_cacao_20110822:9:40985441:40986689:1 gene:TCM_042091 transcript:EOY34410 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S15a-5 MEVELQGRVNDCRALTYRQDIREKDIEKYTILKLPTHQKVLGRGNANVPAIGGYVVISTPDGILDHEEAISRNVGGQVFGYFH >EOY29906 pep chromosome:Theobroma_cacao_20110822:9:2760784:2765088:1 gene:TCM_037290 transcript:EOY29906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phytoceramidase (aPHC) MVWMVNQQVTRGRRCRICGIALLCWLFFMMVTRKIPLSYRHHLFADMRNFLGVPNTLNVITNFPFLIVGVLGFVLSLGGGSFFNISLKGEVWGWLLFYGGIASVAFGSAYYHLKPDDNRAMWDTLPMMIAYSSLFSSFILERLGERIGLSCLFSLLVLAVLSTSYARTFNDLRLCMTFQLIPCIALPIMTFLFPPKYTHSGYWLWTSGVCILAKIEALADMKIYRANNYIISGHSLEHLCSAIAPVLFTVMLMHRSSRFQRLGEIKECP >EOY34261 pep chromosome:Theobroma_cacao_20110822:9:40498056:40503706:1 gene:TCM_041995 transcript:EOY34261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLKERKESSNMFWQIQLSLHHQFEFKSLNSPKPYSIRNCLDRREFDLVLAILLILKIEAMELSGPPLDPYFKLERIISRCKGLGIKLLAIK >EOY33394 pep chromosome:Theobroma_cacao_20110822:9:37289816:37290453:-1 gene:TCM_041371 transcript:EOY33394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic region, putative MDSAMDAWNVLKQNYAQPDDTRVCNLQFTLGNVTQGTQSVDTYFVELKGIWEEFRNYRPLPSCQYENCNPECFKKYTDQYKKDMVFRFLNGLNDSFSAVRSQIILMDPIPTLDKVYSLMLREEAQRNILFQTQPMLELSAMLAAANTKKKKTGRT >EOY29080 pep chromosome:Theobroma_cacao_20110822:9:48726:55210:1 gene:TCM_036746 transcript:EOY29080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase protein with adenine nucleotide alpha hydrolases-like domain MWTPRSYGERREGSNGLVAVAIDKDKNSQNALKWAIDHLLQKGHTVVLIHVKVKPFSLSTSPLPTPRTELFLPFRCFCTRKDIHCKDVVLEETDVAKALIEYVTQAAIEVLVVGASTKTGFLRFKAADIPGMVSKGAPDFCSVYVISKGKISSMRSSSRPAPAISPLRNHLLNQSSLKPTPPESHILPANSLRVEKPRLEPPRKSSDSMESFRSPFTRRGLNGKSYPNLHIPDTDISFVTSGRPSIDRMFPPFYDNQETIRTAPRLSNVSDIESNISFESMQFGRKSVDISSPPNISSASQDSDKLSNSSASMDDVEAEMRRLKLELKQTMEMYSSACKEALTAKQKARELQLWKLEEERRLDEARLAEEAALAIAEKEKAKSKAAIEAAEAAQRIAELEAQKRVNAEMKALKESEEKRKALDALAHSDSRYRKYTIEEIEAATEFFSEVLKIGEGGYGPVYKGRLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEFMSNGSLEDRLFRRGNTPPLSWQLRFRIAAEIGTGLLFLHQTKPEPIVHRDLKPANILLDRNFVSKISDVGLARLVPPSVTDNVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGIMLLQIITAKPPMGLTHHVERAIEKGNFEQMLDPVIPDWPVEEAMGFANIALKCAELRRKDRPDLGKVVLPELNRLRALAEDTMHPTLQTGSPGHSPNYSQVSLQLEHTSCPNFAQSDDGSRSPSNEN >EOY30578 pep chromosome:Theobroma_cacao_20110822:9:5053297:5058176:1 gene:TCM_037738 transcript:EOY30578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MECAKFYSNCPAFVCHRDVFLYSVVGFDGELKTVSQKSVDVFIPSDFYNLRFLNPNTNGYYTSLASTSQIQNSVAIRNSKQKLGYNTVESSSYIQNVEQDCRNSQRRKIVSFEIDHRDDDNSFEQELSLASDASQMLPILNDEDHISAFDQQTAHIGTSFVGDNSTSTHFDSNFD >EOY33822 pep chromosome:Theobroma_cacao_20110822:9:39095321:39097601:-1 gene:TCM_041686 transcript:EOY33822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 59 MECGRRPNRSDVHLPVEEEAKLEEKTREYFDEKAPKRHTKPQRSEYASKYVDALAVGDDDVSEIPEYVEFQRLENDPQKIVFNGNEVKEEFVETEYYEDLNCIDKQHHTTGTGFIKVENANGGSFSLEPYLDTSCHASCKGNPATNDWTPAASDEVYIASDKPNRSDN >EOY30052 pep chromosome:Theobroma_cacao_20110822:9:3205469:3206205:1 gene:TCM_037395 transcript:EOY30052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGLDYHYLIYMYLCLKKIKRRAGHLHLVETPFFYKSDFGSSHGISDAEADFTFTLQCKMSVPVLDLPNYHRITHAKGQELSLMSHLEFFMAPDSRQKPSRADMNDAG >EOY30813 pep chromosome:Theobroma_cacao_20110822:9:5888992:5893204:-1 gene:TCM_037893 transcript:EOY30813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PBS1, putative MAKEGNFLLILISCLFLGTPSCSSEEILFKLMQGQQLRNWEHLLSAKGIFKLGFFSPTSSTNQYLGIWYSKLPHDPEAVWVANPENPILDKSGVLSLDGDGKLKITHSQGQVILFDFSQGSSHNLTATLLDTGNFVLERVNSDGTGGQILWQSFDYPTNNLLPGMKLGMNLKNGYNWTLSSWLSSQVPIPGAFKLGMDPSGAGQLVVWRREDVYWTSGMWKGGDFQMAPELTRRVDLYQFRFISNSEEQYFSFSAINSSTLSRWELNAWGQILQLTLAADRTTWQQTTIGSCKIDVTYPNAVCLEQKLSNCRNGSELFVPTRGYYNSSELSYSNNNLNLALSDCHDSCWNDCSCIAYGSLYPDGTGCQFWRKQPSFVQNEKFGVVYSLKLGSSKEQTNEKGGMGKSFKERWWLWCILAIALGLVVLLLGYFCYRRRRKLKSLIGTEKTDTSQHKELLGIKSEFSPIYNLNRSENKSQAFKLFSFSQIVDATDNFSFANKLGEGGFGPVYKGTLLDGQPIAVKRLARNSGQGLEEFMNEITLIAELQHMNLVKLLGCCIQEEEKMLVYEYLSNKSLDTFLFDPTKGKLLDWKKRIAIVEGVAQGLLYLHKYSRLRVIHRDLKASNILLDNDMNPKISDFGMARIFGHNESRANTNRVVGTYGYMSPEYAMNGIFSVKSDVFSFGVLLLEIVSGKKNTVFHSSSTPAGLIHHAWDLWKRGDVLELKDGSLESCPKNELLSCIQVALLCVQASAADRPTMSDVISSLKNDVIFLQEPKEPAYATESEANSPFPDLKSGSHSVNNVSISIMQAR >EOY31566 pep chromosome:Theobroma_cacao_20110822:9:9639252:9641546:-1 gene:TCM_038491 transcript:EOY31566 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-glucuronate 4-epimerase 1 MKMPSLEDELFPSTPGKFKIDRAHNMNRQFHRCFASTSTMFLWALFLIALTASYLSFQSFVDSGSRYLTASWGGIQWEKQVRSSSQIHRSGGMSVLVTGAAGFVGTHVSLALKKRGDGVVGLDNFNNYYDPSLKKARKSLLISHGILVIEGDLNDAKLLAKLFDVVAFTHVMHLAAQAGVRYAMENPNSYVHSNIAGLVTLLEVCKSANPQPAIVWASSSSVYGLNEKVPFSEEDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITIYRGKNRADLARDFTYIDDIVKGCLGSLDTSGKSTGSGGKKRGPAPYRIFNLGNTSPVKVPELVNILERHLKVKAKRNIVDMPGNGDVPFTHANISSAQREFGYKPTTDLQTGLKKFVRWYLSYYGYNNRKGVQ >EOY34618 pep chromosome:Theobroma_cacao_20110822:9:41596421:41601458:-1 gene:TCM_042229 transcript:EOY34618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase 3 MECHKLSAKPCSSYLFFVILLIFASLASFADAAVHYHDFVIEAKPVKRLCRTHSTITVNGQFPGPTLEVRDGDTLVIKAINRARYNITLHWHGIRQLRNPWADGPEYVTQCPIQPGRSYTYQFTIQNQEGTLWWHAHSRWLRATVYGALIIYPKLGSPYPFPMPKKEIPILLGEWWDRDPMDVLRLALFTGAAPNVSDAFTINGQPGDLYRCSSKETAIFPVEAGETILLRIINSAMNQELFFGVANHKLTVVAVDAAYTKPFTTNVIMIAPGQTTNVLLTADQPSARYYMAAHAYNTANAPFDNTTTTAILEYKSAPCSAKKGQPVKPIFPQLPGFNDTATATAFTAQIKSPSQVKVPIEIDESLFFTVGLGLINCSNPNSPRCQGPNGTRFAASINNVSFVLPRRNSLMQAYYQGQPGIFTADFPPVPPIPFDYTGNVSRGLWQPVKGTKLFKLKFGSTVQIVFQDTSIVTVEDHPMHLHGYQFYVVGSGFGNFDPRNDPAKFNLIDPPQRNTIGTPPGGWVAIRFVADNPGIWLLHCHIDTHLPWGLATAFLVENGVGKLQTVQPPPLDLPPC >EOY33252 pep chromosome:Theobroma_cacao_20110822:9:36143212:36146769:-1 gene:TCM_041206 transcript:EOY33252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQIFSERWRELWNQWELRSLVLLSLSLQIILIFFGNRRKYIARNWIRFILWLAYLSADYVATVSLGILASNQGDLDDGSLDNAIMSFWAPFLLLHLGGPDTITAYSLEDNELWLRHLLGLVTQVGVAFYVFIRSLKPMKLNFVAIPMFIAGTVKYGERTWVLRSASSQHFRESLLQRPDPGPNYAKFMEDYNLKEREGFELSCTAKQAFTVVPRTNSFQGGSLIPDGAILIAAYDFFNTCKRLFADLILSFHDIEKSHSYFQRCHWDEAFKVIEVELGFVYDLLYTKASIVYSNWGVFLRSVSLSSTIIALATFSMIDRQGFKTVDVSITYLLLIGAIFLEIYAILVLLSSEWTMLWLSKQEKFPVSQTYEAISTFKFITSMNRWSNYMEQYSLIGSCFRGEPDDLHGVQRRGWIHKHVHGNITSESVSPCLKEFVFEELVEKSKVASNFSISRQLCACRGEQVLGEKNCLDKLGWSVEVEFDHSILLWHIATSLCYCYDQKRNLSSVLDSRCKVSKLISEYLLFILVKRPSMMPNGIGQIRFQDTICEAIEFIQERKFISNASLACEKLLQVDTRIEPAIVKGDRSKSVLFDACRLAKELHSLEQERKWHTKEKWELVSHVWLEMLSYAASQCRWNQHAQQLRRGGELLTHVWLLMAHLGLTKQFHISQGHVRAKIVRQ >EOY31952 pep chromosome:Theobroma_cacao_20110822:9:18133330:18153824:1 gene:TCM_039319 transcript:EOY31952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQVNDFSIYSFMHFVYLYILFEFFHFILFMHVYLLSFTLHNDFINEIKTQSLKPPVIVSTIKFMSNW >EOY31138 pep chromosome:Theobroma_cacao_20110822:9:7190013:7191779:1 gene:TCM_038131 transcript:EOY31138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 82, putative MENKEMKPQPKRGLWKPEEDLILKNYIETHGEGNWATVSKRSGLMRGGKSCRLRWKNYLRPNIKRGGMSKEEEDLIIRMHKLLGNRWSLIAGRLPGRTDNEVKNYWNTHLNKKSVLGKRKTIDSNNQHSDNELDKETLQQVQDSKKPSQFVHNSQPVFGISPEKTKTGRLDLDEKKEMQEVKEESSITDSTWMERAAQCFNYEYAYDIVETPLMMHPNYSNFVFDEEPFTSYLDSFVLFEAFGTDSDQFGI >EOY31042 pep chromosome:Theobroma_cacao_20110822:9:6747483:6751336:1 gene:TCM_038063 transcript:EOY31042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein MWRSSVRGWLIGFVVPILVNFKDCRFLLKFALLRSRNFHFFPPLPNSPYKSPQILHIPSSHLSPRFLLTVSQTKHSCLLSTFLPMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >EOY32281 pep chromosome:Theobroma_cacao_20110822:9:25809662:25813963:-1 gene:TCM_039990 transcript:EOY32281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein MAEEKKAQAAGVWPKVKPFVNGGASGMLATCVIQPIDMIKVRIQLGQGSAATVTKNMLREEGVGAFYKGLSAGLLRQATYTTARLGSFKMLTNKAIEANDGKPLPLYQKALCGLTAGAIGACVGSPADLALIRMQADATLPAAQRRNYSNAFHALYRIVADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFFKDSLGLGEAATVLGASTVSGFFASACSLPFDYVKTQIQKMQPDAEGKYPYSGSLDCTMKTFKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKAQKSIGL >EOY32309 pep chromosome:Theobroma_cacao_20110822:9:26352068:26356272:-1 gene:TCM_040048 transcript:EOY32309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKIWEADFMEANPCLADFMLKGIDCVVCIDAGKSGHGSQELDASFFSETQDWNKYVAPMLSQCKIGVEEEETCSSLRRFLIHISSAVVLAIALYSASVEDLATDLCLDDFQDTGLLPRYIM >EOY31393 pep chromosome:Theobroma_cacao_20110822:9:8492352:8494445:-1 gene:TCM_038327 transcript:EOY31393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MHAAKHRVMMSGDKERYTFGAFAVPVAVKGSIIKAPKGLEDEDHPQVFRDFYYMAFLKFSEEAMHLDSAKFQLSTYRPRVHRIRSEELKGGNVCAGGYERLVRITVVSRWCMKGYQGNSGRRRFPW >EOY33275 pep chromosome:Theobroma_cacao_20110822:9:36204578:36208352:1 gene:TCM_041218 transcript:EOY33275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKTFKWSLDFHPKRESPIVPVWVSFPNFKTHSFKKSATMAIAKAIGNPLYIDKATANDTRPNIARFKAIQVDDQGESYGDDDGVINVDPKSSLNDSTNVTKQPKED >EOY31823 pep chromosome:Theobroma_cacao_20110822:9:15844469:15847895:1 gene:TCM_039092 transcript:EOY31823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVTKIQSFLGLTSYYRKFVQGFSLIVAPLTPLTRKGVKFEWDDVCESRFQKLKNRLTFALVLTLPINGKEFVVYSDASKFRLGCVLMQDEKVIAYATRQLKKHEMNYPTHDLELATIVFGLKIWRHYLYELQKSDDELKREVQKLQDGETSEFKLGDDGILMFGDRVCVPKDDQLRRAILEEAHYFAYALHPGSTNLYKTIKKSYWWPGMKRDSGKDAICVIVDRLTKSAHFLAIHSTYSIEKLAKLYIDEDALGTNLRFSTAFHPQTDGQSERTIQTLEDMLRACVIDFTGSWDKHLPLVEFVYNNSFQSSIGVAAYKALYGRKCRTPLYWDEVGERKLINVELIDLTNDKIKVIQERLKTTQDSVIRFARQGKLNLRYIGPFRIIEKIGPVAYRLELPPKLDRIHNVFHVLMLKKYVPDPSHILETPPIEL >EOY31584 pep chromosome:Theobroma_cacao_20110822:9:9944012:9945707:-1 gene:TCM_038526 transcript:EOY31584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKFLRTELLAIKEAFTLFVASKWVTSHGFMVESDLANAILWVNYPDKAHWRMVNILNLIGILKRKVVRSSGKGGVMRAAELLVVHN >EOY31711 pep chromosome:Theobroma_cacao_20110822:9:12964113:12968770:-1 gene:TCM_038802 transcript:EOY31711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MLTDKGHGRHDRGVSLARVETPTGGHVEDQALEVEIGHPVEEEMRTTETRAARDKSKRAKFKSHRDSKDVTRGMVGLLDSRVQGKIISPLCCALLIIKGIKVSAEKSLRKARGARGKGLVTPFKVDHMDLELKLSPNYANVDSHLKKLRIRDGDIPKTTFHMSFLGHIVSKNGMMVDLKKNEAMEKWPRLTSVTEIWSFLGLARYYKRFVKKSMGSLSHILVERRSLVQGMHELSDIGIRFEVDDTKALILMEGKDKCSLEALVDYLDKDLECKVSDNNDLGWEILKKAYVATNVVLPGTTKMYHDLIEWEGLKRNVVEFVAKCLVFQQVKVEHQKPTRFL >EOY31983 pep chromosome:Theobroma_cacao_20110822:9:18404204:18413565:-1 gene:TCM_039350 transcript:EOY31983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCAKAKWPKDYGDIIDTYRNPTLRTTLITNKVDRKVEGSNRQASGVMKFNVNDAIRGSSKEAGIEGVMRDENGTDLTSLMIESNSSNAIKWVNQPSKGSLRLNKWILLIEILKLDITG >EOY29739 pep chromosome:Theobroma_cacao_20110822:9:2212274:2214953:-1 gene:TCM_037186 transcript:EOY29739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid cleavage dioxygenase 1-like protein MWMEGEGMLHALYFSKGIDGSWTVVYNNRHVETETFKLEKKRNKPTFLPIVEGDSLAVLSAYLPNLLRFGKPYKNTSNTNVFEHSGKFYSAVESYLPQEIDIFTLEALGNWDVNGAWNRPFTAHPKRAPGTGELVTMGIQGTKPFVEVGVISADGNKLVHRVDLKLDRCPLCHEIGVTKRYNVFMDCPLTIDIARLIHGGQLVKYEREGYARIGIMPRYGDADSIQWFEAWGNTGASPNSTLKSKTLGFLCGKQEEGLIKVEYHMFEENTYCTGAAFVPKEGGAEEDDGWIITFLHHEDTNISQAYIIDTENFSSEAVAKTTLPCRVPDGFHGAFMPIQLQKRITKMTCEYRTIPSYISRH >EOY31164 pep chromosome:Theobroma_cacao_20110822:9:7327888:7336103:1 gene:TCM_038149 transcript:EOY31164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomere-associated protein RIF1, putative MSNVTDQTEEIKTLISSNSKTNKSFGYSTLLHFQEQSSDSPPSIQALAQCSRCLIPLIVADIHDEDEEIAAQALKCLGFMIYHSSLVATIPAEDAKLVLESVAKLISVTKMKSLCNLGVWCISIQQFDVAVLAACFNTLLRAVVHALDNPIGSLSTTFEAMQAVTKLTAQLSEMMRESSHLWAPLIYRRFLCCDKRERDMSERCFLKIRSTIFPPPINLSKAIIQDMKQKLLTGMKDQLDKGMKVQTVQAWGWFICFLGSDAFKNRHLVNEMLKVPEQTFQDHNPQVQIASLVAWEGLIDALVHPPILACKKNVTVQNGIQCLQTSPGKSSEMQLNGFSKCLKLIMTPLIVIILSKCDVSVHVSCLNTWCYLLHKLDSSINSPLVNKLVLDPIFEAIFKIGPGSKSIWLWNLCLDLLDDCISVNCADLNSNLKDQVNLSLSARTFIPVPCTSGRYSWKQYPIKWLPWELSQLDFYLKLIAIIITHVAMVTAAPESRKSACDASVRIFRSVLKGVHMEFRNPSNNYDNIMFCLSTILKFIKKIGEDASSEGGGFSDLFNTSVHFIEVVAEELEPSIVGSPLYKVALDIKYIGSLDSVDIKHAKILDQHSIAYMDMVSPMVYLTVLYFSLVVQLTINTPEMELILQRFQSFSKCGLSSYDPLESFVASVGLLYRHMGLNYIEIWMAIAKGLNDYIDGMKDLSVFKTDSDNSFYEAICHLLSYPFILFSCCQKDLNPLKSSDSLKECFDLSERKLEQAIEVWKSLYGSVIVADFKSSATNTFSGDLCAMLNRCFDEYGSLFEHKSELGLYYKDLELACLSFSGKVVVCILKQKLTSDTSSYGSGKECVGDCNISSDINNILKFASRVMKYINIGTEPLAGLVSSRVCSALACFISCLHLKRDILSVFEIISGQLLQWLSHQEIQDEHAEDQLGILWAEILNCLRRSQPPLTFDSCFLKLQACLLEKTLGHPNVLISDPTIIFWNSTYGKQINLEYPQNLLHVLDKLSRNGRINLHNKSKSVLERWSMSENNTAPRSCKVTATQNRSSKRVELEHTIAQSNQKHKPPSCSKRKRLELTEHQKEVRQAQQGRERDCSGHGPGIRTYTGLDFSQGNEDSQESQDIRNSEAFLEILRKVA >EOY33404 pep chromosome:Theobroma_cacao_20110822:9:37339654:37341589:1 gene:TCM_041381 transcript:EOY33404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MATGFKHLGLGLLVLLLSLHLHLLPALAHNSLVADLNQEKNVRETIVPKNLSLSVKLGDKHEIKAVERRGGAVAAHGGGGDPANGAGDAAGDNGRETKSPDTQSRGGAINHNRYHHHGSSSGTFNCIGSSCLVLTLLTTFFLEFSI >EOY29709 pep chromosome:Theobroma_cacao_20110822:9:2123677:2126661:-1 gene:TCM_037165 transcript:EOY29709 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-arabinono-1,4-lactone oxidase family protein MYSFRWLLGVLHLVVWGSTLQNIRAIPPRDPVQCNGTVCTLYNSYGAWGDRKDCHFKTVAYPTTEEELRLAVAYANKNKFKAKVVSKFSHTIPKLACPSSRSDDSLLISTAKYDSNIEIESANFAVTADAGVALRELIDKVEEAGLSLVAAPYWEGVSVAGLISTGAHGSSWWGKGGAVHDHVIGLSLIVPASESEGYAKVIQIGAQDQLLNAAKVSLGVLGVISKVKFSLEPGFKRIITFNYTDDSLIESEFIEHGKKYEFGDITWYPSKHTAAYRYDSRVSMDASGDGINDFLGFQDNEILASESVRAAEKAFESAKNVNGKCTLAAITLGYKKLIANGLKNNGLIFTGYPVVGRQGKMQASGSCLYSPITRIDSSCAWDPRYKGLFFYESTAIFSVSKFGEFIRDVKRLRDLRPEKFCGVDLYNGFLIRYIKASKAYLGQSEDSIVVDFNYYRADDASTPRLNQDVIEEVEQIAFLKYGARPHWAKNRNLAFLNVQTKYPNYNKFLAAKKQLDPQNMFSSEWSDQILFGKEAVKSDGCALEGLCICSEDRHCSPSKGYFCKPGLVYTEARVCRYPPSSTLI >EOY32453 pep chromosome:Theobroma_cacao_20110822:9:29874433:29878401:-1 gene:TCM_040396 transcript:EOY32453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MFSYILDSFLTFLSYHSFISSLLIRELLLSSLSLMSIPFRSSLLPNKLSMASFDSSNEIELKANEEVISSRQVEAVNGSENTDGKKLNTKSSLIKKQPSGGWTYASLLLVNQGLATLAFFGVGVNLVLFLTRVLEQDNADAANNVSKWTGTVYLFSLIGAFLSDSYWGRYLTCAVFQLVLVLGLGLLSIASWLFLINPAGCGDGVKVCMPSSSVGIAIFYLSIYLIAFGYGGHQPTIATFGADQFDDSHPKAVESKAAFFCYFYFALNTGSLFSNTILVYYEDSGKWTLGFLVSLGSAILALLLYMLGTPRYRYLKAYGNPLPRVGQVFIAAYRKWDVVPADANALYEVEGTESAIKGSRKILHSDDFRFLDKAATVTQNDLWGPNNPWRLCTVTQVEEAKCVLKLLPIWLCTIIYSVIFTQMASLFVEQGDVMTAKFGNFHLPAASMSAFDICSVLICTGIYRHILVPLAGKLSGNPKGLSELQRMGIGLIIGMLAMIAAGVTEIQRLKFVTPGEKRSSLNIFWQIPQYVLVGSSEVFMYIGQLEFFNGQAPDGIKSFGSSLCMASISLGNYVSSLLVNMVMGITARGDSPGWIPADLNAGHMDRFYFLIAGLTAIDFVIYVFCAKWYKCINLDASEKGIQLEEQHNDVFGKV >EOY32177 pep chromosome:Theobroma_cacao_20110822:9:22725094:22727518:-1 gene:TCM_039765 transcript:EOY32177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSSRRNPPGVPLNGRKLERDEDLLLFRELHKRDKDRIASLLQPVSAEFEPNGGNYALYRIASGKKASGYEFFPENNKNDYDWLKTPPATPLFPSLEMEANAPQLVIQRELPIIQPLSRFAGNKESKARSIGRPKSPNPNPKVPSRSITPGHRPSISLTEAKNTKVPAPTLFNQKTSQPICTHPLSNKRTNVVSNTSNISSKPYSNQKDDHIDFLTSNLSKNLGTSTNKTKPRSRGVSPLARSTIPVQFQGISDETPPNLKTDRSTSATRGRPQPTVTPAAVAVHQKAEPSSTPKPRRQSCSPCVTRGRRVEPKQDVKGTQVLGSRMVEKVMNARKSNVEERDTKQKLRAASIDERTKQVEIKRDRNTSSHLGIFLKRG >EOY32223 pep chromosome:Theobroma_cacao_20110822:9:23552516:23556520:-1 gene:TCM_039838 transcript:EOY32223 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIER F-box protein 1 MYHFWFPKTKYIITLFFSMLTPLLLSFLSLSTPNDHTRWTQTKACCVLMGQGSSSSASWPGLGAGIGGKSHFCQTGDLTLTLPDECLAWVFGKLGCHDRSNCSLVCKRWRHVDSKSRQRLALVAGSDISPWLPSLFSRFSSISVLSLKCSRKLASIDDDSLARIPTLLPSLKKLKLKGCVDITDNGLRAFSTHRPPLLTKLSFVSCGVGSRGIVSLLLNCPSLKDLTLKRLRKLDAQNTPLLLQDQEEEEHQQSYFSNKLNANSCYSRNKLERLCLKDLHNARLFIPLLCSYAQTLKTLIVCRSSGNWDPVLLESLQTPSSIMEIQVENVQMGDPGLLAISTSCPHLQVLYLSRATDCTDDGLSAIANSCRQLRKLHIDAWSRFGSQAISEAGFLSIATKCPRLQEVVLMGVPITVSSLTVLASSCPVLERMALCNTDSVGDMEMQFIGAKFTALKKLCVKNCAISDSGVKAVGEGCPSLVKLKVKRCRGVTQATVSKLKIKRRCLMVSVDSGSMLLDGDELDLEEEGMVPSALPNTNASGSTSSRTHVICSSRGALLLRSTFENALQLHRRRRSNSNVHNLHVRI >EOY30691 pep chromosome:Theobroma_cacao_20110822:9:5452199:5456828:-1 gene:TCM_037814 transcript:EOY30691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MGDLQTSEGMNETPLSVYHGVSSNICHPLDFLVFPSLMDDIFTRLLAWTAYMNRRFINFVENLVLQDVYRCSEDSVAITSGQNPRSSSQSICKSAREGCSSSSTSCTENSYSAICGKRSSELREELLANTDSRNTITYHSKRGAPFIFQGLMFPLFGIRLAWSLALASWRCALYHLRSTQAQVYSIKSRMQRTLRGSSDDIGWLQRNPGMAPVENGTARFLELLQAIRNGEHTLPNSFVYLLVPGLLSNHGPLYFVATKRFFSKMGLACHIAKIPSEASVAQNAWELKRYIEELYWGSGKRVMLLGHSKGGVDSAAALSIYWSELEGKVAGLALVQSPYGGTPLASDILREGQIADKETRRVMELLICKMIKGDIRALEDLTYEKRKEFIMKHKLPEGVPLISFHSEARVAPGFLATMTHIAHAELPWLPLPKFGSAEFDSVGRLGHQVPIVIPISAAMAACALHLLLRYGEKSDGLVTCRDAEVPGSVVVRPDQKLDHAWMVYSSWKKNPNEPDACEMCEALLTLLVELGERKQEEMEKFGSSS >EOY34702 pep chromosome:Theobroma_cacao_20110822:9:41834183:41836758:1 gene:TCM_042287 transcript:EOY34702 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein, putative MVTPQYRPHRLFLDMETAMPPISLNSTIGSYTSEANFDNNMVIVLAALLCALICALGLNSAVRCALRCGYSFGFGTPEQTPARQLASTTGVKKSALSQIPVVAFGSGLNLTVTDCPICLGEFAEGEKVRILPKCSHGFHARCIDTWLLSNSSCPLCRQALLDNTTSCNAEEVNFIVGLPENGASSGQPSLGEGERHQ >EOY32182 pep chromosome:Theobroma_cacao_20110822:9:22765532:22768461:-1 gene:TCM_039772 transcript:EOY32182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein, putative MFQMRENGERREGRERLVAVAVDRDKSSQRALKWTVENFITRGQTVRLVHVIPRPPFNPNPASSFLLSVGIDDAVAERQLDNQTMDLFLPFRCYCTRRQIQCEAIVLEDPDVAKALIEYVAQRGIQTLLLGATSKIGLSRLFKATDIPASVLKWAPDFCNIYVIAKGKVAALRSATRPVPIIRADGSSARLELQNLNNEAPSVISLSRENDGLFYDELAALENDTSCSSSDGSFLSFYQSLGSSPNQHNVEPCRCPRFSDVGTPSSSLGCAEDTNEEIRRLRTELKQTMDMYHAACREALAAKQKVKELQEWRRREEKRGREAALEATEAAQKFVESEVQKRVEAETKALREAEERERLLHALGHSHMVVKYQSLLHILAVLFLFYFYFSI >EOY32996 pep chromosome:Theobroma_cacao_20110822:9:34826691:34834098:1 gene:TCM_041005 transcript:EOY32996 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein MHGPVDTMSWFVDHGVELKTEDDGRVFPVTNSSSSVIDCLISEAKCKGVSLQTGKVVTCASASAGGKFLLKVEKRTLNSVELVEADYVLIASGSSQQGHSLAVQLGHSVVDPVPSLFTFKIEDSQLTDMSGVTFSKVIVKLKLENVQRNIPQLTQVGPMLVTHWGLSGPAILRLSAWGARHLYSSGYKGKLIVDFVPDLHIEDLKSLLSQQKNRFAKQKVLNSCPTELRLVKRFWKYILDREGLVGDTLWASLSNNSLISIAHILKHCTFEVKGKGQFKDEFVTAGGVPLSEIHLNTMESKIQPNLFFAGEILNVDGVTGGFNFQNAWSGGYIAGSSIGSSHMTWKERKEMENRKVVSLGGKPPKKQRLPLSVARVVMKKQKEREEKMLKENMILGRFGGKLGGGAKRSADKQRPEDRVLKSSEGHFKNGVLDVKHLFQKAPPKDNDFDGHGVNKGKKKKGSGKKNRGKKKGGGGGRKRR >EOY32553 pep chromosome:Theobroma_cacao_20110822:9:31159860:31188959:1 gene:TCM_040535 transcript:EOY32553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQTKTLIILTTKTQSNNPIISTGKARLAIHKEGKKPYLAWAQKYPKRYGLQKWVDTFSSLPNSLSKLVLPRIYLNLALSALDGIISNTDERPRLLDYKT >EOY30095 pep chromosome:Theobroma_cacao_20110822:9:3340408:3348332:1 gene:TCM_037419 transcript:EOY30095 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 10 MNLLLIYLLNHHSNVFRLNMARSEQDLLSTEIVNRGIESSGPNAGSLAFSVRVRRRLPDFLQSVNLKYVKLGYHYLISHGIYLATIPVLVLVFSAEVGSLSREELWEKLWEDARYDLATVLSFFAVFVFTVSVYFMSRPRSIYLIDFACYRPNDDLKVTKDQFIEIARKSGKFDEGSLEFQKRILKSSGIGDETYVPKALMSSENCATMKEGRLEASTVMFGALDELFEKTRIRPKDVGVLVVNCSIFNPTPSLSAMIINHYKMRGNILSYNLGGMGCSAGIIAVDLARDMLQANPNNYAVVVSTEMVGYNWYPGRVRSMLVPNCFFRMGCSAILMSNRRRDYRRAKYRLEHLVRTHKGADDRSFRSVYQEEDEHGFKGLKVSKDLMEIGGDALKTNITTLGPLVLPFSEQLLFFGTLVWRHFFGGDNSKASLSPSSKPYIPDYKLAFEHFCVHAASKTVLDELQKNLELSEKNMEASRMTLHRFGNTSSCSIWYELAYLEAKERVKRGDRIWQIAFGSGFKCNSVVWRSMRRVRKPSRNNPWLDCIDRYPVAP >EOY33548 pep chromosome:Theobroma_cacao_20110822:9:37992436:37993381:1 gene:TCM_041495 transcript:EOY33548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSNPWCHDGKSSPNSCHPVMENRQDLLLGWFKKLNVDDKEELIRELSTKVQGISMEKLPSEGEEDFKSRHFQELLKKLSSNSKRSVGYKILKAYLRVYHRSPNLYKKSHQLLPLDEHEEHFFQAKSIDSRHGLPGLQKQASTSDEDSSLKPELFKIKTLHITGGRPQGGPGSSDQQR >EOY29419 pep chromosome:Theobroma_cacao_20110822:9:1118543:1132915:1 gene:TCM_036963 transcript:EOY29419 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPLESS-related 1, putative MAASLSEDLIILILQFLNEEKFKEAAHMLEKESGLFFSMKYFQDLVLGGQFDEAEQYLSGFTKVDGNRFSRKTYFEIRKQKYLEALDKRDRDKAVDVLTKELKFFASENENLFKDITYLLSLDNFRENSQLSTYGDTKTTRKAMMVELKKLIEANPHFNGKLEIPDVINSRLRMLINQSLNWQHSHCSNPSRNPDIRTLWVDHSCRNSTDPHTQLATSSQLAVSAQNTEGFIPWSSNGAFQPTLNPWLATLSSMSHPVVSGEGVGLGGPTNLAAMSKGPGDSDSVSMARLLAFPDRTMLPGTSLSPITDFQLNATEELPKTVARTLNQSSVPTSMDFHPALQTFLLVGTNVGEISLWDVSSGEKMVSRNFQVWDLGTCSMPLKAYLIEGSSFSIRRIVWSSDGSVFGVAYSKHMIQLYAYHGGNYIRPHLEIDAHVGAVNDLSFCNTNMEAAFVTCGDDMTIKVWTVLTGARLYTFEGHEAAVHSVCPRSKENVHFIFSTSVDGKIKAWLYDSMGSSVDYTAPRGACMTMAYSADGKRLFSCGTTEEGETHLVEWNEIQGSVKRTYQGFHKPSLGSVQFDTSNSRYLAAGDDYSIKFWDMDHCVLLATVDAEGGLPACPRIRFNKEGSLLAVAANDHKIKILATVDGLRLIGTQETHPLIFSRPASDAPARNDDIEMEDIRSRSAEEVNPARAGKLINKISAPAQFRSLKLSADVEVDEISRLSYTNSANGILALASKGIHLLWKWPQANLNFNGKATTKVTPLFTVPPSGMLMTNDVIKGSYDDPLPCFALSKNDSYLMSASGGKISLYNMTTSKTMTTFLAPPPVATCFTFHPQDNNIAAIGMDDSTIHIYNVRLSQVSARLNGHSKRITGLAFSHQLNILVSAGADAQIIVWEFGRWESKKNFFLLTPGERRRIGRSDTQVQFDKDQIHLVAANGNQLAIYDMRKLESMKPFVAIEVPSAISHATFSCDGQLVYCSLLDGTVRIFGAPNLQIQCQIYPTAYLPSDARSPAHPLALAAHPRDPNQFAMGLVNGSVYICEPLESEGKWDVA >EOY30387 pep chromosome:Theobroma_cacao_20110822:9:4458863:4463056:-1 gene:TCM_037616 transcript:EOY30387 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing disease resistance protein, putative MDFISPLISIVDRLCTAMAPRISNVIHLDRRIQSFTTEVDELKDQRDDLRSRVKRAELDGSRRTNEIQRWLARVEVIEAEATSIIENLGQSRHGLGCLNATCCSKYNLSKEIIEKLKEIGELKRKGAFEKLVTEPHPAPVEEKPCRPAVGISLMLDKVWEFLEEDKVGIMALYGIGGVGKTTLLKTVNNAFLGRAYNYDVVIWVLVTKEFVVSKIQQAIVARLGLPWEETEASELRTSKIYNVLKKKRFLLLLDDIWERIDLGDIGIPLPNEENKCKLIFTTRSMDVCTDMDAHRKLKVEFLDEEKSWQLFCEKVGRMEILESPPIRNYAETIVRKCGGLPLALITVGRAMANKETEEEWKYAIELLNKSPSELRGMEDVFTLLRFSYDNLENETTKTCLLYCALFPESYSIEKEQLVEYWIGEGFLDSSYDSNAHNKGYAAIGSLKVACLLETGEEETQVKMNDVIRSFALWIASESGLNKGKFLIEASSGLTEAPGVKNWEGAERISLLDNGITVLKEIHKCPNLLTLLLQWNNGLNRVSADFFQSMSALRVLDLSFTSIRKIPVSINQLVELRHLNLAATKITTLPKELGSLTKLNHLNLLRTYSLRTVPREAISGLADLAVLNLYYSYSWEVQNVEGEAEVGFEELETLRHLRILGLTISTITSLNRLSGLRNLVRCIQYLHIKECEGLPQLELSSASGYGKTLRRLSIRNCYDLNYLVVDAEDRETWLPNLEVLALQGLPNVTSVWKTPVRKVSLQNLRLLNIWYCHRLKNVSWVLLLPRLEVIYLFYCKEMEELVSGEEKLEPDSQAFSRLKTISIRDLPELRSITPWALAFPCLKSIAVIDCPQLKKLPIRNHNSSNLPTVYCAKDWWDGLEWDEPNTKYAFLTDFTSN >EOY33105 pep chromosome:Theobroma_cacao_20110822:9:35446627:35448597:-1 gene:TCM_041094 transcript:EOY33105 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 1 MFKMEYTEATPPPPPTTTTTTSSPQSLSPNSSNSSSSPPPPPPTTTSTPPVVISPCAACKILRRRCADKCVLAPYFPPTEPAKFTIAHRVFGASNIIKFLQELPESQRADAVSSMVYEASARIRDPVYGCAGAICQLQKQVNELQAQLAKAQAEVVNMQLQQANLVALLCVEMAQSPQPNSQQSVDTFISSPPSYQSNPGFLEDNNNLGSLWEPLWT >EOY29857 pep chromosome:Theobroma_cacao_20110822:9:2591678:2593763:-1 gene:TCM_037259 transcript:EOY29857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase 2C family protein MGICISVASSEIHEAEDCQENVVYLAENIASIGIQRLGSLYSRQGSKGLNQDAAILYQDYGMEGGALCGVFDGHGKNGHIVSNKVRNRLPSLLLSQKNALATMKTSADHKNFQDQVADLKSGSVLSKDLLKWQEACISAFKVMDKEIKLQENLDCSTSGTTAVVVVRQGEDLMIANLGDSRAILGTTTANGIKAVQLSTDLKPGLPSEAERIRSCNGRVLALKEESHIQRVWLPHEDSPGLAMSRAFGDFLLKNHGIIAIPDVFYHHLTPNDQFIVLATDGVWDVLNNSQVASIVMEAESEQAAARAVVEAATASWKKKFPSSKVDDCTVVCLFLQKKQHPSFLPAET >EOY32750 pep chromosome:Theobroma_cacao_20110822:9:33366271:33370308:-1 gene:TCM_040780 transcript:EOY32750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMTFLMFSASMISVSVRFLLLHEKISPPSDAFEIFAVIGEFGIEGWRFGIWVWGHDLERTVSEKFSHIQVWVKVAPITFSLNNVEVSIIFNFFNHNNSLCVIISLRSLSVVNYLYIYGKC >EOY31524 pep chromosome:Theobroma_cacao_20110822:9:9317601:9319006:-1 gene:TCM_038445 transcript:EOY31524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein MKLIKAGEKRYALLLAARDSDYVKKEYGGYFNVFVAAFGEEGERWDLFRVVDGEFPDMNELHKYDGFVVSGSPYDAYGNDHWILKLCFLLQTLDAMEKKVLGICFGHQVLCRSLGGRVGKACTGWDIGLRKVKIVKDLSASSFLEDLDEIPPSLSIIECHQDEVWEVPMGAEVIAFSDKTGVEMFAIGQHILGIQGHPEYSKDILYNLIDRLLNNNSIERDFAENAKVGLEIAEPDRKCWETICRNFLRRRQNFTFSPI >EOY33732 pep chromosome:Theobroma_cacao_20110822:9:38811068:38822786:-1 gene:TCM_041628 transcript:EOY33732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 3 MAASNGSEYFEFDMEAVGESFHRPSNAEAVQQDEEELMWEAISRLPSVKRGHIAILRRTPSEHERAGGGGENGGTEAIDVTKLDRARRELLVKKALATDDQDNYWLLSAMKERLDRVGMEVAKIEVRFQNLNVEADAQIGSRALPTLINVTRDFFERIAASLRILRPKQFRLNILRNVSGVVKPGRMTLLLGPPGSGKSTLLLALAGKLDDKTLKTSGEITYNGTKLDKFYVRRTSAYISQTDNHIPELTVRETFDFAARCQGASEGLAEYMKDLANLEKERKIRPVPEIDAFMKASSVGGIKHSISTDYVMKVLGLDVCSDTVVGNDMLRGVSGGQRKRVTTGEMVVGPRKTLFMDEISTGLDSSTTFQIVKCVRNFVHLMEGTVLMALLQPAPETFELFDDLVLLSEGYMVYQGPRAEVLEFFESLGFKLPPRKGVADFLQEVTSKKDQAQYWADPSKPYVFIPASEMANAFKNSRFGRSLESTLSVPYDKSRNHPSALSKTRFAASKWELLRTCFAREKLLITRHSFLYIFRTCQVAFVGFVTSTIFLRTRLHPVDETNGNLYLSCLFFGVVHMMFNGFSELPLLIFRLPVFYKQRDNLFHPAWVWSVVSWILRVPYSVVESVVWSSVVYYSVGFAPAAGRFFRFMFLNFAVHQMAIGLFRMLAGIARDTVVANTFGSAALLVIFLLGGFLIPKDKVKPWWVWASWLSPLQYGQRAISVNEFTASRWNKISAIGNNTVGYNILYSHGLPSDGYWYWLGVGVLLLYAVLFNIIVTLALAYLNPLRKAKAIIPLDDSEENSVRNDVESEDLESRVPSDHRGSKKKGMILPFQPLTMTFHNINYYVDMPKEMSAHGIPERRLQLLSNVSGVFSPGVLTALVGSSGAGKTTLMDVLAGRKTSGYIEGDIKISGYPKEQKTFARVSGYVEQNDIHSPQVTVEESLWFSSSLRLPKEISKVQRHEFVEEVMRLVELDTLRNALVGLPGSSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIEIFESFDELLLMKRGGQVIYGGKLGANSQILIDYFQGISGIPSIPYGYNPATWMLEVTSPAVEQRIGRDFADIYRNSEQYREVEDSIMRLSVPPPGSQPLKFSSSYSQNQLSQFLICLRKQNLVYWRSPQYNLVRLVFTMVFALILGSVFWDVGSQRDTTQGLFMVMGALYASCLFLGVSNASSVQPVVSIERTVFYREKAAGMYAPTAYAAAQGLVELPYIAGQTILYGVITYFMINFERTAGKIFLYLLFMFLTFTYFTFYGLMVVGLTPSQQMAAVVSSAFYSLWNLLSGFLIPKPRIPGWWIWFYYICPTAWTLNGIISSQLGDVETMIVEPTFQGTVKEYIHTVFGIDSGMIGVSVAALVGFSVLFFGAFAFSVRFLNFQKR >EOY34434 pep chromosome:Theobroma_cacao_20110822:9:41088152:41090461:-1 gene:TCM_042116 transcript:EOY34434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor-like protein MAAGRGCTRLPPHFHLCFFAKKFCVSSCLKQNGCLRALAQMNSSSSAATVPCRPLSSLPSGFHKRMEEDSCMEKRKERKDSLRVEEGLRYAIKSGISGANQARVCSNTTQKMGTSPPIIHLRVDFSSMCDILMASENMGLEMALPNPSTRKPRPFSQKKPSSSKSKLVTCKTTKDRHAKVDGRDRRIRLPPVCAARIFQLTRELGYKTDGETIAWLLRQAEPSIIAATGTGISSPGSTTPPALSTPTTGLAPFSSGPLLFSSDCSPSLTFCEPKVVSENQDVVKRSVVSRAEPVLPPFEFDLVTNFDLEFSANEIAMLQSVTGTHGTEGKD >EOY32877 pep chromosome:Theobroma_cacao_20110822:9:34204833:34206047:1 gene:TCM_040899 transcript:EOY32877 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1-interacting protein 4 (RIN4) family protein, putative MAHRTENNAWMSVPQFGGWDQKGPGATDYSMVFSRARANRKQQKTDIRRSLGNEQEFVAAASLPQPQYQEDSVTKKNKILTYINCCIRP >EOY29749 pep chromosome:Theobroma_cacao_20110822:9:2272458:2276137:1 gene:TCM_037195 transcript:EOY29749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid cleavage dioxygenase 8 MDSLSFSGICRYRVSPSTRLEFDQSNNRKDGFSLGNKASFDRKQDRQGLAITRVASPLKQAIVPSLEKETVPDQNHVAWTSVRQERWEGELVVQGEIPLWLKGTYLRNGPGIWHIEDYNFRHLFDGYATLVKLHFENGRLIAGHRQIESEAYKAARKNKKICFREFSEVPKHENFMAYVGDLAKLFSGASLTDNANTGVVKLGDGRVVCLTETQKGSLVIDPNSLETLGRFEYSDSLGGLIHSAHPIVTDSEFLTLLPDLLNPGYLVVRMEPATNERKVIGRVNCRGGPAPGWVHSFPVTEHYVIVPEMPLRYSAQNLLKAEPTPMYKFKWHPESKAFLHVMCKASGKIVTSVEVPLFVTFHFINAYEEEDEDGRVTAIIADCCEHNANTAILDQLRLQNLRSFNGQDMLPDARVGRFTIPLDGSPFGKLESALDPDEHGRGMDMCSINPANLGKKYRYGYACGAHRPCHFPNTLTKLDFVKKKAKNWHDEGAVPSEPFFVARPGVTEEDDGVVISIVSEKNGSGYALLLDGSTFEELARAKLPYGLPYGLHGCWVPKK >EOY32094 pep chromosome:Theobroma_cacao_20110822:9:20760499:20766006:1 gene:TCM_039579 transcript:EOY32094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFDEIIKGDVMHISKDELKKVRDARFIKWFKNYVVTRTDEIDPRILEISYGPGRMIRCYKGYFINGFKFHTLDYGQNLDIIELEYFGIGNRVVLFKCHWFDSEKCIKHKLTKFIIVVIHQGKEIDEIVNLLIEDEDDDMQKDEGEEDEMEGDENKDDDEEEDELEDDTSETLSDDSDNKEEHEFDYFEKTMVKGKHSKPRPRSTKASESMSLPMNAREFADLSIQQQMQQEFNDVPFETPSLLGASAEQVENETSIHDSRRSPSIDLGASVDNTFSRSRGKGPSVGLQTLVDPSNRLRITPIGERGHHYNHKDHRKSLQWSMVDMEESP >EOY32356 pep chromosome:Theobroma_cacao_20110822:9:28055419:28057546:-1 gene:TCM_040215 transcript:EOY32356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKMEPGEDITSMFDRFTNITNKLSQLSKPIPEHELVKRLLRSLPKSWKPKVTAIREAKDLNIITLDEICGSLLTHELELKEEEEEDQREAKEKKKSNALKASILKEELEELSCDDDEKLALVARKFKKLMSRKNRKLNRRGFRKDQGVSWKIRKKNDSNKKEEMICYECKKLGHFKSECPLLKDETPKKNKKSKKAMVAVAWSNSDTSSSKTDDEKSEERANICLMAQEDETEVPSSPCINSYDDLQDDWIREKEESYPLKMIQKEEFMV >EOY32130 pep chromosome:Theobroma_cacao_20110822:9:21750852:21752415:-1 gene:TCM_039657 transcript:EOY32130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein, putative MRFTEIDHFSHPHRLLLESAEVPFRCDGCKELGFGLCYQCPYEKCNFHLHKECGNAPPSTSHPFLKRCNFKFYKETPGWRARFCDACGLDIKGFLYQCSHEHAHDLHPCCAELPRSLSSNGEKIYLSNQVRSKCLKCKRKEIANGVKGWSYVSSCGNYCYHVACVKEAIIENWQGGYFQLDVTNELQISGPSQELAGRSGGTSGWRKARKLWLILKLVVSAIFGDPVTLISSLVQALLD >EOY33988 pep chromosome:Theobroma_cacao_20110822:9:39586519:39589818:-1 gene:TCM_041804 transcript:EOY33988 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal S-isoprenylcysteine carboxyl O-methyltransferases METASVLLHSRTIPFSFNSKGNRIVSCRHFNKHCQSRGFYSHQSLKPIQNPSSFNLASYPSPSPLRKTRTHFLVPLRCTFSNTASSDSQNPLLKPFKNLSFDSLKTSLSQLTPIRIFKWAGIVSIAIAATKWTVNLVFNPFFWMYFSWSWMFWPWFVAVALAFYGLYCFRKHSIGKASIIEQLAIVTSVFTWLTLVPPAYFNGYLEGWPFVFFFVYHYFFFFNVSVRKRLYGDYYARPHDPKWDVNPPKWYRLLFCVGVMVGHWLAAFEGPELHRIPGGWSNVVVWILVVATLLMQYNSTLYLAKYSEKVVVPTAVVQFGPYRWVRHPIYASTMLLFATYCVALRAPLSSLFVLAVCLMYYEQKAKLEEALMVETFGESYSEYASKVRYKFIPFVF >EOY32957 pep chromosome:Theobroma_cacao_20110822:9:34645253:34652779:-1 gene:TCM_040970 transcript:EOY32957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor eif-2b gamma subunit MDFQVVVLAGGTSKNLTPLVSKEVPKPLLPVANRPVLYYVLHQLEQSNLKDLIVVVEGKDAALLVGGWISGTFVDRLHVEIAAVPEDIGSAGAIRAISHHLTANDILVVSGDLVSDVPPGAVAANHRRHDAVVTAMLCSVPISGPSESGSSGGKDKTKKPGRYNIIGLDPGKQFLLHIATGAEIEKDTRLQKRILRAVGEMEIRSDLMDAHMYAFKRSVLQEVLDRKDTLQSLKQDVLPYLVRSQLKSEALSNRIPRAEENGNEKVSSQNNQVMVSQILANASTPSFHDLCSVSHDGSAPIRKTHKCCAYIASSSSYCARLNSIQAFMDINRDVTGEADHLLSSISDHNNIVGPSTKLGTKTTVGPHCMLGEGSEMGDKCQVKRSVIGRHCRIGSHVKVVNSVVMNHVTIGDGCIIQGSVICSNVQLQERVVLKDCQVGAGFVVTAGSEYKGESLARKEK >EOY29799 pep chromosome:Theobroma_cacao_20110822:9:2427700:2428952:-1 gene:TCM_037227 transcript:EOY29799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTPATTAACKSSEHTKVQKLEAKPPNCSLIDLHLGNLHLYRERDCHWPVPDRSKESKRRVATATNNCLQLSSSPYCQAAMTSEHTGNSQKDKNTQEENAILPIAVNILQT >EOY34302 pep chromosome:Theobroma_cacao_20110822:9:40635105:40639853:-1 gene:TCM_042023 transcript:EOY34302 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-ascorbate oxidase MGCEFWDSRALVFWCIVLSLIHVSLGSKVRHFQWEVECMYSSPDCLEHVVIGINGQFPGPTIRAKAGDTIVVELTNKLHTEGVAIHWHGIRQRGTPWADGTASISQCAINPGETFAYRFKVDRSGTYFYHGHYGMQRSAGLYGFLIVDEHGKRELPFHYDGEFDLLLSDWWHQSVHEQQVGLFSKPFRWVGEPQGNSIVHWLQKFSNNASVSQCKFSGNEQCAPQILQVHPNKIYRLRIASTTALASLNLAIGDHKMMVVEADGNYVQPFEVDDLDIYSGESYSVLLRTNQNTSSNYWISVGVRGREPKTPQGLTILNYIPTPASELPTSPPPVTPRWNDYDHSKAFTKRILAAKGSLQPPRTHDRRIILLNTQNKINGFTRCSINNISLTLPSTPYLGSIKFGLNNAFDQKRPPDNYDNSYDIMKPPVNPNSTHGNGVYTINFNTTVDVILQNANALAENVSEIHPWHFHGHDFWVLGYGEGIFREEDEQKFNLENPPLRNTAVIFPYGWTALRFVADNPGVWAFHCHIEPHLHMGMGVVFAEGVRRVGEIPRAALACGITGSF >EOY32149 pep chromosome:Theobroma_cacao_20110822:9:22241156:22244624:1 gene:TCM_039711 transcript:EOY32149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MYKEWSLYNHKQMLGLTSKAAKTGIANYKLHDYDEIQYDVSPNPSDTSPSASIQLQLTSEQSQHQLLATLINSQVVKERFPETTPQKESSSNHGELSASPLNNQVVKDLFPETTPQKESSNNHGELPASPLNNRVVKNLFPETTPQKNPAATMENF >EOY31582 pep chromosome:Theobroma_cacao_20110822:9:9930796:9931823:1 gene:TCM_038524 transcript:EOY31582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNAKWPNLNLSIMDLARFLNEGINPIQDKKAKKVEVWKKPQNGYLKFNTYGSSRGCLGESGIGGILRNERGTTLALFSKLIGILDSTRAELLAMREAALVFATSRWNASHSLLFKCDLSNVVKWIKIPMDVPWRVRSLVIQITNLLDRIGRWEIKHNIN >EOY31816 pep chromosome:Theobroma_cacao_20110822:9:14852600:14853925:1 gene:TCM_039003 transcript:EOY31816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein MKPSSRSSEGKRFILSYLFLILFLCALASINEIRFDSFLKFGRCALSNVPQSYNSSSHSVASNSSSDDIRILIGILTLPDQYQRRHFLRLIYGTQSVAGAQVDVRFVFCNLTKEDQKVLVALEIMRYDDIIILNCQENMNKGKTYTFFSSLPEIFNSSDRPYPPYHYVMKGDDDTYFRLENLVESLRPLPREDLYYGYVIPCPSMDPYVHYMSGMGYLVSWDIAEWIRESDIPRNHLEGPEDKVFGEWIRDGHRAKNRFNAKWSMYNYPDPPTRCTHELWPDTIAVHLLKNQEKWIHTLKYFNVTSNLKPSKLYHIP >EOY30050 pep chromosome:Theobroma_cacao_20110822:9:3195578:3205226:1 gene:TCM_037393 transcript:EOY30050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein MMALAFRLEFIFLVSLFYLGFAFVDSEDGATLLEIKKSFRDVDNVLYDWADTPSSDYCVWRGVTCDNVTFNVVALNLSGLNLDGEISPAIGNLKDLLTVDLRGNSLSGQIPDEIGDCSSLKSLDLSFNELYGDIPFSISKLKQLEFLILKNNQLIGPIPSTLSQIPNLKVLDLAQNKLGGEVPRLIYWNEVLQYLGLRGNNLVGTLSPDMCQLTGLWYFDVRNNSLTGSIPQNIGNCTAFQVLDLSYNQLTGEIPFNIGFLQVATLSLQGNHLSGKIPSVIGLMQALAVLDLSCNKLSGPIPSILGNLTYTEKLYLHGNQLTGSIPPELGNMTKLHYLELNDNHLTGHIPPELGKLTELFDLNVANNNLEGPIPHNLSSCTNLNSLNVHGNKLNGTIPPEFERLESMTYLNLSSNNIKGSIPIELSRIGNLDTLDISNNKISGLIPSSLGDLEHLLKLNLSRNHLTGVIPAEFGNLRSVMEIDLSNNHLSGIIPQELIQLQNMFSLRLENNNLSGDVMSLINCISLAILNVSYNNLAGDIPTSNNFSRFSPDSFIGNPGLCGYWLSSPCHVSHPTERVVISKAAILGIALGALVILLMILIAACRPHNPTPFPDGSLDKPVTYSTPKLVILHMNMALHVYEDIMRMTENLSEKYIIGNGASSTVYKCVLKNCKPVAIKRLYSNYPQCLKEFETELETVGSIKHRNLVSLQGYSLSPSGNLLFYDYMENGSLWDLLHVSTKKKKLDWDTRLKVALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDFEAHLTDFGIAKSLCISKSHTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKAVDNECNLHQLILSKTANNAVMETVDPEITATCKDLGAVKKAFQLALLCTKRHPSDRPTMHEVTRVLGSLMPLDTPPKQPSSMQPSPLPSTKVTCYKDEYANLKTPHLVNCPSMSTSDAQLFLKFGEVISRNSE >EOY32053 pep chromosome:Theobroma_cacao_20110822:9:19543438:19544084:1 gene:TCM_039470 transcript:EOY32053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKWAPSPHFPLLFSISPLFFYLEAPNPPSLPFSPPIEGWPDVTHSPPSLSTVEPTLPTVKPTLLSVDSTSFNLDPSQICKLTTD >EOY30561 pep chromosome:Theobroma_cacao_20110822:9:4975063:4976238:-1 gene:TCM_037725 transcript:EOY30561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinogalactan peptide 20 MEMLRVQFAVMAIFAFILALLIPSINAQSPAPAPPPTSDGVAVDQGIAYVLMLVALVLTYIIH >EOY31407 pep chromosome:Theobroma_cacao_20110822:9:8609023:8618508:-1 gene:TCM_046927 transcript:EOY31407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleiotropic drug resistance 12 MESADAYRAGSIRIGSSTLWRNSSSVEVFSKSSREEDDEEALTWAAIEKLPTYSRVRTGILAEEGRQLREIDIKKLGLLEKRNLLERLVKVAEEDNENFLLKLRERIERVGIQLPTIEVRFEHLNVEAEAYEGNRALPTMFNFSVNMFEGFLNYLHILPSKKKSLPILSDISGIIKPQRQEGQMTLLLGPPSSGKTTLLLALAGKLGKDLKVSGGITYNGHGLKEFVPQRTSAYISQHDLHIGEMTVRETLAFSARCQGVGPRFDMLVELSRREKAANIKPDPDIDIYMKAAALEGQEANVVTDYILKILGLEVCADAMVGDEMLRGISGGQKKRVTTGEMLVGPTKVLFMDEISTGLDSSTTFQIVNSLRQFIHILNGTAVISLLQPAPETYDLFDDIILLSDGKIVYQGPRENVLEFFEYMGFRCPERKGVADFLQEVILVLTSNCCPPFLFNNQNVLEYVYKFFLFYALGVQQVTSIKDQEQYWENKEQPYHFVTATDFAEAFQSFHIGRKLCDELATPFDKSKSHPAALTKHKYGVNKKELLKACMSREYLLMKRNLFVYVFKMIQLCFTAFVSMTVFLRTEMHRNTVTDGGIYMGALFFTLIVIMFNGFSDLSMTILKLPVFYKQRDLHFYPSWAYALPTWVLKIPVTVLEVTVWVIMTYYVIGFDPNVGRFFKQYFVLLCLSQMASGLFRLMAALGRNMIVANTCGALALLAVLVMGGFILSHDNVKKWWLWGYWISPLMYGQNAIAVNEFLGNSWRHIPANSTEKLGVLVLKSRGIFPEAHWYWLGVGSLIGYCLLFNFLFALALKYLDPFGKHQAVISKETIPKRSVNTKREPIELSSRGKTSEKGKESQKSGSSKSLSATAGSINEASQLRKQGMVLPFQPLAITFDDMRYAVDMPQEMKAQGVLEDRLELLKGVSGTFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGNITISGYPKKQETFARISGYCEQTDIHSPHVTVYESLLFSAWLRLHPEVNTVTRMMFIEEVMELVELIPLRKALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLMKRGGEELYVGPLGRHSCHLIKYFEEINGIPKIKDGYNPATWMLEITSAAQEEVLGVNFTDIYKNSELYRRNKALIKEHSTPAPGSQDLHFQTRYSQPFHIQCMACLWKQYWSYWRNPPYTAVRFIFTTFIALLLGTIFWDLGSKRTRRQDILNAMGSMYATVMFLGFQNAASVQPVVAVERTVFYRERAAGMYSALPYAFGQLVIELPYTLIQSAIYGVIVYAMIGFEWTVLKFFWFLFFMYFTLLYYTFYGMMSVAVTPNFNIASIVSTFFYRIWNVFSGFIIPRTRIPIWWRWYYWMCPIAWTLYGLVASQFGDIEDKFESGETVKDFLRSYFDYRDDFVWVVAIVIVGICVLFGFIFAFSIKAFNFQKR >EOY33318 pep chromosome:Theobroma_cacao_20110822:9:36698415:36698900:-1 gene:TCM_041274 transcript:EOY33318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKAFLIVFILLLSTLLMFTSPSSARAIVAQKKQSTEEPKPEPSPKARKPPKKKG >EOY29995 pep chromosome:Theobroma_cacao_20110822:9:3001090:3003799:1 gene:TCM_037351 transcript:EOY29995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein J MSLSAAEDNSGSEIHIPADIDWHMLDKSKFFFLGAALFSGVSAALYPIVVLKTRQQVSPTQISCFKMSFSIMRYEGLRGFYRGFGTSLMGTIPARALYMGALEVTKSSVGTATVSLGFSDTTATAIASAAAGLSSAMAAQLVWTPIDVVSQRLMVQGYNNSNSSKNVIPSVNSCRYRNGLDAFRKILYADGPKGLYRGFGISIMTYAPSNAVWWACYSVAHRLIWGGFGCSMGKKEENGVIGGCGYRPDSKALVAVQGLSAAMASGFSALITMPLDTIKTRLQVLDREENGVRKPLTVLQTVRNLVKEGGLAACYRGLGPRWASMSMSATTMITTYELLKRLSTKSQESLTS >EOY30694 pep chromosome:Theobroma_cacao_20110822:9:5466316:5470045:1 gene:TCM_037817 transcript:EOY30694 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY domain-containing protein, putative MALKLPISFPIFAPPLPNPYPNPNEPAHRPPTEIRFSRWNNANAEKFNQRQRAQQEIEDDIRRYRRFDSATKIAITIDPSSASPRPTETYKSLGSPSSPSNPSIPGKKSKYSKPPNHPAFRKFSKTANPPPPTPLDKKPANVSIGDDGISFVIDGAPFEFKYSYTETPKVKPIKLREPPYSPFGPSTMPRPWTGRAPLPPSKKKMKEFDSFVLPPPNKKGVKPIQKPGPYLPGTGPRYVQSREEILGEPLNAEEVKELVNGCLKSKRQLNMGRDGLTHNMLDNIHAHWKRRRVCKIKCKGVCTVDMDNVCEQLEERTGGKVIYRRGGVLFLFRGRNYNYKTRPRFPLMLWKPVTPMYPRLIQKAPEGLTVEEMSEMRKKGRKLMPICKLAKNGVYSDLVKNVREAFEECELVRVNCEGIKGSDYRKIGAKLKELVPCVLISFENESILMWRGRNWKSSFLKPAFNSGVEERDAENATSILGQLEGQELSPVCVQAGYTDQPLMISQEISIEQRESSVEKDRPNAVLDAKPAKMETIESTLDRIDYANDESESKRNTSGGATFFGDIKCASSESETMSKTYSPEPILDNPGIENEEPVALPLESDVMPRSSENTLSQSESSVMDSLNLDQLEDVAQASQDINGPARKTAPCTERVLLFMKQAVESGSAVVLDDATLDADIIYERAVAFARSAPPGPVFRHQPRKVAVQKNGKQEPANLEVKELKAVPNKGGNEKQASKTQRIKYIDERHLDIVPRGSLGVDELAKLLA >EOY33716 pep chromosome:Theobroma_cacao_20110822:9:38763158:38768748:-1 gene:TCM_041617 transcript:EOY33716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-speciwc phospholipase C4 MGSYRMCVCFTRKFKVTEAAPPTDVKDAFNKYAEGGLHMTAEQLHRFLIDVQGQGGATVEEAEVIVQQVLQKRHHMAKFRKHALTLDDFHHFLFSADLNPPIGDQVHHDMRAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALKRGVRVVELDIWPNSTKDDVHVLHGRTLTTPVELIKCLKSIKEHAFSASPYPVVITLEDHLTSDLQAKVAQMVTQTFGQMLFCPESECLKEFPTPEDLKYRIIISTKPPKEYLEAQRNKGKMNNSQKGKDSDDDVWGKEPGERTADQEDEKTDSDASENNQDDEDTDAFEPDLHSSEAPVYKRLIAIHAGKPKGGLKAALKVELDKVRRLSLSEQALEKATMSHGTDVVRFTQKNFLRIYPKGTRFNSSNYKPLIGWMHGAQMVAFNMQGYGRSLWLMHGMFRSNGGCGYVKKPEFLMNVDPDGQVFDPKAKLPVKKTLKVKVYMGDGWHLDFKQTHFDSYSPPDFYTRVGIAGVPDDEIMKKTKKKEDDWTPVWDEEFALPLTVPELALLRVEVHEYDMSEKDDFAGQTCLPVSELKPGIRAVPLFDRKGEKLNSVRLLMRFEFV >EOY29222 pep chromosome:Theobroma_cacao_20110822:9:405954:408084:1 gene:TCM_036823 transcript:EOY29222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein, putative MAVSKSWSSKQQDNYDQENRKKSEPLIPGLPDEIAELCLLHLPYPYQSLVRAVSSSWNRAITDPAFLISKRSLSLSLPYLFVFAFHKSTARMLWQAFDPRSGRWFRLPSMPCPKAVCPPGFACTSIPRQGQLYVLGGMRSDTETSMQTTIIYTTSTNQWSIASPMMTPRSFFAAGSVNGKIIAVGASGAGYNDSITSVECYDPENDTWESVAKMRIGLGRYDSAVIGSKMYVTEGWTWPFLFSPRGGVYDQENDTWQEMKDGMKEGWTGVSVVLGDRLFVISEHGDCPMKVYVPDHDAWQYVGGERFPREAMQRPFAVSGVDGKIYVVSSGLNVAIGRVFEGQNGEFSAQWEVVAAPNAFHDFSPSSCQVLYA >EOY33546 pep chromosome:Theobroma_cacao_20110822:9:37981289:37982463:1 gene:TCM_041493 transcript:EOY33546 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-repeat/DRE binding factor 1, putative MEHLELDSSSPSSSSSSCKSGSPDSESSSAEALPVSHKRKAGRKKFKETRHPVFRGVRQRKGNKWVCEVREPYKKSRIWLGTFPIPEMAARAYDVAALALRGKSAALNFPDSASVLPRAKSSSAKDIQRAALAAAEAFRPAAHCTSSASAISLSLDISSHTTSSRTIEPECSSSQISNATNQDKVLEPCLVEIKPIDQNEEKKVLDHSLMDHMPSSETLENKPNQSNPLFVDEEALFNLPGLLDSMAEGMLLTPPAMQRQVDWDDDTAFTMNIDLWD >EOY30983 pep chromosome:Theobroma_cacao_20110822:9:6570476:6572985:1 gene:TCM_038023 transcript:EOY30983 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC MAAAITRDESDYDSSSSSITVPDSSRSWMSNLSFGSRRSSVSLCSVETSLYSSHKPHKANQAAWEAMKRLRRAKGRVGLDHFRLLRRLGSGDIGNVYLCQIRNPVVGLPQCFYAMKVVDREALAIRKKLQRADMEKEILGMLDHPFLPTLYAEFEASHYSCLVMEFCPGGDLYAARQRQPGKRFSISSAKFYAAETLLALEYLHMMGIVYRDLKPENVLVREDGHIMLSDFDLSFKCDVVPKLLRPKPNLEDTEKYDKYCLTPSCTTPMQPVLSCFSSSNKKKKTATVTTIREQADCQEVDPELVAEPINAKSRSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFLYEMLYGRTPFKGENNEKTLINILKQPLTFPRFSVNSGKEFEEMVKVQDLISKLLVKNPKKRFGSLKGSVEIKRHEFFKGVNWALIRSVRPPEVPSDLYKIRSSRAHLAKLSKEERDAPYQIPHHFDYF >EOY29779 pep chromosome:Theobroma_cacao_20110822:9:2362628:2365218:-1 gene:TCM_037213 transcript:EOY29779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein, putative MEEQKNSQPKWEAKVSARVTSASADQIWPLYTDFFNLHKWYPGLTTCHGIHGTNGEPGCIRFCSGFSVSSEGSGVDKGSENWAKERLIAVDHSGRSLSYEIVDSNIGFNSYVATVKIVPGADGDDHQNGCVIEWSFTVDPVEGWELEDMKQKFQVGLQGQAKRMEDAI >EOY33943 pep chromosome:Theobroma_cacao_20110822:9:39415596:39442179:-1 gene:TCM_041771 transcript:EOY33943 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein MNKVSSLFTGKLNILINNVGTNTWKPTMDYTAEELSTMMSTNFESAFHFCQLAYPLLKASGAGCIVFVSSVAGVLSLNIGSIYGATKGAMNQLTMNLACEWAKDNIRSNCVAPYFIRTPLVEPILSSKEFLAALNSRTPLGRPGQPKEVAHLVAFLCLPAASYITGEIICVDGGVTANGFFFPRARL >EOY33464 pep chromosome:Theobroma_cacao_20110822:9:37623569:37627829:1 gene:TCM_041436 transcript:EOY33464 gene_biotype:protein_coding transcript_biotype:protein_coding description:CER1 protein MASKPGILTDSPWQSIGYFKYALLVPGAIYSTYSFITTAENERNLFMFLVFPFLLFRLAHHQLWISVSRYRTAKGNNRIVDKSLDFEQVDRERSWDDQMVVNGILFYAAGVVLRDQFNMPFFKADGTIITLLLHWGPVEFVYYWLHRALHHHYLYARYHSHHQSSIVTEPNTSFVHPFAEVLSYYVLFLIPISTSIYIGKASIIGVFTYFTVIDFLNNMGHCNFEFIPEWAFTIFPPLKYIVYTPSFHSLHHTQFRTNYSLYCPFYDYVYGTVDKSTDTLYETTIKREAESPDVVHLTHLTTPDSIYHLPLGFPSLSSKPQASKWYLLFMWPVTLWSVLLTWIYGHAFISERNAFKKLKLQAWVVPKYNMQYFSKWQRETVSKLIGEAIQDADKKGAKVLSLGLLNQHEEFSRNIELYMKRHPQLKIKVVDGSSLAAAIVLNCIPKETTQVLLRGRISKDACLLVQALCQKGIQVVTLQEDDYKKLLKYDNKLESNLVLSARYDVKVWLVGDGLTDKEQSKAPKGTLFIPFSIFPPNQIRKDCYYHTTPAMEAPKSVENMHSCEDWLPRRVMSASRVAGIIHASEGWEVNECGGTTFSIDKVWEASLEHGFRPLSIST >EOY29923 pep chromosome:Theobroma_cacao_20110822:9:2831034:2831699:-1 gene:TCM_037306 transcript:EOY29923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein MQSLRSCSSDVVQLDLTPLPPTPPSSSSSNNNPMSTTTISGGLSIDEEESTETRIRRLISEHPVIIFSRSSCCMCHVMKKLLATIGVHPTVIELDDHEIASLPPPPAHDSLSSRNPAPAVFIGGTCVGGLESLVALHLSGHLVPKLVEVGALWV >EOY34446 pep chromosome:Theobroma_cacao_20110822:9:41125310:41130301:-1 gene:TCM_042125 transcript:EOY34446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTKIAHLFFKLKRKKKKKENLAKFVPSSTSMVYEKAKGQRGREYVKGTKTETVVVVGTLSPSTSQQPPLSRGVVAPSVRFPCPFLSFHHGNHRASHVTLPPLPPPPTKPRPSFFLHIYFYVSLGTTAFVL >EOY30526 pep chromosome:Theobroma_cacao_20110822:9:4882201:4884783:1 gene:TCM_037705 transcript:EOY30526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ATP synthase subunit G protein MASKLQQLQSKACQASKFVSKHGTAYYRQLLEQNKQYIQEPPTVEKCNELSKQLLYTRLASIPGRYESFWKELDYVKNLWKNRQELKVEDAGIAALFGLECFAWYCAGEIVGRGFTFTGYYV >EOY34304 pep chromosome:Theobroma_cacao_20110822:9:40649154:40650291:1 gene:TCM_042025 transcript:EOY34304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVRLRGPQVPAECLKTSIFFSFLVVGHNFASAQQEPGSPALRYKYSRTFVKKYQSTPWDFNNSREKRKEKK >EOY33804 pep chromosome:Theobroma_cacao_20110822:9:39046861:39050178:1 gene:TCM_041676 transcript:EOY33804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide transporter 1 MAEDDFYTKDGTVDYRGNPANKKKTGTWKACPFIIGNECCERLAYNGMSSNLVRYFKHRLNQHSSVATRNNQNWSGTCYITPLIGAFLADAYLGRYWTIAFFSIIYVFGMTLLALSATVHGIRPRCYAEDNCNPTVTQSAAFFVALYMVALGTGGIKPCVSSYGADQFDDTDEAEKKHKSSFFNWFYFSINIGALIASSVLVWVQDNVSWGWGFGIPAIAMAVAVTFFFSGTRLYRNQKPGGSPLTRLCQVLVASFRKFRLAVPADKSLLYETADAESNIRGSRKIEHTQDFRLSRCSVHVGRILSCLLIPCSSSKAAVLIFSFFDKAAVETASDHKKGSATGIIFAAVYSQMSNLFVLQGERMNTHVGNSAFKIPPASLSIFDNLSVIFWVPIYDRIIVPVTRKFTGQKNGLTQLQRMGIGLFISIFAMVAAAILELERLRRVRRHKYYELKEMPMTIFWQVPQYVLTGCAEVFTFIGQLEFVYEQAPDAMRSFCSALQLTTIALGNYLSSLLMTIVTSITTRNGRLGWIPDNLNYGHVHYFFWLLAVLSGLNLGAFLLIAKWYTYKRPLGTLR >EOY33143 pep chromosome:Theobroma_cacao_20110822:9:35633177:35638284:-1 gene:TCM_041126 transcript:EOY33143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSDGSSSSSSSSSSSNTDSPTATAAPNITIAPTGVTTSETPPPPSSQTQSSIRSLSSIISSVPSSLSSSPNPSFSLLHDSTISSQISSLLRHPDSGSGDNNLCRWLYDTFHTTDPELQLVVLRFIPIIAGVYLSRISRRKALAGFEAILLALYAHETTARGDQPMTVHVPDLSQPSIYHEAKPSIENKATELNLAVTSSTLEPHGTMRSTRRARIVGVALELYYSKISQMPVTSKIEFCEFCEIWAGQDRENFKDGEEDHGENNGSNEMHKVKKKEGRIPLPWELLQPTLRILGHCLLGPHGSKDLFDAASSAINSLYTRSLHDIDAKAILATSSLLRLEKMALDPKANIDYSEIEMANVISL >EOY33341 pep chromosome:Theobroma_cacao_20110822:9:36789540:36792389:-1 gene:TCM_041298 transcript:EOY33341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVKKMKLPFICKKTNTKGTWKLSLFKQFKAISFINKPKTIKNSNSVLSDTARFISVLDSSWSQSRNGLSLPKGSEELLEMDSKALRSDRLFFEPGNTNSILEAARFPFKDCVALALETGDPYMDFRISMEEIVEACELKDQEHLEELLAWYLKMNRKNNHGFIVGAFIDMFATASKRVLKFNTDGTSKGCPREYGNGGILRNENEDILAFFSKTIGVTHASKAELIAVKEAALFYAASRWNSTHSLLLECDFSNVVRKPAEVP >EOY31758 pep chromosome:Theobroma_cacao_20110822:9:13747020:13757826:1 gene:TCM_038887 transcript:EOY31758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonoid 3-O-glucosyltransferase, putative MENYKNASKHIAVLAFPFGTHAAPLLSIIRQLSAAVPGTMFSFLSTEKANSSTFPKDGKLDNVRPFNVWDGLPEGYSFKGNPHEPVEYFIKALPGNFRKAIDALVAETGKRIDCLITDAFYWFGGDIADELNVPWVALWTAGPRALLVHVQTDIIRQHVGINAPEDKALDFLQDFSALRAADLPDGIISGSCDAPIPALLHKMGLALSRATAITANSYEDLDNTVVNMLKSSFKKFLNVGPFNLVSVPPMDDTHGCLDWLNKHEPASVVYISFGSVISPPPQELAVLSEALEESDLPYLWSFRGNPEQQLPRGFLERTSSKGKIVPWAPQLKLLQHPSVGVFVTHGGWNSVLESIIGGVPMIFRPFFGDQRLNTRTVEAVWGFGLGLEGGTFTKDGTIKALKFILSTEEGKRMREKIGVQKELAFKAVQPNGSSIENFKTLVKIVTTTSRAEWQHSIN >EOY29373 pep chromosome:Theobroma_cacao_20110822:9:953736:955066:1 gene:TCM_036930 transcript:EOY29373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMILANSYCFQTKIISWFEQTSLLFLILVKEHRLLCKFLKCLSYKRSLKLQSDEACGRHISCVSQFPGQKKCKHPNTSELRN >EOY31926 pep chromosome:Theobroma_cacao_20110822:9:17906902:17916108:-1 gene:TCM_039290 transcript:EOY31926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein isoform 1 MSSERVLVELSKGVNGLDKIILRETRGSSAEVYLYGGHVTSWKNDHGEELLFVSSKALFKPPKAIRGGIPICFPQFSNHGPLEQHGFARNRFWSIDTDPPAFPTNSSGKAHINLILRPSEEDMKIWPHSYEFRLRVALGPGGDLMLTSRIRNTNTDGKPFTFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQNKERFTEQGDAITFETELDKIYLSTPTKIAILDHEKKRTFVIRKDGLPDAVVWNPWDKKAKAMADFGDDEYKHMLCVEAAAIEKPITLKPGEEWRGRQELSAVPSSYCSGQLDPQKVLLGS >EOY31925 pep chromosome:Theobroma_cacao_20110822:9:17906880:17916108:-1 gene:TCM_039290 transcript:EOY31925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein isoform 1 MSSERVLVELSKGVNGLDKIILRETRGSSAEVYLYGGHVTSWKNDHGEELLFVSSKALFKPPKAIRGGIPICFPQFSNHGPLEQHGFARNRFWSIDTDPPAFPTNSSGKAHINLILRPSEEDMKIWPHSYEFRLRVALGPGGDLMLTSRIRNTNTDGKPFTFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQNKERFTEQGDAITFETELDKIYLSTPTKIAILDHEKKRTFVIRKDGLPDAVVWNPWDKKAKAMADFGDDEYKHMLCVEAAAIEKPITLKPGEEWRGRQELSAVPSSYCSGQLDPQKVLLGS >EOY31859 pep chromosome:Theobroma_cacao_20110822:9:16633588:16636569:1 gene:TCM_039171 transcript:EOY31859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGDFGKRETVMQKKAKLAPLYRIGERQWKQKSRVQWVKEGDRNTKYFHAMELARRRVNQTISLKVNDQFIKELLKIKK >EOY32146 pep chromosome:Theobroma_cacao_20110822:9:22175632:22181836:-1 gene:TCM_039702 transcript:EOY32146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MASSLAGPLNIYRNDYEIELQMRQIQQEKGDCLTQGHISILPARVHLDLQQNDYTEMVGIWEQWRRAHRDNFQNKYGHIAWLLYVPVDDQMLRAIVQFWDPSYRCFVFNKVDMTPTIEEYSSLLRIDHMQPDKIYWRAQKTGHRRKLAKLLGMTTVEVDQHLKKKGDTECLPWSFLNGYIKKHMEDEQGLLAFAMAIYGLVVFPKVLGHVEVSVIDFFDQVTRSINPAPSILVETFRSLNFCRRKGEGRFIGCAQLLTIWIKSHFECKESKFRKLYLSASCPILEFCESEWPDYKRKEEWVTRLRRLMSIEVTWRAPWMPRMQVMYKCGDKLWVPLMGPWGAISYAPIMVRRQFGSEQFVPMTHQLDQLEFKYGEPKTLKRIEEIAQDWKKTCRVDQGKVTDEVTTGYHTWHDQRVKNVIHPPKNPSKHPVNPEPQDVLLESELTRKRLEKEMMNMKRRHEDELEEVKKETARKVRVALKERDEWQSKFEEVSVANSSLLARIQELQSANNALQHEMREVAFRARVMADKTEELRREILPKDELSERLIDHLKMVRDQYDKNKIPEKQILHRYNTRARSKIMGDEHSERMDKIEKKQEEIMGQLSKILELISTDKGKKAAGSSGTPEDVQQTETNTDPVYPPGFTPPPARNAFIPMPSVGQYPFFGMPIGPPPTYAQQRPI >EOY29543 pep chromosome:Theobroma_cacao_20110822:9:1511812:1515911:1 gene:TCM_037050 transcript:EOY29543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat family protein / protein kinase family protein, putative MVLFWIKQSSLSFRVLFFFTLLLLSSFHVFVSVSVSNSSVPRDESTSEKEANALLKWKASLDHQSQSVLSSWLGNDTCYWIGITCDKSGRVSHLNLSNSGLIGTLHDFSFSSFPELAVLDLWNNSLDGIIPSDIGNLYRLTYLDLSVNYLYGNIPFEIEKLRSLSQLYLDTNILTGSIPYSIRNLTDLSILYLYKNKLSGAIPQQVGMLKSLNRLTLSNNNLIGSLPNSIENLSNLVSLKLFNNKISGPIPHEIGMLRSLEILFLTNNSLTGELPASIGNLKMLSHLLLYENKLSRFIPSSIGNLTNLIDLSLYDNKLHGSIPRQLGKLRSLVTLRLFKNSLSGFIPAEMNNLTRLQDLELFENYLTGHLPQQVCLGRALERFTANNNLFTGPIPKSLKNCTSLYRVRLEHNQLTGNLSEDLGIYPNLDYLDLSYNKFYGELSPKWGQCHNLTSLKLSNNNISGQIPSELGNAIKLQVCDLSSNNLVGEIPKELGELQLLFNFMLNENHLSGSIPPEIGMLSYLMNLNLAANNLNSSIPRQLSMCKKLLELNLSSNRLSGEIPSELGNLSFLEILDLNQNLLIGEIPDQVGNFKTLEKLNLSHNKLLGFIPSTFADMLSLTSVDISYNQLEGPIPNNKAFHEASFEALRNNKGLCGSITGLEPCPSNVTHSPAHKRTKKMVIAIVVSLLCSLLLVFVVFGIFSCIKQRERNTENTSRIVESQNLFAICNYDGKRMYENIVEATEEFDSKYCIGVGGYGSVYKAQLSSGQMVAVKKLHPLPEGGVADQKAFHSEIRALTEIRHRNVVKLYGFCSHPLHSILVYEFLEGGSLEKILSIEEQAMDFDWIKRVNVIKGVANAVSYMHHDCTSPIVHRDISSKNILLDSEYEAHVADFGAARLLKPDSSNWTPFEGTFGYSAPELAYTMQVNEKCDVFSFEVVTLETLLGRHPGDLISSLSSSLSTFSPSCSSSATLHHVLLKDLFDQRLPPPRKQVAAKLVSIVKLASTCLHASPQSRPSMQQVSQQLSIQNPPSGNQFHTLTLGQLLDSCTHTS >EOY34381 pep chromosome:Theobroma_cacao_20110822:9:40858477:40859020:1 gene:TCM_042069 transcript:EOY34381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFYKIRHRKSHPPVGHQSESHNQQHYQVVPSIYWQGKPSTDWACLLAWTKQLFHSATSCGARVHVVCPCFYCIYSTKYSASLIFEKYNICLLSQSLEPFSY >EOY32062 pep chromosome:Theobroma_cacao_20110822:9:19899290:19918799:1 gene:TCM_039505 transcript:EOY32062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double Clp-N motif-containing P-loop nucleoside triphosphate hydrolases superfamily protein, putative MRSGACAVQQTLTTEAASVLKHSLSLARRRGHAQVTPLHVAATLLSSRASLLRRACLKSQPHPTPHPLQCRALELCFNVALNRLPTTPGPLLHGQPSLSNALIAALKRAQAHQRRGCVEQQQQQPLLTVKVELEQLVISILDDPSVSRVMREAGFSSTAVRNNIEDSSASSVFQCYSTSGGVFSSPCSPSPTDSQRELVNPSTFWQTHLLTYSSEQNPFFFSPQKKVPSNYVTDSASVKEDIKLVFEVFLRKKRKNTVIVGDCVSTTEGLVSELTERIERGDVPEEMKHVHFVKFYFAPVSLRFMKREDVEKHLADLRRKVDCVASGGEGAIIYAGDLTWTAEENLNGEIPGYSAVDHLVTEIGRLLSDYNFSNTKVWLVATASYQTYLRCHMRQPPLEAQWALQAVSVPSEGLGLSLHASSVHDSRMPFAQNPSQVLESKPFANKEEHDKLSCCAECTTNYEKDVQLFKSGQQKLLPPWLQPHGSNNAYQKDELLELRRKWNRLCHSLHQGRHNQNHLRSTLYNNQSHTGKSHPYASSYPWWPCQSSMFPDSTSIYFSDSGSKLNHSPNSVPKFRRQNSCTIEFNFGNGTHKHESGELNLDSLKNSEDKEVKITLALGNSLFSNSGKPAKETSEVCKLLQANVPWQSETIPSIAETLIDSKSTKKETWLLIQGNDVIGKRRLARAIAESVLGSPDFLLHMNMRNNEVTSCSETLVRALRNNDRLVVLVENVDLADTQFLKLLADGFEAGLFGESREREGGSNQAIFILTKSISSSYEDGKRNQDSVLEMKLNVNEKSSSFGSPNSENKRKAEWDVSNKIKTPRIDEEDPSSTGGENGSIKKELSRQSSLNTLDLNMKADEDDESGDKPGEFSPISSDLTRETTADPHIPIGFLKLIQNRYVFNQNATQEKEMKEFFVSKMKGSLKETFGGQNVISFSVEKRVLEGILFGSSYFLNSLFEKWLKDIFQTGLQTVKIGGKEGIGEIRLSYGGIGEKAMENGYMGTCLPKKIQVSFMG >EOY32107 pep chromosome:Theobroma_cacao_20110822:9:21085140:21085758:1 gene:TCM_039604 transcript:EOY32107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MCLVFLCDQQERELGRQQAPGCCPHCGGKVVAADVESRWRFCFLPICFKIKRKYSCTLCSRRLVLYY >EOY32408 pep chromosome:Theobroma_cacao_20110822:9:28867512:28878972:-1 gene:TCM_040312 transcript:EOY32408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein, putative MPEKMHCFQYIVALLFCAVLLEGSSSNGQLSPSFYDETCPNATSIVRQVLENAALSDPRIGASLIRLHFHDCFVQGCDASILLDDPVNGEKEARPNNNSARGFEVVDAMKAALESACPNTVSCADILAIASEESVCLAGGPSWAVPLGRRDGLTANRTLANANLPAFFEPLDIIKSKFRVVGLDTSTDVVALSGGHTFGRAQCSTFTDRLYNFTGVGDTDPNLNTTYLEKLRQICPQGGNGSVLADLDPTTPDGFDNNYFTNLQVHKGLLQSDQELFSTEGADTIEIVNRFSANQTAFFESFVESMIRMGNISLLTGTDGEIRSNCRVVNAATIRSGSDVALVSSI >EOY33403 pep chromosome:Theobroma_cacao_20110822:9:37336794:37338108:1 gene:TCM_041380 transcript:EOY33403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGFKNFGVFFLLLSVQLHPLLALSQTSLPDPFMTTDFNQEQDVATYKSVPKRLTMLVKLGDNQNITVVNKRGGAAGGHGGGHGAGRGSVGGARGSGGGKKSPYTQGGVVIPLYVAGATNHPRVSHSHGSNGGTLSYVPLDYLILAFFASFFLVLF >EOY32608 pep chromosome:Theobroma_cacao_20110822:9:31996706:32012525:1 gene:TCM_040615 transcript:EOY32608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKVIKRQALMVLISTFLQVTMVYNESIMNPTYTCLLHLTSVFGILICLEVTFDFSVFFLFSSPPRPFHLLKNQTIKYHYQKSQAPLGFNFSLIESKEITKQSGDVSRNVRAQTRQVVALKQLKAHVAMLASG >EOY32396 pep chromosome:Theobroma_cacao_20110822:9:28705319:28707006:1 gene:TCM_040289 transcript:EOY32396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MILVSREPFWYKDRLAESFFEDEIATLTQPLKHSMVGKFFRMPRLNEIQAAFKGIGLVGAYKIRWLDYKHILIHLTNEHDLNRFWTRQAHLFQKSALIMIAKIVRRPLFVDEATTNGSRLGITQVCIEYECQKPPMDQVWIVTRDRATKTVIGGFTQKVEFSKLSKYCSHCYHVGHSVSTCMVLGYRPKKRSEREAGQDLTLKEKKEPVAVSNRFGVFGEMQDNDPLEQGRIEYVNSTIAKTKNAPQPRLGPTAMASQLQGDDGLMSSIKSRSKAIIAAIQSKSQATAHKQLETTTEDDDGRKQTQRIATFGVHDGLGGQMQSGRIYRMVKRG >EOY34147 pep chromosome:Theobroma_cacao_20110822:9:40057966:40062474:1 gene:TCM_041907 transcript:EOY34147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMPRVRLMWTWRPNRSVENFDHRPTVLAMGPPVIVVVLWLDRPFDCLYCGDVDGGVAIMKQAMTSGARWSGCGKFHRLILGSNIQFQLLISVSGRLSLSPAGATFSQITRLKSSSSFAMILLKGRIAC >EOY30243 pep chromosome:Theobroma_cacao_20110822:9:3898394:3902092:1 gene:TCM_037517 transcript:EOY30243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast, high sulfur B2-like protein MAARAGGSSSVRSLPPPCPKSPPDYPDLYGKRREAAKVQVLEREISFLEEELKSVEGLQPASRCCKEVTDFVMANSDPLIPTSRKNRKSCRFWKWLCGMPCFNLSWICCCCYSGCSCHLKCPRCSDCNLCDCNLCNCSSFDCSSCIYSSRNSRSCNCTSCDCSSYNCTSCNCCPSNCTSCDCSSCNCCPSSCSSCKCGSCKCSSCKCSSCACSSCKCYSCNCNSCLSCCAMPKWLCCSCPKSNCCRKISCSRNCCICRFPSCTDCCCCTWRCFRPKCPKVRLCCSCTKTCCNPCCLLF >EOY34653 pep chromosome:Theobroma_cacao_20110822:9:41695721:41696826:1 gene:TCM_042253 transcript:EOY34653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSRTALQWLSLVGIIWLQSINVTNTNFSAYSTRLKTLLSISQLQLNNAGKLFGWLAGTAAHMASPYPWSSSGVDRLWTAITLPNRPFIIFFFTILAGSSMISTVS >EOY31165 pep chromosome:Theobroma_cacao_20110822:9:7336163:7345697:1 gene:TCM_038150 transcript:EOY31165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat-like superfamily protein MDSRDSSSSSAPNRDGAAADDDGVLSVTAALAKDAALYFQSRKFAECVDVLNQLKPKKEDDPKVLHNIAIAEFFRDGCSDPKKLLEVLNNVKKRSEELAHASGEQVESGNNVGNKGSSGSKGSGTITQQFSGSNSASIIYTDEFDTSVAALNIAVIWFHLHEYAKALSVLEPLYQSIEPIDETTALHICLLLLDVVLACHDASKSADVLNYLEKAFGVGNVSQGDNGNMVAQQSTSLVGKSSSVPSSSLVSDTSSSDLAASVNASENPLSRTLSEDPLDEMFSTLDIGGQNLARSAGLTSANDLPRTTVDRSISGVDLKLKLQLYKVQFLLLTRNVKIAKREVKLAMNIARGRDSSMALLLKAQLEYARGNHRKAIKLLMASSNRADAAISSMFNNNLGCIYYQLGKYHTSAVFFSKALSSCSSLQKEKPLKLLTFSQDKSLVITYNCGLQYLACGKPILAARCFQKASLIFYKRPLLWLRLAECCLMAAEKGLVKGSCASSDRSEIRVNVIGKGRWRQLLIEEGISRNGLVDSSEKDDWALGIDGQPKLSLSLARQCLYDALHLLNCSEWSNSKSALPSNASLEENEDGASSKNSNHKNLSGIDSKASTMSVGLVNSNGDVKEPKGGTNQEIIQNSISYYEGICRRENQMIKQALLANLAYVELELENPLKALSAARSLLELPGCSRIYIFLGHVYVAEALCLLNKPKEAAEHLSFYLSEGNNVELPFGQEDCEQWRVEKPVDCEESTGAASAKNPSPEGLVDFMFLNPEEARGTLYANLAAVSAIQGELERAHHFLRQALSLVPNSSEATMTAIYVDLMLGKSQDALSKLKRCSHVRFLPSSLQLNKSS >EOY31166 pep chromosome:Theobroma_cacao_20110822:9:7345725:7347728:-1 gene:TCM_038151 transcript:EOY31166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKYSGVFLSRWSLLDIFFWPCAVHASSSIMDVLYATCFLLLAFFKCSNQEVTAQSYDIHFDEPCFFLTFSLFTFNHNICIAIQLLKVGLCCNVKLWEENRGRTVQEV >EOY32688 pep chromosome:Theobroma_cacao_20110822:9:32862683:32865551:-1 gene:TCM_040719 transcript:EOY32688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MMRKVVKGRSKGGKYRGTESLTENCTDNFKGKESTKQMTMKGNSKAECSRQEESQTGERVPIGNGQTEVDNTVQKEDNKNIDTPMMQKRGADGETVCAYFSSSQKIGKRVKVYWSGSRRWFTGRIVAFDNKNCLHRILYEDGDKEVLDLRKERFELKEYVMKENSRNVNGAAVTNVNIFSETAKRATKEFEAEVTVVKSHSDFWSMGSDNCDIKERGKAVKDDFLKIIIAELAKRLKSSRKKLMQKALRMRTSKSSSRKDSNCGMKSRENEALAKEANEIEGVSNAAQTTQFNFMAKNRTALEACKISGDAANEVEKSDETVTDEEDSITSLFLKSSQCER >EOY32056 pep chromosome:Theobroma_cacao_20110822:9:19706193:19712487:1 gene:TCM_039490 transcript:EOY32056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNGSTYPIHGKSTIHHNSKKSLLDNTHQQCVSQTFKLIFCSLTQSSYPTKRCANSVGMNVGNKIAI >EOY34732 pep chromosome:Theobroma_cacao_20110822:9:41954367:41955736:1 gene:TCM_042316 transcript:EOY34732 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit N MATAAQCLHLQYRALAWVNCLRRSEMPMPFRATQGHSKTSKSNRRGLMVKCSGSGIGIGDFIGGDLLRFDLGRWLSDVEEHKALAIYSPHEGGYEGRYLNRLRYQGYYFLDLSARGLGDPETTLTKIHPVCPAHVGKQPIARWYFPPEVDYRLEALPPDAKGLVVWIIEAKVLSKSELQFLALLPTLRPKVRVIAECGNWRKFMWKPLKEIAGLTAEEVA >EOY29902 pep chromosome:Theobroma_cacao_20110822:9:2746802:2751659:-1 gene:TCM_037287 transcript:EOY29902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,3-galactosyltransferase 12 MPLFSHRSSSPIPSFYSSSKPLKPSRPISTLSSTRAPLPLIVFSLLCLLIGLSGTLFAISAIRRRQPLPVFRCGKSEDTFRAFYSSSVSGKLADDNNEGLVDRPKFLGFVGIQTGFESGDRRDALRSTWFPSDPDGLLRLEQATGLSFRFVIGKSKDVKKMAKLEKEIEKYRDFMLIDVEEEYHRLPYKTLAFFKAASRLFEADYYVKADDDIYLRPDRLATLLAKERSHSLTYIGCMKKGPVITDPKLKWYEKSGHLIGNEYFLHAYGPIYVLSAEVVASLAAARNNSLRMFNNEDVTIGSWMLAMNVHHEDNRAICDPRCTPTSIAVWDIPKCSGLCNPASRLKDLHKIGMCSKSPTLPPDDR >EOY34019 pep chromosome:Theobroma_cacao_20110822:9:39708245:39718309:1 gene:TCM_041825 transcript:EOY34019 gene_biotype:protein_coding transcript_biotype:protein_coding description:FPF1-like protein 1 MMNDEIKERVQIVAMRRRDWLGEMKRSLSNCAGSADTMSGVWVFDKKGVARLITNPTKESFEQKDPIYPGTSTAPGARPRVLVYLPTNQVISSYAELEQCLAELGWTRYNNISQPDLLQFHKSDASAHLISLPRNFANLRPMHMYDIVVKNRSFFEVRDPQ >EOY29214 pep chromosome:Theobroma_cacao_20110822:9:370116:375260:-1 gene:TCM_036817 transcript:EOY29214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere protein O MGEVNFMQEEDFRLETTRARFANVVKRHAQLTDRLSRDSDKMIFERLQNEFEAARASQTQEINLDGEQWNDGLLATIRERVHMEADRKATSGDTNNMPTHFEEKITYRIGSKVICCLEGTRIGILYETSFAGEPCELYHCVLESKSFLEKMTVIEHTIPFFLPIREAENDLLSSNAMRFIDHIGDLLQAYVDRREQVRLIKELYGNQIGELYHSLPYHMIEFLLDDSDCKVTISLRYADLVSVLPTRVRVLAWPMHQFKKSHTSSAILSRKENGAMSSQAVPSRLSYAEDALRTMSLPEAYAEIVLNLPQALQQIISA >EOY34460 pep chromosome:Theobroma_cacao_20110822:9:41199980:41200673:-1 gene:TCM_042137 transcript:EOY34460 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family, putative MAKLRSSSKKKNGILKFKIVAEKLHKSLSLGRKWNSAHVPEDVKEGHFAVVAECGEEPRRFVVPLSYLTHPRFLMLLEQAAEEYGFDREGALAIPCRPSELERILAEQWQEGDSNANVSWNSCEAMIQSY >EOY30870 pep chromosome:Theobroma_cacao_20110822:9:6077311:6079664:1 gene:TCM_037927 transcript:EOY30870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MVMLEKSLQASMNARIIGSGGETMVLAHGFGLDQSVWDKILPYLTQHYQVVVFDWLFSGAVKDPNIFDPLKYSSYDAFANDLIALMDELDLKSSVFVGHSMSGMIGCIASIKRPELFNRLILVGASPRYINIDEYEGGFEAAAIDNLLSDVECNYQNWTSSFPTLVVDNNDPLSVEKISKCLKSMKHEFALPLAKTVFRSDERDILDKVTTPCTIIQTTSDFVVPDSVAYYMQKKIKGKSTVEFVKADGHFPQLTAHLEFLDDFMGWRGDGGWPVKSSLLSVMLVLLLVF >EOY29851 pep chromosome:Theobroma_cacao_20110822:9:2565868:2566575:1 gene:TCM_037253 transcript:EOY29851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MSCDKINFQNRKINKETCEGCKIRCSLLIGPADIESFNMLVIAFSRSIHLLNQLKQWRHCRTSLEEPKHRKLASKAKSVKFLPNERNLGCLHTVISSQGISFLIQAPLTLWSHVNFTLFCFRAKHLVLVIDAPITRSWKTR >EOY33081 pep chromosome:Theobroma_cacao_20110822:9:35360018:35360647:1 gene:TCM_041077 transcript:EOY33081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MQSMLNLHTTYGLPLCVSGITKHFILSEEMADHDRRREAMKKKRSRASESLHADREMGIQENFETVVEQIMEGEVDGDGAIVLQHLSCSCLACTAKAA >EOY31507 pep chromosome:Theobroma_cacao_20110822:9:9226181:9229792:1 gene:TCM_038434 transcript:EOY31507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPNFHGSRKVIDGNSQRLSTYHPPHQGVSSPGNNLPGGASSSGHSRGLRTWSRVRGILNGTHHAGESSRASNPALAAAMSSRDSIESTRIVSGQHPLGLKHGSADEVRTSQTENLANSSVVKPTGFNKVKSRSGHAQQHQYNKTERNHDPAPLVNKSGSNRTPVSRLSKQQEETTEPPKNHSSSFRSVTSRIPVSTQRRLMGTTQPLKDPAESAKTSTISRIRASMRFVKKERTQPPKNPTDLVENGGIGTILASKHAMQKKETAHPPKDPARLVRNIGTVRIPVSIDGNIGTSRLPVSMHRMQKKETTQSPKDSAALVKKNETTSRIPMGKQVTQKKAESQPSKGTSDLVSKSATGRTLPSMLVTRNIETTPAKPRQKQLFCTYEDHIVWEGFDERIVPLGKSCLLCDGDLANKPVNSPDIERLNPSENAVLSCGHVYHSLCLQFVTSEEKSRDPPCIICASILS >EOY29503 pep chromosome:Theobroma_cacao_20110822:9:1374615:1379638:1 gene:TCM_037017 transcript:EOY29503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase family protein / peptidoglycan-binding LysM domain-containing protein MCFEDLGSLEKLVGVIILAWLSCTSHSLHVTPISCNVSLTEFCPASLYYVPRTTISLEELSALFHVNSNAVNRTIDGFLIAINCSCLAGHDEFTWHLEYEVQPEDTWDGISSKFGSFVVEKPEKALVPSQTVTLDILCGCSEGADTVTYKVTKGDTLHTICSRFDADLNKTAWLNRLENPKLIHEGDVIFITEPEGLQNLIVYDNKDSSIKKASKSQTHVVVGAISAAFAVILLTVILVSWKGYKKKGTQLPKPCSRKMDQLHSYFDLCTFLTKSGESTVSSFNSDKAVVFPYYEVCDATSNFSMSLKIGQGSYGSVYLGKLKGADVAIKQMKNTKSKEFLSEINILCKVHHSNLIELIGYAAGGDSLFLVYEFAQNGALSDHLHGSTLRGYKPLSWIRRVQIALDAANGLEYIHKHTKPYYVHRDVKTSNILLDSNFRAKIADFGLVKLLDNSPEVGAVASRIVGTFGYLAPEYVRDGRVTTKIDVYAFGVVLMELLTGQPALSRDASPGNNHYSEHRTVVDYMLSALTDIQKPMIELAKCIDPNLTRYHKDSVLQMALLSKDCVDDNWSQRPDMSEVVLRLSHIFMSSKEWEKQPCSHTESGELSVLCLLGPS >EOY31876 pep chromosome:Theobroma_cacao_20110822:9:16945982:16947567:-1 gene:TCM_039207 transcript:EOY31876 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 4B, putative MDRRGMEKGVSVIQGEDQLFFNKIISRTSSVGCSSRIYYYRSSEGVPFNWEMQPGTPKEPQKEDIAPPISPPPAILSLGLPKPCMNIEEPKPSIKLRFKFWKHVKKNHGNKKLQAGPKGVHLDMTDQSDKYSNYEMCSSDDGEFMASPHMSSSLSSSSFSFSNGLSFGSSRLPSPSRDSSLDRHYGCSPLNFSSILVRVPRSRRL >EOY31235 pep chromosome:Theobroma_cacao_20110822:9:7667785:7673667:-1 gene:TCM_038196 transcript:EOY31235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDKPICHGIMAMVLALCVAAMVQPGQSQMAPESQLFIPRDGNIPPETLVSEKFIKNLFPKKGKTKKNALDIGGLIAECLGNYDNVKDCVAEVQEVFTTGDLQGMAQLLLPPFSFSPTSGVPGLPWQPGEIQKCWSSLTSIEGCIMEVYTSLFRGQVGIIGPACCQAITQIKDNCWPKMFPFNPFFPPLLKTSCSATPPAGTLLNDISKLSATELLPVQPGNEVAECWSSLTNSNGCLLQIFKSLPSGQIGAIGPACCKAITEIKDNCWPKLFPFNPFFPPLLKNFCAQIGGLAPAPK >EOY29702 pep chromosome:Theobroma_cacao_20110822:9:2093990:2097800:-1 gene:TCM_046918 transcript:EOY29702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalytic, putative MEVNCTVPDAAEIQSQFLQLLRSRRNAQVPLTVEPAKPVVNPLYQEVPPPTFSKEMESCPKANIGNIKELLKEENLYLHTEAGEQGKLPLLILSMKESNQQKRPAVVFLHSTHKCKEWLRPLLEGYSSRGYVAIGVDSRYHGERASSLTTYRDALVSSWKNGDTMPFIFDTVWDLIKLADYLTQREDIDPTRIGITGESLGGMHAWFAAFADTRYAVAAPIIGIQGFRWAIDNDKWQARVNSIKAVFEEARIDLGKNEIDKEVVEKVWDRIAPGLASQFDSPNTVPAIAPRPLLIANGAKDPRCPTDGLEIPKTRACKAYGDAHCSDKFKLIAEPEIGHNMTPLMVKEASDWFDRFLK >EOY29564 pep chromosome:Theobroma_cacao_20110822:9:1562227:1567848:-1 gene:TCM_046914 transcript:EOY29564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase, putative MESRMISSSELTTETEKEERFDLGKGTQGSTSSSGGQLFKGKIEFHPARKPFNGFKSCIGGDFRIETLNPGPDPKRATGMGSGQAGVAGRKADGSDMWENGLDPVLSLRITFRKIGAGLENLGNTCFLNSVLQCLTYTEPLAAYLQSGKHQNSCRIAGFCALCAIQKHVSRALQSTGRILAPNDLVSNLRCISRNFRNSRQEDAHEYMVHLLESMHKCCLPSGVPSESPSAYEKSLVHKIFGGRLRSQVKCMQCAYCSNTFDPFLDLSLEIAKADSLLKALKNFTAAELLDGGEKQYQCQHCKHKVRAIKQLTVYKAPHVLTIHLKRFRAHDFGQKIDRKVEFGPTLDMKPFVSGPNEGDLKYTLYGVLVHCGWSTHSGHYYCFVRTSSGMWYSLDDNRVFQVSERTVLEQKAYMLFYVRDRRNIAPRKPVDILQRDNSKANVNGKSVFNQNLKDEVHTGSVENKLCAAGNSAIMNKKDNVNGGLSKDTSMKQVPSQRNNVHLMAESSVLKKESVFPSSNGSLLKDQSQATVSNPIHGENLQLSAHSVVDNVDSSNIENSTVTIGAKDSDCNERGNSKRDFGVPMTMSPNCGGPQNLATDKLATREPSQKINLSSNIEVSSTVTLEDCINKAVKKVPGEAPSMSTTDETSKNVDPIGSPNKPNCESSQVEDASNNSTSDKSLNKRGDDGRQNIIFESPWSMPNGCLKKGALDYAPCRNSKKKHLKLRRKNMHIGLRFKIFRPSLHMRSKKKHKRSKKRTLNAHVLGKTILSDEDLFPEDLGPSTSEKSSTITLGLIYRGRKKAANDIDMKNVSNSASSFVNTIDGEFKERIYQSGTVLATDQQAERSSGSVSEANWRNSREADSLKDSKMVASPDVLTQSLGETTVARWDDVDINSSSQTIEASGLESVKIGYVLDEWDEEYDRGKRKKIRHNKQHFGGPNLFQQVATKKTQVKKAKFDRLSSGNRPLRI >EOY31152 pep chromosome:Theobroma_cacao_20110822:9:7260508:7261495:-1 gene:TCM_038143 transcript:EOY31152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MNSHFSLSLLCFAQPKVVSEALGFYKNGGERKKDLAYMALFVFFHSFLELLLLRSFLLVGGDVACGLHTGMLLQFNKAVGRYGQGQRKKVQDRAILKCFEMLLWLLDFSF >EOY30246 pep chromosome:Theobroma_cacao_20110822:9:3921850:3925747:1 gene:TCM_037520 transcript:EOY30246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGISMLVNGSPMQNFSMQRRLRRYEDILLPEIRGGEEYEKGVKNFPSHVSCKCDVLLSTYLGLLFKANLKSGSREKEIVCGGGWPNFGFFIGNGKCIIFWDDVWVEETSLKELYLRMYAFAKNKKRMVREFKEWVNGSWEWRVELRRQVLGWEEEQYRRFLISIGEYFPCQELGDELVWKGNASGIYTTKSFCQVIRAGGGSKEKLVHGKAVVKAELAKRGMIRVVDVMCLLCKIELEMVDHLFMGLTTFSDKGTKRLIAWQMEACADIKIYWKSIMKTEMSRMKN >EOY30527 pep chromosome:Theobroma_cacao_20110822:9:4885700:4886704:1 gene:TCM_037706 transcript:EOY30527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A2 family protein MPSGAAIPARTRVVAMFAFVFVFLTGFADSVTNDSKVKCSRTCIAENCNSVGIRYGKYCGVGWSGCPGEKPCDDLDACCKIHDKCVEKKGLINVGCHEKFKSCIKKVQKSGKVGFSRNCPIETAVPTMVQGMDMAILLSRLGGTRLDEL >EOY34561 pep chromosome:Theobroma_cacao_20110822:9:41438519:41444667:-1 gene:TCM_042189 transcript:EOY34561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb HTH transcriptional regulator family protein, putative MDEEEEGDDKRKTRNSASSSNSIVEEGEKKATSSGVRPYVRSKMPRLRWTPELHLCFVRAVERLGGQEKATPKLVLQLMNIKGLSIAHVKSHLQMYRSKKIDDQGQVLNNKQLLGSVDNLSQNLWHQSMLHDQRVSSDFSDISMSAFRGNRMSEPYLTNCINSRREAGSGGSDMNEELGSNVSNEVFYMNNNFIFNQRPARKMEDLQDKLQLPDDCETIQAQMQPILMHPSFANKWLGGRGAERQRIAKRKALGGDLDLSLSLSTKLRQEVGRKTSNIEEEAADRDLSLSLYSPSKTEMYSVNVNMVSKSSKLKEDDSTMNPKLSSTLDLTI >EOY30384 pep chromosome:Theobroma_cacao_20110822:9:4429909:4431652:-1 gene:TCM_037613 transcript:EOY30384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MDSTNGIWIFVWAQLALLKVEVFVWQMLLGKIGVKEELVKRGIQLNSSLLCILCNLGMETCNHLFVECMKTWKI >EOY33559 pep chromosome:Theobroma_cacao_20110822:9:38043055:38044396:1 gene:TCM_041505 transcript:EOY33559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MPFYLVPIYIDRSDEPCWALSSTGYFTVSSAYESLKEATVSRSTASNGEWNLLGNGMEPNVSVHFSFSVYMNTFLPIKNESADICQMIRFVSNVDRRKNQSYTCWRLPICQCHLVETLTSGKPYAVLHVNHIGMWRNSSIFEDKLVSMEEHLNAIFSLALVINNAYCIFGDSGGTNTNRREEVLIGWSSPPAGWLALNSDGAYRKSIEMRAAAWELGYRKIDLQVDSELVVQAITSPLFHPCSNSDIIHVIIQQLLRRQWEVST >EOY30178 pep chromosome:Theobroma_cacao_20110822:9:3693197:3694662:1 gene:TCM_037477 transcript:EOY30178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine and histidine-rich protein 1, putative MHLTHTFIEGRKMKTKRKTWVAVITAAVSFWRIRAASAATPSCSVKKPDQKPSKCVIDRAQDESQPIPEAIEKPTPSALVSAPAGVMEMSVSSPLVCHDAVTKGGKFKLTVYSEDDGDSHVDGEMTVTEWSDIDGDCKEWSCGGWWQSWERVLSVRKGETGWYRYQDLTAINGNVVRLWDECRR >EOY34071 pep chromosome:Theobroma_cacao_20110822:9:39858620:39865283:1 gene:TCM_041862 transcript:EOY34071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock cognate protein 70-1 MAGKGEGWAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFNDASVQSDIKLWPFKVSPGAGDKPMITVTYKGEEKQFAAEEVSSMVLMKMKETAEAYLGSTVKNGVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNELENFAYNMRNTVRDEKIGAKLNPADKKNIEDAVEQAINWLDSNQMAEADEFEDKMKELESICNPIIAKMYQGAAAEAGGGTGDDAAPAGGSGAGPKIEEVEIILANFFSKSQHRAAIQVHGHKVDRDTHS >EOY31243 pep chromosome:Theobroma_cacao_20110822:9:7690228:7699895:1 gene:TCM_038202 transcript:EOY31243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding protein, putative MGGVCSRKRDQQVVEDGMRRGVSGRYGKSNSSKWLVTSFSRPMVVHQPGLTICPSLMELCIDKICEDIDQYSSFSMLPKDISQQIFNKLVLSHLLTDVSLQKFRDCALEDVWLGEYPGVQDSWMDVISSQRTSLLSVDLSGSDVTDTGLGLLKECSSLQALTFNHCENISELGLKHISSLMNLTSLSFKKSDAITAEGMRAFSSLVNLEKLDLERCSGIHGGFVHIKGLSKLESLNIRCCKCITDLDLKAISGLNNLKELQISNSNITDFGLSYLGGLSKLIVLNLEGCYVTAACLDSISALVTLAYLNLSRCCLTDDGCDKFSGLKNLKVLSLAFNNITDACLAHLKGLTNLESLNLDSCKIGNEGLANLTGLSLLKSLELSDTEVGSNGLRHLSGLTRLETLNLSFTLVTDSGLKRLSGLTALKSLNLDARQITDAGLSALTSLTGLMHLDLFGARISDIGTNYLRCLRNLQSLEICGGGLTDAGVKNIKDLASLTILNLSQNCSLTNKSLELISGLTALVSLNVSNSHITNDGLPYLKPLKNLRSLSLESCKVTASEIKKLQSTALPNLISFRPE >EOY33898 pep chromosome:Theobroma_cacao_20110822:9:39287093:39290127:1 gene:TCM_041736 transcript:EOY33898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation proton exchanger, putative MDIIHKRLCLDQYSFNPLVTALTQTSCILAISHFFHLLLKPVGQPGPVAQVLAGVVLGPSVSCRIHDIREFFIQPTSGDYYQFSSYLFEIMFMFLIGLETDISFSKRNLYVASITAYSGLISNSILGAALSPLFIKLLRIPDKKIQFATVIMMILANSASLVVIRLVADLKLDTSDVGRLAVTSSLVNEMSCVLVASLYHAVGSWKSFSFLFLTFLVTGLLIGLNQYLAFWINKSDGDNKYVNNADVSFIFFLILMLSFIVETCGYSSTISCFLVGLMFPRKGKTCRTLLSKLSYLVDNFLLPIYFGYIGFQFDVNYLNSIATIITVVVMILLNFGGKLVSTFAACHYLKVPLNEGFMFALILSLKGHFDLQLINVEPNSRLWWNPCVHSVLLSTVVFDTVIGGIIVAFTVKREEKSLSHKQTSLELHDPESELRILACTYGSRHSSGSLGLISALGGSKRAIVTPYLMHLVELPEKRKTNLMYHQLAEGDQYSDEDDYGGDDAVDTFTSETKILINIVKAVSSFASLYEDVCTGAEDRCVSIIFLPFHEHQRIDGNMEIDKEGIRATNQRAPMIEALAFSKWISSHPQVNLTLIRFLPVREQISGSHNQDNEFLLTVSTNNSQTAIDNASLVEFYNRYIASGKANYTEKHVSNGGETVTALTELRKVYSLVNYSWKGWKREFINNNFE >EOY32961 pep chromosome:Theobroma_cacao_20110822:9:34672615:34674861:1 gene:TCM_040975 transcript:EOY32961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MEGIQHKTVRVNGINMHLAEKGEGPVILFLHGFPELWYSWRHQINGLASLGYRALAPDLRGYGETDAPASIASYTCLHVVGDLIALLDAVAPDQEKVFVVGHDWGAIIAWYMCLFRPDRVKALFNLSVPFIPYNPRFKPVETWKAYFGNDHYIVRFQEPGVIEAEFEEIGLGRAVLELLSYRVPDPLYLPKGNLFGHPLDGPVDLPPWLSHQDANYYATQFEKTGITPALNYYRNFDRNWELSAPWSGSQIKVPVKFVMGDLDLVYTMPGMKEYIHNGGFKRDVPFLEEAVVMKGVTHWINQEKPDDINKQMFDFFSKFH >EOY29528 pep chromosome:Theobroma_cacao_20110822:9:1475272:1476309:1 gene:TCM_037038 transcript:EOY29528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 15, putative MASLRTLVRLLAIGLVLFSFCEAKERLVGGSEDAWKIPVNSSDSLNQWAGKTRFKVGDFLIWKYDGKVDSVLQVTKEDYESCNTSKPIKEYKDGDTKVELDKSGPFYFISGADGHCQKGQKLVIVVMSEKHWDHPDSPTPTAPAPAPAKNSASLIKPLRDGFLFLGLASFGVAFAFF >EOY30428 pep chromosome:Theobroma_cacao_20110822:9:4573398:4575432:1 gene:TCM_037644 transcript:EOY30428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKIDGECLIELIGSYRNKVQVCRSVRMPQLHTSLHFHLTPIVMTKTATLFFHQRD >EOY34166 pep chromosome:Theobroma_cacao_20110822:9:40137380:40139632:1 gene:TCM_041922 transcript:EOY34166 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 12 MELLSFLLLLPILHLFFTIWKWVDNKRDQDCYILDYQCFKPADDRMVGTEFCGEVIKRNKNLGLNEYKFLLKAIVSSGIGEQTYAPRIMFSGREESPTLSDGILEMEEFFYDSIGKLLSRSGISAQEIDLLVVNVSMLSAVPSLSSRIINHYKMRQDIKAFNLTGMGCSASLVSLDIIRNVFKSYKNKYALLVTSESLSPNWYAGNDRSMILANCLFRSGGCAILLTNNKSLRHRAMFKLKCLVRTHHGARDESYNCCIQTEDEKGRMGFHLGKSLPKAATRSFVDNLRVISPKILPIRELLRFMVVSLVKKWNRHGSPKGTPQGPIKAAVNFKSGVDHFCIHTGGKAVIDGIGISLDLTEYDLEPARMTLHRFGNTSASSLWYVLAYMEAKKRLKREDRVLMISFGAGFKCNSCLWEVVRDLGDGNVWKDEIDRYPPKSLANPYMEKYGWIQNEDPSTFKIPED >EOY31037 pep chromosome:Theobroma_cacao_20110822:9:6735960:6737349:1 gene:TCM_038060 transcript:EOY31037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ, N-terminal with domain of Uncharacterized protein function (DUF1977), putative MGVNSEMPLVTSRFLSFSICVLIQSLLGLLILILLSNFLPSSEPLYSLSRSYPYEYKMKTLKGVSYYVESTKFEQKYPANNPDRVRFEERVERDYVSVLRQNCRIEPQLQPRDLIPGTPHCDLLHKFTAA >EOY30834 pep chromosome:Theobroma_cacao_20110822:9:5955716:5960240:1 gene:TCM_037908 transcript:EOY30834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 2C4 MAKYCNGSSDQSESFDRIPPIQFTKLFINGKFVDSISGKTFEAVDPRTGEVITRIAEGDAEDIDLAVEAARHAFDHGPWPRLSGFERGRIMMKFADLIEENIEEIAALDTINVGKLYAHGKVLDVPGAARTLRYFAGAADKIHGTVLKLSKGLQGYTLREPIGVVGHIIPWNFPTVMFFMKVAPALASGCTMVVKPAEQSPLSALYYAHLAKLAGIPDGVINVVNGFGETAGAAISAHMDIDKVSFTGSTEVGRKIMAAAAASNLKPVSLELGGKSPLLIFDDADINEAVNIAFGGMFFNKGEICVASSRVYVQEGIYEKFVNKLVEKAKSSVVGDPFDPQVHQGPQVDKKQFEKILSYVEHGKREGATLLTGGKRVGQKGYYIEPTIFADVKDDMIIAKEEIFGPVMSLMKFKTMEEAIKRANNTTYGLAAGIITKDLNVANTVSRSIRAGIIWINCYIAFDVDCPYGGYKMSGFGRDYGLEALNQYHQIKSVVTPIHDSPWH >EOY29316 pep chromosome:Theobroma_cacao_20110822:9:725401:729907:-1 gene:TCM_036893 transcript:EOY29316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein MDSSEKEGLVEESLSSTSQQPACNSNQSNIFSLFLEPFQWLQMLSSQLNPTFIFGIVLVYGLSQGFSSSFFKVVTDYYWKDVQKVQPSVVQLYIGLYYIPWIMKPVWGLFTDVFPIKGYRRRPYFVLAGVVGGASALMVALFGNLPAALALGCLIGVAAGMAIADVTIDACIARNSIKVRSLAPDMQSLCGFCSSAGALIGYSTSGFFVHHLGAQGALILLAIPPVFITVLGFVIYEMRSTSLQSEKQKAMETLGVALRGMYQTIKFPQVWKPSLYMYLSLALSISTHEGQFYWYTDPKAGPAFSQEFVGVIYAIGAMASMVGVLIYHKTLKDIPFRNLLFFAQLLYGTSGMLDLIFILRWNLVLGIPDYFFVIMEECVSRIISRIRWIPMIVLSTRLCPLGIEGTFFALLMCIDSLGSLTSKWGGGIVLHTLHVTRTDFTNLWLVVLIRNTLRFATLGLIFLVPKADQSDALIPPDILTNNSTVTADDEGLELVSKNEIDET >EOY31991 pep chromosome:Theobroma_cacao_20110822:9:18708558:18712204:-1 gene:TCM_039380 transcript:EOY31991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MISEMYEWDSSDWKALELNAKAMHILFTALKEGSYKRVSICNSLKEIWEKLESLYGEKKLEEEYCEKESSTSDKESNRIKSLRHYCEAKSSSCKPCSSSN >EOY34003 pep chromosome:Theobroma_cacao_20110822:9:39644929:39648955:-1 gene:TCM_041815 transcript:EOY34003 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCARECROW-like 14, putative MEDFDPDHQVPPCKTMSHDVDFGGEGNRKIGRSDTHDAPPSDNTKLRTDQSQSGKRRARKTKFVAGALNGFPNSVNGFKFDNGFILPNSNGYPKFEISNGVKPIDVDFSSLGAPFLPSLGLDNSSTSTSLLTMEKEGDSSSPSDDSDFSDTVLKYISQVLLEEDMDEKPCMFHDSLALQAAEKSLYEVLGESYPRPNQAPLCKDLSVESPDNCSLGTYSDHSTFSGSSSCTSNSIDSRWNGDFREKNNKPSLLQMSIPENFVFQSTVNSGSQPSGRFQNGNVKNGNGLVGSSVSELAIPNCFGESELALHFKRGVEEASKFLPKGNQLTIDFDSNAWTSELKQKAPKTVVKVERDEEYSPPMLTGKKNHEREDEDLEEGRNNKQSAVFWDECELSDMFDKVLICAGRRGQSSTCDADKTLQNAPRKMLQQNDQSNGSGSGKARSKKQGKKKEVVDLRTLLILCAQAISSDDSGTAKELLKQIRQHSSPFGDGSQRLAHCFADALDARLAGTGTQIYTSLAAKRTSAADMLKAYQVYISACPFMKMAIFFANINILNVAEKATTLHIIDFGIFYGFQWPALIHRLADRPGGPPKLRITGIEFPRRGFRPAEGVQETGHRLARYCERYHVPFEYNAIAQKWETIRTEDLKINSNEVIAVNCLIRFRNLLDETVVLNSPRDTVLNLIRKINPDIFVHSIVNGSYNAPFFVTRFREALFHFSALFDMCETNVPREDPMRLMLEQKFYGREIMNIVACEGTERVERPESYKQWQVRNMRAGFRQLPLDPEIMKRVRDKLKACYHSDFMVDVDGRWMLQGWKGRIIYASSAWILA >EOY33458 pep chromosome:Theobroma_cacao_20110822:9:37594342:37597690:-1 gene:TCM_041430 transcript:EOY33458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper transcription factor family protein, putative MALSESESVAYGGIKTGSLVQAPQSPHEEATPDQSISCLNKQNSIFSLTLDEIQLKSGKTFGSMNMDEFLANLWNVEENQVPSQLNQNEPINDKGMGSQPTLARQGSFSIPTPLCKKTVDEVWFEIQKELPQQRKANNITDHEPPQRQQTFGEMTLEDFLIKAGVVQEPSGSSQQKKVTPIIQTNGTNLDANYGMGHVIGSSQQKMVTPIQNNNASLDANFGMGHVMGLFPGHQIVANNLATAGNGYAAAYPIFTESKIIMGESSNGAKNGSGTNSLLEPAVLHKKKRIIDGPPEVVVERRQRRMIKNRESAARSRARKQAYTVELELELNQLKQENAKLKQLVEENEQRRKQEVLKRKQSTHTQRKVDRMRTLRRTVSLGW >EOY31515 pep chromosome:Theobroma_cacao_20110822:9:9245972:9246719:1 gene:TCM_038438 transcript:EOY31515 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta' MLAIHKSLVFKSIDSYLLVRRIDRRLVPKMTKRKDPPESSRTQQQPIFPQRVSNTILARSVVAVVGLGFIDAGYSGDWSRIGVISKEVEDLLKIAAFVVLPLCFFLIFSFSKDSAET >EOY30270 pep chromosome:Theobroma_cacao_20110822:9:4023686:4026372:1 gene:TCM_037538 transcript:EOY30270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein, putative MSTISIYRTEFLTFSSNGSRPRHKFQRISLSPTMKMDSKSFESEKKEELSVQRQTPSIPQLDSLGPSNLGFDRLQPSDQELNQDKRLEFGKFVAREAFLDEEFWTAAWLRAESHWEDRPGERYVDNFKRKFAEQEFNAMKRRCRGQHGQTYTCIVTVKKEERNVKRTVLKSVVGTLDFSIRYLLHGESFPGERIRPLFCSINRTHLNKYAYVSNLCVAKSARRKGIASNMLYFVIESARSSGVEMVYVHVHRHNGPALELYEKIGFEIVEMASSQLLEQQMYLLCFKT >EOY32310 pep chromosome:Theobroma_cacao_20110822:9:26354876:26364749:1 gene:TCM_040049 transcript:EOY32310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-activating enzyme 18 MGKSIAEVGLKDLCNAGGLRLSIEGAREIYQVITEAIGIAQSNSSDPDPREVWREVVRRRVLKPWHPHQLHQLLYYSVYANWDASTNGPPLYWFPSLSQSKYTNLGHLMEVHGPKLLGTSYKDPIASFSLFQKFSVQHPEVYWSIVLKELSVVFRESPRSILDKSNKSKPSGTWLPGAVVNIAECCLLPSSHTKKQDDSCAIVWREEGCDNLDVNHIMLKELREQVMLVANALDATFSKGDAIAIDMPMTVSAVVIYLAIILAGFVVVSIADSFAATEIATRLRVSNAKAIFTQDFILRGGRKFSLYSRVVEANSYKAIVLPVSGNNVGIQLREQDLSWNEFLSSVNQHPRPNHYTPIYQPTDSVINILFSSGTTGEPKAIPWTQLSPIRCSADGWAHNDIKVGDVYCWPTNLGWVMGPVLLFTCFLNGATLALYHGSPLGHGFGKFVQDAGVTVLGTVPSLVKSWKSTNCLEGLDWTKIKCFATTGEASNVDDDLWLSSKSYYKPVLECCGGTELSSAYIQGSLLQPQAFGAFSTAAMTAGFVILDEHGHSYPDDEVCVGEVGLLPLYLGATDRLLNADHEKVYFKGMPMHNGIILRRHGDVLKQTVGSYFIVQGRADDTMNLGGIKTSSVEIERVCDRADHSILETAAVSVAPPDGGPEQLIIFAVLKKGVSRQPEKLKMIFSRAIQSNLNPLFKVSFVKIVPEFPRTASNKLLRRVLRDQVKQELFTRSRI >EOY30172 pep chromosome:Theobroma_cacao_20110822:9:3658801:3659314:1 gene:TCM_037471 transcript:EOY30172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein isoform 2 VWTRTLSQVPFTFFLKTLLFLRRILFKSRFLGLKIHLTSRQLPSSAATVLFQTLQVGALVLISNRTDWTRPVTNLLRSSRYIRGCFSTSNYA >EOY33284 pep chromosome:Theobroma_cacao_20110822:9:36279969:36286431:-1 gene:TCM_041227 transcript:EOY33284 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding,leucine-tRNA ligases,aminoacyl-tRNA ligases,nucleotide binding,ATP binding,aminoacyl-tRNA ligases MAANTERVKSLARRDRLLEIEAQVRKWWEGADIFRADARETPPEPGETLFGNSPFPYMNDHLHLGHAFSISKLELATAYHRLRGANVLLPFAFHCSGMPIKASADKLAREIERYGNPPTFPSTINEQIQGLEPETEEKEKESQTILNKFKIKGKRSKVASKAGNEIFQWEIMPLYGLSDAEISKLKDPSRWLKYFPPLAIKHLKAFGLDLDRASGEGVCPLDYTLTKMEVIPPFPAKLKHLEGTKMFLAAATLRPETMYGQTNAWVLPDGIYGAFEINETDVFILTERAAHNLSYQKFSRIPQKTSCLAKLTGHDLIGSLLKSPLSFNKVLYVLPMLTLVTEKGSGIVTSVPSDSPDYFMALRDLKTNPSLRDKFGVKKEWVSPIDVIPIINVPNLEIDAKPLIRSKFRELGHAVMYSEPEEKVISRLGDECVVALTDQWYITYGESEWRNKAEKCLSDMNLYCEEARHGFKHTLVVITSSKDLLQNHLTFCIYNHTAVLHKHHGPRGFRCIGHNMLDSEAMSKSKGNFKTMYLAVTKFSTNATRFSLADAEDGMEDANFARIRPHAQT >EOY33790 pep chromosome:Theobroma_cacao_20110822:9:39000434:39001078:1 gene:TCM_041665 transcript:EOY33790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MTVCLVKLISWKKTRNRSSRGKGILVWNMERVPPTMMLEMIEQLMIRVRHRNVIACRVIAFKGSQEKVLTVVVLR >EOY32249 pep chromosome:Theobroma_cacao_20110822:9:24544058:24556267:-1 gene:TCM_039897 transcript:EOY32249 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCHC-type integrase MGNDEACLTTAPVLSLPQGTGGYTVFCDASGVGLGCVLMQHGKVIAYASRQLKRHEQNYPIHDLEMAAIVFALKIWRHYLYGETCEIYTDHKSLKYIFQQRDLDLRQRRWMELLKDYDCTILYHPGKANVVADALSRKSMGSLAHISIGRRSLVREIHSLGDIGVRLEVAETNALLAHFRVRPILMDRIKEAQSKDEFMIKALEDPQGRKGKMFTKGTDGVLRYGTRLYVPDGDGLRREILEEAHMAAYVVHPGATKMYQDLKEVCSLRSFLSFRGPHVTVN >EOY32423 pep chromosome:Theobroma_cacao_20110822:9:29031153:29037408:1 gene:TCM_040335 transcript:EOY32423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MVNHDFSTSAPPTFIGSNYRVWAVKMRSYLKAFDFWDIVENGELPVQRHANPTIAQIKQRSKELTKRAMKTDYVENTLVAKTKELKLKGSGFKNGESKGNKSGKKSGEVKQGTVNVETDLGIKCMNSVHYVLDANHNLLNVEFVKDLPRITKPNKLCQACQFGKQTKNLFPKENRWKVTNTLELVHTVISGPMKTSSLNGSKYYIIFINDFTKYCWIFFIKHKSNALNIFRKFKASVKNFSGMSIKTLRSDNGREYVVTEFEKYLEVFGVHHQLTISYNPQQNGKAERKNKTLAEMDMKTPYEMWFGHKPTVSHLRTFKCVYYAMVPNSKRTKLDPKSVAIVLVGYSKVSKGYKLYDVKLKKIFVNRDMIFNNGQSWNWKNPCSGKAEVVPPANENLIQNEADDDESDLEDESKAIRGTWSLSYIYNRCNVAIAEPSNFKGAYFKKHLKSAMDVEMQMIKNGTWKRADRPVDKNVIKVKWIYRTKLNPDGSINKYKAQLVVKGYAQVYGVDYMETIALGTLIYVENSSAIARARNPIQHGRIKHIRDKYHAFREFVKDNLITLQHCPTEDQVVDIFTKSLGK >EOY30926 pep chromosome:Theobroma_cacao_20110822:9:6298272:6298933:-1 gene:TCM_037968 transcript:EOY30926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MGKIQEFGHWQDNEWKWEIMLRRRVFGWEEEQWKKFTAMVNDYHLSAEIHNTVIWKGTPSGDFSVKEFCRHQLDNDSGGRSTWRQVWTNLAPLKVEMFVWQIRHGRAAVKAKLMRGG >EOY34091 pep chromosome:Theobroma_cacao_20110822:9:39908618:39909598:-1 gene:TCM_041874 transcript:EOY34091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dessication-induced 1VOC superfamily protein MASNLKPTFGYTVVYVKDVAKSVDFYAKAFGYDVRRLDESHRWGELESGHTTIAFTPRHQHETDDLTGAVQVPKSDSERPPVEICLVYTDVDAAFKRAVENGAVPVSQPEDKQNWGQKVGYVRDIDGLTVRMGSYVHASKQD >EOY30624 pep chromosome:Theobroma_cacao_20110822:9:5182015:5182409:1 gene:TCM_037765 transcript:EOY30624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MKNAGKSSKGNGKSDVRKDRKSGTGMSGSPKKGGHGGKYTWVGDGLSPAEIGFDKEVVDVKDPNFEDPDEIVTN >EOY33549 pep chromosome:Theobroma_cacao_20110822:9:37997873:37999381:1 gene:TCM_041496 transcript:EOY33549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress responsive A/B Barrel Domain MAEDNLTVRWSKEMADWWVSPPMQFCTFPYIEMQILSNKGETEDFAPLGHGFCVRFKPQTSKGCVQSGESMEGFKHLVVVKFKENVAVEEIVKGMEKLVSEVDAVKSFEWGQDIEGQEMLRQGFTHAFVMTFDKKEDYTAFVSHPSHVEFSATFSTAIEKIVLLDFPSVLAKAPA >EOY34449 pep chromosome:Theobroma_cacao_20110822:9:41147075:41149679:1 gene:TCM_042128 transcript:EOY34449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate transporter 2:1 MADIEGSPGSSMHGVTGREPVLALSVASPIVPPDTTAKFDLPVDLEHKAKVFKLFSFSNPHMRTFHLSWISFFTCFISTFAAAPLFCDLLGPRYRDAFLIMLSAPTVFCMSFVSDAGGYIAVLFMIGFSLATFVSCQYWMSRMFKCKIIGLVNGTAAAWGNMGGGATQLLMPLVFDIIRQAGATPFTAWRIAFFVPGWFHVIRGILVMWFAITNYRTWIFVLLYDYSMGVELSTDNVVAEYFYDKVDLKLRTAGTIAATFGMANLLARPFGGFASDRAARYFGMRGRLWVLWILQTLGGVFCIWLGRANTLPIAILAMILLSIGAQAACGATFGIIPFISRRSLGIISGLTGARGDFGSGLTELIVFSTTTFSTAQGLTWMGVMIVACTLPVTLVHFPQWGSMFLPPSKDVIKGTEEHYYGSEWNEEEKQKGLRQGSLKFAENSRSERGRKVASSPTPPNSTPVHV >EOY33803 pep chromosome:Theobroma_cacao_20110822:9:39042314:39046309:1 gene:TCM_041674 transcript:EOY33803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide transporter 1 MEKDDIYTKDGTVDYKGNPANKKETGTWRACPFIIGNEGCERLAYYGMSTNLVLYFKHRLNQHSAVATKNNQNWGGTCYITPLIGAFLADAYLGRYWTIACFSIIYIFGMTLLALSASVHGIRPRCYTKDSCDPTDTQSAMAFLALYLIALGTGGIKPCVSSYGADQFDDTDEKEKKHKSSFFNWFYFSINIGALIAASVLVWVQDNVSWGWGFGIPAIAMAIAVCFFFSGTRLYRYQKPGGSPLTRLCQVLVASIRKYKVAVPADKSILYETADAESNIKGSRKIDHTTDLSFFDKAAVETERDHIKGSNPWRLCTVTQVEELKAIIRLLPIWASGIIFSTVYSQMGNLFVLQGERMDTRVGHSKFRIPPASLSIFDTLSVIFWVPIYDRIIVPATRRFTGHKNGLTQLQRMGIGLFISIFAMVAAAFLERERLKMIRRHNYYELKEMPMTIFWQVPQYFLIGCAEVFTFIGQLEFFYEQAPDAMRSFCSALSLTTVALGSYLSSLLVTIVTSVTAKNGKPGWIPDNLNYGHVDYFFWLLAALSVLNLAVFVWIANWYTYKRAVGTLR >EOY30540 pep chromosome:Theobroma_cacao_20110822:9:4912537:4916015:-1 gene:TCM_037715 transcript:EOY30540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P protein component 3 MVKKITVLYNFQSLLLPKSFKIWVKKKYASPKASFLVVKAKRSHEMSAQNLEIEMDEEEDNEEYEARSGFRGREEEKNYDKDPEFAEILGSCLDDPQKARSKMEDRLRKKRNKILHTKTGSATPMKVTFNKFDFSNSYIWFEFYNAPLEKDISLICDTIRSWHIIGRLGGCNSMNMQLSQSPLEKRPSYDAIQGANVNATTFYNIGDLEVQDNVARIWVDIGTTEPLLLDVLINALTQISSDYVGIMQLVFGGSEFENWKEDLTTEDAGYTVHRI >EOY33100 pep chromosome:Theobroma_cacao_20110822:9:35421613:35428361:-1 gene:TCM_041091 transcript:EOY33100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta-Hydrolases superfamily protein MEGGDQEEQRYTGVPPDKAANFKDVVREFMRGLLEMSVQFGRGCRDVVQQSLVTEDSFLVRNFGKDSCIGRKLNVPYDNVLRRLKVFNEYLVPEDKDPWHAWSVILFVFVLALAVLSVSVEHDTAIPVAKKVYIHPPSADRIMLPDGRYMAYREQGLPADRARFTMIIPHSFLSSRLAGIPGLKASLLEEFGVRLLTYDLPGFGESDCHPKRNLESSASDMLFLANSLGVSDKFWVVGYSSGSLHAWAALKYIPDRLAGAAMFAPMVSPYDSLMNRGEKYGTWEKWTHKRKFMYFLARRFPKSLSYFYQRSFLSGKHGQIDQWLALTLGRRVSDSEHCELLKDRALIEDPVYEEFWQRDVEESIRQGNAKPFVEEAVLQVSNWGFSLADLKLQKKQQGKGILNLIKLLLGSSEEEYTGFLGPIHIWQGMDDKVVPPSMTDFVHRVLPGAAVHKLPYEGHFTYFSFCDECHRQIFTTLFGTPQGPLNNTIEVEQTPFDDIQVQEEVTQGDLKTD >EOY20377 pep supercontig:Theobroma_cacao_20110822:scaffold_176:2566:9611:1 gene:TCM_046259 transcript:EOY20377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gag protease polyprotein, putative MSAYRDIATVIMDLRMVPGHDNSCGIRAFGFKEGGRLRQNQPSPIEEESAASTYRAAPAVEQAESPPHPPPSSPPIGIPAMPLEVAQALAAFFIAIAGQVSPIVPPATPTVPPMSDISISKKLKEARQLVNGGYAIIGEEGPYLVELGKILNYYSTNLVKLLREFDVKSEQDQAGYFEEGLRNDIREQMIVIGREPHKEVHMPSRFSRSEMTTSEKSFGGSDKCRHCGKYHVGLCRKLVRCFHCDQLGHYRSDCPQLGRATVVVPSPSARTNIQRKDSTEVQPRQRVTIWSDVESNTPAFPPLKPQTRTSTRVFVVSEDEARGNIVNSLILYCVKVVCPLTKARKLRLGITKLSTPEI >EOY20375 pep supercontig:Theobroma_cacao_20110822:scaffold_161:10138:11418:-1 gene:TCM_046251 transcript:EOY20375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MFKVGTNVKIQPIMINLRECPAHCSSVEEEIDGKPWYHDIVHYLKFQQYPDQSSENDKKTIRRLAMNFFLDGNILYKRSRDQTLLRCVDSTEAQRIVEEVHEGVCGAHASGHKLARQVMRAGYYWLTLEKDCIDFARKCHKCQIYADRIHTPANSLHVLASPWPFSM >EOY20374 pep supercontig:Theobroma_cacao_20110822:scaffold_161:1005:9241:-1 gene:TCM_046250 transcript:EOY20374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein MAKLNHYNIMFLKSSNSILSQNRAFLSEKVKFRPLKQGLHIAANMGLGDAAMHHLICFAFSNLSLRPLSKHNSESPPLSIDNLFPVLLLPWPADHPNTMLSFQPTLFLSLINSTSTML >EOY20368 pep supercontig:Theobroma_cacao_20110822:scaffold_147:9466:13021:1 gene:TCM_046238 transcript:EOY20368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-dependent monooxygenase 1, putative MERQVAIVGSGISGLLACKYTLSKGFHPIVFESQRSVGGVWTQTLETTRLQTPKSVYQFSDFPWPSSVTDDFPNQHDVFDYVKAYAQHFDLLKHIKFNTKVAGIDYEGPPDEEMRSWSLWGGTGEPFSSKGKWKVIVEDLESLSTEIYLVDFVIICVGRFSGLPNIPEFPPNKGPEAFRSKVIHSMDYAAMDNEKAAEFIKGKRVIVVGFQKSALDIAMECTVANGVENPCTVFYRTAHWNVPDYLPWGFQLGYMYFNRFSELMVHKPGEGLLLGLLATILAPLRWSYSKFVESDIKRKLRLAKHGMVPTHSFLHDISSCLISTVPEKFYDKVEEGKIKLKKAPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQDYIAGSPDAAMPLYRECIQPHIPQLAVIGFSESVSNLYIS >EOY20367 pep supercontig:Theobroma_cacao_20110822:scaffold_147:6786:8760:1 gene:TCM_046237 transcript:EOY20367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-dependent monooxygenase 1 MAQPTRHRKWETRIHVMGVDLLLNSSLTINQSLGSSDKPTIGPQHLRRQNFRPQNNFISSQIFHGHLQSQKSSLASIKCWSTLRLMPIILTCFGMSDSMPKLLALTSKGQQMKRCDLGISGVGLMSPSAPKETGMLQYRTPRIILQRYVYQVDFVVLGLGRFSGIPNIPSFPPGKGPETFHGEVIHSMDYAAMDYKLAAKCIKGKRIVVFGLQKSALDIAMEYSTTNGVELPCTVLYKTERWSVPNYLPWGVPLAYLYLNRFSELLVHKPGEGFLLSSLATILSPLRWVFSKFVESYIKWKLPLARVGMVPKHSFHQEISSCLTSTVPKNFYDRVEEGSILPKKTQNINFMKKA >EOY20366 pep supercontig:Theobroma_cacao_20110822:scaffold_147:194:1215:1 gene:TCM_046235 transcript:EOY20366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-dependent monooxygenase 1, putative RWSYSKFVESDIKRKLRLAKHGMVPTHSFLHEISSCLISTVPEKFYDKVEEGKIKLKKAPSFSFCHNGVLVEGETTPVEADVVIMATGFKGEKKLRDIFVSQTFQDYIAGSPDAAIPLYRECIQPHIPQLAVIGFSESVSNLYTSEIRCRWLAELLDGTFKLPSIKEMEKDVTKWDEYLKRYSGEYYRRKCIGALHVWYNDQLCKDMGWNPRRKKGFFAELFEPYGPLDYVPFSAAS